>Dexi1A01G0023860.1:cds pep primary_assembly:Fonio_CM05836:1A:30469247:30477196:-1 gene:Dexi1A01G0023860 transcript:Dexi1A01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAANGAAAQERDGGGKKKTKAEEVANKVPFLKLFSFADQWDCVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSDRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRLAYLRAMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFVAGFAIGFSQVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKRISNGGESFTTMLNVVIAGLTAAYPIFKMIERSSVNKASSKAGRSLPVVNGHIQFHNVHFSYPSRPDVVILNRFSLDFPSGKIVALVGGSGSGKSTVVSLIERFYEPLSGSILLDGHDIRELDVKWLRRQIGLVNQEPALFATSIRENILYGKEDATMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHDQLMANPTSAYSSLIQLQEAAQLQQKPSFSDSASITRPLSLKYSRELSGRTSMGASFRSDKDSISRYGAGEVHEEATPKGKPVSMKKLYSMVRPDWFFGVSGTLSAFVAGSQMPLFALGVTQALVSYYMGWETTKQEVRKISVLFCCGAVLTLVFHVIEHLSFGIMGERLTLRVREKMFSAILRNEIGWFDDTSNTSSMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLVIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLSKSYLKANMLAAEAVSNIRTVAAFCSEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSVLMSKELATFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVRIDTGEDIKRVEGLIELRGVEFRYPSRPDVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGRILIDGKDVKKLKLKSLRMHIGLVQQEPALFATTIYENIMYGKDGATEAEVIEAAKLANAHSFISSLPEGYQTKVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQHALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIEDKNGAYHKLVSLQQQQQLQSRAHETLE >Dexi5B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:5B:14564871:14569418:-1 gene:Dexi5B01G0016420 transcript:Dexi5B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLGGAACCCFGFTQLHPATVRLRVPPARAADTSPSQSPARLRAVLEQVDEALSKGNDEAALSLVRDSQGEDGGLRGFGAARQMLNKFSCIALAGVATEYLLYGVAEGGLADINKLDGLLKGLGFTQKKADSQVRWAVLNTVLMLRHHEKARSQLADAMSFGKSVGTCIEVIEGNINPDDI >Dexi6B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:6B:1120913:1132155:1 gene:Dexi6B01G0001380 transcript:Dexi6B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPSSVSPAPMTGDEMYFACTGEKMYFACTGIVVKSDEGSASILTSASLVRSDDDDSNMASILMIQVRLPNGEDTIGLVAHYDLDYNAAVIIIPSCPDLQAAFFYHHMEFGSNSNVVAVGRWFYSGTSSGESESEECVDEEFLLLPDFHDPLPVNDHIKKDLEPRGYPMPTRLEGGMHLVNTFEEEFVEDTWCKLSKKIKVYLRNKLVPGTLWNYNLEYNVAVIIVMGFRIMRTAEFHNQMQIEPQREVVAVGRVFESGKLMATTGIVTGKESKLDCNELMVTTCKITKAGIGGPLIDLDGNFLGMNFYGMKETNFLPRNIILTLMKRFEAERFVIGKANRWPVPVPRWSCPSRRARRRQKLTVNE >Dexi9B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:9B:539003:541971:-1 gene:Dexi9B01G0000880 transcript:Dexi9B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAIKERELDLRGNKIAVVENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQYLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVAAAQKFHSKEAEEEAKKAPVKTFTPGQIDAEDTTDVNAPKVVAPTPEQITAIKAAIANTHTLEEAARLEKALSSGQVPAEFAMPKPDANMAEAAEEADKMDTDGQSEADGHKQDDESTPIQED >Dexi1A01G0024460.1:cds pep primary_assembly:Fonio_CM05836:1A:31011872:31012759:1 gene:Dexi1A01G0024460 transcript:Dexi1A01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERDGEGTRAHATLVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRSSPAQARPPPLTWRLVGSFFLLGLTGVFGNQLLFLLGLSYTNPTYAAAIQPSIPAFTFILALIMGTETVNLVSNEGRAKIGGTIVCVLGAVLMVLYRGPAVLGSSELELDVHSHGVLAEMSQPEPAGSLVYLFLAFGLEKWHIGVLCLIGNCLCMATYLALQVLVIFVS >Dexi3B01G0035230.1:cds pep primary_assembly:Fonio_CM05836:3B:37970192:37978243:-1 gene:Dexi3B01G0035230 transcript:Dexi3B01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNVYFVGGQDNVSPPLFGSLLLAHEIKGRLGPGRVSWSGAHRSVRTTHPTSPPHPLPPRLAHSLSSTSAATAAAARLPVALLAGGAPLKVTKLQSRSPGVRAAMEALNDDALGLILLRVDSHVSLIRAAAVCRRWRGAIVDAVFLRRYRSLHAPAVPGHYHNGSWRQDTGPVFDPSSTSMVDPSHFSLDFLPDGAGPWFVVDSRGSLLLLCDNRSLGFGFPHMVVCEPLTRRFESIPTLAEPMDMACTYLIDGQMCMLFPTPPLFPLPPCLRRRQAWRPLLRRRRGAPPCHCWPGRSRPTQGNSSKPSSGVSRVSRIQIVGNVACVLGPFLTGSLPGDFARVCERRHPRPVTRAGDLARRARGGAAPSPTPPSSAASAPSTGHPSPRSILWRGVGGPVFFPASPRTVDPRRFSLDFLPGGAGPWRIRDSRGSLLLMDNPSARSIPLGFPKQLVCEPVTRRCKMILPPTGMDSSCRFLESYLIDGEANEAEGRISMSCFRVLCTFSRSGVTHAAMLTSWSHKNVDHLAASSSWSHHKNIDHIAPSSALSRLLARGGDSWYFYGEDSVLKTGSTGEFSSSPRIFTVANNTLKVFARINGGDWALAKSAFSCQKQRTMDTAPVTCKMGKMVYRYELPWPPALHAVRPSKKGEVLAMKKLGFINGEGTNGAREDYVSFFKEVMDVKNYPALRDLLPAASVLTDEEMLVAIKQADKMIGGPDHHFASEHASLPSSNEATAPLSFFFK >Dexi2B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:2B:6447661:6449355:1 gene:Dexi2B01G0006520 transcript:Dexi2B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVLADVPPPWRRRLILAGRLPPEGKKLVSHRAGSQKRAHVGDFKGALEKFEVESEVESEDEAQLFATKRSVVARVDVDGPNAIKKKNQFRGIRRRPWGKWAAEIRDPNKGVWLGTYNSPEEAAKAYDAEARKIRGKKAKVNFPDDASVASKKRPSNSNPESLMQNEEIPFASLVNDGASIQETLVNVSSEKGCHSLSSLDTSLQNGTKDTDSTSVVAPVPTLTAVDEPAFVQDTVNVVAALVTGDASVDHYELYMNFLMDRSNESINTFLGYDDEPEDVGSNMGLWNFDDMPMTGDIVI >DexiUA01G0006450.1:cds pep primary_assembly:Fonio_CM05836:UA:12403501:12405058:1 gene:DexiUA01G0006450 transcript:DexiUA01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACPGGETMERFIVGAQKSTNQLKAELAKLLPAAGSAHAGTETSGRVVDALLSNITDSLSQALACLRLGVLDTQQPQGQSSTAAAGGSGGARRSRQRIRTDGACRVIVLLQNEVQDSYRWRKYGQKDILGARFPRSYFKCGHNSSCPAKKQVQNSDADPSKVEVAYFETHTCGDDPSPLSLSSHNAPGAVIISGTQGSTARLVPVAMVPSDQHCIAGQLPPLMYPVPDLTTAGSNVPRTAGDIQGDAAVVPEAAVSSTRYDPVPDDMPFTPSMEDEQAELFIIPSPACSQSDLLPTEVAKVELHGPPVWMEHGVAHGDERSAISDFTVPEL >Dexi3B01G0029420.1:cds pep primary_assembly:Fonio_CM05836:3B:28613523:28616280:1 gene:Dexi3B01G0029420 transcript:Dexi3B01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEAGRGRAPAATTTTMTENTGGFGGSWEYKLRKYILLLATLVATVTYGAAFNPPGGVWQDAAGDVIAGDPIIRKTSYARYLAFFYSNAVAFASSLVVTVLILVLSILHDRGEVSLEPLLAILRLVMVLDLLSLMGAYAAGTFRDRLTAIYSLLLLAGVVVYLAAHSVLAARLPDDEEDDAQSANKKRDIGRLRKVPMLLATFAVSVTYVAGLSAPGGFWDHEEGGHKPGSAVLKGGPHDKRLKAFFVCNTTAFVSSLLILVILLEKKLLRLWNYELHGFTAVTLLGLVAAYVAGSSRETDTTIYVSSLVGAVVVCVLVQVAFVILYKNNENVSDGNCLWRHLKSIFDAVSKCLGYIKRLCLGKDSTSPTPDDEQEDEEVLERARSLVLLLATLAAAITYQAGLNPPGGLWQADGDGYMAGDPILSTTYPRRYKAFFYCNSVGFVASLISIILVRMKTLHHHNALEAAMILDLFGLMGAYAAGSCRDVSTSVYAMALGGAVLIYVVIHVVFLTLDPKHAAGVDEELVEKIRKRFLIFAILAATITYQAGLTPPGGFLLKGDTYGHHAGDPVLLYNYPRRYTAFFYCNSVSFMLSIALIILLVNPILYRPAIRSNALSVCTAAGISGLMGAYAAGCTQHIKTSMYIFALAGFVLFVLAAAVVWAIRKKPPGQNTTQDKPKDTAEDKKEKELYAKSKYLMLLGILAASVTYQAGLTPPGGSWQSNDSKHIAGDPVMRYNRRDRYLAFFYSNSTSFVASVVVIILLLPPSLHKKPWWLGVMKTTVVLDLLGLLIAYAAGSSRTGKTTGYVSMLVVPVLAYFAIHVGLSCFNRKYKNRDGSTQHLRGAGSV >Dexi3A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:3A:422520:425008:1 gene:Dexi3A01G0000470 transcript:Dexi3A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAKDDDDDEQGGGGFPWMHDDLFHHHLWTTAAASMHTKQGWKGANQDAMTVCQDFAGNKGHIFCGVFDGHGPLGREVARHVRDTLPLKLSSALKPETEEEEPSTDTLKLRTEEDPSSSNTDLDSSDKSDSTSFSDDTIRDEKQLLSTWKNILVKTFEQVDEELRQHSGINCICELARILNCKGRVFAMEDEPDVPRMWLPDQEAPGLAMARAFGDFCLKNNGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVVKMVSSVKDPSKAARQLIDQAVRAWRRKYPTSMVDDCAVVCLFLNRAASPLPGTAGDVMKAPPHVDDQAAVSSSFTGSFRRVVSGRGGEASSEVWRALEGGVARANSVIRLPRMLSWRRRSNSLEEDQDQQN >Dexi6B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:6B:2703607:2704149:-1 gene:Dexi6B01G0003290 transcript:Dexi6B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFILTMILVVSLATMFTSGAACDKTLQSAPDAAAVTTYALIATKKASEKYSDTMNKINMMLGAKDLPSEEREAISHCREKYREASSQMASGADHLSSCDFRHTRQEFMDALTAVRSCLDRLHDSFQSLPLYVLVEADFAVTGVASDLEALTNSGW >Dexi9B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:9B:12414987:12415651:1 gene:Dexi9B01G0017650 transcript:Dexi9B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPHPETGLEKLRKATTARPLVIVDKDVDAGGAAGGSYKRTQSMPSTPTTPVTPSSTTPRGANNVWRSVFHPGSNLATKSMGANLFDRPQPNSPTVYDW >Dexi1B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:1B:3373075:3373311:1 gene:Dexi1B01G0004250 transcript:Dexi1B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGGGGGSGDDEEEVRAGGAPPGFALGIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNW >Dexi3B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:3B:5613341:5613652:-1 gene:Dexi3B01G0007950 transcript:Dexi3B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVEEDAGGKVAVEAFLERCAPSGDAAYAELRALLERLHDPTTRRAARVFLTDLRSRQSSTEEEDAGGGDFFRRSCYYTTTPPTPSSPCRN >DexiUA01G0019330.1:cds pep primary_assembly:Fonio_CM05836:UA:40340345:40341346:-1 gene:DexiUA01G0019330 transcript:DexiUA01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPPLPSWSDIPLELAGLVLLRLPALADRAHFAAVCQQWWVATKEVPLPAPLPLLALPDGTMYSLPESKPFHFPGCVGYVDACGDWLAFSGEDGFFLKNPFSHATVTLPQQFRVRDHRHHAGVRDRRRHANDGTGIKWMVMEDDPNRLTMCKLLYCSPQLVAAFVGVQRNIRIAVCQPGAASWWTVYMGYSFPLSVDMAFHQGKIYVIQEPWEILFTIVIRVDPGTGDPWVYGVRYDIKKNPSVAITRATNEDVTMKMFYLVELDGALLIVCRKMPGRRRVRPTLPETDIATPTGGNEFKVFRGDPQQSKWIELTTIGKGGYARGPHRLHG >Dexi4B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:4B:1762925:1763985:-1 gene:Dexi4B01G0002740 transcript:Dexi4B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCRPLAPPPPVPRTLSFARLSPSSLRPPPSSLRAVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVFVSVFGDERGKKVAIEGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGKDGEEDAEGSYVSEEEDGDWDADEPDEDIIYVK >Dexi3B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:3B:3265323:3266279:-1 gene:Dexi3B01G0004880 transcript:Dexi3B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRGEVDTSSAFRSVKEAVAVFGERLVREGHFRPNGGAAHGDRRVDREVNPRANGVAIAASDAKLEQSDGVRVSDHHPREESYSKPSVTFNFNAKQEGSSSSNDSKTASNQLPAAPRSVSEYVPMYLVPTSPPFFASSPSLANDEDDDDEQDLMVMSSIKKLEEEAARTRQEVAQLKRRLADMELSMATLNAKLHRALSKVAHMEADNAAAARASIERGRSSGDMTLAVWAERRRDPSRPQLGHLLSLGDADREAVVAGGGGRAVAAPARRNVQKQKPIVPLVVPLINGVLFCKKRKTKDKESVYMKELYSLLRLS >Dexi6A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:6A:12886202:12888418:-1 gene:Dexi6A01G0010470 transcript:Dexi6A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVLTTQRAVEEGERLPLPKGRLLRSNSPLAQVFLIGLVCFCCPGMFNALSGLGGGGQLNHTTIDKANTALYACFAIFGIIGGAAYNLFGPRNTLLLGALTYPLYAASFLYYNHNHIEAFPVIAGTLLGIGAGFLWAAQGAIITSYPSPERRGTYISLFWCLYNLGGVFGGLFPFSFNYHRGSNATSVNDGTYIAFMAFMLLGAALTLLILPPNKIIRDDGSMATNFTFSSVTKEGKEILKLFINWKMLLLLPAAWTSNFFYTYQFNNVNGVLFTLRTQGFNNIFYWSARMIGSAAIGCFLDFGFASCRKRGLTGVLVVFVLGTAIWGGGLANQLRYKDGKWQDLIDFKDGRPFMGPFLLFFSYGLLDAMFQSLVYWIMGALATNDSQILSRYVGFYNGVQSAGAAVAWQLDMHKTPLITQLIVNWALMMVSCPLLVLLLFLAVKD >Dexi2B01G0031420.1:cds pep primary_assembly:Fonio_CM05836:2B:39590264:39591567:-1 gene:Dexi2B01G0031420 transcript:Dexi2B01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAWLERKPAKMLRPPPQLALVRQRKQQSTRCARREETENDGVRVGRAHTKGGPRTSANATTVDRTTNAAQTATNVRRSEADCRGEVLGSHGYASGGGITQLSPLKYTRLGNAQPFWKVNVEGSFLNRSSD >Dexi6B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:6B:20466547:20470244:1 gene:Dexi6B01G0012740 transcript:Dexi6B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRQSGHERSRLGGNSGPGHELDDRGGAGDNAPARPAAPARQGFNDGNIQNVWMHGTKLPGQGFKCGYCGFVNHGGGATRLRDHLGAIVGEVKQCNSVPRAVRDAMKALQKSTMEKKREREQRKLRLERDLLQGLHGEDNVIDLETNEEDQPRMMAAIHAMEAQLGEGSPQFRRFMSKVSQRVQNMERNTLVVAASVLNPETHYRHNFSSNPEYAQALTDVIEKMAETPEDAVQAIQEIGFFRECQGRFNRPTARAGASSMPPSPNLDDIYASQLDRSAHERNPKRKNGKRVRSDEDEFEFLDSKDGDGDEGEFEDALSDGDDESAEVNSDDDHDDNRVEILPRVEASSEGDHNANGRRSARIHPKKMRIQSLYARE >Dexi9A01G0045140.1:cds pep primary_assembly:Fonio_CM05836:9A:48730659:48731768:1 gene:Dexi9A01G0045140 transcript:Dexi9A01G0045140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPPQRRTASSKPVTAGHLDPKKAGSARNKRRHHANIDDFEAAFEDFADDFDVEEDEDVEVKKDHGRFVFSAKSAFSPAHGGRASLKKKRRDFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFDTAEDAARAYDVEARRLRGTKAKLNFPATGGARQRRGNARKPQHHAVVQPTKIAVKPEITESFDMDSLFDMSFPDPAFPSATPAMESSFTGSTEPESGSPAKKIRYDDSSISSGSGSSALELDDELAFDPFMLLQLPYSGGYESLDGLFAVDEPVQDVNVVNNNGMDGGSLWSFDDFPADSAVF >Dexi4A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:4A:20977375:20978416:1 gene:Dexi4A01G0017200 transcript:Dexi4A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALLSGRQLLGRPVQSSISRSSARKAPFVVRASSSPPAKQGADRQLWFASKQSLSYLDGTLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEIFGKLGIIPPETALPWFKTGVIPPAGTYNYWADSYTLFVFNMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKFLAGSGDPSYPGGPLFNPLGFGKTEKEMKELKLKEIKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLSDPVNNNVLTSLKFH >Dexi6A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:6A:1796624:1797145:-1 gene:Dexi6A01G0001830 transcript:Dexi6A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAVSAPPSAGSAGSLGKRKARPKGKGSKSKARKKLARSDQDDSVHRRRNKPSAKFLKLLRKRAQDYNSDDDEEYGEQEEEDRPPRPRRRDDGDEEEEALSHSDKDEDEEVEGASTSAVTSDH >Dexi7A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:7A:29482292:29483614:-1 gene:Dexi7A01G0020770 transcript:Dexi7A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASASKRSRRASRAPIPAPPPPPPAPPSPPPPQLTSLLALLASSVTLALRFASDRDLLLRPSQTLALDPLLLSAARAVSRLLALLPLHLQTLTLTSLSLSPPTPSPPLPSSWFLRLLSSSPTALPDSAWRDAFRMSKPAFFHLLHALDLPAASSSSSLALPPDHKLGAALFRLAHAAPARAVARRFGLPSPAVAARAFYEVCRAIADRLAVLLDLAAPDRIARAVPGFCALSLPNCCGALGYARFGEAAATAQALVDADGRFLDVSVGWDTEMAPAEILPRTKLYTSQAIVLANAPQGELMGGSVPRYFLGPASCPLLPWLVTPYSHVDATDDLSKESIFNHVHAHGMQVVKNAFGHVRARWRLLEECWKGECQEALPYVVVAGCLLHNFLIKCGEPMPEETQGNAADEFGDFEGGKDKEGERIRDVLAAHLSLVSQNQ >Dexi2A01G0031950.1:cds pep primary_assembly:Fonio_CM05836:2A:42593885:42595675:-1 gene:Dexi2A01G0031950 transcript:Dexi2A01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKDEVVRGLSPARSRGKSPAPPRSASPARMLIPRRRKPAAPPQPPPEKVLQQYLGEQLIARSGSLRPGGEALAPLIEGPDAERLAAGDPAAEDSGRREGFGNWVRGHLTRTPSMASASAAGPGGSGGASFRRSDLRLLLGVMGAPLAPIASKPLPLLSIKGAPIESSSAQYILHQYTAASGGYKLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPTGRGAAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFADARCVGEKKVNGEDCFILKLTADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHAGGDAVYWETTISSALEDYRPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGSVGEACELPSQSHGERARVGAVHPSRVAAVERAHANGHAHGANAGVTGAGGRGEKIVWRVEV >Dexi2A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:2A:4203938:4204535:1 gene:Dexi2A01G0004620 transcript:Dexi2A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGYMLLHLSPMSQTNMGPWGGDGGAAFDILESPRSLQTVTIRCADVINSIAFSYVDQGGQKKTAGPWGGDGNGDALTATIKLAPSETIQQIVGTTGSKQ >Dexi9A01G0039760.1:cds pep primary_assembly:Fonio_CM05836:9A:43611282:43611587:-1 gene:Dexi9A01G0039760 transcript:Dexi9A01G0039760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGCSTLVDNQATVPIKVYEGESASTKENNLLVDKSTGRKNDITITNHSGRLRKEEVELMVQKFER >Dexi4A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:4A:4117619:4119031:-1 gene:Dexi4A01G0005740 transcript:Dexi4A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDESWRLPSTVQELAATVQDPPSRYRIPEQDPLSDQLAGAEMPEPVPTINLRRLLASDDVADEEAAKLRSALQRWGFFLVTDHGIEASLMDALIASAREFFRKPLEEKQAYSNLIGGKQWQLEGYGNDPVKTQDQILDWSDRLHLRVEPEDERNLDRWPGHPESFRGLLHEYTVSCKRVKDGILRAMARLLELEDDGILGQFGDKGTTYARFNYYPACPRPELVLGLRPHSDVCVLTLLLMDKHVAGLQVLRDGTWYGVPPVSDRALLVNVGVSLEIMSNGMFRGPVHRAVTNSEKERMSLAMFYSTDLEKEIEPIAELLDEKQPARYKKIKFRDFVATHYDYFSKRERVIESLKI >Dexi9A01G0042670.1:cds pep primary_assembly:Fonio_CM05836:9A:46220406:46221251:-1 gene:Dexi9A01G0042670 transcript:Dexi9A01G0042670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPADPSLQTEAPQASVTKERRLNPDLQEQLPKPYLARAMAAVDPSHPKGTEGRDTRGMSQHVAFFDRNGDGIVYPWETFQDVQ >Dexi7B01G0024850.1:cds pep primary_assembly:Fonio_CM05836:7B:29062164:29062424:1 gene:Dexi7B01G0024850 transcript:Dexi7B01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVLGDRSRPKAKEEQEGWWWGGETYQVEASAVGLGALPPKPPWREARLWSCDGLQWIAAATSPPTKWGSGEERRQARRKAARPR >Dexi8A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:8A:26926837:26931112:-1 gene:Dexi8A01G0015870 transcript:Dexi8A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRKIATEIQSVKSRVVEVHELRKRYKIKDVPSSTIAAVDPRLYAQSTKMAELVGIDEARDELVNVMMEGNDEVSLQRGKIVSIVGFGGLGKTTLPNAVCGKIRGRLDWSAFVAVSQTPDMKKLFRSILYQLLRTESINQDILDEWQLINELKEFLKNNSDNMEDKEEAMAALSHEG >Dexi7A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:7A:29131530:29132128:-1 gene:Dexi7A01G0020320 transcript:Dexi7A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGEKEPAENKSQDSAEQQREDDDDDAAAEEKVTVDTYKAAEEESAPLIIKEVTAAGSEESSSPAAHPCSLLQLLLRACAGCLGLQHGDDPKPAAAEDSSQEGENDGDKANYLYMQGEVITRVFAVRRPTPPGDRPREGDGGNGGVHH >Dexi9A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:9A:10742415:10744940:1 gene:Dexi9A01G0015820 transcript:Dexi9A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLYRAALSPLPAAASSASRRFPALLRPLAGAASLLPAAGAAAPVPGAGVRCFATQPATSSLRDTSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDAGNPDITRDEIINGYIQTLAQVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANIRDRRNDRPRSFDRSRNFERRRDNMQNFQNRDVPPGQGYNTPPPAHGQNQMPPREGPPHHAQGNMPPPPPPPHAGGGPPNYQQGGAPGYQQPGYTPGGPPPPFQQGGAPGYQGGPPGYQGGNQGYQGNSGPAYQGGNPGYQGGAPGYQGGNPPPPPPPYQGGNPNAPPYQGGGNPGYSGGGPGYPGPGGNSNYQ >Dexi2A01G0035370.1:cds pep primary_assembly:Fonio_CM05836:2A:45024890:45026668:-1 gene:Dexi2A01G0035370 transcript:Dexi2A01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCFSSSSSASSLLVLHLLLLLLLRLSSPSLVWAPVSRTITVDRQGRGDFSTVQSAVDSVPDGNRDWVKVHVNAGSYWEKVTIPKQKDNILLEGDGSSSTDISFNAHAHAGIDQIMRHPNAELDEYSPTFLSATFTVLADNFVARDISFKNTYRGHDMRKQNQAVAALVGGDKSAFYGCEFDGFQDTLCDFKGRHYFRRCVVRGGVDFVFGYGQSIYEDCVLASVMPPPGTTSTTQQPGWVTAHARLHAGSPGGMVFKGGAVTGTGRIYLGRAWNGFATVVFYGTRMDDVVVPQGWEAWNAGNDVSHVTFAEVGCTGAGSYMGRREGWVKHLSEEEVKRFVDMKFIDDGWLSLQP >Dexi5B01G0021990.1:cds pep primary_assembly:Fonio_CM05836:5B:24242776:24244459:1 gene:Dexi5B01G0021990 transcript:Dexi5B01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSCQHSAVSLRVFLVSVLLMLSAPTGLCGCYKRIFSFGDSIIDTGNFANGNLMEFPFGMTYFKRPTGRVCDGRVIVDFYAQALQLPLLPPSLPQKDTGMFPNGANFAVSGSTAMPPEYFHRWNHRVPTWCHLGMQMGWFKGLLQRLAPNDNAKRQVLSESLIVLGEIGGNDYNYWFGATKPREQAAQFIPDIMATIGSSIQELIGMGAKTNVIPNNFPIGCVPKYLSDFRSGNRADYDEHGCLRWFNDFSQRHNHELRGVVGRLSAQNPGVKLIYADYYGAAMEFVRDPHRFGIGNPLTACCGGDEQPYHTNKWCDKTSKIWGDPRSFASWDGTHMTEKAYEVIAQGVLNGPFANPPLLRSC >Dexi2A01G0025500.1:cds pep primary_assembly:Fonio_CM05836:2A:37120820:37121227:1 gene:Dexi2A01G0025500 transcript:Dexi2A01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQEEVELRAKIEALGLEVTKVPEQAPKHLDELEIAAELDKLSSRLDNVDKMISSAMASDPEVKSLLSSTADIWMPVITASADERRGFAGSSSEGSQDEKENSKQ >Dexi5B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:5B:23038415:23042097:1 gene:Dexi5B01G0020820 transcript:Dexi5B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGSRHHRHPADQPAAPPKPQAHAPPPPQQYQQPPPPPLQQPKPKPAAAAPAADVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRGTGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSDKEDSPLKATDFGLSVFFKPGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFSSDPWPSISNGAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKLALKVVAENLSDEEIMGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKHDMGDDKTIKDIIAEVDTDHDGRINYQEFVAMMRNNSPEIVPNRRRAF >Dexi8B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:8B:14614497:14617683:1 gene:Dexi8B01G0008820 transcript:Dexi8B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRHLSRATYLQATSSGLARVPLHPLARWAQMLLDPLVILFLSDGIVSLSGAQARPFARAPTATRITSHQRGAYKLTRSRLSVAFHPGPHFIPPSSVAVSPRPVSASPPPRPPTRTGEERQRERHTHPPASPEPARARGRERERERETWGLSVREEEQRRSGSEMNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGWVAGLVQTLLYADFFYYYIMSWKNNVKLELPA >Dexi9B01G0044600.1:cds pep primary_assembly:Fonio_CM05836:9B:44329178:44337465:1 gene:Dexi9B01G0044600 transcript:Dexi9B01G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSSLHWRATSPTVTGTHLRRLLAFSRVVTLFRVDLGGGPNGGLVDGCSAATCLAVAGEEGNETCWWCIADWIRLSGVHPPLRSPIYTPSLPSTLLAPSASPPFDLAAAADVPPLHLAAAADLPTPLFLSRHLPYHAGSHPCTSQTPGARLFLFRLAASHYLLVPAVVEHICPMLASNASLSPDVAPPAGVPTLSITVSSSARQKKNPKQIPPQSFVRGPHSNPSSHPPPAILTDAAARLLTELLAEYKSHTPQRASCSTNRIAAPLPSTSSSMDEPPLHPPLLPHALTTGEAVPIPLPAQVEYPIRWAPSLPMSAAAAAAGQEVAAPVMVAAAEVEEWEVCACCGLREECTPAYAAGVRARYGGRWLCGLCGDAVGEEVAAGGGSVMEVEAAIARHAAFCRALDGRRTPPDAAERLIAAVRRLLRNAGGKEEKAVVVVEIQEASPTKPTRKASEAVVSFLSASSPDSPTQPRPTFPANPNPPNHCPTRQIPQIQPPIRHARPREPLPLTSPEMIAPQPRRVRPLAAVSLVLFLLLLYSRSDGAPARAPRLLGSEFLSDADPPGPAPSVANISEQPEDPSVACAGIARHEGFQTQCEFLRAHPQCSSGGFVDYLGFFYCRCERFRLLGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNALRRLWEAAQVMWG >DexiUA01G0018680.1:cds pep primary_assembly:Fonio_CM05836:UA:39455753:39457042:1 gene:DexiUA01G0018680 transcript:DexiUA01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVQQRVMGLHERIVDRSPCAVPAGHVPHGLRSAALGCGMDAAHALRLRAPATQPLSVVPVPPRATMRSRCSPRRAGTRHRRGGLDEGDGGPACLARPHGPHVISVVAVVVSRVPWPSPAPDTTATLASRCPASSPSRRAVPAAEPPRGASPRSRTHSCAVRWLAHANAHAHTRTREPCELGNASSVRSPAFGHAVAACHRTPGRRALGATPRPHGAGHTALAVQDRCAAAADPWAAPRVGWAAPLWAAPGPWPVLAQRPSRARGAALTAGAHQSQGPLLTSSPGGPCADVSMTS >Dexi2A01G0036360.1:cds pep primary_assembly:Fonio_CM05836:2A:45904322:45915922:1 gene:Dexi2A01G0036360 transcript:Dexi2A01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSPPEPSTPGTPTPVLSVRIVSIDYYMSPPLPGFDFSRSPFHGEEVEEMPVIRIYGSTPAGQKTCLHIHRFDYNLYGMGHIHVKDFKFRILNEKYKMYTSLSQTTEGTKMVQSLVAIWEEIERLRLLEETKYADLGRPLREEVLKGFLHDIKYESALSMLFSQEVPQHKVSATEDSERLERCFKSLTDIVGTVTFSQDDYCDDIDVGNSADAEALGLLSWLASSQAAEDPTTDDELINEVILSPLFGKKSIEFALESAHLDFDSASQQECQDILDSVDPVLTESRGELRPDPRFDAINAVSMAIEDDADNTVEVHVFIRDNSDKSHRRRNLDGIAGCNVDVFPEEKDLLNNLISAVCSIDPDILVGWEIQLGSLGFLAERAAYLGIGLLRRISRTPPHELNHPPKAPVDDSSQVLAEASSADDVIDDVASQPAMECLPLVMEPESAFYPDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADQHTLVDLKNQLLLTPNGVLYVQPEIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIAASITAINPDPVTLKFEKVLGHIWSVNGHGYYQICGHCGGADWIAESGVKCISLACPVFYERKKIQKELRVVSESAGEAGYYAFCCSELF >Dexi9B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:9B:2272454:2273898:-1 gene:Dexi9B01G0003940 transcript:Dexi9B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVHFLALLLAFGALEAAAGATNGTSPVDGMIRGRSLGSSSQSVFSLDRYVGRGDGRHNDTQALANAWKAACASPRPAIVLVPGGNRYLLKLVRLSGPCKSSVTLTVKGALVASPNMADWSDKDRRHWIVFRSVDKLIVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVEDLKIVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSRDVKVRNCKIKTGDDCMSIEDGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTITKDAIKMNCSKNVPCHGITLQNINLKMEGGKCAIRSTCQNANWRKSGTVLPQPCTAKN >DexiUA01G0020570.1:cds pep primary_assembly:Fonio_CM05836:UA:42850463:42850657:-1 gene:DexiUA01G0020570 transcript:DexiUA01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAARGAGGGAVGDEARASSMVLGGSEIGIRDRVGGSPHQQELAVGGGAGTKRRGKGAS >Dexi9A01G0045920.1:cds pep primary_assembly:Fonio_CM05836:9A:49385776:49387521:1 gene:Dexi9A01G0045920 transcript:Dexi9A01G0045920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEENVGPFRRTSSRTRRMATRMASALASSDNRAQAALARLEALESDNAGSEVVDLNDDEYGSTDEEDPVLMQKKQSKNMKRKTRQGKALEKRAARSFMDVLQE >Dexi5B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:5B:4809954:4810346:-1 gene:Dexi5B01G0007200 transcript:Dexi5B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPFELNDSNIAGEFVDAAAGGASDDAAASRQLARLLLWVGSFTMLLDQFTALYRAPSGVVFQSHKLAYYLTLAAIFAFGVAEVITAFALTRHRSNGSVQAFARVVLYISVMPLVGAIAVGGFAVFMKT >Dexi4A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:4A:22891081:22891371:1 gene:Dexi4A01G0019030 transcript:Dexi4A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPVPRRRSPQHRRSPKGPAIRRGAAERGEGWPQGGVDLRRAAAREPGRRKPRCPSSSPPLCSQGHGFQRDVITGRRGSGEIPAAAARTRWPHT >Dexi3B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:3B:14767874:14769568:1 gene:Dexi3B01G0019800 transcript:Dexi3B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNHAVTTTANGTNHAAAMAPAIPPLGHPAPLDADEFRRQGRLVVDFIADYYTRIDEYPVRPSVAPGFLARKLPETAPERPEPGDALAAALRDVRDLILPGVTHWQSPHHFAHFAATASNVGALGEALAGGLNINPFTWAASPAATELEVVVTDWLGNALHLPETLLFSGGGGGTLLGTSCEAMLCTIVAARDRKLAEIGEERIGDLVVYCSDQNHFSFQKAARIAGIRRGNYREIPTSRETGFTLSPKALLAAVRADVAAGRIPLFLCATVGTTPTAAVDPIRELCAAVSGHGVWVHVDAAYAGAACVCPEFRHAIDGFESVDSFSTNPHKWLLANMDCCALWVRRPEALTSALGTDHDVILKDPSAAAQDDGHSNVVDYKDWQVALSRRFRALKLCAATASRAYEASCGHTRFALVCFRLRRRSIANAVGVKRPREEVEEEPNDDELNRRLLEAVNATGRAYMSSAVVGGVYVLRCAIGNSLTEKRHVQEAWGVVQEQATVVLAAAATTATPMPQLPFLP >Dexi9B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:9B:3561690:3562595:-1 gene:Dexi9B01G0006010 transcript:Dexi9B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAANVAVVGAGISGAVCASLLAARGVAVTVFDSGRGAGGRMAQRREVMEDGTQLRFDHGAPYFTVTNDEVARVVSGWETRGLVAEWKATFACFDQATGKFTDFEKDE >Dexi6B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:6B:21901076:21907133:1 gene:Dexi6B01G0014350 transcript:Dexi6B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVLSRRAPVYIYAAHPALIGQSHTTQHRQQAQAGSQAERKQQQHLPPDMAASVEPRQQFGRLEPVRGAATNGAKAYPPPSSHHIPRRADSPVRGCGFPPLVSPPRNTTTNKHHDDASDEDDDEPEDWRELYGSHLQLELEPAVHDARDEGTADAWIERNPSLIRLTGKHPLNCEPPLSRLMHHGFITPAPLHYVRNHGAVPRGDWSTWAVEVTGLVRRPARLTMEELAGDFPAVEVPVTLACAGNRRKEQNMVAQTVGFNWGPAGVSTSVWRGARLRDVLRRCGIMPRKGGALNVCFEGAEDLPGGGGSKYGTSITREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLKRIIVTPAESDNYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPGHDEILPINGITTQRGYTMKGYAYSGKALSGVKVTRVEVTLDGGETWLVCDLDHPEKPNKYGKYWCWCFWSVEVEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHRGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFLNTTDGKQFTMSEVRKHASQDSAWIVVHGHVYDCTKFLKDHPGGSDSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYNSDNSVHGGSSLSQLAPIREAIRAPAPVALSNPREKIHCRLVTKKELSRDVRLFRFSLPSSDQVLGLPIGKHIFVCATIDGKLCMRAYTPTSMVDEIGHFDLLVKIYFKNEHPKFPNGGLMTQYLESLPIGSYIDVKGPLGHVEYTGRGNFVINGKQRHAKRLAMIAGGSGITPMYQVIQAVLRDQPEDQTEMHLVYANRTEDDILLRDELDRWAVDYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEAILREHVPEGGDDTLALACGPPPMIQFAISPNLEKMKYDMANSFIVF >Dexi8A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:8A:3198553:3199926:1 gene:Dexi8A01G0004070 transcript:Dexi8A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFCIPNSEDEALEKNFGSMSYDIVKATLISREILLEEVKKISNAIGYTLKDLDDTDLTLGKYETILPPSRQGTPTKCAPQMVGILRDFLEDT >Dexi9B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:9B:1102940:1108527:1 gene:Dexi9B01G0001910 transcript:Dexi9B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSDLQSPKKRKREHAEGKTKAKPRTQVKGGVDGAKRKKHTGAGGYAAHGGAVEAVAKKRPATPREQRLAAKEMSEARKMKRKRHYSLEKELAKLWEKMRCHDVSKEERSKVVSEAIRKMDGKYLDIAGSHVTARVLQTCVKWCSQSERDAIFDELQPHLLTLSRKKYAVFLVKKLIELATKKQFASFISSLHGHVAKLLPHSIGAAVVDYAFQRATQPQKRQLLLELYSTELQLFKDLTVQSSFSLLETISKLGLQKSSVLQYMTIVIQKILEKGTVEYSIVHTAILEYFTIADKASASDVIRQLIPLLTQGASIIDGDEPSIAPELPKKTKAKKKRSSEPLIVRIMQKREGLKLGISCLKHGSAKDRKKIIKSLKGHIMKLALNDFGCLFLISIISIVDDTKLVSKIVIQELAKHLKELIFDKNGRRPLLQLLHPLCSRYLSPTDLACLSYNVPSLSSREASESTTEVMSENKVDAVTDKDPNGLEGTQNVSESKKDPSQRRHELLIKSELAEALVQSCIENVGELLRSNFGKELLCEVIFYVMSHADNEICSIDWFSYQLSHSTSFSIMSLILNVFQHGINTSSMVAVGGKDNVLDGVTDRIHMLHDAIASDAAQPKTEDIEHAFENFFSSRVIRRMIIDCPAFAITLWRKALQGKYKIWAEGHSSKVVAAFMESPNSEVRDLAKPKLQPLIDSGILKVPDHKDVEK >Dexi5A01G0039240.1:cds pep primary_assembly:Fonio_CM05836:5A:39969590:39969979:1 gene:Dexi5A01G0039240 transcript:Dexi5A01G0039240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRDAQSSLEECASLRAASRWICAMPDLRVAPPTGSALRRPAIAWSSPSERAAAPRPVGPCTELSWRVPSAARPLPEPPSRCYTVWIWGRKRKGEKEEETQRRISVWERDASRREDAAIEGSGRRG >Dexi7A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:7A:2929754:2938763:1 gene:Dexi7A01G0001170 transcript:Dexi7A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPVAAETAHGMALPWWLATTACAAPPPGSGSLADWLAFIFLSPCPQRALLGAVDLVFLAACLVLLARRLLRGGVSAAAAPPSEGEALLEKPDRHPSPPLFPYALALGSSAAFEAASVVLLVLAVLLLPSTPWRAAEAAFLAVHSAAHGAAAWTVAASRRAGVSSPAAHQAAHLRVFWLATALVALLFSASAAVRGAGGSLLLPDDVLAFAGLLVSLPLAFVAVTGSTSHGSTREGGDDEAEEHAGEEEASPYVAASFLSRATFSWIISLINKAYAAESLTADDVPPVPPSHRAEAAYALFMSNWPASSRHPVGVALWLSFWPQLVLTAFLGLARLGAMYVGPSLIDQFVEFVRRGGTPWEGLQLVLILLVGKAAQTLASHHYNFQGQLLGMRIRGALQTALYRKSLHLTAGARRAHGAGSIVNYMQVDAGIVSFAMHGLHGLWLMPLQIVVALLLLYTYLGPAVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRVKAITEMLNHMRVIKLQAWEDNFGKKVRDIRQEELGWLAKIMLFMCANTVVFSSGPLAMTVLVFGTYIATGGLLDAGKVFTAIAFFRMLEGPMQSFPQTIVMSMQAFVSLDRLNKFLTDAEIDPTAVERVDSGGGAEDTVAVKVQGGVFAWDVPAGEEKRGNDSRGSHGEATNGQANGSELVTVLRGIDVEVRRGELSAVVGTVGSGKSSLLSCIMGEMHKVSGKVSIFGSTAYVAQTAWIRNGTIQENILFGKPMHTERYSEIIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFMECLKGILKNKTVLLVTHQVDFLKNVDTVIVMKDGSVIQSGIYRELLASCSDFSDLVAAHHSSMETTGEQGRHVQNTESSQASTGAVDVPSDNSKSNDGNGEKVDTAINKEAGSSKLIKEEEKESGRVSWRVYKLYMTQVWGWWGIVIILVVTLLSEGSSMASSYWLSYETSGGPVFDTSIFLGVYSSIVATTIILEMITTIIVTFLGLQSAQAFFNKMFDSILRAPMSFFDTTPSGRILSRASSDQSKIDTSLVFYVGFATSMCISVVTNIAVTCQVAWPSVIVVLPLLLLNIWYRNRYITTSRELTQFVGMSLSYGLSLNSLVYYTISISCMIENDMVAVERVHQYSTLPSEAAWEVTDCLPSPNWPSRGDIDVKDLKVSSILYASLPSNSGEKIGVVGRTGSGKTTFVQALFRIVEPAEGSIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGQYSEPEIWKALERCQLKDVVASKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFNECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPSKLMARPSLFGAMVQEYASRSSSSHGTDG >Dexi7A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:7A:20077743:20079961:1 gene:Dexi7A01G0008880 transcript:Dexi7A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDALGSGAGGGAAGAQQHAKAAAHLSHTAQWESARLEAEARLAREARLRALAASASVVSAPPLHLPPRASSSATAAAHGLESPTSTLSFSESAAALASVLEAAHGAAMAAARAALQQPVQPYEEACKEHPQQQQWADHVVHAADAAFAGAGFTGLLLDGGGLNQQQDMRLAVAREEDADAGLEETEEEKNYWDTILNLVNSSSSVSSLPASVVVHGPEAYSSSASLASSSAVVSVPEAYSSSSSLPGSVAVPDPEAYSPAPQF >Dexi5B01G0026280.1:cds pep primary_assembly:Fonio_CM05836:5B:28047765:28051265:1 gene:Dexi5B01G0026280 transcript:Dexi5B01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVRLLPRLLLLPSTHTSTPLRPSRLPRRLSFSARFSALSHLAVPQDVEQSDNEGAPHGDVQVKLPLDRLFVPPGATVDVGDQEAVSARVLKGSNIVLGPYARGDAHVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGRRPEENVETFQGLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >Dexi5A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:5A:8138889:8140138:1 gene:Dexi5A01G0010830 transcript:Dexi5A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTPAPPRRVIVCGGGVIGACTAYFLSTHGTTPTVPTVLEKCAPACAASGKSGGFLAADWRGYLPASWCGSTPSLSALMRVSFALHHRLASTLDGAAAYGFRPIHALSVLGAPDATAQVHPGLFTKVVLAASGAVVVIGEVERVVVREDGRVAGVAVKGRAGVMEADAVVLALGPWSGRLEMIRELFVVYGQKAHSVTPHALFLRYQPEPGGRVFKPEVYPRPNGEVYMCGMSKDEEVPDDPAAIVGEAESIAMLHKIAGKLSGQLRVEEGAEVVAEQACYQPCTTDGLPVIGEMPGVKGCYVATGHDCWGILNAPATGAALAELILDGRAETVDLAPFSPERFLKRK >Dexi1B01G0030250.1:cds pep primary_assembly:Fonio_CM05836:1B:34269079:34271792:1 gene:Dexi1B01G0030250 transcript:Dexi1B01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding SALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKLKSLREVWYARGPEGSSVDLSIRSGANIRHVVLTRQTVTLNPVRSRMCEIPGAKDSSKIGYIKLTTFNQNAAESVKEAIKTLRDNNVKSFVLDLRNNSGGLFPEGIQIAKIWMDKGVIVYICDSQGVRDIYEADGADTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFGLSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPSSFPTEEDAFCSCLRDPTAACNLNAAQLFTRS >Dexi3B01G0034890.1:cds pep primary_assembly:Fonio_CM05836:3B:37545959:37550074:1 gene:Dexi3B01G0034890 transcript:Dexi3B01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGEHTSHHMGVDEAASLLHLGFVGKKQSMGIEGEGCGSAGSTLVHGHCKEQPPSPPSDDEDSSKSTSSGDDDDDWFVSDSEEDEDYENQAPIPLLSFLLISVTFGVGAYSPFTVDTFPTASCDYDKQVAVLYGTPDIKRRGPSPIMLFPPFKTGYHLFGSDYNLADKSEAVCTSFIIDFAVTGSNDWDCSNKCRCHPMDLIQFIDVKIAGYQHTHPGRAKIFGFVAARDMIKPLRNYVYNRGIDNCEAVSVKNKTGVARLSLASPARVISMSSRALIEFELHALSEDKTDNDDDVIIEGCTELYNMHATKSFIRNQRLYGQRCALDIKYLVLINAVEARVDINVIRVPARGINLKLLAKTSGFSNVIRLFQGTVLEVGISASFAVAVGSRNFLDLCIEGSQRDDVSPVQKTQQYECWQCSFGSRYHGVEDLVAELGDFAAVSVKISWTSYEKTS >DexiUA01G0004240.1:cds pep primary_assembly:Fonio_CM05836:UA:8316181:8320748:1 gene:DexiUA01G0004240 transcript:DexiUA01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVPLSLAATNGLGYGFPIGDPWITDALSPHGLSPLKARSPATAASRSPSGATCGNPEGARQCGSACCPPVTRLPDPAAAPAGRRRRMPRP >Dexi1B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:1B:21262883:21263549:-1 gene:Dexi1B01G0014850 transcript:Dexi1B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRAVGVGRGLVVPQPGQPAWAAVAGAVAGRMEGVGVPRYFGDKASGRVLSEEERAAENVYIQKMEREKLEKLRRKADKDKAEAAKRAAAAASKGEKKKEKGGEEARPS >Dexi1A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:1A:2376914:2377240:1 gene:Dexi1A01G0003310 transcript:Dexi1A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSDHIEYALYQLRAGGPLVAVIRISENYDECYSTDSQGPQFGSDGFLKVDITSVAELYSIKIKRVQ >Dexi3B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:3B:11329557:11329865:-1 gene:Dexi3B01G0015640 transcript:Dexi3B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRSRCRGSEEREEDEAKRGAEMVLVSLGTSSLAPRWSTSAPGLPATPGRSSRSPVRRPPCSLELLFAQRRPRQRRSMRRKRAATLSEDKRLDVMLRSR >Dexi5A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:5A:9570056:9570558:-1 gene:Dexi5A01G0012690 transcript:Dexi5A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLCQGTTRPTHYHVLHDEIGFSPNDLEELVHSLSYVYQKSTSAISVVAPVYYAHLAAAQVRQFVRFDDMSSASSSGAPAPLPKLPRLHESVRSSMFFC >Dexi7A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:7A:17655944:17656617:1 gene:Dexi7A01G0006260 transcript:Dexi7A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLFPAAPCHRATASAASTAAAAAGGAALRHCGLLPPPARRRRGSRAVSAAAAAPERSSVPLVAASGHGSSDDAKAALYRALEGVNRGIFGMTSAQRSEIHGLVELLESRNPTPEPTAELQDKVDGCWKLIYSTISILGKKRTQLGLRDFITLGDFLQIIDVKEVSTES >Dexi3B01G0020980.1:cds pep primary_assembly:Fonio_CM05836:3B:15885583:15886362:1 gene:Dexi3B01G0020980 transcript:Dexi3B01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQLQGYEGEVGSMTRLLPDDVLADVLRRAAPRVVATARRVCSAWRALVDERRLLRRDLVPRSLAGFFIKYNELPLPELFHRPSTNLITGHHRMPKSRVHDHCNGVLLLFQGLLNPAAGWWAPLPKLQPPPRVGPEAERSRFYQDMYLVFDPAVSPHCEVFLIPRVPRRRDDYEIRKGRCVADSAALLEMEWPPSPLTLNVFSTLTGRWGERSFSRGECEAAPGTIADMQKDHPYDHRHAVYWRGALYVHCEKDFVMR >Dexi5A01G0035300.1:cds pep primary_assembly:Fonio_CM05836:5A:37117428:37121669:1 gene:Dexi5A01G0035300 transcript:Dexi5A01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAPPTSLRLAPPPASASFRRTALKSSFINGSVSLRAVHIRRSNVSRFKCNANLFDRLSRVVKSYANAVLSTFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASNDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLRAQLDQQKGVVENLISNTKLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKELSGSSLKGELPPGRTAASNSSAARPFPDLEIESELNELRRKAKEY >Dexi4B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:4B:17209019:17213011:-1 gene:Dexi4B01G0015430 transcript:Dexi4B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILPSTPVASVDDLADQVADVLDFFGLDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWFYNKVMSNLLYYYGMCNVVKDILLQRYFGKGVRGCSTEPESDIVQACRSFLDQRQGMNVWRFIQTINERKDLTENLKQLQCRTLIFVGENSQFHAEAVHMTAKLDRRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLYRPSQINCSPRSPLNPFCISPELLSPESMGLKLKPIKTRANLKA >Dexi5B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:5B:6270275:6273258:-1 gene:Dexi5B01G0009230 transcript:Dexi5B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSALFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRKLLRLLLAVYHPRNRYLLHLSADAPASERAELAAAVARAAPAVKAFGNVDVVGKPTAGTPMGSSGLAATLRAAAAMLRLDAEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPVIVDAGIYLAGRNQFFQATEKRDTPDGSPWVILNRRFIEYCVFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNFTVNNDLRYMVWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKVLGRWRYRPVPGAWCTGRRRWFSDPCSQWSNVNIVRPGPQAEKFRKYINQILEESKSSNNSCKQ >Dexi8B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:8B:24239020:24239617:-1 gene:Dexi8B01G0013820 transcript:Dexi8B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLSMGVVASKGDGTKLSNTIKVPEMVRAKVFEALCGLRSKNFEGHYLPIDLTSLGATVQQPNQHCVAEPDLLPDTILVPKLILQKTVSHTREILNDSGSLQSMYEKLLAVAQEEPSLYKTSRIEN >Dexi8B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:8B:20810166:20812063:1 gene:Dexi8B01G0011670 transcript:Dexi8B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISNATLWWDEWQMRILVAGSLLIQWFLLLAAPMRKYTIPSWVRRLIWLGYISSDALAIYALATLFNRQTKTSSPCHTGFRASSLEVLWAPVLLIHLGGREGITAYNIEDNDAWTRHTVTLVSQATVALYGFHKAWPGDGDRRLLWSAVLLFIIGILSFCEKPWALRRASVKRLASVDPSVAADERHKERSKLSAAWYLFTELNKKPDRTILSENDKLQMILFDRSLVSAAVSIRQKNPSLSMEDVMEDVLGTLDPDMMKNTSHWLGRAFGLLYTRANVVSTPAYLACHVLLVPSLHATAIALFATSDKQAYEKQAYDVKMTYVILCFTAVLDVFEVPISGLLYQVLSTTKFPALCETLPSDNLIDAVQKVKNPRTKLLIKFARSLRYNGHFFHRDNPSNLYGKVVGFVVSDLLGCKVLGLDFASYRDTNRMKNWALKKLEVLFDKGYKTADPNNVIWRSLRELPFDESILRWHIATDLCFRLIPPPPNGLDPFAYTYRKCAAGISNYMAHLLNCRPDMLMTGSRQHLFSEALRSMDSMGRVVWRSIEDAEDACSKTDLIRLAWELAKELLDVGDDMTRWELMYRVWVGLLCYSASMSRGYQHAKSLGEGGGPDIG >Dexi7A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:7A:18521998:18523301:-1 gene:Dexi7A01G0007160 transcript:Dexi7A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIESATGDMASSSYDRQRELQAFDDTKAGVKGLVDAGVTAIPRIFHHPPESLKDLIASPPSHAAAAAAADGAFAIPVVDLSVAVAARGDDDADVVAQVRRAAGTVGFFHVINHGVPDELMSGVLAAVRQFNEGPAETKRALYGRDRACKVRFASNFDLFQSKAANWRDTLFFNLAPDPPRPEELPEAFRDVIMEYRDAVTKVAVRVSELLSKSLGLSSDHLRDMDCTESLHAVCQYYPPCPEPHLTFGIKRHTDPGFFTVLLQDSTGGLQVLVDRGGGRQTWLDVPPVPGALMANIGDLLQLVSNDRFKSVEHRVPAIKSEGTARVSVASLFNKDLKRSARLYGPITATDGYCSAPLYRSVTAQEFIAHCISQGLESRPLDNYRLEKRTPMPAV >Dexi9B01G0040370.1:cds pep primary_assembly:Fonio_CM05836:9B:40926284:40926928:-1 gene:Dexi9B01G0040370 transcript:Dexi9B01G0040370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRSSSLQQQRRVRARVSCPCRGRLENESRETAAMEIPIRGGFLMERERKKKVCPEFDRCGEQTECAAPNRAAPLQAPLSRVSHAVAVSSSWPSRTRAAASIHDSEDEVRPPLTAREDTHGEAGSGCCRETLPARKQVPPSLPHARTHGAATMLLQGQQLARLEPPKRGSREQGAGATREQEAGAGAWVREQGAGTGWLEDARGRAGRRVGAG >Dexi9B01G0036190.1:cds pep primary_assembly:Fonio_CM05836:9B:37742289:37744831:1 gene:Dexi9B01G0036190 transcript:Dexi9B01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIILENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADIWSCGVILFVLLAGFLPFEDDNIIALYKKISEAQFTCPSWFSAGAKNLITRILDPNPTTRITIAQILEDPWFKKGYRPPVFDEKYETSLDDVDAAFGDSEDRHVKEETEDQPTTMNAFELISLNQALNLENLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDVQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVEGNSAAA >Dexi6A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:6A:8118325:8119288:-1 gene:Dexi6A01G0008060 transcript:Dexi6A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTQVKSASTCHPPDTTQGTHIFDILGYSKHRGMGPTAFIRSAVFNVAGYDWVIFFYPDGFTDESAGGGFDFVSAYLRLLTTNHGKVRASCDLRLVNPTTNTATSVHPTLVTMMREYDPDGDKNKVFNCMCIGRAELEGTYVKNDRLTMECVVNVRKEPKVSKSRIFPSIKVPPSNLKRQLADLLESKEGSDVTFSVGNETVAAHRVVLAMRSPVFKAELYGPMRKVGKEAILISIIATS >Dexi7A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:7A:2881821:2886939:-1 gene:Dexi7A01G0001150 transcript:Dexi7A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVATAARWPGGGTAARARPPAARKGPCRVAAEPQQKAAAPRFVKYRLLSWMKPTRHDIKVQMSNVNVGAGSYGGEGHLDNSATRDSNKPTKPLSGSSYLQFIGAALLLCILAAVFFVFFKGEPSAVVSMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQKALVLLGSVAALSLMTIISVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDALALPHSANGNLEGNSESGELAEAEELVKEKVSKKLTSPLEVLWKSFSLVFFAEWGDRSMLATMALGAAQSPLGVTSGAIAGHLIATALAILGGAFLAKYLSEKLVRQLKVVWLY >Dexi9B01G0037330.1:cds pep primary_assembly:Fonio_CM05836:9B:38796767:38797609:-1 gene:Dexi9B01G0037330 transcript:Dexi9B01G0037330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLPTAADEPTAPPGPPPKPVLSAPAVPAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQASAPPCRPALSLGAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSALTDRLGPNNPAAHASSGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVGHCIRTVLRDEGLGAFFVSYRTTVVMNAPYTAVHFATYEAAKRMLGDMAADEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQL >Dexi2B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:2B:722606:725573:1 gene:Dexi2B01G0001130 transcript:Dexi2B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGGELAAKSAKPSGEDRLSALPDDVLVLILLRLGTREAIRTSGLSRRWRRLWALLPELRFDDLVPDGVGIRRILEAPEEAAPLRWISVTTQGAGPESAAAWLPVAARRVSGELVYHNMPPATAMNEHGDVDKDDDKPVGGGDLHLPCFEKATTIALNINFLGLALPPQGIFARLTELSLRRLRFRSPSELGSVVSSPRCPCLKKLEVSNSRGLQNLSIHSESLLQLKLLDLHRLLHLTIVAPILQELGVGSCIRARGPSEPVAIANISAPQLVALDWKDFYDPQYVHLGDLGQLQRLTTAYDAYGRHTTLSQHRLRLLQRFQAAALYSLHLWLIYLQDIDDLQYLVGDIKMLPQITSLHLVVVNGGHAHHAFGASSFHLLRMCTGLRSLYLFVARSNLELEVKLCLFTQVQSTCPSGCICDQPMNWKIEELVLNHLDFVMIVGLHGADHEVAFLKQLFNWATVLRRMIIVFDYRISESKAREFRRAVVGCSRPETSVEFHICHDASKRTTCLLAPEGHGTML >Dexi2A01G0022560.1:cds pep primary_assembly:Fonio_CM05836:2A:34417786:34428162:1 gene:Dexi2A01G0022560 transcript:Dexi2A01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVAAAMAPLYFALALGYGSCGAINRLVIYFAFPFFGFDLTARAGSFAASYRVLAADVACKALVVLALAGWAAACRWSKRKGAYSWCITGFSLAALNNALLMGIPLLDAMYVGWAHDIAVQMSMMQIVVWFPLMLVLFEARQAWLEMPPLIPAAVEEDGHAAAAGLDSEDGEEDSGDGKMVMTGWRSFWTPLLRTVVLKLAYNPNEKFIMCGAGLAALSLVLRFVAGPAAAAAAILGLRGDLLRFAIVLVS >Dexi2A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:2A:7963342:7964258:1 gene:Dexi2A01G0007790 transcript:Dexi2A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRAPPVPIIAEVDLYRYDPWQLPDLALFGRREWYFFTPRDRKYPNGSRPNRAAGTGYWKATGADKPVEHGGRTVGIKKALVFYHGKPPRGVKTEWIMHEYRLADAGGRKPGNGSLRLDDWVLCRLYNKKNEWEKMKEAKGMESSEVSHADTRTPESEIDDDDPLPALDDMATVMPKKEVQEEFANLGGDDWLTGINLDDLQMPGDGDLFFGNMLVSPTVLKVEQDGGFFPFF >Dexi1A01G0029560.1:cds pep primary_assembly:Fonio_CM05836:1A:35019284:35024435:1 gene:Dexi1A01G0029560 transcript:Dexi1A01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGAAPKQDDLTPHPVKDQLPGVSYCITSPPPWPEAVLLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVLPTISIILAGRYANEPNPHTKFLRIMRGTQAAPLVALVGFGLYELGFPSVAKCVEIGLPQLILLVIFAMYLPHTVHMLRSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATAIPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCIFFAYAGSAGLGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIINVLFSSKAFVAGIVAYLLDNTIHRHDSSVRKDRGYHWWDRFRSYRTDTRSEEFYSLPFNLNKFFPSV >Dexi1B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:1B:6843276:6845966:-1 gene:Dexi1B01G0008200 transcript:Dexi1B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETTMDAGWLTWRAAAVAVAAWVALHLLARVADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMVALMAEASSKPMSPPTSHNALPRVLAFYHYWRKIYGSTFLIWFGPTPRLTVAEPELVKEIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFFPDNLNRLVPHVGRSVAKLAEEWRAMAAAGGKGEVEVDVAEWFQEVTEEAITRATFGRSYDDGRVVFAMQGRLMAFASEAFRKVLVPGYRFLPTKKNWQSWKLDREIRRSLTRLIARRSDEADAESTGGGGEDGGGAFRDLLGAMINAGERRRPRAVSPAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLDVCGADELPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKMDVQLSDGCMIPRDMELLVPIMAIHHDTRFWGQDAAQFNPGRFADGTTRAAKHPLAFIPFGLGSRMCIGQNLARLEAKITMAILLQRFEMRTSPKYIHAPTVLMLLYPQYGAPVIFRPRSPPPSYPASS >Dexi7B01G0023260.1:cds pep primary_assembly:Fonio_CM05836:7B:27874764:27876383:1 gene:Dexi7B01G0023260 transcript:Dexi7B01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYLLFLPLLTCAVLLLLFIALKPSSKARGSGVLLPLPPSPPSMPVVGPLLWLLRARNRLEPAIRELHRLHGPVLALRFLSPRPAIFVSGRGATHRALVQHGATFASRPPAIAPFRVLNSGQSTVSSAPHGPLWRSLRRNLAAGVLSPSRVQRFAPARRRTMALLVSDIGRRSEEGGGEVDVLGCLQRAMFSLLTYMCFGQWLHGLHVGEIEAVQRELFASYISFQVFAFFPAVTKLVFRRRWRKVLSIRRRQEELFLPLIRARRDRDSSMPKPNNNGGDHGESLSYCYVDTLLAHQLPKSKEEGERMLTDGEMVSLCTEFLTASVDTTVTALQWIMANLVIQPDVQAKLLAEIKAVASATDEDDDGIIVAEEDLKSMLYLKAVVLEGLRRHPPAHFLLSHAATEKEASLDGYSIPAATPVNFSVADVSMDEAVWERPSEFRAERFMDGGEGVGVDLTASREMRMMPFGAGRRICPGLGLAMLHLECFVASLVREFEWRAVAGVGVDLAERPEFTVTMERPLRAWVARRRRDAVSI >Dexi6A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:6A:18934374:18940040:1 gene:Dexi6A01G0012490 transcript:Dexi6A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSPPRPWPAPRAVPLQSTSPAPQNSSCSAATSQGKQEQRLALMGRSTWVRSGGGVELVAWYTQYI >Dexi7B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:7B:23104206:23106453:-1 gene:Dexi7B01G0017120 transcript:Dexi7B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGIARGRLAEERKSWRKNHPHVSTISSSSLLRSRLLVAPCMLKEIDSDARLLLSQGFVAKPETLPDGSVNLMFWNCVVPGKQGTDWEGGYFPVTLTFGADYPTSPPECKFPTGFFHVNVYNTGRVCLSILGGGWKPSITVRQILIGIQDLLDNPNPASPAQGFCCDLLRKNLSEYKNCVRQQAKRYPLHV >Dexi5B01G0022550.1:cds pep primary_assembly:Fonio_CM05836:5B:24833249:24833946:-1 gene:Dexi5B01G0022550 transcript:Dexi5B01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFWDEVIEVTFGDDVIPTTVTSSGDAVEGWLAEVRAAAPSDLIVGLDVEWRPSRRSDQNPVATLQLCVGRRCLIFQLLHADRNPRALSQFLGDRGVRFVGADAERLTEDHELDVVNAVDLRGLTAEVKGRPDLRQAGLRAVVDAVMGVNLVKPQRVTMSRWDASCLSYEQIRYACIDAFVSFEVGRRLLTGEARTADPAVPAGEATTADPAVPAVAGAVAVARVP >Dexi1A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:1A:5217496:5220437:-1 gene:Dexi1A01G0006790 transcript:Dexi1A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVDDIAAVSTAAAAIGVGAGPVVAAGAGQEGEEEEALKDDVYTGAAYGDLEKLQRLVELEGRSVTEPDAHGYRALQWAALNNRVAAAQYILEHGADVNAIDRTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQIQYVSSCF >Dexi3B01G0032960.1:cds pep primary_assembly:Fonio_CM05836:3B:35358248:35360456:-1 gene:Dexi3B01G0032960 transcript:Dexi3B01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLATGSFSTAAARRQVTNVISSRSSVSFQNHRMTSVSIRSRTSSLRFKICCSAKKETVDKVCNIVKEQLALPDGTAITAESKFAELGADSLDTVEIVMGLEEAFGITVDETSAQDIATVQDAANLIEKLVLEKAS >Dexi9B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:9B:10230336:10233291:-1 gene:Dexi9B01G0015140 transcript:Dexi9B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGSSSNEATNMGTSLTEQRSFGPLKDLRNEMLMHLMDGAQGSGSHLIHNDAHNTAQIEFGMLNNDNSTSVPSAPGQGLSLSLNTHILAPSYPYWSAKPDLLTPNSYQGDDNRMKNMQSEASRAIRNSKYLKAAQELLDEIVSVWKSVKNNADKGPGEAGKADGKETGGGIKSEGVSANPQESGANAAAELSAAEKQELQNKMAKLMAMLDEVERKYKHYYHQMQLVMSSFDMVAGSGAAKPYTAVALQTISRHFRCLKDAINDQIGVIRKKLGEDDNTSGKEGKLTRLRYIDQQIRQQRAFQQYVRLWKPMIEDMYKEEIGDAELDSNSSSDNGARSKDKAPSSEEKEEIKTSTSQVCQSSQLDESEANVGGMMSLSGAPTSGFHNEANPEDSFMSLMLKAQRPGETDGSGLLHHSDESTQFMAYHLAELGRYNNNNVSLTLGLQHAENSLSSAPNTQPGFSGVRDEGMYNTTPLNVTSTSSDYESTNQMDQQQRQQFEPLPLMHDFVA >Dexi9B01G0025100.1:cds pep primary_assembly:Fonio_CM05836:9B:24882696:24882904:1 gene:Dexi9B01G0025100 transcript:Dexi9B01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPHVHAVAKASTITGPTMDATGRPQIPFADVTNTVNTGTSPP >Dexi6B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:6B:4467281:4469770:1 gene:Dexi6B01G0005180 transcript:Dexi6B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNFVSQQDRAGGGGGSAGAQANGVRARAAAPGESAWRLNPSSWFAPAWLEPPPSRIASMASNPPPPPPPGSSSSAPAGHTYFPLPFHLQQHPPQPQMPPPPMPANSYQQYQQQLHQAHQLFQRDAQTITPEALQSVKAALATSDVLDPSTGANARPSDASTSKKPVPRRAAGQSWEDPTLTDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVDALERQKNHVQRKPKMPKKGILHK >Dexi2A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:2A:27961393:27962338:-1 gene:Dexi2A01G0016400 transcript:Dexi2A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAARLLAAASARRLPPRGPRAHLLLRGLCSASPGEPDPRPDPDPSPDPDPQLVGALCRVLSDFRGPRHDLRAALHGFAPRLTPAAAAAVLRRCHNLPVPSLRFFLFAAATPGFTHLPDSLLVLAGSLAGARLFPLLRSLLSDLPRAALSRDLFLLLFRAYARASLPDDAIRAFSSMEGFGFPPTIDDLHSLLFALSRNGLVEHAETFSRDSAAQFGLSAKTYTILISGWAVATKPENARKLFDEMIERGIEPDVPAYNALIDALCRGGDVALAHEQLKDMQRSHRLVPDAATYGPMLVLLFVC >Dexi5A01G0036190.1:cds pep primary_assembly:Fonio_CM05836:5A:37847118:37848037:1 gene:Dexi5A01G0036190 transcript:Dexi5A01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPGRAALALDTRTTTVTTGPLPRANEQQLCCSCFVVAGDCIYSMGERNKPGSCDFEVLRLGSKSSSRSRCRCWSWSSFPSQPPFDPLDVTCYAVHADGRTIFFSVSDSRDLNPPFARRRVAGHRCHLGTFSFDTEAAKWTLRGYWMLPFHGEAHYDEELDAWIGLHGECDHKDRVACCDVLPPATGDESVDTRQEAPPWKLAEDRPFCVKGRRHTGGALVYMGRSRFCVVERAMEEELTREQGKKVDVDGPPRLLLHVRTFGLKYGKDGRLCVATCGRRARCYSLPEGTSCYEFSMTLRAL >Dexi5B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:5B:21175571:21180811:-1 gene:Dexi5B01G0018790 transcript:Dexi5B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGPPPPGPPRMVRRLAVEVVDARDLVAKDGLGTSSAFAVVDFDGQRKRTRTIPRDLRPQWHEHLEFAVHDPANMHAEALDVSLYHDRRFNPSVSGSGGGSSGKNNFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWVRGEVGLKIYYYDEPAEGPPPPPPPPEDKPPEDNAPPPEVPPEAPKELHEMPAPTEAAVEVQQPAAHPPPIYNVEEAPMHQPMMMPPMMHGPHGPHGPMMPPMNGMHGPMMPPSMHGPPPMHGPHGPPPPEPPHPEPEPSSEPEGAGDQYPPEVRKTRMASSTERVRVMRHHHPSGDNYHHYASSPRIISGRFVSTGDSVEPPVQSSSYDLVEPMRYLFVRVVRVRGIRACDGPYVKVQAGPYSMRSRPGRDVTGTGNPEWNQVFAISHAKPEPTLEISVWDGGAPSPAEAFLGGVCFDLSDVPVREQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADDAFPEAWSTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDLRVPAPPMGLPFDVRVKIQLGFQSARTRRSVASSSGPAFAWSEDLMFVASEPLDDTLLVLIEDRSMIKEPSLLGHAAIPVASIEQRLDERQIVASRWFNLEGEPSSIGNAGGDRGPSPAFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWRPPVGMLELGIIGACGLLPMKTKGGGAKGSTDAYCVAKYGKKWVRTRTVTDNLSPRWNEQYTWQVYDPCTVLTVAVFDNWRMFVAGAGGDEQQRQDYRIGKVRVRVSTLETNRAYTASYPLLVLLRSGLKKMGEVQLAVRFTSAAQLPDTWATYTSPLLPRMHYLRPIGVAQQEALRGAAVRTVATWLGRSEPPLGPEVVRYMLDVDAHTWSVRRAKANWFRIMGVLAWAVGLARWLDGVKRWRNPSTTVLVHALYLVLVWYPELVVPTASLYVFMIGVWYYRFRPRGPAGMDARLSQADTVDGDELEEEFDPVPPPEVLRMRYERLRTLAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVAGGFYYLRHPMFRDPMPPPAVNFFRRLPSLSDRKDSIEFRKLLSYWHPLPDRMYSWQSILKLGTDAMEQFLLVKVALRGTPAGSFLDSVSEHSLESNERISYMEESESETESTQFEEDVALVGAVTSVPPKSRTKNGNQKRQKTSATGSPCFSTPGSDESRADDEGEDLTAKADFLQTLENMWAKKKEFETFKENRKQERHDQIIALEKEKLELKKKGS >Dexi5A01G0029980.1:cds pep primary_assembly:Fonio_CM05836:5A:33025806:33026818:-1 gene:Dexi5A01G0029980 transcript:Dexi5A01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALAAPVASVSPAFAAGGGVRSARIASTLPTRHSSSMQSCIATERPAEIGEGEQALGDAKHHLLLGVDPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAKQLRDYEKQKRLESLSEAELQALLEQVEEEKQRLPQIPEEPNAITFKKK >Dexi1B01G0031440.1:cds pep primary_assembly:Fonio_CM05836:1B:35017253:35017644:-1 gene:Dexi1B01G0031440 transcript:Dexi1B01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFFRLLHPSTLPALWNQALVIPLTPKGTGIKNPSKQQPRVLQCHVSCHHPTICGAATALPHQRPKSRPSSILKRSTPSLERRLWKQHALKSLLASPMPVLTKPNFLALPTSYTAAAPQ >Dexi4A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:4A:12933219:12934565:1 gene:Dexi4A01G0013440 transcript:Dexi4A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELIREEIDHGGIKNGTNTEPVTPRKPICDLSDPRYDICDISGDARTLGANRTVLYVPPVGELGADSQEWSIRDQSRKYLEYVNKVTVRSLNASQTAPECTSRHTVQALVFAMNGLTSNPWHDFSDVLIPLFITTRALEGEVQFLVSDLQPWFVDKYRLILKNLSRYDIVDFNQDMGVRCYPHVTVGLRGHRDLGIDPTRAPRNYTMLDFRLYVREIYSLPSAGVDIPCKVANSAASSGAIAEQQRKPRLMLINRGRTRKFVNFPEIVAAVQNAGFEVIPIEPRRDLSVEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMAFYGGPAREMRLRDVEYSIAAEESTLYDKYGKDNPVISDPESIHKQGWQFGMRYYWIEQDIKLNVTRLAPTLHQVLRMLRE >Dexi6A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:6A:6381940:6383467:-1 gene:Dexi6A01G0006610 transcript:Dexi6A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFPESPDRNWSELPLDALSAIFAKLGVIEILMGPGLVCRSWLAAAKAPELWRSVDMTHQDVVFSKGPDVLCSMAKVMIDRSDGLMESFWALKFATCGLVDYMAGRYCSANSLKSIQLIRCTQFWDVSLARLASKCPILEEIECSYQRLPANLFRYIGTKCLHLKCLRIHTQWLDFDPSRDLIEMENQGYENEGRRIPGESHASWEARQNENAFAIAETMHELRLLQMGGNSLTDKGVHAILKGCSRLKFFDISKCYNVSDGLRVRCTKIKHVWLPGQRPIALSPDRHVTEENEGNDNGLMLHDLWEAEVQPLRGKAAMDDYAYDDNHWKEYWSPLLMLPQVAQGDI >DexiUA01G0019410.1:cds pep primary_assembly:Fonio_CM05836:UA:40453330:40453643:-1 gene:DexiUA01G0019410 transcript:DexiUA01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTGNISTFSVSMNEGAVQVGGVEFPLDTKGEFVMEILYIDNKIRISRLNQHMLVHLRIANATP >Dexi5A01G0023560.1:cds pep primary_assembly:Fonio_CM05836:5A:27518387:27519601:-1 gene:Dexi5A01G0023560 transcript:Dexi5A01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLFWLVEQQNQGVHFAMEFLHANTKSLLDSNLEDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSGLVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVMTKRFVGDENGKVKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLTRDDQNAAGGITPSGAGLVQPVAA >Dexi3B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:3B:11718216:11720218:1 gene:Dexi3B01G0016150 transcript:Dexi3B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Dexi6B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:6B:13894727:13899964:1 gene:Dexi6B01G0009500 transcript:Dexi6B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLIVHYGLHRLLEIFRPYPAGEADIRRFHSDEYVSFLASATGNPGMLDQRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGEADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKNYALNVPLSDGIDDATFRDLFQCIMKKVMEVYQPEVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNNLPYNEYFEYFGPDYTLHIQPKSVENQNTPKDLENIKNMILENLSRIEHVPNAQFHGRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDSMKVGSNNLTANSQMKEEQSDGL >Dexi2A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:2A:29977295:29978835:-1 gene:Dexi2A01G0018010 transcript:Dexi2A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVERYGPSAGMVLVQLFYALVDVALKTASRLGMRPIVFVAYRQGIAAATLLLASLAARGCTLRPMAVGARAFGLIFAASLATATGQYFYLKGLLLASPSMARATTNLAPGITFAIAAVIGLEVVDVRNVRSIAKIVGTAICLAGAMFMAFFKGPKLLGAILLSATSDDWVKGGIYLIGNAVCVSIWYIFQVPVCKSYLDPLSLATWMCFLATLQCAVMAFFLEPNYLEIWKLNSFWQFPCILYGGVFASGANFFLQSWCISLKGPLYSAIFTPLSAVITAILSTLFLNEELHVGR >Dexi9B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:9B:7593049:7598632:1 gene:Dexi9B01G0011670 transcript:Dexi9B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSLARSLYRRRIVGSEAAAYSSRLPPRARPPARPRIAASANTTSDRMQVGEKLLEDIEERGLIPSKHPGSLERRMEDANRRGQSGVEGNLVLVPGRVY >DexiUA01G0021250.1:cds pep primary_assembly:Fonio_CM05836:UA:43971960:43972893:1 gene:DexiUA01G0021250 transcript:DexiUA01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDNKQSLPAITLAAIGVVYGDIGTSPLYTLRECLSGQFGFGVERDAVFGFLSLIFWLLILVVSVKYLSFVMRADNAGEGGILTLMSLAGRNTSARMTSVLVIIGLIGGSFFYGEVVITPAISVLSAIEGLEIIAPQLDSWVVPLAILVLTLLFIIQKHGTGLVGKLFAPIMLAWFLVLAALGLRSIIANPDVLHALNPYWAVHFFLEYKVVSFVALGAVVLSITGVEALYADMGHFGKLPIRVAWFLVVLPSLVLNYFGQGALLLQNPEAIKNPFFLLAPDWALVPMLILATLATVIASQAACSL >Dexi6B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:6B:27132506:27135068:1 gene:Dexi6B01G0020770 transcript:Dexi6B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAVARRSPESPGSNSGGSSSSSSSSSSPSSSSFYKKDSFFQGCSSVLGFTWRPFGQVKSKVKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIANTKKA >Dexi1A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:1A:11720102:11720389:1 gene:Dexi1A01G0012200 transcript:Dexi1A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRRSVLGAEAAAGPHRFGGTRATARSVWPYGVPYSRVGGGGELRWQMKPAPTGAHLHVDPRTECTNVPFVPAESHTARNGYISGP >Dexi9B01G0041750.1:cds pep primary_assembly:Fonio_CM05836:9B:42165741:42166061:1 gene:Dexi9B01G0041750 transcript:Dexi9B01G0041750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGQERRRFRWYLWRWGTASREHRAGRLETTRSCRVMRRLLVLYASTSAPLGWLGFKGFAGPAGGRWQTGNGQGKEGEPNRGRVSHAGRSGGCGVRVVRPEHSA >Dexi3B01G0033310.1:cds pep primary_assembly:Fonio_CM05836:3B:35931511:35945221:1 gene:Dexi3B01G0033310 transcript:Dexi3B01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRRSAAAAASPGSDGSSGGGGRGRRGRSKRPSASPASSQSPSKRGKESSSPSSFAALSVASKLVRLSDRLKNATVASAAAGESSGSAEENDVAEEPSLDKRQQQPRPWARMISQHRQFKEMQNSHVPISGNEISVVSADNCHLHLDDQADSGDMCLLRHLEQGGPCELEVTGGSDDLVVVNGIQFSQGDKVILRGGDEVVFGQSGKHAYIFQHPLQDNGVTKPVLVSANTGPTILASEPATSPGENNQGITESVPSGWQAFKDGLKQEILSPEDIKVTLDNFPYYVSRTTKEILLSAAFIHLKKEFRKCLQEISSLNQRILLSGPSGSEMYQEALIKALAKHFDARFLILDSLLLCGTTSKLFQESLKDARRDDAPTSTSGTDTVRISNNTFREGDRVEYVGHGSLNLTPRLPAYGSLGIVMLTFEKNQSSKVGVRFDNAITDGNDLGGLCEENHGFFCHDFELQPDSSGGEEVDDAALGKLIEKAVESRSGIDSTKHLNNIFPNKISIELPQDEAQLSDLKKELKRDTEIIKAKANVLNIDKPLIPFIIISSAVHPIPDVDKIVGCAISHHIQNNNPPKDAKIVLPIESLKHGFGVVQSLHTGNTVLKDDVTQNEYEKEVMSNVISPNDTGVTFEDIGGLENVKDTLRELVMLPLRRPELFSEGQLRKSVKGILLFGPPGTGKTMLAKAVATEGGASFINVSMSSVTSMWFGETEKYVKAIFSLASKISPSVIFVDEVDRLLGTRESSGEPEVVRKMKNEFMVNWDGLCTKELERVIVIGATNRPFDLDDAVIRRFPRRLMVSLPEASNREKILKAILSKEMLAPDVDLKLVANMTGGYSGSDLKNLCVTAAFCPVREIMEKEKKETSLARAEGKPEPPLYGREAVRPVRMDDFKFALGQVRASFSPGSRTMDELVDWNNKFGDGGSGQESSLSYFM >Dexi5B01G0037650.1:cds pep primary_assembly:Fonio_CM05836:5B:37091792:37092524:1 gene:Dexi5B01G0037650 transcript:Dexi5B01G0037650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQPLSALLQLQPCAAPTDPAEKQVYTVWMKSLVFNGHGCTIYGHDGRVAYRVDNYACSRSREVYVMDSDGKTLIKLLKKNFGVFKTWKGYSYRNGPAGLKQENYKPWFSVKKDNRILKIKGGQYSSRAVVTVCMSGKVYKIDGVSGKSEYRISDADGEVMAEMKRKQTASGVVLGEDVLSLTVSPAVDHLLVMGLVVVCGLLSRCV >Dexi1B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:1B:23909771:23911266:1 gene:Dexi1B01G0017550 transcript:Dexi1B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLARHEWRLLMNTNFLDYRNEKGHREGRVTQCYRLVERDDYNKYNGVCLMVQKQVSIIKQMDPRDPFRIQMTDMLLDKLYNMGVISTNKSLVKCDTLSASSFCRRRLATVMKKIKMAEHLKGAVTYIQQGHVRVGPEVVTDPTFLVTRNMEDFITWVDSSKIKKKVMEYNDALDDFDVMA >Dexi7B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:7B:19953849:19957921:-1 gene:Dexi7B01G0013470 transcript:Dexi7B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILERIRGGGDRAAPTEQPPQPEFWVEISESVSRLCSFEAAGSGRGSISVKVIQDSRPIHDKVVDSFLNKFFPSGYPYSVNEGYLTYTRFRALQHFSSAMLHVLSTQILKDGMQHAGKLICSSMGARMDSEPKSWRILADVLYDLGTALEFVSPLCPQLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKVYIYRTYHLLSPMISGKVSSPAELRYKEDLLFPNRIIEEAGSVKIGQPLRRVFSPRLVEQLRATFPNEKFLLNQKSNKTYMVLEQSASGEDALRGWLVTAFASEMERSGMGSRDAVLNEAYEKMERVFPTFVSEVRSRGWYTDQFLDGNGSRIAFAKFQ >Dexi2A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:2A:29628796:29629530:1 gene:Dexi2A01G0017670 transcript:Dexi2A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEFYCTICMETVHVRDLFPISGCTHLFCVSCVSQYITAKLEDNMSIGCPDPGCKYGALDPEACRDMIPPQLFERWGAALCDLAVGRFKFYCPFNDCSALLVHELGHEFKRLKKDERGKNDLLLRKVARESRWQRCPKCKMYVERAEGCVYIVCRCQHRFCYLCASPMSRGIHRCSKCKRTW >Dexi1B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:1B:5382791:5384989:-1 gene:Dexi1B01G0006610 transcript:Dexi1B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFIALAEPGRRKKKKDIAWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAVEVEILARVRHKSLLSLRGYCAEGQERLIVYDYMPNLSIHSQLHGQHAAECNLSWERRMKIAIDSAEGIAYLHHYATPHIIHRDVKASNVLLDSNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGIMLLELASGKKPVEKLNPTTKRTITEWALPLARDRNFKETADPKLNGNFVEDELKRMVLVGLACSQNKPEQRPIMSEVVELLKGESAEKLSDLENDELFKPETSSFQGSSGPDSSDCITEEKSSKADAKEAVDSSETVPSAR >Dexi5B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:5B:18010178:18014027:-1 gene:Dexi5B01G0017010 transcript:Dexi5B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHLLRRASSAFLSSTTCPPRSRSPLPRLLRQPRAAMASDSSAAPFQKIQIQREDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAAHISQLGGGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGILVPEVDAVVAFYGTPSSELADPSKAKAPIQAHFGETDNFVGFSDITAAKSLEEKLKSSGVPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENQEAVELAWSRFSAWMGRFLESA >Dexi1B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:1B:1457108:1459381:-1 gene:Dexi1B01G0001820 transcript:Dexi1B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGDMPMRPARPGPPMQHRGPPPMARRPEPIDREKTCPLLLRVFTRVAGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVRQVGSTFAYGHGRGDDAKTLAELGFQIGDYLSVAIM >Dexi6A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:6A:3065542:3066108:-1 gene:Dexi6A01G0003450 transcript:Dexi6A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATATKTLFLATLISLTTFFFVSGDACNKVPLMRWTDACFKACRPPLYSVCQETLQSAPEVAEVTAYVVLAARLAKRSYDDTVGRAERLIATGAIPGDQRPAYQACIDNYATARIRMVSVVTDLTGCDFTHARQEFDDAVAAMEACGKGLASGTPLVAMNNGDHDLTLVVSQLGALILGNNNQRKM >Dexi6B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:6B:16400971:16402301:-1 gene:Dexi6B01G0010180 transcript:Dexi6B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLIFPLLACLCFFLASCTSPAHGETSPGLYPVVLVPCYTCSNLDARLTDEYQPPPGMPWCGAMKGKGWFRLWKNQTALQDPRLMPCYADQLRLVYDHTADDYRNAPGVETRVVAFGSTRGFGSDDPAMKNMCTEKLVTTLEGVGYRDGENMFGANYDFRYAAAPPRQPSDVFDSDLSRIRDLVEHASRKNGGKPVIFVSHAFGGYLALEFLSRSPLSWRQRLIKHYLMLSRGDGGDVVILRTIASANAGPSSNVLFYANTSRSFASPLAALASPRVFGHAPLVVTRDKNYSAFELSDLLSDLGFSDVAPRYLRRALPVTLGIRAPLVLTTTVVGVGLPSPVRLTYWDGDFGKVPQVANDDGDGFMNFEVVSASRTVIDNEPDQGYFKLILLPNVTHYGVVSDDFALTRLVEIVAEINQSTS >Dexi9A01G0046570.1:cds pep primary_assembly:Fonio_CM05836:9A:49941829:49943287:1 gene:Dexi9A01G0046570 transcript:Dexi9A01G0046570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSILPLHLPSSARRATTAVVRAAAAAPATATTTAQSLEESFGRKGLRFVADPAGGAPTAELSVRNGSSLHLRLGDGLVTSYKPKVYWKDDGCREVLHTVADPAKVLKGGVGLVLNEVSGQSLIDDATWTVNDADSDSYDAVQVELGCTKGKLEISYVVTLYPLSMATAVIVRNTGTKPVELTSAVLSHIKFDKRGGTAVEGLRGCPYCSHPPPAAGFSLLSPAEAMKREDPGWFSGGGEEPRQGLWTVEEDMYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQATKLFYCIYSGLGFRLVRMGFEDMYLSSPGGMYEKFGSDYFLCTGPASILVPVVVKPGEEWRAAQVIEHDNL >Dexi3A01G0029860.1:cds pep primary_assembly:Fonio_CM05836:3A:32241805:32242677:1 gene:Dexi3A01G0029860 transcript:Dexi3A01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAIRRTGGARTWSSLDVDVVEAQAGEALVDAAGDAGGGEVESLVLVAAALGGDDDAVPRDGRVAEAVAEDGLGDRAAVWWRGAGKGRGGVSVRGGVEEVDAEVERAADGGAGCVAGHVPEDVAERGGAEADGADAETRAAKLAELHGWFGGWVDW >Dexi1A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:1A:21188607:21195591:-1 gene:Dexi1A01G0014510 transcript:Dexi1A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGRERWRKRMDGWSKLRIGWLWALADTARAPRLHGQHAGWEEAVWRESGWERAGYIRSRVHGERASAMKSGWARASAGSGSGSMSTKKGGNGSVGNGEEPEMEEVLAARVEPLDLALESVEPSGFRRPTHQNHRRSCAYSCSLLSVSGLNSRDAMPHHSIVTVPLAATLAMLYARLVAKLVLPGLIRLLCLAPALLLLLLLPFAIPLYSTRGTAAFFLTWLGEFKLLLLASGHGPLDPHLHPVPFVFTATLPVKLLQQQSPDATEKTKKVALPLPLLLSSSIKVAIMVTLLKLFNGKDQMHPYVAFTLYGIYIYCFLDFLLPCLAALGRALGMGLEPQFDRPYLSASLQDFWGRRWNLMASAVLRPAVYVPVRARLGAPAGVLATFLVSGLMHEVIACYITFRAPTGRLAAFFALHGAAVCAERWWCARRRWTRPPVPRVVATPMVVVLVVGTAVWLFFPPLFGGGMDDLFIAESDALLASLVDAGGRKRQERHGHTTRPERGELAATMDRASRQAEHSSPQIIATWTPRPSNAPDLLGFHGKKRRHLGGRCSCYGRRRPREGRCTPGSMSALRRTRDWIGGRKRILSRAEEPGIHTLCWVAGAKLSRAVVITLFGWLLSSQHWLRAADYLRWGCTAQHLQPTCT >Dexi6A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:6A:26320947:26326639:1 gene:Dexi6A01G0018580 transcript:Dexi6A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVADASPPAPPTGGDRKRRHASPVLPPPPPGPPPPGPHKRHRREEGGGGGFDRRRLGPVGGGGHEQDDRRYGNGHGGVGGRGGEGRYNRAPDSGRGGWNEGPGNSRREGLMSYKQFIQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKDEDWLRNKYHPTNLEAVIERRNELARTTANEFFQDLQSGSLDTGPGLTGSVVSNSGTNSSKNLVDADGKKGKVGKSADDLYYAAPKAHPVSSEVRRIQMDIEQAQALICKLDSEKGIENNVLSSSDHDKTDRDKSHSSMGPIVIIRGSSTVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADARTYNGASSNATEWENKLDSFWQDRIQGQDPLEILKAKEKIDAAATDVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVQKHLKLKHADVVVELTSKVREDIYFENYMNDPNAPGGTPIMQQPAPRGKGRQRPPIESRLRDERGNRRFDSNVDSPPHDGSGENPDDAIYDSFGDPILHGAFPPDIPAPPILMPVPGAGPLGPFIPAPPEVAMHMMRDQGGPPPFEPVGGPHTRKAGRGGGPPMRGPSPIFSAPPPPPPHMHDPRRIRRLAPNLFAVYTWGFQKVVNNSFQTF >Dexi1A01G0028580.1:cds pep primary_assembly:Fonio_CM05836:1A:34223054:34227488:1 gene:Dexi1A01G0028580 transcript:Dexi1A01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMAAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRAPRFRRPMRYRPYF >Dexi1A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:1A:9998999:10002964:1 gene:Dexi1A01G0011150 transcript:Dexi1A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGGEPGASAGSAPVCNFVRKPPKNIRKRPTAPSGSDDDDDGGGALAALRSKKGLSSAGKLVFSSADASSEPRRFQFESSRTIQSSDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSGSASASGSGAGEVYKGIHGYTDYKAGFRREHTVASEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMGGDGSDDEAADEEEDDDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQQGWDL >Dexi3A01G0027540.1:cds pep primary_assembly:Fonio_CM05836:3A:25250809:25251965:-1 gene:Dexi3A01G0027540 transcript:Dexi3A01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPSNPLTLGPPVHFPFSPSRLDALQKMIGSRASRPSGRRHCSGVKTDQQRRTALAVPPCLCNTGRLVWLLGDGASRATVGRRFRGACWSSSKVRFSKRPAEAHCSIIFGIFLRTLLRYFLENYEDKLKNFFTEHMHADEE >Dexi5A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:5A:22180365:22181280:1 gene:Dexi5A01G0018670 transcript:Dexi5A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARFLNTTLPYREPRARYYIEGYRNLPFPFDDVGLGRELDMEHEMSFEGLIGMLRSSSAVRTAKQRGVDLLGERVVKELEEGWGGPSLVRKVTFKAFLLAGTPGPMIDERSLLV >Dexi8B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:8B:10537663:10538162:-1 gene:Dexi8B01G0008160 transcript:Dexi8B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAALNLRMSRDDGYKSAKLNPPPHRLIDLVQELVPAPHLFFSDGPEGEHATLAEELERAELAHLAPTLVVGGKHDALAATAEDANGGAYVAAAEGEIMGLHDLSGCLSGGDNQRWHCAKPE >Dexi3A01G0023070.1:cds pep primary_assembly:Fonio_CM05836:3A:18642272:18644794:1 gene:Dexi3A01G0023070 transcript:Dexi3A01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETKQEAAAAAAPAAAEVAVTEPEKKAEEPAPEAAAAEAVEEKAVEAAEEKAAEGDSEEEKKAEEALEAAAAGDEAAVIDGAGSFKEESNLVSDLPDPERTALAQLKELVAAALANGEFNLPPPPPPKEEPKKEDPAKEEPKKDEAAPAKEEEPKAETAAAEEPAKEEAKADAPAEAAAEEVKAEAPAPEEPKADATPAEEPKAEEPVKEEPKTEVPAEAAAAEEPKVEEAKPAEPETEEKTVVVAAEEEATKAAEAIEETVVPAVSEPAAAAEAEAAPAAAEEAKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDELLGADLGLPELENVVFYRGADRDGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGIREQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFVNKKVFINVPWWYLAANKVMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKETVEIPATENSMVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPAHEEPIMKGSFKVSEPGKVVLTVNNPASKKKKLLYRFKVKSTAESA >Dexi3A01G0025250.1:cds pep primary_assembly:Fonio_CM05836:3A:20943507:20946130:-1 gene:Dexi3A01G0025250 transcript:Dexi3A01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKVAAEAAAAEARRRMVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLAIALWPAISLPVLTIFLLLSNFGASICEVANDAIVAEAGKQGTSSAGSGQLQSFAWMFGSSAGALGNLLGGIALSYFSPRIMFLFFAILLVLQFFTTVAIPESSLKLPKAATNLSALTSIRKQVRELSCALCMPEMFWSIIWFTLSYTVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMSYNKYFKTISARKVLSVLQFAKALIMLSDVLFVQGIYRKIGIPDSIYTIVFSGLFEGLMFFKVLPFSVHIAKLCPAGCEGSVMAFVMSALALATIVSGYLGVALAAFMGVSGDDFSALPACLLIEAACTMLPLCCSSLVKERREKEKKEE >Dexi3B01G0029710.1:cds pep primary_assembly:Fonio_CM05836:3B:28983917:28984571:1 gene:Dexi3B01G0029710 transcript:Dexi3B01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKARRRRVPAFGEWNYYYYSGELATPTAAAANPEWYAAAASAAELEASSDVWFKYSPPPRRPPPRNASRTKARRPPPEKTVVDRSSYDGGKRGIRRAAKPARRASPEDAVAPPSSLAVARAPASKAAGTGRARVVRAVDADLYQVPPPEFAPDYDDEPTRWHKDDKKKKKRASRRLWMGCFGFNCVPAE >Dexi9B01G0039730.1:cds pep primary_assembly:Fonio_CM05836:9B:40417440:40425939:1 gene:Dexi9B01G0039730 transcript:Dexi9B01G0039730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPLDSKASLKQALIDSITLDHSHPVRRASANVVSIIAKYAIPAGEWPELLPFLFQCSQSPQEEHREVALILLSSLTETIGATFQSHLNNLQPILLKCLQDETSSRVRIAALKAVGSFIEYVNEGGAIVKMFRDFVPSILSVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVSANQDLEINIRQQAIQIISWLAKFKSSFLKKHKLVVPILQVMCPLLTETASEDEDSDLAADRSAAEVIDTMAINLPRHVLAPVLEFASVSFHHNNPKYREAAVTSLGVISEGCCEHLKDKLEDCLKIVLEALRDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLICRLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFTPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRGRVEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILGDSFTQYLPHVVPLVFSSCNLDDGSAVDIDDADSVDNGFGGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDLRLQAVISLKHILTAVRAIPPTHADVPEKQKDVFDTVLNIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAIEPYMLRLAEVTLILLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDKIMPLVLKELASSDATNRRNAAFCVGEICKNGGAAALKYYGEILRSLHNLFSNSESDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMPVYSCVCSLLLSSHPQILPLVPDVIHVFAQVVLSPDESDEVKTNIGKAVSHLISVYGQQMQPILSALPPAHANALAAFASKR >Dexi6B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:6B:19788555:19788985:-1 gene:Dexi6B01G0012190 transcript:Dexi6B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGPTANGEASSGARPVDVAAGGEEEDTLPGVLRGFVDGVWYGPGAGGDEKTLLRRLRAASCEAAPRLRGASRNSARDLLEWTRQGSGLRAILVISCARL >DexiUA01G0024070.1:cds pep primary_assembly:Fonio_CM05836:UA:49409899:49411737:1 gene:DexiUA01G0024070 transcript:DexiUA01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLADKQSVLEMSDVNERLEYLMAMMESEIDLLQVEKRIRNRVKKQMEKSQREYYLNEQMKAIQKELGEMDDAPDENEALKRKIDAAKMPKEAKEKAEAELQKLKMMSPMSAEATVVRGYIEWMVQVPWNARSKVKKDLRQAQEILDTDHYGLERVKDRILEYLAVQSRVNKIKGPILCLVGPPGVGKTSLGQSIAKATGRKYIRMALGGVRDEAEIRGHRRTYIGSMPGKLIQKMAKVGVKNPLFLLDEIDKMSSDMRGDPASALLEVLDPEQNVAFSDHYLEVDYDLSDVMFVATSNSMNIPAPLLDRMEVIRLSGYTEDEKLNIAKRHLLPKQIERNALKANELTVEDSAIIGIIRYYTREAGVRSLEREISKLCRKAVKQLLLDKSLKHIVINGDNLHAYLGVQRFDYGRADNENRVGQVTGLAWTEVGGDLLTIETACVPGKGKLTYTGSLGEVMQESIQAALTVVRARAEKLGINPDFYEKRDIHVHVPEGATPKDGPSAGIAMCTALVSCLTGNPVRADVAMTGEITLRGQVLPIGGLKEKLLAAHRGGIKTVLIPYENKRDLEEIPDNVVTAK >Dexi8B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:8B:1147374:1152073:1 gene:Dexi8B01G0001720 transcript:Dexi8B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRVLACQKLHFYFGSGCLRCGEVSDAWRAVVKQYCGERSESSHVESVSSYEVSMSSEKVPPTPHRIPPSPSRFAPSPQVARVGSVNLSIQQILRATQNFSPSFKLGEGGFGMVYRAVLTDGTIVAVKRAKKDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDGQHGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNEGNMREILDPMLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKV >Dexi4B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:4B:6103007:6104265:-1 gene:Dexi4B01G0008480 transcript:Dexi4B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEAMPLLTPFKMGQFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLITEATSVSATAQGYPETPGIWTQEHVEAWKPIVDAVHRKGALFICQMWHVGRVSTNDFQPDGQAPISSTDKQISPDAEPGMVYSKPRRLRVDEIPGIVDDFRRAARNAIEAGFDGVEIHGANGYLLEQFMKDSANDRDDEYGGSLENRCRFAVEVVDAVVREVGAHRVGIRLSPFLDYMDCVDSNPVALADYMVRQLNKHEGFLYCHMVEPRMAIVDGRRQIQHGLLPFRKVFNGVFIAAGGYDREEGNKVVSEGYTDLVAYGRLFLANPDLPKRFEIGAPLNKYDRSTFYTQDPVVGYTDYPFLGEENNDTTPNDA >Dexi1A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:1A:24709158:24711704:-1 gene:Dexi1A01G0017360 transcript:Dexi1A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSKKAKTSATAGATPQKPWKAAPADQILTPEKLTQRVTAAAAAADQIWTPEKPEERPRARGRSVAFSVKGVRRAALELRRPEKGTQPAAEEADELESVERELGVGAGASQSPVKRKVEIKLPESYEMLCEFFNCLESSTRLLRMKGSKATFPNICASIQHLSERRFTYSHLAQLKYIMPEAIVINKILLRDDTTCCMKPDLQVNLVVGAVESLKKQKGETAYSALRRIFRQRLVDFLRDHPEGDDIPEHELPHPFNRTRLSMPQAAPRIAPEPTSPIQSSDLNGQPTAMMSHMSQSFKRRFSQRSPISSATTSATSPVAKVESNVLSPLSKNSLFSSYVSASKEARPEEDGKVLVSMSGVSEGTPAKYTSTPVRLMSSTPDLKTPKRPISTAAYGTPPLKIAKRSARAKLFPTPTKDASSMIVENQTATISAVDSDDELLSFFHNPSFSRMTFYSFQVKQKEQRAMEEKETGFADQVKRQKLIASLPSTFDVIFLIYQSRQRSVMTKQELIHKIVASSPKIVDRSEIEEQLTLLKEFVPDWISEKTARSGDVLCCVDMTLSQSDIRQRLYAAE >Dexi5A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:5A:21330819:21335082:1 gene:Dexi5A01G0017900 transcript:Dexi5A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVCVFIVLLQIAKHRPTKAIYNELLASSPLGTLRSEVTAGVAASTRGEESFGSIPALIGAGQATKDGVLGTANAPIHMVTAEAGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPEKDETSVSRKQMLANLDVCMGGRVAEELIFGDTEVTSGASSDFQQATATARAMVTKYGMSKQVGLVSYNYEDEGKSMSSETRLLIEQEVKNFLENAYNNAKTILTKHNKELHALANALLEHETLTGAQIKNILAQVNNKQPQEHAIEAPQKTPAAPASPTSPTASAAAAAAAAAAAAQQAAAKAKGVAGIGS >Dexi5A01G0036630.1:cds pep primary_assembly:Fonio_CM05836:5A:38063965:38068061:-1 gene:Dexi5A01G0036630 transcript:Dexi5A01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYQNQPLPRGVGTWPQAPPSHLPVPQIHSYPQSYHPPDISSTNNSDSAANIESAVQEAVLHAQELETQQVIQNQRHANTTSEPTKYGEDLLLNHRDPNALKEHLLKMTADHRAEMANKRGKPLHPNNGNVEIGNGYGVPGGGAYYAANLPSAQTNKPRDESDKAKCANDLPDFLKQRLRARGILKDETTMKNSTSTQNATELQVDSQESQNKAQELPAGWVEAKDPTTGAPYFYNQSTGVTQWDRPCGPVNTMQHQVSPSLPENWEEATDKSTGHKYYYNTKTHATQWEPPTAVNTVVTSHAPTNTAVEPVAQTADIWNSQMQRCSGCGGWGIGLVQPWGYCNHCTRIQNIPFQQYPSYPNNVVHSSGNNALKPQGNVAAKDRVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVATHGKKRGGMAPITKRGDGSDGLGEAD >Dexi5B01G0027600.1:cds pep primary_assembly:Fonio_CM05836:5B:29157876:29159251:1 gene:Dexi5B01G0027600 transcript:Dexi5B01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLHYHLDSTLISQRCLLCFDLCFDSHAHVPLKTRQADTAMVVLANPPAVDQIPLLRSPGPTDTFAAVPVVDLSIPGAARAIVDACERFGFFKVVNHGVDTGTMDRAESEAVEFFAQAQPVKDRAGPAYPSGGYGSKRIGLNGDMGWLEYLLLAVHNASISDSCAVPSNAAFRAALNEYIAAVRKVAVRVLEAMAEGLGIAPVDALSAMVTEQGSDQVFRVNHYPPCPALQGLGCSATGFGEHTDPQLISVLRSNGTSGLQIALRDDGAQWMSVPSDRNAFFVNVGDSLQVLTNGRFKSVKHRVVTNSLKSRVSFIYFAGPPLAQRIAPLPELLREGEESLYKEFTWGEYKKAAYKTRLGDNRLAQFEK >Dexi2B01G0028640.1:cds pep primary_assembly:Fonio_CM05836:2B:37196032:37196424:-1 gene:Dexi2B01G0028640 transcript:Dexi2B01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQAPANGAGHGDTASGGETTSVVESNGGAPADHVGSNGSNGVGGGAAPSSVAANGNGNVTQKRGERRKKEEKKKAAAISPKDKYWTPIDDEEAAAAVEDGGEDGRRPLLFRTYRVKGILLHPYRCVY >Dexi1B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:1B:377748:379230:-1 gene:Dexi1B01G0000380 transcript:Dexi1B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQDGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGPIAEEQ >Dexi3A01G0030030.1:cds pep primary_assembly:Fonio_CM05836:3A:33261315:33263236:1 gene:Dexi3A01G0030030 transcript:Dexi3A01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPYILAIIIVAIYTGMFVISKAAFNQGMNSFVFVFYRQAAASLFLLPTALVLERKNVESLSLRLLVKLFFLVLIGMEVVKLKTSSGIAKVTGVALCLAGALTIAFYTGQSLRPINHHRAFGAHPANASKAPSHAVLLKQFPNKMLITTVQCVLSMMQSFVVAAVAERDFTKWKLRFDISLLAVAYTGFVVTGVSYYLQAWCVEIKGPVFLAVWNPLCFVLTIFCSSFFLGEIVHLGR >Dexi2B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:2B:8001223:8001597:-1 gene:Dexi2B01G0007800 transcript:Dexi2B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSIPIFFAACALLLLLSFAVVVSGDGDQQTRTTCVPSLQRLLSCLDFIEHRTEEIPVRATVADKPCCLMHVMRGHVARLMGPGYDNARAMVNVTAACLADASVLVDITRNCSGS >Dexi7A01G0023970.1:cds pep primary_assembly:Fonio_CM05836:7A:31827053:31828024:-1 gene:Dexi7A01G0023970 transcript:Dexi7A01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVLAVGVFSSPAAAAASCPQLEGIVRTEVRAALQREIALAAGLLRIFFHDCFPQGCDASVLLKTSGKTEQTMGPNTTLQPRALQLIEDIRAKVHAACGATVSCTDITALATREAVVASGGPTYAVSLGQLDSLAPASATDVNALPSPFTASVAQLLRAFRGRGLADAADLVALSGAHTIGRAICGFFQDRTANPGNDAFVRKLKADCDTDPNRVQQLDVVTPDAFDNGYYRALNASQGVFTSDMALLRDPTTAPIVRQFALSKDAFFAQFAKSMAKLGSVPRKPAGNVGEIRRSCFRTNAGGESLLLQSAAAEGQAAASA >Dexi1B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:1B:26050625:26051569:-1 gene:Dexi1B01G0019840 transcript:Dexi1B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMARTLVEIDRRIPGALRAAFKICHLPPPDEIANFAAALLPPLDPACEPTDYDDPPPNGCLRMVFDSCSIKDHGEDAHFGLAEAGVVGVADGVGSYRGHPGVDASAFARALMTNAHMEVLTSMPGTAHVCPRTLLERAHQRTVAAGTPAASTAVIVSLAGGALRWAYVGDSGFAVFRDGMVVRRSRPQQHRFNCPYQLSSKRDGAVAIALAEAGEVPAKDGDVVVVATDGLFDNVTDDELERIVRMGTALGFSPTNMAEVLAGFAFEASRCSHRDTPYSVMGRRERGKAFFTGGKPDDITVVVAYIVPSSF >Dexi2A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:2A:1898961:1900467:1 gene:Dexi2A01G0002410 transcript:Dexi2A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDRRGALGAVLAKLKSLLADDFTPGLDERRRGDMEFIILELQPVLSVLEKTWDYEGFWTAEARKVPYKIKGKIDELVLGMAHGGGINLLEGIKLQVQWLVICLFQIFERMVLEIREDVIDNEEATTTAGTTVSAATGALGPVLVKLKSLLVADASWTGLEETSRGDIEFIILGLQPVHSLLESAWEREDVVEGMKDWMAEARELSFEIKAKFGELVPHGVGTINISLLEGIKLQVQGLVCRFFEFIFHEDDESEAPSERDISTQIEAGTVSAATGALGPVLAKLKSLLLVADEGTPGLEAESRRGDIEFIISKLEPVHSLLERIWEREDDLDAACKDWTVEARDMSYGIEDNIDDFVLGGAMGHGGPTFTSLIAGIKQQVQGLVDRPCWEELSQTITTSNNRSSEPAALVGARCYLHKDESSELVEMEGKKAELTKLLQDHGAVCILGFAGMGKTTLADLVYQAIRERFECHAFVLWQLFSPN >Dexi2B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:2B:10031423:10041268:1 gene:Dexi2B01G0009260 transcript:Dexi2B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPIAGLLVYLHLLPPCPAVTGLGGAPANSRLDGAPNASPSLPTLRHAAGRVHLGSQEAALLRWKSSLQSPPPALDTWRHGTSPCTGNWTSVACGVARRGNRAPLVVTEISLSNAGIDGHLAIASLQLLSYLDLSVNELHRNIPPELGNMLCLTQLGLNFNNLIGPIPEELGKLTSLENLELSGNLLSGQIPKSFANLTTLNILFLYSNNLSGPIPSSLSKLNKLMKLDLSDNPLSAGIHVLLNLTELTTLGLAMNELADSIPREIGLLLNLWSLDLSTNKLNGPIPPSLGNLTFLKYLNLYDNQLAGSIPAEIVLDVSNNKLTGRLPAELGNLALLELLNLSHNEFTGSIPPSIGSMDSLSTLDVSYNNLEGAIPTGTAGTRDVLSSIWNFDGKLAFEDIIGATENFSDKYIIGSGGYGTVYKAQLQGGRLVAVKKLHPSEEEMSEEKRFLSEIEVLTKIRHRSIVKLYGFCSHSRYKFMVYDYIDRGNLHCILENEELAKELEWQKRVAIVKDVAQAIFYLHHECNAPIIHRDIKCNNILLDGDFKAYVSDFGIARMLKPDSSNWSELAGTYGYIAPELSYTSVVTTKCDVYSFGVIVLEIMMGMYPTELLSLVSMGQHQELAMEDRVDTRPSLPTIVEKKEIDLLVEVAFACLQTSPQFRPEMQDVYQKLVMYKHHPFASPTDEFTPEEIREV >Dexi3B01G0033970.1:cds pep primary_assembly:Fonio_CM05836:3B:36759608:36765356:-1 gene:Dexi3B01G0033970 transcript:Dexi3B01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAASGLAGEMEVEAYRRLFPLAFLERHLGQSIRPDARRLSQVRETTVALGAVSTAHGSALVRLGDTVMPLASSTMIAAVKLEVMSPTAESPDEGSVAVEFHMPPICSPLVRPGRPADAAPVISKALEDVLMSSGMLNLKELCLISGKASWLAYLDIYCLNADGSLFDAALISAVAAFTHLETPLVSVGDDGRVFTVGGNEGKTKFEFVNREKRKLTLGDIPFSLTCALHKDIILADPTSEEESIIETYVTVVVDSSDRIVSIQKLGGSVASMAAIKDCISLAKERRHQLRDVLTNSVEAMEVDQTE >Dexi3A01G0028080.1:cds pep primary_assembly:Fonio_CM05836:3A:28391835:28395631:1 gene:Dexi3A01G0028080 transcript:Dexi3A01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAGDSSPSVERGFEGQPYPGFWEQVTPRSMAIAVVLATVFSLVTLRIYMTIGVVGALNMPANVLSYFSLKSLVTLLRRYGIAAAPLTRQETIFLQSSVMTCVNMAISGGLPNYIIAMNSQVAKSLSSHPDKADIIEHVPIGKYALFLFLTGLVAVTSMLPLLQAMIVDYRLPFPTGSVVAHLINSFHTPQGAYVAKLQLYSKRFSEAFPGLCSNGFMLEAKTVDFSPFRCLD >Dexi9B01G0028610.1:cds pep primary_assembly:Fonio_CM05836:9B:31226412:31231336:-1 gene:Dexi9B01G0028610 transcript:Dexi9B01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATALAPASASPPPWSPRPPPRRSPARVRLPPPRSGSSSGGGGGGGGGGSEEPTTATPWVSPDWLTSLSRAVGRGGADDSGIPVASAKLDDVQDLLGGALFLPLFKWFREEGPIYRLAAGPRDFVIVSDPAVAKHVLRGYGSRYEKGLVAEVSEFLFGSGFATAEGALWTVRRRAVVPSLHKRFLSLMVEKLTLDVIGLSLFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWKIDFLCKIIPRQIKAENAVKIIRSTVEELIMKCKEMVEAENEQIEGEEYVNEGDPSILRFLLASRDEVSSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKVLCSGILLADPAALRRAQDEVDHVLQGRLPRYEDVKELKYLMRCINESMRLYPHPPVLIRRALVDDVFPGNYKVKAGQDIMISVYNIHRSPEVWNRADEFIPDRFDLEGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIVLQKMDIQLVPDQKINMTTGATIHTTNGLYMNLSLRKVQQEAELALR >Dexi5B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:5B:2903476:2905155:1 gene:Dexi5B01G0004290 transcript:Dexi5B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTHNNNLFMFMAVLFFPLLLLIKLRKRAGNINNGVRLPPSPTQLPVIGSLHHLAGNPFVHRALADLARELDTPLMYLKLGEVPVVVATSPNAAHEIMRTHDVTFATRPWSSTMKILMADGYGLGFAPYGDHWRQLRKISLMEHAIKQHEEARATMAASGKVAEEGDLVDVLLRIQREGGINVPLTNGTIKALIFDLFGAGSKTAAITLQWAMSELIRHPEMMKKAQDEVSNILNGKPRVTEDDLGEMKYLKLVIKETLRLHPAAPLLIPREARETCKVLGYDVPKGTRVLVNAWAIGRDPKYWDDAEEFKPERFESGAVDYKGMNFEYIPFGAGRRICPGILFAQANMELVLASLLYHFDWKVEAGLEPTELDMTEQMGLTIKRKNDLRLYPIVRVPPPQFMP >Dexi2A01G0025890.1:cds pep primary_assembly:Fonio_CM05836:2A:37511640:37514752:1 gene:Dexi2A01G0025890 transcript:Dexi2A01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding SATVVDAGAKGKGILRAEAREEKGQGQDHISDLPNDVLGDIVSRLPTKDGARTQVLSSRWHHIWRSAPLNLDLRFHRIPLSWIPCVLSSHRGPARCFSTPECQWYCEELSYSAATLDGLQEFDFGYDIPYGEWGDPPPLPASALRFSCTLRVARLWLCRFPDGIGINVRLPVLEELQLSHCSISESSLHALLDGCHALQKLWLTYNDGCSRVRIASATLRSIDVGRGLGEFNLQQVIIEDSPCLERLHHDRPVALGQPKKMMDIKVISAPKLGILGDICDSFPRFEIGATVFKLAPEPISIVAVPVEKGSAARCADMTGCLPELVLRDTGRR >Dexi2A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:2A:13881:14873:-1 gene:Dexi2A01G0000030 transcript:Dexi2A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEKDLVFVAGATGRVGSRAVRELVKLGFRVRAAVRNVQRASSLSLVQGVQQLKLDGDAAAIPREIDDRIEHLSASSIPFHAAAEKLEIVECDLEKQPQDGIVKAIGNASLVVCSIGASEKEILDVTGPYRIDYMATRNLVEAATAAKVEHFILVTSLGTNKIGFPAFLLK >Dexi5A01G0025440.1:cds pep primary_assembly:Fonio_CM05836:5A:29313848:29315377:1 gene:Dexi5A01G0025440 transcript:Dexi5A01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSAIVSDLLSRALSMAIDKYYKGSNAQEAQDKVQRLERVLLRINSTIEAAEGRHITNQAMLRMLEMLRQGMYEGHYMIDTVRYRRGLEGDDVVSGGAAVALSRLNSVKHLLSFRINGNTKNTVLEAESLKKLDKMLGSLERMIGDTVEFVMFLEGYPRICRQPYDTHLILDKIMFCRQAEMETVINFLLRPEITAGNGNPGVLPIVGAARVGKSTLVEHVCLDERVRGHFSSIVLFTGDDLGAGNFSALNVVSGVIKHRDITAQSSGTGKSLAIIELSEDMEEETWRRLYTSASGSMGHGSKIIVTSRSDKIVAFGTVQALRLKVLTTEAYWYFFKALAFGSTNPDDQPELASVGMEIAMLLKGVFTAANIVSRLLRDNLNTKFWRRVLWCLRDFSNRHLRMFGEDPVDRIQKGKPVFIWMMARAQHAVMLRNIYQQDSSTQHVHGVPKLTAQDILAGHATRQGKFTAVMWRSSIPPYYTYMVSCESHTDEGSTISKKRPREDRI >Dexi4B01G0023790.1:cds pep primary_assembly:Fonio_CM05836:4B:25073317:25077305:-1 gene:Dexi4B01G0023790 transcript:Dexi4B01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRTDSIAESMPEALRQSRYQMKKCFQRYVSKGKRLLKNQQLIEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPYVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDENWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGDKPEISMKPLLDYLLSLNYRGEKLMVNDTIDTVNKLQTALLLAEVFVSGLPRYTPFPKFEQRFQEWGLEKGWGENAEKCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIKQQGLNITPKILVLTRLIPDAKGTNCNVELESVANTKHSSILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRDLDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIASPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLNASQSKDREEIEEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLTTFATNQGGPAEIIVDGVSGFHINPMNGREASNKIADFFQKCKEDPSHWNKVSTAGLQRIYEWIMTSLMGQKSSTSD >Dexi4A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:4A:2529078:2532058:1 gene:Dexi4A01G0003480 transcript:Dexi4A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKDTIDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHADVLETLSPNVRKRVEFLREIQGQHDEIEAKFFEERAALEAKYQKLYEPLYTKRYDIVNGVVEVDGVSDEPSNENAADGNDSDAKGVPDFWLTAMKTNEVLAEEIQERDEAALKYLKDIKWSRIEDPKGFKLEFFFDTNPFFKNYVLTKTYHMVDEDDPILERAIGTEIDWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFNPPEVPDDEEDIDEETADELQGQMEHDYDVGRAQAANKR >Dexi1B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:1B:22827890:22830361:-1 gene:Dexi1B01G0016320 transcript:Dexi1B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLTVLQGALTQRICVSESVLHHFLPCPLPPPLPSAAETTAHYGVTVFAGVLGSTRRLLAGGAAANSDYCEKKTRNWKHWEEKIQQNGDNDVVGISWYLWALLMIFLFLNVHGWYIYIWLSAIPFIVMYGFKSCIMGEPAYVIARLVIRYLIKKYV >Dexi3A01G0031870.1:cds pep primary_assembly:Fonio_CM05836:3A:36287401:36288701:-1 gene:Dexi3A01G0031870 transcript:Dexi3A01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYQGNEINIQGLYLHHTPLGPNANQSSVISKLVANNWTVYDGPGPNAKLVARAQGLHIDAGNWHNSFSLVFENGRYSGSTLQVMGIVVERGDWAIVGGTGEFAMATGVIYKRFHVQNSDGNIMELTIKGFCPLFNNSSQVTCTQYPVTKIGTWGGNGGSAQDITELPKRLESVTIMSGDVIDSIKFSYIDQAGKKRTAGPWGGSGGHPHTIDIGPSEIVKEMSGTFGTYHGATVITSLKLVTSSRTFGPWGVEKGTPFRVPVQSGSGIVGFFARGGKFLDAIGVHVTKAE >Dexi5A01G0039080.1:cds pep primary_assembly:Fonio_CM05836:5A:39801524:39803655:-1 gene:Dexi5A01G0039080 transcript:Dexi5A01G0039080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLFDDPAFASSVSSLEAADIFSVGGQLPSPPWPDLDLDDDDIHDLSALAANGGASSGGYGSGGSGSHRKLNHNAYERDRRKQLNELYSSLRSLLPDADHTKKLSIPTTVSRVLKYIPELQKQVDNLERRKKELTDANCKPGVLKTSKTITPIVSATCLNDMEIMVQVSLQSNVAATTLPLSKCIQVLENEGLHLISSSTYSTFENMTFYSLHLQRGQRRMKEECPAFCDELEKIIRKKAGA >Dexi3B01G0033950.1:cds pep primary_assembly:Fonio_CM05836:3B:36722940:36723791:1 gene:Dexi3B01G0033950 transcript:Dexi3B01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRNLIRVINTCSSLDFKALVLQYMPNGSLDEFLISSQLRRTMGFLKRVEIMLDVSMAMEHLHHEHYEVVLHCDLKPSNVLFDSDMTAHVADFGIAKLLLGEDSSMITSCMPGSLGYMAPEYGSFGKASRKSDVFSFGIMLLEVFTGKKPTDPMFLGDLSIRQWVHQAFPLEIAHVLDDQLLQDASSTPCDLQHLLATIFELGLICSSESPDQRMSMSDVVVMLKRIIKDYAKLASGTTHSAA >Dexi3A01G0036820.1:cds pep primary_assembly:Fonio_CM05836:3A:42332443:42336533:1 gene:Dexi3A01G0036820 transcript:Dexi3A01G0036820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGLSANNAAAAAHDDDDANTAPFPETVQVGGSPEYKVDRKLGKGGFGHVFLGRRLTAARSTASAAQEVAIKFEHTSSKGCSYGPPCEWQVYTALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSLGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDTASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQISWFIYSFHVDMFTLQVGQKRARLINDDDGHVKKKIRLGAPATQWISVYNSRSPMKQSSAIDRYHYNVADTRLAQHVEKGNDDGLLISSISSCANLWAIIMDAGTGFTAQVYELSPHFLHKEWIMEQWDKSFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATSGSRWAIVMSRSAGYSEQVVELDFLYPSEGIHRRWDNGYRITAMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >Dexi1A01G0026870.1:cds pep primary_assembly:Fonio_CM05836:1A:32750511:32755498:1 gene:Dexi1A01G0026870 transcript:Dexi1A01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAANGQSPGRLASVYSEVQTSRLHHALQLPSVLGSQFSLVDGPPSSATGNPDEIAKLFPNLFGQPSAALVPAKEAVEGKPLKVGVVLSGGQAPGGHNVICGIFDFLQHCAKGSTMYGFKGGPAGVMKCKYVELNTDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLELDGLVVIGGDDSNTNACLIAEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSSGKYYHFVRLMGRAASHITLECALQTHPNIALIGEEVAEKKQTLKNVTDYITDIVCKRAELGYNYGVILIPEGLIDFTPEIQKLIAELNEILAHDTVDEAGVWKNKLEPESKKLFEFLPPSIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKSEGKYKGTFIGQFHFFGYEGRCGLPTNFDASYCYALGYGAGALLQCGKTGLISSVGNLAAPVAEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDAAPFKKFASLRDEWAIKNRYISPGPIQFIGSGADAVNHTLLLELGVQA >Dexi2A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:2A:12678370:12681034:1 gene:Dexi2A01G0011070 transcript:Dexi2A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRW >Dexi5B01G0036050.1:cds pep primary_assembly:Fonio_CM05836:5B:35907310:35910574:-1 gene:Dexi5B01G0036050 transcript:Dexi5B01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHATGTGGGGGLGRRKAGAAAAAASREWMVVPASGPARVEEAGKYAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFTRFVHDLQTRVLASSSDQAADLTDMEGDSSAVASPFPVPNSSKGHELEMTNKSTVVPEMTSNSSMPNLAAAKDGNTKTSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMDEDYRSEPDGSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNSDTEEETRAGNVRFWETTFGTISGCVILYIIAMGWGKRSGLLQ >Dexi5A01G0037800.1:cds pep primary_assembly:Fonio_CM05836:5A:39002834:39003289:1 gene:Dexi5A01G0037800 transcript:Dexi5A01G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCISARKRNGEGGGGAAAGDCCWDWEWNRSNQGNVLQNTDAKKVEWIAIQIRGLFGNTLGGGGAADEPAPPATSVRFAPYRRPPCVPREPPSRSARLRVDNAAAGKGWILAIRRKGSSKS >Dexi5B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:5B:12180680:12182996:1 gene:Dexi5B01G0015160 transcript:Dexi5B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMTPELMYGQNVFVPATANPYQYGYAEVASPMEWYNHPSSLGYDGQDVIYPTDGMQCVYYAALDNGSMHSAYSSYPIDPSFVPDGSFMPQEYVSDPANSTCQIASTPYYVPAVLPYGQESLPGSATAPLISNVAFLPGMPSYAATSAHAAFPLVAPVTTKSDIAVNQPVQSTIVSSKQFQNHAKSQKIQLHNPVAQKQELSDRSMVPIKLPHASQVGKASHCQASAHLIERPVSATKPSPMEKLSGNNCFGYASSDLQKWAAAEKFQPSSKSSAHLNGPGQKVHLSNEHRLGDSEKPSNLRNSAIVVKSYTSRLPVGNPDGTILIRTDEYNKDDLRVDYTYAKFFVIKSIGEADVHKSIKYGVWSSSSNGNSKLDSAFRDGDRISRRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWSQDKWTGCFPVRWHIVKDIPNYSLQHITLQNNENKPVTHSRDTQEVGCHVYIFALRIVRFHGVPEPLFLFNFHHVTCCNGFALL >Dexi4B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:4B:2996521:2997732:1 gene:Dexi4B01G0004160 transcript:Dexi4B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRDRDPLVVGRVVGDVLDPFVRTTNIRVSYGARTVSNGCELKPSMVTNQPRVEVGGVDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAAFGQEVMCYENPRPTMGIHRFVFVLFQQMGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYPN >Dexi2A01G0035760.1:cds pep primary_assembly:Fonio_CM05836:2A:45305790:45308657:-1 gene:Dexi2A01G0035760 transcript:Dexi2A01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRSAAARLRPGLAAARGERRPLGTAAAEAVTADTGAVAAGVLARWELMGARDYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVEYSENEILMRYRRAYAQPWGRSRLSINVSEYLQALKCDHWFDAVAISAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVEV >Dexi6A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:6A:3411714:3412799:1 gene:Dexi6A01G0003650 transcript:Dexi6A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPYPHGVQNWSSLAHPVGRRSLHACWPAGGSSLGWCGSVSRMSSSSPARRRRLATEVQLAPSAALTRDRGLARPAGGAGLRWSSCSPYAGVLAQPELQLSPLAALAGDRAPAHSFEDDAAIGPPPRGVVGTAAAEALSQTTLSEAVATGPGMWRSVVITTDAATTPIKK >Dexi1A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:1A:18960011:18962308:-1 gene:Dexi1A01G0013670 transcript:Dexi1A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRVAEGSGPWLQSTNGFLGRAVWEFVPDAGTPEERAEVEKMRREFTENRFNRRESSDLLMRMQCAKQNGDPRYLPRIKLQEDEQVTEEIVLNSLRQALDQFSSLQASDGHWPGDFSGVMFIMPGLVSLGF >Dexi4A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:4A:24128941:24137358:1 gene:Dexi4A01G0020480 transcript:Dexi4A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGLLPAMVPATGRRTSSSWRLRKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLMPLLKYIILVLGADDNGEGGTFALYSLMCRHSRMGLLNNIHERSLSAYNLKEPREELKSSLAIKGFFEKHYLLRVMLLLFVLMGTSMVIGDGVFTPTMSVLSAVSGLRIKFPELHESAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPVGFRDTEMIGNAYGLAVILVMFATTCLMFLVITTVWNRSVLLAGLFTVGFGSIELMYLSACLAKVPHGGWLPLLLSLITLMAMSTWHYGTKKKEEYELQNKVCLDRFLSLSSGIGLVRVPGVGFVYSSTINGVPPMFAHFVTNFPAFHRVLIFVSIQTMAVPKVSPEERFLVGRVGPPANRLFRCVARYGYKEGRWDHFNFENQLLMKVVEFLQLQHAEGIVAGDESGEAASDSGELSVIPASPPRRAHQLFDAETAPTSTSYSTSSSCEIDTGVMSRRVRFEEPWSGEDAVAAMAAAESGEVKTLMEERESGVSYMIGQTCVQARESSPAVKRFTVDVVYGFLRRNSRRPAVELGIPNSSLIEVGMTYRV >Dexi5A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:5A:11976210:11978998:-1 gene:Dexi5A01G0014790 transcript:Dexi5A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCSVVDDDVGKRKKNDDAYVPLPAQVSNHGPSRPPAPTYVTPAGRAQPIAVPAIPLEDMREITKNFSNDALIGEGSYARVYFGVLKDGTKSAVKKLDSSKQPDQDFLLQVSAVSRLKHENVLQLVGFCAEGNIRVLAYEYATRGSLHDILHGKKGVKGSQPGPAMSWMQRVRIAVSAARGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKIGDFDVSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWVDMAAVAALCVQYEGDFRPNMSIVVKALSPLLNTRTGNRPAGSSASAAALEHSAL >Dexi1B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:1B:25243839:25246395:1 gene:Dexi1B01G0019100 transcript:Dexi1B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGERGQLLPVSADDGKGNGGGGAGDDAALFKGSAMTRRGAIAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGR >Dexi9B01G0041910.1:cds pep primary_assembly:Fonio_CM05836:9B:42276869:42278738:-1 gene:Dexi9B01G0041910 transcript:Dexi9B01G0041910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPTATFLHILASSSSSSSLVAAPGILTGQRAAARAHPLRARPPRRVTVVCSGAAAAAEASDTAAPTEKERKLPLKLNIKAGAFSASAKEKLEAAGCNLTLLPKRKKWLSQNYLKNQARAEEYFAKKKGGA >Dexi3B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:3B:2010356:2013221:-1 gene:Dexi3B01G0003080 transcript:Dexi3B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVRPSVVGLDPASPPPLEGSGGENGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDEPKPETAASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDVGEGKDLDLPECPRAKLRKQCIKYLGPQEREHYEYIINEGKIIHKQSGEPLDTSQDPKGTKWIFVMSTAKRLYAGKSIWAYSGHYKPSAENLNNFMNFLKENGVDLKEVEVTALIFHKLKYSFFTISD >Dexi9B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:9B:7810648:7810873:-1 gene:Dexi9B01G0011880 transcript:Dexi9B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRPWLTLEGPRTGLPRAWSSSKGDADAAATPTSLLRRAAPASGCAAAANGGGGGGSLRPCCAAEGGARRL >Dexi8A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:8A:9697463:9700619:1 gene:Dexi8A01G0008260 transcript:Dexi8A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFMAEAVLLAVNKIGSIILGDDPATFIITKLSEKVQSLKELPRKVEQIRLKLTFMSKVIKKIGTVYLTDELVKSWIAEVRKVAYRVEDVVDKYNYHLLQLEEEGFLKKYFVKGTHYAIVFSEIADELTEIEKEIQQVMQMKDQWLQQSQLVPDQEQLTEIERQRSQDSFPEFVKDDDLVGIEENRKLLTGWLYSEEQDSTVITVSGMGGLGKSTLVTNVYEREKVNFPVHAWIVVSQIYTAESLAFHNKKDHNCPGELQEIANKIVESLFPEDHPMSRETLVRLWVAEGFVLKKEKNTPEEVAEGNLMELIHRNMLDVVDYDELGRVSTCRMHDIMRDLALSVAKEEKFDSAAEYGELIQVDKNVRRLSLCGWNVNTAPKVKFQFLRTLAAHGIISPSPDIVSSILSQSTYLTVLELQDSEITEVPAFIGNLFNLRYIGLRRTRVNSLPESIEKLLNLQTLDIKQTQIEKLPRGIVKVKKLRHLLADRFADEKQSEFRYFIGVETPKGLWNLEELQTLETVQASKDLAEQLKRLMQLRSIWIDNVSSDDCASLFATLSTMPLLSSLLISAKDMNETLCLQALDPIFPKLHRLIVRGRWADGTLEYPIFCNHGKHLKYLALSWCQLGEDPLGVLAPYVPNLTYLSLNRVKSASTLVLSAGCFPRLKTLVLKKMPNVKQMEIGDGSLPCIEGLYIVSLERLDKVPHGIESLLSLKKLWLLYLHRVFKTEWQTNEMHQKMQHVPEIRI >Dexi1B01G0028520.1:cds pep primary_assembly:Fonio_CM05836:1B:33028335:33031847:-1 gene:Dexi1B01G0028520 transcript:Dexi1B01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSGEWIWAPNSGVCCMHTVRGGCSGGRRTPGRLDPLLRRAPAPLGAGRRREGGSPGKVSGRSKEWALHRQLASMLMVQKLKPAVTDVNDLINNVDYIAFLRRSDALAA >Dexi6A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:6A:24259723:24262402:-1 gene:Dexi6A01G0016390 transcript:Dexi6A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPVPACYDDAWAALLWTTAIPAPDSDPWIRDHGDVSRVFVLGFSSGGNIAHNLTLRHGDLSPRRLGGGDGADSPLLPLAAGEGRGGGARGRVGAWQAGGDVGVRVRRAHHDGARRPRVNPVAERAPSLRRLGCGRVLVCLAEDTLVAEGKAYYDALVASGWPAGEAELLDSRPADHEFHLREPESAKAVLLMDRLLPTAISASTSHHSTTLLCCVPNHRAQISLRRAMAAIPVAPPPAAADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDEATGVASKDVVISPNVSARLYLPRLDDGGAKLPILVYYHGGGFCLGSAFNPTFHAYFNTFTALANVLVVSVEYRLAPEHPVPAAYADGWEALAWVVSHLADPSSAAAAASAAGDRDPWIAGHADFARLYLGGESAGSNIAHHMAMRVAAEGLAHGAQIRGLVMIHPYFLGTDKVSSDDINAEARESLGSLWRVMCPTTTGDDDPLINPLVDGAPSLASLACGRVLVCIGEGDVLRDRGRAYYDRLKASGWQGEAEIWQAPDKGHTFHLLEPSCDEAIAQDKVISDFLNR >Dexi6B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:6B:22153478:22154194:-1 gene:Dexi6B01G0014630 transcript:Dexi6B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLGRVVSDLSHSNSRGATGRGPHHQSNTDAGGGNVGKQDKQKLAFLFSGVVTWTRSESSAEAGSSSSSSSRAKNRKHQQQQTTGGGGGGSTSGPSRRRGLDIVLLVRKYVSMVEELFFSYSGGSRSTSSRDGDGRRRRPHTFTYARGGGGGGGGAASSRRHKGRLSSAPASLRGSPANSGHLSVGESVTKTPSEVSTMEELQSAIQAAIAHCKSSAAAAAASQQGAGDDEQRRNC >Dexi2B01G0031140.1:cds pep primary_assembly:Fonio_CM05836:2B:39321708:39325339:-1 gene:Dexi2B01G0031140 transcript:Dexi2B01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADPSRQVRVRFVTKLPPPLRAPPVAIAVPADLSRMGLSEIVNSLLAAAEPDHKAQPFDFLVDGELVRLPLQQFLLAKGISAERVLELEYVKAVAPKKQDEHCPHDDWVSAVDGSNPSFILTGCYDGLARLWKDAAECTHILEGHSDAITSTRFISKGVETDGSLHIVTGSKDRSLRLYKCDTPVSMDYPKRIGAYKILRGHTSSVQSVTVDPSRDMLCSGSWDSTIKLWAVEGSEEDGDAVSLKKRRMNSDSSGPEESQLEGSATSTLLGHTQCVTAVTWPEQQTIYSASWDHSIRQWDVQSVKETWNVFCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPGTLAPVFQFSSHSSWITACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVFLCAVFYFPHFRGGEKIEVGYLWLLQVLCADWWKGDSVISGGADSKLCIASGIEIV >DexiUA01G0011010.1:cds pep primary_assembly:Fonio_CM05836:UA:22007669:22008941:-1 gene:DexiUA01G0011010 transcript:DexiUA01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSQMALLAIVTLGALLFDTTAAAATASSHRPHPLDPLSAAEITAVRAAVLASPLVPSRPLRFHYVGLDEPDKPDVLAYAYGAASSPPPLPRRALVIARAGGESHELVVDVTDASSPSVLSHSVHRGAGFPALTLEEQFAALALAPAYPPFADAVRRRGVRMDDVVCSALPVGWFGGAAPRRRVAKLQCFVAGDTANYYARPIEGVTMVVDLERMAIVGFRDRVAYPVPKAEGTDYRAGKAGPPLPGQPAPGVVVQPEGRGFHIDGHVVRWANWEFHVGFDIRAGTVISLASVDDADAGLRRRVLCRGFVSEIFVPYMDPTEEWYYRTFLDAGETHLGLWAYPLQPGSDCPANAAYLDGYYADQDGNPVKGGKMI >Dexi7A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:7A:24509529:24514366:1 gene:Dexi7A01G0014520 transcript:Dexi7A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGRTEAIMREIASLRAQRDELDGRIRFFEAQLRLRVGGAASQTSKPPPNLSTKLGAMGAHDANAGGGGLSVDMVRRYSRHLLLPDFGVQGSLGIADGENVELKNLHGQMTHIEAYIGQPKAKSAAATCRAINSSVKVLDHNLKLKAKSVLNVVRQYDIVVDATNSLASRYMLSDCCALLNKPLISGSTIGLEGQLTVYNHNGSPCYRCLFPNPTSCQSSSDKGILGVVPGVIGCLQALEVIKIATHVGEPLCGRMLNFDALTSRFKTVNKIHESSLSTCAVCGDNSNLTEDTFMMFDYERFTRASNSRKPLPSLNRLPRTSGVTCREYKRVLDSGRPHLLLDVRPVHHFQIASIANSVNIPLHELKRLPRLKDALSEVVDVSHGKHRPVYFISQCDDDSQVAVHILRENGFPYASDVIGGLESWAQEVDPDFPMYW >Dexi9A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:9A:3417274:3428573:-1 gene:Dexi9A01G0006070 transcript:Dexi9A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACKKSGLSFVDMLSPFSLFKKIDGDAPLNVPVRTASEVPYRLQMFKIRMVYASDVRKEDYEVADEKIKPVVSEADEKALPDLLSGPPQLEDVLGKPEAELCPLWIKKFNRELMRTLSFSEHETFDHPVACLLVVSSMDKEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQEGPQDIAVNVLAEMRSTLGSNDCKLLCINSSTEADGSNADNSWLPYKALGLNNHEGTCFLSMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAPNGPMQVYTFTSIESQIRVLGDFAFMLRDYELALSNYRLLATDYKLDKAWKRFAGVQEMSGLCYSMLDQSRKDAEYCMENAFSTYLRIGSSGQRSATRCGLWWAEMLKTRGQYREASSVYFRISNEEPSLHSAVLLEQAASCYLLSSPPMLRKYGFHLILAGNSYYMSDQKKHAVRTYRNALFVYKQNPWSYINDHVHFNVGRWYGVLGILDVAIKHLLEVIACSHQSLSTQNMFLNDFFHYVQSMGKKFDVHKLQLPVFNMSSLRVVHEDHRTYASDADVDVSESTWQELEEELIPSSSVVRTNWLDTQPKSSLRKFKDSSVCVAGEAVKVNVELKNPLQIPVDVSGISLICQLSTNLDALSSDVSGLTLDGGKDQVNIEPSVSIFETNADNFIVSQLDIILRGGESKRGLPKLSGSIEHMPTKAFAGDLQLLKLNLRNHSEFAVKDIEIQAGATLSWPIWFHAATPGNVSLYISLYYEMENSSDIKYRTLRMHYSVEVLPSLDISFAISMSSSRLQEYIVRMDVVNKTPSESFVLHQLSCVGSKWAISALTSCSSISSVETVSANQAVSCFFKIKDFEADSCKEAGSGSYRSDIALHPGTSGDVFDVARAPLADFHFQERYRQGKLAKGPCSLLDFVLISKAAGNSSKPSPDLQLLSHHTCHCRQCS >Dexi9B01G0032370.1:cds pep primary_assembly:Fonio_CM05836:9B:34613075:34615885:-1 gene:Dexi9B01G0032370 transcript:Dexi9B01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTLSVEDVLRVNGSRRFAAAMAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVPKSVEWCKEEQSAALSTATDSTAQELAEWNAKYREKFGFVFMICASGRTTPEVLAELKRRYVNRPVVELEAAAQEELKITELRLAKLFSSEPTVPSTTAKGPNIQSDKAAAHLGALPKPCANKAPEITGSSNRSRPPITTHVLDVARGSPASGIEVHLEMWKDVSTPPSFSNKDFNGWVTLGSSVTNNDGRSGQLMDIVDNVAPGFYRISFNTSKYAPAGFFPYVSIVFEIKENQTTEHFHVPLLHSPFSFTTYRGS >Dexi8A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:8A:7628815:7633609:1 gene:Dexi8A01G0007060 transcript:Dexi8A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAVVCPRPGLAAAVAGPGGRRRAPARKMGLAVGPRARSVRIAAAASSSPSTGGVAPVPPRSSRSVIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGSDKVLTQVVEQLNKLVNVIKVIDLSNVPQVERELMLIKINAEREKLPEIMVLARIFKAEVVDLSDDTLTMEVTGDPGKMAAIQKTLSKYGIIEIARTGKIALRRERMGETAPFWGFSAASYPDLEVTMPSNSRLSTGMDAMSQNPSESSGGDVYPVESYESFSANQILDAHWGIMTDGDPTGFCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGNDESIAKLIHQLYKLIDVLEVNIKFAVSMTVIEVKDFTHLPFAARELMIIKVAANAAARRDVLDIAQIFEAKKVDISDHTITLQLTGDIDRMVRLQKMVEQYGICEVARTGRVALLRESGVDSKYLRGFSLPL >Dexi1B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:1B:437308:443756:1 gene:Dexi1B01G0000480 transcript:Dexi1B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSPDDQTDADFFDNLVGDDDAPAPASDGSSALPRGVSDISLADDDPPAPPPATEAAAPPEGGSPGSAKAGAGVHTTVKQVQWASFGGGPDDGADPFADLAGGAGDDGFFGSQQTLDTSVGTSDHRFFGGNQSLDAQATDQDFFGGTSVSSDQNAGGQLQRTGSAEVDSTDPRYLETMYPGWKYDEATQQWYQVDTSNATGNAAQALDSSSQNLQQLGGSSYMQSSAHAGLETIAEEGSAAADASSWGQEGASEYPPNMLFYAEYPGWYFDTNTQQWHSLESYQQAVMQAATTSAVQAVANDSAVATSAGTGYNAKQAEDLAVHNQVTQHNSFTNSFAPQSQRQTTGAFGNTMQSESATDNSLMTSSFYGFDQHANADNTNSSTSQQVGFNTAETATDHYGAHKGFEPSSLQSGYSSSDSQQSSYKAFEPSTAYQPGYKAFEPAMGHQTNHKVFEPSMGNQSGYKAFEPSMSNQSGYRAFEPSMGHHGAFMPSTGHQTGYKGSEASTTVHQASNKEFETSTGHQPKYMGFDTSANHHGYGDVNGAMNTRGFVPMQSTYHVQNQANTNPQGHLSNNYLGTENSMNFNQQQFLGANASSLQFGHSPHEGRSSAGRPPHALIAFGFGGKLIVMKETSSMTTSFNSGTQGNSSGTVSVLNLSEVVLDKVDPSSITNGSALDYFNALCRQPVPGPLVGGSAASKDVNKWLDEMISLYEPSSTEFQRGDTRKLLISLLKILCQHYGKLRAPFGSDPSQEERDGPEIAVTKLFSSCKRSSVHMGNFATVQCMRNIPSEVQMQAVAQEVQNLLVSGRRKEALQCAQGGQLWGPAIILALQLGDQFYVDTVKKMAHSHFVSGSPLRTLCLLIAGQPADVFNVENNVNSDYGTSHQPMEPVPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKIERTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKISDSLRYCQASMKVLKASGRAPELEAWKQLFSSLEDRIRTHQQGGYATNLAPGKLVGKIFTSLDKSLSRMMGTPSAPLPPLPHGSESHSAPGAAKFVNSQSLMAMSSLMPSASMQSMTEIADNSGGAGRKIAHNRSVSEPDFGRTSKQGTGSDGTQSSASGSGSSRFGWLGSTLQKTMGLVSKSHRQAKLGDQNKFYYDANLKRWVEEGAAIPAEEPPLPPPPTKTMFQNGMPDHKLNGPMSGNHAPNGVTEWKPSNSSEQGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKSGAPGAVPSYNKPAAPSVTPPAGAKFFMPTAAAAVDQPHQAVAQIHSETIHQDERSASPPAETSFSSPPPSTQFPAPVASTIYRQSSMDNIASPYQGSGLSSVSSNSSFSRSRAASWSGTYPEQFSALGATRSPEGQNMPSPLVPGKPSHSRSNSNSSLQLNGLAEDLHEVEL >Dexi7A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:7A:20398487:20398970:-1 gene:Dexi7A01G0009360 transcript:Dexi7A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCERSRARAMTLAARADGVISMAITGDAKDKLEVVGDGVDPVRLVSSLRRKVGPAEILQVEEVKEKKPEEKKKPEEKKPENPKPPQPMVVYPPPPQSCPGGYYYYPHHPPPRMVVCEEPSTCPIM >Dexi2A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:2A:2639990:2640412:1 gene:Dexi2A01G0003080 transcript:Dexi2A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMEVAESGTTTTSAAVAASPAAGEEASSAAMAVYERVARMASGNAVVVFSSSGCCMCHVVKRLLLGLGVGPTVYELDHLSPGGRDIQAALSQLLPPGHPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >Dexi9A01G0037510.1:cds pep primary_assembly:Fonio_CM05836:9A:41779014:41781680:-1 gene:Dexi9A01G0037510 transcript:Dexi9A01G0037510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFTSYRPPVPLDIFCCSVPALSRQSELHLTDGLSYNYNCQAIPPAALKTIIKRLRLAPESIIDDDVDSGQLTGLIFVSEREHNLETLHVALRFAANDEVKVFSLADIYGSDLFGGARLEDNGCIAGGYQVDGSTVDHYLVYVSTKEPVQERRSPWNVVYKTNLRTGETERLTPLGTFDLSPSVSPSGKKVAVASFQGKRWDGEIKDLKTNIYVMSLENPSLERKRVIENGGWPSWGSENIIFFHRKVRNIWGVFQYNLSTGETIRVTPEAFDAVTPAAIDETRVAVATIRQKSEFTDVRIETQYRHIEIFDMNAPEQPLQITQNTRAKADHFNPFVMHDGKYIGSLRRKAHNLGLCTKLQHGDNVPRHFHKVHSPHEDVGVFRVSGVFPTFSKDSSKLAFVDNEFKAVWLADSKGLRVVFEVGGGEVTRLTEGNWIDTHCQWSPDGNLIVFSSNRDRPAGAPERDHGLDPGYFAVYLMNVSDRSVVRVITSGYDLSGHVNHPVFSPDGRSLAVTADLAAVSADPMSLPTFLHSVRPYGDIFSVDIDPDDMEKNKDVERFVRITHSRYENSTPAWTVFSTHDPHAQWNLMVMEDEYTPACPYAHPDGGESWHMTGQICIPKRHC >Dexi1A01G0023420.1:cds pep primary_assembly:Fonio_CM05836:1A:30092316:30093240:-1 gene:Dexi1A01G0023420 transcript:Dexi1A01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYYDSDDDVVLVRQDSPDGHGFRARSARGKETTSRYGTWEQSELERHMFSWSIQDVLNKNLLKKKVKKIPKTFGSLRDYMESFTMPLIEETRADLCSALEGMKHAPATEVVRMEQLPGDQAIFSIVVRKADPNSPQRDQVYAPRDADILVLTDRKPKHSSDLGRNGYLIGSVLKAEGGDGTIVRLSRRPAQGLPLFAVFLINMTTVQEH >DexiUA01G0019820.1:cds pep primary_assembly:Fonio_CM05836:UA:41139261:41140303:1 gene:DexiUA01G0019820 transcript:DexiUA01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVFIHGFTSSSSFWAETVFREGSSLLHSSTRLLAVDLLGFGQSPKPGNCMYTLKDHVEAIERTLLIDPPPHNNLMSSFHLVGHSMGCIIALALAAKHPTRVKSITLVAPPYFLACEEKAASQVALHRLAEKKLWPPLLFGSAVMSWYEHIGRTVCLVLCKNHLLWEWLLRLLTRKRDVDFRVRDLTRHTHHSAWHTMHNVIYGGAALQDANLEAVQAAAIPVMLIHGVDDHVVPVDCSRHHLKAKLPRAHLRLVPGCDHTTVVSGRERGFAHELTAFWSSAGCSLPISISQSIVRVP >Dexi4B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:4B:6531810:6532426:-1 gene:Dexi4B01G0009080 transcript:Dexi4B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKSGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi7B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:7B:15839715:15844232:-1 gene:Dexi7B01G0008040 transcript:Dexi7B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLAASCSPSPRLPVLSAARFRLLPGAAPAVAFTGTGARRGVRRPRLFVAVAAAAPRXXXXXXXXSSGMESLVLAASCSPSPRLPVLSAARFRLLPGAAPAVAFTGTGARRGVRRPRLFVAVAAAAPRGSRNVFEGSRAKGFASVSSSTGNENMSTGTGTLPPVPPPSSSSYFGSPVFWIGVGVALSVAFTTVSSMVKRYAMEQAFKSMMSQSPSGSFGSNSPFPFSMPPQAAPTAPSSFPYFETKKDTSPQAATVDVLATEVEATGASKEEDVAETPKPAKKFAFVDVSPEELQQNNLQSSLKTVDVKPDSTVSESSKEDTEQKVPTNGAAFKPNEDAARGPTESSNSGPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGSSPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPDVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFVKISQIFPQING >Dexi1A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:1A:24151273:24151834:1 gene:Dexi1A01G0016880 transcript:Dexi1A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEDLVVHFLRRKVANLPCRPDIIPTVLLPHYNPWELNGTALQAGNQWYFFSHAAQSKNSRNGYWNPIGADETVTSSGCIVGLKKTFIFCTGEPSKGLKTNWIMHEYHLQDGGYNVSGSSTSDSSSSSRKSQRKRVHSSTVSDIAYPAL >Dexi2B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:2B:4647968:4649495:-1 gene:Dexi2B01G0004940 transcript:Dexi2B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPPFGLVPVLGSDAGPRELCRNGPLLGLIRAADPPRQCAAVSPHQLTGERRRGALAAIPIVSGGDSRMEDGLDAERRGAAAATAEDGLPDDALVEILARVPSRSIHRFKCVSKRWRDLIADPLHRKRLPQTLEGFFFSDEAGGDADAGFCGCFVSLPGRSAPLVDPSFSFLTKLPGIENIKFLGYCNGLFLFQHGQNSGVGGPDYAVCNPATKQWVAVPGAGCALDPPSKVDTYLAFDPAVSPHFRLVHISQKDFLGEVEVCVYSSETRVWSDMASQQSRWRDEGGWKRWVNGGAILNPMWGSTFVNGMLHLVVYHVPDEYLIAAVDMEGKTCRIITLPDRNSFLSFFGQSQGHLHCVGSIVELERGCVKWAGLSIWVLEDYDTGEWILKHKVSFLELFGQMNCMDRFNSTVLTIHPDRNLIFILQNSNKQLLSYDMDSKELHASHTLGHNFEDFAPYVPNFLESLVLTNGH >Dexi3B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:3B:10459860:10461795:-1 gene:Dexi3B01G0014490 transcript:Dexi3B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPDIYPLTGLQIGDIQSYISRAFLYFAPLSKKVFILVDNQPWLTTKQSRSARIWQFMVTKYRMSPFVNSRAPPHKEKERPSLAAAVAAAAAASAKAGGGAGSRRETMRRWFAVADLSRALMHGFLVFEVSWRDVHGINYLNELLTDTSLALEVRYMKKWEFYSAEQAAGCAHLWFLGRASESRALRGYLAALHARPADPTEHLEECGVALRRTCSSSSLTAVSEDDDDDPPPPDQHHHGGGSGSARARGYSSEVEYCSSPSPASARARRAARAGGESPFVAPAQYSDTLILFRFRDSLLPLKLRQIVMSDIRLLTLLESGLPSWVIFFQSYPLLCHLYRPWMRPLARSLYLLASLATVLIGFYDLYKNVPLLKSAAARICGPLFGWIERWDMVTRIQYLGTILFLRNLRRCLQSLVTLLRTARAVLRAVAAPLAEAAGPMLAAGAQLGSLVAEGLAPAWALAVDLAEVVWAPFDLVLDSVVGCLWPLMKVAVLPARFAAKLAGCAGTLMSATYNFSKDIWETMSSIFELNHMSEAQQSGFDMSQIKTLWNDLFSQQLFLYTM >Dexi9B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:9B:2092520:2093488:1 gene:Dexi9B01G0003650 transcript:Dexi9B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTPSSPLSRATPPLSPTAGGTPSRLAVAPASPSTPQCAIPASPHTPGRGRAGASTPAPATPRTPRPEITLRQPSSQASSSQKRPPASVRKPSSRALRAIRALFRSLPIVAPAACRPASALPRRHTKPHDGHTGSGGARVTGTFYGHRRARVTLAVQERPGSLPSLVLELGMPTGKLMNELSSGGHIRIALECEKKSKKSPPPDVNGNGGGGGNVSLLEEAMWTAYVNGRRVGYAVRREATEGDLAVMQLLSTVSVGAGVLPGDVMDAPAAGGEADGEVAYMRAGFDRVIGSKDSESLYMVNPEGGAGGGTELSIFLVRV >Dexi2A01G0034370.1:cds pep primary_assembly:Fonio_CM05836:2A:44345093:44348301:1 gene:Dexi2A01G0034370 transcript:Dexi2A01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCVVAVILAFLVGASAVHGSEPWWNETQVYSTNANSGGSNGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVSSCVFRKGSRRGSSNHMERQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGSNAAAGLYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFPSNTRVKCLADAGMFLDTVDVSGRREMRSFFNGIVRLQGSGRNLPRSCTSRMDKTSNVVPTIQTPTFILNTAYDVWQLQQSVAPKAADPQGLWSKCRTSYVSCNGNQLQFLQGFRNQMLDSVRGFSASRQNGVFINSCFAHCQSEKRDTWYASNSPRLGNKRIAEAVGDWFFERGDAKYTDCAYPCDKTCHHIVFRGDH >Dexi6A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:6A:8567105:8569670:1 gene:Dexi6A01G0008300 transcript:Dexi6A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCGAWSSHAGPRQRGAFFGDERGGIRASGGLVCGHALDEKTLSTTLYLQQTFDQDQRTLATDTVIINWVLKDGPDAGANTIGHAEGLTTHANLAKNWWVTIMDMVFDGGRYEFLH >Dexi2A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:2A:27762835:27764061:-1 gene:Dexi2A01G0016180 transcript:Dexi2A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGGGAAAAATGRPALRVGRTREYRTGMDTELLAIDAGEHAAAVSLFVLCGDRFEAAQLFRSGALSLHMLRVEGHPVSMASCTVGDHQWMLARDALVARVDARAFVFELPGFFYAVVVPADAAGGADRKCATMAEIFSRFCAYHDLTKAEGDDDEAGEVNQNPWARAHTRIQRLKRHTSPAAGHVTAADAQPDDRARQMERAVRTSAVVKLLTRSLLAGVLQPARHLTITLANAGGTSARASSAAAALPSKAVVSDLLDAIETNRAAPHRRGEARRGGGLGWWSLNVEGIMLLLRVVQAVRGRKHLAAAGAPAVGEKRPRDEGPGSREGMRGGVLGGGGAFGGGAAARRWCGGRPRKLGNTVGACGSS >Dexi8B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:8B:19407752:19410276:1 gene:Dexi8B01G0010840 transcript:Dexi8B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPTREESVYMAKLAEQAERYEEMVEFMERVARSAGGAGGGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEAHAASIRAYRSKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAAAPKESGEGQ >Dexi3A01G0028620.1:cds pep primary_assembly:Fonio_CM05836:3A:29475103:29476533:1 gene:Dexi3A01G0028620 transcript:Dexi3A01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHEERHHFLLVVYGLQSHINPGRVLAHRLTRLGVDGSVLVTLAVPVATYRSMFPSKQDGVGDVSMTTNGVVSYVPYSDGVDDGSMPMNADDRAHRRRASSKSLSAIIARLADRGQPATCIMCTMAFLPVIDVAREHNIPVTIYWIQPATLLAMNYHYFHGYSKLITLHANDPAHKVSLPWLGRPLQISNFPSYLIDMSGSEMTKNINESSQELFEYMDQWRPKVLVNTFDELEANVLMKMKQHLDVFTIGPMVRSSMETQIHLFNHDSIAKKRYMEWLQAHPDKSVVYVSFGSMSKYTKHQMDEIVGGLTRCGRPYILVLRRDGLEDDESHNLENMQSQGMVVDWCDQLEVLSHFAVGCFVSHCGWNSTVEALVAGVPIIGVPNVFDQSTNIYLIEEEWKVGVKAERNSDGVLTGTELARCIELIMGDGERAKVIRERAKALKEIAKQAANTGGSADRNLRDFLRTIQVHDTFA >Dexi9A01G0023710.1:cds pep primary_assembly:Fonio_CM05836:9A:19134163:19139748:-1 gene:Dexi9A01G0023710 transcript:Dexi9A01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGSRGGGGCGSLDAQIEQLMECRPLPETEVRTLCEKAKEILMEESNVQLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >Dexi4A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:4A:16522152:16523590:-1 gene:Dexi4A01G0014420 transcript:Dexi4A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGPLSVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGSARRIGLRKTLVFYIGRAPHGKKTDWIMHEYRLDEENVEIQEDGWVVCRVFKKKNYQRGFNPAEMAALDDDELQPFPAVPVPGSLPTDHKHNPHLMQYEFPSFDPTMQLPQLMNADQQPVQTLLSSQPGVPIAMSSLDVECSQNLMKLTSNGSDGMLNGGGGVVGGVDRFTGTTDWSILDKLLASHQNLDQLFQGKVSTASAPPMAPYHQQLMELGGSSSLQRLPLQYLGGEAADLLRFPK >Dexi9A01G0025620.1:cds pep primary_assembly:Fonio_CM05836:9A:26291729:26293032:-1 gene:Dexi9A01G0025620 transcript:Dexi9A01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGREEKRREEVAAAGNGREEWRQRQQEREWGLTCDMETFVKHCSVQVQPNSIPLNHVQLCKLSLTHVGFAERLMWVQVLDLSHNSLRSIEGLEALQQLVSLNISNNHISSFTALEPLTKIMFLKVLDLSSNEIGAHSMDTTRYICSSPFSHKIEAHEAFEECQKKNINVEEYWDAILFFKPLKLGQLDIKGNAVASKENFRTLVMTLIPSLKWLNGECVN >Dexi3B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:3B:8835736:8835929:-1 gene:Dexi3B01G0012610 transcript:Dexi3B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEVVHGKGRKARESGRIIAGGQATERKAGDLCAGKEGEAGEAVVKPAGTEWRR >Dexi4A01G0016410.2:cds pep primary_assembly:Fonio_CM05836:4A:20053683:20054530:-1 gene:Dexi4A01G0016410 transcript:Dexi4A01G0016410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGKVWPSPSLMDFVPVVRDGIRRRYRSRVPTSGAGALMTMTEAMRSHVTSGQVDMGSKAIWNGSRPPVRPSAQLRHSSAIRRFASRTMVTSSTSDEPATFSADAETFTGKMALP >Dexi4A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:4A:20053170:20053677:-1 gene:Dexi4A01G0016410 transcript:Dexi4A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQHVADAGEEAEPDVSAGCCVDDDGTCPALPELGDEDVEARADIAAGERRVLRLELVDVGGREVERDVLKGKHRELRERAGLDVRVREAVPGPDGLHTGLRQSAGDVADGIQELRHARAVRHRVVGAQAHHEAAAVDLY >Dexi9A01G0048580.1:cds pep primary_assembly:Fonio_CM05836:9A:51321050:51324911:-1 gene:Dexi9A01G0048580 transcript:Dexi9A01G0048580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATPRASLILPRASSHSHSQPSAGRLTSDRVAASRRRRGDFVFVINPSGANGRTGKQWKQLLPHLRTRLADQCKICECITSGPSDAIDVTREAIKDGADAVIAVGGDGTLHELIPLGTGSDFARTFGWTNDPRDAIDRIARGLKSKLDIGVMEAANRETHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRGFGGHNNRDMRIKVNGGEWRTVDKVTALCIGNAKYFGGGMKITPTADPFSGSLEVVILQDFKWYDFLLKLHRLYGGTHLSVNGVSSIRVRSIEVAEVTASGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC >Dexi4A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:4A:3295916:3301596:-1 gene:Dexi4A01G0004680 transcript:Dexi4A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPAPALSVFITKAMVSNKAIIIKGGIIATTATHYTMLRAGGCLKPAGGRCMRVHGYRSPDQALPFTPSNGVKVSSPGWKKQDLMQEMSKTLQSVSSNILKRFVDTAYRFSEQPSLNELRFGKAVKDSANTNIFEHGGRAFAVSESHLPYEIDINNLNTIGPYSINGAWTQPFTSHPKKVHGSGDLVIMGTNIEKPHYVLGVISSDGERLLHKVDLKFEEGKFIHDIGVTMRYNIIMDYPLMFGISRTLLKKPFIENDMNGKSRIGVMPRFGDAESIIWFDIENHCSYHLFNCFEDGNEVVVRGCRTLGSVIPSERHRVDKSKWYGRAFLQPDKDSKDFDPSLDGILFSRPYEWRLNLESGTKTEGYITSEEVAMDFPVINDKFVGITNKYGYAQVVDSLSTSKTGLFKFKMIAKLNFDTPDKENKQFISVEYHALKEKQFCSGVQFVAKERGIDEDDGWVVTYVHDEGTNLSQPMCGSRRDSGSVRIVKPVEHGVVVGENAPVVPCPSAAARMAELYMIQSLPSMDARKKASLTSVLFHRGEKRQLVNGLCI >Dexi1A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:1A:5802360:5813634:-1 gene:Dexi1A01G0007500 transcript:Dexi1A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVRVLVCHTRSRGFEPRFPRTIFLFLPDSFCLHWKLLIPTSPFLSLSLADKWAQAVSVVFLAPCPSAARLHARFPLRKASFLGLYKPSLELLFPQPKPQLLPPSLARAVANPRVRAAAAIRVLGTAFAASNSPPSFFLFSLSLSLSRARASLPLLARRRSSSRTPINPQNRFPIAPAFSRASNRGKPSFLARISPNSGEAPPAAAVEPSHPEPLDRNPTAENRPDSSQTESHRASKSTTHTTYCRWRISARVFTPNLVGLAFPSHRPLLQEHYKVSVKKGPPSPPPSLRIVVGAYLRDTHATLTTHTCARAHTHARTPQPPLLETKFLKECPNPNELLGKNTSCNFFCTHCVGHVLSFAALPVM >Dexi2A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:2A:26746349:26757488:1 gene:Dexi2A01G0015610 transcript:Dexi2A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARKPPSRIARVRPGTVLPCARRSSVRRCAAVFADVGSSVAPRACRSYLSKCLRPPLRSHRQRMEPQNQAKEWPESTCGLYFVKICSFEDPELEEKLVEADNEFQKKIQARNKIIEVARAKKVEHSIISSELKQLIAENNQYHGVVETLQNHLGRMFRDRDNILQAHGSVLYSAIEELEQRIKMLSDRVVSESITIREEKLLVKDIKDIEKAKSKVIYLSTNRVKLQDTMDGNEATQDKDKVIDAIRKDQQTIRSKIKVLKDELTIVDTEVASIQEDLDAAIARKDRAYESLAELRHARDAKNAPFFQNHLVLNKARDYASRGMVTELQEHHKTEVERFMAQCRHAAQTPRLSLSLPPNPHHTEPAYASQIWRPLPPPSPDRSGRGLASLPRDPLAWDMGMEVVGAETAPAEVKVSDGEVNLFQEKESKATAKEREEAAVFGSETTMNAADMAPPKDAKDDWPEPKQTYVFYFVKIRSFEDPKLRAKLELADKEFQKKIQARSKLIEALRAKKAVRSGIISELKPLSAENKQYNEVVNEKIKEMEPLRNSLGKFREENNALRAQGAGLCSSIEELDQTIKMLNDRIVHESISLNEEKRLVKEIKDLEKTRSKVLSNTANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKAVDAEIASLQEDLDGATARKDKAYESLQELRAARDAKNASFLQNRTVLNKARDYSSRNMLTELQELHKTEVDKFMTQWCESKTFREDYEKRILVSLNSRQLTRDGRMRNPDEKPIFIESQAPVPAVEPEPIPVKLPAKQAKEASAPQADEAPKVEARSKGPVKSLKAKAALDADDDYEAEPPKEKAKPTEADVAKLKEIKRQEEIEKNRLALERKKKQAEKQAAKAAARAQDAEKKQKKEEKKAKKKSGAADTDEPSESNAKSDEATETQAEEEAAPTSATLKKEQKESVRPRNVVSRSKAPPPRAILKRKKAQSYWSWAGPAAAVAAVLVALLAVLAYYQYYLPASTSN >Dexi6B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:6B:3669020:3671162:1 gene:Dexi6B01G0004380 transcript:Dexi6B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPYKLKNLQLEKEAMNNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETPTKEAPNDKSTKSNMTQSNGHVQIAGDLSLQKFQRKEVSEKGSCSEVLNLFQHAPSASFSSVNKSSSNNGVPSRIEPTKTEFRDMTTMEKNSINPTMQEDVKEIHDQEMERINEIQVSSKCDHSNEEYLNFSMQQIKLNPKSLETTYVDKQTVKTSHSLAERNGESSIPVPGIEGTHPDQTSDQVGVNGSTNPCMHPMLSADQKFDFSTTSQPFPHNYAAFAPMMQCNCNHDTYKSFVNMSSTFSSMLVSTLLSNPAVHAAARVAASYWPAAEGNTPIDPNQENPAEGAQGRNIGSPPSMASMVAATVAAASAWWATQGLLPFFAPPMAFPFVPAPSAAFTTADVPRPSEKDRNYPLENAQECQEAQKQGQSEALRVAASSESNGSRKGDIPLHTELKISPVQNVDATPTAGAETNDAFRNKKKQDRSSCGSNTPSSSDVEAENVPEKEDKANDKAKQASCSNSSAGDTNHRRFRSSGSTSDSWKEVSEEGRLAFDALFSREKLPQSFSPPQAKDSKEASKEEEDEVTTVTVDLNKNAISIDNEIDTMDEARTSFPNDLSHLSLKSRKTGFKPYKRCSMEAKENRVPASDEVGTKRIRLESEAST >Dexi1A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:1A:24654658:24654954:-1 gene:Dexi1A01G0017320 transcript:Dexi1A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVALESGVRAAELPGASAGGDRALGELERNKAGMGQLAAWATRRLGAWLASSDGRSGAPSRTRRRRTPARGVEESMGLAAAAICPCKLGSGGYWVG >Dexi5B01G0030030.1:cds pep primary_assembly:Fonio_CM05836:5B:31072847:31075141:1 gene:Dexi5B01G0030030 transcript:Dexi5B01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRALLVGINYPGTKAELKGCHNDVDRMYRCLVDRFGFDEGDIRVLTDKDRSSPQPTGANIRSALARLVSDARPGDFLFFHYSGHGTRLPAETGQRDDTGYDECIVPSDMNLITDQDFRELVQKVPDGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNKTQSREPEERPDSGSGSGFRSFLKETVRDVFESEGIHIPHSRHGHSQYGGDDQDEAYGQSSRDGRTKNRSLPLSTLIEMLKEQTGKDDIDVGSIRMTLFNIFGDDASPKIKKFMKVMLGKFHQGQSGEHGGSGGVMGMVGALAQEFLKAKLEGNEEEAFKPALEQEVHSVDEVYAGTKAWAPNNGILISGCQTNQTSADATTPQGVSFGALSNAIQSILSDKHGKVTNKDLVMKAREMLAKQGYTQQPGLYCSDEHVHVAFIC >Dexi4A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:4A:1819361:1822915:-1 gene:Dexi4A01G0002660 transcript:Dexi4A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASAAGGAAAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVRFFVRRATRRAPIINRGYYARWSVLRKLMRQFLNAGKDSNDEKPKQILSLGAGFDTTFFQLQDEGIAPHLYVELDFKEIHPDDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLDNGWQRAVAWDMLKIYNDFIDSQERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFDNFGFDKE >Dexi6A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:6A:28123982:28124525:1 gene:Dexi6A01G0020710 transcript:Dexi6A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIHDGVDLINISLGTGANDTDGFDMDLVAIGAFNAMAKGGHGTVLISDETADYTTVTYNYDGNHSKGATSQTMPRL >Dexi5A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:5A:6344909:6346668:-1 gene:Dexi5A01G0008510 transcript:Dexi5A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKRMLPDQDYFSGSVGMLQEHFIAEHQWPSSSTKIRYGWCFYANIQEGVHVISGDDEHLFLLNVLSESFGCVISVFCVWPHDTDPRFRCAVSFSFWKDNLYHAQS >Dexi2B01G0021150.1:cds pep primary_assembly:Fonio_CM05836:2B:31065677:31068286:1 gene:Dexi2B01G0021150 transcript:Dexi2B01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITKTLERYQKSSYGGPDTAIQNKENELVQSSRNEYLKLKARVENLQRTQRQVEFVLEENLLGEDLGSLGVKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKLEETSSQVHGQVWEHGANLFGYERQSPQQAPSHVSNGFFHPLEVAPEPTLQIGFAPEHMNNFMPTWLP >Dexi1B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:1B:1460887:1463796:1 gene:Dexi1B01G0001830 transcript:Dexi1B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAPPRPVADGFLRFLIPAPKPRPAAEPTAPARLVPPHRLVAPPPAIPVLRPEERLHIVPPTRPDWLPPPAPRPPPPPPRSHAPPAGAGVRPTRPFPQAFDEPRPRNAGRFGGNVNGARGRSLGAFPRNNGGRSGSHKPKAAAVAAVRKEKKAWVAVEKKGEDAGDEGQAAMSEGYSGGDEAGTEAEDQLEPEGEHDTGGHSHGLDHEDDAKDSLVMPYNQECSDGGDVGRTSEQVMSQSNQVQHQRGRTSRWQVECRHDIDTFTPGFLAMYESLKPSEEHKTKQQQLVDSLTKSVSKEWPDARLHLYGSSANSFGTSHSDVDVCLEMEIGTESTVEILLKLADVLRTDSFDNVEAITGARVPIVRMSDPESGFSCDICINNLLAVANTKLLKDYAQIDQRLLQLAFLVKHWAKLRGVNETYRGTLSSYAYVLMCIHFLQLREPKSLPCLQAMEHTYTMNVHGTECAYFDKVHQLQDFGAENKESIAELLWAFFHYWAFHHNYKRDVISVRIGNTIRKQKNWTARIGNDRHLMCIEDPFETDHDLGRGVDRQTIRILREEFERAATMLQYDDDPCVTLFEPYDYEN >Dexi5B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:5B:6158858:6159915:-1 gene:Dexi5B01G0009040 transcript:Dexi5B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKASPAKQQEIPRFGAIHPLRVPAAGADRLLVVAEEEEGAAEGKGDEEEDDEKDDAEAGPAVFVLSVRARGEAAAVSVACVRANARAGQQYKCVVWAKAPTPRGGAAAGRAGRRLCMEADVPSCAQPGEAAVEDGMWLGVAPVMVLGASREIHLSVLIDKL >Dexi3A01G0031980.1:cds pep primary_assembly:Fonio_CM05836:3A:36577451:36577855:1 gene:Dexi3A01G0031980 transcript:Dexi3A01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSSSTSAAPSFRCPVTEKQTRNNFHEWRAQVWFALREAQLAGYVDGTTPETKEGDAAGLSLNPEAAAVTPETKEGDAAGLSLNPAYVKWMVQDQQAMIAS >Dexi5A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:5A:7057173:7057622:-1 gene:Dexi5A01G0009390 transcript:Dexi5A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKMSSSTPAMYALVASIMLAIDVSCSVVHCSEFYRAAPDATDDDDDGGSEHMRADATVAHPDGSRRGRWPPAPYGNPGRGYATVPPPPTQQQRRLLHSTGRLTGAGIALRTYNLCLIFY >Dexi9B01G0042910.1:cds pep primary_assembly:Fonio_CM05836:9B:43020224:43023733:1 gene:Dexi9B01G0042910 transcript:Dexi9B01G0042910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMAAATAHPDPAHPARPPLTPALDKPNSAAARRNSRSNKPVSSRYLTGGGATASPASSTSSSTSSSSSSSSRRSLSAQRTRASTPPPQHSTSPTTTASAAAAAAAARATATTMRSLSVSFQGESFFYKTSRAPRASSPSSPAARRGPTPERRKSVSSVPEAENARPQGRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSGRRSFSDSPMSPRLPGRSPSPCRGSRGVASPSRGLGGEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDASLLVQSFTAEMSYLEDWSHIERHHSSALSAAIKALKASTLRLPVVDGAKADVQGVKEAVNSAVDVMHTMTSSICNLLSKYGL >Dexi1A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:1A:8204034:8204850:-1 gene:Dexi1A01G0009860 transcript:Dexi1A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLATTYSGRIAAATASPSGPSLTVTVSPTPPPTPIDARGFPLPRRHLVCAVARILRSPASPSPLLDLADYLASLRVTLTTVEASEVIKALAPDTALALGFFRFAATSLPGFRHDAFSYNRILSLLFRSSRDDPAVAARLVAEMERDGVYGNISTVNLLVGMGVEVGRCLELAKKWGLRLNGYTYKCIVQAHLRSREVCKGFEMYEKMRRKGYKLDIFAYNMLLHALAKAGMF >Dexi5A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:5A:2520316:2520576:-1 gene:Dexi5A01G0003400 transcript:Dexi5A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDARPMATRLTTCSARAAEIVPQAPHELNTSCPCGNQPLKEPPEGGDGSGGHGYGADMRRGSSGRHWDREYARGLGGGATAAVFM >Dexi2A01G0028810.1:cds pep primary_assembly:Fonio_CM05836:2A:39976820:39977257:-1 gene:Dexi2A01G0028810 transcript:Dexi2A01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIGTPALLYLAIVDTGSYLIWTQCAPCSGDDQSFQQLTPLYSPANSTTFTDLPCDSPLSKSAYARRPRCQEACTPQLQLHGSDTDGTGGFVIDSVCPARRSHRGGVRGDYDASGDRQLG >Dexi9B01G0040480.1:cds pep primary_assembly:Fonio_CM05836:9B:41001142:41003733:-1 gene:Dexi9B01G0040480 transcript:Dexi9B01G0040480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHLYTPLCVILIASTLYLLRTFVFAGGRRNLPPGPRPLPLIGNLLDLGSHPHRSLARLAARHGPLMALRLGSVTTVIASTADAARDVLQRHDAALSTRSVPDAARACAYDEYSMGWLPPGSPRWRAMRKVCSAELFAPRRLDAHQPLRRDKVRRLVSHVARVAREVGAPVDVGRVVFTTVLNLISCTVFSADLADLDDRGVSGAFKGVIGEFMVLVGVPNASDFFPVLAPLDPQRLRTRLAKVFNKLHAIFDEQIEKRLQERAAGDDPKNDFLDLLLDYRGAEDGQGFDRLTLLSLFTDLFSAGSDTSAATIEWTMAELLQNPSAIAKARDELRQVIGSKQEIEESDIGQLKYLQAIVKETFRLHPPAPLLLPRQAEATTEIRGYTVPQGARILVNVWAIGQDPELWSEPEKFMPERFLGKEMDFRGKNFELLPFGAGRRMCPGMPLADRMVHLILANLLHRSANGCAKP >Dexi9B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:9B:4579261:4583276:1 gene:Dexi9B01G0007480 transcript:Dexi9B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDDGRVVVDLRSAAESAAGAGEEDAHAPPVHEIESLCMRCGENGTSRLLLTLIPHFREVVLMAFECPHCGERNNEIQFAGQLQPKGCCYSLEVPSGQLEILNRQVVKSDSATIKIPELDFEIPPEAQRGTLSTVEGIIMRAVDELKALQDERKKVDPQKAEAIDQFLVKLRSLGSGEAAFTFILDDPAGNSFIENPHAPSSDPLLSVRFYERTREQQAALGYLAETEQPGEAVLPDSAVGSNSGGLQTEPHGLVGAVAGRRAIAQGNPDEVSAALCRYAAPEEVDVLPSSCGACGGQCETRFFSTKIPYFREVIVMATTCDICGYRNSELKPGGEIPAKGKKITLHVQNAKDLTRDVIKSDSASVKVPEVELELASGTLGGMVTTVEGLIVKICEALKRIHGFQFGDSTEEWKKKNWEDFNDRLAKLLSLQEPWTLILDDGLAASFVAPATDSLEDDKQLTIEEYQRSWQQNEEFGLNDMDTSSADVAYNPNNT >Dexi9A01G0029490.1:cds pep primary_assembly:Fonio_CM05836:9A:34388725:34402921:1 gene:Dexi9A01G0029490 transcript:Dexi9A01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMARKNGPTVLALLLATHSDACGGYSPCPKPPPSPAPCPPTPRAPSGGRCPVNALKLGACASVLGGLVSLELGQQAGSASAQPCCQLLGGLADLDAAVCLCTALRANVLGVVKLNLPVELSVLVNYCGKKLMEADSSSIRTCVSACVHEPGTHSKATSNRPVLQLSVYLCRVIRQILCYYDVRSAIVHQEVVFTPHAGINMPGNLRLHDANKVQTHGNLHQRDVTASGRFTALHKVKPAANPRVAKKLSPPHSHRNASDQTQTTLPMCGARRSMQGSGKGVPLSHNNSSFLGFDLDLGHGGLTGLSGTMWRPTGRPMPARCRSAAAAARAPPPPRMRDPAAVSLPAISPSLSLSLSDLRVVVWCGCAAADDAATHKFHFSSITIVRILFVGGRHLHDGLPRPHPAMATLTTLYIILAHGHQLLGVPPREKLNGYRSKIAVIKEQPMLTAIALSQRLGLRETVAVVYAPPSHPARPVTEAGNHALLLTRGQTAKSTCRLLKVAYCCSAAPSDPITHSLPPQLCFVNHRPKDQLSCRQVQCRDCVLLEPTTAQPAQGGGAMMHSTAFHCADMMEHCRESHARCAALHRTLGYEMAQARALKWQEEFPWREEKQQRGQDVSGGRRKKKRPRSSTIAIPVRGTRGHCCCCNNNNNYNNIRGSPAPASSPSPPATGSPPRPSPSPPTSASTLSSPLGTATAGVAALAGANAKNVSPPPPAAAWPGGPAAGDEASGGAKEEWQLTPLDMGLGAGEGWDATGAVLSDGAAPPGLAPDHTFLRWIIGGEDASAAMGGVMDPPVLELDHAPSMMSSAFPNMAFAPAMEDTKANAPFGHASNLLLHHHHQQHHPQPHAAFFASQQHPSSFDAAPPKRPHPMAGAPAPKLASFPPASGGGFVVPAMKPKAEAANDEAAAAMEQLAEAAKLAEAGDAFGAREILARLNYRLPVAPAAGMPLLRSAFYFKEALRLALSPTGETPTAPVVSTPYDVVLKLGAYKAFSEVSPVLQFAHLTCVQAVLDELGGAGRIHVLDFDIGMGEQWASLMQELAQRCPGAATLKVTALVSSSSHHPLELQLIHENLSGFAQELGVFLHFSAFNIDTLDPSELVAITAGDAVAVHLPVGSAHVAAMPAILRLVKRLGAKVVVSVDRGCDRTELPFAAHLFQAFQSCASLLESLDAVGADAEAVAKIERFMVQPGVEQRVAARHRAMDKQQPPPLPWRTVFASSGFVPVQASTFAESQAEALLKRMALMGFRVEKRGGALCLYWQRGELVSYASGRRRPPRPRAQP >Dexi8B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:8B:27546428:27546663:-1 gene:Dexi8B01G0016340 transcript:Dexi8B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGEFFGLLCDGARSRLPRVKPSYPLASLVHLDAIVFLLKEDWHTFWLVEVDMKNKVVRSSARRTLHS >Dexi9B01G0046420.1:cds pep primary_assembly:Fonio_CM05836:9B:45658406:45659267:-1 gene:Dexi9B01G0046420 transcript:Dexi9B01G0046420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVTGGAFGGNRGVRPVPPEKGVFPLDHLHECDVEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERLLCLVLIVFINLMAKQDMSELGFRNMDEVDTAPDKNCKLESPPNEPKENK >Dexi7A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:7A:22988397:22990845:-1 gene:Dexi7A01G0012800 transcript:Dexi7A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAANGNGLCMPAPRADPLNWGKAAEDLSGSHLEAVKRMVEEYRRPLVKIEGASLTVAQVAAVAAAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGDGHVLPASATRAAMLVRINTLLQGYSGIRFEILEAIAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAMAVTADGTKVGAAEAFKAAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLAVLAEVMSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENLRSAVKSCVMTVAKKTLSTTATGALHTARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALANGEAERDPDTSVFAKLAAFEEELRAALPREVEAARAAVENGTAVIPNRIAECRSYPIYRFVREELGTEYLTGEKTRSPGEEVDKVFVAMNLGKHIDAVLECLKEWNGEPLPIC >Dexi3A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:3A:17059699:17063347:1 gene:Dexi3A01G0021450 transcript:Dexi3A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGADGAMAGSRGEQSFARAASSAFRAAEFSSGFDGPQLPRLRLRPSCDGSAIASPCSSSSDTFFSMSSTPSGTLNHCGLWSPPRAPSEASSSEMEFGTARQYDTTDPFFGDNLLYDNHLLDNKPDSDSGEGEDKFIVGPDISLQRGEMRELGGGCGRSHVHRDCMEDSDGCAEVNVCSSPPCSCCHGEKKNDELVRDSCSAVYGRYQIIDDHTEVLDECVAEAFRFRLNVFVAAGEPLADFKKGERNGLDLSALEKELQILSPYLTEGDALENTRLEHDFRGNEKLNVCMVTNEETTDAEEFLKDSYSIHPFPESSDPLDIYGAEDSLTADTDVQKSTTHKFEENSKVDPALSKFHKEYEVFDLKIFHRKNRTGFEENKEFPIVMDSVIAGRYRVTEYLGSAAFSKVVRAHDLQTGIDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDDHHILRLYDYFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQSIARQCLEALVYLHHLNIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLNLYVQSRSYRSPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVPIILARMIGTIGPIDMEMLTLGQETQKYFTDGYDLFHKNEVISGLYAKVISID >Dexi5A01G0022250.1:cds pep primary_assembly:Fonio_CM05836:5A:26163834:26164862:-1 gene:Dexi5A01G0022250 transcript:Dexi5A01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWSSSCRSPLLLVLLLLIFPLAAEATSQTTAAAAAGGDRDTLLAIKKSWGNPPQLAASWDPSAAANHCTWKGVTCSGGGIGIVTELSLPGLNLTLPIPASVCALENLTRLDLSYNNLVGAFPAAALYPCAARLRSLDLSHNLLSGPLPSGIGSLSPATMEHLDLSANLLTGEIPEAFSGLTELTQLNMSSNKLTGAIPAWALRHGKLEYLYLFDNGLSGELPRNVTAVNLIELDFSMNRLAGEIPDAFRDLKKLTLLFLYKNQLTGSIPAWLFRHDKLESLCLDENGFTGNLPSEITAANLVLLNLSRNQLTGGIPQAFGNLKNLKRFTGTLC >Dexi4A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:4A:7663076:7666991:-1 gene:Dexi4A01G0009700 transcript:Dexi4A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDPAASAAAASGGAAQRRDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDKFQEVTFSYNILSDPDKRRQYDTSGFEAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGSSVQRKVEKQSAHFYSVDITEKQAKMGLICRVHSSDKSKFKVCNQIFTIWNLLYFELEENGGLSLALQEDSVKTGKVTAAGMYFLGFPVYRFEQNNMAAGAKDSDGAFFKRLDSFQPCDIHELKPGTHFFAVYGDNFFKSANYTIEVVCGESFPAEKEMLRNVEAKILTKRAELSKFESEYREVLAKFTEMTSKYTQEMQAVQLLSNIVIDQLLKERNEIHASYTNNAPLKRSSSRNKAKSPSKMPKSDTEKHHQKDKKVKDHCMEGYGSEDDNSSENKPKERFPRKKW >Dexi2B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:2B:5151961:5152305:1 gene:Dexi2B01G0005630 transcript:Dexi2B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERGTNQARIPQPPCARRRRTADVRWSSSWIESHRPWRSLHLLAGAQLPRGPDGKRENLVLRADLEHGGLELVADPSRRHLLHRAGLLHCDLEEELEAVAGCPVARLAGRVV >Dexi9A01G0041650.1:cds pep primary_assembly:Fonio_CM05836:9A:45268654:45271842:1 gene:Dexi9A01G0041650 transcript:Dexi9A01G0041650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPREWTGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVANVSAFQSEGLRPTMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDEQDFRLPIALVENSGRCKTNEHGEKILPDGTPWVPNLMKEITVVISNGSMPIHVDQKLIDGPNPNNRWKMFIPLILAVEYFLVVKGIRRAIHADIANGKVDDWEQRYRDLVGSRDPVEQKGSASRNRKA >Dexi3B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:3B:9536047:9541031:-1 gene:Dexi3B01G0013380 transcript:Dexi3B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRPPPPPLARHPLGSPPRLRPPTPRAPPRVAVEAASAAPPPAPRRCLELLVQMTGPNKAPFRGVAEDVKGRAACYKQDWNDGFRSGLRILAPTLYIFFASAVPVIAFGEQLSKDTGGVCIWTAVMLFLMAMFNAAVILNKFTRFAGELFGMLITILFMQEAIKDLFSVPPAYILLAIVPATMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSFTTLICGLLGIPPSNGVLPQSPMHTRSLAVLKRQLLRKKMVQTAKEGMMKNDTSSEVYGKMQDVFIKMDKGSNSISANNELKDLKDAIIPEGDGAGKVPEVFDPDKHVDAYLPVRVNEQRVSNLLQSLLVAGCIGITPIIQRIPTSVLWGYFAYMSIDSVPGNQFWERIQLLFITPQRRYKVLEGAHASFVEWVPFNTIYAFTLFQLFYLLLVFGMTWIPMAGILFPLLFFFLIVIRQHFIPNYFNPCHLRELDAAEYEELEGFTPDPSTGDDESVRSRDAQPEYASEILDEFTTHRGELKRRNSSFRDGRLLQVTFCANNSVPPGLVT >Dexi9B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:9B:2316829:2318171:-1 gene:Dexi9B01G0003990 transcript:Dexi9B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLLQLKSVAVPLLALLFLSTALTASSQSVFSLDRYGGRGDGRHDDTPALAKAWKAACASPRPAIVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLVASPNMADWSDKDRRHWIVFRSVDKLTVNGGGGVDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVQDLKVVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSQIVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYDVKNPIIIDQDYCDKAKPCKAQGSAVEVSNLVFKNIRGTTVTKDAIKLNCSKNVPCHGITLQNIDLKMQGGDGAAQSTCENAKWRKSGTVLPQPCSSKD >Dexi3A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:3A:1699353:1701885:1 gene:Dexi3A01G0002510 transcript:Dexi3A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHADSNRRSPAMSAAYFLWELRKYVLLQATLAASVTYSAGLSPPGGFWADNDGARLAGDPILQVTYPRRYVVFFYFNAVAFVASIITVNLLLVHSLSRRRFWLRALQAAMILNQLGLMSAYAAGSCRDLAMSAYVIALVALVSSYVCAHVLLFALCALRRRQHDAGEIENVTLHQEEAVERSRKYLLSFATLVATVTYQAGLSTPGGYLSDNQDGGEHLAGDPMLGGGHHAERFMGFFYFNTTAFVASLVVIMLLMSRTVTRHGLRSCALWVCTGAALIGLTGAFTVGSSRSVKTSVYVVALVAAVLLYIGLQSLVFFCKPVENWVHNVQETLQKCLKMDQTDDSQDNHHQVRALYARDQEIVDADQLLQKSRMYLLLLGILAASVTYEAGLNPPGGFWQADAADGLHHYLASDPILHITYPRRYLAFFYCNATAFVASLIILILLLSNVFSTQGIKYCALQIAMILDLLGLIGAYAAGSCRQVSKSVYISVIVIPVFLYVGIHVLVFMLEVFPNHATWRDMLKEKLEQSTPEWLNKKLFELPVEEEDEDVKWKLEKSRKLLLLLAILAAGLTYQAGMSPPGGFWQENKTGHVVGNPVLYDNYPRRYLAFFYCNATAFVASLAIIMLLVNRKLSVRGIQSHALRVCVILDLIGLMGAFAAGSSRKVSTSIYVFTLVFAVLLCIALQVILVVSESVQNVLQRILSLFGVLKEESSDILPHTAAIGGVRDLWGEKLPKYLLLLAALAAAVTYAAAMNPPGGLWDDGQTGHIAGDPVLRSRYPRRYKAFFYCNATSFMASLVIVVLLLIKRGAAGE >Dexi5B01G0021890.1:cds pep primary_assembly:Fonio_CM05836:5B:24202766:24206133:1 gene:Dexi5B01G0021890 transcript:Dexi5B01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMMRSASQLLRRRSYSSASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFMGEDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKNLSAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSSEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSDFEKEGLENLKGELKSSIEKGIKFAHAN >Dexi2B01G0033600.1:cds pep primary_assembly:Fonio_CM05836:2B:41125507:41126160:-1 gene:Dexi2B01G0033600 transcript:Dexi2B01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNGMLRERAEMAIHATKPGLAYQRAREREREQSESGRIRALSAGGAQEENWRGRGERRQRDNEQEQKIQRGGWFGQVRSSQTRTGHRAGQEGGRAPSDPDRAERRRATQRVWAPGDSDAGTLLLASSDFCLI >Dexi2A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:2A:24127695:24128106:1 gene:Dexi2A01G0014490 transcript:Dexi2A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHRRPDGPAPGDGAPRSGPGGGPGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEITN >Dexi7B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:7B:15479201:15481682:-1 gene:Dexi7B01G0007700 transcript:Dexi7B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLPPALLPLLLLLLLPLAARATAAAGEEFPRDGRVIDLDESNFDAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDTAIKNFVENAGTSFPMFLGFGLNESLITEYGRKYKKRAWFAVAKDFSEDTMVTYDFDKVPALVAIHPKYKEQSVSYGPFEGNFLEDFVRQSLLPLVVPINTETLRMLNDDERKVVLTIVEDDSDGNSTQLVQVLRSAANANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRNEEYELMILLSQDEFMKSDGSLRSFLH >Dexi9A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:9A:4986845:4994243:-1 gene:Dexi9A01G0008430 transcript:Dexi9A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRSPWSRARKPDIHSTFVVHGDDEDDDTRGGRGPSRGAEDDEEEDPSSLPPLLQRLPKDFGGASFDDDEDPYSSDPDDASLSATVVVKRGAPASARSPFLDLRRPSPRGAEEDPYSTFVVHSTARSGVASSSPRDSASGTFIRRSGGSSSPRESISGTFIRRTGSPSSPRDSVSGTFIRRPGSPSSPRESFSGTFIQHTSGGSSPHEAASGGGGGFGSSFWSPAVEHSSELRQPSPLMQQQQQQHSRRKPSVSSVPDSVTREDPSTRYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSVADLIGITEEPLEESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVSSHTVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNTGASKMLAKIKDAKKIRATLAAQNELDGPDDTMLDATVRINEDYGETVPANSQQQIKHATYNDGQAGFGTMIVHSEDGDEVAESPIFPRTEFIPGLGSINSFTHDPKRAELISKFWENTADSDANKDRDLDDCPEMQEPKTIPTSTGTVKKHMDVEGTMRLHDSQISSSPVLANATTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSENEAGNGLAGSSTNDALAAVRELFSGDGQAKKGRKGQTEVPLPRGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILTL >Dexi5A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:5A:730140:733824:-1 gene:Dexi5A01G0001100 transcript:Dexi5A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSAAAALLLLGCLLHLAWAEPDADKAALLAFLAGVGRGGAARARINWQSTPLACSTNPGWTGVTCNPDGTRVVALHLPGLGLSGAVPQGTLGRLDALQLLSLRANNLSGPFPPDILALPNLAGLHLQRNAFSGPLPATGLGDLRGLQVLDLSFNRFDGAVPAALSNLTHLVALNLSNNSLSGQVPDLGLPALQYLNLSNNPLLDGPVPASLLRFADAAFVGTNVTHQPLSPPEAPPSAGSTTTNKRRGARRLSEAAILAIAVGGCVLVFAVVAVCLIAFCNREAGDEMGGAASGKKNKGGDKMGRESPESKAVIGKAGGGNQMVFFEGPSLAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVNAGRREFEQQMDLVGRIRHDNVVELRAYYYSKDEKLLVYDYYSRGSVSNMLHGKRGEDRIPLDWETRVKIALGAARGIAHIHTENNGKFVHGNIKASNVFINRHEYGCISDLGLALLMNPITARSRSLGYCAPEVADTRKASQASDVYSFGVFVLELLTGKSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDGELLRYPNIEEEMVEMLQIGMACVSRTPERRPKMADVVRTIEEVRRGDTGTRPSTEASTPVVEAAQNRAESSSAAQ >Dexi3A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:3A:11516373:11517130:-1 gene:Dexi3A01G0015480 transcript:Dexi3A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVMQEQQEPQQHELVQQLQFPPGYHFAPTELEIVNYLRRKIEGHELPLHVVNEVAILDWQPGSLVESYKGYGENRWFFFTIREPSSSNKEEEPNRKVRAPPGVKATWKATGSVVPILAKAELPEQQGHGGELAGEEKVVVGTKRVLIYHSSDAEEHGKWSMHEYILKDHAKFMVT >Dexi5A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:5A:24371026:24375842:-1 gene:Dexi5A01G0020550 transcript:Dexi5A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGQQQFRYTQPPSKVLHLRNLPWDCTPEELVELGSPFGKVVNTKCGVGANRNQAFIEFADQNQAIAMISYYASSAEPAQVRGKNVYLQYSNRQEIVNTKTTGEGSGNVLLVGMEGVAPDSVSIDVLHLVFSAFGFVHKIATFEKASGYQALIQFSDAETATSAKAALDGRCIPSYLLPELDGACTLRISYSAHSVLNVKYQSHRSRDFTNPYLPVMDSAKDGSGADGKRQEPESNILLASIENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGLQALIQYPDIQTAVAAKEALEGHSIYEGGYCRLHLAFSRHTELNVKVNNDRGRDYTKGNVTPSSDQPSILGPQPMPNAGAVIPPPHGVPSAATSAVMPPGVPTPAAPGEPSVALPSQASSEPHSQTAVVPSGGPQQYPGQAILQGPPGVPQQFPGYGSAQAPTVQPSGPGSQQMPSHLNYQLPPGSAQFMQYFGNGSHLVPNTHGPQAMPFPGLGGQQLPPGPQMMQAPGYGGLPFSQGPRQPMPQFPMYGNQQFPPGMEPQMMPFSEQGGRQLPFAPRGPYGR >Dexi5A01G0030320.1:cds pep primary_assembly:Fonio_CM05836:5A:33316579:33319519:-1 gene:Dexi5A01G0030320 transcript:Dexi5A01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMASQAGGGGGGGGGSGGASAGQRGQMQSLARQGSLYNLTLDEVQSHLGEPLHSMNLDELLKSVFPDGLDHDGGTTSQYEQTSGLLRQGSLLRQGSITMPPELSKKTVDEVWKGIQDAPKRNAAEGGRRRRERQPTLGEMTLEDFLVKAGVVAEGCLKDLNDVGNVEQVGNAGVAGLTAGSQWLDHYQQRISAIEPHQHGQHSVHVAYMPNQLALQPLNVGPSAILESYSDGHITSPMMGALSDSPTPGRKRGAPGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKRQKVLCHSLFTSK >Dexi5B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:5B:3512926:3513595:1 gene:Dexi5B01G0005310 transcript:Dexi5B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTVTIPAPPLLGGESGDVPAVHRIDDEDQSTLHRANELDGDWDCDWAWAVGFVGDKPAHFAESSLAPDTPPPPLTLRASPPPSSLRRPRSSAATSFMVGRSSGLALAQSSAIPNACSISCTCVSGRPCSRWSTTAAGSRFWWRCSLTHCTTLLPSPNAAGSTGRRPVMISSSTTPKLYTSLFVVIFVIHPIV >Dexi6B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:6B:141343:143095:-1 gene:Dexi6B01G0000220 transcript:Dexi6B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAAGSSVVGRAVDEVRSALNEHADVVAELFGRVSSELRTGFAPAVDSFIGFFHAVDWKEPWLISMLTFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLAQNWKSFSSQNYFDPQGLFISVIWSGPLLLITILILVNTLVTLCMLVVRWKRAELRHRARQARNKQD >Dexi5B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:5B:16650942:16651439:-1 gene:Dexi5B01G0016680 transcript:Dexi5B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGRHPPNPSRSSPNLQLTTSAPPKSFTRRLCGQSWWRQGSIWQAEGETLLDLGHIDGLATAAHGADGVVRGRGKEGVISATGRSFGCPSSLFHGHHRQVARSARGRLILLARSPCSLGALRLIAASRCGMAMHVQHGEMLQVKIRIDAFPVLLATAFSPLGV >Dexi5B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:5B:9252108:9252581:1 gene:Dexi5B01G0013030 transcript:Dexi5B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIQRMVVEIIDMAVDKTLDFCLDKVLAEEEVDHDMAVTAEEGERSQEERVGRACKRMAAPSVAMRRTTESAPPHLRSVQQQLVFDSYEMHAAAAGIKEVALSPTRSSPRLARVVDQHVMDRAKKRAAWGNLDITIHPFVLFQIMSFLQKFVVWV >Dexi9A01G0036490.1:cds pep primary_assembly:Fonio_CM05836:9A:40913174:40916323:-1 gene:Dexi9A01G0036490 transcript:Dexi9A01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPSTSLLRGGRSARAAGPRRRQLSAVRCSAVGEAVAEEASVGTAEEPLLVSAIRGKKVERPPVWLMRQAGRYMKADHSSRETEMLNVSRLSYQLLCERYPKFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNEVREFVPEEWVPYVGQALNLLREEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTMIKKLAFSEPMILHSLLQKFTTSMTNYIRYQADNGAQAVQIFDSWATELSPADFEEFSLPYLKQIVDSVKETHPNLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNVAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNVGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >Dexi2A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:2A:2368152:2368433:-1 gene:Dexi2A01G0002910 transcript:Dexi2A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVDECQSPRMGSVILGVDGGASNTVCVCIPAAMPFNDPLPVLARTVAGCSNQNSVGDVK >Dexi5A01G0026680.1:cds pep primary_assembly:Fonio_CM05836:5A:30238882:30244332:-1 gene:Dexi5A01G0026680 transcript:Dexi5A01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFKVAEELLEVVDRRAKIAATEFSDEQSTSQPSGSNSQEVQAKKGKPREKGPLKLTSADGGSKTASQRERKSRQPPRERMKIEKIRPSPPADSSSADASASEPEIAPVEVKEMNSEGTLEKGEKATDDLKTDGAGTVVDTVVEVRPMEINSGDADPTVDVAHSRNSGTAVESSSSVPDGKSESGHSNQTTEIGPVVNLEERDSAVAVIQDRNMSESSNTEGTVKLQESKKENFPDSQESIDNQRGPVSAKEQDQLEEAQGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEEDMDGLKRNRELTETRVIQALREELATAERRAEEERIAHNATKMSAVEREVELEHRAVEASNALARIQRAADQSSSRALELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVLQAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSLKQFHEVQIEAERTKTTRRSASSWEEDTDIKALEPLPLHHRHMATANQQVDMISATLKLQKAAKLLDTGAVRATRFLWRHPVARVFVHLFLMHLLHRLQDFASREGPSDMGGLANANLP >Dexi4B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:4B:1418758:1420541:-1 gene:Dexi4B01G0002270 transcript:Dexi4B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIASGAGAFTTRLSWRRGAGTSGRHHQDADEPANKANKDRMAMPMVEAKTSALDETKQDRAHGASISNSGEKQGKKLSLLLERKGIAEQAWCPNHGRPKLHR >Dexi2B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:2B:9410683:9410994:-1 gene:Dexi2B01G0008680 transcript:Dexi2B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLQKFIHPSLHGIAFCSKGLPKCLSFSARKRRLCVRVALLCIQEKPERRPDMVEVIRMLLSPKKAKTPFPRRPGYANARESSMYAGDRSTTNP >Dexi9B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:9B:12202958:12204859:-1 gene:Dexi9B01G0017360 transcript:Dexi9B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGAHHHLHHHHHHSLSPRTPRTPTRAQPQPLLHHLPSNRFRDLPSQIHPTAGSRILRATPPFFLILLAAVYLLASVTIFSAPTPLLRLRGTSPRPLLLSMPARPPAPELFELHGGSLRVRLTNVGAAITSLLVPDKNGVLADVVLGFDSLDPYLHELVFQHPL >Dexi2B01G0028540.1:cds pep primary_assembly:Fonio_CM05836:2B:37136291:37140487:-1 gene:Dexi2B01G0028540 transcript:Dexi2B01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCVRPPLPLLLLILFVFFSSSPSTTAAGASDTIAANASLADGETLVSAGEVFELGFFTASSSGATFLGIWYMNITPQTVVWVANRNAPIPTGATASLAISATGALVLANPSGRVFWSSSNASTTGGAPVAQLLDSGNFVLSAGGDVLWQSFDHPSDTLLPGMKLGWDLTTGLDRHLTTWQSSGDPSPGDYMFGIDIRGVPEGFIRYNGTTPVYRNGPWNGLQFSGEPEMEPNNSNFRFEFISNATDVYYTFVADDNSGDGVVSRLVVNQSSLQRYVWPPGSQAWTLYWSLPRDQCDAYAKCGAFGVCDTTGGSPACGCVHGFSPASPRDWALRDSSGGCKRDTPLNCTGGDGFLRLEGVKLPDTTNATEDAAITVEQCRQRCLANCSCLAFSASNIRGGDSGCIIWSSLLIDIRHFASGGQDLYVRLAASDLPSDGDGSRKKNIVVAVFVSLSALLLFGLGGFFIWNKFFRNKGRSQSTQRFNSFDSSIPLTPVQDRNLEDESGQNNDLNVTLFDMATIAFSTDNFATSNKLGEGGFGTVYKGELEGGQTVAVKRLSKFSTQGLDEFKNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENKSLDKFIFDKGRSAASAQLNWSKRLDIILGIARGLLYLHQDSRYKVIHRDLKAGNILLDKDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEIVSGRKNRGMYSGEQTSLLSHAWKLWREGNAVALLDEAVAGGDHRGSEVLRCVQVALLCVQERPDDRPHMAAVLLALGNPGAALPQPRHPGFCTDRGSTSTDGEWSSTCTVNDVTVTIVEGR >Dexi3A01G0023270.1:cds pep primary_assembly:Fonio_CM05836:3A:18982576:18984959:1 gene:Dexi3A01G0023270 transcript:Dexi3A01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTIGGGDDAFNTFFSETGAGKHVPLGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDDGDEGDEGDEY >Dexi8A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:8A:22562243:22562876:1 gene:Dexi8A01G0012810 transcript:Dexi8A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRVLELRDRLDRTLAMPDLAEESLLKALVKKQILASSLTGAAEGDIDLIAETRAKEVSEFLEMLNTSSDGQSSKVHGAPQKEWKNMK >Dexi6A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:6A:10347680:10348422:-1 gene:Dexi6A01G0009210 transcript:Dexi6A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLLHSRQRRRYSSAAAASVPLSTPTFAVFGANTGVGKTLVSAGLTAALLNSPAPSVSAVAYLKPLQTGYPADSDARFVFSRTPALLRASSSPPRATRLVASCSTLFPSPPVGAEAEPLHKSQEKVVTYGRDGAPEETKLLSCRTLYAWRDPVSPHLAAEREGMAAGDDEVRGFVEQWLLEEGVGKDGEVWKVLETAGGVASPGASGTLQCDLYREFG >Dexi8B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:8B:9042367:9043113:1 gene:Dexi8B01G0007470 transcript:Dexi8B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTQGYLPNETFTLGAGEAVPGITFGCTNMSEGIYRGSGLVGHSRGPLSLVSQLNASAFWYCLTSDPSKTSPLLFGSGDLLTGPGVQSTPLISEPDPSFYSVNLTGISIGNMTTPGTGNSGFIFDSGTILTYLAEPAYTEAKAAVLSQTDLAKVPDGDWYEACYEAPSDDRSLDEAVPSMRSSSVSIIGNIMQMDFHVLHDVNNSVLSFQPANCDNL >Dexi2A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:2A:14616833:14623222:1 gene:Dexi2A01G0012600 transcript:Dexi2A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPALLSLVSASPCSSTSQLYHPSGASHRSAASELSSMAFMAAGAAPSSSSAASALMPTRPETSPLQFFHAHAARRALFRSPSRTRRSQLVCSLDATKQAVQEQSPTVKGAAAGRTDCFGVFCTTYDLREEEETKSWKKVVNVALSGAAGMISNHLLFKAIVQKGILFKQVAGHWPAEHSSSGTQGVRWEGQGALGIAMLAAIANHQGDCRSNRASSRPSPPREQCHGKGCDVVGKRDIGVELASGEVFGPDQPIALRLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDVEWALLIGAKPRGPGMERADLLNINGQIFAQQGKALNAVASRNVKVLVVGNPCNTNALICLKNAPDLPPKNFHALTRLDENRAKCQLALKAGVFYDMISNMTIWGNHSTTQVPDFLNARIDGKPARQVYTNGNPYGIAEDIVFSMPGRSKGDGDYELVTDVVIDGFLWDRIKKSEAELLAEKRCVMPEDDELCEITEDTMLPGEK >Dexi6A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:6A:26472322:26472924:-1 gene:Dexi6A01G0018840 transcript:Dexi6A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVKVLQDDPISVPPSDIVSHIGSLLDSTDGSDVSLAVDGEEFPAHRAVLAARSPVFKAQLLGSMADAKMSSITLHDITPATFRLGDSPREKLQDLFAAADRYALDRLKLLCANKLWDDVSADTIGATLALAERYSCPELKKKCIDFFGDEKNFRKAVLTDGFIQMVQKFPSVLAELRVKIAA >Dexi5A01G0026130.1:cds pep primary_assembly:Fonio_CM05836:5A:29864928:29865682:1 gene:Dexi5A01G0026130 transcript:Dexi5A01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVDATDVATKLGIPVYTFIPTGASLLAVLTQLPALFASRQTGLKELGDTPLEFLGVPPMPASHLIAELLAHPEDETCKVSMSIFERGMGTMGVLVNTVERCRHSGIPCVPGKVPPPIYCVGPLVENNARNGEKAEKHECLAWLDAQPERSVVFLCFGSLGTFSEEQLKEMAVGLDRSGQRFLWVVRMPANIDDPTRILENQYEPDLDALLPEGFLERTKGRGLVVKSWAPQVEPRAHS >Dexi9A01G0039240.1:cds pep primary_assembly:Fonio_CM05836:9A:43290905:43292912:-1 gene:Dexi9A01G0039240 transcript:Dexi9A01G0039240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAAAALRRGVAASRGHTSSRLTSSMPASVRLFSADASGETTATAADSQDDSFLKSSNEAFTTAIRQANQNRLYRIEGVRFT >Dexi4A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:4A:23589975:23593247:1 gene:Dexi4A01G0019690 transcript:Dexi4A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMQYRFLAADSLQKLVILAALAVWHNLLSPYYHRRHGGAAAGASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGSDGRVHVVIRRSASASTTGHGAARSGVGYGRPYGGMSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGGAGARAPGLDEQVTNKFASGKGGDAPAYPAPNPSMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAGIPPVPIDGATPKGMSGTVTPSKKVDMAAAANGGDVEIEDGLKSPATGLAAKFPVSGSPYVAPRKKGGDAPPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLVGLVWALVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >Dexi8B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:8B:18202716:18203324:-1 gene:Dexi8B01G0009910 transcript:Dexi8B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFDGDEERNGSKAVTLLLRLSTMALALTSAVVMATASECTIYEPHGARITVTFKHFPLGTCYLYIHGSILIMNVRSYLTGFNVAATILEAIGIYLQVGKGVEDEELLPKLAKILLVIVDVLVPALLNLATGAAFSAVVAYGPQISACAATAGRFCEQVHRSKLFSLAASISAVVSAATKDVPLPFSVWPVSSDDC >Dexi1B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:1B:685043:687289:-1 gene:Dexi1B01G0000800 transcript:Dexi1B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYSIGAASLPVTAHPLEEATGKFSDEQLIGVGGSSKVYRGQLSDDRIVAVKRLRPLGGADEDFEFLSEIELLSRLNHCHVVPLLGYCSEIQGRQLERLLVFECMSNGNLRDCLDLKQGRKPMDWQTRVSIALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELMTGRPPIHKSSTTRADESLVIWATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPDARPTMTEVVQILSTIAPVLHGAKRRNLPFAAAFNLTPSPHVGRCQPEADDIERQQECSSTAFVQWQQAERCAPPPAPGPGRASWPGDRGSAMMNKGGAVVSGELVNGMLLMSPHGRSSWRPPPGDEEEAVDLTEPRLEAFTQPAVFR >Dexi5A01G0028120.1:cds pep primary_assembly:Fonio_CM05836:5A:31458859:31462206:-1 gene:Dexi5A01G0028120 transcript:Dexi5A01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLRHLVVLFLVGLLPSAAWALAPPRFPVPQPRARSGANGVGDYEYETRYFRQRLDHFSFPGFGDEDEAAAFFQQRYLVGRGAGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEAIILPPPLHRYYGESMPFGSKAKAYNNSKSLAYLTAEQAIADFAVLLTDLKRNLSAEGSPVVLFGGSYGGMLAAWMRLKYPHVAVGALSSSAPILQFEDIVPSTIFYDLVSDDFRRESLSCFQTIKDSWKALDDQGNERDGLLKLSKTFRLCQTLKTSGDLSDWLSSAYSYLAMVDYPIPSEFLMPLPANPIKEPLTEYYLAKFVNLVNTYFHYLFSVQVCRNIDSQPEGTSILERIYAGVNVYYNYSGTVGCFDLNDDPHGMGGWDWQACTEMVMPMSYSEDRSMYPPYKFDYASYAEDCIKSYGVRPRPRWITTEFGGHNITTVLERFASNIIFFNGLLDPWSGGGVLKNISQSVISIVAPLGAHHIDLRPATPEDPDWLVSLRESELKIISGWLSDYYGATGTLLQPAVKGSAAS >Dexi9B01G0023740.1:cds pep primary_assembly:Fonio_CM05836:9B:19219119:19220012:1 gene:Dexi9B01G0023740 transcript:Dexi9B01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSRFAVTCGLLRQYMREQQQQQQPGSLDMPPLVGAAEDEDAVDGRTMQLFPTQTGTLQPSQERPENKQAMKAPLTIFYEGRVLVLEDFPADKAEELMKLAGSGSSSSTIQSKDATPVVQEKPAAKPPAVLPDLPIARKASLQRFLQKRKQRIITSEPYNKVMTAPPLPEKDIVGSGKPAQDVPAASWLGL >Dexi5A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:5A:10733959:10736124:1 gene:Dexi5A01G0013930 transcript:Dexi5A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMSIRDEKEVESVVVNGNGMEVGHTIVTSVGGRNSQPRQTISYVAERIVGQGSFGVVFQICRALAYMHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVQGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLVGQPVFPGDSGVDQLVEIIKVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPNARLLNGRS >Dexi4B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:4B:22748552:22751600:1 gene:Dexi4B01G0020630 transcript:Dexi4B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTLVHILISFAACTEALRRADFPQGFVFGTASSAYQYEGAVDEGQRGPTIWDTLTRRPGRVIDFSNADVAVDHYHRYKAASRWLHIVPWGMFNLMKHIKEKYGNPPVIVTENGMDDSNSPFSRLENVLQDDKRIRYHSDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYVDYNNNLTRIPKASVKWFSQFLAQKTAII >Dexi1B01G0023460.1:cds pep primary_assembly:Fonio_CM05836:1B:29044046:29046969:-1 gene:Dexi1B01G0023460 transcript:Dexi1B01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGSLRAPLGRKARGGGGNDARGLVFQITTAVATYRRLLNSLGTPKDTPTLRDQLQKTSQNILQLAKDAKDKLHKAAEADKSIDTSADKRVADMKLAKDFAATMEEFRKLQNIAIQRETAYKPVVPQNAQSNYTTDDRSAESGNISEQRALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQEIQQQIEEIDMNIENSADATKEAKKEVTKADKTQKSNSSLLCFLVVIFGVVLLVVIIVLAA >Dexi9B01G0046450.1:cds pep primary_assembly:Fonio_CM05836:9B:45729312:45731216:1 gene:Dexi9B01G0046450 transcript:Dexi9B01G0046450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESTGFDARCRFDSVSWADNSLQPCSAIPTALSEGWSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVIPEDKSSDEELSEEEDDSGDEEILGKPDP >Dexi4B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:4B:21237923:21239963:-1 gene:Dexi4B01G0018960 transcript:Dexi4B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARASISRVFFSRPQNQPGGARSSAAGGAPRASGLPTPCAPGASGLPSPRAPPTSGFLAVGGHAAGQWCPSPSSSEWIYPQGGFTNILQSPRVPFVNYPNGSQMQENFHFVGGPMNYSSTPSPNGSPDAGVAQVTEAVDVKDDDTIQPANSNARSNASATSIDPTDARSDRRLNWSNEEDIRLKLSKEKNSTSADPAHVVNPEDAPKKRPIGRDKAKEERNGKRKGPEAIVAIGEKLDKFMEATTKAGKIAEVQQNLADKNLE >Dexi2A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:2A:27120387:27121200:-1 gene:Dexi2A01G0015730 transcript:Dexi2A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMARGIMHGACDYVVKPGCMEQFRNIWTHVVRKNVADPKNNINDGKKLGADHTKKHSKKNKRDVGCPEKAKEGTSTQRKQKIKWSDHLHSKSTAYI >Dexi9A01G0038850.1:cds pep primary_assembly:Fonio_CM05836:9A:42993425:42996790:-1 gene:Dexi9A01G0038850 transcript:Dexi9A01G0038850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHGVSSPGGGGGGKAREKFSVYQNPSLTRALASRSARPSIPVLIVLAVLPVASASSLVALSSREEQLAKFAGQVGISVLVTAFVFKIVKAALGLVAVLTLLAFFRALILYNGKKALAKDDKVVLSERQLGLLGLETVGPGGGMGEQTKKPPKTKPSTPSEPIVPIRKSSFSYTPSRPLGQSRIASSHLSPGGERLTTALQMSPSTPLQKSVSSPSTPWSRKSSGSAKGIQTEAMLEHFLAALDENMDKITDSETKPTTPPATITNFGVATPVSVTTSTTPSGAARSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVEAFENLGVYPEIEQWRDSLRQWFSSVVMNPLVQKIITSHTQVKQTTAIVGASVTVSQVGSDLPSTTTTSNLSPLGGTKDWQPTVTVDEDGILNQLRSTLLRSRDAPVAQTFGSPQQPQQNPLLPAIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVQRVQELAEGTCLKNYDYMGHGNGYGKSEKKWTSELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAIIHPGALILAVSKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNLLSVIDSDSET >Dexi7B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:7B:8612049:8614057:-1 gene:Dexi7B01G0003610 transcript:Dexi7B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPNLTCTPLVLAPHKNCVLAATTSSMPSPSSRHHSPPRPPLLLSTNGKAAAPFLLLATALTTKTQESTATTNTQHQQPQLRMGDASIPVVHDDEDEHIFRSRFPPVAVPDDVTVPEFVLAGAEAYANKVALVEAAPGGRSYTYGEVARDVARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAIFSGVNPRAIAAEIKKQVEDSEAKLVVTNDVAYDKVKDAGVPVIAIGDAVERMMPGAISWDDLLAAADRTGAPVVELDPVQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVGDELLGHVVTLGLMPFFHIYGITGICCSTLRHKGTVVVMDRFDLRTFLGALVTHRVMFAPVVPPVMLAMVKSPVADEFDLSGLALRSVMTAAAPLAPDLLAAFQEKFPGVQVEEAYGLTEHSCITLTHAGGGDPEKGPVQIAKKNSVGFILPNLEVKFVDPDTGRSLPKNTPGEVCVRSQAVMQGYYKKKEETERTIDAKGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGVPDEEAGEVPASCVVRRRGAPESEADMMAYVAARVASYKKIRLLRFVDAIPKSVSGKILRRQLRDEFVER >Dexi8A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:8A:9542393:9544053:1 gene:Dexi8A01G0008160 transcript:Dexi8A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIIHVILSLLLVLYRPYAVAVSAGQAPGCYKRLFSFGDSITDTGNLGIVNPNISALAFPYGETFFHRPTGRCSDGRLIVDFIAEELRLPFLKPFLAGKTVEDFRHGANFAVAGATALSQQFFGDMGLDVSGIPPFSLDVECFKRVLHMLGPTEQERKEIMSSSLFLMGEIGGNDYNHPFFQNRSFRADIKPLVPKVIEKIENAIKVLLGLGAKTIVVPGNFPIGCVPTYLTLFQSNNPSDYDAFGCIRWLNDFAEEHNRALQLMLKRIVPRNDPTVTVVYGDYYGSVLEITRSPQKHGFRKDAVLTACCGDCGRHMPGNATSVHLCNDPSKHISWDGLHFTEAAYKFVARGILDGPYARVVHPIQMQILPKPFSQLHYEA >Dexi4B01G0021060.1:cds pep primary_assembly:Fonio_CM05836:4B:23092823:23093310:-1 gene:Dexi4B01G0021060 transcript:Dexi4B01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAILLVTALLGLVSASLADQGTATYYTTYTPSACYGFTDEGTMIAAASEALWNGGAACGSFYTVTCTGGTNETPNPCNPGTSVTVKIVDLCPSPGCQATLDLSEQAFSIIGNLNAGKIAIDYEL >Dexi7A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:7A:19244692:19246497:-1 gene:Dexi7A01G0008070 transcript:Dexi7A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQLGSRSKLAMRPTTVLLTTASAAAVLLLAAVLFGSRWTPSSDAETWVSAGVRVVMNAVSSDQGTVPLATVPDPSDRLLGGLLSPDFDDRSCLSRYRAGRYRRTSTHALSPHLVSALRRYESLHRLCAPGAPAYARAIERLRASPNATAAGDNADDPSGGAAAPCDYLVWTPDAGLGNRILSITAGFLYAVLTDRVLLLNGSRGDLDDLFCEPFPGSTWILPQGEFPVRGKLGIDTFQSLGNTLARGEPLAGKPWVYAHLVHNYLTQDRRFFCDDVQGELRRVRWLVFKADNYFVPGLFLIPRYERELARLFPRRDAVFHHLGRYLFHPSNTVWGMVTRYHGSYFARADERVGIQVRMFKWAPISIDDFYGQIVKCTHRENILPATVAAASRAAGNTSTAAKHKAVAVVSLHGEYSDRLRDLYHEHGNAAGEAVSVFQPTHLGAQHFGDTQQNQKAFAEMLLLSFSDVVVTTGVSTFGYVSQGLAGLRPWVLTPPDHGKAPDTPCRLAPTIEPCFHRPPHYNCRAKARGDSGRTVQYIRHCQDFPDGVQLLES >Dexi1A01G0032200.1:cds pep primary_assembly:Fonio_CM05836:1A:36867417:36868086:-1 gene:Dexi1A01G0032200 transcript:Dexi1A01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAVPPEPPHVLAVDDSIVDRAVISRLLRSSKYQGVCVRALKIAMSGYDLLKRVKETAELREIPVVIMSSENSPARIRMCLEEGAEDFLIKPVRPSDVSRLCSRLITR >Dexi9A01G0033080.1:cds pep primary_assembly:Fonio_CM05836:9A:37941333:37944425:-1 gene:Dexi9A01G0033080 transcript:Dexi9A01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAPPLCTWLVAACLSAACGDGEKEKQRRHRGSVGRLFGSRRLPGSGRRGGGRSGLSMAVALHPERGAVETKKTDVKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGISGISEIEAFDCSSYPTVFVFFKNNDLRFIVFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPIIPIGKVHSDYLRRLGGFVACRALSQRNTDPAKASRPWDVDRDGFVMGEGSGVLVLEELEHAKKRGAEIYAEFLGGSFTCDAYHMTEPHPEGLFFFNIVLRVNSTKSMTGHLLGAAGGIEAVAAIQVRRLI >Dexi4B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:4B:21392967:21395576:1 gene:Dexi4B01G0019120 transcript:Dexi4B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSSSRLNVVATSSPGDDFLPFTPMQHQQQQPPPPPYVGFEHAIAGGSGMQRHHHHHLYDGLDFAAAAALQFQAEAAAAGAPHHHQLLTLPSSLAPPMAPPPMPMPLQMPMPGMPGGGADVYPALGMVKREVAGGGDSSAAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEHHAKASVVAAGGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPATTASSKDAASPPSKKPSGGGSITGSYTTDSKIDLSTTKSTMSSNTGSVISCLDHGNNKQQLARPTLTLGASPDKQQDQQQQLSTMLQVQGGHHHHQEQHFITSLQVHSHHHNNGGAGGNNNILSCSSVCSSAMASATGEASDQNNNGNGSGNMHNLFEVDFM >Dexi9A01G0041720.1:cds pep primary_assembly:Fonio_CM05836:9A:45309558:45314507:-1 gene:Dexi9A01G0041720 transcript:Dexi9A01G0041720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAVIASPAAPGAAAADHAGWLVDERDGFISWLRGEFAAANAIIDLLVVHLRSVGDPGEYDHVAASVQQRRHHWAPVIHMQQFFPVADVAFALQQAGWRRRAQPAQALGPGTSPAAAAPPPPRRPSFSQSHHTHQHHRHGGHYRSDPARGGAAVAGAGSEKDGREVHNHKEGKVLKEERLDSPIIDGENNSKLQAASEGSSKVVPTPVDHSTSEIIDGSTVNSVEGLKVYEGLVNVIETNKILSFVNQTKASYRRGGPEAGQTVIIGKRPTKGHGREIVQLGVPIIEGPPDDENERETKVEAVPGLLHDLFDCLSQQEIIPFKPDYCVIDFFNEGDYSHPHQPPPWYGRPLCTLCLSDCDMVFGRVISGERGDHRGPLKLSLTTGSLLLMEGKSADFAKRAIPCTRKQRILLNFGKSVARKHLPAESARFTPPLTPPPSWGPSSRPANISPKPFGYAPAGGVLPVPVVGPHHVSPSDGMQSLFVATSPVSAAAMPFTPAVPLPTTTAAWIPEVTPRPSPPRFPGPGTGVFLPPGSGHPLPHQMMPATHGHGEPNSPQGSSSAYLQNKIAGKEMTNGNLSPKSSPTKRSYTSEDKAECNGSSNGGSIFANDKQQNGGLKNVGGSKVQQHGHASK >Dexi6A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:6A:4775621:4779480:1 gene:Dexi6A01G0005240 transcript:Dexi6A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELQERARFVQSSAASAGVNFDEERWLRRVRRSMEKEAAEALGAAAKVFDVPRVLRQTRPEAYLPQHFALGPYHCNKPELRDMERYKLAAAKRAEKLFADGQKFDHLVQRLLQAQDKMRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEVTDMVSSATNWINATVRDAMMLENQLPLFLFSQALSLRHPIADQAGDALHAVLGRFIKEVSPIKTAAELVAADVAKHAHMLELLYHFLVPDASVFDDSDSGDREPPPMVPEEFTIDMLDPSQHLPDYDKVKQACVQVSSLDMAPVRFLKKNLITRPMSVASSLPATIMRKVPVLSAVAPLVTKLLTMTSSSPADVESKLMLKGVSLGSIVVNSPLAQEIMIPSVSTLARWGVRFVPAPEGIAGIAFDAAAATLSLPIITLDANTEVVLRNLVAYEAVAVRGALVLARYTELMNGIIDTAKDVKILRQSGVVVNRLKSDKEAADMWNGMCRATRLSKVPRLDAAIREVNAHRSRRAAARAQKLLKKYVFRSWRILTLLAAVVLLLMTAMQTFCSVYPCKSWFGSVFQLPQAGGNR >Dexi9B01G0028760.1:cds pep primary_assembly:Fonio_CM05836:9B:31330812:31331385:-1 gene:Dexi9B01G0028760 transcript:Dexi9B01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAAAVLLPRVLARSASAAPLLCALAPRGPSSISVALPRKRRWRAPLRSLPPEGAPAELMEEDSKFVPLNPEEPMYGPPALLLIGFEKGETDKGKK >Dexi4B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:4B:19736166:19738374:1 gene:Dexi4B01G0017410 transcript:Dexi4B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPYMIIVPVCLGSLLVITVAILVAQRLVTTNRESQQQPLCSSVRAFSWKELYKATNGFEKLLGKGSFGEVYQGTIRSPQPHLIAVKKIVDSNEYSEQEFANEVQSIGQIHHRNLVRMIDYCKQGKHRMLVFEFMPGGSLRSFLFNAQKRRPPWRWRAEAAVAIARGLEYLHDGCSTPIIHCDIKPDNILLDEHGVPRITDFGISKMMGSQQVYTTVTHVRGTRGYIAPEWLRGDTHVDTKADVYSFGVMLLEMICCRRCQEPVTADMPERAEDDDEMVTLFGWAAQLVGARRTEVMVDGDLDIDTVEDTERVEKFARVALWCMEPNPLLRQTMHQVVQMLETRDGAQVEALPDPPSSVAS >Dexi2A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:2A:31253533:31255652:1 gene:Dexi2A01G0019090 transcript:Dexi2A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRPVAPDECMTNEEFASSGTIMDATRIAAICAKIDEYAGGRAPMSGRQVAAICAMIDDRAAAGKTRRNKRRKKLCVDSIRSYKQIGEIGHGSSGAVVKAVHRTTGQTVAIKTFRRRDGRTEGPDVSGELLREACFLAACGGHPHLVGLHAVTRDPRTNKYSLVMEYVGPSLKERLRVHGGAFPEVEVRRIMRQLLAGAEAMHAYRIVHRDIKTGNVLVGEEEDDGGGVVKICDYGLAMCTANAGPPYKRVGTAWYMAPEVLMHRTDYDERVDLWSLGCVMAELLSGEVLFKVGKGGVPQLDRMFDVLGTPDEQTLQAFAPPFAVGKVLRWLARRPRSCHHHGRLRELFPSETLSRDGFDVLKGLLTFNPKERLTTAATLRLPWFTGAAVDAPATETAGASSFFRVLAGVLAFLSRMALKLIRQENK >Dexi6A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:6A:23020530:23021198:-1 gene:Dexi6A01G0015520 transcript:Dexi6A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNEETQALWSLKPKPRASPRLASRSCSVDQPGEKRKSPPLPSCVTSDDEAEVTSPPTSPPPVKRARSKSRSHRRDEARASRHQLRKWHGTISSRVLRRQFRALELSRGGTALGCQCHELAHADGDAPPRGCALHQEEEHRDWLHTARGRLPLVGGPGKVLVPTMSAGNGKATYQRWRRGVRMPSRFYVEHAVKQGTEAKAAAERRRLEGEWMWMMTD >Dexi3A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:3A:16644144:16645005:1 gene:Dexi3A01G0021040 transcript:Dexi3A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSTAVVLGSLVVGAGEARPNLLEHLDDDVVVLGGVEGEARRSSRSDASRMPFSAIISSIDSRKSRLGSADAFTTAKLSASGGAGVVFLGDAAGVVVVLLFLDLVVVDASRGLLARVLRRRPEETDDRLGAWPPPPLLPCSDAELALGVVVSAPPSMTWLSFSSYRTTSLITGLVTIGRSTRSAVPSARRSMTMSVSEAITLPPRLAPDGAVTVGRRTSPASSSSSSSSSSLPWRATYMSRRRRWGASRVSATESRPPRPTLTGPTLWRLVEEHAGGGDSG >DexiUA01G0012890.1:cds pep primary_assembly:Fonio_CM05836:UA:26755442:26757559:-1 gene:DexiUA01G0012890 transcript:DexiUA01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKQQQQLLVRPHPYRCRYHVDDDDSGSSSTGSAAREEVVLDHSSSSPPPVSSCGRYLLHRVCRFDTLAGVAIKYGVEVADVKRANGLTTDLQMFAHKTLRVPLHGRHPPAAAPSPSSSPSHAHHTREWTMGLPPKIAASLDPFLKPPRSAVSPSMSLLQGYYGLTSTPMGNLTNEGTEYTNGHHRKARSLSSSFSLENGDANWETEDAEKPIRRRQKADVELTTREDNGGSLLARGGQGLAMRPKSGGRADMNSTQQDLLATWMPSYGDGLQTVKKSSSSPEFQDSDSISIASVWLKSKWNLKPEAFTLTLPLPLFDGIPKPLLDTIPNSIAAWRNKAAKD >Dexi9B01G0025370.1:cds pep primary_assembly:Fonio_CM05836:9B:25571085:25571441:-1 gene:Dexi9B01G0025370 transcript:Dexi9B01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSSASIVAATLLVLAAASVVSGQPSPHDNQQVPACMGDIDALWRTCKQYVQKEGPKQKPSSDCCKTVQDADDEAPCVCDYLGTPDAREKLSMEKVFYVTNLCGVTIPAGCGDGDW >Dexi6B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:6B:4174694:4175322:-1 gene:Dexi6B01G0004900 transcript:Dexi6B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSLILLLLLATAAHGLRRLDMQVAPNKNKVEIPADFKWQWRPSSSGSPVDSASAGGGGMTPEHHASTVRAAPAEDDDGEVRKKTRTSRSHHVVAPTLIHDEDYAGPNGHSPNHHRTIRCGPC >Dexi5A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:5A:9875463:9878785:-1 gene:Dexi5A01G0013190 transcript:Dexi5A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGRFVILVLAYRFLVPILSSAEPDHITRESYVVYMGSPSGGGHEEVQAGHLQMLSAIVASQEQGRVALTQSYHHAFEGFAAKLTQEEAAALSGHERVVSVFKDHALQLHTTRSWDFLEVQSGLSPGRLGRRASGDVIIGIIDTGVWPESPSFDDAGMRDVPARWRGVCMEGPDFNKSSCNKKLIGARYYGVMPESSSSSNASRGGVATPAATTGSPRDTVGHGTHTASTAAGSVVSDANYYGLAGGAAKGGAPASRVAVYRACSLGGCSSSAVLKAIDDAVGDGVDVVSISIGMSSAFQSDFLADPIALGAFHAHQRGVLVVCSGGNDGPDPFTVVNSAPWLLTVAASSIDRSFQSSIVLGNGAVVKGVAINFSNQSLSGGKYPLVFGAQAAAHYAPVDEASNCYPGSLNAQKVAGKIVVCVSTEPTVSRRVKKLVAEGSGAMGLVLIDDAEKDVPFVAGGFAMSQVGTDAGAQILEYINTTKNPTAVILPTEDIRDFKPAPVVASFSARGPGLTESILKPDLMAPGVSILAATIPSAESDDVPPGKKPSAFAIKSGTSMACPHVAGAAAFVKSAHPGWTPSMIRSALMTTATTRNNLGKLVATSNGAAATGHDMGAGEMSPLRALSPGLVFDTSTEDYLNFLCYYGYKEQIVRKVSGDARFSCPAGAPSPDLIAAAVNYPSISVPRLQRGKKKMVTVTRTAINVGASNTTYAAAVEAPPGVEVRVSPDRLVFSERWTTARYEVTFDVAEGAAVSKGYAHGAVTWSDGAHSVRTPFAVNVL >Dexi6B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:6B:19147072:19148418:1 gene:Dexi6B01G0011790 transcript:Dexi6B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKSSAVRVQPGDGINEALTELFHVVRRQRDAHLHPAIASAALRHRQRAGPRHGHDVHLLRRAEPHTRNLGDPATVSMLIAPPTSVNRSIHPPMLSVPTPRTASSIAAATAAHALSNPPAPPPASTALGASASAGPTQLPTGGFIRERWSTGNSKQVFWPSPPGGDQRGAPWRRDAATHGLGGHDVLDVLHVVFGQGEGGEELVAGAGPLDGLRLVGGRHRRRLEEGVVKASRVGDEAPVRDRRWRFSAGARGSGPGVKELRGGRAINGGVVNGDADGEASAAAPGQERGLNGEHGGATRGQRWHERRDRGDLPGLIGGEAVEDAVVAGVLGDGEGDAVAEPVVELVAVGRAGGEPEAAGERVESVEDTRQGVLEVGDDGVDVGVRCEAVEEEALHGREGEPEDVVEGERQGGLRWRGA >Dexi1B01G0030060.1:cds pep primary_assembly:Fonio_CM05836:1B:34158634:34160406:1 gene:Dexi1B01G0030060 transcript:Dexi1B01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATATAGAEIYRLPEECVAYAISLTTPGDACHSSAVSPAFRAAADSDAVWARFLPPDHAAVLARADEPAALGMSKKELFSRLCDNPVLLDDATMSFGLERRSGAKCFMLSARALSIAWGDDPTCWTWTTGLPGSSTTTSTKHAICLQHMQGEEEVAMHRRKQQYMRLRKGYGGRKMVTREADPDIRCPRRRGDGWAELELGEFVVAGDGEDDGVVEVRLEEVDSRRWKRGLIVQGIEIKPKHSSC >Dexi3A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:3A:10277267:10279710:-1 gene:Dexi3A01G0014080 transcript:Dexi3A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSFTTLAIARPATAAAASGQRVLLASKASSPLLSLSGARLPAQAVSFSGGLAPSSSHSRARFVTSASAEPKEAEIQSKVTNKVYFDISIGNPVGKNVGRIVIGLFGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLIHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVRLIESQETDRGDRPTKKVVISECGELPVV >Dexi2B01G0022750.1:cds pep primary_assembly:Fonio_CM05836:2B:32338815:32340340:-1 gene:Dexi2B01G0022750 transcript:Dexi2B01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSQALRAEAKPYIPLPPTFVRPPPIAQLPPPGFFPPTALCPYQAPPPQAMRGFSGCWGAPLGPGAVGMPLMPAPHGAIAMPAAGTPGVAVAARAVPQAHVAADKQQQPSGSVTRAAGRAPGARARPRQHLDVPPRMQRAARHGAPAASRGAKAAGAVTGEAASENEPSPRSVLYSATSTSPPITPTTSLPVPSPPPPATEAFAAPTVPPPHRHSVELGAASKPAGPAKQRCQRGCRRAAQPAPRGGEVRRIAPRLMFDPSSKRTSLMIRDIPNDFSRRRMMSIIDEHCFIENQRIPDGGVKSEYDFLYVPMDFRTLANKGYAFVNMTSPEAARRLWEHLHSHRWDVRRCGKTCAVDYAATQGLENLVDRFSGSSFCCDTEEFLPARFEPPRDGTRPAVSVIHVLGRLIRRHS >Dexi3B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:3B:12877495:12878232:-1 gene:Dexi3B01G0017540 transcript:Dexi3B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTSILLDPHDLHLYNSKSKSWSSRSMRLDSPEAKKYDYASKVITIGGERGSVGWVDLWKGILICDLLKDSDRLRYIPLPSLVHSLRGGPPLLVRDITVFRKDYIKFFDMCLYVGSDSWVIKGWEAATWRREVSWTEWKEDRRIKVSNDQAFSNQQGDDGTRPILKGVYSGFPSLSLHDDDVVYIMDKSDLLDKKASVMVVDMSNQTLKGAADFGSGRLLGYSLAYIQSAISKYLVFGALPVS >Dexi2A01G0028340.1:cds pep primary_assembly:Fonio_CM05836:2A:39636844:39647693:1 gene:Dexi2A01G0028340 transcript:Dexi2A01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESDMAAEIVPSGSRRSWLSAASISRSLRMGDPDDPFRRSTASRDEGDDEENLRWAALEKLPTYDRMRRGIIRKALDEGGTKLTADEVDIANLDPRDGRELMERVFKAVEDDNERLLRRFRDRLDLVGIELPQIEVRYEHLSVEADVYVGARALPTLLNSAINLVEVRTYPVLKGKAELGCSSEAPKGSQPTLAHPLEKKSLASPACAICSTVAHTQKATPLRPDATRHRTRQTLAMGPSTATGDTRLRRPRRCGGRARRRLAVCGLTLATLDGLLQGLVSQFVSSNKRKLQILNDVSGIIKPSRMTLLLGPPSSGKSTLMRALTGKPAKNLKMSGKITYCGHEFSEFYPERTSAYVSQYDLHHAEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNIMTDITLKVLGLDICADIIVGDEMQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNNTVMISLLQPPPETYNLFDDIILLSEGYVVYHGPRENILEFFESAGFRCPARKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKYKTHPAALTTKKYGLSGWESLKAVLSREWLLMKRNSFLYAFKFFSQFLAYFLTHQMAVAMFRLLGAVLKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWSYWISPMMYSNNAISINEFLATRWASLNTNANIDAPTIGKAILKSKGFFGGQWGYWLSIGAMIGFIIIFNVLFILALTFLSPGGSSNAIVSDDDNTKKSTDQEQMRQVTHGTNEAANRRTQTGMVLPFQPLSLSFDHMNYYVDMPAAMKEQGFTESRLQLLSDISGTFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIRLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENTRKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLLKRGGRVIYAGSLGVQSRILVDYFEAIPGVPKITEGYNPATWMLEVSSPLAEARMNVDFAEIYASSALYQSNQELIKELSIPPPGYQDLSFPTKYAQNFLNQFMANTWKQFRSYWKNPPYNAMRYLMTLLYSLVFGTVFLQKGKKVVRSINFHAKYSWLMSFFPLYLYRHSEQDLQNLLGATYAAVFFLGAANLLSSLPVFSIERTVFYREKAAGMFSPLSYAFALTVVELVYNIAQGILYTVPIYALIGYDWKADKFFYFLFFITACFLYFSLFGAMLIACTPSPMLASILVSFTLTGWNIFAGFLIARPALPVWWRWFYWCDPVAWTIYGVVGSQFGDVVKSVDITGGGSTTVKAFLEDNLGMKHDFVGYVLLAHFGFILVFVFLFAYGTKALNFQKR >Dexi6B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:6B:26161220:26161450:1 gene:Dexi6B01G0019370 transcript:Dexi6B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPYLPMLFFISQCSNYRAGPLPGSRCLVSFRRVVAIAMQGKSDATDSQRMHVGIRGGLEEEEDAQT >Dexi1A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:1A:4216088:4220289:1 gene:Dexi1A01G0005740 transcript:Dexi1A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVPLRAAAAPTAGSRAAADPVKVYSPDACAHLLLLLSLSLARPCVVDFRGFYWRSAGARVEYSSGEKTKIGINGFGRIGRLVLRIATIRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIHVVDDSTLEINGRRLQLQAKDPAEIPWGNYGAEYVVESSGVFTTTEKAAAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDCRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVSAKH >Dexi3B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:3B:2859615:2860737:1 gene:Dexi3B01G0004140 transcript:Dexi3B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKKVGSGDNAYREKYRTWADDATDFMLQWYVDYQKNKPATFRWKQQHHHLCAEALNARFGIGATRHQVYRHFRAFKEKWGWISKAMAKSGNGFDAASRKFNIPYSEKSPSKLGTGKYNYLTRPIKFFDLMEELFGESPCATGALAVDQGNLDAEDDRSESGSDDSFTAEDGEIDSDTIARTSPPVAYSNAHISLPLPVEDIITYSRAPAVGCSSGMKRKNKKSPMKKHRKEKAKRAKALENDKIAKSIVMLANSIASSVPAPTDPYANLWERIEDIPFPPRDKVDIASFLSKPEQVHLRNYLNAASDQSFGTWVTDYLGAKYGYSGGYTDQ >Dexi3B01G0021220.1:cds pep primary_assembly:Fonio_CM05836:3B:16120349:16121731:1 gene:Dexi3B01G0021220 transcript:Dexi3B01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPNDLVANVLGRLAPCSLAASRCVRKAWRAIVDARRLLRTDLLPLRLDGFFCCGQILLPRPHFFSRPSIGRRIGGGLDLLDTHDDMDLQIMDHCNGLLLLYNCERVVNPATRQWAHIPPSLHPCRGMEDFYADYCLIYDPIVSPHYKVFMIPLVPVTLSSNSKFKEDSEWPSSPYTTHLFSSKNGRWEEKSFIREGDAAGTIADMLPDRRQFQRHAIYMRGVLYVHCQNDSVMRITLSDDEYQMIKSPVESKIVDNGVFYLGKSEKGVYFALLWGDRWPQFRVWLLNESCGQMEWLLKSDTSLQEVVQNFPFNIDNKYSRPWIVNYVKDVKEAQVEEESEWDFDNGIVLETKAKVETDHQETFFLGFHPYKEIAFFWVSHTRVVSYHLNSSKVQELGILHVPSIMKSFPYTPCMLEVEQGVF >Dexi7A01G0023420.1:cds pep primary_assembly:Fonio_CM05836:7A:31388600:31389833:1 gene:Dexi7A01G0023420 transcript:Dexi7A01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPWPPDLTGSDSHGEPRQEPRPSPASPPAAGHLAPPTTDPEPPHPDLLPYSPLAPSQPQTTEIKLAKESSGIHISPPAATPAPATTSARRLSPSAAPFFPSVGRGKAQRWVDGSPSSTASSSPVASYRDALLRPSPPRSSLAAVRRDAAAEPSSFTASLTVETGQGLATVDAATPPVVQPLCDAEGFTTVVGRRSRRRRRQRLAQGAWTRPAAEARPPTISSGSASSPPDAFAVAVSGMSHGTANAQGTHRLRRRTGLGDPFEYAAVPCQRTANFLPAEVQAAFLLPGCALSAAAKGCWMGSIGGGLARVTSLGKPPQQWRPRCRRHPTRRPTSQRHVTPCQTATPRGPCQRSSGTPPALPPLTPVKAHRLQRPSC >Dexi2B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:2B:588481:589378:1 gene:Dexi2B01G0000980 transcript:Dexi2B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPLAAAPPPLRVSPELPLEIVEDIFIRVPPEDCALLLRAALACKTWGRLFASRGFSRRYRQRHGGAAPLLGFLANLADTGGAARFVPAAISSGGGGFRPFRADSHGYRAHDARHGRVLLSRVSTTNTTSAQSIFLGVWVPITGDTWRLPPLLRHRPVRSWNAAVICEYPFRVVVVGMDTRELFVYTYVPEAHAWFALAAGKPVTDQLDADVAGVLVSDALHFVLLKGTRILKYHLLTREVSTIRLPNRRRAYGPRITLMAMEDGRRLGFAEVCTIPAGK >Dexi5A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:5A:3998741:3999373:-1 gene:Dexi5A01G0005340 transcript:Dexi5A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPIAVLLSLLAAGAMADGNSTTPTPTPTPTPTPTPTPSTPAPYTPTPATPPAPAYGGGGDKKKLVVVRVEGLVLCQSCTKRGTQSLAGATALKGAKVTVTCRDKKNRVMAWRSPVANYDGYFHAEFGVERVEDYFMSDPRKACFARLLSSPDTKCNLVTNINGGVEGARLRDEGKRWTDQRGVENVVYAAGPLAFKPKMCVPTRHY >Dexi8B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:8B:21387685:21389247:1 gene:Dexi8B01G0012120 transcript:Dexi8B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDAASAGAGEHHHHYAAAHAHRARAAVAGPAMAARIRLEEKLRGAALPPSPATSPSRWSRLIGERETRRPTSYRLSRHDIHQQQQEQHAEGGILATTTGAGELWPPPPPPEPASAAAGSHRRAELTRTLSMVDVCAVCLEEVRERRERVTRLPCSHKYHSECVLPWLAIHPDCPCCRALVPSADALSPL >Dexi9B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:9B:6934188:6938754:1 gene:Dexi9B01G0010980 transcript:Dexi9B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGFLGNGSRNDSDIEAARKEFDRFFKETMDVENFLALRDLLPAARVLTDEELMTASTTCSRLLTEDPRQRLGANGALEVKQHPFFKDISWDTLAWQKAAFVPSSDSAFDTSHFTSRCSWNLSDETTYKTYEFEDSSDNKNRSCSCASKRQDGTGDYCGALNEFESDSDVDYHFRNSSFKVSDLTLLQNLSQLASINYDLLTKGLKDGPAKHTYQSK >Dexi1B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:1B:2013168:2014167:1 gene:Dexi1B01G0002500 transcript:Dexi1B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSFSAFNKFGLPGLSTATTKQDFYATKWSPEKDGERRKEMFFEFMKENVKEATVDDSFFIAAGLAAPVAAVIGKRASGHIPYVKSLRLDMVPNVIFVPMVTLFGIMGATAWQMGSKSAAKEEEAKDEERRTAAEQRKDQSNSKAP >Dexi9A01G0037270.1:cds pep primary_assembly:Fonio_CM05836:9A:41552786:41553353:-1 gene:Dexi9A01G0037270 transcript:Dexi9A01G0037270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATALSILGIREVYFGCANDKFGGCGSIMSLHKGSTSSDDLLGSQTPKPKGFKCTGGIMAEEAVALFRCFYEQGNPN >Dexi3B01G0024370.1:cds pep primary_assembly:Fonio_CM05836:3B:19010931:19015395:-1 gene:Dexi3B01G0024370 transcript:Dexi3B01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAGAHYSARTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGVAKKQTKEKGPNSASKSNKPSSKMPSRPESHSKATKVAAPPKDDDDESGEEYEEEEERDNTLCGSCGTNDGKDEFWICCDNCERWYHGKCVKITPARAEHIKHYKCPDCTNKRARA >Dexi9B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:9B:3527901:3530404:1 gene:Dexi9B01G0005960 transcript:Dexi9B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSPSGVTLIQPHADNGAYSFLDTTRPCATRFSSGSVTSEDSPALTPRLLSIKSSSSPDNYSQWPDRAAQSNRYLFDANAQARYAEYLDMMRVELDAQLGKLKGGVTGLESYALPGNGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGAVFRQAREMLGSVNASASDLQWENELQLHVFGSVIGECISGLQEELERKLYEQINITNTMGLNWKESITQFAAMREDLGALYKMRDVKALSSQLSEASTELSLQLSSEEELLKQIDKIREEYEDLRIESDVRDGLYQAVTRQLLDDSEDNMDGAAMNLSAKLSSLESIISEKDKALCLYNEENHRLKEKLAELEKGRLFQNHQEDPEVIKQESNEIILRDIEVEPHISPRKSNGHDLQYDELVKLNSSLEIEPGVLKKIDKKNVDHNSLTKKEQEKQLECILVSIMKLSEEFVEIEKKISVEATENSSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRSELQEAEAKVVILGDKINSHLSLLQKIYLTLDRYSPTLQQHPGLLDAFLKTCKLVADLRSKHNEGDMT >Dexi9B01G0028490.1:cds pep primary_assembly:Fonio_CM05836:9B:31116732:31117627:-1 gene:Dexi9B01G0028490 transcript:Dexi9B01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANMVAVAAILAALVVGGSCGPPKVPPGPNITASYNGKWLPARATWYGQPNGAGPDDNGGACGIKDVNKWPYSGMTACGNVPIFKDGKGCGSCYEVRCKAPECSGNPVTVFITDMNYEPIAPYHFDLSGKAFGSLAKPGLNDKLRHCGIIDMEFRRVRCKYAAGTKIVFHVEKSCNPNYLALLVKFVSDDGDIVQMELKDKASPEWKPMKLSWGAIWRMDTPKALKGPFSIRLTSESGKKLVATDVIPANWKPDTVYISNVQF >Dexi9A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:9A:8368393:8369383:1 gene:Dexi9A01G0013040 transcript:Dexi9A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAASAAPAAPMMNADSCGNHSKAFQDCLSHYGSDISKCQFYLDMLNECRRGGATA >Dexi2A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:2A:8660031:8660382:1 gene:Dexi2A01G0008650 transcript:Dexi2A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAPHEAGQEERDHKFITKAVDEAYRAVDGGGGGPFGAVIVRGDEEVVSCHNQVRKDTDPSAHAEVTAIREAN >Dexi5B01G0027670.1:cds pep primary_assembly:Fonio_CM05836:5B:29211797:29213940:1 gene:Dexi5B01G0027670 transcript:Dexi5B01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYECLTSCRTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNSTSVRMITVFTGNVKGWLDQLCEELSERIQSDLNQNKRIAQTLTLHARASKENERDSVKKFPSKSCPLRYGIGKIQDDAMKLFESGLHEFLESQSTGWSITSLSVTASKIFDIPSGTSSILRYIKGPSSAASLDLPASSSVPEDPSLGDVLDSSVCCLEKKNPLTLLKCQYASVDNQLNVTPIHGEQCEPSTSEKVDGKRYSANEGKKISKKLPEVKVSCPFASVDIGYHQTDSL >Dexi8B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:8B:27834998:27835429:1 gene:Dexi8B01G0016710 transcript:Dexi8B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDHSVVRRQRAAEARVVVAAEAEQRHEVGHVAVAVDNVESSSASEKKKRGRKKQQEEEEEEETCSVCLAELEDGEAVRVLPACMHYFHTACVDEWLRKSATCPICRAPLTMVAAKAPKVAGAAS >Dexi4A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:4A:726837:730143:1 gene:Dexi4A01G0001090 transcript:Dexi4A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYTIIKEVGDGTFGSVWRAISKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKNRGKPFSETEVRSLCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATVYNAAVDMWAMGAIIAELFSLRPLFPGSSEADELYKICSIIGTPNQRTWPEGLQLAASIGFQFPQCESIHLSEVIPSASEDAINLISWLCSWDPRKRPTAVEVLQHPFFQPCFYVPPSLRFKSTGYASTPPSVGAKGAVDQKNARRYSMGTVPNGRPTVNYSYLSNNAPVRAAGVQRKLELEHQPPEINHKVTKANAMNQSWIKPAVRSNGAYLAKDQSPHAPDLAEKLSQLSMGPNRVSGFGSERFTDLKARPHGNAIKRPLPVGTRAWHGPADPFRRPYEMPGDRALLPRKLVS >Dexi2A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:2A:33476844:33478291:-1 gene:Dexi2A01G0021380 transcript:Dexi2A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASCSAARSSHILSTTSLPLSAFCVNLSAQRRSTSSATLAATAASGAHRMRPLVGPLRVRRVAAALLHLHDPPERGVPGGHPHVAPRRVHVRALDAEHHHVAGARRRGEEAAEEEGERRGPAGDGAHGAEAAGSAVHVAGQRVDVEDVGERAPGCVPRRRVRELAPRCGGDAVPGVAVHVLLRVGDHHGCAVRGETPEGGKGREGASCGCR >Dexi5A01G0033350.1:cds pep primary_assembly:Fonio_CM05836:5A:35667690:35668895:1 gene:Dexi5A01G0033350 transcript:Dexi5A01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLIHATVDFLTKDRPFFPPTINSPELHDFFVNVASEMVGSKNVRDSEPPMGAEDFAFYAEAIPSTYYYFVGMYNETRGKQALHHSPYFTINEDTLPYGPAAQAALAARYLHEHQHLAASSVKAETHDEL >Dexi5A01G0022900.1:cds pep primary_assembly:Fonio_CM05836:5A:27020428:27021630:1 gene:Dexi5A01G0022900 transcript:Dexi5A01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTMRTASMRPSLKARRNCVPMSSKDCRKRSAAQVMKMIEHQHHPQPVKVPVNVPERADLDEARLAHAAAVGWGAEELARLLHGPAEAVEHVTAPEVLVDVVVIPPGGVRRGATAAALDLRADDGVDGRDDAREEGVLAVHGGLLADSARDAGVERVGEVGGGEAHLRVGEEEHEVLPLVPDVVALEAEEGAEPVHEVVVGAPLRVGRRAQAPDRPQRRRGRAHLGEPQRRVVGEEVVDGEDVVGLAAARGRRRRGGGRAALGGAQRGLG >Dexi7A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:7A:28660606:28661439:1 gene:Dexi7A01G0019460 transcript:Dexi7A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTSAANHGQVLRETTTSSRCVTETFTGTHNFEVRDFSLLKGMGVGEFVTSAPSPSAATTGTGTSLSTPTERLGGVPRNISCDTFLYLNDDSLTIRCTLTVFKSRTEDVNTTIISVPPANLNQVLEHMLKDRKGVDVTFYVDGKLFQAHRCVLAARSPVYDAEFFGPLKKNHERPIKIIDDMDPTIFAGLLHFIYTDSLPADLCNSDENTTIASCR >Dexi9A01G0046870.1:cds pep primary_assembly:Fonio_CM05836:9A:50089812:50093613:1 gene:Dexi9A01G0046870 transcript:Dexi9A01G0046870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTAAPAAGCGADGVYRSPRLPVRIESSPGLALTDLLLRRTDACPTALALADAATGQALTFAAVRSAFLTTAVALASRAGVRPGDVVLLLAPNCVLYPVCFFAVTALGAVVTTANPLYTPREIAKQVTDSRAKFAITVSELLPKIAGLGLPTILLDGDAASATASVPPGGTSVTLYSDLVAGAKETEYRRPQIKQCDTAALLYSSGTTGASKGVILTHRNFISAAAMVTADQDEHGEGPNVFLCFLPMFHIFGLSVITFAQMQRGNAIVAMSMPRFDMDYVMASVQRHRVTHLFCVPPVMIALAKIGRAGKYDLSSLKFIGSGAAPLGKDVMEVVARNFPDAIIAQVINRYFNNVQATEFTIKQGWLHTGDIGYFDEGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSPVSSLTEVDVQKFIEKQVASYKRLRRVTFVDSVPKSAAGKILRRELIAQVRSSKL >DexiUA01G0011350.1:cds pep primary_assembly:Fonio_CM05836:UA:22926200:22926745:1 gene:DexiUA01G0011350 transcript:DexiUA01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLPCAAASSTSSPSSAVSVPDPDLEPPEPAHDDEAAAAESSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVISFYRIHH >Dexi5A01G0037150.1:cds pep primary_assembly:Fonio_CM05836:5A:38516662:38517285:1 gene:Dexi5A01G0037150 transcript:Dexi5A01G0037150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Short-day flowering promote [Source: Projected from Oryza sativa (Os01g0922800)] MQIELMPCYRVFHFFSIEDTYERYQRFAGTGRNVTDGDRNNNDDATASDLQSRLKEITTWSEQNNTDESDANELEKLEKLLTNALRDTKAKKVHFGGFVFCHCCT >Dexi2B01G0027920.1:cds pep primary_assembly:Fonio_CM05836:2B:36687230:36687743:-1 gene:Dexi2B01G0027920 transcript:Dexi2B01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYQMRGNYSVKSDAFSFGVMVLEIVTGRKNSSSSEGYYRPQQSEEDLLTTVWEHWEAGTVAELVDPSMDSSFPADPAARPVMSSVVMMLGRDTVTLQAPSKPGLFARQNSTDDTAASTASLTG >Dexi2B01G0030640.1:cds pep primary_assembly:Fonio_CM05836:2B:38906274:38910321:1 gene:Dexi2B01G0030640 transcript:Dexi2B01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKARGGGDDLLDSSDADSVGTSSTALSDLSISYATEHVNSQEFVLDKYIDDLYEKRGSTREAALVKLVDAFESFMLHGLVENNSTPVLLFYDTVSGCSLLEHLGLLAITLGAGSSSHEIMEESHPQLCRVLQAWSDASKMISALDCLAVITFVGATDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPPVLAAAISAWTFLLTTIGSWRINTDSWKEPIAFLSTLLEADDRAVRMAAGEALALCFELKLLDVSSCEDDDGNTGGTGGSKNKLFLDMQALKAKISGLATNLSAEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESIKIAGKRDVLRVSSWSELIQLNFLKRFLGKGFLKHVKENGLLQDIFDIKVDTTETLSSTDKKIFRSEEEKERALKLNKERRLAQARKNAAMLVE >Dexi9A01G0031350.1:cds pep primary_assembly:Fonio_CM05836:9A:36292983:36295033:-1 gene:Dexi9A01G0031350 transcript:Dexi9A01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLGGVQRVHIVDGTVGTRMAREEDLSGIRKIIHPLEESGVLNVEDKVKETSYLVCSPRLQGVLD >Dexi9B01G0025250.1:cds pep primary_assembly:Fonio_CM05836:9B:25383961:25387388:1 gene:Dexi9B01G0025250 transcript:Dexi9B01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGVALLFLLLVTLAATIAPPSEASSAEGSEASVLHAFFASLPPASRRVLRPSWKATNVATRRVSSRHCAFLGVECSASGAVAAVNLSGLGLSGALAESAPRLCALPALATIDLSWNQFTGPVPATLAACSKVTALHLASNNLTGEVPPELLSSSRLRKIDLSYNSLTGGISAEPHPSPVLEYIDLSVNALSGVIPPELAALPALNYLDLSCNNMSGAMPEFPERCRLVYLSLYTNKLDGQLPQSLANCGNLTTLYLSYNEIGGKVPDFLASMPNLQALYLGHNRFVGELPASIGKLVNLEELVLSDNRLTGTVPNAIGNCHSLTMLYLDRNNFTGSIPLFLGNFSCLQLFSLAHNGISGRIPTEIGKCRQLVELQLQNNSLSGSIPPEIGQLGQLQRLFLHMNNLSGEVPVELTQLRNLSEIALFRNNFTGVLPRALGLNMTPGLVQVDLTGNGFHGEIPPGLCTGGQLSVLNLGDNQFSGSLPLEILKCESLSRLILKNNLISGTIPASLGTNTGLSYMDISGNLLEGPIPHELSALSNMETLRMSSNRFSGPIPRELGNCKNLLHLDLGNNLLSGRIPAEITTLGSLQYLILGANNLSGTIPDSYTATQNLIELQLGDNCLEGTIPISLGNLRYLSKALNISHNKLDSQIPSSLGKLQDLEVLDVSKNSLSGSIPSQLGNMVSLLVVNISFNQLSGELPDSWAKLAAKSPDGFLGNPLLCIASDCAHDSKNQRRKLRYRNRIIIVALLMSSLVVMLAGLCAIYYIVKRSQRLSASRGSVRSLDTTEELPEDLTYDDILRATDNWSEKYVIGRGRHGTVYRTECNKLGRQWAVKTVDLSQCKSPIEMKILNMVKHRNIIRMDGYCIRGSIGLILCEYMPKGTLFDLLHQRKPQVALDWMTRHQIALGLAQGLSYLHHDCVPMIVHRDIKSSNILMDTELVPKLTDFGMGKIVHDEDADATVSVVVGTLGYIAPEHGYSTKLTEKSDVYSYGVVLLELLCRKLPVDPSFGDGIDIATWMRTKLKQAHHCSIIGFMDEEIMYWPKDEQENALKLLDLAVSCTQVVYQSRPSMREVVNSLMKIGK >Dexi2A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:2A:5267002:5267860:1 gene:Dexi2A01G0005440 transcript:Dexi2A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFSYSVSKTTVASVCNTNATDGVLRTTFTTLAYLIDGPNGPFLVSLARNILVGVGVEVQPVAYTFNTVPGAQGDKYLVGCHSSLWGNLELASNGSCSGRGCCQASLPETMPLTGVSVGMPTKTLNNSLWINNPCSFAMVVEDSWYNFTTMDLYGNTSNKFPRGVPYVIDFAIRNAKCPVRGQQPPLDYACVSGNSTCADT >Dexi5A01G0024270.1:cds pep primary_assembly:Fonio_CM05836:5A:28136633:28143308:1 gene:Dexi5A01G0024270 transcript:Dexi5A01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTATGRDGEEKKTKGNSGDAGDKKVSFTGLFRYADGTDVLLMLLGTVGALANGVSQPIMTIIFGQVIDAFGGAVAMDDVLHRVNKVGKFQQLIATFIGGFVIAFVKGWLLSLVIFLVAISVKQVVSFNGEKQAIAAYNKLIHKAYKSAVEEGITNGFGMGSVFFIFFSSYGLAIWYGGKLVLSKGYTGGDVINILFAIMTGAMSLGNATPCMAAFAEGRSAAYRLFTTIKRKPEIDPDDPTGKQLEDIKGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINITSLRLEWIRGKIGLVNQEPLLFMSSIKDNISYGKEDATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKDPRILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLSTVRNADCISVVQQGKIVEQGSHDELILNPDGAYSQLIRLQESQAEEEQKLDRRMSDQRSKSRSLSLKRSVSRGSAGNSSRHSLTLPFGMPGSVELLEGNDANEENQKEQADDSETPKKAPMGRLAGLNKPELPILLLGALAAGVHGVLFPMFGLMISNAIKTFYEPPHQLKKDSSFWGLMCVVLGIVSIISIPVEYFLFGVAGGKLIERIRALSFQSIVHQEVAWFDDPKNSSGALGAKLSVDALNVRRLVGDNLALITQVISTLITGFVIAFVADWKLTLIILCAMPLSGAQGYAQVKFLKGFSQDAKMLYEDASQVATDAISSIRTVASFGAEKRVMTIYNKKCEASKNQGVRTGMVGGIGFGFSFMMMYLTYGLCFYVGGQFVRHNKSTFADVFKVFFALMLATIGVSQTSALASDSTKAKDSSISIFALLDRKSKIDSGSDEGLTLDEVKGDIDFRHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKGLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGEVTEEELIKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMVGRTTVIVAHRLSTIKNADIIAVLKDGMIVEKGRHDTLMNIKDGFYASLVELRSASS >Dexi9A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:9A:7887346:7890882:1 gene:Dexi9A01G0012400 transcript:Dexi9A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIFRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSGILISIMLDIQPLPILQPFGHQALCQKFRDNYFKENGSVQIGKKEATTIGNLCAFQFWECAFKDKYCLGYLKNVVNTQEPEESHTFLAKPEEEWCAIHNLAPAAFKNISEIYDDVMKQLHRFRPSFLVKINPPKYLLPSKFNHTCLHKTMELEEDMDSLSLEADNSPCDSHKRCAATPYVSQTDFGTPTIVEMLKTLVKEMKTHHVEEKAVSYKGRFGPHVNPTLGTEACVFFVNGSCNQGAACRFSHSSLAPKPVCKFFLTLQGCRNGSSCPYSHNTGSLISTPMTSGICSQEGRATSLCCTRLFPADGDGHILILNDKNLQFSSNLSQYYDACKIVAGTPGLQSLESYSVPKGLKILQNLADPSSLITAREHKLSVPWTILKRVFWFADFDNEESAGEHVLLQKFFESIAIKILSERLSDLQVILIMKNTRYIQLQVERLARECFFFLSESFMFDEATLGWFSDISRHPRGMQVSAPVTYIFNMHPPSITQFGDYPAELRKALCRD >Dexi2B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:2B:442521:442865:-1 gene:Dexi2B01G0000890 transcript:Dexi2B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHNLMFGVLIVRLVSECVEDVITSVHCVTQHLQLLEHGMICDVPSHGLVHDDLCPEQELCELVVHIKHLWLGAFADDEIDASSGHLTKDCRQ >Dexi4A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:4A:17969639:17971330:1 gene:Dexi4A01G0015210 transcript:Dexi4A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWVAGPVILLVFAAITYYTCCLLGDCYRVGDPATGKRNYTYTEAVESYLGGWYYANMFGTGIGYTITASASAAAILKSNCFHWHGHETDCTQNTSAYIVAFGVVQVIFSQLPNFHELWWLSVIAAVMSFSYATIAVGLALGQTIAGPTGKTTMYGTQVGVDVADTTQKIWLTFQALGNIAFAYSYTIILIEIQDTLRSPPAENKTMRTASILGVATTTSFYMMCGCLGYSAFGNAAPGNILTGFYEPYWLVDFANVCIVLHLVGGFQVFLQPLFAAVEAAVASRWPCSTREQAGGVNVFRLVWRTLFVAIITLGAVLLPFFNSILGILGSIAFWPLTVFFPVEMYIRQREIPRFSGVWLALETLSFFCFVITVAAGVASVQGLRDSLKNYVPFQTRS >Dexi1B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:1B:2795607:2798105:1 gene:Dexi1B01G0003520 transcript:Dexi1B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVRDRVVPAVKAVCLSEPSVSESDGAQPSPWRAPRLFIHASRRPPALAAATAAMAAARRLFSFHLALQPRAPARPLAAASGGVATPHRRGKHDAVACKATGKAKPKSKAKAGNNNKGGERLQRRPLEEHLKRRTRSAAAFDAGLYGRHGHAHHVPVLLGEVLAAFRRPRPLRSFVDCTLGAAGHSLAMMEAHPEMELYVGMDVDPTALEIGRGHIEAFLAGREANRGEDSSLQGTLRAYTHVKNFKYIKQVLGSVDESLAVGSSGVDGILIDLGMSSMQVNRSNRGFSVLQVGPLDMRMDPKVRRQGWIKTATRVFQALRIAVNDELRVLEDSLHSSFDCLETGGRLAVISFHSLEDRIVKKTFLELIHGGEADNEEDDEDDLAFPDIDDEDEPWFNQRVQGRNGIVLTKRPITPSQEEEKLNQRCRSAKLRVIQKA >Dexi5B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:5B:3513620:3515017:1 gene:Dexi5B01G0005330 transcript:Dexi5B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTWSDVAVGAGERWGRRVGAVVGDPGEAEVGEAGLVALAEEDVVGLDVAVEDRRNAVVVEVRESFGHAHGDRQPHLPLHHGGAGGHAAADAIAATVEEVAEGAVGEVVVEEETRPAVGSPAEEAHDVAVADTTPTSHANASAAEASSAHDDRNFFTAMVTPVDGTVARYTEPDHPWPITFAGVRLRATSPTLMSSFWYSVTSHGGIISASPLSPAPPRTEEPLLRRTRSNAAAARSATTAESSTAMATLAARRAASSRSLASPGHRERQSAGLPSTSLVGSFAKNGVSGDRDVERDEVLERRQRLEIAGELVVRHVEDAETHQPGELLGYRAGQAVAAEVEGDLQPRNLADARRNLAGERVAAEVQQLEPRARSDLRRYLTRQPVPAKDELGQRPELADVGGDDAIDGVVGEVEVLEREHVVEDPRRKNAGDGIGMEVDEGEVPASGDLRRDGT >Dexi7B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:7B:24920186:24923992:-1 gene:Dexi7B01G0019420 transcript:Dexi7B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAGRLKNMYREKKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFYNIFPRGVDNDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQAIGDGILTPAISVLSASGGIKVQNQNMSTGTAVVIVMLVTTFLMVPIMLLVWKSHWVVVVTFIVLSLMVEAPYFVACILKIDQGGWVPLVIATAFFLIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFLVRRIGPKNFHMFRCVARYGYKDLHKRDEDFEKMLFDCVLFFVRLESMMEGYSDSDEFSVPEQRTTGGNAFMAERMTCNTMCSNGELSFSSQDSIVPAQSPPLRGARWSASAAGQGSTVGDELEFLNRCKDAGVVHILGNTIVRARRDAGVVKKLAVDYMYAFMRRMCRENSVIFNVPHESLLNVGQIYYI >Dexi3A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:3A:16742144:16746601:-1 gene:Dexi3A01G0021110 transcript:Dexi3A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPITTGPPPARLAAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQHKDPKVQFLTLTLLETMMKNCGENVHFEVVDQHVLQEIVKIVHKRHDMQVRDKALLLLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPVDAPPIFTPPATHQAYGSPRYPSGSLNERITSGVETLSLEGLNNIRNATELLRDMVNALNPADRMAVKDEIITDLVNQCRSNQQKLMQFVSSTGKNKSVISSDEASSSAGDQAPVSVDPELSEFSSSVASNALVPVDSTSVSGTRTKEQDMIDLLSLTLYSPPESSTDSSTQSQNETQPSVSSNGQVLPNYQPTAVNGANYPANSQAYPANNGYAPYNNYVAPWAQTGPVAQPGAYPTQPQQYVPSYPTQPQQYVSSYPAPPWAMPPSVNSANPFQSATYQNPNIPVPSVAPAGTYPAPSKQYAAPPMQNVPTPNPKPMQSYSSLVSQTNNGPSMSSDARMNGTQRPKESPATAARPYYMPDNLFGDLIDVKSFGAGSKINRSTSMPSPKGGGQPMIGRNK >Dexi1B01G0021360.1:cds pep primary_assembly:Fonio_CM05836:1B:27278018:27278537:1 gene:Dexi1B01G0021360 transcript:Dexi1B01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDGTPPVAVSSPRTASRVSRVSFSSASDAHAPLGGGCGGFAPRRFGALGSGSPSPRPSTGPLAPDPDADAEASLPLLHRKTDFGDSLEHLAEADIYSEGKGRRRGGGGEIEAGEVVERAVKELIEVVAPVSCCCGGAGVVGGHRRKRRSAASPEKPRRPCS >Dexi5A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:5A:3894079:3896826:1 gene:Dexi5A01G0005140 transcript:Dexi5A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAANNHEEESSPLLPAAVGAAVPADEKPPKDPAPEPNKHYADGVPVVMGEPVAAHAIPRESWNSGILSCLGRNDEFCSSDLEGSFEAFTKQCGCCGGLVEDEERREHLEVACDLATHYLCHPCALCQEGRELRRRAPHPGFNNGRSIFVMMPPMEQTMGRGM >Dexi8A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:8A:23150552:23151401:-1 gene:Dexi8A01G0013140 transcript:Dexi8A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKCSAILVSLGFTLLVCSASVVAAETHVVGDSKGWGFSVSYDSWSSGKTFAAGDTLVFNYQAGVHNAVAVSSSEYRSCKVRSASDAAATASGTARFDLRKGVNYFICGVPGHCAAGMKIRVVAN >Dexi1B01G0028860.1:cds pep primary_assembly:Fonio_CM05836:1B:33291854:33293311:-1 gene:Dexi1B01G0028860 transcript:Dexi1B01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPLHPVTVLEQCDVSPSPSPAAGQPPALQLTFFDLVFWGFPPVQRLFFYDNPDLVGVVSDFTAGELPRLKNSLAAALHHFYPLAGRLTCEMTDGVAPPEVVFAHGDSVPLTVAVSGDDFGDLAGDHPRDTARLRPLLPMLRKHSGQSQDVLAVTVFPRAGVCIGTTLHHAVADGSSYAHFIQTWASIHHVDECTKATAMGDDAPPLFDRAVVRDDAGLREAFLRDHLALAKSVDTRLDEWDLSRRSTGDVVLATFRFTDTQLRALGKHVESETSARCSPYALACGAAWAGIVHARRRCDMGGEVVAGDMVGASSQDRFGFVTGCKPRARPPIPASYFGNCLGLCSVESTRLVNGGGGLTAASTAAAAIWRVIEGLGEEGRALRDARGWVRSVREHAAARAVTVAGSPKLRLYAAADLGGAWGRPRKVEIVSVERTGALALAESGRDGEGGIEVGLALPRAEMEVFRKFYVGLFDSLSAVS >Dexi5B01G0034470.1:cds pep primary_assembly:Fonio_CM05836:5B:34698888:34701203:1 gene:Dexi5B01G0034470 transcript:Dexi5B01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIASVTFWRIIRKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERQIRLRMLGLLGIVTSIFTAVVLISLLALHGNGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIIIPNGCGSFLGLTQLILYAIYRNNKGGAAPAGKGEAAAAAEAEDAKKVAAAVELADAGTNKVASQV >Dexi4B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:4B:20461045:20461398:1 gene:Dexi4B01G0018060 transcript:Dexi4B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASGSWPSPATNLNTRVVPAGLRSFRFSRLHASIRLSFPMERCLIGQGHAMPIPFLSFSSSSRPSPLLTCRTTRIHGPRPPSSSSSSALLAVVGHLRRLSWMAPSLHAAAAVVVA >Dexi3B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:3B:4041448:4042153:-1 gene:Dexi3B01G0005900 transcript:Dexi3B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKVTKGELQRIQKAREIKKRKNTSGDEEEPDGEKDVCAVCDDGGSLTCCDGVCQRSFHLVDREEDGEEHDCFEKLGLTLEQAKMIIDMEQGFICKNCQYKQHQCFACGLLGSSDDTSSQLEV >Dexi7B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:7B:12432885:12434951:1 gene:Dexi7B01G0005170 transcript:Dexi7B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSPPRAQGVGDLPVPVPAAVVGDDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRLSSITSDLFPSPSPAAADPDGSSAEQESPAAAESLAAFEAAEQLIHLWDTTPEALVFEAPEDDAAHYLAAVDVAVDHLAASSPAVSGRAGVAVQLAMARLEDELRHLMLRHAVPLDASGLFCSLRRLSLGSMDDLDTSSEFDPATPHSQDGAETARSASIAGNNPFEDQVFDLVRPEAVDELRAIADRMVRAGYDSELAQVYCAIRRDLLDDCLNVLGVERLSIDEVQHVEWKHLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLSASDDLRDECFVESTKGCIMQIRNFGDAVAVCSRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDILLDDTSAAGVDHNPLHNGTDEDEEYLKSLTPLGRRLVKLISYLETNLDEKSKLYEDAALRCIFSMNNTLYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRVSWTKVLSHLRDDGHGSSGSGSFSGSGSSSSRIKEKIKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGRYIKYTPDDLENHLSDLFEGSPGSANHSRRR >Dexi2A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:2A:8435010:8437568:1 gene:Dexi2A01G0008210 transcript:Dexi2A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVPDTTASSGGRRRRVLMFPVPFQGHITPMLQLAGALHARGGVEITVFHAAFNAPDPARRPPGYRFVAVGEEGSPSDDLVPSGSDADFPGALLRISDHLREPFRERLREELAGVGDDEEEEEATPACLVVDSNLRGVQMVAEELGVPTIVLRTGGAACLVAYMAFPALCDKGLLPPASQDKAQLDMLLDELPPLRLRDMLFSPTTPHANMTRCLQNIVDAARSSSGVILNTFQDLENSDIQKISDGLGIPLYTVGPLHKISSGTESSLLEQDQTCLNWLDTQEADSVLYVSLGSLASMDEKEMLETAWGLANSQRPFLWVIRHNMVKSSHQVSLPEGFEEATNGRGMVVPWAPQKEVLGHRAIGGFWTHNGWNSTLESICEGVPMLCRPQFADQMINMRYVQEVWNIGFELEGELERGKIEVAITKLLCSEEARQMRQRAKDLRDKAVKCIEEEGSSKSAVDLLLKRIMSF >Dexi5B01G0024440.1:cds pep primary_assembly:Fonio_CM05836:5B:26474332:26477171:-1 gene:Dexi5B01G0024440 transcript:Dexi5B01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPALAAGGRTVANLLSATEWMLPSPASQVHTIAVLPSHSPTPHHELTFSNLTTSLGNGGGGGKGGEAGGARFHVVRDDFLHPLANGNKARKLDALLPLLRRHGATDLVTCGGCQSSHAAAVVYAKRDEMLYEHATKVAGSNGTVMWADDIIAEDLVVDEDTTDGNCSRRVMIVKEGAESCKVFNDVPSTIFVTLHISSCRLQWRVTAIMLADTLERYRQQEKSLLSDFEKLYPGNFLGMVENDTHGSIVQWVERFSPRRFGKVLDGEISMCRQVAQQTGILLDPVYTLAAWEQAVDLCRRDSEAKVVMIHTGGTLGLFGLAQRYSPQFTTDEQS >Dexi9A01G0044090.1:cds pep primary_assembly:Fonio_CM05836:9A:47705560:47707172:1 gene:Dexi9A01G0044090 transcript:Dexi9A01G0044090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLLSLVLLLMATTGAVAFGIPRGAPAFGIERGAPWDYCFRYNHFVAAPFYLGTAAAINLGNTNSCIAGYDFAPGSTYYQFCIPSWVAVTDNGTLSGEAAMDHAALSPGTAISGLGDHVVQREMELVPYKITERLGWASIQVEAGQDGRAKEFSPADLAGILISELKHKAEAHLGREVAHAVIAVPIHLTYTARRALVAAGRFEYGFRGVKVIDQQISAAAAYQHHTKQGDGKAVLVFRLGGRTSDATIFKFINGTTRYITARSDLFLGGKIVDYMVELISQQHQWDIRQDKKALLRLRVACEHAKKALSDQEETLVQVDSLVDGVSFSAPLTRAKLEELNQDLFDRAMGLLEEVVMGTGKPRVDSRKDMVDEVVLVGGSARIPKVRQLVKEYFHGREPNNRQGVEPEEAVVLGTAILSRPEAARYIEECFDHLYGDG >Dexi3B01G0022520.1:cds pep primary_assembly:Fonio_CM05836:3B:17319029:17325481:1 gene:Dexi3B01G0022520 transcript:Dexi3B01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLKAQAHTAELKPIGHRKDHTDPSLPSSSSWPAPATMTTVTSSSSPTSSPSTWTGPPKAAWPTFSPRSTAPLPRPRLRHSARLPHPKPQAPPXXXXPPPFRPPPPPQAVASAEHRVSFRGWLGAPRHWDLWVAKLRPLHGPLWRRLGIYDAVLASTYRFKPDPSLVLHLASFWSPATSTFAFPWGEATLTLHDVAHIFGLPATGSPVTAPLRPEWCPDEAGLNGVRLGFNRSACKKAHLSAWIKHFLTDQNDVVLEHAAFLALWLTRFVLPGHPDATMRQAIFPIAVRLAQGERVALAPAVLASLYRDLRDTKAFIGAAGAAVATGNADMLSSLSLYSPLYILHIWIWERFPALRPGKENPLGDGEPMAARWHDLSRKINPTRIREVLCSRDNFLWQLPYATSLKKYSGWVCSSDLTGNDQLRLLAHCLRPCELVGMDCIEQYLPHRVARQFGLDQDVPMDVRRANQNWFLAWQTYELDGKNVSLFIPQSEPGITSRYAQWWRQRLQPSDLHAGAQSIPVQSKPSKRKVKKTPAAMEAEAEKERRMKKARVSPSDKKRRLEDLYDPKLTGWPSAGRNGLSEAAGSYCKVGSLPNYDIGSDDALLPNIGATNDDVVLLVPRMQTITPAVAVPKKNDIMNLAIGDVEIYSDELEVGATAMCEENPHNPVDRSFDITNKSEGDTVTMKSKEAMEISAARSLGITDRPQEGATAVMELEKEAMETHNIAEDDITKVPQSEYEKLRDAAPIEEDTKEKPCAAGKDLAEKDVDESTEVDKVKKAQREGCELLMEKDGDNTTDALRVEQIEEGHTIALKEKGIHDHVEDIAVVEQVDGQTKISTIIGMKDTPEEITQAHEKEPDNAMTKDSKNSTDSEMPCSSDTIQLNGSMMEKQCIQNVELNNQREASSDAAGMKVEGVFEHITMDTHEVDVLKVALTQKQDNKIMGENRALTVLEGSHILDSGVKYDLATLEVDEIHTAGGIQNQEISDLDKDVALKQDHIITLENKETVVSEGSHMLDSIVKCDLVTLGADETHTGGGIQNHEIFVLEKGVQEMAVKQKQDHIVICENKETMVSGGSHMLDSLVNSDSVILDTDETLGGGIQNQEILGLDKGVEEMALKQKQDHIIICENMETAEQQGIHMLDSRGKSDLITLEVDRTAAEGNENQDILDFNKQQGMNEVHDLGTAVENNKINVKEDEDVPVSSGYQIGTAIENSKMNMSEDAGIPDCREHRIDPTSMEVKEVESTKKLHNQELLDNKEQLAMEERQHLGTTIENNKITLPNEADVLVSGECQINSTGTEATEDKQCHEVEHVNEERILEDTIMIDSGELKSDATDVEVDMAGSKEGTLNQYALSVVTDAAVQEKQDQEMASEDINTDVADTDALECRLKPDGVVNMSLSGEMEAAAQDNQDQEMADVGIADESRSQEAIVQEKQDQEMAGEDTNRDVADMDALECGVKPDGAANISHETLLTTQSVDIAGSKIPSENKEKVAPFEEHNITEVAGFESNETTALLLEPENLVKVEQESLENETEGSILRKNDKASCKEQTSSCVMISPSNVDDQCEDDNGWAEESAKNYDKLASDSINTGCHHTVKFGKSSNEEVKRAQHIRSMYLKDIKESLGRIRAEPVNRVQTATSRHTVQESHSACKEIRVPLRDSGRDFGRDRSVELVVTSPAEDTSRWRQEQYALQILEDVHNARIAEKTRMEMEIRILKAQIASMERQVMNLDHFSEVKSRSKRH >Dexi6B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:6B:10950375:10950964:-1 gene:Dexi6B01G0008670 transcript:Dexi6B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDPEPAPADDKCPAPAAAVEVEEARPPPPAGPAEEKRPAQEAVAAEVEMRPLPEASGTPSAKDPEKEAKVGKGVEKAIGDETKEKREEDKGKGVKQEQENVEDAKEKAEAKVHAAVKVEGTEKEVKATRRPAGASAETPILAVPVVAVPCFIAPPGFASVT >Dexi1A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:1A:4504896:4507165:1 gene:Dexi1A01G0006020 transcript:Dexi1A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCLSELELEAFIHGPAGAAAAAAAGNKPEHDIAAQVPFGAGVFPPADLSAFSFADSVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALSCGLGSLGLSPVLNQRQACRGPDVLSGLDFPSDDACFAGLSPTEQVQNSPLQSMASLESLENRLASEVTSCGGPGVDAWAWDGGLSK >Dexi3A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:3A:11330629:11335496:1 gene:Dexi3A01G0015250 transcript:Dexi3A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNLLVSALGVGVGVGLGLASARWAAPAHGEGGGAGGGAGAGAAEVEAELRRLVLDGRESEVTFEEFHNFHYYLSDQTREVLISAAFVHLKNAELSKHIRNLSAASRAILLSGPTEPYLQSLAKALSHYFEARLLILDATDFSLRIQSKYGGSSKVMVRNQSVAETTFGKMSGLIGSFMTYPKKEEPRESLRRQTSNTDLRARADGSSSEPSLKKNASVSSNMSDLASQCSAHSGVSIELENLMTQSLSHGLSIFQGGHGGKDTLKLEETKDGLKGALGSKKTETDKSATVPVGDGPSPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGILSKSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELERREKEAKEKGTSAENPKAPESKENLESKEKNSESKENSDGKKDSSDATVGEKEATIDLRPLTMEDLKQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >Dexi5A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:5A:2704385:2708021:1 gene:Dexi5A01G0003570 transcript:Dexi5A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSRCSNNGHNSRTCPARSGGGGVRLFGVRLTTAPAPAAMKKSASMSCIASSLSCGAGGSSPPAGGDGGGRGGGDGGAGYMSDDPAHASCSTNGRAERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPIDESPAAVEQFTLQNTQDEATSSNQLPTLHLGQQKKAEFAKQLPTFQLSQHEESGYTEPALPLPDLELNSSIPCKTIPVPMPAFYPALVPAPLTLWPPSFAHVEEAGTAHEILKPTPLNGKEVVKADDVVGMSKLSIGEASSVSMEPTALSLQLIGSTDARQSAFHVSPPINRPELSKRNSSPIHAV >Dexi3B01G0034770.1:cds pep primary_assembly:Fonio_CM05836:3B:37467411:37468650:1 gene:Dexi3B01G0034770 transcript:Dexi3B01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHVSPIPTAIHGAAGGGEGGWMLLEAFAYFEDVKNTTTAISATPDGKHIQVTFCARAPPLLSRFCVHTPDGAAMHWEPLIIDIGLLRRRPGSTTGGGEYIVAGFILTPYDPRTCTLCLYDSKLADWKVYALSLSRQGQQEYGGKMQTLRHKNHKVVTIGGDAGTMAFVDLWRGMLFCDVLQLKPDAAIDLELEELEETQQSKAIPLLGYVKLPDDLRRMAKRKGDARLYRDIAFVDGNLKCVDLSTRSLWSRQATTSFSGGWSQQYKIASFKEIEDSSNPCPDLLPGYKSMPHRSFSYLFVCQPIVDLQDDARVLQFTVKMHRLHDQASVVAVDMVDKKILGVTPFFSRFAVINFTHCC >Dexi2B01G0024470.1:cds pep primary_assembly:Fonio_CM05836:2B:33899579:33904603:1 gene:Dexi2B01G0024470 transcript:Dexi2B01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRPNNRIFPDERQNQSKPLYHTSRADRFGANRIDVKNPEKLKITGAIYYLLSIERQITCWDQQCLTETSCNIRFISCENTDSSGYSEWQQNTSIFVNCDATSSSINFQYGMFGSALSKGAVSSSFLEKYFFCLWWGLLQLRLFCVCCHLFSSSGNPLTTSAFIVENVFAIAIGAISLILFAQLIGKMQTYLQSISKRLEEWRLRQRDMDEWMRHHQLPAHLQERVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSFLCPENTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRLESYSWWSEDHPTADKPRQEGTSSGGTRTIAEGAIAHMHKLASASRRFRNEDSAIRRLQKPDEPDFSADHFD >Dexi2A01G0029050.1:cds pep primary_assembly:Fonio_CM05836:2A:40144552:40147240:-1 gene:Dexi2A01G0029050 transcript:Dexi2A01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLRLVASILAVALLLARAACYPWPVCGQTNSFTANSTYQSHLDSATATLPNNVSSSPDLFATITVGAIPEQVWAMGLCRGDVNTTDCLTCVTQAIQDLPSECPNYKEATIYYDPCIVHYSDVHTLAGDDTGPATSNMYFVFNNQNVTSDPAGFQRLLAALMNATVEHAADDSARRFATGVADFNQEFPKIYTAAQCTPDQTAAQCRKCLDRIVAYYLAGFGSNIGGRALRLQLPLRDRALLLRASDGDAGVTEPWSAGAGCGANGWDDAGGNRRRKRRRPIAHAKQHNPMYSTEAEDIEMVDSMMIDICTLRDATGDFDESNKLGEGGFGAVYKGILPNGEEIAVKRLSNSSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQKERLLVYEFVHNQSLDLILFDTENEKREQLDWGQRYMIINGIGRGLQYLHEDSQLKVVHRDLKASNILLDENMNPKISDFGLARIFGRDQTQAVTSRVVGTYGYMAPEYQMRGNYSVKSDAFSFGVMALEIVTGRKNSSSSDGYRTQHSEEDLLTTVWEHWEAGTVAELVDPSMDSSFPVGDVIRCVHIGLLCVQADPAARPVMSSVVMMLGSDTVTLQAPSKPGFFARKNSADDTAASTVSLTG >Dexi7B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:7B:2293015:2309020:1 gene:Dexi7B01G0001340 transcript:Dexi7B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLALRVGYSPSGPRWEQTAPALHLLLPLPRRRYHSPRPPFIHTHPSPPPTTRRTDGRRGGGCCFGREAPRAAGSTPRWVGEYAWLRLLVRSLLGPAVVVVGKELELARWPCAVVCAAAESGEEQRREQSKAADAMPAAADGGGASRGVALCCAWLPASL >Dexi2A01G0022640.1:cds pep primary_assembly:Fonio_CM05836:2A:34478901:34487507:1 gene:Dexi2A01G0022640 transcript:Dexi2A01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELARSGGGVRVTVYEKEDCLGGHARTVAVEDAAAGTVHLDLGFMVFNRVTYPNMLEWFEELGVGMEISDMSFSVSTQLGTSSSRCEWGSRNGISGLLAQKSNAISPSFWRMIHEILKFKNDALKYLEDCENNPDIDRNETLGQFIQSHGYSQFFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHTYVQKVREELESMGCQIKTSCEIKSVSSSDGGLRVTTFDGSEEPYDRVIFGVHAPDALKLLGAEATHEELRILGAFQYVYSDIYLHCDKSMMPRNSSAWSSWNFMGTTSKGVCVTYWLNLLQVGYGFHEDGLKAGKSAAQDLLGKKSGLLVNPKQMVPSWTEAGARLLVARFLGQYVSVGNLVLLEEGGTMFSFGEVGKKCHTKSVLRVHDPMFYWKVATEADLGLADAYINGYFSFVDKREGLLNLFLSNEFFSLFLDPSMTYSCAIFKTEDESLEAAQLRKVCLLIDKAKVERDHHVLEIGCGWGSLAVQLVKQTGCKYTGVTLSVEQLKYAQRKVKEAGLEDHISFMLCDYRQIPTHRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSTASRLCIEHLENIGYHYYPTLIRWRDNFMANKDAILALGFDEKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLGDNDPYASFPAANNQAS >DexiUA01G0013410.1:cds pep primary_assembly:Fonio_CM05836:UA:27911166:27913283:1 gene:DexiUA01G0013410 transcript:DexiUA01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSTSWVLLTAAVVAALLFSASAAAAAAANTTATSNCTRTCGKLDIPFPFGVEPSCYLPGLNVTCRNSSLFLGDGTVQVLEISIPNNTVRINSSVVDQLPGAAAAAAAGALAEDGPYSLPAVLEWSIIDSTCHANASSPACRSRHSHCENTTASGWHLCHCMQSCQCAEGRVGNPFVLPGCIVPPKRASGFTREEALYASTTFLLLALGVPIIIQTVKLHKVKKLKEKYFKRNHGVLLQQLISQNSDIGERMIITLEELEKATNNFDTSHEIGGGGHGVVYKGLLHLQVVAIKKSRMVVQREIDDFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLAQHLHVNGPKSLSWDDRLRIAFEISKALAYLHSAASTPILHRDIKSSNVLLDDSLTTKILRS >Dexi7A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:7A:29062582:29064381:1 gene:Dexi7A01G0020210 transcript:Dexi7A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGKGLRAAVLMLVVLASAAQVARSWSKEGHMMTCQIAQDLLEPDAAHAVRSLLPDDVGGDLSSLCVWPDQVRHWYKYSWTGPLHFIDTPDKACSFDYSRDCHGPDGAKDMCVAGAIANFTSQLLHYKHGSADRKYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSIDLRWFRHKSNLHHVWDREIIQTALADFYDKDMDTFRKQLEHNLTKGTWSDDVSSWGDCEDLLSCPTKYAKESISLACKWAYNGVHEGETLSEDYFDSRLPIVSRRIAQGGVRLAMFLNRIFGHHNRDVAAPSWPHL >Dexi9B01G0029330.1:cds pep primary_assembly:Fonio_CM05836:9B:31920215:31924674:1 gene:Dexi9B01G0029330 transcript:Dexi9B01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRAQAWLSKSPKWDGASYTGLIISERAEYMSTTGRRPRAPAGSLPYHIASPGKAMALRSPWRQGASSSLPTLRLPRVPVQRMAHDPSLAYADYFAAAAGGVSTLVPEVVDAGDDDAGDHLHLYGGGVQAHHQHGLDMFGVRGLMPVGAHGKAAALGEFALAEHHHHLGGHNNQAPLMTSLSLHGPADAAAALALHHHQQQLGTLGLHQPAEWPQQQMQMQHGAAGWHLRGSRFLRPTQQLLQEFCSLPVEDASNSKPPTTTTKPASEDGGGAGEASSSPPPSAQIQAMDAAELQRLKAKLYAMLQEFSDGYVQVERRYRRYREQMKAVAGSFEAVAGERAAAAYTRLASRTISKHFRSLRDGVAAQMQAVRRALGEKDTDGGPAAAGTMAIKGETTPRLRVLDQCLRQHRAYQAGVLESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYNEEMKDPQEGSCSNANNNNASVNPSSYSTASELGQGRGGGGEEGGERKPTPAQLVVHDAGSLASVVSIGSSRDPQNINFGSMMDGHLDFGAYNDDHHHGFGAATATGGGVSLTLGLQQHGDDPHGGVNVAFAAGATSSAAHEFLFMAGGEQQQQQMVAGGGVHHGQFVGAGMEGDAVTASHYHRGLSAAATGFQLLHDLAG >Dexi1A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:1A:821883:822164:1 gene:Dexi1A01G0001290 transcript:Dexi1A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPSPHAALSSSSQRGAPAGSMPSSSPATASEVYAERLEPRVEQRDGGYWLLKEKYRTGLNPQEKVELEKEPMRLFMEDGIKE >Dexi3A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:3A:16898083:16901954:1 gene:Dexi3A01G0021280 transcript:Dexi3A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADPAADQNPNPKGAKPHEPNAEAEFVEEEYDEDGEEEEYEEEEEEELDGPAAAAAERERVQSVFQRLSSDPVAIRVHDVVIEGNTKTRDEVIEAEVADLLRAAPTVQDLLHAASVATSRLHRLDVFDAVKITLDAGPPELPGTTNVVIEVVEAANPLTGSAGVYSKPEARSWSLEGSLKLKNPFGYGDIWDASGAYGWDQTTEVGVGVYLPRFKSIPTPLMARASLSSQDWLKFSSYKEQLLGLSFGLLSTMKHDLSYNLTWRTLTDPSRASSMAIRRQLGHNLLSALKYTYKIDQRDSLLRPTKGYALQSTSQIGGLWDNKGLRFFRQEFDVRGAVPLGFYNAALNVGVGAGVILPLGRGFMNSSSTVPDRFFLGGQSSPVCSLSGLSSLLGFRTRGVGPTEARRLVPNESGSGSAAPPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFVTAGNLAKLSEGEYKNFSVSQFQRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGKTGIQFSFSSPM >Dexi9B01G0027780.1:cds pep primary_assembly:Fonio_CM05836:9B:30282585:30284054:1 gene:Dexi9B01G0027780 transcript:Dexi9B01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVCVLQEPRHRASPIRIVRLYPHLTTEQRKMIEDAGFGGLLKIGCPTFPLGFCGWLLRQFETNHCELVIKGRGRIPVTSDSVHRILGIPNGGSDIKYGLDVDAIAFMSDKLGASDKYWPTVSSIENSLKQMKSADEHFLRTFMVLVISSFLCPTTSLRISPRCFPALVDIGSIRELNWCKFVVDQLRKSISSYGRKSSVPGCLFYLVILYLDSLDTHDTQIPDGTPRVSAWNKKLVNQVIQMDMKNNGSFGKCLFKKRVDNINSSGASSASAILGGIPEISDFVSANVAAGYSAQKKELLSNAVGKLCASITNALSKFMHEVSALDGSSGEASRDRSSAPVENNDVNNVDENDSRDEEEVLLEDSSELPTEDMKDTSANEYENESSMDGGSTDNDSEDDPD >Dexi5B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:5B:1911132:1915247:1 gene:Dexi5B01G0002970 transcript:Dexi5B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGAGRGDELMMTSGATGRIVPVFRSVLSLRALLRAAVALRALFLWLLLLVGGLRRRRAVSEAAAAAAEVSSSPSEAGAGTWKARRRRQTAEEEDVRRRRALAEAVAMAEEDAGSAGQGTRWDTFLVAGARRNALFCRLWTPAAKEMRGILVIIHGLNEHSGRYLHFAEQLTACGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDIEVLLDKVRMENPGVPCFLLGHSTGGAVVLKASLYPHIREKLEGIILTSPALRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVSVPFMVMHGTADRVTDPLASQDLFNEAASRHKDLRLYDGFLHDLLFEPERDEIGAEIIGWMDGMLRRQAV >Dexi8B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:8B:23000686:23001046:-1 gene:Dexi8B01G0013120 transcript:Dexi8B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPLVLSTRWRHLWCSVPCLDLDEFRAKTGAPHPDGNAGLGGDGSDSDSEWSSSSSSSDDDGGDNVKTKEWECFEHFTMNLMFRCCILVGAERLVLGIDKQQDGSVAQ >Dexi3B01G0037310.1:cds pep primary_assembly:Fonio_CM05836:3B:40090827:40096554:1 gene:Dexi3B01G0037310 transcript:Dexi3B01G0037310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGASSGPSVSKPGCPDKCGDVSIPYPFGIGEQCSAASLNSYFNLTCNNTLNPPQPTVGDSTSGYYEITNISLEQGEVRVLTSVSYICFTSNTTSTQSSNGFYADVTPFLPSPTRNRFTVIGCNTLGLIGGYKDAASQYVTGCYSYCEDINSTSDSAQCAGMGCCEANIPANLTSYSLGFEMNQSRVWGFNPCFYAMIAEVEWYSFRQQDLVGSHGFIDARAKSGAPLIADWAIRNGSCPEEGKEPPSGYACASTNSNCTAANNGPGYLCQCSKGYEGNPYILNGCQEIPLDLRLKIATQSAEALAYLHSSISCTILHGDVKSDNILLDDQHNAKIADFGASAQKSMDESEFIMLVQAHMFLLMFRQNKHRAMMDFEIIDEAVMEMLEKLAQLAAQCLCPSGDDRPTRKEVVERLQMLRRLHKDATTDYEDSNYAHSNHGGSSSLTAPLDDMTYSSMETSMMIQV >DexiUA01G0022650.1:cds pep primary_assembly:Fonio_CM05836:UA:46047441:46048351:-1 gene:DexiUA01G0022650 transcript:DexiUA01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTAEIRQAFLDFFHSKGHQVVASSSLVPNNDPTLLFTNAGMNQFKDVFLGLDKRNYSRATTSQRCVRAGGKHNDLENVGYTARHHTFFEMLGNFSFGDYFKHDAIQYAWELLTGENWFNLPKDRLWVTVYETDDEAYEIWEKEVGIPRERIIRIGDNKGAPYASDNFWQMGDTGPCGPCTEIFYDHGDHIWGGPPGSPEEDGDRYIEIWNIVFMQFNRQADGTMEPLPKPPYPFLRVPDCRRRDPVE >Dexi1B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:1B:12011724:12013465:1 gene:Dexi1B01G0011670 transcript:Dexi1B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTISSIMEDVMIGGFDAAATYPTTLPSPRILAADRELHSPRREPPSGFRKSLNPIYADSHDTTATTATTSESSSSTPATQDEEAQAATMSTAAPVATVLARRHTGGGDGRWEAIRLAEPPLSLGHFRLLRRLGYGDIGSVYLVELRAGAGGRGGALFAMKVMDKSSLAGRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFCCLLMEYCCGGNLHSLRQKQPNKRFTEDAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVSNGGGLAHVCMLPRFLPAVKKSKKKKKNKGGKDKFELDDDEPVTITNGSSGKHKKPPPPTSLEFTAVPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGATPFKGSGNRATLFNVVAQPLRFPDAPAVSAAARDLIRGLLAKEPQNRLAYRRGAAEVKQHPFFEGVNWALVRSAQPPYIPDVAGEQCSQFACDVASVAAAPGGTPKSAGRKTSSCQTDPSSSHVDFEYF >Dexi5A01G0038740.1:cds pep primary_assembly:Fonio_CM05836:5A:39647407:39648232:1 gene:Dexi5A01G0038740 transcript:Dexi5A01G0038740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPVKLIGAFGSPFVHRVEVALRLKRVPYELILEEDMANKSQLLLNHNPVHKKVPVLLHGDRAIAESLVIVEYVDEAFHELPLLPADPYERAMARFWARFLEEKCLEPLRTALFADGEAQKASMKEARESLAVVEEQLTLRGRRFLGGDAIGLADIAGGGMLAHWLGVVEEVAGVRVLSDDEEEYPALRRWAAEYRSCEAVKECLPDRGRLLSYFAAIREKCVSVANSMVRR >Dexi8A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:8A:19802366:19803426:-1 gene:Dexi8A01G0011220 transcript:Dexi8A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPSLLRAPAAAERSAAAPLWSSFSAPSAVRLPAPARRRVARVATAARITMRVASKQAYICRDCGYIYNDRTPFEKLADNYFCPVCGAPKRRFRPYEPAVSKNANATDVRKARKEQLKKDEAIG >Dexi9B01G0039240.1:cds pep primary_assembly:Fonio_CM05836:9B:40006126:40007572:1 gene:Dexi9B01G0039240 transcript:Dexi9B01G0039240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEAAAAELADRKGECVVADAGSRAARRRRMEIRRLRIVAEEQAAKRRRLEEGDDEDAVMRAPAPRFGVTSVCGRRRDMEDAVTTRPDFIHGHHFFGVFDGHGCSHVATSCGVRMHEIVAEEATAAAGSSVSDEAARWRDVMEKSYARMDAEAVGSRDTATGAAPTCRCEMQLPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVLCSGGAAIPLSADHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPFVISDPEVRVVERNDGEDEFLILASDGLWDVVSNEVACKVVRACLRNSSKATTRGGDRSSPTSNLSPRQSSGDDEEAAGHSDDGAASDSDGEGSGEDRACADAAILLTKLALARQTADNVSVVVVNLRRRPRS >Dexi5A01G0022570.1:cds pep primary_assembly:Fonio_CM05836:5A:26732702:26734932:1 gene:Dexi5A01G0022570 transcript:Dexi5A01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTCAQRRRDFLFFFLCQMKTRSQSAPKPLKTVALGPRPGSPKQRQRPKPAGKGDPRAPKKPPTAFFYFMEDFRKTYKLEKPSVKSMQEIGKACGEKWNSMAFEEKVKYYDMATEKRAEFDKAMIEYNKKKETGEISEESDLD >Dexi9A01G0031420.1:cds pep primary_assembly:Fonio_CM05836:9A:36372934:36379766:1 gene:Dexi9A01G0031420 transcript:Dexi9A01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRDGAAPPETAARRVFHFGKGKSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACREYQELGGAMPPGLWDEILAALRCVERDMDAALGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVDGLAHRSGRRFAFDSYRRFLDMFGNVVMGIPHELFEEKLEAMKVAKGLRNDTELNVKDLEDLVAEYKNVYVVTKGEEFPSDPKRQLHLAILAVFDSWDSTRAKKYRSINRITGLKGTAVNVQCMVFGNMGSTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGIRTPQELDAMKECMPEPYVELVENCKILETHYKEMMVQYTVQLFRFLSVLFNAMQDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVDHRSAIKMVEPRHLDQLLHPQFENPSSYKDKVIAAGLPASPGAAVGQVVFTAVDAETWHSEGKSVILVRTETSAEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDAEKAVVVGDKVLCEGDWLSLNGSTGEVILGKLPLSQPALSADLGTFMSWVDEVKLLKVMVNADTPTDSLTGRKNGAEGIGLCRTEHMFFSSDERIKAMRQMIMADTAEQRQKALGLLLPYQKMDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDVVHMLSCHTNSTHEEVLARIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAIAVSDQGFQVFPEIMVPLVGTPQELGQQMSVIRQVADKVFANAETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDIGKFLPVYISQGILQHDPFEVLDQKGVGELVKIATERGRRSRPDLEVIN >Dexi2B01G0023120.1:cds pep primary_assembly:Fonio_CM05836:2B:32679850:32680080:-1 gene:Dexi2B01G0023120 transcript:Dexi2B01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEEELGKASCSKLREENVVEVEQLTGVELAILRRSSSEVMWWSNLGVSSQAREGEQKWRVRWRKGRAAAQLPLG >Dexi8B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:8B:24458772:24459164:-1 gene:Dexi8B01G0014100 transcript:Dexi8B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLAKAEAAATVAAPTPPRPGKLEKAAKAGWPPTTLLDVQEVEWITRELERLLAREQVGGGSDGADGRHRRKRAKLSPAPKKGGFLAELLGRHAASICSGSGDAVDTSAARAGRRRGGWGSFQEVEKV >Dexi7B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:7B:17889155:17891186:1 gene:Dexi7B01G0010590 transcript:Dexi7B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEGVPKWILLKPVVFRRDDVESFPDTTEAPIRASGTTSWGAHFRIAFSLAEPPSISRLYAHLPGFPDPYKAEPLRLLAAHRCLALLRLGTTTPTEGAVRNFFIFKAEKNRPSSCLLAALPPCTEPPLEFHSVRPRRRQPSDVTPRQLNPLSLGLWCGDEEEEDEFVVAELALYVRTQGLRCLEAQANICFLRSSFTSDQLGILFWDVSKKVPALAVSFVWFPEDSYLKTPCSGNGGVSVVDNGRLLKFAHVARHDSLLAFEPLKPGTGFTITCHTLLLGNNGGSMKWEKDYTVTSDDLPDYLQRGGIPMFPRVDVDRPHLVHCIFSEFGKAFNRMSVLCIDMSTKTVESFYLYMDANVFFQTDEKERLPTDDPVFITTKSFTCNVSPFLPCEFPRFCYLSRFVKN >Dexi2A01G0030320.1:cds pep primary_assembly:Fonio_CM05836:2A:41301857:41302884:-1 gene:Dexi2A01G0030320 transcript:Dexi2A01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQHKQTSVSDRAAVKMPQKAAARIGYLPDGIVESNSDMELKPLWLTTSVQSQQKSKRNDQFLIAIAAGINQKKSVDAIMKKIWK >DexiUA01G0026090.1:cds pep primary_assembly:Fonio_CM05836:UA:54893861:54895631:-1 gene:DexiUA01G0026090 transcript:DexiUA01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFWWLFTRLESWIPSLMSHVPDWLQWLNYLLWPVAVISVLLVFGYFFSTIANWIAAPFNGLLAEQLEARLTGATPPDTGMLGIMKDIPRIMKREWQKFAWYLPRAIVLLILYFVPGVGQTVAPVLWFLFSAWMLAIQYCDYPFDNHKVPFKTMRSALRTQKVANMQFGALTSLFTMIPVLNLFIMPVAVCGATAMWVDCYHNSLTIGHTPLVRLNRIGNGRILAKVESRNPSFSVKCRIGANMIWDAEKRGVLKPGVELVEPTSGNTGIALAYVAAARGYKLTLTMPETMSIERRKLLKALGANLVLTEGAKGMKGAIQKAEEIVASDPAKYLLLQQFSNPANPEIHEKTTGPEIWEDTDGQVDVFISGVGTGGTLTGVSRYIKGTKGKKDLITVAVEPTDSPVITQALAGEEIKPGPHKIQGIGAGFIPGNLDLKLIDKVVTITNEEAISTARRLMDEEGILAGISSGAAVAAALKLQEDEAFTNKNIVVILPSSGERYLSTALFADLFTEKELQQ >Dexi9B01G0040710.1:cds pep primary_assembly:Fonio_CM05836:9B:41220379:41223637:1 gene:Dexi9B01G0040710 transcript:Dexi9B01G0040710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVRRAPGRRVSLPNSDLQLISSPRLGFPLQATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTENKDHASSSNNDSVMAEAEPATNAADDDKKEQPKDDDDLLQQALAMSMEGGSSGSASVADAAMAEAGAVDPDLALALQMSVQDANMSSDSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEVRSFLFLS >Dexi1B01G0029470.1:cds pep primary_assembly:Fonio_CM05836:1B:33770029:33779523:-1 gene:Dexi1B01G0029470 transcript:Dexi1B01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDALAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLNVADPDFVGDLTSKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVCHALIVVLSNASELQGYSVRSLYKALQTYGKQGSLVKVAVWCIGEYGEMLVNNVGMLDGEEPIKVTESAAVDAVEAALNRHSTDVTTGSMCLVALLKLSSRFPSTSERVKQIVAQNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYMVKRATATQATISADKIAPAVAPGGLKLPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNDFLQDLLGISGVSTSPTVVTSTASTDILMDLLSIGSSPSQNGAPVTDFPHPGQESKPVHAVPEAIDLLGSLSSSTSASETKSTHVVPQAMDLLDGLSPSTSVSGLEKTAHPSITAFQSATLKVTFDFKRQPGNPRETAIHATFTNLTSSAFTDFIFQAAVPKFIQMRLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEERLEQGQVSNFPSGL >Dexi3A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:3A:1928625:1929945:1 gene:Dexi3A01G0002930 transcript:Dexi3A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPHQVSRYQHDYSSPPSASGDALYTGQPPRGTTSGEINDDALLFLAVPAGWFIRAVAFLGELVASAILRLVFPVAILIGALRALPAVVAANLRRAALGLFAAACTFAALVAMLFVSVLLGFVLVRHWVDERVTVRQPLYFDYTEAQPSAAVALAGARGVALPAGHSVMVSMALLLPDSYHNREVGMFQIKAEALSVTGMTMASDTQPYMLRYKSTPVRLAQSALMSVPLTLGMRGETQTANLKVLQYREGHGRHKRTGHIRVLLQPRAATVQLPQVYKADLVVQTTLPWIKSLACGLKWTLCVWVSFSVYIVLVVLALCWVRTLAVSARNRRLSEIQGNGKMASDLGTGHNGESPRKELSEDLTVKMRARRRKRKAQLRTQIQGGSMELEFTEGSASGVAVRGDWSGPE >Dexi9B01G0025130.1:cds pep primary_assembly:Fonio_CM05836:9B:24952260:24959109:1 gene:Dexi9B01G0025130 transcript:Dexi9B01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNGSLANGACTQGKPIKCKAAVAWGPGEPLVMEEVELAPPGRLEVRVKLLFTSICHTDLSFLKGENELQRKFPRVLGHEAAGVVESVGEGVEDLAPGDHVVPIFNGECGSCAYCDSGKTSLCGPYRVDPFKTTMTSDGGTRFSVVDRSSGDRRPVYHFLNTSTFAEYTVLDAACAVKVHPEAPLEKMCLLSCGISTGVGAAWNTANVFAGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINTEKFTKGKEMGITDFIDSKASDKPVHEVIREMTDGGVDYSFECTGINGVLRESFLSTHDGWGLTVVLGIHATPKMLPLHPMELFDGRRITGCVFGDMKGKSQLPGIVDKCMNGELKLNFDGFITHRMPFSDINEAIRLLEEGKSLRCVLHF >Dexi2B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:2B:11425565:11427775:1 gene:Dexi2B01G0010310 transcript:Dexi2B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFAATTASCMLLLTAALPSLLLPLAACGQGPSELLLPERNCTTMCGDMVVPYPFGTTAGCYLPGFNLTCNKSQSPPRLSLGDGTLQVVSISLENSTMRVVGPKIPMVVPVGNDTMAIGTWGGLGWGISDEGPYKLAEVYNELVLFGCPFLAFLKIPQDPPMGDLVINTCSTMCSINHGHDCWEQPNSRRCQKCSGLDCCQVSIPSGEVSYNVHLKSLLYTGGIDRPHSVFISEEGWFHPYNASGRSASAIPVVLGWAIISNALPNESSDGNATCPKDLGSTACHSSYSTCWNTGHLYGKNKTKSYTCSCWDGYQGNPYLTDGCQDEP >Dexi3B01G0030950.1:cds pep primary_assembly:Fonio_CM05836:3B:31251277:31257738:1 gene:Dexi3B01G0030950 transcript:Dexi3B01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWRRTRSKTGGGRVGPDRRGAFWSTTCLARATAGEEPPAAARCREEWWGKLNDGGEERRTDEGRRWRPEQMGSAGRGGSTSASRRDGGDLDKYVSEELWYAIAGPGVTVPQAGELVVFFPQGQLELVGGLSQHSKDLPPQIICRVLNLELKSKKDFVYALLLLQPEPNDMTQTAPMQELVAKDLSGIEWRFQHRDIHVIDFNWNFWCRSWVDEGDSGHGQESPSLQYWNSALQLITKVLVEMRRQLYEQSFGAFDELKGDYFAKIVEQPVLKLLNVDSMSAALDRLLVEVPQGLVESLSSMFMRISHALSTHKTIADAVPTMLELISPECRGSVSRKAEALQESVQDVFILQRVENLGYNEPTEYGWVVLFQKQIEHYLEEYIETSGIGRSLIRISGKS >Dexi3A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:3A:2652476:2656864:-1 gene:Dexi3A01G0004010 transcript:Dexi3A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGMKRPELQALCKRHGLPAGGTNADLVARLDAALSGGAEAEEEVVVGMVTRKGCLKRPVGDGGEAKKVTFAVQQTRGRRLRSRVVWSPVVSKTRGKRAEAGADSAADDGISVDACANVPVRRSRRNSLTAADAEEIEAAVTVDRKRKCKDQEIAEDVAANAQVVPSRRVTRRSSLSGNAVLLPPAVEKKMGREKAADGKNKLAAEEQVAEAQDSSAATTVVENKRSRRKRENCDPDVQKSAKVEAPSRTTRSRSVEAALMSPTVVENKRRKTGDGQPDVELPTVSEVVKKDAPVTRSLRNRVVQVNNSVVEETRTSQQLENKAQPSRPATRRHKQVESSVEEEDQEQVAVPNKAPLSRRSGRNNSEASNANSENNKLVSALVEAKDLQVAYPLTRRNAKAQDAEKQPIVKEPVRRSTRKSVVSTMLDSEDKDPTEEKNPEAHVRRSMRRSIVPAKDVKGAGEEIQHAKGAVKQQTITEPVRRSTRKSVVSTMLDSEDKDPTEEKNPEAPVRRSMRRSVVPAKDVKGAGEEIQHAKGEDAVKQPAITEPVRRSTRKSVVSTMLDSEDKDPTEEKNPEAPVMRSMRRSVVPAKDVKGAGEEIQHAKGEDAVKQPAITEPVRKSTRKSLASAMHEKEENDLSAEKNPGAHVRRSSRKSVVPVKNVGEDIQNTKGEDAEKQLFVKQPVRRSSRKSVLPDTLENESGFIVAETNAEAHARRSTRKSVLPNMINTENPDLSKMARNGNFETGKCEDDKQQIVNEPVRRLRRSVVTVTIEEPYKGLHYGNISKIPMRRLTRKSIAFNVVEKGSMDNTEKVGMEESEAGTRKPKVRDHGVAMVISRNDSQAVLQRSTGKSSNHYLSDDNETQPGSEKCMSHESVGEDVMKLRNHRRSSMEISSSAKDSSNIEDFSGQKFRKQQRSQTPIEKGDTGANYDRQLRTQQASHSTTSKERSSKRRWTTAPEEVTSAEEANDVMVIREATEYTCKVSHEYCEPSSRIQEICQANATGEEYSSGPSLGTVTLPDEICTTQSVHEAIPGSESSEAAKESLDKSKQPQEHTAIQHDDNHLSETRNEELDQSSSVSELHSGFVLEDKTLMGEAEFAVGDGEGQSPVAGHGSDT >Dexi9A01G0039730.1:cds pep primary_assembly:Fonio_CM05836:9A:43588455:43589027:-1 gene:Dexi9A01G0039730 transcript:Dexi9A01G0039730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDFFNGRELCCSIDPDEAVAYGAAIQASILSGATRDGTVGDMLLFDVTPLSLGIETEDDCTMSVVIPRNTAIPTKKVKDFTTQYDNQTIALFLVYEGESSSTKNNNLLGEFELTGISPAPWGVPKLHVTFDIDANGVLNVSAKDRSTGRMNDINITAHSGRLSKEEILRMVQEAEMYKRYKGIESRLE >Dexi4A01G0022380.1:cds pep primary_assembly:Fonio_CM05836:4A:25640626:25641807:-1 gene:Dexi4A01G0022380 transcript:Dexi4A01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKSDRANKAAAAAGSGSGERSWREEAAAAGSLRQVDLDRGTNGWASPPGDLFHLRARGYFTGGGGKRGKAPSAAEWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFIHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMSAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQEHAGGKVGRSMSYPDRESGGEG >Dexi1B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:1B:177062:178303:-1 gene:Dexi1B01G0000070 transcript:Dexi1B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAGAPVAAAAAGCRFDNDVIVVGAGIMGSCTGHAAASRSARALLLERFDLLHHLGSSHSESRTNPRRAQYPPTVRLSRRLWADAEAESGYRVLTPAPQLSMGPRSNALLLAAIRSAGAEEVDFRVPDGWVTAASEHGGGVLNATNAVAMLQALATKKGAVVRDKTEIVDIRKGPEGGVVVATSAGEEFHGAKCVVTVGAWTSKLVRSVAGLELPIQPLHTMVLYWSIKPGREGDLAAESGFPTFSSYGDPHVYSTPSLELPGLIKINYDGGPQCDPDSHDWASGGGDVADRVARWIVEEFMPECRTTSRAPTGRSSGSHFVIDFLGGEFGEDVVVGAGFSGHGFKMGPAVGRILAEMAIDGNANTAAEADVELGHFRISRFETSHSQPASHHVLLHP >Dexi5A01G0039350.1:cds pep primary_assembly:Fonio_CM05836:5A:40016126:40018361:-1 gene:Dexi5A01G0039350 transcript:Dexi5A01G0039350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHALPFLSPAGTASSVRAIPRRRAAHLRCAAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDELEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKAALKRCILELLTSKGGNSELNLGFRKPSVIMIVGVNGGGKTTSLGKLAHRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPAGLHTNYGLMEELVTCKKVLAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVEAIFP >DexiUA01G0021880.1:cds pep primary_assembly:Fonio_CM05836:UA:45098506:45099875:-1 gene:DexiUA01G0021880 transcript:DexiUA01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLISTPRGVGAPAPSPRTALLALRLGAAQATRFSGLVVSSQPVERRAAALVAMAKREQELEEIRGMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >Dexi2B01G0021750.1:cds pep primary_assembly:Fonio_CM05836:2B:31462139:31462441:-1 gene:Dexi2B01G0021750 transcript:Dexi2B01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRNDRVRGGGLVVGFGCGTGTPSCLGLLRWRGAGVEEVAFRSGPVRSSAHERPRGHGQAKRREGTESHTPRDVTAVGAARDSVDACRDVPCAARVYQT >Dexi1A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:1A:22864228:22865347:-1 gene:Dexi1A01G0015850 transcript:Dexi1A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDHFNPTVKMMVTYNSNKQVFNGHEFFPSVVANKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGTELLSYENPRPNIGIHRFIFVLFRQSRRQAVSPPSSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >Dexi1B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:1B:19734475:19737501:1 gene:Dexi1B01G0013740 transcript:Dexi1B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHPSSTASAPXXXPPPPPVAMAYLPPHKRHPSSTASAPTPNPPPPPLSSSLRSLSLSSPRGRGRRPRPSNKIIHATGCVSRWSPLPHFSLFPEDGDGDGEEPTLRLEPFPCEPIERKTGAKPLVLVASSPGQGSSGTTEAAVAAIAERFLPDLLAAAERAKAGYVTKEEELVKLSLVAKVGKVLFQSQPGGSPVSIETLRQAAKAGEDGTKSQLHKSFYTNVPSECLEDMEQSMVKRMALEFDASKEHYHVKVFDKHQSDSAMSCKCTVEEDGSLAIHKVSVEWNQVRHVVEDISCLFKDLDLRLMLCTKRILKTLDAEVENALKSLVSSAVIDPDVKGGLRWPLGKESIGERFSIVGVWHTNYKAFRNETLRLKLRHADRFDHRSSTGEVSNEVTFKLIGMSRGLEDVDPEETSLKEMLESAVQMVWDNALNYKIAP >Dexi7B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:7B:20285201:20294853:1 gene:Dexi7B01G0013870 transcript:Dexi7B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASGALARNPSALAAASLQVVAVRCPSKYAYGVHSKDGHSHGNASARTTRYAISGSCRGDGGRKELLRCGDFEIPYPFGIGPKCSLPGFNLTCVAPKDGSITYLLLGNPSITVYPFDVSALPTVSGDTIAYFAKIRDYYSVHWTAPGRPFAISGSSNMSLVVIGCGIKASLFKDNSDVEVGNCTTTCVQDRIMERLPDGPCIGLGCCLINITMNLRAFTLNISRTGRAARLHEHVKAFITKSDWHWFQPSDLESDLISGASPAILSWSIPYQWNCARAMEDRANYACVSNHSYCLESPIGGYVCSCAKGFMGNPYVSNGCNAYDPVPLQAWDTIQPKANCTTSCGNVTVAFPFGIEEGCFRKIQMYLVCDPGPPVVLRMLRGSNVTDLSIDEGTLHVLNWVDPNSNDTYPSMYAVSGEWGGVVLKWAVDNLTCKNAMASKVVYRCSSYSDCVDVTDDAMHRHVGYRCKCSRRFQGNPYIKDGCTDESASDGTKIFSLEELEKATNNFNPTRVVGRGGHGTVFKGILSDQRVVAIKRSKLEESTEIEQFINEVSILSRINHRNVVKLYGCCLEAEVPLLVYEFISNGTLYDILHCEQNGILLPLSWEERLRIATEVAGALTYLHSVTSVSILHRDVKCMNILLSESYTAKVSDFGASRSIPIDQTHLVTVVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILVELLMRRKPIFENKNGEKQNLSNCFLSAIGEKPLNEIVDQDIVGEASEEAIEGTTRLAEECLSLTRGERPTMKEVEMRLQMLRARSAAPLGLRRGEEMCHAHSEATAKVNGIGAAILVLAGHHGTRQYSLE >Dexi7B01G0021790.1:cds pep primary_assembly:Fonio_CM05836:7B:26824764:26824964:-1 gene:Dexi7B01G0021790 transcript:Dexi7B01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCKAAAKRRTATGNEQLGSGDTLVMLTTMADRGQELAAPLLLLHQDTPGPWPGHVGEDGRAMT >Dexi4A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:4A:24713315:24720092:1 gene:Dexi4A01G0020980 transcript:Dexi4A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQLVILFLALAAAPAVSAAGAARPSEVAVGALFTYESTIGRPARLAIELAVDDVNADGTVLAGTNLSLVVQDTNCSGFIGTIEALRLMEKNVVAVIGPQSSGIAHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRTTTSDYFQMNAIASIVEYYQWKRVTVIFVDDDYGRGGVSALGDALAAKGSMISYKAAVPPNSNSDVISDVLFTANMMESRVMVVHVNPDTGMRIFSVANTLQMMASGYVWIVTDWLAAVLDSLGSGDLKDMSHIQGLIVLRQHTPESDAKNKFISKWNTVARNKNITYGLNSYGFYAYDTVWTVARAINEFLNSGQHINFSTDPRLYDSNGSTLNFSTLKIFDGGDLMLQQLLLTNFTGVTGPVQFGSDSNLVRPAYDILNIVGSGSHLIGYWSNHSGLSVAAPEVLYQKPPNVSTTTQQLYNVVWPGDTTTTPRGWVFRNNGQPLRVGVPNKASFKELVSSGGPDNVTGYCIDIFNAAIQLLPYPVPLQFVAIGDGTKNPSYIGIVRMVANNSLDAAVGDFAIVRNGTAISEYTQPYVEAGLVIVAPVKHVPPSAWAFLKPFTLEMWFSFSTMFYSHRENTVSALGRFVLIIWLFVVLIITSSYTASLTSILTVQQLDTGITGLDSLISSSLPIGYQNGKFTKKYLIQELNIPESRLVALNTIQEYADALNRGPKNGGVAAIVDEKPYIDIFLAHYCNFRIVGQQFTNEGWGFSFQRDSPLAADMSTAILQLSESGQLQRIHDEWFSQPSCTSDDDSQVGATRLGVGSFSGLFLMCALICLFALVVFSIRICWQYNQYSNSEAASDSEPSAADADAIQRKTSGRGSFKDLLQFVDKKEEEIRRTIKRKSSDKDNQAVGSSSGGLSLCQALVLVVFSPTAQVSCRWAMARAIKEPRGLSF >Dexi1A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:1A:22387205:22397461:1 gene:Dexi1A01G0015350 transcript:Dexi1A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLSNLRVPVCFSVHLVPAPAPATIVSMLAKVGLRRRAVHLGHLYNVNLITPEGEAELQVPDDDGWCYARLLRLSGLPGRDGCVCVSGDGIDDDRLLCFIQTRCEAPPGEKHRNPRGGPCVCERIFIQTGGGKRVRCAWVSGPWPFDWALQPYHAAMHGTTPCPPGATSRDEQAELVCADSPCGPGTPRQFDMGLTTSAGQLLMLHRADSTCSYRPDVEVRDAITVVADICVMPVAASIRAAAGLACVDALNRLLFDEMAPRPQSGCSYMQDRFTRAYVTRPSPSAQVYKVGSMSPSVHLCHVGSSVAGTLGRVSKLGARSPGAHQLYLGAKGPCAHPWAHKPPPALSSSPLLSSPHFLYREAPGAAGPSCRLPQGSKKKVTDFLDYHRERIEWWNRMDDNYRLRTAWTRDDYAEILRLVSVVGLGPGMFTYRTTFTRQRQGAPHREKDDSNSDEEEEQGHEEDEEEEEHDLPDYDELGSSQFSRAPPATQPSQRPTRSRRPPPRHTPGTDALRKRRSK >Dexi5A01G0032240.1:cds pep primary_assembly:Fonio_CM05836:5A:34797026:34797538:-1 gene:Dexi5A01G0032240 transcript:Dexi5A01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTRLCGTKSIVTVNGQFPGPELVAREGDRVVVRVTNFAQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPYKEVPIIFGEYLL >Dexi7B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:7B:23871260:23876502:-1 gene:Dexi7B01G0017990 transcript:Dexi7B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQALKKNYRCDRSLQQFYTGGPFAVGRAPAGEGEGGAEAESFLACACGGEVRFVSAADASAIGEPIDGDSEAITALALSPDSRLLFAAGHSRLIRVWDLSTRTCIRSWKGHDGPIMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFLRGHTGVVTTIMFHKDPKRLLITSALYLQLFSGSEDGTVRVWNLETKKCVAVLKEHFSTVTSLSLSDDGQTLLSAGRDKVVTVWDVRKYSSKKTIPTYEMIEAVSFIGSGSELLACLGIELANMKGKADGYFLTVGERGVVRIWCLESGLCVFEQQSSDVTVNSENEETRRGFTSAVILPNDQGLLCVTADQQFLFYCPKRTDEGTFQLSLYRRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIIVCIDTCVSSSGKTLVVTGSKDNTVRLWDAETRRCIGTGKGHLGAVGSVAFSKKSKNFFVSGSSDRTIKMWTWDDTLDDADDEVPLRAKAVIAAHDKDINSLAISPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVMTSSGDRTIKIWSVADGSCLKTFEGHTSSVLRSSFLSRGTQVISCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGRKTEMLATGGTDAILNLWHDCTMEDKQEDFRKKARETLHYCTFCIASKMLAFCLTFAGKKKAFATSDSNSPWWQEEEVLRGQELENAVSDSDYTKAIQLAFELRRPHRLLELFSQLAREDDSEDPIEKALLGLPKDGLRLLLEYIREWNTKPKFCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLMRMSVLDPEVDAGTTKDDMNGSSVENGEIAEAQAASPVTEKLSKKRKLSKSSKKGKEKKVKS >Dexi9A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:9A:1489384:1492066:1 gene:Dexi9A01G0002760 transcript:Dexi9A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDIPLGGHGVMPGSPEQTRKGKGIVATVEKNASALVDVDGAMAWLRLAAGGALWAVPGRNCGIVDDEERLAVILALRHTRSEKVDPDETNILYSKQKQKRLLKQGTAGDLSNLSSFLISARKQIRVDSVAYQATIPEWVGPPGSEQSLAEYKNDNLQRMGTMVKLPPVIEPMKTRKAAKDKNAVDDKCKCSLPGSEACVRFHVKEACKGLWYQLGGKASKNLGLDAMGERVLKLWTAEDKEKLADIEKLVSQDSHEDFMEIALKKFKSERTMDLTSYYYNIFLPKRLASLNRAEATNTKIIVDNEGNNQDEKNNVHRSEEESKGSGSSSRR >Dexi7B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:7B:18430219:18434921:1 gene:Dexi7B01G0011380 transcript:Dexi7B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFPIFYQVLFVSPERFLNEEFLLIFKDVLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLKEIVNALEIPSDNLIKTSQIRDNLQLSISMSDNRLKDLMLLLKSSPFVDMRSIIIYCKFQGETDYISKYLCDSNISAKSYHSGLPMKNRSRVQELFCSTKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYVQETGRAGRDGRLSHCHLLLDSTTFYKIRSLSHGDGVDEYAMSKFLYQVFSCDNSTGSICSLAKELTSRKFDIKEEVCTCIITHQLSAEYVSIKLDHFILQVLLTVLTQLEIGGQQYLRLLPQFSVTCTLYFHKTSPQLLADKDVLVRSVLNKSETKDGNFVFDITKIANDLNITVNEVIDHLQQLKFSGEISFELKDPAYCYVILKKPDDLNTLSANITKWLSEVECSKIMKLDAMFAVANFAVKGCERTDGCSGSQHTQCIQKKIMDYFSKNEVTTYNDYLAQLHKGSPFLQADIKVFLQSNSFAKFTPRAVARIMHGISSPGFPSATWSKNHFWGRYVEVDFPVVMEAAKAELVKLVGKGE >DexiUA01G0024960.1:cds pep primary_assembly:Fonio_CM05836:UA:52291308:52293469:1 gene:DexiUA01G0024960 transcript:DexiUA01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDPMLDEPPAKRREVDPIAPTKHPWNLPAGIDLPHCSCNPEESYVAIPREANLPIDAEARSAVARVSLAVVAVASIDADGDQLWKASGFIVEFDEASMVGTIFSSATVAKHDPLFPEIEKIKIYLFDGTSYDATITACDYHWNQLVLSVRFDRVVKAIKLVEISENRNSRDPHLERCSFLPHLSCENLYPGDTIIGLGRRAQEPFGLQANCGLYRLQLEAQL >Dexi7A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:7A:28507917:28509994:-1 gene:Dexi7A01G0019250 transcript:Dexi7A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLRFSLLRNNVRSSCPVRFLKQHAGFSSGKLLQSGSYEKRHFTTKLTETTRWHKPNSGSCIPSIPPLWLQQTSEHDQPATIIVFDIETTGFLHADHRIVEIAIRDLSGGKNCTFQTLINPERHVPRHIAKLNEITTELVCRPDVPRFSDVLPILLAYVQSRQAPGKPVLWVAHNARQFDIPFLMQEFERCSTQVPTDWLFVDSLCLARKLKKSDGTLPVTLTYLFDHFYKFSGIFFSLAGTIDRIGLEGLAKHYRVSSKGPSHRAMPDVQALCDIFSNITLGLKLTRDDLMSEASIFYDFRKLPRE >Dexi2A01G0022780.1:cds pep primary_assembly:Fonio_CM05836:2A:34585506:34587103:1 gene:Dexi2A01G0022780 transcript:Dexi2A01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAQTLRQLPPGFRFHPTDEELVVQYLRRKALSRPLPAAVIPVVDDVSRLDPWDLPGASDGEGYFFSLRRATATRRGGGRRRRAGSGYWKAMGKEKPVFLQCGGRRQLLVGVKTALAFHRSDSSSSSRTGWVMHEYRLAVPGGVVLPEQRKNASHQDRVVEPGEWVVCRVFLKNRPRSRPNRDVDSKTPGNCFSVAHRVAPVQHREDAERQQRLLLMSPQPSSSSCVTGVTDIADQDEVSSSSFRDVPAASQREA >Dexi3A01G0030040.1:cds pep primary_assembly:Fonio_CM05836:3A:33360971:33361339:-1 gene:Dexi3A01G0030040 transcript:Dexi3A01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPVPPPPGQASFGKPWECEVATFTLRRSCTARATAATSSASVRGNTASAARQGCRSLKAPYELLTVQWNLPPTLHCTGLLSGGGVVDEPAAGRQTPQWRTTSSTRRADS >Dexi9B01G0040360.1:cds pep primary_assembly:Fonio_CM05836:9B:40917119:40919707:-1 gene:Dexi9B01G0040360 transcript:Dexi9B01G0040360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAAVKKLPKEEEEEDDEDDMDDVPLALSSAKKAGNASASKVKKEEEEDDDDEEEDRLPISHSRAKKGNEKQKGTVNSNTKASKIKKQEAESDGDDFTPISQKKNAGSGASNARVSKVKKLKDEDLEDLKEDKKRKKRVGVKEGAKKAVVKGEKGKKEKKVYELPGQKHDPPPERDPLRIFYESLYEQVPTSDMAASCQEDTSFLCYEVPFGYKKCWKTGVSKEEEGN >Dexi5B01G0026260.1:cds pep primary_assembly:Fonio_CM05836:5B:28042374:28042676:-1 gene:Dexi5B01G0026260 transcript:Dexi5B01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAVGPAAAAGASPQITASAVVPTDAVVVINVEGALQPAEPSGVGCRICHLGPEDDGGSPATGSSEVIRLGCGCKDELGAAHRQCAEAWFRIKGDRYV >DexiUA01G0020720.1:cds pep primary_assembly:Fonio_CM05836:UA:43038159:43042157:-1 gene:DexiUA01G0020720 transcript:DexiUA01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSRATTPDLLVDATAPIEGLKAPSRFTINGKDLAVDGHPFLLDVPANIRLTPASMLVPSAASGSFLGFDAPAADSRHVVPVGKLRDTRFMSIFRFKVWWTTHWVGDNGRDVENETQLILLDRSSSSSSTSGDHRPYVLLLPIVEGPFRACLESGKLDSYVDMVVESGSSSVRAAAFRAALYLHAGDDPFELVKDAVKVVRAHLGTFRTMDEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGMVLIDDGWQSICHDEDDPSSGSEGMNRTSAGEQMPCRLIKFQENHKFREYKEGGMGAFVREMKEAFPTVEQVYVWHALCGYWGGLRPGADGLPPAKVVAPKLSPGLQRTMEDLAVDKIVNNGVGLVEPERAHELYEGLHKHLQASGIDGVKVDVIHLLEMLCEEYGGRVELAKAYFSGLTSSVRRHFGGNGVIASMEHCNDFMLLGTEAIALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAISGGPIYVSDSVGQHDFALLRRLALPDGTILRCEGYALPSRDCLFADPLHDGKTVLKIWNVARFAGVVGAFNCQGGGWSPEDRRNRCFSEYSVPLAARASPADVEWKSGKGSGVSVKGVSEFAVYMVEARKMKLLGFEDGVDLTLAPFTYELLVVAPVRVVSPERGVKFAPIGLANMLNTAGAVQEFETKSGVDGAVVAEVAVKGAGEMVAYSSARPRMCRVNGEEVEFKYEDGMVTVDVPWMGSSSMLCRVECVY >DexiUA01G0005510.1:cds pep primary_assembly:Fonio_CM05836:UA:9875724:9881128:1 gene:DexiUA01G0005510 transcript:DexiUA01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAIRAAAAAAGRGLSSARGASPRSPGAPAWGAIRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNQAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGNYLENAGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYAIGIKEIWEIEEGKHEPGSVIHTVGWPLDTKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTAVQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSEATFQALIEGSSMELYWENIKKSWIWEELRTARNYRPAFEYGFVPGMALSALERYVFKGKSPYTLKHGKPDHEATDAANRHTPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTVPERINLPVYAGPESRYCPARVYEYVTDEKGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >Dexi7A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:7A:4219463:4221082:1 gene:Dexi7A01G0001570 transcript:Dexi7A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDHKVLDALDAAKTQWYHFTAVVVAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSESPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIISSAFKARFDAPAYKDDPVGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKKATSDMARVLNAVEVSDEEKKAEEDELERREEYGLFSRQFAKRHGLHLLGTTVCWFMLDIAFYSQNLFQKDIYAAVNWLPKAETMNALEEMFSISRAQTLVALCGTIPGYWFTVFFIDIIGRFAIQLGGFLFMTVFMLGLAIPYHHWTTPGHHAGFVVMYAFTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSNDPAKTDAGYPPGIGVRNSLFMLAGCNVVGFLFTFLVPESKGKSLEELSGENDDEEAAPGQGNQQTVPTNMSD >Dexi5B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:5B:445300:451136:-1 gene:Dexi5B01G0000710 transcript:Dexi5B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPCASSCDGAWGGRRRRLSAPEAEAGSVVQNKLTSFVMEGAQGGSDDASEASNSGSRHVIEDCITSSENMASDGATNVVIEVTTNDASLSKNNSNLVSSSTKVVIGGSVEVSGFNKDLDGSNVSETFSSSIEVDVPLMRFVKGKGGSMQKKIEEDTGVKIIFPSSREETSVVLEGTSSESIRRASQMIASVLEEAVQSRMLDYSHFISLPLAIHPVLVDKLNYFQSSILGDSASNEESDKDESRSEGSIDEIDHDHKQADGSSVSINLQVQEKSVEVKMDRKGSQSDFGIDKSIFIKPKTFHLTVIMLKLWNKDRIAKASDVLQSISSQVNEALENRPISIQLRGLLHATIMNVRHRKSSKKWNRWNDSFDAQDIFRKFGSEEWGQYHIPEVHLSQRFKFDESGYYHCCSSIPLPAEMQAE >Dexi7B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:7B:7243026:7243415:1 gene:Dexi7B01G0003180 transcript:Dexi7B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLCKAERKWPGLEEGRLEHEALCLSDDGQQQQAGTGAGVGCGVVEVGNRGHEAVRPLHGGEEAARGRCARCGTGEEEDVGDEEDKQPARGRVGRCGGEAAGGAREARRGHGESTNVGREAIRASAD >Dexi6A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:6A:15931580:15934124:-1 gene:Dexi6A01G0011190 transcript:Dexi6A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLPHAAAPLVVLRAARFAPWAARAPRRRLLSGPPTAGEPPPPALPPASKLADPPVVGAPEPPLPFRAAEAEILRDVEPVVQLIKDILHSDRYGDGECLCPRDENVVVEKLLTFHPRAQDKIGCGLDAIMVRVIYKYIMLIGTLNSGSPDAFSLFAQMVFGLISHTRSASVHTSEKSIRLMLRDLYENISREHEGSWNSVIR >Dexi2B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:2B:9552982:9555145:-1 gene:Dexi2B01G0008850 transcript:Dexi2B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLQRRRPAAFLFALRLLLSVCGAARACGGGDLRRVVEVPGEPGSVVWAVQLSDLHLSAFHPERAADFRRHVGDALAMVDPALVLITGDITVD >Dexi9B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:9B:1984872:1986410:-1 gene:Dexi9B01G0003470 transcript:Dexi9B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVRDDEIQAAAAQTRSVVMRTTTTTTGATADSSSSGVCDDALRSIFARVPARTAVASMAISKHHRRLIRCPDFIDLHCRLSPPLPHPHVAYLATANVRRSGGGGAGVPVSGYHSFHLAGGEGLGSGNASMRALAGPTYLEKRYVNSCNGIVLLAGKPSPVTCVLWNPAVPDEAMEVTVPISSRDDCAILGLGYGPRSKTYKLLLARRRTRTRFEYSDHPRWYHTALMMTGANLPFSLTPERSDFAFCWGYKPTLVSPGSIVGELHKDEAERRRDRTADMMAALKPVNERDRRKGQKATLDTVCFMEFLLRTLEKLPENMQDVVEMPLLKSEDSDPEPYLSYADS >Dexi5B01G0032130.1:cds pep primary_assembly:Fonio_CM05836:5B:32756871:32757521:1 gene:Dexi5B01G0032130 transcript:Dexi5B01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSSPGSYIRLVQHLIEKCICYNMNREECMETLEKHAKIMPVITSTVWKELEKENREFFETYKKDRGEEGSAQKSPLDAQGSASKSSDDDKQGRSQNPVKPQAEQAKQGRSQNQ >Dexi7B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:7B:3363076:3365622:1 gene:Dexi7B01G0001970 transcript:Dexi7B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVAPVVDAEYMAEIERARRDLRALIASKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRFPEEYNHGANKGIKIAIDLLEPIKEKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKVGQILRFVQKKGACLMPRKVPSSLIVNAFNSEKSVLYLLSVVSCRASHLREVFYRMGLSDKDIVALSGGHTLGRARPERSGFDGAWTKDPLKFDNSYFVELLKGDSEGLLKLPTDKVLVEDPEFRRYVEIYAKDEEAFFRDYAESHKKLSELGFTPPRSALSRKSGNQQKSLLVQAAAGVAVAAAVVAWALLCESNKKLG >Dexi3A01G0024590.1:cds pep primary_assembly:Fonio_CM05836:3A:20221470:20222160:-1 gene:Dexi3A01G0024590 transcript:Dexi3A01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIGAAGYCWGAEIDQFSPQELVMQFEQVAHFVKIFPGVTHGWAVRYSDNDEAAVKSAEEAFADMTGWFDKYLK >Dexi9A01G0038090.1:cds pep primary_assembly:Fonio_CM05836:9A:42402005:42404868:1 gene:Dexi9A01G0038090 transcript:Dexi9A01G0038090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATEGKLSPTLSLATLIGRELRSDGSERPLVRYGHSGFAKRGEDYFLVKPDCLRVSGDPSSAFSVFDGHNGVSAAVFSKEKLLEHVMSAVPQGISRDEWLQALPRALVAGFVKTDIDFQRKGETSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLLNTGGRLIIASDGIWDALSSETAAQACRGLPAELAAKLVVKQALKTTGLKDDTTCVVVDIIPSDHCSTPPALLGRNFLSKANLPPFRCAICQVDQEPFEGLMTDNVGGCCSAPSTPWGGRYLCSDCRTKKDAMEGKRSSRSTTCR >Dexi4A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:4A:3151560:3155294:1 gene:Dexi4A01G0004430 transcript:Dexi4A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAQLTAPPWYHERVQTTPAPPPRRHQSIEQIGVDEEEKRKGSASHNVAPGARLRQTPSVMGSTSTEDWLFGWEPWEAPALEDLLPELSREEQIRLQSYLHEHERIQKRWMKEDYVQSSSWHTESERDAVIIPHARYALRHYNTKHPGEEFDIVKPLMGDSVRFKGQVWFHVNFWACCRKNKKIKRFFAEVHYKPPGSRSVCSGVPFPVPGAKKPQSTSSGSCSDLPLQVPEAEKPTTTTTTTSSSSSNSVCSGLPLPLPIPIVEACTIIEEPLGKYRRSCAFCRGHLGILHPMGRKFVCGNDKDRMVQQLVTGGSLGLEMPFTCRLGAASLNSQ >Dexi7B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:7B:18876524:18880557:-1 gene:Dexi7B01G0011980 transcript:Dexi7B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAVQNGSHSGGGGGGANPSNGGTAAALRHDPGLAREWSPEEQATLDELLAKYASDAPVIRYAKIAMKLPEKTVRDVALRCRWMNKKESGKRKKEDHNSSRKSKDKKIQDNIALFCQTRDNILRVLKEINDAPELMKQMPALPVKINEELVNTMLPRPTVPMQ >Dexi3A01G0027270.1:cds pep primary_assembly:Fonio_CM05836:3A:24160443:24161348:-1 gene:Dexi3A01G0027270 transcript:Dexi3A01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAFVSPSLSRTTLASLKYTNTAWKTRVRVENQKMMLGTVIPQLEPYIYKGEEETTPTDIFARGSYSAKLKFVDDDGMCYMEMTYYF >Dexi8B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:8B:19347323:19348566:-1 gene:Dexi8B01G0010780 transcript:Dexi8B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFLAVALPILLVVFISSYVLQLFHDSRRRLPPGPWLLPLIGNLHQLDRLPHRAMARLAARHGAPLMSLRLGAVLAVVASSPDTAREVLQRRNADVAARSIGDSMRAGGHHDSSVLCLPPRRRWRALRRLGAAELFSPRRLRDTEPLRREAVSGLVSLVSGHASRGAAVDVGRAAHAAALGLLWRAVFSGDLDAATAREVSDIVGEASVLAAGPNVSDFFPAVAPADLQGVRRRMARLVKRMYAIIDEQIEERERSRDAGEARKNDLLDVMLDNEVEEESTDGMSHNAIRGLFTLSRKPFGCTLQFRYRHMKPRRQ >Dexi5B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:5B:2087934:2088095:1 gene:Dexi5B01G0003170 transcript:Dexi5B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKRLLTSSAAVLAAAAPTPCLIVPSPFPTMEAAAEDPQLPQLR >Dexi2A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:2A:3058801:3060381:-1 gene:Dexi2A01G0003480 transcript:Dexi2A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAIAMAAILFIFLLIIRYILTPSGRKKALNLPPGPRGWPVIGSLAALAGDLPPHRALAALAARHGPLMHLRLGSYHAVVASSAATARLVLKTHDLAFSDRPPTTAGEVASYGYLGIVHTSYGAYWRMARKLCATELFSPRRVDSFRRVRAQEMRALVRSVFRQCAAGDGGCGAVVAVREHVAGATMRNILRMAVGEKWSGCYGSADGEAFRRTLDEAFAVTGAVSNAGEWIPWLGWLDLQGFVRRMKKLRQLHDRFYEQILDEHEERRRRRAGAAAGEFVSSDLVDVLLEAKLTRDGVKAIIQDIIAGGTESSAVTIEWAMSELLRHPDAMAAATDELDRVVGRDRWATERDLPALHYIDAVVKETMRLHPVGPLLVPHHAREDTTVVVAGEGYVVPAGARLLVNVWAIGRHPASWPDEPGEFRPERFLSGGAAAGVDVGGAHFQLLPFGAGRRMCPAQGLAMKVVAAGVASLVQGFAWRLPEGMKPEDVSMEEHFGLSTRRKVPLVAAAEPRLPAHLYTAIDD >Dexi9B01G0046700.1:cds pep primary_assembly:Fonio_CM05836:9B:45895957:45897356:-1 gene:Dexi9B01G0046700 transcript:Dexi9B01G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIRRHGVGVRDLLAHQEGTQATGTSPAARHAAGLGSHVITVKLTHSCRPLDSIGFHGSDSVSNLRRDAISRAHAHIRCVLSSLLSSPRHHRGHGYSTRRPLLPHDPGGPHITAAAGATSPSPPTPAVPASG >Dexi7B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:7B:17738540:17742405:-1 gene:Dexi7B01G0010420 transcript:Dexi7B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEHPSGPSCSSKTAGPGVSADPATSVAEEPASQDPRDLVQPYPKFSIRDYVFASRSKGVKRSWPFNPRSLQLCVRRGVTDPLPPFEPPDLIRSQPLSNFTDAEQSAGCSEAITSVRLVKTRDASSSNEDTSDINFQPCQPVDDSLGPSPYTSPEDGKSGIDHVGSTNESDHTNEEIPIDLQDNSCTKASQRTEVVVPSWRSRNLDSSCEPSERKSKFSAKVVGTSTDIRRTEDIASNSSSVSDPMASKTCPVCKVFASTSNTTLNAHIDQCLSAESNTEFVETVLVKPKVKPRKKRLMVDIYNTALPCTLEDLDRRNGTNWAVELAMSTVNKDVCTENRSPEVVPFDRRDDEREGDVYVDSNGIKIRILSKRSDAPLVLKDDVGSSKAAKNEAEKGILMSKKIPKSKILKNKKLKMHTKKYNKTNHLNSQVPAYSHDDINEETSDEEQHAQNPSDSTSNGSGTMRQWVCSKRSDIGKNFSRKFSDKVTSRAQKSDRSSMIGFNDSQIPESPGGVFSSESPEDMATTSEAIGVDKSNGRFLRSIPTWSSKTPLQSGVVRKVPRSAAAVAKRKIKEIGRREANKLDNYDIARNSTSAKRYEARSLSFTAGPSNGPNRLVPTSKKIRKHRSVLRTGKCALSPSVNRLVHGFDQDHEPDTRHVNKKFRVSDNESPRKFVNHTEEDTADTDFSFGSDMPDSRQQDGQYDIPQETEGTEMEYEGEEPGTDVPFDSVSRSYPADCQISDGSLSPENNTAADDVLVEGYSVAVEDPSSSEQLARHGHESSSAVNNETEEWQIDPASTKESSDCLTNNRDMGPGAPQDNSSITSNREDSSQEHGLPLRRDSLDSPISTASTFFPPDAKKDPRINQSAPGPSTAEAVEELATRSLNQETKSIPTARE >Dexi9A01G0024060.1:cds pep primary_assembly:Fonio_CM05836:9A:20051296:20052840:-1 gene:Dexi9A01G0024060 transcript:Dexi9A01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAAAGTGSGGDFPTLYPPQLPLRPDPCDNLFPSPGRHYYLAVDRTEFKIRTLLELLGVVTDRRGGLPIAICVSSRDELDAVCAAVANLPFVSMSPLTTKGTDIAESSKPESKGTKLTIVVATDACLPQAAMAEAPLLARVLMNYELRASHKEGSLLKTHVNMLGSRFQIYYDNLNCKL >Dexi9A01G0032530.1:cds pep primary_assembly:Fonio_CM05836:9A:37453341:37455733:-1 gene:Dexi9A01G0032530 transcript:Dexi9A01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGGVGGERARGEVGGDATAELLGAKSVLVTHGPAHKGLHSLALSRLGYSVLPALIADIDRLVMAIMGQWEPGTTVKLLDGAKKIAMSINIKHLLSIEPGAWSESIRGEFNTISDGFVSVTFPLATLLPFTTYGKALKARKNVALALEEVIRKRMDEKAMVGFVEGEKENNRGKKDMVDLLAAGYDTTSLTMTLAAKFLTEKPTALAQLRVINETLRVCNIVNGVMRRANTNIYYKDYIIPKGYKIYASCGAVHLNNEHYENARTFHPWRWQSKNNKDQDVRASSLFSPFGGGPRYCPGNELARVVISVFLYHFVTRFSWDAAEEDKIVSFPTTGILKGYPINLRLLSGSKIN >Dexi9B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:9B:5967234:5969437:-1 gene:Dexi9B01G0009680 transcript:Dexi9B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVASMEDVKEARQAAAAAGQGRVLPTGMLKVFLGFLLLGVGLSAVGMYMARHAVAAAAPALFRPCLGASAAEEEPEGLERWTRPPARVEHAMTDEELLWRASFAPRVRGYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYVAEWGKMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYKYFQNSNQSFLMAFDDPGPYGRGRYNWNMTPEVELDQWRK >Dexi4B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:4B:18140532:18141965:-1 gene:Dexi4B01G0016130 transcript:Dexi4B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPHFLLLTYPLQGHIAPALRLARRLLAVAPDVLVTFSTTEAAHRRLFPANPDEEGPNNAGHGGRLEFLPFSDGTKDGYAGGGGDDVEAFNAYVASFHAVGPRSVGELVDALAARGRPVTRVVYTLMLPWAADVARRRGVPSALYWIQPVVVFAIYHHYFHGYADVIAEHHRRGDPSLLVELPGMAPLAVRDLPTFLTESTDPANYFHTVFLTFRDLFDTLDKETNSKATILVNSCKELEVSAVTTVGKKHDVLPVGPVLPTGDETSIFKQDADAKYMEWLDTKPENSVVYVSFGSLATMGKEQLHELLLGLEEIRRPYLLVVRKDNKAMLAEAEAEMGPKNGILVEWCDQVRVLSHAAVGCFVTHCGWNSVAESMASGVPMVGVPKVSEQGTNARLVEREWRTGVGAGVDNGGVLHAGELRRCVEEVMGDGTSAAEVRRMAEVWKRVVAEAMGNGGSSYCNLVAFVDGARSTA >Dexi9A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:9A:770529:773368:-1 gene:Dexi9A01G0001450 transcript:Dexi9A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVGLNPGEELDFGGNQEDDDEAGDISPGSKELAAMVEAAAAAESVELDDGAPASAAQYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGNGKEDACYQARPTAKTNCQATVVARLWGDGLLHLTDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSASGDIDVPIFDDWGRLKIGAADVVAINSFFADMQAKLPNSFYFLRLVEIGVSSDEKYRHAVRLIKDMKETLLDDTMCRDLEQKLTPAERAIVNGDIHTQPGSSEGGPAKKRRGRPPKKSKEISVDSMDSLLVSTDVTQKSAFHSSSTASNLGTHIRTHGVVDLMEEVNPSELSFDSRYGVQSGHPHHFGNQMHAGNTLQFGQPTSAAEHSRVQWVFPNNVYQDDQVPYGRRTS >Dexi4B01G0022210.1:cds pep primary_assembly:Fonio_CM05836:4B:23899478:23900956:-1 gene:Dexi4B01G0022210 transcript:Dexi4B01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVLPLVRRMHRWILPSCGDTRPSSRPSSRRRDASPASPPKLRKVVSEGTLAVPKDVEEFRTMLAYGCRLKLFTYNDLRIATADFDPARIVGEGGFGVVYRAFIDVGAAAGKGFPPAPTEVAVKELNPAGLQGDREWLTEVSCLGQYSHPNLVELIGYCCEGEHRLLVYEFMAKGSLEHHLFRRACNLSWTTRVGIALDVARGLAFLHGAERPIIYRDFKTSNILLDAMLVGRRAMEPSRAGAREGSLVDWARPILIRPKKLERILDRRMGGGPEPGLGRVARLAYDCLSQNPKVRPAMARVVLTLEAVLAAGADDGEEQEGIVAAHAPAG >Dexi9A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:9A:15627032:15627754:1 gene:Dexi9A01G0020570 transcript:Dexi9A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPHPDSPHSDTNDNGGVGSSAPGLLLSPSASSASSPAGGGGGAASSALASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTPACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVRDHQSRARGVSYEKKKRKKVPAPPHPVPAAVISASSSVASSPHDGNGHSHHHHYEHHHHHQMPPPPPPPGAAA >Dexi4A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:4A:5284660:5284989:1 gene:Dexi4A01G0007170 transcript:Dexi4A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPITLAILLGFFAVFFCLEHLQAAAKIQTSHSQSGLARFVASALLPAVLSTFGLTLLLLVVHVRALSRAGVPGAGGAGLDRLAKVTLAAASVALIAGGVMGFVAD >Dexi9B01G0024810.1:cds pep primary_assembly:Fonio_CM05836:9B:22652133:22653779:1 gene:Dexi9B01G0024810 transcript:Dexi9B01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSSGGGAGDGPHPSSSPATATRPSPASLDGGLLLRLLQSPPHGQPRAETPVPGPGAHHFFLDPAVATVGPLFAAPPQMQGGVFAWSSTSALQSQQNQLRFLDPRFAPGETYAALGGDGMGFGSGDAVRVERPRPGAPPPGFGKPSHPSAALHDASNTFGGAPSREQNHHRPNGFSTTSNKEPQTVPPFAVGGRAFGRMPDGERSAMPIRGGCGVAVGAMYKEQQQQDHILSQTPPDMNTNISFGRMPLGEQHTLPINGGMAFHGDHYIHPIEGSRMQIDQGQQEHWLVNTPQREQTWQGLKEEKGYALRKLANTNAHDTFGKAPVKELRHVTLPAGSSFTVGPLIDQVNGLEDGKVVAEAWNFGVPYQKGNVSFAEQDEDGDDGREEGTIIEQLTESLVIDGNGETKGVLLKKTIPRSKEV >Dexi1A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:1A:8445403:8449785:1 gene:Dexi1A01G0009990 transcript:Dexi1A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRCSRGGRERRRTQEIAAVAAAAPCGLRAPSLERAFVGEPKMSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSVLYFFSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGKDDVSVEMALLVEGLDVGGDTSIEEYIIGPATEPADDHVADDDKEQITLYGPEEGQSWIARPSKGPSMLGSVLSLASRHGSMVNQSVPLMDPIVTLFGSVHENMPQAGGSMRSTLFPNFGSMFSVTDQHTKNEQWDEENLHRDDEEYASDGAGGDYEDNLHSPLLSRQTTSAEGKDIGHHGHRGSSLSMRRPSLLGEGGEGVGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEGVPGSRRGSIVSLPGGGDVPEGGEFIHAAALVSQPALYSKDLTERRMSGPAMIHPSEAAKGPSWKDLFEPGVRRALVVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDLSGRRFLLLGTIPILIASLIILVVSNVIDLGTVPHAALSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCVISFVFVFLKVPETKGMPLEVITEFFAVGAKQAAAKA >Dexi2B01G0031720.1:cds pep primary_assembly:Fonio_CM05836:2B:39742735:39748543:1 gene:Dexi2B01G0031720 transcript:Dexi2B01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDAPAGDAGPSSRGGAGSGASARPRRFPGAAQPEIMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEVATLHGLPPTPARRVLFILYQTTVPYLAERISSRIVARSIALHESQLDDHPESGSSSSGIAQSTTFSSSSPLHLPTLRRLAPRSSSSPLRVAAMSSSAAAAVAAPIEHIVLLKARPESIASGAAAAMVSTLQALATQVPGLAYIHAGPVLRLRSPAAEALGPTHLLHSRYATKQDLATYAAHPAHVAAVQGHVLPNALDTTAVDWVNAAPLPSPVSPGAAVRLTLAKVKEGVEVAQLVEKVAEATKAAGEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEEAKAAVRPLLDEVLVLDFVVEAPTPASL >Dexi1A01G0025620.1:cds pep primary_assembly:Fonio_CM05836:1A:31796334:31799322:1 gene:Dexi1A01G0025620 transcript:Dexi1A01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYAEGEVCGVCGHRWKPSDGEGTPARHESAFPTEVLNGFLFLGSYDNASRSEVLKTLGISHILNVQFCERDKSRVLVHCMTGKNRSAAIVAAFLMKSRGWRLAQSFQWVKDRRPQVQLTDASQNELLEYEQKLFGPGDQPLIPTESFASLGFGYPKPEGDAQAPTFNQTTATSIFERVSPNDVPANFAFGAERTAGVNPQDDNNNGGANPALADNVMDSS >Dexi6B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:6B:25987756:25988430:1 gene:Dexi6B01G0019150 transcript:Dexi6B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITVATMAIVVLLAVAATASLTGALGDANFLRNAQCVAVVGTDQRSSNATTARELASIALDIAGAEAKVSSGYLSGEAEKRDRTDAGEALSDCTAREDFDGGGFDEAERETGEAEAAADGCEQAFTDRRLDVSIVPGVDNKRMKDKASVASDLIDLKHHKLVSGLARSRLRHRPPALCAAC >Dexi5B01G0039100.1:cds pep primary_assembly:Fonio_CM05836:5B:38119983:38120283:-1 gene:Dexi5B01G0039100 transcript:Dexi5B01G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLRDWEDDDEKERERDWEREEAEPEETERDGERDEVPEEEREAERPRRGFAIVPGGGGGRATSVALGFCEGTGHGGRRNPAGS >Dexi9A01G0043040.1:cds pep primary_assembly:Fonio_CM05836:9A:46577600:46580006:1 gene:Dexi9A01G0043040 transcript:Dexi9A01G0043040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKRYQPAQKGAGSFGPFPPPLSPTFSPPPPPRERREEAAARSSSSNNSASPRQWRPPFPASARFLLDAGVSFDRLARAMEGYSRDLLRGIGRGDAPPQEQRPGPARARVQVETEEVELSLGLSLGGRFGVDRKGEKLARSSSMAAILTATLEVAAPPALGRTSSLPVQAEASEGVRTQQGLDGWGSCRAVEPEARQRASLSPSSGSSDGEGMRLQDTLVRSASLPAGIDATGTEEWRKRKAAQCLKRLELKRKRLERRNSLTCNNSKEAVRQISEEVKAHTDKLETCDGAVGRYNEYHSPMKGLPPKHHATSTSHDNLSAVQRKSKSAFKGTATSEEHSPSPAAPPGEAASSAMEASPPSSSSLSGRAASLGCRGDQQSTSGTAAARARSMGDVERAMMREMPSVFTKGLPNGNRMEGFLYKYRKGEEV >Dexi3B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:3B:11510647:11513618:1 gene:Dexi3B01G0015900 transcript:Dexi3B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSGTGYTLPPLQPSPPLPIPAAPAHGTVFNVVDFGAKGDGVTDDTQLDGTILAQTSARVWGSGLLQWLEFTKLTGIAIQGSGVINGRGQEWWTYSDPNDDDDNDATYLIYFLGAFQQFRVELDKMPQIKPTALRFYGSSNVTVTGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNVTVRDVNMFKTMNGVRIKTWQVSIT >Dexi3B01G0034210.1:cds pep primary_assembly:Fonio_CM05836:3B:36984558:36987151:1 gene:Dexi3B01G0034210 transcript:Dexi3B01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTYAIREKKRLAAIKRLHFKQHGGFLLFKEMKSRQGQGHSFTLFTKEELEDATNKFDERYVLGKGGNGTVYLGNLKDGRAVAIKRCRVADDERQRQEFGKEMLILSQVNHRNIVKLYGCCLEVEVPMLVYQFIPNGTLYQLIHRDGPPPPFAVRLKIAHETAEALAYLHSMASPPIIHGDMKSPNILLDENYTVKVSDFGSSVLAPTDNAHLVTLVQGTCGYLDPEYMQTCRLTDKSDVYSFGVILLELLTRRKALTMAAPEEERSLAAYFLSSMRDGKLDGLLDVCIRDEVKGDVIKMAHGGAHLWVPHHAGPVGPTEGHTCGSHISGSHDCSGKSKPPMWSIRRPRQCG >Dexi1B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:1B:167250:168148:1 gene:Dexi1B01G0000050 transcript:Dexi1B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSAGHSFEFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGESRIIRDAYAKARYLPMVRLARRLWSDAEAESGYRVLTPAPHLSFGPRDDASLVRAVENAGAEEVDLAQMWGGAIRIPDGWLTAVSEHGGGPPVYGIPSLEIPGLIKISCDGGPPCDPTAVTGSPV >Dexi2B01G0028650.1:cds pep primary_assembly:Fonio_CM05836:2B:37219104:37222169:-1 gene:Dexi2B01G0028650 transcript:Dexi2B01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRRINAGLHIEATGNGVAEVDSRRSSASAYSPTAKRINDAKDSDVWVPVQEGDMPGDPNRALLFRTMKVKGSILHPYRNHDGVWLWVMSMVGDVWFGFSWVLNQLPKLTPTKRVPDIAAISEQYESSTSGESKLPGIDVFITTVDPVDEPILYTVNSILSILATDYPVDKYVCYLSDDGGTLVHYEAMFEVANFAKLWVPFCRKHSIEPRAPENYFGLKRQPYMGSMQEEFMSDHRRVRREYEEFKVRIDSLFNTIYQRSEAYNRKNTKEESVKATWMADGTQWPGTWIEQAENHRKGQHAGIVKVVLNHPSHKPEIGLPASIDNPFDFSNVDMRLPMLVYLSREKRPGYNHQKKAGAMNVMLRVSALLSNAPFLINFDCDHYINNSQAFRAAMCFMLDPRDGQNTAFVQFPQRFDYVDPTDRYANHNRVFFDGTMLSRLQGPSYLGTGTMFRRAALYGMEPPRWRAENIKVISKAKEFGESTLFINSMLDGTNQERSLTPIFFDESVNNELTTLMTCAYEDGTPWGRDVGWVYNIATEDVVTGFRMHKQGWRSIYCSIEPAAFRGTAPINLTERLLQVLRWSGGSLEMFFSHSNAFLAGPRMQPLQRIAYLNMSTYPIVTIFILAYNLFPVMWLISEQFYIQRPFGSYILYLVIIIAMIHVIGMFEVKWASITLLDWCRNEQFYMIGATGVYPTAVLYMVMKLITGKGIHFRLTSKQTEACSNDKFADLYVVRWVPLLIPTIAVLVVNIAAVGVAIGKTATWGLFTEQAQHAMLGMVFNVWILVLLYPFALGIMGQWGKKPVILFILLVMSISTVAVMYATFRAIYPSDWSEIAASLGKVKLVTGSPGKN >Dexi2B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:2B:28766506:28766808:-1 gene:Dexi2B01G0018460 transcript:Dexi2B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATVTAVSSCSKREGDIAGAVMPKKAAKRGRQPPPEEVEAFLAAAESSMARRFAAK >Dexi2A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:2A:32763478:32771928:-1 gene:Dexi2A01G0020580 transcript:Dexi2A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATPSPSTDRPHTKPICLAGFIRSPSGAAGLLRVLGCCSAAGHSSAHLPLCPHVSGGRPVGHAAPRCRLISALPGDSETAGSALLISPPCPGFYPRGCITDRGAHGKAALPLVRRCELFPAPFFSLKSEPSSPILFAIVFVISLTSGLCEGQQTLSSLFGWLADCPFLLQELAFRPLLHVPIIGAYGLSASLTSDVRALGAPRRSVATVRGVSGGAARTRRTRRASRAALVEEAGQPGQDERGPDGHEPGAPSEATRKPRSHGRDADDVPLAAVSGPPGCSAQGYKIRGNRSGYRGYRSNRPGPVALSFSSGEKPPPAAQPPRAPGSRAAANRPGSRRRGSRLPTPANRSGFRSVSAVTARPRVVHCEKVSKEVREYFQRELERTKKLTAQRAQEKLRKEKATAEGNYPGGGEAYDEEAELQRALNQSRAEEEFRRGVQQRGGAYEHGGGSSTRGEGTLQRMLRRATSARQTPGVTDYNLAKD >Dexi1B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:1B:2080463:2080999:1 gene:Dexi1B01G0002580 transcript:Dexi1B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSMLGRRQHHGQQTKGGGGGARPGVVSGAGAEVEPVSIDIMEPFAVDTISLAAFAAPALGLPPFATASMDWKETPNAHVFMADLPGVRRDEVKVEVEEEKVLRISGQRQRAAEEKGDRWHRVERSSERFVRTVRLPPNANADAAQVTLDNGVLTVTVPKDNERKAYARLIPITN >Dexi3B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:3B:14742647:14746195:-1 gene:Dexi3B01G0019770 transcript:Dexi3B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGGSSCALAALLALASVAAVAGEVFFQEKFEDGWESRWVKSDWKKDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLTHVYTLIIRPDATYSILIDNEEKQTGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKTFAEETWGKHKEAEKAAFDEAEKKKEEEEATKAGDDEDEDLEDEEADEEKADSDVEDGKDSDDEKH >Dexi2B01G0036420.1:cds pep primary_assembly:Fonio_CM05836:2B:43265908:43266204:-1 gene:Dexi2B01G0036420 transcript:Dexi2B01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIDEPISAPRPLSPETRTSEAKRRRIVSLPSSSISGGAPFGLAVLGLLGWPASAPADPLLDGSMESKPLRSKRKHLIAKPKTAGAES >Dexi5A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:5A:7241502:7251653:1 gene:Dexi5A01G0009660 transcript:Dexi5A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTDDIAEGISFQAFEDDCRLLATLLHDVLLRELGPRFIHVLERSRILAQSAVSMRAAGMEDTAAVVERQLEADLAAMSLEDALCLARALSHYLNLMGIAETHHRVRKARNAEQLSKSCDDIFDKLIQSGVPPEQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRVAHLLEFNARPDLSHEDKEMLIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSSALKKVTRDVSLLSRWMAIDLYIRELDNLSFELSVKRCSDKVSSLANEILLKESASEELKEIPGTKQYLKEIQSYIIVWHFLHSFLLVLIFLHVQLVKTRRRLELLLEDLPCDYDTEEYCETPDRLLEPLLLCYQSLQSCGSTVLADGRLADLIRRVAIFGMALMKLDVRQESGRHTETLDAVTSYLDLGVYSEWDEEKKLDFLTKELKGKRPLIPPNIEVAADVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLQEAGSAIRKLLSIDWYRDHIVKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGTKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVTGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATLRPPHPPRDPNWRHLMEDISRVSCAHYRRTVYEDPEFITYFQEATPQAELGFLNIGSRPAKRKPSGGISSLRAIPWVFAWTQTRLVLPAWLGVGAGLQSAMDAGHGEELRAMYDEWPFFQSTVDLIEMVTAKADAPTAARYEEMLVAAPERRRAVGGELRRELARTVRCVLAVSGHSKLTAHNRSLRRLIESRLAYLNPMNMLQVEVLRRLRQDDDNRKLRDVLLITINGIAAGMRNTG >Dexi8A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:8A:2976431:2979726:1 gene:Dexi8A01G0003910 transcript:Dexi8A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLPPPAPSSSSSTTFGALPARGHHRRAHSETFIRLPDADLLLDPDADFGFSDVDYFPSLSDDSPSLSDPTPPPYPAEPLLQQHQQQQQAAAAAAAAAAAPRPPSGAHMRSLSLDAAFFDGLSLQGGGGGGVAGHKRSGSVDGYSSSLEGESAPPGVLPDYAKKAVPAEKLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRTLWLIVRPVLLFVFVSRDTSGLTTENRELKLRLQAMEEQAKLRDALNDALREEVQRLKIAAGQVSNMNGTPFNGGLPQQQQMASYFSQAQQMQYFGGLQGQHHHHRNSSNGGGQSLSDSMDFM >Dexi7B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:7B:1196184:1196896:1 gene:Dexi7B01G0000530 transcript:Dexi7B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPTGEEAPRAAASQPPGAGGRKRPAGLVPVRVWSEADEVRILEGLAAYAAEHGAPPARSQLHAALEGRRLDKAKFTVTEIYEKVRRLRTKYCNLRAAGGPPEPDGGRDGDDKRRKCELSAAIWGDQPANVAKKQGGTGVDAGQPKARATSTGVRRGFEELQGLFPFLAMEVGKIADDEELAPTLKRAFGFIDDQEAGRLDAKAKRQKLEEARMATKR >Dexi4A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:4A:2101278:2101859:-1 gene:Dexi4A01G0002970 transcript:Dexi4A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAAAEASSAASTESERKKLQDAADKRWLQTLSEPELDLLIGLKELAVTRASNAGHPHLADRVFHLRALRALAFVLLEECKERLRQASSVNNTSMLERLALLDDPDHEEVVRPGEDLMPVPTAVRKKRKQMQDGY >Dexi5A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:5A:13818770:13819840:-1 gene:Dexi5A01G0015900 transcript:Dexi5A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIKLCLLVSCALLLATACHGLQVGYYRNTCPRAEALVRAEVKKALRTNPGVGAGLIRMLFHDCFVEGCDASVLLDPTQANPKPEKLGAPNNPSLRGFEVIDAAKAAVEKACPGTVSCADIIAFAGRDASYLLSNAKVSFHMPGGRLDGRKSLASETTTFLPGPSSNLSSLVSAFNAKGLGVEDMVVLSGAHSIGRSHCSSFVQSRLTPPSDIATSLASLLRKQCLANPTTGNDPTVSQDVVSPGTLDNQYYKNVLARKVLFTSDAALLSAPNTARMVRANARFAGSWEKKFEKAMVKMAAIGVKTGSGGEIRRSCRLVN >Dexi2A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:2A:1596735:1597205:-1 gene:Dexi2A01G0002090 transcript:Dexi2A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAKLAAAVLLLASTALLLAPPSSAFAGFNGQPNNPGGGGPGFFGAGIPWFGGGRGGPGPGGFFAAAGGGGGGGSGPGAGGLFGGWGEGGGGYMRGAVVPPSVVCSEQGPCHGKRLTCPPRCFRSFSYQSAHGGGGGGGGGCSFDCSTRCAATC >Dexi1A01G0024820.1:cds pep primary_assembly:Fonio_CM05836:1A:31257823:31259907:1 gene:Dexi1A01G0024820 transcript:Dexi1A01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGVAVAPAPAGSSAAAGKKAKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWDNSEWEFQKYGH >Dexi6B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:6B:22704084:22705225:-1 gene:Dexi6B01G0015360 transcript:Dexi6B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLQYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSVLGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALAALRDQLAAGDPSPAANAQLHAATDFAGVDVAIQAAKLQYLQCLLQSAATTIASTAAAPDAEAAAMALAGDLCSPEGTRDSVAPVSMAGGQLLSCTFTDQVAAVSSEEGNQELSFGVDVDMFACHGGGGSLPPLTDVSDAGNNPGDGCSATTSSSFGVGGGESSPLPWPEFFPDDPFITDFL >Dexi7A01G0023640.1:cds pep primary_assembly:Fonio_CM05836:7A:31571451:31572061:1 gene:Dexi7A01G0023640 transcript:Dexi7A01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGSASVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIFMRSWTGTIIGPLSSVHEGRIYQLKLVCDKDYPDKPPSVRFHSRINLTCVNPETGQVEGSRFPMLSSAGWQREYTMEHILTQLKKEMATPHNRKLLQPPEGTFFFNHNSATIHADN >Dexi4B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:4B:16364688:16366947:-1 gene:Dexi4B01G0015090 transcript:Dexi4B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Dexi5A01G0030610.1:cds pep primary_assembly:Fonio_CM05836:5A:33518950:33521846:1 gene:Dexi5A01G0030610 transcript:Dexi5A01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMPITDGLPSNVTAQMHNVTHPKASSTVVCYSPMMITTNGIWQGFNPLEFSLPLFILQTAIIVVTTRFLVLVLRPFHQPRVIAEILAGVLLGPSLMGQIETWSTMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDIDVIRRSGKKALFVALAGMALPFCMGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLGTDLGRIAMSAAIVNDMCAWILLALAIAISEVESAALTSLWVLLSGVLFVLFCFYAVRPGMWWLIRRTPEGEGVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPTGLLGVTLIEKIEDFVTGLLLPLFFAISGLRTNIQKISDPVTVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLELSNPSKRSPIFIYALHLVELTGRASNMLAAAAASASKTSRSGTASTLPPVTEHIFNAFENYERHTGGVSIQTLAAVSPYQSMHEDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINQHVRGFNENLLSTSPCSVAILVDCGLSAAAARMATEHHVALFFFGGPDDREALAYAWRMVEHPGVTLTIVRFLPPDYRARSISGGGSTYRPSPAAVSDSDSRAITISTEGKSELEMDEDYLNEFRARNHGNEAISYTTKAVANSEETVAAIRGMDTSMHELYVVGRRPGEAGSPMTSALEDWMENPELGPIGDMLVSSDFSMTVSLLVVQQYVVAGAPAPVVPAPAATSDPVRQYLSNANQRPAAAASGAYRTSAASAANSRWSGSSGSTVGF >Dexi8A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:8A:23815403:23816245:1 gene:Dexi8A01G0013650 transcript:Dexi8A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTSAAPPGTKAGITRNQARERGLGRPRSVRRPHHLAKHLHGLSQAPRPRVPADHGVPRHGVRARHSVEHLPRGAHVPGLGVHPDQRRGDEEVGREPCGRGGGPGAATELRVRGARAGRGGEVVRVAVGRAGAGGEHGAEEPRGLTREAQGEVSPEHGVVEERLGRRRGGGGHGGVEGPARGIGVAEADVAGDEEGREVAVGGEAGDDGERVGAAGVGGGVGGGGDGGGEGFLEGLARNGLSFVVAL >Dexi5B01G0030940.1:cds pep primary_assembly:Fonio_CM05836:5B:31771729:31775592:1 gene:Dexi5B01G0030940 transcript:Dexi5B01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLVMASSGFSWTLPDHPKLPKGKPVAVVVLDGWGEANADQYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDKALASGKLYEGDGFNYIKESFENGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKSAVEAVKTLRAEPNANDQYLPPFVIVDDSGKAVGPVLDGDAVVTINFRADRMVMLAKALEYADFDKFDRVRVPKIRETVKFGHVTFFWNGNRSGYFDETKEEYIEVPSDSGITFNVAPKMKALEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKAGKPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRTDIQTPGLANVAATVMNLHGFEAPADYEPTLIEVADN >Dexi5B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:5B:14555058:14564198:1 gene:Dexi5B01G0016410 transcript:Dexi5B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSKAWSSGLTEDMSGAPRQRPAGGQPPHRSKSRKRAEPIVRNADESLRGDACVLGGWLAAASLGDLEGRVHESHPSSAISRIPVRNDERRKLPTRPRVSPVEQDDESLWVDACVHRQWLAAVSLGDSQGHEGHVHGGSAMSPFFTGSSVLSPRSDQPPRSRLKMPISALAMEFCNIKIWRIDELRDHLGITSASIWESVRRSCSSGSNSDSAVSCSISSGSFSGTSGSSNDASFKIYLSALSEDMSVLSDKPFSRTDFIDRKLLSILNDIAGIMIEGVHEKMLRRAIDRQSAQLASHIEILDINNILGNRKEKSKEILLKAWTMGMHIIAGFLSEMQRQLNAQDLGYFNRFKEDYFFAIAKHSVMKLLKSASSICIQGPSIDPVYKDTYSALKPDLSKMVNVVMTYQALNHGVPTILSLFLGQTKEFIHAEGEGLIHILSDMFVKLAVEQNDLVRSRRLDISDTGVHRSTRHIMDHMRMLVQHKSSVYQILKGDPKAFCELVVQLISSLEFMLDMNSRNLQLQGQQQIFLLNNAHFIIQEAKDNDLGMILGEGWFLQRHDQLNLFITSYLDASWTPVMSCFQRPSRVPEILWPHQLFDKFTSSFEMTYSVQKTWKDTDPLIRHKVREAIFQKVIPEYRMHMENYSEKKQKSVRYSIEQLESQLQELFEG >Dexi8B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:8B:126385:130306:-1 gene:Dexi8B01G0000160 transcript:Dexi8B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHLSYCSTSSSSSDGLRRFLSQVQGESPADVDRILATLAPTSAGHGIARLVTRSPAPAQPTLDDFFGFLFSPDLNPPITNQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDVPIIKALQRGVRVIELDMWPNSSKNNVDILHGGCLKSIKEYAFCASNYPLVITLEDHLTANLQAKVAEMLTETFGDLLFIPSSDPMKEFPSPEALMKRIIISTKPPQEYKEFLKVKDNQNGNGNIADLPDQGSLRRIDSNADESDGKEELDEQDEEDSDEDDPKFLQDTACEYRKLITIQAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATTSHGAEVIRFTQKNILRVYPKGTRVNSSNYDPLNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPGFLLTTSPNGEVFDPKASLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIAGVKADSVMKKTRVLEDQWVPVWDEEFTFPLTVPELALLRIEVQEYDMSEKHDFGGQTVLPVWELKQGIRAVPLYDRKGVRYKSVRLLMRFDFV >Dexi9A01G0035220.1:cds pep primary_assembly:Fonio_CM05836:9A:39836679:39844644:1 gene:Dexi9A01G0035220 transcript:Dexi9A01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPEGEGPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGVDRPYREDLLSKMIEQNGYSCIMGLFGSDPGHTALDFDPDGNKVVKEVIFGPGEKTYRYCKHISKQRLPNLSSDLMKKGKHFILIRNPLNILTFPFSFYDLLEQSLPFYNMLKRQVRRTIGSQQIPLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFRNVPTRDWIKDAIFKTLIANGMFDNAHLRLTLTRGKKVTSGMSPAFNLYGCALIVLAEWKPPVYDNSHGIKLITATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGTVLTPHADYCLPGITRATVMDLVVKENFVLHERRISLSEFHAADEVCCDCHMHAGLDNRNNG >Dexi1A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:1A:68084:68982:-1 gene:Dexi1A01G0000090 transcript:Dexi1A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSAGHSFEFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLVSSHGESRIIRDAYAKARYLPMVRLARRLWSDAEAESGYRVLTPAPHLSFGPRDDASLVRAVENAGAEEVDLAQMWGGAIRVPDGWLTAVSEHGGGPLHTLTLYWRIKPGREQSLTAKAGFPTFSSTGEPPVYGIPSLEIPGLIKISCDGGPPCDPTAVTGSPV >DexiUA01G0010430.1:cds pep primary_assembly:Fonio_CM05836:UA:20792603:20793954:-1 gene:DexiUA01G0010430 transcript:DexiUA01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVVTYVAGALAALSTLAGTILIEREWVVVISRGHPPAVLTGINSVIRRIDLSCSLLAPLFSGLVISFASVQASAAALAMSAAVAVGMQYWLFVSFHNGVPILAADKQLRAVEASMPRLETTVSSVESDTTTTLALDWKARLAKQLSVASCWESWMVYVRQDVVLPGVALALLYFTVLSFGTVMTAALDWKGVPAYVISLARGFSAIVGIGTTLLYPLVHSGLSTLRTGLLSIWMQWFCLLVCVGSIWAGTGVASAWMLMAGVVASRLGLWMFDLSVMQLMQDGVPEHERCVVAGVQNSLQSLFDLLTYIMAIIISDPKDLSKLVGISFSLVTCAAVMYTLHAIRMRKC >Dexi1A01G0023090.1:cds pep primary_assembly:Fonio_CM05836:1A:29688603:29692270:-1 gene:Dexi1A01G0023090 transcript:Dexi1A01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGGEDEMLDAEYAEVDPTGRYMRYNVILGRGAFKTVYKAFDEVEGIEVAWNRINIDEVMQCPDNLDRLYTEVHLLKSLKHGNGVKPAALSKITDPQVKQFIEKCLVPASERSSAKELLQDPFLCPYNTYGPAGTKFSSPAPKSVDISLASLQMDVDTCESSPASSVKENGCVAPVTPHAPVLEFTRTNKNTELKLKGEKLDNNSVSLVLRIADLSGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGWRPVTDAAACSYRHSESEPVITSHQDISELVPDYALVDGMMHAKDGNVLSNGHLDSASGAANLGGSQGSVGSVISVQLSGSSKSVSDYGAEDYGTMDCGGYKDGISKLECSHIFGDGSRPIFHIDQASPCLELASSGSSVSTADNQDVLNGELVLIEAQYKHLVDELTRMREEAMEGARKKWLPDK >Dexi4B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:4B:22800799:22802585:-1 gene:Dexi4B01G0020710 transcript:Dexi4B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVMNFNWHKFEVQLVSSLLRKAISLDKLLLVSPDVTLQDMPGVQEADLSLLKEALTNGQMILSESDDPATDQPFHSEVKKRSLVMPPKLRTITVADCWGFANLNLVPVPSLRSFCYRGDFVDAPFFLPSDAALSDLYIRFADSVAELYNTRKLRKSLPKDLSGLNVLTICWNALEVFFALLNVSHNLQAAPCLMPNTNLHNLRELQLIMSEMKAANLDDLYVFFTTFQCPNLERLFVQLPASSDKPMEGGSFDEVWEEPPEDDLDNPMEGGSFDEVWEEPPEDDLDNLLMVKVMNFNWRCSEVQLVSFLLRKASSLRKLLIVSPNVTPPPDLPCVESDFLLIKEALTNGKIILSESDDAGIQPYHSKVFIMV >Dexi5B01G0031290.1:cds pep primary_assembly:Fonio_CM05836:5B:32101209:32103217:-1 gene:Dexi5B01G0031290 transcript:Dexi5B01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTISNRVCSLLLQLPVLAVLLHLHGQAAALSSAAYFPLGGDATVRLPPAPYQPRFAARAVVLGDAQHQQSQRPPAFVAAVSAEARAGAYTCSLVLLLGGIKVWASDHLDKFVARALCRLELTEDGQLRLTDGAGKVGWLSGTAGQGVKALHLDSKTGNLILVDAQNHTRWQSSDDPTDKFLRGQHRTLPVYLITSMTNNAMSSSPFYSFELDEDKIATYIHLGDTSYSYWELAAPTANSAMASARLDASGLKMLNAQGIIVAQISPPVKKPPLSFLALGGDGNLEMYYHDAHHQRFRVSYKALGFCELPLSCGIHEVCSADGRCKDFSAYRDMRPAAIAGGDPCNATAAGGEACMVHLRGVTTVLRAASSSPLANVTLRQCVAQCAGDLSCNAALYVKDDKASGVAVVDDDDHGGVCWHYTLTVGAREVTGGSRRRYSYWVKFTAAVRGGRGGGDGDRGDADSSRGMLGKILMVCGAIDVVCAVVFTVLIALHFRRLRRLAATVDSMVVELQQGEAEGAKEQNSSDHDSDETEHN >Dexi7B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:7B:4622399:4624021:1 gene:Dexi7B01G0002510 transcript:Dexi7B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDHKVLDALDAAKTQWYHFTAVVVAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSKTPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIISSAFKARFDAPAYKDDPAGSTVPQVDYAWRIVLMFGAIPALLTYYWRMKMPETACYTALVAKNAKKATSDMARVLNAVEVSDEEKKAEEDELERREEYGLFSRQFAKRHGLHLLGTTVCWFMLDIAFYSQNLFQKDIYAAVNWLPKAETMNALEEMFRISRAQTLVALCGTIPGYWFTVFFIDIVGRFAIQLGGFFFMTVFMLGLAIPYHHWTTPGNHAGFVVMYAFTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSNDPAKTDAGYPPGIGVRNSLFMLAGCNVIGFLFTFLVPESKGKSLEELSGENVDEEAAPGQGNQQQTVPTNLSE >Dexi2A01G0037600.1:cds pep primary_assembly:Fonio_CM05836:2A:47095105:47098514:-1 gene:Dexi2A01G0037600 transcript:Dexi2A01G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSRLQSLLRPLLLLPSRTTSGALQTLALRSPLPPPCLPSSFLLLHPRRLPPPHPHCVPSRLLLRPFASVSPAPVPGRDHLDSNDQGPLPAPLPPPPPEELASDDEAYYHEHLLEVAQENQTRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >Dexi1B01G0027120.1:cds pep primary_assembly:Fonio_CM05836:1B:31911128:31912005:1 gene:Dexi1B01G0027120 transcript:Dexi1B01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPCVLDPDAAKSEAASAGPGNAIQFWQQAPPHSPSGGPFAYLKTKPLPMLDTGGSATTCQDCGNQAKDCGHTGATPAAKSRGFNCSTHVKSTWVPATRRRERQHHAASGSASSSPATASTVAVASVSKKPRLLSSQTTTSHTSTSNASTPRSFDTTSSHQDASFRDGLPRQVRAPAVFKCVRVTSIEDGESQLAPRGRKPSSKVDMQTVLILRGCMIPGFITKVENRMTTKVEGCQIDFFVMVFGLFFKRNLRNGAWV >Dexi3A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:3A:599745:600116:1 gene:Dexi3A01G0000810 transcript:Dexi3A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGQQQVAVLVVAAALCFLMTTTTVVSGGVSCGQVVGWVSPCISYAMGQQGTSPPPACCSGVKSLNDAARNTADRQATCKCLKQATSVMHGLKPDLVAGIPSKCGVHIPYPISGSTDCSK >Dexi3B01G0026880.1:cds pep primary_assembly:Fonio_CM05836:3B:22284883:22287121:-1 gene:Dexi3B01G0026880 transcript:Dexi3B01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNGCTIYVGNLPGDIREREVEDLFYKYGRIVEIDLKIPPRPPGFAFVEFEDPRDAEDAIHGRDGYNFDGNRLRVELAHGGRGPSFDRSSSYSSAGRRGATRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATIGIADYTNYEDMKHAIRKLDDSEFRNAFSRAHIRVREYDASRSRSRSRGRSRSRSKSRSRSRSRSYSRSRSRSYSRSRSPRSRSASRSRSPVKARYEQFI >Dexi8A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:8A:26392457:26394063:1 gene:Dexi8A01G0015320 transcript:Dexi8A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGVPVAMAAACVHMQEAWQARQKEGWIGVWFARQDAAAHLHLQLDEQRGTQASLSLSSLVQQLTVQLLGVAVALLLGVVVLLVFSVVNVVDVELAVVVVAPCRTLGSGSGSAWRRRSPRSSPWQQGGVVVARRSWLRVRVGLVVAPVRTSHPLLLLVAGVGVLGVVVVAGAEQLAVQLGTSSNSLWASAMAAPWASACVPGVVDIVVPGVSVARLIRLGVAAVELGPTLLLLGVVLSICGGAVLSVATAALLLLGSLPLLPDDGAAGGFVVCFGWKKEQGGGWMCGGGLEEEKERGKL >Dexi5B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:5B:3270028:3273203:1 gene:Dexi5B01G0004810 transcript:Dexi5B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFTEEEKAVDDAMGYPKAYARLCRGGGGAVGLPYAHGPPHTFLPYVLQPHEALRAKDLNDMFPVTDAEAPPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGKTVPSNLRIVQWQVCRKKHNKLEFLMPWWDLQLGVSVNQFLSIFASKNSDFRNRAFAFMFADGSSEELSSLQVVEAHAFPQHFSEMTRKVGLAPAAIVSTRGSDSSVLKSLDANRPLRSNYPLIASKKFTGEKDENANLAISGHGPNSAKENNNPDADGYITNPYLSIAMARDSLRQREEAKKKQAELTELENEANELKQKNEEERVAIQGLEALLIKRKRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRKKYQHRDDLERQIKPERKRYRVDDGLLEERHSESVKYLSARRLSSPLKQELRVFLEEDQRNSDAYISLGEGEIGQGTSTHASAFGNARNEQLKVINFPRRSLSIEHNNVDSERGRTLVREKLEELAIKERHRSRRRERKDTMASRGAGTPIRSRDGKGTATMQQRYETETEKYHASETVSVPRSSSLPPSPPYRTVGMHGTPRYPTDQSTMLQKSEVLHHRRVPRSEDDGNMNHVGKGNVDKWLHMLMNNQQEDPAAYHSSDEQHEDDEENASEEQHNDAEENASEEQHDDAEDNASEEQQMPSRIDEESCRNEITECSDEIVEVEDEIASDQGTARSRNSFGIKEREEKKIWFPRSDSSRGFRSLPSSPSKILGMRRGVECIGRKPKVVGEDASRYRYEDSVSTSSSKFLSRCKQAIKKAVIK >Dexi5A01G0031640.1:cds pep primary_assembly:Fonio_CM05836:5A:34249226:34250102:-1 gene:Dexi5A01G0031640 transcript:Dexi5A01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGRAAVCAALVFPSTAATAILPPTVSVNPRARHRTKTTTGAVVAAPHASGGAVLERPEFDQSQFDTLPATQEGGDPGRLKDGRRSGSGDSYKVLLVDDVRHTEKHVEKALPQVVPSITAEAARKLFHESRLRGVAVVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >Dexi2B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:2B:25898656:25902727:1 gene:Dexi2B01G0015800 transcript:Dexi2B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHLAAAATLLVVPTSPAPRLQLGPSRRARRPAALRSLPQRLAAVESTPPPSSAPLAPNSSSGLDAGSGGGDDGGDGGGGADFGWLRVFPHVLTASMSNFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLSSSALVDKFGCKKTLQIDSIPLIIGALLRYLVSLLLYFCVPKYMEFLLISVACSSILLHIKQSYSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGFLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGFLIVVGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVDKSMEEIKAVVANDDSQSIWSDLLVEPHNREHWLEISLAHNGLGYPSSYEIYVGNCRYIFSFAIGAGPVTGLIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLELVKKFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSPGTRGERE >Dexi7B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:7B:19970046:19970461:1 gene:Dexi7B01G0013490 transcript:Dexi7B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTDQRVQGVLNLIDLAGSERRDKSGATSDRLKETQAINKSLSCLSNVIFSIAKKEEHVPFRNSKLTYLLQPCLGGD >Dexi2B01G0026740.1:cds pep primary_assembly:Fonio_CM05836:2B:35854413:35862030:1 gene:Dexi2B01G0026740 transcript:Dexi2B01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGMLASRALSVAGRWQHQQLRHLKIHEYQVPLAPKIQQFALPFFIGFLGFVGAAISEVPEPEPIPRCRPRPSSSSVLDSDDLLPVILRRLPPGPSSLPHASLVCRRWRGFLTSPHFLREFRAFHRAAPVLGLFHNNTNLGGPDHRFVAAVDPPDRVPASLFRMPCGQDHRNWRFLDCRHGRALLLGPMAPRREVLVWDPMTGRSAACPCLRMLGTFATGRRAVAAVYSSESSAWSHIITVQAPSVFMTGNVSKLGTLAGNGVYWLIPGSGILEFDAVTCNLAVISMPAYTAGFLYWQCQLVLTEAKELGLAVVTEVSIKLWKRNIADACGWSMYRSVQLDGCLPPRKGLQKQPSLLGFHEESNAIFVWIEAGVFMIHLESMQPRLLCQGASDFQIYPFAGFYTRDASTGAADDADALMPMRRLLDSQIIWHYEDPRGDLRGPFSMAMLHGWYDNGFFVEDFRVWRTDETKEQSVLLTDAMKSTTWTPFLHVLVGASPPIDWCIEEMLWSSACRVS >DexiUA01G0025030.1:cds pep primary_assembly:Fonio_CM05836:UA:52486933:52487952:1 gene:DexiUA01G0025030 transcript:DexiUA01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEARTKSSTTDIISSPELLTTMTSGTIKLTSFDRVFVKVSFTALLVFEHMGHEAIDIIKRALSKALVHYYPFVGRIVSSGAIDGDEFSIYCTGDGVEFLTVSVDSTLKEAKIFDESSGAKTKALLDDLAVTKFSCGGLVLGVTWNHAIADGIGIAQFLAAVGELACGSPSPSVIPARWDDALSKLHPWSDPVLQATLVCPESHDMELIVPLDITIPSALINRVKAEYRSCFNGQPCMAFAVVLAILWRRRIRATMSNNPGVPVYVTSATNMRKFMGAKDGYYYNCVANHLLIVATRGTVAEAGVVDLIRMIKQAKDLTR >Dexi9B01G0030310.1:cds pep primary_assembly:Fonio_CM05836:9B:32837254:32842089:1 gene:Dexi9B01G0030310 transcript:Dexi9B01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQMRVAVVGAGVTGLAAAHELARSGGAQVTLYEKEDHLGGHAGTVVVNDADNGSVVHLDLGFLVFNRVTSPNMMQWFEGLRMEIAKSDMSFSVSTLLGRNQGFEWASHNGISGLLAQKGNSLSPSFWRMILEYLEDHERNPDLDRNETLGQFVNVHGYSKFFQDAYLIPMCVCIWSCPSQGVLDFPAFFVLSYCRDNHLLEVPSDLSCISLFVWTPRMAYCEGRFGILREQGKIPL >Dexi4B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:4B:3210216:3212585:1 gene:Dexi4B01G0004510 transcript:Dexi4B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRLVLPTLAGDPGGALRRSYHRLIALSSTLRHLDEVLAVSLASGHYALDPAPATALLLRYASLRAPHAHLLRLFRAFPRPDRFLRNALLRSLPSLRPHLLFPCPDSFSFAFAATSLASSSCSSRGNGAAAARALHALAVAAGYAADAFVASSLAKLYFKLSRMDDARKVFDAVPSPDTVLWNTMLAGMSGSEALEAFVRMVEAGRVQTDSTTLASVLRAAAEVANMAMGRCVHGYGMKCGLAEHEHVVTGLMSLYAKCGDMDCARYLFDRMEDPDLVAYNALISGYSVNGMVDSSAELFKELAASGWRPNSSTLVAVIPVYSPFGHELLARCLHGFVVKARLDADALVSTALTTLYCRLNDLESARSMFDAMPEKTMESWNAMISGYAQNGLTEMAVALFQQMQTLNVQPNPITISSTLSACAQLGAMSMGKWVHKIIAKEKLELNVYVMTALIDMYAKCGSITEARSIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYKTMLDAHILPTSSTFLSVLYACSHGGLVDEGRTVFRVMTNEYRITPGIEHCTCMVDLLGRAGKLKEAFELIFEFPKSAIGSGVWGALLGACMVHKDSDLAKLASQKLFELESENAGYYVLLSNLYTSKKRYSEAAVVRQEAKNRKLVKTPGCTLIEIGDKPHVFMAGDRVHPQSEAIYSYLEKLTAKMIEAGYQPVTEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATQFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >Dexi9B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:9B:8979241:8983344:-1 gene:Dexi9B01G0013320 transcript:Dexi9B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSPYRAVLSLALAATCLAQLAAGDTNGIYEPCSDAWIQRGDGFTFGVVFAGYNAFFSGNTQLSPCDRRLNLASSAQLAVFRPKVDEISLLTINTTTGFNPASAGGFMVAFAGRKYAARSAPIFVSNSSFTVSSFTLVLEFKKGRLQNLHWKKDGCGACTGKSNFVCLGKQTCAIRTQSCKTQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >Dexi3B01G0028040.1:cds pep primary_assembly:Fonio_CM05836:3B:23857308:23859548:-1 gene:Dexi3B01G0028040 transcript:Dexi3B01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTKVNPGGCVPVADLMEDRHHWASSCPFPDAPRRLHLVELRPNAKGLSSLAQAPAASPQTRLEGLARLQALDYNTWCVQAPMLLRYPSL >Dexi1A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:1A:27739002:27743307:-1 gene:Dexi1A01G0020900 transcript:Dexi1A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGLVRSLHGDGLCTSSPAPPRADPLNWGKAAEDLSGSHLDEVKRMVEEFREPVVKIQGASLSIAQVAAVAAGAGGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVDAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAIMAEVISAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQVEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMTSTFLIALCQAIDLRHLEENMKAAVKNCVTQVAKKTLSMNAMGGLHIARFCEKDLQTAIDREAVFAYADDPCSPNYPLMQKLRAVLIEHALANGDAERVVETSIFAKVAEFEQQVRAALPKEVEAARAAVESGNPMVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLLAINERKHIDPLLECLKEWNGEPLPLC >Dexi4B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:4B:19159594:19161064:1 gene:Dexi4B01G0017050 transcript:Dexi4B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLRGCLLLLAMASTWLAAADVADAGGGGRAVFNVTDFGAVADGKTDNSRAFLRAWTKACATPGRPTVFVPGAGDGSYLLHPVVFRGPCRGFMEVHVAGVLRAPPGLAAFRGCREWVHFSSVDGLLVSGGGTFDGRGSTAWPLNDCPKKRDCNLLPTSIKLGRVRNATITGVTSLDSKFFHITVAGSHGVRIHRVTIRAPRDSPNTDGVHIQGSSDVRVTDSTVATGDDCVSVGPGASDVEVSGVTCGPGHGISVGSLGRYPGEEDVQRLRVANCTIAGTSNGVRIKTWRGGSHPTAVSGLVFEDIVMSKVRNPIIIDQEYCPYASCRHESEQRPSAVRISDVAFRNIRGVSATQVAVKLWCSEASPCRGLELRDIDLRFVKRGVATQSQCAHVAGGVVAGTLVPPSCI >Dexi9A01G0046470.1:cds pep primary_assembly:Fonio_CM05836:9A:49887438:49888160:-1 gene:Dexi9A01G0046470 transcript:Dexi9A01G0046470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIYECWQK >Dexi7B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:7B:2288766:2291494:1 gene:Dexi7B01G0001330 transcript:Dexi7B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRLSAATSASPSPLLLRRLSAQTHPTASPPPPRPPPEATESGPGAWARRAAALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKATQNQQIVNAIGKPIVRGPWYSASIAVNHAKHSVSCTFPVSAPQGNGLLKFKAVRLGEESWYSFLQPSDWEILIMDAILDIDTEDGKHRTMRVTIPDNTRAPPPADCTACKSHTTPPTPPPAEK >Dexi8B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:8B:7470915:7473651:1 gene:Dexi8B01G0006390 transcript:Dexi8B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAGMAMLLLLQLSSPYTSMVHATNGPVVLGRKAGVADKPEAKNAPSGPGRYAVIFDAGSTGSRLHVFRFDRQMDLVGIGDDIEVFGNVKPGLSSFAGQPQGAAVSILPLLEKAKSVVPTQLMKRTPLKLGATAGLRLIGEEKAEEILEVVRDLVQTKSKFQYNPSWINVLEGYQEGQYLWVAMNYLLDRLGGDYSQTVGVIDMGGGSVQMAYAISACAAESAPAAPDGKDHYVTKEYLKGKDYSVYAHSYLYFGALAARAEILKAKNGPFSSCMLRGFSDKYIYNEMQYDATASPNGADYDKCREEVGFIDSEAPSAKAAPAAFRNAARKACRLGVKKAKVAFPKVEDASLPYLCLDLTYTYTLLVDGFGLQPEKKITFVSKVKHGEYYIDAAWPLGNAIEALSPKKQTGNS >Dexi5A01G0024610.1:cds pep primary_assembly:Fonio_CM05836:5A:28434164:28435500:1 gene:Dexi5A01G0024610 transcript:Dexi5A01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAPSSSTSACVLLAAPQSPPATLLRLRRVGRRTVGLARRGGGGGCARLRVVRRGAADEEAGATARGQQEVEESVEDPVPGRDLVTLAACLVGLLTGVSVVLFNLSVRHSVHEIRDIFWDGIPSRGASWLREEPISEVWQRVIFVPVTGGVIVGGLNTLRSSIETNSNGPVSKIKSAFRPFLKAVSASFTLGTGNSLGPEGPSVEIGSAVAKGFGNVFEWDEGKRLSLVAAGSAAGISSDYFP >Dexi3A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:3A:5967839:5968789:-1 gene:Dexi3A01G0008540 transcript:Dexi3A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHQSQQGMVDAAAAGDGCQLPSPPTQQQQQQRSSSNKVTGGGGGGGGRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFATALDAARAYDAAARALYGDCARLNLLPPPPAAAAVVPHSSAPPPTSSGMMVVNKASPSSSPDAVAAGHHPSHHQQQQQYNYKQEAMAPPPMAMMMMAAAPCCSAADASSNSTNCGSNYSSSSSAAIEQMMMVDELAAEDFEDYVTRLPKAEDFGLGGFQQVPPEVFDEAAGGAIWDDDDHAAAGWPATTTTAMMVDSSSDSALSQVVVPL >Dexi1B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:1B:8289847:8297733:-1 gene:Dexi1B01G0009250 transcript:Dexi1B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPMSSDDRPEAAAAESAQQQQQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTVDSASRRELERQEYPNARHPEFRYRTEFQSHEPEVQEKKVKRVSVMNLDTSRSSENSSTSSPSANSRKALLPNGGCSEKLYSPNNNMSFPPGGCASLRLPVVVVLNTLYPSSLVIFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKVRNGLIYPISCLLQIQIFCLIIFLLQLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRRQVSNPARPGRTLTSLTRAVRR >Dexi5B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:5B:21144506:21146837:-1 gene:Dexi5B01G0018750 transcript:Dexi5B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEENVEFPSCGEYFEAIQSKKKLPLSLQESLTAAFAQIPVSAFPEVPTGRVIEIPGDTSVLEAVRILAEHNIRAAPVLNPEPGAPADWQGRYLGVIDYSAVILWVLENAELASFALSAGSATAAGLGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLSAADHLGEDFYKILLEQEPFKSTTVRSIVESYRWSPFVPITLNSSMLTVLLLLSKYRLRNVPVIDLDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMLIDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVIEFMKTLGSTLPDSGNNCLVRPPPTCAPDTSLGSVIDSIASRITHRIYVVDDDLEVVGVVTLRDVISCFIHEPPGYCDSLASAMEKVEDRGAGSVEKS >Dexi9B01G0022960.1:cds pep primary_assembly:Fonio_CM05836:9B:17874577:17875758:1 gene:Dexi9B01G0022960 transcript:Dexi9B01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQASEAAADKGLPLGMDEAMVDEYASQSKFEGISWNQEETFIAYVAEEPPQPKPVFNDHGFQKEGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGEVRPVKGIPRSLSVGQVIWAPSSSYGLVFVAWSSENGFQATPRKLGIKYCYNRPCALYAAPDPFREEAGKPSTE >Dexi9B01G0047900.1:cds pep primary_assembly:Fonio_CM05836:9B:46899542:46900621:-1 gene:Dexi9B01G0047900 transcript:Dexi9B01G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKALKAISVLVGIDSISVDMAAHKMTVIGTVDPTKVVSKLRKQSWAAHIDSVGPAKEPEKKEEKKEEKKDGDGAKKEEDKKEEKKEGDDKKEGDGAKKEEEKKEGDDKKEGDGEKKEGGGGEKKEGDGEKKAPAPAPPHFPLHYMPPPYMNMITADYMNQYRPPPPSAYYQPYAPPPQSYYVRNISMEENPNSCAIC >Dexi7A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:7A:13826823:13828397:-1 gene:Dexi7A01G0003740 transcript:Dexi7A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRPRRCERLSSNAGDAATDHLSALPDDLLRLILRRLDTRTALSTAVLARRWARLPRDLELRVADVLPRRYHRALALHHSRLAPGVVPDAPALLELDALIARCQRRAMRAFADGVTGLLMESPAAGDGEEDDHRRQAKNLRLEFFPTEEASCVDRLIPTALATWGVEHLELVARPPHLDLYDGPAYAFPHHLLSDELHRSRLRSLTLANCTLPPLHRYSALSELVLRDMPASTPVSTYRRLFTSTGSPLETLRLVSSGCAGTARRLVVSTGSSTSRLRELVVDGCSFLAIELRALPMLERLACLGRNTVELRLGSVPRLAHVSLAFSERSKPGHGELGCFLDGGAAGVSTLAVRFTRPSRWIVPRPLETKLTGLRRLLVADVLSSWDVTWTRLLLMAAPALEVMHIHVAPPEPDDEEEKSGREIRWPAFKFRHRRLREVAVAGFGRTWRQIFLVRYMPRVCKTMQRVLLLKDWCVREIGLWDWELVQQQECPWSEEEKMVLRKQVKYGRYWCRPHIEVVVG >Dexi7B01G0024790.1:cds pep primary_assembly:Fonio_CM05836:7B:29030129:29030971:1 gene:Dexi7B01G0024790 transcript:Dexi7B01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGLLRGLVSPAGGAAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMAPTLRPGDRAVAEKVTYLFRRPSIGDIVFFKVPTAVQNYGVNKDAVFIKRVLATPGDFIEVNCSAVVAHSI >Dexi7B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:7B:23175933:23177497:-1 gene:Dexi7B01G0017200 transcript:Dexi7B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSRFLKEEDEEEEQEPEEEEDEDEEPSPREIPFMTAAATGGAAAASPSSSSPPAASAALRSSDGAGASGSGGGDVEVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAGNEKGLLLSFEDRAGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAGDDAARNRLFIDWKRRAHHRFRQGLDFRNINAAAAAPARQLLFFGSAGMPPARASMPPPPPPLPPMHNIMMVQQQPNPAMATAALPPMVLDSVPLVNSPTAAAKRVRLFGVNLDNPQPSGGGESSQDTNALSLRMPGWQRLRFLESPQHGAASAAGAESSAASSPSSSSSSKREAHSALDLDL >Dexi3A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:3A:8663862:8665443:-1 gene:Dexi3A01G0011970 transcript:Dexi3A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPSQSPAQTPPPTQQQQAPVAGGREDMLACVAALESALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTAEEMLRKEITTMEEELKTKTELIAKHKKLIEGWRKELKEQLGKHVTELERV >Dexi9A01G0040270.1:cds pep primary_assembly:Fonio_CM05836:9A:44086752:44091324:-1 gene:Dexi9A01G0040270 transcript:Dexi9A01G0040270.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILASHRLVGPIPPEIGRLNQLQTLSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELEALDLSSNTLSGSIPHSLDKLSKLASFNVSMNFLTGEIPSSGSLVNFNETSFVGNLGLCGKQINSACKDAVQSPSNGPQTPSVSDTSYIFCCCFTTDDMFNKKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMRGFRVELCGGSSVVMFHGDLPYSSKDILRKLETMDEENIIGAGGFGTVYKLAMDDGNLNLLATENREREIVDPNCEGMQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >Dexi9A01G0030250.1:cds pep primary_assembly:Fonio_CM05836:9A:35167547:35171136:-1 gene:Dexi9A01G0030250 transcript:Dexi9A01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATVVTTFSGAVADSIGRRPMLIASAVLYFVSGLVMLWAPNVYILLLARLIDGLGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPKPDWRIMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMAEAKRVLQMLRGREDVSGEMALLVEGLGVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSAYGLISQHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEHQQIKADWDAESQREGDDYASDHGGDDIEDTLQSPLISRQATSVEGKEIAAPHGSLMGAVGRSSSLQGGEAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELLEQRAAGPAMVHPSEAVAKGPKWADLFEPGVKHALFVGIGIQILQQARRLFLYPIHIIYVLCPYMHLFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALLALVVVNIVEVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVYIKVPETKGMPLEVITEFFSVGAKQAREARED >Dexi3A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:3A:4680892:4684209:-1 gene:Dexi3A01G0006950 transcript:Dexi3A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWAAGRSSSASAMAGRGGAKPAAARGGKRVAFGDITNIFRGRGRSSSGSAAPDANLSSTKSVDVKNKGCQRNVNTERGSIRKPASDQFDWEVSHHDTVLQKENASFPSVPIVVPMDASLPGLSEDSVSMEDAMSTSNSIESPDLEFLNDSNPSMAASLHCWAEDKLHISDNKEVAGLLACGSGAVLVRLLFNILNLHSLFIAAAFNWRKHISDLDSNYEDPQLCATLAYEIYESLRESELCIFLLPSWSNLLQHSLVFLQARKMPSTNFMETTQTDISTTMRAMLIDWLVEVTEGYRLVPETLYLTVNYIDRYLSVKKIHRNRLQLLGVSCLLIAAKYEEICPLQVEELCYVTDGSYTKEEVHGSFEMAVPTSKCFLRRFVHAAQVFDKGSTLNLEFLVNYICELSLLEYSLLCYLPSLVAASSVFLARFILKPTKNPWYKFVAKNYCPPTIPTEFFQDATS >Dexi5A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:5A:12704395:12706494:-1 gene:Dexi5A01G0015180 transcript:Dexi5A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATDNFVNGGITAAAVLAILLVPLSTFGRRWRHPAVRFLAWSTSIVFLPLTSSVIFSLLDRTSPELTKQCGGPAQPTGKDNPYIQDMWTLLLWVVLILTIKTNVDADAAVAVASTDGGHVSVGDGQRIRPPIENLAQYAWVAFLIWLCVPRAGWLGRFHIAVFIAFTALGLVKMALKLAAFRNASNSFALGKNTSLIAGHMAQLVTDGGGANGGRVPRYIVMGETERHVEESTHGYRIKRDVLEDKFSSLVTLDRVWLLADHGDGILARRQELRDLCLSYSLFKILRRRLSGYPLADAGSGEALTFVLRGMDSASAGVNADRMFRVMADELWFASDFYYTRTPLCILGGWLATLSYLCSILIIVGAIAVAWFYEVMDVVHTTPYKVITFYLLHAVVFVEIWEIAAGVRSKWTKMALLGHYIRHESAWRRSSFVHAVLRAVLRVRKPRRWRHEMGQNSVLEPRRFLRWTGRMRSVEVSPAVRDAVVRSLLSTYGRMSNGGVVARRVGGKIDWSLDGDGGSTTELILTWHVATRLFEMRVTSASADMIAACQLSYYCAYLVAAAPELLPDSVAWTEKRYREVSADVRAALGKDGGSSESAAGRYGRVMEALSAVSRDIVLQRGAELGRHLVEQHGEDEASACRILADFWSEMVLYVAPSENVKGHVQAMARGGEFITLVWALLLHAGVTTRPETRDGSIP >Dexi2A01G0024630.1:cds pep primary_assembly:Fonio_CM05836:2A:36391971:36394746:-1 gene:Dexi2A01G0024630 transcript:Dexi2A01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSQVPPAAAAASDAPAPAQSTLHHLKEIASVIEAGSLSKEVRRISRAVRLTIALRRRLAARDVAAFLALALSPSSEAFARLSALVPKEDGSEMDVDMAAPTAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKTCASASIARLKSLNRRTVDVLASRLYSYYSYVHELTNTLADIRGTLLALHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQVINELVCLMFGLIFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKAALTPYFELTNAVRVGDLELFRSVADKYSSTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Dexi2B01G0036080.1:cds pep primary_assembly:Fonio_CM05836:2B:42989899:42990709:-1 gene:Dexi2B01G0036080 transcript:Dexi2B01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPVPCWPPPPLAPPAAGLLAVASPSASPDPQTLPFVLPPAGYPLFPSRGPVVLHLVRRHPDFLAEVDRRRSSSLVEFLKDEGAIPSPEDEKRREKVIQELKEIVMQWAKSVAREQSVPQRLATATVLTYGSYTLGFTV >Dexi9B01G0040140.1:cds pep primary_assembly:Fonio_CM05836:9B:40742314:40748396:1 gene:Dexi9B01G0040140 transcript:Dexi9B01G0040140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDEFTRDRSQDLQKVFHNYDPGLRSQEKAVEYTRALNAAKLEKIFAKPFVGAMDGHIDAVSCMAKNANHLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLTTSTDGDLLVSCGVDCTVRLWKVPMLKMPSAVYTWKHAFWGADHQWDGNLFATVGAQVDIWDPSRSEPINSFEWGKDTVLSVRFDPGDCNILITSASDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDARRLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFNYLGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERKKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAGILRRTMIEAENRKEEKRRKHSAPGSMPVQPFRKRRIIKEVE >Dexi4B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:4B:6982613:6984175:-1 gene:Dexi4B01G0009630 transcript:Dexi4B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGSARLSPRALKQIHANLVVSGITSRGLQPMRDLLLSCIASFRGRMDYARRLFDGIPPPGPDLFMHNAMLRGYAHAGVPHAAFAVYRHMETAGLRPDGFTFCYLLRACCAGMGMLACSSAGWQVHAVVVKIGFLEDAFVRNALINMHAKCGDLGVAGMLLGEASEQDIVAWSAVIAGHAARGNLDVARQMFDQCRHKDVVCWNVMLGAYVKHGEMEKARELFDCAPEKDVVSWNTIITGYSMQGMLDQALEAFDEMRSAGWVPDDATIVSLLSCCASAGSLDAGRMIHSLQLEGRSSVSILTGNALVSMYAKCGDVNTAMEIFNSMKEKDVWTWNSIIGGLALHGQAEKSVQFFSKMLEEKIHPNAISFLCVLGACSHAGLVDGGQRYFSLMKEKYGIEPNARHYSCIVDMLGRAGLLDEAFAIVSGMRRPSAVVWRTLLGACRTHGNVALGKIAQERLLSLSGDESGDYVLLSGIYSSYGEWSKVETVRRSMDKRGLTKVVGCAHVDYKTASLSAR >Dexi1A01G0023600.1:cds pep primary_assembly:Fonio_CM05836:1A:30226524:30227990:1 gene:Dexi1A01G0023600 transcript:Dexi1A01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEALAVLLICHMVAPAASACQLLPPNVPPPVTSSRAVFCVDADGCCTFTTVQAAVDAVPENSQKRSIVWINSGLYVEKITVKKPNVTFQGQGLHATTIVWNDTATSANSTPNSATVHIDAPGFVAKNISFKNAAPAPKPGAQGAQAVAIRISSDKAAFWGCGFFGAQDTLLDEQQRHYFKECFIEGSIDFIFGDARSLYENCTLNSIAVAVPKGQRFINGAITAQGRQFRENNTGFSTVFYGEYACYGDGASMAGRVGYGHSLDYAQAQPFLTSSYIEGE >Dexi2A01G0024620.1:cds pep primary_assembly:Fonio_CM05836:2A:36386727:36388922:1 gene:Dexi2A01G0024620 transcript:Dexi2A01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPVARVRASTAPELAAFSPYRKGVSLPAARRRGSRHGVRAEVNDSGRTLAVDALSQVKHVLLPVTDRNPYLSEGTRQAAATTTSLAKKYGANITVVVIDDKPKESFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGRKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >Dexi8A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:8A:27262179:27266961:-1 gene:Dexi8A01G0016070 transcript:Dexi8A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDERYEGNGGPAAGDADQDEYGAAPPPAAAGGSPPAGAKPTGFSDHADGRSSQPQHETQSHDSGSSKSRERDRESDKGKDRERDRDRGRDRERDRDKDKDRERGDRDRDRDRHHRDCRERSEKREHRDRSDDRDRHRDDRDHHRSHDSERRRDRDRDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQAPQQAAPIVNAGALPGQLPGVAAPITGGMFPNLYNLGQINPLVIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSSPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQMQKLVYQVGGALPLPTKVVCLTQVVAADELRDDEEYEDIVEDMREEGRKYGNLVKVVIPRPDPSGAPVAGVGKVFLEYADVDGSTKAKTGMHGRKFGGNQVVAVFYPEDKFAAEQYD >Dexi5B01G0021370.1:cds pep primary_assembly:Fonio_CM05836:5B:23644046:23645478:-1 gene:Dexi5B01G0021370 transcript:Dexi5B01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDEMLGSRQLVVVTVDQSGRGDHRRIQDAIDAAPANDDYSGSSVVIRIKPGVYRQVEEKVVVDKPGITLVGTSASSTVITWNESWATAESPTVSVLASDFITKRITFQNTFGTSGPAVAMRVAGDRAAFYGCRFVSFQDTLLDDTGRHYYRGCYVQGGTDFIFGNGKALFDKCHLHSTSPPGAGGAFTAHRRSSESEDTGFSFVGCKLTGLGVGTSILGRPWGPYSRVVFALSFMSSTVRPEGWDDWSDPAKQRTAFYGQYQCYGEGSKTDGRVAWSHDMSQAQAAPFITKAWVGGQEWLR >Dexi2A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:2A:9831035:9834399:1 gene:Dexi2A01G0009340 transcript:Dexi2A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARLVPRLTHSPAHQLSPPAAAAAAAAWRRHRGSVSLRRGSYSKLACSIGNGGDSKVTRVLFCGPHFPASTIYTKEYLQSYPFIEVDEVGLEEVPDVIQNYHICVVKSRRIDSDIITKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSMTGNAVSCSEMAIYLALGVLRKQKLMDTAVKQKDLGSPTGETLLGKTVLILGFGAIGVEIAKRLKPFGVKILATKRNWSPASLPCDIDGLVDKIGGPEDMYELAGEADIVITCLLQTNETVGIIDNMFLSVMKKFIQGSYLVNIARGRLLDYQAVFDHLESGHLGGLGIDVAWTEPFDPNDPILKFSNVIITPHVAGVTEYAYRTMAKVVGDVALQLHSGEPFTGIEFVN >Dexi3A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:3A:2041425:2043760:1 gene:Dexi3A01G0003120 transcript:Dexi3A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVVLAAASAMSLTLIPATLAVTSPYVRPPARPTLPLLQVHDDSDGQAPQQVHISMVGPDKMRVSWITDEDAPATVEYGTTSGQYPLSVTGSTTSYSYLLYRSGKIHDAVIGPLQPSTTYYYRCSSSPSRDPSREFSFRTPPATLPFKFVIVGDFGQTGWTETTLQHIAAVDHDMLLLPGDLSYADFIQSRWDSYGRLVDPLASSRPWMVTEGNHEIEKLPIVAPTPFVAYNARWHMPYDAGTTPSADNLYYSFDVAGGAVYVIMLGSYTDFAAGSPQHEWLRRDLAAVASRSTAAFVVALVHAPWYNTNKAHMGSGDAMQEAMEGVLYGARVDAVFAGHVHAYERFRRVYAGREDPCGPVHITIGDGGNREGLAKRYEEPQPAMSAFREASFGHGRLEVVNATHALWTWHRNDDDVAVVADQVWITSLRANPACNKNRSEAESA >Dexi7B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:7B:23079947:23081839:-1 gene:Dexi7B01G0017090 transcript:Dexi7B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYAYGVGSAMQMQLQQRADAATAGGGGVLKRSLGELERWQHQQQQHVAAQQALYLRAVRQRTAAAADIAALLGGGAAHQPLVLSGSSFSGGLASPSSTLSSLTTASRAAVPLMHPHHPQQQRQVPLMTSSPQTQAFGLSRAPLPQQAPAASSERFILQELEKQLLDDDDEEPVAAMSGTGSAVTNSEWEETIQQLNSITAAPSPGLPAAPPPAPNNNANAGMTRSPSNSSSSTASSSASCSPPTPGAASRQLLSEAAVAIADGNHEAAAAHLAALKRAANQHGDAEQRLIAMMVDALSSRIVPTAASLAQHLAELRGAEQRAGCQLLHDISPCFRLALHAASAAIVEALGDSRAIHLVDFDVSLPQHAAVIQRLAARRVHGTSLKVTAVTDPGSPFTQSTAATLPAIGEQLKQLAERAGIEYRFKVVSCRAAELDASRLGCAPGEALAVNLAFALSRVPDESVSPANPRDELLRRVRALGPQVVALVEQELNTNTAPLAARFTDACAHYGAILESLDATLGRESAEKKARAESALARKAANAVGRDGPDRLERCEVFGKWRARFGMAGFRPVALGQSIADKVTARVGPAPPGITVKADNGVLRLCWMGRVVTVASSWR >Dexi8B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:8B:26457931:26460519:1 gene:Dexi8B01G0015740 transcript:Dexi8B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGDSATVFLETTLGTRIVVSFPARATTVADLKRQVSAEHAAGFPRAGPIAVTSLQVELDGSWFQLTDSMAVRAAFEWVKGPWRLRAEVHQLCSHPRKDAKCGTGDAEPNAGNPVISENSSQYMLLPGVPQGGGSLASGDGVSDTDTPQMNQQNKPQEVVENSSVQCRDGVTMLQESLDINLAAGDGDTFLPNQDKLQECGEHGSDQLEDGITRPQESSEFDLGAGDSDASPLNLQDKSHEGAEHSSGQSKDRITMLEESSDLGMAADKRNSPVGGQQKDIIAEPRGKKRFREGDKTVAYELRSHQLASKDAKCGTGDAEPIAGHPVISENYSQYTLPPAASQGGGSLASGDVVSDTDTPQTNWKNKPQEGVENASVQCRDGTSMPQERSGIELATGESDTPLPNQEDKPQECIERGSDQREDGTTMPQESSDIEVATGDSDTPLPNQEDEPLECVEHGSDQPKDRITMPEESSEFDLAAGDCDTPPMNKQDKSHLGVEHASGQSQDTITTLEESSNLGISADKGNSPVGGQQKDIIVEPSGKKCFREGDKTNERIVANCGDNLSSLASSTLNAELSQKKSCVTVQAKSNSVPLLYGLEGCEHDLGEKPSGGQDPSISGVHNGESSSNGSDYPPCVEAMERKKSSDKEVKIHRGDEDGPCMAGRGGKSICKRTDAPHCVEAMKEDVKRPTFNRHYIDRGQNEGSTSTVNREHEPCFIRSHKRIVVRKIPISRAMKVYSFR >Dexi2A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:2A:5838272:5841189:1 gene:Dexi2A01G0006180 transcript:Dexi2A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRPSTVSAAVFFAAAVLLLVAVQDGHCAQLCMDSSFPRTMNGSLSFCVYNGTSCCNATDDAAVQKQFAAMNISGTPCGDIVKNILCARCNPYAGDLFTVTTTERTVPLLCTTTAVSSRLSTNPPETTTTDYCSEVWDTCKNVPIPDSPFQAPKGGAAAPKLTDLWQSTSEFCAALGSTSGDSSFPCLSGDGAAFKATTGGPTSLPVNGMCLERIGNGSFLNMAAHPDGSNRVFLSNQAGKVFLATVPAPGSGKTMEIDAANPFLDITDEVHFDNEFGLMGLAFHPGFADNGRFFVSYNCDKTQSATCAGRCACNSDVGCDPSKLGVDNGAQPCQFQSVIAEYSANATSGSPATATAANPTEVRRIMTLGLPFTTHHGGQILFGPDDGYMYFAMGDGGSVGDPWNFAQKKKSLLGKIVRIDVNTMPSGNTTSGWGNYGIPKDNPSSSDPTFAPEVYALGFKNPWRCSFDSGKPSYMYCADVGQAVYEEVDLVIKGGNYGWRVFEGTEPYTPLSTPGGNTSAASIDAIGPIMGYAHNSVNSNVGSASITGGYVYRSTVDPCLAGRYLYADLYAKSMWAGTESPEGSGVYNVTALPFSCSKNSPIPCDVAAGSALPSLGYIFSFGEDNGKDVYLLTSKGVYRVVDPAECGYACPIKSSAPGMSQTPASSAGTAVVARDPAALATMLVGVLVALVSLLTSV >Dexi8A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:8A:23715515:23716291:-1 gene:Dexi8A01G0013590 transcript:Dexi8A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTLSVGKSVVSEALGYAKSAFAEEVALQLGIHLDHAFIKDELEMMQFFLMEAHEERDDNKVVKTWVRQDFAFRLVNIPWWKFPCKLLEQHRVGKQMKDLRARVEDVSQRNVRYRLIKGSGSKAAVAKHSSLISAAILGVDDYARRGTKQENQRVGLVQLINKEGDNLKVIAVWGASGDIGQMSIVREAYESPEVQSQFSAKAWVRVTHPFSPESFVQSLVNQFREAQGVDDLLAEKKRNKVY >Dexi3B01G0036660.1:cds pep primary_assembly:Fonio_CM05836:3B:39545454:39547798:1 gene:Dexi3B01G0036660 transcript:Dexi3B01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARRQHAISSRGVRLKAVQPQSLPTVRAQVEGHPKAAAAAVRLQSMAQWHAARPSWGLMLGVDFAIARMEEMAAQNLLLPTAGHRAGASDGDRGGDRSHEAVTAVCRKVAHGAGPASVVFQLVAQGVGRREAVLDSSQKLLSPDELRKRFEQEGNISSIWGSRISLDQPLVTSCGTGVTACVLALGLHRLGKTDVAVYDGSWTEWGAHPETPVATAV >Dexi3B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:3B:5238096:5239667:-1 gene:Dexi3B01G0007370 transcript:Dexi3B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPGTFCTDAADGGFQPLNADDVRSYLHKSIDFICDYYNNVESFPVLPDVQPGYLRRHLQSAPPTTSAPFDVAMKEIRDAVVPGMTHWASPNFFAFFPATNSATAIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLMRLPESFIMNRTATTGRRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSSGVAGMTRLTVYAADQTHSTFNKMCHLAGFDPVNVRSIATGPETDYGIDPAKLLEVMQADVDAGLVPTYVCATVGTTSSNAVDPVAAVADVAARFNAWVHVDAAYAGSACICPEFRHHIDGVERVDSISMSPHKWLMTCLDCTCLWVRDAHRLTDVLETNPEYLKNDASDSGVVTDLKDMQVGTGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKTFEGLVRCDDRFEVVVPRNFALVCFRIKPRGAMTEEDTEEINRELMDRLNKTGKAYVAHTVVGGKFVLRFAVGSSTQEERHVRSAWELIQKVTTEISKGERKRTICVEY >Dexi4B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:4B:5862125:5863678:1 gene:Dexi4B01G0008090 transcript:Dexi4B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDLANGQAAAPASDAGGRSGGDDIKAHFVFIPIMFQGHLIPAVDTALLLATHGALATVVVTPSYTSRIRPTIDFAHTSGLPVRLLELPLDLAAEGLPAGADDVDKVPPDLWTNYFRALARLRTPLERQLLADADVAHPVTCVVADFCHPWAKELAASLRVPRLCFFSMCAFCLLCQHNVERFGSYDGVADANELVVVPGLERRVEVSRAQAPGFFRDMPGFEKFGDEVEQALVEADGIVTNSFLEMEPEFVAGYAGARRMKVWTVGPVSLFHHRTTTTTSASRGNTAAIDADECLMWLDDKEPSSVVYVSFGSLAQANSKQVIELGLGLEASGHPFIWVVKNAHQHGQDVIEFLHELEGRVAGRGMLIRGWAPQVMILSHVAVGSFVTHCGWNSTMEAVAAGLPVVTWPHFADQFLNAKLAVEVLGIGVDVGVTEPVMYRMDLKEIVVGREVVEKAVRSVMDGGEEGEERRRRARVLAAKARDAVEEGGSSYGNLLDLVKRFEVDTAGCVVATA >Dexi7A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:7A:17146143:17147515:1 gene:Dexi7A01G0005850 transcript:Dexi7A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISLVGTARKLMLALFVSLSALLYKQIQPPPAKIPGTPGGPPVTATRTRLSDGRYLAYLESGVPKEEANYKIIFVHGFDSCRYDALPISTARAGPRAWHLPAVDRPGYAESDPHPGRTEQSIALDIAELADNLQLGPKFYLAGYSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWSGLPSNVSRDAWYQQLPQDQWAVWVAHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIIRKFAYRTYMPQIRQQGEHECVHRDMMVGFGRWSWSPLELEDPFADEGKGKVHLWHGAEDLVVPVSLSRYISERLPWVVYHELPKSGHLFPIADGMADVIVKSLLLGDDDPRPA >Dexi8B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:8B:1031279:1031710:1 gene:Dexi8B01G0001560 transcript:Dexi8B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMDCAHGGHSSSTSPPPWNAHDDQHQLLICDLAPSSAPSPSPATATAPPLNHHSLRPARRVANKRRPRPSRKLPTTYIAADPASFRHMVHHVTGAAADDHLLPVPPPPPPLCPAPSRAAHAGHVGVPAPSGGGGASAGTAG >Dexi5B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:5B:5400155:5403178:-1 gene:Dexi5B01G0008020 transcript:Dexi5B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTGGDGRSKTLTGHSDWSDAHQRTGISNRRCPMDGAKRRLVRAMIGSGQGTLMSANKLQLLANGGNIDLPPCCSAASSLLPRHSGREDEDVDRQTAHAFTPDCGAARKVAEATESERIKGELVSYYVQKYGASGQWSPLTTRISMGPTQDPSQPNRHGQDATTPTRHATPRRNPAA >DexiUA01G0005110.1:cds pep primary_assembly:Fonio_CM05836:UA:9265052:9266995:-1 gene:DexiUA01G0005110 transcript:DexiUA01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSSSDADVAYRGCVLRYSGAQIPTDVRFDVNSSYAFHTVINAARVLDTDAFTEVRRVLFTDLASAAAASPLMMASGNRMFNATHNLYGLAQCNRDMRREQCSSSITGAIQSIQDYGFSEEMWSEGLSITGFSIYIRDVEEAEAAACLDGVRLVGRWPEKKVVLIRDGLCGTVWELDRSMVVSLVHAIREEGSRLIDLLVVKCRREQNKVTHELAQLAIRSNHSRVSFSFVPGCIQDLVLSERSVMGVNVA >Dexi2B01G0036160.1:cds pep primary_assembly:Fonio_CM05836:2B:43053083:43056932:1 gene:Dexi2B01G0036160 transcript:Dexi2B01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDVELMEPDKKAKILCLHGFRTSGSFLKKQISKWHPSIFQQFDMVFPDGSFPAGGKSEIEAIFPPPYFEWFQFNKEFTEYTNLDECISYLCDYMVKNGPFEGLLGFSQGATLSALLIGYQAQGKVLSDHPPIKFMISISGSKFRDPSICDIAYKDPIKVKSVHFIGEKDWLKVPSEELASAFDGPLIIRHPQGHTVPRLDDASVKQLYEWSSNILEDDKNEDVHVPNALDSEEGTGAELAENLVEQVAA >Dexi2B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:2B:3503686:3506772:1 gene:Dexi2B01G0003940 transcript:Dexi2B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSAGRDPYDEEAGGRRPFELDGRDDAASSSDHRPGNVMPRYQVGSTKGDTSNRFVEGPQQPSTDDVRKSKAGSRYYTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAEVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVSSCK >Dexi2A01G0022250.1:cds pep primary_assembly:Fonio_CM05836:2A:34122900:34123660:1 gene:Dexi2A01G0022250 transcript:Dexi2A01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALYYAQLPTIMPKLEVDSVGQIANIPSVQSKFCQLKYLNICVPGESRDPGGYDYLSLASFLDASPCLETFILRVHVQELRVEHGLFSGDPSHLRRMPEHRYAKLKRAKITGFYPAKGLLELGRHVLEAATSLECLTLDTFYCSCRGRGRDRAKCYLKAIRRYFEGKVPSTARLDVEGPCSGVRLDDHTWQRFTVFDGRM >Dexi2B01G0036470.1:cds pep primary_assembly:Fonio_CM05836:2B:43288141:43288411:-1 gene:Dexi2B01G0036470 transcript:Dexi2B01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREARRDGQGAAIVVPVRRRVVLVLIRVLQFVVVGFAVEEGAGAAALVAVERAGKAEELVEGLAAEAAAKEAPTSAATARWSA >Dexi5A01G0029180.1:cds pep primary_assembly:Fonio_CM05836:5A:32324676:32326873:-1 gene:Dexi5A01G0029180 transcript:Dexi5A01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLVSVDKFSAGSQAYFLTHLHQDHTRGLAAAGGWRHGPLYCSPTTARLLPTRFPGIDASLLRPLAPGAYASLSLSSPTSDRPLSLSVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWEVGCDRARRAKQALLDALGGDTVDLVYLDNTYCHPPLNFPPRLIVAEQVVNIIRAHPDHEVIIGVDTLGKEDLLLHISRALQTKIWVWPQRLLTIHLLGIDENHEVFTTQTSLTRIRAVPRYSVTIESLEALNTVCPTIGIMPSGIPWLLRSSEEKAKPKVRLPAKSARSKGRDEGLTQMDYDPLSPPKLFDKDSYTLPYSEHACFSELKDFMQTVRPSTVVGIVSTSFCYVNPRHHFRHLCSDSDANDVGTPINNKGRDSDNLTPKRRHNGSATPEGKKIRISGSSLCRSKVTMKRKDGCGARINDTEELIGVA >Dexi5A01G0036680.1:cds pep primary_assembly:Fonio_CM05836:5A:38113016:38113570:1 gene:Dexi5A01G0036680 transcript:Dexi5A01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding IECMAAFNKLGSLLRHSALASGPSASSSPALFSAARLMSTRLFVGGLSWGTDEQALKEAFGTFGEVTEARVITDRDTGRSRGFGFVDFSNSDEAKEAVSQMDGQVSETSAPLFQV >Dexi7B01G0021420.1:cds pep primary_assembly:Fonio_CM05836:7B:26452275:26453417:-1 gene:Dexi7B01G0021420 transcript:Dexi7B01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGALPVVDLAPFLAGDDKADGVAGATEAVREACRTHGFFRAVNHGVPTELMARALQLSAAFFALPDEEKAKARPADGSEAPLPAGYSRRPANSADKSEYMLVFNPKFGFNAYPADPAGFRDAVEECFTKLTELGLLVQEILNECMGLPPGFLRDFNDDRSFDFMLALRYFPAMNEVSNGLSAHEDGNCVTFVIQDDVGGLEVLKDGDWIPVEPVDGSIIINIGDVIQVLSNNKLKSATHRVVMRKPVHRHSLAFYFNIHGDKWIEPLPEFTSRVGEAPRYRGFMFREYQQLRLRNKTHPPSRPEDMVHITHYAI >Dexi4B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:4B:3455687:3457915:-1 gene:Dexi4B01G0004820 transcript:Dexi4B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRIYKSPNSLHFPVVSLAVLSLGAVLSTANPLLTPDELAAQAIDSEPFLVLTTAELAPKLIGSASRVVLIDQFLAGVVDDDAWSPPCSCDDADDPALLFYSSGTTGKSKGVVSTHGNVIAAGEILRSVWGRDDGGDDGGEVYGCVLPMFHMFGFSAFVVGAPAMGATTVVVPGRFSVDKLMSAMEEHRVTTLLAVPPMVVQMAKAAGPESHRHRRRQRGDAGCASGTWWCLPQEHMARFRSCFPGVRLAQCYGLTETTGNVTMDDLCPLPHDNNDGGAAAVKEPSSLNTGRLVPSTEARIVDVESGSGEALPPNRAGELWIRGPFVMQGYLRGEEATATATALVALASDDDGGGGRWLRTGDLCYVDSNGRLHVVNRIKELIKYKAYQVAPAELEHVLAAHPDIQYG >Dexi4B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:4B:21069789:21070474:-1 gene:Dexi4B01G0018770 transcript:Dexi4B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRRSRSQTPAAGFSRPCDRRVSPQAGPFHGEPPPLAQPFELEAAAWRERIIRGEVERRLLEEVCLIEEEVRRELAIARARFLGVLGPVPFVGPDGPFNMPLPPLPLPPDTLFGPGGPFLPPGPMPLMPVGMSPNWPPQASWGEWEGFDGRMGFEQLMMVNRRPPPPPKPKQKLKLREIKPSKSSKVCAHI >Dexi5A01G0022680.1:cds pep primary_assembly:Fonio_CM05836:5A:26800495:26803219:1 gene:Dexi5A01G0022680 transcript:Dexi5A01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRAPLLSRLRPLSVHCIVRPLAAAAGSLLLAGPWLSPCVAPGTTAAAAPPVMCFVTRQAMPSDSASSRRRRLSSSRLPKETKVAVDDTDSDDDSEEMTTSEDDSSNDDNSDDVEKATSYGGSSLQKTISWGRPPKEIVAIDGCDFEHWLVVMEGPPGDRSSPDVSCDEIIDSYIKTLAQVVGRKKIYSVSTRHYFAFGARISEELSHKLRELPKVLCVLPDSYLDVNNKDYGGEPFINGKAVPYDPKYHEEWVRNNTRVDQRPPNFDRSSVRRDNMENIQNRDVTTDERPRPRSMSPSQPCQQTIEPQYVPPVHHTEDNMPPSPPSPNNGDPPTYQHHVPSPQACADTLALYRSASNVVLLSTKLATKIYKIALMPGCATTTTKYVKGGGHITRMAAQPAKQLCMVPTRRHAKVAVHQQATNAKKGTTTTIAIATCMCITITTTSKVIFFFLPSEVSLALIGLSCPGTCG >Dexi4B01G0022870.1:cds pep primary_assembly:Fonio_CM05836:4B:24393754:24396055:-1 gene:Dexi4B01G0022870 transcript:Dexi4B01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCCGCSVRCCCWLLVLTLVALAVTATVVFIRYKNGGQVFPLPGVPDPKYAEALAVALQFFQVQKSGKLVKNEIPWRGDSALDDGRDAKLDLSKGMYDAGDHMKFGFTLAFTGTMLSWSVLEYGAAMRAANQHDAAMDALAWIMDFLLNAHPSDDVLYIQVGDPKADHKCWERPETMSENRPLTKITTKSPGSDVAAETAAAMAAASLVYKPINGTYSSTLVDHAERLFAFADKYRGAYTRTFPELSAYYNSTTYQDELLWAASWLYHATGNHSYLSYATGKNGEEYADLGNPRYFSWDDKRAGTEVLLSRVRFFAADGSDVEQDEGLGSYKETADAVMCILLPESDTAAFRTEGGYGGLLYVAEWNSLQHPVASAFLAIVYSDYMSTSGKTELTCSGKSFTASDLRKFAKSQADYVLGDNPMKLSYLVGFGDSYPQQVHHRGASIPADVDTGCDGQEWLKSPKPNPNVAMGALVGGPFKNDSFIDNRENVRQNEATTYNSALIVGLLSGLVSSSTVAQSLS >Dexi1A01G0022480.1:cds pep primary_assembly:Fonio_CM05836:1A:29138990:29142985:1 gene:Dexi1A01G0022480 transcript:Dexi1A01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLLRVSPSDLKMPFELKKQNSACLELYNKTDQHVAFKVKTTNPRKYAVRPASGVVPPRGSFCVTITMQAPKEIPPDYHCKDKFLVQSIVVEEGTTVKDIVPNMFSKAPGKLVEDFKLRVIYIPANPPSPVPEETEEEDSLDSDVDHEVERPSTSDPVSSNFTDCCFEQIMLCLFPDVSQVSMSGDQESRYAEENKKIQKELIVSSNIVYQLSVLSGRHLDQSSAAAAWDWRAVCGYLPDVSFFVDTSLSADKPETARLVARHARSQIAVIPSFPQLTGRLAAPRN >Dexi6B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:6B:746582:749574:-1 gene:Dexi6B01G0000860 transcript:Dexi6B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGFACMPRKEHRGAAAVSRSKRMGSTRSARGGPKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGASMSRRMAPGSTSSRRRGDLPDSVINAKPPQIVLENLETKKIVLVHGEGFGAWCWYKTISHLEEAGLEPVALDLTGSGIDHTDTNSIATLADYSKPLIDYLDKLSEDEKVVLVGHSCGGASVSYALEHCPKKISKAVFLTATMVKDGQRPFDVFSEELRSADVFLQESQFLVYGNGKDKPPTGLMFDKQQIKGLYFNQTPSKDMALAAVSMRPIPLAPIMEKLSLTPDKYGTVRRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPAALLPGKASVEETAVEKS >Dexi1A01G0023660.1:cds pep primary_assembly:Fonio_CM05836:1A:30277384:30278413:1 gene:Dexi1A01G0023660 transcript:Dexi1A01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCKDEARRGEIPTAQRGRTHLPSFTLLWRPGCTGLAPTLATWIECGSWARQALRHLAPTFQTSKPPPPPFFLPLLSFFSLFCSVRTLPGAAAAPLLRPPSPCAGADPHDVGEGKKKWKDRAQKNYPDVSSKDAPVPPALPSPQCECNKPARVTQSMHPDTAARAY >Dexi9B01G0030450.1:cds pep primary_assembly:Fonio_CM05836:9B:32945467:32954055:1 gene:Dexi9B01G0030450 transcript:Dexi9B01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASSSGTVTAPPAVASSSSLPTDHGAALLPQENKSLLNHGHGQWQDPASNETANQGSQQQEQAHLQRNDQPRRPETVSQGSDSKHPSNTQKECELVKVKQEPANSSQQGIVAQQQPMQQMKSEETQIVAQQQPKQQMKSEQAPIVAQQPPMQQMKSQQIPIVAQQQPMQQMKSQQPPIVAQQQPMQMKSQQTPHTNQTNGAATTPKAPVVAFHMLLPMLRRYIDKDKDMQVQSNFAKLRKNEVSKEHFLKVVRNIVGDKVLKLALSEYQIQMQVQSSVQAPPAAVSKTPQRKASGQKKPLEALGSSPPPSSKKQKTSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSAPKEEIFCHLFSDYGILAVRKCNLKVIGADVERCLSMGDSNANTDLEKDKNETRASSKHAKTYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSIPGGVRRSPLTKVARSVSVKDVIAALEREPQMSKSSLLFRLYRRPLTEPAAK >Dexi9B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:9B:2593556:2593985:-1 gene:Dexi9B01G0004540 transcript:Dexi9B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPYQGPPYAQPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVS >Dexi6B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:6B:19154682:19157982:-1 gene:Dexi6B01G0011800 transcript:Dexi6B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPPVVVVSRVAEEGKKIEATKRKLHDHEHYQEAKHAKRRRTIQMIKPPRPPPATAPRIAHQSLRQETFEAGRGLKKS >Dexi7B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:7B:7069950:7073274:1 gene:Dexi7B01G0003130 transcript:Dexi7B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQASMVAASLPSSQVTPLACPLLPPRLPHNPVSLKFSVLQLKEILLDGNASHPSGASHGIGTETCRVLALRGVQVVMGVRNTSSGACVREVIVRQIPTAKIEVLELDLSSMSSVRRFVKNFNALNMPPEHSDVRIAFVPFELSEDGIEMHFATNHLGHFLLTDLLLEKIKVTAKESDIEVRIVIVSSEGIISTIGKFILKGVDQGAATICYLALHPKVAGVTGKYFIDCNAIDPIFPATDKELAKRLWDFSVNLVQ >Dexi2B01G0025050.1:cds pep primary_assembly:Fonio_CM05836:2B:34310925:34311907:1 gene:Dexi2B01G0025050 transcript:Dexi2B01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPPLAVSPRRLRPRPHRVPRPPLVAASSVQTPPASMKKAANPMRASICAIPSPISRLEPNAMRASISALPSPARFDPSPARASMAAALRPPATRAKLDFPAAEASPAEKENLLPAAAPASPMSWAGAAAGKEDLLSPAGADAHDELVALNLAAVASAAGTPGTGPLFVRGRLYDLYSARRNERLKRKHGSPFAALDPEQMAEDPCVAVELSKRRGAKKAYATTGAESVRKSMPAADFGAGRAVSMGPRSSLRSSKEMKKASAASGTASLAVKERRVNSRSSTRRI >Dexi4A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:4A:12018083:12019198:1 gene:Dexi4A01G0013050 transcript:Dexi4A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADLGCSCGPSALNLISVAVDAIHRHCLQLQQAPPELGLLLNDLPSNDFNTAVKHLMAFQQKQNADKCERGSSPVVVASIVPGSFYGRLFTTGSMHLILSSNSLHWLSEAPHDLVKNGIPMYHANKEQWQKMRTIVLDAYARQFKKDFLLFLESRAQEMVPGGRMGVLDQERLKTFYIPLYAPYENEVKKIIEEQGSFSINKLQVHDSMIGVNKTLISPKMIAYGLRAGFEPIIADHFGLSGEVMDEFIRTAEQHMSPEFLEKELVKNPRIFLAISLARKS >Dexi4B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:4B:13378945:13388424:-1 gene:Dexi4B01G0013720 transcript:Dexi4B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASHLLSSFRPFSLLLQPPLSDAPSPAASARRVLSSASALRARDEKDAARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVEMKAYKFEGSSGQSVYAYDIPSNMEELVAEKRRELIEVVSEVDDQLAESFLNDEPITANQLKEAIRRATVSRKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPLEVDNYALDQNKSEEKVLLTGTPAEPLVALAFKLEEGRFGQLTYLRIYDGMIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFHVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCG >Dexi7B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:7B:22055261:22056857:-1 gene:Dexi7B01G0016050 transcript:Dexi7B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDVAHGVGSFSYEKAKMYIIERLNDQGEDSAHIVHKSESFERLISFPENAAFCPNHCPQEENASIAHEVTNPLNLHTTEQEDSSASPNPEKLYQETESAVTSNLGTESLVELKIDQGNHPQNEGAISQELISEGVKTIQDSVENPQLCSEIETAQESVEGESRDECSSEEPESMNGSPEEVLKEQEKYSASEVVELIKPSVLTFPYSPENPIDKEEKLSPQSVLDPAVGQVNSPGHKTLNRDELSMPISRVLFKEFDASSASLTLCSGPEISILDDKDTRVSFIKAVLEASELLSEEHSQVWYTEEPLLDVSVLAEVGNSYCLTDDAVLLFDCVEEVLLMIRDRFFGISPWVAFLKRNVRPAPVGRHLVEEVAKGIDSLVGNEFPNTLEHVMMKDLDSGSWLDLRYDSDSVVVELWDGLLDDLLEEMIFDLWL >Dexi9B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:9B:14061141:14065516:1 gene:Dexi9B01G0019500 transcript:Dexi9B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMSEDDADPPPPPPPEQLPPPRRPHKQLQPRGYQLDVFAAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGESPRRIVVFLAPTVHLVHQQFEVIREYSDLDAVECHGASGVTDWSACQWKEAIGTKEVYIVEDRNELESFSPSATIVNKYYDPYLFDLDDLESKSQTLFEEFDGLLVSLQESSPDKFEDTDSILEMSRKSLSRYHGKILYGLNTLGPIVTLEVMRN >DexiUA01G0026540.1:cds pep primary_assembly:Fonio_CM05836:UA:56840693:56842142:-1 gene:DexiUA01G0026540 transcript:DexiUA01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIGSSKVVALGALIFSLLFSSGSFAVLANFNASHITADPYWVPARATWYGAPTGAGPMDNGGACGFKNVNLPPFSSMTSCGNEPLFKDGKGCGSCYQIRCLKHPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMALPGRNDELRHAGIIDIQFKRVPCYYPGQMVTFHIDKGSNPVYFAVLVEFEDGDGDVVQVDLMEANSWVWTPMRESWGSIWRLDSKHRLTAPFSLRITNESGKTLVATQVIPANWAPNTYYRSNIQYYDYYQEQEGPGVGLVISSAAGLDTKILGIIGLISLVLLYSLHEIEVPLATW >Dexi2B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:2B:27157743:27159983:1 gene:Dexi2B01G0016840 transcript:Dexi2B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGACIAALLLLLLAAAGGGNGGVHCLEEGSRSRGRRALQGRHHLRSRAVAGATVLELRHHTFSSAPSKSREEEADALLSSDAARVASLQRRIETYRLIGSSPDAAAATGTSKAQVPVTSGAKLRTLNYVATVGLGSGEATVIVDTASELTWVQCKPCDSCHDQQDPLFDPSSSPSYSSVPCNSSSCDALQVATGSVSGSSSSSPACGGAAAACSYTLSYRDGSYSRGVLARDRLSLAGEAIDGFVFGCGTSNQGAPFGGTSGLMGLGRSDLSLVSQTMGQFGGVFSYCLPLKDSGSSGSLVLGDDSSVYKNSTPIVYASMVSGDPLLQGPFYFLNLTGITVGGQEVASSSGFTTASKVIVDSGTVITTLVPSIYDAVREEFVRQLAEYPPAPAFSILDTCFNMTGLREVQVPSVKLVFDGGVEVEVDSGGVLYFVSSDSSQVCLAMAALRSEYETSIIGNYQQKNLRVIFDTAASQVGFAQETCGYI >Dexi4B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:4B:5085307:5087363:1 gene:Dexi4B01G0007170 transcript:Dexi4B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGRGNLDEEASAPGADLYAVLGLNRECTDAELRGAYRKLAMIWHPDRCSASGSSARVEEAKERFQEIQGAYSAVLSDSNKRFLYDVGVYDSEDDEADLSGMGDFLGEMADMMSQATPTVSKASKHPENLDYSRTVAAAADCPSRLEIRALSESVVFVFPYVLQESFEELQQLFVDMFQDDLDPGLFTGLPTGRKAHSQSPPSTSSSPVSSSTTLRPPPHGRNNAQAPPTRSGAEKRGSPAMPSAAKRPRPGWVDLEPDFSLSGFCFMVSSESKQMEAPWKTCEANGSDRRSGRKPRLSTSRDVAGDGAAPRSRQSQSSSSWPWWQ >Dexi1B01G0028470.1:cds pep primary_assembly:Fonio_CM05836:1B:32997017:32997595:1 gene:Dexi1B01G0028470 transcript:Dexi1B01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLALPLLLCLHLLAIPAAAAVHPPAYARNATVYGVSAALCPGCSAWAESLEFLYYHNLVRLSRWELPLAWSPRLESYARWWAAQRRGDCALRHSFPDGQFALGENIFWGGAGGEWRPGNAVQDWAAEGVDYDYAANACATGRECGHYTQIVWRATTSVGCARVVCDDGGVFMTCNYYPPGNVVGQRPY >Dexi6A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:6A:28027134:28029874:1 gene:Dexi6A01G0020530 transcript:Dexi6A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSRRPVAAVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAVHALGIAVLIYKLTKEKTCAGLSLKTQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFKLYYVIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Dexi1B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:1B:20318276:20322476:1 gene:Dexi1B01G0014090 transcript:Dexi1B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding APHTAEEQAQAGAGRNVEPLIDTATRWWFSWPVAMGCFSCFDSPADEQLNPKLGGAGGYGGSSSAATAYNGGSGGGRHGDRGYPDLQQAPMAAPRVEKLSAAAEKARVKSNALAREASAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYRGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPFGSLEDHLHDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAALASRKPPGGDQRSKPGENGRVVSRNDETSSSGHKSPGKDREDSPRDLPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAENGQGSLDSPTGNN >Dexi9A01G0038290.1:cds pep primary_assembly:Fonio_CM05836:9A:42600808:42602865:1 gene:Dexi9A01G0038290 transcript:Dexi9A01G0038290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLGPPVIRGARPSPPVAAAAAEGPASHPFLDLLDACFNDDAPAADDGKGGPRMACTENNSATYASSGNPCLDLFFQVVPDTPPERVRQLVTVAWAHDPLTALKLVANLRGVRGTGKSDKEGFYAAALWMHERHPKTLACNIPALAEFGYLKDFPELLYRLIHGADVRKLAKANVATEKIRREVREVRAARLAGSKRAAASGLADFVSAAFSKLKTMSKGSNSSGAAAMEEEEKKEASEAVEQKSEAMEVDNKEAAAAAAKKEIPMTKQVRKVAKLAAQSLETYYGDGGYRFLFDCVAQFFADLLASDLEQLAPGGNKRKIGLAAKWCPTPRSSFDRTTLLCEAIARLLFPRDSNPDYALLSDEHYAYRVLRRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKDLFKKHDEARFDKYLEDVEAGKAKIAAGALLPHEIAAAAFRGEEDDVSELQWRRMVEDLRKKGSLSNCIAVCDVSGSMSGTPMEVCVALGLLISELSEKPWAGRVITFSSIPQIHMIKGKTLAQKLSFIENMDWNMNTDFQAVFDRILRTAVDGRLAKDKMIRTVFVFSDMEFDQASLNPWETDYQVICRKFKDAGYGDAVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVSPEAVMAAAISGAEYQKLAVFD >Dexi8A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:8A:9021742:9022968:1 gene:Dexi8A01G0007850 transcript:Dexi8A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAASDPAPAMQTARGRVAPPMPWRTRLAVMAAGYLTDATRRADGTINRRLLGVLDKPVPASPTPRSGVASRDLTIGGDDPSAPLPLRARLFHPSPADSGXXXXXXXXXXXXXXXXXXXXXXXXXXPLRARLFHPSPADSGEATRPRPLPVVVFFHGGGFAYLSASSPSYDAACRRIARYAAAAVLSVDYRRSPEHKFPAPYDDGLAALRFLDSHPDLAAGDAHHSPTTPPIDVSRCYLAGDSAGGNIAHHVASRYASNPSAFARVRLRGLIAIQPFFGGEERTPSELRLAGAPIVSVPRTDWMWRAFLPPGADRAHEACSPDAAVAGLGGRESFPPVTVVVGGYDPLQDWQRRYCDALRREGKEVRVLEYPDAIHAFYVFPEFGDAKDLMLRIKEIIAGGGGGE >Dexi9A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:9A:12513417:12518965:1 gene:Dexi9A01G0017440 transcript:Dexi9A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATTVDRSGSREEIVILDVESEEASVPPAIVMQRQDSLYAAATRAAGASHHGQDSCARTLRLAFQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLVIYSFVLFTVIKYVYIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDEFVSKYNRGKPPATLRRAQWMKELLETNKTVKISLFLLTMLATAMVISDAILTPAISVLSAVGGLKEKAPYLTTDEIVWITVGILVALFAIQRFGTDKVGYLFAPVILLWLLLIGGVGVYNLIKYDTGALRAFNLKYIIDYFRRNKKKGWVSLGGILLCFTGTEALFSDLGYFSIRSIQLSFGFGLVPSVLLAYIGQAAYLRVHPEDVANTFYRSTPSMLKIPVISHILSHLYYVHLNFFNRPLLDAVSLFWPTFILALAASIIGSQAMISCAFATISHSQTLGCFPRVKILHTSRQYSGQLYIPEVNYLLCLGACLVTIGFKTTVIIGEAHGICVVLVMIITTLLLTIVMLLIWKISIWWIAVFFVVFMSSELIYLSAILYRFAHGAYVPVAMSAFLMVVMIVWHYVHVKKYNFELEHTVPRDKVKGLLGRRDIQRVPGIGLFYTELVQGIPPVFPHLIEKIPSIHSVLIFVSMKHLPVPYVDLSERFLFRQVDREDYKVFQCVARYGYRDPFEEAKDFVDKLVEHLQYYIRDVNLYGVASDEPMLIQSSSYRSSLAESFGSRDKPSVKAVYAEEMLTPAESFSEHIRQASGKNKLFTQFQGEKMNIVEMMKIQKEQQAILEEMNKGVVYIFGESEVVARPHSSLLKKIVVNYLYSFLRKNSRNGEKIMSIPRRQVLKVGISYEI >Dexi1A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:1A:9935720:9938041:-1 gene:Dexi1A01G0011100 transcript:Dexi1A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIRVPKSQRARRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESSEELKHLKEVLLDLFKGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDMVVRRHRLPVESLKKEAMKTADHAKKDPVKGRLGKVYMPDQQVGKLTLTKDIKGLKRERREAKKNKEHSKKQKVNPE >Dexi9B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:9B:13203601:13206715:1 gene:Dexi9B01G0018490 transcript:Dexi9B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVIALGGVIFLISLAGFLGAWKNISCLLWTYLIMLLVVLVAIMVFTVLAFIITNTGTGHVVPGARYKEYRLQDYSSWCGYPALNASYFDLSYHPVSTNIDCKLYKNARSVRCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILCGP >Dexi5B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:5B:934716:934976:1 gene:Dexi5B01G0001440 transcript:Dexi5B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRMPEVIIVPAPRPAASAGAGDAAKAAGKEPISPGTPSSSADACRGKERRVEEGVSLPGWKLEALCQESCPSPAMRARFPYF >Dexi7A01G0023800.1:cds pep primary_assembly:Fonio_CM05836:7A:31699941:31701681:-1 gene:Dexi7A01G0023800 transcript:Dexi7A01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKRGKAAKGEAAPPPPAAANANAGGGGFPGCLRLIPPSTVAVSIHAKPGSKVATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVYDALKKSCNCS >Dexi8B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:8B:21795152:21795604:1 gene:Dexi8B01G0012420 transcript:Dexi8B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVGARTAAAALALLLLAACMSAAAQQAAGGVTAVRNSYESSFVNWDLRAVSAYCATWDADMPLAWRQRYGWAAFCGPVGPRGEASCGRCLVVTNAVTGAGVMVRVLDQCLFGGLGLDPFVFTQLDTDSHGVVTGQLTVNYQFVDCQD >Dexi4B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:4B:6392957:6394129:-1 gene:Dexi4B01G0008930 transcript:Dexi4B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPATPGGAAIVAPALAPAVDAESPGGGRDAEVSLSNGKPRRRGFGRRLTLAPAPVARQVSFDGRGTGSAASRPWRGPLPPVRVSPCLTLAEVLARVQPSPDPAGGGHDPGGVSACAPISSPATSGALEGRRLGSPFRAPTRPATRDPGGRSGDHHVGPGRALLLSLGGRKAHFAFSDGLCSLFANAGQPRGFSSPARAPPVCVVDSSASSIQGAASPALVSTPSQTGTALGSTTHPGSAMDHRGAGLHGSGGGRGEDGEQFFGDGGDFPEGGLGFDPGYGGG >Dexi5B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:5B:9294962:9300816:-1 gene:Dexi5B01G0013090 transcript:Dexi5B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNGEAEDLPPPPPLNAGDEPLKAEETKKPVKPTRALVPRKGFGKKGQPIRLVTNHFRVSLKNTDEFFYHYYVCLLSLAKILFRFSCSYLVVNAIIFYQLQVNLKYEDDTPVDRKGAGRSVIEKLQQTYATELANKDFAYDGEKSLFTIGPLPHVKNEFTVVVEDASTGKTPANGSPGNDSPPGSDRKRIRRPYNTKTYKVELSFAAKIPMSAISHALRGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQTGLSLNIDVSTTMIVKPGPVMDFLLANQKVEHPNKIDWAKAKRSLKNLRIKISPTNSENKIVGLSDRPCRDTMFTLKRRNGDNVDSEEISVYDHFVKNRGIELRYSGDFPCINVGKPKRPSYFPIELCNLVSLQRYTKALSTLQRSSLVEKSRQKPQERMQVLSDVLQRSNYDSEPMLMACGISIAKSFTEVDGRVLQAPKLKAGNGEDIFTRNGRWNFNNKRLIRASSVDKWAVVNFSARCNVRDLVRDLIKCGGMKGIKVDQPFDAFEENPSMRRAPAVRRVEDMFEQVKTKLPGAPKFLLCVLAERKNSDVYGPWKKKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGLNSLLQVETSPAMPLVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSREWPLISKYRASVRSQSPKMEMMDSLFKPNGTNDDGLIRDGVSESQFNQVLNIELDQIIEACKFLDENWEPKFTLIVAQKNHHTKFFIPGAPENVPPDLSVRSILNQGTTRPTHYHILHDEIGFTPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGQFIKFEEMSETSSSHGGHTSAGSVPVQELPRLHEKVRSSMFFC >DexiUA01G0022760.1:cds pep primary_assembly:Fonio_CM05836:UA:46432401:46433358:-1 gene:DexiUA01G0022760 transcript:DexiUA01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGGWEVKARRRREVRLPGKEEDKKSPALLKCATPYEDLLPFCSAHSPFTRLSKRRKGGQQIDSVPLLFRCTRFISPTHKEGRGGKKAALASVRTPERKGGRTSFSDSAIAL >Dexi7A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:7A:26233029:26233385:1 gene:Dexi7A01G0016380 transcript:Dexi7A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTAMAFDGSCALQPDGSHRPCQVVAGAGAFQSTHACLVALADASLVARGLSSLVTRADASLVARGLSSLVARADEVACVDASLVTRGSPPWSPALPCRPPVLPPSMPPVSPPSCAS >Dexi9A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:9A:14555120:14556049:-1 gene:Dexi9A01G0019600 transcript:Dexi9A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGMRPGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSVLSLWKMHQDMSTAGGLVSVALAHALALAAAVAVAVNISGGHVNPAITFGALIGGRISLIRAVFYWVAQLLGAIAATLLLRLATGGARPPGFALASGVGDWHAVLLEAAMTFGLMYAYYATVIDPKRGHVGTVAPLAVGFMLGANVLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFIGAGLAGLVYEYLVIPSVDAAPHAHHQPLAPEDY >Dexi9B01G0043360.1:cds pep primary_assembly:Fonio_CM05836:9B:43310108:43311985:1 gene:Dexi9B01G0043360 transcript:Dexi9B01G0043360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGEAPAPREPEEEEEDTGGFVKLISAEGFEFIVDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEIATHVLEKICQYFYWSLHYSSGKESAEFQIEPEITLELMMAANYLDT >Dexi9B01G0036390.1:cds pep primary_assembly:Fonio_CM05836:9B:37924542:37929446:-1 gene:Dexi9B01G0036390 transcript:Dexi9B01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLVKPPATAAVASPRVAVALRATGLRSACARMTRRLQCSSSGSFAGASTEMTDATSGWAKRLVEELDSLPDIDTYCLMALSPLDGRYYRFMKDLMPFFSEFGLIRYRVLVEVKWLLKLSQIPEITEVPPFSEEAQLFLNEIIQDFGINDAKEVKKIEKITNHDVKAVEYFLKQRCSSNPEIAKVSEFFHFGCTSEDINNLSHGLALKEGINGVMCPAMIDICQAICSLATQNSAYPMLSRTHGQPASPTTVGKEMANFAARLSDVGKAFSEVKILGKFAGAVGNYNAHVVAYPEVDWPKVAEEFVTSLGLQLNPYVTQIEPHDYISKLFNLFIQFHNVLIDFDRDMWSYISLGYFKQIPKAGEVGSSTMPHKINPIDFENSEGNLCMANGILSALGMKLPISRLQVNESRLAEDLEQTWEVLAEPIQTVMRRYGIPEPYEKLKELTRGQAVTKDSMRQFIDGLDIPEEVRSQLYKLTPHSYTGLAEDLAKDIEKLVDLDSGFRIK >Dexi5A01G0029620.1:cds pep primary_assembly:Fonio_CM05836:5A:32657341:32657676:-1 gene:Dexi5A01G0029620 transcript:Dexi5A01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAVTQHAVTSWADDGEWDDETSPAADAGTSGRKEAEHAEVTIRITRKQLQELMEKRAGGLHGLKSRRAAAAQLLADVYHHVNHCKAPHWKPALQSIPEAVES >Dexi9B01G0026620.1:cds pep primary_assembly:Fonio_CM05836:9B:28795628:28799315:1 gene:Dexi9B01G0026620 transcript:Dexi9B01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPPHEPSSNSNKQGWGEHEEIHVSTGGVQAYTDDDADCESRRPLLLGTPASAECYSVSAAVLPYAYSHPSPPRPILLSYQPYLSQLVPRAPSVNQFFFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSVQTGLVITGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGILSFGPIGWLMISEVFPLRLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGLLFCGFGVIAVASLVFIFWIVPETKGLTLEEIEASL >Dexi3A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:3A:1544392:1547136:-1 gene:Dexi3A01G0002330 transcript:Dexi3A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMAARPVTASSSPRPRLPARDAAATSGRSSLPVVRGVKSEAAATRSLLPLRCPVLLTSVAGAFGSGKGQAEVIGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIVMGLNLLEVVELQLPSFFSDFDPRTAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQS >Dexi5A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:5A:20317089:20320982:1 gene:Dexi5A01G0017130 transcript:Dexi5A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMEAGLVRKYASEAGGLRLARHGPHGHSARTAHSMSASALRKKSDASLVRKVPFAPLRPVLANLQEVFLGTKLAVLFPAVPLAIAARCANFGQVWVFALSLLGLIPLAERVSFLTEQIAIYTGPTVGGLLNATCGNATELIIALFALMQGKIEVVKYSLLGSVLSNLLLVLGTSLFCGGIVNLGVDQPYDRKQADVSTGLLILGVLCQSLPLMLRYAVAAGEHSIAAATTGLELSRACSIVMLLAYVAYLFFQLKTHTQLFEPQDIDEDDEDQEEAVIGFGSGLFWLAFKTVLIAILSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVIVAWIAGIQMDLDFKLLETGSLFVSVIVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVTRQPASHANGGGAGLAVPTGTWNAQVA >Dexi5A01G0025860.1:cds pep primary_assembly:Fonio_CM05836:5A:29601885:29602476:1 gene:Dexi5A01G0025860 transcript:Dexi5A01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAPATVLPLLLLLIFSPVATPSALAPSGTDEHGPAVYVVFVSRADYVDSPDYDLSLLAPVVGSAAEAKDALLYHYGGLGFAARLAPEHAAKLSKKDGVAVLKDKAYGVGVDGRLTWFF >Dexi9B01G0021470.1:cds pep primary_assembly:Fonio_CM05836:9B:16190883:16192880:1 gene:Dexi9B01G0021470 transcript:Dexi9B01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFQDSVKALEADIEHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKVMLYKVYADGSSALPDWEREASIREFYGVIFPSLLQLPSGITELDERKQRRLCLKKFRSRDEELSEVDTERELECGICLEVSRKIVLPDCAHTLCMRCFEDWNAKSKSCPFCRACLEEVKPGSLWMYTDDSDVVDMDTLTRENIRRLFMYINKLPLVVLHVVDLDIYEYRIK >Dexi4B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:4B:15022626:15024260:1 gene:Dexi4B01G0014260 transcript:Dexi4B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTDLHMAQGGGERSYSNNSRLQRKALFETKQVLHKAIRELCSAVLPRNLVVVDLGCSSGENTLIFVSEVINTLTMSDRQVEVQFFLNDLPGNDFNYVFRSLGKFEESIAAEHKGGTPPRFYIAGMPGSYYTRLFPSQSVHLFHSSYCLQWRSRILDGLDANTKTYLNKGNIYIAKTTPPSVVKLYQELFQMDLLLFLKLRHEELVVGGQMVLTFLGRKDEDVYKGDLNHICGLLAESVQSLVHKGLVQQEKLDAFNLPIYGPSVDEVKAVVRKSELFDISSVKLFRSNWDPYDDSGDNAVQDSLQSGLNVAKSIRAVMEPLFASHFGVSVLDELFKQYARNVSKHLQREKTMYSVIVLSLRQR >Dexi4A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:4A:24609222:24614185:-1 gene:Dexi4A01G0020840 transcript:Dexi4A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRVAALATCTGGAAQAQRLLTTSSCAGAGAALLASSQIRSKVVGCRGAAFVSSRWLHDAQYQVRQDGDSRSQERRDPFELVADDLSLVADRLRSMVAAEVPKLASAAEYFFKVGAEGKKFRPTVLLLMASALKFPLSESAEGGVLSILADKLHAPHLNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLVMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTAEVSMLAYDYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHNLVEMITIVLDKDMGIITAPILYAMEEFPQLNEVVDRGFDNPENVELAIDYLQKSRGIERTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >Dexi6A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:6A:255243:255854:1 gene:Dexi6A01G0000410 transcript:Dexi6A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMRNPQRMAKLQGEVRKHTQEGQETVEEENLSDMAYLRAVVKETLRLHPPTPLLLPHLSMADCVVDGYFVPSGTRVIINAESWESPDEFMSERVLDGGSAAVIDFKGNDFTFLPFSARRRICPGLNFALATVEIMLTNLVYCFDWQLPDGMEAKDVDTTEVFGLTVHPKEKLMLYPKQRGATAAGADSVMHN >Dexi1A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:1A:2187118:2189681:-1 gene:Dexi1A01G0003010 transcript:Dexi1A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSAANLLALLRRNAASPAVALRLFLHLSSAASPAPPRSTSFLARLLAAQPAAAADALLPRLLRHVLSFPDPTPHLLALLSCSDVLPLRLSLPAFRSLRELASAPPPPTPVYNRLILAALRESRLDLVEALYKDLLLAGAEPDVFTRNLLLQALCDAGRMELAQRVFDAMPARNEFSFGILARGYCRAGRSFDALKVLDRMPSINLVVCNTVVAGFCREGHVEEAERLVERMRAQGLSPNVATFNARISALCKAGRVLDAYSIFKDMQEEWQQGLPRPDQVTFDVMLSGFCDAGFVDEARVLVDIMRCGGFLRRVESYNRWLAGLVRNGRIGEAQELLREMTHEGVQPNSYTYNIIVSGLCKEGKAFDVRRVEDFIRSGVMTPDVVTYTSLLHAYCSKGNITAAYRVLDEMAQKGYFPSAQIVFDAALRTCGQKEVLFCLMCTEVSTYGRWIEAKNILEAALEMRISIQSFPYKQIIAGLCEVGEVDHAHSLLKLLIAKRYTFDPAAFMPVIDALSNKGKKQDADMLSEKMMEMADYNDDLTTDSGKTTPGSRKHDHAKNGQSDWRALLHSL >Dexi5A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:5A:9384818:9388410:1 gene:Dexi5A01G0012520 transcript:Dexi5A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAAAWSPPSSALGRRPGRGRRSSCSSVRPRAAAEEHSGGVGGVGEEAPRLVLLDSLDAAGVATAHARSAREGFAAQVGRLTGVNAETSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVDAFISRLDDLSTGFCAGCNFPPHRAPPEVFFDYLDRYLYVHKGFRRTNGVSDVRALYLHSALTSRSGSALMLALIYSEIIKTVRIYGLLDFDAEIFFPTDLNGLPRGYDKQKSKSGDEPHIITSKSLLVEILKTLKTTFWPFQSNQSRSLFLNAAAANNYGPGALGGNQTSAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLQHDPHDLRDYAALLYHCGYYEESLHYLSSYQTVMAGDSPSNWLGILEHEAVNTLRARVTLILAEDGWSNRRPAASYWTKNSEPW >Dexi5A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:5A:2806092:2808115:-1 gene:Dexi5A01G0003670 transcript:Dexi5A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVERPAPVKEEKRADAKPDMAAIAGSASALPIVFESFTSAQRDAGIKQEERKLEAAKAEMGEVREENERLKTMLSRIINQYQSLHTHFLDVVKVHEQAANKAKLPAAAAAAAVAPATDDVDDPDELVSLSLGTRSNGTVIRRKGHERSSSSSAGTADEGNLSLGLGITRSTGDDDKASGASASAAAPGVLNLSSDDSSSADDATAAKPAAHDAAAACPAGTSRKSPSGGSGEGADDEVQQQAKKARVSVRVKCDTPTMPDGCQWRKYGQKISKGNPCPRAYYRCTVAPHCPVRKQVQRCAEDTSILITTYEGQHNHSLPPAATAMASTTSAAVAMLTSGSTTSSTPAASLANHHLPLVAAGLLGPTTMVSTATSCPTITLDLTAPPAPQSLMHSASPYAAMAAGYESKAVPAAWSSGYLAYGGAHPSSSYYGKTSPALGHLFGGGGAMGVSSRSEQMYGAAQSYLQRTSSLGGGGHGAVAPAAVTDTLAKAITSDPSFQSALAAAITSVMGRGGAAAAQK >Dexi7A01G0022100.1:cds pep primary_assembly:Fonio_CM05836:7A:30489135:30489617:-1 gene:Dexi7A01G0022100 transcript:Dexi7A01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKHTAKLPSRGSASSSPANSAHRLSSHSIAKAPPRKIRIIHVLAPEVIKTEARHFRDLVQRLTGMPPSPNSSGAAAFTEDASSSPPPHQDSSSCDSSAREAAAMQSLKVKEEPAGTSSGDEGGGFLRALELDGCNNDMFFRGLEDFLNMDDMDAAFNF >Dexi6B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:6B:4617487:4618231:1 gene:Dexi6B01G0005350 transcript:Dexi6B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLEHLQIRIPVHKIECLNGSFDLGIQHLSTLIKVEVTIVGDFRYYSTDNMSTDMDNGIIKCVEGSIKAAVESLPSRWRRANDEFLLSVWDILY >Dexi2A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:2A:22106586:22114255:1 gene:Dexi2A01G0013530 transcript:Dexi2A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCDLAYTPAPGNPVNAVKWNHTNLIVASAGDDKRSHCGIKKECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASVSMKGDLILHNLASGARAAELSDPNGQVLRVLDCSRKSRHLLVTAGDDGSVHLWDTTAKSPKAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSPTPTSFSSGVVTAGLRSSLTANTSSFLSTPNSSIMEETPYRTRPLSGGPLSKLQAPRNNYNLKDDMDVFSPLVDVQPFTPSSGSCWDDHGSDDTKKDKPGEKKLSMTRKFSYMEGNDEPHPISDWRSSANSRQDSISSVTTTSMPSWKSELSVSSPETTAGGALPDRLTQRQQISRFGASAFATGGLAFTALQDSSSAASHSLKGSLTSNILLNLQNKGILSNTHSSLGALSPNLPSSLPSSYDSKAVSSVNPDQPGAAQSSSMWRPTTYTDRMSSSSVFSDGLASAFVSPKSKKTGAETKDELFSSLLSRQEATTAFSSSSPLPSNGVGPSQLSNTSSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEVSALQVFTP >Dexi9A01G0024960.1:cds pep primary_assembly:Fonio_CM05836:9A:22001575:22003168:1 gene:Dexi9A01G0024960 transcript:Dexi9A01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSEVLLDLEFSCIYKDHHVDRIVGTETVPAGADAKTGVVSKDVVIGDDSDVYVRLYLPGGIRADDVDAPKLRVLVFFHGGGFITMSAAEPLYHSYINSLAAAAGVLVISVNYRLAPEHPFPIGYEQGRLQKFEAPHGDLRRVFLAGDSAGGNIVHNVAMMAVADEGSGVASRIEGAVLLHAAFGGKERVAGESVETAWTMDTLWSVICPEATDGVDDPRVNPLSATAPSLRELPFQRLLVVEADGDFFWGRGKSYYEGVLASGWAGTVDWFETMGKHTFFLFDPSCPEAVALMDRLTAFFAGNRMRGG >Dexi5B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:5B:1347197:1348028:1 gene:Dexi5B01G0002090 transcript:Dexi5B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAVGTAPPVFDGGAPSFGRQQQQPDYSFSGRVLLTAVVILAILTVIFILIRLILYQFVARGRGGGGGGLTAGVRRTFGSFGRSARHGLDVAALASLPVTAYRRTKLQADDASTSEAAAAAADCAVCLSELADGEKVRALPSCGHVFHVECVDAWLRTRTTCPVCRAEVRPNKQGPPSAPAPAPPVFGERGTLVVTVEGGAAETTTSRDARVAVSSGAGVLH >DexiUA01G0010730.1:cds pep primary_assembly:Fonio_CM05836:UA:21264042:21268622:1 gene:DexiUA01G0010730 transcript:DexiUA01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTASLHLLSASTAVKDEMVVEKGCESCRKWQEHYYWEHMDVTKIRFFKIMTGDFTQGISIPEKFAKNFKGHITGGFELKASSGKTWHISVDKRGDELFLTSEWEDFVKAHELQENDLLLFTCCGNSSFKVQIFEASGSEKVFSLFGNRISPDTCKHVNDTVRQHGKHHAVSDSEDTTTPSHLVGCPHNTSVSRKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIESKKDKCPSHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQ >Dexi3A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:3A:8330687:8337581:-1 gene:Dexi3A01G0011540 transcript:Dexi3A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGPQDERGEGSGSKKRRRRRRQRQRNPTEPLLTEPSPPSQDGKRNGSGDKKGERDRAPASDSALVEHASSGASSAVSSPLRWPLLCRTVVGEHSSGEKIYEPFIKLNPRLVDACEELKFKYHEKRISMLIEILFAGRQLKLVTLRHHVSRSCLTNQELLPTRDSATKTVLKAAKVIMGLSSYVDGRLLTRTTGFLIAWNAESKVLVHLLDRHDTTVAAELIHYDRNYNLALLNVTMNLSAEVEVLSLRSELKFGQEVFVLGRDKDLYLSIDHGNVQYAGPHEYERRHFMFVNCALRECGYGAPVIDLDGEVMGMVNSPSTGFIPSATILKCIHMWEKFDCIPRLHIGMKFSAIKFLDPIQIEAIYRKCNIDEGLIVKQVSKESSAERQGIRTGDILQSLNGVGISTMVELENLMLEMCEAHLNKGNEINSTLEVEVGIFRTRSGLHCIKKVAVKVSDDIEVIPRDTYPVSNEMLNDYVCEAVDLHRLVPKHFRNCVIVCQRFRGARRRPEPPNSEKVQQLRDLEPEEEAATEIAKPG >Dexi9A01G0027350.1:cds pep primary_assembly:Fonio_CM05836:9A:31927914:31928712:-1 gene:Dexi9A01G0027350 transcript:Dexi9A01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLADGESNKQLSFSAREPERESNAASATAWGFGTPSPATMETKGSRRTTSILHEEHAISERKRRGKMQLQFATLASIIPDISKTDKVSLLGSTIDYVHHLRGKLKTLQEERYQSTGGNNTAESPPLDAWCRIGDDDDDEASPTIEVNVRGTTVLLRVVCQDKKGMLIMVLKELEKHGLSIISTNVLPLADTSSLNITVTAQVNHIYL >Dexi6A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:6A:11076440:11078327:1 gene:Dexi6A01G0009490 transcript:Dexi6A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHMAGAYHQLLRAATTPLALQLLLLLLAIPLLFLHFVSQRHRHGSSKPQPKKRGLLPPSPPALPIIGHLHLVGDRPHISLRTLAAKHGGGGLMLLRLGAVPNLVVSSPRAAQLVMRTHDHAFASRPASKVSDALLYGSSDIGFSPYGEHWRQLRRLVTTHLFTVKKVNSYRLARQEEVSLVMDKIREAVTGRKAVDISETMNTYANDMVCRAVSGKFFREEGRNKLFRELIEVNTRLITGFNLEEYYPGLANALSSLVPSWFASSEVAKAHKRWDELLETIIKDHEGRRSRSDVGDVGDDAGQEESDFIDVLLSVQKEYGITRDHIKAILIDMFGAGTDTSSLVLELAMAELMRNPELMTKLQAEVREHTPSGQEMVKQDDIAGMPYLRAVIKETLRLHPPAPLLLPHLSMVDCEVDGYTIPSGTRVIINEWAISRDPDSWEKAEEFMPERFMDGGSAAAVDFRGNDFQFVPFGAGRRICPGLNFGMATVEIMLANLVYCFDWELPAGMAKEEIDMTEVFGLTVHPKEKLILVPKPCGTVAHALQAAE >Dexi7A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:7A:3193088:3197092:-1 gene:Dexi7A01G0001250 transcript:Dexi7A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSRTGLPARRKSKSRELPLVRVHVAADEVDGPEGARVRGEDALDRRDEAQSVDARGMRWASVKTSGEQSQTRCQRRESTAVRTASLTGRRETISRRSAKGRELMRSSPLEEAEGRAERQAAAETGGAGSEV >Dexi3B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:3B:753631:755074:-1 gene:Dexi3B01G0000980 transcript:Dexi3B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEASRRACGRSRERAFRCHSPQKKNGLATWRYVGVPSRGTHHRVGGLAAVGTTLQLVVEKQKRVAAYPPADSAGGTMDVRWRALLAQAAPYVEDALTAPHGVLP >DexiUA01G0012930.1:cds pep primary_assembly:Fonio_CM05836:UA:26818845:26819255:-1 gene:DexiUA01G0012930 transcript:DexiUA01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSRATVCALLLALLVLTHDGGGAMVAVEARVCTGKSQHHSFPCLSDRLCTNQCIKEGGWTAGSCHHRFCTCQKAC >Dexi3A01G0025430.1:cds pep primary_assembly:Fonio_CM05836:3A:21107675:21111237:-1 gene:Dexi3A01G0025430 transcript:Dexi3A01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTEPGKQSAAELGLHGEDRDKAMRAEGDPNLRSGRRLTQRYLRAGSPVTPAIGFPAGAAAHGKAGVAALRVGGASGMSGRKNAGKTSPWMLILIALGCFFATYNFLTMPGRGRDGSRKFLGGGNQDSGGSYGSGDPAKRFHVALTATDALYSQWQSRIMHYWYKEMRDRPGSDMGGFTRILHSGKPDGLMDEIPTMVVDPLPEGKDKGYIVLNRPWAFVQWLQRAKIDEDYILMAEPDHVFVKPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDRETDKAFGWVLEMYAYAVASALHGVHHSLRKDFMIQPPWDLKTDNTFIVHYTYGCDYTMKVATLQP >Dexi3B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:3B:3496964:3497200:-1 gene:Dexi3B01G0005280 transcript:Dexi3B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPSAGGGAAAAKDSWPELVGLPSEDAKKKIKEDKPDANVQVVPADAFVTMDYNTGRVRVFVDSNDKVAKAPRIG >Dexi4B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:4B:15731810:15732340:1 gene:Dexi4B01G0014610 transcript:Dexi4B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGIPSSSDASSTSSPPPRALLISSAIFLAILFGAFAVVAALALCCCRRRARTSSAADSARTEEPSGARAGGDLPFPVETLPTFAYARQQTDGEHGGTASCECAVCLSAVQEGEMVRQLPTCRHVYHIDCIDMWLVAHRTCPLCRSELDHPCKLNSDVLPAPPLEDPPDDHQMPV >Dexi5B01G0026790.1:cds pep primary_assembly:Fonio_CM05836:5B:28473757:28474596:1 gene:Dexi5B01G0026790 transcript:Dexi5B01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFLQTVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDAEIEDAE >Dexi5B01G0031760.1:cds pep primary_assembly:Fonio_CM05836:5B:32417756:32420428:-1 gene:Dexi5B01G0031760 transcript:Dexi5B01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDVVTLFAPLPPAAPKPGHQSRPATDAFQPGDPSAADASAQAEPQVDGPGSGTTVDLKFCASCSYSELELKELIGSRIPDPQVEELIVSRMPDPQVEELIVSTIPDPQVEENPEEDLIVDDDGNDDNEDDTEL >Dexi9B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:9B:11461085:11464734:1 gene:Dexi9B01G0016650 transcript:Dexi9B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLDLTLSSSFLRSCRLASTYLLPTTSRRHPGSLLSTRFCSAAPAASDVATDPAVAAVSDGHPWPEWRQFLEKLRDKGYFEQVMPPSSGVSAGEGAAGDGEAAAGNAVAAAADSAVASKDTYPFRDLNTVKNACIKFARDRFDLLSSLPKQDIEAIVKHGCPNTNRKPVNSAKRLREFVGVKEEDACGACKLRESCDRAYVTPKAEDEARTVNVVRILLQYAIDTNSLSGENSINESMQESARKLLSELIILSDTTIDPSLPKPVFSTKLSDKSKAMAHGSVGRGRGTSATEMKKGDWLCTKCHFMNFARNKICFKCEERRPKRQLNPGEWECPSCCYINFRRNRVCKKCNQDRPEDDTQDNQLELRNRRGGGKSRSFDYMNEDSDNDRDASSDKRFSSRKPAVASLKQRIAAKSRNVVDLEDGLHAVKLRSF >Dexi1B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:1B:430064:432587:1 gene:Dexi1B01G0000460 transcript:Dexi1B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMADAPTSSGDSPPPPASPAPQPESGSISSMVAASASSAAAAAADFTRWAETFSTEKADAAKAALASATTLATSSASAAASASSTAASSAYAAASDLTLIAKEELEWIKMEYSVHEQMVFGKIKEGVVMAITHPGIAAGSATLAGIVLFKRPRSYLIQRVRRMFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMISGLASQVKKEKRVLNATLTRIVNYGVPI >Dexi1B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:1B:26635314:26637397:1 gene:Dexi1B01G0020510 transcript:Dexi1B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRTPLTLRSLVLIALSNAPKKEGCLLGRPTSYVRTGVRRDQGIINLDATEHQSCTENTAQPAAGKICNLYKVNSYSTPSTVILSLLTACTLTVPPALQLLLNAPQHPRTDGGNAWAVGGMPLYELFRVAKAWAHYHSGRGSWLSPRGPAKESRGFEVRPSWPHGAAQPRTRHRRGSCKVAASLPRPRVLFLKLLRLPPPTLFLPTVSRLRRPNDEPIPGPDGFSFWATFVRPRACLRTRTDDVVSN >Dexi6A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:6A:4620712:4622709:1 gene:Dexi6A01G0005030 transcript:Dexi6A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRALLRRRRPPLPSPAAGFFTSSGCENLAPPLLPLPQPPPGAEGLYNEPGQEESLARLVERASSVCAAMRGWMADGRAVHRGHVFHAINRLRRHRLHRTALQVMEWIMRERPYKLSELDYSYLLEFTAKVHDITEAENLFLRVPQEYQKELLYNNLVMAALDLGLIKHSYAYMRKMRELSLPISPYVYNRMIILHSSPGRRKTISKILSQMKADRVTPHTSTYNILLKIQANEHNIDGVARVFNDMKRAKIEPNEITYGILAIAHAVARLYTVCQTYVEAIENSMTGCLKSGLVKEALNTMDMGKKEVVTKKEFKGYKENWC >Dexi9A01G0028020.1:cds pep primary_assembly:Fonio_CM05836:9A:32621743:32622201:1 gene:Dexi9A01G0028020 transcript:Dexi9A01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARSSVSVASGGVVSVAEDVADSIDALYRKDEAVAGKTPPSLPGANPTGTRPRLTDRLGRPRRAELRSEVMEALQKEVRSLDEDSWMFAAPRSRIHLVSRHVD >Dexi5B01G0037220.1:cds pep primary_assembly:Fonio_CM05836:5B:36797986:36801954:-1 gene:Dexi5B01G0037220 transcript:Dexi5B01G0037220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENKGDGEAGVKIIIVSSHNFFSSLQIDIWEERKIFDTQGQSLKDDFFRRLKDIRSKLKNPAGELLEKVVSSYKHVLSAPMDEDTVMRKCQAALMNFDELNKVYGNNAFLGGSNRSDFEELQQQQSILRNSIEQLKASESLRATLISHLKEALNEQELKVEQVRSQLQAAQSRYKKAGELCRELGIDMERHQPSNQGLKNSSLSETPATIALDSANMKALQKGQPGAVLYSQEGYGIEHGAIAANVLTKVAAVAGSDKIHDGVLPSRANGGNTVLKIDEHSSGNKRQKLEDDTRISQPQSESPPPPPPPFPHPDAFQPPPPPPPPPPPPPEYPPSPEPSPPPPPPTSPPPNIIPPPPPTTMPPQIISPPPHTSGTFLPFPAGPPGPMYGTFPFAPVVNFPMNMPPGFSSPPTPPPGFQGLAGTFYAPPPFPTAPPPTDKK >Dexi7A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:7A:20933604:20935109:1 gene:Dexi7A01G0010020 transcript:Dexi7A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEMADGEAAKSNEVAKKSKSRKKDKKKTNVASPSLPRGPKPEMDDSDDPDFWAPPVGSRWDDDDGKDRWQSSPGKKDSAKDEDGSVPAVT >Dexi7B01G0021530.1:cds pep primary_assembly:Fonio_CM05836:7B:26524131:26525960:1 gene:Dexi7B01G0021530 transcript:Dexi7B01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDHLFGLRNSFYIGAYHAAITSSQSVPAHALISPDDLLDRDAILYRSYIAIGSHQLVIGEIGPSAATPLQAIKLLALYLSGDAGNRESAVSRLRELLSDAATGSNPILRLMAGTIFMHERDYAEALKHTHSGGNMELLALNVQIYLQMHRADHAEKQLRVMQQLDEDHTLTQLANAWVDLVMGGSKIQEAYLIFQDLSEKYPTTCTILNGKALCSMHMGNFEDAEGLLLESLNKDAKDAETLANLTVCSLNLGKPATRYLNQLKLACPEHTLVKRMSSADDSFDRACQAMA >Dexi6B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:6B:2191375:2192428:1 gene:Dexi6B01G0002500 transcript:Dexi6B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATTPSSSPAPATAMGTFSSTRSPATPIRFVVKGGKELAWRGIADDRRAPCVEKTTVRKVVMCPGGGKGELVAAIVGDGKLRKIAMCRPASGSEGSSSSSSRWVMSGHDVWRRIDDIAFYDGKLYAVEDTGNLFAMAVAGDEDDDDGHAGDGEPQVTWAKIVIKVSDDSPPARRRRQKEKAPPSMRYLLVYGGRLNGEAISDGDTSTTTIKFGVFQADLVTPRWSETTGVGDDVAIFVGRWSSFALRVSKYKLTGNRIHFLDDDAFRHGCHDDMFGSYDMADGKIYPRLWSFAKAMAPVARRRHGSSLEL >Dexi4A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:4A:10137361:10137621:1 gene:Dexi4A01G0011890 transcript:Dexi4A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRLTPPEKGRRMVGRMGVAAGGERGRRRTRVGGRRAVWRVPCGRVACVGGRRGEGVKLGGGCCRAGRRAVTQGAGCLAAAGE >Dexi3A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:3A:11364759:11365790:-1 gene:Dexi3A01G0015290 transcript:Dexi3A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRHHAHDKAGGHGVGGRLRQRLAQFLRHSSCATTSATAFVGVAITTINAAAPPPTATNNAASRQQERHEPQPKVIHGRRQRRHRHRRRSSSRALVHISIDCSAATSVVGAAAVLPSPAPPARDAVKSGTRKKGDGYKLRSPLYSWSSSSSSTDTDDGELAPFSSDGEKGQTTTTTTTDTRSTLFSSRSFSSDSTVDFYSNATGGSNTTKSRRHKKTPRHGGARKPAGGAFRRTAASAAPGNNEHEKEKKKKPVVDDRNDGGGSGAAVAGGGSTAVVKRSHNPYADFRSSMVEMVAGRRLRGADALAELLVWYLSLNSPRHHPAILAAFEDVWEAVLGDDP >Dexi3B01G0038420.1:cds pep primary_assembly:Fonio_CM05836:3B:41203619:41203912:1 gene:Dexi3B01G0038420 transcript:Dexi3B01G0038420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRARRWGGESAGGFVGVDWREESERGRERAARGREEESEPTWRGASPALLSSPLLSSPLVVGCVAAANSFACLFFLRLAAARRQNTTGQADSEGS >Dexi5A01G0034670.1:cds pep primary_assembly:Fonio_CM05836:5A:36668262:36671174:-1 gene:Dexi5A01G0034670 transcript:Dexi5A01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLSPQPSPSPSPVLSSHFSPPATLGASPWRRRLLHRGRAFQPPLSSLREPNKATLRKASPNVPFRLGGGGSGKPKDRRPIPDEDEKEEEGAGGSGAITGTLLAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIEGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVSGTLAAAVAFLIARYFARERILKMVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSAGAFGRAIIQDESEIGLGGNGELWTLGAGLLFTAIAAFYVTRLAKDAVKEIDD >Dexi4B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:4B:19866863:19872844:1 gene:Dexi4B01G0017500 transcript:Dexi4B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEPDAEGAQGGGASPAARVLSRALDKVIKHSSWRRHAALVSAAKSALDLLSAAPAPGPDEPSDPAASPVPGLPAATADAALAALLLALDPGSPKVAELALECAAGLLSLRLLRGDVDAADPSAPSPPSPVSRLFAAVLSCVSLGGGGDDALELAVLRVLVAFARCPAVSVSGECLGQVVKACYNVYLGSASGGNQLCAKLAIAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSIVQAAQAFINEVMEGSDVPEEAPPVDATPIDGEVGGEDGGMSKIREDGLALFKNICKLSMKFGTPDSPDDPMLLRGKVLSLELVRMVVDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSIFMSLISRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNFDCDVDAPNIFERIVNGLLKTDLGVPAGSTTTLTVAQDQTFRIESVKCLATIMKSMSAWMDQQLRIGEFSPSSTENLRSMDNLNIHNGEEGSGADYELQFDTSNSDITDSSSLEQRRAYKMELQKGIALFNKKPSKGIDFLIRSKKIGQSSEDVASFLRNTAGLNATMIGDYLGERDDFPLKVMHAYVDTLNFEGMDFGQAIRFLLQGFRLPGEAQKIDRIMEKFAQCYCKCNPNSFSSADTAYVLAYSVILLNTDAHNPMVKNKMSKEDFMRNNRGIDDGKDLPEDYLSALYDQIVNNEIKMSADSSVAQTKQSNSVSRLLGLDNIINFVNWRPSEDKAVGANDLLIKHIQEKFKAKRGKLESTFYVVADATILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRFSVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKRSNAPEVRELIVRCVSQMVLSRVHNIKSGWKGVFMVFTSAASDDTRSIVLLAFETMEKIIRDYFHHITETETTTFTDCVTCLIAFTRSQFNSDANLNAIAFLRFCAVKLAEEGFVYQDRGAEQPRNSDMLGGNATVQKDGYVSLWEPLLGGTAFLP >Dexi6B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:6B:5442114:5446607:1 gene:Dexi6B01G0005850 transcript:Dexi6B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPNRKSRRMMYPKIKVNAFRGYAPKVTIQSITVKSEHHDYGEETTATYNKQLGTDVESTSGIDGETAKRDPLHSASSCLPFRETDVAEKVGLDIFEAESQRKVELDIFESALPGNALINISLGEVEAVDEFEVEADKFEVVFSGIALSSATIWNMESKDETNNKENIFVVDLSRITPDNAAVGEVINDAEGTWETFDVDLSGDSSSIGTYGNVDEVGEPKVDQEITFEMDMSRPRELNVVDHAKVKINTLLMGFLSSAAVYENNENNDFMIQIESIMDEHLFEDFLVEEKQRELEKLAIEEAERRRHAEKQQRMEEKRAEHEADKAQARAEVEMKKNKLLVLPERMYVLDWVFADGPPGSARNYDNNSGQDFHAILLSNMTEEEYWAEEEQWIYTRLQQERREREESIKRKSAPSLVKVPQDAYMMDFVFSESKEGGVYDNRNGLDYHIPVFGSTAKESPMHIVQIAVEMAPIAKVGGLADVVTSLSRAVQDLGHNVEVILPKHDCLNLSHVS >Dexi8B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:8B:18663536:18664994:-1 gene:Dexi8B01G0010210 transcript:Dexi8B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSPITVVEETPTRPDRAVGRAAVLAIGTANPSHCVRQDEFADWYFRMLNAHPEILDRTLPSLNTRMGIAEDALPKVAAAAAAKAIAEWGRPASHITHLVVTTSTGGAAAPGIDLGLAALLGLHPAVQRTLLYLHGCGGGASALRVAKDLAENTRGARVLVVAVETGATAFRPPDEAHLEELVGAALFADGAGSAIVGATADDDPAVQESRPIFHLVSAAQVTMPETARAVELRLGEVGVEYRLSAVLPSLVRDSIGRCLMDTLAPRGLAGGGWNEMFWAVHPGSRAILDSYEAALGLEPKKLAASRCVLSDYGNMLGATIFFVLDEMRRRRRRQGEGGGDDEEEGDRKCVWGVMSALGPGITVETMVLRAAGQP >Dexi9B01G0039010.1:cds pep primary_assembly:Fonio_CM05836:9B:39871414:39872664:1 gene:Dexi9B01G0039010 transcript:Dexi9B01G0039010.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLASLAWRLELRSGEKVALRPRCVMARAQMNHGGDIQDEDALDSNHFVKEIRSIAGRSIDISTTFEGFLHGDAISTSATVCSLVARAVVMASQLVDSASLTASSMSHEISADTVHRTLQVYVDVFVLTDEDSYNRRFSKDNVLWFLDALRAGAAHIP >DexiUA01G0013280.1:cds pep primary_assembly:Fonio_CM05836:UA:27617449:27618609:-1 gene:DexiUA01G0013280 transcript:DexiUA01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAAALAAGLLLVLAAAPAGADTDSADAAALGNLYTSWNSPSQLAGWSASGGDPCGAKWQGVTCSGAGESG >Dexi2A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:2A:9458369:9458799:-1 gene:Dexi2A01G0009220 transcript:Dexi2A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGSRPLIERVSSQAENLNWLVDILVNHDMAEEFVELWAKQERLIRMHGQASPMIRYELSRISSCVFIALGKGKVQCRGDHDAVRFWLASALFQRSRYQITGGEFGERPSNPPSQAAAEFVRGMVPVLCD >Dexi2A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:2A:23839690:23840440:-1 gene:Dexi2A01G0014420 transcript:Dexi2A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYYETEDDKKVLSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINFDNVQEAVRETEGYFIKSGIVTVIKDAVIPSGTVI >Dexi3A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:3A:488586:489310:1 gene:Dexi3A01G0000570 transcript:Dexi3A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANKTSILVDASKYIKDLKEKVEEAASASAAADSTNSGRAMAATVSVSSVELDRNSSSSCRRRGFRINVSMERSRPGLLVFVLEAFEELGLDVLDADVSCADDTAFHLQALGSGQAQQGESMDEQMVRQAVLQAISKCMDDDRQE >Dexi2A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:2A:7137268:7141044:-1 gene:Dexi2A01G0007320 transcript:Dexi2A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSKEDAEGGAASRCRERKHLLRAAVQARHAMAGAHAGHAAALRNVGAALSDYAAGEADRHDAPVVPRSASAAAALGSAQAAAAAALKALPPPPLDAVLPPPPPLPPGAADGESPAPLQRSMSAPLQPQIRKARSGEAPIIEEEEDGEGDAGDDAPAPAPRRREVDDTPPPPPPLPPADAGPPSRAPPPVPATQEGNFLNDYFFGSPDAMPPPTLDPGAAPAESSWAAERREPAPPPPPPEPDQKPAPPPPETEQQPPPRQEVAEGKRLAVEPAARRAATQKASRKAEGKKARIAMVAPQPVRLGDVLRKLDEHFLKASEGAHEVSKMLEAARMHYHSNFAETRERNRGMFIIYNFFLGTEHGSCVLLGFVDHSARVMQVITWNRSFKGIPKPENAKNELDDDEWETHATVLDKLLAWEKKLYHEVKEFEVIKVTYQRKLAVLNRKKQRGVSSSSIEKTKSIVSHLHTKYIVDSQTMESTVAEINRLRDQQLYPKLLELVKGLWHMWDIIYFHHKAQLRIIIELKSSDISVAARETSEQHHERTVQLWHVVQEWHAQFDKFMTYQKEYVGSLYSWIKLNVIPIDTNLKPNSSQPHETTPPIKRLLHAWHDILEKLPHASAKKAINTFAEVVNTILVQQEDELKLRIKIEETRRDFEKKRRQFDDWAQKNWDRGASIPDGNNPGRSDPAAERKAVVDRLENALKDLEDQYKTQCKVVRDKSLNLLRSNLPELFSVVSDFSLQSAGYFKGLWSIAQTNDQLDD >Dexi5A01G0031910.1:cds pep primary_assembly:Fonio_CM05836:5A:34471661:34475507:1 gene:Dexi5A01G0031910 transcript:Dexi5A01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQKKMAGRAILLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSAEVKKTGVLSENFRRCIGLRIKENKEVYEGEVTELSPEESESTTGGYAKSISHVIIGLKTVKGTKQLKLDPSIYDALIKEKDVTLHDLDVANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIGIDEESLAYLGEIGQQTSLRHAIQLLSPASVVAKTNGREKICKADLEEVSGLYLDAKSSARLLHEQQERYIT >Dexi3A01G0035860.1:cds pep primary_assembly:Fonio_CM05836:3A:41151517:41154216:1 gene:Dexi3A01G0035860 transcript:Dexi3A01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGGDQQLLHPIDEDGPMENNSVSSRTSQALNAENTNKLKDRTSIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLIGRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTTDAEQREQKRQQRQKQTAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPHVPEEMSLEAYDLIDKLLMENPVQRLGATGAGEVKAHPFFKDINWDMLARQKVVFIPSTNDEYDTSYFACRHAWGTADEHVNAPCNEYDDRSETSSMSCCSSPHSCDYEEDGDECGSMEEFGAPLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQSSKS >Dexi7A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:7A:23252007:23253869:1 gene:Dexi7A01G0013050 transcript:Dexi7A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLASSSSAAAAAAAVSSTTSSSNAFHESRPLHLSLKPVSSIPKSQSLSCSTPHVPRAAAGDGSSVGSRWDGSGGNGGKDDGGGGRGGEGDDDYKEAEFGPLLGFDEVLRLAAARGVALPGDMIEAAKDAGIREVLLLRYFDLQAAPWPLGAMIQAFSMLRNRMLADPSFLFKVGTEVGAIAQLFLNVFEAERPGCRFTVQQRIGTYFYKVTNLTWEGCSQFGAVDGVLYGSVGFVCGIIGQGIANMIMTAKRNVNKSDEDIPIPPLVKSAALWGMLIICFLSLSLLPGSRYIFHDCKLLNYWFHVY >Dexi2B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:2B:20742469:20743893:1 gene:Dexi2B01G0013200 transcript:Dexi2B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSFDHYILSFPDMASKRIQKELMDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >Dexi4B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:4B:3391803:3395257:-1 gene:Dexi4B01G0004740 transcript:Dexi4B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAARMEEKKRMVMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLEIYKEFKLPSTDSCHGHNQIVGGASDQSGRISKKRKEMHSDEEDDGDENDFQEGDELSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQRVTSTPLPPQELLECTQAKLGIQASMPMPSMNSELVERTVGVSSNLQDSRLSQQGALPINDGFSADKLQLHDPFDGTSGTKFSVTMPVCPSGSLTTTNNTKSGASSCGTVLLAPDTGRHSNYLQFGEMKIHPLTSDDKLKQKNIYDFGIPKLHGGFSSSSCNFDGLLSSMIKAEKDDLSFADNDLGGDFFPLGACI >Dexi6B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:6B:9252789:9257333:-1 gene:Dexi6B01G0007770 transcript:Dexi6B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARPVRSCSDGGAFANAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQEKLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVAGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHFTKSDKPSGRRHSGLDSEREASAEA >Dexi7A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:7A:19835570:19836019:-1 gene:Dexi7A01G0008590 transcript:Dexi7A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANFTGDQGYPGGKFFDPLGLAGTVKDGVYIPDTEKLERLKLAEIKHARIAMLAMLTFYFEAGQGKTPLGALGL >Dexi4A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:4A:1879944:1883125:-1 gene:Dexi4A01G0002750 transcript:Dexi4A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNRRLRSDAAPFRPSAAAATHHHPYAAATRHHEWEIGYHQLNFPDCVPKLAVTINGQTAGPTILAVQGDTIVVRVKNSLLTENVGIHWHGIRQMGTEGAPIPPGDFFTCNFVVDRPGTYTYQAHYYSMQGSAVLTGHIVVQEPFRYDCEHSVLINDLWHKSTCEPLVCVGEPRSLLINGRGRFVHCSNMAAAGTSNATNPECATPVFAGVSAQNNLSSTNIMPEDTVQSVWRDNCAEVFQDVVNKLRQPRRRLYAALDLEFVAEASTDVGLALAFEHEHRSHGGPLLPVIALEINLEFDEDARQYNAASINFLTEQGHRLAEHRNRGVTVKDFLDGLLRHLVSGHDKPITWITSHGDNDLGFLMRLLQERQGRKRGALPADRATFVRQVRRQFPIFYDLRVLGQLVKKGFSGKFSVLAELLGVQRIGDEHHAGSDALLTMSCFSEILRRSQHELHRLEARKCLLSGMEEHDMAIKCARRIDDVTVKIVPVREANFDEQARWIEELVTSNFKIVAVDVSLPPLVWDSSLCSAAEPKEYAMMKSSLEGISEFQVVLGFMNADGMLALGRVWKFYLRIDPAVNNDCVDPGQLARLLESCGATHNPDVVWVTYHGLEGIACLIKSSMAAGDLPSDWCSYNEHLRARFPVMYDVGLIAQRCPDVGGCIEDIARNQEVSEDEDKEPEALLVLRCYKRLEESPHFPLTASVVQGQLMTSRSCRR >Dexi9B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:9B:1021363:1021970:-1 gene:Dexi9B01G0001790 transcript:Dexi9B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREYEREQAEKACSHAEELFLAGNVREASRAKRLCPSLPGVANAVAAYEVLGVRPGEGAATQDAIKRQYKRLSLLVHPDKARCAAAFKLVRDACEKALAFASGAGDTISPPPRAASSGRHAAGRRDARLHSGTASKHNWPKRKASWPSKYRNFNL >Dexi9A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:9A:7768438:7770534:1 gene:Dexi9A01G0012270 transcript:Dexi9A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHSAVSPGGRIMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >Dexi7A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:7A:2322470:2325161:-1 gene:Dexi7A01G0000870 transcript:Dexi7A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 1 [Source: Projected from Oryza sativa (Os04g0169100)] MVGAARRGIPTVWVLLFLPSLFFSLAAASVDFSHCGGCDDPDDALWTTENILQCQKVSDFLIAAAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITVFTYEPQPHLFHLVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLMNKARELDREVGMMKRKEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPDESRSEMTLTHQLRERDIMDPQNRSIPVHDPDVLAIKATKDAIILGPESALGIASRSKLEAGPVAAIRMPMLSVSNFKGGTPEVMDTSYAILVLTLPNDGSLGWGRRELEIVEVVADQVAVALSHAAVLEESQLMREKLAEQHRDLLRAKHEATRAGEARNSFQSAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIAKTSSVASTLMNDVMQTSTMNQEHLSLPLFLLQMMNGNIWSVSDSKSIGETIMLVLQFQLQPVTPVSGASSDLYRAIPNFKGLGVLLADSDDTNRAVTHRLLEKLGCRVLSVASGIQCMNSFAAETSFQMVIIDLAMQTMDGFEVALAIRKFSSNSWLPLIVALAARTDDNVRDRCQRSGINGLIQKPVTLAALGDELYRVLQNN >Dexi2B01G0024830.1:cds pep primary_assembly:Fonio_CM05836:2B:34118981:34121393:-1 gene:Dexi2B01G0024830 transcript:Dexi2B01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVLQVHKESVTNTMLSTMRPPLYVTNGYVKPFFCYRVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPTNSLGMAFPPRPAYGVAPPMYVLSFLLSDCSNLIYLYNPALNPLMARPPIWPTPPAQAWYPQQAAYPQQAMYQQPAVSVAPVVAGLPPQQPLFPIQNVPAPMTSAPANVLQTSFPMAPPGVPSPVNPQVSQPLFPVNTSTVNGAASSPFVASVAPGTISASSPSAVGYGSNNQGTGGPAVGSSAAVSNNKASGTQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQ >Dexi9B01G0023240.1:cds pep primary_assembly:Fonio_CM05836:9B:18293862:18295983:1 gene:Dexi9B01G0023240 transcript:Dexi9B01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCGVGGGGGDCLIKLFGKTIPVPEAADTAKESGSSSDISSSTESDAPDAENQHQAASDPSPQPEVVDADDPKSSPETTTPQRPGGDVASQREKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKHAVASHHFLHSLRTAGDHPLKTTTNGTVLSFGAMAPPAMQEVTEQVSNLKEKLLTISPRKNAMQGPCSEGSSSTDDKWSSSSTVDKPASRVQHHPAGGSMNNAWPYSCAPSPAAAYFSSRIAIPIYPAAPGYWGCMVPGAWSLPWPVQQPLPQPQGQGISSTTAPSVSSSEHDESLTLGKHPREVDEGRTSAGHGNGGKVWAPKTIRIDDADEVARSSIWSLIGIKAAGDTKNQDDADHDGGHKHGTVFEPKSEGKKTAMITSSPLLHVNPVALTRSVTFHEAS >Dexi2A01G0030700.1:cds pep primary_assembly:Fonio_CM05836:2A:41553941:41556004:-1 gene:Dexi2A01G0030700 transcript:Dexi2A01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSTIASFLAFHLLIFQSIDHVSPLYFELNFTKSNHNGAATIQFQEDAFYNKAVRLTKEEIDGQIAHSVGRAVFTDPVTLWDSTTGQLADFTTRFTFMIKADVPDGSYGEGLAFFLSPYPSVVPSNSTDGNLGLFSSSADQSETSNQIVAIEFDSHQNPWDPDANHVGININSIISVTNVTWKSSIKDGKIANAWVTYQASSKNLSVFLTYQDNPQFSGNSSLSYPVDLRNYLPDKVAIGFSAATDHDRGSQTTVIAGTMGYLAPECVTTGKACKESDVYSFGILALEVACGRRPVVLKGDDDKINLVQWVWDLYGRNEILNAVDGRLDGALDELEAVCLMVVGLWCAHPDYYFRPSIRQVISVLKFEAPLPSLPPKMPVAMYFAPPIHLCRFSYTSSDGTLKELEGSNVSGKTTSSSSATNASSSPPSIHLPQMGY >Dexi9A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:9A:238607:239543:-1 gene:Dexi9A01G0000350 transcript:Dexi9A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEIRYSCYTAPPQQKPTPMAAALLLFLVLLAASSAPAAANRTTLVFLLAGQSNMGGRGGATSGAWDGVVPAECRPSPRILRLSPELRWEEAREPLHRGIDLHNVLGVGPGMPLAHAVLRSRRLPPHAAVGLVPCAQGATPIADWARGTPLYERMITRATAAMAMPGPGHGDKKLAALLWYQGEADTISRQDAQRYTERMEALVRDVRRDLAMPGLLVIQVGLATGQGKFIDLVRDAQKRVSLPNLSYVDAKGLPVASDYTHLTTQAQVKLGNMLANAYLATL >Dexi2A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:2A:1462328:1463731:-1 gene:Dexi2A01G0001960 transcript:Dexi2A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANKNCVNKYVAGDDVDVDLYPFLLRYKDGRIERLLRSPFVPASDDPTTNRGVATRDVVVDHVTGVSARLFLPSLAAAMAGNRRLPLVVYIHGGSFCTESAFCRTYHRYATSLAAAAGALVVSVEYRLAPEHPIPAAYDDAWSALRWATSPLTTDPWLATHADPRRTFLAGDSAGGNIAYHTAVRASRRRDDVTGVEGVVIVQPYFWGAERLPSESAAAVDEGGAVAVLPVNGVDRLWPFVTAGQAGNEDPRINPTDEEIASLTSTCRRVMVAVAEKDTLRERGVRLFDRVRECYELTGSGEVTLVESEGEDHGFHLYNPLRATSRMLMESIVQFINRPPAPEKNGGLHHLHAWEEKSLSRTTNKTPAAAAAAAATKLVMLGVPSRPFRDVFDYGMDMKEHCSSPICMSSAAYGGTSKFEKCGKAKESNKASYGLFKGPVRPNKACKGPAAAAAAFPGVLGIKNLF >Dexi3B01G0035690.1:cds pep primary_assembly:Fonio_CM05836:3B:38692840:38694721:1 gene:Dexi3B01G0035690 transcript:Dexi3B01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTASGVMTTAAPDLSLHISPPSPAAAAGGGEMQAAEPRLLLGRLELGTAAKKTDDAAAPPRHGGLIHQVLQRPNQTTHGGFKKSSGGGGGGGRRGSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQARLLSTFTHFYQHMYRTVKGTDRSCVAGHGQASDMVFLRRGSSAGEVDGFDVFNNNNTVNTTTTFDNNNAPRFAHCIYLSYYFILVI >Dexi4A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:4A:9709241:9710186:-1 gene:Dexi4A01G0011550 transcript:Dexi4A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPINVEEAQQGRPISVADVAPGDTTTLVATGQRGIPVLIAPFHSQSDGRASGATAQELGMGFPGFLLLETSKDDDNSEAQRKKWFKEMRGWLMVLATVAASVTYQAGLNPPGGFWQDDDDGHHAGNPVLHDRHWSRYMMFYYLNATAFVTSLVIMVLLMSERFYHTEAKVVALMLTTFVDLISLIGAYIAGSTRFFSSCIYIIVIACVAFAGVIYIGEYASEEGRQTRTTPRSNQRGGCSACCACAPRAEG >Dexi5B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:5B:4202421:4202994:1 gene:Dexi5B01G0006210 transcript:Dexi5B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALPFPAVQSVCLWALLTACVFAVSVVFGEAVHIPCGEGSWFTPCIEMTDAALATADATYLGMRWCAVAQAAAAAVALLLPARRRRSRRALAYAALAAAAAGHYMYASLTGLLLDADPGYVFLRISGATATLVFAAGDLVCLLALLLGEDD >Dexi2B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:2B:2995239:2998579:-1 gene:Dexi2B01G0003350 transcript:Dexi2B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHQTHHLPFLFAGHPLPSTSTKSSSLDPTNPPCRGEQPRVPAAAAAPLAGAMGNTCVSPSAPSDRHSFFNSVSLAVLWRPNTAAAARAEPSPTPDPTPSTPSSTSSRAPDPVTISDSEHSPHSSAAASAPNPNGKPKPKPKVKRVQSAGLLVGSVLRRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKQFACKSIAKRKLVTDEDVEDVRREIQIMHHLSGHPNVITIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPGEVFSDVVGSPYYVAPEVLMKDYGCKVDVWSAGVIFYILLSGVPPFWDGEDTSPLFCDFYLVLLPLTVLHCFHLDIGPPFAETEQGIFDQVLKGELDFSSDPWPSISESAKDLVKKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKSGLKRVGANLKDSEIARLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITHDELQTACEEFGIADAHLEDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGHGQMSFGLREALKLG >Dexi9A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:9A:11039779:11041463:-1 gene:Dexi9A01G0016110 transcript:Dexi9A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLDKNCRVCMEWQEHCYWSHMADDQKHFFKPMLGDFTETMRIPARFTNNFNGHISEVVSLKSPSSKTWNIRVGNDADELVLQSGWKEFVSAHSIEEGDYLLFKYSGVSSFDVLMFDSSGCQKTSPHFARNLGHERIEGSAGVEGGRHASHKSKGGKEATPHLLQSDDDEDDGGNGHLELAVHKNTKPTKYKGHRDIGQAHCEVKTDEEDLELDQEGDAPAKTGYYFCKNGPVSAYHLTEQDREEISSIRIPAMSGTNPVYVQVMHPSHVRDKKPGAVYLGATRGEIILERASRKGRWLARYNCNSTCGLTGRGWCSFVGDNGLLDHDVCLFELVKAKRRPTMAVHVLRRQRGRFVLLR >Dexi9B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:9B:153616:157986:1 gene:Dexi9B01G0000180 transcript:Dexi9B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFVLRHQANTTTTPPPPPPDLHHPLSLCLLSNIVAKNSKGTTPKQTQAALETTILGNARAGDQAIMDFKCSMSIPLQASKSRFVVGSHVWVEDPDEAWMDGLVEEINGDELVINCTSGKKVTANVSSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYVTQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDENGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDCKKYKLGDPRSFHYLNQSNCIALDAMDDSKEYMETRRAMGIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDEKSQFHLKTAAELFMYIISVALSCDEKGLEESLCKRVMATRGESITRNLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNRCLTGMPLHLICHLCSHTHRNSHI >Dexi2B01G0027080.1:cds pep primary_assembly:Fonio_CM05836:2B:36026501:36028064:1 gene:Dexi2B01G0027080 transcript:Dexi2B01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVLVIALIAAIVLPVILHLRTRGNNKPRPANLPPGSMGLPVIGQSLALLRAMRTNTADRWIQGRVARYGPVSKLSLFGTPTVLLTGPAANKFVFFSDDALAMKQPRSVAMILGERSISELTGDDHRRIRGALAEFLKPDMLRKYVGKIDGEVRRHLDESWDGRRAVTVMPLMKRLTFGIISSLLFGLAPGHVRDALAGDFARIAEGMWAIPVDLPFTAFRRSLRSSARARRLLEKITRETKAKLERGEASRSSDLIACLLSLADERGAPLLSEEEIVDNAMVTLVAGNDTSSVLLTFMVRQLANDPDTLAAMVQEHEEVAGSKVDGEALTWEDLGKMKLTWRVALETLRLVPPLFGNFRRATKDVEFDGFIIPKGWQVFWVSSVTHMDASIFHEPAKFDPFRFKDGSPATAPPCSFVAFGGGPRICAGMEFARVETLVTMHYLVRRFKWKLCCSQSENTFVRDPLPSPRNGLPIEIERRASPSR >Dexi2B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:2B:11941093:11949915:-1 gene:Dexi2B01G0010530 transcript:Dexi2B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNLLSRKRRSWRANEFVSRSTLQLKAPIDPFTRESNRPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGYCEMSPVMANQFSVTSGPCCCSSIFVSRGGNKKYASVLAPGQLDGLKKSSDDGEPDPELKVSCRQISPFIPHNYQESSLPTTVFVYTLVNTGKERAKVSLLMTWANSIGGLSHHTGGHVNEPFIGENGVSGVLLHHKQDGSFDRDNFNAGVSMPSSLGDTVCAAVSASTWVEPHGRCTVVFALAWSSPKVKFKKGSTYYRRYTKFYGTSLRSAVYLVQDALMKYKQWEEAIDKWQYPILNDERLPEWYKITLFNELYFLVAGGTIWIGTNLCTCCYNIYRDFSATGDMSFGKDVWPAVCTAMEYMEQFDHDGDSMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHGDYAERYWFQTPEAWTVDGHYRSLIYMRPLAIWAMQWALSPTRSLIEPPKVNTMDRAHIAPGKFQFLQDSVRKMTPKNGCFGNTVFDWDS >Dexi9A01G0039020.1:cds pep primary_assembly:Fonio_CM05836:9A:43109409:43110262:-1 gene:Dexi9A01G0039020 transcript:Dexi9A01G0039020.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSFKIPSDARVPRFPPPPPPPPPPPACLSSAPPALGAAPPAVRPVKPELLIRVSYRRTLTPTRTDLAALALAAMATKASTLLRAARKASLASRAAAAAASRAARAADVAADAARASRLNSLDTKSSSDPPSFSMDACDYDHLPEDSKIPTAKDLESDKALWALYERWCAAFNKDRDYSEMIGRFEIFRYNAEDVYKWNTDVPADPKKAAIYLKKRREFKL >Dexi6A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:6A:3454:13612:1 gene:Dexi6A01G0000010 transcript:Dexi6A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGELGGGGSHGGQEPSIPGHAVTKASDGRVREEAREMATKLDQPSPAENHRAKSTAPQSLTPKISIFGTKSGFVIPKNKLAGSLVTRAATAKNEIPTASKEDNTRQVQRKTKWGPDLATDPAVCKGRALAYQTRVEQITKQLKSETSDLSKIEGSTGNGSNYDGSDNLKENKQGNVELLELERREIIGQTSLLFSFIHTLRLVTEANAEAHPGHSIIGVLIGPESNTQKRLHEETGAVIQVFGTKKISGEKSEIHLQDINETQAAYEDLHINVSADSYDKVDAAVALIELLLAPVSVKSAATSTATTVSSTVTSDVVNPGQSTTSVPACLHIQVSLLT >Dexi9A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:9A:13089171:13094071:-1 gene:Dexi9A01G0018020 transcript:Dexi9A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPPPPPSPSAAAGDGSPPPASPPPPPPTAAEPAEKRPKPEENGAEANGNANDSGAKAAAVADEDSDSEVEDADAVNQEYVLARPTHRPRCFGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAAIYPDIDKYEEEELAFNEEENDRNKQIQASIAEAFRKQTEVIGRKSTAKATAAAFVRRSRRNIRTNGHSTYFRGRGRASSDDVAVTCSDDEEDGNGENCGKEESSAEESSPEKKQKRPPKWPTPRSSPARAACNEEVASGDKDDVGISRENFSTSPLRAWGKNGTRSQTRYSSFSGSNGRVFKGGRMIKLVECLRNSDDNDSEFIASQTSHKDEEVEIYARKPSYSDLVSTNTSSFDKARLVGEERLSDLRSSFTFPNGVLELVYAIKVTN >Dexi9A01G0042380.1:cds pep primary_assembly:Fonio_CM05836:9A:45991658:45995691:1 gene:Dexi9A01G0042380 transcript:Dexi9A01G0042380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEEASPPVSSSELEQEEDDDDCYLSDQEDDALEESVLQVLEDEHLEDCHWSSSSVITKESLLLAQREDLRKVIELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLHYANNAGPASSSEVTCNVCFEDVPPSATSEMDCGHNYCNDFGYVAVLLAEIIHGQDEMTPEERELKQNLFEDQQQQLEFNVERLSGFLEKDFQNFTDDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASLLNISWDSAEQSSQSTKRSQDEYRSQHASTNLGKRAHELHGSSSDNRVRPNKRERQDANGGGALFDLNVPAEVADKI >Dexi1A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:1A:5879616:5880047:-1 gene:Dexi1A01G0007610 transcript:Dexi1A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGIARRLVKAAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDSNAMTTASWSRPSVSLRGGGVPIPSNTHALFSVPTELNNPY >Dexi6A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:6A:9958582:9967125:1 gene:Dexi6A01G0009000 transcript:Dexi6A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCFRRGLSLVQQHTRAPSPSPPLHPARLFRRHLCAADGMGEGSASGKEAKAKGKAKAKAPAAAASALVVARDDSYLEAVTQKRIRMFEEIQTKQALERLNIGGEVIKVTLPDGAVKEGKKWITTPMDIAKEISSGLAASCLIAQVDETLWDMGRPLEADCKLQLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNEEHFGIIESQAQKAVAEKQPFERIEVSRAEALEMFAENQFKATIVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHLLEEAKKRDHRILGQAQELFFFHPLSPGSCFFLPHGARIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFDNRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRENQIKDEVKGVLDFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKIERPVMIHRAILGSVERMLAILLEHYNGKWPLWLSPRQVIVCSVSSGSVEYAKQVLARLHEAGFHVDIDISDRTIQKKVREAQVAQFNYILVVGAQEAETGNVCVRVRDSADLATMSIDGIITRFREEIAAFR >Dexi3B01G0033380.1:cds pep primary_assembly:Fonio_CM05836:3B:36028313:36030604:1 gene:Dexi3B01G0033380 transcript:Dexi3B01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTLGTAFRITFSQLLEKHSPWIAPVLVCGTPLLFLLFLVAIVVQLGVLAPVLCLVAVLYLFGLYISTGVSIWRLIEHDYGNTEGSVNLKPALNILYSLAVAQGVVFGYKTIYDLVAKAGLAEDVASSYSSLDTALVSDYLDETVTGCMKDPSFARGRNLVTYGVDLLIGMGSKPRHSGYLPGVMILGTILQPDNAQSGQPGLIEKLLTGSASFCLMVQRLVETISPATSPYSIEIREHAARIVARVAGSICLEEHPFGGTMIRCVSSLLGTLEEEFSWRPEGYERDSYLPKEYERDWLLEENERRYHRVEGYSRSARTAGSRSPRTDSSSNPSHGYKGLVVQGLRILQKLAIDENNCRVIRNTQGLLANTMAALCSDQLHKDHHYDEWQPITKESMELMSRLMAAPGETGLKLRSEIIEGNIQEIVRFVSYILRCSKCQVSLRRQAVKIALDLSLDTPSIVLSDGNSNMLLFTWLLLHISLLPDYCFDRMCGSVHLAKKSSDIRRLAGEKLQAVLSSQSEAHATSMSMLRSVGDVIESLTRTAVDAENNTYRLDAVQILEDLCRHYTKDDEPLKELKKHISDVMPKILTEILGYHEPISQESHASAQANHVKDSAQGADLEKDGAPHGQEQEDASSSQQQNGAEQHEGIKLQEALISLCHTIPYHWARGFDDLANKVCMEQGIRKRNFYPLLSDAHCLLGKQKKAHGLLTEG >Dexi1A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:1A:19559608:19560345:-1 gene:Dexi1A01G0013900 transcript:Dexi1A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGTSRRRPSGSGGEHQRLVAVAVAARVAMVTTRSGEAAAVAGGGGAGAGEVGGGRGDGLCMEDFFNCLLGVLGALGVTWGAAARPRRQPRPPLPRGVGSAPAPADARRFAAELRAIPGRIAGNGACAVASLYTLQGKKGVNQDAMIFWEILFLSK >Dexi7A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:7A:17765212:17766433:-1 gene:Dexi7A01G0006390 transcript:Dexi7A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANCSKKRPWFAAKRWTSSMVSRPCYWLSNRNWLAGSSANRFWSPPDLGHRPRSSAAYAGFSVLSTCPLLFCPYSLCLKLTHRESPMVCPPESATRSTTSSPWLANLPMMVGSVSLGAGMRLFEPLRLAVSESLLPSGTSHPGPPVCSSSSSSSIIIIISRFSVTNLPLPSASRSTEASQPWTKQSWKWRRSRDAAMRGSSACAFATMAPTMDCSCGHKCGCSGTNPPGTGWPEQSTDSTASMAAATATPAVLY >Dexi5A01G0027070.1:cds pep primary_assembly:Fonio_CM05836:5A:30631718:30638332:1 gene:Dexi5A01G0027070 transcript:Dexi5A01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRVDLCHQTNDEDEEDIRSHREALLDRAKTKPGIPPVNIRQRCCPGIQLGSRQKFVILATQINTELFFYMQVFVLLFIVMFAFAILIWVGKGENPIDSSLLKRVYLDVFSVVVLVLGGALACYGALLFSKMSKVRSETVSTEKRKVASLAAVSLICFLSSAILALVTNVPVLLYWYSTDADIIYNAVILFVYYFLGSSVPSGFVLWIMRDLPHRQVVERPTESRVVTLFRERPSTTQDPQWRTAVTSSNKALKSSPI >Dexi7B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:7B:24765612:24770211:1 gene:Dexi7B01G0019130 transcript:Dexi7B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRALNLNPTLLPPPPPPAAAFHHHLAAAADDTRLPLLAADYALLQPSVSAAEAPAAPTSAEWSAGSAFTAASSDAGATTATASSTATAPGSLTAAAEDGGRDTWVRRAREGYYLQLSLAIRLTSQAFLAGAPAPPELLLGGCGTGDATDDPEAVSYRLWVNGCLSWGDKIAHGFYNIMGIDPHLWAMCNAAEEGRRLPSLASLRAVDATESSLEVVLVDKDADSVLLDLERRALDLVRALGVTLELVRRLAVLVSDHMGGALRSEDGDLYMRWKAVSKQLRKRQKCIVVPIGGLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDSERRYVREYVVDLVVEPGSISCPDSSINGQLLSTVPSPFKTSCKVGSGNYSTPVAAWTQAIADDRRNTVLSNSQYSVARCGVVEENFVQVASKEDLLPKCGQITQNGNCNGISVLDVSAQLKAIDISVENGNKENLPGATLPKRLNIEPSFAADWLEISWEELELKERAGTSLTLKFLLACLLYAPNLITGGVKCRLFWYGVSRRLARFYWGLQDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLISKAANGEMLDLKRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTSPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKALLGGS >Dexi3B01G0021860.1:cds pep primary_assembly:Fonio_CM05836:3B:16722829:16725101:-1 gene:Dexi3B01G0021860 transcript:Dexi3B01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLVGNPTNGVAKPTCNGVGSLPMANSHAVIASPAPVMTTAVAPAGATLGRHLARRLVQIGASDVFAVPGDFNLTLLDYLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQAITCHQAVVNNLDDAHEQIDTAIATALRESKPVYISVSCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAAAFLNKAVKPVMVGGPKIRYGSIGWSAGATLGYAQAAKDKRVITCIGDGSFQVTAQDVSTMLRCGQRSVIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNSDGNCWTKKVRTEEELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Dexi5B01G0032960.1:cds pep primary_assembly:Fonio_CM05836:5B:33480120:33483075:1 gene:Dexi5B01G0032960 transcript:Dexi5B01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLSRALSFGGVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDSLSRKHVTVGFCYAVEPARLLARFPRLESLALKGRPRAAMYGLIPEDWGAYAAPWVAQLAAPLECLKAVHLRRMTVTDEDVSVLVRARGRMLQVLKLDKCSGFSTDALRLVTRSCRSLRTLFLEECIIDDKGSEWLHELAVNNSVLVTLNFYMTDLRVEPSDLELLAKNCKSLISLKMSDCDLSDLIGFLQTSKALQEFAGGAFSEVGEYTKYEKVKFPPRLCFLGGLTFMGKNEMPIIFPFSATLKKLDLQYTLLTTEDHCQLIGKCPNLQVLEVRNVIGDRGLEVVGDTCKKLRRLRIERGADDPDQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDKQREVTDLPLDNGVRALLRNCTKLRRFALYLRPGGLSDVGLEYIGRYSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSEQALALAVLQMPSLRYIWVQGYRASPTGSGLLLMARPFWNIEFAPPSPESIYRMMTDGQPCVDTQAQVLAYYSLAGRRPDCPQWLVTLHPA >Dexi8A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:8A:6646803:6653041:-1 gene:Dexi8A01G0006430 transcript:Dexi8A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFYTVIPHDIGFRKMSEFIIDTPQKLKAKLEMVEALGQIEIATKLLEDDSSFLDGEDHASDQLYFTVVTYLHSVFCYCGLRFHMFGKGVYFADMFSKSANCCYASKASRSGVLLLCEVALGDMNEVLNGDYKANDLPKGKLSTKRFGEMTPDLTKSRTTDDGVLVPLGKPTKHESSEMARSRYNDYIVYNTDQIKMRYALHVTFNFKS >Dexi9B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:9B:14292098:14298889:1 gene:Dexi9B01G0019700 transcript:Dexi9B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGADGNGHLTRPRRPRRGGVGGGGGGVMVFPQGKVDSGAQPHPAAPPCTDYDMAYFKAYSHLGVHEEMLKDHVRTSTYRNAVMHHQDLISGKVVLDVGCGTGVLSIFCANAGATRVYAVDASDIAIQAMEIVRENELSDKVVVLHARIEDVIIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSIYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVSDIIFLSEYNLLGFLEQVALVDCYTIQAQELETITAAFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSKKQASQSLDGDKQNASPSSKKKKQDVSIVLSTAPEDAPTHWQQTLLYLFEPIELNKDQNIEGSVTISQSQQHARFLNICVKYFTGDQWYVKESVMR >Dexi1A01G0027880.1:cds pep primary_assembly:Fonio_CM05836:1A:33572023:33572345:1 gene:Dexi1A01G0027880 transcript:Dexi1A01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVILRVLKNVFSGEENRGVGVLYPFAVVKPLGLDDSRMTTLSDVNQRILKRPARPVRHPVGPFACPAVTDRGLGLSGTAVVSLTKIRTGGKGTITIIRTRG >Dexi5A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:5A:3725348:3732749:-1 gene:Dexi5A01G0004870 transcript:Dexi5A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARTVLGYADAVAHHAGQAVAEGAKIINERMSTQNYKSVKQRVKRLEEAAVSSRGEERVQVLRRWLRALQEVESEVGGSGGTPGQNVSSADPNSSKTSLARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILEAPKEEEVSLLLEIFGICLTGGKEVNNAIVSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNADIVRIDAEAVELWKKLDEKEASRAHSIDDPEKVAENTATVEVEKLKVLAASLANSSSKAEKRILDHRRQKEEALNFRAKKDNEVTAVEKDLTTEISELEKQRDELEAQLKKVNISLNAAVSRLKQTREEKDQFHEANNQMVFSLQAKENELSKSIDSCNKEAGVVKTWIVIAFSIVDRMKKMFYSEQGANSRQDDEEIRNLFSEIEKLRETFEAVERPTLDIEVRKAKEPTKDKSELSRSTSTKDKSGSGHSPVQAPSLPKDVPVESPKSPVKPEQLLDPDSELAKLELEFGKVNKDHEEISGWDFDELEEELRADISSSNPK >Dexi4A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:4A:4102656:4103028:-1 gene:Dexi4A01G0005730 transcript:Dexi4A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAAASDEASVSARGKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSISEPSSSSAIAEGTIQTECDLATVHQY >Dexi4A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:4A:21041950:21043827:-1 gene:Dexi4A01G0017340 transcript:Dexi4A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLASPAAPPPGVAAVLRLIESRDLPAAARLAAASAATSPLPLAAVLLHRPLPPRLGYCLHAVAARSGLLADRYLANALLAFYVRLPGHLPHALRAFDDLPRRDVVAHSSVLAAFLRAGHPRRALLQLRTMASGGCGADEDVAPSAHALSAAAKACAALRDLRAGACVHGTGVVRGYGDDGVVLSALVDMYGHAGAPADARRAFEEMRAPDGICYTSLISAFVRNDWFEEALRWFRAMVATNGIWPDGCTFGSIMTALGNLKRLRQGRSAHAQVVTRGMCGNVIVESSTLDMYAKCGMMVDARKVFDRMKVRNAVSWCALLGGYCQSGEHEKVILLFRQMDIEDDDWYSLGTLLRSCAGLSAVKLGKEIHCRFMRMRGCRDVIVGSALVDLYAKCGAVDYAHRVFERSTVRNMITWNAMICGFAQNGHGERAISLFSKMVREGVRPDYISFIGVLFACSHTGMVEEGRNYFNSMTNNYGIAPGIEHYNCMVDLLSRVELLEEAEDLVKKSPFRDDSSLWAAILGACATHTNPDVAVRVAKKMMELEPQYHLSYVLLENVYRTIGRWEDAVEVRRLMKSRKVKKEPGTSWIDGNRSKLYMCKAKEGASQLLASADMSLHEEVQSI >Dexi4B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:4B:834487:836257:-1 gene:Dexi4B01G0001390 transcript:Dexi4B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKPHAAACSGRRVIPPQHGRWVPLYRHHGPCSPSSSTGAAKPSLDDLLRQDQLRVDHIHWRLSSESDEGAFAVSKASVKQRVGFEAAYFHDQPVIRVILGSESKSSEQQQQQGVPPGVFQTVVLDTAADLPWVQCAPCPVPPCHPQADDSYDPSRSPTYAPVKCGDDACDQLGIRYSGGCGVGGECQYRVPFPTKSNGSFSSGTYAVDLLAVGPNTSITFHFGCSHAAAAAAAGDFDDNTTAGVMALGGGPESLASQAEETYGRAFSYCIPAATERRLGFFYLGGGAPGANIGVDPTTMSYVATTPMIRYSQTPTFYIVRLVGINVNGQRLNVTPSVFAAGAVMDSRTAVTRLPKTAYAALREAFRGAMAMYAAAPPKGSLDTCYDFSGEFFVVPHRIELVFDGAGGGGGGVPVEVDRSGVLFNDCLAFVNNSDDRMLGILGNLQQQTMEVLFDVANKAVGFRRGAC >Dexi9B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:9B:10983045:10983480:1 gene:Dexi9B01G0016050 transcript:Dexi9B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGAGGGADASSPADPPRPEPDPAADADAMGARLPGDLLRAVLQRLPPIDVARSACVCRAWRAVASDRAVLEAAFCAPWGVRRVVGEPETQAFWRAASLGRFALSHAVRRGDTVPGVALKYSVQFRTL >Dexi5A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:5A:13629165:13633709:1 gene:Dexi5A01G0015810 transcript:Dexi5A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVREIILIDDGWKHMKQGIATLRRILADEPDVSFNSESYMALYTTIYNMCTQKAPHDYSEQLYDKYKEALDEYITTTVLPSMREKHGEFLLRELVLRWKNHKVMIKWLSRFFHYLDRYFISRRSLPSLKSVGWESFKTLVFDEFKATVTTVVIAMIDEDREGQIIDRALVKNVLDVYIELSQDPSGSDSGLKLYSQDFQDAFQQGTIDYYSKKAQTWIMEDTCPEYMLKAEESLQKEKERVGHYLHSSTEPILMEAAQGELLAKNIDRILNKENSGCKVLLRDEKDDDLSRMFRLFSRIKDGLPPVSQTFKEHVNEAGKSLLKQAIDAAASKKNDKKDVVSALELVVKILTYISDKDLFIEFHRKKLGRRLLFDKSGNDEQERSLLSKLKQYFGGQFTSKMEGMLTDMTVAKDNQSRYEKHIESNPKLHPSVDLSVQVLTTGFWPTYKSSDINLPSEMVKCVEVFKEFYQTVTKHRKMNWIFSLGNCQVVGKFDAKPIELIVTTYQGALLLLFNEAERLSFSEIVTQLNLSQDDTVRVLHSLSCAKYKILNKEPSGRTISPKDVFEFNQKFTDKMRRIKVPLPPSDEKKKIIDDVNKDRRFAIDASLVRIMKSRKIMTHQNLVAECVEQLSRMFKPDIKMIKRRIEDLITREYLERDKDAANSYRYLA >Dexi9A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:9A:1973364:1974170:-1 gene:Dexi9A01G0003740 transcript:Dexi9A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDDKSQAAADKIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAILGTVKPKASEASSGGAYTQCQKCFQHGHWTYECKNERVYMSRPSRTQQLKNPKLKKIAPVSYQFENPDLIKEREAEKKLLKEKRKKERSERRKGKSKRKHRSPSDSDSNSSDASVFDSDSESSVSGSEYSSGSSSSYSSSDSEDKKRHHRRKQKKRRHRRDSTTSASESESASDSDSDEKGSRKKSKRRSSRR >Dexi4B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:4B:9564172:9565327:-1 gene:Dexi4B01G0011930 transcript:Dexi4B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIGVLSSCFVAMTVLACCSLRPCASVEVIQDGWSPDAGATWYGAPIGGGTDGGACGYQNAVDQPPFSSMVTAGSPSIFQDGKGCGACYQVKCTGHPSCSGSPVTVVLTDLCPGGPCLAEPVHFDLSGTAFGAMAAQGQSDQLRSAGRVQVQYARVACNWNGVDVAFRVDAGSNPNYLAMAIESESGDGDLRAVELMQSSGGGAGWAPMEQSWGAVWRYNSGSPLQAPLSIRLTSGSGRTLVATNVIPAGWEPGKTYRSVVNF >Dexi2B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:2B:8267180:8267494:1 gene:Dexi2B01G0008130 transcript:Dexi2B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVSVNPQVKFSDDKISAILDEVFRTYGPTPSSSSLPGLLRTYDDGSGDVDRDHVNRLGGAPPPGSSYGAAPTTASTTSPNHGTEEEGDPRKKDAGVWLSG >Dexi5A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:5A:22077748:22078754:-1 gene:Dexi5A01G0018550 transcript:Dexi5A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSSMRSSPVDCLPQLLCCACVDQSTVAMEETCGRYDTVLDPGCHFMPWCFGRRVAGYLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALADRAFDAFYRLSNAREQIQSYVFDGMIRASVPNMNLDQVFEQKNEVARAVEEELGKAMAMYGYEIVQTLIVDIEPDEVVKRAMNDINAAARLRVAAAERGEAEKIQQVKRAEGEAESKYLAGAIVEGLRRFVPDEKSVMDMVLATQYFDTMRDIGATSRAATVFIPHGPAAVHDVAAQVRDGVLQAAVHHAPGGAVPR >Dexi4B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:4B:10247707:10248215:-1 gene:Dexi4B01G0012470 transcript:Dexi4B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGKAKAKASGGKRGVAAKDPVDAPLLSDKRRRERVGMGDSDHEFDSDMKEIVTLLRNIKDKAHKDGQKKTEQAISR >Dexi2B01G0025810.1:cds pep primary_assembly:Fonio_CM05836:2B:35004415:35006190:1 gene:Dexi2B01G0025810 transcript:Dexi2B01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACTHLTKKYLSVLSSLVCRYLKLNPMVKTSGNETEYVSLRLELAQSSVKPNTIIEASFKFFIYDRSYGKHKKHQVINHSFQAASTSSGTACMIALKTLKKQSSQFLLNNSCTFGIKFIKVATVKANTTLETLFVQKTSTFNDSGVHTWEIKDFFALKSPCYSPEFEVGGYTWFIKMCTSQDGNHLSMYLSMKKPNHLPKDSANLVECTLSIKDQESGKHQKLTGRCQFSNNAPSWGWKKFISLQDFKDAYLIKGKCCIEAEVALVGSSKTE >Dexi9A01G0037330.1:cds pep primary_assembly:Fonio_CM05836:9A:41599959:41602604:-1 gene:Dexi9A01G0037330 transcript:Dexi9A01G0037330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGIAEALQAYTGLTPAAAATILALMIATYLLVSSLFVAPASPSPAAPPTQQRETEKDKKEDEPTMPFVFPDPVEVGEVTLEQLRAYDGKDPAKQILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPNDLTGDLDGLSSDELEVLQDWEEKFKERYPRVGHLACQDAADSGRNAAQPDHEEGDA >Dexi3A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:3A:7996052:7996417:1 gene:Dexi3A01G0011230 transcript:Dexi3A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRCPYGVPVACAVEVAMDGAVGEEEEGRGHRCGGGGAAATGARRSGGSPTRRWRRQLGGTGGACCSAGGVEVHGEGARAGTWASASAGRGRGRRRARNKDAAQNRVYMAVQRSRCNED >Dexi9A01G0043420.1:cds pep primary_assembly:Fonio_CM05836:9A:46878075:46878431:1 gene:Dexi9A01G0043420 transcript:Dexi9A01G0043420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLLVAVAVAAAVVFGAAATPVTEILGGWGVIPDVDDAHVQEIGAWAVAEHIKRANDGLRFGRVSGGEEQVVSGVNYRLRIVAVNLAGQNVTYSAVVYEQIWTNTRRLLSFDKAK >DexiUA01G0001720.1:cds pep primary_assembly:Fonio_CM05836:UA:4651608:4652771:1 gene:DexiUA01G0001720 transcript:DexiUA01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNFISPFLHFTIRDGVSEGQFKQVLEKEIPEIEKAWKSLYNEKPHITFIVVQKRHHTRLFPDNHNDRRWTDNSGNILAGTVIDKNICHPTQFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADGLQSLTYNLCYMYSSCTRSVSIAPPAYYAHKLAFRAHFYVNQASDVAMSVGSGNAPAHVAVVNPLPQIKNELKRTMFYC >Dexi5A01G0025160.1:cds pep primary_assembly:Fonio_CM05836:5A:28988261:28990969:-1 gene:Dexi5A01G0025160 transcript:Dexi5A01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSDIGKKARDLLNKDFHTDQKFILTTYASNGTVITAASTKKNEAIISEIQAQLKQNNVTVDVKATSDSLLLTTVTVEEFGVPGLKKIVTIPFPNQTAGKAEVQYQHDYAGINASFGLNSKPLVNLSGVFGNKAIAVGADVAYDTATRDFTKYNAGLSFTNADLIAAVTLNNKGDSLTASYYHLVNAEKNTAVGAEVTRNFSSKQNTVTFGTQHALDPSTTVKARYNSNGMASALIQHEWRPKSFFTLTTEVDTKAFEKSSKVGLSLVLKP >Dexi4A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:4A:2405481:2405834:1 gene:Dexi4A01G0003310 transcript:Dexi4A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGHGLLPKLAFAALTCSSALALYTSRGGDDHRSAAFVAGAYVAIALLFYYLRRFERGEGDRGRTKAAVWLLTTLLTAMFAARVAPLMAPPVALAVWIMAAGTVGAGFWALFLQP >Dexi9B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:9B:2027462:2028556:-1 gene:Dexi9B01G0003550 transcript:Dexi9B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSASPSPAISASTWRMGSLRAALPTLRPSPAGRLRSSFAPAAAATAASVGCLGSFSGLAPVSNLLSLGAENSSFEQRLFSVDARGRIVAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHVVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >Dexi5A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:5A:9251380:9251601:1 gene:Dexi5A01G0012340 transcript:Dexi5A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCVHDSTRQLTVLTGDGERALAGSTPCPVARGEDELESGDAAGAPLPTVRRPRCDELPVAKSSRVEIRMG >DexiUA01G0027290.1:cds pep primary_assembly:Fonio_CM05836:UA:58417070:58417750:-1 gene:DexiUA01G0027290 transcript:DexiUA01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPHHEFFVLLFRVPIDDVLQEHAIRRHNGPLAHPEPLLRRVPHPQAQGEPRRPECLLQRLLLPLAGDSDVSEDHLGDVAVVDVSEAPRAPIHLHAHVPPRLTLGRALRTPARARRVPEAAPAARTGRDFFLPMPDSGGVPNHLGGDLDAGVELGAGVRVFEKISRCLSDWRWEQTVETSHEWQRPGDEAALGRLVVARSRSWCFRKKKAGELMEICRFLKLLA >Dexi1B01G0022090.1:cds pep primary_assembly:Fonio_CM05836:1B:27822489:27824212:-1 gene:Dexi1B01G0022090 transcript:Dexi1B01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAHQQGGLEWRVTVPEGASVTVEHEAGLAARAWAWLLACVAAAWGRVDAFARKVWRIGADDPRKVVHGLKVGLSLALVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEAVATASAGVLALGVHWVASKSGEFEPYILTGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDQLATLAQQRVSTIAIGIFMCLAVSVLICPVWAGQELHLLTTRNMDKLASSLEACVEDYFAQATTATTTKSTKSDGYKCVLNSKASEDAQANLARWEPAHGRFGFRHPYGQYCKIGAAMRACAYCVEALSTCAGAEAQAPEHVKRLLRDACARVAARCARVLGEASRSVGAMESSRALDFAVAEMNTAVHELQGDMRSLPSSMLAVKMAEEASLMDTMAVFTVASLLVEVSARVEGVVDAVDELATLASFKQVDDDKDDDDDDKKGEAEMTTTMKVHPLNEPDTDEENQQAAKA >Dexi3B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:3B:3936020:3937966:-1 gene:Dexi3B01G0005770 transcript:Dexi3B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRAQEAEPMAARQAQEVGDGGGETSAGGGGWRRGVERSRRCLAARSRAQEMGDGGGETSAGDRNDGW >Dexi9B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:9B:5742719:5744513:1 gene:Dexi9B01G0009300 transcript:Dexi9B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTERRMDPALYKAATQGKVSSLKQLVDPENPSALSSTTPQLNTVLHLAALHGHAAFAGEVLDKNEELLVARNDDGDTPLHLAAKAGKLEVAELLINRSQALPQDQKSPLVMTNKAGNTALHEAVRNRRSAVAVALLDADPLRGHDLNERMESPLHMAAREGLVHVVQKIVDYTWVGQEFLPSVSLSGTALHQAVLGTHHRIVEILLEKRPELIELTDSDGNNALHYAAQKDHQRAVETLLKSRTDLAYKRNHQGHSPLHVAASYGSTEAIKALLRHCPDVAEMVDTYHGRNAFHVSVDSGKANALRCLLRRVRPAELLNRVDASGDTPLHIAAKRSRVHCALLLLKDSRVDPCVRDHDGHTARSLVEVKLHTGAMDAYEMYLWRQLKHQELKRCRKQQLPPLATYPSRRGSNDKYFERIVETYILVATLIATVTFAATFTMPGGYDQNKGIALHGRNTAFKIFVISNTVAMCSSIVVVFCFIWAWQDPIRFKVDQLLWGHRLTILACLGMLVSLMTAVYITVAPTSRWPAYVVIAIGVSTPAVVVLMLGRDVIFVPL >Dexi3A01G0026670.1:cds pep primary_assembly:Fonio_CM05836:3A:23029385:23029888:1 gene:Dexi3A01G0026670 transcript:Dexi3A01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPDAAAHRKKRWDGLCACLKRSSAASTSSSESGGESADSAASRFASAPAATTSAMAAHTRATSSGELDAAAAASCSNEPLSLSSSPEAIEVERHLAAGKPKSASATQNACGARRGEPGRARDLANSGRIAQLGNWATPAPRWMPAPEELEVRYGGGTGGER >Dexi2A01G0030720.1:cds pep primary_assembly:Fonio_CM05836:2A:41562364:41564722:1 gene:Dexi2A01G0030720 transcript:Dexi2A01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCSVGDCRQIDFLPFTCDRCDLKLSIATSEAIQKAKDGISQNSSSSSSSSELVEACVHCPARFSTVGALIEHVEKSHQANQQPSRGRVTIDVCPKCSKGFRDPVLLVEHVEREHGGTSKA >Dexi1B01G0023810.1:cds pep primary_assembly:Fonio_CM05836:1B:29293711:29294673:1 gene:Dexi1B01G0023810 transcript:Dexi1B01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSRGGTTTVTTTGGSRGNFPWLTKKQSSSKPSQEISKSSSSSEELEGVAVTAEGSSTEQSSSPSRKRADALKRLRAAFLAAITLRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKTPLAAGEKRRGLLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDVSSGVGGAAGEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >Dexi9B01G0022210.1:cds pep primary_assembly:Fonio_CM05836:9B:16860790:16861483:-1 gene:Dexi9B01G0022210 transcript:Dexi9B01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQLANIILTKHSGDKPLVEAVRKQFFAEHLFSDLHQDSPLFRWHPRSWYVDSTFVERLKETEADRKSSVNETGSCSMQTIVNFRFGDLMDDPLACVLGSSVRDTESHNPAEHTRAVLKRREPRNRKRTHHHHRHQNADNLVAAS >Dexi3A01G0036050.1:cds pep primary_assembly:Fonio_CM05836:3A:41446121:41453200:1 gene:Dexi3A01G0036050 transcript:Dexi3A01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAGVGGGAAAGEPASAGGTGRALLELTPHKLAVCHLVQVFAPPAQAGGDVVPPSPFESVAHHNRLGLFLFTLTRSCDDFREPSLEELLRQLKAVDDLTNGCLCEQLTSTLSVLISPDDLFNFFDKLRGVLTAPEGAGAEDILLDPNSQLGVFLRCCILAFNSMTFEVLQGICHLLADLVMYCNSTDTSYDLAEDEDFDTEMNNLMDADISSQADIFEKYRQGRESESHMGESSSALTRAPMILHDLDEANTFKVDEDNPTSLRSRWQLEAYLNQQADLLEKDPSSVPLNSFNATMTQLQTLAPELHRVQFLQYLNALCHDDYIASLDNLHRYFDYSAGMQGLFGRSVSAVQDVIVGKYEGALLCLGNLHCHFGHPKKALEAFSEAVRVSQMNNDDSCLAYVLGAISNLLAKIGMSNTVGIVSSPYSLGTNIGLGTPLSIQQQLLVLLKRSLKRADLLKLPSLLAFDHLSLAKFDLKNVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLSDFGTDALSTSSENGSFSTSWLRNLATASDSRRSGSMRSTKLHNDFDNFHYLGQPSPIPSPVLQLAGSSYLLRATAWEHYGSAPMVRMNALIYATCFADAASSSELSLAYVKLIQQLAVFKGYSAAFCALKLAERKFPSSASSHIQLLRMQILHERALHRGHLKVAQQICDEFGVLSSSVCGVDIELKIEASVRRARTLLAAKQFSQAAAVANSLFSTCYKYNLQVENASVLLLLAEIHKKSDNVVLGLPYALASQSFCKSFNLDLLEASATLTLAELWLALGSSHAKRALSLVYQSLPMILGHGGLELRARAHIVLAKCHLSDPKFSVTEDSEAVLDPLNQATEDLRVLEYHEMAAEAYYLKAIAYNHQCKLEEREEAAACFREHITALENPRKEEDSLAY >Dexi5A01G0037270.1:cds pep primary_assembly:Fonio_CM05836:5A:38680984:38685317:-1 gene:Dexi5A01G0037270 transcript:Dexi5A01G0037270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENKGDGEAGVKIDIWEERKIFDAQGPSLKDDFFRRLKDIRSKLKNPAGELLEKVVSSYKHVLSAPMDEDTVMRKCQAALMNFDELNKVYGNNSFLGKDLHSKDIIMHSELKVEQVRSQLQAAQSRYKKAGELCRELGIDMERHQPSNQGLKNSSLSETPATIALDSANMKALQKGQPGAVLYSQEGYGIEHGAIAANVLTKVAAVAGSDKIRDGVLPSRANGGNTVLKIDEHSSGNKRQKLEDDTRISQPQSESLPPPPPPFPHPDAFQPPPPPPPPEF >Dexi1B01G0026640.1:cds pep primary_assembly:Fonio_CM05836:1B:31482893:31485902:1 gene:Dexi1B01G0026640 transcript:Dexi1B01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPLRRRRRRRSPNPSGGAGASFPTTPSKQAAPASLSARAGRAPPKFSSRVGALAWREEEEGSRSTMGYLPSLGTKAAHFVSDLTTVILNPVSERETSHLPVSHRIPAPVVGDKKGTVFGGLVEAPLQPSSSKKYQGTNNCFVFTNLHDRPVIYRPTGANNYFTVCSTEYLALGGGGHFALYLDADLLTGSSSNSETFNNECLSHSPEFSVKDVELWGFVYPSKYEEMLTLCRTEKPGICRW >Dexi5B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:5B:10735714:10739184:1 gene:Dexi5B01G0014340 transcript:Dexi5B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARAHLPSTTAIYPRVPSSLHRRSLPHRPLLCPRPLPAVTTPISPPAAAMDPVATWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDPASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEYGKLLKDFNKGLVNNKDIENLKAEVEKFAGSFEMPGFTLESMKYKE >Dexi2B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:2B:2502031:2502867:1 gene:Dexi2B01G0002900 transcript:Dexi2B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGEVTCVDFWANGFGMRARIALRELGVAFRYVEEDLRSGDRSELVRRMNPVHRSIPILIHGGRPVCGSVNILEYVDETWGNDGGARLLPRDPLQRAHARFWADFVDQKVFSTQTRFLKSKGAAEKEAAKEELLDQLRRLEEVLGDKTFFAGDEFGFLDAVLIPFSSMFHGYEQHGGFSLENECPSLMRWVRRCKERDSVKSVLPDEDEMYELHKKWYGITE >Dexi7A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:7A:24594542:24596485:-1 gene:Dexi7A01G0014620 transcript:Dexi7A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVHTRRERQRSGWCNGESSAMTGRNLVRLASRAVSPAIPSSGAGRSLTRAGGRTLRATSPPPPPSIRGSTASWESRSLCREGDEDWEEVVAAGGEATEEQQGVLFGAPPTDDEVRAAVASIQQVFVDPSTVDSNATELALALPYSGHQSGIFVNHFALDSDASEVRSDEWVDPAMLVLNSSTLLTKEHRSVLDAFRLLKEDTSVQKMVMALSSDEAVWHAVMKNEVVQEFKRSFQDAKKETSTAPPGFMMWVLENTQAKIKEFLEKILGLVNMLFQAGDKDYDMSNDLVRMSFMLSVFVFIVVTIARIR >Dexi4A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:4A:6251002:6252351:-1 gene:Dexi4A01G0008300 transcript:Dexi4A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHRHHAALPPLAAAALVLLFPLLLLVPASAQPPPSRDKDNGAGDDDGGGGGGYMQNQSQGFSAPMIVLLVALIVAFFFIGFFSVYMRRCGRGVSSSGGPTTIPAAALLALSRQEQREQEQQQRGLDPAVVASFPTMRYAEAKELRVGGKDAALECAVCLSEFDDDEELRFLPRCSHAFHPDCIGEWLAGHVTCPVCRCNLNPEQQQLAAAVAMNSGEVVAAREEGQQQQQDQVAIDLGRGGDEEEEMRRREEAMELERIGSQRRAVRLRSRSVRQPPAPLLVPRSHSTGHSLATRLDGDLERFTLRLPEHVRREMVAAGEESLRRTAPAAGRDHQQEEGRARLGRSDRWPSFITRTFSSRVPFWSASSRAPDAEAAAGATTEACTATARAAKREKTATDGGSVTPATKGSVRFDCLGGAAAAVSGARVGDGETEDEDEEKPIARQA >Dexi2B01G0030880.1:cds pep primary_assembly:Fonio_CM05836:2B:39120131:39129811:1 gene:Dexi2B01G0030880 transcript:Dexi2B01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGSARSSAPSANASHAMRCPSRVTLALSPPSPLGLVRAQGLTLSPPTAGELGQASTPPASGKSMRPAIASALKRETHGERAKDPPIATAAVVDRQSEACVACNPAVCMQGVGPSDGDRRVHDHGPSRLPRLPDASSSAKVEGPLLEATQFMASNRSGTRAIAGSWPGLAPCVADLSPMAWSPRRDSNPAIQSYQEREATGRDSDASRPGHGIRRLAPPPENSTKPRRCSPGKSVHPTIASALKRETHGADRRRWLPQHATGRRTRRCARRLPLVERLISIGMERTTATAAVARGSCLKIASPPTTQHCHPPDAIFGTVILSRLADDLCLLLRGIQHRPRASAIHPLPESSPAELRISSTTIQHPHARCSTDLPFCHPPPPPCCAPGVVLLEPTESLSRRPKLPPLVGPTCHTNRRTVGVSTSFRPHTLVVVSLHKKPPSISAPKKLLLSSTSSSHAVIITPKIPPPTPQFPSQSSALGAAVVPPRYCLGSDRRGGSGDGVLPSWESGGMAPTRGAGGGVRLPPMNALEILRETVRVLRGDPHAFTSILFLLLCPASGCLLLSAAALDGAVVLPLARRILVAAAASGLPLTHFVRQLAHHLAATLVSALVSFPALLTLLLAARAAVAYSVAAVYAGKPLAAGDITTLARRAWPRLAATYVLGCAAVATGLVAFFGLLVTVCSTLKAMLYPPDIVFCAGLFTVLAYSVVYAHTIIICNLGGVIAVLEEVAGFNALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSAVFYFTCRSSSLDFLDEEGASIEELEMMSADQANPNCYLGMNRQRLRKMQLYLDGRRCAPRAAMVLN >Dexi8A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:8A:24142682:24146322:-1 gene:Dexi8A01G0013840 transcript:Dexi8A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAPLTAAAALRLGSRGLRHRHFLLLASLRPYSSAPPGPASAVPAAARRLPTPPPAPRRLSRTLAASAATAVSETQKDLVSGPTTSSKGRIYHETYGCQMNINDMEIVLSIMKKEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKREWKANVAEGRSKSLRPPKVAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGHKGMNTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSMCKVKNMGLRFADLLDRLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRYNICNLIHLPAQTGSTTVLERMRRGYTREAYLELVHKIRNVIPDVGLSSDFITGFCGETEDEHADTLSLVRAVGYDMAYMFAYSMREKTHAHRNYEDDVPEDVKQRRLTELINAFRETTKKNYDSQIGTVQLVLVEGPNKRAPKTEVIGKTDRGHKVSFACVPIPHTFEGDEARKPVMGDFVEVKILRSSTATLFGEPIARTSLSVFYKNAASEAQAVAA >Dexi1B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:1B:7009896:7014273:-1 gene:Dexi1B01G0008380 transcript:Dexi1B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPVQDVVATGGIDTNAVFFDRPSGQIVCTLSGHSEKITTLKFVNEDGLFITGSADKTVRIWHGSEYGNYSCRHIIKDHSAESNVANLKGHVGPVSAMSFSENGYLLATAARDGVQLWDIRKLANLWTISPYDSGTATNTVEFDSSGSYLGIGGSDARIYKADKAEWNIIKTLPDLSGTGKVTSLKVGADTKDIAVGSMDCNLRIFGLPEDEQMQV >Dexi9A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:9A:6516179:6522536:1 gene:Dexi9A01G0010650 transcript:Dexi9A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSRRRRSGQQVKGWTPQKSKVVQGARRAQAEAAEPQPAAQQRNIPPCLRPRAKRTAEQGRADAQMVPFLRAPVAAPVSSSCSCRGAAGTAGVVVAHSPSPLSAATAMVVSILACQVVFSEPSRGGWRRGRRAGVPTRWVTPPASFLLSPSSRLPSLLLLRFRFASSLSSLAPAASASNAAAGSAARALCARRRNCGHGELGSASASSPIPSTPVTRSGHGGRRRRRRHREEEALEVMMRARGLWALRLLLVALVAAAAAPGAVLAQGNLTSRSDLRGLYALRGSLGLRARDWPRHADPCTAWAGVGCRGGRVVSLTLVGLRRTRLGRLNPRFEVDGLRNLTQLEAFNAAGFGLSGSIPAWLGDGLAPTFQSLDISACNVSGEIPASAFAGLARLRTLNLSGNVFSGALPDALWSLPGLSVLDVSRANLTGALPTTGLALPANAQVVDLSGNLFYGNVPDAFRRLFARVLLANISGNYYDGKLSVSDGGGGGNVSSELNCFLDVPGQRTQADCQQFYARLGLPYDGPVNPPAPQPAPAPARKKKHKNLKYILIGAIGGGFLLVAAIAAIVFCFVCSGRRRRIDQRESGASPSAPSGVSATGVVPATGGGGTQSPALPANTAKVGHSFAYDQLANATSDFGEERLIKHGHSGDLYHGVLQDGTAVVVKRITARVARKDAYLAELDLFAKGLHERLVPFLGHCLDEEEEKVLVYRFVRNGDLSSALHRKSREEDEGMQSLDWIKRLKIATGVAEALCYLHHECTPPMVHRTADQGSSGSPSATCSYDVYCFGKVLLELVTGRLGISASNDAATSEWLDTTLRYVNIYEKELMSKIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAALFGSWRQSSDIGPSRDDNLLKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >Dexi3A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:3A:802410:805004:1 gene:Dexi3A01G0001080 transcript:Dexi3A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTGFSLLQWLSLLLPALLCVINSYLYTILWLKPERLRQKLRIQGVKGPKPSFLFGNIPEMRRIQQQLAKSKQEQEAESNDFSSNYLATLFPYFLHWNRVYGSIYLYSTGSIQALFMIDPDMVKELASCKSLDLGKPRYLQKELGALLGMGILTSNGDLWAHQRKVIAPEFFMEKIKGMVNLMIEAANRMLISWEHEVEREGGSAEMVVDEFLRSFSADVISRASFGRNFSEGEEIFNKIRQLQKAMAKQNMLIGIPGSRYLPTKTNREILSLDTSIHSLILNVAKRHHQHDSVTCVNNNLLYSIVEGAKAGPFSSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHPKWQSCARAEVLDVCQGNALNADMLRKLKTVTMVIQETLRLYPPAAFVTREALNDIKLGSLNIPKGTNIRIPVALAHRDPAIWGPNSDRFDPARFANGIAGACKPPHMYMPFGVGTRTCAGQNLAMVELKVVLSLVLSRFEFALSPKYVHCPAFRLTIEPGNGVPLILKKLS >Dexi4A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:4A:23123558:23123904:1 gene:Dexi4A01G0019310 transcript:Dexi4A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEVIFAAILPPLGVFFRYGCCSSEFFISLVLTILGYVPGIVYSLYVILRTPPEPPGIDGERPYDMLA >Dexi5B01G0024360.1:cds pep primary_assembly:Fonio_CM05836:5B:26413699:26414762:-1 gene:Dexi5B01G0024360 transcript:Dexi5B01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLLGEASGLRVFPLRGLMKGGKEKEGKKEGAGFAGRKSLQKKNGMVNGLVVPVRRGSHGERGEADAVSSSHNFEGKTEFRKLLPFLFDISK >Dexi4B01G0020950.1:cds pep primary_assembly:Fonio_CM05836:4B:23007237:23008384:1 gene:Dexi4B01G0020950 transcript:Dexi4B01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGAKLLVVHPSSNKSPGGGAGSPGAVLGARRRVCAAVFLACFACVSLATTLLFAARDPGAASGGASGRASFAVSSSAGGSGSGAAAGGDGLPGYVFDALVQYSSAGGNSTASMPGADVRAIAAVLKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVAYTTTVREFPDLLDAARAASAAECRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPRGYTASSPGRMSAIFTAGVLARTRAGEGVTTDVLVHDYEREVERACSREFLCEENRIAETSTRSLAHFVVRGGSAVRRDAFCSGAAAAH >Dexi5B01G0031000.1:cds pep primary_assembly:Fonio_CM05836:5B:31834316:31835041:1 gene:Dexi5B01G0031000 transcript:Dexi5B01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQHFLLDQHGFKTYNPEQADCHYYCIKAICSIFTSSANTALNTRQGPSLYGQLHCSEDRVSTKLKIINSNVSLSVVHTHSSAYHTFISSICWSLTFFPQIRGHELQFVL >Dexi4B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:4B:21910166:21911230:1 gene:Dexi4B01G0019730 transcript:Dexi4B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGCVDLTGTVSSVHLLKINGYHFTATMTQAEYIKALWDFDGHAWEVRCYPQHPDSAGFSWVALKLILLGEAQAHPPRKVRATLSCRLVDPTGKIGASEEKSKTSAFSRPQDCSSPILLVRRDDTKLSAYLNNDSVTIQCTITMFKELDVVIPAPVKEQEGPLPIVPPSDLHQHLGELFLSQNGADVTFVVGGESFPAHKSILAARSPIFEVVFFGSIKEGNSWRVEDMEADVFRAVLRFIYTDDVPAAELDESSMAEHLLAAADRYGLDRLKVICERKLYAGINVDTVAATLALAKQHDCSVLKARCVEFIVGSAERFGAVMATEGYKHLAARYPLVLVELVKAAARGRKN >Dexi1B01G0029560.1:cds pep primary_assembly:Fonio_CM05836:1B:33821011:33823496:-1 gene:Dexi1B01G0029560 transcript:Dexi1B01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLRPTRWPPAASAQRGFLAAPAAAPEWGLRRCHVATRPSPRRAPSMAPAVASSLGVGAGQLRDGAEREWLWDCRGGGATRDYAREMEVAVRAVQVACTLCQRVQDSLLRPVAGAGGRVHSKLDRSPVTVADWGVQAVVSWLLSNSFHDENLSIVAEEDDETLSSSDGAALLASVVEAVNGCLAEAPKYGLRSPEKELRAHDVIQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVILGVLGCPNYPMKKEWLNYHQKYYRLMSKVAPPPLGSWHKGCVMYAQKGCGQAWMQPLVHDFGKLDWRHPREIQVSSISDPISATFCEPVEKANSSHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGMVTDAGGRQLDFSRGVYLEGLDRGIIACSGALLHQRILDAVDASWNSSTL >Dexi4A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:4A:21902528:21906000:1 gene:Dexi4A01G0017990 transcript:Dexi4A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGRAVFFLVLSLVLLGDLCCCSCSQVYVVYMGKGLQGDSDRQHDDIIRLHNQMLTVVHDGSLEKARASHVYTYSSGFQGFAAKLNKEQAMKLAEMPGVVSVFPNTKRRLHTTHSWDFMGLSTNAAGEVPGLSTKNQENVIVGFIDTGKEIGDKILSFIKSTRHASSMILPAKTVLGLRGGPRIAAFSSRGPSSLTPEILKPDVAAPGLNILAAWSPAKNNMHFNILSGTSMACPHVTGIAALVKSLYPSWSPSAIKSAIMTTATVLDKRRRTIATDPDGKAATPFDFGSGFMDPIKALNPGIIFDAQPEDYRSFLCGTIHDDHSLHLITGDNSTCTHRTYSCASALNYPSITIPYLKKSYSVTRTMTNVGNPRCTYHAVVSAPRGINVTVTPEILNFENYGVKKTFTVSFHVDVPPRGYAFGSLSWHGNGRNARLTMPLVVKV >Dexi9A01G0029830.1:cds pep primary_assembly:Fonio_CM05836:9A:34711453:34712636:-1 gene:Dexi9A01G0029830 transcript:Dexi9A01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGDLPVQQAAALILACTLALLMLQLHPCRAQQPPSPGYYPSAKLRSLAFSEGYQTLWGSQHQTLSPDGKSLTLWMDRSSGSGFKSSRAYRNGYFGASVRVQPGYTAGVNTAFYLSNTEEYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGTIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPIRRYERKTEATFPDREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFTDLKIGGCATDASGGCQPVAASPSGSALSQQQVAAMRWAQQNSMVYYYCQDSSRDRTLYPEC >Dexi7A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:7A:19639271:19646409:1 gene:Dexi7A01G0008360 transcript:Dexi7A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSGLRSLEGFRSLVGSTSTAMKAANPKPSSDVGGSSYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQNEDSKLWQGLDSKISSTKTLCDQLTETLQQLASQTEQAEEDKKYFEEMLRKNSKALDEFNNLLHDLSTKLESAQQKIISGKQEMLQIKQEKQEMDRSYKEKLCSNDATIKEKDSLIKQLEGSVDENKSRLICLDSRLQCMEQELKLKDDVCISLKENLASSESEKNILELRNQGCILEIEKLCKDNKDLNELLGSFMAKVTELDKEHASMSGHMSRLLRSFERFYEMTQEEKMLVARSSKDKFEHLQQQYVDLSSENNALKIEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNINRLEKLASELQGRIQKLLEDSTLAENHKQELLEKISKLESDNQELQGQVQSIIEEKSNNAESLQGEITKRDQQVDTLENQINQLRCVLNEKEQLYCCSLEREKILEDQKLQVEASLTATECQLSEAKKQYDLMLEGKQMELSKHLKDLSLKNDQAINEIRKKYELEKVEITNAEKEKAEKLVRDMESRCNDKISENKQDSERHLMRLKEEHGSMVARIQQDNELKESTLRAYHKEELQRIQSQAENELRERLSLLRKEHELQIQSLRMQHEEECQKMQEELELQKSKVEEKQRALLQLQWKVMGENQQVDQEVNSKKVVSTHEEYSVSSMKRRDPYGRNEHELRLDVNLSGILQSPISNMLRKVEKGSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMFGEPNTQKSLHNTAEKDVTKIRKVPTGSHAHPANIGELFSEGSLNPYADDPYAFD >Dexi2B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:2B:2406339:2408748:-1 gene:Dexi2B01G0002830 transcript:Dexi2B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDATGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKAEFSCPSWFSTSAKKLIKKILDPNASTRITIAELINNEWFKKGYQPPRFETADVSLDDVNSIFNESGDPAQLVVERREERPTPSVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHNFYHNISNGLKDVMWKPDGSIVKSN >Dexi9B01G0035070.1:cds pep primary_assembly:Fonio_CM05836:9B:36832162:36832701:-1 gene:Dexi9B01G0035070 transcript:Dexi9B01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSSSSLLDGNLRFDLYAALAALGLTAFLAVCFWRLYKLTVSARPQDMLPISAAVAPTTTSSSCAGADGKAALRDKDVAALPVFVVHVAGGEDDAAVVECAVCLSEMKDGERGRLLPGCGHRFHVECIDRWFRAHSTCPVCRAAAVVGEPDAVMVAVVVRS >Dexi9B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:9B:11651467:11654516:1 gene:Dexi9B01G0016920 transcript:Dexi9B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRYENPFEEGGADEVNPFADQAKRGAPAAQSSYSGGAFYTTQSRPAPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKDMKTREKELLAKEAELNRREKILYFVGFGLFCLESLLSMWVIQRVYRYFRGSGKEAEMKREAARSAARAAF >Dexi1A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:1A:853646:855907:-1 gene:Dexi1A01G0001360 transcript:Dexi1A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRAAASSPEATAMRRLHSLLLPFLVTVNVLFLLSRFARRVSPPPEPPLPCGAAPSDATAGRWVPTPRPVPPPLYGASCPFHRNSYNCLRNGRPPRRRSRGPPRAAAAPSIDAAGFLAAARGRRVGFVGDSLSENLAVALLCALRSADPGARRWKRRGAWRGGYFPREDVTVAFHRAVLLAKYTWQPVENSGELQKDGRKGIYRVDVDIPADEWINVTNFYDVLTVNTGHWWGTYKFPKETPLVFYRGGEPIEPPLSIPDGLKVVIKSMASYIDREMPSKALKLWRTQSPRHFYGGEWDHNGSCVTNKLVEEDELDSWFDPRFGGVNRDARTVNSAIQEALAGSDIRLVNLTYMSEFRADAHPAIWLGKKDAVAVYGQDCMHWCVPGVPDTWVDILAAQILHYFKQGKS >Dexi9A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:9A:1928305:1929204:-1 gene:Dexi9A01G0003610 transcript:Dexi9A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEDDQLGGPLPSSESMSPQDYPRDDNRHQPSKPVQLVAWPGDKHNFFSGLDEARFLCAEQPPMRKVSELAPSTDKPKPNERNQLLEQIRNKTFNLKPVASANPTTMRSPARADTRNLKVAAIIEKANAIRQAVGSDDEDADSWSDA >Dexi3A01G0023810.1:cds pep primary_assembly:Fonio_CM05836:3A:19448698:19450109:-1 gene:Dexi3A01G0023810 transcript:Dexi3A01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCYLPATCSTFCLKGAERRGPPRWSLHRSPASATGLMGFGSCPRRGRLVLAGCARGEASDSKAVQLVLGGRARDDTDSESSGDEGGDDGEVPMTDEERRTLRRKIREMMDRVPETAEITDPEERRAKMRELLTKYNLVVEEEDPNWPEDAEDGLGFSLGQFFDKITIKAEKKDDTEEDDTGYQSDKEIVWEDDNYIKPIRDVKTQDWDASVFTDFGPMVVLVHNRYKRPQENEMARTELTKAIEMFWEHNLPSPRCVAVDACAEPDLVDALKVSGFPEILFTNAGKIIHREKVVRSAEAWSRMMAFFYYKAARPPFLCEADGKGQERVPLMS >Dexi5B01G0037020.1:cds pep primary_assembly:Fonio_CM05836:5B:36522532:36524353:1 gene:Dexi5B01G0037020 transcript:Dexi5B01G0037020.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGARSSAAGGAPKASGLPTPCAPGASGLPSPRAPPTSGFLEVGGHAAGQWCPSPSSSEWIYPQGGFTNILQSPRVPFVNYPNGSQMQENFHFVGGPMNYSSTPSPNGSPDAGVAQVTEAVDVEDDDTIQPANSNARSNASATSIDPTDARSDRRLNWSNEEDIRLDAPKKRPIGRDKAKEERNGKGKGPEAIVAIGEKLDKFMEATTKAGKIAEVQQNLADKNLEVAKEQTKSKMLDLYRELLCAPTSELSEEAKAERSKALERMASVIFPKDN >Dexi4B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:4B:5881528:5885658:1 gene:Dexi4B01G0008140 transcript:Dexi4B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGGSGGGPAVAREGSIYSLTFDEFQNALGGASKDFGSMNMDELLRNIWTAEESNAMAAAAAPTTGAASVDADAQQTGGAGIQRQGSFTLPRTLSQKTVDEVWREIVGLTGGEDVPPVPPPAPAPAPAAVAAPAAMPAQAQAQRQPTLGSMTLEEFLVRAGVVREEMGQQHIVLPPQAQALFSQANAVAPQTLQLGNGLVTGIVGQGLGGAMTVAAPTTPIVLNGMGKVEAGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEHNDELQKKQVEMLKKQKDEQWKKVLFRQRLLRSQKFLCEWFSQVLERINKQLGPKAKKLCLRRTLTGPW >DexiUA01G0012760.1:cds pep primary_assembly:Fonio_CM05836:UA:26469468:26472197:1 gene:DexiUA01G0012760 transcript:DexiUA01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRLSAATSASPSPLLRRRLSAQTHPTDSPPPLRPPPEATESGPGAWARRAAALSLLGLTGAVAASAVSDLSVFVSCSSQAIEKATKNQQIVNAIGKPIVRGPWYSASIAVNHAKHSVSCTFPVSAPQGNGLLKFKAVRLGDESWYSFLQPSDWEILIMDAILDIQTEDGKHRTMRVTIPDNTRAPRPADCTACKSHTTTPPPPPPPAEK >Dexi8B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:8B:25606598:25610493:-1 gene:Dexi8B01G0014860 transcript:Dexi8B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIMSGAGNMALGEPQADYGSVAAVGLFVALMCVCIVVGHLLEENRWMNESITALFIGLGTGAVILFASSGQHSRVLVFSEDLFFIYLLPPIIFNAGLQVKKKQFFRNFITITLFGAVGTLISFTVISLGALGLISRLNIGELELADYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGNINAARLLQFIGSFLYLFGTSTILGVAAGLLSAYIIKKLYFGRKFQDHNQVIVWWAGLMRGAVSIALAYNKFTRSGHTQQPSNAIMITSTIIVVLFSTIVFGLLTKPLIRLLIPARHLSREPSALSEPSSPKSFLEHLIANSPDHPDPENGISLRRPTSLRLLLASPTRSVHHYWRKFDDGFMRPVFGGRGFVPFVPGSPTESSVPLLPGNEN >Dexi9B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:9B:12827479:12827919:1 gene:Dexi9B01G0018070 transcript:Dexi9B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPGWSCHGGLTSELQAGAKRGLAPELPLAPRGLAPELKEGAKRGTARGGRGRRRRPAAGGAADRPAAGGGEAARAGIGDSGSGGGLVGVGLEGGCGGCGREDEGRLRLGGLP >Dexi1A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:1A:27461577:27462826:-1 gene:Dexi1A01G0020610 transcript:Dexi1A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFGWGREEGGWRKGPWTAQEDKLLVEYVRQHGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSRQLLQQGQDQLHQQQQQRHADHDGDDEAVGEASTPAISLLAQQHEEELQLLQQQDMDDLLFQFCPMAASCTSSSCLLPGVASASASEEGTSGDHHQLDGGDTWGWGSLWNLDGVVDDGGWDDTSFSLLQDQALAFY >Dexi4B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:4B:15266185:15269895:1 gene:Dexi4B01G0014360 transcript:Dexi4B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMTTCLGGHGSWCRFARALRQLHHLAVAAAVLLPCVHGAATAGEDQKFPAIFMFGDSIVDPGNNNHRFTEAKANFPPYGQDFPGGVATGRFSNGLVPGDLLASKLGIKKLLPPFLSDDLELKDLLTGVAFACGGSGYDPLTSKLATTLSSTDQLQLFSDYKEKLIALVGEEEMTRVISQGVFFTVMGANDIINDYFSIPLRRHEYDLPSYVEFLVSSAINFTKTLNDMGAKRIGFVGVPPLGCCPSQITLGGSPSRQCEPLRNQASRLFNSRISEEIRLLNAERSASGSKIAYFDIYYNLLDLIQNPALYGKS >Dexi9B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:9B:5209742:5213174:-1 gene:Dexi9B01G0008520 transcript:Dexi9B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPAPTVADQATNLLQKLSLESKKDGSNAAKKPSGLPYGSANAGDAQSAASPVDRSITPLLQEAMDPNFFYQPNGYPSPAYYYPSGYDGSANEWDSRYSSHEGMEMPPSVYGDMYHGYSYAPYGPYPSGSPVPGPAVGHDGQSYGSQQYQYPTQYYQASTPTNATHGVNGPSSQPEMPSVASHQTRILVDATKSSANGNANGMPTGNNSSLPRKQTNLNVSVANNGSYGRGPMQGGGPSASNYGHSGLRSPVQWYDGPIYSNGHQRPTSSSTSYRSNSSSARNQGQRPTTNLMGMHTQMPSSGMCLTSPSYPSRMYPDSRLFGQYGQYGNTLKGGLGFGSNVYNSRNNGRWGVVDTKYKPRGRAPFGFGGENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQAIPSAGKQNIALPDKSKFNQEGFPVSYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVSKTSILDDFVFYENRQKLMQEKRAKQQSLQGQASTDTVVTIGVDPSQEKDKDVTDGKPGAQKHALSKEGTLAEEAVNASKSVPESGVSNGN >Dexi6A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:6A:2043107:2044552:1 gene:Dexi6A01G0002170 transcript:Dexi6A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATTSSLEPKTAEAHAPDTPPPPTQEEALVDIEEIYYSSSASKSLEYDFSRIQKARIHRFPHHLMGIGGDDKRYVVPSVVAIGPYHRGLPHLQEMEEIKKAVTNKVCGSFCLSLVDVHKRILTLASDARRCYDVSAVADLSDGEFTAMMVVDACFLLWFMAGKIDDPLKVGCILSFGPRIANDMLLLDNQIPWLVLDILITEFMGLRREDVLAFIQGLADAGFRSAPNPKTATIVFRNGRIDENCDFAADILARTAAQHYETPHHLLDHLWAVLRCNLMPPHNKGENELMRPRKSRPISAVELMHAGFKLTASTAGWFAYMKCQKKKLYFTGELSLSPLILGETMACRLVNLAALESVQATNSVDWDVDGYIVSSYLFMLAMLIIGEEDVHELRKSGILISNFSDAQTLAFFKGIRQHLRAGYLFLNTVDEIHECLRKRKVSIAIRKFVYNNYKTFVTVLSIAGVLIGVFKALYSLKKP >Dexi5B01G0034410.1:cds pep primary_assembly:Fonio_CM05836:5B:34657949:34658696:-1 gene:Dexi5B01G0034410 transcript:Dexi5B01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSFSRSTSARLGARGGVGSPRVSTPGAAHRNWWAAAPPSGPSFERIAFAFFLASLALVLCCALYLYVFSHPGRDGVVAEFAGENLESCDVFDGIWVPDERYPLYNSSRCPFAERGFNCLANGRKDADYLKWRWKPRGCDLPRFSARAVLQWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPKTVYEVNGNQISKTIRFLGVRFESFNLSVEFFRRFLRFDMHAEESERYSSSINWMI >Dexi2A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:2A:13411078:13412030:1 gene:Dexi2A01G0011500 transcript:Dexi2A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVGFHVIPASVYGLCAERQIMSEVVMMEEVQNCVVKLRTNPRRHRDKVYVGCGAGFGGDRPMAALKLLQRVKELNYLVLECLAERTLADRYRIMVSGGKGYDPRVKEWMSVLLPLAFERKVCIITNMGAMDPLGAQKEVLNQASILGLEITVAVAYESSFKTQGAKSLCCLKVMISLSTI >Dexi9B01G0022460.1:cds pep primary_assembly:Fonio_CM05836:9B:17129806:17130841:-1 gene:Dexi9B01G0022460 transcript:Dexi9B01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSAVLPLVLALIACAAGGGGASVCDTANCGKGSCTEVPLLPPNFECHCDPGWSHALNLFAFSPCIIPNCSFDGACLNITLEPPTSLPPKDVCAVVSCGEGGSCRAGIGANLFSYTCECRPGYANMLNLTALPCVKDCFFGSDCYALGLGTAPPSPAPSGDHGSQEPTTPPSATNGNGTTTNSLGSALNYFLVFRLLVGSQRTSH >Dexi3A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:3A:8195867:8196112:1 gene:Dexi3A01G0011450 transcript:Dexi3A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDGFSEAESTTIQQGRELLGGIPAHDNGVREDPWGTLQRSRGRGFRLGQGLPRADLLQTHQDAQLRKGSAIAAHRNLV >Dexi7A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:7A:24969818:24971073:-1 gene:Dexi7A01G0015140 transcript:Dexi7A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKGSQMESVAVVAVPFPAQGHLNQLLHLSLRLASRGLPVHYAAPAAQAHQARARVQGWGEAALGSVVFHELTYLVLAATPAHAAHATAAVMSGEAMAPARDRDIS >Dexi9B01G0048290.1:cds pep primary_assembly:Fonio_CM05836:9B:47278007:47281212:1 gene:Dexi9B01G0048290 transcript:Dexi9B01G0048290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAADAVAAALGAWWDDVNNSHLPRPRRALRRRCTRSPIRSTVFVLRWNVQLVHPEIFQHVLIDLPGLAFFTTYALRVLFWAEIYYQARAISTNGLRPAFYTINGVVYVIQRFPVESKGRRKKLNEVGYVTTICFGCFMIRCIMMCLSAFDKEADLDVLNHPILNFFYYLV >Dexi3B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:3B:213543:214051:1 gene:Dexi3B01G0000250 transcript:Dexi3B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKELDSEAT >Dexi7A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:7A:26445692:26447424:-1 gene:Dexi7A01G0016580 transcript:Dexi7A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIAKPLLSDLVAQTGQVPLSHVRPVGDRPDLVNVDNKSGAGIPLIDLAKLDGPERHEVVEAIGRACESDGFFMVQINLFPVMTDDRSKQAPAASLADIVTNHGIPAWVVEGMLRVAREFFHLPESERLKCYSDDPKKAIRLSTSFNVRTEKVNNWRDFLRLHCYPLESFVDQWPSNPPSFRQVVGTYATEARALALRLLEAISESLGLERSHMVKAMGKQAQHMALNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPNALVINIGDQLQVYNTICSSSSHLFMQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPADALVDESHPLAYRPFTYQEYYEAFWNMGLQSASCLDRERRWPRRAENMQNVVLRPGIYHV >Dexi5A01G0034480.1:cds pep primary_assembly:Fonio_CM05836:5A:36512589:36513064:-1 gene:Dexi5A01G0034480 transcript:Dexi5A01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCEAANATNATSASEVWNMSDNRSLYLSGSLGPFLRRSPEKVRMPEGVATPYRRRPEGERKLSVSVKKTQEAAQRATKAPVRMRFRRRGEDGEGEDGGGREENVEARRAAEDEVEEHDEARAVVLLVIVFVLAWWIVGGGVLAGLLD >Dexi5A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:5A:4087221:4090451:1 gene:Dexi5A01G0005500 transcript:Dexi5A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKATTDDLPTSLAAASFPLLVYDHGEQPDNRHTMLSITDGSSRVYRVSELTNCSVNGGGDAWISQPYDIGFYPFVPDSDSHLTKMAISNIAAVDGKFYFMETRHEVGVLSLARDDPEPPHLEISSFGAVSPTFTGEALRSTTMTYLLESSGDLFLVCLFFIGCDFEHVEEVCAYKMDFSERQWCKVTDIGDRVFLLGAHSFAASCSAAKHGLKRGCVYFAFDFFGDSNDFHIFDLLNGTRELTGPAQDVPLHASEPFWMVPVLP >Dexi1A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:1A:19554830:19555438:-1 gene:Dexi1A01G0013890 transcript:Dexi1A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGDFCLKDHGVWDVLSNDEVVGIVSRAKSRASAARSLVESAHRTWRTRFPTSKIDDCAVVCLFLNTDEASESSSSVSNNLANAVEGNSDQQSTLQLSTGVSADLVTALGLVSDGNEVSVIETVAKPIALADPQKDG >Dexi3A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:3A:243630:247886:1 gene:Dexi3A01G0000230 transcript:Dexi3A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPAAVAALDAAVASARRRAHAQTTSLHLISSLLAPTAAPLLRDALARARSAAYSPRLQLKALELCFAVSLDRLPSSSSSSSQSQDGNENLEPPVANSLMAAIKRSQANQRRNPDTFHFYHQPSATSPNAVKVDLSHLVLAILDDPLVSRVFADAGFRSCDIKLAILRPAPPMPLLGRLPARARPPPLFLCSFAAADDAQVPSPAAALAGAVPGEDNRRRIAEILSRGRNPMLVGGGAASAAADFANTSPYRIIPVGPTPINQTDLAPNNSDSGIILSIGDLKDLVADDDADLQERGRRVVSEVTRLLEMHRAGQTLWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITALRDAAPAAAGVMPPATTATALSKPATTSMVESFVPFGGFMCDTNEANIVTANSCPLALRCQQCNDRYEQEVATIIRGSGISAEAHQEGLPSLLQNGSMMVPSTGFDAIKVRGDQMVLNAKILNLQKKWNEYCLRLHQGCQRINRDHHQLFPHYIGVPADRERAPNPSQGSEAVVLQREVIKPSAVSASHPTTTAKSVSSPSISNQRNADLALNLQVRQSKSDEPLHNKVVQSLHSTPSNCDNCDDHAPPSSAAPVATDLVLGTPRGSSSNDSRNSLCEHVEDAEGSIQLTPKKVDDLNLKPPQSFVQPYSCSRSSLNGGQKSTSALHSAASGGMSAFGQWQRPSPLAGQNFDLSNYKVLMERLFKVVGRQEEALSAICASIVQCRSMERRRGANKKNDIWFSFHGPDSIAKRRVGVALAELMHGSSDNLIYLDLSLQDWGNSNFRGKLATDCIFEELRKKQRSVLFLENIDKADCLVQESLTHAIETGGYKDLHGGRVADLNDSIVVLSTRMIRGCQDGSRGMKQGHAFSEEKVLAALGHRLKIIVEPGTTNIGGYPGSKVVVSSRHSLGDIQASLHSSSFSKRKLSISDGREKVEEASGSSKRLHRPSSVPFDLNLPVDEAETDDGDDDSSSSNHENPCGNTDGSIEKLLSSVDESIDFKPVDFGKLWGELLQEFGNTMSNVVGSGCRLEIDAGAMEQILAAAWTSDSEEKRGVRTWVEQVFGRSLEQLKVKCKNVSLRLVACEEVLLKDEGFTFGGLLLPSRIILEDDVPV >Dexi9B01G0048650.1:cds pep primary_assembly:Fonio_CM05836:9B:47559511:47561128:1 gene:Dexi9B01G0048650 transcript:Dexi9B01G0048650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAPLPPTNTPSPAPRPSRAPVVPAARHRRRQQRKPPSSPSAPDAEQPDAVARILRTEAAVSGVSRKAAAVARQQSTRLWSRAVLEALDSAVVACRWETALEIFELLRKQHWYEPRSQTYARLLMMLGKCRQPGPATALFKAMLSERLRPTVDVYTALVGAYGYSGLLEEALATIDQMKGAADCKPDEYTFSVLINCCAKSRRFDLIPAVLDEMSYLGIKCNSVIHNAIIDGYGKAAMFVEMENALSTMLESGSNVPDIYTMNSIIWAYGNHGRTDEMEKWYSEFELMGVEPDTKTFNIMIKSYGKAGMYDKMMSIFKYMKKRFFSPTAVTFNIVIECFGRAGNIEKMDYYFRLMKIQGVKPNPITYCSLVNGYSKARLLDKIPGVIRQTENTDVVLDTPFFNCVISAYAKSGDIKIMEEMLQLMKDKKCKPDKVTYATMIQAYTAHGMDEAARLLEMEAEGFDKKLLGPVSAVEGK >Dexi6A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:6A:3178450:3180018:-1 gene:Dexi6A01G0003540 transcript:Dexi6A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSPPRRPQRRAATSRPASYDESLDDAALQAYLGDATSRRVRRLRRLSAEERQRETETEALIALSLGFPIDELHPEERPLLPSHIAEAPNDYIVVRNHILASWRADPGAPLPRARVLETVAASYDHLVAAAHGYLVREGHINFGVSAAFPAARPPDAALQGPVAPGAAVVVVGAGLAGLAAARQLLRFGLRVLVLEGRGRPGGRVYTSRLGVDKAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDRCPLYYPDGRTVETRLDRSVDLVFNTLLDHATRLREFLKEAAERISLGEGIEKLRRLYHVARTDDERMILDWHFANLEFSNAGCLSELSLAYWDQDDPYEMGGDHCFLAGGNSRLIDALCDGVPVLYEKTVKRIEHGADGVSVTVEEGQVFKADMVLCTVPLGVLKSGSIVFDPELPEQKLGAVQRLGFGLLNKVAMVFPHVFWDEDIDTFGCLNKESSKRGEYFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPVVALHRVLAILRGEL >Dexi3A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:3A:3266667:3267560:1 gene:Dexi3A01G0005030 transcript:Dexi3A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLSGLRPELMPKHVAIVMDGNRRWAEARGLTTPEGHEAGAQALKKIIELSVAWGIRATTMFAFSQENFKRPQASKADRHACYTYGTVEVDFLMYLIEFMIRDNMFMR >Dexi8A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:8A:7769003:7771918:1 gene:Dexi8A01G0007120 transcript:Dexi8A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVLLKAATYGDVASLEDLAADDPAMLLATNPQGNTCLHIASMHGHITFCTDAIALNMSLLGVVNKDGETPLLVAVTSGHDDLASLLLSQCLAWEQGSASILQQDKHGCNALHHAIRSGLTELALELIAAEPNLSMAVNSDKESPMFIAARRDFMDIFEQLLSIDDSAHCIVRRIMETRPGLAKEEDFAEMTPNEVYMLLRKADPKIVTSPYILHGAVKNKVTDKSRKDIKALTTKYTNNTSLVAILIATITFAAAFTLPGGYKSDGADEGHPILARNLAFQAFLISDTLAMCSSLVVAFVCIMANWEDLEFLLYYRSFTIRLMWFAYMATTIAFSTGLYTVLAPRLLWLAVPVCLLTALLPILTMVLGEWPIWKLRIQLRQNFMSDLLDMV >Dexi4B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:4B:4397658:4401936:1 gene:Dexi4B01G0006240 transcript:Dexi4B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPAPALSVFITKAMVSNKAIIIKGGIIATTATHYAMLRAGGCSLKPAGGRCMRVHGYRSPDQAVPFTPSNGVKVPCSDATCCVSSPGWKKQDLMQEMSKTLQSVSSNILKRFVDTAYRFSEQPSLNELRFGKAVKDSANTNIFEHGGRAFAVSESHLPYEIDINNLNTIGPYSINGAWTQPFTSHPKKIHGSGDLVIMGTNIEKPHYVLGVISSDGERLLHKVDLKFEEGKFIHDIGVTMRYNIIMDYPLMFGISRTLLQKPFIENDMNGKSRIGVMPRFGDAESIIWFDIENHCSYHLFNCFEDGNEVVVRGCRTLGSVIPSERHRVDKSKWYGRAFLQPDKDSKDFDPSLDGILFSRPYEWKLNLESGTKTEGYITSEEVAMDFPVINDKFVGIRNKYGYAQVVDSLSTSKTGLFKFKMIAKLNFDTPDKIG >Dexi5B01G0033550.1:cds pep primary_assembly:Fonio_CM05836:5B:33940439:33941848:-1 gene:Dexi5B01G0033550 transcript:Dexi5B01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTARLIRIPPDLAGLLRVVDVHLPRVDRLPEGAEASIDLPSDDLRPYLRVAYDTAFEAKLSDILRAPPPERPDWVLIDYAAYWAPAAAERHGVPCAYVCLFAAAAMSFYGSPETLMGRGEHAKTRPEDLTVVPAYVPFPTAVVHRAFEARDLFSGLLPDDSGVSEGYRFGMAIQESQLVGFRSSAEFEPEWLQLLGQLYQKPVIPVGLFPPPPTQDVTGHEATLQWLDTHAPGSVVFAAFGSEAKLTSAKLQTIALGLEASGLPFLWAFRPPADAEAGDGLPEGFEERVNGRGLVCRGWVPQARLLAHESIGGFLTHAGWNSVIEGLARGVRLVLLPIMFDQGLNSRLLVEKKIGVEVERDEDDGSFEPGDIAASLRKVMVDEGEEFGTKVKELSEVFGNDECVRDFLRRLSEYSEKNQKDSSVSGL >Dexi2A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:2A:2706850:2708357:-1 gene:Dexi2A01G0003140 transcript:Dexi2A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPTIVLLPVWGAGHLMPMLEAGKRLLASSSGGHAFSVTVLQQASEVDAHIRRAEEEVAAGGGGLDVRFHRLPAVDPPTDHQGPVEFISRVVELHAPNVRAAISGLPPASPVAAVVLDLFCTPLIDVARDLAVPAYVYFTCNAAALSFFLRLPALCDEVAGEFEDMDGVAAVDIPGLPPVPPLSLPTPVMEKTKPECAWYAYHGRRFADADGIVVNTAAELEPGVLSAIAAGRCTHGNAPPPLYPIGPVISFPPPTSPAHPCVRWLEKQPPASVVFLCFGSAGFLTAAQAHEVAHGLQRSGHQFLWVLRGPPAPGTRSPADADLAGGELLPEGFLDAVAGDDGGRGMVWPTTAPQKEILAHAAVGGFVTHCGWNSVMESLWCGVPMAPWPMYAEQHLNAFAMVDAMGVAVRMEVDRKRGNFVEAAELERAVREMMGGGEEGRKVRERVAAMKVACRNAVEEGGSSAAALRRLAGDVVSRCAMNGPTKQVFV >Dexi2A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:2A:32311541:32313006:1 gene:Dexi2A01G0020220 transcript:Dexi2A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVLSQQQGSLFVGCGAMAGVPWNGLFGVEEAGVVELSGTGSCWESATPRRASSMLLQGFQELAGNILTAVVPSPVESGGSVGQEAMAVATASATAPVVGRRKRRRARAVKNKEEVESQRMTHIAVERNRRKQMNDYLAVLRSLMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEARRRRSSQRPSAGVNGDDDDAAPFTGFFTFPQYSMRVAATDTAPENAPLAADDDATHREGDEDASGSKPPAVADVEATMVESHANLRVLSRRRPRQLLRLVVGLQGHRLTVLHLNMSSSGQMVLYSFSLKVEDDCQLTSVDEIAAAAHQILETTHQEQQGCSLE >Dexi7B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:7B:7132088:7135476:1 gene:Dexi7B01G0003150 transcript:Dexi7B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPIQPEQKHPWRQPATALERLYNGPTTTGPSSSGAIWLEVASGDGARTPFGLLLCPLCFLPLRGFVWLGPPPDAQDLRRRRPFGLPRFTPRLGRPLAASCSCCAAGVLQLPIGGLSPAQLHRRPLQAQGDLQGNKCAVTMVHFKNRYMVMEVFIDAGIGEQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRWIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKVAAGDRITSDIIQSVQSCFERIRGLES >Dexi2A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:2A:30351429:30352090:1 gene:Dexi2A01G0018310 transcript:Dexi2A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTGKGGAFITDKAWPPRSLGPITSREVEMGPRILQEDVLVGGAPEDDEEGTPAAVGVVAAVRRAEHRSSEVVVQVIGFRQAVLVAGDGVPHDEVNRIQGVEEHPAVAAVDGHPAGVVELGRGERGVGHGGGREEELVVEEAEDGGRTVVLAVAAEEAGFGKEEAPRPADEGCTD >Dexi1B01G0026870.1:cds pep primary_assembly:Fonio_CM05836:1B:31709199:31709485:1 gene:Dexi1B01G0026870 transcript:Dexi1B01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVVTLRYFAGPAVPRYVVATVGYAWFCSLSIIILVPADIWTIPYRVSLPTSSSTAPGSGHSWAWVALLWLLMLLDPPG >Dexi6B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:6B:21227506:21227922:1 gene:Dexi6B01G0013520 transcript:Dexi6B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLGKLRRVARAPPSAASEDDGATIIGGGGGDARGASFHGADEVPKGLHPVYVGKSRRRYLIAEGLVGHPLFQTLVNRTGGGADEPAGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >Dexi2B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:2B:25284297:25285610:-1 gene:Dexi2B01G0015280 transcript:Dexi2B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQERERRRTLLLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSVVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQSLVADSTDDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFHLVAAISIAVGILTWFFAVDPHFPTGERNGGKQQPAPTAREVVAEMIEEAKFVVRIPTFQIFVAQGVSGTFPWSALSFASMWLELKGFSHGETAVLMTIFWVASSLGGLLGGKMGDYLAVRYPDAGRIVLSQISPLSAVPMGAVLLLGLPDDPSKGVSYAIVLFIMGVLMSWNGPATNL >Dexi3A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:3A:7405568:7407341:-1 gene:Dexi3A01G0010400 transcript:Dexi3A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPCSADARHMFDGMTMDGRGKNVAMSGGSIDALPDGILEHILGFVPVPKAVRTCVLARRWRDIWKRATGLRITCTADDVGKTETVNERQKFVENLLRLRGHTPLETCDLRFGNFYDDDDELLLNRPPLVSQHLMRLDLVGVYINSRLCDFSSCPFLEHLEIDACYCWSGVNISSKSLKHLALKYCDFGAEFRALLHVPSLVSLTLDGHLSSAPVLGCMPSLQEAFVRVTHENVRFRDPDDYLWSFGCDYDHCYSCDGIIRDNSTMSVLLEGLSGAENLALLSQSKSFVFGRDLKQCPTFSKLKNLLLDEHWCVAPVFPALTCILKHSPVLEKLTLQLFSKGPHHKMEMIGRCSSMNRSVAISEHLKAIEIKCEVVDQEVHKVLRFLCSLIYFGNSG >Dexi8A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:8A:9774455:9775802:-1 gene:Dexi8A01G0008300 transcript:Dexi8A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASSGSQSTLLSTLPNNLPYDFLKDITEGFSEARKLGQGAFGTVYKGTLQDGKLIAVKKLADNSPVPPEKQFKIGVGNLMALQHTNIVRLLGYCREPQKKVMEHNGRYIIVDVVESLLYYEYIPNGNLGKYIFDKASKPEWKTCFEIIKGICQGLRFLHKAMDGHRPIVHLDLQPSNILLDHNMVPKISDFGLARLFGEEQTRINTINVVGKKGYMAPEYLYRGEISARSDIYSLGIVIMEITTGEMNSSSDKDMSARDFIDKARLRLNHFSDGYSLLVCMLSLRLTPLILQVQQTWTNEHIASVYSKLDPVSLQEVRTCIEIALKCVVVDQNKRPSIVEIVEELNGMKAH >Dexi2A01G0034230.1:cds pep primary_assembly:Fonio_CM05836:2A:44287531:44288794:1 gene:Dexi2A01G0034230 transcript:Dexi2A01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANTTAHGHQPWAALAAPSANLSCSRPAAATATRALVSTGGFVGAPNAAARRTPPSGRRRALTMRTKAGAAEVRPSSSSPDAVTYSASISTDMPLHEPPGASFDDYLQDRARVFRAMFPDESRSERIGDGEWRVQMLPLQFLLLTVRPAVVMQLRHRAGGLDLRVTEWELRGLDSGYAPTSFDLGVSGSLYADRSRGRLAGCRMRGHLEIVITVVLPPPLRLVPESVLRGVAESVLSRLAEKMKRDVDVGLIADFRRFRQEKAAASMARPMLDAMASVRDEASES >Dexi3B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:3B:5578924:5579971:1 gene:Dexi3B01G0007870 transcript:Dexi3B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAMETLTFLVLLIAASATAAAAAGSKDRCHSGDKAALLAIKAALGNPYHFASWTPDTSCCDWYDVDCDPTTGRVIGLSVFQDANLTGAIPDAIAGLVHLQNLMFHHLPGISGPIPPAIAKLSNLSQLTISNTAVSGPVPSFLAALTKLTLLDLSFNSLTGSIPASLGAIPNLSGINLSRNRLTGGIPAGFLSKSADQIYLWLSHNNLTGSIPGEFAGANFAHLDLSRNALAGDASGLFGRGKELQYLDLSRNAFSFDLTGVELPEQLDVLDVSHNAIYGGIPAGVANLTNLQLFNVSYNRLCGPVPTGGNLGRFDAYSYQHNKCLCGAPLANACK >Dexi3B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:3B:159153:160370:-1 gene:Dexi3B01G0000180 transcript:Dexi3B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWLRRFPHDVIQRRKSSNNAGGGGGGRRAASPTSWRNKNNSSFTARIIRCASSVVDRRRQYQDEEEEDELKLPSSPPPPGDEEADEVSALQAFSFRELAEAAGSFRQEQLIGEGGFGRVYKARLRLRLGEEEEEVAVKQLDREGAQGNGEFVVEVVMLSMLHHPNLVNLVGYCAEGDQRLLVYEYMALGSLEAHLHHDQGQLLPWGRRMQIAGGAARGLEYLHDRGVIFRDLKPSNILLHADYTPRLSDFGLARLLPPPSSSSSSSSSSNNGNGKVMGTYGYCAPEYLRTGKLSAKSDAYSFGVVLLELITGRRAIDVGRPDGEQSLVGWAAPMFGDPGRLPELVDPRLVMAMQGPPPSELKQAVGVAAMCLQERHALRPVMADVVTALAFLATDHAASPPTC >Dexi3A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:3A:3740087:3741306:1 gene:Dexi3A01G0005780 transcript:Dexi3A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSVVMFMLAALFFNHNLFSRFFSDVSAILNPTIRLILSSSLSLFLPVMSYLFSEAKNEGSAAAAMAATSSSSYRQQLGGSGTTTELSLRARTILMWMLLVELLRKKLEAILVNVGVQSYSSTIDWASRIAWLGYLVFYNLSSTGKKAIYGTLWVFAAAKLLQRVAINELLKRSLAYGKNAEQLSWYMAKIDEKPYQLLGNVGTELMEKCKYAVMGEDDLEVKVTSKEGYQLEMKENAVVTVGDIWKVDMKHLLRHDDDPSSLKRVCLSFTLYKLLHRRLEDHPITKDEARCCQFYIFRGLRLELQQSTEKSLGNQKELKDTMVADALFQVFYEEVQFLCEYYHSVLPVVLSNPFFFVANYVMFPIVVWAFCLLTFILCGNGDVVFSRTLHRH >Dexi1A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:1A:27759187:27761331:1 gene:Dexi1A01G0020950 transcript:Dexi1A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVASANGDSLCMATPRADPLNWGKAAEDLSGSHLDEVKRMVAEYRQPLVKIEGASLSIAQVAAVATGAGDARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKQGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGADEFNAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRITECRSYPLYRFVREELGALYLTGEKTRSPGEELNKVLVAINKGKHIDPLLECLKEWNGEPLPIC >Dexi3B01G0022140.1:cds pep primary_assembly:Fonio_CM05836:3B:17010547:17019231:1 gene:Dexi3B01G0022140 transcript:Dexi3B01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRKRADSDPEGSGEPEPPADKRPCTAEPSTSAAAAAAPPAPGGARPAEQGGSDMDTSSSGHAGDADADADADDGDGDGDGDGDGGSSCESDGDGSPRPRGGRAGRFHQMVEAVAAEGAGQDALVAALNELCEALSFCAEDAGGYFPTEAAARALVRRAGGGGDGAESAPDVILLSVRAITYLCDAMPRAADAVVRHGLLPVLCSRLLAIEYLDVAEQCLQAFEKISRRQPTPCLQAGMITAVLTYIDFFTASIQRVAVSAVANACKKVPADCSHFVVDSVPTLCNLLQSEDKMVVEKVAACLISIVDSFSASVDLLDQLCHQGIIEKVLPLIHTGGLTALSSSTCSVNEALKLANQLIPSAARDVEDTQIVLAKEKIIVDEPRFLCQFSRDILPVLIKVVAKAIKDGRILDIPFSKAFYKLILGQELNIYDIHSFDSELAISLVEFQAIACRRKYAESNLTGDTISDLTYRGCQIEDLAIDFALPGYPDYMLSSGSSSDTLNVENLEEYVCHVVEATVKSGIARQMEAFTSGFNEVFPLNKLQVFSEDELERLLCGEQDTWDFGKLVDHIKFDHGYTSSSPPVINHNSNDADNDLPSVMTCANYLKLPPYSSKDIELLELKYDRASKEIEVEHPCYEEKMREKLIYAITEGQGSFHLS >Dexi5B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:5B:7913824:7914535:1 gene:Dexi5B01G0011180 transcript:Dexi5B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRANLIGPNCKMAILSTVAKLEGIKSMDIDGENCTLTVVGTVDPVAVVLELKKAHLAAAIVSVEDDKPKEPEPEPTTEDDPCHCREACVQACVEACEKGCCVPGCYYSPCLLPNCCFYTAFRPAPYGYGWYW >Dexi8A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:8A:18895897:18898340:-1 gene:Dexi8A01G0010820 transcript:Dexi8A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSIPKKYTIGGKGRKRKSIIQEVAVFVPTVRIPVASDIVHPLRGIVSKDLVDRLSTLRAHVVELAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESRLESSVQFRWRTLEDDQECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNCQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKNFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLFFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSDSKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEQNKNSALQSIPDLPEFALSLRPEGYELPSTDSIWENVNGQPQIQSLKEHLNDDEDEVDTK >Dexi3B01G0038160.1:cds pep primary_assembly:Fonio_CM05836:3B:40990380:40991008:-1 gene:Dexi3B01G0038160 transcript:Dexi3B01G0038160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSGKPKRLVIAALRAGSEYVGCDVQNCILIAGSQSGVLAAERIGMPCVVVRSSFTARAEFHSAKAVMDGFGDTDLTVSKLLNKKWS >Dexi1A01G0025440.1:cds pep primary_assembly:Fonio_CM05836:1A:31671180:31673509:1 gene:Dexi1A01G0025440 transcript:Dexi1A01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSRLAPPRAAQPQPMDLPVVDLAPFLGAAAGCAADEEEMRALCATVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSAEAKRLQERPHLHYQVGVTPEGVEVPRSLVDKDMQEKIRSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGYKMISAIEVVAEMAAIGFGLPKDAFTTLMKEGPHLLAPTGSDLQRHGSEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVSKRTLDAIALAKEQNRSLWRVSSTLFSHIASDAILKPLGHFAETPNADSYPPICAGDYVEQELSVINLKGKDGL >Dexi8B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:8B:19361385:19362578:1 gene:Dexi8B01G0010800 transcript:Dexi8B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCSATAAAAAPIGLPGCPTKCGNVTVPYPFGISADCSLLGFNLTCHNNSRLLLGDGSTNIQVTDIFIQNATLRVVSQAIIAVPNMPRPSINNTWGLGDVVAGPIILSYDHNKFIALGCGVQARLTDEIEGNFIAECSSSCWGGNPGYWLPGCRPDLAAEAECSGNGCCQSPIPQYAATFNARVSELDDARNYAAILPTVIVFIAEQGWIEGVWCHIMGWMAKDFPIIPPEELLSTVPVMLEWAMNSTLLSYPGWTPETDSRCPKDGVETACKSDHSSCINMNNLYRSGYACQCSPGYGGNPYLVGGCQDIDECADPDHYPCYGECTNLIGTYQCRCPQGSQGNASVMHGCVGK >Dexi4B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:4B:1523222:1526993:-1 gene:Dexi4B01G0002400 transcript:Dexi4B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSRRLAAGLLHLRPRGGGGGGSGSRGLHTGPDVLACRLGSRAVVRFAGPEAVSFLHSLLTNDLLSAFAAAGASAPQRYAPTPNAPARGPAAPAYAALLTPQGRYQLRSKVEIDNVSENFTCWQRFGHNVVHTEPSTQEPEAQSIGWGQGVDHAGESAAQGNGHGWQWLKDPRLDYLGYRGIFPADTIPPLVESDKEADERHYQLWRIENGIAEGSTEIPKGEAIPLEYNLAGLNAISFDKGCYIGQELIARTHHRGVIRKRLMPMKFVDEKGQELEQVVAPGSEVVDEASGKKIGTVNTALGSRGMGLLRLEEALKQGSSLRIGDNRDVRVQAIKPEWWRAEWTQMLDQQSAVA >Dexi8A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:8A:1762238:1762846:-1 gene:Dexi8A01G0002690 transcript:Dexi8A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLVLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi8A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:8A:24067456:24068699:1 gene:Dexi8A01G0013770 transcript:Dexi8A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGRRALAVAALLCAMAAMAAAQQASNVRATYHYYNPAQNGWDLNRVSAYCATWDADKPLSWRQKIVDQCSNGGLDLDYETVFKKIDTNGQGYQMGHLNVDYQFVAC >Dexi2A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:2A:5382325:5383987:1 gene:Dexi2A01G0005610 transcript:Dexi2A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAVAPQLWNKWGFRSCVLVSLGANVVLRLLSRARRRSASGRWAAKGQRVRLLILWGLYQLAEAATASAISSLTLCDSDVTEDEKQVVALWAAFLLLHLGGPDNLTAYAQEDNKLSTRKWFEMIGHFVGVGYAIYKYTYHGGGGGGSRVLFAASVVVSGAGVIRYLERAYALSKANLDKMQEDASSSEGSSSKKKREDCHRCHSIDGKMDQLRYRIGKLQWKLCDREALLLAQDLFPIWRHAMVDSSVILPDSGRHLASEEILRLEWGCMCKVAEMELSLMYEVLYTKAIVAHSFKGWYYLTRFLSPLCTAAAALLFWLHRQHQQQGKIRGSFVGITYALLLIDFLLDLAWLLRALGSTWAYAYMQTQAPAWLRHQVICPGSWHYLHHLVVRVDPMSWLLCRDPINYRTWSGTIGQYNLLRECTTTRHSLWPDWLETKEMLYLSELHGKVKELLFQRVQAILLEAIETDDHDTAECYSMEDIRSKWGEKAFDLRNPEGRRIDLFPELDIHDVVAPKFGKEFEEDVLVTSGSDNDAVMSEDHH >Dexi2A01G0035040.1:cds pep primary_assembly:Fonio_CM05836:2A:44768907:44769391:-1 gene:Dexi2A01G0035040 transcript:Dexi2A01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAARRLLLRRWVAGGRGRLLGTAAEASPGGEEARGGCGSEGAIYVKKPAAAAAVTTRDETSVAMPTSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWEYQCKFL >Dexi6B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:6B:12630624:12638426:1 gene:Dexi6B01G0009020 transcript:Dexi6B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIAGGHTDEEVYAMLRECDMDPNETAQRLLLQDTFHEVKRKRDKKKEGSKEQSDSRWRPALQGMGGKSGRGNYSSHTLSISNDSAGRSAIYGKEKGMSHITKKGSGSTPTVNENMDAKASTCMPSLSSGPPNGPSQLVDPAAVWVRSSRAVGSLIKSGSTASADWKGGLLSEDVVPAVCPDEHPLGTTSPSNFVVVPSLDSHVLGDVDASSRYIGTKKASVERKDCDVPIDNKGSSQQSISSSFGRSSGSRPSSSYSSRSQQSCGSQKEIRIHPLLFKRELTLFLGIYLLQS >Dexi9B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:9B:2708920:2710745:-1 gene:Dexi9B01G0004720 transcript:Dexi9B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSGAGDVAGGPQVLTLRFARQVILGRWFMVFACLLILSASGATYIFSIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVLSGLINEVTPPWVVLAMGAAMNLVGYLMIYLAIDGRTARPPVWLMCIYICIGANSQSFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIFTQLYLAIYGDDAKSLVLLIAWLPAAISILFVHTKQVDFSHAAYAVSAAALLIVLFLPLGVVIKQEYKIQKELLESLREPPTVTVDKPSSPEPSPSAAAKTQTTTTTTTQSSSSSSCLGSCLRHMFSPPAQGEDYTIPQALVSIDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPSKSINTFVSLISIWNYAGRVTSGFASEMFLSRYRFPRPLMLTLVLLLSCVGHLLIAFGVPQSLYAASVVIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPIGAYVLNVRVAGALYDAEAARQHGGSLAGDGDKTCIGVECFRKAFLIITAATVAGALVSLVLVWRTRNFYKGDIYAKFRGNANDDDDASEEEEEDLKRPKE >Dexi9A01G0035610.1:cds pep primary_assembly:Fonio_CM05836:9A:40235305:40235997:-1 gene:Dexi9A01G0035610 transcript:Dexi9A01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAVSASSLNPEAPLFIPAALLQVEDFSPQWWDLVTTTAWFRDHWSREHTQLDEMAEELDAAGLLPDDEDLFYDDLPEDNPAVVETPQETAPAVILKTDEVSKALNLTSPKGDEAPRGFWEKSRHGDKPTKYAGSPKGSSAPRVIHQPR >Dexi4A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:4A:17735583:17736287:-1 gene:Dexi4A01G0015130 transcript:Dexi4A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSREACAVAMAMAAPLLATIASNIDNNTTATAAAAATGANNNGEKRRRTSSDALQRTVSDVSYELHHNHPHGAKEKQTKEAEGHHQQQLHAVPEVEDAKCECCGMSEECTPEYIRGVRRRFSGRWVCGLCAEAVTEEAEKSGGTMEEALRAHMGVCKRFNGFGRTHPVLFQAEAMREILRRRAKLGPRSRSSINPREVKAKAAAAGAGIARSSSCMPFITDECNGRVSENKN >Dexi9B01G0033790.1:cds pep primary_assembly:Fonio_CM05836:9B:35880635:35882355:-1 gene:Dexi9B01G0033790 transcript:Dexi9B01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALPSSSTSFLTVVLAAALCFIVTIIRLHQRAKRKHKLPPAPRPRPVIGNLNLIGTLPHRSIHELSSLYGHLMCLRFGSFPVVVASSADMARSFLKTHDLAFIDRPKTAAGKYTTYNYSGLFSSPYGPYWRQGRKLWQAELFNARRLASLHHVRADEVRSMLNGLHAAVAGGEHAGAPYMVNLNVISRMVLGKKYVVDGAGSPTTPEEFSRMIDEHFFLNGALNVGDLIPWLGWLDVQGYVKRMKRSAKMFDHFLEYVLDEHDERRRRRDGNEFVAKDMVDVLLELADDPNLEVPIERDGVKGFTLDFIGGGTDTSAVTVEWAMSELLRNPEVLAKANEEMDRVISRERLVEEEDITRLPYLEAVVKETMRLHPVGPLLTPRLCREDVSLGGYDIPAGTRVLINVWTISRDPAVWDAPMEFRPERFVGGGSGGGVDVKGQDFELLPFGSGRRMCPGMSLGLKMVQVILANLVHAFAWRLVLYKLMK >Dexi1B01G0030190.1:cds pep primary_assembly:Fonio_CM05836:1B:34241795:34243486:-1 gene:Dexi1B01G0030190 transcript:Dexi1B01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGVEVPLPREKLSLRPNRDGGNRGDVVLVANGSFNPPTYMHLRMFELAKDELQQRGYRVLGGYMSPVNDAYKKKDLLPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCKDGLDDQGSLKVMLLCGSDLLESFSTPGVWIPDQLHFGFPGGSTYFDGTYFCFQDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYIRDHKLFMEAEGGYL >Dexi5A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:5A:22338196:22338933:1 gene:Dexi5A01G0018820 transcript:Dexi5A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERKRTKLRKSLQLYLSRTLKKIPPMHIPSSAIIPANIASARFLSTCRFPRTTSVDMDGGDHAAMAAAVDENAKDHAATLSDVDRFLFDNFRSLYIHDDDENNNNNRCFPSSSPSTSTSLVDERQPTGEATSSSSESVAGDIKGANRKGEESCDNTAIVVFSMDPYTDFRRSMQNMIKMHHGGLSQPLDWDFLEELLFYYLQLNDQAVHKHILRAFADLTAGTRHKSSSAPGKAQSVRSRKHH >Dexi8A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:8A:29198644:29202559:-1 gene:Dexi8A01G0017530 transcript:Dexi8A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRALLLLLLLLSMSSSSPTPASARSAFACAAGGSSSSLPFCRRSLPARSRARDLVSRLTRAEKVRLLVNNAAGVPRLGVGGYEWWSEALHGVSDTGPGVRFGGEFPGATAFPQVIGTAASLNASLWDLIGRAVSDEARAMYNGGRAGLTFWSPNVNIFRDPRWGRGQETPGEDPTISSRYAVSYVHGLQQQQPHGDLKLAACCKHFTAYDLDSWGPTDRYHFNAVVSLQDLEDTFNVPFRACVTHGRAAAVMCSYNQVNGVPTCADETFLRGTVRSRWGLDGYIVSDCDSVDVFFNDQHYTSTPEDAVAATMRAGLDLDCGPFLAVYGESAVAKRKIADADVDAALLNTVAVQMRLGMFDGEPAAGPYGHLGPRHVCTPAHQELALDAARQSVVLLKNIGQPPRGKHVGSSGGGVLPLRPAAHRVVAVVGPHADATVAMIGNYAGKPCRYTTPVQGVARYATRVVRQAGCADVACAGSQQPIAAAVDAARNADATVVVAGLDQKVEAEGLDRSGLMLPGRQAELISAVAMASKGPVVLVLMSGGPIDIAFAKNDPRIGAILWVGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQEYLQKVPMTNMAMRANPATGYPGRTYRFYTGPTIYPFGHGLSYTKFTHSLAHAPASLTVQLAAGGHSGKASSSPATFLNATARTTVRVAHARCEGMRVPVHVDVRNVGDRDGAHAVLVFHTAPPLAGEGGAPVRQLVAFEKVHVAAGGVARVEMGVDVCDGMSVADRDGVRRIHVGEHRLVIGELTHSVSIGVEQVGA >Dexi9B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:9B:10034170:10038948:-1 gene:Dexi9B01G0014960 transcript:Dexi9B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPVLASGSKASTTTPFYLALDHRASTSSSPPAEAPTPPPPAVSDPSGQSNNERGSEIIKARIMSHPLYPALLRAFIDCRKVGAPPEVVGRLSSLADEVEMNSGDRQEHQADPELDQFMEIYCHMLVRYRQELTRPIQEADEFFRSMEVQIDSFSLDDNGYEGGGGSSSDDEQETVDLAGLTVPAETGSPSGEDKELKNRLLNKYSGYLSSLWRELSRKKKKGKLPREARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAMALTTDYRLRPYGGGGASGSSASSAAFRMEGQYFSGGSSYPGGS >Dexi5A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:5A:12009283:12009597:-1 gene:Dexi5A01G0014830 transcript:Dexi5A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGRELVDVEDGADDLVLHRIQGRRRKLLGAAVEVLWAIFSVGWSFLARLLGRAEDGASQGRRRRVPWARAQAAPGHAGVPAGDGSREESERNGGEGKSPCER >Dexi2A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:2A:4390774:4392542:-1 gene:Dexi2A01G0004880 transcript:Dexi2A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGTPAGAPPPPPASEEEAATPPYGSVVLGGTFDRLHDGHRCLLKYAELIEPVEKRITAVEDYIKSIKPELIVQVEPIEDPYGPSITDDKLDAIIVSKETLNGGLAVNRKREEKGLPLLKVEVVDLLSGGVEGEKLSSSALRKLEAEQAQQTEAKTAGHEDS >Dexi6B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:6B:3294549:3297736:-1 gene:Dexi6B01G0004060 transcript:Dexi6B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRNQRLRREYLYSKSLEGADRAQFEKKRRIRQALEEGKPIPTELRNEEHELRRQIDLEDQERQVPTNIVDNEYATATIREPKIMLTTSRNPSSPLIQFVKELKVVFPNSQRMNRGGQVISEIVEACRSHDITDLILVHEHRGKPDGLIVSHLPHGPTAYFGLLNVVTRHDIKDRKTMGKMSEAYPHLILDNFSTKIGERTATILKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKPGGPKSIDLKEVGPRFELRLYQIKLGTVELSEAKSEFVLRPYINTAKKQRTLGA >Dexi1B01G0025590.1:cds pep primary_assembly:Fonio_CM05836:1B:30570850:30571191:-1 gene:Dexi1B01G0025590 transcript:Dexi1B01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAEKAVRCLGRGFDMTCDMRLKYCKDAGGCLVDRSGVETAPLAVPGVGTVAGVPVDVKCGKGDRVRLKSGVLEFNKP >Dexi7B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:7B:2619640:2625377:1 gene:Dexi7B01G0001540 transcript:Dexi7B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLYPACALLRRLPAAPHLSRSASFKRFDRVRRFSPAAMSTSSGLKEAPDNNPGLQTEIDPATKGYFLQQTMLRVKDPKVSLDFYSRVMGMSLLKRLDFEELKFSLYFLGYEDVTSAPADHVKRTEWTFRQKATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFERLGVEFVKKPNDGKIKGIAFIKDPDGYWIEIFDHTIGTLTASAS >Dexi6A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:6A:22295643:22298023:1 gene:Dexi6A01G0014940 transcript:Dexi6A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKVYNRYVKRTSKFMAHDEADDCNIGDRVRLDPSRPLSRHKHWVVAEILRRAKVYVPPSATTSSENDTKAQQVGAATK >Dexi9B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:9B:5937679:5938941:-1 gene:Dexi9B01G0009600 transcript:Dexi9B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSILDRPEHCTPSRVVALAAVCLVVVVCTYATSSWPGDFGGKEQKYNTWRRRSSASLIFFFLFQSSAFAPDDLEVALRGAAFANRTLILTVLNEAYAEEGGLLDLFLQSMREGDGTAQLIEHVLFVAMDRQAFQRCRSLAGLRCYLLRQRDDGTGDNLSSEQLYMSDGFIRMMWQRIRFLGDVLKHGYSFIFTDMDVMWLRNPFPKLELGDGEDLLISSDKFNGAANDYIGNELNAGFFYVASNNRTVALFGEWHAARRVSPGMKEQDVLNQMKRRGALRRLGVRTRVLDTARFSGFCQDSRDAAQVATVHANCCRTKRAKVADLRAVLRAARRLNATAPGLRWPAHSECVKSWS >Dexi1A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:1A:17657611:17660260:-1 gene:Dexi1A01G0013400 transcript:Dexi1A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSADKDKGKKAGGPVSRSSRATPQEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFNSFIKNHPEVREILGPDKDLEVEDIVNTLLTKNLVIRCDRVMKTVRPGKKKLSSWPAHLEIHNEQVFTENDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLCIVSILLRKISLPPLSVLSFKAVV >Dexi3B01G0022960.1:cds pep primary_assembly:Fonio_CM05836:3B:17685912:17686919:1 gene:Dexi3B01G0022960 transcript:Dexi3B01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESWMDVLPPAPAPYFAGQAGGGRFLAAGGPGPGAWTQEENKLFERALARVDWDAPDRWERVAAFLPGRMVSDVVAHYGDLENDVCYIEAGLVPFPHYAAASQPAGFTFDWDGAADAAAALGFKRSPCYMVAGKRGRGPDHERKKGVPWTEEEHKLFLLGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDGGNPSASPPSVLTAVSTPSSTGGPVMSSEQFGVLVDSKPTQPHHHFMPHHHYGNVKLEPGNSHHGGFMDESVLMQMQCGQLQPLG >Dexi2B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:2B:27779379:27780186:1 gene:Dexi2B01G0017380 transcript:Dexi2B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVTFVDKDGEKKLVKVPIGMSMLEAAHENDVELEGACEGSLACSTCHVIVTDVNYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDGHVAKSH >Dexi8B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:8B:11210817:11217271:-1 gene:Dexi8B01G0008380 transcript:Dexi8B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEASSSLEQTTLVDKNVVEVIGRCCIFLHLKQGNVDASQFLAIYPQNSVPSISVIGLNGVMLWNHEGHISSENLKESIEKAWGALHLQETAVTLLTASLASRNAEPVNAAMALPSQGGSSTSENASVSSSAPEISGASGIVHSVDSVSQLPSSTSHDELIEINEKQDEGSKSNSGDRTIEKLESAPTEVNCDLPISSRRSNIGTPADSNENTASLKRKNNEVGSCTEIPGAAVPSTITSRGVSSQLLVQQDSTTSKTPDEPVSNSVKSDDIQLSIRMPSGNRLEIKLTKHDVLRKVKKFVDENKTSGLGSYDLSLVYPKRIFSEQDMETTLCELGIQNRHAMIVVPHQQLVQVSRLQSSSPSYDAGETLLRQDQIYSQMKVRTILCSYFYISETGRQSGPWSERRAPGNRGPEVADEGSVNTLRRRSRPFGANVHTLGSEDQGPSDDRNVFWNGNSTEFGGDDRK >Dexi9B01G0038740.1:cds pep primary_assembly:Fonio_CM05836:9B:39688295:39688577:-1 gene:Dexi9B01G0038740 transcript:Dexi9B01G0038740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKAVGPAIGIDLGTTYSCVAIWRRDCGEVIANDQGNRLTPSCVAFTDDERFVGEAALNQAASNPTNTVFEVWVRGL >Dexi9A01G0037390.1:cds pep primary_assembly:Fonio_CM05836:9A:41656707:41659276:1 gene:Dexi9A01G0037390 transcript:Dexi9A01G0037390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIVSDTISFLENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADIWSCGVILFVLLAGYLPFEDENIIALYKKISEAQFTCPSWFSAGAKNLITRILDPNPTTRITIAQILEDPWFKKGYKPPVFDEKYETSLDDVDAAFGDSEDRHVKEETEDQPTTMNAFELISLNQALNLENLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDVQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQKFYRSLSTQLKDVVWKCDGEIEGNSAAA >Dexi1B01G0024050.1:cds pep primary_assembly:Fonio_CM05836:1B:29527371:29528571:1 gene:Dexi1B01G0024050 transcript:Dexi1B01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLREASKLVLGDRQKLAVPRKLVAFATSPASCLRQPPRNRTPSLGASSKRERTTTLRPGGGGASRGWDLGGEGSRRGGAGVRQARGATVPAPRARRDGRAQAVREREEGDGEGWGVAQAGAADKPSLYLAMSPDRSGPGPMIQSPPTHQLPPELSSVREAAAVGVRTTGELRRPRAQSNTPWTRFRSTRPRAQPGADQAGVLGGGGARDRDSDTRDPYDAVQPEAIRSIAYVRAGAGGRRWEAYARSAPSIRLDGLRPSHVTSSIGWLELDSARAINDGRFAVRGRVLVIATPPRRGLVDVTHA >Dexi3A01G0027950.1:cds pep primary_assembly:Fonio_CM05836:3A:27943377:27943652:1 gene:Dexi3A01G0027950 transcript:Dexi3A01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRYPYSNGKEEIARRGLGLSGNRRHGRSVLVDEANEKLRIGGRVPSELGLVEVEPRDAVLRAAGPELGRSARRGSKRGLSLQGQSMRG >Dexi7A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:7A:21332589:21333182:-1 gene:Dexi7A01G0010590 transcript:Dexi7A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAEALVKSQEPRRPSGSRRSLLMPRRQRTSSSCGAGAPDPGAGCGAAGGARRRGRRSRRRRGGGMAGGRRCGGGGGSGRARTAPRGRRDVGGGRGGEGRSGSTGTAR >Dexi7A01G0010590.2:cds pep primary_assembly:Fonio_CM05836:7A:21333192:21333689:-1 gene:Dexi7A01G0010590 transcript:Dexi7A01G0010590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPRPALPSPWPHREETGRPQRPEFPEKESLPNLFPDRSSDLRRSRRAREEGIWPESWLPESLSELRKWQLASSAGSGPVSWLEERSRVTRKGSQSQSPGGIGPVRALSERSSVVAARQYWRAEGREPWRPKPERESEMTRASCGFQQVMPL >DexiUA01G0017240.1:cds pep primary_assembly:Fonio_CM05836:UA:36355945:36356763:1 gene:DexiUA01G0017240 transcript:DexiUA01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAVVATLPGVAPPPCAAPPRCARLPLRRCVLPARAVAGSSASSAAYSSSSSSSAAAPVYAPTPQDRPLRTPHSGYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDKL >Dexi5B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:5B:14332293:14333087:1 gene:Dexi5B01G0016360 transcript:Dexi5B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDSDWKDRSWREYRCYVRSLPYSTDDASLKDAFSSYGPLIAEVVVDRETGRSRGFGFVQFDDEKSMECAIEGMNGQQVGGRNVIVSEARQRPRRWWA >Dexi3A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:3A:11126844:11129835:1 gene:Dexi3A01G0015050 transcript:Dexi3A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADRMRLRAAALSLHDDEGVRDKPDRKADVFADLGSPVSPLRLRPAAATPSSSSSSAGSSKSPALGNAAAAAVGRGAGAARGNQSGELEGSNPPRPPGHRRSGSGPLIFSGGSSSTGSVGGCGAGGSTASSPLTNALPTGNICPSGRVAGAAAAPQPPRTRPVVLGSGTGHYGHGSIMRGGSGSAGGVTPARSSIGAGPLHSNSSRSPASCPAPPPASSAGLQEITNAGNELYKKGRYGEALRYYDRAVTMCPDSAACRGNRAAALIGLGRLAEAFRECEEAVRLDPASSRAHGRLASLWLRFGMVDKARMHFTLIGSANQSDHAEWQKLHDVESHQGRCIDARKIGDWKSVLREADAAIANGADSSQLLLALRSEALLRLHKLEEADSTITSLLKLDNASLPSMPTTLSGMAADSYVLIVQAQVNMAFGRFDSAVALAEKARLIDRGNSEVEGILNNVRLVAMARADGNDLFKAGKFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGRWAKAVEDCNEALRVQPNYTKALLRRAASYAKLERWADCVRDYEVLCKDLPGDTEVAEALFHAQVALKTTRGEEVSNMKFGGEVEAVTSLEQLRDAIHSPGVSVLYFMATMNQQCAQIAPYVDSLCSECPLVNFLKVNVDESPMVARAENVRVVPTFKIYKDGTRVKEMICPSLQVLRYSVRHYAVSSS >Dexi7A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:7A:18404615:18405081:1 gene:Dexi7A01G0007060 transcript:Dexi7A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDPAATVVSARAFHILKIDGYSRTLNTPSAMCFFESCQFCAGGRPWQICYYPNGCRPTNISLFLLLAYASDGEDVVAQATFSLLDQDYRPVASYSLTTAMLKFTARRPARGFKEFLKRQDLEHATTCTGI >DexiUA01G0020310.1:cds pep primary_assembly:Fonio_CM05836:UA:42299220:42314445:1 gene:DexiUA01G0020310 transcript:DexiUA01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAGDDHHHAVDGRLEALLSGAGAGADGEGPWFRRMASAAALELRLLTPLAAPAVVVYMLIIVMSSTTQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAVMYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFALHDGR >Dexi4B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:4B:39020:39940:-1 gene:Dexi4B01G0000050 transcript:Dexi4B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPAAQRYYCHQCDRTVSIAPPASPDADVFCPRCAGGFVELLPPEAAPFFAPPPSFDLRHPSDLSAFFGPPSPDSLGPGLFDPSNFLHDHFGGLLSGGATIQIVLEGGGAPALGPGVSLADYFMGPSGLEQLIQQLAENDPNRYGTPPAAKDAVAALPDVAVSADMMQADGGAQCAVCMDDFQLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPDYHHNHQPAPAPAPAPATASSSPRVVERRFRISLPWPLRAAFGAAQAESSNPTNDDVPDHDASGGGPQTQTGYDDLD >Dexi3A01G0024790.1:cds pep primary_assembly:Fonio_CM05836:3A:20395285:20397680:-1 gene:Dexi3A01G0024790 transcript:Dexi3A01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKSPPPPSHSAHRSVQFKNRILLRSPLRFSPNPIPKTPARPSPPREPPIPQLGERIPSSPDLQIAAMEPDPVAVEASSLPPVASPTQQLQPRDDDDHGALECADPQLDERIPSAPDLQIAAMEPDPVAVEESSVPPAASPTQQLQPRDDDDRGVFECADPTSPERCASGGDQNIAPLPSPVSAARQPSPQQATGEDAAASCEVEKDQEQEEEESVPGEALRSFMEEFGEGENPLILSPQLKRITTPDRAAALHFLGEKYNSIIERYRKQVAKCADECGPRYDDLKKKYTDECAERKRLYNELIELRGNIRVFCRCRPLSSNEITRGCLSVVEINPSQETELQFVPSEKERKAFKFDHVFGPEDDQDLLDESNDPSKRLDVKQSADGTQEVPGLVEAPIYNINGVWEKLKFGAQNRSVGATNANELSSRSHR >Dexi1B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:1B:23782887:23785767:1 gene:Dexi1B01G0017450 transcript:Dexi1B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVGHRAANVGFPSRWRIRGGFLIPGRARFLSVEGTAAAPLRIDSLSQLRAHGGGGFADLEAVDGETPRLPRALGEILRDHQKMACPDLLKAALLSTLPLLAVPLEASAETCQPPNSFANMPIFIAVALIGAAVGGLLARRRKEELKRLNNQLRQINTALRRQAQIESFAPSLTYAPVGRITETDVVVDRRKQQLVTNLRNGKNYMRSQDLDKAVVEFRTALELAESIGDRFEEKKAARGLGASLQRQGKYREAMRQYAKVLELSKETGEDSGCTEAYGAMADCYAELGDLERAAKVYDKYIARLQPGE >Dexi7A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:7A:28259307:28261913:1 gene:Dexi7A01G0018970 transcript:Dexi7A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARLVSTSPAGLSYSPASKSLGRASGRVSFPARPYGALLHLSSPLMASAGIGGNGSPNAHEDSAGPSRIGEVKRVTKETNVHVRINLDGTGLAECSTGIPFLDHMLDVIYDLQQLASHGLFDVYVKATGDIHIDDHHSNEDIALAIGTALLQGLGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLDIPTERVGTYDTQVICGICQLYHFLISCSVVFGSWIITP >Dexi2B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:2B:30587805:30590547:1 gene:Dexi2B01G0020540 transcript:Dexi2B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGVLRYFVSKLMRSPAASPSPDPKLVREGQVVIRARNLRTNAQFIPTKAFKARKVYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGFNPAMSLGAEKDSLDIIQHDWALPKMERHAEDVLKKLLKK >Dexi1A01G0023790.1:cds pep primary_assembly:Fonio_CM05836:1A:30410806:30411943:-1 gene:Dexi1A01G0023790 transcript:Dexi1A01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHTTPLGLKSRGAMGGGECDDDAENQRWPPWLRPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLAHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDCFRFCSLGCKIVGTARGYRPRKKHGGGGGNKNKKRAALKDVRCDSEDSCTSTSGGGSSERSSVVQSFSPSTPPPTSCRPWNKRRKGVPHRSPFGSLIVEF >Dexi8B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:8B:466579:468275:1 gene:Dexi8B01G0000680 transcript:Dexi8B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADDQDSGSPRAPVPLHSKVGSVVKTGFWTMVDMASGRYLWRTLVAQPAKSESEKAR >Dexi5A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:5A:10101213:10108864:1 gene:Dexi5A01G0013500 transcript:Dexi5A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSSPRVEQERPPPATKRARPSPPRAQDAAAAAASAEDRLSALDDAILHAILVRLPLRDAAATTALSRRWPRVFATLPRLLLHPATFNRRGFPDEGCDDFCEDPARWIDALDAVLDGRAAPVAALEIHARFLFQFRDWFRGAFRELCGRSGGLLELSIDNTKHSESERYELPTPIYSCTTLTSLDLYNCRLRVPGKLTGLRAVRLGLAYVRSLRLRNVVATDADVRRLISRCSAMEHLEIQDIHRNIVIRSPCLEKLDICSYRPLCISVKKAPRLDTVGLSLFYFHGWIFRDTMYSDEDYSLSEIKEMAEREKQIDDIGNLVTFLGGLGCTKKLKLNMCTEYSKDKASKATSQQGYGLGE >Dexi5A01G0039160.1:cds pep primary_assembly:Fonio_CM05836:5A:39881787:39882236:-1 gene:Dexi5A01G0039160 transcript:Dexi5A01G0039160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPASGELRRVFASFDQDGDGNISAAELQLCMKAATGEDMSDEDARALVASVDADGDGLLDEPEFLRLAGEVSADDEDEEKCRWLREAFSMYEMEGSGRITALSLKLMLGRLGAHRDIGECQAIICRFDLDGDGELSYDEFKTMMMMG >Dexi5A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:5A:24535916:24539930:1 gene:Dexi5A01G0020730 transcript:Dexi5A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAANATRSAFSPTPARPRLSRSATPAHAPALLSSTSPSQFAPNPTTQRAPRRVWSCDQRRTAQALCSLVSPQFSTLQRPGPSQPVRSLLLQTPDPRTPARRTAMGNRGSRHHRHPADQPAAPPKPQAHAPPPPPPQQYQQPPPPQQYQQPKPKPAAAAPAADVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRGTGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSDKEDSPLKATDFGLSVFFKPGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFSSDPWPSISNGAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKLALKVVAENLSDEEIMGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKHDMGDDKTIKDIIAEVDTDHDGRINYQEFVAMMRNNSPEIVPNRRRAF >DexiUA01G0004560.1:cds pep primary_assembly:Fonio_CM05836:UA:8659043:8664038:-1 gene:DexiUA01G0004560 transcript:DexiUA01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSQIKELNQRLENIHKEADRFKFNINLGSNPEPRKLTDAERSVQKTTSEFNESAIVGEKIEQDTTELAQLLTTGSNQDIKVVSIVGTVGMGKTTLAQKIFNETTVQEHFKVKIWLSITQHFDDSEMLRAAIEHAGGDHGGTRDKTLLTRTLTNTLSSGRSLIVLDDMWSDQAWSHVLRVPIRNASLKQPGNWVLITTRFGDLAQRMGASFYQHHVKPLNDEDAWSLLNKQLPHYPNQVMGTDHLKDVGMKIINKCGGLPLAVKVMGGLLNTRSQTEREWETVLNHRAWSVSGLPDEMDSRIYLSYEDLSPQMKQCFLYCSLFPKGTSIWRGDIVPMWVSEGFIQPRHGVSSSTYDDRLEEVATEYYEELITRNLMEPTNLGRSKCRMHDVVRSFAEFMAREDSLVVQDNMQVVGGSGNDNRHPVRRMSIGPSDLVPDWAALRKHESLRTFITRCKINFGLRDYSLTTFSKLRVLFIRGGDCERVVDSLSKLRHLRYLCLEETNISRLPEDIHRMMFLQHIPIRGSRNWECLPSSITKLVHLRTLDMSGSNVNVVIPKGFGRLTGLRAPFTFPVHMDNNDGGCWCSLEEIGPLSQLRMLVLNGLENVSSSVLAEHARISSKGHLDFLELNWSSREWRGLRDEIEEKQEQQHAAEDVLEKLCPPPLPHTRSIYSRILWSHAAKLDDSTGGNRGLQELDAFDAERPTLLHKTP >Dexi9A01G0046800.1:cds pep primary_assembly:Fonio_CM05836:9A:50052605:50053792:-1 gene:Dexi9A01G0046800 transcript:Dexi9A01G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKDAAAEDVVIAGAGLAGLAVALGLHRKGVRSLVLESSPSLRASGFAFGTWKNAFRALDALGVGDKIRKQHLQAQTLRVVSLVTGEIVLIGCDGINSVVAKWLGLAKPSHSGRSAARGLAHYPNGHGFEPNFLQFIGNGFRYGMVPCNETDIYWFFTWTPSENG >Dexi2A01G0029040.1:cds pep primary_assembly:Fonio_CM05836:2A:40140223:40140573:1 gene:Dexi2A01G0029040 transcript:Dexi2A01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGIKGEDSGEPDNDEQDGRGTCVHDDEKKHARKKKRGPPGGELTHDGECWSSEDEFCCDCSDDVDPMHIMPYSTHRDGSIYRMAVGWRRDYCIADRDESK >Dexi1B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:1B:8149776:8151132:-1 gene:Dexi1B01G0009180 transcript:Dexi1B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTVVPLYQPARLAGLIPVMQSYVDALVANIAGYPDQDCVPFCQLSLRMAIDIIGKTAFGIEFGLSKNSMDSGSETDGSEGDDDVREFLKEYKRSMEFIKMDLSSSLSTILGLFLPCVQTPCRRLLRRVPGTADYKMSENERRLCRRIDAIIAHRRRDRAERRRTDGDGDAGDRSAPLDFIAALLDAMENGGGGGKELVQLEDRHVRALAYEHLIAGTKTTAFTLSSVVYLVSSHPSVEEKLLRELDAFAPPRAPNADELQTMFPYLDQVIKEAMRFHLVSPLIARQTSERVEIAGYVLPKGACVWLAPGVLARDAGEFPEPEEFRPERFAAEAEEERARHPYAHIPFGVGPRACIGHKFALQQVKLAVVGLYRRYVFRHAAAMESPIQFDFDLVLAFRHGVKLRTIRRE >Dexi3A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:3A:11667835:11669568:1 gene:Dexi3A01G0015660 transcript:Dexi3A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGDQSSSGGAAAEADAFVPRVGGPQSLRRRSGEASIDSSPRSGRASDGRRSTFSEDVGHAAAETYLVTSLAFTLLGYLGLDLYIPRDHSKSSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASEGISFVCNNATSFGGDPNR >Dexi1B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:1B:6876170:6877810:-1 gene:Dexi1B01G0008210 transcript:Dexi1B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPWLLDETMAGLLPNGNFERGPLPSHLQGTRVVGSPTAIPSWTTSGFVEYIPSGKKQGDMLLVVPEGAYAVRLGNEASIRQRLRGAAAGHRYSLTFSAARTCAQAEQLNVSASGQSGILAMQTMYSSNGWDSYAWAWVADGDEVEVVIHNPGVTEDPACGPLIDSVAIKTLNPPRRTNKNLVKNGDFEEGPYIIPGTKWGVLIPSLTVDDHSPLPGWMVESLKAIKYIDGESFAVPRGRRAVELLAGRESAVAQVIKTVPGRRYALSFTVGDASNACRGSLMVEAYAGRESTKVAYESEGKGGVPKRAVMAFRAASARTRVVFFSSFYSTRIDDLSSLCGPVIDDVAVVSVRAKHG >Dexi1A01G0024120.1:cds pep primary_assembly:Fonio_CM05836:1A:30752186:30753254:-1 gene:Dexi1A01G0024120 transcript:Dexi1A01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVVESLAPNMSTGESDPAAVPAESPHGIEVGVEFKPVERPVEPVNLDQPVKCPLPEPSILHVSQPRVLIAQIARENHDGSIWKEKMSSVSARVRTDLPVVQEGSQLESDSSNTRPRSAVPRRAILPSVSAPEHNILALLDECDVPVSHGSAE >Dexi6B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:6B:25930135:25931863:1 gene:Dexi6B01G0019090 transcript:Dexi6B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSASASPLLLPSGPRRASASRPWLRGGGASRSRALSSDAPCCCKAGGGSAAQCGAADGFTIGSGSSSTRRGLLGVAIGASALGLAAFDAVAAGLPPEEKPKLCDAACESELENIPMVTTESGLQYKDIKVGQGPSPPIGFQVAANYVAMVPNGQVFDSSLEKGQPYIFRVGSGQVIQGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPSSPVVFDVNLLYIPGLDDD >Dexi9A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:9A:4906977:4908812:-1 gene:Dexi9A01G0008320 transcript:Dexi9A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSNKRHAFFRFAAVTSRGTTFDTVRSRTEERYVFREHPFTETCRSTSRVPVSEEVYPLRTGNLKSVDPRPAYLSLVPAGGRACLVALAFLIFMEGTAAARSLLKQLNKPRSQLSSIEVPLADPSSFSKRHLMLLSEVTGAAAAPSCFFTAVV >Dexi9A01G0037220.1:cds pep primary_assembly:Fonio_CM05836:9A:41535791:41536527:1 gene:Dexi9A01G0037220 transcript:Dexi9A01G0037220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGSGAALSRQGSVCSLTFGDADGQLHGVNLDDLLLRAGRKTTVDEVWRNIQGPCPRAQMTLEDFLSRPGPGSGGAPAADAAATGWAHQLYEPSQGPQLGHHHPGVVGRPVPRPLGVGAGPVLDALYHEGGGKRAGGAEHGVAERSNERRKKRMIKNRESAARSRARRQAYTNELENKIVQLQAENDRLRGHKS >Dexi5A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:5A:6665385:6669418:1 gene:Dexi5A01G0008880 transcript:Dexi5A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIEGFRGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVAWFDDTGKRFFPSLFFDEESDEMTKGDSGNADSTAQGIMVDKVANSPPEVVKQVVLESSPPVPEKPSTVDVLRKKISSVERGSESFLFVQDLFLSGMGPFATPSNILHIHRYSPNDITAQCRFEAFERQMKSTKEARGDANVSVGLCDVDEKGVQYMLLCRMILGNMEAVEPGSQESFPSSEIYDSGSNIPLIQPDNLSAAKELLFHHYEELKENIISREEMVKNMIIIVGEKILLEALKKLHYCPSLWYKPSVEAVSSDTVMAAPEQLSLDKAGLTSKGYESVVPSLELGNSKSTGMKRQNSAPRMTPEGQEFLSLGIASRPAPHLVKPQANITSVVIPPVHMPGRGKSSSMSAEGRDSLALSITPKGNCGPALSKAPKPHESSIANMSTKGHGSLAPGITPKCISVPASSKVPKRDESTIPDMSLKGHDSLALSITRKAHEGGASSKKPKQVVDTLPESGHSQGQDVAAKVYRVPKPITGEPNKEQAAVPASQAKPSGRSLDASSHVTGAANALVALSTLREKGGH >Dexi4A01G0022280.1:cds pep primary_assembly:Fonio_CM05836:4A:25549397:25550260:1 gene:Dexi4A01G0022280 transcript:Dexi4A01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSLLLAIAAATCLAAVARAGNFYQDTEMTWGDGRGKVVNAGRGLDLTLDRTSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSHGDTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTRDFHTYSIVWNPQHVIFAVDGTPIRDFKNHEARGVAFPRSQPMRLYASLWNADDWATQGGRVKADWSKAPFVASFRGFSADACVWSDGRQQCPVGTMEAAAGGRSWWNQQLSDMSYRRMRWVQRKFMIYNYCEDAKRFPQGVPAECHLR >Dexi4A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:4A:23694164:23694943:-1 gene:Dexi4A01G0019860 transcript:Dexi4A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAGGGGRVLLAAVALVLATAAASAQAQSSEAHALRAFRAALQGPGGAPPGELSQWVTPTGGGPCAGDAPQWNRVKKCAGGRVVALNLEALSLQGAAPDLGLLAPLQGLRSLSLADNDLAGAFPDVSPLPALRSLFLSKNRLSGEISDGAFAALRGLRKLDVSSNGFTGAIPSSIATSSKLLDVNLSNNNFSGPVPDGLLRSGANLHLQVLKHSV >Dexi4A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:4A:20425958:20427854:-1 gene:Dexi4A01G0016710 transcript:Dexi4A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMTAKSKNSVLTLEKKQGWSIQLPELRFPWDSHEDKGFSLSLHGSGPAHGGLFASVGLKVSTGAPAVASSPGEKDIKIPFADHCMKYVSETAGYQVINTEAEPVEEDVVNGNKAKKKAKKHGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTFLPPSLVAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSTAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDEESE >Dexi3B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:3B:3215006:3218209:1 gene:Dexi3B01G0004790 transcript:Dexi3B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQRRLHALPEPAPCRPCPAAQRSDVSRIMAHAFDPTRASAAPCSSLLARVTITLRDLRARTAGPSPPLAPSNPPQSCTSSAPLCPAPPACSGHAHAATGRHAPWQALTGTPLPGPARASPGLPFPFPTKPKVHPPLVRHFRRRARVARGQPPPRLPRPNHRHQQLRRAPLSLTEPSPAFLRRPSRRRDSPEQSRAPPPSTTIFPTFSDHETLPEGLTVSSSSIPSTSQGRNRRRLAGARTPANSGLADGVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi2A01G0026020.1:cds pep primary_assembly:Fonio_CM05836:2A:37607880:37608242:1 gene:Dexi2A01G0026020 transcript:Dexi2A01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNPFVFHFQASMFTSSPARALHLCSLVELGARRLPASSDRARRGVLGGFVPFHRAGAVPQCTSEKPLRLPSVRHGSQSPRICCSAGSRYPLCRRTPPSRQAPGPPYRQVRSAPTSSTT >Dexi5B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:5B:5226015:5226668:-1 gene:Dexi5B01G0007680 transcript:Dexi5B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTELGPHTIQTHGVRLARRHTHDWVVLILLAALVVALHYAPPFNRFVGKDMMIDIRYPVKPSTVPAWAVPMVLL >Dexi8A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:8A:18307047:18307839:-1 gene:Dexi8A01G0010670 transcript:Dexi8A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTGAIGALIPNLLLKEEYDLQKSVKGGIRFLIVELESMQAALEKVSSVPVDQLDKNVRLWAREVRDMTYDMEDSVDTFLVHIQGKKPAKTDKITGFVDRTLNLLSKARIHGSKLSKSMIRHKIAADIVDVKGRVKEAKERLDRYKIDNLVATPVVTSVDPRLQALYKKVNELIGIEETRGEIINMMNNGDDISKEDLKIISVVGIGGLESQCEESSHGPSLRARQAELWEYS >Dexi5B01G0029920.1:cds pep primary_assembly:Fonio_CM05836:5B:31013780:31014909:1 gene:Dexi5B01G0029920 transcript:Dexi5B01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVPCSGRMRREDRAGVASLGRQLWAWRSGRAGILAVGPAARRASGREPTAEGTVGINQRRGGRRKAMEHSGGAAADGVAEEQPGHREKGAALVCAVWPWWCGSPCSRSPAWLVALDPSKGQGTAREATRETGAEITRGPRSGKDRRSRVGVGVRRCKKWGHWTVLRESSSRRYATSGAARRHKVMAMHACR >Dexi1A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:1A:9759209:9762946:-1 gene:Dexi1A01G0010990 transcript:Dexi1A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVERDLHMANGDSENSYAANSSFQRKALTETWPELHKATKAVLKSLSPGSTMVAADLGCSSGPNTLLVVSEVMNTIGACARETADDSISALEVQFFLNDLPGNDFNLVFRSLDEQQLRHSLVPVEGKAPVPCYVAGLPGSIYTRIFPCQSVHLFHSSHCLMWRSKGRFEKKKLSSFNMPYYAPSVDEVTQLIKQSNIFEIEDIRLFETNFDAHDDSDGDVVLDCSSSAENIAKIIRAGIEPLIINHFGEDILDELFRVYCSILAKNLEKGKAMCPVIVVIFPSTETGNWANTDGTCSGGMGVTSLGF >Dexi9A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:9A:302229:303731:1 gene:Dexi9A01G0000500 transcript:Dexi9A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSDGNILIERYCPPPLPLSARPPRDLAASCMRSAGIRRFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTTIGDVCLYIVGKDEYDELALAEVIFAVTSAVKDVCAKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >Dexi9B01G0038250.1:cds pep primary_assembly:Fonio_CM05836:9B:39360439:39361044:-1 gene:Dexi9B01G0038250 transcript:Dexi9B01G0038250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCWCVHAARGGAMATPLSGPFAPTTVLRSPLRHRALLLPFPPRAGTSGEDTSAAADQEPSPAATKTATADDDFEERILLIKSRVGPKKRGARKRKGGGAASASSSNAVTLPPVPLREPRSSLLGGAPVEFGFTAYSERLNGALAAVGLAAVLLVELGSGQALVKYHQPATLFLQAYTVAAAAALFVKYEKERISTWPGPK >Dexi3A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:3A:45825:48659:1 gene:Dexi3A01G0000040 transcript:Dexi3A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLYFGDIKDAIAALTDSSTTSPTFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPTAAVPHGTLMRVVERAGDGLRVTRMAVPLRDTEEENLLDHLEPCLDFVDEGRKVGNVLVHCFAGVSRSASIVVAYLMRSEQKSLEDALEALKEINELACPNDGFLDQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKFGEKIGSYMFEDDPGLSPVPGSCQDPSKTEQQKTAYRCRKCRRVIAVEDNVISHVPGEGESCFDWNRKKSGRSYNDKEQDCSSLFIEPLKWMTPAVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWVTPAFQIVKSKVDISTI >Dexi4B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:4B:4257461:4258196:-1 gene:Dexi4B01G0006060 transcript:Dexi4B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPTVYVQDHTFIFGPGLNFGACPIYLSVGDDKLFALDFSVFEVLRKLGHNGPWKWNELSYPPFLRLDVYSYDVQPDGSILVSTRSDTFIFDTKEYVWKLYGGWAFPFTGHGYYDTSLDGFVGLSKDSEKLGYLYCCNMANTMADDTGKRLHPSPDIKCTKENLYTKNLAERHVSATLLHMRPGRFCLVECVCIDSGMTDQKLREPYVNPKFMERGFEGGGPQSCRET >Dexi3B01G0028420.1:cds pep primary_assembly:Fonio_CM05836:3B:24588602:24591650:-1 gene:Dexi3B01G0028420 transcript:Dexi3B01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPATAATAVSFSLPSAPRGRGPRSRRRAPSLLRAASTAAPPSSDLSIQLSPRASPPASANGAATGPPVVASFARDRAEDLQAEARAMARAVGATVYSPELLAARYGSRPFKARGPGSQTRINFGIVALRAAEVLSKLGAFGVKLLLDERRGDSSSAKRRERAVELRTILTRLGPTFVKIGQGLSTRPDLCKVEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSMYSAISPSPIAAASLGQVYKARLKYSGKLVAVKVQRPGIEDAIGLDFYLLRGLGFLINKYVDIVTSDVVALMDEFARRVFQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSGKVLTMEWIEGVKLNQQAAIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPDFKVLAASWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVLQDPEARVLGSRVAGGVTQRLAARLLQQLLRIPPASGSQ >Dexi5A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:5A:21996478:21996809:1 gene:Dexi5A01G0018450 transcript:Dexi5A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILAFLLSPAFFYGAREAPVFSMVLTGLEGLNATTINGRRTVSPVFSLKARMDNPRALQSWCYDSGEVVVSYSGVALAWGHVPHFCVHKGAPTEFTGGWLVR >Dexi2A01G0037010.1:cds pep primary_assembly:Fonio_CM05836:2A:46494135:46496578:1 gene:Dexi2A01G0037010 transcript:Dexi2A01G0037010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEFTMAPRSYCSLATLFVVVFLPWLPDAAEATPWFWWPPSGGGDDSYCLSWRVMVEANNAKGWRTVPPPCVAYVRAYMAAWGGQYGRDVAAVAGQAAAYAAEISPAAADGLDAWVLDVDDTCLSNQPYYQAKQFGPYDPVAFRMWASRGICPGIPAMQWLFQTLKARGFRVFLVTGRDEETLGSSTVANLNAAGFSGYDRLIMRGAEQRGQSSVAFKSAARKRLAAEGYRLRGNVGDQWSDLQGGCVGDRVFKVPNPMYFVP >Dexi2A01G0029390.1:cds pep primary_assembly:Fonio_CM05836:2A:40451169:40454203:-1 gene:Dexi2A01G0029390 transcript:Dexi2A01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCPRLLLPAARVAAVHNPRCTPSSFSPGRFSTWINTVQSASAGTGSQSSVADEPEAAEWAMQDFYALRKEVELAIERVSEVRQSAGMEQLKEEISSLEKKSGDSSLWDDPSKAQEILVSLTEVKDRVKLLNDFKSQVEEAETIVKLTEELDSIDTGLLEEASKIIKSLNKALDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMAVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALSRLKAKLLVIALEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDITGVMDGELDPFIRAYLKYKLTTAASS >Dexi6B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:6B:23257802:23258445:-1 gene:Dexi6B01G0015960 transcript:Dexi6B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDHAAAAGQAAAPSSASAASSSSGLTFKLHPLVIVNVSDHYTRVKAQAACSGDGSSSSGAAPGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPISGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDIMKKL >Dexi8B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:8B:9835763:9837511:1 gene:Dexi8B01G0007830 transcript:Dexi8B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVLIVGAGPAGLATAACLARRSIPYLLVERDCCSASLWRHRAYDRLKLHLAKEFCALPYMPYPEDTPTYVPKKEFVDYLDAYAEHFGIQPRYHTSVESAEYDEGEGRWVVATRDTAAGTEIRYAARYLVVATGENGVGWIPEIPGLESFPGEVLHSSTYMSGGRHAGKRVLVVGSGNSGMEIAYDLACHGVDTSIVVRSPVHILTKELIRFGMTLVQHVPVAIVDSLIVTVANFIFGDLSRYGIVRPKPGPLRQKSKTGRSSVIDVGTVGLIKKGIIKVFQEVTNVIGKKVEFKGGEERYFDTIVFATGYKTTVNLWLKDGLPEKDYPNHWNGDNGLYCVGFAKRGLAGISMDAKNIANDIVSAEDLLSG >Dexi8A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:8A:10446027:10447784:1 gene:Dexi8A01G0008560 transcript:Dexi8A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGTAVQDEGGIRLFAARVTANIAGRLRISEAPAGMVKSVSSLLDAEIVKATTLISKIIGLIAYTNDNHNAVICSSVHLVWRLVSTEGKIGATIRQELSDSPFLIDNLAGTCEDSRSSPEVLMLAMDTIAKLALDENARQEVGSKQVIICKLVHSFLGRDEASEVSLRIAAGEALVNLTIESPANCSAILEVLGCHLIKDLKDMLCEAEYKIYMCTAASLLQNLCAHSRDKLTSLPGASEHLRSTLPTVMENIVSAEGKQLEILIGLASEICSISECFIFDLESRTDEVGAKVVRKLVGTLNSNRKPSCEYPRMRRVIVEMVISFVTSYPGYRTMLKEEGVMETLSKVAMTPSKVEKYRVFSGVDGVVLECGLPLCDLVDRVKGLIGYATPYPCT >Dexi9B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:9B:14527611:14527936:1 gene:Dexi9B01G0019880 transcript:Dexi9B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQASLAQGAGKGKQPCCPSGNSRRKKQVEAKEGSSARWKPPPPGHYKVNVDGSFVAQTGEAGLKLMLALKV >Dexi8B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:8B:25724227:25724881:-1 gene:Dexi8B01G0014930 transcript:Dexi8B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGECIKAVSLLFRIAALGLSVAAAVIMATASQLVIVGDGRRSSSTSSYTISYSHYTALTYFVAATAISAVCTAAALYLYGVRGAAGNGWLPVMTLMDAAAQGFLFSAAGAAFAARGVIGGGVAAPWGGSGTATGSVCDAAGAFCGKVSVAAAVCALAAVAVAVAALAGDARRGSSSSRGSCCDW >Dexi6A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:6A:24713169:24714569:1 gene:Dexi6A01G0016880 transcript:Dexi6A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEATVTDAGRAAPELGLGLGVGGAGRGEEDGGRGSRAATSAARWWAAPVAEPEPAVRLSLVSSGLCLHWPPTTSHRGRSSEAPARGFDVNWAPSAAAASALGMEDDDEDPASSSPNDSAGSFPLDLGRRAHADGGGAAARAGGERSSSRASDEDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCEALTEENRRLHKELADLRALKTAPPFYMQLPATTLSMCPSCERVASNPTSAVSTSSSTPPPKTTTPTTISAAATVAAPARVEQHRPSSFAALFAATRSFPLASQPRPPAPASNCL >Dexi2B01G0030360.1:cds pep primary_assembly:Fonio_CM05836:2B:38632182:38632728:-1 gene:Dexi2B01G0030360 transcript:Dexi2B01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVVLAESDLWFTFPLLSDEPVTEGYSILGLRIWWGTRRRGNSDAICKSCHRHFEFPHTLTSKTPECGHKNVERICEMCGSRSCVLHPFPDQNN >Dexi9B01G0048390.1:cds pep primary_assembly:Fonio_CM05836:9B:47369640:47373200:1 gene:Dexi9B01G0048390 transcript:Dexi9B01G0048390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQEDDESVAWVGGGSGDAPAVLLHGSLDIWIHEARNLPNMDILSKTVRDLICTKSKASDQAMTSDPYVTVQVGSAVVARTFVIPDNENPVWAQRFVVNVAHEAAAVNFVVKDSDVVGAELIGVVSIRADRLKTGERVEGTYPILERGGKECSPGAVLRLSAQYVPVARLTMYHHGVTPGPDFAGVPRTYFPLRRGGRVTLYQDAHVPDGTLPEIRLGDGACYRQGQCWRDVYDAMSQARRLIYITGWSVFHTIHLVRDGTGGMALGDLLRRKSQEGVRVLLLVWDDPTSRSVLGFKMEGYMGTRDEETRRFFKHSWVQILLCPRSAGKRHSWVKQQVLSTTLTVITDGNCWFQETGTIFTHHQKTVIVDADAGNNTRKIVAFVGGLDLCGGRYDTPRHMLFRTLHTFHKDDYYNPNFAVEDARGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKRSGTKKLTKSRNDTLLWIEKIPDIAAIDDDVYSDDNDPERWDVQIFRSIDSNSVKGFPKDPREATSKKETMQMMYEIIYKALEEVGLSGKYEPQDYLNFFCLGNREAEDTSCSSSGSSSANNPQDQARKNGRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQHTWANTLSAPRGQIFGYRMSLWAEHIGAIEESFTRPESLECMRQVRQIGQHNWEKFISSHMTKMRGHLLKYPVSVDPRGKVKPLPGCPTFPDLGGNICGSVLNIQENLTI >Dexi3A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:3A:923816:925407:-1 gene:Dexi3A01G0001300 transcript:Dexi3A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPPPCCTPVAPKHYCSPPQHPAARRRRLDGSPARRPSCCLICLYAGRPRAVQGAVQTTMAGTAPTGDGVPPACSNKTSGARLTRMAPPKKKKRAPTTTQQPPAPMHSTPAAPSAPNSGGGATMSDDQNMFDGMPESNSFMDLLSEDVPIAQEMYKQKDKKHHRPFVMLHCWQLLKDNEKWRARPTDNVQKKLKSCHSSSPNMEEEEEDDDDDSADEEEGRRRSPTPSSRPPGRKKEKERVKKQAQGALYKEVLEKMMHNKQELEAEKKRDKEEKWKELKAIEERKVAIEEERLQIKKEAEQRLQKEQDQKIMFMDTSNLDPQQMAFVEAMRAQIIASMNLNSFNFGDGSSM >Dexi1B01G0025430.1:cds pep primary_assembly:Fonio_CM05836:1B:30466109:30466815:1 gene:Dexi1B01G0025430 transcript:Dexi1B01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGRKPEAAPASIHPSIHPPNPPALELPTQVATMAVMVARQGRELQRYSQSTGGRIVVGCIPYRVRRDDGELEVLVITSQKGHGMMFPKGGWEEDESMDEAARREALEEAGVLGDTEQVLGLWHYKSRRYVDQTYEGIMFPLRVADELHQWPEMASRKRTWATVAQVMDGCPHWWMREALETLAARHGKLSSAL >Dexi2A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:2A:3537158:3538453:1 gene:Dexi2A01G0003990 transcript:Dexi2A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLSAAAPHEALPDSFVFAPDQRPPASAAAVALPVIDLSGPRDEVRRAVLEAGKDLGFFQVVNHGVPEQAMRDMESSCEEFFNLPASDKAAFYSEDTEKPNRLFSSTTYEVNGERYWRDCLRLACAFPLDAGAARREWPDKPGGLRAAVEGFVPATRELGMELLRLLCEGVGLRPDYFEGDLTGGDVVVNVNHYPPCPEPEKALGLPPHCDRNLITLLLPGSVPGLQVSYQGDWIRVQPVPGAFVVNFGHQLEIATNGLLKSVEHRAAANGEVARTSVATFIMPTEDCLVAPAAELVDGGDNPPRYRAVTFREFMRVYKTVGARRESVEKAFKI >Dexi4B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:4B:19699256:19701214:1 gene:Dexi4B01G0017390 transcript:Dexi4B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSNGEWSASEIEMVKSLIARYNTSNNCNDNMNKKHIDIVNEVHAMLPMKDKLQVIRLYVDLIMEMMPSGTSNDSYYHGVSGRANPVNINMEIPVEDPAVYTTRVAQEAPRRQPPPRMERRTGFWTTAEHRLFLRGLHVYGRGNWKNISKYFVTTRTPVQVSSHAQKYFRRQGNDVPQQRHSINDVGLYDAEPWAQNNTSTWEGFTFTGAAYNQNRYGASDRASGQHATINNQPHVQSSILYHTSQANNDNEVAAWANDQQIGATSSSVAPVMEGGYGLPSG >Dexi9A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:9A:8507099:8508454:-1 gene:Dexi9A01G0013280 transcript:Dexi9A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKITSSKIVKPAYDAGEAPPSTAESVPLNVFDMVTYDVHIAVIYAFRPPNPPNAALEHGLACALAVYREWAGRLVVSGDGDGRPAVLLNDAGARLVEATVDAPLARSMPFKPSPELLRLHPSIDGHVEELVQVQLTRFSCGSLVVGFTAHHRVADGQATGNFLVAWGLASRRLPVAPLPICDRATRFLPRHPPLVEFPHRGTEYHLPATAAKHAGGEEEEEIGGGAAHDDKIKVHKVLFTKEFVARLKARASSSGRGYSTFESLVGHLWRAVTSARGLGVAGGEVTTTKLRISVNGRARMRPPVPRDYFGNMVLWAFPVADAGELVSRPVQHAAELIRRAVSRVDDAYFRSFVDFATSGAVEDEGLEPTADESQVVVCPDLEVDSWLGINFYDLDFGGGCPFYFMPSYLPMEGTLFLLPSFLGDGGIEAYVSLFEGHLEEFKRICYNID >Dexi1B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:1B:12525628:12529695:-1 gene:Dexi1B01G0012030 transcript:Dexi1B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSASPCQAPSHAGLGLPLLTSPGASAGTLAFGRRRLRLRGATAAAPTGMHKSLGVATYILFFLVAGHLFLGLLKKQCSSIAAVGDMAAVSDDYVESSPSSSGYPNGSLGSSHEDNQSESVAKMNQKSRDNNKMIKICDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQERADAEPNPEMKHKYLRLGRKLKEIDEDVQRHNELLQVVKSTPSDKIGAIVAKRRKDFTVEFFNHLYYVAESYHDEPEKQTELARLGNDCVDALQAHDDATGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMLEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEALHTWARAIVDAYYNSREGTLLGQARDLMNPKIIKRVEEIVKIIKDKYL >Dexi7B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:7B:18679718:18682899:-1 gene:Dexi7B01G0011670 transcript:Dexi7B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGSSGLLNAVGKRNMKFFSNGYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAMLGAAGGGWINDAYGRKKSTLLADLMFALGSLVMCAAGGPYILILGRLLVGLGVGVASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAIVQFVLMLFLPESPRWLYWKDDKAQAIAVLEKIYDSDRLEEEVELLASSSMHEFQSDNTGSYLDVFRSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSVIVAAMNAAGTIVGIYLIDRCGRRRLALTSLFGVVISLVILAMAFILQSSSSICMAAANGNCQGVLGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLIVAQIFLSIVGLLGTGVTFLIIAGIGVLAFIFVAMYVPETKGLSFEQVEQLWKERAWGSSDNRQSLLGAAP >Dexi9A01G0049690.1:cds pep primary_assembly:Fonio_CM05836:9A:52283331:52284981:1 gene:Dexi9A01G0049690 transcript:Dexi9A01G0049690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPPTPRRHLHVARCSPDPSPPPPPSNPNNKREPPLLVSLLSAVPDWADAVQERRIRDRRPLYDHASWRAHRSSRRHLRHFLSSFSSRVILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAAAADLAGMVRPPAPEPAPADDDHLRRALINYILAFPVALKCHIICDSDVRGDLQGLLAEDDLNVVLASKHRPRCIIEFISQTLHMLDLDEQKRTIMVSKLSCFLEGIGVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWNECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDGIKDVNEVQNSVHTRLVAKTKGRKGTDNGWPSSKREAAKID >Dexi5B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:5B:5195400:5196695:-1 gene:Dexi5B01G0007660 transcript:Dexi5B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLILLLLAGAAAHASDDPFLTAAANHSYNIDCGGAADFTSSFGRRWLSDQFFSPGGAAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPAGRYYLRIFSVYDNYDSKARTPSFDVSAAATLVLSFRSPWPEPAARYGAYSDLIFPSAATDNASDVCFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLVLVNYGRVTCGNSLFGPGFTKDPDAFSRVWQADVDFRNNDLSYDAITAGGRKIFGSNQPPNYFPTKLYESAVTTGGDATNEIEYLMPVDTRLSYMVWLHFAEIDAGIGAAGQRVFDVMLAGENVTRIDIFKQVGGFTAFKWTYIVENLTSSTLSVKLVPVVGRPILCGLENYAMVPLEMRTVPSQGNSNLLEDKFN >Dexi9A01G0041200.1:cds pep primary_assembly:Fonio_CM05836:9A:44860201:44862872:1 gene:Dexi9A01G0041200 transcript:Dexi9A01G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAATMVIRDSVEPILDDYRPPGISSLKFSRLSLGTKGQITMDMDFRWGGDPNIILAVETLVAPLPIQFKNLQVYTIIRMPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVFPLGGVDVDVSDLELKPHGKLSVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTSVIDDNLNPQWNETFELIAEDKETQYLILEVFDEDKMKQDKRLGIAKLPLNDLEMETVQEVNLQLLSSLDTTKVKDKKDRGVVYHQFTKAQALEALELEKRAVEERRKVRGDTAAVTGAADAASGMASTVTNVAGTGVAAAGTVAGSGISAAGSGVGMVGTGIGAVGSGIGAFGSGLSKAGKFVGRTVTGPFSSARRSASSVPNIDD >Dexi4A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:4A:2958368:2958858:1 gene:Dexi4A01G0004130 transcript:Dexi4A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTHRYVPATMVVAAAVALLAVLASASHHDACENAQQAFSECVPYVIGMEPRKAIRGCILSEVKAAGSGKMDAGRAAGLAGSCKVPIGFVPTKLDFDCSRVL >Dexi5B01G0036330.1:cds pep primary_assembly:Fonio_CM05836:5B:36089043:36089798:1 gene:Dexi5B01G0036330 transcript:Dexi5B01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLSISSSHGLASSPAISTCRPATCGRFPALVGSSAVSQKRSLTMMMGSKMKGVTVVRSSSSETTSIEVEAVTGQVTEVTKDTFWPIVKAAGDKVVVLDMCGPCKLMAPKFQKMSEKNLDVVFLKLDCNQDNKPLTKELGIKVVPTFKILKDGKVVKEVTGAKIDELARAIETVKSS >Dexi1B01G0029780.1:cds pep primary_assembly:Fonio_CM05836:1B:33968745:33969331:1 gene:Dexi1B01G0029780 transcript:Dexi1B01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLTSPWRIGGDADECMYASASADSAAIRRRVSKILPVDVMVESAVGDELVDEHRHFHFEAAAKQLDDVPVVDLGEDEHLPRELFGLGLLHHLGLLDGHHRLVLEHALVHDAMPAAAEDLVGGEVVGRLLELLVGEYPEPTCSGAGVAVGAHLLLEDDTPVLLEEPLLCSDELPLLEPQVEPEAHEH >Dexi3A01G0028760.1:cds pep primary_assembly:Fonio_CM05836:3A:29679042:29685467:-1 gene:Dexi3A01G0028760 transcript:Dexi3A01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLKKYFGYSSLRPYQKEVIGKLLEGRDSLVVMATGSGKSICYQMPPLLLSKTAVVISPLLSLMQDQVMGLKQKGIRSDYMGSTQSNTSVTRDAENGKFQVLYMTPEKAMSLPTRFWDNIRSKGVCLLAVDEAHCISEWGHDFRVEYKKLHLLRNMLPGVPFVALTATATERVRADIIESLKLLDPHIHIGSFDRPNLFYGAKCCERSVDFINQLKQDVTKSCESSESTIVYCATVRDAEKIHSVLTSHGIKTGLYHGQLGKKDREESHKLFITDELKVMVATMAFGMGIDKPDVRCVMHYGCPKSLESYYQESGRCGRDGLPSVCWLYYRRCDFNRGEFHCSEAKSIAQKTSIMESFLAGKNYCLLGTCRRQSLLMYFGENIDPQCGNCDNCTTAIKVQKDLSKETSSLLSVINQMGGRFGLNLPIDVIRGSRGKKVIENLYDQLPEYGSGRRHSNHWWKALGTILLNNGKHLSFISSGLNLMSGLPKIFTVCLSDKGKAYIDDITARHTPLLLQRVKEANSQERKKVCGLGVCRRERERERERRAATTETSWVVEASTAAAEERRRGKSRGGGVRRKGKRDGGGERGAEEEEEEHDGGQKVAAAERRRSSAAEAKEWRRRRRKIGDGGGGRRG >Dexi6B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:6B:624652:625214:1 gene:Dexi6B01G0000700 transcript:Dexi6B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQEVVSSDTRCKHFNFTTVEMSKFFKRIKSLKNDPECKDCKHEATARQLTPDGPDSCFMMCSACSQCFCTISVTNEDGLNLKVITLMARSHEFGYAVRGIPNRWNTCYVNALAQCLLALDELWMLMLGPHAPAGSLGVALKGLFLETVWE >Dexi9A01G0046890.1:cds pep primary_assembly:Fonio_CM05836:9A:50100837:50101359:-1 gene:Dexi9A01G0046890 transcript:Dexi9A01G0046890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNRPYHPLLLSSDAAPAEERPAAPAARVKVLFFARARDLTGVAESSVEVPAGGTAGECLARVLAQFPKLEEIRGSMVLALNEEYAPDSAKVADGDELAVIPPISGG >Dexi2B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:2B:7895247:7896678:-1 gene:Dexi2B01G0007650 transcript:Dexi2B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGAAAAAATARGKMDPRRQCTCEKSSADTGDVSAGDRITTLPLELRARIASLLPHWQEVVRLSVLSRAWRHIHHHTPAVKIDLCNFYIGEAPPMFGIVEPSILSVRVALGRRAQDASASRVDTLMLTYHVDDDRRLRRHADRIIALTDARSIRIRAPYREDPELQAWTVDLPPAAHHLEVVGSDSELEDVTPNLLAPAIAGSSAAALRKLNLESVLIREWPAHLPSLRYLSLAGVTIEAPFAPGAWCPLLEKLNISCAKVEHVRVDIRLPFLRFMNLDDLDVSPDGDSERPPFGEITIDAPELLRLAVNCDWPEFTADYKSFTLRAPRLRRLFWRNQFAEHVVINVGRAGSVKKGAIELRTIHRRDLKDYQEQMMRMLEGLLPDLPQENIADVSKPFITLEECNDSDDDDEDDKYEKLTCDIKALIRFKRKNIKALMSRGI >Dexi7B01G0022370.1:cds pep primary_assembly:Fonio_CM05836:7B:27309276:27311345:1 gene:Dexi7B01G0022370 transcript:Dexi7B01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGGSHSLELAAAAPELDDDGHAPRTGNLWTCFAHIITGIIGCGVLALSWSVAQLGWVGGPVAMVCFAFVTYISAYLLSHCYRSPDLEKRHQRNYTYMGAVRTHLGEKRTWLCGLLQYLNLYGTAIAYTITTATCLRRKHTTRGRGRAIKRANCYHEEGHDAPCSAHDEHLFMLLFGAAQMVLSFIPNFHSMAWLSVVAAVMSFTYSGIGLGLGLAKTIENGTIKGSIAGVPMSTPAQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLKSPPPESKTMQKGNVLAVLVTTFFYLAVGCFGYAAFGDASPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFAARFPDSAFVNRFYAVRVLPGLPSYKLNLQRLCFRTAYVASTTGLALLFPYFNEVLGVLGALIFWPLVIYLPVEMYCVQRGIQPWTRAWVALQAFSALCFVVGTFAFVGSVEGVISKRLG >Dexi4A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:4A:10814129:10815809:1 gene:Dexi4A01G0012260 transcript:Dexi4A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIPRCCASGESIGRHVLNHDINRLSTLLRRSSTSSVAAPAPALPFPGIPFPFPPIPSAHPPLPGIPFPFSPIPSTRPPFPGIPFPFPPVPSTRPPFPGIPFYFPPAPAPSPEPYPAIPFSPAPRAIPFPGIPISPAPPAIPGIPISHPPVVPPTEPPSVTIPDSSGDYLNTLEFVVTVGFGTPARPYTVVFDTGSDVSWIQCQPCSGHCYKQHDPIFDPTKSATYAAVPCRNKECRAAGGKCDSNGTCIYKVHYGDGSSTSGVLSHETLSLTSSSALHGFMFGCGEKNLGLFGHVDGLIGLGRGKFSLSSQAAKSLGATFSYCLPSHNGTQGYLTLGSTPVSNKVQYTAMIQKPEYPTFYFVDLVSIDIGGYVLPVPPTVFTSTGTLLDSGTTLTYLPSKAYTLLRDRFRFTMKQYRRAPAQDPLDTCYDFTGQPAIFIPAVSFKFSDGVVFDLDFSGVLMFPDETTAIGCLAFAARPAGMPFSIVGNTQQRSAEVIYDVGAEKIGFN >Dexi5A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:5A:24333337:24336255:1 gene:Dexi5A01G0020520 transcript:Dexi5A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAAAGAAAAAAGPRRGGRREPATMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFGAAPLRLPPLPDGGGDGTRLLTIADDPPPPPPELEIEPEMPAARRTEAHWLESLLELRSRFHDPTKRDVLDDDDEDIYRLDADHHDGGCGVSYDEEEEEAEDARWDQQSFGELLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKHYGLRFVTSSLEKKAEAGIITAKLDADSTRPRTAPAYEVASGPQPRRPIRSHLAYEVAASAASYVRSRARGLLSFGTPPHHHHHQHHHPADHGRLYNSGVAAYMAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFACDEADARTRCFVIQGSDSLASWQANLLFEPTEFEGTGVLVHRGIYEAAKGIYEQVLPDVEAHLAAHGGGAGRARLRFTGHSLGGSLAALVSLMLLARGVVTPEALHPVATFGAPSVFCGGNRVLEALGVGEGHVQSVAMHRDIVPRAFSCRYPGHVIALLKRLNGVLRTHPCLNTHRALYTPVGATYILQPDSSASPRHPFLPEGAALFRLDPDGAAPRALVVSALRAFLNSPHPLETLSDLSAYGAEGAILRDHESSNYFRALSALARAPPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVIPSPVAVGNKEPVLVSEA >Dexi3B01G0027170.1:cds pep primary_assembly:Fonio_CM05836:3B:22689937:22690503:-1 gene:Dexi3B01G0027170 transcript:Dexi3B01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGSSGGGTGIRWQPHGRLLTGCLVALNVFLVLLVYVYFWRFFSRARRGGGGGGGEASSSVASSPPASPKARDRQEVERAITALPVFVVSSSSAADEAAAAARECAICIAEFADGEEGRLLPRCGHRFHARCVDAWFRFQSTCPLCRAAVLDVAAAAAAAPEEPTANALSHQTDSDHRPSTEDSPV >Dexi2B01G0020990.1:cds pep primary_assembly:Fonio_CM05836:2B:30966208:30966587:1 gene:Dexi2B01G0020990 transcript:Dexi2B01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCGIHSILQAILSTNGELDSRWCNYDILNWDIVVKTNIPRQYDGCSCGIFVIKYMQYWNRREITSPFSQEDMETIRMKMPAELIMTPLNALTRSKERVLAMQKV >Dexi8A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:8A:27764595:27766586:1 gene:Dexi8A01G0016360 transcript:Dexi8A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHQGNSTSCTVEEEILAPLLARLTTMSTLIESSAALPPPPPPPNGPATTHNNAGAGDDGTKVQARELLAKVRREVVQLQAVFRRVDDAEKRIRYSFDPLEHHLDDALQLQLQLQLQLQLQLQLDGDDPHPLDALRIHTSLLDVHACVEAIKAAIRDTYNDLPCGVTAGDPVVVGGPPTQLTAGVVMTEKMSDVRRGPQMSHLSIAVAGLVERLRSCALCLAAFPEGAVVKKRLLLHWWMAEGFVRSADDGKSRFDELVARGFIIPAAGPGPTTAAAPLCGTVHRCTVRLWMRDLLAGVAKRKGFLELGNAGDVAFARRACLRGGGGGGGKHPAVVGFSAGVRAIYNIGHKYVELDEKWFPGKGDLRVLQLGQWRKFTTRDQIANPMDSHIEVSGVDRLRDMGRSCKNLRYMSFRGISRIESLPDSIGKLQELQVLDLRACHNLEHLGKGITKLDRLEYLDLSECHLLVGVPKGLGQLTRLQILKGFVVANSNSRDLCHLNELTKLDKLRKLGVVIGKMAMPNDDEFIKLAQFKALESLKISWGVLNNLSAKSGRPCMETIKYALPPNLKKLDLHCFPFADFEQWVQPTGVRKLYIRGGRLTTFGDGKEGWEAEVLRLRFLSDLRCDFDRFQRLFTKLKPENTEIHECPNFIHRLSDDVGEK >Dexi7B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:7B:4950533:4964445:-1 gene:Dexi7B01G0002580 transcript:Dexi7B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGYQMPKSTTSLFVKVLLASLTSQMRQLRQWIFHTSEHNGNPFLLSFCSGSEDPRGPSEPYQMNGIINEAHNGRHASVSKVVEFCAALGGKTPIHSILVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERVGVSAVWPGWGHASENPELPDALTAKGIIFLGPPASSMNALGDKVGSALIAQAAGVPTLSWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMDYGGGYDIWRKTAASATPFNFDEVDSQWPKGHCVAVRITSENPDDGFKPTGGKVKEISFKSKPNVWGYFSVKSGGGIHEFADSQFGHVFAYGLSRSAAITNMALALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANAATVSDYVSYLTKGQIPPKIETVRTGHGSYRLRMNDSAIEANVQSLCDGGLLMQLDGNSHVIYVEEEASGTRLQIDGKTCLLQNDYDPSKLLAETPCKLLRFLVADGAHVDADIPYAEVEVMKMCMPLLSPASGVIHVMMSEGQALQAGDLIARLDLDDPSAVKKAEPFDGIFPQMSLPVAASSQVHKRYASSLNAARMVLAGYEHNINEVVLDLICCLDDPELPFLQWDELMSVLATRLPRNLKSELEDKYKEFKLNFYHGKNKDFPSKLLKDIIEANLAHGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLSVEELFSDGIQSDVIETLRHQYSKDLQKVVDIVLSHQGVRNKAKLVTALMEKLVYPNPAAYRDLLVRFSSLNHKRYYKLALKASELLEQTKLSELRASIARSLSDLGMHKGDMTIKDSMEELVSAPLPVEDALISLFDYSDPTVQRKVVETYISRLYQPLLVKDSIQMKFKESGAFAFWEFSDEHADTKNGQEAVLGQKRWGAMVVIKSLESARTAIVDALKDSARHASSEGNMMHIALLSSENENNISDDQAQHRMEKITKIFKDSGVVNDLRSAGLKVISCIVQRDEVRMPMRHTFLWSDEKSCYEEEQILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQLNADNKFASAQVSNTEVGGLEESLSSTSNSILRSLMTAIEELELHAIRTGHSHMYLCILKEQKLLDLIPFSGSTVVDVGQDEATACSLLKSMALKIHELVGAQMHHLSVCQWEVKLKLDCDGPASGTWRVVTTNVTSHTCTVDIYREVEDTESKKLVYHSASSSASPLPGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALRKSWELSGCGVSKGSESSKPYVKATELVFAEKHGSWGTPIVPMERPAGLNDIGMVAWILEMSTPEFPNGRQIIVVANDITFRAGSFGPREDAFFEAVTNMACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTEEDYSRISSSVIAHKLQLDSGEIRWIIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILQWLSYVPANIGGPLPITKPLDPPDRPVTYIPENTCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPIAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELINLKAKLQGAKLGNGSLPDIESLQKSIEARTKQLLPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEVRRIAGDHFTHQSAVELIKEWYMAAQPTTGSTEWDDDDAFVAWKENPENYKGYIQELRAQKVSQSLSDLANSTSDLEAFSQGLSALLDKMEPSQRANFVQEVKKVLG >Dexi9B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:9B:8505241:8505480:-1 gene:Dexi9B01G0012640 transcript:Dexi9B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKRRGTWGVDGKGIYYGEAPWPCATQAALGARAADEITPAITTTGRVQPGGPAESREAGTPAPLDDGALVVRLGGS >Dexi5A01G0034770.1:cds pep primary_assembly:Fonio_CM05836:5A:36757544:36762127:-1 gene:Dexi5A01G0034770 transcript:Dexi5A01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTFARLRDAAAPLAASAVRRCSGGGRIRADANCPSCDANMSVQFSLRQLPALPPAATGAVDGGKHHNHDGAGVCPSCRAAFLFRAHQIDPLRGAFLEIPGGIGGDEEDGDRAGFADRIKRMLSERPPDEFPPLPQAPPMPMPHYQPRRKSNRRRPREEGGGGGGNGGDSSSGGEGSTSASPKREWWGGASLGDELPTPREMCRRLDEFVIGQAKAKKLEPKLNFCFIIQAGYVGEDVESILQKLLVAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRSESIQIDTTDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGVSSPMVSSSLLESVESGDLVRHGLIPEFIGRLPILVSLAALNEDQLVQVLTEPKNSLSRQYRKMFNLNKVKLHFTDGALRLIAKKAIAKNTGARGLRAILETILLEAMYEIPDEKTGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALDQYITRTNVMNLRETNDGLAGELEEAYMLSRIVSL >Dexi1B01G0031620.1:cds pep primary_assembly:Fonio_CM05836:1B:35093113:35095735:-1 gene:Dexi1B01G0031620 transcript:Dexi1B01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLLPSSTSTYSRRSPPPQSRRYAFPSHVSFPARPRHRIRLVRAADQPNGAASSGSGSGSGSGGDSNPANNALPKNRRDILLEYAKNVQPEFMELFIKRAPAQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEENDSPDYAPGTQKKVTGEVIRWNKATGAEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKSLEPQNLKELTSSAGEDVVFAMNVFIKRLLAVSDPEKMKTTVSETSASQLANLLFWLMMVGYSMRNIEVRFDMERVLGAPPKVAELPPGETI >Dexi2A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:2A:21950836:21951716:-1 gene:Dexi2A01G0013410 transcript:Dexi2A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGRCRGRKARTLPPPAAARPPPPPSVEGAVTVADITEELLRSILRRLAPADLLRAALACHYWRRAASRVLPRAPPLLGYFFHSHNTVKPPPSPEPTNKTHYPAVFVPLDAASPRLSLDLAPTAARGLSIQDVHLVAPAPILAIDPASRRRVLLSPPPRGALPRDRWRGIRSVIGVAVLSRAHPSRLSFDAVCLTVDGDLPRAWVASIRDGDCAWRVLPLAEGVVVDFDPWWFEARCVHAAGNIYWHICNSSRLLQLDPRTLELSFMPVLAVLGDTFKK >Dexi9B01G0040190.1:cds pep primary_assembly:Fonio_CM05836:9B:40781928:40783428:-1 gene:Dexi9B01G0040190 transcript:Dexi9B01G0040190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEMQVERLHEEADAGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAAPPPAQASAVSVAQGKATQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALRSASGDPDARVADYFDLAAGTGVGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAPRLFRRPSTSSSSSLFCGGNKRRPLAGPTAALGAAMKTAFGEELTLRDTIKPVLISCYDLKTSAPLVFSRADALENESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGSPTMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGPGATADADLRRMRRWGPKEWARPIALISADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYEPTPGNVRALLAAADEMLKHRNVESVLFEGRRVVEQTNAEKLDWFAAELVAEHRSRGSRIAPTVAFKQAPQKPSALG >Dexi4B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:4B:18730138:18735956:1 gene:Dexi4B01G0016620 transcript:Dexi4B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETNPSHPAPPPPPPPRRLLLRRRLAAGAMTGAAAHAVETRGGAPATQTPAAAPAAAAKGAGGREPQARKAAPVTMEHVLLALHETEAEREARIRDMFAFFDATGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEINDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHAIKDIWAKGGMLGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGASERLIAGGLAGAVAQTAIYPIDLVKTRLQTYSCEVGKVPRIGALSRDIWMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSGKTSLNPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSEAAYRGMSDVFWRTLQHEGISGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >Dexi9B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:9B:3514288:3515916:1 gene:Dexi9B01G0005930 transcript:Dexi9B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADKPLRRIAASFEELAAVAKQQPPGAMDAGAFARACSNVSVLFGCLGIAFKFAEKDYVDDLLEASKSISTLPSMVELDIQKDTVRVAGSHTRNLLRVKRGIDMVQVLFEQMLVTEGNSLRDAASAAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDGESLSAHDTVFFF >Dexi9B01G0036310.1:cds pep primary_assembly:Fonio_CM05836:9B:37843245:37844452:-1 gene:Dexi9B01G0036310 transcript:Dexi9B01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELLAGKMTAKAAAAVKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPEDHRRILVSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFENIDELFELEKGSFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVSWPTAELGPPPALYFNAGMFVHEPSMDTAKALLDTLRVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVQLEKVKAVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDIYNDEALEFKGLPALPADDADEVEAVAKKPIRAALAEAGTVKYVTAPSAA >Dexi3B01G0027820.1:cds pep primary_assembly:Fonio_CM05836:3B:23532552:23534300:-1 gene:Dexi3B01G0027820 transcript:Dexi3B01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSQRIRAADSRRVATAASRIEKLEAASSKIFGGDRARIRRVEELRETIRATEDAKFCALREYERIKAAYAEKIVDGWETVAEETSGYARGSDNAILGS >Dexi3A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:3A:11767275:11767709:-1 gene:Dexi3A01G0015800 transcript:Dexi3A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVSCCLGPPAPPREATKPAGGSLRRACVAAAACAVMGMADTAGADMAVLTRDVTAASTSRAAMVVSPAKARPRWSDRRQCPPWRANSLENIVPENLPRAPARRRFSSVSISAAALAPAPDLVVVPPFPAAEPAGTGCFSL >Dexi5A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:5A:21232673:21232939:-1 gene:Dexi5A01G0017840 transcript:Dexi5A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSEGRPQGNEIPPLNEVSLATASASYASTLADGDGGGGATCSCSLDSGSPARSRAGDGDTRRRPRREDPAPVTRVFAADAIAEAP >Dexi3A01G0024520.1:cds pep primary_assembly:Fonio_CM05836:3A:20177524:20182687:-1 gene:Dexi3A01G0024520 transcript:Dexi3A01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEGSAVRAAAQLCGLVGLIELAFWQVDAPSFAPLLASGHALTYTRYTIVTDRWQKFSDVFVDERTLEYLAGFADEFLVHGVDVEGKRLGIDEELVELLGCHSPIPVTYAGGVSTMDDLDRIKKAGKSRVDVTVGSALDIFGGDLPYKDVVLWHKKQ >Dexi5A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:5A:9624853:9625179:-1 gene:Dexi5A01G0012840 transcript:Dexi5A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPQEAGRPAAAALIQEQAATRSARVAASWFSTPRLLQQMPPGAPVRYGMHDAISCATCAHYNATAAKEPSSAANARRMLTTNSATDARVVDDLVRRRPVGVM >Dexi3B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:3B:10445223:10445849:-1 gene:Dexi3B01G0014460 transcript:Dexi3B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAAKWAHQQHRIFASLPPSCQSPSSAAASLPIADCRAALPACLARRAHLCVGGAQELHCGDDGGASSRAASGKPWGLGEEERQMRSIDAEKRVTEEQEKQSQIGLKQDQIEHQKGQIDNHRGRIELLARMPLESTPRSRPPPRRCQARPDPRGGERSWNPRGERGAGIEVGSSGCGGEGGVGARRGPVACAARGDRARGSKYREA >Dexi3B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:3B:16081:27331:-1 gene:Dexi3B01G0000010 transcript:Dexi3B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDDQEGGHGGEREPDTSGGRRSSLSLDMERDNKQQQQQQHQVAAASSWRAAAASFRESLSRSLSVNQLEQEKDDEVELKWAALERLPTLDRLHTSLLAGDGVQVVDVRRLGAAERRMAVDALIADIHRDNLRLLRKQRQRMDRVGVRPPTVEVRWRDLCVEAECQVVQGKPLPTIWNAAISTISAAFSMLGFNRQHARISILHGVSGVVKPSRLTLLLGPPGCGKTTLLKALARKLNGAASSLKVTGEIEYNGVSLKDFVPEKTAVYIDQYDLHVPEMTVRETIDFSARFQGVGSRAEIMKEVIKREKEAGITPDPDVDTYMKVLSRKDQQQYWSCREEPYNFVTVDQFHDKFRVSQVGQNLAGEISMPYDKSKGHKNALAYSIYSLSKWELLKACFARELLLMKRNAFIYITKTVQGKIFALYYQTKIANTFLDETATASMPTWLKWGFWLSPLSYAEIGLTGNEFLAPRWLKEMRDQGYMERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIKVGGYPKVQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPTEIDSKTRNEFVNQVLETIELDETKDALVGIPGINGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDEAIPGVPKIDDNYNPSTWMLEVTSTSMEAHLRIDFAQIYRDSSMYKNDQQGLFTILGCMYGTTLFVGINNCQSVMPFVSIERSVVYRERFAGMYSSWAYSFAQVNIDLVSMEIPYVFVQIVLFMFIAYPMIGYTWEAAKFFWFFYTMFCTVLYFLYLGMMMVSLTPNIQVASILASMFYTIQNLMSGFIVPSPQVPKWWLWLYYTSPMSWTLNVFFTTQFGYEDDKKIEVFGETKSIAAFVRDYFGFRRELLPLAAIVMAAFPILFATLFGYNISKLNFQRR >Dexi9B01G0037830.1:cds pep primary_assembly:Fonio_CM05836:9B:39116470:39119345:-1 gene:Dexi9B01G0037830 transcript:Dexi9B01G0037830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADSLPDGFVESSGADTASPPSPAPAADDPPHAALDSDRPADTSPGCEETLGDPSLPAPAVEDASSVVAEALETLSMDVAAEPERALGEQVPADAARDAKESLKQSCAAEQAGSPTAQKQKETGEPKRKVVKRSKLEKDRELFELAQQYHRVVAERDAAIAVKEKLESLCREFQRQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLEEQKNECIAQLEENNMLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKLQQHQEKAAQEHNQLQLYAEQVSQLMTTEKNLRLQLASDGERFQHFQDALSKSNEVFETYKQEMEKMISVIKNLKKENEFLKGKCENSDIALVKLIEERELTKKQIERLKNQKEKLESLCRSLQAERKQGSSASIPEAPSNQEDVVMTNQDS >Dexi2A01G0031430.1:cds pep primary_assembly:Fonio_CM05836:2A:42166269:42169728:-1 gene:Dexi2A01G0031430 transcript:Dexi2A01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDHSPSFALSFIQSTVFKEFRLHLAGPIHGLGFIQGEFLMNFRLHSTFPNCSLSFTQVAFLMNFKLHFPGPHSQPQFCLNDISHEFQAPLPLNVQIEPVDVSPSAEFTGLGEPILEKEIRELLFLLRPPRLPVESLANMYTDRKEQKYIVPAEDAPMYLTCGFKLGMPSGSSDPNTIYVTFWLGSKFTDDDVRNYFSQYGTVTDVRIPPQGGRRYGFVSFQDPGTAKQILKRSPHFICGDQVRVREYKFKHELEREPHYIVPVEDAPKCLAHGSKFGVPSDRSDPNQIYVGFMPESKFTEDDVLNYFRHYGPVKDVRIPPQKKRMFGYVSFKTLAREGAHSNHVPQEVSDVTIVHECHTGEQLASDHELFENKLNKGCDQEIVTEKSSTNVAPVMVSPPKHNLSVHLVSEASPSRGDNTTESHMC >Dexi7A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:7A:19314690:19317996:1 gene:Dexi7A01G0008150 transcript:Dexi7A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLDGDDDGMDDDNGYRRRGSRDDSEEPEEEEDNDDRHAEPDDTGMAPEPAVGGGGGDDMDKEGDGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDKETKENKGFAFVTFTDKEAAQRAVEDVQDREYKVKTIYVKNLPENVSKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRSGGGPSYPLPPYGGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLLVECRLPHLGGVVTGGMVAEAVKGAGDIAHTSFSLLLYFIPLCDGFVNLMR >Dexi3B01G0033100.1:cds pep primary_assembly:Fonio_CM05836:3B:35471826:35476042:1 gene:Dexi3B01G0033100 transcript:Dexi3B01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRAKLGRLKGGQSDPLNSSRSPADPSKQLSLPNRGDAATTSVSGRADDLSYRCSSDTFDLDGRSFNISENWTVLSTEGDKPIPRFYHAAAIVNSKMVVFGGDSGHHLLDDTKVPWGNSVILVGGKTEPASDRLSVWIFNSETELWSLVEAKGDIPAARSGHTVIRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLQLNYKGAGPSPRSNHVAALYDDRILLIFGGHSKSKTLNDLYSLDFETMVWSRVKTHGHHPSPRAGCSGALCGTKWYIAGGASKKKRHVETWVFDILDSKWSVCVVPPSSSITSKKGFSMVPFYHRDKIALVAFGGNKKEPCNKIVLQPKGHRSTRAEAGNQSYMAQVETVVTGGSSVRRIARCASDVSQSHLYNTKVADLIRRNAVLEDRLATALASKDQLEKSLSSVIHSREQLEKMLANKDKEAEILKEKIASLELAQEESNSLSNTVHADNVRLEREVAFLKAVADETQKARELDIYW >Dexi4B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:4B:10518045:10522662:1 gene:Dexi4B01G0012610 transcript:Dexi4B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATTAAAAAASAARPHSSFFSPPAASASWNTPSLASTSRSVSTSSASTSRRRRQWHPVASAAVELREAAAGSGDSVRVTKTPQPGSSVKFSIEVPKSIIHECYQSTLHEYAKRFKVPGFRPGKIIPENLLINYVGMQHVQDATIEAILKHTLPQALSSVEDKALEDSVRILTQFDDMRSSFSLDDVFRYDVAVDVAPEVRWLSEDKYKNLKVIVEIDDAVDAEKAAQKELQRRHKALGLLRIVADRGLQIGDLVVLDIFAETINSDGSKGEKISSAESTGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPIQFPESFEQESLQGVRAQFTVVCKELFYRELPEMDDSLAGKLLPGCNTIDEVRERILERFKAVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQRSVQAYLEDEKENITRIIKQMMAVGDIFKSENLQYSTEQLVKEVENCVAEFKQYNQDYNEDNIKQQVQDVLEAAKVLEWLKENCTIEYVRQGA >Dexi8A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:8A:25767395:25767754:-1 gene:Dexi8A01G0015000 transcript:Dexi8A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRQEMASSKRSSSRVAALPRRLRRRLPRRFDLHRRPWVLLLHLATLHRRWSSSTALGLTGAGAPPPRRPRLGVGSREAAWGHEQGRAAEGVERPPGDESREGRRREQRDRLGEIQR >Dexi1B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:1B:25233693:25236793:1 gene:Dexi1B01G0019090 transcript:Dexi1B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASCNTVTVMEAANSKQQQQQQPPPPKENGHSAAVEEAVAVAGAAAPPAAAAVNPRLQGISDAIRVVPHFPKQGIMFNDITTLLLRPGVFKDAVDLFVERYRGMGIDAVAGNHTSPFFPSSSWRPPCLPCPALPRADPVSPRQGPRAGTAGIGRARTQEHGIVRWWVGAGGYDSSSSGLCSCAGGGAPRPDVTRHVPDPLSFSLQRIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISEKYVLEYGTDCLQMHVGAIEPAERVIIVDDLVATGGTLCAAIRLLGTLLIY >Dexi1B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:1B:4676806:4677513:1 gene:Dexi1B01G0005710 transcript:Dexi1B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDACRGPDVLSGLDFPSDDACFAGLSPTDQVQNSPLQSMASLESLENRLASEVTSCGGPGVDAWAWDGGLSK >Dexi7A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:7A:19048287:19048490:-1 gene:Dexi7A01G0007770 transcript:Dexi7A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRRAMPLVAASNALISARYTSERSVGVTVLNTTPPVPCLRSSARAPAATGTATWFTAMPWTPK >Dexi2B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:2B:313533:313835:1 gene:Dexi2B01G0000710 transcript:Dexi2B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADEAGIVAGGEWPFSADAYADSSAIFAELGCWAAGLDVTGGDMLPPLDPPEASLPPPLSSMSVDGGASSSSTDDGGAAQEDEADANDGKPVAATEAA >Dexi2A01G0026680.1:cds pep primary_assembly:Fonio_CM05836:2A:38262658:38263330:-1 gene:Dexi2A01G0026680 transcript:Dexi2A01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLPPDDRNLPDCSCGRGAPQPTRRSARLRRDPEPEGDGADRISALHDDLLLHILARLGCAHAAARTGLLSRRWRGLWTRLPELVLREVEPGSLDAVLADVVARFRPQARPQPPLSLLDVRRHQVFSSAQIASLFNAAAALQPANLVVCVTASRPGVP >Dexi3A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:3A:10015584:10017504:1 gene:Dexi3A01G0013760 transcript:Dexi3A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATVVVAPAPVVVASPPQHKLMELKSSFAPAAKPSPVKKHKKKLAGGCGGYVLEDVPHLTDYLPELKSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKGSARGTHFRRAGPRQRVYFQPGEVTAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSVYGIEGGYKGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQVTDKIVDSIQDRGINQVYIIGGDGTQKGAATINEEIQRRGIKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFVERRLRDNGHMVIVVAEGAGQDLIAKSMNFADTHDASGNKVLLDVGLWLSQKIKEHFKRKPNFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLTHEDVEGAGQEEEEPHLPMVEGENALVKSPSMCNGNGHLCSGAA >Dexi3B01G0025560.1:cds pep primary_assembly:Fonio_CM05836:3B:20357611:20363803:-1 gene:Dexi3B01G0025560 transcript:Dexi3B01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQLMKAAVAARNAFAAAHSAYACSLRDTGAALSEFAHGEGVPPPPPPPPEAEAEAAAPAQGGIGAAANGVAGPSEVSGADGIMPPPPPLDPLPPPPPPLPDFSPSPAKIHRSISMPMPPSSGNRNPAMLHADSIREEDEEAAEREEEEEDDGHLDDRRQRLRHRPPVPPPVSPPPPETPVTPQPPPPPPPPSSDLKSGVDTWDYFFSMDEGMASIAPDDDEIMAEPGEDKYVPPSPPRPPPSPPPPAPVPLSEEFEEEPRTPEMVTPPPSLPPKPPKNSSKKKKGKGKLKAAHHQHTESAPPVTLGGGKAGKVVPAEMPRVDLLRVLAEIDERFLKASQSAGEVSKVLEANRMHYHSNFADNRGHIDHSARVMKIITWNRSFKGMQNGEDGKDDFENDEWETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKHNAAIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHMHHANQLNTVLKLKSVDISDSNIETSDYHHSHTRQLRDIVDKWITNFTDLMSYQKEYINALYGWLKLNLIPIESSLKEKVASPPRMQQPPIKAFLQAWNEHLTKLPDDLAKTAIVSFRAVLETILGVQDEELKQKEICEQTRREYARKARAFEDWYHKHSQRRAFDVDPETGEGTGQKDAVTEKRFAVESLKSKLDDEIESHNKLSKQVREKSLSILKAHLPELFRALADFSNASFDMYSKLRLMSLMQDQGNN >DexiUA01G0015660.1:cds pep primary_assembly:Fonio_CM05836:UA:33194062:33195619:1 gene:DexiUA01G0015660 transcript:DexiUA01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEPPAKRREDGIVLLKNDGGALPLSKSKVTSLGVIGFNANNATRLLGNYYGPPCVTVTPLQVLQGYVKDTRFAAGCNAAACNVTAIPEAVQVASSVDSVVLFMGLDQDQEREEVDRLDLTLPGQQQSLIESVANAAKKPVILVLLCGGPVDISFAKTNPKIGAILWAGYPGEAGGLAIAQVLFGEHNPGGRLPVTWYPQDFTKVPMTDMRMRADPATGYPGRTYRFYRGPTVFDFGYGLSYSKYSHRFVASGTKPPSMSKIAGLKAVETTAAGGGAAMYDVEAIGEEACERLRFPALVRVQNHGPMEGKHPVLLFLRWPNATDGSGRPARQLIGFRSLRLGAMETAHVEFEVSPCKHFSRASEDGRKVIDQGSHFVMVGEDEFELSFMA >Dexi4A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:4A:19704983:19706057:-1 gene:Dexi4A01G0016190 transcript:Dexi4A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADASPAPSGADMEAGGESVVNLDKFFQEVEAVKEDMRGLEGMYKRLQSTNEETKTAHDARAVKSLRSRMDKDVEQVLRSAKSVKGKLEDLDRSNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDLMDDFQGLRARMAAEYKETVARRYYTVTGEKAEDSTIEALISSGESESFMQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELETAREYQKSSRKWLCIAILAGIVLIAVLVLPVLVNLRILTLPNRR >Dexi4B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:4B:3787000:3788045:-1 gene:Dexi4B01G0005360 transcript:Dexi4B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCGAEEQEPVCAGEGAGAPASASSRVEQQQQQDVDSGAPGGQQLSPELGGHDVAASPCVLVKEQEPLPRPPPEWSSSSSRMWIAGPRAGNSLVPSSVATTWRRERLDLARGGEGGGAGLLRELAALTPAVGAASAGGRRESYKGFAAGSSSSSMSYLSRFCSMLDDESDEATLATAFVAATLASPCLSQVAVEAATGGGAGVDYAGPCQRSSPLPSLWSLVGLSLSLSVWPRLRPRPPLSFSPLAGRVVGFLPARSLSSGRGRWWAPRHIAR >Dexi7B01G0021410.1:cds pep primary_assembly:Fonio_CM05836:7B:26444774:26445854:-1 gene:Dexi7B01G0021410 transcript:Dexi7B01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVARATEAVHEACKTHGFFRAVNHGVPPELMARALQLTATFFALPDEDKDKARPADGSEAPLPAGYGRHPANSIDKNEYVMTCDPKLGFNVYPTNPSGFREAVDECFAKLTELGLLVHEILNDCMGLPSGFLRDFNADRSFDFMAALRYFPATEEENSGLTAHEDGSCISFVIQDGVGGLEVLKDGNWVLSNNKLKSATHRVVRKPVHRHSLAFFFNIRGDKWIEPLPEFTAKVCEAPRYRGFMYKEYQQRRLRDKTHPPSRPEDVVHITHYAI >DexiUA01G0005250.1:cds pep primary_assembly:Fonio_CM05836:UA:9408200:9411007:-1 gene:DexiUA01G0005250 transcript:DexiUA01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPRPRSPPRYPDLCGRRRLQLEVHILNRELGFLEEEIQGLERIQPVSRCCKDVNEFVSAKADPMIPVSKRKHGCGCNLFRWIRYPIKAVHMLFMPVLLLPLLAEAQGAKLLQLFLPRHAVLHAELQLSEGPFLQRLLQPVLLPLVLVLRLRRLPRRPRAVPLVVLRRPEAFLLQVPAVVLRGEAIVLLLPRLVPRRPGGAVVSRVLLRLRVLVSTVQRWVPVPVAR >Dexi8B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:8B:3799478:3805856:-1 gene:Dexi8B01G0004300 transcript:Dexi8B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRPNRSADHLPREYSCGGDGRPGSTPFSSVHGEVTEEGKAGGHRRARAPLPAAAAAAGEPPPSERAAPPSTPGSMGEEAENTSKRKASELAAEEEPSPPVPAPAAQSQAEAGSAAKRRNTARTCIHEVAVPNGYEAAKDEAVHGTLASPEFNGEMAKQYPFKLDPFQSVSIACLERNESVLVSAHTSAGKTVVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIVFLPPAIKMVFLSATMSNATEFAEWICSLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGHFREDNFGKLQDSFTKQNNQLDGRKGGGPKASGRIAKGGSASGNSDIYKIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEDEKECIEQVFSNAIGCLVPEDRSLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRAEGQFTAEHVIRNSFHQFQYEKALPEIVQKITRLEDEASLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASGTLPPSLSASRSNNYIVDTLLHCSSSSNENGSRSKPCPPRPGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPSEARQNILFAVQELGKRYPQGLPKLHPINDMGIQEPELVDLVHKLEDLEQKQCSHRLHKSGQSEQELSWYQRKADLNSEIQQLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFVPCDKSSEQIRLRNELSGPMMQLQEAARKIAEVQRECKLEINVEEYVESTCRPYLMDVIYCWSRGATFAEVMEMTDIFEGSVIRLARRLDEFLNQLRAAAEAVGEVNLKEKFEKASESLRRGIMFSNSLYL >Dexi9B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:9B:8438313:8440554:-1 gene:Dexi9B01G0012560 transcript:Dexi9B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVVAPVAASSSCLGAPPRASARAPARVHIAAAGMSSRASSFVGGGSLAVAAVAARPRRAASGGGGALGCKCLFGLGVPELAVIAGVAALVFGPKQLPEIGRNIGKTVKSFQQAAKEFESELKKDPGEGGDQPPPTTPTAVGDGEEKKGLEASSSSKEST >Dexi9A01G0026600.1:cds pep primary_assembly:Fonio_CM05836:9A:29955465:29955844:-1 gene:Dexi9A01G0026600 transcript:Dexi9A01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFEPTKYWSTVLSHPTSSCECGTRCTFSLPATGAVAVALDAAANAIASVAAGAKSATTSSISSGSGGAMACERAEGPVVVSGTAGVSAMVLPQKQQQ >Dexi3B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:3B:12032955:12035287:-1 gene:Dexi3B01G0016560 transcript:Dexi3B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSYLGKRKLMSGNGAAAEGEPPAGSGFQAVVLGYDGADAGEPGAGARRVVGEMDFFKTEKRKEEAAAAGAAAGDLSINKDDLTINMGLHVGRRKSGSEESIVDDGVSSNDVDHRETKAELALVKSENGRLNEENKQLRNLLSRVTTNYNSLQMHVFSLMQQRSNNHRGLGAPTHELNVDPEKKDQEGTTRQFISLGTAALSDETLRSVGGADVRGGDCSVSPPSNDTPVDYSPGKGKGNGGVAMNSKDVMPLQAFDHHQRGSSPEDPHHAAQGWLPNKVPKSLPAKGPDPAAPEAATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRTVVITTYEGNHNHPLPPPAMPMASATTSAASMLLSGSMPSSDGSLMAGSNFLARAVLPCSSNVATISASAPFPTVTLDLTQPPPPAPQQQQQQPPRHDHAQLQAALAEATRPVAPQLFGQKLYDPSKLSAAQAAAGITNTADAGDAAAVMASDPKFTAALAAAIKSYMGGSSSGAAGGSSGTVLPAASSGGDSSRDDKIGEQDS >Dexi9B01G0038230.1:cds pep primary_assembly:Fonio_CM05836:9B:39346408:39349723:-1 gene:Dexi9B01G0038230 transcript:Dexi9B01G0038230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDVSLLSPSDHVMPRATRCGSLRHWRLAELLLYKALAFIFLPLRAEDAIDRPFASSMITFRQSSKVELATDVAETAALVPGIMYVVDAGVVSEDPLERNVVGEMVASGYLDNKHGKLDDLEFIAKAQFVFKVISLFKELEESRLVSLPLLLQPVLVPREQSKDDKDGSKNTNYYDNRNRCLLSFHLRA >Dexi7A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:7A:2384601:2385662:-1 gene:Dexi7A01G0000890 transcript:Dexi7A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLDDRDEVVDLGEAGDLDNATKIFFSDGDSIGASLSWPAAEGMASTLTNQASLRALCDKHGVPMEFRPMGGQPARRLRWAPTPSACTPPRFDAGLRFPLHDFYARLLRHFRLAPSQLTPNAWCYMAAFVLRCKEAGVEPLVSAFRYFFKIYAHKHGDMPAGWHHFHPYNEADRRLFTGALPRKSGWKSRFFFLEGPSVMPWKCPVKWGKPRMDAARKVELMETAVKKLTNMGTVDNVRCFLARRQLPVGDQAPLHAAAVKAEAGGSSAATAAAESASTRNKPAVVAVASPYRRHNNKR >Dexi3A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:3A:10905100:10909187:-1 gene:Dexi3A01G0014830 transcript:Dexi3A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKAAAASVLQPQRAADKGRGADAVVVVAVRAAAREISKTAVVWALTHVVQHGDSILLLVVIPPPSSGRKFWGFPLFARDCASGHKAVLNQMSDVDELCSQMMLNLRGVYDPNKINVKVKILSGLPSGTVANESKRAQASWVVLDKELKHEEKHCLEELQCNIVVMKHARPKVLRLNLVGSPEKVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDELIDPRLGRRFCENEVYCMLHAANLCIRRNPHLRPRMSHVLRILEGGDMVVDSGSDAGSRSWRMLNENQRYQEQSSPAQHDSQRALETARSPWGQDRHNLSHRY >Dexi1A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:1A:5469788:5472404:1 gene:Dexi1A01G0007120 transcript:Dexi1A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKKWPIWKGTEEGAVELADGEAEARVGEAVVGEAAAAAAGERAADAVRAAAAGGFEVLEGERVDGAGGRCADAGRHNRRSIERGGNPRRGLRNSPIRRRGEGDGAQSTNQMPRPERWIDRGRWGTRGFLSSCFVREAEATTNWDSGNGVGFVRSGSSPSRRAAAVVFPRREEEVEEEAGDLGGSERVAVARWRRP >Dexi8A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:8A:3149614:3151067:-1 gene:Dexi8A01G0004020 transcript:Dexi8A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFLFFLIAPQELQLTGKRAKRLECRRNKSDLLRPRTGRAAVEDPPLFPTRRSHEAERKQQEAVAVVTKLPAWTFRRHMELWWAHSCAHKPSPFLAGSSLLLGRLFNR >Dexi2A01G0027010.1:cds pep primary_assembly:Fonio_CM05836:2A:38450437:38451882:-1 gene:Dexi2A01G0027010 transcript:Dexi2A01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQDISVAGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVLRMLPRNRLRDVSET >Dexi1A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:1A:28381684:28382408:1 gene:Dexi1A01G0021660 transcript:Dexi1A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFVDGYLASLQQTYELAKECLDINFNGTKDVTDCLIPLLLLSNSGRVVNVSSQIAQLKFMSNEGVIKVLSDIETLSEEKLDGAMSTFLTDFKAGDLAARGWLPVASAYAASKALVNAYSRLLAKRHPSLVVCCVTPGFVRTGMNYGMGLVSAEEGARGPVRLALREEHGDSGLNFELFDVCEF >Dexi4A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:4A:693227:693550:-1 gene:Dexi4A01G0000990 transcript:Dexi4A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSSKSPPPTFATPQNQGIAMGQPVIPQQSSEPVFVHEDTYNRQQFPVAG >Dexi5B01G0023550.1:cds pep primary_assembly:Fonio_CM05836:5B:25694076:25697872:1 gene:Dexi5B01G0023550 transcript:Dexi5B01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSSLFSLSSLSASLPSPTRLPISLSLRALPPRARLSVSLPFASPLGGYGTWAATSTSSAGKLRRRGLEVVCEATTGRRPDSVAKRERQNEKHRIRNHARKAEMRTRMKKVFRALEKLRKKADAQPEEIIEIEKMISEAYKAIDKTVQVGALHRNTGNHRKSRLARRKKAIEILRGWYVPNAEPVAAA >Dexi7B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:7B:18517599:18518642:1 gene:Dexi7B01G0011490 transcript:Dexi7B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLVAIPDDALADALRRLPARSLATARCVCKAWRGIVDGRGLLLPHLLPHSVRGIFINYIDHRRPHLFARPSSAAIPKIDAMLSFLPNNDDRRDWWSVMDHCDGLLVFGIKWHSRLCVGNPATRRWTLLPERTEGIAGYAGAHLVFDPATSPHYEVILIPAVPKKPRRPLSWKVKKKKKCLPPRQHEIDGPFCLQLLFSSLDDALLSDEGTQDHGEEEFQPAAESAGPVLPCVDEDEDEDKEPDDPYRLMEWPPSPCQLNVFSSRTGQWEAKSFIRDGDPVGTVEDVRSDLSKQWLGTRHRSSTIYQNRTLYVHCGGSFIMRQ >Dexi5A01G0023970.1:cds pep primary_assembly:Fonio_CM05836:5A:27886361:27890669:1 gene:Dexi5A01G0023970 transcript:Dexi5A01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQTLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMVRTGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >Dexi1A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:1A:26560076:26563774:1 gene:Dexi1A01G0019450 transcript:Dexi1A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKAQTETERQRYTGTRGGEEGPDRTAKSQRYTGTPKVDADALLRIDLGFGEEELRGHEKTPYRRRDPSTQQSWEAREVAATTTTTTALRERGEREGETLGKESGVAEYGKESGIAEYAVFDYGERKQKMNPEHWPSASLGMTKARKKWSPPVGEGLKINVDGAYIGETGAAALGVNIRDSTGKPVLMAARLLSHCGDAETAEALACLEGLRMGARCADRDMVLEADNVAVIEKLRMGGTDRSAVSPVIHDALQEMRLLHKLMGRNGAHGPRVACKTLSACRLQTEDNSSKEAAGLTHMAPGGCGATPVVGRRRRGWPRDAALADCRPRAWLWCTVATANPP >Dexi5B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:5B:7466445:7467822:1 gene:Dexi5B01G0010520 transcript:Dexi5B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPHIQELPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLEMKSAASEQQLRSGTSTSATSPMLPLEVRTPR >Dexi1A01G0032350.1:cds pep primary_assembly:Fonio_CM05836:1A:36941764:36942795:1 gene:Dexi1A01G0032350 transcript:Dexi1A01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRLPLPRRHRHRHPRPHPATRHRLHRLRHLPVPPPPRRPXXXLGDTVTATLDPTLPPATVSIASVTSPSRPHLAARLSKDPLRNCAGVAALAALRALGVRSHAVAIHLTKGLPLGSGLGSSAASAAAAAKAVDALFGSRLARDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLVPLASPPGLRLHFVLVTPDFEAPTSKMRAALPKEVAVQQHVRNSSQAAALVAAVLQGDAGLIGAAMSSDGIVEPTRAPLIPGMAAVKAAALRAGALGCTISGAGPTAVAVIDGEEQGEEVARRMVDAFWSAGKLKATATVAQLDRQGARVIATSAL >Dexi3A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:3A:3905160:3915139:1 gene:Dexi3A01G0006020 transcript:Dexi3A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGELQRLGSLRHGGSTRGSSSSIWRQRGDDVLFSRSRSFSRESGEDDEEALRWAALEKLPTFVRLQRAVLPPVADDDDAGAEKHHPAARVVDVRGLGPHDRRELVERLVHVAEEDNERFLLKLKDRVERCVLPSSSPSPSSVGLDLPTIEVRFENLAAEAEVRVGSSGLPTLVNSITNKLEEAANAMGILPSRKRTMTVLHDISGIIKPHRMTLLLGPPGSGKTTLLLALAGRLDKDLKASAIEGEEVNVVTDYVLKILGLEICADTMVGDEMRRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVKSIRQFIHILGGTAIISLLQPAPETYDLFDDIILLSDGQIVYQGPQEDVLEFFESVGFKCPERKGIADFLQEVSSRKDQKQYWARLDEPYQYVTVKQLSSSFQSFRIGRAIKSELSIPFDKSNSHPAALTTTRYGVSAKELLKANIDREILLIKRNSFVYIFRAFQLILLSTITMTVFIRTKMHRDSVTDGGIYVGVLVIILIVIMFNGMSELALTVFRLPVFFKQRNLRFYPAWAYTIPSWILKVPISFIEVGGFVFMAYYVIGFDPNVGSKIDMFSCLRGTLGSKSNGMNAIVVNEFLGHSWHKIVNNSISNETLGVQILKSHGFFSEAKWYWIGLGAMLGFTLLFNSLFTLALKFLEPYGKSHPSISEEILNEKDANLNGKVLSGSLLVSGSSTHQAAVSTSPISDSAINEDGSGPMTRGMVLSFVPLSLTFDDIRYFVDMPQEMKVQGITEDRLELLKGVSGSFRPGVLTALMGVSGAAWLRLPVDVDPDKRKMFIEEVMNLVELKSIRDALVGLPGVDGLSTEQRKRLTIGVELVANPSIIFMDEPTSGLDARAAAIVMRALFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGISKIRDGYNPATWMLEVTTISQEQALGVDFSDIYKKSELYMFLFTIVIALLFGTIYWDLGGKIEKPQDLSNAMGSMYGASLFIGTLNSASVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYGLVQASIYGIIVYAMIGFEWSAAKFFWYLFFMYFTLLYYTFYVSQFGDVTKAMVDGTPVNVFVENYFGFKHSWLGIVAAVVVAFTALFAFSFAFAIMKLNFQTR >Dexi8A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:8A:25783302:25786144:-1 gene:Dexi8A01G0015010 transcript:Dexi8A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRVEGSNGSRLAHRDDVQAPMVKRIDEYVEVLVAGVQNSEPMERVINVVGKSGVGKATLVREVYESPKTRTLFQERVWASFPRYLSVSNILQLIYQQLEDKNTWCRVEDVDNNVQEKLKGKKFLLVIDGEVTNVDWMALLSVVLPRNDDRGCRVVRIMQGTHTKPPDVDERDWISVPDFEEDETKGLFQKWVPKEGIPRDKLVSRQILNKNQKSVTLALKRKKGKPEDNITEKTMDSHLHRMTGGLPLAVALLGGLLRTKEYPNEWLAVLKHLNSKQSDQSKRLDTILTMCFDDLPHDLKSCFLYFAVLPMNTPVDAHKLVCMWMAEGFLRPKDGKTMEKLGRIYLKDLVARNLVKVVRKDPFSGDQFVGVHHKVHEFLQLEAHEANFVDIHNGYDTPSLTTARRLSLQNYTDKYAALANSLPKLRSIQSSFQEVKGQGEDEENGKNKQGKYEVAPDGISGSGMFRCWGQGIKSSQERIKSYMRGMLQESRFLRVINLQGVEIGKKLPAAIGNVAHLQYLGVTACSLEFIPPAIGELKDLQTLDVRNTMVQNLPKSFWKIETLRHVFGSGLILPKKVGNLQHLQTLETIQPDKNYGWDGKTFERMVHLQALYLWEPSSERTNIDALCGVIKNPMLLEYLEKLVLKVSTIPCEVFTSPSQRRLRAMALCGKLEWTKRDKLFRVPNLAFLSLEGTKVSQGFIRILGKLPLLANLILDKRSYKDDQGQLVFGNDEFKSLKKLTLSHLRKVMRLVIRETALPELTDLVILSYNENINIEVKRKCECVEQSIQGEDEAKCRRCKLVEKIKDEDVKLYKIICQGLDPQR >Dexi3A01G0031800.1:cds pep primary_assembly:Fonio_CM05836:3A:36181164:36184552:1 gene:Dexi3A01G0031800 transcript:Dexi3A01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQAGPPPPPAFKSPSKDNKRRSTRFKDEDEYVEVTLDVRDDDAVAVRTVKSVPAAADLQDEAALLEPPAAPGAGGLSSKLRALRRIASAGKRAVPLSALLRGDRSGTGSRLDRSAVTGAAAALRGLQFLNQAALTEGWPEVEKRFQRLAVDGFLLRSRFGKCIGMDGSEEFAAQIFDSLARRRGITAQVLTKDEVKDFWQQLSDPGFDAKLRTFFDMVDKNDDGQITKEELQEVLTLTASANKLSKILERVDEYAALIMEELDPDNLGYIDIATLESLLLLPPSQAQSRLLVTQSSNISQLISQKLAPAPNRNPLRAAATSLLYFLEDNWKRVWVMSLWLAINAALFTWKFITYRRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITYLRSRTRLGAAVPFNDNINFHKVVAGGVAVGVALHAVTHLTCDFPRLLDASNAAYEPMKAYFGQRRIPNYWWFVKGVVGVTGVTMVVLMAIAYTLAHPWFRRGKLSEGNPLRKLSGFNMFWYSHHLFVFVYIAFVVHGVCLYINRTWYKQTTWMYLAIPLLLYAGERLFRALRSHGLTTVRIEKVAVYPGNVIAIHMTKPHGFTYKSGQYIYVNCGEVSPFEWHPFTITSAPGDDYLSMHIRCRGDWTTSFRALFEQACRPPAAGQSGLLRADIAATGNGKKLPKLLIDGPYGAPAQDYRKYDVLLLIGLGIGATPLISIVKDVLNHISPAAAAAAGDGHEDGDETFMTRRVYFYWCTREEGSFEWFRGVMNEVAERDAGGEVVELHNHCTSVYGDARSALLVMLQALHHAKSGGVDVVSGTRVRTHFARPEWREVFKRVACNHQGQRVGVFFCGDQKLTPELRRLSQDFSHKTTTKFVFHKENF >Dexi2A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:2A:4362487:4362985:1 gene:Dexi2A01G0004820 transcript:Dexi2A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKSLVLSEVTESSSGWEMLLHLTKLKDLCINGCNDLTQLPESMRNLTSLETLRIDGCPAVGTLPDWLVELHSLRHLELTMGHLKELPEAIQHLTSLEELNVLSGPALTVLPEWIGQLSALRRLLIQHFPALECLPQSIQRLTALKELNVTSER >Dexi5A01G0029690.1:cds pep primary_assembly:Fonio_CM05836:5A:32710145:32711652:1 gene:Dexi5A01G0029690 transcript:Dexi5A01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASEIAAVGVIGAGQMGSGIAQLAAAAGCAVLLLDADSAALTRAVASISASLRRLAAKGQLSQAACEDSIKRIRCVSTVQDLREADLVIEAIVENEDVKKKLFVELDKITKPSAILASNTSSISITRLASATKRPSQVIGMHFFNPPPIMRLIEIIRGADTSDEVFAAVKSFSERLGKTVICSQDYPGFIVNRILMPLINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDNKYSPCPLLVQYVDAGRLGKKRGVGVYSYGQKSSNVKPKSSL >Dexi2B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:2B:4860833:4862561:-1 gene:Dexi2B01G0005190 transcript:Dexi2B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLTPPPSSACFLPAALCFVVTILLLWRWSNNDPRRKYNLPPGPRPWPVIGNLNLIGHLPHRSFHELSKLHGPLMSLRLGSQPTIVGSSVDAARLMDHLFTMNINVISLMLFSRKYVSNGGAGSSSTTTFEEFKWMVEEFFVLSGALNLGDMIPWLSWLDLHGYRHEERRRREGKAFVPKDMVDLLLELSDDINLEPPIERNGVKAFTLLLRSPGTLAKVTEELDRVIGGERHVTEGDVPNLPYLEDDVATDNGHDIPKGTLVFVNVWTIGRDPAAWGEDAAAFRPERFTGRDVDVKGQDLELLPFGSGRRMCPGVSLGLRAVTVTLANLLHAYTWRLPAGAGELNMEEKYGLSMPRLVPLEAVAEPRLPAHLYAGPXXXXXRLARAEGGDGDLG >Dexi3B01G0023890.1:cds pep primary_assembly:Fonio_CM05836:3B:18649572:18649850:-1 gene:Dexi3B01G0023890 transcript:Dexi3B01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVQPGFLAMGAGSGRSEAERKSGKEDALTLRRMRARSRERQAWRLAGEASAREGGGWLRRRGRSVFRRDPPALWGYWSAADGCDGCCSWG >Dexi5B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:5B:22831262:22837701:-1 gene:Dexi5B01G0020640 transcript:Dexi5B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASLFLRPLPSPLPPRRRLLLHSASASFPRAAPRHAPLCLRALAPDAPQPAAPDPPAAASSAAAAAEAEPEPEPEAVAEATGAGAAAQPAAAAMSAKEELVDLVGKARAWVVAVAAAVVAAARRFVDWVVSGDWLSWLPFWRPDRRLQQLIDEADANPTDAAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALVLTNGIADYLPDEQSGRSSSLPALVDPKATGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGAPGVGSSSSYSPKEMNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPVSNDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESRKACAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQFSFQDEEFALT >Dexi4B01G0023750.1:cds pep primary_assembly:Fonio_CM05836:4B:25055101:25057832:-1 gene:Dexi4B01G0023750 transcript:Dexi4B01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILVRAASPGLSDAAAGAGGHHGHGSLQLCSSLQQQQQVSYKRRPARRWMLCSLRYACLGLDRAEVGRTSAVYSSLAVNPAGEAVVSSEQKVYDVVLKQAALLKRQLRTQTPPLVGVRRQDLDMPRNGLREAYNRCGEICEEYAKTFYLVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFEGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYQNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRNFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKGKKLLALPVAYGKSLLLPCSLRNSQT >Dexi9A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:9A:12211642:12214353:-1 gene:Dexi9A01G0017210 transcript:Dexi9A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVATVPSPSPASRRLLPSSTSSAAPSLLRLPRSVSRLRRALRVVSAAAGREADVLPGPGDEGEAVVPGRLEEPRDEPLGGSQLDIGGLAFQGDVGGGFAGGGGAGSGASGGGDGNKMLDRTINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHICEAGWKLWPFAHLITYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARNADGTSTPDASKVKYI >Dexi1B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:1B:25720162:25724370:-1 gene:Dexi1B01G0019500 transcript:Dexi1B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEGVLLGMGNPLLDISAVVDEAFLTKYDIQLNNAILAEEKHLPMYDELASKSNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYVDYIFGNETEAKIFAKVRGWETENIDEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIEECVRAGCYAANVIIQRPGCTYPEKPDFN >Dexi7B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:7B:9355271:9358393:-1 gene:Dexi7B01G0003770 transcript:Dexi7B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVASPSSLRLTRRLLAAGTFSIFPVAGYSTTTTTAVKMARSALDEVTDTGAFDRSPSTFRSSVSSDASARFPAVPGRYHLYVSYACPWASRCLAFLKLKGLDHAIGVTAVKPIFERTKETDDHLGWVFPTTADEEPGAEPDPFNGARSVRELYEIASPNYAGKPTVPVLWDKQLKTVVNNESSEIIRMLNAEFNNIAKNPGLDLYPVHLRASIDEINDLVYDAINNGVYKCGFAKRQEPYDEAVTKLYEALDKCEEILGKQRYICGNQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGMSSTVHMEHIRKHYYGSHPSINPYRIIPAGPNIDYNAPHDRERFGS >Dexi7B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:7B:12651234:12655835:-1 gene:Dexi7B01G0005290 transcript:Dexi7B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPKPKKTTTTALDTYGRDMTATAVNMDPVIGRDVEIDRVVRTLCRRTKSNAMLVGAPGLDLAALVAGTSLRGTLEQRLKSVIREAEDADGKVILFIDEMHMLISIGGKSNVNAANVLKPALARGRVRCVGATTLEEYRKYVEKDPALERRFQRIHVEEPSTEATVEIVRGLKRRYEAHHGLKIVDSTVIDKQKEVDASREVLVKKKKAIVLPEHVAQVVSLWTGIPVYTIGQEEKDKLIHLAERLHERVVGQDEAVNLVAQAVLRTRAGLDRSGQPIGCFLFLGSTGVGKTELAKALAEQLFDSEKFLIRLDMSEFVVAGSVLRLIGVPPSYIGQLTEKVRRRPYSVILFDEVEKADPSVFNAILQLLDDGVLTDGKGRTVDFKNTLIIMTSNLGAEHLAEAILMDGEETMEAARDLVMKQVHRHFKPEFLNRLSDVVIFEPLSRDKLKEVVKIQMKSIVANVADKGIFLSYGH >Dexi2B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:2B:29027034:29031129:-1 gene:Dexi2B01G0018830 transcript:Dexi2B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGTAAPPSSAACRLRLRRHLLLRPSHLRLRAPHSIADLSRSSNSSSSSSNSAPTPAQPLGPGAENGGGRSRVVEKDPIKLWERYVEWLYQHKELGIFVDVSRMGFTEEFLQQMEPRMQRAFAAMRDLEKGAIANPDEGRMVGHYWLRDPALAPNSFLRNKIETTLDSILAFSQDVISGKIQSPSGRFTSILSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQVAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQEKSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAALMDEETRNTVVKENPAALLALCWYWASEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTILNEATCKDPAEPLTLDEIADRCHCPEDIEMIYKIIQHMAANDRAIIAEGSCGSPRSVKVYLGECNVDEDMQAA >Dexi3A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:3A:4354034:4358753:-1 gene:Dexi3A01G0006580 transcript:Dexi3A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEIRAELESSGFSIGGAGPEDAAQILSTLLTYCIIYKMSPADLVSNWEVYYLNRQLDGLKLEKSYLDGFLSHLQNEVKDRIIEEEANLHVYSSNDVEISNCCRLLNNSHADEAEFLNTPGSKQEKPHGESSNSELTPLTSDRPTLSRVAKTNGDPITPFATRVNKFTQQYVLNADNAASVPSKHEAETTEDEVIRRVEPSQRCSLQVQRSQPEPGCRFMYDRMEDRFNYLEDRIRRSATLFSAPGFCGEPADATLASEEKMFAVGMVTCDGEGRLNEKSILLQGSVEHSRGERVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVVSKLIDSIPVSVDAQLPSAKKQAIDNESHQNSDAGTLSRTLSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQTFHDIFHFEIMRKIQDFTQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQICCVANPSLFSCNEIHFGCCTVDILKQLSAEEISRKSPGAVGKPSDRIGRLATHVLKQQSYYPLYPPAAGVPLDFSLAKDALEISLPPDVLLLPSDLAPFVKVLSLHEGSDAQKQFMCMNPGRLAKGIGGGTFVELNYNEDTEKTNASIIRI >Dexi5A01G0023240.1:cds pep primary_assembly:Fonio_CM05836:5A:27285354:27288155:1 gene:Dexi5A01G0023240 transcript:Dexi5A01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHSLAAWRLSCVIFFLLSTAGTLSSAASTSVPILCTIPSPAPKHDATTNKHDALALLNSFHLDAGNFFGGEDIHFAEDDTNTSFVTRSFSMFPHSVDLTADPNLLHVAASLTLSGGRARAMLPESPRRRRRRHRFVGEHSVTFYLDGYFSTASGELCMAGKGTYPSDGGGSIENLDGVVLKLRMPTQSSLSDPFVTGRIKGTGFEPISLVAYAAEGTYNYKNSESVSCPTLEPSSTTARGAFQALGSNFSCAHLREHLVTSYKLQYGGGGGSSPPARWFQARRMHVGQVQCTAGGEVRGYASFYNDTGMWGHLAHRPHPPFMVDEEVVVVEGRWDSTRSMLCLTACHVVSSEESMEVRECGIGMSFWFPGAWTIHDRSVVAGKIWYSSQQAAAGDGSGSVMVSVSSIDASNHRSNFSDVTYEYTMVEEAKKHYLSDPELSDAKKNKKAGSFVAPNYTDHDFEFRFIETGRDKEGSGHAIPVAIGSAIVYGDRLAADDSFSQHAVVNVSHQELMSVSYDIRHHVPPEGWERPKNTSFYSVSLEERRITAEGVFDPKTGVLCMMACRELHSGSTTDCQILITVHLASLDANKAQGQGHGKGAISSLRNKTTDPLFFDKIEIALFGMYSEQVSESISRVDLESIMLVISTTLPCVFTVVQILHARRRPEAAAATSVTMLVVLALGYVAPLVVSSEALFLSRRRQFVPVPFRSYLPYELSQAMMRAPTLIALLLQLRLIQLALTARKRDIDRTRAEASSAAERRALWLCLPLYLIGGALTIIFHAMNARLEDSLTVSIGPEPATLWEDLVSSAGLALDAFLLPQIAMNALSAGARVRALSPWFYVGGTVVRAMPHVYGVVRGWGYVPSMRASYVDASPRFDRFGVGWDVVVPCVAAMLAVLLFLQQRVRLVAAPLFPAASPRRRLGDYEMVSNI >Dexi3A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:3A:11727805:11728287:1 gene:Dexi3A01G0015750 transcript:Dexi3A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFVRTGARGPAPRSSKTMHPSGADSGPSATSCHPSSSSSSAWDWCGSEWVRWKEEEETRRERCRSQRRRERARPPINRAPWASGARAARPLLGTWGRPVAAGSEASAWRGGGGGSAARPRPGRAGWCRGEACGEVAVRAG >Dexi5B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:5B:9470717:9475034:-1 gene:Dexi5B01G0013360 transcript:Dexi5B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPLLVATTPGACTPDDAPSPHPPAASLLPPAQQEPPLRVDPLAFSVEVPDPFRPSRRRDGPVDDPSASSQREREVGDNESRAVVVGEPSPEFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRLASVLAPGTAGDFQPKRWKHIRVGDVVRVASNETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQVRFSQNGGVSGILHCERPNRNIYGFQANLDIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLNGLWLLNHRGELEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGQIRYVFSDKTGTLTENKMVFQCASIHGVDYSSGEDTGGYSVVVGDHLWTPKMAVKTDPQLVKVLRDSGKNEEAKLVLEFFLALAACNTIVPLVLETRDCNQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYIVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDRTIKLYVKGADSSIFGITNKSSELDIVRATEAHLHKYSSLGLRTLVVGMRELSQSEFEEWQLAYENANTAVLGRGNLLRSVAANIECNIRILGATGIEDKLQDGVPEAIESLRQANIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCQRSLMEALATTKKLRAASSIGTLGPGLASEASRVTIALIVDGNSLVYILETELQEELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFW >Dexi9A01G0026530.1:cds pep primary_assembly:Fonio_CM05836:9A:29671405:29671758:-1 gene:Dexi9A01G0026530 transcript:Dexi9A01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPQFQLVLFARRRLPSHLQASSCRRAPHRWSGCCLPRSPTPLPAARPCATVVRRPRGSTTVPLPLLLRTCASTSTGHRGAQEQGEGRRALAAAGLRTGGVEIQGERRKERDALGG >Dexi9A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:9A:10242740:10246789:1 gene:Dexi9A01G0015390 transcript:Dexi9A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALARRGAAQLAAVARGRGMCSASAPKAAAGRAAALSSEELMRMERDCSAHNYHPIPMVFSKGEGSHIVDPEGNRYIDFLSAYSAVNQGHCHRKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKKIPKNEALLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLKKIFEEHGDHICGFLFEPIQGEAGVVIPPDGYLKAVRDLCSKHNILMIADEIQTGIARTGRMLACDWEGIRPDMVILGKALGAGVVPVSAVLADKDIMLCIRPGEHGSTFGGNPLASAVAVASLKVVRDEGLVERAAKLGQEFRDQLQKVQQKFPQIIREVRGRGLLNAVDLNNEALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISSEELAEASKALSDVLEHDLPQMQKEIKKPESEAEKPVCDRCGRDLYG >Dexi9B01G0033260.1:cds pep primary_assembly:Fonio_CM05836:9B:35459158:35461384:-1 gene:Dexi9B01G0033260 transcript:Dexi9B01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAVQQQLLVALAVAALLLVVWWRLAWRPRAVARAFERQGVRGPGYTFLAGSLPEAKRLLMAGRIGVPPLDAACHDIMPILLPQFLRWVADYGRTFLFWIGPIPAVFSTDLQLIKQVLSDRTGLYQKDFMIPVLKFLFGNGVILINGDDWKRHRKVVLPAFNHEKIKSMSAVTAEVTKQMMQQWREQIHQCESGGKEAAAEVDMIHAFNDLTAKINGRVAFGTSHEDVEEVIVLMRAMQKLATAATLDAPILWYLPTRRNLHVRRLNKQLKNKIMSIMQARLASKDEAKCGDDLLGLLLEAWTPQQRRGSGGAGETLTADEVIDECKTFFAAGQETTATLLIWAMFLLAVHPEWQHKVREEVLREFPGDGNGDAGEAAPTADVLAQLKLLHMVLLETSRLYPPIVYIQRRAAMDVDLGGIKVPQGTVISIPIAMLHRDKEVWGHDADEFNPMRFEHGAAKAAKDPKALLSFSLGPRVCTGQSFGIVEVQVAMALILRKFSFSLSPKYVHKPKYLLSLTPKRGMPLILRNIDG >Dexi6B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:6B:20836045:20839440:-1 gene:Dexi6B01G0013140 transcript:Dexi6B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVIETTLVAPSEDTPRRELWLSNLDLAVPKTHTPLVYYYPSPAANAGDAISATEGRPDDTFVSPERLKAALAKALVPFYPLAGRLGVGEDGRLQIDCNAEGALFAVARADFAGDDVFGRDYEPSPEIRRMFVPFVPSGDPPCVMSMFQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGVSRGLDVADACGPTPPFHDRTLLRPRSPPSPTSDHPVYSPSLLNGRPRPFVARVYSVSPKLLADVKSRCAPGVSTYCAVTAHLWRAMCAARGLPHGSETRLRVPANVRHRLRPPLPRSYFGNAIVRDLVTARVEDVLARPVGFVAQAIKDAVDRVDDAYVRSVVDYLEVESEKGSQAARGQLMPESDLWVVSWLGMPMYDADFGWGTPRFVAPAQMFGSGTAYVTQRADKDDGIAVLFGLEPEYLECFEKVFYGE >Dexi1B01G0029710.1:cds pep primary_assembly:Fonio_CM05836:1B:33941415:33943987:-1 gene:Dexi1B01G0029710 transcript:Dexi1B01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVSPPPAAAAASANQLAAASAAPASMRVVAAPRVSPASGTACRAASKGKEVLSGVVFQPFEEIKGELSLVPQKPDQSLARHKFVDDCEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMEYQNKRGGRVKLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFIESEFLEEQVDAIKKISEYVAQLRRVGKGHGVWHFDQMLLEEEA >Dexi1A01G0030020.1:cds pep primary_assembly:Fonio_CM05836:1A:35385465:35386943:-1 gene:Dexi1A01G0030020 transcript:Dexi1A01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPLHPVTVLEQCDVSPSPAAGQPPALPLTFFDLVFWGFPPVQRLFFYDNPDLVSGFTAGELPRLKKSLAAALHHFYPLAGKLTCEMTDGVAPPEVLFAHGDSVPLTVAVSADDFGDLAGDHPRDTARLRPLLPMLRKHGGQSQDVLAVQVTVFPRAGVCIGTTLHHAVADGSSYAHFLRTWASIHRRLGGLDVDECTKATAMDDDAPPLFDRAVVRDDAGLREAFLRDHRALAKSGDTCLDDWDLSRRSTGDVVLATFRFTDTQLRALGKHVESETSARCSPYALACAAAWAGIVHARRQRYGGDMDGEVVAGDMDGEVVASSEERFGFVTGCKPRARPPIPASYFGNCLGLCSVESTRLVNGGGGLTAASTAAAAIWRVIEELGEEGRALRDARGWVRSVREHAAARAVTVAGSPKLRLYAAADLGGAWGRPRKVEIVSVERTGALALAESGRDGEGGIEVGLALPRAEMEAFRKFYVDLFDSLSAVS >Dexi9B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:9B:9738517:9739776:1 gene:Dexi9B01G0014570 transcript:Dexi9B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARLPRIDFSGVDPSSPGAGRWAAVRAQVVDALATLGCFDAHYPALTPDLRAAFFDGAVKELFALPADAKRRNTYGPDKPLFGYLGGMPGLSNDYESLAISERVEPQSSSAPSPTSCGPTQTTPASGTQTERTTASEVVHGAARRMAELEEAVQRMVMEGLGGGKVPWRDEGVDAARVPDGSEVIRHGTHQDCSMLTVICQHGEVDGLEVQTGDGQWVRYKPSSPASLVVMAGKELRAWTNDRVRAPFHRVVVGGDVARYVAILFALPGLRIQAPAELPHCNDDFMRFCIAQGARHEDKLRDFCGV >Dexi5B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:5B:14100440:14101815:1 gene:Dexi5B01G0016230 transcript:Dexi5B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSILLFAAVLLLSSPVGFCGCYKRIFTFGDSIIDTGNFAFTAGNNPTPIKQLPYGMTYFNRPTGRVSDGGVIIDFYSQALGLPLIPPSIPQEGSGQFPTGANFAVLGSTALSWDYYKTKYNFQMPAPSHLDLQLQSFKKVLARIAPGDAAAKSLLGESLVVMGEIGGNDYNFWFFNPSNPREMANQYMPDVVSRIGAAVQEVINLGAKAVLVPGNFPIGCVPQYLGMFQSNNPSDYDEHGCLVWFNEFSQKHNQLLRQEVARLRSQNPGAKVIFADYFGAAMEFVTNPSRYGIDDPLVACCGGDGRYHTSKGCDKTAKIWGNPSKFDSWDGVHMTEKAYSVIANGVINGPYADTPLLKAC >Dexi2B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:2B:3056287:3066342:1 gene:Dexi2B01G0003480 transcript:Dexi2B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGPSSALLSSSSSACLRRLNPLLLSAAGRRPAWGQRRAARRFCAAVAAEKDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFEHGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPTLWIPGTDHAGIATQLVVEKMLAAEGVKRTDLTREEFTKKVWEWKEKYGGTITNQIRRLGASCDWSRERFTLDEQLSRAVVEAFVRLHDKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGTRDDFMTIATTRPETLFGDVAIAVNPQDKRYSQYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALRAVESGQLTILPDRFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARTEEEALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDLSKEDYKHFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKDYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDVSAWDDLIANKFNTEASLQELPLPECWVVTGLHELIDKVSTSYDKFFFGDAAREIYDFFWGDFADWYIETSKTRLYHSGDKLAAARAQSVLLYVFENILKLLHPFMPFVTEELWQAFPYRKQALMVAPWPTTDLPKDFRSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASIVATADVLEYVSVCLLVHFHPDN >Dexi7B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:7B:24109150:24111788:-1 gene:Dexi7B01G0018300 transcript:Dexi7B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSEGRRDCFHEMIAGGGYFEGSHDKYLMAGSLIHDSSQISEGNDDTSIDLQKFKVPSFSTEALSSPTIFSSEDIGGTNLLQHQLGIDLEQEAPPGETASWDPSVCTIQDQIINHQFGEHSENMLMEPEIQQYAALYPNGAYTPAPDLLNLLRINAAPALPAATSVFSDVVLNGSNYLYLNGELTGVAAVPDNGLMFTDDSSVQFGYHATQPHLANDICHSLPQNYGLFPSEDERELMIGAGSVDLFQEIDDRQFDSVLECRRGKGEFGKGKGKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHSNSREKRKKLDHQAAADGESSSMKPIRDDQDNQLNGAIRSSWIQRKSKECHVDVRIVDDEVNIKLTEKKKDNSLFYAAKVLDEFQLDLIHAVGGIIGDHHIFMFNTKVPEGSSVYACAVAKRLLEAVDAQHQTFSIFN >Dexi9B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:9B:9732452:9734851:1 gene:Dexi9B01G0014550 transcript:Dexi9B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAENDQRRQIKGLVLPLPDDILDDVLRRLSPRDLSVLRCVARPWRSAVDGLLLPRSVGGIFICFNNLDSLEYLARPTTTGTPVSGKLDFMATTTTIAEPSSTLLKDHCNGLLLFHHCVVNPATRRCAPLPPRPPELTWRNSKHFYDHDEYFYHDEYLVFDPETSPHHEVFAIPKISYRPGQEHVHYQSSELADPAIENSEWPPSPWNLFVFSSRTGRWEERSFVREGPAGGSGTVASKRWSSESHSEGHYAAYWQGELYVHHDANFFIRIFLSENKYQVIKPPMRIRGSPSQFHLGRSEKGVYCALTYCSKDSYWLRVWILQESCGDMKWVLKNQTDLESLLARRKFDEQFGRPWILQDINYYENPDTDDDTDEEELRGSQWDWDSDGDNVLQVGDRDEDYYRSYTVLGFHPYKESGTEIGATMEEPASDQVALLPDDALAAVLRRLAPRDLAASRCVRRAWRGIINDRRMLRPELLPLKIGGIFINFYDKSFWELFSRPSTGPTVSGWFDFLPGGTEDV >Dexi1A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:1A:24840826:24841029:1 gene:Dexi1A01G0017530 transcript:Dexi1A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKGLNATGEFFRRRDEWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNKLYPSSGEHHH >Dexi4A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:4A:908108:910694:-1 gene:Dexi4A01G0001410 transcript:Dexi4A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPRRPEDEEEEQEERRHDDGDDQITAPLLQEPSTSRGSPSYDEEEEEENSPIEQVALTVPVGDDPETPVLTFRMWVLGTASCALLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPDRAFLRGTRWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHITFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRVKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFIIMYIITPIAYWFNFYKAQNFPIFSDGLFTLTGQTYNISSIVDSHFQFDMKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVFLFHGR >Dexi1B01G0030430.1:cds pep primary_assembly:Fonio_CM05836:1B:34418282:34418856:1 gene:Dexi1B01G0030430 transcript:Dexi1B01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLRLTEALHARTNPKPGAAIQNRRRKGGRGRARSRAGEEEKGEGALLDWSGLQAWQVMHSANWWIATAGVAAIGGARAGPVAEARSRERGGGVKWRPREDRQERSEPSRFVCKWVVFQPHRRPTPAMAAARAHFFFHSNKQGKNFYDGLP >Dexi2B01G0024930.1:cds pep primary_assembly:Fonio_CM05836:2B:34168635:34174692:-1 gene:Dexi2B01G0024930 transcript:Dexi2B01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAIPREKPGAEVACVCLMWESVPLSQIEEEAVAPRRARGWRLGAHQGAAAMDSAKVVGCYRDRTILVTGSTGFLGKLLVEKILRVQPGVKKLYLLVRAQDNTAAQHRVLKEAMGEMLLGDMREDLPVVIIRPSIVTSTFEEPFPGWIEGTRTIDIVIDAYDKQTIPCFICDHNSTVDAIPGDMVVNAAMVAIAAHYAEETQIIYHVTSAHKNPLQMYLLEELAYGYFFVNPRVREGKRTIQHKRLLLFTRYLYFHAYMVLAYKIPLQMLYLVNLLLGGLFSEFHNKLNRNYNFFMLLAKLYAPFTTFKACFDNTNLRKLWRMTGACQGDGYIFNFDPSCVNWSLYLFNTHIPAALKISRGKKE >Dexi3B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:3B:6061621:6063739:1 gene:Dexi3B01G0008740 transcript:Dexi3B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAQLTPGWVAAVSEYNDGDGMLQPVLQVVDVVKVGNPQRNPAAVERFRMVLSDGVHSVQCMLATTINPLVRDGAIKQGSVIHLQEFNCSTIQNRRIIIVIRVEGTSSVSRVYVQKTIAQIKDENLWRSDKPDLIDVRAVISNVVADNFCYPACTLEFNGKRCNKKIKDHTGTAVAIAFQEAGEAIVGYTAHELFTIRNVHQDEARFRKIMDAVLGREYLFKLTTMEETFNTEQPVKFNIVSVDKLDASDMSHRLLEEIDSLLKNFPHSTLEGASSDHSNDGYGFYRGLGSASPFRQ >Dexi2A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:2A:1680367:1684114:1 gene:Dexi2A01G0002190 transcript:Dexi2A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVIVSSRKQKNMDEAVCGLKAKGIAAAGVVCHVSDAWQRKNLVDTAVKNFGHIDIIVSNAAANPTVGGILEMKEAVLDKMWDVNVKASILLLQEAAPHLRKGSSVIIISSIGGYDPKGGVAMYSVTKTALFGLTKVYNALAGEMGPNTRVNCVAPGFVPTRFASFLTTNETIATTLSVCDPVTGAHQLLPELPDGGGKSYSYSAAVLCAAAGGCDHHGCHHDDHHGCHHGGNTDAMARAWVHSAESAAWSAAASVEIGEHSFVETRKRGAIVGGDQLFFLLNMGTAILKFDLGTHSLSVIGSPLVYGEAVVLMSTEDRHFLQTQPPSSSYWFRRGRRCSLVVG >Dexi9B01G0032150.1:cds pep primary_assembly:Fonio_CM05836:9B:34409674:34415581:1 gene:Dexi9B01G0032150 transcript:Dexi9B01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAGTMKPSTGTCFPLMQIWRRESQLAGQRTIPGHGQASHWQRLPENTLKVNVDGAFFPSDGSGAIGAVARDAAGAFLMATTRRLPAKQRLSVRVCNLYTREATSANELSPALRPNKRPTTTTPLTTGSFISARGSRVPLTLKRASPSRSRPAVLEGRRTGNSARGPATHSFHDMIARLCGQKEESLHYATIPRRGEDTGQIRSPQSLCARRCYCANYSMTLSVPDTPQAPGKHPRRTTGRARHHPHPRVTSLEATPELEGAIPARIVHGVGSTVHLATFSPSPALLVLPYYEQHETRCYAPLLDVRPRGQNQDKPLRPEFTTLISPSERVVGSAITSRGLRCATSASFPMGDFDHRRFPERSSDPFPAGYEIWFGSLQFHATGNGYLMRILSKEPSNHPEAPQPPAAAPRQRRRPRPRTRRARRKACRQRHPSRKGWRAEAMPFLPTAMVGRRAATAPPNLYGLRNNAAVYASSVNTNISAYDDLPGHHLASVRNLIASTPDDSYPESGEEYALGQEFPGWDYSGLRDREAFLDFQAVADYFFGYSDDEYDPTRECFAIDGERVNDGHTTDDDDDEDADPVGAQPPDLQDRSPQGDEPRSHHQAQDTHANELGDRDEQTPPLMRSGRVPPDENTGCDADARQAGRLTRARILNDGENDDPVTLPRTSQKLIAAAALLRAMPQPTIPEARKLHREAQTLVENAARQQAESSASRLPWQGVLGALPSAKQRDTTIARRRPTPHRRTTHSSNGGARHEDPCEISSPGHSWRLGRRGGNRRKRGLANHDTTTSATTIRTVTGRRSRRSIRMAPIPPRFRQPTTITKYSGETDPRMWLNDYRLACQLGGVTDDVMIIRNLPLHLADSARTWLEHLPPNRIHDWNDLVETFVGNSQGTYVRPRNTWDLRGCKQKPGESLRDFIRRFSKRCTKLPNITDAQIIHSFLESTTSYNLICKLGRNPPPDANRLFEVASKYASGEEEANAIFNGKKGKHPDQGTPRRSKVKARRGRTTTNEVPAVDPSHNGPRGPPRLPSPLVYKRGGKPIFSPSPALLVLPYYEQHETRCYAPLLDDKPLRPEFITLIPPSERVFGTRVRCDGPAPQEPNMHHQGRADIHGRRPLGPHVRARRC >Dexi2B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:2B:263048:264856:-1 gene:Dexi2B01G0000590 transcript:Dexi2B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGAIRKSGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVERTEYQLIDISEDGYVSLLTESGNTKDDLKLPTDENLQAQIKSGYDDGKDLILTVMSAMGEEQICALKEIGGKN >Dexi9B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:9B:1973790:1974218:-1 gene:Dexi9B01G0003430 transcript:Dexi9B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQLAPRRPISTRRRASVGGEVAMAMERASLATASFRVYYSLRPGAVPFLWESTPGTPKSAAAIAATASVAPAEVAGAGLPPISPPPSSYHSYTQLKKTGRGRCRARSLCPAAGRVLRVLLAALGIRRRSSRRRPASPQL >Dexi6B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:6B:20804077:20806150:1 gene:Dexi6B01G0013100 transcript:Dexi6B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAICCTGALLLLAFVSSSAGLSLDTVREFLTREEDTIVFSLIERARYPINRPAYDPVHLGDGRRLNASFAELFIRESEAVQSKAGRYQSLQEIPFFAYRVPFTLAPPYNFTSELYPAATFVNVNDAIWSMYFNELLPLLAKTGDDGNYAATVDSDLACLQVLSRRINYGRYVAEVKFRGDQQTYTSLIQAKDRDALMKLLTSEAQEDVVKRRVEKKAIVFGQSITSDGPIETSVNNSSGTDFKVNPSVVYKLYDQWVIPLTKQVEVEYLLHRLD >DexiUA01G0011690.1:cds pep primary_assembly:Fonio_CM05836:UA:23295155:23295931:1 gene:DexiUA01G0011690 transcript:DexiUA01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETASTSKQEGKETASKSKQEVSEPLPKEDKRMDGASQGVEAEFAESYDDTDTDDDEEGAVEAPCNNNLAVKLGNGGAILALVETKTGFAIFMYDGIKLLQEDALQNIWVAFSDHKLAERSAWLLEYRPFEPKVSAINSNGVSDELADMIIRRIEPRQKLAVETKVYQEVIQNSMGISCLCDDAVEELMWGLKFQMPYLLPAEKSMLDEKDCFPMSKGMRQLLNTHSFILEPEDMKVTRHIINLAGVVRECDRSVN >Dexi1A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:1A:6495113:6495857:1 gene:Dexi1A01G0008380 transcript:Dexi1A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLHSRKMVQPKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSSTGEPASAAGRDVRSNVGGGSSTANLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEAASTDAALQSTSATTSSPATAMDEEERIALQMIEELLGSSSPASPSDGDDQGRFII >Dexi3A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:3A:9042173:9042659:-1 gene:Dexi3A01G0012450 transcript:Dexi3A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIDPALSKAYALLLKSICPANSSQFFPNTTTFMDIITPTKLDNKYYLGLQNNLGLFKSDAALLTNATMKALVDSFVRSEATWKTKFARSMLKMGQIGVLTGTQGEIRRNCRVINPLNATSTANSHQVATESGSSGFTGVAAS >Dexi5B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:5B:11679336:11684156:-1 gene:Dexi5B01G0014820 transcript:Dexi5B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPPCPLPNADASPPPAPMTPRAPQLRHHPLHLLLAEAVASWHPFHKKPCLSDRSTAPGSSAHLPDAETPTPALSGGGSGGSFRWLGLRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHFTCGAGGGGATDSSGEMWASDVGEMRMRDVPMATEFGPVPVGGAAVGTGGVGAVAEVAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFWGGVIGAQPLEKKRSNEICAGPNVETRLWPNASYTRYLANVKIDMNKMRIVGDNNFGEQKSHHRCRRKKHDLRMLDP >DexiUA01G0008460.1:cds pep primary_assembly:Fonio_CM05836:UA:15878257:15879989:-1 gene:DexiUA01G0008460 transcript:DexiUA01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVTQIKEYRGKIESELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGIEEGKEATKGDADE >Dexi4B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:4B:17275910:17283649:1 gene:Dexi4B01G0015460 transcript:Dexi4B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSMAAASNRAGPGADAGEPSLRLRRAPSVDAAGELAGDSSGGRRENGGPHPPPNPQQQQQEMLYYRASAPAHRRVKESPLSSDAIFRQSHAGLLNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLGALMAEKLIRRKLIGEHVVILLHIIITTSVIVYPVVVILKCDSAVLSGFLLMFLASIMWMKLVSYAHTNYDIRVLSKSTEKGAAYGNYVDPENMKDPTIKSLLYFMLAPTLCYQTTYPRTTCVRKGWVIRQLVKCLIFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLVPYFIWLTLLENVEHGNLFVASTFIDVTFLSLNSQIHMVSSLQGVAILISFLVSAVFHELCVAVPCHIFKFWAFFGIMFQIPLVFLTRYLQDKFKNIMVGNMIFWFFFCIVGQPMCVLLYYHDVMNRQAQASR >Dexi7B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:7B:16119065:16119340:-1 gene:Dexi7B01G0008250 transcript:Dexi7B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDEGKVRRGDESNRVGSGGGHLIVSVLLRIDGDSGGGRVLAVEKARDRGSGGWSLALCGFDAGSARATYLKKSGHLLIFWRTKINRKA >Dexi3A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:3A:9846468:9847427:-1 gene:Dexi3A01G0013540 transcript:Dexi3A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSELTVEGIVFPPLIRPPGSVRSHFLAGGGVRGMEAEGNFVKIAAIGVYLEDAAVASLAGKWAGKSADELASDPAFFRDVYTGEFEKFTRVTFIWPKTVAAEEFAAKVMESRVEFLKAAGAYTDAEAVAVEEFKAALKNQSLAPGASVLFTHSPAGVLTVAFSDDSSVPEAGIAAVENRALCEAVLESIIGERSVSPATKQSIAARAPEILKGGA >Dexi9A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:9A:5188760:5190205:-1 gene:Dexi9A01G0008770 transcript:Dexi9A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANHFLMTNFLKNKLKFRGFVISDWQGIDRITSPPHENYPNSIALGIGAGIDMVMVPYNYTEFIDDLTSLVEKNIIPMSRIDDAVSRILRVKFTMGLFECPFSDPSLAAELGKQEHRELAREAVRRSLVLLKNGKSMHAPLLPLPKKARKILVAGSHAHNLGYQCGGWSIDWQGGSGNNLTTGTTILSAIKAAVDHRTQVVYSEEPDSSVLAAGEYDYAVVAVGEAPYAEAAGDNLNLTIPAPGPSVIQSVCKVTKCVVVLVSGRPLVVEPYLGAMDALVAAWLPGTEGQGVADVLFGDYGFTGKLPLTWFRSVEQLPMNVGDAGKK >Dexi9A01G0027500.1:cds pep primary_assembly:Fonio_CM05836:9A:32153505:32154707:-1 gene:Dexi9A01G0027500 transcript:Dexi9A01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLRWAALLLVPALSVILSKYSRSSIPGLLPPVVLVPGYATNELDARLTELYRPSSPRCAAHKGQGWFRLYLDHASLNNDPAGVRCFAEQMSSVYDAASGDYHNVPGVETRVPFFGCTRAIRHPDPDRGNFSYTDAFVSRLERVGYRDGETLFGAPYDFRYAVPSIAGDAFFAGLKGLVEKASRRNAGHRPGAQLRRHAGVPVPAPATPAVAPEAVRARGRAVGRRRAGHAHARLRQQPRPAVRRHGGAQGRVPEPAEQPLGVAEPGRVRSYTAHGMVGFLEATGMGEAVGPYETRVLPLFRELPSPRVPVACVVGVGVDTPEMLAYPGDNFDVAPRMVMGDGDGLVNLASLVAVDPAWRRPAADFSMVKLRNVSHTGLLVDDRALAVIINAILRPNN >Dexi4A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:4A:1558250:1558507:1 gene:Dexi4A01G0002260 transcript:Dexi4A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATGRDPPGDRRISCQDPDPHGTRLGSANTHQAEDGGRSNRARSTRDGVTARNPNPLAEDGTYVQMAAPPGGAAPDIFLVPSQG >Dexi8B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:8B:928905:929443:1 gene:Dexi8B01G0001370 transcript:Dexi8B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYPVQLLHRPGGGGGQWRNLGAAYAAVTFLRPQGQSLVLYAGGPDGGQQQQPQRIVFAYPILPGDAFERLDGVTLSWPELESGDEFALCFLDDAACGAVCGAIAPVVRSPAVVDGIAEMLAGLRVAREEGAPAPGGVDIAARLAQLSIGRR >Dexi2A01G0028250.1:cds pep primary_assembly:Fonio_CM05836:2A:39521598:39523121:1 gene:Dexi2A01G0028250 transcript:Dexi2A01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSSSSAVAMPPIAKAVDAYRKAVATAATATAYVVLARGMARELLPHDLRAAASWAASLLRTRLEPLPAERRTLVIKRASGSSHHHDDGGLYDEARQYLATRIDPHSMRRLCLSGGVYGAKKVLSMEHGDSMTDVFEGVRFTWASVAGEGRHGPSSESLELSFDAEHTDMALGRYVPFITATVEEARRHDRELVIFMNEGSHWHGIEHHHPATFDTLAMDPQLKRSVIADLDRFLKRRDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAIANYLRFNLYDLDLSEVRGNTALQRLLNGMSNRSILVIEDIDCCFSAATREDGKDQAGDGASDDSSEEENTPDPWDTQQQQHNITLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVDDHPTFPAIQELLSAVEVTPAEVSEMLLRSEDADAALQGVVKFLGEKKQATGEGN >Dexi9A01G0035640.1:cds pep primary_assembly:Fonio_CM05836:9A:40238397:40238685:-1 gene:Dexi9A01G0035640 transcript:Dexi9A01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAARTAPPAAAAGLKDVADDGASAKRRCLRIRLLAAAIRGPREAIGGCEAAAAEVVAAAERWGRRERAVGFRPYARMGLFIVGPGL >Dexi2A01G0029550.1:cds pep primary_assembly:Fonio_CM05836:2A:40585505:40585753:-1 gene:Dexi2A01G0029550 transcript:Dexi2A01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERPAPALTSDLHEEIFLRVTASPAPPTSLVPQPRESATQLCCAPVRSARNQFNSRRRGLNQAWSCMRAHLTAEPEEAAA >Dexi5B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:5B:6628566:6633607:-1 gene:Dexi5B01G0009610 transcript:Dexi5B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLAENIMAAPAMVVYWESGVLHPERSLSADRVSSVKRGVFAMIAVFLAYSFLQAPSTAFALICTTSCQQGCIRHDCCFFGLFFSSGTFNTRDDARQFMEYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYEIIFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGKTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPFKKVGSFCWLSLAICIVELLICIKFGHGECLFPKSMPSWLITFWTGVALLLMLFLLVWTCKIYRTMIKKRL >Dexi2B01G0031770.1:cds pep primary_assembly:Fonio_CM05836:2B:39781124:39784020:-1 gene:Dexi2B01G0031770 transcript:Dexi2B01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESPETEQRPHKVSFFVDKKSAQEVIKSVAEKLEKRGLDAKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYTENAKDNPKIIHASERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKEASFKPTDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITHANGVIIHPAGLECSLHASIDAFRSCYGDKQGKKYRAWVDRLFITQTGSDSWAVRFDLWESEGDVRVCALSSLTLSLKTESPEGFVVTHIQKTWLNGYSSGVEQASKL >Dexi1B01G0025240.1:cds pep primary_assembly:Fonio_CM05836:1B:30357272:30358178:1 gene:Dexi1B01G0025240 transcript:Dexi1B01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSAAALLGGARLAAVPPSVLLPRRSNLSPLRLQAEAPRLSLLRVKASSDDSSAGSVDELIADLQAKWDAVENKGTVLTYAGGAVVALWLTSVIVGAVNSVPLLPKIMELVGLGYTGWFVYRYLLFKESRKELADDIESLKKKIAGSD >Dexi5A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:5A:21715366:21715818:-1 gene:Dexi5A01G0018180 transcript:Dexi5A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQEGRHHTTNTTKCVSVASKAGSWRAPRALEVGSGEGKRGGGRFGSSQGVEAAPVGMGRAGGRGDRVRRREHPAAAGIEGRVSRRGAGRVEGGGSHRTGEEA >Dexi5A01G0029830.1:cds pep primary_assembly:Fonio_CM05836:5A:32889308:32891994:-1 gene:Dexi5A01G0029830 transcript:Dexi5A01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGATHPNGNANGAVVEEKLDELRRQLGKADGDPLRIVGVGAGAWGSVFCALLQDAYGHLRDKAQVRIWRRPGRAVDRATAGHLFDVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTDTREVFGEIGRYWKERITAPIIISLAKGIEASLDPMPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGLVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQLMHFMSFLVRIA >Dexi2A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:2A:1314340:1319555:-1 gene:Dexi2A01G0001770 transcript:Dexi2A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSRQRPGHPSRQLACVPPICAPPPPLEPPASLEPPLHQPVSPRCQRAYPSPERRPRVAHEYEGDVPELIEEFLSHAGCSNGSCVIWDFETKGLAREFHDKDFTASITSVSWSKYGHRLLASATDKSLTLWDVSSGEKLARITLQKTPLCASLQPSSPTPSICLACPLSSAPLLVDLDTGSTTVLPISVSENSNPRAPNPRNKFVDGTPPFTPTAATFDKHGDLIYVGNSKGEVLIVDARSIQVHAVIPIPGGAVVKDIVFSRDGQYLLTNSNDRVIRVYKNILLVKGSGEEIRNISKNSSGYGSHYEKLKANGASCLTLSCELLDSITRTQWRAPCFSGNGQWVVGASANKGEHRLQIWEQEGPLVKILDGPKEAIIDLAWHPAEPTIAAVSVTGIVYIWAKEHVENWTAFAPDFEQLEENEEYVEREDEFDLNPREDEAEEVAEVAIDEDAEVDIETSEKDTVFSDVEDSVDEIVYLPAIPCPDVPDEQPEKCLESSSKLKDSNHSGSSSSMDAVQDGQAIPLASSPMEVNKSAAEDPVEGASSKRKRRLSLKGLELQQSEGKKAAPKSKPNNKSTKSSAKQMESGNNGNSFSSDNNATETDQVNIDI >Dexi9B01G0031650.1:cds pep primary_assembly:Fonio_CM05836:9B:33985995:33986249:-1 gene:Dexi9B01G0031650 transcript:Dexi9B01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGDNDLKPTPTCTLRHLIQSWCAAHIIERCGGGGNDGGDRGKRAGKLPTVAIEHLCGCVEGRQELVAHQAGMVTVPKATDGH >Dexi3B01G0037360.1:cds pep primary_assembly:Fonio_CM05836:3B:40111654:40114449:1 gene:Dexi3B01G0037360 transcript:Dexi3B01G0037360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALVLLVFLISPVRVRSTASSNLTVSLPGCPDKCGNISIPYPFGIGTDCAATSLNPFFALTCNDTFQPPRPMIIGNSSTPREVIDISLEHGEVRSYGPVSYSCFRANNTILENYTAEIILDGTPFIPSTTRNRLTAIGCSAMGVIGGSNPNPYVTGCFSYCQGINDTEDGAPCTGMGCCEAPISSNLTDFLVILSNLSSVWNFNPCFYAMVVEVGWYSFRKQDLVGHRFINERATRGAPIVSDWAIRNGSCPKEGTIEPKDYACVSTNSYCVSASNGPGYLCNCSEGYEGNPYLRGGCQDIDECELRKLDSKYSTLYPCKDGVCINTPGGYICRCKIGTRSDGTNYGCRPILSQSEKVVIGVCLSALVVVSLACFLVMKLQRIRHRKEKDEYFKQNGGLKLYDEMRSRQVDTILVLTEKDIKKATDNYSMDRVLGCGGRGMVYRGTLDNNEEVAIKKSKTIDDDCREEFVNEMIILSQINHRNIVRLSL >DexiUA01G0025760.1:cds pep primary_assembly:Fonio_CM05836:UA:54226462:54227268:1 gene:DexiUA01G0025760 transcript:DexiUA01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLPSTPGTMEIPYDVLVDKSEGYSGSDIRLVCKEAAMQPLRRLMTVLERNQEEIPEDDLPEVGPVTIEDIELALRNTRPSAHLHAHRYEKFNQDYGSHVLG >Dexi2B01G0036110.1:cds pep primary_assembly:Fonio_CM05836:2B:43032264:43035207:-1 gene:Dexi2B01G0036110 transcript:Dexi2B01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAEMGLERRAYARVGLLGNPSDVYGGRALSFAFTDFYATVRLRPSPELLIQPHPHHDLVAFPSLPHLVSRLQSEGYYGGVRLLMAICKVFYNHCIQNNISLKPENFTLSYDTNIPRQAGLSGSSAIVCAALSCLLDFFDVRHLIKVELRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSKEHMDKLGHGIYRPLDVNLLPPLYLIYAENPSDSGKVHSTVRRRWLDGDEFIISRMKEVAQLALDGHKALLQKDYVELARLMNRNFDLRREMFGDDVLGSVNIKMVEVARSVGAASKFTGSGGAVVALCPDGEAQAELLHKACQEAGFVVQQVKVAPTALSDGELSSLLSC >Dexi3B01G0024190.1:cds pep primary_assembly:Fonio_CM05836:3B:18895326:18895547:-1 gene:Dexi3B01G0024190 transcript:Dexi3B01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAEMELEAMCPAPHRAPRSRRPALLLSPLLLAPVEAAARRRADGGSNVGNGRADAGGGSSSNSPQLDGA >Dexi9B01G0043920.1:cds pep primary_assembly:Fonio_CM05836:9B:43821111:43825035:1 gene:Dexi9B01G0043920 transcript:Dexi9B01G0043920.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAQSRGLIRAVEKRSKTKHSDVHSGAFVSLGLAHFFAPFPSLVPTSASNPHLRNRSSFTAPQYNSSPEPARSSDGPPPRADRAEPAAVLPAGNGSWAAAVMGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDRCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSPQEFSGNTDNGYILFYESHAEKS >Dexi9A01G0038190.1:cds pep primary_assembly:Fonio_CM05836:9A:42510105:42515699:-1 gene:Dexi9A01G0038190 transcript:Dexi9A01G0038190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAALIRDLLTSFSPNADFLALSSGDGRVWDAVRGRLQTEFADIPAVEVGAVAETKRGHLALDYTCMKWVQLSGKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWKVSDCHPGGVTAVAYSKNGWSVYTAGADGMVCRIDASDGSVAGKFKSSSKAISALAVSSDGNILATAAGQLRTFDTSDNKKIKKFSGHPVAVRSMVFSNDSQYVLSSGIGERYIAIWKLDGGKTQSSNCILSMEHPAIFVDCKCSDEGEIYVLAISEIGVCYFWSASNVDDLRNKKPTMITLSDSSLSRAQAFSIFAAKLQGVDGPNSAHVLLAYGSVVKPSFDKLLVCYGADINLGISQDGVLLPNTQTTISKKGHSVKKQAKVTALDRANAEDATLPLPKVHTEEKKRKHGVTKPVGDIEPAIHSDLTTTRSIQKRVPVQRNENDGICIEDMMRQRGILETPVDQSTEGHPGIPTNIFSDFFGDGSTKVDANLPSKKIRAHLRSLKPGDACKLLDNLVSAWKTRSGNTELVLRWIYCLLVIHGRFIHSEKSTVLISNLDKMCAERYGATEDLLKLSGRLRLIKAQVGKVANNTSELPTEEMQDATAIQSDEEEEEEVDEMVFGQDSDSSQNSDEDAE >Dexi2A01G0035090.1:cds pep primary_assembly:Fonio_CM05836:2A:44793012:44798167:1 gene:Dexi2A01G0035090 transcript:Dexi2A01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSNKERARAALDAMKVLGFSKKEATPVLKTLLKLFDNSWEPIEDECYRALADAILDARDCPQGAEHGSHGARMVAPEEDRHQPSTSLVVHRGPCGSDSETEAPLIKRPRTNPEEDGHQPSTSLVVHGGPCDFETEAPLIRRPRTNSNNLSADHSIGPELFPSSSNTAHDRAKQMIDEDFQHAVFLREPKLEPDMDATQSFHDAQVGIVSHPFNTSPSGAADPHPLEVFLTQEGKGWGLRTLDELPKGTFICEYVGELLTNMELCERASEKALKAGNMYPVDLDADRRSDGVLKDQEVLCLDTTCYGNVARFINHRCYDANLVEIPVEMETPDYHYYHLALFTSKKVDAFEELTWVGFVYLFTISISDYGINFDDDKNPGRAFQCLCGSRYCRGRKRWRNRGKAAAKLAVVEGTRG >Dexi3A01G0035770.1:cds pep primary_assembly:Fonio_CM05836:3A:41028446:41028928:1 gene:Dexi3A01G0035770 transcript:Dexi3A01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEVAFAVVCVMAVVTIAFLLRMCSRSAAPAMAAAAEHAGVDAAPDVEAGAVGLDEAAIKALPKMVYKAEEEEAGEAGTKTTTTTKAAVTGSAAAAGSSPAARCCAVCLGVFAGGQVLRVLPACAHAFHQLCVDRWLRLRPTCPVCRSPPVTPTHQLL >Dexi5A01G0035130.1:cds pep primary_assembly:Fonio_CM05836:5A:36978511:36981207:1 gene:Dexi5A01G0035130 transcript:Dexi5A01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHLIQFVPDDMAANGMKVALHRQVSGGSMKHNAELRRQASLESPRTGRATSRFLFGRQSSMDPNRRRGRSQSPVGSSSSAAAAEELTVPENLDATMQLLFFACQGDAMGVEGLLRSGVDVNSINLDGRTALHIAACEGHRDVVRVLLNWKANIDARDRWGSMAVADAKFYGHTRVYDLLTAHGAKAPKSKRTPMMVSTPGEVPEYELNPIEVQFRRGYSVTPMRGIDYWHIEQGSYHIAKWNGTKVSVKILDREGCSNQEDSNAFRHELTVLEKVRHPNVVQFVGAVTQHIPMMIVSELHEEMDLVACIQRKGKLSGHKVLRYGLDIARGMTYLHQSKPDPIIHCDLKPKHIFLDSGGQLKIAGFGVTMVSKVGTDKVKLLNHDALVDSFSYHTAPELHRNEPFDSSVDAYSFGFILYEMVEGLVKTPEDSGHSVRFEGARPSLKGKLKGYPPDFKALIEECWHPQVMARPTFSEIIIRLDKVYSHCDKQGSWKESLKIWFVSRRSKYHSVKSRIHTE >Dexi1A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:1A:1041619:1043269:-1 gene:Dexi1A01G0001540 transcript:Dexi1A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVASLSSPAPAAASRRRRFSPAASASLRRVASGGGSSWRSERRLMSVLERTVTAGAAERVIRGYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTQARWFKWSSIHAASVAALLEVNGSIGESRSLISDSISPHLQSTDEVALFYCDLMAAFSSRGLKDRAVGFYTELRSMPLSGRKAYTAMIKSLCLMGLASEAEEALREMVSLGYQPDAFQFGLVAKCYGKSGSLVEMERVIASMSDAGIRLGTGAANIVLSCYSSCRDHSKMLVWLKKMRKLRVAPTTKAYNFVLNSCPTLALVIQELGPSLPLSTAGLVKKLKSVSKLAAEAELVQELLDSSSVLDKAMEWSETEVKLNLHGFSTIAAYVLMLQWVDVIKGRTLPLEVSVVCGIGKHSDVR >DexiUA01G0019400.1:cds pep primary_assembly:Fonio_CM05836:UA:40436339:40437164:-1 gene:DexiUA01G0019400 transcript:DexiUA01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAVICAFSGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWVLNNLCIIQIQCNVFSGASGEVSLTAAGGLRKIGLGDTYESPSL >Dexi5A01G0031280.1:cds pep primary_assembly:Fonio_CM05836:5A:34037734:34038322:1 gene:Dexi5A01G0031280 transcript:Dexi5A01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQHFPFIHCVEHLALTRKWDTWQSCFQETGLASQPVIDCYNSGYGRQDYMNFEAYICSVYDGELPQACKGKHLAIAQHTKASGGDKVCLVSKIIS >Dexi1A01G0024570.1:cds pep primary_assembly:Fonio_CM05836:1A:31069810:31077768:-1 gene:Dexi1A01G0024570 transcript:Dexi1A01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTWPVFQFPVTPHGRQNFPAIRRRTHRLGSKQLPTTDEKHRPRSTRLHRLQKIAERIHPPMAASPPEAGLTDYERLREENIRRNETILASLRRKAAELSAAYVRPSPHKRPKKQQPRASPASHVVLRRSLRTRGIPPGESSASPGSNTASVTPPPSPTKPRTTQFSSSLASSLRDASAAEPLPRTEGGICAADGFDPRRLVLRPANVRKVVPSRILSVRILPLADRTVVVAGNKLGHIGFWDVDCLVEDEDGDGADGVFEYFPHMGSVGDIVMHPDAPRKAQVPEFLTEEGANAATEATDAIWLLWALAVLSLLYWLRSLTRRGPGRARLPPGPRPLPIIGNALDLRGANLHHALARLARVHGDVMRLQLGPSGAAVVVSSSRAAREAFASHDRRHAARRVPDAVRALGWGDRSMVWLPSSDPRWKALRGVVATHVVSPRSLAGVRGARERKVRELVAHFRARACREVEVGKPLYAAMLNLVSSSFFSVDVVDMDSSTESAAAHGIRHHVEEVADLMTKPNISDLFPLLQPLDLQGLRRKATGHLGEIFRILDGIIDRRLAGKDNDNHGDFLGALMDLMATGEISRDDVKTIGFDVLAAGSDTTAVTVEWAMALLLRNPGAMAKARAEIDGALGARESVTEHDVARLPYLQAVVKEAMRLRPVTPVLIPHQATEDGINIGGYDVPKGSVVIFNAWAIMRDPAAWEKPEEFMPERFLTGRAAEVDFKGKDYEFIPFGSGRRQCPGLPLAECVVPHVLASLLHALEWRLPDGVTAEELDVSERFTTANVMAVPLKAVPLVMTKLPV >Dexi3B01G0023050.1:cds pep primary_assembly:Fonio_CM05836:3B:17818251:17820157:1 gene:Dexi3B01G0023050 transcript:Dexi3B01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATASVRGAGAAPLARARPPPPLVCGVAAARGPRRVVGAGGLAAAHGRRRRRALVAVASLQDPLPSRVKEVPVAVAPQADGEDVGHGNGSAGGETSSPPVGETVRVRFVLVKHCASGQSVHLVGDDPALGLWDPANAIPMEWADSQDWILEKDLPANKLIEFKFLLRDSSGKLHWQNGPNRIFQTGEATNRLVVYEDWNDVKKQKIEEEEIPYVGLEEPVVSDDGESRKDAVLEDKLPMDDTEEVKEDESVVAEEDEKSVVATNASVQVETLKTNEANPQESMLQKEPETIDELRETVGVEKGSALCPDENSAEKTEGDNILSEDGVPVENGLTSAYEHDLLWGWKALQQLLMNLGFKMDTT >Dexi8B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:8B:1038926:1039762:-1 gene:Dexi8B01G0001570 transcript:Dexi8B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLAVMLFSSLLHLQVMVTDAALGPGQPIGLPNCTTMCGNMSVPYPFGLEPRCRLDWFNVSCDASQRLSLDTLRALNIFTQIINLSLDDSTLQFMAPSQAPSISTARGLYMFNKPDRLVNWAVASSNALQGLAPGDERPGNETCPSDLGSSVCHSSYSTCRATSGEYNSPTNATGYVCRCHDGYQGNPYLSDGCQGTYQIISTNARFRINVLATVQIFLASIYANVHREPMATHTFKMDA >Dexi3A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:3A:2913458:2913979:1 gene:Dexi3A01G0004450 transcript:Dexi3A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSPLLILLPLFLLLASAAGEKQTHIKLYWHDVVSGANPTAVPVARAAVTNTSKTAFGVVIVIDDPLTDGPDLKSGKPIGRAQGTYISAGKDELSLMMNMNFVFQSGKFNGSTVAIMGRNAVFTAVREMSIVGGTGVFRMARGYAQARTYSSDLKTGDATVEYNLYISH >Dexi2B01G0022320.1:cds pep primary_assembly:Fonio_CM05836:2B:31940185:31941852:-1 gene:Dexi2B01G0022320 transcript:Dexi2B01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRPLLCLAAVALAFLVSSSEAWRLATPPPLPVLPIPSAAQLKWQQREVIMFFHFGMNTFTDSEWGTGTEDPSLFRPAGLNATQWMDAARAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPWLGGHGDVVAEFVGAASARGVDAGIYLSPWDRHDEQYGKEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGFAGTTCWSTVNRSMITIGEAGIEKYLNEGDQRGSDWVPPECDVSIRPGRFWHKNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADVARLREFGEAIATIFGTDLAAGSSARASSERGGRRRGGFAARNVLDGRDDTYWAPTAEDGRRNGYWIELRRPPASAAFNVVRIQEHVALGQRVERHEVYVDGAPVANGTTVGHKRLHRLPRAVAGRTVRIWITAAPRGPPLLSAVGLHHDPFVAEDAM >Dexi6A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:6A:4865182:4865658:1 gene:Dexi6A01G0005390 transcript:Dexi6A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGTGRKLGKVAGSKSIAGGFSSSTAAPSPASGASSAAAGGSPAPFLAGGGAYSNGSGANACTAASCPARHKPREEASAEAARVVSERRPCRRSASAGDTTPRVSLELEHPMAAGREADASTWLANGSSSRQRLFWFHGNMKWVGSYRRKEVMNW >Dexi2A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:2A:2655544:2659923:1 gene:Dexi2A01G0003090 transcript:Dexi2A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHELDGSTFKECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFSSVDRNTWLQEMIVSMAVAGAIIGAAIGGWTTDRFGRRTSILVADFLFFAGAVVMASAMGPAQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTNAPGTWRWMLGVAALPALLQRIYTAEEVEREIEELKESVAAEARDRGSSETTTKASLAAALRTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSIVSIYFIDRTGRKKLLVISLVGVIFSLAVLTAVFHETTSHSPPVSAAATSHFDSSLTCPDYSLRSSSSSPATLPSGGSYWDCTRCLKPGRSTECGFCAAAGDKLLAGACLVSNTTVRDACHGEGRLWYTRGCPSRYGWLALVGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGTAATANWVSNLAVAQSFLSLTEAIGTSWTFLIFGGLSVVALAFVLVCVPETKGLPIEEVEKMLERRELRLRFWAAAPPRAAGDEDGKESGKSAGV >Dexi1B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:1B:18377922:18381321:1 gene:Dexi1B01G0013090 transcript:Dexi1B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAPAAGALTGPRGSTVEVVMRVFFVARTIPFRGAGADSFSNEKAVAVRDIPDVCEAEATSAKPTRWTEYDSQTGEAPTACSPGSTPPSRAEPATPPVHCAIPVARPPPPPLICAVPRRRRPATGEEKGDLNHGWASARAIRRLAVHDDVARRKRFSPCVQGSRGSQREQWEQQVQPRSDPRRPSAKTGLLCSSCHEPIDEIRCWPLEKPIAAGHALVPFAFAEHGCMRPLGHSSATKRMCVCPLPCCGYASLKLRDRIQGTHAVAAPSGGGDHVVVSLVGSPEVMLRHRTPFVVLLQETDVCVLLLLNGGNVSSGLSLSVVCVGPRLGGNKSLEYELQDWLARAVSVGARGVRRVHTHLGGAPPNGGFLLVPDTYWNSSGAVFAGGVGKVGKQYKHENDSSYEESNHATEKISTGMLLTSRRASNMHGAALETRLRKEEGNHHVEDGAEVGQKKPRPVGLGHTEPAGLAYSEAQWRPPFTYARCPRQP >Dexi4A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:4A:15156690:15161947:1 gene:Dexi4A01G0013960 transcript:Dexi4A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVRGVEDVDEEYNDLVEASAASKAVTHPWRDILRRRYRPQLVMAVAIPMCQQLTGIVLQTYAPVLFKTTGFGGSASLMSAVITGLVNLLATFVSVFTVDRLGRRALLLEGGLQMLLSMVVMGALMGSTLGFSGTAAMPAAYAAATVVVMCVYIAGFSWSWGPLGWLVPSEVMPLEVRPAGQSITVAVNMLMNFVVAQAFLPLFCRLKFALFFVFAGLLAAMTLFVALFLPETKGVPIEDMAAIWKAHWYWKRFATA >Dexi5A01G0027670.1:cds pep primary_assembly:Fonio_CM05836:5A:31106616:31110098:-1 gene:Dexi5A01G0027670 transcript:Dexi5A01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRPPPRTQNFFPVPAGAFGRNEPATAGGEEVEAGDEGVGGIRLVKHGEAADFSSGNTGTGSQSGGAWSGGEDAGGANKRRKSYHRHTAEQIKAMEAVFKESPHPDEKQRQHLSQELGLSKRQVKFWFQNRRTQIKANQERHENLLLKSELEKLQEENRAMRELVKKPTHCPGCGVTARNTEEKLLRLENAELKAEIARLRGTLGKTASGGDGELVAGDSPSCSARAVRISDRSLAIDDHGGVGFLGHDKTRILDLASRALDELTTMCSSGEPLWVRSVETGRDVLNYDEYVRLFQRDDDDPSDHRAGWTVEASRETGVVYMDTRQLVKAFIDVNQWVELFPSMISKAMTLGVIQAGDNDDQDGVVQLMFAEVQMLAPLVPTREFHFLRHCKKLTADKWAVVDVSVDDVEPDAQTSSTPRRCFRKPSGCVIEEQTNGRCKVTWVEHVTCRNATVPPLYRSAAASGLAFGARRWVAALQLQCERMVFSVATNIPAARDSNGVATLAGRRSVLKLAHRMTSSLCRVIGGSRDLAWRKQQVNRGGHDVRVTSRRNTGYDPGETQGLIACAVASAWLPVSPAALLDFLRDESRRHEWDAMLPGRPVQSCASVAKGKDRGNCVTVYAANTSSGEHGGEWIIQDSSTSPCESTVAYATVDVEVLRPVIDGRASSGVALLPCGFAVVPDGLESRPAVITSRKEEGPVVAEAGGSLLTVAFQALASSSPTDDALPPDAAEAVASLASCALGNIKKALRCEDC >Dexi1B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:1B:23664275:23664766:-1 gene:Dexi1B01G0017350 transcript:Dexi1B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAAAHAQPGRPGDDVTDGAKEEEEEEPAAMETLTVGQALRAVALSPAGARPVDRADAAVVEAAEKSATGLGVVVPGGVADAAHKAAETNEHEEAAGEAVTLGDVLGDATKAMPGDRAATWVDAEKVAAAMGSSAGREGGGMGEVADAMAAAAQINETSTL >Dexi9A01G0038160.1:cds pep primary_assembly:Fonio_CM05836:9A:42479736:42492241:-1 gene:Dexi9A01G0038160 transcript:Dexi9A01G0038160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGHHGVVLACSICGFLFAVLSPLSFWVLWAVNWRPWRLYSWIYARKWPTYVQGPQLSTLCSLLTIVAWFVVISPIAVMVVWGSILIALLERNIIGLAVIMAGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTAGASASEVNSPSGFFFGVSAISLAINMLFICKILFNVSGFDVDEYVRRSYKFAYSDCVEVGPVSCAPEPPDPSELYMTKSRRVLHLGLLYICSLLVLVVYSILYGLTSKEARWMGALTSVAVVILDWNLGLCSFRFELLKSRMILLFVAGTSRAFLICFGVHYWFFEFGFSILLLSPVVCSIMAFIWSLRAEEMTMTSKPRKYGFIAWLLSTCVGLFLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGREFDSRENVSQAPGKKERALFAISIGVFTASVIGLGAIVSATPLDALGYKGWDANKNSFYSPYASSMYLGWALSSTIAVLTTGLIPIVAWFATYRFSPSSAICVGLFATVLVSFCGASYWGVVNSREDGVPLKADFLAALLPLLCIPAFFSLFTGLYKWKDDDWKISRGVYLFVGMGMLLLFGAVAAVIVTIRPWTVGAACLLAILFLVFVIGVIHYWTSNNFYLTRTQMLLVCSVAFLLALAAFLMGLFHGKPFVGASIGYFSFIFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVVASIIMNPPFVGAGVSATTLVIAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPALTLDRAGNFVLPRADVMKLRDRLRNEEIAAGSFFCGVKDCLMICPHSLANVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWVPEDRRQFELIQESYIREKEMEEEALTQRREEEGKGRERRRALLEREERKWKELEISLLSSIPNTGSRDAAAMSAAVRAVGGDSALEDSFARDRTGIPGTVCILDDEPRSTGRHCGELESCLCQSQKVTLSVAVMVQPVSGPVCLFGSEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGNYDGYQNGLPLPTNNGIWEPGTDIWVGARPPIDLDAFGRSDSEGSDSKMQIMDAFLWGRCLSEDEVAALHSAMSPAEHGFFDPAVGDAWHGSYSARVDDWESEDAYDLYDQGDVEWDGQYSSGRKRAAHDAVAIDIDSFARRPRKPRFETRDEVNQRMLSVERAVRDALIAKGERNFTDQEFPPDDRSLFVDPMDPPVKLQVVSEWMRPSDIAKEISINSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEASCISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLYFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSILQVREVDGHKLIQIRNPWANEVEWNGPWSDSSPEWTERMKHKLMHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDFDSWHQNPQYRLRVTGRDAIYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGLRILKTQGCRAAYNIYMHESAGGTDYVNSREISCELVLDPYPKGYTIVPSTIHPGEEAPFVLSVFSKAPIKLEAI >Dexi9A01G0037000.1:cds pep primary_assembly:Fonio_CM05836:9A:41333643:41335210:1 gene:Dexi9A01G0037000 transcript:Dexi9A01G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAVAKGSVVSPCGYRASPGLLGRRRGAVGARIAPSAVRIGGSWKKTAFLGGRLAVGPRRSRPESRNLASPVQMNMNLAIGKSMRWWEKGLQPNMREIESAQDLVDSLINAGDRLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSIGPTRGLEESELLALAENKDLQFTYTKKPELIPSGDAASEHTAPEPAKLPGATKPLIRLGSEERSLVSSGR >Dexi3B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:3B:2538565:2545295:-1 gene:Dexi3B01G0003720 transcript:Dexi3B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGADSFKPRDHDDLIDHPTPVGCKNTKVNAVEYNRASVGARQKNYQEAALLTNNKCQEHGDNSNATDELALEREDEEVRFEQDCRASTESQQRIIAANVTQPSSLQNDVVDKAMLYLSNNRMPSEHTEIGNKMEYQSEPSKLLDPAKFILADIATEEEISDDVQNFVKNNPRKRRKLILLYDDDDDENEEEKAVDMQPEHVGPRSLKYDGPMTKHIVNTEYDEEATVLTGNLSNQNRNNGRPAKKRRYIDATNDEEDEAVVGAANSDCAVNNVANFALNDDANLVSETVVANGHCLQSRTISKSESADLHIFSQPAVEIVWSDQQANVLQKRVKSNCARFSSNHGLSDEFTETNFNLPNRVQLVGGNGKTNDERCGRKRPSLEDNEDEESNCKGVERLWTYKSNKKQRKDIGTNKYEDEMCDQHEVCVEDGTNELTQPATSKHYVEQRCDSCSKPIEEPRWSGIFKIDGKEYISLAGHFSTKSCEKVRNLSLPSVIEVTKVPRLAAWPKIWKKSRPTGDNIGLYLFPHKMRHDDDLDQLVKEVMDKDLVLRAIIDEAEMLIFPSVLLPERHQTFQTKHYLWAAFKAKEDRGDIIVEQEEDKEKHHVSGQLDDVQSEESDQETVLMKCAKPLEKQQLPSNSTQKDEPCCVQRPTNMRLEREAPEERRLRDSSHQAVGTSACTAVATDASRVANATIVPSEATSFATDTASLPTNRGPINPSMEAGQCSSSVFCIVVRQTPEVDPKVQQFAQEMERNGTLVAVMQGEEIGAGQWPRNMS >Dexi5A01G0032980.1:cds pep primary_assembly:Fonio_CM05836:5A:35409128:35410362:-1 gene:Dexi5A01G0032980 transcript:Dexi5A01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPPPLPLTLHLHDKKHMPAPCEADARGKIRESTSSSSQLPERERRLAPYASGGGDTSGVQFSKVTVSRAFLDPSHLSSASLTQVTKAPKSVRVIMVRADPRPLPATSMDIHYLEHIFLSFFLSSPESVKESLTRHDHHSHLYGWQAKQNTRKPMATDPSGWESWGGRVLLRCDDVAP >Dexi9B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:9B:4185823:4187759:1 gene:Dexi9B01G0006940 transcript:Dexi9B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLAAALSHLSLPSTSKPHQASLIRIHPSSSRRAVSLALRASAAEAAEPDLPAEEVVAVEEEAGEDALSGVALRKYVKKRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLATLGFENNYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVPLRSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >Dexi4A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:4A:5362295:5364457:-1 gene:Dexi4A01G0007250 transcript:Dexi4A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKRLLRCFGCGGEEEQKQEAAPPPRPAGKRPGGGGKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKSATKNFSTSNFLGEGGFGPVYKGFVDGRLRPGLEPQHVAVKYLDLESEGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSAAPAAQADDEAEAGSRQGKRHVMSAVHAESPLKYANAVRRPESPPTLSRA >Dexi1B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:1B:3017589:3017969:-1 gene:Dexi1B01G0003820 transcript:Dexi1B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQSCRTGSSPPPRCGERRARPATGEQPAEVRATKSALGHVVVVVGGGGGGGREGGERSGGCEQEGGGGGACCCCCERLRIEGDTETEEAERGGARDDASPRSRCACGGSPGEGWTAGMAGSG >Dexi8A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:8A:10045822:10046607:1 gene:Dexi8A01G0008480 transcript:Dexi8A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFLLHTLFISFLLGTAPRGYSERPVLCATMTRSEKTINFTRAACRSHERLSMLAARLANDGRGGDYAMTFSIGTPAQQLSALADTGSDLVWLKCGPCAQCAPQGSPSYYPNTSSSFSKMPCSGGLCGVLKNQSLAACSTGGNECDYLYSYGLSASSHHYTKGYLSTETFTLGSNTVPGIGFGCTTMSEGGYGTVSGLVGLGRGPLSLVSQLKVGAFSYCLKGLNSKRAKEINWEDQGQERFLRHF >Dexi3B01G0037860.1:cds pep primary_assembly:Fonio_CM05836:3B:40693318:40693914:-1 gene:Dexi3B01G0037860 transcript:Dexi3B01G0037860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSICKIDLLPPTGGERRRRLPRPFMEVEAPRETPWLIAGVGTMIVMPTHSRKKKKDYAVFSDSDSSIPDGILPIVDVRSRAINFGPAVADDLIDRPIFFPVADDELYALDITGGGFAMLSLKPLLDNDDDYYRHGGEEWTWSSWNLPTPPFRTMCVVSYAMHPDGTTILVSAVATSPELVVTSGGGGGHLRLRHEEG >Dexi2B01G0033130.1:cds pep primary_assembly:Fonio_CM05836:2B:40804361:40806407:1 gene:Dexi2B01G0033130 transcript:Dexi2B01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWLVWTVLAAALASWAFDAAVRLVWRPRAVERRLRAQGVRGPGYGFFHGNLRDVRRLRAAGAAVKLDVGDHDFIPIAQPQFREWIPRYGRVFLYWFGTTPDICVGDYAMAKQVLADRTGLFPKNRTNANLLRLLGDGLVLTNGEDWQRHKRVVHPAFNMDKLKMMTATMADCARSMVTGWEADLASQQKKGCRQVTIELSDQFEELTADVISHTAFGSSYKEGKQVFQCLKELQFITFSTLFSVQIPGFRYLPTEKNRRVWKLDKEVRTTLMKIIKNRLAAKEKAGYGNDLLGLMLEACAPEHGEAPLLTMDEIIDECKTFFFAGQETTSHLLTWVMFLLSTHPEWQDKLREEVRRECGDRDHPPTHDMLNKLKLMNLFILETLRLYSPVPLIRRRTTSPVELGGVVVPEDSLLTIPIATLHRDREVWGDDAGEFNPLRFDAGTTKTAPKNLSALLAFSSGPRSCIGQNFAMIETRAAIAAILQRFKLELSPEYVHAPTDVITLRPKYGLPMIVTSADA >Dexi3B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:3B:15003370:15006312:-1 gene:Dexi3B01G0020020 transcript:Dexi3B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGRCGGVAEMASVLYLPLLLLAASAAAAAKSSAAGDPSAHEALFDAWCAEHGKAYATPEERAARLAVFADNAAFVAAHNARAAASGGPAPSYTLALNAYADLTHDEFRAARLGGLTVGKEGATLRSGAVPLYGGLDGGVAAVPDAVDWRKSGAVTKVKDQGSCGAIEGINKIKTGSLVSLSEQELIDCDRSYNSGCGGGLMDYAFKFVVKNGGIDTEEDYPFRGVDGTCNKNKLKRRVVTIDGYSDVPSNKEDLLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWVCCKDNRYCCPNDYPICDTVRAQCLKANGNVSFVEGIKKKQSFSKVPSWNGLLELMDQ >Dexi6B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:6B:3191205:3195113:1 gene:Dexi6B01G0003890 transcript:Dexi6B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQPNTKPGLVEAIPPRPSSHTSPFPGALTPHGLTSPPPAAFAVAATSRRAPSDALYRSVVAPMAVFLCAPGAGAAWDGGSIYPHCRCFYHDREVLGQLPQIAGCYPRPGMSALVIFCGSSVLLLWSAMDCSSDESSDLSETDIDDYADKSYLDLKSGKLVARLGSDRFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAATLPPRQAIALNNPPKPVKDQEVFVWPWMGILANVPVEQTQGGGAMLMKQLADFKPVQYTAVYGANGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKVDWKETKRHGKYLFGWLAKEEDYKSDDSVGRFLSENGDLKTVSDLEQEMSSKTDNLIANLTQEITAKSKYVQELECKCNQINLSLQRVMQESDLLHKHYNEEMQNMQSAAREHTQRVFQETDKLRKQLIEKESYTQRRSRQLNELVAQTDMERRKLEEERKKNADQNDSLNMAQIEQQKADKRALELLAKHEKEKKEALDKVLQLERQVDEKQKLELDIEQLKGKLEVVKHMAGEGVDVKKRSEELTAELNEKIEEMEDLEALNQTLVIKERMTNDEIQDAKKELITGLSELLGPRSNIGIKRMGELDEKPFLLACKQRYGANSDVKALELVSLWQEHLKDPNWHPFKIVTTGPMTEQVINDKDEKLVGLKEQLGEEVYKAVTTALLEINEYNASGSYVVSELWNNKENRKATITEAIQHALKQWKAQKRRR >Dexi4A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:4A:10830243:10830497:1 gene:Dexi4A01G0012280 transcript:Dexi4A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMSMGMLLDSGMMLTYLPSEAYTLLWDWFRFTMKQYKLAPAQETLDTCYDFTGQAAIFILAMSFKLSDGAVFDLDCFGVLNS >Dexi4A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:4A:22274755:22279443:-1 gene:Dexi4A01G0018290 transcript:Dexi4A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAGRAPMPADAPSMVESFCGITSAPPGEAAFYLESHNWDLESAVRSYYDSVEGDAGAEAAAADPAPPLPTPPADRGGADSEDEDYVGGGAGDEDEDDEDYIGDDGDDDEDAAVVSAAAEAEERRRPLKRQKKSHDARGASGSRAGGRSNGRGNVRTFSDLRGGKRGAGSDEDSGEDDEWAPPPEYYTGGEKSGMVVRDRSKRKNNADEVFKQAKKKGAKQGPFEGRRRSSSRNFTGTGRLLIGETVQRDAPQPPEEIVHNIYFWSNGFTVNDGPLRSFDDPENASFLESIKNSECPTELEPADGKSKVNVNLVRKEEEFTEPVKRAAPFQGERRTLVSPSDDNTSSAAASSTATAPRTITVDDSLPSTSLQIRFADGTRLVARFNTSHTISDVRAFIDATRPEASEYTLQAGFPPKPLEDAAKTIEEAGLANSVIIQSV >Dexi3A01G0030230.1:cds pep primary_assembly:Fonio_CM05836:3A:34105631:34105860:-1 gene:Dexi3A01G0030230 transcript:Dexi3A01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRLHDGESVEAELEVQRMANADLQSKMDDMSKKMQETEDARRRDQEELKEMKKKQAELEAALQRILTQN >Dexi9A01G0041210.1:cds pep primary_assembly:Fonio_CM05836:9A:44863481:44870253:-1 gene:Dexi9A01G0041210 transcript:Dexi9A01G0041210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGCCPPMDLMRSEAMQLVQVIIPSESAHLTVSYLGDLGLIQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKADISASPTQLNESHLKFDDLEIKLGELEAELTEVNANNEKLQRTYNELLEYNTVLQKAGEFFYSAQRSATAQQREMEANQSGQTSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPIDEPVTDPVSGEKVAKNAFVIFYSGERAKAKILKICDAFNANRYPFPEDVNKQLHAVQEVSGRISELKATIDMGLAHRDSILKSIASDFEQWNHLIQDALQRATVDSKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGYHELYSSLRVAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLFLATLYLIIREKKLASQKLGDIMEMMFGGRYVIMMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPTCRDSTTDGLVKVRDTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVMSYFNAKFFRNSINVWYQFIPQLIFLNSLFGYLSLLIIVKWCTGSKADLYHVMIYMFLSPTDDLGENQLFSGQKTLQLVLLLLALVSVPWMLIPKPLLLKRQHERRHQGHQYAMLQGTDESVGAELGEHHDDSHDHEEFEFSEVFVHQMIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLMAWGMNNIVALVIGIVVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALIREEED >Dexi3A01G0026580.1:cds pep primary_assembly:Fonio_CM05836:3A:22859813:22861285:1 gene:Dexi3A01G0026580 transcript:Dexi3A01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLSCTAFCSSSIAKKAHFSPFLVALALFLLCFSFLYGEDLKDLLGLQAQVASHLTINSNTTIRNNNGGDEQPAAPPPRPGKSTKTRWQGRLAFALNDEDEDEGCDVFSGRWVRDDTATRPLYREEDCPYIHPQLTCQAHGRPDTAYQQWRWQPHGCTLPAFDATRVLEALRGRRMLFVGDSLGRGQFASMVCLLQSAISGDTSFRVSPDQQHTVFAAAEYNATVEFYWAPFLLESNSDNAVAHRVAERMVRRGSIEYHGRHWRGADVVVFNTYIWWCKGPSFRILNGPWESAGTKEAVSWVPTEEAYGMAFRDMLQWVRDNMDLNTTRVFFTSMSPTHQKSQDWGDEPGRNCYNETAMISDPGYWGSDGRQSVMRVIREILDGDGADVPLTFLNVTQLSMYRKDAHTSIYKRQWSEPTPEQLADPKTYADCVHWCLPGLQDTWNELLYSKLFYP >Dexi3B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:3B:4531176:4531964:1 gene:Dexi3B01G0006540 transcript:Dexi3B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHVAGAESMFLPFLAMFASVYLVGYFIVFRSWAPRSRAEAASCFTSLFHGTPAALLALRAVLSRYRSAAAADLSLLAAPNNAGEDLVLDFSTAYFAVDLAHYLVFLPDEALFVAHHLATLYVLATCRHAAAAGAHALLPLVVLAEATSAAQNAWTLAGMRRRTDDPPIAAGVHAALSAPFYTAYTAARAALGPAWFVRMVRFFYVSSGGGGRVPAWAWASWTVVIGAGIAVSILWVGNLWLVYFRERKECREVKSSKQQ >Dexi1B01G0031260.1:cds pep primary_assembly:Fonio_CM05836:1B:34959977:34960526:-1 gene:Dexi1B01G0031260 transcript:Dexi1B01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVFRRLDGKAAVAAQPGSLEERTKALQALLSCPTSSIHTNKPPKDILQPKVYSKIGRQH >Dexi5B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:5B:18891234:18893875:-1 gene:Dexi5B01G0017330 transcript:Dexi5B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSILVIGGTGNVGQHLVMASINAGPPTAVLVRPATAADSGKAKLMEAFESCGARLVYGDIDDHDGLVAAIRQADVVISAVGHSSPEELESQLKILVAIQEAGGVKRFVPSEYGCDVELAEHMLEPARSILGAKVLVREAVKASGIPHTIISSNWLQGFLLPRAGNPEASGPPNNSVTIFGDGKLQVFFVNEKDMGAVAMKAVEDPRTLNKILHLQPQENLCSVDKLISLWESKIGKALEKTYVCEEELVKKVQAGEANLTDKATATGASTDEGVEATELYPDMNYMTVEEYLDGLQ >Dexi8B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:8B:23941437:23941847:1 gene:Dexi8B01G0013560 transcript:Dexi8B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAATATSSANVEEAPVLCAATRPGTKTRLPEVEVSLIPSWVMNPAIRPQDDVEVKTARRRKFLAFQDWIRGEVEKKGFAELPDEFLADRDQVREWMEEELDQMLERIDFSDQSGFGNCAVDDDGQEDLKRTRKMP >Dexi3A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:3A:4135487:4136343:1 gene:Dexi3A01G0006300 transcript:Dexi3A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQGDLTRAIPCLPIYTMAPTFLATMSLLFTFMMPASSTTAAAATSPRMVSNNIASTMHPQMISNNIATTMQEMQRARYFTFVMLVRMVQEKIPRNTTFLMPSDRLMSTASISESQVLQFLSRHSIAAPLKFDNLVRLPNGTVLPTRQLGDTITVTNSRHQKLYFNSIELTSPDLCHSGESFRCHGINGIMRPGAARRVKAVTCTRYAAPTSAAVGTPLAENQSLSTSSTPSPTTSSAMIPAHEPAAESSRSI >Dexi5B01G0037800.1:cds pep primary_assembly:Fonio_CM05836:5B:37180915:37182463:1 gene:Dexi5B01G0037800 transcript:Dexi5B01G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPSPTVHDSTKHFPLPLDSSYLRLALMASEPIECQVVVLKVSIHCEGCKKKVKKVLQHINGVFRCEIDARSNKVMVTASAKLDPYVIVAKLRKSGKQAELWPEQPIQPPPPPSGESQSEEPKNQADEQSKPNEPAEKSGAENAEAGPSNPQPSPEPKQSTAGETAKPAQESKEANANAGGEAQAAAGDHPNESKGKAKQHPPEMEKKPVDARVTVEYDRGIAGGYGSHMPPPQHVVMSYNQARPSMSASYYAPAPAPAPAPAARPGPSQGYIDEHYRPSYYSRPSPPSYEPYYYNPPPQPSPYRYQYDQAAPSSDYYHYSAPPQRSAFSPPRDGYGDMFNDENANSCIVM >DexiUA01G0012090.1:cds pep primary_assembly:Fonio_CM05836:UA:24209354:24214426:1 gene:DexiUA01G0012090 transcript:DexiUA01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCQVSANVASSSTLDANGVNVEHLAMDLKLFELKARETISEYGLAYTLKVTGVGLAGESTIALCFYYYSTTMLVVARPGDARWTVVESNRWFYTAMSFQGRFYCVSCADDGNGSGKGVMALDLDVATPNKPPRLVVAAKLTCRLSSLRLDTVHLVESDGSLLLLRSELSFRKELGCHIRRYEVFAVDLAAKKTVPVRALGRRAVFLGETRALSVSPLVFPSIHPDRVYPAADLREKKHHGVGSYSLLNGSIERCKVRMGTATNGDDEFDGGWERPCGIVDYLSWKPRGAAYVMEAEAVPEAVKKTATAISKAFSSSSGAFETAVMPLGMILVQVFTMSTLLICKLALNAGMRPFVFLVYRNLIAAAAIAAHGRGTPG >Dexi9B01G0037820.1:cds pep primary_assembly:Fonio_CM05836:9B:39113402:39115156:1 gene:Dexi9B01G0037820 transcript:Dexi9B01G0037820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGDYQEMAASVPPSLKAITLTHVRYRRGDPLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGLCFAMGLLVSQVLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYVFFFATYLSLLSLRRSNARRVIAAVPWPLAFLTMLSRVYLGYHTVAQVFAGAVLGLVLGAIWYWIVNTMLVDYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKVATD >Dexi3A01G0033010.1:cds pep primary_assembly:Fonio_CM05836:3A:37801964:37802862:1 gene:Dexi3A01G0033010 transcript:Dexi3A01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASGRSIRQRDLVAFTRSSAGGAHGDRGRVLDAKPSDRNTLSVVCVDGATVEVEPSYCTVIDRSFLYPGIVVSSASDPGGQLGVVTGVHTALDLVRLNVDGEGHHQSAPVAIATGVSPGELRRVRELSLGDYVVSGGWLGRVVEVFLDVDVALDGGGGDACRVTDAGSKLSTVHGRDGLSLYTNSVFYPGQRVVGHWSVFKASKWLRGYWKPNRNSGTVTKVEIAGVLVYWDAPSRLGTDREELVMASAPPAYQKNPKNLTYFSSPPICYWSIGDRDTVDTYRIELELVVR >Dexi2B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:2B:8844361:8847258:1 gene:Dexi2B01G0008600 transcript:Dexi2B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRAPPLLPPQPLTPSSPSSRCPTCCGFAAAVRPRPAPSRAGIHGGARGAKKSLFARRRRRPGAEGAPCLAATEEGVGAAGAARGEEDDEEGEDGYYLVREAGWGVRRMGRVGEEMRMVAQVQAEAFHVPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEETELPSPQSLAPYEKIVGVVDCTVQDEDSVIKHLQGADEYLYVSGIAVLPSFRKLDNSSCTYFLHDLRRRKVGTALLKACEALGLQWRHRFLVLRAYEDDDGARGLYAKAGYRVVSKDPDWVTWVGRRRRVLMIKELPVHKEQEQL >Dexi8B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:8B:18726358:18726597:-1 gene:Dexi8B01G0010270 transcript:Dexi8B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAKPTLKPWRQSKVRCQVEVGAGLAGDGVGGDDEVGDVARRLAPLGDRLPRRSHGELDHGVAGDAQPRGERWRRAV >Dexi4B01G0022690.1:cds pep primary_assembly:Fonio_CM05836:4B:24220040:24223972:-1 gene:Dexi4B01G0022690 transcript:Dexi4B01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDPSSYLPTPVAPPGAAAEADPQPQPPAPPAEPFEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAAQDKDEEYVRNREQNIADAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETASAIGSKSYNALASGLAEFKSGKPAEENKHMEEEAVDFAAATTAALKIPSPSISRGISFDEHTLSGSAEPHMRRGDREEEEELMRVLNLSKAETVDGVDESISFDTSHSHSSSNVEETPQSESVLSEAPEVVGETKKEEHGNHAVSDDGSVLPVTSGADNCIEVVPEESQEVLTANEQEVTGTKNMLPGDLDISVQSSESTPASLSHETFAPSDQQPAAPTLVEADKETSREHFDVQTHVQPTDIEVTCGSSVSACEAAPGHDTTKLDEQSDSTDNLEPLPASIQECEPIYQGEEHILGSTNVAYENQEPVYEGEVVLAEQADKNEETSRCLEDNATEHEWELIDNFLRTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTAVCISITSTNCWFFYYVQQF >Dexi5A01G0028810.1:cds pep primary_assembly:Fonio_CM05836:5A:32017187:32023306:1 gene:Dexi5A01G0028810 transcript:Dexi5A01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAVAVAAAVAVAALLVSGAAAAASASVPLLPAALSLQRAVPHKGVALQELRRRDWERHRSSRRGLLGGAAGVVDFPVEGSANPFMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSPCDGCPTSSGLNIQLESFSPDSSSTSSRIACSDDRCTAALQTGEAVCETSDSSSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPRVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLSIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVTAIAGAVSPSVRSLVSKGNQCFITSSSVDSSFPTVTLYFMGGVAMTVKPENYLLQQGSIDNNVLWCIGWQRNQGQEITILGDIVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQFDVDSSTRRASYKSLIPAGIAAMLVHMLAFGGGFSRR >Dexi9B01G0030930.1:cds pep primary_assembly:Fonio_CM05836:9B:33376346:33377893:-1 gene:Dexi9B01G0030930 transcript:Dexi9B01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLLPVIFLLYLRYDPKKQAPGDVDDLKVYPLVGRFPHFVKNQHRLVEWSVHVARRSPTHTTTFKVVGMPGVVLTANPDNLEHIAKTNFSNYPKGEYMLTKIEDFLGRGIFCSDGDQWLWQRKAASFEFSKRSQRKFVVDAVGSELVDHLLPLLDQAARHGRTLDMQHVFECFSFDNICRTAFGEDPACLAAADEGSTTPPEKREAIEFMRAFDYVQNAILVRFVPPESMLWRVKKALNMPPEKQIREALDTVLGYADRIVRRCKERGEADPGSRGDFLAHFAASGARELTDDNLRDVVTNFLLAGRDTTAAAMTWFFWLVSGRPDVEDKIVHEVHRFRRIHGPTGSTTFSLDELREMHYIHAAITESMRLYPPVPQGMHVSKGDDVLPDGTFVGKGWAVNHSLYAMARLEELWGKDCEEFRPERWLREDGTFQPESPFKNPVFHAGPRMCLGKEMAYIQMKSVVACAFERFSFRYLGGEEHPGMEISFLLRMKGGLPMQVTKRQPGPLEGF >Dexi6A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:6A:6355257:6358786:-1 gene:Dexi6A01G0006570 transcript:Dexi6A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKAAVAVAAAPAAVPKGKKREAEDEIEKALSSKKQKAAPPAKAVPPPKEDAKKAKKQPPPKAESSSSSSSEEDSESEEEVKVQTKKAPPKAVKQESSGDDSSDETSESDEEPAKKPAAKPSSAITKNGSKKGKEESSSDDYSSDEESSDDDVSIMFLFVCLIHSKFYFITMTCKYCVVFSKPIPVKKPSVPAAQKEKEETESSESESDDDSEEEAPSKPKASAAATKKEDSSDSDSESESEDEDESETAKAAKPAKRAASESSDNSDSDDSDEEPPQKKQKDAAPSAAAKSAAAKKEGKSDDEGDSSDDSSDDEEDSEEDEEPSKTPKKVFLHLSNLNAPTSGKQTTAKEPKTPMNTQNQATGSKTLFVANVPFRAEVEDVKEFFAGAGEVVDARFPTHEDGNRKGFCYVEFVSHEDAKKALEMMNGQELQGRAVRLDFAQERNAYTPRSGNDAWSFQKQARGSSSSVFVRGFDKALDEDKIRSSLEQHFGGCGEITRASIPTDYETGAIKGIAYLDFKDQDSVSKALELSGSEMDGYELYVDEARPKGDGQRGGGRSGGRSGGRFGGDRSGGRRGGGGRFGDRSGGRDGGRFGRGGGRGGNRGGGRGFGNRQSAGTPSAGRKTTFGDD >Dexi8A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:8A:15513538:15517012:1 gene:Dexi8A01G0009880 transcript:Dexi8A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQIRPLPLPSPHRHRYHFHSLHPLQSLLLQQLPQREGETSGEEIRREGPNPSPSPRASSSSPAAAAMEMVVAAPALPAEVEMALIRDITVAAEAQAKEGDTFYLITTRWWQSWIDYVIQDLSSVTSNGSHHHEFGSKTPRRPGPIDNTDLIDDTALEVSNMEIEIHDTLVEGRDYILLPQQVWEKLHGWYVTFD >DexiUA01G0003890.1:cds pep primary_assembly:Fonio_CM05836:UA:7954100:7954774:-1 gene:DexiUA01G0003890 transcript:DexiUA01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCARLASLLLNLIVLLLVDTAVSSRPAGDAPGSAGAPDGVPSEHLLPCLEELLPCTAYLYTAKHPSPTCCNAMHNAAAAEMPCLCRLFADPELLATFNVTREQMFKLPARCGLPVGCRAGATHEEPVVEAPPPPAATHQHHQHGASSRSNELWSVWRMVASVVLGQMVPMAALF >Dexi9A01G0025320.1:cds pep primary_assembly:Fonio_CM05836:9A:23457806:23458513:1 gene:Dexi9A01G0025320 transcript:Dexi9A01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGTNRGQAKAFKLDSLLKLADVKGADGKTSLLHFVVQEIVRSEDAKYEKAPESQTRNITKDKQFHKQGLKVVSELSIELGNVKKAASMDFDVLHGYVSKLEAGLGKIKSVLLLEKQCTQAQNFFATMHNFLKVAEMEIEQVRCQEKRSLGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDQVCREVSQQDRTVVGSARSFRMSATTMAMLNMHSQHGGESNSDDESSS >Dexi6B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:6B:8364991:8365646:-1 gene:Dexi6B01G0007160 transcript:Dexi6B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTGGPKRARETAPMTSRVRDPRPVVTREDAARFVRDLKRQFAGEPERYDEVFKILCAFKDSRLDLEETVERVKILLGSQPELLNAFNQFVPWSYIRAHGAAGGSSQ >Dexi8A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:8A:1164267:1167420:-1 gene:Dexi8A01G0001670 transcript:Dexi8A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGPSSAAPEPPPPAPPEEGDGWVLLPPSEVEGVEDPKVIHWEDLQQELARLWSLSAALQAARDRKAHLDARLESALEARRAFLQQDNELAEMRQGLQDHTDHLGDLKLRTKKSSEDVEGQRAQLCISIRTLSLASKNLDAARRNLEAVSLIASYLNVPLRYPLRFGGSQSYVLDPAPAVEPPSITSVDIEQLLNYIGAESLGPRHGLANLKQLTTIIQSQQYIPS >Dexi5B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:5B:14257015:14259761:-1 gene:Dexi5B01G0016320 transcript:Dexi5B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLMITGMSSSVLALLLVLAASGIGTASAQAAGLKKGFYKKSCPQAEDIVQKVVWRHVAGNRELAAKFLRMFFHDCFVRGCDASVLLDSPTNTAEKNAVPNQSLAGFNVIDEVKAALEQACPGVVSCADIVALAARDSVSFQYKRNLWEVETGRRDGTVSSAQQALANIPAPSSTFDILLANFSRKGLGLQDLVVLSGGHTIGVGHCNLFSSRLFNFTGKNNPSDIDPSLNPSYAKFLQGQCRLNLQNPNDNTTVVPMDPGSSLSFDNHYFVNLKAHQGMFTSDATLLTNGRAANIVDKLQDPGVFFDAFKNSIKRMGQIEVLTGTNGQIRKKCSAVN >Dexi9B01G0023440.1:cds pep primary_assembly:Fonio_CM05836:9B:18735477:18737223:1 gene:Dexi9B01G0023440 transcript:Dexi9B01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHSIAVPTKMTSPDTFVAILATVITLVMLVLLRRRRATCKQRPRLPPGPATLPFIGNMHQMVWNKPSVSRWINVLLGRMGTDIVCLRLGSVHVVAVASPEMAREVLRVNEAVFMSRPSTFASNIFSYGYKAASLTISEQQWRKMRRVVTSEILSPALDRRLLAHRVEEADHLIKYVFDQMSTSGDGGSINIRHVGQHFCGNLIRRLVFRKRNFGGEAPEMAVDGPGDDEVEHVNALFTLVNYVYSFCVSDYFPALVGLDLDGHEKVAKGVARTFDRLHDPVIEDRMREWANRREAGERREVADFLDVLVSLEDAAGQPLLTFEEIKAQIVEMMFATVDSPSNAAEWALAEMLNKPDVMGKAMDELDTVVGRERLVQESDICKLNYLKSCIREAFRIHPFHAFTAPRVAMEDTTIGGYMVPKGSHVILSRIGLGRKPMVWPEPLEFRPERHLVGDDGNGMVVLGEPDLRFVSFSTGRRGCPAVPLGSSVTMMLFARLLQGFTWTKPLGVDKITLHESRTSLSLAQPLVLRAQPCLASHVYAAS >Dexi9B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:9B:6342886:6344277:-1 gene:Dexi9B01G0010210 transcript:Dexi9B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHAPDFNSNDAEQREAKITELKAALGPLSARGEKYCSEACLKRYLEARNWNVAKSRKMLEESLKWRAAYKPEDIRWNTSSHEGQIRFLVYTLENAIIHLPEAQEKMVIKVFLDPKTIEKVNFVYQKDEESMKVMYKYIDPEVLPVEFGGKSNASYNHEEYSELMMKDDIKTANFWADDAKTGHANPGVNETLVPDVTQQPSLLAAKAS >Dexi9B01G0032760.1:cds pep primary_assembly:Fonio_CM05836:9B:34960443:34962308:1 gene:Dexi9B01G0032760 transcript:Dexi9B01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPSAKKRRSAALDTGKSTTPATAETSDPEATRAKKTSSPSSQEPPPPAPPGAGEEGEEEANGGGVDRISALPDAMLGDIVTLLPTKDGARTQALAHRWRHAWRAAPLNLDFHRLPDDDDIDDGDGDDDDDEALAGAVSRILSSHHGPGRVFRVPARHLGDRAAAVDAWLRSPALDNLQDIELCYPRRRPPLDHPPPLPATTFRFSATLRSATFGQCQISDDVQGIRCFPHLRQLALVQARVSEGSLQSMISRSSCPALECLFLDSSHGFRRVQINSTTLRSIYVRTDYYGPDLRFLELVVEDAPCLEKLLCAERVGFNVSVMAAAPKLQILGSLSNWLSCWSSPRHVFGSTVFEVMRLVRFTSVVCSVKVLSVDLIIDNNLDMVIDIMKCFPCLEKLYIKGSISKKGDTNLWRQKHRRLIRGFDIHLKTLVLERYQGIKSHVRFASFFLLNAKELEVMRLEVEEKNCNEEFFAEQRLKLEVEGWAPGDDARVDFALNRCKCRIIHIDHVRDLAIADPFECTC >Dexi7B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:7B:17665598:17667094:-1 gene:Dexi7B01G0010300 transcript:Dexi7B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSTAFVARGLLRSCRAPTTAQALSQSTFQQFMNYSSGHGGDPNANGDSTATQVAADHDSHQDFKPVSKSSDTSLHDIVAQDIRENPVLIYMKGFPESPMCGFSALAVKVFQQYGVPIHGRDILGDLRLKEAVKAHT >Dexi9A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:9A:7284891:7285396:1 gene:Dexi9A01G0011600 transcript:Dexi9A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGRGSARGNTAAVVALVLLCVLVHSELAESAVYTVGDRGGWSFNSASWPRGKRFRAGDVLVFKYSPKEHNVVPVTAAGYKSCSAPRGVRALTSGNDRVTLKRGVNYFICSFPGHCQSGMKVAITAA >Dexi3A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:3A:10229493:10230038:-1 gene:Dexi3A01G0013980 transcript:Dexi3A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPASSTRGTTPTRPERSSSTALDYTTCGGESPARAAHRRWRELLDPRALSVPRDLADADHRARANLARFAANYELVLLAVVMASLTLAGRPWYMLIVLPCLIIWPRKSFPAVFVFALLMLLRLDVATESLAISLPVGLLIVVAHAVLHLPTDSGGAGEEAAAGAEQPSSEGSDQA >Dexi5A01G0033940.1:cds pep primary_assembly:Fonio_CM05836:5A:36138575:36139062:1 gene:Dexi5A01G0033940 transcript:Dexi5A01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKNKKTVPKPGRKRKKIDPSPSGENTPRTRAALAREAAAKAAQEAQEAATKAYAATEVAAAAERDVLHVLPIESDPAPPTSTRRNLCLDLQVIDEVDETNIAPADPPLKKMTPKKKLATKVKKILAKKYVKSPAKKGKK >Dexi2B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:2B:25348797:25349551:-1 gene:Dexi2B01G0015350 transcript:Dexi2B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNTLYVAATGGGEVWINERRFRVIRQIGEGGFAVVYLVKEQQPASDAAPSKRHDAHVSEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFSHPNLFPLLDHAIIGVKAL >Dexi9A01G0035620.1:cds pep primary_assembly:Fonio_CM05836:9A:40236171:40236590:-1 gene:Dexi9A01G0035620 transcript:Dexi9A01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSDRVRATDRVHHLVSSPEAALERRHDFHPAHSSSKEDSLSVAACACAPSPLSSINRVLAESTGKQKPFPLTPPQRIFRSPSPRRQIHQEPKGKPPGTIDPPSSRRSVYSSVVFVPRSPPVATVLVVLDLQFSSLS >Dexi6A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:6A:4140428:4142720:-1 gene:Dexi6A01G0004580 transcript:Dexi6A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHRNPPVPEESEEGSLSRAFESGNVDYPAGGFTRALRERIEPQEKSLEAGALISKLTEEKNSAIQQNHRLRQELDAVRREVSKRHGGGFSFLVVIIVGLIGILLGYLMRS >Dexi9B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:9B:10316574:10323081:-1 gene:Dexi9B01G0015230 transcript:Dexi9B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGGGGRRGGRGDQGGGRGGGGGGGAPGAGQSGRTADLGGGGLHASAASGRGGGRGDRGGATVQRGGHFQPPVQPAAAGVAQGHGQQQATALAQGPTQRGGHPFQPPHPAAGTGRGGYPAVAQGRGQQVAAPTPAEVEALRRQVERKALVSQAPPGLAPGTAARPQMQAKAPGQVAVPAPGPAGSSSSSARAPAPGQTPPPAVRVEIMQGKAPGQATQMAMATPAGSLPPVSSKAVVLAPRPGYGTAGRRCRVRANHAQVHLDAKDIYHYDLVITPESASRARNRWIINELVELHKQYLGGRLPVYDGRKSLFTAGPLPFKGKEFVLMLTNPERANLRKKEYKVAIKDAAKLDLYSLQQFLSGRQREMPQEVIQALDIALREYPASSISSISDISATAFYKSQPIVDFVVEYLNIRDTSKRLSDQERIKVLENNNCTADDYAKEFGIKVNHQLTLVDARVLPAPKKMVNGGSIKYWACLTFTSRIHPNEIGRFCEDLAMMCNSIGMQMSSQPCIQIKKAHHGNVEAAIRGIHGESVQVLSQQGLTGQQLELLIIILPDMSGSYGMIKRLCETELGLITQCCAPRNIMKGGKQLLENLALKINAKVGGRNTVLEDALNRRIPLLTDVPTIIFGADVTHPSPGEASSPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIIDALFTEVRDPVKGLVRGGMIRDLLISFRKSTGLRRERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPRVTFVVVQKRHHTRLFPENHRARDQTDKSGNILPGTVVDTKICHPSEFNFYLCSHSGIKGTSRPAHYHVLIDENGFTADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARYYLEDDNSDQGSSTGTSRTHDQSVPVKQLPRIKENVQKFMFYC >Dexi4B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:4B:3458937:3460013:-1 gene:Dexi4B01G0004830 transcript:Dexi4B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCFSRRRLHGGAAGETSPAPPSSSATSVYWTHLGDVTLTWSRAPIGLLLVAELLLAGDDNDDGAAPARFELRPWLPWRRRGTKRFTSPDGRSVAFSWDLSRARFAAAGRRPEPASRYSLLVSVDGELALAVGDRAPPAYAGLLLSRRENAVVSAAGDGRHGGESYATTVVDVAGEAHEVSLGVEESAMWVAIDGEKALQVRRLRWKFRGSERLDLPGGRRVRVTWDLHRWLFCPADAAAVFVLRFETSDDDDDGDKDGEEEEPGTHALVRQSSFRNHHAGGEGWWSSDSDRKGWRRGPFRSGSDTSPSVSVASTSATSSASSVATVSEWAAAEEAAALKDGGGFSLVVKLWKKRR >Dexi2B01G0024370.1:cds pep primary_assembly:Fonio_CM05836:2B:33832511:33836465:-1 gene:Dexi2B01G0024370 transcript:Dexi2B01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPISHLPAAAPPPRLILFLRRPQPPSTRPTLSSQPASHPPITACPPTPPHPKLPTPTHMDPDQVAAATGVQSDDLGDTTSSADGGCGGGGSGSGDGDSWARALLRRGWDFSRKAAIAGVAATAAPVVAPPLLVLSVAGVALSLPFAAYLATFFATERLMAALLPPPPRAQPYHNCDWDLEDDEFVDAPEAPGGEVLAHDYWNDAEDDTIMEEDERYASLPLSRECRFSEHPVQALNDDHDAMSEGEFRFRESGRGSSALDNRSEKEEENEYITMEAQALPSRGVDDSRSAAPKLCEEEDKIPIIVEAPVAAVEPIQALSASDNGDKIEIGKRTAMEEMDSSKEMVPPGIDINIDTTEISDFPVLVLGKHDTVVQTEAECEVSVRKSGQDSLVSDTGDRTEEMPQEEVNVSESLVPHDNTLQSKMEGDVAVEMVLEEVTINTDHATEGFGLQMDAIATELPESESLHPSDLVAQEPQGMREAAYVNDILESNLTEDIVLDIGDTNIEGVGQNGEENVSSVISVVTVDDVLDLSCSTSTPNVSAISDDDMMKIESRPDLDCPNKRTGMENTWVREGSRNKEVVENKVILFVIAWRALLLTDINYYNPLKLSAKTEENKSVDCNVPTRSMALQDTDVSPMQDDQSKREDDVTVEMVLEEVTSTTDLDKDELVGVQVDIIASGSGSLPVSDLVAQELQAVTEAAVVDDIQGSTAREDIATDIDNTNTGGVEHHSERGASSFISGASLVTMDDAGDVMCGRREPYVSGISEDIKRVKGRVDIDQHPLETTGFEDKLMNEGLKRDVLAEDKDNYSKEQLREQLDTLRTITGYRPATCLTLEDEIAGLYIFVGVEPPASSRDDSDLTEINVKLRFLKSIIGVE >Dexi9B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:9B:3207246:3210797:1 gene:Dexi9B01G0005460 transcript:Dexi9B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDAGPGGGGEASPPHHAAAAQGDHRARDMAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWSEFFEHESYEEKNEADTRNEIKEIIIDDMDPKVFKAVLHFMYRDSLVNDDELSASSSGCSIFDTLAGKLMAAADKYELPRLRLLCESYLCKHISVNSVATTLALADRHHATELKSVCLKFAAENLSAVIRTEGFDYLKDNCPALQSEILRTVAGCEEPCSSGGKSQSVWAQLSDGGDTSGRRVRPRV >Dexi5B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:5B:9463357:9468424:1 gene:Dexi5B01G0013350 transcript:Dexi5B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKGKGPVRDEDKELEWQAAMQLCSLGIAGSSTSAAATGAAAPKVSTKLADYVRSGDGIPCLPNKPLNIGESLFGLEGRRYWTDGGLMRDVPEVSGRRYRNKQPANHPRATKPCDANGYVSGAINQHVLPSSAEENEAMTTSESEIVLSLSLSPVHNTKEQKNSVNLVSLGSGGNKEGKSCLSSAVVSGSSENSMPEKKASNILGLANLHELLPPIMPGQGIHAPAVPFPQASLFGNCVPSWPNGAWSTPWSGSSGTTLPSLPPNGVTGSGNGSLTLGKHPREANSQEEEEEEKTLWFPKALRITDPEEAAKSTIWESLGIKPDERLFRKSTHSKDKNGKTPESPQAP >Dexi6B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:6B:23033222:23036084:-1 gene:Dexi6B01G0015750 transcript:Dexi6B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKENQARGRQPHNYNQYHNHNNSAATVSGGSKNRFAKIGDDYHTLEQVTDALEHAGLESSNLIVEIDFTKSNEWTGRASFKNQSLHAMGNTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDQTTHDQSVFSFYPDNQPCDGFEQALARYRELVPQLKLAGPTSFAPIIETAIGIVDSSGGQYHVLLIIADGQVTRSIETGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTQIMSRPIPTSKKEAEFALSALMEIPEQFKAAMSLQLLGKRRGFPNRQVLPPPVQQYYGFAAGKQTPSTSYGAAPKTSQAPQTPSAPQQDSDIGDPQTCPICWNQAKDLDFGCGHQTCCDCGKDLKVCPMCQKAISVRLKLY >Dexi7A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:7A:22568521:22573304:-1 gene:Dexi7A01G0012340 transcript:Dexi7A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGNFKYLVLAPAVLHTAHRVATKGWGDLDPAYVTMLPALLLRMIHNQIWISLSRYQTARRKNLIVDRSIEFEQVDRERSWDDQIIFNGLEFYLAYAMIPNVRLLPIWRTDGAIITALLHVGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFMLFSIPTLTPIFMGCGSVLGVVLYIAYIDFMNNMGHCNFELVPKWIFKAFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYMYNTMDKSSNELYEKSLKVRDETPDLVHLTHMTTLQSTYHLRIGIASIASKPSGNPAKLLNRSGELFIQKYPKLRVRLVDGSGLATAVVLKSIPLGTKKVFLPGSTSKVAHATVIALCEKGVQVIMNQKKEYDLLKSRLPEGTAVYLKFSNKDTPQVWIGDNIDDKQQQRAPKATTFVPTSQFPLKKLRKDCTYLSTPAMKIPETMENVHTCENWLPRRVMSAWRIAGILHGLEGWDMHECGDDMMDIEKTWSAAIKHGFVPLTKG >Dexi8A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:8A:5402505:5402875:1 gene:Dexi8A01G0005670 transcript:Dexi8A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIFDTGSTYTYLPTDLHAHLVAAPCWKRPGGFKSLDDLKKEFKSVMFLKFQNGATMTIPPENYLVITVNT >Dexi6A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:6A:12219791:12231146:-1 gene:Dexi6A01G0010040 transcript:Dexi6A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTTCKAVTTLTQPEQLTRLVVWHATDTSSATTIDALDLRRAAPRRATHRLSGSLSSGYICPGGRCPRELGVSLVSPLERAYGLGKKAAAYAAVASATGPSCMLIRMLFPPVLDELQGCSDALHGLAGHDGLSDTSYRILPRAWTETETLEEDWARYKRIAVDEPDERLRFAARLVHTMDLELTRLDRDVARYEDKEDLDQKFQQLSREKEELEQRFDQLAKEKEELTQRDLQLSKEKTLLVQEAEVIRQLQTLDLTMSVTYQDRIAEVAKELGERI >Dexi6B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:6B:2386111:2389013:1 gene:Dexi6B01G0002850 transcript:Dexi6B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIGASPTTTRAAAPSRAGVAASASSLRVAGSPSASVGHRRGAVAVAAMQPAKAVAAVEAPAAMNGAAVAGLARPDAMGRFGRFGGKYVPETLMHALTELEAAFHALATDDEFQKELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSQAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKIIGEETRRQAMDKWGGKPDVLVACVGGGSNAMGLFHEFVEDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSMSYLLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDLGRAEYDSVTDQEALDETSHALAYLEKLCPTLADGMRVVVSCSGRGDKDVHTASKYLEV >Dexi1B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:1B:22820867:22824710:-1 gene:Dexi1B01G0016310 transcript:Dexi1B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYGLSVALDAIWFLRTKQDLEGLNGLVAKIVASGAKDFARAILRTSLLASCVAGCQSKAISVGDSKDIVAKRLNDRLRDCPGADHLKIAYQNSLAVDTDLALVYASHYCKLDTMACLVDEGNAYSFLAPLIKAAERGCMQVIHWFVSRGVPEIEMCLALTTAASSGHYVVASYLLEQIPQQILEALSTQILKTARGQGSGSLNGVAFLLRSNFLRDAAMTYEAADLIARGGINSEPPDLVAFLKEHWSQAAFAEGLSAGEVHFTNVMRVLKRGTSPVCLDDLPSQMVLGIAYLPLYQACMREVGGQLLPQRLRGELVEAMSRLGEPVDAESQGKDLIVILERHMPSFLVGSREV >Dexi1B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:1B:19728027:19730956:-1 gene:Dexi1B01G0013730 transcript:Dexi1B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAADAPALGLTRPNAVDPPQVTFVAKDIEVSDWNGDILAVAVTDKGLLFENASLQKLDGQLGGLLSAAAAEEDFTGKPGQSVVLRVHGQPFKRVALIGFAFHNAGCLHGLGESVATVAKAVQATSAAIVLASPSVIQEELKLNAAAAIASAALAEAAAKIASTYSDVFTATILDEEKCRELKMGTYLAVAAASANPPRFIHLCYRPTRGNVKRKLAIVGKGLTFDSGGYNIKASPIANIELMKWDMGGSAAVFGAAKALGQIKPPGVEVHFIAAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACNQGVDKIIDVATLTGAMRVALGPSIAGIFTPSDELAEEFVTASEVSGEKFWRLPMEESYWEDMKSGIADMLNTGSMQP >Dexi2B01G0028320.1:cds pep primary_assembly:Fonio_CM05836:2B:36998881:37001805:-1 gene:Dexi2B01G0028320 transcript:Dexi2B01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPTTFLPHRLRRLAPAGCTTSPTAASAPSSRYDFESLLDYLSSPSVAASLTSSSPPASVPAPERRLAASYSAVPSHEWHALLRDLAASDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLTDPPSPLLLNSLLAASAAASRPAVALRLLALLREHDFLPDLASYSHLLASLLNTKDPPDAALLERLLGDLRESRLEPDASLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGSAARVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSHCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMELFKEMRESNCPPGTTTYNIMINLLGEQEHWEGVEAMLSDMKEQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSILVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >Dexi3B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:3B:12411955:12413333:-1 gene:Dexi3B01G0016930 transcript:Dexi3B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMDIKTASKEHNMPFLSTIYSGGSGLQASYPLYPESPCSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYFKASPIPEQEATAQWKTSRSACDTPYARTSPANIFGLDSSTPRNYMLDNNFFRPAASAQFYLDQAQQTFPHNGGRVSVSREKQDADEIEAYRASFGFSADEIVQTQSYVEIPDALDESFSISPFGNIAHAAEICAFSDLPNEVQKVDKSFVHVKDGASPNKSANQLSIDSPNKMLHLDVFKGTKGGHQSEDEGIVKDSHPFRKATDEISLKPIEVRKKSPPGHSCSDAEIEYRRARSLRDANGVLSRRSALARQLH >Dexi8B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:8B:407017:407546:1 gene:Dexi8B01G0000590 transcript:Dexi8B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANKTSILVDAAKYIKDLKEKVEEAASASAAADSISSTYAAATVSVSSVELDRNSHSSSCRRRGFRINVSMERSRPGLLVLVLEVLEELGLDILDADISCADHTAFRLQALGSGQGESMDEQMVRQAVLQAISKCMDHDDD >Dexi9A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:9A:3325127:3327871:1 gene:Dexi9A01G0005920 transcript:Dexi9A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVADPSPSRRSLKRRPPARSPELSPKAGVGAAAAEEELIRRVEELEAAAARLMGEKEAAEEAARGLRQELDAERASAETAASEAMLMIERLQREKAAAQMEARQFKRYAEGRADREREVQEELASLTDLAASYHSRLQSHGIDPDSFTDDEEEEEQDEDGEEVEQIDMDAAVVEQNGGDLSCGMELKAMVVDGGGGEEEEPSSPVLKEFTVDISCASTTKTAGSVVVAEYVRDGNSGGLYARVEALEADRVALRREIAALRAERAHVVMAREMARRLCREMVAEQRAIVKKAAVPASSFSVLRVCKWVLSVLFWKNRSSTAKYTFGLSTTFLGLLLLLDRSTMLNPWRRLPGPQQ >Dexi3A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:3A:4924276:4927455:-1 gene:Dexi3A01G0007270 transcript:Dexi3A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYLIAEDPYGGRYQSWQQNAPPPPPPEHVVKMHPSPPPAYANRPPQPPPPPPPAMLNSSGGSGSNYSGGEILPPPSPGPALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGMLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKDRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTAQTYMDDSLVDWARPLLMRALEDGEYDSLVDPRLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFRKMAFNNNNYTSSQYSAPTSEYGQIPSASSSEGHQTQEMESGAMKKSAYSGYSSGYSGAS >Dexi2B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:2B:28835492:28836297:-1 gene:Dexi2B01G0018550 transcript:Dexi2B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKRLSESRDLTRIERIAAHSHIRGLGLDSSLEARDTSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPLSAASSAAPSGATAPGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVSSLPLAI >Dexi9A01G0024840.1:cds pep primary_assembly:Fonio_CM05836:9A:21558070:21560045:-1 gene:Dexi9A01G0024840 transcript:Dexi9A01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRRKQLDVIVRMLHLNQQQLPNGGEGQGEEEAYKLLVMDQPCVNLLSPVLKVADLRRHGVTLTLGIDRPRQAVPDAPAVYLVRPTPSNVDRIAADAAAGLYASFHVNFSTSVPRPVLERLATACAAAPAACAGRVARVADQYIDFVCLEEGLFSLAQPRAYVALNDPAAAEADITSLVDAVALGLFCVFATLGVVPVIRCARGGPAEMVAAALDARLRDHLLAKPNLFTEAASAAVASFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKLNKLKLPAEKYELDDSDKFWVTNSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGSGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYCDCENDMLVNGTVDRNTLLNLLRGKGTKEDKLRLAVTYLLSFETPPSSELEQVEAALRESEVDMSAFQYVKRIKALNTQFAAASGTATKSNIVDWAEKLYGQSLSAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDDYLLFDPRAPRSGTAGQFKGPFREAVVFMIGGGNYIEYRSLMELEQRTQPSKHVIYGATEILSGAEFIHQLAELGQKAGLGGGSSNIPSSAAQ >Dexi2B01G0024490.1:cds pep primary_assembly:Fonio_CM05836:2B:33918945:33919685:1 gene:Dexi2B01G0024490 transcript:Dexi2B01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYQSLSDRSISSPFRPWPWPMPRRPQPASAAAADEAVSVVPQLPAAMSSIIITTLGGGVDGGGGAAVAPYVAAGMRKTPSPRPPPPSGAAAAWNGAAAEAGGGVAGGEARMSRLRLARANASPGRRSSAATAEEEVPRRRGERSSRRRSRLTPPWPRDAAVPFSIVVDRSGSNGTSLSAAAAAALGWETRRRECSEKAAGSKPMEKNQKFRRQWRVAA >Dexi7A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:7A:27002133:27006061:-1 gene:Dexi7A01G0017250 transcript:Dexi7A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVVMGLGYGRRSKTYKLLVCRKDAHPINKFRGVHRISGGPTHRIRGGYGPGATRASTPTAQRHNTHRRGLRVRALVFLSARSNSASGVSPPDASTSSLSVSVLSRRRRHLGPPLLSLKFGCPGPRPRLSGSLRAGAAALRQARSAGAASGGSLAREIAESETRSRPFGSTQDAGGKEAMAGRAADAQEANELIASNRTTKVALGVEICQLRHCIAFTTQKAQRPLGLDLRPDRGRPTLMVGGPLEGGGHGSEHEVALSIHPTYTQTLDRSRGLALRRREAPPLPSSPFPAKAGGALKDVGTYCAEQRHLHLLRPSLPVRRTSPTNPPWHQQSHPTPLHATMETPLRTVLSEGVDGQIKQKSLYMDGTIYLLHLEKSAILAFDVDDETSELIEMSGRPCMVTIDGCCLALWLLTADHQWDRKCVILDESNKYCDSISGVWDCGGVLKTIYKADLPGELTVQRSIIGEVSQDLERRRNSSAHIAEVINPLREEATLNTVCLMEFLVRIMQKLPNGMRDVRPDGEVASVSATTRLFMDQVAPQWLVSPTWAGHSMWRVGILAA >Dexi8B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:8B:18557130:18557345:1 gene:Dexi8B01G0010090 transcript:Dexi8B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKSLECLTLDTTRGHDRRCWLLNEEALVEAEKARIAIQWYIEGRVPAAVNLKVIEPCSKCTI >Dexi9A01G0024050.1:cds pep primary_assembly:Fonio_CM05836:9A:20045563:20047333:1 gene:Dexi9A01G0024050 transcript:Dexi9A01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKGKSKFFLEHQALLQEGKKGYDPSVHSWRFAQQVPLPEGKWPPLWKYSKIPLDEHEKEERAKQNREEKEQLDEELWRHRRIETELQRRKKQFPPGKAPSDKQLRKEVVREYRLARAQERHVKNVQMAVRIINRRYPDKKYDLREITAKSSIYELGSAYYHYNFTVYSPTDGPEFFFAETDIDPECEPQVYQCCKIGSGPHGCCMGCLKEGVGLIHPSSDKFIAGHESFDGFCTDSDSDDDY >Dexi5B01G0027240.1:cds pep primary_assembly:Fonio_CM05836:5B:28816587:28821681:1 gene:Dexi5B01G0027240 transcript:Dexi5B01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAQPCAACGDDACAACRSCSYALCRACLDEDTAEGRTTCARCGGEYAAVPDPAHGNDGAEAEEVESHHAASGLRGRVTMGSHLSDRQDEVSHARTMSSLSGIGSALNDESGKPIWKNRVESWKEKKNEKKASAKKAAAKAQPPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLIVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSALAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYAIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTDYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFMSIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTTILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLLWVKIDPFVGGTEPVNSTNCNTVIC >Dexi4B01G0021520.1:cds pep primary_assembly:Fonio_CM05836:4B:23498667:23499798:-1 gene:Dexi4B01G0021520 transcript:Dexi4B01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVISLVLGVVLLACFAAFAAANFNQEFDITWGDGRGKIQNNGQLLTLTLDRTSGSGFQSKHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGNTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTTDFHTYSILWNPKHIIFMVDDLPIRDFKNLESKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVAVAGGKTRCGNTVGTEGAAGAGHAAGEWYNQELDLTLQQRMRWVQRKYMIYNYCTDPKRYPQGLPAECSIQQ >Dexi4B01G0021890.1:cds pep primary_assembly:Fonio_CM05836:4B:23736426:23737139:-1 gene:Dexi4B01G0021890 transcript:Dexi4B01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVPVLFNTLNVRRAIIPAANGHCSARALARYYAALARGGSIPPPHSASSKPALGSHVHTPKFPTAPLKKKKGTGKKKCKGSTGNLQDASNTDQNGYSQLRTSDATEDEAEGAGSASRIFSSDKILDAFMGVGEYESMIHPNGKFGLGFRRYNNSSGKLRCFGHSGMGGSTGFCDVDNDFAIVVMVNKMSLGSVTRGIVRFVCEELGLPVPDEFSATGEKGPDMVLNFTPPQELR >Dexi6A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:6A:16034762:16040023:1 gene:Dexi6A01G0011260 transcript:Dexi6A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKVEISFEDIGAEGIITRAWELSKRILIYCLYRFLMPIVIYDIFLLYNRVLALVEKEVCSLTLDDISRRCSIPSTYATSGRQIDKIIARGKLECSIQAVQAALQKLEHGDTIDDAKAVCEPEILRQLTRWNVCRFYFMYHLIINKLRVYLAPFIHGMRYTSFGRHFTKKEKLNEIVDKLHWYVQPGDTIVDFSCGLNDFSQFMKEKLDKVGKKCNFKNYDVIRPKGLT >Dexi9A01G0039340.1:cds pep primary_assembly:Fonio_CM05836:9A:43344103:43344945:1 gene:Dexi9A01G0039340 transcript:Dexi9A01G0039340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLCFHGNLYPAPALCPSLTTTVLFRVPLLLLLPKLREREREPSALAENGAQVVLDGFFSGCFFFLRRRNLTTRSARAITAVTPATAEPATIAAMAFLERIRDEGSPAPASVLPTSLMISRPLRRPSGSTVPSVDGPTLTVILLLVTSLALSTKSLYSGMASAPKLSL >Dexi2B01G0021170.1:cds pep primary_assembly:Fonio_CM05836:2B:31077970:31079803:-1 gene:Dexi2B01G0021170 transcript:Dexi2B01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVVKAFVDHYYATFDNNRAELAGLYRDCSMLTFEGQKIQGGQNITAKLTSLPFATCKHQISTLDCQPSAGGVLVFVSGALQVNDEKHLLRFSQSFHLMPVETGIFYVQNDIFRLIYV >Dexi3A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:3A:1840219:1841030:-1 gene:Dexi3A01G0002730 transcript:Dexi3A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHHLLRPLLRGFHASTQALARAEPHEFSKPSGFLGSWEPAGEPREAWAQLDRLRKGYARDVRQLRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQALMKERAEKLESWRKKEKLREQKKAEQKELLRKKSSVWLSEDKLEDQILDAIRNTTPL >Dexi3A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:3A:9419147:9419937:-1 gene:Dexi3A01G0012940 transcript:Dexi3A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSPSPSKGGATRRKSLISRTLERCKSGLGRIGAAAAAGRAAPVAGCFPVYVGPERARFVVRAEFASHPLFRRLLDDAEREYGHAARGPLALPCDVDAFLDVLWLMEHGDGDEDDDGEGPAAAAVSSPICGLRSCGSKGRAAGYRIMNPRSSPVVARMWSGVH >Dexi4B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:4B:3118558:3123483:1 gene:Dexi4B01G0004320 transcript:Dexi4B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPTRKRMSVALFHAVCREATVGPLPELVKNDGEARYGSLSYMEFVKRFFAAKLGGRGHVINHGVPNEVISNFRYDVTEFFKQPPEAKKVYSMIPGNLQGYGQHFVVSEDQKLDWADLFYLVLRPIDSRDMRNSMDRYSSEATKLVSCLLKFLAMDMGVEPESFLEIFRGQPQSMRMTYYPPCRHADKVVGLSPHTDKMALTLLLQANDVQGLQIRKDGKWVAINALDGAFIVNIGDTLEILSNGRYKSIEHRAMVNPTRERISVALFHAVCWDGTVGPLPELVKNDGEARDPGHSFKDGYHGCTVILN >Dexi1B01G0026940.1:cds pep primary_assembly:Fonio_CM05836:1B:31768397:31771110:1 gene:Dexi1B01G0026940 transcript:Dexi1B01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHMSTPHSSSTSSAPHRASPPQIPESLTLAATASSGSPSSSSASGMRDAAEDDSDSPPSQMSEDDPGGGGGGGGGGDRWEPDLRGGNGGTGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGLRSVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSDDDLDLVAKSFPLFRELSLVCCDGFSTAGLATIAGRCRHLCVLDLIEDYVEDEEDELVDWISRFPESNTSLESLVFDCVSVPFNFEALEALVARSPALRRLRVNHHVSVEQLRRLMARAPQLTHFGTGAFRSEAAPGGGLSVTELATSFAASRSLICLSGFRDVNPEYLPAIYPVCAKLTSLNFSFASLTAEELKPVIRNCINLRTFWVLDTVGDEGLRAVADTCSDLRELRVFPLDASEDSEGSVSDVGLQAISEGCPKLESILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQYIFEGCTKLQKLEVRDSPFSDKGLLSGMNYFYNMRFLWMNSCRLTTRGCKDVAQQMQNLVVEVIKDHSDDEGEAEIVDKLYLYRSLAGPRNDAPPFVTLL >Dexi5B01G0025770.1:cds pep primary_assembly:Fonio_CM05836:5B:27714008:27717131:1 gene:Dexi5B01G0025770 transcript:Dexi5B01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQAALAAATAVFAAAAAAAVLARQRLREANRWARAGAVLRALELRCATPAERLRQVADAMAAEMRPGLAGDDSDGGGGSALLKMLVTYVDSLPSGCCQLGGKEQRIIKQESKRVSIPKHLMSGGSDDLFDFIAAALANFVASEGEDYHLSEGMQRQLGFTFSFPVGMDVVEELNKAIRPYIDHAHKIPKWHGPLPKSGEMIESTCYKTRKAVVDVCEVVARRGARLAAAGIYGILKKLGRDTVCPEQRTVIAVDGGVYKYYKFFAQCMESTLSDLLGEEVASFVVIKQANDGSGIGAALLAASYCQCLG >Dexi9B01G0022140.1:cds pep primary_assembly:Fonio_CM05836:9B:16781175:16783722:-1 gene:Dexi9B01G0022140 transcript:Dexi9B01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRDGESKAREAVGSPGFALEETLLTLGLPPMQFPMGNDLYPFRSLPPPPPNSSRSLPKFQPNNAKNGRSNPPLASPRRSGQAAPRAAATRQSTPSNQEERWALGFRRGGRGEQEQDARTGNRQEEERASERDGKRLLLLKYSPPFLSLPRPVRSFVGSALLQRPREFGEESVGRRRTFFQWNIPFPAFIIVVVVSSELVALCLSFRVLAALTPGFSVSGNLLPTGRDGTWYLQRRRARACRRDDARRDIDGAWCGAPPDGGRSPSSGHPTHVPHLSDPQTLGRLAVAILAKSPPALTAMATATSTCGLDLWVSSTSPEIPSRLGPAPVLPFLI >Dexi5A01G0033560.1:cds pep primary_assembly:Fonio_CM05836:5A:35881922:35890875:-1 gene:Dexi5A01G0033560 transcript:Dexi5A01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYPPFLSPAAAADGPASPHPIQRNPRISALHSEFVLAPVLERVSERMDDATGSGRRSRTRGAEAVARSAALERLRAIRDGSARAADAVQVKVDDPIYDTVAEEDYAALVIRRRKEAGEFIIDDDGLGYAEDGREEDWTHRALPSSSDEGSDGEDGARRKRKQPRPPQPKRPPQQSAAAASLSAAAAMMGKQRISSMFTSTVFKKPGSDRAKGSALAADSIVDDVIAEFAPDENDREERRRRVGRVCAPQHPPPTVSYFNSEKVALDAETVVRSDSGFESDGFSDHADDMTVELKSDAEIDTKLEENPGSSAELVVEDKSSEEMKQEANVQVKIEKAHRLNAKITEGSKNGDMMSATAGWMKVCGDGENAGGEGAVAAGGNADVDENSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKGFHSCCVIVKNIQRCIYAIPNQSVFPRESISGLEKKSTSSDFLPSFRATLHELASGLKSEIADKLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPADLRGEYFHALLGTNNSALELFLIKRKIKGPSWLSVSKFVTRPSTQRVSWCKFEVAVDWPKDISVLTTGTSLEVPPVVVSAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRPEDWQKRGMLSHFSVMRKLEGSIFPMGLAKEATDRNQKAGCNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSIMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRREVSPHDIPSMFQSSGELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKEQNSTKRKMNADTEGPNADDGAVDPSVDVEGHNGDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPNLPASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKSIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHSSLVQVQEQMRSGQIELQKYVITKSLTKAPEDYPDAKNQPHVQARFVALRLRQNGYSGCSAGDTVPYIICSQQVDSYNTHSVGIAQRARHPEELKRDPDKYTIDIDYYLSQQIHPVVARLCASIQGTSPARLAECLGLDSSKFQSRSTESSNQDTSAMLLSVVDDEDERYRGCEPLRLSCPSCSGTFDCPPVSSLIASASATSVSDSDEGKDTTTNFWCRMRCPRCPDNIDDSRITPPVLANQMKIQADNFINQYYKGLLMCDDEGCKYSTHSVNLRVMGDAERGTICPNYPRCNGRLVRQYTEANLYRQLSYFCYVLDATRCLDKLDQKARLPFEKEFAAVSQTINLALMEIQKIRDRCAFGWVQLKDLAVSI >Dexi1A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:1A:2459177:2459927:1 gene:Dexi1A01G0003400 transcript:Dexi1A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYPSTTHPPDDDDDDAQQAADEKLPWVLLEHFLYVAKRENATTAYSDARDGPEIQLTVCARPPPRVSYGAEWKTHTVTLSHDLHPPPQDAGDDLFYHKTCKVMAIGGPDATIAFVDLWNGILFCDIRKLQQHHHQADGGRGGDDDDDDAIPILSYVPVPDLLDKEHKGDARLWRNIALIGGRLKYVELKRQWDRSWRQD >Dexi5B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:5B:20190646:20191416:-1 gene:Dexi5B01G0018150 transcript:Dexi5B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSLIFVTAVLLLSSATADEVTAEVIPLYYTCSEDGGRYRDSSTYLSNLKSLADTLVANAGTSNYFVSGQAPDAVYGFVLCRGDCTGASCRDGLRKAFDDTVHKGFVCPLYKDVTIYYEQYMLRFSGDDIRRNFTNRPAWVASNMNNVSGETGKRYREKVQKLIDRIMDAAANSPGRYGTGKAWFGGEGSVTTAYGLLQCTPDLQADDCRSCLAELVSLMPGWFSGEEPNDYRVGGRILGPRCNLRYEKELFFQ >Dexi8A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:8A:23375439:23378321:1 gene:Dexi8A01G0013390 transcript:Dexi8A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQQCPAACAGGAVVVARRHRRDSPFLANPSPPNPTVLKSLRACGVARPLMASGEDRISGLPDELLHAILFCVRSARDAVRTSVLSRRWRHILVRPLPELVFEEGLGEPAPPQAPPPLESFLDNVDAAIATCDAPVLKRLCIMWRGPLPTAAASRLPTSRVEPWLRLASERVVDDLHLHVFSPGRLVDGDEAVLELPLCEWVKSINLKFGVRWRIRPPSAGLFRALASLTIWVGRMDGSELTSLVCTQCPGLRNLNLYFVLVDAFDISIGSKSLQSLDFSVLRTQRLEIVAPELEKLFIRNIVDEVRLTAPKLQELAWPIYHPICHKFDDVGRRLRILDCGKNAYLMQQFDEVDELRLDISIPQEIFGYKRFLNETNRLPKCNLLNISLNDRHALVPGMLHLLRSCNSMRNVSLNVECGNPLYNNITISFFQEHPCLPACPCLLEESYRIDDIFLNSLEKVEITSYTSADEVVLEFVEFVECLSRCNASNLKKVVIMWVEPAPPPTKEVCQKIRNVCQPNIEVGFAVLLDQELVLLD >Dexi1A01G0024210.1:cds pep primary_assembly:Fonio_CM05836:1A:30836838:30837746:1 gene:Dexi1A01G0024210 transcript:Dexi1A01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAKRPFDAAAASPIPRRFQAAVSAVSTAQILRASGYSAAEAAALRALSDVAGRYIESLGRAAAAFAEAHGRTEPNVADVVLALEDHALDGFPGASDPARPVLCSGALTELARFVAAVTEVPFAKPLPRRAPGSGASKGWESFAAAEREPPLRHVPHWLPRFPEGWEERFRGRGEAAAKDEEDTGEVAVKGSESFAAARREPPLRHAPHWRPRFAEGWEERLRLRGRGEAAAKEEEDTGEVVTVMANGNLVENGRSSVPENREKVLFRLGDKRQRRRQALPPEKCGGALELFVKRTEKLM >Dexi3A01G0023640.1:cds pep primary_assembly:Fonio_CM05836:3A:19319955:19321775:1 gene:Dexi3A01G0023640 transcript:Dexi3A01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAAHHRLRLYSSSAAAPTPGRRLRFSPRPSPHGRSISGPAARVPTPASGGGTSSAAAPPAAATSPSPSLSLEELRRGCTTWTWRGMRVNYLAMGQGPPVLLVHGFGASVAHWRRSLIGQLVGRNIGLILDFLEEVVKRPTVLVGNSVGSLACVIAASESNRGLVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRPIASALFERVKGRHDMDNLKDILLSVYGNKDSVDDELVEIIRGPADTEGALDAFVSTVTGPPGPSPIALMPRLADMPVLVLWGDRDPFTPIDGPVGKFFSRLPSELPNVTLHMLEGVGHCPHDDRPDLVHERLLPWLDGLPPLVAGAAAVV >Dexi9A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:9A:8372375:8376019:-1 gene:Dexi9A01G0013050 transcript:Dexi9A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLQNAVTAVEAAAAVDAAAVAALLTPVAAVAAAAAAAAPAAGSYAVLQCGEDSEYVRKAYSGYFQVFRSLLEEEGETWRVYCAHRGELPTDADAAGFDGFVISGSCADAHADEPWILALVDLIRRLHAAGKRILGVCFGHQILCRALGGRTGRSTKGWDIGVSCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPNAEVLARSDKTRVEMFRLGDRVMGVQGHPEYSKDILMSIADRLLQRNLILDCQVDVAKASFDVRRPDKELWKKVCRGFLKGRLPSQQQQQQQKVVVL >Dexi3B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:3B:10359489:10360883:1 gene:Dexi3B01G0014350 transcript:Dexi3B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCMTRLGAGSSQQLPWVEPIPDRRSRFWQVDVQPAARIDLICPQPRRASRPPFLMDSLNRPISKPSGALPVYRADPPCDILDLILSKGAPLAKWELEELK >Dexi7A01G0023540.1:cds pep primary_assembly:Fonio_CM05836:7A:31480941:31482941:1 gene:Dexi7A01G0023540 transcript:Dexi7A01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDHECIRLPVGMVALAMAPAAWPRRQQASNTLLQSFLLPCESATDLPWPIDDRRSTIRLLLPQVTGGRQSMHDCCQLFPCLYGRSVSQMSLSVCHALWGSAAWNLALLLCTSSSMRFVFLLRLQPSLSRVLAWPPGHDDVLPLGLPGIDSQSLASCAPLLFTAIRRVAMFFLWTIDTAMSTHVHSYVPSNIASATFLFLLSLSPRILARRHSLSTSSAPISLLCRCAWPRPGGVCCFACSPRLGSLLSLLQATAPTPQPTPLPPRPFLPSTSMCPPPEPIHGSVNPFRSSAALVVIKASPAGRRGLATRHLS >Dexi7A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:7A:21808793:21812555:-1 gene:Dexi7A01G0011220 transcript:Dexi7A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSPSKESPPTGAWWSRETVAVVTGANRGIGHALAARLAEHGLTVVLTARDGERGETAAAPLRARGLAVVFRRLDVSDPASVAEFAAWLRDALGGLDVLDAPNPSVPHDHSIIAGPRAPPAAVTTYYDAHARPAPARVRQRPHESNRHVAFASGSDPRPKGRGRACGVVASEATAAGQVVQARRRRSTTFPRPLVASRRWTVTLQWLNFRVALKSGFIFCSARCCVQVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRQSPATSRILNISSQLGLLNKVRDPSLKAMLQDEDTLTEAAIEAMASRFLAQVKDGTWGEQGWPKVWTDYSVSKLALNAYSRLLARRLQARGARVSVNCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPAELPTGTFFKWCTPQLYSKL >Dexi3B01G0021790.1:cds pep primary_assembly:Fonio_CM05836:3B:16667192:16667758:1 gene:Dexi3B01G0021790 transcript:Dexi3B01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDTAETFGIISEDNRFRVVPKAGSIFILQADCWKVTLIGDKLSAKLKLKEDQRQQRAQSQIR >Dexi9A01G0043760.1:cds pep primary_assembly:Fonio_CM05836:9A:47464572:47465984:1 gene:Dexi9A01G0043760 transcript:Dexi9A01G0043760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAVEDSEAMAQLLGVQYFGNEHQKQPATTTTAMYWPGCQEADQYYGSAPYYMPQPNSGASCYDDHAGYYAAGAVTMTGDFFVPDEHVADPSFMLDLNLDFEDQDGGSNVPVTACKRKLTEDHKDESTTTCTVPKKKSRSTAMPAQKKGKNAQSKKAQQKGACSRGNREESSNGDGNVQCSGNYLSDDDSLEMTACSNVSSASRKSSSGGGKARAGRGAATDPQSLYARKRRERINERLKILQNLVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQ >Dexi9B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:9B:8879263:8880467:1 gene:Dexi9B01G0013180 transcript:Dexi9B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSLLSFITITAFTFLLYPCASIEFRRELSGWSNGIATWYGAADGAGSDGGACGYQNDVDQPPFSSMIAAGCSSIFDSGKGCGSCYQVVCTGNDACSGNPVTVVITDECPDCPCPDDQVHFDMSGTAFGALAKPGQEGQLRGAGAIQIQYTRVQCEWRGVDITFSVESGSNPNYLAVLIEYEDSDSDLDAVDIMQQGEAGQQWVPMQQSWGAVWKLNSGSPLQGPFNIRLTFSSGRVLVASNAIPAGWNAGVAYRSGGVAVAKARPRSGGCRGSQPAGRLLMSGLHLVVLFVALEL >Dexi6B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:6B:19066591:19067066:1 gene:Dexi6B01G0011760 transcript:Dexi6B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGDKNIVWGHSEIENSSLSDGLASEESIGLPAAPTIPSPSLARLKNFLVAKEWKIEKSIDGLRRGEYVLVSKVVRHDGRVSNGVTEMDPR >DexiUA01G0026810.1:cds pep primary_assembly:Fonio_CM05836:UA:57335152:57335361:-1 gene:DexiUA01G0026810 transcript:DexiUA01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSPSATMQDSDMKGEEAEGSECTHVDLITGVVDGSSHRGRAGDSGILAVTVVLGTADSGVDTSCGL >Dexi1B01G0024880.1:cds pep primary_assembly:Fonio_CM05836:1B:30039043:30041964:1 gene:Dexi1B01G0024880 transcript:Dexi1B01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSREIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIPSAAAPEPDAAELERYGITDDLREFVKGITISTFRDFPLQDEPEMSDVPTVSNVRQDLNQWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNSYITPFEKKYFEELKVKEEEEKKDSQKEASQASQAAPAEQKDTKAPSKTSASTNPEHDLDVFLLGDLGSDDEGPDGGDDDLDDDFDKIDATSGLESDDDDKTATGKAEEAK >Dexi2B01G0030330.1:cds pep primary_assembly:Fonio_CM05836:2B:38603314:38606204:-1 gene:Dexi2B01G0030330 transcript:Dexi2B01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGGVGRMIASIYAVLGLSPACSLAPSPGDSTVPGAWWFTRACACAVPAGERACHGPRPRRAVHAGRPGRRDLPRGASATPVDVAAWSLTDPRLITHIEKEISELLRSLQPPRVPVECLANTYTKKYGKPLKIEVLGTESQQCDSSLTCLLTMLCTTRVIERHGQCYIVPVEEAPKYLDDDYNLVMTAGGSGANQIYITFGPKSTFTKEDVWNYFSQYGPVNDVQIPLRKKRVFGYVSFLYNGTVKEILSKTMKPHFICGYPVFVKAWKEKHELK >Dexi9A01G0042580.1:cds pep primary_assembly:Fonio_CM05836:9A:46174941:46176521:1 gene:Dexi9A01G0042580 transcript:Dexi9A01G0042580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLWLLLLLAVSAVCALRARRAPRFSNKAQKAAAYTYPPGLEPYPLIGHLPQFLANRHRILDWMTEALERQSTCTFVLRRPGGVRGAITANPANVEHFLRSSFDKYPKGPRFASLLHDFLGRGIFNADGDAWRAQRKVASHEFNTRSLRAFVARCVHAELHGRLLPLLRRHAAAPGSCLDLQDVLEQFAFDNICCVAFDHDPRQLPDAGDADDATAANAGSSFADAFRDAANLSAGRFRYAVPGFWRIKKALNVGSERRLRESIAMVHDFADGIIRSRREEMTKDVFEKQDLLSRFMASQDESYSESEVPLRDVVISFLLAGRETTSSALTWFFWLLSSRPDVQRRIRDEVAAVRARQDDKVVGFDLEELREMHYVHAAITESMRLYPPVPLNTLRAEADDVLPDGTAVQAGWFVAYNSYAMGRMESAWGRDAREYRPERWLSPGDETFRPDSPYRFVAFHAGPRVCLGKEMAYIQMKSIVACVLEELDVVVHGAYQPRQVTSLTLRMADGLPVTVTPRREYGTA >Dexi8A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:8A:1908185:1909067:-1 gene:Dexi8A01G0002870 transcript:Dexi8A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSRAHRLIRGFHASAQTLARAEPHEFSKPSGYLGSWEPAGEPREAWARLDRLRKGYARDVRELRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQTLMKERAEKLESWRKKEKLLEQKKVEQKELLRKKSSLWLAEDKLEDQILEAIRNTTPL >Dexi2B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:2B:30369286:30370764:-1 gene:Dexi2B01G0020270 transcript:Dexi2B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSTVCVTGAGGFIASWLVKLLLSRGYTVHGTGVFHVATPVPSEELTDPELQMLAPAVTGTTNVLRAATAANVQRVVVVSSIVAVEINPKDCPEGKIRDEGCWSDEEFCRNNNIWYPVAKIISEEAALEYGRQTGLDVVSVNPGLVFGPMLQPTVNASNQFLVYLLKGGPDKVRNKLWHIVDVRDLAEALLLVFEVPQASGRHICAPHVICVRDLLDLLKRNYPDYPCITK >Dexi2B01G0031900.1:cds pep primary_assembly:Fonio_CM05836:2B:39897223:39899331:-1 gene:Dexi2B01G0031900 transcript:Dexi2B01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEIKLQNFVSNGDMKCLLMYWHNASAGLKDREAANFLEREMKNNPSLSFAETIQMAISALQFALKEDLKATEIEVGIVRKDDPIFRILTAAQIDKILAVINPVNQS >Dexi1A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:1A:3031542:3035191:1 gene:Dexi1A01G0004130 transcript:Dexi1A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKARVVVVGGGVAGALLAKTMQDHADVVLLDPKEYLEIPWAELRSMPLSSSWLHDTILKESLDSKGRVMVEKDLRVKGYNNVFAIGDITDIPEIKQGYLAQKHALLVAKNMKLLIKGTQLNTSGL >Dexi6A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:6A:18743835:18744365:-1 gene:Dexi6A01G0012420 transcript:Dexi6A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATLHSILSNPHGKKIPAIAPSMCAPDDTAANADTTSCRSPSVATQSLYPVTGHGDTDTPCWHPLESEPTGDASDHGDVTPRAAGQLSGVIGGSAAAAAPPITESAAAVATVSLAASSCSALCACGLCCGLCGALLAAEVAEEGRQVWSPW >Dexi9A01G0047170.1:cds pep primary_assembly:Fonio_CM05836:9A:50364999:50369954:-1 gene:Dexi9A01G0047170 transcript:Dexi9A01G0047170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKVLLIGDSAVGKSSLLVSFVSAAHLDDDIAPTIGVDFKIKFLTDEDRVVTREEGIAFAQEYGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKQENANQSGSCCQ >Dexi8A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:8A:25740105:25744949:-1 gene:Dexi8A01G0014990 transcript:Dexi8A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGISKTVVEALAKKVNAAIKGEAELWQIVQRDTVFMKDEFEIMQAFLKTVDWEQSNNNVVKTWVRQIRHLYSDAEDTIESILLLDTKRSFWTMLHHLVASSNCISGAAPSPLEQAVAKIQVFKARVEEISNRSVRYNLINDSRFMPIPSEQLVLGHAIGTTAAVDIRSEAWSTTHKQGGFVDLTMLVNESSDDLRIISLWGTQGDFGVTSIIKEAYEREEIRQKFRNRAWLKLSHPFNSQEFIQRLAEQFYCKDEGEIITVVAKRVKEQRYLVILEGLSSMSEWQAVRTWLPADSKNGSRIVVSTNQLEIARLCAGKSYQVSELRKLPNSHSIFAFFCECYLYGSVFSEMKNIPRSHFMRRWIAEGYIQSADGNTMEEDAAELFSRFVKQTELLGGWGGNSFFHEYINSRLMEDKLIFRPHVSVLSKMGQSLTVEGRGQHLAIRSCWVRFESAFNNLDLTELQSLTVSGQWMAFFMPCQMKWLRVLDLEGAACVDDEELKRILELVPRLRFLSLRGHKGITHLPDSLYRLVYLQTLDIRDTSVVYVELHKLRNLQWFRAGTGVSRTGIHPGLGPEEHSMPSVSSSRSRAARLLGVGGTIRPCYGVKVPRGIRHLKALDTLGVINVNTTDGTGILDELRLLKHLKKLQVSGINRKNSKFLSRTIINQKNLESLSLQFEEEDRAVREGDISPPPSIRSLKLYGHVEKLSALRFNNLRNIRKLSLQMTNLLRQQDVDLIGRVRSLITLSLLIDSSQDGQLQFPPHLFCKLKVLEIVCMSKLRVIFDQGAMEKLEVLKAHCCSDSSLKVTGLEHPVSLKQVCLQGSYGHTLKEALQEQLASHPKKPALNLQMNEDWD >Dexi2A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:2A:29697470:29698450:1 gene:Dexi2A01G0017690 transcript:Dexi2A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAADGDPYLPIHISSDEEDGHAQAYFTESYSPEEIEIQEAILLSLDPSRGPDAITSSSASPSSSEAIGASKPRDPPPDRKGKRQISSEDGSSGHKKKRSKRSRFNCDICFEMVEVSEKFFVSNCTHAFCNSCVGRYVAGKVAENVHLVGCPDPDCENGVVDMNLCRDIVPPELFERWNVALCENLLGDDKLYCPFKDCSAVLINDGQVTIQETECPHCHRLFCARCHVPWHSGIKCEEFKKLGDDEKGGDDLNLKKLASKKKWQRCPKCKMYVSRTSGCLLIKCR >Dexi3A01G0022940.1:cds pep primary_assembly:Fonio_CM05836:3A:18540758:18541314:-1 gene:Dexi3A01G0022940 transcript:Dexi3A01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARLLFALSLVVVLLLVETTAPHGQAHAIDCGASCSYRCSKSGRPKMCLRACNTCCQRCGCVPPGTSGNENVCPCYANMTTKNGKHKCP >Dexi9A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:9A:6257913:6259279:1 gene:Dexi9A01G0010310 transcript:Dexi9A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDFASGDAAAWRAALAAYDSKLEALDKPELVDVDSFYRHDLPSLLRGRDPDPFIAKPELVRLLQRKLSRGKWRPRLMDFVKGLDDAVVESASRKAFAALPDLKMAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQN >Dexi3B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:3B:3048595:3049116:1 gene:Dexi3B01G0004490 transcript:Dexi3B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSPLLILLPLFLLLASAAGEKQTHIKLYWHDVVSGANPTAVPVARAAVTNTSKTAFGVVIVIDDPLTNGPDLNSGKPIGRAQGTYISAGKDELSLMMNMNFVFQSGKFNGSTVAIMGRNAVFTAVREMSIVGGTGVFRMARGYAQARTYSSDLKTGDATVEYNLYISH >Dexi3A01G0025380.1:cds pep primary_assembly:Fonio_CM05836:3A:21060422:21061651:-1 gene:Dexi3A01G0025380 transcript:Dexi3A01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGAAKAKQGGEEQKAEKKDEKKVHKSNDADALIAFMKKHYEDKVKDVRDFDEFYHAIYELIEMFCESRGQLQYRIPSKEELKKQYEKAHPWGSGNLTPEQFEKIATGILRMDSFTFGKAAVDILVVLFGVPVCALLTKRIIPGLKAISDDIVIPAATSGAVVYLAKSNKL >Dexi3A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:3A:5019968:5021808:-1 gene:Dexi3A01G0007370 transcript:Dexi3A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVQLPNLAGVRRPAAVAVPQSCRGSRLAVSAVAPGSRVKEEEEEAKGARKKEKIVIRVSDPVRERRLPPPLFSEPDELSERPPDPEEERRQGNEDGEEAKKQYYVNMGDAIRKLREELPVVFYREPSLGIYRDDIVFKDPLNNFKGI >Dexi8A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:8A:7589255:7591367:1 gene:Dexi8A01G0007000 transcript:Dexi8A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLALATLVVSITAGKQEDAAAPRYNNNVAAVEPSTPTMNVSAISLSTPYPTACKTALSSPASRSTTTKDPFAASVQFAMARATSARTLARILSTGVSSSSSGMEDCAELLDISLGQLGDALAGAAADVDGVTTWLSAALTNQATCIDSLAAGEPDRARRDAVRTKVAELTQFIATALALHVNKLKANRSGDAGKQSAGAVTPTTSTTTMFPSWVSQHDKKLLESSMGGTSGDVAIDAVVAVDGSGTHRTINEAIAAVVAANGGGGGRGGRKAGRYEESVTIPSKQKNVMLMDDGKGKTVIVGHKSVADGYTTYATATVAAMGSGFIAKGLIIINSAGPRKGQAVALRVGGDLSVVYQCAIQAYQDTLYVHSNRQFYGEDDIAGTVDFIFGNSAVVIQNCDIQARRPSPGQKDTVTAQGRTDPNQNTGISIHKCRITGAADLGSTSVYLGRPWQKYSQTVVMESFLDGSISPVGWLEWSVQFALSALYYEEYGNSGPGAGTSKRVTWTGVHTSLSRSDAMKFTVANFIMGDSWLGGTGVDYTSGL >Dexi4B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:4B:19248692:19250995:-1 gene:Dexi4B01G0017130 transcript:Dexi4B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAEASRTGLPWSSASTRPSLGKDALVEDWFFHQHGIMVENGVQLTLTSTLKNTGKARINGDGLNNGSHVKEKLEPNGTLQPSEGQNKQLCTTCAKGHSCQTVVNRTRQMRALIDAKKPYEAHSVFKHLVDEGHKPSLVSYTTLLTALTNQRMFESIPSLIAQVELAGLRPDSIFFNALINAFVEAKRMGEAINTFWKMKHVGCHPTTSTFNTLIKGYGIVGKPEESQRVFDMMAIEGSVRPNLTTYNILVKAWCDQRNLEEAWSIVGKMRASGVEPDIITYNTIASAYANNDETWRAEELILDIQTRARTSERTWGIIIGGYCREGRLEEALRCVRQMKDAGVIPNVVIFNTLLKGFLDANDMAAVNNILGLMEQFGIKPDIVTYSHQLNTFSSLGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLLQMNQLGVRPNVVTFTTVISGWCSVADMEGAMRVYEKMRKSGVHPNLRTFETLIWGYSEQKQPWKAEEVLRMMQETGVKPKQSTYGLIADAWKAVGLIENANHANGSSNGCLAIDNSGDSSDLQISEDNNKLQSYEE >Dexi2B01G0021040.1:cds pep primary_assembly:Fonio_CM05836:2B:31002511:31003804:-1 gene:Dexi2B01G0021040 transcript:Dexi2B01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCSMRDLLGFFVGAAVAAACIVLLQPSAPCPPCDLSTFSNVTTHAPPCPCGLVVPADHQEHATTLGNGTTHAAPGPCRLVALVDHRQELATSGNGTTHADPCSTKPADTAATSPGDDKLPELLRRAVMDDDKTVIMTFTNEAFAAPNSLLDLFLHSFRVGVRTEPLLKHLVIVAVDAKAYDRCNQVHPICYHLPVQQGTDYSAELPFMKDNYLDMMWRRNRFQARVLSLGYSFVFTDVDIVWFRNPLLRIPVAVDLAMTCDRFYGNNPYDLNKRANGGFVYARASARTVAFYEDWYAARAAYPGKNEQDLFDKLKLELSARHGVTVQFVDTAYLGGFCDRRKGRDFNKLCTYHGNCLYGLKMKLKRLNEVFADWNKFRANNALTD >Dexi3B01G0037670.1:cds pep primary_assembly:Fonio_CM05836:3B:40436909:40437400:1 gene:Dexi3B01G0037670 transcript:Dexi3B01G0037670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPEAAFAVLSVLGVVTVAILLRACSRRAAPAPPRRSEHDGHRRLRRRRRTDAFFAGGVADAEAGLDDAALRSLPKVVYGDDDEEEAGKSPATGTACCAVCLGEYAGGDVLRVLPACAHLFHQRCVDRWLRLHPTCPVCRSPPVASHAAATPATADAGAAQP >Dexi5A01G0025750.1:cds pep primary_assembly:Fonio_CM05836:5A:29539153:29539879:1 gene:Dexi5A01G0025750 transcript:Dexi5A01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGASRRRRAPRPHLPLLVLVLLLVSSQPPRASALGVPLRQVATLVSLSHSLLSRVAATRAARGDAAAAACARRIASLLSSRGAWGLGWDYLRHYAFSSATGCGLSCAAAASRLLAAAAEASRLRSATDAAQWMRRHYGDVRDAATLLLNGLLDAFSEQGPLREVVVDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFAGATRSSYRHSEL >Dexi7A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:7A:29504542:29509277:1 gene:Dexi7A01G0020840 transcript:Dexi7A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDPKDGGGRGGGSGGGAADPEDDIEAPLLASSGSSFFFDPACEDGGEEQRRRRRRFLHGSHTLSNTTSQVALVGADVCPIESLDYELVENDVFKQDWRARGRGHILRYVALKWALCFLVGALVAAAGFVANIGVENVAGSKFVVTSNLMLEGKSAFAVFLASNFVLTMLAAVLTVYVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLVVKKLSVTASVDDKADFEQIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYGMTCKWLRYFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALETVSSWNVIFKNLRHVPFICRWRSALLWRAFFTTAMVAVVLRALIDFCKSGKCGLFGKGGLIMFDVTADYVTYHLVDLPPVITLGVFGGILGSLYNFFLDKVLRLYNLINE >Dexi1A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:1A:2979085:2981085:-1 gene:Dexi1A01G0004050 transcript:Dexi1A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGEEGRAAASLAAARRTLRAGVEKSRALGHALARAGPRLGEIQAALPMLEAAVRPIRAPRGELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLLPGAAGGDLPGYLAVLAQLEEARRFLAGNCGLAAQWLADIVEYLGDRDLADPRFLADLGVTLDGLKEPSGDLDGGLLAAALDILEAEFCRLLADHSAPLAMPKTGAAAAPSRVPAAAVQKLSLILDRLVANGRQDRCVAAYIDARGSVVSASLGALGLDYLCDPAQDAQALGPPVEMWGRHLEFVVRRLLESERQLCAKVFGQHKNAASECFAEVAALASVLDFLRFGRAVADAKKDPIKLQRLLEVFDSLNKLRLDFNRLFGGKACAEIQSQTRDLVKLLIDGAVEIFEELIVQVELQRSMPPPSDGGVPRLVNFVVEYCNRLLGDQYRPVLGQVLTIHRSWRKEVFNDRMLVDAVLNIVKALEANFDVWSKAYDNAILSYLFMMNTHWHFFRHLKATKLGEILGDAWLREQEQYKDYYFSVFIRESWGALSPLLNREGLILFSKGRATARDLVKQRLKTFNSSFDEMYCRQSSWVIPDKDLRQKMCDLVVQTIVPTYRSYLQNYGPLVEQEGSNGRYVRFTVDGMEKMLRALYMPRPRRASSFQMKHSSGNVPSAMTGLHRSTSAVK >Dexi4A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:4A:5257218:5257427:1 gene:Dexi4A01G0007120 transcript:Dexi4A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPDPAKFALCIAVGCAQIMESLLGFAATGNDRAIAAANMLALVVLAGYLLVVSRVFGACAAAANII >Dexi9B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:9B:9054028:9054712:-1 gene:Dexi9B01G0013470 transcript:Dexi9B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVSDGGENHNGSDIGGSRAPSHCGMDSTSYRVSFPPKKSLFKEFSGAVKDLFFAGDDPLRQYKEQPSWSKRAWLSLKHLFPVLEWGRHYTLGKFKGDFIAGLTIASLCIPQMVASFHH >Dexi7A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:7A:20176095:20179771:-1 gene:Dexi7A01G0009040 transcript:Dexi7A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAAPSAATAAARARSLAPSSPAPAFARVPATPRFASGALSARGVKAASPVAVAAGPAAAAPAADLDGRAATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGAPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVHYDEELGKALFDGLPNLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFVKFIEELEKQRS >Dexi6A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:6A:12695609:12696705:1 gene:Dexi6A01G0010310 transcript:Dexi6A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPAPVAKQALLLLRRPDATFTAALRARFRVLDYYASGAPPLITFLAAAAREPDPPRAALVVAGGAVLVDAALLDALPSLRCVVTTGAGVDHVDLAGCARRGVAVAGAGKVFSLDVADHAVGLLLAVLRRVSAADRYVRAGLWPAQGDYPLASKLSGKRVGIIGLGSIGLLIAKRLEAFGCVVSYHSRAPKATVRYRYFSDVCDLAMDTDILIVACALNDMTRRIVGRRVLDALGPGGVLVNIARGGNVDEQELIAALREGRVAGAGLDVFENEPHVPPELKEMDNVVLTAHEAVFTEESTADLCELKIGNLEAFFSGKPLLTPVHLP >Dexi9A01G0045500.1:cds pep primary_assembly:Fonio_CM05836:9A:49045638:49047371:-1 gene:Dexi9A01G0045500 transcript:Dexi9A01G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFTRRPFTAEKINEETYVDIHGNKAVFDSLRNNPKVKFDGRHFFYKPTHDLTGRDELLNLIKKCKDGIAVEELKDAYPSVLEDLQALKASGDVFWLTGTNSQEDTVYFNEPQYWITVDNDLKELYRKVDLPRDMLDIEKELKKIGEKPWTDTAKRTALAQIHGAASKAKARKKQRGTRRIKKLTNHHLPELFEQMST >Dexi6A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:6A:11510895:11512532:1 gene:Dexi6A01G0009700 transcript:Dexi6A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSADAASAVPPSAVSAAEETLAATESVGDHLTQLLAAAAEDPDAVTELPPLLRARTFLAVAQAATSLLAVRLRCSGIDPDEHPIRKEFERLSLWQEKLNRFEDWEKAPLRPTTTVNTQAAARFIGHSLTHLTSDQKRSMQAISRGERMGSSGQKRKPEPLPEKKSVRAAAEEFLAKAAQELIGNSDSRVKGPVRLIPDENED >Dexi9A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:9A:10408433:10413479:-1 gene:Dexi9A01G0015610 transcript:Dexi9A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATAAAAFTSSHLAPSISGRILRRRPAPRASAGGVTARARRLRCEFVAGGGNGALSGEEDPRLIDRQKALDAAMSDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDIRLGQGREKALQYLRENPTICDEIEKVVRAMIPEGTRHMSLLAFGQSSSTEDEQVYDEQ >Dexi2A01G0026330.1:cds pep primary_assembly:Fonio_CM05836:2A:37839811:37840897:1 gene:Dexi2A01G0026330 transcript:Dexi2A01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLFLLAFALASAATLQPSSAAAAIRANDESSSAAASFPCFPGQQRPPWLPPCPAPPPQPSECYTSVSGLTPCADFLTNARVHAPAAACCDGLKAIVTGAPICLCHVYNGDFGKLLPAPVLRLRLMALPRVCRVRYPPGMLGQCMRGPVPPMNPPPTPTPDATPPAASPPEPTPPTSSPPEASSPSSAPEASLPEAASSAPDTSSPPEPPTSSPEASPPEAPSSAPEASSPPAPPTSAPEAPSSAPEASPPAPSTPAASPPESSSTPAASPPEPETPATPPPESPSSPAPESPSTPAAAPPESPPPPTAVATPPAESP >Dexi6A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:6A:6539757:6540050:-1 gene:Dexi6A01G0006720 transcript:Dexi6A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGDAQPAIEPGATRDLSELPRNAIALLGPIGILMGAGLLCHSWLDAAMVPELWRSVDMASPNIAIAKMKFWTAMAKKAVDRSDGQLEVFG >Dexi2B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:2B:6846206:6849499:-1 gene:Dexi2B01G0006850 transcript:Dexi2B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMVIFLFSSCLLPFADAAAGHHGGVHLASQAAALFRWKSTLHSASQALDSWRQGTNPCTGNWTGVACDVVRRSHRAPLVVTEISLPNSGIHGRLGKLNFSALPFLTYMDLSFNDLRGEIPLAITTLPALSFLNLSFNWLHGNIPPELGNMASLTELGFEGNDLTGRIPASIGNMTTLTILILGHNMLAGPIPEELGKLTSLQLLDQSGNMLISQLCVVVLEIVMGTYPMDDMQSLGSMGQHQQLAMDDMWDKRPASPTIVEKKEIATLVEMAFACLQNSPQFRPEMRDVYQKLSLNKPSSSASPSHALA >Dexi7A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:7A:18911884:18914682:-1 gene:Dexi7A01G0007590 transcript:Dexi7A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMSSAEYELNEIDANDDTLHGSVGSRLSLFARELKSRKSSWHGSSALRLPRGCYGSFVIHPNGRWYRIWSNAMFVWSIYSTFFTPFEFGFFRGLPEHLLDLECVQLVFLADVAVHFFLAYRDAHTFRMVYDKRKIALRYIKGSFALDILGCFPWDFIYKATGRSEMVRCLLWLRLYRARKIMAFFKKMEKDIRISYLFTRIVKLITVELYFTHTAACIFYYLATTLPPAFEGGTWIGSLTLGNTRYINFREIDLLTRYVTSMYLAIVTMATVGYGDIHAVNSREMAFTMVYISFSILLSAYLIGNMTALIVKGSKTERFRDKMTDLIRYMNRNKLGADIRSQVTDHLLLHYESSYTKDRVVDDIPVAVRSKMSETLYLDMVSKVHLFKGCSEDFLSQIVVKLNEEFFLPGEVILEQGTVVDQIYIIAHGCLEEVATGEGGSEEIISELLPYDIVGDVAVVCNVPQLHTVRVCELCSVLRIDKQSLTSILQIYFKDSHQILSNLLKGRTTGSKGKQLESDIAYLISRQEAELVLGVNNAAYHGDLFRLKGLISAGADPSKPDYDGRTALVCELSHLVIVIQTTEVHFH >Dexi4A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:4A:9806404:9807696:1 gene:Dexi4A01G0011610 transcript:Dexi4A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAAMRAVLEAPGVKGQEVLRLPCDAANVKDAVTGLVRRIITTGDPPVTSAFNMLDLGKVAELFAAWRRGLKGVPPYYAVKCNSNPALLGALAALGSRFDCASPAEMDAVLALGVTADRIIYANPCKPESHIAYAASVGVGVATFDSVEELRKMKRFHPGCKLLLRLKVPNAGDAARWDLGLKYGALEEEVAPLLRAAQSAGLAVWGVAFHVGSEVSRVGVYDAAVQAARTAFDAAAALGMPPMHVLDIGGGFAAASFEDACAVINAALARHFGDMPDVEVIGEPGRYFAETPFALAARVFGKRARGEVREYWIDDGFYGTLCCVQHGYVPRPVPVAAACHSADQRGGETRHLSTVFGPTCDSLDLVVQGYPLPELGIGDWLVFHDVGAYTTELSCNFNGFSASEMKTYLAYWIRDTDLNKPLLWQH >Dexi6B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:6B:26130864:26131553:-1 gene:Dexi6B01G0019340 transcript:Dexi6B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVKRESSPCDSSSSIASTSSAATVPAGAIKKRPEGRTRFRETRHPVFRGVRSRSRAGGRCRWVCEVRVPGHRGRRLWLGTFESPEHAARAHDAAADGCLLNFPDSAWLLDVPLLGSEGGDVIRRAVARAVEALLMLRSSSSRHDAEDAMSATSEVAPAATAHDDDAATEEAKGDERSPFEMDVMGDMGAGLYYASLAQGLLMDPPAASDASWCCDDSDVAVVPLWTY >Dexi2B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:2B:29287817:29298776:-1 gene:Dexi2B01G0019120 transcript:Dexi2B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHVSPLTIPDSAAHPTPRALPKVQRLDAARSTAAKAQSGKAGRSAIKGLPASGVLYGYRINGPQGWQQGHRFDDSVILLDPYAKLVSGRKYFGVDEEKPSQLFGTYDFNSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSRLGPTVRGSYLGVIDKIPHLLELGVNAVELLPVFEFDELEFRRFPNPRDHMVNTWGYSTINFFAPMSRYASGGGGPVAASTELKQMVKALHNAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLDNNAQLLNFSGCGNTLNCNHPVVKELVLDSLRHWVEEYHIDGFRFDLASVLCRGSDGSPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNKRKPYHSVNFIIAHDGFTLCDLVSYNSKHNDANGEGGRDGCNDNYSWNCGIEGETNDLNVRRLRSKQMKNFHVALMTSQGTPMMLMGDEYGHTRYGNNNSYGHDTHINNFQWGQVDTNLEPPNDIVPEGAPFTGSRYRIAPYSSILLKAKP >Dexi1A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:1A:25898112:25898624:-1 gene:Dexi1A01G0018680 transcript:Dexi1A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVQMNCDKCRSKAMALVAAKCGVDSVAIAGADRDQVVVVGDGVDSIELTSALRKKVGPAHIVEVGEPKKDDSKKPTPAPVPDYAWCYAPPPSQPVRFAYDPYGYGYGYGYQERQESSCSIM >Dexi9B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:9B:11223776:11225754:-1 gene:Dexi9B01G0016400 transcript:Dexi9B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPRGPAFLVHMDGPPPPSSKLGTDGEQACVGGHMTLAHRASVPVQTERRFRSNAKWRPRRLTFTMVQSASLSRPRNKVYTARYKTIGCYRRTAVAWPARPKFFLPASPATAAPLTARGEDQAPPHGPTRGRIDPFLPHQHHTPSSSQSTRVRPRFPHYCFVNFTSQLPPRRHGTRHTRKAQADELLPQILSSMLRRNRGCEFGFQFRRAPMELRF >Dexi3A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:3A:8956292:8961215:1 gene:Dexi3A01G0012330 transcript:Dexi3A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSTTPVVSPPPPPPPPSSHSHGGIGGGGIPTVDMSAPGGRGALSRQVARACAEHGFFRAVNHGVPRAHAARLDAATAAFFALAPHAKQRAGPPSPLGYGCRSIGFNGDAGELEYLLLHANPAAVAHRARSIDTDDPSRFSTVVNEYVGAVRQLACDILDLLGEGLGLKDPRSFSKLITDTDSDSLLRINHYPPACSIDKLDHDVQCKMKSIVRTKNGNGLIPSAGARIGFGEHSDPQIISLLRANDVNGLQVLLPNSDGKEVWIQVPADPSAFFVNVGDLLQALTNGKLVSVRHRVIASACKPRLSTIYFAAPTLHTRISAFPETITADSPRQYRPFTWAEYKKTMYSLRLSHSRLDLFHVAKDENSNVGKADQE >Dexi1A01G0030280.1:cds pep primary_assembly:Fonio_CM05836:1A:35603717:35604055:-1 gene:Dexi1A01G0030280 transcript:Dexi1A01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGSSGIGTFAILLLYLGIKVFVTAGSEEKLSACKDLGADVCIDYKSKDFVERVKEETNGK >Dexi9B01G0025650.1:cds pep primary_assembly:Fonio_CM05836:9B:26786719:26788870:1 gene:Dexi9B01G0025650 transcript:Dexi9B01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAGPGALTGGTSAACRPAARCASSSSATQLLDQPKQLDVQLVLKKTKTNLNKWAVEVAESGTFSAHLGSGGPGGLPVPYLVIANKVDIA >DexiUA01G0014180.1:cds pep primary_assembly:Fonio_CM05836:UA:30044404:30045448:-1 gene:DexiUA01G0014180 transcript:DexiUA01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNPSPNPADSQPQAEAGARLAALSLRGGRDLPPDFHSSEIHEHHLDDDDDDEGYLTAASRGGSSICAWKEAPDGLHDDDKDGDGDDVSPPSPSSSGYAGERGSSLDDDPEPDPDPAQDWPRDKKHLDEDDASSSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQ >Dexi9A01G0027220.1:cds pep primary_assembly:Fonio_CM05836:9A:31682954:31683628:1 gene:Dexi9A01G0027220 transcript:Dexi9A01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMLAMKEIQMTLREEIKSACEYRPFEKCDYSARIANEICCKKLQYVIEKLDTMQQNLEQSTGDV >Dexi3A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:3A:2580858:2582897:-1 gene:Dexi3A01G0003870 transcript:Dexi3A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSQAPYKSSSFSQATTRDDTSRTREVDRNFSLGALRDKRDHHPPHHHHSREHHAIISEEDEQADQDAEATAGHGDGAKDRGDPDLAALSAEVDAFVAGQDNAVTVTEATLDKFASAVELLVARSEGSSDHKWASDGDSPALLAAIARIAALASALGKSPEAGGGKHTAAVHRVTGVLHRAMAFLEDEFHELLDDPYANHKASAGGEHEPDRCVLPGAAAAASSSEGSKEAAPPYPPETVDRLRAMADAMIAAGYATECTQMFLVARRNAFDAALQGLGYEKSNIDDVVKMTWESLEAEVVTWTKAFRHAINVGLSTEHDLCTRVFAGAHAAVGRGIFADLARCVMLHMLSFTEAVAMTKRAAEKLFKVLDMYEAVRDASPVIEAFLSAADDEPSSALTELKAELASVRSRLSESAAAIFHELEGSIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYNATLEQVFREHHRRGSHGDAGGDNPFSAQLMEVMELLHGNLEAKSRLYKDPSLSNIFLMNNGRYMLQKIRGSAEINAMLGEAWARKQSTNLRQYHKNYQRETWSRVLSMLRDDGVITVKGHVQKPVLKERFKQFNAAMDEIHRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQHLSAGRQTEKYVKLSAEDVETIIDELFDGNATSMVRRRT >Dexi6B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:6B:995326:996735:1 gene:Dexi6B01G0001230 transcript:Dexi6B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEDYDNAKKPRSSDHQQQQRRSRAMILLLILTNTTSILVFSGAGAALHAQIGKHYPSIATWGSTKLLRELNVTGLALAASHAEVVDLSARLTAANKLLEDILSGKAAKRDMEAAKQEQREASTTGMLWRRDRRLHSGELRRAVGPHRLPLGPSSPSRRLIGGEMFPALGQACHRYIAEVEQYMNYTSGEECPSDEAFAQRLMLKGCEPLPRRRCRPRTPAGYVEPTPLPASLWAIPPDTSIVWDAYTCKNYSCLVNRGRTKGSYDCKDCFDLLHGREKDRWMRRRTGAGDDDDRNSLDYTIDGVLAMLPRGTVRVGLDIGGGSGTFAARMRERGVTVVTTTMNFDGPFNSFVASRGLVPLHLSVASRLPFFDGTLDVVHSMHVLSSWIPDVMLEFALFDVYRVLRPGGVFWLDHFFCLGTQMDATYLPMFERIGFEKLRWNAGRKLDRGIEMDEWYISALLQKPRR >Dexi3A01G0033790.1:cds pep primary_assembly:Fonio_CM05836:3A:38600721:38601256:-1 gene:Dexi3A01G0033790 transcript:Dexi3A01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAGLVAVLSALLAAALRRLFRLRHQPAPAAGFFHPYTNDGGGGERVLWCAVRAVQELRPGLPCAVFTGDADASPDGLAARALDRFGVRLLCPPQLVVTDP >Dexi7B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:7B:2101735:2103805:-1 gene:Dexi7B01G0001180 transcript:Dexi7B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVAPEEEHLAVNTADLMHLWRLVEEKDGGPSWIHMMERNLPTMRYQAWRRDPPNGPPQYRSSTVFEDASPEVVRDFFWDDDFRIKNSWDDMLLQHEKLEECTKTGTMVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKGIPRPSVPRQNKPRRVDLYYSSWCIRPVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQVARTAGEPISKCAAMAHVTTKFNADELNTEDNTEASSSNNNTEVEKPKHWTNNIPKVLMIGGAVALACTFDGGLLTKAVIFGTARRFAGPGRR >Dexi3A01G0030700.1:cds pep primary_assembly:Fonio_CM05836:3A:35032694:35035360:1 gene:Dexi3A01G0030700 transcript:Dexi3A01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSSREARAVALREGRRAECGAAGKKGLEEGGSAGSSRAAAMSVELILWLFSFASVMVLVGLTAYQAS >Dexi8B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:8B:2404239:2408195:-1 gene:Dexi8B01G0003270 transcript:Dexi8B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTEIQASTDGLQTLYLMNPASYAGGYPDAGGASPPGATTNMMLLNSAVTTMTPASFGHHHQHSPSSAAAQQHFVGIPLQAPPSGYNLWTPATTAGDMSSSPQAQTPHGGAAGVSAVLSLSSREAAPPVTVAAVGGVAADEGFKYHLGGASAAMSPGQMVMSSKYLKAAQELLDEVVSVSKGVEDAKAATKNLTAVKKKEDSEGVSGGGTEDGGGAKSGGAAPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSSSFEAAAGAGSSRTYTSLALRTISRQFRCLRDAIAGQVRAASRALGEDADAAVVSGAGGRSVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGAGNNNDDGKSKSGDTSNGVDGVVTPRADAMSKQAAAAEGSGVHGSTTLLELAGDQHTPHAGYYDGEDDGDDDVAMDRRLKKARGSDDHQPPAFHHVHDMAALHAQAAAAARQQHDEVSHRELLMKFMESGGAGAGRDHHHHHHHDGGGYSLFTPGPYGHHQFGSEHQFAFAGNGGVSLTLGLPHGAGGGAAGGEQTATFLMGSSNGGGGDSGSHGGAAGGYDMNMQSTKSFAAQLMRDFVA >Dexi8A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:8A:5688606:5688976:1 gene:Dexi8A01G0005860 transcript:Dexi8A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAFVADEAAFARSVEVQFAALDANGDGVLSRGEFRRTLESFLLDSSTMRSPRSTTLSWSSSTFRDEMRRIMLAVAEGQLGSQPLQVAIDDEDGSFLLEAVGVEHEAAAIASKVDADRK >Dexi4B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:4B:5825301:5828128:1 gene:Dexi4B01G0008020 transcript:Dexi4B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMFPALLLAAALLLMPGAEAVWLELPPSGTKCVSEEIQPNVVVLADYAIMYESHPTSHPTVAVKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWIDSAEKGSGTSLNLDWRIGIATKDWDTIAKKEKIEGVELELRKLEAAVESIHHNLLEAEMRTVSEKTNSRVAWFSILSLGVCIVVSVLQLWHLQGFFQKKKLI >Dexi8B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:8B:17469968:17475496:1 gene:Dexi8B01G0009750 transcript:Dexi8B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRGGGGGGHARRPAPSGAGGDQRRVAAQQAMARMEEMMLAHAGAAGEFSIILDAPLPSLQQYRRHPEPPPPSKGASPARRVGREGAGGGGRDEAAVPARLRRDGSGHDALADARRPSRRDADAGARRERPAGGAARGEEEKREEVEAPVRLVAGPRSVRRPVSRGATPPPARRSDEAKRAVAEEAEEEEETPLQLLARGGRSSIATRPAEAAAPPPPPEAVETAVAAARPSSRRSRREVGVKPAVSDVTSNADSDVESVGRWSSRGSEDGGEDAVALPKPLAAVVARDRSRSNSPAISRNGVDSAGVNRPPSTGRSTFAPPVGANVRPLQAVEMPNGTPRDRRAVYPDPTFAQTTRSRDSHDSSTLTEELGLAEEQLRQSEARTAELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNGKSEELTALQQQLQAAREEASAALKKLKESESETKDLRTMTRRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSLAPLPFEYVTSAGQRAKNGSETGSDGPEDADKLVHDLTVTAGEGNVETMLSVDKGLQELAFLKVEDAVLFALAQHHRSNVAGPADPDIKSSGDEKFTEAFDLSKDEEEDVQFKQAWLIYFWRRAKTHNVEEDIADERLQMWIDRNEQQPTSHDAVDVEQGLHELRKLGIEQLLWELSRHEMNLTKDDPSDVEDLT >Dexi8A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:8A:19963148:19963490:1 gene:Dexi8A01G0011310 transcript:Dexi8A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDTKSFLVCKKKMTTSPEMLCCFYPTPLIQFVEIVTDMKFDEEPNYAKLISRFDGLTESPASRPIRDRWSSQGWSETWNVACKSGRR >Dexi9A01G0022830.1:cds pep primary_assembly:Fonio_CM05836:9A:17947869:17951668:-1 gene:Dexi9A01G0022830 transcript:Dexi9A01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSFIGDGRSSTRSASATTTVSDSGYHLLVVDGYSHTKDITPTGDVTETVKVQFGFNFVDNKDQKYVLSSDIRASKVHDFKNQSSSWCYGSFTKRENLEKSTDLKDDSLTIKCDIIVTRDVNISATIAPFVVMVPESDMHRHFTGLLKSGEGTDVTFEVSGETINAHRCVLAARSAVFRAELFGHMKEGTTTSAIHIDDMEAKVFRLLLSFIYSDLMPDIIDEEGDNANDEEVILQHLLVAADRYDIQRLRLMCEEKLCGYINATTVATLLVLAEHHHCRGLKEACLDFLDIPANLQVFAGGGLNYLGSSCPSVLIDLISKLESLKHDKTITDDG >Dexi4A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:4A:9478010:9478517:-1 gene:Dexi4A01G0011430 transcript:Dexi4A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFQTGWYFGMPETVSGGGSLPLWPAPPLQAQNAARLAGGGWPQSATLSETTETETLESRLAQREPSARSVADDDSTDRVRAATLARRRYIQMATHAAVSTAAATPAETPMATACLLSTIPAARLPVPPRRGNEHAVRGRR >Dexi9B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:9B:7032319:7033818:1 gene:Dexi9B01G0011110 transcript:Dexi9B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDLDLFPALSAAVTWRMPFSSTSKVTSICGTPRGAGGMPVRSNRPSSRQSLVVNTCDFFTGIRVFRGISFVITPPAVSIPSVSGYVITFGLGATLAADDAALDGGAIGNGLVGVDALVGLLAIEQLGEELLDLGDPCAAADEHDLVDVGLVELGVGDGLLDRPKRLLEEVHVELLELGPGERLGEVDPIEQRLDLDPHLVLAAERALGALALAPQLPERASILADVPAMLAPDELDEVLHDALVEVLAAEVGVAGGGEHLEHAVVEAEHAHVERAAAEVDSAVSRILERIMALISSGLKTFVSRPPFMGTSTYGLPPRFTTL >Dexi4B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:4B:2567736:2570289:1 gene:Dexi4B01G0003680 transcript:Dexi4B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNYHIHIYHTTTRVSLQLVGWTPLVELKRIVEKDDVNARIVGKLEFYQPLCSVKDRGALRKMSFCMIIAHVHLYVGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMKNPALKVICVEPAESPVISGGKPSRHKIQGVGPGFVPKNLDTSVIDEIITVTAEDAMANAKRLAREEGLLVGISSGANLAACLKVASREENKGKMIVTVFPSGGERYINSDLFAAAREECIAMTF >Dexi9A01G0034070.1:cds pep primary_assembly:Fonio_CM05836:9A:38868133:38868435:1 gene:Dexi9A01G0034070 transcript:Dexi9A01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRRSCSTWPVPRPQRRCSSWAALTSSCIAAAVPTGAEAARSIRALQVEYSRGDGESSRQLVDPPPPHALRWGEQQAAVKRADARAAGAGGRGDL >Dexi6B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:6B:11191244:11196803:1 gene:Dexi6B01G0008840 transcript:Dexi6B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDNEKYRDYISDIVTQLRSHFADASFMTIRGMSTAYNRNDSSLLKVCFLSVTQADCELIKIDIHCHIQGDVVLECISLDADQEREEMMFRVMFNTAFIRSNILMLNRDEIDMLWDAKERFPKEFRAEVIFSEMDTANQLDPVEVAGTHVVTTAMPPPPPPPSPPALLVSNDILRSEAKDSSQHDLKNSG >Dexi5A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:5A:25178925:25184052:-1 gene:Dexi5A01G0021320 transcript:Dexi5A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPYYPDQPVVEQYLPVWAKQPAFAAKPAFIWADDDDTTPSSSYATLTYSDLNAAVERMSLALLDTVSRGDTVILLASPGLRLVKLIFACQRAGLVAVPIVPPHPSNLAAHRHLLRAVSQTSPAAAVADASYISALMDSPPAFSSAALKRLRWVPVGLLETIPAGDGGEDDPSPATRTTTTRCYKGCAAGEAYLIQYTSGATGEARPVVVTAGAAAHNVRAARKAYGLCPGSVIVSWLPQYHDCGLMFLLLTVVSGATCVLASPAAFLRRPRLWLELVDAFGATCTPVPSFALPLVLRRGGRRSGNVTRPLDLGSLRNLILINEPIYESSVDEFVREFGRAGLDPASISPSYGLAENCTFVSTAWRGTTEPKQLPSYKKLLPSARLPSSLASSEPEIDIVVVDGQTGEPVEDGVEGEIWVSSPSNASGYLGQPSASREVFCATLPAGRRRAGARFVRTGDCGVVVRGGTERYLYVLGRTTDTIIATDDVGCQRRVHAHYIETAAFGSSPDSLRGGCIAAFAATSASSVVVVVAELQKGRSGNVHLRSICDGIRRAVSEEEGVKVGRVVLAESGRVPKTTSGKLRRRMARDMLAGELIPKVFEALYDDEDDKGAATCALGGDNETQVCGGTTSWVVGDAGGEVAGVVAMASGSTTWRTTPVLSHAKPEPELDYGPGTKKAPTAAVSKGGKVHAGNQAAADAAILLRAKEEMAKRMVKHIEIIINSVKIAIQLKAALLIKSAEFMSAMAGEVSVHLTKVAQAHAQVAAADCVAALKLQQDILKKASESCKAITNDVAATHKARQEMLKGVAHDLVKAAGDIAVSMKTMAEAAAGVAGGVSIEGNIHFRAGVNARASAKAQAAAAAAAGAQVSGGAGGEAKASKSAGISAGGDAGGSTGADANAGAGGDAGVSGGISKSATVGGGAGGYAGGSTDAGAGVGGDAAVSGGAKIGGGVGGNVGGKADANIGAGIGAGISAGAKIGAGVGGSVGGSGKASAGVGGVAGIYKGAEIGGGGDVAKTAEVGGGIGGNANTGIDGSVGLSKSATVGGAIGGNAGGSAGVGGGIGGNAGGSGGASAGIGANAGIGAGVGFSGGAKVGGGVAGSVGGSAGGSGGAGGVVGGNASGSAKANVGAGIGAGVGFSGGAKVGGGNAGASGNANAGAGIGANAGIGAGIGVSGGAKVGGGVGGNIGGSANGNAGSAADVGIAKTAAVGGGIGGSAGGSGNANAGIGAAIGAGVSGGAKVGGGIGGNAGGSGIAYTGAGAGVSSGGGVAAGGSGGASAGVGVSKSIGVGVGVGGSGNANAGTGASIGAGVSGGAKVGGGIGGNAAGSGNAYTGTGAGVSGGGGVAASASGGANAGVGVSKSIGAGVGAGVGVSKSIGAGVGTGVGAQGGIGGSANVGGNAGVGGNAAASASGGGKANTEVGGSKSSGGGGDFGYGSSAKEL >Dexi9B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:9B:9848935:9850117:-1 gene:Dexi9B01G0014750 transcript:Dexi9B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLLHTGGGAGAPQRQQLLAHAGWAWAAGDAAACYEAPPARQLVQQQHQGLLFQHPCAAPPPTSAATAAAATARQLAAMPGQYAAGASESGVTFGGAQQHHQDAVATAAMAPAARKRKHAADSQSAAVPTRLVTADVAAHFQQQLVDVDRLVLQHTNKMRAEMTEQRRRHAREVVAAVEAVAAKRLRAKDEEIDRISRLNWALEERVRSLYVEAQVWRDLAQSNEAAAAALRGELQQALDAQAHQPRLAAGVTIAGADDDDAESCCCGENDVAGGAGAGNSDEDDDEAGASLGQIRRRRACTMCGEGEAEVLMLPCRHLCACAACASAALACPACGCDKNGSVCVNFS >Dexi7B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:7B:24336445:24337540:-1 gene:Dexi7B01G0018690 transcript:Dexi7B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRACVVVLLLLGLCAAIGLPAAAAAAGRIDDGLEVTWGDGRGSVSPDGQELTLSLDRTSGSGFRSRDTYLFARADVQIKLVPNNSAGTVTTFYFISEGPWDVHDEVDLEFLGNVTGEPYTLHTNVFANGNGGKEQQFHLWFDPTADFHTYSIEWTQQHILVLVDGTPIREFKNHADRGVAYPSTQRMRLYGSLWDAEDWATQGGRVKTDWSQAPFVARYRNFTAADASSSSSPAAGNGYGQQLDAAAQQAMKWARDNYMVYDYCADGKRFPQGVPPECSMP >Dexi6B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:6B:3674606:3675346:1 gene:Dexi6B01G0004390 transcript:Dexi6B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEDEQEDNLMCQQQQAALGQDLQLHHGGGGEPSSSSHAAVLEREHMFDKVLTPSDVGKLNRLVVPKQHAERFFPATGGGAAAGCTQLCFEDRGGVPWRFRYSYWGSSQSYVMTKGWSRFVRAARLAAGDTVSFSRSGGDTVSGGRYFIDYCHCQRRSRDISFGDAAAAAAVPVWPMVVRVQRTAAAGGGASTAAMAVSGGHGVEVGPTAGARSFRLFGFNVECGGGGDAVASASDEVEYVDGDG >Dexi1B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:1B:26304632:26305977:1 gene:Dexi1B01G0020100 transcript:Dexi1B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLSHVVSDLCIGRPRVLTLPPSTPITAALAALRAGADPFVFVDDAEPAASSYRAKRGPATVYAKVTVADILCYLCGDAGNLKDPSAALRRPVSDVAAAVGPHGVTHRVDPQTRLLDAIDVLLTDDGCQGLLVPLHARARKRTSSDCCVLTRDDIVRHLFGSISHFSPIAALTVASLGLVRGDDVHAVRAHDYGLADVIPLLRRAVSDATAVAVVSDEAELVGEICPGVLASCDDVESVLAAFAALSAGNIMTFIDCSVMSHTPPDFLVRAIRARLKDSGLDAIAELMDTVEDASVSVTMSSSTSSSNSEDEDSPSRRGGRRRRPRRMSSGSFGCRSTEDVVACHPGSSLVAVMAQALAHRVGYVWVVDETSGALVGVVRFADVLAVLREHLRPQSQVLCRKSVICV >Dexi6A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:6A:28213276:28213557:-1 gene:Dexi6A01G0020830 transcript:Dexi6A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATARPFGPSRPGARPTTIVSTGCRPPRATSISESISSAEVQRLAMALLIASGLWLNRNTRSPLLKRRRSSLRRRRLCWPQRKDEEADEDNT >Dexi9A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:9A:8613382:8614792:1 gene:Dexi9A01G0013380 transcript:Dexi9A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKQHEKGSPKRAPNEPASRSAVAAPFRLEPCRHCDDDRRRQQDDGSSGAGPLLLTLGSHGSAADSRCSCAAVAPAPTPATMSVLRGSRYLRPAQELLAEVVRTADLAAGSGDEEAAAEKKQEGLDAGGLRPARGAAKNNGDGIQAKLLGLLSELESRQERYFGELGRVASSFEPALGDGAASAYTSLMAQAMARHFGNLRRAILRRLRRHAAATAKRTLLRAGEEVEHGGDDDDDDDDDDEEEVTEEMVERVARRTKLAAAARAEQAWRPIRGLPEGSVAVLRAWLFDHFLHPYPDDGEKLRLAVTTGLSRNQISNWFINARVRLWKPMIEEMYKDEFSEGSAVSRDDDASASGASSSS >Dexi2B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:2B:27728754:27734790:1 gene:Dexi2B01G0017330 transcript:Dexi2B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAHLLCPALAAYPTKPRQPTTHGRRIHRAKPSRCLSCRAALGPDGSLAVLGVPNPRPAPPMRRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFDHEAAARQVFDRFHACYDALLASGLPEAGLSALDIAELPLYSVGHSNGALLQLLVGSYFSERLPKANAIVSFNNRPASEAVPYFEQIGPLFSQVMPMMEASPVYSVARNASGDAWKALFDLAGGIIREYDQEAVVSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFNVDAIDDTDIVEDVLRPRIDSIGGQIKKVILSGTHLTPCIQV >Dexi9B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:9B:4066477:4067187:1 gene:Dexi9B01G0006760 transcript:Dexi9B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSPSRSHRSKKLRPSQSLQAFLLLAVGVWIVYQLTRSYGKQRVVVVDTDGDDGEPARRWLGRKGFVDFAAGQASGDDIAGVRDGSDDPLSQAGDDDDEDQEEADEDDGVDSDADEMGGGLAVDEEEDDKDSLSERSSNEDELKMAQGQAQNGLSTSFVPPVNTTATVQDGGAALLVNGTGGAEDGTGLTSSGYYPLKNNTGDVGNKMLSNSGSPGENQSLQINKNGTADSVAE >Dexi9A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:9A:11155342:11156682:1 gene:Dexi9A01G0016190 transcript:Dexi9A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQSFVQAPEHRPKPTVSEATGIPVIDLTPLTGGGGDVDALAAEVGEASRDWGFFVVVGHGVPAEAVARATAAQRAFFALPAERKAAVRRSEAEPLGYYESEHTKNVRDWKEVFDLALEEYAKAMEELAFRLLELIARSLNLRPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTILYQDDVGGLDVRRRSDGEWVRVTPVPDSFIINVGDLIQVWSNDRYESAEHRVSVNSAKERFSMPYFFNPASYTMVEPVEELVSEENPARYNAYNWGDFFSTRKNSNFKKLAVENIQIAHFRKSIAA >Dexi1A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:1A:28131445:28133076:1 gene:Dexi1A01G0021340 transcript:Dexi1A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESPVGVGFSYTNTSSDLLKLGDKITGHYVPQLSEKIFDGNKAGPKENYINFKGFMVGNALMDDDTDQTGMIEYAWDHAVISDRVYADVKAQCNFSMVNVTDACNAALQEYFAVYNLIDMYSLYTPVCTDDTSSASPFARGHRKVAVHGAAPRIFSKYRGWIMKPAGYDPCTAEYAETYFNRPDVQAALHANVTHIGYNWTHCSDVINSWNDAAFSTLPIIRKLVAGGLRVWVFSGDTDGRIPVTATRLTLNKLGLKTVQEWTPWYDHLQVGGWTILYEGLTFVTIRGAGHEVPLHAPRQALTLFSNFLAGTKMPPTAFP >Dexi5B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:5B:3543297:3546898:1 gene:Dexi5B01G0005350 transcript:Dexi5B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLTKATSPDLLVDAMAPIEGLKSPSRFTIKGKDLAVDGHPFLLDVPANIRLTPASLLVPTATSAASGSFLGFDAPAADSRHVVPVGKLRDTRFMSIFRFKVWWTTHWVGDNGRDVENETQLILLDRSSSSSTSGDHRPYVLLLPIVEGPFRACLESGKLDSYVDMVVESGSTSVRAAAFRAALYLHAGDDPFELVKDAVKVVRAHLGTFKTMDEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGMVLIDDGWQSICHDEDDPSSGSEGMNRTSAGEQMPCRLIKFQENHKFREYKQGGMGAFVREMKEAFPTVEQVYVWHALCGYWGGLRPGADGLPPAKVVTPKLSPGLQRTMEDLAVDKIVNNGVGLVDPERAHELYEGLHKHLQASGIDGVKVDVIHLLEMLCEEYGGRVELAKAYFSGLTSSVRRHFGGNGVIASMEHCNDFMLLGTEAIALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAISGGPIYVSDSVGQHDFALLRRLALPDGTILRCEGYALPSRDCLFADPLHDGKTVLKIWNVARFAGVVGAFNCQGGGWSPEDRRNRCFSEYSVPLAARASPADVEWKSGKGPGVSVKGVSEFAVYMVEARKMKLLGFEDGVDLTLAPFTYELLVVAPVRVVSPERGVKFAPIGLADMLNTAGAVQEFETKSGVDGGVVAEVAVKGAGEMVAYSSARPRMCRVNGEEAEFKYEDGMVTVDVPWMGSSSKLCRVEYVY >Dexi2B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:2B:28450215:28450517:1 gene:Dexi2B01G0018060 transcript:Dexi2B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADGEWICRGEGCAGPSVLGILDRWNFPEKGVRWIAAQLLLELAIDPFSSTAGCSGASVHGWSNELEAGRQRRKARRRRRRQPHMGDDGHQHLHACWG >Dexi5B01G0030510.1:cds pep primary_assembly:Fonio_CM05836:5B:31461804:31463646:1 gene:Dexi5B01G0030510 transcript:Dexi5B01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRLAGAGRRRGTAARGLASGAPREAADAVVVGAGVVGLAVARALAMAGREVVVVEAAPSFGTGTSSRNSEVIHAGIYYPPRSLKASLCVRGKEMLYKYCAERGVAHKQLGKLIIATGAAETGKLDMLLRNAKENGVNDLQLMEGAQAMEMEPELRCLRALLSPSTGIVDSHSFMLSLLADAENLGTTISYNRAVIGGHVGNEGLELHICESKELQNHTAGSHVTPQLVLLPKLLINSAGLSAVPVAKRFHGLDQAFVPNPYYARGCYFTLSQAKSPFSHLIYPLPEDGGMGVHVTIDLNGLVRFGPDVEWLDGGEDHVSCFLNR >DexiUA01G0026280.1:cds pep primary_assembly:Fonio_CM05836:UA:55874706:55881211:-1 gene:DexiUA01G0026280 transcript:DexiUA01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGKGKGCGLLKVVVARGRNLAVRDFTSSDPYVIVRVADAEVFDWDRFKYDDKMGHAYLDLQPVTAATKLRRALQLTEGETKLRKVAPDVDNCLFSDSFVTYANGAVMLDAWLRLRNVESGELFITVKWIEAEDTK >Dexi3B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:3B:2174978:2175394:1 gene:Dexi3B01G0003320 transcript:Dexi3B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYADGFSLLYTFIDTMTLCPGWLFLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFIQIFTVLECLRCALCGMYPNVPFISHAAFIHSDLNLFR >Dexi6B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:6B:25357622:25358670:-1 gene:Dexi6B01G0018380 transcript:Dexi6B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGNSVLLKDLPADETKVNSLDLLKTMDPNDVQNEKSRGQITLELTYKPFKEEDMEKEGTEGTDEIEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGEEKKTKETLGYIDISLADVVSNKRINEKYHLIDSKNGQVQIELQWRTS >Dexi3A01G0029130.1:cds pep primary_assembly:Fonio_CM05836:3A:30513569:30518352:1 gene:Dexi3A01G0029130 transcript:Dexi3A01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRGGTSSIQLNAAVTTLKDEAAASARDFALSLVNPLKRVRVAAKDGRVHEIDRVHENLQGICGDLKEFIMLLQDCQPIRQPLPTNIFVDGQMFGRHVEKERIINFLLHDCGHLRGQLGVLSTVGDIGSGKTTLVQHACDDVRVRSYFSVIMLCCTYTIKTNGGGVVLHSKHVIGDVGIGLNDPLQLFNGSFGNRRFLVVFENMDMNKKLMFEDSLLPILRWSKEGSKVIITTNNRRVASIGTVEPIILKALPFPEYWFFFKARAFAGPVRLAAEQPAEQGDNGLKHMSFEEGLLPVLRCSKEGSMVIITTNNRQVACIGTVEPIILKALPFPEYWFFFKAHAFAGRDLEENPRLVAFGKAIAKKLNGSFFGAKIIGVILRDNPIPNLWCKKPTMADAIISAIIGDVVSRAISLLVGRFSYKESTQDKLQRISQLIIRIHSVIEEAKGRQISNHGTLQWLSELIHSEYQSFYLLDSIRCGDKEAERCDDKVHLQVSNLSLFNPAKRVRVAPRCTMRGAYSWRDNLSVDEIDRVLQRLQVMSCDLTEFIMLLQNCQPISRPIATNIFRDGQMFGRHVDKERIINFLLHEDDQSTEELGVLPIVGGNEIGKTTLVQYACDDARVRNHFPVIMLYNFSCTYDVKKNEGTPSEPLEYVQNNSFSDKRCLMVFEDVNMQRKQILKELLQSLSRYKEGSKVIITTNSKRVANIGTVEPIILTALPCPEYWFFFKAHAFAGRDIQDNPRLISAGKEIARKLKGSFFGAKIVGGVLRDNPDPKFWCKILQSNIGGDYFQGSVAS >Dexi3A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:3A:2531962:2532186:-1 gene:Dexi3A01G0003780 transcript:Dexi3A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGVGNGGEERGRKRRRREEEEEEAKEWKEQVVVAGQVLRGRGNVTCDAMRCQELQRLRTNYTRWPLSTKQA >Dexi3A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:3A:14643649:14644475:1 gene:Dexi3A01G0018800 transcript:Dexi3A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATPGGVCSCAGRTAAAAGAGCRGCALRFVAERLRDAGYNSAVCRSKWPRTPEIPSGEHSYVDVVVPTRSGKAVRVVIELGFRGEFEMARGGAEYRALVASLPEVFVGRPEKLRAVIRVMCDAARQCARDNGMHMAPWRKQRYMEAKWPGTPERVAPGGEAVAVASPEKPPSRFRASILTLDFGGRTAVEVV >Dexi3A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:3A:7134443:7135189:1 gene:Dexi3A01G0010050 transcript:Dexi3A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWKWEWDCEWDSEEEARRALAVAEQRFVTGDVEGARHHARRALDLSPALPGAEQALAAYDVHAAAAPAMARPGCWYAVLGLPHPPTTARLSDDVTHDAIKRQHRRLCLLVHPDKNRSAAAGGAFKLVQDAYEALKAIHPPPPPRDEERFQFWQAAAQDDVAPPRPPAARKEPPIARPAPRPAAAERKEPPIVRPAAAPRWAPPPPGPRPAAAPRWAPPPPGPPPQQPLCRCRYPCACAFYRPGWWS >Dexi3A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:3A:13239801:13244185:1 gene:Dexi3A01G0017320 transcript:Dexi3A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVVAVANGWCLMASLRRAGIGEVERKVQKSYWEEHSKGLTVESMMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHRKNITFMCADVTSPDLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKIGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKDGDSFELSLVTCKCIGAYVKNKKNQNQICWLWEKVKSTEDRDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVGMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSINMVSFAIERAIGRNCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKTYGQMLKDAGFHDVIAEDRTEQFLSVLRRELAEVEKNKEAFVADFSQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >Dexi1A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:1A:4910162:4912837:1 gene:Dexi1A01G0006510 transcript:Dexi1A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKMGIYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVSKFCAKYLHSQVLKTEAYSAGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKLSGMIEGFIWSPRSSDWNNQQDDWASEEGPHSDFAGPTCGSTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELAAERQRIMKAGGFIHMGRVNGSLNLSRAIGDVEFKQNKFLPPDKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVNFIHEHINKESSLSAVCERVLDRCLAPSTITGDGCDNMTMILVQFKKPVNRNKKAEVAEQCTSSADEAEIQ >Dexi8B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:8B:694657:697335:-1 gene:Dexi8B01G0001010 transcript:Dexi8B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQAAADDRRNSYPQARIPLPRLIAFALLLGFLLTYTSFKSIDEPKLGLWQTLASKAKGILDEDALAHKFEDFRKERPRSNSSADQAPQSRWSFENHWKTGGEAAARIRPEALSASVNQLSGRIKNAFEVANAMAAKAKLLLRELKSIKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDDLIRLQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLGDGDMEDDDPDDESNLLYTENMVPVVEENSGDEELSPVPSRPESPVIRPGEASSPMSTNSQNPSASDTA >Dexi1A01G0032290.1:cds pep primary_assembly:Fonio_CM05836:1A:36910138:36911712:-1 gene:Dexi1A01G0032290 transcript:Dexi1A01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDARAPPLPGAAEEYVRDSIHSSLGLPVSDRSLRLKLLASEEQRHRLQDHVFSLEEDLRAAQRRIDLLKAEAAMNAAGLRRCVEDKEAVATAYAQLNAKCTKECELYERDLERAMESCDDLARENTDLRARLHQNADVAALEARVQELEKDKETLKMNLDTAEAEVITLSEDNRVLDEENKRLLGLLEKERQRRSERKKSASTSIKNKRKSSSLRDGSPAGRALDFDVADSSRHPLSPLPHNSPPDYRAHKK >Dexi9B01G0049220.1:cds pep primary_assembly:Fonio_CM05836:9B:47973685:47975356:1 gene:Dexi9B01G0049220 transcript:Dexi9B01G0049220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKHPPTPRRHLHVARCSPDPSPPPPPSNQQNNKRDPPLLVSLLSAVPDWADAVQERRIRDRRPLYDHASWRAHRSSRRHLRHFLSSLSSRVILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAAAADLAGMVRPPAPADDDHLRRALINYILAFPVALKCHIICDSDVRGDLQGLLAEDDLNVVLASKHRPRCIIEFISQTLHMLDLDEQKRTVMVSKLSCFLEGIGVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWNECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDGIKDVNEVQNSVHTRLVAKSKGRKGTDNGWPSSKREEEAKID >Dexi1A01G0031090.1:cds pep primary_assembly:Fonio_CM05836:1A:36232870:36237930:1 gene:Dexi1A01G0031090 transcript:Dexi1A01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGSGGWLAWRYIELRRQTDREAVRKKVRPAGGIYAMLLEPAREAGTETDGRMKERDAKGEGTRGRVAPPRHISATVCPLKFCCTKLVINAEWWQAQLTSYSLPSRYIGDSMDGRRNTNFFRLASSQRVSHVLQKEPVEEEEEDRALTDGDQLVGRWIERSSGRTRWLFRTRKYNCNRKPPMIGSHPSSSSDPANQPTGDDACMFAASAIDDHRSKSNAHVRTAGGRWIGKPVGRAADAGGRDGRIGEAPRNSTTVAGRLAFLDPSRLHSDTETACQEMKSMCSGGGDPGPTGYGTAAGSRIRTGCALSVSRQPTSERRGPSAAWRLPSDLSLLNNNNNMGRVAPPISPTLAPHPPKISRLRWPRSQSMAATLSLSMARIDDNAGVRRCGHCRALFHASCAHLGSRRRQRLCCEDDGRDTQEDRHRHSFSESVSLSLSLSLSLSLSLSLCLLLH >Dexi5A01G0033360.1:cds pep primary_assembly:Fonio_CM05836:5A:35716779:35719327:-1 gene:Dexi5A01G0033360 transcript:Dexi5A01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGAPVPPPPQPAYYPPPPPPAPRRGGPRSLLCFLFKIVAVIIIVLGAATLVLWLIFRPDAPRAYADSAALSRFDLGGNSGGGGNLLQYNLTVNIRLQNPNKFGISYDYAEAQAFYDGDRFGFDPLQPFYLGAKSDARFTATFAGSAVVEDGDARRTYGRENGEGFYYVRVRVYSDLSFKVKVFRLRDYRSKITCVLRLRAPPAGGNANATAAMTTLGTSVQTFGPDEGANIFRGHWCGIAWDWALGTTHGRGTLGSNFAKRHAQVKLGPLGKAGTQRPHAKTPILLGLTHFSTADATIHLRLRCPPAPHKIDHLDQPYYGPPIPPDTPPMAITAVPPPRRDAYALLCRAFRALTLVLIALGVVALVLWLVYQPSSLRARVDSARLTRFDLTDGDTRLAYNLTVGVSIRNPNRKQAVMYRRLEAVALYGGERFGYADLPRMRQPRKSTAEVTPGFHGEGAVVSGDAAALFRREKMEGFFGIGVRLHMRVRLKVIVVDSVEYRPDVDCYIRVPDPGNATAMAQGFTPTQCHVDDFS >Dexi2A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:2A:11606388:11607022:1 gene:Dexi2A01G0010450 transcript:Dexi2A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTHRAPSITLLLSLLVVVLLLLLVVASPRGAAAAPNTEALSVLCNGASYGAGDPFAASLAYVLSELVSAELL >Dexi9B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:9B:14944952:14945724:-1 gene:Dexi9B01G0020180 transcript:Dexi9B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDSDVVERCLAAVNALASYHFKERLGGRGGLNSQFMESEGSNSKVQESISSHFLRLLLQILLFEDFRLELAGHAADALLPLLFCEQELYQRLVHELLEKQQNPIVKSRLATAFHNLTSSNNLSSSLDRPNRQRFRKNILSFLVDVSSFMQIK >Dexi7A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:7A:15525120:15527354:-1 gene:Dexi7A01G0004580 transcript:Dexi7A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAARRRQELAAEGQRHLEETIAAAFQILVSMNDELCNAGLWSSSSVSAAAAAAAAAGPQHQHSATPPPPHSTDSDAADAGGPPGPGGSLDEARHRYKSAVAALRASIAAVSSCAQDVGSTESEADHSEIERLEERASALRKEIESKNKHVKLLMDQLRELITDISMWQSPCSV >Dexi7B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:7B:17203985:17209841:1 gene:Dexi7B01G0009670 transcript:Dexi7B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQPCAASTTAPAARAAVRGVSRRRVVVEGAASATHGDEEATRRHSMYRSPIFFPYFLFLESSRSSSMTCDLGEISSKTLLLVSKRKLIALSAFCFSLHSSRYLSALALGEPSIKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLQPQLNVTGIVEIPEGNGSGVVWDDSGHIVTNYHGTLIWDDISPVRKMTNSLLYLLERIQKSFEGKLVGADRAKDLAVLKVDAPTDLLKPVNVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTDTSASMLFSQDQCFFDAAGTSAGVGFAIPSSTVLKIAPQLIQFGKSFFIYYAQKVIFHLCLKVRRAGLNVDFAPDPIAYQLNVRNGALILKVPGGSAAAKAGLASTSRGFAGNIVLGDIIVAVDGKPVKGKSDLLRVLDDYGVGDQVTLTVRRATETLEVTLPLEEANI >Dexi8B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:8B:19155564:19160952:-1 gene:Dexi8B01G0010580 transcript:Dexi8B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGCFLLEVMRATGLDYAPNDPIFSRHGAVYMVPYIRRDMLMLENQLPLLLLERLIAVETAKPPNSDATNRMVLRSMSPRLMPCGSADVVWRFERFADGANELLKFIEFGGTPRKYMFFDPLIGNLLSGKSMRSRILTCLLAEANQVQRKLTNGHLERSK >Dexi1B01G0022070.1:cds pep primary_assembly:Fonio_CM05836:1B:27805183:27805383:-1 gene:Dexi1B01G0022070 transcript:Dexi1B01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCVCRVSSAGCRAMGVAGRANSASSLRSRRCLRMRPSYAPFSEPFTERPAPAAVSSPAAAASRA >Dexi3A01G0026720.1:cds pep primary_assembly:Fonio_CM05836:3A:23099495:23102001:1 gene:Dexi3A01G0026720 transcript:Dexi3A01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAAQLDAPTNIPPAPSIAAAPAGIRVENCYVFKSRLQEYAQKVGLPTPEYHTLKEGPSHEPIFKSTVVVNNTMYDSLPGFFSRKAAEQSAAEVALMEIVKSVSATETKSIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFVCTVEIGGIRYIGAAARTKKDAEIKAARTALLAIQGQLEGCANGGTKYIVVPGQRQVKETDKKPTETPKSLRVKKSGGKNKWNKRKFMRKTDQIVDTEKDGAGEALDVHDSDVPIQAAITEEPPNDSIMPHPDEESRRVEHELLLPVKETKNVKQGLAWLLHHEEARRVEHDLSRDTAMVQSNKEAVILQCEDEARIMELAPPRDPATVQHKEDGTIVELDPLSSAEAVKCNMEARTVEQESASDYVALQSDRDATDVEEPPSHTAMMQREETETRKQETPQSGELVQPN >Dexi6A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:6A:18443191:18460961:-1 gene:Dexi6A01G0012210 transcript:Dexi6A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPESAAEAVALASDAPAPEHHWSQRGTAGLIVLNWPGNNASPYLYDSIPDIFRNRVQLLHDRSTTDFDAWVSLISAAEGALADDIEGISLVYNSFLLEFPLCYAYWIKFAAHKARLCMNRDVVAVYEEAVQAVPHSVDLWVSYCGFGICAYEEPADIRSLFERALLLVEKDYLCYHLWDKYIEFESSQKQLAQLATIYINTLKFPTKKLHMYYESPEALRQYLSAGERLYKRSSRIYKEICCFEASIKRPFFHVKPLDDDQLENWHQYLDYVEKNGEFDWAVKLYERCLIPCANYSEFWIRYSEYVDAKGGREIADHALVRASSCFVKQGVPTFCMYHALFKEQIGDASAARSLFVKARSNFTSGFYANINRLANMEKRMGNTKAASEIYETAIEDAIQKKNIELLPDLYINFARFIYAASHSIVEAKEVFVQGINRVPCKPLIKGLIQFMSTHGGPTEIPLLDSVISNAVTPGSDVCTALSPQDREDISLLFLEVREFLIVMPLFTFQQDKVTEASASSHQEILCSKSDLPCGSSMPKEGSSSDPARISPELEERQPVEVQDGRNKQMDHKQQSEASQTDGSKLRSGEQSELSYPSTPQSQR >Dexi1A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:1A:12757245:12764819:1 gene:Dexi1A01G0012660 transcript:Dexi1A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVVATVPCVAPPPRASRPRCVRLPLRRGGFPARAAAGSSASSAAYSSSSSLSAAAAAAPVYAPTPQDRPLRTPHSGYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDNLVANADGRVVGRTIGDGGFAVGGAAVTGAVIEEDADTPITGFAVATCVAGGDIVDVDGAVDVTMDAVAAADGRPVAGVHLMLSPRPQPQTIQCNVFSGASGEVSLTAAGGLRKIGLGDTYESPSLVEIEATTTEPGTALRAPTMEAGLVPACKDTCYGDLKLQMWEKKYDGGKGKMILDARSNMAALEVGGGPWFNGWKGTTVVNEVVNNIVGTPIDVENLFPIPFLKPPGL >Dexi5B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:5B:513373:513384:-1 gene:Dexi5B01G0000790 transcript:Dexi5B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMG >Dexi6B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:6B:4392265:4392639:1 gene:Dexi6B01G0005080 transcript:Dexi6B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPTISLATVDAAARRRDGPSPKGRPGSPMAAATARVVVMRRPTAPSSPAQGGGGKVHAAPARVVMRGPTPPSSPAEGSGGKVHAAAAARVVMMRGPAPPGGGPAEGAGGHGGSVH >Dexi9B01G0022990.1:cds pep primary_assembly:Fonio_CM05836:9B:17885163:17889053:1 gene:Dexi9B01G0022990 transcript:Dexi9B01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSLEENSLRMDVTTSEEYASQSKLLQEFMNFPSIDNAWVLKTNNKGISTAMFSISQPDLLANNTRKYAMYSHIIRGGTNSLDFQWSPFPIEMTGVSVTVPSPSGSKLLVVRNGEKDCPTKLEIVVQSHLEKEIHVGQSMHGPLYTDEWFHGISWNQEETLIAYIAEAPPQPRPVFSDSGYRKKDSSEEDCNTWKGRGDWEEDWGERYSKKGRPSLFVLDIASGEVRAAEGIATSLSVGQVVWAPPSSSGRQKYLVFVGWLEHNGFQNTARKLGIKYCSNRPCALYAIASPYERHDDAIKPASDGKTDSAAAALNLTASISSAFFPRFSRDGKILAFISAKQAVNSGAHNATDSLHKINWPSDWNMDKQLTVTEVVPIVMCPEDGCFPGLYCSSILSNPWLSDGCTMILSTAWRSTEVILSIDVLSGKVTRIAPENSLYSCSALAIDGDNVLAVSSSPIDPPRIRYGRATQEGQAHRWTWDEVGGPLIGAGSKVKSLLSHHSVTILKIPVANPSEDLSDGGKLPFEAIFVSCKDSSRSPTVVILHGGPHSVSVSSYVKSSAFLASLGFNLLIVNYRYEAPRVLVRRLYNRFLEKLDLRHVLQLLGFSAFVHDFGPKFLTYLKQDVQDCLTAIDYVISEKLIDASKVAVVGISHGGFLTTHLIGQAPDRFVVGAARNPVCNLSLMVGTSDIPDWCYMVACGTEAKQYASESPSPDHLNLFYQKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGGEVKIMMFPEDIHEIIIPRSDFESFLNIGVWFKKYLK >Dexi5B01G0022720.1:cds pep primary_assembly:Fonio_CM05836:5B:24979199:24979687:1 gene:Dexi5B01G0022720 transcript:Dexi5B01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELALPLSTILLVMTSPDQFRDMRESQGGAMLSAAIAIYLTFQHFNGAGSLRNAFRRASIVTTFSIICMTTVTLMLAF >Dexi9B01G0035340.1:cds pep primary_assembly:Fonio_CM05836:9B:37047597:37051035:-1 gene:Dexi9B01G0035340 transcript:Dexi9B01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKTGGVTGEVVKIHANETMPCDMVLLGTSDPNDLISFRSFIHPYISFSHFAESLRQSGKKPKINVDSALMALLNQPLIGEERIAAHDFFLTLAACNTVIPVSTESSHDMVNGVDEIGTIDYQGESPDEQALVTAAAAYGYTLVERTTGHIVIDVLGNRLRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKVEIGDGLYDSLHAKIREATENHLSGYSSEGLRTLVIGSKNLTDAEFIEWQERYEEASTSMHERSAKLRQAAGLVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMRSIIINGSSEFECRRLLANAKAEFGIKSADSRRDSQRSEDLYNGDISKLRSSNGHMSENAVQNFELTGVIAGEKSEYCEKVTFDDTELALIIDGSSLVYILEKDLESEV >Dexi7A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:7A:4844227:4845804:1 gene:Dexi7A01G0001830 transcript:Dexi7A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRVILLLTLICILCNKGTSSSASSDVDAFLGCLSADIPPRLVLTPATSNYSELLLSSVRNLRYVLPGTVRPLAIVAATEATHVQTTVICGRRHSVRVRTRSGGHDYEGLSYASLDPQQQFAVLDLAELRTIRIDVERAEAWVDYEKAKVWGEKYFKGNFERLAAVKGKVDPDDFFRNEQSIPPLPAAKR >Dexi6A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:6A:28336437:28338664:-1 gene:Dexi6A01G0020940 transcript:Dexi6A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLAALPSQLAYPGRLRRGRAVLSSTARPHVILHRAAAKGGSRCRLAITCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKAAKKLLTFAGPRAWTTQEVLSSDTVFSAPMNETYQLLGVDANDILSLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >DexiUA01G0017180.1:cds pep primary_assembly:Fonio_CM05836:UA:36303020:36303762:1 gene:DexiUA01G0017180 transcript:DexiUA01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPEDQGDDLFSLLRQKFGTGRIVPKIKGISGPTTRRFGKNMERTPGRIVRRGPVDIDTWNQAVMADEEGFDLLPTDTFVAVLVRLPTSARRRSRLVCKRWRDVIDERTAERQVRTKILAFNSEWRSSRVVVFDDQHGRRRHECRLVDTILEVVELLNVLSIILL >Dexi1A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:1A:204953:214025:-1 gene:Dexi1A01G0000320 transcript:Dexi1A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSSSRKRAAAAAAAAAASSSSSASKRSRPNTRRSSSSSAAPAPALAPVPAMEPSPSSRRRSRAAADKGKDPDPSPSSDPSPPAPDDDSDAPFPQSFTSASTALQGXXXXXXXXXXXXXXXXXXXXAPDDDSDAPFPQSFTSASTALQGLLRRLGAGLDDLLPSSSSAPSSATSAHLKRILAGLQAHGDESRQLQSLMQLCEMLSIGTEDSLAAFPVDAFVPILVGMLGREDEPATAGASPEVMLLAARALANLVDVLPSSCSAVVHYGAIQCFCARLLTIEYMDLAEQSLQALKKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRIAEAFAHHPEKLDELCNHGLVAQAASLISVGNSSGQASLSASTYTGLIRLLSICASGSLLAVKTLLLLGISGTLKDILSGSGLVSGTSVSPALTRPADQMFETVSLADDLLPHMPPRIINLPAYYHAYKGSSTKKTASTKQDGSTENERSGRERLLREHPELLQQFGMDLLPTMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAETIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICSESSNTLPSQVPQQDKDNDSAMPSRSRRQRRRGGAVPAENCSLDESKSSNLGVTCSTTSTSEVPNTSLRFAVSDHAKSFKDKYFPADTDSSDIGVTEDLLKLRALCAKFSTAIENAKTKVKGKSKAISANCFDISIDIEEQLDEVISEMLAELSKVNGVSTFEFIRSGVVTALLDYLSCGTFGKEKVSEGNLPQLRQQALRRYKSFISVALSIDHGSDETPMALLVQKLQSALSSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLSRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSDVASKPIVPSANNSESGVPGTTAGASLTAAMAHSGQRPMTRSKSSAANSDTSKRDAHDGSTSTAKGKGKAIVKPNSDESKGPNTRNTARQKSSLEKDLEMKRARGHSSSEVLQEGSLPICSQDGVHDMKLGDADEFNIGSASFSQAQQPSGSIARNTSSRGPDSSEFRSASTFGSRGAMSFVAATMAGLASVGGRSVRGSRDRRGLPLGGSMNDHNKLVFTAGGKQLSKHLTVYQAIQRQLMLDEDDEERFNGSDLSNDGNRFWGDVFTITYQKADNQVEKGSQGAQGASDDFAEGKIRALDELYRTGAKELDLFDIVSFDSEFGKTLQELRVLVERKKFLESTPGENQLEVGDLRFRGAAIEDLCLDFTLPGYPDYVLKEGEGSTIVNIYNLEEYISLLVDATVKSGIKRQIEAFRSGFNQVFDISSLQIFSPQELDYLICGRQEIWEPESLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALSPKLTIVRKEIMRRKLLYAILEGRGSFDLS >Dexi4B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:4B:4835378:4836165:1 gene:Dexi4B01G0006930 transcript:Dexi4B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRFRTANALFSIVTRQQIAPSSSNMYRRGRGLLACRLDAVLEPRGRPARTRYQARQDPIKAVSFHPQEDWPSNEVAGLKIAYRSEWPSRPPTCFLSIPVGPGIPTAM >Dexi3B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:3B:329082:329753:1 gene:Dexi3B01G0000450 transcript:Dexi3B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTIVLPAAAAPSPSPVPTAVSSADNNDANPAAAGAFLSRLLESTRRALSGARPWAELADRSALSRPDSVADATSRLRKNLAYFRVNYAAVVALSLAAALLAHPFSLAALLALLAAWCLLYILRPADAPPLAAFGRTFSDKEVLGGLIASSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEPDQGAGSGNPLLSFIAGATGGRV >Dexi7B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:7B:18622983:18623614:-1 gene:Dexi7B01G0011610 transcript:Dexi7B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRASPTFLPTTTSAPSSSQAPTPSPFLGKSHRRGGLISLAAARRSVMAAAAVAPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNIDEVEFFSNSHELLLLPPGN >Dexi9B01G0029510.1:cds pep primary_assembly:Fonio_CM05836:9B:32037259:32038948:-1 gene:Dexi9B01G0029510 transcript:Dexi9B01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVNLYRIEPWDLQEHCKIGYEEQSDRYFFSYKDRKYLTGTRTNRATLTGFWKATGRDKAVRDSKQGGGLIGMRKTLVFYTGRAPNGRKTDWIMHEYRLETDENAAPQARPIYIYSAPHLPFQSVCIASRSFHSYVHLHAAGLAVAAANVRRSRRREQMDEEGWVVCRAFKKRTMHPPRSVAGAWDASYSYYHDPVLAGAAHLKQESPELDAAAAASALLQYSNRLAELPQLESPPLPSQGTQQLALAKGEGDSSATTDWRALDRFVASQLTPGEEHAGHGQGSQSQQEYCGKASLGTHAGDSREDGTDMVALLLLLDGTGVRHDEAGLLGSVADPAFLHVNAARYGSQQEP >Dexi3A01G0029600.1:cds pep primary_assembly:Fonio_CM05836:3A:31793441:31795580:-1 gene:Dexi3A01G0029600 transcript:Dexi3A01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKHFSKAGVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYITGTTNPTALPAETVLKMATINGAKSVLWDNEIGSLEVGKKADLVVVNPFTWSMVPLHDSIANIVYCMRTENIESVMCNGRWIMKDHKIVNLNEEEVISSAVKRANDLLERAGINLPKRMKYV >Dexi3B01G0037450.1:cds pep primary_assembly:Fonio_CM05836:3B:40165030:40165619:1 gene:Dexi3B01G0037450 transcript:Dexi3B01G0037450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRPATNAARRLAARATNSAGSSTHPCHEHARISTRPRPPLPLLTPLAAVASVGVHDDDRRLEVGRRGVLEQLVPDPDVGRVEVVDVVARVLPPPAAPREPLLLLVVVVVVVSSSGSGGGGGGLLLEHVAEARVLDAPAAAVAAAADAAATPDAAATAPWTRPAPAPPRTWRDL >Dexi3A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:3A:8250559:8250897:-1 gene:Dexi3A01G0011520 transcript:Dexi3A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQPRVSIQHPELTEDGLKLLSSRSSSEPLYAHPDAAMVLATNDPRPLSNSSEWGRGWADPEIRCQRLAGRKTTGRRKRTRHSSPSGQQQPTGFTTARERLAAILSKKRRR >Dexi3A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:3A:54772:57038:-1 gene:Dexi3A01G0000060 transcript:Dexi3A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAAGNAAADAEAPPNKAAEAPSRAASANHRPSSAAAAKPPASPTGARASTSKPAGPIGGVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHRASGEKLACKTIAKRKLAAKEDVDDVRREVQIMHHLSGQPNVVGLRGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERAAASLLRTIVQIVHSCHSMGVMHRDIKPENFLLLSKAEDSPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASEPWPHISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDTEIQQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGCYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNNKKRRDVVL >Dexi5B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:5B:21646908:21653338:-1 gene:Dexi5B01G0019340 transcript:Dexi5B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGWQIASIKQVLAFGGEDEDTDTALKFAYESLSLPHPRATPDAPLAAACAGWCGAPGDGGVDRISCLPDEILRNVVSCLPVKDAARTGVLASRWRGLWRAMPLVFSDANLLQGCREDPLWRPGLENTLGVTNEVSDILAAHPGPFRCVHISCCYLDMNREKIKGWLKLVADKGVQELAFINRPWPLDLRLPTTLFSCTSLTHLHIGAWKLPDTATLPSAVAFPQLQELFLSLITMKDRDLAFLLDISPVLEVLTIIASQTDVHLCLVSRSLRCLQLGMSSLGDIAVADAPRLERFFLLMTRRNGRSKSSMIKICNAPNLRMLGYWPLGQHELQIGNTVIEVGTKMSRSTIIPSVKILALHVHFESRNEVKTVPSLLKCFPNVETLHIQSVKVDRPTGNVSLKFWLEACPVECVQHVKKLVIHGFQGNKNEHAFIKFIGERACPLVYRSTCSLPCPLPSAVVVSSPVSTVHSNQFPHRLLHGSAPDQNPRLVPAPAAMDLARQCAFRCLPDRAVTRAGALSAALLRMVASRLPAKHAGRFTALSPRWRRVWRSVPLAIDDTELAIAGVRETIPAVDHLLAAHPGPFRSVRLTFCFFGGFDGESELARWPRLLADRGVRELFFINPPPPIDMALPADILRCAELRRLYLGFWQFPDTRCLPNGVGVFPRLREFVLLNTRIEDRDLDHMLASSPALETLAVVLSYGLPRHVRVRGQNLRCVLFWLSMADELAVVDAPRLERLLMWLTTTCGLDEPDSDSDSDDEPTIRVRIASAPALKVVGYLDTKLHQLQIGDTVIEDDTEVSPSFMIPSVKILALRANFRVFTEVQMLSSFLRCFPNVETLHVEFAMADRPTGKHYGKFLSKLSPIECLRSHTKKVVLHEFRGDLSEVVFIQHLTQRVNQLQHLTIVLSKDILLSVDNMRVVLRELARPPWASKSCTVLLVGPKHAWNFHRASDLSIDDPFDSEHGEEFFCFTKEGDQTVWKHP >Dexi1A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:1A:2537033:2546504:-1 gene:Dexi1A01G0003520 transcript:Dexi1A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANNKPPRVNWPQIANAFERSSSKDDFLSSSFLFSLPTQRPNPEANHEGMLSLRSSACKIQGPERLQVPWLEKAWRSLCSTQVACKSYLRPGLSAKVTDCDRGHARTYGEGSYNINKMATVPGNRVLSQESTHQPNGSGSVANNSSHRPVGIDSSTRTYQSSHVVQADIMRARNQYNYVRTDAELHQAAPAAENMCTDDKLDTMDDDDVLASIDVDQIVMEHYQAMNTPRGSASQDMSTPPGTKCNFNGMDETNLPKELSEPCNHQFKLAFCPEAMVHLQEMKDEMIAVANELLDDDGELDLQRSEELRKKRLHLKKQIQLLEEYMSRSTQDEERQRSHSMASTPAIQGHLPPMTPGNTFTVDSSRFQSQVHIRNGPGNSDLFYSPAPYSCSDNLSTPLPSVWREYTPKFIDINYTEGSDDKRWSSTSFPWTKELELPALISEGLTLVVCPLVSLIQDQIMHLSQANIPATYLSASMEWPEQQEILRGLMSCHYKLLSNSLTGLLDNLYSQGHLSRIVIDEAHCVSQWGHDFRPDYKNLGVLKKNFPKIPVLALTATATARVKEDVVQALGLANCIVFRQSFNRPNLRLVPVSVSHYHGSMDPVDRTRVQKQWSKDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLPSSCVLFYQYSDYIRVRHMLTQGVAEQAAAPRGGYPNSHEQALKTHKDNLLRMVSYCENDVDCRRLLQLIHFGERFDPSLCAKTCDNCLKDLQWVEKDVTNIARQLVELVTMTGQSHSSSHILEVYRGSVSQNVKKQRHDTLPLHGAGKHLSKGEAARIMRHLVTEGILIEDVKRSDNIYGSISSVLKANHSKASELHSGKHNIVLKFPAPDKASKMGKLDASLFPQINKPVQQQSEVDENLASMLFDALLSLREQILDECSEGYHAYHIFKKDTLKEMSIRVPRTKEELLEINGIGKTKLKKYGDRVLATIEDFLSKHPNPRRNSSSGSNEAAKKRRGSTTSYAGGNGDDDFEGRTVQSKKRPAKTRNTKQGVSDAASMVQGARCIDADLDGVEVLDDELCSVQKPVASGRVLPKWAPAKAKSSSVPPSNLFQEFGYVK >Dexi3A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:3A:11963822:11964813:-1 gene:Dexi3A01G0016030 transcript:Dexi3A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSIHALCDDSLADILIHLDAASVVRCRAVCRSWHRFATATSFLVAHAARRQREMILGTEHGLSRSAIVPPSLDLDMVAGDGHRYLFDPRPRAADGDGCIELCASLDGLLVLEQRRHCPYYGTCNASTYIICNPVTRQWTNLPALCPATVATGSRFFASVYGSYFHASSDEYRLLFGCVISPSEEEPYFCIVSVGRTLPRRLMSCGPLLDEDDRNNTGKGEIMAFDTVSETFRLISLPPVLGRTRVWRDLLELDGELSVAIMPNYVTLDIWALQDYDDEAAENGRWTLRHSCRRRHCWIISASR >Dexi4A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:4A:5431474:5432218:1 gene:Dexi4A01G0007330 transcript:Dexi4A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSAELLENSSKFSQLKHLKLVLFLLDQHPEDFDNILSLASFLRAAPLIEELEIHFNVSGRGNAETGRLRNLPCPYKHLRNICISGFKGFQGQAELLAHAVENAPALEVLSIDTASKNGNPLSQNVEPLGADIARSCLEGRISPKTKLRIDNSISEGLHQEWVLVEP >Dexi4B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:4B:3325371:3326995:1 gene:Dexi4B01G0004660 transcript:Dexi4B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCVRGRAGGRVVEAAGSLPLPPPPRMAAANSSATAAAASIRAHLARSASGVDAQPSPRSLLSRILLRGGGGDGGSSGGGFGCRVRLPRRYGGGLKEERKEGSEQGETPRVKVVAPPPPPEMPLDTPRSSLGRKKPEEELVSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRQASPARWKENATNHSAGAGPAASASQESNGSISSASATTTAVKDPIAFPAADADVASNCSRTTTADDTNAVSGDPTAAAVVIDQLEAELQAELQAELGRMQMQRAAGTDRMIPPMQGLEVRILPLLKVKTKATDNTSSRRRVVDDGGDANKEDGEVVNGNNAEEQEEVDDEEEEEEYEEADDEDEGYDEDGTSPPHGGVSARALERRLHELLQKRQQERIVELESALDNAQRRLHEKEREVVWWRDAAKLVTHRRDEQQSRRFVR >Dexi4A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:4A:13148173:13148514:-1 gene:Dexi4A01G0013510 transcript:Dexi4A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFELVPPASPRSLSSAPRLRRRHDAPRSYREPIDGFDVQGWPCASLAQPPSSCSLVCLVPMKATITDVAKAKASVVAVVVRPIGGGDGRRSGHGVRDDGGAVRAERLNGGED >Dexi7A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:7A:3402394:3404034:1 gene:Dexi7A01G0001330 transcript:Dexi7A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKTESSAAATTTSSSIPEHGGGAHVFLVPLPVQGHMNPMLQFGHRLAYHGLRPTLVTTRYVLSKSPTAALPRSIHLRRFDDGGMASCSDPVEYCRRLEAVGSETLARVIETEARAGRTPAVMVYDPHMSWARRVASAAGVPTAAFMSQPCVVDIIYGEVWARRVPVPMVDGSTLRRREVISVDLGVEDLPPFVVAPEKYPQYLKVSIMQFEGIEDADDVFVNSFHHLEPLEAEYMEATWRAKTIGPTVPSFYLDDGHLPSNKTHGVSFFNSSEPAIEWLDKQAPCSVVLASYGTVYSLDANKLSEDLRGKCKEKGLIVSWCPQLEALAHNATDQPTTAKYVESAWGIGRRMQLDEKGFIRSEEVEKCIREVMGGERRGEYRENAAKWMEKAKEAMQKGGSSDKNIAEFARKYLSV >Dexi9A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:9A:7058160:7064048:-1 gene:Dexi9A01G0011330 transcript:Dexi9A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTVLGDHGGGGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDGGHEYAEFLHAPRKRFSDFAAVRKEIADETDRITGKTKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVHDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMLSARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIVAMINKTIDEIEAQLDKLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHLVLKELVRRSIAATDELKRFPTLQSDIAAAANESLERFREDGRKTVLRLVEMEASYLTVEFFRKLPTEPEKAADKNTPKKQLSAMLDEDPALMEKRDALVKRLELYKSARNEIDSVAWK >Dexi5A01G0028290.1:cds pep primary_assembly:Fonio_CM05836:5A:31620234:31623068:-1 gene:Dexi5A01G0028290 transcript:Dexi5A01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLAGGVGPLHCCACASSTPTTARPILDATPRRSLRVRASASPPLAWPAIEGRDVGLTVTTRRGRMLPVLKGCSLHVPPGQLWMLLGPNGCGKSTLLKVVMPTVESDVAFGLGKLNLPLDEVKSRVSKSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEHDQMGVIKAVRNSVAADGEVAALWVTHRLEELKYADGAIYMEDGQIIIQGDVSTISRFIKRKQARYFGHFEL >Dexi5A01G0022620.1:cds pep primary_assembly:Fonio_CM05836:5A:26749781:26750904:-1 gene:Dexi5A01G0022620 transcript:Dexi5A01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEQGRPGRGGNGVARGGEEEEAAAGGLGVELHDLRVVADGGDRAAEWRGGNDVGGEIEAADHRTTGGGGGGGGGCRGGPGERGVEREAAVEAVGEEVPLRRDGDGGGHRRTEWGLVAGCEARRERGRIARERPGLGKKVDSEESRA >Dexi2B01G0032670.1:cds pep primary_assembly:Fonio_CM05836:2B:40529119:40534305:1 gene:Dexi2B01G0032670 transcript:Dexi2B01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTHLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKVWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >Dexi8A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:8A:22481572:22482457:1 gene:Dexi8A01G0012740 transcript:Dexi8A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSAAAAAACVVFLVTGALALQQPRPYPSYWLPHERDALLVFKQAITSDPAGILASWPERDDHHRHEQDCCRWRGVRCSNKTGHVLELDLRNVLILEDDEYGYPLVNGLVGEISNSLLSLEHLQHLDLSMNNLEGSTGRVPEFLGSLENLRYLNLSGILFSGTVPPQLGNLSKLQYLDLSAMGDVRSTDVSWLTRLQFLLYLDLSWGVVFTVDFPPR >Dexi5B01G0035040.1:cds pep primary_assembly:Fonio_CM05836:5B:35140648:35142893:1 gene:Dexi5B01G0035040 transcript:Dexi5B01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPAAAVARNRKVVLRGYIDRAPREDDMELVDGGDVALRVPGDAGGGHAVLVRNLYLSCDPYMRGRMRDFQGSYIPPFKPGSPIEGFGVGRVVDSTHPGFSAGDIVSGMTGWEDYSLITKPEQLTKIQPSDIPLSYHLGLLGMPGFTAYVGFYEICSPKSGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKEKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRVHGRIAVCGMVSQHGVTAPSGIHNLYTLISKRVEMKGFIQSDYAHLFPQFVDDITKHYRDGKIVYVEDMSVGLESGPAAFAGLFSGKNVGKQVVCVSQD >Dexi3B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:3B:10311136:10314866:1 gene:Dexi3B01G0014260 transcript:Dexi3B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSFPSHYPSWWAGASAVSCPSLPSYLAAAAAPPRVASVMADAADALSGSTGSSLSSFFSLYLCFSSLTLSLLALDSNDSTSLPARSKVQAFLEAARAGDLDSLKDVAAALDEEGTGAAAVAAAVRDANKRTALHFAAREGRTDVCQFLIDQLGLPVDPKDDDGETPLIHAARQGHLDTAKYLLDHGADPSVASSLGATALHHAAGIGNTELMKLLISKGVDIESESDAGTPLIWAAGHGQQDAVKLLLQHGAKPNTENEDGATALLSAVAAGSLPCLEVLIEAGANPNITACGATPLHIAADSGNMGVIKCLLKAGGDPNTCDDSQLKEATSLSKPQPVEVPSEAKKKSLEAKSRGDDAFRRKDYLVAVDAYTQATELDPNDAAVLSNRSLCWLRAGQAERALEDAKACRALRPDWAKACYREGAAHRLLQNFEEAANAFYEGVQLEPENKELVSAFREAIEAGRKSHGVDKPNSAQ >Dexi3A01G0031650.1:cds pep primary_assembly:Fonio_CM05836:3A:36031000:36031436:-1 gene:Dexi3A01G0031650 transcript:Dexi3A01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSLKEEYERKRAEDEKAKKEREAIIQAKREQREKSEVKRRELREKMFKKTRSGQPVMRHRIEHLLETALQS >Dexi8B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:8B:2355003:2355782:-1 gene:Dexi8B01G0003170 transcript:Dexi8B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSSSAYPPDHPGAKPPPPPAPAMGYPANPTPNGXXXXXXXXXXXXXXXXXXXXXXXYAPHPPPPTCMRRLLAAIVGAFLLLGAATFIVWLLLRPRAPAFSLASLALSRVAYSPSNSSLSASFDAVLLAANPNSKLSVTYFSPLASVSFAPSSPIAVASLAPFSQGPGNDTRLAFRLVVDNAYVGPDDAAPLKTGDGGAVDVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVQVVFRGKNSTEAAFNGPPKRCDVVV >Dexi5A01G0024440.1:cds pep primary_assembly:Fonio_CM05836:5A:28305131:28306519:-1 gene:Dexi5A01G0024440 transcript:Dexi5A01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIINGAVLPRYAGPAAATRTSDGDGAAAANFDASGEKFVDSSIIEAVELRTVSDGFVIKMRDGKDLRMRCVQNNPRVLRLRDSAPHHAIVLKMEATFCSRLLSGLETPSIMLLAALRNIRITSLTFFFLPRPTIYNVSIEMTKKDGNENGNEEDTISFNLKPSNAINIAFRCNVCISVFASFAIMHST >Dexi3B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:3B:7845842:7846591:-1 gene:Dexi3B01G0011150 transcript:Dexi3B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLNAEWSASEIAMVRSLIARDNATYNNHNVIVNDIQACFPWKEKDQVIELYVELVVEMIQLIHGRNNHMMQGKNSRYWTKGEHRLIFFFVYIDPFHPIHTDFSHCRQFLHGLYVYGWGNWADISEYFVTTKSPTQICSHAQKYINMLESTYEKQHYNTNTVRLHDDEPSVQSNFGSGQASSSQGHLD >Dexi3A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:3A:16475596:16475905:-1 gene:Dexi3A01G0020770 transcript:Dexi3A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQWLEAMLPLGIIGGMLCIMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLIEQSSGN >Dexi5A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:5A:5899430:5902061:1 gene:Dexi5A01G0007930 transcript:Dexi5A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLAPPFITARFTPSQAAAPRRRIRARFISPSADVREPCFRRPYTSVLIVPTGVGAAVGGFAGDALPVARTLASVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEDLRLRHLQVADAARASLGLPVVEYIVTDAPLEIKTWFDPKCGKSTGSVGNSDSLLRAVDTLVKHADVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKLPAAHAPAVLPPPLSPLVCPRSAAEEVYMSLCTRAIGYTFLPCVLAGLSNAPQYVTRRQGTLDSGCIVAGDVDSVILPNDSCGGDGTLAFARAVRKQKPLIITVQENETVLDDTPDKFGIESLNVRNYWEAIGVVAAHKAGVNPNALRRQGIDHLKGSGRLYSTHSSVPMPCAHPPAHEKVHIKQLARQV >Dexi5B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:5B:2635449:2636043:-1 gene:Dexi5B01G0003820 transcript:Dexi5B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSFAVSHWHWLTAKSHFWDFLGSLPGAWALAHGKGACLPKEAIFAVSQVQGSQQSAYKPLLPAVVLAHGKGPSHGKGSLFAMSRIKGSRQRARVCPGPTHAVGSPLLAVISMILAHGKDPFADTIFAGKGFAVCFCPFA >Dexi4B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:4B:21633374:21634901:-1 gene:Dexi4B01G0019440 transcript:Dexi4B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKLILEDDFVWSLPSVCSSSGEDSSEKLKYIGGTDISFLKEDPSTACAAVVVLDADTLEVVHEEFNVVRLQVPYIPGFLAFREAPILLGLLEKVKNNASHFYPQQVLV >Dexi4A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:4A:9972662:9973284:1 gene:Dexi4A01G0011750 transcript:Dexi4A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRGSSPSFSAGSCALNTSPQPPRPITASDASPSSFSRNARCSARRISFGVKITVPDRVLYLERQAEEDGQDQPGDEAGDGADDEADDPVADAVPVAAVALAARLGRGRRRRRGRSRRAAVLSTAAGRAALLGEDVPVRRRGTAVVRVAGHHRVAGAARRHGRVRHGVAAVVGIHGGDEDEEHRDEGDEEEEMLPRRGCR >Dexi4B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:4B:5095194:5096134:-1 gene:Dexi4B01G0007200 transcript:Dexi4B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLLYMRRFIGEEAANATVARNMLSAVAGKLSGAGGEEAGAIDDDLHRRCVLFDCAPSRVRVDAVCAALAPSSGDIEAVALCGYFVAAVVVFRTAAAAESALRGPARRSCHAVPPLDVGIEIPGIGPTDVKIMPDLPVPEPCARAPPTSPEEEEEPAAKPLQWFAFRDPWAEANGPVLPPGTRRFHPSFESLVHGPTQGADGSLWMHGEIAIIDKRGREVGVEHDASLRVTPTVPPPKDKRRPLIPDTAMWFEPF >Dexi9B01G0035620.1:cds pep primary_assembly:Fonio_CM05836:9B:37183550:37184128:1 gene:Dexi9B01G0035620 transcript:Dexi9B01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAPPAATAVKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRSGLEAAVGGYIPAGAAGLRFEDFESLHRALGDALFGPVVPEAVPEEEDEEGDMKEAFRVFDEDGDGYISAAELQAVLKKLGLPEARSLATVQEMICNVDSDRDGRVDFGEFKNMMQGITVWGA >Dexi5B01G0027400.1:cds pep primary_assembly:Fonio_CM05836:5B:28954153:28962807:1 gene:Dexi5B01G0027400 transcript:Dexi5B01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLRGLRSSASRAHTHSLSHASSPSALGSSLLRRLYSSAAASTAASPAFAPGGVMDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKDNELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKSPPDNAKNMSSLLDSIIQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVTVEAAGAGDIISMAGLAAPAIGHTVANTEVLAALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTERGERLEPIEEVTVEVDEEHVGFVLETLTHRKGEVVDMGPVPGAAGTGRTRIFMTCPSRGLVGVKGIFSSFTRGTGFMHRAFQAYAKYRGPLGSVRKGVLISVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRESDLEVNPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVAADELIEASIVF >Dexi2A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:2A:29251989:29256443:-1 gene:Dexi2A01G0017430 transcript:Dexi2A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPAMDVGGGGGGGGGGGAGVSAATANGSFGLVRTVVGYSTSPLFFWLLTVVLVAAIHIASVYKSSRSDEREEKKKARRDGFAATAEEREMSARGDDRVLEMMRSFSFVQATEEDFMEGMAGAYEYKYVDSGGAAIEVVAPEPFAPPSPASSLSFKFQHQIPEIPREAAAVVLREIPAAQVEEHQDQDLEEEETAPSILLESAGKQEHEDEAEETELVLEEEEEHVEEEQRREVEQAEASKVVATTHNYQFLTERDFRGFVREPEAMTVRVHDSFVPPSPPPRRTPPPRRPPPPPPPSQPEERRVVNTVPLTGGGFLTEGDFQSADKPDVCESVASSGTRAPSLRRKPASEPDVYDNVASPRKSTPSETRKPACSPSVASKQGSARRMSFASEFSGFGGGGDSDTESSASDDGYSVKDLVVDSDSDWFLSEKDFPATARNSGNLKSYKAKVLKAIEALEAAAKLERSSSYQDSVTTVSPGSVGQDSPDTIPDGSPKFPEDMWSRSPSPDVEYKEDEEKVTREAEAQYEEDVEQRSMVEEEEGSVDMSDDEHSPKGRKLESAPVYDLAPVADNSMDHSDKETIPLNDHSGMDHSERETITVNDYSGMDSLEKETVTLNDYSGEVISDTQKSPEAVSVKTLVALSSDQAAGTGKRSTEPSEKEFVGTAHHSLEHTPDDRRETSSESGPSYEIVFDDKRRPEPLETGFVGNDRSHELISDVWNEIISRTDQTPTAGGLDAAEEEFVGRNDHSNDLISYEKKVTFGTTNVQSFPAVSDEKSVLPHAKNIPETEEDEEQASENDNFDDAARQTYISVTGKAKVYDEDGEDPEVKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPRQSTNSLKSLSPTMTSILSHNFRSSRRRSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNHVITSEELEYIMEEAMHILWEFIKADKVDATPSTVLKGFSSAHVELQDPSDHDLMAHIHAALQKKEKRLKDLLRTGNCIVKKFKKPKEDRSNQNLFFSQVDMKLVARVLRMPRITSEQLHWCKAKLDKIVLVDRKIHREASFLLFPC >Dexi2B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:2B:28898324:28902193:1 gene:Dexi2B01G0018660 transcript:Dexi2B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAVNGGGDVQKPQQQQPVVVGAPPPPAAAVVPPHWVAMPFAPPPGAAAMVMPPPHQMAPAPPQFAPAHFVPFHAVAPPRVAPVAAVALGSPAPHQQAGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFSGHIMPNTDQPFRLNWASFSMGDRRSDVASDHSIFVGDLASDVNDATLLETFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTHAMTEMNGVYCSSRPMRIGPATPRKSSGSITSSTVTISTNFHTHFFALWNTTAVVSFPFSSGNGTSGSNGSSARSDGDLTNTTVFVGGLDPNVSEEDLRQTFSQYGEISSVKIPVGKQCGFVQFAQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQFRGDNGNQWNNGTYYAASPFYNGYSYPAAPFPDPGMYAAPAYGAYPFYGSQQQVS >Dexi6A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:6A:25703983:25706208:-1 gene:Dexi6A01G0017860 transcript:Dexi6A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAVAECPKKVAGLVDLVNLPTALREFAGGQSQMSHLSFFLRVWSHIKEHNLQDPTNRNIVNCDEKLKTVLLGRSKVQLFELPMIVKLHFPKVAKP >Dexi8B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:8B:571217:571938:1 gene:Dexi8B01G0000820 transcript:Dexi8B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCWPNFAASGRQRQHSTPAGSASATTPSLITTSITTSPHLRRKGMASLRHLAAGPTCHHHHGVTAATASLQLRRRPSCPRPLRSRLFFTRIYAISSNDIRVGTNVEVDGAPWKH >Dexi1A01G0027200.1:cds pep primary_assembly:Fonio_CM05836:1A:33035340:33037386:-1 gene:Dexi1A01G0027200 transcript:Dexi1A01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVLAAAVPVAAAGKGDDTAAVSPSGANVTLICRSTPHPGACETALTSAEAAVADPFAASVQFAMARATTARALARNLSKSKPPPASGMRDCAELLDISLAQLRAALAGRDADATTWLSAALTNQATCNDSLAAAARHAGRDAVRKKVAALAQFISTALALHVNKLKGSGGVSPSANGTTFPLWLSENDRRLLESPASKTITPDAVVALDGSGTHRRINEAIAAVTTPPVGTEASGGGGGGGSRRKVIHVKAGRYKESVRISYQQEYVMLLGDGKGKTIIDGDKSVAGGYTIFSSATVAAMGAGFIAKGLSIINSAGPGKGQAVALLVGGDRSVVYQCEIKAYQDTLFTHSNRQFFADSDISGTVDFIFGNSAVVFQNCVIQARRPVHGQEDVVTAQGRGDPNQNTGISIHRCRITGAPDLGKTPVYLGRPWKQYSRVVVMETAMDGSVSPAGWLAWPGQPAPSTTLYYGEYRNTGAGAETKGRVTWSGVHTSMSMVDATGFTVEKFIMGDSWLGATGVGYISGL >Dexi9A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:9A:5858310:5858566:1 gene:Dexi9A01G0009640 transcript:Dexi9A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEKPRKDGATRSVASKRRRWRSATSSCGTRRDGDGDGDGGEEEGVEVDMGGWTGPDLVW >Dexi5B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:5B:20683165:20685789:1 gene:Dexi5B01G0018500 transcript:Dexi5B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding HMMRLISNAKALDGVICEPYELEQFKIQLIDEERDMSEMLDAIRAALKSMVDGMINVSAYDTAWVALVKNVDGVPFTYPVEIFERLWVVDRLQRLGISRYFTSEIAECLDYAYRHWTQKGLPVSRDCPLNDIDDTAMGFRLLRLHGYNVSPDVFTHFEKDGVFVCYQGQSNQSISATYNLYRAAQIAFPGEDELERANTYSRAFLNERRASGKLNDKWVIAKDLPAEVGYALDFPWRANLPRIETRMYLEQYGGSADVWIGKVLYR >Dexi6B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:6B:1409400:1412619:-1 gene:Dexi6B01G0001570 transcript:Dexi6B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAALRPHKAPPARVPTRLVAALCAACFFVGVCVVNRYWPVPEHPGCPDKASSDHAWGALSQTREVIMALDKTISDIEMRLAAARAAQAMSRGMSPSDSEDDQGSGRHRMSFVMGVFTTFANRKRRDSVRQTWMPQGDQLRRLEEKGVIIRFVIGRSENPNPDNEVDRAIAAEDKEYNDILRLNHVEGYGGLPMKVQMFLSTALTMWDADFYVKTDDNVHVNIGITRSILARHRMKPRVYIGCMKSGPVVTKNDSKYYEPDHWKFGTEGNYYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLEVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHRRCWEGRGAEGHSQF >Dexi3B01G0034310.1:cds pep primary_assembly:Fonio_CM05836:3B:37064299:37065147:-1 gene:Dexi3B01G0034310 transcript:Dexi3B01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQAAAAAASPPIPPVAGGSSAAAGAGAGENEKQATVGGVEEWDAADTTSCGATASGGSSDEGGAALQLPPCCRRPLKTLDLFPTKSTGGGLKDECSSSKSSSCSTSTN >Dexi1B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:1B:8394216:8396109:1 gene:Dexi1B01G0009350 transcript:Dexi1B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSTFSPIGMDAVTSFYTIAVGNDTISSDVRHFPPSELLDHGGFALGDAAVAGASATASDMAASFAANNFAASSFFATASAPPQVDHIGRRTQPEEMDEGYGFVTGAGGSCVPASLQRPNHSDAMAVWSSSSSSSKKPTGTWSSAAGDSRAVAVHEPYHHLADVAGFHYSLAAAAAAGNAPASSELSLTLCSKSPSDTALHAAAADQCSSGASRGSALTELPPPPAMYPPRARHRPAPANFAVVVARSPYAAVAQEVLNDVVSYMLHGVADVAADSCSGADGGATTSVVSSNRLMAPSSERGGERRGEAQSVKNDLLKLLQLVDDKYNQCLDEIQSTTAKFNALMHSGGGVSNINAPFAHRAVSAVYGGLRRRIAGEIMAAASRATATCWGESSSSSAAVTTAERSWESAFINKHWATQQRRRGEQQCWRPQRGLPEKCVAVLKAWLFDNFLHPYPKDHEKEVLAARSGLTRNQVSNWFINARVRLWKPMIEEMYQDLKKSSVVGGQGSAMEMHTSKRRIFELEEGH >Dexi9B01G0048410.1:cds pep primary_assembly:Fonio_CM05836:9B:47378465:47380235:-1 gene:Dexi9B01G0048410 transcript:Dexi9B01G0048410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWADEIGGDEETLSELIEASRTPDGRRARLHELADTLYLLPASPSHLLLLRLRLLRNLLAGDELNQYAFIERSGPSVVAASVLSFPSLAPDAARAALQALGNTALAGEFHRDAVWEALFPEALLEFAGVRDAGVLDPLCMVLDTCCGGEGGRQRLNELCHEDLGLPILVQVVNTASQVEHKEEWLEWLLFKVCVEEQKFETLFNALCSNDVECTDNGEYNAKHAFLLGTLSMCLNNHPKEVTVSDSFAHHVFNVHKHAAETVDFTHRGTSPLPTGCPAVDILGYTLQLLRDICAWESPSSETQSPVDSLLQTGLVKRLLKYLGELEPPSTIRKSMAKGQGDNHPALEGAKVCPYIGYRRDLVAVIANCLHGRKKVQDEIRQLGGVLLLLQQCVIDEDNPYLREWGLLAVKNLLEENEENQKEVSELEMQEPVITPEIANIGLKVEIDKETGRPKLVNTSD >Dexi2A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:2A:12033465:12040586:-1 gene:Dexi2A01G0010750 transcript:Dexi2A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNMNKWFEELGVEMETSDMSFSASMHLNKGGGFEWGNRNGISGVLVQKSNLLSPRFWLVIREIFKFKNDVLEYLEDHGRNPDWNETLGQFIQSHRYSQLFQDAYLIPMCACIWSSPAQGVLGSPALSVLSFFRDNHLLELFGRPQWHTVKGGSGSYVNKVRGELESMGCQIKTGCEVKSVSKFNEGIFKAQILLNIESSRPLLVTFNPPHVPNHVFLKWHTSHPIPSVAAAKATLEFNNIQGKRGIWFCGPYQGFICYRFYEDSVKLVTEADLGFAYAYINGYISFVDSKEGLLNLILINLYNRLERKRLLRISARKSNYIRKGWRSGSLLRTTGVAFAKYILRHESRRNSLSKAVKNISKHYDLSNDFFALYLDPSMTYSSAIFKAEDESLEAAQLRKHDSLISKAKVESWHHVLDIGSGWGTLAIRLVKKTGCKYTGITLSEEQLKYSKQKVKEAGLEDNITLLLCDYRQIPTCQKFDRIISCEMIEHVGHEYMDDFFGACEYHLAEHGLFVLQFITIPEDLYAKMRVRPEFIKEYIFPGGCLPALSRVVSAMTNASSLCVQHLENIGDHYYPTLMHWRDNFLANRKKVSALGFDEIFIRTWEYYLTYCAAMFKSRTIMDYQMVFARPGDAKLPSYLAIE >Dexi7A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:7A:16993669:16995069:-1 gene:Dexi7A01G0005760 transcript:Dexi7A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPPAPPRTVICVGDIHGFVAKLESLWSNLQAALPADAFATAVVIFLGDYNDRGPHTRGVIDFLLALPERHPGQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGAIKERWNPKKGLPYKGSIYDAQPTFESYGVAHGSPGEFYPRCSLVVDLTKAVPEEHKRFLRDLVWIHEEENVPIDTDEGQIICNLIAVHAGLERSIDLNEQLRVLRTRDTRVPKVAMLSGRQDVWNTPKVSYF >Dexi9A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:9A:9314651:9314998:-1 gene:Dexi9A01G0014220 transcript:Dexi9A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTLDYISSVRASFNGQWLCGLCCEAVRDEACRKKAQPGVEEAVRAHMAFCKMFKSNPAVRVADGMRQMLRRRSGDLSKPESAKKYSTSQVGDASSVTLY >Dexi5B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:5B:22980990:22982476:1 gene:Dexi5B01G0020770 transcript:Dexi5B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGVCMMSTSWRDKQDPNLIDFIASFLAANSYRLNFLSVSPDFIFNNGGTSVAFVFETNWHAENEAALFSRVNTLKRQFRHFYVIVVLPTGEQNESFNQSYFRYDMELGCPTFVPVCDPEMGFEKLVKIAHSRGVCKQQDIITTMRNEREQAVQCMDAFLRVLTSIPGIDSHDANALSQAIGSIEAIAKASVKFILENTDLSTEKAERIVRFFRDPQYYLSPKIN >DexiUA01G0022810.1:cds pep primary_assembly:Fonio_CM05836:UA:46580268:46580642:1 gene:DexiUA01G0022810 transcript:DexiUA01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNQLVRKPRARKVAKSNVPALEACPQKRGVLTSYIGGEGHNLQEHSVILIRGGRVKDLPGVRYHTVRGALDCSGVKDRKQARSKYGVKRPKA >Dexi7B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:7B:6571041:6580629:1 gene:Dexi7B01G0002940 transcript:Dexi7B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLIGGMGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGMHIENNGTVGDDLQKVYTVDEFEVRVMELEKSSGRWEARFTIPMQPFENALTVRIVTLQNTTTTENETLMAIGTAYVQGEDVAARGRVLLFSFSINENPQNLVMEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNVSLQRKLVDAVPHVCGLNPRSFRHFNSSGKAHRPGPDNIIDFELLSHYEMLSLEEQLDIAQQIGTTRLQILANFSDFSLSTSFL >Dexi9B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:9B:7522550:7524549:1 gene:Dexi9B01G0011590 transcript:Dexi9B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQQQGQEGQGSSSTPPPGSGSDGGGGGKKPRTKTMKPVAAAHLAALRGRYELLRSKGNGSFADVWEARHLRTGHRVAVKIISHAKLADEFAGDVDREIAAMRLLRHHPHIAHLHEAIRGADHTYLVMELAASGDLYDYVDAADRSRLSEPEARWIFRQLVAGVAYCHRNMIVHRDLKLENVLLDAKGNVKVADFGFSDMWSHERLLSRNCGSPEYMAPEVMERRHYRGPEVDVWSCGVILYVMLSGRMPFEGDLSDVARKVRRGSYMVPAWVSEDARDLIAGMLVVRQEKRATIAEVMAHRWLSSPADTPPFPPYLRMMPPPDAAALRARARVAAVDADAVELLVTRHGFERTAGLIESLRLDDDESSEAAFAYQLVLRNMYGAAALYQQLLSMPPPAMAAPPRRQWVLGGGLNGGELLLHECPRTTMRRIARALGQLGVWILSYQSHCHRMACAHIAGDGGVRSTATFRSFRRRQNGGGGGASRSSSASVRSAATFRSFLRHNDGGASSSLMMSSSAPEMSNNILRSLSAAVIFEIELFKAGEGENDPQQQRQYALHLKRTSGPQLPYLRVCSQLASKLRPQIS >Dexi2B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:2B:11384925:11385899:1 gene:Dexi2B01G0010290 transcript:Dexi2B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFAATLLLTAAALPSPFLLGADAATGRGPTGLLPAENCTTMCGDVVVPYPFGTTVGCYLPGFNLTCDTSQEPPLLYLGNGTLLVVNISLEHSTVRVVGPDIDMVESISTDYAAIGTWGGQGWGLSDEGPYILSQEYNELVLSGCGLSVELLIPDPQVDQVINTCGAMCSPSSRDNECREQPKSPRCGKCSGLGCCQVPVAVGRVAYKARLIKTLHDPIVITNYSVFISEEGWFQPYNSSRSAIPVMLAWAIVSNVLSHVSDGSRDGNATCPKDLGSTACHSNYSTCRNTGRLYGNNGTPSYTCSCWDGYQGNPYLPHGCQGT >Dexi4B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:4B:4162575:4165112:-1 gene:Dexi4B01G0005910 transcript:Dexi4B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRAARRLLCCGGGASGEDLYLHHHATPQITHGSIKATNVLLDSDFQAHIGDFGLIRLIPDGPDNEKITSENQRGYLAPEYIMFGKPKAGCDIYSFGIILLELASGKKPIEKSGSVKTYGIRNWVLPLAKEGRYDEIADSKLNDKFSEPELKRMVLVGLACTHSEPEKRPTMVEIVPLLKGESKEMLLKLERDELFRPDSTVSSQGTSTPEGSTDSVPRKDEELGGA >Dexi3B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:3B:1776267:1777421:1 gene:Dexi3B01G0002660 transcript:Dexi3B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGSRTCYPSAALPRGSRRTVVIRSSFPPCSPASKPSTTLSIGGKWPELQGSRDWDGLLTPLDGALRGELLRYGEFVRAAYASFDFDGRAPSYGSCRFPSRSLLRRAGLPETGYQVTRLLHAASTSAPAWLSPSSNSSYIGYVAVCDDDDEIHRLGRRDVVVAYRGTATCSEWLDNFKSGLTRLPSSPTLFAGDDDDEAMVESGFWKLFTAPGEAHSSLQQQVRDEVRRIIHEYGGEGKPPLSITVTGHSLGAALAVLSAYEITTAIAGDGDDAPAPMVTAVSFGGPRVGNAAFRRRLEKSGGKVLRVVNSSDVVTKVPGFPVDAKRKAARVPRWLVSKMGWEYSDVGDDSVPNVVASHDLDLYIRLVAACTD >Dexi4A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:4A:17780953:17785653:1 gene:Dexi4A01G0015140 transcript:Dexi4A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAESPESRERRRRSEAVAWLRSLLAGEGLPLPPPLASDDELRAALADGALLAAALRRLCSAASAEGGASASAAAAAGGSDVARFVAAVERMGLPTFAAPDLDRGPMSAVIVCLLALRARFASPVGEGLHCSLEANGRMPSMEVPRENGHGIQNSGFGEESKQVKGNLQKVFKSPGPPEPSSQLSRPELSSISRHVGHNFHEVFHLRQGVYSDMPPHKILELMKSANLDNAPTQSLLSFVNGILDEIIENKNGEIPYVGYSKAMQLVVSHVACLLRKVILEIERRISTQAEHIRNVTGDHEMKMMKSKAEEQILVDKDMSSLMKDKEDVTRLTKDKEDMARLLKDKEEIIRLMKEKEEMVTLIKEKEDIGILKKGKVDKRDQSADVHVAKSITYNDGTFRMMKEKEESNYTMMKLKLELEALKSSYEESQSLLKSTKEDVIKLLKDKEKSDIIISKLRQELAEAGKSYYINIQELESRALQANERFQQRIKEVEFMLEDSRMRGRDLEDSLKSRIKTWEQKEIMVHQFVGLQIRNVQDLRLSSVSIRHEIQNCQKRWSEELSVLGQSLKVLINDAEGYHAALEENRKLFNEIQELKGNIRVYCRIRPFLPGEDQKSTPIEYVGDDGDLIIANPTRKGKEGSKSFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENASEKEWGVNYRALNDIFHISHNRADTIMYEISVQMIEIYNEQIRDLLGSNGPEKKYPCYFV >Dexi8B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:8B:9249895:9252385:1 gene:Dexi8B01G0007550 transcript:Dexi8B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPLRLLSQSSSPRPPQDPSPRTVPPLHAWLPRRRKLRAVRCASSSLPPPPSLDLPLLPFQPAEVLIPSETKTLHLYEARYIALLEEALYKRKNSFVHFVLDPVVDSSTKASFAVRYGCLVHIESVQKLEIGALISIRGVCRVNISNLLDVRAFLLFKKNEILSRILSGTLNKPSSKMIEIPMSFGSMEPYFRGTVSPMMDEPYESIELGKRISKLRESMCSLHSLQMKLKVPEDEPLQTNIRASLLWSEKENYEAYNESFIPGYPERLSFAAHQTVSGMSDAELLTLQKYKIRAMDSTDTLQRLNDD >Dexi9A01G0048090.1:cds pep primary_assembly:Fonio_CM05836:9A:50934333:50937847:1 gene:Dexi9A01G0048090 transcript:Dexi9A01G0048090.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLESLSCLWYSPAAMEQPAAQKEEDGLLGYGVMGDVAVGDLDLMEELFMAAPGFDFSDFSQPGGPGASPGACFSPLFDICSTTTTATPPAPGGDDDPAERERADRPESAAVAMATTPPRRGWLFQPRQEVEATVKERLRRALERIASMSQTQTGELLAQVWVPTVIGDRQVLTTCGQPFWLDSRNERLASYRSVSMKYQFSADESSRADLGLPGRVFVGRVPEWTPDVRYFSTEEYPRVHHAQFFDIRGSVALPIFEPRSRACLGVVELVMTTQKVNYNAEIENICSALKEVDLRTIDVSSDPRANVADTSYRAIVPEIIDVLRTVCERHKLPLAQTWIPCICQAKRGSRHSDEKFKYCVSTVDEACYVRDLNVKGFHQACSDHHLFRGEGVVGRAFGTNEPCFYPDITTYSKTQYPLSHHAKLFKLRAAVAIRLRSIRTGRLDYVLEFFLPVNCTESEEQRAMLNSLSITIQQTCYTLRVVSLKELVEEGSFEASTLTPAEFYDKPMHENLDEVCSNIEVPARTTSLETSEEVSSWVASLVGAQNKGAKEMDSDLPFGLSKQEDEGFSVTAGWHTSPALSPEGRIFSGFKQHEEYEVKEPICSRDPSPSNFDKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTTWSEKELQGDATYPLSDQKGHLEPSGPDRQGEGRFTSHTSGSNSLSPSCSQSSNSSQGCSSGSKSQQNGSATQVAVKQEVYMDENQSSALLKATSHGELQMFTEERPGILPGPQSQMLVTEQKPVENKLDMQQSKPDSLKIKAMYGEERCIFRLQPSWGFEKLKEEIVKRFSIAQESCVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVRILVNANVKPVLGPSFGQTGLS >Dexi4B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:4B:4191325:4192694:-1 gene:Dexi4B01G0005960 transcript:Dexi4B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALSFIHLPPNPAPLHAFRMHRAQQPHPHLSLRTRRASVAAAAEPENPSTSVDVEMVRGRDGVWTARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSISAFANRHAFSHLPAWRRDRRAMDRAERAGVAAPSVPYSCGVCGRRFPTRPDLTRHFRQLHERERNKKLSRLRSLKGKKRQKFRERFISGNTKYEDTARELLTPKVGYGLASELRRAGVQVRTVSDKPQAADHALKRQVKHSLACGVDWMVLVSDDSDFTDTVRNARAADLRTVVVGDGCRALGKVADIWLPWDRVENGEVDEEMLRSCTLPQFGEDREDEQGEEFIFDWDTSGLDDVVDDIVGVRTSLLGATTISAFADEDVTDGIFGVELNGDDMFWSSDDEEEDGYL >Dexi9A01G0041760.1:cds pep primary_assembly:Fonio_CM05836:9A:45341893:45344310:1 gene:Dexi9A01G0041760 transcript:Dexi9A01G0041760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQKQAEVLWPRLVANKLFRKTSGSHAFVADFPVADQDDASFEDAGGCSPDADASRCVKRPRPQERTKTLKYKLFASTWNVGGVAPPDDLDLSDWLDDVRDGPYDIYVLGFQEVVPLRARNVLGADKSRVGMRWIELIRSALNRSAASHPATATPGTDAGGRQKVHPVRDGGGGGDELAREYRCVVSKQMVGILLTVWVRADLRRFVRRASVSCVGCGVMGCLGNKGGVSVRFWLHDTSFCVVCCHLASGGREGDEAHRNADATEILARTTFPRGHALNLPHKILDHDRVILLGDLNYRISLPEAKTRLLVERRDWKTLLENDQLRAEVSRGGGAFRGWSEGDIAFSPTYKYYPNSDAYYGSGGASGGGGRKGEKRRAPAWCDRILWRGAGLRQTRYDRCESRLSDHRPVRAVFTVEVDAPRNLNSLRSFFMSERFDRARSSNADQLLCKGDVSSARFAETL >Dexi8B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:8B:2062558:2063801:1 gene:Dexi8B01G0002920 transcript:Dexi8B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQTTIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHNLGGAASLPDLVTALSLPQSKLPFLRRLMRLLVTSGIFVSDSNAEVETYRFNPLSWLLVEGVEAEDHTYQKYFVTATVSRHYLEAGLSLADWFKKDLPAPLPSPFEELHGVPLVHETTKLLDEELDRIVNEGVAAHDNLAIGTIIRECSDLFKGLLSLTDCGGGDVNYVAGDMFHTIPPAQAVMLKLVLHFWTDEDCVKILEQCRKAIPSREEGGKVIIIEIILGPSMGPIMYESQLLMDMLMMVNTRGRQRDENDWREIFIKAGYSDYKIVKKIGTRGIIEVYP >Dexi9B01G0039840.1:cds pep primary_assembly:Fonio_CM05836:9B:40528283:40531103:-1 gene:Dexi9B01G0039840 transcript:Dexi9B01G0039840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASSPAGKLAVALHRRTHRVTSALAYAAMEWVLLSLLLINGLLAYAIARLADYFGLTRPCLLCSRVDRLFHPADGGEEAAGAARWLRGVLCGDHAAEISVLGYCHRHRRLTEAGDMCDGCLSSSSKEKTSDAGEKSAMACSCCRTVVRTPLRELEDAREEHVEEKITEEVKDDGQKGYVLLAQDDHEEEEEEDQDEVESQEQESEVERQRQDQEDEATTNAQDESLEVMAQGEEIELEDDRLVPVVALDEMAIADYSCLHRDVEEDDGREQAGDESDSRDVDIGVVLEEKRMLDSSVATPADVIEDSVVPISPIPCPVTVTSPSHPDHTSSSEDDEDVPDDTAESGDSSAEEDQIFVPRGTFFMLFLNIMLHSLSIGNHHIHTFSDSVSEAVSEDDNPTAEVDTNCEVSIGSDICEREQDDRVVPFQDSSALEEPVSPLASADDQPSPLEILAPTEQEAGETEQEEVTTSMRLDHQPNEQIEEDKAPETPTNGFATPRSDRLFLLERERSLSLSLDGSVASEMEGGEPSTVEQLRSALHAERKALGALYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKLEMERELELYRQKLQHYEDKERRRMASFKVSNGSGASVSSSGEDSDGNSDEDCELGESPDGSEIQKSSDAALSSMAMRDQDSTKHLVALDDSLTYFEMERLSILEELKALEERLFTLEDDDITANAAAGHSSGDLDLSANGLQSPEYMPTVGDKARFGGRTCISRGKSLLPLFDAVSEETSDQTPSAMVGDADQADDSARKSVSVFAKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMDLLQEILQHLRDLRSVELHVKNAGDAIAANSV >Dexi4A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:4A:8036145:8036444:-1 gene:Dexi4A01G0010100 transcript:Dexi4A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRLVASGSGEARVERTDDSLTTRRRRKRMRHPTTTMSTGSRPSRREPRKDIGDSDVEESNWQWCLQTTARMRDYEGAAAAGEDTREQQLTARTVRA >Dexi5B01G0024060.1:cds pep primary_assembly:Fonio_CM05836:5B:26144431:26145437:1 gene:Dexi5B01G0024060 transcript:Dexi5B01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPPAAASSHHLMKTWAPPPTPKPRAHRAPSLPEVAEGGGTRDRRRSSSSHRRVGVGAGAVAEEETPCEGRRLEDLRAKLMGHLRDAAGSLRLPPASKPPQPRSPSPEPRPPAAPLPPPPEQQQEAPAAAAAKPWNLRDRKCRRPTARGAAAALDASPAWEPAAEKARRSMLADERAPFAVALTAEEVEEDVYALTGARPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDE >Dexi3B01G0028680.1:cds pep primary_assembly:Fonio_CM05836:3B:27171186:27174156:1 gene:Dexi3B01G0028680 transcript:Dexi3B01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPSALPRSFLSLRRLLRRSISGRHYRRTTSSARVVSPAVPPQDKLKDRMVLVDVEGWLLRSPLSAFPYFMLVAIEAGSFLRGLLLLLVYPVLCLLTLLGLDLRLEAMVLVSLFGLREKEVARISKAVLPKFFLEDVTTEGLEAFNKKAGTVVAVTGAFPRVMVEGFLKEYLGVHAVVGREIAVAAGRYVGVFLEEEHAGMERVGALLEETERTRSEGDGAVGLVGAGSTVHHVVSRYCKETYVLSEADKKAWQPLPRDKYPTKLVFHDGRLAFRPTFSAAFAMYTYLPWGVFLAVFRSLAFGVLPYRVSVPLAAATGMRSRLVAGPSPDATEKHQAAAAGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSPVSELIAPIRTARLTRNRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFTELTSEVTPVALDTCVDMFYGTSTKPGAKWLDPFYFMMNSRPEYRVEFLERVDTAPAQGEVGGHEHSILAANRVQSVLGEALGFELTEQTRRDKYMMLAGNEGVVKGGAKK >Dexi7B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:7B:8626803:8634767:1 gene:Dexi7B01G0003620 transcript:Dexi7B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLMSPPVVKTEAAEAGGPPLLRTPAAAASGGGDAMASAAPEAVIDLSSSDSDSDGEAADGGSSKRARGAGGDGSAGKRARVSPAVDLPPGFLEPIPPPQPVAPAASATKQFWKAGDYDGKPLGDGVPQPSGSCVLTNFSFQRLEWIMFACTLGFCTQMQQVTNGLLGVINGATYVNIDMLENDKDSDKEKSRMLLVEDDGGGMDPDKMRQCMSLGYSVKSKVPSTIGQYGNGFKTSTMRLGADVLVFSRSRAKSGKRPTQSIGMLSYTFLRSTGKEDIIVPMFSFMKEQGTRIIIYNLWEDDQGDLELDFDADVHDIQLRGGNRDEKNIQMAMQFPNSKHFLTYRHSLRSYASILYLRLPNYFQMILCGKEIEHHNIVTDMMLKKEVTYRPVAPNGRPKDSNVNDNDLKNLVSYSDDVLETQSSSLVQMVADVTIGFVKDAKHHIDVQGFNVYHKNRLIKVLFPFPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWFVLLSSGNAHRIGYVAPRPGRNSEAGEGAY >Dexi6A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:6A:3515354:3515744:1 gene:Dexi6A01G0003780 transcript:Dexi6A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSKDRLWRTPYPFRPIPRAPDGTSSSTPIAPKIASPVAEANLTACTSTFLSPRGDTSSLSQKADPPGETKDLELTVAPPSQQSMTNMSSQNAVGVIHVI >Dexi7B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:7B:22357627:22370963:-1 gene:Dexi7B01G0016400 transcript:Dexi7B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASKKKLPARIPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPLPSRFLQFAEGQGVLLNVNTQNQIEVWDIDTKKLCYVHSFQKGITAFSLLQKSLYIYVGDSSGNVSLLKLDLAQRCLADMPYWIPFAESYGSAASLGNDVEVIFVSPQPMAESNRVLVIFRDGVMSLWDIKSSKVVSISGKSMQQQSHQESKTVASACWVLILNEESESRIVKMVLPLTESCQGIGIGMNEDNASSGNPITSLQFDMPSSILISGEKSGMVHIITFKKDSTDNIFSFLNAKQGDNYNVRSIKLKGSVTTTSSISKSKHFAAGTEKGIGSEPTKCYNVTKSMFQVSVINVEDATVLYQKQFECRISGGIASLQFEIYSHNGYDKDILIIAMEDSSMFILEEETGKLLNPNPVQTDKPSKALLLQMLELSPSDASVSDNHDTVSKESLLLLCTENAIRLFSLSHAIQGIKKIINKKKFSNSCCFASLIHSSSDEIGLMLVFSNGKIEIRSLPDLSLLKDASLRGFVYSRNMNSTSSIACSSDGETILVNGEETYFFSTLCQDDIYRHMDSISTVYRKDNSLREESSLSVKSPKEKKKGLFGMIMKDNKGSKSKESDANGSEQCIETTSEELASLFSSANFAPPSERRNSSLKDEENVELDIDDIDIDDNPQKQKGPHFPGLSKEKINKGLQTLRGKLKPKTEEKTSLGNKKTEDETSVSQVDQIKMKYGYGNATNDDSTSVPKMIGNKLQENMKKLEGINLRAADMATGAQSFSAMAKELLRTTKNEKGTS >Dexi1B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:1B:24733274:24733529:1 gene:Dexi1B01G0018540 transcript:Dexi1B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFGDAEELWALVSGQESLVDKKRRSAQLLLPGRWLESMILKPNGCSSRAKRPKFLSDA >Dexi5B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:5B:1431770:1433456:-1 gene:Dexi5B01G0002210 transcript:Dexi5B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVPICRRYEWRYGLVDGAPPEARARFPIRLTKPPTLKEGEAGSSAQPPHAGRGWIGGIRAGRHASDEDALDAAKGGAADPRAGHRDRDSRGRRNNDNGDTHQLQEEETLRRQLLQRRWRASSRASRGCKDTGLAWHREDDDDDMGDDQDPWGKGLGYSDDYWGIATSGRRDRTCSPPRRDYSAPTGCRHNAAHFRALFTNTISLISSSKVTNPLTTPTSATRSGDTANRFYNIIDKLEDDLGNEAWSEPVPASRVFNKLRMDLRLPAAATPRGPTVEDINTALDVMLRRLPHLHIDNDGAGVVTEGEDAALQSCTPTTENDAGNVDATPTRGLTDEHAPPGANNDDTPEQEVADNSDNTGIDALFCPPAEAELGSLPLPRLGRQPAPS >Dexi2A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:2A:22388989:22390853:1 gene:Dexi2A01G0013680 transcript:Dexi2A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAFLCTFFSLLLSTSAVPIRLGSSPEEVVERQILKNGIGRTPQMGWNSWSRFACNINEQTVRQVADAMVDTGLAKLGYEYINIGVSRDFLPYDCWAARGRDSQGNLVPNPSKFPSGMKALSDYVHGKGLKLGIYSDAGFLFVLKFSKGIDYLKYDNCNDQGLRPQPRFSSMSTALLNSGRNIFFSICEWGIDNPATWAGVGNSWRTAGDIKDEWGSMTSNADSNDVWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKVT >Dexi2B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:2B:8462259:8467939:-1 gene:Dexi2B01G0008430 transcript:Dexi2B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQYLYWVLATFHEAHASPPPPATEAPRPSSPSQLGTRTAAVPATALVRPPTRTSARGPRDFSGSPPCRLIIEAKCLPNMDLMTERMRKCFTGYGACSTDCGKSDPHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASIPVEHITPGDAISGWFPISGQYSNPMKASPELHLSIQYKQIDMNPLYKDGVGADGSQSVGVPNAYFPLRKGDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFPVNKLGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVFRSIDSGSVKGFPKLVQEAESQIDKSIHNAYVKAIRSAQHFVYIENQYFIGSSYYWSAHRSAGAENLIPIELAIKIARKIKAKERFAAYIVIPMWPEGNPTTAAMQEILYWQGHTMSMMYKIVADALRKEGLHERHPQEYLNFYCLGKREVLSDVSTMNNSNDNSTLVYGYRMSLWAEHLGTVEECFRRPESEECVQWVNQVADDNWASYVSPQMVDMRGHLLRYPVRVEQDGRVGPLPGQEIFPDVGGKVMGTHSSLPNALTT >Dexi9B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:9B:7473670:7476242:-1 gene:Dexi9B01G0011550 transcript:Dexi9B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYEVDEKILHAAFVPFGEVKDVKTPLDQSTQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQRSCTGRNWQPKEKARKKRRQIPWLQQKLRP >Dexi2B01G0029020.1:cds pep primary_assembly:Fonio_CM05836:2B:37535156:37536183:-1 gene:Dexi2B01G0029020 transcript:Dexi2B01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLVDLAPQNGRIVARFGFGKKQAPPKKAAKSSTISDRPLWFPGAVAPPYLDGSLVGDYGFDPLGLGKPVEYLQFDLDSLDQNLAKNEPGAIIGTRFESSEVKSTTLQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSFFDPLGLAADPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGAGSS >Dexi5A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:5A:954364:956618:1 gene:Dexi5A01G0001400 transcript:Dexi5A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding FARFMETDDSAVPQRSAHVHPDAVAVHIPSADEPAFVRDRHGDDMIAAMAAAASPPPGSYSPFRPPPFPVSSISLPASPSGFVDELQRNALTDAPERMMAPPRAPAAAVQPDKVVFRSQPIPAAPPATGVNAGGHLGHGVDPSRSAPHAARSKAQRRDKSYDSFKTWSGKLEKQITSHLLGGRPAQQQQEEEEPEEEDDAAAMTTRRNSASMPQVQRFFAALEGPELDRLRVYCLLLTSSSFAVAAASSNGVTTRRRVSSSSSSLVVVLMTWLLMCVADRGVVMVVVTPWQSSEELILPSDKMWPFLLRFPVSAFGMCMGMSSQAILWKRIAISASTRFLHITVKINLVLWCVSVALMFVVWSLYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDAVASTLPNWLWYVLMTPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFSVGLAHYMVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFSFSLAWWAYTFPMTSAAIASIRYASEVKNAFTQCMCIGLTAAATLTVTALFLTTVLHAVVYRDLFPNDISIAITERRCKPIFADAEMTPTRDRKRGGKGGWKKQAAAAALDTAASDATDLEAARASKTSYT >Dexi5A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:5A:3939384:3942345:1 gene:Dexi5A01G0005230 transcript:Dexi5A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISITLVIRQQAFVRTQKTNAYFKRFQVQFKRRRDGKTDYRARTRFINQDNNKYSTPKYRFVVRFVSFTTLSRLLPRSTNKDITAQIVSANVAGDTVLAAAYSQELPRYGLEVGLTNYAAAYCTGLLLARRVLKLRDLDQEYEGNFEGALDGGLDIPHSDKRFAGFKEDVKQLDAEVHHKYIYGGHVADYMREFEKYQSHFSEYIKKGIKADDVETLYKKVHAAIRADPSVAKYTKESPKEHKRYNSKKLTHEERRSRLVKRLNALNSCGDNKC >Dexi6A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:6A:18382392:18383660:-1 gene:Dexi6A01G0012150 transcript:Dexi6A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLPEARAFLRQLLGRGSQQDRADAAPAASPPCRHFVKRLIGGDAASTSRAASALSAEAEAEADADAPSARVGTGGVDPVSLTVHFLRHSCGLAEADAAKAAERVLLRSTKNAHAVLALLRDTLGMSPATVARVVAAHPAVIRSSTIGAKIDFYLRELGLTAAEVRCFVLASSYRFLHAGLDGRLRPNYRILRDLLGSHENVLTAVKQSIELIYENLEVVVLPKLQALRDYGVSEDVLVKLVITHPKALVHRSSRFNEGLAAMKDFGVSPDSGMFPYAFGVFATIYQSKWDRRVENYLSLGWTEAQVKRAFIRHPYCMSVSDEKVRQLLRFLSEKLGWDPEYVASSPNILSFSYERRVLPRYKVLEILVSRGVLKNGIRMWHLRMSEKKFMENYVTRHQDVIPEVLLEAYRARTSCAVR >DexiUA01G0026340.1:cds pep primary_assembly:Fonio_CM05836:UA:56233893:56234794:-1 gene:DexiUA01G0026340 transcript:DexiUA01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGALYIGCKEHLDPAGVSPTAIAVQSPYIAQVQLLREKLEEYPELCAVEVSTIDSFQGREADAVIISMVRSNPLGAVGFLGDSRRISVAITRARKHVTVVCDTSTICQSTFLARLLRHIRRYGQVKHVAPGSLDGVFWPRLQSTHSPLYWLTLRANYKHLSEH >Dexi3A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:3A:16288146:16290257:-1 gene:Dexi3A01G0020530 transcript:Dexi3A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAFPRSQPNRLRFPRAKPNPASRTLGSKPAIVLLTSKGIRMALSDDGKMKGCQPKLFGTKDKKVAKRTDRASCSTAKCGSSNSKSPSSSPFRKLSAEVRSIRLGHFLSHSSNATKYEHLRIFVSTWNVGGKAPTSELKLDDFLPPDDHSDIYVLG >Dexi3B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:3B:15627826:15633751:-1 gene:Dexi3B01G0020670 transcript:Dexi3B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQTDEDIGKIALAVPVLVSKALELFLQDLCDRTYNITLQKGVKTVGSSHLKQCIQTYNVYDFLREVVSKVPETGTSDAIADDKLGKRRKAEEDGSEEELKRTRNEAESHTSNGRGRGRGRGRGRRGGRGALREVVTSHELFMGNQCTKRSGLKVEITDGESSDATEAKEATPVSSAMASLRNFDLNLDPADQDDEVTVPPQAQSSAPATDSAVVNLGLTAPATGLAATNLGVTAPATSLAAATGRPSVLLSKDGAKLKDLLGGLELPDMNKMDMDPVQFALSSNHGLDDDEDYDNED >Dexi5B01G0037590.1:cds pep primary_assembly:Fonio_CM05836:5B:37062509:37065536:-1 gene:Dexi5B01G0037590 transcript:Dexi5B01G0037590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAPWAEGARVVGTQIRNRFRVAPVDRRWLWRGADGRVATEAVRRWSDRVRDLLQRDRATDQSSASTETSNGAAAKPSYSALRFYRKKVGKEVDGIEGSVIFRSLQALAVPLIGNACYVFMHGLNSVQIYGAEKLHQALQERPKGKPLLTVSNHVAAMDDPFVIASLLPPSVLLEAQKLRWTLCATDRCFTNPVLSTFFRSVKVLPVSRGDGIYQKMHHWACSLLIASIVFSVQGMDMALSKLNSGGWVHIFPEGSRSRDGGKTIAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRLPRAGKKVIVVVGDPINFDDLIIDNSDDTEHISRGILYDKATQRIGQRLQELKVEVDRLAEEQQSELQNHHMHNVNDDGYLLWQQVDWEGFGIGSSMSPSEPSVVQVQSKEAEPEPHLESEQSVSPAPSAAISYDVAISHWFKRHVDPSELMGFAVRGLIKNGKFLEEGYRELRESATMNSWWWSQANNGVPRWSTA >Dexi4A01G0024430.1:cds pep primary_assembly:Fonio_CM05836:4A:27214791:27217522:-1 gene:Dexi4A01G0024430 transcript:Dexi4A01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILVRAASPGLSDAAAGAGGHHGHGSLQLCSSLQQQQQVSYKRRPARRWMLCSLRYACLGLDRAEVGRTSAVYSSLAVNPAGEAVVSSEQKVYDVVLKQAALLKRQLRTQTPPLVGVRRQDLDMPRNGLREAYNRCGEICEEYAKTFYLVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFEGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYQNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRNFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKGKKLLALPVAYGKSLLLPCSLRNSQT >Dexi4B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:4B:22375583:22384990:-1 gene:Dexi4B01G0020140 transcript:Dexi4B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHLTIRSIVKFLLAIRSSDTARDDGCRCLICDCGAKPLVSLMARVRDGDFILVPATGPYVQQWCDAPRESRLPTPADDMAVADVMYFLLVTAYGVEGGDLRMTVAFEDGGVPEASEVKGVVGTVLLPRLGLALQRGAQKSTVAGGFDRCLLRSVGGGRSVMMTLMGHEALGVVPGEAGSSLDRKLELAEPRTRRKGRLEASNEAEIPARGWSSTSSGTGAEEWACRARPMSLWAFSPSSFGLKLGYPFYRYPTTALEEDTESQSGPMSWKQKIEPVAILLSILLIVVLVVHFAKHAERFNPFLDKPTKCSVELVAARGIGSALGPGAESSSSPAFDLLVHVDNSHVFAIRDGGSDVIVSYAGVPLARGRAPAFDVEAKEAVKVAVGAASRGVGIPDELFLLMVQERRSGATPQLQIDLWLDFGLFRCSVELHGEQRAPPAEQGLTILPAMTALEEDTESQPGPMSWKQKIEPVAILLSILLIVVLVVDFAKHAERFNPFLDKPTKCSVELVAARGIGSALGPGAESSSSPAFDLLVHVDNGHVFAIRDGGSDVVVSYAGVPLARGRAPAFDVEAKEAVKVAVGAASHGVGIPDELFLLMVQERRSGATPQLQIDLWLDFGLFRCSVELHGEQRAPPAEQGLTILPAMTALEEDTESQPGPMSWKQKIEPVAILLSILLIVVLVVDFAKHAERFNPFLDKPTKCSVELVAARGIGSALGPGAESSSSPAFDLLVHVDNGHVFAIRDGGSDVVVSYAGVPLARGRAPAFDVEAKEAVKVAVGAASRGVGIPDELFLLMVQERRSGATPQLQIDLWLDFGLFRCSVELHGEQRAINVNLPGRTGASDGPARPFTLPPAQIARRAGHMLGAADLPSRRHQLARVTAHQKLIADGVGPPGSAGHHLAFSTPLATPSCLEEAWVKTRHWRGQAVGDTREGRVAGRTRRRAQTDALSLSFIQNTPIGKVYLSALTLTMLLPPRTAHSPRAPRRPAGNRRGRGRNHSLWDPHIPETHYLHQGASWVWMRTPKTNGEQGRDRPNCHHELAQMLAFPYIDPSHVPQTLELKKEGKGGGRRRKKEGALHCGEEPRNDTEQLVMEGYAYTVLYHNIDTAEPSWLHLIFAPTLARYALTLSKP >Dexi4B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:4B:4899549:4900586:1 gene:Dexi4B01G0007060 transcript:Dexi4B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTASSSSTASLLPVAAAAQQAQSEAAMSGVSASAQNQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPSTPSSRFLFVSASPLPQRGLPAAVLRALPVTVYGSAAGGDDSSPAASPKDRLECAVCLSEVADGEKVRTLPKCAHGFHVECIDMWFHSHDTCPLCRAAVTAAGDLAALPREDPTAEVEFPVVFPTNVLFWGTHDDVTNAGGLTAPPPPPPHIAGTSSTTSSASGRRKENLVIDIPSRGVAISTPPVMSSPLPASRMPGSADDMRSPVSARLRSLRRLLSRGKQAVVGTSSYSPCGAAASGDIEQGLAGAEAARPPKTPKTPTSSN >Dexi9A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:9A:5153414:5155922:-1 gene:Dexi9A01G0008720 transcript:Dexi9A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELAWRVRSGVLEPLEDRFAEVLICSLQCYDAQVRRRLAPLLRRHRMALLTAPAVAALLLLFWSAAYGDAQYVLYKDASQPVEARVKDLLGRMTLAEKIGQMTQIERKVASPDVLRDNFIGSLLSGGGSVPRLQATAADWMSMITDYQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRQVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDKQGLMSIHMPAYFDSLRKGVSTVMISYSSWNGVKMHANHNLITNFLKGRLNFKGFTISDWEGIDRITSPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTGHVNSGIVPLSRIDDAVTRILRVKFTMGLFENPMPDATLADQLGKQEHRDLAREAVRKSLVLLKNGKPGDAPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRITIGTTILDAVKAAVDPSTTVVFAENPDADFVKNGGFAYAIVAVGEHPYTETQGDSLNLTIPDPGPSTIQTVCGAVKCATVLISGRPVVIQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGYGLTTKGKGH >Dexi9A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:9A:5887196:5889118:-1 gene:Dexi9A01G0009690 transcript:Dexi9A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTWTWVVFLLLALVSSSSPSCRAAEPPSPQQASADAGDLTVDDGLRTGYHFQPPKHWINDPNGVMCYKGVYHLFYQYNPKGAVWGNIVWAHAVSTDLVDWVMLPPAIYPTAPFDVNGCWSGSATMLPDGTPVIMYTGIDGENRQVQNVAYPKDLSDPYLREWVKPDYNPVISPGPGMNATAFRDPTTAWQGPDGLWRLVIGTKDNHRGLAMLYRSRDFKRWAPANRALHSGDTGMWECPDFYPVNDTKHVLKVSLDLTRFEYYTLGEYDRDTDTYTPDPSLADGNDGLRYDYGNFYASKTFLDTSKQQQRRVLWGWANESDSTAADIRKGWAGVQAIPRKVTLSLDGKQLVQWPVAEIESLRGAHVNVTDVLVKSGHHFEVAGLASSSQADVEAAFQVMDMDMDKAEAFDPAWRAVDAQAVCVARGADAKGGVGPFGLWVLASDDGGQEERTAVFFRVFKDGGKHVVLMCNDPSLSSQADGLYKPTFAGFVDVDLAKTGGKIALRTLIDHSVVESFGEYGKTCILSRVYPTKAVGDKARLYVFNNGESDVKVTHLNAYEMRSANITSDAAEPTSR >Dexi3B01G0034630.1:cds pep primary_assembly:Fonio_CM05836:3B:37332476:37335034:1 gene:Dexi3B01G0034630 transcript:Dexi3B01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAALAAPAAAEEAIMLQEAGFLKMSCGGSCNCGSSCGCGCGCGKMYPDLTEKSTVPAAMVLGVAPDKGRFEEGFEKAAESGEAGHGCSCGSSCTCNPCNC >Dexi1B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:1B:5255162:5255428:-1 gene:Dexi1B01G0006400 transcript:Dexi1B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGVGNPRDSLWWRRRLPTVGERRRRRRRRWQRARRRPCARQPSAIAAVQPAIEFKVSWAYISLTSGTTLPPSRWDRRAPSEQKAK >Dexi9B01G0047320.1:cds pep primary_assembly:Fonio_CM05836:9B:46469846:46473672:-1 gene:Dexi9B01G0047320 transcript:Dexi9B01G0047320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARLHPAAIPLPQSPSPPPSLRLRSPRAASARTLAPRAAAASATAVSTKPAAAAVPLSADRNVVRIGLPSKGRMAEQTLSLLKSCQLAVRQLNPRQYTADVPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDTVSEYGQASDDLVVVHDALDFGQCRLSLAVPKGGAFENINSVEDLAKMPEWTEERPLRVVTGFGYLGAKFLKENGFKHVTLLSADGALESFPPMGMADAIVDLVSSGTTLRENNLKEIEGGVVLESQATLVASRRSLHKREGVLEITHELLERLEAHLRASTELMVTANMRGNSAEEVAERVLSLTSICGLQGPTISPVYCRRDGKVALEYYAMNVVVPQKMIYKCIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLTELGL >Dexi7B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:7B:20881604:20882792:-1 gene:Dexi7B01G0014740 transcript:Dexi7B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGANFQNLYFPFVAPSPSAKPSSPPPTLWPPGGALHTMTDEELFRWASMAPKLDGTPYHRVPKVAFMFLVRGDLPLRPLWEKFFEGHHGLYSIYVHTNPSHTGSYPMDSVFYGRTIPSQRTTWGGVTLVEAERRLLANALLDLSNERFALLSESCIPIYNFSTVYAVLTGSKTSFVESIVSTARYRPLFALRNNISEAQWRKGSQWFEVDRALAGEAVADVSYFPTFRENCAGERFCVVDEHYMSTLVSVLGWGSRNANRTLTFADWDPKRRVGAHPRNHRGEEVTEELIERIRKGGGAPGRNCSFDDGANGVCFLFARKFAPDTLQPLLRLAPKAMGFG >DexiUA01G0020750.1:cds pep primary_assembly:Fonio_CM05836:UA:43074123:43078045:-1 gene:DexiUA01G0020750 transcript:DexiUA01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEHSRGRRSTGYRQQTRRPDDNDNSLSSSCGIVIRGRSMASSSSSSIRRGGRRKDRPGVPATMAVSLVPFLLLLLVSTSSAAMAASAAKHGGADRRSVRARARAAFRSRDEWEAYRKIMARMSPDGDIIHCVPCHRQPAFDHPKLRGQKPEDEPVERPVPKGGGGGGAAEEEEGDVYFRQAWSDGGERCPEGTVPIRRTTAQDVMRSSSARRFGMKKPRASNVRRDSTSDGHEHAVGYVTGDMFYGAKASLNVWSAKVASAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAMGAAISPTSVYNGRQFDISLLIWKDPHRGNWWLQLGSGPLVGYWPSFLFTHLGGHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDGDNSLVPAAALRLVADHPGCYDIQGGYNRAWGNYFYYGGPGRNVHCP >Dexi1B01G0026110.1:cds pep primary_assembly:Fonio_CM05836:1B:31022673:31024829:-1 gene:Dexi1B01G0026110 transcript:Dexi1B01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSEVFLLLWKKETPEQEEDNREREEEQKKIRARWKPSEMKVHMEIMLLIKRKKMAELEELYTKKLARKKTGEKGVQAHNKLMAYYCKYAPDYLQFRDDWIARNPGLEFEDITTVRAMIYTNQAVPQYVYDRRSNSLQIYHIKIKDPYLALTGPSRAVGMNEPVTIEAELKVKGAVKSKDTYFILEAKTVPLNWGVGDVEFIGTRGSRLKISLGELHNCVEATLFLRVIGGTWPAGLQGHFAASTAESPKKKVLLIAFGGDGGESVRGDGNMTHLRHVISVEESGELIVSVQAWRDGQALVDQEVRFKAKLSGRSFGSLNVCSCHVGVLVAWSRIRPMCDDLVPEVSRRLCHS >Dexi4A01G0022240.1:cds pep primary_assembly:Fonio_CM05836:4A:25513943:25517362:1 gene:Dexi4A01G0022240 transcript:Dexi4A01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYASSSLLLEQSPAAPRHPDLGSPGFWPHFLMGMLKPLAATGVVAMAVALTFTQRLGIEREMLVAIARSFVQLSAVGFVLQFIFSQKNAAPWILLAYLFMVTVGGYTAGQRANLVPRGKYIAFVSILVGTMITMILLIALKIFPFTPRYMIPAAGMMVGNAMTVTGVTMKKLREDIKIDKNLVETALALGATPREATLQQVKRSVGIALSPGIDNAKTGGLIALPGAMTGLIMAGASPLEAIQVQIIAKNMIMAASTVSSILSTYLCWPAFFTKAFQLKDEVFGA >Dexi4A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:4A:24827383:24827844:-1 gene:Dexi4A01G0021190 transcript:Dexi4A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGGGGGSPEEPWKQAGGDPPAVCVTGSTGYVGSWLVRTLLRRGYRVHATARDTGKAWQVFAGVEGGDRLRVFRADMGEHGSFDAAVTGCVGVFHVAASMELHVAPGHDNIGEPLSEV >Dexi1A01G0029830.1:cds pep primary_assembly:Fonio_CM05836:1A:35262126:35262622:-1 gene:Dexi1A01G0029830 transcript:Dexi1A01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNIAEGEDWFAGENQHYLLWFHRVARTRLRPMAMEYNMEDVDTDAEDDYDVDTRWGNQPERAPLHDHMKNLPKDGLEA >Dexi9A01G0044030.1:cds pep primary_assembly:Fonio_CM05836:9A:47652571:47654972:1 gene:Dexi9A01G0044030 transcript:Dexi9A01G0044030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSFLLSYIFSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTEVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >Dexi5B01G0022150.1:cds pep primary_assembly:Fonio_CM05836:5B:24381434:24386189:1 gene:Dexi5B01G0022150 transcript:Dexi5B01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFSMRNPKVVVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGMVVQILDPPKDGFDLTMKINLTKLPSDEEQRNAILTQIASVREVVLGAPLKVLLRHLASKTVAPNVNKLVALVHRPNESFFLAPQADKVTIVYPMRFQDSIDIVLATSFLQCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGGSFKRLSLKNEGN >Dexi4B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:4B:7336086:7338834:-1 gene:Dexi4B01G0010060 transcript:Dexi4B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPKRKSPATRDTSSEPYPSHASPSPAQCLAVRDALLAFHGFPEEFAPFRLLRLGGRSPEDGSGASPPPPLPSPSVLDGFVTTLLSQNTTETISRRAFASLKDAFPSWDQVVDEEGTRLEDAIGCGGLAATKPARIRAMLRGVRERRGKICLEYLRDLSVDEVKRELSQFKGIGPKTVSLTSFLLFSVFSKLILLFKGLVHAMDRGEVACVLMFYLQKDDFPVDTHVLRITKAMGWVPATASREKAYIHLNNKIPDDLKFDLNCLFVTHGKLCQTCAKKMGSEKNKVPNAACPIASYYCVGELLEQ >Dexi9A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:9A:16240479:16241306:-1 gene:Dexi9A01G0021330 transcript:Dexi9A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPPALRDPTARLARSFPASDMRARRMRRHAAEEKDTTQRRSRGPRLSMTNPIACFSSSSLVPTMLPLTSSTVTRSTGARGAPPEPDEAIPGALACTRTAKSSTDASLARAEYSQCVASATAPPPSDASAALATGRRGVRSTGSSSSNTRGSIGRKNGWVVGAAGDDGGWRSDVFVERREGRSRHPALPFATIPESETQREWKWWEQSGVEMDDDWPLVLRPPPLLRLWLRRESPWNELLSIPL >Dexi7A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:7A:12748808:12751231:1 gene:Dexi7A01G0003350 transcript:Dexi7A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVAGGVACCCPPPLAGRRAAALLCRAPRAVASGAAAVVEEGEGKVRLGESGVAVTKLGIGAWSWGDTTYWNDSEWDERRLKEAQEAFDASIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQNKKQVEVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGIWGNEAYLDGLADAYEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLTGKYTPENPPTGPRANTYTPEFLTKLQPLMSRIKEIGASYGKSPTQVSLNWLTCQGNVVPIPGAKNASQAKEFAGALGWSLTGDEVEELRTLAREIKGIKMPIEES >Dexi8B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:8B:5575422:5575871:1 gene:Dexi8B01G0005460 transcript:Dexi8B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGKLALFILLVYAITSPHLVNGGKQTCVKPQMKDKLLRTCYPWIKHGFVRYYLPPNSPCCAEVRKVRNMDMNCLALMLSPKDRETYDVDKIRGLQTRCQLHSPPPSRRNKDQVMV >Dexi3A01G0033830.1:cds pep primary_assembly:Fonio_CM05836:3A:38855187:38858944:1 gene:Dexi3A01G0033830 transcript:Dexi3A01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLLEQLAGEALRELMGAVRGTLFCRSTAERLRRSVEPLLPLVQGLGSRSSAGELGELAGRVREALDLARRAASSPRWNVYRAAQLSRRMEAADRGIARWLARHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAAAQPPPPALSVPVAPPPPALKGVPMQMPMLMDAPLAKASVEATPLKGVAMPAKAGVMAMDMELIDGHEEEEMAAAAGGLKMAKEKVKEMVMSGGGGWEVVGISGMGGSGKTTLAMEIFRDQKVRAYYNDKIFFETISQSANLETIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDRGPVLIILDDVWSLSQLEDLVFKFPGCKTLVVSRFKFPTLVTRTYEMQLLDEEEALSVFCRAAFNQESVPQTADKRLVKQVSAECRGLPLALKVIGATLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASVECLSEKVRDCFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILSELANKNLLTLVNDAQNKAGELYSSYHDYSVTQHDVLRDLALHMSGRDHLNKRRRLVMPRREETIPRDWQRNKDSPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTTSANLDNLSAFTTLNGLRSLWLEKIALPPLPKTTIPLKNLRKISLVLCELNNSLRGSTMDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLETISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTNLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >Dexi4A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:4A:3929953:3930270:-1 gene:Dexi4A01G0005390 transcript:Dexi4A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRWARPSWKSIFEARNTLIWGGALDAAFTVLETGNVSGATPEAVGTACALARSPYILHDACNKHTDSCYHDWVYEKLCLDIFRKGKSTFLNIKDRDITHEMS >Dexi3B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:3B:9890289:9890691:-1 gene:Dexi3B01G0013770 transcript:Dexi3B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFVASLPLLSASSAAAARAQSAPSTAAPRRVRTRLSVSTGGEQQVIAAQEQAQAQHQL >Dexi8B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:8B:317373:320015:1 gene:Dexi8B01G0000480 transcript:Dexi8B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKERGKATMGICCSKAKDDDDEQGGGFPWMHDDLFHHHLWTTAAASMHTKQGWKGANQDAMTVCQDFAGNKGHIFCGVFDGHGPLGREVARHVRDTLPLKLSSALKPETEEEEPSTDTLKLRTEEDPSSNTDLDSSDKSDSTTFSDDTIRDEKHLLSTWKNILVKTFEQVDEELRQHSGVNCICSGTTAVTVVKQGDHLIIANLGDSRAVLCTRDSKDHLIPVQLTTDLKPDLPSELARILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKNNGLICTPEVYYRKLSEKDEFLVLATDGIWEVLSNKEVVKMVSSGKDPSKAARQLIERAVRAWRRKYPTSMVDDCAVVCLFLNRAPGTAGDVVKAPPHHVDDEAAVSSSSFTGSFRRVLSGRGGEASSEVWRALEGGVARANSVIRLPRMLSWRRRSNSLEEDEDQQN >Dexi6A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:6A:3536443:3536723:1 gene:Dexi6A01G0003810 transcript:Dexi6A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIIIRCAYTCFLYIQGFDEYMNLVLDDAEEINVKKNTKKSLGRILLKGDNITLMMNT >Dexi8B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:8B:18578377:18580640:1 gene:Dexi8B01G0010140 transcript:Dexi8B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALHLRRVLPSPSPSPTHPAASARRLLSAFTTSQQNAATTVDLSSDESRRRLLNRLVYRSKQRGFLELDLVLGCWVEQHVHSMDEANIRALLQVLDLENPDLWKWLTGQEQPPEDLNSNPVFTAIKSKVTDNLTKHASPETRSTPGQPWVRGWDDIKKGKDGPKYGNQ >Dexi9B01G0020940.1:cds pep primary_assembly:Fonio_CM05836:9B:15598827:15602322:1 gene:Dexi9B01G0020940 transcript:Dexi9B01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSPPHVRVVEEKSTTWGPAPPQPITTYNNVREAMSFLYGNKQTVDLIICDVCFPTEDGLLILQEVTSKFDIPTVVMSSNGDTSTVMKYITNGASDFLIKPVRIEELKNIWQHVFRKQIGVEHRKCNSSQQVDQLSYGTTGITEATMDSEIRENNGTVTDIRDLRKSRLSWTIQLHRQFIAAVNSLGADKAVPKKILEIMKVKHLTREQVASHLQKYRLHLRNSTQTLHKGGAPSSSSHPNNESSILGTQVNSPPNSLYFDQDGCMEITDYSLPKDDISSGSDCMLGELNSYSPECFQDDFRWDSEKQGSETTYLWNFEA >DexiUA01G0027150.1:cds pep primary_assembly:Fonio_CM05836:UA:57920385:57921322:1 gene:DexiUA01G0027150 transcript:DexiUA01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEDLPKFTVIIAQKNHHTKLFQADAPDNVPPVAPICYAHLAAAQMGQFMKFEDFTDTSSGSGANSSSSAAIPELPRLHADVCSSMFFC >Dexi3B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:3B:11788556:11791697:-1 gene:Dexi3B01G0016250 transcript:Dexi3B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKIKVANPVVEMDGDEMTRVFWKSIKDKLIFPFVDLDIKYFDLGLPHRDATDDKVTVEAAEATRKYNVAIKCATITPDEARVEEFGLKAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFEGKEEQVELEVFNFTGAGGVALSMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLAANSNL >Dexi2B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:2B:27500038:27500600:1 gene:Dexi2B01G0017100 transcript:Dexi2B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIRPPRFLSPSPAAGPRVPRLRRPLDASRIFSSPRPPGRRPRAAPPTSTDLRRLTARIVELTRRRQLAQIMEEVEAARRRGRGAGALNTIVMNAVLEACVRCGDVDRALRLFEEMRGPRGCGVDGVSYVVELGR >Dexi1A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:1A:10333252:10335424:-1 gene:Dexi1A01G0011340 transcript:Dexi1A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLPLRLLSSKANLSSLPSARRYLSVSAVAHRRWQLRAAAEEAPEAVEVEFVEPEAEEEEPAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVYKYKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPTAA >Dexi4B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:4B:21530118:21536071:1 gene:Dexi4B01G0019240 transcript:Dexi4B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAERRGGGGAPSPLLVVLVALLALDAAPTLVGAVTDAADVSAINGLYVSLGSPTLPGWTGNGGDPCGELWQGVVCTGSTITGITMNAANLGGQLGSLGNFTSIITIDLSNNNIGGAIPEDLPVTLQSFFLSANQLTGSIPSSLSKLENLTAMSVNGNHLNGDLPDAFDSLNRLVNLDISSNNMTGVLPSSMKSLASLTTLHMQDNQLSGTLNVLQDLPLKDLNIENNQFSGPVPASLLNIPNFKVEPQIKPPPVQQRDDVKKGQSEALDRRGRELSSSAADSFREENVIRESRIGKVYLAELPDGKLLEVMKIDNANGRISVDDFLEEVEFISGIKHPNILELVGYCAEYGQRLLVYNHFSRRTLDDALHDREDTESALSWNARLQVALGSGKALEYLHERLQPPTVHQNFEPANVLLDEKFSLSGRMRALLHYEAPEFLDSGDISARGDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWASSQLHDIESLSKMVDPSIRGQCSEKALSRFVDIISRCIQRQPEFRPPMSEIVQDLARLVNAAGEESE >Dexi6B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:6B:13004689:13009061:1 gene:Dexi6B01G0009080 transcript:Dexi6B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAVAAAAAASALPASAGSGENLVLILDYGSQYTHLITRRVRQLGVLSLCVSGTAPLAALEGLRPRAIVLSGGPHSVHAKGAPTFPQGFLDFADGAGAHVLGVCYGMQLLVQSLGGAVEPGERQEYGKMDVEVTTPSSALYGEAEAGKRQTVWMSHGDEVVTLPEGFEVVARSVQGAVAAIENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIKTIQSMVGPDEHVICALSGGVDSTVAATLVHRAIGDRLHCVFVDNGLLRYKERERVMSTFESDLHLPVTCVDATEQFLSKLKGIEDPEQKRKIIGREFIAVFDDFAHKLEQKIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPDSFLKRHPFPGPGLAVRVLGDVTQGNALDTLRQVDEIFVQAIKDAGLYDKIWQAFAVFLPVQTVGVQGDQRTHSNAVVLRAITSEDGMTADWYYFGHEFLVDVVNKICNNVRGINRVCQDITSKPPATVEWE >Dexi4B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:4B:2630913:2633369:-1 gene:Dexi4B01G0003740 transcript:Dexi4B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAALLLAVALAAVLLHPATAAGQKKPATAARREDIPYIQCQVCERIAREISAQVAKKQQALPPAKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVIGYADTDIAEFVYKNNPSAEQLMKFLCKDLSKACAKDPPPVPKDRVPGEPFARKPSKDAEMEKILRSMEGMPGAPSMKMYSRDDLMKNNFGTEDDDDEDDEDEVDNFPKNLGKVLKDKGSQKKDLKQQVVQQFKDTSKKLKGQVNKVSKIVKKWWQGTKKPAKSSKSKTEL >Dexi3B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:3B:6748176:6749741:-1 gene:Dexi3B01G0009740 transcript:Dexi3B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPVVAEGDAALHAAGPPKDAAFGEGATVRHPGRLRVMHPHVAEFLRSPRRVARPAKKLEPAQEEEVAAAEAERPRYVCAFEDDEDGVPAPGRLVWGKVRHHPWWPGQVFDDADASGLALALRRPRRAVLVAYFWDKTFAWNEMDTLRPFRAGFTRLAAQSRMAPFAAAVKTALDEVARRVEAGLSCFCVAGEDEATANRQVIENAGIREGAYGAAVDATFARGALRGEALVGYISALATAPLAGADRVDLAIATVQLKAFSRWRGPTTRGLPEYTVVHGIDDLAAPERAKRRISSTGGGSGDGSGKRRMTRSRAKGDAACDGGDYEALELENFPQPTPQPMSTKMGKLMSRAAQQMSLSPVILMNNGDTPPAMTHMERCPRVADKVPPMNNGDLNGDPNSGAVLVVDERRPAAGMEDRLQTGLVLNFRSASVVPSTRRLTMIFSQFGPVKEVRAENSTAIVIFKKDVHADEAFSGTSEISSISSTLISFRLTDSIPAAPTDPPQSMLLDPLNAEALQ >Dexi9A01G0022160.1:cds pep primary_assembly:Fonio_CM05836:9A:17198243:17198876:1 gene:Dexi9A01G0022160 transcript:Dexi9A01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWNAFLDRLTNSDASPPPTPLCLQWTAPIEPLLVTVDVPPELTVRDLNKATKSFSDARLIARDRNGNFTVYKAVMPGGTPAAAKRLSTGFSNAFLRHQVSVLSTLRHDNLVRLLGYTVTADLRVFLFEFATVGTLRDVLHGGPTQPQPGRSCSHPALTLSWAQRKRIALEAARGLEYLHEAAAVTH >Dexi1A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:1A:5252269:5254388:-1 gene:Dexi1A01G0006840 transcript:Dexi1A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAFYYLCLLLALLLPLLLVKLLKNHDAAAAAAAGAGVRLPPGPWRLPVIGSLHHLIGKPLVHRALTDLARHLDAPLMYLKLGEVPVVVATSRDAAREVMRGHDVTFATRPWSPTIRIMMEEGLGLAWAPYGDLWRQLRRISVVELLSARRNFELMECVIKQHEERRAEAAAKGTDGQEMEEDLVDVLLRVQKEGALDVPLTMGIIKAVILWAMAELMKHPEVMKKAQAELRGNLNGKPKVTEDDLAHMEDPRYWDDPEEFKPERFECATIDFKGTDFEYIPFGAGRRICPGIMFAQSNIELTLAALLYHFDWELVDGLKPSELEMAEGTGLTVRKKNDLLLHPIIRVPPQSTQ >Dexi7A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:7A:289093:292620:-1 gene:Dexi7A01G0000200 transcript:Dexi7A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSLPLPQPPPQVYLRCPVPPPGPPVTAPPRVQLFRSPSPIPIFSSRPRAAARPPIPPPAAPAPAPAPPAPPSAAAVAPPRPPLVGPISTDKPRPYPPQPQVGLMLPPPAPKAAAEGGHIPMPKAQPNEQNNGWENSQAEINMGEAAQGHDKETTSEPAKVIKRVKKLKVSKNSIGTSDGSIAVGGDAGPGPSLHSTNHCRYDSSLSLLTKKFLNLLQGAENGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKGLKNMIRWKGFDMSKPKEMECQLSSLKGSTLIAINAPHGTCIEVPDPDADMYMYNDPATFFFPTSDNEEIFSPDKQVAQGKLEPVVATSSPQAAQQMDCDSNQTPGKGDSNAVCTHTSEPSRKHDIMSGILRIVPSDTDVDSDYWFASDVDASITDAWGT >Dexi9B01G0023830.1:cds pep primary_assembly:Fonio_CM05836:9B:19277061:19277438:1 gene:Dexi9B01G0023830 transcript:Dexi9B01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAATAPGAGSIASTSRFAAACGGQMTIVYGGRVLVLGDVPADKAVDLLRLAAATAARGGATAEPTQRSGGHLISAADLPVARKASLQRFMEKRKGRVAARAAPYGRPDASDACPDDHLKLAL >Dexi9B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:9B:10490864:10492212:-1 gene:Dexi9B01G0015410 transcript:Dexi9B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPPAPAQTSGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAAAAPAAPMMNADSCGNHSKAFQDCLSHYGSDISKCQFYLDMLNECRRGGATA >Dexi5A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:5A:8773277:8775022:1 gene:Dexi5A01G0011660 transcript:Dexi5A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKSTYLVGLVLASLLLSAMAGGHRKKLLNKDDAESMETMESMQQLQEDDEVAMVVHERILKQVKMDDYGRYDPSPTMAKPHFKDIPN >Dexi8A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:8A:28331608:28333391:-1 gene:Dexi8A01G0016730 transcript:Dexi8A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVDYLLELQEPPRGSYLLLPDRLTPDPRQREPVNFGHILAAAADSILFVATHLAQGRGRVICYLLIFLILLCEGGMNQLTRSLAAEWARDKIRVNSIAPGYITTDMTKDVDPELLKEQLLRIPMGRSAEPAEVASVVSFLCMPAASYVTGQIICVDGGRTMSA >Dexi6B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:6B:13323855:13328524:1 gene:Dexi6B01G0009200 transcript:Dexi6B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELGQQAVELGAMVRLAAEESYLALRELVERSRAEAEAEAQGKEGVRLRSDTEKKIDLLKFVDRTRQRMLRLHVLAKWCQQANVLRQGRWKDAIKSELISDSSAIQGGNSAPVQIGQDGELDLSGFRIPGLKKENEKNFENSCTNEVLQVRAYGQLYIHLGINISEGDASLGLAVVDYGWWGQVGLRQQPDKHDLCSGGRSDGMGLLETVQQGVLGALHGEHTQSGRLLLQSSKNILLPSALVESEEALNKGSLTASEVFVSLRTRSILHLFAATGRFLGLKLIM >Dexi7B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:7B:25837968:25838811:1 gene:Dexi7B01G0020570 transcript:Dexi7B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding YMRPAAVYMRPELQGDGHVLDEDSWSDVAYLRAEKPPTWGYAVSKVLVEKAASRFAQEHGISLVTICPVITVGAAPSMNTNTSVPNCLSLLSGHEVWLTVLKVIERTSGSVPLCHVDDLCRAELFVAEEAAAAGRYNCNALSTTVVELASFLAHKYPEYDVKRNLLQADDQLVERPRACLSSAKLAREGFVFKHNTLDEIYDNVVEYGKALGILPN >Dexi3B01G0024820.1:cds pep primary_assembly:Fonio_CM05836:3B:19476121:19481573:1 gene:Dexi3B01G0024820 transcript:Dexi3B01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAPMEGGPEACVLNREARAELVRRKQEPICGLPFCRRRRRPPVDVGVGVREPNRGFKNLVSQEESNCYMSDRAEMRLAYEAPMGFFTSMLCSQDGVDDFFGTSFESVITLM >Dexi4A01G0024490.1:cds pep primary_assembly:Fonio_CM05836:4A:27246959:27250975:-1 gene:Dexi4A01G0024490 transcript:Dexi4A01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGYDAGTGFLGEVNPDGDDGRREDSLVAADSSSVDCMHGSFSSSLSLNGVRVDDEQSALDNSSRPSSPFDILTPQDVLPMEVARSKFLDLIVNYFIREHVVEVVECTGLECSQMDDKSSKRKQHGVRYEGDPRVALPLMYIANLYETLVSDVNMRLVSLIGFREKTIGLALEASGGLYRKLTQRFPKKGPCSFKRRELATSHATRTKFPELVVQEEKRVRFVVINGLAVVERPDSMRMEDAEWFKRLTGRSEVAICSSDYKFYSPRHKLRRSPQAPFDIPETSALAEDENSTLVCSSGFRPPNEPTSPAKFCDECGSPYLRATSKFCSECGTKRLGM >Dexi1A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:1A:25960560:25962419:1 gene:Dexi1A01G0018770 transcript:Dexi1A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGIFDVSNEVSF >Dexi2A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:2A:12554030:12556087:1 gene:Dexi2A01G0011000 transcript:Dexi2A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVEEPSPSSSSLSSPAGSSESIDLNFLPFLKREPKSEPASPERGPLPLPVPPTQTPQHQHVAAAAAAATSAPPPTTMPDLSAAPVMTPLQALPPNPDEDAVLREYYRLANLYFSSAGAGAIVPAPAPGSAAPAVVQSGSGSVVRKRRPRSSELVRVSSLGVRDQIYFRDLVRRARITFECLRVLLLTDDERAESLGLPGVAGFGGGGRDRRRVRADLRAAALMADHDLWLNRDRRIVGPMPGISVGDAFFYRMELCVLGLHGQVQAGIDYVTAGQSASGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRRNGGEFAYDKTGSLLRGKPLIYECGPYCRCPPSCPNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIVAANGDCLVRPNRFPPRWLDWGDISDVKPEYVAPDYPSLPELNFAIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNASYPHLMIFAMENIPPLRELSIDYGMIDEWVGKLTM >Dexi9A01G0041900.1:cds pep primary_assembly:Fonio_CM05836:9A:45490023:45490614:-1 gene:Dexi9A01G0041900 transcript:Dexi9A01G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVMFAATALAVAAGQGVPSASTTVAPGKTERQKSDDSTYHHVWPPLRFGWRIVLGSLIGFFGAAVGSVGGAGGGGVFVPMLSLIIGFDPKSAAAMLKCEDLTPSCACMTMAAAVSTVYCNLKLKHPVLDMPLLIQPMLMLG >Dexi6A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:6A:2859749:2860772:1 gene:Dexi6A01G0003200 transcript:Dexi6A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQLAPPLGSLRPLASTHHRPKASTSATAMPSTSSSSSPPEPLKPRPRLPPSQPRQSSLPRPSPARLPSLCAAIERHAAAGRHAEALDLFRLARAAAPFEPLPASTYHALIAAAAALRDPGAAAAVAWHIESSGSEPDVFTDNLVLWMYLRCGMLGDARRVFEGMPERNAVTWGIMMGGLVDRGRPRAALALFREMWAEADGCEAPPRAVVVAVCAAAAAGSLRAGRQLHCCVVKMGPCGDDGDRYLSCSLLDMYNKCGCVDRARRVFDGMAPSQRTVVAWNAMLAGYVLHGRSEDALELYHEMQGSGVDMDQFTFSTMLGVFSRRMLA >Dexi7B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:7B:16976106:16976676:-1 gene:Dexi7B01G0009360 transcript:Dexi7B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLYFRCSYREDRHCQASKLVQQVTHDDTPLYEVTYTHEHTCNAAPVPVPGVEVEDERPATASGGGLLLTFGSSGTAGHHRHRRGDTRLQLKEEQQEYHQHLSPFLMTNLNHPNNNSQQPHAIPSHVPPPTTSSSSPPPFPIMESSSSPTLPWTDDEDDILTWDWDYSPTYDLDDHLQFTQLLSC >Dexi5A01G0039050.1:cds pep primary_assembly:Fonio_CM05836:5A:39786284:39793578:1 gene:Dexi5A01G0039050 transcript:Dexi5A01G0039050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDDPPLGRDWGRRTRGFEVGFIREGWASILKSCGDDQIGRGFEGVSFSGELTALTCMSVTVHFEERVPSNWQMHGFDHPIYTNITYPFPINPPFVTSDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYSQDSRLPAEFEVTDCCHPCDSGKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQIFITDYFFKATMDDNFTLADIEVEVEIDSHKQDQEHVSTLSIEAALYDNSRLSNSLNADLSSANVINLKPKPKQTGSPCHGFHGYILGGKIENPKLWSSEHPNLYTLVVLLKDANGKLIECESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNIEACMIKDLILMRQNNINAVRNSHYPQHSRWYELCDIFGLYVIDEANIETHGFDENSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHASMSGTEQDSSIMKEVVPEHHPQILYSHAMGNSNGNIDAYWMAIDSTFGLQGGFIWDWVDQGLLKEDSDGSKFWAYGGDFGDTPNDLNFCLNGILWPDRTIHPAVHEVKYLYQPIKISSANNVLKIENRHFFDTTEALDFNWVLQGDGCILGSGSLNVPTLAPQTSHLINMESSPWFALWSTCAVKEVFFSVSVKQRHQTLWAEEGHLLASAQLCLPQKNGFVPQAIAPSNCPVVCERTGDSVIISKNNAWQIKVNSHLGTIDSWKVNGVELMSKGIFPCFWRAPTDNDKGGSYSKPYFSRWREASLDNVSFYSSQFSVKELPDNTVELSSVYYGLPGNLPKPDTTALPQASDSILFQVNMLCRIYESGDIVLEYEVNPKADLPPLPRVGIVFNAEKSLSHVAWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIVPGECGGRADVRWMALRNADGFGLYAAVHGESPPMQMSASYYGTAELDRATHVHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPTRYAFAMRLCPLLPSSSCHDIYDSQLPK >DexiUA01G0005230.1:cds pep primary_assembly:Fonio_CM05836:UA:9405002:9405520:1 gene:DexiUA01G0005230 transcript:DexiUA01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHKVRFLKRQPRNLFAARPVYGSFTRCDDCALALDAIDPLTLRPEPAWILFD >Dexi4A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:4A:8074706:8075106:-1 gene:Dexi4A01G0010150 transcript:Dexi4A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRIIGSVHVTIILVILAFLAVSGVARQLGGTDLRAPAGESSVVSGEGVMQFLRQMYLQWLRAGPGTSCGTNSSNGGCPHGMNGGI >Dexi9A01G0034990.1:cds pep primary_assembly:Fonio_CM05836:9A:39665981:39668602:1 gene:Dexi9A01G0034990 transcript:Dexi9A01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKKGGRGDAGGESSGADGGYRNGGVDAPPSIQLDIMQLRDGGVGGRGVGGAGAGLHTAPSGSFFEPWREQTPGSAGSGHGSSGTGGGREPPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASSLHIVDFWCVTVILVGEGARVFSRSHELEWQHHATQTSTAGGALRSSSRFFRHIVHAIANPATAVAGGGDDNDARARAALFQRQIVGFMKQRAWHAPEVSLLPYTGWVFVSRKIGRLLNWLQVLSALACVALSLMRLWKHDHFGGDDTGNMRPALLLFYTLALVEASLFLFEKAYWAWKVDVCKLLHQVSDECELGAYGLVSLTRFFYDAYSRCVAGSIFDGIKMDLVSFAEELILSDFLDEQLIGVRILQQFANSDRSSADTLRKVGTTPKSIERLLEMLNWKRPEEEEVRRCAAEVVSKLAGKRQNALRVSGIPGAIESIMSLLYTGTHPQPSHGGDVAAVAEHHEASPAAVAAGRDYDHLPFNLLGLLILKKLARDHDNCGKIGNARGLLAKIIGFTQASPVLLRNQHASDSQVRAVKRALQLVKRLVSTTGGTGLALRQDVAENVFTVSNLRDVLRYGHQHRELQKLATDVLTGLALDESGKKAIMATGGVVKELLSIFVGAVEKEELGAEAGEALAMLALESEDGCAAILKQRADVLDQLVSALHDAGDARRLNAARLLRSLCAYSGEKQRERLRAAVTKALPEVLNATMVETDKVLEVCVGLTTQICRFIDGERFAGELRGAGMVAGERAYVERLAAILRRYRYPEIRVPRMRRFVVQQVIWMMESSRGHVYVELLREVGMERLLESIADTTSELECYHVFSGSVGISKHRESFSAIVDSALELIAGGGGGARSS >Dexi2B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:2B:8418196:8422299:-1 gene:Dexi2B01G0008360 transcript:Dexi2B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAARGPRAHRRRGVGGGAGGGRVRPRAWCCSFAGAPDSPDLRPLPSPSPAAAAGWKLPPKSPSAPSFHGSPTSSRLAGLGGLIDPRRILSPGRVSPIDPDGVAPPSLPLPLPLPAPPPPPAAAAVVAVVPAEQPVSVSAAVAAPLVSVREEGHGGGGALDLRLLLRGRDGRCVHMELDSRVLCGCSAFFAAMAPGEDVPAGDGGKRIEVDGVDNLDAFRAAVELMYEPDPIRWLVAAGVSRAIDVLEVCSSIVFNKGIESCLAYIEAVPWSENEEEKLKNLFARFTFDEAVSQDILARLRPQSQKSSDDLTVQLIQSVTSSTNNGARKDMQSLVNSLLSKSSVYQKDTSGLNKESLYQICYSCLESLVDLFEEATESTDHPGQAMVVRGSRPLIERVSSQAENLNWLVDILVNNDMAEEFVELWAKQERLIRMHGQASPMIRYELSRISSCVFIALGKGKVQCRGDVRSLLFNGWFSTMLLDFGWLQRCSKGLDIRSLEENLGRGLLTLPLRQQQSLFEEWFQFYATKGAECPNLIRAFQVWWRRSFIRSSVEHRN >Dexi7B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:7B:17558703:17561959:-1 gene:Dexi7B01G0010170 transcript:Dexi7B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVGSAPPRPGLAAPTRYSHLRRPGTLAYARRPLAAAPMEAAGGGRPSPAPARCTRAETEPEVDEVATSSHAAAGTTEQADNNGSPVSDAVSAAAEVSNVVAGVDGIRIRRRPVTGPAVHYVGPFQFRLENEGNTPRNILEKIIWDKDMKERRPLYMLKGPLEAAPPARDFVGALKASYDRTGLPALIAEVKKASPSRGVLREDFDPVLIAQAYEKNGAACLSVLTDEKYFQGSFNNLEAIRNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDRDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDISNTKKLLEGERGQKIAEKDVIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAA >Dexi9A01G0025750.1:cds pep primary_assembly:Fonio_CM05836:9A:26445145:26454089:-1 gene:Dexi9A01G0025750 transcript:Dexi9A01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGADRSPPPRRLVFAFYLTGHGFGHATRAIEVSPALPLASRIPATLRTPTGVLSGSQPRPAFPWLRGSQVVRHLIAAGHEVHVATAVPEFVFTAEVRSPRLRIRRVILDCGAVQADPLTVDPLATLEKVSDVVPVVCRVAADMGIRSVCIGNFSWDYIYSEYIMDAGYHHRSIVWQIAEDYAHCDILLRLPGYGPTFRNVIDAPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQVVAQILQDTAIGKECISNKFSGARRLQDAIVSGYQLQRKPGRDVNIPDWYSLSEAETSAGLTSKNIATKETAATCFEDFEILHGDLQGLTDTMEFLKNLSELDGNNLESPEKQQQETTAASVLFDWEKEIYIARAPGRLDVMGGIADYSGSLVLQVSFGSELSNRAPTFNMDLSDFMDGEKPTSYEKAREFFCQNSSQKWAAYVAGTILVLMTELGVQFADSMSILVSSTVPEGKGVSSSASVEVATMSAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVGIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDIVSESLTSEAPVHSDCYKENGTGLLKSEAALEYLCNLPPHRFEAADAKDIPEVISGDAFLEKYGDHSDTTFKTLLAAGNTDEQLSALGELMYQSACGLGSDGTDQLVDLVQEMQHRTTSEGGSPSLFGAKITGGGSGGTVCVIGKNCARSSEEIVEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRCRRS >Dexi9B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:9B:6167239:6169408:-1 gene:Dexi9B01G0009980 transcript:Dexi9B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESLLSRQQLQQPRPQWADEITTVSKGRRDDADADPLIRRIRSLSIAPPLLTSQSESEAESSLTDILVRKPSTSPASSGNLNPNVLAELFSMYREWQEENAKKISQKQRFNYSLYSMRSTSHNLAEVHPLQIEVGEFKGRLTEVISNCDALCKRISAEGPESLRSSVEPFTAGGADAEGSGSPISKKEP >Dexi9B01G0022270.1:cds pep primary_assembly:Fonio_CM05836:9B:16925684:16927691:-1 gene:Dexi9B01G0022270 transcript:Dexi9B01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLIGDDGEASRSTSTWDTAADSSDIGYHLFVVRRYSRTKDTPNGKCIDSQQFRVGGYRWHIEYFPNGCDDDHTDCICFYLSLDDDGHTGEHATLQFEFSFIDQVDRQDASRIRAMRGWKLESDCCWGYSGFVNRSVFELSSTHLKNDSFTVRCDVVVTTKNASNTDRSSSSSVVVSPPPSIQQDLISSLLLPGEGTDVAFEVGGETFMAHRCVLAARSPVFRAELFGPMKEGTARSAIRIDDMEPEVFRLLLTFIYSDSVPLINDHGDDDDDEEVDYDDNDIMILWQHLLVAADIYDLQKLKLICEENLCEYIEATTVLSILALAEQHNCQGLKKTCLDFRNSFV >Dexi9B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:9B:13566316:13567839:1 gene:Dexi9B01G0019030 transcript:Dexi9B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFRRAKAPPAAAYPPAPMPMLSFPGKKALRFAVVDTTAAEKDPGLAVLMLARTHVVDASENARASAAALRAYLRAIHAAKTNAAAPDHQHKLCFAWRDAGDESDKKKAKASSSSSSATQGHSHTSLATEWAVALFAMAAELARVAAADDRRDADGIRRACGALCDAAGALRAARAGAPDVVGDQMCHMTDACLAAFERLMLAQALECYFELAVAGGKPPALCSKIARQVSLDYHEVFVKLGILQQHQQPIDKSWAPHAQGKAAYFDAEASLQLARALREQGPGYVGEAVARLRHAASVLDAATGKTGPLPKKSSSSSCTVAAALAPVRDAAARLRKEVEAELAAAENDNCQVYYERVPAADTLREMPALPESLVRPTVVEKLLKEPDGELGVANGGGAPAIRH >Dexi3B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:3B:14057569:14058167:-1 gene:Dexi3B01G0018940 transcript:Dexi3B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLGDAVGREEGDEELFEAASYASGGESDADEGDNFPDGGGAAGDHHRMFVPQPLRRMNSDSIYDMTSMMSQLPAKKGLSRYYEGKSQSFACMSEVRCLEDLRKKDNPYKKKIKSCKSYVALGGMPKKPSSTSSCANMNLVAASGFRTPPIQNGYHQ >Dexi3A01G0027100.1:cds pep primary_assembly:Fonio_CM05836:3A:23785585:23786184:1 gene:Dexi3A01G0027100 transcript:Dexi3A01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSGNGSGGRLNPWAEPFVPSAVRYRGLQTVEAAEAEQEVEDFSPEWWRLVSASPAFRDRWLRDYGALGLLDADMDEEEEAAVDSFLPEDLLSPPPPRQEREREEGAAGKRGGGGLEVAAWGIDKWWRAHGGPPEVPRYAEKAPRRVAGGPRVSPRPIQQPR >Dexi4A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:4A:20593366:20594831:1 gene:Dexi4A01G0016850 transcript:Dexi4A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSTAGLLPTRQRRPDAMLLSCRSLATWVRRLVACMGCSRGCFGCCTKPTPITAVDEPSKRLRIQGRSVRKASLSEDFWSTSAHEMENSGIQSQRSMSSISTVAQSSDPNAAGSSSNPNELVLCSGTRPDNSGLETKNATLDLNSFENQN >Dexi1B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:1B:1101396:1103061:-1 gene:Dexi1B01G0001410 transcript:Dexi1B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTAALMAAALLTLLVSPAVLMLLLSPAASDTYEQETRRMFVQWKAKYKIAYKYAGEECRYALFKHARRTVARSNAAGVTTSGLNGLSAHASEEIFQGEGFQIGEESYEEESRRMFVGWKAKYKKIYRDVGEEECRYALFKGNRRIVVKLNAVAAAAAGEKAYGLNEFGDLTMEEVRERCDSRGGETEGKLSAMCQAAVAEYPGVHHRPIWSLVCHCIALELKQAESGGSTIPGDEAHMQI >Dexi2B01G0035660.1:cds pep primary_assembly:Fonio_CM05836:2B:42610443:42612284:1 gene:Dexi2B01G0035660 transcript:Dexi2B01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDPSQDLAPPIAAAGGLEVEARAEVDTSAPFKSVREAVDHFGGSAAWSSHLVKRMFAPPKPKKQGEIELLTNLQEQTSRLGKELSVKERETLYVLKELESTKKVIADLKLKIQNEEAALFSISEEKTGQAGAPTAEPDQKQPENAEADVVMSGLADGRLQKNPAPSVLKKLEQAKANLHRTTSDLAAIRASVASLLNDIAKEEVSVQRSREKVCNNATLISSLEDELGQTTQKLQTLRDLQRRREDPSNIFIEIKKMTSELEQLRNTAKASKSEAVMLTAEIEQTRASIATAEVRCLAANKIQEAARAAEALALAEIKILLSNQASSAEDLHGADGVNLSLGEYSALAAKAQEADECSRMKIESAIAQVDEANRSESDSLRKLEEAQLQVEECKKALQEAQKRVDAANQGKIAVEEALHRCRSATGRKRRSFYDRPKFKHAAPRHQGSHGMDIVDVSKGPLKPTLSIGQILSMKLMGPDGYDKSVWDDTSETSSVSLGQILNRRRAVVYSTDATAHTEFSGKRKKFAFTGLSVFLAKQAKSKKKKETH >Dexi9B01G0037440.1:cds pep primary_assembly:Fonio_CM05836:9B:38841047:38852730:1 gene:Dexi9B01G0037440 transcript:Dexi9B01G0037440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEAYLLFGLLLLVLFLFYLEDDVEAMVAHSAGTAGSSGAGKPLRVGREREVEERDASTAGASSTEFASYLVVVVAPSAAPRRGGMHDGPACFVMVLGLIGPADWVIAPFPPRAPPPRTSAGFFAERRLAYTDQRSHAGTPERFQRMHHKWVSRRRAPHPHRRSGHVVLAALGSYGRGAIGSGAARFQDQDTGSQDAAIIRGRRMIADETAPPGTATRRVAAEPKTPRCASDLDRWSRIRRWAPSAPPPPTSPARLLLSHHMAVSRRAHARKHRPPPRGPAELRAGLLQSSSLSSRSRRRNEVVVATSRYGGLKLATSYLLPAGRTRFNYANEFGIWVQAPRVRPAGTRSHDGRIDGLARWFCLADSSIECTHGAGRDGRHGGRILDEIVCSAELCRPAGEATERRLMRRRDETGARRQVEGPPPHIHPPPCFLPPEAPASHHFSFLLRRTSKPPTALACSPDYHRNKQQHPVSPVRRHQGELSPALCATATTVRDNLATAFVALDDPRAGMSVTIELSPDPVSSMSVTIEPSQYSSSFSHESRPHDRTLEAPQSHARGSAAKLEGALTLERRSVTLEAPQPSSRGHLPSSDVPSRSRLRSQARGGTYPRATFRHARGFVAKLEGALTLEWRFRPFEARQARACGSSSKRLHYHLRRFPTAAGQHSPRNPIAGPYLLLSTHHYRCSAPAVDKCSLSNGCHHSKVLHLSHTTPPLSPLFGDLHGTQAAQSPPCPSFFDKQTLPPPLRKATRKCPSRQPTLTFNQDPRHQPHRRRTVRDNLATAFVALDDPRAGMSVTIELSPDPVSSMSVTIEPSQYSSSFSHESRPHDRTLEAPQSHARGSAAKLEGALTLERRSVTLEAPQPSSRGHLPSSDVPSRSRLRSQARGGTYPRATFRHARGFVAKLEGALTLEWRFRPFEARQARACGSSSKRRSAWSKCPVQARKLCPTPQTPTFNFTRFEVQLKFLEKVQKGQGAPRGCQLAPGALCSGRSPAATWPACGGDRVGSAEPWRQLALVLTRLSKPSVPSRRGRRRTAASRRGAPSYGRAPPWPHARSRRAERTAGGRVRSRSPLHHAMIFDAQPRVCALFEIAAPSMATATATHALARSRGRTVPLSRAQALHRALPPLLSLAHLAISTSPRSLGLLLSRAVRTEPSFSEKFALHTPPFPNFPQIANSGHRSTRTSSPYSEPSPRSTEHAIGFLELHWCSRTPRTSANDPELTGVEAAAAAPPPPRRRRNSDHPRPPNRPQTTRGEPRKLFPHFPVPSSPPFGRRNSGESRGPSFIVFVCLGVSAQKFRDSYASHVEGAIPDGNYHLIPADEEEVPEEGAGAGSTNPEANPQFEQEGKPRSMT >Dexi5B01G0032160.1:cds pep primary_assembly:Fonio_CM05836:5B:32767604:32771453:-1 gene:Dexi5B01G0032160 transcript:Dexi5B01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQCADKRPRKRPRLAWDVAPTLFQPPKAIPMLYCGQELINGNFATTFLPPPPIYYPGPPRNLSPPWRPDDKDGHYVFVVGENLTPRCIFLQLNHDSFTLLALDRILSKMGEGTFGQVLECWDLENQEAVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVSIRPPKDGSFFKNLPKSSAIKLIDFGSTTFENQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSVSLLILNLVMQHVDHSAGDLIDLLQGLLRYDPNERLKAREALRHPFFTRCIRRCGF >Dexi5A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:5A:2636948:2637915:-1 gene:Dexi5A01G0003490 transcript:Dexi5A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGRLGEAGDTGLELSLGLPAYFSKPSGYVPVVRVRPPAAAPVVGWPPVRSFRRNLASSSSRQSPQSSSAHHHDAAVKGSVTVAAKGGAAAGEGGHKGPGLFVKINMDGVPIGRKVDLRAYGGYAELSAAVGKLFRGLLAAQGDPGAAAVSRRCGEEAAEEPVIGGEYTLVYEDEEGDRVLVGDVPWE >Dexi1A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:1A:3578741:3579643:1 gene:Dexi1A01G0004920 transcript:Dexi1A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQLLQILFFMLLCTSMAINPEAEALLRWKSTLANSSLSSWSLANAICSWFGITCDSTGHVTVLDLSFKGLNGTLDAFYSTAYQNLTRINLSNNNLVGTIPANMSMLLTLTFLDLSSNSFSGAIPYQLIHLSRIATLNLGNNHLTNPEYGKFSPMLDLKFLLLANNDLTGTSPWLILNSTGPRLSVLDLSANDFSGHIPYSLPEMAPRLRILNVSYNGFSGPIPRSLPRFKELQALDLYSNNLTGVISEELGMISGLKYLVLGYNLLGGSIPASLGKLQMLQTLDISSNDIVSTLPLE >Dexi4A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:4A:19407141:19411720:1 gene:Dexi4A01G0016000 transcript:Dexi4A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRECKFLAEVEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVTLYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFL >Dexi9A01G0036170.1:cds pep primary_assembly:Fonio_CM05836:9A:40709974:40714474:-1 gene:Dexi9A01G0036170 transcript:Dexi9A01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPRSGPGAGKRRGRGPRIPATTLRKQQAALANVDQITGAKIPKSFVFSRGKLPSTLRHLQHDLRKVMLPYTALNLKEKKRNNLKDFVNVAGPLGVTHFLILSSPKSLPHLRFANSPQGPTFTFQIEEYALAADIANSQKRPRCPPGIFKNSPLVVLSGFSGLGNPFESLVEYFQHMVPAVDPSTVKLAECQRILLLKFDKEKEVIDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEVDDEAATVSLPSDVDKLNQASRKSAVRLQEIGPRITMRLVKVEAGLCSGDVLYPWPVEKEAGGKKGNVTEEEIEGQEETDDGLEDESEDEMEE >Dexi4B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:4B:20828317:20831785:1 gene:Dexi4B01G0018510 transcript:Dexi4B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDRYPTELRVLAVDDDRSGLRLLQQQLHLCNYNNVLSTNDDKETMVKGIKNGACDYLVKPACLDQLRNIWMHVARNKMKNAGNNVRSGKDGAGHKLRSADGENSEDGANHTKKYSKKNKKYGNQAEEDKDGTSTQKKQRVQWTGQLHHKFLEAVNTIGMDKAVPMNILKEMNVDGLTRDCVASHLQVLFLFFIS >Dexi5B01G0028990.1:cds pep primary_assembly:Fonio_CM05836:5B:30271329:30274172:1 gene:Dexi5B01G0028990 transcript:Dexi5B01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPKLPSVSPPPPPPYILLLFLLTTTMAVSPAAAWLDCGDASPSPPPSSPSPSPQPAPPPTTNATSSSDAAFRANLLTLLGELPRAAAATGFASLSLGSARRGDRAFVRGLCRGDFAPPRCLAELQAAVGNLAASSCFLNNSHRRAALWLDVYIAYGDTNASTPREDALREILYDTRLVADPAGFKQAYTPLMSRLVARAVASGGGGSGRRPPFFFATGEARYDASGDDPNGTMYGMVQCMRDMTAEECERCLNASVPRLPCGSGNQGGVVLAYNCFLRIQVYTYYDLALDAPAPAPVMTSPPTPAGETSGTSRPRNTTILAVVFPLGTLLLLAFVLTGGVYLRRRRGVKDSKDDCSATYVHPEKFTLAVLRAATGNFAAENKLGEGGFGQVFKGRLEDGQAVAVKRLSRGSSQGFHELKNELVIATKLTHRNLVQLLGVCLEETEKLIVYEYLPNRSLDNALFDAARRHQRKTLDWRRRYAIIRGIARGLLYLHEESRLRIIHRDLKPSNVLLDSDMSPKISDFGLARAFWGDETIREVTKRPVGTLGYMSPEYAYYGHVSTKSDMFSFGVIVLETLTGRRNTSLPSSEDGSASNLMSYVWEKWRRGSVAEIVDASLGGRYAPAEALACAQVGLLCVQKEPGARPDAAAVVLMLEGQSAIQRRPSRPAFCCSGSSMATSSAAAASSRAARGGGGKRYGRRRPAATDPVSENGVTVSELEPR >Dexi1B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:1B:22561651:22566480:-1 gene:Dexi1B01G0016040 transcript:Dexi1B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGAAGGGSGGVSDALFRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPPKILCKVVNVELRAETDSDEVYAQIMLQPEAHQSEPTSADPEPLEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMTQNPPWQELVAKDLHGNEWHFRHIFREVRMESYELGFSGTIIGLGSMPANSTSPWADSDWRSLKVQWDEPSAVLRPDSVSPWELEPLDATSQQPPQPPLRNKRARPPAIPPIAPEIPPAFGFNSKNERSTPTNNHLYWPIHDMRTESYSASINKAPPERKQESATAGCRLFGIEIGSAVSPVVTVASVAQDQPPAVSVDVESDQLSQPSHANKTDAPAASSERSPHETESRQVRSCTKVIMQGIAVGRAVDLTRLDGYDLLLRKLEEMFDIKGELSASLKKWKVIYTDDENDMMLVGDDPWHEFCSMVKRIYIYSYEEAKSLTPKGKLPVIGDTIKPNSDKLSPESDMPQSDSDNNSPVAADKD >Dexi5A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:5A:5214063:5215995:-1 gene:Dexi5A01G0007010 transcript:Dexi5A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAGMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDNSGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEGEKKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQKPGGSPLTRIAQVLVASARKWSVAVPADKSQLHETVDKESGIEGSRKLEHTDQFMCLDKAAVVTADDRNTANPWRLCTVTQVEELKSVVRLLPIWATGIVFATVYGQMSTMFVLQGNTLDQRMGPKFSIPSATLSMVDTISVIIWVPVYDRIIVPFARSITGRPRGFTQLQRMGIGLVISIFSMIAAGVLDIVRLRAIARHGLYGEKDVVPISIFWQIPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGSYLSTVLVTIVTNITTKNGNLGWIPDNLNRGHLDYFFWLLAVLSLLNFLVYLVIAGWYKYKKTADYPDAKGENNQDH >DexiUA01G0026140.1:cds pep primary_assembly:Fonio_CM05836:UA:55092038:55092921:-1 gene:DexiUA01G0026140 transcript:DexiUA01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSIFLPPTETGVKPPVLFWLSGLTCTDENFTTKAGAQRIAAELGIALVMPDTSPRGDDVADDAGYDLGKGAGFYLNATEQPWARHYRMYDYIRDELPALVQAEFEVSDRCAISGHSMGGHGALIMALKNPGKYTSVSAFAPIVNPTQVPWGQKAFTHYLGSDTDKWQAWDSCALMLASNTGDAIPTLIDQGDADQFLAGQLQPAVLAEAARQKDWPLTLRIQPGYDHSYYFMASFIEDHLRFHAAHLFK >Dexi7B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:7B:17160332:17165038:-1 gene:Dexi7B01G0009600 transcript:Dexi7B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESGSCSGAAGGGGGGCEAVKKRPEQSVAFHELFSFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSKALNTDFLNFCSLRVLQYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDTADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMESKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSSLVAARLATDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVALLILITFPLLVLANFAQGACTVAVAVVLPVLGPATGCPVHGRLVHGNLGAVSRRLALLLHGPGRGERLYGHAAKQSSTWPPRGLCARVLLPRVRMVSSAALRSARGASRFRATGPWRHARNDFALAR >Dexi5A01G0027120.1:cds pep primary_assembly:Fonio_CM05836:5A:30677309:30677995:1 gene:Dexi5A01G0027120 transcript:Dexi5A01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYVSFSLERSSSRDVPEPPVGGGGASAAAYPRVVVAAGMDTSLALGGGAAAHGHSHGQYLPLNEDDPLDMVLFDVLREASGGGGGGSAAPTTYPPPLQGSTTLPPPLAAALDSASGAARKGVVGVGCNDRSAHAPKAAAGTGRHYRGVRRRPWGKYAAEIRDPTRHGARLWLGTFGTAEEAAAAYDRAAFRMRGAKALLNFPRPVATAGCGAAATSKQAAGVTEAK >Dexi9A01G0030740.1:cds pep primary_assembly:Fonio_CM05836:9A:35672252:35675662:-1 gene:Dexi9A01G0030740 transcript:Dexi9A01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPVHKKLFAAALQAGRAKTEEGKTEALKQTFVAVETLEEAFKECSKGKPFFGGDSVGYLDIVLGSLIPMLFDDTRSPLLEAWVERFAALDAAKAVLPEVDKLIENSKAKQARAAAVAAATSK >Dexi2B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:2B:10594381:10597718:1 gene:Dexi2B01G0009860 transcript:Dexi2B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAANGGASRRARPNLLVTGTPGTGKTTTCSLLAEAAGLRHVNIGDLVREKSLHNGWDDDLECHVINEDLVSLRLRLRSASASASAPPPPLTAVPHPFSQSCTIAVRVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTCRGYTGSKLSNNIECEIFQVLLEEAKESYKEDIVMPLRSDNVEDVSSNVGTLTDWVNNWRPS >Dexi9B01G0034070.1:cds pep primary_assembly:Fonio_CM05836:9B:36150879:36153987:-1 gene:Dexi9B01G0034070 transcript:Dexi9B01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALRSAAARARAAAVSGGRWLGTSSAAETERERGRGMEKEEEEEERGRWELSVAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEQPWGGSRLRKAGIKTAVVSNFDTRLRPLLQTLKCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHVGDDRRNDLWGARDAGCDAWLWDSDVHSFKEVFLFFFRTPCPPGQSSLRF >Dexi2B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:2B:6904:7896:-1 gene:Dexi2B01G0000030 transcript:Dexi2B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVASLTPPRRPSSSAGKLLGTSSRTAAASPRPLLKLKQRRSISCSNSLAAAAARPRSSWSCASASASSAAAAAEPPQKEKDLVFVAGATGRVGSRAVRELVKLGFRVRAAVRNVQRASSLSLVQGVQQLKLDGDAAAIPREIDDRIEHLSASSIPFHAAAEKLEIVECDLEKQPQDGIVKAIGNASLVVCSIGASEKEILDVTGPYRIDYMATRNLVEAATAAKVEHFILVTSLGTNKIGFPAFLLK >Dexi2B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:2B:27203271:27204413:1 gene:Dexi2B01G0016880 transcript:Dexi2B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVEAAARKTKPGSSRRYALLMAVHDSEYVLKRYGGYLHVFVAAFGDDDAGEEWDMYRAIDGELPSPGELVSYDGFVISGSPHDAYGDDPWILRLCGLVRELHAMRKRVLGVCFGHQLICRALGGRVGKARAGGWDVGVREVAIVAAAAADDAKVPCRFLDVMRQRHQLPRRAKITEVHQDEVWEVPEGAEVLASSDKTGVEMFRVGEHVLGIQGHPEYTKDILLSLVDRLLTAGSITGSFAEAVERQLETTAPDREFWLRLCKSFLKADDEEHVWL >Dexi9A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:9A:9965475:9966845:-1 gene:Dexi9A01G0015000 transcript:Dexi9A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHDQDTDPPSPAAAAAGGRCPCCSSSSRAVPWRRSVKRKLGAEKGHEGEEDGEEGSTARVEAEDECAALREAVAAAQSTASALQAEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDQLRALLAQRARRLVRLRSRLREYRLEFLHLGIPLPEGEDLVAQNAQEEEEDLLLLEGEDGYADDDGGYYPELRCRNGEYYYEDGQEDEDAVVLDLERRICRLEHDQETHLLEPALEEEEGTHLYTDEALPELSEPEQDGLYANEMLPEEAVEERSQLYNDDEDLPESPTAGFGGGEEASEIDGAGSASGSDRVYTIDKVHQGVSAPVARVLDKYQDEAVEPDIKKLYMRLEALEADRESMRQALVAMRTEKAQLVLLREIAQQLAKDGAPAGSGAGVRPRHTPGKCTVGIVERRFTEDKKAALVKTFSMAALFKV >Dexi1B01G0024450.1:cds pep primary_assembly:Fonio_CM05836:1B:29744582:29750480:1 gene:Dexi1B01G0024450 transcript:Dexi1B01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERDLHMRRGDGDNSYASNSRLQEKAILKTRPVLHEAVAAAAHASSLSSAAGGAMVVADLGCSSGPNTLLVASEVLGAVADRRDELALGGQQQQVVQHVQFFLNDLPGNDFNLVFQSLELFKKLMVAKDKGDSLPKYYVAGLPGSFYTRLFPDRSVPEELARGTVVNEGNMYIWEATPPSVVKLYQKQFQEDFSLFLKVRHKELVSNGQMVLAFLGRKNKDVLRGEVSYMWGLLAQALQSLVKEGRVEKERLDSFNLPFYAPSVDEVRNAIKQSEAFDINHIQLFESNWDPYDDMDDSDVVLDSVQSGVNVAQCIRAVIEPLISHHFGEHVLDDLFEIYARNVAAHLKKVKTKYPVIVLSLKARRPPPKYPAMDICYPVFTQSQHLKLVQLAMKVERDLHMSRGDGDTSYASNSRLQEKAILKTRAVLHEAVAAASHASSLSSAGGGAMVVADLGCSSGPNTLLVVSEVLGAVADCRDEQPVQHVQFFLNDLPGNDFNLVFQSLELFRKLMAAKDKGDSLPPYYVAGLPGSFYTRLFPDRSVPEELARGTVVNKGNMYIWEATPPSVVKLYQKQFLEDFSLFLKVRHKELVSNGQMVLAFLGRKNKDVLRGEISYMWGLLAQALQSLVKEGRVEKEKLDSFNLPFYAPSVDEVRDAIKQSEVFDINHIQLFVSNWDPYDDMDDSDVVLDSVQSGVNVAQCIRAVIEPLITHHFGEHVLDDLFEIYARNVAAHLRKVKTKHHVIVLSLKARRAPPKYPANGDCYSLFAHGEFK >Dexi3B01G0034820.1:cds pep primary_assembly:Fonio_CM05836:3B:37504803:37505193:1 gene:Dexi3B01G0034820 transcript:Dexi3B01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELRELGDHRDGELGGEGDGGAVPVEEAEWGRVEGVAEKAGRLVGEAEEAVGPDLRDAVEGEVGAVPAAAGGEAFVNSWEAARPARRKRSRRKKKKKSSGGLGLELDIEVTERRRKVECVVGLGGI >Dexi7A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:7A:11543730:11544201:-1 gene:Dexi7A01G0002740 transcript:Dexi7A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEVGSEAGQWAILRDDVALTEEERAHGVNRCREDVAHGVQCRGLEVLEGVDHDGVRERQPLGLAHEEVEHDVVTAVTHATCAGDHSGEEAALDVGEVGLVLEGHDAPVDELGFLYPMKPQALAVHSAATGTPISRAAS >Dexi6B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:6B:2535556:2536164:1 gene:Dexi6B01G0003040 transcript:Dexi6B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQAYLLAAAAIAAAAMLATPAYGASYTVGNPGGSWDTKTNLNDWASSIDFRPGDELVFNYDASVHDVVEVTREGYRSCSPASPLAAALRSGADTVQLNATGTRYFICGVPGHCDAGMKLEVSVSDANCTTTLPPPAPPSPPGAPGAPGGIRICSGGPPTIVMSPGVISYGNGAAPGSSASLSSLIVTMASLLLVGLIIV >DexiUA01G0000290.1:cds pep primary_assembly:Fonio_CM05836:UA:1723476:1725721:-1 gene:DexiUA01G0000290 transcript:DexiUA01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTYNLFMFMAVLFFPLLLLIKLRKRAGNINNGVRLPPSPTQLPVIGSLHHLAGNPFVHRALADLARELDTPLMYLKLGEVPVVVATSPNAAHEIMRTHDVTFATRPWSSTMXXXXYGDHWRQLRKISVLELLSARRVQSFRHVREEEAARLVAAVAATPAGEPANLSELVAETIADSTVRALIGDRFGRREEFLKTIEEESKLTSGFNLADLFPSSWLVSLLSDTARRSHAMHQKSMELMEHAIKQHEEARATMAASGKMTEEGDLVDVLLRIQKEGGLNVPLTNGTIKALIFMMKKAQAEVSNILNGKTRVTEEDLGEMKYLKLVIKETLRLHPAAPLLIPRESRESCKVLGYDVPKGTWVLVNAWAIGRDPKYWEDAEEFKPDRFEPGAVDYKGMNFEYIPFGAGRRICPGILFAQANMELVG >Dexi4B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:4B:2096141:2096992:1 gene:Dexi4B01G0003070 transcript:Dexi4B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPVYRRVLRAVQKHVGEDASKQHFRDFIAAEFRAPAGTEADARARLRLAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYRP >Dexi2B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:2B:12024130:12032651:1 gene:Dexi2B01G0010600 transcript:Dexi2B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAVQGGSAISSFEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLNKKKADGLRRLRISVGHDSRISAHKLQNAVTHGITAAGHDVLQFGLASTPAMFNSTLTEDERNHLPVDGAIMITASHLPYNRNGLKFFTNDGGLNKANIKDILERASKIHEESANGNQKEQEGAFRGAVTNVDYMSIYASDLVQAVRKSAGDKEKPLDGLRIVVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMGAIVLEEHPGTTVVTDSVTSDGLTVFIENKLGGKHHRFKRGYKNVIDEAIRLVKLLNKLAAARTLGSSVGSKVLTDLVEGLEEAAVTAEIRLKIDQNHADLKGGSFRDYGEAVLRHLENAIDKDPNLHKAPKNYEGVRVSGHCGWFLLRLSLHDPVLPLNIEAQSKDDAIKLGLAVLAAVSEFSALDVTSLNKFVQQ >DexiUA01G0026250.1:cds pep primary_assembly:Fonio_CM05836:UA:55653287:55655909:1 gene:DexiUA01G0026250 transcript:DexiUA01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPIDPRKIHKSTVDGLMKKKKGEHPGSMGGGAKNSASAPINKENAGEEISRLQKAILVMQTEKEFIKSSYESGIAKYWDLEKEINDMQEQVCHFQDKFDESVVIEDDEARALMTATALKSCEDTIVKLQEQRKASAGQAVGESERVKVFREKLKAIMNKHGKSLPDPLDFPDKNTRKSNGADMEDVYHAKQGAAIETQAVIDKIKEHFERDCNISMAEVTERIDELVSKVVDLELMVSSQTSQIDRLCRENSELENSLQSLLDDENTVVASGSSELIDKLRQVEEELVRVQALESSFHKDESTIRSNFVEAISRFSDLSELLLSPVCEHHTAAGSPQEAQAVESSAEQQQSSNEHLDTKEADSGGPASGVAEPDDPDDVSVDGGQDKSSRERPGSLERLRHISSGGPEEADGGGIAGLEDKDVYTSLLDDYKEAKRRLAEMEKKNQECLNEIQSLREEIGGSEGAGSSCKRSSRRGHRRTPSYSSLHQRRPSVSSISRLIRMSSTVQEEPASAAAAAAAEPAAAGEGEAEDLRLPAVAEAENASPLEDKFRRDIDTLLDENLEFWMKFSSSLQRVQEFQSKHEGLQRN >Dexi2B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:2B:1075384:1076140:-1 gene:Dexi2B01G0001630 transcript:Dexi2B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCKLFGWAKDCFQFWTPFGTTVIVLWLFYRPDRFHPFVDSGVLTTLNSTSPANATGHDRPSLQYDLTLNVSLRNSHRRLSMRYLDIGATAFYNGTTMLGPAEDAFPTPFRQGPKNTTPTFEGTVAVDPSVAAELEREIAVGTVHLRVRVSLMFMYKVWPMKEVFFFDYDCWLWFPPPRDGVPAVFDAGTRCWAVKQSLGV >Dexi9B01G0028200.1:cds pep primary_assembly:Fonio_CM05836:9B:30793228:30795154:1 gene:Dexi9B01G0028200 transcript:Dexi9B01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAKVAVVGAGAAGLVAARELLREGHAVSVFEKSGRVGGTWAYDPRADADPLGRRDPDIPAGAVHGSLYASLRTNLPRELMGFSGFPLAGRVFAGDPRTFPGHREVLAFLDAFAEDSGAAACVRLRAEVLRVRPLLGQEQEGEQWTVAWRGEGSEVAEEVFDAVVVCNGHCTVPLVPKIRGIDKWRGKQLHSHNYRVPEPFRDQNVVVVGLGASGIDIAREISYVAKEVHIAARYSEDRLGKIERYQNVWLHAEIDCIQEDGQVQFAEGSAVAADTILYCTGYRYHFPFLDLDGLTVDNNRVGPLYRHVFPPKYAPNLSFVGLPSKTVIFPSFELESKWVAAVLSGRSTLPREEVMMAAVREDYRRMEEAGRPKRHTHALWPEWVEYVNWLADQVGEPHLDARRCDMYERALKCIWSLDDRYRDRWEEEEKVHNGGDSAVSSVLGINLPEHRIV >Dexi5B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:5B:6907794:6908249:1 gene:Dexi5B01G0009870 transcript:Dexi5B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVAAASPAARTPASTRRDQPRLLAARVRGTLLAGLASPVLGCRQRRRHGRRSLASRHDELKLDLLHESACGAPRKEEVPARADSRSFISFAATRRQTSLPRLLLSPFSSKAPGSGSARRARGGWRTRGKATLRGQIGRQLRSYLVLAF >Dexi3B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:3B:13876022:13880943:1 gene:Dexi3B01G0018660 transcript:Dexi3B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDADLYPSDGCELEDPPPLEKTLMFKSPLYNHAPEGSVHHAAISHRKLFLYTQHPRLHFAIVYYALGLLCSEELSCIHAVVGIWSLALPSPVAALRSSRRSHVGRAVHVCPTLLTFRRPPFSQAPHPPVTSTTQRHHTHLIYLSYIPRATPLYHVVYVDLAAGAVPARTRSTLGARTMQPMENTLLPGYLAVPEPTVAAPPQLETQQTIDATAALGSLQQGALSCPPALVDWASLLLPHAPAGSLHDMSSGGGVEMAVAGCSGAAASSSTAGGDGDKQQAMKAGGKGGGGRGKKKKKASRPRESDILDDGYRWRKYGQKAVKNSASYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQLLSQLHVVVVIAQTQVGGLVPFFEVTGRVHQSGRMATLPGGVVTGDHGLAAKHAAVSGRQPCGSGTRVLDIIRRTN >DexiUA01G0022500.1:cds pep primary_assembly:Fonio_CM05836:UA:45695374:45699221:1 gene:DexiUA01G0022500 transcript:DexiUA01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGGWTFCFWVVAAVPFYLATWEHVSNVQEVVEARRESLADALAMGRMILAHLCDEPRGLKSGMFMSLVFLCFPIANALIAKINDGAPLVDEPVLLLLYCVYAGELSKPCVFLLTVHSIQ >Dexi5B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:5B:193744:197131:-1 gene:Dexi5B01G0000290 transcript:Dexi5B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVLFARQGAGLDATAPRLTARPAPGDVTARAASTAQSSNCAACGRVKLRPHASPEEARPLLPRTHKTVKFSLRVIMTRAKRGRIQGAHPRGRSLEARRLEDAPSREKDPRSKLPPRTEEAVVLLIRGSNLGPTKGFDKGLRSTESGIIDGTLEARTLEETNRLCSRPGKEPRSRDPSRGSIEPSTSPTLIERGMDVRSNHPLSAQPGIRGSIPPREARVASSSAPSNRELEARLGGYTRGCASAPPRILGTHEDMKASKASTNTNLRRIKPYPCEGSGATVGHLQKGPAVESSVPIRLEVNDYPRAGGFRLVRGSAASRATTTLERVVSVSATPTLERVVSVSLPIQARAGLAGSDAQRTATQQSHHDAVKNQGSPAPRHHLLSGEDIGQIHSQQWTLSAMLRARPNPASSTPPSKAASKETMELRTQVATLDAVNHHEKEGRYRSWKARFPLAQYAAWTNSALGDKHIRGLRSSSPSPTLLVNPYYKQHATRCITPLLDVRPRGRNQDKTPHLRLHLPSHPPPPHHTTPPAAHAQALLARRANSRSMPMLMPTPPPSSFLL >Dexi1A01G0029730.1:cds pep primary_assembly:Fonio_CM05836:1A:35184482:35186053:-1 gene:Dexi1A01G0029730 transcript:Dexi1A01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKEKPRKEQEKEEEEEELVLEDGGIEESPRRSFEDGDDYDDGGEEDDDDNDDERDSDGVGSPRSFQSRQWPQSYSGLGSDLKLPLVSDKVDGKQESVKNLPKTLGSIRDERISFHLQHTGEVYISQGCNGLMYLQALDFFPLRLRFMKLDGEALQF >Dexi7B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:7B:18928031:18932655:-1 gene:Dexi7B01G0012030 transcript:Dexi7B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSHSGEMKQTAEDLDEFLNKVRKGGRVSNEEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIQPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGLESLSEHELQQACRERGHLGLLSIEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKRKEKEDKAKLEEPKVIEEDLALKEMIEPTAREEEELKKAKKHDKKEELCNISQALAVLASASSVTKERQEFLSLVNKEIELYNNMVSKEGTDGEEEAKRAYRAAREESDHAAEAAVGGKVSSALIDRVDVILQKLEKEIDDVDARIGNRWQLLDRDRDGKVTPEEVAAAANYLKDTIGTDGVQELISNLSKDNGTLFSFNIPMYHIDGKGNILVEDIVKLASQTEENNEHEETAR >Dexi9B01G0032860.1:cds pep primary_assembly:Fonio_CM05836:9B:35013017:35017849:-1 gene:Dexi9B01G0032860 transcript:Dexi9B01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPFTCRSLLVPAQRSHPRLPASIRLDLSHPKQPPADPKRRRHHAPLHPAFSAAARGRAKKIPVRDTDEPAAGVRVTDRGLAYRLDGAPFEFQYSYTEAPRARPVALREAPFLPFGPEATPRPWTGRKPLPKSRKEMPEFDSFVLPPPGKKGVKPVQSPGPFLAGMEPRYQAASREEVLGEPLTKEEVAELVRGSLKTKRQLNMGRDGLTHNMLENIHSHWKRKRVCKIRCKGVCTVDMDNVCHQLEEKVGGKVIHRQGGVIFLFRGRNYNYRTRPSFPLMLWKPVAPVYPRLVKKAPDGLTPDEATEMRTRGRQLPPICKLGKNGVYVNLVKQVREAFEACDLARVDCSGLNKSDCRKIGAKLKDLVPCVLLSFEFEHILMWRGRDWKSSLPPLEENNFEVTKAQEQFSGEEFNENVRHSGTVLTKIELADIATSHKNCNLDEGELKLRGTMKSNHESDMVPSSAVEVPGLFHSAGLSGTEPSADTPSECSPINPVVDHSPRSDQCPDDLEPHPGVTSIGEDLGTKRKDNKGVEGMDVLNSSKVPSYMVGVLCLLEQAIDSGRALVLTEDEFVDSDLVYQKCVAFTKSIPRELVFKHTQSKPSARRNGPDKHVRIKKHFAENKLSSSHVEEKDNSNEGLAIQRNSHAQKFLSDVVPQGTLRVDELAKLLA >Dexi9B01G0049540.1:cds pep primary_assembly:Fonio_CM05836:9B:48123218:48124164:-1 gene:Dexi9B01G0049540 transcript:Dexi9B01G0049540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSLPVVLLLMLVVELAAAVSYNESSGESLAQMQWGSARATWYGQPNGAGPYDNGGACGFKNVNQYPFMSMTSCGNQPLFRDGKGCGSCYKIRCSAPAACSGRTETVVITDMNYYPVARAPFHFDLSGTAFGRLAKPGRADELRRAGIIDVQFARVPCEFPGLKVGFHVEEGSTQVYFAVLVEYENGDGDVVQVELMESSSSSRVSRRWTPMRESWGSVWRLDSNHRLQPPFSIRLRSDSGKTLVARDVIPVNWRPNTFYRSFVQYSPS >Dexi8B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:8B:24981819:24986597:-1 gene:Dexi8B01G0014350 transcript:Dexi8B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDERYEGNGGPAAGDADQDEYGAAPPPAAAGGSPPAGAKPTGFSDHADGRSSQPQHETQSHDSGSSKSRERDRERDKGKDRERDRDRGRDRERDRDKDKDRERGDRDRDRDRHHRDRRERSEKREHRDRSDDRDRHRDDRDHRRSHDSERRRDRDRDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQAPQQAAPIVNAGALPGQLPGVTAPIPGGMFPNLYNLGQINPLVIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSSPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQMQKLVYQVGGALPLPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYGNLVKVVIPRPDPSGAPVAGVGKVFLEYADVDGSTKAKTGMHGRKFGGNQVVAVFYPEDKFAAEQYD >Dexi3B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:3B:732375:733970:-1 gene:Dexi3B01G0000930 transcript:Dexi3B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHTPATVLSLRRLPRRRTGAAAGHQLHALLAKLGLLLHPAFLPALLSRLPATSPSSLSLLLAAPPCVLSPSLFCPVIVSFSSSPIPSSSLLLFNHVSSLSLPTPLPAFPALLKSCARAFRLSARAGTAAVFAANGCELHCRLFKLGCEQDRYVQNGLVSLYGKFGWFGDARRVFDQMPVKNAVSWNALAGAHSVAGDLQGADAVSQTALARNISWWNTEIMRNVRLQDMAEAARIFREMPERDAVSWSSMIGAYAKLGIYDRALDVFQEMQENGIELTELAVVSALGACAETGELELGRIIHNYLASKGIAADGYIGNTLVDMYAKCGRLELARKVFDSMSIRDVTCWNAMIIGLSIHGQSRDALKLFDSMKTEPDHVTFLGVLTACSHGGLVNEGRTYFNSMIEDYKILPSMKHYGCMIDMLCCYGEVHEAYQMIKDMPISNLNSVLWKMVMAACRVHGHFDLASKAFHELHQLMPMDDGDVITVSNAYAQAERWDHVEHLRTKVIQCSASKHAAHSQVHAATNYMEQ >Dexi6B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:6B:2563780:2564145:-1 gene:Dexi6B01G0003090 transcript:Dexi6B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPTDHAGADAAAAARRRRRRRRRAAAIAAGHQWSPSLGDIYEEYTTDAAAKHAAAAVATGGPPARARKAASWDVARRVHSDEYRQLESSSSMPAFAPTAYLF >Dexi6B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:6B:25437894:25440517:-1 gene:Dexi6B01G0018490 transcript:Dexi6B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSWTTLCLSVVLCVVLLSRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEEGVDLVVKDPNGAQVWDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHFSYFEQHAKDVNENMSRRAVHKALFESAALIAASVIQVYLLRRLFERKLGSSRV >Dexi7A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:7A:29931719:29932728:1 gene:Dexi7A01G0021280 transcript:Dexi7A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGAINNYTAALKDSSSPFDPTESLMFLAHFVGDVHQPLHCGNADDLGGNTIKLHWYGRQSNLHKVWDVNVIETALKEFYNDDQNTMIEAINLNITEEWSNEEKQWETCRSRTKTCADKYAMESAQLACKAYEGVEQDTTLEDDYFFAALPVVQKRIAQGGVRLAAILNTIFSGNRMLQSS >Dexi2B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:2B:10152489:10152891:-1 gene:Dexi2B01G0009350 transcript:Dexi2B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQRGKNTARLALSLLLLALLLSAATPVHSRHHQGLTPSFTGRRGSELGAWRKDVIRPDRTSEQAPPAPTANSNVPGGPSG >Dexi6B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:6B:4029666:4030969:-1 gene:Dexi6B01G0004720 transcript:Dexi6B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEFEQYAEKAKTLPDSTSNENKLILYGLYKQATCGDVTTGKSKEEAMEDYITKVKQLQEEAAVAAAS >Dexi1A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:1A:22815493:22815813:-1 gene:Dexi1A01G0015790 transcript:Dexi1A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPAARKSKAHAWRSGYRCTPQKVAPQRHGNPVRDTRFRQLAHLPAGLAPVAAGAAASGSAKAAARPAASEAAAEEEEGGGKAACGGAVAGAATGLGWRWSR >Dexi5B01G0028610.1:cds pep primary_assembly:Fonio_CM05836:5B:29981935:29982507:-1 gene:Dexi5B01G0028610 transcript:Dexi5B01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPAAAALCRRTVSTSTSPSSTAAATAVASSSAVNSILLRSLKEHYLEVSKMAPPPKTSPPKPFTIVKGSLDQQSGPVLRREYGDAGEEISISVARLANFLPTGADSDSDSEGAGADGGMSASISQLLLHVDISKPGAGKSLQFLCGLYPDAGGGEYRGRIFQ >Dexi2B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:2B:10220788:10222110:-1 gene:Dexi2B01G0009440 transcript:Dexi2B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEQEDQKSGQVSTNPSATPAETDTKTPPDKSDKKGSSSSSSISSENIDEDDFFQIEGPILGSTLSFGQNPAITDIRQQSSSSANDPKQSPSVQAMSRATDECPDPKRIPSSVFARSKSTTPTDWSVTSNESLFSINVGNASFSKDHFFLYGKSGDMGNPNDPLAPLPPLPRPSTSSSPMKSDVAKITVQTSAKLKPVTRDGEDNTDYNHSLSHRSDGSTTSFAFPILAGDARSSESLKDEPAGLARQSTSQLSEQAEPAVEHETLKVEAVAVAQEAEQAPVPALEPTVVAPAQASAPEPTPQQPAATKWFPCCSCCPFCC >Dexi8B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:8B:3806155:3807231:1 gene:Dexi8B01G0004310 transcript:Dexi8B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRFGFNLPSAYKFDPTDAEIVAHYLLPRAAGVTNFPYAHVLIDDDTCSCPPWELLRRHGHGGSDHAFFVGPPGDPSVNGGRTSRGVHPGDDGGPGGLWRGQKGEEADLVVSGGRRGRGGEMRIRYKRYNLTYYSHGETKTSGWVMHEYHILEPKMIPGAVLSRVKITERAKKKAIKNKRKAAAAAAAGKKKVVVPGPDQAGPSNYLAVVGDDIGDRDDAAFVATSDGSEGTSGGGAQSDGVFEGGDGIVGYVVGETTTGGYYTDFLNAGQYYFNPDQPGPCSSSYLVDDHSTGNGIALKDGDAGTSGGGTGEVTGINGYTDFYNYDDYFKEELGSYFNQSEGSGGDFFTGEARQQ >DexiUA01G0028060.1:cds pep primary_assembly:Fonio_CM05836:UA:60281224:60283164:1 gene:DexiUA01G0028060 transcript:DexiUA01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRDGNPNVTAEITRHVLLLSRWKATDLFLKDIQVLISELSMVEATPELRALAGEEGASEPYRFLMKKLRGQLMATQAWLEARLKGQRLPKPEGLLSQNEQLWEPLYACYKSLQACGMGIIANGELLDTLRRVKCFGVPLVRIDVRQESTRHTEALGELTRYLGIGDYESWSEADKQAFLIRELNSKRPLLPRNWEPSNETREVLNTCKAIVDAPKGSVAAYVISMAKTPSDVLGVHLLLKEAGIDYALPVAPLFETLDDLNNANDVMTQLLNIDWYRGFIQGKQMVMIGYSDSAKDAGVMAASWAQYQAQDALIKTCEKAGIELTLFHGRGGSIGRGGAPAHAALLSQPPGSLKGGLRVTEQGEMIRFKYGLPEVTISSLSLYTSAILEANLLPPPEPKESWCHIMDELSDISCDLYRGYVRENKDFVPYFRSATPEQELGKLPLGSRPAKRRPTGGVESLRAIPWIFAWTQNRLMLPAWLGAGAALQKVVEDGKQNELETMCRDWPFFSTRLGMLEMVFSKADLWLAEYYDQRLVKPELWTLGKELRELLEDDIKVVLDIANDSHLMADLPWIAESIQLRNIYTDPLNVLQAELLHRSRLAEEEGKEPDPRVEQALMARQR >Dexi5A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:5A:12236221:12241340:-1 gene:Dexi5A01G0014950 transcript:Dexi5A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCSGVLLILTLAVVLLLSPSPSPVPPPTTTASVPVAHLLPSLPGLSDLYPPLANSTAQLSWGLLRPLLSRSDALPGTAAGVLEAADAWRNLTRAVSVAAAVAGEEGRTGGPSCPASVEGDLREGRARTPCGLAEGAAVTVVGVPREGAVRFQVEMVGAGGEVVLHVNVSLGTAGMVVEQSSWTPQEGWGEWERCPPVGGAGSSNSSLQRSLVDGLVCCNEKVGSNIIKEDNNTMINATGSRHEDGQRPKERSQLSGSFSIVEGEPFTVTLWAGVEGFHMTVNGRHETSFAYRERSEPWLIAEVKVSGDLELLSFLANGLPVSEEIDMASVALLKAPLLLKKRTFLLVGVFSTGNNFKRRMALRRTWMQYEAVRSGDVVVRFFAGLTKIVPAKYIMKTDDDAFVRIDEVVSSLKKSNSHGLLYGLISFQSSPHRDKDSKWFISRAEWPFEMYPPWAHGPGYIISRDIAKYVVKGHQELSLQLFKLEDVAMGIWIQQYKNSGQQVNIVTDDRFYSEGCDADYVLAHYQSPRLMMCLWEKLKTEYQAVCCE >Dexi2B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:2B:10292960:10299275:1 gene:Dexi2B01G0009560 transcript:Dexi2B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNTRWSCNGGGGDATREPPGLLQDHPSAHGHTEVKPTSGGSRIGARGGLTDKHILAMGAAVNDSNSYSLHFEERDDRNCNTKLCSDFTAAEIQVDPVSDDLSMIHIKLEQSLASVQAFVAEHMTSKDLDMDWSKEAVGLNGFRYVGCNDLRDVALNSLHMFFTTAVQMLSSEGYTEDDVLNAVVDSALCYQYDGPINKITEHARTLLQSGGHQVDYSCSENVDTVLHMLGLYFICNASRLLKACCPFFTLGDALWCILLCDLDISIARAAFVHMIGYGNGQSEGHALRQCNICEGRENVNEISEGCGCSSSTESPAQFVPPQYEAAQRIWSNILITYIVSLQKSATKNEDAPSAQDESSPVPMAAVQHNKKAKKGKRSKTNSMKPQKDSGKDVVVFKNIQQIQQVKCISKTSARMLKESRSLMAFLGSVQSTSTGISEVVNKKGLQPSTFLPTEPLPGPSSIKRRDSPVMVSTGSLSSPVSCCSISSCSVKAESKQQMEPDAVQVSLPHTPAEGFEFYFSRDGMQTTWVTKGREEELALKLVQRLGELKLEVKAWTDWANERVMQSTNRLVNERTVLLSLKKDKTDDEVPDLFNKKKLEETQRALDSTSDELDRVTSRVQELTDKITHSRREKKAVQLQAKQADESFANLLSKETEFMDRLKSMETEKILLQEELVAGKSKLSNLLKNLEQARRSEDIVKKRCQEGENMLNALEKQVNFERTELERIDTSARAKSSKLLLKAQKDKEWLQANIRNLKEQVDEMSSSSKLQMVAKFMTPPGFMIDSVQREQECAMCLEEEVSVVFLPCGHQVVCAGCNQRHRDGGLTECPSCRAPIKRRICARFADS >Dexi7B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:7B:15521827:15531183:-1 gene:Dexi7B01G0007760 transcript:Dexi7B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGNEIETRTVKRQHRVDSGDGARRRCLLVVLGPGSRRSTSATVPPRDWRSISARELGRVDVARVGHPGWSGGTWLALGGRRASPRTERESIPGGVGRGFSGVSTLVSGVVSRAVMLAAPPRSISDSEELRYRSCDVQCEVEKESDQLHARDDSQQDGDFGTSVSLRHLDQAEKIASARSKQPSWLLISKMDGKDLFAEIGMREEDIAMMLFGKKVAELAEDTFDGSKEERQIFEGVFCLPSIDRLTDHRHEGIGHTADAGKAVTGSNTPSSSASNYKMGLCRIVESFTAGNLSSYHVFCHGSDQQVCRAMLSPHAGPSELVVKWTPPPPDRVYTRRATRRSERARLCSAMDLEGIDIRNFGRQRDGRGYGELWSHLRMHAHLLMVDAGWKIQSKPRICIDKKVAALKNGVTLKAMNSTVTFPSGSEGTPNEMLLGESLLFSPEVDEMLLGITTDVNNEHHDVAAVSDLQLADKDARNGLSDIKMQEIDLQDIPDGNWSDFVHLNHKGFYTIALENDDSIISVASIRLHGAIVAEMPLIATCTENRQQGMCRRLMDYIEKLLKSLKVEMLLLSAIPQLVDTWTSTFGFREIDDSDKKKLSKVRLAQVPGTVLLKKNLCARAGTSAATVDSMERRYSSPEDLRDLPAADQSPEVSAPDCVIQSLVNKLDALKIASPSATAGGVGTECNCDEHSVTIAFVGGQPKDVVVVDGGLCRIEA >Dexi5B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:5B:6024845:6029713:-1 gene:Dexi5B01G0008910 transcript:Dexi5B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCGPIHFRSHLSFSTIASLHGTRSFVHADQPDNHLGLQSSTAPLPSRGLRSREAKGEEEERERKKKMAQLVETYACSPATERGRGILLAGDPKTDSIAYCTGRSVIIRRLDAPLDAWAYQDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFVNCIRYSPDGSKFITVSSDKKGLIYDGKTGEKIGELSTEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDIMEDASGTLNRTLVCTGTGGVDDMLVGCLWQNDHLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTISSLVLFPQSSPRTILSTSYDGVIMKWIQGVGYGGRLMRKNNTQIKCFAAVEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLALQKPEFALITTDSGIVLLHNSKVISTTKVDYAITSSSVSPDGTEAVVGAQDGKLRIYSINGDTVTEEAVLEKHRGAITSIHYSPDVSMFASADVNREAVVWDRASREVKLKNMLYHTARINTLAWSPDSRFVATGSLDTCAIVYEIDKPAASRITIKGAHLGGVHGLSFVDNDTLVTAGEDACIRVWKVVQQ >Dexi7B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:7B:9990229:9991549:-1 gene:Dexi7B01G0004100 transcript:Dexi7B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDQSAAKAKHLRVLLPFTCDTLRITDELAADIGVEVALVVGPAGGKAWPVEVGEDGDGAFLGRGWPEFAAAYGAGAVWLLVLRHRGRGVLFAKTFDVTGCLRELGAPASPAVQGTTSSKDSTHKPQFIRVLPKDFMQKMLIPAKFVQQHIPKELLDKGTAIVLGPIGKVFSIKLEIGQSGMFFADDWSQFLKFHCITEANALLLRYEGNTVFTVKVYELNGYQRLYKHKENRGQQSERNIMMFLADTEEQREAPSACIQKQQECKSKNNWLGTDGQRRPKGSMASSKMKRKSSEMNCV >Dexi9A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:9A:8546646:8550036:1 gene:Dexi9A01G0013320 transcript:Dexi9A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEGRIFVGGLSWQTDERKLEDAFSRFGKVVGAQIMLERHTNRHRGFGFVTFEDRRAVESAIKEMHGQELDGRNISVNKAEPKMNTDDTRYDSAGGRGEYRGGRGDGPPPGNCFECGRPGHWVRDCPSAGGGRSGRFPSKFSGGSGGGRGDRFSGSDRYGDRYMDDRYGYRDQVDTRDRYAGGRDRYANDRYPSGDDHFGADRYGGGQDRYAPSGYGRERERSYERDGVRGGGYDRSGPRGGGSYDRDGPRGGGYDRDGPRGGGPARYDSGGPARYDGGNYRERPGPYDRPSRGGGRFDDRY >Dexi1A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:1A:11868809:11869075:-1 gene:Dexi1A01G0012320 transcript:Dexi1A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIEKTGEGAPLGRLRQRIGRKDVSARPAATTGKRRMNKQSHRLSGAGGREGRGGWQCYKRRYSAAAQARIIAGRRDSALPRGLGGT >Dexi2B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:2B:29918847:29920393:-1 gene:Dexi2B01G0019740 transcript:Dexi2B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPARESSSSSAGESLRNSCNDFARTLARLPASIMEGLSRSIPRRRSHHPVPHHLRPPPPFLPPPPPFVPEELFFFSVFEQQYGDHHPFFYGCRFADALRNARRDGKLVFVYLHDPDHPYTEPFCRRTLCSDVVVEFLDANFVSWGAVTGIGEGPDMVASLQPGSFPFCAVVAPFSDESIAVLQQVEGPVSPSELVEILQRTIDEQGAAFRASRPDELAAAAIRSVRTAEEEERRRSAQRLRQEQDAAYLESLRRDQEKERSRKSLQEGAAKPRAGNQLRPRHPGQAAREPTKTTHVRASPQKETPASQRTEPNTKIMIRFPNGERRQQNFRHTDTIREIYRFVDSLGIPGIGSYQLVRSYPRKTYGHQQQGMTLGDAGFYPSVTLYIEQLQ >Dexi9B01G0021710.1:cds pep primary_assembly:Fonio_CM05836:9B:16415323:16417419:-1 gene:Dexi9B01G0021710 transcript:Dexi9B01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLPLMAAVVLVAAVKAGAGGAAVEFAYDGFAGAGLSLDGMATVTPAGLLLLTNDTNLPSSEKNDTVMSKGHAFHPDPVRFQRPPRVGVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPTKDMSTAMPQQYLGMFNGTDNGDAGNHVFAVEVDTVRNPEFADINNNHVGVDVNSLNSSASASAGYFDDATGAFRNLSLISREPMQVWVDYDAATTMVTVTMAPARWPKPRTPLLSTKVNLSTVITDTAYVGFSSASSIVLVKHYVLGWSFGLDGDAPALDYAKLPKLPRIGPKPRSKSLAISLPIATTVAVLAAVAVAFLLLRRRLRYAELREDWEVEFGPHRFDFKDLYDATGGFNDKRLLGAGGFGRVYSGELPGVAAGLLYTHEDWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGADAHTTRVVGTMGYLAPELVRTGKATALSDVFAFGAFVLEVACGRRPIEEDEDADDVSTTGGRFVLVDWVVEHWRKGAIAGAVDARLGSEYDAEEADLVLRLGLACLHPSPAARPSMRQVTQYLDGSATLPELPATYVTFSTFEGVVEKHQQPLFDSWNVWRPSLTATGSVATMSDICLSGGR >Dexi9A01G0030610.1:cds pep primary_assembly:Fonio_CM05836:9A:35614118:35615476:1 gene:Dexi9A01G0030610 transcript:Dexi9A01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGSGRRPERQALMVAFALALLMGTAVYFRIWARQSSDPSFTADDREELRRQFEHANLEAMDESAEWRMQYDKEFEKNRQLQDELSKVKASLTGAARRLELLQKENEMWKRQTESLRQKCNCTLPLKTTQD >Dexi3B01G0035150.1:cds pep primary_assembly:Fonio_CM05836:3B:37743561:37744283:1 gene:Dexi3B01G0035150 transcript:Dexi3B01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSSSDEMHLGIPDDLLIAEVLIHLPAKSLARCRCVCRSWRAGIAAAAFVRRHRDLSRARPPLSVLAIPRECDPDDRHATSTDITFHRLVLPPPGQMATEADLVLHKTWHEGITRAIYPTHCDGLVAIATATDKVFVSNPATGEFAALPLCTHNAELDHGVAKAVPVALGFDQWRNSYVVARYFYWTYGETTFDEATDEWVQDGDYDIGHEVFTLGGGGGGGSSWELTDDPPHVQSAR >Dexi6B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:6B:18952962:18953839:1 gene:Dexi6B01G0011680 transcript:Dexi6B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEASPVAAPPRAPSPAASAAGAGAAALRGRSYAVVEAAAVAPAPHQAPPPARTTALRGHLAWVADVVLLAYCAASWVNLAAIGVAIAARRVCGDDSRAAAAAKKAAETAFIVMAMLIPVASPRFVWIVERCRKVEDRQRQLGSGATRRADVQRDRPASSGPGARTCPNARLTSASVAMLVALVCTLVMLVGQLIQVHAPAKDSYQDKFGSAISDMACLIATLVLCSFLLPGTVIQLLRHGCGVGYGPWQLNA >Dexi9B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:9B:642761:643125:-1 gene:Dexi9B01G0001110 transcript:Dexi9B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSNAGYNRSNQGRKYESSVSPLQTNIS >Dexi2B01G0027410.1:cds pep primary_assembly:Fonio_CM05836:2B:36354331:36356494:1 gene:Dexi2B01G0027410 transcript:Dexi2B01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPEDEELVANSVSALTWRKGNLCLEQGAAAFSVESAVRRSLYWPDGTIRKLTRSYLAKKSSDHTRKIVQALVDKYNEDHNLLKVCPLSLLPVLNPCLVSIGQHCYGCTNNGNADMRHPDSSVEFIAGHLDVCLPFGRARSVKWSDSEEDDVKYVKAKEAKLRRMHKFRGNPDTLKRLFTLPPGVTIVDKDERVLK >DexiUA01G0017600.1:cds pep primary_assembly:Fonio_CM05836:UA:37190563:37193654:1 gene:DexiUA01G0017600 transcript:DexiUA01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSNAAMHPRLLMAACIGDTQQLKDLLDEGSSVGTMHPGFVVRVDVPQPSTATTLLDVVTAVEGDSVLHVVAAGGDGDAFLESAEVIHDRARHLVAMPNRNGDTPLHRAARAGNARMVSHLIDLANKADEGLVVKELVRVENRLGETALHEAVRVGHRGMVIRLMEEDAELAGFPRDGASPLYLAILLDQAGIARSLHDMSDGNLSYGGPNGQNALHAAVLRSEGKSSYILVTVMLLRWNKTLTEQGDHDGCTPLHFAASQAEERNCRIASHSKFPWLRLRTSSTTNNIPLLLLQANPSSAYQPDDVGSFPIHVAAAVGASRTVSTLVEMFPGCAGLHDANGRTFLHVAVEKKRCNVVKQACGNPSLGWILNMQDKDGNTALHLGVKAAESDTFFHLFGNRQVRMDLTNNNGQTCRDLSLVDIPPGLSYKWNPKQMIHRALTRASASHGVRRWDQFEEEYILRPRREDEETESQKLNNSTQTLGISSVLIATVTFGAAFALPGGYVADDHTNGGAPTLAGRYTFDIFVVANALAFICSSLGTVGLMYSGITTVDLPIRQRHFLRSLFFVSSSLTSLVVAFAWGTYTVLAPVAHNTAVAICVISQVVVVYRSIGRFKRMIDLVRPLYVRAGIRPLLMLAKDVFTRMLRLYWPFVVIFSWAACATNHAKLA >Dexi1A01G0030490.1:cds pep primary_assembly:Fonio_CM05836:1A:35786214:35786558:-1 gene:Dexi1A01G0030490 transcript:Dexi1A01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Dexi7A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:7A:30139347:30146087:-1 gene:Dexi7A01G0021550 transcript:Dexi7A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAASIASHVPSWLLSAGLSLASPGEIVRRTSSTRLEDDDDKENKERFVRAYERLKTELLNDRAFNFDFTEETRQWVAKMMDYNVPGGKLNRGLSVIDSYMLLREGTEVDDEDFYLACVLGWCVEWLQASALVFDDITDNAYTRRDNLCWYKLPTVGMSAINDAVLLKCHVQAIIKRYFKEKVYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGDYAELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILRENYGKSDPQCAAAVKNAYKELDLQTVLIDVVDTFGPADVALCAERVGVGGVGAPPRRVQRPRLSASAAARGHSRPSSPSGLSISGLTMEVDHAAGPGAVEKPRFDALTPSEMSGGRPQFRKVPVPPHRFSPLKRCWMEIYTPVYEHMKVDIRMNIKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >Dexi4B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:4B:19925213:19926052:1 gene:Dexi4B01G0017570 transcript:Dexi4B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGRAVFFLLLSLVLLGDLCCCSCSQVYVVYMGKGLQGDSDRQHDDVIRLHNQMLTAVHDGSLEKAQASHVYTYSSSFQGFAAKLNKEQATKLAEMPGVVSVFPNTKRRLHTTRSWDFMGLSTNAEGEVPGLSTKNQENVIVGFIDTGE >Dexi7A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:7A:25131143:25134671:-1 gene:Dexi7A01G0015290 transcript:Dexi7A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSRRPGGVDPFCQLRFPLPPPSPRGRVSFLSRTGTPDLVDFNWDALGFQLVPTDFMYLMRCSSDGVFTNGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSILLFRPHENALRMRIGADRLCMPAPSVEQFLEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPIHNKFVEEVSSCNIFMVKVEERNITIDELLGADEVFCTGTAVVLSPVGSITYRERKVEYGKNQEAGVVSQQLYAAFTAIQKGLVEDSMGWTLQLN >Dexi7A01G0022380.1:cds pep primary_assembly:Fonio_CM05836:7A:30688089:30688441:-1 gene:Dexi7A01G0022380 transcript:Dexi7A01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVRFLFTFAAVVGAAALLAASLRRRAPPSGLPARVVPSSHMAGRNRSFVLWLHGLGDSGPANEPIRNLFSAPEFRLTKSSFPSAPRSPVSCNLNIS >Dexi9B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:9B:13466033:13467285:-1 gene:Dexi9B01G0018900 transcript:Dexi9B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIQHTHLPIRGLNLHVAHVGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPENEEVSWDDLIADVLAILDAYSIPKAFLVGKDFGAMPAYEFALQHPDRTCGVTCLGIPFNPAPVSFDTMPEGFYILRWREPGRAEADFGRYDVRRVVRTIYVLFSGTEIPTAKEGQEIMDLADLSTPLPEWFTEEDLDVYAKLYDKSGFRYPLQMPYRAIHKIPNRLDAKFQVPVFIVMGEKDYCFNFPGFEAALRGGAMGHFMPDLKVTFIPEGCHFVQEQLPEQVNELLLGFLKDHPVVAA >Dexi3A01G0035910.1:cds pep primary_assembly:Fonio_CM05836:3A:41287499:41288684:-1 gene:Dexi3A01G0035910 transcript:Dexi3A01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRSERDVRRRSTKHLSLIFDDWPWGYSMREIDLLSPSAVDRRRRRRRLPRPIIHVKAPRGSPCLFAGVGTRIIATHTRNDPGFGDWTLDGFLPIVDVRSRGVVFGPGGVFLHLPIFFPVGDDELYALFENCHHHAGKEWAWRYLTAPPFDRMDVVSYALHPDGTTILVSAAEPFIAFDGDGAAAAAAGTFAFDTTGQQVWTRHAEWTMPFAGRAHFVHSLNAFVGLSDDPDTLGHLCSCDGAAIAAGGGGRPEWKVGKEKLFSEDPCERHHVSNGDEEQLGEEDGVVPRRPVGNRYRVTTFSLGYDGNGNLTTGETCQVRCYKVPQEIRAMESYDSDPAAFWL >Dexi5B01G0037470.1:cds pep primary_assembly:Fonio_CM05836:5B:36958421:36959867:1 gene:Dexi5B01G0037470 transcript:Dexi5B01G0037470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKPMIAGGEKNMMGAQQAGRQTGGRTDRHRQELLIACPSDKKDLSFSSFLPHCCEKWNWRCDGKDEIEQKKGTAGGTDFSRRWRLDRCASLLPATDECSTAVSCGLWPVILSSCRCLVAGAEPPDTWPPPPPLAEARFVAGWLEHVAIALHRLDE >Dexi9B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:9B:10364843:10365840:-1 gene:Dexi9B01G0015250 transcript:Dexi9B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGLALQKWTKRVQKEWKALESDLPDAIYVRVFEDRMDLLRAVIVGASGTPYHDGLFFFDLHLPPSYPAEPPQVNYRSFGLHVNPNLYPSGTVCLSLLNTFGGQGAELWLPEASSVLQVVVSIQGLVLTAQPYYNEPAHGDQAGTAVGRRNELPYGENTYLLTLQTMLHLLRRPPAGFEELVADHFRRRGQHVLRACEAYLEGCLVGTLDGEARATEGSRERPCSAGFRLALRNVLPRLVEAFAGIGAQGCQQFHKFQAPTTFTLQPAV >Dexi4B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:4B:6062629:6064444:-1 gene:Dexi4B01G0008400 transcript:Dexi4B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKESDAKDKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDSSAAPGDGKWVTQEEAYKSVQSSKTDVGQSSTSQAKAPPAAATTVPTIKGGPAPGRVVTKPLNPMRPIKGTPAPSAVAVNKRKREDGKPKVMSKEEEAALKAREAARKRVEDREKPLMGLYRTY >DexiUA01G0012950.1:cds pep primary_assembly:Fonio_CM05836:UA:26832076:26832546:-1 gene:DexiUA01G0012950 transcript:DexiUA01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATKRFSKENVIGEGGYGVVYRGRLINGTDVAVKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHR >Dexi2A01G0022120.1:cds pep primary_assembly:Fonio_CM05836:2A:33993764:33996589:-1 gene:Dexi2A01G0022120 transcript:Dexi2A01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSASGGGGGGGGGGGSSKKEESLPPGFRFHPTDEELITYYLKQKIADGSFTARAIAEVDLNKCEPWDLPEKAKLGEKEWYFFSLKDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQLPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSAPKSNKDEWVVCRVFAKSAGAKKYPSNNAHSRSHHHHPYTLDMVPPLLPTLLQHHDPFARGHHHHPYMTPADLAELARFARGTPGLHPHIQPHPGTTAAAAYINPAAAAAMASPFTLSGGGLSLNLGASPAMPSPPPPPPVAFHAMSMAMSQQQTGPSGAGAPGSHQVMAAGGDHHQQMAPAVGLGGCVIAPGVDGGFGTDAAGARFQGGLDVEQLVERYWPGGYQV >Dexi2B01G0032460.1:cds pep primary_assembly:Fonio_CM05836:2B:40334009:40334260:-1 gene:Dexi2B01G0032460 transcript:Dexi2B01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESEPPPAASPVAAAAETEDPTPAYSRPRRENSTTRPLPEEREMEAGRLALVKEAGERDLKRERVVRSGNGAISAVHSIAE >Dexi8A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:8A:21560890:21562674:-1 gene:Dexi8A01G0012330 transcript:Dexi8A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKPLPPAQQERVLTVLSVDGGGIRGLVPATILARLEAFLQEKDGPDARIADYFDVIAGTSTGGLIAAMLSAPGKDKRPLFAAKDINQFYLDNGPKIFPQKGGWIPAFIQNTWDKVRGGPKYDGKFLHEKIESLLKDTKVADTLSNVVLPTFDVKRMQPILFNSFEAEREVHKNARLVDVCIATSAAPTFLPAHGIKTNGSGGEPHQFELVDGGVAANNPTMVAMSLVSREMLRLRKQLLGEGRNVHLVQGGAVRRQTKSVATDNSDNPTMAAMAAMIAMEEQNPHLRMSKEHDAQASVYKNILVLSVGTGTSKKAHMYTAAECNKWSLLNWLTKDGFNPLIEFFFDASADMVDIHAEVLFELLGVEDHYLRIQTDTLTGDAASVDCATEKNMKDLIKIGNDMLKEKVSRMNIHTGEYEPKDGGSTNEVALKNLAEKLSMERKLRKNNGGM >Dexi5A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:5A:5908347:5908751:1 gene:Dexi5A01G0007950 transcript:Dexi5A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVLLCLQAVLVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDVKKVCDPVCKKCVAVKTYSGKMFKCTDTFLGMCGPNC >Dexi1A01G0025170.1:cds pep primary_assembly:Fonio_CM05836:1A:31468918:31471168:-1 gene:Dexi1A01G0025170 transcript:Dexi1A01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNARKDGGGGSPFAIPCVDVKSFVASLAFLTLFVALWQLQPYASLLTAARTSSPPCPLLSTTTTTTAADLTSPNTAAAAADTKRVRLARPAARPEEDPNKRVFRPYGSAAALFVQIGAYRGGPRTFAVVGLASKPTHVFGTPYFKCEWVPNPTTSGDPSPPRSVRTKAYKILPDWGYGRVYTVVVVNCTFPSNPNAMNAGGKLLVHAYYSTSSRRYERFVALEEAPGSYDEARFAPPFQYDYLYCGSSLYGNISAGRMREWVAYHAHFFGPRSHFVLHDAGGITPEVKAVLDPWVRAGQITVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGKKLDEVLGKLSGYSQFTIEQNPMSSKLCVEDPVRNYSSEWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVLGRTTHKTESLIRYYHYHNSINVMEEPCREFVPMPVNGSKIIFEKTPFVYDDNMKRLADEIKQFEKETIGAVQT >Dexi5A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:5A:9864024:9865796:-1 gene:Dexi5A01G0013170 transcript:Dexi5A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEPDRMRLYQVWKGSNKFLCGGRLIFGPDAGSLLLSTVLITSPLVGLCFQCVTKLDSDTSQKQALGMPVLVVTILLGLADLSFLFLTSSRDPGIVPRNARPPERGDDEPSMGAGDEVATPSTEWVVMSSANPHLRLPRTKDVVVAGGHVVRVKYCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTFLCLYVFVLSWLNIAAQRGSHGGSLLRSMTGEPLSLVLIVYTFVSAWFVGGLTVFHVYLMSTNQTTYENFRYRYEKKENPYDRGVPANISEVFCTRMPPSMNKFREWVELPEPETTTFDGGPLSSRNKIDLAGPNEKIDLEMGTRNNPGGGVPAILQGLHYSEMEKNSVSVHIKDRQSAEAPDPLMVTAPPRHDDGEAE >Dexi3A01G0021840.1:cds pep primary_assembly:Fonio_CM05836:3A:17417993:17424898:-1 gene:Dexi3A01G0021840 transcript:Dexi3A01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASLARALGRSARSSRTRQASLPLPYPLPRIRPAASRLGGEALTNLSMFPFLGFPARGTRWGPVTARAAATVAGPCRGGQRVRICPGIPDCGVGDGPCEARHGQGYYENYYPKGKKEAPKGDGSNKSESKQESNTDEGWNFQETAMKHLQNFLAPLLILGLMLSSMSSSTAEQKEISFQEFKNKLLEPGLVDRIVVSNKAVAKVYVRNSPQPKSQAQNSDTHISTNDVPGKPAPSRCKYYFNIGSVDSFEEKLEEAQEAMGIDPHDFVPVTYVAEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGANDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDSEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEQTQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMSKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLEKEVLHQDDLTRVLGERPFKSAEPTNYDLFKEGFQDDDDKSHAPAKNAELPDDDASPSLGEVVPT >Dexi5B01G0037840.1:cds pep primary_assembly:Fonio_CM05836:5B:37219483:37221341:-1 gene:Dexi5B01G0037840 transcript:Dexi5B01G0037840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIVVAATEPQCPNQTCGRGNLYKSINETPDSNPGERVNQRRKIESDKISDPSEDPHRISLNLTAEDDPNRSRGTGWQNDSAKWKSGITGNEYRQLVDADGAGLGVHRFERRREASGRRRRGSVTKVVLLIEGPTPRQPNRYDCDVYIMAIARPICGWWGDKDGHGSSANWFKAVRREVDAASVTTMKTELLELINFLMEEKANA >Dexi5B01G0030700.1:cds pep primary_assembly:Fonio_CM05836:5B:31609754:31613399:1 gene:Dexi5B01G0030700 transcript:Dexi5B01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPNPNQPHRGRPGGDLGPPHLPGVPMQPAFPPPVPNLAPAANPMAAAAAANPFLALQLLGQAQQLQNLGFLAAAALQQQQQQHQAPFFPGGFTPNPNQFAPYAGGPPPASFNGGGAFRPGGAGVCGPRPPRPMSSPAGKGSNNNNNAGSNGALKQIPILNGKDHYNSNMGSSGAPRPILNDVRKDWNSSGGDNGEENRYENKANGISHKTTDQKARFNSVRDGRQFGAPRGRGRGRHYNQGRGRGNNWGDTKSNFVNHESPASDRHSDVPVPASGGSRKRPPIIYDANEVKQWLEARKKNYPTSTNINKKLSESQSDNQNKDEDAQLRRQELKEVLAKQQELGFELPELPPGYLSETEAQGDEKKSNWKTQHRDSRFGNRGNTNKRPRYERGEFQSKRSNVWNRTSSNDGAMAKSREPTLLQKLLSSDIKRDRHRLLHTFKFMALNNFFKDWPDTALQFPTVKVNQVEIENNIATGDLDDLENAETAKDNCRDTNETVDGFDGETAGADQKEEEDVASADSSDDEGAEVAYEDQFDEPEDDAAA >Dexi9B01G0047750.1:cds pep primary_assembly:Fonio_CM05836:9B:46776833:46777406:1 gene:Dexi9B01G0047750 transcript:Dexi9B01G0047750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPRKQPPQQGAVSVQRVAKASSDELLRKFADPDAHHASTPPRRSLALRRKRSSRRVASGLSARDSDATAAGDAALVPPKRRRSIGGSTDWRAGLLLPTTTAASSARKGQARRARLDDAAGIGLILAALERTWRKTVAGASKMFVERHRTNHVMLISDMV >Dexi4B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:4B:1291263:1291430:-1 gene:Dexi4B01G0002100 transcript:Dexi4B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGTVLALVPGMRAMARNTSTGDAMDLVEAILCF >Dexi3A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:3A:450862:451806:-1 gene:Dexi3A01G0000530 transcript:Dexi3A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPEALLLVTLARHRRFAAAATLFSTARCSTTGALNSLLAALCSPTSSSPASFLRIAPSVLLRAAPHAAPDAATFRILTSALCRAQRPTAAADLLRCMPGLLLDPEPRHCRAVLASLCRFAPAPDALAFLDDMRRWGVPPSRSDHGAVLDALLREGMVAEAYELVSKQMDSYDGVAPGLPEFERVLRAFRESGSFDAVEEVFDEMLLRGLVPGARVYDIYVGALCDKGDLAGARRMLGCMDRAGCPPDVATFGVVVAGCVAAGDVDAAREVAREAVRRGLRWDAPALTELVGALREGGHLAPARGAAAGHPA >Dexi6B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:6B:22302616:22307190:-1 gene:Dexi6B01G0014890 transcript:Dexi6B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRASPRLRSLPLLLSQPDAAASVRRSFSCASASASASPASARAMASGAPSSAAPSPYTTLVGRVSCEREIKRSKFIAIAAPVPNERAAMAFLDEVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSSIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARAGMEVPFDLLGTVYHQHFQAEDIKQDYDTGKDGTVMVMFKVAYEKIEDLGNAVNSAYLSCYHELQELAGGGAVKVGTWSPGMVGIVVGIVVGIDGTVVGIEGIGGSVTGIAGMVGMVVVAGFGSDGIVPAATGGRATFGMGIDGIGGIVTFGTAGMEGIEGIVVGTAGMEGIGGTVVGIAGVDG >Dexi5A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:5A:11459953:11460640:1 gene:Dexi5A01G0014420 transcript:Dexi5A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSIAGVHAVLSVFLVAAAASASPAPAPTTSKHYSLEEACKQTAGHHDLCVATLSADPSFKSADTAGLARVAIQAAQRNASETATYLSSIYDDNSLENKTAQLQQCLEDCGERYEAAVEQLSDATSAVDSGAFSESEALVAASQAEVKLCQRGCQAMPDNRNILTARNRDVDRLCSIALTITKLIRGPPS >Dexi5A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:5A:868723:870862:-1 gene:Dexi5A01G0001290 transcript:Dexi5A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPLLVRQLARRLLSNVPESTVYGGPRPQESSAARRVTLTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPITLDVMLEHCRAVARGAPRPLLVGDLPFGCYESSTAQAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKATCTSSPPQVTPKFCKQFGNVGDVINKALSQYKQEVETRTFPGPSHTPYKITPTDVDGFANALQKMGLSDAADAAAAAAEDSGTDGGPKENS >DexiUA01G0016900.1:cds pep primary_assembly:Fonio_CM05836:UA:36066590:36067726:1 gene:DexiUA01G0016900 transcript:DexiUA01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRPRSPSPPSTASLSRSPIAADPPPYFLPELIPEVARHLTSLQDFFALRAACRTYRALLPVTSSNLASQAPLLLVPSGDGTPCPALLHLPLHRIHRFRLPRTSRAGAEHVVTEFHPLGCRVAISNSYAISLPKHELSIIHLLTGERVCLPSPPGDFSHIISSGDLLVAWSYRDIFYYRFGTPEWRVAPTRHPNEFRDLILVKDTLYALTLDQRVAIVELPDNNNGLKLAILERGSKEHGHILLRSVERSKGFCVLQWQSGDRKWLQ >Dexi1A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:1A:24356195:24358230:1 gene:Dexi1A01G0017120 transcript:Dexi1A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCRTLLPTLAMGGSQYDDDWVLPSADITLVLVGKLGCGKSATGNSILGQEVFASEYSHVSVTNTCQMGSTALKDGRTINVIDTPGLFDVDVTSEDAGKEIVKCMKMAKDGIHAVLMVFPAIHRFSRESESTIETIKAFFGEKIVDHMIVVFTYGDMIGESKLKNMQTNAPECLKKVIELCQNRVVLFNNITNDRRLQAQQLDKLLDLVDSINANNGGKPFSDQMFTRIKEVHDREKEVHDREKEMHSIGYSEKQISELKEEIHRTRDEQLKHITSMHVEP >Dexi1B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:1B:4446752:4450956:1 gene:Dexi1B01G0005430 transcript:Dexi1B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSSLSCAXXXXPSPAASARSNPSRALTLAQTPFPISLFPMAALSVPLRAATAPAAADPVKVSCVRSTGSARFGCSFGSIASSSSARNIEPLRAIATQAPPAVPQYSSGEKTKIGINGFGRIGRLVLRIATSRDNIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSINVVDDSTLEINGKKITITSKRDPAEIPWGNYGAEYVVESSGVFTTTEKAAAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDCRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVSAKH >Dexi3A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:3A:2833262:2834073:1 gene:Dexi3A01G0004320 transcript:Dexi3A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHALLVVCVCMVAIAAALLASTTPASASRALAAAADGSGDPTVGFREVTLSESNFVLQRPYDVPGRDRYKFHGGVRQLWVLSSDKPHDRQSNTSPRTEIRMTGYDYSSGVWQFEGYGYVPSGTTGVSIMQVFGAGESATTLMLHVYDGALRYYDRQVVEDDIYDRWFRLNVVHDVDASRLTVFVDGVERLRAPGRGGDSHYFKFGVYAQNHASSCMESRWKDVRIFRKDH >Dexi2B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:2B:28851469:28852419:1 gene:Dexi2B01G0018580 transcript:Dexi2B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTKLVFDTPLLRVHDDGRVERFYGTETTPPGFDAVTKVASKDVVVDGGATGVFARLYIPDHFLAAEHKKKLPILLYFHGGGLVLDSAASPMYHRYLNSVSSKAAVLAVSVNYRLAPEHPLPAAYDDSWAALCWAASGADPWLSDHGDTGRVFLAGDSGGANIVHNIAMTAGARHGLPSGVCLEGAILSHPMFGGKEPVDGEARETREIMENLWPLICPQSTGGLDDPWLNPTADGAPSLQNLACRKLLVCSAGRDYARPRANAYYRAVKQSGWRGSVEWFESVGEEHVFFLHKPECDESLALMDRVVAFLAED >Dexi5A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:5A:5609996:5610502:-1 gene:Dexi5A01G0007590 transcript:Dexi5A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRLFDTLALDSWRNPFSIFGTSVATDAWLASDTSAFANTYIESRDTAGAYVFSAALPPGVTKEEVRVEVDEATNVLVITGERSVRREERVSDTWHHIERSCATFLGRFHLPGDAAVHGVRAAMDAGVLTVTVPKVGAIAAAAAGAEKTADEATAMVAIEAGPSAC >Dexi3B01G0022620.1:cds pep primary_assembly:Fonio_CM05836:3B:17371236:17372360:-1 gene:Dexi3B01G0022620 transcript:Dexi3B01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTASGCICHTPRPTDGILAPVLRTKGSAIFGHGSPSRIGEESREGLPKRFDGGGWDWGLAAWVRQQVDCSSSYYIWQAHGSSALREHALAHPKAPVKWHLCRSSLQPAGSGCHDSVTNASRLDAGEPSREQSNRVRDDCLRGRESIRRIRIRFLVEQLLGFCTGDSS >Dexi2B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:2B:24851619:24855957:1 gene:Dexi2B01G0014890 transcript:Dexi2B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVEVEPPAAAAAAAGLSPRRLGPRSPSAKRSWPSGCGRPAAPPPSAAAGGGLNGADGGARVLICTADEVVAAPTAVSPQAQDGSLLDQQGLDKAAAPAAVSAVSQNSTPPQQKGLDKVEASLAAAQNGTPPQQGPNKVDEAVVPAAVLPVVFNGVIRSALPELSGDREEENGEAQLLGDAGMPPLDGQEGNRLVEVVSPAMAVPDSCGIVGSGSSAQNGGERCGLLVAEVEMGRGEEVGGVVVTADGNRAGGGELERKQNGVAGAGTKRCLTSTVKPPPKKRAGEAVCKLPPDTRKTAATTTDNGVLEVSPIRTFPSYGRPAFTTTCSVGEEGLPLEATPVTNGDASVQIPVSGGAASPTLVLEVSNEKMEGKRMVDGHSKAHSRVQILDGFVGIEQDGNLQPNADAKSTPKNSSDEKMKGSISQLEGNQVAQVVVDGTMKEIEGSLHRSTLKTHLSDPIHAKTKGKRLESDKMNVLLGNTGRKMQSKTLSTKKKVVCSNVNIKKNKSACKLNKFGKHVATNGIEASDDMDLIPEQLIVQALMAPDQCPWTRGKKRNASASKSLAPRSKLDGKDITPRKLLTGKAASHESINDETMEDNDDSNLEDDDNSKALVMCGEKREICVMVLPSVPSGSHHKQLGDHGLDPRSKVRKMLQLFQVAYRKFTKLLEQGNRNLARLDYEAINSLKENPIYNKPGPIVGNIPGVEVGDEFHFRVELSMVGLHRPYQGGIDTSKVNGVPVAISIVASGGYPDELSSSGELIYTGSGGKAGGNKQGDDQKLERGNLALKNCIDTRTLVRVIHGFKGQSRSEAGPSKGKQTSTYIYDGLYQVVECWQEGLKGEMVFKYRLQRIAGQPELALHAVKVTRKSKVRPGQVLDENGKEKVKDCYCGASDCCGRLY >Dexi2B01G0022670.1:cds pep primary_assembly:Fonio_CM05836:2B:32279525:32280668:1 gene:Dexi2B01G0022670 transcript:Dexi2B01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGNDGVDLTELTLGPPGINARKARRARKNGQPTSSSSTMQAFVKVSMDGTPYLRKVDVAAYDDYGELVEALNELFCCCSIGLMDGYSEWEHAVVYEDGDGDWMLVGDVPWDEWEHAVVYEDGDGDWMLVGDVPWEMFVASCKRMRVMRSCEARGLSSNA >Dexi2A01G0024530.1:cds pep primary_assembly:Fonio_CM05836:2A:36270794:36272066:-1 gene:Dexi2A01G0024530 transcript:Dexi2A01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRPSTLSLSLSLAKQETVDQVCDIVKKQLALADGTEVCGTSKFQDLGADSLDTVEIVMGLEEAFGISVEESSAQSIATVEDAANLIDELVAGKSS >Dexi3B01G0036070.1:cds pep primary_assembly:Fonio_CM05836:3B:38975333:38976257:-1 gene:Dexi3B01G0036070 transcript:Dexi3B01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVANRAAVESCHRVLALLSQSQQQDPALLKSIASETGEACAKFRKVTALLGNGGSSGHARGRISRRGRPLALVTLKDLLGSSSDTPPELMPSTAAAALSQSTSYAQLRARIGGAPDPRGLDLACSSGKSGAHPFGAPKMVQPLSVQFQIGNVAHRYPFHQQPSRQKLQAEMFKRSNSGISLKFDSPSPSGGAGTMSSARSFMSSLSMDGSVASLDGKRPFHLIGAPVASDPADAHRAPKRRCTGRGQDGTGKCATTGRCHCSKRRGR >Dexi3A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:3A:7813476:7814661:-1 gene:Dexi3A01G0010980 transcript:Dexi3A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFNAEWSASEIKLMKAIIAYHDANNSYANGTSKKGTDILDELQARFSWKEKHQVTDLYVELVVEMMQAKLNDDNQPMKATSDLVNDNFGLHVEDPAAIEDNMDVSLGYVMNDTTFMRMVEKAPQRQVAIPREKRQNKERTFWTIQEHRNFLRGLEVYGRGSWKNISRSFVTTKTPVQISSHAQKYFLRLGCPTGKQRYSINDVSLHDFEPWRPQNHSSGWEALSFSGSSNNSNNYGSRAQHVSLGGNMLMYHASQESSIQAATWARSQQIRGGFLPQQWLYMGNM >Dexi9B01G0031110.1:cds pep primary_assembly:Fonio_CM05836:9B:33542223:33543172:-1 gene:Dexi9B01G0031110 transcript:Dexi9B01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATDGCSAACMATPAAAQPPLLPAPPRFAGSAASDRSVWGSKKPGRASASQSWTRDKLVARTAAAVPIPGRASLSDSWTRDKTERKEAAIVEEQRVGRAPSRGESLIRAKRASSRALSEVVERSEKKAKPEENAAANKLDGDAEKPEENAEAKKLDGDVVFYAGPAFIKSPDPSEVPLPPKFVLLGKPPEPSDLPVPRFLMTKAPRATRWFVIKAPKALRRRSI >Dexi9A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:9A:3627772:3631507:1 gene:Dexi9A01G0006370 transcript:Dexi9A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHADSSVPATGEAPTTGEHRMGTTIVGVCYDGGVILGADSRTSTGFRLISSEIEGQTVESLSSIYGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALLDHEWREGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHEELEPHNSLLDILAAGNPDPMMQ >Dexi2A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:2A:5866836:5869853:1 gene:Dexi2A01G0006210 transcript:Dexi2A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFNCGKK >Dexi2A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:2A:29923397:29926162:1 gene:Dexi2A01G0017940 transcript:Dexi2A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPENKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRVQFPKGDVPQWVRNAMEVAGIDISECCASTKCLTPSIDEQPPLPIPVEANGGVPN >Dexi3B01G0027910.1:cds pep primary_assembly:Fonio_CM05836:3B:23720934:23721209:1 gene:Dexi3B01G0027910 transcript:Dexi3B01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVTTMVMLVVLLLLSSTVSEAGRQLPSLELKWEPPIVYPAPIWQPPIIYPGIPPHMETNDLPSIDEQAPTELQEEDPTAPVKAVGRMV >Dexi4A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:4A:20439891:20443253:1 gene:Dexi4A01G0016730 transcript:Dexi4A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKPPSPGSGAAGAAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPNAASAEPLFVESKLRQQMRAEDRPPRGAVPRIAYLVSGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELAAAIRADPVYARFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLDDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTEKGGPFVVERVQDLRPGSGVDRLKKLVTGLLTQEGFDDKHCL >Dexi3A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:3A:556475:561231:1 gene:Dexi3A01G0000700 transcript:Dexi3A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLCSVQRWSRRVATMLPWLVIPLIGIWATTQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPTGWPCSQDCGYWLDLRCSSGNNNSFLALSWRLLSTFCSAAVSWFLRKILRFTSSGDDEGLGPDGKRLSKRGENGGKPEESRVEKAKRKAEEKRLARLEREMLEEEERKQREEVAKLVEERRRLRDEKAEAEERSKSVTPVGEKDARREAERRRQERRKKEDKGSSKSNSDCEDIDRRSSREGDRKRDFDRKSDLDKREESTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDCGFISSGVTKESHGRPSPIESPLSRSEALNLSTEPKLMGIYAEID >Dexi3A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:3A:13562769:13565106:-1 gene:Dexi3A01G0017790 transcript:Dexi3A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVHTVVAPIERVKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFASIALTNFFAGAAAGCTTLVLIYPLDIAHTRLAADIGRTGTRQFRGIRHFIQTVYKKNGIRGVYRGLPASLQGMVVHRGLYFGGFDTAKDVLVPLESPLWQRWVVAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYRMEGVRSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >Dexi3A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:3A:7886125:7893906:1 gene:Dexi3A01G0011080 transcript:Dexi3A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVAPAPVYREVEGWEGVGEDAPGFRCGHSLTAVAPTKNHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRKWTRLHPAGEPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDRPSARMYATASSRSDGMLLLCGGRDTSGTPLSDAYGLLMHTNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTARTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLVAENAPFQSELTSSMYSADRVPRGETQNRNHNYYSDSPVQQSSNNRQDTPSGFRFLTHILVLVQFSCFDFVKAKRVHIIFSTDKKSIDMLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSLEPDVKLHSRAVCILMEILSITLFLYDFLANAAVISSKIYAFSIFGQYNWVTLWRGTMFSYSLLLQIISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGMYLAPWAILVVGRGLVVVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGDRNSLAYI >DexiUA01G0013170.1:cds pep primary_assembly:Fonio_CM05836:UA:27364739:27370052:1 gene:DexiUA01G0013170 transcript:DexiUA01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIELAEALALDETLVADLEAIVNTLPDANERQQGSFAPAEDTKTVPLDPDSSDGREVVLVDCLRAHADIFAWSPSDMPGIPREVAEHSLDIRPHSKPVKQRLRRFDEVKRRAIGEEVRKLLEAGFIKEVFYPEWLANPIKMKESDQLATSFITPFGMYCYVTMPFGLRNAGATYQRCMLHVFRDHIGRIVEAYVDDIVVKTRKADDLVRDLEVVFGCLRAHGVRLNPEKCVFGVPRGMLLGFIVSERGIELNPEKVTAIQQMEPIRDLKGVQRVTGCLASLSRFISRLGEKGLPLYRLLRKSEHFTWTAEAQEALDRLKTTLTNTPILTSPKEGEPLLLYVAATTQVVSAVIVVERNEEGHSLPVQRPVYYISEVLSETKARYPHIQKLIYAIVLARRKLRHYFEAHPVTVVSSFPLGEIIQNREVSGRISKWSTELMGETLAYAPRKAIKSQTLADFVAEWTDTQLPPSKSSLDCWEMYFDGSVMKTGAGAGLLFISPHGEHVRYVVRLNFPASNNIAEYEALLAGLKIALELGIKRLDIRGDSQLVVDQVMKESSCHDEKMAAYCQAVRKLEDKFDGLELHHIARRYNEEADELAKIASGRAPVPPNVFAKDIDVPSITLTASTEASTVPDAQTAELLADEDEPMGYEACSGDEDEAEAMEIDEVSAPRDWRSPYLDWLDGGRFLIIEGELYRRGASGVLQRCIPIPEGKELILDIHAGVCGHHAAPRTLVGNAFRQGFYWPTAVADATEVVRTCERCQFYARRTHLPAQALQTIPITWPFAVWGLDLVGPMAKAPGGFTHLLVAIDKFSKWIEARPISRIKSEQAVLFFTDIIHRFGVPNSIITDNGTQFTGKKFLKFCDDFHIRVDWSAVAHPQTNGQVERANGMILQGLKPRIHNKLKKFGHKWVQELPSVIWSLRTTPSRATGFSPYFLVFGAEAILPTDLEYGSPRLRADPDSRETGFTGAFLKFYQ >Dexi2B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:2B:30365611:30366279:-1 gene:Dexi2B01G0020260 transcript:Dexi2B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSRRVCVTGGGGYIASWLVKLLLARGYAVHATVRDPGDPKNAHLGRLDGAAENLRLFKADMLDPDALAAAVAGCEGVFHVASPALNIANCCLNL >Dexi9B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:9B:1140493:1140960:-1 gene:Dexi9B01G0001980 transcript:Dexi9B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKPDAAPAPATGIPVGASKWSSGLFDCFDDCGICCLTCWCPCITFGRVAEIVDRGATSCGTSGALYALLAYLTGCQWIYSCTYRSKMRAQFGLPETPCCDCCVHFCCEPCALCQQYKELKARGFEPELGWDINAQRGAGAAMYPPGAQGMGR >Dexi9B01G0025180.1:cds pep primary_assembly:Fonio_CM05836:9B:25057759:25070033:1 gene:Dexi9B01G0025180 transcript:Dexi9B01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVTPTPAGGGGGTSTSTSKTVSASLWWVSFVDLSDDLDRAAAGPSVPDALAKRIKSHHTWLLGSVSMFGKPNEASRSALDAGEVAVGEHRLAVKPELKEAAFRVSKCLVNLLPLPSIIKIAYLTKFIFPSQNLDEVQSYILVKRSSEMSPTVHDADAGDFLHLVSVQYYLERQCLLKCIRRIFVHATHTGDGSDLTDAIQHEASLLVNEDVERKLISVIEDSFSAASSVKAEAEFTVSNLEETLIEVNLILDILFLVFYDNFSRCSGGLWITLCSIFKDILCGSYDIGKFAVSVEAKNSFHYAKAQLLLILIETLDFENLLRMIHDEVPLSVGCSAFSVGDILEMDVEISKLPEFSMVESGPLILAWAVFLCLVLSLPESNTNLEIDHASYAQRAFEFAPFNYLLGVLCSSIFRESDGPVSGYRGILRTFISAFIASYEISYQAEDSSLDMILSILCEVYDGEESLCMQFWDRNSFVDGPIRSVLHMVEKEYPFQISELIRFLSAVCHGIWPAQCVYSYLERMNGVTTVYAIPCSVAENMSYGHQVESNHPVSIPGIEGIMIPRGTNGYILKVLREDAALVRWEVEYHLCPTKLSICLHTYNFPHSGVFFLLVTLAQELHSCNYKEASDIMDLLYRMMSSNKITSAITSEVIGYFLERCLECFLLPQRLMEIVLHLQLQAY >Dexi9A01G0049910.1:cds pep primary_assembly:Fonio_CM05836:9A:52387276:52387779:-1 gene:Dexi9A01G0049910 transcript:Dexi9A01G0049910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQAAPQRHAYRTPPRPPPPPPRFLPSCRPLSIPSIRLLLLFCLPNRIESNIGINRHARSSSPNAASSLSQPVSPGEHGSPRAGEVVLHPSEAGGQARARLFFDLAQLSSSPESMASSAGEPSRTAAAGELELSTWLEHETEEQGRGGAQARPWIRRAQAGRPWLG >Dexi3A01G0031820.1:cds pep primary_assembly:Fonio_CM05836:3A:36199529:36201041:-1 gene:Dexi3A01G0031820 transcript:Dexi3A01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLPFLLLLALLPLPAPAAAASASDKAPMEPDSGRCPRLQHGLPPFAAALRASCPVSTEGYPAEEICGEELLGMLDGKKEYTAVLFYASWCPFSQQMRPMFDDLSSMFPRVKHLAVEQSNVMPA >Dexi3B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:3B:5657494:5660651:1 gene:Dexi3B01G0008040 transcript:Dexi3B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASAQGAAAAAIAAIGRGYDVVSDVRLKYCKGKLADPDARLIDLSHDEVQDVVLPGGIKVAAVPKSITCDKGERTRFRSDVLSFQQMSEQFNRELSLTGKIPSGMFNSMFDFSGCWQKDAAATKSLAFDGWYISLYTVALSKSRILLRDHVTQAVPSTWDPAALARFIDKFGTHIVVGIKIGGKDVIYLKQQHSSSLQPALVQKRLKDMSDRRFLDANGQYDMNIRDAYGKDKNDAREQRLRFVESSPSSSYCSKEDLVMVVKRRGGREWDKDMPHSEWINTVQLEPDVISMSFLPITSLLNGVPGYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKKQSSASLPLSFMGPRLYVCTNMVDVGERPVTGLRLYLEGKKSNMLAIHLQHLCSLPQILQLQDDPYNHRTPELYDNKYFEPYGSWKRFSHVYTEPVESDDDSSIVTGAQLHVSSHGLRKILFLRLRFSKVINAALVKNPEWEGSPNLGQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVFPGGPPAPVQAPKLLKFVDTAEMLRGPQDTPGYWVVSGAKLQLERGKLSLRVKYSLLTAMVPDDEYPLDEHS >Dexi7A01G0021820.1:cds pep primary_assembly:Fonio_CM05836:7A:30324059:30324466:-1 gene:Dexi7A01G0021820 transcript:Dexi7A01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAAVAAACACEEEEDDLELLGGEAEPAAAEDDAMEPAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRAS >Dexi8A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:8A:9621424:9622266:-1 gene:Dexi8A01G0008210 transcript:Dexi8A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKAALSSLIPKLGSLLSAEYNLQKGVRGEIRFLEFNLVRKAKIRHRIAMDIEDIKTRVKDVSERRERYRPDVTMLPERKLNIDPRVIGMFEEANRLVGIDGPAEKLTNMLTQGDSIQKQKLMVASIVGVGGLGKTTLANKVYKKLGGQLFQCQAFVSVSLKPDMKNILCSILRQISRGKCKDGGENDPVEVVIQNIRDCLSDKR >Dexi9A01G0035560.1:cds pep primary_assembly:Fonio_CM05836:9A:40198224:40203651:1 gene:Dexi9A01G0035560 transcript:Dexi9A01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSLALVLLLSFSSSCSEQEERSLLQFLAGLSQNGGLAASWRNGTDCCTWEGITCNANTEVTEISLASRGLEGHVSPSIGSLKGMLHLNLSGNSLSGGLPLEVMFSSTMVSLDVSFNRLSGDLLELPSSTPGRPLQDNLHSKHLILSTEESLIAVLQQLRCGDDDDDGDREEDDDEADTIELQPTGAYHRLLLHRLAEIYGFAHESVGEGEDRHLVLQRCPETAIPPVLVSDMLWKFDNSDDFTSVVLTRNDTESSNPPI >Dexi3B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:3B:8661116:8661939:-1 gene:Dexi3B01G0012370 transcript:Dexi3B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGERWVGLAMDFSEGSRAALRWAADNLLRTGDQLLLLHVIKEPNYEQSEAILWESTGSRVS >Dexi7A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:7A:26586071:26586382:-1 gene:Dexi7A01G0016720 transcript:Dexi7A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi9B01G0034060.1:cds pep primary_assembly:Fonio_CM05836:9B:36144005:36145948:-1 gene:Dexi9B01G0034060 transcript:Dexi9B01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFLSVNNPCRVNMSASALKDLNISQSTELEKGNDNSVKSCISKPVLNGSKCGNKEENALPACPDAVSNGNEAGNVDVEYIESENLVDLSDVDATLSTLIKRLDSKDWVMTCEALNNVRQLAIYHKERLQELLESLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDMMVDSIDPLLVQLFLKASQDKRFVCEAAEAALISMTSWISPSLLLPRMQPYLKNKNPRIRAKASVCFSKSVPRLDVEGIKEYGMDKLIQIAATQLSDQLPESREAARNLALELQVFYEKSQASTFGENGGEPSASPDAESWEAFCQSKLSALSAQAILRVTSTTSKEGVTAGVTSAPKEGMAVGC >Dexi2B01G0029450.1:cds pep primary_assembly:Fonio_CM05836:2B:37848332:37848983:-1 gene:Dexi2B01G0029450 transcript:Dexi2B01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSARGGAYDVFINHRGLDTKHNVARLLYDRLLQLSGGRVLSFLDNKSMRPGDRLEESINAGIGQCKVGVAIFSKHYFDSDFCLHELASLVEARKVIIPIFVGVKPSELVLPQAVVDSNAHAPRDIERFRVALREVKYTVGITYDPATGDLADLVNKAASAVMERIQETESVPRRQMIASRL >Dexi7A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:7A:11837326:11838231:1 gene:Dexi7A01G0002960 transcript:Dexi7A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCEKTEYEAHCKYHPEAKGLYGVAFPYYDTLSAIYGSDIATGQGAEGISEAVGNLGQELAAEHGNHQEIDEDRMSRETPVRSTDSASSSFKKRKSNAKGKEYRSVSSDPLLDMLSEVQGDLKAVAKNVGKMADAMEREAAIQEKALNNDPQQMLREKAVAELRKLGFTGTEQIKAATSK >Dexi9B01G0023470.1:cds pep primary_assembly:Fonio_CM05836:9B:18764036:18765201:1 gene:Dexi9B01G0023470 transcript:Dexi9B01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRVTAMPPAGLLQELFGGDAPQPLKPRRASDETLVVHAGEKLGKGTDEATTDSIATPIVSGTTHWFKSSEDLIVFKEGRRHSHEYGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPSGGHVVTTTDCYSEARAFIGERLSKMGIRSTFIDLDDIESLEAVLEKDEVTLFYADSPTNPLLKCVDIRLVAELCHRKGTLVCIDSTLASPINQKPLTLGADIVLHSANKYMAGHHDVIAGCVSGSEVLISKIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTSLEMARLLECHPKIEQVHYPGLESNLWHQVAKSQMTGYGGVVSFEVKSDLHGTMRFMDALEIPLIATSLGGSVGSHVILGKN >Dexi9A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:9A:4509474:4513819:1 gene:Dexi9A01G0007710 transcript:Dexi9A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALPWNRLPSGITKPAAAAAAAVIVAALASSFLALPRPRSASVAAGSGLAMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYDHQDRLTAREAMV >Dexi2A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:2A:21550969:21552168:-1 gene:Dexi2A01G0013310 transcript:Dexi2A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGEVVVGGYSITKGYYNNEAKTNEVYKVDERGVHWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALSTSNYVDNIMVYADPFHNYCVALVVPAHQALEKWAQNSGINYKEFEELCQNDQAIKEVQQSLSKAGKTARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLNKLYTEAAT >Dexi9A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:9A:12642092:12645573:-1 gene:Dexi9A01G0017600 transcript:Dexi9A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASPAAGYGPDGVYRSPRPAAAIPSDPELSLVDLVLRRAAACPDAPALVDAATGRVLTFGDLRSDVLSAAAALSSRAGVRRGDAVLILAPNCVLYPVCFLAVAALGAVPTTANPLYTEREIAKQATDARAKLVVTVSGLLPKVAGLRLPTILLDGGGDGASRQPNVTLYSDLVAGVQETEYRRPPTRQGDTAALFYSSGTTGESKGVVLTHGNFIAAATMVTSDQEDNGEGHNVFLCFLPMFHIFGMSVITLGQLQRGNTIVVMSGFDMDAVLAAVERHRVTYLFSAPPVMVALAKHGSGGRYDLSSLRCIGSGAAPLGKEIMEAVAEKFPYAEIIQGYGMTETSGIISLENLQKGRYFNNVQATEFTIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLESHAEILEAIVIPCPDPEAGEVPIAYVVRSPKSSLSEVDVQKFIENQVAYYKRLRMVKFVDSIPKSPSGKKLRRELIAQLRLSKL >DexiUA01G0005490.1:cds pep primary_assembly:Fonio_CM05836:UA:9761455:9765313:-1 gene:DexiUA01G0005490 transcript:DexiUA01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKENKLKFDYTAQNVSRALWQPVPGTKVYRLKYGAAVQVVLQGTNIFAGENHPIHIHGYDFYILGEGFGNFDAATDMAKLNMDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLQAPPPDLPLC >Dexi9B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:9B:7585042:7585314:-1 gene:Dexi9B01G0011650 transcript:Dexi9B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGDRVPEPKRDEATTSSSSPALPTPSAPPRALPPEASSLAARKAPSPVRRFPSTPGLSRRPGAPCHATPRPQL >Dexi3A01G0035810.1:cds pep primary_assembly:Fonio_CM05836:3A:41077395:41081370:-1 gene:Dexi3A01G0035810 transcript:Dexi3A01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMMRWPRPPPARDFRVRLVVRRAESLPSPPPAPLSPEGSPEAEAKVFVEVCWKGPKMSPLSSLRRAQRPPRNQTRKEALPAAGAAATPANIEDDDAVAAAAAPAPTMVAVAWEEEFERDAALTAMSHREATAFQPWDVSFSVVSEPNKMSKGKLVLGTASLNLADYASAAEEEIEIILPLSAPSGATDLAPSLHLTLSLAELKTSPQSPGASQRSVVAPLSPSSGDSVPSGKDEVSVIKVGLRNLKILRDLVSTRRFKKTNCDGTVEKYYVHSDGAEFSCDTDSLDDDLDDAEKDDDLEGSTVRKSFSYGSLQTMNVGALLYAPRIDGDDEGWIHYSHRNSDANYHVEQVPSSTAEEHASIPVRRKRSILPVRWRKTKLPKAKGEPLLKPYGEEGGDDIDYDRRLLTPSDGSVSDGSNGPNSMASVFGDDDFVVGNWELKEVFSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFQANQDLMPIRSQFDNLIREGSLEWRKLCENETYRERFPDKHFDLDTVLHSKIRPLTVVPSKSFVGFFHPEGTEDLSGFEFLHGAMSFDNIWDEISRAVECSTGKSTLYIVSWNDHFFVLKVDADAYYIIDTLGERLSEGCNQAYILKFDDSTTIHKVPAEKKEENPESSYLKDSSESSSTEQDSGTDTEECELVLKGKDACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPAEITMPAPFPTFEFCWPEPPSPTPVMEVEVTHLPPMEVMVTHLPPMEVAVTRAVAVV >Dexi7B01G0023700.1:cds pep primary_assembly:Fonio_CM05836:7B:28227220:28230935:-1 gene:Dexi7B01G0023700 transcript:Dexi7B01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAKSSSAGGAGAGASHGDLGRTISHHEQYHAFLTSLHRTSPGAMNVHQYQHQCPAGVIQAPVATMPQAPYSPQIATPPPSPFMEHHAQSQFGEIESDNSEEPDPTPASEIEDLNQDNGHIINVQSNAVNCQDYRMILRKDLTNSDVGNIGRIVLPKKDAEPNLPILEDKDGLMLEMDDFELPAVWKFKYRYWPNNKSRMYILEITGEFVKRHGLQAKDILIIYKNKKSGRYVARAVKAENIQVPECECIKAGNLSEECGFAVGPSAKKASV >Dexi7B01G0024000.1:cds pep primary_assembly:Fonio_CM05836:7B:28432418:28432785:-1 gene:Dexi7B01G0024000 transcript:Dexi7B01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTHKYKSAHNKISSPLIPVLVTWALGYIVAKLFFAVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEHSDLQRLTQGP >Dexi5A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:5A:7054675:7055007:-1 gene:Dexi5A01G0009380 transcript:Dexi5A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGGGADARHHTHHRGAALPRAPPDTTPSSLPQSHEGSRGCTGSGLGAVATAGSSSSSPPTRALFLALTVASCHALAALQLVDATRRGRPPLAVRPAGSSRNGRFKIF >Dexi1A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:1A:26029354:26033704:1 gene:Dexi1A01G0018870 transcript:Dexi1A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSRACSVLGSALLLLLLSLGSAAAQKGSTWKTLSGKAPAIVAKGGFSGLFPDSSDNAYLFVASGKKTYNVNGVSVSGWFSVDYKSTDLQKVFLKQSVLSRAPAYDGALPIVPVEVVLGQYKAPAVWLNVQQDSFYSQFNLSMRNYILSTSKQFIIDYISSPEVKFLTSISGRVAKNTKLVFRFLDESSIEPSTNQTYGSMLKNLTFVKTFASGILVPKSYIWPVSPDNYLLPYTSVVDDAHKAGLEIYASDFANDFTISYNYSYDPLAEYLAFVDNGAFSVDGVLTDFPITPSEAIGCFSNLNNSKIDHAKPLVISHNGASGDYPDCTDQAYEKAVADGADVIDCPVQHAAFMAEKLGFGVVDAVIKALDDSGYSKQTAQNVMIQSTNSSVLVKFKQETKYNLVYMIEEDVRDAAPSSVADIKKFADAVSVTTTSVLPVDHHYLINQTNKLVQTLQSAGFPVYVYVLMNEFVSQPNDFFADATSQINAYVQGAKVDGVITDFPGTAHRYKLRTCVGKNEPAFMYPVQPGGLLSTIDPVAQPPAAAPMPLLTDSDVAEPPLPPVSNTTTAPSPSSHASLRIKTDVSILVTLLLFCASLLI >Dexi5A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:5A:4595538:4596783:-1 gene:Dexi5A01G0006200 transcript:Dexi5A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSMPLLLLLYGCIFFSTLAAAEDNDEAALLAFKEAAVGSNSNALASWNGSTNGGHCSWEGVRCRSTDRRVVALSLRSHGLTGILSPAVGNLSSLMVLNLDSNGLTGNIPESLGRLRHLRYLNVSHNAFSGLFPANLSSCTNLIEIDVTVNSLSGKVPAELGVKLKRLEFLHLRRNNFTGGIPASLGNLSSLSSLDLSENQLKGTIPASLGVLKDLRYLDLAFNNLSSETPASLYNLSSLISLQIQSNMLNGSIPNDSSRFPSMLILDLHANQFTGPIPASVSNLTSLQSLKLAENRLSGYVPRTLGRLRALQYLILSHSTLEADDGEGWEFIASLSNCSQLRQLDFTGNGAFIGHLPSSIVNLSTTLQYLGFGDTGIHGSIPSGIGNLTASAS >Dexi2B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:2B:19695032:19700241:-1 gene:Dexi2B01G0012760 transcript:Dexi2B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGRLCDRVPLSDITKRSNQHVNYENSLYGQNDNGSCHTDAGGTCTKPVGDICQRDNVMGNPYIPRVMQLQEQGSSEPSELNYRYNAPTAKNIRDLNGAHSWVQEHPEEHKMIQTSLELLMSQSKNAHPPIFSGSCGEDLTNATNFQVQGSVYSSEGHMMTQTPLEVLMSQSRNICPPNLSGSTYSSNGHRMIQTPNDLMMSESRNVSLTNSTGSAVRGEPMNIPSSQVHGHTMIQTPWQMVMSQSTNVCPPSWSGSTYSMEMARLANPQVHSASCSSRGYLMSKSGNVGLPNCTGSAVCGEPTNVPFSQVHGTAYSSSGYKMIQTPLETLMSQRRNVCPPNLSSSTYGVEVASVANPQVYSTAYPSRGHRMIQTPMDPIMFESLNVGLRNSMGSAVGGEPTNISVHDNVYSSNGHKMMETPLEMLMVQSRNILPPNLSRSTCGVELARSANQQVHNTAYSSRGTYDDHDLRLPSMNSRDMRGSGDERANVPFSSHVRARTAMEAEIHQLEHGTDDDGDLPSSSMNDRDMRGSGHTSGNGRATIPASSHARAQPDLEAKIHQLEHETDGYDYLPSPYLNSTDIRGSGNVGGDEKTIVLASSHGRTQKDMQEQIHQLEQQAYCLVLRAFKARSDTITWVRTIKLFLFEKEGLITELREELRVSDEAHRQLLNGINNDDLIHSIREWRTTAGLEESLPDNPIHEPVSDHTTSARKRQKTSKSVTASLAPPSAMHSEQIQVFGGPAVKPTSSSEGRNARGPLMNRYFPGGPAAEFSQAQNVNPLIGRKAMNRWPDDNSFYEVVISDYNPETGLYALVNDINTSNETWEWVDLKKASSLFI >Dexi3B01G0038510.1:cds pep primary_assembly:Fonio_CM05836:3B:41308897:41309597:1 gene:Dexi3B01G0038510 transcript:Dexi3B01G0038510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRFICSRPSSKPSLPRPLSLFGLSSPAAASASSPFALHLPPRLLLASSAATPSSSASSSTTVAAQNPNPFNLNINLLPWLHELRFPHNFLCQPQRGHRLLRPVLRLRRRRLWFRGRGDFRGASPSCGSSSRPTRRGSDKGAGRRQLFSRPVLGLITKHFSVLYDIEERNTLLSSGAIRLRASHDAKVSCPFSLSGIKMPFRK >Dexi4B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:4B:16480567:16481492:-1 gene:Dexi4B01G0015170 transcript:Dexi4B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVLLVAMLLVGVASRCSGSRGLQQGDHVAEQKSDGGGGYGGGGGGYGGGGGGGGYGGGGGGGYGGGGGGGGGGGYTPGYSGTGTCDYWKSHPDAIISCIGSLGSILGSLGDVCSAFFGSKLQTLQDALCNTRSDCYGDLLREGAAAYLNSVASAKYAYTAQQVKDCIAVGLTSKAAAVAQAAMFKKANYACHY >Dexi3B01G0024330.1:cds pep primary_assembly:Fonio_CM05836:3B:18980467:18982466:1 gene:Dexi3B01G0024330 transcript:Dexi3B01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSRPTPAALSLLAAAGICAQFSAVLADDPKDDTKAEAQPKGHTGKTVLFALLGVGAVILLSFFIFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >Dexi9A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:9A:163215:165696:1 gene:Dexi9A01G0000230 transcript:Dexi9A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSLLSSPLFASSSPNFRSNASIPSSPSPSRTSVPMIHDNIGRAMTVCHYSPSLVAEGQLHGYKDTLTLKGEKALLELLLDMALDQHVDGKKLIRHETEDSDFESYLRDATSRVIYQPTLIEEDGATSESSSASIGKQAGSLDHLPPSVTQTEEVTLPAEESGTSATELDLPQLHRFVSFYFKHSDAIGKCWSATSITLYSNIKPFVRTTSVDPDHSYKELLNNGQVFIRSRRLLERRSKKRKATRGSSNDVLCSVVNSKRKDKSKKFGRVLDPDEPFKLFLRDRETTEFLTAKEEKQMFGQIQACLFFPVLNTQMHFEDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVFALLRKVGKARLECIMEGEQPTNVNVARRAGITIEKLAKLRAKTRKPRSMQDRVWSDDGVTYQEITEDPNVEPPELSVDRLMMRQQVHNFLGILSPREKEIIEHRFGFHDGEPKTLHVIGDMYGLSKERIRQVQNKALDKLKRSVSAQGFDVYFDLLT >Dexi7A01G0022030.1:cds pep primary_assembly:Fonio_CM05836:7A:30459174:30459655:1 gene:Dexi7A01G0022030 transcript:Dexi7A01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAASTSPTHHRASPGTSPPQPPYPSAARIADSACFPQYTASLKCLEANQDKSKCQQQFDDYKECKKKELS >Dexi4B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:4B:22624100:22626428:1 gene:Dexi4B01G0020420 transcript:Dexi4B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDILLVVLAALLAAMWWRRCSKTGGTDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTSADLIHEALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPHRVKAFSWIREWAVNAHLRRLRAEHGATGGVRVMASCRLTICSILICICFGAKISDDLIREIEEVLKDVMMMTMPKLPDFLPLLTPLFRKQLAEARELRRRQLRCLVPLVRARREFLRDGKKDAAAADGVEMMSGPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHLVLDPAAQERLYDEVVGKVGKTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPEVWRPERFMEGGEGFDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNSLRAAIVEREASPAAAA >Dexi5B01G0039440.1:cds pep primary_assembly:Fonio_CM05836:5B:38274060:38276602:-1 gene:Dexi5B01G0039440 transcript:Dexi5B01G0039440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGGGSSSSSTAPGGEQQAVVLANASDVSHFGYFQRAAAREFILFVARTVALRTPAGRRQSVQHEGKHRTHARLLLPSLLQYMVHCYNQNGLCAIAFTDDHYPVRSAFSLLNMVLEEYQKTFGESWRTTKTDATQPWQYLNDALTKFQDPAEADKLLKIQRDLDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >Dexi8A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:8A:10495377:10496044:-1 gene:Dexi8A01G0008600 transcript:Dexi8A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLRYTATVPCAPSGTPLSAGANPKYTSLFGYATVHFPHASATPLVPPFPNEHLPIREPTPRRRREAHTLPPTVELVVPVETAGELVVVSRPAAVYVEVDAIDGRVAAEGAEHAGAAAAEVSVPEVVGDVGRGLGGWEGVVAADVAADGEEDEDVVGLAVLDVGADGVEWVAGEVAPVAAVAEDAVEGDDDGVVETSVAGFA >DexiUA01G0002470.1:cds pep primary_assembly:Fonio_CM05836:UA:5557876:5558865:1 gene:DexiUA01G0002470 transcript:DexiUA01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAAMLPASMILVQAIMVGMLLLSKLSLSAGMSPIVLTVYRNIVAAVAVAPFGLVFERELLKKVNWVVLAWITGNATFGPSPTPGAHGLGPHRSLAAASPARSSGKALARPCRQLCKPLPPPPPTAAR >Dexi5B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:5B:5695035:5695343:-1 gene:Dexi5B01G0008430 transcript:Dexi5B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWATEARALATGRASPAKPPTAAPTPVGTISTDGLLKQGARASADGEMKRARMSRRGAAACDGVKRAVMNGGARGSAIASPGAPPACGRKSRERAEEG >Dexi2B01G0023720.1:cds pep primary_assembly:Fonio_CM05836:2B:33265852:33266658:-1 gene:Dexi2B01G0023720 transcript:Dexi2B01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRGGSDSDGDDDSFLYRYPLPSAAASSSASGGGGGGKPRGGGSGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHLLFSRFGRVARVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIASDNGRASEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGGGGGGGGGGGGRGGAAWHSDDDEEAAAVAFEDDRWASVVDTRGEEEKATGKEEGKAKASRKEKRKCYFSDESDEDED >Dexi6A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:6A:3459404:3465608:1 gene:Dexi6A01G0003710 transcript:Dexi6A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPASALVPSAASLPDNEDILGGILMRLPPLPSSLPRASIVSKLWHRVISDPTFRRRFRAHHHRTPPLLGFFFESFGDGLGPARLVFTPTLNAPDRIPTARFSCPRKGHFLGCRHGLALFNSGSSSKAVVWDPLTNQQCSVDFPPEFNVNRNVRIYFGAVLKADPSSSEFKFKLTMVFYSVFERSLHASVYESDSGKWSEIISTAAFSDCSMRSVLVGNKLYWLIRYRGGSSFLEFDVDRQAMTVIRMSEDDIPVLEGSHVQALRTKDGGLGFAVVWKKRMQLWGKTSISGDVVRGVLEKTVELDQLLPLRPPSPETERQPSIVGYDEDTNIIFLWTNVGVFMIKLESMEFTKVLLLIFGGMRRRGLSAWQSAVLWFVYLLADSTAIYALGHLSVTTEQRQLQAFWAPFLLLHLGGPHNITAYSLEDNRLWLRHLQALVVQALGAAYVLYKYMVGSGTTLLLLASVSMFIVGLAKYGERTWALRCLLMFLLVAKRSMLPGRERRGLLRETSALLVTTWQHVIRKGLFPNEGQNCSLKELFHHDGPDCSSGISDHVQKKKLIKELCREGWTPQRHYTHLQEDILSDNDISSDLEQSCRGCWGQFGCCLPGSSSSRTSQLSHGSNQTTPGAAAPPLHEDKEQISL >Dexi2B01G0034240.1:cds pep primary_assembly:Fonio_CM05836:2B:41515838:41517727:-1 gene:Dexi2B01G0034240 transcript:Dexi2B01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVLPDAAVAVAAADVTPRTQPLPHRVSISPSRAPRRESDPKKRVVITGMGVVSVFGNDVGTFYDRLLAGESGAAHISRFDPTGFSTRFAAQIRGFSSDGHIDGDSDRRLDDCQRYALVAARKALESAGLALGSTEMDKVDVERAGVVVGSGIGGVKQFAAGVERLVTKGASKVSPFSVPLAIPNMASALVSIDAGIGFMGPNYSVSTACATGTHCILSAADQICLGRADVMLAGGAEAAIAPAGLAGLAALGVLSRRNADPATASRPWDRDRDDFVLGEGAGVLSLEHAMRRGAPVLAEYLGGAASCDAYHVAKPRPDGRGVSLCIERSLEDAGVAPEEVNYINANASSTPAGDMAEVKALKQVFKDTSQIKMNATKSMVGHCLAAGGLEAIATIKAMTTGWVHPTINQFNPDPAVDQFDTVRDVKKQHQVSPIHLGLVATIRW >Dexi9B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:9B:9168224:9176299:-1 gene:Dexi9B01G0013670 transcript:Dexi9B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLESQIDNFEAEIEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVPSVSTSTVLSTKSSVTTSSTQACATQFRGRPEISADQREKYLQRLQQVQQQQGSLLNVSHITGINQKQFPTQQPNALLQQFNSQSSSIPSQVNLGLGVQGSAPAAENTQLPRDTDLSPGQPLQPGMLSSGVGVIGRRSVSDLGAIGDNLTGSSANSGHDHLYNLQLLEAAFQRLPQPKDSERAKTYVPRHPAVTPNSYPQNQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAARELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQAN >Dexi9A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:9A:10528908:10529913:1 gene:Dexi9A01G0015700 transcript:Dexi9A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSAVPAAPSPWADMETDCLAHVFRRLDLEDLAASAPLVCRGWRRAAADPSLWRALDLRRDHLARFMPWSPLAAAFALRYGVATRFSLPGFLRLCLARAQGSADHLALPPLLASTADELQHISLQCPRLRRLALPALPAGDEARLVDLIPRWPLLEHLELDAKPSATFFPALAEQLGRHCPKFASLKTSGAVKPEDAAALATWLPGLRSLCLDRSYLPKQELLAILAGCRNLRELSARCCVGFDEGDEEIARRGARIERFDVAGSRAVDELEEDVMAGGGDGLCDSSYVDVM >Dexi1B01G0022450.1:cds pep primary_assembly:Fonio_CM05836:1B:28178235:28182108:1 gene:Dexi1B01G0022450 transcript:Dexi1B01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAVGQRWLAVFAFQAILSAAASALHLAASPRGRPHPLLGVPAGLLLALHPLLALAAAGLVALALLLTASPHPRPPPLPRRAQATALLAAAGALFVGAAAAMLPEHAEWAAVAGLGFRGAVLGAVFAAHYFGRRRWLLQFPVVQVVHTRRCSFAPPQSAAAAETNPTEFILETLEQSDPRSLIQYLAYQDLCVVSEGNFEPWRRAAFFEESGETYKRIVTACLKPLEGFTSKIAKALEDYDPEMMTQQSVLLSAFDDSQICTWCARTLAGLTARSRQEDRYGVAQLTGCNAAVMTTLLSALVAIEACLGKKTNPLPAHLNSEDIRWVHFSTVRHGTGTAIASKQVGLHTKAYVMADVLRTSVYQIVSAFIDDLKANAKPASLEKNWISEGRKPIYGSQAVLVQKLSLFIGYRAV >Dexi1A01G0030980.1:cds pep primary_assembly:Fonio_CM05836:1A:36138309:36142937:-1 gene:Dexi1A01G0030980 transcript:Dexi1A01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKCLKKLIKRIKIARRDATSSTTTLLATSGNNTGAYGFSVLDPVRALTARLAAASRAPSSSPEGEEESLESDSGELVPSTDKHEKEFLVRADEELDKVNKFYASQESELLARGDALIEQLRILSDIKRILADHSASRRSRNNRPHLSRAASMPPSLSPSVNGSSGRHLLSGLASPQSMSDGGVELQQQRVAEGAAVAEEVMAALERNGVSFVGGGIAKAKKDGSGKQLMGRGALLQMPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYRGLELLKKFSDEKCSAFQVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLVGDMFALISLHVFLYGCNLFMWKSTRINHNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGATYTDALPGALLLLSTGVLFCPFNIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGTFRNHAYETCTRSPQYTHLAYVISFLPYYWRAMQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWMWMVVISSSGATIYQLYWDFVKDWGFLNPKSKNLWLRDQLVLKNKSIYYVSMMLNLALRLAWAQSVMKLHLGRVESRLLDFSLASLEIIRRGHWNFYRLEHEHLNNAGKFRAVKTVPLPFRELETD >Dexi9A01G0023440.1:cds pep primary_assembly:Fonio_CM05836:9A:18797701:18801390:-1 gene:Dexi9A01G0023440 transcript:Dexi9A01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTAVVPGGELMARRDMPPSLLAGIRLPPLLWAGSAAAWRGAAIFWIGQIRRRPGGTRLLHDGIRPPHHRGLPGWIHSALHLASCWSAPTLFFLSLSLVRDRLLAPDTFVAAAARNPVCNLSLMVGTTDIPDWCFVEIYGREGKKYFSESPSVDDLCQFHQKSPISHISKVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIVFPEDIHGIDKPQSDFESFLNIGVWFKKHMSK >Dexi8B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:8B:1530294:1532528:-1 gene:Dexi8B01G0002230 transcript:Dexi8B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVACPLSLHHALRRGRAPRDSKQLTPPSSSSPGCCRYFLPFLPPCGSWCQIGGGSDALAPSSSVRLAADVCGSRLLLCFNPCKPLHFHQQGPSGLLLADLGIGDWFGGLLFSAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGQAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIVMGLNLLEVVELQLPSFFSDFDPRTAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAISRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQVRRLSYHIFLMSSWCV >Dexi5A01G0039720.1:cds pep primary_assembly:Fonio_CM05836:5A:40192171:40193309:1 gene:Dexi5A01G0039720 transcript:Dexi5A01G0039720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQARAAAVIAAAVLLLCAIAAAQVQQQPPVARGLSFDFYRRSCPKAEAIVRDFLKGAINQDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPAAFKAINDIHERLAKECGGGKPVVSCSDVVALAARDAVAVSGGPSYRVPLGRRDSPSFATQQDVLGGLPPPTATVPALLGVLSKINLDATDLVALSGGHTIGLGHCTSFEGRLFPRPDATMNATFASHLKQTCPAKGTNRRTALDVRTPNAFDNKYYVNLVNREGLFTSDQDLFTNPATRNLVARFAQSQKAFFDQFAFSMVKMGQIKVLTGSQGQIRTNCSARNPADGLPSWSILDDAAEGLVF >Dexi9B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:9B:912780:915095:1 gene:Dexi9B01G0001590 transcript:Dexi9B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAALLLLFLLAAPVPALAAESTIVFGTLGRSRFTFDIFALPLGHLSSSSSPAGEVRLTDGASVNYNGNFVPSSESLLFVSDRNGSLNLYTTPVAPSASDSSGSRREALQAPAAAALKPLLPWDPIALKDRPSLTPDGEHLVYVSTAEPSDGTRRSWAAVYSTHLPSGSTRRLTPRGVADLSPAVSPSGEWTASTSPATVRSGGDVVDLHTDIYVFRTSDGSQRTLLIRDAGWPTWADDTTFFFHRRDSHGWYGVYRAKVSFAGGGAAASVVERITPPGFHALTPAASPGAPGLVAVATKRPGSDYRHIEVIDVTGGAKAYFEVAPRVHHFNPFISPDGARVGYHRCRGSGNGDSPLLLENIKSPSPETFSLLRVDGYYPSISHDGKRIAFVGLPGLFVVNSDGSGGRRQLFSGNAFATAWDWKRKGVIYTSIGPDFAGVRTEVDVVAVTLADDSSNISIKKLTVGGENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAVDGEAGGIRRLTDGPWSDTMCNWSPDGEWIAFASDRHNPGRHSFEIYMVHPNGTGLRRLVRSGDGGVTTHPWFSPDSKTIVFTSEYAGVSAEPISNPDHFYPGQIFTVNVDGSGLRRLTHDSFEDGTPSWTPYYLKPKDTV >Dexi2A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:2A:26833130:26839591:-1 gene:Dexi2A01G0015700 transcript:Dexi2A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADAAGNLSIDCGLDDEYGGYTDKFTGFVYVSDSGYVDAGENREVAYEPLGSFNTRQRTLRSFPSGVRNCYALPTVAGTKYLARMTFWYGNYDGKNMSTVEFDLHLGANRWDTVTVYGNDTSGVTYEALFVAWASWAPACLVNTGRGTPFVSVVELRPLPASLYAAVSPGKSMSMYNRWNVGANGTFLRYDHSSSFVPGRPYDRYWWSYDVPGPQWANLSTALHIDEDPTFVEPLRVLQTAVTLAGNSTTFTLTWPEYREVYSFMVFLHFADFQFAQLRQFDIYFNGNRLGQSGKPFSPPYLEASCVYNSEWYKAPDNQYNITLAATATSVLPPMLNAFEIYTEISNDNPTTLPADFDAIMAIKLEYGVKKNWMGDPCSPTKYAWDGVKCINTSDNTMRITALDLSNSNLNGVISQKFTLLTALENLYYQTGRLTESSDVYSFGVVLLEVATGEPPIVPGHGHIIQRVKQVIATGDISLVADVRLRGAYDVSSMWKVVDIAMMCVVDAAAQRPTMAAVIMQLKESLALEEAREKEYSGIRSPGSDIASLVSTFGPVAR >Dexi9B01G0033380.1:cds pep primary_assembly:Fonio_CM05836:9B:35528843:35529973:-1 gene:Dexi9B01G0033380 transcript:Dexi9B01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVAALSCSLLVVGAAAGYYTPPNPATCGLNVGYYHDKCPAAEDIVKHVVGAAVFHNPGVGAGLIRMLFHDCFVEGCDGSVLLDPTPANPKPEKLSPPNNPSLRGFEVIDAAKAAVERACPGVVSCADIVAFAARDASFFLSSGRVGFDMPGGRLDGRFSNASRALAFLPPPVFNLSELVSSFSTKGLAVEDMVVLSGAHTVGRSHCSSFVPDRIAVPSDIDPSFAASLRGKCPASPSSGDDPTVALDVVTPDAMDRQYYRNVLARRVLFTSDASLLTSPATAKMVSDNANIPGWWEEKFKAAMVKMAGVEVKTGNQGEVRRNCRVVN >Dexi3B01G0023740.1:cds pep primary_assembly:Fonio_CM05836:3B:18479120:18480963:1 gene:Dexi3B01G0023740 transcript:Dexi3B01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQYAYEESNEESYWETLDADLRYWTRSLRQVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRRRIIVLNREDMISTEDRNAWATYFANQGTKVIFANGQLGMGTMKLGRMAKSVASGVNTKRKEKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFPDVAAILVQMLIRHPAVGLFVTKLSIHLFNGDTSQAAFRILSDYRKGKFGWVALERPPT >Dexi3B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:3B:9285862:9287953:-1 gene:Dexi3B01G0013060 transcript:Dexi3B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRKAQSGKSHSWWWDSHISPQNSKWLSDNLEAMEKQVKEILGLIGEGGEFSAEKAEAFYEQRPLLITHVENFYSMYRALAARYANVTGELRKTIPSSLQSHSSFGVSESDSETQSSSYPESDMQGNMPQQKQKPGQDYLDVSIGSGVSSDVSKKGSEGSLSSSDSDSELDEAKEENRSIFYALSQKIIELEDELHEARGKLDALEEKHAHCQGDLGTNSKVAEHEGELQISDMESNNLQKDLEERHSFLESSREVRSEKEALEAVMLEHKHEIEVLKGAMASAAKQFEVELEHRDLEIEKCKHELGVLSEKYLHDKSTLEDEHRRLQGVIKNMEGDIAKVSQEKLQLESRIEELERAAHSLEHSASEIVKLQEVIRNTRAELEKVTEEKNMLKKSANEFEQLCRALEVSGTEVAMLPETIKNLEAQLGRALEENSILQDRIKELEQIMSDSLEKHSHEQSCLTSDLLKLSEVNASLEGKLSSVAAELMQVYADKEEESLNNENKISVLNQDIADLRSKLELLSSEKATVDDKLANLLADIATRDEKMKQMNDHLNQLQCEHGKLMADSDSARKSLSEVHARISELEEEVEKQKLVIFESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHGRPFVMAT >Dexi2A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:2A:31162100:31172153:-1 gene:Dexi2A01G0019040 transcript:Dexi2A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRQRKQPQREEPPPPSSDGNGSDHDDNGKGKKPASKEATRRTKAKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCEKHIKSVVNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGIECKAKKQKGNDTEVSDDITGRSVEVQPEPINYGRLVSFGKDVAEAPSSEIERIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTASSVIDLLQFVAPKMMQRGGVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPDMEIFSMYGVGIPTERAYVYKLAPQAECYIPFRIDTSAEGGDENSCLKGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPAGSKTYVREYSHSPPSTLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEEIGGDQVYSDIFKWSEKIKLKL >Dexi2A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:2A:6058855:6059529:-1 gene:Dexi2A01G0006370 transcript:Dexi2A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFSCSDNGHTISAAYAHKCQPLEHHESLFEEEGRTIDTNSNFVYGLSRRDMPMQMIGVRKRPWGKYAAEIRDSTRSGKRVWIGTFDTPEAAALAYDQAAYSMRGAAAVLNFPIEHVRESLHALELNAGARDSPVLALKRRHCIRKRCPKNKQKVTAGEEGQPSAPEGREPIMAAAAAAAMDTIDEHEHGRQKQVASSCVLELEDLGAEFLEELLALSDELK >Dexi6B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:6B:20129557:20134056:1 gene:Dexi6B01G0012480 transcript:Dexi6B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAATAAALAAARRRWTQWEEVVMSNDRGRRLVHYYLRGDGEAKELVVVGRERSPRHMSYAVQGRFLRALAAAAGAVAVAPSPSRSPSAAAGGAGADGGGPRRWRSRREVVDWLSSLVSGCSYGYSTANRWSGNSYDDNDINCTQVTSSKDVSSREILKDFTWLGSAWHCQQRLKHYKSFCRRGITISVHSFVYIMSEEMNRLIAYVEDLYEDANSYNMVKRHNDKIKVRYLELQDADETGNLEEWVMLTRVAKPDQLGIRFLGRPMVRPHVEESKASCFVVGSIVDAWWHGGWWEGIVLRQADSGRLQVYFPGEKRVAEFLEDDLRHSLEWIGNKWNPLKERKDITNKLTSTADCGSEDLIRKQIPLDFNFSPKPEPLLERGDEKSSVSKISRDNKRVFADLTNALKLDNLRWMPRKRSRRSASRRQSDSSGSSSGEMDLSSPSGSSGQLNSVPDEETCKSSGEQQRLMGVAVQVPNLVMSR >Dexi9B01G0029210.1:cds pep primary_assembly:Fonio_CM05836:9B:31799228:31801710:1 gene:Dexi9B01G0029210 transcript:Dexi9B01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSERSCRAWRSAWQKMRTRAILFLLAAARRRCEEEMVVVGDGLMAAAKTRRGGPVVVAAAAIFGLPLRIRFGVVEIPRGSKVKYELDKSSGLIKVDCVLYSSVVYPHNSGFSPRTLCEDSGPMDVLILMQISLDVARKMIKIIAVCADDPEFCHYKDITDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPTKYAINTIKYSMDLYGSYIIESLRK >Dexi6B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:6B:10590311:10592570:1 gene:Dexi6B01G0008430 transcript:Dexi6B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFAERIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIKTTFTTVEVDLPVDMEPAPFDSITLPPTLNLDDLNLDDIISQMNTPDNHQKSLDQITLAGKGSSSKYMLMCITILAFNYITGVAEGGEYDDRVESPACGLSQYMGPEPFEAGTFPRFDDCFAASNTTSDDIPLDPPPGNMPPIIENPLDGPQDPPEVMREAPKEGPDHFTDSVFGNDDPMVVDKDSSPFTTRYLNCCFSHLHRRLKHKITREREMIFDYEIKLDSNYMKKQTDGDGVDKLRCKRRKVPQTVLDLWKYNRTSRKGSSFLLEPLVQGMCSSLHKNYERNFPHVSDPDIESAFNEPMVGYGSSQDAPAERDVTPTSHGNEDTLPEGDLPLKSPGNSEHSLNLSQPPSHQEELVHHEMKICCLSSLDSPQ >Dexi2B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:2B:30267168:30270278:-1 gene:Dexi2B01G0020140 transcript:Dexi2B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAGGSGSDGGGGGGGGGDDVRGLKFGKKIYFEQEGAAATGGKKGKGVAATGAPTAAAPPRCQVEGCGVDLSGAKPYHCRHKVCSMHTKTPRVVVAGIEQRFCQQCSRFHQLPEFDQGKRSCRRRLIGHNERRRKPPPGPLTSRYGRLAASFQEPGRFRSFLLDFSYPRVPSSVRDAWPAIQPGGDRMPGTIQWQGSQEIHPHRSTVAGYGGNHACIGGHGGGSAAGPSVLPAGFELPPGGCVAGVATDSSCALSLLSTTQPWDTTTQSGSHNRSPAMSAATAFEGALVAPSVMASSYTAAATAAWTGGSSREGARNVHHPEDALHLVHHGHFSGELELALQGRGPSSDPPHHVHHDSSGGAFGHSTNAMNWSL >Dexi4A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:4A:1939336:1940279:-1 gene:Dexi4A01G0002800 transcript:Dexi4A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASLDQKLALAKRCSREATLAGAKAAAIATIASAVPTLASVRMLPWARANINPTGQTLIISTVAGMAYFIAADKKILSLARRHSFENAPEHLKNTSYQGTGRPHPAFFRP >Dexi8A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:8A:3322484:3327038:1 gene:Dexi8A01G0004260 transcript:Dexi8A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGPHLPVTRRPYPETPLPYPTIRLRPVYSPTPIRSIRLLQRTAPGDRVSRITASGPPRRAPGLPRPEGPIRDGSPRAQHDTRPGPVCKAETTTRRAQRSRLPCPASACPLPLSARSQPEPPRGTGAASQSRPEEQEQPASGRGRTTRGMDRYHRVEKPRNETPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISIKAMGRAINKTVMVVELIKRRVGGLHQNTVTESVDITDTWEPLEEGLLPLETTRHVSMITVTLSKKPLDTSSPGYQPPIPAEEVKPAFDYDHEESYPPGRGRGRGGGRRGRGRGMSNGPPPPAYGYNEEWEEEGDYYNRGRGRGRSRGRGGRGRGYYGGGRRGGGGGYGYDYGYGGRGGYYEEQDEYYDEPEEYGPPPGRGEEGGRPPGAGEVGAAHHVAAEEATIRRESMPCEVGGVLKLL >Dexi7A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:7A:22645133:22645611:-1 gene:Dexi7A01G0012440 transcript:Dexi7A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKDFTNDTGRVDYIQGYLSFLASAIRFGLYHVDYKTQKRTPKLSAKWYSEFLKGSPLKMRLGNGYSHQYIA >Dexi3A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:3A:9375176:9377567:-1 gene:Dexi3A01G0012870 transcript:Dexi3A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRCIGSGSPIIASFVPFLLLFSLLVVSTSSSSVPTSAPGGGNGTTVMFRSARELLRFQRIKARLAKTRDASSPDGDVIDCVPTHLQPAFEHPKLRGHKPEKEPAERPRSSGRHVGAADEDEEEDALPQTWRRCGESCPEGTIPVRRTTEDDVLRASSVSRFGMKAWGGGVARHDSTDIGHEHAIGYVSGSQFYGAKASLNVWPAQVASPAEFSLSQIWVISGTFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTDDAYQETGCYNLHCSGFVQTSSRVAIGAAISPFSSYAGRQFDITVLIWKDPRQGHWWLQLGSGDLVGYWPSSLFTHLGTRAGMVQFGGEVVNARPAGAPHTATQMGSGRFPGEGYARAAYFRNLQVVDWDNSLVPAAGLQLLADRPGCYDIAGGSGGAWGTYFYYGGPGRNVRCP >Dexi9A01G0034650.1:cds pep primary_assembly:Fonio_CM05836:9A:39399577:39402202:-1 gene:Dexi9A01G0034650 transcript:Dexi9A01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKASTKRWVPVNRAASASESHGRGEGHPATGRVSLHPGAPLQQRVAPKKDTKQWVPVNRPGASHRSGGCDRDADRLSALPDALLHHIMSFLKAWEVVRTCVLSRRWRHLWESVACIDLRVGQGDYDETPEDFPEFVRQLACRREASAPVDTIRVRSSNVDDAYDEEDSKLWIRAAIKRGARVIHIVGHRNGLASLEHTAFVSCHLKILKLSYALLDDKILRQLSLILTMFKCQINVNLCIAAQNLVLLRCISPITQAPSFKNIGSLVTGTVILDDYSFTDDFEDFSKDELDETTDDDESNDSNRKYMNRYGFGVPQKEWISYKDGHDYGSDFDSDDCTYEYSEIANDGFSGDGNNSSNDVNRPAYSENSGHNGNKILGGHNILQSLANATNLELLADAGEVILNRELKRCPTFSNLKTLTLGEWCMGADFDALIFLLQHSPKLERLFVELKLNFNTRKQVESSVKPKGRSFICKHLRMVKIKCSKDDVRVHKVAHLFTANGVPVKKIFVRRTGSTRESHFLFKYLNSHSYAMPIIYYCVVNLSIKDLRSEKIMKDLARHELEFWGNDEL >Dexi3B01G0028820.1:cds pep primary_assembly:Fonio_CM05836:3B:27397849:27398164:-1 gene:Dexi3B01G0028820 transcript:Dexi3B01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDLTPTSIPSSPSCSSEVLLPEFAEYVAVSPVSDGEESDECCVCDNTVEALQFGRRQQDRLRDAKGFIRR >Dexi2A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:2A:21987890:21996738:1 gene:Dexi2A01G0013450 transcript:Dexi2A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRGREDMDARYAALRRAVEATPAVDAHAHSLVAPGSAFPFHRCFSEAEGDVLAHAPHSLSFKRSVRDIAALYNCEASLQKVEEFRRAEEFTSISSKCFRAANISAILIDDDMPFDKMLDMESHKAFAPVVGRVLGIECLAETILNDESFSGSIWTLESLTEAYVAKLKNILNLLVTVSNQIVALKSIAACRSGLEINPNVSKMDAEDGLRKELAGQKPLRITNKNLIDYLFTRSLEISASLNLPVQIYTGSGDVDLDLGKCNPLHLRAVLDDERFAKNQLVLLHASYPFSKEASYLASVYSQVYLDFGLAISKLSVHGMTSSLKELLDRAPIKKVMFSTDGYAFPETYYLDATLRFFEWRLSLPVQRSSHKRSRREEMVMADMQIRPGEAWEYCPRNALRKVMKILLDEFNVIIKAGFENEFYLRRKLERDGIVQWVPYENTNYCSATAFDCASSMLQEVYSTLKALGIVAEQPIPGRTNHALCQRFGIPHHYTTSAPPFSRPDLYDAGTGSHVHLSLWENDKNVFMGSSEYNFHGMSKIGEHFVAGVYHHLPSILAFTTPHPNSYDRIQPNEFAGAYLCWGKENREAPIRTACPPGVPLDLVSNFEIKAFDACANPHLGLAAIIAAGIDWLRRGLKLPQPVEYDPAEYESKLKRLPQNLQESVESLVVDQVLHELIGDKLVAVIIALRKVCTPFF >Dexi3A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:3A:4018816:4020020:1 gene:Dexi3A01G0006180 transcript:Dexi3A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAILRPRKRPRRRNHAASIPLDLVLEIAARSDPATLLRCAAACRELRRHISDPIFFHGRLRLRRHADQFVPSLLRGGLVDRRDRNLILVDKTGAATRQSLPPPSSLQPKTIEAPSGMSTLRRRATASSSSMAISISFATYYVLKAHLRAARVTFTELPKSFHVACRSLRDAREQILLVTSPPLGRSPMVLVANNGMISAWAQSERTGKWSKQPHFIVKDCGAAMKAGDDLIGSMRLDWFAERSGIVLVAAPDTSMSFLLDLQSKGMTECCSSSSSTIAYEMDVASWVPTFTQIL >Dexi4A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:4A:3247152:3248669:-1 gene:Dexi4A01G0004610 transcript:Dexi4A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPDGMPVRLRNRMHGVYLHADEDGERVSLRPHRASLNTAWRVHRVRQAGDDYVLLQSAAYGRYLATSPHQVSLAYAGHAAIQVAYDAPDQDDVVWEAVRVADDTDDVLMLRVSNRVLRAAPWNPVLPSPVYVDIDSAGTMMHWVVEAVPLRQAPPVLPGPTEFPRGDGLRRNILYMRANNEGVFDPMTKKTSEFSGRSLFNLKGDLASQLREAFDHITLCVRPGSQGRLTPLVIDLPANEDTMEIVVLTTGSPVT >Dexi2B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:2B:5494403:5495362:-1 gene:Dexi2B01G0005910 transcript:Dexi2B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHFSNSYYFGHGAAYTHCDTHYNNLEHYHGGAAMDASSRFHASTYDPHPYIGDYYCHSSSSTALSSSSFAAPSSHHPQQLHFSSGGGGGGGMDEYYNYQFDGMGVAAMDQFSSLMGVASISATSTSSGNSSGHGSSYFLPPEAGAVADDTPAMIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGGAAVLNFPIEHVQESLRTLALTAGTAAGEEEEDSVVLALKRRHCIRKRLPKNKKAASAKEESSSHHGHGKQQKQAAASSNSCVLELEDLGRCPINEEDSSLSNYLCDLYISQTHE >Dexi3B01G0029360.1:cds pep primary_assembly:Fonio_CM05836:3B:28565963:28573680:-1 gene:Dexi3B01G0029360 transcript:Dexi3B01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYSLVEDAGAGVTTLSGQPHRENVEVLRLRTRKGNTLAAMYVRHPDATSTVLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVACPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLRHLKKFVHTVEKSPSHRQAWRESVDRIEPSRKSIDFFEPSRKSTDRREKSRSTRDRTRNTEHRYSNVEKVDKLKFSLDQFEKSRRSVDIFERPKRNIEQLDCGRKSVDRLDRIWAS >Dexi4A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:4A:5386355:5389415:1 gene:Dexi4A01G0007270 transcript:Dexi4A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKQRRGAMAIVSEGGRRGDSGSSSFRRRGGGSSSSAAAAAAALVQCEEMDESDGEVQSSFRGPFDTMDALQGALPSNRKGVSKFYNTKSSAAAAHPAQGIAIPGNPSPKKRKGFLSFSFSWSKSRSKGSSSRRDASTSSKNCRNKTTLPASALTSSSHHGNSRGVNEHARRWLQRSSSARGVVVSASPPPASVRSQLIAVQLRSVSVARLEDVAESTASICPREKRRKSLQ >Dexi5A01G0025270.1:cds pep primary_assembly:Fonio_CM05836:5A:29150266:29151417:1 gene:Dexi5A01G0025270 transcript:Dexi5A01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAINGHCGGASGELLPAFGEPFPSIAENHIINPYDGRYRWWQGFLIVLVVYSAWASPFELALEKAATAPLLVVNLVVDVFFAIDIAVSFFVAYFDRSANLFIDDRRKIATRYLARPWFAMDVASTIPFQIIYHLVSGRSSGFRYLNLLRLWRLRRVSKLFSRLEKDIRFNYFYTRLIKLIGVTLFALHTSACIFLWMAFHHRGDTEQTWLGSQVHDFADRSVWVGYTYAVYWSITTLATVGYGDLHAVNPGEMAFATCYMLFNLGLTSYIIGNMTNLV >Dexi9B01G0042660.1:cds pep primary_assembly:Fonio_CM05836:9B:42871729:42878948:1 gene:Dexi9B01G0042660 transcript:Dexi9B01G0042660.1 gene_biotype:protein_coding transcript_biotype:protein_coding SARRRRTLLRLLVVRCSLPDFACRRFSGLRGFALVARDSRAMEQDPSVAAGVDSGSAEPGVAAFDLTSAYPDANAYGHNPPDVTGGSAVTPNGGAQAVDASAYPAEHAALNGTAGEMANYQSTGATENGAADANEMGEPVPEQSYEEAVLSAEEARLWGVVTTNSLDFNAWTALIDETERNAESNILKIRKVYDAFLAEFPLCFGYWKKYADHEGRLDGVSKVIEVYERAVLAVTYSVDIWYNYCQFAISTYDDPDIIRRLFERGLAYVGTDYRSNNLWDEYIKYEESLQAWSHLAVIYTRILEHPIMQLDRYFNCLKELASTRDLSEILTAEEASVYGVTSENSTQPLDGEAHPEDPDKSSQPESENVAKYVSVREEMYKKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDFMEKEEDTHKKQPEIHLFSARFKELNGDVSGARSEYQHLYSVLCPGFLEAIVKHSNMEHRLGDKESACSVYEKVIAAEREKDQSQLLPTLLIQYSRFLFLAIQDMEKARDTLTALHEQLNVSKPVLEAVIHLESIFPCEKRIDLLDSLVEKFITYESSQGEGSLGDKEEISSIFLESFSEPVFFQVMLRILHMELMTTAIKCLSLLLKLLTVLTLQHTLLRATHNKATHNLQQWRQHQCPQQQQHQHLRQLTLSNLRQRHNPTMEQPTTDL >Dexi3A01G0033560.1:cds pep primary_assembly:Fonio_CM05836:3A:38284574:38284991:-1 gene:Dexi3A01G0033560 transcript:Dexi3A01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLHRCRRPLPEDTQGFRHRYHPEDTQGFRHHRHLPEDTQGFRHRHHLSEDTQGFRHRRHLQEVTGSATAASTWRICRGSATAASTRRNWRSSSPSTTLWRTRRNSIASATCWISRWSAPSSASSWRTWRPSATSS >Dexi3A01G0033560.2:cds pep primary_assembly:Fonio_CM05836:3A:38285000:38285464:-1 gene:Dexi3A01G0033560 transcript:Dexi3A01G0033560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTAIATIFRWTYRRFTAAATTTTFKSKLHFHCHLRQNVEGLHYHHHRHLLDMQGLHHHHRHLLDMQGLHCNLCRDFSEDMGCTTTTTTTTTTNNNNNSSWRICRSYTTSWRIYRGSSTTSRRICRGSSTCTTTSWRACRSFTTTAT >Dexi2A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:2A:27572373:27573286:-1 gene:Dexi2A01G0016100 transcript:Dexi2A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAAHDRGGSGHGSAGTELSVLSRVEEERSGDDEKRLSGGCACVERKGAGDKRRVAAIRAVGSTARRGDSGGKPREVDGVGGAVAPLLLPAELLVPILLDSACCSL >Dexi9A01G0049180.1:cds pep primary_assembly:Fonio_CM05836:9A:51877535:51877972:1 gene:Dexi9A01G0049180 transcript:Dexi9A01G0049180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRISGTAAAWRLWSEHGKLHLHCSGADGGQYCLYGWLYGLLLQAAWLMTPQIAMRNSQGRPSSVNESTRKLNRLITSLLLHPCMAMAMGPSFSSRGGAWDPPSQSQGRTGRRLPRDKNFLQVWRVRDERTLAIISVQFRWRL >Dexi3B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:3B:760907:763259:1 gene:Dexi3B01G0001000 transcript:Dexi3B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHLAAGPTCHHHHGVTAATASLQLRRRPSCPRPLRSRLFFTRIYAISSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKLRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTYEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGGSDSAQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >Dexi1A01G0032150.1:cds pep primary_assembly:Fonio_CM05836:1A:36850734:36852613:-1 gene:Dexi1A01G0032150 transcript:Dexi1A01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLPPAKTPLLSPFTAFPPLPPPRPFHICVSAAVAGRGGAGENAAAASGTTARERRLVKVREERRRREYDRENTYPGWANFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMLDFDPSYDSDEASSVMPSSFHDISDVEFQDNWARVWVDLGTSDYLGLDVLLNCLTQLSSE >Dexi2A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:2A:5222469:5227982:1 gene:Dexi2A01G0005400 transcript:Dexi2A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAAAAAARLLRRLAPLAAEPPTRGMPHTQHEFANRTVNSCRGFHWIPSLQHSPRGPRTNVETHEGKYSANKASEVQKRSFGSAATQIQRNPAYSELTSDDVSYFKSILGDNGVVQDEDRIAVANVDWMGKYRGASQLLLLPKSTVEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVSLAGMDKIISFDNINGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEAVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKIAVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDNHCIDLAMRHLEGVQNPLPASQTKFYILIETTGSDESYDKTKLEGFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRCRLGDNAEVLGYGHLGDGNLHLNILSSKYDDNRLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLLDPNSILNPYKVLPQSVL >DexiUA01G0022240.1:cds pep primary_assembly:Fonio_CM05836:UA:45389109:45395726:-1 gene:DexiUA01G0022240 transcript:DexiUA01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPVRKTLGHGDGGGRGSRLERLDAGAQVLDLAAQPSPLKRLVGGIKGQKYSRSSDISKLFTNSLTVPLPEEDEQLRVFNNQIEEDRKIIISRHNLVKLHKVLEEHELSCVELLHVKSDGVVLTKQKAEKAVGWARSHYLSSTNLPSIKGDRLIIPRESLDIAIERLKEQGITTKKSSQNLKVLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRDLCRPSQPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDAQNRMKILKILLAKEKLESDFKFDQLANATEGYSGSDLKNLCVAAAYRPVHERLEKEKKGGVSNESSYLRPLKLDDFIQAKSKVSPSVSYDAASMNELRKWNEQYGEGGSRTKSPFGFGN >Dexi3B01G0037890.1:cds pep primary_assembly:Fonio_CM05836:3B:40746838:40747854:-1 gene:Dexi3B01G0037890 transcript:Dexi3B01G0037890.1 gene_biotype:protein_coding transcript_biotype:protein_coding STGSDRIVGRFVNPSGRSAPFVSFAFLGKQPEIQQFGMVSSCNGLVLFGHRQFGDSYDTLGYIVCNPATEQWVAVPSSGWEPLPLYKSSESESESESDSDTEDEGNCKFTYMMFDPAASPHFQLVEFWTPTYEASVVEELHTYSSETGVWSKRTSTWDDDEYVAFAARGAFVNGMLHLSATRFFRSGKHRELIVAVDGEGKNHRVISGPKEDCNVAFVTESQGCLHFVDEHKDITRGMAELSIWVLQDYYAEEWVLKHSHWDLKLKSYDMDSQEVCIIRSLGVGRQTISPYIPYFAESPALASKH >Dexi3B01G0035950.1:cds pep primary_assembly:Fonio_CM05836:3B:38905741:38908103:1 gene:Dexi3B01G0035950 transcript:Dexi3B01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRPCLLAVALAAAWISAASSSPDQVGILGCVAVWGAPGSVMLLHHASFNHCTAQAGTSQKSINCMPCSRTYVGDAYLNALTDHHAHHRDLSEMSDSTELCKGITDDVEVPALSELRRQLVGEGSHRRLVYSLKFGACQDAMVNFLDGHDAHLVIVEKLPNGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSNRSAVEIHFDLKPSTSINFDIVIDLPLHARYPVRSYKLPWNFRKNADGLGRGKHNFSFLPLDASGYAAIEFDSPDLFLRYRKKEIQSDSCLWVLKNLEAAPVEKAAWRIPCGDEAHIGFVSSITFLSALVCSMSIVLATLIF >Dexi4A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:4A:24807930:24812793:1 gene:Dexi4A01G0021140 transcript:Dexi4A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSINSDASRQQSDGHPSPPRRRRRRRSKSEKKKKMAMLWWTALKKTLSCKSKDSCDVIKRDDPRGGSTRGLNRSFLPPPPAMRSGCSRSISNLRDVIAAGGVRRHPPAAVASRCCDSPRSIESSDVLNAATMTHDVLVVAAGDRSPIAPGLAAWAIGGAAPLSPLLMRCSTARFSSQRKNSPRQLSPLRRSAGVAAGNNGGVASPIPAWASSGVGVRCDRCGGLFSSNDALESHHLVYHAVTELEGGDTASKVVELIYMVGWPNPEDTMDRVERVVKVHNMDKSVDKFKEYMEDVKARAAKLPNKHPRCIADGNELLQFHGTTVSCSLGSGGSHNVCASGTCNAPAPPCLRPCVCRIIRHGFWATKETTNAGGVFTTSTSKRALERLRETIAGGGEDGGAGEVGDRGEDPPAAGEPQVDVAGKMGFDSVAGHVGADSSIEELYLLNPSALLPFAGDVAQSQAAEFAKNRKER >Dexi1B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:1B:22154165:22154452:1 gene:Dexi1B01G0015570 transcript:Dexi1B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVHEPDVGKKVREHCHKLEEEASEDMIHTWVLAYLHRQVGKKVEVHKVEVEKQRHHSCKEQACCHIDEESLSLHNFLPFLLLRETELPEAWER >Dexi1A01G0023760.1:cds pep primary_assembly:Fonio_CM05836:1A:30372722:30375586:1 gene:Dexi1A01G0023760 transcript:Dexi1A01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPAPLKDAVGELDRDGFIALLSKLIGESARLQNDPPTHMPQEDLVAEHVVDALRPVSTDTGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPNEWDFDPFSLTFDSEDKDRLRGRGTTDCLGHVALVAQLMRRLGDLKPPLKHSVIAVFIANEETSFVTGIGVDGLVKDGLLDKFKTGPLFWIDTADKQPCIGTGGLIAWHLKATGKLFHSGLAHKAINSMEMNMEALKEIQKRFYIDFPPHEKEKVYKFSTPSTMKPTKWSYPGGGLTQIPGECTISGDIRLTPFYSAYHAVEKLKAYVEDINERLETSLDTRGPVSKYVLPDENLRGRLEITFDAELLNGVACNLESRGFKALCKATEEIVGHVEPYSITGSLPLIRELQV >Dexi2A01G0023600.1:cds pep primary_assembly:Fonio_CM05836:2A:35307237:35308387:-1 gene:Dexi2A01G0023600 transcript:Dexi2A01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLVATLAPSPPPAPPPPHRRNPRRPTPAVRLTSGVALAAAAAAVAAGASPPALAALSEPANALSLPTWAVHVSSVAEWVTAMWLVWDYGERTGIKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNFTMCIAAYRIFKASQEGSKTS >Dexi3B01G0029410.1:cds pep primary_assembly:Fonio_CM05836:3B:28609693:28610646:-1 gene:Dexi3B01G0029410 transcript:Dexi3B01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAARSGGGSENLISALPDELLHCILLRLPSVAAAARTSLLSRRWRHVWTHMPELILSCSRPDGGPAPVASVFLDSVDAVLNAYSAPTLRHFEIHMDLFCRGHLPAHRIARWLQFASRRLAGRLDFYLPMHEDEEDTDRELILPVLERATEIKLLLRPNIVLRLPPGGSFTALTDLRIMLSTMNGSELGRLVSSQCPRLRKLHVLVKLAVDFDVSIRSKSLVSFFYYAGPGKLEVFTPFLEKISVCQATEAYIVAPNLKKVNWHDNAYDPSCHRFAVLSRHLQRLWINETSLRLMKPFDTVNELWLVLSIPEVCP >Dexi3A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:3A:16348450:16350919:-1 gene:Dexi3A01G0020600 transcript:Dexi3A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDGSSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGYKGVFSPNTIVSLIMRIVDNSCPGSSSDYAMDMHHKDHLHENVNIMQAQHYPLKENLIIKSQLVSLLSHHQHCKTTLFSITKQWRRDLPFLLPHKILDENYKLSP >Dexi2A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:2A:26673317:26676953:1 gene:Dexi2A01G0015540 transcript:Dexi2A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIRRQKQWNAVAVLGVACAAAAVVTVAAAADGQNTTLTGVVAPAPEKMAAAAAQHQGDGKAYHHVWPPMKFGWRIVLGSLIGFFGAACGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGGSVSTVYYNLKLKHPTLDMPIIDYDLALLMQPMLMLGVSIGVLFNVIFPNWLITALLIILFLEFEFITTKYMNYVASCSGWYWVLNSLQVPVAVGVTMFEAHGLMTGKRVLSSKGSQQQSKLRVGQALVYSLFGILAGIIGGLLGMGGGFIMGPLFLELGIHPQVSSATATFTMMFSSSMSVVEYYLLHRFPVPYASYLTAVAFVAAIVGQHCVRKLIAWLGRASLVIFILASMIFVSALTLGGVGISNIVQKMQQHQYMGFESLCKV >Dexi8A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:8A:1090109:1090507:1 gene:Dexi8A01G0001560 transcript:Dexi8A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMGRREKEWKEERRGIGAEILVEDLRWRVSSVWEARGGLAAAYNADEVAAGYGESGSRIRERRRLGRGEESSVRRGRWASRGRWWRISRRRRVEGGGIARREQDEREKRENGTGEMRRELAAGRMGMEGW >Dexi9A01G0039930.1:cds pep primary_assembly:Fonio_CM05836:9A:43774686:43776068:-1 gene:Dexi9A01G0039930 transcript:Dexi9A01G0039930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIEDYMVEKLGIDESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDMVHAVRALQRLGLEDCFEGIICFETLNPPCPPQGDQEPEIFDIAGHFAKSGTADELPKTPILCKPNTYAMEEALRIANVNPHKAIFFDDSVRNIQAGKEIGLHTVLVGKSQRVKGADHALESIHNIREALPELWEEAEKAEDVPLYADRVAIETSVTA >Dexi5B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:5B:3404965:3406390:-1 gene:Dexi5B01G0005070 transcript:Dexi5B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKGVEPVQQGGGLPLAALNHISVVCRSLQSSVHFYRNVLGFIPIRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPKKTEIDPKDNHISFQCESIEAVQRRLKELGVRYVQRRVEEGGIYVDQIFFHDPDGFMVEVCTCDNLPIVPLDGHAILGLPSPAPACKRAAAPPLPVPVAVPAPKKASSCVSEVENCSIRSCPEHTCMQV >Dexi3A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:3A:13284595:13285309:1 gene:Dexi3A01G0017410 transcript:Dexi3A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVDALAARSKRSFGRRRIPGQEFGGDGGRGGGDTAVGYGITADKALRLFHGNLCGKGRDGAGTDVTFFVSGESIAAHGYVLAGKSAVFLSQLIFRRPMGGKVEVKDMDAATFRAVVHFIYTDTVPEFDDRRPDEEEAVATMAHHLHAAAERYELERLKLICKRKLQSGAIHVDMAARTLALAEQHGYRRLKAKCIDFIVGTPKTLNAVLETGGYKHLEASCSSVLSELLNS >Dexi7A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:7A:1642101:1643305:1 gene:Dexi7A01G0000630 transcript:Dexi7A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAGAQRDVGPRRSVILLHLPPELLAEIHGRLDFVDRLNLAVSCRSGGEASSSSHKTPCLVLPGATTETATLFSLPDGRFATSRAADPAMRGHVILGSTDGWIVTADVHATLRIANPVTGEQAELPAITTGTIPFVDPILSSSYAIDMNVFSQLTGAHNHGSITLSHCYMRKWFYRKVILSASPRPGSYAAMLILDKPRFHGAAAFATADEQSWRLAFSPDGVEDAIHHDGRFYSITYSGYVVAIRPRLPGEDLHMKPPQAFAFEVLVLDVARQRWVETKDIGDLALFVGVNTSLCVSTRDHPGIRAGTLGCMCSRTARWRASTGSEPQTT >Dexi9A01G0043140.1:cds pep primary_assembly:Fonio_CM05836:9A:46641841:46659299:1 gene:Dexi9A01G0043140 transcript:Dexi9A01G0043140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHDEWELARKIVPGWDDGTSSEVRQAYKQFIGAVVELLNGEVVSEELHEVAQTVYALFSGDGTEYVATQRALVKRNELEKLVGYTVQDSILKKLAQLAQKLGSLQRASTHEFVHRAAEDVDENERTEFGADFDFKAPARFVIDVSLDVPLESAELCSGTFEKGQYDAWSTPTTLNSTAVRGSVSLRWLKDQCDLITRSGGSLSGDELAMTLCRVLLSNKAGDEIAGELLDLVGDTAFEIVQDLLLHRKELVGAIQHGVTILKSEKMSSSNQPKMPTYGTQVTVQTESERQLDKIRRKEEKRGKRGADTGNSDIGVDDFSSLLLASERKQPFDDVIGTGEGSDSFAVTSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPLKALAAEVTATFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISERDYSKRSELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGELELFSSADHPQFPLIKKDVSKAKSREVVEFFESGFGIHNAGMMRSDRSMMERLFGDGLLKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVLGDPSMGAKQRAFIVDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESEVITMVAHSSEFENIVVREEEQEELEALARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMTSLLLEYCKAVDRKIWPHLHPLRQFDKDLSPQILWKLEERNVDLDRLYEMEENEIGALIRFSHQGKLVKQYVGYLPYVNLSATVSPITRTVLKVDLHITPEFVWKDRYHGMSERWLIIVEDSENDTIYHSELFTLTKKMARGTPTKISFNVPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQISHTELLDLKPLPLSALGNKTYEDLYRFSHFNPIQTQAFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVTDDGLFNFKPSVRPVPLEVHIQLAASDEKPRKFLNMADNALDMVLSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTIGNKEEAIIYLTWTYLYRRLVFISCKIIFGTLFYVVNPAYYGLEDTEISTLNSYLSRLVETTFEDLEDSGCVRVDDHSVKYLILGKIASQYYLSYLTVSMFGSNIGPSTSLEAFVHILSAAAEFDELPVRHNSGNVPYPVDQQRLDDPHVKANLLFQAHFSRAELPISDYVTDLKSVLDQSIRIIQAMIDGLWFERDSPLRMLPSMNDDILDHLRSRGVSTVPELLDLSREELHRFLQPFSASELYQDLQHFPRVNVKLQLQNEQERSKPPTLNIRVQLKNSRRSTSRAFAPRFPKAKQEAWWLVLGNATSSELYGLKRISFADRVVNTRMELPQMSNIQETKLILVSDCYLGFDQEYSLEHLTQG >Dexi2B01G0034820.1:cds pep primary_assembly:Fonio_CM05836:2B:42020860:42021477:-1 gene:Dexi2B01G0034820 transcript:Dexi2B01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLYRTHRSLAEQYDLLKHGSGTRHTASSVNGKTTPRSSCSVSVYDSESEVDDPEEEEHEEEQIELMRAEIMKMESLSREQQQQKEQVELIRAEIERLKEQNTALQKAAEENVALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSVRLFTAHCKPTGPIVAL >Dexi8A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:8A:23460489:23461244:1 gene:Dexi8A01G0013500 transcript:Dexi8A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDLSRRRRRSSEDHITGLPDELLHEILARLGSATAAARTSVLSRRWRHLWTHLPDLVLDDDGTVPIAPPPATFLETVDAALAGYSGPTLERLVISTSRDHGVPIPLRRVARWLRSAAGRVAGELCIHIRRQRRYWEVDCEVDLPTCERARSIVLFLPDHWKLRLPAAGSFAAVTSMTLRCGWMSGSELTTFVTTRSPSLRELNLCTKLVALCDVSYSSLRSDSLQSLWFNVRNVKELEVVAPRLELLVV >Dexi3B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:3B:8601097:8601501:-1 gene:Dexi3B01G0012290 transcript:Dexi3B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGELDVDLVTWSQSGEAGRGVGLQPRCGEVGHTAAPPHQPLYELEIPSASSSPPAFSSVSTATSSPGHTTAPGTPLPTSAHPTGHGGAPARAPRPRWTSGEQIERIEQSTSISHLRRALVSSPCLLLGCFSLF >Dexi3B01G0024600.1:cds pep primary_assembly:Fonio_CM05836:3B:19244788:19245684:1 gene:Dexi3B01G0024600 transcript:Dexi3B01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPENVSQQAAAAEAPLKLLGSWASSYTHRVQLALRLKGLEFEYAEEDLGNKSDELLRLNPVYKKVPVLVHGGRSLPESVVILQYLDDAWPHIRPLLPSDPFDRALARFWCHFADDKLGPAVGAVFASTGSEQEAAVRQVHENLALIEAELREGAFKGRRFFGGDEVGILDVVLGCGSYWLAVFEEVMDGVRLVDADAFPLFHAWLRGFEALDEARDTIPPVDRLLGYARGVRHMLLGLAGAGDGAAAPTAAAEATAPVPAPAATDIAVDI >Dexi9A01G0029280.1:cds pep primary_assembly:Fonio_CM05836:9A:34119150:34120322:1 gene:Dexi9A01G0029280 transcript:Dexi9A01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAALLAALLAVLVAAHGVRAQTTNHTYSAVRQLRGRGWLPAKATWYGAPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFKDGKGCGSCYQIKCTNKNNPACSGQPKTVMITDMNYYPVAQYHFDLSGTAFGSLAQPGLNDKLRHAGIIDIQFRRVPCNNAGLAVNFHVEEGSNPVYLAVLVEYANKAGTVQQMDLLESGSRYWTPMRRSWGSVWRLDSNHPLRAPFSLRIRGESRQTLLAYNVIPANWMPNTDYRSYVQFK >Dexi2A01G0036680.1:cds pep primary_assembly:Fonio_CM05836:2A:46139272:46139610:-1 gene:Dexi2A01G0036680 transcript:Dexi2A01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSAVAAPLSVAGLRKPLGPAATFQPLQPRARPAARMAVRASMKEKAAAGLTAAAMATVLVLPDVAEAAQLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRT >Dexi2A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:2A:8613703:8618003:-1 gene:Dexi2A01G0008540 transcript:Dexi2A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAPLATAGLLSPSPPRAGVATAPRRGRARASVAVSVSVSAAAATSCVLADAPRRIKVSRIRIAFAHEGPRCSEEQPEVEQADAGAQAAAARRDVSPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGGGFSGGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKCVENILILSGDHLYRMDYMDFVQKHVNSGADISVACVPMDECRASDFGLMKADSNGRITDFLEKPKGEDLKSMQVDMGLFGLSPEFSSAYKYMASMGIYVFKAEVLRKLLRGHYPAANDFGSEVIPMAAKDYDVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECSVEHSVIGIRSRLEPGVQLKDTMMMGADYYQTEEERFSELSDGKVPVGVGENTKIRNCIIDKNARIGKNVVIMNSDNVQEADRPAEGFYIRSGITVVLKNAVIPDGTTI >Dexi5A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:5A:7373013:7375594:-1 gene:Dexi5A01G0009850 transcript:Dexi5A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRKSSGGSSAGATAAGEGNTHSSGGGGGGGCKLERKDVEKNRRLHMKGLCLKLSSLIPPAARHASLLSEAAASASNPNKDTVTQLDQLDSAAAYIKQLKERIDSLKRRKEGGGLSGHVAGTAAVAAGVAGGAAVRMPVIEARYQDGTLDVVLISEAGRPFKLHEVITVLEQEGAEVVSASFSVVGDKIFYTIHSQALSPRIGLDAGRVSERLQDLLHLV >Dexi5B01G0034320.1:cds pep primary_assembly:Fonio_CM05836:5B:34563531:34565566:-1 gene:Dexi5B01G0034320 transcript:Dexi5B01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGAAADDVERGDYEQEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGDRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMAQSDCIRRSGAGAHCDAPATVLMLAFSVVQVVLSQFPGLEHITWLSVVAAAMSFAYSFIGLGLSVGQWLSHGGGLDGRVAGAAAASSTKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKSPPPENKTMKKAAMYGIGATTVFYISVGCAGYAAFGSNAPGNILTAAGLGPYWLVDIANMCLILHLIGAYQVYAQPIFASVERWAASRWPEAKFINSAYTVSIPLMQRGSVTVAPYKLVLRTVIVVATTLVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQCNITRGRKWYLLQGLSMVCLIISVAVGIGSVTDIVDSLKVSSNPFKTVN >Dexi1A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:1A:9092791:9094338:-1 gene:Dexi1A01G0010550 transcript:Dexi1A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLASTGTTAERTVPPPPPPRPHVVLVASPGAGHLIPMAELARRLVAHHGVAATFVTFTDASAAPDATNSTVLSSLTASGEAISTVALPAVSLDDLPSDARIETILLELISRSIPHLRSLLLSISSSTTPLAALVPDFFCSMVLPLAAELGVPGYIFFPACSTTLALMRSAVELNDGAASSGEYRDLPDDVLHLPGGVTLRREDYGDGFKSSKEPVYAHLIEAGRRYRAADGFLVNSFAEIEPAYRYNVVEHGTFPPAYLVGPLIRAPNSNEDATSSSTCIEWLDRQPEGSVVYVSFGSAGALSVEQTAELAAGLEDSGHRFLWVVRMPKLLEQDNNTFDKEESAHKNDDKEDDPLAWLPEGFLERTSGRGLAVSSWAPQVLVLSHRATAAFVSHCGWNSTLEGVTAGVPMVAWPLYAEQGVNATVLSENVGVALRLRAARDDGVVAWEEIAAAVREIMGGEEEEKGRDVRRRAEELRQAAARACKPGGSSWQVLEEVADTWKEAAAARRRC >Dexi9B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:9B:2257452:2260599:-1 gene:Dexi9B01G0003910 transcript:Dexi9B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSRDPVNTRTRTGENKIKAQSVPSSEDQVMIARDDAENSSGNIVHGQEQGSEQIEGLDWDMEKLSNEIDCCLLRLRDGGRDDDGDGDVLLDLCDEQQLTDLNQRLALCRVRAREYKPYLDRIGEMATEDYAYQHPVIMDTVKTIVIKPKTYYDYVKKKLDIAKQIGLICRNP >Dexi9A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:9A:5424705:5428749:-1 gene:Dexi9A01G0009150 transcript:Dexi9A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRSAKRRRRHLYLAFDDSLRGYSIRKVDLPSDSDSDSGDNEEPPHQHRSDAELRLPPAVFRLEAPRGLPHYFTAAFGSRIMAMHPVKPGTDGKLCLMSKLLVPVFDVRTGGVIFAPRHNGDMGDPIYIPVGDRLFALASGSFEQLLPPPLEHPGGAHCEWTWHELPKPPFEREHIISYAVHHDGRTIIVSTESAATAATFTLDSEAPYFAWKQLGKWVLPFPGRSHFDCELDAFVGLSKDSDTLGHLSSCEPASSDSDDGHSLAPAWKLSKEKLFSEDPAEKHEGATLLYMGGKSKFCLVQCISIVDDCVSEEMQEQHWTSDSSDNRQFPAWKLGKMKLFTCVALATRQLSPGLSRHACYYCSVKKITSRRFRPSTTPPGK >Dexi3B01G0035560.1:cds pep primary_assembly:Fonio_CM05836:3B:38606610:38608026:1 gene:Dexi3B01G0035560 transcript:Dexi3B01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVELVVTSMTTLLLLACRGSHGHAASAADIEEELPPAWAVPHLRRLLARHKVDAVVDVSTRGGHHYGSIAEALAAAPPPPGRYTVHVRAGIYREPINITRSDVTLIGDGMGRTVISGNQSMHTGHGMLQSAILTVSGDGFMARDLTLQNTAGAVFQECQILVRRSVDGKDNVITAQGRDGPDNQSGFVFQRCAVKALPGDHLDTTTTKTFLGRPWKKHSHVVFMRCALDSIVNPGGWLQWNATTPVPDTVYYAEYRNTGPGANTQGRVKWDQLHLLKEPAEVANFSVHNFIQGDDWLPRFGIIYDQE >Dexi2A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:2A:6967351:6969410:-1 gene:Dexi2A01G0007220 transcript:Dexi2A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNIASSSELYKRVYFALSRITLLYFFFLSCRTKKILGFSVSLILINLASIMERADENLLPAVYKEVSSAFNAGPTDLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFGQVALWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGKDYWGFPGWRVAFMMVALVSLIIGILVYLYAIDPRKIPGNHLLDEDDYERFHLSSKDILPPPSIWRDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAMVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFIGGVLADCLSKHYPDSARVMCAQFSAFMGIPFSWILLTIIPQSVDYWYAFAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDAKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLASIKEQELV >Dexi2A01G0022940.1:cds pep primary_assembly:Fonio_CM05836:2A:34695626:34702872:1 gene:Dexi2A01G0022940 transcript:Dexi2A01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMRMYKAPELLKKAVTVFKCKTDTLIRTKTLVLGSLRRKVAMVQKEARLEYSDKALVLSKAADQGHGGVIGLSEVVVSVEDDHGYPDSTHSLFNDDNCYNGYGDDEGNHDHGVLDVLDEPSVIDVIRSNRESEVGSPRSETGAHFLFPRTEAVMAVAWSSNSSITLQMMGTSKSSTKDSNHLSPPTSTLGSSLYRLRIKPQIQSLEQNPPHPTAMADWTSLPSDIITRVADGLLATDDIDYYIDLRAVCHSWRSSTADPKTSGNPRFQPRQWAMLDEVYQSDERLFVNTATGRFVRRDLSLLRRSYFVVAGADGGSIVLAERASPHAARVLNPFTGSLVRFVAPVPTEERDFVAHVISSSPPTLVLRCDESGTMIYWVYPDSESFFTYKEKHYHCSSLVKQALVGGIYAAAREPGLFPTRLSAGEMLIVFKLKHRFEVFKIDPASCKRSARTCEGYRQPPPLRGGLQLFPSVEANCIYYVIDEPLYICIYSLKDEKLVVAGGAIDSFNPHTLSPYTFELRGSELKWEKMFTQLSGMDKELFARLTEELSAYDCESDDDY >Dexi2A01G0035510.1:cds pep primary_assembly:Fonio_CM05836:2A:45128813:45129484:-1 gene:Dexi2A01G0035510 transcript:Dexi2A01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETTIVDPAESGKLYEDVPPMPLMALNHISRLCKSVDASVQFYVKALGFVLIHRPPALDFSGAWLFNYGVGIHLVQRDDARKAPDVSPGGGGELDPMDNHISFQCEDMGVMERRLREMRIRYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCESLELVPAGDLGRLRLPRGRHNPPVRMVDE >Dexi8A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:8A:6371781:6372284:-1 gene:Dexi8A01G0006260 transcript:Dexi8A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGQLTTLREVCDLPAKEWRRVSLAEYLLDTRARCWKDPASISGRTLTRYAADLLDPDASWEANLSGMRLLDAFIRNGSDVKSLLLPSRSKGPQGAREMRELAARIVAHLAGDLHLSQFPGAIDSISSLLDEGTIDAYWGRSRQLERHLPQGET >Dexi2A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:2A:7198175:7198453:-1 gene:Dexi2A01G0007370 transcript:Dexi2A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSTSIGPSSPSKGAAISAPLRSPIALSAPDLLHLGYSRIAAPPAPGKELPASPPSPARTSPTRAAAATRRPRRLRAPLVPSRRNCAIPP >Dexi2A01G0024930.1:cds pep primary_assembly:Fonio_CM05836:2A:36745668:36747717:1 gene:Dexi2A01G0024930 transcript:Dexi2A01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVESGGGEGKQGPSSSRFRRVCVFCGSSSGKRSSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVSEAVHKGGGHVIGIIPTTLMGKELRSFSATHLGSRVVANNCVAASRVQITGETVGEVRAVAGMHQRKAEMARNSDAFIALPGGYGTLDELLEVIAWAQLGIHSKPVGLLNVDGYYNFLLAFIDKAVDDGFIKPAQRHIFVSAPDARALVQKLEEYEAVADDDLATPKLRWEIEQVGYNASLLAEIAR >Dexi3A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:3A:15332164:15335507:1 gene:Dexi3A01G0019540 transcript:Dexi3A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLVFLVFFILSCLLCGVRSGSLLNVTSTFTFGDSHIDTGNVLIMAASVIPVWIDHPPYGETFFGHPSGRFSDGRVVIDFIGMQRYSNLKSTNVSHGVNFAVGGATAIEVAFFETNNLVPFKLLNNSLDVQLGWFEQAKPSVCNCNEAPGGQPSYGDCFGNALFFVGELGVNDYNFIWTAGKTEDEIKKYYVPKVVDTISKAVERLIKEGAVYIVVPGNPPTGCSPSVLTFRLSPNKTDYDHIGCLRNVNAVARYHNLLLRAAIAGLRGRYPHARIIFADFYEPIIRILDNPGHFGFADDALKACCGTGGAYNWDPNAFCGMPGVPACRNPGAYVSWDGVHYTEATNRYIAQGWLHGPYADPPILSALQRY >Dexi3B01G0033510.1:cds pep primary_assembly:Fonio_CM05836:3B:36234622:36235045:1 gene:Dexi3B01G0033510 transcript:Dexi3B01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWRAGWLLVGWLCSLPCGSSIQKKESEGGDGPVQLSKFLGRDKEKEEGTQRSAISGKKIMMKLEKTKEDKAAESKRNELLKFLNASYD >Dexi4B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:4B:239794:241332:1 gene:Dexi4B01G0000390 transcript:Dexi4B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALRLRGPMSSNLDDGETPSPAKRPRRSPEPTSVLYNRSPSPPTSSSLASSSAAPDPPPISAEDWEAVLSGDMAAAPLSHSQDSCFLRWIMDADAQVDAFDPFLPPPPPCQDPAAVEIFLQQPQAPPLLASDDFLEPRAVVDELLEAARRADSGDSTDAREILTRLNHRLPSPTLGIGQPPLLRAAAHLRDALLRLLVTPPGQGSSVSSSPLDVALKLAAHKALADASPTVQFAGFTSTQLLLDALGGARRVHIVDLDIGFGARWPPLMQELALQWRRASAAAQLPPPSIKVTALVSPDSAHPLELHLTHESLTRFAADLGVSFEFNAVVFDPSSDPSPPLAVSAAPGEAVAVHLPTIGSGTFSPATLHVVKQLHPAIVVCVDDHGCHRGDLALSHHALNVVRSSAAFLESLDAAGAPADAVAKVEQYILRPRVERLLLGDRMMMTPSPWQVMFASAGFSPVQLSGAAEAQAECLVRRTPTPGFHVEKRQAALALRWQQSELVTVSAWRC >Dexi2B01G0033160.1:cds pep primary_assembly:Fonio_CM05836:2B:40845137:40858326:1 gene:Dexi2B01G0033160 transcript:Dexi2B01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGAGGGWGYGDDDDWGLSAEQLDQIERDAIRQLAERKASSAAASTARSPASALGAISPLPSRATAPAAAATSPFGANHPAARASLEARFGKIEAVSPSRPYDPNTSRNAVNNSQGSSPKISVHISLHSSGVIAAKFPYNQLLVDAFHKIPKASWNAKERVWMFPPSSLSIAEEVLGSVPGLAVEVRKLDPLVQRALLASLSTKDLRDLYDRIPADVESKLMPFQREGIRFVLQHGGRALIADEMGLGKTLQTIQNWLNIPMEDILIVIADESHFMKNCQAKRTIASLPVLQKAQYAVLLSGTPALSRPIELFTQLQALYPAVYKNVNEYGNRYCKGGFFGLYQGASNREELHNLMKATVMIRRLKKDVLTQLPVKRRQQVFLDLSEKDVKHVRAIFRELETLKIKIESSESKEMTDSLKFTQKNLINKLYTDSATAKTSAVLDFLGTMVELSIKAGGYGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNVYYLLANETVDDLMWDVVQGKLENLGQVLDGQEKTLDVSQIDSRPSPSKQQKTLDGFLKRCNTSTEDQPSTKHLRF >Dexi5A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:5A:2281453:2284185:-1 gene:Dexi5A01G0003130 transcript:Dexi5A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSWVLGYNNTGNNGFMCGYASANSCTPAELQYMEEQQQQLLISSQIQHHLHQISMRMNMDDEASVVYASSNNDPIVVDGLFDDNPHHAGSSRSFPSSSSSSSLSLPYASLSCSPESSAHVLAAAPATTTTTASSQYLEVSSQVLPLPPTVPYDHRYAANLHVPAAAAMAAPELMPAATNTGAFKSYARHLGPKRPPKAGACGQRMFKTAMSVLSKMHAAAKYKYSQQQQYYYQQAAAAEAAAAPPSVNQLQHMFSERKRREKLNDSFHALKAVLPPGAKKDKTSILIRAREYVKSLEAKVSELEEKNRSLESRLDSRSSGDGACQDAGGDRNSGEKQVQVEITRSAAAKGAEQQRDDLCTVKIVVRSPCNMTDVVLRTLQCLREQIGDGVSLVAMSTSGGSGAGPTTGGKNTSTLADELTLQIKSPPDARWEEQPVKDAVAKVVADVLTLPSAAAGKQQ >Dexi4B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:4B:8543498:8544624:-1 gene:Dexi4B01G0011130 transcript:Dexi4B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLGGARLPAAPRSALLPRRSVCQLRLQDAPRLSLLRVKAASEDTSASGDELIEDLKAKWDAIEDKPTVLLYGGGAVVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >Dexi3B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:3B:10327223:10327735:-1 gene:Dexi3B01G0014300 transcript:Dexi3B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLMVLAVLALAASSAQSTSWNLHLLQYMYGAGEPLCPCAEFLRQPQCSPAAAPYYATRQQTMWQPSAVCQPLRRRCCQHLRLMDAMSRCHAMCGVVAQFVALQGGLYYDDEAPALTQQWRQLLPVAQAPMAVAQAAQSLPAMCGLYQLPSYCTIPCALSAAIPPYYYY >Dexi3A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:3A:1069445:1076031:-1 gene:Dexi3A01G0001580 transcript:Dexi3A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKAEIDAETADGGGGAGGAGGGGGGSFSEQRLVEKLNKLNNSAASIQSILLSVVTFLQARQFCSLGVAIGIWDERKVFGTRVESLKDEILGDDPPILDNNGNGSNPSSNPSSNSKAARISGTIVKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCKSTVGVLERINKDIDDGSTNGNQPASTLISDLQEQEMTLKQYIGQLESVDVARTTLINQLKEALSEQESKLIILRGQLQVARAEAERAIQVRQHLGGALATSGTQSNSSPLMITPPEQKSVGSGVRSTPPQSQSLNPETSHTPTVSAVDEKSKRTAAAMADKLASLSKPVLNSIISSLVAEQTASINAGSPSGEISGGSPGFQIEKRPRLEKTMQTGFPGPSGPPPPPPLPPAQSQPQQQQQQHSPQAPQQSPTSTGFFQSSAGMGFIPPVQ >Dexi6A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:6A:22463668:22468028:-1 gene:Dexi6A01G0015070 transcript:Dexi6A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTAVIHRIQSSLREAAGAPAADSAAAAPPFPSVADAVAAFDSGAASAELRCGRCGAAGGLLRGAKSALCAYCGCPRRGEEVEGGGIAFRDGAAYRWLLGSLGLDGSEVVEFDSDTTGSNKSKEAPSSGMIISDLLDLKLTCLPENKETPASSITKEQPSSVDTLNLSGANLNSFFIERKEEMTAASLPQTYTVVQENKKTDSKNHESSRSEVHAASKGLMSSQKTNQIEANPAFASWDADFQSASSGGAAGDSNQPDLFKSSSAAESFSFSAPGIATNPAVGTENKTNMKGAILEHHSEDLASASGRLFDDDLSNQKIAPILESNNGTIPENSALEFTDSLDMNFAKGDQLPERDDTGDDDDEAFDDWQDFAASSDQGRLSNAGEHIVEPLKRDSSEIKTIDPLPVDSSDDWQAFASISGQGADLVRSVEGSTSALEQDLVRSAGEKIDSISLEYSSEVNPVGNVKAQNTAEMGKETDDSFDDWQDFTTCGKVQATSLNQTGDVMEVPKVSHKETDMDSLFMGASREPANTGIVNENNMLDGWQGFTGSDQAQQNSSSISGEMMSVLSDKHEGTVSVQSWVHGSNKEAAKTSSMIVESDTFDIWQDFTKSGHLQENMSNLGREVISVSPEPAKQIDSLDLWLTSNFKEPTSSKGVGSIDASSDGWQDFASFDQTQTSTKIPEERHLVKNPSGTETLDFWASSRAKEKNLEQISDNNDLFDDWNDFQNSGPQQTSLQVSSDASLFDIPSASRPDALGGLEFGNILQLASSENQKDKKEDSNEAKSALSDEQFKSTNGMKQMGDVDPLSSLWPTNSLDNNAIRKQESVNANVEQLLAQMHDLSFMLKDELSVPDKPVDHMKP >Dexi5A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:5A:20467427:20467728:-1 gene:Dexi5A01G0017260 transcript:Dexi5A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLLKTSSVRVQNTSQPKKLAPLRSQVDRSNDTKGGEHTPKKSKKFLKSILSRRKSKKDEPLPSYFDDY >Dexi5A01G0036700.1:cds pep primary_assembly:Fonio_CM05836:5A:38118469:38134684:-1 gene:Dexi5A01G0036700 transcript:Dexi5A01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQAPDYKHITEDCLREWKGQSAGSFRLPDPVPMARFLYELCWAIVLGDLPPQKSRVALDSVVFVEEARREEELGSVLADIIAHLGQDITISGEYRSRLVKMTKSFVESSITAPRLLQERCEVRIVLPCEDFLWEVEQSKLKGQDLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNSSSVTISIIKSLIGHFDLDPNRVFDIVLECFEVYPDSNIFHQLIPLFPKSHAAQILGFKFQYYQRLDVNNPVPSGLFRTAALLVKSGFIDLNNVYSHLLPNDDEAFEHFDAFISRRIDEANRIGKINLAATGKDLMDDDKQEITIDLYTALEMENDIVGERAPEMEKNQKLGLLLGFLSVHDWHHAQLLFERLAHLNPVEHIEICDGLFRMIEKTMSSAYDIVCQLYYYLPPKDADQTGTSAPPLSSLELPKEFFQMLTTCGPYLHRDNQLLQKVCRVLKAYYHSSKESTRAASVVSPEFRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKEIEQNPIVLAAKQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVQQVEAYRDMITPVVDAFKYLTQLEYDILQYIVIERLAQGGRAKLKDDGLNLSDWLQCLASFCGHLCKKHNAVELKGLLQYLVNQLKKGVGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRQQASLFGVTRNYKVLSKSTNRLRDSLLPKEEPKLAVPLLLLIAQHRSKIIINADAMYIKMVSEQFDRCHGILLQYVEFLSSAVTPITYAQLIPPLQDLVHKYHIEPEVAFLIYRPVMRLFKSTHGGDTCWPLDDNEEGESVSSEDLVLHLDSSQEPIMWSDLINTVRSILPAKAWNSLSPDLYATFWGLTLYDLHFPKDRYDTEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNSESQKHQQHIASVVQRLAREKDKWLSSSPDALKINMEFLQRCIFPRCVFSTQDAVYCATFVQTLHSLGTPFFNTVNHIDVLVCKTLQPMICCCTEFEAGRLGRFFHDTLKMAYYWKSDESVYERECGNKPGFAVYFRYPNSQRVSYSQFVRVHWKWSSRITKALNQCMESKEYMEIRNALIVLTKISSVFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHIDLKPATSRTVTGNQSSDPSTAKEHNLRAKSIENRHERSEGAMKPDVQQKKSTVSANGSDSQIPSSFVQGKSSGIARVVDEPPKTASDEGVRVSVKPTSESEVCRSFIQQTRVPQKRAAHNTGKVLKHDVAKEDAKGGKSASRNVNQQASTIPVDREASQAADTVQDTNSPGSNGNLPPTSRKVSSSQRNAMSVTHNGAANPSGESTDLNDSTVRQQKRSAPAEEQDRSSKRRKGETEPRDSDLNEHHVDKEKSLDSRAVDKFRSVDHEKSANDEQNLSRAEKIKEKFDDKYDRDPREKLDRAERRRGEDAVDRSTDRLSERRERSVERMQERLTDKAPEKGRDDRNKDERSKGKYAEASVDRTHSTDERFRGQSLPPPPPLPTSFVPQSVGSNRREEDADRRGGSARHIQRRDEKEKRQSEENASFQDDGKHRREEDLRDRKREDRDVLSNKVDDRDREKGTIMKEDSDPNNASKRRKVKREQPSLEAGEYAPSAPQPPSHGTGSSQLFDVRERERKGVISQHRSSHADDLPRTHGKDTTSKPSRREADQ >Dexi6A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:6A:1947831:1951428:1 gene:Dexi6A01G0002080 transcript:Dexi6A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAATTLMESLEALVAHIQGLSGSPEERAHLHGLLKQADGDSLRAHAAALVPFLAHLSPAAHSLGYLYLLEACVTSGANLSEFGGGDFLVTVADFLTSCSTDQIRLAPDKFLNVCRVLKDQVMQLNTPIRGIAPLRVAVRKIQASPEQLTPVHADYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFAKALELLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSATAQRNLKNHAQIYVELSTCYGNGRYTELETFIESNAASFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLDTPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVDHIDSSIQRLTALSKKLASIDENMACDPAYLLKVSSVQLDGIVEGDSTMTTSTMLHTSIFEMI >Dexi1A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:1A:21385085:21386549:-1 gene:Dexi1A01G0014670 transcript:Dexi1A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFVGSREQFAQPQTCFRAKMQLKPPTRPKLYSGFMPQEEHNSYCDLIPGLPEDLAKICLALVPRSHFPVMGAVSKRWMSFLESKELIAVRKEVGKLEESVYILTPEAGTKGSHWEILECSGQTQSPLPRMPGLTKAGFGVVVIGGKLFVIAGYAADHGKEYVSDEVYQYDSCLNRWTLLAKMNVPRCDFACAEVNGVIYVAGGFGPNGDNLSSVEVYDPEQNRWTLIESLRRPRWGCFGCSIEGKLYVMGGRSSFTIGNSRFVDVYNPTNHAWGEVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKIPVPLTGSSSTRFSLGIHDDKLLLFPLEEDPGYQTLMYDPAAPTGSEWCTSKLKPSGSCLCSVTIKA >Dexi2B01G0027850.1:cds pep primary_assembly:Fonio_CM05836:2B:36616911:36617577:1 gene:Dexi2B01G0027850 transcript:Dexi2B01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIYYDLCYIRFSNWDFLAGDENDERYLPKVVNVSAPAAEFDAAVGALLNATAERAAEDASRRFATGEEASGGSVPAIYALAQCTPDMSPAGCRSCLANVIQMAHRFFSGSPTGRFIGVRCNYRYELYQFFSGAPLLHLPAPASPPVAPPPAQMLANSTPPATTVGGVR >Dexi6A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:6A:10069836:10076668:1 gene:Dexi6A01G0009060 transcript:Dexi6A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNEFRFFLSCDISLPLAFRVFHAEHILSTRQKVPELFVEWPKYLWNELITLSTKYRDLTSLSQLAFTVWDVSSGEDPEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGGVPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVDWLDRLAFSAMDKAKEKECERKANLYPSLVVDLCSFEHRVVFQESGTNFYAPAPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRTLEVDEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAVELIGKWEMIDVADALELLSPDFESDEHTGEHPRLFTKRVMTSGKISWHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >Dexi2B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:2B:29016370:29016892:-1 gene:Dexi2B01G0018810 transcript:Dexi2B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGAVALLVAVALMALGMAASGWLQRAEARREEVRRLAWQAAEEVEIAEREEAYCYGQYGGAFVHAPDVPEAPQLWTAPEVPPSPKAPEDDVAAAASPPAGKGVCAMCARPTTLRCKRCKSVKYWY >Dexi5B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:5B:13035097:13035867:1 gene:Dexi5B01G0015690 transcript:Dexi5B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSDDLPEPTIPTTAASAPVRMSRSIPRSTSCPSSTSSSHANTPSVTCTAGPPPPVAPAGSRSTAPSSSSALVIEIMAWEAWIMAWGKFLMGSWRILKKEVAVKTRPASRCVPVRTQVAKTRAETTTGALQRAMMLPEMEYMKRESQPNSRRRNPRMRASKWSSHAWNLITRM >Dexi5B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:5B:7289217:7292477:-1 gene:Dexi5B01G0010320 transcript:Dexi5B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWHCRSTHKQRTAAAVGASEQAAVGHLGFRSGVTPTWRIPHFHSLSRAAVVFSLISSLSHTPRPGLTYLSISRPADHSSLHQCGHQTSHRDSLTHRGRATHPHGRTAAAAAAAGAVKAARFPLFPAPFPPGVSVFHSSPVRPRPAPLPTSLSNPIRHPPYPSPLAFPLAPQPPTRAPLSPHRLLPVPRFLLAPMEAKTLTPDADAVAVAAAAAETLAAGELVWAKPSKPRRHCWWPARMLAAGPATARDAAVCYLGGPAAASGSPASAPAQVRRFADPDADAMARGSVARGFLAAVDEAHERAVVALRAQLTCGCVPPPPPPGEEGVVVAGIANLAPAEFLASLREAALGVCVAPVGLVDRARLKSWARAFGEGWGPDGARHYPRRPLEDLVDKIDLDVPAGEDRDADDWLAEDVLIPLKRPEETPMQKKRSVTSVIEELDVEEDEDKSNSCEPVTSGKRERKKSKYLSPPYTNVGVVVPPRKPVDSPKPSVQKAAEDDSKVLPLPNSIVVEDVLLLVRGFGKNLHHAGIFPEAAEGFLGLFRSSVFIEGHDHASYKAHQCPVAQNLGNASMDIAHGLVSDSHTVLEQGKSVPKRGRKKDGDGSGGSSIKRKKREKISPAGTLGFGMPITPAMPIRQVRAEDIRPLRKAGRNEQVQESDRSVLKKSLAVGNTQHEEAAKDNVEAKLEATKSGKVVQNVIAGVAGRSVQTEAVESEVNIRIDVNAQSVVPDIPVGHVSKEATETEASAQTDKNVQGDVDGLERRVSMEAKAAESEADISIDKDVQSSVAGVPDISISKEATKLEANIHAVHNLQGAFADAPIGSGPSPMHGDMVQSVDDNKEPGSVEVNTVQQSYASLQALVPEMLKKEYVNGTDVITMNHTLKDDRPKDEAPAHKVKLPSVAASNHSSANLTPNKKKKTAEHFENPAAIIVEFTHGVIIPSREELLSAFGKYGYLIESQTEIIKSARSARVVFGKNTEAEAAYGNREQLGQFGAPFATLSLQYLPPIKLSVPSPSPSPSLASKPPLTDIRKNLEKMIAARHSALNKATASDGLHSDPDKLLGDMQGLLAKVDKMLSKPSANSAL >Dexi3B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:3B:7303235:7308132:1 gene:Dexi3B01G0010430 transcript:Dexi3B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGGSGGGSRHGGVVSGGGGRQPAGRADGGGERLPWLATGGVQVQRGVIAGCGRGIPVKIEDHVDDGGADADSRGTREAAVDLLGGPVDASLKEEGEPSSSSSVLRSQFIGMGFSPKLVDKVLLRHGDDDSNTILESLLSNSDLQQLGSESSGSLGSLFDSDNEENNSPLESRKAFGHDIKPEPDSFSEKWTYLLRTMDFSEQEVDLAFKKLGDEAPLEQLVDCIVNAQLGGSSGGLESGDATNEGKDESLFGVMEKTLGLLQKGFTEEEVSSAIDNFGRNATIQVLADSILARRIATSIEQKEVKVESDFLGDAETDYSTYQPSYSAASCYDDDDNDMRVKRARHIFMDDRGASSSQPGNPWSMGRCAGTSDIPLKVELEAMTPGCRANVQGDLAKPPYFLYGNVVEIPKDTWSQLKQFLYNVEPEFVNSHFFSALSRKEGYIHNLPVEKRRVVVPKSPMTIEEALPFTRQWWPSWDTRKHISAVTIEAAGIEQTCDRLGRMVRESRGVLSQERQMQIMHQCRVSNLIWVNQDKLSPLEPRQVERILGYPHNHTNLFELNQPDRFAAMRYAFQTDTLSYILSVLKDKFPNGIRLLSIYSGIGGAEVALHRLGIPLKCVVSVEESDVNRKILKRWWLKTEQTGELRQLHGIWKLKTNVIENFIADFGGFDLIIGGNYTSCKGGTTVNTTMGMEVGQFYEYARVVKRVRAAVGLD >Dexi3B01G0030880.1:cds pep primary_assembly:Fonio_CM05836:3B:31109803:31114310:1 gene:Dexi3B01G0030880 transcript:Dexi3B01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAEAAIKNAAALLPNFPIPKIERFMVDQMVKTDEQSKDTDTEHNRRKEGRKEGRAGGLTSGQRRSEAATARDDDLAATKKAQDQAGFMKKPYSGGEEGFVAESSGHDGLYAVGSKQFLVWAVLC >Dexi2B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:2B:10254012:10255759:1 gene:Dexi2B01G0009500 transcript:Dexi2B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSVAATGTAAPPPHLVLVPFPAQGHVAPLLQLARLLHARGAHITFVHTHFNYRRLVLAKGEPAVLPSAATSPSFRVEVIDDGIPLSSPQHDTAALVDALRRNCPAPFRALLARLAAEAETDGGAPPVTCVVADVVMPFAPAVARELGVPEVQFFTASACGLMGYLHYGELIRRGLVPLKDESCFTNGYLDTPLEWVPAMKHMRLRDMPAFCHTTDPDDVMVAVTQHEYMASAAASSAIILNTLYELEKDVVDALAAFLPPIYTVGPLASVLSSSSSTPPPAMDISIWKEDTRCLPWLDGKPASSVVYVNFGSVAVMTAAQTLELAVGLARCGSPFLWVRRPDVVVDGGELPEEALHDVVARSGGMVVPWCPQAAVLGHAAVGLFVSHCGWNSMLEAVAAGKPVLGWPIKAEQTTNCRQLCEVWGHGARLPEKVESGAIAGMVKEMMGGELGKEKRAKAAEWKKAVEAAAMEGGSSWRNVERLLDDVLLVASK >Dexi5B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:5B:6911348:6912524:-1 gene:Dexi5B01G0009900 transcript:Dexi5B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSDDLGSGEPFSPSVFLDLPPTPRSHGEEAEDPALPPADLVFPVISRMLMEDDTGEDDELLRQFPADHPSLLQAQLPFAQILSDTTTTTTTTTATALSSQASALFANATWPYDAEELSRVLLSRTCSDAMGGFTAGDAITTLRRSSDEDGAENTVITVTPAEEGSSFFSSGQDSRVSMDMLNMAFLKGMEEAKKFLPTNSDNLLIHAHAEGVCVSMNHLPSRVEEEGASWNDLEETEAGRKSKIMAPEPEESGKAVDKMIIDGYDSCMDKMKDLRIARISDAEKKKITRKQSSSNEPVVVDLCTLLIHCAQAMSMEGNHNTTTELLRQIRRRASPTGDATQRLAHYFANGLETRLAGSGRHV >Dexi1A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:1A:20825585:20831841:1 gene:Dexi1A01G0014290 transcript:Dexi1A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRLHRSPTPLFSDATAATSMYNMLFHAAYDGDLRHFKRLVRALDKGRGRLKEAVEAARLDGVGALHVAAVAGSLEVCRYMVEGLRVGVDAVDDNDRTPLFCAIEGENVAVVKYLLDHGADQDKADHRGLTPLHSAAESGDCEMVELLLAKGAYVDPIAVGGTPLHVAATEGHDGTMKILLEHNADCNETDMILGVTPLFAAITVGSVKCVKLLVKAGAEINEDCISSALFQSINGLDVTSECLNCLLEARAAGANHKIPNEAEHAHKGKITQLKSQGSEAVKRKDYLSASGFYTKELHLSITGVCINRTIRVRVKHFLMDSSWTQRIRILRMRYGIHFSFN >Dexi2A01G0025610.1:cds pep primary_assembly:Fonio_CM05836:2A:37201524:37205693:-1 gene:Dexi2A01G0025610 transcript:Dexi2A01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSEPFFGLGDTQMQPQGSSLQQNSAAAAAGAAAPPKKKRNQPGNPSKSPNPSTHSSLVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALARESAQLPPMGAGLYVGPGGMSLGLPGAAAHMHAAVSDQAAGGQSSSAAAQFDNIMQSPSGPTSMFRSQATSGSSSPFFLGGGAPSPAAHQDFSEDGSEHSHGGQGAPSLLHGKSPFHGLMQMQIPEQNQQHQPGNSSNASSNGGNLLNLGFFSGNGGTSDARLSIQDQLNGNGGVMASMGSHLSASGFPSSLYNNSSAASPAAGLPQNSATALLMKAAQMGSTTSSSHNGGGGPTALLRAAGFSSAATTNSSRSAGEGTSSSHEAHFHDLIMNSLAAGGAGFGGVDDGKLSTRDFLGVGRGSMAPPGLHIGALDPAAQMK >DexiUA01G0014680.1:cds pep primary_assembly:Fonio_CM05836:UA:30693169:30695138:-1 gene:DexiUA01G0014680 transcript:DexiUA01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDISTIFQRMDLNPNQQNPDQRCQMATKLGRSARNWPNGRPPTLFKALF >Dexi1B01G0029640.1:cds pep primary_assembly:Fonio_CM05836:1B:33892809:33893246:1 gene:Dexi1B01G0029640 transcript:Dexi1B01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAEVRRGRRARGRDLPSGAGEDELVDVRLACGLAVTRRTSSGQGKPYSAGASGLRLSNRAPAGTSCSTGLLQKLQHRDSAAACRDGRPAGRSKNGRAAGGGERTRDSRRVASLEEDAWSDGPHRGQEHKAQYEKNKENDIGGP >Dexi2A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:2A:20637098:20641115:-1 gene:Dexi2A01G0013280 transcript:Dexi2A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDRSSRRAREDDDHHRSRDRDDDSHRRRSRHDADDNRKHGGGDDGRRHRHRHRHPDKDGGGADEDRRGHCHHRDKEDRRSHHHRDGGDDDDRRRGSRRSVSSSESPPPSEMRDRPSSCPRGSIERRDSADSEPRSSSRKRKGHEGGGGGDEADRDDGKRARASVYLPAPKEERPRRERRRFDDVNANVKNGDASKEISPHEQKGELVVNGDLQSGAVRNAVSQQPLDATSVVVSSSVFVSSKVSSITTNNENEGVSIRSDEVTGKSSTDGSATLAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPMLNNKLGTTGTDTQISKKEDIKTPVSAVEAQLPKGEAKSPGAVSNLPTSSVSGTPAAAGAIGIPGLPNIPNLDAVKRAQELAARMGFRQDPQFAPVINLFPGTSTELTVPQRPPKAPVLRLDAQGREIDEQGNVISMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSSHFDERMGINQNKLLRPKRPGFQFIEEGKLTRQAELLKIKSQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGVRAPKQKLKEAIPDIEPWDAKILLSATYEDFTVDKLNMDRITIYVEHPEPFDPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLEMEIRTAAAEREQAHVDRNVARKLTPSERREKKERKLFNDPTNTVETIVCVYKIRDLSHPQTRFKVDVNAQENRLTGAAVITDGISVVVVEGGKKSIKRYNKLMLNRIDWPAAVGGGDEADEEPDKPMNSCVLVWQGTVAKPAFQRFTAHNCRSEAAAKKVFTDASVPHYWDLAVNFAEDSS >Dexi6A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:6A:28338758:28339762:1 gene:Dexi6A01G0020950 transcript:Dexi6A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPRPPPPRHPFPPPRGRVTIAFVVFLCRYANCLRKRLGWNTLSEHRSVTKESVEGGWLAGCGLFLLSKRAIRRAAVGEGDRKRARMQGGSSGIVYGGLKYQASSSGEASLDLLRPPSSLQARCISDVRADAGSTTFLSGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSDDGYGAAVWKIPEQSGQSNSSQLEQLFELGGHTGKIRRCASVSVDVWLR >Dexi6B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:6B:27095644:27097554:-1 gene:Dexi6B01G0020650 transcript:Dexi6B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPPPPPRLLIPKPDPDGPLSPQTPIPGAPLPVGAPPPMLAELFEALHQELEPSPEDDASFSSCLRLSQRRLVELAAKLRANSAAAPPLSAPSPIPPPLPHRHEMDQSSAASGSNPSAAKKRARPAAEMVRVTSLGPADQLYYRALVRRARLTFEALRIAYRRQEPSAGARNRADLRASNRMLRAGHCLHREVRIVGGIPGVLVGDAFYYRAELCVVGLHAAPQAGICYIPGSLVNEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHYADQTLQRGNLALHNSYLYGVEVRVIRGHILEDQSPSTHRKVYVYDGLYRVVSSTFGPGKSGHDVCKFKLLRLPGQDELGSKSWHTAKQLKHTMDSRIHPPRYISLDLSKGSEVLRVPVCNELDDDRSPLLFEYTICPEFPMAAAKRQRGCHCATGCGSSCRCLRKNASGAPVYNEDGSLVLGRPVVYECSALCGCPMTCVNRVTQWGMKHRLEVFRSNETGWGVRALDLIPPGAFVCEYSGDVVVMDDNSGQDLMKDRSIIDPKRFPERWMEWGDASAADPSNMRPQFTKFAGPGYVLDVSKKRNVACYISHSCTPNVFVQFVVRGNEDERYPHLMVFAMETIPPMRELSIDYGIDG >Dexi9B01G0043250.1:cds pep primary_assembly:Fonio_CM05836:9B:43260986:43261652:1 gene:Dexi9B01G0043250 transcript:Dexi9B01G0043250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEPQRDEGAEGVQGGLRRGGRAAREGGEDGVSGLERGADASAAAEGVEELRGEVREAEAGVRGERSVGERARGETRKEVAVELAQRVVRNEVEEEREEVPVLEHGEEREEGGEAGRRRDEAAARRVCGEASDGLAAARASTRGWCEEVRRGRYGARTVSMGSGVGSREVETSASATAETRAESCSVPGPDAAAGEEKVGAEVEVEGGVSMAAGGGVV >Dexi5A01G0027950.1:cds pep primary_assembly:Fonio_CM05836:5A:31283025:31286757:-1 gene:Dexi5A01G0027950 transcript:Dexi5A01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAQEQPSPTAPRPVRCIVKLGGAAITNKGELESINEDNLRSACAQLRQAMSESDGDGSPEKVLGMDWSKRQGDPADPAVDAELIAGMAGLGIDTNFIVALDISERYFGGTGRDYSSIIRVCDILLPSHSASGSFGHFQASRSGVHKGGLCSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRKLASANASQIIQSLHAGFVPVLHGDAVLDEFLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPTDPNAVLLREIEVDDNGSWSIVKPALLQGNNKGVEISVAAHDTTGGMETKILEAAVIARLGIDVYITKAGTEHSMRALKGDVSTDSEDWLGTIIRSSK >Dexi2A01G0035280.1:cds pep primary_assembly:Fonio_CM05836:2A:44960473:44961521:-1 gene:Dexi2A01G0035280 transcript:Dexi2A01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPGFSWDGARKTIQCEKQRYDEYCRDHPRAKGLYGIPFVYFDTFDAIYGKDKYIGEELEGSEEAIANMENDENTNEVGDDEAEDDGMSTGQSGRSLTATLSSKKKYRHDVKRNRTESNFPSLDKFKDLHGQFQSAIQHVSMMTAAMELFKDVNDHFQSVVQHAGAMATAMDQFKDAHDRFQSVVQRVSTTTAAMEQFKDAIDHFQSITQNGMVMAAVEYGTEMQEKSMCEEPQRKAKVTAIAEVQKLGFTGMEVVTTASIFAKEPNQMDMFLALPEIYKKDYILQMLNGMPCDSVILYTMHLTSFEAFGCKGSFSIFAV >DexiUA01G0006220.1:cds pep primary_assembly:Fonio_CM05836:UA:11877786:11882739:1 gene:DexiUA01G0006220 transcript:DexiUA01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPEQQPQPQQQRRKGSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAVDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTREHHQFFLYQMLRALKYIHTVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLTSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEASALADPYFKGLAKVEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSAVDNFRRQFTNLEENGGKSGAHIPSSDRKHVSLPRTTTVHSNPIPPNGNSQVPQRIPTGARPGRVVGPVIPYENLTAVDPYNQRRVARNPVLPPATSNLSAYTYHRKSDNSDRELQQELEKDRMQYQPAQRFMDAKVVPQMSPDLRSAYYIPKGAPKSDVVERAALQPSMIHGIAPFSGIAAVGGGGYNKVNAVQYGVSRMY >Dexi5A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:5A:24028530:24029500:1 gene:Dexi5A01G0020220 transcript:Dexi5A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAAALSASSSRIWVLSMCSLATLFLLSTCIMLVAAGHRPFQPRTSATTWDHFSKLEKVVPAAPAPGACSSSSPGGLDWNHHQEEDEEDAGAPAPAPAPADEVGDDDDDDDSECDVFDGEWVEDPVGYPLYDAAECPLLGDQVACHRNGRPDSGYERWRWQPRGCGDRTRVGGAEALEVCRDKRVVFVGDSLNRNMWESLACILYAAVPDRSRTRIVDEAGFKHRIFQATLFS >Dexi3B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:3B:14875040:14878792:1 gene:Dexi3B01G0019870 transcript:Dexi3B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNVGKGHCSFLCFVFLFIEAVARVDNLPASLTCSWKCHSQGGYAIRPYPYAMRSAAVAAAQELWRCVITNRWKILLPYCELRGLVCRISLKHPSLFGKSEKMDVILDKGINDSNIVVAFRRPRPEWLSQQSFVIQHSMTPEVAVHGFPADNFTRSGSRGINLSRLSLGLELNEPATSNWTSGTSIKFEHIRPVNNQGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLIANCEYTIPLAKHLEGSIFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGLHFNTDLGQIRVDYAMNAFSRKTIYFGINSSGGS >Dexi9A01G0031510.1:cds pep primary_assembly:Fonio_CM05836:9A:36516845:36519140:-1 gene:Dexi9A01G0031510 transcript:Dexi9A01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASGEHRRGVLVLALALLASATVAGAQLSSEDYYDASCPAALLTIRSAVATAVLIDRRMGASLLRLHFHDCFGCDASVLLDDTASFTGEKGAGPNAGSLRGFDVIDNIKMLLELMCPQTVSCADILAVAARDSVAQLGGPSWTVPLGRRDATTASASLANSDLPGPTSNLNGLLNAFSNKGFTTTDMVALSGAHTVGRAQCRNCRARIYNDTDIDASFAASLRGSCPAQGGDGALEPLDESSPDDFDNTYFVNLVARRGLLHSDQALFSGGGGGATDGLVRAYASSADRWGSDFAAAMVKMASISPLTGTNGEIRVNCRRVN >Dexi1B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:1B:6246600:6249697:-1 gene:Dexi1B01G0007540 transcript:Dexi1B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLNLKHPLVLPGHQTNVVGSRLPSSSSPATAARRVAAGGCSSSRRHTMPRISCSATEEIGTGVSAVTVDKTLTVKATVEASPAIGQMYAARGLDDIGDLFGKTLLLELVSSELDLKTGLEKERVTAFAHKTLVEGHFEAEFQVPSSFGPVGAVLVENEHHKEIFIKDIKLVTGDDTSTAITFDCNSWVHSKFDNPDKRIFFTLKSYLPSETPKGLEELRKRELETLRGNGHGERKSFERVYDYDVYNDLGDPDRNPAHHRPVLGGSAELPYPRRCRTGRPRTKKDPTTERRDGHNYVPRDEWFSEVKQLTFGATTLRSGLHALLPALQPLLTQRELRFPHFPAIDDLYSDGLPLPPQTGFDVFSTLVPRMVKLVEDTTDHVLRFEIPEMLGRDRFSWFKDEEFARQTLAGVNPVCIQLLTEFPIKSKLDPAVYGPAESAITREVLEKQMNCNLTVEQALAAKRLFILDYHDVFLPYVHKVRELPNRTLYGSRTIFFLTDLGTLMPLAIELTRPQSPTQPQWKRVFTHGPDATDAWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTMEINSLARESLINAEGIIEDAFWPGKYSIELSAVAYGATWRFDTEALPNDLVKRGLAVRGEDGELELTIKDYPYANDGLMVWNSVKQWVADYVKFYYKSDEAVAGDAELQAFWEEVRTKGHADKKDEPWWPVLDSRDSLVETVTTIIWVTSGHHSAVNFGQYHFGGYFPNRPTTIRKNMPVEEMRDDEMRKFLSQPEMTLLDMLPTQMQAITVMTTLDILSSHSPDEEYMGEHAEPAWLAEPMVKAAFEKFGGRMKEIEGYVDECNNNPELRNRCGAGMVPYELLKPFSKPGVTGRGIPNSISI >Dexi8B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:8B:4976186:4979137:-1 gene:Dexi8B01G0005090 transcript:Dexi8B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCEGRCHCRGDIDECKLPSEENGCFGECINKMGSMECRCPHGTFGSPSVKGGCFKVKHSTTVQFVLHDYCNTSCGDVRVPYPFGIRPGCYRTGFNLTCNTSYNPPRLFLDSKGTLEVINISLLDSTVRVVHHTMTYTNATNLDEINTVIHFYIPDIGEPYALSIKNEFIFYGCNVQATLYGECNNDSISRIISSCNSTCRSSGNYLMYFYDRVVPLVDPAHHNGDYCHATIAAGSTPKRMDFKRLNLHTPIQKYFFMLAVAFLSEDGMTDQWHMTLNRMDLFNRPAADYMSSPLLLRWAVKKGFTASSASNSSGQCPREVANSLCRSQPSSCRQENGGFTCYCPKGYLGNPYITNGCIDIDECKITPKRCFGVCNNVPGKYKCRCKLGTFGNAKMPHGCVTLSVVLATFIKKNKIALSAASGPVLLLMGLGIILVPRKIEHHKMKLRKQKYFKQNRGQLLRQLVSQRADIAEKMIMTLDELAKATNNFDKDREIGGGGHGTVYKGILSDLHVVAIKKSKITVQKEIDEFINEVAILSQINHKNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHIQGARSLSWDNRLRIATEIATSLAYLHSAVSIPIIHRDIKSTNVLLDDTLTAKVSDFGASRYIPIEKTGLTTRVQGTIGYLDPMYFQTNRLTEKSDVYSFGVILVELLTRKKPFSYLSPEGDGLVSHFLDLHVKAELFQIIDPQVIEEGGEEVQEVAALAASCINLLRGDERPTMRQVEHTLEGVRVSKMWKNHGMVAADLENDRVVFSCPSSTNNGQGFEESSRRYSLEQEMMMSVRYPR >Dexi7B01G0022460.1:cds pep primary_assembly:Fonio_CM05836:7B:27379434:27380083:1 gene:Dexi7B01G0022460 transcript:Dexi7B01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQPSTGQAAAAPPMVTRWSQKSPGLKILWIWTLGTAAIVAGGVVRMRINDAQKIFREEEEAAAAAAAAAAAMPASSERIFKDDE >Dexi2A01G0025090.1:cds pep primary_assembly:Fonio_CM05836:2A:36879227:36879354:-1 gene:Dexi2A01G0025090 transcript:Dexi2A01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWLFVNLVKGIGLRRGLDRQSKKKKTPPLKLSA >DexiUA01G0003230.1:cds pep primary_assembly:Fonio_CM05836:UA:6586695:6587486:1 gene:DexiUA01G0003230 transcript:DexiUA01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLESGGGFHLPNSEQENSLFLRALISVVSGDTAVPALVPAAPAPAPAAACAGCGADGCAGGCELLAAAATGSSSDSEEDGGECSASATRAGITGGGVSKRPGSGRRRVSRYRGVRRRPWGKWAAEIRDPHRAVRKWLGTFDTAEDAARAYDVAAVEFRGHRAKLNFPAEASTTAAAAPASSWAPNTSTMHQYHHLSQQTLPESFHEKCGSNAASPVHAAPAQVAAGQHRASRAVTKEQDIWDGLNEIMMMDEGSFWSSMP >Dexi1A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:1A:408083:408688:-1 gene:Dexi1A01G0000650 transcript:Dexi1A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPQGQKHDEQPHAQRRPMPTTTSNRPGGSTIATNRWGPYSGAGDFASNMAVILAALLATLALALALNAAVRYILRRSLRRPQGAGSAAEAAADRDPEKASSAPPPPPPPPPELVYSAAGTKLAGAAAECAICLAEFVDGDAVRVMPACGHGFHARCIERWLAGGRRSSCPTCRAPLASAAGAAAAHQPDEAAASSSSA >Dexi5A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:5A:19473935:19477687:1 gene:Dexi5A01G0016820 transcript:Dexi5A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIIDRQPDIDIYDTKGIILADIKGDVELKDVYFSYPTRPEHLGLDTMVGERGVQLSGGQKQRIAIARAIVKNPKVLLLDEATSALDMESERAVQEALNRAMLERTTVIVAHRLSTVKNADVISVLQNGKMVEQGSHVELMKIPGGSYSKLVHLQGTQGKTESSHVDYNMIATDGSGSRSIHTKPRSKSFSKRSVSKGSSSGGHSNRHSFSTSPRQPDPTEFNPASNIEEDTTHKVMPIGPKKASIARLFCLNKPEAVVLALGSIIAAMHGTVMPLFGTLISTAIKTFYEPPEKLPKDSRFWAIMFVALGAYCFALTPVEYFLFGLAGGKLVERIRSLMFQSVMRQDINWFDKPEHSRSIPIKSFNSCYSGLIGARLSINALFVKRLVGDNLALNVQNLSTAISGLTIAMVANWKLTLIITVVVPFVGFQAYAQMLFLNGLTKNAKWHHPCLQTVALVGESGSGKSTVIALLERFYDPDSGKILFDNVDLQTLKVSWLRQQIGLVAQEPVLFNDTIRTNIAYGRQGEASEEEIVAAAESANAHEFISALPDGYSTIVGERGIQLSGGQKQRVAIARAIIKNPKVLLLDEATSALDVESERVVQEALDNVVVGRTTVVVAHRLSTIRGADTIAVLKNGTVVETGRHGELMRIEDGIYASLVELSCSSA >Dexi7B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:7B:22460896:22462719:-1 gene:Dexi7B01G0016470 transcript:Dexi7B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATQQQRKFQWGELADDDGDLSLLLPPRVVLGPDAGGLRKVIEYRFDDDGKKVKVTTTTRTRSLARARLSRSAVERRSWTKFGDAVKGGDDGSRLTMVSTEEVLLERPRAPGKQAEEPTTSGDPMSMASTGGALLMVCRICKAKGDHWTSKCPYKDLAQQAEGFVDRPSSPDRAAPRGDRAYVPPNKKEGADTSGASMRRWNDENCIRVNNLSEETHEADLLELFRTFGPVTRAFVARDKWTRSSRGFGFVNFVRREDGEKAISKLNGYGYDNLILRVEWSERPN >Dexi4A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:4A:23716444:23717570:-1 gene:Dexi4A01G0019920 transcript:Dexi4A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVWLKDFKTFEDKASLLNHDTGVAEDLARMIKNSLDPEQKLVVGKEEYKIIIEKSLGITCIDNDAVMELMWGLRNQMQYLLPAEKSKVTEEDRLPMCEGMKIVLGRYGFDMQPEIVNQNIIETTGLVFESYPNGEQVVAGNPEKLFGNDYPTLLKDAPKYKDKLREVACFRVYRDMLRARKIRHKALKQLVLLTRLAREDYEAQRSVSDPKITLPRQ >Dexi5B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:5B:66423:68501:1 gene:Dexi5B01G0000090 transcript:Dexi5B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSAAQQPKPTRVSLSYEEISKLFSLPIAEAASILGMSPCLPPSFSSLARSECALLASHPVVDDSPCLLASSAGVCTSVLKRICRTHGIVRWPYRKLVSAKAGDDPKGPEREKAKELHELSKTSKQKAFSPSGSSAVSSSNSQGAAKSHQGTSKAGQVSVSPPAGKQNASPSMSHGSQAKAIPSYMDDFKYGFPSSGLSCETMKWWGRSSDTDCELTKDGSREAHGEASKGMTDDDELDWGADEAEADGAVTAEASAQLCSLRRKAVDDGRKLLNGDTRRAQEFSRLNKRQKLALAQVFGASLPDVVLLVFSE >Dexi1B01G0027820.1:cds pep primary_assembly:Fonio_CM05836:1B:32474154:32475989:-1 gene:Dexi1B01G0027820 transcript:Dexi1B01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLNFDNKQPLFASQDIIDYSQPITCISYPYNDSASGVWAAYGSRTSGSNVPYLHESRHLHALKRARGSGGRFLNTKQLQQQQASTRSTPNGTCSSGSTHLRLGGGAAGDQTLTPKAVASQDNSNKSLSSSAPAFTTVTPILLRDEDDAFFQHPSHHISFTTGHFGQASAQGGMQNGTQQRVPVMR >Dexi1B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:1B:25156984:25163118:-1 gene:Dexi1B01G0019010 transcript:Dexi1B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAIPDPDEVRRQLEERLRGVGERLQAPPDEAQGLLELLKEVEECLLKVEQSPPESTLNAFLPVAAALVKKELVGHVDPNVKLAVASCISEITRITAPDAPYDDDAMRVYSQHPDSVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAERVIDSCREKLKPVFLQSLKGTSLSEYSQFVALVCEGGSDDMEDNNADPSGKDTVDDGKPSERTISDELPEESSKVEQDVSRPEQDAAPADTGTEPIAAEPKNKSAIDADKSIKLNPSDKSEATAHSGADGIAADTSKHADITPVKPRRGRPPGAKSLEKKAAGKNKPISLDSAGKLTKRSAKDAVQSSEKKANEGESSKKPQKNSLKHQKDDTLSEEDPANDMSLKEMISAKSLTKRLGRTKGQSNENSTSKREQEQETEELPRSRKNKRLDGSLVGARIKVWWPDDKMFYNGVVESFDSDSKRHKVAYDDGDVEVLLLRDEKWEFISEEKGASVASETDAVDPPKKRGRPKGWRPSNGTPSNNSASTSAKGKTARKDVKETPKTGSNRKNEVEKSSKDKADGSTQKTKDGFTKDGDGKSSSKPKETSSEAKDSKDIGKSNEGKGRPGRKPKNASDADKEKQEKEGKTSEIEQEATANASAGKKRRRKA >Dexi5B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:5B:8816019:8820240:-1 gene:Dexi5B01G0012470 transcript:Dexi5B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGGTPPPSCPSTRHCAEWARTYLKYCLCSQKDSAALALGLLSVISWGVAEVPQIITNYRQKSTEGLSIAFLMTWIVGDLFNLVGCFLEPATLPTQFYMALLYTVTTLILTAQTIYYSHIYHRLKANKSRATSKPQKHQRGDTSLREKLLGAKDGGASRNNHQSDDTVPIPSSPIPVNTKMVEQYHAPSSPISDYYYTSVYVLVDFTGQLDLYQGVQCQLLVPWMGLLMGTCLLHFLVGNTHREVPNGTVIPIGRRHLLFMIILQFLYFHYRKQSEPSDEQDNADKA >Dexi3A01G0026130.1:cds pep primary_assembly:Fonio_CM05836:3A:22212162:22214890:-1 gene:Dexi3A01G0026130 transcript:Dexi3A01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVLLLLPWLLLLLLLVASHGKCVMAFRLGKKGLSDLTFLSYVLGQYEGAVAEDGKSPSIWNTYAHSGTRNPNERSGDISADGYHKYKEDVKLIKDIGLQVYRFSISWSRLIPNGRGALNPKGLQFYNDMINELVKEGIQIQAVIYHLDLPQILEDEYGGWLSPRIVDDFTAYADVCFREFGDRIAHWTTILEPNILAQGCYDIGICAPGHCSYPFGHDCTAVQKGVVGINLYSLCIYSLSDSTKDIQATERANDFLFGSILHPLLLGDYPESMKKAAGTRLPSFSSYESKLVSGAFDFIGLNHYSSIYASNNPNASKMTTRDQAADIGALFRESRDGQTATQALHDSGRGQTEDDLMDVERIDFLKKYIAATLKAIR >Dexi1A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:1A:6372143:6374254:-1 gene:Dexi1A01G0008280 transcript:Dexi1A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFYAYGASACVSRRWLALLGSIRASELGQAAAAAVTPALPDLNEEFVMEEDKDETPADPCVKRVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLSAVARGSPNLSSLALWDVPLITDAGLAEVAVGCPSLERLDISRCPLITDKGLVAVAQGCSNLVSLTIEGCSGVGNEGLRAIGRCCAKLQAVSIKNCAHVGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLTRLATVGERGFWVMANAAGLQNLKCMSVTSCPGVTDLALSSIAKFCPSLKQLCLRKCGHVSDAGLAAFTESAKVFENLQLEECNRVTLVGILSFLRSCSQRFRALSLVKCTGIKDICSAPAKLPLCKSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDSGLLPLIQSSEAGLIKVDLSGCKNITDVAISSLVKAHGKSLKKVSLEGCSKITDASLFTIAESCIELAELDLSNCMVSDYGVAILSSASHLKLRVLSLSGCSKVTQKSVPFLGNLGQSLEGLNLQFCSMIGNHNIASLEKKLWWCDILA >Dexi1B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:1B:7111885:7112100:-1 gene:Dexi1B01G0008490 transcript:Dexi1B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPASFSNLADGSTNDIWRSRGGTAEEARVAMMIDEALARPQRNQQEGERQGTIRKEKQSEVTMREKGKEP >Dexi1A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:1A:11771503:11773032:1 gene:Dexi1A01G0012270 transcript:Dexi1A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEETMDEIPPTYRFRPTQRELVEFYLLPRARRQDPFPGVIIEDDTAGSSLPWDLFERHGLGTEDEAYFFVRASDATKKRGARQDRGCDGGVGSWKMQNSRVKGLRVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYTIAAPPCPSPVKICHIAFTGHGRKRERVPGAQEDCQTGQALPQVDAAAAGGGCSGGMPDDRDSGALVHASADEEGSQPVLTKDNIFSQNPVLGGSEFLGFPSTASANAEQYHYQELEQEVPSNLWSSTWLESNNVVPHISDHVVQQLNRVQEDYQTGQASQVDAAAAAGGCSGAMLDCDSGTVVNVSADEECSQPVLNEDIFSLSPLLDSSEFLGSPSPSSANADQYQELEQVVPSTEEEQAMVPQLMVEQSVSSLEEQQYAGALEFWSSTGVDLQTSNLGGNLWSPTGVDVQGSNGFAEQDILRSAVVESDSLVPHIGDMAGHQDPNQLDDFWSSSWAHGQSNCAMPDMAAGAAAANCHWGGYCITC >Dexi9A01G0024550.1:cds pep primary_assembly:Fonio_CM05836:9A:20934223:20935845:1 gene:Dexi9A01G0024550 transcript:Dexi9A01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSRLLFAMALLHATAAAAASGGGRRQKRYDRVFSFGDSLTDTGNVLHISHSGEGPPAGRPPYGETFFRRPTGRASDGRLVVDFIVEALGVPHPTPYLAGKTAADFSRGVNFAVGGATALDVQFFQSRELKPFVPISLDNQTSWFNNVLELLGSVEEQRKIMSTSLFLVGEIGVNDYFIAALGRNRTAGDVKTFVPRVLAAVRSVITDVIAAGASTVVVPGMIPLGCEPQLLAQYNGSVGAGGYDPVTGCITRLNDLAELHNRELRRMLSGLRRAHPGTAIVYADLYRAVTNLVVSPTKYGFRSRPLAACCGGGGGAYNFDDEAFCGAAGTAACGDPSEYVSWDGVHFTEAANRRIACAVLKGLTAPTLSISLATTEAWRRTIGCV >Dexi4B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:4B:19534447:19534990:-1 gene:Dexi4B01G0017310 transcript:Dexi4B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATADLRAPAHPCFSYLFAMYGVISLVQPFHRFVAEDMMATLRSTFDSTKLPRKPSEHMVAVPGPCGLGV >Dexi9A01G0022780.1:cds pep primary_assembly:Fonio_CM05836:9A:17919416:17922805:-1 gene:Dexi9A01G0022780 transcript:Dexi9A01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRGLLARLRGLSLSTGGGPRLPLLPPSRLFSAEPFVSHSDDDDAGGEGGGGGCRIIEARSGVMGPASRRTGLIGVKCGMSAMWDKWGAKVPITVLWVDDNIVTQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRSQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFLFIKDSIFKKPDTTLLPFPTYFSQEGEPEALEPLIADLGEIDPFMAAD >Dexi9B01G0028180.1:cds pep primary_assembly:Fonio_CM05836:9B:30785814:30787729:-1 gene:Dexi9B01G0028180 transcript:Dexi9B01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRTSSSSQPTTQTQPPTNNSIESPTPPTPPDKSHNSQRPIARSGAPMPRRTVAVIGAGAAGLAAARELLRVGLHVAVFEKSGRTGGTWAYDPRADDADPLSRDPAAAGAVHGSLYASLRTNLPRELMGFSDFPMAGRVFAGDARAFPGHREVLAFLDAFAEEHGVLAHVRLRTEVLRVRPEQGERWVVAFRGEDGEVAEEVFDAVVVCNGHWTVPFVPKIQGIGNWSGKQMHSHNYRTPEPFQNQIVVTVGMGASGIDIALDISHVAKEVHIASRYSEDRLGKFNLYQNVWIHKEIECIQDDGKVRFAEGSAVPADVILYCTGYHYYFPFIDLDGLTVDDNRETTKILATMFRAQCAFYSFSFLQTIIFPSLELESKWVAAVLSGKATLPSQEDMMASVLEHYRRMEEAGRPKRHTHVIMPEWEEHMNWLADQVGEPHLEAR >Dexi9A01G0033900.1:cds pep primary_assembly:Fonio_CM05836:9A:38755801:38758877:1 gene:Dexi9A01G0033900 transcript:Dexi9A01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEDAPPPQPAAGEPATAPRAQPISAAQFLSWKQRKDAEEAARKAEAAQKRAADIASGAVQMNGRELFQHEPWVFDNNIY >Dexi3A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:3A:9150989:9151414:-1 gene:Dexi3A01G0012590 transcript:Dexi3A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPREPGVTDRERDQFLRDSAVGYMFAAKDLIVAALTWLFYILCTHADVEAKILNESLHPTATGGGEHVVFDSDALQPASYLHAAVLQTLRLFPPAPFEEKEAVRDDVLPAGRKVLKGTRVIFCIYAMGDMGQ >Dexi6B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:6B:20925555:20927921:1 gene:Dexi6B01G0013250 transcript:Dexi6B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKVYNRYVKRTSKFMAHDEADDCNIGDRVRLDPSRPLSRHKHWVVAEILRRAKVYCSAVCNDVE >Dexi2A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:2A:27830817:27836719:1 gene:Dexi2A01G0016220 transcript:Dexi2A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPPSTRRPPAALARRRRRGQVAMEAAAGRRTLALVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLYRSAVQAACYPLAAYAAVRYNRAHVIAVGAVLWAVATFLVAVSDTFTQVAVARGLNGIGLALVTPAIQSLVADCSDDNTRGAAFGWLQLTGNIGSIIGGLFSLMLASTTIMGIAGWRVAFHIVGLISVVVGALVGLFAVDPHFLNLGNGEPLLRKSAWEEVKDLAREAKAVVQIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNKTGLLMTTFALASSLGGLLGGKMGDHFAVRFPDSGRIVLSQISSASAIPLAALLLLGLPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAQRVYGYNPISYGAGTIGVGRDKSNAGALAKALYTAIAIPMLLCCFIYSLLYRTYPRDRERARMDSLITSELQQIELERCHGVVDYYTGSKDGATVIDMEYSEDDFDADDDEKGLIDQQAGQSGSRTRDRLIERIMEQQERDRRRTLLLVSLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSVVQAACYPLAAYAAARHNRAHVIAAGAFLWAAATFLVGVSGTFLQVAISRGLNGIGLALVVPSILSMVADSSDDGTRGSAFGWLQLASSLGHVSGGLLGLLLAQTTVLGVAGWRVAFHLVAAISVAVGALNWLLALDPHFSTSHGKIANPRQVVGGMIREAKFVARIPTFQIFVAQGVSGSFPWSALSFASMWLELTGFSHGDTAALMTVFWVGRSLGGLLGGKMGDVLASWYPNAGRIVLSQISSGSAVPLAAVLLRGLPADPSTGVTYGAVLFVMGMFISWNGPATNFPIFAEIVPERSRTSIYALDKSFEAVLSSFAPQIVGILAQRVYGYTPDDKGESVQQDRRNADSLAKALYMAIAVPFIVCASMYSFMYCSYPRDRERARMQSLAESELEQTELGGSWIEDDIRRPEVNDDDERGTIGVGHDSEELLEAEDDTVKLLAKRGM >Dexi6B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:6B:1465295:1468023:1 gene:Dexi6B01G0001680 transcript:Dexi6B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPPPAGEVVTLNRSALFCFPPNNSAAISSGIFAGDDPLKFYFPQFLYHVCIVFALSRAIHSLLRRANVPLVISQILAGVLLGPSFLGKVFPHVGELFATPEGWVQINTFGGYAFMLQLFVVGVKTDLGMVVKSGKKAVAIAFFGTAASYVAMLVAGGALQPHLPETWKKTFMLMALSSSWSLSAFIVVCCTLDGLNLLSSKLGRLAMSAALIGGFGNNFTIAGVTTILLASSPSKEIQRIGFLSITAFLTFIGFMVFVARPAILRLMRDVPEGALLCEARLVAVLLITIFCSFVSEILGLHATYGPFMLGLMLPGGAPLGVTLAERLDRLVAGVLLPLMFAQGGMRVNVFELTDASTCLLLEVFLVVGVVAKFVSCVLPCLYCGMSYREAFVLGLMMNFKGITDVVYASSFMDAKIFDDQVYTAFIINVLVMGATTASVVKKIYHPEEKYVAHRRRTVEHRKVGEELRLLTCVHSQADVEPMLAFLDASSPTPASPVAVYLLHLAPLVGLTTSVLRPFRHGERNCVPSGGMDSDRIVSAFRVFVQQRQLGSSSLLPYVCIAPYATMHDDVCAVALEKSATLIVVPFHRRLAIDGSVENTTENAGAVQASNINVLHYSPCSVAILVDRRSLTVVPSGAGGGATANVDGFPHRVALYFLGGPDDREALALATYMAEDAEIGLTVFRFMLPPERRRGTGDEEDNKLDDEAVEEYVRRWVDEQRLVYSENVVNASDEMVDVIRKTSTAADLVIVGRRADSPESPLTAGISDWIEHLELGVLGDLLTSTDFGCRVTTLVVQQQTRAAAGETTRSPEKKEGQRPESDGHV >Dexi3A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:3A:6868338:6868847:-1 gene:Dexi3A01G0009740 transcript:Dexi3A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFQHRQHHQEDMSSGTSSASAPSPCANGCGFVGSPGTMNLCSVCFTKHIHATTSNEATEAVLTEEMPAPAATAAMTDAEMSKIEHEDWMERTRKAKENPFYNNRCAECFKKMGLAMRFQCRCGKSYCLHHRNSEAHHCSFDYQRAGIISIIRNNPLVEADKLQNRI >Dexi5B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:5B:8419279:8419835:-1 gene:Dexi5B01G0011860 transcript:Dexi5B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHAEEAAAAAAGGGGGGEGGRASPGTGLEGPMLRLGLDGGGEGEDGEREADADARLPERPGEADCGYYLRTGACGFGDRCRYNHPRDRGGTEVSASPVSRATLSFSLCPDLMRRGRVSASQAQPPANYPIRLVIEVVTS >Dexi1A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:1A:3074337:3078192:1 gene:Dexi1A01G0004200 transcript:Dexi1A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTFNTQPIVAPAKRKDPRKDAADAAVRHCTPLSSRERGAGTAAVAVDGDRGSQYALKWAADHILSRRHCFFLVHVRRKHTSLHAHGGKQFAISHVQDDVPAAFHAQMDLQAKDLMLPFQCFCSRRGLQCREIVLDGTDVSKAIVDFVITHKVDKLVLGAASRNAFTRTIWKLDVPTSVTKCAPTFCSVYVIAKGKISSFRPATYVDDTSKEDFKSDLPLKQLSDEPEDKLQNGHNPLKYASFDESAEDGSLMSSDQQLHSDSSTLQTASSCPSEFFNDIDQHGYHLTPEYPPPLNKNNEQASHAPHIKFVGFDDSSLKDSNPAYNVYSPLSPTESEDDEAELSLFKIDRKQNSGDMLPWNYTEEHKETSGNPESSSSNLPSQRNRLDVEPCTQSAIGPKHNKLLILDTSSSNAQYRERISEELTDLDYQDITQPLLRRLPPFHSPRDDNRFGHALDEPHNLPLNLKALPRPIETKRMLECLPTRLECRIYSPDDIANATEHFSDELKVGEGGYGPVYKATLDNTLVAVKILYSNITQGLKQFRQEVELLNNIRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKIAVEIASGLLYLHKMKPEAFVHRDLKPGNILLDDHFVSKIGDVGLARIIPRSMDGAAATTQYRETAAAGTFCYIDPEYQKTGLVCTKSDVYALGVIYLQMVTGKEPMGLAYTVSDALEEGTFADLLDGNVAGWPAQEAQAFAELALKCCEMRRRDRPDLETVVMPELIRLHRLVSPSEYPSSSPPSMDQSHHRSASEKELRLDDDLVDILSDGKVKGGTSFAI >Dexi1A01G0030850.1:cds pep primary_assembly:Fonio_CM05836:1A:36020899:36027000:1 gene:Dexi1A01G0030850 transcript:Dexi1A01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRLVVGPAMRAPPPAPLPRAPRLRRRSLPLPRGGSHCPHFARCAARSTRCSYSREGNGGPRSSKLRRESSGTVRWDRESLLFQGTHLRNDQRKGDIQELFSQAQRNILYLNKQRLLAMEELKKLQDENKKPLHIVHICSELDPIASCGSLSTYVAGVSCAVQGKGNLVEVILPNTSSGVGLILIEPTQLSYFNRDMLCGYPDDFERFSYFARASLDYIVKSGKQPDILHIHNWETAIVAPLFWDIFAHQGLENTRILLTCQDLDSQCLEEPNKLEMCGLDPRKLHRADRLQDSHKTHLVNILKGGIVYSNKVILMSSIHSSDVLMRDPRHGLEATLTAHKEKIMVSSHGLDGEFWDPSKDIYLPRRYSANDMEGKSICREALKRRLGFHSGSSIIVGCIFDGYSDIHNLKEAVHVALRRSAQVIFMEKLGSVVNSSAQALKEEVKFINLDDNIAFIEEYDETLAHLIYAGSDIILCSSFEDPSLQIAVCESSFFLFSLIPINFPIDESRQSEGHDCHNGVMSKYIISTYGELSLLQALESFKNDPSSWNQQIKDGMVKGLAWDAECYDLHWEAYSSIRKL >Dexi9A01G0032310.1:cds pep primary_assembly:Fonio_CM05836:9A:37217094:37217321:1 gene:Dexi9A01G0032310 transcript:Dexi9A01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDLNGDIICYQKRPKPQDKYPYVRVFFQHIFDEKAVLVSYAILHCKI >Dexi8B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:8B:27422099:27422973:-1 gene:Dexi8B01G0016280 transcript:Dexi8B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGPWTEQEDMQLVCTVRLFGDHRWDFIAQVSVLFILYVFYVYAGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEERLIIELHAQWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSISPSSSSSSLTYQSCLLDTSPIFGMGHTHNGSSCVTSTLESTQSSMDAYPMDQIWSEIEAPALLGTAEGKEKTCSSVPFRLASPAMWDYKCPEIFWKMEDEEIRMLAL >DexiUA01G0008740.1:cds pep primary_assembly:Fonio_CM05836:UA:16335080:16335768:-1 gene:DexiUA01G0008740 transcript:DexiUA01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVAFLLLLAVAASATALAHGRELPTRIKLIRGAAAGVGGDSMECVYTVFIRTGLIWKAGTDSNITLELAAEDGNGVGISDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMARPPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLDAVVDHCSSDAGTAAVAAA >Dexi1B01G0025570.1:cds pep primary_assembly:Fonio_CM05836:1B:30566846:30567248:1 gene:Dexi1B01G0025570 transcript:Dexi1B01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQLRREADANPAAAAPKITAAPRFDGGAAGSPSPPTFAPSFFHGSLAAAEQLQAALVRPCSCPPQLLRPLNFWQQIHLRFSRAD >Dexi8A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:8A:24182168:24184011:1 gene:Dexi8A01G0013890 transcript:Dexi8A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLAVTGGDDGRQYGDRITGFVALSCLTAAMGGAIFGYDLGTSGGVSSMASFLKEFFPDVYRRMQGDVHVSNYCKFDSQLLTLFTSSLYIAGLLTAMLLSSWFTARHGRRPSMIVGGVTFLAGAAVSGGAVNVYMAILGRALLGVGLGFANQAVLLYLSEMAPARYRGAFSNGYQLTLCLGSLAANIINYGADKITGGWGWRLSLGLAGVPAAFFTLGAVFLPETPNSLVQQGKDRVKVRALLQRIRGTDAVDGELNDIIAANAVAQGGGSNGLRLILSQPRYRPQLTIAVLMPAFTQLNGINAIGFYAPVLLRTIGMGESLALFSTVITVVIYTVSTVVFMFVIDRFSRRALLIAGSLQMLVSELLIGAIMAAKLGDEGGMDRGYAVALFVLIGVYVAGYSWSWGPMTWLVPTEVFPLEIRSAGQSLTVASGFVFTIFIAQGFLAMLCRMKAALFFFFAGWIVVMTGFVYLFLPETKGMPIEQIGKVWREHWFWARVVGVDELQASDKVREHYNY >Dexi1B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:1B:1064979:1065648:-1 gene:Dexi1B01G0001390 transcript:Dexi1B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALRRLRMELLDMQKPPPECSAGLINNDIFHWSATIHGPSDSPYTGGLFRLTMDFPQEYPHKAPVVKFKTKVYHPNIDSENGYVDVDILTEKWLAGMSVRYILMSIWQLLKTPDAETPVAQEIGGIYMKDPDKYNDIAKQWTQKYAKE >Dexi5A01G0038420.1:cds pep primary_assembly:Fonio_CM05836:5A:39432920:39436236:-1 gene:Dexi5A01G0038420 transcript:Dexi5A01G0038420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLSSTLHRAAGAAAQLSRAGWSKATASEPSPLRRFPCQNGKGEKFCSFWSKGCSMSTTVDMQLDYESDPLLDEGKALEKESSLNVAVSQLASDFDRESNLCLERFSRTRRAPVISTGSLKLDLALSIGGLPKGRMVEIFGKEASGKTTLALHVVKEAQKNGGYCAYIDAENAFNPSFAEAIGIDSERLLIAQPDSAENSLSIVNTLVGGSVAVVVVDSVRTKLSSNQFPGIYKEVPCGGNALGFYSAVRMRTSRRQLQYSEDQATGIGISVQIIKNKLAPASLKEAGIDIRFGKGICHESEILEMASSVGVVVKDGSGYWINGEFLPGKAEAEKFLHENTGVADEICNTVRNQFLQR >Dexi4B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:4B:5474257:5477296:1 gene:Dexi4B01G0007600 transcript:Dexi4B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSEGGRRGDSGSSSFRRRGGGSSSSAAAAAAALVQCEEMDESDGEVQSSFRGPFDTMDALQGALPSNRKGVSKFYNTKSSAAAAHPAQGIAIPGNPSPKKRKGFLSFSFSWSKSRSKGSSSRRDASTSSKNCRNKTTLPASALTSSSHHGNSRGVNEHARRWLQRSSSARGVVVSASPPPASVRSQLIAVQLRSVSVARLEDVAESTASICPREKRRKSLQ >Dexi3A01G0035420.1:cds pep primary_assembly:Fonio_CM05836:3A:40720044:40720885:1 gene:Dexi3A01G0035420 transcript:Dexi3A01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHPRGKPLGTIKVGTGREHADQRGLPEPSSHVLKQKPSEHLESALVPAIPRQSRQERRPRHGVLQHHSLEEGPRRFEVPSNAQRIEQGVAGGKVRAPHHIVEHLAGVASRVAAHPDVGADERAGDEAVGGETAAEALGVECRRGARGGGGLEEGGEGVAVGARAAGEHGREEAQRGRRGRGRGCGGGEAEVRVGEAVVGEGADGGGGGGAFGAREQRPGGEEERVQLQEAAREGEVLLEEALEDGRRPAWVAARIAPRCLAAGGRRRHSTCSP >Dexi2A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:2A:14450944:14454745:1 gene:Dexi2A01G0012470 transcript:Dexi2A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEVHIAVGKNLRKEKANILWAAANFPKAAIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETKAMVTMLSQYKNMCGTRKVSAHYLTHDDTVAGVVNLVKKLKIKRIVIGSRSISRQVALRECCQVWVVLNGKHISTSNDHLEHSGNIGYGGSSDILASIHELGEESDGYITPPSDLVSKFPVKKIVIHKDNSHFPPTNEIMDLEESIEMDDSELVTEDETLTEQGTEESIASDQMENFAEEDADQSDEIQSFRNITEKAEKIMGEIDRLQKKLKELQEGHNYDLRNLSPRQKLAASQKHKSLSEPRYPELQIPENIEQFSTSQIGKATEHFHSRNFIGEGGYGPVYKGRLGGTPVAIKLLKPHGRQGFPEYQQEVLLLSKLEHPHIVRLMGVCPESCSLVYEHLPNGTLLERLSKGLLWKDRVRILAEQRSALAYLHSRRPHAIIHADLKLTNILLDASNVSRLGDFGTARAVHVKPLEEETIGRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGVVILQLLTGLLDLNVAEQVREAVKMDAVHSVLDASAGPWPEVQAERLLKLALRCCSLERKRRPSITSDTEWRSLDILRAMATASKSWKWNSHGS >Dexi1A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:1A:3252523:3253227:1 gene:Dexi1A01G0004420 transcript:Dexi1A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGLPKELVYSNSIIVLDVSFNQLNGDLQELQSSTLRPLQVLNISSNFFTGRFPSTTWELMKNLISLNASNNSFTGQIPAMYCVSAPSFSLLDLSYNQFSGSIPPGLGNCSMMTSLSAGSNNLSGTVPDEIFNLTLLEHLSVPNNQLEGSLRGISKLKNLITLDLGGNSLSGNVPESIGELKRLEELHLDHNNMSGELPSTLSNCTNLMIIDLKSNSFSGELTNINFSSIPI >Dexi7B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:7B:20461477:20461848:-1 gene:Dexi7B01G0014120 transcript:Dexi7B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGRRRAHRLAQITRLFRHAAALTQRTPAADFLAPGRRLRDATARRFFASATAAVAFVAPADRKMLPALAMNSRSSRPRTSGMLAPRLS >Dexi4B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:4B:7430356:7431267:-1 gene:Dexi4B01G0010140 transcript:Dexi4B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVAYSGAGLGFLALAAVESLPLRRVPPHRLIPRRLATPLHLRHLLAAGLSLLCLLSALVSAHHLSLPTLAASALFLLYSLAPLAPLAAPLPLPLLDLLLAAAFAQELLLFAHRRPSTTAGIENRYFDLLLVPIALCLGATLLAAHRPGDAAPRLARAAGLALQGTWMVQMGFSFFTSAIAQGCALHAASRADYTIKCRTHEDYHRARSVATLQFNGHLALLVIAGAAAYAAVLSRANRPPSGYRILGKEVQMEGVPIMSQFTLDSDEEKEDDGSITTATAPVENGVESHDEIPLDAPGSK >Dexi1A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:1A:5044476:5046586:1 gene:Dexi1A01G0006590 transcript:Dexi1A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTRPRRPSSSSSAHHLHVHPREQMDPWISSQPSLSLDLHVGLPTLGLGRHQAPLPVAALAKPKVLVEENFLQPLKKEPEVAALETELQRMSEENRRLAEALTSVAAKYDALRSQYTEMVASAAVHTTTTNNNPSSGSEGASVSPSRKRKTSETSMDTPPAAHHHQHLHLGTSPASAADQMECTSGEPCKRIREEHKPKVSKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTILVATYEGEHNHVQPPPAPSSQQQQHDGSSSAGKNAAVTKLPSPPRQVAAVAPHHTQLHQQQQQQEAVAAMGAGGEAVGAAAEMIRRNLAEQMAMTLTRDPSFKAALVSALSGRILELSPTKD >Dexi8A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:8A:1773761:1780531:-1 gene:Dexi8A01G0002730 transcript:Dexi8A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTHTFISRRCSAEHNLPLPGYPYALTLSPIGEEKHIPKQWPTTLKNTAISMTKITAIRIDHNTLQRLYTCTQLGLRLVASHKPPTKNGTLLVSQTLAPRKCESIPPELPIGGGAISPRVHEVTQKSSHPDLRSGCYYPHRGVVVLLGEVVLLGQCSSGGNRVMASAVGPADVIVDRSTSTVKGQSQWAPHVSVWVPAVSGGRSPTGGPPRLVRHRGLGSAFGPEPAMGQAPHEARPRDPLRQRSDPVRPAPCGQTVRAWGGAESAPARRSVKCHNGMAERRSHSSRVRVCECVFAACASVAKRHEAARRLERLAATANSRGSGCARPRSCPRPKGVSFGLLQSGSTAGQLEMGSYGGGALGLAHGDPPEQSSGLGGAEGLARPWRPMVADVHVVCGSHVLAPSPFSLPPPPLLLLAARPLRTGGAEAQLELRHRWRGRGQSGLQDEAKMEQTVVRRAERVTGVARAGRTRGEQLSTRSGRARAWGKSTGGYHTHGRVVQIRRRAQRARAGPAHSETLKLGSRRWLMHGGLICLRALSPWRYRSAGCSAGGGERSQELKRSVFDYSRARRWPRAQLLGGGERGLSANYADNVLEGGGPPGLFSPSGLYTHKPPSTLSPEEQPYHAKSSTSSPNPNPIHTKSRKTAMAGGEGSSRSKGTKRRAEAMKEVVTTEKAVPTRDMPSIEDPISDWPTSNLKDKHIKTLEADGFLAAQEISRWRCVYGHEYPTEETEELTYEARRSLYVSLPPLDPTNAEEASLLARCVDPGVKDQVRQHKQSATEEPDERNAHAEQQVPEESHAKAGTTSKGGEGSKRTATTDLAAPVPKRARTLPKPRARIILDERAKISPQPKLSSSVGIAIGEIGTSMSQQDSTARQPLSEEEILHNIFNPISAPFIRITPVVEETCPTGPSAPEQETEEEFILREPEIPMRPSSM >Dexi2A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:2A:32891561:32898083:1 gene:Dexi2A01G0020720 transcript:Dexi2A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFMQAFERREWLAAQMQQQVDSYSQTLANSLLAAGHAPPEWLLPSTALPQELNGKPIFLTGRHITTPAANRSIFMPLAVPSTLSSNSEVPNGCTYPDSNCTALGNDIHEEEHQDQTSLSHGISEACTADKMFSRIQRSRSRQRHIEDRLNGKDQAAKSGSHDGMEDGKHMSDVGTLGPNRATAPSSSIPCDAAANIAETTSSGPGQDMDFCATQVRSIDHGVQLEGFPSHIESKIICSNSNIVVSNNSSVRDSLTVPLPDLSKASIADSVCDHIPETHMLVEPKKLQFDGIGSTCMNHTSAQTDQQQESGVKSDHLDLASIIPSTEGPSSTSSQGPHSMGRLSLDHVRLGHLNPDSAPVDQHHKYAIECSQPDLTGMHSPNKKQSLTCLAEASDAMGEPLLQKDTEHIPENYSLERAHPRVSRPLEMDTSNSDDSKFSQRPCSGFNPLLESDTLRAIEDTEKLQASNSHVSPPYCGPLQLPTQLADSRFGTHALSGTSPNSLLGEDGHLSKLPKNVRNSQYSQGRSALSLELLPAQICNSNDVCPSSLLCCRTQSTDKHSNGCAAVNNLASAGKELSQEPYLSSRSSLEFNGSIPDAETPSGHPALDMENLMLKANPVPDLVNCYSGKLCDDALVSKVCGGSADDRKNESVVLKVMPSDSYQRTTEMHGTEMNSVVSSEKCNESLHQGKEQVTPHAEDDAQINADSCRSEDIERRKSEFTLDSHEKSSRHQEDRGSAQMRSGAGGVQINGGTSSKRKRIKCKDIVLTSSYDTKPLSPNHHDVTSTHVVTGENFSGRSQPSGRYFLRSSGSGEFMSLKSETTNHKMSVASDAEQNDNSSHKLRNRSCLSDISLCNSSSAKALSPHFDSGISSKIAVEEMDLNNYQTQLQNVFDVETTSPLPSSSNIALDNMELCKQQVSAPIALVHGKLSYGSSIEVDRKFRSEDLTGVLLSDDMIPRQKDDESVDFNDTMPHFESFDFSVPFDSPTTEERTFEILHDSRQFATFGHDVSKKYQMNTLSVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLEREKLKHEKELKRKHEEEQKKKRDVDVATRKRQRDDEERKEKERKRKCVEEARKQQRQPMERRHANSEKDARPKPSDKKELQKNLAEVVKGQVKHDEMKSLGDEATKSNSEKVVVADERPTVIGSQSQENIPTSLEESYMMTPYKDSDDEDEDFELKEESRRRRKLIPSWARGENLEKILLSNYVLDHREIFERKCSSNLSEICPVHIPQRGFR >Dexi2A01G0026920.1:cds pep primary_assembly:Fonio_CM05836:2A:38419580:38420293:1 gene:Dexi2A01G0026920 transcript:Dexi2A01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSRRSSSGMQSIRRELQCRRTKPLAPKKTSAPLRPSPPLEGAHQEHPSSSAASKSPRPRPPHPSSRAAAVYPSTLPPSRSAPPSSGSACPSTHGSDERLKPGTAVGVRTRTTKLKTGKVLVLWLRATVVSPTQEGYEVVYDGSWPPGDPYGTVHVPRRHVRMIKPSPSPTTSPPQQTPPSRAPSSSASDDTTATAKKEKIRPAPRPTTAGKSVRLVRSLFPELERQARAALPYY >Dexi7B01G0023070.1:cds pep primary_assembly:Fonio_CM05836:7B:27783949:27784378:-1 gene:Dexi7B01G0023070 transcript:Dexi7B01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASASPLPAALSAFPPAAAPSPAPGFLPLRARRAGAGAPRLGTVATWRRRRLRAEAIKTEREKQQTEVPVEDSFPVRKAAAPPDGADDPTVVPSDEGWAVKLEQSVNIFLTVII >Dexi5A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:5A:717035:718027:-1 gene:Dexi5A01G0001070 transcript:Dexi5A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHVLIVDDARVDRLVASRVLGSCNIRVTVVEGPMEALRFLAKEHDVQLILTDYSMPDMTGYDLLVEVKNSPRLNHIPVVITCTDYIHETVQMCLDGGAADFITKPIVVADVPRILSYIV >Dexi9B01G0030250.1:cds pep primary_assembly:Fonio_CM05836:9B:32761007:32768017:1 gene:Dexi9B01G0030250 transcript:Dexi9B01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRQVARFVSARQTSIATFVSKPAMEHQKLMFQSLLVSILAIALIRIIRFYKIRPKARLPPGPWNLPVIGSMHHLVNVLPHHALRDLARVHGPLMMLGLGEVRLVVVSSREMARQVLKTHDANFATRPKVLMGENVLYRWADIVFSPSGDYWRKLRQLCAAEVLSPKRVLTFRHIREQEVCACSPSAAMFYNLAISIVSRASFGNKQRNADEFLAAMKAGAALASGFKIPDLFPTWRPVLAAVTGMRRTLEDVHRTVDSTLEAVIEERRHVRDEKARSGNETVEDENLVDILIGLHEKGSSGFYLNRNSVKAIIFDMFAAGTGTLQSSLDWAMSELMRNERVKCKLQHEIREAFRGKADVTEVDIQGVNLPYLKLVIKETLWLHPPVPLLVPRESIDACEIEGYKIPARSRVIVNAWALGRDPKYWDDADEFKPERFENNTMDFMGSCYEYIPFGAGRRMCPGISYGLPVLEMALVQLLYHFNWSLPEGISDVDMTEAPGIGVRRKSPLLHHKLMFQSLLVSIIAVALIRIIRLYQIRPKPRLPPGPWNLPVIGSMHYLVNVLPHHALRDLARVHGPLMMLRLGEVRLVVVSSREVARQVLRTHDANFATRPKLLAGKTVLYGWADILFSPSGDYWRKLRQLCAAEVLSPKRVLTFRHIREQEMASQVVRVREAGPWTPVDLSSMFLDLAISVVSRASFGKKLRNAKEYLSAINTAVTLANGFKIPDLFPTLQPVLASITGMRHALEDVHKVVDATLEEIIEERRRVRDEKQVRCGGIADADADENLVDVLIASQERGGLGFNLNKDSIKAIIFDMFTAGTRTLASTLSWGMSELMRNERVMDQLQGEIREAFRGMVSVTEADLQTRSLPYLKLVIKETLRLHPPVPLLVPRESVESCEIEGYVIPARSRVIVNVWAIGRDKKYWGDDADEFKPERFKDSTVDFMGSSYEFLPFGAGRRICPGIAYGLPVLEMVLVQLLYHFDWSLPEGTKEVDMIEAPGLDGQKE >Dexi4B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:4B:1108320:1112030:1 gene:Dexi4B01G0001780 transcript:Dexi4B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGCGGLGERLAAAAREGDAGEVRRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIAALLLENGADVNARNIYGQTALMQACRFGHWEVVQTLLIFRCNSDWEQVTKVDSLSSRTALHLAAAGGHVKCARLLLAAVAGDGDRFVNRAAGGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPSAASPMGSIGAASTPLHYAAAGGEILVSRGADRTAVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLASVLNLARDCGLVTSTSSAFSESVDDVDACAVCLERPCNVAAEVCGHELCVKCALDLCTVIKSYDVPGIAGSIPCPLCRSAIASFRRRADDELEPDFNSACSGGSHCKSCCSAGDHQASSSPEKKRSTDSDQAILPLYSPPPVLS >Dexi4A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:4A:14707251:14710509:-1 gene:Dexi4A01G0013810 transcript:Dexi4A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNDYANYTVVMPPTPDNQPYGGGGGAPSSTSTGGTKPDDFPLPPYGPKLVNRRGGAGGDDGAGAASGKMDRRLSTARVPAPSKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDNNVYADDEDGAGGGGPVKMEDLVDKPWKPLSRKVPIPPGVLSPYRLLVLVRFISLFLFLIWRVTNPNLDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLAALREKFESVTPTNPTGRSDLPGLDVFISTADPYKEPPLTTANSLLSILGTEYPVEKLFVYISDDGGALLTFEAMAEACEFAKVWVPFCRKHAIEPRNPDSYFNQKGDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKLARDKAAAATLDAPAADATTVKATWMADGTHWPGTWLDSAPDHAKGDHASIVQVMIKNPHYDVVHGDAGSHPYLDFTGVDVRVPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCTAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFSPPRTSEYRGIYGQLKVPIDAHGHSSGAPVAEELRPLSEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVISCWYEDGTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASQRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAADDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRAVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITISLLWITISPPDDRITQGGIDV >Dexi3B01G0030810.1:cds pep primary_assembly:Fonio_CM05836:3B:31029853:31030384:-1 gene:Dexi3B01G0030810 transcript:Dexi3B01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAPTVDTILRNALVVTVDGELRVLRDGAIAVAGDRIAAVGPSADVVAAFPRAAQTLDLGGRIVLPGLVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVSSSFSIYGHGV >Dexi3A01G0028650.1:cds pep primary_assembly:Fonio_CM05836:3A:29507202:29507821:1 gene:Dexi3A01G0028650 transcript:Dexi3A01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARRCHVPAFGEWNYYSPSTSDEPPPELSHPGVAVAAAAAAEWWCSPEPEARSDVWFRYSPPPRKPPTPASTKKASRRPPEKLRYGGGGKQGSVVPVARVIREEMPVAAAARAPVKGSRRVVRPVDEDLYQVPPPEFDWSRRPPKKRAARRRSLWMGCLGGLGCIA >Dexi6A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:6A:22024630:22036820:1 gene:Dexi6A01G0014650 transcript:Dexi6A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARPPASPAAVGPGDVSEVELSEAGSPDLGSRSSGSGSGGSGRSMAEYSGWVYHIGVNSIGHEYCHLRFLVIRGKTVAMYKRDPHDHPGLIACASSGEAQKWIEAFEHAKQQADHDLMRGVSRNRLQSENEINLDCHRPRVRRYAQGLGKLVRIGKGPEMLLRQSSDLRNQERVNTNFGGDTGDAFEAHEWRYVRTFNGIRIFEDIANPKGGKGILLKSVGVVGANPDTVFEVVLDLDKHKRYEWDMLTADLELVETIDGYYDVVYGTYEPKYLNWLFSGGKERKILFSPDNGFEDKMVHIVTILQSPTRHNKRPPKHGFERTKINRLREYFAVNPALTSDLPSTVVKSKASEPLLIQSELEDSEPGDEFYDALARGESFEDEDSDDDDAVTPKAGKVKLKNVSWAIAGLTLKTSKALVETSELVTNSTPVTVDPSHFHGTLHRAKSENDPNSWSAPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVNERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERAVNKDSLLGRFIDGTDAFRDARFKLIPSIVEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRVNPDSAVSI >Dexi9B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:9B:3924627:3927140:1 gene:Dexi9B01G0006540 transcript:Dexi9B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHPHAAAALDPLDVFDTVRDIKDPEHPYSLEQLSVLSQESISVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKVDIKVAPGSLANEESGVCIGKFLTDRRANI >Dexi8B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:8B:27285451:27286063:1 gene:Dexi8B01G0016200 transcript:Dexi8B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNGSGDCTVWVDYHAAEHQLYLYVDGSGKQRPTKDYRRWKKGQDKVAKLMKELPGGPPKLSFRT >Dexi6B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:6B:14471276:14474853:1 gene:Dexi6B01G0009660 transcript:Dexi6B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILEGRSYRLQHPWVGVVNRSQQDINKNVDMIAARRREREYFSSTPEYKHLAPRMGSEYLAKMLSKHLEQVIKSRIPGIQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVKKLITEADGYQPHLIAPEQGYRRLIESCIVSIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCATLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >Dexi2A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:2A:28465413:28466118:1 gene:Dexi2A01G0016720 transcript:Dexi2A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPSDTDKPPYVARVERLESDGRGGVRVRVRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFYCRFDYKAGTGAFTPDRVAVYCKCEMPYNPDDLMVQCEECKDW >Dexi2B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:2B:3094342:3094987:-1 gene:Dexi2B01G0003510 transcript:Dexi2B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLETPAAAASRRAPTFQANPAADARQLLGALLPRCPALRHVLQAHARLAVLGLATARALPYLLAALPRLPPGGAEACYSYPLSLFRRSSAASAFASNHLLRALPHPLPLRLFPRLPRRNPHSFTFLLASLSNHLLDADHAVGSSSASRFLGSHVHALAVKAGAAGDLYVRNALVHFYGVCGDVEAMRRLFDELPLVRDVA >Dexi4A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:4A:24567936:24578502:1 gene:Dexi4A01G0020810 transcript:Dexi4A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGGLSFDFEGGLDSAPAAGGGVHVPSSADPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGDACGFLHQFDKARMPVCRFFRDFGECRESDCAYKHSYDDVKECNMYKMGFCPNGPSCRYKHVKLPGPPPSVEEVLQKILQMRSFNRYGQNRNNNYNQQGERPQHPQGSGLPNQNSAENAAAAATTTAPPTGGQQAQTMNQQPPQQQQQQQQKPNINDQVQGVSNGHQTTRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESTENVILIFSINRTRHFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTVGYAAMLIAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEEGSGQESQGRGRGRGMMWPPQMPMMRGPMMGGRFPPNMIGDGFGFGGSFGMPDPFGMPRGFPPFGGPRFPGDFARGPMPGMGFPGRPPQPFPLGLDMMMGPGRGPMMGGMGMGGPGRPNRPMGMAPFIPPPPPNNRAGKREPRRPGGDRFETASDQGSRGHENSGADGARSQSGDRYGRSVLRDEDSESEEEAAPRRSRKR >DexiUA01G0009470.1:cds pep primary_assembly:Fonio_CM05836:UA:18640083:18640523:-1 gene:DexiUA01G0009470 transcript:DexiUA01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLAAAVASAAAVAAASGAELLACDCDAPAPPVVGRCDGLLLSRQHHDDDAHEGRSSASREGPRGGGGNRFAPRFDGLRFIETLVTAHR >Dexi9A01G0046270.1:cds pep primary_assembly:Fonio_CM05836:9A:49643273:49643590:1 gene:Dexi9A01G0046270 transcript:Dexi9A01G0046270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTARVAVVACSSVMILAARPLPPHDAAAADGSRRGWELGPAQQALVGQMKLSQPGQGNDNDWQDTHHPGDVDPTIGSRDPMPAVFGDESSNGMMP >Dexi3B01G0030230.1:cds pep primary_assembly:Fonio_CM05836:3B:29741619:29744126:1 gene:Dexi3B01G0030230 transcript:Dexi3B01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHGCILLVAVASLLASSSWAYASAGTDTDHRGLMQFRSLITDDPYGAMASWGSANASAPCGWRGVTCGARGRRRGRVTALDLRGLSLSGSMAPSSLAGLTYLRRLDLSHNNLTGTIPASLGNLTSLTLLSLTSNKLSGAIPGALGTLQALTGLYINGNMLQGSIPPAVFNLSSLQELVVQFNNLTGTLPPDAGARLPSLWLLSVDSNRLHGTIPVSLCNASRLEVIATMYNPFSGVVPDCLGALNNLWALSLDFNELEANAYSHWGFMDSLTNCSNLKVIGLAGNKLGGVLPASIANLSTSMETLGLWGNMISGQIPQEIGNLFNLRIIWMNQNNFTGTIPDSLGRLDKLGKLYLYSNRLSGQIPPTIGNLTLLSELSLENNTLTGPVPSSLGSCRLETLSLDNNRLTDFLGTLKNLQELDLSYNSFDGEVPESGIFLNASAFSVEGNTALCGGIVELKLPPCSKDGITTGVGSFGSVYKGTMMINDQEVVVAVKVLNLLQRGASRSFSAECETLRCARHRNLVKILTVCSSIEPGGLDFKALVFDFLPNGNLDQWLHIWEHGTHRGLDLAQRIDIAIDVASALEYLHHYRPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVYQDQTSLSDISSGWATRRGTIGYAAPGQILTSTSACFQD >Dexi5B01G0021280.1:cds pep primary_assembly:Fonio_CM05836:5B:23595994:23596484:-1 gene:Dexi5B01G0021280 transcript:Dexi5B01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSTSFLPTPAAARAASRTLRSVIPSQGMRCSMRKKGLHPQIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSALVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFEPEGDN >Dexi2B01G0022110.1:cds pep primary_assembly:Fonio_CM05836:2B:31811750:31814751:1 gene:Dexi2B01G0022110 transcript:Dexi2B01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKQSSTRHGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTTLHLAARAGNVAHVQKIIAECDPELVVELVARPNQDGETALYVSAEKGHVEVVCEILKACDLQSAGIKASNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARSNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDSKGNRPLHVATRKGNTIMVQTLISVEGIEINAVNRAGETAFAIAEKQGNEELINILREVGGVTAKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLESMPPQPDPDLTLGQALIASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVAHRMEEKNMRKIRRTSTSQSWSISVDSETELMNSEYKKMYAL >Dexi1B01G0023890.1:cds pep primary_assembly:Fonio_CM05836:1B:29358143:29360431:1 gene:Dexi1B01G0023890 transcript:Dexi1B01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEEHMAGPLYHLRHRRLMDIAPASPSDDSASGHSGSKGMAIMVSILVVVIICTLFYCIYCWRWRKRNAVRRAQIERLRPLSNSDLPIMDLSSIHEATNSFSKENKLGEGGFGPVYRGVLVGGGEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVERDEKMLVYEYLPNRSLDAFLFDTRKSGQLDWKMRQSIILGIARGMLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSFGVLVLEILSGQRNGSMYIQEHQHTLIQDAWKLWNEDRAADFMDAALAGSYSREEAWRCFHVGLLCVQENPELRPTMSNVVLMLISDHMQMPAPEQPPLFARFKKVSVSDFSLAMKSETTKTQSVNEVSISMIEPR >Dexi1A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:1A:21275229:21276065:1 gene:Dexi1A01G0014580 transcript:Dexi1A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGVVKHILLARFKEEVTPDRLDQLIRGYAALLGVVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGIKEYIEHPAHVEFANEFLPVLEKALIIDYKPTTVN >Dexi4B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:4B:8174742:8177755:-1 gene:Dexi4B01G0010810 transcript:Dexi4B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMEYQSFNKAKEFVWRHDLFEDSMVAAGLSGIESGTKLYISNLHYGVTREDLQELFSEMGHLKHCAVHYDNNRHPTGSAEVIFTRRSEALAALKRYNNVRLDGKAMKIEVIGAELGLSAAAAPRISVVPGARGRGQREVVMMPGGNGFGRGAAGSSSSLPGWKRGGFAQKGGQARGGFAQRGGGQVRGRGRGRGRSSFGQGRGRGYVRKGNVEKSAEQLDKELDNYHSGNAMNVD >Dexi1A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:1A:26695482:26698111:1 gene:Dexi1A01G0019650 transcript:Dexi1A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRHAGYRSRDVERERQLDLERSRRRKEHHHQRHLSRDRDSDHRRDGGRSRGCEVSNGHGRHRSPYPPPRSRPSRMEVDREPGEISSGSGSEYSSGRLPKATLLREDGAVGVCRDSGALPPSKKRKHPPTLDGSVSKLLATDDVRTRIGLDTVAVELPLPSPPPLSDASPVAMVGECLPMQLDVPVVPNDVERLHEQEKSGIMEGEECPTARNIFTSRWADADEEEEKVIVPTKKKISSPANLVERISTKVATGPEPVQVLGSKTSRGSSSSFNSTGSENCNGSVDGGDCVDVEEDDISSSTVSSLDNDSRNGVSRCRTPEVVRPQRRCFNMLQGCRSIDEFERLNTINEGTYGVVFRVRDKKTGEVVALKKVKMDKEREGFPLTSLREINILLSFDHPSIVDVKEVVVGGHDDDTFMVMEYMEHDLKGVMEAMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSVGCIMAELLSKEPLFPGKSEIGQLDKIFRVLGTPSEERCHGYSKLPGSKGKFVKQPYNRLRDKFPAVSFTGGLTLSEAGFDLLNRLLAYDPEKRISADDALNHEWFREVPLPKTKDFMPTFPALNEQDRRIKKYMKSPDPLAEQQMKEQGSTRDRGLFG >Dexi9A01G0041810.1:cds pep primary_assembly:Fonio_CM05836:9A:45397452:45398072:1 gene:Dexi9A01G0041810 transcript:Dexi9A01G0041810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSTLPTIRPAVPRHPPPAVATPPELQLLPASSTATPLASATTAISLSTITTTTTTTTAASAQEPDAASATKLQLSIGAAVPAAAGGGGGEEDGDEELRRAVEEKAAADAARERAREEAAAAERALEEARRARQRARADLEKACVLREHAARLLAQVTCHACRQRSLVAMSSMAAAVGDGHGQAVACDSMMTMRGGGGGVGAGI >Dexi3A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:3A:8801224:8804504:1 gene:Dexi3A01G0012190 transcript:Dexi3A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGKGRAARVAAAFFVLLHIFAAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEANKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGQGGGRGGGGMNIQDIFSSFFGGGGGMEEEEEQIVKGDEVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRKCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKFVREGDFLTVDIEKGMQDGQEVLFFEEGEPKIDGEPGDLKLQALVGFEKTMKHLDNHLVEIGTKGITKPKEIRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKAKLKDILV >Dexi9B01G0030580.1:cds pep primary_assembly:Fonio_CM05836:9B:33060696:33061272:1 gene:Dexi9B01G0030580 transcript:Dexi9B01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVEALLDPTALSLALPPPALKKEDYLAICLAALAGTRKFGLGKKEQQHEAAQPQELPFRCAVCGKAFASYQALGGHKSSHRKPPTEEALAAAAAARAAPGFATGQALGGHKRCHYWDGMSSVSNSISVSVSASGSSAVTVTRNFDLNLMPVPENVGMKRWAEEEDEVQSPLPIKKRRMLLIH >Dexi3A01G0034760.1:cds pep primary_assembly:Fonio_CM05836:3A:40035390:40038988:-1 gene:Dexi3A01G0034760 transcript:Dexi3A01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGEAIKQDGISRSGLWARAVLWPVFILTMALGLACTLYIADTTWMQQKPWRVLTILSLAPYLMVAGMMVSYMDLRLPLAPVAARCGLLVVMGRRGSAFGLASFFVTLLISPF >Dexi5A01G0036040.1:cds pep primary_assembly:Fonio_CM05836:5A:37700182:37706035:1 gene:Dexi5A01G0036040 transcript:Dexi5A01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQGELVPPWLKSLPLAPEFHPTAAEFADPVAYLLRIEPAAAPFGVCKVVPPLPPPPKRTTLGNLSRSFAALHPEDLSPTFPTRHQQLGLCPRRPRPALKPVWHSSRRYTLPQFEAEAGAARKMLLARHGVPASRQLSTLDVEALFWRACADQPVVVEYASDMPGSGFAVPSGARPAQLPAANVGETAWNMRGVARSHASLLRFMPEDVPGVTSPMLYVAMMFSWFAWHVEDHELHSLNYLHSGAPKTWYGVPRDAALAFEDVVCVHGYGGEVNPLETFAMLGDKTTVMSPELLVRSGIPCCRLVQNAGEFVVTFPGSYHCGFSHGYNFEINGDMPLNRNSSLDLLASAYGDPSDSDEDVLNKKNQVEKQLQTIGGAHIFLLCRPEYPKIESEAKLLAEEMQVEYDWKDILFNEASVEDRKKIQEVVQDEDTIPTNSDWAVKLGINLYYSANLANSPLYNKQLPYNRVIYKAFGCSSPNNSPIKLKTYTRRQGRAKKIVLAGRWCGKVWMSNQVHPSLANRIKSHQPETIDQICPHQKSNAEHAENSSREATPTRKSSSRAIEEKPSKREKEPLEKAITKKPKLTEENNSRALEGAAEASNGGTVVEKTSKIQKEHVEKPNTKKPKHIEEDKSNALKAASSPSPSRVVIRSSSRIANRKNMLKSKMEEEDNGPGSRPKAKVEESDDPASRSRARPLRQKTNVGVKKQTKKTRAEKQKASSQLEEFSATKQQPSSGKQKTNKVERKQQMKKTREGKGTPPSSPKHGEEYACDIEGCSMSFSTKLELSLHKRDICPVKGCGRKFFSHKYLLQHRKVHTDDRPLKCSWKGCDMAFKWPWARTEHMRVHTGDRPYVCHEPGCGTTFRFVSDFSRHKRRTGHAAKKGKQRSEANQQ >Dexi1A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:1A:25360972:25367241:1 gene:Dexi1A01G0018090 transcript:Dexi1A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAAATGSLVASTSLSVPDHLRLRRCFRLHPRPLPPPLPCSLRRSRGCLVRAVVEDRASPLVEEDAKRYGLNGDGSGTGYDDAAVEAYLGSNGNGNGSASARGNGAAVEQEQPASSVAVVPVSPAEDEKRRKERVEEIGREDAWFKQSSGDRLPQVSVAPGGRWNRFKTYSTIQRTLEIWGFVFTFIFKAWLNNQKFSYRGGMTEDKKVMRRKVLAKWLKESILRLGPTFIKLGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNAIFDRFDFEPIAAASLGQVHRARLNGQEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFADNFKKFDYVKVPQIYWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILTHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSFQERLAAQRKEREMANAELGFKKQLTKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYAKELLRFNEAGVELVVKDAKKRWERQSRAFYNLFRQPDRVEKLAEIIERLEQGDLKLRVRTLESERAFQRVATVQKTIGYGIATGSLMNLATMLYFNSIRGPATIACSLCAFFGLQVLVGLLKVKKLDRQERLITGTA >Dexi8A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:8A:20895190:20896143:1 gene:Dexi8A01G0011900 transcript:Dexi8A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPHCQTKCGKLTVPYPFGFGSICSFPGFNVTKILLDKAIVYIKVGAIVNTTSELMHKPVVKNNWGTPGSGGDDGPFFLSYRQNKFIAMGCNIQANLFARRNDGTQQLITGCSSFCAVDGDNNEVIWDPMDTKKGHHCHRCSGNGCCQSKIPLYNTSYDIRLKKVEAESNVQYVEPVTHNLVLIGEQGWIERVWCRMLRNTTVPDKKTRKQLQHLDLSMVPVVLVWPMNSTVPAQPGKAADNTSRCPTEKHMSVCKSKLSSCVDINTPFRSGYACQCNTGYNGSPYLVDGCQG >Dexi7A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:7A:16746084:16749111:1 gene:Dexi7A01G0005470 transcript:Dexi7A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCLLSREFWVGGIIKNGREILFQAFNWESNKHKWWNKLEDKVTDLAESGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLSELKSLLHKMNEHNVRAMADVVINHRIGTTQGSNGKYNRYDGIPISWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQTFVRKDIIEWLIWLRKSVGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWDSCGYSPPDYQLNYNQDNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGEFWRLRNPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFYDQGLSLHDEIAKLMQIRKCQDIHSRSSVNILEAKSGLYSAIIDEKLCMKIGDGPWCPSDPEWKLAASGDRYAVWHK >Dexi6A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:6A:2711591:2713108:-1 gene:Dexi6A01G0002950 transcript:Dexi6A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTEAPLLLKRRQSTPGDDDAAALTSYAEAREAFFRESERLWLIGAPITVNILCLYGVNSATQLFAARLGNLELSAAALGLSAVSTFSFGFLLGMSSALETLCGQAYGAGRLPDLGVHAQRSCLVLAASALLLSPLYALAGPVLRLLGQDPAVAALAGDFTPRLLPQMFSLALAFPTQKFLQAQGKVAALAWISVAALAAHVGMLALFVPVLGWGLRGAAAAYDVTSWAIAAAQVAYVVRRCRGGHGWEGLSWHALTGLWAFAKLSLASAVMLCLEVWYMAALVVLAGHLDDGPEIAVGSVSICMNLNGWEAMVFIGLNAAISVRVSNELGSGRPRAAKHAVAVVVAQSLALGVVAMALVLAYRNSFAAVFTGDGEMQAAVGKMAYLLAATMVLNSVQPVISGVAIGGGWQATVAYINLGCYYAFGLPLGFCLGYLLRMGVRGIWAGMLCGTALQTAILLVVIWNTDWEAQAKQAKQRITAWGGAGDDYYYCQNKEDESDLKA >Dexi5A01G0036570.1:cds pep primary_assembly:Fonio_CM05836:5A:38011123:38011384:-1 gene:Dexi5A01G0036570 transcript:Dexi5A01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPPYPHAGYAPGHGRWKKKEIETSLKPLRFDLGERLRLRFNASYALSSSERDCGGGIYRAWSSRNWF >Dexi4A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:4A:20784041:20784397:1 gene:Dexi4A01G0017020 transcript:Dexi4A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHHLQMQRAASIEKIAVPEKTKASLLFHVAGGGAAVSSSHEAPAVSIATPRTAAAKQPPQQLLSGSPRACLCSPTLHAGSFRCRLHRGIGIGSGGSGSVGSGLHEMSKKKAGGGA >Dexi3A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:3A:16359248:16364568:1 gene:Dexi3A01G0020620 transcript:Dexi3A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRRRASPPLLMLALALLAASVPAGFCAINPQDGAALNALLSQWTNAPASWSSASDPCDGGWDGVTCSNGRVTSLRLLSNNIQGTLSNSIGQLSRLTYLDLSFNIGLGGPLPASIGSLSQLSILILAGCSFTGSIPQELGNLQQLTFLALNSNQFSGTIPPSLGLLTNLNWFDLADNQLTGSIPISTANTPGLDFLTKTQHFHFNKNQLSGTLTGLFNSKMTLVHILFDSNQFTGPIPAELGTITTLQVLRLDRNGFAGAVPPNISNLVNLNELSLASNQLTGSLPDLSSMTSLNVVDLSNNSFTTSVAPDWFTTLTALTSVSIGSGQLTGQVPKGLFTLPQLQQVVLSNNAFNGTLEISGSINKQLQAINLMNNQISAANVTTSYNNTLVLRGNPVCSVDPTVSGKPYCSVQEENTMAYTTSLSKCSSMAVCSSDQSLNPANCGCAFPYTGKMIFRAPFFTDLTNTNSDTFQQLENTLATNLSLRNGSVFLSDIKFNSDNYLQIQVKLFPSSGVSFNVSDLIRVGSYLSNQIYKPPPKFGPYYFIADVYAPLAGASSTGGKKSQISTGAIAGIAVAGGLLVIALIATALFALRQKRRANEALTGRTDPFASWGVSQKDSGGAPQLKGARSFSFIELRNCTDNFSDTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDHLKAKVADFGLSKLVSDTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIESGKYIVREVRLAIKPDDRDHYGLRGLLDPAIRDSARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQIEVAGAEGGGGVSSAGSSANEFDGAGGGARAHPYSDTEITRGSYGDNGSEYMPYFEVKPK >Dexi7B01G0022270.1:cds pep primary_assembly:Fonio_CM05836:7B:27234359:27234662:1 gene:Dexi7B01G0022270 transcript:Dexi7B01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAQMAVPVLGIIAAAAVTFYTVSFMQLRDKSFEELDEKYSEYDDESGGRQRRTRRRAERARKKRN >Dexi2A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:2A:27350561:27352323:1 gene:Dexi2A01G0015930 transcript:Dexi2A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPQSVQQHDTSPTSPQDPHIPNFMQINWNLMSSNLPTDTQWWLQLQPNFGSQMALAREHLSSISGEAGQKKMEGLAPLSKPEDNGAKKGADPFEPPWIISTAFMKQSSETSLEELKTLAGYSPTSFKCKGNANNCVYEGKEFTEFKAFDPLFPKNPQKEHCGVDAPWEENRKSQPWWQVFDVDGLASLIAERAMENIFNNDLPRPAQAVRVHGAEVNSPGNKVDYGLPLPPGEEPDPVHDTISCSYSVSSTNETNSSDGGGWEQQRRNNGPGGTQDSYSSTNSTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKEHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKDHQIAAMFPELPWMMMKEKVAPGQEHKDGTKKRGRRPNRKGGLRSTVAFAVGVGIVGAGLLLGWTLGWLLPKP >Dexi5B01G0030430.1:cds pep primary_assembly:Fonio_CM05836:5B:31327974:31332159:-1 gene:Dexi5B01G0030430 transcript:Dexi5B01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCACLDYEVGGMHVYGSELSKGVRFQLRTSRASTMNDPPDKSLNTSRDTVGMSFDVLRNIFGKCINEDELQLLLNKNTAPICYVWFVPSPSMHITQDADIWLLDVDQREVSILARKYWEDAKRGKVPIILLNSMLPDLLEDPDFAEISDPSRAISMEDNE >Dexi5B01G0024520.1:cds pep primary_assembly:Fonio_CM05836:5B:26551027:26556580:1 gene:Dexi5B01G0024520 transcript:Dexi5B01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDATAEVVNSGGSLVPWAAVGAADLLLDRHDVRHLLDRVPPRPRRPYSAAILSIPSSDGVSEAELDRERYLDLPSADGSDEDDGSGDAPPSGINISFLRAWLNSLFLLYFQSCASISDWSGTETRQADYSTVHFSYGSSAGSDNPNSLDSYYRPSFYVPEGLLNKLMVGAEMKFLLVMHIVSLQCILTHTETMFLYHVSHLQPPSEKVHQIIARTALYVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVDHPQLLKDGADAVDTNKGNKTVNFEGEHASSGGALSLLGTAYDSGDDDGDTAPPGSKGMDGHVKPASTLPDNKEPGKDQRVLSETASASVKSKPLLMKKNPMITGNRIIAAQRVDVEDTITASTTAKSEKINSDLSETKEMILEPPSFMKSTMEKIVEFILRNGKEFEAKLIEQDRTTGRFPFLLSSNPYHSYYLKFLQETQESKSRGRGSDHKDRRGSSDRRDRSPSQRDDRSSRRRDDRSSRERDDRRSSHERDDRSCSRERDDRRSSMECQDGSYGKEGTRSNAWPTTGMVSSASDRSSAGPSEKQLHQQGKGIFHPVSRVRKEPPRKVTADEAAAIILAATRGLGAASDSLNTIKGKKEDVQIRGSNDHSSSFGSFSSLQDRDVLSKHISNSEADTSLTGSGQPKKEGFGIIDDDWIANTIAKAVAVAASKEADSSEACMTKEQKMKAERLRRAKMFAAIIKSGGNKMDASAAVSEPTNVFREATPADMNASELDLQPEAKEREGSSAPIEREGPNLTKPDKDSDDEQNRVRKYRKKHHVESDDEEDESEESYKHSRKRHRSEHSTGHSKDVHKHKHKSRSKNSESRESRHGRHRHSSSEDEHEHRSSKSRHRHRDDDRYSDDEERSRSRRHRREHRSSSKRKHVEEPDQSVQSQGRLEVPPSTSGAKFASDKTHADTDQSSQGATELPNELRAKIRAMLLETL >Dexi9B01G0048680.1:cds pep primary_assembly:Fonio_CM05836:9B:47570271:47570855:1 gene:Dexi9B01G0048680 transcript:Dexi9B01G0048680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSVYGLPSASPNIAVLHPERSLAFHRAVVLPIPPHYHPMCLPSFAFQQSLSQSDPLPPPRRHPCSGGCRVRGSHSEMPLLQLCGRKKGGCSGDFGCGAPHENRRQHRHRRFCSASAPASTSGPPKPWRIALPGRRPRHRRDASQSPVLSALAGNNAPVSFLDHRSARRSSASIPSSASRRSLSSPNPPPP >Dexi2B01G0025620.1:cds pep primary_assembly:Fonio_CM05836:2B:34890724:34892220:1 gene:Dexi2B01G0025620 transcript:Dexi2B01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAHVLVFPVPAQGHINAMLPFAAALLDAGVFVTFLHTDHNSRRASSVAASPRLRFMSIPDGLADDHPRSLGSMLEFDRAMREIGGVSYRALLASLSSCAGRQSHDASDGGDDDHKSFPPVTCVVADGLLTWAIDAAEELGVPALAFRTSSASSFLTYQTVPKLADLGELPFPSYGDLDEPVHGVPGMESFLRRRDLPSVFRRCRGDTDGVLDLDPFLRLLAKATVHSSKARALVFNTAGSLEGPALEHIAPRMRDVFAIGPLHAVPSPAPPPASSLWSEDDGCVAWLDGHADRSVVYVSLGSVAVMTDAQFTEFVSGLVAAGHPFLWALRPDMVVMTRRSQQDDDADEDPHEAIRAAGGSKARVVAWAPQREVLRHRAVGCFLTHAGWNSTLEGVVAGVPMVCWPFFGDQQINSRLVGAVWGNGLDMKDVCDRAVVERMVRDAMESEAIRSSAEALADVVRRDVADGGSSAREFERLVRFIKDLSMEHAKSDHRN >Dexi5A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:5A:10280243:10282012:-1 gene:Dexi5A01G0013660 transcript:Dexi5A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVRELTLSALRRRARHSNPAHAAQQLHARLIVVSSSTNPHPALLTRLVSLYAAAGRLADALAAFRAHLASADLRTYAVLVSALARPRPDLAFALFSGACRGGRLRLRPNPHLISAVLAACAAGLPTLCGLQVHACAAKVVPPRDVFVCTGLVNVYAKAGDMAASRMVFDEMPTRGGASWNALLVGYARNRMCLEALWVFKELAGQGREVVPLDQVSVSGALSACSSAGNVDFGRQVHACAAKVGLELGAVCVSNGLLDMYTRDVVTWNVVISACIHESRFEEACMLFQSMVRDGVMPDDVSFATALQASACVLSRSLGASIHASVVKTGFLDRDGIASSLITMYSKCGSLDDALRAFEVAEDRMCIMSWTAIITALQQNGHGVQAVEIFEKMLENGIHPDHITFVSVLSSCSHSGLVEQGRKYFNSMTQVHKITPWTEHYACMVDMFGRAGLINEAKQFIDQMRVKPDASVLGALLSACMNCGDLEMGEEVAKKLFFIEPGNPGNYVVLANIYASHGRLEEAKEVRSWMLFQELRKERGRSLVNSENQTPTPSVLQQRRALWWLKDDG >Dexi4B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:4B:15670543:15671178:1 gene:Dexi4B01G0014570 transcript:Dexi4B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHPRVVVASAGNAEVADGHHHDEGRACWCVGAACFSLLLFVVLAAATGSLARAGAIAAAAALVLGLAGCLAPWWNGALPPPAGGPAARPAPVRVVVHHRCACGLADADIGALPTFAYEPPAAAKGGGDKPPRGSAVLCAVCLEDVRDGEMVRQLPACRHLFHVDCVDAWLRAHRTCPLCRCELPPRRNATAKGAVTAEASADDALPPV >Dexi6B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:6B:23205417:23208428:1 gene:Dexi6B01G0015900 transcript:Dexi6B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKQKAASFLDVPKDIPIATKSLTIRTSAAGCSSGSDRSCPISPAISLTPHLYSPSPPSSAFVSALQSPYISPRVVEPPPPPPPQPRREAKAAGASVATTAAPSPASCSNGSQSEDIDAPSGPSAPRTPPSERYDSSGIDAAKISDGGGGGGPLPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGSLGRFCKWLKSELELQGIASFVADRAKYSDSQSHEIADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPAEIGGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNSRSCVSRTVTLLRSKLGRKNIAEKENEASEGLPFPRNRNFVGREKELSEIEGMLFGSTVDIQEVDCPRASSTNERSSGVSDGFADEDSDTARKSNARYISLEMRKCKEPTLEAWIDPVIELSSGKSRSLQKQRSKHRRSRFRCNSKGYNSTNVICINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKDRGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLSENLFPVGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVIAGSWLAPAPVSSTLLAATASKLPMKGSGMHLFGESLKTAFLCGTHCFLAPNGRKAEVESALLLVNLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVLFIKKTALPLAIDSFMSFSRCGSALELLKVCTNVLEEVEKSYASRMQDWNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAQTLAAQETLAKLVRYRSKI >Dexi4A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:4A:9783106:9784115:-1 gene:Dexi4A01G0011580 transcript:Dexi4A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQIAMDHPVSPPPPGAACDVVEDEPPQPPANARPMPVLISPIVPVPAGGASAGSAAAALRPAFTGVLYTHSHKWPTVVDDPGKKREKWLKEMRGWLMVLAVLAASVTYQAGLNPPGGFWQQDDAQGNIAGTPVLQSKFPKRYTVFFYFNSTAFVTSVVIIVLLMNESFYHSEAKVEALEIIVVLDMAGLMGAYIAGCTREVSSSIYIIVLTVVVFLYVVYTAQFLPKLWGFLVHVPFLHKAAQGSALPVPHEILDTARRGWISGGPNRRRRGR >Dexi9B01G0021510.1:cds pep primary_assembly:Fonio_CM05836:9B:16206240:16208606:1 gene:Dexi9B01G0021510 transcript:Dexi9B01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAVQVVDTCFVSPSEGTPKKQLWISALDNLLAYRGHTPTVYFYRSATDAATDFFSVARFKESMAKALVPFFPLAGRLSLDTDGQLVIDCNAEGALLVVARSDDLSLDDFVDLKPTPELKMLFVPRVEPSSIMLGIQPVRAGRPPRAPKINLSDASTAPIASSRLRPSITRRPSTSARQPHSFRITATGLPLIDEFVDRLPACRAQLPACYRLTPDRIMAARRLIAIADTQGCTIAGSRCGGVALGTAFHHVAGDAISAFHFFQTWSAISRQGHGAVPLAPPSHDRELLRARSPPVVHPDALSLFCRQLSLTPPSGPVVNEVFTISKDRLAALKLAASGGGGHVSTFCAISALVWRCACVARGLHPDAKAHLTLPANIRRSLKPSLPDHYFGNAIIFLETVGLVKDVISESWADTAGRIRRVIGRMDDEVARSAIDYYKLAEGERRPPSGSLPVTEMRVVSWLGMPVYEADYGWGKPEMFLGAGSSRQGLVHLMSDGGDGVRVLVSVEASSMEELGRLLYANLPC >Dexi3B01G0033480.1:cds pep primary_assembly:Fonio_CM05836:3B:36201913:36202768:-1 gene:Dexi3B01G0033480 transcript:Dexi3B01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASSAAAAAPAAAEGKMTMVVGVDESEHSFYALQWALQHFFAPGQAQQYRLVVVTAKPSAASAVGLAGPGAADVLPFVEADLKRSALRVIEKAKELCTQVSDAEFEALEGDARNVLCDAVERHHAEMLVVGSHGYGAIKRRA >Dexi3B01G0024430.1:cds pep primary_assembly:Fonio_CM05836:3B:19064461:19068461:-1 gene:Dexi3B01G0024430 transcript:Dexi3B01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVSSIDTRTSPLTSGSDLPLSPQITGRRSGPARGGSRSGGACQQAPVRSGRRGLLRLAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQNYNEADPVTHQGLDLTRITTRELISKHGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDIEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSAEAETDNPQSELKPGIDLLGQVDELFFDMYDRYEPVNESSLDNCFVSTSYDATTHFETTVTDVLSMYTAITGKTVDLSVDLSAASAAEEY >Dexi1B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:1B:22687580:22688632:-1 gene:Dexi1B01G0016120 transcript:Dexi1B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASEISSDWEVLSAASGCGASASAEDDSEVVVVSGVGGNVLHDHFALASAGHDDGPPGEGPSSEPGDDWQGLELLDGFDPIPTASFDLAAGVWSEQLLPTGGVGEVLEGSILEATVAPGATWSADGSQPEAVGGEIDQEGNAVINHGELGSALQQVHHGLGETLYSDEPTGASLQSDTSESSPVQLDDGETDAVVGSSCLEDAVASDGIHGDQEEQEQGGNINVTSGCEEPADSEAKDSALPLAHTPGTEGGDKQVVVWWRLPFRLMHYCAWKVKPVWSFSVAAALLGLVVLGRRMYRMKRKAKGLPQIKIAFDDKSRTMV >Dexi2B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:2B:24538756:24545680:-1 gene:Dexi2B01G0014720 transcript:Dexi2B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMQSGYKDRDTGLFYVGDGTYTDGGENLRASSEYEGIYQRPFLTVRSFPSGERNCYALPTEAGARYLVRFRIYYGNHDGKNNSRLTRFDLHIGANYWDTADASSYTPWYEAVFMAWASWAPVCLVNTGSGVPFVSTLELRLLGDTLYPSVTASEWMAMYYRANMGSNIDFTRFPSDGYDRFWWQTNFPEWARKSTTETIKEDPNFAEPLVVLQSASTTIGNDTTLNYWWQERKHASLFKVFLHFADFQNSQTRQFDIYFNGNQLNEKPYSPPYLAACCVYTSKWYRTPDGRYNITLAATAASMLPPMLNAVEVYTLIALDSPTTYPTDSLLDPARESKLQNALGSGKGHGDYLKSEYRLFTYKELKKLTNNFKQVIGKGGFGVVYYGRKNGVAEALNWETRLRIVLEAAQGLDYLHKGCSMPIVHRDLKTSNILLGNNLQAKIADFGLSKTYLSDTQTHISTTNLAGTAGYIDPEYQTTGRLTKSCDVYSFGVVVLEVVTGQAPTRMGYGHIVQRVKKQIATGNINSVADARLAGAYDINSMWNVVETAIMCTQDSAAQRPTMSTVVVLLKESLALEEAREKGSSVRASPTTDTAALVSRVGSLAR >Dexi4A01G0022950.1:cds pep primary_assembly:Fonio_CM05836:4A:26182071:26187096:1 gene:Dexi4A01G0022950 transcript:Dexi4A01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTIVDGFRRLFHRRNGSTSNSNQSSVAGEGEEGSPDLEVIEDPDLIGLRAIRVPKRKMPLPVESHKKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPFTQKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFARLANVEREPSRNPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLEEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKDSNNEQHVEDQERSADSVARSTASPPRSEDVDQNAVKSTSLSSRSYLKSASISASKCVVVSNKHPEEDEIPEEMEVAVDGLSEKVSRMHS >Dexi9A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:9A:10193896:10196104:1 gene:Dexi9A01G0015300 transcript:Dexi9A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVVDRSGFQMDYAGVGAGAGVSPSRRRFMPSESLARGVITQGSAQLRTIGRSIRAGATMAAVFQEDLKNTSRRIFDPQDRMLVGLNRAFLISCIVAIAVDPMFFYLPMVTDEGNLCVGIDRWLAIATTVLRTLVDLFFLVRIALQFRTAYIKPSSRVFGRGELVIDTAQIARRYMRRFFAADLLSVIPFPQVVIWTFLHRSKGTAVLDTKNRLLFIVFLQYIPRVRISDCWRNACNEFPGCNRIYMYCGNDRQLGFLEWRTITRQVINETCEPDRNGDSPFNYGIYTLAVKSKVVKSKDTTSKLLYCLWWGLSNLSTLGQGLKTTIYTGEAFFSIALAIFGLILMAMLIGNIQTYLQSLTVRLEEMRVKQRDSEQWMHHRLLPPELRERVRRYDQYKWLNTHGVDEEALVQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLCTEHIYIIREGDPVDQMFFIIRGSLESITTDGGRTGFYNRSLLEEGDFCGEELLTWALDPKAGVCLPSSTRTVRALSEVEAFALHADELKFVAGQFRRMHSKQVQHTFRFYSHQWRTWASTYIQAAWRRHLKRKAAEQRRKEEEEMEGDGKSSSIRTTILVSRFAANALRGVHRQRSRRAGAVNELLMPVPKPREPDFGNDY >Dexi8A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:8A:30040665:30040984:1 gene:Dexi8A01G0017900 transcript:Dexi8A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKKGTGGALLFIAFVVAMAMVISSCHAADYCHAIFPCSDETCTNYCQKNNYKNFQTYCTSGQYYPNCCCRVPDA >Dexi6B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:6B:22514235:22519672:1 gene:Dexi6B01G0015130 transcript:Dexi6B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLVVSHRPALPLPTPHGHLRSRRHHLQPSPNSLSLSLPITPHVTPTTRRHLPPLLASASAAPVANPSPAPKPAAAAGGAKPLPLLLSLAAGLAVRFLVPRPAEVTPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAIVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLTISEAFIAPAMPSTTARAGGVFLPIVKSLSLSSGSKPNDPSAKKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIGNPWITWFKDTPDAPALAAQKLKNMGPVTRNEWVMIAGYVDLPDVFKLGFTTAAINAVIWGVVGAFWWKFLGLY >Dexi5B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:5B:20245952:20247859:-1 gene:Dexi5B01G0018180 transcript:Dexi5B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLLNTERNHLLSPKPHSPRRDGAFSPLRPSPKVMAARAAPGGGLERSLSFKNWEAEPEAAEPAGRGSGGGGGINGARPGTLALEQPPAPEQNPVSPAQAMIDYISPRPRGELDQAATKLQKIYKGHRTRRSLADSAIIAEELWWKTYDSVYLNIKSISFFDGDKQETAASRWSRAGKRIAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSCEPFFYWLDIGAGRDQHHPKCPRTKLYSQLIMYLGPNERAAYEVIVEEGRLTYKQSGEWVNTNEETKWIFVLSTSRSLYVGQKRKGKFQHSSFLSGAATTAAGRLVAKEGILKAIWPYSGHYHPTEENFREFIAFLEENHVDLANVKRCSVDDDEYPSFKKAAPEEAEAPAKSAAHEETINNEVVELPAVDIVKEVVAAETDAGEVDAAGAGPAMMASRPSFKWLTPTGARIGCLRDYPADLQSMALEQVNLSPRVGAAASPGSRLPMPIPSPRPSPRIRLSPRLHYMGLPTPTGASARLVAMPRRSSPKQEFLGFHTPAVELTLPKNKGK >Dexi9B01G0038530.1:cds pep primary_assembly:Fonio_CM05836:9B:39562915:39563538:1 gene:Dexi9B01G0038530 transcript:Dexi9B01G0038530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPVPRSHSKRSGGMDATGTGAGGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKVSSGGSKEAKSPKKAAKSPKKA >DexiUA01G0009440.1:cds pep primary_assembly:Fonio_CM05836:UA:18581747:18583639:-1 gene:DexiUA01G0009440 transcript:DexiUA01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGGRQQDEEGLLVEDVAIVGGLLLVQCVLAGYVVFVDHLLSLGAQPLAVIIVAAVSSAAFFLPFAVALERKRWPSKVSGTLLAQFVLIALGGTTAFQELMLLGIKKTTPAVASAMPNLSPGLIFIVAACIGLERFELACKYTQAKLAGTLVCLVGAMAMSFLHSSSSPAPREEAGGYHYDWILGCSYLVVAAVVFSLVTVLQAVTLARFPAPLTMCSITSAMGAAFTAILQVILQGSLDMGSPKIDAKLTAGIVILGGVVVGWCVVFQSWCLGKKGPLLVSVFGPVQTLCSAILSASILQGQHMLSLGRYSSVSHTAPCLCPLAGMVFMFSGLYIVLWAKRNEHNLLLLDEGGGDDAEKA >Dexi5A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:5A:222708:223683:-1 gene:Dexi5A01G0000300 transcript:Dexi5A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAGGPAGDKKEATTQQQQQKRTRHEGTQASPSSVNATYKTTERVKHRHLRLRLHLHLIPHHHTTPPAAHAQALLARRANSHSMLMLVPTPPPSSFLL >Dexi9A01G0048210.1:cds pep primary_assembly:Fonio_CM05836:9A:51069814:51071473:1 gene:Dexi9A01G0048210 transcript:Dexi9A01G0048210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPLPVATRAATADQQQGTMGGGGGGGVEPRRRAARSLLFLAAVALPCLVLYHAAVARGGVLVRPGGAAVPWRMGVDLKKSEDARLERVLRAAAMANDTVILTTLNSAWSEPGSVLDVFLESFRTGESTRELLDHLVIVSLDTTAHARCRQVHCHCFALLTDGVDFSGHKNFMSDGYLKMMWRRIDFLGQVLEKGFSFIFTDTDIVWFRNPLPHFYPDGDFQIACDHFTGDPNDLSNAPNGGFAYVRSNTETIEFYRFWYEAREKHPGLHDQDVLNSIKRDSYVAELGVKIKFLSTELFGGLCEPSRNMSRVCTMHANCCVGLGRKISDLNAMLQDWRRFMALPREEKHSVSWTVPRNCR >Dexi1B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:1B:3124014:3125800:1 gene:Dexi1B01G0003920 transcript:Dexi1B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEERHGEGDYGDVITMEAVGKLQAGYAWADVASLESPAEGARVRVRGAVAAVRAVGRRVAFLVVRQGAATVQCVVAGGGGGMARFTAGLSRESVVDVAGVVSRPREPVRGTTQQLVEIRVEKLHCLSRAVPNLPISVDDAARSDEDVARAKAAGEQLVHVGQDKRLDYRVIDLRTAANHAIFRIQCQVENVFRQVLLSEGFVGIHTPKLIGGASEGGAAVFKLSYNGQPACLAQSPQLHKQMAICGGFGWVFEVGPVFRAEGSDTHRHLCEFIGLDAEMTLRDHYTELCDVVDKLFVAVFDHLNNACAGELEAIQRQYPFKPLKYSRTTLRLDYDQGIKMLQEAGVHVDAMGDLTTEAEKKLGELVRERYGTEFFMLCRYPSAVRPFYTMPCSDDPRYSCSFDVFVRGEEIISGAQRVHDPELLAAQAMARGVDAASIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPKRLAP >Dexi2B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:2B:5092787:5094554:1 gene:Dexi2B01G0005530 transcript:Dexi2B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPLKLRLRAAAASSSGVLPLDALSEILLRLSAKELCRLRAVSPTWRSLTYDRAFIAAHKARHPEPLLAYAHSDDNHAHSVDIVDLSGQLVKRVPVREDSICVLGTRLELVCVAYRYRKMALMVLNPATGAAVTLPECHSEYTGSGPDERFHCGYGHVERYAFGRVSTTGEYKALRITCRSFRDTKDKVCEVITIDADGSNHGVWREVHKPPSLICTGDFISSRDASPVDEMKYVVVDAVVHFLIDFKSIYFNAMGISVEPGSIASFNLETEEWMPTLCGPAPVRSFLRDNIGMFSYHEVC >Dexi7A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:7A:23449059:23449571:-1 gene:Dexi7A01G0013360 transcript:Dexi7A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRYLRNTGSQMAISVKAVDPPSSRARSRRKRPSRLSRTSQPAAGGGRKAKEKSAERATARARGAEGRHGRVELGAGGRDAAAAASGRQKTPSGGGGAWRRRKRRRKDRVETTRRKEAHDAEARATSRGDGRRRRISAKTSSVSAARSAIDDENGSHGLGRSV >Dexi3A01G0022670.1:cds pep primary_assembly:Fonio_CM05836:3A:18288345:18291339:1 gene:Dexi3A01G0022670 transcript:Dexi3A01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLHPSSARSDMDEIETLARAAPTPVLPAARPSSPARASIPVSSSAPGVPPATAKQLPFSAAVVTSTTVSGSIAVSIASDGFGGPEPAANTLTEPVWDTVKRDLHRVVTNLKLVVFPNPFREDSGKALKDWDLWGPFFFIVSLGLILSWSATAEKSQVFAVAFAVLAAGAIVLTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMMKDSVMLKMVVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGCLIIAVD >Dexi3B01G0037460.1:cds pep primary_assembly:Fonio_CM05836:3B:40166291:40166644:-1 gene:Dexi3B01G0037460 transcript:Dexi3B01G0037460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLKVVDNSGAKRVMCIQAKSGKYGAKLGDTIVGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >Dexi2A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:2A:7858689:7859108:-1 gene:Dexi2A01G0007730 transcript:Dexi2A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPTKKASVIITLVTIMLLLLASCSQGDGGPVTVWCNANSYYVDDPYSVSVVYMLQMLLTNTPWASDHNIYRSFTHNGATAYGHATCSSALDTGACEDCLSFVFHQAATICDRKVGARVVYVDNCTVRYENYAFTD >Dexi1B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:1B:26823687:26826396:-1 gene:Dexi1B01G0020810 transcript:Dexi1B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIFFADQDTTAQSHSHSTATTATREFRNLEIPWPLRPAPPRLQLRSRRSFALPRHPLGIPQLLLRSDGGVVSVTLCVTGMVDEGAPAAVTAIGDRRHKAPAVGGDRIDGDLVAKEGDLAAVAEGADSLPKDCKIPEVLENNGATGEPLGAKPSDLPGLKCNGAAEEGNSVGKGCTLQGFMTGQCTALGLRKGHKAVVPWRFQIGYNPKWAQDLFSGNKSRQTEEPAFTVGDGPSQRAPVMANNRPPLKGSASSGQHSLKVQKGTGSAPKKRKVDQDNHRISTVRQNVLSKLREFRIVYKKLLEDDEVKGTGRGHGVRPDIAAFNIFRERFCADRDDMRYDGSIPGVRIGDVFNSVMELHIVGIHRAQSLLVDHIKKKDGTRLAVSVVSHAQPSAVDNLNFLLHFGSTVATCEQKLGGTDRALEESMETDTPVRVIHALVTELGDEDRCKQLTSYVYGGLYLVEKFQREKTTGGQYVTTFHLRRMAEQQQIDWQVLKTKRPESIDGTFTVDISGGLDKIPISAINSISNEYLMTFRYISQIEYPQEYRPDPPSGCDCVGGCSVSQKCACTVKNGGELPYNDIGGNIEERPLIYECGPSCKCPPTCRNRVSQHGVKFRLQVFKTNSMGWGVRTLDFIPNGSFVCEYIGEILKDEEAQKRNDEYLFGIGNNYYDVPRWKVWTKIIPSLQNGPSEDDDKIVFSVDALNQGNFTRFINHSCTPNLYPQNVLHDDDNISMPRIMFFASEDIPPLKELSYDYNYEIDKVYDFDGNIKMKPCFCGSIECTGRLY >Dexi4A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:4A:24000771:24001188:-1 gene:Dexi4A01G0020300 transcript:Dexi4A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFGHMKESHSRRVEVEGMEPAVFGAMLRFIYTDDVAMAELDEAAVSSYGLERLKVICERKLAGGIDVGTAAATLALAEQHGCSVLKARCVEFIVGSPGRLDAVLATEGYKHLAASCRPLGVG >Dexi3B01G0025350.1:cds pep primary_assembly:Fonio_CM05836:3B:20138090:20138872:-1 gene:Dexi3B01G0025350 transcript:Dexi3B01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIAPALGFDAAAAVSLHRRRGVAAPAACGVMARKPVLRVGAAVSSSAPTKKRSRDGFDGEIGLSEGLAGGKVSAIPAPGKGQKWLEERMRAELDAIRVLHRKAVLLCRGAARSGAAAPVAKGDAKFSAAGLRRKEPLEAEAKRKKTSPSKCAPEAKKQSMEALKQQQQHRRPILCATPPTTTTKRSVVKAVDKAREVEKTRRLEEIAVAREKCRQEVLEIERTRLPDETVYPRDLEELGIDFQYAVTRTWKQAHGPAI >Dexi2A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:2A:30227189:30232043:-1 gene:Dexi2A01G0018200 transcript:Dexi2A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGRSASSVSRPLSIPVIDEPTNERGRPAESYCPDGRSQPLVIHACIGWRAVVCELSTEQSSSWAYSGWRSTTQQRAARRALAYSVYLDDACRHMVDLAGRAQQGGTSWLTERQYWSWQATLDEPYIYRVYGKQHSAKQATSSSRVPAVKKAGMSTSQAQPTETSWASCQDRGRWPPVTQIKDEPHMAKLHPRAVYARVRVAGNAGRGGDHPSLSIPNSDMTRARIGAQEGMHACARAQPFRPPGHRVQTNELSLHEYDDDEPYQARLPATRLRTASSKSQHYGLLEAVRPKGRTTWGSSVRRQYEEEERNQDQGVQALHFLFGDRREDK >Dexi1B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:1B:776656:778254:1 gene:Dexi1B01G0000910 transcript:Dexi1B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNGAPGSSLHGVTAREPAFPFYTDEDAADAPPGKSSFSLPVDSEHKAKTIRIFSLANPHMRTFHLSWLSFFTCVVSTFAAAPLIPIIRDNLNLTKSDIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVIMLAAPPVFCMSLVSSASGYIAIRFLIGVSLATFVSCQYWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVYEGILRCGVAPFQAWRVAYFVPGLMHVAMGILVLTTGQDLPDGNLRNLQKHGDVAGRDDFTKVLRHAVTNYRTWVFVFVYGYSMGVQLTTNNIIAEYYYDQFGLDIRVAGIIAACFGMANLVSRPMGGVLSDIGARYWGMRARLWNIWILQTAAGGFCLWLGTARQLPASITAMVLFSFCAQAACGATFGVTPFVSRRSLGIISGMTGAGGNVGAGVTQLVFFTLSSYSTAKGIQNMGVMAMVCTLPLVLVHFPQWGSMLFPASDGADEERYYASEWNEEERSVGRHSASLKFAENCRSERGRRNAVLAAAATPPDNTPEHV >Dexi1B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:1B:9264950:9266478:1 gene:Dexi1B01G0009890 transcript:Dexi1B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDYILFWIADSSIPDITLCLWCCTRGLMCMHRLNIVHRDLKSANCLVNKHWTVKICDFGLSRIMSDSAMDDNSSAGTPEWMAPELIRNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPDGPLGNLIAGMVFVPSLKGLLIDCWTEPESRPSCQEILTRLLDCEYTLC >Dexi1B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:1B:24928138:24932246:-1 gene:Dexi1B01G0018750 transcript:Dexi1B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATSSSASSSSTTTAAAQNPNPFNLNINLPPWLRELRCPLTFLCQPPPQPSPPPPTPPPPPPTPEPVVPRTRRLPSLRVTTEYDSEEGVFANKVSCKLAGGLAKLRLSFQSDPQGQWQGGGEEGDALQQLFSRPLLGLITKHFSVLYDIEERNALLRGDASLPGGAVRLRASHDVKLQQGEISVITRLGDPLYKLELSSLVPYSGLPRATFHFPIGQISVEERRNEDEEKVLSVYGIAKSEFLDGVLTAQYNENNVNLRYCYKDNELTLVPSVSLPSNAVSIDFKRRFGPSNKLSYRYNFDTDDWNAVYKHTMGKNFKVKAGYDSEVRVGWASLWVGEEEGKAKTAPMKTKLQLMLQVPQDNFRNPVFLFNVKKRWDL >Dexi7B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:7B:23463331:23463639:-1 gene:Dexi7B01G0017550 transcript:Dexi7B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHLACAFFFDAEPIGEPGVPALDACALCSKPLGRDSDIFMYRGDTPFCSEDCRDEQMQFDAIRARQAARSTGRRQQYSSATEARCEHQETRKVSAVAS >Dexi3B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:3B:8703406:8707238:-1 gene:Dexi3B01G0012430 transcript:Dexi3B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGILRLYLVSALALSSCSLSLASDQRNADRVVRLPGQPESPSVSQFSGYVTVNERHGRALFYWFFEAQTTPEEKPLLLWLNGGPGCSSIGYGAVSELGPLTVVRQGAALKFNEYAWNKEANLLFLESPVGVGFSYTNTSSDLDSLNDDFVAEDAYSFLVNWLERFPEYKNREFYISGESYAGHYVPQLAELVYERNQNKKGKSYIDLKGFIVGNPITNDYFDSRGLAEYAWSHAVVSDEVYKSIKKYCDFKNSNWSNDCSATMDIVYSQYQEIDIYNIYAPKCLLNQTSASSAVQAFFENGQDQFRRRIRMFSGYDPCYSSYAEDYFNRKDVQRALHANVSGLVPGKWRACSGDADGRVPVIGSRYCVEALGLPIKTQWQPWYLDKQVAGRFVEYHGMSMVTVRGAGHLVPLNKPAESLTLINTFLRGEQLPTQR >DexiUA01G0026700.1:cds pep primary_assembly:Fonio_CM05836:UA:57111416:57113547:1 gene:DexiUA01G0026700 transcript:DexiUA01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPCSSQTNVEEQIQSLTAVVASPSATIKTIVDGLFKLGSIYSCIDELIYFPSSQRKAVEEELECSLVLLDLCNIMQESFAELRTSIQEMQLALKRGDNVVVQAKAQFYARLVKKTQKQFKKINSKVVLGTHCCRVVKLLSEAREITLSMMELTLHLLSKEIVMPSASKWCLVSKALKKRVAFEEEQLQIGEVYSCIGELAGLPSSQVTRQRKAVEEELERSLVVLDLCNTMQGSFGELKESILHMQLALKRGDDAVQAKIQSYIHVVKEDTKAIQEDQQEVHPS >Dexi9B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:9B:4172469:4173014:1 gene:Dexi9B01G0006920 transcript:Dexi9B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLSSKRSFKLPFMCGQSDVASPRGAAITRSSSSSFGRASRSASSSSSSSRHCELQRIFQHFDRDNDGKISGAELRAFFVSMGDDMPSSCGDGGGYMLDFAGFVALMEREGGQEEDLRRAFEVFNAVESAGRITARGLQRVLAQLGDKRSVAECEAMIRAYDVDGDGGLDFHEFQRMMS >Dexi2A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:2A:22497066:22503254:1 gene:Dexi2A01G0013770 transcript:Dexi2A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDEAAGVAAETMKKPPAAKVKAAGKGKAAGPKASASAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERVDEALYDDFESEKAREKRLAKEARFQETQAKNAALGKKVKEAPAVRGKGRGEAAFFKVTCKALIWSKMSTGLPIEIKSSMKGQNYVSFCRLDIDIHKNVPHVHLHEKRENKTHWHGAEIQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDAADILVSREMGPDFSPKMTVKSLTSQQLVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATHASSPQVFEGHPFIVEAGISIGGKDVKQGLNIFRFANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEISSAVKSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLVEIADKSPPKRPRYDKEDEELLEKINSEDVTEMTFKDCLTQHVEQVDYEMALDYAMQSGVSEEPREAIYLNSLEGSYKFVDFQSPVFVFRFVP >Dexi3B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:3B:1950413:1950757:1 gene:Dexi3B01G0002930 transcript:Dexi3B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTEPIVSYLQEEAHRGGGFGGVPASAAAVAGLDKQTFRATAGGCAGGGGTGCAICLEGFDDGEEVSVMPCSHRHGFHPVCITNE >Dexi2B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:2B:29514912:29517090:1 gene:Dexi2B01G0019370 transcript:Dexi2B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPGGPTPRTRTRGGLAASAPSSRRLSSISYTPNPNQKKKVPDPPKAIMLAEKQAAIEKLEWQAKVSNTKMEELQVDVASMDTEVSALMKLFRKITENDRAPSPRDRTDDLSLEWEPIQLNDEDGDIDVEKMEQEMSAYISALAAAKENPTDEFLEAVTEARLRLQAFVL >DexiUA01G0010360.1:cds pep primary_assembly:Fonio_CM05836:UA:20624669:20625526:-1 gene:DexiUA01G0010360 transcript:DexiUA01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAAPERGRRILVAVDEGDESVQALRWCLSTFAPAARGDTVILLYVRPPPPTYSVLDASGYVFADDVTAAIDRYSREVADAVVEKAQKLCTLYGKEEGESDHEMKVEVKVAVGDARTVICHMADKLGADLLVMGSHGYGFFKRALLGSVSDYCLRNASCPVLVVKS >Dexi7A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:7A:17292045:17296031:1 gene:Dexi7A01G0006010 transcript:Dexi7A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPEPEPPGGATPEIFAAGPGVAVVRRAVVIGNGCAGAENQCLGLLRALGLVDRFTLYIQHPRFHLDRFDLVVTPCHDYYALTAKGQQEIPRLLRRWITPQQPPGPNVVLTAGALHQADTAALRFAAADWHAELAPLPKPLVVVNIGGPTRHCKYDVGLAKQLVSSLQNVLKTCGSVRISFSRRTPEKMFDIILKEFRTHPKVYIWDGQDPNPHLGHLAWADAFIITADSISMLSEACSTGKPVYVIGVEHCRWKFSDFHNRLRERRAVRPFTGSEDMSESWSYPPLNDAADVAARVREVLAKRGWTLS >Dexi9B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:9B:445277:447292:1 gene:Dexi9B01G0000720 transcript:Dexi9B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRSGGGGGGFGGAAWEVLRRYFSRKRSVDVRRINPKVPKEEAVAISSRLLQILTDHGPLTVGNTWNHAKDAGIAGLNSKTHMKILLKWMTGRRIVKLTCTHVGNAKKFLYSPYTESADAPKEGSSSSSAPPETDNASAQGRKGRATRGQPKKQAAVLQ >Dexi5A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:5A:25224823:25226292:1 gene:Dexi5A01G0021380 transcript:Dexi5A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKDEQRPLHILFFPFLAPGHIIPVADMAALFASRGVKCTILTTPVNAAVIRSAVDRANADAVTALPIDLAVVPFPDVGLPPGVESGPALNSEADREKFFQAIRLLREPFDRFLSDNPTDAVVSDSFFDWSADAAAEHGVPRLAFLGSSMFARACSNSTLLHNPLEAAPEDPDALVLLPGLPHRVEMRRSQMMDPKKRPDHWSFFQRVYAADQRSYGEVFNSFRDLEPGYLEHYTTALARRAWLVGPVALASKDVATRGANNGGLSPDADACLRWLDTRPAGSVVYVSFGTLSHFSPPERRELARGLDMSGKNFVWVIGAVEEEDPEWIPDGFAELLARGERGLIIRGWAPQMAILNHAAVGGFVTHCGWNSTLEAVSAGVAMVTWPRYADQFYNEKLVVELLEVGVGVGSTEYASKLEARRVIGGEVVAEAIGKVMGGGEGAEGIRERARVLGEKARRAVEKGGSSYDDVGRLMDELRARRSSVNA >Dexi4B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:4B:9261832:9262564:-1 gene:Dexi4B01G0011750 transcript:Dexi4B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQPPETERPSTEDAQPKGILERMHKYLLLLAILAATVTYNAGLTPPGGVWVDDSDGHIAGDPILQARYPARYGVFFYCNATAFVASLVIIVLLLSSSFSFHGYRVRALQAAMLLDLLGLMGAFTAGSCRKVRTSAYVVALVGAVVAYLAAHLVVHFWVRSNRCPSRRQEVVELLNLHRLCLCCFGCGQKEENGAGAQVALRGTSV >Dexi9B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:9B:13882229:13885053:-1 gene:Dexi9B01G0019300 transcript:Dexi9B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEEATSTSSMAAEEREEAARPSSCAAPEEQAVAAAAAEEEALEDALTDEQLLEGKHDETIKECTKALELNPSYLKALLRRAEAHEKLEHYDEAIADMKKVIELDPSNQQATRSLFRLEPLAAEKREKMKEEMIAKLKDLGNSVLGHFGMSVDNFKAVKDPNTGSYSVQFQK >Dexi7A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:7A:24732294:24733099:-1 gene:Dexi7A01G0014770 transcript:Dexi7A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTLTPKTESARNAEEARPSAKLISRKHASEDAWDHEVVNGVGAEDAEGVGLLRHLHGAELGGERGADAAGGDYGRDDRRELAREREREDAADGSVEAEAGELAHELDGERHADEGGGEERDPGAPGAHAAELRQEVAAVDAAREDAVEDLAREEQRREPPPDRARRGPILEQGRRGRGRRRGGRRGRRRGGVVAVAGGGGREGEARNGQAAAGRMQAEEDGFGAGLVEECVWRGGCGSEEERGGGRHGGGPAVVGRRSARR >Dexi7B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:7B:14386781:14387648:1 gene:Dexi7B01G0006650 transcript:Dexi7B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGWPESANTWEPLENLKACSDIVDAFNERSRSPRSSRKRKRKTTTNLTSDPNPSRGKRGRPPRSEARSMTEHHAPEPKKLPCRTSSRRTNNNGSKTLFGELEASVDVLRQRVALEGSSGVVSVGFPSQGAPLSVSLTDQQDEHHPANGSSKVEIRVPTPPSLGGQITGAKKRKSGCIRRFKQDEAAAQEQGGIRDRTSDKPGNEYVDSTEGETGDKNKGEDSASHIQNPKIVKIIKPVRYFATVLDGVQQVAITFKALR >Dexi5A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:5A:22697618:22699694:1 gene:Dexi5A01G0019180 transcript:Dexi5A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEGGPMTYNQVEEFFNSMEGPSAQERIDYIFSSISSLLPPPFVPAPATAAGDDSDDERFSLTSSDSEASDDGADPPAAHPAALGDGVDHISRLPDALLSNIISRLATKEAARTVVLSTRWRGLWAATPLLVDDAHLGGADGPNDIPPVRALSRCVTAHPGPVRGVRVTRVSFNSHEYALGRLVADLADKDVQDLILFNRPWPLNMPLPEDILRCASLERLYLGVWQFPKITSARPPLFHKLRKLGLFHCIVRNEEIDALLAHCPKLEILSIVMAYGSPSRLRIVSRSLKVVMDWNSTLDEVVLQDAPCLERLILQTMDTRRPIKIVGAPRLEVLGFLDLKLHELQIGGIAIKAGMSVRARATVPSLKILAVTVRFARNQEAKMLPTLLKCFPRLEALHVLAIPSESVNSGHDLEFWESLGSCECLESHLKALMVHGCLVHNNEIGFLQYIIREGKALKAVGVDPSPENKVAIDLLLASFCESNATSGDVSSGDIFHANLNSRFNFQNAIDVTLDNPFCVDDVLV >Dexi6A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:6A:20959:25321:1 gene:Dexi6A01G0000040 transcript:Dexi6A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAIAPRPPGEEEDVEEEEEACRICHLPAEAGRPLRHPCACRGSIRFVHDDCLLRWLATRRSGLASRCEVSFPIQFEQVCKRAITMVPVYATNAPTRLPLPEFMLGLANKLMAWMLLLLSLLFVVCVWEFLMPLTTLWVWRLALSRTLAQVRQLLSIRAAAAFSTPYALRFMPTPDTVLACVSIRRAFLREFPNIRQLNAPARIVADALAPVALWVARVEAHLQRRFGGLDTLQVLALHTVEASLMVVICDVAFAFLLGFIPFSLGRIVLCCTSCFSFGTVDVARSYTSTASVLLVGYGFILMAGLLLTGLHTFQQYSRGERLTITIYFDVFTDLVRWLLSPLRMLPSIHGMLDRTCSFLQHFFWTIISLANVSLNLAATLVMCPLFLGWSLDICTSKLFGARIPQKLQLPFAPSFISTALHWLAGCIYLKLQSSLSRLLRQVLRIGVSSPFLHINLGQIKIGEPFYKLYFKILPGLFLSVMCFALAILVPVEIAFYLAPTVFPLDIIYFDPPIQGTILWQATRNYAELLSGVLLLKFLFCNALRYLEPGVLLQKVLRYWFATTGGALGLSDLLTAQPDGTGEFEVGNSTTPIDQHDRTAEAKEKRRSVAVRMALLLMLAWLTVVIFNATLLVAPVSVGRALLFGISQLPVAGALKSNDLFAFSIGVCIFSTVFAASRDVFAYMISARTHLLASIVCNWGATALKSFPLLFLWAVIIPFLIGLLVDCLLILPFVVPFDEVLVLDFFCTWFLGLQLLKFWTKLVRWTRIAPFLAYFIDERWDEKLTRAREDGFSGLRAMWVLRVILMPIIVKLLSALCVPYVLAKGIARFGYPAPLNSTVLRFAWLGSLAMCVLCYLAKVLCRLVVRLHDSIRDERYLIGQRLQNYTDSM >Dexi6A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:6A:22820727:22821171:1 gene:Dexi6A01G0015390 transcript:Dexi6A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTPVLLLPLLVEAQGAKLLQLFLPRHAVLHADCPKAPSCSGCCSPGSCPSCLCCGCAGCLGALGRCLSSCCDGLRPSCCKCQSSCCEGKPSSSCCRGSCLSGPAAPSCPECSCGCVCSCPRCKGGCRCPSCGNNPCCAGGCLC >Dexi9A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:9A:7615536:7620257:-1 gene:Dexi9A01G0012030 transcript:Dexi9A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVAPVKMNFHIYATCVIPISAFFASSL >Dexi1B01G0024170.1:cds pep primary_assembly:Fonio_CM05836:1B:29582360:29587840:1 gene:Dexi1B01G0024170 transcript:Dexi1B01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVSLVSIVGCPELHPSISAALSSQQPPMNTLALPDFSKASILARSGKARDPLAPPQAPAGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSAIQGKNTKLVVVLVQAQASDELSEDVTVALRKRAEIDSRHMIVLVEQDETEWSRSLSKLKNVFAELCSAFYKEEGRRIKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGVRVLREMIGTSTRLPPTQRLVEIKAVAEQFHFKISTLLLHAGKVVEAILWQFLVFGELIETSAATVPDTLSPRFGTADNALTEWEFQPAYYYQLAATYLREKRYAIECSSSVANLTTEASGIPESVMPSVYVGQYVRLFEQGDTVSVLPLSDTEYTSYALSEAESRGMAIEYYAAGDFSNAKQLFDGVAGQSGKLECLSVKATINKHLVICCHAESPASMEDFPLWKFEDHVETLPTKDNVLAFSGQKLIQVEEPDAQVDLVLNSAGSALVGEIFIVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHDVELLGVSTVDEIALRFPYVCTQ >Dexi3A01G0032060.1:cds pep primary_assembly:Fonio_CM05836:3A:36720634:36721057:1 gene:Dexi3A01G0032060 transcript:Dexi3A01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWRAGWLLVGWLCSLPCGSSIQKKESEGGDGPVQLSKFLGRDKEKEEGTQRSAISGKKLEKTKEDKAAESKRNELLKFLNASYD >Dexi7A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:7A:26729110:26729589:-1 gene:Dexi7A01G0016900 transcript:Dexi7A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSCAMKPSSMFYVHEADVVQIHHFLEECSLCAKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHALSARSKEHRHHHHHHHHHHQQQQPQPRKAGMDANPWVVRTPALRV >Dexi4A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:4A:2812072:2815277:1 gene:Dexi4A01G0003920 transcript:Dexi4A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVGGRRRRPAVDDRYTRPQGLYPHPDIDLRKLRRLIVEAKLAPCHPGADDPRADLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKTLNYAVEYRGVKTKEEKGIEQLEEQRVIEAQIRMRQQELQEDAERMKNKQTAASTEAEPTTIVESFDTDGTSETVASDVQGNNALSSQVQHSELVLRNPEALKHMRGNNFDMDLEEVMLMEAVWLSLQDQEALGNPGCVGTTPPSIPSRPCDSSMSTTPEAASSGGFACAVAALAEQQHMHGESSSTPTCHTTRFAILSRSDRSSTEDMSVTGSSSSGSSRVEEPSNSRTHRTIQGAEYCNDRWSEIAEAGTSHGSDVTANSAATLGSGIAPGSIPESFEEQMMLAMALSLVDARARSNSPGLAWR >Dexi2B01G0021640.1:cds pep primary_assembly:Fonio_CM05836:2B:31368619:31370998:-1 gene:Dexi2B01G0021640 transcript:Dexi2B01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALGFAAAAVLVVLLLGAVAPAAAAEVVTRADFPAGFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHDGYSNDNATGDVAADQYHKYKVSCQ >Dexi4B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:4B:4541524:4542187:-1 gene:Dexi4B01G0006470 transcript:Dexi4B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERDAVIIPQARYALHRYNAKHPGEEFDVVKPLMEASVTFKGQVWYHVNFWARCRKNKKIKRFFADLSAQTCHFQSQELRSHRAPAAAPAQTCPCKSRNLRSHPPPAASAQASHFHSQFPSLKHAVSSKSLLAGTGGAVHSVAVTWAFCTPWV >Dexi5A01G0028830.1:cds pep primary_assembly:Fonio_CM05836:5A:32037995:32038975:-1 gene:Dexi5A01G0028830 transcript:Dexi5A01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAGGGGGRGGRWRRGLQVAVVTGRAAASSLPPTAERCTDEAGGSGEGPERGRGVEPARRVEGWSRGLLCFSGTVEEICCSPISRQRLALLS >Dexi2A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:2A:22212798:22217618:1 gene:Dexi2A01G0013600 transcript:Dexi2A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLGVAQWCGARGQDNRAVGGGRCSGEAQLLGHQAQYSGGWTSGALSPLAMQPQDGVVITALDGEANEPRAACRWLRVQDALNFLFLFDVKAAVRTMGASVTTGMQMLATRPCIPACKQVLGSTSALSAFGRAFSSRPGFTSCSKPKPAGPLISSNCKRVVVRAMSQRGAQGLPIDLTGKRAFIAGIADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIAKVYPLDAVYDTPDDVPEDVKANKRYAGASNWTVKEVAETVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAYEAGRKAKVRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLAGQISLLSDSMFFLFQKKKKTGPPVHLICSLKVSLPV >Dexi2A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:2A:14058155:14058729:-1 gene:Dexi2A01G0012100 transcript:Dexi2A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPGAARRSTYSLLSQFPDDAAGPAAPAANVLQRQSSGSSYGAGSSISASSDYPFHLAPPASAAAAAPAGSAAAAAPGGSSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDPGSGRGSGNGRAFPLAQPAPTAESLSHRFWI >Dexi2A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:2A:28876985:28878466:-1 gene:Dexi2A01G0017130 transcript:Dexi2A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSYLGRAKSAASFLEHGWMERDFLAAIGNEQQHPHQEKPGGREESEFVPNPISAYFGGATAAGAPAMDWSFASKPGAAPSLMSFRSAAREEPAFPQFSSFDGAKNPASRILTHQRSFGESPQYAAVHRAQQPQHALTGARVIPVSSPFNQNNPMFRVQSSPSLPNGVASSGPFKQPPFTMNNAVTNSTVGVYGTRDVVKPKTAQLTIFYAGSVNVFDNVSAEKLD >Dexi6B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:6B:25543426:25546701:-1 gene:Dexi6B01G0018600 transcript:Dexi6B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPPLPGDAGGRDDAAAGDQPRVYQVWRGSNEFFLQGRFIFGPDVRSVFLTMFLIIAPVVAFCVFVARHLMNEFPDSWGVSVMTTYENFRYRYDRRDNPYNRGILNNFLEIFCSAIPPSKNNFRARVTLEQVLQQTRSQSRGGFMSPNMGKPIGDLEMGRKPVAWDEPRTAADISELEAGLGGMFEEKEGRITHASPDLSRDELPAEFVEGRAGTHSRESSFIRRTTDPMEASFAVSEANAREEANGGNNVARSGSH >Dexi1A01G0027810.1:cds pep primary_assembly:Fonio_CM05836:1A:33516956:33519043:1 gene:Dexi1A01G0027810 transcript:Dexi1A01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPPGQPLAYLLPSDPPASRPPPGDRQERPAGDDGREELQLHPDAAADLGDERRSSPVRPPALLPLLPQDSVVERGRSLGAQQHVPAPDGHRRLENGHHHVPELEIKAGEHFSLSNGGGAAGEAVVVAATMGANATKQQEMKGDSGGGGKKPPRGLPGMLKEGSRCSRKNGRGWRCSQPTMWGYALCQYHLGKGRLRAAATAAALRVGAGQLGRTENGKKASAVVAVAPTAPLPPLPEVPPPPPPPKAEVAPPSVQNC >Dexi9B01G0035600.1:cds pep primary_assembly:Fonio_CM05836:9B:37177882:37178134:1 gene:Dexi9B01G0035600 transcript:Dexi9B01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQETLRLYPAGAFVSRQALQDLKLGGIHIPKGVNIYIPVSTMHLDPKLWGPDSSIQIASLMLNHSYIHTCHLVLGHGPA >Dexi3B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:3B:11766797:11767285:-1 gene:Dexi3B01G0016230 transcript:Dexi3B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEGAPGAGIEGKEQDRFLPIANIARIMRRAVPDNGKIAKDAKESVQECVSEFISFVTSEASDKCMKEKRKTISGEDLIWSLGTLGFEEYVEPLKHYFKLYREVSIKPPPLFSPRVVYCCI >Dexi1A01G0027030.1:cds pep primary_assembly:Fonio_CM05836:1A:32908190:32912778:-1 gene:Dexi1A01G0027030 transcript:Dexi1A01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAAGGSEAEGAPRMAKFLCSFGGSILPRPVDGCLRYVGGDTRIVMLPRDISYADLAARMRELYKDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKVIASGEVFTRLRIFLFSQNLDDDAASAVAHYNVDERETERRYVDALNNLGDVKSPSSPVSVEQLFGIGGNDSGIPDFAGLRHLNVPRPPQNQRYGDMDSPWSPAYVSPGQYAVHDPRDFPISPSSARFQVGAEDFDERIPDDFVRQSPKYRHYEAHSPSHMDNLIWLPPGAVIQQNAGFPGNLSRSNNFLDGNSICDHCRSPFHKGQGSVTDPRYVDPRWTRPAQQHFDQPSMTNEYPGHSSNSCSDCCRPGEHYVVGQDVRMENGAYVKEQNGGHPPMFYNESHSHERVWQAHASQTHQRYEDPRLHLPGNGRVIEPYLVDTNSVNSTFAPNKVYEMHSASLGRSSHESPHYFHGSSELINDPYHNQQVGGSGSYVQPGGFEESSGQHYNHSSTYGADSFYQMQQNLPPIQSLRRRENSPVHTGSPYDSPHLPMPNGSINTNYVRNTGDISPRIPGLPAYDRMPNPWAPPNGSIPYRVVGHDVPAAMENTIALGPRSNPITSQYVQHFIAPEPIQHQHGAPLREVNPDRAYAENMPSSYVDGRVAVAVSPLTDQLSRLDTNNMKKHEGPEDKSTQNVIEGTPLHAVEEPSTLPHHVEAVHEVDPKLGKPTEHESRTKQHEAGATALQECGDISEDRLNFLPELIASVKKAALEDATVTHVAQSDANAAVSPVPDDDDNKKNLDVATAANTDATQDSDLHGSNDQKKSSKIESTTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERQRLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPSWCDPEWKGLMESCWSSDPTERPSFTDISQKLRKMAAAMNVK >Dexi1A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:1A:2550782:2551160:1 gene:Dexi1A01G0003530 transcript:Dexi1A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVLRIDPLDLMSARTNPHMAFLTYSRSS >Dexi2A01G0027670.1:cds pep primary_assembly:Fonio_CM05836:2A:39002232:39002501:-1 gene:Dexi2A01G0027670 transcript:Dexi2A01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAWDRTGDLRRHRSARLAPFSSAQLPLTYARLGRPPLRPHSAAAAGLLHWPRWLATAEETRRRCPVWLDAQPERNFMLVSFGSRDSH >Dexi3A01G0032640.1:cds pep primary_assembly:Fonio_CM05836:3A:37506292:37510001:1 gene:Dexi3A01G0032640 transcript:Dexi3A01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVIPYRFFLPRVHLLLGCCLLRVGSGRWSGLGLGGRVGGSRQRRAWILLLGSRGAGLDGFSWASTARVWFCGAVANLQLFWAASLQSSVVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSDRLGCTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVSFCCLFVIYFFFLFPTGLSLLPLVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCITAA >Dexi6A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:6A:7930389:7938433:1 gene:Dexi6A01G0007860 transcript:Dexi6A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSLNSMQTSTHGGSIAMSVDNSSVGSNSDSRTGMLGHPGLRGPVVGSYSVGNSIFRPGRVSHALSEDALAQALMDNRSPTETLQNYEEWTIDLGKLHLGMPFAQGAFGKLYKGTYNGMEVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSLKHFLSKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELVTGSVPFANMTAVQAAFAVVNKGVRPQIPPDCLPALGAIMTRCWDTNPEVRPPFTEVVRMLEQVEMEVLTTVRRARFRCCIAQPKTRD >DexiUA01G0004130.1:cds pep primary_assembly:Fonio_CM05836:UA:8097474:8106708:1 gene:DexiUA01G0004130 transcript:DexiUA01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPPAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi1B01G0030610.1:cds pep primary_assembly:Fonio_CM05836:1B:34528249:34528845:1 gene:Dexi1B01G0030610 transcript:Dexi1B01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNSAGALRLDVARGAHPLPASRAMAAEKERKKRRPQLPAWAEINQTEIPQAESKKKSTKSISNKPNPQKIKEQKSKKPGLRSSSTRRRQRVRGGPQGRAAVSSHRPPPPGSAAPPTPAAGGAPGPSHGGAAAPERGEVGSRGCAKQKLHGFHTLHAYLHVPQQQLRLQQLPHAWGEAQRRHGDASSGGSERDDTEE >Dexi1A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:1A:6629521:6629981:-1 gene:Dexi1A01G0008510 transcript:Dexi1A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGVADRGSGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWLCSCGAHNFASRSNCFKCSAFKEDTAVNSGAGGFDGDMSRSRYGFGGGGGGGPARTNRPGWKSGDWICTRI >Dexi5A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:5A:1746797:1747534:-1 gene:Dexi5A01G0002510 transcript:Dexi5A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLCAVERRGRVHVITLTGAGEHRLSPALFSAIRSAVAALRAAAGDGGAGALVLAAEGKFFCNGYDLAWARAGPSPADRISAMRAAFRGLLADLLALPMPTVAAVTGHAAGSGCALALAHDAVVMRASRGFLYMSEVDAGIKVAGFVGELLREKVPDAVARRDLGKKMTAAEASRRGIVDAAVDGGVEDVVAAAVAVAEDLAARGWDGETVAEIRKVTWPALWSKVNDCGGEAPARPRL >Dexi4A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:4A:5448444:5449310:1 gene:Dexi4A01G0007350 transcript:Dexi4A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTSKEDDMLAAYVKAHGEGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISDEEEDLIIRLHKLLGNRWSLIAARLPGRTDNEIKNYWNSTLGRRVVIPGTCSSHATAAPPGSCDAGQNAAAAAHPDSAGSAASPAVWAPKAVRCTGRSLFFHHQERDETPTTGGVGGTSSGEGSSEDCSSAASTLLAVDDEPCFSGSGGDGDWMDDVRALASFLESDEEWIVRCQMEEQLP >Dexi6A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:6A:21526429:21528224:-1 gene:Dexi6A01G0014120 transcript:Dexi6A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLTKMSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVTLIKEYRGKIETELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEEAKEAPKGDAEGQ >Dexi8A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:8A:22389686:22390201:1 gene:Dexi8A01G0012680 transcript:Dexi8A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKGQRSNPVVVGNSMSMAEAVASELLRRLERDEIPVEVAGAQLLKPPRRARLRWGCVANAWDSLPVGSRSSSFRDSSSGGGESSSSCLESSAAGDGACATSSDETSPAPARSTAAASGCTARALPRSSAARGLCFCCWWAAAVLHDGCWWCCCWRAAAAPGMGAGCWR >Dexi3B01G0034130.1:cds pep primary_assembly:Fonio_CM05836:3B:36898744:36899325:-1 gene:Dexi3B01G0034130 transcript:Dexi3B01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPIFYPVSWVVSEIPYFLIATLAFVGIGNGMAGIATDTATNFLAYWSVLFLFTLCMTYFGMMVTFLAPSPVLAAFLVSIITSMWVSASGVVVLFSDIRFYKWMYWTNPFQYAMSTLTTISFYCDTSLCQGQSLSQERISTDVVTLAGMCTTFSMLAFLFFIVLKHNSPNAH >DexiUA01G0008040.1:cds pep primary_assembly:Fonio_CM05836:UA:15090330:15091975:1 gene:DexiUA01G0008040 transcript:DexiUA01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSQMALLAIVTLGALLLNTTTAATAASSRRPHPLDPLSAAEITAVRAAVLASPLVPARPLRFHYVGLDEPDKPDVLAYANASAASPPPLPRRAVVIARAGGESHELVVDVTDASSSTVLSHAVHRGAGFPALTLEEQFTALALAPAYRPFADAVRRRGVRMDDVVCSALPVGWFGGAAPRRRVAKLQCFVAGDTANYYARPIEGVTMVVDLERMAIVGFRDRVAYPVPKAEGTDYRTGKTGPPLPGQPAPGVFVQPEGRGFHIDGHVVRWANWEFHLGFDIRAGTVISLATVNDADAGLRRRVLYRGFVSEIFVPYMDPTEEWYYRTFLDAGETHLGLWAYPLQPGSDCPANAAYLDGYYADQDGNPVKGGKMICVFERYAGDVAWRHTESGLPGQLVTEVRPDVSLVVRMVVSAGNYDYILDWEFKTSGSMKFV >Dexi7A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:7A:27493163:27494587:1 gene:Dexi7A01G0017990 transcript:Dexi7A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDLPDRPSPDRCSRLSSEPDKPLLRRCSGCLTELGTSSKRPLSGSNNCSGRAAKRPAVQQKQKHLYLVVDDWERGYSIRKVDADASLDEPPPVVRIEAQHGLSWSFASHGSKIFAIPSLGVTVCPSPLGRSSVKRCKPVYASVAGDRLVALLYPHLEVLGPAPPPPPLGEAEKPWAWTEIQPQPPTPFASCLVSGYALHPDGRTVFMSVKAWRDYAKSTPIFGDRNSTFALDTESFEWSYLGEWLLPFKGRAEYDSELDAWVGLCLYNEGAGHVCCDVPPAVGCQTMPAWKLGRDKLFDMDVDSDTDTYVGATLVYMGDSRFCLVECRRPEGYELNRSLRDARELNMATFVVKYDKEGDLRTSKHRPYGSMTYQMAHEHAVDPSQNPVAFWM >Dexi6B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:6B:26817753:26819802:-1 gene:Dexi6B01G0020340 transcript:Dexi6B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLTYLLEPASLALIATAISVAYASASRSLDYGKEMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTTVASAMALFFCLSPYIMYLKSQFNLMDPFVSRCCSKSFTRLQGLLMLFCITTVLAWLVSGHWLLNNVLGISICIAFVSHVRLPNIKICALLLVCLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRNLLGGIVPGSSPGDYMMLGLGDMAIPGMLLALVLFLDNRKHKDVNVPSDVSPSKRRNYV >Dexi5A01G0032610.1:cds pep primary_assembly:Fonio_CM05836:5A:35086045:35086395:1 gene:Dexi5A01G0032610 transcript:Dexi5A01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDVAVAVGKGEGKGKEARRGDGARGWAGWPCPSRLPGPSALGTRPVDAAVAVSQKKLYKGRDSHVRCFALCSAWESAKPIDVPSPASLHIATLGGHGTNWVAALYCGLVFGNHN >Dexi9A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:9A:10199954:10201343:-1 gene:Dexi9A01G0015330 transcript:Dexi9A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGGAMSIGWAARDAGGLLSPYNFPRRVPRDGDITIKVLFCGICHTDLHLIKNEWGNAVYPVVPGHEVVGVVTGVGSGVTKFKAGDTVGVGYFVDSCRSCHSCVAGHENYCPDVVLTSNGVDASSSSTTTRGGFSDTIVVDHRYAVHVPPSLPLPATAPLLCAGVTVYSPMVRYGLNAPGKRLGVVGLGGLGHMAVKFGKAFGMEVTVVSSSPAKRDEALTRLGADEFLVSRDAEQMKAAAGTLDGIIDTVSAGHPIVPLLELLRPLGTMVVVGAPSEPLQLPAYAIIQGGKRVVGNVVGSVGDCQAMLDFAGEHGITADVEVVGMGYVNTAMERLERNDVRYRFVVDVAGSLGAAA >DexiUA01G0018960.1:cds pep primary_assembly:Fonio_CM05836:UA:39658405:39658828:-1 gene:DexiUA01G0018960 transcript:DexiUA01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRQQLCMVLLTAFLVASTMNAVLVDAGRSLAQASYGALIPGGTPSVPRGQPYSSRGCTDIYAY >Dexi1B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:1B:2943780:2953521:-1 gene:Dexi1B01G0003720 transcript:Dexi1B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSMNNFLGRAVWEFDAGDGTPEELAEVERARREFTDHRFQRPYSADLLMRMQFAKANPESLDLPAIRLEEHEDVTEEAVSTTLKRAISRYFTLQAHDGHWPGDFGGPSFLMPGLVLNMLVCWIEDPNSEAFKLHIPRVYEYLWLAEDGMKMKCYNGSQLWDTAFSIQAIVSTNLVEEFGPTLKLAHDYIKNSQFLDDCPGDLNYWHRHISKGGWAFSTLDQGWPVSDCTAEGLQVSLLLSTISPEIVGKPVEANRLYDAVNCLMSWMNDNGGFASYELTRSYAWLELFNPSETFGDIMIDYPNLYPEYRRKEVDNCISKAANFIESVQRSDGSWYGTWAVCFTYGAWFGVKGLIAAGRTFENSPAIRKACDFLLSKEFASGGWGESYLSSQDKVYTEHEGGRPHAVHTSWAMLALIDAGQAERNPMPLHRAAKILVNLQSQDGEFPQQEFVGVTNQNCLVNYSNYRNIFPIWALGEYRCRVLASSGRK >DexiUA01G0005700.1:cds pep primary_assembly:Fonio_CM05836:UA:10359564:10366209:1 gene:DexiUA01G0005700 transcript:DexiUA01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHAPAQLASGPLVRFCLQHHAPAQLASGPLVRFLLHFTQLLLRRRRSCAQLLDAADPAPNFSCAAAQARRRRPAAPTPLSPINPLQIVADLAPRRPPRTGLIRGWPYRPAVYRVEMIPTEFETEVDYSKAFYKLILWEIWHNICSVMDNIPIGSTVEAISSESVLDPWLDPETGDWSRKIKDDGLCTILVVEKTIKVTEWDNGTKRGCLRDKVFDILVIDEAANLKECESMIPLASRRINHVVLVGDDKQLQSVVKSTIAKEKKFGRSLYERLSELGFPKHMLKIQYRMHPSISKFPNEEFYAGGLEDGPNVKDYNNTYLDGHMYGPYSFIHVEDGYEENIGQGSRNIVEADVAANIVARLAEVCTVDSCQGDEKDIIILSTVRHNRSGNIGFLECDKRTNVALTRAKNCMWILGHETTLLQHKTTWSRLVKDAKDRQCFFDARDDYSLARAMDQSETRGSRARVSPTVSAFSTAVFSSSRRTRAPLRFDRSNSSCTSECILDMDQHSETNADGGATYGGDISASYGLTYQPLPVPASQGAMAYRATMMQQLLNQQPSHPTGTEGVAYGATILQPSLLNQQPSHATGTEGGISGETPSAYALTPYNGQPAALASMLPSSSAGTAFLPLPVSHGSAAGDHQAAIPPPLSSNPHAIALQQHLHEQLQAFWVGQVAEAEATRELKFHSMPLARIKKIMRADED >Dexi1B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:1B:2640549:2641257:-1 gene:Dexi1B01G0003340 transcript:Dexi1B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHERDGDSRRRRPAARVVVRTQLVDQLWFCDGPDGGVGAPPPPPPIVATRCAATAAVAALPLAQRWPRSSAGDALARAVVVPPLAPSRTGLAPAPHGAQMMSTRMRTTATPDGV >Dexi8A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:8A:1553879:1554843:-1 gene:Dexi8A01G0002350 transcript:Dexi8A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQHEQWPPGDDALPLPGYRFKPKAWELIHHYLNPWVTRSPTSQAPPFGEAERIVCAADVYSTDPGTLTSHLSHFGHDDGNWYFLCVARWKDGNVGTRMSRAVNGGGTWHGSGKRIAVPRHGYRQTFEYRHAGGGKSAWLMEEFGTNLPEATGDEGVKVICRVHRTLRAAAAADDAEEEEERREATHAANKRQRRAPGQEQHGFAAGDYWTTVMAPATADVGCSYASISGDAPPETAGGSGTGWQQPVMGMEQGLGYQCLGVNGGGLEFKEETEPLEKILDPDKAWQELVDMAK >Dexi9A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:9A:13573043:13573249:-1 gene:Dexi9A01G0018480 transcript:Dexi9A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTRQHKSFRLAKIKFISRWEQKNLKSATTRGECKSCRLPQIKYIPRGEQKSFRLATVRFFCP >DexiUA01G0009290.1:cds pep primary_assembly:Fonio_CM05836:UA:18071310:18073116:-1 gene:DexiUA01G0009290 transcript:DexiUA01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPVSGEAVRRDGLGAAAEEEDRWARLLPELVAEVVRRVEASDGERWPARKDVVSCASVCRRWCDAAATVVRPLPESGKITFPASLKQPGPKDFPIQCFIKRNKKNSTFYLYLGLTNNLTSIKDKGKFLMAAKRFKRGAHTEYIISLDADDPSQGNNAYVGKLRKG >Dexi9A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:9A:7675411:7677713:1 gene:Dexi9A01G0012120 transcript:Dexi9A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKTFNLHAVFKEVMSFIKPIASIKRLSVSVMLAPDLPLSAIGDEKRLMQTILNISGNAVKFTKEGHITLVASIVKAESLREFRTPEFHPTASDDHFYLKVQVKDTGCGISPQDLPHVFTKFAHPQSGGNRGFNGSGLGLAICKRFVSLMGGHIWLDSEGTGRGCTATFIIKLGVCDNTNTYQQQLIPLVWPSSADSDSSGPKALPEGKGASSLKSRYQRSV >Dexi2B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:2B:30162677:30164624:1 gene:Dexi2B01G0020010 transcript:Dexi2B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVPKDLNLPASQPPLPQMSSPGLLRYRSAPSTLLGEVMCGDQADFPVAAPGAAAGHGPDHAATDSVLARFLAGHHHHTEIPDCKPPRPAAAAHHHFMEDAASMAASHQHHRHQQQLMYHQSQQQQQMVAMEEGLYRNVGTEHGAAVGAGNSLLRQSSSPAGFLNHLNMDNGYGNMLRAGMAGGGGFRNGIVNDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAAGSNGGVARGYSGIPGYPMGGGGGDAWTTTDEPSPTTTTSSGGKRPRDSGGLAPQLSLPSGGTNGGVGKTASAEMAAIEKFLQFQDAAPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKQVKVLNDGRASCTCSAGKLLQQNQFAS >Dexi9B01G0030430.1:cds pep primary_assembly:Fonio_CM05836:9B:32937677:32939102:-1 gene:Dexi9B01G0030430 transcript:Dexi9B01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPCTAGPRQIPSLLPVGFPSPASTPCIGQELEMEMQGEAAIEPGDAGWGGATRTGGCAACERNGVWARWSVGDDIVGRKVEEKDMQIFGVSGRFADHTTRSTHTGSSHHASLALLRDMSREHHLDACPNTILLRYRHAGALHGRIIGLLQDIEACRLRRQPSAPDDVTYGTLIQGLCDSVEAD >Dexi8B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:8B:15151954:15156436:-1 gene:Dexi8B01G0008990 transcript:Dexi8B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGCSCEAAAATAMASEAYLQGEPVREARELVAELCRHFYAQGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMAADGKVLSAPTAKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLNPGAKEFRMTHMEMIKGIKGHGYRDELVIPIVENTPYEYELTDSLSDAIAAYPKATAVLVRNHGIYVWGDSWINAKTQGHIWRTGFEKKELQGVVFEDVPVALKNWHTSGIKVYIYSSGSREAQRLLFGNTTYGDLRKFLCGYFDTTTGNKRETKSYIEISQSLGVDSPSQILFITDVFQEAVAAKNAGFDVIISIRPGNAPLPDHHGFRTIKSFSEI >Dexi7B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:7B:8038261:8044349:-1 gene:Dexi7B01G0003320 transcript:Dexi7B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFAVLNLDAEDDREEVEKPTSSKAEADTASKKPERNSQNKAMVVNYDGENLASSSSEYRMPLGPDLVIRQSKECLDDMNEWCQVHHVASGLAEQVLKSEISEHDAEKQKYMPQLAGIFSHVIVDVSSITALCGRWFPKEKKAAPRKEKTHRALDDIRESIKELQYYKENIFKTRRS >DexiUA01G0005810.1:cds pep primary_assembly:Fonio_CM05836:UA:10509543:10510716:-1 gene:DexiUA01G0005810 transcript:DexiUA01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEEYRCFIGNLSWSTTDDSLRDAFGKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGGGRDRNGDRDYDRGSRYDRGRDYGGGGGGGGRAPRGGGGGGGDCYKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGSRYGSDRGGDRYSGRSRDGGGDRYNRDRSGPY >Dexi2B01G0036270.1:cds pep primary_assembly:Fonio_CM05836:2B:43142052:43147616:-1 gene:Dexi2B01G0036270 transcript:Dexi2B01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAAGGSRSRGGGWCLEIARKLLDTNDEVILSSYDRHWSSLYELYSQIVVSTDDPSGRISRESTACLALMLSRVISGLKAMQSSPNLPSASASINSGFLLNIVSPGGRIGIENKEMLKTIEQNMPRYIQVLLEATGHLLQLLKEGLLDPSRVAGLLEGPVAKETLLDFLMIVSDLARMSKDFYVPIDKAGLVGFLKNYLSSEDPDIRGKACSAIGNMCRHSSYFYSPFEANKVIQLLVDRCSDPDKRTRKFACFAVGNAAYHNDMLYDELRGSIPQLTTLLLGPEEDKTKGNAAGALVTLSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIATRACQA >Dexi7B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:7B:15177194:15178917:-1 gene:Dexi7B01G0007260 transcript:Dexi7B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRKERSWVVDVENLLAEDAAAGDPTAAEAAMWRKQSIYRVPTYIKNGKHTACPYGPQLVSLGPFHCDNPNLPPMDEHKQRALLHLLRRTGSPVRSLVAALEQVVEQLEDAYMDLEDGWRRDRDAFLRVMVMDGCFLLEFVSLTPDPPELRGGGLALHPIDVCHRSLLHGAPSQAFKGRRDEFVPSATELDQAGIQFARSSTHSLHDIHFAAGVLHIPELAVDETTEHKLLSLMAFERLHADAGTSNEVTAYVFFMDNVIKCDADATLLCARGVLSNGLGSDKEVAKMFNRLGQKAVLDKGSALRAVHGEVNAYRDTRWNQWRASLIQNHAGNPWAVVSLAAAVFLLVLTVVQTVYTVLPYYDDQQPVSRCGAGIYLHEEL >Dexi5A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:5A:20889418:20896472:1 gene:Dexi5A01G0017590 transcript:Dexi5A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEKATKSRQPPSHVTAPPVCHVSGHLLPRPPRRRIPHLSPPPSSPRAARQRPAMDLLPPPTDAPGSPGGPGAGGSGRGLRRGVGFRSLKLVSVAMDEPLPADPVGATYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSKYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVKRFYQKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGQKAPAPLPPPVIPEFPVPSHEEPRFSCFVESEAAGVVKDYRDSLAESMFHCALNQRLFKISRRKDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVGLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRHEFLQHFLREEPVVGIEYEAQLQKTLLPHISSAEVAKFADNFSTASSCVIKIVEPRAHASLEDLKAVVLKVNSLEKENSIPPWDEEQIPEEIVAEAPEPGSIIDKVEHPGIGATEMILSNGMRICYKHTDFLDDQVVFTGFAYGGLSELSEAEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIKACEYFNRCFKDPSAFTVAIVGKIDPAVSLPLILQYLGGIPRVQDAPQPLSRDDLRGLPFKFPATIIREVVRSPMVEAQCFVQLAFPVVLKNATMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVAVFLGGNKPSRTGDVRGDISVNFSCDPDIASKLVDFVLEEISYLQTEGPSEEDVLTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFAFQEEGRMKVREALTPQTMQSALQRVIPFPCRNQYTVVILMPKSSCWASMKSMLSWSSDGVSRDAKILAGMAGALVLAITLWRYSRSALKS >Dexi4A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:4A:7648389:7653914:-1 gene:Dexi4A01G0009680 transcript:Dexi4A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLLSSPRPNRPFHPLPFPTARRRHPSFRGKPPAPPPLPPVSRGSRRLGVAVPRAAREAFDDGVLGHDRPPGVGRGGARRRAFRETQGEPAVPPAAAAARAVAPYVVPAGAVLVLSFVIWKVVQNMLPGKKKNQNSGESTSSGIMWSFAAGSNLATYTRSAEKESRKNLNKFYKELRTMKTVNMAEEVDFSGNGITAVGIEAFDGILQINTALKTLNLSGNDIGDEGAKCLSDILTENVGIQKLLLNSINIGDEGAKALSNMLKKNKSIRILQLSNNTIEYDEIHLHGNGIGNEGIRELMSALSAHKGKITVVDIGNNNIGSEGLRPVAEFIKRTKSLLWFSLYMNDISDEGAEKVAEALKDNKTISTIDLGGNNIHSRGVSAIAEILKDNAVLTTLDLSYNPIGSDGVKTLCDVLKFHGKIQTLKLGWCQIGVQGAEFIADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLRSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIYF >Dexi3B01G0030450.1:cds pep primary_assembly:Fonio_CM05836:3B:29953239:29956292:-1 gene:Dexi3B01G0030450 transcript:Dexi3B01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNATPGDASSKNTSGCPDSCGGISIQYPFGIGSGCFRNGFEITCDHSTNTPFLAGTTRPVPVNLLSITTAEARVMLPVAWACFNTSDKVYAYSDGDVHFNSDEVYRISNAHNQLVVIGCNTLGYTRSQRDQGSDYTYADYTGCMCYCNDSRSAIDGACAGVGCCHVDIPPGLTDNRMSFEEYTHKTRLGYSPCDYAFLVDRDNYTFHTSDLKMDLDTTMPVWLDWAIRDNVTCDEAKASPSYACVSGNSMCRDSSNGPGYVCNCSKGYQGNPYVAGGCTDINECELKEYPCRGVCRNTLGSYECNCHSGWHSADPLHIPCSPNFPLAAKIVTEVDVPILVYEYVSNGSLDNILHDSNRVSLDLDLRLKIAAQSARGLAYMHSEITTPILHGDVKPANILLDENFVPKISDFGTSRMITIEDHYTSTIIGNWGYMDPEYAQTGLYTSKSDVYSFGVVLLELITRKKVLDPAISNLLGNFYDPYTTKKGVVELVDPEILAQGTIEIFHSLAEIIVQCLNLDVDLRPEMADVAEHLQYLLK >Dexi5A01G0022590.1:cds pep primary_assembly:Fonio_CM05836:5A:26739204:26740426:-1 gene:Dexi5A01G0022590 transcript:Dexi5A01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAATAPSSSGATQPPAPPPPTEQQGASRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARNPAAPGAVPAASGGEFRRAKIRSAPADPLGAKNSGVDARAHNLKP >Dexi3A01G0029670.1:cds pep primary_assembly:Fonio_CM05836:3A:31887030:31887320:1 gene:Dexi3A01G0029670 transcript:Dexi3A01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSAAAMAEAKAHVEKIRRERFFIGREERNPLAEDIHQAVSYLSEELYSKDAHFLMELIQRFIF >Dexi4A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:4A:1187990:1188787:-1 gene:Dexi4A01G0001810 transcript:Dexi4A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQLSSFAATAGLCLLSALAIALLAVTMYIMGIVVSFGVFCIKEYASRAHDRSPLVGTVFRMLKNFDRLFDEHVEYALAHRTSRILFLGHSELWTSDPEVIEHILKTSFSKYSKGDFNTQIMKDLFGDGIFATDGKKWRHQRKLASHEFSTRVLRDFSSAVFRINAAKLADKISSAADNRTTIDMQVFYQY >Dexi1B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:1B:5847343:5847852:-1 gene:Dexi1B01G0007070 transcript:Dexi1B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGCAVVGVLLLLGAALLSPVAVATARGETLMATSRDEWRGRAGAVDGLGTAPEEPAPPPPAATASEDGEDVIWRRKEEVAARISHQRFRSRRIPASQVQFGGRIPFTADYHSVHRHPPTHN >Dexi9B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:9B:5705370:5705741:-1 gene:Dexi9B01G0009230 transcript:Dexi9B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDHTGIGGRGTQISPAGSIRMGCLRLPGPHRVHAKFSRPLLSSSPPSSLDIQLLLPYPPASPPSLCASSRQLLLYPPLLPGRAPFCSAPVLPVGGFYLSVPFTRRSLRSPAACAKLFYCAG >Dexi3A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:3A:2897434:2897994:1 gene:Dexi3A01G0004430 transcript:Dexi3A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVLVVLLATTILVAAPAASAAASSSGGGGGGGEKSTHIKLYWHDVVSGPSPTAVRVAQAAVTNTSKTFFGAVIVIDDPLTQGPDLKSGKLLGRAQGTYIGAGKDELSLMMNMNFVFQAGKYNGSTIAIMGRNAVLDDVREMAIVGGTGVFRMARGYAQARTHTLDLKTGDATVEYNLYIKY >Dexi7A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:7A:18902545:18909200:1 gene:Dexi7A01G0007570 transcript:Dexi7A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRMLRRKEFHSGLLNLAFRADHGGKKHFSDGAIGKLAQFYRSDRPKHAANCMPSNHFNISTLQGILADLGEKVESEFDSISIDLAELVAMELGVNTRRMHTGEGTNEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKSANVPVVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVAKSGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKSGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPATPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEGMADELEINEETPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRTPPIAITQAAARANIKVPFMIIILLMPVVILYYNIDYMHSFSSAMVLLHKVIYHLLEEMGRAIVEKAPGTAETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAETIEKGTDCGLVIQDCDDFQVGDVIQCLEQVIRKPKFISTQSGSVRIEC >Dexi9B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:9B:4757725:4759533:1 gene:Dexi9B01G0007800 transcript:Dexi9B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATAAPHVMVLPFPAQGHVTPLMELSHRLVDRGFQVTFVNTEPIHALVLDALRPSGGISALPDGIRLVSVPDGLAAGDDRRDLSRFVDGLLRCVPGYVEQLIRETKVKWLVGDVNMGMCFEAAVKLGVRVAAVFPASAACLGALFMVPQLIEDGFFDEKGFPERDNSFELGPGMPPVFPSQMPWSIDGAPEGQEVAYRLVSSNTEAARRHAEIVVCNSFRDAEAAAFELFPSIVPVGPLFADAELHKPVGQLLLEDTGCMRWVDAQPDRSVVYVAFGSFAIFDSRQFKELAEGLELTGRPFLWVVRPDFTNGDLSKAWFDEFQERVAGTGMVVSWCPQQQVLAHRAMACFVSHCGWNSAMEGVRNGMPFLCWPYFVDQFANRSYICDIWRTGLAVSPGEDGIVTKEEVSDKVAKVIGDEGMAERARMLRDAACKCLGEGGSSRENFSRLVDLLRE >Dexi9B01G0047660.1:cds pep primary_assembly:Fonio_CM05836:9B:46722107:46723040:-1 gene:Dexi9B01G0047660 transcript:Dexi9B01G0047660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSGIGKKVIKPMVVIPIIVIRYIVLPACGIGVVTLATKLGFLPRSPLYRYVLLLQSTVPPAMSIGNATAPVTCQY >DexiUA01G0015370.1:cds pep primary_assembly:Fonio_CM05836:UA:32282111:32288679:1 gene:DexiUA01G0015370 transcript:DexiUA01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGASPNPTERESSRTSCLKGSPAPVALRLSMAVALHPERGAVETKKTDVKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGISGISEIEAFDCSSYPTVFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPIIPIGKVHSDYLRRLGGFVACRALSQRNTDPAKASRPWDVDRDGFVMGEGSGVLVLEELEHAKKRGAEIYAEFLGGSFTCDAYHMTEPHPEGKGVILCIENALADSGVTKEDINYINAHATSTQMGDLKEFEALTRCFGKNPQAIFLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWVHPNINLDNPEKNVDVSLLVGPQKERCDVKVALSNSFGFGGHNSSILFAPFK >DexiUA01G0025140.1:cds pep primary_assembly:Fonio_CM05836:UA:52693344:52693673:-1 gene:DexiUA01G0025140 transcript:DexiUA01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACVCSSQSSVPRNHGAAGACQSRGHGATGALHWRHQHSADDRVGVGAQTAQSHECGSTVSHGQQGPAPLEAPPLALASVNELRQERLIRSGRSLPSENSSSAVGART >Dexi6B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:6B:22648333:22653956:1 gene:Dexi6B01G0015300 transcript:Dexi6B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWRGILGFDYGIVQAPLGPDISGPELAAAVANAGAIGLLRLPDWPAPDHVRELIRKTRSLTSRPFGAAIVLAFPYEENLRVVLEKKLAVLQVYWGEFPRERVDEAHRAGVKVLHQVGSLEEAAKAKEAGVDGIIVQGREAGGHVIGQMGVAMLPHWHLALRAFVATEESFAHPIYKKRLIEMSRTDYTNVFGRARWPNAPQRVLETPFYAGWKNNLSDQETEESQPIIGHSIIHGVHKDIRRFAGTVPNATATGDVDSMAMYTGQGVGLITDIIPAGEAVKRLVAEAKDIVREKLSNLK >Dexi8B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:8B:24412667:24415543:-1 gene:Dexi8B01G0014060 transcript:Dexi8B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMGVMSRLILKLTDLLVSEYKLQKGVKGEIMFLEPELKSMQSALREITKAPSDQLDAQDKIWASDVRELCYDIEDSIDTFLVRCTCSIEPAAAGPDGMRGFISRSLDLLTRLQIRRRVATDIRDVKRRVVDAGERRERYKIDVAKPAAVDPRLLGHYEKATELVGIDQTRDEVSDILLGGDGVTSNNKNGSIVSIVGFGGLGKTTLANVVYERLKERFDCWAFVSVSQNPDMRKFFKGLLYELGKNVNEDAYERQLIDHIRKFLHTKRLLGFLGAVVFQQGAMMRLTSLAFTFHAREAREIRSSDGRLNLGLENLLSLEDVLVYFRARGACEMEMEEAKAALRHAFEIHPNHPKHDIWG >Dexi3A01G0035600.1:cds pep primary_assembly:Fonio_CM05836:3A:40818054:40821299:1 gene:Dexi3A01G0035600 transcript:Dexi3A01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTSHGAFQGESPLDYALPLLILQICLVIVVTRGLAYLIRPLRQPRVIAEIIGGILLGPSALGRSTKFLHTVFPPASMTVLETVANMGLLFFLFLVGLELDLTAIRRTGQKALAISLSGIALPFALGIGTSFAFRATIISGDSPRAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMALSAAAVDDVMAWILLALAVALSGSTSSPIISLWVLLAAAGFVAGAFMLVRPVLTWMARRCRDGEPIREVHVCATLAIVLAAGFVTDVIGIHALFGGFVVGVVVPKDGPFAGMLIDKVEDLVSGIFLPLYFVSSGLKTNVATISGARSWGLLVLVIANACVGKIGGAVATALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRERKVLNDEAFAIMVLMALFTTFITTPLVMAIYKPARPSVPYKRRTVECMAGDDAGGELRVLACFHSNRSIPTLLNLVEASRGTRRGKLTMYAMHLVELSERSSAITMVHRARRNGLPFFNREGNDNNQMVVAFEAFQQLSSVRVRAMTAISDLDTIHRDVIDSAVSKRAAIVVMPYHKVLNHDGITFHSLGSAYHAMNKRVLREAPCSVAILVDRGLGGAAHVAAQNVSFTVAVLFFGGADDREALAYATRMAEHPGVAVTLVRFRRHNSGEDAAEDDEEDEVAIERFKSKVVVMKDGSVHFDERVACTTKEEVVEAIAALAKSSVFVVGRMPPAPPLVDNADELGPVGSYLVSPELRTSASVLVVKRYDPATNPKSRRFDPEARPPTATEEDVLDEAEMGRSAMVVPVPWSPSPASDTA >Dexi1A01G0029980.1:cds pep primary_assembly:Fonio_CM05836:1A:35357632:35357963:1 gene:Dexi1A01G0029980 transcript:Dexi1A01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACEIRAPGAVLLRKSELPAEKNYANGHSDAAGRRDASSGTCYSEEATVAECRSGVVPDAGWVTGEEVPVRRTPAGDSVEAVFRWLAAEYPVQDLCPDVAIVCAF >Dexi3B01G0030600.1:cds pep primary_assembly:Fonio_CM05836:3B:30619406:30619657:1 gene:Dexi3B01G0030600 transcript:Dexi3B01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSGKGRGWPTTCASSEGGLVLRSMPHRLSTSRRRAPYHRDVDTTPGHNGSLASAAPCSPATGASEHDGELQGPEVPDKLI >Dexi5A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:5A:21277316:21280771:-1 gene:Dexi5A01G0017880 transcript:Dexi5A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAAPAGRKSPATVLLLYVACAFMLLLLLTSYAPRLQPHANGRSLHRRLKLHPKNFPSSSSSSSASSGVVSGGSGGQHQQQHHAASFDPAIAELERRLDDKEWEREHYRLLHGDDEGGEPDDHMKEWEEFLKEEEDFINDDDRFNLADRIRALFPKIDVSPTDGFVSLDELTSWNLEQARASQHHRSAREMELYDKNGDGIVSFGAFNALRQESHGRGTKMADKDHDGRLTLDEMTENPYTFYGSVYLSDDDDYFHDEFR >Dexi3B01G0028600.1:cds pep primary_assembly:Fonio_CM05836:3B:26813394:26825705:1 gene:Dexi3B01G0028600 transcript:Dexi3B01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAGTSPDRRGNGPSAGAAPSGLRRYGLNFSASSLLQAPLAALLEYSGVVPPAAQAAHHRSAAPSSPSSASEVDGLLSAAAAGNGEVSIRIQGGPGDSEAAGVATTGTSSDDSIEVTASTEVDQASAVGRGAGAADAEANGGGGGASGNGGGDRGYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKIFVLIGITVIFIIHVFGVYWWYRNDDLLRPLFMLPPKDIPPFWHAIFIIMVNDTMVRQTAMAVKCILLMYYKNCRGRNYRRQKLDLIVLSIVSDDSPMLPVSLGPNAYPCGVPSASLSCVIANSCLVQSFLAAVKALSRKDVHYGSYATAEQVLAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEW >Dexi9B01G0039540.1:cds pep primary_assembly:Fonio_CM05836:9B:40202176:40206089:1 gene:Dexi9B01G0039540 transcript:Dexi9B01G0039540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAATSVFSPSRVAAAPAAGALVRAGAVVSARRKGSGGTRSGAGLRCRAVTPLANAAVSRSAVAAKAEEEDKRRFFDAAARGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNVDAPSFLFESVEQGPQGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGQVTEQIVDDPMQVPRSMMEGWHPQQIEDLPESFSGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRHLPDVHLGLYDDVLVFDNVEKKVYVIHWVNVDRHASVEEAYQDGRSRLNLLLSKVHNSNVPTLSPGFVKLHTRQFGTPLNKSTMTSDEYKNDVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVSKGKIINRPLAGTVRRGKTEKEDQMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDRLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMQIALALRTIVFSTAPSHNTMYSYKASDRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >Dexi1A01G0022190.1:cds pep primary_assembly:Fonio_CM05836:1A:28897463:28899664:-1 gene:Dexi1A01G0022190 transcript:Dexi1A01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTARHVHVEGLQTALPTRKVEPGLARPVSVAAPPLTAAALQRRTRVVLYYRANGEGEAWGQEEALLVKESLSEAVADHPEMAGRLRRRGGGGDGSSWEVKLNDTGVRLVLATVEASVDDFIAGGGGQEGRLDAALAPWTDVDAEDPDMSALCFVQLTRFQGDGGYAVGVSCSLMLCDPLSLARFLLSWASTHAKIKAQNKATPIPMMQYAGYFQRPGVMTRRVRSIPLDTFATTNAATGTVLFRATGGKALDDHRALARACADEASERLGVAKQQVPRRLALVVVARDGVGGNPRGMSVETCAAAEGHPPVVSGGGGGGGHELEVAQWEDLGLEEFKLRESKPVHVSYSIVTGADDDEGLVVVVPDGKGFLVTATVPK >DexiUA01G0026290.1:cds pep primary_assembly:Fonio_CM05836:UA:56140526:56141288:1 gene:DexiUA01G0026290 transcript:DexiUA01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAASRRLLTLATALVFTCCLQAVAQQPAEAPGTRYTVGGPDGWRVPPPEVKERYYSDWAANITFYVDDTIEFVYKDDTAIRVGKAGYYHCNETIPGTRPRDGTTVFVLDAPGPAYFASANLDHCNMGQRLMIDVLDPAASGQPPAPSPWSSPPAMSPATSPAAGPSPVLAPHFAAAPAPTSSSAARAVGLVVVVPVASALVVVAGFV >Dexi7B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:7B:13526743:13527779:1 gene:Dexi7B01G0005940 transcript:Dexi7B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAGSRATQPTGSVDIVRIDVQRNGCSHKNHQLSLENEHSNNAAGKFSDLPELQGQRDSRIGLNSNQDSCVGGPGDKHTSGDMAAGKMLLPLNPQQIPWKKYRTDHRDKKLLLPNLSWDEKVVFTLNIVRRRGLFEYNPKTYSIVPTRFCEFNIAFFDLDKESDFKRGMPFSKIPDSYRDLDTSMNIISIKISESDVPCPINIYGTVVARDQVDYRCVYLFKRGRDDPQHIESVVCIYFFSSSTRF >Dexi6B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:6B:23042571:23046596:-1 gene:Dexi6B01G0015760 transcript:Dexi6B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAPHLDSGQISVLQGFFSFPVDYTTVFIFRLLMFLDNGLAAGMGLDLGWQQRYARFCGRIVLPEEGQKWGFLIWLLFSYCGLACIACVAVGKVLVDMIRVPDWAFEAVGLEMRVGQDTAYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRSTSEPDHPAASASDVTTATAATRYVRSQPAGQSYLVRLQDLLLRPVRHESVYSGGEPAVAPEELPSIVVDDGHQLPDR >Dexi3B01G0029830.1:cds pep primary_assembly:Fonio_CM05836:3B:29113434:29113970:1 gene:Dexi3B01G0029830 transcript:Dexi3B01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWQWGPHARGWDPPPFFSPLSFFLVLILVSGGLGPRRPAMLRRMVSDPTPDSTGDDGDGEARPCDDAFTALFVEFAAKRLSDGESSRSPMSALDRKVPLLRSPRSSRTWGVPGLIETSPAAPRPPWGSREPKHELCAEAHIAAMLSQRRRPDELSSEVAAAWARLAGLGSTLGMVAA >Dexi5A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:5A:10098849:10099142:-1 gene:Dexi5A01G0013490 transcript:Dexi5A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATHASTDFSSSSLCISSSSTGTGYWVCASRDGFGLGVLDEWLLIALVLSLTVDPHHIGVRHWFLGDSFSTLAMIPSKRGAWSAARLRREKMNVK >Dexi6B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:6B:2282886:2285718:-1 gene:Dexi6B01G0002630 transcript:Dexi6B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLPILLPAVCGPNSPNLFSRFFLAASAMARHCQGHIAQCLGGILARRGGATVTVDSGGHSLSGEEFVGGVRRLAAGLVDRGVRPGDVVAIVGFNSIQYVELFLAIAYVGAIVAPLNYRWSFEEAAQALELVQPTAFVFDGVFSSWAQRLTDSNKCSSIGLYFIFGETCSTAHDAKFVSVDHIKRSVREATATEPVSAPRDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDVYLHMAPLCHIGGISSCMAILMAGGCHVLIPKFDAKSAFDAIKKYGVTSFITVPAIMADLLSYTREEIISGPVMTVTKILNGGGGLSEELMDGASRLFPRVAIFSAYGMTEACSSLTFMALNKPKLQEPKNQPGSHSGGVCVGKPAPHVEIQIGMDGNNTSSSPTGNILTRGLHTMVGYWANNKVYPSDSVSNGWLDTGDTGWMDKAGNLWLMGRQKGRIKSGGENVYPEEVELVLSQHPGVERVVVLGIPDSRLGEKVIACVGISDGWKWVDASAEHKGEGKGSVSSDPSGPLQAEKFEQI >Dexi5B01G0035770.1:cds pep primary_assembly:Fonio_CM05836:5B:35667386:35673141:-1 gene:Dexi5B01G0035770 transcript:Dexi5B01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNTLEVRVNSLLRSLSRENHRAAISTNNFHELPGIQMTGSCVYRDTVSPAFNNVPGSRDVPTHAMFTSQRHVPHTYNVAAAKFPLTVRPESFRSTLSSPCVSAFPECSPGLGGIASPGLSNVHVKDHLQDPEDLCWDKYSNLSTLSAQYQCCFVKNCDPCDHEGERVERSEQASNSTVSKPTSPVSDESYGKHPAKRLKSDVPSLINVNQAESPKEQKPGSEHVHNMEIVTEEEVHCVKGDMERKDSALNQTANGVNNKVISQEIHSEGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENGKDKTSICSKCHHLSSSRAKYVKKFNYAETDAEAEWWVQCDKCKAWQHQICALFNRKCEGAKAEYTCAKCFLKEKDSGDIHAVESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRASTSGKGVEEANQIQDVQRVEGLTVRVVSSADRVLQVQPRFHEFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGESLRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISASCLPYCENDFWPGEAERLLEKKDDKTSQKQETQVGRLLRVAKRDDRKGNLEDILLVHKLGERMRTMKEDFIMLCLQQFCKHCHKPIASGRSWVCTSCKNFHLCDKCHTEEQNTAQKDRHPATTKQKHAFKRIEVEPLPETDDGDPTMESKDIKGYMADKMKFVGTVL >Dexi9A01G0042250.1:cds pep primary_assembly:Fonio_CM05836:9A:45844864:45847960:-1 gene:Dexi9A01G0042250 transcript:Dexi9A01G0042250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSTSLLTPPLPAPVSLHRFHPSPCASPRHLHLSPTTPLLRAARRRHPDAVVVVPDARPWVGDLSSATASYRESVQEDDDADEDDDEDEDRSLDLLARFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWILKGLLEVVCTFGSMVFASILLVRGIWSGVTYIRETQYSYIRRIDDDDSQWSRVQPAG >Dexi1B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:1B:11534542:11535838:-1 gene:Dexi1B01G0011410 transcript:Dexi1B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAATAADERRPVWLPVDPTHHDDALASCINNALLMNAFCKAAIRQTLQIDDDRPAGRIAQ >Dexi2B01G0036240.1:cds pep primary_assembly:Fonio_CM05836:2B:43103813:43109453:-1 gene:Dexi2B01G0036240 transcript:Dexi2B01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAAAYALCRLLLFLIPPTVPSIDVDASDVLAKEDSFIYIPRKGKAAQTDKVQCYEPATMKYLGYFPALAPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEVSSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSTGRSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHATWSGCFYFRIIQAALSAVGAPENLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMQRASETLIPVTLELGGKDSFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHNDIYSAFVSQVVKIVKSICVGPPLTGRYDMGAICMIDHSEKLQNLVNDALDKGAEIAVRGSFGNLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFSSDDEAIKLANDSKYGLGCAVFSGNQKRAIRIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRFWPYIKTMIPKPIQYPVSEHGFEFQQLLVETLDKIRGSVLEVVTVPVLALAAAQEEVGLVAAEAEAVAPAPELAVEWAAEVGGGASAGGGAGRGI >Dexi2A01G0030970.1:cds pep primary_assembly:Fonio_CM05836:2A:41793829:41798485:-1 gene:Dexi2A01G0030970 transcript:Dexi2A01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVINRECTDELRFICIIKKESDGISQKDVESLDRMSLSDTSATPGLSTAGGSKGKNTWKPKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTAIFRLTTSFDEIRVYPIPAILYLVKNLLQICPLDYMSKLCRLSEIQWAAFILLCAGCTTAQLNPLSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNIYVQNFWLYIFGMLFNLVAIFLQDFGAVINKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFSFHISLAFFLGSTVVSVSVYLHSVGKLQQQK >DexiUA01G0003860.1:cds pep primary_assembly:Fonio_CM05836:UA:7917412:7934864:-1 gene:DexiUA01G0003860 transcript:DexiUA01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHGVHRHVSDADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILSHNTHRRHGGGGGVRYQILSNPEFLAEGTAVADLLSPDRVLIGGRNDTPAGLAAVAALRDVYARWVPPDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAQVAHSVGKDARIGPHFLSASVGFGGSCFQKDILNLVYICECYGLPEVASYWREVIRINDYQKGRFVNRVVASMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAAVSIYDPQVTGEQVSRDLAMNKFDWDHPRHLQPITGTDVAGQVAVAADAYEAAREAHAVCILTEWDEFRGLDYKRMFDAMHKPAFIFDGRNVVDVAKLREIGFVVYSIGKPLDDWLKDMPAVA >Dexi9A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:9A:3154576:3158291:1 gene:Dexi9A01G0005630 transcript:Dexi9A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGNSPEYITDRKLGKGGFGQVYVGKRVSGGSSRMGPDAYEVALKFEHRNSKGCNYGPPYEWQVYQTLNGCYGIPSVHYKGRQGDFYILVMDMLGSSLWDVWNSAGQAMSSHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDKKKLFLIDLGLASRWTEAASGRHVQYDQRPDNFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGKLPWQGYQADNKSFLVCKKKMATSPEMLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGKKRGRTLANLEDEQPKKKVRSGSPATQWISVYNGRRPMKQR >Dexi9A01G0025050.1:cds pep primary_assembly:Fonio_CM05836:9A:22182825:22184454:1 gene:Dexi9A01G0025050 transcript:Dexi9A01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDEGVHVLLVSYPAQGHINPLVQFGKRLAAHRGVRCTLAVARSVLGSSQPPRPGAIRVVTFSDGCDHGGYDEVGDVHAYLARLESGESRTLDELLGTESSQGRPVRVVVYDAFLPWVLPVARRHGASCAAFFTQACVVNISYAHAWAGKVKLPVHEVLAELPGLPRGLEPADFSTFLTEQDRNSAYLDLLLQQCQGLEMGDHVLVNSFYELQTEVGTKTVGPTVPSAYLDNRLPDDSSYEFQLHTPMMAECKTWLDKRPKDSVVYISFGSHAEPSAAQLAEMADGLYNSSKDFLWVVRDSEASKLPEGFVDRAKDRGLRDDVH >Dexi1A01G0025080.1:cds pep primary_assembly:Fonio_CM05836:1A:31400059:31400519:1 gene:Dexi1A01G0025080 transcript:Dexi1A01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVMNAERFGIAQLHQLRGRVGRGERKSRCIFLASTPSTLPRLKVLEKSADGFYLANADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGGILQEAHHAALG >Dexi2A01G0025130.1:cds pep primary_assembly:Fonio_CM05836:2A:36888756:36890429:1 gene:Dexi2A01G0025130 transcript:Dexi2A01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTACTPCTNKLVVGKPLSPGDVVVFSEGAFALGFFSFSPSNSTPANLYLGIWYNSIPKQPVVPRPIVVTGRSSTSTPTLSLTNAGGRVVWLTEIPRSLTNSRNLEARSPMNSTMLWQSSEHPNDTLLPDMKIRASRGGRPGSRRPVAEELFAYGIDQPTSLQLFTSPGTDRARSGAATWTGYRSTSTTINLTVLDVMDDDASMSFTLSPGGTITRYVMMSYSGELVLRSWNAASVQWDELAAWPPYECSRYGPFGYCNNTVVARGADVQRRGVEQREALRCGDEDGFLELPAMKAPDRFVVVGNRSFDECRRNCSCVALAYANLSSSSKGAFTRCLVWAGELIDAEKIGAKVTGSETLHLRLGLLPCGPVQDDRRVEPCRI >Dexi5B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:5B:16745769:16750613:1 gene:Dexi5B01G0016690 transcript:Dexi5B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYGLPSNLSSGATPPGKVIISGFQQILKNEGLPGLYRGLSPTILALFPTWAVTFSVYNHVKGLLQSKDGNTCEPSVQANALAASCAGIATATATNPLWVVKTRLQTQGMRPGVVPYQSILSALQRIAKEEGIRGLYSGLLPSLVGVAHVAIQLPVYEKVIRSKLQEQVRDRLGAVRYSGVTDCIKQVYKKEGFPGFYRGCATNLLRTTPNAVITFTSYEMINRLLHQLLAP >Dexi9B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:9B:778467:783325:-1 gene:Dexi9B01G0001320 transcript:Dexi9B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMPPSLRRALLSSASPHYGGVHVRNPSLSPSQALLPQWRRQASVASPSAPTPPPPPPPSPPRGPSRLGEGGPTVSSLNPAEVAKFAALAETWRDPYSSKPFEGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNITIASIHAASDPTTASIEYCCTTAEALVKEKRMFDAVISLEVIEHVANPLEFCESLSALTVPNGVTVVSTINRSMRAYATAIVAAEYILRWLPRGTHEWSKLVTPEELSLMLQKASVSVEEMAGFVYNPSLSDDISVNYIAFGVKSEMPSSNGT >Dexi3B01G0024990.1:cds pep primary_assembly:Fonio_CM05836:3B:19770298:19770926:-1 gene:Dexi3B01G0024990 transcript:Dexi3B01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVARQPLSGPGSAVNLQQVGKCNSVESPNAASLAAHYKAENKSNGYVSMPPETNASIRSLNSYSLLDGPVDGVLSPANSASKPETANNSVLSNGASTDIPNGCLTPVDSGQQEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG >Dexi5A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:5A:12224412:12226599:1 gene:Dexi5A01G0014930 transcript:Dexi5A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYYHLAAGKAGLETVAPSSPSLALRPSQSKVLCIGSSRWWMRRRRWEGKASGVSISSCSNRVRARARPALFSPVAMEWQECTTELEVDVPCSVAYQCYSERESIPQWMPFISSVKILEDKPDLSRWSLKYEVFGRNVEFSWLARNMTPIKNQKIHWRSLEGLPNRGAVRFFPKSPSSCRVQLTVEYEIPEILAPVGSALKPFMEGLLLKGLESFAAYAKERNSKIPQP >Dexi3A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:3A:2632481:2640400:1 gene:Dexi3A01G0003980 transcript:Dexi3A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAAEYSIDHKLSKLVEEARPSAASLRAAAQAVDAVAELIKKVPQQQATPEAARGFVRDLGLEEEKLAFTFRPPEVVRLAGSHATGAVARPEVAPDLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKNLRSSRLIHKVSWSTFQDEARKPVLHVYPATEIADLPGFYVRIIPTANSLFNVSKLNISTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENAEFMSSTFADWKALQEALVLMKVWARQRTSICTHDCLNGYLISAILVFLTMDSGGSIITRSMTTRQIFRVVMNFLATSKVWAKGLVIQSMKKRTITKEDIANCLKTFDVSICDISGHVNLAFRMTKSSFLELQDEAACALSCLDKCRDGGFEELFMTKVDFGAKFDSCLRINLKGNSKVTELNYCVDDESWRILEKDVQSLLQQGLTDRTKMIRVLWRSMPSEWKIMDGFSEFGKSPLLVGIMLSSLEKGFRLVDIGPNPENRNEAIKFRKFWGEKAELRRFKDGNIAESTVWETESWERHTIIKRIADYVLMKHLSLQKDDLVHVVDQLDFCLLVDGQDPVSSSGALLEAFDTLSKQLRVLDDVPLKISTVQPLDSAFRHTSVFPPEPHPLAYGKNSQRLPNFATTCIKSLEVMIQLEGSGNWPLDPVAMEKTKAAFLLKIGESLEDRGMFISASEDEVNVLTSGYSFLLKIFHERGLVLQKQAGDDNTQSALSQDKVLFQRSQHSSMINGLHGRYQMYGPVVRLAKRWISAHLFSSFISEEAVELVVAYLFLKPFPFHAPSSRVAGFLRFLRLLSSFDWTFSPMVIDINNDFNLKDEKDINENFMMSRKSYEQNPHDIVPAMFLATSYDKASEAWTKQSPSRPVCVFIVLKRMAAYAKSSAELLTNLILNGQSGQYTWECLFRTPMSNYDAVVLLHQEKLCRPQHVLFPAETPNGKLVICGKPSKDFHPYMPLNKGAVKTLHDARDKLLVNFDPTTYFLQDLKCAFPKTFKLWYGSIGGDAVGLTWENPKKRGREEADETMPEPTSILKEVGDVGKGLVRGVYLLKAPKLQ >Dexi8A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:8A:24893451:24896115:1 gene:Dexi8A01G0014390 transcript:Dexi8A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRRAAVAAAALLASAAVCAQGASTFYSSDPNLGSARVVFQVTRSLALAWCGFVLGSLEFFGLLEGLGVEGDLAENCWGVVLAATNYGDIEFGFFPHVAPKTVEHIFKLVRLGCYNTNHIFRVDKGFVAQVAAVMGGRSAPMNEEQKRVAEKTIVGEFSSVKHVRGILSMGRHSDPDSGGSSFSFLLGDAPHLDGQPIERIDILSTYYYDIDMENCEAEKSILRRRLSESASEVERWRRKCFA >Dexi5B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:5B:6049675:6052078:-1 gene:Dexi5B01G0008940 transcript:Dexi5B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKPNNDNTSKGTGDAQEQEESSSRRLKCSIKAEAFCCDVCSKPLRPPIFQCHEGHFFCSRCANDELLEKKCILSSGCTGTIMRSHGMDNAVQSISVDCTHAERGCTEKILYSDSYNHELFCPHAPCRCPEPSCGFAGTAAELLDHLTTHHKWPSVMFQYWVPFDLRIVKPGTHVLQSKNDGQLFLLNVQSTEPPGLIVSLDCVQYFKSTDCGCSVSFSCSTGHRSTSTLDCVWPWWEFGWPPTDYICFLPKVLDGPGDAGIVLTINISGVAENEDTDDSSYVDSDSDDTEHGCAEKPAYCDKIEHEKACKNAPYFCPEAGCGFVGLREELLDHLTGVHHGWPSTAFHYRGAFDLRVVQPGVHVLHAMDDGQLFLVNARRAAAPPGLAVSLVCVPPCLKPTGFGCTVSFSCFRRHRSTTTVDELRPLQLSEWPPADCICVLPRASPDGPDDDAGVALTITIVCAEADDKYDDIEEASDTESDEDDS >Dexi3B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:3B:6452221:6455727:1 gene:Dexi3B01G0009370 transcript:Dexi3B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding DADWRLLRRRLLPVKLPRFRRRYAPAPPPSPRHPPQKTEALWRPPPPPQIRARRSSSSSAAPPATLAPASCCELKVSVTFDQSFDRIPHPDAALEESISEIWNQRLQRNQSLYNGTKFRLLNPYHYKMVAGICFTALIKYDNPSFDHNHKFQYGGSALHYKDDSKQEYCVSLHLGLTDYRTFVGTNLNPLWENFLVPSEDDPVRCQHMSNPLGNGAIVETSDQKIIVLQRSHNVGEFPGYYVFPGGHSEPQEIGIMGHQTDEEKIAPLSEQVSQEMFEGIIREVVEETGVPASSLADPVFIGVSQREMNVRPTAFFFTKCDIDSSGVNELYCKAQDGYESTKLYAVSVEELRGMSQRMPGCHNGGFALYDLMRNAAKSL >Dexi9A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:9A:1995822:1999761:-1 gene:Dexi9A01G0003790 transcript:Dexi9A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARNMSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQVSLDVHTKELLSFKLFVNYFSLLSQFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKDESQDLYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >Dexi4B01G0022730.1:cds pep primary_assembly:Fonio_CM05836:4B:24275105:24276100:-1 gene:Dexi4B01G0022730 transcript:Dexi4B01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRCDVCGVEPAAVLCCADEAALCSACDRRVHRANKLADKHRRVPLAHPAAGDDAPLCDVCKERRGLVFCVEDRAILCADCDEPIHSANDLTAKHSRFLLVGAKLSSATAPIDNDDHAPPSPTNARRTPSDEPHHGVAAAAAAVCAPQAQDSSAAKVSALTYGGGGKGSSISEYLTNICPGFRVDDLLFDDAAFSAATEADEYEQVPSLDADLFDVVAGRPAKGGGGAWYGGGGVLGMDKQAAPVAASIIAVPTAKQQQHQAGRVRERQWDCDSDSDLFAVPEFSPPAAKKARPTVAPSSFWCF >Dexi5A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:5A:7478440:7479420:-1 gene:Dexi5A01G0009930 transcript:Dexi5A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVEHMRAARHSSHEPRCDVCGKHCRTFESLRDHLGVGGSTLPKATHCADAFASRSGCTLCLRVTPHHRSSSCKLDRAPAAMSRPAAMAMNSRQPQGRALALGCKMVGAGSDGSLDVVARVCVVDEQENVVFETLVKPFIPVTHYRYETTGIRPENLRDGAAVMTVKSAAARVQDLLLAGEQPWRARTTRGRARLLVGHGLDHDLDALGMDYPAYLKRDTATYPPLMKTSRLSNSLKFLTLTYLGYHIQTGHQHPYEDCVAAMRLYHRMRRQEHAKGGGDDADVPAASAEQAFPAWRQRELERMTPDELLRLSRPDYHCWCLDE >Dexi5B01G0029450.1:cds pep primary_assembly:Fonio_CM05836:5B:30685523:30687763:1 gene:Dexi5B01G0029450 transcript:Dexi5B01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGREKDRAVVVVVEDLGAAAKPFQSQDAAAASTKPAKRYPLALWMAILGLVMLVGVYIFSLSLKQNGMLFGLMQTNMIEKEREKPCHDPRIPDTEIPYVHYPTPKTYDSSAAKNECTAAVGLKWMLNQAEILAQYKPTIDKKMLITELKRSDKLAADAMVSFKNTKHIVLYYEDVVRNRTVSHYCFTSFCGGKNNIHFS >Dexi5A01G0032590.1:cds pep primary_assembly:Fonio_CM05836:5A:35076318:35081167:1 gene:Dexi5A01G0032590 transcript:Dexi5A01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADTTMYIAREASKLWRKVSAETATELKLLFEKWQLLLAGLVFQWSFHPFVYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYYKYGSNRLIKLLAWLMAIIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMPDRTNGLSLLPVSSKDKDGRTKEELHKIDGRIRDEIHKLLNGNTVDATDRRQRVQMNGKHEDMNHTASDATPNGT >Dexi1A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:1A:7485499:7486002:-1 gene:Dexi1A01G0009230 transcript:Dexi1A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQADAARQPAMDCAARTPLLGDQLPNTLPDNGCGADNAVGSFSWSWLTFLGFVFLTFNSTMAIVRSQGEATAVAFVSFSYVDLVALFGCLRMYERAAAGSAKREWLKVAVWVLTTLLTFAFSYKVATVMPAPVAVLVWLMAFVAAAGGFVAFFIYQEKKGEAI >Dexi9A01G0027240.1:cds pep primary_assembly:Fonio_CM05836:9A:31692492:31692728:1 gene:Dexi9A01G0027240 transcript:Dexi9A01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGPVHHLQHSRSSRHRHTQDLTSKLRSAATPPGCPSRDRSRSGAGSPQLPDLLPDPEDLLDETGRRSHSWRRRRR >Dexi9A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:9A:16530741:16534321:-1 gene:Dexi9A01G0021710 transcript:Dexi9A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQRNRPHTMFASGPPTQGLPNGGPPVPRPYVNGTVPGQIQHIRPPPPGPIGQFPPPMQMHGQPAWPAPPHTAPPPMAQQLQYRLPARPPPPNMMPPPVGMVRPPPPPTGMSAPPMWMPPPPPPQQGGGMPPPPMSMPPPPPPPSG >Dexi9A01G0044450.1:cds pep primary_assembly:Fonio_CM05836:9A:48076200:48077481:-1 gene:Dexi9A01G0044450 transcript:Dexi9A01G0044450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIALMVVLSMAQYASLATTPGPRVIIIGAGMSGISAGKRLSDAGITDLLILEATDHIGGRIHKRNFAGVNVEVGANWVEGVNGGKMNPIWAIVNSTLKLRNFRSDFEYLAQNVYKEKYVIK >Dexi8A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:8A:12991805:12998355:1 gene:Dexi8A01G0009610 transcript:Dexi8A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSALHALGILLLPLLLTLPPASAALSPDGQALLAFKAAVTDDPTGALASWSDTDADPCRWVGITCANASASGGARVVGVAVAGKNLSGVVPPELGNLALLRRLNLHGNRLTGTVPPALANATSLRSLFLYDNRLSGPFPAATLCALPRLQNLDLSRNALVGPLPEELARCSQLERLLLAENQLSGNIPAGVWPEMSGLQMLDLSSNNLTGDIPVELGKLPALAGTLNLSRNHLSGGVPTELGRLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAAPSSSSSSLPPPSSSSAASSGGTGGAKQPIKTSLIVLISVADAAGVALIGIIAVYVYWKVRDRRRAANNTKDKGVDDEEEGRGLFLCCPCMRADASTDSSSDCSDDGDNGGGGGKCNGGGGAGEAGGELVAIDKGFKMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAPERYREFAAEAGAVGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRDTTGGTHHHGLGQSSERWSSWNRARADLEAGATETELMSGPWSGAAGMEVWSSGLRLLPRRTRAHGGAEHRVGARHAGMVDRLRRVHGLLQPGEGLLRWQRWGMASAPSGVRAGDLGIRRSGQPSLSWSLRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNILLDADYNALVADFGLARLLNIAGCTDVYSVAGSGGIMGGALPYARPATLADRSSGAAYRAPEARALAVAGCARSSSPPSQKADVYSFGVVLLELLTGRAPEHGSPSASSSSASSFLPGLGGEQQEAPELVRWVRRGFEDARPLAEMADEAVLRDAGARKEVITAFHVALGCVEADPERRPRMKAVSDSLDKIGA >Dexi4A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:4A:1213409:1219629:1 gene:Dexi4A01G0001830 transcript:Dexi4A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAMLLQLPWWSAAAVLMVLAAAAMEVEAGGGGDGSGERQALMAVKAGFGNAANALVDWDGGRDHCAWRGVACDSASFAVVGLNLSNLNLGGEISPAIGELKSLQFVDLKLNKLTGQIPDEIGDCVSLKYLDLSGNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNKLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSSDMCQLTGLWYFDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGQIPPELGNMSKLSYLNLANNNLEGHIPANISSCSALNKFNVYGNRLNGSIPGGFQKLESLTYLNLSSNNFKGQIPSELGHIVNLDTLDLSYNEFSGTLPPTIGDLEHLLELNLSKNHLIGSVPAEFGNLRSVQVIDISSNNLSGYLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLITLNLSYNNFSGHVPSAKNFTKFPMDSFVGNPMLHVYCQDSSCGHSHGTKVNISRTAVACIILGFIILLCIMLLAIYKTNKPLPPEKGSDKPVQGPPKLVVLQMDMASHTYEEIMRLTENFSEKYIIGYGASSTVYKCDLKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPVDRPTMHEVARVLLSLLPASAVKPPTTKGTAGDYTRFLATTTADMKHDVSDDIGDNSSSDEQWFVRFGEVISKHTMS >DexiUA01G0006950.1:cds pep primary_assembly:Fonio_CM05836:UA:13340195:13344428:1 gene:DexiUA01G0006950 transcript:DexiUA01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPTAAASVPGGEPVAASEEESPAARRLRLLSLHLLQPSAPAAAHASSPSSSLVPAACAGRRVVEGGGDVAAALKAYLRGRHRAAQMRLYDFFCARPDLQTPVEMTTAAHRELCFRQLRALVRDAGVRPLTLMANDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAFCSVGVLKVAVTIAVRYALLRHQFGPPKQPEISVLDYQSHQHKLMPMLASSYAFHFATVQLVDKYSEMKKTNDEDLIADVHVLSSGLKAYITSYTAKSISICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAQFIESVKSCPDEKTREVLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVAKELVDAFDLPDLIIRAPIGMQSEAYAQYTQYVGF >Dexi2B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:2B:22365800:22366692:-1 gene:Dexi2B01G0013600 transcript:Dexi2B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVLADGHIPWACEAFSQFHGQQLVENPALLWGWRFFMIKLWNHSLLDARTMDTCNTILQGFQGSK >Dexi1A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:1A:5977928:5978850:-1 gene:Dexi1A01G0007750 transcript:Dexi1A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPAAAPAIHTGWALPSQHGHHRLTGRCSGDPHRLGSVPLFDPSVIITEEPVVPAGPTAASCLTSDGHDVRVSPRLADPPSASFVEMRTDADLHPLCTPTVVAADGYLLLILASFADSSTHEHNFFVYKPHPPSLRLLPHPDVYTGIVTSRPNVESEEEEEQEFVAAAFHTELTGAWGDDEGSRDEVGKLMRFSSSTGKCEVLNLSIPFDPTKGLYKYTWRTDKVVPFGGHMCFVDYHRGILLCDVFAGGSLELRFVPVV >Dexi9B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:9B:10117426:10117977:-1 gene:Dexi9B01G0015020 transcript:Dexi9B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSDYYDCIETIDLHGFSSMSPPQLDFEQSQPTASTFPPSHQPPSPASPEADAAAAVADFSDERRLRRRISNRESARRSRARKQRRIEELRGSVAAMEHRRRELAAHAQAARGRLALARLANAGLRAEAAALSRRLAAARRALELGRLYHAAAAAAAAGSGACCSGLGFVDIEQTIASLIA >Dexi2B01G0024970.1:cds pep primary_assembly:Fonio_CM05836:2B:34212474:34213125:1 gene:Dexi2B01G0024970 transcript:Dexi2B01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKCTSSAARVQLAKDPAAPATPQPPAFWRPRVPLAPLPSLSCIPGLPRPWFLPPCNGSSSAAPATPSSPSPPATSECHTSLSGVATSCEGFLTANATDESPPAAACCDAVKSLVQGAPVCLCHVYNGDLAKIMPAAAHVRLLRAVALPRVCRVQMPFGTLRTCIRGPVPPMDAPAPPS >Dexi2B01G0028000.1:cds pep primary_assembly:Fonio_CM05836:2B:36725337:36726666:-1 gene:Dexi2B01G0028000 transcript:Dexi2B01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNRAAVGPWRLERKAPLLRPLPMLTGGYAIVAVALAFTLPPPAVAVPTPGPDCDASSSYAANSTFQANLNLLAEALPVSASASPAGFATATVGTAPNQANGLALCRGDTNASTCAACVAAAFRDAQQACPLDMGVTDYRDACVLRFAGSQFLDFLREDHPAVATTFGSDNASDAWFNAAVTGIFTALVDRAAATTNATRKYFATAEMDVNPKLYGLAQCTPDLTPGQCRDCLGDLQNVVTQYLSGQPISNSAFVVWCSLIYSVSPVYDGRAMLQLAAPPEPPPPAMLTLPSSGSRSAAGISAGIACSVVLMLVLSVFFYLCLKRR >Dexi8A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:8A:30551448:30553247:-1 gene:Dexi8A01G0018210 transcript:Dexi8A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVDTFLVRIQGPDPLRKKGYKKFFKKMSDMVTKAKTQHEIGKDINDIKERVKEVAARRQRYKLEDITPAKTTGLDPRIASLYTKVADLVGIDEAREELISRLTKGDDDDLAVAEQGIVSVVGFGGLGKTTLAKAWK >Dexi2A01G0027210.1:cds pep primary_assembly:Fonio_CM05836:2A:38580236:38584324:-1 gene:Dexi2A01G0027210 transcript:Dexi2A01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAMPHETAQWRDPSRPTPSRGFFNILIPATQPAASFSNSSSSSPDAGGSKHCFSAPASEPTPRRRRQILERWAAAAAAVTASAAPAPAEQRRRARDAELSALASATRPVAARAAVFREPSPAPSDASSSVPSELPPAGPRASSLIQRWREIEAVGPATPRPGSCAGDPPSDSDGGSPRGRVGCIVKKLSGASSLPEEELDDVAKSEVSFSQSAPPSPMRSGASSLHHPINGPRPPQLVVRTVRGRRAMEELVAAMAHRRRREVAALAERHAVSRFGHKGRIQSMLRLRLLRQRGTVEDELWTLLKPVRPHQPKHVPENNTLRYGSSDTDLQEANSYNQQNNGKCVADEQFCINRVSAEEKSSDISVEGLVNSDGSGNLQCDQQMKTRGSFCFHSQKYSEASGFARKWKSG >Dexi7B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:7B:10550630:10557365:1 gene:Dexi7B01G0004350 transcript:Dexi7B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSRHGRHPTTPPPPSFSGGGGGGGETPPQRRTPKENVDPSSYTSPAHHHGPDPFRSPSSSARPLSARNRLPPRPPSSNPLKRKLDVSSAAAAAAGPAHDAAAPAPDSGVQVVVRIRPPCRVDEEEVGEDGRGPEACIRKSAVNSVAIQGQVQGQDFTFDAVADAVSTQEDIFNLVGRPLVENCLSGFNSSIFAYGQIYNEQITDLLEPTQRNLQIREDVRTSCVYVESLTKQYVFTMKDVTQLLLKGLANRRTGATSANADSSRSHCVFTCVIKSESKVSFTFRPSKALYSLIMNPEDGSSSTRSSRINLVDLAGSERQKLTHAIGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKNETLSTLRFAQRAKAIKNNAVVNEEKVEDVNALREQIRQLKDELHRMKSNGGLGNSGSITTGWNPRRSLHLLKMSLGRPTTFQAIKEDSDEEMEIDENDVEKPCNNDNMTISPIKGKESKGLKASIDTSAGTSHVEALDGDKNLISTKRSCCDAKKFSSVIDVAASIQKGLQVIESHQNNSAWRRASVGLNARIMDIQPCKVDVAIQTDPEESEPKDNPLALIPSCLLEASANESRDPSTCRDLQLVPVDGAAPSDDQKQQHFVKAVEKVLAGAIRREMARDEQCAKQAAEIQQLNRLVQQYKHERECNAVIAQTLEGKIARLESLMDGSLPTEEFMNEEYLSLMNEHKILQKKYENHPDVLRAEIEVKRLQEELDMLRNSGDEKEVLQEEIQDLKNQLHYMLSSSSSIRRLWPPVPFSQGANCGAGTKDNDGDANVADTPDWTEAESKWITLTEELRVELEATKSLVGKLQSELESEKKCSEELKEAVQSAIQGAARHLEQYADLQETHFRLLALQRRMREGIDDVNMRAEKAGIKGPGLQLISSLAAELSFLKAQNEGLQGQLRDTAEAVQAAGELLVRLKDAEEAEALAKKRALMAEQETEKAYQEIDNLKKNYDQEIVALNQRLAESSQSQCKDGAVEPEEPIDLEPPRYDTAGSPSGEPWKEEFSTLKQGGSFEVSKSTDLNSWFYGYDKCNI >Dexi8B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:8B:6891228:6893570:-1 gene:Dexi8B01G0006060 transcript:Dexi8B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMDMECVILQLTREEKTKYSIEKIRALSHLCELPPPSPQVRDIWYSEPPPPPPPHRLSEHGNGAVDGGGDGCDLVEAPGTGEEDGCKSPDVGRHESEAWEGDRCAAQRPHQLQHACVSTFLLADVLPTTDAALVASFATTELLPTTPTKCSTAGLYQSTSVPVMAATMALVTLSTSSTSALPQDAPTTFPMATSQHPAVVPTRCSKLGLYQTAHVPESATMASALLSAPSTSTISKHPAVAHSRCNHNLLGLDDTKEQLHK >Dexi5A01G0023080.1:cds pep primary_assembly:Fonio_CM05836:5A:27144674:27145031:-1 gene:Dexi5A01G0023080 transcript:Dexi5A01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAHGGLRVKLVDFLAGDKELLLSRWDSKKSVIIKGEGYLDFIQRCSLKENDVVQIWAFKQDPFYNFGKTLSES >Dexi7A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:7A:24940209:24941802:1 gene:Dexi7A01G0015080 transcript:Dexi7A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAASVHDFTVKDASGKDVDLRTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >Dexi3A01G0027940.1:cds pep primary_assembly:Fonio_CM05836:3A:27935284:27937631:-1 gene:Dexi3A01G0027940 transcript:Dexi3A01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFALLAAEAALALSLLFRTPARRLALLAIDRAKRGRGPVMARTVAATMLLVLASSGYSVAKIRRRAGELGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRMMKKNVEAVTKQSRTLEEAKHGGTEEIQGYQKEIASLKEQVQMLKDQSQKKTEELKTAEANSMALRKQSEGLLIEYDRLIAENGDLRNKLQAIDHHVSHSDGKKNS >Dexi5B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:5B:1745707:1746931:-1 gene:Dexi5B01G0002760 transcript:Dexi5B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVLCCADEAALCALCDRDVHAANRLAGKHHRLPLHPSASSPDCDICQESHAYFFCVEDRALLCRSCDVAVHTANAFVSGHRRFLLTGVHVGPQPDAQETDPHPHTPNAAAPAPPPNPPPAAKKARSTSSPAPLYYSDDEIDVGITGNLPDWQLVDEQLSAPPVPGPPAEPVVTRVPSKRKLAAAPFTVQGGLAGGMPDWPLDDFFDGFSEFTAGLGFTENGTSKADSGKLGSNDGSPTGRTSSDSAQDMFGQVPEFHQWSVPELIPSPPTASGLHWHGGAAAVSVPDISSPENPFRCFAADAGQPQPAAVKRRRRC >Dexi6A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:6A:6636541:6636891:1 gene:Dexi6A01G0006790 transcript:Dexi6A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLYRRLAQPTSPEPSSETRDWAAELPRDVLLDVFLRLGCRDIMRGAELACAPWRRVAVGEPELWRTVDMATMVRAAVDRGAGQCVAFAGPVDDDALLYLVER >Dexi1A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:1A:10249337:10250733:-1 gene:Dexi1A01G0011300 transcript:Dexi1A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGSKRGGGRGRKALVAVLDNEANISAGKVAQSGDLSASSAPKAKRAPARSGKAAKASAAAAASLVDDVAELQGMLERLRLEKEKAEEMVRERDEVIRKKEEEIETKEKEQERLQAELRKVQRAKEFKPTVSLPLVKSLLEKDQDVDDKSKKKKGKDKAVPERKKPCTAYVLWLKDQWTEWKALGAEDKQPYEEQYRQEKEAYLQVVGQEKREAEAMKLLEEQQMQWTAKELLDQYLKFRQDAEEGDGKKGKRKNSKKDKDPSKPKQPMSAYFVYSQERRAALVAEKKNVPEIGKITGEEWKNMTQAQKVPYEEVAKKQKEEYLKQMEVYKQKKIEV >Dexi3A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:3A:4557486:4558046:1 gene:Dexi3A01G0006830 transcript:Dexi3A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSEFSYQHHQGGGAPRDAAALHDDGTDHNLTVLLTFGIFLSFILLYLAAGVIWASVVTAIAVALSFFYLKARARRRAALRRHHGAALRVAAAGSRGGAGAVAVSAIPAFAYKREIAGAGGDATGWAQCVICLGLVQVGEVVRRLPACKHMFHVECIDMWLGSHSTCPICRAAVEGTDGQPELPV >Dexi5B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:5B:1664016:1667841:-1 gene:Dexi5B01G0002630 transcript:Dexi5B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLQQQQFSSAAETTEEGSVISPATPLAAMSETESAAAAERKPRRLRGHKKGAVTCCVASSARPGVIASSGEDGCLCWFDLRAKDVLLTIEAANKPISSICFKPGNEDFVYASAGNEIFSFDVRMGPQSKPLETYNYNRDEINQVDIIRPILMQIAVTSKGFLAAADDSGDVKICSSVQFIPWRPWTAITGGLDSKLAAWDFSKGRTLFSIDYGSPELQNGSSSGSTGQCFNPAFVHSVAVSEEGILGGLYKVCAVARGDGAVDVVDLEYELAPARSKGPPRATTSTVSSKGTELGDGRSNQIQVKRIHLDYTMGGHTAAVSCVAFSAFGEKGKFLVSGGNDASVKIWDWSKGFSSETNSNAELVLDIDVKKKVNWLCTTPTDSDNLIVCDTSKVVKVYDFR >Dexi2A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:2A:1035042:1035421:-1 gene:Dexi2A01G0001470 transcript:Dexi2A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLSAVLSELTSRSLHFFFSKISKPMPVDVEDRLRRVLLRAQVIVDEAMGRHITNQAMLLQLDMVRGAMYRGYYLLDSCCQSHCEDKKDKAVGYSSSLSKSGFEAAARDP >Dexi5B01G0030500.1:cds pep primary_assembly:Fonio_CM05836:5B:31444101:31449794:1 gene:Dexi5B01G0030500 transcript:Dexi5B01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLHYTDPVPGVASLVTLKVSREEGTSHDEPVDGRLLGSSDPLFPSGGLLYTSAGPFLLAAVPLLPLPLLLRPSSVARVQIRPQPDLAPGMAAAARAAGALAVALVLALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLRLNGNRLTGAIPRELAAISSLKVVDVSSNDLCGTIPTSGPFERIPLSNFEKNPRLEGPELQGLAIYDTNC >Dexi9A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:9A:14604216:14605018:1 gene:Dexi9A01G0019680 transcript:Dexi9A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHVAAAASLLLAMAATAGAVTFNATNAASNTTGGHRFDQAVGLAYANQVLSSASIFIWITFNQTNPADRKPVDAVTLVVADVDGAAFTVDDAITLSAQYVGNYSGDVKTEVTGVLYHETTHVWQWDGQGQANGGLIEGIADYVRLKAGYAPGHWVKPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKGGYTDDFFAQILGKNVQQLWQEYKAKYA >Dexi2A01G0023960.1:cds pep primary_assembly:Fonio_CM05836:2A:35636411:35638228:1 gene:Dexi2A01G0023960 transcript:Dexi2A01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVSAAAAVALCPILTGRRSPPASRVPATFRRRIGTRPRLFSSSSPSFPILRAAAMATAASDAGSKKKLLIFDTKEDLAVSLAKYTADLSEKFAAERGAFTVVLSGGSLIDALRKLTEPPYLESVDWSKWHVFWVDERVVPKNHEDSNYKLAFDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSAATGFPRFDLQLLGMGPDGHIASLFPGHPLVNEKERWVTYIKDSPKPPPERITFTFPVINSSAYIAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFADKPAVSMLQNK >Dexi9B01G0040080.1:cds pep primary_assembly:Fonio_CM05836:9B:40708751:40709995:-1 gene:Dexi9B01G0040080 transcript:Dexi9B01G0040080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKITSSNQAIHGKDEGVRRPSEETMVAIPAIPLALCMPMTHNFPGSSAGVLSELNKRGTGPNSLVHATALACGARVVPLEEAASLINAVESKIRSGGAIIAKLPASNLISPIPPAITMSSSSEDEENDHSGSLMVDVDGNRHNQSSDEMKLQSEPSGLETESDN >Dexi2A01G0024140.1:cds pep primary_assembly:Fonio_CM05836:2A:35830214:35831509:-1 gene:Dexi2A01G0024140 transcript:Dexi2A01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATSASTMSLLPISQLKQQQRHGAGAVVVFRPRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGGKQQGKPPVLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAASPPEDAAAPATEAAGQTMPVGEWPHRCPQQCDCCFPPHSLIPWPNEHDMASATDIAGGGQAQQQ >Dexi1B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:1B:2880687:2886429:-1 gene:Dexi1B01G0003630 transcript:Dexi1B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSDSRYCGVEVLDFPAGEGLPAVLNHSLASSFDFILAPLVDPNYRPTPGAVLPVSASDLVLGPAQWSNHVVGKISEWIDLDAEDERVRLDSELTLKQEIAWASHLSLQLWLRLPLEKCEPMDDDLDKVKNNNKTSEMVDSWELWNSFRLLCDHSSQLCVALDISSTLPSINSLGRWFGEPVRAAILQTNAILSGGSNHNVSPVSGGVLSGDENHIEGAPVRHALSPYLDYIAYLYQKMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDVVKYTQYQRAVAKALIDRVSDDAVSTTRTVLMVVGAGRGPLVRASLQLNEIWHSMQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWENLVTVISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHLETAYVVKLHRIATLAPPQQVFTFTHPNFSPNASNQRYTKLQFEIRPDTGSCLVHGFAGYFDSVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPDGSPIEVHFWRCCAPTKVWYEWAVTTATPSPIHNSNGRSYWVGL >Dexi9A01G0043930.1:cds pep primary_assembly:Fonio_CM05836:9A:47619048:47622363:-1 gene:Dexi9A01G0043930 transcript:Dexi9A01G0043930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVRCLRDGRLDGEHSPALAVEGSLQCCQLAAGAMLHVAAALASQAAAGKAQARGLVVVVFDRSPEVYLEFMRRRGLDANALNRCVRILDCYSDPLGWKQKIQNQQHRENSTKQFSANKENITVFRNVKDVKKLMCSITEIGGGFEGEGKRYFSIAVDSISSMLRHASVPSISGLLSNLRSHEPVSSIFWLIHSDLHEPKVPRAFECLCTMVACVEPALVDPVCVESPGNLSVLEQNYAKAKFIVRLKRRNGRVKHFYEELYVEGTDVKFDSAPSVITEVNQSLVPKVQFNLELSEKERTDRANVVLPFEHQGKGEPIRIYDGRRSLPEDQRDSNLAPALVDEIAPKSGTAKGEIHYVRDSDDEQPDSDEDPDDDLDI >Dexi6B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:6B:24034680:24037318:-1 gene:Dexi6B01G0016770 transcript:Dexi6B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSEQRLMAGRDLFGMPKSPPAQVPGPPASAAMQSVHMAHTADGTPVFAPVTSSAAPQSYQPQGAAHGPSMSTAVVTGGNGTMAPPGMGEPVAKKKRGRPRKYGPDGSMSLALVPASASTRSPAMGQGSSGPFSSAGSNPANSVPGVSPEGVKKRGRPKGSTNKPRMDDFGKISTFCVLTANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLIENGGQRSRTGGLSVSLASPDGRLLGGGVAGLLIAASPIQIVLGSFNSEGKKEPKQRAPLDPASASLKVTPTTAMGPSSPPSRGTLSESSGGAGSPQPLHQGMAATASNSNQSPIMSSMPWK >Dexi4B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:4B:16258231:16259832:-1 gene:Dexi4B01G0015050 transcript:Dexi4B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSKGLALVLILSFSSCCYLITPSSATPDSDGFLQCLLGNIPSRLIYTQGASNFTNVLASSVRNPRVFPGDRARPLCIVTATDASHIQSAVRCGRAHGVRLRVRSGGHDYEGLSYRSEQAEAFGVVDLAGIRSISVVVSDSGDNTAGKVWGEKYFAGNFRRLAAVKAAVDPNDFFRNEQSIPPFLQGNRLGKRLG >Dexi3A01G0025650.1:cds pep primary_assembly:Fonio_CM05836:3A:21355941:21359384:-1 gene:Dexi3A01G0025650 transcript:Dexi3A01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEAPKKKPKDPLLTPPSKPRGGFVDEPPRPWNRGGAAMSPAPASVPSYMRGTSSSDAKAGRRGRPSAPVSASASPARWRPSASASPASRRPAPSVSASASPAVRVLTKGKVLFPDEAPGSGSGLGRATCSSTMKDAKFPDALDLAPGATVAEGPAAMRVCPYTYCSLNGHVHSPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSGEKTSGGGVGGGTKIAPLIDEEAVGDFFVEVYTGPRVSTDMSCSDMSLDEMDATVRKMEFVMFDRCGADEDSEKGKNLAVCDDGEPEPHLRLQAKHGAFRDSPSECSEADTGSDFVEELPWMRYNGYDYDDSLDGEISEEQRMRGEESGGAEVSVEQAEDQGTSGRSADDLIEEAAQEQEKNDAENTSNLVCGKEIVADQGVACRAEACQEPDGRFEENILNKVCFGEASAGQGTAEEQLSEDVYKSDIPEQEVIGWVDTILEESCRNDTSADREANDDECSVQSDGESEATEEQVVEDEESTPDDGSEMEISEDAMSGYGCREDFSDEVTSRAVLAGRVSYLNSIDREYIDIQKLATNDLNRNDSTADDVFEQYDNTADGVSEQDGSPANAQKELGLTTSILEDASEETGIAQERSSVGIATHVSDGEQMKPMKDASEESSLCDERNLHDNAELVTDGAEMGPEITRCKLEDADKETEIDQETVGDEDSAFVSGYNQNNLGINECKSKDAFKESTTLEADLSDSSANIGFEAQDTVEDDDSACVGDDAQNDLDMSKHNSDDASKESAIAQEADQSDTSANVSSDAQNESELTTSESAVFGISDNSANESNPSTSKPEDVFEECIIGQEADYDDSSVNVSSDAQNESELTTSENEPKPSTCKPEDVFEESIIGKETDHDDSSSCVGDCAQNEYEVTTCLSEGVQPESDVIQEDKDVDNTAGAVKKSDVTTCESGGGSSKCSMPQETDGDINIIDASEISENATTMPKFDACEDIHVTEETNQSFIEQIPAEFTDAKEPSIDDICSAFSGMNLKGDVYFDPAESATCPRNKLIISRRRRTPEEEEYLRGFNPRAPNFLSLEVDPDAEKVDLKHQMIDERKNAEEWMIDYALRRAVTNLAPARKKKVELLVQAFETVLPHDEDDKKSITPTRPAQACN >Dexi1A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:1A:20755739:20756432:1 gene:Dexi1A01G0014250 transcript:Dexi1A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHGKKVVDSFTIRETGEVVRAGDCVAIRPSMDDDHENLSLARVEKMETCCECRGVAAQVRWYYQPWQTKHGSRTFHGKKELHLSDRIDTRSAYTFEAKCVVHTLKEYSKLTTAQPEDFFCRLEYKVDSATFMPDQLSVLHL >Dexi3B01G0024620.1:cds pep primary_assembly:Fonio_CM05836:3B:19285332:19288683:-1 gene:Dexi3B01G0024620 transcript:Dexi3B01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAAAASSPPPPPATAADDGARGAAEPVAVDERVASHVDPFLVEALDNPRHRLMADAGNKNGAPKTVEERIEEYNKARARIFNGSISADTDAASVLGTFSSGRDEPANVEPSADEVRVSTMNSRSRVAVFKDTQKDRSDPDYDRNYKRYVRSPVPDFNMNPGAFNFVVPQFMQYGVGYVQTPGMSANQPTVYFGQPDLSMGSSSGAVYPHWPTPAMMYPHCYDNTGPMISQVPLYQSFNHG >Dexi1B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:1B:1333155:1337690:1 gene:Dexi1B01G0001690 transcript:Dexi1B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARLPPSSSQARRVQFFPPRLPRLSLPLGCRGAAGVPSISASEFPLRPPRRRCLPLPVRNPTYLPSSRGHSLALSFKTGCLRLFASDASNPRADVPSSPSNPPRLPLLRGLEMIGSLVTGVLTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVLERVGENFVSWLPMYSEAKLAFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDMAVVYFQRVANYVQSRSYEILQYIASQSPSQRPRPQAQQQQQRPPPPRTRQVNAAPPPVPAPSAPPMPPQPAQAQVPPAPPRPPVPVAPPGAVPPVQPQAPPAPGAASTNGSQSTEAMPFDPLRPSTGTSPQLPPEETLIEEAIRLTRGRLRRRMAGGSGPPSS >Dexi3B01G0030640.1:cds pep primary_assembly:Fonio_CM05836:3B:30695626:30699410:1 gene:Dexi3B01G0030640 transcript:Dexi3B01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEETQSPEPTHPAMPPKRKSPAVAAPAVRSPRKTRSMTAAGTRGAEAALAKEVPAKKKEEEAAVAEPKGSKRAKKDETAVAVAAEPKGRKRGKKEAEEAVPAAEEDGGDAAAEGKRIIVEACTQCRQFKMRAQKVKEDLESSVTGVSVIINPQKPRRGCLEIREEGGEVFLSLLVMFFTVAMFLNHMSSNMPRPFTPMKKLDMDEVIKDIAKKIS >Dexi9B01G0047830.1:cds pep primary_assembly:Fonio_CM05836:9B:46835521:46841858:1 gene:Dexi9B01G0047830 transcript:Dexi9B01G0047830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSPRRRFAAAVVALALALVLGAAEARPDKEMREKFYGTLVTNGTRNATGDGSIAEMFGRVLDKEFADSDTPDAPDKSSFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKTKYPTLQLDIRLIKDLVVIIVSATGGGIIFSCMGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAVLCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFIAVTYMMTWSIVPRFLKLMIQLSSQHFLMYLPFSPHYIFLIYVQTNELYQLASVAFCLLLAWVTTPLIFKLIPVVMHLGILMRWFPTENSMQNEVRFVTSFADFSHMYSKLDN >Dexi7B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:7B:21519521:21520468:1 gene:Dexi7B01G0015470 transcript:Dexi7B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAQQDMEANVTHAPTTSSSSSSSSSTSSSSSLVVNVAPQEAPPKNPNKATNKRKRASSPDDDDSQEVEANVSNGHQGEESSSCCSTDDNAAASLSNKQAQAAAAAGGGADERRRSSSSSRSGYKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPDRAHELPRPASTSPADIQAAAAQAAAAAEVQCDASPSPSSSTDQLPLSPAADESPEAACCGPETMTTTTHGDGGQGQGDNALFDLPDILLDLRDGLWWPPEWPAAMAAAEEYDGVDVVGMHEPLLWAE >Dexi1A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:1A:24870879:24872372:-1 gene:Dexi1A01G0017580 transcript:Dexi1A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTPFGWLPMDPATAAALAGNLPHPFSAAAAHGVVYYLNLPQPLATVSTATAAAAVARQQRQNEEEDAAGVRMVHLLLTSAGAIQAGDHSSAQDSLTQARSILAGLPTSTGIGRIARHFVDALAQRISPASAAALPPPPPPVLADLHNHFYNAGPYLKFAFSTANKAILDAFRGCDRVHIVDLGIMQGHQWPSLIHALSRRHGGPPHLRITGVGATASGDVLAEVGRRLRQFASSLDVPFTFREVRVDALDGIPGWMLGVVPGEALAINSVLQLHRLLAEDADDTAAIDAVLRLVTSLQPRVFTVVEQEADHNRPALLERFTNALFHYASMFDSMEAVMMSHRLRGGLAGGVGVVTRALAEALLRAEILDVVCGEGSARVERHEPMVRWRERLARAGLAQVPFGPDEARHAVAQLALATRMMVAGGRSAGYGIVECDGALALAWHGRALYAATAWRVATRWWAAAGNAVRDEGGSSRNGSSGESSNGRGDWASFV >Dexi6B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:6B:5857299:5858112:-1 gene:Dexi6B01G0006220 transcript:Dexi6B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAQSGEVQCARQIVTISNGEEKFISYSKPKHHNTRGSDTSSAESGSKALRTYILHPKLTPVREEVKMVRATSFSTRLPEYDVPVVDKAVDATWKREQSRRTTLPPKDSSLGTTTKPSDSSWDKIVAILMACLMAIVMLVRSILLQRDCHKRPS >Dexi5A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:5A:24614749:24615395:1 gene:Dexi5A01G0020790 transcript:Dexi5A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEEEQRCAAAAGAAVGGEDHEGGGGGGLVSGLLGKAKGFVAEKVAQIPKPEASLERVSFRSVTRQGIELHSHVDINNPYSHRIPICEVTYTFKSDGKVIASGTMPDPGWIAASGSTKLELPVKVPYDFIVSLMKDLGGDWDIDYVLEVGLTIDLPVIGTFTIPLTTEGVIKLPTFRDLF >Dexi9B01G0025290.1:cds pep primary_assembly:Fonio_CM05836:9B:25496648:25498243:1 gene:Dexi9B01G0025290 transcript:Dexi9B01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMIVLTGSASVPLFLDSSHHGDVYRTVVYIGLYLAALGSGIVKPCTLTFGADQFDINDTVERAKKGSFFSWYYLTVKISALLSGTVLVWLQDNVGWGVGFAIPTVIMLFSLIVFVASTSLYRFKEIGASPLKSLFQVIGAAVKKRHLQLPNDSSLLYELTNSTLPDDATQKIKHTDQFRVFDKASILPAQSNHKSMLETSSWTLCTVTQVEELKTLLRMFPIWVSSVIFYAVNEQMSLTSVEQGMFMDSRVGAFSIPPASLSTVTVLSVLILVPVYENLLVPLSRHVTGKDKGLSQQQRLGIGLALSMLSMAYLALLENRRLAMAAASGLTRQNVPVPIGILWQVPAYFLQGAAEVFAVIGVTEYFYDHAPESMKSLCAAFGQLAISSGSYLSALVLGLVAVATTRGGSPGWIPDNLNEGHLDYFFGMMASLSLLNLLQFVYYSMSSRDTATCLA >Dexi5A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:5A:5370713:5372006:-1 gene:Dexi5A01G0007230 transcript:Dexi5A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLILLLLAGAAARASDDPFLTAAANHSYNIDCGGAADFTSSFGRRWLSDQFFSPGGAAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPAGRYYLRIFSVYDNYDSKARTPSFDVSAAATLVLSFRSPWPEPAARSGAYSDLIFPSAATDNASDVCFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLVLVNYGRVTCGNSLFGPGFTKDPDAFSRVWQADVDFRNNDLSYDAITAGGRKIFGSNQPPNYFPTKLYESAVTTGGDATNEIEYLMPVDTRLSYMVWLHFAEIDAGIGAAGQRVFDVMLAGENVTRIDIFKQVGGFTAFKWTYIVENLTSSTLSVKLVPVVGRPILCGLENYAMVPLEMRTVPSQGNRLLKILN >Dexi4B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:4B:16438880:16440270:1 gene:Dexi4B01G0015140 transcript:Dexi4B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSYTNGSVFEVSVEEGRKDKSEAFADVVDEHPPEADEADDADGLGVCAMLSSVPFIQQLIAEFLATFFLIFAGCGVITVNQKNGMATFPGIAVVWGMTVMAMIHAVGHVSGAHINPAVTVGFAVPAYVVVQMVAAIIASLMLRLMFGRSNEVASVTAPTGSNVQSLVLEFIVTFYLMPVSGASMNPARSIGPAMVGNKYTSLWVYILGPFAGAAAGAWAYNLLRPTDRTLGEITNSARRNN >Dexi1B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:1B:11978322:11982736:1 gene:Dexi1B01G0011640 transcript:Dexi1B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGKFLQLISQFLGGFIIAFARGWLLSLVMLSSIPPVVISAGAMSLAVSKLSNRSQMAYAKAGKVVEQTIGSIRTVVSFTGEKRAIDRYNEFLKTSYRSTVHQGIAVGVGIGSLVLIVFCSYGLAVWYGARLIVEKGYTGGYIINVIMAIMTGAMALGNSSTSLSAFASGRIAAYKMFTTIYRTPEIDVHNKKGLVLENFMGDVELRDVHFSYPTRPGQSIFSGFSITIRSGTTMALVGESGSGKSTIISLVERFYDPQSGEVLLDGVNLKLLNLSWIRQKIALVSQEPILFTTTIRQNIEYGKKGASEEEIRNAIMLANATKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATNALDAESERVVQEALNNIMVNRTNIIVAHRLSTVKNADIISVLHHGQLVEQGSHSEMIENPNGAYSQLIRLQEVHAKKSGHCADNPNRIQTALYAANSTSENSIIKPSFDRSMSRHSLQDGSRRNSHTFSSNEHATIGDDDVKLGKKVLRRLLHLHKPETKILLLGCTAAAANGAILPVFGLMISSAIRTFYEPPHILQKDSVFWAEMYVTLGVASILIIPVQYTMFYMAGGKLIERIRALSFTRVVYQEIGWFDDPTNSSGAIGSRLSADAASIRGIAGDALSLIVQNISTAIVGIVIAMVANWKLACIVICFVPCVFAQSYAQARFMRGFSADAKKIYEQASTIASDAIGNIRTVASFGIEDRIIENYRKKCEAHFIHNGTADFGQVFKVFFALTMMAIGVSQSSSLARDFSKVEDAAASIFGLIDRKSMIDASSKEGITLATVQGDIELQHVSFKYPARNDVQIFRDLCLRIPSSKTIALVGESGSGKSTVLSLIERFYDPDSGTILLDGKDLKSLKLSWLRQQVGLVGQEPVLFNDTIRANIAYGKEDQVSEEEIVAAAEAANAHGFISALSSGYDTNVGERGVQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESEHTVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHEQLLRAFPGGAYASLVALQSNSSS >Dexi2A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:2A:1587299:1587817:-1 gene:Dexi2A01G0002080 transcript:Dexi2A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGGGVGRHLHHVSWLWRGPRRALTAARDLYVRSLTGCAGHLGGDAAFGYPSFAAAAPAFGRTDSFSSFSSSRRSYDASAAADEDLRELIRAASQRRAAEAEVARQQQQQRHPAAVPRSQSVAMARIDEDRPCEFTAGAAAAAVFPRSRSYAVGAGRRGKLAALAT >DexiUA01G0023190.1:cds pep primary_assembly:Fonio_CM05836:UA:47381764:47382420:1 gene:DexiUA01G0023190 transcript:DexiUA01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPVLAAATALSLSSATRRRISLPLSPSMASLMSASTSTRLTSSPISIPMPHSVHLYRQLVCCSAKNGQHTIGTPPQMLSSVEFQPECVRNTPTASCSSTAACGHHVDSMLRPSTRVTTVTLDEIRTHPVLAKPHANSASCSADITVMLPKLTYTTERGGRPSNHRSGASCSFHRLDPMAATGLSTGTLFRTVSGSGPTV >DexiUA01G0023190.2:cds pep primary_assembly:Fonio_CM05836:UA:47382421:47383196:1 gene:DexiUA01G0023190 transcript:DexiUA01G0023190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSFAMTSSSGASSSSKVLMTTADACDMPAVSWMKKLSMTSSGSVVPRRAGDPVDDGVVEVAVGELGRVAERDEAAVDEVAVVVVGDEAARAGRPERGAAEAELTCGAEREGHGAVGDHAGDTWRVGVEGGEEFLEAWEAGGRGGVAEGGDVLGDVGVGAGEAVVDGDEAEARRAVERVGPPRAEVAAVVELVVDEGDVEATGVEELGELQHGRDVALRWVWDHHCVRWRRRLALRRWQRAHDG >Dexi5B01G0026490.1:cds pep primary_assembly:Fonio_CM05836:5B:28256291:28257785:1 gene:Dexi5B01G0026490 transcript:Dexi5B01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEPVMESVMDKISEKFHGGDSSSSSDSDDEKKKGSSSASAAAAMKDKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLLCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDVAVNVALSLRYEINRGFATLREIGHGRDLKKFLIVIAGFWLLSVLGSCCNFLTLFYIVFVVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKDKKH >DexiUA01G0027790.1:cds pep primary_assembly:Fonio_CM05836:UA:59640857:59643977:-1 gene:DexiUA01G0027790 transcript:DexiUA01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRTKPRETVIIELDDDEEEEEDGGGGGGGGGQAGRRALGEGAAAIAGGGEPLRPVKPEPVEDVRLNAAGPRAPQGFAVVPIPPRKENPRALPPVAAVRPASRPRPEVIDISDEDDEDSAFRGVLPLRMIDGSGGRGVRPIKDEPFLESSSDWARSAEAERSLVPVLPPGSISAERKRKREGTSTSRGKPDARGDSQSLNRNSSASGAGPGTMEMADKARSSRKGNNVKNKEASRGSASDRSLLAKKAFAPSEESRGPQVKARRGGRARSGERSSTAAPASWVGTTVGSRVRSRSRQQSRVQHATYSARVSSEDTEEGDDEEVEQDQEQKTGEDVKVMEVDDYDDSGNEVAQERDQEETVEEIGQDGHGDSEDEYREGRDSAAVADNDEEIGEKELLVEEDEYGNQEDSHSIYDDEEEEEEEDGESEDNGQELGETGEVQPFTTSNATAGGSVLSGCDGKRVFRWKIFEGIYLPENPRQTDGKGVHGRTRSKRKCKDKKLLRRGTFSKPYNIDVSDSTSDSEEDIPPAPPPGPMSWSSSDDNTRIFGKRKRRRRNKRRGKRLSTSSDESEEYRAHARDAGGPYRRLKKGLSSPQICKDGSNLGRAKYNGPNGGNPMDMGNAQDDISFKRKTRMIRVKKRGRIAKAAYDELLNSLFAGWENHVDVPGHAETGNSLPLVFSFGDEDEPCEKTENDKYHEDLWRECDIAFESINIGSHGCEEDGQEVPQVEQTSCKNGKHEFIIDEQVGVRCKHCHIVDLEIRHVLPTMGKFSAERESAVEPELDSMLKEMLDLFEENDVLVSNGHEVPCSFGGHKAGSVWDLIPGVKENMFPHQQDAFEFIWTKLAGGKTRLAITFVQAYLEVFPQCSPVIIAPRGMLATWEKEFKKWK >Dexi5B01G0039850.1:cds pep primary_assembly:Fonio_CM05836:5B:38499448:38502386:-1 gene:Dexi5B01G0039850 transcript:Dexi5B01G0039850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPVVARSAIRKPNESMRLIVVTIVGVVFGFFVGISFPTVSITKLHFPSSIVSYIEDKNSGLSAQAILNHAWTAARNARGNGSDPNSNDALKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSSDFAILLFHYDGWVSEWDEFEWSKKAIHVSVRKQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVDHFNGGEYIKLVKKYRLEISQPGLEPDKGLTWQMTKRRGDRQVHKFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQSENGRAPWEGVGILRLMLRLMKNGD >Dexi1B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:1B:481433:484583:1 gene:Dexi1B01G0000530 transcript:Dexi1B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDSSSSSPLPYSYTPLPAADAASGEVSGTGGRRRPLLAAALVLSAALVLAVVALAGVRVAPPRRPAVVDTPRHHDEKRSRGPEAGVSEKTSGVWSPAGMLGAEEGGDNAFPWSNAMLQWQRTGFHFQPQKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDTNGVWTGSATVLPDGRLAMLYTGSTNESVQVQCLAVPSDPSDPLLTNWTKYDNNPVLYPPPGIGPKDFRDPTTAWFDPSDRTWRIVIGSKHDTHHAGIAVVYRTKDFVHFELLPGLLHRVEGTGMWECIDFYPVGTKGKAAKNGIDVSDAISENGVVVDDVVHVMKASMDDDRHDYYALGRYDAAANSWTPLDATRDVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVLFDTKTGANLVQWPVEEVEALRTNSTDLSGITIDHGSVFPLNLHRATQLDIVAEFELDRHSVMALNEADVGYNCSTSGGSANRGALGPFGLLVLADRHLHEQTAVYFYVAKGLDGSLTTHFCQDESRSSSANDIVKRVVGSAVPVLDGETLALRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVYLFNNATAARVTAKKLVVHEMDSSYNQDYI >Dexi3B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:3B:1725943:1726419:1 gene:Dexi3B01G0002530 transcript:Dexi3B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDTYGGNVSPVASDAESPQLVSTVDELIALVRAAPPPAPGVGPFTFQFMLTLHALELGDGDLPPWDGDGVVAASDGRFVPASSEAMARLRETTVAEETREEDECAVCLKSFEEGDRMGAMPCSHEFHDGCIRRWLAISRLCPLCRFALQSPPRGS >Dexi5B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:5B:11833816:11845152:-1 gene:Dexi5B01G0014910 transcript:Dexi5B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPQASAAAAAASPTLRFLHPPSATGLALPSLAGGCGGFRVQFHPSRRGRGVQGWREGGSHVARVGGLLGGMFGGGGRDDGEGTRKKYADIVARINAMEPEVSALSDADLRARTAALQERARAGDSLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYILNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMAFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVNPIDGVIVSKKQMPPRKTWKTNESLFPCELSKETLSSVKDAVEVAVKEWGEKSLSELEAEERLSYSCEKGPTRDDVIANLRNAFMKIADEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPKENWDLSKLIAKLQQYCYLLDDLTPELLESKSSSYEDLQEYLRKRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAFKPVVKNQEEEKAQNKGSKKKVDKLGAAQAT >Dexi8B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:8B:24093358:24093824:-1 gene:Dexi8B01G0013720 transcript:Dexi8B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNANLTLQTAPSLCHSGQADALLKLKRSFIFDLDSTTTLQSWQAGSDCCLWEGVGCSNASGHVTVLDLSGVGLHSKGIDTVIFNLTSLRVLDLSMNDFGGLNFVNPSDGFERLSSLTHLNLSNAGITGQIPKGIRKLTNLFHHYR >Dexi1A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:1A:11039475:11040009:-1 gene:Dexi1A01G0011880 transcript:Dexi1A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVAARSRALLQAVSSSLLRRSCLPTSRRASCINRLPLVSGGLLSALPLHSAVASARLQSAIASESRSWCLVPQGNW >Dexi9A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:9A:5533979:5536958:-1 gene:Dexi9A01G0009250 transcript:Dexi9A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTPPAAASLVVPTAVLCSPLQHPTAPDLLPLLPYAPLRCAIPGCGAALNPFSRVHHGSARWSCPFCGTGANPFPRHLAPDALPAELFPTHSSVEYALPADPAEAGGPGPPALVFVVDAATEPAELAVLKDEVRRVVQALPEGVRVALVTFAASVWVHDLGFEGCARVVVINGERELGSDKIQELLGVHRSRYNKLAMPRSTEAQRFLLPVSECEFNITSAIEDLSSMSASPRGHRPLRATGAAISTAIALLEGCCSPSTGGRIMVFTSGPATVGPGLVVETDLGKAIRSHRDIFNSSAPLFDKARDFYKKVAKRLTDHALVLDLFACSLDQVGAAELRNPIEVSGGLMVHTESFESEQFKSCFRHMFKREGTDYLNMNFNATMEIVTSKEVKICGALGPCISLRRKNSSVSDKEIGEGGTNYWKTSSLSSKTCIAFFFRVDCGHKAEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVYRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAQALMVERFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQG >Dexi5B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:5B:9481982:9484573:1 gene:Dexi5B01G0013370 transcript:Dexi5B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGLGGLRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVNTYTRNQIGTSTITVMTSLVALSFYGASQIKFHWVERYDKILVGTVLCLVGILTYVFHHHDGDEHSLHEHVYWKLVSP >Dexi6A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:6A:8081862:8083020:-1 gene:Dexi6A01G0008010 transcript:Dexi6A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTPIPQPPPSTTSSTSAVETVQGTHIFHVCGYSPLRSTFGAGNFVPSGTFAVGGYLWSLRFCPAGGGGAAWPGRSTTTTSSQDCSFHAELMTKGANAKACFTIGLLNQADGSTPWEQTSPLITLSTTGHGSPLARIAVVKRSKVEDWRTSPFFGQGAIAADLPECLGSFLEEGIGSDVTIHVEEETFPAHKVVLAMRSPVFKAQLYGPMRERREMEHEHHLTIDDMQPAIFRALLRFIYTDSLPAIGGRDEDDRREMICHLLVAADRYAMERLKTMCQGIICRSLTLHTVAATLALADQHHCDMLKDACMEFIFSPNRLGDVVESHGYAKLKSTCPSVLVEILEKSSMSFGQN >Dexi7B01G0023180.1:cds pep primary_assembly:Fonio_CM05836:7B:27835309:27837174:1 gene:Dexi7B01G0023180 transcript:Dexi7B01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPRSLHALLGGGAVADVLLWRRRNASAAAVVAASAVWFVFERAGYSLPSVLANALLLLVAILFFWAKSASLLNRPLPPLPNLEVSDVVVEKAADRALVWINRVLAVGHDIAIKRDRKVFIQVILILWMVSYVGMLFNFLTLIYIGVMLSLLVPPLYEKYQDQVDEKLGVAHSVLSRHLDTIITRAGQSAKQKKTE >Dexi9B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:9B:4741134:4742427:1 gene:Dexi9B01G0007770 transcript:Dexi9B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPALGDAPARRVPEHAHPLPDPIIAKDALHLATQLLLRHDAVRARRHRQAGLPHVADVALVLELVREVWPAQERHPVPHPFHGRVPPAVRHEARHRTVRQHTLLGAPADDHAHPPTRCRNASSHALLRPAVKSGRTTHRNGRPVSSSPSASSSNWHGSNTVKLPNATYTTERSGWASSHSRHLVSSGKNCPTGFLSCRSSVNRGPMGRMFGYSSNAPGSASTNELQIMFSARSFASLLRITSWKMARKAADPGQKQADLMPSFLATSNAQPMASSAMSQLIFVPPDASILSTSSSRERVHELAEVLAVVAICKPVRDANQVDAVGVER >Dexi2A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:2A:13077888:13086156:1 gene:Dexi2A01G0011260 transcript:Dexi2A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAVQGGSAISSSEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLNKKKADGLRRLRISVGHDSRISAHKLQNAVTHGITASGHDVLQFGLASTPAMFNSTLTEDERNHLPVDGAIMITASHLPYNRNGLKFFTNDGGLNKANIKDILERASKIYEESANGNQQEQEGAFRGALTNVDYMSIYASDLVQAVRKSAGDKEKPLDGLRIVVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDETAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMAAIVLEEHPGTTVVTDSVTSDGLTVFIENKLGGKHHRFKRGYKNVIDEAIRLVKLLNKLAAARTLGSSVGSKVLTDLVEGLEEAAVTAEIRLKIDQNHADLKGGSFRDYGEAVLRHLENAIDKDPNLHKAPKNYEGVRVSGHSGWFLLRLSLHDPVLPLNIEAQSKDDAIKLGLAVLAAVSEFSALDVTSLNKFVQQ >Dexi4B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:4B:1121772:1123012:1 gene:Dexi4B01G0001800 transcript:Dexi4B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLPFSLPIPPALLHAAAALALAALAHFLHLPSLFLYSLHTYIHPDAVPSNTPRAVLRPPGSDAGGPTKGSKRAAAASKDAFDATSAQLYRLRLSHSTLASRPHFGAYHTSLLLPLALLPPALLLPAAPVASPLAPLLPAAYLFVALLRHVVVPSPRPAQLAAALGALLATTLLSSSPFAGALASLAALPAARFARVFWLGTDQPRTGLAVLASSAPARLLLHLAVLVSSVASILQCCGFVDGAGQEVKLLAAAAGLQLLAARAAVQMYLNEAVFCWYQRLHASRSPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDFFEGVEGLDWLVGWSVAMKEAALLAARWIVAVWSAVTVITLTKLVYHEVTSQAG >Dexi4A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:4A:1785704:1789101:-1 gene:Dexi4A01G0002610 transcript:Dexi4A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHQGSSSAAGGEAPTTGEHRMGTTIVGVCYNGGVVLGADSRTSTEGMSQEEAEKFVVKAVSLAMARDGASGGVVRTVTINADGVKRNFYPGDTLELWHEELKPHSSLLDILAAGSPGPMVQ >Dexi9A01G0025890.1:cds pep primary_assembly:Fonio_CM05836:9A:27350413:27352740:-1 gene:Dexi9A01G0025890 transcript:Dexi9A01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMAHPLPDRLLPSRPVCTRPPWHLLGDQPHRSLARLAKIHGPLMSLRLGSVTTVVVSSSEVAREFLQKHDVAFASRSVPDAVGDHARNSVPWLPHSARWRALRKIMSAELFAPHRLDALQHIRREKVQELVDHVGRLARDGTPVDTGRVAFTTAFNLLSRTIFSCDLTSLEDHGRSKEFQEMVTETMEAAGSANLSDFFPALAAADPQRLRRRLARLFARLHQVFDVEVDRRLRERDAGETRKNDFLDLLLNATGEPSTPGLDRDTLQSFFTDLFAAGSDTSSSTVEWAMAELLRNPDSMAKLCSEIAGVIGSRRKIEESEIGKLPYLQAVIKETFRLHPPVPINVAAAAS >Dexi9B01G0035450.1:cds pep primary_assembly:Fonio_CM05836:9B:37102089:37102208:-1 gene:Dexi9B01G0035450 transcript:Dexi9B01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRRPPPDSSQKRVGIWGQLRRRSWGLN >Dexi6B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:6B:26466113:26467076:1 gene:Dexi6B01G0019830 transcript:Dexi6B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASHARRRDMTAHATGVRDTCHGLEASMSMVYMRSHGQSGEGLSSSSTSVRTANPSRTEVIMDMEYCTAAAEQQLCKLRQHLLVGSCSSPAAMAGALEGLARVYEHGEMLASSAARDVEQVEGELEASVSLLDACAAARDALAAMRSCTLDVEAAVRRRDGPAADRAATACARLAKRASADARRKQRRRSGERGGGNALQEARRLTVVVLERVLTAVSRRVAAAGGGTPRPANSWSTCVARAFRKSARVACEDADESLSSLSSKDSHDGEAAVRMQREMRALGDTIQQLEDGLELLFRRLVQCRVFLLNMSSC >Dexi8A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:8A:12380001:12383266:-1 gene:Dexi8A01G0009410 transcript:Dexi8A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAATRGGFSGPRILLPLAVVALAIALVSRSLVPDMADDLPASIYDITVKDIRGNDVKLSDYSGKVLLIVNVASQCGLTNSNYKELNVLYDKYRQKGLEILAFPCNLFAGQEPGSNEDIQETVCTRFKAEFPVFDKINVNGKDAAPLFKYLKSQKGGFLGDGIKWNFTKFLVDKDGKNDIQKLLGTSL >Dexi4B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:4B:789134:794079:-1 gene:Dexi4B01G0001270 transcript:Dexi4B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAGARLALLLARRSLSSGAAASRFPRACTGIWSDAARASPSRSSPFSSPSTAHYRFFHGTRPVAARDYYDVLGVSKNASQADIKKAYYGLAKKLHPDTNKGDADAEQKFQEVQRAYETLKDEQKRSLYDQVGPDQYERAAAGGGNGNPFEGGFGNPFEDIFGGGGGGGGMNDFFKNIFRDREFGGRDVKLELEISFMEAVQGCTKTINFQTFVTCETCAGAGVPPGTKPETCATCRGTGMIFMQTGPFRMQSTCTKCGGSGRTVKDFCKACKGNKVVPGTKSVRLDIQPGSDNEDTIKVMRSGGADPDGRPGDLYVTLKVREDPVFRREKGDIHVDTVLNVTQAILGGTVQVPTLSGDVVIKVKPGTQPGQKVVLRGKVYKPKYYVSHRNLTPRQQALIEEFAKEEQGEDEKDAKAAGASG >Dexi1A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:1A:532429:536455:1 gene:Dexi1A01G0000820 transcript:Dexi1A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLILRGSAAPPSPSPCVASPYRRCLASSSLRPTRASRASLLRLHPASLRATARVVEVGDGGTDGLIPVSRRYEGRLARLELAGAARREQAVAAAAAADGGAAAEAHLAAGAEAMVVEAFLPGTDGGGASASSTRVILQASEVKDKASKIKKQFGSEFFPENEPDSETVLAMAFKQIVMQRLYSFRLEVLCPGSEKDVQDLGKPQKVAMDFSVISSDEKLLASLAEAIFSCVIEDASNNHLGGTGSLFQKRQFNCSIDSSVCVHKISEAEMAKNAKRCLESFNLMKSPPNVRKTKNGWWPMPNYESLVKIGGPEFALWANEYIPTYKLQINAKAFRNTNLEGRHELESNRWEVLLTHSQLAELGNIIDMYFEDQFTLPGKTFHPHWNSDPSKIKKNNGYLNSLFTFLAGSCIILFVAIFAQLCWPRSLRDKRLFKGSSEVASSQSYCSDINSLDSSELQAYCTSLINKIKESYGCPGDVMLDARIGTWVGELPDCFKGINSKDSTASGDVQHLDDFSQENQSQLAPSKMSDLEQNDRTQENLQNIASFQVVLSEEGKVVGFQPTNRPAVNHWSTNPLATLLYQGRTLSPGILEPKLKISRPAKAFPIELLMSVNQDPFFALARPVQDLR >Dexi5A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:5A:20051320:20052202:1 gene:Dexi5A01G0017030 transcript:Dexi5A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKWLKKQIEQSLREWREQFLRYKELKRCVKAVPCGCPPSPAAEAEFVAALDAEMERINAFFLEQEEEFIIHHRELQEDIARALHRKAAGLVTPAQHEAAVAAIRREIVDFHGVMVLLLNYSSINYIGLAKILKKYDKRTGAVLRLPVIEAVLEQPFFETETVSQLVRECEAMMEAVFPEAPEGQAAARQDREALAAAEAEQSIFRNTVAALLTMEDVRAWSSTRGRHSLPPLNLPDSDWLRSFQLASGSPIPTQ >Dexi9B01G0028640.1:cds pep primary_assembly:Fonio_CM05836:9B:31275051:31275709:-1 gene:Dexi9B01G0028640 transcript:Dexi9B01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRSTLALALAVAIAAASLADARLPDYHPSTFTVTGKVQCQDCTKNWNAYAYNAKPIPGSMVGITCADDRGRAVYHGSDATDGEGVFIIEVPSKVNDRDLAPSRCVVRLASSGDAGCAVLTDFNGGKTGQTPSRLTHVSPGKSTYAVGPYYCTLPRCDVKDDDEAACAGY >Dexi3A01G0027020.1:cds pep primary_assembly:Fonio_CM05836:3A:23629542:23630162:-1 gene:Dexi3A01G0027020 transcript:Dexi3A01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISLFPRDATNYEFNEETKKLTVYIPSPCDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGLKTKVLVWTKVTAIKTEGSKVHFTAGVKKTRSRDAYEVVRDGITIDKF >Dexi5B01G0036640.1:cds pep primary_assembly:Fonio_CM05836:5B:36306972:36307726:-1 gene:Dexi5B01G0036640 transcript:Dexi5B01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQPPRRFITIPFSSGCRSHSCVDVVDTARHAGKKPHPQGIEFGVVPGGGAPARPPASGKGESLVARLLRGFKNLSQIFAVYDEDDDEEEEEREMVIGLPTDVKHMAHIGWDGSTSTTTSLRSWNRAAPPPPPQPAMASSSSSSSASAFTSSAAAPATQHQRQRQPQEQYPLPLPAVDMRQFELAMAAHAAATSSSGGGPHRRHS >Dexi8A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:8A:16971674:16972905:1 gene:Dexi8A01G0010240 transcript:Dexi8A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDKFSLNSADSKPSVKPSCLLPTEELKTYPNTLPTEIFSTIRLDGSDAFYVLELSTSREFSSSLVDKNSAVLICLIGVDGNSLLQRVPAIYLGQPTPGMKPEQSVPFQCGSVDVVTFKGPKLQRIKEVWIGLESGSWRLDGLSLKLNHGPVDPPNGSPELKFNGLQYTFNKINVLLGEDGASVAEARPVAVTDLSGVSLSDLQEGQLSLESTASIVKELKEDGLRQYADLKQSLLLYDAAIVISGFSAFTLASNDNTAYSFLVGGIGGFLYLLLLQRSVDGLPVISPPSEVGSTALSTSGFSGVRRPWLILSLVMVAGAVALKYGAGGDSFKLTPTELFVGTAGFLANKVAVLLAAFKPMQTDLKGEDRSGDST >Dexi6A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:6A:24914581:24922231:1 gene:Dexi6A01G0017040 transcript:Dexi6A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGAVPTYVPYRRRHDEQRIYSAITVLNPSTYQKIVIPQFEATWDRAMSSKPHKFSFGYLPTTGKYKVVDVTCTPSSSSIDRVQVFTFTQSQIDTGSWRELPAAALNNNIPDADSSFHDTGDVLVTVDGATHWLTARAERVIALDLEDERHRHRRRRSPPRWSRRCSLMEPSSPDDGRWITSPHFTHGEYVLSTREADGAAWLYRHRMGDLTKNDGGEKAQVWPLEGEKLMCNSKAGVMTFPFVETTEPLPICPPLEPWEVICFFRL >Dexi9A01G0047360.1:cds pep primary_assembly:Fonio_CM05836:9A:50493969:50494442:1 gene:Dexi9A01G0047360 transcript:Dexi9A01G0047360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGGGGTTMEAAGSAVAPRGPTVSPRTNPAGDDGCCRAGAGGGARGGGGTCWWRPAAGGRSTPVTPFLGRQQRCAPVAPAAAPPAAGGRRQGAQGGEERLLRQLLRSPRLLLPAAVAPAALPSAPPDAALGRARRRGEEEAAAGQPLRRRRRRQ >Dexi5A01G0039540.1:cds pep primary_assembly:Fonio_CM05836:5A:40116977:40119963:-1 gene:Dexi5A01G0039540 transcript:Dexi5A01G0039540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGERTHHKHWHQGHGSSKDKKHDKRQPKSIPDTYSSVDEVTTALREAGLESSNLILGIDFTKSNEWSGRLSFGRKSLHATNGTPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYPENRLCRGFEEVLIRYRQIVPHLNLSGNDA >Dexi5B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:5B:1558868:1562602:-1 gene:Dexi5B01G0002410 transcript:Dexi5B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLAPSTFLVQLPAGRRRCTVRRMGGDPSAAARRWVTLTEEVQAFDAHLLRQSTPPEKVSADDRLVGYETLLVARFLDILQGLHGSDFRQVVEECLRLSGEYHVDGDPARLDELGALLTSLDVGDAIMVASSFSHMLSLANIAEEVQMANRKKAETDRRGGFADEASASTESDIDETLRRLVGDLGKTPREVFDALSSQTIDLVLTAHPTQAVRRSLLQKHARIRNCLTQLCAEGIAENERQEIDEALQREASDDGMTSDILAALRTDEIRRSQPTPQDEMRAGMSYFDDTIWNGVPKFLRRVDTALKNIGIDERLPYDAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMTDLMFELSMWRCNDELRARADELHRQSSGKYAKYYIEFWKQISPRVPYRIVLGDVRDKLYNTCERARQMLSRGVSDIPEEETYTNVKQFLEPLELCYRSLCDCGDKLIAEGNLLDFMRQVSTFGLSLVKLDIRQESERHTDAIDAITTHLGIGSYKEWPEEQRQEWLVSELRGNRPLIGPDLPQSDEVADVLGTFRVIAELPSDSFGAYIISMATAPSDVLAVELLQRECGVKTPLRVVPLFEKLADLQQGPATMELLFSIDWYKQRIGGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVSVAERHGVRLTIFHGRGGTVGRGGGPSHLAILSQPPNTVHGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMATVSTEEYRSIVFEEPRFVEYFRSATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAAAASSGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDKLLVPGDLQPFGEQLRANYEETERLLLQVAGHRDLLESDPYLQQQLMLRDSYITVLNACQAYTLRRIRDGEFRPAASKELMGSPSTAEGLVKLNPSSEYDPGLEDTLILTMKGIAAGMQNTG >Dexi4B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:4B:6965786:6967232:1 gene:Dexi4B01G0009610 transcript:Dexi4B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATEEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >Dexi5A01G0022280.1:cds pep primary_assembly:Fonio_CM05836:5A:26179419:26179808:1 gene:Dexi5A01G0022280 transcript:Dexi5A01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWMPPTAACPSDLAWPLAPRWCAASPACPSWPGGHCHGLTAELQSGPPGRALPPQRSEAVGPSSLPSLPLGGRRLSRFLDLLIWGNRGVPAAGRGEGGFAAERDSHRRPSEMSWPRGNWELGRYGW >Dexi6A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:6A:19505901:19507608:1 gene:Dexi6A01G0012780 transcript:Dexi6A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADVPPPWHRRLILAGHLPPEGKKLVSPRAGSLKRAHEEEDFEAALAKFEVEPEVESEDEAQLFTTKGSVVAKVDVDGPNATKKKTQFRGIRRRPLGKWAAEIRDPRKGVRVWLGTYNSPEEAAKAYDAEARKIRGNKAKVNFPDDAPLASQKRLPEATSMEVAKKNTEEKQIVNNLTNSNPEPFMQNEEMSFASLVNDGASIQETMVNLSFDQGDASVELYEFNPYMNFLMDSSDESMNTFLGCDDEPEDVGSSMDLWNFDDMPMTGVIF >Dexi7B01G0023090.1:cds pep primary_assembly:Fonio_CM05836:7B:27787822:27789013:-1 gene:Dexi7B01G0023090 transcript:Dexi7B01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRLGGAGRALFTLPNIRRRASNSVAAVRDTFFSTKQVFESHRIVFTVGTSIASVLTAWAER >Dexi7B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:7B:960653:963243:-1 gene:Dexi7B01G0000340 transcript:Dexi7B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQPLQDPTATATAAGEEPAGAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLAIIPSKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILINSICGILAFCIAIGLYYVTGKESFLVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWKIKAKWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLKGDPSDDFSFPSLFPAAMRPVTDPVANLFDRMLCARSRPSEVALPVSDPAKASRRRERGERVLEERLAADHAVDTEAPAHTAED >Dexi6B01G0019840.2:cds pep primary_assembly:Fonio_CM05836:6B:26474066:26475582:1 gene:Dexi6B01G0019840 transcript:Dexi6B01G0019840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRLSNEAEVEAQLQSLEASISSPSATIESTCDGLRRLGDVYSHIEEIIHLPSNQVCSIQQRKELDSEMESSLELMDLCNAMQENFAELKNTIQDLLVALRRGDDASTQAKIHSYIRLVKKAQKQFKKTSRKTTSDDSKMVRLMLKARLVAASLLELALCLVAKQIEMPKRSLISKAFQKRSEVVCKEEQLQALECYHSFNLDGASAVRQFLGGRRRRFRPSSGIGAVRFFFFFLLMKRPP >Dexi6B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:6B:26474066:26474681:1 gene:Dexi6B01G0019840 transcript:Dexi6B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRLSNEAEVEAQLQSLEASISSPSATIESTCDGLRRLGDVYSHIEEIIHLPSNQVCSIQQRKELDSEMESSLELMDLCNAMQENFAELKNTIQDLLVALRRGDDASTQAKIHSYIRLVKKAQKQFKKTSRKTTSDDSKMVRLMLKARLVAASLLELALCLVAKQIEMPKRSLISKAFQKRSERSNCRH >Dexi3B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:3B:11728119:11730525:1 gene:Dexi3B01G0016170 transcript:Dexi3B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPMLGSIANRWRELQGANSWAGLLDPLDIDLRTNLIAYGELTQATYDGFNQEKRSPHCGACSYGYSDLLATSGAAAAGYYTITKFFYATSALPVPEAFLVLPLPDLLPESWSRESNWMGYVAVATDAGVAALGRRDILVAWRGTMRNLEWVNDFDFTPVSAAPVLGPAAATNPAALVHRGFLSVYTSSNPDSKYNQSSARDQARTKVLQEVSRLMALYKDEVTSITVAGHSLGASLATLNAVDLAANAVNAPAGSSQPPCPVTAFVYASPRVGDGNFKRAFASFPSLRALHVKNAGDVVPTYPPLGYVDVAVQLPIDTGRSPYLRQPGTIPTRHNLECYLHGVAGEQGSAGGFQLVVDRDVALANKGEDALADKYPVPADWWVAKNKFMTKGADGHRALQDFEQI >Dexi2B01G0029530.1:cds pep primary_assembly:Fonio_CM05836:2B:37909471:37910593:-1 gene:Dexi2B01G0029530 transcript:Dexi2B01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQAAAVAAPLVAAVCPQPSTSRWALLSRPPVGFRWLRRRAQTRARSRRGARATGRGRGLVVVAEFGGTYEDGFEDVHKNIINYFTYKATHTEKQDVAERVMITRLHLYGKWIKVECDHAMMYERISKENLDIMRQRLLETVVWPTDDTSTGEFKD >Dexi2A01G0022420.1:cds pep primary_assembly:Fonio_CM05836:2A:34307799:34309545:1 gene:Dexi2A01G0022420 transcript:Dexi2A01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNAGNRRRRSRAPAGGATGAGNDDAEEHHLNPFLDAAPSTSSRIQFRDVASRARWVEEAGAAEVVEGKGKLWLTTGVTRGGKLCYNVEEIGFLVERGAMILLSDKDETIGIEGIYEKIAGGKYGCSWDAFQAYKHLKSLGYIVGRYGVPWTLKNSGTCDTTVPPTSVVHTDQSFNKVDGTCSDRTKSLKEMHIDRLSPSFEVNKPFLRVELERLENNFGGIPLKYCHVDHGRVSFLSFDEVALPSLP >Dexi8A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:8A:20938854:20940690:1 gene:Dexi8A01G0011930 transcript:Dexi8A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLTVVSEWVIRALVIVSLLAHVLLAIFASFRRRQRSGVVTVVLWSSYQYVDWAAPYILGKLPFGSTSREQQLVALWVSFLLSHLGGPDNITAFSFEDNKLSLRKAVSTLFHLLGTISAVYKQQRKLALAVSCPGCNRCGAKYIEKAMALRVADFGEMRKAAKKLPRTSIRIQPHGEGELTDEQALLVAHGFLHITKGAFADYSIQRSQFRSDPYLKELFARQCGVGWKNMCEVVEMELSLMYDILYTKAAKIHTCLVGYFIRIVSPVATATMAVLFLFSSKDGQRMPDVIITYILLVVTFLLEVRWLLRVAASTWAYAFFNSAQGERWVQHEVFCTRRWHRLRCAIVSLDPRQLLLGQPRGNYRLWTGTIGQYNLFDECARGKKTDMCSSLLKMFVRSDDAWTEYKYSSGFELDKLAGISENICKLGVPTSVRGLLFEQIKKALGKAYPRKRLYPRDDITFLPQAVLPSELSGHLLDVALGFVPEFQELVLILHVATDVSLAVMSNHYQQGVCKNIELYKEAIEVTSNYMTFLAAARPEILPGLNLRSLFNVTSTKLGEMWEQDSGEDEEAE >Dexi4A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:4A:24917483:24919061:-1 gene:Dexi4A01G0021340 transcript:Dexi4A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLGASTSSKKRRAGEEGDVSEAAAAAAGEEDRISALPDELRRSILTRLTFKDAIRTGALARGWRDLWKTRWPHRASVEVRISSPDDSRRELDALAHEPRPRRRLDRFSLVIDNCMLKSPELWGFTDYAAECRVEDLHVEYLHKEARRSTLKGKFNFPRCSPLLARLSLSRIGVIGSMYYKGARPFRFRALEVIRLHSVDITREGFKNLMAQCPSLLTLDLRGCDCGSLFFQLPYESKRDSLVMPPKLRNVTVADCRGFAVVPVPSLRSFCFRGDFTMPPMSSLSLPEDATLADLYIQFAHSAAELYKTKMLKMKAANLDDLYLFFKTFQCPNLERLFVQLPASSYKHMVGGSTDEVKEDLPEGDLANLLMVKVMNFNWRRSEVQLES >Dexi7A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:7A:20427962:20432431:-1 gene:Dexi7A01G0009410 transcript:Dexi7A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQKIVINLGIPSTKTRPKAMALAAKVHVNCLRKKVVRSASILLMEEVKDKEEEKKKPEEKQPEELLEWGWPGYYYPQRHPLPMFFCEEPTAGCHVIACHYPKLVETTQFAFPFFVLQQRIVIEVQMTGDKSRSKALGLIASTHGVQSVAIEGRARNHLVVVGDGLDAVGLTSYLRKKVGGAQIVQVEVVGDGADKTKPATNMMTAVVAGPQQQWQPRYSSYYYSRPSAVHPYTHAGQYCYDDHQSESHPDVDSSCAIM >Dexi5A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:5A:6477089:6478243:-1 gene:Dexi5A01G0008670 transcript:Dexi5A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQGRKRGSAPGDSELSHSGKKPRAPPQAAAPCAVVKLEREEEGDAGQGSSSSDARRAVVAALEPQAMEQPQLKLVIGMSVFHCQACFRPLKPPAFMCESGHIVCCTCRGKHGEACDRGRATAATFAACPGLDVVLRDAKMPCQNEEFGCTSLVVYYQAGDHHGECQWAPCFCPAPGCELFSSPARLADHLNTHHRWPLTSVRYGEPCKLPVPAPEQGCHVLVGEGDRSPRVFLVSPSALGAATAVSLVCASANAGGDQFMYMLWVEVPGNKEKLVLILPAVRSGDLSCGLPTADTDVFLAVPPVLLPEAQNLFVCIDKADAAAANSTPPMVE >Dexi2B01G0025660.1:cds pep primary_assembly:Fonio_CM05836:2B:34898990:34901073:-1 gene:Dexi2B01G0025660 transcript:Dexi2B01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYHWHTGYIGHLKERRLKDQMEKDPTEVIRKAVLLMLPRNRLRDDRDRKLRIFSGNEHPFHDRLVEPFAMPPRQVREMRPRARRALIRAQKKEQATRTKEEVNKIIFCFDF >Dexi9B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:9B:11759121:11759701:1 gene:Dexi9B01G0017040 transcript:Dexi9B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDDALGATPAASISSNTVRASAARPSSASALRSQRTLGATPAADAERRRSEKRSTTCATSPSRASAFIATLQSRSRSSHRRRTTSCCCCGGGSGAGEASFGSRASEGGRISASSESSEEDDEVGGGGGGGGSHALAEEEPSGREESGCARDGRQRRGAAAAAGWGPKAAAAPWWEPWRRRSK >Dexi9B01G0025400.1:cds pep primary_assembly:Fonio_CM05836:9B:25584846:25586851:-1 gene:Dexi9B01G0025400 transcript:Dexi9B01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMWRPQKFKSIYLLATVYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTAWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPTWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHLAAPPPMALAPAMPPAMAFNSTGLFAPVPAPAPAPSPMMHFFHHHHRHHGRHGL >Dexi6B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:6B:25165971:25175205:1 gene:Dexi6B01G0018110 transcript:Dexi6B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTGQGRNNQCKLQRGKDCVARVTSYGARRLDLANERRLVRDDVAGGLLSAVAVPAGWEARKATTSKELSRPMTVAAAGARGDAPSRQTATSACCDAGMAAAAKESGAEAGTPRSGGLPAVMSRSGTGMSMFSMGLFMAQQERIIACGPGLAGLGMALRFVAGPVATLVGAAVFDLRGDVLRVAIIQLPQPELGGICVLLL >DexiUA01G0022130.1:cds pep primary_assembly:Fonio_CM05836:UA:45295144:45296510:-1 gene:DexiUA01G0022130 transcript:DexiUA01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGHIATTLGRDADNSTTVPLGQSQDNSTEDPLPSNEQQTSPAVTPAVAGTAPPSSATTNLQKLLACSYPLLLLTVSTVFFIS >Dexi2A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:2A:11539474:11548272:1 gene:Dexi2A01G0010380 transcript:Dexi2A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGVMVGVAIMAGWSRVMLRRSRKRVAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVADAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDAVVASLPIQLKDLQVYTVIRVVFQLSEEIPCISAVVVALLADAICQLVMSDNIILKYLYPPEPRIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLQWPHRLVIPLGVNADTSDLELKPQGRLSVTVVKATSLKNKELIGKSDPYVTLHVRPMFKVKTKVIDDNLNPEWNEKFDLIVEDKETQSVIFEVYDEDNLQQDKKLGVAKLAVNNLEPEVTREVTLKLLHSVDPLKNRDTKDRGTLHLKVMYHPFTKEEQLEALEVEKRAIEERKRLKEAGIIGSTMDAVGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGIGAVGSGLGKAGKFMGKTMPFSMSRKNGSSSNAPQPDQPSA >Dexi3A01G0036210.1:cds pep primary_assembly:Fonio_CM05836:3A:41616740:41617270:1 gene:Dexi3A01G0036210 transcript:Dexi3A01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATTSALFFLLSAFAARANAATFTITNNCGFTVWPAALPAFPNNANSGLRPTSCPANSSYQVTFCP >Dexi4B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:4B:2642362:2643783:-1 gene:Dexi4B01G0003770 transcript:Dexi4B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAERIDVAVASRTLVRASDPPLGFPAVIEASNLDLILGSFHIYLIAVYPAPAAAGFPAVASATRAALPSFLSRFFPFAGRVVANASTGLPEIACDNAGAELVVAEAAVTLADVDFADADRSLARLALPFRHGLALSLQLVRFACGGFAMSWGTDHLLADGHGLTALPNAWAELLRTGGISWEPHHERASLFRPRSSPRFSPSLDAEFTRYTPASLLNPLLASTLVRRNYVVSAADVARLRAAASTPTRRATRLEALSAHVWKLLASAVGGSDTRCRMAWLVDGRPRLDPARFDADTIRRRYLGNVITYASREAAVDAVSSAPLPDVAAMAGAAIAEVFRSERFEELVDWMEERKGVFREGGKWTETVGLGTGSPAVVVSAFVPFRVEGDFGFGRPRLVMPWVRPGRLGSAAMTVARSPREDGSWVITARLWPRLADAVEADPEAVLKPATAARLGFGAVETTDVVVQHASRL >Dexi6B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:6B:10943186:10945311:1 gene:Dexi6B01G0008660 transcript:Dexi6B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMSTSMATTPTTTRAVAPAPARTPAPPRQCWGHHHLLLAPSTTTSHHRRGSSAVARSAKKKNPWLDPFDDGPDEEFDYQGMFSGGKQEEDPRPPEDPANPFGFLRFPQGYNPELDSLASKVRGDVRRACCVVSGGVYENLLFFPVVQMLKDRYPGVLVDVVTSERGKQVYEMCKNVRYANVYDPDDDWPEPAEYTHQLGVLKNRYYDLILSTRLAGIGHALFLFMSSARDKVGYIYPNVNSVGAGLFLTEMFKAPTTNLSDGGYHMYKEMLEWIGRPAKNVPRQPTQPLRVSISKKLRAYVEDKYSRAGVEKGKYVVIHGIASDSVANMKSRGDDDCLLPLEHWAQIAKEISSDENGLKPLFVIPHEKHREEIEEEVGEDANILFLTTPGQLTCLINDSAGVVATNTAAVQLANARDKPCVALFSSAEKAKLFLPYVEDKGSCTVITSATGKLIDIDVEAVKKAVKDFVPAPTFALA >Dexi2B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:2B:27124735:27127388:-1 gene:Dexi2B01G0016810 transcript:Dexi2B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSSSFQSESVASPATRKDKNTISNVWRNTIELRDSVNMRRIMVQHLLQELKLYNVLKEQIAYLEQWQTLERENSISLFGATEALKASTLRLPVTSGAKVDAIALKNAVSSAVDVMQGLGSSVCCMLSKVTDRESLVSDLSVIAGQEKVMLDECRELLATSAKLQVLILT >Dexi9A01G0031020.1:cds pep primary_assembly:Fonio_CM05836:9A:35948087:35948518:1 gene:Dexi9A01G0031020 transcript:Dexi9A01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSPSGRPGRRCGTPTRVDLLTILLAAALCSASYYLGIWHNSRGAADSRVLAPSAAVAVVAAASCAGDSDEPLDFETHHAAEGAGLSVSSSSSSSATATSTRRALLGAAPGRMGLRGAAWVARVGVGGGRRFMDAGAVRA >DexiUA01G0003660.1:cds pep primary_assembly:Fonio_CM05836:UA:7526655:7528959:-1 gene:DexiUA01G0003660 transcript:DexiUA01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASEEGDEQEQDEASSLHETRWKKGIEEALRLLRSCHARCSQMLEAARPGRLMGNLQLRCLEFLDKELSYMVAVCTEQSLQHINDMMVRVPNMTNTMSINILRAIDEADPQLQNTLLQRATRRFRRRHEYPYRLMTAAFYYILFFRKKKQKTATAGQRYFSDLVHHSAIIHEASNSNIRNPDEDEAWQWNVNHLQHQSLASKSAEMGFAFTSTTLNLLETASATAGKGNETTGRIARRLALHHDDPNIPSLLEKVDLSQTRSLAVSGAVTIGVPLDNFVNLVVLDAEGWENFGDDDLLRICSCKMFFLMYLSIRNTRVSKLPPEIKELLRLQVLDASYTQITELHLGVFGTTQLRRLDLRGTTIVQLTMPIRTAKLGRLDLGGTQLKIPITETSQVKDSLRTLLLGAGGVTSSTVTPTKLPHDIGHFKRLGTLATVDLSEQPASFINALGDLEDLRVLAITWSFHQSCDRDYCEALLSSIGKWRGSMEFLASVSRPPEALEKFKVALWYNVRYANSSSVKRTVEAVREDVAKCRMATKVLSLFINGIKQDDVKGVHEETESATRAPSGTGTGAEDDVQEVDEITEV >Dexi5A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:5A:23500100:23500824:1 gene:Dexi5A01G0019530 transcript:Dexi5A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWISIGKDFLQAGSHFRSHVHIFSLVCRHKKRPLGGQIVGRSPFSDHQWRPLRGQMLDGSPVGVRVHHQMVLHIVAAILVPVRCPFNGHQNAICSDLHVRLFILRIKDIQVTFRIDAQAPLSLTPTDGSFTTKLHPNASRFDDVVDVVTIHTYLGANPVPTVDASGTPRAPAAASRHARVEVKDERCWRR >Dexi7A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:7A:20048834:20049634:1 gene:Dexi7A01G0008850 transcript:Dexi7A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVVVEHGESSKAPLVAPVAAGVGRAVAVADVFLRFIAIIGTIGSAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTLSQPAMLALLTAGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVLLIMLIFLSAFALARRH >Dexi6B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:6B:22420558:22421222:1 gene:Dexi6B01G0014980 transcript:Dexi6B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYLQRSWIALLLFATALTPTYILMEDLLLLIGQSPELARLAGKMSVWLIPQHFAVAMLVPLTRFLQSQLKNWVTAVTAGVTLVIHVVTTYVLVRHFQLGIVGAVVAADVSWWLVVLGQFVYVVGGGCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSIW >Dexi1B01G0025350.1:cds pep primary_assembly:Fonio_CM05836:1B:30419622:30420581:-1 gene:Dexi1B01G0025350 transcript:Dexi1B01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAAKRWQSCTDDIAALPEDILLEVLSRVGNIGDLFRFAATCRRWLRRFIDPAFLARLCPGHVQGHRARLLGFFFQETRFTRMAQRVPVSAPSFLPTPGSPLGPAGGALTSFLAAAAAADDTFNYAEPLAARRGIVLMLLVPRTFDLERVRATHHHHLLGLCNPITRERLVLPPLECRDPGQCYDDIDSYAIITGADLNVEQKPTPSSAHFKFSQLMELCPPPLILRRHSQSMPTVCLDGSRFSMIALYREGWGPRL >Dexi5B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:5B:21821601:21822395:1 gene:Dexi5B01G0019510 transcript:Dexi5B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALTSRALVGKPTTTTRDVFGEGRITMRKTSAKPKPAVSGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Dexi9A01G0028170.1:cds pep primary_assembly:Fonio_CM05836:9A:32841963:32842253:-1 gene:Dexi9A01G0028170 transcript:Dexi9A01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDERSAGGGERRRSGAERLTLGLGRVPELERWAPPPSPLPGVDGWSDPIRFESRAPVDRASAPGHAKGPSLARVFGVRSVGCAWRPQCIYYCCE >Dexi8A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:8A:10532739:10533557:1 gene:Dexi8A01G0008640 transcript:Dexi8A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFMAGSRAMASTRRPSFVTPRSFFSWGKPAGGAESPPPPQLQFRYHEDVELPFPMSLVAKTHLRERELKCCYKATIDGFSATDFHRRCDFKGPCVVIGYTSGDGFKFGGFSPEGYRSTDDYYDTLDAFLFYWPEELTPPVVLPKVGGSGAALFDYSRGGPQFGADGLLIGPPLTAVMGVLTGPDSSAGVGDLRSARSRLGLSYARRPDGKESLFGDEGRAQLAEVLVFCSPQIASLY >Dexi9A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:9A:5891920:5895337:1 gene:Dexi9A01G0009700 transcript:Dexi9A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRNESTIEENEIRITAQGLIRNYVSYATSLLQERRVKEIVLKAMGQAISKSVAVAEIIKKRIPGLHQDSKISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPGELDKNTPGIGILIHSYTGTKLQPMLNSPDNSSANLADRKANSSSLSMKTPMHEVEAEEGAVDVEGVGVEEAMAVMVDTTKVVTIRVVGIMIIKVGMAAMTIKVGMVVDMATTKADMETTKKMVGIVEDEVVVCVEEATGVTVEAMMEAEAEAMKVAGVEAMKEAGVEVMKEAEAEAMMEAEAEAMKVAGVEAMKEAGVEVMKEAEAEVMKEAGVEVMKVAGVEVMKEAGVVMSKAGVVAMKAAGAVATKEAGVVVEGAMVAVEGEEWAAVGEGTEDKHKIHGLLVFLQCMLF >Dexi2B01G0027690.1:cds pep primary_assembly:Fonio_CM05836:2B:36507699:36509870:1 gene:Dexi2B01G0027690 transcript:Dexi2B01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIALLLVLSSPKLLAEGQSICSNANILPMQSSTYMYNLKSLAEALFANVTTSNSYSAYDTAGTGSDMVYGAVLCRGDTAPGTDCAHQLKEVLEAAMNTSPNTSCNPQKDITLFDDVYLVQLRFSDQDFISDSSNSQECIVRANLNPPPMGQVSEQFDSLVSRLMMKLTEAAVKNTGRYETGQGWLTEESQTVYGLVQCIADMPPETCRACLKSTITKREQMFKSGQMGGAILSVRCSL >Dexi3A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:3A:9963179:9964219:1 gene:Dexi3A01G0013660 transcript:Dexi3A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGDDDDFHFEPEEEPSNEDEIDFESDQEDVVPIVEDEEDATHAQGWLVEGGDEEDAEPVCGLTWKLIEEACGVEEYGNLRRSARLAQMRDVGDDDDFHFEPEEEPSNEDEIDFESDQEDVVPIVEDEEDGENDD >Dexi5B01G0030980.1:cds pep primary_assembly:Fonio_CM05836:5B:31808961:31811681:1 gene:Dexi5B01G0030980 transcript:Dexi5B01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDRAKASAAAAADERGGGGEGLGGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRTLTEHQDSIAGMRFSNLYCDPLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAAALPNNAESEAEADEEALTDKKPKSDRPLHENKAMSVHNADRISGMHHFGADHDQIGGMMYGSSDNGMRSSGNSGQMSFYENIMSNPRMDHFPGKVESSRSFSHLQHGEGFDMFG >Dexi7A01G0022260.1:cds pep primary_assembly:Fonio_CM05836:7A:30613644:30614218:1 gene:Dexi7A01G0022260 transcript:Dexi7A01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAATRRLLYLRLGLGLCLRSRPVTPRSSWAKGMARFQREPLRRSLVSCRQGDFEEGNGAMDKAMRSPDEQLGHCKDGNGTDLDSIGKIFANELAQLSLEQEASDDVVGGISESEVRDVGKAAIELLAAR >Dexi7B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:7B:17109915:17111688:1 gene:Dexi7B01G0009510 transcript:Dexi7B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALLLPVALLLCLALAGSAGAERKMVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNLADVVLGYDTVAEYVNGSSYFGALVGRVANRVAKGRFVLDGKVYHLYINDGKNALHGGHRGFSKVIWTEYVPDCDSPYITFYYHSFDGEQGFPGDLNVYVTYRLSSPYELNLHMNATALDKATPVNLVNHAYWNLAGHGSGDVLGHLIQVFASEYTPVDSSMIPTGQIAGVAGTPYDLRLLTPLGSRINLVSGGGAAGYDVNYAVHGEGFTQVAFVRDTASGRAMELWANQPGDGKVYQKHAALCLETQAFPDAVNHPNFPSEIVRPGGVYRHDMLLKFSS >Dexi9A01G0042990.1:cds pep primary_assembly:Fonio_CM05836:9A:46526344:46527251:1 gene:Dexi9A01G0042990 transcript:Dexi9A01G0042990.1 gene_biotype:protein_coding transcript_biotype:protein_coding INYQDYLKLKTRVEFLQTAQRNILGEDLGPLSPKELEQLENQIEISLKHIRSRKNQMLLDQLFDLKSKEQELNDLNKDLRKKLQETNAESVLHASWEEGGHSGIGGNAMQAYQGFLQHPENDPSLQIG >Dexi5B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:5B:3954943:3955834:-1 gene:Dexi5B01G0005870 transcript:Dexi5B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSLVHSSSASTLTTSSNSTAVARVVVTCLQDQERALLRLKRSFTTTTDSTMAFRSWKVGTDCCGWAGVRCGDADGRVTSLDLGNWGLESAGLDPALFDLTSLRYLNLAWNNFNSSKLPSIGFERLTNLTNLNLSDTTFFGEVPHNIGRLTNLVSLDLSVSFEIFEMPDYKYGFDPDSIGQLVVTNLTSLVANLVSLRELNLGWADLSESTDWCYALSMYARNLRVSWPIIHSSVFSSLATMTSKDGFLPNSLNIRN >Dexi9B01G0045180.1:cds pep primary_assembly:Fonio_CM05836:9B:44772903:44775322:1 gene:Dexi9B01G0045180 transcript:Dexi9B01G0045180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLRDGGLDDETLQQLRSRATQLLLKESWSEYIAVCSQIIDAVASSDDRRVLCSALAHRADARARLGDAAGALADCDAALAADPTHHGALLSKGAILRGLGRYALAADCFRAAAGGGGGGGDEARELVEQCRRLESQARSGAVDLSDWVLSGFAGKCPDLAGYVGPVEVRRSPHGGRGVFAIKNVEAGSTLMIAKAVAIGRGVLQDTADGGEKMVVWKDFVDKVLDAAEKCPRTAALVHTLSTGEEQQDELVVPEMALFRQEAGDLNLSDATNVVTDKGAQAVLDVDRILKVLDVNCLTEDAPAADVLGNNGVVNCGVGLWILPSFINHSCQPNARRIHVGDHAIVHASRDIKAGEEITFPYFDVLVPVSKRREASSAWGFECKCDRCRLESDDFVLKQEILKSEKDLVSEGDMGALVVRLEEKMRKSMVKERRKAFLRASFWSAYSTLYDSDKLVRKWGRRVPSEALVAESIADAVGGNESILKAMLRGARDANACSNRLEVEDKVVRIGRATYGKVYVTK >Dexi2B01G0033680.1:cds pep primary_assembly:Fonio_CM05836:2B:41141270:41141704:1 gene:Dexi2B01G0033680 transcript:Dexi2B01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESYRAGAEVVRGDAAACKKAAVELLGEIGLPKGLFPLDDMQEFGYNREAGFMWLVQGKKKVEHTFKKIKQTVSYGSEVMAFVEKGKLKKVVGVKTKELMLWLSIVEVYVDETAPGKVTFKTGTGLSDSFDATALELGM >Dexi2B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:2B:58463:60205:-1 gene:Dexi2B01G0000130 transcript:Dexi2B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRPFFFSGTNLHALYEASYLAFPGETVLDEARALAVQSLPAAYVQQQLPLHWTAPRLQAMWSLTKQQQAGDYETLLVRELARTDFNLVQSLHRRELAEVTRWWKHTGLQLQGEFARDRVVECFFCAACIAPEPELVDCREVLAKAGALIVHLDDIYDVYGTPEEVQAFTDAIAAWDCASSVELPEYMKVMYKAIWETSTTAADRVLRKQGYNVLPLYKKAWHELCKAFLTEARWHRQGYMPSLGEYLANGWVTSTGPLLLLHALPAAGAATGAPPRLVELASTIFRLCNDGASHQAESARGDAPSSIACCMAEAWCAGEGQARATVQGLIADTWKALNKEASSVAAQSMPMAMAADLQ >Dexi2B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:2B:3161890:3165039:-1 gene:Dexi2B01G0003590 transcript:Dexi2B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRKLIVEVVEARNLLPKDGTGTSSPYARADFDGQRRKTRTVVKDLNPSWNEPLEFDFPSGAVDIAGGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLEKKSFFNWVRGDIGLKVYYLDVPLPPPPPPPEPEPPAAAAEAEAPPPPEASDAPNKEATPADEPPEPEKTVEDTTPAPAGDGSTMEKPPEDAEPAAAATPAPEEKLPEAEEAASEEKQPEEEQPPPPQTVAPPPPVMPRQVSMPVRRPPPPVPEETPAMERSKHDLVDKMSYLFVRVVRARGLPAGAHPHVRVAAGGRHASTREARRGAFFEWDQTFAFVRDTDTDSPGPTLEISVWDLPPDADVSVADDRHFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFADAWKADSPATSTTATGAAAATSRSKVYVSPKLWLLRLTVIEAQDTLTAAAPPRDAGVAVRATLGFQALKTRTTPALARGNGGGPVWNEDLLFVAAEPFTDGEDDLVVSLEMRHGKEAFPVGSATISLAAVERRVDDRKVASKWLDLLPSAGKKTAAAMLMHGGRLHVRVCLDGGYNVADEPPYACSDFRPSARQLWAPPIGVVELGIVGCKGLLPMRAVDGKGCTDAYAVAKYGPKWARTRTIADSYDPAWNEQYTWPVYDPCTVLTVGVFDDPPSPPAAESAGGKDSAAAACSRPMGKVRIRLSTLERGRVYRGPYPLIMMLPTGAKRMGDVELAIRFATSASTLEVLHAYGRPSLPAMHHLRPVPAAHREALRLAAARVTAAHLASSPEPPLRREVASWMLDAGGEPRGGGFSMRKLRANWNRAAAALSWVAGAARWVEETRSWRSPSATAMAHAVLVLLAWHPDLVVPTAALHAAAVGVWRYRRRPRSPAPHPCVRASMAESPDREELDEEFDTVPSARPPEVVRARYDRTRMVGARLQQMVGDVATQAERLQALVSWRDPRATGMFVAMCVLVAMVLYMVPMKMVVVVAGFYYLRHPMFRDRRPSPVINFFRRLPSMSERMI >Dexi5A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:5A:6760679:6762007:1 gene:Dexi5A01G0009010 transcript:Dexi5A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDDYSYTTNGGRPQRKNNPASSRPKPTPSKWDDAQKWLVGGGRADGIHGGGMASKPRNSNADDRRLLGSSSQNGRVSCSSVDGALEYNSMVVTTPPLTPPPPQQLQLGEGDDDMAAETKKMMVPQQHEHGHGSPVAVIRSVCLRDMGTEMTPIASKEPSRTATPLRASTPVARSPISSRSSTPARRRQAEVPAAVGVAMAVSSGTTTTTSEPVVGGCAAGEESHVDGHVLPSVNSLESRAVAWDEAERAKFTARLSKPLSMKADQMKTRAQEKLANRLAATRRIAEEKRATAEAKLNERAARTSEKANYIRRTGHLPSSFFKMTCLCG >Dexi4B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:4B:17620883:17626249:-1 gene:Dexi4B01G0015780 transcript:Dexi4B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWSSPASSAGGGPQVAVAVRGDGRGSRRAARWVAATMVPAGGRVALVHVIPPVSFVPSPSGERVPVEKMEREVVEIYAQDCRARAQEVFIPFRRLFARRTVETVVLEGDSVAEALKSYAVESGVRSLVVGSASLYWLRRMLRLQDVPFIVLRTMPSFCNVFVVSRRKLTIKFANLARTSSRKTPRREGGKDIDATGLHYVALSSVEESQPLDEMATLRKELKDTLMMYDRACENLAHAKEKIQILSGDCREDVNKVQDALQREEELKLVVSDEKTKHLQAIGAVEMAKESFAHEAYSKHRTEFVANMVSTEKARVVDTLLSSGKSCRRYSREEIERATDYFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSNDKIDEFVREVEILGQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDLLIYNKGQPLHWFLRFQIIFEISCGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGLTEYRDTVIAGTLFYMDPEYQLTGTVRPKSDLYALGIIILQLLTGKRPHGLVCSVEEAIEKGIVSDILDRSQTDWPIVEAEMLAKLGLRCTSLKCRDRPNLESEVLPELENILSRVAASLKLENIVAPSHFICPILQEVMEDPYVAADGHTYEHRAIKAWLTKHK >Dexi6A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:6A:8515666:8516802:1 gene:Dexi6A01G0008250 transcript:Dexi6A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQGPVSTTASTCTAETARGRHRFKIAGYSLHKGPAAGKFIRSATFYVGGHGWSIRFYPNGHTSKDDANKNFICVYLELMAEKNTTEVRAAYDLSLVDQLTGESKVRFNPMKPRAFTGEIPAWGARTFIRRTELEASTYLREDRVVIECNVTVIMAKLEGKAQLTTCDFQAPPSDMSVDFGKLLKTGEGADVVFKVEEETVRAHRIVLAARSPVFKAELYGPLGDKNRGIILVEDIHPDVFKALLHFIYTDSLPAMDDVDGRESKEITQHLLVAADRYGMERMKLVCASKLSKRLDADSVSATLALADRYSCSELRGACIRYIISSNTMDEVVASRGYKELKRACPAATVELWEKASKSRKILYAEQRDIFIEELD >Dexi3B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:3B:4704032:4705509:-1 gene:Dexi3B01G0006730 transcript:Dexi3B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPDPAIPFTAESLHEHVLRLHQCGGGGGGGGGLLIRRAHAASLVSGALAASLPLAGALLLSYAALRDVPSARLVLRHHPLRLRSAFLWNSLSRALASAALPADALRVYNRMVRAGVRPDDRTFPFALHAAATAVAAAGERPDKGLELHAAALRRGLLLSDVFAGNTLVTFYAACGRAADARRVFDEMPERDVVSWNSLVSALLTNGMMDDAKRAVVGMIRSRVLINVASLVSLVPACGAEQDERFGLCLHGLAFKSGLDSVVNLTNALVDMYGKFGDLEAAMRVFNGMPERNEVSWNSALGCFVHAGFYEDVLELFRVMLDQGVTPGSVTLSSLLPSLVDLGYFHLGKQVHGYSMRRAMDLDIFIANSLMDMYAKFGCSEKASAIFEKTEAPNVVSWNAMVANLAQNGAESEAFRLVIEMQKNGECPNSFTIVNLLPACSRV >Dexi5B01G0039270.1:cds pep primary_assembly:Fonio_CM05836:5B:38222630:38223224:1 gene:Dexi5B01G0039270 transcript:Dexi5B01G0039270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFNGDEIAPLFGFIGAASALIFSCMGAAYGTARSGVGVANMGVMRPELVTKSIVPIVMAGVLGIYGLIVAVIITSGISPGAKPYYLYDGFVHLTGGLSAGMCSLASGMAIGIVGDAGVRANAQQPKLYPGMIIILIFAEALALYGLIIGIIISTRAGQSRAH >Dexi1B01G0022730.1:cds pep primary_assembly:Fonio_CM05836:1B:28411161:28411849:-1 gene:Dexi1B01G0022730 transcript:Dexi1B01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPAAATLPPRHTLAPSLRASSLSWSASVAVSRIPPPPRLALHSPASAHGPGGSRQGLVVCAAWTRRSRGEAEQRPNRKSWKQRTDMYMRPFLLNIFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRLTLPSLIDDNACRTIGRLIAERSMDADVFALAYEPKKDERIEGKLGIVIDAIKEHGIIFV >Dexi7B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:7B:17835925:17838690:1 gene:Dexi7B01G0010490 transcript:Dexi7B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSLPLFLLVSTLIAASPSPSSAAAAEPQTPQDLLLDFKASLHDPTGALSSWSPSTPYCNWAHVSCTSATAAANATVTVSLSLDGLGLSGELSVSSLCRVPGLAELSLASNGFNQTIPLELARCGASLISLNLSAGAFWGPLPEQLATLASLVSLDLSRNSFEGQVPAGLAALGSTLEVLDLGSNRLSGVLHPALFGNLTGLHFLDLSGNQFMESELPPEIGRMSSLRWLFLQGSGFTGVIPDSFLGLEQLQVLDLSMNSLTGAVPPGFGLKFQKLMTLDLSQNGLSGPFPEEIAKCSMLQRFEVHENGFTGELPGGLWSLPDLRVIRAQSNQFTGRLPEFSGGQSRLEQIQLDNNSFSGVIPQSIGQVRTLYRFSASLNELNGSLPDNICDSPAMSIMNLSRNSLSGTIPELKSCKRLVSLYLAGNGFTGPIPASLGDLPVLTYIDLSSNNLTGGIPTELQNLKLALLNVSYNQLSGRVPPALVSELPAVFLQGNPGLCGPGLPNDCDGTWRKHRGLALAATVASFLTGVALLAVGAFAVCKRLLHGGEPSSPWKLVLFHPVKITGEELIAGFRDKSVIGRGAFGKVYLIELQDGQSIAVKRLVNSGRLPFRAVKNEMKALAKVRHRNIAKMLGFCYSEGEVSIIYDYLQMGSLQDLICAPKFTMGWKDRVRIATGVAQGLAHLHHDHTPQVLHRDLKASNVLLGDEFEPRITGFGIDRVVGEMAYQTSMASDLNYKCYMAPEQSCAKNPTHLMDVYSFGVILLELVTGKPAEQPASDDSVDIVRWVRRRINLADSQILDPSISRTAQQGMQAALELALRCTSVMPDQRPAMDEVVRSLCFSVHPQTLLPTEIALEP >Dexi1B01G0024860.1:cds pep primary_assembly:Fonio_CM05836:1B:30010919:30011713:-1 gene:Dexi1B01G0024860 transcript:Dexi1B01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFLPPGGATNGGSNGGAQAAQQQQQQAAPMIREQDRLMPIANVIRIMRRVLPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGEARGVVGLPPGGSARGGAGDHHHHPMAPPPVKSRPPGAAMAPHHHDMQLHASMYGGPPPPHHGFVMPHHHHQGGQYLPYHYEHPYGGEHHHAAMAAYYGGGAAYAPGSGEGSGGSASHTATTQGGSFENPFASYNK >Dexi3A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:3A:3608240:3609190:-1 gene:Dexi3A01G0005580 transcript:Dexi3A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPVPISMHGAGGGSPYLGLHHHEHLQQHGHGANGRHMSPPEVMVPEESKNRQLAVVPVGAGGGGGPGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPGGGDPRQLHGHHHHPHTLSPLAAAHHHHRGLLVAALPPAPTRMVMPLSAMQQQQQAHHSAASADSDDARAPGYQHQVAATPPARKRFRTKFTPEQKARMLGFAEEAGWRLQKLDDAEVQRFCQEVGVKRRVLKVWMHNNKHTLARRVTDGGDQEHQLAGMPLPEPGEIGRSPSRSPPRPPQQVRLE >Dexi3A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:3A:1861117:1861464:-1 gene:Dexi3A01G0002810 transcript:Dexi3A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAELQESMEAWGGRIRPRALSSGRGGRSRQRRPTSGRGGRIRQRRPSIGRGQSCGAMNGGWRWREEGGASSGDQAAGEAKPRREEGGARAKVEHQLVVERKADQQWKVEAGG >Dexi3B01G0028070.1:cds pep primary_assembly:Fonio_CM05836:3B:23884866:23886999:-1 gene:Dexi3B01G0028070 transcript:Dexi3B01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPKAPSSNKMVTLCPSVMIKFKEGDIFSFGSWVGLPSRSLPSSYVPRPESKVPGATPTRYPVHTRRREAPEFRPATTPSHPGTRLPSELRITATIYYCLTTGQPIDAALADQPPAATCSSSRTSNLPQEKASPRRCKITSNSAKSSGSRSNLPRFPFGLKNAGQIYQRACNIHVMVDSYNDDVDNPERELYAGAGGSDHINRRLANAFEEERGSFATPTANIVKAKHLLEGVEDHPMITAAKDLLEVAAIQTDKLDPLQSMSHTAGGSRIPTSAAPAKGVPNMKTPPYDLRGKLKQKDARNRLDQMRRERDAKYDGICAFTNDIWAYKYPAGFKASVVDKYDGNSNPNLWLRRYSAAIKASGGDDRAKLLYFAVAMEASPLTWLEALPERSIESWHALKKEFVNNFQGSADHLGTKYDLATCKQKPDESLRIHDCYEFRQFCRNKPKTLEELRDLIDTWADAEDEEQECFGRRNRGGINNADCKPQDGKQHQDSYSRNQGNSQKQQTGEMVDTLQKAPKKATSQQRKEEFEKLLYKHCPLHPEGKHAIYV >Dexi9A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:9A:14545214:14547836:-1 gene:Dexi9A01G0019580 transcript:Dexi9A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVASSRRRGSSPARLALLVVATLAVAAVAEGMRVVHVEEAHRRSMLANGLGSAPPMGWNSWNHFQCDGNGEVVIRETDDCWAEPKRDAMGVDYLKYDNCNNGDLKPLERYPEMSKALMKAGRPIYFSLCEWGDMHPAQWGAVYGNSWRTTNDIADTWDSMIATADQNEVWAEYARPGGWNDPDMLEVGNGGMTNNEYIVHFSLWAISKAPLIIGCDVRHMSQETYGILANKEVIAVNQDPLGVQGKKVRMEGSSEIWSAPLSGYRTAVVLLNRHATDEATITAHWDDVGLPAGTAVEARDLWLHKTVDAAFTDKMAFDVAPRSCRMFVLKPKLW >Dexi8A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:8A:19772816:19774006:1 gene:Dexi8A01G0011170 transcript:Dexi8A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWCMQIVQKTFVKEEAQSMAPNQVAGEILSFFTRNNFTVSDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >Dexi2A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:2A:1536056:1539833:-1 gene:Dexi2A01G0002040 transcript:Dexi2A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEKKAHAPFPRAMKPSNPSARLKRSKSDLEDKDPKGALRSSQKAASNQTKLILQLEMHLKDQQVVRGALEKALGPDPAPITLQNESPMLKPATQLIREVATLELEIKHLEQYLLTLYRKVFDQQQQQKAPTSQPSSDVHREASKLSVSSRSSQLDETPKTKAPIIRRGGDPMLHYSCPPLSKGRNGCAVDDSSPSTCPRRTTDFDHGLRSQSALSFRGVCSSRISPTEDSLARALRSCHSQPFSFLEEGETATSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVFCKLADPPLVHHRPSSSPSSSLSSASVVSPQYLGDMWSPNCRREATLDSRLINPFHVEGLKEFSGPYNSMVEVPSISRDRRRLREAEDLLQTYKLILYRLETVDLRRMTNEEKLAFWINAYLKYGVPQNNLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSNTPCTGHWLRTLLHPRMKSRGSNKAGGGEWQAFAVHQSEPLLRFALCSGSHSDPAVRVYFPKRLSQQLEAAREEYIRATVGVWKDHRVLLPKLVDAYARDAKLSPERLVDAVQRSLPESLRTAVQRCRRSGGGGRSAGKVVEWVPHRQSFRYLLARDLAFPHLS >Dexi1B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:1B:740748:741752:-1 gene:Dexi1B01G0000860 transcript:Dexi1B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRSRGERGPSSTSEPTGQQHLYVIFDDWGLGYSIRKLNLSNASNAGAEQRCLRRPFIGCEAHRYQPRPFIRVEATRGSPEFFAAVGTKILATHPREDFCTALVPGGILPMIDVRSRGLNFAPGELYREHPIYLPVGDEEIFALDLATFKMLSMKPLWPPRLEHEYRGQTSDWSWCNLPMPRFNRMDVTSYALDSDGRTILTSTAAATFAFDTQRREWKRRVEWSLPFSGRAYFVHDLDVFVGLPRDEDDVFGHLCFCRWLDGDEHQVWFSKEKLSTKDPAEKHQGTTLVYLGKSRFCLVECVDHTATVEFLRARCCLKTFSLGFDMNGET >Dexi2A01G0022360.1:cds pep primary_assembly:Fonio_CM05836:2A:34181094:34186070:-1 gene:Dexi2A01G0022360 transcript:Dexi2A01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETEEKRRGKEPAALSGGHLCHACGYQYPNPNPSAKLRRSHRKHCKAPLPESAAAEAVPEAEEAVVGVRAGVDEREEGAGKRNAAETTPFGGGGGKREEIGASEANGGGAALRGSAGEVDGSMEGKVIAAQAIATELSESNCLINCNDNLNASAEDTGTQAITSELSENGLVNCSSNSIENVNEVNGTQLQFACTNGSQTKVGHPAEREDSFDEYQDASPFLPQPDSEDCPALSSVFSTEINNLNTVSSGSSVAENENSVEKDGLCKTQLPGEPSMRYLSCDSNVGCNMEEGALRLAEPEINLKLGGPYEHSVNVDNTYTDIVSSKADKTPGHSEMLGHLNDSSLKENHPLILEPESDSASSGKVEGLMEDRLHDSHTMFEVSPRREVEGSDIVQLETMTNPSTSTMPIGSDLKVVCADNAPIDYSTELPTQNWTVENVSDVHEPVVDPCKKSLEFPTAGLPYDLPVTNVDDVPITKVNDLESNFEERGQPDIVDKNLSVQMNNGFTEEEVHKKQTDPEIPTDDKFSVCQKHVALLKDQVPSVKNPFNLDDDRHDDLFELPTDSCFLEMPKSVELRQQVDSTSLMVEQPTVSNLTRMAEAQQCNSNGHILSTSSAIESGEVIGPDDMPVSSSSELVNKICCLADRVLQEDRHKPQITSTEFNTVSMQDISAVSAEVVEESVQAKDASAKEMTPIRSLDGIVVEQATNTDAKDAYAANAEGKKLTEDTAANMSGVEHSNHVDKEKQALKSMGNHEENKQSENTNAKEVNAWFNADDAEDQTQTKDTSAEKTNAVGSTYNSEEKTLAQDTNAKEMLAARSTDNIEEKQLSDVIVGQEGNTAKQNEEIVAPSVRLNSGRARVPLKVLLAEASAENQVKKPSTKERVLSFGRRVSKDGNSSGKSGSEDHHWSSPAKLPRKDVDKSSKGRKQPWMPFICCHSVH >Dexi3B01G0033790.1:cds pep primary_assembly:Fonio_CM05836:3B:36613391:36614270:-1 gene:Dexi3B01G0033790 transcript:Dexi3B01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQEAADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKDVRCSVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGNRWRE >Dexi7B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:7B:3746674:3746955:-1 gene:Dexi7B01G0002140 transcript:Dexi7B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVTMKIVLLLLVVAIAVSPSLVPALVAEVAPFRPARPSQHGGQRRRAFFHGRAAGGCMPRGFRVPPSAPSRYVNYHTLDTGVCGGGGRKP >Dexi3B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:3B:805182:805689:-1 gene:Dexi3B01G0001070 transcript:Dexi3B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVGRQQQGDELLAQLRQLLFSPFPTTATESSGGGGAPPPSDGRRRRGSIKRGRDDDDNKRRKQEKSSKSLVTSVPDFDGYQWRKYGQKQIEGAMYAR >Dexi9A01G0037430.1:cds pep primary_assembly:Fonio_CM05836:9A:41702578:41705788:1 gene:Dexi9A01G0037430 transcript:Dexi9A01G0037430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAAASAAPAASFRFQPSLAGLRPTPVSTRLVRPWCDSGGEMASTSSDILDSSTVQKNSTHQGPGPSESHYPVKREESAVSNGHSESETSLCIAVIGATGELARSKVFPALFALYYSGFLPQSVGIFGYSRKQITDEGLRSIIEANLTCRVDHQYDGADRG >DexiUA01G0016250.1:cds pep primary_assembly:Fonio_CM05836:UA:34604803:34614851:1 gene:DexiUA01G0016250 transcript:DexiUA01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGSPSGARTITTTYPTTGRLAFMPSLPPPPTRRRRGVFHALAGPLIRVDQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPLGQSRSARPVVLKQGETTLARSLFSFCPAPRKQQLPH >Dexi2A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:2A:5346135:5346528:-1 gene:Dexi2A01G0005580 transcript:Dexi2A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSASKDNRGSKPAKQTGSDLIVSENGGGSSFSRLPFLPK >Dexi9A01G0036890.1:cds pep primary_assembly:Fonio_CM05836:9A:41208606:41211997:1 gene:Dexi9A01G0036890 transcript:Dexi9A01G0036890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICPDSGTDDDRSRNLDIDRGALSRTLDETQQSWLLAGPGAQARKKKKYVDIGCLVVSRKLFIWTLGVLLAAAVFAGVVAGIAKAIPRRHRPPPPPDDYTVALHKALMFFNAQRSGKLPKHNNVPWRGNSCMKDGLSDPALRRSLVGGYYDAGGAVKFNFPGAFSMTLLSWSVIEYSTKYDAVGELGHVRDIIKWGADYFLKTFNSTADSIDRIVAQVGSAATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAAASIVFKDNKAYSQKLVHGATTLFQFARDRRGRYSAGGSDAANLYNSTSYWDEFVWGSSWMYLATGNSSYLTLATNPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTSIIMCGLIQLNHGKPHALQYVVNAAFLASVFSDYLEAADTPGWYCGPHFYSIEVLRSFARTQIEYILGKNPLKMSYVVGFGNHYPKHVHHRGASIPKNGVHYGCKGGWKWRDTKKPNPNMIVGAMVAGPDHHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGNGVDKNAMFSAVPPMFPSPPPPPAPWKP >Dexi9B01G0040250.1:cds pep primary_assembly:Fonio_CM05836:9B:40817143:40818423:1 gene:Dexi9B01G0040250 transcript:Dexi9B01G0040250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLSFSISSKQRPPKPPTRPAAAAEDDEAVPRLGSAPAQQFVTEFDPSQTLAASAPRAVIAPLPNSGNFLTHRPRKPSSLPTPEEEAALAAESGGGGPSFVLDTNNAPDDPSSSIPYGLTLRNGVTEAAAAKESEKAPPPPPPAAASAGDLMLRRYKEDMASLPDHRGIDEFNEMPVEGFGAALLAGYGWKEGKGIGRNNKTGDTKVVEYDRRAGTQGLGYNPSEADPRKTRSGDWIVGEKKASENGSAKKRDRDKTEERDSSARKKRSVDERTEKEARGKERNGRDSREGTSNGSDTRSKVRWLQSHIRVRVVSEKLSKRLYLMKGKVVDVVGPTTCDIMMDDGSELVQGVEQDMLETVLPRTNGRVLVLYGKHKGMYGHLVEKNSEEEIGMVEDADTKDIVRVRYDQMAEYTGDPELLGY >Dexi7A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:7A:14233100:14244667:1 gene:Dexi7A01G0004010 transcript:Dexi7A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPASILGTLGDFTSRENWDKFFALRGTGDNFEWYAEWPSLRDPLLALLGDRGAAAEAGAAAPEILVPACGSSALSERLYDAGFRRITNVDFSRVVVADMLRRHARARPEMRWRIMDITNMQFADGSFDVILDKGGLDALMEPGAGTKLGTKYLNEAKRVLKSGGKFVCLTLAESHVLALLLSEFRFGWDMNIQAIASESSKKSAFQTFMVIIVKGKMGVVQTIKSSLDQSAEYCNMKQANAVIRALGNENIIRESYSSGIDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQETSLYCYKAVLLDAKKQTETFVYHCGVFIVPKARAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHTNIDMDIIKVKDLSPLVKDLEPGNPEEEAPIPFMMAGDGVKQREILEKATSEITGPMVVEDVVYENTDGDQGSISEKMFRRLIFGRSSGLVQSEALLIRDAQIDEADKKNKSASGISKKRRNQKRGSKNSLRIDHSFLGSSYHSSIISGLSLVASTLSAASASGQKVSTTVIGLGAGCFPMFLRGCLPFIDIEVVELDPLVAELAKKYFGLSVDEQLKVHLEDGIKFIEDSVANRSVSNGSASDAIKILIIDVDSSDLSSGLSCPPENFVEDPFLQKAKEFLSEGGLFIINLVSRSSSVREMVVSRLKAVFEHLYSLQLEEDINEVLFASPSERYLDISNLDTAVSKLKDLLKFPVDVESDIKKLQRLQ >Dexi9B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:9B:63233:63886:-1 gene:Dexi9B01G0000010 transcript:Dexi9B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVGGAATSSSSSRARRIARRTRDSCAAVLANTLCSFLLGVLLVAGVVLFVLWLGLRPHRPRFHIASFSVAGGLDPDSSPAGASLAFNVTDRNPNRHIGIYYDAVHASVHFYDALVASGPAFAAGWYQPNKTTTSITGLLDELGPTTTDASWPSFSAAVRAGRVPLRLQLTTAIRFRVTNALHSGRQRMHVSCDLLVGVDGHLLPDSVGAACDRYF >Dexi1B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:1B:2747231:2757779:1 gene:Dexi1B01G0003470 transcript:Dexi1B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSGPPASPSSRSHGNGAGAGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVVSDPRSMQLLCVLPMPSAALASFVTAVRWAPPAPSSLAGNDDDDERRPLRLAAGDRHGRIAVWDARARAVLCWLSLDEARGVAPGSSGGVQDICWVQRDSGWLLASIHGPSLLCIWDTANNPRVLWMFDAAPEYLSCLRRDPFDARHLCAIGLRGFLLSAIARHESDISLQEHRVTCGAGDVADLQKLEKEIAAPAPAPALAAFPQFATRICFSPLWRQILFVTFPRELMVFDLSYSTALSVSPLPRGFGKFSDVMADPDLDLLYCTHIDGKLSIWRRKEGEQVHLLCAVEELMPSIGTIVPPPAVLAATIWHSEINSSVCLYPPVFCVLLISHIHYALQINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTIEVVDVMANAVSVSFSVHSGTVRGLRWLGNSRLVSFSYNQVNDKTGGYNNKLIITCLRSGLNRPFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPGQNASSKQSSTSKERSAEASGAENSDETCESFSFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLVSANKCCHHIIFGSSGSWAHTCAYVSRQVAPKNSDAKGGSVSKPMAMKERFRPMPFCLPVLFPTAHALALRMVLQLGVKPTWFQCNNSDKLASDSFKVASTFGDLRSYMIETTLPPIGDAVVAELLLKVLEPYRKDGCILDDGRARLYSAIVNKGTYASLTIVQNYGQLSSMAFKQEQLWFNANERIPWHDKLDGEEALQKRIHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKLQDAGCWNDAATLAASHLHGSDYARVLQRWADYVLRGEHNMWRALILYVAAGALPEALDTLRKNQRPDTAALFLLACHEIYSQITKESDPADDTSVSEPLTPEQAEKLQFPSKNVADEDLIAVSEVFGQYQQKLIHLCMDTEPSAD >Dexi3A01G0033730.1:cds pep primary_assembly:Fonio_CM05836:3A:38486453:38486776:1 gene:Dexi3A01G0033730 transcript:Dexi3A01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRLRGRRRAGGVRPRPPSSTTPTSSWSSFSCASARRSPSSAPRPRASHGAASSPAPASSPSTGCSVRGNIMEYWRYHTLGAFFLDAGDVKLQGALCVADHGFH >Dexi7B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:7B:5028386:5034418:-1 gene:Dexi7B01G0002610 transcript:Dexi7B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKLQHHHGRPLLAPSSAAAPGGESLVELPQLAALASSASCSSWCWPVEPHWEAIPRLDAMPCPTCQLVQGEDSWEDCVPNSGAEADAGDFADDFSLGDLTTRKLQRILRGNDKSGDESTPMLGQTFLEQGPDEQAISEAALNKLVGTAETFDLEESSSSVCEYFHWSSDHTISKCNWDCTRRESEQHLSQGGLNNLEDLYSLLCFLHVEPWCNAKWWQKLIQKPYENGDDRGLKILKAILKPLMLRRTKETKDKIGNPILVLPPAHIEVVECEQSEHERDFYEALFRRSKVQFDNFVAQGSVLNNYANILELLLRLRQCCNHPFLVISRVDPQKYADLDQLAQRFLEGVQRCSGRHSALPLRAYVEEVVEEIRQGGTTECPICLESASDDPVLTPCAHRMCRECLLSSWRSPDGGPCPLCRNHISKSDLIILPAQCHFQVDAENNWKDSCKVSKLIMILEDRQKKKEKSIIFSQFTSFFDLLEFPFNHKGIKFLRYDGKLSQKHKEKVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKREVQDTVEERMQQVQLRKQRMISGALTDEEVRGARIEHLKMLFT >Dexi9B01G0027680.1:cds pep primary_assembly:Fonio_CM05836:9B:30114379:30119180:-1 gene:Dexi9B01G0027680 transcript:Dexi9B01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGDAAANPVEMELAWHVLTVLVRLGRPAAVSDLAAAAAAASPSISPEVVERMCRIDGSPLQISGGGVVTVSETAVLAFLRFVGWDFPVPRVWLRPPEVWRRWGEVVIRYERKRKVSDVSCFGDKRRRLLAPSTDLMEHSEHLSNQLVAQTCAPAATGGVHLEVTQELRDRLPTFRTFISEPCLGFSTGVTLVHNVAKNTTLSLQPKPDKSLMGDEDTVFRNMALALVPTNLSDCCSVNLPPLASVDNSKSANTEVDGRSQRIGESEQASFLNCRVEDSEDLEKESIHPTTILAVVAGENKNEVDEDLNLVIPGSPIKYNTKREDSIEAFDNSPNQANGIRYNCPNAEHQDNVPTCGQENNLLGAKACTEVCKDKITQILLHPPMDRLDTKAGSIAAQMSRNSNPEALPQEPTRYDCMDMRNLNIIAESRESEHQNIGEQPCNEVKANVSKNGQDRVVMKQNGKSKKNELPKEAKDCVAVKAQKGHLVPKPLPSFKGFVIEEEEGSGPHPNAHPHHVNNELKMLERFGGKSCVIKYECSLKSGDLECFVLEHVKHDRPEILKRDISLLELRWYGHCLFRALASLHRQGVVHRDVKPGNFLFSRNLKKGYLIDFNLANDLHQKFLKNGKSETISCGKETESRTSTKLAVVHAKEQAADSKQPLPLKRKRSSRSLVDSTPKIESKSKHGSQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHETMHSPNKNTTPAPVSQRKRVAAPVTSVDRKLFNMTPMPLRSGGSVVAGSGMFNNKGHGKHRREGPCVGTKGFRAPEVLFRSFHQSCKVDVWSAGVTLLYLIIGRTPFGGDPEQNIKEIAKLKGSEELWEVAMLHNCESSYPSDLFDVKSLRSVDLREWCAANTRRPEFLEMIPDSLFDLLDKCLAVNPRCRLASEDALMHEFFAPCRDSFIRKLKMPRRSTGSDAASSSHQNTALTAKHSARVPASTVQTSVLTPVVTDKKIDLNLPP >Dexi4A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:4A:1602824:1606052:1 gene:Dexi4A01G0002370 transcript:Dexi4A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQAEIISNNLVSCLFLQLMCLADLEFDYINPFDSSSRINKVVMPEFVLQALLSVLFLLSGHWAMFLLSVPMVYYNYTLYQRRQHLVDVTEIFNQLGREKKRRLFKIVSLIILLFLSLFWMIWSVLSEEDE >Dexi5B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:5B:3904745:3915090:1 gene:Dexi5B01G0005790 transcript:Dexi5B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKWQVWGQPDGSLIWVPASDAPPTPPPAADAAAGPLDPAPPRPPPPDDAPIEETLGPEGADGRRLPSMADLLLQALDELIEGHGTDTLTDSANAGGLFSTGSGRPVPVSDRSVRRARALVGGEVETTDNNKKRKLPFGDDAGLEGEQTSLDVPLGGGVHKDNLLPMFQTGSGKVVSLSKGSIQKARVVLEGENVESASGARQPMFHTGMGRSVLVNKSSVDKAGAVLEDQMVENEGDTGGVDGIEQFPMFQTGTGRAVPISMTSVQKAKAVLEENSINTVFTSLCVGQCDTGYQLPIFQTGLGRTVAVNQGSIKKARAVLEDGGAKRNGNRDTNVCATSFQFETPTSVLMSSSLIMNDRTVTPKENTSVQEKCYQGDGHLPLFQTGSGRSVTVSKSSIKRASAILEPRNIAKELEDEADPNDFCATSIIQTGLGGSILNENLRENIQAASEAVKTVNKDIGYGYAENPMFQAGIQQIPPENGSSRHRTTLLEQEKFATKGYEDCGSSLPMFQTGSGKSVLVTENSVRKARAVLEEESAVNRGIYYLACISNLFITPTKTNKLSSSGESKVGSTQTEHVTDDVKLMDAKRAEKFKFQHMGTGAEDFQKMLLRCGASLSYATKGWVSNHYKWIVWKLASLERCYPTRVAGKFLTVDNVFEELKYRYDREVNHGHRSAIKRILEGNASPSLMMVLCISAIYSCPDQSDNNLELEVDKVDNIEDSNANKSLSATNRNMSAKIELTDGWYSLDTSLDMALSEQLKKRKLFLGQKLRIWGASLCGWSGPVSFHEASGTVKLMVHINGKHVGLPLAFKCIKASGGRVPRTLVGITRIYPVLFRERFPDGRSIVRSERMERKALQLYHQRVSKIAEDIMFEQEENCDSTDDNEEGARICKLLERAAEPEVIMAGMTSEQLRHFSSYKEKQKVVMQNEVAKKVQRALEVAGLSSRDVTPFLKVRVMGLVSKHSASTSSNKEGLITIWNPTEMQKSHLVEGQIYSVTGLMPSNHCTEILYLHGRGSSTAWKSLASVQTTDFKPFFTPRKAIDLLKFGEVPLSSEFDIAGVILHVGNIYLCSNQKKQWLFLTDGSKFLSGQKSEEQDCLLAVNFSSPTTGEDSAFFSHTLSGNTVGFCNLVKRQKDQIRQIWVAEATESSTYTLSHEIPRKSHLKEAAASVERWASKSYHKIQELKERVLCIVGDSGG >Dexi1B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:1B:5972265:5972719:-1 gene:Dexi1B01G0007220 transcript:Dexi1B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMVRLECERWARKGINITYQIREDRKGYKAGALRAGMRHAYVRDCEYVVIFDADFQPDPDYLKRTIPYLVHNPEIALFWDGGYGRIMTGF >Dexi2A01G0021800.1:cds pep primary_assembly:Fonio_CM05836:2A:33778669:33779372:-1 gene:Dexi2A01G0021800 transcript:Dexi2A01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPGDPKNAHLKELENAPENLHLFKADVLDYDTLTPAVEGCEGVFHLATPVVGVLVWVV >Dexi1A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:1A:18503408:18504205:-1 gene:Dexi1A01G0013500 transcript:Dexi1A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIGDRGVVTNKLRIEVHDDSDTEEEEAREALPMSGCNHNRGGIGNNRRRLLSKQLSMKETTREAKWEKRRQQILRRSSMVSVVRDKSAVHDERHHVVRSSSERAMRCLTDEDLDELRGSFELGFGFDEETGGAHLRNTLPALDFYFAVNRQLSDPNKLRTLSSASPTSTLSAVSSSSTLPDTPSPRSPSDVVDDGWKIFSPGDNPLLVKTRLRHWAQVVACSIKHGC >Dexi9B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:9B:1501082:1504876:1 gene:Dexi9B01G0002660 transcript:Dexi9B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTATVASSSCHCDLILFPTARRSWRGPRRSRGGAGARLAVLDRGSTGGGLAVLERAGAGVAALERVGAAAAAARREEVVSAGNGRSSPYEVDSLIERLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPTESRTALSYTSLIAAYARNALHEEARALLDQMKAEGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDVSPSVRPDLTTYNTLLAAAAVRSLADQSEMLLRTMLEAGVSPDTVSYRHIVDAFASAGNLSRVAELFAEMAATGHTPDPSAYLGLMEAHTRVGATAEAVAVLRQMQADGCAPTAATYRVLLDLYGKQGRFDGVRELFREMRTSVPPDTATYNVLFRVFGDGGFFKEVVGLFHDMLQTGVEPDMLTCENVMAACGRGGLHEDAREVLQYMTREGMVPTADAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAYAKGGLFQEAEAIFSRMTNNAGIQKNKDSFDALIEAYCQGAQLDDAVKAYMDMRKSRFNPDERSLEGVLNAYCIAGVIEESKEQYEELQSSVTVPSIMAYCMMLSLYARNDRWTDAYDLLEEMKTNHASSTHQVIASLIKGEYDDSSNWQMVEYVLENSTLEGCDYSLRFFNALLDVLWWFGQKDRAARVLDQAVKFGLFPELYRDTKLVWSLDVHRMSVGAALVAVSVWLNKLYDRLNGDEDLPQLASVVVFHFG >Dexi9B01G0042210.1:cds pep primary_assembly:Fonio_CM05836:9B:42484398:42487620:1 gene:Dexi9B01G0042210 transcript:Dexi9B01G0042210.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAMSTRRHFAIFTTASLPWMTGTAINPLFRAAYLAKDGDKDVTLVIPWLCLRDQELVYPNKIVFDSALEQESYVRRWIDERIDFRPSFSIKFYPGKSYTLLFTDRYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRIYNNEEEFVQLTLNALSEQPAPLTDMQRYELSWEAATERFMEAADINPHPTEPRTHQTSRISLPAFLRTRRLKQNLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEELCKDLGLTPPAKRRSLKFKLTT >Dexi2A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:2A:6360715:6361632:1 gene:Dexi2A01G0006780 transcript:Dexi2A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQHPQSHPHPQQQHSYHLPITKETKPKVRTKCGGGGGGGSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGTNTRTNFAGVSPADSPLAARIRGILSHKKLKKNNNSTTSPTTTTFFPAAYHRAGAASSSTSTITTNTSGVVSPSSSPSSSISFSMSSNGVHTPILPARSIAEEVYRPYMASGSDELQLASQQYEQSWALNTSLQPSRDGCDMADINASCSVVTDAEMDKIKLEKQCTESANCMDRVQDKELLDSGNDPSDSSLWDLPPICPLSSCRSLMY >Dexi5B01G0021650.1:cds pep primary_assembly:Fonio_CM05836:5B:23924513:23928490:-1 gene:Dexi5B01G0021650 transcript:Dexi5B01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSPSGLELTMAVPGLSSSSGSEGLGCNGGNGNNMRDLDMNQPASGGEEEEEFPMGSVEEDEEERGAVASGPHRPKKLRLSKEQSRLMEESFRLHHTLTPKQKEALAVKLNLRPRQVEVWFQNRRARTKLKQTELECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVITPHTRQPLLASALTMCPRCERITAATGAPALRPAAAANPFHPRRPSAAF >Dexi4B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:4B:18061458:18061886:-1 gene:Dexi4B01G0016030 transcript:Dexi4B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAADAFAIDDGNIFESLGGTPQPFVDLSTNFYTRVYEDDEEEWFREIFSGSKKEDAIQNQYEFLIQRMGGPPLFSERRGNLLPTSLPLLVPEP >Dexi2A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:2A:5234768:5235716:1 gene:Dexi2A01G0005410 transcript:Dexi2A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRASRSSATIPSSLLAPSLLQISGTGNFSFVDQNKTDVQPVELIDISVGEGTARAYGAVASACMTNATGGDLRFTYTTLAYDRDGADGTFLVSLARNILVGVGMDVSTTVSKFHTTPTEAQGVNYPVNCQSILAGNPKIASNGSCSGRGCCQASLLTEAFPLNGYSVVFNNLMSVMNNPLWVTNPCSLAMVVEKSWYNFSTADLYGDTSNKFPRGVPYVFDFAIRNVSCPADGLEPPDDYACVSGNSTCVDVANGYVCKCLEHYEGNPYIRNGCYDIDEQAP >Dexi2B01G0023500.1:cds pep primary_assembly:Fonio_CM05836:2B:32998121:33014276:1 gene:Dexi2B01G0023500 transcript:Dexi2B01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGTGAPIVQVYREKSIILPDVSRVLACLYEKDIKFETHTASYKSLLRLQASSHAPVPFYDGPTFLEESRGICRYIAEKYEHQGYPFLLGKDALERASVEQWLHNEEHAFNPPSRALYCHLAFPLDEEDGDDIDLHTRKLEEVLEVYEQRLSDSRFLAGNKFTLADLVHLPNSHYITASEKFVYLYDSRKNVSRWWEEISTRKSWQQVLMDMKSVEEQNKQEELKKQQQQKEHPRTSRHPIRIDSRKHISTEPRTILVPPADTMPSSSMVHSLPTDSFTNEAVVSSSESIPTADKTSDALSKETTIFNAPDETPLTSVQSIPTTSTKHPIPVQSIKDKHPIPVQSIKDDASPTTAKKPPVADAPKSSSIDTSIPESTMKDLHTSHKPKSSKDVSKNFDVFDYYPSHSDEDKPYIKPTPQRTLETPDASSGSNIASGYTKPTSFSAKEVPDQPSASDFYESDSRATGIDSQYKESVPYSGRTPPKLEPTDTSSSKLRTTDVHDRLQAEKWHIATTGLDDIKQDADHLISTQGKPSKDLKQYTSQDSEQLTSYPVPGELMSMELAQRQENTTGRPFTDQRSQEIVEDKPSSDQRSALRLPSVQDHDAAPSRQEAAKYARGRIPSQAEYPGGQDINKQPRDPASVPRQRLAQDATGAFGESKDADSTISSKSYPDASRSSLPRQDLDGARYTTAPFQKRYPGTQDTSKQSKDQISTPWQMADKDAEDTAEETKSSDSSSSQVQPLYFHRAAPSWKQESTKDRYSATPAIQEKHDNVKDTTGTSRDVPLQPKQTIGQDDEDTFKEKKYGGPSLLRDQQSDTWQAASTLQDPEVHQDDRSVAPPSQTKYQSSTHPSKTRYQIVKDASKQPRGTAPTPKKKASQDDNGTTKELYTADEVVSTEQPLNVRRAPISPRRQEVENSSMTNTSFQRRYSDDEEDMQVADTASASRQIAPDGGKDIFEETKLADSTASEKPLYTKRTALPLPRQAEVEDSGKGRQSRVTIPEQQKIVARDAQDTRGERQTTTPEEQSSELLQAIPQSSRAAAKDVQSATSETTQFPDILDTNTKSRGAFEETKDPDSTLPRAQSLGVRDAQHTIGESRTPTDDRRKDVSRRLQPDAQDSFQQSKLSAIDQKGLGSLSSQERDAKDAQPATSKEKSISTERHKEMIQREPQGSGSLQDEASNGRLSTKPSTIEQWQRASVPLNDATSSSGDDEIGMVTIDQKLTPMSQQAIPSAEGGNQMAKKSGEQRGEPHVPSEAETSAIRSASPSFPGAFTAHHATTDDKFAKQSIDERVEELTKMQTSSPDSSPAHTRIETSGGHKIGDSELVSIPDGQTPEAAKTRHDQGTLQDDVHDSNLPIHDVAKDTFKETEVAHFTPSSAKPMYTQRPAPTPRHVEDTRDKGTKSRETVPDQQKMVERRVGTADEQLSDRLKTIPPSRQAPAESALGATGDTIQSPDIHDTSKKSRGTYEEAKSPGSALSKAQSLGAQYDQRTTGESQTPTAGQRKDISKKPQPDVQDGLKQSRLSVGDWTGLGSLSSERNDAIETEATITGEKPFSIEQLRETSKKSESTTPKKHPTDSQVSVEKTLPIYQRKPLVAQDIQHPSDAPSTFDDKLNASGLASAKEPYRDYTPDKQKLAPPLLSKELTSQVQPPSEPSHDASLHRDLSSKPSTIDEWRLTSAPLHDVTTSSGDDEVAMSTVDQKATPTRQQESGEQRVEPPFPIEAETSGVQRGSPSFPGASVDDRATTDDRFAKQSIIDERGGKPMQKQTSSPDAHPVSEPAKRATPKGHEIGDLELVSMPDGQISEATKARDDSATVHGDVYDVNLSTHDVAKDTFKETKIADSTPSSAIKPSYTRQPAITPSRQTQIEEDKYKIMPSRETIPKQQKMVERETGISGEQSSDRQKAIHPSRQVAAAQDAPTGEDYQLTKGESTMPTAERRKDWKDAKDDETIETEEKSFSTECLREMFQESESTIPKTQPTDSQDYSTMDRTFPVYQKGPLAAQESQEEAQIIQSGEEVDTSTQEHQGSSGVPYTYNEKLSASAPARADIRDDHSAAEPYKKDTADDEKTAPSPPRKEAASQVQPLSKSFQREVPDGDSSSTSLAIDQWQHASAPLHGLSIDSGDAEVAMSSNKDPKSRPTSQEETLRSPAPIGAGTSDVGRTASSLPEAAIADDATIDDKSAKQGQSPASIQAQQAVETPDVSNSSQYVHTGDLGITELTKPTVTDQEATAPIAGRTSMDPQRAGTLPAEVAHSEQKYTPSDKGSGRAAQPLSSVEPINEGSNVSATDYSNSPQMIFRQQARQSAPSTIGIPASDTQGVIGKIQEVTPDNRTGDSGKPLVPSQEQVSHASKAIHGQEEMTSPPGVTVFPTSGEVGPAENKFAPSDQGSPHSAEQPTSGKPRKQQTVVPAADQIKKQPTIIGQQDAPHTREVLTSEDVLGTTPTHGDVHPTSRIEPDRRSLSVQGEEPASAIQEQPRHVAKDSLDTEDTPDALGSRPGDVVVAEQISSTSGRDSVHPAEPALSLGPRNMEIRDSTPSAQLISSTNLSKGDAIVAVPDQAKDFQTTLSQQDLLFTQRPSGKVQENVPGEDSGKDKPLRPSSAVREGQDSSYTSQPPSSSEARSKDIGNLAPSTQLNSTTEATNGDAIVAALDQAKDSQIIPGEQVKLSAPSYYTQHPSGTLQEDEPADNSGEAKSSRPRPVARESKPSAAIPVSSPDTQHGATPDQVAVDEQKFALSGQDHARSVQPAFSTEPTKEDTFIAATNQTSTLGKVVDQNDMTPAPDKEKTLFSGTPYASRETKKSTYDDHIDEKHPGQGQVSPYTHVSESPHGPTPEVHSDVVIKETTYGSSQAETSKTRPDSTPVGGDARLSSDDARAASSLPETQDLQDKSPGHLSSDQALAPIMSASVPSVAPLGTVTDSVQKPRKASMESTVDLQSVPDAQHGIPQGKATPAEKKVPVSDQEPPQNLELPPSVEPRKQEANAAAGDQPNVLQGPERAVEHQVKAASSDAREALQKDQQPSHAVLPEQTTHNVPSDLDRSTPEVGSTVVDKETRLLSSQAQTSATELDSTLISGDVSPTSSIQNEEAQPPVTTQAPTTRSLRGSAPTQDDPLKKSESPPRRSSINQVMAPRTRSAAPSGLPQGTEPDLVKGSASAAELLSPVEPKKADSNVSAADYTNAPQTIFRQQAKPSAPSTIAIPASDTQGAIGKIQEGTPDNRRTDDSGEPSVPNEEYASLAIPGQEEMTSPPDTKVSPISATNLAKEVQEVSPDNQQAIESITPLFSNGKQGSRVGSAYGPGEVGPFEKKFAPSGTNSVQPSKEASLDFSSDEKPTTAQGFQSKTPNDGLSTSQVVGQSESADSKWMDSHKNFKGASSDENSKKQQQIEQFDTRFKDDDKETGRTVRSNITTTFEEPPEQPSWPKGSMESSDEPENQQQTDQAVQSLEGNSNQAKQTKAHGTEMGEPEDMEASNNTNQKINRISQVQTLDHSGKEASGVQLLGKNTKDAPNSTEDAPGDVQAISKSKERSRLSEETKVQLNSEDKIGETESPSAETGQPREGGLPENSYHNNSSQSQAEASDKSVQQTYPGIQNKDRDSSRLEDSTDPTKPGDMED >DexiUA01G0013690.1:cds pep primary_assembly:Fonio_CM05836:UA:28773385:28774120:1 gene:DexiUA01G0013690 transcript:DexiUA01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIEQAAGKVVLREAARPEITYPENLPVSQKKQDILDAVRDHQVVIVAGETGSGKTTQLPKICMELGRGVKGLIGHTQPRRLAARTVANRIAEELQTQPGGCIGYKVRFSDHVSDNTMVKLMTDGILLAEIQQDRLLMQYDTIIIDEAHERSLNIDFLLGYLKELLPRRPDLKIIITSATIDPERFSKHFNNAPIIEVSGRTYPVEWKTRTIPSATSCRPSLMPSTSWEMKARATF >Dexi9A01G0026750.1:cds pep primary_assembly:Fonio_CM05836:9A:30473609:30475083:-1 gene:Dexi9A01G0026750 transcript:Dexi9A01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVISAVASDLASRFISFIINRLSISSCAEEKVERLQRLLQRVETVVEEANGRYITNSVMLSQLRILEEAMYLSYHTLYTFKYRGLEGAEKEVTDGSLSLYFSSPLKRFQRSVPLDKDSAGSAVQCSFDNGDKLQSAVASLEAVVTNMTEFVMLLGGCERMSRRPYDTYLYMENFMFGGHAEMQQAINILLQPPLNNNAPTVLPIIGGRFIGKKTLVAHEYSYLFRVLSFGSTNMEDHPRLASMVQEFPLLLRGSLISAYAFADMLKKNLSPQFWASVLDRFRGVVESNLSLFGEHPKLLLDRDLPTDMARFISPSAAPLLLMPPRSEADTQGRPLPMLTFGELMEDPGILPRGDFDLVTWESTIAPYSKYVHYVPAAYAEEKPEMWPTRRKRRAVSL >Dexi6B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:6B:1080506:1083683:-1 gene:Dexi6B01G0001320 transcript:Dexi6B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSVAALAAVLLLPYFSTRAAAHAAGPPSPFDVAAGPFLRFRRAFLVLFALASVVEGIQSVFGEDEFVRCGLGREQMAARLAATAAVALFPGAISGVISDKIGYNKFSADFCRGPRRACIFYWVLQLAVGALKSFNVLRCAWINNFILALASSVFSFSFETWLVVEHEKQDQKQDLLFDTFWLMTFFESVSHIGSQEITNVLVGGEDRRFWLPYALAATLSVVGILYIRNVSSTTQHASAVGSYQKSFFAHVLRDKRVLILVLAQASVHFAVSAFWFLWAPIIVADGRYTQLSLIYPCFLASRMLGSAGFPWFYGATAPLRNEDSLTIAYIGAGLALSIVAYDYQDIGTLVILFCIFHACVGFILPSLARFRTMYLPNELRGGMMSFSLTLANAAIFVFLLQGAHRRSVANSTILGLASCGLLGAGGCIHMLRRWRKHTRQNARSL >Dexi5A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:5A:1033443:1035770:1 gene:Dexi5A01G0001480 transcript:Dexi5A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLVALLFTAAAVALVLLLSSATNRRASGRRLPPSPPGLPLLGHLHLLGSLPHRALAASHGPVILLRLGRVPTVVASSPAAAEEALKTRDLAFSGRPRLLMAQRLLYDCDMVFAPYGHYWRRARRVCVLHVLGPRRTASFRRVREQEVAALVARVHAGGGGGGVNLSDALICYAKAVISRAAFGEGDYGLDGDDGGEKLRLVFVEFEELIAAAPMREISPWLGWVDMITGLEAKTRRTFEALDGFLERVIADHRSRRQRPGGRRQVLADDRGVDDDHRDFVDVLLDVNEMDDEDTRLELHTDNIKAIIMDIFAAGTDTSYTVLEWAMAELINHPDKMRKLQAEIRGAIAAAGHVTEDDLGEMPYLKAVISETLRLHTPAPLLIPRETTEDTELLGYGIPAGTRVVINAWAIARDPASWGERAEEFLPERFAGEAAMDYGKVGQDFRFVPFSGGRRGCPGAGFAAPAVELALASMLYHFDWELTTADGGVKRSAGTTPPSLDMSEAYGLSVRLKAPLLVLATPWLSA >DexiUA01G0000410.1:cds pep primary_assembly:Fonio_CM05836:UA:1951506:1952239:1 gene:DexiUA01G0000410 transcript:DexiUA01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPDGSWLVCRIYRKKKRMPRVTTQARNSLARSTRSLIAWLKLDSQLELANEPSWAAGSQYVNEPSYNEPIHNEPSWL >Dexi5B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:5B:8793312:8793596:1 gene:Dexi5B01G0012420 transcript:Dexi5B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGERASVLAADRYIMGGQRLGLGEFRPAVASASAARQDGRLAGGPPAAAGAAEQVTEGMKQGKEESFEDLEVSRIAVDVMWP >Dexi9B01G0044430.1:cds pep primary_assembly:Fonio_CM05836:9B:44181939:44183742:1 gene:Dexi9B01G0044430 transcript:Dexi9B01G0044430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAPRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEQEEEAAAAPDFAAVTDYQAADQWGGDQWTSDVAAQPVAVGGTGAEWGAAAAPVSAADGWDPVGAPVPVDAAVAPSVVAPTGWDPATQPPAQGWE >DexiUA01G0013790.1:cds pep primary_assembly:Fonio_CM05836:UA:28999952:29000650:-1 gene:DexiUA01G0013790 transcript:DexiUA01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIKKEMSSESSGSPCGSPSSSTWSEAEHHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVRVPGRRGCRLWLGTFDTADAAARAHDAAMLAIAGASACLNFADSAWLLTVPASYASLADVRHAVAEAVEDFQRRREGEAQAECDARSATSTTPSSPASNDDDAEESSSGTEESPFELDVFGDMSWDLYYASMAQAMLMEPPSTVTAFCDDGVAELPLWSY >Dexi4B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:4B:5982402:5983318:-1 gene:Dexi4B01G0008290 transcript:Dexi4B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKRTGRAPAAAEVAQVVVGVRTRSRSAAAAAFASAAVEPPAPAPKRPRTKQPAAARGEVEVRGDDGGCYLQLRSRRLFMAVAAAEARCPVPEPEGNAALIPVARSGASLEPVVMVAGISRCSSTASSVDVVAAAAALERSGGAMEVGAREDPDGGSAVSDSEWGRQRREATPSSWLAVDLSDEESSQAAGDPKHQRPTATASLACRARMPAEEEIDEFFAAAEKAEAERFAAK >Dexi4A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:4A:3643582:3645203:-1 gene:Dexi4A01G0005160 transcript:Dexi4A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRLMDIIYTRIKNENDIGLLEEVAELATQCLEMVVERRPTMRDVAEKLDTMSKVMQQQHPWVPPQHNPEEMESLLGESPGPSARPKADE >Dexi4B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:4B:11009031:11010441:1 gene:Dexi4B01G0012930 transcript:Dexi4B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKFGEVPVVVASTPHAAKEFMKTHDAIFATRPLSLVTKVIIKNGPGIVWAPYGDHWRQLRKICMVELLSAKRVQSFRCIGACHHGRRFKDRDTLLEYVDEAVRLAGGFTATDLFPSSLPARVLSRAEHKAEAYRQSLFKFMDGVVRQHQERRSSHEEDRQEDLIDVLLRIKKEGKPQYPLTMSIIQIVLFDLIAGGIETATTTLQWVMAELMRNPDIISKAQAEVRRVFMGQMKVTEERLSELSYLQLIIKETLRLHVPGPLLIPRECQQQCQVLGYDVPKGTMVLVNAWAICRTPDHWDEPDTFNPERFLGDTRDFKGNDFDFIPFGAGRRICPGMVFGLANIELCLANLLFHFDWSLPEGTIHSELDMTETMGITARRKADLLLSATLRIPQPS >Dexi2B01G0012120.2:cds pep primary_assembly:Fonio_CM05836:2B:14149260:14150210:-1 gene:Dexi2B01G0012120 transcript:Dexi2B01G0012120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASLMDGLFPGAMAQHAAPSLRQLATASSLPSTSPRSAGSTSLSSCALSSAAHWHSSTLVSARPATSSSTSTPKAYTSHFALAFVCAAVSAPASGLAGGRSGRLFAERLLPNRPAGAEDEDEGLIPASVARTSRWTPRSASIGSMSASSRTLLGFTFPCTHFFSCRYARPLATPRAMRSRALHPRLIGDDPGFPAHPSVIMVKPRNRRMLPWRSLATARICASSSANLRTAASSLPILRTASAAPSASTAL >Dexi2B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:2B:14147833:14149256:-1 gene:Dexi2B01G0012120 transcript:Dexi2B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAAPRMYAEALSSVSSSRSAPPSTVTSTAPPFFLLAAASTPCPSLLFLLSVTVTPPFPAAAKEADVSVISSAVSSLSSPAAFLRRQQDRLRPRVPSGESSGSGFLNTTPAILCFATSCRCLFFLTWYTYRTTTKSTAMPATSPAAMATMVASRILPLRCSPDAGDAPAAASSPGSASVGSLGIAAMAGGDLAVPLGGSTAEEDVKPQRLSSGFPQSSAFPSNAAALCVENGGTAGMAPVRLLKEKSMVTLAGRFVAISGGMAPEMRLDDRLTYRSAAPPKSGGSVPLRRLALTSSIWSAGKKTPPGSSPEKKLLARETAVRFGSKVARDGAGERVAGEVERAEAAELADLLREAAGDAVAGEGEHPELRRAEELGWDGAVERVPGEVEVAEVLDGAELLGHGADELRVGEDDADDARVRRAEDAVPRAGRGVGVAPAGEGAERVGGERELEGEEQDAVLVQRRGEAEQF >Dexi9B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:9B:3547881:3549493:1 gene:Dexi9B01G0006000 transcript:Dexi9B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARAGQPAAATAAMDVDKLTYEIFSILESKFLFGYDDPKLFSAAASPHSPGAPASSGKTTPLRAMAPAAPPGKGKVCILSIDGGGRAADGLLAGAALVRLEASLRRRTGDDGARLADFFDVAAGSGAGGVLAAMLVARGTNGRPLFSAEDALAFLLRRGWSSSSDAGGLRALFRCRSGGGAAAAFRKVFGDMTLRDTVRPLLVPCYDLATAGPFLFSRADAVETRAFDFRLRDVCAATCAGSDATAAAVEARSCDGSTRIAAVGGGVALGNPTAAAITHVLNNKREFPHAAGVEDLLVVSIGSGEGETRGAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTSNYIRIQVSRHSRLATGTPRASRGAAAKGGCVAEEMLAQKNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRTSPVSPTVVKQQPSSSPAPASYSNLVSQMLTSIM >Dexi5B01G0021900.1:cds pep primary_assembly:Fonio_CM05836:5B:24209012:24211449:1 gene:Dexi5B01G0021900 transcript:Dexi5B01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPEQQAEAKAMASWGGRGGARPLLLFPAMLVVAAAAALVGAAAATAPPPCYARVFSFGDSLADTGNHRFVYGPNDTDPAVRLPYGETFFHRATGRFSNGRIVLDFVANALGLPFVRPYLSGRRAEDFASGANFAVGGATALAPDFFRDRGFDMGDDVVHLDMEMGWFRDMLGLLCPGDLADCSDMMSQSLFLVGEIGGNDYNLPLLARMPFDNVTAFAPIVIAKISSTIAELIGLGAKNLVVPGNLPIGCIPDYLSQFQSDNKEDYEPETGCIGWLNEFARYHNKLLIEELEKLRKLHPGVTIIYADNYGAAKEVFLSPEQYGIEYPLVACCGGEGPYGVSVTSSVKCGRGKYRLCRNPEKYGSWDGMHPSEVVYRAIAMGLLRGTYMKPPLATTANACTYIAELGFSIESKPL >Dexi5B01G0033290.1:cds pep primary_assembly:Fonio_CM05836:5B:33734503:33735101:1 gene:Dexi5B01G0033290 transcript:Dexi5B01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAGIPITTAPLGIGGTPEEEAGTEAEEEAAAVAPEEDEDIVMGEARWGGLIRGCGGRAAAGLGPGAPRSEVVAVEAAEVGGLGGGAGEGAEAEVDEGAAADAAAASGCEGGGASMLFDELRPAGSELPIQDLFAIIILQNYV >Dexi2B01G0020880.1:cds pep primary_assembly:Fonio_CM05836:2B:30867076:30881136:1 gene:Dexi2B01G0020880 transcript:Dexi2B01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRHTEGVCRRGKQRKLEKTAGGSIPRQITRSSSCDTEPPSVYLVVGHGATRPAYSVIKVNPFADGGGDDAPTPIPQHLARLEVKHGMSFVPVRSKHGPWIVGVGGNSPRDYGPETIVFDIKTKEVIPGPKLMSTKLCPVQLPVGSRIYALARSPAMKGEVNFVPWFEVLDISHAQVVDGHLVNCKWEQLPRPPFFPWELSPRQYLFPPQVIVKSYVAVDSHILLSITEQKGTHMFNVRTEEWAKLDDKDLPFIGGAIAHGALFLGLSKATSAVTAYKITVCANAASSSSMGEGCPSLSIVEFTVVTDLEAKEDHTQELVTMRTYKTEDQLSQDHLKHIPYIVISNKWKQNSRDSSSPRSSSVPPTAYLSPFCCSTSVLDALAEAGGGAELRSRGRENSEMPRTEMEVVYLDFLEPGPYRQVPHTLPRIRVWKGDMIKDYSELDRIQRHVYGKRPILSFQSTCYAPSAVGRTEIVDDFQKVVFERIPGNFSPRSLADITAIYTKHSTSASHDDRPELVKNIMVDLMEYFHQRAIQDNSSENVQPRNIGEASVSGGLSRGCQMNDGNDRDNDYDGNVPQVSSPFEEFHEDVHTASPGTIGAQVDRNVAPQVERSAAADVDKSGAPAVERSAAADVHSSAAAAVERSVAADVERSATSTLLQSVHGIGRHCDVPFQYTTTNATLPDNNNVAMSGDTEIIPSSGQGSETAPLDSNAETEIVNPASDGDDSNESSSQRNRTKVSTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDNEPAEVEHQAATGEALGPSVFIQMFAALQRYVEVAFVVQIFID >DexiUA01G0024350.1:cds pep primary_assembly:Fonio_CM05836:UA:50384793:50387690:-1 gene:DexiUA01G0024350 transcript:DexiUA01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSRRPVAAVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAVHALGIAVLIYKLTKEKTCAGLSLKTQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFKLYYVIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Dexi6B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:6B:8501706:8502664:-1 gene:Dexi6B01G0007320 transcript:Dexi6B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVTISKDTFYIPGLTESGGTFRLWPGFLVSSAFVAAGGHEWSITYYPDSLYEHDSFDLCLRLESEGARVTISSAVGLVDPTGTLLPLNLVPLSPPFDFDSLNDGGTRRLTHWWTITVLPEPVPEPMPVPVPKVMPVPMPMLEQLIPKPKLKIVTDDVASTPNNAPATTADVAPPLAKVLPTTDVTFSVGGELFHAHKAILCMRSPVFMEDLCGSPRWATIGVDGMEPDVFEALLHYVYTDTLPGDTEEDESDDDDASQTMTMTATRSLLVEADRYGMDELKRLVDVLEKSLM >Dexi9A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:9A:3071908:3072453:-1 gene:Dexi9A01G0005480 transcript:Dexi9A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEQEAPTVTLRPFDLADVDAMMAWASDPVATSFMTWDAYTSRDALLAFIRDTVLPHPWFRAVCLAGDGEVSGEVPVGAVSVTPTDDRCRAELGVVVARAHWGKGVATAALKRTVAAVFADLDGVERVEALVDVDNAASRRALEKAGFQREAVLRSYCVVKGRLRDMVIYSFISTDPLLD >Dexi2B01G0035910.1:cds pep primary_assembly:Fonio_CM05836:2B:42846641:42850131:1 gene:Dexi2B01G0035910 transcript:Dexi2B01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQGSCAFPPRPTGDTRSKAEVNSNPPPLPPPTLNSERASAAASSTQPRRSSSSRSSTDRRAPRRGALDPTAEQQEAVKPSPPPPGRIRYRTPSSPDLLLPTDAKMRSRYSALANGGARQDTLADRVHRYRGVLLVVLAPLALVSLVLLLMPRSPASSSSSAGSRRTGPLDAAAEGNKYAVIFDAGSSGSRVHVFRFDANLDLVRIGNEIELFVQKKPGLSAYANDPREAAESLVSLLEEAKRVVPAQLRDQTPVRVGATAGLRNLGTEKSEQILQAVRDLLREKSSFKNQADWVTVLDGSQEGAYEWTYADTVGVVDLGGGSVQMAYAIAEKDADKAPKPSEGEDSYVKKLVLKGVTYNLYVHSYLHYGLLAARAEVLKAGNGNGYSNWQYIYGSETFEASASPSGASYSKCKDDAVKALKVDEACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKADVAKVRPSEFEQAAKRACVDANHKMTLVKKVPYNGASVEAAWPLGSAIEVASS >Dexi7B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:7B:3549816:3554044:-1 gene:Dexi7B01G0002090 transcript:Dexi7B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVATAARWPGGGTAARARPPVARKGPCRVAAEPQQKAAAPSIFYTELLSFIMHHRTKPLSGSSYLQFIGAAILLCALAAIFFVFFKGEPSAVVSMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQKALVLLGSVAALSLMTIISVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDALALPDSANGNLEGNSESGELAEAEELVKEKVSKKLTSPLEVLWKSFSLVFFAEWGDRSMLATMALGAAQSPLGVTSGAIAGHLIATALAILGGAFLAKYLSEKLVRQLKVVLLYM >Dexi2A01G0023280.1:cds pep primary_assembly:Fonio_CM05836:2A:35025994:35028949:1 gene:Dexi2A01G0023280 transcript:Dexi2A01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVLLVHLLLLFLPAASAADHQHHHHYRYRRSLHEPLFPLESTPALPPPPPAPFFPFLPGAAAPPAPTLTPDVGSASTPTDADASSSSSSSSPHPTAPANISSLAALPVSPSAPLRSFLSSHRLLTVVVAVAGVAAAVLAAALVYILTCRRRRPAPNPKEEPAVVYTKHSSVPPANPVLYECAADQHGRGSTVTVSSTSSPELRPMPPLPRQFQQTRMNLGSSSKAILDSGTGVKRAQEGAPPPPPPSPPPPPPAMPPVKGNGGTQAAAAPPAPPPPPPLPRAGNGSGWLPRRLTERPVTTVIRASAGAVHPEESPGRAPSEKDSESDAAALPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRSSKGGVKGPNSGVCSQENKVLDPKKSQNIAIMLRALNARKEEVCKALLDGQAESLGTELLEMLLKMAPSREEEIKLKEFREDAMCKLGPAESFLKAVLAIPFAFKRVEAMLYITIFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLRLVDVKGADGKTTLLHFVVEEIIKSEGANILATGQPTDQVGTLADDLQCKKVGLKIVASLGGELNSVKKAAAMDSDALASCVAKLSSGLSKISEVLRLNQHLSSGDSCKRFRASIGEFLQKAETEIAAVQAQEGRALALVRETTEFFHGDSAKEEGHPLRLFMVVRDFLAALDHVCKDVGKMNERAATGGSLRRVENAPVPPKFNTAQSTSSESEESSSSSS >Dexi2B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:2B:25123934:25124338:-1 gene:Dexi2B01G0015100 transcript:Dexi2B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKIAVFLTILSFVAVVVHSCEPNCPTPAPPVSPSPPAVPTPPSGSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAALCLCTAIKANILGTNVNADIDVSILLNHCGKTCPADFTCPSN >Dexi7B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:7B:734723:735329:-1 gene:Dexi7B01G0000140 transcript:Dexi7B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVLAANQSGMIFSVVDNRMGSYPAECVERFAALALRCCQDETDLRPSMVEVVRELEVIWQMTPGTENMASSESGAMAMMGSSSSKSTGTPTTSSASRMASSDDHYISSMEVSGSNLLSGVVPSINPR >Dexi9A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:9A:5950446:5950772:-1 gene:Dexi9A01G0009810 transcript:Dexi9A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGSPLAAREVRTHRPAPPSSARSTGRARGPPPLLARSTAKREIRRPRVRFAGHALGPPSPLAPPPSARSAVPLAPPARTTSSVAGSVVGGGREGLAGSHRGDAR >Dexi3A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:3A:3619113:3619439:-1 gene:Dexi3A01G0005590 transcript:Dexi3A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEVKLLLLIPGVVRVSIGICL >Dexi9A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:9A:262904:263776:1 gene:Dexi9A01G0000400 transcript:Dexi9A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQIILEASMAYAAGKPIMSDAEFDELKLKLKVYSDLAVDYFKMFLLNVPATVVALGLSV >Dexi3B01G0035440.2:cds pep primary_assembly:Fonio_CM05836:3B:38373129:38374396:1 gene:Dexi3B01G0035440 transcript:Dexi3B01G0035440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGATAYRALLVSLTSPTVTCVIADRIMPFAVDIAEELGVPAIAFRTASACSYLAYLSFTNPIELGEFPFPSDDAVVGVAGMESFLRRRDLPRAIDPCVDGVLDPLLVTHAEGISHERAARAVILNTAASMEGPAISRIAPRVRDVFAIGPLHATSLAVMSHEEFTEFMSGLIAAGYPFLWVLRRDMVETPPTSSALSEAVEAAAEGDRARIVEWAPQQAVLRHRAVGCFLTHAGWNSTVEAAVEGVPMVCWPFFADQLINSRFVGAVWRTGVDMKDVCDRETVERTVREAMESGEIRDRAEAMARQLRMDVDEGGSS >Dexi3B01G0035440.1:cds pep primary_assembly:Fonio_CM05836:3B:38373129:38374367:1 gene:Dexi3B01G0035440 transcript:Dexi3B01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGATAYRALLVSLTSPTVTCVIADRIMPFAVDIAEELGVPAIAFRTASACSYLAYLSFTNPIELGEFPFPSDDAVVGVAGMESFLRRRDLPRAIDPCVDGVLDPLLVTHAEGISHERAARAVILNTAASMEGPAISRIAPRVRDVFAIGPLHATSLAVMSHEEFTEFMSGLIAAGYPFLWVLRRDMVETPPTSSALSEAVEAAAEGDRARIVEWAPQQAVLRHRAVGCFLTHAGWNSTVEAAVEGVPMVCWPFFADQLINSRFVGAVWRTGVDMKDVPARLGIGLKPWRGS >Dexi1B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:1B:3313084:3315084:-1 gene:Dexi1B01G0004160 transcript:Dexi1B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEEGRAAASLAAARRTLRAGVEKSRALGHALARAGPRLGEIQAALPALEAAVRPIRAPRGELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLLPGAPGGDLPGYLAVLAQLEEARRFLAGNCGLAAQWLADIVEYLGDRDLADPRFLADLGVTLDGLKEPSGDLDGGLLAAALDILEAEFRRLLADHSAPLAMPKTGTAAVPSRVPAAAVQKLSLILDRLVANGRQDRCLAAYIDARGSVVSASLGALGLDYLRDPAQDAQALGPAVEMWGRHLEFVVRRLLESERQLCAKVFGQHKNAASPCFAEVAAQASVLDFLRFGRAVADAKKDPIKLQRLLEVFDSLNKLRLDFNRLFGGKACAEIQSQTRDLVKLLIDGAVEIFEELIVQVELQRSMPPPSDGGVPRLVNFVVEYCNRLLGDQYRPVLGQVLTIHRSWRKEVFNDRMLVDAVLNIVKALEANFDVWSKAYENAILSYLFMMNTHWHFFRHLKATKLGEILGDAWLREQEQYKDYYFSVFIRESWGALSPLLNREGLILFSKGRAMARDLVKQRLKTFNSSFDEMYCRQSSWVIPDKDLRQKMCDLVVQTIVPTYRSYLQNYGPLVEQEGNNGRYVRFTVDGMEKMLRALYMPRPRRASSFQMKHSSGNVPSAMTGLHRSTSAVK >Dexi7A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:7A:21047300:21048340:-1 gene:Dexi7A01G0010220 transcript:Dexi7A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLASLAAVVAAVTACMCYARFAARRLGPGLPRLAALLPVLAILPLPPLAFRAIHPRVISAFFLAWVAEFRLLLLAFGQGPLHPSLSLPVFVVATTFPVTLRNPKAAAGATRRPGLALIESAAMATLLVAIVSLYRHAERMNGYVLLSLYSLHFYLELELILAATAAAARAFVGLDLEPQFDRPYLSASLRDFWGRRWNLSVSALLRQSVFRPVRARHGTVAAGVAVFAVSGLMHEALYSYVTLRPPTGNAVALFALHGAWAGAEGWWAAHERWPRPPRVLATLLTLAFVAVTMFWLFFPPLMRVGVDKQMIAECEAMVAFLRDAAGLAADAARSVLLAGRL >Dexi1A01G0028850.1:cds pep primary_assembly:Fonio_CM05836:1A:34414731:34417933:1 gene:Dexi1A01G0028850 transcript:Dexi1A01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRDPPTGCAMFGIYSGMFRRSRRSASMTSLQRVNGGGAASDADPQEASPANRKPAAAAVSHDSSLVRRPSTLPAVPAQNGVGSRAAAPGNERGRPVAKASAMNGVAGARTTATAAEPASEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGGGGSSARNSVERAAKQANERKAAAPTNGYAFSGMGNIVKEAKPAGGDLCRALSHRTDPEKLKEMGNEEYRQGHYAEAVALYDQAIMMDARRPAYWSNKAAALAALGRLIEAVGDCKEAVRIDPSFDRAHHRLGGLYLRLGEPDKAIYHLKQSSKESTGADVSRAQSVKSRIAKSNDSRRLKNWITVLQEAQAAVSDGADCAPQVMALQAEALLRLQRHDEADALFTGAAALRFGVDESTKFFGTFGHAYVLIVRAQVDMAAGRFEDAVATAQTAFQLDPSNREVTNVQRRAKAAAAARLRGNDLFKAAKFAEACAAYGEGLEREPGNAVLLCNRAACHAKLGRHEKAVEDCSGALVVRPSYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVQAKLNSQRNGGAPARSQH >Dexi3A01G0032930.1:cds pep primary_assembly:Fonio_CM05836:3A:37752483:37757620:1 gene:Dexi3A01G0032930 transcript:Dexi3A01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMAALLIHLLLLLCFFFAELAHGQQVDTLGFISIDCGISDSLAPYADQSTRGLRYVSDAGFTDAGLNAGVNPPYNIKGLADRYLTARFFPSSGDNSRRSCYTLRPVIAGGRYLVRATFYYGNYDDLNKLPTFDLHLGVNRWVTVNVTAAGEVYIFEAVVVSPEEFFQVCLVNRGLGTPFISGLDLRPLQDDMYTDATVNQSLALLNYRRPAATYSFNRFHFWRPASTYRVFRYPFDPYDRLWESYGDIDAWTNITSSTAVDISNISSFHRPSKILWSAATPLNGTRMDFTWGVDDSINNDNTSYLLMLYFAEVQRLPSNALRQFDILVDNATWNGSQGYSPKYLSAELVKRMVPGSSQHTVSLVATTDATLPPILNALEIYSVLPMTELATNGADGEASAATPLTWHQRLKIALDSAHGLEYLHKACQPLLIHRDVKTTNILLSAELVAKISDFGLTKVFADDFMSHITTQPAGTLGYLDPEYYNTSRLSEKSDVYSFGVVLLELITGQPPVVPVTETQSIHIAHWVRQKLSMGNIESIVDPRMGAEYDVNSVWKVAELALQCKERLSQERPTMTDIIVELKESMELDVLHAMGYYSSAPSSTINLSAASVDLQSDAQEGDARKETMLELEQLGNRSSTQLGPAPR >Dexi3A01G0028980.1:cds pep primary_assembly:Fonio_CM05836:3A:30196037:30198487:1 gene:Dexi3A01G0028980 transcript:Dexi3A01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELELTEIRDVLQCILHTIFFHRTLSLVRPKDVDCDLLEITYVQCGLPELEKEVDEKIDLFIAWVEKHPNRRSQCFEYLKVCLSFFDEKHKHPGNALEETSSRRAALESSVNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSPDSVFGWNTDVIRRVLNSGHPYSL >Dexi9A01G0029540.1:cds pep primary_assembly:Fonio_CM05836:9A:34483585:34485761:1 gene:Dexi9A01G0029540 transcript:Dexi9A01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSASVSDETSGERGGCCSSPSTRSLVDTAGNLSRSVSDVSTSFSSEQCSSVDHSGPFEPAAAMAKLMVDRSPASVAASLSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGSCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFYPTSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIDILDSFQKAEFWYADAGTRSFCSVTSLSSTLSSSFRRSMHRNEEKWWLPVPCVPDTGLTEKVCRDLQKKRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDAVYRVMLGADKFSPDFLLDTLDISSEHDALAMADRVEAAMYVWRRKASGSHGKMPWSKVKELAGDDDDKNVTLASRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWIDDVLFADKSIRKLSDNLKS >Dexi9A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:9A:8163553:8165041:1 gene:Dexi9A01G0012820 transcript:Dexi9A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSSSSMAGELDPPLDAIGFQVEELSPSRITGRLLVSPTCCQPFKVLHGGVSALVAESLASMGAHMASGYRRVAGVQLSINHFRSANLGDTVLARAVPVHLGRSTQVWEVKLWKMDPSTGEKGHQISESRVTLLCNLPLPEKHKNAGDALRKYSSKL >Dexi3B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:3B:158261:158581:-1 gene:Dexi3B01G0000170 transcript:Dexi3B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGAGASARPSTVGPRGTAAATAGMRRRRTTSSAGGGGFSGASGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAAASA >Dexi3A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:3A:585363:586616:1 gene:Dexi3A01G0000760 transcript:Dexi3A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRTSSRTPTCTVPGLLVGLTKLCKLTKVCAAPTLDDEAKSRLGTCGGYDQRLLLIRLFEAMGSLKSAYIKLQRAHFPYYDTAKIAFADEIIMSELDSVTALQSLCSSSCGIGSLVNERWSLVQELEAQSRKRDSDIVLLKKELERLQRENSRLNKQIKSGKPSSVKHPDKGLDVPKELATAKPSVLLELFKVASASVHDFAEMIASLLISSDGCSVSNADAAEQPWRRYSLEAHLWRTIMVGASPVSNEQEEEKEVFDRIMRFCDPMDALMQYPSSSFSVFCRSRYLAAVPSEAEAAMFGNKLEQRALVSLGGHPRTWFYRAFATMARSAWALRLLMARCCLEHGDVRMFYARRGSQYAEEWMQSVAAPPASDAHLGGGVAFTVTPGLKVGDTVVPCRVIITIHDSFIQVHRWI >Dexi5A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:5A:496469:497239:-1 gene:Dexi5A01G0000720 transcript:Dexi5A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFALGHHREATDAACVRAVLAELILTFLFVFAGVGSAMATAHLAGDSIVGLTAVALAHTLVVAVMVSAGLHVSGGHINPAVTLALAATGRITLFRSALYFLAQLLASAAACLLLAFLTGAGAASVPVHALGAGVGALRGVAMEAVLTFSLLFAVYATVVDPRAAVGGMGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALVAGVWTDHWVYWVGPLIGGPLAGLVYDGLFMVDHHAAHQPLPSRDDDENDF >Dexi2A01G0028780.1:cds pep primary_assembly:Fonio_CM05836:2A:39965946:39967190:-1 gene:Dexi2A01G0028780 transcript:Dexi2A01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLISLLACAALASGAAGVRVELTRLHSSRFVRDAVRRDMHRHSARRLAASSGNTVSAPVSKDTAMGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCSDQCFQQPTPLFNPASSSTFSTVTCDTTPSQLCPYNQTYGTGWTAGVLGLETFTFGSSPADQTRVPGITFGCSLASSSNFDGSSGLVGLGRGSASLVSQLGTGTFSYCLTAFQDTSSSSTLLLGPSASLNDAGVGTTPFVANPTMAPFNTYYYLDLTGISLGTTALSIPADTFSLTADGTGGLIIDSGTTITYLADVAYQQVRAAVLSLVTLPTTDGSETTGLDLCFVLPSSTSAPPDMPSMTLHFNGADMVIPSDSYMILDSGLWCLAMQNQLSGFPSILGNYQQQNMHILYDVGQETLSFAPASCSSF >Dexi5A01G0040060.1:cds pep primary_assembly:Fonio_CM05836:5A:40353840:40355009:1 gene:Dexi5A01G0040060 transcript:Dexi5A01G0040060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGMAAAVLVAALLMVAAGVASAAPGLPRKKAPLKAGQKPSPRSQFVTLKPNRFGHKRNYQVSCSDEGGPACYVGCPKECPNKCLVFCAYCLSFCMCDIFPGTSCGDPRFTGGDGNTFYFHGKKDKDFCIVSDSDLHINAHFIGNHNPEVKRDFTWVQALGVTFGGGHRLYVGARRAAEWDEDEDHIQITLDGEPVEVEPAKNARWVSSRGLSVKRMDAVNTVVVELDGVFSISANAVPISDRDNRVHQYGRKEGDSLVHLDLGFQFHNLTKDVDGVLGQTYRPGYVTKVDIKAKMPIMGGAPKYLSSGLFSTDCAVSRFHHHRHVVTTFAS >Dexi9A01G0049830.1:cds pep primary_assembly:Fonio_CM05836:9A:52353665:52355480:-1 gene:Dexi9A01G0049830 transcript:Dexi9A01G0049830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRNVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTGRFGRRASILIGGTVFIAGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISIGILIANLINYGVEKIADGWGWRISLSLAAVPAAFLTIGAIFLPETPSFIIQRDGNIDEAKILLQRLRGTTRIQKELDDLVSASNISRTIQHPFRNILKRKYRPQLVIALLIPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRICATIANIVAMVVVDRFGRRKLFLVGGVQMILSQIMVGAVLAAKFKDHGGMEKEYAYLVLIIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFVIGQTFLAMLCHLKFGTFFLFGGWVCVMTIFVYLFLPETKQLPMEQMEQVWRRHWFWKRIVGEEEEEREAAGSIALSTT >Dexi2B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:2B:4606797:4612456:1 gene:Dexi2B01G0004890 transcript:Dexi2B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAARLLRRLGPLAAEPATRADDISGMPHTQHEFANRTANSCRRFHWIPSVQHSPCRPRINVETYEGQYSANKASEVQKRTFGSAATQIQRNPAYSELTSDDVSYFKSILGDNGVIQDEDRITVANVDWMGKYRGASQLLLLPKSSVEVIVSLAGMNKIISFDNVNGILTCEAGCVLENLSTFVENEGCIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEAVLADGTILDMLTTLRKDNTGYDLKHLFIGTKYTTYRSGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDNNCIDLVHCFVLEAMRHLEGVQNPLPASQTKFYVLIETTGSDESYDKTKLEAFLLRSMEDGLVTDGVIAQDISQASNFWRIREGISEASVKVGAVHKYDLSIPVEKLYDIVEEMRSRLGGKAEVLGYGHLGDGNLHLNILSSKYDNNILAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHFSKSPEAVQLMGSIKKLLDPNLILNPYKVLPQSVL >Dexi3B01G0021830.1:cds pep primary_assembly:Fonio_CM05836:3B:16704293:16708093:1 gene:Dexi3B01G0021830 transcript:Dexi3B01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRVLAVSLLFWFLMGFQLCASLNREGAALLKFKEAIDADPYGALKNWDEGSMSPCSWFGVECSDDGLVLTLILRNNSFYGIIPIEIGDLQDIKMLDLGYNNFSGPIPSELQNILSLEFLFLKGNGLSGGLPVGLNWLNRLPTARFATIKIRRLLVSTQKDPENINLPGHTGRLLPPPHHKVAPSPSEPISPPPASPTTEHTNPPHHKVAPSPSEPISPPPTSPTTEHTNPPHHKVAPSPSEPISPPPASPTTEHTNPSQDTTPNQENKNNSSQTIYASIGAAIGFLVVALSAVCFFYYCRRKTSTVVPLSAPTSSRQLQTTAMKGITLFRRSELETACEGFSNIIGTQPGFTLYKGTLPCGAEIAVVSTAVAYAGGWSDIAEAHYMNKVEALSKVNHKNLMNLVGYCEDEKSFIRMMVFEYVSNGSLFERLHVKEAEPLEWQSRLRIAMGVLYCLGYRHQQNVPVTLRNLNSSCIYLTEDNAAKVSDISFGIGKKEEDEDDSDAPDEYSTVYKFALLLLEMISGRCPYSDDDGLRILWAHRYLNGVSPVMGMVDPTLNSVPEEHVKAFSELIRLCISEDRRQRPTMAELTKRMQEITGITQDQAIPRNSALWWAELEIITA >Dexi4B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:4B:4800781:4802520:1 gene:Dexi4B01G0006860 transcript:Dexi4B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFSRGAEKERFFNAAEYEALQIRFAVTNNAAEYEALLHGLKMAITLSIKRLLVYGDSMLVIKQVNKDWNRNHEDMDAYCEEVRKLEKHFLGIEFYHVEGDYNVAADVLSKLGSSRAEVPSGVFVNELSKPSISAAATSDDTTSAPEVMLIDAAWSAPIIDYILHDRLPAEKAEAQQIARRNKSYIIIGDTLYRRGARSGALMKCVSQQEGINILEEIHAGECGNHVASRTILYTTLEIVRHCKGCQYFAHHSHQPAHKIKLIPPSWPFACWGLDMIGKLPRAPGGFQYCFVAIDKFSKWIEVFPVVKPTSEKAVQFLQELILRFGIPHQIITDLGTTFTGNKFWDYCEDRSIEVSYASVAHPRANEQVERANGMLLDGLKARMERTLKKAEGRWMKELFPVVWGLRSQPSKATGQSPFFLVYGSEAVLPIDVMHGAPRVEEFQEAMVDEQRMIEVDTAEEVRLAALLHNAAYLQGIRRFHDKNVKTRSFQIGDLVLRRIQNTVGHSKLTSPWDGPFIVSKVLKPGTYRLQTEDGVDLANIEHLRKFYA >Dexi6B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:6B:5337692:5339211:-1 gene:Dexi6B01G0005790 transcript:Dexi6B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSCTTTMLKPVYSTPHPLAGSKVPLTIFDRATFDTFVPTVLVYLAPSPPSNKALKDGLLRAVAAHPHLAGRLVVDDHGRRFIHLNNEGVLVTEATISADMAVALAGGVKLNRYKCGGLIIGIISHHQVADGHSMSTFLSKWAMIVRACNDDDDFTVQPLFLDHAATAVPRSPPVPVFDHMPVEFKLSAHASDDTAVVVATDKIKNLKVTFTNEFVTELKACVIGGGAHKPGSTFQCLLAHVWKKITVARGVDPEEFTKVRVAVNCRGRADPPVPASFFGNMVLWAFPKLKVMDLLSSSYGDMVAVIRDTVARIDGEYIQSFVDFGAVMDDGEGEHGDVVATAAMVAAGTVLCPDIEVDSWLGFKFHETDFGTGPPCAFVAPGTVVDGLMFFVPSAGEKGDVDLFINLMEDHVDEFHRICYSLD >Dexi5A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:5A:24809434:24812130:1 gene:Dexi5A01G0020960 transcript:Dexi5A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVAAAAAAASSPSLWSLFMGLLAVLVAWGAYWAAERYWLRPRRLDRALRAQGLTGTEYRFPAGDLVENARLNEEARSKPMKPCHDVVPRVLPHVLHTVKEHGKICITWFGPIPRVIITEADLVRDILSNKFGHFEKLTNKRLGKLLALGLASYDGEKWAKHRRILNPAFHLEKLKRMLPAFSTCCTELIDRWESKLASSDAPYELDIWPEFQNLTGDVISRTAFGSSFLEGRRIFQLQGEQAERLIKAFQYMYIPGFMFLPTKNNRRMNEINGEIEGILRGMVEKRERAIESGEASGNDLLGLLLQSNMDSGKGSLRLSTEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRAREEVLAVFGKDDKPNFDGLSRLKTASVTMILYEVLRLYPPAVTLNRRTFKDMQIGGITYPAGVILELPIIVVHHNPDVWGKDVHEFKPQRFAEGISKATKDDQPAFFPFGRGPRICIGQNFALLEAKMALSMILQRFEFQLSPSYTHAPYTVITLHPQHGAPIIVKKI >Dexi5A01G0036280.1:cds pep primary_assembly:Fonio_CM05836:5A:37891169:37896581:1 gene:Dexi5A01G0036280 transcript:Dexi5A01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPAPAALLILVLAAAFASISSAVRPVSDAHRSAASELFAPSADGSFGDLESTYEAVKTFQILGLDKYKSVTGKACEFAAEKLASPASSSAKDLFHAVRISGVLGCSVDAGVYDVVVARLKAVIKDTNSLLEFYYSVGGLLSIKEQGHNIVLSDAGSTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLADAEVDPSMIGVVKSDIVKLFDTIKSYDDGTFYFDEKHVDATEYKGPVMTSASVVRGVTAFTAVASGKLNIPGEKILGLAKFFLGIGLPGSTKDCFNQIESLSFLENNRQVVFFIILEECGSLFYYMTSAVVFIPLILSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLKVNLVQVLGSDSKVITSENKELQFDCDNNVHYLDIAPLKIDVGKYSLVFEISLQEQDHETVYATGGKNTENVFITGLIKVDKAEIGISDNDAGAAESVQKLDLPKDTKVSLSANHLQKLRLSFQLTTPLGHTFKPHQVFLKLKHESKVEHLFVVPGSARQFKLVLDFLGLVEKFYYLSGRYDLELAVGDAAMLMRLGVNMKNFPSLPGPAAFASLFHAGIGAVLLLYVLFWLKLDLFTTLKYLGFLSVFLVFVGHRTLSYLSSASTKQKTA >Dexi8A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:8A:9788608:9794800:-1 gene:Dexi8A01G0008320 transcript:Dexi8A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVVGLAKTVVEGALTKARSAIEEEKRLQKNVQHNLVFIAGEFEMMHSFLNVANEERVKNNVVRTWVRQVRDLAYDVEDCIEFVIHLDNKPNWWRCMLPPCLVASTLPLDEVVTEIEQLKARVEDVSQRNSRYSLISDSGSKPVMQQQMAPGAAIGTIALDMIVEARDTSRKLQNVGDLTQLLTKEEGDLGVLSLKVVQAPLHFAVNNVQRIYHSKSSAAVAKDKHVIGSAVATLSSSTPHELHVPMYGGSSGPITWLHRCEQFFRQTQSTNEDKVWLATYHLEGGVHTLSSFAELVNLRFGPSTCNNPFGKLISIKRTRLVTNYQDQFVMFLTWCVDITNVQQTAIFTVGLGDLLRVDVELQRHASLEDAMGLTQGFEFERRLVMTDTPTTATQGPDPRFTVPPIPTASPTAMCPSPAPDEGFLRLTQDEMARRWVIGISIDAITAISTYLTMHMHLSVVIGTRKLRALVDADSTHCFITANVVCRIRLVPIPRSGMTVGIANDDCDACDGICPVVRVLIDDGRFFIDFFAITLGGYDVTGFDPSWHPRHPANNPATTVTHLPLRSPRLTTDLATRNCKIRSQRWLAPPSPSRRRRKSLSRKATEAKDAAAPPAGAAPRSPAAMAKASSTTPPAPPSNSSLAHWVVISLDDKHEDEGAATSWVDGTPLTRSPQRRSRDAGDAYAVDYSPLIQYFTCHRHGLLRLAGAALGLPCSPSPCSAIQYAEHYGIAPTETKGERTLHNRD >Dexi2A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:2A:23192900:23201745:1 gene:Dexi2A01G0014190 transcript:Dexi2A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEAPLLERKPRVYFDGCPGCAVERRKAENSGVPYWQFFHIWIIILVSCLPISLLFPFIYFMAYAVEICRTEHQAIGLSLVSTSWAIGLIIGPAIGGYLAQIFSLWAESDKKYGGLSFTSEDVGQVLAITGASILLYQTFIYPQIVKVLGPVNASRVAAVTIVTCSFILQNNSVPQDQRATANGLATTLMSFFKAFAPAGAGIV >Dexi5B01G0038460.1:cds pep primary_assembly:Fonio_CM05836:5B:37646929:37649722:-1 gene:Dexi5B01G0038460 transcript:Dexi5B01G0038460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLKSLSMDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGASADQPGGALLLDHLSPRSPSGGASASSPRGSSSSSAAAAPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSSMSRRSPFLPAHHHHPHHHLAEASSGAAGDLAADDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVEGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAHHHHHHTAAVGGVNAAAPPPATMYGEPSGGGGAAVYNASGGTSSSMVNLSLDNRMVYLNLGMDAQFGKMNDGGDGDDGGGSRGPSSLFSPHGYH >Dexi6A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:6A:25091726:25094920:1 gene:Dexi6A01G0017260 transcript:Dexi6A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYGGELAGVYPVEDEDRQDVHMGDSDYEDDESDQPTSKATEDTTAMDVKNGKDIQGIPWERMNTTRDSYRQARMQQYANFENIPNSGRTSKKECTPTEKGRLYYEFQLNTRSVKSTILHFQVSYDLKCFIIPNVSPPYLVHALTREKHEIIDLQGRVSPCEKHQGNFSEGFYRTQVSTLAVKDNLLVTGGFHGEIICKFLDRQGVSYCCKSTSDDNGITNSLEIYEKPSGSLYFLASNNDCGLRDFDMEKFQICNNFRFAWPVNVNPSANSLIVYFSVEHVAHFITDLTSPSVFNFLQHTSVSPDGKLAVIVGDNPDGLLVDANSGKAVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRVWDIRNLSQSVAVLGGNIGAIRSIRYTSDGKFMAMAEPADFIHIFDVESGYSKKQEIDFFGEVAGISFSPDTEALFVGVHDRAYSSLLQFNRRRFYSYLDSTL >Dexi1B01G0024770.1:cds pep primary_assembly:Fonio_CM05836:1B:29979132:29979494:-1 gene:Dexi1B01G0024770 transcript:Dexi1B01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAGDAPLAATAPTADATDAALAATTAVPDPDAEFGFQRAELGKEKLVGTVGFHERHVFLCYKGPEVWPSHLEAADSDRLPRLLAAAIKARKSNLKKTLVYSPA >Dexi9B01G0049030.1:cds pep primary_assembly:Fonio_CM05836:9B:47841387:47845784:-1 gene:Dexi9B01G0049030 transcript:Dexi9B01G0049030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRDRGRPVVLDDLFEDVVEIDHGEEDDSEEEEDDEGGEETDDDQGSEDGEGSSEEEEEEDEEGERHADFAGGDCGPSAQARVSGAAADCPVLQHDKLFEEMTKRQIAMEQTIKDAVSSKRQTPLRPVGSGKLGHHALIRRTGISSFAEGTTCYGNVSEIRMSRSAIIPSGDNRQEKLFAYGDESLRGVRTWQLPSFAIHSDLCPHRQPILDLRYAESSGGGTRYLGCLSDEKLQVFRVG >Dexi3B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:3B:855127:855582:-1 gene:Dexi3B01G0001150 transcript:Dexi3B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVLYFEQLRLKSSFSSGGCDGGVSQRFMCSSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGMRGGDGRMNGRAFLASLSRGFGRITMFGVPAAAEKKRRKKSGGSQWSEGKSRRWHKAASFAYD >Dexi3A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:3A:10492689:10495674:-1 gene:Dexi3A01G0014410 transcript:Dexi3A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGFGFAAAYSPALASSQHQPPFDFAFSSAAAPPAVSMDAAASLQELPDAHLGNMVQPSMVSEYDLGGEGDLFKAPEVIIEEPLLSLDPVAAAISMMSGSESAMDQTIKDADIGTIQNDPLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEIPRQAEQMLMLAELPTMEKEKPVISECSLQKSVSSGCLNSADWMNGPVRPNFLDFQGLDFEAAFGLRRAYSEGDIQNLGANTPRPAASASNLQTSCERLGTISDLKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEECDLLKPSK >Dexi2A01G0036000.1:cds pep primary_assembly:Fonio_CM05836:2A:45651819:45653415:1 gene:Dexi2A01G0036000 transcript:Dexi2A01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTADGLKALEAHLAGKTYVSGDAISKDDVKVFAAVPSKPGAEFPNAARWYDTVSAALASRFPGKAVGVNLPGAGSAAPAAEAAKDDDDDDDLDLFGDETEEDKKAADERAAAKASAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKMTIMLTIVDDLVSVDSLIEDHLTAEPINEYVQSCDIVAFNKI >Dexi5A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:5A:19333079:19333600:1 gene:Dexi5A01G0016710 transcript:Dexi5A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHAALPTVKPGHLIASTSEEGITRKKKLLESTDTKDSAELLKTESKASKKRENPGSVTSNTLSSSSKSAPNAFGKNSKCASSDSAKVSGSSRKRARKGWTTLKQIAEKDELERKEKMGNFVIPFFIQ >Dexi7B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:7B:19187989:19188602:-1 gene:Dexi7B01G0012390 transcript:Dexi7B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESTTKSITVELKVYMHCDACERKVRRVVNKVEGVETVEIDREENKVTVTGDFEPEKVVKKIKKKTGKRAEILIPEEDEEEEGMGQEPYCVPYYEEPVPLYPEDDVPDEFRSYRPESWNYHYFDDENAQACLVM >Dexi9B01G0037480.1:cds pep primary_assembly:Fonio_CM05836:9B:38881593:38883311:1 gene:Dexi9B01G0037480 transcript:Dexi9B01G0037480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKPWATGLSGQLQKAFVTGMPFQLPIYHIWGLIILVHHNIIIFPGVPSLKRSELETACEDFSNIIGSTSSCMLYKGTLSSGVEIAVASNLVTSAKDWSKGNESQFRKKITTLSKVSHKNFMNLLGYCEEEHPFTRVMVFEYAPNGTLFEHLHNLEFWNETKGHNSTSGDLTSSSELENIVFKYGIVLLEILTGRVPHSEEDGPLEHWASRYFDGEMQLEELIDPSIGSFPEDAARALCEVARSCVDPDPKKRPQMAEVAGRMREITALGPDGATPKVSPLWWAELEIMSSES >Dexi5A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:5A:1465350:1465946:1 gene:Dexi5A01G0002080 transcript:Dexi5A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTAASPGGGNTPCCKATTLLAVAATSFLFCFVLVVAFLFLRFLLQRQRWRRRARLLHHPPPPPAKLGLDAAAIALIPSFPYRGAAGADCAVCLGVLEEGQMARELPGCNHVFHRECIDVWLASRASCPVCRGNAEPAAARPPPGERAAAASAARVVAIEMFDDQLEASSSSSTPGEGDVTAPWTRPSEAGSGLA >Dexi4B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:4B:21809101:21813298:-1 gene:Dexi4B01G0019620 transcript:Dexi4B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVSLRASASPAAAGSPAAGPGKVPFFTPISLLFEVGKCSELGVPLALIMKFVIYCNTVYFFQLLVNCSARSIDPVRATATQTPTAAPRFFFSVESSSGEKIKVGINGLYVQGGAKKVVISAPSADAPMFVVGVNEKSYNPDMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAEKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYEDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNSKH >Dexi5B01G0037890.1:cds pep primary_assembly:Fonio_CM05836:5B:37244491:37246444:-1 gene:Dexi5B01G0037890 transcript:Dexi5B01G0037890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPVVAGIAAGAAALLLVVVLVSAWLVRRRRLRARRDRSSDTGSSEAPPTLAEWGRCGRTSSAPEFHGARQFSLEELAHATKNFAEANLVGAGSFGMVYKGLLLDGTVVAVKRRAGALRQDFADEIKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSESMTRLEFKQRLSIAIGAAKGLNHLHTLAPPLIHRDFKTSNVLVSDAGVDRLMRGLEGAAPSNGSVYQDPEVHSHAQLSESSDVYSFGVFLLELITGREAAGSIPPESKESLAHWMEAQFTSNELIDPRLGGSFTSEGMTELVGLAFQCLSPSARRRPKMRLVAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >Dexi4B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:4B:20341969:20342805:1 gene:Dexi4B01G0017920 transcript:Dexi4B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMEKEKETETESEVVALARQAAAAARSERAAATRPVPVRRYRGVQKRRNRYCATVWNGTTRKQLWLGSYATPEEAAYAYDAAARRVQGPWAKPNFPDPSSPPPPPPPCQAISTAAAPTLTSLTLALRALQARNNIINHLLLPMPGLQPQPQRQPLLHPCWAPPPPAPPRFVRLPLLPGPAAAGAAAAPNAAGLYRTTFPSIPAGGYVPASLEELRLNWPSSSEESSTAAAAVPKRRRMAVPSAVALEDGAVVGDNFSGDGGGASSSSVASTSGRWW >Dexi9A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:9A:309616:309822:-1 gene:Dexi9A01G0000550 transcript:Dexi9A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTACGRGGKLAAGRERGGAVTDGRARRRRSSMPRSSRRRWPWWISELEEEAAMADLAAPPPPPRA >Dexi7A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:7A:30081156:30081749:-1 gene:Dexi7A01G0021500 transcript:Dexi7A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPRYKGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLVIGPEVGTNFPLTSNTGSSLSPALRAKLEKCCIESSKRAAQNNGANASGGAGRASGVQQEQDAKADEDEDDDEYIQEMIRELAYYGPLDMGPSGGSSGGATA >Dexi6B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:6B:23762278:23765632:-1 gene:Dexi6B01G0016520 transcript:Dexi6B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAVAECPKKVAGLVDLVNLPTALREFAGGQSQMSHLSFFLRVWSHIKEHNLQDPTNRNIVNCDEKLKTVLLGRSKVQLFELPMIVKLHFPKVPKP >Dexi5B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:5B:7697532:7700850:-1 gene:Dexi5B01G0010900 transcript:Dexi5B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQHREEDALRGQAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKTSFCNHDKEIEQAYEELLDSSKQTLGSMMELQEALLESNKATKDANEIPSASNGEDDEWSGVQRLQKRITTFRNTEIDKWQRKIQVTTGAAALKGKLNAFNQNISDQVAGYMRDPSRMINRMCLTKTDVGVFGEDAGEPGAAAEEERAVEGDPELIDDSEFYQQLLKEFLESCDKGASESAFYDLQKQKVKKRKIVDRRASKSRKIRYHVHEKITNFMAPVPMALPPMAPKLFENLFGTSN >Dexi9A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:9A:2128467:2136864:-1 gene:Dexi9A01G0004060 transcript:Dexi9A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLEGRWWLVPPPPLLHLSCQSEKPVSKASCIHGYRHCDAASGTCSAQAMKSALPLHAVQTPQLCTCPQDSTHLDQETIPTPVAHHTPTIRARRTPRAPHLGQERNEPAHPTAILVPLLMPARAGHQVAHAARSAAARFSRSRAAPPPLSLSPAGGRLEGEERFVRGSRERGTEGDRRWEFLAVRAPFVVSGGLLVVGVATFHLEIMLGGASVVFVVSGGLLVVGVATFHLEIMLGGASVPLCWAAGRTTPRGPRPSTLSHEAATMPPSDHTRWLESGTTVCSGYDVRQMMNPPCDPDPTPGASSSQGSGEAAAAKAESWEWRKNQQKKNLASSPMLRIEGGDAEQGLEQIEGIDWNEATMAQQRATKAASHLTSTTPADGGPRVRGHMARRCLAGIRRDAARSRHAMARTSVFAAASATHTHCTDLTQMEACRRTSTPNNVQELVCLFLPVHRYLAGPYTECVLVVHACTVNKRRRLAGVEQAKMSMPRAMAVSVVDTVWALLAVWVSTCLSAATAVARAARTGEIGPLHIA >Dexi9B01G0045150.1:cds pep primary_assembly:Fonio_CM05836:9B:44762148:44765466:1 gene:Dexi9B01G0045150 transcript:Dexi9B01G0045150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAACATVLLWASVAQLVAVGRLLLLFGVAGDAHPSPPPSVLPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVQIIKQLPESLGARDSDIIFQMPPVSWSDEKYYLHQILPLFNKYSAIHFNKTDARYAYPWWRDKEIDSQAKRSQGLCPLTPEETSLVLKALGFQKDALIYIAAGEIYGGDRRLEPLRAAFPKLVRKEMLLDSEVLHQFQNHSSQMAALDFIVSTASDVFIPTFDGNMAKLVEGHRRFLGFRRSVVLDRQKLVELLDLYTNKTISWDNFASSVREAHKSRVAQPSCRRKLENRPKEEDYFYANPQECLANSSLCS >Dexi9A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:9A:9667213:9669882:-1 gene:Dexi9A01G0014640 transcript:Dexi9A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYRLVAVVAALVATVAELPMAEGLGVNWGTMASHQLPPSTVVRMLQDNGIRKVKLFDADPGPMDALAGSDIEVMVAIPNNMLDMMTDYATAREWVHQNVSRYNFDGGVTIKYVAVGNEPFLSSYNGTFLNVTLPALQNIQSALNDAGLGDTIKATVPLNADVYNSPPNNPVPSAGRFRSDISGLMTEIVQFLNQSGAPFTVNIYPFLSLYDNDGFPLDYAFFDGTNSPVVDAATGITYTNVFDANFDTLVSALAATGNGGLPVVVGEVGWPTDGDVHATSAYAQKFYAGLLRKLAANAGTPLRANQYIEVYLFSLIDEDAKSIAPGNFERHWGIMRYDGQPKYAMDLSGQGRNTALVAAKGVEYLPRQWCVMNPNAASADTSKIADSVSYACGLADCTALGYGSSCSGMDAAGNASYAFNMYFQVQNQVDGSCDFQGLAVPTGTNPSTGACNFTIQITPSAAVRRWRRAPVATALFLWVLGAVLL >Dexi9B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:9B:1179662:1182185:-1 gene:Dexi9B01G0002090 transcript:Dexi9B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAQRLLASSTKIIGVGRNYIAHAKELGNPVPKEPVLFLKPTSSFLHAGVSSGPIEIPEPLDSLHHEVELAVVISRRGRNVPEASAMDFVGGYALALDMTARDLQSAAKIPKSAVTNPDDLELWLKVDDELRQKGPTSDMIFKVPFLISYISAIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITDLIDVEFDVQRRNQSFSG >Dexi3A01G0024880.1:cds pep primary_assembly:Fonio_CM05836:3A:20461411:20461698:-1 gene:Dexi3A01G0024880 transcript:Dexi3A01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCWCAHCGAVRRLRAEGEFASCASCGRVLLELRKDAAVAAAGAPRSLLRQRRCRKRRREARTVGRGHAGPDVGARTGRGEISDAESTVLTA >Dexi1A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:1A:26153072:26164453:-1 gene:Dexi1A01G0018980 transcript:Dexi1A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLVAAIPHSGEELAPPPPPRRHRWRRIAVELDGRIDARFRHRESRLLLNSFAELDRMVNASTMDFHQVREGISAMEFDKKGIYLASVTLSGCLTVHDFEILYCSKYGPSCSLQDDSSNYLLHISNPMPLNAVRWNPGNQDEIACTSSQSDKVLLFDIGYVSSAPTEVLQKGKSKFPALYSGSRKSLSDIAFTSDDKSRIFASGLDGAVYMWDRRSSKTHCLELMSSPESQFNTVKPGVDNRTMFGATKNGTIHIWDLRGGRASAAFQSHNETEAVAVQELLPAGVHHPPATATTEALRRSTAAVTARPAAAAVAVRVAAPAHVATTAPAHVATTAPPPTTSQQLHSNGAASVDAALAAALLAAQLEAARLRRLSPGSEQTNIVSSPILSIDFNPSCSYQLGFHLDDGWEGTDLVLQKQLRKPTWLPTSSIYAVGSSSYDGIYLLDFHPDMSSACHVDYSSHRCSCYHKNKRLSEIQSREHLLFSPFQATSTKYAFA >Dexi3A01G0026930.1:cds pep primary_assembly:Fonio_CM05836:3A:23506704:23507803:-1 gene:Dexi3A01G0026930 transcript:Dexi3A01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVVCALIVGDVMQTEQKKQAAADVLFHYSQFVMVCIGEDVRPTDLRLHLMKEVSGMPTSLKEPRQAAASPDSCGEPSSSGTMKTEIS >Dexi6A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:6A:24089744:24091990:-1 gene:Dexi6A01G0016230 transcript:Dexi6A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCYDMAASMLFCAEEHSSILCLDEEEEDVAAAVALGRKRGRSPDYGADLFPPQSEECVAGLVEREEGHMPRSDYGERLRRAGAGVDLCVRREAVDWIWKVYAYFNFGPVTAYLAVNYLDRFLSWYELPEGKDWMTQLLSVVCLSLAAKMEETFVPPSLDFQVGDSRYEFEAKTIQRMELLVLSSLNWRMQAVTPFSYLDYFLNRLNGGIKAPTSWLFQSAELILCIARGTGCIGFRPSEIAAAVAAVVVGEAAERVLRCQDAIQSMASPAINTVPPKPASGRASPAPQSPVGVLDAGCLSYKSDDDAAATVTVASHGTSSAYGSATSSPITSKRRKTTTR >Dexi2A01G0032740.1:cds pep primary_assembly:Fonio_CM05836:2A:43137587:43137823:1 gene:Dexi2A01G0032740 transcript:Dexi2A01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEKVAGGAAAVAMFAVGAVISYFLWPVTAPALAMMKAPGAAGFLISRAAFLANPSHYFFLLRTAGAAAAVAAFAV >Dexi2B01G0021680.1:cds pep primary_assembly:Fonio_CM05836:2B:31391734:31393992:1 gene:Dexi2B01G0021680 transcript:Dexi2B01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPSGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGYVEPVVPDNQNRALYSTHTFKILFKEGGCGTFVPLFLNLIASVRRHNQFEAQSAANMAPRVDPLQAAQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNA >Dexi3A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:3A:13771274:13772797:1 gene:Dexi3A01G0018070 transcript:Dexi3A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSSAVVGEFMNRSISFLLSKLDKETTATMQEDLQRLRHLLLRSGAIIQDAEHRHVPSKAMMQQLKALRDETFRGYYVLDVVRCRAALGGDDRRRRNRNGDEDEAGLRAFALSRFNPAKRVRFPSGGAPPETDESVVLDGGARSPVELQQVVRSLEFMVGDMKEFVMFLGSYSPLYRQPYSAHLVVDKCMFGRQMEKEMVLEFLLKKHEPETLGVLPIVGPAHIGKSTLVEHVCGDERVRNHFSLILFYTGNDLKDVTVSSFKDRCMIKHHTDNNASQERQRLLVVIELLDDVDDETWNELYSSQRSEVAEGSKIIVTSRSEKVARFGTTQTSLRMKSLPIEAYWYLLKTAAFGSDDPEQHPKMASLALEMADTVRGSFMFVYIGASLLRDNFNARVWSRVLTRLKQYLVKNASVIGEYPDDLKTRDNPRFTWSLVKPEPDKYFMLHDIYQRQGGSAQDEGVPAISYVDLLAGSDQPKGVHEILFWKSRIPPYFNYMCTCEIRDM >Dexi1B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:1B:26103734:26105769:1 gene:Dexi1B01G0019900 transcript:Dexi1B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSSGGESLIAEVEMNAGVDQAATTVRATVVQASSLFYDTPGTLDKAEKLIAEAAGYGSQLVLFPEVFIGGYPHGSTFGLVVGNRTAKGKEDFRKYHAAAIDVPGPEVSRLAALAGKYKVFLVIGVVERAGYTLYNTVLTFDPLGKYLGKHRKVMPTALERVFWGFGNGSTIPVYDTPLGKIGALICWENRMPLLRTAMYAKGVEIYCAPTVDFTASWQASMTHIALEGGCFVLSANQFSRRKNYPPPPEYTFGGLEEEPSPESVVCSGGSVIISPSGTVLAGPNYEGEALLTADLDLGEIVRAKFDFDVVGHYSRPEVLSLVVKTDPKPAVSFTSDAEKTSGG >Dexi1B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:1B:15151927:15154573:-1 gene:Dexi1B01G0012340 transcript:Dexi1B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPALLLLALHLCSDTAAQQVGVGSGPPASCATSCGNVSVPYPFGIDAAAGCHLPGFALTCDRTRSPPRLLLPGADGTFQVVGISLADATVSVLNTAGAVNLTYDGGVNGNGTWGGSGSGNSSSPYVVSERRNRLVVTGCNIQVTLVGDVASGNIITGCSSFCSITDSWTGAVLTTTGQEDSACSGIGCCETPIPIARPTYGVQYRNLDESHELDGEVPTAVRIAERGWFDGVVAARMLNKSLSDATARTAVPVVLEWAVASTPVVVPGVAPDSGNSSCPVDAAKSACRSSHSSCHNVTGNYRSGYVCRCWEGYAGNPYLAGGCQDIDECAMPGKCFGACVNTAGAGAGLLFLVLGVAFLTRKCKHRKARSLRQKFFKQNRGYLLQQLVSQKADIAERMIIPLAELEKATNNFDKAREIGGGGHGYLDPMYYYTGRLTEKSDVYSFGVVLIELITRKKPYSYRSPLEDSLVAYFTSLLSQGNLVPVLDPQVLEEGGEEVGAVAALAASCVVLKGEDRPTMRHVEMTLESIQAALQKDTLVSVSTKLSKDKQVVLSHTTGDGRRREESSRQYSLEEEFLLSARYPR >DexiUA01G0005430.1:cds pep primary_assembly:Fonio_CM05836:UA:9671327:9672339:-1 gene:DexiUA01G0005430 transcript:DexiUA01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIVEETFAASGAPTVGDFFPALRWADRLRGVHAALRRLHARRDAFVGSLVDDHRRRRDNAGHGRDTDTTEKTSIIDELLSLQETDPDYYTDTVIKGIVLILLTAGTDTSALTTEWAMALLLTHPEAMNKLRTEIDANVGNTRLVEESDITNLPYLQSVVKETLRLRPTAAVIPAHEAMEDCTVGGYHVRRGTMVLVNAWAIHRDPKVWDAPEEFMPERFRNAGTVTAATAAPPMLPFGLGRRRCPGEGLAMRLVGFTLAALVQCFEWGVGEEGAVAMDEGVGLTMPMATPLSAVFRPREFVKSMLLAET >Dexi9B01G0046470.1:cds pep primary_assembly:Fonio_CM05836:9B:45734058:45740454:1 gene:Dexi9B01G0046470 transcript:Dexi9B01G0046470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWHAPHRNATRPRLASVPGDPTPLSRTSPQLHTRTGELAATAGGAASDLGSDGVVGAAATFQYVRVSSGLCAGVARREVEMMMHGEVEISSASQQAPGGPYKLFHSPSPASLLSLTWTTARNNTRTGRPSYLGEETKPSSLPCQGDPTQQLIGFGSSASSSLHLSMGVTFGCFGGGLGGCVQRNGGEQVNQQPAGLAVASVCPKPLVAPSSSFDFREEYTSTFRTESYNDFWARVLDITLAHGAALVPRPAGSTTTGAATASNRLPSYRLFAEHLLEPDQRAVRAALSSARHRLHPGVLDLLAAYYNETANASFLCSHLLKDIEQIRIRYRPLKKTLRKLARDVDVVVGVSNLADVSAKLGKPFTALAASQGKLGQAQASSGDLLKSLDSGRKKARLRIKTLARVRQAISLSAVTVVAAMAVVGAFVGVHILAAAFPVVSPAWLGVFSGRTARRALVQLEAAAKGTYILNRDMDTISRLVARVRDEGEHMLALLQLCVDHSDKARLVQEVLKQLCKNEENFRQQLDELEEHLFLCFMTINKARIMVMNFIAAAEQKERWAVIVFVGGGGGGFGSGRLEVRAGGLSFEPSGTNPLAQAQRGPATVGGGDRFRAPRRNRRASPLCAARPPYAALPPQVSAHVSGMRFLRFDTRSADDLLAAVRGWLENAVYP >Dexi1A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:1A:25755805:25756689:-1 gene:Dexi1A01G0018600 transcript:Dexi1A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIIIRLHVKSDKCQAKAMKVSAAVKGVESVTLAGGDKSLLLVIGTAVDSNKLIKKLKKKAGEAEIVELRTHDTFEAAALPLPGTKQEMAAMRSSPYNGHHHQWQQYNNSYAVAPTSPYAHHYYPSPVGGYGYGYGGYGGARVSSYSLAAAHSHPGNYSPLVERHDYQPMDKSKNSSASSKQRQSSSSIPRRGGGGDSCVIL >Dexi1A01G0022440.1:cds pep primary_assembly:Fonio_CM05836:1A:29106421:29108409:-1 gene:Dexi1A01G0022440 transcript:Dexi1A01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTSSPVITDTLSISPPLLGSLTSNLMPFSVMSGGCSSPGMNVSASRRKIEEVLVNGLLDAMKSSSPRKKHNLAFGQGNSPDEDPAYNAWMTKCPSALKSFKQIVGNAQGRKIAVFLDYDGTLSPIVDDPDRAFMSPMMRAAVRNVAKYFPTAIVSGRSRKKVFEFVKLKELYYAGSHGMDIVTSIAEHNTEKCKEANLFQPACEFLPMIDEVSKSLLEVTSGIQGASVENNKFCVSVHYRNVAEKDWEVVAQLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDRENVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQVPKDTEAFYSLRDPSEVMGFLNSL >Dexi5A01G0035780.1:cds pep primary_assembly:Fonio_CM05836:5A:37492471:37496897:-1 gene:Dexi5A01G0035780 transcript:Dexi5A01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEDVHDMEIVTEEEVHCVKGDMERKDSALNQTANGVNNKVISQEIHSEGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENGKDKTSICSKCHHLSSSRAKYVKKFNYAETDAEAEWWVQCDKCKAWQHQICALFNRKCEGAKAEYTCAKCFLKEKDSGDIHAMESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRASTLGKGVEEANQIQDVQRVEGLTVRVVSSADRVLQVQPRFHEFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGESVRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLSDFFLQPTNECKANISASCLPYCENDFWPGEAERLLEKKDDKTSQKQETQVGRLLRVAKRDDRKGNLEDILLVHKLGERMRTMKEDFIMLCLQQFCKHCHKPIASGRSWVCTSCKNFHLCDKCHTEEQNTAQKDRHPATTKQKHAFKRIEVEPLPETDDGDPTMESKDIKGYMADKMKFVGPVL >Dexi3A01G0033160.1:cds pep primary_assembly:Fonio_CM05836:3A:37938190:37939468:-1 gene:Dexi3A01G0033160 transcript:Dexi3A01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSGDIPSGSRHSNPCTKFATIAATSLRPRLYAGQIRRPDPNGSSSKWSATIRSRPTTQSSSSAAADMASGCRRSSAIAHSDVIAVVSVPPAITSWIIAFTPSLVSLASSASSSSPPPSSARSSSTSTRSLAASSSPPANSPRFRRSLSLSSSHSSHGNQSPTLLTALVTANASSRVLLHLHSTTAGAGDEEDGARHGAHLLDTDELERRDAVRGEELGGAELARHAPVGAVGLGKETGEAIEEAIEERFGT >Dexi9B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:9B:14096073:14103475:-1 gene:Dexi9B01G0019510 transcript:Dexi9B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGLPVEPQSLKKLSLKSLKRSRDLFAPAHSLLFAPDAESKRIRTGYKVRAEYGAVKDLPAEQGRGQGKGTLAAPSNALALTGTQDTNDSHREGTSNAIVPAPLMLPKAPESTIPGKNTTLSIAGSSDRFSTSALMERIPSRWPRPAWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKLWDLVAGRTMCTLTHHKKSVRAMAMHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSLWFWDWKSGHNFQQEQTIVQPG >Dexi9A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:9A:7947774:7950998:-1 gene:Dexi9A01G0012440 transcript:Dexi9A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGANLVESRGQGASLPSGGAVMQPWWTNSGAGLGAVSPAVVAPGSGAGISLSSSPVGGSGGGGAAKAAASDESSEDSRRSGEPKDGSIGREKNHATSQMPALVSEYLASAAYQYPDPYYAGMVPPYGTQAVAHFQLPGLAHSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKEIKTLSISMSLPTCYSYDRTRHEVAA >Dexi6B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:6B:4646319:4646543:1 gene:Dexi6B01G0005380 transcript:Dexi6B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPMVSGEEEVVQVVGKLADVLLQPCSGELRRGKVDLVGDFEPLHHGLLRKKKREREGFLCTERQEGSRGAWG >Dexi9A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:9A:3921987:3922262:-1 gene:Dexi9A01G0006940 transcript:Dexi9A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGWGRRRVGRWVDGGIPTRAEGGEHRRAGRRRLISSRRALASERAERRGKWRGDRVRIRIWMRMPRRESSRALEAEQPACARGRGGLVV >Dexi3A01G0023910.1:cds pep primary_assembly:Fonio_CM05836:3A:19537172:19538115:1 gene:Dexi3A01G0023910 transcript:Dexi3A01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLENVSQQQAAAPAAEAPLKLLGSWASSYTHRVQLALRLKGLEFEYAEEDLGNKSDELLRLNPVYKKVPVLVHGGRALPESVVILQYIDDAWPHTRPLLPSDPFDRALARFWCHFADNKLGPAVGAVFASTGSEQEAAVRQVHENLALIEAELREGAFKGRRFFGGDEVGILDVVLGCGSYWLAVFEEVMDGVRLVDADAFPLFHAWLRGFEALGEVRDTIPPVDRLLGYARGVRNMLLGLAGAGGGAAASTAAAEATAPVPAQAATDIAVDI >Dexi1A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:1A:7749672:7750989:-1 gene:Dexi1A01G0009480 transcript:Dexi1A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSTLPLMEGEQDQGLFPAFHVMKDPPILFPFMINNNPVDQLQGPSIYGDHQHLRQKVLAESTQQFTDRMMLGGSDVFPRPSPFRPIIQSIDGDMIQRSVYDPYDIENKRADGSTSGWAVAPPAKMKIMRKATSEYPEGGAARKPRRRAQTHQDESQQLQQHDMGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKVRRAMAAAAAAAANSNGGAPQAASVAAQAKPAKKEKRADVDRSLPFKKRCKMVAVDHAVAAAKAMPIVSAPSKDQDQEKDHVSSDKAAAVELSLQSKVDTNPAPAMSFHAFPGDEITDAAMLLMTLSCGLVHS >Dexi9B01G0026380.1:cds pep primary_assembly:Fonio_CM05836:9B:28450971:28457677:1 gene:Dexi9B01G0026380 transcript:Dexi9B01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHGEVSDDEAATVVESVPLSPPPASAQRLVVGYALTKKKVRSFLQPKLLALARKKGIHFESIDETRPLSEQGPFDIILHKLTSKEWQQVLEDYREEHPEVTVLDPPNAIQHLNNRQSMLQEVADLNLSNGYGEVCTPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYIVGETIRVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPKPLLEKLGKELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYERLLSAS >Dexi7A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:7A:19811777:19814168:-1 gene:Dexi7A01G0008550 transcript:Dexi7A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRHLAASLARALAQSPSRSISSTPSLFQTLDSSVPSPPSAAPSAGRLAELRRRLQADAPSLGDFAYSVEVGTRQRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEEVATSGLHVFAHNIETVEELQRNVRDYRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKESNSSA >Dexi8A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:8A:21021067:21022152:-1 gene:Dexi8A01G0012020 transcript:Dexi8A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILARTRARALAVVTLVLQVITLCTLAASLVVIATAPRTQYDMVYGFSIEIDDFNQYYFQDLYTFRVVKNRQELKVVCALLTSGGAAGLGLVVDDQRRHRYLFDSAQKKFYTFFDASCGLLLAAAVCTVIIIMVSVYSK >Dexi7B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:7B:17696034:17697369:1 gene:Dexi7B01G0010330 transcript:Dexi7B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSIDDRLSALHDAALIHVLSFLPTDEAARTSALSRRWRRLHAAVPAVDLVDPNAGRDGYSSRGSGGQLPMCFDQKVTCALLGRDPAAPIRAFRLDAFHPAHALLGQWVVLALRSGAEELELKLRCGEYSRLRLCPFGHYVGSSADFHDGDRGRYVRTPRHLFHSAAPQLRRLSLSRWRLDLPPGEGALPMTSLRSLVLHRIMGDGQALQRLVSSCPRLVDLALEECPGVATLTVAAASLRRFALVCCHNATHVRLETRALRSLRYKGGLLVTGHGRSSFFWIADHAAVTALTVDICEGVHGKTPREIATVTELVARCANLEFLHLALRPAMAYYSGMFTSVLRRLPRLRRMELKGSLHSEHGVGSVSALLHNTPRLEELSLFPVLPDPPEKKKDYLYEFEDMYDEHGSGDGDKKEAISCGGPVYVPRGTT >Dexi9B01G0042010.1:cds pep primary_assembly:Fonio_CM05836:9B:42349425:42350023:1 gene:Dexi9B01G0042010 transcript:Dexi9B01G0042010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQPRHDAPFVLHCSYSSPSLAKVPADASRPPGTHAPLKLMLPISWNGERFGITLLGECFTRQMRTCFFSSLHSCDRWC >Dexi9B01G0047470.1:cds pep primary_assembly:Fonio_CM05836:9B:46539097:46544892:1 gene:Dexi9B01G0047470 transcript:Dexi9B01G0047470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRARATGQRALAASSWHERSRSARGSPPGRIDLGVQGMVGGDADAGAESAAAQATLHIRCTNGSKFAVRADLGATVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYVLFTLVTYIVFLFEGVETDHTIHMVRGAAPPPAPIAPSANQETSTTAPASSPAGGGGGLLQGLGATGVANRGGLGLFGSGLPELDQMQQQLAENPNLMREIMNMPLMQNLMNNPDLIRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRNSNPFAALLGNQGSNQARDPAANAPTTASDPAAGSPAPNTNPLPNPWGSSAGSAQGAARPPPASNTRSATAGGLGGLGSADLGSMLDGGGSDASFLSQVLQNPSMMQMMQNIMSNPQSMNQLLNMNPNVRNMMESNTQVREMFQNPEFVRQLTSPETLQQLISFQQSLMSQLGQQQAGQERTQSGTGAGNVNLNTLMNMFSGLGTGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTQENLRALIATAGNVHAAVERLVGNLGQ >Dexi4B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:4B:21927670:21928765:-1 gene:Dexi4B01G0019780 transcript:Dexi4B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRTNLTEALRSVHLLKIDGYCWNKALDGSSSGRSITSRWDVGGHQWEVHLHYETPYYPIDKITLKLHLLSEPQRKFWASLSCRLVDPCQNLRPSDEKCMSYEFERRGECPNQVRLMGRDRVLSSGYLVNDTLTVQCTLTVLREHPLPSSDLHKHLGELLEGQRGADVTFVLDSGDRFPAHKNILAARSPVFMAEFFGDMKERSSQSVPIQDMQADVFKVMLHFIYTDTVPVLDEDPEAAVTTAQHLLAAADRYGLDRLKLICEGKLSGGVDVDTAATTLALAEQHNCSLLKAKCVDFITRSPETLNAVLATDGYRHLVESCPLVLTELLKVAHGRRN >Dexi1A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:1A:17948417:17952763:-1 gene:Dexi1A01G0013440 transcript:Dexi1A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSPSPTPRSPRRPEGIVAPDPSSADAQPSLDFGDPASLAVLRALTDAGAATRLLHECVAYQRALDGRLDSLLSRRADIDRAAASLLRSAPPLLSLAASDAAALKESSSSTAALADTLSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAASAVHEFVAIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDAVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVGSMASAAGGNEGPDPREVELYLEEILALTQLGEDYTEFMVHKIRGLRDVKPEIGPQTMKAFRNGSFNKMEQDLTGFYVIFEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSVLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNIDISSEYVLKLRHEVEELCAEVFHAPADREKIKSCLSELGEISASFKKTLHSGMEHLVASVAPRIRPLLDTVATVSYELDDAEYGENEVNDPWVQKLILAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >Dexi9A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:9A:6162521:6163039:-1 gene:Dexi9A01G0010130 transcript:Dexi9A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRRPSLPLQLLACITLLLLPPLTGAATVVRRLPGYHGALPFYLETGYVTVEETTGTELFYYFVESERNPRTDPLLLWLTGGPRCSVFSALAYEIGPVKFVERRYNGTLPQLVYNPNYWTQVRA >Dexi1B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:1B:20636982:20645716:1 gene:Dexi1B01G0014360 transcript:Dexi1B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEVGHGGAHPVGPASAKAAQAASAAADRFLRSRNAGASTQIELSLSASNLGDQEYFPKLFCDSYANLSAIQMLKLEEQEFLGEAACLLSEVVTKQDRLLTLKLGISEHNFLNPSKFGELILQAEESPGSKAIMEMVFRCSDLEIKDLLTKSENPLIIECFNFSNNGKHDLVGKIVKSVAELEHMYHRQNGENFFVPASNAHECHSKEVLKTQLHVEKYVENNRHTFLDYISAGCQLNFMVGIDFTASNGNPRLPDSLHYIDPSGRPNVYQKAILEIGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVDGIQGIMSAYISALRNVSLAGPTLFGQLISTAMAIASQSLADNQQKYFILLIVTDGVVTDFQETIDAIIKASDLPMSIIVIGVGGADFKEMEFLDPNKGEKLESSTGRVASRDMLQFAPMKDAHGSGVSTVQSLLAEIPGQFMTYMRTREIQTV >Dexi2B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:2B:28855238:28856599:1 gene:Dexi2B01G0018600 transcript:Dexi2B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAVEVGETAAERKRRRHQTHTAEAYNSNGFASAVLELAKGQGSSVSHPYSVSVPHSRSPTHAPTSVSPSPLALGLSRQAPPPHLTTSYGSARHRPPPPLLRLRQAPARTRQPLPPHLLRLR >Dexi9A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:9A:8268987:8272779:1 gene:Dexi9A01G0012940 transcript:Dexi9A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPRRHPAMVRLHEVYEDEGAVHLVMDLCDGGSLSDRMIRRSEEAYCTETLEVAAARVAWELVEAVRALHAAGIMHRDLKPDNLMFSGHGAEERLKVIDFGFAIDFRSGETFRDEAGSFPYMAPEVFARSYGPEADIWSAGVIVYQLLCGRHPFPARGQCEQLKRKATLRGAADMEGHPWPLISGGAKDLVRRMLEPDPTRRPTALHLLEHPWLKAAAIASEAPASRGL >Dexi7B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:7B:23351331:23355060:-1 gene:Dexi7B01G0017380 transcript:Dexi7B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGALRSKYLHSFKHAASQRHDSDHSATDDSPKKPSRPPTPPAATDAHAGHASAPPAAGMRRGGGPGATPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGIDYACKSISKRKLITKEDVDDVRREIQIMHHLSGHRNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKSYGAEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >Dexi3A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:3A:4920883:4923770:1 gene:Dexi3A01G0007260 transcript:Dexi3A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPEHHRRVPVLEDHPSGVNLYGVRAKTWIDEALPCDGLTVEAGELVPAEFGTRKHDIYAKVPVGGGDVVAGLTGDGCWLEEAERKLAVPRDEPVADAERLACRETWWSLQGSAEKKKAAENGESAGDDAGESNWACCVLRNDEDSTGTNLGSHLCWWKADVGEVETRINTVFFMGSSRHHIVHGDDMMQSEGPEKNGRMQRGRPSGVMWPCGVVSCIRKENAKLRPLADARGVFSNNKRHLVPYRSTANTSPALALVQDFVQLRGSRASMSMPHPVSCLWHVPCRASNEPQHSTPQNLTSLGQPAA >Dexi9A01G0028190.1:cds pep primary_assembly:Fonio_CM05836:9A:32849242:32850932:1 gene:Dexi9A01G0028190 transcript:Dexi9A01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPSPPPGQPPRYAGAIDAVRQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEAVLRSDPGAPLSVAQQVVAGAGAGAGAGFAVSFLACPTELIKCRLQAQSVLATAAPAPAAAAAAATVAAAPAAAAAVKYGGPIDVAKHVLRSEGGTRGLFKGLFPTLAREVPGNAIMFGTYEATKQLIAGGHDTSLLGRGSLIMAGGLAGASFWGLVYPTDVVKSVLQVDDHKSPKYKGSMDAVRKILAADGVKGLYKGFGPAKARSVPANAACFLAYEVTRSALG >Dexi1B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:1B:11253194:11256805:1 gene:Dexi1B01G0011190 transcript:Dexi1B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAEMVLAPTLPFKKVQTADKYPKGQSRGRQWKHLRHLLQAADASSMPPDRPNYLNIQSSPSIYPPKRYCDVTGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRLSILSCISGFAYVIRDILL >Dexi3B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:3B:7132370:7139046:-1 gene:Dexi3B01G0010230 transcript:Dexi3B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHHSSHPQIAEVKMDIASSASGAAGNKVCRGAACDFSDSSNTSKDAKERSASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVMLGHDHGHGHGHGHGHGHSHDHSHGDSDDDHSHHEEQEQGHVHRHEHSHGSSITVTTVNHHNHPSTGQHHDVEESLLKHEDGCEGTQSAAKAAKKPRRNINVHSAYLHVLGDSIQSVGVMIGGAVIWYKPEWKIIDLICTLIFSVVVLFTTIRMLRNILEVLMESTPREIDATRLERGLCEMEGVVAVHELHIWAITVGKVLLACHVTIAREADADQILDKVIGYIKTEYNISHVTIQIERE >Dexi5A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:5A:5983973:5984401:1 gene:Dexi5A01G0008050 transcript:Dexi5A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAATAKAKRKRAAATDIAGADPAAPAAAAAVEEASDAEVEEFYAILRRIRDASRRICGAGARPAPRAPAWRPSFCWEDFATPPPPPPATTPPAQPRPDEPAASPPPPPPQPQPRACLDLNAEPEPEAPATPRSASVPAA >Dexi8A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:8A:24120761:24120969:1 gene:Dexi8A01G0013810 transcript:Dexi8A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGLAKDREEGDGARHGQGHGGVARWRGGTCRDGAVGCWAWRSRQAEKRTRPQAISR >Dexi4A01G0023910.1:cds pep primary_assembly:Fonio_CM05836:4A:26847341:26852541:-1 gene:Dexi4A01G0023910 transcript:Dexi4A01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASPWAHGHRGEETASPSSHQLRNRTRSRESAVSRAKTPVVGTARPSNPRSSETAVALWRQVKAQRPAIAASTGISPRGHPILEDEAAGQAARGEGEARLHGLGDGVQGPHVEVADGRFVGGERGGDEAEIGVGGEAELREERPDATPAVGENAGSTGDGGVEAEKDEHQDVVWECAETVLAAAAARMGWGLGQHGNGVAAAAACRGPLAPFAGVNARRRAAGGRRAWARRKEGRG >Dexi9A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:9A:5957977:5958347:-1 gene:Dexi9A01G0009840 transcript:Dexi9A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKPAPPVALLLLCGLMVIGHSIQTTDAKPGSICPMYCMNATYMTCPPKDTEKLPPACNCCLAEKRGRGCTIYLGDGGVKKCP >Dexi1B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:1B:21397276:21399169:-1 gene:Dexi1B01G0014990 transcript:Dexi1B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQRVLLLPPWALLLLLALQLEAEEAPPSVPSSIVSPLLRTGYHFQPPRNWIIAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSHDLINWIALEPAIYPSIPSDKYGCWSGSATLLHDGTPAITYTGISRPDINYQPGINATQFRDPTTAWFADGHWRMLVGGMRRTRGLAFVYRSRDFNKWTRAKHPMHSALTGMWECLDFFPVAGSGEEYGVDTSEHGEKYVLKDTIGSYNKDKDRYVPDDPAGDYHRIRYDYGNFYASKTFYDPAKRRAARAAGVGQRVLHRARQGQGQGMGRHPCTCLDDAY >Dexi6B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:6B:15792291:15793023:1 gene:Dexi6B01G0009820 transcript:Dexi6B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHGHATTGVDAYGNPVAVQGHGQAVAGVPAAGTGAPQFQPAAAVEQKPRGILHRSSSSSSSSSSEDDGMGGRRKKGIKQKIKEKLPGGKKTNQPQPGTTAAGTFAPPQGPVDTYGQQGHAGTGVANTYVQPVQHGHAGTATNAYEHGHAGAAGTYGQPQAGTTGTYGQAGHAGVTGPVGTHGATGEKKGIMDKIKEKLPGHH >Dexi3A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:3A:3207934:3209592:1 gene:Dexi3A01G0004940 transcript:Dexi3A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWVKSAANALKLSSGTGPRAAAPAFSISRFFSAVPDGLKYTQSHEWVKLHEDGVATVGITDHAQDHLGEVVFVELPETGAQVSAGGSFGNVESVKATSDVNSPISGEVVEINSKLSETPGLINTSPYEDGWMIKVKPSSPADADGLLDAAKYTKHCEEEDAH >Dexi1A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:1A:19493560:19494344:1 gene:Dexi1A01G0013870 transcript:Dexi1A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVPGHLHHELLGFLPLLSTAQAFQHGVVGVGVRRHGHGGDEAQSVREAAGAAVPVDERGICDDIGGARGFRRLEHALRVSEAAVTAVTRDEGVVGDGVGGARGLERLEHSLRVREAAAGAELLHEDVMTAGGSDTESEAAAGVEEGPPRRSRSAASRSSSSTRRRSVRLVAPGRGGALRWSLPRRADRVRFCSGSGALPSTLKRRLPLQGDGGRDSYGSERAKEVRVGGRQRRRVAAPARRKERPFGCLSCSG >Dexi4B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:4B:1484960:1487435:-1 gene:Dexi4B01G0002330 transcript:Dexi4B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSSSSSSSFSSSSDGYQSAASPHPRGRGRGSGGRWGGRGGRDGRDQIDAFGSSSPTGGYHSVASAFSSLQLSDDADTQRRGGGAGGGWRGGRGGSERIDAFGSSSISTSAGYHSSDASGSSSFSTSAGYHSSASDSAPPQPRGGGRGGRGGGGRSGPIYAPGSSSSSSSAGYHSTAAAFAYPQPRGGGRSGWRGGRGGRRGGRSDGDASDRIAALGRLLSRVLRHMAAELGLDMRTDGYVRVRDLLRLNVRSYAEVPLKSHTVDEIREAVRRDNKGRFSLLEEDGELLIRANQGHSVTLVTSESLLKPILSADEVSVCVHGTYRKYLSSILQSGLKRMARLHVHFSSGLPSHGEVKSGMFCPFLKNISIRHDVNILIHLDVRKALHDGMKLYISDNKVILTEGFDGIVPVKYFEKIETWPKRTLVPFEK >Dexi2A01G0028970.1:cds pep primary_assembly:Fonio_CM05836:2A:40095166:40097824:-1 gene:Dexi2A01G0028970 transcript:Dexi2A01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSGSGCDGREDGAVEALLQWQKVSDFLIGASYMSIPLVLLHFATCADLAPLRGVLLQFGAFIVLCGLVHLVGIFTYARPDSRRLLVAFTSAKAAAALAASVAAVSLPTFIPQLLRLKTREALLRDKARQLDRDVALVRRRQETAARVVRAITHHIRRGVGGDGGGGGGHRHLPHDALAVLRTAVLHLSDALGLRSCAVWMPAAANELHLVHQLPEEDDRRDTTTTARRRQAIHDTDPDVAAVMASKDAKVLRPGSVLGTTSGSGNGAAAAIRMPMLRASNFADASSSGSDEQTGGAVSYAVMVMVLPAPPPATKNRRGNRRNRGGTGGAREWSKQELEIAEVVADQLAVALSHAAVLEEWQLTRYKLAERQRAVAQAQHDAAVAGRAMDAAQAAMRDAVLRPMHPVVGLLSLLQAQQQQDYAFPCAERRLAVAAMARLSALSSTLIDDVMAAVLTTTAASRGGDPAASGGVSLARRPFDLRALVRDTAAVAGCLARCRGLGFSHRAETSSLPGECRVVGDERRVFHLLMHMIGALLDRCECHCCHDLCFCVEVAAGGEQDPANFSGCNMLCVKFLFGITRTLRDSLLHSSSPRPRDRITKGTTTVPVGSETRLSIATCNKIVQMMNGKMWRESPSDFGGQQHGESMSLILHFQLGYGLASPSTPSPSGGGGFFYRSGGGFGIPSPSSSTIPLPQHHFDGLRVLLADSDDTSREVTRKLLERLGCHVLPVPSAAHCLSLLQGSDAAGADQPPYLQLQVVLLDLHTTPAAASGTDDGFEVAHRIRELTSDSFSWLPILVALPLPPRGTCIDDARREVCQRAGVNGVIHKPITLPALGAQLYRVLHNGD >Dexi3B01G0025790.1:cds pep primary_assembly:Fonio_CM05836:3B:20704397:20707570:-1 gene:Dexi3B01G0025790 transcript:Dexi3B01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERAKGRSVTIMESILQLSNIDLIFTYTVKGKTGSSTRATSFIQNDILTPAFDDAVLSYFIHLKAFGTFGGLGIAGLSASLLTSVLSTTLEDLLALALCSAGGFFVLSSFPGRRKLAIEKVNKAADELSRKVDESIQKDISQSANNLVCFVEVISKPYQEACQRKIDWLQGVQSELSAVEHKLQTLKVEIQNLHGS >Dexi4B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:4B:9636948:9637960:-1 gene:Dexi4B01G0011980 transcript:Dexi4B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAPPNPTWEYTLRKYLLLLASLVATVTYSAGFNPPGGVWQEVVRGEHLAGDPIIRQFHYARYLFFFYCNATAFVSSLLVIVLLLLLAVLHEKQNVWVTVMPLRAVMVLDLLSIMGAYAAGSCRDTLTVAYSSVLVAAVCVYLVVQAALSWQPDGEAPVADVMAERPRKLVMLLATFATSLTRGGAAGATRPGRLVDFFVCNTTALVASLLIIVLLLDRKLRVRTARSCGLYGCIVLALAGLVGAYAAGSCRSTHATVFVVALVVTVLGFIFLLVRVFGNAMEAATGQLLQIVGRCCSSGQGNEASSAGNNGR >Dexi2A01G0034260.1:cds pep primary_assembly:Fonio_CM05836:2A:44304842:44307502:1 gene:Dexi2A01G0034260 transcript:Dexi2A01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLFSCPVEEEDVPTEAPGVPAPGGGGNAGEPEVLKAASLGSGKLRFEGSLSFKPEPPQSPRNETKISVTSPRATVAPVPMPRELARTRFADAASAPAPESPKHESAAVTVQKVYKSFRTRRRLADCAVLVEQSWWELLDFALLRRSSVSFFDIERQESAVSKWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESKEPFFYWLDVGEGREINLERCPRSKLLSQCIKYLGPKEREDYEVVIEDGKFMFKNSRQILDTSGGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGVLKAIWPHSGHYRPTEENFQEFQTFLKDKSVDLTDVKMSPDEDDEEFWSRLKSIPSDCCAAADKPEEDETVAAQNTTCQESPVTEATTPEEVSVPEHEETRTNPRPIATATIQDSSEDAVEKAETSTTSDSDRASSEERPEENHDDDNTAVPREKILQRISSKKETKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALEQMHLSPRSGVKAASSRFASPQRSGSPMARGRCEQLTLGGGGGRAASSRFASPQRSSSPMARGRCEPLTAREAFRTHLMARG >Dexi4A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:4A:10521289:10524164:-1 gene:Dexi4A01G0012080 transcript:Dexi4A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLGKLWSFVSFLPFFILLLLLGSVKAVLIGPIAAAIVFFGNSAVIIGLWPAHFIWTYYCVLRTERIGLVLKILTGILLPLPLLLLPVLAIVGSLLGGIGYGAFFPLMATFEAVGEGVTDKLAHCFMDGTLSTIAGASTVVCDVIDFCFHSYFSFMDDLIEKMGDDETPLDINFLFGFRAGLIAYQEASFQMGLAYMISAVAIFDEYTNDLLYLREGSCLPRYIWDWFFRSCELNGRILLSEGLITAGDMEEYIIKGKGKKLSIKLPAWCILQCLIRSAKSDSPGLLICTTSYPDISDNVEVTNFNWPKDKVFDWMLGPLLVIKEQMKKLDISEDEEMCLRKLIMTNKNEKPSDWDDSGFPSDDNIKRGQLQAIIRRLQGIVTNMSLVPSFRRRFSNLVKALYLEAIEAGAIDGSREVKRRVKDDIASGSGKVGEKGTADVAGSSNDALGSIDMV >Dexi2B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:2B:4978055:4979063:-1 gene:Dexi2B01G0005320 transcript:Dexi2B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEHRDKGDRVRHDILRPRHAVEQVQRLTDTAVVGKREDHGVPGREVPRGHPVEHLARELHGPALAVEVDERVVDEHVTGHGRGVPDRGAATELAEQERVRVETERERAGAGAGAEDALDGVGVGAQVGAVPLHLTEQRERGEVVAVARERIDGGVPRHERRCRDAAEERERLVGEAAGGVEVDEGVGDDGVGWSEADLGRERCGEGAVEEEVEWIERKRSSARWDRSVRRARARERSRLREERAVAVAVAVAGGEEASELLRGGAAGREEVEAAREGMVVGLERRQRRXXXXGMVVGLERRQRRRSSW >Dexi4A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:4A:2278932:2280866:1 gene:Dexi4A01G0003120 transcript:Dexi4A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVRALSVTHVRPTGTSNTDDHTIKLSLFDTLFIALTPIRRLFFYHADDLPPFPDLVHTLRSSLAATLAVFPPLAGKVAVSSASGDNDVVIDCSPSTISQGGVRFVEAEYAGDLRRLAAAAEHDAEAYAQLAPALDVRKLPAPALAVQVTRGIGAVVVGVSMNHVVADGQALWEFIRAWAAAARGGGSTAAGVMPTFERAAINMYPRAEEVARKFLRVFAPALPTSLKHRISQHSEAAATNTDTTPDAVKPPPTSTYAAVASLVWTSAVRAKNSLNHADDNCYLMFAADCRARLRPPLPTAFFGNCAKSFYAKATVGELRAGGNGGAAALAHAAAAVREAVREQLEDPLGDAERWLERHRALPPDRVVQIGASNRFAAYETDFGWGKPARVELATVFVREFVAVVGAPGGGVQVSVALDQDRMDGFEASFLSQLHG >Dexi3B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:3B:3587970:3590993:1 gene:Dexi3B01G0005370 transcript:Dexi3B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRDWISYRLGSSLLSARPFALSSGGEGGASEGDTDGTTHNEFVETMSANRFSSNDTQVSEVTTNSNTGAIYPGPVQQDDDNKKSDPLIKVEALQIKFLRLVHRTGVPPSTDVVAQVLYRLQLANLIKAGESDARRTNLAINKARVIAAQQEQPGGPDLDLPLRILLLGKTGVGKSATINSIFDERKAPTDALVPATHRIKKIEGTIKGIRVTVIDTPGLMPHYHGQRRNRKILNSVKRFIKRFPPDIVLYFERLDHINSRYSDYPLLKLMTDILGSSMWFNSVLVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVQRHIQAAVSNTQLDNPVVLVDNHDLCRRNTKGERVLPNGQVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQTNSRLPSLPHLLSSLLKPHSSSSSDGIDSELTEMSDEEDEYDQLPPFRILKKSEYENLTNEQKSAYLDELDYRETLYLKKQWKEVIRRQKLTEAQNDEVADDYEESASPEVVHMSDMEIPLTFDSDYPVHRYRHIITDDQLFRPVLDPQGWDHDIGFDAINFEGSQELKKNISAAIAGQMRKDKEEMYIHSECSVNYSDQRGCSLMGGMDMQTASRDLVCTFHGDAKFRNLPWNTTGGGISVTKFSNRYFGGAKLEDSVSIGKRVQLVANAGRMSGCGQVAHGGGVEITARGKDYPVRDESITVAITALSFEKETVVGANLHADFRLGRGSKMSVGANMNSRNLGKLRIRTSTSDHTEIALIAIVSLIQFFRRRSGVADNGDQQFDTYLDD >Dexi7A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:7A:26065765:26071262:1 gene:Dexi7A01G0016120 transcript:Dexi7A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGLSVGRKLIKWIEAEDAKTITDHQIASAHTYARSPKWQIGSRPADTPFAPDLASITTSNPDTSFVFDKNESPDMKKMEVKVGQKMWLGRHLRRTGENQLSGSSLKPKKAGFKSGYYYTRTDTSTAVWATTCVASTHPPLLPPLCPHRTPPLPSTSPAPSAVPPLLLTGAVPPLHLVFGSLDRRRARPWLPTASPPEELIAFHVILVPVCVHEEFQVLRAPAIILVFPSPFHLITSIAFKDVCVVGVARTPMGGFLGALSSLPATKLGSIAIQGEIQIFSALDLGSDQGKTPTSAEVTIGWGPLAALKRANVDPALVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFSIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFAAVALANQKLLGIPSVLREKGGKIGVAGVCNGGGGASALVLELA >Dexi9A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:9A:6280887:6282176:-1 gene:Dexi9A01G0010360 transcript:Dexi9A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEAERAADGKDNEAVPALALPDDMLLEVFKRLPPPRDVVRCAAVCRRWRRAIAGAEAACLPTPPRHFGFFLNYDPSPLPPFVRTAGVALGVGALPVPPARRAFIVDSRGRRLLMRELGPGSIPDLRLLVCSPLDMTCVRLPSPYIAGHRVACSVLVPGEGASFRVVVVLFGSDPNHFEVLVYSSSSSSWEAATGPINRDVAVRRGPSVVIGDVVYKLQGEDKRILVVDALNMKLSAMPLPNTGTLLYVGNHWIGKTGDGRLCFFAMREQLTLVKWVLEAPGKWAEQRPVNLRSLMHPALVGDLAQTKLSAKMSDQLRGCKLVSFAAFCEATGTLFFIMADWVVALDPRTGWLQRLWHNPDESRPLGDVYPCEMLQWPPLKDLGEAHAAGGSVAN >Dexi6B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:6B:10477226:10478227:-1 gene:Dexi6B01G0008340 transcript:Dexi6B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQRSPSALLMPLSTLAVTIILSILRPAAATASAKQSWLDEFTTDGEVRSDFDRSGKQVASLVLDESSGAGFNSTQKYLFGEFTVEMKLVPGNSAGTVTSFYLTSGEGDDHDEIDMEFMGNSTNAPTVLNTNVWASGDGKKEHQFYLWFDPSADFHKYAIIWNDKNIIFRVDDVTVRVFRRYNDLPYPTSRPMAVHATLWDGSYWATEKGKVPIDWSAGPFVVSYRGYTANACVAAADGGGGSTCPVGKNEWMQREQDDTDRLTVAWARRNCLQYNYCEDGWRFPGGFPGECSRE >Dexi4B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:4B:5325941:5326303:1 gene:Dexi4B01G0007460 transcript:Dexi4B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNEAAQFALCVAVGVSYIIKPVLEFLAGAGPPSPLLDAALAVVLVALPFTYLVGILLLQLRLAPAQAMPPLPAPARQFACLACTMVSALLVMLAVPLVALWLLAGGSPPGGHKIPFS >Dexi8B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:8B:21289035:21289848:-1 gene:Dexi8B01G0012030 transcript:Dexi8B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHEDPPAVISHAAHPSHMLTLVTTTAGDPPPFRCDGCKEPGTGTGRRYRCGAGCEFDLHTCCALAWPTLSHPLLGGDLVFTLLPGAPPVAADAAAAAALCITCGVWPAGLVYHCFDSRKKKKDIYLHPCCAALTTETVLAGGHRVQLCAEAKLRCVVCGEKKEHGHHFFSSGRKLWAYRWFHSAAGEEGSIAAQSWEHAGDLDGGAAVPEASVPVVSGLLRRRTPGGEAGSLELGLEVAGSIVQQVVSAAASASPSS >DexiUA01G0022160.1:cds pep primary_assembly:Fonio_CM05836:UA:45314585:45316214:1 gene:DexiUA01G0022160 transcript:DexiUA01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACMIHVFLGLTARNPHRAIHASNLYSISFWYKEFSCCKPTYQHINTNNSMKPNDLIGVQDTVPAEGNHSCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAEFLNSLRPGSVVLDAGCGNGKYLGFNPDCFFIGCDISPPLIEICAGRGHEVLVADAVNLPYRDNFGDAAISVAVLHHLSTDDRRRRAIEELIRVVRRGGLVLITVWAREQEDKALLNKWTPLCEKYNEEWVDQSSPPVRSQSATLLESIAETDEDAGVMKQTDNQLKKCHDGMEDKTITACSNSNNDEKEKNQQEYFVPWHLPFHRAEIGGASAAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSGIKNAAIVDQFYDKSNWCIVLKKL >Dexi4B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:4B:1728856:1729806:-1 gene:Dexi4B01G0002680 transcript:Dexi4B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRDGGPAVIHPHHPPSPPTTSTFVHVVPHYYPAAAMVPPPSSYTPAMFSTASAVVVSKAEVRDVWAFNLEEELTTIASMLPYYPCVCVDTEFPGAVHDDPGTPRYLRGPRESYALVKRNVDDLKLLQVGVALSSGAAGGRCRIAWQFNIAGFDPARDPHAPASIAMLRAHGMDLAALRDHGVRPDDFAAGFYRCGLGCGQLTWAAFAGAYDFAYLAKALTCGAPLPETLDGFHALVKGLFGPKVLDVKHLAKCCGIRGGLEQVAAAIGVERVAGRAHCAGSDSLLTIDVFMVMVDRFFRNCNVLSHAGTIADLA >Dexi7B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:7B:15484866:15491789:1 gene:Dexi7B01G0007720 transcript:Dexi7B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQARPAAVLWLAGFLQAARLHRVVFFCASSRALFIRIAQCFLLNGLIFLGRYNDIAKHALEVVRSTSLDSTRALNAHNITEAEDQPEGFDRVALGIGEQVYSILLLTIFFAEVSVIGYIPYFGKAMNFLLLSLMYAYYCFEYKWNFFAVSLNKRLEFFESNWAFFAGFGAPCVLPIFFFSPLTSYGLMAILYPLALKLNK >Dexi7B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:7B:8432115:8433545:-1 gene:Dexi7B01G0003530 transcript:Dexi7B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPHALVIPYPAQGHVIPLLELAHTLVDRGFTVTFANTEFNHRRVIAAMADTAKHGGAAAAQLDRIRLVSMPDGMEPGEDRNNLVRLTVLMAEHMAPRVEDFILQSHRHGDAEPIMCMVADYNVGTWALDVARRTKIKSAAIWPASAAVLASLLSIDELIHRNIIDANDGSALTQGTFRLSPEMPEMHTAHLAWNCIGNHEGQEALFRYLIGGVRAVEGCDFILCNSFHGAEEGTFARFPKVLPVGPFLTGERDGKAVGHFWRPEHDACLSWLDKQPARSVVYVAFGSFTMFDTRQFKELAMGLELCGRPFLWVVRPDIVHGDVHEYPDGFFSSEDGGKGGGVVAGGRGMVVSWSPQQAVLAHKAVGCFVTHCGWNSTMEGVRNGVPFMAWPYFADQFVNQVYICDVWKVGLKAEADESGVITKEHIAGRVEELMSDKDMRERVEGMKKLAHESVKEGGTSHDNFDLFVQAMNA >Dexi9B01G0042720.1:cds pep primary_assembly:Fonio_CM05836:9B:42902734:42903500:-1 gene:Dexi9B01G0042720 transcript:Dexi9B01G0042720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFIVLFRLDTLFCWILAYTCSVPAEDSYHWLDISTTVCDIGKDLSTHNILHFSLTATEESGFQSSTFFA >Dexi6A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:6A:11500660:11501013:1 gene:Dexi6A01G0009690 transcript:Dexi6A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRAAPPFAPVQPLGLPFRQFLESLAIVRRPRLHVVASSRVQLATSSPAAVISASPRHPPGPRDLPRRGRRRCWPTMRCRSMWWSRGDGERRHAATAGPGFFLSADPVRTSRRAA >Dexi3A01G0030720.1:cds pep primary_assembly:Fonio_CM05836:3A:35072719:35080249:1 gene:Dexi3A01G0030720 transcript:Dexi3A01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEVSTSKTGKHGHAKCHFVAIEIFNGKKLEDIVPSSHNCDIKDGFAEGKDLVVTVMSAMGEEQIYALKDIGPRN >Dexi9B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:9B:6144438:6146225:1 gene:Dexi9B01G0009960 transcript:Dexi9B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVADEKAQPQLLSKKATSNSHGQDSSYFLGWEEYEKNPFDPIANPSGIIQMGLAENQLSFDLIEAWLEANPDALGLRSGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYRVSFDPSNIVLTAGATSANEALVFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSDNGFRVTRDALDDAYRRAQKRRLRVKGVLITNPSNPLGTTSTSADLDLLLDFVSAKGIHLVSDEIYSGTAFAEPGFVSVLEVLASRRAAAADDDEGRLSGRVHVVYSLSKDLGLPGFRVGAIYSSNTGVVSAATKMSSFGLVSSQTQHLLASLLGDREFTRRYIAENTRRIKERRDQLVEGLRAIGVECLESNAGLFCWVRMGSLMRSQSFEGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDIALQRLADFAEANGKGAPAQKGLAGGAPARTMSCPVVRFSWANRLTPASGDRKAER >Dexi6B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:6B:306999:308589:-1 gene:Dexi6B01G0000430 transcript:Dexi6B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKYINVAMLTILKNVANVLTASGETYFFKKQHGIQVWIALMLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSLPLGIILVLGFNEVGYLLETDLLRMPEFWLVITASGVLGLGISFTSMWFLHQTSATTYRYQNSLSILFGLLAGVFFARAKLRDNSPS >Dexi1B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:1B:24863058:24865699:1 gene:Dexi1B01G0018690 transcript:Dexi1B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKVAQPGVEGGVTRRRGGTVAGGKPGGGGVLPGGQWGKQAAVRSLSAGVAELNTMPSLDLNEPINWDEIDDFEGNVHDLDYDYVWESGNEGDGNTTDEEDEIVPEDVLVEPEAGGDAHTVQQVEEASMHHVEEADAVPQADAGDEAVFVAFDSGTPANIKRRRYYPPDIKRIFFRFQ >Dexi1A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:1A:8863311:8873110:1 gene:Dexi1A01G0010260 transcript:Dexi1A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSLLLLLLHIAAAASRSDAAAFASPTTGSIVKQLSSVVKWPRGAASPHGGPKLPAHSQYASDGHVGVSLQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEFQQWTDTRSSADSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDSSLLSSDIILVIGAVVAGYIFSGLQHGFGFSSSEKVEAPETEQHESSTIGKPPLVVESLKEEPGAGWPSFGTLISDLLKLAIEGVGKLLFSVVPQRLQHGKRKTDLTPLKDRLVMPEDREEAPMAQKLSSTPMRPETLHAPNAVNETAAKAQKSIKPSKFRDSTLSSKHRSSKRQEYADFYGTSEAAAVSSKVPKDRLRHRHREKTGEVAYGTAPSELKPEAKPTDYSDPKYDHYVRSKYAAESGYRY >Dexi7A01G0022700.1:cds pep primary_assembly:Fonio_CM05836:7A:30879953:30882046:1 gene:Dexi7A01G0022700 transcript:Dexi7A01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAPAAAPAVATASPRSPMPPETPATLKRRQRGLVSRVWKGIFGGREDVEKLLQSLSKEEEAVRARLRRRAHASRQSAHNILALAAALEIAAVGYAIMTTRSPDLSWQMRAVRVLPMFLVPALAALIYSTITSLTKMLDNRDQHTLEKLRTERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESSRDAALGKSNDNNHGQATGLRQRKSAHLNNGTGRTHSPEPFDGSNVCDGNEEDGPGTPNQRTVEHFRGPAGNDGGWLARVAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFITYYCPHCNALNGSRQHEGHELVPDSGKDSPSSQSDIGIGQAGASLASSGAVSPVASSLPTVEELPAEDSGEKATSDQPAN >Dexi2B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:2B:25715852:25720724:-1 gene:Dexi2B01G0015650 transcript:Dexi2B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGTGLHDLFDSVRRSISFRPAAAAAGPEIPAGPFGAGAGGIGLRISSCIRKSRGMGLLGLIAKNPSPPRRMLPPMPDEADGGGSGGGGGGCGGGGGGEENPPIRWRKGELIGAGAFGQVYLGMNLDSGELLAVKQVLIGTSNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEPVIKKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGEPDELQPLNRAGHKDSFNEIPAHDIPNGLGLNHSSNWSTMNSNKSSTMKPLWEGSCDDDDMCEFADKDDYPAVGATYNPMSEPFDNWESKFDVGPEQNSHQSMEFGGLAKHAESSITENEFTFPVEGSCEDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTTNAGTCQEADQTSKGKIPVSPKLPPRGKSPPSKTRGVASPTCDNLNNTSPESCSKQFPRSSVVKSSRILREIASPQLNEFGDKIHLDAQDSTRFVQYCYAIISFAERQRKWKEELDQELERERVMRLAGCGKTPSPSRGPNVKRERHADH >Dexi9B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:9B:1976410:1979679:1 gene:Dexi9B01G0003440 transcript:Dexi9B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKSSPGGQRNWSSGGPASGRKNKERGDDRAKGTRFASLSNREKREEHKGRRGNVGSTRRSSEGVPQAGVKKNEAGFDRRKRKIDNDSWDDDGGGKNFSSSKSKFTRKTASTMNRQKVAPGKGDGLKSQRLYEDDSWVGRRSMSKISDISRGFNGRSGLSKNSGASKGKKFDASTEFRRTKKAGAKDVGLGEEVADSKKSDDSGHITEEEKPRPRLTRVLDQSGKKIKPVKKDAVPDDEEPTRPKKRKRMKLDPYDTSNKRIEDFPPIQDVTNAEKVLVKCAPEETEMSINAQFRSIQPTPSILSYVEDNLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSSTERDRIEESVFRNKLEFFAAAKMSSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERHKTPYQIVLTKTDLVFPIDVARRAMEIQESLKKNKSVVNPVMMVSSKTGAGIRNLRGVLGKLARFIKP >Dexi6B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:6B:10568650:10570977:-1 gene:Dexi6B01G0008420 transcript:Dexi6B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQVDRVANPVAITRSDIVRPGHWFYSLCKPYISSLEQSLTYLLSPKESYDDLSQKDVESLSGRSLSSSNGSGLSSVGGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLVYSEQLEIIVRLCFTIGIGALKSMVAICFVGSVMEA >Dexi7A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:7A:29069929:29075557:-1 gene:Dexi7A01G0020230 transcript:Dexi7A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNASSITAVAVEVTNVLNCLAQSPTFLRSRSNSTQASQKNPTQNAVPGPQEEPSQSGSNVSKLVLGTLVVGAAAAVGAHQLGYIDFQSKDKKLPFSFRNPDLAKVYEGFKLPSEQKVGQAQNMPGPNTEVVQSSDNEAHPPKDLPNEGMGAPEIQTNVDQLVPEEEKKSETLAHETHPGPDEHGSDTELPSQDSMAVEINPVVINDKETDEVPHEQQNDQAYNTVTLVQSSPTTVSPNNDPDADADGQKDLSGAGATEQKSLAETYLLQDEPDVSKNQTAKETRRDEVVSEKTSDDGKVVLDIIEAIHAAEKKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKMKNAAAIKQLQEKTEQKLRDELQRKDEETSQQVEKAQELAKAELAAAVAKEKATQIEQIAEANLNFNSLKQTIRHFSLIPEGGGGILTHALARVASSIKIKEDHSGDGVESLINRVESLIVEGDLSTAADTLEGGLQGSEAAEIATEWVKQARKRAIAEQTLALLHACASSTTFS >Dexi3A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:3A:1858243:1858917:-1 gene:Dexi3A01G0002790 transcript:Dexi3A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSGTAPLAEAPSYMRFIWEITLEFATRRIGGGGLTEVAQTKPAQTCQGKHVVDDPSSLLDYNWTRRVIWEKLSGLPWPPGFRLSPSNWDEFSPDTAASSIHQIVQANDDMGLCGGHYRFVVYTELKVTVVYSEPKALLRHLRQEVVQQAVVEPSTGDGDPACGICFDGLASSPPVKLPCGHAFHSDCIFKWFFNGTACPLCRHDLRGLVAMPWERKIWDLD >Dexi9A01G0037410.1:cds pep primary_assembly:Fonio_CM05836:9A:41671489:41676309:-1 gene:Dexi9A01G0037410 transcript:Dexi9A01G0037410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDVAAMDGDGADVEDGGGDSSVRTSERSFPQYGSGGANHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQEQEVRKAMQKSGKDHSLLLVLPSGVYRYRFVVDGERKCLPDLPCETDAMGNAVNLLDVNDFVPESVESVAEFEPPPSPDSSYSFQAPEDKDFAKEPPALPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >Dexi4B01G0022120.1:cds pep primary_assembly:Fonio_CM05836:4B:23832741:23833919:-1 gene:Dexi4B01G0022120 transcript:Dexi4B01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFTMDRSSKKRLLFDVTTRTIRSVTSSVFPDATCAFENGGWLLMIQRNKPPPPVAFVEQQAAFFLIHPTTGTRLDLPPLSPSSSSGFFVFYVNSHGAPLVVAHVEAISLVPTVHVACPGDLFWSVYKHDVDVAAMEEETHQHQPWRRQQRRRLVEPVSIADVALVGTQAVCLDAGGEVLVFDVAEMTWRRRTPAVIPDGGGIAEYERSLVAAGGEVVLVSRPRAVGNAAASRFFRLDMAELEWAPMERRELDDTSWFLRKGQSFRAKDPGKRRVYVFGGGSTAAEASVAGGSSGIKSITNVYGYDLDDGSDEMVIPASIQQ >Dexi2B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:2B:3532318:3533247:1 gene:Dexi2B01G0003970 transcript:Dexi2B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGDGRGSAAGKPRKRQLVMESSDSEADEYCISTRQNAGAAASVGNAGASSRGDGDQSGEKAVAVDSDKVSEVKSTAGGASEKHKGVELERSNPQPVAKKIRVEAVHGSGSGSNGGAAKGGTGGKMLPRGLPTWRFEKPEVRGGRVLDEKDGVDVKASSASKVKEPVSSLDDKRRRVEQQKHEKRTPLKADQGKSVDSGQQEVIRLQGKRGVLRILPKSDKLARYTGDGQIIPKKDEVDGEAGKGKILPPNIKADEKTGDGRIPTKRGVLKLLPKNKGMMTETNDGKHLPKNIMCGK >Dexi7B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:7B:1337662:1338189:1 gene:Dexi7B01G0000590 transcript:Dexi7B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSGKRVGIVGLGKIGTLVARRLAAFGCTIAYTSRSPKPSSPYAFFPTVLALASNNDVLVLTCALTEETRHVVNRDVMEALGKDGVLVNVGRGGLVDEPEMVRCLKEGVIGGAGLDVYENEPNVPEELFEMENVAFFAGRPLLSRVTL >Dexi5A01G0033470.1:cds pep primary_assembly:Fonio_CM05836:5A:35821849:35826776:1 gene:Dexi5A01G0033470 transcript:Dexi5A01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDELSIATRGYVPIAGTFLMARQRATSSSSSLRLALTRATATDDGSQRMKRRDRACSHPTKEEMARGREACVTGDNRTAQPPYGQNGKLDVDRSRSGWSKVKSAVGWELQQRFAGADCSGRLFAYALVIISKEMSFRSIVRDVRDGFGSLSRRSFEVTLASIYGLTGHHKGKTQSSSHELDDSPSIFRESRWANLPPELIRDIIRRLEADESTWPARKHVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSLKQPGPRDGNTMIQCFIKRNKSKSTYQLYLCLSNVVTSESGKFLLSARRHRKTTCTEYTISMDSSNTSRSSRTYIGKIRSNFLGTKFLIYDTQPPYNGAVVPPVGRTSRRFNSTKVSPKLPSVSYNIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTASFSQSFRSTTSLSKSIMDSSMDFNSARFSDIAGSSARFSGIGSSARILGIGSSARISGIASSRLDHDEDSEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATTPPPAGAPTPSQPAPSDPDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi9A01G0039970.1:cds pep primary_assembly:Fonio_CM05836:9A:43851371:43852150:-1 gene:Dexi9A01G0039970 transcript:Dexi9A01G0039970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYTINSPLKKRKSQYELVDPKLLSFKYKFGSRLSQQEDDSATTESLGNDGIFMNKNSSMDMVSIPEELDSCENTQSLFGGCIEVDSKNGIQEHDHSGLMLEPHDDMEMIYNVLEQYDDLMKDELASGNVYGSAAHIMNEKLYSNGVDEFQILPAGQTGHHGEKKLTIDQEFEQYFSNLML >Dexi9B01G0029670.1:cds pep primary_assembly:Fonio_CM05836:9B:32131478:32132933:-1 gene:Dexi9B01G0029670 transcript:Dexi9B01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDELKLLGMWASPVRLALSFKGIRYEYVEEDISNKSDLLLSSNPVHKKVPVLIHNGKHVCESQIIVQYLDEVYIGRHGLSLLPIDTYERARARFWAAFIDDKHGCTLLRRARHGLNLFDVCRSPLLNALVDRFSKLDETKAVLPDIKRLVEYAKVREAQASDTN >Dexi5A01G0027470.1:cds pep primary_assembly:Fonio_CM05836:5A:30958357:30959204:-1 gene:Dexi5A01G0027470 transcript:Dexi5A01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVGIKGAKASGAKAVAVPSLQSQRQHYSIADVILYSLLDFDPELWGLPPFEDRIYLGWAKSKVPGFSKVVIGTGWDFSQQTIERVMD >DexiUA01G0013480.1:cds pep primary_assembly:Fonio_CM05836:UA:28199941:28204996:1 gene:DexiUA01G0013480 transcript:DexiUA01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDPIEPPPPAAMDAALPGAVVATILSRLDVRSLLHAAAACRGFRACASQALAFLPSFHLLEVALTHDLLRPLLPPNPTLRTLRLDCARLEDDAIACLARSSLHELLLLNCDNISGRLLCKLGNTCRDLRVLSLNSLGERRGLVVNFYDLQELLNGCSQLESLRLALDFSTFDDPNFGHVWASASERLSSLEIGYIPMTMLLELLTAVVDAHQCMDYVKAPVFFPSLQKLCLTVDFITDHLIGSISAALPSLTHLDLQDAPIIEPTSVSDLTNTGLQQINPHGKLKHISLMRSQEFLVTSFRRVNDLGILLMADKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTDVGIKRLSFNKDLNVLDLRDCRSLGDEAVRALSCLPKLQTLSLDGTDISDEALKYLGLGGKIFVPPSGFLGLKLLRFSAPVIPLLSFLEGALPKLQSLELQFRLFEGAYGLENLASLQQVRLRVSQQASEATKVKVSDIRSSVSMHQNKATVEVDEYNE >Dexi2B01G0029290.1:cds pep primary_assembly:Fonio_CM05836:2B:37735515:37738981:1 gene:Dexi2B01G0029290 transcript:Dexi2B01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGAHRWAGEAHGGGGGGSNYIAKHVGIQNQVLRWLQHFSDRVEERAKGAAAEVNGLLKEAEALELDMKTAVVAFDHLTRQRITEHASRGSNPLHCHCPGSLYLVELSPSKSIRNLHSLVSENNKVSDDDNINLKTRDSIRSSNQSQVRAQDYERDILPRYKEALHIGLASCKDHFRKKGRSTTSVFRAMSTYSPLPHIIGSEEYNHDNSCGVADDAQTITDDFSWLREFQGESLDSRPDDLFGSQMLGEQRGFEKDPVLSTSMGGAGDERSGEADNAKEAELLASLQNPDINVHDIYSALVREGLFDAGDEILAVDPASGSLNSAVEDSAESASLVNETVPCEEENLIEGDHTAHPSDENDGVSESS >DexiUA01G0016560.1:cds pep primary_assembly:Fonio_CM05836:UA:35209874:35210893:-1 gene:DexiUA01G0016560 transcript:DexiUA01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRVAINGFGRIGRNVVRALYESGRRAEITVVAINELADAAGMAHLLKYDTSHGRFAWDVRQERDQLFVGDDAIRVLHESSIAGLPWRELGVDIVLDCTGVYGNREHGEAHLTAGAKKVLFSHPGSNDLDATVVFGVNQHELQAEHRIVSNASCTTNCIIPVIKLLDDAYGIESGTVTTIHSAMHDQQVIDAYHPDLRRTRAASQSIIPVDTKLAAGITRFFPQFNDRFEAIAVRVPTINVTAIDLSVTVKKPVKACEVNLLLQKAAQGAFHGIVDYTELPLVSVDFNHDPHSAIVDGTQTRVSGAHLIKTLVWCDNEWGFANRMLDTTLAMAAQGFR >Dexi5A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:5A:9567723:9568613:1 gene:Dexi5A01G0012680 transcript:Dexi5A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSCRPRWFNQQDPRINRRAFSEEEEERLVAAHRAYGNKWALIACLFPGRTDNAVKNHWQSGTRRRQNSGGATRRRKTSSSSPARPPHIARIHHCYGSSVSSATRAHSGGESGESTCTSTTDLSRGFSQSYAAPASAALPFFDFLGVGAT >Dexi5B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:5B:9109239:9113942:-1 gene:Dexi5B01G0012860 transcript:Dexi5B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDAEQPAPTEPARWRDLDMLLSRPGNLVEASFDPSPGLRDMLGSIVEVLVVGAGGLGCELLKDLALSGFKKLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVSIVPHFCRIEDKEIDFYSQFHIIVLGLDSIEARSYINSVACSFLEYDSIDNPLPETVKPMVDGGTEGFKGHARVIGVVKNIIPAIASTNAIISAACALEAFKLVSGCSKSVSNYLTYNGLEGTHIKVTEFVRDKDCLQLDLLWQFIKMLEEHPKLRISKASVTHEGNNLYMQSPEVLEQMTRPNLSVPMFELLKGVPCATVHATGMAENNGKKVSSLRKLRVAFKGVTGASNMDTTESS >Dexi8A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:8A:479166:480206:1 gene:Dexi8A01G0000720 transcript:Dexi8A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSPIYKELKSSSPLCSTCENFTSEAVNFLSEKQTQDKIMTFLHDACSQPFSLGQKCVQLMDSYATLLFTKIKEIKPEEFCTQYGLCRDTTLFSGVGSDSTCVFCHHLLDEVTSKLKDPDAEFEIIQILIKECNKIEGHVQQVSNLVLLFIFCRLIDCKRLVLQYIPLILVNGEKFLEKNDVCALVQACPASQKTASSSLLDGGLVSDA >Dexi1A01G0028500.1:cds pep primary_assembly:Fonio_CM05836:1A:34152755:34155457:-1 gene:Dexi1A01G0028500 transcript:Dexi1A01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHSSSTSSAPHRASPPQIPESLTLAATASSGSPSSSSASGMRDAAEDDSDSPPSQMSEDDPGGGGGGGDRWEPDLRGGNGGTGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGLRSVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTISDDDLDLVAKSFPLFRELSLVCCDGFSTAGLATIAGRCRHLRVLDLIEDYVEDEEDELVDWISRFPESNTSLESLVFDCVSVPFNFEALEALVARSPALRRLRVNHHVSVEQLRRLMARAPQLTHFGTGAFRSEAAPGGGLSVTELATSFAASRSLVCLSGFRDVNPEYLPAIYPVCAKLTSLNFSFASLTAEELKPVIRNCINLRTFWVLDTVGDEGLRAVADTCSDLRELRVFPLDASEDSEGSVSDVGLQAISEGCPKLESILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDRITGDPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQYIFEGCTKLQKLEVRDSPFSDKGLLSGMNYFYNMRFLWMNSCRLTMRGCKDVAQQMQNLVVEVIKDHSDDEGEAEIVDKLYLYRSLAGPRNDAPPFVTLL >Dexi3B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:3B:1574697:1575327:-1 gene:Dexi3B01G0002250 transcript:Dexi3B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLRTSAALRRAGTDGGILAALRTELAHELSSSSPSAPPPFHCQDAPDFVTVSDAPRAQDLLLRRWADPEEVLVSALLAPLMFEGQEPLPRDLLMKVFVSKPGTTPVLHFDCHAFWAEGKTGGGVDYAIDAVRYHSSPGDDGEEKYEGPEFRWCSACFLSPVDAVTVLCAQYVNWINKAGIRVP >Dexi3A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:3A:5171848:5175796:1 gene:Dexi3A01G0007470 transcript:Dexi3A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIESKEKLHSPVQGGDEEQAGELNTRVTDAPGGDSGSLSASSNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLGEVFFAVNNMPMGYPVLQQPGMAAPGQPHVSPMACGPPSSHVVNGIPAPGGYHPICMNSGNGIMENKTHEITHAATAGSAMSSEMAVSPSSAMSSTHVSFTPSEISGMCVDESAANATFGGDVDLSALENYSGNPFLPSDSDLLLESPDHDDIVEYFADAINGPSQSDEEKP >Dexi1B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:1B:4650489:4653448:1 gene:Dexi1B01G0005670 transcript:Dexi1B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDERLVALQVAYNRACNDYNQTTSGRVLQQDMNHFFAPGALVAYYEDNSGHEDTTDIRQQRVSYDSSQVQQFGGTSDNVHTYASAFNNVTPYVQLLPQQMGTPHVNPTFRLMHIKDQVVAFCADANGSRFIQQAIEVATIEEIVMVYEEITPYVCMLSIDVFGNHAIQKILNHGPQLYKRIFINHLMGHVFGLSLHMYGCRVIQKKCMECLPSKNIEFIFQSLRGKVAALSTHAYGWHVVKKMLAYSSHSPEIHHMVTAEIIESAKVLSADQYGNYVVQHLLEHGSPIKRSMMVTEFVGRIVTMSYNKHASNVIDKCLTFGCDRDRQVITNEIISTGGAEHFDDLIMVGVLVMDMMIHPYASLVIQKMVETAEEQKICMLLGVAWSKSNMDNLKRNQHGRHVIAAMEKFIAAKGKHASLLCPCHHL >Dexi9A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:9A:15208967:15211815:-1 gene:Dexi9A01G0020280 transcript:Dexi9A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRMAPRFLLLALVVVVAASAWAPVTFADPQATLLNLGCSQYNATPASAFLAALNATLADLRANLSAAGGGFATAAQPRATAPAFAMAQCRPYVAGRDCVVCFDAAAARLRAACGAANGGRAILDGCVLRYESAAFFDQSTLPGNTQLCNGSAVDVGGFADAARALVADLAAAVPRVPGLAAAAARDGVYAAAQCVEAVGEGGCAQCLQVAVGNIDGCPPDSDGRAVDAGCFMRYSDRSFFPANATVDLAEYLRSGKSSHKGAIIGGILGGIAFLFLVGLSIFLLIRRYRKLKPRRGDILGATELQGPTSFYYHDLKAATNNFNEKNKLGEGGFGDVYKGLLKNGKTVAVKRLIVMETSRAKAEFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLFGILL >Dexi5A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:5A:9606821:9607217:-1 gene:Dexi5A01G0012790 transcript:Dexi5A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDLMSCINGTCTKVESIAKPSSSPVHSQEEDARLDGEDEEDRNGPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLMWVIAPHELPTSAGYATATFIVNTTILALSEAGVLYQF >Dexi9A01G0031580.1:cds pep primary_assembly:Fonio_CM05836:9A:36561065:36561794:1 gene:Dexi9A01G0031580 transcript:Dexi9A01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSESCVLRPCLQWIDAADAQGHATVFAAVDTVLRGGAIGPLPELGGGTGSGDLYGPAGAGVGGKRAGGWSTFSTAKRARKAEAASCDLGLCLSPGSPPATGDRRAPLLRPGTPSMSSDESVTTTTTTTGGGGDREPVLLNLFP >Dexi1B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:1B:2304791:2307744:-1 gene:Dexi1B01G0002740 transcript:Dexi1B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAARRNSRIGFPVRAVTGDQSSRNVSDVKFPSDYTELLMQAKGAAESALKDGKQLLEIEFPTAGLQSVPGVNSLACFYFLLLGDGEGGNEMTGSMLLIREFCDRFVPAEKVTRTRVLVNSNSLTSKDYPSFFYPKLAELSKTFLPKLDTVYYIHNFKGVKGGALFRCYPGPWKVLRKTMSGNYICLHQQEEMPSLKEVALDILPSA >Dexi2B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:2B:29174183:29177428:-1 gene:Dexi2B01G0018970 transcript:Dexi2B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAPRKQDAAPARGGNGKAMHRLLVALNVGMLALGAIGGPLLSRLYFSKGGHRQWLSAWLETGGWPLLLIPVAASYAARRARHGRGAPLLLTPPRILLAAAALGVATGVDDFIYAYGLAYLPVSTSAILISTQLAFTVLFAFLVVRQRLTPASVNAVALLTVGAVVLGLHVSGDRPPGVTRGQYWVGFVLTLGAAALYGLVLPLVELAYRRAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQAIPREAKHFELGEARYYTVLAWAAVLWQFFFLGAVGVIFCVHTLLAGILIAVFIPVTEVAAVIFLHEKFSSEKGVALVLSLWGLSSYSYGEWSEARAKKKTEAAAEAQASS >Dexi7A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:7A:12915022:12919626:1 gene:Dexi7A01G0003430 transcript:Dexi7A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDKAPEHVQFPTTIEDLEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGSAGDPALAEDLGDGSTATRALLTSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLALASPGPGTTPRIGMTPSRDGNSFGLTPKATPFRDELRINEEVELHDSAKLELRRQAELRKGLRSGFASIPQPKNEYQIVMPPITEDDKEEAEERIEEDMSDRLVRERAEEQARQEALLRKRSKVLQRSLPRPPAASVDVLRHSLIKGGESRNRSTFVPPTSLEQADDLINEEFLRLLEHDNAKYPLDEKTQKEKKKGNKRKANVAVIPEIEDFDEGELKEASSLVEEEIQYLRVAMGHENESFEDFVKAHDACQEDLMYFPTNNSYGLASVAGNTDKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEQLAASYRIRNLTEEVDKQKALERTLQSRYGDLMSTYNIIHEQLEEHKIQLRKQEAMERENRAREEAAAQNTEEENERRRNVEGDKEQMISVTDEEPVGIKEINVDQMDVDNSNVDGDFVGPVPPAPDTQGDNCEASVQQNSPDSLNVC >Dexi1B01G0024220.1:cds pep primary_assembly:Fonio_CM05836:1B:29603217:29604195:-1 gene:Dexi1B01G0024220 transcript:Dexi1B01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPADELGGGRSAKLPTRQRKHLYLVLDDWELGYSIRKVDLFLGSDPEEAHDGRTEQRLPPGIFRLEAPRARPGQFTAFGTKIMFMKKFDNPWNTMPVYDVRTRALTSGPLRKWETTAVSCAYVQVDGKLFIMDEGVFEMLQPPPPPIDRVLVDVKFDWSWRELPSPPYQYVVSYALHPDEQTMVFSLTKHSPKRKLATFSFDIESSRWTRHGAWGLPFKGRGYFDRDLDAWVGLSGDPDTLGHLCACDVLSAGDDDRQPPACKLSKEKLFCVDAAEKHTGATLVYVGDGRAMFCLGASGRNPCPNDGAICFE >Dexi2A01G0022080.1:cds pep primary_assembly:Fonio_CM05836:2A:33982075:33982369:1 gene:Dexi2A01G0022080 transcript:Dexi2A01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRACQSLRAGSHDRVAREHRDAAEAVRGAGDGLALHADPASPHSAPHEFLTTQYFCPGVSPPSPSVSPWVSPYPTTVTPWFSSEVQGPVNTPPP >Dexi9A01G0039630.1:cds pep primary_assembly:Fonio_CM05836:9A:43515251:43517367:-1 gene:Dexi9A01G0039630 transcript:Dexi9A01G0039630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSNLKNLNPSRPSANFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTTNPDYWGDVTGALLPHPRMLMTPGVDERHNWTEEFKSLASSPVEASTTAPASSAQNSTDAPQKPGYKFLIYGRTGWIGGLLGKICDKQGIPYEYGKGRLEERSQLLEDIRTLKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKDYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKAEFPELLSIKDSLIKYVFEPNRKVPAN >Dexi7A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:7A:28665725:28666008:1 gene:Dexi7A01G0019470 transcript:Dexi7A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPSSSSSNQFLGETSSTCLTHSVTVAHKFRVPDFSLLEGMGPGKHVSSCSFTVGGCDWLLMSPCTSAFSEDQRA >Dexi1A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:1A:28474449:28476568:-1 gene:Dexi1A01G0021740 transcript:Dexi1A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPKNAEVEAAPAAAAKVDVPEPGPPADDSMALVVVDKVADKTSSEKNTLRNSNDRDIALAKVETEKRTSLIKAWEANEKAKAEHNIQPLGANVQEELEKKKAEYAEKMKNKKAIIHRQAEEKRAMAMAQCGEEVLKAEEMAAKYRATGVTPKKLLGCFGV >Dexi3B01G0033120.1:cds pep primary_assembly:Fonio_CM05836:3B:35487721:35488356:1 gene:Dexi3B01G0033120 transcript:Dexi3B01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAVVQRYDRPLPKVRTSKTEPGQSGSRQLPSGALNVQHIQEIIQLYQGKSSTHHGPMSVDDIASKFRVEASIVQNIVQSVSLPQDEPAEKKEER >Dexi7A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:7A:21671522:21673300:1 gene:Dexi7A01G0010980 transcript:Dexi7A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLWRNGPADKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRVSKLKPPTLKMKSQKKKTNHIIMENRPFSDQNFRKMGDADPSNGSSSASAMSYSESFTPGAVDASEMSGPAQSHAWESLVPSRKRSCVTRLKPSPVEKLAEDLNSIMHEEPFYYLSGSSEEDLLYHSETLVGSLEIGSGSVLLRHPNSKSSEEESEASSIPADDKSYITSESFSGSASFVVHSGNKETVNLKAATATPKSSPLHIEDNARRDTFQFGNQHILERIDSSLVSVDLVVYIISSHFHIFWVNAYIDLQACLYMDNYVFLLVQEKEIKEIGGAENFSESIGFANSTMKPLTKIP >Dexi2B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:2B:9494156:9495836:-1 gene:Dexi2B01G0008780 transcript:Dexi2B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEIPERYIRFEETAEEVNGSHDMSSAIPIIDFNKLLDLESSKKECAKLGSACRQWGFFQLINHGVPPEVISNFRNDMTEFFKKPLEEKKVYSMEPGNLEGYGQHFVVSENQKLDWADMFYLVLRPKDSRNLRFWPNNPPSFRESIDMYSSEAAKIVSCLLRFVATDMGVEPESLLERFQGQPQSMRMTYYPPCKQADKVVGLSPHVDCAGLTLLLQANDVQGLQIRKDGKWVTVNAVDGAFIVNVGDILEILSNGRYKSIEHRAVVHPTKERMSAAVFHHTCKDATVGPLPELVKKDGEALYSSIGYMDFITRFFAAKLDGRNHLESLKS >Dexi8B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:8B:7367865:7368134:1 gene:Dexi8B01G0006330 transcript:Dexi8B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKKTVVFLAVVALMALVAAAVPAGSRSFPLEAGEHCSESKNCNPDNCGATCAVLGINGVGVCKDDAGVPSCCCVPKPSASVGVQIV >Dexi9B01G0033710.1:cds pep primary_assembly:Fonio_CM05836:9B:35850266:35854238:-1 gene:Dexi9B01G0033710 transcript:Dexi9B01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKDTLQRLVDGLRSLTPRRGSRRAITSAASSNGPRVVIHHRPAGSSPERAAAAQHQAAAQHQAAPVTTIRVATFNAAMFSMAPAVPPPAADDDVEYPRCTATAGAGRRRKRQQPKKGILKAQQQQGPPSPVKQLRVSINLQDDEITAAEKGPRNATGGAANGGAWKGKAVAAAEDGRRSVPEWRRSPSRRTRSVAEVLREVGADMVALQNVRAEQERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKTHRVADQSDIRNVLRVTVDVPGAGEVNFHCTHLDHLDEGLRMKQVNSILRSSDGHHILAGGLNALDPTDYSADRWADIVKYYGVIGKPTPKTEVMRYLKAKRYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYAVVSSKGTSDHHIVKADITVVAGNGGARSLSFRRQRVVRMGKGSTKGIWAAR >Dexi9A01G0036880.1:cds pep primary_assembly:Fonio_CM05836:9A:41201795:41206842:1 gene:Dexi9A01G0036880 transcript:Dexi9A01G0036880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMKVLGYSLSWVSVVPWHTRTPHAATSATATARASLIVVVLPPRPTAASMRERTTPRRSIEPEVRELARRRRTTTGTTTERHDAPHDGLCTQTEPNRQGRSGRGQAVGGGRRLDLKAKPTSSTALLLLVSKSDDSEHARVQRLGRAVSYPSRCLDKKDTMRARSSHHRSTRGTHSIALVLRQVLNLKDAAATVLCPVRFSERNQNAPRHWSGGREAICKIVKVVHWNVTRFKMVLLEGVATSTIGVCPETTTTTRSDSPPRYSPTRSIPPRPRLAPPPDQPPTPPVSSSAAGLASPYSGPTSASSATTSSRWSPESEEQARPYARSAAAVWELAGFDDSQTSCTEPGEKRDEEEQKHRTSERPREREGRGCDGGGEEKARQPQRILPEPEKKSFPDAISARCQTRRFYWATIPRGLVRSLGFDSRAGQIDALAVRGIANDLRTLTIEQEPETEEGEETYGRENGGRRRQCSGGQTRRAAKRSKCRAPGPGKTFDLGSGGVHTSNKKPASPSPGAPSRLGPLPLPCAWPVTPPRGSRPPWHFVRCLSPAVSNGNARGRFVCSPVAATAWGAARLTAPLYGHACGLNPAADDHSFPFVRRHAGGLAQRHDPGDRLELMQILAHVGGQAPLHHIRSNGCSLVFVEDRVELPPSAANFHAGRRQMQHGTPAVRLLTQI >Dexi3B01G0032280.1:cds pep primary_assembly:Fonio_CM05836:3B:34643082:34643656:-1 gene:Dexi3B01G0032280 transcript:Dexi3B01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAMLKQEQTFRQQVNELHRVYRVQRQLMMQMQVAETKNYGSITAEAQTESTVKLGPQQWCGSSVEKAVTLAEDFNLELTLATGAGKRKQAKPSNSDSEATISSSTSAESESGRRFVPESNVTTLRFQNESKSHDDKVMQSPWLYQCLSLKMA >Dexi1B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:1B:14177979:14180783:1 gene:Dexi1B01G0012120 transcript:Dexi1B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPNGIGRSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANNRKKNNSDSKSDEIPKIYVPTNPKGAERLPPGIVVSETDLYPRRLWGDPSEDLTSEPRYLVTFTVGIGQKANINAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVMKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVKKHGLEISQPGLQPDRGLTWQMTKRRGDQEVHKFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGRAPWEGVRARCRKEWGIFQTRLADAEKAYYLERGITPPNSTVV >Dexi5B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:5B:2755231:2758501:-1 gene:Dexi5B01G0004060 transcript:Dexi5B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFLPPTTLSRAAIAKQARRSSITGFFINHPEAVQHLRPAPWGSGASSEHPELHSRRPTGELTAGHADRRWLRLPRRGGQRFLFASGGLHRPAPTESSPPPPSAGEKRRNPPSGCSHVSTALSQLAPDVAPRAVAEASALEPSSQPPPFSFPPIPIRIRDSAAFPVPKSRFPRELEPKRPASPLRLPAADRRRLLRPRPRPPMAAADPPPRGAAPAPALRRSPSIERIPEDARRILLRLAGELWGGDVDPSALAVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSAADLRDPEISALIAKKLREFHGLDMPGPRNVPLRWLEEARGRCSEEESKQFQLNELGDEIATLEKGLSGIDQSVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTATPHVLDFTKYPDIEEQRRFVQTYLSSTGEKPSDEEVENLLGLIAKYTLASHLFWGLWGIISAHVNKNIDFEYMEYARQRFDPYWQTKPRILGPN >Dexi9B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:9B:9761444:9762451:-1 gene:Dexi9B01G0014630 transcript:Dexi9B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACVEPPAGFARHHAATQAQPTRKRMRVAMGTTDDYEQEEEAACCLGEGGFGAVVRARHRATGQPVAIKRLRTGGDQTALLRESLFLKAASAGNPFVIGSRGLARDPSTLGLCLVMDCGGTSLHDTLRPQRNGGPPLAEATVCGAMWQLLTGAKKMHDAHIIHRDIKPENILVGDDHLRFCDFGLAVYMAERPPYTVAGTLWYMAPEMLLRKPDYDALVDTWSLGCVMAELVGGVALFQGCDDEDQLCAIFEVLGVPDGDKAWPWFSTTPFATKMAEADKKWLNHDYLRQLFPETKLSKDGFEVLSGLLTVNPDKRLTAAAALKHSWFSCIDV >Dexi7B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:7B:1013231:1014244:1 gene:Dexi7B01G0000400 transcript:Dexi7B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIRLDDGRPLTHYLLLLLATTMAATSILTTSTALNTSYYASSCPDAESIVQTTMERLHNNDPTLAPAIIRMLFHDCFVRGCDASITINPTPRSPSRERVAIPNHTLRGFDAIDAVKQALDSSCHGGTVVVSCADTLVLMARESVTLLGGDRYSIALGRRDGIQSNAWEVDLPAPFAKLDDVIAYFAGKGFTADETVVLFGAHTVGGAHCSSFRYRLTNPDDGTMDEAFRSDLLDTCAVEDQTLDTDPATFFDPDTPFVVDNNYYKQLVGNRTLLQVDQEAATHPATKQYVAYYAASNDVFVQRFSEVMAKLSNVGVLEGDAGEVRKVCSRYNTG >Dexi1B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:1B:22267230:22271344:1 gene:Dexi1B01G0015710 transcript:Dexi1B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRAKKADAAALPLGSSVFKHADAVDVALMVLGFVGAVGDGMVTPLRLLIASRIANDLGTGPDHIDQFTSKINANVISIVFIACAAWVMAFLEGYCWARTAERQASRMRARYLQAVLRQDVEYFDVSSVSTTSEVVTSVTNDSLAVQDALAEKVPSFIMYVTTFFGCYAVGFVLLWRLTLVTLPSALLLIVPGVAYGRALTDLARRIRVQYARPGAVAEQAVSSARTVYAFAAENATMARFAAALEDSSRLGLRQGLAKGVAMGSNGVAFAIYAFNIWYGGRLVMYHGYQGGTVFVVSSLIVIGGVSLGSALSNVKYFSEATAAADRILEMTRRVPKIDSESCDGEEVSDVAGEVEFRSVVFCYPSRPESPVLVGFSLRVPAGHTVALVGHSGSGKSTTIALLERFYDPTAGEVVMDGVDIRRLRLKWLRAQMGLVSQEPAMFAMSVRENILFGEEDATGEEVIAAAKAANAHDFISQLPQGYDTQMSGGQKQRIAIARAILRSPKILLLDEATSALDTESERIVQEALDVASKGRTTIVVAHRLSTIRNADSIAVVQSGAVQELGSHGELMAKNGMYSSLVHLQQTRDSSEGNGAGGGTCRTSPTAEQCSNTSKILLSSSASRSNTNRTLSMGDAGDGDSNDKPKIPVPSLGRMLLLNAPEWKHALIGGLSAVLSGGIHPVYAYGMGCSFSIYYSKDHDEIKEKTRLYALVFLALVVLSFLLSIGQHYSFGAMGEYLTKRIRERMLAKILTFEIGWFDQDENSSGAICSQLARDANITGSMVLIAFTVGLVISWRLALVMIVMQPFIIACSYARRVLLKRMSTKSVQAQSETSKIAADALSNLRTITAFSSQDRILRLFSQAQDGPYREGMRQAWFAGLGLGTSVSLTIFSWALNYWYSGKLMAERLVTVDAVFQTTMILVSTGRVIADACSMTTDIAKGADAVSSVFAILDRQTKIEPKNPDGFKPEKLTGDVEIVDVDFAYPSRPDVVIFKGFSLSIMAGKSTALVGQSGSGKSTIIGLIERFYDPINGTVSIDGRDIRSYNLQALRRRIGLVSQEPTLFAGTIRENIMLGEGTASEAEVEDAARAANAHGFIAGLKDGYETWCGDRGAQLSGGQKQRVAIARAILKNPAILLLDEATSALDGRSEKAVQEALDRVMVGRTSVVVAHRLSTIQNCDAIAVLERGVVVEKGTHESPDGQRPVWGQGGKQH >Dexi9B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:9B:8360392:8364997:1 gene:Dexi9B01G0012450 transcript:Dexi9B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEIMDGPVENFAGHDDGGMPGNPYDGEQPDPYEDTAKQYSEELGNQYDEQPGAQYDDGSGNLYNEEQATLYGEETGNQYNEEPANSYQEELENAYGGDVSQQDNSQVNVEDNRWPGWPGETVFRILVPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMISAKDEPDAPVSPAMDGLLRVHKRITDNSDGESGQPQRNAGNIGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSIVRIVENVPPVALNDDRVVEIQGEPLGVHKAVELIATHLRKFLVDRTVLPLFETHVSCLYWYLAFLSATNVLYVVCDECFFQSLQMKMHSMQREQPMPPPQHWGPPQPWGPPQNLPPGGPGYGGNPQFLPPRPQDNYYPPPDVPPVPVEKQPHYGISAYGREAPPTGVSVAGNQPPSHGGSQNFMAEAAPPGPPPASNPPAPPVDPSYGSYPPPYGAAPSYGSSAAAGPPPQYNGGSYGGPTYPPSYGY >Dexi1A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:1A:27131080:27131997:1 gene:Dexi1A01G0020260 transcript:Dexi1A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAPLKKADSKAQALKVAKAVKSGTTKMKAKKIRTSVTFHRPKTLKKPRDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYNIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Dexi7B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:7B:17989737:17992362:1 gene:Dexi7B01G0010740 transcript:Dexi7B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQTHPILSYVLSRIPTLAKPKPAAAAAASEFDIEQPPVHTPSPRTAPPTAGEFELVERMPGLRHPSVLRAMARAVADVSAARSALQVLGPRPDHELVDSSRAIVAAAEAGDSRIPEGDIEACRAVVRLEGTHDAYEALLQEAESRLEKVYRSAMEGTDLDDDAAEGEKDDAPAAAAGGAEDGDEVVQEEVVAVLKQAEEGKPVESVRLVDRQLRQLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALISLPDTIGLLSNLKILNVSSNRLRALPDSISKCRSLVELDASYNGLTYLPTNIGYELVNLRKLWVHMNKLRALPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPISFGDLLNLRELDLSNNQIHALPDTFGRLDKLEKLNLEQNPLAMPPMDIVNKGVDAVKEYMSKRWLDILLEEEQRRIAAETPQASSTPKAWLQRSVSWVTDVSGSLVGYLSGNEKSEKDAYLDQQF >Dexi7B01G0022910.1:cds pep primary_assembly:Fonio_CM05836:7B:27681088:27689024:-1 gene:Dexi7B01G0022910 transcript:Dexi7B01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVGPAQHQAPNGGSGSVSNGGGAASAAATPLHSSAASTANGAAADGYDSDGYSFAPPTPSTLSMSIPPELAGAIPLIDRFQDPIPTSLLKISSDLVSRSIKLFQVILKYMGIDSPAILSLEERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRGWSIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTVPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFIDNPESCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARTATSVTSQNDANQSYKPPNTEMYEKQVQELTKTVEESQKIADQLREDLQLKTKQETKMQEELEGLRDILQSERQGFKDVKNELDKLKSLFDEKEYALQAALMEKGRLETRLTSGQGRERDTLTTVGSINSDIEMLTKVKEELKSCQKELDASREVSKKLMSEKHLLEQKVQRLERMKSEEKSAIEKAHADECCKLKSQIAELEQKYEATSRSLNVAESNLAARNSEVDNLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLVELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLSDKEVSFQEKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRATSELFRVIKRDGNKYSFSLKGVVTVENATVVSISSIEELRAIIFRGSERRHIAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKVG >Dexi8A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:8A:21774651:21775072:1 gene:Dexi8A01G0012440 transcript:Dexi8A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKKLVVAGFALALLLASCRAQETRALCEERISWFMCSSDWMCKPICFGEGMTGGHCSKKLHADPNSVVLRSVSTCVCMKPCHGEDDPRSGKQTMPSIRGMGMLH >Dexi2B01G0027350.1:cds pep primary_assembly:Fonio_CM05836:2B:36325017:36326339:1 gene:Dexi2B01G0027350 transcript:Dexi2B01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRRSVERGRRRRRRRRRRLHILTDHDGGQGMSIYKLNEDGFDSDDAYSEHSEDSGSIKNDSDSDYDDASSEYSKDSGSDDTSSEYSRGSSGSISSESSKGSASVSYENERRRGYLEEQDRFDSEYDVDPRARRLRRRRLVGRLGRQPGCPYYVAAGTKIFALNSSSSHHRVLPAVVNLVLDAATRTVSATPPFQSPKKSAAFWSAGGAIYALGHRVTGDEPIDDQDQEQEESCLFERLGHGDREEWEALPPPPFSRNRYLESHAVHPDGATVFLSFDKGGTFSFNGERREWARRGEWELPFDGEAFYVRDMDAWVGLCSHHRGCLAACRVVGDDGRRGPLECKCGREVLFRTRWRRHRRANLVHMGDARFCLLETMTRERDERSDTAGMILPMLLRAVTFRVRYNFDGRLRVVDRRSKVYKLPWHPSEAKPLGFWI >Dexi4B01G0021450.1:cds pep primary_assembly:Fonio_CM05836:4B:23416346:23418465:1 gene:Dexi4B01G0021450 transcript:Dexi4B01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAEVQRDAAAMPVCSEKGKQPVAREQIAQRGKKLRRHPASCADRTGARGEERRLEKTLVRRRGDALACLRPTRRSPGADPGSALAPELPERHLGSRSTDTAGGRRMDASVSSGRRGYGWGLRELRMDKVETALALGPTPREATLQQVKRSVGIALSPAIDNAKTGGLIALPGAMTGLIMAGASPLEAIQVQIIAKNMLMAASTASSILSTYLCWPAFFTKAFQLRDEVFDA >Dexi1A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:1A:23703274:23703644:1 gene:Dexi1A01G0016490 transcript:Dexi1A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAKMASAGLNPGVPVMLRELEPSSEMFKQGASLRFIGTLESYDVDSASAVIQDSSVRLKIDTQKTSDVIFRTNSLYMFIGELLICANDEVHSSPSFR >Dexi9B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:9B:13709236:13709502:1 gene:Dexi9B01G0019120 transcript:Dexi9B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIFAEIPLRDRSNDALVVSFVDERGTCSKAVATARSTLYEQLRGDGGGRDQLRMRKDLLEKRRGKGWGAENLVGEKHREPLQHARH >Dexi1B01G0024550.1:cds pep primary_assembly:Fonio_CM05836:1B:29838377:29839221:-1 gene:Dexi1B01G0024550 transcript:Dexi1B01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELDIGRIRREVRNLWVDPPAFCRPGPSPVTDLSHWDFVIDGPDDSPYAGGTFPVDIDFNGTCYPLMPPKITFKTKVYHPNIDSEGDMTLGMFVRKNWSPAMTIHSILLTIVSVLYEPMVDGYTNNGEVDDVYESDLELYEQTAREWTSEYSSTAIVSHYPDDEDERRLDRYEAEDRRRRRRAASSSPGIAWKQSMHV >Dexi6A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:6A:28289817:28290896:-1 gene:Dexi6A01G0020910 transcript:Dexi6A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNKTSVDEELLTLKAIISSPSATVGTMCQSFTKLGSIYRCIDELTTLPSGQLQQRKVVEEELDRSLVLLDLCNAMQESFLELKAIVQEIQLVLKRGDNVAVQAKFQSYTRSGRKVLKQFKKISSKAASDEGCKVIKLLAEAREIAVSMLESTMHLLSKQIVMPNGSKWSLVSKAFHKKKIVCEEEQLQVLESDILT >Dexi9A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:9A:4222544:4226298:1 gene:Dexi9A01G0007280 transcript:Dexi9A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPEKGHRAFAKPLKSFGSSEQHRRSKSYFEDIYASDALRYSDKTIVLPHPEALKAKVKSNINKDVQPGRGAQSTLRKEILQLEKHLKDQQVVRGALEKALGPDTGPVNLPLENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDHREASKPSLSLRSGQLQETPMAKSCKIRGDAAFRSSYPLPHNKSNDQLTDCCTTVHPNRAVDSDVLRCQSALSYRGVSSSRILPSEDDSLARALRSCHSQPFSFLEYSVLSSLPCFEQEGETGAAGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLIHHGSSSSPTSSFSSTSAISPQYVGDMWSPNYKRENTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLVKAACKIAGRNINVAVIQSMILGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAAAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWKEQKLLLPKLVEAYAKDVKLSPQGLVDMVQRYLPERMRMAVQRCQQGGRLSSKVVEWVPYNPGFRYLLARDLAFPHLS >Dexi6B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:6B:23002285:23003731:1 gene:Dexi6B01G0015700 transcript:Dexi6B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFWSSGDVDLVGTCNGLLCLRSGAEPSYVPHRRRHDEQRIYCAITVLNPSTYQKIVFTFTLSEIDTGSWRELPAAALNNIIPDADSSFHDTGDVLVTVDGATHWLTARAERVVALDLDDERVVCFEAPPVVKLLKVPEKATCQLTNVHERLGVMVMRQHTPAARVDLWMLEDDGGHRHRRWRSPPRWSRRCSLMEPSIPGDGRWITSPHFTHGEYVLSKREAGGATWLYRHRMGDLTKNDGGEKAQMWPLEGEELMCNSRAGVMTFPFVETTEPLPIWE >DexiUA01G0004500.1:cds pep primary_assembly:Fonio_CM05836:UA:8602177:8602611:1 gene:DexiUA01G0004500 transcript:DexiUA01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDHSVVRRQRAAEARVVVAAEAEQRHEVGHVAVAVDNVESSSASEKKKRGRKKQQEEEEEEETCSVCLAELEDGEAVRVLPACMHYFHTACVDEWLRKSATCPICRAPLTMVAAKAPKVAGAAS >Dexi2A01G0029670.1:cds pep primary_assembly:Fonio_CM05836:2A:40713310:40717430:-1 gene:Dexi2A01G0029670 transcript:Dexi2A01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHATITAALLVAFLSSSFFFLLHADVAAAAATLSHGQSLGGNDTLVSPGGSFALAFFTPAGGDPSRVYLGVMYAQATEQTVPWVANRDAPVSASSPYSASVTASGDLRVLQGDSVVWSTNTSSTLGNVTLTLSDSGNLQLTAGGDQPPVVIWQSFEHPTDTFLPGMRITLDRSNGGVKRTLFTSWRSSGDPATGDFTLGQDPLGSAQLYIWRQTTGGENATYWRSGQWANTNFVGVPWRSLYVYGFKLNGDPSQSNGVIYYTFNAYNTSDYRFVLNPNGTETCYMLLTTGEWETVWSQPTTRCQEYNTCGANAACSDDVSGDGQPVCSCLKGFEPRDPAEYGAGNWTQGCVRSVPLSCVSGGGGGGDGFAEFTGMKLPNFAEWGSTVGDADACKQSCLGNCSCGGYSYSTNTGCLTWGQELVDIYEFPGGEVGYDLFVKVPSSILEKLGMVGSRDKKRLPTLLPLRESRQDFSGPKQQSDQEEAEGGKKCELPLFPLEMVAAATDDFNPARRGLLDWKTRFHIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGEQNQVNTDRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILVLEIVSGQKNSSFHHMEGTINIVGHAWQLWSSGEGERLVDPAVRAAGGSATEVALRCVHMALLCVQDHACDRPDIAYVAMALGSDSSVLPRPKPPTFTLQCTSSDRDGLFEGRQADESYSASDLTVTMLQGR >Dexi1B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:1B:24940017:24945015:1 gene:Dexi1B01G0018760 transcript:Dexi1B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEKEEEAEEAWRTSRCAGGGRGWDGRQRRGGDRSNGGEAASGVGAGGRQREEMIRGEGESRQGKEEGAAPTTFSFASPRYLSGLAAMADTNPDAIKRYTPPVHRFEGSAVLWLLILSSFDGLDLRQHLRKRALNRANNRRKSGGWTAAMNLYNDQTIDSSEKPVMYSGSTGTSWGHLKLPHQMNFLEELRRAVDAHTEIASPVDTWN >Dexi4A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:4A:12335064:12337047:-1 gene:Dexi4A01G0013190 transcript:Dexi4A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIQRVGPAAAPLPALLLVAAVGVLLVLAARRASFDVTASAFWPSSSSSSSSSAARRHSKGCDVFRGEWVPDGEGAPPYYTNLSCPHIQEHQNCLKYGRPDLGFLQWRWRPSGCDLPRFDAAAFFSTFKGRSLAFVGDSLARNHMQSLMCLLAKVEYPKDISKNPHSEFSTMLYEPHNFTLAIFWSPFLVKANKSGELWHLYLDEPDDAWVSGIHGFDYVVLSASNWFTRPSIFHEAGRVVGCNYCHVPGVADLTLRYSQRMAFRAALRALTAAFDGVVIVRTLSPTSHFEGGEWNKGGDCRRTRPYAANETRGMAGLDLDFHTAQVEEFARAKAEAEAAGTSSRARLMLMDTTAAMLLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLFQMLLPD >Dexi5A01G0030000.1:cds pep primary_assembly:Fonio_CM05836:5A:33029884:33032555:1 gene:Dexi5A01G0030000 transcript:Dexi5A01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPLHVVAVPFPGRGHINPMLVVCRQLAAADTALAVTVVVTEEWQGLLTAAGVVATLPERVRLATIPNVIPSEHGRGSDHSGFIEAVSAKMGEPVGRLLDRLALERRRPDAVVVDTYLTWGVAAGAARGIPVCSLWTMPAAFFLACYHMDRWPPVDGPEGEEGQSCKSLNQYFPFPTLSVVKCSDIKIFRSLVVPIKRAAQVFSNVRKAQCVLCTSFYELEPGSVNGISSLLPCPIYTVGPSIPHMLLEGNSDKIEHEKYYEWLDAQQKNSVLYVSFGSYVSMPSSQLEEFAMGLRDSAVRFFWVARDKATTTMLQQISGDKGLVVPWCGQLKVLSHPSVGGFLSHCGWNSTLEAVFAGVPVLAFPVGWDQLVNARLVADEWKIGINLREQRREDGIVSRAPISAAVTKLMDLGDGDSLEMRRRAEELREASHSAIQEGGSSWRSLNSFVKDLVGGKLNVTETSH >Dexi9B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:9B:2170895:2171935:-1 gene:Dexi9B01G0003770 transcript:Dexi9B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMAVAPAMMAPPAPALPRLSIPPPPMITLPDAAVPVPPALSAARRQRVATKHKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKMQLYSGLDVATNKVSPHECAGVAHHLLGVVSHPDAEFTAADFRRDATRAAAGVVARGRVPIVAGGSNSYVEELVDGDRRAFRERYDCCFLWVDAQLPVLHDFVSRRVDEMCRRGLVDEVAAAFDPRRTDYSRGIWRAIGVPELDAYLRSRGLGEGERARMLAAAVDEIKANTSRLASRQRGKIQRLARMWRVRRVDATEVFLKRGHAADEAWQRLVAGPCIDAVRSFLLEDQEEYKSSMVTASKASMFAAAVAAAVVV >Dexi6B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:6B:4149232:4151991:-1 gene:Dexi6B01G0004870 transcript:Dexi6B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELQERARFVQSSAASAGVNFDEERWLRRVQRSMEKEAAEALGAAAKVFDVPRVLRQTRPEAYLPQHFALGPYHCNKPELRDMERYKLAAAKRAEKLFADGQKFEHLVQRLLLAQDKMRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEVTDMVSSATNWINATVRDAMMLENQLPLFLFSQALALRHPTADQAAAAAADALHAVLGRFIKEVSPIKTTAELVVADVAKHAHMLELLYHFLVPDASVFDDSDSGDREPPPMVPEEFTIDMLDPSQHLPDYDKVKQACVQVSSLDMAPVRFLKKNLITRPMSVASSLPGTIMRKVPVLSAVAPLVTKLLTMTSSSPADVESKLMLKGVSLGSIIVNSPLAQEIMIPSVSTLARWGIRFVPAPEGIAGISFDAAAATLSLPIITLDANTEVVLRNLVAYEAVAVRGALVLARYTELMNGIIDTAKDVKILRQSGVVVNRLKSDKEAADMWNGMCRATRLSKVPRLDAAIREVNAHRSRRAAARAQKLLKKYVFRSWRILTLLAAVVLLLMTAMQTFCSVYPCNRWFGSVFQLPQAGGNR >Dexi7B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:7B:20778505:20782918:1 gene:Dexi7B01G0014610 transcript:Dexi7B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAAGSRRRAVLAGVLSRTSAAFLFLSVAAVAAIVSARWISATTALQGRLTRLPTTAAIPAAAAAAALHPEAERPPQPPRPSAPPLHPPPAFYSISCPALNLSHPTTTSATPTASQTLALALSSSSVCPSSPSPPPPSAAAASTSSNRSCPSYFRFIHEDLRPWRAAGGITRAMLGRARLTATFRLVVLGGRAYVQRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDTVDWPVVRTHLYRGKYAWVMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQEDLKNGNNRVRWMDREPYAYWKGNPSVSTTRQELVKCNVSSTQDWNARIYAQVTLKIGSKRARQGTGTPTWVVNAHIGYPFFAQRIGKQASNLIQEDLTMDNVYDYMLHLLTEYAKLLKFKPTRPPEAVEICSESLACQAEGLEKRFLVNSMTKSAHDAAPCDLPPPFSSGELKMLKQRKENSVKQIEMWEQKALRT >Dexi2A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:2A:27869727:27870887:1 gene:Dexi2A01G0016290 transcript:Dexi2A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAPPGQGQEQQHEQAKRGGFRAEDYAPRDELAEMMARLGIYEPAADATMAPPRRSWYLEDSAAAADRFHPAFLRAHSANVQSAYGPASIVRASGPAAPSTIHPAPPPRDRGVPDERRFADGRLRHSGLARGPRPGAGTPPTRAGTSAAGRGVLPADDHAYLAPPPPYLHGVPANQQLEGRNLFSTMNDEQAVLFALSRESPEKIVSYACYLLELESRHGQRLFHLVFDHCHHQVQEWVIVQITRDRKSFSRLCVGRTDEVVFMINSCETRRSMQLFREAIQQWMSQNQLRSLLLDSKRLRAVYAFVVKSPPDIVQKYYHY >Dexi3A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:3A:5268557:5268949:-1 gene:Dexi3A01G0007580 transcript:Dexi3A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRYHLLLSAAAAMADKPPSSCVEGQAIPRRPLPGCRWYAASQTCGGAPLLLPAVMKQMCCRQLEAVPAECRCKALRVMMEDTPQGAELRGKVCWHAQAEFAPAIVTEAECGLTTVHGRPFCDALSDE >Dexi6B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:6B:892433:892684:1 gene:Dexi6B01G0001060 transcript:Dexi6B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPSPSPHRSVSGYCCPAMRSPAAETTTWTDAQRSGQVKATAHPCRAACFESTPGVAYCANTLLLYKWTGHLGTRGDDHQRK >Dexi9A01G0032130.1:cds pep primary_assembly:Fonio_CM05836:9A:36935393:36942479:-1 gene:Dexi9A01G0032130 transcript:Dexi9A01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPASARPQRSPDEVEDIILRKILLVSLTPPANPSPAVAYLELTAAELLSESRPLLALHDTAERILIDRLSLQDPPAGSPPPFTFLAAAFGRAADEARKISTIRDAGLRARLAASIAQLRGLILSYARIVAGNPDTFPAPPGASHPAAELLVFLLAEAADPLDPTPAPGAPPPSGFIDEFFSGADYESIEPAMGELYERLRQSVEKVSALGDFQRPLRVLRRLVGIPNCAKALVNHPKWIPKNQIMLIGEGRVMELSSILGAFFHVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVNYLFCNNRIDFNGLSSTASLFEGHQLCLDYLVRNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVEWERRPAQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKEAVFPAAISKDGRAYNDQLFASAANILWRIGGDPQIINEFMQLAVKAKAAASEAMDAEAVLGDIPDEFLDPIQYTLMKDPVILPSSKVTIDRPVIIRHLLSDSTDPFNRSHLTQDMLIPNTELKLQIEEFVRSQQSRKRTAAESEIGEADGTADMAE >Dexi5A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:5A:6295513:6296686:-1 gene:Dexi5A01G0008440 transcript:Dexi5A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAGNNTGKRDGEAQEQGQSSAKRLKCSIEAEAFCFDVCSKPLTSTIFQCPEGHLYCSSCACSCNDKLPAEEKKCTVGSGCTGTLARSLAMERAVRSILLDCRHAEHGCAEKIAYCNRYAHELRCQHAPWRCPEPGCGGFAGKRAAELLEHLTGHHKWPSMAFKYWVPFDLRVVEPGTHVLDCKDDGQLFLLSVQPSEPAGLAVSLVSVHHLLINRNTVGCSVSFSNSGRHYSTSTLDAVRPWWHFAWPPTEYICFVPKVSAGAHDHAGFVLTHFGEPPMEEYIRFVSKVSDGAKDDAAVVLTINITAVQENHDDSDDSSFDYEFPDEEEDYDDEEEDEDDRT >Dexi2B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:2B:804448:806604:1 gene:Dexi2B01G0001260 transcript:Dexi2B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALSALLLLLLLLAVSLAGADDPYRYFTWTVTYGPIAPLGTTQQGILINGQFPGPRIECVTNDNLIVNVINNLDEPFLLTWNGIKQRKNSWQDGVAGTNCPIPPGSNYTYKFQTKDQIGTFVYFPSLALHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGHKELRRTLDAGAPSLPPPDGLLINGVRSPPAFVGDLGKTYLFRVSNVGLKVSVNVRIQGHELRLVEVEGTHPVQNVYDSLDVHAGQSLAFLVTLDKPPLDYAVVVSTRFAPVNLTAVGTLHYAGATARSPVPLPAGPPEGSFEWSVNQARSFRWNLTASAARPNPQGSFHYGTITTSRTMVLASSSAAIAGRRRCAVNGVTFVVPDTPLKLADNYNIANVIEWDSLPLRPGGGGAPPRAGTPVVRLNLHEFVEVVFQNTENELQSWHLDGYDFWVVGYGNGQWAEMQRQTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNMRSAIWDRQYLGQQLYLRVWTPQQSFSNEYSIPTNAILCGRAAGLPH >Dexi2B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:2B:22883992:22884514:-1 gene:Dexi2B01G0013830 transcript:Dexi2B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREGSSTDDDCASKRLKGIDTASETGCNVEASVSQEAGAEVRKTCQKESEAPSEKCVSDGKAAANSQVSGEQKMSLTAIEADAAEDKGCRHTMEDTWVLLPNACVGSPGSLRITT >Dexi7B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:7B:1144111:1144737:-1 gene:Dexi7B01G0000480 transcript:Dexi7B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHHLVLLACLLALSAAAASPAKATKPPAAVSPAIAVDFVRRACRATEYPRVCESTLVPCAAAVGRSPRRLARAALVVGADRARNCSAYIRGGGAAKVGGKGKAAGAMKDCAEMARDAEGMLRQSAAEMERMGRAGTPRFAWALDNVQTWASAALTDTSTCLDSLAEAHEDRDAAAVRRRVVAVAQATSNALVLVNRLDPAQHRLL >Dexi5A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:5A:13822950:13824110:-1 gene:Dexi5A01G0015910 transcript:Dexi5A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSQLAVLCSLVLLLAAACHGALEVGYYNNTCPTAEQIVRAEVKKAVSTNAGTGAGLIRLLFHDCFVEGCDASVLLDATEDNPQPEKQGMPNRGSLRGFEVIDAAKDAIEATCPGTVSCADILAFAARDASYFLSAYAIDFDMPAGRLDGRNSSADETLAYLPKPTADLSDLVTKFADKGLDESDMVVLSGAHSVGRAHCTSVQDRLGNGTDMDPSYNRWLRNKCLITGPSDPANNATVRQDTMTGKVLDSQYYTNVLAHRVLFNSDAALLASPETATMVGDYANGDGQWESMFEAAMVKMAAIDVKDATNGEIRINCRSVN >Dexi3A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:3A:7922807:7924236:-1 gene:Dexi3A01G0011120 transcript:Dexi3A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLCSKLQYLPLIPSSCLLETDTRPSRGRRSRMPTRISTPTRWGEAGLFKALGTYATGGAATIFQQANHSVPSNRLGSIRFHPTLRRSAQSIDMAAAPAPAGHSPSAAAVALPFLVHDVGPEYYEPQSQYNIAGQSLVNTTIDLLQDHRCFDTPQGWVLALHPSSLRAFLWRPEDGDRIVLPDMEQDFPPSCKCVLSGSPRGGGAASCAVMVLDLDKFEYWLCFVGGSSKWERHVYALTMYDADDQPVERHMARHHGIAAVGGKLGFLEFDTADPDEPKFWLIEVDMVDIPDSMPVWWSYLVESCGELFLAVVFFDGENVHKVVENFVHVIDLDKGTQEVRRPFGDFVDPLRPPFWMLPTEDVDGNTTLLLNTPEA >Dexi3B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:3B:13372202:13373396:1 gene:Dexi3B01G0018030 transcript:Dexi3B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIFTVLLVMFLLVTASSGQTATTKGNHEDNLEVVEYLIEFTTLDPPQIQRHGRRVLTDTQDYDYGGSNSKHDPRRKPPGNGHSR >Dexi7B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:7B:17090744:17095155:-1 gene:Dexi7B01G0009500 transcript:Dexi7B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAASLRWWWAAALLSVVVGVSRVVVAYCDDTSLAGDALYSLRQSLKDTNNVLQSWDPTLVNPCTWFHVTCNTDNSVIRLDLGNAQLSGPLVPQLGQLRNMQYLELYSNNISGPIPPELGNLTSLVSLDLYLNNFTGDIPDTLGQLLKLRFLRLNNNSLSGQIPKTLTNINTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTTKPCPGAPPFSPPPPFNPPTPNSSKGASSTGAIAGGVAAAAALLFAIPAIAFAMWRRRKPEEQFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNRNVLGRGGFGKVYKGRLTDGSLVAVKRLKEERTLGGELQFQTEVELISMAVHKNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPDDPPLEWETRARIALGSARGLSYLHDHCDPKIIHRDVKAANILLDETFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEQLVDPDLKGGYEEHEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAERAPRHNEWEVDSTYNLNAVELSGPR >DexiUA01G0008250.1:cds pep primary_assembly:Fonio_CM05836:UA:15403114:15403886:1 gene:DexiUA01G0008250 transcript:DexiUA01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVIVCTRPTPPWRGEAPKGVAAGELASIPEAFAMQHDLPTQNTSQQVAAQTKRWWRLVFRSPLESLEGLGCSDDASGVGIEVVASGWSGVGCKVAGRGIGVGQGSVDRGPTISGTLSGSCKARGREWAAAAQASPRSPALPRKGATEHSAAEESRGVAASASERSNLLVVTEQG >Dexi6A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:6A:9063261:9064355:1 gene:Dexi6A01G0008540 transcript:Dexi6A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTATRAFLVPGYSNSDGYLVGDYLPRRSAAFAVGGYDWSIHYRPSAENVDICLRIEIKEAPKVTASFSVSILDPTGSLPPWTLPDATSRVFDPRHVDNSGEVAVSMSRSFLQDLAPDPKYLTRGGLLFGCTITVFTETTTPALSRAEAPESDMMEHLGKIYTTEDGSDVTYSVKGKLFRAHKVILAMRSPVFRAQLYGGMMESTAQIIEVEDVLSDVFEALLRYIYTDALPAMDAIKVDDEHDEEVDEDVITELISHLVVASDRYGVERLRVLCECRLCDLVGPDNWVKMLVFAQEQHLDRLKDACVKFMATSDRRAGKVVASEEYAQLRRTHPLILIDVLEKINEFRENMLNPCFREMKA >Dexi3B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:3B:11346258:11350733:1 gene:Dexi3B01G0015660 transcript:Dexi3B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIAWVYSEILEYRKSLSHGKVHADANLDNGTIKEDDKTVLLEGGQLKSPSTKFRNLSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRIFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMACFRSSGSIINIYLFSFNSGYKDPSPAKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTLSLMAGYLWYEYIYKLDKVTYNKYHPYTSWIPITAYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLCFIPDYPMLNFMLTTAIYLLLSYRVFEITNVLKGAFIPSRDNNRLYQNFIAGIAISVCLYFCSLILLKIPVV >Dexi4B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:4B:5947438:5953254:-1 gene:Dexi4B01G0008240 transcript:Dexi4B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSSREARAVALREGRRAECGAAGKKGLEEGGHNLPSPFIQSGFGSIEPSPRPALLVDTAAQHDVSQARRTHATPVRHHRIPPPADATRDPPDAAARLRRLHALLPPARRHSDGDDRPAPLPNLRRAASQPPRRRLLLPPPPPLPPGLPPHRPASGPRRGGDPRAAGXXXXXXXXXPSPTSAAPPPNPLAAASSFLHHHLSRLASHLTAPRPALAAAATRAPPGTQGASLSLALAPDEVARSLTGTPVFTVCNSNNEFVLVSDPATGLRSLGLLCFRPEDADALLSHVRTRQPVLGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKIALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELMKASKSSKGSALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKNLNQHINEVSA >Dexi6B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:6B:3438957:3440586:1 gene:Dexi6B01G0004220 transcript:Dexi6B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHDLMSRRPPGLRLFGGAGSLRTYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHTSNTLNMGWLPFNTVDGSALLGEIDVAFLAVYSVGMFFAGHIGDRMDLRIFLTIGMLGTAIFTTLFGAGYWLNVHSFYYFLVIQMLSGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAIPSIIMAFVGLIVYIFLPVNPEVMEIDIDSGEFNCEKDTVKEPLLEPGQEVKHKAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGEYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIVWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKVPSNATKDTTDAQGTYSDEV >Dexi4A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:4A:2572684:2573425:-1 gene:Dexi4A01G0003570 transcript:Dexi4A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITCAKADTSSSSDDSRRSAASSSVRAHPCKEARDAVAKPRTRRTSARAQRKRRRIRASRAAALLTVAVAVAAFTTTASGGGAGAAVYRFSDN >Dexi2A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:2A:22932892:22942049:-1 gene:Dexi2A01G0014020 transcript:Dexi2A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRLLARVSRQGVAAVGTSTAVRRQGVARFGAAAAAAAEPLTASFSSLRVPYTFNHHSRYSTNIFQRFGFSSVSPQQSDKEINETKEQENTLHGSNEYSSSSGSEEASEQGIEDIDLSKDDLAKLIREKEGLLKSKDDEIKDMKDKVLRSYAEMENVMARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFLKIDTSKDSAGAVPLLKTLLEGVNMTDKQLAEVLKKFGVEKFDPINEKFDPNRHCAIFQISDPSKPQGTVASVVKNCGFLHFMF >Dexi9A01G0036140.1:cds pep primary_assembly:Fonio_CM05836:9A:40668675:40669106:1 gene:Dexi9A01G0036140 transcript:Dexi9A01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGWRRRGSSQQQGLGSRGGRASKPSATLLRGEAKERRSGRAIRASQASPASPEGSPLDAAVRFGGEVEVAAGWRRRARSRRAREQEGGDPRWRARAEGDPEARSASEDGIGCRFAPLSSSLLVVVTMACGALRGLGFERAG >Dexi3B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:3B:6727750:6729853:-1 gene:Dexi3B01G0009710 transcript:Dexi3B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMPISEMHLPPHLAHLLAARRLDTAKDVLSLPEVELMSVLDSGLPTARAAVAHVSEAACPPCQTALALLEERVRLGGGGRLATTLCGLDEALGGGIPMGKLTEVVGPSGIGKTQMAGRILVMRPTSLADFTKSLEQMKVTLLQHEVKLLIVDSMAALTSL >Dexi2B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:2B:1988446:1989857:-1 gene:Dexi2B01G0002380 transcript:Dexi2B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCILYSESKPIVVVGHSWRIVFYPNGKLAATAGFISLYLLLDDEVKGNPAAAAADDDDEDIHTSHAARRFSISSGMVTVAFSRRRNPIGFERFVSPDDFAIFIKSARFVIRCELTSAGRRRATVAADDETIGGEGSDDTVFHKDVARGPGCRPYLGRLLETKEGADVELEVHGKVFAAHKSVLAARSSVFKEEFFGPTKEKDTSYVVINYNILPDAFEALLHYMYTDSLPEMMITTMNNNSLKEGALLAEDLLISAYRYNLKDLKSVIENRLCSHMSA >Dexi5A01G0039670.1:cds pep primary_assembly:Fonio_CM05836:5A:40178186:40178824:-1 gene:Dexi5A01G0039670 transcript:Dexi5A01G0039670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVVFTAPLAGHVSPSCLANHWLSVPSLVGNSRFSKELQCAMPTVCPPESVTRLILARNLSSVVTFDVGAGSASNTAVCDAGDSESRRPRGTWYAGPPAMPTESRAARATMSAQETTVPPQALSRRARRSLMALNAAGRSVRLGPASCSLFSVGVESRRMEASQPCTKQSWKWSRISAAARPTFCFTADARKSLTIDSALGHVFL >Dexi9B01G0035120.1:cds pep primary_assembly:Fonio_CM05836:9B:36893228:36894369:1 gene:Dexi9B01G0035120 transcript:Dexi9B01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKQAAALQEKLKILRSITHSHALSNTSIIMDASAYIKELKQKVVRLNQEIACAQDALRHNSYPTVTVETLVGGHGGFIINVFSDKSCPGLLVSVLEAFDELGLSVLQATASCADSFRLEAVGGENVAENVDEHVVKEAVLQAIRSCSESGSEHDDE >Dexi4A01G0022350.1:cds pep primary_assembly:Fonio_CM05836:4A:25613848:25615338:1 gene:Dexi4A01G0022350 transcript:Dexi4A01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPTNLFHINAIVHLCSENGKFSYGYASSPGKRSSMEDFHETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHQRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEEAGGFVMWAGTWRVGGILAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKRLLVEASQRGSADNITCVVVRFLEQQNGLGRATNEQVS >Dexi2B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:2B:13835961:13838851:-1 gene:Dexi2B01G0012010 transcript:Dexi2B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRHKLSDGQFTLTHVDEFIDELLTKDYSCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEEQPMEIDEPNGREKDNHRGRSPARERDRDRDRDRDRDRKHERHHRDRDYDRDRDHDREYGRGRERDRDRDRERDRNRDRDRDRHRLRDDDYSRDRDRDRERDGRERERRDRDRGRHRSRSRSRDRRDRDREDGEYRRRRGRGSASPRGRGEDGATREEPKRKKEKKEKKGEGNAPDPNDPEIIEMNKLRASLGLKPLK >Dexi2B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:2B:13428408:13432635:1 gene:Dexi2B01G0011770 transcript:Dexi2B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKGIAEGNLKAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIALSWKPDAQNNDTQQTVVFPKGNVIPSSKALTFYRASTFAVDVVNVDANDAQVEPKISTYTVGPFQSSNGGKAKVKVKVRLNIHGIISIESATMLEEEEVEVPVSAATNEAQKEATKMDTDDTPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKSVPMDTDVKAEPSKKKVKKTNVPVSELVYGALGAADLNKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYNDFVTPEDKEGLIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKIGDPIEARFREWEIRDSAVSQLVYCINSFREAALSNDQKFEHIDLSEKQKVINECSEAEAWLGEKKQQQDALQKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPTETQAPEPQTPEQQQSAENSAGEPTGDQAAEEPAAEQMETDKPEGAADATS >Dexi4B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:4B:20445568:20448692:1 gene:Dexi4B01G0018040 transcript:Dexi4B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTLYSVGAPPLVIARGGYSGLFPDSSQLGYQFALGNSLPESVLFCDLQLSSDNVGFCKTCLALNNSTLIAEVFPKKDKTYKVNGEDQHGWFSVDFTSNQLMHNVTLIQNVLSRPSVFDGTMGMSLIDDVVELRPPQLWINVQYAQFFLDHKLDIKEYISSKAKEFWVNYVSSPEVGFLKSLGVKLGKSNVKLVLRFLDEQLIEPSTKQTYGAILKDLKSVKIFASGILVPKTFIWPLNKDQYLQPATNLVKDAHALGLEVYAYKFANDVISSYNYSYDPSAEYLQFIDNSDFSVDGVLTDFPSTASAAIACLAHTKDNPLPPPGDDTRPLIITHNGASGIFPGGTNLAYQQAVEDGADIIDCSVQMSKDAVPFCLDSPDLTKGTTAATMFLTKSATVNEIQNGSGIFSFDLSWSEIQTLKPDLVGPFNQQGLKRNPEAKNSGKLMTLADFLAFSKSSNISGILVDIRNAPYLATRGIAIVDAVSSALINASYDKETRQQVLIASDDSAVLGAFNKFPALKRVLHISNVISDASKPSVEEVAKFADAVSISRGSVVQAHGSFLVQFTDVIHKMRAANLSVYVGVLKNEFMNLGFDFWGNPMVEIVTYSSLMVDGIVTEFPGTVAEYFRSPCSDFSKNLSYTILPAQPGSLLNLTEPGALPPAQGPAPVLEAADVVDPPLPPVTVGGHGAASPSNDSSTKSGATAASASAGLCLLVAGLAALLAVSSR >Dexi9A01G0022950.1:cds pep primary_assembly:Fonio_CM05836:9A:18112385:18121052:-1 gene:Dexi9A01G0022950 transcript:Dexi9A01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFQDGKQEVIEAWYMDDSQEDQRLPHHREPKEFIPLEKLSGIISWRLNPDNWEKDENLKKIREARGYTYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYLDKLVKTEEQSSPRSFAASCIRNAYAKMDMSLGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSSVPQSHQQQTPASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQTTKDHVRAMEERLRVAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNTPFYSPGETSQSEQLDSQFMFDSGVLNGLSEPGMPELENLAVNIQELGKGSTDGAKIDQASGQSELNDDFWAELLIEDFGDKAGQSDLEARPEDVDDLAQQLGYLSSSSPK >Dexi2B01G0036020.1:cds pep primary_assembly:Fonio_CM05836:2B:42956407:42960441:-1 gene:Dexi2B01G0036020 transcript:Dexi2B01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEAERILVSVRLRPVNAREAERGDGSDWECAGPTTLMFRGNIPERAMFPATYAYGTVGDLVCRRICISSYSISSLRSSSSESLMTSPDRVFNPECNTQQVYEQGAKRVAMSVLNGINSSIFAYGQTSSGKTYTMVGVTEHSMSDIYDYIDKHPEREFVLKFSAMEIYNEAVRDLLNPEATQLRLLDDPECADPFNLVWGTAQRQIGETALNETSSRSHQILRLTIESSAKQFMGRGNSSTLMACVNFVDLAGSERASQTQAAGTRLKEGSHINRSLLTLGKVIRQLSKGGNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHLEQSRNTLLFANCAKNVATNAQVNVVMSDKLEEQLKDLMEQRDTVQSQLENFRRVASDGNINDRTTRQWYTITEDFSDMKNAKDIGTDIAVTTVESPRWPIDFKKKQKEIIELWHDCNVSIVHRTYFFLLFKGDNKADNIYLEVEQRRLSFIKSSFSAGCESSATVTSSSMNCVDALILGSLRNLRHERDMLYRQMLRKLQLAEREIIYTKWGIDVNSKQRRLQLSRRIWTQTDMEHVRESAALVAKLVEHLEKGQAIKEMFGLSFTLNPRGAGRNSTWRR >DexiUA01G0018360.1:cds pep primary_assembly:Fonio_CM05836:UA:39049599:39049861:-1 gene:DexiUA01G0018360 transcript:DexiUA01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSWRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAGSR >Dexi2B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:2B:29061371:29062145:1 gene:Dexi2B01G0018860 transcript:Dexi2B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEACERTGGSVHVPYPFGIGKGCAMDDDGGGGFRVHCTYVEGSLRPFIGNQEILKIDADAGSVLLKNNVSRLCYDYPAGRRIRSASVDFSTAGFFHFSDSSNKVVFLGCGSAYVETDSALN >Dexi9A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:9A:2748207:2752197:-1 gene:Dexi9A01G0005010 transcript:Dexi9A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHHPRLPSHPLREATHHRHAISDGTRFVAFAGLARKRPPFGVTCRAARVKEAAPTTRAAPPPPASLAKEAHKYFDHAVVTVRAGDGGHGAVLAMPPAPSADAKPRGRFNRGEKKGKKVSYKRNYDGSVALPMGGHGGDVVVYADEGEETLLRFHEKARYCAKRGGNVGAAGATLSSRMHSGFAGETLRIPVPVGTVVKRKKGTVLADLAHPGDEVLVARGGQGGISLIDAPGYRRRKAMALSPNIMRDSTDKVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGRGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAHDRLPSLALEISSIGCEEAHGENASNDNLNGNISKHQVELEAKVESSEKELADYPRPQAVVAASVLRHIGIDEMLKEIRAALRKCFDHKLPEP >Dexi9A01G0048670.1:cds pep primary_assembly:Fonio_CM05836:9A:51408059:51411554:-1 gene:Dexi9A01G0048670 transcript:Dexi9A01G0048670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGASGDQGGGGMEMGVGFGGGGGGECSSSSATAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVSAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKHDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDEPPMLEGPLDMGSDGHDMMGFGPLIPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKPKRK >Dexi2A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:2A:15472922:15476962:-1 gene:Dexi2A01G0013010 transcript:Dexi2A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAGMDEAEERLRSDEEEEEEEEGEEAWDDWCSDGDDAAGRLLCLFCSSWFDTDRSLFEHCAAEHCFDLHRIVKELGLDFYGCIRLINFIRSKVAENKCWSCGQSFSSNTELCSHLHAVESHLIEGKVQWEDDVYLKPFMEDDSLLHSLSMDDDEGDEECGTSVERGQCSGGNGVLAEPLGNKLRTLTEGTGSDISARFERECTIGSAKGEDRESLAQENNDSQLKVARASVNARAVKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDALLANPSLLSRATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLYDENVKAEQKQGAQVISVVHTKAEELNKKIQVPQNDFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVVASQDIVTETAVLHSFDLATMKESEMDFTSSFELRLRDSSTVVPGVTWCYGIVLWFDTGFTERFCKEKPVVLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTIASSALVGTDGCPATIFRSRISIVRASEHRSIDISVETTAFSSDGRKRSWPIQIFNL >Dexi3A01G0031040.1:cds pep primary_assembly:Fonio_CM05836:3A:35453238:35456703:-1 gene:Dexi3A01G0031040 transcript:Dexi3A01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQPPPAQGMLPPRHGPRPSGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSRDTWPAEPNQSNGGAAATGIVSRAVEKEKEVANGVAKLQVIRGPSARMGGMLLREVARERIDLVAEKMKVMPEEHLEDIKNELRSILEGTGGSQHIEEFLFLQKLVQDRTDLTPSLLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQSRLVEVFLYKRCRNIACQSALPAEDCRCNVCASRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIHNGQIGMGQSVKSSIGHAEMLFRCRACQRTSELLGWVKDVFQQCAPGWDRDSLLRELEFVCKIFRLSEDQKGRALFRKSLDLMERLRNAPADAINPRVILQALQDSGRLITPQEACNRIAEVVQEAVRKMELVAEEKMRLCKKARLAVEACDRELEEKVREAQELKAEQLRKKQQVDELESIVRLKQAEAEMFQLKASEACQEAERLQSIALAKSKTAEQDYASIYLKRRLEEAEAEKQFLFEKIKLQETQKPPHQASSSGAGGDPAQTMMLSKIQDLLKNVRSMPAKSEGH >Dexi8A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:8A:1085109:1088568:-1 gene:Dexi8A01G0001530 transcript:Dexi8A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFFSILLRFTVATVARSPATALFILGDSTVSCAGSILPLNLTITPSLSGAGGPCLLFPSTRRLLPDLLAAKMGLPSPPLISTLNGTAAAAARGVNFGGPQYYHYGGDRGIFRMGAVGQQLRLAAETLQLLQLETGTAQDASSAAAGAAVFVLSFGTDAYARLLSRGGAEAADAAAPKHGRRGLGRLLADRIARVVSELYEAEVRRVAVMGVAPLGCAPRVMWEGIGGGHGGCVEEANELIEGFNARLATRLDDLRPQLPGADVVFCDVYKGMMEIISNPSKYGLEETREACCGLGPFKASVGCLSKGMACATPERHVWWDLYTPTDAVATLLADWSWSSPPTAMTTTNICTPVSLQQLVAAHGLT >Dexi1B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:1B:9176637:9177632:1 gene:Dexi1B01G0009810 transcript:Dexi1B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLVLSLAILTLTVTTSFPGTATALSTSYYNKRCPSLQPTIRSAMSHAMASDPTAAAAVLRLFFHDCFVNGCDASILLDDTTTTTNLVGEKSAFPNANSLRGYDVIDAIKTSVESACPATVSCADVLAIAARDAVSLLGGPTWNVRLGRLDARVASRDAANANLPGPGSSLPSLLDAFQRKGLSARDMTALSGAHTVGRARCATFRGRVASNSGGGAGSGEAINATYAAELRGACPAGADGAVAPLDVATPDVFDNGYFRALVERRGLLHSDQELFNGGSQDALVRRYASDGAAFASDFAKAMVRMGNLAPAPGTPLEIRKYCRRPN >Dexi4A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:4A:308802:310235:1 gene:Dexi4A01G0000450 transcript:Dexi4A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCLGGGLLPVAVMLCLNIVAAVMVSLVKVAMDGGMDPLVIVTLQQLTAAVFLAPIAFFKERKSRPKLTLEIFAYIFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAVATRSEALDLKCKTGMAKLLGTLVSLGGAMVLTLYKGAAITHAAPNLIHSGDHRRPHAAVGRGKWTLGTVAILGNCVCLSCWFLLHGRLARKYPHVYSCNALMSMLSFLQVAVVGLCTQRSIAPWIITSKFQILTVLYAGIVGCGVSFVLVTWCIEKRGAVFVAAFIPVVQIIVSVIDFSILHEQLYLGSVLGSVFVIGGLYLLLWGKRQEALHCPPKVAQDDADKEQQPVH >Dexi1B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:1B:18000337:18000771:1 gene:Dexi1B01G0013030 transcript:Dexi1B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNREDAAKELNISSTSLKRLCRMNNTNCWPARKIIAINNKIKKLEEAALRNVGPTGLLAIKEKMDKLKLEMAQLYASVMKSIQDNQKHNNDGAGPSGSKQKQMTIQIMKNI >Dexi2B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:2B:28791831:28797716:1 gene:Dexi2B01G0018480 transcript:Dexi2B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFRFGVVGEGSMVGALRGGSGGGSRHGGVVAGGGGRQPAGRADGGGERLPWLANGGVQVQRGVIAGCGRGIPMSEFLELEAQDGIRMPWNVIPGTKQEAVNCVIPVSAIYTPLKPIPDILVLPYSPLRCRMCRSVLNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYMSSTETGPVVPPVFIFVVDTCMIEEEIGYLKSALAQAVELLPDNSLVGFITFGTYVQVHELGFGLLPKAYVFKGTKEVTKEQILEQMCFFAGTKKPTTGVIAGTRDGLSSESIARFLLPASECEFVLNSVIEEMQKDPWPVPADQRASRCTGVALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSADDNLGLSFNGIIEINCSKDVKVQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDRKTSLCFVYDIAKKDDGPDSIVQSTNNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGANNVEASFLFILI >Dexi2A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:2A:14373516:14380597:-1 gene:Dexi2A01G0012430 transcript:Dexi2A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDTSQLQPLAKVAPTVDAVLLSHPDMMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDHFLSRWQVSDFDLFTLDDVDAAFQNVVRLKYSQNFILNDKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKEMHLNGTVLGSFVRPAVLITDAYNALNNQGYRKKQDQDFIDSLVKVLASGGSVLLPVDTAGRVLELLLILDKYWGDRRLEYPIYFLTNVSTSTVDFVKSFLEWMGDHIAKSFESSRANAFLLKKVTLIINKEELEKLGDSPKVVLASMASLEVGFSHDIFVEMASEARNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEAIKASHVKEEELKTSHGSNAKASDPMVIDASSSRKSANVGSHFGGNNDILIDGFAPPSTSVAPMFPFFENTAEWDDFGEIINPDDYTMKQEEMDSSLMLGPRDGLDGKIDDGSARLLLDSTPSKVISNEMTVQVKCSLVYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKTLDSHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNIICKKLGEHEIAWVDAEVGKEDEKLILLPPSSTPPSHKPVLVGDLKLSDFKQFLENKGWQVEFSGGALRCGEHITVRKIGDSQKGSTGSQQIVIEGPLCEDYYKIREHLYSQFYLL >Dexi5B01G0024240.1:cds pep primary_assembly:Fonio_CM05836:5B:26311407:26312228:-1 gene:Dexi5B01G0024240 transcript:Dexi5B01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARGGDELRLLGTWSSPWVIRVRVALGLKGLSYDYIEEDLSNKSDLLLKSNPVHEKVPVLIHAGRPVCESLVILEYVDEAWPSTTGPPLLPCDPYDRATARFWTAYVNDTFLPAYRALFRSLTEEQRAEAFKNAVPKVETLDRALVECSKGKPFFGGDTVGLVDIALGSHLVWIRVVDEVAGTNLLDGAKFPGLAAWAERFMAVDAVKEVMPDAGKVLEQYKGFRAKWIAAAGSTST >Dexi4B01G0023630.1:cds pep primary_assembly:Fonio_CM05836:4B:24935100:24937956:-1 gene:Dexi4B01G0023630 transcript:Dexi4B01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTAASSSAAAAAYPRTPFNLRRRPGLLALLFIFLCFISFQVVIHVPAARSAVSQWLFSGHQSQRERPDSCPGCGNSQDVDNADRTIAYTNQHGQIKLFKVTAREFASSSIWENRWLPRNSQPVATNQEAAEDLLLANGSEAINISSQETLATKSIDPIKLKRQVFRRRRKEHKVQDLLQMDKKVELEMQNAATNSSRNFDNKVRGSYNIWKQEFHHTNTDSTLRLMKDQIIMAKLYATMALSQKERNMYALLMKCIEESQTAIGDALMDSELDSSALERAKAMGRVLSSARDVLYSSNEVSRKLRVMLQSTELNIDAVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGVTKEQFHDAALKEEENKAKLEDRSLYHYAIFSDNVLAASVVVKSTVTNANEPEKHVFHIVTDRLNFAAMKMWFITHPPQLATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDIVVQKDLTPLWVIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNMFDLKEWRKRNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDIAEIENAAVVHYNGNYKPWLDLAISKYRTYWSKYVDLDNSHVQQCYASKQ >Dexi5B01G0031850.1:cds pep primary_assembly:Fonio_CM05836:5B:32511542:32514333:1 gene:Dexi5B01G0031850 transcript:Dexi5B01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGNPCNGCGCNKEKRPPLKRGQLKLQIARTLLGSLVLPPPPPPAATQATRSYQKLTHRARGHREGKEAEKLAMEYGYPANGCGNNKERRPPLKRGQLKMQIAKTLMGSLMVPAGAGAANRERSFGR >Dexi7B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:7B:25872182:25873128:1 gene:Dexi7B01G0020630 transcript:Dexi7B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCAKAKTVKRVILTSSASSITRRPDLQGDGHVLDDESVSDVELLRATKPPGWGYAVSKVLLEKAASRFAAEHGISLVTVCPVVTAGAAPATRVRTSAEFAVLRGIEALCGTIPLVHVDDVCRAEMFVAEAEAAAGKYLCCSVNTTILELARFLADKYPQYEAKITSMLSGDLLEKSRMCVSSVKLEREGFEYKYKTRDGMYDDMVEYGKALGILPK >Dexi5B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:5B:9277812:9278123:-1 gene:Dexi5B01G0013070 transcript:Dexi5B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARSESQGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIICCTGIDKDRVHQFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK >Dexi7A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:7A:22114467:22120223:1 gene:Dexi7A01G0011680 transcript:Dexi7A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDTGGQQSTTTPAPSATGAAALRYSAYRLLELDLVQLLPAAAAFFLSTLPPPRFTHRPEMDPAPATPRWNLERPYLTGRFHQEVKAAAAAQAPGSKPFSLASTESVIGSYAVSVQFVFSFAAVLGMPFAFLEQLLIVSLHNAAAIIAMQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQVDSSMDLALQELTRRIFPLCEDYVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTSGSATLNLLQSQAKAMGGDSAVRSLLEKMTEYASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSFSENSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAQEELTKKPEDISAEKLQSLLDIALRSTAAASDPTHEELICCVERGSLLKKLATLKDLDCACPADKLAAADVDQSMQLSITGLETFCLSNKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLIHYLTFEKVEKLKALCLQYATSIQLLLPSIDVAHSENTSKSLKSRSRINKSQDRDQQLKLASENVMSESILYASCISIYPG >Dexi6B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:6B:23337578:23341133:-1 gene:Dexi6B01G0016060 transcript:Dexi6B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDEAPEADTAMPALEEDAGESKMEEVD >Dexi2A01G0025120.1:cds pep primary_assembly:Fonio_CM05836:2A:36885414:36886819:1 gene:Dexi2A01G0025120 transcript:Dexi2A01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVGFGVPGSEMKSRARSSGDDSRLSVRRVKAEKDPNKPKRPPSAFFVFMEEFRKDYKEKHPNVKHVSVIGKAGGDKWKSLSDAEKAPYVSKAEKLKAEYNKKMDAYNNKQAEPTASGDSDKSKSEVNDEDEEGDE >Dexi9B01G0025160.1:cds pep primary_assembly:Fonio_CM05836:9B:24983601:24984437:1 gene:Dexi9B01G0025160 transcript:Dexi9B01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHLSGELDRYTAKGSAMSTVTSCTLLSSVTALRPAISSGRQVQSGRPAAVASLSSQWRSRPLSVCCAVSPKGDHNPKTDLHPFNIPAFVLVHPVSPREERWQVEEEPSKVNLWFEVPGLSSEDLAVLIDEDVLVIKKKVINVTGVDAGQRNTVGSGAGATDYRPQPNTRRGGEATGKEAAQKGEVIYARMLLPAGYSREGVQAELKSGVLRVSIDKVKTEARRIINVHIDI >Dexi3B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:3B:1759225:1762161:-1 gene:Dexi3B01G0002620 transcript:Dexi3B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKERRHQHFLVVAYGAQSHVNPARVLAHRLARLGGADDGSILATLSVAVAAYCNMFPSLDATTDGVISYVPYSDGVDDVSLPRDIADRARRRRASSESLSGIVASFADRGQPVTCIMCTMVSPPVLDVAREHNIPLAIYWIQPATLLAIAYHYFHGYNELITSHANDPEYEVCLPGLTRPLQIRNFPSFFIDVSGTERAKAFIEVFQELFEYMDLWRPKVLVNTFEELEPNVLAEMKQHLDVFTVGPMVRSPMETQIHLFTHDNIDKESYMEWLRAHPDESVVYVSFGMSKMDLDAVLSDDGDGAAAASSPGRSICHSGCGRPSRVCLCPNLPPSPIPTSTTVVILHHPHALRRNPLSTLPLLARCLSNLHLLPGRRLLPSSTPLLPPPSPNPVLLLYPSPGAADLASWCRSTPPSARASPTLLLLDGTWRQAKEMHGASLPFLSSLGVIPVSLPVDSGVDGDSMFESELVVKKEPHKGCVSTMEAVARALRLLEPEGKGEEIEEAMLGVLQAMVAFQAEHLQNRTVKPRVKMRKKKELKREELQSNAS >Dexi7B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:7B:19435173:19438223:1 gene:Dexi7B01G0012670 transcript:Dexi7B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding RESARASHAMDAMLVTTSLVAAVAAALLGMAAVPASCASPPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEHLGSEPALPYLSPDLRGDKLLVGANFASAGVGILNDTGIQFVNIIRIGQQLQNFQEYQQKLVAFVGEDVAAQVVNNALVLITLGGNDFVNNYYLVPFSLRSRQFAIQDYVPYLISEYKKILTRLYELGARRVVVTGTGMIGCVPAELAMHSIDGECARDLTQAADLFNPQLVQMLGELNAEIARDVFISANTNRVSFDFVFNPQDYGFVTSKVACCGQGPYNGIGLCTPASNVCPNREVYAYWDAFHPTERANRIIVGQFMHGSTDHISPMNISTILAMDNRD >Dexi9B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:9B:6078471:6079216:-1 gene:Dexi9B01G0009870 transcript:Dexi9B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSEVAAFKRKLCFSRKGGKKKGKVQFEEDEPEDLFEDNSDSDSPHGSPLYAESQDSSSASSDNEDDDDDVEGDKGDGGAAYLTKEHGAGASGSTVRGSSGISKPHMNALVVNGDAIEAPMEKIWSCSVVQVP >Dexi9B01G0048270.1:cds pep primary_assembly:Fonio_CM05836:9B:47275192:47276580:1 gene:Dexi9B01G0048270 transcript:Dexi9B01G0048270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSALSSFLLCCFYPTGGHRHGHRTGAYYYSSYPTSSNSLYYQEGPFAGRGMMGRRSKPLSLQTVELKVRMCCSGCERVVKHAVTKLRGVDSVEVDVEMEKVTVTGYVDRQRVLKEVRRAGKKAEFWPNPDLPLHFTSAKDYFHDEESYRRTYNYYRHGYNGDKHGNLPEPHRGADPVSNMFNDDNVNACSIM >Dexi3A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:3A:11640089:11641310:1 gene:Dexi3A01G0015630 transcript:Dexi3A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHCTGSNQSVAPAPAAAMASTTFSLFFPLPNNGQWSPAPAAPFDDDHSTITTSPSSPSSSSSGTVDCTLSLGTPSSRRAAAAAAAAESTELSKCVAAQRACASAVSWDVAAEQSYYCCQGSKPATIVAGGAAKGAVARGEHDSLLVDRRCAKCGTSSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATATAVMDHQSACGHVAQQYYGPPGKQPPGAVPFYGEEAAFPCGGDVPDADAAPFLAWRMNVVAPPATGPAFAVWPERTSLFRYN >DexiUA01G0020890.1:cds pep primary_assembly:Fonio_CM05836:UA:43153959:43157199:1 gene:DexiUA01G0020890 transcript:DexiUA01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQYCYNIAAWGLGTMRGGDGTEDAATGRGVGFYDSYCPDAEDIVRSTVEQYYDKDATIAPGLLRLHFHDCFVQGCDGSILISGPSSERSAPQIFGLRGFEVIDDAKSYLEAVCPGVVSCADILALAARAHTIGQTDCRFVSYRLFNFTATGNADPSISEAFLPELRALCPAGGDPARRVALDRDSAGEFDVSFFKNVRDGNAALESDQRLWGDAATRAAVQRFAGNVRGLLGLRFAYEFPKAMVRMGGVGVKTGAQGEIRRTCSRIN >Dexi2A01G0026980.1:cds pep primary_assembly:Fonio_CM05836:2A:38438339:38439823:1 gene:Dexi2A01G0026980 transcript:Dexi2A01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAHVLVFPVPAQGHINAMLPFAAALLDAGVFVTFLHTDHNSRRASSVVASPRLRFMSIPDGLADDHPRSLGAMLEFDRAMREVGGVSYRALLASLSSSAGRPSHDASDGGDGDYKSFPPVTCVVADGLLTWAIDVSEDLGVPALAFRTSSASSFLTYQTVPKLADLGELPFPSDADLDEPVHGVPGMESFLRRRDLPSVFRRCRGDTDGVLDLDPFLRLLAKATVHSSKARALVFNTAGSLEGPALEHIAPRMCDVFAIGPLHAVPSPAPPPASSLWSEDDGCVAWLDGHADRSVVYVSLGSVAVITDGQFTEFLSGLVAAGHPFLWALRPDMVVMTRSQDDAGDPHEAIRAAGGSKTRVVAWAPQREVLRHRAVGCFLTHAGWNSTLEGVVAGVPMVCWPFFGDQQINSRLVGAVWGNGLDMKDVCERDVVERMVREAMESEAIRSSAEALADVVRRDVADGGSSAREFERLVRFIKDLSMEHAKSYHQN >Dexi3B01G0027660.1:cds pep primary_assembly:Fonio_CM05836:3B:23308390:23309871:1 gene:Dexi3B01G0027660 transcript:Dexi3B01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLHATGSTTPLPDVVARDLGDGVPPPVGQPGWWSGSLPQVTPRGTMSPNCGMDYYPPGGFMSYFQAGQQPFPPLHVPFPAPWPPVSKEFQHAPPSSDLGAQPDEARSKGKTKQTRKKGGKTIINIDDGNDVRTAKRLVFEPDEDLRLVLRDEPKWLAILEDQDKSNEMSADDESNKRSLDDGDQLRDISEKERPMGTKEAKKQRNGKGGVKNVDAGLHEELKKYMDIQAGAKQRHEAFIETQRCISSEKVEAAKLRREAALLESYQKLMSMDTKEMTEDMRAEHAIGLKFIREKLVGNTN >Dexi9A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:9A:15747996:15749906:-1 gene:Dexi9A01G0020680 transcript:Dexi9A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETSGAGGDDSSGGGEIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAATKPKTTMLLAPPPGATGKLRSPLPPPPNDPAAARMNSGHNAGIKAPKESTKKNNDPFSDLSAIKVRLSNWCLTLV >Dexi6A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:6A:20190055:20193124:-1 gene:Dexi6A01G0013160 transcript:Dexi6A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGSADSFASILSKVQDEKLPAVFYYTAVWCGPCQDITEGHLTSMDTSMAFFEGDNDLSSIFRIASRSP >Dexi9A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:9A:9692081:9697467:-1 gene:Dexi9A01G0014690 transcript:Dexi9A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGSEQGSPESEMGDGDNDSVGYGAEMEVDAGSGSAGASAPASSASASASATASAYAARAGAYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSVMSRQFVCAKEGFRTYRGKNEVARADVADVGDDDSGRGRRTRAVTRVGCKAMIRVKKQDNGRWTVTKLETAHNHPLVPANQAHCLRPHKPLSECGKQRPFVGHRNGGYISAKTDSQSFIQQYEKALDCCYEKEVKEEFETKYSLPDIKTSSPIEKQGAELYTRHILAVFAARGVSALPSQYFVKRWTKHAMDRTSSKKVDEVSRAEEPKEEQRSSAEDDEQSLTWRYNSLCREALSVNTSNSSMVPGLVTVPIGLCLPSMDGSKISAAGINSENSGDINSNGKLSLGLHQPQPSAQQPSTPSQTKTLESIDSRANPESSSIRAAAIAAGARIASPSDAASIIKATQSKDAIRIRPGENLPNYLKTLAPKPLSSVPPVNTPNSAHASPGQRSFGDSAAAKDAIFGSSDGSDDDEYDDDTDDEDEGLTGDDAEHE >Dexi5A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:5A:4101332:4103483:-1 gene:Dexi5A01G0005510 transcript:Dexi5A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPNPTEDPSPLTAMASSFPLLVYEDQHREPPEDIVVYHQTMLSVADGSTRTLQLPVPALRPSDAVSNPDCLVLLYSFEEPELRFCHVRGGGGGGGGGPWVTQSYDIGLYEIPGLQLAPERRHINNMAAVKGKFYFLESPNVMGVFSFDHSPEPHLELSTFAATMPRFICDDPHVATLSYLLESCQELFLVCLFYPGCTFERLEEVGAYRMDFSKQEWCKVTDIGDRVFFLGPDNFAASCSATECGLEKGLVYFAFDFLGDSNDFHIFDLEEDARELVSPTQADIPPVLTRKPFGWFP >Dexi9A01G0038040.1:cds pep primary_assembly:Fonio_CM05836:9A:42309517:42310125:1 gene:Dexi9A01G0038040 transcript:Dexi9A01G0038040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSARHSRRWCGRVAAVLCLYATFCKPGASSSADGDVPLPLDPLPTGLMLPTRSLQCFEDGQVYSCCEGAYRLNPSGILAVPAAAVDYYCGGACVVETEDVLNCVAAALDGFAFYNGASVEDVRYALRRGCSHTVGRGDFNDLEPQLGDYPDIYGDEDEGTNGSSSKVTAPLKLMLAFLGGSCLFLLVGLGPWRRTNIFM >Dexi2B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:2B:25199469:25201120:1 gene:Dexi2B01G0015160 transcript:Dexi2B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVGVSEVGVSSQAACPCPGTLFPYPPPRGAAAGIVVAAAVRRKCLQVELGAACWGGVESMRASSPTHAKAAAALAAGVVDDNGERAAWMVRHPSALGKFEQIVAASAGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFGFVKLAELYYAGSHGMDIKGPAKTSSRHAKAKAKGVLFQPASEFLPMIEEVHERLVETTRCIPGAKVENNKFCVSVHFRRVDEKMWGELSEAVKGVLREYPKLRLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASYSLQEPAEVMEFLLRLVEWKRLSRARLRLQ >Dexi2B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:2B:12830889:12834079:1 gene:Dexi2B01G0011240 transcript:Dexi2B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIPAALHHPHLLAGGVLLCHSSGDPTSRSALSRIGDTPMRRWQTGVSPVLTTRSPGLDNVGNLHESSNLSRSWDLNNQIDNDDDILIECRDVHKSFGDKHVLRGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKQGEVIICGKKRHGLVSDEDIEGLRIGLVFQSAALFDSLTVRENVGFLLYENSNLPEDRIGKLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKEVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTHEFTTSTNPIVQQFASGSLDGPIQYF >Dexi9A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:9A:10151494:10152327:-1 gene:Dexi9A01G0015260 transcript:Dexi9A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILQVKHQNLVPLLGYCLVGDERLLIYARVRNGSLDAWLRRTNQQATTSSSSSRAAPIGWVDRLEICLGSARGLAFLHHGLIKSSPSSGGHVITHGDVKSSNILLDEHMRPRVSDLGLAKVIRRYETHVKTSVSGALEGYVPPEYPLKMKCDVYSFGVVMLEVLTGRPAAGQEREKGGGNLVGWEEDQDEAAAGVGRRDQQMARVLALAMECTADEPWKRPAMGSVVEELMRIQLMVYNEPHQSPIRGVVET >Dexi9A01G0025350.1:cds pep primary_assembly:Fonio_CM05836:9A:23596355:23598456:-1 gene:Dexi9A01G0025350 transcript:Dexi9A01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYHFNHQATRLPALITMENKAGTEEPLLLSRPDSENTAVAEAKRLLRLAGPLVASCILQNVVQLVSVMFVGHLGELPLAGASLASSLANVTGFSLLAGMASALDTLCGQAFGARQYGFLGLYKQRAMLVLALACVPISVVWANAGQILVLIGQDHDIAAEAGAYSRWLILSLVPYVPLVCHIRFLQTQSIVVPVMVSSGVTALSHVVVCWALVFKAGMGSTGAALGSAISYGINLAMLALYVRLSGTCTRSWTGFSTEAFKELRRFTELAIPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGALLFMVPFGLCTAISTRVSNELGAGQPQAAKLATRVVMGIALSAGLLLASTMILLRNFWGYMYSNEPEVVTYIAKMIPVLAISFFTDGLHSSLSGVLTGCGEQKIGARVNLSAFYLAGIPMAVLLAFVLHLNGMGLWLGIVCGSLTKLVLLLLITVRINWEEEVT >Dexi1B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:1B:5444801:5448123:-1 gene:Dexi1B01G0006760 transcript:Dexi1B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAPPDQMGASYPHMFLILLLLHGANAAPIAPAGPKWQTLSGRPPQVVARGGFSGLFPDASQFAYQFALSTSLPDVVLFCDLQFSSDKTGFCKTGLTLDNSTTVSEIFPKMERTYKVHGEDVHGWFSLDFTADQLIQNVTLIQNIFSRPSTFDGSMGMYTLDDIVELHPPQIWLNVEYNSFFLEHKLSTEEYILTLPKEYSLTYISSTEVDFLKSLGAKLKKSKTKLIFRFLNDDVVEPSTKKTYGELAKDLKSIKDFAAGILVPKTYIWPLKKDQYLAPSTSLVKDAHALGLEVYASGFANDISTSYNYSYDPGAEYLQFIDNPDFSVDGVLTDFPPTASGAVACLAHSKGSPLPPPGKDTRPLIITHSGASGVFAGSTDLAYQQAIKDAADIIDCSVQMTKDGVAFCMHSADLTSSTTAGTAFVSKSSTVHEIQNKSGIFAFDLSWSEVQTLKPADLYSPFAQAGLKRNPAAKNAGKFMTLPQFLDMAKASNVSGILIEVEHASYLAKRGLGVVDAVTSALTKAGYDKETKQQVYIQSDDSSVLSAFKKFTTFKRVLSIEIQFSGASKPSLDDIKKYADGVRMHRSSLAQISGYFMTHFTDAVSSLQAANLTVFIGVLKNEFMNLGFDYFADPTVEIATYSSALMADGLVTDYPATAATFFRSPCSDMSLNLSYSILPAQPGALVNLAAPGALAPAAGPAPVLEPKDVVDPPLPPVKAVITADTPATAPAADNTSSAAGSNAGNNLLGAGIVALLSLSFLH >Dexi3B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:3B:2648825:2650401:-1 gene:Dexi3B01G0003880 transcript:Dexi3B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMDLDLAVQVTCPPVPGEDFAFATSETDAAFLVLAHLPGYGKDEVEVRVGAGGTEVAVACARKEAFSVEAAATARVRVAHRQVVDGFRRVFDVPPGVDVARITVGFEDDDGLLVVIMPKLPPPNDGGGDDEEARRLDVETTSGCESSGTESDSDVDVETELELGDEASSLELEHEDWVDVESEEDVPVATDVPVVTDVAVETPVPVVSDVPVETPVEVEEDRDVPVETPVEVEEDRDVPVETTVEVEEDRDVPVETTVEVEAEPPMVDIEVDVVFEQLGEPEPLVETPIQVLGPPHREPEPPADVPNPPVDIQCDVESKPEPPVEQQPEEPKPPAEEPLQEPPAEEPVQEPLVEPPAEEPVPEPPVEEPVPEPPPEEPKPEPPAEEPVPEPPAKEPVLEPQAEEAVEESPAVEHPAEDQRDSQESDDDSSDGSPNDARRRRGGRRGRRQRRGRRGGLRLGMVVAPALILLALAVAAARRRRQQQQGR >Dexi4B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:4B:455658:457319:1 gene:Dexi4B01G0000690 transcript:Dexi4B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEVGSFPPGKKITVVFVIGGPGSGKGTQCSMIVKHFGFTHLSAGDLLREEAKSDTEQGMMIKNLMHEGNLVPSELIVKLLLKAMLQSGNDKFLVDGFPRNEENRQAYDKIIGIEPEFVLFIDCSKEEMERRILNRNQGRDDDNIDTVRRRFEVFQESTLPVVQHYEKTGKLRRVDGAKSADAVFEDVKAIFVQLNTQANQGNSVSRAQSNPFKRFLDLFCGCFGTQEATN >Dexi8B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:8B:26722718:26734711:-1 gene:Dexi8B01G0015890 transcript:Dexi8B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTSAFTLLHSIQRGNGIGLLCCHRYATPWRRPRLAARRLSTATAAAAPCPLDPPQAPPPALPPPQASTSPFHPLVSHQHPAVAAFPHAGFSGGLADDPLLARAVHGLAVRRALPLSVFHRNTLLAFYFRHRSSPAAALHLFDEMPHRTFSSWYTAVSGCVRCGLDTTAFELLRRMREDGVPLSGFALASLVTACERRGWEEGVAWGAAMHALTQRAGLMANVYIGTALLHLYGSRGLVSDARRLFWEMQERNIVSWTALMVALSSNGYLEEALAAYRRMRREGVTCNANAFATVASLCGSLEDEAAGLQVAAHVVVSGLQTHVSVANSLITMFGNLGRVQAAERLFDRMEERDRISWNAMISMYSHTGACGECFVVLSDMRHGGVRPDVTTLCSVVSVCASSDHVDLGSGVHSLCHRNGLHSSVLVGNALVNMYSAAGRLDEAESLFWNMSRRDVISWNTIISSYVQNDNCVEALQALDYVHFLGRSMEAVLGALPSLLPKLGELLVGEYSLQKEVKGGIRFLQSELESMQGALEKISGTPADQLDNQDKIWANDVRDLSYDIEDIVDTFMVQSKGRKSANQHGFKKVIDRSLNLLMLPKICRKIATDIRDIRTRVEEVSKRRDRYKIDGVVAKPVTTTVNPRLLAQYKKATELIGIERARDELIKIMTEENEFPLQQGKIVSIVGFGGLGKTTLASAVYEKIKARFDCCAFVPVSQTPDMKKVLNAMIYDLSKQTNKETLDERQLIDELRVFLQDKSLWGFSGVVVFQQGGMPLLTCLRVQLLMQEAEQITDTFTVVKFDHGYLPSLQEFYIDIRSRGIRLAVTDEAMVHRISSVVYYYAWRRRDAVSGLVVLLSGHAAIGAAMDVGRAAHAAALGLLWRAMMLRKLALEIESR >Dexi7B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:7B:15906697:15907747:-1 gene:Dexi7B01G0008100 transcript:Dexi7B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYDRQRELQAFDDTKAGVKGLVDAGVTAIPRIFHHPRESLIASPPSHADDDDGAFSFPVVDLSAVAGQRDDDDVVDQVRRAAGTVGFFHVINHGVPDELMSGLLAAVRQFNEGPAETKRALYGRDRACKVRFASNFDLFQSRAANWRDTLFFNLAPDPPRPDELPEAFRDVIMEYRDAVTKVAVRVSELLSKSLGLSSDHLRKMDCTESLHAVCQYYPPCPEPHLTFGIKRHTDPGFFTVLLQDSTGGLQVLVDRGGGRPQTWLDVPPVAGALMVNIGDLLQLVSNDRSKSVEHRVPAIKSEGTARVS >Dexi6B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:6B:647865:648354:-1 gene:Dexi6B01G0000750 transcript:Dexi6B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHVSPAVDGAAGKSKSVPSWGILEAYAWMGKGENVTTAVSKTSDKKHIQITFYPRVPPELSRFYIHSPDRADMYMEPRIVATEDDLALLRVDTNASMYPVYYIYQADDDSSGTPPSLKLLPKTPYIHFHATDIGLLRLPGKQYIG >Dexi8A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:8A:28492876:28499941:-1 gene:Dexi8A01G0016840 transcript:Dexi8A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASAVRKKGEAKVPAAASAMAVARDDSYLESVTDKRIRMFEEIQTRQALQRLNIGGEAIKVTILPDGAIKEGKTWITTPMDIAKEISSGLAASCLIAQVDETLWDMGRPLEGDCELQFFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCLGPCTTRGEGFYYDAYYNGLTLNEEHFGIVENQARKAVAVEIIKELPEDKTITVYRCGHLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSRRLKVISPNIYNMQLWETSGHAANYKENMFIFEIEKQEFGLKPMSCPGHCLMFNNRVRSYRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRENQIKDEVNSVLEFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLYYSAEDEAKTERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSVSSGSVEYAKQVLARLHEAGFDVDIDISDRTIQKKVQGAQAAQFNYILVVGAREAETGNVCVRARDSAALATMSVDGIITCFREEIAAFK >Dexi3B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:3B:1332872:1337962:-1 gene:Dexi3B01G0001890 transcript:Dexi3B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAQPACLGSFYHTGGATPTCPSIRKPWPHTDNHCCQVNIYRGKYIFSKARHVLENHNGNGVKTLKLNLSICSKEDIDTGLVHGWLQSFVKPGITELGLLLPRCYALEYNFPYSLLSWDEEFGATIQSLHLASCGFHPTEGPSPTLLGFSRSLSKVSLHNVGITEDELGLFLSSCFDLERLDLSNCNMIASLKIPRVLHKLRIVRIHACRALKTVVSNAPNLSTINYDEGPPLLSFSLGDRLETKKLLMHSMCLEDMIGYADSDLPCIAPNLETLVLSTFCEKINARRMTAKFQHLKRLVICLGKGAKFSEGHNFFSLACFLHACAVLETFTLRIAFNYSWNEKYHISWQTKEETSQFCHGGLQRLRMVTITGFCSAQSLAELTCHILSYAASSLQCIHLDTTPGYETKHSSTDRCWKMHAEALRESKRALSNVRQYIEPKVPHGVELKQMKQMAAPRPALRIILTRLLLLLPREQQLTPPTFQSKTIRVLENHNGNGVKTLKLNLSICSKEDIDTNLLDNWLQAFVKPGITELGLLLPKCCAHEYNFPYLLLSWDEKYPGYQNYHIDRQSWAEMNQFYHGGLGRLRTVTITGFCSAKGLAELTRHILRSAASSLQCISLDTTPGYDRKHSSTDRCQNMCMGALRESERALSNVRQYVEPEVPHGVELKVLGPCRQCHYIDAKAMEEAQRASWLSAQRAPWRSTRRGRIMFIS >Dexi3A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:3A:59677:61714:1 gene:Dexi3A01G0000080 transcript:Dexi3A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVWFPLRCAAYVDLAKRNAENMVHKRVVQQTTDERPLPARGMEKIEEAVEERVYRTGYSREQQQALVGWKEGDYGIELGGGGAPVGDIDEPPPHPSFLLLHRSSIIRPAGTEDGRHPLSLVSLLCTAGPTTLFPLGCTSIFSQSNRTVAILRPPLAPSPFHNPPLHTPPLLSLLATDDEDDLVEGEFVAPAGHQSSFSAVVWVRRVPPVVSVRLSNPSIWSAVGVVIN >Dexi9A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:9A:790077:791951:1 gene:Dexi9A01G0001470 transcript:Dexi9A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMEVAGTQPEIDWDGGGGGADAVLGLAPAGASVSLCYHQAFGPHSDIVLLEAGDDLLPDLLQGRVTVRGRPDEEAVLCTPSATYSMKFVGTSNSVFLIPPGEPSASCLRPDHTKGDANAADAVAAAIKLAPGSIELVRTAPRLDKLRSLLRERPYVLDEDLGDGFQHKKGLYTWQDLCNCIQASDGELLDGLNTLSAVEIDGFWRTVDANSVNTILDVILHNSVLHDWPLNAMPETDVLSVMESDGFVHKIVSHCLNRFGKKVEQEARSFWSLDEKLVCLQFARKVLGAGKMKLANFMDKWERSIPSGMHADLQMLEGEVLFEKLGAETWVHAFSVADLPLTPADRFAALFRERVKWEWKDLQPYIRDLRVPGVSSEGLLIKYTRRTQPSSEAEPIFTAR >Dexi4B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:4B:8025227:8033274:1 gene:Dexi4B01G0010670 transcript:Dexi4B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNHCFLPNTPPLPCASPWTSLLLLLLDTLAFVVALTISCGAHRWIRCHHLNLPHANSSHLGSIAALADDTFATVLATTTYTTTRSSLYGCWTRGACLLAVKTEAEVECLHAAATLRSLPVRHRRELAGGCSTSLVQLPIMRLRPLLHTVPVTWFASSPARRPRWGWWPRARGPPREEEREGGERRGGPRPQGGNVRGPAREKAKRPPPFLHPFSPPRPPSARHPSSMKPQAARRCGSTTELWRQWRHGRDGVEVQALICGGQQQQRGGRQRRTAGEVMALKAVAVPMDSVGP >Dexi5B01G0035700.1:cds pep primary_assembly:Fonio_CM05836:5B:35616601:35617164:1 gene:Dexi5B01G0035700 transcript:Dexi5B01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCLAFHQCGRSTPLFSATEQKRTIPAGDMDATLAGKVVCPTAQGHLLARDAATSATFLYNPRNGETIHLPPLIGVQDAVLVDSHCLLSGDPAAPGCVVLLVEPEDTSIWHVRPGDDDTQWAKHDYDIGEQILDGKFYFNSFSTELGVLEFCPGPVFSFIEIDNHRR >Dexi2A01G0021930.1:cds pep primary_assembly:Fonio_CM05836:2A:33876324:33880727:-1 gene:Dexi2A01G0021930 transcript:Dexi2A01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETWYYDVLGVTPAATEAEIKKAYYIKELGEAYQVLSDPTQRQAYDSYGRSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASFDGFSEDEQIDAKRLQEQMRVVQKEREEKLAEALKNRLHLYVQGSKEEFIQHAEAEVTRLSNAAYGVDMLNTIGYVYSRQAAKELGKKALYLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYLQTHKSVMVDSLWKLNVADIEATLSHVCQMVLQDSSVRKEELRTRAKGLKTLGKIFQRVKMSSEGEPATMKNTINNSDDNDGSSPDSSPKSPRDRMFDANPPYAQSPYVEAPQFGDYFFPMPAAPPGAQRDPIP >Dexi1B01G0022240.1:cds pep primary_assembly:Fonio_CM05836:1B:27991631:27994033:1 gene:Dexi1B01G0022240 transcript:Dexi1B01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVSAQTTMAVFTVASFFALLCVAQQARAVAPVNYTFMREAVHAPPVDYYDYIIIGGGTAGCPLAATLSQRSRVLLLERGGSPYEDARVLSMLHFSDVLADTSASSPSQRFVSEDGVINSRPRVLGGGSCINAGFFTRAAPGYVRSVGWDPREVAAAYRWVEDVVAFQPALGPWQSAVRRGLLETGVVPDNGFTYDHITGTKVGGSIFDADGRRHTAADLLRYANPDGIDLYLHARVARVLFGYKGTKPVARGVLYRDAQGEYHMAFLNQGAANEVILSAGALGSPQQLMLSGIGPAAHLRSLGVDVILNLPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEGASGANWNSHPSGTQPPPRNFGMFSPQTGQLATVPPAQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGHLTLRNLDADDNPSVRFNYFAHPDDLRRCVAGISAIERVIRSRAFSRFTYPNFAFPAALNVTADFPVNTLYGPRRRGGGGGGGGAGGGGGSDTRSLEQFCRDTVMTIWHYHGGCHVGRVVDRDYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKLLKERRSLIEGSGRRNNP >DexiUA01G0000030.1:cds pep primary_assembly:Fonio_CM05836:UA:324397:324739:1 gene:DexiUA01G0000030 transcript:DexiUA01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFWELPPEEELVYSGLDWLLLSVGKGVNQGQEGDGAGR >Dexi5A01G0034310.1:cds pep primary_assembly:Fonio_CM05836:5A:36410429:36411659:1 gene:Dexi5A01G0034310 transcript:Dexi5A01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHGMAVRAPAAATTARSSHRQCRVSAAAAAVATPTARARATHSMPPEKAEVFRSLEGWASRSLLPLLKPVEDCWQPMDLLPDSSSETFEHEVRELRARAAGLPDEYLVVLVGDMVTEEALPTYQTMINTLDGVRDETGASASPWALWTRTWTAEENRHGDVLGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLAKAHGDDVLARTCGTIAADEKRHEAAYGRIVEQLLGLDPEGAMVAIGDMMRKRITMPAHLMHDGRDMGLFEHFAAVAQRLGVYTARDYADIVEFLVKRWRLETLESGLSGEGRRARDFVCGLAPRMRRAAERAEDRAKKDEPRKVKFSWIFDREVLV >Dexi8B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:8B:4473195:4478323:1 gene:Dexi8B01G0004810 transcript:Dexi8B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASTTSDSRLKWRKRKRNPDASPSKPSTSAAAAADHSDDSDSAAANDDEDAVHADADDAAAAAAASEDPALDLRKAEVLPAAEPISAFPSAKRRVVNRPHPSVLALIAAERSAYSGEVSATSAPPALENISHGQLQVLSGVLPDHPSLSTDPDKPSMYVCIPPPLMEGRGVPKQFHGRLHVVPKHSDWFTPGTVHRLERQVVPHFFTGKSPGHTPEKYVMLRNKVIAKYLENPGKRLAFAECQGLVANTGELYDLSRIVRFLDTWGIINYLAAWSGHHGLRMPTSLLREEPTGELQLLTAPLKSIDGLVLFDRPKCSLQAEDISSMASSSSNSEAVDFDAAFADLEGKISERLSESSCSYCLQPLPNLHYRSQKEVLDFQKVDGDNDGLENDSDKWTDEETLLLLEGIEKYNDNWDDIAGIPTQSFRHGNELPFINSSNPVMSLVSRIPTALPPIYFCHVAFLASAIGPRVAAACAHASLSFLTRDDDPRLNSEGMHADGRANGANPNFHNHNGASPAVSPENVRHAAMCGLSAAAMKSKLFADHEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQRISADRARMKSAMLGSTGMPGSSSTMPSNPASMSPRPVGVPGSMSMPQASMPTAYANNMQGHGHAQMPQMPFMHQRPQMLSFGPRLPLSAIQTQPSPQASNIMFNSGMPSSVAPNHHQLLRSSSGNNSSAG >Dexi2B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:2B:14336697:14340879:-1 gene:Dexi2B01G0012150 transcript:Dexi2B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAGMDEAEERLRSDEEEEEEEGEEAWDDWCSDGDDAAGRLLCLFCSSWFDTDSSLFEHCAAEHCFDFHRIVKELGLDFYGCIRLINFIRSKVAENKCWSCGQSFSSNTELCSHLHGVDIIEGKVQWEDDVYLKPFMEDDSLLHSLSMDDDEGDEECRISVERGQCSGGNGVLAEPLGNKLSTLTEGTVSDISAQFERECTIGSAKGEDRESLAHETNDSQLKVARASVNARAVKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDALLANPSLLSRATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLYDENVKAEQKQGAQVISVVHTKAEELNQKIQVPQNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVVASQDIVTETAVLHSFDLATMKESEMDFTSSFELRLSESGTVVPGVTWCYGIVLWFDTGFTDRFCKEKPVLLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTIASSALVGTDECPATVLRSRISIVRASEHRSIDISVETTAFSSNGRKRSWPIQIFNL >Dexi7A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:7A:18365125:18370697:-1 gene:Dexi7A01G0007000 transcript:Dexi7A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGHGGHHHAPHRRGRLLPITAAAAALLLLALLVLLPAAPPAGGAPASLLRAASGDVPAALHLASASLQCQYDCSHLLSLPAFRSHPLTSRFLSSLAPQTLTAAPKPSSSSAATAAFPARIRPDATVCKTNPGAKPCSYSTVQAAVDAAPNYTAGHFELIRLWHSGQTATVVSLVPYYCDGGFYFRECCSGI >Dexi6A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:6A:27118649:27121406:1 gene:Dexi6A01G0019520 transcript:Dexi6A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRCAEMVVPAPAPEEEEDDDEYAKLVRRMNPPRVVIDNDSCHNATVIRVDRVKKHGILLEAVQVLVDLDLVITKAYISSDCNWFMDVFNVTDQDGSKLQNKEVIDHIQKCLESENYLVSPAAGPTNGVAPPSDDQSSTSIELTGTDRPGLLSEVCAVLASLSCNIVKAEVWTHDRRAAAVIQITDEATGLAIHDAGRLSMVQELLGTVMQGDGRAQKCCSTCTDMSVVGASRAERRLHKMMLDDDDDNAGEDRCGKARPRAGAKVVVMDCTERQYTVVILRCRDRPKLLFDTLCALTDLHYVVFHGTVDAEPRSKEAYQEYYVRHVDGHPVRSDDERSRLVRCLEAAVERRATNGLELEVRTEDRVGLLSEITRVFRENSLSIIRAAISTRDGMAEDTFHVSDAYGHPVDGRTMDAVGDHLGHAVLRVKRSGGHGAPAKSVAEGGAVSVLGSLLKGSFQGLRLIRSYS >Dexi2B01G0030730.1:cds pep primary_assembly:Fonio_CM05836:2B:38992059:38992904:-1 gene:Dexi2B01G0030730 transcript:Dexi2B01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAAAESRADADGPTSDAADDFEFCILSSGGLVPAGKAVAETVDMCVADELFCQGKLLPLRPSSATATADGASVVVSLPRSESSAASTMGLVSRSGSRSASSSGSSSGCVSRSESSKSASSDYGGAPPRRSLSSSVFYAHPSPSPQLRSAARPRRSTGSAPPPAAWGLFRLGVVGAPDVYPPPRSGEAKITAAAAARGGGSRSARFEQVTVAVDKKLGLGALFGDSLGCKCSPDAIEPVRLQEAAKRNRKKTGGKSSLGVRRSRILDWLEELSIIKEKK >Dexi2B01G0032840.1:cds pep primary_assembly:Fonio_CM05836:2B:40619775:40620011:-1 gene:Dexi2B01G0032840 transcript:Dexi2B01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDAPVATPASTCSAAAAAVPPPPPPPAAGAAAIAAAAATSATEEEDATITVADLRGMPTRVLKVLCRGNSWKHF >Dexi3A01G0031890.1:cds pep primary_assembly:Fonio_CM05836:3A:36294901:36297248:-1 gene:Dexi3A01G0031890 transcript:Dexi3A01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATCRRPHHVVVYLSGVLLAGVLLTATTAALTDAEASSIAARQLLSFHEPSDGDLPDDFEFDIHVDVTFGNPRLRRAYGALQAWRRAMYSDPKNFTGNWVGPDVCSYFGVTCANALDDPTTTVVAGVDLNGGDIAGYLPLELGLLTEVAMFHINSNRFCGIIPKSFNRLSLLHELDVSNNRFVGGFPHVVLEIPVLKYLDLRFNDFDGELPPELFKKDLDAIFVNSNRFVGAIPENLGNSTATVVVLANNAFVGCIPRSVGAMVGTLDQLMLLNNRLDGCLPPEIGELVNTKVVDVSGNKFVGTLPEGIANMTGLEQLDVSRNQLAGGVAEGVCELPALANFSFGDNFFSVEAAACVPSLEKTVALNDSGNCFGGGRPEQKAAAECAPVLANPVDCRKNICSGRPSYTPSPKKHTPVTPTPAGPRVVQPPVQAPGPISSPPVKPPPAPKSSLTPPVKSSPPLAQVRSIPPPVIVRSPPPPAPVSSPPPRVKSPPPPASVSSPPPPVKSPPPPTPMSSPPPPVKSPPPPAPVSSPPPPINFGDLPTPTYKIPATTSAGEFSISTNQITTTTTISCELATSSDKVSSASANQLSTTASTDELTTSTCSPIAATTGSITTSAITRRCHPTTDHGAEVCFTSTASVPRILSSGYAMLQYRH >Dexi3B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:3B:4838887:4840587:-1 gene:Dexi3B01G0006930 transcript:Dexi3B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSPQKKGAEHDGSSSFEHDDYDEFRAESTTSKPSGGYPHDSTRSKPKNQLASADKTSHPAGAAIPIHSAEPTPIPAAATAGNNNSASAADAVGNGVGAGSSDGPRSNSMESSSSSNTTTASASAHVRRHTGGDSRWDAIQVASSSLDAPLNLCNFRLLKRLGYGDIGSVYLVELRGGTSAFFAMKVMDKASIISRNKVARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPNKHFTEPASRFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVCPTLVKSSSVHSTTSVVVGAAAADGGGDVNITAGGGGCIQPSSFFPRILPRRSRKPSKSELGLGGGGGTTPAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGSTPFKGAGNRATLCNVIEQPLRFPSDAVGGGPATSSVAKDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMTPPSVPEPVDFRQYGSAKDSKKASESTTDQAPPPAGPVAKPNSGDSYTDFEYF >Dexi5B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:5B:22324766:22327110:1 gene:Dexi5B01G0020040 transcript:Dexi5B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTYSARMNGNSEEIQFSWGKRRGKGGAKMDTQFYESFTLDNVQYSLYDCVYLFKHGDPEPYIGKIVKIWEQNQAKKVKILWFFFPDEIQRYFSGTVMEKEIFLASGDGIGLADINPLEAVAGKCNVLCTSKDERIRQPSPQELAMADYIFYRFFDVKHCTLSDQLPDKIVGLEVKILLNPKDEQVISNPSAVNVLPSPNVNEGLAATVPPLRSAVKEEAGSSVTAVALPQPVPKEVDVNPPAAVPLSQSVVKEDQKPVTTIPFSQSAVKKEDKKSVAAIPCSRPAVKEEEKPVASTPPPRSAAVESVPKNTESQNAHAGERPPKRLKLSQEATVDTTSDVAEIRPLELPSLQAVNSLN >Dexi1B01G0030450.1:cds pep primary_assembly:Fonio_CM05836:1B:34425699:34427500:-1 gene:Dexi1B01G0030450 transcript:Dexi1B01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGPTVAFIAGDPPPEAAATEEEVEENSGAEEEEQEDDELELGLCLGSKKQQQQSPSPAPCRILTARDLQPGALSPDSSVSSSSPAAGAAAASKRAKADAAPNATTSPGTVASGHPQSFGVVGWPPIRTFRMNSLFNQVKDNAPETGAKKATDESDMQKDKEESEKKGRVVGWVKVNMDGDIIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSAKSLNLLDSSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANGLG >Dexi3B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:3B:1595849:1601102:1 gene:Dexi3B01G0002280 transcript:Dexi3B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLEKNLQDNFDLPPKHPSDEALRRWRSAVSFVKNRRRRFRMVADLDSRSQNERKRRSLQENFRNLRVAFFVHQAAATLIDGAKNKEYRVTEDIRNAGFSINPDELASITSKHDVKALRMHGEVDGISKKIRSTFDRGISVSDLETRQGIYGVNRYTEKPARSFWMFVWDALQDMTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLTGESDPVYISQDKPFILAGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVIGNNTLQDLNSVISSTTLGLLLQGIFENTSAEVVKEKDDTQTVLGTPTERAILEFGLKLQGGHNGEDMTCTKVKVEPFNSVKKKMAVLVSLPNGTHRWFSKGASEIIVQMCDRMVDADGNSVPLSEAQRKNILDIIHSFASDALRTLCLAYKEVDDFDENSDSPTDGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGAGVMYILFFYASSMVVPLVIPDVHYSVNNTFFLNERARDCAYFIDIDNNTFDFCPPGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVQRRENFITKVMWRNIIGQSLYQLVVLGALMFGGEQLLNIKGDDYKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGSVLTNALWLIAIADM >Dexi6A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:6A:11370239:11374863:1 gene:Dexi6A01G0009630 transcript:Dexi6A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWVAAHLERKIKKPQIDGIDIPSYAESIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIRTAFASVQVDLPVDADRAPFESITLPPTLNLDELNMDDAICMMDTPDSHQKTRDQITLPEGEYVMIELDEDARVEPSAPGPSLHMESTAIEDERFPPFHDGFGADSNRNEEIPIDHPPDNLPVNSNAENQADQALDPPETMREAPRESPGPMLTESVLGNDDPMDLDNDNSPFVQNKAITPPVAVETSAGGQAPGRSNPNLQTPHTYDAFIDDPPINFDTQLPDFQLQPSPPPAQENEDNRRPKAQVNKRKRKRSVKFDQHIVLSNDHMKKQIDGARLDELICKRRKLPQAALDMWRFSTINRKGSYLLKPVLHGMCSNLHETYERNFPHVSGLDAEHASSEAMSGVPNDGLDAPHEHQCSPNSPGTVDLLPEHQLSPNPTGNVDAQTEPLPSPKSPGAAGAAPHDDMLPELPRFSPMDMPSPIRGNDTPYKTPGGTPPSWLGGTGVFEIPSSCGNGTGVSEIPSSGGNYSLPGQSTHDSDHMPFLFPINEEDDDQPEIPGLMSTPGGVSSVGTRTTGLGSMSTRTRAVALFFKDHVPSPSSGEQPGKFSLNRILEGKARKQAARMFFETTVLKSYDYIDVQQEEPYGDIEISVKPSLSTAKL >Dexi9A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:9A:929438:930592:-1 gene:Dexi9A01G0001760 transcript:Dexi9A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAHLMHEQSRSATGDDPSTVLTYLTFLEHKIGHLRGIICAAPHPPRQIVSAELSCIAVQLLSISKNLAAEASSPTPNEETAVSPTPIAATIPNEGDSDSSDRAEEEEDDEERPPPAGSYEIVELDREEILAPHVHSCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPVVKDAPPTSTTTRCFYSCPFVGCKRNREHRSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAAFDGHTPALPPEDDDAAAHSAAATHGVGSGSASDQRLDMDTEAVSRIANMHECFSDSMFDGLSCSDDIKGFALTGVQCPDDGRGSFAPMGLDFCDFDGIDLFGAPGIVDF >DexiUA01G0008010.1:cds pep primary_assembly:Fonio_CM05836:UA:15020659:15021677:-1 gene:DexiUA01G0008010 transcript:DexiUA01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWESAEASSSSWMVDMEKLLLEHTDPSAEMVQWEKASIYRVPEWIKLQTSRDAYQPQLVSLGPFHVNNPRLLPMEEHKRRAVLRLVKRARRPLGEFVAAVEAAADELLDAYDNLEERRRGVDRRRFVEVMLTDGCFLLEMMRAREHVLKGQALGDYAPNDPVFSIHGFYFLSPEIVSDMLLLENQLPLLVLHMILVVQRPLSPPH >Dexi4A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:4A:19604253:19605832:1 gene:Dexi4A01G0016080 transcript:Dexi4A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTFQWSRPSSFVLCAVVFALSAAVSDANIGDFDDYWRQRKLMADAAAEATYKHDPIEVANQLNRAKEEISARRQMLGQKKGKSVGPCKATNPIDRCWRCRKDWATDRKRLALCAQGFGRNTTGGLAGKFYVVTDGTDDDVVNPRPGTLRWAVIQLEPLWIIFAKTMIIKLKEELIIRGDKTIDGRGVQVRITNGAQLTVQFANNVIIHNIHINDLVSSNKNGGYVRDSPEHFGWRTVSDGDGITVFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHMTNHNDVMLFSSSDSQPEDQMMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKAPTIISQGNRYIAPPNLAAKQVTKQHDAPESEWKNWVWHSEDDLFMEGAYFTVTGGQINRQFNKKDLIKPKPGSYVTRLTRFAGSLDCSPGKPC >DexiUA01G0024200.1:cds pep primary_assembly:Fonio_CM05836:UA:49937779:49938647:1 gene:DexiUA01G0024200 transcript:DexiUA01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAVIAIHGGAGAITRALLSPEQEKRYIDALYAIVETGQRMLEAGESALDVVTEAVRLLEECPLFNAGIGSVFTRDETHELDACVMDGVTLKAGAVAGVSHLRNPVLAARLVMEASPHVLLTGAGAETFAAEHGMTPVSPDLFSTPERYQQLLEARSANVTQLDHTAPLDERSKMGTVGAVALDKAGNLAAATSTGGMTNKLPGRVGDTPLPGAGCYANNATAAVSCTGTGEVFIRALAAYDITALMDYGGLSLAEACERVVMEKLPALGGIGGLIAVDRQRGIAV >Dexi6A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:6A:25043000:25046933:-1 gene:Dexi6A01G0017180 transcript:Dexi6A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPGRIILAFKSNRLSSRALVFDDDEEGRLRHEWIYSSASSLSDKVDMVGTCNGLICLHDSGQGEHTGITVANPITGEALVLPPIPRFQDLNRRLGIYGFGYHPTTGQYKVVHVPSRISWRPDTVHVLTLGGSVWRKVVSSAMDVYYRGDVVCVDGFAYWFSSFGDRVVALDLKDERLISFPGPPSVRSVGMAEEATWKLTSVNARLGVAFRSKKPARVEVWVLDGGGGVEQPLWSRSYTLINRTIVMTPQLTHGEYILTMSRDMKRLYRRKVGDCTNVDDGRTAGLLLSEGTELIMSEEEGSIRTFAYAETREPLPRN >Dexi2A01G0034510.1:cds pep primary_assembly:Fonio_CM05836:2A:44453991:44455547:-1 gene:Dexi2A01G0034510 transcript:Dexi2A01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYYHDILYDGSNNANATAAVVAQPTLLSRSASINDTYFGEIVRRIWLLYKHPEACSRPQSCTSTGAKAKEKHSPIVL >Dexi1B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:1B:23426641:23429362:1 gene:Dexi1B01G0017030 transcript:Dexi1B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALRLRRRLPVVLALCFVVTGSLCGGGNAAAAERKTVGVHELKRGDDFSIKVTNWGATLMSVILPDSKGNLADVVLGYDTVAEYVNGTAYFGGLIGRVANRIAGARFKLDGKVYRLYANDGNNSLHGGHRGFSKVIWTVKEHVGSGDSPYITLYYHSFDGEQGFPGDLDVYVTYQLSPPYVLSVLMNATARGPSPRATPVNLAHHAYWNLGGHGSGPVLGSTVQLLASRYTPVDPATLIPTGALAPVAGTPYDLRAPAPLGARLAELLRRRREGVSGYDTNFAVDGDATAALRPVARVRDGETGRAMEVWADQPGVQFYTANGLSGGVRGKGGSVYVRYGALCLETQGFPDAVNHPGFPSQVVRPGQVYKHHMVLKFSF >Dexi7A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:7A:22531961:22536053:-1 gene:Dexi7A01G0012280 transcript:Dexi7A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARSSRGDTIRPSIPIPPATQAGRLDWASQGDPAVLAGSKVLDTMEGGVNVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTLLRETIVSMAVAGAIVGAAFGGWMNDRFGRKPSIIIADALFFGGAMVMAFSPTPAVIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFILMLMLPESPRWLYRKGRKEEAAAILQKIYPAHEVEQEIDAMRQSVEEEILLEGSVGEQGLIGKLRKALNSKVVRRGLMAGVIVQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRLLMLISLVGIVVWLAVLGGTFLGAAHHAPSVSDQETQLFANQTQTCPEFNPNLLPGACLALNDASRRTCRADQREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCGVSFLALIIVFFTVPETKGLQFEEVERMLERKDYKPWKRYHGVSDVEPAKAREIGLTTP >Dexi1A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:1A:20049634:20052567:1 gene:Dexi1A01G0014030 transcript:Dexi1A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASRVESWVRDQAARLPPWAAALPQAPRWPWPPPRPAWPWPGDRKRQRDRMLREEYERRRSQLRELCRAVRVDTFAELQELFCAMVLAECVYKRPVSEMMRYINKFKSDFGGTVVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTKQYKDIIADVNILQGTIFHEEAAQDFAPDVDSEQNDAPKGEENLGKSYREASKKLRKSKPAVHRGFMARAKGIPALELYNLAKKRNRKLVLCGHSLGGAVAALATLAILRVIASSPSKEDNRLHVKCITFSQPPVGNAALRE >Dexi9A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:9A:6560348:6562024:-1 gene:Dexi9A01G0010730 transcript:Dexi9A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQQQQHHHHHLPPPPMQLPPRQAPPMPPAVAPPHGQIPAASLPYGGGAWPHSEHFFPDTFVGASAADAVFSDLAAAADFDSDVWMDNFIGDPSFADSELERLIFTTPPPPVPVPGPAAVPVDAAVQSEAAAPALLPQPAAVAAPAACSSPSSVDASCSEPVLQSLLACSRTATADPALAAVELVKVRAAASDDGDPAERVAFYFADALARRLACGGGGAQASTATDTRFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPSRVRISGVPSPYLGPKPAASLAATSARLRDFAKLLGVDFEFVPLLRPVHELDRSDFLVGPDEAVAVNFMLQLYHLLGDSDEPVRRVLRLAKSLSPSVVTLGEYEVSLNRAGFVDRFANALCYYKPVFESLDVAMARDSPERVSVERCMFGERIRRAVGPEEGAERTDRMAASREWQTLMEWCGFEPVKLSNYARSQADLLLWNYDAKYKYSLLELPPAFLSLAWEKRPLLTVSAWR >DexiUA01G0016710.1:cds pep primary_assembly:Fonio_CM05836:UA:35672599:35673416:-1 gene:DexiUA01G0016710 transcript:DexiUA01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDVRIMKDMGMDAYRFSISWTRILPNGSLNGGINREGIRYYNDLIDELLLKGVQPFVTLFHWDSPQALEDEYGGFLSPSIINDYKDYAEVCFKEFGDRVKHWI >Dexi8A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:8A:29253052:29255056:-1 gene:Dexi8A01G0017610 transcript:Dexi8A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEKPPTTTQNEANKQGLVTMKIPIYSKKDVALTADSVTAVVELKATSSAVVREGLDLVAVVDVSGSMGGHKIESVKRALQFVIMKLTPVDRLSIVTFSSSAKRLNPLLSMTPAAQDKLKGDVGKLIAGGGTDIKAGLELGLAVVAGRVHKESRTANIFLMSDGKVEGGDDPTKTDPGEVLSTIAMKSIGGTYSSVPDGTNLSMPFAQLLGGLLTVVAQDVRLTLTPKTADGDLDKMVVVPGTDYTQTTDASGTITIKFGTLFSGETRKVAVNFTLKASSDTRPYNATLAVARHSYAAEETRQRAQNIVRKRTRNPSSPATAGIEEQWVHAELGRRSYVAFVSEANSMAEEATGMANQAAKAKDDAKMAEAWRKLEAAQQKLEDAQDAVENIMLDDGNKMVNTLRAELAQLVEYMQSHELYDEFGRPYALATITSHCRQRAAGRGDMEILCLYVTPRMITYVQQAKKFEENPKEPVPCADEDVKKEVAENPLAGIAASLSFYLDNAIQALQAIEKIVSTASST >Dexi8B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:8B:28172112:28173671:-1 gene:Dexi8B01G0017000 transcript:Dexi8B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANNLLQSSSGDQCSLSSAFSMCVDSVGAFSKSLQEASRFCPTDNGTRKDQLVNQRVTGCSNHRVIKKRYSKDEQLEVEVCRARKAMVMMEEIEEVFGKMMLRGYETWRNDMEKLHIAKANEAMNKKSGSKAKSDVVDLGALLIRCAQAVAAGSVLTAQGLLKQIRQHASSTGDATQRLAQCFSKGLEARLTGTGRQLCLLGMADRTLVVEVALFFNIMTIENAMAGKSKLHIVDFGPHHGFQWAGLLRWMSSREGGPPEVKITAINRLQAKSCPAEGIDDTGHRLGKCALEFGVPFKFHAITAKWETICADNLNTDVDEVLVVNDLFNFSILTDESIYFDRPSPRDVVLNNIRKMRPDVFIQGVVNSSYGTSFLARFREALFYYSALFDMLDATIPREDNMRLVLEQGMLGHSVLNVIACEGMELMYRPEKYRQWQVRNQRAGLRQLPLKPNIIQVLKEKVMKDHHKDFFVGEDSQWLLQGWMGRILYAHSTWVADAISE >Dexi9B01G0036490.1:cds pep primary_assembly:Fonio_CM05836:9B:37990901:37991350:1 gene:Dexi9B01G0036490 transcript:Dexi9B01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNASASAAMSVVSSRSVGNDSLRQPGTSQPSILALSARGGALEPCPVAEAAEDEAAAALWREGGVAGARSRARRTAWKRRRREARMARLQCVRPRAATASARSAASRTALPRVAPLPEEEEDPARTRRIASRSLDE >Dexi8A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:8A:4784255:4791125:-1 gene:Dexi8A01G0005290 transcript:Dexi8A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEAGAWWPEAEEDGVVPGCWRSCSRSCWVEHEEAFRILVSNYHSIDDHVTYPEIDELMAEVAVTPALVAETLMRSEDPDIALNDLIELLKSKRDGNNPDEEEAVDGNEDKSDNDDDDDSETDEN >Dexi7A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:7A:27243614:27248037:-1 gene:Dexi7A01G0017650 transcript:Dexi7A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREVPFTTNLATAFVPLDDPRAVISVTLEAPQPSSRGIHPRAAFPSPSRLRSQARGAFTLERRFRHPRGSAAKLEGHSPSSGVSVTLEAPQPSSRGGIHPRVAFPSGSRLGKLELAIQARSAWSKRLVQAPKLCPTSTFNLTRFEVQFKFLEFEPCQSVDLCTAHGALTGCQRRARAACRRPASSEPTSDMAGCPRSRCTPLARSHGRKRTVALTQRPPSRRRRGPPGFAVAAVARRSKARLLRPRVLLFPRPVSSRLAHARLPPAARLRARVDAAHGANTAATSRCRRETSPSCPGLHLVIPEHPSTPLSLLLKPSTVPLPQHAGKTSPELAEPPPSLLSWAALAVASPLPHPPLPLTEPFPTRFPHQSRWRSSPELTELSAAAAHVHSPLYSSSSRTEGTIRFLHLRPRHRRSPLAGASRAATAVADLDSGHPRPRDLAQTNHGEPLSISPHFPGPVSPPFGRRNHAGEPRDLTAAKYSGLADGVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi8B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:8B:21127472:21131604:-1 gene:Dexi8B01G0011860 transcript:Dexi8B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGAMPGYGIQSMLKEGHRHLSGLEEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAISKTIEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLVGGGLHNSTVVRGMVLKSDAVGSIKRVEKAKLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYNILDDLERAVDDGVNTYKGQSDNSWCGCNRNRTGKEIEGVLFEGNRIIMAKPAGGPRRDAQPGGGMDED >Dexi5B01G0038300.1:cds pep primary_assembly:Fonio_CM05836:5B:37546251:37548647:-1 gene:Dexi5B01G0038300 transcript:Dexi5B01G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSSEEPAVDVDSGGDRDRARLHQLGYKQELKRGLSVVSNFAFSFSIISVLTGVTTTYNTGLRYGGPASMTLGWFVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWAGTTSADFSLAQLIQVIILLSTGGANGGGYMASKYVVLAIYGAILVVHGLINSLPIQWLAFFGQLGAFWNVAGVFALVIVIPAVAKERASLEFIFTHFNTDNGMGIHDKAYILAVGLLMSQYCVVGYDASAHMTEETKNADWSGPMGIITSVALSTLFGWVYVVALTSVVTDIPYLLDANNNAGGNAIAQALYDTFHRRYAFVTVLFSLPVAYPVAQENFNYTPVAVGGVLLLSLASWVLHARYWFQGPVPNIDS >Dexi8A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:8A:30338509:30338892:-1 gene:Dexi8A01G0018050 transcript:Dexi8A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTEGTGWKWRRHQAIVDNEFDARRRGLAATMVPRGCTGVAGRLRLPPQQRRSGLSTMTSMVVDEVQINLLAADAGHRRIRSILHREGAAPGGGHGQPPQVPCLTIDGALEAGAQREVVEGLGQDL >Dexi9A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:9A:9893700:9896593:1 gene:Dexi9A01G0014960 transcript:Dexi9A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFLCLQAGIPTRLNQWWSSIPFITSGVVLICGAIYLLCLLIGYDSYGEICFLPSAVASHFQVYRFYTSVLFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLYPVPYLVSECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLLLHFPPDTYGLFNYLLPGPSFYSKIEGLSALSVFVRRPGFILCTGGTTYGQLPTYSNTSAAPSALINGNFLRNISSWMPNRQPSTVQFKINTT >Dexi4A01G0023420.1:cds pep primary_assembly:Fonio_CM05836:4A:26516775:26519795:-1 gene:Dexi4A01G0023420 transcript:Dexi4A01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVSKDEAGRTDPETAKMLHDRKQSMIKELNSFVALKKQYASENKRIDLFDGPSVEDGYAEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQDTINVGTETAAALKAQASCFSYIIRSHVLYCSIRVRKFSFDYFLLHQTEQMSRVVNELDSIHFSIKKASQLVKEIGRQVATDRCIMAMLFLIVAGVIAIIIVKVRAQIVNPHNKDIPNIPGLAPPVSRRLLR >Dexi9A01G0030910.1:cds pep primary_assembly:Fonio_CM05836:9A:35770240:35779458:1 gene:Dexi9A01G0030910 transcript:Dexi9A01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQQYPYGYQYPPPPSSAAPPYLTPSPSFPGYAAPPPPQSQQQYHSGPLQAYPPPPQHQAYPPPGQHQAYPPPGHPTPYAQGYDPYPSSSSPYPSSGYPSTNPSPALSPSSSFHYQHASSAPEPPSPAPSAPSYPIEDILATMRLSERYDYSPSPSVPPPSTPFSGGGSIVGGGTQLVPYGAPAGGSQHGGGMQLVPYGAHAGGSQHGGGMQMVPYGAAAGGSQHGGSFRASLKVVLLHGTLDIWVHDARHLPNKDMFSKRVGDLLGPRITGAVGAKMSSANMTSDPYVTIQVSYATVARTYVIPNCENPVWSQNFIVPVGHEAAEVQFVVKDSDVFGAQIIGAVAIPAEKLLTGERIQGVYPVLEPNGKPCAPGAVLNLSIQFIPVARLETYHHGVIAGPESHGVPHTYFPLRRGMKVTLYQDAHVPDGCLPDIWLGNGMRYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLVRDGTQAPSLGDLLKMKSQEGVRVLLLVWDDPTSRSILGYKTNGLMGTQDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQAVDARGPREPWHDLHSKLDGPAAYDVLQNFQERWLKAAKRHGIKKLARSYDDALLSTERIPEIINISDAAYFSDNDPETWHVQVFRSIDSNSAKGFPKDPRAATMKNLVCGKNVLIDMSIHTAYVHAIRAAQHYIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGNPTGAATQRILYWQNKTMQMMYETIYRALKEAGLDDVYEPQDYLNFFCLGNREVNDSTSTSNAAHAANNPQEQARKNRRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGGYQPQYTWANKVSAPRGQIYGYRMSLWAEHIGGIEEDFNYPESLECVRRVRHHGEENWKQFIADEVTAMRGHLMKYPVSIDRKGKVKPVPGCTTFPDLGGNICGSFTAIQENLTI >Dexi6B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:6B:4236168:4241774:-1 gene:Dexi6B01G0004960 transcript:Dexi6B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSIVFPKHYLEALAFVPADITSSNFFVQLNNLISLTSTYSQVVAVKDLASAYVQFLSAQGTPDDAVLAATKLYLEILFLENSLPLHRTLISVLAKCKKFSSVISGCFALLCEEYGGSGTKAKKRFLVSRAALSLIGYPKLGFLDEAVERCAEIMALDVVDGLDGVTRDIDEGSRPSPVVMELCQDAMSCMYYLLQRYPSKFTSLNKASSVFKSSVRTILSVLKSSAFSRDCLVASGVSFCAAVQGERDLSEACKKSFVHGVLLTLRYTFDELDWNSEAVQSCVSEMRCQVERLLQLIMRVTSLALWVVSSDAWYMPYDMDDVIDDGSFLSDIYEEDQPTNCSEKEEKNAKPGSNGKPSDQVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHYGLLDSTEETTMSEEILDVGQLETMGDHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCQMTESWMVLLMDRTIAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQKDSNRKSGITKDGLGELCESQAGTSASAQSNGNLSKSRDEGVVPTVHVFNVLRATFNDANLATDTSGFSAEATIVAIRAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSITGLEFFHRQVEYIPIWFAM >Dexi6B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:6B:4763141:4766065:1 gene:Dexi6B01G0005500 transcript:Dexi6B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGIAGGAAAAAAAKGGRFRHAGPAFATAPAAAGAEAPPLVSASGGEVPPTAAQWAASWELDDWEFADWRDDAAAVVVAEREAAAAAAKPRLVFAPPSREEAEEATTELRDAIERAYFIESPVEVVKEQDTDLNKLATDAIIPSMPGHVVQAFTLLKSSPEAQSVVASLASDKNVWDAVLKNEKVMEFYNKNYQTTLVQPSPEESTTVESPEKFVDAASENATTGSAFADFVDNAKKTVMDVVDNITHFFQDLFSPPAEAQAGAGSSAEKGPSLAEMAVGGSFMALAIAVILVVLFKRA >Dexi1A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:1A:27640209:27642843:-1 gene:Dexi1A01G0020820 transcript:Dexi1A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNCTTTAARASGQLMITPARDMILALFATVLVFTSRSPPATAATTTTAMQPSTTCLRRCGDIDIPYPFGVGPGCHLETGDWTFVLTCNRTSGGRLRLYNYQIEVVDMSVALGQLRIYSVINAWCYNATTGAMNGQHNWWYNMSITNFRINDAQNRFTVIGCNSLAYIRSLNDTSDTSRYMTGCMAMCPGVSRLADGACAGVGCCQTAIPGGLNGYQVSFEEKFNTSGISSFSPCSYAVLVEAAAFEFRSKYVTTNAFVADNGGQVPLVLDWAIGNKTCEEARMNVSAYACVSDNSECVDSKYGKGAGYLCNCSSGYEGNPYLLNGCQDINECEDARSRYPCSVSGTCVNTVGSFYCACPVKTTGNAYNGTCQDNKTQIGWQIAIGVTSGAVVLIVTATCLYMIHEKRRLAKIKREYFKQHGGLLLFEEMKSRQGLSFTLFTQEELEAATNNFNERHVIGKGGNGTVYRGTTKDGEAVAIKRCRLSNERQKKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHHHGRRRRDDGDDAQPQQRIPLTLRLRIAHQTAEALAYLHSWASPPIIHGDVKTSNILLDEDYTAMVSDFGASTVAPTDEAQLVTFVQGTCGYLDPEYMRTCKLTDRSDVYSFGVVLLELLTCRKALNLEEMEEDKYLSSQFLLVMGEDRLEEILDEQVKGEHSIKLLEQVAELAKQCLEMVSERRPSMRQVAEELARLSRLAEHPWGRQNSEEILALLGGSPSTVSEIELGSTRNISFTDTAYVGIRSPR >Dexi4A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:4A:1607053:1610986:-1 gene:Dexi4A01G0002380 transcript:Dexi4A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTITSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNSIIGPAIIGKDPTEQVEIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNNTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFSEAMKMGAEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIEKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEDNNDGSHKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVSKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >Dexi8A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:8A:22048870:22051172:1 gene:Dexi8A01G0012510 transcript:Dexi8A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAANEDVAPPTASGYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSLSVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAAQGTKGVDAVVADMLDLPFEPESFDLVIEKGTMDVLFVDSGDPWNPNPTTVNNVMKMLEGIHRVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEYSTFGDGFHYFFYTLKKGKRSLESNSYQNTLPAAPRINMVHEELENEDFIFRTNVDEL >Dexi6B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:6B:23104867:23105076:-1 gene:Dexi6B01G0015800 transcript:Dexi6B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEKGEMGAPLARGASTEARSSASTTSRGRHFHLRPRRAAAAAAAMDVVEDGCAHPPAMARAPLGV >Dexi1B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:1B:21580239:21583162:-1 gene:Dexi1B01G0015200 transcript:Dexi1B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPEEQRRAITMKSSSVALVHAHPATGAHHRVHLIDSPGHADFCSEVSAAARLSDSALVVVDAAKGVRVQTHAALRQAFVERLRPCLVLNKLDRLITDLLLSPDDAYARLRRILAEVNSFYSALRSGSYFSSLLHDDDDDGRRRENGDGDDDDEDAFHPHKGNVFFACARDGWGFRIDRFADLYAKKTGASKANLLRGFWGPYYVDKEKKAVLPLRKESTGSSSTGADHQQPPFVQNVLKPLWKVYQRGLTPNSGEWMQRNVVSVFDLKVSPRELHSKDPKVSLHAVLRAWLPLAESVMEMLVECTPDPVAAQAFRVPRLMPERRAVADGDSGCASIVAEAERVRSCVAACSTSATAPVVVFVSKMFAVPYTMLPSKGLNGELLNHNNQGQHSEPEPEEECFLGFARVFSGVLRAGQKVFVVSPLYDPVKGDAAASGKHAQEVELQCLYQMLGQDLVPIDSVAAGNVVAIQGLGQHVLKSATLSSTKNCWPFSSMTFQVSPMLKVAVEPSNPADLGALVKGLKLLNQADPLVVYTVSQRGEHVLAAAGQVHLERCIKDLQDRFAKVQLEVSKPLVSFRETIQGAGVGLMGSMKTEQQSAERSTPNGRFTVRVQVSRLPNALTKVLAESEELLGQIIEGGCSQFPQDGDDSAATLRHRLIGAIESELEEISEQVEKEKLDSFGVTTSQNGRKEQLGAAFAVLGDCRAKVLKEEMQEGTFLFTVHSHLPVSESSEFLEKLRKLTAGAASAILAFSHWEVIPQDPFFTPKTQEEIEEFGDGASIGPNLAKKLMNSVRRRKGLHVEEKIVQHGTKQRTLAKKV >Dexi8B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:8B:761277:762962:-1 gene:Dexi8B01G0001110 transcript:Dexi8B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIHPSDAAGRAHRAAPEASSDRRAAVYTVWKRSSMGFQGTDGFCVYDDAGRLAFRVDNYSRRRKLCAGELLLMDGQGTPLLSLRPQLLSLHDRWNCYTATEDTLEKKPSPTSQQQVFTMSKCSALQSSDEAVVHMSSSAARASSSSSGLGCKHPEVASAPAYWIEGSFSRRSCKIRRGSDGKEAARIARKNAGVASRPVATLSDDVFSLVVRPGVDVATIMAIVVVMDRICHRPYTPMVCSSQ >Dexi9A01G0042440.1:cds pep primary_assembly:Fonio_CM05836:9A:46042386:46046724:1 gene:Dexi9A01G0042440 transcript:Dexi9A01G0042440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSMSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTDRSTKKRKSGSGAKDTSTLFQILQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARRKKGLGDGTVGMLSWLGIAAVSGSINVLLTNPIWVLVTRMQTHTQAERKILDSKRDLLLKDISRANSLEIAILKDRLVKLESEKPHPYGTLQAIREVYHESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKRLQSKHDGKQLPKRNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTVTP >Dexi9A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:9A:9466583:9467659:1 gene:Dexi9A01G0014370 transcript:Dexi9A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTQLQSHLQWMVHTSTAQQDGDGSSSRQDGDETARIQPAVDRDQTTLPLQEDRHHSTSTQGQTDPPQATQKNRTWSSWLGFSKKSKPCASAHNEGVDIPAAAEIGKLTALHTLGVINVNGAGGNKAILKKELNELTQLRKLGVSGINRGNIKDLFSAISGLHHLESLSLRLDEDNFPDGISLPKPVADTLKSFKLYGNMRRLPASWIEQLGNLENLKLELTISGQEDIGALSDLRFHKTEVITRLCVKLSQGGKLDLGFVWVFSLYSSLAVFEIDCISSSEITFGYSMMAHVELLKVRCWKEASLTFSGLEQLRSLKEVWLMGSFDDDTLKQEMQKQLAVHENKPVLKLLKQCSS >Dexi7B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:7B:21573338:21574209:-1 gene:Dexi7B01G0015520 transcript:Dexi7B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSFGDSFSAASLRAYVAEFIATLLFVFAGVGSAIAYSQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLQFVTHGKAIPTHGVSGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGSFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVSQQEYP >Dexi9A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:9A:9127298:9130386:1 gene:Dexi9A01G0013900 transcript:Dexi9A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLSVVVLLALFSAHHPGRSHAFNLMNLLCTNGSSYSLNSTYHSNVVAILGSLAADASNSTVGFATATAGAAPDKVWGLALCRGDVNGAACASCLALAPTVAFGDGCRGIRDVTVYYDRCLLTFSGEDFLSNPDDPTAPLQYGLNLDVNITGDPAGRFVELAADLVGALSLWAARNSTSRYAAGVATVASGQGFMTTDMDLVHDIYGMVQCTPDHAPDACLRCLGRLRDEMPAVFNGTTGAQFNLVWCNLRYEVFPFYDGSPVVKLVAPPPSTLAPAGASAGRNDANRTGNAATVVAVVLGVILAVAVLVSTLIIFLRRKAQGLLPDGRQIAVKRLDKASSQGLKQLKNELLLVAKLRHNNLAKLYGVCLKEQEKLLVYEYLPNRSLDTFLFGEPEKRLLLGWETRYRIIYGTARGLLYLHEDSQIKVVHRDLKANNILLDGDMNPKISDFGLARLFSDDKTTTVTSQVVGTLGYMAPEYAAMGHLSVKLDVYSFGVLVLEIVTGRRNTDACFESEVDDQGSCTLLSYVWDHWSKGTALETMDSSLGSQAPESEVLKCIHLGLLCVQENPADRPTTLDVLVMLHGQTSSFAAPSKPAFAFVTLSSAGEGINLSGGLPGLGDQGAAAGFSVNEMSVSEFQPR >Dexi9A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:9A:4275985:4278458:-1 gene:Dexi9A01G0007380 transcript:Dexi9A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKKSPREMARGDREQQQQQPAAANSKGQAWQFPLMSRSKKLHDLITNKESREANRRDTGGEQEQDDAGEIREEQAEVEMVLEEDEEADVHRIRLPGFPGGAEAFELAAKFCYGVKLDLTPSTAAPLRCAAERLGMSDDHSDDNLVSRADRFISQSVQRNPRDAIRALKSCEVLLPLADDLGLVSRCVDAIAAKAAASTPTALFGWPIADDARAAGDRHRRKNTSAAAGASWFDDLAGLSLAMFTRVIAAMKERGVGAEVIEGALIAYAKRSIPGLSRTGRHVAGGGTAAAAAPLAPPSSDGDQQKALLETVIANLPEETIKSSAHTGTAVGATAARVLFGLLRTASILHASEASRDTLERRTAARLPDAAVDDLLIPSYSYLVETLYDVDCVERVVRYFLEGRDVAEEGGDEEDECGQAETPGREASRRAMLAVGRLIDAYLGEIATDANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLSEEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTMMANEGAAGSEEEGGDSDGGGGGTWRVATRGNQMLRLDMDSMRNRVHELERECTSMRKAIEKMDRRGGAATDRGAPSSAVAAGADGRWGSLVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >Dexi6A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:6A:25693234:25694486:-1 gene:Dexi6A01G0017840 transcript:Dexi6A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKGAAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRKVRVRIARRRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDETD >Dexi1A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:1A:20631172:20631844:1 gene:Dexi1A01G0014220 transcript:Dexi1A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRVNGFPCKDAKDVVAEDFFFSGLHLAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHAHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAGLSSQNPGVITVANAVFGSKPSIADEIVAKAFQVDKMTVDRIQAQF >Dexi3A01G0034280.1:cds pep primary_assembly:Fonio_CM05836:3A:39491243:39493981:-1 gene:Dexi3A01G0034280 transcript:Dexi3A01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVNAFLKSVMGNLFLLLEKEYSKYRGLAQEAQSIQHDLRMIAAAMDDQLCTLGRHERTAIARLYNMEILDLAHDIEDCVDRFTHRLKCKQHSGGGGAAASLVRRVTHKMKNIQSCSSYSDEIQKLKRRLKEARQRVIDSVPVGQPNGLSTSVMLDASKPCRAVTRNPVGIEKPMDELLSLLHEVQGEPQQLRVISIVGFGGLGKTTLARAVYDNTHVKETFHFCAWVSATDTSSEISQRIKGILRDILQQVVPKDSMDVDNNNLEASLKEYLSDKRYLIVIDDVQMDEWRAVNSAFVDNSTSSRIILTTTIQSVANLCSHGNGYVYQMDTLGEEDSKKIAFPGIRSPELEQGSSALLGKCDGLPLALVSVSDYLKSSVEPTGELCAKLCRNLGSHLKERHDHDNFSDLRKVILDNYDSLAGYALSCFLYLGIFPNNHPLKRKVVTRRWLAEGYARSESLRGEQDIADENFDKLVDRNIIRPIVTRNNSQVKTCKTHGIMHEFVLHKSLSHRFIRIMSPDHPRVGSNANSARHLSVHDGKLTECEASDEDLSRVRSLTVFWDAGGAISYVCKCKLIRVLDLEECTDLKDNDLKHICKLCHLKYLSLGYSIHELPRCIDGLHCLETLDLRRTKIKSLPLEAIQLPHLTHLFGNKLMLDKNDLNNTKKMSKLEKFISGKKSNLQTLAGIVADGSKGFICSKKEDSKGFLQFILDMDKLRKVRIWCKQVVNSDSYICDLSKAIQKLTKVPMDRDNDCSLSLYFEETGEDFFSSLNLEPCSEGSKYDLRSLKLHGKLLLLPPFVTLLSGLTDLCISSAILTQGLLSALAKLGKLLYLKLIAELLEGFEIKHGAFPSLRHLCFQVQ >Dexi1A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:1A:26584051:26584284:-1 gene:Dexi1A01G0019490 transcript:Dexi1A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRKSSFMIPSADTYARAAVRHIGYEPSMRLGMCIKIRKKGQAKDAKKKAL >Dexi1A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:1A:26247791:26249996:-1 gene:Dexi1A01G0019070 transcript:Dexi1A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGAEMESRKAEEWASQLQCWSLQLINCFAFKPEFLHDICKADFLIKLPGMWGGLVNENSPAGVGLLRTICQSKVGRGHVANIPGTIDALCNIARSSDDWQYMAVDCLIWLVQDASTCHKVIDKVAPTLIDLANISTLGDYKKLGDTIVTVLQECMQQSGSLRGTISTQTKAEIDELLRSKQSLKLEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNIEGAAEKYSEALALCPMKSKKERMVLYSNRAQCYLLLQQPLAAISDATRALCLHSPVNRHAKSLWRRAQAYDMLGFAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREAALKHGGVHCDGDASDAFGQEADDSEWETASESDAENDARGEADDETEWKNDGHQEDFCEKS >Dexi1B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:1B:22830642:22830918:-1 gene:Dexi1B01G0016330 transcript:Dexi1B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTEVKLDYTPTWIVASVCSVIVIISLLFERLLHRLGKKLSKSHRKPLYEALLKVKEG >Dexi7A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:7A:19667323:19668554:1 gene:Dexi7A01G0008400 transcript:Dexi7A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFGVGFTNQAAPLFLAEISPPLWRGVLTAGIQFFLTLGILIANLINYASARHPWGWRLSLGLAGAPAAAIFLGALFLTDTPSSLVMRGRSDRARAALLRVRGPDADVDAELEDIAKAVEAAARHQSEDGAFRRMATRRGYRPHLVFAVAVPVFTQLTGVIVLSFFSPLVFRTVGFGSNAALMGAVVLGAVNLGAQILSTPVIDRYGRKVLFMAGGVQMMVCQLAIAWIMVAKLGKSGEATMARPYAVAVLVLTCLHSAGFGTSWGPLGWVVPSEIFPVDIRSLGQAMNVSISLGLAFVQTQTFLAMLCRFKYATFAYYAAWVAVMTVFVAVFLLETKGVPLESMGTVWVKHWYWKRFVQDQEEKSTVALP >Dexi5A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:5A:8058187:8065843:-1 gene:Dexi5A01G0010770 transcript:Dexi5A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDQEAQRPLLATAAGSSGDGHGQSASAASSSSIVVVVASTAVAVAGSFEFGISVGYSSPSQPGIMRDLDLSLAEYSVFGSILTIGAMLGAIVSGTVADRFGRRFAMAISDLLCILGYLLITFSQNFWWLDIGRLSTGCGIGLLSYVVPVYISEITPKNLRGGFATVNQFMICCGASLAYVLGTFITWRALAIIGVVPCLMQLVGLLVTPESPRWLARFGHPGEFEAVLQKLRGKGTDISGEAAEIKDFTEKFQHLPKSKMLDLFQKDYIHAVTVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTGLGVLLMDNSGRRPLLMVSAAGTCLGCLLVGLSFLAKEDHWGKDLNIWLALAGILIFGGSFSLGMGGIPWVIMSEPAEDNFGQSGTFFIFATICGLTVVFVERLVPETKGRTLEEIQASMNSSLTGPVHK >Dexi7A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:7A:21535177:21540902:-1 gene:Dexi7A01G0010860 transcript:Dexi7A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEVAGDRRFTSLHPAPTPLSNIQIRQLRSLLRIPSRSPSDYGLSYSAEAGGWPARRERAVRRHSSPDGDTMVPDSVAWPHHYKARNRRFELREELEEAQGSSAERRSTEVLSPTNSLKFLDKACINHGKEGAWSRCSRTKVEETKTVLRMLPLFVSSVIGYLSNPIIFAFTVQQGGMTNTRLGKIHVSPATLFVIPITFQMVMLAIYDRFIVPFLRRRTGYASGVTHLQRIGIGFVSMILASVIAGVVERKRKEAVVQMSLFWLTPQFFLLGVENVTSFPGLLEFFNSEAPRGMKSIATALFWCVQGLASLLATFLVQAVNRATRHGQKGGWLEGTSLNSSHLDWFYWVVAAVGLLGFVNYLYWANKYVYNQDPHIVVEPSVDQDSP >Dexi2B01G0036390.1:cds pep primary_assembly:Fonio_CM05836:2B:43230061:43234817:1 gene:Dexi2B01G0036390 transcript:Dexi2B01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSTPGSMALVVMCGQPCSGKSAAAGCLAAALRSSSTDLTVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSQVDRSVSRDSIIIVDSLNNIKGYRYELWCLARASGVRYCVLFCDTEVDHCREWNRNRQEKGEPAYDDNIFEDLVRRFEKPERRNRWDSPLFELFPSRDEIVESAPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTVRTSEANSLYEMDKATQEVVNAIVEAQPCGLGLAVNKISVGPNLPTISFAH >Dexi8A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:8A:26251641:26252123:1 gene:Dexi8A01G0015220 transcript:Dexi8A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVRASTAYLRKTEDSVDFDLTYYRSLRDEPASPRLHQDVIEEVQQMALRRYGGLPHWGKNQNATFEGAIGKYGAARVAAFMAVKRVYDPDGLFSSEWSDQVLGIGGGGGGVSVVRNGCALEGLCVCSQDSHCAPGKGYLCQPGRVYKEARVCRRVDDS >Dexi9B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:9B:7445614:7449467:1 gene:Dexi9B01G0011540 transcript:Dexi9B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAASAARICTRSSGRTTIPTVVGDADWPTVPPPTERRNAPPTGRCWRVDAAPFGLRLDRSELGPNHKLPVRFPGATQTPCWVEGDAAQPYSGRVTIFNFVLDELPAHHMACPPEQTHASSSNYYADSSTCTRRLINLATLDGKVSAAGLQTYHTYNDETSTTFWEDDWYGSSSELSTATSCGTAPQWIRLLPLHWGLTTSLSLA >Dexi6B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:6B:19814231:19815091:-1 gene:Dexi6B01G0012220 transcript:Dexi6B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAASAPDLSLHISLPSSASSPSPSAAPGRLAGAQPAGGGDPWRRLDGSTASTELSLSPPPPPRQETTPAGDVLPWRLRPTATNKYSSSAAAASSSSSFAAEASSTFVPVTMSRISLDVAAAARERPINGVPIYGTSPRVGLYNYNPYHHSSSWPSSLRSTTTSPAAHGDPAAAAFLSPSAYHRMLSSTGRLHLHGVLGDTLRGGYGSGHHQQHLGTSLAASARYMPKFPASRRGMRAPRMRWTSSLHARFVHAVELLGGHERE >Dexi4B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:4B:9951390:9959270:1 gene:Dexi4B01G0012210 transcript:Dexi4B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPSPSAPFELSMLFRQPPNPNQPSTAPTPTGIFPGAPGPAAGPPPTGPYSYPPATPPFHRGPYLHYPNDPHAFHHPAAAAFANSNPTANPVANPGPGPNPGVRLMQLLGNTAPIHLESAASMPPSSEFSAAAPTAVLPASSSAPPARMLSSKMPRGRLLGPGDRTAHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRSYIVYGLKLGNIRVLNINTALRSLLRGHTERVTDMAFFAEDVHRLASASVDGRVYVWKIDEGSDEENKSQITGKIEIAIQIIGDAETYHPRICWHSHKQEILYVGIGNCILRIDITKVGRGRDFHTEEPLRCPLDKLIDGVNVVGKHSGDITDLSISQWMTTRLASASKDGTVKVWDDRRAAPLSVLKPHDGQAVYSVSFLTAPERPNHINLVTAGPLNRELKIWASTNDEGWLLPSDSEEWKCTQTLELVSSLEHRSEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGQDPASTRLDYIADFTVAMPILSLTGTYEAQPDGEQVVQVYCVQTMAIQQYGLQLSLCLPPTADNTAAGKDPVISHLNERLQEMVPLESSAGTTNVDSSTAMIKPSSDSQSTAPKSKTSQVGSPVVLSRHPSGSDRDRDADQSSFGRKDSFGKEEPRGGQSDGVLTSAETAVSGSSQNVEAEAKYVDERKSNRLVEFDAGNETHILPEKQERPAKPSEQTVDTTSERTIVTDKYSVEDSQPPADRSVPTILKQSSGAGDEDAVRRATEAFKGIDGPCASRDLPLTSADKGAKVVHPQPQVSGQLSPSTSTFSSTDSLHEPRSNGNPPIDSSLQAAAIQETLQQLVATYSNLQKQLSTIVSAPIAKEGKRIEASLGRNMEKSIKANIDAMWARFQEENVRHEKSERERMQQMATLITTSVSKDIPVMLEKSLKKEISSLGPAIARTTAPIIEKSLSSSVSDSLQKVLGDKVVNQLDKSISTKLEGSIARQIQAQFQTSVKQTLQDAFRSSFESSVIPAFEQSCKTIFEQVDGAFQKGMSEHSAAIQQQVLAAHTPLAQTLREAITSASSTNQGLNSELLDGQRKLLSVFASGSPTSQNTRALQPSNGPVANLPEVDAPLDPIKELGRLIAERKFDEAFTMALQRSDVSIVSWLCSQVDLQALCGTVPIPLNQGVLLALFQQLACDIANDTSRKLQWMTNVAVAIQPTDPIIAMHVRPIFDQVYGALAHQRSLPTTNASDATNIRLIMHVITSVLMSHK >Dexi6A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:6A:28018736:28020703:-1 gene:Dexi6A01G0020520 transcript:Dexi6A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPTTHQPLANYKAATSQSTDTSESAKSSDIREELSVKEGSRRDMALPTNSSEQSSWLESGNNAANGKDQEQLVKWLLETDLPMDEPWLNFASSNDDVLGIVEGSLPWDGATDWLLDYHDVGMCSSNLNNPTLHNSDGSRL >Dexi8B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:8B:3659776:3660515:-1 gene:Dexi8B01G0004160 transcript:Dexi8B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLTTSAGARETPRPPTLSLLPPYYYVTPMLGPWNQGHLMQQCHLDEHRTGLLRRSGEDGEFAVAELKIVEAASEDTPKKKQMVAELLLFRHGRWSVERPKTINGEGENAAADLLSSWTTRTVLPVGDTDLCWVDLYRGLLLCRVFDRCPVLRHVPLPVETMEMEPLTGPGSARNVCVSGGGHMVKLVVVFPRCCCGGAGSTHCRHSHYAYTISTWTLKMDTMAWVMDGMVDATELWASDA >Dexi3B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:3B:10733845:10741320:-1 gene:Dexi3B01G0014910 transcript:Dexi3B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVSHSCVGNFTSPNQLNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATIELFRPHNETQDFLFIATERYKFCVLQWDAEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLHGCVKPTVVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGTLHLLVLTHERERVTGLKVEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADASGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPAGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCLDLNPIGENPQYSSLAAVGMWTDISVKIFSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGNLFSFLLNASTVHPFFLQCHSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNQTSMEESETHYIRLLDHQTFEFLSTYPLDQYECGCSIISCSFAEDNNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLTAINQKIQLYKWMLRDDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEERARDYNANWMTAVEMLDDEAYIGAENSYNLFTVRKNSDAATDDERARLEVVGEYHLGEFVNRFCHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTAEARNFLDGDLIESFLDLSRSKMEEVSKAMGVPVEELSKRVEELTRLH >Dexi9A01G0034860.1:cds pep primary_assembly:Fonio_CM05836:9A:39571792:39572001:-1 gene:Dexi9A01G0034860 transcript:Dexi9A01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRGPHTAAAALSVSTQQPSSQGAQDRRSQGRKGPSDLHLAAWAAGVGDAAAPELASHGEEAQPRIE >Dexi1A01G0028940.1:cds pep primary_assembly:Fonio_CM05836:1A:34503681:34511712:-1 gene:Dexi1A01G0028940 transcript:Dexi1A01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAVVGDEHMAASTSQHALSSIKFWSTSNFSLEKPTCHVHSVSVGRRCPSARSLGLVCASNSQSSVVEPVQLPGSPKSSITPKKSSESALILIRHGESLWNEKNLFTGCVNVPLTPKGVEEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHKWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIIPQLAAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKNLAQYRQKLDGMIHCMSNHNAPCDIPKPASVDEFLVKNGKKKKSFMSGLFRKKGRDKRLLSRRDRDIVFDFEGKSGDREFLDASSVGIRKSFSDRHCTTRIENLSLSCLDSPRRPNVDTREYRVFVGTWNVGGKPPDSSINIEEFLQIEGLPDIYVLGFQEIVPLNAGNVLVAEDNEPAGKWLGLIYQALNRPPAHDTQSSGDELSPPPASTSSQTRPGARGDSGNAAIPKSSSAGVLFPQKPSFKAITKSYRVDNALVKTCTCMSDPCTMQRRAREMREFLYRVEVEAAAVSSASASPCRGAAADDYGAPPADGGDHRSGAGMNYCLVARKQMVGIFLSVWVRRELVQFVGHLRVDCVGRGIMGRLGNKGCIAMSMTLHHTSICFVCCHLASGEKEGDEVRRNSDVAEILKNAQFPRICKVPGQRIPEKIIDHDRIIWLGDLNYRVSLSYEETKMLLEENDWNTLLEKDQLALERQAGRVFKGWKEGKIYFAPTYKYRQNSDSYVWETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGEFRLSDHRPVCSVFVIEADVDNGSKIRKGYSTLDARIHCESHAIPKRHSFYDDF >Dexi6A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:6A:27009352:27009780:1 gene:Dexi6A01G0019380 transcript:Dexi6A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPAAGASFSRLSFRRAVCPSPLRLPLSRIPPPGRIRVSSTVVALHKRNPKRLKYAAERQFTRGDAGMLRVKVEPTGEDFWKLDPVIDLINQGAVGVIPTDTHVPFS >Dexi2B01G0028770.1:cds pep primary_assembly:Fonio_CM05836:2B:37325618:37326292:1 gene:Dexi2B01G0028770 transcript:Dexi2B01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAGDLLALSDDHLAEILIRLPALSDLGRACCACPTFRRVITAHSFLRRLRRLRALHPPALLGILSHAFIPAEPPHPSAAAASAFADAGAADFKCSFLPSPDRWRSQDARDGRVLLSAVPEDPTREEEEESDRRALVRELAVCDPIHRRYRLLPAISDELAALVHRPEMVDFQPFLAPSLQDKGGTSFLVICLAECTSKLVSSSSLRAIGSGMLLNSMAGGL >Dexi5B01G0022290.1:cds pep primary_assembly:Fonio_CM05836:5B:24518034:24519599:-1 gene:Dexi5B01G0022290 transcript:Dexi5B01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEDRWRRPISRCHADPHGDEPEEGEIVPGDHSDVDTEEYYNRHCPLLSDDSDETISDCGDNAACSSAPASYGDDATSPSPPVAAANSDGGNAISSSPVVSASNNGGNASSSTAAGAALACPICGKEFRSQKAVCGHMKVHQHHAFVGSQKDKGIKRAAVAVVGAWGGSGKRGCFGLGSKQQAASPNNGAGPDQSMAIVVAEPQIVLQPTPLAFAPPNPSPVPTASVTPTQSPVSTSPASPTTQSSPVPAATNVSVQSSTAQPTHNDVAMDTVAVGPSNNPPPSESEAVVVHQHAAAPAPAVQHAAPIADHQHQPAAAGRQNPNGYSCPECDMWFRTHQGLGGHVAGHRNREHAAAAAAGMAMVPGSGDDDGAPSCRRNGKPEKAHVCKVCGAVFAVGVQLGGHMRKHYAVPPIVPNKKPRLVQPLVALPPPPALTLALLPANADGAASPAAPPAVELPLQHDLAPTVERAPEPAPPVTATTVGRVLLFGIDIGVRVQKPAAQEEGPSETQGSASTEQ >Dexi3B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:3B:7829656:7830449:-1 gene:Dexi3B01G0011120 transcript:Dexi3B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFNAEWSAAEIRIVRSLIARDNANKNDADATNINHNDIVNDIQASFPWKEKHQVTELYAALGVEMIQPIQSGNNHMVQGYSKRHWTKGEHRQFLRGLRVYGWGNWTKISEYFVTTRTPTQISSYAQKYTCRLESRYKKQRYNINNGRLHDAKPSAQNNSFSASQASSSQGHLD >Dexi4B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:4B:3132910:3134492:-1 gene:Dexi4B01G0004360 transcript:Dexi4B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASAAPPVRRRGIRALPFLHRFFRLLGAAATKTFRRRRPCFTARVARPAREPKPPEAAEEPQEQVPWAARDPDAAAEEEEADAAVVAGKYWAHRHSLFSLYDRGVRMDAEGWYSATPESVAASQAARAAPGDLVVDAFAGCGGNSIQGCYVVAVEIDPRKVELAAHNARVYGVEDRIEFVVGDFFLLAPFLKADLVFLSPPWGGPSYIQAPIYTLDMLKPKDGYAAFQAAQKIAPHVIMFLPRTVDQSQVEELSWLSCPPLDFECEENYVHHRLKGITAYFGKTAGPPSALSKLDDEQPAD >Dexi1B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:1B:12317894:12318229:-1 gene:Dexi1B01G0011880 transcript:Dexi1B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNAYAIHRDPAIWEDPEEFRPERFEHGKAEGKIMMPFGMGRRKCPGENLAMRTMGLVLGVLLQCFDWSRVGDGEVDMATNTGPIMFKAVPLEALCKPRANMSAVLQKI >Dexi6A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:6A:5158298:5159260:1 gene:Dexi6A01G0005630 transcript:Dexi6A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEPPRPSSRGGVKLQMTLSEGQRLCVTALSLTNPAAASQPMVRVWAQVGDQELTIGMVSPEQPAVAVPAPVEIADGEFLLCHDSASSGVRLYCYYLDPSDLGGDGELMIRRRFVQDIEALGMDDEEDEEVHDEFESLTDEDLAERYDSDNGDDEGDEVPQEFEPLTEEDLAEIYDSDKGEYDDDDEEYHQPRKLRSGEAAGEETSSKRRKSIPLVAAPAAIVVPDGKLLGPARFAAVNNTAGFMRIAASEDGTSTAASQVGSKEIAVLYRYTRFSRTWSGRRGVEACRRTKLHWLRFAVPAAGDMASSLAWAGASL >Dexi1B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:1B:26007971:26010101:1 gene:Dexi1B01G0019780 transcript:Dexi1B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFLFLVSFIGLLALVPLRKIMIVDYRLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYKHRFFFDFSPTYVGVGMICPSIVNVSVLLGGIISWGIMWPLIAKKKGSWYPADVGDSSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFISMVQQNSKSMLPVSDNGSSMSTAEAMSFDEERRTELFLKDQIPKSVAYGGYVAVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLAHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCIFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFGSLPKNCLTLCYIFFPVAIAINLMRDLTPNRVSRFIPLPMAMAIPFYIGSNFAIDMFLGCVILFVWERLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRATNAKVDNFLGVS >Dexi2A01G0027500.1:cds pep primary_assembly:Fonio_CM05836:2A:38897884:38899820:1 gene:Dexi2A01G0027500 transcript:Dexi2A01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPANVIREIWRTQRADGPAAVLAIGTANPVNCELLSAHPEFLDPHSPSLDARLDIVKTAVPELAADASRRAIAEWGRPATDITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAGALRLAKDLAENNRGARVLVVCAEINVLLVTRPEEECFQMLVKQGLFGDGAGAVIVGADPTTTKTAAERPLLEIVSTAQAIIPESEDFITMHLTKSGYGGNISVRQIPVLIGDNIERCLLDAVEPLGDIIGGAAEWNDLFWDVHPGSLAILDKVEAVLQLKHEKLAASRRVLSEYGNMMGVTVIFVLDELRRRMEKGDEDGAPEWGVMVAFGPGLTVETMVLRRCVAQGTGAMAEEKLTGA >Dexi9A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:9A:10069800:10070838:1 gene:Dexi9A01G0015130 transcript:Dexi9A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATASLPSGAVTSSSSSKRKRDTEETESGSDEPQQVEQPTSPTPAHHAVLRTFPSLQGLVDVCRYELDGSTTPPTAAVVRLIRGLMDKIGPDDVGLGEELRFFNEVNTTGRKNEPIISCKPIFQCKNFEIAVFFLPQGTVMPLHDHPGMTVFSKLLIGWAHIEGYDWIRGPRVFSGPGSSKLAEKVLDKDVTEDSGAWVSFPDAGGNMRRFVAGEETHCAFLDIRTPPSSTADRRRCTFYKDIPYEPCRCKHLIL >Dexi5A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:5A:4529886:4530284:1 gene:Dexi5A01G0006100 transcript:Dexi5A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELAAVCQESDELPPFTVVSETLQLTQSSRHIATKTIPCKAKSCKTREVGERRWDSSTESVVRKAKNFHSGEHIADISSDSPRQHVYSYLQICQRGQIVQGVRKHIGEDVVIEHKELEAS >Dexi7A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:7A:27461619:27464075:-1 gene:Dexi7A01G0017910 transcript:Dexi7A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGVGGRDERVPQWGAQETRELIAARGEVEREADAARRSAKTMWEAVAARLRERGYRRTADQCKCKWKNLVNRYKGKETSDPENGRQCPFFEELHAVFTERARNMRRQLLESESGASVQRKLKRPSGGRSSGESDDENDGGEESEDEKPMHSRKRKADEKKQQSQRMTEKSRTGTSSIHELLHDFLAQQQRIDIQWQETMARRAQERVVFEQEWRQSMQKLEQERLMLEHSWIQREEQRRMREEARAEKRDALLTTLLNKLLQEDL >Dexi2A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:2A:29139380:29141496:-1 gene:Dexi2A01G0017320 transcript:Dexi2A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQAQHHHLLLRHRPLSLLRPSPRLLPTRPSRPHRLLVPARAVSTAASTAPPEATALEDLRRWLSSHSVGEGKALPAAVPEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGLRPWVAVALLLLREVARGADSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFESVEAEIINANKDLFPATITFDDFLWAFGILRSRVFPELRGDKLALIPFADLVNHSANITSKGSSWEIKGKGLFGREVMFSLRTPVDVKSGEQIYIQYDLDKSNAELALDYGFIESNPSRDSYTVTLEISESDPFYGDKLDIAELNGLGETAYFDIVADEPLPSQMLTYLRLLCIGGTDAFLLEALFRNSVWGHLEMPVSPDNEESICQVMRDACKSALGAYHTTIQEDEELMETENLPSRLKIAIGVRIGEKKVLQQIDDIFKQREEELDGIEYYQERRLKDLGLVGDNGEIIFWET >Dexi3B01G0033340.1:cds pep primary_assembly:Fonio_CM05836:3B:35957697:35963165:-1 gene:Dexi3B01G0033340 transcript:Dexi3B01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMDVDLDGGASAAAGDIDSPFSASPASASGSLTAVLNELAALHRRASSSSAASPSLSLPSITFLSSAPAAVAPLFPRLAEAGIPASSLLPPLEASLSAHPLPAAVAYLRLLLAPASPLLTLFSPLPFLSLLLAIRKAAASAAGAANPSSSSAGGNPRKRKNQRHRPSASPRAAPSLLPRALSLLADVAGRLPLRAHPDARRSLVDTAAELAAFDVLAAVLGSDYHAEAVQDVIRALAPVVLTASKSAARVAAVQFLVTKLVPLGAQEGEDVVRKAVGYLPRYLAVKAPDKADARALAVEAIVEVVRALGAEERDDFAGYVVAMARGKAKGRLLAVDLVLAMLPVLLPSDGDDCDLEEGSWGLKCLRMLVERCSDIVGGVRARALTNAAQALDVFSERGVEVERLQEAMRVGDMGLGELLRRRCTDDKAAVRKAALVLITKAIGLIGRPVDESLLCAMGAACSDPLVSIRKAALAAISEVFRKFPDEKVMKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQAANLNLGDDSVTLEEVFPEGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRSHKPIENWTAPIGSWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDNDRGKACSQVEPNSALWAVNRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGDALILKWAQQLIYSAVEILNQYIKEISESARGHSFVTPLNSKCKGKNQTFASKSTSEAVIAVFTAGSLILSCPTANVKDITPLLHTIITSGNSEPRPKNLVGGTISFKELAPSLYIQAWDTLAKICLVDDKVAKRYIPIFVQELERSDMATLRNNIMVAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVVFLRFLPCLVDESEKIRQLADYLFGNILKAKAPLLAYNSFIEAIYVLNDCTGHGAYSESQESSQRRPGLFAIRGTDERSRSERMHIYASLLKQMAPEHLLATSAKLCAEILAAVCDGLLSVDDAGGRAVLQDALQILACKEMRIHPNICAENTEMDEEGGEGGGTASALSAAKGRVVTQVAKKNLIQIAVPIFIELKRLLESKNSPLTGCLMECLRALLKDYKNEIEEILVADKQLQKELLYDMQKYEAGKGKGKATANAEAGPSGTTRTPARQTPAVRSVMKEVNRNVPTPPLHSMSVPKVKSILGTAGPAGSRRPGILESVSRLQPFESDDEN >Dexi8A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:8A:27566581:27572291:-1 gene:Dexi8A01G0016290 transcript:Dexi8A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVLVVLPLGLLFLLSGLIVNTIQAILFVTIRPFSKSFYRRINRFLAELLWLQLVWVVDWWAGVKVQLHADEETYRSMGKEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIVPKDSPQPTMLRILKGQPSVIHVRMKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDNHLATGTFDEEIRPIGRPVKSLLVTLFWACLLLFGAIEFFKWTKLLSSWRGVAFTAVGMALVTGVMHVFIMFSQAERSSSARAARSRVKKD >Dexi3B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:3B:267218:272758:-1 gene:Dexi3B01G0000330 transcript:Dexi3B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNHPERQDNLETLGERSGAELSRASTESKVRLIELSPPPHESLALTATRSLQVPPVDLDLTRLPLPPSAPHLLAAAAVLGLLGGLLLYMLPRKRGVDAGEVQDLQNKAPRPAHQHKDDPAQMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLAKEQLSNFQAVVFTDISMEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPDFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAVNEPGEFLMSDFSKFDRPPLLHLAFQALDKFRAELLRFPIAGSADDAQKLIDFAININESLGDNKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQHKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVVPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLERDKCETFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAIIEELIAKLEAISKTLPTGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYGRHLDVVVACEDDDDNDVDIPLVSVYFR >Dexi9B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:9B:10973054:10974438:1 gene:Dexi9B01G0016010 transcript:Dexi9B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWADLPPDLLRLISGNLHEVGDLVRFLSVCQAWRDTAPLPQFLPWLLAQRGKYSPSIARFRSIFSTTTTTTWCAPGTYSRRTMWLSTEDATAMWSLTSEAGPSQSSLRLVDPFTGVATALPPFAREIGGYKLYYTDGFVLADVTFVLYGVEDLDRMGSAVTAAVLLPGDTAWMEGGALLIVYPGFCGGWAATYHDGEIVLVDPLHADTVRLRITRGGGGNTGDVLDVTANTTSREDPPWSRSPGPRPRRTYTFKSRGELLVACLLVQDMAEQDGGVDQPRALAGAMSVSVYALEPEEAADDGVDVVRRWVERDGRSLGDRVLFLGCPTSFAVDAARFGGAISGGCAYLVLSSKNAGWSWRNAPETCRVYRYSFEDGSTTVVEELPTGAGWDDDANMTWVVPRPSAIAPVRRIRERLVQDPKKQR >Dexi3A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:3A:12991183:12992161:1 gene:Dexi3A01G0017140 transcript:Dexi3A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADRRAKPPAAPPHHLEPWAHQPPPAAAHRMPVLPAVASPAGGGCAAHDRRRSSSHRRGAASQVVGEETYDGGIEALRAKLMGHLRDAADRLRVPHPSRSPSLSRHPPPPPPRPKTTSPPTETEPEPELRAPPPPPAPPQQQEQQADAAATRPWNLRERSRRRPAPKKSWAASPSAPPPPPSSRRRRKRAPFSVSLTSEEIEEDVYSLTGARPRRRPRKRPRAVQRQLDSLFPGLWLTEITADAYRVPDE >Dexi7B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:7B:12757595:12760301:1 gene:Dexi7B01G0005320 transcript:Dexi7B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALRSLLLPDPLHRLPAAASSSAAAPPVGRAPRGGGRRPHLRCCSGGGGGEPGQPPQEAVLEAISKIARSKGRVALTTNMVMGGTVTDDKSDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESIPKGRVSQKLSSRGKYVSVNIGPIRVVSSEQVRAVYRAMRSDNRMKYFL >Dexi8A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:8A:26859093:26860210:1 gene:Dexi8A01G0015780 transcript:Dexi8A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSPATVTDDGYSASTAFAETSTGWHVLKVENYSQLKGIGVARPIKSSTFTVGGHSWCITFFPDGSTDQTADWVCFGLGLVRRRRGGGSGEDNIKVRTKFTFLDKVGEPVTSSSMVTGICTFSTRGPSWSYAYPQVIKRTVMESCHVSDDDSFCVRCDVTVVEKICHGVSSEVPIVVPPSDLHRHLGDLLATGVGADVTFEVAGETFAAHRAVLAARSPVFMAELFGCPLKERASTRVRIDGVEPRVFEALLYFIYTDALPPTDRGGDDDGKIAMAQHLFVAPDRYDVERLASMCEFTLYLLMNASVAVSTLVIAEQHGCQRLKEVCFRKLKSFENYKQVLVGDELEYLARSCPDTMPQCQ >Dexi8A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:8A:1677225:1681819:-1 gene:Dexi8A01G0002570 transcript:Dexi8A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQPSMAHTMDSAGSSVATAALAPNGAAPASDDDGVPEVASCICISSMVDRGGSVESHRLFLARRTALEMLRDRGYSVPEADLARTLPEFRAWWDDNPELERLAFSTTLATDPSNKVKVVFCRPEPVKIAVIRELYQGVKDDNLSRLILILQSRIMSKARESIKEIFPFKVDIFQITELLVNITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDISG >Dexi9B01G0026540.1:cds pep primary_assembly:Fonio_CM05836:9B:28746474:28748491:-1 gene:Dexi9B01G0026540 transcript:Dexi9B01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGASATATLSVTAAAAAALRVRQPGARVCAWDPSQQARQGPLLRLKPCASLAPHAPAWRAESDGAGGGAGPGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAIDPNSDIRLFVNSSGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILANKRNVIRLVSGFTGRTPEQVEKDIDRDRYMGPLEAVDYGIIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >Dexi5A01G0022210.1:cds pep primary_assembly:Fonio_CM05836:5A:26135079:26135989:1 gene:Dexi5A01G0022210 transcript:Dexi5A01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGAGKMSSSSVHSDRDAELGRLSGPELVARLQVTTRRADYNAAARVLDARDRRLAEAVDELAKAKAALAELKTGLDSANASLQALREKYNAFKAARSGPITGSSRGAIADPPPRGDGRAECADKKSDEVIDLCDSSDDEDALEEGEFRPDVARVSCKAADPTREGGEGRRPGVSCKRNAPAAHEDASESDDEDDRIPLSRLMKKRRKAKPVSNGVPKNGHVDAQVNSVGHLEDHPTERPLVNLGEPKASAGKRVDASPKLKEAREGEDLPIVGGKRTLQGNADSSAHRFRCWEQG >Dexi8A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:8A:7623343:7624115:1 gene:Dexi8A01G0007050 transcript:Dexi8A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILHQQDTTQAVQPLAPVPLAGQHGSEQSTVVSYTAASHPFLKKPSMSAVAVVFPLGLSHAMAAAAAVFCPCLGLATAFAGPGGRCRLPERKMGLAVGLRASTLLHVSGLIGHLMQVHIGFLMRWQREREERDQVVVSRGNRLIG >Dexi2A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:2A:841370:841849:1 gene:Dexi2A01G0001270 transcript:Dexi2A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAPLARAGSRERDLAGERSPAAAFLAGQRASEALLQRRRDEKGAWRRGGGGDARVARVAPGGDDAGVYFVPDKPGLLHPKITEFRGSEHEVTFVERLFKWATVLTKMTVTFNSLVAESMSKKQYRILRSFSRPEICMEFYTSNEDMVEEMYAPKD >Dexi4B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:4B:1209246:1214567:-1 gene:Dexi4B01G0001950 transcript:Dexi4B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDEEMCDASSSGPASPCGGAEFDEDGAVEEGEAGDMGEGVMVMEVTWFQVDLDYEFDAPRWFDLAQEEPPLEAAVAQGWFASAPSYPPSPLIAKMIAEDLGFQTIRSIADTDVVHCSTAPNEFSSGAEQKIHRVVGQNLNFETKKPVAVRSDRTTISSNDCTYQSAKRMRLENGHLNKAPAATNQHEFIHKNHEKNVLNRNMDRPTGPPKLKITIPREPELATKLRAERSKVLRPMPTDSKQLKQRAAPPASTAQVASTRMVVQPRRATGHQHASKKHDDVGSNVPACTSNHSKHLNNVDKKPEDCRDDLFKFKARPLDRKILATKGDVGVLRCAKKNTTVPKEFNLSTSRKGNPAPLSELFNKLSLTTGALQRHGVERQISNLPNYITTK >Dexi5A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:5A:9318316:9319917:1 gene:Dexi5A01G0012390 transcript:Dexi5A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRKKPVLISFSFFKLLRMLDLEGCWWLTNEDLKEICKMSLLRYLCLRRTNVSQLPKLVGVLKELVTLDVRETSIRELPDTITELANLKHLLGGRLKQLIVDNAPNLDELRFDGGAPNLERLTLAFEREPANGIFGIENLPRLKEVEFFGEVIVDSLAHLQKCSPKTTYFIVSRAKTFTILGIGAEE >Dexi3B01G0024480.1:cds pep primary_assembly:Fonio_CM05836:3B:19107025:19109800:-1 gene:Dexi3B01G0024480 transcript:Dexi3B01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGARAPPLLRYAILVLAVVAAHVSLLVRAQDTVAAGRPLSGDAKLVSRGGKFALGFFQPDGGVSGRWYVGIWYNNIAARTPVWVANRERARLRSVSLAARHHARRQPRPSRPLGLPCLSFDHIGDTWLPGGKLRRDKVTGAIQGMTSWRAHGDPSPGMYTLQLDPTGAPQYVLLWNATREYWLTGDWNGRFFTGATEVAASGGDSGYAFRFVDNKRESYFTYNFADNSTVYRFVTDVSGQVKGWFWVEALQQWNLVYAEPKAYKRGTIEIAVAAVAIACSVLGASILFVRTTMARRTMKLVTAEGSVITSFKYRDLQSVTKNFSDKLGGGGFGSVFRGQLPNGGTTAIAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLIRLLGFCSEGGDRKLLVYE >Dexi1B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:1B:2800353:2803756:1 gene:Dexi1B01G0003530 transcript:Dexi1B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSNGGRRFGDSCHFVANARPAAARVCASLDKEAAAAAAARPRSSRNRRSRKATKSGSTALLAADEPAEAKDGGFPAEAASAGAGGRGMVVLDDVIVNPVGLGRRSRQVFDEVWRKFSRLAQMSSASSAAVAERDPAILFPGGPMCEFTVPGARDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPRSVDIVVGDVGDPASVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYCNQLAQSRAGFVFTRGGYVEMSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRIPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSQEASDPRNFELKMEFIKALPSGQETGIVLVSCTGSGIEANRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGSRISQGISSADVADICVKALHDSTARNKSFDVCYEYVSEQGNELYELVAHLPDKANNYLAPALSVLEMNT >Dexi9A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:9A:3503745:3509345:1 gene:Dexi9A01G0006200 transcript:Dexi9A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPPLAVARSSSPPHTPVASSAGASSPAMQTNVGRQASRVDSSSQVAAHANHPSHSFDAAGTAMDSAPSCRPWERGDLLRRLATFKPSTWASKPKAASSLACAQRGWVNIDLDKIECESCGAHLIFNALTSWSPVEVANAGEAFAEQLDAAHQNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEYMRMTRSAQIDRLLSQSIAFLSGVLGCKAESTPGVDIHHDFSCSYSQAQKLIALCGWEPRWLPNVQDCEENSTHSAKNAPSVGPDEPLYPHFVEHNKNSFSASAKKDKGKGKLPLRDSGCSMRSPLLDCSLCGATVRMWDFRPVLRPSRFSPNNIDVPETGRKLTLTRGISAASGINEWVTDGVERGQDEGRDEAATNEGKSLSLVGVDLNLTMAGGLPSPRSATPAASERFNNGGMGRDLMIGQPTGSEVGDCETSYESRGPSSRKRNLEEGGSTADNPQDRLQHADSIEANFIDRYGEEVDDAAQDSDVPNKKSRGFDLFDAYRPSSGAGPSRNLSFDPDVGSSMFNHTRGIDLAVVERPTARDSLRASSVIAMDTVRTSEEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDALDLNYSNQAQQSANAHAAAGSDAREIGGSSTNEGEEVINVETAPAFGRDQLSLGISGGSVGMGASHEAEIHGNAASLHRAESAVGDAEPIAELTETMGQTGESAPGPGLMDEFVPEEVNRQEPRGDSQDMVSRSVGQADSGSKIYGSTKADSVESGEKIGHATGIESSMRPSLSCNAGMYDPSKDDVTQAGKILAGDDALMGLDYDPGNGLGTCIQAFH >Dexi3A01G0028540.1:cds pep primary_assembly:Fonio_CM05836:3A:29366029:29366682:1 gene:Dexi3A01G0028540 transcript:Dexi3A01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMATSATSVAPFQGLKSTAGLLLPVSRRSGSSSGFVSNGGRIRCMQVWPVEGNKKFETLSYLPPLTTDELLKQIDYLIRSNWIPCLEFSKVGFVYRENSRSPGYYDGRYWTMWKLPMFGCTDSIQVYNQLEECKKAYPDYYIRILGFDNVRQVQCVSFIAYKPPGSGN >Dexi3A01G0030440.1:cds pep primary_assembly:Fonio_CM05836:3A:34452459:34453811:-1 gene:Dexi3A01G0030440 transcript:Dexi3A01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGDEAPKSAGKPAPVQKPTPPSSAEKLKDIPAGIQSSKSNNYMRAEGQNCGNFLTVRPSINKGASCSRRWLFTRLSFQWKQGWQVMPPAVSSAMNLEE >Dexi9B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:9B:11474116:11474639:-1 gene:Dexi9B01G0016690 transcript:Dexi9B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAARGRGAAAALALVVLCVLLHGEFAESAVYTVGDRGGWSFNTASWPSGKRFRAGDVLVFKYSPKAHNVVPVSAAGYNSCSAPKGARALTSGNDRVTLKRGVNYFICSFPGHCQAGMKVAVTAA >Dexi2A01G0035110.1:cds pep primary_assembly:Fonio_CM05836:2A:44800064:44804337:1 gene:Dexi2A01G0035110 transcript:Dexi2A01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLPGRARAPAPLTAPPATPPTHPRLRPALPSLAAMASSTTSCDATGVPFTLLGALLTAGPPAWPACVGGGRAFLRDYAQRGTNALLWAGLLAVTWVLLLRVVALLRLWALGSRIPGPHALVADPGLAAVLRSGGDITAFLSKLHNSYGPVVRLWAGPSQLLVSVKDPTLVKEVLTKAEDKLPLTGKTYNLACGRLRLFVSSFEKVKSTRESLKIFLNEKLTIGVGPSSFIAIDAIMDRINSITSKDILDSRSLSQHMAFNIIGATLFGDAFFNWPDSVTYEELLMMVAKDGCFWASFAVCPFWKSSYRSYQSLCAKLKILTEDIIRNSRDQNSSLHHFDRRSYLKSEGMIKDPNRGVLGETMAGHCLHRTAEGPISSEEETCGNIVGLMLHGISASANLIGNILTRLILFPKLQEQLHAEIVAVCDESSDLVVDDVVRMQLLLATVCESARLLPAGPLLQRCSLKHDLDLGSGITVPAGAILVVPLHLMHMDASVWGSDAGQFNPHRFLKKDVDLGDILSTPSGSNGMNLFTDSAKTESFLPFGSGSRACVGQKFAILAISLLIANLLRNCEVQPHPSFSKEMEPEVGSSHFHHLPNPKIILTKRI >Dexi8A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:8A:12055497:12056173:-1 gene:Dexi8A01G0009280 transcript:Dexi8A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVDVLERLGVGYHFEEDIAIVLDFLNRNPSVPSVADDLYAASLQFRLLRQHHYDSPCEIFKDFMDENGDFKDTLRSNVDALLSLYEAAHLRKCDEDILKRAIVFTTNSLSSLANGGDHLAKPIRDRVLHALASPTHRRIKRLEAKNFISIYENDKESNEDILELAKLDFHILLQMHRDEVKSLSL >Dexi5B01G0027220.1:cds pep primary_assembly:Fonio_CM05836:5B:28798006:28802176:1 gene:Dexi5B01G0027220 transcript:Dexi5B01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCAVEDGHGNPHPEGEEGEPPVVAVELDERAGEPREEASGQRKKAGGIRREPSFSRWCRDPSAAPASNGPAAAATSDGDDSDEFELPLLPSAPGGGGSSSFPMDIEAGAATRSDGVPISPWLVAKVIGLIASWYTLSTCLTMYNKEMLGKHKWKFPAPFLMNTVHFTMQAVASRAMVWFQHRGLEGGASRMTWKDYSLRVIPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFMFSSLFCSPVRLEKPSFSLLGIMLIVSFGVLLTVAKETEFNLWGFIFIMLAAVMAGFRWSMTQILLQVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNWYKYKRFKKGHHNEDTGTNIQSSNGTSKYVILDDDTEDQDESRILYWRFLRSQAIYTAQGMGSIVLLSQAN >Dexi9B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:9B:12389667:12390002:1 gene:Dexi9B01G0017620 transcript:Dexi9B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWESSAKGSPKSAAPPPPLRRVLAVSTSDDGKVPRGYVPMVLVGDEEVGYSEEERVMVRVEMLKEPCMAEVLEMAAQQFGYGQRGVLRIPCGVDRFQQMIGGVACEAS >Dexi3A01G0032180.1:cds pep primary_assembly:Fonio_CM05836:3A:36920953:36925447:1 gene:Dexi3A01G0032180 transcript:Dexi3A01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCLLLLPDRRGNGGAYRCLRWALTVPGRSGRPRPAHLTGSFMGSLAHAEGLRFGPRGVSNMDGDSSSTRHNEQESILQDLEPHSRPLKELKEITNDFSDERLLGEGGFGNVYKGVLRNEDMIAVKKLKWTITGIQDKHYENEVRHLMSLKHRNNVRLVGYCSETEKELVQHNGKYVYAEKPERLLCLEYLPKGNLCNYISGIAIQYRVTICVVLKKWINRLENTPGYTLRETDCQQIRRCIEIGLLCVNDDRSERPTIRQIIKKLTWGCAECSNEREVRRTAKGIEAAKETVAASAKEASIDKDVAKGKKPEAKLVNGEKFPYGPQENSSGTVNLSYKLAGDVSHIARRNVSHITRQLVM >Dexi5A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:5A:13995707:13997742:-1 gene:Dexi5A01G0015970 transcript:Dexi5A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEGIHGCGGGGKKPKAPRAPPVAALSEDLLRQILLRVPDMASLANAALAEKRWYAVASDPAVFRRFDSLRRPPLLGFILTDRYAGTRAEDADVFLEDLPDVDSDDDDDEDEWRLRGCAGGRLLLSRGCDGLILAVYDPIARTAVFVDPFAVFRQSTHIVHYALVVDEADGSFLVIGVTDFMAAVFSSRTGQWVKFEGDDFIKTSGNLDDEWDWNGEDEDDIYEFPGGGIVSRRSYEEQEIMDMIFQLRSDGMAAGRFAYWRSDTKKCKHYEAVERILLLDTTTMQWSVIAAPFPPGESYCVADKPEHGGLCLFSSKEQCLQLWVRNSIGKWILKKEFSLLNEQMKKLRRDEWMKRVRILAARATYIYMEYWSIRKSHSYLLVFHLTTRKLMMFHNNADEPYRGPAFSFFMRLPPLLGPHDDWNAHI >Dexi2A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:2A:22361441:22362404:1 gene:Dexi2A01G0013640 transcript:Dexi2A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAPRKRAAPDGPCPAAAGGSIKKRPKYNFGSIYDYQKLEVLGKGSYGVVLRARHRRTGEEVAVKWVRATRGGDGDGLSAAFREAGCLAACRGAPSVLQIRDVVTDVATGDLFLVTELVKGQTLRDRINLLGRFPEPRAREAMRQLLDGAASVHATGTLHRDIKPENVLVGPGGALKICDFGMATPARPPYPEDPCSVGTLWYLSPEQLMGSRWYGTAVDVWALGCVMFELLAGEPLFVDIETEDDMLMEVLDLRYKIESHGVAAFKGLPPDLSEAGRDLDKRLTAAEALKHRWFTEETEVPSPKDA >Dexi1B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:1B:20855523:20855750:-1 gene:Dexi1B01G0014510 transcript:Dexi1B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGTLPYSGTGTHSVGVAEMPRRTKGKHLQQLAEAEVEASDKSIDKGTGRRQRRGTTHLTKTTPLLPARQRKV >Dexi5B01G0023390.1:cds pep primary_assembly:Fonio_CM05836:5B:25571720:25573151:1 gene:Dexi5B01G0023390 transcript:Dexi5B01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEPHDYIGLSAAAAPPTPASSSSSSSSPAPRLTLRLGLPGSESPDRDCGEDVAAALSLGPLPVAAAAPGSAKRAFPDPSPRAGAAKASEVKQQAPPAAPPAAKAQVVGWPPVRNYRKNTLAASATKSKAQAEEAASVGGPMYVKVSMDGAPYLRKVDVKMYSSYEELSTALEKMFSCFITGQSGLRKQSSKDRLTNGSKADAVQDQEYVLTYEDKDGDWMLVGDLPWE >Dexi5A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:5A:8959877:8963105:1 gene:Dexi5A01G0011950 transcript:Dexi5A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGSTKKPRLSTSNGELEEESLSEILKNLETEVPNMKIFTYRHLDWSKRASSLASLMDDDFVDPSKELNLQNMGKSRSSAVTTSIDQVAVIELLVPSIFRAIVSLHPAGSTDPDAVAFFSPTEGGSYLHARGVSMHHVFKHVKEHADKALQYFISVEPRNALSLLLRWIASYQTLFTKVCSKCRRLLMMDKSLALLLPPVHRPYHQTSNVSPDLQEAYHIGCSSYDG >Dexi9A01G0031890.1:cds pep primary_assembly:Fonio_CM05836:9A:36778160:36779419:1 gene:Dexi9A01G0031890 transcript:Dexi9A01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSRGAGRLVIIFATFRSGAHSEQSKPKHDKASSNQVHKTEPEGQTAGRRRAKGQCGTHPFAPIFFGQKLQLRSF >Dexi8A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:8A:7929336:7934125:1 gene:Dexi8A01G0007160 transcript:Dexi8A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLEAAITGDSESTKHLASHNPALLHGRTPQWNTCLHIASIHGHERFCKNVLALDPSLLAAVNSDGETPLLTAVRSGHVPLAFVLLRACHEQHMRGAILKQDEQGFNALHHAIRSGHRELALRLIATEPALSRAVNKFEESPMFMAVMRNYEDVFEKLLEIPGSSHGASAGFNALHAAVRNGNSVIAGKIMEARPWLASEENKQKDTPVRWAVLLNKIDVLRVMLEHDWSLGYQISTAGLPLIGTAAIRGHVDVARELLKHCPDAPCCDEDGTCLHRAVWNNQSEFVEFILGSQKLRKLVNARDMNGRTALHCAVHMCNPRTVAALLMHKDIDVTVLNNGGAPPIWTLPDDQAKTLNWNEVSMLMLKADPRDETTMVNIHKHVKDEVTYLSRKDIKSLTQTYTGNTSLVAILIATITFAAAFTLPGGYSSDAANEGLPIMARKVAFQAFLVSDTLAMCASLVVAFVCVIAKWEDLEFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRVLWLAIAVCVLTSLLPILTKLLGEWPILKLRFRLGRKFKSELLDMV >Dexi9A01G0026650.1:cds pep primary_assembly:Fonio_CM05836:9A:30009914:30010747:-1 gene:Dexi9A01G0026650 transcript:Dexi9A01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVPFREGGRREGRGGAPSLGAGRGGVGRGIPNCGGGMGEEEGEGEPPVEAWGEEVLEGVPSTVVEEWEVEYPFPKVEEEEGEGEPPYEDWGEEALEGVSPTAVEEWEVEHVSEKEWEVEHAFEMEWEVEHASEREEEEKSEEELLTFEEEEDPEMVLPTEGREQEQEQELQKYQLRPWLR >Dexi9A01G0026650.2:cds pep primary_assembly:Fonio_CM05836:9A:30009914:30010747:-1 gene:Dexi9A01G0026650 transcript:Dexi9A01G0026650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVPFREGGRREGRGGAPSLGAGRGGVGRGIPNCGGGMGGGVPFREGGRRGGRGGAPKEEGEGEPPVEAWGEEVLEGVPSTVVEEWEVEYPFPKVEEEEGEGEPPYEDWGEEALEGVSPTAVEEWEVEHVSEKEWEVEHAFEMEWEVEHASEREEEEKSEEELLTFEEEEDPEMVLPTEGREQEQEQELQKYQLRPWLR >Dexi5A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:5A:6816286:6818610:1 gene:Dexi5A01G0009090 transcript:Dexi5A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPGKLIKTVKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAISAPNAIRRASPGHAHTSPSSPITPAVEEKTQQPPVMEDKPPKAEVEAEKHPKAEPAAEEKPPAVVVEEPHHEIVEDRKPIQDDDGRSSIGGDTKEESAPAKKPACDIQGPWASDVCDVTGDVRIRGSSGTILIAPSIESGANPNPQEWQIRPYSRKHQGGINEVTVRELASATDAPACDVTSPVPAMVFAMGGLTGNYWHDFSDILIPLYLQAVRFNGEVQLVVENIQPWYVGKYRAILKRLSRYEIVDMDKDDKVRCFPGAVVGIRMHKEFSIDPSREPLGHTMPEFTRFLRETFSLPRGAPARLTGADGEENKSVRPRMMIISRRHPRKLVNVDDVVAMAERVGFEVVIGDPPFNVDVGEFAKEVNAVDVLMGVHGAGLTNSLFLPTGGVFIQINPYGKMEHIGEVDFGVPAVDMGLKYIAYSAGVEESTLVETLGRDHPAVKDPESIHRSGWGKVAEYYLGKQDVRLDLQRFEPVLRKAMQLLRE >Dexi7B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:7B:17874949:17880482:-1 gene:Dexi7B01G0010580 transcript:Dexi7B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVAALLLGRLLPPLLLLAVASASYDGAGQPPISRRSFQEGFIFGTASSAYQYEGGAMEDKIADRSNGDVAVDSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGILSGGINREGVRYYNDLIDELLLKGVQPFVALFHWDSPQALEDKYGGFLSPSIINEFKDYAEVCFKEFGDRVKHWITFNEPWTFCSGGYASGRFMDPLTRGDYPLSMRTLVGNRLPQFTKEQSGLVKGAFDFIGLNYYTTNYADNLPPSNGLNLTYDTDARANLSGFRELLLYVKENYGNPTVYITENGNFYLVALLGFKCNFSPSLKEEALKDDTRIDFYGRHLIALRSAISDGANVKGYFAWSLLDIFEWASGYTVRFGINFVDYNDGRKRYPKSSAHWFTEFLKK >Dexi9B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:9B:3440552:3441751:1 gene:Dexi9B01G0005820 transcript:Dexi9B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFAKPRALEPLRPLAVHLPSMSYVDVKMRWKKDASFDAVPVLSRARDLRPLVSLARLLSPSPTPVSVVSKLRSSLETPDRRVTSFLRRFPAAFVESVGPEHNLPWFRLPDAAVHLLREERDVFAAGRADVTGRLRRLVLMCPRRRLPLRVAQGMLWHLGIPEDYFKDPDHDIAQDGFRILTSGDGVTCQDDDGRELGLIGDGKGKEMPLSVLQLNAMRKFGSVAKVPVPLFQSKGLRLKRKIQDWLEGFQRLPYVSPYEDFSHINPGSDVSDKRAVGVLHELLSLFVTCSAERRRLLCLRKHLGLPQKFHLVFERHPHVFYLLLKEKTCYVVLKEAYMAGGDTAIEEHPMLKVRKKYIELMEQSQEIIRCRRNRKPIELQSMVSCSGDIEDCTEILS >Dexi9B01G0041080.1:cds pep primary_assembly:Fonio_CM05836:9B:41547094:41548843:1 gene:Dexi9B01G0041080 transcript:Dexi9B01G0041080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRDVSCASPRRLVAVFLLVAAVALGFGVRAGEAQLCSEYYDRTCPDVHRIVRRVLKKAHEADERIYASLTRLHFHDCFVQGCDGSILLDNSSSIVSEKFARPNNNSARGYPVVDDVKAALEEACPGVVSCADILAIAAKISVELSGGPRWRVPLGRRDGTTANITAANNLPSPFDNLTTLQQKFAAVGLDDTDLVALSGAHTFGRVQCQFVTARLYNFSGTNRPDPTLDRGYRAFLSLRCPRGGNGSSLNDLDPTTPDTFDNNYYTNIEARRGTLQSDQELLSTPGAPTAAIVGRFAGSQKEFFRSFARSMISMGGFDVLTGSQGEVRKNCRVVNGS >Dexi7A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:7A:12384285:12384735:-1 gene:Dexi7A01G0003230 transcript:Dexi7A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSAAVSKGNKHPRVLLPFTCDSLRIPDEIAEGIGAEEAVVFGPTSGEAAPWRVEVGWDGDGASWAAGGRSSRARAAPAWPGTWSSATAAAVSSPSRRSTPAAASGSGSLALPFHLQVL >Dexi3B01G0026830.1:cds pep primary_assembly:Fonio_CM05836:3B:22241869:22243050:-1 gene:Dexi3B01G0026830 transcript:Dexi3B01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINELVKEGCYDIGICAPGHCSYPFGHDCTVGNSSVEPYLFLHYSLLAHSSVVRLYREKYQAVQKGVVGINLYSLCIYSLSDSTEDIQATERANDFLFGSILHPLLFGDYPESMKKAAGTRLPSFSSYESKLVSGAFDFIGLNHYSSIYASNNPNASKMTTRDQAADIGALFRG >DexiUA01G0016340.1:cds pep primary_assembly:Fonio_CM05836:UA:34761064:34762111:-1 gene:DexiUA01G0016340 transcript:DexiUA01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSHVRAAPLARAFRARASATATATAPTSRRALLGLSEPELRQLALDLGQQSYRGKQLHDLLYKSRARQVQEFNYVPKAFREALLGAGWTVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDNKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLRPHEIVEQVLAIEEMFKHRVTNVVFMGMGEPMLNLKSVIEAHRCFNKVC >Dexi1A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:1A:25451145:25454097:-1 gene:Dexi1A01G0018120 transcript:Dexi1A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGKEGGNRRLDWATMNLESGGAAVKGDGGGGGNARPTKPPMSIVRLFLACMVSGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTSKIGRRRPFILAGCIIICLSVLMIGFSADIGRHLGDTKEHCSTFTGSRWSAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGQHGPNVGQAIFSLWMALGSVLGYLSGANAKWHQWLPWLKTAACCDACANLKGAFLTAVILIIISMSVTLALAGEEQISKTDVDTTPGGACSAFIDLFKSLRNLPPAMFNVLAVTAVTWLSWFPFFQYNTDWMGREIFHGEPQGLGAKADAFNAGVREGAVGLIFCSIALGVTSFLIPKLCRKLTSRVVWSISNLMVFAFMTVMVVLGMISMKGYKPSLTASLTGPDPTFKGIALAVFALIGIPQAVLFSVPWAVASEVATEEGGGQGLTIGVLNIAIVLPQLVIALTAGPIDGAFNKGNTPAFGTGAAFAFICAVLALFMLPKTRGVSNVAVMGGGH >Dexi8A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:8A:25370886:25371608:1 gene:Dexi8A01G0014810 transcript:Dexi8A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLTKLKKLSIYNLRVLHANNNKYDELLPTIEYLSGYSLKSLAIDDGFTGFVNSMDDLSSPPKYLLSLDLSGKLLHVPKWIKELETLEKLTLSLTSLRTDGLQVLSKLSKLFSLTFSINAKGQDSHIAEILQKNTTDSGGKIFVPFGGFASLKQLRLSAPVTPLLSFLEGAMPELQRLELQFRLSEGVYGLEYLESLQQVHLRVSEKASEATKVKVSDIRSSVSMHQKKPTMVVDEYYE >Dexi3A01G0025330.1:cds pep primary_assembly:Fonio_CM05836:3A:21001417:21012752:-1 gene:Dexi3A01G0025330 transcript:Dexi3A01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADELSAAREWCFFLLQVCDERTNVSVATSNQNENRDITKVTSVERATYAFIPQTPIRSTDAHLEEFSEAMRTVAKTLRRVAEGKAAAQAEAAEWKRKYELEMASKEHKHHNLTKDCSGLDSMGDKSAAADLQATIDVMGKTLVDLAGTVNDLTIQFAALKPLLPLAKKLDGLPEKVATLQASAFEQSQEAPFRLLWGCDGDKNGQHKRDFVSFEKGDIKTAERSNKQVILLKWESPPQTVLFVTKPNSSSVLTLCAEMVRYVPFILCLIVFRLHIYLCRLCSLFCRWLKEHSNMNVFVEPRVSKELLLEDSYFKFIQTWNNGKWLFTFHSGIPTNHNILTDLEEKTLHTKVDLVVTLGGDGTVLWAASLFKGPVPPVVPFALGSLGFMTPFRILYYQC >Dexi6A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:6A:1058324:1059745:-1 gene:Dexi6A01G0001210 transcript:Dexi6A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATRGRVHVISRRMVRPSTPPPAAKGDEVEVINLTPLDLRLIRTDYLQKGILLPNPPVIAGGATALVDAMEASFARALARFYPFAGRLAADERGDGTVTVSLRCTGEGAEFVHAVAPGIAAGDIVSSIYTPAFVRYELHSFDPAHGGEVAADEGLPLVSVQVTELADGVFVGITLNHSVGDGTALWHFLNTWSKIHRLGVGDDDDGDLSTPPPVLRRWFEATWPVPIPLPFAKLEDIARQVEQTVVKECFLTFSGESVMNLTSRANNEITGMATATITSLQATMAHLWRAVCRARRLPRQQVTSYTVVIDCRGHVEGMPRGYVGNAEAFGKAEATAGEVEEKGLGWTAWQLSRAMASFDEASFREEVDTWVRRPEFLFITSLTFAGTAVATGSSSWREVFGNNDFGWGMAVAVRSGAGNKTDGKAAVFEEPPELGGGMAVELCLATGVLERLVADEEFMDAVSLPPGGLRG >Dexi9A01G0034790.1:cds pep primary_assembly:Fonio_CM05836:9A:39543361:39549163:1 gene:Dexi9A01G0034790 transcript:Dexi9A01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYYEDEFLGVPVMKLSSKHVKIHTSPLPDLVDNWEDEWVGPVAAVLLDAEDEIPGPVATHRLHKPPAQTNPPIAKKGHEAREGEGRREEGEPPKVRKWLMLWMKVPSKMGHCPHRNETTTSAVTDPCATSSHGTSIPPPIPSPSPGNRRRRDLNRRRTEETKRGDLLC >Dexi9A01G0033360.1:cds pep primary_assembly:Fonio_CM05836:9A:38256749:38259257:1 gene:Dexi9A01G0033360 transcript:Dexi9A01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDSNGHGETRIQNAEDKTPASVTSPDTSEEIQEKLPHKLIEHQEELGSPVNHAVSSSPEMLSHIEPAQASDDLSKDGRGHATLTNHTEVENISENGFTSMNSMSSDEMKSKEGNMNYHDNIAAAQENIVKPEKVSDSSHRGLVDTTAPFESVKEAVTKFGGIVDWKAYRAQSLERRRVMQLELEKVQQEIPQFKEDWETAEVAKSHVMEELERTKRLVEELKHKLERTQLEVDQAKQDSELALLRAQEMEQGIDGEASVIAQTQLTVAKERHEKAVEELKLLKEELLSMHEQYAVLATERDVAIERAKEVVSAAKDTEKQVEELTLELIASKESLELAHASHHEAEEHRLGAALAKEQDCLAWEKELQQAQEELHQLNEKFASKTNVEAEVEENERKLLSLKRELAAYVDNKLNEEAEAVQEQGSDEAKEISRSIKQALVSKRKELDEYRAKLENAKNEANLTRVIAESLRSELDREKASLATLQQSEGMASITVSSLEVELERTNKEIEMVHTKETETREKMTELPRMLQKAAQEAEDAKMAAHLAQEELRKAKEEAEQTKAAAKTADIRLRAVLKEIEASRASERLAIVAAQAVQESEETGSVGDSPRVVTLPINEYHALSKRAHEAEEAANERVAAALAQIELAKKSESRSLEKLHEASNEMDEKKSHLQIALERAEKANQGKLGAEQELRKWRAEHVQRRKAHEATKHAVSPVTPPRTFVEHKGSYQEDDEFLADPKLHKSTGSLDQFVSDEKVQKKKSFFPQMSTLLSRKAQAQT >DexiUA01G0026890.1:cds pep primary_assembly:Fonio_CM05836:UA:57468325:57468801:1 gene:DexiUA01G0026890 transcript:DexiUA01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESTRDGTGSIEKALRRNMAVNCSLTRRNISWMEVELPTKVDAMDSPTGGMSHTLDLTLLGIHSTK >Dexi4B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:4B:2427268:2430215:-1 gene:Dexi4B01G0003460 transcript:Dexi4B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQHSGLTKLGFVVLSFNSALAIHNSWGDAGSVAFVLAADAALVLLFRCLRELEFERGEGGGRNKAFVWALTTLLTAMFAAKVAALMPPVIAAVVWAVAVATTGAGFWALFLPTSFSAVLVCQPVQSRTRYTDMDRHQPSLITKIGFAVLACNSVLAIHKSRGDAGSVAFVLAADAALVLLFLCLRRFERAGGGRSTKAAVWTLTTLLTAMFASRVAPLMPPAVAALVWALAAATVAGGFWAFFLA >Dexi3B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:3B:14054055:14056775:1 gene:Dexi3B01G0018930 transcript:Dexi3B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGQEVEEDEREEEVRGGGDEVAAVVRLKAKRALVGAGARVLFYPTLLYNVVRNRLEAEFRWWDRVDQFVLLGAVPFPSDVPRLKQLGVRGVVTLNETYETLVPTSLYQSYGMDHLEIPTRDYLFAPSLEHICRAVDFIHWNEMQGGCTYVHCKAGRGRSTTIVLCFLIKYRNMSPEAALNHARPASPTGCSDLVFVTEADLEGYDTFTDSGKGDVEVDVVVRHKPMMRKLSCFLGSLKLANNCEPPPNRLTEVRAC >Dexi2B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:2B:6297093:6298938:-1 gene:Dexi2B01G0006400 transcript:Dexi2B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFAVSKSGAADRPQFKGRITWYVWICGIIAATSGLMFGYDIGISGGVTAMDDFLVRFFPSVYARKHRAKENNYCKFDDQRLQLFTSSLYLAALAASFVASRVCTRFGRKRTMQAASVFFLAGSGLCAGAANLPMLIVGRVCLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTIGILVANVVNYFASAVHPLGWRYALGGAAVPAAVLFLGSLAITETPTSLVERGKVDAGRRTLEKIRGTGEVDAEFDEIRSACDLAAELNAEERPYRRLLRRESRPPVVIAVAMQVFQQCTGINALMFYAPVLFQTMGFEADGSLLSAVVTGGVNVVSTVVSIVLVDRVGRRKLLLEACAQMLVAQVAVGGIMVVHVKADDAGSTMNSAWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLETRTAGFSLAVSSNMLFTFLIAQAFLSMMCTLRAFIFFFFAVWIVVMGTFVMVLLPETKGVPIDEMVERVWRRHWFWKRCFGDANDARVNNC >Dexi5A01G0034950.1:cds pep primary_assembly:Fonio_CM05836:5A:36882064:36882717:-1 gene:Dexi5A01G0034950 transcript:Dexi5A01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQEQCSLLRISSSHHPNPLKPPRNPHRNQLLPPNAAKNAVPSGIPVHAAPKAAIPAQKAAAPWREALVPVAAAVAVASWPLPSLAAEGDGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVQEYFLKKYKPVSAIDAFRKLRDVPEAQLLDIRQGKSVRFMAPPNLKLVDKSAVQVEFDEEDEKGFVKEVLTRFPDPTNTVVCVLDK >DexiUA01G0021670.1:cds pep primary_assembly:Fonio_CM05836:UA:44855828:44857367:1 gene:DexiUA01G0021670 transcript:DexiUA01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEVRRDMWGQEYRTSSAECAAALDAYYGAFLSFGRGRVAAALRAAAADPACALAAAHAAHAVAPRDPAGAAAFLAAAADNLGNATEYEKAVFGTLSAMVGEERNEEVALARHFELLKKFPKDIMSLKRAQLICFYLGKPDLSLKFVQQVLPENQEQNYIYGMLAFPLLELGKMDEAERAARKGLAINKNDVWSQHNVC >Dexi5A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:5A:17355883:17356236:1 gene:Dexi5A01G0016430 transcript:Dexi5A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAQATNLPPGARPHRPSGLAVPEITPCHGWSAGTGAVVTHQPSQSGASSDGEQRRPTPASKKTGVTDEPARARSPPPDPSSTHGASTSPQGTDERGRRQGEWQDLRGKRGWRRI >Dexi2A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:2A:4345101:4346942:-1 gene:Dexi2A01G0004800 transcript:Dexi2A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPLRDRDILSFRARVILRKPPVRVFFGEKPVVASPRRRHRAPPLDAAIARLTLTPPASADSARRRPPPTPHAAGLRRLRTPPSLLPSTPHTAIRHRRSPPPHAAIRLPRSPPPHAAIRLPRSPPPQVSASGDLAAVGTPRRRGETSSAPTHLHHHDCIAPSPMPPTRRARAPSVKPVVELRLVPLSRPKDLIEIFPDKLENYEDLKNFFTEHMHADEDVCYCLEGSRYFDVHDNDHKWIVFG >Dexi1B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:1B:2424191:2424658:-1 gene:Dexi1B01G0002970 transcript:Dexi1B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSCLAAALPMKSGDGAKYKDPTQPLNTRIDDLLGRMTLAEKIGQMSQIEREKATPDVISKYFIGSVLSGGGSVPAKNAPPEAWVDLVNGMQGGALSTRLGIPIIYGIDAVHGHGNVYKATIFPHNVALGCTR >Dexi2A01G0026960.1:cds pep primary_assembly:Fonio_CM05836:2A:38431585:38433000:1 gene:Dexi2A01G0026960 transcript:Dexi2A01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHVLVFPFPIQGHINSLLNFATALVDAGVHVTFLHTEHNLRRVVVSGAGSPRLRFLSVPDGLPDDHPRTAAYLPDLYKSLAAGTRGPFRDLLARSSSLVADAAGVDEPTGDFPPVTCLVADGLLGWAVEVADELGVPAIVFRTASACSLLAFLSVPKLFDLGELPFPVGGDLDEPVRGVPGMETILRRRDLPSHCRRPNATYEVNPGLHPLAKLSADSSKARALVLNTTPTLERTALEYIAPRVRDLFAIGPLHAMSSPAASSSLLREDGGCMAWLDAQAADRSVVYASLGSLARISREQFMELFAGLVATGYPFLWVLRPDMVADAAALEEEAVRAAGEGSKAHVVAWAPQREVLRHRAVGCFLTHAGWNSTLEAVVEGVPTVCWPFSADQQMNSRFVGAVWRTGLDMKDVCDRAVVERTVREAMESAKIRGAAQAMAEQLKCDVAAGGSSATEFQRLVGLIREFSS >Dexi6A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:6A:27657246:27660505:1 gene:Dexi6A01G0020140 transcript:Dexi6A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAASPPPVLERVAGIRTIAESGRFKASSSPLFFNRNCRFTLRLFKLEPVVHAHLVTAKSVWQTWLLDQFGVLHDGKKPYPGAILALEKLAGNGAKMVIISNSSRRSSVTMEKLKSLGFDTSCFLATITSGELTHQHLLKRNDPWFAALGRKCIHITWGDRGAISLEGLGLQVVNNVDDAEFILAHGTEALDSPSGDPLPKSLEELEQILMLGLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYENLGGEVKWMGKPDKVIYKSAMSLAGVDAHECIMVGDSLHHDIKGANASGIASVLITGGIHADELGLREFGETAEEDAIRTLSSKHGSHMLESGFRHMLESGFRHMQF >Dexi2B01G0028990.1:cds pep primary_assembly:Fonio_CM05836:2B:37490656:37493571:-1 gene:Dexi2B01G0028990 transcript:Dexi2B01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHYKVIIRKNRAEDHKCRTRVSRGYRTLSVAVASSSATQQAQLAWKRLSHMYSYSGPRFPLMSRAACAVSLSFTRFHIIPGVMALAFGKMALAPPVLADSRSFMPRMDGIVTKAQDTRQFLSSLVWSVWEGFTLLIRAVHLTFLFFPATALAPFADKFSVAFRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFSYSKAAIEKAFGRELSEIFESFDENPVASGSIAQIHRATLKHQHPRKHVAVKVRHPGVGESIKRDFLLINLLAKASNVIPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPFVHPSVLVETFENGESVSRFMDEIEGNARMKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNDSKLSRRRLFRAKPHIVFLDVGMTAELTRTDRDNLKQFFKAVATRDGRTAAKCTLQLSKNQNCPNPVAFTEGWQRKLDPGFDIMHTLKTLLIEKDVKQPIDFFS >Dexi4A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:4A:1745481:1746599:1 gene:Dexi4A01G0002510 transcript:Dexi4A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSNGAAAATAAAGDGEAVPRSLTPARKVALVTGITGQDGSYLTELLLTKGYEVHGLIRRSSNFNTQRLDHIYHDPHALPSAQRPPMRLHYADLSDSSSLRRVLDAISPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLTRKPIRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDQPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLKGDATKARRELKWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >Dexi1A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:1A:19249563:19249878:-1 gene:Dexi1A01G0013760 transcript:Dexi1A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGITYHEDDSIIELANEVRKVMNRAEAGKINGSDVSLKPHVPLVHLPALPEGTVVDSR >Dexi5A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:5A:11636156:11641568:1 gene:Dexi5A01G0014560 transcript:Dexi5A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEQEYVSNSRGVQLFTCGWLPAAAAPKALVFLCHGYGMECSGFMRECGLRLAAAGYGVFGIDYEGHGKSMGARCYIRSFRRLVDDCYHFFKSICELEEYRSKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVITLLTQVEDVIPKWKIVPTKDVIDAAFKDPAKREKIRRNKLIYQDKPRLKTALEMLRTSMHIEDSLSQVKLPFFVLHGEADTVTDPDVSRALYESAASSDKTIKLYPGMWHGLTAGEPDENVEAIFSDIVAWLDERSRSWTMEDRLRKLMPATGKFVDGEKGGEATVQARPQRQRRGFLCGLAGRTHHHAEM >Dexi1B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:1B:3740653:3740907:-1 gene:Dexi1B01G0004670 transcript:Dexi1B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSHLDDPKSALNTVNLSSIPGLARRTISSSPPPESDTSVRWRWPEKLGSGGAMAALEKGFTSEYPPPPWLKTRHSPQ >Dexi4B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:4B:20326553:20328929:-1 gene:Dexi4B01G0017900 transcript:Dexi4B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSTASAAAAAAAEPLLPSALKRGVMERCASRPDDELQWFRSCLRWVCMDHSSPAQAALSWLLFLVLTILIPAAAHFLLAFRATRRPFSAVVQLSLSAASAAAFLCLSTSFRRIGLRRLLHLDKLRRTKSDRVRLHYTARLAFSFRLLASLVAPCFLAEAAYKAWWYATSADRLPFFADDVVGGVLACSLEMASWMYRSAVYLLTCVLFRLICHLQGLRLEEFAGSLLEEVEEGRSGVESVLREHLDIRKQLKLISHRFRKFIVASLLIATASQFASVLLTTRHDSVDDLFNTGELALCSVVLMSGLIIILSSAAKITHQAQALTGHTTKWHACCTIAPVPDEEGEPGSNQNSMIEQDSSSDSDTESSETCDEDLLENTKIHLPQAHVISFQKRQALVTYLENNRAGITVFGFTMDRAYLHTIFMLEWTLFLWLLGKTIGFS >Dexi8B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:8B:7561538:7564650:1 gene:Dexi8B01G0006500 transcript:Dexi8B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEFEVHRLVVNRDPKFTNFVEVYLILDEFILAGELQETSKKAIIERMGELEKLE >Dexi1B01G0025280.1:cds pep primary_assembly:Fonio_CM05836:1B:30387950:30390086:-1 gene:Dexi1B01G0025280 transcript:Dexi1B01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLMKQSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >Dexi3A01G0032850.1:cds pep primary_assembly:Fonio_CM05836:3A:37714032:37714547:1 gene:Dexi3A01G0032850 transcript:Dexi3A01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGGQKFRWSPPQEGWLKVNVDGAFSEETGEGGIGVINAASAEEVEALACKEGMRLVAEWGQQSTILETDSSSVASMLSKRERSRSYLKFILEEVMESAVRLPQWKVVHKRRESNRVAHELAQLAKRTKHSAVWRFAIPVCVEHLIAQDCNCVSE >Dexi9A01G0039420.1:cds pep primary_assembly:Fonio_CM05836:9A:43390419:43391417:-1 gene:Dexi9A01G0039420 transcript:Dexi9A01G0039420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNAAQQAVRAALCLLLALGAVNCVFAGRVLDEQPATPAEAPLPEDTLPAPTDPPTDPVVAAPAAGPATAAATAGAAATTGNAGASTGGTAAANAGASAGDHPPLTFFMHDILGGSQPSGRIVTGVVASAAANGQLPFARPNTNIFPIQGAVPLPQGATNLINGNNAPYVAGLGGTSGTLVQNNGNPVNGGNKNIPFVNAGDLPSGVTLQNLLFGTTTVIDDELTEGHELGAGVIGRAQGFYVASSQDGTSKTIVLTAMFEGPDAPHGGDSLSFFGVHRMAAPESHIAIIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFNIHLI >Dexi4B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:4B:14133168:14133874:1 gene:Dexi4B01G0013900 transcript:Dexi4B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGSGSGGGSWSIHGRADVTSRYEVLGHAGSGAYADVYRGRRRSDGATVALKEVHDAVSARREAEALLAVSPSPHVVALLDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRASGGGGGIPAAQLKRWMLQVLEGVAACHHAGVVHRDLKPANLLISEDGVLKVADFGQQRCI >Dexi9A01G0046030.1:cds pep primary_assembly:Fonio_CM05836:9A:49436076:49436869:-1 gene:Dexi9A01G0046030 transcript:Dexi9A01G0046030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVVLYYGKGQLTGFLADPEGVLDVVPADMVVNATLASMAYHGGAASPGPGMHVYHVSSSTVNPLVFGDLSRFLFQHFTRSPYSDAAGQPILVPPMRLFDSMEQFASYVETDALLRQSARSSSSSADSSSPEQRLISRQRALDLCAKSVEQTVYLGSIYQPYTFYGGRFDNGNTEALFAAMSPAEKARFHFDVRSVDWTDYITNVHIPGLRKHVMKGRGVAANQLLASTSV >Dexi4B01G0021880.1:cds pep primary_assembly:Fonio_CM05836:4B:23728808:23731654:-1 gene:Dexi4B01G0021880 transcript:Dexi4B01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAGAQIADPVVDTFNGQEVEVWPRITWSPRWGLTFKDVKEKVTGRVQNKGWNIQHVDYKDTSEKEEIRIRGFKFEKVEQLEVNYTEPGKHSLSA >Dexi7A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:7A:29059457:29061913:-1 gene:Dexi7A01G0020200 transcript:Dexi7A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTGYCSTPLAWARRPRGASAPRGGRCRAYAPALAAAPSDAAFHPDVSQAAESLQAEFAAVDRALAVNSSRVAAAFRRARVAPHVTSLFSPPFLYRSAWPPDLTSQVRSFQHFGGSTGYGHDDGGGREALDSVFAEIVGAEAAIVRSQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVSYREVPLAADGGLDWEALACAIRPETGCAFIQRSCGYSWRKSLSVADIRRAISLIKMQNPNCMVMVDNCYGEFVETSEPAMVGADLIAGSLIKNPGGTIAPCGGYVAGKKHLVEAAAARLSAPGLGVEFGSTPGHGGLLVAEVMSTKGYRVQPLPRAPRHDIVQAVELGNRERLIAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLTEVLKVI >Dexi6A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:6A:6320499:6321618:1 gene:Dexi6A01G0006520 transcript:Dexi6A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLF >Dexi2B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:2B:2239699:2253039:1 gene:Dexi2B01G0002690 transcript:Dexi2B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQRRKGKENAMGSGQERPGEDGKCGTLTLARDWIGLGRVLGFPAAGVERRRRSEGLGRMEWWSGECGRRAALQQQGWECLFSALALKPSPFLDRSRFPGVKPAARSSTFRVMAKKAKKIQTSQPFGPAGGLNLKDGVDAFGRPAKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYVGGKTGLLLWAITLAGILLGGALLVYNTSALAS >Dexi5B01G0036020.1:cds pep primary_assembly:Fonio_CM05836:5B:35891910:35894791:-1 gene:Dexi5B01G0036020 transcript:Dexi5B01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPPAPAQEFEISRQSRIFAALSKKVIDLDELRMLAAQGVPDAAGVRSTVWKLLLGYLPYDRSRWEQELAKKRSQYAAFKDEFLSNPYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQNFAEADSFFCFVELLSGFRDNFCQKLDNSASGIREACSRQVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTDIRHLLYVANKLH >Dexi5B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:5B:4970407:4972274:1 gene:Dexi5B01G0007420 transcript:Dexi5B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTDLCSVLLFYCSLGLGMRSILSPQAKMGSSPSQCGGFTPLSRLTIGNHGWIRVRVRVSRIWVASNPNTGTEYGLDSLLIDDEGVTMQARAFRWDIKLFKDKLVEGKVYALSDFTVVPRLKDYMAYVIGQIVSLEDLGEKWKWKNWRNISFRNIHIRDLRGRGLNVALFGDLGRNFDAEQVLKQGQKVSIVAVFAGMLVQYYPDIGFTVRSTTASKYYLDLDIPEVQEFHASLTDPHKPIDLLPCKVQNPLNPADLVKSWRTIKQLKNLNPDELQQSTTFLCRATVKGIDCTKGWFYWSCLHCKWSVRSDGVNSFCIQGCRIISRLFHVY >Dexi4A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:4A:11179157:11188802:-1 gene:Dexi4A01G0012540 transcript:Dexi4A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAHPPRARRLASGHQQPLRSASPAGPAVPLPTPWLLLASCDGKLPTARCPSQRWQLIWDEVGESEEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTTGTIKQQLAAIAPTLEQLTKQKNERKREFVNVQSQIDQICGEIAGTIEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDYCTVLGMDFLSTVTEVHPSLDDSIGDDSKSISNDTLSKLDKTVATLKEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPGSLALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDSQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRTWEENRGLSFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPASSKKAIGPKLNGSVSNGTPPNRRLSISGQQNGGGHGVRSGGKDSKKDAVKTASPGNNNAAVAAPAPAAAKEDVASLNSGTDPVPSTP >Dexi3B01G0027180.1:cds pep primary_assembly:Fonio_CM05836:3B:22707661:22708368:1 gene:Dexi3B01G0027180 transcript:Dexi3B01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRASAVSAAGAPVFPFPADAAAGDPDHFSDYGFDPQLVGFFAQPEPKRPSWSKRRHQPPPPLESARFKLQKPISKRPQHQQKQQQQRRRRWWSSAASAALLLFKRPCSCSSSSSSPAVAPAPPPSAPSASVVMPMYLADDDDGGEAACACWAPAMRSGRLAAAELGASAALVPYVSLRSASLHAGGADGGAPAVPMYLVT >Dexi2B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:2B:5006553:5007088:-1 gene:Dexi2B01G0005450 transcript:Dexi2B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKIIGARVYDHRQGSISGLSPPDEVGHGSHTASTVAGRAVANVSFDSLAVGTARGAVPGARLAIYKVCVGVFCSDADILAGFDDAIADGVDVISFSIGGMFPTQYFKSAQAIGSFHAMRRGVLTSASAVSVAASSIDRRFIDRLVLGNGETIVVSR >Dexi7B01G0021940.1:cds pep primary_assembly:Fonio_CM05836:7B:26902125:26903209:1 gene:Dexi7B01G0021940 transcript:Dexi7B01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASNINKAVVVPWADLLPEMCDLVLDRLDAVSVVRFPAACSAWAAASKTTPRFLSSTPALITSLVDPEGYDIEYNVEEGTFGLHDVITGKSFQGEAPGLKNRTWVGGKDDWLVTTGMRCGVELLNLVTGERVPLPSFTTIPGLRVQEFSDVQNLEQVTLCQTPAHPKGVLAVTLFSFDLIAFTAAGDEGWTPLKNPAVMQYQREYTDAIVFNGKVLAATTFGDIYSWDMDHDGTAAEPTLMPGPEEIHIDPDFIRSFYLAVSSHGERLQVVCLYGYSDKDRCSMRIVVKGKRRFFYACRVSLHELDAAAGTWRRVRDLGGSSWVATTRSTSLCHPAVAPRISRRIGSTWPT >Dexi9A01G0037090.1:cds pep primary_assembly:Fonio_CM05836:9A:41417637:41421472:1 gene:Dexi9A01G0037090 transcript:Dexi9A01G0037090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAAMALAGARPTAHAPSPAANKPRAGRAQLNLRRGSCTGGLITRAFHHRPPQHPACAPEEGVIQWLRSAAAALAIAAQISVSLPADAVLYSPDTKIPRTGELALRKAIPANPNMKSIQESLEDISYLLRIPQRKPYSTMEGDVKKAMKIAMDNKEAILGSIPAEHREEAAKLYTSLLEEKGGLQTLLKYIKDNNPDKLSIALASSLDTVAELELLQFPTIIRKNINLPLFARCYVPGSGELSAGYSSVSAWLTGRGVVEFTVEKVDGSTFFPTGGGEPKSFATIQVVIDGYSAPLTAGNFAKLVLDGAYDGVTLKCASQAIIADNETGKKGYTVPLEVKPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSEDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYATSDGRDVLSQIKTGDKIRSAKLVQGRERLVLPSAASGES >Dexi4A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:4A:13692344:13693000:-1 gene:Dexi4A01G0013620 transcript:Dexi4A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMANPAMLPPGFRFHPTDEELILHYLRNRAANAGCPVDIIADVDIYKFDPWDLPSRAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAGQTYRPMKFRNTSMRVRN >Dexi4A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:4A:6291650:6292150:1 gene:Dexi4A01G0008360 transcript:Dexi4A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGKSREADPTRCRRHPKHRHEAGVCPFCLRDRLSRLSAAAAASANNASSAAAASSSSSSSPCSSWEETVALSSSGQAPRPRRGSLGLLLRQEGREAAALAAAARRAEQQQEAEERTRGKRGNNFWARLQQQLHNGSSRNRRDGCSVAAEKQSVAAAKRAPVV >Dexi3A01G0034210.1:cds pep primary_assembly:Fonio_CM05836:3A:39448934:39452075:1 gene:Dexi3A01G0034210 transcript:Dexi3A01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLTRALLPALNPTPSGHTTRGGGGGGAATAAVSFRGRHGRLPSVRASVSTTSPSPPPQPAAAAPAAAAPKHCFSRGADGYLYCEGVRVEDAMAAAARTPFYLYSKPQVLRNFAAYREALGGLRSVVGYAVKANNNLPVLRLLRELGCGAVLVSGNELRLALHAGFDPTRCIFNGNGKTLEDLKLAAESGVFVNVDSEFDLENIVRAARATGKRVPVLLRINPDVDPQVDIFRDAAVLMVNFVDEIRAQGFELEYLNIGGGLGIDYHHTDAILPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNKVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPGAEVATFDIVGPVCESADFLGKDRELPTPDEGAGLVVHDAGAYCMSMASTYNLKLRPPEYWVEEDGSIVKIRHEEKLDDYMKFFDGIQA >Dexi4B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:4B:5113179:5113865:1 gene:Dexi4B01G0007220 transcript:Dexi4B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEHPLEAVAFRLYSLPEASAATGAAAAWTCLAAFLAAAAAAGIWRLRSSAPTSVTTTDTAAAMKPLEIDPRPAEEVLTAASSSPETATAASSAAPSPKERYTAYYSDACRIGCCEDDGDDERGREDDAEERDGDGEDAGVVYGAPPETTKATVTDPFGWEGEVVRSLPLSPTAAELGLGSMQYRCLTPLGGSVVQLWDHVAGGGSTPTASPRRRSRGLATAVPGF >Dexi1B01G0029610.1:cds pep primary_assembly:Fonio_CM05836:1B:33848586:33850168:1 gene:Dexi1B01G0029610 transcript:Dexi1B01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPAPSLSRAPSSSVSASPVNLCHRSTAAFRRYGPSFAYKLAAGICYASQTVELLPSLYPEIVVRDARLEDCWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPPGSMRTCLVAVNSSSVNNTFNIECGDPRDAEFQKYKLSRGSIAGILTVDTVADYLPRRGPLKQRR >Dexi6B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:6B:21839864:21841289:1 gene:Dexi6B01G0014280 transcript:Dexi6B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRAPPPALPSPDAAASPPEPFRSLHIATATGAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRYRR >Dexi5A01G0032820.1:cds pep primary_assembly:Fonio_CM05836:5A:35176532:35180368:1 gene:Dexi5A01G0032820 transcript:Dexi5A01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAASYAGSATGATRSPAYCAAMSFSQSYRPKASRPPTTFYGESVRVNTARPLAARQSKAEEFLTKATPDKNLIRLLTCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFDALEYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVAAAMGIYGPRTTYVIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKMFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSAKAKLRLLFEVAPLGFLVEKAGGFSSDGKQSVLDRVINELDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAV >DexiUA01G0020820.1:cds pep primary_assembly:Fonio_CM05836:UA:43112466:43115923:1 gene:DexiUA01G0020820 transcript:DexiUA01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASASPAATPSPSAASTPRGAKRRRTPGSVGESSGPSRHTSPHRSPRAGAGTVRSAALLGLPMQTCSAARFFISPVSPVEIRTNCSTLQACTPKLLSASPKSSRKRLYGDLVASEKPKWNPLGKPLNFHPAQMRAVKEAIHVATVPSCELVCRDYEQRRVFEFCKAYAEESLVHWADEIGMEAPDSVAINCTNLANTSEIFSKILGQFQNCKKGSGKLSPLQQLQSMFSNKESVPRRMMLVIVDEMDYLITRDRAVLHDIFMLTTCPYSRCILIGIANAIDLADRFLPRLESLN >Dexi1A01G0031980.1:cds pep primary_assembly:Fonio_CM05836:1A:36711034:36711550:-1 gene:Dexi1A01G0031980 transcript:Dexi1A01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLRMIISRHAPELPPTSTSAVGRARRPRPTAVSLNRRRRPPCSCWDDSEKTPREASRRRRRSRTDGSGWPSGRSSAADSGALAPPAHTAEGTPSRITAVSAMGTGIMLASSIMASRASASTTSCCCCSWGGGIVGTSS >Dexi1B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:1B:22357610:22357855:-1 gene:Dexi1B01G0015830 transcript:Dexi1B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMIRPSPVAMGAGWVAHFSLSGGLCIVRLGRFALSPSVSGEGEQKETAAQLVASASPQCSAACHGAKRTNGHGLVSGGE >Dexi1A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:1A:4844462:4845394:-1 gene:Dexi1A01G0006410 transcript:Dexi1A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKPPVVRRRYALLLALWDSDYATKVYGGYHNVFVSAFGSGAGERWDSYRVIAGEFPLLDDLASYDGFVVSGSPHDAHGEEPWVHRLCALLRTLHAMGKRVLGVCFGHQLLCRALGGKVARARNGWDVGVRNVTFARGLVNNGGFGFLGGLGGKGELVLPTTTATIVEVHRDEVWEIPPGATVVAYSEKTRVEAFVVGENVLGIQGHPEYTSDILLELVDRLTGQSAIDGSVGDEARRTVAVTGGPDRAVWTGLCKSFLRGGLKSSSSSTVAMDVAGRAAGAAANVVGSCFAGCGAPMVQLACRAGIN >Dexi6B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:6B:7218805:7219494:-1 gene:Dexi6B01G0006520 transcript:Dexi6B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSTSPAGSGSSSGSGSGSGASAEQQPRLRGVRKRPWGRYAAEIRDPVRKSRVWLGTFDTPEEAARAYDAAARKLRGPGATTNYPAADGRGDPNSAAAAVEAPAASGSGVASSAEDAAWSDSSSSSSRGDVVTVAVAAPPPSLDLSLALPAAVSGAHQMFLVDPMVAVAPALLQFLPPKSEEEEEAPQRWSSGPSSSTSPAGVFDAPPALGLRLDLNLGLPAEMVM >Dexi3A01G0036560.1:cds pep primary_assembly:Fonio_CM05836:3A:42106416:42108167:-1 gene:Dexi3A01G0036560 transcript:Dexi3A01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAAGEVRHWTADVNGISLHVAERGPTTGPAVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSIPADPTAYCIFHIVGDLVALLDHLRLPKVFVVGHDWGAQVAWHLCLFRPDRVRAATILGIPYFPRGPRPMTESFAKLGDGFYINQFQDPGRAERAFGRYDVETVLKKFYALEIDELIAPPGVEIIDFLQEPSSPLPWMTDEELGQYAEKFQKTGFTGPLNYYRMLETNWRLTAPWSGAKIFVPAKFILSENDVGLKSFGTEKYVKSGGLKSNVPNLEVSIIEGHHFVQQEKAEKVNSEILSFLDKFASEEASA >Dexi9B01G0046760.1:cds pep primary_assembly:Fonio_CM05836:9B:45977117:45979325:-1 gene:Dexi9B01G0046760 transcript:Dexi9B01G0046760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFGYKDTLLCFSLGVQLENEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALATQTYDLVSVAKVPTEPPPAPAIDLELPVDPNEPTYCFCNQVSYGDMVACDNPNCKIEWFHFGCVGVKEQPKGKWFCSNCAGFQKKRKGK >Dexi3B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:3B:1451916:1454750:-1 gene:Dexi3B01G0002040 transcript:Dexi3B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLYFGDIKDAIAALTDSSSTSRTFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPTAAVPHGTLMRVVERAGDGLRVTRMAVPLRDTEEENLLDHLEPCLDFVDEGRKVGNVLVHCFAGVSRSASIVVAYLMRSEQKSLEDALEALKEINELACPNDGFLDQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKFGEKIGSYMFEDDPGLSPVPGSCQDPSKTEQQKTAYRCRKCRRVIAVEDNVISHVPGEGESCFDWNRKKSGRSYNDKEQDCSSLFIEPLKWMTPAVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWVTPAFQIVKSKVDISTI >DexiUA01G0004680.1:cds pep primary_assembly:Fonio_CM05836:UA:8760505:8762292:1 gene:DexiUA01G0004680 transcript:DexiUA01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVFQGLLPPAPRADADEASTSLHLSLISRLMEEEEDDDDVADLGADHPALLDTQQPFAQILFGAPFLPDQGPAFPGGGKEYSTDMFTAAFFKGVEEATKFLPTDTAGNPLLRTEEGSSSGRTCRDRHRGGGDDDDEVEAEAGRTTKVAAAESEETNAREVFDEMMLRGFDAFSERMEDLSICKDNESTNLDDKKARKRNRARRKRHVAKVVDLHTLLLHCAKAIIDDRHRAEELLRQINDHASPTGDATQRLAYCFAQGLEARLAGTGSQVYRSLTTNRTPLPEFLKAYQDFMATCCFRKVAFIFANKAIFDVAMGRSKLHIVDYGLHSGFQWPELLRLLGARDGGPPEVRITSIDLPQPGFRPANHMAELGHRLSNCARQLHVPLKFHAVVAQWHTVCIDDLNVEPDEVLVVNDLFNFRTLMDESVIIDSPSPRDVVLGNIAKMKPDIFIQGIVNGSYGTFFLSRFREALFHHSALFDMLDATMPRNSQLRLVLERDIFGWVALNAIACEGEDRVERGETYKQWQIRNRRAGLRQLPLNGESVKMVRDIVKNHYHKDFVIEEGQQWLLQGWKGRILFAHSMWVADGANSEC >Dexi9B01G0029450.1:cds pep primary_assembly:Fonio_CM05836:9B:31985513:31986057:-1 gene:Dexi9B01G0029450 transcript:Dexi9B01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADVPKARRMVSSGSHAGTSTPCSRLSASYPLSSDTPDAMGTPTKNRIRRRMRSNTGCGTSSSSTSFPPPPFASLLLPLLLLLDLASDDDDSSIISSCCCLFCRSCCRRRSCSFSFRVVFFSDDGAAPVAPMLLLSVAAERMVAPRRRVERSGGERVGVGESRLVE >Dexi5B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:5B:21021279:21022868:1 gene:Dexi5B01G0018630 transcript:Dexi5B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEYEPAPGPMTKHSGFDYGCCWTHGNFVARQKTSDEPVTETYSFLGFPLGWHTRHFGRAEKICKTCYHRCDDPPGPRKPLPCGHEGAEIVCDMCYLKSYVLHPLPGEFTFGYKKQGNAYN >Dexi5A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:5A:397572:399673:1 gene:Dexi5A01G0000570 transcript:Dexi5A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDCVSLPDAAAPADVEDAGLGLGTLLAAARAYPKGSANAGGVHELLECPVCTNSMFPPIHQVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEAKNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >Dexi3B01G0036510.1:cds pep primary_assembly:Fonio_CM05836:3B:39371046:39375841:-1 gene:Dexi3B01G0036510 transcript:Dexi3B01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQAHKKMAEAFPDEFQLDFDDDLPTETASTESETENRDMTPFFLSFIKAGDSKKRAKDDQDHEKLQKEISSLSQENQDLKKKISSVLEKSNKAESEVLSLKAALADQEAETEAAFSQCQQSSDRLQSLKSEILHTQEEFKRLKEEMENGLQNLSTAEERCLLLERANQNLHLELDNLKLASKEKHDELNEKHIELEKLSIAIQEEQLKSLQAEMARLSLEKQLAQAQEKLRLLSLEKHGEASKIENIEATKVLLQKELETIREENRKLDDQNHSSASVIIRLQDEIISLKNTQRRLEEEVSRHVEEKKVLQHELSYLIDNKGDLDRKHFSIKEQIQVVNFNVESLQSLAQEVRDGNVELKETIKNHEGVKKLYVENLMLLERTLEKNAHLERSLSAATTEIEGLREKKAALEESCKHLNSKVNGHQSERSMFVARIEGISHTMEKLSEKNVFLENLLSDNNTELEILRRKLKDSEESTHTFRNQNSVLRSEKRTLMREVDSINSALLSLETQYAELEGRYLDLEQDRNKALDEAIKLRELLRLEKEKHKEATNSGTTQFSAIQKQISLLLKEVKHKENQLQQEEQKIFEARTEIFILRRCLGDMAEANSDAMAQLLKQQEVCKVQEEKVDLLSQNNQQLTEGIGSVMEVLHLDEKYGSLDLMKVDVVVQLLLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLKQEWHAKSEELVKLQSERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLTELQESRQSLQGEIIKLIEENSSLSSKVYGSREKEKSFEDDFSTLVCEAVRTDILGVIFRSLHDERTSQLQCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSGTEISTGSRRRAMRRDTKLLKSGRKSQESGQNMEQRKEVDNAGLEKSNEMLREELQKLKNELHVLRSKEQPVIDVKSCDAEITKLLANMQVATANASLFKEKVLELIVTCESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTLLGALQTEVDALQKQTLSLAKDCLPPNMLKEENPLSPQLSKIAVRPSEDQNTTKMVKDMELQKLHGTIKALQKVVSDTGVVLEQERLDFNSNLQDARKQIEMLKLKEILDSDASDTNYERMMKDIQLDLVQTPSRRTAAALGRHRKKNSVASQSDEKMLALWSVDRVSSGSRRHDVDLRPPQSEAASENDNKGKKRSSSESVVTVKDLSVDKQEVLPRPVVTTIAATMNEPHREWKKKVIDRLSSEAQRLLDLRSIIQELRAGVEASSDAVLDSVKAQMADAEDAIAELIDANGKLLKKAEEFTSAGTGDDVDLRSRSQRKILERIRKMSEKAGRLELELQRFQHALLRHEEERAARRAAKAAATTVQVQRRSRVQLVEYLYGRRRDNRRPKQKSRGPSCCMRAKAMDD >Dexi3B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:3B:13831252:13832909:1 gene:Dexi3B01G0018590 transcript:Dexi3B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVLGERNVSATEVSLSCADSKFTQQELPACKPLLTPGIVIGAFSLIGIVFVPIGLASLSASQDIVELVDRYDAECVSANDKVGFIQDTKTDKACTRKITVPKPMKGPVHIYYQLENFYQNHRRYVKSRNDKQLRYKDSASMITNCEPEATGQDGAPIVPCGLIAWSLFNDTYSFSLNKKAVEVNKKNIAWDSDKNKKFGSDVYPHNFQNGGLIGGAKLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIEADIMANDEISVVIQNNYNTYSFGGTKALVLSTTSWIGGRNNFIGVAYVAIGGICLFLAMAFVVLYVLKPRTLGDPSYLSWNKENPDQPN >Dexi1A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:1A:1745262:1747297:1 gene:Dexi1A01G0002600 transcript:Dexi1A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAVAATAALLVVLLAAAAVSGLPAINVTAMAFEEGYSPLFGHHNILRSADGRTISLLLDRSTGKPWRVQTNLYGNGSVGRGREERYVLPFDPTTEFHRYSILWTSASVAFYVDDVPVREVRRCPAMAGDFPSKPMSLYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRVVGAGDGDDDEHNIRMVAGGVGRRCGDGAEEEKLRASEVAVMTVEKQQAMRRFREQNMVYSYCYDTRRYPAALPECDVVESERRRFKDSGQLRFALRRRAPRRGSSSSSRAAAAAGRARSAAAAAASSRAAAAAAKKQQAAAEMVLVARE >Dexi2A01G0027110.1:cds pep primary_assembly:Fonio_CM05836:2A:38536204:38537375:-1 gene:Dexi2A01G0027110 transcript:Dexi2A01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIAYSKVTMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi9A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:9A:1894429:1896296:1 gene:Dexi9A01G0003570 transcript:Dexi9A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTPPRKGRSTAAAMGDGLEEAWLMAEAPAAKKSHAKAAECGGVTVSFGLRGHFNSFVLLLLVLFAVFAVSITTKHDDGNGGREQPETATTTLMPPPPPADYAGARDDGGQADVAGECDMSSGRWVFDDVAYPLYKESACKFMSDQSACGKFGRKDLKYQQWRWQPHGCDLPRFDSLKMLRWLRNKRLAFVGDSLNRNQWISMVCLIDSATPALHKSMAGGNSSLVSFRIHEYNASVDFYWSPLLLESNADHPVHHRVADRVVRAGSIAKHARTWADADVLVFNSYLWWRRPTIKVLRWGSFDAATAASEDGTHRAAYEVTDRLRAFELAIRTWSEWLELHVDRARTKLFFTSMSPTHLYSDEWESAVGGENHQCYNETEPIMAEGEHRGRDTDPAFARAVEAEVARLGARGVAVRVLDVTRLSEHRKDAHPSVHRRQWSPPTAEEVEAKARDPSSGADCIHWCLPGVPDVWNQMLYAHIMSSSSS >Dexi2B01G0034270.1:cds pep primary_assembly:Fonio_CM05836:2B:41522916:41531641:1 gene:Dexi2B01G0034270 transcript:Dexi2B01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPDAPYLAAGTMAGAVDMSFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGSDTYALGLLAGGLSDGSVAVWNPLSLISSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASAAEQGELCIWDLKNPVAPTVYPPLKSVGSSAQAEISYLSWNPKFQHIVATTSSNGMTVVWDLRNQKPLTSFSDSVRRRSSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWSPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSDNWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAASDAVGAPARPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQVSTSEVHVHNLVIEQSLVTRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQAPSVDSTDELSQTLADTLNLDHGTITNNADAQFLVDNGDDFFNNPQPSEASLAEESVSTNGQQIEQEMPADVEPCDPSIDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLRKSVSPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFAQKEEWNVLCDTLASRLLSVGDTLAATLCYICAGNIDKAVEIWSRNLKSEDGGRTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQESDAARSSVSDSTGANSHYVTNQSYNTPDQSQNLYQQVQSYNLANSAYSDGYQPQPNAAFAYNNAYQPQQPAQMFVPPSAPISSQQPQVSAPVSVPPQAVKTFTPANPMGLKNAEQYHQPNTLGSQLYTAAGNQPYSTASSAPYQTGPPPTFNQPRSPVQYQTTPSIPSLGPTASVPGTVPNQMFPHSAATNSTSRFMPSSNQGLVQRPGLSPVQPSSPTQAPAQTQAAPPAPPPTVQTADTSKVSAELRPVIATLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVILTTSDWDECNFWLAALKRMIKTRQNFRM >Dexi5B01G0038700.1:cds pep primary_assembly:Fonio_CM05836:5B:37783359:37786859:1 gene:Dexi5B01G0038700 transcript:Dexi5B01G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAKEALILELHAVEAVKLGSFLLKSGITSPIYLDLRVLVSHPRLLASVSSLLLRALPSSARRHDLLCGVPYTALPFAAVLSVAASVPMLLSRYDDKSIEGTYRSGQSVLIVEDLVTSGASVLETVGPLRAEGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEMLAVLLRHGKVSEEKVGEVKRFLDANRKVAVPGVPVKPKVARKSFAERAGLATNPMGRKLFDTMEAKQSNLCVAADVGTARELLELADKVGPEICMLKTHVDILSDFTPDFGVKLRAIAEKHNFLIFEDRKFADIGNTVTIQSEGGVFRILDWADIINAHIVPGPGIVDGLKLKGLSKGRGLLLLAEMSSAGNLAYGDYTAAAVKIAEQHSDFVIGFISVNPSSWSAAPSSPAFIHATPGVQMAAGGDALGQQYNTPYSGS >Dexi8A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:8A:23272226:23274324:1 gene:Dexi8A01G0013280 transcript:Dexi8A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLREELDNLDKDADSRRAAMKALKSYAKHLDSKSIPHFLAEVSDTTAGGSGAAAAAGLASGEFTISLYEVLARVHGRKIVPQIGNIMATIMCTLSSSGGSFPLHQACSKVVPAIARYGIAPSAPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCIKALVESSNWRFASSEMVNEVCLKVAGAMHDTATRSNAHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGDTAESNSQKRLSAIQMINFFMKFVDPRCISSELGKVIDVMEQCQNDRMPFVRGAAFEASQSAKSIAAQKGSRHEVGTSPMVGSNFHKRRNKSPCRSLWSAKGSPASSCLAASPGQFRSPESHVVDSSIMNGSTLTESPVSVGQSSCNFYQSRRTNRRLWNNDGVDVSLNDGLFLQLCSNNKDYEDDMGEVCHSEVTDANFECTDTFAGFVSPSPNGAISRDRTPSPKAYDRKISIDDVKIYSTPRRLLRSLQSSYDSDSGSGDGQSTAKHSSSSTSDQELVESSEEVPSDQELVESSEEVPSLHLDNKVEEMKDENEAIDMQNNSSRTESMSDMENNKSRTETLSDEVKSGLSAAETENISCKESPKIELKENEVCVASSRGKTRKYRVKFTFLVSIIVIILAVIAILIRIDNYDDSVGLVPT >Dexi4A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:4A:6341529:6343202:1 gene:Dexi4A01G0008460 transcript:Dexi4A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGADSAATKPRKWRPWTEAWKRAMSSGEVIRLAGTWKAWGGAARGTGRGCGTGERTMAAVLVGALMAGRRIGRYAGGGESDVPDQGAGVGAVVAAPQERQRGVCWWSWLAEAEVGDEPGLDMATVPVAMQRRRRRRWRAGSEGQFPGSGRLGGPIPTAATASGATQQGTTEAEVAGLYSAATEKDELGSAKKNTVRAHDRSSRGL >Dexi4B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:4B:19171998:19173081:-1 gene:Dexi4B01G0017070 transcript:Dexi4B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDNVTTEVICHGDPAVIKEGYKSFPSGHTSWAFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVAMPLLLAAMVAVSRVDDYWHHWQDVFTAGVLGLVVASFCYLQFFPPPSGEQGFWPHSYLEHILTLDGEIEVQSVTSASRQPSLALDSSLGRAGMERRTSSQALDSMESGCRPY >Dexi9A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:9A:489002:497741:1 gene:Dexi9A01G0000950 transcript:Dexi9A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLTVSRACRRLVRLSFPHPEARVLPAAATIHLPAPFSSSSASTTAAPMASPSLEWSSSDVRSMFINFFGSKSHTRWPSSPVVPVDDATLLFANAGMNQFKPVFLGTAAPDSPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAISFAWELLTKVYKLPTDRIYATYFGGDEKSGLAADNESKDIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGSLRTLPAKHVDTGMGFERLTSILQNKMSNYDTDVFTPLFDAIHKLAGDGIQPYSGKVGSDDVDKVDMAYRVVADHIRTLSFAIADGSQPENEKKIQDVIRDEEESFENTLAKGYEKFKKAADVVKDNGGTDAFILWDTYGYPIDLTEVMAIDYGLTVDKEGFNASMEEARQKARNARNKAGGNSIVMDANATAQLRNQGLASTDDSPKFLWPKEHGSVVKAIYTGSEYITTASGYEDFGLVLESTSFYAEQGGQIYDTGRIEGSFGAFNVNNVQVFAGYVLHMGSFTEGSRALSVGDSVICKVDYGRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIQDELDVYASEIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPENKEWLSISTELCGGTHISNTRDAKAFALLSEEGIAKGVRRITAVTAGCATEAMELASSIDCDINEASQLEGAILERKIASIKNKLDSAAIPAARKADLRGKVSKLEDQLRKAKKKIGEQNIQKAVKSAMDAAEAALSEKRPFCVTHVDVGLDTTAVREAVIKVMDQKGLPIMLFSTDEASNKAVIYAGVPPNTPSGFKVLDWLTPSIAPLKGRGGGGKNGVAQGQGSDASQLKEAMELANNIAAMKLS >Dexi5A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:5A:25234091:25240627:-1 gene:Dexi5A01G0021390 transcript:Dexi5A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSLQAEVDELRAELLASGGGVHGPAGGWRRRSDAKRAPGADDAGGAEARAVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLRRAFDGCAGVFHTSAFVDPGGMSGYSKHMARLEAQAAERVIEACVSTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATANVETVAEAHVRAYEAMGDNTAGGRYICYDYVVERPEEFAELERQLGLPGGAAAAQSAAGDRPARFELCKRKLARLMSSRRRCTYDTYYSVAFD >Dexi9A01G0046410.1:cds pep primary_assembly:Fonio_CM05836:9A:49848697:49849179:1 gene:Dexi9A01G0046410 transcript:Dexi9A01G0046410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPAAAAAAPTGGKKNLRRLPHVYSKVLELPLPADTDVSVFEGPDAFHFVAAGSRGTGVVQVRTVRIHPGVTKVVVQAGGTGGGEQAGADDMKLDRWRSRLPEASCPAMAVAGYVDGQLIVTVPKGHGSDEGSDGGQGEVTWRSCSEGKISGRLVVVQ >Dexi4B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:4B:576584:582167:-1 gene:Dexi4B01G0000890 transcript:Dexi4B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAGGLGQAELCCISHSFDRAARRDPDRLAVIHTPASSGDGEERRFTCGDLLAAVASLSRRIAAALDGPPTDPCGRPGGAAVPRVVAVHASPSVEYVAAVLAVLRCGEAFLPLDPAWPEERVSSAISASNAALVLSSVWTHGAPCPRVLESCPCPVLHLGPDIRQWFRDENGGEDLAWPCERDRPRKFCYVMFTSGSTGKPKGVCGTEKVLKQYISEYIVYLFAMAYFVDHLQEFLAAVLSCTTRVIPPPSEWRANPASLANLIKDYQISRMTLVPSLMEIILPTLVKKLADGCNTLKILIFSGELLSVLLWKKVYEVLPETTIINLYGTTEVSGDCTFFDCKDLPTILEREELNSVPIGFPISNCDVSLVTKDGLVDEGEISVSGACLFSGYLADVMTGNFHDDNGILAYYNTGDFARRLKTGELIFLGRKDRTVKIHGQRFSLEEVESTLREHPDVSDAAVTFQGDESLDFKAYLVLESNNEFSKCTQHYNQLKSSQDIIARFRSWLIVKLPLAMVPRIFVPIKLLPLTLSGKIDYAKLSRLECVLEPCEIESESSPFDAHMQVIKKVKMQPVVDRRRNSIWCGSYDNYLYALNYKDHCCTYKISCGGSIYASPAIDMAQNIIYVASTGGLVTAVSFEEPSFKMVWQYEAGAPIFGSLALDHQSGKGTSTNTCIDILEYMHTY >Dexi7B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:7B:24017302:24018485:-1 gene:Dexi7B01G0018170 transcript:Dexi7B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRGVLFCYTPIYLKCSIGYLVVVLNVFLHHIRDIKDPEHPYSLEQLNVVTEDSVELNDESNHVRVTFTPTVEHCSMATVIGLCIRVKLIRSLPPRYKVDIRVAPGSHSTEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFD >Dexi5A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:5A:6437979:6439622:1 gene:Dexi5A01G0008610 transcript:Dexi5A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADVDMAEEQLSRSPSGEGSKKTMVLYAPRCPASTAGAKEEPVVGEVTQGGGGSCAGAAVAGPAVDRPRIDISVDAQLLHCAVAECNRPLKPPIFKCEAGHLLCASCRGDRHDEGHCRRCNRATAFVHCGRELDMYVGDARVPCPFKAYGCGLSVVYHATAAHQDACAFAPCHCSVPGCPFTASPPRLRDHLAFDHAWPLDRLAGYGKTLPLRVPAATEPHRLLFVEGDDRRLFALSVRPRGAASFAVSVSCVRTTAAAMAGPRFTCTLWARAPAKEKETEEGAPDMLAGGAGAGRRLMMETDVASCAVPGGTAVEEEGMSLYVPPPMLRGPSKEMNLRVRIDHSLSTGA >Dexi5A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:5A:13375712:13382647:-1 gene:Dexi5A01G0015630 transcript:Dexi5A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAAAAASAAAAISAVMDWRSSPDARAAAFAYLESVKSGDVRALASTSFLLVRKDQPSEIRLHGFKMLQHLVRLRWEELSAAERNEFANLTLNLISDVVGPNEVWALKSQTAALVAEVVRREGVAVWNTLLPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPQILPLLYSLLEKHFVAALSEHSKQQMDLAKQHAGTVTAVLNGVNAYAEWAPVTDLAKSGLIHGCGSLLSYSDFRLHACEFFKVICQRKRPVDVAVAEYDAAICNIFQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSGDNSAAGNSASTGDLSAEKEKKGVSVFVTDEMYSTILDVSFKRMLKNTASSSSGLLELWSEELEGKSDFCNYRTKLLDLIKVIASQRPMIAAASIVQRINVVFGNANQATKSPQDLDAMEGAQLGLEAVVGAVFDGSVDYGKIDLETKSQLQKIFEGLLQQLLSLKWTEPSLAVIHGHYLDALGPFLRHYPDAVASVVNKLFELLTSLPITFQDPSNNSRLARLQICSSFIRISRAADKALLPHMKVRLRRSAHN >Dexi9A01G0026810.1:cds pep primary_assembly:Fonio_CM05836:9A:30820030:30820947:1 gene:Dexi9A01G0026810 transcript:Dexi9A01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGQQAVTVEPETDKMRKKGAFVVVMPTHVVVVCSVVGFLGLVVVILGVSGEAATSQALVPDATEVNFFTGSVNCVYQTTPALACGIVAALLALTAQVAVTAISFFRGCCPTWELPKETRRIVGVALSASSWILVIIVASLFIAGAASNSDDKKRDPSTDGDCPVDPGSAMFAAATVLSLVATGLQIAAYVLLATQEGSTKPQPAEVAMGQPVQLQHQAPQNDAEGEIAGGDPPLPPSAPPLSEAAENNSTSQV >Dexi3A01G0027360.1:cds pep primary_assembly:Fonio_CM05836:3A:24602139:24605621:1 gene:Dexi3A01G0027360 transcript:Dexi3A01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHHRACTTEHGADGCGSDRTRAVNRKAMGVEGAASEARRRAHWRPRRRCLARRHHRQRVDPTRLISSSLPNPFACSNENSSSAFPTCHRAATCPSSPSPSAPPSSGQAPPSAKCPEYFRYILSDLSPWRESGITREVLERGGDKATFRLVVVAGRAYVEKYRPAFQTRDVFTQWGILQLLSRYPGRVPDLDLMFFCDDTPVVHAAAYPDPAEAPPLFMYCKNDSALGIVFPDWTFWGWPEVNIRPWAPFLEEVVRESRSMPWKDREPYAFWKGNPDVGGLRGELMRCNNSHGSKDWNTRLVRQDWEDADRNGFKDSNLAKQCTYRYKIYVQGRTWSVSQKYILACGSPMLRIDTPFYDFYSRGLVAGRHYWPIDADRRMCPSIKFAVDWGNAHPVQAQRMGEMGSSFARDELAWTTYTTTCYTN >Dexi5A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:5A:2937107:2940130:-1 gene:Dexi5A01G0003910 transcript:Dexi5A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRSPSTPPRPAAVVDPRSGYCAATKTFHSLRTPPASPPPDDRPLSFPAAAFSLLPTQHLPSHPALIDSTTGETLPFPTFLSRVHALAAALRGELSVSPGDVIFILAPAGIHIPVLYYAAMSIGAVVSPANPALTAGEVAKLVSLSNPSMSFTVQAAVGMLPPGLTTVLLDSPTFLSFLSQQELLPHHHEDEDAAGVMIHQSDPAAILYSSGTTGSAKAVVITHRNLIAMASSAAAPGTEAAADVLLLTVPMFHVYGFVFCLRTVVVAQQTLVLHTARRFNAMDVLGAVGRFRVTRLALAPPALLGIVQAAEADDSVAAAHTAAMTLQAVTCGGASVAPELTRRFSRKFPNVCLFQGYGLTEATTGFCRLIEMEETKRIGSVGRLLWGAEAKIVRPETGDALPPGMPGELWVRGPFVMKDSLLDTCIGYLGEKDATEAILDREGWLRTGDLCCIDEDGFIYVLDRLKELIKYKGYQVAPAELESLLQTHPDIDEAAVVPYPNDQAGEVPVAFVVRRIGSKLHEGLIKEYVAKQVVYYKRIHHVFLVDSIPKNAAGKILRKDLVKMLRHISSKL >Dexi9B01G0037920.1:cds pep primary_assembly:Fonio_CM05836:9B:39184010:39186918:-1 gene:Dexi9B01G0037920 transcript:Dexi9B01G0037920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVETAGGRKASCFLEVGRREIVSFNPAGDLLVSGSDDTNIILWDWLAKTKKLVYPSGHHENVFHARVMPFTDDSTIVTVAADGQVRVGRLKEDGEVTTKLVGEHDSRVHKMAIEPGSPYIFYSCGEDGLVQHFDLRNDSATRLFTCYSFLNDRRRLRLNSIVIDPQNPYYFSIGGSDEYVRLYDMRRIQLDDSRNINQPVDTFCPKHLIKSGKVHITSIAYSYAREILVSYNDELVYLFQNNMGLGPNPVVAQPEFFDMLDQPQAYSGHRNYRTVKGVSFFGPNDEYVVSGSDCGNVFIWRKKGGELMRMMNGDKSVVNCIEPHPHFPFMATSGIDKTVKLWTPAAKKVLPLPKNAKEIIASNERGREVDASRAEVTLPSDVIMHVLRLHRRQSELHRENEPAAEDFASDDDEAFYIGFGNADRDQGENSDPRECIVT >Dexi3B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:3B:6285798:6286118:-1 gene:Dexi3B01G0009120 transcript:Dexi3B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHWYARGESRFSDHRPVSSLFSARLHATANKPPSSSAATRGFRRRGSMPPRATAAAAAGIVAAPRRTVVETDEMLLAARACPTHSSSMRRDDTGS >Dexi5A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:5A:23927403:23928067:-1 gene:Dexi5A01G0020070 transcript:Dexi5A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVGLMTSCNCLTNTCCKGYCQCFVNKRFCSRSCKCQGCWNREHRRTFVEEHAELRLNTKPSAKGLAPTGEQRMHVKGCTCNKSGCKKKYCDCFKKRVACTTRCKCQGCENSYGTGGKGLQENGDSVGSSGKPDETSDGSNESAVVTDVELLHPTEA >Dexi3A01G0033050.1:cds pep primary_assembly:Fonio_CM05836:3A:37852256:37857209:1 gene:Dexi3A01G0033050 transcript:Dexi3A01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVTQVLLSAQSADGAIRKHAEESLKQFQEQNLPGFLLSLSSELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGAKQQIKGLLLQTLTSPVASARSTASQVIAKVAGIEIPQKQWPELIGSLLSSIHQVQPNVKQATLETLGYLCEEVSPDAVDQDQVNKILTAVVQGMNASEANSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLAAYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKSDWRQREAATYAFGSILEGPSADKLAPLVNVALNFMLTALTKDPSNHVKDTTAWTLGRIFEFLHGSALETPPIITAENCQQILTVLLHSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMVTHREDAGESRLRTAAYETLNEVVRNATVHEEAMLAIGALAYAAGPNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTTATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSTSSKAFLEECLASDDPLVKESADWASLPSIFDNTARLDPNALLSLTFPSTFWSGRVAARTGCDAAASSCWTGASPPATVVQLTVHDGGDLDHAAYSVSLVDGFNVPAVVTPHATVGGQCPALGCAVDLNCDCPPAKRAAEGVACLGPPEYFKSRCPLTRTTPTDVEPVPQSCRAPGELKVVFCQTTIVTGASTAVAGEDEAMLIRTVVADS >Dexi9B01G0042090.1:cds pep primary_assembly:Fonio_CM05836:9B:42415703:42415999:-1 gene:Dexi9B01G0042090 transcript:Dexi9B01G0042090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSEYVFFPCPVWMPSKGGSPSPPLCSAVYRSFIQTCSVVSAAPPERPLRCSDHCVWMPSCCAASRSVHAFSIWFRFTHRSIRQLQ >Dexi2A01G0027990.1:cds pep primary_assembly:Fonio_CM05836:2A:39313643:39325649:1 gene:Dexi2A01G0027990 transcript:Dexi2A01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGAAAHRLARTTDRRNRALVLVLQDWKERLPDARRGGRQRERKLLRRRGRRLEEAHARLDPGMSMLQKDTRLGRKAQWCVSSVRELWLAVPPRPSTAPFHIHPRRTQFTALTFRHNNYRYSRRCPSCGWTVQAMRKLRFPTWGWSELNEETMKVVPPSGAHFPVDKVSSFPCKFPPIRLAPKPNLTNPQPITPKIPILPFLPHRRLRAAMPRRTRNRSSGSGSGHRRRRDEDEDEFPSDNTSDSDFVADSDDGADDDDEEGFALDEDAPPAPAPAPAPVPAPAMAAPQPVVLDRERKRGGKRRRGKKAKDDDRSHLPWKVWEAANDRWLDERAAAGKDGGEAPDAGVATGEAVPTADPAPEVVLPLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQAISLVMTARRLRPPGHHHHTAASSSSSEVRPMRRVGCTLVVCPVVAVIQWAGEIERHTASGSARVLIYHGAKRATQKFDFDSYDFVITTYSTIEADYRKNIMPPKTRCQYCDKLFYPNKMKVHLKYFCGPDAVRTEKQAKQQSKKWGGSKGKGKIRGHKKDDDEENEEFDELADEPVSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEIQDTSMKKQCDCGHSSVRHFCWWNKYISKPMQWGSASDDGKRAMILLKEKVLKGIVLRRTKKGRAADLALPPKIVSLRRDSFDKNEMEFYEALYTQSVTQFGSYVEAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAQPLEGLENKGNESRESQCGICQNMAEDVVREEVRNMIERDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNITQKERAIDTFTSDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIKSTRFVIKDTVEERILQLQEKKQLVFEGTVGDSPDAMSKLTEADLKFLFQI >Dexi9A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:9A:1743987:1744415:-1 gene:Dexi9A01G0003300 transcript:Dexi9A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDAGDVTLSLSLALGGHLPGEHGGGNNKKHRRADGEFVCKTCSRAFASFQALGGHRTSHLRGRHGLALGMPAAAAPPAKQQQAAAAKDHPNPAASHQCHVCGVGFEMGQALGGHMRRHREEAAAVAQAPPVLLQLFV >DexiUA01G0026650.1:cds pep primary_assembly:Fonio_CM05836:UA:56953458:56954644:1 gene:DexiUA01G0026650 transcript:DexiUA01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRHRGAPAPARWLERQASERCIDLDGLDYGKGSCGAPWPPVESSAGGGVGEVGVLVPGAPPLAPGWLVINEGGVQNLFRCCVTDIWPLGRGRVQGVDHDPNLYISVAAALARISLLGEETWCYDCGVQDVLFGSQIDLCRDSAKIVAYLNLPEDREP >Dexi8A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:8A:2651856:2653250:1 gene:Dexi8A01G0003550 transcript:Dexi8A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGDHVHILMFPWLAFGHISPFAQLARTLVSSGSCVSFLTAAGNIPRVESMLSSTAGAVTLVPLHLPRVPGLPSEAASTAELSPAGAELLKVSFDGTRPQVAALLAELRPDAVLFDFANPWVCDLAKPLGIKALHFSVFSAIAGAFMAVPARRRRLHHAVVSAHDLMSCPPGFPAHSSVAAVPAYQAADFTYMFTSFDGEPSVYDRVVAGVEACDGVVIKSCAEMEGPYIDYLSAQFRKPVLAAGPVVPEPPRREQLDEHWATWLSSFPENSVTLASFGSETFLSAAATTELLAGLEAAGRPFLAVLNFPKGSDAEAELRERIPPGFTEKVAGRGLVHTGWVPQQHILRHRSVGCFVCHAGFSSVVEGVVAGCRLVMLPVKGDQYLNAALFARELKVGVEVARRGEDGWFGRDDVRRAVDEAVADGGEGDARKWKAFLTNGHVQARFAEDFVHSLKELVAAAV >DexiUA01G0000390.1:cds pep primary_assembly:Fonio_CM05836:UA:1923565:1929991:-1 gene:DexiUA01G0000390 transcript:DexiUA01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASFSHGKHVSFSGRLDRRHDKIQQRRERHALRSLRVAVDDHLYAPSSSFAHRSTLLETSTQQHQCGVHFPRSAAHGEYGGAKTGSRSEEPRLLVLAAIRWEKLRCAGRRAAGRKTSCKYADGRRVDTEHGKMVTLLPMLLDAAQPPTCKRTCWWVGEQQHRAFAARGLWRQRPRCCRCVVALLARHRRRTNHCATERSTDFSRSNVLDATSQKCAGPSLQPTTNTAAVMGTGSKEGVQTSKNQKSRTAEPRAADERAASSFGSIVSPTLFLLPSPSSRTRVPHVVQTDIRQVSLSLEKFIRTHVRLISAHSFPLRVETTASNIMPGHTCARQMQPLTYQWTIIILAYRARSIWNPNRSLSCASHPAFPVGLAHALLCAPERRGHWIDRLRSYLRRAISCCLHAPSLLC >Dexi8B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:8B:22647545:22648106:1 gene:Dexi8B01G0012910 transcript:Dexi8B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPAMRLALLALALCCCCCLIHASASAFADASFPPGLKAKQETRAAPASCGAGNQAVLAGEGEAVVDGAGAGGRMDLELEDYPGSGANDRHSPWGQERRN >Dexi5A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:5A:7853761:7855553:1 gene:Dexi5A01G0010350 transcript:Dexi5A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPADLEVPGFVPLQLSQSQILVTYIGASLFVLLVVWLISGRCGRLSKTDRLLMCWWAFTGLTHILIEGPFVFTPNFFKKENPNFFDEVWKEYSKGDSRYVARDAATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAMRSWKKICGAFRAEKVKTK >Dexi4A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:4A:3041167:3043576:-1 gene:Dexi4A01G0004240 transcript:Dexi4A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKCKGPKFAAVKKMITKKTINKYKQDVLNPNKKDAEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATVGGGMQYVPAADNLCFLHIFSWVYSNYS >Dexi4B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:4B:18708902:18710647:1 gene:Dexi4B01G0016600 transcript:Dexi4B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQEIPRPQSRTVDLTVRNNLSISWANDRKYPYSNPGTHPHVGPTSGDHPVSYMLRSRLSPTQQARQVRSFSDIRFRSDDDHFLDLPLLLRESNEIERHGRRPRRLDRGYSPAASLPGHGNGSYSFPKSGRTSSPPFPPRLALRTGRGWRSPASQTTTPNALRAGLRAPATIGDPSAPPSASRDAPRRPAHVRLPWRTCARRRAAQPANPSRKPTQQLAYEHH >Dexi4A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:4A:16566150:16566557:-1 gene:Dexi4A01G0014430 transcript:Dexi4A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGGCQDPLRAGEKFLNHPRFAPNLDSWPNQDPRRPNQDPNHLSPRLLAKAGEGGRGRGAAAPARGGRRKAPRSSTRGEGGAARPAAQPRGPQPGEEAAKFDLLLGLAPRSSSRGAGKGTQGMGTGTERGIRG >Dexi9B01G0026190.1:cds pep primary_assembly:Fonio_CM05836:9B:28017080:28018230:1 gene:Dexi9B01G0026190 transcript:Dexi9B01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEATAVSVVAVSRVVPSPAPAEHVKVVLSFLDAPWIATPPVQNVYLYKLASGGDDEYASAVGRIKASLAAALALFVPLAGELKYVPETGDVVVDCSDPAVPFFEAEAAEGSRMDVDRLAVSSDVAHDVEAFVSLVPRHDARVLPAGAAPRRRGPGSRAANSKADYFSQRSQLARRTFFVSADEVRFLEHRIERLASAAGEPKPTVSTFTALVALGWTGMVRAKGLSAGEDAYLTFYADLRARLHPPVGAGYFGNCITGCLAKADAGDLLGEAGLLHA >Dexi9B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:9B:6838012:6839058:-1 gene:Dexi9B01G0010840 transcript:Dexi9B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAREKRLPPLHLSLNVPSRPAVQEPSFLRHPNPPPLAAAPPQSAAASTPLARSSQFRLADFDRLAVLGRGNGGTVYKVRHRETSALYALKLLHHGAAASASAEADILGRTASPFVVRCHSVLPAASGDVALLLELADAGSLDSVMTRRHRASEGAFFFPEAALAEVAAQALSGLAYLHARRIVHLDIKPANLLVTTAGEVKVADFGIAKVLSRAGDHCSSYVGTAAYMSPERFDPEAHGGHYDPCAADVWGLGVTVLELLVGRYPLLPAGQQPNWAALMCAICFGETPAIPDGAASPELRSFVAACLQKDCSKRASVAELLAHPFVAGRDATRSRRALRELVADEA >Dexi1B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:1B:11321764:11327572:1 gene:Dexi1B01G0011240 transcript:Dexi1B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKLPESEAAEGKMPEKAAAVDEAEKKGDAAEAEPDPQVRLKYPHVAIGTVASSAPILQFDYITPWSSFYDAVSQDYKSESLNCFSVIKETWDVVDERGASDKGLLELTGFLYYNYTGDLTCNPIEDEDDPHGLGGWQWQKVDKVRRRFGSNIIFSNGMRDSRGGVLKNISSSIIALVTEKACP >Dexi1A01G0023850.1:cds pep primary_assembly:Fonio_CM05836:1A:30461674:30465708:1 gene:Dexi1A01G0023850 transcript:Dexi1A01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPTPVPLPLSGSGEGSPPPDARHAPPPPPPPTGYPPRPGSAGYSASIAVWRAVHITDRVCRPVCNQQMSEIHDHKTTGEKKEDQKFGEIESLCTAENSDATQPKEKVKAKEELGGVDSWCTIERVTLKTKEKPKDVDNWRGRDDPQLITSKDNMLTLISPIRAPAARDSMFFEFQLKIMGSGDADEDLSKDVPLNEDLVLRIRFLGEGQHEPAQCFEVVVGYEVNGAVHDVDEHALEKGPYKLQVKVSWKGVKNMRVLDSLRRS >Dexi5A01G0033090.1:cds pep primary_assembly:Fonio_CM05836:5A:35463659:35464584:1 gene:Dexi5A01G0033090 transcript:Dexi5A01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEEVRGRERGELRAGRFQAAARARRAASLALSNSKEFATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDAWNATECQSGFIAKHKHILLVDKQHQNGHRFTISAALWYPVDTGLFVTASFDQFVKVWDTNSTQVIMDFKMPGKVFAAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRG >Dexi5A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:5A:14501416:14504874:1 gene:Dexi5A01G0016190 transcript:Dexi5A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKVDLRGVEPGLPGWQEARTAVTASLLAHGCVVVTYDAQAQEGRQALFGRALPELFTLPLETKLRNVSTMGKFRGYLGNKDAEVDNESVSIDEPTDKGSIHGFANLFWPKGNPEFCDITLPFAKNLLKLEQTVHRMALEGLGVQEENIGSHLCSLTHSLRFWHYGAPKDTVNGLSLKMHRDFNMSTLIVQHEVEGLEVQAKDGSWLSIDPEPNTVPSCVHRVRTLSNRERFSMVFGCWSTVGGVVSVMDELVDVEHPLMYNPCRADEYVEFLYSKDGLKHDDPLRAFCGVVDKGSSSSME >Dexi8B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:8B:10879855:10880455:-1 gene:Dexi8B01G0008260 transcript:Dexi8B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGNHERETLREQNQTNAPPRRFVAYDARWRMPFEQSGSRSNLYYSFGAAHVVMLGSYAESGEGSEQHAWLGRDLAAVDRRRTPWVVVLMHVPWYNANLAHQGEAEAMRQDMESLLYEARVDVVFASHVHTYERFTRIYDKKANSQGPMYITIGDVGNS >Dexi9A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:9A:7199201:7203787:-1 gene:Dexi9A01G0011480 transcript:Dexi9A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFYEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDADGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVRNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVAIFDLQQRLVLGELQTPAVKYVVWSTDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAARIAAELGENVPALPEGKSHSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDIVDASEVVENGGDGFVDVEEGEPNEEDGEEGGWDLEDLELPPETETPKASGNARSAVFVAPTPGIPVSQIWTQRSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWSESASPNVRGPPALVFSFSQLEDRLKAAYKATTEGKFPEALRQFQSILHTIPIIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELKDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQRNYATAAHFARMLLENSPQEAQARKARQVMQACQDKEDSHQLNYDFRNPFVVCGATYVPIYRGQKGDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >Dexi6A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:6A:2296709:2298937:1 gene:Dexi6A01G0002370 transcript:Dexi6A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQAPGNHSPPKDDRSAEENVVDNWLPINGSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSQLGWGAGVTVMILSWIITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGTSLQKFHDTVCENCKHIKLTYFIMIFASAHFVLSQLPNFDSISGVSLAAAVMSLSYSTIAWGASVHNGKVADVDYSLSASTTPGKVFDFLAALGSVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVVVAYIVVALCYLPVSFVGYWAFGNSVKGDILVTLNKPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKFRFPPGLTLRLIARSTYVAFTMFLGITFPFFSDLLSFFGGFAFAPTTYFICIILGVLLMVLAPIGALRNIILSAKTYSFYQ >Dexi3A01G0022720.1:cds pep primary_assembly:Fonio_CM05836:3A:18344275:18347710:-1 gene:Dexi3A01G0022720 transcript:Dexi3A01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAGGTPGKGRLHSYHTTPQSFPTQSLPSRAKYQEEEDEVQTLKKQAGPKNTGRGCNSGAARRPRALQYDWTEEQDMALRKAYFNAQPSPHFWKRVSKLVPGRSAEDCFNRIHADLSTPTPVAPHLLEVTAGRQRTAKQKSLAAQRTVRHLLQKHCLIDQAQEADHFSLFETSPSALQLNISFEDSPGTPESYLNSGSLGKSGSLSARKKQFSKLRAERAEPSPAVLEPIKNVILHEKYVDQLSRWEVTKKPRKRTPGSKAVDSGKTLSRQQAGGLKAAKTALISEATDFINLFKKLQANSLALIVENNEDDDIDGI >Dexi5B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:5B:515245:515920:-1 gene:Dexi5B01G0000800 transcript:Dexi5B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEARAPRPATVARKWLEDPGGGHGPVAAAREEFTCLVMAGARVSVAEPGRVVCSLRVRAPLADAEGRWHAGAVAAAVDNVCAAVVFSVEGEPSITVDYALSYFAPARHDEEVELEGRVAGRNGQLTAAEVEVRSKDSGELLAIGRQWMTALPSKTSRSSKL >Dexi3B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:3B:7898171:7898540:-1 gene:Dexi3B01G0011230 transcript:Dexi3B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPYDKAGSGGKKTLLTEEDLQAMAQDAMEIGL >Dexi6B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:6B:22857965:22858841:-1 gene:Dexi6B01G0015560 transcript:Dexi6B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMIENMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFDNVVRPSFSLTKNSPAFVLFFDCAEEELEKRLLGRNQGRVDDNTETIRKRFNVFVESSLPVIEYYSSKDKVEKIDAAKPIPEVFEDVKAIFAPYAP >Dexi7B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:7B:14415402:14418072:-1 gene:Dexi7B01G0006710 transcript:Dexi7B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLPEQEGTKGMGSSGCSEIVELVDDPKDTRLGGVTHLRVRVKPVGQEDGARSCSVEGDLDRLIRSINVRTSARASAQTSTDRRLIALGKSPMSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPSGVSTPPDSGAIKKLYGSIAVQTNEEKDDTNKVVKVSVLPEKPAGCSLAEPVETSKGQSKSSTKKNSRSASPTTAKIHKTRIQDVISNKSSEAVDDPPAGTTLAKQRKGKSAKASSPRAVPVGGSRLVKPMFRNKTSTKKKVKPEPASVAASHKQCEAKCSNSHTGKHETLQDEPRTPAPTNKKATISSTCVEGTDFGTKGCGVGTIHGSKVGELSRSKEKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGSRPHMSKDVRWGAIRRLTIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLINRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPVLVRTSSVGRDEPSRPSGPCAQSCIDPLCIQTSWSNSSCFTPRLVSSTPSRTRRTRAEPLKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFKGPGNEETLSNVISQNLKFPDNPAVSFHARDLIRGLLVKEPEYRLGSSRGAAEIKRHPFFEGLNWALIRWTAPPETPKCFDTSAATVTTTRKKKEGKCLEFRLNGDDIEFELF >Dexi3B01G0038480.1:cds pep primary_assembly:Fonio_CM05836:3B:41288005:41291539:1 gene:Dexi3B01G0038480 transcript:Dexi3B01G0038480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKQMMGTEEVKEKKEEKHKAGRGARTGSGKTLAFLIPAIEMLHNSHYLPRNGTGVIVICPTRELAIQCLIIDEADRILEQNFEEDMKQIFKRLPRDRQTLLFSATQTQKVEDFAKFMFRKNEDRQRKLVYVGVDDYELKPTVEGLKQGYCVIPSEKRIILCNLILRMTQRITFTELVALPEKVAASFCFKDPPKVHLNLEINASKHRKMWKSGGRRHGIGPSNPYGKRGGYDHRQLARF >Dexi9B01G0041530.1:cds pep primary_assembly:Fonio_CM05836:9B:42004229:42007932:-1 gene:Dexi9B01G0041530 transcript:Dexi9B01G0041530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAATLLLRLLPWVLLLRAAAAAAAAGNGSCARSCGNLTVQYPFGFSPGCEIPLACDQANGTAWLGAERELLGLRVSNVTARSLFLALIPDCSRRLNASVDALFTDNYAPGWQNALLVSSCSHAAPTNSCSIQPANYLNSSSLRDESHCFHGSTVENFSCLTQPLAPTSGNRFLNRAELRALGSECAGLVSAASYWDTPAPAPALLLGTMELEWWMPGPCRCSPHANCTQVTTPDASKEQADAFRCECLEGFEGDGFVDGTGCRRVSCNPSKYLAGDCGKTIQIALLVAGIVFGAMVTCVACVVCQLLKRRSASIRIRRSTKRFLSEASCCPVPFYSYREIDRATDSFSDANRLGTGAYGTVYAGRLVDGRLVAVKRIRHRDDNAGGLDCVMNEVKLVSCVSHRNLVRLLGCCIEQGHQILVYEFMPNGTLAQHLQRERGPAAMPWTVRLRIAAETAKAIAYLHTDVHPPIFHRDVKSSNILLDHEYNSKVADFGLSRLGKASSVEMDSSHISTAPQGTPGYVDPQYHQNFHLSDRSDVYSFGVVLVEIITAMKAVDLTRPPSEVNLAQLAVDRIGRGRVDEIVDTCLVEQPCRDAWTLASIHKVAELAFRCLAFHSEMRPSMAEVADELDQIQRSGWAPSADDDEAAAFMSTSSSICSSVASMRGTERSWGAGGRSRTTERATANALVPQETEAPKGAAESSPVSVQERWFSDRSPPSSNSLLGNRPLR >Dexi9A01G0029010.1:cds pep primary_assembly:Fonio_CM05836:9A:33736993:33737984:-1 gene:Dexi9A01G0029010 transcript:Dexi9A01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARVWDVLASCQTARSAYLRVLARPTVRMVAQNAILLLIWMEAIGFNLIEKVASMAIDDSSLTNLVYEANALYNYVLYGHYGTFPPPSFPAFQTITALCTAPRRGRLIDHRFFVFHKNIIARGVAMYRDNAAGLVFNDHLYAMLDQYETDSNSSWIPNPVPAPALMAPYVAYAATTPEDKRTCFVAFDERQPLTSQEILDYFQRTLLFGHCIESVDTEQAASPTQRSKHGMIVFRSEQMRKEAMMGEPAAFFLVDGRDMWVQPYDPSM >DexiUA01G0000690.1:cds pep primary_assembly:Fonio_CM05836:UA:2430631:2434820:1 gene:DexiUA01G0000690 transcript:DexiUA01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRRDAGVRTRTEKSHIAAGTPTPPPSRSTAARSPFDERARRRGVPRWRHALMSVGQGMEGERKENSPGHGESMARRRKARHAGAEESAALAQNSAATEGEKRNGTDLGLGFHRGFDAFIPSEIDGRPLSRATSGHFQPRREGEFPAQAQKSRMSEPSRSERAEPRNSQLLGRASERAGRVKSRARARLGQNDPFEGDQDQVCEEEPPQYFEQDKRAVTSISHQYQKELSRTEYRHLPRRRPGTEQTVVVGGGPTADPRLNVLARERIAELEEQLAKQQGQEDDDERMANAWSPPRKKLRYGAPFSVTQFRE >Dexi7A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:7A:22020636:22021536:1 gene:Dexi7A01G0011590 transcript:Dexi7A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAPGKKADAKTQALKVAKAVKSGAVKKKTKKIRTSVTFHRPKTLKKPRDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Dexi5A01G0036230.1:cds pep primary_assembly:Fonio_CM05836:5A:37860087:37860404:1 gene:Dexi5A01G0036230 transcript:Dexi5A01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHRTHSEHVSKVAAIPCHAGGGAVQHNTAVHKGESSKEVGNCYDERCHGQHNSGHAHTLQQQAHRHHETYDESCEEEMTYSAGGLHHGHGGGGGGGRRYEYET >Dexi2B01G0021280.1:cds pep primary_assembly:Fonio_CM05836:2B:31129211:31129846:1 gene:Dexi2B01G0021280 transcript:Dexi2B01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHPGSSSKDFGVIFLKLHASLERLHLQTQRRADIVHQVPTVVRLQAAVRGFLVRRQAQVLRATRRTEHEQMEAAKRQHAISSRGVRLKAAQLQSLPTARAQPREGVKGHPKAAVAVRLQSVEQRHAARTSWDLMLGADFAVARMEEMAAQVGIPLVVASSQWTAPSASRASTTAVCGRPCQHPESAAPHRRPSRGDLYRLRQAMRISIY >Dexi7B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:7B:23140879:23141512:1 gene:Dexi7B01G0017170 transcript:Dexi7B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSLGLEEDYIEKALGEQEQHMAVNYYPQCPEPDLTYGLPKHTDPNALTILLQDPNVAGLQVLKDGDNWIAVNPRPNALIVNLGDQLQALSNGAYKSVWHRAVVNAARERMSVASFLCPCNSAVISPAAGLVGDGDDTPVYRSYTYDEYYKKFWSRNLDQEHCLELFRS >Dexi3A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:3A:6119243:6120043:-1 gene:Dexi3A01G0008790 transcript:Dexi3A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLLLPLLLLAAAIAPQPSSAVDPVGTYCANNFTSSQTQTSITQVLAALVPRASSTYYATATSGTGGSTIWGLAQCRGDIPSSDCALCISAAAEQVAAACHGQADARVWYDYCFLRYDDADFIGLPDTGYELILINTMNATDPAAFDRAERKLMAGVAAAAGDAASGGLARETARFGSSGTTIYGLGWCTKDITAADCGLCVAQAVAEMPNYCRFRRGSCMARYETYPFFFPVMSGAAAAASNADEYEKVILNHHN >Dexi1B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:1B:4792985:4796575:-1 gene:Dexi1B01G0005900 transcript:Dexi1B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASVERVKDSATGLDKFVLREARGSSVEVSSLSRNTLMNNFILQLGNHGALEQHGFARNRFWSVDESPPPFPVAISNCHIDLILKSSQEDLKIWPYSYEFRLRVALSPRGDLILTSRIRNISSDGKPFQFTFAYHTYFSVSDIRYISKCHIGSANRIEVRVEGLETLDYLDNLKSKNRCTEQGDAVVFESEVDKVYLSAPPKIVIIDHEKKRTFVLRKEGLPDVVVWNPWDKKAKAMPDFGDDEYKNMLCVGAAAIEKPITLRPGEEWLGKQEISAVPSSYSSGQLDPEVIRRMHTI >Dexi9B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:9B:812589:814460:1 gene:Dexi9B01G0001380 transcript:Dexi9B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMEVAGTQPEIEWDGGGGGADAVLGLAPAGASVSLCYHQAFGPHNDIVLLEAGDDLLPDLLQGRVTVRGRPDEEAVLCTPSATYSMKFVGTSNSVFLIPPGEPSASCLRPDHTKGDANAADAVAAAIKLAPGSIELVRTAPRLDKLRSLLRERPYVLDEDLGDGLQHKKGLYTWKDLCNRIQASDGELLDGLNTLSAVEMDGFWRTVEVNSVNTILDVILHNSVLHDWSLNAMPETDVLSVMESDGFAHKIVTHCLNRFGKKVEQETRSFWSLDEKLVCLQFARKVLGAGKMKLANFMDKWERSIPSGMHADLQMLEGEVLFEKLGAETWVHAFSVADLPLTPADRFAALFRERVKWEWKDLQPYIRDLRVPGVSSEGLLIKYTRRTQPSSEAEPIFTAR >Dexi7A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:7A:27197219:27199457:1 gene:Dexi7A01G0017540 transcript:Dexi7A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSRGRESNGRLLLVVYLQDVLHGESETFLGRRKLPHAPGRRHAIAILAGDQYKTVLNVACISGHRVVWGGAVRVLDDAGGKNAAERERKASYLSSCFYGRGGQPVRAHLVWSGSRESSAGCSGHGVCAGVVRRRRPVPPVVSPLKGACQVLGASAHKASVRVPDNTAEFYEAASVTVKTPRGLRGRQPVEEELKTLLRMIPIWLTRTPPFVRQGTTMDSRITGGAFSVPAASPTSIRMMFSVASIALYNRFLGRAQAFTPLQLMRLGHATVALAAAGAAPMGIDCVAATAVEFFYDQAGGARDIERRVDCVLYFPGLIRRLLSVNRLLRDMSSTMAQATENPWWSLSPEEKKRTVQVAWAATLMDDLDMRDDQDDDVAVAYGVPASKQAIIDLCVPTWGEAKENEGHDGCVVCLEGLGIDQELRMMPCKHTFHKLCIFNKARKIRKKRVE >Dexi1B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:1B:7959034:7962689:1 gene:Dexi1B01G0009030 transcript:Dexi1B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRIRSGTGLRPARRPAESHLLDAASIADLLCSSRRRPRAATEDPRFCSLQPRSRVLAHRIPKAIDHHGRRTLVPCDQVNKGHNKKRLNKKREKRIWSAEEENALVDILYEMNGSGWKADTGHKCGYMTYIEKELAKRFPNSNIKADPHIQSEVKKLKKMLSYVLDIQQHGSGFGWDDERKMSRNGALNLYMKPFVNYDKLCEIYANDLAKGSNAKGPGDHIDLLEEQSAKNTSEPAHQSESVVDSQSHLQCPGSNPSNGNKPSGSRKRKFVEDDAMSWEFSNLSKSLKNLVEVQTSNAAAMNVIQSAYAHELEAQKQTDKRREQLFFVLTKFPQFTRDELVKAALIIGQDATKLNMFFTTPEDFKSAFISEVLRSSN >Dexi2A01G0036710.1:cds pep primary_assembly:Fonio_CM05836:2A:46160858:46164163:1 gene:Dexi2A01G0036710 transcript:Dexi2A01G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSELIHRGGGHDAQPPADEAAAATAVHYSSPNKPAHGKAPLPPWLRYVAGEQRLLFTLLGMALASLVFLLAPPSSSAAVGLAARHSGGGSIVSRGSGVVDMGGVARRHQGRVPLGLKRKGLRVVVTGGAGFVGSHLVDRLMERGDSVIVVDNLFTGRKENVLHHAGNPRFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKDMLGWEPKISLRKGLPLMVQDFRNRIFGDQQQQHNSKEDAGDH >Dexi7A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:7A:30053072:30056500:1 gene:Dexi7A01G0021460 transcript:Dexi7A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSAKGGYHVLRIDGFSRTMGAENRGSRPRFESSPFRVGGHAWRIGYYPRGTTWFSLLDPYMEPLNLPLHDTVATKPAMATFSAPGSSHGYEQFVRSDTLWELPIILNYDSFAVRVDLRVVKAEEPPPSDMHRHLGNLLFRKEHTDVEFRVGEETFAAHRLLLGARSSPEFKAKLLLGSNTDVVQIDDMEPRVFKAMLTFMDHGNSDFISLYLVLDDIVDDEAMLAQFTLSLLDQEKNPVSCSTTHMNNFSEHRVFGYDRFIKREILERPEYLKDDSFTIRVQIHVVNETPSVLVPPPDIQQHIGSLLLSMEGGDVEFRVAGEAFVAHRLVLAARSPIFNAELYGPMKEGIVANTIQIDDMEAQVFKAMLDFIYTDSWPEMEQEDESAMAQHLLVAADKYCMQRLKLICEARLHNHIDAGSVAVILALAEMHSCSALKEACFNFLSSSTSLLEIMEAQECEYLAQSCPAVMEELNAIFLARDLEKAKISEGIEGDTPSDHKN >DexiUA01G0024170.1:cds pep primary_assembly:Fonio_CM05836:UA:49812213:49813505:-1 gene:DexiUA01G0024170 transcript:DexiUA01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNMRVLVTGGSGYIGSHTCVQLLQNGHDVIILDNLCNSKRSVLPVIERLGGKQPTFVEGDIRNEALMTEILHDHAIETVIHFAGLKAVGESVAKPLEYYDNNVNGTLRLISAMRAANVKNFIFSSSATVYGDQPKIPYVESFPTGTPQSPSSATVYGDQPKIPYVESFPTGTPQSPYGKSKLMVEQILTDLQKAQPEWSIALLRYFNPVGAHPSGDMGEDPQGIPNNLMPYIAQVAVGRRESLAIFGNDYPTEDGTGVRDYIHVMDLADGHVAAMQQLADKPGVHIYNLGAGIGSSVLDVVNAFSKACGKPVNYHFAPRREGDLPAYWADASKADKELNWRVTRTLDEMAQDTWHWQSRHPQGYPD >Dexi9B01G0027660.1:cds pep primary_assembly:Fonio_CM05836:9B:30107730:30110310:1 gene:Dexi9B01G0027660 transcript:Dexi9B01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSYGADQTRPTPKQNPPVAAMEHTFIMIKPDGVQRGLVGEIISRFEKKGFYLKALKLVNVERSFAEKHYSDLSAKPFFQGLVDYIISGPVVAMVWEGKSVVTTGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSIESATKEIALWFPEGLADWKSSQHPWIYEK >Dexi9B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:9B:175928:178481:-1 gene:Dexi9B01G0000230 transcript:Dexi9B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQDCGFAVGTGRIDEIYCLCYADEEGEYRKPPNRIPRPLEISIRHVGSTRRRRWPVNPIQVRRVLLTPSEPPTFLNPPPVTYCNDCSCRQQNSRTAATFPFKSPHHGYLLGCSCYQLCRARPAYISSDRRSICTRPAGAPRFFVATAVAEVAQVGDEPQQVADGEHEERFADTDAHEHQSSPKSPAIPNPPSPPVGDGETDMRGGYSGFGGARHSSNSSDGRGYNGNPCLTMHQPWASLLVHGIKCVEGRSWPPPITGPSLPPPPSLDGTPCL >Dexi3B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:3B:9449238:9451905:-1 gene:Dexi3B01G0013250 transcript:Dexi3B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTGLRSPSPAPTVAWMGRRPRTARWPRGRLPAARRVVVASAAASDANSSSNSPERDEEREEVARKVKEEKAAASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDGNGKTENIWGVGVVGEQPVIFPGTGFEYSSACPLSTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >Dexi1B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:1B:1105125:1106923:1 gene:Dexi1B01G0001420 transcript:Dexi1B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAFPTWVLLEPFVFRRDDSSSSSSFPDETTAPIRATGTTTWGATFRIAFSVADPPRISRLYAQLPSPGFLGREANPLAVVDPPPPRPVSREEDEFVVAELTLFKPIDRSRVFADICLLHSTSDAAADQQLTWKSMRVELFLSTNNNRSAGDSDLQQIRWWYTDAVIPFDKWLCWVDYHRGILLCDMSKLPNHPTVSFIWFPLDKLPISGNRNGTSTCCYRAVSVVDRGRALKFVNITRQDGVPFAALKPGTGFTITCHTLVVVGSKFGFVNKKMWVVSIDMSTKTVESSSLYINGNEGLQTDDADLIRCRSMSALPFIPCEFPEFLNSSRERKDIE >Dexi3A01G0025740.1:cds pep primary_assembly:Fonio_CM05836:3A:21529583:21531362:1 gene:Dexi3A01G0025740 transcript:Dexi3A01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSSSTLPFLPALACTHPPIERETMAMAAARRALLTHLRVPVARPAAAAAAAGSIPVATRRLLSTTEETKGSFLDKGEVADRVVSVVKNFQKVEPAKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFGFEIPDNEAEKIDSIKTAVDFIASHPQAK >Dexi6A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:6A:19347223:19352260:1 gene:Dexi6A01G0012670 transcript:Dexi6A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSRGLARFFRQVHALFLKNLSFQRRNARTNAAIAAFPALLCVLVFCIQQVVDSELARPPFQCGCRGTQCGVQYSTPIQAVSCAVLTPARWPALVQVPNAEARARTHLHPGPCNASENCPVTVLLTGQNRQLAEGLGRLLFPPIPVEYLLTPGGGSNSSDYLEEFPRVVAGSNTLPAHVLLVEPGFVPQETLYVLQPQCQLFSSRNISENFDGIQLESGYDFLDTSKRRFHASTAYLNLFGGQNVEMRLEYLKEMPTAAVPMRLNLTTLLDALFFTWTVELLLPVILTYLVYEKEQRLRLMMKMHGLKDAPYWLISYAYFLSLSTVYMIFFMMSGSIIGLDIFRLNSYSIQSLFYFIYINLQIALAFLLASLFSSVKIATVIGYIYVFGSSLLGEALLKIFIQDANFPRLWLVAMEFIPGFSLYRGIYELSEYAAAGRNMGKPGMRWADLNNPVNGMKDVMILMSIEWIILLLVAFLMDHRPAWRPLFVFGFLSTKHSSPSEKPNKLKTGSRRVHVDMTKPDVFLERKVVKKLLKDMDMRNMIICHNLKKVYPGKNGNPDKHAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLLKPTYGTAYIHGMDLRTDMNEIYANIGVCPQHDLLWETLTGTEHLMFYGRMKNLTGAALTQEAEELCDRIGIFIDGNFHCIGTPKELKARYGGTRTLTITTSPEHEEEVEQLVSQVSPRYTRIYSVSGTQKFALPRKEVGLGQVFGAVEVARRAFPVMGWGVADTTLEEVFIGVAKEARVFDVLS >Dexi1A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:1A:9939197:9942921:-1 gene:Dexi1A01G0011110 transcript:Dexi1A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDAGNGLNGTAQTKGSVGDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSHCGKASKVQYYNNPMWPATYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHSSVESIDICEIDQLVIDVCKDFFPQLSVGFEDPRVHLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPRFFTLSILLPLSYFTFNCPPVNFLTPINPIEKLEGATKAGREIRFYNSEMHRVAFVLPTFVKKELEAYHASTEREQPEETAVTAPKIAAAAKSEILTAS >Dexi7B01G0024130.1:cds pep primary_assembly:Fonio_CM05836:7B:28534464:28537661:-1 gene:Dexi7B01G0024130 transcript:Dexi7B01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >Dexi1B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:1B:25000242:25000661:1 gene:Dexi1B01G0018830 transcript:Dexi1B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWQQETRACLNKDGAEDTCWAASKREEPDEPEAVGGEIVAEKQKLVEEHAILAAAVLHTSSTPLPSSLPFLWTPDTVVFHPLVFSLSVSMRWSSSLSCSPSSWAWAGTRPKRKKEITFLSGVNWHAAAPRPRRHQQR >Dexi1B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:1B:964554:968552:1 gene:Dexi1B01G0001230 transcript:Dexi1B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVDKRRKGHGAEEDDADAGDDYGGVPKASVRSDADADARGGHSACGGTMCEEYKDVDVVSTISESSTSVETGGGHRSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGTSARSAAASVVPPFSKPAPSKWDDAQKWIASPTTNRPSRVAGGAAPRKMEKPSSGIGRLPATKVVLEATEEIDTKRIDPSQEKREIGWQKAVNWAPPDPSPEVEPCPKTTIAAESTIVDSAVSLDHNDSSTMLQSSTTCIPPPSTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPNSRPTTPRRTLSPNAIGAVISHGECSNAELSEQDLQTKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKTVPMDQSTQNITEVRAAAWEEAEKAKYLARFKREEIKIQAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRAAAELKRNRAAARTAEQAEHIRRTGRVPPSFGCWNWCS >Dexi2A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:2A:12114921:12119745:-1 gene:Dexi2A01G0010790 transcript:Dexi2A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLGQPNGQVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCPRVRGDEEEDGVDDLENEFNWNDNESQYGAESLHGHMTYGRGGDLNGVQQPFQLNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHKMRGDGGGDDVDDADLPLFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDCEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGDRKHKKKTTKPKTEKKKRLLFFKKQENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >Dexi5B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:5B:126218:129544:1 gene:Dexi5B01G0000240 transcript:Dexi5B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDEPLEFEKEEDPLLPTPPPAKRKKVIGLDDLLLDFFETGKDERRRKAKGAKSNTHDSRGYGSDDDDSRVKENEISFCKIFEVCQEETKGLDARDDVPPWGQQIFGCQKPPSNLSDTGIENCRLLQSFCSSEHLGFDLEIQQGEGFLEGMLMDGWLLKLVHMGGSVEDSVASWALTKLLYSSNKKLQVSATDFWDSILSLDEDDKLLVNLGYFPSYSVLKHAILSYGYLFETPGTKASTFESGIEDSSDVGPPHNIIAWLRIVSACCKIRKARSIFSPSEAEELLVIVISLFLDRGLEGLMLVLGDCLNSLVLYFNTREWESNCVMVAESIAQRIVDCITGTNKRSKFLRSQLALQLLKISFGLKVGNVEKMLKLVTSINVKEKECDFFRLYVYLVLMDNLLFSSDAFRDKTMIVDTWRNYLRNCSTQIPFTNWGFYAPKVRNKASYLLQGAIFKKSGGDGSVSAR >Dexi5B01G0024180.1:cds pep primary_assembly:Fonio_CM05836:5B:26284500:26286801:-1 gene:Dexi5B01G0024180 transcript:Dexi5B01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRALVEAIHSSRSQGVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMVQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPVTKN >Dexi5A01G0038890.1:cds pep primary_assembly:Fonio_CM05836:5A:39681254:39681674:-1 gene:Dexi5A01G0038890 transcript:Dexi5A01G0038890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGMKDYSSTPENFKALVDCKLEVCKALQIPTEQFELSMGMSGDFEQAVYRDGQHECEGWVNHFWAKGVSNQKAVVEGLRLNASA >Dexi5B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:5B:10428383:10433177:-1 gene:Dexi5B01G0014070 transcript:Dexi5B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPVSRAAAAFLLLRRAPAKAFSSLRPPPTPRRFLVSASSPYPATPPTALRPLSTVASSCTAARAAPARRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHALVIDQVVQKALDDAKLSGSDLSAVAVTVGPGLSLCLRIGVHKARQVAKSFALPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHSLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEELALDGDPNAVNFRVPMRQHKDCNFSYAGLKTQVRLAIESKNLCTDDIPISSASEEDRQSRANIAASFQRVAVLHLEEKCQRAVEWALKMEPSIKYFVVSGGVASNKYVRTRLNQVAENNGLELVSPPPSLCTDNGVMIAWTGIEHFVAGRFEDPPAADEPDDMQYELRPRWPLGEEYSEGRSVARSLKTARVHPSLTSMIQGSLQK >Dexi8B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:8B:11393251:11396339:1 gene:Dexi8B01G0008480 transcript:Dexi8B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTNNWLGFASFSAAADDAAILPPLPFRGDEATPAPKLEDFLVGMQESSPAAAVAAGRPFAGTGGAAASSIGLSMIKNWLRSQPAPAVEDSTALVAASPEGSEKVVVHGAESGGAAVVDVAQQRKAAAVDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESGSLPVGGTTKRLKDVPDQLDMGMNVNGADSGGHMTVTNLLTDGIGSYGRESYGYSGWPPSSMTPIPLQFSNGHDHSKLWCKPEHDSAVGAAAQNLQHLQRLPAPGGTHNFFQPSPHQEVTGATDVSSPSVDSNSFLYNGGVGYYPGAMGGGYPLPVATLVDCNPMASGYGVEEGTASDIYGGRNLYYLSQGSPGSNIGKADAYDQQGVEYDSWVPSVPVISQKPSSNVTICSGTPLFSVWK >Dexi5A01G0030720.1:cds pep primary_assembly:Fonio_CM05836:5A:33584060:33586816:1 gene:Dexi5A01G0030720 transcript:Dexi5A01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTPPGTPRVTALDAAEKAPSSTVAKRTVTRSSSTTRALRVGLSCQNDHSLLVEYDQDIRQNLGGIRGASLFPHSIRSTTTKGRPARASDPGHSISNGDRYIVDNGSSNGHLSGESSGALSHNGGSSTGSPDRESIGTKEVLSELDIYANSRYEAMLLKEDTKNMSWLHSVDDKSDQSPVFDHRFEPLPEPFGPL >Dexi8A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:8A:20955613:20957142:-1 gene:Dexi8A01G0011950 transcript:Dexi8A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEIRRCLNEGIEFQGEVLNFRKDGAPVYNRLRLIPMHGDDGSVTHVIGIQLFSEPNIDLSNISYPVYKQQSSHRLSIQDLNSAPHEHAPKIQSSDYCGILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLRWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLEAARPEWSRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWREIPTSWSPPSRLGHTLSVYGTTKLFMYGGLAKSGSLRLRSSDAYTMDVGEDSPQWRQLATTGFPNVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAQLFLIDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >Dexi7A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:7A:25915736:25918288:-1 gene:Dexi7A01G0016020 transcript:Dexi7A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNKKKAAAPAKLRKPPRDAEKKLGKKAGMTEFRAQLDSLGLKIVEVNADGKCFFRAMGDQLECSEAEHMKYRAMVVDYIVKHREDFEPFIEDEVPFKEYCDSMLKDGTWAGHMELQAASLLTRKKHMHSHELLFYVQLNSPRWYINNFSGGQAVKLLIRCTCLIVMSQTDANISSTDNNAQTKARSTYDQTSVKLVMAGTVCSDAAIAQHVSCSLATASPREPPRAKGGQGKGQKGKKQKKKEQAAAVPVKVKES >Dexi9B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:9B:2353387:2356012:-1 gene:Dexi9B01G0004090 transcript:Dexi9B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRGVACLLVAVICLSCAAAAAARSPAARLHRHLKRLNKPPVKSIESPDGDIIDCVHISHQPAFDHPYLKNHTIQMRPNYHPEGLYDESKTNVVSSSSGERPKVQLWHQNGRCPEGTVPIRRTKKDDLLRASSMRRYGRKRHTTANPMSVNPTMLNEGGHQHAIAYVQGEKYYGAKATINVWEPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFVQINSEIAMGASIFPTSSYSGSQYDISLLIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGVHTSTQMGSGHFPEEGFSKASYFKNIQVVDSTNNLKAPKGLGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCQ >Dexi1A01G0023140.1:cds pep primary_assembly:Fonio_CM05836:1A:29737360:29738502:-1 gene:Dexi1A01G0023140 transcript:Dexi1A01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLMAGNTNPNQNPNPPPAPPSAAAQRPTNGAPAATAAAAPPGGAGGAAAGTGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVSSAAAAAATSAAMVSGGGAISAVGLPASKNPKLMHEGAAAAHDLNLAFPHHHNGRLPEFAAFPSLESSSVCNPTMAGNGGAAAGRGGGVGALSAMELLRSTGCYVPLQHVQLGMPPEYAAAGFALGDFRMPPPPQQQSHHHHQSLLGFSLDTHGGTGGGGYSAGLQESAAGRLLFPFEDLKPAVNNAAAAGGGANNNGAADHHQYEHNNKDQGGDGGSGGSGVTGGHETAGFWSNSIMGNGSSNGGGGAW >Dexi1B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:1B:193315:194745:1 gene:Dexi1B01G0000100 transcript:Dexi1B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEEKPSLRPPLHGYYRREAEAPRTSFALVDLKAYIADRDNYTSASCKLSDGTHIRVTFCAAPPPLVSYLCVWCPGLPPTEIDLEPTVLAVESDLLLLDFSLHGWRHVDYLVYKAYDGSGPSLQLLHLPDPCLDKNCSFSLLPHRDIAQRHQPVDGGEDDSSGFRLRRHGEEDCHYYVAVFYYDSNNESEYSFKLWVFDSEEEQWSSRLISVHCPVYHITTKVISLGKDGLLGFLDPWRGIVVSDILGRRDSHYLPLPAGLITNRVMVQPALLFRDIALVQGRLTVVDLHPPTGPDANQNFNWGVSTWSRKVTDLWEEDWRHDYNVCSPDISVDQDTENVSLLPVLKDSQGQLRPTLGRLYIAHPMLSLSDSHAVYIMAKASICDKKKALVLSIDLAEPRLQGVAEFAAERMLGAIFSYTCTQSWIPKYFNPG >Dexi7B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:7B:25557090:25558792:-1 gene:Dexi7B01G0020130 transcript:Dexi7B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRDTAAAQRIRQKDVFEKQKALILIGERLVLTTLRFDFNVQHPYRPLFDAMRNLGINQKEVKQVAWNFVNDCLKTTLCLQYKPQYIAAGSLYLAAKLNNFRLPLHGAHVWWHQFDVAPKPLEAVIQQMMEHAAVKKMMPARPSPVKQKEAPCEAKLQVSNSPDSVLSQPGLSISSSSPDIGEPSDHMQVDSCQYLISSHKGDGRVSGPDSTCRNVSSKAHDEESLDQSSITKHDVMMSCSNQTSLDAMADTEDSAASMKQDVSHCTVNGKNLNQMPRNWHGDSVNQLSEVISREVKVGKESTRCVEPSNRSSNHCTGSLNADRLCTDQRLVDVVPIDDVPSASPLVVEADPLGAELKKVDVARIKDLLTKRKRQREIQERAMSSDDLDEEAWIERELESGIVIKQEAAASDELSEEAWIERELESGIVIGPRNKQAITFDGLSEDDWIEKELESGIIVEPGPASKKQKLEPSCC >Dexi4B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:4B:21288115:21289066:-1 gene:Dexi4B01G0019010 transcript:Dexi4B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSDPTNGVVVGHVHIRAGPFTVSPGAAACICPTRVLGVAASPFGFGAFRSSSAGWPTDGDVITRVVNIQQAFHPAPAARRACVLWLRGVTRVHMAAGPGVCVVSTRRCKPRLALGPVAVPNATRSRAKASLASSCFREVLHGFPCRRVRLRRARSRRRRARDVQCRTCALLSGAGRTTRPVAVLPRQPR >Dexi3A01G0029810.1:cds pep primary_assembly:Fonio_CM05836:3A:32219607:32219882:1 gene:Dexi3A01G0029810 transcript:Dexi3A01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGKKINNHILAGILCLSASRSVPWLVELVTATYARGSASSGTANTRTSSGKISKPSEPRTAHQAERTSTKRSAKPEHAEADELEVPPP >Dexi1B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:1B:14958083:14966610:1 gene:Dexi1B01G0012280 transcript:Dexi1B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKPPTKGGRSMEAGNGGAGATRWRMSCPPANGAPLVDAGSMSIRVVLGRVISSVDASGPRPVLAIGNGDPTASACYRPPPEAEDAIVDALRSQEHNGYSPTIGILPARRAIAEYLSLDLPYQLSPDDIYLTAGCCQAIDVMISILARPGSNILLPKPGFPMYESRTMFSNIEARHFNLIPDQGWEADLESVETLADENTVAMVIINPSNPCGSVYSHDHLAKIAETARKLGIIIIADEVYDHLAFGNNPFIPMGVFGDIVPVITVGSISKRWLVPGWRLGWIATCDPNGFLKEAKVDKSIENYLNITNDPATFIQVKLDLSCLDGMQDDLDFCCSLAKEESVIVLPGSAFGMKDWIRITFATDVPTLENALERIKSFCQRHAKQEAQV >Dexi9A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:9A:12026970:12037687:-1 gene:Dexi9A01G0016980 transcript:Dexi9A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTAESSFSIGDSSSTSPVEDCVSSVPDDPMAALYSTVAVQSHVPMQLELRASNYTKWCQYFEALCGKFGLLAHIDGTPAPNPVTDNWRAADCCVRSWLYGSVAESVLEFTMTKYQTARQLWVAIQQRFEANKAPRAIFLSHAFHTLTRGDMSIEDYGKAMKKAADALSDVDHAVDNGTLVLNLLRGLNSVYSNTADIIASTASITFNEALDQLTLKELRLANEAKVSASTALVASTNSTGCGVDCRSSFVPIQQQQQPPLQQQQHRQPQQQQGQQRQQQKGNGGGQQRRKKTFKKNGCGQQQQFRAPQPTGPWICFNPWAAKQGAQAGGSGAAWATGGQPWRGDQGILGSAPQAHTALGSLPQAPPSWDQAGLVAALQQMALQGNSWVMDMLAISTPSPQLSPPPPMPCLQPPRPCGIVALVTLSKMSATDGAPVADPFGYRSLTGALQYLTMTRPDIAYAVQQPHLALVKRILRYVKGTLSSGLHIGAGPELHVPLSKATLVYCDNVSAIYMTANPVHHRRTKHIEIDFHFVREKVALGQVRGLHVPSSRHVVIEVSAMEKKAERPSSTARIRPCSLSSWRICSTLGQASRSAAPPNPAAASTAPGAPAPAAAPAPAAAAAPTAIARRHHAAASAPHANATATLLTAAAAATPQPPSSTRGEGAAVTGTGSDEAGAETAASGRGQLLARHRRRGRGGDEAAGPWKGGLVGAARRRGWKWNRGSLPPPSSSEVAEARMALLAGGAGAAAVGAVGGGCARGGGRGDEATSTGTMRMEVWGGRCAHEQQAAGGHAKPNGVDGPTSFHHLNQRLRSAPSQEPAS >Dexi3B01G0022230.1:cds pep primary_assembly:Fonio_CM05836:3B:17116097:17119577:-1 gene:Dexi3B01G0022230 transcript:Dexi3B01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLEKFGTRLHWNISRSSPASCWNHHKAVTHIDIVWVYTFGSHYFVEIPKNLQLVLLHHHHQYHQHWKILHNQNKFPGYMWQTPNLIQTLHRNQTHRFGYFHHPHHPLPLLHVHHLNHSHPNQCQTQILIRHHSHIHHPLLLRCLFHQR >Dexi5A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:5A:7281817:7282907:-1 gene:Dexi5A01G0009710 transcript:Dexi5A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRTTTPQFWCCLLLAFCREEEAKTFRGDWCITTSNADGAGPDTSSRSAGDGAAGAGGLNGCGASAGASFFPCCFFFLLCLFFPLDGASAGGSVAGASATGGGVAGALAGGSVAGASAGGGVAVVGGGAAAGGSTAGGVAFGGGFAGATAGGGFAGGTGATVGACVVGAGGGFAGAMGVVVGAGVAGVGGGFAGVAGVAVGAGVAGVVGVTGVVGAAGVVGVAGVVGAAGVVGVAGVVGAAGVAGVVGAAGVVGAAGVVGAAGVVGAAGVVGAAGVAGDWATAVAMWRARTTAITARRRDRRSSGGAAAMLLARVRGRWALADGNGIDGCGAGE >Dexi7A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:7A:20966736:20967878:-1 gene:Dexi7A01G0010090 transcript:Dexi7A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAFTAGAPAPFASLLAEVSATHRRVVVLYDLANAFAAEAASRLPNGEGFGLLCTPLSSVLGQTDAGIGLLREHGVAGCVPIYNYCPEELVEYLTNRARSAETISSSAGILTNTCPELEGDFIGFFAEQLHAFGKKLFNIGPLNPLLLDAMGSSDQQGRSSNNNKRHECLDWLDKQPPASVLYVSFGSTSSLRGEQVTELGAALRESKQRFIWVLRDADRGNIFAENNGEDRHAKLLREFMDQTEGKGLVITGWAPQLEILAHGSTAAFLSHCGWNSTVESMSHGKPILAWPMHSDQPLNAELVCKYLEAGILVRPIEKQGEVIPMATIREAIERMMASDEGHRIQQRAMALGEAVRSSAAAGGAARKELEDFIAHITR >Dexi4A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:4A:2284401:2286397:-1 gene:Dexi4A01G0003140 transcript:Dexi4A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYAMVKDELHGRGGGVQGLYCGATPRAAPMAGGGRRDGVVVKSVKRRKREPSSVVTVSGNGKEEAAGDKSAAGSNAAKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPSTYTNFPVVDYEKELKVMQSVSKEEYLASIRRHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPTTAGDDVGGATPTSGVAMSSPPCLQAGGLLHHHGMLHVDVDLYRGAHLAHGVSSASFSAAGGLVDDVGSVYNGGPSPTAAAVCVRPSPSPTSTTTALSLLLRSSVFQELVARNAGAAASQPQQQHLVPVDDAASEDAVVGAKIEHEELALGRPAAADAEGELVGGAAMYGGAARASGAEEEEEAFACSMYDLDDNFARIEQSFWSCLDE >Dexi4B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:4B:2423480:2423866:-1 gene:Dexi4B01G0003450 transcript:Dexi4B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLAIVGDAATTGLVQIGVVVLTVTSAAAIYRAALARDVATAAFVAASYGALLTLLCSLRAYELAPAAERGRLRLRVWALCTLLTALFACKVAGVVPLPVAVGVWAVSVATSACGFVLLFRHRRRV >DexiUA01G0009820.1:cds pep primary_assembly:Fonio_CM05836:UA:19323568:19324718:1 gene:DexiUA01G0009820 transcript:DexiUA01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASGTANGHGKEAALYEEQKSKISKVRTELGQLSGKSALYCSDASIARYLIARNWDVKKATKMLKKTLKWRSEYKPDEIRWDDISDEAETGKIYRSDYFDKSGRSILVMRPGCQNTKNANGQVKYLVYCMENAILNLPQGQDQMVWLIDFAGFNLET >Dexi2B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:2B:1602582:1603082:-1 gene:Dexi2B01G0002050 transcript:Dexi2B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGVGRHLHHVSWLWRGPRRALTAARDLYVRSLTGCAGHLGGDAAFGYPSFAAAAPAFGRTDSFSSFSSSRRSYDASAADEDLRELIRAASQRRVAEAEVARQQQRHPAAVPRSQSVAMARIDEDRPCEFAAGVSAAAVFPRSRSYAVGAGRRGRVAALAA >Dexi9A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:9A:7051343:7051717:-1 gene:Dexi9A01G0011300 transcript:Dexi9A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTHRSPFGRCRWVGAVRAVSLGGWVVTEGWIFSPLFGDILNKNLLDGTQLRFKSALRKTYITADQGGGGAILATNLTQPSDYDSSPAVGRLVQAPASPASHFLQRPAVASRRTCMLSRFLP >Dexi9A01G0031050.1:cds pep primary_assembly:Fonio_CM05836:9A:35974198:35977909:1 gene:Dexi9A01G0031050 transcript:Dexi9A01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDSGSKRPKFEQDGAGDIVIEPHFTEDKSMRIDQESSSSSSHRDAEASTSCNMKLVKTEEPGADLLPKELNGMTISDDKADGQNDKEGEGVTLDGNGTETGQIIVTTIGGHNGKPKQKVSYMAERVVGTGSFGVVFQVNPHTHQLKICDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVVAELMIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKVTLPNGRPLPPLFDFTAAELEGLPVELVHRIIPEHMRR >Dexi2A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:2A:33317052:33321385:1 gene:Dexi2A01G0021170 transcript:Dexi2A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVAAAAAAPRVVASVTGSPGTVEEQQAAGVGILLQISMLVLSFVLGHILRRRKFYYLPEASGSLLIGMIVGGLANISNTQKSTRRWFNFREDFFLLFLLPPIILYPLLHYMLLFSKLLLVKFVMFYLTVSYSVWILLSTSNYIGGLVYIIYRLPLVECMMFGALVSATDPVTVLSIFQELGTDTNLYALVFGESVLNDAVAISLYRTMASMRTHPSGQNFFLVILRFLENFVGSMSSVTSQHCYSLIRSNLFKYAALGVENLHNLESCLFVLFPYFSYMLAEGIGLSGIVSILFTGSYTWGSILQWNAKAGHMLDSYFSQLYPSIIFILLARAANVFSCAYILNLARPPHCQIPKQYQQALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVSFCMTAINNSCMVIFKVLLIGGSTGTMLEALQVVGDSNRYHQLYEVSCFAVCNSDKFCSFAKVVLNKKAPYLSYG >Dexi1A01G0028070.1:cds pep primary_assembly:Fonio_CM05836:1A:33809996:33812455:1 gene:Dexi1A01G0028070 transcript:Dexi1A01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHVFVTAAAVVLVVAVVSAKTTIEPCSGSDSCQALLGYTLYADMKVSEVAALFATDPAALLAANALDFSAPGAAHRILPMGLFLRVPTRCACADGVRKSVAVRYAARPGDTLATVADVVFAGLASADQIRDENALASADPDAPLDAGRKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPGIAASYETTVTDVMNVNAMGSPVAAPGDILAIPLPACASQFPKSASDHGLIVANGTYALTAGNCVQCSCGPNSLDLYCTPATLSGSCPSMQCPNSNVMLGNVSIHPTSAGCNVSSCSYGGFVNGTITTSLSAGLQPRCPGPHQFPALTDPPTTVIHDSTYLPPLSAPGPAEAGGVMPEPGSAGSPVQGGPFALPKVSTAKGPAGSVSEAPSMDKSRQILPFLVCCLVFYLHM >Dexi9A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:9A:5113041:5114726:1 gene:Dexi9A01G0008630 transcript:Dexi9A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASAASRYAAYDSPSPSPSPRRAAPATPGGGAHGSSSRALVVAGRSGRDLAARPQPHHQGGNLGSVLRRLISMDKKPPSAKGHLPLPPAAAAAAAAAKNNGGGKLPGLSRKLFQKGSSTDAAAKKTKALTDVKNGGNNANTRTLAMVLRSERELLSQSKAQEDEIAALRLQLENKDREVERLKDLCLRQREEIRTLKDAVLFPDAETEPEPDRRLRDEISTLTDQIQCLAQELAQARSLPRSLRVFLASTPRWSNSNAPQPGVSLSAGKGRKSLRKECSIGEAETPNCGSPDEMFSKDLNPCLTPCIFKSKSDVSAQFQSSHFTKVNFFSYNFFVGLKQKQIDPT >Dexi9B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:9B:386566:387250:-1 gene:Dexi9B01G0000580 transcript:Dexi9B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHKEPAAEAAGGASPAAAIRSLFSADNPFRRKPSAEEPPPSAAAAAPATPVRKHPKPEAEAAEPSSKKNKKKQEEQGPQRKRKRDEVEAGRRRRSGAAKEKRPGVGEKRKVPDDAAAGAGEDDEESFDDETKLLRTVFVGNLPLRTKRKVLTKEFAAFGEIESIRIRSVPLVDTKLTRKGAVLQGKVNELVDK >Dexi2A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:2A:9261777:9262233:-1 gene:Dexi2A01G0009160 transcript:Dexi2A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDSSTSASNPLPTDGLPLITCTECGLRKVVRRKSKQPWSLGHVFYCCPLNKEEDYAEELWKLGVLYGGKQWQKEDAGDPRRQQDGAKKENARMKDDAVNEVARLLKSICLL >Dexi3A01G0030510.1:cds pep primary_assembly:Fonio_CM05836:3A:34492520:34493869:-1 gene:Dexi3A01G0030510 transcript:Dexi3A01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPAAARRRLQQLRYAHSTADPHFPSSSSSAPSCSPAALAANLTLPPFARSRWRAMAGGSGAKKRTRRGPNPAALLTDDLLVEILARVPYRSLCRFRCVSTRWRALIDHPGHRARLPQTLAGFLYGTGCARYGFANVSGAEPPLINASFSFLPDREREHLMLVDCCNGLVLCRSYRYDDDDDEFDYLVLNPTTEKWVAVPVRRRWTNRVQTVRLGFDPAVCPHFYPFEFQSNFDDNDDEYGDGEGDGTVLGVKIYSSATGDWIHKQSGWTMSILLEMDFRSVFLDGVMYVITNDCVVGAVDVDGETWRVIDFPRSKDSPFYNTSLGFIDQSQRRLHLANADDVVGDKLVIYVLEDKDGEEWTLKHTVSFWHLVGRKKVDFGFPKFIVAAIHPDRNMVFFVFGHKKTLMSYDMDSGKVCIIRSLGHCESEHFLPYVPFLSKTLPDDGN >Dexi5B01G0027550.1:cds pep primary_assembly:Fonio_CM05836:5B:29101754:29104507:1 gene:Dexi5B01G0027550 transcript:Dexi5B01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRIPAAVHHWPEGGHHHHGGGAASAWADDFAEFAASRRGAHRRSLSDSVAFVEVAPADGAAGEFDRLDDDQLMSMFPDDAAAAAAGGGSSSAPGSENGGSSDSDGDKRRPAGGGAPGNVGCGGDERSEAADAQAAAAAGQAGDANTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYEQQNLKMSAGAAASEHGPPPPVRAEKELMS >DexiUA01G0001860.1:cds pep primary_assembly:Fonio_CM05836:UA:4775847:4777247:1 gene:DexiUA01G0001860 transcript:DexiUA01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVLSRAPATDAAAAGTAATKAKRAPESPDDEDNDGEEVRAARGKRQQLLGPAGSSVAADGPETRGLRLLSLLLRCAEAVAMDQLTEARELLPEIAELSSPFGSSPERVAAYFGDALCARVLSSYLGAYSPLSSLRPLAAAQSRRVAGAFQAYNALSPLVKFSHFTANQAILQALDGEDRLHVIDLDIMQGLQWPGLFHILASRPRKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFQPIEGKIGHVADAAVLLGQRHHHQQHQQQDEATVVHWMHHCLYDVTGSDVGTVRLLRSLRPKLITIVEQDLGHKGDFLGRFVEALHYYSALFDALGDGAGSGGAAEQESAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLAGSPATQARLLLGMYPWKGYTLAEEDTCLKLGWKDLSLLTASAWEPADAAAGPTAGHGSQET >DexiUA01G0027820.1:cds pep primary_assembly:Fonio_CM05836:UA:59655197:59656435:-1 gene:DexiUA01G0027820 transcript:DexiUA01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGGGDHRGIHFAAGPNSSDDEEHERAAPPSRRWFYAAYARLLRHAGSLDGIEHAGGLPRHAATGSLVAFPHAAAARAAHFDALVAGFVAAAARRGHPLPKMKGTSLSSLTRVCDVLGVSAQRRKSVRLTVCPQVTQHHVWRGALEAVLGDLQADMASLVDGPSPATQMAEQIASACILFLLDTATSSTPSWMRPTPFKKPVKPPPPAKKWQQVLDMFIDLARNLKTDDRLVGHAQKVEAMKEGLFQIRDVVIERDIAFKEARRQDCLVQRKLSKSLGHSSRCLYTLLLFYLYGTVQDIEMHVGKCVSGKGGRDVTVHTAKFLTSGDELAVRSGVKQLSRALGIFRFVWEAANTELDSANDNDKEVIVKKKKEDAKGVLELQGHIWGFGVEERAVTYRGDVFHVHQIQLP >Dexi7B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:7B:15118744:15129217:1 gene:Dexi7B01G0007180 transcript:Dexi7B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPPAPNSRSGGNARAGGAPAKARQPSRGGDEDEDSEVELLSISSGDEDDQPRARGPPPPRGGAGGGGGRAGARRAASRDDGDFDDDEPRSWKRVDEAELARRVREMREAKVAPSIQALDPKAAAAAAAAARKTLTSVQTLPKGVEVLDPLGLGVMDNKSLRLITDASVSSPISREKSQGLDPSMRDKAIYSSPSFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAENCGWCHAEVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTQDHDARMEILQNKIREKMLSDSKWRQLQIDSNKSLEVDSTISDSPRADQLSTNYMAEEADGLRASYIRRLTSVLIQHVPAFWRLALSVFSGKFAKAAAGTVVSDADMNAKPGTNKTDEKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRPYMSDTIKEIAKACQTLEGKDSSPTAGYLERFRGEVAQNRSNKENNYVQNGYANGTRETATTIDGDLHKKLLVVLSNIGYCKAELSDELYNRYRHIWSPVRNNDERSSDMRDLMTSFSALEEKVLDQYTFAKSNLIRSAAQSYLSDSGIHWGAAPPVKGIRDATLDLLHILVAVHAEVSSFSVLIMVYSGARPLLEKTMKILVEGLVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFETVLHTYFSPEAQQALKSFQENLLEKACESVAEALENPGHQRRPTRGSEDATSDGQASVSPDDLLLSAAYGNVLGFLAMDHAGNGVFLQ >Dexi9B01G0047540.1:cds pep primary_assembly:Fonio_CM05836:9B:46585283:46587142:1 gene:Dexi9B01G0047540 transcript:Dexi9B01G0047540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSRCLFVTGPPGVGKTTLVMRVFEALRASHPNLTIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKP >Dexi9B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:9B:12059281:12062008:1 gene:Dexi9B01G0017310 transcript:Dexi9B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVPLRLCSTRSFVADPVAATRAHTPSGRRRLPPTSSVPLPPSHLARTRRKQNQKKKAAREKPRREIWETRAATPKMHDFCFTIPYGFAVLAGGVLGYLRRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLCAFALTYVMGQRYLETSKIMPAGVVAGLSAVMSAFYLFKIATGGNHIPPKKE >Dexi2B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:2B:17033770:17034142:-1 gene:Dexi2B01G0012350 transcript:Dexi2B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYAKRVLLTAAGDAISRRIASTLAKHGCRLVLVGNEGALAATADEARRCGGVGVEVVGLDFEACDEAAISAAVDRSWRCFDGMDAFVNCYSYEGMHA >Dexi9B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:9B:10088583:10091390:1 gene:Dexi9B01G0015000 transcript:Dexi9B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEVMGLQRGAAGTVPSPRESDLGRLKRAGLTQAAASYPSPFLDEQKMLRPNEQAFLLSRTKRPFTPSQWMELEHQALIYKYLHAKAPIPSSLLISISKSFRSSNRGQC >Dexi7A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:7A:24921961:24927222:1 gene:Dexi7A01G0015060 transcript:Dexi7A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKQSPMNGKQRSSYGRHERTAYHFQPAKNWMNGPMYYNGLYHLFFEHNPHEALFGTCTLSWGHSVSGDLVNWTFLGTALDPSLPFDAKGCWSGSATVMPDGLPVLLYTGRGADDIQVQNIAFPKNPSDPLLREWVKPSWNPVIPQPKDVGNPALEPGPYQKNFRDPSSAWLGRDGLWRVAVSAEIAGVGSILIYRSADFVHWKRNPKPFYATPDVPVWECPDLFPVAEHGTEGLDTSAPSGPGVRHVFKLTKDKDEDYYVVGWYDDVADTFVPVEEEGAGGDDGYNWRRVDYGHVFGGKSFYDARKKRRVFWVWMDEMDSRPDNIAKGWAGIMIFPRVWWLDTDGKQLWPVEEIETLRRRRVALHGAVIGSGGMREIAGIDTLQADVEVVFEIPNLEEAERLRPKWLKDPRKCAEESASTKGGVGPFGLVVMASGDMREQTTVFFRVFEHNGNYKVLMCTDLTRSSTKEGVRKPFYAGFVDVDVEKDRSISLRTLIDHSVIESFGGGGRAVIAARVYPEHVAAGASTHLYVFNDGPEAVTVSKLEAWELATASVNVEDDDAE >Dexi3B01G0037740.1:cds pep primary_assembly:Fonio_CM05836:3B:40505662:40510792:1 gene:Dexi3B01G0037740 transcript:Dexi3B01G0037740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAADHVCYVHCNFCNTVLALFDTQENIKVHGINGNHLEFGSSSSKFRLPMMYTPQNEHHLLQEQTLNARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLGTGRDSGKKLVDEAVSATTTAPKKIQGLY >Dexi4B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:4B:4098205:4099436:-1 gene:Dexi4B01G0005800 transcript:Dexi4B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAVASNDYDRRRELQAFDDTKAGVKGLVDSGVTSIPAIFHHRPDTLPQDGDVRSIADDAVVPVIDLAAPREEVVRLVRAAAETGGFFQVINHGVPSSAMAAMLAAVRGFNDEPVETKRPYYTRDTSKTKARFYSNLDLFQSEAACWRDTVFLDMAPEPPTPEELPEKMRGATFEFTGAVMKLAASVFELLSESLGLAGDHLAKMGCGESLKVACNYYPPCPEPHLTLGNTRHTDPTFLTVLLQDGVGGLQVLHDHGGDKVWVDVPPVPGALIINLVSNGRLKSVEHRVLASTGTARISVAAFVDVGKGRSVRRFGPIPELVTSPDGGNPPMYRSVTVEEFIGHFYKKGSERRARLDYFKLE >Dexi7A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:7A:17041141:17043116:-1 gene:Dexi7A01G0005820 transcript:Dexi7A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARNYVVLLVAGALLVSTVFAATATGAAAEYVRPPPGRIILTEHTEPAAHPQQVHISAVGATHMRVSWVTDDKHAQSVVEYGKASRNYTASATGEHTSYRYFLYTSGKIHHVKIGPLEPSTVYYYRCGMARKEFTLRTPPATLPIELAVVGDLGQTEWTASTLVHVSKTDYDMLLVPGDLSYADTQQPLWDTFGRFVQRHASRRPWMATQGNHEVEASPLPPVPGSPPPFAAYGARWPAPHEESGSASNLYYSFDAAGAAVHVVMLGSYAPPFDASSDQYRWLARDLAAVDRRATPWLVAVLHAPWYNTNAAHQGEGEAMRVAMERLLFEARVDVVFAGHVHAYERFARVYNNEANPCGPVEASFGHGRLRVVNATTAHWAWHRNDDAESVVRDELWLESLAANGACWHQGDPAAVDSWNDEL >Dexi9B01G0031390.1:cds pep primary_assembly:Fonio_CM05836:9B:33783278:33787505:-1 gene:Dexi9B01G0031390 transcript:Dexi9B01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVRAGTDESRSKVASETKLIKQPTWVFVEKESEILRADLLEKLNRCKRDTLIELCRSFNIIGSRANRKEELASFLMDFVMDHCSGIDGTYSDKKMKKRRRMKEEESLSSGKPSKKKKQEGEEEAEGRNGLEDRAKYYDCDLMDTRYIFNYNNKGKIPNEETNLAPSERINGCVSENFDGISLSEVPIPTDEQAMIATPYKKLVATADGGSNDVKAFKNKKSLIAQKKGTPNKENRKVKSCGKQESKGDTQPQKQAMKPSKDELRKAVFLILDTANFATMTFGDVVKEVDKYFGMDLFERKPLIRSLIEEELFRLTEEAEKKELEEEELAEAKARAEQAAKEMAQVRTTESDIDRRGVNGGASVESAVKRNSSDAAEGSQDHKTDAGTPNENIRDGLTKDGNGEEATPIANGNSVIQVPNNGGVQTMKNSTVQTLENSKDGKVEGASNGENNDTESSRNEEGRGGNVGSNAEVVNGCQVEESNYHGNDDHAEHTEDGKAREAHNNGNSTNVEIHGDKDGKAKVRINAEQSQVDAGGNGKAEDDEHNTNTKVDVDSGKNAAAENAKTDNGVKGNSDGAAIGSPM >Dexi3A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:3A:7222277:7225376:-1 gene:Dexi3A01G0010170 transcript:Dexi3A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMSKLLITPLPPSHATAADTSQRFLPPRASPAASFPRSSARRRVAVAPYSLVSGRLFASTAFCAAQDHAGATGLAAADEEELDDLPFVQLSSDILHTELSLLKDDAPSASSSLLAALHRGDSEGDRRLGGEAAAYPAAMKALYAACLAGNVTEQLWNFTWPAAMATLHPSLLPVAVLGFFTKLVVFAAGPLVGDLMSSLPPILAYRSLTVIQTGAHWVSAAMIAYAFTLPRASTAAALLLKPWFAVLVASTAVDRLSCVSLGVMAERDFVVQVQLFLGGAMNRLADGIFDHSTIPGSVERPEHGSKNAASAFRIKKKVEEAWTTIKHGWVEYIRQPVLPASLAYVLVCFNVALAPGALMTTFLMHHGVSASVIGAFGCSSAAMGILATFMAPILVKELGILKAGAAGLIAQSALLGAAVLVFLAGPVSRQGRLLVFLGLIVASRLGHMAYSVVSLQVVQTGNPMRKAKLIGATEIAVASLAELGMMAVAVVARDAAHFGWLAVLSAGSVAAAACLFCAWLANPTGEVKRLFQG >Dexi1B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:1B:7720614:7724841:1 gene:Dexi1B01G0008850 transcript:Dexi1B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWMTTTGQHAMASASSAADAVLVRTARRCGGCDAREDAAVEALLQWQKVGDLLIAASLLSIPLELLYFSTVAALAPLRRALLQLGTFVVMCGVTHLLNALAYDRPGNRRVLLLLTSAKALGALATTAAAVSLPIFFPRLLRIKARESLLRAKARQLDRDLAAVRRRDDAVSRVARAVTRHVRDSAVDARAVLDNTLRQLAAALDLHNCAVWTPVVAAPASGGGGGMLQLTHQMLPPDDADKVLDGRSGTRSFSVRHPDVDVVMASKDARVLKPGSVLEAVSGGGMPPAGSPTAAAIRIRDFHGGAAGNSSSELTSYAILVLVRRANDEHRSPPARWSRHDLEIVEAVAEHVAVALAHTAALEESELLRRKLSEQRAALVHAKGELDAATAARNAARGAVRDAVARPAHAVVGLVSVMQQPTPAEADAPGLCPELRLAVDAIARTSALLVSSNLADSVMETLSTMDAGGDQPPPPGPAMVARRPFELRTLVRDAASVGGCLAGCRGLGFSHWLEASSLPEWVVGDDKRVFHLLLHIVGVVLSRCHRHVAGGVLSFSACSCNSIAGDDQDRILVGERAKISGGNHVFVKFQVGITRTGESDPAGSLLPSSCLPSSSGYTPDFGGPDMWLSTAVCKRIAQSNGETTMTLLLRLQQPLNPHAPGSSGTYRIVPSPRTLPQHHHFNGLRIILADTDATSMEVTRKLLERLGCEVWSLIWTAAGTGGGAAMDGLEVAVRIRELSDACWLLVLVAVATSGGVDDRVRDMCRRAGVNGLIQKPVTLTALGAQLQRVLENN >Dexi4B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:4B:4720696:4729379:-1 gene:Dexi4B01G0006760 transcript:Dexi4B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTHRYVPATLVAAAAVLAAASHHDACENAQKAFSECVPYVIGMEPVVTPIGDTATQRKAICGCILSEVKAAGSGKLDAGRAAGLAGSCKVPIGFVPTKLDFDCSRSSVEKYPNNPMLGRRRVVDGEASEYAWMTYKEVYDVVMKLAGSISTTRVKQACNALGVCTVPLYDSLGAGAVEFIICHAEIQIAFVEEKKIKEDGYYDFDLPEKKKSDICTILYTSGTTGEPKGVMVPNESLIANILSTDFVMKYVGKADPKLLVDDIATLRPTILCAVPRVLDKIYSGLTCKISSSGILKKTLFNTAYKLNNKLIIRKLARMHKGTKHEKATPLFDKLVFSKVKEGLGGQLRVIVSAGAPLAMTVEEFFRVATCAYVVQVYGLTEICGGISVSIPNEFSMLGTVGPPIQNIDVRLESVPEMGYDALSRTPRGEICVRGSFMYSGYYKRDDLTQEVMIDEWFHTGDIGEWIPDGSLKIIDRKKNIFKLSQGEYVAAENLENMYNALQEIDSLRGFEFIKAIHLDPIPFDMERNLITPTFKKKRPQMLKHYQGDIDAMYMSVKQRNSTEPLKVSI >Dexi2B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:2B:3278584:3289262:-1 gene:Dexi2B01G0003760 transcript:Dexi2B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGPAALHLLLPAPRPPPHGHRLAFALPHHHPAPPPHASLPRRGRRGAPARRHEGVARASAVVAEESSSSGPTKFSVRIPVGDREILVETGHIGRQASASVMVTDGETILYCSVCLSDTPNDPSDFFPLSVHYQERLSAAGRTSGGFFKREGKAKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINGQFVINPTTEQMENSELDLMMAGTDSAILMIEGYCDFLTEEKLLEAVEAGQVAIREICKAIDDLVQNCGKKKMVDAINLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEDKVITILSEQGYVSKDISSGVTESLDDIVEDEDEDEVIVDGEVDEGDVHIKPVSRKPPRQLFAEVDVKLVFKEVSSKYLRRRIVESLAVVTLGGYQMAQRIDNLIDTEESKSFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALGPILPLEDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGSPLILSDITGAEDASGDMDLKVAGNESGITAFQMDIKVVGITLPVMEQALLQARDGRQHILNEMSKSSPPPSKALSPHAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKTIIANLTMVPKVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSGWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDGDSESNSKPQSSGSTKEKAPQKDDLIKMTTRRSKRKKQSEPSEAENATMKTLEKSAAAPATSQGSETAAK >Dexi5B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:5B:22025894:22028590:1 gene:Dexi5B01G0019760 transcript:Dexi5B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKAKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAAYLTIFFLYSDGRKRKRAFLVLAVEIVFVAIVVVSVLLAAHTHEKRSMIVGILCVIFGTMMYASPLTVMKQVITTKSVEYMPFFLSLVCFLNGLCWTAYALIRFDLYLTIPNGLGTLFGLAQLILYACYYKSTPKKTKEKNVELPTVVSASNIGSGGGGNVSVTVER >Dexi2B01G0030040.1:cds pep primary_assembly:Fonio_CM05836:2B:38308485:38308849:-1 gene:Dexi2B01G0030040 transcript:Dexi2B01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRTARISPRRNFRHQLPPAAAAPSSSSSLSYWPESSSGSASVLVAGAATLCCFWRSPPAVVVGTTLIASPLVVVVAGFFCFFLRSFRALLSAAADDPAAGLRLIAGGLVLAPG >Dexi3B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:3B:11086746:11090474:-1 gene:Dexi3B01G0015290 transcript:Dexi3B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASALPLHPTLPPPSLRFRPVRAPAGTRLLAEPLRAGRPRLQAAPPAPASAEEVAEEQDATPPLRLLEPPQEEDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPEMDWDLRLACLLLYAFDIEDNFWQLYGDFLPGPDECTSLLLAPKEDLMELEDEGLASEMLKQQQRAIDFWQKHWEKVAPLKLKRLASDHERFLWALGIVQSRSVNLKMRMGAFIQDANVLAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSAVNSKFMERYGFSSPTNPWELINFSSPAKIHMDSFLSVFNIAGLHDELYHNSALPSVETNFLDGAVVAAARALPTWSDGDVPAIPSVERKSAQALQEECRQMLDSFSTTIEQDEQILDSDVHISKTREIAIKYRLHRKLLLQKIIDSLDIYQDRILF >Dexi2A01G0033760.1:cds pep primary_assembly:Fonio_CM05836:2A:43936692:43939011:1 gene:Dexi2A01G0033760 transcript:Dexi2A01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHAAFAAEDAVAAAAPAQQPGRRFTSYPPLRARGGGGGCRTAAAQAMDTGVVAAAGARATTGAWLDAAALRGDHDEWMEKHPSALAEFEAVVAAATGKQVVMFLDYDGTLSPIVKDPDTAVMTEEMRDAVRGVAEHFPTAIVSGRCRDKVFNFVKLAELYYAGSHGMDIKGPTAQSKHAKAKNNKFCLSVHFRCVPEEVRIITKWRALEDQVRSVLKEYPDLRLPKGRKVLEIRPSIKWDKGNALQFLLEALDSNNVFPIYIGDDRTDEDAFKVLRNMGQGIGILVSKIPKETSASYSLQEPSEVVRTRLSLQGRRQGGAGRGLPPMKLD >Dexi5B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:5B:5813822:5814055:1 gene:Dexi5B01G0008580 transcript:Dexi5B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAFRMPRLGYVPPVGVVPLRDDGRKPRSVGMGGILASRTGGAGDYERRRRRGNESGRKVWCGLGVGWSGTGGALC >Dexi7A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:7A:14514611:14515152:-1 gene:Dexi7A01G0004150 transcript:Dexi7A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Dexi3A01G0023280.1:cds pep primary_assembly:Fonio_CM05836:3A:18983808:18984212:-1 gene:Dexi3A01G0023280 transcript:Dexi3A01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRHKPVEVGVGWALNVKGAAADVIDGLIVKQDSHISVLKEGVGGQDTVVCEPELGLLAVVNRQALKEEGTKARARSSTNSVEDKEALESSAVVCKLPDAVKAQVNNLLANCTISNHQT >Dexi4A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:4A:13260282:13267709:1 gene:Dexi4A01G0013550 transcript:Dexi4A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDFVNLSSDDDDDVVVAREEHSVHGKGRLIHAKAEFVDLTIDGDIVEGEHLSYGQGKAAQGTTFLKQKFIADDEQAEVVQCRATLRMEELTAGNAQAEAAQCTATLRMEEHIEGDGQGDAAWSTGRLQMQELRADHGQSDAVQCATTLQMQELRTDDVRGDAAHCATTVQRQEISAEMGQNDAAQCTATLQMQELIADDVQSDASQFTTTLQRHELTVDVGHGDAAQCTETPMQELVGDDRQGDAAQCTETLMQELGEDDGQGDVAQRAMTLQRQELTLDDGQGDAAQFTPTLERQEFTEDNEQGDAVSCTSTSLQRQQLNPDDGQDAVALCTTTLPRQKSISDGAQGDVPRFTIAVQRQESDTDHAKGNTARCTTSQRQKFLAADDSMQETVHFRSSAESTTCLLRTQEGSHRVTGSLDTYNAATAEQFPRQFWKAGDYGLASQAAINRMFSTFLFNPTSVFVLEQMDKTTYGFIPNFFILMLLRISGHLVVNNGATFVKIDKMKLSCDGDYALVIEDNGGGMSPESLRHCMSFGFSQKCTAASIGRYGNGFKTSTMRLGADAIVFTCTKDNRRLTRSVGLLSYTFLMTTKCNDIFVPTVDYEFDALSSTFQRIINCGEKHFSSNLSTLLRWCPFCTEGELLNQDIMISGAPETRAGRTVEKLTQMHVANRFRYSLRVYASMLYLHLPESFQIILCGQAVEPHYAVNDLIYRECIKYQPQVEVTTEVDVITTIGYLRGAPLLDIYGFNVYHKNRLILVCF >Dexi5A01G0032130.1:cds pep primary_assembly:Fonio_CM05836:5A:34706394:34707469:1 gene:Dexi5A01G0032130 transcript:Dexi5A01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHELRRQASSYLTGKIRSARLALTDVTPTQLMTEEATNGDASPPNVKTMGLIARQAFEIDEYVRITDILHRRFASFDRRQWREAYKALLLLEHLLTHGPKSVAVEFQKDTDAIEKMVTFQHIDEKGFNWGQTVKSKSERVLKLLEQGPFLEEERERARKIAREIKGFGSFNLSSASRAVAQPPDDDGGHGYGRSNSQFEERWRHEDGDRGYGRSNSRFEERLRQDDGDDGDKENLITRTEPPRVAREVEAEEPHHRHPFHGFGQQPPEAMLLLSQ >Dexi3A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:3A:8697631:8700499:-1 gene:Dexi3A01G0012020 transcript:Dexi3A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGVFKRFRGPAASEDRNRDEARSHSCVAGGAGALLWSWDASARSLRLAMAIVSSMRGVCALLLLFLFFRSAELLRLSSYLTAAASKPPPRLAAPPPAVAASCGAPLAAPWLRRRPSPRRSAAFRRSAATLALVDRLPSPRSVAAFSLGAPPGAPPRVAFPHASPPTFTIGVTTHPDLAATVSIVVTAPLALASPLTPPIDLALSAPKPVMFSWSLPGSWPPAVD >Dexi2A01G0026760.1:cds pep primary_assembly:Fonio_CM05836:2A:38327890:38328470:1 gene:Dexi2A01G0026760 transcript:Dexi2A01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVCVWPCVQANSASGLAVNDECKVKFRELKARRSFRFIVFRIDDKDMEIKVDRLGDPNQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVRSRTN >Dexi1A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:1A:26843005:26845145:1 gene:Dexi1A01G0019880 transcript:Dexi1A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAPAAAAPKKAKK >Dexi8A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:8A:30069959:30076658:-1 gene:Dexi8A01G0017950 transcript:Dexi8A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETPAATMASDELRRLLAATLSPDKASVDAAAAGLDRAAADPRFPLAILAVAAGDGDQGVRVAAATYLKNFTRRNIESSLSSPELYKEFRDQLAQALLRVEPAILRVLIEAFRQVVEKDFVKDNLWPELIPQLKLVIQSSNLISPGHHPEWNTINALKVLQSVVRPFQYFLNPKVAKEPVPQQLEQIAAEILVPLQVTFHHFADKVLLSPDGAKFEYEQLLLITCKCVYFTMPHIVNCAIKISKQCNNLSKLGSLPNRIFSLAFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALSLNEKDIAEWEEDTEEYMQKNLPSELDEISGWTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDKRKSERSSIGELLVIPFLSKFPIPSHGEDASSTGVRNYFGVLMAYGGLQDAMSTSIYNSLMKALSMEDVEDITCYPVCASASGAIAELIENSYAPPDWLVLLQAIVKRISTGDENESALLFKLLGTIVEGGQEKVLPHIPEIVSNIANTFVMRSVTCMEEAASMKVFELVPIWADTIANWDSWEEMEDQGVFNTIKEAINFHQRFDLTGFFLKMLSSQSENASLNAIEQVLNSYDDNGYAIFASSLAQVSSSSFSSGLSSESEIKLAVLTLSTVIERLLVLNMGATKDSEDDDVREETEEEFLERYALVAAGDTIKVVEEGDLDEETQDIELGLLLELFSG >Dexi1A01G0024220.1:cds pep primary_assembly:Fonio_CM05836:1A:30838046:30838869:-1 gene:Dexi1A01G0024220 transcript:Dexi1A01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPFPFPPSLGVLHASLSPPFPSVTVKPRRQVYNTPRTIPKQAKLRARAAQHDEGDDRRHIPRVIRNAIDGARERPSSAGYGPAVLVQMALAHRWARYEHVVSALRSLANLSLLQHPARENARALLEHRAPFDAGVRFPEAEVFLTVDHGKFGQCVSRIQKALLRVEAATSGFIIRNVQRAASACEEFMDAVRSAAEVATLVLPEEHGKPVLYDRAVFEEDFQLTWTDREMV >Dexi3A01G0027000.1:cds pep primary_assembly:Fonio_CM05836:3A:23623053:23623337:1 gene:Dexi3A01G0027000 transcript:Dexi3A01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPAAFSSSLAVRSAVGALLSAAIAARAVRRRSLDASGGAAGFVVMAVHVACGYRYGALLLAFFFSSSKATRIGEDRKRRIEDGFKEGGQRNW >Dexi5B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:5B:5674462:5677281:1 gene:Dexi5B01G0008390 transcript:Dexi5B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPLVIPATALPATRLARVVEAAGRGGEAPRRGRRGKPGFSRRSAIKKSFHQEQVVFSTPVPADPTVAVVGGGASGLACASELASRGVRSVVFDTGMHGLGGRMATRFVDGAERLVFDHAAQFFTASDERFQRLVDEWLDRGLVREWSGLIGELEAGGRFRPIPSSTPRYVGVNGMRSLADAVLPETDMIKVVRPCWISKLEPFNGLWRLFENEKPRGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKRLELSSVWALLAAFEDPLPISHNDSHGAFEGAFVRDVDSLSWMGNNTRKLFPKQTDKPECWTFFSTAAYGKRNKVPQENIPKVTAEKVKEDMLGGVEHALGLSKGSLQQPIYTRVQLWGAALPMNTPGVSCIFDPLRRAGICGDWLTGSSIEAAVLSGTSLANHIANYFLSHGERPEEFAIGLHENLNQVEGNDIGISVAT >Dexi3B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:3B:999771:1000156:1 gene:Dexi3B01G0001350 transcript:Dexi3B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLRSCSSPTGVFLFTPLPLPSQSTPQHGRRQNVHIKIVCSALLSSHAVLAGSPCARRLPLGVCRWAPPPSSSSPRPPPPPRMPPPTAYCRRSPPSPPPPPKTTTTSSIPTSTT >Dexi9A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:9A:4865029:4866577:-1 gene:Dexi9A01G0008240 transcript:Dexi9A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHVLMVPFPAQGHVTPLMELSHRLVDHGFEVIFVNTETHHARVLSALPASGTAVLGGIHLASIPDGLASDDERRDLSKLVDSCYRHMPEHLERLVADMEAAGRPKVKWLVGDLNLGVCFEVAKKLGVRVASFWPSSAACLAILLSVPKLVEKGLVNEQALPWDAAAPGVADAMDGQQWRATRTPALFQAVTRFDKFKNLAEVIICNSFQDIEAGALKLFSDILPIGPLFADRELQKPVGHFLPEDECCIRWLDAQPDRSVVYVAFGSMVVFDPCQFVELAEGLELTGRPFLWVVRPDFTAGLSMAWFDEFRKRVADTGLILSWCSQQQVLAHRTAACFVSHCGWNSTMEGLRNGVPFMCWPHLFDQFLDGSYITNVWRTGVAVSPNADGIVAKEELRSKVDQVVGDAEIKERAWLLKEAACGYVSEGGSSYKNFQKLVNQLGE >Dexi9B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:9B:3695698:3696561:1 gene:Dexi9B01G0006240 transcript:Dexi9B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPNIRETFVELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKHELDGFHYEIQATDPTEVDIEVLRERAH >Dexi3B01G0029560.1:cds pep primary_assembly:Fonio_CM05836:3B:28839357:28842126:-1 gene:Dexi3B01G0029560 transcript:Dexi3B01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIEEVELAACGRVPPELYLALPSCHPQPPAHNGAPTATTPHLLRWIGLPPPALLQMSAVSRLGTAAQISWPPRRTNPT >Dexi3B01G0031970.1:cds pep primary_assembly:Fonio_CM05836:3B:34021727:34025991:1 gene:Dexi3B01G0031970 transcript:Dexi3B01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLIEDRGGTVALLLVSLFFHGTWPALITLLERRGRLPQHTCLDYSITNLLAAVIMALVLGQATENSNGTEKFFSQLAQDNWPSVLFAMAGGIALGLANLLLQYSIPFLGLSVATVILTCLGVVIGTTMNYFIDGRINQAVILFPGVGCFLIAALLGSSVHASNFKDAENKLSMVEKRVVEDSTDVTSSGMVVPDPAELENGHARPCNTISQAKVGTAEFIIQVEERRSIKVFGSDKWLGIGLVFLTAVCASLSFVGSNLVTKDQWHTLRNGTPHLVVYTVFFYFSVSCFVLEVCLNVWFLYQPRAGVPASTIGAYTMDWKGRNWALVAGLLCGFGNGFKLMGAQAAGYAASDAVLALPLVSTVWAVVLFGEYRRSSRRTYLLLTPMLSMFAIGLAALIASAGHRKAS >Dexi5A01G0021860.1:cds pep primary_assembly:Fonio_CM05836:5A:25701505:25704572:1 gene:Dexi5A01G0021860 transcript:Dexi5A01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAPGRWGRWLILPAASAVVLMVLIGVEPAAACYQRVFSFGDSLADTGNFRFYYGNNSGEPALRPPYGETFFRRATGRFSNGRLILDFIADTMGLPFVPPYLSGHRAEDFASGANFAVGGATALSPEFFRDRGFNIGDGRPHLDKEMNWFRDLLDLLCPGGRSGCSDMMGQSLFLVGEIGGNDYNLPLLSKVPIEKIRSFTPSVVAKISSTVTELIGLGAKTLVVPGNLPIGCVPRYLSIFKSDNKEDYEPESGCLRWMNEFSQYHNKLLMEELEKLRKVHPGVSIIYADYYGAAMEIFLYPERFGIEKPLVACCGGEGTYGVAPTAACGYGEYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTNSCPKLTDLGSSVEYKVLYDL >Dexi1A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:1A:1644791:1648803:1 gene:Dexi1A01G0002440 transcript:Dexi1A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEASPAAADAAQPAPAPAPETTPPPPASSQPAPVAPTPVSAAAVAAGRGDGKRKRGRPRKYGPDGSLLRPLNATPISASVPDDAGGAQYTPAAAVGAVMKRGRGRPVGFVSRATPISVAVTAAAPTPAVVVSAPAPAPPTQLGPLGELVACASGANFTPHILNVAAGEDVNMKVISFSQQGPRAICILSANGVIANVTLRQQDSLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNANKKPVIEITSVPPLPPPATLGFTISSGDMEDSYSGSQHPRSATGKGSSTPAVFKVENWTAPAQQQPPAPDQAKKTQPPATPPPASETKVPVPGG >Dexi2B01G0029220.1:cds pep primary_assembly:Fonio_CM05836:2B:37680338:37680725:1 gene:Dexi2B01G0029220 transcript:Dexi2B01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKANKGGGGAAAMDTTEGGPVASTAAEAPQPMDTSEGRQPSSASTALGSINK >Dexi8A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:8A:11167407:11176190:1 gene:Dexi8A01G0008950 transcript:Dexi8A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREIPSTADAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDADDLDGIDKVQEQCQVAATVQGEWPPLVRPAPPSPAASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGGGPNVAAPEDAVGNGGKQPATPSSEDNKLLKRLSIGPKMRDALLFRRSGEKDEEQDRDGFFKRLLRDSRDKEEDDGDREGFFKRLLKDSREKEHDEEDGDRDGFFRRLLRDSKEEDMELTPSSEGLLKRLFRDKEERQGEDDEKEGFFRRMFKDKNEDRRDSIPARHGDEERVGKSLEDDEREGFFRKIFKEKNEERKDGSQSKQNEREKAGANTEEDKRDGFFRQLFKEKSDERKEGSTPGRKEEDDKGGHKNADDDNFFRRLFKDKNEEKKGVTHDRNEDDKCEEGDKENFFRKLFKDKHEERRMEGLEKNDDDGRSTSGIEEEDNPEFLSFRRLFRVHPEDAKGGHTESSQPNNLSEGSPGSESFFKRLFRDRDRSLEDSELFGSKKHPGSTGTNEKQSGKPPLPNNAVAELRKGSYYASLELVQSLCDTSYGLVDIFPVEDRKIALRESLMEINSQITSAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVHKLPKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWETKVKFVNVSFSVEKLGRSRSLAISDTGRRLRHSRSDSHDPPEDSHSIDDQPIEWVKVTLSAVPGVSMDDVDENEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQNLDSKVTDGGDPKPTDALAGELWAVKKERIRRSSIHGKSPSWDLRSVIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNISNLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQVFSLSKGFDTFDVIANLMAHYYDSGFPCFKGGPRTIQNLRKRFHLSLTEEVS >Dexi3B01G0029390.1:cds pep primary_assembly:Fonio_CM05836:3B:28604595:28606317:-1 gene:Dexi3B01G0029390 transcript:Dexi3B01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLLAVPDIASPLHLSMASSEHHDSDIGACVTDHLGLGWDVDANLDKFVQELQMPISKPLLSRHINRCMMLGCPCHLPESYRADNITFDALEEIDIDNFTGSSEHKKFVIILLSRCNAATLKSLEITMSGEFIPSKIKGVCKEINSVCQPNCKVKFNVVGEMGLEPFVF >Dexi2A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:2A:7461968:7462912:1 gene:Dexi2A01G0007540 transcript:Dexi2A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAEAIGVCYGMSANNLPPPSTVVSLYKANGISAMRLYAPDQGALQAVGGTGISVTVGAPNDVLSNLAASTAAAASWVRNNIQAYPSVSFRYVCVGNEVPSGDAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILAVYSPPSAAEFTAEAQGYMGPVLQFLASAGSPLMANIYPYLAWAYNPSAMDMSYALFTSPGTVVQDGAYEYQNLFDTTVDAFYIAMGRHGGSGVPLVVSETGWPSGGGVGASPENARIYNQNLITHVRQGTPRHPGAIETYIFSMFNENQKEPGVEQNWGLFYPNMQHVYPISFS >Dexi5B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:5B:21326630:21329639:1 gene:Dexi5B01G0019020 transcript:Dexi5B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNFAALREQSQKDLLGILKSIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSHDALQTECPKIIYLVRSQLSFMKFITSQIKNDELKGLQREYFLYFVPRRTVACEKTLEEEKVYQKLTLGEYPLYLVPLDDDVLSFELDHSLQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNIRAKGVASTKAAELLNNMQVEDPVNMDDVTPTDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQIHNGSVEVDASIMGAQQDAKKVKVPLNSR >Dexi2B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:2B:4208232:4209196:-1 gene:Dexi2B01G0004620 transcript:Dexi2B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQPQSAPAIYAADITTDYLNQTSEDNGDASGFMSMWPCPDVPNLPNMRGYIHIQDQRTELLLWPRGEEGEENVTIVVPKFVLENGRILRMHDAISITATPLCLGTEWRYLEWCRVERCPIMDKVFTHGYSVILSNLTYLHIDCCPRLVNVLPLVNTGTYPNGLSQLKMLQIMWCEDLEEALPYNFNYYTQGRWWPVIHEFTSLKHIHLHELPKLRRICGVKMYAPNLETVKIRGCWSLKSLPYVSSGSKVVECDCEKEWWDRLEWEDSSHANCYKPIHSRYYKKTMLRASVL >Dexi9A01G0047630.1:cds pep primary_assembly:Fonio_CM05836:9A:50671121:50673951:-1 gene:Dexi9A01G0047630 transcript:Dexi9A01G0047630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMVRSCVQTALKAVNSVVGLAGMAVILYALWMLRAWYREVAHLDQRLPDFPPDPSGNFNEFKDFVRSNFEICEWIGLSVVAAQVLSIILGMVLRTLGPDLETDYDSDDDAVVPARLPLLRNQSQHGYAEPNTSRRSDSWKLRILDKVNN >Dexi3B01G0001860.2:cds pep primary_assembly:Fonio_CM05836:3B:1322752:1323879:-1 gene:Dexi3B01G0001860 transcript:Dexi3B01G0001860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGSSGFYCYAILEHARSWPALNIAEARLAFKLNPANAADRDAPHAAPLAYKEAVVIVNPVEPRFKGEVDDKYEYSLDNKDNVVHGWISSSHPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLIMFLGTHYIGKDIVLNINDGEYWKKVLGPVFIYLNSNPKRGNLRAL >Dexi3B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:3B:1321748:1322747:-1 gene:Dexi3B01G0001860 transcript:Dexi3B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHRHKPNKWPYTFPGSPDFAKASDRGSVTGRLVVRDRYMSKNDMPAATAFVGLAAPGQPGSWPTECKTPASRRPTGGGPLPSREMRTQAERPCQAGGSLRAGLGRLRRGQRGVSTVRSMALVGDGGSWHRNAGVGSQAEGQIRPADPGWRGSGAIGER >Dexi6A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:6A:2193475:2194908:1 gene:Dexi6A01G0002320 transcript:Dexi6A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSKGAVNTKDIDESSAQDLCSSVSYAKANPMLIEVPVLGTTKKFWRLSDKATRISRKLVLILNSHHAVGKYLTAPLQVPNVWISSTGIVKLRGVSFTSKHFSIERVRDDYKHLSTVLLVLISISGGDITKLPPDYEEFIMLLRRNTLTVQDYFLIVNNSALLPMKNRTEVFLMLCDKINKCLGRTEAGQAKRKRIVSKLPYENDWLDTAIANERINQWVVNVQNKYERTQYDQLRLNRNVRCHMHDYSDDDDVEEILYCEWPKLLMEMVRLLHAEGELQGTDIQNKFG >Dexi3A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:3A:14130082:14130623:-1 gene:Dexi3A01G0018550 transcript:Dexi3A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRPHFASQTVVSLVKFLNESGSTPASNGLFSSLSVSRPTSSPIPDGIVPVPELGHRVRNRAGEVVVVEGEVGEAVAPKPVDVGGELPGEVVVGEVDARDARAVVEERREAAADAGVV >Dexi9A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:9A:7819416:7821866:-1 gene:Dexi9A01G0012360 transcript:Dexi9A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLMYLDGNVYSCKHCKTHLGLAGDIISKTFHCKHGKAYLFHKVWVIYLQYSVSQQMYDSLLFVVPSVNVTPGLKEDRMMMTGMHTVCDVFCVGCGSIVGWKYVRFEAAHEKGQRYKEGKYVLERFKVSGPDGTQYFIAHDAHFTGSDADDV >Dexi3A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:3A:6551646:6554875:-1 gene:Dexi3A01G0009360 transcript:Dexi3A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIISVETALALGATPREATLQQVKSSLGIALAPVIDNAKTVGLISLPAAMTGFIMGGASPLEAIQLQIVVKNMVVAANTVSSIVSSYLCWTAFFTDAFQLKDEVFADK >Dexi7B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:7B:16990901:17000742:-1 gene:Dexi7B01G0009380 transcript:Dexi7B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGTVVVQPAVGGAAKIRRELARLVKDAGPKDLLFFLYTRHGTRVRPTAGCHGGRRNLPREKTQFRPIWFVREWRRTGDDEDTVKELHCYVAFRRYSSLRNMASRPKRKELNGNGTITGSASSWTGSYSPPGGVFGFSDLEDSTWDSRKKKSFGIHYCRKDKMSRENFTLTPYHDGHLWRKYGQKWIKGRPFPRLYFKCSYYEDKKCMATKLVEQHNDNIPPLFKVTYMYEHTCNAAPVPALDIVEADLTAASSDGLRMLRFDSHGNSCWMQEEQQHHQPMSWSPFQMMNFDSSNRQLQQQHQTSRPRAS >Dexi7B01G0021800.1:cds pep primary_assembly:Fonio_CM05836:7B:26831013:26832407:-1 gene:Dexi7B01G0021800 transcript:Dexi7B01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHVLVVPFPAQGHALPLLDLVALLAARGLRLSVVTTPANLPLLSPLLAAHPAAVRAVTFPFPSHPSLPAGLENTKGCGPGQFPTFIHAFVGLREPVLAWARAQPDPVVAVVADFFCGWAQPLAREIGAAGIVFSPSGVLGVAVMHSIFRRLVRRPEGSDDDRFSVAFPAIPGEPSFQWRELMMMYQNYMAGALEEKVGEAVRQNFLWNLQDSWGFVSNTFKALEGRYLEQPLEDLAFRRVWAVGPVAPDTVAAGARGGEAADLMAWLDGFPEGSVVYVCFGSQALLTPPVAAALAEALERSAVPFVWAMGGGGGGVVVPEGFEARVAGRGRVVRGWAPQVALLRHAAVGWFVTHCGWNSTLEAVAAGVPMLAWPMTGDQFFDAWLLVDEAGVAVRACEGGIGFVPDAGELASVLADVAGEKGRGVRARAKELAEEAARAVKEGGSSYADLEGLVQEIRKLR >Dexi9B01G0039550.1:cds pep primary_assembly:Fonio_CM05836:9B:40219118:40219427:1 gene:Dexi9B01G0039550 transcript:Dexi9B01G0039550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQGKEAVGIALLFSFAFICARFLLDSLVYKEEVVRPPAAMVAAQGKEAVGIALLFSFAFICARFLLDSLVYKVQ >Dexi3A01G0033800.1:cds pep primary_assembly:Fonio_CM05836:3A:38607517:38609743:-1 gene:Dexi3A01G0033800 transcript:Dexi3A01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMLFRFVSTELATDIVITVGDIKFYLHKFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIMLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAVNNGLDCTKHRAALETITSLLPAEKGSVSCGLLLKLLRASCMLGSSETYRDDLIKRIGTQLDRASVSDLLIPADSSDDAMYNVDVVTTILEEFMLHHKDMIEPKLQEDDDTMDVSDNLITSTSSSSKLAAVAKLVDGYLSEIAKDPSLPLEKFIALAELVPPASRPLHDTLYRAIDTYLKEHTGLSKSEKKWLCALMDCKKLSTDASAHAVQNDRLPLRVVVQILFFEQVRQSSAASAAAPSAAARSLLLPSREDGTSCGSSRSATTTATEDEQWAGVVGVPMSTSGGDASSLRSVSLVASKRSDGAGGKKKAKGGAVVPAPAKRVLGKLWSGKASSAENSGSDDTSESPAGSVNLEETKSTPSRITRHSVS >Dexi5B01G0035280.1:cds pep primary_assembly:Fonio_CM05836:5B:35318067:35319613:-1 gene:Dexi5B01G0035280 transcript:Dexi5B01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARITRSANRLATRQCTPRPPHVLVVALCLASSYLPSASSQSAADSCSNVLSLGSLVLFNTTGLACFQAWPSQDFILRFRKAAGNNVWSFVLSAPDNGGYIAIGFSPTGRMVGSSAVAGWAAGAGAGSSAARQYYLGGTSSSSCPPDQGKLALVNAAPPTVVSKGSRLYLAFQLAGQPLTDVVYAVGPTGSLPGSNGLLPQHQDMASGTISLSGGGTGGGGSPATGGGDGDDDGGEGGEGKSKRGDNGDEGDEGKGARSTSPASSSTSGTGAFLSAKRRHGVLAVVSWGVLVPSGVAFARFLKRVDPFWFYAHAVAQGIGFVVGVLAVVAGFRVGDDDEVAAHKAIGVVVVVCACLQVMAVLARPAKETKARRYWNLYHHNVGRVAVALGVANVFYGLSLANERQEWSYVYGVFIGIFAVVYLVLEEWRRRH >Dexi8B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:8B:27780018:27780893:-1 gene:Dexi8B01G0016620 transcript:Dexi8B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEIGILCHGDGGGEFTVVDFTNFGHDGELCLLHQHGSPEKDIETLTQWRVKKVNFPQDNGPSVHHWITDAVVPIDGRFLCWVDNYQGILVLDVVLAIADEEGPVQLRYIPLPEKALQSGRRVDPDGDCPDAARCVGATAGGMVKLICVDEATRRWDEGDDGDDMEAAEFWGLLYSGSQQMRNLPRVKPAYPLVSLVDPDVILFLLEEDHDTYWIVEVDMRNMVLRSCARYMNEEDEEGCVAERVRRNVFDGHSFFPSEISSYLL >Dexi9B01G0033700.1:cds pep primary_assembly:Fonio_CM05836:9B:35838875:35841157:1 gene:Dexi9B01G0033700 transcript:Dexi9B01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATEAMSPAAVNSMVIGAAGLTAFEPCAWGDFFINYAPPFSQESEERMRERACQLKAELRRRMSDAGEAMSVAGTVTMVDTLERLGVDCHFRDEIAAALRRVVVIDDGESLDDGDLRLVALRFRLLRQHGIWVPADVFDRFRDETGSFSESLSSDPGSLLSLYNAAHMATPGEQSLDEAISFSRSHLESMRGKLASPMAEQVSRALDIPLPRLPKRIETMHYVVEYEKEDGHDPMVLELARLDFNLVRSLHLKELRDLSLWWKELYGNVNLSYARDRLVENYFWTCGVFHEEDYSRARMLFAKTFGLLSLMDDTYDVYATLEDCHVLNEAIQRWDESAASTLPEYMRMFYINLVRNFQGFEDSLQPHEKYRVSYAKKAFKLSSKYYLDEARWSSENYAPSFKEHVEVSVMSSGFPTLAVVLLMGAGDLATKEAFDWAIDVPDVVSASGEVARFLNDIASYKKGKNKKDAASSVECYAKERGVSGEEAAAAIAGMAEHAWRTINGSCVEMDAALLPAAKLVVNLTKTLEVIYLGGRDAYTFAGDLKDLVVSLFVDGPAI >Dexi2B01G0025820.1:cds pep primary_assembly:Fonio_CM05836:2B:35011011:35012977:1 gene:Dexi2B01G0025820 transcript:Dexi2B01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVTGAEQAIFNWTIDGFSSLLDKGAEWTYSSVFEIMDMNWYLKLNPMVKTSDNETEYVSLRLELAQRSVKPNIIIEASFKFLIYDQAYGKHKEHQVINHSFQAASTNSGTTCMIALNTLKKQSSQFILNNSCIFGIKFIKVATVKANTTSETLFVQKTSIFNESGVHTWEIEDSFALKIPCYSPEFEVGGYTWFIKMCTSQDGKHLSMYLSMKKPNHLPKDSANLVECTLSIKGQESGKHQKLTGRCQFSNNSPAWGWKKFVLLQDFKDASKGYLIKGKCCIEAEVALVGSSKKE >Dexi8A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:8A:1385638:1387320:1 gene:Dexi8A01G0002050 transcript:Dexi8A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEETGVRRWLVDVARWRPSPAQFDAVIALLPSHDRPAIARFVREDDRKRALVSRLLHYSLVHHVLRIPFRQINICRTPEGKPYLKNGSALPNFNFNTSHQGDYVGIASEPLYLVGLDIVSVSKPHGETASEFISNFSSYLTHHEWNCIVRAGTPSQVLTEFYRYWCLKEAFVKAIGAGVGFGLQRIEFHHEHWTNISIHIDGEVSNKWRFWLFNLDEMHLVCMDY >Dexi2B01G0024070.1:cds pep primary_assembly:Fonio_CM05836:2B:33541008:33547899:1 gene:Dexi2B01G0024070 transcript:Dexi2B01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKASSPRLAVATGFRRASPGRERQELQETGAAGWAAACGQQGTVQGERLEIPECSVGCGCSANEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFSPLPSKGKAGKEGWYPPGHGDVFPSLNNSGKLDHLLAQGKEYVFVANSDNLGAIVDMKILNHLISNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARANPANPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKSGVKLEIPDEVVLENKDINGPEDL >Dexi2A01G0037410.1:cds pep primary_assembly:Fonio_CM05836:2A:46994073:46995690:1 gene:Dexi2A01G0037410 transcript:Dexi2A01G0037410.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLVNGHARRLLQIGDGYKHAAFLQARHSHTKHKQHGRSTKKPTPVPSPSPSPLSAPPKASPSPSASSPTISPSGYHPMTAPSHPLDPLPSTPPSYSPHKHSWRNYSLVTAGSTAFLVLAAASVIYCRAKKVGTVRPWSTGLSGQLQRAFVTGKFLSVLCEFCYACPLQFRLKPCHDHVPGVPALKRSELQAACEDFSNIVGSTPSCTLYKGTLSSGVEIAVVSSSIASVKDWSKECESHYRNKITSLSKVSHRNFMNLLGYCEEDHPFTRAMVFEYAPNGTLFEHLHVREAENLDWTARLRVSMGVAYCLEHMRRLNPPVVPMNLDSSTIYLTDDFAAKVSDLDFWSATGKGSNSSSSATADDSTISDMVHQYGIILLEILTGKVPYCEEEGSSLEQLASRYLDGSMSLAQLIDSNLGSIPEEAARALCEVARSCMDPDPKKRPQMAEVAARMKEITALGSEGATPKVSPLWWAELEIMSSEAS >Dexi5A01G0036520.1:cds pep primary_assembly:Fonio_CM05836:5A:37994083:37997242:1 gene:Dexi5A01G0036520 transcript:Dexi5A01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPGRKAPAGASGVRRWVVTIVASVLALVLTLVVISLSAGSSLPRTSLQDYLPVRVTGLGKLSSSEHADGNTSGTAIGEESLRGGREPAVEQNGQVGDVSSGEPSPVTETIESKEPDPAASGDTASTPDEDSSNDEPQKAEQGTCNLYQGKWVLDSAGPLYTNNSCPIITQMQNCQGNGRPDKEYENWRWKPEECVLPRFDAWKFLELMRGKTLAFVGDSVARNQMESLVCLLWQVDVPQNRGNKRMHKWLFKSTSTTIARVWSSWLVHRSNEAVGIAPKGIDKVFLDIPDETFMEFLPRFDVLVLSSGHWFAKRSAYVLNGNVVGGQLWWPRQAGKMQMNNVDAFGVSVETCLTAVATNPNFTGLAIVRTWSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDVMYGKQVEGFRKAVKNAGQHGSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLEIIRTEFEKNRS >Dexi2A01G0031470.1:cds pep primary_assembly:Fonio_CM05836:2A:42205164:42207942:-1 gene:Dexi2A01G0031470 transcript:Dexi2A01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPGAGAEEAEARATWRSAAAAAAARRSSRRTCGWLPPLPFSPRNKDTAFIPFCKVRDAKHLRRLREERRSPYGNRVCLLYSMMSVIFLIRATEPGHFKRLAIQRQFVIECLRHYNYMHPNGEYEPAPGEVTKYTTWDYDTRWTHGNFVARRKRSGCFSFVPAPRTLFFFEIMHSPYFTGVVTCTPLDEPVTEGYSILGFRIWWGTRRRGNSDAICKSCHRHFDFPHTLTSKTPECEHKNVERICEVCGSRSCVLHQFPDQKN >Dexi5B01G0035950.1:cds pep primary_assembly:Fonio_CM05836:5B:35811551:35816271:-1 gene:Dexi5B01G0035950 transcript:Dexi5B01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETHPPPPRPVMIADLNFEPAESDGEDRPPTPKPTPAAAVLVPAASAPAVTADFSTRSGNEEGALVKNATATKDSDTVECEDTDQHCQGASAPREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQAQKAITSYEKSTEILLQDEEEVRRPDLLSSVRIHHAQVHQLKSAKFTSMCLMQCILQASMGDSFDDELETGEVDDILVKLKSSVQSDHRQAAVWNILGLVLLRGGQIQSAISVLSSLTAVAPDYLDSLANLGVAYIQSGDLELSAKCFQELLLKDQNHPAALMNYAALLLCKYGSLSAGAGGNVSAGPYMHQKEALAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKLEPNHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPVAWAGLAMAHRAQHEIAAVYDTEHINLNDAEERALYTLKQAIQEDPDDAVQWHQLGLYNICMTQFSRSVNFLKAALARSPDCSYAWSNLGIALQLSDDPCSETVYKRALVLSSTQQLHAIFSNLGILYRQHRNYEFARKMLLRSLELCPGYAPANNNLGLVFVAEGRWEDARNCFEKALQSDPLLDAAKSNLLKVLALAKKQ >Dexi3B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:3B:13059973:13062391:-1 gene:Dexi3B01G0017700 transcript:Dexi3B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRAPARALPLALALLLACSDVVVVAAQGTERIQGSAGDVLEDDPVGRLKVYVYELPPKYNKNILAKDSRCLKHMFATEIFIHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIQYISKRWPYWNRTEGADHFFVTPHDFGACFYFQEAKAIERGVLPVLRRATLVQTFGQKNHVCLKEGSITIPPYTPPHKMRTHFVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKSNPMFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVTKLDTILTSIPMEEILRKQRLLANPSMKQAMLFPQPAEPRDAFHQILNGLARKLPHGKDVFLKPGQKVLNWTEGEPADLKPW >Dexi7A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:7A:443033:443497:-1 gene:Dexi7A01G0000280 transcript:Dexi7A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQAEPLNLKEATVTCIPRLRGVGGGGRRSRREAAGAATQVSMLDRVRDVVLRLAMLSATSTAAATAATTKSASPPVNLRRSVTSAATPSRAAARVSPAASYTDSYRSEAVDDCIEFLKRSAAGGGAPATAVEASTAAVTSPLQHGPAVACDM >Dexi4B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:4B:2350585:2353800:1 gene:Dexi4B01G0003370 transcript:Dexi4B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAAAEAWEQAGERDREREEGLVVESASIGPKPIALQPDRRGLNWSVTRWPGHTQDKPTSRWQTTRPRRLLVRNPFGDMPPPAPLFLSLPSPPPLLPVHHPRAPQQALTLSQALASSRKLAALPACPVASPRHSDYFDPRPPPPPRGDGGYGGRPPNGAQEGRVFTSYSIYKGKAALSFDPRPPQFVPLDTGAYKVAKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEIGTLLTLGPTDSCEFFHDPFKGRSEEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNVDESIYIPITKGEFAVIVSTFNYIIPHLMGWSTFSSSIKPEESRPYIRPQSAPEYEWRR >Dexi9A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:9A:629859:630900:-1 gene:Dexi9A01G0001230 transcript:Dexi9A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPPPVPVAFPFSDVAPTRRLPHRTPSLPFTSLSPPSVPSALSLSHSRRLPYRTLFLLFHLAVVAVRPSLPPLFLLSRRPAQIRCKQGLPLRFFHFHIALFLSSLDLLLRRRRLSEQHCSGRSATPSPCRLPSMVCPNLTFPSCCVK >Dexi6A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:6A:1676987:1680219:-1 gene:Dexi6A01G0001760 transcript:Dexi6A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAALRPHKAPPARVPTRLVAALCAACFLLGVCVVNRYWPVPEHPGCPDKASSDHARGALSQTREVIMALDKTISDIEMRLAAARAAQAMSQGMSPSDSEDDQGSARHRMSFVMGVFTTFSNRKRRDSVRQTWMPQGDQLQRLEEKGVIIRFVIGRSENPNPDNEVDRAIAAEDKEYNDILTLNHVEGYGGLPMKVQMFLSTALTMWDADFYVKTDDNVHVNIGITRSILARHRMKPRVYIGCMKSGPVVTKNDSKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLEVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHRRCWEGRGAEGHAQF >Dexi6A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:6A:22624518:22625742:1 gene:Dexi6A01G0015220 transcript:Dexi6A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGARRRRRHNPCSICMEPMAPSEAHRGGAACDHAFCRACLCGHVRAKLETGAAVVQCPDPSCGGALDPELCREALPSEIFERWCRVLCESLFLGARRTYCPFPDCSEMMVADDDGGGESVTQCECQVCRRLFCARCHVAPWHAGVTCDEYQRLAVGDRGREDMLLLEMAKGNQWKRCPNCQFVWL >Dexi8B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:8B:1336962:1339154:1 gene:Dexi8B01G0001890 transcript:Dexi8B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAAGNAAADAEAPPNKAAEAPSRAASANHRPSSAAAAKPPASPTGARASTSKPAGPIGGVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHRASGEKLACKTIAKRKLAAKEDVDDVRREVQIMHHLSGQPNVVGLRGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERAAASLLRTIVQIVHSCHSMGVMHRDIKPENFLLLSKAEDSPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASEPWPHISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKHGLAKHGTKLSDTEIQQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGCYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPTNNKKRRDVVL >Dexi9A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:9A:15024931:15026036:1 gene:Dexi9A01G0020050 transcript:Dexi9A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLAASSSPASERRRGQRKIAGGWDALFCFVGGSRRARGKQALAAEATPRWRKQQRTAPVDGDPADRDAMRRGAGGDKVSRKGLRLQPGCCFLAPARAPERKLSTSTMDSGNGAHDKQRRLEHKKPRPPRSPPEEPDPSSQMQATDAEQPQPRGGAPAEKQANAAARPRDNEASSKSEAPARGRPCHPKASASAGGEGAFGPVVGLSVVAAVSMAGLLGGRLWAVACVCAWLAALSRLTRRAGGSGTAGSDGGGEETVADDVDSTDYKKLVVLRGLLERDRTKAVKA >Dexi3A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:3A:11838541:11838951:1 gene:Dexi3A01G0015910 transcript:Dexi3A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQGAVVKKGHDEGLKMAVSLLEEFGLPLGLLPLEDVVEVGFVRDTGYMWINQRKKVEHQFKKISKQVSYDVEITGYVKPKGIKKLKGVKAKELMLWPPVNEMTVDDPPTGKIHFKSLAGVTKTFPVDAFAAGQ >Dexi9A01G0023560.1:cds pep primary_assembly:Fonio_CM05836:9A:18961411:18975308:1 gene:Dexi9A01G0023560 transcript:Dexi9A01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRGSACDEEDGRSFMQCFFCGEAEEANAAAEVAVAEKSRRRKEQEEAYGEALRQKKERRDEIRRAYAAHQSRRPTPMFRNYPKHRGCGTSRFMPRRGVSSSRASSGGGGSTSVPEVGEEEETTGLEPFFFDEAVALAEHAAAEGRRKLEEEEARDEEMLRRRWRAHKSALDAIRGYDHKPTRRLARHLVP >Dexi8A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:8A:12212132:12215757:-1 gene:Dexi8A01G0009340 transcript:Dexi8A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGLVDWRGRPVDTTKHGGVRASIFIHILVWLGNVSNIANSMNMVSYLRGTMNMGVAAASTTTTIFVAVLQMFTIPAAFIADSYIKRLYTVLIFAPIEILVLVAAFRKRNLQVIDNPNGLNQITGDNAKGLEVLGRTKGLECLDKAAIDNGQRGPWSLCTVHQVEEAKIVMRMIPIFITSALGYMPVSIILTFTVQQGNTMNTKLGTINVSPATLFIIPTIFQLVILVVYDRFIVPFLRKKTGYVGGVTHLQRIGIGFVAAMMASVVAAIVEMKRKRIADENGLMDSPAAVPMSVFWLVFQFFFIGVVDVTSFVGLLEFFYSEASTGMKSIGSSFFYCMLGVAAWLVTLLIELVNRVTRHGGRKQGWLDGANLNRSKLDSFYWLVCVLQLVSFMAYLYWARRYVYRNDQRVVEKDKSPVYRDMDAI >Dexi5A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:5A:744922:745690:-1 gene:Dexi5A01G0001110 transcript:Dexi5A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRCVGSPSRPAPSTFLLLVLLGGAFLAVPALAGDTILPGEGIPGNQTLVSKNGEFELGFFSVGTSIHRFLAVRFKKMPTTSPKFWIRNGAVITDLSAAALEVFGGSLCIKEAGASLWCSSVAGDGPPPPSAAAVLLDSGNLVVRDQANNSRILWQSFDYPGDALLPGARLGFVRETGRNVSMTYKDSSHNGTISVDQSRRNGSFWDKSA >Dexi5B01G0022280.1:cds pep primary_assembly:Fonio_CM05836:5B:24511720:24515861:1 gene:Dexi5B01G0022280 transcript:Dexi5B01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEASAVAVAVPEPEALIPLVVEMKMETSEKDHGVAQRRRRLEMRHLNLVASATAGGEGGKRARPALDLSPAAVAGVVRPKSLSHGVHMAIGSRRQMEDAVAVAAPLPVVVAAAAGEESGEVEEGGSNGKGKEMEVEEGDGGWVPEFFAVYDGHGESWVAEMCRERLHVVLSEEMARLHLAKGGGGGGVEEAGALWKEAMVAAFARVDGEVAVIQVAEGDVKRNTEGSTAVVVVVEPRRIVVANCGDSRAVLCRGGVPVPLSTDHKPERPDERVRIESVGGQIIYWQGPRVMGVLAVSRSIGDYFMKPSISAEPEITVTDRTSTDELIILGSDGLWNAMSNEYACKVARYCLSGRAAAKWPAAVQGSSASDAAKLLVELAMARGSHDNVSVVVVELRRMLWRKFSRQNGRT >Dexi5B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:5B:8991943:8992210:-1 gene:Dexi5B01G0012640 transcript:Dexi5B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSTRACSRLASPHASSSAGAAAAAAALASSPLLGSGMSAPERQPAPPGAVVGDTGSSRVAEDPQPRPCTSSGESRTAS >Dexi4A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:4A:22292292:22294220:-1 gene:Dexi4A01G0018320 transcript:Dexi4A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKARVAAYGYPCEEYQVTTEDGYILSLKRIPHGLSDAGNSTERTPVLLFHGLLVAFWDWTWDQLADYDLPAVLQFVYNQTGGKKVHYVGHSLGTLIILAAFSEHKLLDIVRSAVLLCPIAYLHRMRSKLIRLAAHIFLAETIHMLGYHEFNPVGRVAQEVLGAVCTDPEIDCYDLFGAVAVVRKEGIRKYDYGNKKENMKHYNQPQPPLYNLSSIPPHVPLFLTHGGQDFLGDVPDTRHLLRTLVRQHDSDDIEVMYMPDYAHGDFVMGYNAPQLIYKPMVEFFKRH >Dexi1B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:1B:798270:800184:1 gene:Dexi1B01G0000950 transcript:Dexi1B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAPGSSLHGVTGREPAFAFNMEAAATEPDAAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLTMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHVLMGVLVLTLGQDLPDGNLRTLQKKGDVNKDKFSKVMWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGIISDMGARYWGMRARLWNIWILQTAGGAFCLWLGRADTLPVSVVAMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSTYSTGTGLEYMGIMIMACTLPVVFVHFPQWGSMFFPPNKGAEEEHYYGSEWSEEEKSKGLHGASLRFAENSRSERGKRNVIQAAYV >Dexi6B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:6B:23880308:23883617:-1 gene:Dexi6B01G0016600 transcript:Dexi6B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGAGGSGGGAGGGGDDHLHGLTFGKKIYFEDAGGSSSGSGSASSGSGSGSASAPPPHPAPAAPPPASSGGGRRGRGAGGGASGSSSSSAAPPRCQVEGCNVDLTGAKTYHCRHKVCAMHAKAPLVIVNGIEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRRPPAGPLASRYGRLAASFGEPPGRFRSFLLDFSYPRVPLGMRDGWPAVRPGERVPGTIHWQPSLDPHHHHSAVAGYGVQSYGSQGSSSSGPPVFPGPELPPGGCLTGVAADSSCALSLLSTQPWDTTHSAGHSRASSMPATAGFDGNPVAPSLMASSYMAPSPWTGSRGHEGGRSVPPQMPPDVPLNEVHSGSSSHHGQFSGELELALQGNRPAPVPVPRIDQGSTSTFDQASNTTDWSL >Dexi2A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:2A:28853457:28854421:1 gene:Dexi2A01G0017100 transcript:Dexi2A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTADEDQKLVSFLLNNGQCCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKMVIDLHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKTGIDPVTHKPLQPEPTSSPEEKIVTSEQCTDDVAMANLLDDIVFPGDDEVGVQLAPSSGNTTAYWPESSSTSSSSSSSGSSSAAASSDGNVVGADGEWPDWPPMMDWPESMWQLEDVDVVTGATPWEFEDPFVTYQRITLFDHQETWNNSKIELF >Dexi9A01G0035310.1:cds pep primary_assembly:Fonio_CM05836:9A:39911908:39919969:-1 gene:Dexi9A01G0035310 transcript:Dexi9A01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRPPLLLLVLLLALLPSPPAAAAAGGPSAWEALRGAAGSRRALPAEQEGAAAGVLRRLLPSHARSFSFQIDSKGSVCGQSSCFRISNVAANSGKGGAEILIQGTTGVELVSGLHWYLKYWCGAHISWDKTGGAQLASVPSPGSLPQVKGEGVKIERPVPWNYYQNVVTSSFLPSFSGNVPPVFTKLFPSANITRLGDWNTVDADPRWCCTYLLGPSDALFIEVGQAFIRKQIKVVAVTFSDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKNAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPIWKMSSQFYGVPYIWCLLHNFGGNIEMYGILDSVASGPIDARTSYNSTMIGVGMCMEGIEQNPVVYELTSEMAFRNKKVEVEYEWNARTQVTMWYDNTETEQSKLHDYANKFWSGLLKSYYLPRASKYFAYLRRSLQENRSFQLEEWRKDWISYSNEWQSGKELYTVNATGDALAISRSLFRKYFS >Dexi2A01G0035930.1:cds pep primary_assembly:Fonio_CM05836:2A:45478269:45478535:1 gene:Dexi2A01G0035930 transcript:Dexi2A01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGDERGVGRSSSPGEACLVDLPWEEEAAAGHGLTGTGRRPRDTGQACAWEEGRGRRGEGDATKGGTGRGATGLGAKRQNPYVAGVA >Dexi3B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:3B:13550304:13550660:-1 gene:Dexi3B01G0018260 transcript:Dexi3B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAHPVLYHHPAPAGDAASMSSYFSHGGSSTTATSSSASSFSAALAAASAAPPLADQFDISEFLFDEGAAAAAPGVFAPAPDGGAPVHRVVPDGASHGGAAAPGSAISAAAHNAR >Dexi9B01G0026330.1:cds pep primary_assembly:Fonio_CM05836:9B:28293769:28294886:1 gene:Dexi9B01G0026330 transcript:Dexi9B01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLLVIVTFLLALPTATAIGKTCGHAGNYTANGTYQSNLASLAATLPSNTSSSPQLFATATAGEGGPDVAYALALCRGDMTGNLTGCSACVAAAFRYAQLACPNAKAASVYDDACLLGFSSQAYSNSNNVTQDASTLFEFWNSGELAGDATVVSAAVEDLLAQTVQEAADNTTRFATAVMDASSAVSQTLYSMAQCTPDLAAGDCLTCLRWVVGMVNATTSVRNGGRVLVLRCNVRFETFLFYQGSPMKRITPSSSPAAAPPPAPTTNKRSGIKPWVIPVVVVPALAIVAFCFIVVYCRQKRRRHRKGGFISYWNVCRRIIN >Dexi8A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:8A:26363721:26364013:1 gene:Dexi8A01G0015300 transcript:Dexi8A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAISTLSSMNSWENILPGRLVSRAYSSSGSTTGTPSVKPRMPCTYSLDRRSRCSPLATQASAADGGAMSAACFLSPSAAAASVSACRLVPLAG >Dexi7B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:7B:22610680:22611335:1 gene:Dexi7B01G0016580 transcript:Dexi7B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEPVRPKRGDRLVPLHAVSFVSSSPWLLEEKNSRDSLKLLELRRCRRARLGANAVATAAAMASSRTSERGRGRAPPAPSGDASPNGRLTRPRRAQLRCCELKSWRVELCAAPPWLPVKLRSPLYGATRSACVMLRAACVFRQAAVRARCTAVASPEAGVSSVARSLDLAQPALDSRR >Dexi5B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:5B:3530173:3532339:-1 gene:Dexi5B01G0005340 transcript:Dexi5B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGGGGGGGDAEGARGSFQWDADSQLYYHASTGFYHDPVAGWYYNSRDGQYYIYENGNYMPLTTDLGNKPASNDEAILESSCLEPAIPDDENEILTPPSEWMEETLINLYLSGYSNREGNAESSLGDTHTNEEEKWLAQYGQIMIAKVSLWLGLWDAYLGVPVSFILLCLLVVDV >Dexi2A01G0027840.1:cds pep primary_assembly:Fonio_CM05836:2A:39170337:39171546:1 gene:Dexi2A01G0027840 transcript:Dexi2A01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAASSRRAVRRLCSSSSASSSSEAWPRDGPFLRLQKQRGQHLLTNPHILDDIVRRAAIRPGDAVLEVGPGTGNLTARLLASPAARVAAVEIDPRMASAVTTGDAMKVEFPEFDVCVSNIPYAISSPLTAKLLFGAHRFRTATLLVQREFARRLVGAPGHGERNHLATNARLVADVAVLMDVSKREFVPVPGVDSSLVEIRMKEARPTEVEPGIGLDEWLEFTRVCFRQHKLQQQQWKKQKKAKPEKTLGTIFKQKEMAMELLRLSRRGDAEERGSNASSGGHTALHGDNGGEEDEEEDCCEESTDGFSEEEVVAFKERIAGALQSARLDKERPSRLSNDDLLRLLRQFIKRGVRFH >Dexi3B01G0022300.1:cds pep primary_assembly:Fonio_CM05836:3B:17146197:17149288:-1 gene:Dexi3B01G0022300 transcript:Dexi3B01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRGAKKRKRPEKPLPAPAPRLPLLPLPDGSDWWGVFYRRVAATMLHPPFFVATGHSSFPRECQTIESVLKMSRKTFDYICSLVKKDLTTKTYGFRNFRFGDKTILEVEDQVAVALMRLTTGESLQNIGIWFGMNHSAISNITWRFIESMEDRAISHLKWPSPEEMATIKARFEKIYGLPNCCGAIDTTHILMCSSAQPNSRVWLDNENKNSMVLQAVVDTDMRFRDIVSGWPGSMDDSCILRTSGLYRLCEKGVRLNGQMELPGGSAVREYIVGDSSYPLLPWLMTPYQGRGLNAAKAEFNKRHTAATTVVQTALATLKGRWRVIQGELWRPDKHRLPRIIFVCCLITNIIIDMDGIPSREMLISGNHDHGYKQQFSNVADDNAVKQRDDLSQHVTAGEQTP >Dexi4A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:4A:18480877:18482133:1 gene:Dexi4A01G0015480 transcript:Dexi4A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENGVDDVTIKIQYCGMCHTDLHFIQNDWGITMYPLVPGHEITGVVTKVGTNVTTFKPGDRVGVGCISASCLDCDHCRRSEENYCDKVTLTYNGIFWDGTVTYGGYSDMMVANKRFVVKIPDSLPLDAAAPLLCAGITVYSPMKQHGMLQSPGGSLGVVGLGGLGHVAVKFGKAFGLRVTVISTSPAKEREARERLKADEFVVSTNQKQMQAMSRSLDYIIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGRRTVSGSMTGGLKETQEMLDLCGEHNITCDIELVSKDRINEALARLARNDVRYRFVINIGVANSKL >Dexi7B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:7B:23344493:23347851:-1 gene:Dexi7B01G0017360 transcript:Dexi7B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWTIDTKYYSADLSIWTANLGEEFSLGSLPHLDRLAALVMVFDMSDESSLLTLQSWAANVDIQRFEVLLCIGNKADLVPGHGLSVDGDSQGLERLLGALSAHMWPGMILKSGNKITAPSLIEKEESTDDESNYDFEYEVLSHGSDDQWEFVGETSTSRSFETSNEANGAQDHAHQVVNPSADSDSSSNALPSDTPTETAEENTVTQTNKADDNDHVDKTAEDSLDSHQGDAPEANNLSEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRREMAANLAMKMAAMFGDDDEEAFEDI >Dexi3B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:3B:10587550:10592629:1 gene:Dexi3B01G0014700 transcript:Dexi3B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGGARAPLLPAIALLLLAAAPLCSGAGDVAVIFAAAPRRVSGSPSATFAFRVTLTTGAGGPCGDCTVTCQLDGGRASACGGNNGTETTVRYAGLADGSHTLAVCASRRGSGGDQSPTCATYAWDVDTVPPTASVTAGPAFTSAPNVSALVSFSEPCPGGGGFVCNDTYCNLIVYGPGRVEPSTLHELRPGLLYSVAVSISPDTQYGRVILFMGKCFCTDAAGHPFTRTPNSTFTLHFDRREDSMSIAATVPEKMLQIQGVTRLVQATNDEKDLRIYLTFAQPVLNSSSEILKALTATDAVLSPTNRSTLGNRRFGYFAAEKLVSVQVSENAAQDVAGNPSLASDSLQVRHYSVPVSSSSVAGMTTIIFVATAVVSTLLTVSTSSLLASGAIPRPSSYMIAEPSRNLLRMACHIQIFALSRWLSVNLPIEYYEFAKGIEWTIPYMRLPWEGPSADPFLGYSTMLAIALSELLDRTAVGATGISYPRAQGQPVMPATILSDPVFPTELPGDGSPVMPMQTPGGAPPPVMPMQVPLDGTPLTAMEYRSFFENPDMKPEAQIIMKLQDLDGWKYFARNMFWLGVIGGGLILLHLLTLLYFKLRYRGREGRHGLGALVLPRLEIMLAILAAPCVAQAAAAAISGGAAGGLAAGVALIGVLTALLLVLLLFLSLGITMGRLLQYKEVVHQDEGRQEEHHWCQELLRCTLGSGKRGQWSWKKDPRRAATLAMLGPLFEDLRGSPPKYTPIGAGGKRGAAAGAGGGEGIIGSEHEEAAAPLIEKVSGVLRVYYTPLESVKRVAVGLVAGAHAASSSSSSSPRAHAAAVLSIASLQLVFVALGRPFVRRRVQLVETLSVASEVLVLAACVALTGDGEARGVGVAMLGAFAAGFAAQACSEWEALLRQVRQLSADRSSLLDGAKTACLGLLLLLLPSSVLGDRVAVNHRHHRQDPPPPDGGDGAGESVSASTPDEGGKGESEGSRGSSNERWWLRQLREMAKASFSKEAGGGGGDAGGEEASTSGTKARSGEWKSKSRGLYNDLEAIFSNSNR >Dexi2A01G0036620.1:cds pep primary_assembly:Fonio_CM05836:2A:46114402:46117865:-1 gene:Dexi2A01G0036620 transcript:Dexi2A01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEAGAGEPLSDHQKREIAVWFLSNAPAGEIHYAAAAEAFPEYNKAHLVSLELPDRSGDIIISTYGELDKNDYLDPRTAQVATVDHIKQVVFHSARYVYPALLYRSVMPTVQLMFKHDEELPSAYIEEFRSALDIELSKYVSEAYPKGVDAHYFEEGNVQLDTNIDRKDSTIMQSPDDCAVSITNIIRHHESEYLSSLEESYMKLSDATFKDLRRKLPVTRTLFPWHNTLAFSLTRDLAKELALGK >Dexi2A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:2A:8658707:8659370:1 gene:Dexi2A01G0008640 transcript:Dexi2A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQDRDHKFLTKAVEEAYKGVECGDGGPFGAVVVCNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGALIAEQVFEKTKEKFRMY >Dexi8B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:8B:20390660:20392890:1 gene:Dexi8B01G0011330 transcript:Dexi8B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNGHCPFPLSPKLHPHAFRRRRPRAPPAMAAADANEDVAPPTASGYLNPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSLSVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAAQGTKGVDVVVADMLDLPFEQESFDLVIEKGTMDVLFVDSGDPWNPNPTTVNNVMKMLEGIHRALKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEYSTFGDSFHYFFYTLKKGKRSLESNSYQNTLPAAPRINMVHEELENEDFIFRTNVDEL >Dexi1B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:1B:22683930:22684360:1 gene:Dexi1B01G0016110 transcript:Dexi1B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDPKDAAPAEEEERPAAPAAKVKVLFFARARDLTGVAESSVEVPAGGTAGECLARVLAQFPKLEEIRGSMVLALNEEYAPDSAKVADGDELAVIPPISGG >Dexi4B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:4B:15990059:15993367:1 gene:Dexi4B01G0014750 transcript:Dexi4B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHFAGLGCFPASSSSAAAAAASGTTCLLRRYTPNFCAFAALRPARLTTPAAAAANPLASPADHEEHPAHQQAVPCRDGDPPSPSSSAPAAPAPLRVGIVGFGNFGQFIAGGIQRQGHTVLAASRSDYSGYCADRGIRFFRSVDALCEEQPDVVLICSSILSTEGVVRAIPFHKLRPDTIVADVLSVKQFPRNLLLEILPPSFGIICTHPMFGPESGKHGWSKLPFVYDKVRVTEDGDQVAKCDQFLSIFEQEGCRMVEMSCTEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGYESLMQLTQNTVSDSFDLYYGLFMYNVNATEQLDNLERAFERPFTNVIV >Dexi7A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:7A:23738255:23740010:1 gene:Dexi7A01G0013760 transcript:Dexi7A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLRVAWVPGMEAIAKESNFLSSPMSLRAGLALLAAGTNGATLRQLLTFLGSEDAHHLAVATARLLADVNTWPQLSFAASVFVDRTLHLTPEFGSAATSATAWRLTNVLTRDMVGPTTKLVLANGLHFKATWARKFEPSDTVRRHFFGHDDGSRPVRVPFLSAAGMQYAERFDAIGHGFKVLQCFYKMVGRDGKLDLGSPCFCMLVFLPYDRRDGLARLLRMAVAQPDFVMRCAPRREQLVSPCMVPKFRFFSRLEAVSALCQLGLTEPFDKGVADLSRMVSNVPPEGLYVSTVTQTCAVEVDEGGTTAVAAMYAASSPTYSLKERLPRPPPMSFVADHPFMFAIVEYERAEVLFHGHVMDPSKED >Dexi4A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:4A:3046986:3047954:1 gene:Dexi4A01G0004260 transcript:Dexi4A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLSLLVRVVLAAAFFLRPCTHSANISAVEDTVRDRAFELLARGARSQLLVDVPLPANLSFAGVEASALRVRTNALWASGINATASSSSGVALAIAIAIPPRVLPAPFARRVAIVFERFAGDSASAFAAPPGYALAAPVVALLAYDADDASRARHTRVSLRTLGAPVRVLFGNLSSSSPATGGKVLNATTVRCVTFGANGEVVATHAAVASAMACAVTGTGRFGIAVRVPAAAPVVRARWWAWTVGVGAGGVVGASVLGIAVAGAVSWSRRRRREEMERRAMAGEELGRMTVRGSRMPSAKVMRTRPEVEESLSWQ >Dexi4B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:4B:3888483:3889492:-1 gene:Dexi4B01G0005490 transcript:Dexi4B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGCPCMYFHVDSCPQLETIVRSNVDAAIRQNVRLTAGLLRVFFHDCFPQGFLLDNGERSLPPNVGLQQEVLQLIEDIRGKVHAQCGATPLAPASRNDVSKLPPPTASVDQLLSAFKNGSLDGPADLVALSGAHTVGKAHCNAFQGGGGGDDMISKCVTETKNKGVMLPSDQGLATDPRTSWLVQGFADNHWWFFDQFKTSMIKMSQLRGPQGNVGEVRRNCFRRNSNAAAIPGAADELASA >DexiUA01G0025540.1:cds pep primary_assembly:Fonio_CM05836:UA:53811523:53827147:1 gene:DexiUA01G0025540 transcript:DexiUA01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVESMGFLPFAAVVTIATLLMLPSSIGGAAPAAAAATGAEGSINCTRSCGNISIHYPFGIEPGCYHGPGFNLTCRNDAASHGRRRLPKLFLGDGTVQVLDISVEDSTVRINSTGVEFQYNGSDRSINQTWGLGIPDSGPYFLSESKNMLEAIGCNVQVSILGGVNNSLISSCSAICPPVNNEGWRVSNGTCTGIGCCQASIVLGYPLYNIQMNWLGPPYIPLSAYIVDKGFDYTMDMLGQDHPQALPATLDWLINNSICTANKAAPECRSAHSYCQDSSSQLHGGYICQCNDGYQGNPYVHGGCQDIDECKFPDLYVCYGICKNKPGNFSCECGKGYTGNASVPNGCRALPFVFLDIDECEHQESYSCYGSCQNLKGSFNCQCPNGTYGTPFINGGCIAIRKSFTGEGGEVKFGEVRVGEDGFVGSRAVAMPGVRVDDGGCLGALGLAMKGEIVRNRM >Dexi6A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:6A:25882232:25883103:1 gene:Dexi6A01G0018050 transcript:Dexi6A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSRVVSVFGYFVQGMISAICWIPKGAAKNVPFVDEPPTQEEINEAIESLSVDRSYDCDDDEDDDGMDIPDGGKVEDAVAQAKGVANALREGTIDPFDHIAAGLRELNMENYDNEEDGPKIFGSASYDLYYPTNDMDPYLKNANNMDDDLDAEDDEEIEDRTLKPTDMIIVTLHNNESYNYLEASIS >Dexi3A01G0031520.1:cds pep primary_assembly:Fonio_CM05836:3A:35970360:35972066:-1 gene:Dexi3A01G0031520 transcript:Dexi3A01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSGDVRQPVPGPYALYHFGTSGAAVAAATAVTHPLVIAGALATALTNPMEVLKVRLQMSTSSTSTIGETRKVLAQEGLKALWKGVGPAMARAGCLTASQMATYDEAKQALMKLTSLEEGFQLHLMYNEFSISTF >Dexi9B01G0031780.1:cds pep primary_assembly:Fonio_CM05836:9B:34070033:34074488:-1 gene:Dexi9B01G0031780 transcript:Dexi9B01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARRLLSRAAAARRLALPLASVAPRRFSADAGPPTLPPPPPQPVVEPPRSEGAGASSSSSTAADAGGAHRSSPGAAAGARRQGRGAGYEEEQEKVLRASLLHVPRMGWSESAMVAGARDVGISPAIVGAFPRKEAALVEFFMDDCLQQLMDRVDAGEGEQLKNLKLSDRLSKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDVGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVNRRIKDALDLQKTFQEAAYLAEAVGAGMGGTVQGVLNRVFQNRGS >Dexi3A01G0031680.1:cds pep primary_assembly:Fonio_CM05836:3A:36049390:36049638:-1 gene:Dexi3A01G0031680 transcript:Dexi3A01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNQPWESDKGLEVGTLGDGGAESSWQWQSASRRISVRAYEQEEDGGTYRGVEPQNRQRGGGGTPPEPMRSAAAEGLRSLR >Dexi9A01G0037120.1:cds pep primary_assembly:Fonio_CM05836:9A:41430547:41434025:1 gene:Dexi9A01G0037120 transcript:Dexi9A01G0037120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGEAEEVDENWMAGRSSTSSSMDRLKDLLGDRPLKGIFTALGLPDKLGEKIGGAVIDTLDLTDCFWLMDLPEGLSRLINMRHLCLHLDWDRVTAFRSMPRGIDKLQSLQTLSRFVTVSKDGGTCNISELKSLKIRGELCILNLEAATGSAAREANLSGKEYLHKLMLKWSDNTYNDDNQLDLENSERVLEALCPHTSLKHLRIDNYPGRKLPSWVDRLLSLESLEIVSCPRLTQFSVETLRSLRSFRIDQCADLASTSQRLI >Dexi2B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:2B:5130704:5132449:-1 gene:Dexi2B01G0005570 transcript:Dexi2B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRWVGDNLGSPAWLFLAPVAPLAAAVVPRRGARMYLDFHLRPLIKWMLPSLDPYVTIDISGKPRYSMDKIKSSDVYEEVKAYLSPMCARDALELDADGAADGDGVVLSPREGQEVSDVFKGVTVRWASVWPTREDSSQCLRLTFHQRHRDLVVGEYLPHVRRSDRNALLGNRRRRLYTNKTNDYSNKVWTYIDFEHPATFDTLAMHPEKKRKIMDELDEFRNSKDYYNRIGKPWKRGYLLYGPPGTGKSSMIAAMANYLSYDIYDIELTMVPNNTNLRKLFIETKGKSIIVIEDIDCSIDLTSHRRSNDTAATTASANRKRKRTSEMTLSGLLNFIDGIWSAHSGERIIVFTTNFVDKLDPALIRRGRMDMKLELSYCGFEAFMTLAKNYLDVDAHPLFGTINELLQEVEITPADVAECLLMPNKERNEHGVDVCLGRLIDELRKRVQLDKEKKDDMKRVEEELAASAAAAGVDAKASDGEDMENGRRMVDPRVIRRLGRKETKEGDDKVAAKPNDNLAANNGRTVYAIMVMR >Dexi8B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:8B:8798889:8805068:-1 gene:Dexi8B01G0007370 transcript:Dexi8B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLQGTGGVRRRQVVDLVRKPGCCRDLLCSGCFSSQLLCSSAGLLALSRQMSVMVVVRVRSYSAYLLFHFYFSLSKPSTLAAAAGGGWRRRVEAAAAAWAAAGERGGVGEQRRRRRRGRRLASAAAWASRGGGGGVGGGWRARWRGRRRGRLLRAWAAAAWAAARAGRRNL >Dexi1B01G0028550.1:cds pep primary_assembly:Fonio_CM05836:1B:33058620:33060623:1 gene:Dexi1B01G0028550 transcript:Dexi1B01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMQQHQRHTERWGDLLDDDDGELDLGVLLPPPVVVGPDAKGIKKVRRSRSAIERRTWAKFGDAAKEAAGSRLTMVSTEEILLDRTGATEPVGRRVTTGPQSPQQVEEGTPSADGSATPDGASGKSEAYIPLFKRLGADKSGADVMRRRNDENSIRVGNLSEDARDHDLADLFGQFGPLSRVYVAMDRVTGESRGFGFVNFVYREDGERAIKKLNGYGYANLILQVDWSGPRPPRPN >DexiUA01G0017230.1:cds pep primary_assembly:Fonio_CM05836:UA:36352018:36355849:-1 gene:DexiUA01G0017230 transcript:DexiUA01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLSLDWMRVDRLRCLHGNVALDVARILLRCKSELATTDITEYALDALRDSRIRKVYLVGRRGPVQAACTAKELREILGLKNVCVCIKDADLVTSPADEEEMRNSRIQRRVYELLSKAASAPKDINCNDQKELHFVFFRRPIRFIPSENGSTVGAVELEKTTLKGDEVTGRQLAVGTGEFEDLKCGLVLKSIGYRSLPVQGLAFDKNRGVVPNLRGKVLSSESETATVETGLYVVDIYDFFCLLWVNKVASILEDDTKGVLRAPSDLKKHGRAGLVEILEQKNVRFVPFSGWEKIDSKEKTAGQLRNKPREKITTWDGLQRAANE >Dexi5A01G0025910.1:cds pep primary_assembly:Fonio_CM05836:5A:29627906:29630083:1 gene:Dexi5A01G0025910 transcript:Dexi5A01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVTKFHAASTPVPCSCSPQRYAITGWTGGGIRDHHRRRRTRGRRSLRVAAVAAESRRSEGGVAEDYYAVLGVMPDATPKQIKKAYYNCMKSCHPDLSGNDPDVTNFCMFINEVYTVLSDPIQRAVYDEIHGYAATATNPFLDDSAPRDHVFVDEFSCIGCKNCANVCPKVFQIEEDFGRARVYDQSGNIQLIEEAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVGVMLAGMGGSIDVFRMASSRWEKRQAKVLEKVRRRVSQDDSSKGGSWSDIWGAPSRYQNQKNEEEVKERAKRAAAAARRWREYSRKGADKPPTYKLPEAVPNKE >Dexi8A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:8A:2485710:2485821:-1 gene:Dexi8A01G0003420 transcript:Dexi8A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWCELCQDDGDRDRDAITRCPNSYVT >Dexi3A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:3A:525859:526723:-1 gene:Dexi3A01G0000650 transcript:Dexi3A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRIAVVLAVAAAVMVVAAARPSEAAASATSSDADALRFPGRPSSRPRSPIFPGFPGARPSPPSSSGFPSSPATPGVPSAPRSPPPPPPPPPCTRSRLEPPLDGFPVLPGFPGLMPGGGGGSSSPTECVTPLAGLMTCGTFLTGSEAETPTPQSECCTGLGGFLNNTSSAAGTDGDRTLRCLCPLILGDVNKVLPRPIDPVRLMYLPIACGVVLPPQVLYICFTGQQTPPLVGRIPDVWEKPSAGKRAMHN >Dexi5B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:5B:21361606:21362544:1 gene:Dexi5B01G0019050 transcript:Dexi5B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSIPSIKVKVGSVSVPPPHRAFRSSFVVIRSSKADGPIRRPAAPPLSPPPPMPPKTPALSTPPTLSQPPTPVKPAAPPASSEPPRPPEKRPAEAAASATAVPKMVAGAVTLEYQRKVAKELQDYFKQKKLDEADQGPFFGFLPKNEISNGRWAMFGFAVGMLTEYATGSDFVQQMKILLSNFGIVDLD >Dexi7B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:7B:18757178:18758099:-1 gene:Dexi7B01G0011750 transcript:Dexi7B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLGAGNGEGADLPRCDVCQEKPAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCGAAAADGVPPPPPPKGSSKPAAAGVAKAAAPVIKAAQPQEDLLQPSSPFLPPSGWAVEDLLQLSDYESSDKKDSPLGFKELEWFADIDLFHGHTPAKATTAEVPELFATPQPASNAGFYKTNGGRQSKKARVELPDEEDDFFIVPDLG >Dexi1A01G0030200.1:cds pep primary_assembly:Fonio_CM05836:1A:35530202:35531629:-1 gene:Dexi1A01G0030200 transcript:Dexi1A01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQASATEYYLHDLPSTYNLEHERRLERIRNAFKGIEGSHVWPFQVWLQTDKAAYLLRQYFFCNLHERLNTRPFLSQIAKKWLTFQEGGGAI >Dexi4B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:4B:5348278:5348556:-1 gene:Dexi4B01G0007480 transcript:Dexi4B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLSEDLDDDDDAAVRNEDDLFLDVATRRSSSPPSAAADAAPAAAPLPYWSSQRRRALRPAVLTGHGWRVGVCPAAVDCICSDIGEQGAR >Dexi8B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:8B:7854982:7857352:-1 gene:Dexi8B01G0006720 transcript:Dexi8B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIDHDRCQQPSPLPSSRIQLKKDRKIAMDVETGVVVRAASEEKAAPELETREAWWKAPAAMVLVQLFNTGMVLLSKVSIGGGMFVLALLAYRSLFGAAIILPLALILERGKWKEMDLHAAGWIFLNAFIGYAVPMSLYYYGLHDTTASYAIIFLNIIPLTTFILAFVLRMEALNIRSMGGLLKIVGVLLSVGGTMIISLYKGIILHLWNPIVHHHNDEHMAVTSHHLRGTILLTGSSFMFACWYLIQSKVLKVYPYKYWSSMATCLVGGFQTAIVGVILRRDNKAWKIGWDINLLTIVYSGALATAGKYSLNSWAVAKKGPSYPPMFSPLSVVFTVVLGSIFIGDDITVGSLVGTMLVIVGTYVFLWAKANEISEK >Dexi2B01G0028080.1:cds pep primary_assembly:Fonio_CM05836:2B:36755501:36756262:1 gene:Dexi2B01G0028080 transcript:Dexi2B01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSGALLAAVAFAALAATALATNYKVGGVDQWDTYINYDSWTEGKTFMVGDTIEFLYMPYHNVLEVTEADYDACNADNPISTHSGGDTTFKLTATGTRYFICGIPRHCLNGTMHVKITTVPYDAAAAAAAGPGTASSPAQAPVSSTPADAFAPGPAGYKVAMDAAGKSPAAAPSDASRYQQPAAAVAGLALAALVALVA >Dexi2B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:2B:5001138:5001454:-1 gene:Dexi2B01G0005410 transcript:Dexi2B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGVVTSASAGNSGLVDGLHVSNVAPWMLSVAASSIDRRFIDRIILGNGNTIEGTYINTFPRLQNASLLFPTGG >Dexi9A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:9A:14135551:14138684:-1 gene:Dexi9A01G0019180 transcript:Dexi9A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRCASFRSTLSSHRPPAASEFCRSFQSGNGETGETMDEFEERLFGSKGLDEGSLYRKLDRVENTSKRYGMGSGSMGGFGGFDNRSSSGPMGGFGGFSDRNNSGPMGGLGGFGDRGSSGSLGGFDSLNDGMSEMLGNVARNFQVDDDDESGEDWDAEDFDFRPDVDFRRGSTYNVRDLDLKRPAAAKNPPRPQFETTTAEVLRKADFRNVRFLSKFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRKPFIFGRSAEEHYSEEEYGFDFVKQKDGEPNEDNEDAEPSVEAA >Dexi2B01G0030220.1:cds pep primary_assembly:Fonio_CM05836:2B:38460484:38463274:1 gene:Dexi2B01G0030220 transcript:Dexi2B01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHPTEPGAGPEQAQAPEAALPLAAAAPAPVKKKRNLPGTPDPEAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPSRALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAPPAPPSPRPPDLEAEENVDKDKEKGQEEEENDDSAVAEVEQPQCVEAPAPEAPQRIPSPSPPPLPQEPTCPPSPPPLQKEPPPRPSPPPLSKEPQLFPSPLPFPLEQRPVVAVVPNVDESEVVAGTTVTAKLEDEADQEEDTCFQEADQYKEAELEVSNLLDKDTPMLPCFVPSPSEAIGTDGSSTTCGAGGSVSNSIAPSTTTNTFAGLFASATTSTTSQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTSASNPGTFAPPPAPHMSATALLQKATEVGASQSSSSFLKEFGLASSSSSSTPSKQPPQGRFTDNGTQPWHHRSIQQMEMERRCNHQQREMESSSQPWNHRSTQPMEMERHRNHQQREMESSSQPWNHRSTQQMEMDRHRNHQQMESTSSQRWHHHRSDQQMDLERYRINQQMDMERYRTNQQRDMESMQQQRWPHHRSSQQMEVMERHRSSPQMERESRAMLSGGLGLGLAYESGNSGLPDLMMGPSPLFGPKPATLDFLGLGIGGTIGGSTANGGLPALMVGGELDMGSSAQVPAPWEDAKRKTNGRTIL >Dexi3B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:3B:6574949:6576322:1 gene:Dexi3B01G0009520 transcript:Dexi3B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRNRFHPLASSIARPVAPSSSSSSPEAPSIPIAPYGADHISAAYPVLFHAAGSPHVGAAYPSLLHVAGFPVVPWDTQHVGVVYPSLFHVVGCPIVPWDVEHIGVAYPSLLHAAGSPVTPWETHHVSASYPSLLHAAGSRVMPWDTQHVGVPCPVLLHTAGSPAVPWDAECVDVPCPALLHAAGAPTAPSDAEHVDVPLPATPWAKERVDVAYPAFLHVVGSPFLAWGVEHVGYLALLCATGTPAAPWGAEHVGVHAPGFPIAPSSIRRAIRPAAPCVVDLFQLHLMSASIVQRSEVEFVNPIRAAGPVHAIAHDPAAPPREVRPIMIGRGAPQARAAPSIPARRVQTSMV >Dexi5B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:5B:8640585:8641008:1 gene:Dexi5B01G0012200 transcript:Dexi5B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGLPSAAAVREAESPWASQESRRAPRRRNPAVEAEAATATRSRRRRRVRWWAEPRPPAAAAAEDDGSFAIAGGGHGTALLSTEGS >Dexi2A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:2A:27550098:27550320:-1 gene:Dexi2A01G0016060 transcript:Dexi2A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPCNAWLGGGNRDSSCLFFFFENSSAVLPAAKAASTGEAATTVGGGSTPVMIRSDAPTGQ >Dexi1A01G0028210.1:cds pep primary_assembly:Fonio_CM05836:1A:33918915:33919972:1 gene:Dexi1A01G0028210 transcript:Dexi1A01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQRSVQELAASLSARPPEFRCSAVTPDAPPVIDLSAPGCGERVTEAAREWGLFQVVNHGVPSSVIAELQRVGRGFFSLPKEERERYAMDRASGRIEGYGTTLQREAWHDFFFHVVAPPARVDHGVWPRCPDGYREANEAYSRHVQQLARELFEHLSLGLGLDEGAMADAFGGGDMVFLQKINFYPPCPQPELTLGVLPHTDMSTLTLLVPNEVPGLQVFRDGHWYDVKYVPDALIVHIGDQIEASAHATLYNGAYKAVLHRTTVSKEKTRMSWPVFVEPPGELVVGPHPRLVTDESPAKYKAKKYKEYQHGKINVIPL >Dexi3A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:3A:13592006:13594938:-1 gene:Dexi3A01G0017830 transcript:Dexi3A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAARDTEALKVEKEKLEEHVEELTNRLGLEKKLRIDLERNKAGEISKLQAALRELEQRVDEATEMQERELANRAIEEALAQEREKITLLTDEVEELKAARNLN >Dexi6B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:6B:26623992:26624804:-1 gene:Dexi6B01G0020090 transcript:Dexi6B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTNQADLESHSHNPPPAAPVAPAPATPAAVSSGGGSVVVGISDDHHTAAPPETQPLLVQSSNVVGGGAGGGGPPARRNDDGGGDDVTRLEHAIAKAFGSTAALAKNLPTGGVLVFEVLSPVFTNAGKCDDVNRIMTGWLVGLCAAACFFLCFTDSFVDAKGTVRYVVATRKGVWVIDGTPPPSSPAEAAGKRVKFIDFFHAFLSLVVFLSVAMFDRNVSACFNPVMSYDMHQVFTCVPLAGGFVGTLLFAKFPSTRRGIGFPAVATV >Dexi1A01G0031170.1:cds pep primary_assembly:Fonio_CM05836:1A:36277219:36277949:-1 gene:Dexi1A01G0031170 transcript:Dexi1A01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSAWCGAFVDVLVLAGGRTSSGAAATWSSDEVKKALRWALFFEEIFKDLRESGHYEDSAAELDTALIELTSSPEFPKGLAGVRSETLSTARVLVIRHFLKARAMSVENLAAPLEAVVEMDIDVTCASEEKFCWTTR >Dexi1B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:1B:1987249:1988826:1 gene:Dexi1B01G0002440 transcript:Dexi1B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTFSPRPASLRPMQAGAKPHLQLHHLPFPRLRAGRRGSRLERAAAAGEAPVEVAPPAGETDAEPAPAAASNGAAVKAVEAPVKAAEAAPPPVPAFRDARWVNGTWDLSKFDKGGAVDWDAVIDAEARRRKWLEDYPEATNTDEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDLDNLKKIIDETTFYDKQWQATWQDDTTGGPKN >Dexi1A01G0028530.1:cds pep primary_assembly:Fonio_CM05836:1A:34173870:34175390:1 gene:Dexi1A01G0028530 transcript:Dexi1A01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPKEHLACTVMAGVAVHSSASSLGYGFDIDDLEEKGGVVLQQETPDALPLGAATWDAAALCPCSIAPGAVEDCCWDAQRHLSVSPPPPAAAVAGRGKAASSAARRRRRRPKAVKNTEEMESQRRNHIAVERNRRRQMNEYLAVLRSVMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRTEPPAAPPFAGFFTFPQYSATGAIGAAGSSDSSGSVCAAGDQSGGGSGAGARRGVADIEVAVAESHVNVKVLAPRRPRQLLRMVVALQCLGFTVLHLNVTTTADHLAFYSFSLKMEDECRLSSVDDIAAAVNEIVATI >Dexi8B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:8B:21856040:21857890:1 gene:Dexi8B01G0012470 transcript:Dexi8B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVVLQSSTQDLDSAVSPLSSDTITMDVSDEKCAHPSDLPSAADVVQSDNSSTEHLGSVGANGDSNGKEGYSGIDCSEQTDDEHGADEDSLVNVESNADKQENQEKIPMEETAMSDGTSITSMEDVLEPNNDLPSEPEDMSNQTPGLANAKSSNGNSNVFQSAKSVLTSTKKAKKTSSAKTRKPLQSTNRGNQDDGKSSIGKATVPSGPVFRCTERAEKRREFYMKLEEKHQAMEEEKIQLEARLKKEQEEALKQLRKSLTFKANPMPSFYHEAAPSPRAEFKKLPTTRPKSPKLGRRKTTTSMDTSNSSSESEGTRPCCRANRDGVDSNCKCSRSSKAPPQATNAKLAAKKQQAKHRAHKIAGESAINIAVH >DexiUA01G0005050.1:cds pep primary_assembly:Fonio_CM05836:UA:9185017:9186444:-1 gene:DexiUA01G0005050 transcript:DexiUA01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERHHARLISPPAAGDRRSVPFDAASLPGLLYSAATSSSSAAGPAVTALHAAGLKLGALPSSLPASNALISAYSNTGHLPSALRAFYLLPSPSTASYTTVLAALSRHGRPHEALSLFSASASAVAPDAELLSCLVSSCRRASALLPARAAHAYGVKNVAVLVFYASAGPALVALYARCGKVSAARRVFSFMDGEDVVSWNAMIGGFASAGRDREAWNCFREMRVRGVRGNGRTAVAVLGACDLDSGRQVHGNMLRIHDGGSKTILWNALMSMYSRIGCVSDAEQVFLEIERKDVVSWNVMIGAFAKNGYGARALELLDMMMQCGMQPDSVTFTAVLMACCHCGLVDEGLQLFKRFVSVVGLIPTMEQCACIVDLLARAGRFLEAMEFIRQMPIRPNAVVWGALLSASRMHHNVEFARIAFEQLVQVEPENAGNFVTMSNIYAKAGMVEDAKRVRMMIDRVELAKPSGQSCVEAL >Dexi2A01G0022500.1:cds pep primary_assembly:Fonio_CM05836:2A:34390906:34392075:1 gene:Dexi2A01G0022500 transcript:Dexi2A01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVMRNLLRFLVVAAISTVASVVLLLQRSPCPCGRGVALVPPAHGEPATVGNGTQPHPSMQRAAAASANNKDKDDTLAEVLRRAAMEDKDNTIIMTFTNEAFAAPGSLMDLFLESFRQGIKTEHLIKHLVIVAADANAFARCEQVHPHCYALAMGATNFTGEQQFMAKDYLDMMWRRNRFQARVLSLGYSFVFTDVDIVWFRNPLLRIPVAVDFAMSCDMYYGDNPYDLNKRANGGFVYAKASARTVAFYDDWYEARTAHPGKNEQDLFDKLKREMSARHGVAVQFVDTEYAGGFCERHKRRDFNKLCTYHGNCLVGLKTKLERLRRVLDEWKDFKAKAGKPGTNITALTD >Dexi3A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:3A:11243738:11247811:1 gene:Dexi3A01G0015190 transcript:Dexi3A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGHQVLTICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKSFFYLIIHLFLMSCKEITECCFLSLLFYRGILWAYRQQTGDEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPLGLANDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWSHADSIVTGQSKEALQYNGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Dexi1A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:1A:62735:63037:-1 gene:Dexi1A01G0000070 transcript:Dexi1A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHVEAAGGPVIRQSCMCCMTPDSDFVIDFLGGEFGEDVVVGVGFSGHGFLAEMAIDGKSNTAAEAGVELGHYRISRFDGNPMGNAAKDY >Dexi5A01G0030460.1:cds pep primary_assembly:Fonio_CM05836:5A:33387218:33387729:-1 gene:Dexi5A01G0030460 transcript:Dexi5A01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGTASSTLGFRELCCLAIASRRLGRLSLHPDLWSALISRDFPSQFQPSTSSTSQQQQLHPKSLYKTKFERHKVRMAEARRRAVFEAEARVFACRRRLSELDNSMRAEGERMKAAAQELDNLERVR >Dexi9B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:9B:9754568:9758861:1 gene:Dexi9B01G0014620 transcript:Dexi9B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPDDVLAGILRRVPPRGLAACRCVSESWRAVVDGRRLLRADLLPISLGGIFIKFHGYKHNASELFSCPASAAAAVSGTRRYLPEASGCHSWGEIQDHCNGLVLVEGYDDEVWYVLNPATRWVAAPLPPCPPPSVDMGTLEVKYLAYDPAMSPDYEVISERSFAREGEAIGTVADMRKCWASDQRNAVYWRGILYVHCQAEFVMRISLASDKYHVIKPPMGVEVKGYPHIYLEKSANGVCCASIKRRCRVQIWNLTETDCKTEWVLKNDKDIGKCLLKHKLEHPKPRANRDPKIRGPWTLQDINYYDYDESDEDDNMEALVDEELEWRSQASSDGKSAWSTDDACVNGEYCNGYMNILGFHPFEEIIFLDSTEHPIRPPHLFAARIDTRPTVQDSMERLQADVLAGILRRVPPRGLAACRCVSEAWRGVDYDHGVWYVLNPATRWVTCLPPCPPPAMDMGTWDVKYLAYDPAMSPDYEVLSFSRFRYIQRPGDYSYNSSRDIVDPEIEQLEWPPFICNLRVFSSRTGQWEERSFVRDGGAIGTIADMRIWWSGMRQQNAVYWRGILYVHCQSDFVMRYMFTPN >Dexi1B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:1B:22883430:22886766:-1 gene:Dexi1B01G0016360 transcript:Dexi1B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEGTAKAGRPRLYSYWRSSCSHRARIALNFKGVEYEYKAVNLLKGEQSDPGVLYLEDKFPNPPLLPQDPKKKALNHQIANIVSSGIQPLHNLTVLRFIDQKIGAGESVSWTQQQIERGFTAIENLIQLKGCAGKYATGDEVQLADVFLAPQIFAAIERTKIDMSNYPTLARLHDEYMAHPAFQAALPDRQPDAPSST >Dexi5A01G0025510.1:cds pep primary_assembly:Fonio_CM05836:5A:29352268:29355386:1 gene:Dexi5A01G0025510 transcript:Dexi5A01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQATLAAATAVFAAAAAAAVLARQRLREANRWARAGAVLRDLEARCATPAERLRQVADAMAAEMRAGLACDDDSESGDGSALLKMLVTYVDSLPSGVEEGLFYALDLGGTNFRVLRVQLGGKEQRIIKQESKGVSIPKHLMSGGSDDLFDFIAAALANFVASEGEDYHLSEGMQRQLGFTFSFPVKQTSIASGTLIKWTKGFAIDEMVGMDVVEELNKAIRRQGLDMKVTSLVNDTVGTLAAAKYVDNDAIAAVILGTGTNAAYIDHAHKIPKWHGPLPKSGEMVINMEWGNFRSSHLPLTEFDQALDVESLNPGEQIYEKLISGMYMGEIVRRVLLKMAQEASLFADNVPPKLETPDILRYGFQVTLQLTCFTLHMLMMHQDTTPDLKTVGIKLKEILGIESTCYKTRKAVVDVCEVVARRGARLAAAGIYGILKKLGRDTVCPEQRTVIAVDGGVYRQTMDQALALLFWQPPIVNA >Dexi3B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:3B:11794039:11796382:1 gene:Dexi3B01G0016260 transcript:Dexi3B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAGALLLVAVFAVAAVAKVSPDPEFLLRYTDTADTLPIETNGVVSNAQSGVENSKHHDETDPSKEHVTRENGGVNNDTSENNKKDNSTEGTNVDGSTQQPKDKDNSTRKTPQAKDFWQDPLILECDQSHRCIIENKKFIGCLKVPGEDSLALSLLMDNKGMDPLDVSITAPNYVTLVEDTVHVESNGHNETQVRVSISDAANNTAIVLKVAGESCTVNIHSAVTREAGRVIRMPLTSTYTLVPVFVLLAVVGVCIKLRRTRKPDGGLAYQKLDTAELPVSIGGKKEPSQSDKWDDNWGDDWDDEEAPMTPSKPMPNPSSKGLAPRRSTKDGWKD >Dexi5B01G0031690.1:cds pep primary_assembly:Fonio_CM05836:5B:32362767:32364516:-1 gene:Dexi5B01G0031690 transcript:Dexi5B01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSATAAASTAMSRTTAGGSSLPGPPPPTPSNHHAGASSAAAGGADAALSAFLHRLLLSSPAPQLRSPLAARSQASPSLPPLVSLEAPDQRALRDAADVGYFHLAGHGLPSDLPSSALAELSHIDASSRRASNLRTLGFSEEEEDEQDADGGTDDPAVVFDVCEGDMDAFPAAAEYARRMRDVGLRVVALLSGCPDAGIREDPFAEGRRKPRCLVWASKVSAASDSAPPAAGKAKAYPYVVGLHCQWEASGQDAAPASSWVVNDGGEWTAVGARDGAFLVTIGEIAQVWSNGKLRKVRGMARPTSAPMDTQHGETDRLSLTVLITLPLDNIISPLVPLSDAAGEGDEDRDDEANGAGADGDGWKFHSFLLEDYAWRVFNQRLQFKDPLLRYRI >Dexi8B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:8B:19127844:19128334:1 gene:Dexi8B01G0010530 transcript:Dexi8B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNGISKDVVLEDDSALDAVHREVNAYCRKPWNMWRANLVHTYLRSPWAFMSLAAAIFLLVMTIMQTVYTVLSYYQQSNGNDSGSSVAPAPMV >Dexi1B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:1B:9244965:9247146:1 gene:Dexi1B01G0009870 transcript:Dexi1B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYSKMVAREEQVMRELKDKGVLVEILEDDEERSIDNKLLDLNEGFDAGSEEGEVGDYEEDEGEEGDDDGGSTTDVGGSGSSSNNSSTNNNSESKKGDINKSEGSEQRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGSISSVYSPMDFHFMRGDRRFHEMSFFQRAAALSSSKPEHGSFFASRNCSAPELSRLYGLLHRRPTTQTFDFRNSRSHEWASSQRDQVIISRKDVTPPSITPHQTHPLASACAALRSERRWWPFTDAGAAAAAATAGERKVVDHQTAAGGREVDFANPIGSSSSRPHHLWPMAAVSGDPRLPFRWRSGVTGRDVVGYPSNSAKITKRSSDPVVIDEALDPQKIERQKHLEPRDDSAGMLADEACRKRSSPVEAQEETENLQLTLSPTPVMEAKKRRTTALSEQEIMDSSELSISLSLSPPAAASYVQKQQQQEKTTRRSNEGSSGSGEAVLGQSTLDLTMSIRALE >Dexi5A01G0032930.1:cds pep primary_assembly:Fonio_CM05836:5A:35365896:35369413:1 gene:Dexi5A01G0032930 transcript:Dexi5A01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEESIAANRTLSLVLTGDEADVTYLARSGHGGPRFKHDLVAPSSFQAGDAQFLGASLDMSSPAARIGMTPDGCEVPEGAIRSACYNRPGGVLTGAITPPEQQIGSDIKAVAFKGTWTEEEDSTLKDMVMQFGERKWSMIAQALPGRIGKQCRERWINHLHPDIKQNDIWTEEDDKMLIGAHKYFGNRWSSIARFLSGRSENAIKNHWNATKRSLKSKRRLKKKKSEQQVAPGQLSALEEYIRGVYPTSESTTMPPPASPPLDNLAYNGLIGSKAELPHVPEMRMNFNAPNPVGLPLHQLPGMINDNIPPLPDLNVTCDSQEAYRVSNLMGATTPVPQVQMVTQDPHQACFNNWFPFVAYIPAWKMEHVAGPSFYTSGPSNYIGANNTYNEAGPSNTYGYGGKPAHDANNIVQMESREFMTPSASEATMGYNKYE >Dexi6A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:6A:8480982:8484344:-1 gene:Dexi6A01G0008200 transcript:Dexi6A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCLPIRFRPRPRSGGGVTELETTTSSCAMSAIRRRHVFEVRGYSSLLTRVVSGSFVRSDTFEVGGYDWAIRFFPAGDTTTGGVYASAFAVLLTPNAEARASCDLVLVSRHVNVLNITSQTAPALVRHVSGGSGTQRAPALGSSTFVKRSQLETPRSPFVSGDTLRIECAVTVFKFKKATSTTAATSPAAAAANVEAPPRCLSQDLVNLLETEDGADVTFKIEGEVFTAHATVLAMRSPVFKAELYGPMKEGKKTTTGHRHVSIVKDVHPDVFRALLRFIYTDTVLPGMEDDDDHGSDRNKEFLKHLLVAADRYDVQGLKFLCEKMLSGSLTIATVAEMFALADRHSCSKLQDACVEFITGSDRLADVVETKGYRHLKSVNEYLEKTALERSPYLKDDRIVIECHITVIKEPCVVVKTSCSATAAEPPRRPNLSHDLSMLLQTKVGADVSFQVQGQEFAAHTSVLAAQSPVLRELLPGHQTSDEQGGAAQGHVAVNVRDMDPGVFEALLRFIYTDSVSASMAGLDAQERNELCRSLLVAADRFDVKGLKFVCERTLMNDGLDADTVAPMLALAERHKCDALRVACVEFILASTDRLHDVVATMEYAQLKASSPAVFVDLFEKAAGLR >Dexi3B01G0021920.1:cds pep primary_assembly:Fonio_CM05836:3B:16827898:16828322:1 gene:Dexi3B01G0021920 transcript:Dexi3B01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKLQAASPRATLAYVDVYTPLKDMATQPQKYGFTETSKGCCGDGIPAMGQKDAAVSTGDGAAHVLRHSAPDAPVPAYNEKALADLIIQSHIPKFSK >Dexi7A01G0023820.1:cds pep primary_assembly:Fonio_CM05836:7A:31704973:31707072:-1 gene:Dexi7A01G0023820 transcript:Dexi7A01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKMIGDLLRASAKSSALRGGAQLHAALTKLGFGSDTMLSNNLIDMYAKCGKLAMAAEVFDRMPDRNEVSWTALMVGFLQHGEARECLRLLGEMRASSEAAPNEYTLSASLKACCVAGDTSAGVRIHGVCVRTGYEEHHVVANSLVLLYSKGGRIGDARRVFDGTGFRNVATWNVMISGYAHAGHGRDALLVFREMLQRHNGEQQPDEFTFASLLKACSGLGAARQGAQVHAAMTARGFFSTAFPNGILAGALVDLYAKCRCLPVAMQVFESLQRKNAIQWTTVVVGHAQEGQVREAMELFRRFWSSGVPADAHVLSSVVGVFADFALVEQGRQVHCYTVKNPAGGLDVSVGNSMADMYLKCGLIDEAKQLFQEMPARNVVSWTTMINGLGKHGLGREAIHMFEQMRADGRVEPDEVAYLALLSACSHAGLVEECRLYFSRMLQERRVRPKAEHYACMVDLLGRAGELGEARDLIRTMPMEPTVGIWQTLLSACRVHKDVGVGREAGDVLLAVDGDNPVNYVMLANILAEAGEWRECHKVRDAMRRKGLKKQGGCSWVELHKEVHFFYGGGDDTHPQAGDIRRVLRDVERRMREHLGYTADTQFALHDVDEESRADSLRAHSERLAVGLWLVHNSGGDGGGGQEKKVIRVYKNLRVCGDCHEFFKGLSAVLKVVLVVRDANRFHRFQQGACSCRDYW >Dexi3B01G0037990.1:cds pep primary_assembly:Fonio_CM05836:3B:40828357:40829122:-1 gene:Dexi3B01G0037990 transcript:Dexi3B01G0037990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRDLAAPVVGVAEESPTDDEPLVLLLPRPSRALLLLLLLPAAVLPGDPSRGGALHERRLPQHDLTASSVAVLPTVGAAVAVAVAAAARLARGLNRDAPPRRLAGSGRMTRGRDEEEDDGWWSLVQPVAVWCAARTAEEPAIPAGRRDRVGFDWRDVVWSGGGVVRRPDRGGARHSGGEEGSGRI >Dexi9B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:9B:1990469:1991275:-1 gene:Dexi9B01G0003490 transcript:Dexi9B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDDKSQAAADKIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAILGTVKPKASEASSGGAYTQCQKCFQHGHWTYECKNERVYMSRPSRTQQLKNPKLKKIAPVSYQFENPDLIKEREAEKKLLKEKRKKERSERRKGKSKRKHRSPSDSDSNSSDASVFDSDSESSVSGSEYSSGSSSSYSSSDSEDTKRHHRRKQKRRRHRRDSTTSASESESASDSDSDDKGSRKKSKRRSSRR >Dexi8A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:8A:1507773:1508795:-1 gene:Dexi8A01G0002290 transcript:Dexi8A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVAQLGYLNPSSDLSDPTLGPDHGSPVSEFEDDDDHHVLAMEITYPKVVEEDPIDYEMTCPGQSFTNEQVEEVMQKWRDRRSRLMAEFTELYNNILRQGDGIVSYPPKPLKVLPETTYSCVERRYCYHCEYITSDTSTTISTLGFRRPQQMMQVFSLRLSSYNFKSYPISIYGIIAIHDDLEPLRNYVFDCSRDDPVMIHQ >Dexi3B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:3B:64883:65049:-1 gene:Dexi3B01G0000070 transcript:Dexi3B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRRWQNATGFATRRWQNVLPQDLSPRCWQNATGRLTAI >Dexi7A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:7A:25429197:25433649:-1 gene:Dexi7A01G0015570 transcript:Dexi7A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRRRHHHHVRWVVPAVAPAAAAFAAAGLLFVVVAFHCFLSPPLGDGGKGGGARVVRRPNPPFLLNKPAEVARNVIGAVDFSVPSGGSRLGEELWESKAAGNFFGCSNATKQFADAKAVTKSERYLMIATSGGLNQQRTGIIDAVVATRILNATLVIPKLDEESFWKDASDFAEIFDVDSFISSLSNDVKVIRQLPDRNGKKLSPYKMRIPRKCTPKCYENRVLPALLKKHVVQLTKFDYRVSNRLETDLQKLRCRVNYHALQFTDPILRMGELLVQRMREKSGRFIALHLRFEPDMLAFSGCYYGGGDIERRELGEIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELAPFLPYSSRMAALDFIVCDKSNVFVTNNNGNMARMLAGRRRYFGHRRTIRPNAKKLYSLFLNRTSMSWDKFASKVHTFQKGFMGEPNEIKPGRGEFHEHPMDCICAKSKEKIGQSRSHQIKRAGEAVENHSSGGDLDWRDLDYGEHTPLGRDSSNEPESDDIRVGGSDIPELEDMMSD >Dexi9A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:9A:4678612:4680322:-1 gene:Dexi9A01G0007950 transcript:Dexi9A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESIRFLSAKLSQENMKDDIGKTMSLLEDMKKSDPGLESDRHDQEGKERHVTKQARRKLRVGVPIEHHANTIYTRAMYEKFYDELFKSGSFAIYERVNDNEFILVDTKEENETNAKHYRVKLEGGNKIWCECGLYEHMGMLCRRTLKVLVHVDKREIPAGNILRRWTKEGHIPTEETSIATALEKQSQNIQRKMVLAKACELAKMDNRKRLDTFVQGIEEVTHKTLINETSSQEICEVNDATPA >Dexi9B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:9B:15403244:15403492:-1 gene:Dexi9B01G0020700 transcript:Dexi9B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLYGSGSGRRRVSRDGGSAWQSPSPAPVSRLLRRLRSSLRRSAARPRREAVRFGYDLHSYSQNFDDGLAASSIGRRL >Dexi9A01G0030450.1:cds pep primary_assembly:Fonio_CM05836:9A:35476886:35478660:1 gene:Dexi9A01G0030450 transcript:Dexi9A01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAALMVAAALAAVAATWLWAALAHLVWRPYAVARAFARQGVRGPAYRLYFGNTRESKAMLRAATSGHTLDRSSHDIIPRVMPQHRAWTSLYRKVYLWWFGSTPALCVGSYDMVKRILSDKVELYPKPSPPPALLALMGMGLVFTQGDDWVRHRRIVSPAFAMDKLKMMTGAMAACAGEVIREWESRAAANGGEVMVEVGKQFAELTADVISHTAFGSSYRQGKEVFLAQRELQLIAFASFATTRLPGAQYAPTKSNLRRWRLERKVRDTLVAIIDERMRMAAAKEEAYGHDLLGLMLEANACGEDGKSAMSMDEIIDECETFFFAGHDTTSHLLTWAMFLLGTHPEWQHRLRAEVLRECGTGGAITGDALNKLKLVMMVIYETLRLYGAVTRILRTTTADVDLCGVKVPKGTLLLIPIAMLHRDDEIWGADAGEFNPLRFRNDVGRAAAHPSALLAFAAGPRSCIGQDFAMMEAQATLALILRRFAFEVAPEYVHAPTDIVTLQPLQGLPIVLKVLDP >Dexi8B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:8B:16623772:16624347:1 gene:Dexi8B01G0009350 transcript:Dexi8B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSMMSPFATAPLFAMTSCPGTVMSTQARSSNGSRLVISCDNEPTEDGRLGSESSCLIGTVEGDELPLASSPTACKNWAHPAPSPTPWLPVTPTVNPPQENSVTCISIKGSPGPQRMPG >Dexi6A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:6A:4340670:4349839:1 gene:Dexi6A01G0004780 transcript:Dexi6A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVARRSVAPARHLAQFTRHLAASLAAAPAPSRSFSSYSHQRHLAAAGSAASTRSFSSYSRDDLTRLFDILVTLAYQIVDPYLASYGAANPIFAVVQLAQTTMRSELGKMTLDKTFEERDALNDNIVKSINEAATDWGLKCLRYEIRDISPPQGVKAAMEMQVEAERKKRAQILESEGKKRAQILESEGEAGAVLALAEASARGIRMVSEAMTTKGSAEAANLRVAEQYVRAFSQVAKQGTTVLLPGDGGNPSSFVAQAFKIYQKLQTNSSQIVDPYLASYGAENPIFAVIQLAQTTMRSELGKMTLDKTFEERDALNENIVRAINEAATDWGLKCLRYEIRDISPPHGVKVAMEMQVEAERKKRAQILEAEGKKRAQILESEGEAGAVLALSEASARGIRMVSEAMTTKGSTKAANLRVAEQYVRAFSQVAKKGTTILLPSDGGNPSAFVAQAVKIFQQLQANSPQIEELEESRETDPAETSEMPPLIPETDHGTNFSLQRRKDNI >Dexi1A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:1A:2281369:2282181:-1 gene:Dexi1A01G0003140 transcript:Dexi1A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMAAPPPRLDSVLSFSDALPNADHHHPSTTEDPDTTTTATFSDVGSDADDESFEFAFAPPLAGPDHGAATTPADDLFAHGRLLPAYPLFDRHLLHLSDDELTSSSSSSTAPSADTYCAWAPRSAPGSPAREFPKSASTGDARRSWRLRDLVTAGGRSHSDGKEKFVFLHSTPPPSPPSKTPAAASDKTSTPAQQQKQSKTKKNGKAAATEMDLATAHRRFYGKQGGGGGALAGERKQQHQSYLPYRQGIVGFFAAAHALGGRSHHPY >Dexi3A01G0022360.1:cds pep primary_assembly:Fonio_CM05836:3A:17973656:17985383:1 gene:Dexi3A01G0022360 transcript:Dexi3A01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVTIFANTAAAGYSSPIASPALFSTCSTTVLNTMQESSAPQAALSSPMSSADQQHSHPSIQPSCNMPAGCTQVPISIFVLHRRTTGRSSRPQFPPSHSFMPATALLASEGDRRFSPWKGSVVDSVVGVFLTQNVADHLSRGMNNILAERIQNFLNRLVRDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKEKSLVNSRNQFAPQNSNMYAMSLTHLPRLEGNIHEREFHHNNSEPIIEEPASPKEEESPETMENDIEDFYEDGEIPTIKLNMEAFAQNLENCIKESNKDLQSDDIAKALVAVSTEAASIPVPKLKNVHRLRTEHYVYELPDSHPLLQQLGLDQREPDDPTPYLLAIWTQGIHQNHVVIHKWKAAYAIVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHSPIHVERQHLWNLQRRMVFFGTSVPTIFKGFVCVRGFDMETRAPRPLCPHLHVVARPKSRKIAATGQVL >Dexi2B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:2B:30008630:30012405:-1 gene:Dexi2B01G0019850 transcript:Dexi2B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLLLLLRLVFTLQLFTGPSPAAASSHISAVISQSGLDFAKDLLVSHAVETLTPLSVPDIEKSMSIPLVGTVSMTASEIVLHGLTVTNSTVAVGDTGVVVAASLASANLTMEWGYSYSAWFVTIYDCGNASIQRSSVEFDIDGLFISSDETAAPRDMLLGDIKSALPLASSSKMLWISLDEDVFNSVSALYFESVAVSGAAAVSGNNLGGRVELDYFSFALKWSQIGKLHTVLVQTMLRFFLKSLFVPYVNSYLEQGFPLPIINGFYITDAYILTSYSKMIVSCDVAFIVPETLYPVQTQGRFII >Dexi9B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:9B:9870751:9878324:-1 gene:Dexi9B01G0014770 transcript:Dexi9B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSPNLCISSSRLRLLSPDAAAQPQGTSLSLAGKQEGVDLQLQGLFHLDSPFFSKPMRLEFGFRGTERRTGGGRGPYKGPPPAQAVAKIESPAYVYVQPKPVDQSIYTTMEASTTASTEEGRRDHEEEDEEMEPHEHEDLTRLLPSDALAEALRRLPRRGLAVARCVCKSWRSVLLAAARRPADDPEVPAGLAYLPPNPDDPDKYLVFDPAVSMHFEVVAIDRLLWDMADDPAILASEWPPSPCTMLVFSSRTWQWEERNFIREGEAAGTVADMQRICPLVGKGYSAFWEGELYLQCESNFVTRISLSDNKYQVIKPPRGFEVIRQGFCLGKSEKGVCCGLVDYSEEMCWLRVWILEELCGKMEWVWKHQTDLQPLLTQRKYDRRSGGAWILYGTRYYQRQTLSEHDNKEAIVQVDNDNGNEGMAHMGYGFIDFLGFHPYKDTVFLTHSLRRGLAYDLNNSKVEDLGNMFPRSYRSSLDEFERVLETLSLTRHAGSKSSLYTPDDFTMSKA >Dexi4A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:4A:5768357:5769611:-1 gene:Dexi4A01G0007650 transcript:Dexi4A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSAAAGVATGGYDRQRELQAFDDTKAGVKGLVDAGVTAIPAIFHHPPDALALNSASCDDDDTATIPVVDLSGAPREEVVARVKAAAETVGFFQVVNHGVPAELMDAMLAGIKQFNEGPAEAKRRVYTRDTVHKVRFSSNFDLFKSAAASWRDTLFSELAPVPPRREELPDAVRDVMLEYGEAATKVAVRVLELLSESLGLGSDHMREMGCAEGLNMVSHYYPPCPEPHLTLGTSSHTDACFLTVLLQDGVGGLQVLVDRGGGRKLWEDVPPLPGALIINIGDLLQLVSNDRFRSVEHRVVANSSRDTARLSVACFFNADIKGSTRLYGPITEGSDDPPLYRSDVTVQEFMARAFSKGIQARSLDYFRLEQ >Dexi2B01G0029730.1:cds pep primary_assembly:Fonio_CM05836:2B:38083361:38084708:1 gene:Dexi2B01G0029730 transcript:Dexi2B01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFAALCALLLLLNAGHVESRRHGDSSDSGRQYKLFVFGDEFVDTGNYPVADLAKNTRAWYYPYGSNDKDHGASPSGRFSNGLVLSDFFARILGQKESPPAERKREQDGVDPLGMNFAVGGAGVVEGTREAPKLGRQVDKFRRLVRHGIIDKDLTDSVALIAFSGRRDYERFDDMSSTEVKAMAQQVTDTIADAVEQLMDLGVEKVVVTTLPPIGCTPWLSRSDDGVYDAKCDSQKVATIHNSYLEEKVFQEKGVFNLDLEAAFNHNAGPSPRSKHFKYRLEPCCESSEKSGYCGQVEDGEEQYTLGSKPYKFFYWDDINPTHAGWKAVVKEFEESIKNFLDI >Dexi6B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:6B:1378076:1379038:-1 gene:Dexi6B01G0001530 transcript:Dexi6B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGVLLVLLVTFLSAHAPFESEALNVRGHRLLKSKTFLSPPFLLTPGSVSNKFYYDIDFPRGHLALKSFSAEVVDMNGAPVPLHETYLHHWIVEPYYTKTQQSAPRKTMTLKRNSGVCKDTLGQYYGLGSESRHTATWVPDPYGIEVGNPPQGYDEKWLLNVHAIDTRGVTDKLGCTECRCDLYNVTVDEYGRAIGKNYSGGLYCCYDQTQCRMKGGFSNGGEPRQLFLRYTVTWLGWSDAVVPVEIYIFDVTDTALLVGKSETACKVMCYSSGVSGPGMQL >Dexi2B01G0024910.1:cds pep primary_assembly:Fonio_CM05836:2B:34161805:34162307:1 gene:Dexi2B01G0024910 transcript:Dexi2B01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFCAAAAACRLSVVAPPAAQAPRPRRGLVAVRAEAGAGGGINPAIRKEEDKVVDTVLAGELAKPLTAYCRIANFIFTSW >Dexi1A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:1A:16022478:16022690:1 gene:Dexi1A01G0013280 transcript:Dexi1A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSPPRPWPAPRAVPLQSTSPAPQNSSCSAATSQGKQEQRRAHGEEHVGRSGGDVELVAWVLDWMEAT >Dexi4A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:4A:17835716:17840031:1 gene:Dexi4A01G0015180 transcript:Dexi4A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASITFVLTRLADQAVKEAALLRGVDKDIRLLRDKLEWLQAFIQHADQERRKGANGYIALWVRQTRDVAHEVEDVLDEFLRKADLDRLAAGRSAWGRWLKLAASFTTQVAVRHDLRERMDGIKDRLKEISDNVDKYWSKQLRSNASSSSGPNASISAAPAWDEEIKVLGFEDKCQDLENHLLSSDRRRSVISIIGESGIGKSTLAWKVYDSSNIMKKFDVRVWINVPPQIKENDILYFIYKRLCPEMDEDKLKHSTSTTQSIHNNLSEYLRDKRYLVMVDGLANFTNWNSILQSLPDKGNGSRVMIITRLEENEARYADPKIKPFKIDRLEKLDSENLFCNRVLIRSNSQNHNKGSSSESLEPDQMEKVSKNIYEITQGVPLAIVLLAGLLRTKANKEWDKVFKQLRSSEEPKHMKRILALCFDDLPSRLKSCFLYFAGMPENLIYNARRIVRLWAAEGFLKPKKGKTMEDIGQSYLKELISRGMIQLVKKDINGGVWLVAIHDRLHAFAQLEAQEASFLEVHDNADVLAPASIRRLYLQNYMQSYIPMDTPFPKLRSILCDFAEERSENLESVSGSSRTQAASINDLRHHALSSLQASKFLRVIDLRGLRIKKVPHAIGDLIHVRYLGLRSRNLATLPPSIGRLINLQTLDIKRTEVVEIAEAFWEIPTLRHVVANKLKLPKFVGALNNMQTLTGLVCCHPWSNNKRRPLNNMVFLRNLEISGLNENHWEGLEDAFKKLESLLYLHLAGKGIPSKLFTNFTLRRLQILELYGEINTSGDKVDEQYTLPNVTRIVLKESEVDKKFMDKIGELPSLKELVMSDRSYVGEKLVFSDSGFNSITNLVVTGLPELQEWEIQPHSIPKVRKITVGNCPMMRIKLCIEGDQGLQGLMSDLKEVAFWNMPDQEISIEPENKDFREKINNVTMNTKSDDITSAMQRKGRWRAGMIAGDIFQN >Dexi8A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:8A:27114553:27117847:1 gene:Dexi8A01G0016030 transcript:Dexi8A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMASAATGVMSSLLAKLAELLSEDYQVQKGMRHQIAFLKDELSSMNTLLERLADMEVLDPQTCEWRNQVREMTYDIEDCVDDYMRQLHNGPQRPGGVMGFFLGYVQKVKELVSRCEIGEQIKALRDRIVEAGHRRKRYKIDDVVNSTSIDVVPVDRRLPALYAELGGLVGINVPTDEIIKLFGDGDQGMKVVSVVGCGGIGKTTSILSQVKKDECDSTSSSDKELLISELRDFLKDKRKLIVNIHCQGARIEEVEALEAAIRIGASMLPNHPKPDLHRFQVAEMVMDDGEREKEGHEEDKRQVPTPAWFFFNSSGSGHGEETTLSPCLDLLHDG >Dexi1A01G0031480.1:cds pep primary_assembly:Fonio_CM05836:1A:36445115:36448752:1 gene:Dexi1A01G0031480 transcript:Dexi1A01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFFLLLPPPPSRRFGTPHDRRRRTTNRGGGWQDNKMQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKTLLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLKGSLKRLDMDYVDVVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWAVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSNYGIGLTTWSPLASGVLTGKYSKGNIPADTRFALDNYKNLANRSLVDETLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALDVIPLLTPEVIDRIEAVVQSKPKRTESYR >Dexi8B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:8B:10709661:10710400:-1 gene:Dexi8B01G0008190 transcript:Dexi8B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATPEEAEAALGRAMTKAEAAWFRYTAATPDFWLYCCCVALVLLVYTLAPLPLALLELCAPAKLTSPYKLQPQVRLSPAAFLRCFKDTSLTMALSIAPLPFILYPVFKVRTGLPLPSPWESAAQLLVYFLVEDYVGYWIHRLLHTEWCYDKIHHVHHEYKAPMGYAAPYAHWVEVFVLGSASFAGLAIVPCHITTFWLWFIVRPLEAVDTHSG >Dexi2A01G0022750.1:cds pep primary_assembly:Fonio_CM05836:2A:34562238:34566066:-1 gene:Dexi2A01G0022750 transcript:Dexi2A01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASRALLLARFRTLPANNGVVRPLAAAGSLFLLPAGTTAKAVAMCLAARRLSSSRPPKETPATNLQSIARAGRGRPSKWMEHHRSTTGEPFINGKAVPYDPKYHKEWVRNNDRDNQRCPNLDRSSVRRDNMENFQNRDMPTRRTRSKSPSPQCQQTMEPHDDVPPVHHAQENMPLPPPSPSNGDPPTYQDHVKSPQTSDIPSFEQNCRQCGAPVHQVGNQDLQDSPDHRICDDNTDARMRDDNNNGCQRGRSDYQNGSAEAGQTTLHGANARPRQSGSEPGIQGQAVHRHYYCNVHYHYYY >DexiUA01G0004720.1:cds pep primary_assembly:Fonio_CM05836:UA:8781076:8781894:1 gene:DexiUA01G0004720 transcript:DexiUA01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTAMDQVGKYWGIWGASTRRSSSTAAAASPFPAAAWATQAGGRSEPSWEERAFARDAAEHLGGCVWPPRSYSCTFCHREFRSAQALGGHMNVHRRDRALLRQGGSSPEDQPQQSGALLCRAAAAAAASNPNTTTATSAADKGVTTTPSFLSTIIKESKNKLIMSSSMDQYGDFDVIGNDDGEESARGIKRRRLDHPSAATAALLIFVQPMAAEVKSCESSQGLLQGLPLDHHDANNKVPQSSTSPNTSSSPDQQEVDLELRLGTTPKVT >Dexi4B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:4B:1618830:1620523:1 gene:Dexi4B01G0002530 transcript:Dexi4B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVATAEGQERHYGGRITPFVVLSCMTAGMGGVIFGYDIGIAGLIHFERPNFYRFVLAVKIHGIMVIDVSMACTQPFLRKFFPDVYRRMIGDTRVSNYCKFDSQLLTAFTSSLYVAGLLTTILAARVTSGHGRRVSMFLGGAAFLAGAAVGGASANIYMVILGRVLLGVGLGFANQAVPLYLSEMAPASLRGAFSNGFQLSIGIGALVANLINFGTEKIAGGWGWRVSLGLAGVPAALLTLGAVFLPETPNSLIQQGKKDRREVSQLLQKIRGAGVDVGDELDDIVSANAAIAGGGDGFRRLLLERRYRPQLVMAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSSAMVTGIVGVGSTFASMLAVDRFGRRTLFLAGGLQMLASQVLIGAIVAAELGDTGGVSKATAGVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRAAGQGVTVAVSFAFTVFIAQAFLSMLCHMRAGIFFFFAGWLAVMTAFVYLLLPETKGVPIEQVAGVWRAHWFWRKVVGAEHDDDGGEREGGAGKL >Dexi9B01G0028040.1:cds pep primary_assembly:Fonio_CM05836:9B:30670800:30673011:-1 gene:Dexi9B01G0028040 transcript:Dexi9B01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPWLLSSHRAYTHKPTHRGGEHRAPPVPVPVPIWLINKAHTAPRPPPPTTCSPLSLPLPSTALHPSGRKGRNAAAMGAPSSSVPAAAAAALVFLALVTGAHCRESQLDTGAVDAGGLAENFNTSESAVYWGPWQKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPLFKDGKGCGSCYKIRCTKDKSCSGKAETVIITDMNYYPVSKYHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRMDTNHRMQAPFSIRIRNESGKTLVAKNVIPANWRPNTFYRSFVQYS >Dexi8B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:8B:20563324:20566539:-1 gene:Dexi8B01G0011500 transcript:Dexi8B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAVPVVDSSPAPAPARRGRPPKVPSSAGAAEAPGSPVSPLEAAGGDYELERAARIRENMERMQKLGILDLAQSLTTSAAAGASGRGSGKGRWRRKPLEPGSVPEAKVKPAPPPPSRRSARLKSVEPVSYCEIQPKKEKDHEGGRTELLEVGAKEEIYTEEHEKLLGTCNTPWTLFVDGYDKDGKRIYDQVRGQTCHQCRQKTLGHHTSCSECQIVQGQFCGDCLYMRYGENVLEAKKNPSWICPVCRGICNCSICRTKKGWFPTGSAYRKVVKLGYKSVAHYLIATQRASANSEDSSAADCSNKLLSDKSETSCISEHDAASSKDSLEDGETSSKAKQSKATRCKVKNSDSNKDGSRSESVVTSDSQDDQANKDAGCVTPLSKPSKPTSRKRKYERSPDCVASRLRSRSNKP >Dexi7A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:7A:28258088:28259467:-1 gene:Dexi7A01G0018960 transcript:Dexi7A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAMRGELRWSRAPYGRAGKETRPLALPKDLDAGEVDTNRAVVVIGESSPAAGLCWVRRDGGREGAERMSGGPALA >Dexi3A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:3A:5844476:5846944:1 gene:Dexi3A01G0008350 transcript:Dexi3A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVYTLEEVAKHNSKDDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLVGEIDSSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPVAILGLAVAVRIYTKSESA >Dexi4B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:4B:104259:106038:1 gene:Dexi4B01G0000150 transcript:Dexi4B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGFQVLLILVALLVLVGCARTAPPPPADAPAAAAQSPEQAPPSADQQAPGPTPPRRHRRPPARPKQEQDDPQPQEPAEPAPPRLVVPPPGAASSSSPAPPNRTTGCTTLLVLGDSTVDPGNNNRLPTTARANFLPYGINFYGRRPTGRFTNGRLATDMLAEKLGIARTIPGFFDPNLRLAQLRRGVSFASGGSGYDDSTANRINVVSFSAQLHNLFRYKLLIRTLLGARRAERLVNRAAFVISTGTNDMLSVYLASSNQSNSAISMEMYENHLIARVANYTQAMIMLGGRRFVFVGLPPMGCLPIARTLVGTGSDRCDETLNQLATSFNSKLVQLLSYIKYQRQIRTSYIDTYTTIHEATVDPKAYGLSEISRGCCGSGVIEVGQTCRGRRTCGDPSSYLYWDAVHPTERTNQHIANVMMDSIRELYS >Dexi9A01G0049500.1:cds pep primary_assembly:Fonio_CM05836:9A:52115275:52116230:1 gene:Dexi9A01G0049500 transcript:Dexi9A01G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFWARASWSLQASLSLSSLVQQLTVQLLGVAVALLLGVVVLLVFSVVNVVDVELAVVVVVAPCRTLGSGSGSAWRRRSPRSSPWQQGGVVVARRSWLRVRVGLVVAPVRSSHPLLLLVAGVGVLGVVVVAGAEQLAVQLGTSSNSLWASAMAAPWASACVPGVVDIVVPGVSVARLLRLGVAAVELGPTLLLLGVVLSICGGAVLSVATAALLLLGSLPLLPDDGAAGGFVVCFGWKKEQGGGWMCGGGLEEEKERGKL >Dexi8B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:8B:16941799:16948009:-1 gene:Dexi8B01G0009520 transcript:Dexi8B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSPREHVERIRRERYFIGRDEQNPLAEDMHEAVNYLSQELYSKDVHFLMELVQNAEDNKYPCEVVPSLEFLITSKDITRSGASSTLLIFNNERGFSSTNIESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISSQPHIFSNGYHIKFNEKPCAECNIGYIVPEWVESTPRLSDIEAIYGCFKVLPTTTIILPLKNEKVDAVKKQLSSLHPEMLLFLSKIRKLSVREDNSIPESSTVTEIAISIENNFQSRKSMHAESYTLNLSAEESGKMEEECGYYVWRQKFPVKAENKVEKRDKIDEWVITLAFPLGERLSREKKLLPGVYAFLPTEMITDFPFIIQADFLLSSSREAILFDSPWNKGILECVPTAFMSAFVTLVKSTPDAPAMSLPSMFNFLPVNPSVISLLEPVRSGIKEKVLAEDIVPCESYASQKIFCKAGEVSRLKTEFWTILHNAREFGVDLKNLSSHGTYILSSHFDRSTYDSVLKFLEVKNVEPECYKDELEELGVTVEVQNGARFVISGLSIPSDPSIMSKATVMSLLTCIKSYIECGASLPKGFQDEISKKWVKTSMGYQCPDECILFDAKKSSLCMEDGPFIDEAIYGSELVSFKDSLARIGVIVNVNCGQDLVATHLRSHKKLLSDCVKVPVCADGMITLSKKEDVFIPDDLLLTDLFKKLPQQSLFIWYPPSTMPSMSRARLNSIYEGIGVQRISKAVMKNDSFTLENGCFRTVDAIKVIEVGLLRIILMFLADPALDIPAKERHKMVSCLLNVTVQETDQPITVSYKVILSSGEVVNVKDSRMLRWERERSELYMQSREGVSGYKEKIEFATYFAEEISRGILFEMADQIASLSDLIKFGSLLNFEDAAIGFLMKSKNLQLFPEDDDFLKSSVLCSCRNN >Dexi7B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:7B:15914467:15916555:-1 gene:Dexi7B01G0008110 transcript:Dexi7B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAKRAAPAGGGGGSICAADRLSALPDDLLLHIVSFLPAQHAVQTTALSKRWVDLWRSAPCINLDLTHFRKSPYEHWRDTSVRMEAFVSKLLMLHKASCLDSFNLTASYADHDSLRHIHAWMRHAIRGDPKVIKVRTMCFYGYDLLYQLPHLGSSNPTCRRLTSLKLTGVSLDNSFTELFHSAAWPHLADVVLVQCQLAFPRIESERLKILGFQYCTAPAAEVFVIRAPRLASLSMSLHSNSCAKGVVLDMGSPLVRASVSLKRDEFSARNEAILLGSLCNVTSLEIRDFQAMAILDTELFDKLPVFNNLRNLALNPFSVDDTISDEHNSFKALGRFLQKTPNMETLTLENFWVAPTVELVEIPGLQNLRTLILDTCDLDDNFGLLQYYLLNSPNLEKLIAQYCKVSDGSKEMESPKMVSLHYPKLKSELKFYGRNASIP >Dexi3B01G0026120.1:cds pep primary_assembly:Fonio_CM05836:3B:21051429:21052913:-1 gene:Dexi3B01G0026120 transcript:Dexi3B01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNAGKTSPWMLILISLGCFFATYNFLTMPGRGRDGSRKFLGGGDRDSGGSYGSGDPAKRFHVALTATDALYSQWQSRIMHYWYKEMRDRPGSDMGGFTRILHSGKPDGLMDEIPTMVVDPLPEGKDKGYIVLNRPWAFVQWLQRAKIDEDYILMAEPDHVFVKPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDQETDKAFGWVLEMYAYAVASALHGVHHSLRKDFMIQPPWDLKTDNTFIIHYTYGCDYTMKVATLQP >Dexi4A01G0024580.1:cds pep primary_assembly:Fonio_CM05836:4A:27294008:27295464:1 gene:Dexi4A01G0024580 transcript:Dexi4A01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFSGPLHRPLSAMAVAAFAAVSSVELPDKLSHHKLSDASANADAVVSLPTSMADVPAAPSSSSLSGMHLLPHNIQSLRQLKAPFASLPVIQTVYQYAMFVKTSEQDATMPAVPSSSSDVLYRWHLPDPRVCAGFPDKSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHAVTFTLPMSEILSYNLGGKAEKNVEMLSEHLAGWVREESGKKIIFHTFSNTGWLCYGVILENLQRQDASAVEKIKACIVDSAPVAAPDPQVWASGFSAALMKKNSVTTKGIGSNDSRSDVLVVESNTEPKLAATEAVLLSALETFFDVVLNYPKINSHIMVHNTMIIFVVVDIPSE >Dexi5A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:5A:24259778:24262869:1 gene:Dexi5A01G0020420 transcript:Dexi5A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGTMCNELSLVLDKVSSVLPSIEDAQPGCKAGVEELCNLYNIVDKGKLIIQNCIECSSLYLAITSEATAMRCERIRNSLRRSLFLIQNMVEQLLANEVADIHNDLRDVKFIVDPIEEDAGKVILEMLRQSEEGVIPALVDLSVNGTEVARDCSVQLLQLLRDFRRCDHISSSCSQEVAAGHIAENPQNDSICKQPISKSARYISRKLNIFSKPRSLTLA >Dexi9A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:9A:9227915:9228315:-1 gene:Dexi9A01G0014030 transcript:Dexi9A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDHQDEAAEAEKKAIGPLARLPDDVFADILRRVPPRGLAACRCVSEAWRAFVDGRRLLRADLLPLSVGGIFINFNNYYISELFSCPASTTAGAGSMISGKHDYLPDPESLSWKQGLRR >Dexi5B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:5B:19903462:19907875:-1 gene:Dexi5B01G0018000 transcript:Dexi5B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAPLLLAALAVASAAAWASATLEDPAELLRRAKEPAFLDWMVGVRRRIHENPELGFEEFQTSELVRRELDAMGVLYKHPVAVTGVVATIGTGGPPFVALRAEMDALPMQESMEWEHKSKVPGKMHGCGHDANAAMLLGSAKILQEHRDELKVIVSQASVHRCSATVAFLNKDPFFPPTSNSPELNDFFVNVASEMVGSKNVRIMQPLMGGEDFAFYADVIPSTYYYFVGMYNETRGPQAPHHSPYFTINEDALPYGAAAQAALAARYLHEHQQLAATPDKAKAHDEL >Dexi3A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:3A:12936369:12937469:1 gene:Dexi3A01G0017060 transcript:Dexi3A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLYKQLGLTGAGSPLSGRHLLLILLAAGFLAFTVFVVHPNEFRIQSFFAGSCSRPSTDATASATPQAKASSAPHDAATESAHSPAPDDDDDVRVLIGIQTLPSKYERRHLLRTVYSLQLRDHPSLAGRVDVRFVFCNVTSPDDAVFVSLEILRYGDIIVLDCAENMDNGKTYTFFSTVARAFNATATTTTGRRRPKYDYVMKADDDTYLRLPSLASSLRVASRTDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVQWVATSELAAREQDGPEDMWTGRWLNLAGRAKNRYDNAPRMYNYKGGSPDSCFRHGFVPDTIAVHMLKDDKRWAETLAYFNATAGLPSSSGLLYHLPPPAGAGRP >Dexi1B01G0022410.1:cds pep primary_assembly:Fonio_CM05836:1B:28162272:28162844:1 gene:Dexi1B01G0022410 transcript:Dexi1B01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSFFLLVTKTVEMNVHMDCDGCEKRVRKALSRLQGTARQPILSILPTETRKMHACVSSVEIDMDRQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDAQYYPFAIQYLVDDTYVASDRYYRHGYNDPMIGSYPCHAFTHVIDDAALAVFHEDNVHACAVM >Dexi6A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:6A:3496406:3499731:1 gene:Dexi6A01G0003750 transcript:Dexi6A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTPAPRGDPGASSSSAPAPAPAAAAPAVVEALAGGVAAMTLEERFETLRGIGEECIQEEELMNLLQNTPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKILIADLFAKMNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFIWSSEEINKRADEYWLLVLDIAEKNKLKRITRCCQIMGRSDQEELTAAQIFYPCMQCADVFFLKASIYNAIAIDAADICQLGMDQRKVNVLAREYCNDIQRENKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEVYILMTFLTMDELISDYESGALHPADVKPALAKSINKILQPVRDHFNNNSEAKVLLNIVKVHLLILPNGRISGLYLLVRWSSSC >Dexi2A01G0022620.1:cds pep primary_assembly:Fonio_CM05836:2A:34474771:34476592:-1 gene:Dexi2A01G0022620 transcript:Dexi2A01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGLAKAFVNHYYTTFDTNRAALVGLYQEGSMLTFEGDKYKGAAAITGKLTSLPFATCKHQVTTVDCQPSGPAGGMLVFVSGALQVGDGEHPLKFSQMFHLMPVGPGNFHVQNDMFRLNYG >Dexi4B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:4B:21616678:21617186:1 gene:Dexi4B01G0019390 transcript:Dexi4B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATKMFTLISSDDERFEVAEAAANMSQTIRHMIEDGCADGGIPLHNVTGSILAKVLEYCNKHAATLLLERRCHRGGEGGTRELRQGVLEVDTDTLYDLLLAANYLEVKELLDLACQKVADMIKGKTPEQIRQTFGIKNDFSPEEQEEIKKENQWAFEE >Dexi9A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:9A:16425346:16426087:1 gene:Dexi9A01G0021560 transcript:Dexi9A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPTLSTSGDRAGRSATPRRQGRWGGLNREWRLHWWDKSRFRSVLRRCAASGNPEPPRHPTAYILGLEEFRNRRRKASGLWYVCCAMEHGHAAAAYMIGTITLHDSLRSPDGGVEQALERLDWLSPSASAGPRTRRRMASVRGDAVSVMRMLTMRRWRMAEPPMACVDPWCGKDARW >Dexi5A01G0022050.1:cds pep primary_assembly:Fonio_CM05836:5A:25945560:25947591:1 gene:Dexi5A01G0022050 transcript:Dexi5A01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDCHTVEFDDVRYHIQFSMRNPKVVVLSVALPLPPPEAILYDGLPFGAIEAIKAAYGLVVQILDPPKDGFDLTMKINLTKLPSDEERLCWVHH >DexiUA01G0008640.1:cds pep primary_assembly:Fonio_CM05836:UA:16263958:16264164:-1 gene:DexiUA01G0008640 transcript:DexiUA01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETESRAVVFSTIHRLVALGDVAMGQERKTAVFVEAGIREVEQLQRRPAAIVGLVHQVVNVSPAVE >Dexi4B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:4B:5093262:5093630:1 gene:Dexi4B01G0007190 transcript:Dexi4B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPPPQLQSRVVVFVVAPLAVRRAAPRCSPHRRVQRRAAPRCNPQRRAQRSAAPPWGLGIWCREQRAKAEDAARCSPRPLPRREPSCRTRAEKPARSRFELCEEERRRGREALEGRKPKG >Dexi4A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:4A:22771311:22772783:-1 gene:Dexi4A01G0018910 transcript:Dexi4A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSRTSKAGGRQVRTRPGGPGERRILPAHLLNRDAGGLNEGGEEGNGVFADVHKGHLLPGAIDEGPHDMPARQGRRRTGGETYTRGSASPASPPQSQHSCASTAKSLDLFVAGGAQAAVLSVLSGLIPPSLGALYRLVTTVQVRGAAVECVEGGEHIRWPRHGTSLQLLPWWHPRRLAHAEARQGGSNQQQGEAVFWSPYSTAGVVAAAAAGGTTFEWRKTMFLEMLGKEGQRRQESHAG >Dexi9A01G0028450.1:cds pep primary_assembly:Fonio_CM05836:9A:33119158:33121089:1 gene:Dexi9A01G0028450 transcript:Dexi9A01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRASAPLRPFLAADAASSLYQRPLEAESVSAAASSNGISFSRGEKKRGRISWTRSAAVTPAGMATDSAMTKLAPLEAILFDIDGTLCDSDPIHFCAFRDLLQQIGFNEGVPITEEFYSANISGGHNDDLARSLFPDMDHEKAMQFMDGKEALFRKLAPGQLKAVDGLHDLCRWIEGHNLKRAAVTNAPRANAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALELIDASPDHTFIFEDSASGIRAGVAAGVPVVGLTTRNPGKVLKDAGASLLIKDFQDPKLLSVLEEVKPATENGQA >Dexi5B01G0028730.1:cds pep primary_assembly:Fonio_CM05836:5B:30052866:30053153:1 gene:Dexi5B01G0028730 transcript:Dexi5B01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRGSRERMTLATIGLGLGLAPPASRPGAAAAASCQAWETTVGHFMSHKERSARAVSRYFASSDITAVDGGVPNSPIDDQEDWLARLGGRFM >Dexi9B01G0025600.1:cds pep primary_assembly:Fonio_CM05836:9B:26652504:26654853:1 gene:Dexi9B01G0025600 transcript:Dexi9B01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVASSRRSIRDAMLGAVLGGAGRQLYQPLRCGFYDGMVAGDGLVAALPDEASAAAVRVVSAGGKVPKNVLILMSDTGGGHRASAEALRDAFRIEFGDAYQVFVRDLGKEYSGWPLSNMEQSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMKYKPDIIISVHPLMQHIPLWVLKWQSLHPKVPFFTVITDLNTCHPTWFHNGVTRCYCPSAEVAKRALLRGLQPSQVRVFGLPIRPSFCRAVLEKVPNSLNFLSITYVLASPVMAAGLPLLGLQNEIREELDLDPELPAVLLMGGGEGMGPVEETARALGEELYDNSRQRPIGQIVVICGRNQVLRSTLQSLRWKVPVQIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFLPGQEVGNVPYVVDNGAGVFFEDPREAARQVARWFSTHMDELKRYSLKALQLANPEAVFEIVKDIHKLQQQSVTVTQIYSLTSSFSYHI >Dexi4B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:4B:5461293:5463567:1 gene:Dexi4B01G0007580 transcript:Dexi4B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVGIGFKGMRIMRVKNLNLYAFGLYMQPNSIREKLGPKYASVPTDKLMENPDFYRDLLRKNLHMRVRLVVNYNGLSVGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSHFTEDIHIPAGTKIDFCQTSDGKLITEIDGKQIGSVQSKDLCKAFFDMYIGDSPVSLEAKKIVAQNVAGLIARC >DexiUA01G0005140.1:cds pep primary_assembly:Fonio_CM05836:UA:9306220:9307232:1 gene:DexiUA01G0005140 transcript:DexiUA01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSHKLQSSNKMYHRDCLSSLPDEVLVTILHELSCLSTSILSRRWSDECTDAVNSAIASAVEKGVKHIDVAVVDHTNFKGFSALTKLVLVAMHMSLKDTQLLLRNCKSLKSLYLIDMFDIRFIQLPKLEELVWLCMFAVIILIIMLKSCGPSLPAFRMLRVYTCDMKSPSL >Dexi6A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:6A:7446898:7447826:-1 gene:Dexi6A01G0007530 transcript:Dexi6A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDRANWSDMATKTLLDLCIEQKRLFNWNRLGPSPHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYKTWKELQNSSGLGRDRNTGGVAADDTYWIPTQGDTSSEQQTHGKPPPFLEELELLFGHTPQDRGTLLTTGGVRESTPTIGSDDTPQEISEDPHSASAVRNTSKRTSRDEVVDSPQKKKSASMEDYVKEISESVAKRCERRSREQEECDRTLQILEEDGIMEGSELYCMATYLCTKAANRRFFTQMKTKEGRLNWIKFHLEKGTK >Dexi4B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:4B:20436097:20438561:-1 gene:Dexi4B01G0018020 transcript:Dexi4B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLSPAPLRPPLPTPRRRPVSSYPVASAPPPKPRFTAPRRAAATRGTGTSSYAAGRSSLPRHLPATAAVSVPSDPTPLYEEDEAARVKLAQVGKKLEKTARYFKNLGNLGFWSQLVCTTVSAGILTFTAVATGHVTAPFTFSATAVGITSAFISVFRSFGYIRLSESLRRTANEPAKAPPRANVVQNLRNSIVINVVGMGAAVLGLQATVGALVPKALTTSSVPYYQGIPPGQSPVLALDIFLVQASVNTILSHFLGLSSSLELLRSVTV >Dexi5B01G0032210.1:cds pep primary_assembly:Fonio_CM05836:5B:32822203:32823618:1 gene:Dexi5B01G0032210 transcript:Dexi5B01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFGSFLSESASSQKLFGHPDVERCPFLRNMNGATTFSFTSALPVAARGGKGPIFEDGPGFESAFKLFHGRDGIVPLSERSYVPNENHNESINVKTEPALPFNPLAARAASISLSAFGPFGFGFFNGKGKKQNKKPNNLDQSHQKPKTPDQSSMKQKEVNPPSHEAFSDEWLENGQCPLVRSYRAMSGVLPLVAKALQPPAGMKLKCPPAVVAVRAALARTALVKSLRPQPLPSKMIAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVVMPRTAMVFTIAASIVGQTIGSRAERIRLRNLAAKVTVDSTTAAMYPEKNESCSDAEGKAWDPLATKMPGSAARGAPAPTPSMCF >Dexi1A01G0028010.1:cds pep primary_assembly:Fonio_CM05836:1A:33728107:33741102:1 gene:Dexi1A01G0028010 transcript:Dexi1A01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAADLLAAISSPSSHAGLHSRCAAYLQPFAPYLRAANPNPKPPPKRATKQSKPPPAPDAATLRPLAKRFLPFIARGLQLLPPLIRASPASGDAGGGGPGELLEIYGLLLDCLEVISPCLEGKPYSVLLQRGRFVCSLESRGHLARANVEATAALDALRSSLSPPTTSTKSRRGAASAAPVLLPDPGSAGDAGRDPEVTTLAVELTVCLANCASKGKVMEAAPYQRVLILVEQLQPWLRILSDDVSRKYLPPLVNAMSRCLLILVAESTFFSTELVHEFCVSTIEEYVKAQMIERLPAVDLPKAVNEFLVFVAYFSRCILSSNKDLCVGASELLYKQGGYFSEVSSSTATVLLLYATGLYFNQQEESEMRPGLPVDILNDQKYLQALDRAGGSLALMSDDSVSLVTYVDALEFVCKVLLRHTNAVWKNFSDGEAIHYSGDMDRVLRTLHQFIDSSLVAYSSAKMSEEDNERLLQQHGTLLRVLVSTLKMEFVTNKDIKKTLDSIKCAISSKWLTLGEFKFLTSSLGNIGVTLYNTGHVKQAPEALELCCQTIWAHVKLFHSRVLSRTEANGTIENLPKDTLKDTILDAFTRIAKMVDTLRKCGSEMTRDIVAQSLTYLLAHGHMSEYSDSSLILIKLWVKATRKDFEANQVVDSAPLLYQYLLRCSCPLPKKLIGLIVKQELLAYGSVEPRCSKFCAEMQIRIIDVLLDKIYDSKEHYLERSEFLVRKAGALRACGSQNIKSCLESLSEAMYLLRTISEDSSQSSTTVINQLAIAQCLHAHCDLECNPVSEVILENVSGALRSWSKFGFDATEVNKTAVSLVSEASANDQSNFVAGYLYYDLSERLFSHGQLFQALSYGKEALHLRKKLLTKKFKLNLGSSGSKESQCVGYDLSSLEAWGPRIAEIWPDSSKSNSTRDSFLTSWSVLRCYLESTLQVAMMHELIGNGTEAEILLRTGKEISNYHGLSIFRIAFTSLLGQLCSRRQLWDEAKSELKNARDLLVEHDAIISSRAMGSRKGYYGDHEVHSVYWQCISLLFFRSFPQDCYRIYEPHLVGLIVGGSTGDFLPFEHAEILCSMSFILLKSSLSEQSRNVCCSFSSVGISDVVPWLLKAFVLSRECPSLFQEVCKLLACTFVLSTTDSSIHLPLCSKEKGFSLNHWAAYFHQMSVGTYHSYYHLASFQALLREKFSKGTLADFRSEAHEDVSEFLRFPSMIMSHIEKHINEFFEKLPDVPVVCISMISGDYVDVVEGFLLLPSSFPAWMLLSRFDSTEEPTTMLLPVAAISEKQSADSSIKDLDRMRVLDKKWQCPWGYAITDYVAPTFKNILEENYISLSSAVNAVQADNVRWWSHRMKLNKNLDSLLKGMEKSWFGPWKCLLLGHQLSDQNIEAALSSIITGLDAKKVVFNPVLIKAILGGALSVDEVQECVDQLILYKGYFGRGGCCGKDRLRALSSSEVKDEDLETVKCLITNAVYELPDPVDKSPVILVLDINVQGMAGTWDELKAKELVLALTNHDLFLYLGHGSGS >DexiUA01G0011310.1:cds pep primary_assembly:Fonio_CM05836:UA:22772623:22774941:-1 gene:DexiUA01G0011310 transcript:DexiUA01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFYAGASPQKQQHYQRRRQQIRQERKSLPIASVEKRLVDEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQDGKVIGITQPRRVAAITVARRVAEECNDQLGKKVGYSIRFDDSTSSATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANGNKNGKTLPDVRGHSQNLTQKACQGTRCAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLISERARLLPPESSKIWTTPIYSSLPSEQQMNAFKSAPAGNRKVNNNGY >Dexi5B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:5B:4714025:4717672:1 gene:Dexi5B01G0007030 transcript:Dexi5B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPLRTTAGGGAGSYSSPLQHHHRWRRGRPTGGAAGLRRPRVRRCKMKLMYFLMDRDERREKRLELELEVSELETVLDKEQRLGRVLQCSLQGRVVCHCCLSAHVPTNIRGLLGELAMVEDEIFYLEKKVDDLRLRLRREQKWTDQSIQQQQQQQSWPQNHQPRHSVSRREIQLALQLPKLPPCPGSDEAHECESKASVGSVSAKGDEMENDVRRSSHCRPSETPTPMERKVCLNSPNKLSEELIRLMVTIFHKLNKTTAAELELSGGTSSKLNISCIGPRSLVPRVAAVSGAAAAMSPLRNRRASAAKGAGHGGEKEAASAGCHRRFVEFTRASVDVSRISLCLVDIKNLRGLMQKLCTADPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPEKLLALLNQASVNVGGTMLSVLSIEHLILRHSPDGKQGIMDEGQRHLLHSYALGYPEPNVVFALCRGSRSSPALRVYTADDVSNELERAKVEYLETTVRVAGRRQRAVVVPKLLHWHMRDFADDDASLLEWVHSQLPRASGPLRRAIREVLGANNGGAGRGPATPAPATAAKMVEVEPYDAEFCYMLPVW >Dexi2A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:2A:24202869:24203770:1 gene:Dexi2A01G0014550 transcript:Dexi2A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSRSSLGTRSSRSEDDLDLQAQVERRRKRRKESNRESARRSRLRKQQHLDDLTSQVKQLKDQNKQLSMALNITSQNLVAVQAQNSVLQTQKMELDSRLGALTEIICYMNTITSTGAYAPTNPAMANNITSTSSYDLLGASSSWNQQPIDLYQCF >Dexi6B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:6B:2679463:2683518:-1 gene:Dexi6B01G0003250 transcript:Dexi6B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGAMGSLLPKLGVLLREEYKLQKGVKKDIRFLSRELTMMHAALRKVADVPPDDLDPQLRLWAAQVRELSYDIEDVVDTFLVRVDNGDHPDSRGLAKKMIGLLNKGMTRREIAKEIRDIKDRVQEVADRRDRYKLDSVLANTTTSTATVDPRISALYKKATDLVGVDGARDELIRRLSPDVNKALKVVSVAGPGGLGKTTLAKSVFDTLKERFDCCAFVPLGRNPDTKKIEKNYLIWRWIAEGFICEKQGLGQYEVGERYFYDLINRSMIQPTERYFHNGTIVGCSVHDMVLDLIRSLSTHENFVAILDKEQDTLPAAESNVRRLAVQKRDIEEDNDPNASGMGMPQVRSFNATTCKITVMPQLSSFRVVRVLALEYCDFTESGYRLEHLGKLLHLRYLSLVNTPIAELPGEVGSLKFLLGLDVRRTGIHELPSTVSELKQLRCLSVDGDTRVPAGLGNLTKLEELRLHSIDKSPSFLAELAELTEVRDLEMSFHELDESSQQTLLASMCSLHKVQTLEIWHGRGGWFHIGDWEGWGPSSQLRELSLVDIYIPRLPSWIHSSRVPHLYHLHLGVELVETRDIDTIGKLPELRFLYLSAGNRPEYRPAGSDDGRRRRPLFRNLRHIQTNLQLTFPPGAMPALLVLRSYWVNVRAVADAAAAAGHGGGFDDVLGVGNLPSLKWFEVRLHCAGARLREVEEAEATFRRAVRMRMPPNSPAWCFGIARNFEEEMIVDDPHPSMNEDGHDNGSTSGDGADDKDNVSQQEEEDRT >Dexi7A01G0024140.1:cds pep primary_assembly:Fonio_CM05836:7A:31962282:31964230:-1 gene:Dexi7A01G0024140 transcript:Dexi7A01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGKAAAGLGTLRAVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCVHFICSSIGAYVAIHVLKAKPLIEVEPEDSWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSVTELSFNIFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVINWFYTHDSVIPALTIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISAMNAIGCGITLVGCTFYGYVRHLISQRQAAAPGNLPRNQMEMLPLVDDKQEKV >Dexi9B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:9B:13282633:13284337:1 gene:Dexi9B01G0018610 transcript:Dexi9B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCLASPAGAVLCRPRRPRCRVVCSAADTGGSTEPAWRSAAGAKNAGRLACGVLAAWAVASASNPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSEAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFENADLTGAIFKNTVLSGSTFDDAKMEDVVFEDTIIGYIDLQKLCTNKSISADARLELGCR >Dexi4A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:4A:3475053:3476401:1 gene:Dexi4A01G0004920 transcript:Dexi4A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATEAAKAADQPAAAQANGNGEQKTRHSEVGHKSLLKSDELYQYILDTSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNMLIKLIGAKKTMEIGVYTGYSLLATALALPEDGTILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPVLDDLIADEKNHGTYDFVFVDADKDNYLNYHERLLKLVKIGGLIGYDNTLWNGSVVLPDDAPMRKYIRYYRDFVLVLNKALAADERVEICQLPVGDGVTLCRRVK >Dexi9A01G0028920.1:cds pep primary_assembly:Fonio_CM05836:9A:33667596:33668255:-1 gene:Dexi9A01G0028920 transcript:Dexi9A01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQGPPTSPDLCSAPGRILVQAPHYCPSHGWTARVQLPQELPPEVMAHCPHHGWTTHAWRDDDSSSEEHVSPRRSPSPDYTPETPPASPLMAPATAPVEAPPEFLLRGTIAARRGAPPSYMSAGGSSSVAVVAAPPGFEAPPPAPHAAVAAPPGFEEPSLAPPPPPPAPWFPGRPTAAAAANTRPGLRRFIKTGHIPTEMSGSLEARRCPPSGEGEA >Dexi6B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:6B:23409016:23409282:-1 gene:Dexi6B01G0016130 transcript:Dexi6B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLPLGGAAPASAPATGELGSSNCTRWCGNISIPYPSGIEPGCYHHGFNLTCNRSYLGMRMGRPGPGFGLGPYGPKANFRGPRVDPI >Dexi5A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:5A:21056808:21062247:-1 gene:Dexi5A01G0017740 transcript:Dexi5A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHSEPLDPAATADAPADADARYFLLVVLVLQLAFPDAVYLVDAIEGGQELIQACQPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIQEQEGNKKPSDDYISFVTLLADPRYCGIPYPEKEEVRTLLRQDPNFWKIRPLSDMMVRAATDDVRFLLSIHEKMMEKLSKVSLWRLAVRSELYCRCFCLNDNQFADWSPLPPVPDDIEADVYIPEVDILSVLDVPPGKMGLVIGKKGATIMEVKGSCNVEIHIGGAKGPPNRVGFPFIRESC >Dexi3A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:3A:4513137:4515488:-1 gene:Dexi3A01G0006760 transcript:Dexi3A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPDPATPFTAESLHEHVLRLHQCGGGGGLLLRRSHAASLVSGALAASLPLAGALLLSYAALRDVPSARLVLRHHPLRLRSAFLWNSLSRALASASLPADALRVYNRMVRAGVRPDDRTFPFALHAAAAAVAAAGERPDKGLELHAAALRRGLLLSDVFAGNTLVTFYAACGRAADARRVFDEMPERDVVSWNSLVSAFLTNGMMDDAKRAVVGMMRSRVPVNVASLVSLVPACGAEQDERFGLCLHGLAFKSGLDSVVNLTNALVDMYGKFGDLEAAMRVFNGMPERNEVSWNSSLGCFVHAGFYEDVLELFRVMLDQGVTPGSVTLSSLLPALVDLGYFHLGKQVHGYSIRRAMVFDIFIANSLMDMYAKFGCSEKASAIFEKIETPNVVSWNAMVANLAQNGAESEAFRLVIEMQKNGECPNSFTIVNLLPACSRVASLKMGKQIHAWSIRRGLMSDLFVSNALIDAYAKCGQLSLARNIFDRSEKDDVSYNTMIVGYSQSPWCFESLHLFEQMRSARVEYDAVSFMGILSACANLSAFKQGKEIHGVLVRRLLSAHPFLANSLLDLYTKGGMLDTASKIFNRITQKDVASWNTMILGYGMHGQLDVAFEFFDLMKDDGVDYDHVSYIAVLSACSHGGLVERGKKYFNHMLAQNIKPQQMHYACMVDLLGRAGQLSESAEIIRNMPFRASSDVWGALLGSCRIHGNIELARWAAEHLFELKPEHSGYYTLLMNMYAEAGMWREANEIRTLMKSRKVQKNPAYSWVQNGNRLQAFVVGDA >Dexi1A01G0031810.1:cds pep primary_assembly:Fonio_CM05836:1A:36645926:36648514:1 gene:Dexi1A01G0031810 transcript:Dexi1A01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLIVSFHVGRGGGRGGRFDGGGRGGGGGRGFGGGRGGRGDRGGRGGRTPRGGGRGGRGGRGGGMKGGSKAVVVPHKHNGIFISKSKEDALCTKNMVPGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPARILALNASYFLKNGGHFVISIKANCIDSTQPAEAVFAAEVEKLKADQFKPSEQVTLEPYERDHACVVGGYRMPKKQKAT >Dexi7A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:7A:22633115:22634369:-1 gene:Dexi7A01G0012390 transcript:Dexi7A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDEASSVLDSLQPHPDLEELTIRGFSVETCQDVIFHAGQPEDVELVSCKPVWVQMGQPEEIELVSID >Dexi2B01G0029890.1:cds pep primary_assembly:Fonio_CM05836:2B:38226382:38226755:1 gene:Dexi2B01G0029890 transcript:Dexi2B01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGVVDDDEVDRKPVIKPGVHLKLKVQDTSGRTLERTVRRTEKLQGLMDAYYASVPDAGRLAGWQTPAELGMEEDDEIDFFTELLGGGGRAATTAAAGGGGGEQPVQA >Dexi6A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:6A:26764016:26764903:-1 gene:Dexi6A01G0019110 transcript:Dexi6A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQKNAHVPKFGNWDNDGNVPYTVYFENARKGKGGKMINPNDPAENPEAFSMAAPSPNRSDAGRSSPAPPPARHHERRPSDAPPPMSPNPYAGGSSPYHRGGEPQRRGAGARTGGGYSVEQSPVHPYSSESNGYGLVSNSRAKGASRGNETPTRGSAVPKFGDWDSNPASADGYTIIFNKVREEKQTQPGKPAAAFGKDAARGNGAKQHDDGYVSTKFSCFGWCK >Dexi4A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:4A:4268307:4273267:1 gene:Dexi4A01G0005960 transcript:Dexi4A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVVGGGGVAGSAGDPSSPSARAWGGLDDDAASSGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRSASFRELLRKVEAVDEAGGGVGGGGVLVRYQLPGEDLDSLISVSGPEDYENMMEEYEKLAAATPDGSAKLRVFLFPASGSEAGGSASGSGSHHLAAAAAVDESGQRYIDAINCVSAEAVAAAMRRKDSFASGGSSAHNSEASEYGGLVEGMSPRAGPPPPAVATEYSYPGGGAHYHGGFPDSVGLSAVTISAPAMGIPAQNPILVRTEPSALQPHQVAASYATSHQVPQVTTYVPQQHQQPQVTQYVPQQQPQSASYVQQMPQSYIEPQQVHYISAQQFGVHGVPQSVNFVPVQMSQFMPSIPATSSMATAAVQQVGTFRPASAGAEPVQENLHFTRPVQAPVDQSYRVLQTPLSQLPPLSTVHLQTSDTQRYGVQPVLTSTTSTPVVTSSGTIPVVVSSATVPSLRYDDCTMCQKALPHAHSDNIIQERGNPRAVSNPEAAPVFYSLHQDSASNKSSPGASSGTPANYMAEPRVGNTVGMGQFESAIPARLPAVQATASPDAGVPVQPTMVVLPVSSAPAPNGAFVGHPLQAGAEDPSGARYQQQPYSYSMQPPQVQVNGPQVIDASAYKNSNHPATEPLMEYARDLPHDYTRAIDARMQGVHLGPIAPPDSSVQGKPSIPHGAIDHAKVEKPPVNIDGSSIYKSQAGGYHMGITNAFTAPALAEEDNIARHSEQLPSAFDVGAQNVHPDIIQNPLNVPLQANLRVPIEPPVSNEKIPLRPPYSGVQVPAGPPLHQPREMLGHLVSAPPNGSSKFPLQATAGIDRVETIREPVYTDSLFSNQDPYKAVGNASLVPPRPSKLAKEPVASGDQHMDGHVPNTNTNTAILLEEGNLPHIRDPGFKDIHTVKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAALSGDLIDHGAVMDAKVQDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEAGKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRALMEQCWAAEPSERPSFTEIGKSLRAMAASPTKAQPQK >Dexi2A01G0032100.1:cds pep primary_assembly:Fonio_CM05836:2A:42710924:42722822:-1 gene:Dexi2A01G0032100 transcript:Dexi2A01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQLQLNDDSQSVSEFDISELESLFPAVVRKSERTKSLGSKPEKVRLIELRRANNAEIMLTKVKLQLSDLVSTALSLDQSSLDVVQVENLIKFCPTKEEMELLKNYTGDKDNLGKCEQVLAARSPQLLNFYVDLVSLDAASMIQVKMLAEEMYAVSKGLEKVQMEYEASERDGPVSEIFREKLKEFTGSVGAEVQSLSSLFSEVSKKIDALIKYFGEDPVRCCFEQAHAENLRQVEFEKKKAEKEAEAEKQH >DexiUA01G0016510.1:cds pep primary_assembly:Fonio_CM05836:UA:35163816:35164236:1 gene:DexiUA01G0016510 transcript:DexiUA01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRDRDPLVVGRVVGDVLDPFVRTTNIRVSYGARTVSNGCELKPSMVTNQPRVEVGGVDMRTFYTLVMVDPDAPSPSDPNLREYLHW >Dexi2A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:2A:8201533:8201973:1 gene:Dexi2A01G0007900 transcript:Dexi2A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKQEGPKLFSSRILSRDRSNVANASFRVYYSLGAGTVPFLWESKPGTPKSSFTPDSATSAMPPISPPPSYQSKAQSKARNFKRRSASWPAAGGWINWLNLNIRRRSSPPTSPMDHQQQRWLDQDHGDIGHDERRPWRPTLCF >Dexi6A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:6A:28361273:28366484:1 gene:Dexi6A01G0020980 transcript:Dexi6A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRAGSPAGAVDATAGVRVKVSNRYVEIKNGIFELTLSNPDGIVTGVRYNGLDNLMEILNKEDNRGYWDLVWNPPGQKSGIFDVIKGTEFRIIYHDENQAEVSFTRNWDPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMAMADDRQRIMPMPDDRLPPRGQPLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWMSFDPPIGFWQITPSVEFRTGGPLKQNLTSHVGPTALAMFLSAHYAGDELSPNFTNGEYWKKVHGPVFMYLNSSWDGSDPTMLWEDAKVQMMIEKESWPYSFALSEDFQKTEQRGCVSGRLLVRDRYIDDEDLYASGAYVGLALPGEAGSWQRECKGYQFWCRADVDGSFYIRNIITGNYNLYAWVPGFIGDYKLDATLTIASGDEIYLGDLVYQPPRDGPTIWEIGVPDRSAAEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPNSDLVYTIGQSDYSTDWFYAQVNRKVDDNTYQPTTWQVKFSLDRVSSGSTYKFRVALASSARAELQVFFNDQDRGVPHFATGMIGRDNAIARHGIHGLYWLFNINVDSTWLVQGVNTIYLRQPRSQSPFQGLMYDYLRLEGPCGC >Dexi5B01G0035490.1:cds pep primary_assembly:Fonio_CM05836:5B:35477425:35477628:1 gene:Dexi5B01G0035490 transcript:Dexi5B01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPRSPRIPAAARGRDGEKRSELGGYLEGGVEEPAVGGVGAAELVVGSSASNAREVRGEGGGRIL >DexiUA01G0006560.1:cds pep primary_assembly:Fonio_CM05836:UA:12607331:12608515:-1 gene:DexiUA01G0006560 transcript:DexiUA01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSENAAGNMMNNIMEAIAENLPNKKSVRFDDGEGSISDQARKMFNAAAAATGGGQGRKKSVHHVLGGGKSADVLLWRNKKISSSVLGVATLVWIFFEWLDYHLLTIASFVLVLGMAAQFAYSLFASSPPRVELPEEVFANAGRAVGAQVNKALGMLQDISCGRDLKRFLMVIAGFFAASIIGSWCNFLTVIYIGFVCAHTLPVLYEKYQDQVDEFLYNMLGLVQNQYQKLDKGVLSKGKFRKSD >Dexi4B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:4B:6119233:6120488:-1 gene:Dexi4B01G0008530 transcript:Dexi4B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAAKEVIPLMTPYKMGQFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFLQIWHVGRVSTNELQPNGQAPISSTDKQISPNPEHGQAPTLVYSKPRRLGTEEIAGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRDDEYGGSLENRCRFAIEVIDAIVGEVGAHRVGIRLSPFVDYMDCVDSDPMALGHYMIQQLSKHEGFLYCHMVEPRMAIVDGRRQIQHRLLPFRKAFKGTYIAAGGYDREEGNKVVEEGYTDLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYIQDPVVGYTDYPFLEEDDKNNESTVEA >Dexi5A01G0031520.1:cds pep primary_assembly:Fonio_CM05836:5A:34155457:34158176:-1 gene:Dexi5A01G0031520 transcript:Dexi5A01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDVVTLFAPLPPAAPKPGHQSRPATDAFQPGDPSAADASAQAEPQVDGSGSGTTVDLKFCASCSYRGNAMTMKRMLETSFPGIHVILENYPPPFPKRALSKAVPLLQFGAMATLMTGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSELELKELIGSRIPDPQVEELIVSRIPDPPVEELIVSTIPDPQVEENPEEDLVVDDDGNDDNEDDTEL >Dexi1B01G0028560.1:cds pep primary_assembly:Fonio_CM05836:1B:33061256:33063679:-1 gene:Dexi1B01G0028560 transcript:Dexi1B01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTVSPARASWRPVIPDAVTFPGHVNSAGLRMTSTCPHQATMRLTAARVKSGEAEGRPSTESTTTASTADEDSLRRELETAIKDEDYARAVALRDALRVLQEDGRSAVLAANARFYAAFKDGDLVAMHQAWAKGDHVYVVHPSAGRISGYEMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDVGYVTCLELVRTKGSSSWGKQVATNVFEKVDGKWFMCAHHASHFDE >Dexi3B01G0034370.1:cds pep primary_assembly:Fonio_CM05836:3B:37150735:37154563:-1 gene:Dexi3B01G0034370 transcript:Dexi3B01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLWLALILCASLTVTTCVGLHLELTHVDANEGFPLGKRLRRATERTHRRLTSMAAAGVTAPVHWAGTNQYIAGYLIGNPPQRAEAIIDTGSNLIWTQCAACHTNNSRFPQNLPLYDPSQSSSVAPGRWAGTFIDSGTPFTKLVDVAYQALRAELARQLGVASLVPPPATASKSLLELCVANGNSSLVPPLVLHFGSGGGDHLVNTACMVVFSSARQNATLQKNETTVIGNYMTQNMHLLYDLGNGVLSFQPADCSSALPTSRVDCGGAADLTSPLALIILCVSLTVVTTCAGLHVELTHVDAKEGCTVGERLRRATERTHRRLASMAAGVTAPVHWAGTSQYIADYLIGDPPQRAEAIIDTGSNLIWTQCVACNDTNDTNNNCFNQSLPFYDPSQSSSFEAVACNDSACSLGSETQPCALGGEGNMCPVHTGYGLGNISGFLDTETFTFGSENVSSLAFGCIDASDITPGSLNNASGIIGLGRGNLSLVSQLGESNFSYCLTPYFSDNTTSHLFVGGSAGLSGGGNNATVTSVSFVESPGDYPFSSFYYLPLSGITVGNATLDDVPAEAFELRQVAPGEWAGTFIDSGTPFTRLVDVAYQALTAELARQLNASVVTPPEGFDLCVAVAQGDAGDMVPPLVLHFGGGGASDLVVPPENYWVHVETDTTCMAVFSAAGQNATLPMNETTVIGNYMQQNMHLLYDLDNGVLSFQPADCSSM >Dexi5B01G0026890.1:cds pep primary_assembly:Fonio_CM05836:5B:28535166:28541790:-1 gene:Dexi5B01G0026890 transcript:Dexi5B01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARKAREAEAAAAAAAAAAAAAAAAAPAPAPPPAKPRRRTTRAAVRAEEREREEIRAAEVAAGEDSGQRGREMDDADSADRLIGDDDAGPPVPDMVQVGNSPKYRVDRRLGKGGFGQVYVGHRMLATDPGAPEVALKFEHRTSKGCNYAPPYEWTVYSAIGGIHGVPRVHYKGRQGEYFVMVMDMLGPSLWDVWNNNSHTYVHGDIKPENFLLGTPGTPEEKKLFLVDLGLGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVSKKKMATSPESLCSFCPQPFREFVEYVVNLKFDEEPNYAKCVSLFDSVVGSNPDIRPLNTDGAQKLIHQVGQKRGRLLIEEESDEQPKKKIRMGMPATQWISVYNGRRPMKQRYHYNVADSRLVPHIEKGNEDGLFISCITSCSNLWAVIMDAGTGFTSQVYELTPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTSYTQQSYKVSDTFPYKWINKKWRDGFYVTSMATAGSRWAVVMSRNTGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATWDQAAFVLSVPRRRPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >Dexi3B01G0022570.1:cds pep primary_assembly:Fonio_CM05836:3B:17354937:17355319:-1 gene:Dexi3B01G0022570 transcript:Dexi3B01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAPSSSSEPEPPFRPREKIVEKQRYFQSVHKPTYLKGRYDVITSVAIPLALAVSSMYLVGRGIYNMSHGIGKKE >Dexi2B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:2B:3708721:3711113:1 gene:Dexi2B01G0004220 transcript:Dexi2B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGYGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCMPSERPGLYLVQSQVFRGLGEAKLRQRSLHSAWRCASTVHEKVIFGAWLRYEKRGEEIISDVLASCRKCCREFGPLDIASEMPVGNFEIFGSSETSSSSRVSSMVTFQMRDGRVTCDRCKIASLSIPFSSMLNGPFTESQLELVDLSENGISLEGMRAVSEFSSTYRLGDLPLEILLEILVFANTFCCDRLKDACDRQLASFVSSRQDAVELMALALAFEENAPVLAASCLQMILQELPDCLTDDLVISLFLGATSQQQLIMVGQASFLLYCLLSEVAMNIDPRTETAVCLSEKLVQLAATPTQKQIAFHQLGCIRLLRKEYIEAECRFEVAFSAGHVYSIAGLARIAGMQGQKALAYEKLSSVIASNLPLGWMYLERSLYSEGDRKLADLDKATELDPTLTYPYMYRAASLMRKKDAKLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARHHAGTEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQVCATIYQCKIYS >Dexi6B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:6B:25811324:25815968:-1 gene:Dexi6B01G0018890 transcript:Dexi6B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLSVGKSVLDAALGYAKSTIAEEVALQLGVHRDHAFVRDELEMMRAFLMAAHDERQDSKVFKTWVKQVRDVAYDVEDCLQDFTVHLDNASLPQKLWERRRIAKKMKELRLKVEDVSHRNRRYQLLKDHTTSSSRPSSSITAATIFGVDEARCVANQDRSRADLVHLISNSKEEDDLGVIAVWGTNGGDLGQASIIRAAYENVDVKRRFPCRAWARVVDPFNPREFVQGLVKHYKLGVGVDALLELATDKALEDLAAEFSRYANDNSYLIVITNLSTIEEWDLVKICFPNNKKGSRIIVSTTQIEVARLCAGQEVQVSELSQLSADQTLYAFYEKVPKGIGKLKGLQTMGLVNLARGKKILHDIKRLTLLRKLSLTGISRKNARELCSTIANLSCLESLLLRAEGHIGLLGCLNGISSPPENLQSLKLYGTLGELPPWIRSDKLKNLVKLSLRSTRIFGFNASDTIDVLGRLPNLAILRLRLESFLGHDLHFTFHGDQAFPSLKVMEFDRPQGLQEMRFEEGAMPNLELLDFCAWYQEARVGLLTGLECLTRLKEFTLSGSDYDDDFMEDLRAQLARNPNQPNFKRTARHIAPGPSQSADKS >Dexi4A01G0021870.1:cds pep primary_assembly:Fonio_CM05836:4A:25260650:25265111:-1 gene:Dexi4A01G0021870 transcript:Dexi4A01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAIKLSSLDRDLEKLSVPALLMFEHPVQDVANTVKRALSRALVHYYPIAGRMVEVPMMEVIPLDLSRAGRTLVDELVIRQDMGFGPTDPLLLMQVTEFSCSGFVLGVTWNHALADGAGIAQFLQAVGELACGFPSSSLVPVRCDDSLLLLNSPLQKALMGLDPFQDLIGLEITIPSSLINHIKAEFSKRFTGESCTKFEVASAVLWQCRTRAIMGNPETPAPFSYAVNIRKHVGAKKGYYGNCITGQLVMATSSMVASGDIFHLVKMIKRSKEKIADQFKKIEDSNQQAVGPQMEHLAQLQYNILIVSSWGNLGFDEIDFGSGGPNRVTPYGEYKPPFPLCAMCLPFKAKDGVNILGAVVKEEHVGAFLDELESPDLLPPHGTTAPPTRGHGLLFVTGAFLLAFRSLPSPSRREAPGRGVWEVRGVLFAPARRRGDARARGKNAKLIAALEKHNIHALELPLVKHVDGPDTDRLADVIRNEKFDWVIITSPEAAAVFLQGWKAAGNPQVQVAVVGAGTARVFDEVSQSDGRSLEVAFSPTKAMGKVLASELPKSGGNTCKVLYPASAKAGHEIQEDLM >Dexi9B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:9B:4642543:4644137:-1 gene:Dexi9B01G0007590 transcript:Dexi9B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGDTKDDLRLPTDETLLKQLKDGFSEGKDLILSVMSAMGEEQICGLKDIGKN >Dexi8B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:8B:225082:228000:-1 gene:Dexi8B01G0000320 transcript:Dexi8B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDTTHPPPPSTCHDDDLDDEEFQDDDGDDLDDEADEEEDEDDGEPSPSPSEEARLEAVLRRLTADEVRIRVHQVAIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAVAGDRLRRLGAFDTVSITLDAAPPGTPGSAVVVLVDVAEARGRAAAEFGVFANTQTRSCSMEGSLKLKNLFGYCETWDAAGAIELDKTTELGVGVEMPRIGAIPTPLVARMSFLYEDWLKSSLKEHLMGVSVGLLSTMKHNLSYNLTWRKLTDPAHMSSNSVQEQLGHCLLSSVKYAYKVDQRDSSIRPTRGYAFMSSSQVGGLAPGSKYSRFLRQEFDLRVALPLGVLNSALNAGVNAGINYCHILKQFAHDKGKTGIQFNFASP >Dexi9A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:9A:9707018:9707542:-1 gene:Dexi9A01G0014730 transcript:Dexi9A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLLRGGCHTNAAGQEEALEGGHIGVRLRAPPLLAAPHLWSSALFALVEAGSAVCTCILPQRPSPGSPPSPTYGVISGRCRDDQRACNPVWRGSNWRLPVCCRVIETVGKGTLSEAHRVRQFRTKHGHAPSGNGARHGGGGCASDVLQELRHHQIFGPASRARRGTARLTRR >Dexi1A01G0031120.1:cds pep primary_assembly:Fonio_CM05836:1A:36258842:36259352:-1 gene:Dexi1A01G0031120 transcript:Dexi1A01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPRRCGDLAVAAAALITVALAVVGAAAGDGGAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKVQIPAPGQFLFG >Dexi9B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:9B:11236835:11237517:1 gene:Dexi9B01G0016440 transcript:Dexi9B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLPLSVPRAPIPPPASPGSSVAAACSHLPAPSLGSGRRRAPGIALAATPAGRQGGRWRAGVSSFSFLPSFFSGNKGEKNAEKAMRLKEELLAAIGPLERGAEATAEDKERVEQQLEAVNQVKEPLKSDLLNGKWELLYTTSTSILQPQNS >Dexi9B01G0045200.1:cds pep primary_assembly:Fonio_CM05836:9B:44779644:44783645:-1 gene:Dexi9B01G0045200 transcript:Dexi9B01G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAADAGHEGSIGSVVFESRVESYDRENDEWDYLEALLSQPEQPSLAKLLEDRQGVGSLICARCPQSGRRVERSLSLYTSSDLRDCGLVLHAAPVLLLVIASITALPDLGGNGGGGGLEGKSSGSAFWKGIGEFGAVDDEGQVEVTDWEGAGGAGNVAMGCNAGGGGGGSAGRWVRPSFSWSWFGRNERTQRDSWIRTTSSWGLRILQPCLRQGEWDRALEVLSVMVQNGEAVATGVDTAQPKPLDIKRAHPELVIMLRGRSFFDLMGKGDVVRAAAYYDHHIKRTYPDDTAGNQFVDTLLADLKLKAEASTHATESLRGESEWRSEAVEQQEPQWCSPALPSCPLSRGLWPQLGTRRPPVPARRAAGDGSVGLRFRLRGRKLGAAQDGHFGNAKKTQESINDYLRVYFPNFRHVEIEERNKPVGMFGERQEKGVRCLVCHRVFRRYNPAKLRFHQLRDPAGRQALCAASSQYVREQLRKAEQDGGGGGSSSHRAAHTSEESALPLPTDAGHGVSTSTSSTERLPPPPPPVLGDAEEAEAASPEDTPGAGGGTSSVN >Dexi9A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:9A:10806556:10808027:-1 gene:Dexi9A01G0015890 transcript:Dexi9A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSPPPPLRIVIFPWLAFGHLLPYLELAQRLASRGHHVSFVSTPGNVARFPPLRAAVDLVALPLPHVDGLPDGAESTNTVPHDKMGLLFAAFDGLAAPFAEFLAAAPDWVILDCFHHWAAAAGGEHKVIISIATAQHPAAAGLGLALLCLQVPLAMLLPTAAAMAETPAAGVVPRYELERKAQFHIDHGGGMSISQRFAFVFERCAIAAMRSCDEWEPEFFPHVAARLRKHLVVPLGLLPPPPSPSVAAGDDAMMMRWLDVQPPGSVLYVALGSEVPLPVAQVHELAHGLELAGTRFLWALRKPSDVVVSDAGDILPPGFHERTHTQWGFITMGWAPQISILGHAAVGAFLTHCGQSSIIEGLLFGRPLIMLPMFGDQGPNARLMEGKKVGLQVTRDEDDGSFDRHGVASAIRAVMLEEETRGVFVGNAMKMQRIAADKELHERCVDEFVQQLRSHTANGTE >Dexi2A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:2A:3869703:3873350:-1 gene:Dexi2A01G0004440 transcript:Dexi2A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESAKKSKLSWSKSLVRKWFNIRPKAQDFHADSDASQGRHGGGGSWRPSCSASEASASTAKKSRTDRSSSKRSAERARRGKNNFDVARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNKNPGASGCGGYHTPSPVLDPVVELDADFEGSARRQENFPFFHRRSFHNISRSLRMDGDYMFPQPRLDRRFSVCDPVNLGGRPSDFDENLRCPGSPDEENIDMEVSDATQFSPFPHSYTASAPSEQNDEQSNRSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGAGDVKSPETILEHDKSSCGNAKLEAIIGERSGCYRSVSSTLMKQLRMQQRCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFLAEVDIVHQRRRNMGYFSSRIEVEELLPHSQSYREIKF >Dexi4A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:4A:23072334:23072696:1 gene:Dexi4A01G0019260 transcript:Dexi4A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGARKQEAPPPAASKAAAAGVSVNEWMQHVKASFLGLIRKVTARSEQEAAEADMMAAKAQVEATNEAEARKKQLADH >Dexi5B01G0021040.1:cds pep primary_assembly:Fonio_CM05836:5B:23267041:23267331:1 gene:Dexi5B01G0021040 transcript:Dexi5B01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFSGRSPVKRRYLVPLRPWARRARSSRRGDHHAHSSVQAASHTSATAAAKAARVHGGVSRSSSRARVAIGYLVFLAHCENGEQAAL >Dexi9B01G0024840.1:cds pep primary_assembly:Fonio_CM05836:9B:22893611:22896028:-1 gene:Dexi9B01G0024840 transcript:Dexi9B01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQQQQLRRTRNGVVQVQDGSDIRALVENKEFAKFGDDRFRKLDGDGDGRLSVKELQPAVEDIGAAIGLPARGSSRQADHIYAEVLNELTHGKQDSVSKSEFQQVLSDILLGMAAGLKRDPIVILRINGEDLNEFVDSPRYEPEAAAILSQVDSRNNGSLRQCLLIALQQMTVDHGMPPASDSWVLENIVKPAMQELSSDQMDQPVSQEIFFQEFKKFLKIITRRLQQHPVIVAHTENTFDGSGIRRLLSNQFEYDKLLDSVWRGVPKAHKEKTSKEYLRVVLDRMADSARLPPYGAVDQVDAVVNEAFKMTQADDGKAVDEAEFKKLLTEILGAIMLQLDGNPISVSTHTVVHEPMSASPSLLSPTPLSPMVSSPSQ >Dexi4B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:4B:5140944:5143900:-1 gene:Dexi4B01G0007270 transcript:Dexi4B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLPTAAAAPVAAPRSRRQPPGRVSVRASATAAAAPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGITNGSKAMEKVDKARAGVLVGTGMGGLQVFSDGVQNLIEKGHRKITPFFIPYAITNMASALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKARDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATIKAITTGWVHPSINQFNREEAVEFDTVPNVKKQHEVNVGEFNFHIH >Dexi1B01G0023670.1:cds pep primary_assembly:Fonio_CM05836:1B:29196563:29208160:1 gene:Dexi1B01G0023670 transcript:Dexi1B01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALGVQGGGRRPGGDGGGGGLREGPIYRPGRGGAVVEAGGTVELAINGGGSSEGTLGEGGTAALPLAGVGPRWLRRSDGVAGGWWRMVACGALLGVVLLLPCSACTHREEREKGKEERERERFAAPIEGRTGMHDDLLYPHIVSDHAQQFRLLDVPQRPNGQSVQQTEGTSAMPLYDNLNADKDDGTQKLTCKLCGLKFDLLPDLRRHHQVAHMESGAVDHIPPEGGKYQLNRGRHYFTAFRKSLRPTSTLKKRSRSGIEKNLKFQSSGISMVTSQTVEPETASLGKLTDVQCSDVAQTLFSKIQKTRPHPSNLDILSVARSVCCKTSLLAALEVKFGSLPENIFVKAAKLCSDNGIQIDWHQEEFICPKGCKSRYDSNALPPIQPMPADFPEVTSVIDPSNYDEMWDMDECHYVLDSKHFGWNLKKERVVLCEDEGYPVYECNSSCTCDSSCQNKVLQKGLLVKLELFRSENKGWAIRAAEPIPQGTFVCEYIGEIVKTDETMKNAEKVSDSGCSYLFDIASQIDMERVQTVGTIEYMIDATRSGNVSRFINHRLLWEKNSHMITDKSL >Dexi8B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:8B:7506270:7506899:1 gene:Dexi8B01G0006460 transcript:Dexi8B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAASMRKKASVSAVAMVAVVMALLVQFNPAAALVPYGRGLMWDLLDDPFRVLEQSPFSSPSPPARVTAGGEAGVALARCDWKETPEAHIISVDVPGVRREDVKVEVEENSRVLRVSGERRPDGEEKDGERWHRAERVAGRFWRRFRMPAGADVERVTAKLETGVLTVTVPKVAGHRGREPRVISIAGGEEDGGAEKAAEVMASKAEM >Dexi9B01G0032970.1:cds pep primary_assembly:Fonio_CM05836:9B:35117571:35118014:1 gene:Dexi9B01G0032970 transcript:Dexi9B01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAAISNMEDPAEEGKRLKGEKGSQPQKAALHGRRREAQGQRREGARRTAGKEADSEQQLWSVDDGDANASRAAAICDDDESFRLRKLEASGNPIPAWRGPDLFQEAFRA >Dexi4A01G0022000.1:cds pep primary_assembly:Fonio_CM05836:4A:25364190:25364634:-1 gene:Dexi4A01G0022000 transcript:Dexi4A01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLLAHLPSQRFSMSALGYKTSRGTPSEPPWNARFAVVAVTTTSIAVQTLNLTFRKDDAMECRYAGEFIALANAQKLIVQVSCDRALTDAGEWSLELPPATTELQVLPHWYAVRLPRIHGASVDTLRSLTLDGLTVLRQEFL >Dexi8A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:8A:3736792:3738395:1 gene:Dexi8A01G0004350 transcript:Dexi8A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSYRSSSSTKNKAHNPAAVPVTPSQCNKNNNNDKTKTKKTTSMSSISRSITNATSICISKDTSILTRRNRGDIDDRSRIASSRSLKIPSDLDVDAVYSAAVSTTSSFNSDATSAMASSASVTTTVTTTTTASSSPLSSPAPSFAAGSSFRGVHQIRKLSGCYECRHSVFDPRSLAAAAAVFHCSDCGEVFVKADSLELHKATKHAVSELGPEDTSRNIVEIIFKSSWLMRKQAPVCKIDRILKVQNSDRTRFEQYKESIKERASGDEGKKNARCVADGNELLRFHCTTFTCSIGAAGGTALCRSPEMQCKLCAIIRDGFRVDGDGKIATMATSGRAHDVAEVVSEGEKKAMLVCRVVAGRVKKACGTTKSSEDRDVDSVSPSSETWLLSHLH >Dexi1A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:1A:26580259:26582647:1 gene:Dexi1A01G0019480 transcript:Dexi1A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVARRPLSAAVPAGNLLGIHLFKCPDTVGIVAKLSERIASRGGNIHSVDVFVPDDKHVFYSRSEFTYNPKLWPRDELHKDFLNLSHYFSAQRSTVRVPDLDPKYKISVLASKQVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >Dexi9B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:9B:1472593:1473236:1 gene:Dexi9B01G0002560 transcript:Dexi9B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIVYFDQDQPAPSACLTDGHIGAGAAAVVYIQLAKGNNFVQSRKGAAGSGWEAKLGQNWRLARFPVLNRSETEERHLIREMVHLTHQRSGQLGMRRSCLRPWEIPRVAVGAYFTINYESFCYHKTFSPNLTA >Dexi9A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:9A:3516729:3520482:1 gene:Dexi9A01G0006240 transcript:Dexi9A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRPPHPSQLAMANPLPPRSGGPPSGTASAPSPAPAAKDEKVLTAEQLVLDICDPALREDALALLSLVNGPFLLLLLSLSVNRDKFQQDIAPLIWHSFGTMPALLLEILSVYPTLCHATLSQDQSNRVCNALALLQCVASHPDTRMPFINAQIPVYLYPILNNTFKTKPYECLRLTSLGVIGALVKCLPCAKHSPPHRIERRDIQPSPRAQSETQGSGDRDVTARRWLHQLLHNIAMANIGYSGPHVGLNRIMGM >Dexi5A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:5A:8932262:8933988:1 gene:Dexi5A01G0011890 transcript:Dexi5A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHFSPVTSMAASMGGCLVLLISCFLLAGVGHGHPWGGLFPQFYDHSCPQAKEIVRSVVAQAVSRETRMAASLVRLHFHDCFVQGCDASLLLDNSTGIVSEKGSNPNRNSARGFEVVDEIKAALEHACPNTVSCADILALAARDSTVLAGGPNWDVPLGRRDSLGASIQSSNNDIPAPNNTLPTIVAKFRRQGLDVVDVVALSGAHTIGFSRCTSFRQRLYNQSGNGMADATLDASYAAYLRQGCPRSGGDDNLFPLDLVTSARFDNFYFKNILAGKGLLSSDEVLLTKSAETAALVKAYAADAELFFRHFAESMVKMGNVSPLTGAQGEVRKNCRRINGNHY >Dexi3A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:3A:12369860:12371918:1 gene:Dexi3A01G0016450 transcript:Dexi3A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSVTIILHLTGARTSPLSLHSLAKQRKAAGVRRKSPEMSSVTTKLSHAADVASSETQTLVAVQKLQEMVLEMVASYEDCTALAQAIKDVPGVYQQSDQPTDFKRLIENEVMKIKEASAESGQNHPLFRQFRESVWNVHHAGQPMPGEEQEDIVMTSTQMSILNVTCPLTGKPVIELANPVRCVDCKHIYEKEPVMHYIRSKKPPQCPIAGCPRILQVGKVVCDPLLLIEIEELRSSEPPAQNATNIEDFTLLDEDDE >Dexi5B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:5B:21135727:21138478:-1 gene:Dexi5B01G0018740 transcript:Dexi5B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRVMFLLVVLLAVKVQFVSCPSDKSLKASTPAFHLGVSAPIPQSHGNDICNSSIVSCGPAESSGAKAIVNFNAAVSFFFFIVPFYCHMSDLGCAGQETICNGYSNRVILDPCCCSFVEHRSVRQCPAGGSLPTVSEIPIPGMIGSGVQSSFINYQDDFTFWLFDASIPIWLVNALISAVGAISGFLWLRHPQLCISFEYKVTSYVIGVVRGIEAYLWCSGGIASGLSTIEGETNTTDV >Dexi5B01G0036290.1:cds pep primary_assembly:Fonio_CM05836:5B:36067898:36072338:-1 gene:Dexi5B01G0036290 transcript:Dexi5B01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFSAHVSALRRGALCARWIPAALFSSGNLTGGKPILVRDFVRSALYDPNHGYFSKRTGPVGVLDASIRFNQLEGGLIYPAHFLSCFLHCGLYEEVWCAFRDCRDETGLVFVWRSAYMQHLDKLYKKHDIAWFTPVELFKPWYAYAVAASILRTANLSIPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNDMKYISVEISSSLAEKQLETVGEVQSHLSKFSVEHRDATNRPGWGRKDPLPCWVLMLEKDGKTSDHRNYLDAQGDADIFFPTDFRLLEQIDHHCSGFSKEQKNPGAFKPVKKRRSIILDTAAFMEEFGLPLKTRTKDGYNPLLDDFKNTKFYLSVPTHNRK >Dexi3B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:3B:6170215:6170907:1 gene:Dexi3B01G0008950 transcript:Dexi3B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVVASLFMCLLLVPSLAAAATPPASSTATRWRELQGNNSWKGLLDPLDIDLRRSLISYGELTQATYDGFNRETRSPHAGACLYGPSDLLSSSGAVAAGRYAVTKFIYATAALPIPASDVPLLPLPELAGDVAAWSRESNWIGYVAVATDEGAAELGRRDILVAWRGTIRPLEWANDFTFTPVSAAPVLGSAAQKNPLAVVHQGFLSVYRSSNPDSKYNKASARDQAS >Dexi4A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:4A:8268471:8271566:-1 gene:Dexi4A01G0010390 transcript:Dexi4A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPAADDSGKPRPKGSELVRSRVLLHGASFALVTALLLSLLLGRPGSKDAAAAKDERRRSSMASAAAADVYGGELTPLQGHAAFFDRDKDGVIYPSETYQGFRAIGCGVALSAVAAVFINGGLGPKTIPNEKPPAFKLPIYVKNIHKGKHRSDSGVYDVNGRFVPEKFEEIFRKHAHTRPDALTGKELQELLKANREPKDFKGRLGGFTEWKVLYYVCKDKDGFLHKDTVRAVYDGSLFKRLEKERLEKESKSNESTKK >Dexi9A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:9A:14583463:14594038:1 gene:Dexi9A01G0019650 transcript:Dexi9A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDTHTPTYYDPYPPRDTPAYDIILDPIYGFVGFVGEVFSWGLAGAAGGSAFHFDKGYRALPSGARLAGAVNAVTGVLYHEVVHVWQWGLQDYGAHPGIFEGIADFVRLKAGYAPAHWVQPGQGDRWDQGYDVTARFLDYCDSLQSGFVVLLNAKLKDGYSEDYFVQILGKNVQQLWQDYKAKLKPSPPPPPNRGSTITRLWRCCRTTSTPHHLDHHDHHHRSLPCRPEASSRLAEVPMSPNPEPVLKLAGDVELENQGQKLKMDSADDEFIPLSELTAGMNKCRVHVRISRLWESFNPKNGISFDLDMLLIDDQGETMQARVLPNDIDQFEDQLVEGDVYALSNFTVEDTRESYMICSNELTIYFGGQTVVEEIEDSDLIPLHSFEFVNFKDLRSRCDDTSLLTDVLGHIVYVGELQEVWKKSRLINICNARIQNLSGRDLGVTLYGDIACGFAKDMLEKGQEASVVAVFAGMRVESSHSVCSTTCSMYYLDLEIPEVQEFCANLRIQQENPVPKKSPAQKLAESWRTIEQLKSLNPEEYDEDTTFLCRVTLIDIDCSSGWCYLGCDTCQKSMYKAPRKYKCSRCGPIKRPIQWYKLKTKVQDATGTMNLMIFCEVAEELVGVSAEELVDEIEDDDEWYSLPDELEDLLGSTHTFKVFDKYCSGSFSVMSIMDDVSVPVPGAATTQCKEELGDVSVPVLRAGITQCKEEMDDVSVPVPGAATTQCKEEMDDVSVVPVPGAATTQCKEELGDVSVPEEMDDVSVPVPEAATTQCKEEMDDVSVVPVPGAATTQCKEELDDVSVPVPRAATTQCKEELDDVSVLVPGAATTQCKEEHVPEGSANAALPTPATAQAMEEEIVHEESTTMAEAAPATTPQTMEETVHEGSATMAEGSRKLAAASSSTSTKMKLHAATVASLLALAATAGAVTFDATNTASNTPGGQRFDQAVGLDYAKQVLSDASSFIWSTFNQPSDADRKPVDAVTLVVEDIDGVAFTSNNAIHLSAQYVGGYSGDVKTEVTGVLYHETTHVWQWDGQGQANGGLVEGIADYVRLKAGYAPGHWVQPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKSVQQLWQDYKAKYGG >DexiUA01G0003560.1:cds pep primary_assembly:Fonio_CM05836:UA:7212864:7213085:1 gene:DexiUA01G0003560 transcript:DexiUA01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTADMEQTAESSGTPGKRLAPDDVYSVPPSVPRHEEAARELARSAAPAAGLMDGGALLPARADPDRRRVGD >Dexi2A01G0035680.1:cds pep primary_assembly:Fonio_CM05836:2A:45228234:45235181:1 gene:Dexi2A01G0035680 transcript:Dexi2A01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPGSAERQARGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRSGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDVYEGAIPNWREKRPTKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLHDYEQGKTEFSPEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKESRINSMRAAVSETFPEPNRRLLQRILRMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDFDMNGDSAAQLIAAANAANSAQGIVTTLLEEYESIFNDENRRCSLSPESQTGDSGSEESTDDETVDIKDNGFHDAENDVDQELDDAERVLSGKLSETSACTRGDLNDYKVGYALQFYAEPSSVVDNPLESNIDLNDAPLSHLPENGSMRVQQPSNEKDLSNLVSGHETPLSMGEILLSLDAGIPLPSPGTEYSKDRHSNKPNGTQQHVKRSNLWGRNNARKGQQSELVDPSGEEELAIQRLEVAKNDLQIRIAKEVSRLQEQLQAERDLRAALEVGLSMSSAQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSSVDANDRYQHLPSHLAQSITQSGFDRSIAQSGFDRSIAFCNQEKKQRNEESLPSASHWRSIKQHVLSNGSSRPFSRKHSLDASSDSREASTSVPAESGSMLVNVPRTTEQGVELGRPSAVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASHGFPYKPSSPWNSPR >Dexi2A01G0027090.1:cds pep primary_assembly:Fonio_CM05836:2A:38515158:38518500:-1 gene:Dexi2A01G0027090 transcript:Dexi2A01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAERRQAELIGQFSAQAAALSSAPQLAAMVLEATSHPALFAFSELLTLPALSKLTGTQYASSLDVLRLFAYGTLKDYKSNSGSLPALLPDQIRKLKQLSVLTLAESSKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLNNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >Dexi4B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:4B:4670043:4670738:-1 gene:Dexi4B01G0006670 transcript:Dexi4B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSKVLKVVVSLLLFLVLLLQPCDAARPLAETPTIDGSRSLHLPLRGSLLRGPESAAFSGGDNGTYNGVCDGRALKWNGSVCSWTTYVYGPGYDARACTTSRTQPAEVTESSCRRSLGLHFHYGSGNLYIADAYKGLMHVGPGGGKATVLINKVDGVPLRFTNGVDVDQVTGEVFFTDNSMNYQRSQHERVTATGDSTGCLMKYDLKTNNVIVLQSGITYPNVVAISAD >Dexi4A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:4A:24228857:24229123:1 gene:Dexi4A01G0020560 transcript:Dexi4A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGRQPGVGSRDQGQEQGQRANQPSINGASKPSQGIPYLRKEEALTGSPAAASRLSLSFLSSAVGLPARFEIAGSGGELGEIGSGD >Dexi9B01G0021090.1:cds pep primary_assembly:Fonio_CM05836:9B:15756091:15762875:-1 gene:Dexi9B01G0021090 transcript:Dexi9B01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVKEETSITDDDSEADSYESFLRESDNEQASAYDEDTEVPLTEEEVEELVYEFLEVESKAAEAQESLEKESLDKIETEVRLELSERLQGDELESAVSTEMEQFQLQWENVLDDLETRSSVLLEQLDAAGIELPRLYKSIESQVPNVCETEAWKSRAHWAGSQVPEEANLSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKLPVGDGGSVEYKENSWSSFNEFIKSKEIAENTFGSSNWASVYLASTPQEAATLGLQFPGVNEVEEISEVDGVFGDIKGVDEVELSEEQRRRYRKVKEEDDAKIMNCLQRRLKGKRTRGTKENFGLDSSCHEGAKSHLPSFKKLKTDETLEHTCEDDEELFQRRSETVIIESDDELQIDSKPTLQNDGEGSSARVEKVVDIIDLDLFPSQSPKLSAKTLPKDFKCTICTEMLNASDVHRHPVLDVTVCGPCRFLVIEKNRLEDPVSGGYCTWCVQNILLQSCSSCKLLFCKNCLSKNLGEECLSEAKATGWQCCCCVPSQLEILISECDKALSGAESSDSDSSNLDLSGLETSGPVSKQRMKKKIRRIIDDTELGEETKHKIAMEKARQDHLKSMQEQSASKLKSENVGTSFGAPLDVSDAGDGHIVNLAREEDEEPVRIPSSMSSKLKPHQVEGIRFMWENAIQSVKKVKSGDKGLGCILAHNMGLGKTFQVITFLYTVMKCTQLGLRTALIVAPVNVLHNWRKEFSKWCPAKLKPLRVFMLEDVARGELF >Dexi6A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:6A:2850990:2852650:1 gene:Dexi6A01G0003170 transcript:Dexi6A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWWQRPATSLIALKRQRQWMPRLRQRPRLGDQPGVVFVAGAESCSTSRRARTDVWSRAVARFLGRTWGRGREVSLGRRLPQSFLAVLLLVPSAAVAKAIDASKTQHMDLPDGLIGPESVAFDVHGGGPYVSISDGRVLKYAAGDGAGWTTFAYSPSYTKNNCDAQSELPAVATESSCGRPLGLRFHNNSGDLYIADAYMGLMRVGPNGGEATVLATEASGAPLRFTNGVDVDQVTGDVYFTDSSMTYTRAQHQMVTASGDSTGRIMRYNQRTNKVTVLRSGVTYPNGIAISADRSHLIVALTGPCKLMRYLIRGPKAGTSELFANLPGYPDNVRPDGNGGYWVALHRERNELPFGSDSHLVAIRIGANGDKLQEMRGPKDVRPTEAVEREDGKIYLGSVELSYVSIVKSN >Dexi5A01G0033330.1:cds pep primary_assembly:Fonio_CM05836:5A:35638352:35639210:1 gene:Dexi5A01G0033330 transcript:Dexi5A01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFHHQSVRAAGPPPPAGAAGAWSKEEDKVFESALVMWPEHVPDRWALVAAQLPGRTPREAWEHYEALVADVDLIERGAVDVPISWDEEGDEDDTAAADDDVEESRPARRRPSGERVRREGRRQGIAWTEEEHRLFLRGLEKYGRGDWRNISRFAVRSRTPTQVASHAQKYFNRQLNPASRDSKRKSIHDITTP >Dexi9A01G0022720.1:cds pep primary_assembly:Fonio_CM05836:9A:17855987:17856512:-1 gene:Dexi9A01G0022720 transcript:Dexi9A01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRPVGDLPPELRCPLCKEASGTT >Dexi1A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:1A:3402531:3403674:-1 gene:Dexi1A01G0004670 transcript:Dexi1A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKLLAVHTSSSNKAAMNGMAWSRCLCLVLSLSLLTFVSLLTVFSAARASTAAYQAAKLLTTSGAATTAAAAAADTTGLPRHVFDALVQYAAAAGNTTGCMPEHDVRAIAAVLRRRSPCNLLVFGLGAETPLWRALNHGGRTVFLDENPYYVAHLEGKHPGLEAYDVAYATAVRELPDLLDAARAARAAECRPVQNLLFSECRLAINDLPNQLYDVAWDVILVDGPRGMSAIYSAAVMARTKGEETEVLVHDYEREVERACGREFLCDENKVAATSTPSLAHFLVRGGAAANREAFCGPAAKKSN >Dexi8A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:8A:260399:260880:1 gene:Dexi8A01G0000340 transcript:Dexi8A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNATRLLEKLRNKRLVFVGNSLNRNQWVSLVCMVEASIPDDRLKMRVFNGSLISFKAFEYNATIYFYWSPLLVKSNSDDPIIHRVEYRIIRADRIEKHANAWRDADIIIFNSYVWWRKHKADMRMKVM >Dexi6B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:6B:2025460:2025711:1 gene:Dexi6B01G0002280 transcript:Dexi6B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRTLPSQPIGSSSLTASAELDLDSFHELYKQDKAFVVCLLCFFPITKSLVASITVEAIPPAMEMQHLDDYPPGKARSSSL >Dexi7A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:7A:24083611:24086789:-1 gene:Dexi7A01G0014110 transcript:Dexi7A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDGGGSQYGAAAPPDMGLFSPTAASGPMPLSSRPPSTTQPPPPQQQQQPRASYEELAAVSGAGAGGFDDDMLGSGGGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVSRKLADLGYTRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPPTQQQQLPPASTAPPLLAFAAPTSSTTPPPMSSSMPPPGLMHPAPISSAAPAPVLAPAPPMELPLASQPPLNLQGLSFSSMSDSESSDGESEDDDDMTAENGGSQDRLGKRKRGGGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMERREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLHRIGGPPPPSTATTVVVPTPLQTTPPPPQKHPPPRQQQQHPPPPPSPQQATTPKSKPISSATALVQQQPKETSGASQELVPVTEQHHLDSGLGGGESGAAASSSRWPKTEVHALIQLRMDLDMRYNETGPKGPLWEDISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYSRKNLHSGAAAATASNNVATAAAPPALLPEPHPNPSRQEIEGKNINDDKRNNGGPSGGAAAQVPSSNGDKAPTTPAVFDIDSSMKKPEDIVRELNEQPPREITTDETDSDDMGDEYTDDGEEGEDDGKMQYRIQFQRPTNGGGANSSPEPPAATTTAAPAVATSAPTSTFLAMVQ >Dexi1A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:1A:19029592:19041703:-1 gene:Dexi1A01G0013690 transcript:Dexi1A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLSHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAPQKEAHFKDATQYYNRASRIDETEPSTWIGKAFRMMIYRFSSAGQLCVAKGELQMASDSFKIVLDEDGNNFPALLGQRALQAYSNCPAAVRLGIAFCRYKLGQVEKARQAFQRVLQLDPQNVDALVALAIMDLQTNDAAGIRRGMEKMKRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYIGLGQIQLKFGDFKSSLTSFEKVLEVHPENCESLKAIGHIHAKSGETEKAIETFKKVTRIDPKDHQAFVELGELLVESDWSAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGEFEMAEQSFKEALGDGFWVSIMDDKVGSSMVNWNVHYKDQSFFHQLEDEGVPLELNTNKVTALFNYARLLEELHDSVRASLLYRFIIFKVLLLLFPLKYPDYIDAYLRLAAIAKQRNNVQLSIELIGDALKIDEKNPNALSMLGSLELQSDESWLTAKEHFRNAKEATNGDAYSLLQLGNWNYFAANRPEKKAPKFEATHREKAMELYQSQVLKQHRSNMFAANGIGILYAEKAKWDAAKELFTQVHEATSGSIFVHIPDVWINLAHVYFAQGHFQQAIKMVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALAEEARRRAEEQRKFQLERRREEDELKQIKQQEEHFERVKEQWKTSTHTPGKRKDRSKNEDEDGGSEKRRKGTKRKKDQKTNMQYGEEDENEYRDEPEAEDDYANLSRDNGGENSERAPDHLLAAAGLEDSDAEDDMGHPQSAIERKRRAWSESEDDEPVQRPAANPDAKDLSE >DexiUA01G0012510.1:cds pep primary_assembly:Fonio_CM05836:UA:25324426:25329183:1 gene:DexiUA01G0012510 transcript:DexiUA01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSSSLSTRLPSKTVTPRRAGMLSSHKAPSAAAPRPLLLSFPAPARPRGAGLCAPAAKQDEYQFEEDDDGEDEEGYEGEEEWEEDDDDGEEEMDVEAMEEEARGAAADLAKRLARELHIDDDVREKRRNIRDRISISKQIPDSLLPKVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAVTTTVGMDGIPLATREAAIARMPSMIEKQAVAAVGEATVVLFVVDGQAGLGDCLFVVFLGSISLIFKFINSLSIISSLSFILTNIMVQNIFQAGLVAADIEISDWLRCNYSDKCVILAVNKCESPRKGQMQALDFWTLGIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAVGPPTFVLFVNDAKLFSDMYRRYMEKKLRADAGFPGTPIRLLWRSRRRPDKRGEKNAYT >Dexi5A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:5A:8301408:8306785:-1 gene:Dexi5A01G0011060 transcript:Dexi5A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASHRRRSRRLSWPLLFVTILAVHSLAVYLFTRGFLLTRTELDLHSSRDDRPPQGDVSAGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFPEKHPWMDKLQVLQKLAANEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLQKNDWDVLIAHFLGVDHAGHIFGVESTPMIQKLEQYNQILEGVIDTLRSISKPGGTHENTLLLVETSLFAWSPNTPPDAVLSVLDDSSCNADLNGEEVCISTMQQLDFAATISALLGIPFPFGSIGRVNPELYALSTGTWFNQKMGTDACTSQNDLEAWMSRYAEVLCVNCWQVKRYIDQYSATSVIGFPSEDLQHITNLYSRAQANSSASFRTTCSSETGSQDKLEGKGSVLPQQIDAYTDFLQTFAKLARSAWTEFDLWSMGIGLLLMILSVIIQACALVKLNTICQPSDQKSHSSIIPKFSFAFALVVIRAASFLSNSYILAEGRVAHFLLATSCITGVWYSLVEGKFGVEVSLPGLEILYYFIYSLVQSSSDILYSIDLQNLVFLLLTWCIIRSQQRHQRDSELSVAGPVSVIQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFISMVWYNTTSKDNKLKDVILNNITQVLLMYGLITAIPATLTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLICLASLYYS >Dexi9B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:9B:2250652:2252049:1 gene:Dexi9B01G0003900 transcript:Dexi9B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEQQAPSVTAAAAPPRQVPSAPGDDDDGDGGDGAATFRDIHPLTPDTPPPPARTVSAASWETASHRSYSSDEQYMTMSREFTAMVAAGAATMHQTGPDSGADQLTSIGEDELEETNPLAIVPDSHPIATPARSRASGLEVVPAGPAPPPPHVEASQVKKEEVETKVTAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >Dexi2A01G0027560.1:cds pep primary_assembly:Fonio_CM05836:2A:38929676:38934869:-1 gene:Dexi2A01G0027560 transcript:Dexi2A01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRSPAVLRQLRLWLLAVSASPAFLGVLAADLSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRYFPLSDGPENCYNINNVPNGHYQVRLFFALLDNPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSILSIEVLQIDDNAYNFGPSLGKGAVFRTAKRLKCGAGKPAFDEDLNGLPWGGDRFWLGLQTLSSSSDDQSISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKGIDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVEGTRAIINAIEVFEIIPAENKTLPQEVSALRTLKGSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDNTIGNWVIDGLGLDNQGLRGVIPGDISKLQHLQSINLSGNSIKGNIPTSLGTISALQVLDLSYNELNGSIPESLGQLASLQILFTDNAGLCGIPGLRECGPHLSVAAKIGMAFGVLLAILFLVVFAACWWKRRQNILRAQKLAAAREAPYAKSRTQFTRDVQMAKHQRPPESSRSSNNESSPHLLS >Dexi5A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:5A:8940817:8942420:-1 gene:Dexi5A01G0011910 transcript:Dexi5A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMGGCLVLFSFLLLAGVGHGHTWGGLFPQFYDHSCPQAKEIVRSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTSIVSEKGSNPNKNSLRGFDVVDEIKAALEHACPGTVSCADILALAARDSTVLAGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIVTKFKLQGLDVADVVALSGAHTIGFSRCTSFRQRLYNQTGNGVADATLDASYAAYLRQGCPSSGGDDNLFPLDLVTSARFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYAEDVELFFRHFAESMVKMGNISPLTGEKGEIRKNCRRVNGNGY >Dexi8B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:8B:26258706:26259491:-1 gene:Dexi8B01G0015460 transcript:Dexi8B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLTEVSPQELLVGLADVQSHLIGYVKSMSLKCVVDLGIPDAIHRRGGTATLADIATDTGVHPAKIADLRRLMELLSSSGMFTVTDGESSTAVYGLTTACRFLVGYLNLSPIVPFFVNPFVSEPETAGSLFELAHGHSLWEMARKDVKLNNVLNNSMVADNELFLEVIILDKGRIFRGLSSLVDVGGGSGAGARVIARAFPRMKCTVLDLPHVVEQATGGGDSNLQFVAGDMFESIPPANAVFLKV >Dexi7B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:7B:4211772:4212113:1 gene:Dexi7B01G0002440 transcript:Dexi7B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVKLGTSVLLFAALVVAMSFVLFSCSSAAGHCSPVVPCNATTCFEYCQKNNYKNFQTSCSPGQYYPVCCCWTRGEALP >Dexi9B01G0045600.1:cds pep primary_assembly:Fonio_CM05836:9B:45038738:45040829:-1 gene:Dexi9B01G0045600 transcript:Dexi9B01G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGTHLPYPHSFLLHYPHDVVSARAVNGDHTAANLSDPTPQVVVVTSGKGGVGKTTTTPPTSSSPSRASHSPLSSPALRNIDLMVHVLSDFQRALELPPGSSAAPSGRDSSGASGTIGQVQGSGGGAQVADAGIVGPHRARGPDLRHRRHEEGMRKKRGREKKAHGTHPDVWDPHGPKLERKTLKFLALSSFMNHQSCVAAVRPKHTEFFSISFARVLDGFRVGSGVIPPPKMQSRYQEEVTNDLAMRNYLRRFAATLDLHRINMSRFFAQRSSLTLPRPNMTLYAPSSERCCGTMHFMLLGRERQGARHGPDGPRLRLRRGAHALRTAPNLSTDLLYVLDSPQEVAVGEGEHCFEAITYERVHAALPPDRYLAPIHSTHPLGAWIKKGDWTLPFQGLAHYVPEYKLCHLLSFLGVLEDIGLSKKPLPVASFVVHLGSTRFCIARLFWMDDDDRGEMLAVFVW >Dexi9B01G0033560.1:cds pep primary_assembly:Fonio_CM05836:9B:35716365:35717286:-1 gene:Dexi9B01G0033560 transcript:Dexi9B01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYNPSFSSYLPICCRPEDIRVPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQEMNHQVIGGREISIVYAEENRKTPQEMRFRTRTR >Dexi3B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:3B:4718123:4719365:-1 gene:Dexi3B01G0006750 transcript:Dexi3B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYGLLFSSQLDPDSFPFPTLSAQRQGRRDSSAMSALFNFNSFLTVVLLVICTCTYIKMQFPAILNDRTG >Dexi6A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:6A:22310274:22311083:1 gene:Dexi6A01G0014970 transcript:Dexi6A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNVIVALNLSTLLLSVPVLAGGVWLRSRADGTGCDHSLSTPATALAAALVAVSLAGLLGACCRATWLLWLYLLATLALILALLCFTAFSFAVVIPRPRGAAGEYYSTWLRRHVEGPTNWARIRTCLAGAGVCKHLEGKKATTTMAQLVGGGLSSVESGCCKPPTSCNFTYAGGTEWTKPAAATSQADPDCGKWEWDEEDKLCFGCQSCKDGVVDALRRDWKRAAIVDAVFLAFIVVVYSVGCCAFRNSRLDNYAYHSSRGWKRSGHA >Dexi6B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:6B:24404722:24409169:1 gene:Dexi6B01G0017190 transcript:Dexi6B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDPGPPASEPRQPLGKLHVTFPLVAKFPSCPFASNLDRERDDEEKTGEEKTKKKKYHALLPPFPCSIPRKEFAPKSFDLLCPVHRSVDRSAGGSGLPRGSDLVFASSGEEPEEEMNGAGGSHQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSAYPSCSLSVSYLHHICAVMLESCGTTKLDALEFSLVQEAENAITEMTGKWLGSRQIRCNWATKNSSEEKPETDNHNAVVLTNGGSSNSATDASQDGGSKENPENNPDCTTVYVGNLGHEVETVNRDELHRHFYNLGVGAIEEIRVQQEKGFGFVRYSTHGEAALAIQMANGLVIRGKPIKCSWGNKPTPPGTASKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >Dexi9B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:9B:12982960:12985831:1 gene:Dexi9B01G0018260 transcript:Dexi9B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATAAAAAAMAASCDLLDVDPLELQFPFVLNKQISCPLRLANRTNHTVAFKVKTTSPRKYCVRPNNGVVLPRSSCTVVVTMQAQKVVPPDLQCKDKFLVQSVVVSDGLSNKDITWQMFVKEGGNVVEEVKLKVAYVMPPEPSSEIAEEHDGLERVLVPMQRIVDNGRSTSEVSSGSVSLRSAEVGIASVLNEVGSPVGRIVKNGEFLKAAGPALETKTYAGPTEQSNQVSLYSLWPLEWFFSLSTIIAKLTEEKNSALEQNQKLRDELELVRCEASKQQSGVSPVLLIAIGLLCIVLGYLVK >Dexi6A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:6A:22050863:22053968:-1 gene:Dexi6A01G0014670 transcript:Dexi6A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAQSSSNASCPDTCGGLSIQYPFGIGAGCFRNGFEIVCDNGSRPVLAGATTPIPVSHLSITTAEARVMLPVAWECYNASDVVYAWSDGDVAFNLADVYRVSSTHNELVVVGCNTLGYIQSQRSEGNDYSYAYYTGCLSFCNDSRSAADGACDGVGCCHVDIPPGITDNKMNFRTYSHVARLGYSPCDYAFIVDRENYTFRTEDLRMDVNRTMPVWLDWAIRDNVTCDEAKKAQAYACVSFNSDCHDSLNGPGYVCNCSMGYEGNPYIVDGCTGAAGCLFIISVVVFVWLLRKEKRKTKEYFEKNGGPTLEKVTKIKLFKKEELMPILRSNNRIGEGGFGEVYRGHLGDVPVAVKKPKNVNLADQFTNEVIIQSRVMHKNIVKLIGCCLEVDIPILVYEFVPKGSLDDILHGNREPLDLDQRLDIAAQSARGLAYLHSDTITSILHGDIKPANILLSDDLVPKISDFGISRMITVDKKYTRNVIGDVSYVDPVYLQSGILTSKSDVYSFGVVLLELITRKKASDSNNLIRNFLDAYTKEKTVIELVDSDIAVTENMELLHSLAGIVVECLDLNIDRRPEMIDIAENLRAMLKRSQEQNEQNMILSGEFDV >Dexi2A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:2A:6108619:6109209:-1 gene:Dexi2A01G0006420 transcript:Dexi2A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPRDVPETSVMAAPSPASSNIGALPLDAMYEILLRLPAKLLCRLRAVCRPWRALLSDPQFAAAHSARNPDPLIVAGYAENEGNGMIVDIMICPGKLLSGVMSMELDLVFVKNVDSGSYKFLFPATGDLYRLCHYRFLNPATGAVYHLPDGFAEENVAFGVNQITEPKFILGQGSTRCLN >Dexi9B01G0037150.1:cds pep primary_assembly:Fonio_CM05836:9B:38647036:38649247:-1 gene:Dexi9B01G0037150 transcript:Dexi9B01G0037150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCNPENGFFPDLSTVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIAMHDVVGFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >Dexi5B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:5B:19306049:19308071:-1 gene:Dexi5B01G0017520 transcript:Dexi5B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKTKLQEGAASWGDSDGNLVHDAVDYRGCRADKSTTGGWVAAALALGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIGTGLLAVSTEVRQLRPPPCGVHGPCEQATGLQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDARERAAMGLFFNRFFFFISVGTLLAVTVLVYVQDHVGRSWAYGICAAAMLAAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRRSAKHPLTSSALYEDDRPEHARIPHTSQFPCLDMAAVMAGDDDNEVGHDGRPTPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRMGRFEIPAASLTVFFVGAIMLTLAVYDRVFVPLCRSLMTGRQGLTNLEKIGIGLVLSILGMAAAAICEKKRLAVVAVAAPEGRHGESSLPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSALVSLVKGCTPWLGDTINHSRLDYFYWLLAVLSVVNLVAYLVCAMWATPPPATSQAEQPQIAMAADEKC >Dexi2B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:2B:29484171:29492635:1 gene:Dexi2B01G0019340 transcript:Dexi2B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSEAGTGRPHAESPEKRAAGDMATPPTTRALLSCGHLHPLDANDPASQLSLVCGSWRVGARVLFGHDDDGARAVRGGVEFTCRRARPGEPGRWVRRATCGEFAGKRAVAGDGSSRAGNKAATHEHDFLSLYTAASAAKDSPLQLHDSKSPPPSKGSFFLTTHDFLNPLEKPPAGAPPEPPSPSPFPAVSGVDDSGHHHHQLVVANSSQRALPLPGGVGTFTICPAPVSVARPSSAAVVKAEPPAFVLWGQPAAALHPGARGHQQQWALPFAGVGQARQPPPPQPQQAPPDRKGRGVGGGLMESGSRSSGGAGFDDDDGLAARREVSSSLKVFRVGLAELTVRKRTRSVEGMSSHAPLAPGAGAPQWQPAQVHQLHAHLLVSGRLRGSPAIAALALLRAACGVRVSPCLRPLARHLLDGIPHPIPQVLHAAARLAFRLRLPSLALGHYLALRARHPAFLPPAPAIADVLKSSPGRAAHAHALRVAAHAVDARFLDNTLIAMYFACGDAWSARQVFQGMCDRDVVSWTSLISGLVQNGCPLQGLHHFVSMMHSEVCPDFVLIVSVLKAYMELDDLPGATAAHSLVVKSGFDNEVDMVITLTSMYAKFGCIVAARALFDRVPTPRVNLILWNAMISGYSKNGLANEAVQLFKQMRKVARSMSPDSVTLRSVILACAQLCSVELAEWIEDYVQGSEYRDDVLVNTALIDMYSKAGSITHAHTIFERMHMQERDVVVWSALIGGYGVHGHVKEAVALFEDMKHAGVKPNDVTFLGLLSACNHAGAVEKGWSYFHSMKHDYGIEPRHQHYACVVDLLARAGHLDRAYKFIMDMLIKPEMSVWGALLHGCKMHGHSDMALAECAAQRIFELEHSNAGHYVQLANLYASAGMWSHVAGVRVTMREKGVSKATGCSSIDINGEMHSFHAGDHSHPRAAEIFALLKMTVRVDRKGGSCSDGGTDQRPNTPRSKHSATEQRRRSKINDRFQILRELLPHNDQKRDKATFLLEVIEYIRFLQEKVQKYEATFPEWNQENAKMLPWSKGQIPTGDSLPDPSHFMRNGSSPGSNFTGKLDDNHNMVTSAAASGPQDQVETDHMASLCYRSAETPANVTNNAISQSQPQWTGPSPVDDCAVSSGMLNNQRLAIDEGTISVSSQYSQELLNSLTHALQSSGVDLSQASISVQINLGKRAVKRPGAGLPSTEPTDPASNDEIDQQLAMLGGGAEDLSHAAKRHKPGNS >Dexi1B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:1B:3323534:3325136:-1 gene:Dexi1B01G0004180 transcript:Dexi1B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEDHAAVMLAAARRTLRAAMDKSRALGDAVARAGPRLEEIQAKLRALEAAASAIRAPRAEVAAAGSHIDHTVGPAIAALKVFDAVHDLEPRLLAPGAAERDLPGYLAVVAQLKDAHRFLAGNCGLAAQWLTDVIEYLGDRELADPRFLTNLGLTLNGHRAPSRASGDLDGGLLDATLDILEAEFRRLLADHSAPLTMPKFGAATASAAPSRVPTAVVQKLSLILDRLVANGRQDRCVAAFGRAVADAKKDPIKLLRFLEFSEP >Dexi9B01G0040270.1:cds pep primary_assembly:Fonio_CM05836:9B:40847281:40847645:1 gene:Dexi9B01G0040270 transcript:Dexi9B01G0040270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSKSENKRFERALATYDRDTPGRWERVAAAVGGGKTADDVRRHYDLLKDDLGDIENGGYDDYATGTSGDARNGDRNNNRGGRANRSQT >DexiUA01G0020240.1:cds pep primary_assembly:Fonio_CM05836:UA:42217625:42218929:1 gene:DexiUA01G0020240 transcript:DexiUA01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVLTSELVVPSDKTPAGAVWLSNLDLAARRGYTPTVYFYRPDGEPGLFAPDVIKDSLARALVPFYPLAGRLGLDATGRLQVDCTGEGVLFVTARSEYVLDDLINDFVPCTEMRDLFVPPEPSPSAPCVLLLVQVTSLRCGGMVLGLAAHHTLIDARSAAHFVETWASIARGSNGDNIPASPCFDHELLRARPVPTVLYDHPEYKAEEPAPTHALTASSTSTTYASALITLTKAEVSAIKARCEVASTFRAVVALVWQCACRARSLPPEAETRLYSMVDVRPRMSPPLPPGYFGNAVIRTSAVATVEEVVSNPVGHAARRLRTATSQGDEYVRSLVDYLEGVDTMNLPRSGISRAHLRAISWMGMSLHDADFGWGAPAFMGPALMYYSGFLHVLNAAGKDNAVTLALSLEPESMPEFRKVFTEELARLQQQ >Dexi2B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:2B:2862231:2862713:1 gene:Dexi2B01G0003180 transcript:Dexi2B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKPAAQASPALESSAPAAAGQEEAPREPGPEAAAPGAAAVEAENVEGEVEEEEEEGECGLCLFMKAGGCKDAFMAWQECADAAPKEGTDMAERCKEVSDNLRKCMEAHADFYAPVLRAEKALKERAAADAAKGEPASDAEEKEEACPRKKQLPRRFE >Dexi1B01G0022890.1:cds pep primary_assembly:Fonio_CM05836:1B:28559768:28562263:1 gene:Dexi1B01G0022890 transcript:Dexi1B01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRPKSAAAEGKSGKVTPPTPKGTRASKPAPAKLANGTPPQAPRTADRSPRSADKPPSGDRRTPKVFDRLSTPPAEKQSSAVKQSQELQAQLAAVQEELLKAKEQLVEKEKEKGKVLEELEDAKRLADEANANLLVALAARKKAEEASETEMFRAVELEQTSIESMQKKEEELQRKLESMRSQQESDAAALRSTVEQLEKARYELADAIDAKNLALNQVDDATRLGEVNAHKVELLNAEVARLKELLDTELESKEREGAEQIMRLEAEVSALKIELKKAKDAEEKVAELGDMIEGLRVDVANATKARTEAEELVDEWKEKAEILEIKLEAANQSYMVKVDSLNSVMKELDAASTLLAEKESELSDLQDKLQALEDEVARQNEDINTSNECLDVAEKEAIELREEINELQSKLQALEEEKMDAINNENNASSQIESICEEKENLAKELEASKDEYEKVKKAMEDLASALHEMSGEAREARERYLNKQEEIERAKAQIEELNVNLKNSQENYEVMLDEANYERVCLKKTVERMEAEAKNTSDEWQSKEVSFVSSIKKSEEEISAMRAEMDKATETARDWENRNAELEEKLKELEAQVEEANRAKDEAKAEALDWKEKLMDKENELQNIKQENDELQVKESAASEKLKELSSMLGNAKDRVLNGTGPKDENEKGKEDDPVVVVAKMWENSKVTDYDLSTEKEKDGESELDLESSKGDAASDCHRLSTDTRINNNAKLAIKQQQTKKPLMRKFGGLLKKKSQH >Dexi3B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:3B:10854131:10857796:-1 gene:Dexi3B01G0015010 transcript:Dexi3B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKAAAASVLQPQRAAEKGRGAGAVVVVAVRAAAREISKTAVVWALTHVVQHGDSILLLVVIPPPSSGRKFWGFPLFARDCASGHKAVLNQMSDVDELCSQMMLNLRDVYDPNKINVKIKILSGSPSGTVANESKRAQASWVVLDKELKHEEKHCLEELQCNIVVMKRAWPKVLRLNLVGSPEKVSNSTPHLPPEPNTNSSLTKEATKDNIQHSDVNISDSESEVSTPPAASALQPWMADILQRPATTRLLGNRPRRTPTADSLLEKIAKLDLLTEINAIRSRSDLNFRGNVRDAVSLSRRAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDELIDPRLGRRFCENEVYCMLHAANLCIRRDPHLRPRMSHVLRILEGGDMVVDSGSDAGSRSWRMLNENQRYQEQSSPAQHDSQRALETARSPWGQDRHNLSHRY >Dexi5A01G0036850.1:cds pep primary_assembly:Fonio_CM05836:5A:38272192:38272797:1 gene:Dexi5A01G0036850 transcript:Dexi5A01G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFANVHSSIGRAQASILYCSTMSSPGAGRRTPKRRRTTTKSLSQLLDLNSPPAEGAGEGVPPFRILRGPHNEASSSITVQHNQASSSVPPATDEPYIGMHTCPIDVEAFDDDVVIYSSTSLPQARQRSIRTERVTVIIDDDSETIPESAGLMISSA >Dexi5B01G0024330.1:cds pep primary_assembly:Fonio_CM05836:5B:26399140:26401902:1 gene:Dexi5B01G0024330 transcript:Dexi5B01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGFGACKYLQELNLAYNSFTGGIPSWLASMPELTVISLGGNDLSGEIPTGLSNLTGLTVLDFTTSRLHGKIPPELGQLTQLYWLNLEMNNLTGTIPTSIKNMSMISIFDVSYNSLTGPVPRIFLGESLTELYMAGNKLSGDLGFLVDLSRCKSLKYIDKERSYFTGSIPNSISNLSSLQIFRAAENQITGNIPSLPANQSSIWFLDLRNNLLSGEIPVSVTELKNLKGIDLSSNDLSGTIPVHIGRLANLFGLDLANNKFHGRIPDSIGNINNLQTLDLSNNRFTSTVPTRLWGLQNIVQLDLSQNALTGALPEDVGNLKAITYMDLSSNRILGSIPTSLGMFRTLTYLNLSKNLLQYQIPDAIGKLSSIKELDLSYNVLSGTIPKSFANLSDLTSLNLSFNRLYGQIPEIGVFSNITLQSLEGNTALCGLARLEFPDCPNGELNHRRRFGHLLKFVLPSAAVAIAIGVCLFILIRTRVSKRPKDLPSTNSLEKNSYYRPISYLELARATNNFDNDNLLGAGSFGKVFRGVLDDEQIVAVKVLNMELERAIVSFDVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLFSGNRRGLGLVQRVNIMLDVALAMAYLHLEHFEVVLHCDLKPSNVLLDQDMTACVADFGIARLLLGDDTSIVSRNMHGTIGYMAPVMTGKNPTDAMFNGGLSLRGWVSQAFPSELAHVVDHSILLLDEEATSSGDIERVDWSSREESPCSWSCIEQVVDLGLQCSLDSPEERLAMKDVAAKLARIKECLSSPSR >Dexi3A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:3A:8069212:8075140:1 gene:Dexi3A01G0011350 transcript:Dexi3A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAGAIVPTAGGGSAWGNGGPRFGDMVWGKVKSHPWWPGHIYSVFLTDDDEVLRGRREGLVLVAFFGDSSYGWFEPHELVPFEDHFAEKAAQGGSSRSSFAAAVAEAVDEVARRAALALLCPCRNPDAFRPNPGNGNFFSVDVPGFDTDADYHPDQIQAARDRFVPRMALDFLLDAGVKQRDAAEAAARTVPGMELAGLFMAYRRTVFAPRDNTYAEAFGVDPEKALEAEKQAAADRAQRARPLKGGLRKTPEQAAPTPGRRRGGAGGAAARLMEKIVPGASAMKAKTSKKDQYLLKRRDTPEPARRTPPPQLPDVPPPAPAPAPLALDEGPPGFRSGDPPTPPLPGSSLTEEEEFMLQRRAPLLDVTPAAQATEVGAAAPKKATKAKKPRKREREEAADADPAAVGDGEPKKKKKKKKLTGLEGEAPSPAAAAGSRKAAGFAAPNVTDPNGLDLTQVISDVRNLPLAPFHGAERRISDAARSFVLAFRSKYYKKSYENDPPEESKKTLVRPGATAAAAAADGQPVKKKKLPVVRPGAGNDPTKAGVKRAPSDRQEELAVKKKAKLDKIKTLSSEKKAAGLEQLRDSTASPAAQQQQARAGAKEKSELAPAKKKEPAPSPRIRTPSPTALMMKFPIKSTLPSVASLKARFARFGPLDIDGIRVYWKSHMCRVIYRFRSDAEAALRYAKANAMFGQVDTQYHLREVESAAGREPPAPEAAPPQRSELRLMETAPFRPGSSGNGAPLPMSRAMPARPATGQPPKSILKKSTDDGTAGSSRDAAPRVKFMLDGVDSKLEPPRVTGIGGNGADIASPGGKVTKSVGFAAQPPARTLQPAMRPAQPPSLQQPPRAAAVTQQLPPAPPLPFPTSGQQQQLPYPLRHSEAPPASFSTSQQLPSYPLPGPPPLPPYSPRTVGFPGQQQPAAPYPQQQQQQEEVPAWKRSKEEFKDEVWRLMTGIAKIVEPLTDRNGYFPYHLFRAQ >Dexi9A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:9A:2407915:2412423:1 gene:Dexi9A01G0004480 transcript:Dexi9A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRRKVLEASRRLPPLFSAASGGRAHAVSSLAASSARWAPPATAGSLAAAPWTATQRRGAKMLGSDVKLGNVIQRRGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKIVERFRTDEALERVFVEEKSFTYLYQEGDTVTLMEPETFEQIEVSKDLFGKAAAYLKDEMKVNLQYFDGRPMSATVPQRVTCTVVEAQPNTKGLTAQPQYKRVVLDNGLTVLAPPFIEAGEKIVISTADDSYMTRYIRGSLIIFLLYPKTQLKA >Dexi1A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:1A:26881343:26885602:-1 gene:Dexi1A01G0019930 transcript:Dexi1A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVSSKDKETTTDPPKAPWWTGETVAVVTGANRGIGHALAARLVEQGLSVVVTARDEARGEAAAAALRARGGGAVRFRRLDVADPTSVAAFAAWVRDELGGLDILPFPAAAADSLQVNNAGVSFNEVDTNSVEHAETVLRTNFFGAKMLTEALLPLFRRAPTTSRILNISSQLGLLNKLKDPSLRRMLLDESSLTERDIEGMAWRFLAEVRDGTWRGRGWPEVWTDYAVSKLALNAYSRLLAARLAGRGVSVNCFCPGFTRTGMTRGVGKRTADEAGRVAAGLALLPPRDLPTGEFFKWRTPQLYSKL >Dexi5B01G0031230.1:cds pep primary_assembly:Fonio_CM05836:5B:32015357:32016895:1 gene:Dexi5B01G0031230 transcript:Dexi5B01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALPLTPSKSSSFGAHQTTPCFRPSGGSPRSVRAYAKGNGEDGNKQSLFGSITEALDFSQVRSEKDAELLYEAREKTKGGGRMTREQYGALRRKIGGTYQDFFKSYVEVDGDYVEDGWVDKTCKVCKKDTRGEPRQVDKFGRYAHVACLENPKPANFFAKLFAR >Dexi9B01G0026460.1:cds pep primary_assembly:Fonio_CM05836:9B:28627119:28635944:1 gene:Dexi9B01G0026460 transcript:Dexi9B01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRNNMLLYGAAVAMVAASSALSSSSPGGRRRRSPVLLPLAAALLLIIATSSLWCSAAAEGKGAGRNVITHIKGFEGPLPFHLETGYVEVDEEHGARLFYYFIESERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVANVIFLDAPVGTGFSYSLEEAGLNVSLTGSGHQHHIFLRKWLADHPEFASNPLYIGGDSYSGYTVPVTALDIATHNDNEPKLNLVGYLVGNAATDDRYDTGGKVPFMHGMGLISDELYEDARAGCGGDFYAPADPTNARCAGAMMAINMVTFAVNPVHILEPFCGAAVRAAASIFHGYGGGRRSMLVKDDVDHPGFLAKERLNMDVECRDNGYRLSYIWADDPEVRETLGIHEGSIGSWSRCTMLIHFRHDLTTVIPHHVNLTKAGYRALVYNGDHDMDMTYVGTQEWIRSIDYPIVSDWRPWFANRQVAGFTRTYAHNLTFATVKIQRTSLLNAGDARQPKITTSRSMILRYVEVDDSNGVRLFYYFIRSERSPADDPLLLWVTGGPVCSVLTALAYEIGPLRFDLNSYTDGVPRLVHKEDSWTKVSNVIFLDSPVGTGFSYSGTEQGYQSSDTKAVNQILIFLKKWFDEHPEFLANPLYIAGDSYSGKIVPTVTSEIARGKEVGSEPNYNLKGYLVGNPVTDSKFDKPSKIPFAHGMDLISDEMYETAGYMMSTTWANNGKVREALGIHKGTVPSWSRCDFDISYAYDIPSTVKYHLDVTTRGYRSLVYSGDHDFVVPFIGTQAWIKSLNFSIVDEWRPWFVGGQVAG >Dexi7A01G0022730.1:cds pep primary_assembly:Fonio_CM05836:7A:30919418:30920062:-1 gene:Dexi7A01G0022730 transcript:Dexi7A01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANNPVSLALVLTLVSTLCRRADATAFEVGGDDGWVVPPASDGGRFNQWASNNRFLVGDTVHFKYENQEDSVMVVTEDDYNSCRAAHPIFFSNNGDTEVELHRPGLFYFISGVEGHCERGQRMAVKVIGDGPSSPPPSPPHPSGAAPGARAIAAVAIALPLIMLLAV >Dexi3B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:3B:9016852:9021231:-1 gene:Dexi3B01G0012870 transcript:Dexi3B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGAYNLAMQTHQQTNKSVELTRNLRENQLGGVIFGCKYDTIDECFKKQLFGLPSVHYSYVRNVKPGMPLFLFNYSDRKLHGIFEAASPGQMYIDPYAWSNNGSLRTAFPAQVRICTKTQYPPLLESQFKTLLGDNYYNHHHFYFELDHAQTRALISLFKSLAPANFNQVAPVSSKKNLAVSSPPTKVKLPGVPEPKKVTNSKETNPFSVLSSRAAPFIWADDVDSASNTDEKTPEELVSDCDDLDDNLQDQCVPHSNPDELSQNSQDKTVEQGVELVECNHPDANPMNGERVTIDESMLLNSVSGHNGVVDNSPGGVGLQPERETILEKLKELSSLRQQLAMSSQGCADSSPDQCVPDETQINANLSCDPFDATMEDKTSSDECPGNAELLQIITDLTKRTEALEKKLIGSDQEILSLREVAKDSGRKVQQLEYLVDELQFKFDSSLSHLGSMCNTLAKPSIFLIGGYNGVTWLSSLDSFCPEKDTLVGLTPMSSPRSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGICHNEKIYAIGGGDGNETYSEVEMFDPYLGKWICSPSMLLSRFALAATELNGVIYAAGGYDGSMYLKSAERYDPREGFWVRLPSMSTGRGCHALTVLGDAIYAMGGYDGDKMVSSTEIYDPRLDAWRMGDGMITPRGYAAAVNLNDSLFLIGGMQSNVQILDTVEVYNASSGWSVLGFSSIGKKSFASAVVI >Dexi9B01G0047520.1:cds pep primary_assembly:Fonio_CM05836:9B:46573098:46573421:-1 gene:Dexi9B01G0047520 transcript:Dexi9B01G0047520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCFAPEADEDVKPAKPDDSSGADARRKVAPDVANGYAHSFTFKDLLVATGYFNEANFIGEGGFGKVYKGKINGQVS >Dexi9A01G0028460.1:cds pep primary_assembly:Fonio_CM05836:9A:33125187:33126876:1 gene:Dexi9A01G0028460 transcript:Dexi9A01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSALTKLAPLEAILFDIDGTLSDSDPIHFCAFRELLQEIGFNEGVPITEEFYSANISGAHNDDLARSLFPDMDHEKAMQFMDDKEAMFRKLAPGQLKAVDGLHDLCRWIEGHNLKRAAVTNAPRANAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALQLIDASPDHTFIFEDSASGIRAGVAAGVTVVGLTTRNPGKALKDAGASLLIKDFQDPKLLSVLEEVKPATENGQA >Dexi9A01G0035930.1:cds pep primary_assembly:Fonio_CM05836:9A:40485590:40487155:-1 gene:Dexi9A01G0035930 transcript:Dexi9A01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTTWFTSPQLLLCAFLPLVASLLFLLRHAHGSKNTAPATKGQLPPGPPALVFLAKFLALRRSIFDLGPLLLDLHARHGPVISIRLFRTLVFVADRRVAHRVLVQGGATFADRPPLVEPGILFTSGARDVSSSPYGPYWRLVRRNLASEALHPARVSLYEPARQAARDALVADLLLRARGGGGEGSSAGAAVTVRPVFRRALFEMLVYMSLGARLSAEVLDEVQAIQMDILRPITSFPIFSFFPAVTKRLFRKRWEAYVAVRRRQEEIFLPLIHARRAAARRGDDPPCYADSVLALRVAEEGGRALTDAEVVSLCSEFLSAGTDSSLTLLEWIMAELVNHPDVQAKVYEEVKSKPELSDRDLQEAPYLKAVVMEALRLHPPTHFLLPHGVHGDDAADIDGYRVPKGAEVNVLLGGFGRDGEVWTSPMEFRPERFLDGGEGCDVDLTGSREIKMMPFGAGRRMCPGYTLGLLQVEFFVGSLVRELEWLPPAEVEAVDMTEQLDFTTVMKHPLRARIIPRN >Dexi1B01G0030910.1:cds pep primary_assembly:Fonio_CM05836:1B:34694106:34698884:-1 gene:Dexi1B01G0030910 transcript:Dexi1B01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGINGGASSLAAALHDHWFLIGPAGGSPRPPARYKVRTGRRGLAPFLVSFVSFRHCYGLWRGDLSLRDPATAHAAQVVQDKLYVVGGSRNGRSLSDVQVFDFNTFTWSALNPTRDSNQLNHENNAAVRSFPALAGHSLVKWKNNLVIVAGNTRLTSSSNRVSVWLIDVETNIWSAVDTYGEVPTARGGQSVSLLGSRLLMFGGEDNKRRLLNDLHILDLETMVWEEVKTEKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFNDLYLLDLRNLEWSQPDTQGAHIAPRSGHAGTMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSVSARDQLACEGLTLCSTTVDGENFLIAFGGYNGKYSNEIFVLKLKARNLVQPRLLQSPAAAAAAASVTAAYAVITSTNEKTKDIISTDDFDIKKPKPASYSKKFVAEIDVVKGEKDKLESRLAEVRDENSKLKDKLDLTNLSYGELAKELKSVQNQLAAEGSRCQKLESQIAASRKRLESAASLENELEVLRQQISQVEQTMTTTQRQKSGGVWKWVAGSAEVSDDE >Dexi2A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:2A:4174545:4175696:-1 gene:Dexi2A01G0004580 transcript:Dexi2A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTMTRKKPSPAPVILALLLLSSAVAAVSGQSPAPKQTAANNPRLQKAYVALQALKRAITDDPKNLTKNWCGPDVCAYFGVFCAPSLDDPHVLTVAGLDLNHGDLAGTFPEELGLLTDLALLHLNSNRFAGGLPESLPKLHLLHELDVSNNRLSGGFPQHILCLPNVKYVDIRFNNLCGPVPSAIFDKNLDALFLNDNHFDFELPENFGNSPASVVNCIAGRPDQRPGDQCLAFLHRPPPHCDEHGCFGPPPQKPPQKPHY >Dexi5A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:5A:4080938:4081378:-1 gene:Dexi5A01G0005480 transcript:Dexi5A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLPTIARGAAPQVVTLPFLLESDGELFLVCLFYLGCGLERVEEVGAYMMDFTKKEWCKVTDIGDRAFLLGPSSFAASCSASEHGLKKGCVYFAYDFLGNSNEFHIFDLKEGTRVKLDC >Dexi1A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:1A:1087690:1088378:-1 gene:Dexi1A01G0001620 transcript:Dexi1A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAPEAPALEDPSPREATLDDETRALVFPDAADLPASPPYAVEANFARFFVAEWNV >Dexi5A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:5A:6889417:6899777:-1 gene:Dexi5A01G0009170 transcript:Dexi5A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLFLSRNPNPSPSSTNPHLSSDPRSAAMSTSGVYVPPMRRLRSVIASTNGSLAPPPPAAAQVQQTAPTPEWRMDERSPSPPSPSQPRRRDIPPLPRPPRPEHFRQQSAGYARYAAYDDFSEDDSDREMDRTSVSSKGASTLDNVDEWKWKLHMLLRNDDEQEIVSRERKDRRDFEQLAQLADRMGLHSRQYSKVVVFSKVPLPNYRSDLDDKRPQREVSIPAGLQREVDALLEDYLARKRTNSGNFPSAAFSRSSSTDSFATDEGFFDQQDNQTSTNAVMERIQRRKSLQLRNQQAAWQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRNHFLEDILEFTGHRLTPYNQIDDYGQEKSWKMQKQAISKRKSQIASVVEDAVEAADLRNYSPRTRDSLSCWNPDSIGFNLIENVLCHICQKERPGAVLVFMTGWDDINALKEQLHANPLLGDPTKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQVQNAIDYLKVIGAFDLNEELTVLGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDVSICLHDAPILICLSNKEKSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMNRDLASTYLSLKNELEDLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCSGRFVYGRQELRSKKAKTMLSSSMNSGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKSSLFRSTVEFNGMQFVGQPCANKKLAEKDAAAEALNWLTGDSGGATTDSRDTRNADLISVLTKPPRRSRHSHRRRS >Dexi3B01G0029800.1:cds pep primary_assembly:Fonio_CM05836:3B:29099705:29102100:1 gene:Dexi3B01G0029800 transcript:Dexi3B01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGRSRWCLKTGVRSSLVGDDYFDGVPPLEFKDSKRKEGDDYFAGPSSAPIESVDVLRDPPTEFVERPPVLVQGRRGPWLSPKLYQVKATRSIQGGTSGDPLARGEHFRAAPLAAQQPTQQSPPARAPLSSAADRRGPPVIPYLQPPPTLWDRAKPERGPASPRPVLGLYKRRRNPPLPFFAKAANPRAPKLASAAATLGSSRRRCPACLLPHRRQQVASELRGVEWNMPSRFAFSLSPSCARTSSPSSGTVIATRTSSTTPKIEPPRGEVWSSLTPPMLNAGDIPAKRGRHGRLRRPPTPRSILHLDRGLRPVHGTVDPVAAAFLQKSPYIDEPFEFADDPVPEEQVQQQFTKEGKYNMDNP >Dexi2A01G0030330.1:cds pep primary_assembly:Fonio_CM05836:2A:41303781:41304137:1 gene:Dexi2A01G0030330 transcript:Dexi2A01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRRLSPLVTPSSRAGRLGSERHRLLPVVGKVHETLRSAGARRDWGRLGVGTGTGTGGGGRGRERESRRATATVGSEAQRKGDGWRLAQVPPALPRQSSQRPARTRPPPLGGGR >Dexi9A01G0034000.1:cds pep primary_assembly:Fonio_CM05836:9A:38841964:38843443:1 gene:Dexi9A01G0034000 transcript:Dexi9A01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFDYDFEMHITEIILICSRHPITKWAQRSDRVFLTIELPDAKDVKLNLKPEGQFNFSAKGSDDLSYEFDLELFDSVNVEESKAAVAPRTICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGFNDFNDMDFSKLDMGGADDDDDIEDDEDDVVESTNKDEGDKAEESKGEEEAAPAAPVAEEAKP >Dexi4B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:4B:16723760:16725447:1 gene:Dexi4B01G0015290 transcript:Dexi4B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWVAGPVILLLFAAITYYTCCLLGDCYRVGDPATGKRNYTYTEAVESYLGGWYYANMFGTGIGYTITASASAAAILKSNCFHWHGHETDCTQNTSAYIVAFGVVQVIFSQLPNFHELWWLSVIAAVMSFSYATIAVGLALGQTIAGPTGKTTMYGTQVGVDVADTTQKIWLTFQALGNIAFAYSYTIILIEIQDTLRSPPAENKTMRTASILGVATTTSFYMMCGCLGYSAFGNAAPGNILTGFYEPYWLVDFANVCIVLHLVGGFQVFLQPLFAAVEAAVASRWPCSTREQAGGVNVFRLVWRTLFVAIITLGAVLLPFFNSILGILGSIAFWPLTVFFPVEMYIRQREIPRFSGVWLALETLSFFCFVITVAAGVASVQGLRDSLKNYVPFQTRS >Dexi6A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:6A:856296:857557:-1 gene:Dexi6A01G0001010 transcript:Dexi6A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLPWATGGRLEPWVKLNPNPKPSSRVWNGLGSSDSDLDLDLDRSDPPPPPCRSLLLPPPPAPETRTDSSQSVTAHSSSATTSDMTGLARPLGLTHSEAILATLATASTSCPRGGGTRPSRIFPISPVSTAGTANSITFLGEPLPPSSWPLVR >Dexi7B01G0023300.1:cds pep primary_assembly:Fonio_CM05836:7B:27906011:27908596:-1 gene:Dexi7B01G0023300 transcript:Dexi7B01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGLKTGGLLLPTIERRCTSPPSVIVIGGGISGVAAARALSNSSFKVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLRLYRTSDDNSVLYDHDLESYALFDKDGNQVPKETVDKVGETFERILEETVKVRDEQEHDMPLLQAISIVFERHPQLKLEGLDDQVLQWCVCRLEAWFAADADEISLKNWDQERVLTGGHGLMVNGYYPVIEALAQGLDIRLNQRVTKITRQYNGVKVTTEDGTNHFADACIITVPLGVLKANIIKFEPELPPWKSSAIADLGVGIENKIAMHFDRVFWPNVEVLGITGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVVSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVCARFSAPVENMYFAGEAASADHSGSVHGAYSSGLAAAEDCRKRLLTQKGVPDLVQVAAWEEVAGVIAPLQICRT >Dexi3A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:3A:6225023:6226596:-1 gene:Dexi3A01G0008960 transcript:Dexi3A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMRGLRRDCRRGTAPAAAAAAAEPLPPDLISLLPDCILGTIVSLLPHKAGARTAVLSRRWRHIWQSVPLDLSLDCDDSDLYAVTGRTISLLLSSRRGPIRSVRAMILGGPWAREDHDAWVQALARADVHDALTVLFPTDRVFLTVPFFQLAKHYLRCLELHGCRLDVAAAPGHAHGLPRLHSLNLTNVDVSEASLHRILDGCTALRDLTLFRIHGLRRLVLRSRTLSTLSIGPHIPMDELSFADALNLESIVFLHLDLWHIRDITQPPAAPPKLREVTLSMPLLHNLRTTERWALPIVTKLILHMQFDYGEELTKVAHMLSLFPCLNFLQIWCLKLYSHYKYYDEEADECWQLPDGGKTITCLDKRLEKVQMDGYSGTKGEVEFARFLMAKAKFLATMKMLHRFNWSKEEIDSQKNRICISGKASSAAQIYFTETNSGLLKREVYDYIKRVPLI >Dexi7A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:7A:29916030:29916637:1 gene:Dexi7A01G0021250 transcript:Dexi7A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDDSIAHDEKVFAVDTCGNVYFCDMDDGGANSNPTVVRAPEIQIDLYVRQRFKLATSIGGEVLLLDIGGGDGGVWRRVDGIGGDHALFVGQSYPFYVTVPRGSSDLKANSVYAADLNGYVAMVFDQCQGCAGGIGPFVYSDFRGTSLRPIWFRPTAAHLEPLPVHQT >Dexi4B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:4B:7297252:7302782:-1 gene:Dexi4B01G0010030 transcript:Dexi4B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLLSSPRPNRPFHPLPFPTARRRHPSFRGKPPAPPPLPPVSRGSRRLGVAVPRAAREAFDDGVLGHDRPPGVGRGGARRRAFRETQGEPAVPPAAAAARAVAPYVVPAGAVLVLSFVIWKVVQNMLPGKKKNQNSGESTSSGIMWSFAAGSNLATSTRSAEKESRKNLNKFYKELRTMKTVNMAEEVDFSGNGITAVGIEAFDGILQINTALKTLNLSGNDIGDEGAKCLSDILTENVGIQKLLLNSINIGDEGAKALSNMLKKNKSIRILQLSNNTIEYDEIHLHGNGIGNEGIRELMSTLSAHKGKITVVDIGNNNIGSEGLRPVAEFIKRTKSLLWFSLYMNDISDEGAEKVAEALKDNKTISTIDLGGNNIHSRGVSAIAEILKDNAVLTTLDLSYNPIGSDGVKTLCDVLKFHGKIQTLKLGWCQIGVQGAEFIADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLRSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIYF >Dexi6B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:6B:21071187:21073848:-1 gene:Dexi6B01G0013380 transcript:Dexi6B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALIGRLEAAVSRLEALSAGAHPSIAPRGLSDDASAKDPAILAFDDLVAGALGRVSMAAGKIGAEVVEVTRLVEKAFLVGKDLLIRTKQTQKPTMESMATFLGPLNETILEANALAEGTRSSHANHLKASAGSLAALAWIGYTGKGCGMPLPMAHVEESWQTAEFYSNKVLVEYKNKEPDHVEWAKALKELYVPNLRDYIKRFYPLGPVWQSPGNATNKAPSAPSPPASLAISSASSSQPKSGMSAVFAEIGSGKPVTQGLRKVTDDMKSKNRTDRTGVVTAEGKETRNAPSFGSTRGPAKFELQMGRKWVVENHIGNKSLIIEDCDTKQSVYAYGCKDSVLQIKGKVNNITIDKCTKVGVLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSEESLQTSITTAKSSEINALVPDASSDGDWVSSLLTCFIYLPRHSNFSSN >Dexi5A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:5A:10137528:10138069:1 gene:Dexi5A01G0013520 transcript:Dexi5A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQVIAEEIMQLNQKGIVLQKENVELKKEVSIAYQYKIELQNKLFAEGTSGDNNVDRGSGSSEKARGRSKENDASEHISLALSIEEHADE >Dexi3A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:3A:8559413:8563007:1 gene:Dexi3A01G0011870 transcript:Dexi3A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQQQLAGGGRPKMGAEKLIIRPEKVRFIDVLSMLFRRRPFTSYSFVDAGDQTAADVGSTPGDIFVALTQLILKALEAIYWPALMIGAVVEFLLNFIALNNGILGIFLNIFRCKLVIPHKDGPNYRSMIGLIDGRTELKPLPAGGGPHDRRLQVVGVPTGAGEDDYYVDVESGESNASVPLIQQQFVDGRLVRLQSFSGFEITVMAAKLAYENAAYIENVVKNVWKCNFVGFYNCWNKFANDHTTQAFVVTEKASDSSWMVVSFRGTEPFNMRDWSTDVNLSWLGMGEMGHVHVGFLKALGLQEEDGTDAARAFPKASPSNAAADKPFAYYKLREVLKSQLKIHPNANLFVTGHSLGGALAALFPAVLAFHQEKEILDRLRFVITYGQPRVGDKTFAGYLAAKAPATAALRVVYRYDVVPRVPFDSPPVAEFAHGGTCVYFDGWYKGTAIAKGGDAPNPNYFDPRYLLSMYGNAWGDLVKGAFLGMKEGKDYREGAVSLLYRATGLLVPGVASHSPRDYVNAIRLGSMSIKEEEA >Dexi4A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:4A:20288366:20292834:1 gene:Dexi4A01G0016670 transcript:Dexi4A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYDGGGAWSTVPVRSRKAAAPAPAPGGGGGGEGSAPGAGELAAAVEGLEIGGGGERRLDKYDIPVEVSGEGAPPPADGFEAAGLAEAVLRNVARCGYESPTPVQRYAMPIAMAGRDLMACAQTGSGKTAAFCLPVVSGLVAASAAGGYGHRDRGSFERRAKPRALVLAPTRELAAQINEEAKKFAFQTGLRVVVAYGGTPMYDQLRDLERGVDLLVATPGRLVDMVERSRISLEAIKYLVMDEADRMLDMGFEPQIRKIVDMMNMPKKSVRQTMLFSATFPSEIQRLASDFLHNYIFITVGRIGSSTDLIDQKIEFVNEGEKRGFLLELLQKQPFGGTNSKQPLTLIFVETKREADTLRYWLYSKGFPTTAIHGDRTQQERENALRSFKSGATPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGNATAFFTESNHPLAKGLLELMTEAKRDVPQWLVEYADRPCYGGSSYGGKGRRGGGGYGGRDYRRSSDYGYSGGDYSYGEGGGGGYSSGGGGGYSSGGGGGGYAGGSGGGYPGGGGGGNSGRGGGYSGGDEYSGGGGSYSRGGGSSSRGGNGGGGGPSRSSAPPPRYYPAYPMGTTDISASGWD >Dexi2A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:2A:8074664:8075175:1 gene:Dexi2A01G0007820 transcript:Dexi2A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWISSRASASSARGGQGQGEEQMRSPVPYRVGPLEHSPAVLCDCRRKAPCWTSWSNDNPGRRYYRCPAGLTAGDCGFFRWIDREATPYERQLTRDLRDVVWQLQREKGEDLRMDNVVQRENGDLMQLKEQLQKDKA >Dexi8A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:8A:9128083:9128328:1 gene:Dexi8A01G0007940 transcript:Dexi8A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRAASGRAAEDATSGRSFGGRFEIGESRRRLARRPPTPLQRHVTQGQRPWSIVGMRVRRRAPRASGEKRRRWQWEVEA >Dexi1B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:1B:2765755:2770885:1 gene:Dexi1B01G0003500 transcript:Dexi1B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDTKKSAASGPAASTQEIAVARGSTLDLLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGANKDYLVVGSDSGRLVILEYSPDRNRFDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAANQAQKILTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKTLFFFLLQTEYGDIFKVDLEHNGDTVSELRIKYFDTVPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDADIESSSATLMETEEGFQPVFFQPRALKNLMRIDDIESLMPVMDMRIANLFDEETPQLFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVTLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYIAVIESDKGAFSAEEREAAKKECLEASGAAENGNANNGDPMENGDGQEDGAEDGSMFPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAGGFIHIYKFVEEGRSLELLHKTQVEEVPLAMCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPKTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAAQHIDFDTMAGADKFGNIYFARLPQDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >DexiUA01G0011940.1:cds pep primary_assembly:Fonio_CM05836:UA:23830472:23831474:1 gene:DexiUA01G0011940 transcript:DexiUA01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTEVRPDVSLVVRMVVSAGNYDYILDWEFKTSGSMKFVASLTGLLEVKATTYTHTDEITADAHGTLVSENTLAIYHDHFITYHLDLDIDGTNNSFVKNVVTAKRNTGDPSTGGADTPRRSYWTVRREVAETEADGQVNVVDAGPGDLLFVNPGKKTRMGNEVGYRLIPSGATAASVLADDDYPQRRVSYTKKQVWVTPYNKSEKWAAGLYADESTGDDGLAVWSRTNRGIRDEDIVLWYTLGLHHIPYQEDFPVMPTLSAGFELRPSNFFERNPILRASSPGRHGHSVNCSCDAR >Dexi6A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:6A:23818035:23820797:-1 gene:Dexi6A01G0015920 transcript:Dexi6A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPHPNPLAPLRASSSSSLAARLRLPFPSLLSRSTRRKWPPLLRLLCLAPPAICSVVCAARLVFSPSSVSASAGPPPLGGGKLLVLQYLLLVGAVAIGSLIRRRQSGWLRPAGGAAEGLGVGLVERVDKVEDSVRGMVAAVGVLSRIVEKLGVRFRVLRRTLRDSISETATLAQKNSEATRILAAQEHLLEKEIGAIQKVLCAMQGSATLPSVVPSLPSPLPYPPLSHPSSRRRRDEPRAAAQVSSGSCSGGSWCSYDGAEDCLRLGLTPASPALATVPRARRSAWPWVPTGATEADAGGADAGSCGSAAGSRRG >Dexi9B01G0032810.1:cds pep primary_assembly:Fonio_CM05836:9B:34994312:34996939:-1 gene:Dexi9B01G0032810 transcript:Dexi9B01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGSAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKENMKEYILCEYNRDADSYRSVPQYDPNRFKCFSISIGRSPWSNKYDPPLEDGTVPSDEMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDNGFIAYFLIKKDGKGTRGYMQIGSWDAIHVIQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >Dexi9A01G0038730.1:cds pep primary_assembly:Fonio_CM05836:9A:42882374:42886035:1 gene:Dexi9A01G0038730 transcript:Dexi9A01G0038730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAVLGPRGLASSPAPAGKHLPIAPSRLKHRGPDWSGVYQDEGNFLAQQRLAVVSPLSGDQPLFNQDHTVVVVANGEIYNHKKIRKQFTGKHTFTTGSDCEVIIALYEEYGENFVDMLDGVFAFVLYDTRNKTFIAARDAIGVNPLYIGWGGDGSVWFSSEMKALNEDCDRFELFPPGHLYSSAAGGFRRWYNPVWFAEQVPAAPYDPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLVETEAAEKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDVAMGMDPEWKMYNKSLGRIEKWVMRKAFDDEENPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTEQQVTDEMMSNAAQLYPYNTPVNKEAYYYRMIFERLFPQDSARETVPWGPSIACSTPAAIEWVEQWKASNDPSGRFISSHDAAADASTTDTSGKQHANGKEVAVAANGGHVPAPNGAVNGTDVAVAIAA >Dexi4B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:4B:7533112:7536445:-1 gene:Dexi4B01G0010270 transcript:Dexi4B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAAGSETPKQLLSIIRDFASEKSHGERRVCDLKRRLADARAEADAAAAELEAAKRAREAAEQELRGSQVQAAIAAKTIQALEATISRLQEEISKVASNLDELKVRQELADVQAKRVLMEAVMGEMKQLQELGGYPEYLSLTWNTRTAELEKVHASLADELQRRYTCSGCGINNMPELEAAN >Dexi9B01G0044930.1:cds pep primary_assembly:Fonio_CM05836:9B:44593632:44594012:1 gene:Dexi9B01G0044930 transcript:Dexi9B01G0044930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSLLLPLLATALLVVFSAGVVDATWTPIANPRSLVIRQIGNFAVIVYSNADPRHLRPLALVNVVSGETQPAGLGITDYRLVLNVRNTATGSTELYQCVVEGKPGSRATIWELHSFVKYKQPAV >Dexi2A01G0029480.1:cds pep primary_assembly:Fonio_CM05836:2A:40530604:40531454:1 gene:Dexi2A01G0029480 transcript:Dexi2A01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRSLPSPLAELPLLCLLLLASTLPALCRDAPSDSVAIATPAGSTIHQLLKDHGLPGGLLPRGVESYTLNESSGLLEARLSAPCYAKYDNGDLAFFDTMVRGNLSKGALRGVEGLAQEELFVWLPVKGILVAGEQPGVIVFDIGYAHKSLSRSLFEDPPDCKPSAAAGMSAAAAAARWKDRQVRRFDFKSAINLSQVGEMQRSLIPRAD >Dexi9A01G0045680.1:cds pep primary_assembly:Fonio_CM05836:9A:49218094:49218645:1 gene:Dexi9A01G0045680 transcript:Dexi9A01G0045680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSVLVVLPLVLATLIATVNAQSYTPPAPSPLPDSSSSPPAPPPQDYSITPPPPSSPPPPVPSPPPPSSPPPPTPSPPPPSPPPPVPSPPPASNWTPVANVNDPTIQQVAQFAVRIYALSTTQLKMQLLSVISGETQPYNGGYNYHLVVTVTGGKKTQYDASVWGILGTMSWKLWSFTPRS >DexiUA01G0010120.1:cds pep primary_assembly:Fonio_CM05836:UA:19968793:19972260:1 gene:DexiUA01G0010120 transcript:DexiUA01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAEQGFGLLTLSSTVPALRPWHLPCRDGDLSSSPCQGWAQVGFFYVSLYLIALAQGADKPCGLAFAADQFDAEHPGERASRASLFNWWFFCMAIGIAVAVSVVGYIQEYVGWGVGFGVLCAMVLCAFAVFLLGTPTYRLVVVEQHEEEESPLVRLARGLRRRLLLNKGHQQQLEQEQEQEDARCVLRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGGGILLELPPAALQALGPASILLFVPLYDRALVPALRWATGHPSGLSMLQRVGAGMATSLAAVSVAALVEARRLATAREHGLVDDPAATVPMTWAWLVPQYALMGVADVLAVVGLQELFYDQMPHGLRSLGLALYLSVMGIGGFASSLLISLIDALTSQGGAAHSWFADNLNRAHLDYFYWLLAGLSAIELALYLAFARSYHMRGYGRARVGGEDGGDAAAEGVDAAGGVEVEVERRGSPERAPPAGEDLGARAVRGREERDDVAKDAVGEGADAIAAA >Dexi9B01G0042820.1:cds pep primary_assembly:Fonio_CM05836:9B:42974638:42976373:1 gene:Dexi9B01G0042820 transcript:Dexi9B01G0042820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFLAAEEVQESADRMESVYRIWVQERSAGDSEAAGVNGGPTDAELRRELHTALGTAKWQLDELERAIRSSDQVISAGKDTRARHDDFVTAIGYRISEVENILKESNVAEGRGPLSWVHLDDDERDDLAAFLSAGPFQQKDKVVTILSAGDIEVGSNTTRIKNDISTDSSKDSAGSTDLILGRGKEDLHRGHRRAASASADIGSWSISIPNECEGALEQSSDGPHKAPLLKIVKTCALTSALQSKPRTKCKNGTVRWAGVNQKDIEESIPLTTSQLTPGLDGCFERNKSCLSTCDEGTYNKKLYGWLGALHRQLQRSQYQIRYGRPVQLIVLALAALVLCKSVIPLFLKNVSLSS >Dexi5B01G0039530.1:cds pep primary_assembly:Fonio_CM05836:5B:38312533:38313918:1 gene:Dexi5B01G0039530 transcript:Dexi5B01G0039530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHAAQQRALALRGSARSASFSARFARLVGRNLGLGRDFSPTRRGAVRAIQRLRVDFGGYIRGRRRRNPNPKIISSSPPVVRSELSLERRRVDVHPPPRHGSSMAGAFSSPLLSPLTSTAKQRQQKDERTAVERFLGGGSVPSRTPASRRRHASALTASEVRISGLCCQIYVWEELDGSVDAMAGSSVKFCARAAAVGVEDEAVALRSRSRRPSGRHRLWPGTAMKGVVELPAACNFVSRAVAPTLSLYSAGDRGPPTIRVGRPRSGRRSRPKGLWAFWM >Dexi3A01G0030490.1:cds pep primary_assembly:Fonio_CM05836:3A:34480105:34484314:1 gene:Dexi3A01G0030490 transcript:Dexi3A01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLAASLPVAAARFRLRARFRRAMASASAAGGAPARVGVVQMTSEAAASGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLAKFDVDVPGNMVYKESRFTTAGDTIVAVDSPFGRLGLTVCYDLRFPELYQILRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADLDLSKVEAVRTRMPISEV >Dexi1A01G0027900.1:cds pep primary_assembly:Fonio_CM05836:1A:33602045:33605138:-1 gene:Dexi1A01G0027900 transcript:Dexi1A01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDDVMDGSDGQRRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMQLSRELGLEPRQIKFWFQNRRTQMKAQHERQDNCFLRAENDKIRCENIAMREALRNVICPTCGGPPVADDYFDEQKLRMENARLKEEASSSPPLLRLPPPAAFSSSPLHSRFSHASHLDRVSSLTSKYLGRPITQLPPVQPLSMSSSLDLSVGGLCSPALGPSLDLVLLSGGSSCYHHPGAAAKWTEFFPSIVSKARTIDVLVNGMAGRSESLVLMYEELHVMSPVVPTREFCFLRYCRQIEHGLWAIADVSVDLQPRDARFGGAPPPRSACERCACLATAGMPSSSRDVAGVTPEGKRSMMKLSQRMVSSFSASLSASQVHRWMTLSGPNNDVGVRVMVQRSTDPGQPSGVVLSAATSIWLPVPCDRVFAFVRDENTRSQWDVLSHGNPVQEVSRVPNGSHPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYAPIDLPAANVVMSGEDPSAIPLLPSGFTILPDGRPGSSSSGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTVEQIKAALNCASH >Dexi5B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:5B:7565378:7571491:1 gene:Dexi5B01G0010750 transcript:Dexi5B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLQQLALASTQKYDSMLPKWKATVGERLVALSLFMLISAFLALLAHVVVFPSPGGGGPALYQWWRRHGSARRRSPTSLSHILFGIGASAHTWGHRRGYVELWWRPGRTRGYVWLDEAPAASTPYRVSPDASRFGRRATASRLARIVADSFAAAANGTGEEVRWFVMGDDDTVFFPENLVAVLSRYDHEQPYYIGAPSESVRQNTRHSYGMAYGGGGFALSYPAAAELARVIDGCIDRYREFTASDDRVHACLSELGIPLTREPGFHHVNLDIHGDAYGLLSAHPVAPLVSLHHLDFIQPISPHGRTQLDALRSLFDAARLDPARSLQQAFCYLGRVGLLRAAVSLETPLHTFTPWRGSPDEPFLFNTRPWRPNDACARPMTFFLSGARNQTSVAAATATTVTEYSRHVAGGGGHPVCDKPSFRSAAAVRTVRVVAPKMNPADWQRAPRRQCCRTAWVRRGSVLEVRIGGRGRRVELSVNAPQLVYYECARHFLIIPCEGCLIFAVEGGLALANTYAAQMEGHDPQLLRHRRVSSLSLFILISAFLAYVRRRLRRIEASPVLCPRAKVAVAHRVRDRRLGPDVGPPPRLRGALVAPRADARPRLADEEPPAAGTPWPATSPPYHVSSTDASRYGRRAAASRMARIVADSFAAVANVTGEDDDEVRWFVMGDDDTVFFPENLVAVLRRYDHEQAYYVGAPSESVRQNTRHSYGMAFGGGGFAVSYPAAAELAGVIDGCIDRYRDMYGSDERVHACFSELGIPLTREPGFHQLDLHGDVYGLLSAHAVAPLVSLHHLDHIQPISPHGQTSLDAVRSLVEAARLDPARSLQQAFCYHDHDGPPGGRWSISVAWGYAAQLYPWAVPAHQLEAPLHTFSPDNGPFLFNTRPWRPDDACARPLTFFLDRARSETAVAAAAATVTEYSRHVAGDESTEKECDKPSFRLAAAVQKVRVLAPKMDTTDWERAPRRDCCETAWDGQGSVLEVRIGRCRRGELGTVNAP >Dexi4B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:4B:4388608:4389359:1 gene:Dexi4B01G0006220 transcript:Dexi4B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLGEDLGPLSTKELEQLENQIEISLKHIRSSKNQQMLDQLFELKRKEQQLQDSNKELRRKIQESSEENVLRLSCQDLGPGGSSSHAHDANQELLRTAVCDPSLHIG >Dexi7B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:7B:598974:602942:1 gene:Dexi7B01G0000110 transcript:Dexi7B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNTGVPAVVDLAAMRDMMPKLGCDPNKINPLIPVDAVIDHAVRVDVARICDALQRNQELEFDRNKERFAFLKWASTAFHNMQVFPPGSGTVHQVNIEYLARVVFNEDGVLYFDSVVGTDSHTTMANSLGVAGWGVGGIEAVVAMLGQPMGMVLPGVVGFKLTGKLRDGVTTTDLVLTMTEMLRKHGAIGKFVEFYGVGVGELPLPARATIANMSPEYGATMGFFPVDQVTLDYLKLTGRSEETVSMVEAYLRANKMFVEHHEPETDRVYSSYLELDLSEVEPCVAGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGYAVPKEEQGKVVKFDFHGQPAEIKHGSVVLAAICSSTNTSNPSVMIGAGLVAKKACELGLEVKPWVKTSLTPGSVVTSEYLKHSGLQEYLNQQGFHLAAHGCATCVGNSGDLDESVSAAITENDIVGAAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEAIGVGKGGKEVFLRDIWPSDKEVDELIASSVQTHMFRQVYESIMKRNPQWNELPVPKAALYPWDPSSTYIRKPPYFEGMSMSPPGPATVKEAYCLLNLGDCITTDHISYSGKIPDGTPAAKYLRECGVEPKNFSSYGGRRGNNEIVMRGAFANMRIVNKLLDGQAGPWTIHVPTGEKLYVFDAAMKYKSEGHDMVIIAGAEYGSGSSRDSAAKGPMLLGVKSVIAKSFERIHRSNLVGMGVIPLCFKAGEDADSLGLTGRERYTIHLPTNIAEIRPGQDVTVTTDDGRSFTCTLRLDTQLELTYFNHGGILPYMVRNLAAEQGK >Dexi9A01G0036230.1:cds pep primary_assembly:Fonio_CM05836:9A:40755453:40756701:1 gene:Dexi9A01G0036230 transcript:Dexi9A01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEFHPQCVTKAPVDGCRSTSTCGTHGPTTSPRSLVRSMNPSGTNAPGFSPAGVRTAHKNRCPDMSKPTAISRSCSGGCVPLLPKQRNTTLRSGCASSHARHACLSDTELVCPAGDAPRVPQRLHGRALERLERVDEDAAGGVPRVAAPDVHHGLAHLVLLRVLEELPDEMGRRERTDAEELERRVAELLEPRASSGEQLLDLGHDGESGGAGGEEGVDGDAQLGADVDGVGGEHADDQGVDAVARDGAEEEALQLAVVAAQRLEREEERGLVAGVGEWSVDFP >Dexi8B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:8B:25252430:25252888:-1 gene:Dexi8B01G0014600 transcript:Dexi8B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASGGARPEELTARLAATGPAPGAGCGGSGAGVGAGGAEAEHERVRALREIKNQIIGNRTKKLLYLRLGAVPAVVAALAEAGASPAALVQAAAAVGSFACGVDDGARAVLAAGAVGHLTRLLTHPDEKD >Dexi2A01G0024130.1:cds pep primary_assembly:Fonio_CM05836:2A:35826066:35828039:-1 gene:Dexi2A01G0024130 transcript:Dexi2A01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVESNLPASAEEKRVRLPCPAEIKASWEKRVAEGASEVKRLPFPSSEEVKAAWEKRVAEGVSEEEEDDLRFASQASCFRDDWNKLYSRYFGRFEDTSSVFEADRSDACCRGV >Dexi6A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:6A:26629836:26632584:-1 gene:Dexi6A01G0018990 transcript:Dexi6A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSEGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDATNVKTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIDGDSKDDQKQTSRFGCC >Dexi2A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:2A:27942110:27945618:1 gene:Dexi2A01G0016360 transcript:Dexi2A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKCSPATLLPLLFLLLLPMATCREEMESVYVGKRVLPVRLGRPAFGPESLAFDHRGDGPYTGVSNGRVLRWRGPLRGWTDACGRPLGLQFHGASGDLYFADAYLGLMRVGRRGGLAEAVVTEAGGAPLNFANGVDVDQDTGHVYFTDSSATFQRSDYMMIILTHDATGRLLRYDPSTGATAVLASNLSFPNGVALSGDGTHLVVAETARCRLLRHWLRGPRAGSTDHFADLPGYPDNVRRAGGDYYWVALNKDKSWMEQGTTPRSVAAVRVRAADGAVVEALRGLGNATLSEVVERPGGALWLGSVDTPYVGLFRTGP >Dexi6B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:6B:26217277:26217918:1 gene:Dexi6B01G0019500 transcript:Dexi6B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMERELERPDDSSGRVATGNCGGEVIGVGNINSETTTTETMQRPNGGVHKRRPNTLLKRLLNGAVPTTTAGKGDDGDIKKMRVVRPKSRRRGGINAAMDHELPTKSRFLCEAECIYKEEDFPRNRFWQCSQEEFAGSKPKRTKTVKVMVDKRLKPLLEENPTRPLDLKRPNPEVEASTQRMIEWEKDILKQFETKGYAVYEREVEVTDDDEA >Dexi2A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:2A:479499:480044:1 gene:Dexi2A01G0000840 transcript:Dexi2A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTDVDLRDLNLNAAATVDDAESSSTAARQQQEQSEEENAAGAEDDEEAAAVDELMDDFEADEDNARWAAFQAIISPKKKQDQVLRYGGARPLWAVASGALPAGGAPPCARCGGARRFELQLMPQLLHFFHVEACDPDPVDWATVVVYTCARSCGGGGSGYVEEFAWVQRLPWRDDAAN >Dexi7A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:7A:28627634:28628748:1 gene:Dexi7A01G0019420 transcript:Dexi7A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELADIPAVPPKTSSTYRAEKVTGTHDFEIENYSSLSARYGVGRSLKSAPFTVGGYSWVIQFFPNGETFDDCYCCMRTASAYVSLAGIPVPATTPATAAMPKAKYTLSLVGRDGRPSRLWRARSPIRTYGWPQPTSWGINDLWYPKPLLRLSGCLAGDRLKIRCELTVFVFTAPSTTKGATTPALAPPPELHGHLERALGDGRGADVTFHVAGTAFRAHRVMLAARSPVFDAELFGPMAKKDDVVEIADVEPAIFEMLLHFVYTDTLPPAIFDGDSTAAAAQHLLVAADRYGMERLKIMCAEKLCRSIDVSTVTTTLALADQHHCQELKEACLAFMSSPKVLRVVVASDEFKHLMASCPQLTFW >Dexi6A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:6A:20426717:20430965:-1 gene:Dexi6A01G0013350 transcript:Dexi6A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPMPFAASFRPLGAPPPPPPHQMPQYGAVPPNPGYPMAQPMQPPGVPGVMPAGAVRPPTMYAPPQQGAYMPQPGAAVPHPGMPRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPMIPGIRSVPPLVAPTARPPAPAVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKHVEEKKRAQEKAKETEDGGGDGTTAAAENESSKPVSAEPDKATGDAGDKDSEENTKKFGIITDEDSEADNDVAQKISSMIEEWLKTRPPPPPPPVQPSAENSGIDMTKTDSDDKNDADTDKRAVNETERSETGSPDRRKDRERDKDKRDKDFERHERERERERVRRDRERDREKDHKYREAEKMYRDRLKEWESREREKEYQRQNEKDKEKDRERDRRREILRQEDESDEEDNRKRKRRSSSTLEERKRRRQREREEDFADKVREEQEIAEARRQAAELQRQADEAAAAAAAAAEESATHMEVDDEDETETNAQTRPTVVEVDKIASFANGTGEFHKENNSGETSMAAGQVPDARQNSNAPAKKLGFGLVGFGKRTSVPSVFAEEDDENNVDKTIRPLVPIDYSNEELQAVQANASAGPNVAAAAEFAKRISASNSKEDRSEAEKDRNRRSSEARVNDERREKIHDREKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKVKSNL >Dexi9A01G0045850.1:cds pep primary_assembly:Fonio_CM05836:9A:49350579:49352707:-1 gene:Dexi9A01G0045850 transcript:Dexi9A01G0045850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRVLVVAAPEFAAFGCDGVGSFASVQDAVDAVPLNNQSSEVTGTGTFSDATVIVEGDDFIAEDVIFQNSAPQVSGKATAVCVTADRCAFYNCRFLGWQETLHLHSGKQFLKNCYIEGQYDFIFGDSTALLEHCHIHCKSTGYITAHGRKSSSESTGFVFFKCVITGNGEAAYMYLGRPWEAFGRVVFAETFMGHCINPAGWHNWDKPENEQTACFYEYRLSF >Dexi2A01G0035870.1:cds pep primary_assembly:Fonio_CM05836:2A:45381119:45384271:1 gene:Dexi2A01G0035870 transcript:Dexi2A01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEMERKMLAEKEMREWCVALPKVELHAHLNGSVRNSTLLELAKQLADKGHIVFEDVKDVIMKNGRSLPECFKLFDLFHILTTDHDTVTRIAKEVVEDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGIKAVEAVDVVLFDSNSRTNDTLTCTPTIELDGDTKKKRIYIRLLLSIDRRETTSAALDTVNLALEMKDQGVIGIDLSGNPVVGEWETYLPALQHAKELGIPITIHCGEVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVAATFGLSKPQLFRLAQEALQFIFADGNVKKSLKEVFRHAEKRLIMPSAIGAPN >Dexi2A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:2A:8258030:8258386:-1 gene:Dexi2A01G0007990 transcript:Dexi2A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAQTSDIGRLVTGKDSPVRLLSSTSLYPSTTTPSTGTTSPARTATTSPTLTSRAPTTSPARAVSRNLRPDVKRKMRPLFSSLQPSSVAINDVVQCTRWVSLSSPPWPASTVADGVV >Dexi5B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:5B:1673951:1674286:1 gene:Dexi5B01G0002660 transcript:Dexi5B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDVEGQKETGHGTHLEKRRADLTPEQRRYEAAKREFVRAAIADAKAFTDTTVEEIMEEYRRAGKLHRFDPDTEWMKRFARVARKHPPPEGLVPEMADYIKLLEEDEAN >Dexi2A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:2A:2076683:2076927:1 gene:Dexi2A01G0002580 transcript:Dexi2A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSARKSSSAGDDCLAVRCTVTVVEVAAVKDGYGIVKKAKLCDCDDELCKRRHRRRRPEGLTKALLRFCLSADP >Dexi5A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:5A:23969218:23974402:1 gene:Dexi5A01G0020120 transcript:Dexi5A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAVLVGDLQAAKESLLLLLPLLLLLVLLRLMFITGPRRTTRPLPPSPPWLPLIGHVHLVGALPHVSLRRLAERYGSDGGLMTLRLGVVPTLVASSTRAAQAVLRAHDRCFASRPSSVCGDVLSYGRLGIALAPYGEGWRQAKKLAITHLLNASKVQSYCAAREEEVALVITKIRGAAATGTAVDMSELLSKFTSDMVCRAVAGRSFRVEGRDRVFRELIDESNALLAGFNLDNLYPGLATAAGGVLVRSARTKAERVWERWDRMIDKQIDEHATVLHEDQDCDFIHMLLSLQEEYGLTRDAIKAIVNMFAAGTDTAYLVLEFAMAELILHQEAMATLQYEVRSSIPKGQNTIFEDNLVGMTYLKAVVKETLRLHPPSPLLLPRVSLEDCDIKSFHVPAGTSVLVNVWAIGRDPKEWDAAEEFMPERFIQNGEVKGIDFRGKDFQLLPFGSGRRMCPGINFAVASIELMLANLIYHFDWKLPKGVDKIDMTEVFLLTVSRKEKLRLVPM >Dexi9B01G0045230.1:cds pep primary_assembly:Fonio_CM05836:9B:44794119:44795992:1 gene:Dexi9B01G0045230 transcript:Dexi9B01G0045230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAHATPATPFFPLTGLHKYIAIFLVVLSWILVHKWSRLRKQKGPRSWPVVGATMEQLRNYHRMHDWLVEYLSKHRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGDVYRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSAIVFREYSMKLSGILSQASKANKVVDMQELYMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKRFFHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVEARASGKQEKIKHDILSRFIELGEAGEESGGLGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPDVGEKLRRELCAFEAERAREEGVALVPVAGAGDDDDVAFAARVAQFASLLSYDSLGKLVYLHACVTETLRLYPAVPQDPKGILEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWINDDGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYRFQLLEGHPVQYRMMTILSMAHGLKVRVSRAV >Dexi5A01G0034280.1:cds pep primary_assembly:Fonio_CM05836:5A:36394004:36396114:1 gene:Dexi5A01G0034280 transcript:Dexi5A01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNGWIWDKKSSDPRYVSSASIQWEDVYKSIQNLNGGEQKLKVGLLNFNRTEFGAWTNMLPESDFSIIRLEHANESITWQTLYPEWIDEEEETEIPSCPSLPDPSFPRETHFDVIAVKLPCTRVAGWSRDVARLHLQLSAAKLAAATARGNGGGHVLFVTDCFPIPNLFSCKHLVKREGNAWLYKPDVKALKDKLRLPVGSCELAVPLNAKARLYTIDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDTISDHHRKGLESAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFAMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEILREFASDVAHARWWKVHNKMPKKLQGYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKTCFEKFCFWESMLWHWGENKSNSTKNTAVPAPPTARLSSS >Dexi2A01G0023850.1:cds pep primary_assembly:Fonio_CM05836:2A:35552177:35554087:-1 gene:Dexi2A01G0023850 transcript:Dexi2A01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAGTTELRLPVYVLTLAFVPCVLVYAPQVTWVVHAEYDETAVHQLYRQLLRSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRVGGGEGGGGDAGAGGVEGEEKVRMMARQSVGAPGEPPGVVLSATTSVRLPSTPPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNILVNNLPTAKLTVESVETVSNLLSCTIQKIKSALQANIVTP >Dexi1A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:1A:5882566:5883733:-1 gene:Dexi1A01G0007620 transcript:Dexi1A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVMDYLRSCWGPASPAGRSRRGSDAAGRQDGLLWYKDGGQVVDGEFSMAVVQANNLLEDHSQVESGPLSATDPDLQGTFVGVYDGHGGPETARYINDHLFNHLRRFASEHKCISADVIRKAYRATEEGFISVVSDQWSLRPQLAAVGSCCLVGVVCSGTLYVANAGDSRAVLGRLVKGTGEVLAMQLSAEHNASYEEVRRELQASHPDDPHIVVLKHNVWRVKGIIQV >Dexi7A01G0021790.1:cds pep primary_assembly:Fonio_CM05836:7A:30304163:30307218:-1 gene:Dexi7A01G0021790 transcript:Dexi7A01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFRWRWKGFCKLEMPRTGFTKGRALRISSLATLAHRLRCFTSFQLGKVLRVKKILKDKLQPAPSCMVFSSYEQLLWGHIPELVDSVKQDCLAQAYAVHVMSKHLGDSHVDGGSFFFFISGNPRGSNCIAVEIKAKCGFLPLSEYISKENSIKKQVTRYKMHQHLKFHQGEISKTSEYNPLDLFSGSKERICVSIKSFFSTPQNNFRVFVNGSLVFGGMGGGADNVLPAEKDKCLEDLSKVSGLELPDFIELLSEAIFRSGVLGKLLTTQKLDDHDIEGAIHLYYNIISQPCLVCKDITDAELLSKYTLLHSLSLDKSLKIIRDFLVSATAKDCSLMISFRPRESGTTNSEYDSVFLESVKQTYDYKAYFVDLDVKPLEKMEHYFKLDQKIVNFYTRNRETMPSPKGSNTKDTSLIKLQH >Dexi4A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:4A:3324619:3329926:-1 gene:Dexi4A01G0004730 transcript:Dexi4A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADERADAARRAKEAGNDAYRKSFLETAVEHYTRGALLDPADISFLTNRALAYLKLCKYKECVRDCDDAVKRGRECGADNKLIAKALSRKAAALLEVADCAGGYAPAIRALEQSLAEHYCEETLDKLGKAERERKELEEQERLDQEATDHDREKGNELFKQKRYHEAAMHYTRAMKMNPKDPRAFSNRAQCHICLGDFPLGLKDAEKSVELDPTFLKGYMRKAKVQFLMESYENALATYLEGLKCDPNNMEVLDGLRRCAACIKRANGGDVELKDLKDMSGNFQSENDLRKLQNAMEQAAILKKEASDERLMRIESERMARTTEEYLSGVKQELEQLRKQHEEVMEKLQKTNEDLQGQLSESRGQYERLLVEHDHLLHERNLAVREVQELHQKRGQMLSVLTTSMHCEFSSSELVCATENFSSSLKIGEGGFGCVYRGILRNMTVAIKVLKPGSLQGQSQFEQESPSSHLTCIGVLNGLFGITSAGKPPDGIKKIVEDAMEKGNLRSVVDTSAGEWPDVHAQQLAYLAISCMELSRRCRPDLSGEVWGVVEAMRDDAAALSSASSSRSASNEICTPSYFICPISQDVMNDPHIAADGFTYEAEFIRSWLDSGHDTSPMTNLRLEHDELIPNRALRSAIQEWRHQHNIA >Dexi5A01G0032990.1:cds pep primary_assembly:Fonio_CM05836:5A:35411657:35417631:-1 gene:Dexi5A01G0032990 transcript:Dexi5A01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFGFGGSAAAGSTASSPFSFSSTPSAFSFSQPAAASSPAPAFGSSLFSASSAPASSAPTFGSSLFGASSAAAPASTAASSPFSFGSTAFSFGQSTAAASSAAPAPSLFGAAATPGTTTPSIFGASTSAASSPGLFGAASAPASSPSLFGASATGSATTTPGLFGATASAATTPGLFGATSSAATTPGLFGATSAAATTPSPFGAASSAASTPSLFSGAATGFGFGSSASASTTTTAAASAPSFGFSFSSGAAASSTPTAAASSPALGFGAATGSSLFGSTTSAPLFSTTTAASSPAAAATTTPSFGFTPPPANTTTGSTASSLFSSASSAPAFAFTKSTSATPTTPVSSAATGFSLATSQAAPAPSCCRYLLGNYIWLLLWFSGSFYTSFSFCICNWCIHCTISCCSFCNIGIIVPGCCDQWVQFFSSTIIVISSGSYSHYHHCHKCIHICRHDYHVSFDWNNRVLLLQLLAHQPPAPAQLSQLPWLFKLAAQATTTAITPAATQAPKLPSEIVGKSVEQIIRDWNNELQDRTAKFRKHATAIAEWDRRILQNRNVLIKLEVDKALQGMEEEAERIFQDEQMLLREDEAASARDTMYEQAEVVEHELQHMTEQVKSIIQTMNATQNTEAA >Dexi2B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:2B:20823520:20828796:1 gene:Dexi2B01G0013230 transcript:Dexi2B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRPWRVIPRPVLETVLHNHAVRPRVPQPLLLHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLRPTPSSTAAAPWSLLLPADPAPPSLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLNTALSRLAGGPSARSSATSVPARRSSATSVPALWSRAVLAAVRRDDAAFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTGRFSRSLANSATDWPCLLLDVLSGAAEEEFFQPKLVLNNVDVLRKAICHDETMVPAAMYHDSFIWRVIALGANEQSLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKVNSPEVFHDRNIEEIIDTYLAHLQVNYLADDSLEILDDPAAVAMTEVTSEKIFLHHEVIPVDQ >Dexi3B01G0027540.1:cds pep primary_assembly:Fonio_CM05836:3B:23104740:23134361:-1 gene:Dexi3B01G0027540 transcript:Dexi3B01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIGLRRLTVLREFRPHGLVVEEADGEGAARPPQDYDYFLFDPALAASPGDEASASGADGDHELFIRGNQIIWSNGSRVHKRYISPNTVIMACWCRMNAISDALLCVLQVDTLSLYNVTGEVVSVPLPYAVSSIWPLPFGLLLQKSADGGHMVSSSSSLLDARDLNRPNKDYGLTYSVSCQANTMETDSKANGCLISSHLILKHPLEEPQATYFEENDKLTMMKDFDEKIIWTSDAIPLMASYHKGKSQHSVWQIDGASYQEATNDNMMLPVSCDISSHKCAFRKIWQGKFSQSTASKVFLATDIDGFPIICFLLHEQKLLLAVRIQVDDTTEEAFGDIKPHMSWNIPAFAAAPVVVTRPRVRVGGLPFTDILILSSDNDLLLYSGKQCLCRYTLPTELGKAFFSNYDLNPDISDTGSDLKITSIADAVEGRINVTCSNGLDEHSSDVSFYIRFMRETLETLHALYENLKLNILRKEDLGCLASLLCMIASSLGEHTYVDYYCRDFTLNLNELPSVASSTSLRTPPSLFRWFEYCLLHGCDSAKLEDIPTLMRKQKVSAVSWGRKVVAFYSLLLGAEMEGKNLSSGVYCEVASGSARNPEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKGSVRKDNGLWHNDNLTSISVPYMLHLQPVTIPTTASDIPTSKVLNSEDSDSVYKSIEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVLVFPKLVLAGRLPAQQNATVNLDLSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHAHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSGNTGQMMDGAQINVDVTAPGAIIALALIFLKAFPTGPGASLAGAPTGGWPPVGNAWWRLETRSEMQLRAPVGCGGEDVSSTCRKGAAAHGGAPGGASDEAPGRMDCVKAHAESEEIAARLSIPNTYFDLQYVRPDFVMLRIIARNLILWSRIQPTKEWIGSQIPETVRSGVSNMSEGAMDTDEFDAEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYVYTVHFLNEIKHIPVRTASILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQVNYGLQMAAFRHLYVIATEPRWVQTVDVDTELPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKRIRVCGPRYWPQVIKLTPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDAPSASCSNQPNSTNHSSFRVNQLVSTFSANPSLIAFAKLCSESWKNRQVYISFYTIIESMWEHLKIGQFPFYDSLFLPNLKVALAYNEALVDGRITNGGIIQSTFLESLMKRMGDIFAELPKLKGNLQRYLSTGRWPDARNDVVILSWYLHWYNIPPPHVVASAVEKVRPRVPAGLSMLPLLRLLLPTTHLVGLMEIEKLQIAMES >Dexi3B01G0035330.1:cds pep primary_assembly:Fonio_CM05836:3B:38133584:38134090:1 gene:Dexi3B01G0035330 transcript:Dexi3B01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGVNLALTVLLAAAYSLLRRRPGYVEVYAPRRPYAPLEPWLPAAWRRSEEDIHAAAGLDGVVFVRIFVFSIRVFAAAAVLGVGVLLPVNFLGNQLKEIDFTDLPNKSIDLFSVSNVQDGSNK >Dexi2A01G0028870.1:cds pep primary_assembly:Fonio_CM05836:2A:40009382:40015095:1 gene:Dexi2A01G0028870 transcript:Dexi2A01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLLFLLAVASTPAPAASDDGGALPVINPISCLCNSTSARRSYLPNSTFAANLATLSRVLPANASASGFSAGSFGAAPDTAYGLVLCRGDFTGSTCASCLEAGFRYAEQNCFSSSDVAVYYDQCQLRFSDEDFLAGGVVNSPESAATNMNNVSDGNVAAFDDLVTRLMAAVADKASNASRRYATGQAGFPPEKMNIYALAQCTPDLTGGQCTGCLAGLIREMPKWFTGRVGGRILGVRCDIRYEDNVFMAMTGDMVKLTPLVNSSKGNSTTLWVVAIVVPVSLLLACFLACFLWIRKRRRRVVNMSGKVSVPTMSMEMEQVLKLWRIEESDSEFSLYDFDQIADATGNFSDENKLGQGGFGPVYKGELPGGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVEADEKMLIYEYMHNKSLDFFIFDAEKGKILTWQRRFRIIDGVAQGLLYLHRHSRLRVIHRDLKASNILLDRDMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSVKSDVFSFGVLLLEIITGKRTAGFYQYGKFFNLTGYAYQLWQDGKCHELVDPALGGDLPVPEVTKCLQVALLCVQDSADDRPNMSEVVSLLSSEGITMPVPRQPAYYNVRISSLAVSSDSFGETSCRISNITLTDHEEGR >Dexi3B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:3B:1233865:1234392:1 gene:Dexi3B01G0001720 transcript:Dexi3B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGPSPYSFTSPPPWLVHDDNTRPSHDHHQLLICDLAPSSAPSPSPATATAAAPPLNHHHHSLRPAAARRDSNKRRPRPSRKLPTTYIAADPASFRHMVHHVTGAHDDHLLPVPPPPPRPAPSRAAGGLRPGALPTLDTSAFLFPVEAAASSAGFAAAPALDQHAGGVVGQR >Dexi4B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:4B:7492046:7494317:1 gene:Dexi4B01G0010240 transcript:Dexi4B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKAEASQLAAMPASIERDLHMATGDGEHSYTKNSRIQLGNMFSICMKQEKAMFQIKPVLEEATRKVYTSVLPRTMVVADLGCSSGPNTLRFVSEVIGIIAHYSKELGLPHNHRELQFFLNDLPGNDFNNLFELVDQFKKLTTRNLQGEELPPCYISGLPGSFYTRLFPCQSVHLFHSLFCLQWRSQGLLKKEKLDSFYLPIYSPSVGEVVTIVEQSGLFNMNYVRLFETNWDPYDDSESDVVHDSVRSGVNVAKCIRAVMEPLVASHFGETILDRLFKEYAQRVAKHLDEEKTKHAVIVLSMKKVI >DexiUA01G0014670.1:cds pep primary_assembly:Fonio_CM05836:UA:30690965:30691477:-1 gene:DexiUA01G0014670 transcript:DexiUA01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRPGCCIESFCVVATVHRTLGCVAQFLGVPFFGLLPKKLLVGIRVACHQRVLIDAGWKVPGLSL >Dexi7B01G0023690.1:cds pep primary_assembly:Fonio_CM05836:7B:28209523:28213142:1 gene:Dexi7B01G0023690 transcript:Dexi7B01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVARAWRRSLRDVLPRGSAWRGGTSARASSTASASGAAAEAAAAPKKVPPPPRKGRLLTGALIGLAIGGGAYVSTADEASGWLFKSTELVNPLFALLDAEVAHRLAVKAAAHGFVPREKRPDPAVLGLEVWGRKFANPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPNPQEGNPKPRVFRLKEHGAVINRYGFNSEGITVVAKRLGAQHGKRKMEETSSSTSPSTSDIKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLGKIPLIGCGGVSSGEDAYKKIRSGATIVQIYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >DexiUA01G0011410.1:cds pep primary_assembly:Fonio_CM05836:UA:22981917:22982314:-1 gene:DexiUA01G0011410 transcript:DexiUA01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQAEKAAELQDPRVRVELDRRVREEGEAVVKGGGGGTTLDAQERLAEGRKKGGLSRTTEPGNERVEKEGAVRIEPDEEQLQQAKESIGRG >Dexi2A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:2A:31824885:31825598:1 gene:Dexi2A01G0019650 transcript:Dexi2A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPANPAPLLSSRPNPSLLLHRRPRLPHSPAAANTTGAASSPDWFLPRRPPDTDPSTSSGGRAAARDPGVRVKAKEGTEDEKGSKGKRRRWWERWSGNKESYLVDDVDPLPIPMTVPGTEPMSREELDRRLSCDVEIEDCKTISYEWTGKCRSCQGTGLVSYFRKKGKETICKCE >Dexi5B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:5B:8597579:8599303:1 gene:Dexi5B01G0012140 transcript:Dexi5B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAEEQQLCLSVRAPLPDAASGGCSRANQAKNIVSRKKKRSRSCLVNPPRPFVNPARSTSCGPTGGGAKRRRPEEEHKPERRCWAVDSSPSVLSISIGGHNPIRRSSDERCHAPRRCEETQAQELLGRPSREGRRWTASRRRAEKSAGVQRRWEAGGRPVRGDAL >Dexi5A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:5A:8457694:8461633:1 gene:Dexi5A01G0011280 transcript:Dexi5A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLLDPHRRRSRTPRRHGALHPANPSPSSTSRQHRRLAARPCSLSTNKLLRTQSPMPPRHPNPSLLAAALRRVVCSPTASSSPPALASTEPSRRAGSTRGLGPHSPRPATFLTSAIAQPFGFLHLRRRKAQLERRQSDSAMGVVTHAQAKAMKGQQSDSEEPPPRRGREAEGSDQISLLPDEVLGSVISLLPTKEGARTQILSSRWRPLWRSAPLNLDTLHTRGRSIPKAVVSRILLEHWGVACCFNFSRSILGDDSDTLDGWLRSPALDNLQELEFSFDSLDSASPLVPHSILRFSSTLHVAKFNSCQFPEDTAHQLHFPNLEHLELQTVTVGGWHTGYLTLAKWFKRRKTEGLYWFGSDPYVQGKPSSFIAQEEGSFTMSFYLSTLEGRTPPTIACCRPSASWRPLPWLPCVLHLPTGSFAVASFLAASAAARIQLPFPKRRAADQLSQLAGGQVMSGVGREKAFLHKSDLTWGGSLGLPPFVQCVLIDKRHPSRGGHGSGETDVPDPFLQVVRGVGRDGGLYPRALRCRSLPLSGSFSVRALLAGVLTVGPLIHDPTVAPDLAEESRTRFVSPGASVSDPTIPVSSIFRLHGHATRARYGRAHGLRRPVQLLTGTDLPIKCDATRQAVNALIRRLVPRAHVGSVVRPLRPYIKERQRNGACSLFSIALAVVDMATKGSSSSAQLRPWARSTTSAAALESLVSRGLLCRRTADEEWISPHPSHKTPSPPVGYVVSFRAYHVRGFAVPDHRFVREVLHHFGVELHALAPNGVQQMANFVALCESYLGIDPDFNLFLLFFKAVLVHSHGILAPWGYCSLQAKQSRVDKFPCSELRGSNKDWNKGWFYLKNHTGAALPPFDPTSSPPAKEPAHWQYDPEAADRKKLTPHLDCLAKLR >Dexi6B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:6B:11330368:11331139:-1 gene:Dexi6B01G0008940 transcript:Dexi6B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRSAAARLRPGLAAAAAGARGERRPLGTAAAEAVASDAGAVAAGVLARWELMGARDYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVEYSESEILMRYRRAYAQPWGRSRLR >Dexi9B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:9B:7113354:7117009:1 gene:Dexi9B01G0011170 transcript:Dexi9B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDAEEGSAPKRRKRSHHRRVDPGEAAGAEEEEVRVGTAPLASGEPGELTIALGGGAATVDVGRALAPAPEPDNNFDSNLERSPQLQRMKEENHKDAVLKNPKARICPSLSSHIEGHAQDCLSHGECTERHSQSGSTRHKGEEEWKEKSAEKFEQLVAKLGGNDPEKHNAEARKRGDVTLKKYREMPLEKRHQGIVCCRNNEGTSGNQKTMGISGHGEGILMNERSEDIFVNDIFGESPIGAQGLGKKHGFHIKENAHCDNWDDPGGYYTYRCGEVLHGRYEIIAGHGMGVFSNVVRAKDLKARKGDSDEVAIKILRNIPAIYKAGKQEISILEKLGSANPKDRRHCVRFISSFMYRKHLCLIFESLHMNLRELLKKFGSDVGLKLTAVRMYSKQLFIALKHLKSCNILHCDIKLDNVGMDIVEAEQQKQELPNDDDEVPDVEMEIVEPEEVQEDAPAVADDDNKASPPQAKPREVSPLPPLACSNGGLAQAAGARSTTATATPMNADACATVCQRSPPAGTHDAPEHVRVSGGAPKSAAAAAVHAATIEPATRRDVSVTDDHHNVSAATGREATGPSQARAPPKATGKRVLPK >Dexi7B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:7B:25578037:25578252:1 gene:Dexi7B01G0020150 transcript:Dexi7B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAVPAAVLNPRAGAGERIPAYQPADIPAYQPADTHPECHGRAPSSPRPELLPSDLVLRHRSLDLRLRR >Dexi9A01G0035020.1:cds pep primary_assembly:Fonio_CM05836:9A:39686842:39688087:-1 gene:Dexi9A01G0035020 transcript:Dexi9A01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPVAMATAGGCTFEPSVWGDFFVTYTPPASPLGSEERMRERVNQLKGDVRRMFEVSKASAIDAAKLVDTIQHLGIEHYFREDIDAALSRIRDEETVFASSNDLHIVALRFRLLRQHGIWVSADVFDKFRDGTGDFSMSLCSGPRGALSLYNAAHMATPEETALDDAIAFARRHLEAMKSKLMSPLAKQVVRALGIPLPRIGQRLQTLHYIGEYEQEEEHDPTLLELARLDFELVRLLHLKELSELCS >Dexi5B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:5B:8090632:8091162:1 gene:Dexi5B01G0011410 transcript:Dexi5B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRALVLLVAVAAAAASCSLLTPAAANPATVPDICRGTAFPALCTDTAGTQAARYTVVDSLTVLEMQVDAFAKRTEAARAHVAEASRTASPGARKALDLCSSLYLDVLDNLGACRRAIGFKDAVTIRATMGMAAQDMQNCDEQFKQIGEPSPMKLFDDTLVEMSENCRSLSNMI >Dexi3A01G0028840.1:cds pep primary_assembly:Fonio_CM05836:3A:29778718:29780697:-1 gene:Dexi3A01G0028840 transcript:Dexi3A01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNGGHERWSYIEQAIGCDSHSIASSSIGTISTSYASSGSHMSPVPVESAMFEEDVLLEESESKTKRLQLLVQEFSAGAPPSTVDRSVRGGDMSVVERWLTELGVGWVLLHAADAAASSGKPEHTFDARWRSWVRALAEIVESIRSTALLFADPRRGSVTGLAMIREEEEAGHVKDQSEERAAIPPPQFLPRGLTNKLLQSVVNKLLRSNSSESLHLTSSVEEEEESSIVPDQLHFARFFQETMLGMLAFVDVLASEVAVRSGVAMSPYEKLSALLGVRFALSKALPQVRFPSNSPRSAQVDRVQGDMVSLLSTEEGKVGEAAWSTMEEIWTGIQERLEEEDGTRQITQRSSGVHKVTLSVVTYIRFLRANYWTVAPIVSSAACHGKFVPRFRDVPPLTSLIIEMASCIEEKLADSSEWLPDQSLRVEGYMESYLKSMWH >Dexi1B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:1B:21509206:21510163:1 gene:Dexi1B01G0015130 transcript:Dexi1B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMVEAPISVILFDEVEKANSAVFNVFLQLLDDGLLTDGKGRTVDFKNTIVIMTSNLGAEHLAEGMTGERTMEAARDLVAEKVHKYFKPEFLNRLSEIVIFEPLSQDMLKEVVKIQINGIVAGVADKGISLSTSDDALGVILSESYNPMFGARPIRRWLQKNVMTKLSEMLIKGEINAGSTVSIDAMDDKKGLKYEVIEKPEPTPQHQQEELLQGGKRPVFELVSDTEDDDVVEVAPMPKKSKVTESPAASNT >Dexi3A01G0027630.1:cds pep primary_assembly:Fonio_CM05836:3A:26458025:26462162:-1 gene:Dexi3A01G0027630 transcript:Dexi3A01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTTTRPKLRAASTANKLPLTATFLLSLALLLLPLGFVATHRAFSGGVVAVSASVAEERHREERVLLDGDNNKDSNADAAEHAAAVERHCAGTLHRDVCASTLATIPNLAQKPLRDVISDVVARAAAAVRASSSNCSSYLGRPHGHGLRLRDRLALSDCVELFARTLAQLGTAADELSAGNRTAEESIAGVQTVLSAALTNQYTCLDGFVGPSSSEDGRVRPYIQGRIYHVAHLVSNSLAMVRRLPQRRRRRRLLEGYGRVRRDGFPSWVSAGDRRRLQQQVVKADMVVAKDGSGNFTTVGDAVAAAPNNSEARFVIYIKEGGYFENVEVGAEKTNLMFVGDGMWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDVYGTVDFVFGDAAAVLQGCSLYARRPGPGQKNVFTAQGREDPNQNTGIVVQGGKVAAAADLIPVLANFSSYLGRPWKLYSRTVFMQTKMESLIHPRGWLEWNGTFALDTLYYAEYMNRGPGANTSARVAWPGYHVLTNATDVANFTVLNFVQGDIWLNSTSFPYTLGLLS >Dexi9A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:9A:12606909:12615250:1 gene:Dexi9A01G0017550 transcript:Dexi9A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQWAMAPPPPPPQYFQAGHPPPPPPQFYQAGPPPPAMWGQPPPQAAPAPAPSGGGGGDDARTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVSYSSFHSSAATYQNTQGTDSDNDPSNTTVFVGGLDPSVTDELLRQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQNIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPAIKNNDSKGPSFANW >DexiUA01G0023300.1:cds pep primary_assembly:Fonio_CM05836:UA:47660145:47664345:-1 gene:DexiUA01G0023300 transcript:DexiUA01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLAGAPAPPELLLGGCGTGDAADDPEAVSYRLWVNGCLSWGDKIAHGFYNIMGIDPHLWAMCNAAEEGRRLPSLASLRAVDATESSLEVVLVDKGADSVLLDLERRALDLVRALGVTLELVRRLAVLVSDHMGGALRSEDGDLYMRWKAVSKQLRKRQKCIVVPIGGLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDSERRYVREYVVDLVVEPGSISCPDSSINGQLLSTVPSPFKTSCKVGSGNYSTPAAAWTQAIADDRRNTVLSNSQCSVARCGVVEENSVQVASKEGLLPKCGQITQNGNCNGISVLDVSAQLKAIDISVENGSKENLPGTTLPKRLNIEPSFAADWLEISWEELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLITKAANGEMLDLKRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTSPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKALLGGS >Dexi9B01G0028280.1:cds pep primary_assembly:Fonio_CM05836:9B:30913746:30918110:1 gene:Dexi9B01G0028280 transcript:Dexi9B01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAAVARRAGEALRRGTLGGPRPLSSLQPSHAASSDEVLVEGKASARCAVLNRPGYLNALTTTMGARLNKFYESWEDNPDIGFVMMKGSGRAFCAGGDVVRLRELVCEVTCPRLPYVFIFLPGKMEECQDFFKTLYMFIYFLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATERTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYVALTGDKLNGTDMVALGLATHYSMSEHLDLVDDRLANLVTDDPSVIDSSLAQYGDMVYPDKNGIVHRLEVIDKCFSHDTVEEIVDALIREGRYQTLDECLVREYRMSMNGISKQFSHEFCEGVRARLVDKDLVPKWDPPALEYVTNDMVDAYFAPLAIIAGSWHHKQSGDD >Dexi3A01G0028020.1:cds pep primary_assembly:Fonio_CM05836:3A:28201835:28203878:1 gene:Dexi3A01G0028020 transcript:Dexi3A01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding INEVRELLGDLPTEMPGFLSDSTIRRFLHARNWSSVQATKTLKEAVKWRRQYKPENIRWEDISEKENEVKRAYIPDYLDNNGRTVLVGRPSLKSVTSEKEQIKQLVYNLENLTMNSGNAPENVVWIINYFIEPGMKEKVKFVYANNSESLRIMADMFDLDKLESEFGGRNTSGIDIVEYSARMQRRDRIRGGLEDENGNASTSLP >Dexi9A01G0029920.1:cds pep primary_assembly:Fonio_CM05836:9A:34777486:34778546:-1 gene:Dexi9A01G0029920 transcript:Dexi9A01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLIGPQYIPVTPFENPITGHSFHIIEMISWWKKGYVVDILVRDTDGYIVAFRRRRLQGSRWQQGTWYRYKDVEGLPEEIQENSVKLSFDSSHGSSSRTKPGGIRVLQHMFEVLATFQDCNRDETGKLLDETDEERVYEALLKAIIIFSEALRFRSIYLTIRARSRYNAESSELHSSLWDMIHVWGHSSSEILQLCAGSEELPALDTGAPEHLLNTQVRRPDLAEPVTLATLDDAIGSAGELMYLKVRSDLIMSDEKRSLAEEVMRERKVNPVPEPNFRDVDLPE >Dexi9A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:9A:16386341:16391158:-1 gene:Dexi9A01G0021520 transcript:Dexi9A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSAAARQVGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNSKTQKCELEDPLILIHDKKVSNMHTVVKILEMALKKQKPLLIVAEDVESEALGTLILNKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENVEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEDRAEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQGNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEAPAPAMGGMGGMEY >Dexi4B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:4B:3315320:3320919:1 gene:Dexi4B01G0004650 transcript:Dexi4B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSTAAAPEGVLHRKIEFHLARRPHSVVALGGGGFRMETLNPDAAGKAGAAAAAGSNEGDARRPEKGDAGGIDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSFHLKRFSPFNPREKIDKKVEFQPVLDFKPFVSDSRVRQVREADVLKQKAYMLFYVRDSIGNSVARKNNSTANLPTKKTPEKISTLNCVTQSSVKTQHLNGSSPLGDKMHSSSNVYSTIFGKASAEHFSKNEVKSEDAASSQSNGLPSSQALEPRNDGVTLSTKSVQCSANGKESSASASHQPESFTKTCGKQTVVGKSLEEAESKAEVGKNTSVASPVSNAAGTVAKSDKLTSQPQATPFSKPTGHMNDRSAGFAAQTSSKKDTVSNSVEKPRELTGSVEHADNDTAKALPMIQENTAPGLVQVDCGKQISSGGSMQAVVAASCNGTVAKKKRSHASAMPKDDPQSSQNKQKVDGAFVGTDTSAPTANADILKSGPNATGNQAQSGENEDAKLGAPRPVSIRASDLMDATVPRWDDTDMPNTKVAERQHSKRKSIGYVLDEWDEEYDRGKTKKVRNSKQDFGGPNPFQEEADYVSQRRSKQKSYQGKSWNKPSMIEELRI >Dexi9A01G0039320.1:cds pep primary_assembly:Fonio_CM05836:9A:43337921:43342776:1 gene:Dexi9A01G0039320 transcript:Dexi9A01G0039320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEGQRRDGSASSGAEPAAPVFPAWARTPSECLAELGVSADRGLSSEEAAARLQRYGPNELERHAPPSVWKLVLEQFNDTLVRILLLAAVVSFVLALYDGPEGGEVGVTAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELDDTDIQGKECMVFAGTTVVNGSAVCIVTGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPTDGKIHDWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGFTPSLGSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKPDSGKNLLLVKGAVENLLERCGYIQLLDGSVVLLDDGAKALILSTLRDMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNMIFCGFVGLRDPPREEVNKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDISSKSFTGKEFMALNDKKKLLRQQGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGVATVGIFIIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCSTWEGFKVSPFTAGAQTFSFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVVAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKAE >DexiUA01G0026950.1:cds pep primary_assembly:Fonio_CM05836:UA:57518719:57519363:1 gene:DexiUA01G0026950 transcript:DexiUA01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAAPKGIKRVYLTWTYEMDAALLVVLVEHHNNGDHAQNGWKPHVYNAAIRNVREKCGVEITKDNISSRCKTFDKHYEIISKIFSQSGFGWDWENDKLLIDNDDVWNRYVEANKAAACYKTKVVKNWEAISTIYSKDHANGEGARTGAEIAQAAAEQVEEASPDIPQKRQRLVRSYYLSLEI >Dexi9A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:9A:10861529:10866213:-1 gene:Dexi9A01G0015950 transcript:Dexi9A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNPYDGEQPDPYDDSAKQYSEELGNQYDEQPGAQYDDGSWNLYNEEQATLYGEETGNQYNEEPANSYQEELENAYGGDVSQQDNSQVNVEDNRWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMISAKDEPDALVSPAMDGLLRVHKRITDNSDGESGQPQRSAENVPPVALNDDRVVEIQGEPLGVHKAVELIATHLRKFLVDRTVLPLFEAHVSCLYWYLAFLSATSFLYVVCDECKFQSPQTKMHSMQREQPMPPPQHWGPPQPWGPPPNLPPGGPGFGGNPQFMPPRPQDNYYPPPDVPPVPVEKQPHYGISAYGREAPPSGVSVAGNQPPSLGGSQLLIFMYFWKVTHNMHIPLAYADAVIGSAGASISYIRRHSGATVTIQESRAAPGEMTVEIIGTASQVQTAQQLVQNFMAEAAPPGPPPASNPPAPPVDPSYGSYPPPYGAAPSYGSSAAAGPPPQYNGGSYGGPTYPPSYGY >DexiUA01G0017980.1:cds pep primary_assembly:Fonio_CM05836:UA:38232606:38233305:-1 gene:DexiUA01G0017980 transcript:DexiUA01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWELIEIPGSPTPSLQDSTVDVVAAKIEPKLANALIRQLSQICPLENLRHVKRIHRLTEC >Dexi3B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:3B:153633:153863:1 gene:Dexi3B01G0000160 transcript:Dexi3B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSEALTARSGCPWRALHVEQQDEASTERRSATVRTAVPWAVEFGVPGAGSGASEGGSALRTGASAVRWVWRHA >Dexi7A01G0022870.1:cds pep primary_assembly:Fonio_CM05836:7A:31026869:31027443:1 gene:Dexi7A01G0022870 transcript:Dexi7A01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGGGAMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGAARVRLCLDRRSHGAASLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVAQTWKTGRFGAVTIVN >Dexi2B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:2B:26330280:26331399:1 gene:Dexi2B01G0016190 transcript:Dexi2B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGPNSQRLRRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSRVHTRVAGTNGYMAPEYLMHGDLSTKADVFSFGVVVLEIVSGRKNSAFVSPDFETDSLLEYAWRLYKKGQSLELLDPAVKSSAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRPHGLRSSHYSAGSSSGTSSPSTSASASASASNAMTTSSMRSPGGLPSHREEQE >Dexi5B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:5B:5928373:5929210:-1 gene:Dexi5B01G0008740 transcript:Dexi5B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFLEDATHYAMNGYLSTKTDVFSFGILVLEIVSGRKNMVRHVDEEKTDLLNHVNTWKLFEEGRSLEIVDPSLSDADAEQTLLCIQLGLLCCQAVVSDRPDMHSVQLILSSDSFTLPKPGKPAIHGRTGRWMTTTTASGSASTSATTGASNTKTNSTFGMDTNTNTTRGSVLANIDEDESRNSISISFTTEGR >Dexi4A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:4A:21101879:21103138:-1 gene:Dexi4A01G0017400 transcript:Dexi4A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQVVVATAHPGPPRFYLKTDGTRVARLHLLDWVVLVVLVAVDVALNAIEPFHRFVGQDMVPDLRYPLKNNTVPVWAVPVVAVVMPMAIVAGIYVRRRNVYDLHHAILGNCHVPCCGGVGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGMPRYDNVTTEVICHGDPGVIKEGYKSFPRAFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVAMPLLLAAMVAVSRVDDYWHHWQDVFTAGD >Dexi4A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:4A:9484858:9487721:-1 gene:Dexi4A01G0011460 transcript:Dexi4A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEEGSARSTRPPPPPLALAPLGRPAPASLALRSPPPPVSSPQFLWTLVLVWCAVRRRRVTSPAADPEPRDPPERAPAASAPPLAVAGRKETPSSFKSHLRI >Dexi9B01G0038200.1:cds pep primary_assembly:Fonio_CM05836:9B:39328649:39334226:-1 gene:Dexi9B01G0038200 transcript:Dexi9B01G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAAAAMAASPAAGSLVFFLQPLFIHGISAGAHLILALAVAGRFLFRHLTLSKDREAVGGDARGGGVVGGFRCYGAAVCTTWALAASEVLLAAFSWYEPADDAGAPGRWPRDTVAAEQVDAAARAVAWLLLAAYLQFDFGRKRHQERRFPAPLRLWWALFTLLSVVAAGVHAAACLDGLPVPVRSWALDAVSVIAAAVLLSAGFLGRRDGGRGGHASDQEPLLTGAHAAADDSNSSGAAADKPSLFAGAGFLSVLTFSWMAPLLAVGHTKTLDFDDVPGLESDDSVAGVLPQFTANLEALTGDGDSSGQEVVTTFKLTKALLRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYANQGPLLVLAFIVAKVFECLSQRHWFFRLQQTGIRARSALVAVVYQKSLKLSSQSRRSRTNGEMINIVSVDADRVGIFAWFMHDLWLVPLQVGMAMFILYSTLGLASLAALGATVAIMLANVPPGKLQEKFQEKLMDSKDVRMKATSEILQNMRILKLQGWEMKFLSKIIELRKTEANWLKKYLYTSAMVTFVFWGTPTFVAVITFGACMLMGIPLESGKVLSALATFRVLQEPIYVLPDTMAMTIKTKVSLDRIASFLCLEELPNDAVQRLPSGSSDFAININNGCFSWEASPEVPTLKDLNIKVRPGMRVAVCGTVGSGKSSLLSCILGEIPKLSGEVQISGTTAYVTQSAWIQSGKIQENILFGKEMNKEEYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKVMKDGKIAQAGKYDEILGSGEEFMELVGAHKDALTTLDAIDTVNQGNVSSSCSGTASPKLSRSLSSAEKKDKSNEDEGNAPGGQLVQEEEREKGSVGFSASTDQSEVDTNIADQMGSVAFSIIQLVGIIVVMSQVAWQVFVVFIPVFAACVWYQVPFTHSYVHACLIDPGIAGLAITYGLNLNTLLVWTVWSLCNLENKIISVERILQYISIPEEPPLFMSGDKLAHNWPSNGEIHLYNLHVKYAPQLPFVLKDLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPSIGQILIDGINICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDCCQLGDEIRKKALKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASLDTATDNLIQKTLRQQFSETTVITIAHRITSVLDSDMVLLLDNGMAVEHDTPTKLLEDKSSLFSKLVSEYTMRSMHT >Dexi5B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:5B:11450954:11452216:1 gene:Dexi5B01G0014600 transcript:Dexi5B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNMVYKTRSLFGWLRRAPDGQRRLVRSPAIAGDDRDGDAPSQRGLDPAVLAAIPVAIVVDAGAGDCAVCLGELEAGEKARALSRCGHRFHVECIDAWFRRNATCPLCRADVVAPGEDGAQPEVRIDVVAGDAAANASAMARLPSGTDLGKARQVFASTRIAASF >Dexi3A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:3A:2430009:2434726:1 gene:Dexi3A01G0003670 transcript:Dexi3A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVVFALVMCRHLVWEDGFCGHASCSAGSEAPDAGCEPGSSVCTLVRKVMASQIHVVGEGTIGRAAFTGNHLWIIHDPANDHSLRSEVAAEMNHQFGAGIQTIAIIPVLPRGVLQLGSTNVVAEDTNLVLQHKKLCSQLNNRSNMASSSSVKNELNQKVHGVKTTVSQEKQTSSLDHVGPKKANEVQDPADVIVQAVKNMDRRKLPDISNERAPSLLMDPTSESDLFDMFGSEFHHLCRNVDNDLTWKAAKPESSNRNAPQSSVHVDASPACNSVDDEFPYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSVTDIPSSSYCRSKEPKHCESSGAPPLLIKNDLAVSNLAKQPSLQEKSEDGCLSQNNGMQKSQIRLWIESGQNMKCESASASNSKGIDTSSKASRKRSRPGANPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSNDSKILGTENGPLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGAGENPNNLKMPLGVVQYPVIPATGHLR >Dexi1A01G0028840.1:cds pep primary_assembly:Fonio_CM05836:1A:34405572:34408062:1 gene:Dexi1A01G0028840 transcript:Dexi1A01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVGEDCEQLHKAFEGWGTNEKLIISVLAHRDAAHRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLEPAERDAVLANEEAKKWHPGGRALVEIACTRTPAQLFAARQAYHDRFKRSLEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKIHKKAYSDEEIIRILTTRSKAQLLATFNSYKDQFDHPINKDLKADPKDEFLATLRAIIRCFICPDRYFEKILRLALGGMGTDEDDLTRVITTRAEFDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Dexi5A01G0024700.1:cds pep primary_assembly:Fonio_CM05836:5A:28553184:28554655:1 gene:Dexi5A01G0024700 transcript:Dexi5A01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPDSLIWDIVRKNNSFLIKQFGNDSAKVQFSKEPNNLYNVHSYKYSGLANKKTVIVQPASGKEMAVALSTTKTKKQNKPASLYHTSVMRKEFRRMAKAVKNQVSDNHYRPDLTKPALARLSAVYRSLQVAKSGVKKNRQAN >Dexi1A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:1A:22978527:22979127:-1 gene:Dexi1A01G0015980 transcript:Dexi1A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRAVGVGRGLFVPQPGQPAWAAVAGAVARRMEGVGVPRYFGDKASGRVLSEEERAAENVYIQKMEREKLEKLRRKADKDKAEAAKRAAAAAKGEKKEKGEEAARPS >Dexi6B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:6B:9972585:9974437:-1 gene:Dexi6B01G0008070 transcript:Dexi6B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVKVKAGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPALKSVLGYDQRQLAMLGVANDIGENFGVVAGVLCNSLPPWLVLLFGAAFCFLGFGTLWLAVSRTVLGMPYWLLWVALAIGTNSNAWFVTAVLVTNMRNFPLRRGVVAGLLKGYVGLSAALFTQIFSGVLHRSPTALLLLLAIGIPVVCLSTMYYVRPCTPALGAGGDEEDAMQDGHFAFAQVASVLLGAYLVGTTVLGSVVKLSDATSYALFGVTVLLLLAPLAIPVKMTLFRKKKLLPPMETSASPEVEEPLLISSSDASPAPADDESEKVDELLAEGEGAVVVKRRRRPRRGEDFEFTEVLVKADFWLLWFGYFIGVGTGVTVLNNLAQIGTAAGIADTTILLSLFGLGNFLGRLGGGAVSEKFVRSMLLVPRPIWMSLTQTVLAVAYLSLAYALTGGVVYASAAVIGVCYGVQFAVMIPTTSELFGLKNFGLFYNLMAVANPLGAVIFSEELAGRLYDGEAARQGQHGGGGARPHTCIGPECFRVAFVVLAGCCAVGTAVSLVLAARIRPVYRGLYAGGSFRLPNSAQQHR >Dexi3B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:3B:10890670:10892476:1 gene:Dexi3B01G0015040 transcript:Dexi3B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGATATSCAARDDLGLLPLRAAPDGSITIYLTAPGLAVMPMRVMATDSIASVKLRVQTSQGVVVRKQKLVFDGRELARNDCRVRDYGVADGNVLHLVIRVSDLRLITVETVHGGKFRLRVEPGRTVGYFKQQIAKDGRLLDPAAHPDEQTTLVLEGEELDDRHLIHDVCRVDGAVIHLLVQRSSKNFSRDDFEVSIVARDAGQQPTREDVVGIEPVLGNPKALLPPVLRDLVDAVREGMEKGNAPAMSSEGTGGAYFMQDASGHRHIAVFKPVDEEPMAANNPRGLPVSSTGEGLKKGTRVGEGALREVAAYILDHPLGGRRSFAGHGVEGFAGVPPTALVRCMHEGFRQAEGSAMPAFKLGSMQAFVKNCGSCEDMGPRAFPVQEVHKICVLDIRLANADRHAGNILFCRDDEGRGVSLVPIDHGYCLPESFEDCTFEWLYWPQSREPFSSEAVEYMRRLDAEEDIAILRFHGWEVSRGCARTLRVATMLLKKGVERGLTAFHIGSIMCRETLTKESMIEEMIREAQALNEDDDLQRAGGNETAFLQAVSEIMDRRLHELSLERE >Dexi3B01G0036820.1:cds pep primary_assembly:Fonio_CM05836:3B:39663777:39665906:1 gene:Dexi3B01G0036820 transcript:Dexi3B01G0036820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYVPDWSSSMGDAFAAFNGGGGGSGEDDGLIELLWCNGHVVMQSQAPRKPTARPEKAPAAAVAMPVQDDEAAPPWFQYPPAEDTLERDLFFELFGDAQAASGACKEEDDECAGDAIAATPQRRSSQIMPPPQEKAAACHGDLGDVSDGGAARHAGGELTAAAAVTEAAAESSMLTIGSSFCGSNHVQTPPPRVAAEAAGDAGGGGGAKARDAATVTSSSMWPRSCTTIKAGNNPGAAAHRSGKRKQQSDTMEAEDAEFESADATCEPAAQKLTTAKRRRAAEVHNLSERTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAAAAAPVMFPAGVHQYMQRMVAPPHHVASMPRMPFVAPPAVQSSPVADPYARYLAVDQLQPPPMFPERFQQHYLQGVGFYQQQSSAPPPSLPAATARTSASDGILHKKYESCGKPEIQGMTS >Dexi1B01G0031060.1:cds pep primary_assembly:Fonio_CM05836:1B:34805310:34809860:-1 gene:Dexi1B01G0031060 transcript:Dexi1B01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGMDEDVAPKKQPEVFEGPLLSSRDKAKIERKKRKDERQREMQMSSFLTITPCLLFCLHQAQYQMHVAEMEALRAGMPPVFVNHNNDGGPAVRDIHMENFSVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLLQEEAHLVQQQKDLEIEAEFGQGSGKSKGDLDKDYISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQQKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRISMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVSGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVTPFSGTFKDYKKLLKS >Dexi2A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:2A:314716:315384:1 gene:Dexi2A01G0000570 transcript:Dexi2A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRVALALLLVAAAASLLPSTADAANYTVGDEKGWNPDVDYTAWVKKHKPFYKGDWLIFEYQNGRSDVVQVDEVGYDKCDKANALTSYSKGHTYAFQLKEAKDYYFICSYGYCYSGMKVHVTAKSFSSSSGGSSSDSSSNDSSSDTPSPSSKKSKAKSSAAPPSLLGLAATPYAAIAAAGAALLLNRIML >Dexi3A01G0028070.1:cds pep primary_assembly:Fonio_CM05836:3A:28376316:28377815:1 gene:Dexi3A01G0028070 transcript:Dexi3A01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIGESAPSVERAFEGQPYPGYWGQVTLRSMLVAVVLGAMFSLMTIRIYMQVGIVGAFNMPMNILSFVTIKSLVSLMRRCGINASPFTRQENIFLQTSAITCVNVALSSGLATYTIAMTSAVAKALSPNPDERDILYDLTMGKYGVFLLITGLVGITSMLPLVKIMIVDYRLLFPTGSVVAHLINSFHTPQGAYVAK >Dexi6A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:6A:28246664:28247627:1 gene:Dexi6A01G0020860 transcript:Dexi6A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASHARRRDMTAHATGVRDTRHGLEASMSMVYMRSHGQSGEGLSSSSTSVRTANRSRTEVIMDMEYCTAAAEQQLCKLRQHLLVGSCSSPAAMAGALEGLARVYEHGEMLASSAARDVEQVEGELEASVSLLDACAAARDALAAMRSCALDVEAAVRRRDGPAADRAATECARLAKRASADARRQQRRSSGERGRGNALQEARRLTVVVLERVLTAVSRRVAAAGGGTPRPANSWSTCVARAFRKSARVACEDADKSLPSLSSKDSHDGEAAVRMQREMRALGDTIQQLEDGLELLFRRLVQCRVFLLNMSSC >Dexi9B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:9B:7663575:7666781:1 gene:Dexi9B01G0011740 transcript:Dexi9B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRRRRAYAGLPYRCDRPKRVARNKSAVLEAINGFYAAALDRLPVDEMPALVPRLLKAGLCVGFSDPVSNIITNTVSSSCSRRCRKPSISDDGKSARRRRRRRRRKALSQAVSDTTSKVMCWLPRRSLLRSMPIAERSLVALVAFLTYYFRHLPAREALEYLRLANADLLSAVRLVEEDHNSIGSFSFASRTTKTALKCAALAACHPKPNALVNRSYSVASRMDQLSQLLAAERGCLSCTTIESINQLLIKPRRKLRCLAGVTPPQFHLELIRPPPFVPTKSLKSTLIHKIYESYLKALALLPTDGLRKSYHRGLLKAGHCYGPLTDPVSNIVLNTLWYNVMFPPEGGISTATMICSRSLVQVAWRSLRGLVAYIRACFRMMSKHQAMHYLLLTDVNLWGAIEMARQQGHPEMLTQDNAYMAAATAARHPHPDTVVEFFVSTFPMMPLHMQREPSMLDVELIMQLLFECPTPNGSIQTVPVLSVGGSKFLSCILKDFREEESFVCGKVNAMLKKYTQQTRGPEYELHVICGLNSNVGDAFVFGHRRCFYCENEGAEIIHPDLEKYIGRDIDFEDMACDDNSGPTTARFLNM >Dexi6A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:6A:10781279:10787830:-1 gene:Dexi6A01G0009370 transcript:Dexi6A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVSQILEKQVLSAAKAVEDKLDEKIAALDRLDPDDIEALRERRIQQMRRAAERRAKWRALGHGEYTEVPEKEFFAAAKASERLVCHFYRDNWPCKVVDKHLSILAKQHVETRFIKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVISLDGEGSAYASKQAAATKRSVRQSGTGDSSDSE >Dexi3A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:3A:3057365:3059656:1 gene:Dexi3A01G0004720 transcript:Dexi3A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQSDYTEGDALDALELVRYCCRRMLMTHVDLIEKLLNYNTLEKTDTS >Dexi3B01G0037400.1:cds pep primary_assembly:Fonio_CM05836:3B:40134540:40137126:1 gene:Dexi3B01G0037400 transcript:Dexi3B01G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEELLFLVLIIFPAISSGLAISLPGCLDKCGNVSIPYPFGIGDACSFSPNFTVTCNNSFQPPRPTIGDPSTAVAEIIDFSLEHGEMRVYSDVSYYCFTSNTTISDSNIAGFDLEGTPFIVSASRNSFTVIGCNTLGVIGGYTHNNPDLSVAGCYSYCEDINSTSDGVPCAGKGCCETTISPNLTDFEAALVNNQSSVWNFNPCFYAMLAEEGWYSFRRQDLVEHLGFINERANRGVPVVGDWAIRNGSCPKEGATAPKDYACVSTNSYCVSASNGPGYLCNCSKGYEGNPYLSKGCQELMTRKKAIYIDPSNEKKALSHTFILVFHQNKLRDMLDSEIVDDEVMIVLEKLAELVMQCLSPKGDERPTMKEVAERLQMLRRLQMQLTTKAHPIQAHYSYGGTSDIVPSDGTGYQSTETAKLVLEVDLAR >Dexi9B01G0036500.1:cds pep primary_assembly:Fonio_CM05836:9B:37996446:37996878:1 gene:Dexi9B01G0036500 transcript:Dexi9B01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSGGSTTHCSTQIDREDGGDHDRETEPPLALRAGTTSSSSWLSATRERVIGSDDQRV >Dexi3A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:3A:8530446:8535407:1 gene:Dexi3A01G0011840 transcript:Dexi3A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDEAFLGVGDKPGLDVWCIVGSSLVPVAKSQHGKFYSRNCYIILDTAELKTGVRRHDVHYWVGEEAKEEDCLMASDKAVELDAALGSNTVQYRETQGEESDKFLSYFKPCLIPVHCNPPSHVEGYGHKSSRTMFRCQGEHVARVTEVPFSRSSLDHKGVFIVDTTSKIFIFSGCNSSVQTRAKALDVVKHLKESRHSGRCEIAAIEDGKLVGDSDAGEFWNLFGGYAPIPRDLPEAVSEESMSSKKLFWINKKILVHMEAHLLDREILNSDRSYILDCGTEIFLWLGMTTLVSERKTSATVLEDYVHSQGRSLSVRTVIVSEGHETVDFKLHFQHWPKIVEIKLYDAGREKVAAIFKHQGYGVTETPEDKPQQLISCNGYLKVWLVDRGCTTLLSTEEQEQLYTGDCYIIRYSYVEDGKDYHLFFAWYGKNSIKEDSVATASLMSSLADSVKGHPVVAQVFEGREPDLFFSIFKSLIIFKGGRSAAYKNHVLQKSDRNGYHQKDGVALFRVQGLRHDCVQAIQVDLVASSLNSSHCYILQDGGFLFTWLGSLSSPSDHNILDMMMNKLCPLNQSLLVREGSEPDDFWKVLGGRSEYSKEKHVRGWPADPHLYACRFEQGLLKVKEVFSFCQEDLTTEQTLLLDCCDEIYIWVGLHSDVTSKEQALNVGKMFLQDRRSIETTVYVVTEGDEPPFFTKFFKWDSSKQSSMIGNSFERKLALLKGLSPKLETPDRSMRRRSRRPGISSEPTTTEHHHQQPTAARRAFGSASTARLARERSPATGLAPSPTVTQFPRGRSSSSTPTAVARRLFPTSLHSQEAVHALTNGTARRR >Dexi5B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:5B:13182243:13182451:1 gene:Dexi5B01G0015760 transcript:Dexi5B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAIRTAASGPSTTTATASCCDGQVIFSKHGHHAVDPDVNHVQAVSLDNRSHLLLVGVDRPKT >Dexi1A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:1A:5296124:5296791:-1 gene:Dexi1A01G0006900 transcript:Dexi1A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEELDDADLAELAPQGAVAREDETHGAGGHDAPHAWDPWPRRECGVVRLHDLHGGVGGRGDDDADLAELQVHERRVVPARELGHGAVRERAAEEQVVEVADER >Dexi1A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:1A:9234521:9237725:-1 gene:Dexi1A01G0010700 transcript:Dexi1A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIDSKTHLLVDDRKRIAVRYLSTWFIFDVCSTAPFQPISLLFRHKGNALAFKILNMLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISRDSIQAASEFAARNQLPEKIKQQMLSHFCLQFKTEGLNQQAMLNGLPKGIRSSIAYNLFFPIIRRAYLFHGVSNNFIAQLVMEVQAEYFPPKEDIMLQNEGAADIYVIVSGEVTLITTVNGNEKVYGKIEEGEVFGEVGALCGIPQPFTCRTATLSQLLRISKIRLIEIIQEHREDSNILMNNLFQKLKLQENLPGFMHKYETFHVPREAWLLPQPYLQYKEHRCEDTGTKVPTFGADDGSTKLVAESNQLRKPQQENSHDQSNFNCGATDGMAGKEEDHDEVHINCEARKGSEELCIQIKSETVKLASYHNTSEGITRRRNQDSNYIKASNKRVTVHAYAYNATVSLVQNGKLISLPGSLEELFEIGSQKFPGFHPTKVFSRDYAEIDDISVIRDGDHLFLLQM >Dexi6A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:6A:28606118:28606711:1 gene:Dexi6A01G0021330 transcript:Dexi6A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRD >Dexi3B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:3B:14425668:14427543:1 gene:Dexi3B01G0019420 transcript:Dexi3B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEFQHGTDNQPLLDAQLELWHHTFGYVKSMALKAALDLRIADAIHHHGGTATLSQIATRVTLQPSKLPCLRRVMRVLTVTGVFRSVVRQQQPAPDDDGQEAYGLTPASRLLVGSPSVSPFLALMLDATFVSPFLCLGSWLQRDDLLPEESSLFEMAHGKKPWDLASRDPSFGSLFNEGMVADSGFIMDIVVKECGDVFRGVSSMVDVAGGLGGAAQAISKAFPSVKCSVLDLPHIVANAPSGTDVKYIAGDMFESIPPGNAIFLKNSRVT >Dexi5A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:5A:16057999:16059245:-1 gene:Dexi5A01G0016310 transcript:Dexi5A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAAPLLTSRGGQVETLLDPHDGEVNVPTVLGSGLTLAPYRRREPQTEQARETLETGKRHPMCRGGEDFPKETARVG >Dexi9A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:9A:4415049:4415390:1 gene:Dexi9A01G0007580 transcript:Dexi9A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWICRDAFATGRRAHLTSTATTQPPRSSSFSSLSSVRSASCAPRLPLLFSSSPSPCLPLHVDHDFFVAACLPPLPAPASSLLSTPLHPPE >Dexi8B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:8B:27710595:27711140:-1 gene:Dexi8B01G0016520 transcript:Dexi8B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGGARNDDEDVQVLVERPYSHETGSTPHRPPLAPGHRHHQRQQQQLIRARPYYRRWTPWIVSAATVACVAIFVVTMAVNDCPKHNTNCFAGFLGRFAFQPLKENPLLGPSSATLTL >Dexi2B01G0021400.1:cds pep primary_assembly:Fonio_CM05836:2B:31194500:31198621:1 gene:Dexi2B01G0021400 transcript:Dexi2B01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPVSAEPIRPPSTYRRGFLVASRGATAAPPXXXXRGYSAAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGVGSEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVSSFSSILNFLLALAHTV >Dexi3B01G0021810.1:cds pep primary_assembly:Fonio_CM05836:3B:16699515:16699926:-1 gene:Dexi3B01G0021810 transcript:Dexi3B01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTKTAMACTLGVWLLLAHSRLEMPPSALGADDCWVLDRHRYYYCFRTARCRRACAEDGFVDGRCKHGFPYLMPLCECLRPQCAAAGATSHAELGSTAGTGRVS >Dexi9B01G0026120.1:cds pep primary_assembly:Fonio_CM05836:9B:27944116:27945194:-1 gene:Dexi9B01G0026120 transcript:Dexi9B01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLILAALLAAVALLAPGAAGQDYPWLACDYAARNFTPTNSRYLANINTIGATLPKNASSSPELFATAVVGAAPHKVWALALCRGDVNASYCLSCLDQASSTLPNSCPYNEDAAIYYDKCMLHYSPTGFPAVVDGSGTTYESFDYGDVSLEESARFNQFGASLMKATADYAAHNSTRRYAAGEADMDLPDFPKLYSWAQCTPDLTPARCRRCLAAVIAQLPQLYPNSSVGMVLGVRCSVRYQTDSFMDGPVMVRLGEGRAMAQLSAAPAPAPAPAAILPSATPKPGEVKKRRAAGIIAGVLCSVVIILILSVFAFVYRRGRAKPEEVDHRE >Dexi9A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:9A:241049:248674:1 gene:Dexi9A01G0000370 transcript:Dexi9A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSASIVTKFEAGATFVFGSWLCIANQDGTLLRELRDEAITPRGSRKTPNSDTILGSYPTRRSTWRPKQIQAQANHVDPAPVKRPDRSTCPRLPGGLQITSDFHQGSTIRTFPWDEDFIAFPSKQLATKFPQPRQANNTDLVMTTTPSGVIVHWPDMDPDVALHEANVPSMVRDILPLLPFQEGRKLSITTSNRRTGPNNPSRQSCVILNDNSDDEVVSDDAPTVDGETDGDRELRIERNRNRALRRRHLPRKNLNAEFDKEGIFNSPAANIMFAVSVFEGFQATPDIDLAKARLEAAAVMVDRLDGGRSASKSKSSSHHQTPSAKHQSSHYGSSNARTKDTASPREEPRRPREEPPRPRREPPRRREEPRPARSHIAQDDARNEIIRIREGRTTSHVADSAGGYDIPNPDALPCYTRAIRVSTFPRKFKPPGVTNFDGKQDPNIWLRRYSSAIEASGGDDISKMLYFPVAMEQGSLTWLESLRPDSIDSWHALKKAFVSNYQGSFERPGSKYEFRACKQKPEESLRDYNRRFFAIKASCVPIPDSEVIDYFQEGMTDRSLFRDFGHKRPRDLEEFRALVSNWMDTDDQEQERYGKRSANPGRRHQEDNTDQPRDSFQRNDNNPRKRPNNTVATVQTVRAANTPAKKQKTDGDGDDKHDKGDGGFPDVTNTVNVIFGGMAVSNTSRNHKNARREAYAVEPAVVTPLRWSDTPITWSREDQWAEITCPGRYPLVLETVVANSRLTKVLIDGGSGLNLIFAKTLKHMGLDTSTLQPADTPFYGIVPGKAVIPLGQITLPVTYGTASNYRTEFIKFEVADFETSYHAILGRPALAKFMAIPHYTYLVLKMSGPHGVLSLRGDIKRSYFCDKEAVECAVRAASTIDRQELHPLAATVIEEDGYTPMHKKTRAIKPVDKVATKTVDLQSGDPTKTAADIFATKPSDMPGVPKELIEHKLDLNESAKPKKQRLRRFATERREAIKKELAKLLAAGFIKEVFYPDWLANPVLVRKKNSNEWRMCVDYTDLNRHCKKDPFGLPRIDQVIDSTAGCTLLCFLDCYSGYHQISLKEEDQIKTSFITPYGAYCYTTMPFGLKNAGATYQRAIQGCLKDQLHRNVEAYVNDVVIKTRNPEDLIADLTETFDNLRKWRWKLTCQANPEKIATIMDMEPPRTVKDVMKLTGCMAALNRFISKLGERGIEFFKLLKKQDRFQWTQEAQDAFDKLKLFLTTPPVLTVPLPGEDLLFYISATTNVVSAAIVVERDDEGHLQKIQRPVYFVSEVLSDSKSRKLQHYFDSYKIIVVLTDFISEGTEHNLPVVTTKPEHWIMYFDGSLKLEGGGAGVLLISPRGDQLKYVLQIRFAISNNAAEYEALLHGLKMAITLSIKRLVVYGDSMLVIKQVNKDWNWNHENMDAYCEEVKTFQEVRKLEKHFLGIEFHHVERDYNVAADVLSKLGSSRAEVPSGVFVNELSKLSIPAVAIADVTTSTPEVMLIDAAWSAPIIDYILHDRLPTEKAEAQQIARRSKSYIIIGDILYRRGARSGALMKCVSQQGVNILEEIHAGECGNHAASRTIVGKAFRAGFYWPTALHDAEEIVRHCKGCQYFAHHSHQPAHKIKLIPPSWPFACWGLDMIWKQPRAPGGFEYCFVAIDKFSKWIEVFPVVKPTSEKAVQFLQELIFRFGIPHQIITDLGSTFTSSTFWDYCEDRSIEVCYASVAHPRANGQVERANGMLLDGLKARMERTLKKAEGRWMKELYPVVWGLRSQPSKAAGQSPFFLVYGSEAVLPIDVMHGAPRVEEFQEAMADEQRTIEVDTAEEARLAALLHNAAYLQGIRRFHDKNVKTRSFQIGNLVLRRIQNTDGHSKLTSPWDGPFIVSKVLKPGTYRLQTEDDVDLPNLWNIEHLRKFYA >Dexi6B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:6B:26801364:26801957:1 gene:Dexi6B01G0020300 transcript:Dexi6B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRD >Dexi1A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:1A:24615592:24616629:-1 gene:Dexi1A01G0017270 transcript:Dexi1A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASEISSDWEVLSAASGCGAGAAADDDSEVVVVSGVGGNVLHDHFALASAGYDAGPPGEEPSSEPGDDWQGLELLDGFDPIPTASFDLAAGVWSEQLLPTGGVGEVLEGSILEATVAPGATWSADGSQPKALGGEIDQEGNAVINHGELGSALADALGETLYSDEPTGASLQSGASENSPVQLDDGETDAVVESSCLEDAVTNDGIHGDQEEQEQGGNINVASACEEPNTEAKDSALPLAHTQGTEGGDKQVVVWWRLPFRLLHYCAWKVKPVWSFSVAAALLGLVVLGRRMYRMKRKAKGLPQIKIAFDDKSRTMV >DexiUA01G0005260.1:cds pep primary_assembly:Fonio_CM05836:UA:9435944:9439443:1 gene:DexiUA01G0005260 transcript:DexiUA01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDYRALNAVTIKNKYPLPRIDDLLDQLRKAKFFSKIDLRSGYYQMKIRPEDIPKTAFTTRYGLFEFTVVSFGLTNAPAYFMNMMNKVFMDELDKFVVVFIDDILIFSETEEEHEEHLRIVLEKLRQNQLYAKFNKCEFWMKRVAFLGHVLTAEGVAVDPEKIQAVSGWQQPKNVSEVRSFLGLAGYYRRFIENFSKIAKPMTELLRKDTLFEWTEKCEASFQELKSRLTTTPVLTLPDIRKDFVIYCDASRQGLGFVLMQGGKVVAYASRQLRKHEQNYPTHDLELAAVVHALKIWRHYLIGNKCDIYTDHKSLKYVFTQSELNMRQRRWLELIKDYDVNLQYHPGKANVVADALSRKVYCNNLMVKESQPELYEELSKMKLEIVEQGQLHELRVRYDLEDRIKLAQQRCPEIRKILRLQSEGKMTDYRVDEEGTVWLGDRICVPRDKEIREAILREAHHSRYSIHPGSTKMYQDLKDRFWWKNMRGDVATYVARCDTCKRIKAEHQRPAGLLQPLEIPMWKWDEIGLDFVVGLPRSQQGHNAIWVIVDRLTKVAHFIPIKENHRTEQLAELYVDRILKLHGAPKSIVSDRGSEFTSRFWQSLNRALGTELKYSSAYHPQTDGQTERVNQILEDLLRACVLTYGSDWEKSLPYAEFSYNNSYQESLQMSPFEALYGRKCRTPLMWSETGEQIIFGPDTIKQAEESVAKIRENLKIAQTRQKSYADRRRRELTFEVGDYVYLKVSPLRGTKRFHVKGKLAPRFVGPYQIEKRIGSLAYQLKLPQELAGVHPVFHVSQLRKCLRVPEDQVPADVLDLQETLEYLEHPVKILDRATKGTRRTSIPMCKVLWSNHTEREATWEKEAEMKELYPYLFESEAGGTWWPAVHRDGSRCCNALLLGGATRIGGCLGRGPWAGNGPTPNAHRVLLSVTTGRQGRREDDDVSGRKAEPSMSALPLFHLLHPTRRPPLIRLFLADDVSPAGWCEAPGSDRFSLPGNPAPAEFLQPGSTHSDVLLVPERSRNEKLDRIACGEL >Dexi9B01G0034170.1:cds pep primary_assembly:Fonio_CM05836:9B:36208905:36210202:1 gene:Dexi9B01G0034170 transcript:Dexi9B01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDESDITVRGLEAVRSCSKIYMEAYTSLLSLGLDPAALANLEKLYGKEITVADREMVEERVDQVLLEAADADVAFLVVGDPFGATTHTDLVVRAKKTGVQVKVIHNASVMNAIGVCGLQLYRYGETISIPFFTEVWRPDSFYEKIQNNCRLGLHTLCLLGL >Dexi2A01G0031100.1:cds pep primary_assembly:Fonio_CM05836:2A:41897699:41898126:1 gene:Dexi2A01G0031100 transcript:Dexi2A01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMVVVDTHAIVVLLMNSPLHIRSTTVKPKYSGDKSGRTISPSRYCMTSRMPKFQYQRYLVRVKPVSDHRVRGVDDRSSTPTLSYPIHEHAVIPVLVLLDWPSSTGDLQKECPKRKDICRRSCLAGVA >Dexi1A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:1A:21850052:21851243:1 gene:Dexi1A01G0014970 transcript:Dexi1A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNTTVLSLCVVLAFLTGQSAAGRYYADKVQDKVRKEVEKAIAYNPSVGPALVRLVFHDCWVNGCDGSVLLDKTPTDGTNTEKKAVNNIGLAGFEVIDTIKQKVGGDASCADILVFAGRDAADILSGGKIFYTLTGGRKDGVSSSAAAADATLPSSTFDFNQLQNNFGAANHGFTVEELVVLSGAHSIGVAHLSSYQDRLAGADVTPIDSDYQAALVKVTPPGLLTQGQDPTVPNNARDASSAFQKAAAYDPVKLGVNPTRGVLDNSYYHNTLENKVLFKSDWVLRTDSFAAGKLEEYKNNATEWNSDFADAMVKLSNLPALQGKEIRKSCRFTNQQYY >Dexi9A01G0044680.1:cds pep primary_assembly:Fonio_CM05836:9A:48278962:48281265:1 gene:Dexi9A01G0044680 transcript:Dexi9A01G0044680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGVALAPLLVSPLAPYSPRRSGVATTAAARRPSDLRRARCSATAASGEAGELSRATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFVKRYFILLAAAILVITWLNLSNDVFDSDTGADKNKKESVVNIIGSRAVTQNAAIASLLLGFAGLFWAFAEAGDARFIFLVMCAIFSGYVYQCPPFRLSYQGLGEPLCFAAFGPLATTAFYFSNSSVNMPRTALLPLTKTVVASSVLVGLTTTLILFCSHFHQIDGDLAVGKMSPLVRVGTEVGSRIVVVAIAMLYILLAGFGICKALPSACTVLCALTLPVGKLVVDYVLKNHKDNAKIFMAKYYCVRLHALFGIALASGLVLARNGILA >Dexi2B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:2B:3123830:3127739:-1 gene:Dexi2B01G0003550 transcript:Dexi2B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTVSAPVSLLPIPSAPGRLSSRFLSLSPGSGGRARDLGAMTALHVWHIMNFPIAQDSFELPRRDSSRDADIEMGMHQVDASDNLKGFLKKVDAIEGLIAKLTNLLNKLQTANEESKAVTKASSMKAIKQRMEKDIDEVGKIARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQTLREAIRQEYREVVERRVYTVTGNRPDEETIDDLIETGKSEQIFKDAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQRNSRKWMCYAIIILLVIVVIIVVAVIQPWKKGA >Dexi4B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:4B:21493492:21500274:1 gene:Dexi4B01G0019200 transcript:Dexi4B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDFAVLKYKNQKLAEQLEVHRFEFRALESRFNDLKEKQRTHNETLVMVRNYWDHLIRDLESVSVCKSESSHSSCGAGLNNVRKEELTSAVSKLEETKHKLAALKAQGDNKQGTPILVPTLGNKNAAAEKVRDKQRELQDLEATHKELMELISKRLEEIRRLHKDRIDILNKLATFQNILTDFKSIRSSKAFQLVHDQLQKSQAELDDHQTLLEKLQVDMDSFVWQEREFNQKVDLAEIPQKVSAYCVSRIADLESDVQKLCNEKNMLVLKLEEASREPGRNQVISKFRALVSSLPTEMGAVQRELSKHKDASLKLHSLRAEVHSLSSILTRKEQEIEEISSRSDHAGSDITQLQYLVRDLRENTQELKLFVELYKHESTDSKQLMESRDRELSEWARVHVLKYSLNESKLEQRVIAANEAEAMSQQRLATAEAEIAELRQKLETSRRDLVRLSDMLKSKHEECEAYVVEIESIGHAYEDIMTQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHTEVCSLQRNLQHSNTLMELYKQKIFRLEDQLRVWSERARRLSEDGMQQSISLVNSQKKLASMRVEAPKLRQSMDELQAKVGSNRLEVAKLLIELEKERFIKKRIEDDLDIMSSKANSLREKADNSAILQKLRHEVKEYRGILKCGICHDRQKEVVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDVKPIYI >Dexi8B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:8B:1153787:1158598:-1 gene:Dexi8B01G0001730 transcript:Dexi8B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRAASPSDAPYAGAPPLVYRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKAFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGNYDHFMQKEIHEQPHSLTTTMRGRLKDGEVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTHARRNAIISGLTSLPSFVSEVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFRFIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >Dexi9A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:9A:8219345:8226124:-1 gene:Dexi9A01G0012890 transcript:Dexi9A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGNLDAVLKEVVDLENIPLEEVFENLRCSRGGLTSEQAQQRLEIFGPNKLEEKEAKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQILNLAYNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLAFAFLVAQLIATLIAVYANWGFTSIKGIGWGWAGVVWLYNLVFYFPLDILKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKLFPDRVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Dexi1B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:1B:23019393:23021125:-1 gene:Dexi1B01G0016510 transcript:Dexi1B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGAEEDSYGPPANQAAPPPNANAPGNRGGPRGPGAPRPGGPAKPVSIDVPAIPFDELKKITNNFSDRALIGEGSYGRVYNATLSDGRACVIKKLDTSASQDSDSDFAAQIAMVSKLKNEYFLELVGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWGQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDDFASKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKAIQPLLNAPKPAAPAAPQS >Dexi2A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:2A:15184333:15184672:-1 gene:Dexi2A01G0012900 transcript:Dexi2A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNNTNASSQPQDAAGGADKAQQDTVKKTVQTVEVRSSAGQPDEVGVLKPVRVVHEIPPKEAKENPAGVKQE >Dexi1B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:1B:25868365:25870265:-1 gene:Dexi1B01G0019640 transcript:Dexi1B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAGTLARVMAEALLPAGDGCCLFPERCNETTCFLRLNRYPPCPVSPDAFGLVPHTDSDFLTVLSQDHVGGLRLMKGARWVAVKPIPDALIVNVGDLFQAWSNNRYKSVEHKVMTNATTERYSVAYFLCPAYDSPIGTCEEPSPYRAFTFGEYRNKVQEDVKRTGKKIGLPNFLV >Dexi3B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:3B:6429903:6430169:1 gene:Dexi3B01G0009350 transcript:Dexi3B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGCLHGCTRAPLSGLILWLHRPPRASRPAGSGSRRAPGAGRRGLRRSTAGQGGAVEHAGATVVASDLAGGSGRGPWTADPGRRRG >Dexi2B01G0034840.1:cds pep primary_assembly:Fonio_CM05836:2B:42047902:42050233:1 gene:Dexi2B01G0034840 transcript:Dexi2B01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRKAMPFSNMVQEMKGEIGAISRRCLPRPRGTGRVERAEPDEAALRESSWANVPPELMREVLKKVEVGEARWPGRAAVVASAGVCRGWRRAVKEMVLVPEASGKLTFPISVKQPGPRDAPLKCFIRRNRTTQSYFLCIGATDALSDDGKVLLAARKYRRPSCTEYLISLGSKGNGTYVGKLRSNFLGTKFTVYDAHPPCAEAVVSKGPSAHMIGSAQVSPMKGLSAGNYPVSHISYEVNVFGSRAPRKMDCVMDSIPVSAIKEGGTAPTQTGFPSSASSSFASVPFFKAKSGQVDSSGTQLNSQNESKVALKNKSPSWNQQLRCWCLNFHGRVTAASVKNFQLVASDESAPTPSNQEGDDVILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFETKIGCE >Dexi7A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:7A:22978812:22981648:-1 gene:Dexi7A01G0012790 transcript:Dexi7A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAILESDPLNWGAAAAELTGSHLDEVKRMVAQFREPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAMVCFDANVLAVLSSVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIESAAIMEHILDGSSFMKHAKEVNAMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAVDLRHLEENLKSAVKTCVNTVARKVLTTSPDGDLHSARFSEKALLTAIDREAVYGYYDDPCSANSPLMKKIRAVLVDHALANGEAEKDASASVFSKINKFEEELREALPREMEAARVAFETGAAPIGNRIKESRSYPMYRFIREELGAVFLTGEKLKSPGEECNKVFVALSEGKLIDPMLECLKEWDGKPLPIC >Dexi2A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:2A:29493722:29496789:-1 gene:Dexi2A01G0017620 transcript:Dexi2A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAGQISLDDLRAAISSGAGAGVHDDFLGQMLGGGLPPSAWVELASAAGAKAPEVEGMQQHHHHQQQFGGGGLYEDDEPALLASRLRQHQISGGGGAESTAAKQMVLQQQMADVRNGHHHMLLQGMGRSTGDGGSLLLPLSLGSGGSGAGGDGGGGEAAGVFGGAFAGSLQQQQHFQPHPQQTAQMPGQGFGGGGGAGAGAPQPQAGASGGGAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKATMLDEIIDYVKFLQLQVLSMSRLGGAGAVAPLVADMSSEGRGGGAPATAGSDGLAVTEQQVAKLMEDDMGTAMQYLQGKGLCLMPVSLASAISSATCHMRPPMAGPAGLAGVAHHMVAMRLPHGINGGGADAAAAAVPASPSMSVLTAQSAMANGGAGGGADGEGSHSQQQQHPKDAASVSKP >DexiUA01G0000240.1:cds pep primary_assembly:Fonio_CM05836:UA:1641611:1643150:-1 gene:DexiUA01G0000240 transcript:DexiUA01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTNAPGTWRWMLGVAALPALLQFFLMLFLPESPRWLYRKGREEEAKAILRRIYTAEEVEREIEELKESVAAEARERGSSETTTKASLAAALRTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSIVSIYFIDRTGRKKLLVISLVGVIFSLAVLTAVFHETTSHSPPVSAAATAHFDASLTCPDYSLRSSSSSPATTGGSYWDCTRCLKPGRSTECGFCAASGDKLLAGACLVSNTTVRDACHGEGRLWYTRGCPSRYGWLALVGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGAAATANWVSNLAVAQSFLSLTEAIGTSWTFLIFGGLSVVALAFVLVCVPETKGLPIEEVEKMLERRELRLRFWAAAPPRAAGDEDGKESGKSAGV >Dexi3B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:3B:12272569:12282840:1 gene:Dexi3B01G0016810 transcript:Dexi3B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDEDAGAAAAGMGSTGPASAPAAVGGSSGAGASGSGGKPPVKRVMKTPYQLEVLERTYTVPEHPKQPYRSYETKMFSGHDAKPMKFGIPQVAGFENPLASSETMGYHDEDTYRVDRKRKYNEEAKIAREVEAHEKRIRKELEKQDLLNRKREEQMRRETERHDRGRRKEEERLMRERQKEEERFQREQRREHKRMEKYMQKQSIRAEKLRQKEELRREKEAARQKAANERATARRIARESMELMEDERLELLELASRTKGLPSMLSLDSDTLQQLDSFRGMLGQFPPDSVKLKVPFSVKPWAASEDNIGNLLMVWKFFITFADVLGLPSFTLDEFVQALHDYDSRFLGELHVALLKSIIKDIEDVARTPSVALGVSSSNPGGGHPQIVEGAYAWGFNILNWQRHLNFLTWPEILRQFGLCAGFGPQLKKRNAETVHYRDENEGRNGADVISTLRNGSAAVNAAALMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKTPYRKDPADSEALLSAAREKIRVFQNALSECEEVEKDVDEAERDEDSECDDAEDDADGDDMNIEDKDSKSPLVAQDGSPITVVGDIKKESNSVVNTLVPQSIQTKSSGSDSLHTLDSKASTSTDPAVGDYGKDTEIDESNQGSSWVQGLAEGDYSDLSVDERINALVALIGVATEGNSIRAILEMLTMMEGAIKRDYLSSNFETTTELLNSNTQDTNQNSVAHSGSATVLPWVPDTTAAVALRLLDLDSSISYSFRPKAASNREREAGDFTNLPPRYPAIKNKQEIEQFGAIGFDQQDGVLLTNNNGRRGRGRGSRGGGRGGRSRSRGGRVPRGIGSSSRIQFRDDNVSYEKGPRKIANNTRGRGRGRGRGRGRGLRTVRPRQPAELGTRSIPKANLLGSFSMLSKTSRSGAVHSPESSGAEEWALERREYVEDDVNNSASQSDESDENEEIGEPMNEEYDDEHVSGYPRENSESSPLQMMDEGSEDNDEDAEGDVGEDGEDYEAEDPVGDEDDDVEMAVDDEIGDDDVDDDDGGDGTANDDEDEGGTSYSSDYSE >Dexi5A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:5A:6141560:6143840:1 gene:Dexi5A01G0008210 transcript:Dexi5A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSSSAKNAAGVSSPVNAADSSKQQLDLGVQEDQGALSNDESKAEIEKDDGVSISSSGSVLVLLLAGLHTQCLVSSLDDKEKNETFARNRDSGVADASAAPPDPAAEGAKDEFIEKDDDPSAGAVLPPLSSDSTDSTQESGFLEDQELHVQNAVAATAANPPENSNSFSSSTNDSSPSWIPSPSDPTEIPAPAPAIQAPADQIPEIEAPPPPTPEIKASPDQLTPPTTPKVKQADWEAPAAAREWKPLCDVTSNHRIDWCELDGDVRVLGANASVTLVGPPGADERTFREESWSIKPYPRKADPNAMHSVRAVTVRSSASGDAPPACTDRHEIPALVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVLLLVTDFQMWWLGKFMPVFKSLSNYELVDLDHDPRVHCFRHVQVGLTSHDDFSIDPRRAPNGYSMLDFTKFLRVAYALPRDAVAPPRGQRRWRPRLLVIARAGNRRFVNTEEIVRGAEKVGFEVVVSEGGHEVAPFAELANSCDAMVGVHGAGLTNMVFLPTGGVVIQVIPVGPLEFVASYFRGPSRDMGLRYLEYRIAPEESTLLDKYPRDHPVITDPGSIKAKDWVSFMGVYLFEQDVRLDMKRFRPVLKKVLSRLRAKPKNN >Dexi6B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:6B:22271193:22274045:-1 gene:Dexi6B01G0014830 transcript:Dexi6B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHELKLVTILSIDGGGIRGIIPATILAFLEEKLQELDGSDARLADYFDVVAGTSTGGLLTAMLTAPDANGRPLFDAKDLARFYINHSPRIFQQKNRIRLKITRKLKMVWGPKYDGKYLHALLRRYLGDTRLDRTLTNVVIPTFDIAYLQPTIFSSFELKHRPWKNALLSDITMSTSAAPTFFPPHYFETKDENGRRRAFNLVDGGLAANNPTLCAMNQVSKDIILGNEHFFPVKPTDYGKFMVISLGCGSNRNRRYCAKAAAKWGIFNWLIKNGTAPIIDMYNSASADMVDINLCYDQLTGTAGSIDDCSKQNMDKLVRIGKRLLNMNVSRVDLETGRIVEVPGVGTNAEQLTRFAKQLSDERRRRQNELTYAEVGFQNEAW >Dexi4A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:4A:3098065:3098475:1 gene:Dexi4A01G0004330 transcript:Dexi4A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi9B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:9B:3799324:3807700:1 gene:Dexi9B01G0006340 transcript:Dexi9B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFGEHNGYLKGVVTDVIHDPARGTPLAVTLDFNERNGYLKGGSLIEMTGPKRAIEMVSSILVEFDMRIKNGEQEEDDLQLIDGAIACHNWRPQ >Dexi9A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:9A:14155314:14155604:-1 gene:Dexi9A01G0019230 transcript:Dexi9A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPVLSPATKRRATSPCSASHGSSGASGADPAAQLEMAQRSAAQASSGCSGARRKSTETATARDAETSALRKREWVAEKAEWTEKAPPWK >Dexi3A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:3A:11589445:11590336:-1 gene:Dexi3A01G0015560 transcript:Dexi3A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKRALDIGLNALCQGPTEPGKQAGTSRALSSSTAAESIVLLQTGRETFIHTFMLQIAVLSNHLNGRDTHVRQIKIFGPRPNPVPRQPFHFISREFITYSTIR >Dexi5B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:5B:984343:986574:1 gene:Dexi5B01G0001550 transcript:Dexi5B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMFRILDAEIAAKSARVAELEARVSLLEAENARLRKALGREGAIDRTGEEGPRSGRFGGVLRGSRHEDEAAEKKLGGSAACDVIEVSDDEEGPAAVVSIRGRTPEEGVAAVPTPRKRAARAVTGESEDEDDAGAGGGGGSSSKENSVGLEDDDVLVSPRGRKRAAARVVTSDSEDEDLNSGELGSGEDGVDDQEGGGKPSKKRGFCGISDSDDEDATEGVDVVTPNPKAAASPAQVESGEDEDDVVPICHVLKKMRKKRDDDELGETKGCSTPATRRSARLAKNQSTKVDRRTARRALNFVEPKESERSEDDMEDDEDMEEFINDDDSSENDTESAEESCDEPQVSGTSVLNEEPSRRPEDSDSEVDYADVMARIGRKNKDKDWKFEGDMLAAVDERPELCLKAVCALYRKQTEEEQMHKATFVNEGFNQIDALRGSRIAEFLLDGDLYGPLKKTIADLEQYDRSAIGFCRKVASRYSKQLFAIYQNKEDPYFHP >Dexi2A01G0037040.1:cds pep primary_assembly:Fonio_CM05836:2A:46515687:46523435:1 gene:Dexi2A01G0037040 transcript:Dexi2A01G0037040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKASIALFQLRTQNPMRRRTAGHYNPNPSAGLIPLVCICAGLFRVLVVPTVPEGDHTEGSGWAGLPRRHGHWQRQVDLIFRTDLLYYAISIKPVVVQKLLFDHGTSLSGSYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQKGVKSEYLGSTQTNSSVSSEAEKGVFDVLYMTPEKAISLPSRFWNNLQAAGICLLAVDEAHCISEWGHDFRMEYKQLHLLRDRLEGVPFVALTATATERVRIDISTSLVLRSPHIVVGSFDRHNLFYGVKSCNRSISFISELVNDVSKRSAVGESTIIYCTTIRETEQVHEALVTAGVKSGIYHGQMGSRAREESHRSFIRDEVVVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKADFYCAEAKNVCNCGTQRKAIMDSFMAAQKYCLLPTCRRRFLLQYFGEERNSDCGNCDNCTAVKNVRDLSKETFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLQMHGRGKDYSPNWWKALGGLLMAHGTQTSIHSIILVSYYLRETVRDTFRTVSVSPKGVKLLSTADKMDGTPLVLQLTAEMIDLEEHGCSQHKEGGGLNPVPTLESEKFSEAGFSNAIFDESKLYQMLLNVRMKLAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFVSRFSGTFIQNITQLSKELNLPLDNSSLPPPIATDSAGENVAGLPKPAQNNLPGILGDAKLTAWELWQKQEFSFLKIAYFRRAVPIKEQTVIAYILDAAREGCEMDWSRFCREVGLTPEIASGIRVAIAKVGSRDKLKPIKEELPENVTYDMIKTFLTVEACGLSEQIFGNAHASSNASEASGDDNPGHGALAVDAGDANASAKRGQTDAIVVSAEEPTTKQQKIEDHGVESTGRTSATVESVLELVGSRDGVLLGDVVEHFSGSERESVVEILDSLECEFSIYKKNGKYMIM >Dexi9A01G0044000.1:cds pep primary_assembly:Fonio_CM05836:9A:47638656:47641717:1 gene:Dexi9A01G0044000 transcript:Dexi9A01G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITRTEWATEWGGAKSKEVAAPFKRLPFYCCALTFLPFEDPVCTVDGSVFDLIVHFWQESSNAVQELNIKPKNWKELLTDEPFTRNDLITIQNPNVLDSKVLGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKDLGTEKGKEAFLQGGGGQKAQKERAAALAAILARKEKDDAKSGKEPKPHQTFSIVDAASASVHGRSAAAAKAASAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEFEYVKVERNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLVSIVQEEIKILKVSIFVNPYTEPDEEEEKAKEEEEKKKDEDYDKVGSWYSNPGTGVAGSTSTGGGVGKYLKARTAGSVDVTGNAGAPDDSSKKRKATASSVEFKDFSGW >Dexi3A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:3A:2548453:2553432:-1 gene:Dexi3A01G0003820 transcript:Dexi3A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLVGGGVQDSPRAAAKRVSPALWRVDTAAAEAVEGAGAKGPVICFRPPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISAICEEGGNKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASAVMLKFELIYAPTLDNGSELQASSVASSAAVHEFRIPRRALLGLHSYCPVHFDAFHSVLVDLTLHLVYLKAGATKSSLKVHRVNKTKILDYLHDAWAIDRKAEWSIWTVHSKIEIPHRYLRSMTDESSHRHSLLRVSGSRKFHDDPAQNSASRADLHRKSIAQMKGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGSRLAGEVVAFLKKKMDKLSKYGGCKELKLSFVGHSIGNIIIRSALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYRLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDTSKKGQVFTEMLNNCLDQIRAPSSDTRIFMRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDLYAKFIMWSFPDLFR >Dexi2A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:2A:26101692:26102104:-1 gene:Dexi2A01G0015270 transcript:Dexi2A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPEMLVAAAVNQVARKITDVLGVAHGEVKLCCSFSDDLESIKDTLVYLEGLLKKAENNSFGKDRANLRFWLGQIKSLAYDIEDIVDGYYSSKEQYDGSGYAQKFYG >Dexi3A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:3A:13135003:13137710:-1 gene:Dexi3A01G0017230 transcript:Dexi3A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGSPGGERRRVALRAFLAGGEASSSAAPEVEAVRTPSKGLLLRGLGCTSAAASQAIAPDAARSSVDWRGLGCTSAAAQAHAPRAAAAAAADWRGLGCAAADQAHAPAAAAVEAARRSEEWRGRRRRNGRERRRARGAGGGGGVVVAGGGGMGGDVWCTPGIPFAAEASSVDCVVAPHQTAGARRRSEAERPRREMMMFRTRILLGRMGMYDQYQDWRLDVDNMTYEELLDLEDRIGYVSTGLHEDEITRSLRMVKYSAFNPKHFSTEMDKRCSICQEEFEANEETGKLSCGHSYHVHCIKQWLSRKNACPVCKTTVSKI >Dexi9B01G0034940.1:cds pep primary_assembly:Fonio_CM05836:9B:36735783:36737504:1 gene:Dexi9B01G0034940 transcript:Dexi9B01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVITTGEGMGLLDKLWDDTVAGPRPDTGLGRLRKQPARPAAVKINDPSGDASAFVPPSPASGSEETPVKVTRSIMIKRPAGYPSSPRSAASTPPASPLGTTPPISPFAGAGGRFRRKSLSDAYERASPPGTTSHPPPFEV >Dexi1B01G0022300.1:cds pep primary_assembly:Fonio_CM05836:1B:28031396:28031766:-1 gene:Dexi1B01G0022300 transcript:Dexi1B01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLFLASSPISTAARNRAGPGSAASFPSSARPSLRLRQRPAPAAVQADHQPAVAAAPKPPALPFRVGHGFDLHRLEPDLPLIIGGINIPHDRGCEGHSDVFRVRHFVPRFY >Dexi7A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:7A:3584598:3592302:1 gene:Dexi7A01G0001430 transcript:Dexi7A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGDGEAGRGWDPLRSGSAPPTMEGAAAAAVAAEGMFGGGGGGGGASFFSGMDGLGFGARLDEVSRRRAAGGVQEHFGNSGSLSVGPPGLLFNGTGDLDERQFAPSRVQNGLAMANYSKLDMGPLWTDTDPDNAEYRRNVQNRFMSNIEKMNVHRNLNASYMSDSDLSDALSGLRLSNSRVMDGMNHGEELLDELLKSQRDFSTKIGVDNRTPLVGNVFAPLSDVRSPPIYGDGILRRQTSALDGSNVSRMSCHHINDVDHLSLAEQLAMMRSGNLPRGVNLSRNAAITNMINPMSNRYNSVRDMDLVRNRRAFLEDLLAEQYLQDDNLLYNDRRIYHDEPRVPYSRMPRSGSHFHPNQGNIQSHSDRQSRLFPFNRKTTGRNVGSQFYHDNTLANYLDAPSLDNAISGDDLDLIDVIGRVKEVRQVTNMDQYGSRFIQKALENASPEDREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADQLKGHILQLSLQMYGCRVVQKVLEVVDMDRKINIVHELKNSVLKCIGDQNGNHVIQKCIECVPEDHIPFVIQPILSQILVLCTHQYGCRVIQRVLEHCHDPATQSAIMNEIVQQTFHLTDDKFGNYVVQHVLEHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLEFGTYEERDSLIGEIISSGQIFQLCVLGGPLASRLQLTLVALRSVLQELMKDQFGNYVVQKVLQKCDDKYIEMIVSSIKLHLNDLKNYTYGKHIVARVEKLIVAGEKRAREVSESAQQQQSPICTAVEAP >Dexi7A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:7A:20262515:20263568:1 gene:Dexi7A01G0009180 transcript:Dexi7A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGPDRRFGPHHCAVYAANAFLGPDLGAFAEWLCSFLPSAAASAVGDLAMAAVHHPFYYPLLLGLPFAWAYAWLSRRLLRAGVPDSPDGVPLNKRQCFLLISAGSLSHFFLDHLFEENGHSRMYTWILSTGWWKGRAPINPDAVVAVGLLCSCLMGGFVYINR >Dexi8A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:8A:11143241:11146811:1 gene:Dexi8A01G0008940 transcript:Dexi8A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQAVMGGGGSGNDTSSSSSTLLSLLRTRSERSARAEEKVEWVRSQLVGRDAEFETPFGRRALLYADHTASGRSLHYIEDYILHHVLPFYGNTHTEDSYVGSRTTKTVRKASRYIKRCMGASADDALLFCGSGATAAAKRLQEAIGVAPCTASLRARAAGQLRNDERWVVFVGPYEHHSNLLSWRRSLADVVEVPAGNDGLVDLDALRRELEKPEYADRPMLGSFSACSNVTGVVTDTRAIARVLHQHGAFACFDFAASGPYVDIDMRSGQMDGYDAVFLSPHKFVGGPGSPGILLMNRALYRLAGHPPSTCGGGTVAYVNGFSEEDTVYYDDIEEREDAGTPPIVQKVRASLAFWVKEHVGLDAITLRERAYTEAAMARLLANPNVKVLGNNVTARRLPIFSFLIYPPCSDATGKHRRLPLHGRFVAKLMNDLFGIQARGGCACAGPYGHALLGVGDELSLRIRDAIVRGYHGVKPGWTRVSFAYYLSREEFRFVLDAVNFVAAHGHRFLPLYGFDWATGNWAFRRRTFKHHVMREELLRGDHVVVGGGDDADDEWPMKKKGSVAGGGGLLVGDKYERYMESATRIAMSLPDTYDELVASVPKGLDPDIILFRV >Dexi9A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:9A:7737826:7743676:-1 gene:Dexi9A01G0012240 transcript:Dexi9A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHGVADRLMGKNKEAWSEGKIRGKVVLVKKEVLDVGDFHASLLDGVHKILGWEKGVSLQLVSATAADPSKRRLLLYYLNSSGPRAAAAAAGRDATPPAAASPVPETYGPRHAFRRPFPFPFFCVNGFSPLVDGWIAGNGGRGKVGKAAHLEEVVVSLKSQSDGETVFMVNFEWDESQGIPGAVIVRSMQHAEFFLKTITLEGVPGKGTVVFVANSWVFPHKLYAQDRIFFANDTYLPSNMPAPLVPYRQDELKVLRGDDNAGPYQEHDRVYRYDYYNDLGERPVLGGSQEHPYPRRCRTGRAPTKNDPNTESRLFLLDLGIYVPRDERFGHLKMSDFLGYSLKAIFEAVVPALGVVDFTPLEFDSFEDILGLYELGPEGPNNPVITEIRKKIPEFFKALLPNGSHDHPLKMPLPDIIKSDVFKKVPDDKFGWRTDEEFAREMLAGVNPVLISRLKEFPAKSTLDPTQFGDHTSKITEAHIQHNLEGLTVQNALKNNRLFILDHHDHFMPYLGLINKLDNNFIYASRTLLFLKDDGTLKPLAIELSLPHPDGQQHGADSKVYTPAHTGVDAHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTLNINALARHTLINAGGIFELTVFPGKYALGMSSDVYKSWNFNEQALPTDLVKRGVAVPDQSSPYGVRLLIKDYPYAVDGLVIWWAIERWVKEYLHVYYPNDGEVQRDVELQAWWKEVREEAHGDLKDRDWWHKMDTVQDLARTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGSDDYKKLEAGQKEADLVLIHTITSQWQAILGVSLIEILSKHSSDEVYLGQRDEPERWTSDAKALDAFKRFGSRLLEIEKRIKTMNEDPAFKNRRGPVEMPYMLLYPNTSDVDGTKGEGLTGMGIPNSISI >Dexi2B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:2B:11205432:11206262:-1 gene:Dexi2B01G0010190 transcript:Dexi2B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKIRSSYGIAKVTGVAMCLAGVFVIAFFTGPSLSPVNHHHAFRGHSSSSIMSRGTWIKGTFLKLLGDMAWAMWIVFQAALLKEYPNRMLVTATQCIFGTLQTLVVAAVAERDIARWKLRLDITLVAIFYSGFVVSGLLNYLQVWCMEMKGPVFLVIWFPLGFVFTMFCSFFFLGEVIHLGR >Dexi5A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:5A:14387878:14388642:1 gene:Dexi5A01G0016150 transcript:Dexi5A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDSDWKDRSWREYRCYVRNLPYSTDDASLKDAFSSYGPLIAEVVVDRETGRSRGFGFVQFGDEKSMESAIEGMNGQQVGGRNVIVSEARQRPRRWWA >Dexi4B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:4B:3667154:3668989:1 gene:Dexi4B01G0005170 transcript:Dexi4B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSEEGQELVTLPPASAEGRLSIQTSSVESASTNSSLGQADSGGSPSQENTGQMVPSHVFQNGASLFQGLVERARKTVRGSADDIGWLQRDHNLPRTEDGTTRFLEILDSVRKNEHRLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDSAAALSLYWPQLKDKVAGLVLAQSPYGGSPVASDILREGQLGDYVRLRKIMEILVSKVLKGDLQALEDLTYKKRKEFLQQHPLPLEVPIVSFHTEASITPSVLTALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSVKEEPGDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >Dexi1A01G0023820.1:cds pep primary_assembly:Fonio_CM05836:1A:30437058:30441598:1 gene:Dexi1A01G0023820 transcript:Dexi1A01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDAAAGHSPVDSAPGVSAENRDENTEHHQPFFSMCQPIRSVRYSNSWESICASVTNGNDDNSGLNSMVDDQVMSTSATLGSKQPQPEGISGAVDGTGKSWSPHSINKEANLVQDVMEMEQPLDEIDMPHGETNEQPVPLSTVQPNSIDGMDLWDDNDNQKLLPLNSDQCNSNIGETCDVEDKQFPLTFSYRRQPQSVGAGLSNMGNTCFLNATLQCITHTVPLFLKLCSTDHSIPCSYNKDGFCSFCALKEHVDESIRRSGSVIKPAKFRDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPMSKGKGSSFDEESLVKDIFGGQLKSQLSCCECGHSSETFEPFLDLSLEIDQVDHLVDALESFTKVEQIGDFEDKLTCEQCNAQVCKKKQLTLHRAPDVIAFHLKRFTTLDNSVEKIDKHVAYPLEVDLKPFHSNPDTAGDLKYDLYGVIEHSGLPNYGHYVCNIRSSPSTWYLMNDSHVDSITDASALNQEAYILFYVRQGKFPWFSSLLEGKDAVHAENTRGASPVSVLENIDVNCSTSSGGGSISNSGDKLDKNETRQMELEKDETSQYKASFCPVEPSKSSSLGAANINNTGDKITLSKASLEDDVVRRPRSIETTNLDRPSTPPHSKRPLSLNEIGVFEFEDFGKDEFLTIVRSSYLLLQIILLILLAKIPIPVYCPVVINCYFVIVIH >Dexi1A01G0028550.1:cds pep primary_assembly:Fonio_CM05836:1A:34205999:34206686:1 gene:Dexi1A01G0028550 transcript:Dexi1A01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTTKADAYCEQRTNPQQKPRTSAAILVLRLPITLSNSEGVHGDCSDSSSQGHEACGTSSRCRGALYQHARSGRGSRSGHRSPCRRRAGMVFEHRRRRASLGGGGTLRAEAAGVGADVVAGVGARATVVVDEGFEGAAAGEPVGLDAGAVAAKADTARTAKRMAERAEREADIVAVKRWLVSRVGEGCNI >Dexi9B01G0043230.1:cds pep primary_assembly:Fonio_CM05836:9B:43252198:43254255:-1 gene:Dexi9B01G0043230 transcript:Dexi9B01G0043230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCPCLAEPLAPQYGGGILRNADFNAGLQGWSTFGYGSIAESTSAAGNAFAVALNRTRPYQSVSQKVYLQGDTHYTLSAWLQVGDGSADVRAVVKTVGDFVHAGGVVAKSGCWSMLKGGLTAASSGPAEIYFESNATVDLWVDSVSLNPFTKEEWAAHRAASVVSARKKTVKLQATDSSGQPLANAAVSLDAVRTSFPLGAAMSQHILTNSAYQTWFASRFAVATFENEMKWYSTEPSPGHEDYTVPDAMMAFAKSNGIAVRGHNVFWDQPSQQPSWVSSLPYPQLLAATSRRIRSVVSRYAGQVIGWDVVNENLHFDFYEGRFGWDASTAFYAAARLLDAGANTLMFMNEYNTLEQPGDMAALPDRYLQRLRQIIAGYPENGAGMAIGLEGHFTNPNIPYMRSALDTLAQAGIPIWLTEVDVAGGGPAQAQHLEEVLREAYAHPAVQGIVLWSAWHPEGCYVMCLTDNNFKNLPQGDVVDRLIAEWRATPRAGATDAQGYFEAELVHGEYKVTVTHPEFNNSASRSVKVELGSGSEQYFVDIQV >Dexi1A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:1A:2102032:2102751:-1 gene:Dexi1A01G0002900 transcript:Dexi1A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDTVLVMKTIAHPGCHCFEALRRRKLPGGGWRADALPDPPLEMGTHIMAYFAQGTRAWISLLHEGTFSLDVAAAAAAWRREGTWELPWIGRGILVPELGLVIGIAREGMAYTLNPDTSRQYRYCHVCAVDVVKARPPAVRRVWEIPAERVDQVAPCETVSLAHLGNGSFCIARSIEVEVPNELGYLYKARGTSFTLVDVRRLPGADDDLELVTHGKVQPHVWPWSHVGHASFLQPA >Dexi3A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:3A:6994499:6997021:1 gene:Dexi3A01G0009950 transcript:Dexi3A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPSLLLLVLIAAPAASASTLAVYGGASPVVCGVVKGNLTLACVPVPTSSSASKASSSLVAPFLTFAEVSAGSGFVCGLEAGGAALFCWPAIAAPRWDQVKRIYNGPTPLSGLAVGADQVAAYDAGADPERVRWWRGAGRFPTQAMGAFRSLVSGDGFSCAVETNASAAVRCWGPTGSAVQAAFANASAIPYLAAGGSRACGVLASGAALCSGSAAADEESASNATAALPGNLLPYGLAVGDSHACGLLRPNHTAVCWSLGGPSTTLYYPALGIRFQFLVAGGNLTCGVVSIDFSVMCWSMGSDATTVPLPYVLPGVCVADVASCGGCGFMEGSQQYCTGSGGGICDTLLCSNGSSAPPPPQTPPPVSPPPPQPPASSTKRVSKAWIAFGVVGAVGVFAGLCSIVYCLVFGFCSNKRVHNSVQPNITTAGDTNTTTNNNGGGVGGSPYGSPNGSRARGLFRRQLSRVMTRQRSGPSSFKDPAEEFTFAELEAATKGFALETKIGEGSFGTVYRGKLPDGREVAIKRGGESSGPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCDEDDERLLVYEYMKNGALYDHLHPKPGAAAAPSSPVASSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDAGWTARVSDFGLSLMGPSPESEETTQSQSQSQRHLTVKTAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGRRAIFKEAEGGSPVSVVDYAVPSIVAGELAKVLDPAAPVPAPHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETALALCEGSAGGDRGGGGGFGNSSSSASLSVTSMDRSGALV >DexiUA01G0027690.1:cds pep primary_assembly:Fonio_CM05836:UA:59140782:59141261:-1 gene:DexiUA01G0027690 transcript:DexiUA01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHPVAGWAWACCSSRACGAPALSAHFDAVTMLTQWCSVQRGGTREAKKRSGEGRPIAGPVTTSPSPCVPSVLDRSLGKRVWRRPMREVPGAPVRGSGRSGTGRKWERDARRPQPRTQAGRHEHERGWQGGRRGVFDYSSSPRSTSVRAAGRDGAAAT >Dexi9B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:9B:2633106:2634626:1 gene:Dexi9B01G0004590 transcript:Dexi9B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAEAGPAPAAAAWWSWFNSVLVLSLIPWLSARLQLHHLVGRQVSRRLRWLAAAFVDPYLTVTVDEHDTTGRMMRQDDAYYEEVKAYLGASCSRTARHLRAQGASRDVTPAAAAAGGGDRIVLSMIDGEEVADHFGGATVWWSAHSVRPPASRNGGGGAGSEERSFKLHYHERHRELVLDTYLAFVQQRGRDIMVNSRQRLLYTNVPDHRPTQVTYKHPMRFDKLAMDPAKKKEIMDDLDTFKNGKEQYERSGKPWKRGYLLHGPPGTGKSTMVAAMANHLEYDVYILELTSVKSNSDLQRLLMKVKSKAVIVIEDIDCSLDLTGARETKKKKKAAAAEVDDKKNGGASSTSSSSAAEADTGASKVTLSGLLNVVDGLLSACGEEQIFVFTTNHVGELDPALIRRGRMDKPIKMPYCGYEAFRFLAERELGVVGSHELFGAVRELLEEVDMTPADVIEELTPKSKDDDVDSCLAALVKALEEKAKEDKTNRGSSA >Dexi9B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:9B:1810452:1812562:-1 gene:Dexi9B01G0003170 transcript:Dexi9B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPTPVLPSSPIYPVSVSAVRTRRTHRFSSTTRGCIQMYTLVTERAMEEEATPNLPLDIIYQIPKYISDPASLARADSSCKIWRGVIRDSAFLDGLTMRHLDHGFTSSLLIGFFYQDSAESPEHLWQHHKDKTRCLAPSFIPMPELLPSTNHKEGYNSARPLSLGTFIHGIGSSLNFYEPVVSQDSFLVLCRHSKDPEGNSMADVVRVCNPLTGEVFQLPDLPYIPPNHYVLLVANDNSLDGCMTQSFQLVAIWISGKRIKYVYYCSKTKSWWVPSSFPEVMAGLYLVSTPVAASHGSSIHWLCGCWKSWSLSHVVSLHVNAEELSYLELPSEVKRNKAPLLGNSADGALLLLIMKGLHMSMWKHKTEPGNGNGDWVRFETIDMTSFLPMRVLKMHSGAKIRLEIFRGKSGAVVLWIQGEGIFLFTLSDRSMRKIDNEHLTKKYRFCPYEIDWLSCLAVTNLVIDGSLPLDVEREKARRRWRILVAKNCTQKAKSTKA >Dexi2A01G0028130.1:cds pep primary_assembly:Fonio_CM05836:2A:39446015:39449307:1 gene:Dexi2A01G0028130 transcript:Dexi2A01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDQHVNDTSSWEGNVRRGRFFSPYPATSAPYPNGQSSTPASSFVQGVGALDLNSTAEDNLDDMAFMDLLGASSRDQVHSIEDDGGSSESGLPLSSRDGRGSRGGRGSRGRGAGAGADASRVPAAGRGTGRGVHATGQAVPASRVAPSGRGGRAAAVALPPQAQPYRAPRPIGQSGRASASDAYGAGTEECDDVEEVAGSGNQKDSFDKANWTSFNNNVVFCELCVEQKQPERKKLKYGPPECLEDLEVMFEGINVSSEDETSPSEDDTSSSEDNSLGSDSDDGSVKVFEQWRHIQQFGEVACSE >Dexi8A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:8A:24950963:24951804:1 gene:Dexi8A01G0014450 transcript:Dexi8A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLQEPAFSGSRAYVARSPTPAAAMASSTGRRASASATGSGGGIASPEAAAITAGGGSGGALAFRAGSLASWQWSVRPSNTRYGSSTAFPAPAATRYTNSAYPRDLPVSASKPNGPPSSSPNRAKNARSRSALASGATLVTYTRLLPAPPPPVGSGLAEANWAETGRPATATPGADSRSARRAAAREAKETKPYPRHRPVARSATAWQSSARAPKREKASASAAASACGGRPWTKRRRWEGDASAAVARRARSAGSAAAASASMVRRRGFE >Dexi7B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:7B:13911922:13913843:-1 gene:Dexi7B01G0006270 transcript:Dexi7B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEPPTFPADLDDNGFPALPSSAASSSSSGSGFAEDFYRSGTDWSSLTRRPLPEGAPGLKAKEKDGGSLVQSSLFKAWGIERPPQQEGGGAADSSLVQRSLFQAWGIERPRREGVGTRDPAPSPSHSGSWSGWKRRRGSSEEAAAATTAAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGFPPQEDVIDFVVSTAQRYIKKQPKTLIVVGAYSIGKENVYLAISKALEVGLSLRQLESNST >Dexi3A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:3A:3835924:3838397:-1 gene:Dexi3A01G0005930 transcript:Dexi3A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPAEGEELIDDYVHCLMSLDTNARPGQSDGLVLGAPVVDGAVGGGTEPDAMRDFASAGDPKEPAVGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEEVVIMKRFVCSREGMYKKKQTSPDEATRKRERMSMREGCNAMMEVVRESDHWIVAKLEKAHNHDLGAGSEAVYKAAKDILLKAYQEITGYERNPSRGSQRDDININEDITVDDAMNDQSIPDSGRKVTNLLGQFLDSSWSPV >Dexi2B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:2B:26737398:26741265:1 gene:Dexi2B01G0016530 transcript:Dexi2B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAALGVKTERAAQYKGRMTLAVAMTCLVAAVGGAIFGYDIGISGGVTSMDPFLAKFFPAVFHKKNSGSQNNYCKYDNQGLAAFTSSLYLAGLVASLVASPVTRNYGRKASIVCGGVSFLIGATLNVAAVNLPMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPALLMTLGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMVEASELANTIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGNASLYSSVLTGAVLFSSTLISIGTVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGADKQLSRSYSIVVVVVICLFVLAFGYSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFAIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYIFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLEDGWGAAEASVDKDQK >Dexi3B01G0038530.1:cds pep primary_assembly:Fonio_CM05836:3B:41320586:41322569:1 gene:Dexi3B01G0038530 transcript:Dexi3B01G0038530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRPRPRTRWSALAAGALIQCFAGSSYCFGIYSPALKASQRYDQSALDAVAFFKDIGANAGVLSGFLAAWAPAGRRRPWVVLLAGAALCAAGYLPIWLAVAGVAPAPLPLMCVYMLLAAQAQTFFNTADVVCAVENFPDRRGTVIGIMKAKLSFLPLLMLCSMIPITLMLMYFVDIHDSHERYNKRFLDAFSSIAVTVALYLMIIIICDQVFTISSAAQSVCFVILLLLVLSPVAVAVKAQKPESMQHEEESTSQQRTGLLSREDVAEGSGSTALGGSDQDLSQGKENLNVLQAMGKLNFWLLFVAMACGMGSGLATVNNISQIGGSLGYTNEETSTLVSLWSIWNFSGRFGAGFISDHFLRLRGVGRPLFIGGTLLIMGVGHAIISSGLPGSLYVGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRVVGYIYDIESPPDGHGCQGKNCFALSFMIMACVCVFGSAVAFVLFVRTRTFYRRVVYARLQSFLEK >Dexi7B01G0023340.1:cds pep primary_assembly:Fonio_CM05836:7B:27942520:27943550:-1 gene:Dexi7B01G0023340 transcript:Dexi7B01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulator for phosphate homeostasi [Source: Projected from Oryza sativa (Os04g0671900)] MQSPPEQCNLNPLLQQQIMQQAGQQRMVSPDAQNIQSVLSPSAIQQQLHQLQQMQHAHNDQKQKIQPDQPYQVPSSAVLPSPTSLPSHLREKFGFSDPNVNSSSFISSSSNENMLESNFLQGSSKCVDLSRFNQPVASEQQQQQQQAWKQKFMGSQSMSFGGSGSLNSPTSKDGSVDNKVSRDVQNQSLYSPQVDSSSLLYNMVPNLTSNVADNNISAIPSGSTYLQNPMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWE >Dexi7B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:7B:1587017:1588617:1 gene:Dexi7B01G0000780 transcript:Dexi7B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLPIPKGGTPKWVVILSSVLSSVAATIVVAIIVYCYLNSKYRRWKKDLDQLAKTMQSLPGVPAQVDFADIKKATNNFHETTKLGRGGYGAVYGCTLPAAASRTGQSMKVAVKKFTREVLDQRYEDFLAEVSIINRWSYNKGEPLLIYEYMNNGSLDQHLFQSGGFGPRRQRQQDTAIGQWDTRYGIARDIATGLQYLHHEHEPMVLHRDIKASNIMLDSTFSARLGDFGISCTVDAERSSVTGLAGTIGYIGPEYIINYKATRQTDIYAFGVVILEIVTGKKTTHVPTDDGHITQWVRRLHRDGMLLKALDDMLIPSDNQADLAKEAERLLLLGLACTNPNPSSRPSMTEEWRSKAR >Dexi8A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:8A:8358486:8360837:1 gene:Dexi8A01G0007380 transcript:Dexi8A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILLLLVASSYPIVVHSHAAAGMLGRKASVADEPATANRPGGPGRYAVILDAGSTGSRVHVFRFDRRMELAPIGDDIEFFAKVMPGLSFFAGKPKEAAKSILPLLEKAKSVATAGLRLIGHQKAEQILDAVRDLVHKKSKFQCKHNWINVLEGSQEGSYMWVALNYLLDKLGGDYSQTVGVIDMGGGSVQMAYAVSGNAAARAPVDNGDDPYITKEYLKGKEYHVYAHSYLRYGAFAARAEILKSKNGPFSSCMLRGFSGKYTYNGKQYDATARADGAVYEKCREEIIKALKLNAPCHTKNCTFDGVWNGGGRAGQNNIYATSTFYYLASHVGFIDSKAPSAKAAPAGFMVAAKKACQLDVKKAKVAYPNIGDMNVPYLCMDLIYTYTILVDGFVSIHVSGYTAGLQPMKKITFVSKVKHGEYYIEAAWPLGTAIEALTPKKKPGN >Dexi9B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:9B:5165895:5168167:-1 gene:Dexi9B01G0008420 transcript:Dexi9B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPTAAAFACLLVAAVFLASPATAAEYVKYKDPKQPINERVDDLLSRMTLEEKIGQMSQIERANATTEVIEKYFVGSVLSGGGSVPSEKATASVWQKMVTAMQKAALKTRLGIPIIYGIDAVCRDPRWGRCYESYGEDTRLVQLMTSNMVTGLQGDVPAKHPKGVPFVGGPKKVAGCAKHFVGDGGTQRGINENNTVMSFHDLMRIHMPPYDNAVIKGISTVMISYSSFNGVKMHENKFLITDTLKNKMNFRGFVITDWQAVDRITNPPHKHYYHSIQETIHAGIDMVMIPYDYPEFVADLVKQVKQGQIKLDRVNDAVSRILRVKFAMGLFEDPLPDPRLTKELGAQEHRALAREAVRKSLVLLKNGKKGEKPMLPLPKKAKKILVAGSHAHDLGNQCGGWTIKWQGESGNNLTGVGTTILEAIKKAVSKNTSVEYSERPDKDDLTKSANDYEYAVVAVGEPPYAETAGDNQNLTVPSPGAEVIKDVCGVMKCVVLVVSGRPLVIHPYVDYMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDKRYDPLFPFGYGLSTKAAGSHN >Dexi9B01G0026200.1:cds pep primary_assembly:Fonio_CM05836:9B:28018258:28018557:1 gene:Dexi9B01G0026200 transcript:Dexi9B01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPMAMAETWVERAARLPLVRVVTRVAGDTVFWVDEMGDFGFGKPCRVKSVSMIHDGRIIIKGRSRPDGEVMVSVVVHPAHMEAFMAHINGGLRSRI >Dexi2B01G0035840.1:cds pep primary_assembly:Fonio_CM05836:2B:42778269:42783357:1 gene:Dexi2B01G0035840 transcript:Dexi2B01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAADAAGLVPAGPGDLERRVMAAVKASEARGDPPLLRAVELARVVAGIPSADLAGILVSNLCFTHNSPSLWKLVGQAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELMKCNVTSSWLSIEAGPNRDKVIRSINKCLNAHMWLLYFPRITKSIADALQLSNTYGFCGTEFGHVVIMFVLVVVTKLIDSILEDCGFPSGMAEGQERAYAIEGPQPMDVDVKRVSTENQNEHREQLRRKNTVMALDVLHMVVADRKIQSFLRLIFLNMYISLNPFPVVLWIVRPEKFSALNQRLSSIEAHKVALENLLPSGHKINDLLIDIRRVCNADYQPNNKRIVDVLGNMRSGGSLLGQVTGAGRAACWIIFDIYVENAIDGKHLSGISAIEVIKEMTKTLQVLNEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLSVTAILKEESDMLGAEGSRILPRRQGLISSLQDLIQYSGLLVPPSSVVNAANAAASKAAIFKANYNAGAGNSSMMIQTDSSTKAGTYTIHIPGLHFLVHLLMLWSLLLLQGVLRYIRNCVGELNKLYNIAVNGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSASLPSDSSASTPGSMNHYLAQMSTLNEILLGVSYGDAIHILSLYGMVRKNA >Dexi8A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:8A:18933850:18937023:-1 gene:Dexi8A01G0010850 transcript:Dexi8A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKFVDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDSWFDVVERISNDNNKTLQRTSHTTRCLNLGSYNYLGFAAADEYCTPRAIESLKKYSASTCSVRVDGGTTKLHAELEELVARFVGKPAAILFGMGYVTNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKDIIQHLKHTCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLAHIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRDCLRQKVAVVTVAFPATPLLLARARICISASHTREDLVTALDVISRVGDLVGIKYFPAEPPKIAEVGHNKLE >Dexi6B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:6B:22459784:22464729:-1 gene:Dexi6B01G0015040 transcript:Dexi6B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSGDLEGPRWSTRARVQLPLDPRKDQVLSLELDLEGDRLIRWVFMGFTEPIVCTPAARGRIYRLAVGAQHAAPHALPHPTQQRVSACLSFPFCRAHERFSFLLPSAHPPDGVRSSPTETIRLHSWHLKRDNRITRQAEHYLPLPGYPYALTLSPIGGEKHIPKQWPTILKNTALSMTKITAIPTGSEPVETQPTTSKPPSKNDTLLVSRTSAPRKCESIPPELPIGGSSSSPSQVASYTWLQGAISPRAHEVTQKSSHPDIRSGCYYPHRRVVVLLGEVVLLSQCSSGGGS >Dexi8A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:8A:310653:312457:1 gene:Dexi8A01G0000440 transcript:Dexi8A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCRKEEGKEERENVEREKDKYLFLILVLLLWSISWAAAAGDCEFIFDGFSGAGLNLSMEGEASVANGLLSLTSGVDFSSASPSQYLGLLNSSNNGNPKDHLLAIELDTIKNEEFQDIDDNHIGVDVNSMISVASATASGYYTSDGAFHTLSLGSGQAMQVWVDYDTTHAMLNATIAPCCLSSKPSTPLLSVRYNLSSVLPTTAVFAGFSAATGPIDSRHYILGWSFKLNGQPNYSALSLKTIQQLAAQLHARPRTNNNITTLCAGLIPTLGIAILVVLQGTNSFAGESHPIHIHGDDFYILEEGFGNFDAAADTTKLNLDDPPMRNTVGVPVNGWAVIRRQPWGVADALPPRRPHHLGPRHGLPRRGAAVSPAPPPDLPLC >Dexi2B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:2B:30299227:30303912:1 gene:Dexi2B01G0020160 transcript:Dexi2B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDDDLPPAQNRGLRGRSFSVNGRASAGAFPYMRTSNDLESEIHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQAGGTPSALYRGSRALYDAEPGSTAKRQRTSHSIPQQPAGFQSPVIPSHSVPSAKWGPLSARGKKPKTIPPEDIRWEFDAHFSNRDGWGPSGPMLKRHPSNNGAMTGLIRGRGRLSINEPIRDYAPPQNGINRNFDNIDIPNTESVVTEVERVLSNPNMREIEKARKLLKDQEQSLLDAIARLDEASDSESEDMAMEGRTGTTGDGNGIAT >Dexi5A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:5A:6324969:6326308:-1 gene:Dexi5A01G0008490 transcript:Dexi5A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLQGVHQLGLHELLRGSHPQAALVFYALLLACPVILLLLRCRLSSSASRAREQLLSKLPSPPRRLPVIGHLHLVGALPHVFLSDLVAEHSRDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDHVFASRTHSPVADILFYGSSDVAFAPYGEHWRQVKKIATTHLLTNKKVRAYRHAREQEVRLAMAKIRKAAATGTAINLSDLLNSFTNNIVCHAVSGKFYREEGRNELFRELVEANISLKGGFNLEDYFPGLVKLDIIKRVVCAKARKVNKRWNKLLDKLIDDHERSRLASQHGDEESDFIDVLLSIREEYKLTRDHIRRKWRYDL >Dexi3A01G0036550.1:cds pep primary_assembly:Fonio_CM05836:3A:42089740:42092293:-1 gene:Dexi3A01G0036550 transcript:Dexi3A01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQNMYSRDPGNASYPMPAALGNLLYLNNPTSGPYTEFSGILQSQQNCMEMPDPGHPSVMPQDSSARESDMLGSHQGQRTFGPVKDMKNEMLIHMMDGSQSSTADLIHDDAHNGVQLDFGVMSNHSSSNVPSVQGQGLSLSLNTQILAPSLPYWSVKPDMLSPHTYHDTLRVDDIRMKSMQSEASRAIRNSRYLKAAQELLDEVVNVWKNIKRKAQKEQVEPGKTDGKETEGEPKSEGVSSNPQESVANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQSVVSSFDVVAGPGAAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEDNSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDIEQDSNSSSDNASRSKGKMASSEDKEDLKSSTPRVCESSQLSESRVSMGTMNGAPVGFQNEPNPDDSFMNLMLKDQRSNEADASLLLHNAVAHHQDENARFMAYHLAEFGRYGNGNVSLTLGLQHSSSSLSVPNAHQSFPGVGDDDIYNAAAPLGISVASSDYESMNQMDQQQRFEQSPLLHDFVA >Dexi5B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:5B:6970340:6973346:1 gene:Dexi5B01G0010000 transcript:Dexi5B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTIRATVPPSKSTPSPVTTTPTPASPAPVTQPNATPADPPASPAAPPPLPSASTPPPQLTPPPPSLPPPPPDAAPPPPVVVASPPPAPTTVVPPPSPPVAVPPPATPAAPPKASPILPPAATSPPPSNLPAPNPPADPTPPAAVQSPPPRHRRPPRNPGTQPESPPLAPPPSGIPIKPSPTSPAPTSGDPLIPSTPTSPSPPGTTPSTPAPATAVDPVSPVTNGNRGSNKSSSPATQSSSSSASSGGMSSGAKAGIGVIAAILVLSLVGAAFWYKKKRRRVHGYHPGFVMPSPASTPTQVLGYSAKTNFSAASPESKDSMPEFSMGNCRFFSYEELYQITNGFSAQNLLGEGGFGSVYKGCIADGKEVAVKKLKEGGGQGEHDQRLLVYDFVPNDTLHHHLHGHPRIIHRDIKSSNILLDNNFEAQVADFGLARLALDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALESGNVGELVDARLDKNYNEVELFRMIEAAAACIRHSASRRPKMSQVVRVLDSLADVDLTNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSQSSWNSQSRGLDASGSRPL >Dexi5A01G0027820.1:cds pep primary_assembly:Fonio_CM05836:5A:31191566:31194851:1 gene:Dexi5A01G0027820 transcript:Dexi5A01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLLQFPSLLAQHALRGAPKPQLQPHHHHHHRLLHSPTAPSSASLSPSPSAELLWSRLAAGAVASLLPWTAAAARTAANRWLAAARGGGGGGSLDLFSLQRGGRTGGSVWQFVSSTYLKPWAYWLRMRPDGMVLTLIGANVTVFMLWRVADQGFMRRHFTNSLDNFKSGRLHTLLTSAFTHIETSQLFSNMIGLYFFGTSIANTFGSAFLLKLYVAGALTGSAFFLLEKAFLTARKHGARAAVNAAILLDIFLNPKAVVYLYFLIPIPAALLGAVLIGTDLYMVKMAL >Dexi3A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:3A:8775720:8779318:-1 gene:Dexi3A01G0012160 transcript:Dexi3A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQISRRTLGLLLLLAAAAVAASPAAADDVVALTEADFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRSVEALAEFVNGEAGTNVKIAAVPSSVVVLTPETFDSIVLDETKDVLLEFYAPWCGHCKHLAPVYEKLASVFKQDEGVVIANLDADKHTDLAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLSSEAGLVESLNPLVKEFISAADDKRKEVLSKMEEDVAKLSGSAAKHGKIYVTAAKKIIDKGSDYTKKETERLQRMLEKSISPAKADEFIIKKNILSAFSS >Dexi2B01G0035530.1:cds pep primary_assembly:Fonio_CM05836:2B:42505861:42507179:1 gene:Dexi2B01G0035530 transcript:Dexi2B01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMASSVSVLLLLICTAAVASAQLSPTFYDTSCPNALSTIKIAVTAAVIKENRMGASLLRLHFHDCFGCDASVLLADIPGSFTGEQGALPNAGSLRGFDVIANIKSQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASLSLANSDLPPPFFNLSNLISAFSNKGFTATEMVTLSGAHTIGDAQCKNFRDHIYNDTDINPAFATSLQANCPRPTGSGDTNLAPLDTSTPYTFDNAYYNNLLSQKGLLHSDQELFNGGSTDNTVRNFASSPAAFSSAFAAAMVKMGNLSPLTGSQGQIRLTCSKVN >Dexi4B01G0023580.1:cds pep primary_assembly:Fonio_CM05836:4B:24864500:24870247:-1 gene:Dexi4B01G0023580 transcript:Dexi4B01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCITSSPSSSPVPLQPQRCSRAPSDQAAPPGISRGGNVCLSAVSVQCAARRSGPIKHLTIMCLCKVKRKFTTAATVQENKTMAATKDDVDHLPIYKLDPKLEKFKDHFSYRMTRYLDQKCSIEKNEGSLEEFSKGYLKFGINTYKDVTVYREWAPAAQEAQLIGDFNDWNGANHNMEKDKFGVWSIKIDHVKGKPAIPHNSRVKFRFRHGGAWVDRIPAWIRYATVDASKFGAAYDGVHWDPPASERYVFKHPRPSKPDAPRIYEAHVGMSGEKPAVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFSLDTDGDAVVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDYRLSMAIPDRWIDYLKNKDDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEVKSRLVTQNGLTFQETGTTGAMINADVNGVLWTLITCGTRFNYYEYSPYMNAFDQAMNALDGKFSFLSSSKQIVSDMNEEQKVIVFERGELVFVFNFHPKKTYEGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEETERLEGKAETIGAGKTFPGTIDVDASPVKTATTATKEREEITDGKVTSGGKKDDLIEDVSGKKGKKFGRQSSDQSTK >Dexi1B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:1B:17656671:17669113:-1 gene:Dexi1B01G0012850 transcript:Dexi1B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAPQKEAHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDSFKIVLDEDGNNFPALLGQRALQAYSNCPAAVRLGIAFCRYKLGQVEKARQAFQRVLQLDPQNVDALVALAIMDLQTNEAAGIRRGMEKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYIGLGQIQLKFGDFKSSLTSFEKVLEVHPENCESLKAIGHIYAKSGETEKAIETFKKVTRIDPKDHQAFVELGELLVESDWSAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGEFEMAEQSFKEALGDGFWVSVMDGKYPDYIDAYLRLAAIAKQRNNVQLSIELIGDALKIDEKNPNALSMLGSLELQSDESWLTAKEHFRNAKEATNGDAYSLLQLGNWNYFAANRPEKKAPKFEATHREKAMELYQSQVLKQHRSNMFAANGIGILYAEKAKWDAAKELFTQVHEAASGSIFVHIPDVWINLAHVYFAQGHFQQAIKMVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALAEEARRRAEEQRKFQLERRREEDELKQIKQQEEHFERVKEQWKTSTHTPGKRKDRSKNEDEDGGSEKRRKGTKRKKDQKTNMQYGEEDENEYRDEPEAEDDYANLSRDNDGDNSERAPDHILAAAGLEDSDAEDDMGHPQSALERKRRAWSESEDEEPVQRPADPGAKDLSE >DexiUA01G0004910.1:cds pep primary_assembly:Fonio_CM05836:UA:9070977:9071513:1 gene:DexiUA01G0004910 transcript:DexiUA01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNRNLLLLNQLYWQAGRKNDAQRVLLEALQLANRTGFISHFVIEGEVMAQQLRQLIQLNTLPELDQHRAQRILREINQHHRHKFAHFDENFVERLLNHPEVPELIRTSPLTQREWQVLGLIYSGYSNEQIAGELAVAATTIKTHIRNLYQKLGVAHRQDAVQHAQQLLKMMGYGV >Dexi3A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:3A:4455484:4456521:-1 gene:Dexi3A01G0006700 transcript:Dexi3A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAATGTVVLVDAALAPYEHPDLRWLVRQHVLGVLQEFPTLSPSVDTYTTDDGASAALLNVRGLLAVVSPSSSPSPAEVLLTVWLPREYPYLPPLVFAFPSSPSASLVPDHPFVDHRTGRVHHRSLPYLHDWAVPSSTLAGLVRSLAAALRMCHPITMAPSFSLAGTAATARVTRPVEEERRRMRAVLFDELASRLGRDAAAFRSGVDEDIHAMASLQAVLRERGHAMGAAVRELEEERMRLERAVTASLAHRGKLLAWLNKTSRAPEPDDAGAALVPHAAAGDASRWLESKAAELAVDDAIDALGHAMENGELGFEEYIRRVKILAREQFFHCYAASKSMGT >Dexi5B01G0027920.1:cds pep primary_assembly:Fonio_CM05836:5B:29423427:29425617:-1 gene:Dexi5B01G0027920 transcript:Dexi5B01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLDVESEPPAKGCFKCEKGGFRALPFIFLNEMLEKVAGFGLNTNMITYLTNKYHLSTVTSQTMLFVWSAASNFAPIPGAVLADMYLGRFMAVALGSVACLIGMVFLWLSATIPGARPLPCNNASEHCAPPGASHLAWLLSGFAFLSLGAGGVRPCSMAFGADQFSRHPKERRSRILQAYFNAYYASIGVAFSIAVTAIVYLQDNVGWSVGFAVPMGLMLLSTVSFLLGSGLYIKEKGKRLMFSGIGAAAAAAIRNHRARLPAKTGDGVYHHLKDCKLTVPTDQLRFLNKACVIWDTREDSDTSSNSAVTERRGKRPCTVDQVEQLKSAIRVLPIWSSTIFLALAMNQSFAVKQADTMDRRVGRGGFQVPSGSLALFNMATMSLWSASYDRWVAPALRRCTGNPRGLSMKQRIGGGLLLATASTAVSAAVEGARRRQALRGNAISAFWLVPQFALVGLAEAFGVIGEIEFLYTELPKSMASFSMSLLYMAFGVGNLAGALIVKVVQVASRGGGKTGWLVDDLNAGHYDYYYWLLTGYGVVNFVYFAWCCWAYGEEGKNVDWEEHDDGEQPIL >Dexi3A01G0034070.1:cds pep primary_assembly:Fonio_CM05836:3A:39390548:39391138:1 gene:Dexi3A01G0034070 transcript:Dexi3A01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFFLFLLFLFVHHATAAEAGGGGGCNRRCNALVVPYPFGFSGDCPIILDCSATSSTALLPNTTDSPYPVISFNSSASTFLVSLTPSCTGRTVLDADTSLNMAAGGGGYGVSSHTGLFLRGGCNNNRTEANATTSSCSVPADVMTKTLHTARCGNDTAWTCVAGAPPSHVTSGGAFLDWDAVRASGEALTATV >Dexi2B01G0027140.1:cds pep primary_assembly:Fonio_CM05836:2B:36093850:36102140:-1 gene:Dexi2B01G0027140 transcript:Dexi2B01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLAWKRSSEIFHLTLDYGDYADTDDRQPPSPLPPASSSPTSSASSTPSCSSSPTAATLSGDLGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVSVDLTPMEGGEEQGVRIEMRVVRRREALRSVRVSRATGSALGSGDGGGVLARLIRSNLAPAPAVDGPTAAAGLPVLADHWRPVAMLSLCNCGLSVLPVELTRLPLLEKLYLDNNKLSVLPPEVGALKNMKVLSVNNNLLVSVPVELRQCVMLEELSLEHNKLVRPLLDFRSMPKLRILRLFGNPLEFLPEILPLHNLRHLTLANIRIEAVESLKSVTVQIETENSSYFIATRHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNHVAISKEENAVRQLISMISSDNRHVVHTTTPNYPLCRKLVFAEPIPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSAMPAQPYIFRNYQYPPGTLEVSPGMAESPSIGAIGTAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSVVDERCGMELDETDPALWLKLEAATEEYIQKNFLAFKNLCELLVPRYQEEEKSSDIYKSLSFSRLTSLNQGLSESNPTLGWRRVVLLVEASFSPDSGKKINHARSLESFCSQNGIRLALMNSASGFGKPATALPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGHPTTKSSPPTSPLRSRQPSAHVRSLYDKLQNMPQVGVIHLALQNDSTGSVLSWQNDVFVVAEPGELADSYPSLQVMEDNQEIGAYMFRRTVPAVHMAPEDVRWMVGAWRERIIVCSGKYGLTNGLVKAFMDSGAKAVISSSMEPPDSQTVAYYGMDVNGSLENGKFVIGDEEAEESEPEPVSPISDWEDSDAEKNGNHDMDDEEYLAQFMCLLYDKLFREGVTVDTALQQALRSHPKLKYSCHLPNVL >Dexi7A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:7A:13720268:13721536:1 gene:Dexi7A01G0003650 transcript:Dexi7A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVHDVLAFHRVDRAAYEHLLSLGAGRLPARDAVAFLMWLHRSAGVDAAPRMPALARTPADAARLVAEAHATLLHGAAAGAPPPLLLLLSCACGEDDDDGARARRFLASGCGGGAARRGVAEVLAGVGAVVFDDRLNAILRRYEEGGGGDGGALPAELAAPYRLCAAAARAAAAAPEVEEEGRSLFVTFSKGYPLTREEVEEFFTESWGDCVAKVLMEKTSPGEAPTYGRVVFRQAATVAAVLRGRPMVKLVVNGRDLWARKYVPRPPPQL >Dexi1A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:1A:822638:825583:1 gene:Dexi1A01G0001300 transcript:Dexi1A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PASRHGASVRVTLCPRLLHSSKLPERRTAMAAPAPTALCARHFRPPLASSSFPSPPRLPATSSYSSRLRPRRHAVSPHAGTGTGDMEALRAGVPVYKPRSYDVLVTDAARSLACAIDDGKTRLEIEFPPLPSSISSYKGSSDEFIDANIQLALVVARKLKELKGTKSCIVFPDQPEKRRASQLFRTAIDTIEGVTVSSLDDVPTDPVNSFFKSIRNTLDFDFSDDNEDRWKSDEPPSLYIFINSSTRDLASIEKYVDKFATSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVAPYIVNYSGAVFRQYPGSLGEHFGLAKEELLRVIGLQEEEGSSLEFLRRGYKVHILSQSIDDGTTYASLHCTEFLRCRMLHGGKRTLIRRHRPHGELETLHLLAGRCLADLMSKGIENTKPA >Dexi7B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:7B:13525098:13526562:-1 gene:Dexi7B01G0005930 transcript:Dexi7B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGHIQQERKKCYELVRGDVKKKAMIDFIIVESALTILVKGQADFGITQLLEFRRQIPWETGRQESDGDDGSKVERDGAGEVLAELESWMESGRERVGWMSSVQGPGRPPESTRWRRIPGGRAPREVGVGGGCVGNGREPEMEEVQAARVEPLDDRAYLTFTFYITSTVTSTVLLRCYGW >Dexi2B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:2B:152259:153024:-1 gene:Dexi2B01G0000340 transcript:Dexi2B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYIVYYSTWGHVATLAEEIKKGVDSVAGVESTVWRVPETLPEEVLGKMHAAPKREEHPVITAAQLAEADGILLGFPTRFGMMAAQMKAFIDSTGGLWRTQALPGKPAGLFFATGSQGGGQETTALTAVTQLAHHGMLFVPIGATFGAGMFGMDEVRGGGPYGAGTFAGTAEAPRTPSDTELAMARHQGSYFAAIAKKLKDGGASSA >Dexi5A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:5A:2437983:2439311:-1 gene:Dexi5A01G0003290 transcript:Dexi5A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYSPDSSGITEANKAGQDHTFLEAKGTEDKYLTEGRKVTLPLVNLNKGSQLVNPVLPRLGREGIRSYWVQR >Dexi5A01G0037760.1:cds pep primary_assembly:Fonio_CM05836:5A:38992449:38993073:-1 gene:Dexi5A01G0037760 transcript:Dexi5A01G0037760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPEVASSPSPCSTSGDDSREAAYTVWMKSLVFNGNGCTVYGADGSVAFRVDNYGCRGGREVFLMDRAGKNLIKIQRKSFGVFRRWEARRCIDAAGEGFGEETRPWFTVQKAGKSGAAVTMRASGRTYTIDGCARKSGYKITGAGGAVAAAIGRKQTASGVVLGEDVLTLTVGSGTDHLLALALVVFCGLMNRCL >Dexi2B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:2B:6657308:6659274:1 gene:Dexi2B01G0006710 transcript:Dexi2B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILGLNVNSATAAQCSCCVSATAKSCCSLCIAAGGSDFVCKNTCCFPCILADPAAKQQTNSSNSRRKIQLSHRTISQDLGGAGGHHARLRLHVLLFPPPPPRSAPLPLGHAVPLILVVTELLRTSSRGVLSPSARRSSTSSSTHAGGGNHSVAQCKILLDPDFTPRLPR >Dexi3A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:3A:8672962:8675203:1 gene:Dexi3A01G0011990 transcript:Dexi3A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISMRRLIKFMEGKEKNIAVIVGTVTDDNRIQEVPAMKVTALRFTETARARIINSGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Dexi1B01G0026020.1:cds pep primary_assembly:Fonio_CM05836:1B:30974589:30980877:1 gene:Dexi1B01G0026020 transcript:Dexi1B01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGEGSSEGGGSSPPPPPSRKGGSGVGGVHHHQPHHDQRPQQPSAVSSWLDSVPARPPPPIPVEAEVPTSASVRVGAEERSARQSAAAVRRRSQHEERERRRSQEEEVLRERRRSQEEEEMGRERRRSQEEDEVEERVIRESSEAEERKREREKEEDDLEAYQIQLVLEMSARDNPEEMEIEVAKQLSLGFCPPQRSPAEVLAARYWNFNALSYDDIISDGFYDLFYVGNGSPSVTMPSFAELRGQPFSCQVNWEAVLVHRGEDPELMKLQQEALIMSLDLQSRTSEPVGNALVKRLASLVARHMGGVFDPENMSVKYQNMLNSLRSRIGSIVVPLGQLKIGLARHRALLFKVLADGLGVPCRLLKGRQYTGSDDGALNIVKFKDGREFIVDLVADPGTLIPSDGAGVSMEFDENFVSDNHQLNKDYTTNLLGSSLSGASSSAYGSFDYELLDRRSTSSNVGPSDADGPTTNQTSNQHNMLSSSFEKLSVSTCTSDNRPTINESTNSDYIMVAKNKEKSIAPVDSSSTSPSMSDMGSTPPVRRMKVKDISEYMINAAKENPQLVQKIHEVLRENGVVAPPDLFSEDSMEEPKDLILCDTTLFQSKDEMKRRMNELESREYTDCVHAPSLPHFPGHEFQPKVVPHQAHLESLKPVEGLGIYHPHDIRDIASPFVSQYEPSAPPQEAPSPLSKQLPVTAAAVATAAVVASSMVAAAAAKSNSDVNFDVPVAAAATVTAAVVATTAAVSKQYEHLDTGNQLLSLPSPSKGNEPIDKGGDDFWDKENLETDHAQDNALDREIPQEAERTSDKSSGTESAKSDLALEDVAEFEIQWEEITMGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDLSGDALEEFRTEVRIMKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEK >Dexi6A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:6A:16487628:16492056:1 gene:Dexi6A01G0011530 transcript:Dexi6A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLVKRKKNLLVLSFDRSYPSSAAAMSSGGRYMAYSPSPSTGPHSPHLQLADHEKYIAELLAEKQKLAPFMQVLPCTSRLLNQAEILHVSALLGIPVLDQPGYQHGSPLINGGSIPNGRPVEMNGWAPAIPSEFISLLSMFCFTFQGAGMFHPPSRNWLSPQGNSSGFVVKKTLRMDIPVDKYPNFNFVGRLLGPRGNSLKRVEANTDCRVLIRGRGSIKDAAKDESMDFFKKQQLRELAMLNGTLRDDSSQKFGSVSPFHNNMGMKRAKTRG >Dexi2A01G0036830.1:cds pep primary_assembly:Fonio_CM05836:2A:46280264:46281698:1 gene:Dexi2A01G0036830 transcript:Dexi2A01G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSCVSLLLVVALASAAAAQLSPTFYDRSCPRALATIKSAVNAAVAQEARMGASLLRLHFHDCFVQASGCDASVLLAGNEQNAGPNLSLRGFNVIANIKAQVEAICKQTVSCADILAVAARDSVVSLGGPSWTVLLGRRDSATAASLTTVSNSLLPPSSSLSQLISGYGNLGLNPTDMVALSGAHTIGQAHCSSYRAHIYSDTNINQAFATSLKASCPAGSGGATVLAPLDTTTPTRFDNAYFSNLLNQKGLLHSDQQLFNGGSTDSTVRNFASSPAAFSSAFATAMVKMGNIRPLTGTQGQIRTTCSAANS >Dexi4A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:4A:12213868:12216541:1 gene:Dexi4A01G0013140 transcript:Dexi4A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHRYTAALVLLLTISTRFLPFHAASSPALPDPASFEPSLLFPSTSTTSPTQPQSATGASTTIPAFPEQSEAAATTSICQLTPSPPLLPAVVSSCNANAAAVGSGGVVLLPPRLRCCPALAAWLYAAYAPTALSSPRVAGGEASVAEAAAVVDMPVVPDDAEECAGAADRALRAAGAVLPRPQQQGEGGNGTAACDVAFCYCGVRLRRPRCAAPEGRMARRLERDCALPGIEGCSKCLRALNKLSGKGNATSSAETRQEKREDCQVMGLTWLLQRNATRHQETATAVIQALMAADEAGAGHQATCALPADDELPVAVGSSQINGAVTAASPFGAGRLLLVLLGASLAFVSRCL >Dexi3A01G0022270.1:cds pep primary_assembly:Fonio_CM05836:3A:17854558:17857831:-1 gene:Dexi3A01G0022270 transcript:Dexi3A01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEPRRLTRALSIGGGDGGWVPEEMLHLVMGFVEDPRDREAASLVCRRWHRIDALSRKHVTVPFCYAVSPARLLARFPRLESLAVKGKPRAAMYGLIPDDWGAYARPWVTELAAPLECLKALQLRRMVVTDDDLAELVRARGHMLQELKLDKCTGFSTDGLRLVARSCRSLRTLFLEECQIVDKGSEWIRELALSCPVLATLNFHMTELEVMPADLELLAKNCKSLISLKISDCDLSDLIGFFQFATSLEEFAGGAFNEQGDLAKYGNVKFPSRLCSLGLTFMGTNEMPIIFPFSAILKKLDLQYTFLTTEDHCQLIAKCPNLIVLAVRNVIGDRGLGVVADTCKKLQRLRIERGDDEGGVQEEQGGVSQVGLTAIAVGCRELEYIAAYVSDITNGALESIGTFCKKLYDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLGYIGQCSGNIQYMLLGNVGETDDGLIRFALGCVNLRKLELRSCCFSERALALAMLHMPALRYAWVQGYKASQTGRDLMLMARPFWNIEFTPPNPDNARLVEDGEPCVDSQAQILAYYSLAGKRLDCPQSVVPLYPA >Dexi3B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:3B:882012:884614:1 gene:Dexi3B01G0001190 transcript:Dexi3B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPALTIALLILSLVLSYLLHQICLRSENIRKNLKRQGIKGPEPTVLYGNTREMKRIQQDLKIVQTQDANNYSLTVFPHLLLWRETYGPVFIYSTGALEILHVSDPEMVKDIGHCTPSELGKPTYLKRSRKALFGGGLLTANGDEWAYQRKLMAPEFFMDKIKGMIELIEDATSPLSESWDSMLDDVGGSREIVVDDYLRKLSADVIARICFGSSFTRGEEIFCKLRQLQKALSQQDALVGISAFWKYLPTRANREIKKLDEEVRLLILNVMKEHNNGAGKDLLHVIVDGARGCQLQGRDAEDFIIDSCKAMYFAGHGTIAATLIWCLMLLATHPEWQERARAEAVEVCQGGATLDVDALRQLRTLTMVIQETLRLYPPASMMMREALTDVKIGDLDVPRGTIIQVSRPMLHQDKDAWGPDAGEFRPDRFANGVAAACRPAHVYLPFGHGPRTCIGQNLAMVELKVVLARLLSRFAFAPSPSYRHAPVFRLTIEPGFGLPLLVTRL >Dexi2B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:2B:26421855:26422088:1 gene:Dexi2B01G0016240 transcript:Dexi2B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMGDLLPLPVGTLEVLLTCPRMSGYAGPAWPWFCDIYVSIDGKEVGSKLLPFEVEVEGVDEDISEREIRRHVRS >Dexi2B01G0024120.1:cds pep primary_assembly:Fonio_CM05836:2B:33570381:33572655:-1 gene:Dexi2B01G0024120 transcript:Dexi2B01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEAASARVGRGSGVAAGMRVFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQKVIQKEKQNCSTIQQLGATCLAGYISGAVGTVVSNPADNIVSALYNKKADNIINAVKSIGFRNLLTRSLPIRITLVGPVITMQWFFYDTIKILTGFAEPFHGIY >Dexi2A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:2A:31085376:31086611:-1 gene:Dexi2A01G0018970 transcript:Dexi2A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISDLAPELLDLVFVRLPLRDAARASVLSVAWGRSWRHLSDLNFTSPCAAVCDREAIDGVLLHHAGPRPLMQGLPGSIFSCHALKDIVLAGCVLPAVPASFTGFPSLTKLRIKCSSFHKGSDLELIISMSRKLEELTLGVILVAGCDERQELRMESSSLRFLDIYGHINITWVGARLPRMSYANFADASPGGSVVNLLRGMESSLEKLVYSCSCSPLSMY >Dexi3A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:3A:9655030:9657559:-1 gene:Dexi3A01G0013250 transcript:Dexi3A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHGRQRGEGPGITAPAINPLLSAAEPLRSASPRCLPLPTQPQDGAGATSLTPSSGIHRHNPPSIACAPFPSRNPLRSALFASIWWWYERVLSETMRKALLQLFLLCFLAAAAGGEAVGEGAELAVVVDPSWRFPSQRLRDAYVALQTWKQQAIFSDPRNLTADWVGPGVCNYTGIFCAPLPRGDPSAGELAVAGIDLNHGDIAGYLPTELGLLADLALLHLNSNRFCGLVPASLRRLRLLVELDLSNNRLVGAFPAVVLDLPALKFLDLRFNDFEGAIPMELFDRPLDAIFLNHNRLRSPLPDNFGNSPASVIVLADNSFGGCLPASLGNMSSTLNEILLINSGLDSCVPPEVGMLREVTVFDVSFNALVGPLPQQVAGMRKVEQLDVAHNRLSGAVPEAICALPRLKNLTISDNYFAGEPPSCARVVPADGDRQNCLPNRPAQRTPQQCAAFYSQPPVDCAAFQCKPFVPVPPLPPPPPPSYPGPLPPVYPMPYASPPPPSHYR >Dexi5A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:5A:8516448:8516645:1 gene:Dexi5A01G0011380 transcript:Dexi5A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVVLSAAGSDLVTRTIVEEVDGRRITNRGMLLEAT >Dexi2A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:2A:12221424:12222647:-1 gene:Dexi2A01G0010870 transcript:Dexi2A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMDAMKPYIVAIIIQVIYAGMFIILKAAFNLGLNTFVFTFYCQAAATVLLLPIAVFRERNTCAINLLNLALRFTSATVQSAIGNSKPVSIFCLALLLRMEVLNLKSAYGIAKLTGVALCLAGVFLIAFYAGPPLSPVNHHHAFQSGHTSSVPAGQGTWIKGTFLKLVGDMIWSLWITLQAALLKEFPNKMLVTATQSVFSTVQLFVVAIVAERDFSRWKLGLDLGLLAVIYYVIMTAPS >Dexi9A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:9A:2624511:2626196:-1 gene:Dexi9A01G0004790 transcript:Dexi9A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAARWKGLRLTAIVTRQLSRAARMVFPDDPHRLPITAAAAAGDRALPPPPSSTASGWHLTSTALMASQLLYLYTTLLSPALPLRHTFFGRHLSSRPLMRRLVRLFDPYRTLTFDEYEGGGRMARRSSAYEEIKAYLSVTCAASDAQHLRAEGATGRDATDKLVLSMLDGEEISDELAAGGATVWWRARSEAPPRSDGGGASGQEKRQLTIRYHGRYHGEVNDAYLPRIRQQGRELIVKGRQRKLFTNICSVYTGSPWSHVAFEHPKTFATLAMDPARKKEIVDDLDTFKNGKDYYARVGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTTVRSNTELRKLLIETTRKAIIVIEDIDCSIDLTGERKKKKKKQAGDGNGGASTSAIVEEDSSSSSSSSTTSWMTLSGLLNVTDGLWSCCGEERIIVFTTNYVEKLDRALIRKGRMDRHIEMGYCGFEAFRSLAKMYHDVDTHRLFVDIGELLREVEMTTADVAEHLTPKSSEDNPDTCLQALLNALEEAAKEKANGGSEMNMQDDGQDDIDEQ >Dexi1B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:1B:10151983:10158129:-1 gene:Dexi1B01G0010520 transcript:Dexi1B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVMRGTLESMTTNGGKMGFFNSNVLKGGDFCGEELLTWALDPMSGSNLPSSTRTVKTLSEVEGFALRADHLRFVATQYRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRYCRKKIEDSLHEKERRFQAAILVIFSFVLDAMELAAKHVFVASLFAGASPMKTVYVPLQLAPKMEAELRAQDVEAARACAAAEASSEDRLARKLEA >Dexi3A01G0035040.1:cds pep primary_assembly:Fonio_CM05836:3A:40319997:40320427:-1 gene:Dexi3A01G0035040 transcript:Dexi3A01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLCPRRHPRCSSVPGRRGKLDVPAVQWVADHEIVEGIGTADAPPEEEDEPIAGVADDPAPRLGEEGEGGVGGSLEGARGGGRAVRRLDGVEAVGVDEP >DexiUA01G0000090.1:cds pep primary_assembly:Fonio_CM05836:UA:750311:761631:1 gene:DexiUA01G0000090 transcript:DexiUA01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAAAMATFPAPSCPSSSPSSVFLTPSPSMTRKKALRAVAVRASVATASSRTLSAGWYPPGPSAARPAARKARLEEVDTTNMLLRQRIIFLSSPVDDTSADLIINQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKANVSTVCFGLAASMGAFLLAAGTKGKRYCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKSEEQIDDDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWKASGPTRKIMKDLPSEEKLILSGNGRAAGDDDKLKETSAT >Dexi2A01G0021910.1:cds pep primary_assembly:Fonio_CM05836:2A:33865457:33866116:1 gene:Dexi2A01G0021910 transcript:Dexi2A01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALCSLSLFGLLLPIFLIFTISTPETAIDKSVTAPSPVAAPSPVATADDRFLWACCEACANTPVCYRSLLPSAGSFHGNRVKVARAATIIAFARLHGVYDKLRRIPLPGGTGPGKLTNQALKDCTTSASISLGTEGDSLATLQRLETATRRRGESDLYNARTYVSSVESFANGCIDDLISSMNRTAPSPVANKVVAWAVDVLVYGDIALDLVASIKS >Dexi3A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:3A:8465973:8467007:-1 gene:Dexi3A01G0011700 transcript:Dexi3A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPSGACDDVLASILLHLPSISVLRCRVVCRSWRRITTDRSFLAALTARRPIHILTYAESMVPRSGDVLRSLDGLLVLRKRPGLFAICNPATRQLTHLLPLLPPEDRPLRFTAVACGFYSHAPSGEYRLLCHVQHKWVRYYSILSASGGGARRHGRRAPRLTHKSLTIHYSAPVLSRRGVLHWLVHPEAAFTGRMLAFDTASEEFSLMPRRPPERRRGDTSRKLVELDGELAMVVLRQQGASSSSWSLAVWDLRGYGEAAEEVWTMRYRVELPPPTSLFVDTTDDIVEEWRWLLPVGDGTCMLIGQGWRRF >Dexi6A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:6A:7199331:7199552:1 gene:Dexi6A01G0007240 transcript:Dexi6A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPPPGPPKLWWPELLGSPATQAVMKIKKDRPDVSVEVLPPGSPLTPEFNPERVRVFIDLNGLVAQVPIIG >Dexi6A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:6A:20196142:20197242:1 gene:Dexi6A01G0013190 transcript:Dexi6A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSLFKSHPEAAAEPPPEGRNAGYLIVKSVRDEEDDEITCLDPTRRILGLPFPQNRVLGVLNGKFYVPIVFVPVPDQPLASNRYYIVAASGNCKGLVMACSREEDMTMYCFCKCIPDAAPRPFDPADVYQQIEIVQSQHKRGWFTARSVAADGFPPSTYRSKYWKVYDCESKKKIVLSEGPGLHVALRSRRLADGVPGAAMAAVGKWYCPFFLIIEHGVARRDQVGRGAFYEVVLEQRWEPVHGDAVRQVDDNSKLASKKVLIGGSAEAKLEVGNSRDGTAYMWFVAATTGQRVGVCTTVWERMLWEETQGGWVDEEKDAGSVADGSVVLVERFVVKRMDGSVVVAFDFVHHNTTKAVLVV >Dexi2A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:2A:21905946:21906552:1 gene:Dexi2A01G0013380 transcript:Dexi2A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLCHEFQTDLPVSVVWEVYRSLALGELVPQLLPQVLSKVELVEGDGGAGTVLLVTFPPTGTSGPASYKEKFTVIDNEKYIKEATVIKGGFLDLGFQKYVVRMEIVQQEDGTTIIKSTIEYKVDAEHASNASLISTGALAAIAEAITKYIKEQKTGPQALE >Dexi5B01G0033200.1:cds pep primary_assembly:Fonio_CM05836:5B:33667885:33672531:-1 gene:Dexi5B01G0033200 transcript:Dexi5B01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAVAASREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFAALGWAAGIICLVIGAAVTFYSYNLISRVLEHHAQQGRRQLRFRDMATDILGPGWGKYYIGPIQFMVCFGAVIGCTLLAGQSMKAIYLLANPGGTIKLYVFVAIFGVFMIILSQLPSFHSLRHVNLISLVLCLAYSFCAVAGSIYLGNSDNAPPKDYSITGDVQNRVFGVFNAIAIIATSFGNGIIPEIQATVAAPVTGKMFRGLCLCYTVVVTTFFSVAISGYWAVGNQAQGTLLSNFMVNGVAVIPKWLLLITQLFTLLQLSAVGVVYLQPTNEVLEGFFSDAKRGQYAARNVVPRLLSRTVAVALATTVAAMVPFFGDMNSLIGAFGFLPLDFAVPAVFYNVTFKPSKKGAVFWLNTTIAVVFSALAVIASVAAVRQIALDAKTYKLFANV >DexiUA01G0024190.1:cds pep primary_assembly:Fonio_CM05836:UA:49936358:49937590:-1 gene:DexiUA01G0024190 transcript:DexiUA01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAGLMPLEAALTQMLDRISPLHDHETLPLVRCFGRIAARDIVSPLNVPGFDNSAMDGYAVRLADIRTDTALPVAGKAFAGQPFNGEWPAGTCIRIMTGAPVPPGCEAVVMQEETEQTEAGIRFTASVKAGQNIRRTGEDITRGATVFAAGQKLTVGELPVLASLGISEIDVIRKVRVAVFSTGDELQLPGQPLQDGQIYDTNRLAVHLMLEQLGCEVINLGIIADDPEKLRAAFIEADQSADVVISSGGVSVGEADYTKTILEELGEIGFWKLAIKPGKPFAFGQLKHSWFCGLPGNPVSAALTFYQLVIPLLAKLSGNQAHPLPVRQRVRAATRLKKSPGRLDFQRGILTRNAEGELEVSTTGHQGSHIFSSFSQGNCFIVLERERGSVEPGEWVEVESFNHLFGG >Dexi2A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:2A:29391636:29396505:-1 gene:Dexi2A01G0017510 transcript:Dexi2A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGYVNKDDRALLKVIKYASPTSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNVVGIPFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEEVITLPSFFGLYLPQVSNVMLYLVVQILPPSRYNEKEFTMFCALPWLAFRKGSSESSTVKFILKVPWSVIIDVSFMFVPFAALQCRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLQHLEHLLNAKGFCVICVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKYFKDIGVPADLKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGVCNTHYVYLPITEVITTPKRVNPNSRMWHRCLTSTGQPDFH >Dexi9B01G0041800.1:cds pep primary_assembly:Fonio_CM05836:9B:42178932:42180131:-1 gene:Dexi9B01G0041800 transcript:Dexi9B01G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAVVDGISALPDDLLHSILTLVREATAVTRTAALSRRWRRVWIHAQQLDLLDSKLKRGAVPGHFVGFVDWVLAQRGEAGMASLNINMSGYKTRASPSPESVNEWLRYAMQHVANSFRLHLPWPYERSPSGEVVEDDEPVVVLPDSGRIISIKLYLPCRNRLQLPVAAGAKYEALTELRLWRATFYGAVRTLGDFVSSCCPCLRKLEIFSPKGLPLLVLRFKTLQELSLYSAEDLRILDVTAPNLVVFKLENCFGDDGGDGDELVRIAAPRLQEIAIDHWLHSRRPVLDIHDLTSVRRLSHLQLNMHGQYYAPAMDVGFWLLEHCPSADQVDVWLRHSRVTEGGKIVDLMTSEGSATFANVRTMDMTVMVDRFPEDHLVASISAVPPSEMLAHLDS >Dexi1A01G0027570.1:cds pep primary_assembly:Fonio_CM05836:1A:33304138:33304425:-1 gene:Dexi1A01G0027570 transcript:Dexi1A01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRPGRALVAGDAAKRWRPGQMRWQPGLARPAAGGARSRPSVTQRGGSVHGVDFSGGGQGSPATARQDLAGEILAAAARSESGSGCERTEER >Dexi5B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:5B:6989300:6990556:1 gene:Dexi5B01G0010030 transcript:Dexi5B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLLLRRSHRLAVIAAVLALHIATAVAHNTDDTYCTNDTSITNDTSSADDTSNASADSHSSGASKPATDPINTGAHHDPGRTSETSTSTSHASTHRRPAKPPATIAPAKPPPKATPPAVLPPAASPPTTATPPPAEAPATLPPAATPPPVAETPATLPPAEAPSKGKNKHKKKKKQHGKKEAPAEAPQPLSPPAPAAPSPADLEDVSGPAPSAFDVNASSRQQQHWVVVVLQTAMAALLLSLAW >Dexi6A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:6A:24652255:24655688:-1 gene:Dexi6A01G0016790 transcript:Dexi6A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRAPPALPSPDAAASPPEPFRSLHISSTTAAGGGAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDTCNASRPRAETSSSPASGGAAGFGAAAASTSHHHQQRQMHHATAAAALSRTASSASPSSAGDIAGVSPVATWPSAPAMASSPAFHRFDQAALSSPPPPPHNLELQLMPPRGIYGGGAPPPTSVGSPSSTTPRTVTGDPMRLQLSIGFGASGGDSEMSAARLKEEAREQLRVAMAEKAAADEARAEARRQAEMAEHELASARRMRQQAQAELGRAHALRDHAVRQVDATLLQVTCYSCRCKFRAAAVAGGAMSSEVASYVSSVVTEGGDAEVVDDYHHHHRRQLNADDDTPSHARTMMDII >Dexi9A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:9A:2413011:2416243:-1 gene:Dexi9A01G0004490 transcript:Dexi9A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLSVNLLLPRAGRHSAPFPVATTAPLTSGRPKCATAVLPPGRRRRLYARLSASAAATETTPLSTEDAPSTSPPGEEGSFDWLDQWYPIAPVCDLDPRAPHGKTVLGLSVVAWYGRAAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQASALGPQVHKNSKACVASYPCVVQNNILWFYPRAEPEYKDVLQRKRPPLMTRSSEGGGPFKMKIEEANIEGFVSLQEDGYFQFIAPSTISKKTTPRILLVMFCVPVAPGRSRVVWAFPRNVGVWLHKITPRWLYHVGQNLILDSDIFLLHVEERKFAAAGLDNWQKVCYVPTSSDNMVIAFRNWFRKFCKNRIGWATPQVDQLPPTPTKDQLMERYWSHVAQCTSCKAALKAMKALEVALQVASVAVVGFLAIANGTAVTSTVQRTVVVSTAVLCFAASRWLANFIEKNFYFQDYIHAYK >Dexi1B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:1B:5911206:5914254:-1 gene:Dexi1B01G0007160 transcript:Dexi1B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKPAAAVMPAYHHRALPGPGQGPVPTAHGMMHREVLHDPYGPGMHLPPPGHGHGPGPGPFPYDMLRPPPPEVLEQKLTAQRVEMQKLAVENDRLAVSHASLRKELAAAQQELQRLQAQGEAAKAAEEQEMRGLLNKVGNMETELKACESLKAELQQAHAEAQNLVAVRQNMMANVQKLSKDLQRNLGEAQQLPALVAERDAARQEYEHLRSTYEYERKLRVDHSESLQAMKRNYDSMVTELEKLRAELRNAAIIDKSGVFYNTISGQKDDGTSSHLSVGQIACDGSYGRAQARTTPTGLADPLSGSPAGTGLHSGFDPSRGHAYDASRVASFSSSKSATHDVSRVASGFDSLKSAGYDASKAPAIGGQAAATATHGISAGSYGSNQAKPAPYAWVQSSSTYGSVEMPPSYASGSGSLPSSYGSAAVHPYASAQALPSYGQTQAPAAYGHTQLPSSYGLAQATSAFPSGQGSSPYGLAAQPPAYGSGRAAANAGYEGPHGRK >Dexi9B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:9B:10579453:10582583:1 gene:Dexi9B01G0015500 transcript:Dexi9B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFCGTTSTSPDEPEPTASSKASPQSAATTTTKRPATPPSSQGNSQEPSPRPKPKARPKADKPNPKPNPYDWAPPPPPPSSRGGGGGGATAARVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPSLVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSEDAPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGSLDLQREPWPRISEGAKSLVRQMLQMDPKKRPTAQQVLEHPWLQNARKAPNVPLGDVVRARLQQFSAMNKLKKKAMRVIAEHLSVEEVEVIRDMFALMDTDKDGRVTLQELKAGLRKVGSKLAEPEMELLMEAADVNGNGYLDYGEFVAITIHLQRLSNDAHLRTAFLFFDKDSSGYIERAELADALADEAGHTDEAALNNVLREVDTDKDGRISFDEFVAMMKAGTDWRKASRQYSRERFKTLSNSLIKDGSLVMAR >Dexi1B01G0025910.1:cds pep primary_assembly:Fonio_CM05836:1B:30867446:30869858:-1 gene:Dexi1B01G0025910 transcript:Dexi1B01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVLVQHPGGRVERLCWSTSAAEVMRANPGHYVALVTLRVAEERQDAPGGERRTVRLTRVKLLKPKETLLLGHVYRLITTQEVTKAVQARKEEKQRKAQQQLESRQSKARGDAEDDEDDEAALDASLDQN >Dexi4B01G0021080.1:cds pep primary_assembly:Fonio_CM05836:4B:23096799:23103077:-1 gene:Dexi4B01G0021080 transcript:Dexi4B01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIAIALARSHPSSTRSPEESKPLVGQSSPPPSPELLTTTTSSVNYLAEAISIPISATPSVPGAAAGMQFLTASASVSAPVQHSAHLLRLSRPPPFPHLRRRCSSPPKPLALTRRPPLLLLASRPSTLFTARAHGGHSHGHSHDHHHDHGHEHHHHHHGHGHHGVDEHMGGGGAAVMRVARAIGWADVADALREHLQLCCISLGLLLIAAVCPHVPLLSSVVRLQAALIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIAEEYFTSKSMFDVRELKENHPEFALLLDTSGEEPVQFSNLSYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEYYSRVVVALSLAVAILGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLTCKAIEPIHGHMGVTNGRSDPSCCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKELPAVAVESFECLPGRGVTATLSGVKVTLFHFEDEPRSGVCEVISTLREKAKLRIMMLTGDHESSAQRVAKAVCIDEVHYSLKPEDKLNKVKAVSREGDGGLIMVGDGINDAPALAAATVGMVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNAPTWSMVDDIQQFFDGLRNYFSSKFNSSSKYVTNTVPL >Dexi1A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:1A:4542824:4543486:-1 gene:Dexi1A01G0006090 transcript:Dexi1A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGAGGARRKCELCGGAAAVHCAADSAFLCLRCDARVHGANFLASRHLRRRLAVAGATAAVSESSADSASSTSCVSTADSAESAAAAAPAPARAERKRRRPRAEAVLEGWAKRAGLAAGPARRRATAAAAALRALGRGVAAARIPLRVAMAGALWAEMAPAAAASKGGEAALLRRVEAAAHVPARLVLTVASWMARASRAPPPPPAEDEEEGWAECS >Dexi4B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:4B:1878738:1882912:-1 gene:Dexi4B01G0002840 transcript:Dexi4B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFVQAAHVQLQIPETHPNPAEPNANPDPAPSTIDQRRSQSKQHPPPSDDARAAPAAGSGPELVRRRGEALLPRRRLGRRAEALPVQLPRCSPFKLPHSHHHHRTGRSCGDAGERDHDSRGGRINAGKAEWSWGAAGPTDSERELGGMVAGLRPAGELVWCGGSGRGEREEDEGARWGGTHLGAQPLAVSSLRPESLHGGPRSLRGPVVSGGGRRVTTTCEAEQPPPPPPVHHRLVPLPPPPARHRRPEGEIGWIWSLHGGSDEGDGGNVNNFMTTWRIRFLDGGMKTGMMSPPWNKILRALPPFIKQDGEKHEKEDYAQFCLHKCWPPFIGLWHYFWLSRLWRKKATCLCNKDEGCFFLAVRREQEQPLSQSPLNRSARLHVLQLQRPAACFVQPRRARVERLPVLLVARAELVGAPPRTAPDRPSAPIPSPEALHTRRCFTPCKRDDPSRRPLVIRARELAGYPRSGVETKILETGVVVALRRPIWILRFIIGRPRPCYSKRGWSRVFLSQTGIENRRPPVAAYRSGLSAGRFGR >Dexi7A01G0023690.1:cds pep primary_assembly:Fonio_CM05836:7A:31636273:31637218:1 gene:Dexi7A01G0023690 transcript:Dexi7A01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVMTDGEDCGVVRLVMPRTDVVTPGCDNLAAVEAGLKKSREPVRKPRSPRFGAV >Dexi5B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:5B:1005785:1009425:-1 gene:Dexi5B01G0001590 transcript:Dexi5B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGNTSVFPPKPHPLAYGKNSQRLPISTTACILSLEIMIQLEGSCNWPLDPVAMEKTKSAFLLKIGERCQQSYQLVSYCDVNVLAVAISFEWTFSPMVIDRNSDFNLKDEKEINVLKRMASYTKSSPELLTNLILNGQSGQYAWEHLFTQCIPKGLQAVVWFSWRRCCGCLTWENRKERGRQDPDETMPEPASILKEVGDVGKGLARDIYLLKAPKLQCTTK >DexiUA01G0001960.1:cds pep primary_assembly:Fonio_CM05836:UA:4857888:4859300:1 gene:DexiUA01G0001960 transcript:DexiUA01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIQSDAPRREPGQRRPMCGVCTKPIRLCLCGRLRSPPVDTAVGVTVLQHAMEVHHPLNSTRVARLGLRNLAVAQVTDVNHRAHFVLTTLEAGAAAALGTVTARPGNLAFPRDGTCGKSDAVVGASCDSEESRLNFSGDLGVEDVSFGGSEDILNLGDDGIFYFVGEDEVDSSDCAYNANSAASFGSKKMKNDGQPAELERPSSAANQIGRSVVDCVSGESNHKSEVGLTYNKLNGNSYHSAVPNGSKVHGVVNDCNGIGVEEVEVSDDLGQGWTRKSMDKCTIAFTEKELKIDIERGVKPKIRWLSRGPLGEAAVSNGFVVTKIQMKKCKLTGLVTKFEEFSITIPPKSTLLFPCQRAISIDASGCQVQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDRVSLYSEVRHEPRAGCLSTIESIVVAMRKLGEDSKGLDDLLDVFESMIADQRRCKDENVKQKLKS >Dexi1A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:1A:10919743:10923725:1 gene:Dexi1A01G0011760 transcript:Dexi1A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAEMVLAPTLPFKKVQTADKYPKGQSRGRQWKHLRHLLQAADASSMPPDRPNYLNIQSSPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRSF >Dexi9A01G0030810.1:cds pep primary_assembly:Fonio_CM05836:9A:35720050:35720879:-1 gene:Dexi9A01G0030810 transcript:Dexi9A01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNDLKLLGMWASPFVVRVKLALSLKGLSYEYVEEDFSTKSELLLRSNPIHKKVPVLIHDGKPICESSIILQYIDEAFAGTGASLLPADPYERAIARFWAAYIDDKMLAAWTQATKGKTEEERAEGMKQTLAAMETLEGAFSDCRKGKPFFGGDSVGYLDVFLGGLLGWVRAYEKLGVKTFDPEKTPLLVAWAERFWSLEAVEPVMPDVSKLVEFGKMLLARAAAAAAAGEGN >Dexi9B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:9B:5206656:5208508:-1 gene:Dexi9B01G0008510 transcript:Dexi9B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLDTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYILGVSSHGYDRSNMDDDESERMKKDIEASQDNALSLCTEKKGRYLQMNLQFFLQFRWLAGMRKGGLALVHLKHQRNLERGNGTGKGAWTLI >DexiUA01G0021680.1:cds pep primary_assembly:Fonio_CM05836:UA:44862571:44869520:-1 gene:DexiUA01G0021680 transcript:DexiUA01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRRGAKQGRKWKREPQLGDLVLAKIKGYPAWPAKISRPEDWDQTPMPRKFFVYFYGTKEIAFVPLADLQELTEKTKNDLVVRAPTIKVQKKYIQAYNDAVEQICKAYDELPKSSDAASGALPDQNEKTTDHLAKSPDDGENLGLERIEGDSPTDDLNASGQVSGTEEDAKHGGHEIGDHSLAVSHKKTSSQQAPEHPKTKKPVASESALEMYIEQEHSLTSVHEERETEVAKIEKGSRPAEGFLVDPNVKVVCALEAPKKSKANKLLRNAERKEKCADIANSTVRTAPEAASDVFNMSAEKESREFKKSKIMTKQSLTTGSEKRDHDKIVHGKPEKQMTGKSSAGFSSNKKHLAGGGQRKTDSGTDVRPAKKPRLTDRTGETDKTVAKSEMKLGINYEKHNAMKHERSTAVETGKITIPKAGISDDRARRSGSVASPISRHHSEVMEPATGSATQSTVADSAKKVSSMKEDASRVDRQLGKPKRTACRFDDDEDEGQRTPLHRTSAKSISTHTVPVEKEGARGKLSSHVGSVSEKRLGPAREEKSKSVGISPVKHELVCSLPSQDKMHARQQVMGRRSITGSVDTPAGMANKMNLVDRKSQVKTPTSSEVKKLHSSSKQLHQTSGYSHSRNYPVSEKNSLLPKSEDMKAKLRPGAQAVEHKVSTVSAERAGKRDHLKEERSNSVDKVSCSEANSDSVKSMKHLIAAAQARRNLMASAHGKFDGSLTDNSVITSTPYGLPGLSPSPVFHIPSPPRISFPESPGQRIVLKSPMELEHEHDRGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLVKKLESEPNLHRRIDLLFLVDSITQCSHSQKGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKCMGDIEAPIDDTSTSFMLKRPSRAERSVDDPIREMDDMLVDEYGSNATFELSGILSTKVFEDDDDFPQNNGSSPLIISQPVKSDDDIRETEDTITPASVEEQIIEPENVTTDAATQGALESPGSKEWTDGAILIEHDSRQESGSEKALVEQNELPPLPDGFPPLPSDSPPPPPPLPPSPPPATPPPPPPLPLSPASPPPPPPPPLPSGPPPQPAPPPLPTQAPTLPSIPPPVTSAPSSLGYQPPAPDYFRTPNGNQLSQMTGNTSIEAIGNTANFIPGGSVNGQAAVSFVPAVPSEYGNNNVFMAPQTSS >Dexi9A01G0040440.1:cds pep primary_assembly:Fonio_CM05836:9A:44185981:44187255:1 gene:Dexi9A01G0040440 transcript:Dexi9A01G0040440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGTVKQLNVGGKLFSLEASSLSLSSLSLDSPPPTPTFVDRDPSLLSAVLAAIRAPSSAAPAFPARVLLDEAHFYGLHAQLLAALSPPPLRGFSAHFYGLHAQLLAALSPPPLRGFSVSLASTLSPASEPFPTALAPHHDGSLCLAHGAGQVTYYSPTLDHISTFRTHLHRITSLRQLPPSLAILGSGSAPGLHVYDFLEGRHVASVQWSDPTDTRVSKAKVVAIAARPPADAADMDSAILASFECPHRENCILAVDPVTLKPMQEIGRQSGSAAKSSTPGRVVHLQELGLVFASSVSSGAFGYSGYMRLWDIRSGNVVWETSEPGGSGRSSRFGDPFADADVDLKQQAIYKQWQT >Dexi8B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:8B:3444031:3445750:1 gene:Dexi8B01G0003940 transcript:Dexi8B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKAAKQARLEKFEIPAKIKLVPEPWTPESGLVTAALKLKREIIKKAYEKDLAQLYY >Dexi8A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:8A:1219667:1223263:1 gene:Dexi8A01G0001790 transcript:Dexi8A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSRRASPLDVDDLLAEILLCLPTLPTSLPCASLVCARWRRLVTDPIFLRRFRACHWEPVGVFHTIFQSGREHLSFSFISDPPPGSILHDRFSVPARCQDAGGGDDVYTWNVRGCRHGRVLLINMPCYDRKVHQFLHLLGVPHFFDPDHDRVKLSNLQAAVIGDEGPFKVALAWKDGHTANACIYSSETGVWGDAVSAAGYPRVPYPMGKGMGVKSHPRARGTKSRPRPAECRPPSQSQSPLTSSPAAPHPAPPRQRAAARRALGPPPVRPPASAPPPLRLRLHQIARARHPAGPGSNSSSRAGRWSLAPSPTPDLLSQTVAVVLEFLGLQLLHRLVHPHLGILLHPMQLLLLTKVQNQKW >Dexi3B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:3B:5013975:5019779:1 gene:Dexi3B01G0007110 transcript:Dexi3B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGEFQTSLVQQMIWSGTGSGGNTNTSSSSLKPCREDQEASPNQLPPLSSPSVLFSQQFPHTSSGHLVHMNGTAAAGSLPSSLHDGQDSHMPESWSQMLLGGLVGDHERYSSATASALLSKGLAAESSWGDQAVAAAALKEEGSGMPQPAAYNFYGSHLAAGDHEMPAAAKSQLSQMLLASSPRSCITTSLGSNMLDFSNSAPAPEMRSHHHHSDNSSECNSTATGSAIKKPRVRKERLGDRITALHQIVSPFGKALSYPYMGHGNGTSMQNGPMGDRNPAGLFPEYPGQLLNHNSNTGAQQPASQPDEQQAVNDEAKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLSGILR >Dexi6A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:6A:12090296:12091529:-1 gene:Dexi6A01G0009960 transcript:Dexi6A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIRRAGCFHNRGHEDPCHASTSPYIAIFGVMQIVFSQIPDLDKVWWLSIVAAIMSFSYSAIGVSLGISDIIESLAQPSGLWEHRDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGDSAPDNMLTGFGFYEPFWLLDAANVFVVVHLVGTYQVMTQPIFAYVERRAAAAWPGSVLVREREVRVGRIMAFSVSPIRMAWRTAYVCVTTAVAMLLPFFGSVVGFIGAASFWPLTVYFPVEMYIAQHKVEQGSTRWLLLHALSAGCLVVSVAAAAGSIAGVVEELKANEPFCWSC >Dexi3A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:3A:7074043:7077568:1 gene:Dexi3A01G0009980 transcript:Dexi3A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVGRGATDEELKKAYRFLIMKYHPDKNPSPEAEPLFKQVSEAYDVLSDPQKRAIYDQYGEDGLKAGVPPPSAHGAGAGPFHFQTRSPEEIFSELFRGKFPGAGPRSPGGGVPHGFPRFGGAAGPGEASSAGLQRKAPPIERQLPCSLEDLYKGATKKMKISRDVLDAAGKPTSVEEILTIDIKPGWKKGTKITFPEKGNETRNIVPADLVFIIEERAHPKFKRDGNDLVYTHKISLVEALTGFTVQVTTLDGRSLTIPVKSVVSPTYEEAVQGEGMPITREPSKKGNLRIKFQIKFPTNLTAEQKAGIQQLLS >Dexi5B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:5B:8129444:8143581:-1 gene:Dexi5B01G0011460 transcript:Dexi5B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQGRHDLGPPISKSWLRPGYLAPELVRTGKATTLSDVFAFGPFVLEAACGRRPIKEDEDDMGGWFMLRKVSIAGAKDARLRLGLACLQPLLAARPSIAAHDVCDIQHIRGCDETEPTSMPRRCDDGGACWRTRAATNKSATMERSVSPPKLRLSNRYSASNGYRDLVEPVTRPLGHPELRLMRRRRGVAKKKIAAIRQLSGGKNQTSRTKHAASQSTTYPGPHSGPSSPSRACPRCQRPPPSDPQPVRPLPPDLYQLLRLAALDQPSSRCAAASPPACLLLAPRRNSGGRPDKAGSPVGEKGLPRKWEKGRRRSGWEKGGGGAVGGREGVAALDGRERTESPTFISHPSAAVSSAVAAPLAPLHLRRSSPPSPPALLPFACFTSDGLLLRRFPFTFYCLLRRCRPSSTTPASPPTAFSSLCRRPSSHPCCPTSPRHTRVPSPSFSSSGHIVPRCVPPLRTSNRLCKRLDRLCPVADLAMCQANNPRFAASDGVPPEVVIVGFRGASATGGLTGLYRRSSSEENSTTARSSAFVNLVFEDRSTISSTMGFDVGEDNIVTASIEDLIVEDHERFMALQKHIEAEYLKTFRKGREDLSTGMRKSISPHVSILSNARINFGVFPTS >Dexi5B01G0029700.1:cds pep primary_assembly:Fonio_CM05836:5B:30868532:30871050:-1 gene:Dexi5B01G0029700 transcript:Dexi5B01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPYKDYEHEGLVLPDIIRLPSLKKLTLCDVERLALFGSDVKVMLEKELPTCSVFESLMTLAIGEWCLVDDLYVVLRFLQLSPRLEKLTLKHRKLNRATEGAKTEPVSITGMTFQCPLLETVTIQCSKDDGEIQKTVDALVAAGISLEKIQVIFNEDIQKNLAERKRARQERKTGQSILEKKLKKMQDWVDDSPAISDSDNDDNEMEETMGDEYDDYF >Dexi2B01G0027930.1:cds pep primary_assembly:Fonio_CM05836:2B:36687864:36689255:-1 gene:Dexi2B01G0027930 transcript:Dexi2B01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSMMIDVCTLRDATGDFDESNKLGEGDFGAVYKYLHEDSQLKVVHRDLKASNILLDENMNPKISDFGLARIFGRDQTQAVTSRVVGT >Dexi7A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:7A:24811159:24811893:-1 gene:Dexi7A01G0014940 transcript:Dexi7A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWRFGCGGRCGWCWWSRKDGSGMKVAAGDGWCWPRSRVADGTPRSGGFISQPAAPVVGKRPKVEVALLLLPLLVVSDLAQGDDRSEASAPAEAPPPPPGENPKPAALPRSCWWCWSGGSISPGAAMIQLRNVCSWPAAAAASPSMASQPSSPTSPTSSVPGMGGKSPPTHSSFLAPPPPPPPPCSPGAAHLVDSAAAALLSEIAATPEDESPAAPPRDDDSVDVE >Dexi8A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:8A:3540871:3543661:-1 gene:Dexi8A01G0004300 transcript:Dexi8A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVASPRWRTHSREEAAAAVVPPPRRLAGAGEDDEEEAWRMLYGYERSLEASMMVDALARVVAGGEAAAPEAGGARRREDGSPAVAWMGYDYDAMSPPSSHSARQPHDYIASAVPAHLSPTVTASPGSSEHIPSPSSTPTSSSGGGQRRRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDEAALGFRGSRAKLNFPESATLGPPPSTPPAAPTPPPPPPERPGSLLESQAVSFSGGGGEEYSEYARFLQSAGETPCFFEPAVTAAAASSSSAFPVLFSFGGAAAGQQSWPQQGSRSEGDGGDGYTPAVSWTESGWWPAAPRDPSAG >Dexi2A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:2A:31040601:31042923:1 gene:Dexi2A01G0018920 transcript:Dexi2A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAWSVLLILICFWACPLRISGFSWNIFSSSTSGSPGMANQRTPVMELDGAVADFAIDDPNNPLALKLLENARNKVAGPKNCWQEAYRKLFASCGEIMADKERQSRLAWHLSSCFQEDSGRSPFPSCTEGSKMKNCCKRLSESEGKVYLEFFLETNTLCHQLQAEAFKQNTEKLVNDLTKTSKSAEEKLGVIEETSEQIIKESGKVKDTLSSIEMQAGHLAETSKNMGEQITYVLAHSKAIIEQSKEIATFQAALKEGQTEMREKIDAGMARLKESYERLGSGMDKLTQEAGYIQREIKIVGDSISSKMQDLQSTANDIESAAGKSLENQMQLLADQSRAMEGLNNLHSFLAQSLEESRETVQKLAQFGQRQQEELLARQEQIRQAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFALCITLVLEIGLIKVGADDFDTQFWVMSKVFLVRMVFMGAATVQILHSIFTYRDYEMLNHRLLQTLVDKVRVLEENAGGRALPCDVAESEGSLRDYSWVFDELADEVDSKMDPSYTLPPEEVGENSITTFDGRYNLRPRK >Dexi9A01G0022410.1:cds pep primary_assembly:Fonio_CM05836:9A:17620845:17621931:1 gene:Dexi9A01G0022410 transcript:Dexi9A01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQHSAVTCSNQQSHIASRTYTTCQYFLLQSCSFGRELRCRSSLYCSRKQGAATMKTPPSRPLPVFLTVVVVLLAAPAVVAAWSKGTATFYGGGDASGTMGGACGYGNLYATGYGTATTALSQSMFGNGASCGQCFQIACDAQTDSRWCRGWGATVTVTATNLCPPNYALPSNNGGWCNPPRVHFDMAEPAWLQIGVYQGGIIPVLYQRVMCSKQGGVRFTITGFNYYELVLISNVGGSGSVASAWVQGTSTNRVPMSRNWGANWQSLAGIAGQALTFGVTTTGGQTIVFQNVVPPNWVFGMSFMSNLQFTY >Dexi2A01G0036160.1:cds pep primary_assembly:Fonio_CM05836:2A:45752139:45756053:1 gene:Dexi2A01G0036160 transcript:Dexi2A01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLVAHLVRLGVLSSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNKIDVAVVLKFLGNFCYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPLEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPACSNTVTSEPSSPKSLHSPLLTSMQGSDLETAAANIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSTHDGR >Dexi4A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:4A:17227659:17228054:-1 gene:Dexi4A01G0014790 transcript:Dexi4A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPPAARFSELRPAPHPSRRVVPPPSAPPQEESRRQLLGAPRRHLLCLEQSRANATCSASSRAAQPPMLGVPLLLGPAPPPPLLGARTKKEEEARVREWRQQGRAWREMVEKDSGEGGAARKGSKRGRN >Dexi5A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:5A:8010952:8016258:-1 gene:Dexi5A01G0010670 transcript:Dexi5A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKSIPDGAGGEYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKSPGYTFTYWGYVTSAASFNNVQGVDPSIRAEVWEFLLGCYALGSTSEYRGKLRAARREKYHYLIRQCQSMHASIGTGELAYAVGSKLMDVRTLPKETDSGEEVSTSQRTSHQAPCNVVENSNLNCGSGSTSQSQKRKGCSKSAEPIGFNNVHNDSCVYDSSNFMEPPPVVNSLRDSGDYDDIGEPRYDSETFTDFPSLSGANLFANGGEDSSGVEESHCSFLVPEDRLRPRDERMHSFQINNNIDLIIESNSFSNDLFRPSNSDSAIFHSDAYKQDRWLDDGYSKEIMDSLRISDAPDADLVDGTKSNGLIADKDRVSEWLWTLHRIVVDVVRTDSHLDFYEESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMRENFQMEGPTGVLKQLQALWKIMELTDVELFEHLSEIGAESLHFAFRMLLVLFRRELSFEESLTMWEMMWAADFDEEAIRRLEESCLESLLMFNDNVLKINVKRCVRLAIKLRKKYLYKVNSDAELLNFLSINLAQSLKGGPSDDKES >Dexi9B01G0021200.1:cds pep primary_assembly:Fonio_CM05836:9B:15869064:15873214:1 gene:Dexi9B01G0021200 transcript:Dexi9B01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGGSGGYECSFKILLIGDSSVGKSSLLVSFVAASHLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTGKHVLVLRLCKYHLPHSPLCSKVAQCFTMLPLSTGQERFRTITSSYYRGAQGIILVYDVTKRESFSNLADVWTKEIEANSTNKDCIKMLVGNKVDKDEERMVTREEGLAFAEEAGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSSVVKRNILKQKQESHAKHGNGCCQ >Dexi5B01G0028800.1:cds pep primary_assembly:Fonio_CM05836:5B:30116885:30121297:1 gene:Dexi5B01G0028800 transcript:Dexi5B01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLNDCLNEISRALLQSDVQFKMVRDMQTNIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIITKMDGHAKGGGALSALDTFTECTFTPDLTFENICVTLCPASLFIFRVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWGKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMSGMFGGMGGDR >DexiUA01G0007240.1:cds pep primary_assembly:Fonio_CM05836:UA:13802213:13812673:-1 gene:DexiUA01G0007240 transcript:DexiUA01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRICQKEGSVIPDEEILQRLQGWTSFSKELPGMVLEIERSIFKELVDEVVHVASSKDAGGASNPRTWPPEGRRPRTEDQSPADRLTNYSAVVRVRARPEAMGIATMHEIRSLRLVHNNLTNQGLTAILDNCRHLESLEIRNCCNIVTDDALRAKCAHIKSLKLPIPSPISPPRRLNSLLLPLARPSKPFGPAHERSPPARLLPLTPARRHAGPTRQLLLLPPAPLYKRRHPLARPLPKTLAATEPYTASPPRNPSSSAAAANSSPRRPIVDETPSRSFARYRGARRAPNPPSLSLCSACASSPSIPPPPPRNPRAQPPKRVPRSFLSLPGQMRRALEFRSPVSANSGEVPRCSALQSTVAVRLDLNGLDPIRTRSTVDPWTATATSADVIPQPRPGIDEPFEFADDPVSEEQVQQQFIEEGKYNTDNP >Dexi4B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:4B:3475296:3484612:1 gene:Dexi4B01G0004860 transcript:Dexi4B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIILGELITGREIKPKLLRAHLVPIPHLPPPIKTLAPSVHPISSDPILGFPRAAAAAASVVSTAAAMVRISDLCACSRIHALVLVPRRWSDPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRFVGLLFASVVFRCHPWRRPVQREAQALAPHQARILSGGGDAEHRRLGKLGFTSRPRDASILPFWLPNGSSPSPSRVGYHRVPAAFQACKFAHHLVDTLQVLDTIDAKPISNLLEACSVKRGERLHCSLLYPHQRKPRGAKAKSLEARGATMLDGEDVSLESGTVLFERQVNGKHCRPDRVSLPARTHAETGAANQRRPTNAAQPRARCPPWPPYYKTPSPSPRATPSSSIHGERGAEAVHAPPPSLPAMASISPEDVRGSDVDLEVFKTLKCDRKGLTSAEGEGRLRAFGPNKLEEKKESKLLKFLGFMWNPLSWVMEMAAIMAIVLANGGGKPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQDASILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPANKHPGQEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMFVELVVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLTVDKSLIEVYSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADTKEARAGIKEVHFLPFNPVEKRTAITYIDSNGDWHRASKGAPEEIITLCTMGADAEKKVHALINGYADRGLRSLGVAYQQVPEKSKESSGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTTLLGDKNGTVNGMPIDELIEKADGFAGVFPEHKYEIVKRLQERGHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFLLIALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPKPDSWKLNEIFATGIVLGTYMALATAFFFYLAHDTNFFSDVFGVVSIKENDRELMSALYLQVSIISQALIFVTRSRSWSFVERPGYLLLFAFFAAQLVATVIAVYADWEFCRIQGIGWAWGGAIWVFSIVTYLPLDVLKFMIRSALSGKGCSKEQNKASFACPIVSNPLH >Dexi9A01G0028010.1:cds pep primary_assembly:Fonio_CM05836:9A:32621046:32621453:-1 gene:Dexi9A01G0028010 transcript:Dexi9A01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDDLPPDNFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRERLRWCYRIEGINHHQKCRHLVDQYLESTRGVGWGKDARPPEFHEPKKVAEAE >Dexi3B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:3B:406585:413245:1 gene:Dexi3B01G0000590 transcript:Dexi3B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAPRPHHGCCGLKCEDTQQASMALGDLMASRLVHSSLSPSPSSAAPSAPLPNHHHHSRVTDGLAVANGPEPRNGLEAAEVERPAPVAYLPQVVVLCEQRHEGLDEAAAATGPSTSGLVSKWRPKDRVMDAIQYSDAASADSAITQTMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHTQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSVEFPADVFTACLTTPIKMALHCSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSATELRQILVFIWTKILSLDKAYFPEAQLLGLHSNAPEILIYLLSEPQPEVRAAAVFALGNLLDMGSTSVNGVDDDSDDDEKLRAEINVVRSLLQISSDASPLVRSEAAIACKMTSQIASWDTRFESVAEETMGACLSFTMLPFLSFMVSQIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPIVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi5A01G0039890.1:cds pep primary_assembly:Fonio_CM05836:5A:40279879:40283979:1 gene:Dexi5A01G0039890 transcript:Dexi5A01G0039890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETTKLFDVVGRAGSGGNNMAASPSAPEKAAAAGTLMQVLNSGGGGARPPPQGGALRWPPPTGYGYHPAGTVAPQMLQQLMMLAGWGTRPPSSPWMMLGPSSSPSSSSIRRIHPAGAHAAAAAGTTTTSSISSVIISRRRRRKAPNVTPLEITGPAAPPPSKRTTTKAATALLPPVLAMPTTAGGAANGRVRKRVTTKEEEEARKQQQTRTRSTAAPPPPPTTNNTSQSSSSNLASPPPPHLRRMTRKRKGAAPRCTQLVPRRSSAVAQAPEAPPNKFTVLTWLIHAGFLSHGEKLFYVVPAGAHNQVVSGAVTSTGVHCSCCDALVTLPVFAAHAAAAASASAAAAAASWDKLLLVSGHSLLRRMQEAWISLRQDKQQQQAKRRRKNNIKDSSDDACGVCADDHQHCRPLLDNGPDIGAYCTETCSKLYAQLSDMIGVMNPTQDGFSWSLLKIQKDAQDMPLVLENNLKLAVALGVLNECFNPVKDRRTKIDMLHQAVYSLGSEFKRLSYEGFYTIILEKDAEIISVALLRSYSYSLFFIPLFNFKRIHTNMPVLPLFRFHGRKIAEMPFAGTLPNYRKQGMMRRLVMAIDQVLASVQVERLVIPAVDDLVGIWRSSFSFRPMEPHLFEDIKRLNLVVITGTTLLCKPVAVPQSSPSQQQAASSEEPWWLKYTVGAPPLTDEERAFLETDTFGGSFTDMLLTDSPPCPGSSSAAAAPPPPAGGWRSCGEATVMALQQQPTSARGNQLLHGMK >Dexi1A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:1A:6702605:6706513:-1 gene:Dexi1A01G0008590 transcript:Dexi1A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TAT-binding protein homolog (Fragment) [Source: Projected from Oryza sativa (Os02g0205300)] MATVAMDISKPTPAASGDEAAAAAKGRSGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >Dexi9A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:9A:399269:402151:1 gene:Dexi9A01G0000730 transcript:Dexi9A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRKNFRRRADDADEANGDGVSHPKPATATKTQTLTVPKPKSPPRRQGASRLSFADDEDDDDAEEGPFANRRRPTASVRPARTASAAAASLHRLTPARERHRSSPAAAIAAASAPAPKPSNLQSHAGEYTPERLRELQKNARPLPGSLMRAPPPPSTPTTEPRSQRLAGAPASSTPTTSTAAATEPVVILKGLVKPMAEASIGPRKPLQQQEEDKSEEEEEGDEEDQGPVIPDRATIDAIRAKRQQMQQPRHAAPDYISLDGGGVLNSRNAAGESSDEDDNETRGRIAMYTDKSSDGPRSTKGVFGGINNRGPAASLGTLSDGISREVEDDRDDDDDEEERRWEEEQFRKGLGRRVDDVSAQRSANGAPAAAQVQPHSFGYSVDSHYQPSLSGAVPSASVFATGSMEFLSIAQQADVANKALQENIRKLRETHKTTLTALVKTDTHLNEALSEISSLEIGVQDGEKKFIYLQELRNYICALCECLNDKAFYIEELEEQMQKLHENRASAISERRVADMADESGVIEVAVNAAVSILSKGSSPAYLSAASNAAQAAAAAAKESSNLPPELDEFGRDINLQKRMDLKRREENRGRRKARSESKRLASAEKNNGIEQIEGELSTDESDSESTAYVSRRDELLKAAGVVFSDASDEYSFLSIVKDKFEGWRTQYPSAYRDAHVALSAPSVFAPYVRLELLKWDPLHERADFFDMDWHKVLFDYGMQDHESDSGSNDTDVVPVLVEKVALPILHHRIKHCWDVLSTERTENAVDAVRMVIGYLPTSSKDLHKLLGSVKGRLTEAVDDLSVPAWGSMVTRTVPGAAQYAAYRFGVATRLLKNVCLWKDILADHVVEKLALDDLLRGKILPHMKSIIMDVHDAITRAERIAASLSGVWSQQSQKLQPFVDIVVELGNKLERRHTSGVSDEETRGLARRLKNILRTLNEYDKARAISKKFQLREAI >Dexi1A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:1A:28308860:28310603:-1 gene:Dexi1A01G0021560 transcript:Dexi1A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRGCLCRSEEDFGGLLNLENLRLFSYKEIRAATNNFDQRNKLGRGGFGTVYKGVWGDGSTFAAKVLSSESEQGVKEFLAEIESISQVKHANLVRLLGCCIQRKNRILIYEYLENNSLDHALQGSGNGGTCLTWSTRSDICVGTAKGLSYLHEEHEPNIVHRDIKASNVLLDRDYRPKIGDFGLAKLFPDNVTHISTGVVGTCGYLAPEYFVHGQLTKKADVYSFGVLVLEIISGRRISQTIRSDSDMFLVREAWVLYQQGRLLDMVDARMEGCYPEEEVLRHIRVGLACTQATPSSRPTMSQVVAMLSRLHEDEAAIPMRPPSFAEHYGDRTATDGPSVGPLLQVSPKARWPDAAAAVAQPPVCSASFTYSEVAPR >Dexi3B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:3B:10403445:10406657:-1 gene:Dexi3B01G0014390 transcript:Dexi3B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGSGSGEQQSPNPAAAAADADPGAGAEKLEFDAPAQPMREDYVQNAVKFLSHPKVKGHPVVYRRSFLEKKGLTAQEIDEAFRRVPDPQPGHTTATASQPQQQANSQNQYTGVQTYAPVQSVQPGTAGPVVVRTQPRFSWYQAFLAAGLLLGFGASAAVFIKKLFLPRLKSWIRRVVAEGDDTQGNQLKAKIDEETAEAVKASASAVSAIAKTNQQLLASKDEEKKILVTLTQALDSQAKELKSLSESLSHSRESINITREDRFSQYRPLEEHAPPAIRNGPVNTSWRATQQNNMYGASNGDFGSGRSSFAPVPVEPTSGSFSRSYAEQTMSTAQRGDRSSGSKPWEMQQYSQQRPSYGSNSQLSDDGSYPDAQESYPPYHQNGKAPDFQADEPRPLTYNTGVEERPPPQRRWVPPQPPGVVMPEAVAAIRQPKTLPKQPSSVASETAGEVQVNGASSASAVVTEVPVNGAAASDTGRNEIEEQTEAN >Dexi5B01G0027850.1:cds pep primary_assembly:Fonio_CM05836:5B:29383624:29385778:1 gene:Dexi5B01G0027850 transcript:Dexi5B01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGAQLERRSSVRRSQSMAQEEDRGQEVDEELMLRSQGSSTEPSGGPAKIAAVVEKDSAATKQSGGPSEMDQMKEKFAKLLLGEDMSGSGKGVPSALALSNAVTNLAASVFGEQRKLEPMAPDRKARWKREVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKLDTMLLDYLDNFKERNEFWYVKRDSCSESENEESQRSDEKWWIPIVKVPPGGLPKPSRGWLLHQKELVNQVLKAAMAINANCLMEMHIPDTYIDTLPKNGRASLGDALYRIITDVEFDPDDFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSTLDIAKIQENRDVGFALLESYSRVLESLAYNVMSRIEDVIQADNLAREKAKRDAPPPEEAAAGRRDAQEGGDGTTLLDFMGWTGESEGRNDACSTPPPPPEMPAQDDGRLMKLPNIMTNLKQTYMEKLDFLSGSRSPAGRH >Dexi2B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:2B:12466058:12467635:-1 gene:Dexi2B01G0010960 transcript:Dexi2B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIVSAIVGEVLSRSITFLIKTCSKSKRTEPPPPRPSEPEESLDRLARLLLRIGAIVEDAEGRQITNQAMLQQLNALRHEMQRGHFTLDAFRCHAHDERHQSPPADHEPAGRVSFALSRFNPAKRLCVCSGRGDEGGAMDLRRVLASLEASVQGAAEFVLLSGRYPPRLARQPYSMYLLVDNCMFGRQMEMERVIGFLLRGADDPGAEHLGVLPVVGCASVGKTTLVEHACIDERVRNHFSKIVLLGGGDLVIIDKDMEALAGGAGVIKHENDSGESGGGRVLIIVELDKDISDGFWRRLQFLTREAYWYFFKARTFGSVDVAMENPKLALIAMEMATEMNGCFMCTTIFGGMLRSRLDLDTWSRTLATYREFKQRNRIAFEQRDHFNCHPNLVDPWTLSSPILLPTVNKVSPGYFVVVNYYETAPGIGNRAAPMVSVQDVIFGSARPQGKFAALAWRSHIPPYHSYVFSCEQRMPSVSTVSRKKRIPN >Dexi7B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:7B:12079073:12081074:1 gene:Dexi7B01G0005030 transcript:Dexi7B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRIAPRTDAKAAAAAAADGARPAAGDHRNGMEDGSKDESFFEAKPWLDSDSEDDFYSVRGDFTPSRSSTPDHPRLMTSFSGRMPVVDISKPSLIQKKQRLLELLQEKQHYDDDEDTVTDVSSDLENGTVHDEEHVKTSRKGKKSNKSSRSGCFPSLFWKHSLTSSRKKRKGHKHKVN >Dexi5B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:5B:1217492:1220770:1 gene:Dexi5B01G0001910 transcript:Dexi5B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFHPSGDRKKNLMAGRIDSDDIDFLNMGGFDMGIDFDGFEENVKKFIELPGKYLDSAHDKAVEFIEDVHAILIAPFTYDEVPDEEDQSSTIVFTESSPASVETELVGPNTEASTPASLITVENSSIGCVDTDAHGTESFSSESKGLSLMNHAYSENISSEGGRFEANDLSLLPEAKDSSSSGLCDSSEEVILWNPESSVKPRSHDSSVCSREIPLENFRANYEEEVVMHSASDPVGVPVHETLVDSSVFFEALLIEDSTTNSEEQMTSYSANDPMKETTHDLSDDQELMENDKIEVSPVPQRNNASFQIGRGLELVSVQG >Dexi7A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:7A:23367366:23368102:-1 gene:Dexi7A01G0013240 transcript:Dexi7A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGREHVIGIPVSNRAFGIEEPDFPSKGNAYHGDAKNTTTAGRSGKFVRTGDRVAQGLKEHVTLGPKLYETVKGKLSLGARILQAGGVEKVFRRWFSVEKGEKLLKASQCYLSTTAGPIAGMLFISTEKIAFRSDRSLALSSPKGDTVRVPYKVAIPLGRVKSAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIAQSQ >Dexi9B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:9B:2106968:2108298:-1 gene:Dexi9B01G0003670 transcript:Dexi9B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAEASALAGAAGRQGDCGGVLPMLPPFFMGSIWPDGGAADSEEDEAAAAAAHDRALAASRNHREAEKRRRERIKSHLDRLRNIDKASLLAKAVERVRDLKQRVAGVGEAAPAHLFPTEHDEIVVLASGGGAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDVVDDDGVVAGDDEGYGGGSIEGNGGGDFLKEALRALVERPGAGGGGDRPKRRRVSDTTNMQPAA >Dexi7B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:7B:24374244:24376480:-1 gene:Dexi7B01G0018750 transcript:Dexi7B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGEKRRHGGGHHVHGVGFGVGGHAEHDEKHREPKKLDMSGMSMGTIPHLSMPLGNITTLDLSNNNLQSIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLHELPATIEECRALEELNANFNELTKLPDTLGFELHGLRRLSVNSNKLAYLPSSTSHMTSLRLLDARLNCLRSLPDGLENLGSLETLNVSQNFQYLRELPYGIGLLVSLRELDISYNSIAELPDSMGCLTKLARFSAAGNPLVSPPMDVVNQSLDAMRAYLSARINGTGAKDRRKKKSWVPKLVKYSTFSAGMMTPGRRAAKVHGSAAEGLLMSDYRSIDGGGIASPGFLSMLSPRRLFSPRRNSHKH >Dexi9A01G0028410.1:cds pep primary_assembly:Fonio_CM05836:9A:33102913:33103308:-1 gene:Dexi9A01G0028410 transcript:Dexi9A01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAWEGSFPLYNGSATAKPKRARHPGEQSPSREEKKQSRDGDAAAAATAFLVASTMNAVLVDAGRSLAQASYGALIPGGTPSVP >Dexi3A01G0022960.1:cds pep primary_assembly:Fonio_CM05836:3A:18565167:18567669:1 gene:Dexi3A01G0022960 transcript:Dexi3A01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSEPLLAGADAMADAAVDHRGLPADHGATGGWRSALFIIGKSKSYLQDPLFAVEIAERFAFYGVSANLISYLTGPLGEDTAAAAAGINAWNGVAQLLPLLGGALADKWLGRYRTILLASLLYILGLGMLAFSTLISSGGHRQCSAAAGQACAPSSLQVAFFYVSLYIVAVAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCGGTAFTLVFLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYITSSKQGLFARAGEAFAEWRSKRKSGTPDQASQERDPVASQAPGFRYSITQCGHRLRLTMSHKVFDGDIIAFLPFCVKSFFSAVADEEEQAVVSNAGFVKEAKAILRLFPIWATCLIYAVAFAQSSTFFTKQASTMDRRIGDHFQVPPAALQSFISITIVVFIPIYDRVVVPVSRRYSGKPTGITMLQRIGVGMFLSLVSMVIAALVETRRLGVARDAGLVDKPKVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSVIDRVTTAKGGSWFSNNLNRGHVDYFYWLLAALSGLELLAYVFFAVTYRYKNKGTVHATVAY >Dexi4B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:4B:2440367:2440735:1 gene:Dexi4B01G0003500 transcript:Dexi4B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATTALAFVLLTGSSIMAIHRSQYDAAATAFVAASYAALVLLFCFLRLFEAAPPGSPARGRARAGVWLATAALTAMFSWRVAALVPWHVAAGVWLLGASTVLGGFYALFLVRPARGDEE >Dexi9B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:9B:5264257:5264613:-1 gene:Dexi9B01G0008640 transcript:Dexi9B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVESVSCECCGLEEECTGEYIAGVRGYFGGRWLCGLCSEAVKYEAGKCKGAPDVEEAVRAHMAICRTLKMSGGPAGRVADGMRQMLRTASWKKAAGASSSPRGHHRASPPLSVGL >Dexi9B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:9B:4281237:4284790:1 gene:Dexi9B01G0007100 transcript:Dexi9B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAFLTFGPHVVYYSATPLSEYDTIGTSVKAAAVYLGTALVKLVCLATFLKVPENDSFDPYQELMKVFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGIEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >Dexi7A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:7A:19752712:19755682:-1 gene:Dexi7A01G0008520 transcript:Dexi7A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTSTADLLSWPATGPDAAATASPAASSRPSLKPAAGITPAMFGAPVSEEEAEDLSKSERKFCSGSKLKEMSGSGIFAEKSENGDSEASNHANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSIPEVAKQRELSGTQEDADAKMNKQLSEAKTKELSGSDIFGPPPEIPARPLAARNKELQGNVDFSLPQRSVHTSVKVSNPAGGPSNISFSEEPVVKTAKKIHNQKFQELTGNNIFKEDAPASAEKSLSSAKLKEMSGSDIFADGTPAPREYLGGVRKPPGGESTITLI >DexiUA01G0000120.1:cds pep primary_assembly:Fonio_CM05836:UA:1294030:1295225:-1 gene:DexiUA01G0000120 transcript:DexiUA01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDHDPTEAANKVIAMYLRCLTGIRPCWLPWAEYIYNTAYQSALKEMPFKIVYGRDPPTIRSYEEGETRVAALAKGMAERDELLADVRYGLEQAQAVYKHHYDKHHRDVSFAVDDWVWEAPASLPALTRGKLQPRYYGPYCVLAVINDVAYRLELPAGARLHDVFHVGLLKKYVGLPPDVPPALPPTSNGAAVLEPEKAVCARLARGVRQVLVQWKGESPASATWEDIDTFIQRYPAFQLEDKLLLEGGRDVMWGRTYERRRRRVQGKLPALLLPPATSYAAGDLLSWIVRPLTGA >Dexi9B01G0048940.1:cds pep primary_assembly:Fonio_CM05836:9B:47800217:47800454:-1 gene:Dexi9B01G0048940 transcript:Dexi9B01G0048940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTAVTAAEAAAGEETQRAATREAPGARGPSSTDAKAALLMGGLILSSGSGGGGDGGRATSPDSAVACQQRRPHA >Dexi9A01G0027830.1:cds pep primary_assembly:Fonio_CM05836:9A:32445617:32446120:-1 gene:Dexi9A01G0027830 transcript:Dexi9A01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQERKPGKVGSVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPESGAPPTNI >Dexi5B01G0037600.1:cds pep primary_assembly:Fonio_CM05836:5B:37066222:37069285:-1 gene:Dexi5B01G0037600 transcript:Dexi5B01G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAMTHSSAFLLPASAPASSDAAATTYALIVLNQRLPRFAPLLWSRGDAPSPSPSLLAIAGWLAGLWFARLIGFSPRLTRALCFLLEAASLRVCADGGANRVFDGMPELLPGEDPADIRSRYKPDVIKGDMDSIRPEVKEYYSNLGTDLVDESHDQDTTDLHKCISFITRDLPVPDKSNLCILVLGALGGRFDHEMGNINVLYRFANTKIILLSDDCSICLLPKTHNHEIHIEKSVEGPHCGLIPMGGPSTSTTTTGLRWNLDNTSMSYGGLVSTSNIVDDDRVTVTSDSDLIWTISLRKSES >DexiUA01G0001180.1:cds pep primary_assembly:Fonio_CM05836:UA:3582451:3584264:1 gene:DexiUA01G0001180 transcript:DexiUA01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPEFYKYLEEHDKDLLGFDDDEIKDDEETGISDDGEPVSKDEQKQVVKPIAMEMFDSWCDGVENEKIGPASYLVHVYKNTALCRYMKCSLPNRPKGRGLQSFLKICCYSAPESTGQDGALDETSVIVGAESSTFSRRLTEAQKQQDEPDDDEGTIAFSKNFPTEKKPKTTKEKNKKRPRDHDAAATEEDLVEDLVLSSDDEDTDNQGSDEDDSVPVEDDSDEDFVDPDSEYKKQKKAELKNRNMRPPLSNNKTKRKARPKKKTKH >Dexi6B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:6B:2848424:2848867:1 gene:Dexi6B01G0003450 transcript:Dexi6B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSSVVQAHQWWDEWQLRFLVLLSLSIQCVLLLCCPLDPSDAVPINALAAILDRQKKAPDSTPVHGNRDLELLWAPILLMHIGGSIAVPIRNMEESEQWTKHLTVAVSQVTTLSSTHQLCIIPSQGY >Dexi9A01G0027230.1:cds pep primary_assembly:Fonio_CM05836:9A:31690555:31691740:1 gene:Dexi9A01G0027230 transcript:Dexi9A01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTSLLSTLLQMPLAPPFSGKSSPPSVVHVARRAPTAVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKTDEKKRKAREAGRRNRRRRMMDEPRFPEEDAGAAGGARDEDDDNWEIDGLL >Dexi2B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:2B:27295437:27297422:-1 gene:Dexi2B01G0016970 transcript:Dexi2B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNNRVFEPILRRAAPGIVVNGDGHDELDTGDHLSHGSSFRGPICESYMDPLTLTTWTSFLSTLQSAVLAFFVLPDWNAWKIHSMSELLCYNFVGVTGSGVNFSLQSWCISVRGPLYTAMFMPLGTVITTVLAAIFLREELHIGRHVPSREPPCFFWNSLTHF >Dexi7A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:7A:16193767:16199136:-1 gene:Dexi7A01G0005080 transcript:Dexi7A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNMPTRTLTLGGFQGLRQTNFLDTRSVIKHDFGSIVASQIARPRGLASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGHKMPTLEEYGTNLTKLAEEGKLDPVVGRKDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVAEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEINLQVTEKFRDRIVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPLEPALSI >Dexi3A01G0036840.1:cds pep primary_assembly:Fonio_CM05836:3A:42351079:42352182:1 gene:Dexi3A01G0036840 transcript:Dexi3A01G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRLRLLFSPTTPVAASPPCHRLCIPAWSRRQDQRSVAAASGSGSSSSSSSSPSSSEQSTAATRINKRETTREKVTLKLTYLEINSWVWEVQQQQGQAAPLRILVDPLVVGNLDFGAPWLFDGAKKNPRVKALGVDDLLAPEPGPDLLLITQSLDDHCHVRTLTQLSARAPDLPVVTTPNAQPVLDSLPTPFRRVTYLEPGQSAAVGDHHQIRVLATAGPVLGPPWQRPENGYILLMDGHGVLYYEPHCVYGRSFLEEKRLRAEVVITPVVKQLLPANFTLVSGQEDAVDLARLLRARYVVPMSNGDVDAGGIMAALLSKQGTTQSFQAMLSEALPQVQVLEPTPGVPLQLELDITSPTEADTI >Dexi2A01G0034000.1:cds pep primary_assembly:Fonio_CM05836:2A:44130373:44132269:-1 gene:Dexi2A01G0034000 transcript:Dexi2A01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGNEAFRHLMNLIGLVSTVKPAVVEDPAFLERSVLIDGVPPTTSPNDLHECFEGFEVMTTVLVRDMDTGHRVGLVVFSEDTNIAAVVNMAPPHGFRYCVQATRRGHTRLHILDALDDSARRQPNAELLRSLIPPEYLRTDAFMDFHLRCVFLRLRGPDCPGASGLCSIGREVLLAVGRASAVIIRRTRSIDVLVYDYDTDLATENVASRASLLLPFRLIMYDSSLFPLPAAMDGEAASLARRLLPPFVTVTDYAGRVMLLETGVEKEDCDAALVAQFLESELDLKDHLEAVIVHRFSGAIFLVLSSREDAQMLLQVPEAKWARGFGRPVVCQLVQTKATQQQLPPAPLQLHLDLNHWMSPTAMEILRLTFTNELYAINDHDSRGLTCRLIGLAALSQPHALRRDYFPDRAVLLTEIDLGTSLSDLWTALARYGSLDEVVHVRHRGVALVIFTSWTGATRLLRHPAATRLPLGFGHCQPAPDAGFSGVFVDYVLNYLLFYQSMIPPFL >Dexi7B01G0021780.1:cds pep primary_assembly:Fonio_CM05836:7B:26814147:26816781:-1 gene:Dexi7B01G0021780 transcript:Dexi7B01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPGLAPVGGAGATDAAPEPAKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPAAHLVSLVSSSPPVMLAAGNATATITTTTTTTTTVTTTTTVAAEIGAQPHLQHHHHHHGPVFVGRHPIRVREWPHPDPNELLKAHRILAAVQNAQRASKHRGAAPARPVIAVTPTTISALQVPSLTSLAHTLRLVDAQLTWIVVEHGHRTDAVAAVLSRSSLDFLHITAPGDSTASLRMHALREIRAKRMDGIVVFADENSILRTELFDEAQKVSTVGAMAVGILGEDDGTSESFLQAPSCDAEGKLVGYHVSEETVLPANRSDMLMSSRLEWSGFVVNARTLWEDAKERPEWVRDLAAIDDADARAASPLALVTDAGRVEPLASCAQAALAWSLRTDSLHEVKFPHEWKIDPPLLNTHQQNVKPETPLKQTLASTEDQH >Dexi5B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:5B:7786688:7787352:-1 gene:Dexi5B01G0011020 transcript:Dexi5B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLQLRLRVAATATAAAAAPARHRGLPGRLALPCRSSKSGRGKALVAVAAATARGGEGKTTTASAAAATAADVVREFYDCVNRRDLAAVGPLIAEGCVYEDLVFPRPFVGRDQIIGFFGEFMGTISPDLQFVIDDISADDSAAVGVTWHLEWRGRPFPFSRGCSFYRLVESEQDKPQIV >Dexi9B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:9B:5318104:5319515:1 gene:Dexi9B01G0008720 transcript:Dexi9B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHGIVKAEEFDFDFDFTGASAGDAPAGASSSSWAIGVPELPRPMEGLGEVGPTPFLTKTYDVVDDPNTDTVVSWGFAGNSFVVWDANAFSNVILPRYFKHSNFSSFVRQLNTYVSPSMAIDHRHQFHAELTGFRKVYPDRWEFANEGFLRGQRDLLKTIKRRRPPSSPSAQQGQAQATATAPAACLEVGQFGREGEVDRLQRDKGILLAEVVKLRQEQQATRAQMQAMEERITTAEQKQQQMTVFLARAMKNPGFLRMLVDRQGRRQRELEDELSKKRRRPIEYFPRDGEGSSSSSAAAEVAVGHYISGLPVGVDAVTEHVDGEGRRVQSGGGGGEDTESFWVELLSLGLEEKRREGGGGEGEVAGADVDSDVDDDVDVLPQRQRQVKVPELTMEASMAKGASGWPVAKEGMFRKFVRLRSFSK >Dexi7B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:7B:24785208:24791671:-1 gene:Dexi7B01G0019170 transcript:Dexi7B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLCVKNLPKGADEKRLREVFSRKGEVTDAKVIRTKDGKSRKFAFIGFKTNEDAEEALKYFNNTYIDTCKITCEVARKIGDPDAPRPWSRHSLKKPEYASKDKTDAEAVDALPKSTKVQGKSADVSGSKGGVTNDPKFQEFLEVMQPRSKAKMWTNDTTATLDGAATDVVVTKESKKPQKTAPASEDDASSEESSDEEMTNDLSSKDASGELQTDRKQDDMTDADFFKSKIKKNWSDSESDDEDSGDHSNSTTDDENPSDESQDADNQLVDLKGDLNKKINEDKDARAQRTGLQEVEDPDNKESGDLDGRQKETKNHEDKDNEDEDASSITDEKKLALETGRLFFCNLPYATTALDELDNSSFQGRLLRVKAAKPLNNKKLESTHATVEVKMNLKQQKLEQKKASEISGDTRAWNSFYMRQDTVVENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEEFASKKNEKSKRSNHVILVKNLPFSTSEEELAAIFQKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVDDDEKNVVGERIVTKAIVEQTVEGVSTEEIDPDRVESRSVFVKNLNFKTTDESLRQHFITKLKSGSLKSVKVKKHVKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNDKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALANTHLYGRHLVIERAKEGETLEELRARTAAQFVDEHSGFQRLSKKRKQSSLVDEGSVKFSRIVE >Dexi4A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:4A:5010517:5014733:-1 gene:Dexi4A01G0006910 transcript:Dexi4A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPATAARRGGETTDHLWAKAAELERHFAGYKRRLAERRALAAAEVVAGGEEAEEESHGGDAADGGGRGRRYEEYVRKRDERLRHEWRVRMERKEAEMQALWTRLDRTGSRGRLTGDDGGGGGELAAAGHAREDHGDLRKKPGKLAEVKVKPPAAPATPRCGAPATTKLSRPRTSVSSSSPATASPSPRLSTPDTRRRPPPAEPPATPRKENRLPPSSSTAAMAASPGPGTPRPRTTMLSRSRSLFKDRGCSSTAAGRESPRPPRLQPPRSSFDSVSNIRELPPAPPMHADATAVMTKSRSCSSGKAVVADVKKASAIAPEPFQPRRSGNVVELEPALRSPVAPRDEHDSSSEIAPAGDGKNADSKRNHERVEQSSVKFGSAVITGDSDTEPSYVYIKKDSDEQSPRPCQAPAGLGTCPGGEPRSSENEDIDNVDDTMESTGSNDVPGETPVTDTEQASRRESSDSLYSNVQSSFSPRSEVNTSATDSPLPSATEESPESIASPRPRKKLEAEDAEDSIPIPTRSSITVQSPMDAVAGLKRLLTFGKKNGKGSEAAAYIVERTPHFMAPVTPAGDGCMSEDWPAGDSVKARLDSSDVASADDLDNSFVISPHVFKTRTGFRIAFNGNEGDTF >Dexi4B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:4B:3108141:3109607:1 gene:Dexi4B01G0004290 transcript:Dexi4B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAARPATRPAGSIRDHIVVFPFMAKGHTLPLLHLASALAGRAGLRVTVLTTPGNLAFARRRVPAHVALVALPFPSHPDLPPGAESTDALPSHALFPAFLRATALLRDPFAAYLASLPSPPLAVVSDFFLGFTQRVATDAGVLRLTFHGMSAFSLALCFSLATNPPPPPPPGSAAAFRVPGFPETVTITVDEVPHAVAQAADVDDPVTRFLLDEVRDWDYRSWGVLVNSFDALDGDYAGLLESFYLPGARAWLVGPLFLAAGESQEQDDEDPEGCLPWLDERASRSVVYVSFGTQVHVSAAQLDELAHGLVDSGHAFLWAVRCSDERWSPPVDVGPEGKIVRGWVPQRRVLAHTAVGGFVSHCGWNSVLESLAAGRPMLAWPVMAEQAANAKHVADVLRAGVRVGVKAGGANAGPEVVVDRVRVAGKVREVMDGGEAGRRMRDRAEHVAEAARAAVGEGGTSRVALRRMVDELRRSYDDDGEAKV >Dexi7A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:7A:13778214:13778786:-1 gene:Dexi7A01G0003690 transcript:Dexi7A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYHNTQILLPVLHHYHWSVYCINFDQSRIDVLDSMDYDSNNYHSWDMFHSDMGAKIMNRLSDALSEAAPHKFKSFKNWRHVQVKVPIHKNPSDSLFFAMKFLEYYDGQDHGSLKTNLDTAGSKELRAEMLYYITFHSEKNVATLPDDLIQFRQTDLQPFFY >Dexi5B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:5B:1448437:1449975:1 gene:Dexi5B01G0002230 transcript:Dexi5B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSLLSGVFDIRAAAPFVVAAVAFYFLVEQLSYHRKKGPLPGPSLVVPFLGSVAHMIRDPTAFWDAQAARAKRSGAGLAADFLVGRFIVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAALQQRVILSHLRRWLGRIAADGGRPFPIRVPCRDMNLETSQTVFVGPYLTEESRRRFERDYNLFNVGLMAMPMDLPGFAFRRARQGVARLVRTLGECAAQSKARMRAGGEPECLVDYWMQDTLREIDEAAAAGRPPPTHTDDEEIGGFMFDFLFAAQDASTSSLCWAVSALDSHPEVLARVRAEVAAAWSPESGEPITAEKIQGMRYTQAVAREVVRHRPPATLVPHIAGEAFELTEWYTVPKGAIVFPSVYESSFQGFPDAEAFDPERFFSESRREDVAFKRNFLAFGAGPHACVGQRYALNHLVLFMALFVSVVDFRRERTPGCDDPVYMPTIVPKDGCTVYLKQRCAEFPSF >Dexi8B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:8B:6982936:6983521:1 gene:Dexi8B01G0006150 transcript:Dexi8B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHERFCEVALALEPALLEGVNSYGETPLLTAVTSGRVSVAYILLRHCCNLQPTSRRRVILKQDNGGCNVLHHAIRCGHSELALDFIKDEPALSQAVNKHNESPMFIAVMRNDMDVFEKLLEIPDSAHSGAFGYNALHAAPSLKGSWKHAQSWQL >Dexi1B01G0023650.1:cds pep primary_assembly:Fonio_CM05836:1B:29179946:29183746:-1 gene:Dexi1B01G0023650 transcript:Dexi1B01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEGAARLPGFHTCVGSGDELLTTKWYKEQGIELVLGTRVISADVRRKTLLTGTGETISYKTLIIATGARALKLQEFGIKGSDASNICYLRNIDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNKIRVTMVFPEKHCMGRLFTVKIAEYYENYYTSKGVTFVKGTVLTSFEKDTSGKVTAVILKDGRHLPADMVVVGIGIRANTSLFEGQLVMSMENGGIKVNGQLQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVASILEPSKTRDIDYLPFFYSRVFTLSWQFYGDNVGEVVHFGDFTGSNPRFGAYWVNKGRIAGAYLEGGSRDEYEAISVAVRRKAKVTNMAELERQGLSFAIQESQKEVPDSGLAVVGKPTYAWYATAGVVAAVSIAAISYWYGKKRRRW >Dexi2B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:2B:29269516:29270203:1 gene:Dexi2B01G0019090 transcript:Dexi2B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLARVALLFVAGYTAAALASATTFVVGDDKGWTMGVDYVAWVRGKTFEVGDKLVFNYPGTEHTVTEVGKNDYFACAGGDALSNDRSGSTNVTLVGPGTRYFICDIPGHCTIGMRLAVTVAGGEPPPVASPTSAAAASAPATQHTMVPIVAAAAGAMIKLTLLCTMACSADWDTLSGTELSSRSSGGATRRPT >Dexi6A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:6A:280336:281283:1 gene:Dexi6A01G0000470 transcript:Dexi6A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFYSSMAHGLEALHGSPHACLSAAFLQQAAALLRSLHSQLLHLVQRLHLPPGESWLDEYMDETSRLWDACHLARAGAGALDAYCAAAARAADTITAWLRGATTTPHHVQRALNAPRRHAAGLQQDNRALAADRLDPASLLLDDRSPLDFKLNAFNGFRGVLYALRNASSFLLVLLISGTVTCLPDLLGGATATHQQQLRASGYVASMARLRQRVAQEIATDHHSAGTAGIMMYEFRQARAAIDSLKQDFDRVVAMGYCQPAEIGDSLAQRAQIINGWVGMLRSGAESLIVELDDFFDEIVEGRKMLSDLCSHR >Dexi6B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:6B:3878413:3882460:-1 gene:Dexi6B01G0004580 transcript:Dexi6B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDNALDVLLVDTRKGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKGTDDDVVNWSHGKELWKLAKDPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKIRLKKIRQSLQTRKKVHRVNTATTTTFTTNCCCRIRVRKPTCPSCNFSCGCCGLRNCFTFSLFRGCRPSCFSCGSCFSCGSCCSCRSCFKCCCCGDAR >Dexi5B01G0026470.1:cds pep primary_assembly:Fonio_CM05836:5B:28237676:28239100:1 gene:Dexi5B01G0026470 transcript:Dexi5B01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVLAGRLAIHGVPVTVAIADVPSSSDSLNTVARLSASYPSVSFHLLPPATAARSGDEADPDADPFITLIADLRATNPELVAFVRSLPSVKALVLDFFCGCALDAAEELGLPAYLFFTSGASALAAYLHIPVMHPDVPFGDMGRSLLHFPGVHPVPASDLPEVLLLGPHNEQYKATIGLFQQLPRAKGILANTFEWLEPRAVKAIEEGSPRPGEPLPRLFCVGPLVGEERGGEGKQHECLTWLDAQPARSVVFVCFGSASSVAAEQLREIAAGLERSGHAFLWAVRAPVAPDADSTKRFEGRGEAALEALLPEGFLERTRGRGLVVATWAPQVEVLRHAATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRLNKVFVAEGMRIGAVMEGYDGAMVKAEEVEAKVRLVMESEQGKELREGTAATKDMAAAAMEIGGSSTAAFIDFVNSLEISTHD >Dexi6B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:6B:18073722:18076743:1 gene:Dexi6B01G0011100 transcript:Dexi6B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARLLPLFLPWRRPPPPRLLRRCLLLSPSSHRTLAARADTFVLPGDERSPTPSRLPDQLRPGYGGGVGGGGATGTIAAIVTSLGGGPAAVGIVRLSGPEAVAVAGRVFRPARRAPAQAPWRPRSHFVEYGLALDADGSVIDEVLVVPMLAPRSYTWEDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSKLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLNPVLLISKINSMRQEVQDALDTSNYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETDDVVEKIGVKRSEAAALGADMIIMTISAVDGWTDDDTKLIEHVLINKRQFEQLLRTKEAFTRLESSISDQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >Dexi8B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:8B:1179838:1189168:-1 gene:Dexi8B01G0001760 transcript:Dexi8B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARSSLFIIQLLIIIAVNGASSSLAAAHGVTLLVDSRQVMVDNGVVQVTVSKPQGHITAVRYNGDRNLLQYAAGQDNSGGLDSTEFKVVSSSPEQVELSFMSTYNPSHQDSVRLNVDKRLVMLKSSSGFYCYAILEHTCDYPAMNISEARLAFKLNTDKFNYMAISDDIQRYMPSAVDRDEPRGTPLAYKEAVLLVNPKEPQFKGEVDDKYEYSLDNKDKVVHGWISSSHPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGDDIVLNIGDGEYWKKVMGPVFIYLNSSPKRGDLRALWDNAKIQAQAEVSKWPYSFPRSPNFAKAGERGSVTGRLMVRDRFMSNNDIPAETGYIGLAAPGQPGSWATESKGYQFWTRVGSCGNFTIGNVQAGVYNLYAWVPGVLGDYMYTSHVTVTPGCVLDLGDLVFKPPRSGPTLWEIGVPDRTAAEFFVPDTDPRYTNPLFLHKDKYRQYGLWERYAELYPDSDPVFTVGQSNHSKDWFFAHVTRKVGNGYVPTTRQIRFNLDRVVTDGTYTLRVALAAAQMSRLQVQVNGGGARWRGGVFTTPEFGGGNAIARHGIHGVQWSFEFPIRGYLLQEGENSISITQTRAFGEFLGVMPIFLIILLVAVGASLLHLAAAAAANGVTLRIDQHQVVVDNGMVQVTLSKPQGHITGIHYNGELNLLLYAGGQENSGGFVMLKGSSGFYCYAILEHARSWPALNIAEARLAFKLNPTKFNYMAISDDIQRFMPSAADRDAPHAAPLAYKEAVVLVNPVEPRFKGEVDDKYEYSLDNKDNVVHGWISSSHPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGKDIVLNINDGEYWKKVLGPVFIYLNSNPKRGNLRALWEDAKTQAQAEVSKWPYTFPGSPDFAKASDRGSVTGRLVVRDRYMSKNDMPAATTFVGLAAPGQPGSWATECKGYQFWTRATISGEFSIGHVRAGVYNLYAWVPGFLGDFVYTSPVTVTPGGAIGLGDLVFEPPRSGPTLWEIGVPDRTAEEFFVPDVDAKYANSLFLNKDKYRQYGLWERYAELYPDGKDLVFTVGQSNHSKDWFFAHVTRKVGNGFTPTTRQIRFNLDHVVADGTYTLRIALAAAHMSRLQVQVNGGTRRGSVFTTPEFGDGNAIARHGIHGVQWSFEFLIKGYMLREGENTISITQTRALGLFLGVMYDYIRMEGPAEAGSL >Dexi3B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:3B:2767359:2775428:1 gene:Dexi3B01G0003990 transcript:Dexi3B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEYSIDHKLSKLVEEARPGAASLRAAAQAAEAVAELIKKVPQQQATPEAARGFVRDLGLEEEKLAFTFRPPEVVRLAGSHATGAVARPEVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKNLRSSRLIHKVSWSTFQDEARKPVLHVYPATEIADLPGFYVRIIPTANSLFNVSKLNISTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENAEFMSSTFADWKALQEALVLVKVWARQRTSICTHDCLNGYLISAILVFLTMDSGGSIITRSMTTRQIFRVVMNFLATSKVWAKVLVIQSMKNRTITKEDIANCLKTFDVSICDISGHINLAFRMTKSAFLELQDEAACALSCLDKCRDGGFEELFMTKVDFGAKFDSCLRINLKGNSKVTELNYCVDDESWRILEKDVQSLLQQGLTDRTKMIRVLWRSMPSEWKIMDGFSEFGSSPLLVGIMLSSLEKGFRLVDIGPNPENRNEAIKFRKFWGEKAELRRFKDGNIAESTVWETESWERHTIIKRIADYVLMKHLSLQKDDLVHVVDQLDFCLLVNGQDPVSSSGALLEAFDTLSKQLRVLDDVPLKISTVQPLDSAFRHTSVFPPEPHPLAYGKNSQRLPNFATTCIKSLEVMIQLEGSGNWPLDPVAMEKTKAAFLLKIGESLEDRGMFISASEDEVNVLTSGYSFLLKIFHERGLVLQKQAGDDNTQSALSQDKVLFQRSQHSSMINGLHGRYQMYGPVVRLAKRWISAHLFSSFISEEAVELVVAYLFLKPFPFHAPSSRVAGFLRFLRLLSSFDWTFSPMVIDINNEFNLKDEKDINENFMMSRKSYEQNPHDIEPAMFLATSYDKASEAWTKQSPSRPVCVFIVLKRMAAYAKSSAELLTNLILNGQSGQYTWECLFRTPMSNYDAVVLLHQEKLCRPQHVLFPAETPNGKLVICGKPSKDFHPYMPLNKGAVKSLHDARDKLLVNFDPTTYFLQDLKCAFPKTFKLWYGTIGGDAVGLTWENPKKSLSCTNFFMSQKRGREEADETMPEPTSILKEVGDVGKGLVRGVYLLKAPKLQ >Dexi8A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:8A:2918697:2922606:-1 gene:Dexi8A01G0003830 transcript:Dexi8A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALDGDVSMPSAPPSPRPIGDPSRRPDKEICIVPRSAAISNAEARLTSRALVAVVGGTRPAVNSDHVGRLLEEFYTVLPEEYTVRRHDPEDFLVEFASQDIADRVLRTSLPAEAPFQLIWKRWRRQSMASFASFRFRVLVELKGIPMHARNVDTAQIILGSSCCCLVEAPPAVAGNDSRRFYVAGWCVHPDLVPTSKMIWIPEPTPQHHGYGLFLQPHEIIHSKQDGLWYLVHVRLVEVQDWNPDSDDDDTPPDCHGSSDDEDFPGSSQRRHGRPWPRTTRFNGAASGSEGPSLGPGWGPPFSSAATLGASGSVGGLSLPLFFGRRDLATKHADTEHGQAAAPAWASVPSPVAIPVTAPPGTAQHGHAASPVATRATVDDGALITFDAGAPVQPEAVDFADPMRAEAALGPPTVVTESCERRTLPDTAGLCRVDPQSPSEPHMPTDWIEKGTPSQVVCVVGPIAAPPQLRITLVQSLANRTLRRSRSLARARPSLHAIASIVSGSVVPKLTTVDAPIKVPVSSRATAATADLTEKMPLLSMMLPSVELGTRLHASPPMEILADGLLGPSSPDCQPRSLDPNPTHCHPYSSHEHLEGAQLETVCFADVSTPSLAASPDANFALIADGPAGDTSPSCITAPGLAGDTTKRAPRQEFTAKAVQPIAKAQKVLMKRLGLSSQEGMLDDVAIQQYNEVFANFTPSQCDALNELLPDAGPFVVPAESETVMEA >Dexi5B01G0033900.1:cds pep primary_assembly:Fonio_CM05836:5B:34202663:34202980:1 gene:Dexi5B01G0033900 transcript:Dexi5B01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRKSEQCGSGAPPWLGATLLSADRAQRGCTASGQLAAPSCPANDADHPGDGARSNYSESPPTAGTAALHIDGDRRLRVLRPPRQGTACPGCCSAGRGLSVAA >Dexi1A01G0025750.1:cds pep primary_assembly:Fonio_CM05836:1A:31890748:31894902:1 gene:Dexi1A01G0025750 transcript:Dexi1A01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSTTPHSPRIASLRAPSAAVAEQEKYLAELLAERHKLGPFIPVIPHSSSPAYSWLGGSQGSSSGLIVKKTMKVDIPVDKYPTYNFVGRILGPRGNSLKRVEANTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >Dexi8A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:8A:26525723:26529503:-1 gene:Dexi8A01G0015420 transcript:Dexi8A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMSMPPAPAAAAAAPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQPPQNPASRPQMMQPGMVPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMVMRPATVNGMQPMQADPAASLQQSASVPADGRGGKQDAPAGVSTEPSGTESHKSTTGADHEAGGDAADKS >Dexi3B01G0025930.1:cds pep primary_assembly:Fonio_CM05836:3B:20884947:20889965:-1 gene:Dexi3B01G0025930 transcript:Dexi3B01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPNPDAAGLLQQPVAAAGAGEGSSSSLGAAAGAIVVAAGEQAPPRRALTVRKERVCTAKERISRMPPCAAGKRSSIYRGVTRKAISAATLLYFFCDFDISIGGQVDMRLTSGTKALGIKIKTKRGNKVCAYDDEEAAARAYDLAALKYWGAGTQINFPVTDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPSAKSYFLNGPCHAYTNEEYLEPS >DexiUA01G0013050.1:cds pep primary_assembly:Fonio_CM05836:UA:27187811:27194452:1 gene:DexiUA01G0013050 transcript:DexiUA01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKDAILCCLLLVLVLHADPALAASTPPPEVVAPEEYFAPTPAVADSAAQEGAAASEVTNAEAKGGGEDAKRPNMDGEPDAASDLTWTVSPPPPQVDLSTDRSSLSPSVHRRCAMSAVSPDLGLTFPQEGSASAAVVEVCELGLRVDQYDIPTYPSYHLEACHYTSKGCSILTRKQPPPPSPVAVAGDPLASPAAGDPSWPDPPLGDAQPASSTTGDRPSRTRLPPRLAGALPPRGISSDGRVAALPDSHGPCVGVLWVCPWYFNCDAGRDDTMVYRRCSRSEQDRLESLRLYGGNGNGVGSHVQMHVLVLNNHDAATGPWRWIDVEEFDMFHTLTVEEEYQNVALFYMTGMFFTGML >Dexi1A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:1A:10961453:10970225:1 gene:Dexi1A01G0011790 transcript:Dexi1A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVSPAACGGQVARGEMTVAAGLGYALIALGPAFSLFAGVVARKPFLVLTLLSRKMEEMLDAFADRISKPRLSLTDKMLISLALIALGFLVIHTFSMIIAFNAYDEKKKCDQIVVPVVHLAAAVMTLVNLAPGGCLIGTPLLLVMAALTLQYCWRVACRRLTEHQHRQLNNN >Dexi4A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:4A:72348:73186:1 gene:Dexi4A01G0000080 transcript:Dexi4A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIGEPAGTVVIGCKVLPIFNEHGIVEGAVRKVVHRIDGKKAVARVKELLKLAAQARPHGATVSGKKWKKVLSFHARDGSAATTAAKGGRQQKQKQPQQQQANDEMSCSSSKLSFKWDVGSCSSASSVAYSPLSLMSAPAKTSEQTPSRKDYYISRLSSMSQQSMKNMEGEEACRCRMGQWITTDSDFVVLEL >Dexi3B01G0026400.1:cds pep primary_assembly:Fonio_CM05836:3B:21464999:21467249:-1 gene:Dexi3B01G0026400 transcript:Dexi3B01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPAACARPSASGPRAAGARLAVRAAALPADGRGDGAASYKELGLYSLKKRIEDAVVRVETTASSALELEEARRIEQEEVLRKRNLWDNPAKSHETLSALADAIKVVDHLKDLRFQAEEAKLISQLSEMNVINGELFKQAYKSSVDASDYLDRYQMYKLLKGPYDKEGACIIVTAVSDGVASELWAAKVFGMYTSWARKQGCKVGLIEKASSTSGHVRSAAMEIESEYMFGVLSGETGMHRMAYSSLESSDSYQYG >Dexi3B01G0035120.1:cds pep primary_assembly:Fonio_CM05836:3B:37727954:37728495:1 gene:Dexi3B01G0035120 transcript:Dexi3B01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLGFSAAVRSGEEVVEGGGSDEEEDEGFDPMNRAARHGSEPECVGQVGAGSEPRPAWRGW >Dexi4A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:4A:5465563:5467803:1 gene:Dexi4A01G0007370 transcript:Dexi4A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLVSLPPLRHPQANVQKYKVALCQLLVSPDKEDNLTRARARIEAAADAGSMLIEIWSCPYSLETLPSDAEDIDGGASPSISMLSEVAAARKITIVGGSIPEKASGKVFNTCCVIGPDGHILAKHRKLHLFEIDIPGDIKFKESDAFTGGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRSRARDRDAKSQYMIWGHSSLIGPFGEVLAAAGHEETTAIGEIDLSMIQSTRHVKN >Dexi2A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:2A:23620931:23624644:-1 gene:Dexi2A01G0014340 transcript:Dexi2A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQFATSRRCLKPSKSHAVSLANPAPPRHGRKEGKPRALRRRRRLEPSARRPPEAHRTFVGRGGAVIPSPTPRTMQSAAAIGLVRPCAARPLAAACPSHHRRGGAVSGGGIRPVLPSRGLRLTARAGLVPASPLEEEERRRCRAERDVAASASGAAAAQGAGEEAGSGGLVKTLQLGALFGLWYLFNIYFNIYNKQVLKVLPYPINITTVQFAVGTAIASFMWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTPWVVLSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLTPVTLLTEGVKVSPAVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPVNSLGTGIALAGVFLYSQLKRLKPKAKTA >Dexi4A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:4A:5778116:5779484:1 gene:Dexi4A01G0007670 transcript:Dexi4A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAGSADAGVATDERQRELQAFDDTKAGVKGLVDAGVTAIPAIFHHPPDALALDSPSFDDDDDDAAAIPVVDLSGAPREEVVAQVRAAAEAVGFFQVVNHGVPGELMDAMLAGIKRFNEGPAEAKRRVYTRDTAHKVRFNSNFDLFKSAAANWRDTLFCELAPVPPSPEELPDAVRGVMMEYSDAVTKVAMRVLELLSESLGLPSAHLREMGCAEGLNVVSHYSPPCPEPHLTLGTSTHTDPAFLTVLLQDGVGGLQVLVDRRGGRKLWVDVPPLPGALIINIGDLLQLVSNDKFRSVEHRVLANRSRDTPRLSVASFFNTDDKKRLYGPITVGSSDLPVYRSVTALEYFALFYRNGLQGRLLDYFRLQH >Dexi4A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:4A:23179750:23180130:1 gene:Dexi4A01G0019390 transcript:Dexi4A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSARLRPWEAAAGEEWGLASVDESVGSSKRMDMTLARRPAPDLGGEGRGLAALLLRREDGTGRRVPLRRGRCARRKNEHCSDGPWDRLLRCEDQGSGDWLRRRSPPAGSTREVDRQVVGGVVA >Dexi9B01G0026420.1:cds pep primary_assembly:Fonio_CM05836:9B:28560916:28566203:1 gene:Dexi9B01G0026420 transcript:Dexi9B01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGEAPEEEMLAAEPTQAAIEGDDGLHSGTVDRTYRPIRGKDPPDITYQIAVGMAVWPSVLGDDNSRFFIYYTIPGEPVWRVSLNGDEIGHIQEGTFPMGFFESLHNEMGGRVLNTNPGGRHTMTQMGSGMYASSGPNNAATIAFYMAVNNNGGDQLDNPVNSVVTSPKCYDVMNYGNDKFRPGYDVGFGGPGGYYCNQS >Dexi3B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:3B:12335431:12338022:1 gene:Dexi3B01G0016860 transcript:Dexi3B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICAPSSDSSASSASGGRAWIVHGLALGAAAAAAAAAAYLYRRPAGFRSRAVGIIPARFASSRFEGKPLAHILGKPMIQRTWERVMLASSLDHVVVATDDERIADCCRGFGADVIMTSESCKNGSERCCEALKKLGKRYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTSLKPEDAFDTNRVKCVVDNQGYAIYFSRGLIPSNKSGKVNPNYPYLLHLGISGFDSKFLMIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEELMRARNIQ >Dexi5B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:5B:4339852:4343017:1 gene:Dexi5B01G0006420 transcript:Dexi5B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSQQCRGAFDIEALAPAIAVDHNIRLPNYFRIADSLLTQANIYREEKNLIQLYVLLLRYSSLLCETIPKHRDYRTFKSGEKDFFKKKLLDVINELESLKPVVQQEIMELDDTVRHQLASSLSVKSDRQIPEKIVGLPYPKEDTLSRHSILGPAGLSGQWIGPVVAMKVQYPTNNEITPSDISRILFRIWSNGFNFTRQPEELTACFLKAAETNTRKSLETCGLIFGAVLMDPEVGEYFRVTALIIPKQKSTSDRCEAVCEEEIPGVVQSIGSPYQLGWIHVSSTPPLLIYLG >Dexi7A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:7A:16884077:16885505:1 gene:Dexi7A01G0005640 transcript:Dexi7A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFGLLGDDAHQPAAPPPQPTTAAQQPTPSPPPPQPAQAFCFADAAVAATAAGSFAQVQEESNHHAELVKARHSAKRARERAEELSSDGGEYCSYIYSGGSGGGGKKGRGGGGSSGGSDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKAAGGKSVEQCKNKIDNLKKRFKVECQRLASSGSGAVSHWPWFKKMEQIVGNSASPASSKALVAGEDEKPRQLQHHGSKRHPASTTGPLAVVSSSRANPLSNPRWKRVLLKIGGTALAGEAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIG >Dexi5B01G0025280.1:cds pep primary_assembly:Fonio_CM05836:5B:27352164:27355386:1 gene:Dexi5B01G0025280 transcript:Dexi5B01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEANAAAVARGEDAEAPEGKNWRRKGKHDKPKPWDEDPNIDHWKIEKFDPSWNEGGMLERIGEMLLVCAAEKYLQESWPIVKGALKEHGISCELNLVEGSMTVSTTRKTRDPFIIIKARELMKLLSRSVPAPQAVKILDDEMNCDIIKIGGLVRNKAIEILTGCYILVQGNTVAAMGSYKGRGLKQVRRIVEECMKNVKHPVYHIKELLIKRELAKNPALATESWDRFLPKFKKKNVKQKKPQTKEKKPYTPFPPPQQPSKIDVQLETGEYFMSEKRKSDKKWQEKLEKQSGKAEENKRKRDAAFVPPKEHTAGPSEPDKTANDNSEIADRAKSLKKKAKEFRKSEAQENFRAESYLASNEESRPKKMKSTKFK >Dexi1B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:1B:23521092:23521409:1 gene:Dexi1B01G0017150 transcript:Dexi1B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSILSFSSMLLTRRRCWCCLSVELMRVARWEKSTSRSWGGTSSCTTPRTSIRDAAKDAAVAMPFL >Dexi7B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:7B:18122710:18124134:1 gene:Dexi7B01G0010920 transcript:Dexi7B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPSSRNASSLSGTVAHRALLIQKVPQSVRGGLEKEKRYFVPDLVSIGPYHHRAEDHLLEMEKVKEAVAREFCNGASGPQGLAGGQQQPAAEQQFLQAVAAVRPLVEEAKRCYADSFDSLFTDSEFTNMMVVDGCFLLAVMAVLTEDYPYEHGWWTHGRMLRIMKDILLFENQIPWAVLDRLMALRSVKVESFVADIILAYFDLDGHNREPPSSTQHHSPPLSTQHQSPEHRNVESPFLTPPESPEHRLEAVHLLDLVHQRYLGRGRAPDAGGGAVRYCNYARAFAPFTSAVELAEAGIHLQGSGTCRVTDVRVEEDLPAAAMARLSIGRLVLPRLALSWLPRCWLINMVALECVTDRSDNSGVSSYLAILGSLVRAERDVEELRARRILFSTMSDRRTVEFFEGILDPLPRQELYLKTLEGIVQLRARRSTRSGIHAFLYKNRKIIFALAPLLSLLVAIVGLVVNNSIKHKY >Dexi9A01G0049480.1:cds pep primary_assembly:Fonio_CM05836:9A:52105538:52106074:1 gene:Dexi9A01G0049480 transcript:Dexi9A01G0049480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNEEDTTSQGSAGGGLPASEEQMVVSAETEEHIQRILVAIDNYTRQVSEMLDAGRALFKDLAADFEERLCTIHKERVERWEEEIRELRARDAANEQTRALLHNAQLHLLHTVRD >Dexi5B01G0035960.1:cds pep primary_assembly:Fonio_CM05836:5B:35819854:35820475:1 gene:Dexi5B01G0035960 transcript:Dexi5B01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSRSTTSSGSGADICAMVAEHERIEWEVRPGGMLVQKRRTPEEDAAAVEFILVRVSTGWQWHDVSIDATATFGDLKVMLSLVTGLWPREQRLLYRGKERDDDDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIQV >Dexi1A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:1A:26840113:26841093:-1 gene:Dexi1A01G0019870 transcript:Dexi1A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDVPAPWECDNIYNGHVDDPAVDDPAVDEPDPESPRLIPNPKPTAPACDDVTCNDIEATLRAQEDDAEARPSPDYLQTAQAGRMTRKMRRNLVSWMTDTFLSARRLPGGEAGVDARSLNLLGAAAIFAAAKYECHGDVHKLGGAGEIARHGGFAGGKKEVIDMERELLAALGYRLGGPTAHTFVEHFFTRGYGSQEGEEGDVEVDELRLRAHDLADVSLFHYGCLGLKPSVVAAAAMFLARVTFKPSCGQMERWNKELKKVTGYKPKDLERAVDAIRSLVPKDDGNGNGFDIDILPVFYADPD >Dexi9B01G0028820.1:cds pep primary_assembly:Fonio_CM05836:9B:31365001:31369797:-1 gene:Dexi9B01G0028820 transcript:Dexi9B01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTGILDPPLDHGDAAAASSATQALPARNASSKYDFEGQGLAGGERRPLLRPLQIPNHVAIKISHELKNLLVDNSLLDVSQSDLEANLFKVRLHHQRVPLVILTDMVYELLRTSTDSCVGCDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIMIETPPALDVFNALTKSGGSLVGDGDKDLDQPKNNTAKIDKPAAEPIVVPIVLRMSHFDYKALLEEWIATRAFRDNCLPQGLTIVDISANSFPQTWDWLHSYLLQCIERGLLAACSESCNDGGN >Dexi6B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:6B:7356573:7358132:1 gene:Dexi6B01G0006660 transcript:Dexi6B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYQVGKLSLSDMKGVNVPRTRASPRRRIWISVVVIFIVITVLWAYLYPPQDYTYPVRDWFPSEPTRELTDAETAARVVFRQILSTPPFISRNPKIAFMFLTPSKLPFEKLWELFFKGHEGRYTIYVHASREKAEHVSPIFVGRDIHSEKVGWGMISMVDAEKRLLAKALEDIDNQHFVLLSESCVPLHNFDYVYDFLMGSRHSFLD >Dexi7B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:7B:18849588:18850672:1 gene:Dexi7B01G0011940 transcript:Dexi7B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRVMSPSSIPNWVISGFVEYIGSGQKQNDMILPVPEGAYAVRLGNDATIRQQLSVTRKAYYSITFCAARTCARAERLNVSVGPESGVLPVQTVYTSSGWDSYSFAFKARHTTVWLAIHNPGLEDDPACGPLIDSVAIKTLNPPSREKGNMLRNGDFEEGPFIFPGTAWGVLVPPMDEDDVSPVPGWMVMSGTKSVKYVDAAHHAVPRGARAVELVAGREAALVQEVATTPGRRYALSFSVGDAGNGCAASEAMMTVEAYAGREAARVAYASRGSGGRTRGGLEFAAVANVTRVVFQSYNHHMKRDGTLCGPVVDDVSLVAVRKRAARRLFL >Dexi3B01G0021970.1:cds pep primary_assembly:Fonio_CM05836:3B:16851800:16852643:-1 gene:Dexi3B01G0021970 transcript:Dexi3B01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRPGGLSATLSVRLRRSLSTAAAAAAAALRPAWAMIHHAILDRSPGLRASFKLAEPPCPSHIFVPGHLVDPGPRPDPDSDTMSLLGGVVRAVSGDGLLLLHFSDGRATAPIVGRHGTSCARRLIDIDMDPNTTRFVCNPISGQLFRLPDIDGTKKTSSCKSLGILTHSERPHGPPDRYAVAELVEDNEGVEGSFLMRRFLSPTRDWEKLVGLPSPLPVGRRGHKIHDHEVLAFAGRLWWVDVNWGAITADPFSDRPELRGFGC >Dexi9B01G0042480.1:cds pep primary_assembly:Fonio_CM05836:9B:42710282:42712932:-1 gene:Dexi9B01G0042480 transcript:Dexi9B01G0042480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGAKTPTKPLGPAAARTPTKPAGKTPSKMPSAARARLSHASENANPNIPGTPPPPHHTPSKPFLKSPAAAGANLAASAKKKASTPAPAAPPPPPRERERRFLVVKKGGRRRRNVGSVGVSCGGGGEIDFDKCREAARDALRASQEEFFRKQRAEAAATEEQLVLQEEEVKAVAEEDVKGGAFEEGQVDLEGSSKVRAMRTKAMARAMSSVPDSGSGRVKHLVQAFESLLTISGATSDADRAGEDSWALPGLQPLKGEGEGDLGHQPVPVFSSADFLNAGPIRLCSSLDGNTDRLSWDNRKSAGGRRSRRNSSESLRSSWNKKLKVTSQHPFKLRTEQRGRFKEQQLAQKVQEMLLEEEKKRIHIAQGLPWTTDEPECLIKPAVKERTEPLDLVLHSDVRAVERAEFDQHVLERNKFAEQQRLEWERQQELEEQERIRQLRRTELIPKAQPMPYFDRPFVPKRSTKPATIPMEPKFHLRPEKLSCMLTMLGYANDG >Dexi9A01G0046690.1:cds pep primary_assembly:Fonio_CM05836:9A:49998252:49998937:1 gene:Dexi9A01G0046690 transcript:Dexi9A01G0046690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLDDLIADLCELPARFIGKKKRKEFQELAFLVRIDCEGCERRVKNALEGMKGVSSVEVDPKENKVTVSGRVEAPEVVKRLRRRAGKKAEPWPFVPYEVVPHPYAPGAYDKKAPPGYVRNVLDDPDKAPLVRASSMEERYTTAFSDDNPNSCAVM >Dexi6B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:6B:7221350:7221805:-1 gene:Dexi6B01G0006530 transcript:Dexi6B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENSSSPAGTSGGSSDHHHQQPRLRGVRKRPWGRYAAEIRDPVRKARVWLGTFDTPEQAAMAYDAAARRLRGPGAATNYPSPAAAAVAGGEPSSSSSSSSRDSSPALRQFLPGEEEERTTSCCYSSSAVGVGLGLDLNLPPPAEMVM >DexiUA01G0001080.1:cds pep primary_assembly:Fonio_CM05836:UA:3470122:3472563:-1 gene:DexiUA01G0001080 transcript:DexiUA01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALGSARAPTPRSPSPNPVARSARARERFNGASRRCLPSRTLPRQHHSTEPLTLSPSSRTSPVHPLALAPVPSFETKFVLVPPPFPNPSRTELDHFPSFHFPHFSRALPNSPTRNRISPQIRISGRRSTRTSSSYSEPSPRSTEPSTSFAESHWCSRTPPTPTRSTTLAGIELAAAAPPPHVAGELRASPGLPTATNRLVLSPWFFSPTSPTLSRRRLAGATPATSRGPLFIFFVFPGDLFVKFQKIRGPAQGAIPDGFYHLIPANGEGTPENGAGEGTVDPEANPQLEQEGKPRSIT >Dexi3B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:3B:10362180:10363200:-1 gene:Dexi3B01G0014360 transcript:Dexi3B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRKSEIVFFDVETTAPSPEGRWWLLEFGAILVCPRKLVEVGSYDTLIRPGDLSAVSRRFTDVESIASAPTFHDVADKIFDILDGRVWAGHNIQRFDCPRIREAFAEIGRAAPEPAGVIDSLNVLAAEFGRRAGDLKMATLATYFGIGTQKHRSLDDARMNLEVLKHCATVLLLESSLPHALQLGARDGAVTRRSSAAAAAASAAAHRRPVMAQTKLPFTPVKAAPVKNTTAANNKSGGKRDSLGKLVATNSKPAAEGAGAAPLSVRRPAAATTPFHMILRHSRAIIR >Dexi7B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:7B:22606620:22621281:-1 gene:Dexi7B01G0016570 transcript:Dexi7B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASMLEVYRRDRRRLLGFLLSAAGGGGRALDLSRVDLDAVSADYALECVATGTQFSASEATRRYFDERRYPIMIGSPSGNSYFLLSRPQPFDSPPKEAAPSIGPQAPAQESSSSSAAQPRDFFRDAINTSGIGYGMRDDNLTDISSQQAKKVDILSLDLPRLNTELSDDDIRETAYEVLLASLFVSGKVHFSEEKREKKHKFLKGRRTKTEGSNPLPQVENGYAHILDLIRVQMEASFYSDCSLFLNLTENIVSTRVYYDPRKISESMDALTKRALRHINLRMVKGQIDVPRISLQLLSFVGKLDFPTERLRVQWQKRQDWTVSVPEGRVEVLTIIERYNAKLCALTKKFDLKDETYHWTQNCHFNFRLYEKLLCSVFDILEDGQLVEEADEILEAVRLTWPILGITEKLHNMFYAWALFQKRNYSIFEATLNLALLLVKTLTEDGCEEVTLIESPVECTPESKLVHLLVVRSIHAAYTQALISSDGRSETEFKHPLTILANELKLVADKECTEFSPILYKYYPEAQRVALIFLHMLYGKQLELFLERMDHLEISKEILAASNNFELFIAQKLYSMYGEAGSSFSNYLKPYMIGRFSSPLILQWLHGQHENVLEWTKRAIGIEDWAPLSVHEKQATSMVEVFRIVEESVDQFFNASLPLDIVHLRSLLIGITSSLQVYLLHMENQQVSGSTLRPKAPVLTRYTESMNPFAKRKLIEPTVPEEKVATKLNALTVPKLCVKLNTLQFIRDQLDALEEGIKQSWISVLSAARLLDYLSCMASGRAVSENLSSSDESVDELFTIFDDVRMTAVNITDTILNFIGTRAVFWDMRDSLLFSLYRESVEGACMHIFIPTIDQVLDQVCDLIVDVLRDQVVLRIFQACMEGLIWVLLDGGPSRAFLETDVVLMQQDLAMLKDLFIAEGQGLPLDIVEREAKQAQQILDLYMLKADTIIEMLINASDQMPHHHEVTSARRRHVHDAHTLLRVLCHKKDKIASTFLRIQYHLPRSSDYDDVPAKDVSSKVPMFSDMLKRGTSFNWSETGQQSFRIMKKKLQEATWQ >Dexi9B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:9B:7776291:7776666:-1 gene:Dexi9B01G0011840 transcript:Dexi9B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTRPSTALAVVVLLLLVVAAASWLQTADAASGFCSSKCGVRCGRGGSARARGACMRTCSLCCEECNCVPTGGRGGFNECPCYRNMLTAGPKKRPKCP >Dexi3B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:3B:6014665:6014992:-1 gene:Dexi3B01G0008630 transcript:Dexi3B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARSSAKQSRAQAQAQQQQNGGVGAHALSSKLARYLDPEASWDKDQLLDAVHWIRQVVGLICGLLWGAVPLVGAVWIAL >Dexi9A01G0045310.1:cds pep primary_assembly:Fonio_CM05836:9A:48869127:48870728:-1 gene:Dexi9A01G0045310 transcript:Dexi9A01G0045310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDTKLSMLNKGTALNPNAEEFIPSSLRSANDAASKRSDAAMVVSGPSKETSSDQPESIIRSNSDEEAHQYWQQQLPDDITPDFKVLGQDETPGPDSITFTGLSINDGIGASMFSPNQALSMQHRAYPFIRDRLSARPKNEFSGPAYIEERSQTTIMSPTAGSMSPTAAPWVKTVRNGGHFNSSRRDAGHYNGDSVIGAPLHNITDVYHGSRRSLSSTMDIMTQLESEPQVTVIWTFKSSITSIIWAKRYWEL >Dexi1B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:1B:6790141:6790704:-1 gene:Dexi1B01G0008140 transcript:Dexi1B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGVADRGSGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWLCSCGAHNFASRSNCFKCSAFKEDTAVNSGAGGFDGDMSRSRYGFGGGGGGGPARTNRPGWKSGDWICTRYW >Dexi7B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:7B:13537830:13540498:1 gene:Dexi7B01G0005950 transcript:Dexi7B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAAALVCAAALLLGGCRCISAQTAAEDKIGGLPGQPPVGFAQYAGYVPVDDAGKRSLFYYFAEAEADPAAKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYQGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKNKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSTACDRVMSQVTRETSRFVDKYDVTLDVCISSVLMQSQILTPQQGSRELDVCVEDETMSYLNRKDVQQAMHARLNGVQRWTVCSSSVLEYKQLDLQIPTINIVGALVKSGTPVLVYSGDQDSVIPLTGSRTLVTRLASRLRLNTTAPYRAWFQGKQVGGWTRVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGRPLPESFE >Dexi7B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:7B:17236950:17237195:1 gene:Dexi7B01G0009740 transcript:Dexi7B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLTKRAKRRTTKPAATHCVLVTTVCWYASSVTAAPTHPIRNPLRFRSMPPELIASAPRSPIALRALLGCCCVRGWSED >Dexi5B01G0027680.1:cds pep primary_assembly:Fonio_CM05836:5B:29214377:29214716:1 gene:Dexi5B01G0027680 transcript:Dexi5B01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYTDISTGVFLCLLNTRYGSSETNKAAEHNTSAQDVDRSSISSGAERPEPSGSNAWMLNVEDIDPAVVEELPPEIQREIQGWIRPLKQPSTKRRGSTISSYFPPAR >Dexi9A01G0041570.1:cds pep primary_assembly:Fonio_CM05836:9A:45218065:45221030:1 gene:Dexi9A01G0041570 transcript:Dexi9A01G0041570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKQQSLYPEVNQSHPDLNTPFYSAPTTSTGTATGSSLYPTVDPNELAENLFPETAEEEAAPPPPTTEETIIAVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHSVAVLARLTPEKPHQRRGLFRLFSSARSGDGAEQEPVQWPLTRDVAAVKLDAAHYFFSLHVPHTDHPDDKEDAEDAETEAEAALSYGLTVAGKGQEKVLEELDRVLEEYTTFSVKQVEAAAKEKSEVMDSRAVTEITPEEAVGDKKEVIEEKSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRRGEDVVKKSVGPSAKPTQVKPSTLRRMKRARRVTKMSNRVANSILSGVLKVTGFVTSTVINSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMQTSSVVTTSVVTHRYGEQAGEATHNYLHATGNALGAAWAVFKIRKALDPKGNMKKSSFVSQAAHAVAKESISRQKKK >Dexi9B01G0044470.1:cds pep primary_assembly:Fonio_CM05836:9B:44219708:44222108:-1 gene:Dexi9B01G0044470 transcript:Dexi9B01G0044470.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMRKVIDSDAHVEDATVAKMVPEVGMEFDDEVKAYEFYNRLFRGFDNTIKDSSVSNFHRQGLHGDAQGNQGYTPLAGMQPQEFIGNFHLNNGSGF >Dexi5B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:5B:443174:443959:-1 gene:Dexi5B01G0000700 transcript:Dexi5B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFALGHHREATDAACVRAVLAELILTFLFVFAGVGSAMATAHLAGGGADSIVGLTAVALAHTLVVAVMVSAGLHVSGGHINPAVTLALAATGRITLFRSALYALAQLLASTAACLLLAFLTGAGAGAAAMPVHALGAGVGVLRGVVMEIVLTFSLLFAVYATVVDPRTAVGGMGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALVAGVWTDHWVYWVGPLIGGPLAGLVYDGLFMVDQQHAAHQPLPTRDDENDF >Dexi9A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:9A:65168:76243:1 gene:Dexi9A01G0000100 transcript:Dexi9A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVHHNCHRLHALLLRPLHAPSRHPRLSFLPCSARLAAPGCRLGTHAAVALAEPDLVPPDPDPDPEEEEEEGDDDDAQFVVVTFYKFVPFEDPHAEVASHLRFLQGRDIHGRIYLNEQGINAQYSGPRKDAVAYADWVKMDHRFCDMLVQTSPALTGHAFPRLKLRYKPALVQLEGGSLHLPLLDSSMRAKPLTPSKWKERLEARACLDLSSSETSVRKLLLLDVRNDYEWDVGHFEGAQRPNVDCFRSTSFGLSGEMEDASDPLNGIDKEKTDILMYCTGGIRCDVYSTILRKKGFRNLYTLEGGVSNYLRVEGPAGWVGNLFVFDGRLSLPPATFRRSWPSGEEAAVEKERWVGCCYACRSKVVELRHRNCANIDCNRLFLCCRWCAKELAGCCCSDCKAAPRLRPLLPGHQRYLKWHVYRDGLSTPVATDSKDNPDCCC >Dexi3A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:3A:1324705:1327209:-1 gene:Dexi3A01G0001950 transcript:Dexi3A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHLDRGGGGGGTELFICFTSRPSAASVAGAPSSLRTSSSSKLLSPGRVSAGGAGAEAVTAPPLHPSLSRRLHNSGSLKGGQSPMFPPGSTAGGGRRGRGGLEPAEPSSPKVTCIGQVRVKGGKRKPKHASSAAALRSCSRRVGDGPQAAKNQGWVYQIPVNICEALGSCGARSLCSPSRPGGVGERGADAADAHAGKRRRQRAPAGGSWLCGAAVARCLLPIQEEEEDDDVGKGAAIVPAEEMRASEVGLVIEGWDVEEEEKAVLVGEVEVEKKDDILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAATATVEQVNTEVAGGVNQDEDDEAEEEEEEEEEEEEEEEEEAEAEPEPECKDEVRHSAVSVQDVNCRELGGGENEDGEAGEIDQAEAQAEESSKCGDLVEEDKCESCRVEVEEAQIVRKDAALEVSLREDIAGENQGPDMLELVVSKEKDTPAQGKVEEEVKGRRSISSCSPLAALKEEGNKLRRLNSRRRGTSSRASSSSASDRVGRRHSFSAEMEARRSSFSSSKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKEKKPSSEQDLEKDCAGVVAPNSAEEGPESYDDGKEEETTKNQEEGETQNAETNREIEKVEIRAKDCEAGPLVQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGKNNCNRRPQKTLASGNDAATATGEVEDKENSEGSTVDTKESKDPSMVNLTAVPMPAPVAQKTPPLDRATTEQKTKLELPLVTNAAAYAPFVLKRCKSEPMRSSARLAPDCFWKDRHRPLNATGVGF >Dexi8B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:8B:27395928:27397193:-1 gene:Dexi8B01G0016260 transcript:Dexi8B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWSPLMTPYKMGDSNLAHRSYENMAQGHNAVYYEQRAAPGVLLIAEASVVSSETATGYPNVPGLWSQEQVEAWKPVVDAVHAKGALFFCQLWHTGHSKPGPASPRLMETEEIPQMVMDFRVAARNAIRAGFDGVEIHAANGFLINQFWWFLDIGRVDSQPLRLDKGSSSSRDNRCIRLATDVVAAVVDEVGAHRVGVRLSPFASYTNCTDDADAEAKALHLVQFMDKLGVLYCHVVEPRMCADGEQGKLVIPHRLSSFRKAFRGTFIVNGGYDREEGDRVIRDGYADLVSYGRLFLAIPDLPERFRKNADLNKYERSTFYASDPVAGYTDYPFLTLETQVA >Dexi5B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:5B:3687199:3689042:1 gene:Dexi5B01G0005470 transcript:Dexi5B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLNAMFRRMFTSAGLRPASATVDAGDDTVIHFWAHPSLLQPPPPPSSENHHQPPRPVVVLIHGFGPDPTWQWAAQAGPLSRHFDLVVPTLLFFGASATRSPARSDAFQAAAIAALLAGGDHLPGLAGDRTVHVVGTSYGGLVAYHLARELLDRRHGEGGGVRVGKVALCDSDACKGAEDDRALAARGGVAEVTELLAPADTSALRRLMAVCAHRPVKFLPECLLRDMLRNYFADKREDKIALIKGITTGEGFQLTPLPQDVLIIWGEFDQIFPVEKAHKMKEKLGEKATVKVIPNTGHLPQQEDSKLFNQILLDFLLHPNSTSNGSASAK >Dexi5A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:5A:23778203:23785834:-1 gene:Dexi5A01G0019890 transcript:Dexi5A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIHRMSSLQRNGSLWRRGDGVFSRQPSRFFQDEEEDDEEALRWAALERLPTYDRVRRGVLQALDGEKVVEVVDVGRLGARESRALIERLVRAADDDHERFLLKLKERMDRVGIDYPTIEVRYEKLEVEAQVHVGDRGLPTLLNSVTNTVEFPPNLSRHQPPTTPSCRYPTRSGGGGALPRISHRGDRRPHCGDGAHDVSTPRRGPPALWHFVEQLPCVLNYAHHSSCLSSIGNALHILPSRKRPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKELKVSGKVTYNGHGMNEFVPERTAAYISQHDLHIGEMTVRETLQFSARCQGVELSFVVRFGIEMLTELARREKAANIKPDHDIDVYMKASAIGGQESSIVTDYILKILRLEICADTVVGNEMMRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIVLLSDGHVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWARQDRPYRFVPVKKFADAFRTFHVGRSIQKELSEPFDRARSHPAALATSKYGVNRMELLKATIDRELLLMKRNAFIYIFKAVNLTLMALIVMTTFFRTNMRHNFTYGSIYMGALYFALDTIMFNGFVELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGIYVFTTYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGVGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGNKWNIIESGKNITVGIEVLQSRGIFTEAKWYWIGLGALLAYTLLFNLLYTLALSVLSPLTDSHGSMSEEELKEKHANLTGEVIEGKKEKKYRKQELELSNNVGQNSVPSSESSSQNRKGMVLPFAPLSLTFNDIRYSVDVPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTRGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVNLETRKNFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIEGISEIKDGYNPATWMLEVTSSAQEEMLRVDFSEIYRQSELYQKNKEHIEELSKPPPGSSDLNFPTQYSRSFFTQCLACFWKQKLSYWRNPSYTAVRFMFTIIIALLFGTMFWDLGRKTERQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYIFVQTLIYGVLVYSMIGFEWTVPKFLWYIFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPRIPVWWRWYSWTCPVAWTLYGLVASQFGDIQHNLKGGEKDQTVAQFITEYFGFHHDFLWVVAAVHVFLTLLFAFLFSFAIMKFNFQKR >Dexi1B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:1B:24229903:24230283:1 gene:Dexi1B01G0017950 transcript:Dexi1B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSGGCFRGVGGAARRVASSASSSARTSPSVQLWWGSRALGHRSSTRSKLLRAGKSREEEGDQMRRTPAEGRGRAEETAGRDYIAAVPVAGAAAQSPAVWPYVVSGSCSGLRVVCVGFVVLVLLA >Dexi2B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:2B:14147794:14150286:1 gene:Dexi2B01G0012110 transcript:Dexi2B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPFLQLAVLLKLLCLASALNQDGILLLSFKLSLAADPLGSLSGWGYADATPCAWNGILCSPDSRVVSVVLPNAQLVGPVAKELGSIEHLRHLDLSGNALNGTIPPELLRAPELGVLSLAGNGITGGLPEQVGQLRSLRALNLAGNALSGAVPRNLTLLPNLTAVSLANNFFSGELPGGVFFPALQILDVSANLLNGTLPPDFGGAALRYVNLSSNRISGAIPPEMATNLPANVTIDFSFNNLTGAIPAVPPFSTQRAAAFEGNAELCGKPLDSLCGFTSSSAVEPPNGTAKSPPAIAAIPRDPTEALPGDDAAAGASPASGEQRSGRMRLATIVAIAAGDVAGIAVLFVVVLYVYQVRKKRQRQEVAKQRMAGVVFKKPDPEDSPDGTLGRSLSCCLRKKAAGDDSDDTAEEITDTSASFAAAGKGGVTVTDNKNSKDGHGVEAAASKKKGGAVLVTVDGGADLELETLLKASAYILGAAGGSIVYKAVLADGAALAVRRIGSDDAAVRRFAELDAQMRAVAKLRHGNILRLRGFYWGPDEMLIIHEFAVNGNLANLSVKRKPGSSPINLGWSARLRIARGVARGLAYLHEKKWVHGNVKPSNVLLDADMEPMLADLGVHRLVRATDAGIKPSSSSSAPAGRFGSKRSAKSLPDLPPASPLAGADTAAHYRAPEAARTTKASAKWDVYAFGVLVLELVAGRALTSVELCQCAAEDKAQLERLVDPALRGEVEGREEAVASCLRLGAACCAMAPGKRPSIKDALMAIERIPALADASSSSTSCSTSTAAHR >Dexi7B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:7B:23817418:23818099:-1 gene:Dexi7B01G0017930 transcript:Dexi7B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAGKDEDETVEERDKASKRKRAATDGAPSGPTAGFPEFRSLHCRLAPPLPRPHVAYLATAPIRRRPQQEEPSSTFLGFHVAGAGVSGGTTNPTHSLAGRRYADLVYVNTCNGVVLLAGEDYSASCRCVLWNPAVADVVEEVTVSYPNRKDREYLVLGPGYGQRSKTYKLLWRQIFVCKLPAR >Dexi4A01G0022010.1:cds pep primary_assembly:Fonio_CM05836:4A:25369446:25372193:-1 gene:Dexi4A01G0022010 transcript:Dexi4A01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSAFKPNPLSLSVPDPALDRWLRDSGYLDLLDSTETAPSSSASAPSSSSSTAATAAAGPTASASSGVAADVLAFARTLASLLALNPFARLSAADLAAPTPSWSLAFVGPPGAASYSWPPTPTQARLRVQENVRRYARNYAALAILVFACCLYRMSMALLGMLASLAVWEGVRYCRDHWALTTRAPGIAQALLHCAQIGASSMQSLVSARVSKNEV >Dexi2A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:2A:3508837:3518956:-1 gene:Dexi2A01G0003960 transcript:Dexi2A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPAALHLLLPAPPPHRHHLAFALPQHPAAPPLHASLPRAARRRAPRHGVARASAAAVAEDASFSGPAKFSVRIPVGDREILVETGHIGRQASASVMVTDGETILYCSVCLSDTPNDPSDFFPLSVHYQERLSAAGRTSGGFFKREGKAKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINGQFVVNPTTEQMENSELDLMMAGTDSAILMIEVGADTFVPYMISQHLFTILQGYCDLLTEEKLLEAVEAGQVAVREICKAIDDLVQNCGKKKMVDAINLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEEKVITILSEQGYVSKDISSGVTESLDDIVEDEDEDEVIVDGEVDEGDVHIKPVSRKPPRQLFAEVDVKLVFKEVSSKYLRRRIVEVLIGNHTYHLVLNINVSNFREESEAMGGVLGNFDPLILNVGYFHEHMVVLCSQGVKHRNLCILFICTSSSLAVVTLGGYQMAQRIDNLVDTEESKSFYLQYAFPPSCVGEVGRIGAPSRREIGHGMLAERALGPILPPEDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGSPLILSDITGAEDASGDMDLKVAGNESGITAFQMDIKVVGITLPVMEQALLQARDGRQHILNEMSKSSPPPSKALSPHAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKTIIANLTMVPKVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSGWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDADSEPNSKQQSSGSTKEKAPQKDDLIKMTTRRARRKKQSEPSEAENATTKTLEKSVAAPATSQGSETAKK >Dexi6A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:6A:22798581:22800529:1 gene:Dexi6A01G0015360 transcript:Dexi6A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMTATEVAELLDLKPHPEGGFYAETFRDGSVTLTTSQLPPQYKVDRAVSTAIYFLLPSGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPEQHYSLVGCTCAPGFQYEDFEMATFEDVRSIAPKAEPFLKFLIPCVE >Dexi3B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:3B:13630552:13633491:-1 gene:Dexi3B01G0018360 transcript:Dexi3B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNETQQQSPDEAAAAGPAAPAARRSIPGEAWLLLHELAAEWEDVAASDCGGGLQEPEVVPLKGAMTNEVYEARWPTGGGGGGEREERKVLVRVYGEGVEVFFDREVEVRTFECMSRHGHGPRLLGRFRNGRVEEFIHARTLSAADLRDPEISAIIASKLREFHNLDMPGSKSVFIWERLRNWLKTAKSLCSSDEAKEFGLDSMEDEVTALENEFSGECECVGFCHNDLQYGNIMIDEETKLLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYTKYPDTNERRQFVQTYLSSSGEECDTEEVENLIKSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWLKKTKILTSQTAE >Dexi1A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:1A:4850604:4851373:1 gene:Dexi1A01G0006430 transcript:Dexi1A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISAVLGDDDLLREILLRLGFATTLVRAAAVSRRWLRIASNLAFLRRFRARHPPPLLGFYYHDYGDGARTSSPSRRPPSSPAPSASPPPPSTPPDSSSRTPVTAACSSYKGHNHAVLDPLHPTRDAATLPHPEDLYFWAFLAGGVVSACVFFPWGKAMVKVARTLRAMQRVVFQHTVIDVPRTAAVVGVVHAPVQGKIYIATNCGDMLERGV >Dexi1B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:1B:20630463:20630666:1 gene:Dexi1B01G0014350 transcript:Dexi1B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFWRPSKGGAGRGVSKTAAAAAEWDSSRRTLTGSSIRMPPWALPGPEAILAGKSPYGKGIGGNCS >DexiUA01G0004410.1:cds pep primary_assembly:Fonio_CM05836:UA:8553541:8553776:-1 gene:DexiUA01G0004410 transcript:DexiUA01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGEFFGLLCDGARSRLPRVKPSYPLASLVHLDAIVFLLKEDWHTFWLVEVDMKNKVVRSSARRTLHS >Dexi6A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:6A:2095673:2099057:-1 gene:Dexi6A01G0002230 transcript:Dexi6A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPPPELCFGRITYVDCSSWTSKRAMQKVIAQQLRLNYKTMAMFDEQDEEDDFNGVDLGSREAIRSVAIVIDRHLRESRFMMIFINGGDKEVVLELPPKMFCPKQASADADKKKGVVKTSIVSLQGCACLDNLFLRGLPNLMELDLSGCATKVLDFASMVVDVPMLKRLFLIG >Dexi2A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:2A:7657549:7658403:-1 gene:Dexi2A01G0007670 transcript:Dexi2A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGSFELLQAEAELWCHAFGYLKSMALRCAIKLRIPTTIHRCGGAASLSELQAALPSIATSKRPCLSRIMTFLAASGIFAVETPANGEVADVRYCLTAASRLLVDDDEGRSSSDHSSSACLSKLMLLFTMPLHFMASQSLPEWFQREEEEDDATSSAAAETSTPFSMAHGESLYGMVGRDAEFSACFHEAMGSDSRFTAEILVRECGGVFAGVTSLVDAGGGDGTTAMAIARAFPHVRCSVLELPHVVDVAAPAVVDSRVEFVAGDMMEFIPPADAVLLKVR >Dexi2B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:2B:7721063:7722755:-1 gene:Dexi2B01G0007410 transcript:Dexi2B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAELHVTVLVALLLLSGASAGVQRAAAEADITYHAMAADEVPGRNRALFRPGANANPYSRGCEAIEDCRGSFARCWPCWLLRLPAASRSLLLCGCCDCAGTAVHRGTSAPPHQS >Dexi2B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:2B:27626556:27627137:-1 gene:Dexi2B01G0017190 transcript:Dexi2B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFVLVGHGDSSDTSDESAADNHHSVVGVIPPHSGGGGGHYRPAAAATGARALHWLSLADAVSATSSLLGASHEDLRLRAHQLSRALSEAFFAARATVVGPCFAGVGGGARFPEGGLFVCADVEPLARSVVDRALVRIVVEEASNSACNGFYDVVRGVMSQLVGDAPDGRGPAVFGREKFEAAFALEWVE >Dexi9B01G0028650.1:cds pep primary_assembly:Fonio_CM05836:9B:31282320:31283018:1 gene:Dexi9B01G0028650 transcript:Dexi9B01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRRLRIAPVGEIRRRWAGVLASNREEYGGKGDEGEYEGDKEGDWEGEGSDESEVEWSTPDNSLRDHADPLKGLRPGGTGSRFCGIGEEQSTENSMPSPPVDSPSVFSMIRRVQAAGCSIQELKEADEVLVDPSISEKACAMNTPPSIEPSVKLVRKVVKALIDGRHQKPAAGIWHGPVPPPRSSPPLTLGDVLSRIIVSFVKIIAGGSLTPTLAREFKFQIKIRNQRV >Dexi9A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:9A:13487263:13490157:-1 gene:Dexi9A01G0018390 transcript:Dexi9A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMLNSSYTIQEQTTPLLPLIALLLTQLRFKKDKNGGRLPPGPLAVPLLGNWQRYFVAHLAARYGPVVSLRVGTRLVVLVADRRVAHAALVESGAALADRPVVTTREFLGETANGNTTVSRASYGPTWRLLRRNLVAETLNPSLLRSASSKNAAPLVTEELRHGVFYLLVLMCFGEPLSEEAARAAAAAQRNWIMFMAYQAYVFAFSPAVTKRLFRRRLQMGLDARRRQKELFMAPIDARRPGARGLTDDELVSMCSEFLAAGTDTTSTALEWIMAELVKNPTIQGKLYSYIKETGASVHFTVAEMGRDETEWERPMEFSLERVLPDGNSEGADWHEVPGDEVDMAERHEITTVMKKPASCLVHRRMIHRD >Dexi5B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:5B:6970224:6971133:-1 gene:Dexi5B01G0009990 transcript:Dexi5B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAPELIPPLLAEELLLCVAGLDDLLEPRFPLVTGLTGSTAVAGAGVDGVVPGGEGLVGVLGIKGSPEVGAGLVGDGLIGIPEGGGARGFLGGRRCRGGGDCTAAGGVGSAGGLGAGKLDGGGDVAAGGSIGDAFGGAAGVAGGGTATGGEGGGTTVVGAGGGEATTTGGGGAASGGGGGKEGGGGVSCGGGVDAEGRGGGAAGEAGGSAGVALGCVTGAGEAGVGVVVTGDGVDLDGGTVALMVPGEGEGEDAIGAKQARERYGAMSCCLLGGNGGENHGTRRNSREKN >Dexi6B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:6B:10840181:10841207:-1 gene:Dexi6B01G0008590 transcript:Dexi6B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHEQWMAQYNRVYKDATEKAQRFQVFKANVKFIESFNAAENRKFWLGVNQFADLTNEEFRATKTNKGFKPSPVKVPTGFRYENISIDVLPATIDWRTKGAVTPIKDQGQCGKCCWAFSAVAATEGIVKINTGKLISLSEQELVDCDVHGEDQGCEGGLMDEAFKFIIKNGGLTTESSYPYAAADGKCKSGSNSAATIKGYEDVPANNEAALMKVVANQPVSVAVDGGDMTFQFYSGGVMIGSCGTDLDHGIAAIGYGKTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTE >Dexi9B01G0044150.1:cds pep primary_assembly:Fonio_CM05836:9B:43978941:43980911:1 gene:Dexi9B01G0044150 transcript:Dexi9B01G0044150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQPPPKRPTSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATRESVAVRNLLAASASRAARRFPNARSLLLKGRPRFADFNLLPHGWDASAFRPWAAAVAAGAFPALASLYLKRIPVTDADLDLLAGSLPASFRDLSLHLCDGFSSHGLASIASHCRGLRVLDVVECDMAEQQEVVDWVAAFPTEPTNLETLSFECYEPPVSFAALEALVARSPRLSRLRVNQHVTLGQLRRLMALAPHLSHLGTGSFRPAEGGEEGLGFGDLLTAFASAGRARTLVSLSGFRELAQEYLPIITVVCQNLKSLDLSYTPVTPNQIMMFIGQCHNLETLWVLDSVRDEGLQNVANFCKKLRCLRVLPLDAHEDAEELVSEVGLTAISQGCPELRSILYFCQTMTNAAVIAMSRNCPELKVFRLCIMGRHQPDHVTGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGRYGKSLRTLSVAFAGNSDVALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARGLPRMVVELINGQPQNERNEGVDILYMYRSLDGPREDVPPFVKIL >Dexi3B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:3B:14589203:14591931:1 gene:Dexi3B01G0019580 transcript:Dexi3B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSHHRRAPLRGGGRRRRGGGICIAELASRLSGLLLLLALVGAAAVLCLSSSSSRARSRRHHDEEIQAGGSRAAPRVTIFAAPRPPPEGSPARQELAVRSWLALPGNVSVVLLGAHASSLALAGRLGRRVTVDTAIDSAFTGTPFFHSMVARAQAASDSDICVLVDAEIIFLPEIVSALAHFSKVDREWFLVAMSRNVTDFHYQLADNGSHWVQDDGKEVSFKKGLPVFRDPSSPKNVSFDDCHFGTKCFQQVTKVKSRVVLDILKLGYNVLLSDVDVYWFENPMPFLYSLGPATLGAQSDEYNATGPINLPRRLNSGFYFARSDNATITAMQMIVKHASNSGLSEQPSFYDVLCGKNGTNRISDNICLEPNTNLTVVFLDRDLFPNGAYKGLWEKNDVESTCKELGCFIIHNNWINGRKKKLQRQMSSGLWDYDPTSRLCLQDWSDRGSFRLMGQFHLFEDTDRQ >Dexi4A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:4A:22582039:22585546:-1 gene:Dexi4A01G0018620 transcript:Dexi4A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALQAHCVGRRITRCAVADDTKVVVAAAGRAAFERAMVGRTIVAARRKGKNLWLQLDAPPFPSVVNSEEEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDSFLDSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLSRENCEALHRSIHEVVKYAVDVDADLEHFPKEWLFHHRWGKKPGTVNAVDLEQLAENGDAKDLEIDREDDDILKPKKRAATSRAARGQQNKDTIGASSRKVRGSDGGTKKPGRDVEDAELKVAVTTGNGEQVPDEPNCKAVSKSDQVTRRSSRKVKPRK >Dexi3B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:3B:14173777:14176156:1 gene:Dexi3B01G0019110 transcript:Dexi3B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCTLQPSGPCRNLLLSTSNLSLTLLPPHLGPTTAASSMAPPPRRNPPQNPTRRKVRSNQRTLRSPSVPNPNPLGYSLSQGEEPWLAASLRPTNFLPGLAIGFLLGLLLDLSSSWRPKSGPAPAPAAAPARGSSSKRASGSSFASGGEELKMVLVVRQDLKMGAGKIASQCARKFISKWTHAVCFGCASQLGQAKIVLTCKNQQEMNRLKETAEHRGIPTFIVADAGRTQVLAGSKTVLAIGPGQMLNQKFRT >Dexi9B01G0043840.1:cds pep primary_assembly:Fonio_CM05836:9B:43775077:43779835:1 gene:Dexi9B01G0043840 transcript:Dexi9B01G0043840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRGAKAAAAAERTEEKEMDLLLREIPHVTSPQGQRGAIGHGNGVHGAAAGHGFAAQGRGEDAYYSMALHRRDDAAPLQGGGGGGGAPGGMPFAAPLPVVSAPSPASGPFVGSAPPPPPLARAVDDPEQQWLANHLRELRIGDAPAAGAQSVLQRHGPPPVKSAVSTPTDASAARDAYHGYNFAAPGSSVHHEPVFLDQTKAVGYVAARPQRFVSDVGFDAYGGFPRGLDTSIGGLVYNRVGHGTGIGWGQGLVHPDLADSYMLSRHNGAEFFCPSPVALDVRGGPKLQYAYTVPVADNGFARSGNQFEAFHSENSLMFDGKKNMNLLERGRERRVQQFVNNRVLDLGNSRTPRYENMVRVKEYIYLMAKDQYGCRYLQQKFEEGKHHVDVIFEGIINHIADLMINSFANYLVQKMLEVCDEEQRLRIIAVLTQDPVRLVAISLNMHGTRAVQKLIETVTTREQVVLIISALQPGFMELVNDLNGNHVIQKCLTNFGAEENKFIFEAAAANCFNMAVHRHGCCVLQRCISSARGGYQAKLIIEICARGFELAQDPFGNYVVQYVLNLNIPSANAHLASQFEGRYLYLSKQKVSSNVVEKCLKLFPDDAKAVIVRELLSGSHFEQLLQDPYANYVIYTALLNTRGHLHNALVEAIRPHEDAIRTSPCCKRISRALSRR >Dexi3A01G0021970.1:cds pep primary_assembly:Fonio_CM05836:3A:17537522:17537989:-1 gene:Dexi3A01G0021970 transcript:Dexi3A01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYHLEYLNDDFFGSLCSFLPPRDPWKNRQCIAVARTRTACEPVQRHGRIESPGASSCACVRRRLLSGVSLSARKAPGGSDCVAARCLACTALGAPAEGKPLARASGCRIGGLRARHPSLWSFGASEWFQDASALRATAAALGAEGIDSKRGHR >Dexi8B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:8B:2277904:2278221:-1 gene:Dexi8B01G0003100 transcript:Dexi8B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDNVKPTMLPISLLQSITDNFSEERIIGTGGFADVYKGLLQNGAVAVKKLKREVSSEEAAL >Dexi7A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:7A:22389247:22390706:1 gene:Dexi7A01G0012080 transcript:Dexi7A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSVAVLAVAVSLAAVIPAARAEIKTTSIVSDSRSVILFEEFGFRRGGRAEVTATGVSWKVPEGSQIQAVSPSLMGFFLISNSLFYKINNESDYAEATGTSFCPLTSQYVQPLFRFKEVAPDGTGKGSLTLDADDQYTVLFSSCQEGVEVTMEVRTEMFNVVGRSGVREYLPVGLLPLPGIFAAASAVHFAFLAAWLFVCVRHCKTAERIHAVMGALLLFKALKLACAAEDQWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQEREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKQFYLVVVCYLYFTRIAASAVSAVLSYKYQWVVNVSIETASLAFYVFVFYNFQPVEKNPYLYVGDDEEEAAGGQLEMESTFEI >Dexi1A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:1A:24609861:24611278:-1 gene:Dexi1A01G0017250 transcript:Dexi1A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGILGVLPVASRAPLRVLFTRQLVAATRWLHGGGVHDDDPKEEDEAVPYADVPRPGRRWERKPYVTPMKVLIRRAKEERQARRENPCRVLEHPPDNGLLVPHLVEVAHRVHAARDRLLDGLTRLVEGENAIPVKRCRFCSEVHVGRVGNEIRTCEGRNSGARNSLHVWRPGTVRDVVGFPYCYHLFDRVGKPRVVHKEKYDVPRLPAILELCIQAGVDVERYPTKRRTRPVYSIEGRITDFEPDEAGDLEAGTLPEPASCTPLPGPVAPTEISSASTGASDEQEEKEEITVPELASRTLQAWLDMRSGAARLMKKYSVHTCGYCPEVQVGPKGHKVRMCKATKHQQRDGQHAWQEATVDDLVRPGYVWHVVADTGGEESPPLANELKRYYGKAPAVVELCVQAGAPVPAAYRSMMRLDVVPPARDEYDLVA >Dexi9A01G0049870.1:cds pep primary_assembly:Fonio_CM05836:9A:52363773:52367280:-1 gene:Dexi9A01G0049870 transcript:Dexi9A01G0049870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGADASSLAAAVLDAATPPAAAAATSRVLDYLASHAADHPRAFFADAFPSLLYRLFVSSPASASFIDLAAADPALSGILLSLLAPSGPLLAAAAAADRLALIRFAFPSERLPDWLRLALASPSSSSSSSSAASPLLSARVGSELHLSVFEYYLFWFAYYPVSSASAAAPASASNRGLSSRARLESWVSNLATTAVRKPGQKPQSSLYLKLLYAYLTEFVPTRTPQARMVGGGTLLHRTANDRVDAVQSFARAEFLLHTLVQFWLVGDDFSPLPVQTCHALGLRLPSRARAELSERPPSPGLGDAVKLLVMYLNCCDGRTLVDADARMPSEVIPVWNGVLDAQVVFWNPLIQRPLYRFVLRTFLFCPVGAAIKNTTQVFSVWLAYMEPWKVTQQELDEYGKQQAVEEQELQKCTVVYNSSWKAYVISNYLFYSSLVVHFLGFAHKFIHSDVASVLLMVHKVLEVLCSSPDLLGLLHKVDAAYHTRLVASSPPYDDALKYAPSIREQLKDWEDGLTETEADGSFLHEHWNSDLRLFSYDENGAYNLLQLLLIRAESEILRLSGDTQQALRTLDCIKSQMKRVFQGQIERTRGNAPLEELHNQQQQVRGEVFTPKHPSLGKRSWADFRYRGEWMKRPISETEVAWLARILIRLSDWLNDALGLDCGNGNDSPAAAIASTYIRFDRSELNTVGGPKDAARMALVAVCSVLVLVGQAVLKFMRSHRVKINLRFFASKKLLSAAAVLYAVVAVTRNASG >Dexi1B01G0028130.1:cds pep primary_assembly:Fonio_CM05836:1B:32724063:32726281:-1 gene:Dexi1B01G0028130 transcript:Dexi1B01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQFSGAGVGTAAFAAKGRASIEALRLPATVAVGKARPARRAFRGLVVKAATVVAPKYTTLKPLADRVLVKIKSSEEKTTGGILLPTTAQSKPQGGEVVAVGEGRTIGDKKVDISIQVGAQVVYSKYAGTEVELNDDNHLVLKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLLLTQTTKEKPSIGTVVAVGPGPLDEEGNRSPLVVSAGSTVLYSKYAGSEFKGTDGTNYIVLRVSDLMAVLS >Dexi9A01G0034380.1:cds pep primary_assembly:Fonio_CM05836:9A:39141157:39142622:-1 gene:Dexi9A01G0034380 transcript:Dexi9A01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKQARVCRADSQLLRSLASTTNEDIILTVPNEQLEHIAEFQDEADLWVTTYVARFLPAPRITHVLAGDDVLANSPGDAYFLVPAMRNLRSAVAAAGLDGRVKVSTAVSGETLASPAWSGVEAHLLRFLNTAGSPLFVKTRRSSEEASSDAMADAAYAAMRALGVPAGVPVITANLGVSAGEVAAYNYYSYGTQATTERGSMRRSLATGTFCVALQNADPAALQAGLNWACGPGGADCSAIQPGGACYKQNNLAALASYAYNNYYQKASSTGATCSFNGTATTTTNDPSSNSSTPVGASPPTSLSPPTGFTPPDGSSPPSSEFSPPAMGTTPPSGFAPPAGGGFGPPTGGGFGTPPSGFGSPGSYNGTGAFGPSGTFSPYGGSPGAMSSGAGFLTALSAVAVLLVSMDAM >Dexi6B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:6B:29203:32609:1 gene:Dexi6B01G0000050 transcript:Dexi6B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDEPHLKALEVALSSSSPPLQAQVGLVVGKLSASSDRALVYSLLPTPPTEAAAPACSLRAAPKSKPSKGKAPSSSDTSLEFDVDWIAEHARQVSRMLLGGMSVIGIYVWASEASFKATTPAVLLLEQFPKLGMAAHSVKGCSFTSLTVLEEDINIGTEDPHQVDFLVSFKNSVPIEECSLEGVAGLLRFAGSVSALAYLGPKESISEAISDLKADIITSLRSRLDIILDEADDGSAADELEKSTSQKATQVIFHELREPYSFSFPRRVLIPWLSGAYVCDYLQHSETTEDATDRCKEVIPLETSMESSSILEPESSVACGTLESFWDMVPGSHTGGRFRSSRRKDSGSTGQEDGDGSKRQQGGVNFNVLAALFVLLGALIAGFVFTFSAGSNI >Dexi1B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:1B:12333944:12339051:-1 gene:Dexi1B01G0011900 transcript:Dexi1B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCSELYIAAFEGRTQEVTRLLSGDSSTAVVAVANGHPSPRGRSHAIHQGLCCITREVTAQQSTLLHIAASQGHCELIAELCLRDAALLSLANASLDTPLHCTARAGHADAIEAIVRLAREDRVEDDLLRALLSSKNKAGDTALHEAARHGHGAAVETLMKLAPEMASEVNGVRVSPLYLAVMSRSVRAVAAIAGYRDASAAGPDSQNALHAAVLQSSGVVELGHNEPYLDEGSMMLGFYTAIAAVVSDNKKPIRTAVARGDSGM >Dexi6A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:6A:28233328:28235905:1 gene:Dexi6A01G0020850 transcript:Dexi6A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKSPRGERRARGAVAHCRDRCELLAGAMASRYELADAHRAYAASLTATGAALHDFLRTLQDAAPPPPEPGAGDAPGEEEGDGSGTDDVTVSPPVVSSLPPDASEDEDDDDDNDDDGGHILQSPSDEDEASDVGGGDDAEPSLPRPPEHLQPVPPAPPTPQAEAPQAPQQMVPSYVPGYPPPPPYSYGPTAYGYGSSYGGGYGYGGADMAGYYGHTVYNNYTSYARSHPPPPYIVHHHQVADATAGYYHDDDHQYHQGEAVQTPSPSQYGAYYYSYPYPCMHAGDDGGSSPVLAASAQLSAPRPTTPSPPRAPTTWAFLDPFEGIETYCCCQGQDHPAVAAAAAAAYAPNSQTSSDVSLVNEEKLPEVDDEEIHKVEDEGLPDLEDDESGVVGDDARRHAEGERTCSCKSSASEGDSDCNDPAEEGHIVEINTLDGVEGENDSVVEEQQHLSGTNTTAVAEPAAVSETTTYTDVEVGQELQAALGFEEEKAMERGNLSCSLEKLYMWEKKLLKEVKGVL >Dexi7B01G0023570.1:cds pep primary_assembly:Fonio_CM05836:7B:28131114:28133357:-1 gene:Dexi7B01G0023570 transcript:Dexi7B01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMATMTPATLMAGAALILMLAAATGASSSHYDYTGAFDKCLQFLEAQRSGKLPADRRVQWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGFPMAYAVTMLAWGVLEFEKEMVAANNLQRALDAIRWGTNYFVKAHTEPNVLWVQVGDGDSDHLCWERAEDMSTPRTAFKVDRNHPGSEVAGETAAALAAAAKAFRPYDSMYADLLLLHAKQLFTFADTFRGRYDDSLSSAKKFYPSESGYQDELLWAGAWLYEATADEEYLRYVSDNAEAFGGTGWSVLEFSWDNKYAGLQVLLSKLLFHGAGGAYGDMLRQFQAKAEFFLCACLQKNAGHNIKLTPGGLLYVDDWNNMQYVSSSVFLLTVYADYLAAASGVLKCPDGEVRPADMIKFVKSQVDYVLGKNPKGMSYMVGYGNYFPTHVHHRGASIPSVYADKSPVGCMDGFDKYYNSKGADPNVLHGAIVGGPDGNDGFVDDRCNYQHAEPTIAGNAPICGVFARLASEPADASSDYSPASDAYSPPHDSSPSKGSPLEFVHTVSNSWTTNGVEYYRHVVTAKNTCGHPITYLKLHVKGLSGPIYGVSPAKEKDTYELPSWLTRLPAGDKITMVYIQEGAAAKFAVVSYKTAS >Dexi6A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:6A:25695349:25701557:-1 gene:Dexi6A01G0017850 transcript:Dexi6A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADATTSSAAAAAYPPPALPASRQDIQAAVAKAAELRALHAALLQGGANAGGYASASRSPAVIRLPPAASPALSRPGLVAPAAAAAEDYPVFAPSYDEEPLGGMNYIRQDNRSLSENWSGIGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRMACRNHPSVLQTALSADNLLRSASRMTDLTGSKAVATCNTCKPATISRDTDADAKSLKDLNSTAPLSNYHPVAFSRTRHKGPHILSWLIPKSKRKPKSDMSPNTIECENMSQLLKEWGVFSLESLKKEVTEANEHRDAALQEVSEMKSSLGELTAKLGSLEAYCSELKKALKQETSAKNMQSHSKRSTRSVSGSRDNSLPVSHEVMVEGFLQIVSEARLSIKQFCRVLIQQVEDADNGLSDKLNLLLQPYQITLNDKHPKLVLYHLEALMNQAMYQDFENCTFQKNGSPKCLDPKQDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDYKMSCIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLTILRVEENRAFDQLYMEDVVDKQRAQNHPSSQVKLMVMPGFYVQDRVLKCRVLCRYS >Dexi1B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:1B:6780748:6781253:-1 gene:Dexi1B01G0008120 transcript:Dexi1B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDFASFFGEEGERWPGCRGLVWDERFLTELTGDVAAAAGEARGPPRRQPELRHSRSVGSVILRRCDEKRMALAKPKHSKDQQQKQQQEEELVGPGRKAFRTRDAAPAAEPPSPRLPGCWAAPCAVFRSSGSGGAGSASVMARGANKNSKHRKR >Dexi5B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:5B:7941211:7942117:-1 gene:Dexi5B01G0011220 transcript:Dexi5B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHPLATAASSSVGDDDEGTDTDASNSELANHRDPPPLTDATSAPPPPPAAASAPEPTGAAPPPPPPPPTQTQGAGAGEDSRRLFQRLWTDEEELLILRGFRDFTARRGTTFASHQYDTGPFYEEIRRQLSFEFTKSQLIEKLRRLKKKYRGAIYDVARHIWRPAFRRGEGAGDASDEDDINPAAAAAALPNATEDGGGGGASASATTPRGKGGRRVRRRTAQESEAPALPATSALIAGICTAASGASANYLTSGGDTFAHASYCQWRRH >Dexi9B01G0021870.1:cds pep primary_assembly:Fonio_CM05836:9B:16565999:16566888:-1 gene:Dexi9B01G0021870 transcript:Dexi9B01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKSLILLCAILAACLTLAAASWSHGTATFYGGDDGSDTMGGACGYDNLYNAGYGVLNAALSTTLFNDGASCGQCYTITCDASKSLWCKKGYSVTVSATNLCPPNFALPNGGWCGPGRPHFDMSQPAFENIGITTAGIVPILYRRVQCKRTGGVRFGIAGSNYFLLVSIQNLGGSGSVGAARVKGDNTGWIQMSRNWGANWQALAGLVGQGLSFSVTSTGGQTIQFLNVVPGWWQFGTAFSTNKNFIR >DexiUA01G0005370.1:cds pep primary_assembly:Fonio_CM05836:UA:9492826:9496816:1 gene:DexiUA01G0005370 transcript:DexiUA01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTTIIMSMSMSMTTIMIMIMGMRNPWNERSRLPPRREEVIVLLSGGFDRGPRSTESGIIDGTLESEPQSSNPRLRDPSRGSIEPSTSIERGRVPSSMLLRKAFTGVSYDHEPTLIERGMDVRSNHPLPAQPGIHRSILSREARVASSSVPSNGEHEVRRKLEISPTTPNKARFEDLRRSLGGYTSGCASVPPRIPRTREGTEVSKASTSTSLRMIEPHSRGGSGATQRPTIATTPRHPSRSRWTTTLEQGSTSRETFTLERVISVSLRSRLELATLGMTPKALRPINPNHDDAPLQSKSRGSLLHATTHAPEKTLVKSTRNNLTWQQHCANHSFDFARLGRATILEGDPRRRLQRLDLDAVRNRHKKEGHHVSHCHVVSWSWKARFPLAQHMYSHPPSLVYKRGREAHANGWGARRTQELISFSRLACNPLLRATRNWCSAPLLDVRPRGRNQDKTPRLFTRHRGNESISTADHLFVPPHLSKSEDTPLAHPTSFLLHSLSLFFLPLVGRRPQGSSTPPPLHLAGASPEGRRSSTPASYTTTTSSSSPSKKLPQAPPSFSLLPSSSSRSRTIKPHSSSPYTNLRPLLELCTSLKQTAPKTEVGAAAAELFFPGRRAARKIRF >Dexi1B01G0026560.1:cds pep primary_assembly:Fonio_CM05836:1B:31393469:31396471:-1 gene:Dexi1B01G0026560 transcript:Dexi1B01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTHGSGRHAFGDLTNILCKRPALSDPEKSTGEIKIRRIEKDTVTRKGSDENAINSNKGKGVVFGNLFDGVVKENFEMPSIFRRTKVPHMAAKAADLLSKEVSDLRDRTASIDLLDLSDQEQDSSIDSEGEYDEDDSEMTGESLGHFSSSELANKTATNDCECLAQEEIVGSSGNQKPLSSLDFMTGGNMPSSSVQHASMRTVGSKAVPTKSCVCSFCLKAAFMWTDLHYKDARSRLSVLKKSIKFTRSLEAKQSKENEHAANVAGYNSKRSVGMEFELSQQQRSLFLYTKNALFRESTQLHSSFVKLKELRKNCKTDLEMIGNSSLGK >Dexi2B01G0022460.1:cds pep primary_assembly:Fonio_CM05836:2B:32104631:32109580:-1 gene:Dexi2B01G0022460 transcript:Dexi2B01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNRAINSHFNEGGSTLNVINQNTIPASRDDMMDLDGPLDNIFQRSLLPGNFRDPFALMDPDFQQQFFDRVGSTDNANHGPLVSQPREVREIPIEVKDGDIQTGPSGQAPLIEDITGNESSHGPEEVHETIIIDDEDDILPSAPSAPHANIPSSTSVPTAPPLVHVNDYDDIEEEMVRAAIEASKKDAEGLENIVEQGADQHPEGVNLGEHSSDEANMGTADGTFERQGLASGKAGPSRQPIDEENLQEETEDVEEEEQPLVRRRSRRVPSEHTGLAQMVEPGPSPVLNNRQSNGDDFPSEDDEYLASLQADQEKELKAIQEAELRRLEETAAREAALEKQKQEDEERRKKQLEEEELESNLASKKASLPSEPPPDIEGAVTVVVRMPDGSRQGRRFLKTDKLQFLFDFLDIGRTSKPGTYRLVRTYPRRTFTTSDGDVSFSDLGLTSKQEALFLEHITE >Dexi7A01G0023680.1:cds pep primary_assembly:Fonio_CM05836:7A:31624378:31630230:-1 gene:Dexi7A01G0023680 transcript:Dexi7A01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSPIYRFSRVCLLTSFSEFGNKDKTEATFIIPDLKTLSTSRSCNLNIILISCGQLGQVIGEDNCSGNYVEGSSLKKLEGKCYWGKIATHLLASSLEKERARLSLGLTVELASTVTMSPSFLEPKFLEQDNCLTFCSHKVDVTVRSILLIYGVTEDFSCPFCLVPCGSFKGLGCHLNSSHDLFHFEFWISEECQAVNISLKTDAWRTELLAEGVDPRHQTFSYRSRFKKRRRLETTAKFRHVHSHVTESGSQEDAQAGSEDDYVQRENGLSVADASVDPSHTVHASNLSPPTVLQFGKSRKLSVERSDPRNRQLLQKRQFFHSHRAQPMALEQIFSDHDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRLVVLADGHIPWACEAFSRCHGQQLVQNPALLWGWRFFMIKLWNHSLLDARTMNTCNIILQGYQEGSSDPK >Dexi9B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:9B:430307:430770:1 gene:Dexi9B01G0000670 transcript:Dexi9B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHRRDIGIDANSSPGGKAKKAKACSKRIGARAGCHDSFGLDADTRATIPMAIPSHLFHSMASVAAPRETDPTTVGMQRMSLIHGLAGRRTGSIAAAGNKQRNKGPRQRRHAHAGLLAY >Dexi5A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:5A:2658080:2664625:-1 gene:Dexi5A01G0003520 transcript:Dexi5A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFQDARLPQQRVVEGVAFPAVVVPTATAAGGGIDEFLAAVRSERETRLETLVRDAGALLLRGFPARTAGDFDRAVDAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVRVRSAASSCSSAAKALLIASSCSSAAEALLATCSSTVEALLTTCSRSAELEPDSTLVPSLFPTSTSTQQLALGRLGRPRSRVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKFPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDKAFAEERAAKLGMKLEWTDDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIEECGKILEEECVAVPWQHGDILLVDNWAVLHSRRSFEPPRRILASLCK >Dexi5B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:5B:7057638:7065249:-1 gene:Dexi5B01G0010130 transcript:Dexi5B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYYASPEGDSALAAAAAALTLPTQSPAATDKPGYGSCDRRYGKQVFDNLHGSILLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGKAMNNLKTYQGEELGIDRIDVQTVKLAGLLHDIGHGPFSHLFEHEFLPRVIPGSTWSHELMSVQLLDSIVDKHAIDIEHDYLKMVKEMIIASSKFATTKSAKDKHFLYDIVANGRNGIDVDKFDYIDRDCRACGLGSNFQYWRLMEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHRKVKAVELMLVDALVEANDYLGISLHASDPEDFWKLDDTIIKSIETAPNNELKKAKEIIQRIRRRELYKFCNEYSVPKDKLEHFKNVTAQDIVCSQKSSEVLLKEDDVVVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDDRVSHLLPAYNEDRIVRVYAKKPELVEAVSKAFENLQVRMYGEKTQVHDTPKKKRIRSN >Dexi2A01G0023190.1:cds pep primary_assembly:Fonio_CM05836:2A:34962214:34962967:-1 gene:Dexi2A01G0023190 transcript:Dexi2A01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAGPTTGKPACAVTFGRSTLLGRHLAAALAASGRWSAVAVLDLSPSPSPPPDSHVVRHHVVDLSDPARLASVLAGAAAVFHVDATAAAASVSDGSFLSLHRLAAEGTRRLLAACRAAGVGRVVYTGSADVVAAGARDVVNADEDSAPYPDKFGNAVIELRAQVEMMVLAADGVNGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKVF >Dexi9B01G0036050.1:cds pep primary_assembly:Fonio_CM05836:9B:37639099:37646044:-1 gene:Dexi9B01G0036050 transcript:Dexi9B01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAFMERALEQAKFALDNLEVPVGCVIVEDGKVISSGSNRTNATRNMNPGPDGTPLRSDPASVDGTRSWGVGLALGEDEDDGEGEFLGLDASLVLRSEKWRMEADSAARV >Dexi9A01G0044140.1:cds pep primary_assembly:Fonio_CM05836:9A:47761768:47767556:1 gene:Dexi9A01G0044140 transcript:Dexi9A01G0044140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICDELRSFEATGVYRLDGTGATFLDPVRLLNGSYQRFRVVPSAYYSRSFEPPPRQVGDLETEQPEKRRKRKRNQKPKPRELNAMERIAEARHQEARPLLLSAHESLIKDKQLLEYLSKTTDGKEHTPDAGSVSENNFVELGTSWRAPFYEITICFRKPHILGNWEGSFDVQKTSFSLFNSIINVEAIDEAEGEFQNRHYILPRESCFLMTDFKHVRDLIPGRSNQGYNLIVVDPPWENGCVRQKEAEKLWVFVEQELLPTWGVKDPTVFYWLKVKPDGSLIGDLDLFHHRPYECLLLGYINVNTDAKQGSNFKLLEGSQVIMSVPGAHSRKPPLEKKTTAPDDGAACNCCRTIANKPLTPGCRTENPPKSSRNNTLQLQMPGVTSESPELRRIRTAQPTAPSQVASARVKLAERAKAPANSKAKGLDDQEKRCKSCRGESGKLVPSARRRSSPPSPPVGPDAGRTRCSWITANSDPQYAAFHDDEWGVPVHDDRTLFELLTLSQALAELTWPAILSKREEFREMFDGFNPASISEFTEKKITMLRSNASVLLPEQKIRAVVTNAKQMRKVVQEFGSFSNYCWSFVNHKPIRNCFRYARQVPTKTPKAEAISKDLMRRGFQFKACSEHKASETIVRAEPALPDRRLSSLSSEDSDIREM >Dexi3B01G0022390.1:cds pep primary_assembly:Fonio_CM05836:3B:17209627:17210082:-1 gene:Dexi3B01G0022390 transcript:Dexi3B01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQVYSRLGSFGGAPSPPPPPSSPARAGGISRSPSKGAGSARGALGTAASATAVARAGVGRGGGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSVPLDAVPRIIARQPHGSVYRSPQLYQRLRADMDADNSTDAVSPSLLLTA >DexiUA01G0003510.1:cds pep primary_assembly:Fonio_CM05836:UA:7181020:7184959:1 gene:DexiUA01G0003510 transcript:DexiUA01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPFAPAGDFRGGEDPLISKLRTQLGVIHPLPAPPISRSVIGLFALFFFVGAAFDKLWTLRKRRRAERELKVNGSWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRTGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFSKVPVIVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKVTFELSLFRLFNLMGMCSLIQAQCFLRNLTSRFEFHSIFSYSCSVNVSIFASITLCKTMPSVCKTDPYVVMILGDQVIKSKKNSQTTVIGLPGEPIWNQDFHLLVANPSKQKLTIQVKDSIGLTDITIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKREAGEVLLRLTYKAYVEDEEDEAVRSEFGGGYVSDEDVLDYVQGDIGKGGDFLGKERETFMDLLAALLVSEEFQGIVSSEAGSSRDPDQVGSVSGRVDSVVSPVATNAETVSNSSTDTALVWLAAITSVVVLVSSDLGASGYFNP >Dexi5A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:5A:24308194:24312651:1 gene:Dexi5A01G0020480 transcript:Dexi5A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGGAKEEEGPRAASAAPTVVLAEAARARARARALRPPRPRNGKGLGVRHPLKAYQFLAAARMANARVREAGEATLVAALAAAQKESERESEEVADIHGGWKSEDGSLNCGYSSIRGRRERMEDFYDIKSSRIDDKQINFFGVFDGETYRKTDADFLDAIAEGNIQVGSTASTAVLVAITLSDDHKPNRSDEKKRIEDAGGVVTWSGTWRVGGILAMSRAFGNHLLKRFVVADPEIQDQEIDGELEFLILASDGLWDVVSNEHAVAFVKDEEGPEAAARKLTEIASRRGSTDNITCIVVEFRHDNIMTDGSPTSANES >Dexi3A01G0022020.1:cds pep primary_assembly:Fonio_CM05836:3A:17584675:17587991:1 gene:Dexi3A01G0022020 transcript:Dexi3A01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPATAAAAAASAPLHRRARARQHLHPASRCSLPSRALLNSACLTAPAPLPVLAPRLPPTAVRAASSDAAGTRSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSALAELGRLLIYEASRDWLPTITGEIETPVAVASVEFIDPREPVLVIPILRAGLVLAEHASSVLPATKTYHLGLRRNEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVTALDLLIERGVTSKQIKVVAAVAAPPALQKLNNKFPGLHVYTGIIDPEVNEKGGPCFR >Dexi7A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:7A:20160450:20162571:-1 gene:Dexi7A01G0009000 transcript:Dexi7A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRAAAVPVPLLILAMATAWASAADLASDTAALRAFMAPFGSATIVSWNPSKPTCSWTGVVCTAGRVTEIHLPGDGLRGALPAGALGGLTKLAVLSLRYNALSGTLPPDLASCAELRVLNLQSNLLSGELPAKVLSALPALTQLNLAGNRFSGRIPPGIARNGRLQQLYLDGNRLTGELPDVGTPSLALLNVSFNNLSGEVPKSLSRMPATSFLGMPSLCGKPLPPCSSEPPSSQPPALSPESPAVSSDSRGHHHHHLAGGAIAGIVIGCAFGFLLIAAVLLLVCGAVRREPRRTYRSHDAVAAELALHSKEAMSPNGYTPRVSDARPPLHSVPPAAAVGKKKLFFFGRIPRPYDLEDLLRASAEVLGKGTYGTTYKAAIESGPVMAVKRLKETSLPEREFRDKVAAIGGIDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLNWESRRRIALASARGIEYIHATGSMVTHGNIKSSNVLLSRSVDARVADHGLAHLVGPSPASTRVAGYRAPEAVADPRRGASSQKADVYSFGVLLLELLTGKAPAHAALRGEEEGGGGVDLPRWARSVVREEWTSEVFDAELLRHPGAEEEMVEMLRLAMDCTVTAPDQRPAMPEVVARIEELAAGAPSTARSGRSASMDEADDRPLRPTGSIRRQS >Dexi1A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:1A:10711383:10717525:-1 gene:Dexi1A01G0011590 transcript:Dexi1A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLPFKVGDTVESRFFSLGFRGAWFRSKINLMCIRQGHLECLLEYLDFTDETYRFLFPLTEKTWTPLYKVPPASRKRKSSENRMIMLRPTFPQWYLEHEKPDELPKANVVAIVSNPWKVGDLIEWWYADCYWTGKIIELLGDDKVKIALHDEPIGEGGHYDADCKDLRPALDWSLENGWSVPLSQENGNSWYTAQLIIQNTDSGSSSSDEAIEQSCDGEEVQKCLNEPSDVPVVAEATGCGTNLSAKVSDKFFINNQEDCKEESPECLNGTSNMPQQVTDSEGELPPNQNGHCCKNSETNSPVAKRGESPDALLHGQSSPISLKRRKTSDISVEETPDTVDDAIMELEKVANKIRRLESLLLSVVSPPSKVVKPSWKFLEEGASVKLT >Dexi4B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:4B:17631646:17640057:-1 gene:Dexi4B01G0015790 transcript:Dexi4B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTKRRHQPGGANPTRRKVVEEPFHPAAPTPTAAAAPPSRLVGAIVEKGFSAAAPSSAPRPSVLPFPVARHRSHGPHWGPVAKGAGKDGAEEEDEMDMDETDYQPVAAAAAGPVRRKEKKDMDFSRWREFVGDAPPKRRQGKPAQPKKQSEQNIDAGAVTLKAGAASAGERELEGVSMQIDSGNAKGPPGTVISVSDVVSQKRMNQAESRVELVKTSEVINSALQGERMELDGGDSSMEAEINAENMARLAGMSAGEIAEAQAEIINKINPALVEILRRRGREKSGGTKGVGKDKGVGNPGLQKAKRATAGDWLMANEHNGGSWKVWSERVERIRSCRFTLDGDILGFQSYQEQQDGKKAHAENVGERDFLRTEGDPAAVGYTINEAVALTRSMESCDKDSSNCQYLKLQNSSVPGQRVLALQLLASILNRALQSIHKMDLLDNVKEMDFSDQFHDWQAVWAYALGPEPELVLSLRMSLDDNHDSVVLSCAKLINVMLSFEFNESYFETSERVTDHGKYICTAPVFRSKPDLEGGFLEGGFWKYNTKPSNILPQCGDDEEDKGDEKPTIQDDVVVSGQDVAAGFIRMGILPRICFLLEDDEKILESYAKSWTSGALDKAVQRDSMAFTLVKHHLSGFAFQCSASSKTLRYKVVKSLLRCYTLKRHHEAMLKSFVLQGITQESERSSNELDRRFQILKDACEMNSSLLAEVQRLKASIGQ >Dexi8B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:8B:1483304:1483564:1 gene:Dexi8B01G0002130 transcript:Dexi8B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTPSLVPNSSMSQALFPPPEWRYSKVWRYPWRGTAIRFPEPCHVPPPLTARLIRVPTFPSFQRATHRKYQLPSSWPK >Dexi9A01G0026190.1:cds pep primary_assembly:Fonio_CM05836:9A:28058442:28063444:-1 gene:Dexi9A01G0026190 transcript:Dexi9A01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPRLRCPAFHRPWCHSAHTPACLRTAAVVQGRCLAAALPQLCAFGWEQAEAEAWTLKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGELKLHDVVLAKATHWEKIRNELETKLVEFEQDLIRAAAENDALSRSLEEKANLLLKVNEEKAKAEAQIELLKSTIQSGDKEINSLKYELHVVAKELDIRNEEKNMSVRSADVATKQHAEDVKKISKLEAECQRLRGLVRKNLPGPAALAQMKMEVDSWGRDPGDNKMRRSPSRSSMLQYPMSPSPDCAHESMQRMQKENELLTARLLSMDEETKMLKDALSKCNQELQASKNMCAKTSSKLRSMELNMSSSNLYKSPTNSYVDTSASSQKGSNPPSLTSMSEDGVDDARSCEESWANTLVSELSYNKKYKGGKHNLTENSNQMSLMDDFLEMERLACLSSEAQECEGIVEKKSDVVKVLDGIRNILADIQHEVESVNINKLEHDDMFEVTGQFSTLVDEVVSDGNGLKQIVIALSEILVESGEFKVILLRKTANEGQSPSDAFDVKTTVQMCALEEYEKLRLEKRNLEMEVTKCNDMMESANLKFCGMEKNIEDLTSKLAACEKSNSLAETQLKCMAESYKTLESQKVKLEEEIRVLQAMIDTLSADLAEERRSHQDDMTKYRDLEEKMERYEKSSLFVTEEPDVKLKKVSC >DexiUA01G0024460.1:cds pep primary_assembly:Fonio_CM05836:UA:50915540:50915897:-1 gene:DexiUA01G0024460 transcript:DexiUA01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVRSLMSPLKKLWVRMHSAQQKKRGIYILYEDVKSCPCEDVQILWSILVESHPPPPTLHLKH >Dexi6A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:6A:19484333:19485217:-1 gene:Dexi6A01G0012770 transcript:Dexi6A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCWPLSLWLSPAAAWFIFFNAVVGAIAVMSSSSSSSSAPRRRLCRSGSSVVLDRLRSSSIFSVHPLASGVTGTPPLEDDGGSGSGGGASSSDDAHCYYCSSLEAGEAAMAHHERSLNATATSIDAMPRALAPAEDHHAAAHGAPPPENDEVEAEAVAEEEGSETEEHEESSMSLDEAYALAQRLRAQEQASPPSPSPPQPASAAVTVTEKKPAKKKVEDGMRRRRGKAEEAVEGKAELNARAEMFIRQFREELKLQRLNSILSHTRALDRLADGGSAVAPAMV >Dexi7B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:7B:22405518:22412905:1 gene:Dexi7B01G0016430 transcript:Dexi7B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPFEEISDDEWSHHHFKPSRVLKRSNQPPTKPPPPIDSFRYNLKASSSAATSTAAVVLSDDDDDDDFDLGAGGRSHRAAKSQRGVKRPHRGALSRAPPSTGSFGHSRKPFALGVSGSDDDDFDITPPGTSCLSRKGKSQRALKSPQHRHYSKAPPTTTGSSLHNPKRSKAAGTTGLSNSEDDDFDITDPLPPASSSRTSLRQFTTSDGRLLTAAIDLSEDDDLDLPASSSRNTHLRGKGKSKKILKRPQHRPHSQAPTSIGSFHHNPKPSKAPANTGLSESEDDDFNLTDHDMDLPASSSGTLRPRRTAGHRLVTAAIDISEEDEDLDLDDDDFDYQDLRPPQQRTSGRRFVIGDDDDSDVPVPDGAVDVEEDDRVNWSELENEDEDEDFNGGRSVDLEEREGDVVGIALRKCSRISADLRQELFGSSAARNIESYAEIDASACRIVTQEDVDAACTSENSGFDPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGKAGCPAPFNVLLVGYTLFERRSAQQKDDRRALKRWQWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDCELISRIKSILGPFILRRLKSDVKFVIMDTEQSKAYKGAIDEYRAACQARSAKSSVGMTNNVVGLIPKRQISNYFTQFRKIANHPLLIRRIYSDKDVDQIARLLYPKGAFGFECPLERAIQELKNYNDFDIHQVFFFLDFYFICCSIIWLVTKGSVDENIYEIARRKLVLDAAILQSGAELDNSTDVPEQTMGEILASLLLV >Dexi4B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:4B:18093067:18094618:1 gene:Dexi4B01G0016080 transcript:Dexi4B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVRVLNISHVRSVQTAGLPLPRQGEHRLSFIDLSQISKTIQRLFFFDGSDLPPFPSVVSALQSSLAATLAVYLPLAGVLSFRPDSGDVFVEAEFAGSAEAMRRLARDDEHDTGAFVRLVPELEATRLPAPVLPVQVTRPADGDGAVAVGVSIRHAVADGHAVWQFLKAWSTASREGPGSLAAPGFVQPTFDRTGIIVAPGLPRSTSSEPEIMQQISTRTFLLRADGIRSLKQQILRQSSALNSGAAPSKPPSTYVAIVSLVWASIARAKLTTMLDADDGYIMVTADCHSRLRTPLDDGFFGNCVKPCVARVRAGDLRGEATGVARAAAAIQHAIHVHLEGDPLSDAERWVAAYGAIPKERLVAVGSSNRFMAYETDFGWGGPSRVELVSVFAAQLVTLLGARDGGVQVSVALDRGTMVGFAPNLVVSAPILAVGVAAASDAASIA >Dexi9A01G0029050.1:cds pep primary_assembly:Fonio_CM05836:9A:33786299:33787800:-1 gene:Dexi9A01G0029050 transcript:Dexi9A01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDARVDAALARLEARSLLRATRPIALAPQPAAPETFAGPGPWDRAAVEILLDRHTLLQWLAEGGETVEQEEKLDGNLILFSGNDYMGLSSHPAVREAAVKAHGTLVCGENGGGVAELFECENDIDISVGTLSKAAGCQGGFIACR >Dexi7B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:7B:25685401:25690028:1 gene:Dexi7B01G0020340 transcript:Dexi7B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAISAARWVVGKALAPVMDGVLEAWAASTELGPNIRALKMELLYAQGMLDNAEGRDIRSPALKELLLNLQQLAYDADDSLDELDYFRIQDFLDGTFEAADAHPGGRVPDAILNTRHTANAVAKQLGLSSHHASKPEGEASVPPGEKQVGGGGGASTARHYTVRALGKHFLCCSFPPVSGTSDTEDTPAPQQDKNVVDPNNLATISDTTTPAPPPRESSVVMDPHAHSGTLNTPSPKLEFHRVKMSERLKQIVDELKPLCAKVSVILNLELLNSHRIIAKQVAANIGASLLRDQGHAPIFSKTDVKDMIYSPPKQDHQEGETHWFPNLQKLEIKHCPKVVLLPRIPWTRTLCSVKIEEVGSSILETLKYSKSNHCAELEIIGKGLIMDSLEEKVLVFGNLTDLEELVIRRWPLQFKDLQKLTSLRSLCIKKSEFVPSECEGKVNWQISVERLHVAKSCVTGKELTRLISHLPKLSDLFIRRCQKITQIGVAGEQQQLTAHITAPSTSAARLEDAEASYEQQGTSEEEETEKGGDDGLLLLPAHLSNSLRRLETKDCRELSLLLVAYPPLPDGGGCKTEKGGDGGGLHALRSLEELYIIRCPRLFDAYKTSSSCTCRFPFPPSLRKLVIWVAKGFLDAPICTILSSSLAKLTLVGDDAVRFTKEQEDALQLLASLQDLEFRSFDKLQCLPTCLHTLSKLKRLEIRYCDVISSLPEDGLPVSLQELDLSSCDNEELNQQCRNFILDHPGIELFEYL >Dexi4A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:4A:5936974:5940590:-1 gene:Dexi4A01G0007900 transcript:Dexi4A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDSAASTRSSLPLAATPMTDPAPSPASAAPPPNPLAAASSFLHHHLSRLASHLTAPRPALAAAATRAPPGAQGASLSLALAPDEVARSLTGTPVFTVCNSNNEFVLVSDPATGLRSLGLLCFRSEDADALLSHVYMLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELMKASKSSKGSALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKNLNQHINEVSA >Dexi5A01G0024880.1:cds pep primary_assembly:Fonio_CM05836:5A:28729236:28737218:1 gene:Dexi5A01G0024880 transcript:Dexi5A01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSGSRAAVDERYAQWKSLIPVLYDWFANHNLTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSSSPGASGSKQPGKTANEKESPKVDPRGIFHGHDSTVEDVQFCPSSSADNSVRMWDRRNLGSGGSGSPIHKFEGHKAAVLCVQWSPDRASVFGSSAEDGFLNVWDHEQVGKKKNSNVPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEEEVLTELENFKSHLASCTPRN >Dexi4A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:4A:10951104:10952508:1 gene:Dexi4A01G0012410 transcript:Dexi4A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWVILGRVLRVGFVPGDVVAEDADAQAAEHGAAAAADVAQALEEDVVAVDDDDDEEEAAQVVVDAVAVVEEDDDEEAQVVDVAVAAVEHPEQEENAAQHAAANAAAPEPDFSFSAMPPPRVTVLAAGPGGHPDGANLDKYPYIIAAEPNFLLVHFSNAPFRGTNFGDNPYPTYLIAELNVDKGSERAKLVSFGSVYYPTRAAEWHVQEMEYPMAEENRDWVPHGTVTVDSTIWWFDLSWGILSCDVDEHEPELNFHYVPDGRGLAMATPDIHTKRAITVSRGKLRYVEIVVTGGGGSAATVCMWTRMIGPDGWNWYVKYVMSFERIWDDHSYRETGLPRDVPVLAVVCPSNPALVYFALEEKLFGVNVPAHRVVHSQAFELVNIPDQPQQTPSARYVVAWNLPPEVAQGKIMRFMIT >Dexi8A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:8A:27838754:27841780:-1 gene:Dexi8A01G0016440 transcript:Dexi8A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATMAAVVIFFVFSAGLSAAAAAVVEHTFVVSRVNMTRSCKEILVTVVNGQLPGPTIEVTEGVSVAVHVVNKSPYNLIIHWHGVKQRLNCWADGVPMITQRPIWPSHNFTYQFDVAGQEGTLWWHAHVGSFRASLHGAIIIRPRHGASSYPFPEPHREIPIMIEEWWDMDLQQLDRHMIDGNFDDNPTAMTMNGKLGHPFNCSVINVALFSEVYFKISGHNFTVVAADANYVNPYTTDVISIAPGETFDALVVADAPHGSYNMVAVAQQVPKPEQQLPYFVTTGTLQYKQNDCGHGNVQESLASVALVVPDQMPDQHDTMTTYYFHGNLTSLHHRWQQQVPVLTDEIFFITLSDGTICRHGRQSCKRSGSNESLLVAAMNNVSFQLPSSLEAPLLEAHYYHCNENDGVKLFMLPNSPPREFNYTDFSLVSSSAQLEATKKRMVGRRFRHGAVVDLVFQNTALMQTGSHPMHLHGHDMFVLAQGHGIYDAAKDVARYNLMDPPLGWVAVRFVADNP >Dexi1A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:1A:25916702:25917175:-1 gene:Dexi1A01G0018710 transcript:Dexi1A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAQPGRPGDDVTDGAKEEEEEPAAMETLTVGQALRAVAMSPAGARPVDRADAAAVEAAEKSATGLGVVVPGGVAAAAHKAAETNEHEEEAKAVTLRDVLGDATKAMPGDRAATWVDAEKVAAAMGSSAGREGGGMGEVADAMAAAAQINETSTL >Dexi9B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:9B:850747:852166:1 gene:Dexi9B01G0001440 transcript:Dexi9B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVNAVMDVVVPPASMVMLAFAWPTLSLLRGAEWALKSLTKEDMVGKVVVITGASSPIGEHIAYEYARRNANLVLVARREHRLFAVRDNARLLGAGQVLVIAADVVKEDDCRRLVSDTVTYFGQLNHLVNTVSLGHDFNFEEAGDTAAFPHLMDINFWGNVYPTYAALPYLRRSHGRVVVNASVESWLPMPRMSLYSAAKAAVVDFYETLRYEVKDDVGVTVATHGWVGGDAGCVGKKLTVDEGAAEMQPGGGHVQAYARALVGGACRGDAYVKRPRWYDVFLVFRVLAPDVLAWTFRLLLSTAADSTTPAAARRPPPTALLPAPPLRPLLEYQPAPSTTRVHKLE >Dexi7B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:7B:24879366:24879988:1 gene:Dexi7B01G0019370 transcript:Dexi7B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLSMLPTGAVILWLLHQKGFFDPLYDWWEDLFGPATHGDRAHRSHKKGHHHHHRHSHPHPHHHRHHHVLHRHGHGGQQPEEAAEEGRHRRHGHNTALGVQHRGGAGQHKHRHGKAVAVALHFDGSSRSRGAVEEAVEFRERRRHEVRHGLSDGEGRL >Dexi2A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:2A:5616360:5623197:1 gene:Dexi2A01G0005870 transcript:Dexi2A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGWPDGGGGCGAARVAAVVSPDQAGVSTTTTVVPPDRAGARMRTRSGLDYSDKGFRNDINSTEDEDNSVQSGKTLKKMNDNCKECVSLKRELQDKQLDLDSERRRREKAECIATKFYEQDNCKECVYLKRELQAKQLDLDSERRRRETAECSATKLYEQKKAIKKELDVEKSWRMTNQTVMKTLIDKTWRASCQYFSSKTYELQTRRSWDFLGLPQTPQEELPLEGDVIIGVLDTGIWLDSPSFSDDGFGSPPSRWKGVCQNFTCNKYEPMAFSY >Dexi9A01G0042400.1:cds pep primary_assembly:Fonio_CM05836:9A:46004200:46005131:1 gene:Dexi9A01G0042400 transcript:Dexi9A01G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEGNVNAIFSEEQEALVLKSWALMKKDSANLGLRLFLKIFEIAPSVKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRETTLKRLGSSHFKYGVADSHFEASRQPPQPLTNLFALLETIKEALPADMWSLEMKNAWSEAYNQLVAAIKEEMKPAA >Dexi4B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:4B:1282020:1286851:1 gene:Dexi4B01G0002070 transcript:Dexi4B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIERPRRRPPPDPAAVLRGHRAAVNDACFHPSLPLLFSGAADGELRAWDTTSHRTASSVWAHAGTAGVYSVAASDGLGNKIISQGRDGTCKCWVIEEAGLSRRPLLTVKTSTYHFCKMSLVKSITFTHSNGSGSNCLTSDVEPQRIGIEENIESHVVSPSEAPQEDGEGIVQLQSHVGRNMMAIAGQESSEVELWDITSARKIISLPQSSNANAKDHPTKQKGLCMAVQAFIPYESAGYVNILSSYEDGSTLWWDVRKPGFPLSSVKYHSESALSIAIDGSCTGGISGGADDKVVIFTLDHPKGMFALRKEIELERPGIAGTAIRPDNKIAATAGWDHRIRVYNYNKGTALAVLKYHSDSCNAVTFSSDSKLMASCSTDTTVALWELYPPKPESKVDI >Dexi4B01G0002070.2:cds pep primary_assembly:Fonio_CM05836:4B:1282020:1287060:1 gene:Dexi4B01G0002070 transcript:Dexi4B01G0002070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIERPRRRPPPDPAAVLRGHRAAVNDACFHPSLPLLFSGAADGELRAWDTTSHRTASSVWAHAGTAGVYSVAASDGLGNKIISQGRDGTCKCWVIEEAGLSRRPLLTVKTSTYHFCKMSLVKSITFTHSNGSGSNCLTSDVEPQRIGIEENIESHVVSPSEAPQEDGEGIVQLQSHVGRNMMAIAGQESSEVELWDITSARKIISLPQSSNANAKDHPTKQKGLCMAVQAFIPYESAGYVNILSSYEDGSTLWWDVRKPGFPLSSVKYHSESALSIAIDGSCTGGISGGADDKVVIFTLDHPKGMFALRKEIELERPGIAGTAIRPDNKIAATAGWDHRIRVYNYNKGTALAVLKYHSDSSMRLLVRCCAMAELDGDQAALTEEE >Dexi2A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:2A:6733106:6733459:1 gene:Dexi2A01G0007000 transcript:Dexi2A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDHRICRGISGGGAGGRGGPRRNGWPGTRGFGGDCRKERAAGAGGRVCGGVTGGRGGGMGGRGDEQGRKGQQAQEEGGGTVAREEGVVAPDEGVAAAMAREDGVASREDLTRPHP >Dexi5A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:5A:9976337:9979470:-1 gene:Dexi5A01G0013250 transcript:Dexi5A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAVAAALVVAAAVLSPSTVASASNAEGDALYALRSALTDPRGVLQSWDPTLVNPCTWFHVTCNRDNRVTRVELYKNNIQGTIPAELGNLKSLISLDLYNNNITGTIPKELGQMKSLRCLKQ >Dexi1B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:1B:3196217:3197155:-1 gene:Dexi1B01G0004000 transcript:Dexi1B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPATALLTGERLVVFLFAARVALEAPASLAAPLAILAAAALAVELAVDGSDPASSPLRRFRTRCFIWHTSWCHYSAQRYALSANPAVKALIS >Dexi9A01G0026290.1:cds pep primary_assembly:Fonio_CM05836:9A:28768355:28769120:1 gene:Dexi9A01G0026290 transcript:Dexi9A01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIMGSYTGAVSRSPVLPASSVVLASGSWRPVVAAFRRPSRAVKCRRPLTVTCALPEKERPPAFSIPPTALLCPVPPPDGKERWDIKEEEDRVTLWLKVPGLSASDIEVTTGEDVLEIKRKVTGQQPAAAVDAHGVGAFHIRLLMTKEYDGNGVTADLKAGMLEVIVPKNPQRGSDRVELGATASRAKESTKKGGPGGTKPDQTSGKQGTGGLSG >Dexi3B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:3B:8553432:8556954:-1 gene:Dexi3B01G0012230 transcript:Dexi3B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQISRRTLGLLLLLAAAAVAASPAAADDVVALTEADFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRSVEALAEFVNGEAGTNVKIAAVPSSVVVLTPETFDSIVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDEGVVIANLDADKHTDLAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLSSEAGLVESLNPLVKEFISAADDKRKEVLSKMEEDVAKLSGSAAKHGKIYVTAAKKIIDKGSDYTKKETERLQRMLEKSISPAKADEFIVKKNILSSFSS >DexiUA01G0010150.1:cds pep primary_assembly:Fonio_CM05836:UA:20033591:20034244:1 gene:DexiUA01G0010150 transcript:DexiUA01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEADDSTVGDASSAPRLSHASLSVAVAAATASGIPRAASSRGTSAGPSSAPSGGAPNALKSGAASCAQPGIAAASPKSRVRPPPPHRGSSPAPDSSSFDEEPLSKRQRRLLQEKKEVAPHRGPTSSSLSSPAGHKQQPAPKRHPVLVLDSEAESSKRITRSQAKHKTSKNMQTRATNLPPRCQGPNPYKRAAGRGQKPTTESHGSNTNDFEPPKKR >Dexi4B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:4B:19117512:19133725:1 gene:Dexi4B01G0016970 transcript:Dexi4B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCRRSHGSFCLLFVLLLAATASALGAAVGSSISHVQLSAEFLLPVDSSSCPTPQEQPHGAPTGTRMPIVHQHGPCSPLAAAGKHAGKAPSHTEILAADQRRVEYIHRRVSETTGRGARRPRRTSAPVELQPGSPSPASASSYAKPSSSSPANLPASSGTALGTGNYVVTIGLGTPPARSTVVFDTGSDTTWVQCQPCVAYCYRQKEPIFNPSKSYTYANISCSSSYCDDLYTSGCSGGHCLYAVQYGDGSYTKNRGLFGKAAGLMGLGRGKTSLPVQAYNKYNGVFAYCLPASASGTTGFLDFGPGAPAANARLTPMLTDNGPTFYYVAITGIKVGGHLLSIPESIFSGAGALVDSGTVITRLPPSAYEPLSSAFARGMEGLGYKKAPAFSILDTCYDLTGYQGSIALPAVALVFDGGACLDVDASGILYVADVSQACLAFAANEDDTDVAIVGNTQQKTYSVLYDLGKKVRITLCCVLSAPLRSAMASSTSSLRGAILQSPLLSPRLAVRRTPTARRRAVPAKISCIGWDPEGILGAPQGGHIARLEFRRRLERDSEAREAFERQVREEKERRRSEREREHTRQNHPAAPEAPSNPLPLARDQTEQEAQARTLVSAAAATAAAASVRAKMGVAGHNDPILGERTCESLLQQLQLIWDEVGESDEDRDKMLLQIEQECFDVYRRNVNQASSSRARLLEQLAHSKSELTRLLSSLGELSIAGISNRIHNILESVSSVHDLCSVLAMDFVGTVTEIHPSLDDSVGVQSKSISDETLSKLSNMVIGLKEEKSKRFSKIQALASQLSDLWNLMDAPMEERQPFHHITCNLSLTLDEVTVPGALSLDVIEQAQLEVERLDQLKASRMKDIAFKKQIELEDIYARAHIAIDSSAARDRIMSIIESSSFEPSELLADMENRILEANEEALSRKDILERVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARGLVNKIPAIVDTLTAKTRAWEQEHGLRFTYDGVHLLAMLDEYKNLRQEKEEERRRMRDQKKINDQLAAQQENLFGSKPSPARPQSSRKVAGARANGGAVNGTPVRRLSALQSGGRTVSRDGWRDASRPVAPVNYVAIAKEDAASQASSNHTGFSTP >Dexi6A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:6A:27591007:27592469:-1 gene:Dexi6A01G0020040 transcript:Dexi6A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGNPYPLAMDPRGGGGGRDRLSALPSDVLLLILLRLDTATTGRTSVLSHHWRRIWALLPELRFPAGADLRLVASALAAHQAPITFLHVRALDAAPEPVDACLALAATRLSGRLVFQNRLSPADGDGGGEEEAAGGFDLDLPPQRHRGLPRPRLALAVPTTGVVFARLTELSLQRVRFRGSWDLGGDAVSSPRCPCLQKLSVTYARGLPNLAIHSESLLQLKLQRLDGLQELTIVAQALDRLHLIQASATTPLAAHISTPQLVWLAWLDCFDSTSIQLANWPRLENLHSHFYVYAPHDVGLNHGFLRLLKQFQFIHHLNLTLVLVLYIKDIGNFQCAMEDLTKLPCLTMLTIRVEHNGHAFGASLFHVLRICSDLRMLTLHLHGQSDH >Dexi9A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:9A:3255802:3263930:1 gene:Dexi9A01G0005830 transcript:Dexi9A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPLQQASSCSREEEAMWRGSTVGGRAQHPAREEVPAQQPVAVDDAVQEEVPAQQPVAPARRGCGACVVLISKYDPATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTKDGYHPVQQRLAGFHASQCGFCTPGMCMSMFSALVKADKKSERPTPPAGFSKLTISEAERAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGFNCFWKKGTEPADVNKLPGYNSGAVCTFPEFLKSEIKSSVDQANVAPVTDGWYHPKSIEELYRLFDSDWFDENSVKIVASNTGSGVYKDQDLYDKFIDIKGIPELSVINRNSNGIELGAAVSISKVIEVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMAQRLPFESDIATVLLAAGSLITIQVASKRICLTLDEFLQQPPCDHRTLLLSLFVPDWGSDDIAFETFRAAPRPFGNAVSYVNSAFLARTSSNHLIEDICLVFGAYGVDHATRAREVENFLKGKLVSPSVILEAVQLLKETVSPSKGATHPEYRISLCVSFLFNFLSSLVNSSTLKVDTLNVPCTNGITNASTEYPPVENRKVDSNDLPIRSRQEMVFSDEYKPVGKPIEKAGAELQASGEAIYVDDIPAPKDCLYGAFIYSTHPHAHVKGIKFISSLASEKVITVITAMDIPSGGENIGSSFPMLGDEALFADPVTEFAGQNIGVVIAETQRYAYLAAKQAVIEYSTESLQPPILTIEDAIRRNSYIHVPPFLAPKPVGNYIQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQMPEHTQNLVARCLAIPFHNVRVICRRIGGGFGGKTLKATHIACACAVAAFKLRRPVKMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLGINAGISADLSPLMPYAIIGALNKYNWGNLAFDTKVCKTNVSSKSSMRAPGHVQGSFIAEAIIEHVASVLSVDTNTIRKKNLHDFESLAVFHGESAGEASTYSLGSMFDKLALSPDYQCRAEMIEHFNNNNKWKKRGISCVPSMYEVRLRPSPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCHDGGECLLDKVRVIQADTLSMIQGGFTGGSTSSEISCEAVRQSCVVLVERLKPIKESLEANASPVEWSALIAKASMASVNLSAQVYWTPDPSFTSYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQIEGAFVQGVGFFTNEDYATNSDGLVIHDGTWTYKIPTVDTIPKQFNVEMFNSARDHKRILSSKASGEPPLVLACSVHCAMREAIRAARKEFSVCDGPANSTAIFQMDVPATMPVVKELCGLDVVERYLESISAAGPNTAKA >Dexi1B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:1B:24136667:24137131:1 gene:Dexi1B01G0017800 transcript:Dexi1B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMATEANGEVPGEKKKGEQRNASTSPWTRAGSFPAAAAEAEADCVAAAAEQCASWSSLPLPCSARSRALLGWPHAGSPSKQLSHHHSLVPPSLTCSRKAGKLAPRGGNREGDALALAGRETRSLSGLPALSSSWQLSLSLLLRARACFLPREP >Dexi6B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:6B:11335783:11339819:-1 gene:Dexi6B01G0008950 transcript:Dexi6B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNIGVLVPHFGGDSYKIRNALVGVLGKLVAKAFKDVEGDNNARLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENSISIGHWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRIATFEGTLEKYKERLQGMEPPNPDEDEPVNDSSLGEVVMGQNDSVSDSCVADSQDQNDPDPTIVEITNLEQIRALVASLEAGLRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTRKSPTETAKIRKIQKQKRKNENSQPTTEDPQLDAAKRSEAQGINAELGLGATIDIAIESLAERAEKEIFPELQASAMLALCRLMIIDAEF >Dexi1A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:1A:349038:350413:1 gene:Dexi1A01G0000570 transcript:Dexi1A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRDEPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFGAYGPVEECKPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVTYAPHFETLLDTKEKLEVRRKEVLGRIKSSAGRPEGTSQYSLAQGSSSGNHHQMNSNKREYVKTIHASHIEDPRFSHVPSNKVCGKNMLKLL >Dexi5A01G0027750.1:cds pep primary_assembly:Fonio_CM05836:5A:31139396:31142146:-1 gene:Dexi5A01G0027750 transcript:Dexi5A01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAKVSLLCSPPISASPPPRDDATLKCSGILRDFLAKQPSHETAGPFPGLISEPSRSTVPEGIRLGWDASASPHPDKGQEGTEPNAIHASHHRGQRMRACGGIGGEMGSEWKREARSEHGAPGPNSCLAYLVLAQPGQTRTPAHNQKAASASRIMERSLDAESEPPAKGCFKCEKGGFRALPFIFLNEMLEKVAGFGLNTNMITYLTKKYHLSTVTSQTMLFVWSAASNFAPIPGAVLADMYLGRFMAVALGSGMVFLWLSATIPGARPPPCNNASEHCAPPGASHLAWLLSGFAFLSLGAGGVRPCSMAFGADQFSRHPKERRSRILQAYFNAYYASIGVAFSIAVTAIVYLQDNVGWSVGFAVPMGLMLLSTVSFLLGSGLYIKEKGKRLMFSGIGAAAAAAIRNHRARLPAKTGDGVYHHLKDCKLTVPTDQLSIVEG >Dexi7A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:7A:20152974:20153477:-1 gene:Dexi7A01G0008990 transcript:Dexi7A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAYEELERRSRYLSALVRRTRLGDPPEPEPEAEAAEAKADVEPGLKAAPRVGEEGKGGKEEAKTKERTAVEGKAAKEMAAAEGKAAKERKVAVCVRAADMPLPLQRRAVRIAVEAVGAMPRLESKRLALALKKVCWHVFGVGD >Dexi9B01G0035330.1:cds pep primary_assembly:Fonio_CM05836:9B:37045472:37047317:-1 gene:Dexi9B01G0035330 transcript:Dexi9B01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYEHPFIMLATIYNMAASTTYWLSVCLIIVLGLLPRFLGKVIYQTFWPSDIQIAREAELLKKLSQQLGGSRPESDVS >Dexi5B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:5B:7068640:7070672:-1 gene:Dexi5B01G0010140 transcript:Dexi5B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSGVLLKLLDGMKTGAAKPVGEHRTAVLQVTDIVPAELDEKDLFPKHGKFYVKVSDASHSIYATLPLAQADLVLSNKLHLGQFVHVDRLDPASPVPVIVGAKPLPGRHPLVVGTPDPAARAKQAAPRRGSWGPEQNASIKPTTLNFDAEKTPVKERPTFSTPAKERQTFSTPAKDRTGAATPVRERGVAATPVRERSVAASPSMSTASVRKSSSVLPRLLTRSKSFVADRDQHPKIPKSPFPTEKSSVSCTASRARRRVAKEEEPSSPSSDDELGSSATSSKKRPSTATRVPVPGKLSLLGKDAIEQREQAQKAALEALRNASATDNVVRIYKIFSELSKTARPDTPASCFDSFLSFHQEAVQAVTDIEAIQAATSMAAAVARDEQPEDAPPVLQEIAQNREVVRRRGIGCSGVSKSVSFAPGTLDPKQDDGGGKTIRSSSASRKCLAMDKISEDGGNEKRTTSSGPTSATTAAHSALGSSLKLAKQIQAEAGSWFMEFLEAALETGLKKKSKASAMGDGRKQSSSCCPQSLMLRVINWVEMEQIGGDNSSRKPAHPRAAAIARKLRIKAKNP >Dexi5A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:5A:3499356:3503392:-1 gene:Dexi5A01G0004640 transcript:Dexi5A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSQSQSPKTPPAGAAGGEHARSASEPWLASAAAASASEDYSCVNDVDTFARTVAAVKSKSSSASSAARPDMLAAVLSHYAAKWLPDVASSSSPATSSSSGRFLPPESPTATWLKKRLLLESLVAALPPDPPPHAGAGAGDDDGITCDFLLKLLRAGSMVGADVALLRDLEARAARRLDQATLGAVMIPAFGHAIAGDHHHALLLDVPLVLRLVRGFFKESSAVSPNKASGGGAVVGGGGAAAARVARLVDAYLAEAALEAGLRPGEFEELARAVPAHARPADDALYRAIDTYLKAHPNTSKEERKSLCRLIDARKLTAEAAAHAVQNERMPVRSVMQVLFSEHGKLNRLAELSASFSGPRSPNPALEPPGRCPSKREVLAQHQEVRRLREDVARLQVQCNALQAQVDRLSSDRRRRGGGGGGGFFKWSAFWFGGGMGADVARVDDSESGMERRTPAKGKKDSAASGTPNAKWRKSTS >DexiUA01G0011950.1:cds pep primary_assembly:Fonio_CM05836:UA:23832250:23833232:1 gene:DexiUA01G0011950 transcript:DexiUA01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDEPAIRATGLIADRLLSSDISDYVCFRAACAGWRACCVDPRAQAVGDRRFHPRRWVMLSHAYDISRNRRCFVNVSIGRCVYLRIPDLRSYNLLGTTVEGLLVLYRRGGAEVVQLLNPLTGQLTDLPGVDTIRAAWGMPNKARSKRWSFTLLSAGIADDSTVALLCNFNVFNVLAVAKPGDERWTRLSIGYTFNNFMPMVLPYAGRLYCVAYNRVLVVEAAAEQQRPGLKAVPLVHYELKAVPLVHYELDTGRSEMMYPAYDDEGNLILVQRSKRGFGYSSEMYTTYQAKLDTGSVVRMRGLGGKALFLFGDRSQTGFLAGH >Dexi8B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:8B:26283751:26284155:-1 gene:Dexi8B01G0015500 transcript:Dexi8B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGLGVVEPTGDEDIKHIRPHRARRSTNIVQRGVSPEPKELRHDGEQQRPLRAEAEPDDHRRHVERPPRCAGGDEEVTGAGDDEHRRDHGWPWDLGLREVSGGHPAGVVPDADEGDEGVDGLCWIT >Dexi8A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:8A:3249903:3251289:1 gene:Dexi8A01G0004140 transcript:Dexi8A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDERLRRALAAFGGDAWALVDAALAAAARDRPGELRARRDGIVERLYAAAGCSSCCNDARHQPPPPREALAAAGFDEEDDGEEAAASASPEVDAPEEAEEHGGGGELGLESKIVAIRDFLEDPDQPDDELVSLLQNLADMDVTYKALQDTDIGRQVNGLRKHPSAEVRRLVKQLIRKWKEIVDEWVRLHNSGGDGGSSIIADGDSPEKIQGRSHQSPRSQ >Dexi5A01G0037410.1:cds pep primary_assembly:Fonio_CM05836:5A:38779307:38780386:1 gene:Dexi5A01G0037410 transcript:Dexi5A01G0037410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKFLRWRREAAPDGLVPEEQVRRQLSHDMICMGGVDRSGRPILVAFPARHYYANRDLAEIPRGQEKFLCIADLKGWGYSNCDVRAYIAAIQIMQNYYPERLGKALMINVPYIFMKAWKMVCPFIDNNTKDKFVFVDDKSLQETLLREIDDSQLPEFLGGKMPLIPLKDDVHQAESV >Dexi3A01G0029780.1:cds pep primary_assembly:Fonio_CM05836:3A:32121549:32122998:-1 gene:Dexi3A01G0029780 transcript:Dexi3A01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRGGTVEESAWVAEVEAAVADAGASESARWRLRCVYHVPACVKDLNRKAYQPQVVSLGPFHHGEPQLVPMDAHKRRALVHFLRRARRPLAEFAAAVAAAGDRLEGAYEGLPGEWRGEERFVELMVTDGCFLLEVMRTASGWEVNDYAADDPVFSPHGLLYNVPYIRRDMIMIENQLPLLVLDRLLAVETGKDGNEELINRMVLMFLSPTAWPPTTGVGLALHPLDVLRRSLLYGPRPPPPSPTSAPDDIIRSAEELYEAGVRFRRSATSSLLDIRFHRGTLYLPAIAVDDTTEYMLLNLMAFERLHAGAGNDVTAYVFFMDNMVGSARDVALLARSRVVQNTVGSDKAVARLLSGLSRDVVLEPHSPLDGVHREVHAYCRKPWNRWRANLVHTYFRSPWSFLSLAAAVFLLVMTVLQTVYTVMPYYGDKS >Dexi1A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:1A:103170:106787:-1 gene:Dexi1A01G0000140 transcript:Dexi1A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSASLSSCQPSAISPHSLLSPVFLPPLAGRNRARPAHTPPWISNPLQPRRHNLPSFLLDLPFATLGDRIAGNRRSPNGRPPLTTENHLHVELPSPATISSARPSSPCSIGFRAHFRGSPPADLGAIAAGRDRLVFCIVLDLSLIAGELAVGRNGRSKAALWSYVSVEPDFSPDDPAKDPGSLGSQPGHPPQEQPEQALEEISEELVQGPAEINTEQQTGKPRLGTQPALREGLGPCRVAAEHRATEGAMGLS >Dexi2B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:2B:22755595:22756038:-1 gene:Dexi2B01G0013760 transcript:Dexi2B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDFALGGSVAGAAATRRRAGATGFCASTSSCTEASTGTGCCAGIPSCAASWTATGCCASTSSYAASSTATCCCAGTSSHAGCCAPTAHGASQPWLLLPRAAAAGVIFFQAVLCRALCSSCYRLSVLIPSAVDGEGGREELWEDGR >Dexi9B01G0032040.1:cds pep primary_assembly:Fonio_CM05836:9B:34342780:34343468:-1 gene:Dexi9B01G0032040 transcript:Dexi9B01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYACHLQLWNQSLSFFKSAALAIAIDVHVPDAIHRLGGAATLLQILAEAGISPRRLRDLRRVMRVLTVSGGIFTTVQPKQQ >Dexi6B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:6B:16935684:16937044:-1 gene:Dexi6B01G0010550 transcript:Dexi6B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATGSAGVAATTGGYDRRRELQAFDDTRAGVKGLVDAGVTAIPAIFHHPPDALALESASCDDEDDDDASAIPVIDLSGAAPREDVVARVRAAAEAVGFFQVVNHGVPDELMAAMLAGIRRFNEGPVEAKQRLYTRDTAYKVRFSSNFDLFQSPAANWRDTLFVDLAPAPPRPEDLPDAVRDVMMEYGEAVTKVAVRVLELLAESLGLPGDRLREMGCARRLNVVSHYYPPCPEPDLTLGTTRHTDPAFLTVLLQDGVGGLQVLLDRGGGGRRSWVDVPPLPGALIVNIGDFLQLVSNDRFRSVEHRVLANSSRDTPRLSVACFFNPDDRTRLYDPITEGSTDPPLFRSVTVQEFIALFYGKGLQGRPLDYFRLQQST >Dexi2B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:2B:30402133:30405077:1 gene:Dexi2B01G0020340 transcript:Dexi2B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDEEIVAAVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGREMDGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRLYVPMATTEGCLVASTNRGCKAIAESGGASSVVLRDGMTRAPAVRFPTARRAAELKSFLENPTNFDTLATVFNRSSRFARLQGVKCALAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAIIKEEVVEKVLKTNVQALVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAINDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLASVVAGAVLAAELSLISAQAAGHLVQSHMKYNRSSRDMSKAAEEADRPKKC >Dexi9A01G0029000.1:cds pep primary_assembly:Fonio_CM05836:9A:33727836:33728952:-1 gene:Dexi9A01G0029000 transcript:Dexi9A01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAEEQIIPASVAIPIPPAIDYKTAASVLLKGMTAYVLRGHTVLVHAAAGGVGSLLCQWAKALGATVIGTVSTEEKAAQATQDGCQHVIVYTKEDFVTRVAEVTSGEGVHVVYDAVGKDTFQGSLACLMSRGCMISYGQSSGNRPDPVQLSDLAPKSLILGRPGLMHYTTNRDELLQAAGEVFTNVMSGVLQVRVNHVYLLSEAARAHKDLEARRTSGSIVLVPDGQRL >Dexi2A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:2A:1654665:1655866:1 gene:Dexi2A01G0002150 transcript:Dexi2A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELMEELVGEILLRLPPDEPEHLFRAALVCKPWLRILCDAAFLRRYRAFHGAPPLLGLLQRNMVIQGDPSPRFVSTTSMPDFPHPGSDGQRTRPLDCRHGRVLIQMLEDETMDLLIWDPVTGDRHGLLEPRVEWLIYSAAVICAADGCDHLDCHGGPFRVVFIGTPEWENTITASVYSSETGAWSAPVSFDQSSEAFVKHMRDGNAATHCYTPYLQPRRGVVIGDQIYFTIRMGNAIVKYDWGKNGLSMIDPPIAKVFHIALMAMEDSSLGFACIQNGSLYTWSRKVDSEGAVEWAIGRVIELEKMIPVAKPCDGPYVVGSAEGAGVIFISSGATLFMIKLNSGQVKKVDEAVDMKDVLGVGVYFSVLPYMSFYTPGMFL >Dexi5B01G0036780.1:cds pep primary_assembly:Fonio_CM05836:5B:36402236:36405902:-1 gene:Dexi5B01G0036780 transcript:Dexi5B01G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMALRLNDVSLCHSPPLATRHRRGRAGSVRVLAVASTPSTVSTKVENKKPFAPPKEVHVQVTHSLPPQKIEIFESLDDWARDNILTHLKPVEKCWQPQDFLPDPASEGFHDEIKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVVALADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVDRWKVADLAGLSGEGNKAQDYLCTLASRIRRLDERAQSRAKKAGTMPFSWVYGRDVQL >Dexi1B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:1B:2960038:2960868:1 gene:Dexi1B01G0003740 transcript:Dexi1B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPAAASAGTHSVFVYGTLMAEEVVRILLGRAPPSSHALLPGHRRFSIRGRVYPAILPVPGHSVNGKFFEGLTDRELHVFDLFEDEEYVKKTVEVSLTDTSEKSLAYAYIWANESDPDLYGEWDYEEWRKVHLKDYLEMTRKFMDEIGQF >Dexi5B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:5B:3423865:3425226:-1 gene:Dexi5B01G0005130 transcript:Dexi5B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFLFTALLRSSFVHGQGLQVGFYDSYCPDAEDIVRSTVEHYYDKDATIAPGLLRLHFHDCFVQGCDGSILIAGPSSERSAPQNFGLRGFEVIDDAKSQLEAVCPGVVSCADILALAARDAVDLVHTRSVVSYRLFNFTATGNADPSISEAFLAELRALDGNAALESDQRLWGDAATRAAVQRFAGNVRGLLGLRFAYEFPRAMVRMGGVGVNTGAQQGEIRRTCSRIN >Dexi9B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:9B:1817003:1820357:-1 gene:Dexi9B01G0003180 transcript:Dexi9B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVASPEDSGTSSSSVLNSGDGGFRFGLLGSPVDDDDCSGEMAPAASSGFMRTRQLFPAPTPPAEPEPAAAPVPVWQPRRAEDLGVAQKPAAPAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLGDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAALRFNGREAVTNFEPSSYNAGDALPDTENEAIADVDAVDLDLRISQPNVQDTKRDNTLAGLQPTCDSPKSSNTMTSQPMSSSSPWPMYHQSSAVPSHHQQRLYSSACPGFFPNNQVSPIPLCEGIITVGQKLTKLMLALLQLQMERRPELGPQPFPTWAWQMQDSPHTPLHHSAASSGFFTAVGGANGGGGPLPSPHPPAPLPNYQFFS >Dexi7A01G0024180.1:cds pep primary_assembly:Fonio_CM05836:7A:31969320:31971622:1 gene:Dexi7A01G0024180 transcript:Dexi7A01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSPVPPALCKRGLQQLVLVHAAKPPLPLVSRRHVSVSVRAAPPRQHQHQQSRARPRPPPRNKRRVDPSPNPRPAHRPPRGSPLDPDYDDDGGYDQEEGYDEGRFAGGTRAAAMPKPPAGFVLDDKGRCIAAASKRIVTIIDDTNKRPLECIIRRVFRSSHGHECMLLCPVDMPVQVLKSTNFSGWIAVDDDQLKQIIPSVAYALARVHMHFVESGFCYTARGGFCFPEEAIQEFHDSGDGSDGVPFEGVEICCFNLDGAHYMIYTPVDPLLFVAVKDKDGALHIAEDDLMDDPAVADAIDEETEFTALVEEEEALLETVLGER >Dexi1B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:1B:1248471:1250025:1 gene:Dexi1B01G0001540 transcript:Dexi1B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAASDGRDAAVPARMTTVSRHYFGGGACESHHDLRIDIIENIEEDYGMFVWPCSIILAEYVWQQRSRFSGSKVVELGAGTSLPGLVAAKVGGDVTLTDIAHNAEVLNNIRRICALNDASCTVSGLTWGDWDERVFDLHPDIILGADVLYDSANFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKEKHKPSPLG >Dexi2A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:2A:5327830:5328083:-1 gene:Dexi2A01G0005540 transcript:Dexi2A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKRAAVAGLPDDALVEILSRLPAKSLCRSKCVSKAWCDLIADRLRCRKLPLTLEGFFYGCQ >Dexi3A01G0029940.1:cds pep primary_assembly:Fonio_CM05836:3A:32669418:32670552:-1 gene:Dexi3A01G0029940 transcript:Dexi3A01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHSPSPSGDSRRRLSELLGEQQEPFYLDLFLLEKGCSSTFLDAAACGACSPCWSKARRSSGGSRLLRRPPARSKKKGPRRNGGVLRLLLSKFLSGAATATPAAAAKKKKKKRRRQQQLPAAAIDWSHVDVDEKQSTAGPSTNAVECHLTDVVDDEQPEEEDDDEEDESSSKKQLSPVSVLEQRLFEHSPPPPPHTQRALVLFSELLEAAYAPSTLLHLLANAKQYSISVSNKSRGDDKAPTTTRRRSRRRTKKNGGGRRKGDDDEAPFERDLARATALVGSEMGGGARVRPDDVAAEREEVAADIAAAVLEELTEELMLVAMGMAEMDHGGCAARGRR >Dexi8B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:8B:23102369:23102579:-1 gene:Dexi8B01G0013300 transcript:Dexi8B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSTAPAQRELRMTLYNKEVYFGRDINGVTTVGRQPLGTTWVPMRISSATCRAQVFK >Dexi6B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:6B:21749164:21750219:-1 gene:Dexi6B01G0014110 transcript:Dexi6B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTATTLPWKLLLLAAAALSVLAMALASPSQLPAVGQGPPVGLQANCTTICGDVIVPYPLGISVGCYLPGYNLTCNTSHNPPRLFLGTGALQVLSISLENSTVRVVGPDIPILESTGDGYVANGTWGGDEWGLRNGPYVLSEEYNELVVLGCQLSAELVIVDPNNGDIVINNCGSICGGAISIDQECQAPEKKQSRRCTRCSGFGCCQVPVPAGRSKYKVRVQSLDGDTNMPNSVFISEEGWFQTPYNYSNRPSSGIPAILAWAIVSDVLPFQSQPRDGNATCPTDLNTTSCHSSYGTCRYADRRYDKTSDTWPFWTSSFSYTCRCWDGYEGNPYIPHGCQGTSLYLSF >Dexi7B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:7B:25500272:25500859:1 gene:Dexi7B01G0020040 transcript:Dexi7B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHDRDNECAVVTSENRGFSLEEFVDQSHALDHSSVAHREDDHGLALARAQAEKNLSEL >Dexi3B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:3B:14266147:14266363:1 gene:Dexi3B01G0019230 transcript:Dexi3B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHFSRKPVMRSTAVRTDCRSRPLSVRPCPTHTDEEATTRPSEHRRLAASSRWGGTNAGGDSSLS >Dexi9B01G0024600.1:cds pep primary_assembly:Fonio_CM05836:9B:21087166:21090121:1 gene:Dexi9B01G0024600 transcript:Dexi9B01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGGGSDDIISIASEGGEIVVLPQHRPVVGAALRVPAHTERRLRAAEVALRLAVCGFALLAAVLLGVARETHDFFGLFVKVARYTDMPSLVILVITNGVAASYSLLQGGRCVVSTVMAYVALSALAGALVAAMIGKFGLAEFGWMKTADFYKKFSMQVTGAILAALVAVVAMGNRQKSSKSSPTAAGLAHASLAAPPPQRLQHLRGSFPSPPPTPPPPLDPCQHAARKRRELARR >Dexi6A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:6A:10720785:10722577:-1 gene:Dexi6A01G0009340 transcript:Dexi6A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVKVKAGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPALKSVLGYDQRQLAMLGVANDIGENFGVVAGVLCNSLPPWLVLLFGAAFCFLGFGTLWLAVSRTVLGMPYWLLWVALAIGTNSNAWFVTAVLVTNMRNFPLRRGVVAGLLKGYVGLSAALFTQIFSGVLHRSPTALLLLLAIGIPVVCLSTMYYVRPCTPALGAGGDEEDAMQDGHFAFAQVASVLLGAYLVGTTVLGSVVKLSDATSYALFGVTVLLLLAPLAIPVKMTLFRKKKLLPPMETSASPEVEEPLLIPSSDASPPPADEDSEKVDVLLAEGEGAVVVKRRRRPRRGEDFEFTEVLVKADFWLLWFGYFIGVGTGVTVLNNLAQIGAAAGIADTTILLSLFGLGNFLGRLGGGAVSEKFVRSMLLVPRPVWMSLTQTVLAVAYLALAYALAPGVVYASAAVIGVCYGVQFAVMIPTTSELFGLKNFGLFYNLMAVANPLGAVIFSEELAGRLYDGEAARQGRHGGGGAGPHTCIGPECFRVAFVVLAGCCAAGTAVSLVLAARIRPVYRGLYAGGSFRLPNSAQQH >Dexi1B01G0025100.1:cds pep primary_assembly:Fonio_CM05836:1B:30262667:30264721:1 gene:Dexi1B01G0025100 transcript:Dexi1B01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTLSVLLLVAGVVAMLVLHILIVFWALRRGAVASQPDEEMSAAAADAAGLSAEDLDGMPCHEHAGSKAGGECAVCLEAFQDGDRCRALPGCEHRFHAQCVDPWLRKSRVCPFCRAEVVAVGRGKAAARQGSSTSSRVRDQLFWLGCMAGTHSVVFLVTGFAMVLVVHVLVLFWALNWCCRAQPSSRVGEREEEGGGAAGLSAEQVDELPCRECKEGPGGGGECAVCLEAFRAGERCRVLPGGEHGFHAECVDSWLRKSRRCPICRAEVVVVAAGGQGKSAGGVAEPTAVEIVVVTER >Dexi5A01G0026280.1:cds pep primary_assembly:Fonio_CM05836:5A:29946845:29949547:1 gene:Dexi5A01G0026280 transcript:Dexi5A01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAARYSPRGAIAASAAPVPPYRLADSAPRLPAERGCVQTWVPHRGAGSLASERTDEEYHREWGQNNPGSYGNSRSNHFSHQPQRDAPSAGSRSSVNIDGSSEQPYRSGGSYGFPNSNQSYAGARANNEQPGYNATQPYRTSDAYSQQSYGGHLPNAHQQYNGAGANNTPSGYVKGQTYRPHSGYDQYYSGYDTQSNRKTYEGGGATSGQYGYGPSGQGFVHNGHGEEVLDFFDQFKQTGDKADAVMFTHIFLACGILGSVDEGMLHFESMQKDFGITPTMEHYSSVVSMLGQSGYIAEAYEFVERMPMEPSIEVWENLMNMCRLNGFLELGDRCAQIIDRLDSSRLNEQSKMGLFPVDASDLAKEKERKKASVAEARSKVHEYRAGDRSHPDTPKIYEELRYLSAHMKEAGYIADTRFVLHDVDHETKEDALLAHSERLAISYGLMTSAARSPIRVIKNLRSCGDCHTAFKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >Dexi3A01G0035530.1:cds pep primary_assembly:Fonio_CM05836:3A:40761230:40765166:-1 gene:Dexi3A01G0035530 transcript:Dexi3A01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVPNGHPTAGEDAPPASSSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRYRLLVPLMPLFPPPPPEPRAVLGCVRFCRREVFRLFFTRSVRICGGVRSGSFGLLLDSLLVSCRCNTSLLIDYCQDGGVHKYIIIDVGKTFREQVLRWFVRHKIPCVDSQFQSLVGANWICSSWIAYTGLVSLVPSVTGSHNVHLCWDQTLDAVKRICPKRALLIGLTHEMDHHKDNQTLEEWSRR >Dexi3B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:3B:11661528:11662075:-1 gene:Dexi3B01G0016100 transcript:Dexi3B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSISCSLKPPAPVKEASARLQPSPPATTTTPWSNGLRRACVAAAACAVIATASGGEGGAAVVLPRADGVVVAVDARAAPPRWSDRRECPPWRANSLENIVPENLPRPSARRRFNSIKAPDGAPALSPEAVAPFLALHSGLDDCFSL >Dexi9A01G0047430.1:cds pep primary_assembly:Fonio_CM05836:9A:50538415:50538669:-1 gene:Dexi9A01G0047430 transcript:Dexi9A01G0047430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYLLASLSYFSAPGVKKTVCKHGGDAVVTAEEKGVTSRPEPVAARPRGEVDEEETRVVPSWGCCSPVAMALA >Dexi8B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:8B:22669407:22671766:-1 gene:Dexi8B01G0012940 transcript:Dexi8B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVVLLILGFTPIAAQPWQICGDPAMSYLSNSTYHANLEHLSTMLTDKAIASPYHYANGSVGTIPDIVHGLTLCRGDVNRTTCHACIANASLGAQHLCPYRADVTIFYPTCRLRFSSKNFLHPDNYSLIVDGVVDTMNTTDTTNKEPTLPNWDPGNSEYAADITKIISGLLQETAWHAAYNSGARMFATGRMDVGGGFPSLFSMAQCVPNLSHNDCWSCLHVITYMATDNFAGRQGGRLLALWCNLRYETVHFYDDDPMVTIMSPVKEIVGPETMPIVVPSRKRKRGIIKAVVPVLASIIGLVISIIFIRWRRIKGNGSLGKPNVHVKEDDETIVWGLRGRSSDFMLYDFPVVLEATVNFSEENKLGQGGFGPVYKMKQEDLYCVGVDA >Dexi5A01G0039530.1:cds pep primary_assembly:Fonio_CM05836:5A:40112973:40116439:-1 gene:Dexi5A01G0039530 transcript:Dexi5A01G0039530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPRPATAAAAAAASLSSFLPSPSFRGLLPLRSWRAPPRRRLSTAAANDSRGPLDLHQERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMKNIVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPSIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQVYSLFLLCSS >Dexi2A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:2A:1335293:1335922:1 gene:Dexi2A01G0001820 transcript:Dexi2A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLPLESHGALYFTWVVDPPGRRRPRDLASRFFDLRRPSERWSLLGIRHGFLLLANRTRREAVVWDPAACVHHRAPYPPEFKADGIYGLVCNGAVACAAAGAHGVGDCHLSHFKVVLHHLDGGD >Dexi1B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:1B:753922:757158:-1 gene:Dexi1B01G0000890 transcript:Dexi1B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAPLLVFLAVTLSLILATSVGAAGGDERAALLALKAGFVDSLGALADWKASSSHCTWSGVGCNAGGLVDRLDLSGKNLSGKVTDDVLRLESLTVLNLSSNAFAVALPKSLAPLSKLQVFDVSQNSFEGAFPAGLGSCADLVTVNASGNNFAGDLPADLANATSLETIDLRGSFFGGDIPAAYRSLTKLKFLGLSGNNITGKIPPELGELESLESLIIGYNVLEGAIPPELGNLANLQYLDLAVGNLEGPIPSELGRLPALTALYLYKNNLEGKIPPELGNVTTLVFLDLSDNLLTGPIPDELAQLSNLRLLNLMCNHLDGTVPAAIGDMPRLEVFELWNNSLTGQLPASLGKSSPLQWVDVSSNSFTGPVPVGICDGKSLAKLIMFNNGFTGGIPSGLASCASLVRVRMQSNRLTGTIPVGFGKLPSLQRLELAGNDLSGEIPGDLASSTSLSFIDVSRNHLQYSIPSSLFTIPTLQSFLASDNIISGELPDQFQDCPALAALDLSNNRLAGTIPSSLASCQRLVKLNLKHNRLSGEIPKALAMMPAMAILDLSSNSLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNAGLCGGVLAPCSGSRDTGTAAGRPRGSGGARLRRIAVGWLAGMLAVVAAFAAALAGRYAYRRWFCDDDLAGTGDHGAWPWRLTAFQRLAFTSADVLACVKEANVVGMGATGVVYRAELPRARAVIAVKKLWRPAARDAGGEAPASELTDEVLKEVGLLGRLRHRNIVRMLGYMHNDMDAMMLYEFMPNGSLWEALHGSPEKRTLLDWVSRYDVAAGVAQGLAYLHHDCRPPVIHRDIKSNNILLDANMEARIADFGLARALARSDQSVSVVAGSYGYIAPEYGYTLKVDQKSDIYSYGVVLMELITGRRAVEAEFGEGKDIVGWVRDKIRSNTVEEHLDANVGGGCAHVREEMLLVLRIAVLCTARAPRDRPSMRDVITMLGEAKPRRKSGTSGAAAAPAKDAAAVVVDKDKPVFSTTPDSDYA >Dexi9A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:9A:12198171:12199943:1 gene:Dexi9A01G0017190 transcript:Dexi9A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAPPKEEDPPPLPPSDPQTLARWYQLEALERALAGNTVAFLETGAGKTLIAVMLLRAYAGRIRSSPPPCFAVFLVPTVVLVGQQARVVEAHTDLRVRQFYGEMGVDFWSADTWRQALDGAEVLVMTPQILLDNLRHSFFRLRDIALLIFDECHHASGNSPYACILKEFYHPQLKSRPSDPIPRIFGMTASLINSKDLQRTSYSAKISNLENLMNAKVYTVDSESALSQYIPFATTRIVQYDNSVIPYGTMDNWY >Dexi2B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:2B:30121909:30122911:1 gene:Dexi2B01G0019970 transcript:Dexi2B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTFPGGRARAQRPRLRQVAQPKRESDGGQPREFDARANEAAAGNNTGGAQQGEPCRCCLCRCACASAEGDASPSRLLSPFPSPSPSPSLPPIKRPGASRPFLAPRRSQRALPKSQFATSSPSVSRTPASSTIRKASSVLAPATVRRASGMAQRDKKEETTELRAPEITLCANSCGFPGNPATQNLCQSCFSAATASSMSSSPPASSSSSSSSVSAPAAVSQAPRPAPVDATPVETFQAVDRQPPAAAPEQARASTANRCSSCRKRVGLTGFRCRCGELFCGAHRYSDRHGCSYDYKGAARDAIARENPVVRAAKIVRF >Dexi5A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:5A:1401333:1403989:1 gene:Dexi5A01G0001990 transcript:Dexi5A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCVIGAIVVILDMPFQWALKSSSFFVGCLAGGFILTSHHARRLAPQREKMLLTSLVSISIAGVLTAFAPNMWAYAALRCGSLLAGNNCVYRNVVHHSAGEFTQVLQEAASDPTLPRTKDVRCSVCGHGEAVLFQGRRSPPQCSRSSPRSHRSARYLAG >Dexi1A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:1A:5570473:5570742:1 gene:Dexi1A01G0007230 transcript:Dexi1A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRHRGREASHLAVGSAAGSPYQLVSLPGSGVRRSPFHSPPSGSAAARLTASPPPPGRSDALAYIQVINSLTPPLIFYGEMQAVAY >Dexi9B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:9B:4475643:4477866:-1 gene:Dexi9B01G0007330 transcript:Dexi9B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIRILAVFLAALAAAAGVAAQLREDYYAAVCPDLETIVRDAVTKKVQAQPVAVGATIRLFFHDCFVEGCDASVILVSTGNNTAEKDHPSNLSLAGDGFDTVIQAKAAVDAVPACANQVSCADILALATRDVIVLAGGPSYTVELGRLDGLVSLSTNVDGKLPPPSFNLDQLLSVFVPNNLTLADLIALSAAHTVGLGHCGTFANRIQPASVDPTMNASYAADLLAACPAGVDRNVALELDPVTPDAFDNQYFVNLVNGRGLLASDQVLYSDARSQPTVVAWSQNADAFNAAFVDAITKLGRVGVKTDPSMGNIRRDCAFLNN >Dexi6A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:6A:21311951:21313238:1 gene:Dexi6A01G0013980 transcript:Dexi6A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASSAAWQAATRRAPRTPPDVSLHALLPDAEPLGRQGSPEVVGARGDGVRVVEQEHLAQPRECRERRGLLLQHGFQARDELRDKSLGRARRRVLVLVFVAVAEDEAEGDAAAAKHAAEVAHPEPDAGVHLAALRYLLPRVVGAHERSEVSPAPPRAVHQAGERGLGGRRDGMGRSPGEEHREEGVRLRERGVEVECSEQLPRAAPLERRHGADQCQHRAGARGRELAQSGLLLGSELLAPEVEVEHALHGAQRLRPRADPSPELPPRDGSGTHVVEHPGDVELGEPERQRLGARGGIGGRGEGRQEEEEEHPLVVLVVKEDAHGGLVADAAVAVELVVVGGEGERGGVGAPELGLVVGIELVGAAGAVALRSGRRGRAASAAAAAVVADAGVQPGLGNDNLDARVHGARQRHGWNHGHKWAAS >Dexi9B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:9B:5647750:5656241:-1 gene:Dexi9B01G0009120 transcript:Dexi9B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEASQVGVPLRLTLREISEKMERPHPPQPPAACSAGWLFSAACAGGAGGGDSSDMARSVGFTPRSGSADGSKLQPPVGSRLQQPVEWGERACVPCTTSQNPLETPGRPVRFAGEEQSAMPAAEEAAAAPPDGGAESEAAEAVMEGAEREAAEEYSWPQLSFDRPPRRLYHFARQFRSVAPAGGNGSGENFLKGVKWSPDGSSFLTSSDDNSLRLFYLLKLRRFLVLLGRPEDAYNGAEHVAEAGVGGEGSTASTDYNSRLRIRDGHASPRTKNSCERDLLQAESDDASRPAEEMVAANRPLFVIHEATGECFVYDIYLKNEDTTMEVHYSGPLVPPLHYRPLAVSGGAILGVTPQPWHIPAAHHRPMAVIAAGGELRDPSDTGPPVMVAVGDATVVRMDTVIYGESFCFEALRLLPGGGGCWHVTPLPRPPVMSLHKPWDRVSISSYFVKGTRVWISVAGEGIFSLEVEEGAWRLEFPEEMEWLEGRALYVPELDTVVGLTPWPDRFLCAYKFDERGVPRWQRRWPEAIPWECYYDGRTPSKEMVSLAYLGKGRFCITRPVDKVEDNNRTYQSNSFLVVELRRRTADGELELAKRGTLVYQGEQLRGQCLDRYFIQ >Dexi9A01G0040220.1:cds pep primary_assembly:Fonio_CM05836:9A:44047491:44048533:-1 gene:Dexi9A01G0040220 transcript:Dexi9A01G0040220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIISKSEEDSSNREKYISWSEEATKYMLEWYIDIRKDKHATFRFKKQHHLQCADALNAKFALGVTQNQVDRHYRSCKEKWGWVRRALSNSGNGFDSTLCKFTLSESEKENLSAYKVLSSFGKELFIDQSQADGSFAADQTTVNIPDGSDDNEGIKEIEGYNFTADTDEDADSDNIARHSPKTDLDGNPPSKKRKCEKSNSKKSAKSKVSTTDVSASIAMLVDSLSKPPPPPPIPVQPVVPADPYANLWKRINDLTITSKDKLVIVDHLSKPNQDVLRSYLNCSPDSMLHEWVINFFEHARGSSSAF >Dexi1B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:1B:3958526:3963248:1 gene:Dexi1B01G0004870 transcript:Dexi1B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPSAVGGLQDQPASPDGEEAEENKCLNSELWHACAGPLVSLPAVAASTNKEMESQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPVIHDFLSVPIHFYTAALCNISCYFIYLFIYFWISLQQELKDSFLPAELGSASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFNQQPPCQELIAKDLHGNEWKFRHIFRGSNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLAKYMKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSPFALGLKRPWPAGLPSLYGGRDDGLTSSLMWLRDGANPNFQSLNFGGLGTNPWMQPRMDNSLLGLQSDMYQTVAAALQGTTKQISPSVMQFQQPQNIVGRSALLSNQIMQQVQPQFQQIYNQNINDNAIQGHTQPEYLQQLLQRCQSFDEQKPQLHPQQQQQESQQQQECVQTPQNQQMHCLPNALSAFSQLSSVTQSPSSTLPTVPAFSHQQNFPDTNISSLSPSGGSSMHGVLGQLPSEAASNLPCGSRTTPLPVSDPWSSKRVAVESANPSRPHVVSTQIEQLNMTSCNLPQNSALAPLPGRGCLVDQDGSSDPQNHLLFGVNIDSQSLLMQDGIPGHQNDNGSGTIPYSTSNFLSPSENDFPLNQPLPSAGCLDESGYAPCAENSEQTNQQFATFVKVYKSGVGRLLDITRFSSYDELRSEVGRLFGLEGQLEDPLRSGWQLVFVDGEDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGIQFLNSASARRLSNGCDSYVSRQESRSLSTGMAPVGSVEF >Dexi7A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:7A:26531144:26536246:-1 gene:Dexi7A01G0016650 transcript:Dexi7A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRGGGRGGGSMQRNDGEEEWPNLLDVVLSWSLKDVMNEDLFKDKVKKIPSTFCYLKNYLEWFTSPLLEELRAEMSSSLESLSTMPSVKISSIEEKKGKYEIWVASDSQAAKSCNQPECYAPSVGDVMILSDVKPGHISDITRNGRPYSVAFLTEGGDEDDDLPTSKYVCSKTKRKASVGVICPYTAQVVAIQDKLGKMSFDPVQVKINSVDGFQGGEEDIIILSAVRSNSDGTVEVPSPSELNEEGSSSTSVSTYARSLSSDIVVVSELMHPRDEPNDVDYITSLPIVLEKEEDVKDITDIPFVPNKEDIVEDIARRSSSDIFVVSDLSYPRDEHENIDYITTLPIVPGNEEGVKDITIMPVILNKEDIVEDIARSSSSDIFVVSEVSNPRDKPKNADYITTLPIVAKKEEGVKDISSMPVIPNKEDIVDDASTYAIPCAILSCVATLCMCFLRS >Dexi4B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:4B:21271976:21273356:1 gene:Dexi4B01G0018980 transcript:Dexi4B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVASSCCGSGEKVEQGCVSASMSSTWRIFTYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGHQIAVKRLKAINNSKAEMEFAVEVEVLARVRHRNLLGLRGYCAGGGAGADQRMIVYDYMPNLSLLSHLHGQYAGEVRLDWKRRIAVAVGSAEGLVHLHHEAAPHIIHRDIKASNVLLDSDFAPLVADFGFAKLVPEGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLEIISGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGAFDAAQLARVVECAALCVQGEPDRRPDMRTVVRILRGENEAAAAAGGGGVKGERPAVRMESVKYADRLMEMDKSISYYGEPEDEDDEEEEEEDMDDEEVEEYSLMDDKSSMNFGAFGAMPAVQTMHDPYAKRFSSGNNANAIRI >Dexi2B01G0021800.1:cds pep primary_assembly:Fonio_CM05836:2B:31479426:31481952:1 gene:Dexi2B01G0021800 transcript:Dexi2B01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSLLSQCLAGLLSHDRTAAHSVNIVPDREPHLPSPADEIVPSKNVHPYKYAGENIELHGMNIFKGKISVADIAGLSKSDIVTSKGEGSLKCWESSIDLVNVLKDEIRDGLLTFRSLAVDMGFLGASTVHFEDPSAETIRCKTIPNVLANLEQAQDKLNHHQGSPLTPSRQQVPQDIHFYAGEWDEIHTVLSTVQEDEMDASSGVELGFCEDDLLDGYSSQDGNNICHETSSRRSRKLSSSRAWERGNETSTGDGGYDIVLVNEIPCSASSLQSLYVLIKKCLRPPYGVLYLAARKNYIGSSSAVRQLRGLVDEEGTFGVHLVSEPPEREIWKFFFK >Dexi2A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:2A:2197082:2198821:1 gene:Dexi2A01G0002720 transcript:Dexi2A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGATVAVVYLVYRCLNDHGLPSINIKTTAGVRRGGAAAGTAELTPLLPDSAIRGTTIEKFLNEIAREKPIQFTSEQLDRYTQQRSAELGAGGYGVVYKGMLPNGLDIAVKFLHDHMGTDATEQQFMDQPRQPHVLIRLIGFCFDSGSHRTLVYEFMAKTSLDKYLFDRSHRVSSPSTLLAIATGVARGLRYLHEECQKKIIHYDVKPGNVLLAGDGEALTAKLTDFGIALLLSRADTQSRTRRCTGYVINGGSSSPVTEKCDVYSFGMLLFEIIGRRKNMDNDAVEEDHRWLPLLAWTKFDNGELVDLVKEWRSVSDGEEEEERWKETAERMCKVAFLCVRELLEARPTMSMVVNMLEGYLDIPAPVYPFGWMYPPEAASSRSGGSTNSDVMISIDT >Dexi4A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:4A:10890534:10891899:1 gene:Dexi4A01G0012330 transcript:Dexi4A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQQRLIITPLVLLLLASLFFAAAGAHDDATPGLHPVILLPGYSCSQLDARLTDDYEPPTPACGVRKQGRGWFRLWENYTALQVDPALLPCFHDQLRLGYDRAAGDYRNAPGVETHVVSFGTTRSFRFDDPALKNGCMQRLAEALEGVGYKEGSNLFGAPYDFRYAPAAPGVAARSFAGFRASLKRLVERASETNGDKPVILVSHSFGAFYATQFIDRSPLPWRTRYLKHFVMLCAGGSGSPGIMQVLASTMGSSTPTRLAMFGDRSFESTLSTFPSPEVYGDTHLVVTRAKNYTAENIPEFLAAVGFSDEEVERYRRRAMPLTLSFKAPIVPMTSINGVGVPTVDKIVYWDGNFTEKPQVVNGDGDGAINLKTVQALEKLVGDDPDQRYFKSVLVPNTTHSGMISDDSALRIVVGEILEANLATSG >Dexi9B01G0045740.1:cds pep primary_assembly:Fonio_CM05836:9B:45201217:45202779:-1 gene:Dexi9B01G0045740 transcript:Dexi9B01G0045740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDACILQHGDWDGKEEVIQAWYMDDSEEDQRLPHHREPKEYIPLDKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKDFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGMYHRFTLDTNNYIKVSLTASTVPTMGFWQCVSLWESLSGRHTIVPMTISLLGRSMLRESSTKVEIMLLKLVEG >Dexi7B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:7B:16574410:16575000:1 gene:Dexi7B01G0008880 transcript:Dexi7B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATSPAKALFLAHDEPQHGHALPAPHHPQCLLGGIGLKRPRARAAARLSAAAGVAAPAPVAGEEGRRRKPRVLVAGGGIGGLVFALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDLSVAEEVMRSGCVTGDRINGLVDGISGSCCK >Dexi5A01G0027290.1:cds pep primary_assembly:Fonio_CM05836:5A:30787384:30793439:-1 gene:Dexi5A01G0027290 transcript:Dexi5A01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPELVDTMDESRKRDVSTANAKNISSPLDEDFGNDFLSSWKLPKSGKGTIDFNVDPVPKSSKKFNFNNLDDFGLDGAFDKLPSFKMGMSDLDFSSPLKKKVKHNNSIGDDLSEGKKEIEKDNFSFSFDFNELGKFSLDAKLGIEEKSTSKVDPVSSEGNMDTQRDLSGTAVLEDNNTKDKPRTHGVCTLRPPHPKNHESMKNTSLPAPNDSSDKIQEHTSVNPATMEQTKVDSVPNGNYGEHRKEIYPTKAAVNTPFQNFSCSAQSCEDPVLADRMNSKDDPIADSGKVGIPRESNGHEQSIGSQSRDTSTIDPNVLRRPVSQFDSRNEVVEESVSLNEGSQGNQNFSDVPQKFLKKTTCGTKNTDEGTSGHKSLSSSIRRKIRNVKPALENERGSFSFLSKSANTKASRVELTSETDLNQLSGASKVMKKLTTHPTDLKRWEHKQANAGLDKSKTALPKIYSKLASHGLLSTSSNAKGDRNAIGLEPPSSGNSSLLNAQNSTGHSTGHKIVANDVLLKRSVASDSLQVAPSKDNKMSTISELTGTRKSPQTVPGLRNETVSIMKILKTRKYIYILGSGTPKAHVDNAISSCMTSEMGDISDLELPMLLENDGNLEKAEGCRKELEDICILLKRKHAEAKELAVRAIVNNNTMLMLNHPMFEDKIS >Dexi2A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:2A:31828739:31829296:1 gene:Dexi2A01G0019660 transcript:Dexi2A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSILSFKYNLEKLRSKAGRPIGIGRPLSARDRQYSDLSTYKPDDEEMKKVFHMIARDSRGINKEDLQGLLERFGKADAAGEARRMMCVADHNKDGYMDLEEFMEVHRKGVQLGDIRRAFFVFDRDGDGRICAEEVMTVLRKLGESCSLEDCRRMVREVDRNGNGFVDMDDFMAMMTRPRRRP >Dexi9A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:9A:6008760:6010031:1 gene:Dexi9A01G0009970 transcript:Dexi9A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLDENKQLILAILDNQNSGKVEECERHQAKLQHNLMYLAAIADSQPPQTAPLSQYPSNLMMQPGPRYMPPQSGQMMSPQSLMAARSPMMYAHPSMSPLQQQQAAAHAQLGMASGGGGGTTSGFNILHGEASMGGGAGGGSGNSMMNAGMFSGFGRPGSGSGAKEGSSSLSVDVRGGASSGGQSGDGEYLKAGTEEEGS >Dexi3A01G0035220.1:cds pep primary_assembly:Fonio_CM05836:3A:40556731:40559024:-1 gene:Dexi3A01G0035220 transcript:Dexi3A01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIIFFSQLEYELQKEISEIMIRNYVCGLQGEFEMKLWEHQNCISTLKRNWTEKVSEIGALRDELHSILSAVVGSESVHPHQSHSSQEDQILVKMKDDNELPVTEKATDTSEVMLDIPDFSLLKHMRSEEITNFLKSEWLKLRRQHESVLHQKTEELFRVKREYAKAKASLPLKKERELEFIKSKLLQTISKLGEIASRTDNSCFECNDNEEMCRLKDRISMLLHENNRLRGLLADKREEVKHLYSQISDVKSKMAQQSLPEARLNSFEKLKVELEDLKIERHLNNLLDSCIFREAFDDYENQIYDMNQEGSFLKELLDENEDQLGIIYEDRQKLKYENSQLVSIAESIMQHHDQVHLVHDELLMFKEQVCEQELLILESKSEYNSMKRCLYEAMQQIQVCKQEILELTENLTSMSIALEEAKKQNASLDATIREMKKTPAQNIGSYRGQTGESDIASIEKLSKAYSDFESRLAETMKRNETRLTRIICQFNSLVQQVAVLRKKEFWYKQILEIKCSNLRKAEAEVDLLGDEVDTLLSVLGKIYIALDHYSPVLKHYPGVTAILILVQKVLKGENV >Dexi5A01G0033170.1:cds pep primary_assembly:Fonio_CM05836:5A:35549658:35556059:-1 gene:Dexi5A01G0033170 transcript:Dexi5A01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGEESSWRMERAALPLNQALAYGVQAHGVAAPPSCYLYGLSSLNSSGLGLSILEKFLSASFACPAASSSVYSLWGAMTEGLCVRNFLSRDFQPAAAAAAYFGFGELEEALIHGGGGGGASAANAGGVDPGVIIKSDAAAQTKQAAAAAAAGYLSGAAGRPPTLEIFPSWPMRHQQQLHSIELVSPASSAPRQEVMMVTTDDYSYKQPGLAAAAPPSFQQHHPLPLQLHGGGDHDKRKQGSTRKDGKLGDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGNLSTVHSIHSPAMPCMQACAAAEMAAGGLFSGVKNVLTAGVLTPFRSVRTMFAGAAMFDMEYARWLDDDSKRLAELRGGLQAHLLDGNLGLIVEECMQHYDELFQLKASLARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALFQGLNQLHQSLADTVAAGALNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQMLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWTSRPRDNFIGTESLSPTATELQAMHQHQHQQQSHFSGF >Dexi6A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:6A:5583046:5583396:-1 gene:Dexi6A01G0005990 transcript:Dexi6A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFIVMLFAASVGLTFGGVIGSLLSFAGVLAGANLVTAGILVADDPAAGVGPAAFDGAPFLRPYLAVAGLVMASSAVTTVSGEAGPALCIGMLAMLLLGLALINIGVRAK >Dexi9B01G0027810.1:cds pep primary_assembly:Fonio_CM05836:9B:30315179:30316690:1 gene:Dexi9B01G0027810 transcript:Dexi9B01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGLAIRKSASMGNLSLLSAGSTSGGASPADGPDLADGGGGYASDDFVQGSSSASRERKKGHPLK >Dexi2A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:2A:22400185:22401985:1 gene:Dexi2A01G0013690 transcript:Dexi2A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLLFRALLFLLLSTSSVVAIWPERSPPVAVARRAALENGLGRTPQMGWNSWNHFACNINEDIIRQIADAMVDSGLAKLGYDYINIDDCWAAYDRDSQGNLVANPSTFPSGMKDLADYVHGKQLKLGVYGDAGSRTCSNNMPGSLGYEEQDAKSFASWGVDYLKYDNCNDQGLSPQPRYINMSKALTNSGRNIFFSLCEWGVNDPATWASSVGNSWRTTGDIQDNWAR >Dexi1B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:1B:1347163:1349784:1 gene:Dexi1B01G0001710 transcript:Dexi1B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPASSFSYSSVEPLLLSASPAAAAAVNEQQGRPLMAASKPLLHSDFDLQESLTEIQKILQQRQSSSREMIAAIDNLKRLCIDHYFEEEIKSAMGACMDLIHSDYLYDATLAFRLMREAGHHVSADDVLRRFTDDDTGEFKLALSKDIRGLLNLHDISHMDMGQEASLCKAKEFSSKHLASAISYLEPGLARYVRQSLDHPYHLSLMPYKARHHLSYLQSLPNRDTAAMEELAIAEFQLNKCLHQKEMQEVKRWWMDLGLSDEIPVVRDQVVKWYMWPMTTLHGPSLSRYRVETTKIISLVYVVDDIFDLVGTQEELSLFTGAIKMEACKWNTTAAESLPSGMRSCYIAIYTTTNEIADLVEEVHGFNPVNHLRNSWEVLFNGSMVEARWLATDQVPTAEDYLTNGAITSGVPLTFAHIFAMLGYDHSNEDAELADSITSIISGPAKILRLWDDMGSAEDEAQEGLDGSYRDFYLMENPGYTPADAEEHMKRLIAREWEELNRECFSRKTSSMFTKACLNAARMVSVMYSYDKEQRLLVLEDYARMLLL >Dexi9A01G0031430.1:cds pep primary_assembly:Fonio_CM05836:9A:36382205:36383029:-1 gene:Dexi9A01G0031430 transcript:Dexi9A01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLTCAQMNTLKKSAGAAADLTDDLIVEILSRLPAKSICRFKCVSWHWYGLITNAEHRKKIPQTLSGFFYPSDRWTQEDPTKMLPDFVGILGNEEPFSDASLTFLVGYRSIIPKICCNGLLFCLCWKVSPSDEADYVVCNPATEKWTVLPESGDENIGLADYFCFDPAISPHFYVFQLIDADENYRYIGDVNIYSSETVTRKVVGAMSFSWPIEELFFSMECCIC >Dexi4A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:4A:4139353:4142706:-1 gene:Dexi4A01G0005770 transcript:Dexi4A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVLGAAWLLAALLPLGAAAAVAAPEQTGVAAVDGRRAIASTAEDFICATLDWWPPDKCDYGTCAWGRAGLLNLDLSNKVLLNAVRAFSPLKLRLGGSLQDKVLYGTGDLRQPCTPFVKNESEMFGFTKGCLPLRRWDELNAFFQKSGAKIVFGLNAMNGRVPLPDGSMGGPWDYTNAASLIGYTAGKGYQIHGWELGITLLLINLSGSTKIQVSVTQTGAAAGGHNHGAGKGNFTIQASVATKATAAAATAAVENKHGARRHGRRFGHGHAPGFAGFPAAGGGATRDEYHLTPKGGDLQSQVMMLNGRALATGADGSIPRLEPVKVDAAQPIAVAPYSAVFARIPHFQAPACS >Dexi5B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:5B:5413015:5413320:1 gene:Dexi5B01G0008050 transcript:Dexi5B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMDTARLRSARLNACRASSLVDWAYAAWLEEMVIGYMYERWGDGFLYVDELVLCPHGPGSAETDDFYVFLEPGCGAAFACDGSGSVTDAPNQTSATCIR >Dexi9B01G0023080.1:cds pep primary_assembly:Fonio_CM05836:9B:18091110:18096531:1 gene:Dexi9B01G0023080 transcript:Dexi9B01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGGGAGAREKRAGEGGRMLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPTDGQFMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVQFNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPIAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSSPHGLLAVGKVDQLHPDLAIDPLGILRPPSKLNHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPSTNEPLFTNCTRDFTGTVDYIFYTGLYTAPHHCISDSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >Dexi3B01G0031890.1:cds pep primary_assembly:Fonio_CM05836:3B:33905169:33905626:-1 gene:Dexi3B01G0031890 transcript:Dexi3B01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGYGGWLWLQEDGPDDSEEAKPDGTAHGRPPRQDPRARSPKRSSASRLSGYGFVNVSGTKPPFMHASFSFLPDRERERLQLVDCCNGLLLCRSYSFADDKEFDYLVLNPATEKWVMVPITR >Dexi4A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:4A:23612202:23613631:1 gene:Dexi4A01G0019710 transcript:Dexi4A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDDSPPEGIKVSVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVGQSNTVLGENTHLASAAISTSAVAKTLGKNSQDQNAATSDPVVGASTAPKKDAAHTTKVPVDKKKLDARKKSLKRL >Dexi1A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:1A:11024155:11030245:1 gene:Dexi1A01G0011860 transcript:Dexi1A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMVERATSDMLIGPDWAMNLEICDILNRDPGQAKDVLKSLKKRIIHKNPKVQLLALTLLETMIKNCGDIVHMQVAERDILHEMVKIVKKRRNGAVFPERTNGSVPIITPPQTQPLQNYPPPVRISQQEEPALSIPDFPALSLTEIQNARGIMDILSEMLDALDPGNREGLRQDVIVDLVDQCRSYKQRVVQLVNSTSNEELLCQGLSLNDDMQRVLAKHDAIAAGIAIRVEKPKSLQQQIESSATRKPDDAKEPVQRSSASTSATKQSPFEILALPAPPSSSSSKAPVAPAPKIDLLSGDDYIKPEPANSLALVPVTEYSASDQNVLAFADMFEQNTANKSNLPNSFSSSTLNSTSSQAYPPAVRPALSQHPAAYPNVATSNAIVPYDQQPQLNSTGTYNRNGDIPPAPWEIKRSTNPFDDDRPVGMALQSGQPVVQPQPMHVSQQGNGFMPAQPMPREQPGGMQLQPVAGTQIGPPQPQSMQLNMQYGVVYPSMQMNQGMGMYSQPVFGGGFYGMNQPQLYGVQMSGYGYGPQSGGYYIPNAAYAYASANELSQRVNGLSVQNGGSNGTTPNKQSRPEDSLFGDLLSIAKMKQGKPAAGKVGG >Dexi3B01G0034280.1:cds pep primary_assembly:Fonio_CM05836:3B:37024512:37025404:-1 gene:Dexi3B01G0034280 transcript:Dexi3B01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGGPATGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTIGVEGQELHGGSGSITSILKGNPPDMDGYPMDQIWMEIETPEVPSGMGLDGGSDNGCSSLPAPLLPPTAWDYYQEACWKMDDEIKMAPQFGYSEGVGPCF >Dexi5A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:5A:5508614:5509263:-1 gene:Dexi5A01G0007420 transcript:Dexi5A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREDQAPSQAELARLDTELHRAETNRLLAARIEFSFACPQTTPQHPFLLLH >Dexi9A01G0037670.1:cds pep primary_assembly:Fonio_CM05836:9A:41972540:41973112:-1 gene:Dexi9A01G0037670 transcript:Dexi9A01G0037670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPDSGDLIVVEPARPGSPVAVVTINRPAALNALTKPMMVSLAAAFRRLGADDAVAAVVLAGRGRAFCSGVDLTAAEDVFKGDVKDVAADPVAQMELCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFLDTHAKR >Dexi9A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:9A:6377478:6378093:1 gene:Dexi9A01G0010480 transcript:Dexi9A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLSLLLLLLLSSHGLRATEGRALHPQLNDPHPPKIGDGAAAVAESLIGSRPPRCEGKCATCGRCEAVQVPVAPRVDGSRGEAVLGRARAAAGGDRVQESYTDYKPLNWKCRCADWPALDP >Dexi9B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:9B:604227:606435:1 gene:Dexi9B01G0001030 transcript:Dexi9B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYAKRVLLTAAGDAISRGIASTLAKHGCRLVLVGDEGALAATADEARRCGGVGVEVVGLDFEACDEAAISAAVDRSWRCFDGMDAFVNCYSYEGEVQDFLSISEDEYKKTMKVNVVTPCLLMKAIARRFQDTKLGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRVRTLVFHMCPFSVLSAMELGKHRIRVNAACRGLHLLDKFPVSVGKEKAEEATTEVMPLRRWLDPEKDLASTVLYLIGDESRYMTGTTIYVDGAQSIVRPRMRSFM >Dexi5A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:5A:2834270:2836282:-1 gene:Dexi5A01G0003720 transcript:Dexi5A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRHKKSRWRSSSSWLASPLSSLGRGAACLAAPPRSRCFRSCPPSPQPEKEDIGNSRAPPPPQIPPPRRARGGRCRSPGRATAERLSRERARDRERWRLAEGLPRAAAALNWSSPPWSSIQEKGRRSFLRRRNETGGATSGNSGRHAAGARFHAVGTRTHSKRRGNKGRHAPQLAGGGGSKGCWRERGDDHILSNTTFCGKRHLYVKHPSCGPSRPLPPPEFNAFSRGPRLPATHALSTLAVSLAGCLQEQGQLRSSSI >Dexi9A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:9A:13595037:13596494:-1 gene:Dexi9A01G0018500 transcript:Dexi9A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRARLVSILFAFLFSRVAAAAAAGESRYLTKDEQWMNQRLDHFSPTDHRLFKQRYFEFLDYHRTPGGPIFLRICGESDCSGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKELTTENLRFLSSKQALFDLAVFREYYQESLNARYNQSGLDNPWFVIGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTAFDKQVGESAGPECKAILQEITKLVDEQLRLDSRSVKALFSAQELKNDGDFLFFLADAAATTMPCALH >Dexi9A01G0026820.1:cds pep primary_assembly:Fonio_CM05836:9A:30846825:30847908:1 gene:Dexi9A01G0026820 transcript:Dexi9A01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAATVCSAVAALLVASVAVCCIRGRRARRAGSITVGDTSVQIDQLKEYTYDELKKATGNFCKDAEIGRGAFGVVYKGTLENKKVMAVKKLQRKEKVEVEQFMNEVTILSGLKHKNLVKLEGYCVHQGQEGLLCYEYLPDGNLEDRLIHGRRGATLTWKERRHILEGICKGLQYLHNESPNDIAIMHMDLKIDNILLQVQEDKKNGGVVITPKISDFGISRNIEADKQHEYVQEVVGNWSCLPPEFMEKGKASTKVDIYSFGLIILELVTGKSRKSSSSSSLKDKPNLYGEGLIKQVLK >Dexi2B01G0023550.1:cds pep primary_assembly:Fonio_CM05836:2B:33044781:33045260:-1 gene:Dexi2B01G0023550 transcript:Dexi2B01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKPAAAVDPEVALAHKFPEVSFDYDERDVALYALGVGACGDDAVDDKELHLVYHRDGQL >Dexi9B01G0024030.1:cds pep primary_assembly:Fonio_CM05836:9B:19563830:19565200:1 gene:Dexi9B01G0024030 transcript:Dexi9B01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRSRRRISERSEDLGLDGAKKSAKTIPAEVSDEEEGDNSGDARAVRHAPATAAPKPKGTPGRAKKLPSLSTEDAGAGGSGEASQARGAPNEELDAAAPPPLKKSKTKKTTTKRAKRHPPPSEEEDEAESGDRKAPADEATAVSPRRKIVASPRHRRDSAPARKRAKRGASRPFATRVEQGHAGNTSPPQMEDDTEEAPQMEDDTEEEEQEVAEHAENTSLPQQKDGAHEDGDMGVEVSDEALPERSASSPKISSSEGEKKPAVGRSWSQADELKILTTLVEHARSQGGALPDSTDLVANLTFDKTDANADKLSDKIRKLRARYHKLSSKGRPTDDIGSRLFDLSVVLWGQDDDDVQVEETFVTGDRDFTQQGDRDFTQQSSLYPYLAEEVKVYAEKHSSGHLILAAFPTIGDDTSRQLDAMCKKQRLDAFKLELNQANLTKALLSAVSSHIN >Dexi3B01G0034040.1:cds pep primary_assembly:Fonio_CM05836:3B:36807113:36808237:-1 gene:Dexi3B01G0034040 transcript:Dexi3B01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVQKASLTVNAVGKTASPTSGAGGQQASFNVGNNGGGQQASFVVGNNGGNNKQQPPGAASFEEFIAENVESYNVNKAIYAVKVKNGTGGKAIDAALSAAEAGAARYVVSPDGKGKFRTITDAVLVLYTKPFITFLGDPKNPPTIMWNDTAATRGKDGNPVGTVGSHTVAIESDYFTASNIIFKNHAPLAAPGQKGGQAVALRVFGTKAAFYSCTIDGGQDTLYDHSGLHYFKSCVIRGSVDFIFGFGRSLYEDCSIVSVTKETAVLTAQQRSRSIAGAGESGWARGDRA >Dexi3B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:3B:8580856:8584550:-1 gene:Dexi3B01G0012280 transcript:Dexi3B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDPGEANVAAALDSKLVV >Dexi8A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:8A:6823744:6824962:-1 gene:Dexi8A01G0006560 transcript:Dexi8A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPANNAASPRWSDLPFDLLCDISSRLHVATDYIRFHAVCQPWRDTLPPLPCRPAFLPLLLAPADAIGHQPARCIFSPKCSRRRSAAVEIAVGRRVWVMSLDGASTSFFLLAISRESTSLVNPLSGSTVAALPPWPDGVKYVSGVVSVDGTVFLFGLFPEKMAFWTPIFVALLRPGSTVWTSARTHHRLIHDVRNLDRDEGYGYYHQQMHHTPGEVGKKFHCMYNVESRGELLLVALSVLVYALRVEGGKPQWERRDSQSLADRILFLGRPSSFAMDAARLGMSGGCVYFIDRRPLYGGTWSKSPLERCRVFRYSFHEDVSEFVEQLPAQWNCEAGMWFSPQPDIATTE >Dexi9B01G0043480.1:cds pep primary_assembly:Fonio_CM05836:9B:43427759:43430424:-1 gene:Dexi9B01G0043480 transcript:Dexi9B01G0043480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPRRSDLDFADVFGGPPRRPSGNEHRSRRGSMDGSSFGSSAPRPRSGGSDTHVFGDRGSSDRRRQLGEEFYKDIFPGNEEAASPRRGGVEWGDVFGSASPGSTARPRSRFRSQSGEKKNTSSYVSSMDSEREGAPISLESTANEVQERIKKPVPTDNIETETKMDTISGRNGAASDESIQDTKDKADSMVDHDDIHIEDLDDCVVCVDGK >Dexi7A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:7A:26648551:26650977:1 gene:Dexi7A01G0016830 transcript:Dexi7A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWTIDTKYYSADLSIWTANLGEEFSLGSLPHLDRLAALVMVFDMSDESSLLTLQSWAANVDMQRFEVLLCIGNKADLVPGHGLSVDGDSQGLERLLGALSAHMWPGMILKSGNKITAPSLIEKEESTDDESNYDFEYEVLSHGSDDQWEFVGETSTSRSFETSNEANGAQDHAHQVVNPSADSDSSSNALPSDTPTETAEENTVTQTNKADDNDHVDKTAEDSLDSHQGDAPEANNLSEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRREMAANLAMKMAAMFGDDDEEAFEDF >Dexi7A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:7A:21725920:21726903:-1 gene:Dexi7A01G0011090 transcript:Dexi7A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPRTTLTVLALGLALLCAAAGPAAAQNCGCQPTDCCSKFGYCGLGDAYCGDGCQSGPCYSGGGGGGGGSGGGDVGSIVTDDFFNGIVSQAGSGCEGGSGFYTRDAFLNAVGAYPDFATGGSSDDSKREIAAFFAHAAHETGHFCYISEINKDNDYCDPTNTQWPCAAGQKYYGRGPLQISWNYNYGPAGQAIGFDGLGNPDAVAQDATIAFKAALWFWMNNVHNVMPQGFGATIMAINGALECNGNNQAEMNDRVANYQQYCQQLGVDPGSNLTC >Dexi1B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:1B:5254437:5255060:-1 gene:Dexi1B01G0006390 transcript:Dexi1B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKPAVASSASVRGLDSVHFSSGNPRIEETRGVVLLHPGPPATAASSSHLPVGRKPRVCVLAVPNHMTYADFCRFCGAFVPHTLEMRID >Dexi7B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:7B:21845337:21845878:-1 gene:Dexi7B01G0015800 transcript:Dexi7B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDECKLKFQDLKSKRSFRFITFKINEQTQQVVVDRLGQPGDTYDDFTASMPDSECRYAVYDFDFTTVENCQKSKIFFVSWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >Dexi3B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:3B:6247949:6248603:1 gene:Dexi3B01G0009050 transcript:Dexi3B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHPGVQVTVVRFVDGKAGSDEQSEVTLRPSHTKNADRSYTFSTAVVDTHKEKELDEAAVAEFRQRMGSLVRFEERVVVGNVVEEVVSIGKSREYGLVVVGKGRLPSTMVAQLAVRPAEHPELGPIGDALASSGHGVTSSVLVVQQHDMTNADEVPVSVVVDGHAHEHDAEAAKGTPMEEP >Dexi3B01G0031150.1:cds pep primary_assembly:Fonio_CM05836:3B:31580302:31581114:-1 gene:Dexi3B01G0031150 transcript:Dexi3B01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQAVQAPTDAELLQAQADLWRHSLYYLTTMSLKCAVQLGIPTAIHNIGGTASVQDLVTKLSLSQTKLPFLRRVMRLLVTSGIFASDSSGDMETYRLNPLSWLLVEGVDAEDHSNQKCFVLSTLTRHSVEAALSLAEWFKKDMEPPLPSPFEELHGVPLFDHEKTPLLDNELHNLVLQGCAAHDNLAIGTLMRESHDIFRGLQSLTDCCGGDGTTARAITKAHPHIKCAVLDLPEVIKTAPADGVVNYVAGDMFKSVPPSQAVLLKV >Dexi8B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:8B:1884037:1885211:-1 gene:Dexi8B01G0002710 transcript:Dexi8B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGDGRRRLAAAGGAQCISKEADLICELLKHGAEPTDDIIQQSIMICMCALSLMHLQGSTAIAAAAAMVGVTKECKLMCDWIKKEDKPITFSIFTRHEPLECRLIRARTLDVMLSILKESSFPSSKVSGMVYQNGAAVGFRFAAPCFGGAFQLLNAGMPFC >Dexi7B01G0021220.1:cds pep primary_assembly:Fonio_CM05836:7B:26337479:26338443:1 gene:Dexi7B01G0021220 transcript:Dexi7B01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRGAGLRAVLVVLKDTLGCVSHMEVVAGASTRTAVREHKEAQSIASPMVEARGCTRGAEGSTPFCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCNVPGCTKSARGRSDCCVKHGGGKRCKFDGCNKSAQGSTDFCKAHGGGKRCAWDTGCDKFARGRSGLCAAHATQMASKPERDPGQGRSMAGPGLFSGIVSGSSAAGSSMDHGISSSGHATWSDCVDSSGDMQSGGRLLIPHHVLVPGSLKASSSYSLAGNAREDGGSQSQGFGLVVPEGRVHGGGLMSMLRVGGNLGSYPDAPKANNTHATQ >Dexi5B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:5B:7867246:7867875:1 gene:Dexi5B01G0011140 transcript:Dexi5B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAAAACRALREAIHAKLWSSASRLRSCYGFLGAPASAAAVSALAALLCVAAAFPRAAASVLPLAATTALCCAAAGLFAADERGATAKEAVEAIVAVGQGKRPEPGLVQVIGEANASAYGAGGGGGVQVGCFLRRSERNGVDEDGEEVVFAGKLAPCVAGGGGGGEVLGDGGLEEEVEAMRVDRIAEGVWNSYFGGWSTWHDVDAAA >Dexi5A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:5A:2131608:2134679:-1 gene:Dexi5A01G0002960 transcript:Dexi5A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRGHQDGSMDDSIFMGKQNKVGSGDAPYRDKYKTWTDDTTEFMLQWSESDSDDSLAAEHVENDSDTITHTSPSVEGSIAQSNGPAVVGFGSSMKRKNMKSPMKKHRKDKAKRAKALENDKIATSIVMLANSIVSSGPTPKDPYANLWKRIEDIPFPPQDKVDIASFLSKPDQVYLRNYLNAASDQSFASWVTSYLGAKYAVVVAAQMNKSRSM >Dexi2A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:2A:3318562:3323961:-1 gene:Dexi2A01G0003800 transcript:Dexi2A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDGHTLHLVVRQPGQSALSGNAGAEADASNSGRRRGPTVARSVVLEAVNVDPGSSELPAFLAQILQSVLGTISAQSSGAPASSDTRPLEPTQSSIPNTVRVELDQRHPHLPFQSETAHGSSQPNVIPDALTTMSQYIDFMRDSFRREGFNHNGQAEGNVENRTTGSASAGVNTFVESIQQLSAQLRDLQNVTDPAARGDLQSRALRSGSLLQNLGSLLLELGRTTMLLHINPASSEAVVNSGPALYISPSGPNPLMVQPVPFFPGRSVQMGTLFSSLGSQGSYLHPRDVDIHVHTGGPFPPVGSTNPSEPTSTQAQQRTNRTGDPSHANIGEASAAAAGGTPFSVESGVRVLPLRTVVSMPAGISRAPSGSASGGIGIIYPVITRVRQRANTSGSDEQNGRSPNEPARSSTHPNQQSIPQSSQAHETGNLGSSAHANVGNVFEASPEQHNGLATLSQIMDLLGSMLPGENIRGNSLSQHAPMGSAEQGDGINHATAHVSGASEEALRFASIMRQIMPHISQVEAQNQNGPPDSNSTPAQAASGSANTARDGPSDSTSSHQHNRDQMDEPNSKRQRCDAD >Dexi5A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:5A:18649747:18650018:-1 gene:Dexi5A01G0016590 transcript:Dexi5A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRVVRNCRISDHLATVNFSNLEGLTLLSIFSSKIYVSWPRDLSFNNITGQIPQSILNLDRLGFL >Dexi5B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:5B:17450716:17455440:-1 gene:Dexi5B01G0016800 transcript:Dexi5B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPSPTGVGTWARAHHPHVHLLGPPTAPASTALDGLDSLCLGPDLAPLTSPLAPFPRALTLSRALSLSRARSPAAVPSFLPRALACSCALSSSRALACSNAVFSSRSPKIEAARLSTKNREDREKMAEIQQSLADKKIEAARLTHEAAQEQTKCKMLETYTQLLLAPTDQLSENALAERNFALESMRLALFPKAADLYSMDADGSIDPADLYSMDADGSIDPADLYSMDDSL >Dexi4A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:4A:16282174:16284771:-1 gene:Dexi4A01G0014300 transcript:Dexi4A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLPVTSPPSPPHPLHPPTAATVRSLTAAGDHAAALRALSSLSAAAAPLDRFALPPAAKSAAALRSLPAVRSIHGAALRRDLLDGPTPAVANALLTAYARCGDLPAALALFAAMPGRDAVTFNSLISALCLFRHWLPALGALRDMVLEGHPLTSFTLVSVLLACSHLADDPRFGREAHAFALKHGLLDGDERFAFNALLSMYARLGLVDDARRLFGTVGADDALGGGVVTWNTMVSVLVQSGRCGEAVEVLYDMVARGVRPDGVTFASALPACSQLEMLSVGREMHAYVLKDADLAANSFVASALVDMYASNERVDVARRVFDMVPVGDRQLGLWNAMVCGYAQAGMDEDALEIFARMEAEASVIPSETTMAGVLPACARSEAFAGKEAVHGYVLKRGMADNRFVQNALMDMYARLGDMDAARRIFAAIEPHDAVSWNTLITGCVVQGQISDAFQLVREMQQQGRCTDAAMEDAIAGAADEEPVKPNNITLMTLLPGCGMLAAAERGKEIHGYAVRHALDSDVAVGSALVDMYAKGGCLALSRAVFDRLPRRNVITWNVLIMAYGMHGLGDKAIALFDRMVASDEAKPNEVTFIAALAACSHSGMVDRGLELFHSMKRDHGVEPTPDLHACAVDILGRAGRLDEAYNIINSMGPGEQQVSAWSSFLGACRLHRNVELGEIAAERLFELEPDEASHYVLLSNIYSAAGLWEKSSEVRSRMRQRGVSKEPGCSWIELDGAIHRFMAGESVHPESALVHAHMDALWERMRGQGYKPDTSCVLHDIEEGEKAAILRYHSEKLAIAFGLLRTPPGATIRVAKNLRVCNDCHEAAKFISRMVGREIVLRDVRRFHHFVDGACSCGDYW >Dexi3B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:3B:15655714:15657185:1 gene:Dexi3B01G0020700 transcript:Dexi3B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAPPCHIVAVPYPGRGHVNAMVNLCRLLAARDAGVSATVVVTEEWLGLLGPPDLGPRVRFESIPNVIPSEHGRASDMVGFVEAVYTKMAPPFELLLDRLELPAAAIVADVFVPWTVAVGARRGVPVCIMCPISATMFNVHYNFHRLPPAAAAAGAGASSDGTDRRLIEDYIPGTKSIRFADLAPTHTNPAMLDRILEAYVSAKKAQCVIVTSFQELESDAIDALRQQLPCPVYAAGPCIPFMALLQERPKSSPEVDGHMAWLDAQPAGSVLYVSLGSFLSVSASQFDEIAAGLAESKARFLWVLRDADARSRVRGLASDATSSLVVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPIAFDQPINSRLVAEVWRNGLGLKDMARSDDGVIGRKEIAAAVDKLMRPDSTEGADMRRRAAMLKDTARAASQEGGSSWKDITSFIHFVSR >Dexi2B01G0031550.1:cds pep primary_assembly:Fonio_CM05836:2B:39659519:39662271:1 gene:Dexi2B01G0031550 transcript:Dexi2B01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVHIQEIPSREASFMELPCHLSVAMREALTSIGISRLYSHQALAQDQLRTLLKMKNEFHVDIDVKIYDGDTPREDRLWIRDNARLLITNPDMLHLSVLPYHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALIIRRLKRICSNVYGSHPTFIFCTATSANPCEHVMVGYTLSLTREILQESAKELVDSISVYRAGYIAEVVMP >Dexi1B01G0027090.1:cds pep primary_assembly:Fonio_CM05836:1B:31885228:31889052:1 gene:Dexi1B01G0027090 transcript:Dexi1B01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSPAADVEASLLAHLNSTGEVPDSRSFASSLGVSHLELEGVIKSLSAFRIVESTDIIDETWVLTDEAKGYAAKGSPEAQLVSAIPPEGATKGALKAKLGDAFDVGMKAAARNKWIGFEKGNKDLVLRKVENFKDELQEQLKRLENGEVIPDEVINDLKRRKLITKEKSIGHSLKKGPEFVVKRKTLATDVTTEHLRSGDWKDLEFKDYNYAAQGQPIAKGYVQPLMEVREAIENIFFMMGFTEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPMATRQLPEDYLEKVKQVHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSSTLCRLGL >Dexi6B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:6B:23167629:23168468:-1 gene:Dexi6B01G0015870 transcript:Dexi6B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSDTIAQVHSGIDSSNKTLLKSEALYKYVLDTSVLPHEPECMRELRLVTDSHPWGFMQSSPDEAQLLRMLIKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAIDVDQEYYDIGKPFIEKAGVAHKVDFRHGPALDHLDALLADESNVGAFDFAFVDADKPNYVKYHEQLLRLVRVGGTIVYDNTLWAGTVALPPDTPLSDLDRRFSAAIKELNARLSADERVEVCQLAIADGVTICRRLV >Dexi7A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:7A:16664296:16665577:-1 gene:Dexi7A01G0005410 transcript:Dexi7A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHFSHDFPASVGASLFLDDYYACCAPAAPAWPRDTTVLGEFPHSDLAAGCNYAFVPRKRPRLTAAECFVDGQRSGMAPSGVGGLVEVPCGVDVPITSRAAGSGAASTSGRVANGSSAASRSLVSWMHRQGVEIDAVVRLEVRAA >Dexi4B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:4B:5619431:5619742:1 gene:Dexi4B01G0007800 transcript:Dexi4B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEATSFTVSPELGDALVKVAVFVLVQALVYLILRNSSDVFTPGKMARSLSFRPMRSMSIRRVLAAFSDVPVGVPEDGGAGAGAPSPSPMDPGAECASWLK >Dexi5A01G0024330.1:cds pep primary_assembly:Fonio_CM05836:5A:28206903:28213115:-1 gene:Dexi5A01G0024330 transcript:Dexi5A01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPATARQLRRVRTLGRGASGAVVWLASDDASGQLLAVKSAGAGGAAAAQLRREGRVLEGLSSPHIVPCLGSRSSATGGEYQLFLEFAPGGSLADEAAHRGGRLEEHDIRGYARDVARGLAYLHGRSLVHGDVKPRNVVIGGDGRARLTDFGCARPVVSSSPSSRPMIGGTPAFMAPEVARGEEQGPAADVWALACTVIEMATGRAPWSGDGDVVDDVFAAVHKIGYTDAVPELPACLSTQGKNFLRVCLARNPRSRPTAAQLLEHPFLASACGDGDGNGDAEPAKHDWPSPNSTLNAAFWEFDNEEDEASDSEDEEGEASERAVERISSLASPCSGLPDWDYSEEGWIEVRSECSWVVSKAPAAMATAGADFARRNEALDAAVVEEGACRFPRCNVGVRDGFVKCQRHSTVGAGGDVVGAFGDYVRCQKDSRVSLGSNAGVADDGLVKCGQIHPRVSIGSSVGIADDFARHHRHSRVSSVGSNVENAPDSHPQFPSIFFFSRSEFLLRLQENSVPTMVMMKQLKRVRTLGRGASGAVVWLATDEASGELLAVKSARAASAAAQLRREGRVLEGLSSPHIVPCLGARAAAGGEYQLLLEFAPGGSLADEAGRLDERDVAAYAADVARGLAYLHGRSLVHGDVKAQNVVIGGDGRARLTDFGCARPVDDSTRPIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDAGDLLAVLHRIGYTDAVPEVPASLSPEAKDFLACCFKRNAGDRSTAAQLLAHPFVAGAGAAACGVDAPPAKQEFPSPKSTLHDAFWDSDTDEDEAEEMSTGAAERIGALACAASALPDWDSDEGWIDLQDDHSQTAPPATTETTAAEVADYFVWAEPSDAEVFDQFFATEADISDHLNLPGIAVAAVADFTATISQGSYLISTMHLSVRENEIPGTFNHEEIKKVAFHRPCNRNRITTKRISLQISAFAVTWLADPVQLMCVGLTLRSLNSLREMGIG >Dexi2A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:2A:3249373:3255674:-1 gene:Dexi2A01G0003710 transcript:Dexi2A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVAKKEAEGGGGGSRGGGVAAEEAEESGSGRRCVGKMRVAGWGCVGDRKARGKTSFNDIGSSSSSDSLPHWRWAPRTKRASASSSPWAPSTCAGNREVAGRWSPPRRPVEAGGGVRLSTGSVEQLNPHISSAFKHPLRRNGHTSSSGLRSLPPLSPRLADRLFLSPRRTGRLAAMNNLLTHIMNFPIAQDSFELPRRDSSRDADIEMGMHQVDASDNLKGFLKKVDAIEGLIAKLTNLLNKLQTANEESKAVTKASSMKAIKQRMEKDIDEVGKIARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQTLREAIRQEYREVVERRVYTVTGNRPDEETIDDLIETGKSEQIFKDAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQRNSRKWMCYAIIILLVIVVIIVVAVIQPWKKGA >Dexi3B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:3B:14278395:14285568:-1 gene:Dexi3B01G0019270 transcript:Dexi3B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDAAGSPRSTSNRTRGDAAVMPTSSPFAADGGGAGSPTRVERMLREREHSRRHLLASDANAMDTDAASLFAGSFAADGVQSPGRASPANMEDAGGAATGHAARPPLAGSRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLQTTRNFESQFDAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDSNMKVGWFLHTPFPSSEIYRTLPSRLELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRALELPAVKRHISELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWNDKVVLLQIAVPTRTDVPEYVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEAQLRTRQGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGSLKALCEDEHTTVIVLSGSDRSVLDENFGEFKMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSENMVTPIDYVLCVGHFLGKDEDIYVFFDPEYPSESKVKPEGGSTSLDRRPNGRPSNGRSNSRSSQSRTQKPQQAVAERSSASSHSSASSDHNWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMATATADFQSGSADYMFLDRQ >Dexi1A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:1A:24880249:24882537:1 gene:Dexi1A01G0017590 transcript:Dexi1A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKMGGFVDPFIPAPAWPQDIVFNGTSWSGSDSSLADSSGTYLAAAPEQDAEFHLQNSTSLMLLNGNTKEIVSPVELHKQFLQAQLQDDVTQGLNFEMDGALMGGTLGSVMNTPCAISLADSAPVVCSSNDSSGSEQSGLPQFLLGEQPVPAPATWPSTFTQISSLVGEETSQSFDFGVVSNDDLLHEGCAADGKKYPQLRNVPSAPLQLQNDADFNTGKMLSFAPGPGQQVNANFEDLQINQKVREFSGLHHLNLSSLVSGQLSSFNATGVTPNPKQSNEVSSGKNGLNAAPFMARSEVPNGSGVAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNRTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATEAVVPLLTESQTESSSGGLLLSPRSRRQQASGGSLLGPSKLQDGAEFEQEVVQLMENNMTTAMQYLQSKGLCLMPIALASAISDQEGTSSAAERPVISGAAEEADDAGLEKLDAKEMLRGVNAFGGAREMRSRA >Dexi1B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:1B:3913452:3916435:1 gene:Dexi1B01G0004850 transcript:Dexi1B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGSGGGGGGDGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEATEIARPNAKVEEGNMPVAGECGSKKVQEKQDYRDILQVQDTHHDVQSLDKTIASLETELSAARSLQESLLNGAPVAEEFKVSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHRWDGNALYGYLAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSRLALKPRVYIGCMKSGPVLSEKHILHKYINEDVSLGSWFIGLDVEHIDDKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >Dexi3A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:3A:1531050:1534877:1 gene:Dexi3A01G0002310 transcript:Dexi3A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGVVRACAPPAAVGASSAAPSSSRRDAAQTKRSSRTARVLVLGGTGRVGGSTATALSKLRPDLSILIGGRNREKGESLATKLGAQSEFVQVDTRNASMLEKALQGVDLVIHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAARSESGEPERLRFFYYTAGTGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALGIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPAEFLRDRNKVQKLVESVDPLVRAIDGIAGERVSMRVDLECSNGRNTIGLFTHKKLSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIAMEARKLLLEHASQGTSNFVMNKWPSWMVETDPKEVGLGIYV >Dexi5B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:5B:18372677:18372919:-1 gene:Dexi5B01G0017260 transcript:Dexi5B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAMKTTLVGTRHRWHVLKDAKKKLGNAYSKYGGFKKEFNKLVTDETCKRRFERSRRMLVEKYNLSENKFMSRLYK >Dexi7B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:7B:17719779:17720459:-1 gene:Dexi7B01G0010390 transcript:Dexi7B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGGDRGAAVPLIADLEKHAGGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPVAMLVFAGVTALQSTLFADCYRSPDPEHGPHRNRTYAGAM >Dexi3B01G0037390.1:cds pep primary_assembly:Fonio_CM05836:3B:40129783:40131295:1 gene:Dexi3B01G0037390 transcript:Dexi3B01G0037390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDALLLLVFIIYPAMVVSTTSSVPAISLPGCPNKCGNVSIPYPFGIGDKCAATSHNRYFSLICNSSFQPPIPMFGDPSAAAEVIDISLEHGEVRLYGAVSYSCFTSNTTISDGNSVWLNVDSTPLIPSIRNRFLVIGCSALGIITGNTPDPYAAGCYSYCEGINSTLDGATCAGKGCCETAIPPNLTYFLTELDSQQSSVWTFNPCFYAMIVEEGWYSFSKQDLQGHLGFMKEKASRGVPVVNDWAIRDGFCPKDGTKAPKDYACVSTNSYCVNANNGPRYLCQCSKDIDECELRKQDVKYNTIYPCKNGRCHNTPGGYICKCRMGTRSDGTNSGCRPVLSKAELVVIGKDHT >Dexi5B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:5B:5052447:5053678:1 gene:Dexi5B01G0007470 transcript:Dexi5B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRETYMEVLPPMDHLASRNSWYHPAVRNWTAEENKQFERALAGLDLRCPDWEQVARAIPGRTVREVVNHYKSLEVDVQQIENGMVPLPFYPGAAGSFTLQWDGNGGHGPGDFRHGYRFGGGCGKRHPGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLSDDRPPSPSQTSLISNQSNASAPAAAVGQFSLSADAKQQNLPFNSPSRTPGMPTYGMGLQDHGLQCGPLHDQLIGNQSMLF >Dexi2B01G0036280.1:cds pep primary_assembly:Fonio_CM05836:2B:43154212:43155729:-1 gene:Dexi2B01G0036280 transcript:Dexi2B01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIRNLRQEIEEFCVVTEFAQVKALYYLHSNRIIHRDMKRQNILIGKGSIVKLCDFGFARAMSANTVLLRSIKAYWKI >Dexi9B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:9B:12963082:12970780:1 gene:Dexi9B01G0018240 transcript:Dexi9B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEPEDGRELYALLHLSPDASDEEIRRAYRQYAQIYHPDKYQDPQMKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLSKPEEIKEQLERLRRHKEEEKLFAHARPNGSIVANFSVPQYLDGDGIMKGMGMSSEVELPLSKQNTLVVGGNLVVNGSAGTGAASTVLRHQLSSVSSIDFMATAGLRSLIGMQTSRLLLANDFPTPYNGFGTTENGREWWGKFGTNFFGASANYIHRFSSKSHARVAGRVGRYLSTNLDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDLNALFVTSAFAIPSTLYFLLQTYVVKPYHLKREKQKTLEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENNGLVITKAMYGNRKIIKESAEFDEINDDVDSQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >Dexi5A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:5A:21556651:21557811:1 gene:Dexi5A01G0018060 transcript:Dexi5A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGPTEEIPVIGLSGLDGGEEERSRAMARLHEACKDWGFFWVENHGVDAALMDEVKRFVYAHYEEHLEAKFYASDLAKNLPADADEPSDKVDWESTYFIQHRPKNNVADFPEITPPIRETLDKYIAQMVSLAERLAEAMSLNLGLPGGHIAGAFAPPFVGTKSAMYPPCPRPELVWGLRAHTDAGGIILLLQDDAVGGLEFLRRGEEWVPVGPTQGSRLFVNIGDQIEVVSGGAYKSVVHRVAAGGEGRRLSVATFYNPGADAVVAPAKEDAVALAYPGPYRFGDYLAYYQGTKFGDKDARFQAVKKLLG >Dexi9A01G0031910.1:cds pep primary_assembly:Fonio_CM05836:9A:36783045:36785163:-1 gene:Dexi9A01G0031910 transcript:Dexi9A01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDMPPATALRAPAPAAAAGGSSDKDAEKLRFIEEMTSNVDAVQERVLGEILARNAETEYLSRCGLAGATDRAAFRAKVPVVTYEDLQPDIQRIANGDRSPILSAHPISEYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYDPYHDYTSPTAAILCADAFQSMYAQMVCGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLADDIESGSLTPRVTDPSVRDAVSSGVLRRPDPELACFLRAECSRGDWAGIITRVWPNTKYLDVIVTGAMQQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCHPSEVSYTIMPNMGYFEFLPVDEASGVASGDAAQLVDLARVEQGREYELVITTYAGLYRYRVGDILRVAGFHNAAPRFAFVRRKNVLLSIESDKTDEAELQRAVDRASATLRASRPGAAVAEYTSHACTRSIPGHYVIYWELLENSRRQDGTVVDGETMERCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSRHFSPSPPHWAPATGRSGD >Dexi5B01G0038310.1:cds pep primary_assembly:Fonio_CM05836:5B:37549246:37551687:-1 gene:Dexi5B01G0038310 transcript:Dexi5B01G0038310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRRSPELPAADPQAAAAADPDRARLHQLGYKQELKRALSVVSNFALSFSIISVMMGVTITYNTGLRYGGPVSMTLGWFVVTLFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWAATTSIDFSLAQLVQVIVLLGTGGLNGGGYMASKYVVLTMYGAILVIHGLINSLPIQYMAWVGQLGAFWNAAAVAKERASAGFIFTHFNVDDGAGIKDKAYILALGLLMSQYSIVGYDASAHMTEETKNADWSGPMGIITSVALSSVFGWVYLVALTSLVTDNIPYLLDPGNDAGGHSTTPSMAALVTVLFSLPVAYPVAADNFNYTPVLVGGVVILSVVAWVLHARFWFQGPITNVDL >Dexi5B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:5B:21302921:21303502:1 gene:Dexi5B01G0018990 transcript:Dexi5B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFTKQAAEYAAAHPAYPKDLFTKLAALTAHHRLAWDVGTGNGQAAIGVAEHYDSVLATRSSCCVPHHTQRSGNLHTPDATPGEDDQVAALGGEGSVDLITVAEAVHWFDLPAFYAPAIAVWGNNYRVTPVEDMMARFLNTTLPYRDPQLGTAC >Dexi1B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:1B:21655964:21660338:-1 gene:Dexi1B01G0015270 transcript:Dexi1B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPAAPRAAAATASQPATQAASHGGGSCGEGQGPALQCRNSRNMSSLDFDGQDDTFLDVSDDVRSSKYSTARCSTSDQLSVSWRPEYELWMSEPMSVIERRHRFLIGLGLAQPTAGIAFQHWQGETLADCALRDLEERISSICSSYQSSISHCASAQDSTYCIRDLDTENRVVVHESEVGTDKIMNINQSEGFLSFSQLVHEFLRKGGGRTRVRGSNISVTDKQKDPKNICGRLTRKKGGNRICMYRTNMKTLKTSTFSRTKVDQQNKKWMDFSAVYMCQEIQAHGGSIRVMKFSPSGMYLASVGEDCIVRIWTIQEVESSPDLYVREAPVECMDRNKGSKMKVEKDCRRTLAIIPKLVFNIAETPLHEFRGHTSDILDMTWSKSDFLLTSSKDKTVRMWKVGSDGCLAVFKHRDYVTSVHFNPVDERYFVSGSIDGKVRVWDVSEKRVIDWADIKHIITAVSYQPDAKGLIVGTVAGRCRFYDQTGENYMEAKKELKVTKKKSARKQITSLQFSGGATSRMLIASAGSKIRVSEGTGINRKFEGRRGSKILVPPSLTSDGQYLVSAGADSNVYIWNFDKSRGKCSKGARRVRSCEHFVSEGVTSVATWPGLLDQQEGHAGDLRSPPEKGPTLCRDRECCSFGTWFVADDGGKGNATTWPEEKLLPSLKYVNCGGVDECRSKVPAAAWNTVVVTGGRDGVIRCFHNYGMPVKL >Dexi1B01G0023120.1:cds pep primary_assembly:Fonio_CM05836:1B:28788946:28791926:1 gene:Dexi1B01G0023120 transcript:Dexi1B01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGELWDDSALVDAFDRAVATYKEMHGKSNRATPCQDEKLAHAAATTAATAEEEEPVTAEAVDEHGEKDITCANAPCGLAEAPQQPSEEIQAVDQAPLQETDPGKEAHVSDSKTLSSDATDADGNVSSSQQTWEYNELLKQYYDLEEKSRNILQQLQQTNYWNYQASGYTSTTEQQQIPAYCATAPDPHSSTTQSSCCYWNVPLVSVSCCSAGQPSEGSASACMPPSGGCSVSLTCDQCPGASTTYPSVSNFMQLPTKLSPNGDTVAKAAMMTAESALNFMRSTVSGQPGSQRAESETGKEEGTGTGLNPNLDITGADSDLSVLLNAWYAAGFYTGR >Dexi2A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:2A:22183469:22183729:1 gene:Dexi2A01G0013560 transcript:Dexi2A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFRLPGHRRRRRLPRLPLAVALPEQTAAPGPCNAAAGEDRRASPCRATTGADRRAYPCRASAGADRRAYPCLTTDVPSPCPPP >Dexi5A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:5A:13928634:13931702:1 gene:Dexi5A01G0015930 transcript:Dexi5A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLRAVKVPPNSASLEEARHRVFDFFKQACRSIPTVMEIYNLDDVVTPSQLRSTIAKEIRKNQNITNPKVIDMLLFKGMEELNNIVEHAKQRHHVIGQYVIGQEGLVHDLGSKDQGSSDFLKKFYTSNYF >Dexi5B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:5B:322740:326315:-1 gene:Dexi5B01G0000490 transcript:Dexi5B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRMTPSKRPFQKNSSDHNGRGKWQKTKNSSAHKSQPKIEPGVPIFRILCPASKSGNVIGKKGGIIAKIRQETGVKIRVDKAVLDCNERVIFISAKDDEASSEHGGENGRGVAVSAGGVHDHEKDKVTIKEEKDDQEENHCKEEKHDLERDHSNEGKDDAERDDSKEQKDDSEKENSKEDKDDDSEKGHIKEEKDDDSEKGHIKEEKDDDSEKGHIIKEEKDDEKDALEKDHIKEEKDGTFVAKEMKSEPERVVPSALKAVLFVFDRIFAAEDDNETGDASGASTSVSLRLLVLYSQAGWLLGKGGSVVKQMSVDNDCEIRVLKDKLPVCALPNDRLCQHPGDGISPAQNAILHVQRKLMLPASDKEGPAMCRLIISPNQVGCLMGKGGSVIAEMRKLSGAFIVVLSKDKIPRGVPEHDEVIQISGGCDAIQEALMQITARLRNHLFRDRLPAVGPNMRPPFGSLDSQFGPYAGNHESPSLFHKDFMGRQLDGISAPWTAKGMRDVGDISDIPGMGHREFGGFSGPGQSSMMPNLTVEVLVPRLVIPALCTDGGCLDRIREFSEAKITVAEPIVDAMDTTVLISGTPDQMHAARSLVQAFVISESFAT >Dexi4A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:4A:7197906:7199470:1 gene:Dexi4A01G0009110 transcript:Dexi4A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTPPKSGTGFFKTCFNGVNALSGVGILSIPYALSQGGWLSLLIFITIAIICFYTGILLQKCIDSSSLVKTYPDIGELAFGRKGKIIVAIFLYLELYLVAIDFLILEGDNLEKLFPNANFHVSGLKIGSKQGFVLIFSLLVLPTTWLRSLNMLAYVALGGVMASIILIASVLWVGVFDGVGFHEKGVTVNWSGMPTAMSLYAFCFSGHAVFPMIYTGMRNRKTFPTVLLICFIICTLSYGLTGVIGYLMYGESLSSQVTLNLPSKRLASNVAIYTTLINPFTKFALLITPIAEAIEDSLHVGKNRTTGIFIRTALVVSTTIVALVVPFFAYVVALTGSFLSSTVTILLPCVCYLKISSRTSRNTRLELVVCLCIIMIGAGVIVVGTYNSLKQIVHSF >Dexi9B01G0026250.1:cds pep primary_assembly:Fonio_CM05836:9B:28074747:28082615:-1 gene:Dexi9B01G0026250 transcript:Dexi9B01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPCDPDAMAEASGDARVVPSGAACRINALSTDVLLRAISFLEARQLVQTCVLSRRWRNLWRSVPRINASRDEFDGKAGTEIACDVLFKNFINRFLMLRNPVALHEFRLQYNIPDGSNDPVADSRDANLWIRHALQSNVRLIESYPNLEDNLEDRSFTCEHLEDRSFTCEHLVMVKIFRLDTYGEYDPLVNSLVVFLSDNGINPGQISIN >Dexi7A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:7A:22345009:22348254:1 gene:Dexi7A01G0011990 transcript:Dexi7A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLVMTSLVAAVAVLLVGTADSASPPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEHLGSEPALPYLSPDLRGDKLLVGANFASAGVGILNDTGIQFVNIIRIGQQLQNFQEYQQKLVAFVGEDAAAQVVNNALVLITLGGNDFVNNYYLVPFSLRSRQFAIQDYVPYLISEYKKILTRLYELGARRVVVTGTGMIGCVPAELAMHSIDGECARDLTQAADLFNPQLVQMLGELNAEIGRDVFISANTNRVSFDFVFNPQDYGFVTSKVACCGQGPYNGIGLCTPASNVCPNRDVYAYWDAFHPTERANRIIVGQFMHGSTDHISPMNISTILAMDNRD >Dexi4A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:4A:886368:887003:-1 gene:Dexi4A01G0001360 transcript:Dexi4A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGEAGDSEKQPPFRWLNVARCAVAAVVMVLILAVIINAIQVVLRPNSLSLTVVEGTVFVKRLPEEKNLSLSLDLRAENPSGRARMYYTNLDAYLLNTTMESTAKPRRDCIIYFRPKDIVAFDQQQAVMSSMKLHVTNKSMPGYFDKLYANGTMRDVTLRLDGDLVTEVTINETRRATYYCRSLIVGGDPDDEAFRYWQDDVHCTKA >Dexi3A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:3A:3333333:3334651:-1 gene:Dexi3A01G0005150 transcript:Dexi3A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTLLFSPNSSLLITKPSSGLTKRRAAVRCSNEPDLSVSHEVEGVEMVGRRRALVSAAAAACGASVLGFAGHGMAATQGLLAGRIPGLSDPDENGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANPKEGRLFVVVAPVRRFSDIIDDDATIEKIGNPEKVITAFGPEVIGENVEGKVLSSATSEHSGRTYYQFELEPPHVFITATAAGNRLYLFSVCNGRGITRI >Dexi6A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:6A:25096018:25097719:-1 gene:Dexi6A01G0017270 transcript:Dexi6A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRCSLLLPSVSVSPAPAAVAEEVVPMTSPVAAAAPTRGRGLRRWRRIRREQEQHREGYAATTAAATAAFAGGAGGKDSAQHHKRRLPLPAGAPKGRHEAPVVDEAESSAASVESRFVPLDPGLGGLPVSPAGFSVGAHSDDSEDRGSWSSTAANAPRVLPRRDHALLFQREPRTHVPGASPHARNPRAGRSRADRPKVVYSAAGSTEAVHSRSSVESELRSSNSNVRQVGAGLNGGRKLFSGYGDHSDEEQPSEEVRSISHCKENGGSVVGGSVQISADSGDGVGDTFGKAGVGKGQNGRMHSSPDLYSWKRHQH >DexiUA01G0025870.1:cds pep primary_assembly:Fonio_CM05836:UA:54454079:54455178:1 gene:DexiUA01G0025870 transcript:DexiUA01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALTGAPGHGGDVRRFQEIVEESFKVTGTPSVGDFYPALRWVDRLRGVDAALIRLQARRDAFVAGLVQERRRRRQAGGRGAECAIDELLSLQEIDPGFYTETVIKGIVLILLSAGTDTSALTIEWAMAMLLTHPEAMRKVRAELDANVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPVIPAHEAMEDCTVGGYHVRRGTMILVNAWLIHRDPKLWEAPEEFRPERFLDAGMVTTAVTTPMLPFGLGRRHCPGEGLAMRLVSLTLAALVQCFDWDVGEGGAIDMSEGGGLSMPMAKPLAAVCQPREFVKGMLSALASETAQISEE >Dexi2A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:2A:25179383:25181629:-1 gene:Dexi2A01G0014940 transcript:Dexi2A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSISDSGVSNQDNAAGDHNVLDVASATLLCDLDTCDKPLVGSSSGAGVNLLQPATDQTAGSVDSRTPKVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTDQLFDDAGIDSYFEVKEVPAGNSIEQPKLVQPANGNAVSAHSGMSNPGVKGDSSVCIPARQARSSLSLSFSGLTGESSAGDHQDCVVSSLLLMGEPPWQAPGPEGTIAGGSRDNAITRYKEKKKRRKFDKNIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >Dexi4B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:4B:1934169:1937113:-1 gene:Dexi4B01G0002940 transcript:Dexi4B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQAEIISNNLVSCLFLQLMCLADLEFDYINPFDSSSRINKVVMPEFVLQALLSVLFLLSGHWAMFLLSVPMVYYNYTLYQRRQHLVDVTEIFNQLGREKKRRLFKIVSLIILLFLSLFWMIWSVLSEEDE >Dexi3B01G0036900.1:cds pep primary_assembly:Fonio_CM05836:3B:39709480:39718189:1 gene:Dexi3B01G0036900 transcript:Dexi3B01G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRRRDKPLVLLARQPGGGPWRRRSGGDLPRRRGHGSSGAQHDGDLGGAPDRRRRPSGGSPFPDELLEEIFVRLADADDLALASAACTSFRRVISARHFLRRVSRLVDPDGFHPVEPPHRSAPAARAFDRAADPTFSFLPEPRRWVLCDTRDGLVLLFRRRVSRADAFDDLVVCDPLHRRYVQLPPIPDDLVASVLQPGWQPKRQEFTAFLAPTNSEDDDKDREERSPFSVNSTMVESGCWTMTESRTSAVAFVFCSGNSEWRGTTYHSSKSLSFGLFGYDHGYHHSYAHGCFFWTDDFWMCFSLVLDVHEMKFSIIDLPPKLNSGLLGVHAIIVDAGEGMLVFVVCLRITTNIWIPVADVANDARLYISMQIQQRRIHLSPHRPHAAGLAMASATARSIAATTAAQPSLPDELPNDLLEDIFLRLDDPADLARAYASCFSFRRHFLRRYRSLHRPPVVGFLSVSSGNPTPVGDRHPTSRFHPAEPPHRSVPAAADFALAFLSDPGRWSVRDVRDGRVLLSRESAVSGDAFEDLLEKETEDEDLPLRVICNVATDKEIRTLVFSSVTGKWRAVVELPGKPPLLLQLLLLDRMKFAHVDVPRESSYRMHSVVEVGGGRIGLLILGTRMLFLFSKAWPPDNNGDDGVKDYWRYDDAIPLADRNWHLSGGDGEGYALLKGQPLSNLHFAMAESDRVETSHRTAGGEMAPAAVPTLPDEALEVIFLRLGGAADLARVSGACATFRRLVRGHLFLRRFHALHPPPVLGFLHNMAGSGAAAFLPAEPPHRSAPSARALLGAADLAFSFLPPPLDPPGYWTDRPWHWSVRDARDARVLLSRRVPTDNDFADRLLFWRTSPDDAFADLVVCDPLHRRYVQIPAIPEDLVPIQRCDGMKFQPFLAPACKDEEDPSFRVLYNVVSQDKVVTLDFSSLFTGEIDSEPAKFAPLLCMRLFLLGKSS >Dexi8A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:8A:27980115:27982322:-1 gene:Dexi8A01G0016490 transcript:Dexi8A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSTFTGLVFFLSVIFYHLPFAAALVFNYTSFTTSNYTSIKVEGDAKINDTGGWIEVTGRKDSRIGDSRGRASYAASPVPLWDAVTGEVASFTTRFTFVIDPQSKYGGIDNKGAGMAFFLAAGSMPPDSYAYDLSLTGQNPAAIAAGDARFVAVEFDTFNDTEALDPNTTYDHVGIDVNSIRSVATKVLQSFSLMGDMTAEIKFDNISSLLELKLWLGSDTTPYKLSHKVDLKNALPENVTVGFSASTSTSVELHQVHSWYFSSSLEPKPAPIIAPPPPPPPPPPSPTPSMSSARRGGGVIEGASVGAALFIVLLFATAAALLARRLRRSKRRMEEMLEDFGELDGSDNGDEPIMEIEMGAGPRRFPYHELPSNVMLDQHFNAKLGDFGLARFIDHAGGMQTMTAVSGTPGYVDLESLITGKASAESDVYSFGVVVLEVMSGRRPMSLLPDAGGNVFRLVEWAWDMYGRGAAVAAADERLGGEYDAAEVERAVAVGLWCAHPDPRARPSIRAAMAALQPGGGGQLPALPAKMPVPTYAPPPVVISPEGLFSQSTVLSAVVTSSGLTKSSATTNTSCVSDTSSGSTGLKDSSSLLKHQY >Dexi3A01G0028000.1:cds pep primary_assembly:Fonio_CM05836:3A:28165961:28167825:1 gene:Dexi3A01G0028000 transcript:Dexi3A01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSGRRKWACRAAHRGGRAGAGCRHFHFHYHVPRQVWPFLPLRLPARFSLLPYLLIIPVLFFAMLAFLVCFGWFTLVYFVTSLWIKRNGHELGVNSSDRGSDAAEPRREEEEGEDRAVKPVAERVGGSGLSEVCVKSQDIKELFEDGFSEESRYITRMASPGVCVDNNEHADEEETVKEVMMFESDKKEVETFVELDGSSEKHQQLMETPIDCSVEELNTREFGTSLTSKNLFDVPYDEEFVGNKKEMEVLSSLEILEFIDKHDATEIVANGAASDFETPEAPSLDDSVHHDIGNEHREEIEQEQSTTALSHNNVVDRLPEGILQEWQETQNLVTEHNKKLPEDISIEEDGKHAVVISDEVQDSLCDPTVLPLDSVCEMEPKTLAASSTPFYQATSDQDGELEEELSKNKRVESSASASTVRDFAYNHWRIIEQLDSFASEESIHQEGSPENLALETAEDEIRDENCTSTNMLEAEE >Dexi9B01G0049410.1:cds pep primary_assembly:Fonio_CM05836:9B:48056926:48058307:1 gene:Dexi9B01G0049410 transcript:Dexi9B01G0049410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSSSSAKLTTSNSTDDPPPPSAAPVYLNIYDISPLNHYLYWFGLGIFHSGIEGSFFNCVLPESIKVSAVRDVNAHPEFSDDGLGSNASIIDGSDEDDLDQLLRTPNSDVVSSRDKALTPGRDSF >Dexi3B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:3B:11200957:11203179:-1 gene:Dexi3B01G0015430 transcript:Dexi3B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEALGGVHALMSFSDDLRINPRQCRLLADACALAFASVAAEVRAHLRFHERLSKWKPLEGPLRELHRAVRDAEGYVRHCLEPTGRESWWARAAAATYGADCVEHHLHSLLWSVAVVLEAVEVVSEVTGSDPDELARRRLLFAKDYDRDMLEPRLFRQRLGERYLATRELEDRMDAAWKEDRWLLSQLLEGRKSPASPETLTRNEHRLADLLTSPRGKVHPASLLLQGDFHVRRRLVGNLKEVQWMGEAFAVKHLVGADADAVGAEVALLTLVSHPNVAHCRYCFHDEDKREFFLLMDQLMTKDLASHVKEVHSAKRRTPFPLAVAVDAMLQIARGMEYLHSKKIYHGDLNPSNVLVKTRNADPHLHVKVAGFGQFAMAAPSPRPSPRASANANANNAASAPNPCIWYAPEVLEHEAAKCSEKADVYSFAMICFELLTGKIPFEDNHLQGEHMSKNIRAGERPLFPFQAPKYLTSLTKRCWHGDPAQRPTFASICRVLRYMKRFLVMNPPSDQPDAPPPVPPVDYLDIEASLLRKFPAWQAANAAPRVSDVPFQMFAYRVVEKERTRAAILHIARDKASDSSSDGNSLCGDESGSGSFGAMLSEAEALSVSSRGTTTRSLPERSSSGSKASPRKMDRKVTSRLAGKLSVRHAPVAESFVSMSGTAGLYDDVIYEDGGAGPPQKSKSMGVVRPPQGIRRTQRIKSDGHLNIAVVPSSRRRVSGSGGGHASDSELA >Dexi6A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:6A:6375935:6380733:1 gene:Dexi6A01G0006600 transcript:Dexi6A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQGRLLLAGLLRSASSASSIPRIPKNICYWDSFTWSSCYYYSTSDPTSRKERLIAKEQLDPFVLIKDEVSEVTDRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAVSMGMTDGLANKLRAKHMRVAEITEMIHISSLIHDDVLDDADTRRGMDSLNFTVGKKSKADASLQLAVLAGDFLLFRAFSAAVSLDNNEVVSLLATAVNNLVTGELMQMSITPAQRCSMDYYLQKTYYKTAALISNSCKAIAVLAGQSTEVQALAYQYGRHLGIAYQLIDDILDFTGTSASLGKASLSDIHQGIVTAPILFAMEEFPELREIVEQGFDDPSSVKMALEYLWKSEGIERTRLLAAEHAKLAAEAIDDLPESEDEVVLNARQALKDLTQKFMRRTK >Dexi9A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:9A:12002399:12003308:1 gene:Dexi9A01G0016950 transcript:Dexi9A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRGNCRPPSFCSLRLRSTASRSSSSRSGAVEVDEAETAARSPMMPSMMRRMLLWHLAMSRAAALNLRMSRDDGYRSARLNPPVSLTASSSSRRNSSRYLPHRSPMAMRTMASFTAQQILSPRSTTATGRPARRRTPRRWKRRGGGPLPRGRGGRIGGGAELERADLAELAPPAVVGGEHDALAAAAEDVDGGAQVAAAEGEVVGFRHLHGGLGGGDDQGGDGAEAEQHERAVGLGQLAERAVGKVAEAGEEEVVQVADERQLRPWPRREAESGRRLCARWRGIAADELEEDEEEDNN >Dexi9B01G0030000.1:cds pep primary_assembly:Fonio_CM05836:9B:32474557:32477027:1 gene:Dexi9B01G0030000 transcript:Dexi9B01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVLPWGGGSPAWVDVPERSKSAFMELKRRKVHRYVIFKIDDRREEVVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDVDLERI >Dexi2A01G0034640.1:cds pep primary_assembly:Fonio_CM05836:2A:44517677:44518839:1 gene:Dexi2A01G0034640 transcript:Dexi2A01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLAVLAVVLALLGSASCQSGYGGGSPNPSPPSPTTTYPPAPSPPASPTPPASGLKVGYYDDKCPGAEAVVRDAVRVADAGIKAGLVRLFFHDCFVRGCDASVLLKPTDANPQPEMLGIPNLSLRGFEVIDAAKSVLEARCPGVVSCADIVAFAGRDASYFLSNGAIAFAMPAGRYDGDVSLASETIPNLPPPFADVTRLKAMFAAKGLDTVDMVALSGAHSIGRSHCSSFSDRLPPSATSDMDPTLAAELKANCTSATGADNTVSQDYRTPDQLDSQYYMNVISRKVLFASDAALLKSNDTRALVYAAAMAPKVWQNKFGEAMVKMGNTEVKTAANGEIRKVCGFVNNKPY >Dexi1A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:1A:9076723:9076823:-1 gene:Dexi1A01G0010510 transcript:Dexi1A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQGEVSGLRRHLSPKQGERLIA >Dexi3A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:3A:630277:631916:-1 gene:Dexi3A01G0000890 transcript:Dexi3A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSAGGMLRLLVWATLLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGTADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVAKEHKKPWLWWDYVTDFAIRP >Dexi3B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:3B:9109528:9113124:-1 gene:Dexi3B01G0012940 transcript:Dexi3B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRPATAPSPFPSAPSSSSSSSLRTPRPNLRFPVRASRPRNRRKIGVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPSAAHVRKSILHGAADHVLRKVLYWTKEENKMEKMKARLIELYYENLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMALSKYFKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSKLSVINLRRLFANKGISFMDLQKQIYEKSPPKRRLTVDTIF >Dexi4B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:4B:2164859:2170884:-1 gene:Dexi4B01G0003190 transcript:Dexi4B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFVPLTLPIQSYGAADTYELLSKNCSSPGNLASGYQMNLRQFLATLPSNVVSNQGFFNGSVGSVYGMAMCQADMPWPDHCESCLQAASAGAPEACPSSNNASVAYRGCVLRYWDTPVRADTIDNVFNNVAAYYAADQAANVPADTHSNFQKARDDLFTFLNSSLFASPLKIATVNTTFNDSTILRGFYGLAQCNRDLPDELCSYYITTAVGLIPNITTDIQWSEGASLIGYPFYLRYDLRPFQVYWPDDSSGPPPETTKDALLQYKDCSSTSGNGSSTSSSDPYQANLNKFLTALPSNVISNSGFFNGTMGSAENTVFGVAMCQADVSWPDQCKSCLQAASTGAPTSCPSSNDASVAYRGCVLRYSNTPILATSNQASDSIAFYTQDHAASVPNTTAFQQTRNDLLADLAGAAVSSPSMIASSNRAFNSTHKLYGLAQCNKDLPTELCSQYITSAVTKLAPDVQWSEGMSITGFNVYIRGYMAPEFVKDGRVGCEYDIFSLGVLIMVIVIGKGPGSNELSGTTFINEIRQKLDTSNPQELRKYACLDVCDSLDAVKTCLALALDCVKEKPEDRPTTKDICARLHITASDTSQVEVEVK >Dexi9A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:9A:627397:629711:-1 gene:Dexi9A01G0001220 transcript:Dexi9A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Dexi9B01G0032960.1:cds pep primary_assembly:Fonio_CM05836:9B:35113743:35117777:-1 gene:Dexi9B01G0032960 transcript:Dexi9B01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLDKSLNPNKLLKEQFVSNLTGSSMLEIAALSTIVPALVVLRKWSSGDASVSEVKGIKGLLEKYIRVFAEWAYTCAISLVILIFFYIIFKRPQSHLKAGLSKLTSLRADVSSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGSGIVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGVVGLLILAGYQIWLSSGLNEYLISDKRGADIISQNKEGIYSIFGYWGMFLISVSLGYYLFVDNSLKVKNRNTQVVTVWVLAASFWILAIILDSCIERVSRRMVRY >Dexi2B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:2B:1802287:1804389:-1 gene:Dexi2B01G0002240 transcript:Dexi2B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLLSSYSPDMNHLLPMMSPFLVAFFLLCFVFINLPGFCVAGNESFVFSGFAAGAGNNLTLDGTAMVTGEGLLELTNKNANVQGHAFLPTPVQFKDSPNGTVQSFSLTFVFAIISTYADASSDGMAFVIAPAMDFSNVSPSQYLGLLKLNSNSNDSTAATSSSSSNYVAVELDTIKNEEFDDIDSNHVGIDIDTLSSVQSSTASFYDDKEGGMFKSLSLRSGEAMQVWVDYDGKAKQINVTLAPMGWDKPSKPLLSNISDLSAVLTDKAYVGFSAATGPIGSQHCVLAWSFAMNGPAPTIDFSKIPKLPNSGYKTLTKAMEIALPIAAFAILALSIAVIVIVQRHLAYAELREDWEVEFGPHRFSYKELFNATEGFKSKHLLGVGGFGKVYKGLLPRSKSEVAVKRVSHDSSQGIKEFVSEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDEENKPLLEWSQRFQIIKDVASGLFYLHEKWEQVVIHRDIKASNVLLDGGMIAHLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELSRTGKASPLTDVFAFGTFLLEVTCGRRPVSNSLQHGRAMLVDRVLEYWHRGALEETVDHRLRGNYNIEEARMVLTLGLKCSHPFPGERPTMRQVMQYLDGDVPLPELTPASMSLLSLMQNQLSLDQCLLQYPWSANSIGTMTPDISVGR >Dexi9A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:9A:3428640:3428858:-1 gene:Dexi9A01G0006080 transcript:Dexi9A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEQAVPNPVRPVVVFYLALSQIRSPPPPSTTVTARGRRRSLYSPATRHADPSEGWLPNWRLSSRFAPLGQ >Dexi9A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:9A:4559092:4561480:1 gene:Dexi9A01G0007790 transcript:Dexi9A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSMLTAASLSFSALPGSRLRAAPPTAASFAAPRRAAAAPLVVRASAASSKATAAAEAAPKKKRATGITQPKPVSPALQAIVGAPEIPRTEALKRLWAYIKQHNLQDPADKKVVVCDEKLKVLFAGRERVGFLEIAKLLNPHFVK >Dexi5B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:5B:1283398:1284170:-1 gene:Dexi5B01G0002000 transcript:Dexi5B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKLLLRVLPAVLLLASAGTTATVSSLPVRRYRSIFSFGDSYADTGNAMVFFAERNLTDPAAGPPYGMTFFGHPTGRYSNGRLIIDFIAEALGVPFVPPFKTYNGSFRQGANFAVAGAMALDASFFSFLPSMLKLYIFNSSTNVQLGWFDSLKPSLCSNKVKCKGFLHRSLFFMGEFGVNDYSFSVFGKNLSQIRSFVPDVVKTISTATEVTNNKRNAI >Dexi1B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:1B:19441513:19451123:1 gene:Dexi1B01G0013580 transcript:Dexi1B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEAEEAADLGPRLGISPATPRIGRKRARPSRTTGADGSCSTALFTSTATAPAVGPGKAESNSFASVPSPVHEQSQSAPEELSEVDCAPVSTQESSTLPPLVGESSGKVAQQEQQQQGVASAGGAVPVPTPEKVESTPRRRWKKSTKGVLRFKVMKDKVMKPKVTPKTATPHKVKKDKKKQMPEDGTQHVGAGGSNKVRRKLDLDSSQSKTCFSRVELMDNLRCLANSRGLSGELTRRMRSKRGRKRKLTISPYQGTSFGGSSSALIPLWGSAQLDIACRGNHGKKLLNKVLGLTEETLRVCDVLAKWDGSDSESFEGFDIGSGPEWDQTRHMFERAVDIFIAQIVDLLGPRKCSPWGGSLIDSVVGTFLTQNVSDHLSSHAFMNLAAKFPPRKRCHKSEDCSNTTPSVDGVDENLNPFETSDTFHSLDSDSYECIDSEEEDGHDTEIKGHYGEEYNRLIGDFISNLKEKNISTWDSDLVNLVKDKSGTPICTERTLRKFIASLRPVPSSIWKELREEAYKKGYSDRSQTGDAVDWESVLHAPIAKVAKCIEARGQHYILALRIQVFLMHVKNAQDGSFDLDWLRYVSREKAKNFLLSIHGIGVKSADCIRLLSLRHKAFPVDVNVARIVTRLGWVQLQPLNGVEFHLINSYPIMRDVQRYLWPRLCTIDKEKLYELHCLMITFGKVCVANKKSLPPPEKHEHGEQQASMVASGGFLLPNDVYMPNSQYMYQHQIEISSTEMLPIHNCEPIVEMPQSPENEYKEAPKGQEDSYEDIEDIVPEGVHYDGEIDLCSSKHVLNNRYVLPDDHLILEEFEQRLQEDICPYLLVVTSCPDDYTVKGTVLIPCRTANRGKFPLDGTYFQAHEVFADHTSSRHPITIPRECIGMLDRRIVYFGSSIYSITRGQTRHDIEECFKKGYVCVRGFHRRTRTPMRICSTLHVTNTIKKVAVKKEGGEKQARTSPEGNSKEKKAHSPN >Dexi6A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:6A:24462474:24466198:-1 gene:Dexi6A01G0016600 transcript:Dexi6A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGDGGGGEVGAAVLHGGGDEGAVVRGRRGAAEEEATACSASASSTSRGSSARGSSGVDSVVNETVHLDANANARDKTQQCQIRYCPGSCAGVVDWGRITNLTRGSLPRPPTSFATIKVDGLLLPSEASAGSTEPQEEDDAPLQGVKDNRWVRAQLQGQTKIAVPRPTGECQDKRNRLGAVLFQDRKDRAQRPASLDFGCPGVAKSSAHSPGFPVNGVGVMNKGMGVSYSSHCRPEVLSSPGTPSYHRRGMTVVGYQRGPNSERVIPPPTGHRRHPGSSTVPSHSSGRTLPSKWEDAERWIFSPNPGNAVGRSVPQLWRPKSKSGPLGPPGRYVGPWSSSSSSTLFLESGRVGNLTVNSPYLDGVLLPDQHIRGGFMDSGRDVSAASGEDSSNGRGGRSSETNGQYPAMRSTRVSLQFSSAADSYQSLPTSYESIQDGQIESIKDSATSSTPMVLRKDVATQTSPDISRIEGEEAKMTAWENMQKANAEAAIQKLVIKLEKKRPYSLERIFNTLRSGPRKTQVVRSTSTANHDQHISRTIKTAPHLSKNGQMSSLSGCFTCHAF >Dexi4B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:4B:3016416:3016739:1 gene:Dexi4B01G0004180 transcript:Dexi4B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRRLTSALSHASQPPPSPVLLLRLALSSSAPSATDPPAAPDAARKVEGEEAKGAADAGEGKEEQEDDGGVHVNKVTGEIGGPRGPEPTRYGDWERGGRCSDF >Dexi7A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:7A:29021413:29021697:-1 gene:Dexi7A01G0020100 transcript:Dexi7A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRSAQQRATTRGAAGGGGNAHALASSGGAAAVARRKAKQQEEEIRTVMYLSNWGPNN >Dexi1B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:1B:3826695:3828861:-1 gene:Dexi1B01G0004790 transcript:Dexi1B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQSSSWRTATAMAMAMAVVVVSISATGVRGQLKMGFYDQSCPGVERLIGSFVRQHVGRVPTVAAALLRLHFHDCFVRGCDASVLLNSTATGVAEKDAPPNLTLRGFDFVERVKTLVEEACPGVVSCADVLALAARDAVTAIGGPSWRAPTGRRDGTVSNMQEALDELPKPSMSFKQLKDLFATKGLGVRDLVWLSGAHTIGIAHCSSFADRLYGSRSAGAGNDTTDPTLDGAYATNLRSRKCRSPNGEDAAVEMDPGSHLTFDLGYYRSLLKHRCLLQSDAALLTDPVAKADVEGIAGGPDEVFFQLFARSMATLSTVEVKTGADGEIRRNCAVVNSPSN >DexiUA01G0027950.1:cds pep primary_assembly:Fonio_CM05836:UA:59750795:59752000:1 gene:DexiUA01G0027950 transcript:DexiUA01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGCGLLPCLRREDKGEAAAGRARAGPRVADEPTGASVSSVGKGSGAARRFAWGEIESVTAGFSSRVIGQGGFSTVYLASLSSSRLGAVKVQRSSERLHRAFRQELTVLLSLRHPHIVRLLGYCDEREEGVLVFEYAANGDLHGRLHGGGGNNKPALPWARRMAIAFQVGMALEHLHESLDPAVIHGDIKASNVLLDATLDAKLCDFGFAHVGFVSAALHPSPELAPSSRTSAARPVMVGSPGYVDPHFLRSGVATKKSDVYSYGVLLLELITGREAICADTGRRLAATVGPTLSEGKVADVVDRRLGGGYDAHEAETVAALALRCVSESPGLRPSMAEVVRELQEKTTALISAAGTKPAGKVVP >Dexi9B01G0043820.1:cds pep primary_assembly:Fonio_CM05836:9B:43755779:43757751:-1 gene:Dexi9B01G0043820 transcript:Dexi9B01G0043820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNTRAPLLCLAVAVVFSALSRQALAAGEGSKEADKIAALPGQPKDAALQQYSGYVNLGDKYGKSLFYYFVGPFRVDTDGKTLCTNKYSWNSVANVLFLESPVGVGFSYAADTEVYKVMGDNMTSSDSLQFLLKWLDRFPEYKGRDLFIVGESYAGHYVPELATAIQVAKITRPAEIPINLKGIALGNAILEFASEQSALYEFLWQHAFLSDTGHSLIAQSCKGVDDNSPLCSGAKDTAYAQLGHFDIYNIYAPTCHDKKVKATSSNCMDLADPCAQYYVEAYLNQPEVKKAIHANIGLPYPWTRCRAYNLLRFGDSPKVSMLPYIKAIANSGVRVWVFSGDLDAMVPVMATKQSMQKLGLPVVADWRPWSTEAQEVGGYVIEYKGLEFMTLRGCGHLVPIDQPASALVIFKAFLEGKPLPKAAPMVD >Dexi4B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:4B:3579943:3589047:-1 gene:Dexi4B01G0005000 transcript:Dexi4B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWASAVASICSAGVGGRGGVDSDGEIAFAFRRSTTHGSAASVKHVRRRKAAWPAVSETRHAAASGYAVHRVDLARSRHGISVTGEALQDPPVARFKAAPGMSFFKAGSSEKKKIVGVTDKHAGVIEAESVVIDDATWEVSPVPPPLSTEYGLVEVSDKIYAVDMLADDPRCEVLRSANDAWWSPLPRPPFRDRIVKLATYPPRRGLLVSTEKGENYLFDRRRLGRSAWVALSGSPAPLPFEAGAALFAKDHGLWFEVSPDDGRLRAHELDVVVHGAPPPGDGCHVTVTMFRVIDSGTSDTPVEMRRRRRESGMMNARWVADELERTCADEASMSGRRRKRRKLCRVNMWSRTYIVGGGDAH >DexiUA01G0026740.1:cds pep primary_assembly:Fonio_CM05836:UA:57213064:57217684:-1 gene:DexiUA01G0026740 transcript:DexiUA01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSYLYPLPRIDILFDQLAGTRVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYHRFIPDFSRIAKPMTELLKKGVKFEWNDKCEEAFHTLRRHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKARCHCLSVESYADTLCHEMAKLNLEIVPHGYFNHIAVEPTLHDQIVIAQLNDASIKILRRKLSKEKVKEKYKCFRLDGQGVMWFGSRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGHDSIWVIVDRLTKTAHFIPVNTFYNAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYAYGPDLVKEAEEKEALSALRGPTTRGAAPARQAMEVAPPPQELPLRVSPPSRAPPTSSWSRRQQEWRSGGAWAQANPSCSRPPSWNREAPPSPGRPSDSYPNWDISALPFHNETYIRAIHTLLNSVDHLEQSASMITGPGLHSAANRMPSTQALASAFATSAHLNKDFTRRVPCYNPYACFPAIMYTGAININFGPPRSWRLPMPKGLWALIRALIGGCPTLMRYIERGGGHGSNHEVELSTLLTYTQTLDRSRGLALRRREAPPLRPSPFPAKAGGALKDDETYCAGLRQPLLRPSLPILYPNHEIYNFLTHRSGEPRQNRLTASRAQEEALCHRDAGALARKDNTTEPLDGGAFTGFRGGAMS >Dexi1B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:1B:22495180:22500279:1 gene:Dexi1B01G0015980 transcript:Dexi1B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNARLEAEKVALEARMKSEEEIQKLKESLRKARLENEEFRKMAESKKSYHRRVDLPGISSMADARLLSIPPPIQPEDPDSPPPGSILVDPFGYLSDRTNATTADGRRSRSGKRVLVTFWVATPPRVSCFTVHCPGLKANAFGEIPKVICAADDLVLLRIAICRREDRDDAKNIRYFVYQAGTKNKPPSLKLIRTPPYFKFFDNEVTLLRRRDKDMFYLAVLRRAFIDWMYADKQFDLHLYNSKTGSWSTKLMHPMPSSIVIPCSNRLTAVRLPLAASLSNGTTAPVVLFLLVISVAGARSPCLPRVVNAGRGSNARVPVICHQYNGGTVAFDESVLQTNSEYLSSQSAWATTNPKGAREANTRKAAELLADMARGSSWALDLLRPLASRMPSVRRPDREVPFDHRALYTGLSVSVFMVCSHLPLYGVRYDAMGADPLYWLRSILSSNRGTLMELGVGPVVTAGTLFQLASTSGLLRFDHNVREDRYLADGARKVLALVIALGEAAAHVLLGMYGPHVGALNGVLIVLQLVSATAVVVYLDDVLEKGYGLKGSSSAISLFSAANTCGKVFWQAFSPVTVNTGRGPEFEGVVLAVAHRALTRTSSARAVVATLLRRHLPNATNLAATFLVLLAAVYLEGVQMLVPLTSRDRRGRRGTFPIKLLYTSTMPIVLYTAVVSALYLVSQLLHYSRLGGTLLVRLLGVWAEASHAAVSVGGLAYYVTPPASFAGDPVHALVYVALMLASCALLAQGWVVASESSARDVARRIADQRMGLPGRRDGATYAELRRYIPMAAALGGLCVGALTIFADMTGVIGTDTGIMLAATAVYNLVKSVEKEDKDLERR >Dexi3A01G0032670.1:cds pep primary_assembly:Fonio_CM05836:3A:37564377:37565301:1 gene:Dexi3A01G0032670 transcript:Dexi3A01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLLLPLLLAALVSPWLPATAARDCPKTCGDVNITYPFGIGHDCYLKIEGSDQQLQPFNVNCSDRGDDGSLLPKPIPIIDGNIEVLSIDVLNGKVRVKNLVNSLCYNTSTRSMNDPIMWSYEMFPAFRVSDTDNKLTVVGCNVLAYVWSHDGGQDDKYIVGCNATCSHGVRSLPANGSCSDENGCCQAPIRPGKSFYVTFVDGYDNSSGHITGFGPESPCGYAMVVETKAFEFRPTYVTTGELGASGVKMPMVLDWALDNKTCTKDMKETLTIIMAAKVK >Dexi2A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:2A:31986423:31986686:-1 gene:Dexi2A01G0019900 transcript:Dexi2A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGARALVAAGALCSGSKRRREARGGARRCLFPFANEDGGSGGYPAEEWDDVNAWCGNDGILRLVLMEGCSVVDPQRQAGLGNWA >Dexi9B01G0024780.1:cds pep primary_assembly:Fonio_CM05836:9B:22569318:22576951:1 gene:Dexi9B01G0024780 transcript:Dexi9B01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASASAPAALLPLLAALPRFLHLPLRHPSGRRHGCGSGGGGSLLLQPPPLVPSSRARCRTTCCASSPYQTDLLLGEASLVMVSVTACTLLAALQLVWLRWRNATHGDSPEVLHDHGKFIINKALGASKTIYESDCAAQTTRDSGLPELGFSGRKAVDELHCKSGHFAPVACLREGPVSKQKKAVKDHDGAPVTGWGISNILNKENPDNYAPTKRGRSKGAKDTLDYSRIYNSFLIDGRLKDCVDLLERMQQNGLLDMKEIHHSSFFRALQVMELLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCARAGQVAKAFGVYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMIAESSESKGSRPILPDHVTVGALMKTCIQADQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHARRADAAFEIMKDARAKGLHVGIIAYSSLMGACCNAKDWMKALQLYEEIKSINLTPTVSMMNALITTLCDGDQVLKAVNVLNEMNSLGVHPNEITYSVLFVACERNGEAQLGLDLFQQLKIDGIDINPTIVGSLTGLCLQMFDSDLSLGNIIVNFSSGKPQIDNKWYAPQLSHSLTYEALLAWTSSAIIVYRVAVSNGLVPSSDVLSQVLGCLRLPHDNSLKSNFIENMGISCEISQHPNINSLFEGFGEYDIRAFSILEEAASLGVVASISMKDTRIVIDARRLKIFTAEVPLLP >Dexi4A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:4A:21735013:21737795:1 gene:Dexi4A01G0017820 transcript:Dexi4A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLPLLLLLLLCGAGFGGAVRLGGGGYEDWRLGTATYVKEFQPHPLNDGGGACGYGDLDIFRYGRYTAGLSAALFGRGSACGGCYELRCVNHIRWCLRGSPTVVVTATDFCPANMGAADEAGGWCNFPREHLELSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGSAHFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVAPADWMFAQTFEGKQFVE >Dexi4B01G0022360.1:cds pep primary_assembly:Fonio_CM05836:4B:23985448:23988070:-1 gene:Dexi4B01G0022360 transcript:Dexi4B01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELLHKVQALIEGCLHVYMNQKEAIDAVSQQAKIDPGITELVKQNSCFLPETTPGSAMPNGIMHNGSSSGIINGTPSGDQLLNAGKDLHGLHSGIDASTSLQSDQNAAAVMFGVDNGTNATIKTESGYSSNADFAFCGNNFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSYFLNQIPQSFIFSDLAEDFSQSAEMAPFLTSETNNFSDSTGGDHTG >Dexi8A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:8A:3870997:3872125:1 gene:Dexi8A01G0004420 transcript:Dexi8A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHRRLIDGLRLFKCRRSTHLIPERCGHPRGPSNSPSCPSTCPSNDSSSITTTSSRTTAESHTSIGHRLRVSFDLVAPPAISLLRYTCTETTPEDEFSDHDVIAAHGDTVVAAQPGGRRCPGWQFVVARIKVLAEHNGGHGMANLCVLRPGSSQWEHKRLVPIAHEEGDELMEPLSGPDMALPVGDRFLCWEYCPKLRHVPLPVLSYDPDCYTNDLPPLTDSQSMGVAGDSAVRFVAIEPRCCCGGFGRCSCPKSRHAFTVTTWTLTLTMDEPIAWVKDTVMDCEELWALPGYEGIPRLHLQSPIVSLDKLDIIWFRVDTRRKDIVATVLQSTIGPWRSYNPYCLRQVKLQ >Dexi9B01G0022040.1:cds pep primary_assembly:Fonio_CM05836:9B:16687062:16687291:-1 gene:Dexi9B01G0022040 transcript:Dexi9B01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFLATPALLRPRAASPPAACGGCCCHRCSAPRGGLIPGPSARAPSRRRSPSCAHPKCGTI >Dexi6A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:6A:641792:642033:1 gene:Dexi6A01G0000780 transcript:Dexi6A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKMFSKRHVSVSLTCIGASKFCLVECVKAKKAEKRAKYNGCVIHLTVFGLKYNHKGELQIKDQSSRSFQVSL >Dexi9B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:9B:6101949:6103451:1 gene:Dexi9B01G0009910 transcript:Dexi9B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDFASGDAAAWRAALAAYDRKLEALDKPDLVDVDSFYRHDLPSLLRGRDPDPFIAKPELVRLLQWKLSRGKWRPRLMDFVKGLDDAVVESASRKAFAALPDLKGAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFADKLQAKAKELSVGEESFTPSDVERALWSSVIGSKSPGSGNLKSESKMRGKRKR >Dexi2A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:2A:27734603:27738087:1 gene:Dexi2A01G0016160 transcript:Dexi2A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPSSSGSCPIDALKLNVCANTLNLLKLNLPVGNDQCCPLLQGLVDLDAAICLCTAIKANVLGININADIDLSILLNHCGKTCPEDFKCVVLSCEPSCPTPTPPVAPSPLAMPTPPSSSGSCPIDALKLNVCANVLNFLKLNLPVGNDQCCPLLQGLVDLDAAICLCTAIKANVLGINLNADIDLSILLNHCGKTCPEDFKCPSN >Dexi3B01G0029980.1:cds pep primary_assembly:Fonio_CM05836:3B:29296105:29298377:1 gene:Dexi3B01G0029980 transcript:Dexi3B01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLKSSLLLPSPISDFSGAAVSVSAQKRRKSWQPRGARMQVSAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVQHLKGDRQDFDFVKTSLAAKSFDVVYDINGREAVEVEPIIDALPNLEQYIYCSSAGVYLKSDLLPHCEQNDAVDPKSRHKGKLETESLLTSRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGAGNQITQLGHVKDLAKAFNVVLGNPKASQQIFNISGAKYVTFDGLARACAKAGGFPEPELVHYNPKDFDFGKKKAFPFRDQHFFASIEKATRELGWTPEFDLVGGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLATV >Dexi5A01G0030880.1:cds pep primary_assembly:Fonio_CM05836:5A:33743235:33743843:-1 gene:Dexi5A01G0030880 transcript:Dexi5A01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGRSMSWYMGPPESPAPGIAEEAAQHALSSSSPGPGGTSDGSFDTNMVIILAALLFALLFALGLNSLARCLIRWARRAASERDAAGGAGGGGGLKRRALRSIPVEVYGADGAPAVAADVCAICLGEFADGDKVRVLPRCAHGFHVRCVDTWLLSHDSCPTCRGTVLDAVAASGNAKAGGGGGSRRQGSSDAAAIAVVIG >Dexi3B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:3B:8727271:8740522:1 gene:Dexi3B01G0012450 transcript:Dexi3B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSARRRVDLGGRSNKERDRKVLLEQTREERRRRQGLRLQNASATKIQVCSPLAPLPVVWLGKFFRGKKALELARSEIRKNFYSTFGEHCERIDWNSFGTGSDFLSQFLFFFNANEDNDIALLCQVCHLLLEYGKNGGDIVALFVGVNDSSIPPLVAHRVKKLALICVQAVYQKRQVQLHEAGFWILHDWGKQLLTKSGSTSVPSVSLLETVACLINPKLPWNCKVVGYLQRRKIYSLFRGIIVSVPQKDQNFGHFDSASALEQVLILVASHVGRHPCSCPIVDPRWSFSSQLLSIPFLWHRLPQLKKAADIIAVSTSLLDTLPAVASPTERADDDDEMPMDVDVKIGLDADLERQITTAIDSKLLQHLVNALFRGTLSTNHSDLSGPSDAEVDAVGSICAFLHVTFNTFPLERIMTVLAYRTEIVPALWNFIRRCHENRSWPYFSKFASSLPAEAPGWLLPMSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKQLQDWNSRLPFTSASDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTSRVKIFTSQLASSRQSASHSAFTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVSFINEHGEEEAGIDGGGIFKDFMENITRAAFDVQYGLFKAMYEGILVDLPFATFFLSKLKQKYNFLNDLPSLDPELYRHLLFLKHYNGDISKLELYFVIVNNEYGEQCEEELLPGGRDMRVTNDNVITFIHLVANHRLNYQIRAQSTHFLRGFQQLIPKDWIDMFNEHEIQVLISGSLESLDIDDLRSNTNYSAGYHPDHEVIEMFWEVLKSFSSDNQKKFLKAGGPGMEEHADRLPTSATCMNLLKLPPYKSKEQLQTKLLYAISSEAGFDLS >Dexi9A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:9A:11214000:11219210:-1 gene:Dexi9A01G0016270 transcript:Dexi9A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAGHARPGPLPRIPFVPGSARAPSPGGGVPWPRSGLGTALRRPKSPHSRRSLCFGPLAAGDLSKRAHREKLRVDVLVSKSTLQSEYGVSLQSEYVAPEDVKAAGFQISADELASIVESRDTRKLTVHGDLGGIADKLATSLTDGINTSESSLNQRQGVYGENKFTESEARSLWEFVWEALQDATLLILIACALVSLVVGIATEGWPKGAHDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIFVQVTRNGLRQRMMIDDLLPGDVVHLVVGDQVPADGLFISGYSVLINESSLTGESEPVVVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNGVANIIGNIGLFFAFLTFVVLSQGLVSQKYLDGLLLSWSGDDVLEILEHFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMSVVKACACGNTMEVNNPPAPSNISSELSETALEILLESIFNNTAGEVVMDQDGRPQILGTPTEVALLDFALLIGGDFKEKRQQTKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGSIVYLDKTTTKKLNSITETFSKEALRTLCLAYRELEDDFSLDEQIPLEGYTCIGIVGIKDPVRPGVRQSVETCRSAGIEVQMITGDNINTAKAIARECGILTEDGLAVEGPEFREKNPKELFELIPKMQVLARSSPLDKHTLVKHLRTKFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKSPVGRTGKFITKVFNEISSRDMEEINVLKGLPDNSIFMGILVGTIIFQFIIVQFLGDFADTTPLTQLQWLVSVLFGLLGMPIAAAIKLIPMEPHEGN >Dexi9B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:9B:3868644:3871242:-1 gene:Dexi9B01G0006430 transcript:Dexi9B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVRQAAQALRARQMAQLGPAASAMQGHLRTYMNAGTPKRFKEDEEKEQLAKEIAKDWNAVFERSINTLFLTEMVRGLSLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYESGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Dexi3B01G0032030.1:cds pep primary_assembly:Fonio_CM05836:3B:34324517:34325705:-1 gene:Dexi3B01G0032030 transcript:Dexi3B01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVAEGGFHRGSSAPESRRSSSALPEELGRGELVRMGMVACCVAAASASSVRAALYPSAEMPVAVEDRGGAVTLMLASLFLLGNWTALLTLLERRGRLLLHTYLH >Dexi9A01G0031240.1:cds pep primary_assembly:Fonio_CM05836:9A:36129958:36130644:-1 gene:Dexi9A01G0031240 transcript:Dexi9A01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPATRNPAASLTDDVLVEILRRLPVRSVCRFKCVSRSWRNLISDPAHRKKLPQTLAGFFYMSWNSERFPEVGATSATSPEKARPVSSHFHVVEYVLDEGQFVTGVEIYSSKAGAWSFKGSEWGSDGNVL >Dexi4A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:4A:17495846:17497420:-1 gene:Dexi4A01G0014970 transcript:Dexi4A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSRASAMALLLCALTSASFHVAVAAPYPAKDDFLSCLTRSVPPKLLFAKSSPAYGSLWSSTVRNIKFLSDKTVRPLYIITPMEPCHVQSTVACGRRHGMRLRVRSGGHDYEGLSYRSDKPEPFAVVDLSKMRQVRIDGKQATAWVDSGAQLGEIYYAIHRGVQDGAIDLVANYASGKVWGEKYFKGNFERLARIKAKVDPEDYFRNEQSIPPLLKY >Dexi7B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:7B:14405932:14409584:1 gene:Dexi7B01G0006680 transcript:Dexi7B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLHNKMEIYRPHKAYPIELAQFHSADYVEFLHRITPETKDLYASELTRYNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTSDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDIKDRGEREGKYYAINIPLKDGIDDASFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNDYIEYFAPDYTLKVANLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDEDELDADERVDRK >Dexi9A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:9A:3777855:3779398:1 gene:Dexi9A01G0006650 transcript:Dexi9A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGASSAWGPSPALVTALVALLGLGLAAYIVGPQLYWHAAEALTAAGACPACDCNCDARPLLDLPEDCAKQFKGVKSRASGEETEKSFTELLIEELKQREEEAAQAQQEADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAEALVQQKKLTSLWEQRARELGWRPENTKAHLK >Dexi1B01G0031030.1:cds pep primary_assembly:Fonio_CM05836:1B:34784891:34785711:1 gene:Dexi1B01G0031030 transcript:Dexi1B01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYSNLMDFMSLYATETTVRQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >Dexi5B01G0026920.1:cds pep primary_assembly:Fonio_CM05836:5B:28576762:28585743:-1 gene:Dexi5B01G0026920 transcript:Dexi5B01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAEPPPAVEVEEAMPTTPDIALDSEGCQDVEAIKGEEDGGAPYGEVGKLGESLKPHGDGVVADEHMGIAEDPNLPDAKSDEPEDADAKSDEPEDAGAEVQADDVASAVDVLKEGVGVAVASSTLVDAPTEVGMQTNEATAVDDFTEVGAALVTSTSMDDPTEMGSSLVIDDCNIVSTGGVHRPDEQPDKVAGADSLDADMAAPLVNDVQDDSASTDKDVAASDDVAQGHETPLMDVSTALLNEVDTESVKARDHVAEDTNMDTQVQTGNDNEAEGVSTIAATTRDNSEKHNGAVGIDAFGQGIETERDGLTGDGEQKEIASADEDHVEEEGVQMDALNITGDMDKEGRIVVDNIADEAVDGMAVPEEKSAQMDEAGDDTPEEEDAQMGGLGLTGNDNEQEEAVVADHDGLEENAMLIDAAATTNDDDEDDGIVGEDVAEAATGTVGDDAPEEDAAPMDSDDDDDEPPPLVARKGGRHRKRGRPSSKAQAAVKVSIKKKDEEEVCFICFDGGDLVICDRRGCPKAYHPSCVNRDDDFFKSKGRWNCVEEISAAKSQKSHELPDTNDEEAISESSSGRHLENNTPKKRGRKRLKEAAIEDGSEGKESTRKSTKPGLSSIRDAQTSPGKKVSPSADENHGGVVDPDPSQDADGNSEASVVMSSEKRRKSRKYDQKRQPNLDDYAAIDNHNIGLMYLRRNLMEELIGDVETFDEKVVGSFVRIRIPGTGKAAEKYKSGKKTTDITLEILNLDKREAVTIDIISNQEFTEEECKRLRQSIKYGFIPRLTVGEVQEKARVLQTLKVNDWIESEKMRLGHLRDRAIFTLRECVEKLKLLSTPEERARRLNEEPEIHADPAMDPDYESPEEQEQETERNSFNKSRGSFLRKDVLLSLNVSQTNSIVVVSPADTRHQMSTPSAAPVQPVVTAIAGSDTQSSGWAISAQGANTSVQSQVAGNMTWGPAPQGDASMGWGMMGQSNMNMPWVASAQGASGYNMGVTMPTQPSAVPSVGWVPNPGNTSMNMIWAATQGQGTPNAAAMMGGQMQGVAMANWGGVAAGNANTYPGWGTQQIGNMNQNVSWSAPVQGTPGQANNNMNWNASNGNPDWNNQQRDNGGRHSGHRDSGGRWKSRSGGDGGSRGHRPPGVCWSYVNSGHCWKVDCRYTHPPNTDGYSSRNDRQFDRQHSGNERRFDNHNERNDRQFDRQPPDSERPDDRHNSRDDDRHDDQQADRSQSRERR >Dexi5A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:5A:8493446:8494414:-1 gene:Dexi5A01G0011330 transcript:Dexi5A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGKSSTPSTSLFKILKDGALLPARNQSLFMAVFALTIAYTLLRRLVNDLGVSTDELLRDYMAFSNRTDASPDEVHEFLRDVVKDTWRLFWPGARGAQRLLDITVGNAVWIVSLFAAVATYAGETCSFGTLLGKARAQLKGAALTIAFTWGLQVAYIVLLLSAMAALLIVDRLFKNVPTGPLLLGWLLLIAAAVFLKYFAFVCELGIVVAVAEPGRHSASAIGRAWRLLRGRRMRAVLFIAVTSTLAFVCNRAYGLARTRAVSCEASLMVLRFVYVVVMDAVELFVVCAITAFYYECKARNDAATATEFVKLASEELLSA >Dexi4B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:4B:8523699:8524046:-1 gene:Dexi4B01G0011090 transcript:Dexi4B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAPTDASSPPAPKPQPEPARKAVRVVVKGRVTGVGFRDWTASTAESLGLAGWVRNRRDGSVEALLSGDPAKIEDMITRRFPVGPPAATVTAVVPSPAEPVDPSAGFEIKFTV >Dexi7A01G0022920.1:cds pep primary_assembly:Fonio_CM05836:7A:31053631:31054318:1 gene:Dexi7A01G0022920 transcript:Dexi7A01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCCECNNILYPKEDRANKEVSDSNCVYRNVVDHTAGELTQVLFDDVASDPTLPRTKSVRCASCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >Dexi8B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:8B:23040255:23043689:1 gene:Dexi8B01G0013170 transcript:Dexi8B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILACKLRSLRLHSALLPSSPSPSRSVPASRRFRRRRHAPLHCSLTTSSSPSTSTTTTTDEEAKSQDLSSLLSSSSVPSAAGAGNKKKRSGGSSGASSIPSGVRLEGISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEADGGTVVKAKENMKIAFLSQEFEVSASRTVREEFFSAFEEEMEVKRRLERVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLDMVDVKVQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKAIAVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAIKNLQFGFEDKTLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVLLGDHNVLPNYFEQNQAEALDLEKTVLDTVADAAEDWKLDDIKGLLGRCNFRDDMLDRKVKFLSGGEKARLAFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFIKQIVNRVIEVKDQTIQDYKGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKAARKKQKVQAFQQSKQKSKSLKNAKRWN >Dexi5B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:5B:9839452:9840034:1 gene:Dexi5B01G0013640 transcript:Dexi5B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMKKYSVSGYPTIKVLRNRGNTMQEYLWERDAESIAKNLKKQAGPSSTEIKSAEDAASSIADSEYENFTAMAKKLRAYSDFIHTKDASILPRGF >Dexi7A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:7A:20484117:20485469:-1 gene:Dexi7A01G0009480 transcript:Dexi7A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQLSISTHGVGMKPLFGCAAKLSTNENARVEELNLQSDQAKEFVQPEGHAIPQKRSAKIHDFCLGIPFGGLLFSMGLLGYIFSRSTISLVLGVAPGFATLLLGTLSLKCKHCLPAYPTSVFAAISAFLAWKYSHAYFLTNRLLPWGFYASLSTAMVCFYGYVLLAGGNPPPKKLAAIPPQ >Dexi9A01G0022250.1:cds pep primary_assembly:Fonio_CM05836:9A:17306679:17310378:1 gene:Dexi9A01G0022250 transcript:Dexi9A01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASEREARRTQRLAAAGAMGQEAVAAANGTASRPHAVVMPYPLQGHVIPAVHLALRLAERGFTVTFVNTESVHHQTSRAAAAVDASSGGDIFAGVARASPGLDLRYEVVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRVVVDPPTTCLVIDTFFVWPATLARKLGVPYVSFWTEPALIFNLYYHMDLLAKHGHFKCKEPRKDTITYIPGVPSIEPNELMSYLQETDTTSVVHRIIFKAFDEARCADYVLCNTVEELEPSTIAALRADKPFYAVGPIFPAGFSRSAVATSMWAESDCSRWLSSQPPSSVLYISFGSYAHVTKQELHEIAAGVLAAGVRFLWVLRPDVVSSDDPDPLPEGFAAAAPGRGLVVPWCCQVEVLSHPAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQITNRRLVVREWRAGVSVGDRGAVLADEVRARIEAVMGGGEEGVGVREQVRKLRGTLEAAVAPGGSSRRNFDEFVDVLKRRCGGGQ >Dexi8A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:8A:7602386:7605060:1 gene:Dexi8A01G0007020 transcript:Dexi8A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEAKPQVLSLDSKANPCGDDRPPTDPSKDKGKGKTVAKHKPKKPRIASSLSPEWQAVLKDHRLFPRVLHRLSLIGLGGLALRFTLRGPLGFQQLLPALLLLSVHGLPGSDFEMISPAFRAPFTALSELAAQQQFTPEQRTEFAAQDKELRKAKHDAGLEEAGGSGSAPPESEGPSAAPAEPTPASTTSSELSQLTATVRLLAESLIATNARAEQREQHAEEHFQFLFPLPGVPLIGYPTPPQLGQQAPRSFAPPSLPTFNQLRPMAQFSPSRPPLSPSMAVLLLQFGSTPAVTAVMTETTPLTSPGSNQLGCSYTDLLNLDTPQTSQPQPAPSVPDSDIQSDIEAQILEAIRSGIEITPSASDA >Dexi5B01G0037510.1:cds pep primary_assembly:Fonio_CM05836:5B:36977603:36980327:1 gene:Dexi5B01G0037510 transcript:Dexi5B01G0037510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQHISSNGAANGDANSEYAASEKILPKRLQRFDSLHMEAGKIPGGSTHAAKAEDAMVSRYKLESPTNRIKRAQWIKNKMENSPKFKVMLFLVTVLATSMVIGDGVLTPCISGTEAMFADLGHFNIRAVQVLYIGQHLWWL >Dexi7A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:7A:21729193:21730118:-1 gene:Dexi7A01G0011100 transcript:Dexi7A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPATTLTVLALGLALLCAAVGPAAAQNCGCQPNLCCSKFGYCGLGDPYCGDGCRSGPCYSGGGSGGGDVASIVTDAFFNGIISQAGAGCEGRNFYTRAAFLNAVGSYPGFATGGSSDDSKREIAAFFANAAHETGHFCYISEIDKSDSHCDPSFTQWPCVAGQKYYGRGPLQISWNFNYGPAGQSIGFDGLGNPDAVAQDATIAFKTALWFWMNNVHNVMPQGFGATIRTINGALECNGNNPAEMNDRVANYQQYCQQLGVDPGSNLTC >Dexi4A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:4A:21717568:21717864:-1 gene:Dexi4A01G0017800 transcript:Dexi4A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSPASSSEVGVGSRGWRPAARLPPDAAALAGAAASQSPDRLASSPLPNQSRQVTRGYPPLLLHPCVRLDTFPSVAALYSAGSMRLSLSMPCCNY >Dexi7A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:7A:22279886:22288238:1 gene:Dexi7A01G0011940 transcript:Dexi7A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAMPPADQHTAHSILGLLLLSVLIIATPSTCAATDTVSVVHPLVGGDKIVSSNSKFALGFFHTTGGNNTTSTTVSVLKWYLGVWFHTVPKQTPAWVANRGNPIVDGASSSELMIWEDGNLVIFNHANQSVVWSSQVNTTTNNTVAVLLSSGNVFWESFEHMTDTFLPGGKMGGNKVTGLVYGLVSNKNSIDLAPGVYSAQPSSELTNKQMLLSWNSSVTYWSSGSWNGQYLGNMPQMSGGALFTYEVVSNDKEHYFTYRLKNDTLITRYVLDVSGQAMNMIWSDSSEDWLTFYAEPEAQCDVYAPRCFRKFFLVNWIKRDGNGNGNTVIVDAASAGLSQRRLDELQTRKRECPSPLPTLDHRYLSTGRADFHPLSTVLMPTLPTLVDHGQENTAARGRPRLEPSLTNHPNHHIACAHMNLPQLARKSICLRSHCLTLLVYFVVVDLSRIHGRYALSWEERRRPGSWDRACPRLERRLSPVQRLDKSGGLNATGSFISGTFLEMKRPQWSHPSIALPLLVALFLLLTFRCSLLHGQAPFLRVADAPADDVDDATLAELSAVDPAASAVLRAAEALLAGNLTRSPPELRDAALRGLRGWLERQRFDPGVMSELVDLVKRPIDGGRPRPYASCAVVGNSGILLAREHGALIDGHDLVVRLNNAPAGEGRFARHVGAKTGLAFVNSNVLSRCAAPRTGGCRYCHPYGERVPILTYMCNAAHFVEHAACSTGEGAPVIVTDPRLDVLCARIVKYYSLRRFARETGRPATEWGTRHEEGMFHYSSGMQAVVAALGVCGKVSVFGFGKEPGARHHYHTLQRGELDLHDYEAEYEFYRDLEARPEAIPFLRDSGFRLPPVVVYRQLPLWVCPGPCR >Dexi9B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:9B:1474336:1474611:1 gene:Dexi9B01G0002570 transcript:Dexi9B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRRSIAMLPRAEYGTVGRRPWCGGAAAVLFACACSADAMRWNSPSAHATAASEARKAGRTGLVAMMAVGKAGRHVALLRLLALSCWLS >Dexi8A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:8A:19378594:19380017:-1 gene:Dexi8A01G0010990 transcript:Dexi8A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAIPESPHGEHVTVMVFVRHGETEWNASGTVQGRLDHELNERGRQQSAKMDYYCFFQLVIDQRLTERDMGMFQGMNKHDATKTDAYKAYSSNNDRNEHLPGGGESLNQLSERCVSCLNEIADKHMGERVVVVSHEEVIQEICRLADPTSSDRRKIPNTSITIVHISGSDGQWTLEKVGDISHLAEDSFPEHASVMNQETD >Dexi9B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:9B:877735:879704:1 gene:Dexi9B01G0001510 transcript:Dexi9B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKMPSAPGSGLVKAAVFGGAGLYAIFNSFYNVEGGHRAIVFNRIEGIKDKVYPEGTHLMISWIERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPDKLPRIYRDLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERANNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQASYPFLYKQYPCFLCIFGEAKSAELIGQAIANNPAFLALRQIEAAREIAHTIASSNNKVFLDSKDLLLGLQQLNVGGKQKK >Dexi4A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:4A:19515505:19516377:1 gene:Dexi4A01G0016040 transcript:Dexi4A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRLPDRTKNVKVPGVVKARIFNALISNNGLLTDPKTFLCQILEEEDGIGISNNNFLWACESEGISDLLLTWHIATSILEMRQGQQGQQHRPYLSDDVIVATHLSRYCAYLVAYVPELLLPDNDEWCRSLYRAVKKDCTRVLDARAASAPTDEATSNTRYNKLLSLLQEPESKPHVVLMNGVKLGNQLVQLRQGEAAAWRFLAGFWSGMILYIAPSDNLKGHAEAIARGGELITLVWALLMNVGIASRPSSAEGSSATADGV >Dexi7B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:7B:21487947:21491998:1 gene:Dexi7B01G0015450 transcript:Dexi7B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPRRSNNTKYYEVLGVSSSASQDELKKAYRKAAIKSHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDGLKEGMSGRGGFHNPFDIFEQFFGGGSFGGNQRNSLNIITNVKQSKVNRTWLVLVGDEGALAATADDARCCGGLGVEVVGLDLEACDEATVDAAVDRAWRCFHGLDAFVNCYSYEDIEQISLK >Dexi5A01G0030760.1:cds pep primary_assembly:Fonio_CM05836:5A:33610026:33619925:-1 gene:Dexi5A01G0030760 transcript:Dexi5A01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWVHHRGTSKVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVMPLSEKVKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEASGSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLARTEDLRNTGSIPKSLLPDYQRLLNSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASSLEFGSAAAPALTVLLKMGSWLPADQFSIKVLPTIVKLFASNDRAIRACLLQHIDQFGESMSAQTVDEQVFPHVATGFSDTTVSIRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQLTTGDNMVTESTGVQLKPGNAGLLGWAMSSITQKGKPADHGSISAVNASNSQVSATAAATPGTQASSVGYAPSTSSSLDQAAPASARSSVDGWGELEDGNVHEENGSDKEGWDDIDTFDDKPSPSLLSNIQAAQKRPVVQPKPAVKAPKSEDDPLWGPIAAAPPKSASKPADIKPSTSHNDDDDLWGAIAAPPPKSSGKPLKAAPAAANSDDLWGAIAAPPPATKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >Dexi3B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:3B:11931358:11933324:-1 gene:Dexi3B01G0016370 transcript:Dexi3B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSVTSRLRRACQSGISATQTAGSSRDCVNPRLRSHASMHGRLCVYSGFFFSGSPSSHCASDGSAAASATTHAPLFGRPSARDAGAATPLGRTEGRAGETRARARSELERAMDMDDRRCWLLLLLLTGPARKHWKSSPTTTHTATPSGSQARESSH >Dexi5A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:5A:24587944:24589063:1 gene:Dexi5A01G0020760 transcript:Dexi5A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRFRRRRTRRAVSTITATAATAPVTPRGGGGGGCFAPSVFGEEEDEGPFFDLDLSFCSAPASSAGSQAAESCSESDDYTTSTAAASNRGDLDFVISLQRSRSTSPSYEERLFFRGSATAAPAPPPASLLFCASEPSDAASRARCSSSASRRGARLQLRTLSFGSAKAAFSGGRASFSRSTSSSARSARLFAGGYGSPDILHDEASSRARRSPSGDVFRRYLSKISSRLRRVAVAPAMAADLGRLQKSRSASSAAQVPSAAAAQAQSPQAARHDDSLVEKQDGIASAIAHCKESLHRASVSELDTSLLRSRSDPGP >Dexi1A01G0022010.1:cds pep primary_assembly:Fonio_CM05836:1A:28739755:28744995:1 gene:Dexi1A01G0022010 transcript:Dexi1A01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAETLASFPIASPSRSFLRPLPRRPAAAGGGARSIRISAVPPRGLGVALVHRRVRRCPPAARANVERNGDEAGASGNGEPSSPGDGDRDAATEPGGDSTGTSTTSAAATPPPQPSSKRGENKWRRKVIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYLSVPYSDFLASIDKDQVRKVEVDGVHIMFLLRPEVEARAVEQIPAQRGTDAAIDNAGGSRRIVFTTTRPVDIKTPYEKMVENMVEFGSPDKRSGGMLNSALVGLIYVVLIAVVLQRLPISFSQQSPGQLRSRKNSNSNGAKVSESTDIVTFSDVAGVDEAKEELEEIVEFLRNPERYLRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLAGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKALLQSALEVALSVIRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGQQEQVLQLELEAGS >Dexi2A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:2A:26262987:26263830:-1 gene:Dexi2A01G0015350 transcript:Dexi2A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNANKEWLQAKVSLNNALFMDGMGTSPSPRPSDFFSVGECEGQKTIDGEQMPLVLTPSSTTAGGKDCTSSHEALVAALRANREWLQGKVVANSAVLLRGFDVRDAVEFDAVVEAMGWPDIRYVGPAPRSHVHGRIWTANEGPLEQFVYFHHEMVLIKEFPEKVILFCEVPPPEGGETPFVPSFRVTERALEEFPEMVEELDAKGLRYTLTALSKNDTKSMRGRGWEDAFGTSDKTEAEKR >Dexi3B01G0037230.1:cds pep primary_assembly:Fonio_CM05836:3B:40036190:40038684:1 gene:Dexi3B01G0037230 transcript:Dexi3B01G0037230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVALAAAASSSHPPASAAPTMAKEESKKEKKSKKAAAAKETAAPPARAAVVASVAAFLEAGGFARTLAALRSEADLEEGAWRSSPVNLEELVAKFLDSSNPTPVAVADENNEQDKTTGDVAEEAGKKKKVKKTDEEAGESEKNVSEPPAQEKPSENAGGEVKEKKQKKNKKKDDSSAAIVGSDEATETVKDDDQKPDGKKKKKSKKQEKDDDVEVRLEKVELAITKKFEAAEKLNGDDDKSGQEEPKVQDDDDKKEKKKKKDKSATEADDAKGKSSAVDTVKDYNKKKEKKKRKKSDPEENVQVEGKEVAGKDSVPKPEDENKSGMEIEEGDNGKPSNENAVTGKKRKLEEVKGSNTTEEDNTVNNQSLTNGFAEKTGQDNNIKPSKRQKHSSEPKTVNAFQRVKLENVKFADERLQDNSYWAKGGADTGYGAKAQEILGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFENSDDE >Dexi6A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:6A:4637349:4637930:1 gene:Dexi6A01G0005070 transcript:Dexi6A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWGGSGGWSESRGPSPVVPLLVVMALGWVICQETLTGWYEQVTDVEETMTSNALLLVLGAGVLLLAVAVAGSRTEVVLVPLALLVVMFLIQNIMLAALLIVVAAYIAGIYYYRPDRGYGGGGFAGEWGSGGGAGLGFYILLLLCMVLCAMFSDGAGSWWIPAALLVGCVLCFNLFSGGLFSGGKVWGYEYY >DexiUA01G0016890.1:cds pep primary_assembly:Fonio_CM05836:UA:36059427:36060697:1 gene:DexiUA01G0016890 transcript:DexiUA01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARASSRATNERRRHRKNKKKTAAGAEPPITGPTSIHDVHPDLLKLILLRLDSSLWLIRAASVCKLWRSTIAGGDFLRLSGDLHPPAIAGHYHLMMTDPTVFVPSSSPAVLRAGRFRSFGFLPPGKTRWEVVDCHGGLVLLRDPYFMHDLVVCNPLTKLHQGILNPRGKVFYMFVHHGEEPQACVFSMGSEHGDWRVVDTLGKDVGDFKGAHLAGRLDDGRLCMGVRNGRVMVLDNVSLEFTQLDLPNQKEMSVRPKGPSSFRIVHSSSGDGVAAKATRIVHVDGYEIEVFRQERSGGGWMLEHRLSEMANRRLPGHPASCEGAQALDWIERAVADGAGFVVLSVQRLGRNVLVTVDVETMKMELLTEETYYGSTCPYTLPWPPLVQACVGKSRRRRR >Dexi8A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:8A:29301511:29301825:1 gene:Dexi8A01G0017630 transcript:Dexi8A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGHGDEDKEDIYQRLSRKVDMISDGIDEQSRLLKQLKAQIIENKKNAGVIDLTPSVH >Dexi4B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:4B:19134251:19136271:-1 gene:Dexi4B01G0016990 transcript:Dexi4B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRKVFSKSPCSSASGGRAHSEKGSTSDHRRRWSSLRLYLCGEEINTAPDEDDDETVSVKSFETCVMPQEAHVQVAEPSDVHSVDADDSSGEPEDHRVPGEHNHVVVPTEPVEKEQGAATLIQSAFRGFMARKQLQELIRKRQEMNGGADEPRSPTSASVATSVVVQVGESVSNLRLSEDSASVQQRGSQKSRPPPAAFRVKEEWDDSTVSSSVSRMRIQSRIEATTRRERALAYAFSQQLRSCGGTNKKRSARPDQAEFNVGWSWLERWMATRQAEPSVAADDCLSRNADTGSVMAGRRVVVVRRRSDLAVEEKESCGSNDVSVVSFDGSSLGGRSGLSCHKPGRSRLKGGRSLPRRKVASSDHRLQARSHKVSKKGHKREEQAAPLYKDQAVADGYDAACQPPTDY >Dexi8B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:8B:25308460:25317162:1 gene:Dexi8B01G0014680 transcript:Dexi8B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASIIVFLSAMACSVGAAVVEHTFVVSQVNMTHLCKETLVTLVNGQLPGPAIEVTEGSSVAVLLVNKSPYNLTIHWHGVKQWQNCWADGVPMITQRPILPNHNFTYRFDVSGQEGTLWWHAHIPFLRATVHGALIIRPRPGASSYPFAKPYREVPIIIGDWWQLDLQQVERKMKLGFFDYFASASTINGKLGDLFNCSGVAEDSFMLDVVPGKTYLLRVINAGLFSEFYLKIAGHKFTVVAADANYVNPYTTDVIAIAPGETVDALVVADAPPGSYYMVALPNQAPLPDTQTPEYATRGIVKYKNYHNSAIDVPVVPVMPDHHDTITSFYFRRNLTSLHHPTVPQRVDESLFIVLGLGSICRHGQQSCKRGANNDTILVATMNNVSFQYPMVTKPLLEAHYYHTGGNDDMQQLPDGPPRMFNFTDQALIPFGPKEMELEPSSKATVVRRFRYGAVVDMVFQSTAVLQGDSNPMHLHGHDMILLAEGLGNFDAEKDAARYNLVNPPLKNTILVPNLGWAAIRFVANNPAVNSYAIYDYSGHEDGGHVETSSGRAKADLSMMQTMRSSSVLMAITIAVIFFLSAATLPVTATVAVKEHTFVVSQMNVTHMCKETLVTVVNGQLPGPVIDVTEGDSVVVHVINKSPSNITIHWHGLRQWLNCWADGVPMITQHPVLPNHNFTYRLNATGQEGTLWWHAHVAGLRATLHGAFIIWPRNGASSYPFPKPHKEIPIIIGDWWQMDVALLEKHFKHEIVDDLPVAATINGKLGDHYNSCSGAVEDGFVLDVKPGETYLLRIINAVLFSEYYFKIAGHKFTVVGADANYVNPYTTDLIAIAPGETVDALVVADAPQGTYYMVALPNQSPLPDPQIPVFTTRGMIRYKHDDLDHLGVGEEQALMPEMPDQHDTMTGFYFRGNLTGLRHPRQPHVPLQVDEHMFITLGLGSFCPHGKSCGDNWKGKSVGGASMNNVSFELPTAMAVPLLDAHYYHCNSVELHTLPDMPPRVFNYTDPALAIWWESKAASLERTTRATVVRRFRQGAVVEVVFQSTSLLQSDANPMHLHGHDMFVLAQGHGNFDAARDKRRYNLVNPQKRNTVMVPRVGWVAIRFVADNPGVWFMHCHYEFHLMMGMAAVFIVEDGPTALPRPPDNSHPNCSHANNAMTNELYMRDDKGMFAA >Dexi7A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:7A:16961640:16962047:-1 gene:Dexi7A01G0005730 transcript:Dexi7A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGGNGEERAPPGTSRRVALGSSNGHGVGCYGDGDRDDATQQGRRHRRQLVRRLRQRRRDRKRRLERDNSSGCYDVDGLAGRTTVMEGGGGYLVALGAWGKAWRRTGGRRRARWRVGDEREGHSFKDGSWFSF >Dexi3A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:3A:10823882:10824298:1 gene:Dexi3A01G0014760 transcript:Dexi3A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGVQASSSRLLLAPAEAAAAVRRRRQRSRMPVALAQRRLGARGRRGAARLTVEQRRSRQREPPTRRAGGAGEGIWGLEQRSSSRRRADSSSRRRAEIESEQGARVDASEPSGANEKGDANRATEKDREITVGKKE >Dexi9A01G0037560.1:cds pep primary_assembly:Fonio_CM05836:9A:41825736:41830463:1 gene:Dexi9A01G0037560 transcript:Dexi9A01G0037560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATNGANSLSYASAVGAGGPLPDSVLLPFDDDGFTNEDLLAADAALLGAGAAADHTLLLVPSCPGANGCGGGGGSGSAAEGLGVLAAPASRGFGVADAGSFSLVRQPTPAPAPVSWKVETTAAVAVGGGDSSLARSPGPAPAPPSPALPLVHSTGRRTSIYRGVTRHRWTGRYEAHLWDSTCRKEGQKRKGRQGGYDKEDKAARAYDLAALKYWGPNATTNLPVSARENYIREIQVMQNMRRQDVVCSLRRGSSGFSRGASVYRGVTRHHQNGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAALKFRGENAVTNFEPSRYNLQEIAQHDIPILGAGRRPNQKLSPEAKGQVTLSAAPPSFSPSQQSSNSVPPYFLPNIQQPQPLSSQPPLAQPLPIYNYSYTSGFGSGEPSFPFYWHYGNVEQKLQPNSKLEIVNGLLQLANSPAH >Dexi5B01G0031950.1:cds pep primary_assembly:Fonio_CM05836:5B:32604605:32609019:1 gene:Dexi5B01G0031950 transcript:Dexi5B01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTICCFCFSSTTALVSRQFVDMSRSRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCRAAFDLASAFDEAISLGNKENVTVAQVKQYCEMESHEEKLHKLGHTEQNCDRYIIFQLIELIQIDRGKTEKAFAPLRPPIDFSDMKTRGTGLGGDPIFADSFAQKPKGLPSAPTPVTSKADGGMKLGKGKETNQFLESLKAEGELISEDTQLTGIKSRLSSAARSDPVTVAIEEKIRATVKRDGGVHNLDIQGTLALQVLDDTNGFIQLQIENQDLPGLSFKTHPYINKELFNSQQTVTARDPNRPFPSGQNETPLVKWRIQELDESSLPLPVNCWPSVSGNETHVNIEYEASEMFDLNNVVISIPLPALRKAPSIRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFAVPSADPSTFFPISVGFSASSTFSGLKVGCFLFIECIYLFTLHLLKYELSLMVLPPFFR >DexiUA01G0022110.1:cds pep primary_assembly:Fonio_CM05836:UA:45288203:45289430:-1 gene:DexiUA01G0022110 transcript:DexiUA01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERKALGKRGRSRVLPRTPSMVTVASAAKQVRQERGAGVPSSSSLPAGGAGMGAGGGRAAPRGYYSGGFLAGAETTAAFLKACGLCNRRLGPGHDTFIYRGEAAFCSHECREKQIEYDERMEQSCSSASGASGSDQSGSGGDQTVAAA >Dexi2B01G0032380.1:cds pep primary_assembly:Fonio_CM05836:2B:40288991:40290827:1 gene:Dexi2B01G0032380 transcript:Dexi2B01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTNKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRDRRSESLAKRRSKLSSAAKASAATSA >Dexi5A01G0024560.1:cds pep primary_assembly:Fonio_CM05836:5A:28397667:28398237:-1 gene:Dexi5A01G0024560 transcript:Dexi5A01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSALMTTAATLDNTGRVILDNAVTYSSLSGAKVAMDPGLVYDALNYTTEQLRRFAPDMATCTATALPGGPADLNYPSFVVVFDNRTDVRTLTRTVTKVSQNAETYNVTIAAPEMVKVTVTPTTLEFTKHMETRSYIVEFRSLARGNPTAGWDFGHIVWENEDHQVRSPVAFQWKS >Dexi2B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:2B:5381568:5382268:-1 gene:Dexi2B01G0005810 transcript:Dexi2B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRFICSRPSSKPSLPRPLSLFGLSSPAAASASSPFALHLPPRLLLASSAATPSSSASSSTTVAAQNPNPFNLNINLLPWLHELRFPHNFLCQPQRGHRLLRPVLRLRRRRLWFRGRGDFRGASPSCGSSSRPTRRGSDKGAGRRQLFSRPVLGLITKHFSVLYDIEERNTLLSSGAVRLRASHDAKVSCPFSLSGIKMPFRK >Dexi4B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:4B:6620422:6622382:1 gene:Dexi4B01G0009210 transcript:Dexi4B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVAALKEFVVARWPQDKEVVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSGALHQ >Dexi2B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:2B:6928895:6929324:1 gene:Dexi2B01G0006970 transcript:Dexi2B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSRLLFLLLLCSGVQLAAAAAAAASSSGLLRPAEPAAPLVPLVKGMCCRELEAVPAEHRCRALRAMAEETPETAVGRACWVAQAHFAPTVVAQGECGLRTVHGIRFCLALGVDD >Dexi5A01G0024540.1:cds pep primary_assembly:Fonio_CM05836:5A:28390188:28393962:1 gene:Dexi5A01G0024540 transcript:Dexi5A01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLRSTAAAHRREHIGCRCGRQDPRAPLSPASLPEWPPPAADRERRRRGVQIQIRWAGVDEIAPTTMAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDAEVHSPVVYDDAGVTYMFIQHNNVFLLTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEGAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Dexi2A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:2A:31973276:31974715:1 gene:Dexi2A01G0019890 transcript:Dexi2A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDTWNMEEEKPIQPPTYGNLVTILSIDGGGIRGIIPAVVLTFLESELQVKNAKCNTMDALLSDICISTSAAPTYLPAHYFKTEDCHGNTKEFHLIDGGVAANNPVRYLSVIMH >Dexi6B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:6B:21996070:21999591:1 gene:Dexi6B01G0014490 transcript:Dexi6B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFFDNCRGKCYDYCCDGYYVLSLNHRNWESMTTSSSACSASSMSLHCSWLSTLVPDPNPSKILPVLLSPLPLWPRLPSKTLLSLASTQQCTERLACSPPLVVTNPRGLASATKPSLPPTIQARPCYEDCCDTCCVLSLNHRNRDFLFNCPLNVVFVLTLLQTLRPCRCLRRGPSPYTMPLRVSLDEQRFAMISHEGELLRATKSEEVAMLAAGLLPTAADMVGVTGVIHLQAPSLPRTPFPHRHGYRQSDITSIGLKMLRALMDKQLLRCGWVLSLVPSTLRHGRCLPAAPLYHRGALPEPPNQNQFKLFQPPLYYHQRMSGAYPPLLPALLTTASLCSGVGDGCHNSSLDRETLPRAFVDNSSV >Dexi9B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:9B:2394072:2394665:-1 gene:Dexi9B01G0004140 transcript:Dexi9B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQLPIAVTANCKRNSLRQQRILPSPPVRSTRRRGGQAEERLPGFVPCVRRPLSLPCSARRAHEGESDRAGIGGRVGWWVNFMAVRPGWVVGVARGSAAVWQRVACNPEILPPDRVLALLCCAPLHLLARLAAFLCVPFLPGLARAPLRFRRRRAFLVLRPPEHVPRPFTYSSSSSSASSSSSSDENDDDIHEHVD >Dexi9B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:9B:9919858:9920970:1 gene:Dexi9B01G0014820 transcript:Dexi9B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSSLFMQWAMDTLRQHERPMADDRSEATFPSLQALREASQAAEMVQELIAAAAPANSWSSGDTTDGGSSGGGNNSAGAAAMDHDAWPPTPNSARRAPSRSSSVTNPPPVSWNFGAASAMPGGGAEATVLPKRRLPEIVCGGSPAARRAGGVKSAAGSMAAPYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATKYVKELQEKLKDLEAGGKNGRSIETVVVVKRPCLHAASAAAPDDDGGSPMSASSGTPVTRKELPEIEARFSEKSVMVRVNCEDGKGVAVKVLAEVEELRLSIIHANVMPFPAGTLIITITAKASFA >Dexi8B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:8B:11260086:11264698:1 gene:Dexi8B01G0008420 transcript:Dexi8B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLCPSAKGVTLTVNEVDGSCALPADESSSQRNRTKVLTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDDEPAEVECQAATGEALGPSVFIQAKTTATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEPTTVPRPEPSSANRVTEQINNTPPTPEGNVVGETFHVQPSSFDQLHRTNDEEDEIVICLGSTSKNQHPERKRRIIQPSSYFPDFAMTINCPKLHVYPEERRNYECLEFYRSLPEYSRFQAANVNDARIKRGFVGALKLQFHSNSSSSLTCNIGYLKLT >Dexi7A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:7A:24126424:24128698:1 gene:Dexi7A01G0014140 transcript:Dexi7A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEGGEDGKRRGATADEDAADASTSAWAASLNDLCATAAGVGTGAPPPFPRAAAWAVAALLAVGLGVGALVLAVVRSAALLVVAVVLSAAVVAFLLWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGSVSCGDISLISSYEKVENCVYTSTLLRKCSRWGSMIRNPWNQSSKWKLTHAERFAADFYITDAKSGKRALVKAGHYSKVVPLIDENLLVTTSIDTELSSTLKYWLEERNLSSEEAQLIRLEEGYIREGMRLSVIGMLSKKNGDAMILPPPEPLSTRCVLLSCLLPSYFDGIVLRLVDRSYFVPNSGVS >Dexi7A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:7A:28039386:28043125:1 gene:Dexi7A01G0018720 transcript:Dexi7A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEELIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIAGSILEAVDEAGNKERVWVRILAAEHEFREKLWSKFDASTGRTVSVNEKTRIVVPEDRYGLYAIDTLDPDMIIGDETVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRYLKNMLRVGLQLLHHSKLLECPSIYELLANPNFQWKDIPLLQIWRENLDSNGEKSALLESYEPAEAIKMIEKALSSNEIIADGVHIAVPLNLDILKWAKETHDILSSTKLPESVKFYNIYGTDYDTPHTVCYGSKLHPVSNLSNLLYAQGDYVYVDGDGSVPAESAKADGFNAVSRVGVAADHRGIVCNHYVFRIVQHWLHAGEPDPFYNPLNDYVIIPTSYEVEKHHEKCGDLTSVSEDWEIISPSDDKTMRPAELPPMVSTLSASREGKEGTLEEAKATVVVHPENKGWQHVEVRAVGVSHGG >Dexi7B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:7B:4574080:4575740:-1 gene:Dexi7B01G0002480 transcript:Dexi7B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVVTSARYETRRVRKQINVLQALDVAKTQLYHFTTIVIAGMGFFTDAYDLFSISLITDLLGRIYYSDGKLPTDAALALNCIAFVGTVIGQIFFGWLGDRMGRKYIYGVTLKLMVLCSLASGLSFSHKPKDVIATLCFFRFWLGIGIGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGLGNLAAGAVVLIISAKFKNTPAYKADEFGQADYVWRIVLMFGAVPALLTYYWRMKMPETARYTALIAKNLKQAASDMTSVLDIEIPIEKEEMDAIGRQDEFGLFSMEFFHRHGRELLGTTMCWLVLDIVFYSLNLFMKDIFNGIGWFDDGTNMDPLEQTYKIARTQAIITLSGTLPGYFFTVAFIDKLGRIRIQLVGFTMMTIFILGLAGPYNYWHDNKKAHIGFAIMYASIFFFANFGPNSTTFILPTEIFPTRLRSTCHGISGAVGKIGAIIGVVWFVLYGQGRTQKSLLMLAGCNLIGVMFTLALPESKGMSLEDITGEIDEVQEVPIGSPGIDDGAEFIHSVVF >Dexi6B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:6B:10261503:10262786:1 gene:Dexi6B01G0008240 transcript:Dexi6B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIVNTNVTGPIPNAVGNLSSLVHLDLSNNIITGTFPKSLYRCSSLQYLDLSNNAIGGELPDDIGRGLGMNLSTLALYGNEFNGSIPASLSRLRNLRFLGLVGNIPSYLGQMTKLESLFLSFNSLMGTIPPAIWSLKKLQHFVVYMNNLTGDLVVDGFAAMSLNGIDIGENKLTGIIPEVFGRLENLTYFILSDNNFSGDIPPELGKHSPRLSFVELDNNELTGVIPEGLCAGGHLHVLSASNNGLNGSIPIDLANCATLRRLYLANNKLSGWIR >Dexi3B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:3B:12157734:12159322:-1 gene:Dexi3B01G0016680 transcript:Dexi3B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKHEQEADGGKGGGFSSSLPPSEPPHLQGQPPQQYGYGTFQGSGEFRQPPVGFPQPAPPPGFGGGGYHSQQQPYAHAEPYHAHGYQPVPGYGEVVEGRPVRTRRLPCCGLGIGWCLFIAGFFLAAIPWYVGAFIMICVRVHDHREKPGYVACTVAAVLAAIAILLGITKGTHSW >Dexi6B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:6B:24590837:24591507:1 gene:Dexi6B01G0017390 transcript:Dexi6B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRGTAEKSSARKSSRVAGDRRPPSPPARSAWQEAPRRRAGDRDADTAEQKRNGSRTASRVALEARSSHPPSEKKKKKKKKKEGAADRSKEHSPSASMRRGGREIYMLENMLRW >Dexi8B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:8B:22871907:22872655:1 gene:Dexi8B01G0013040 transcript:Dexi8B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSGYEHLTVAVTPGELVVAVVVAGVVDVEVDAVEHGVAEGAGGVAGVGGGDAAAGEVGVPEVGGEGGGGLWCGEGVVAGGGGGPADGEENEDALGLAVLDVVSDAGERVARDVERVGRRRESAAEAGEEGDEDGVVGAGVAGLTEGALASVPAPEHGDVARASGGAGERPHCEHREEDEDALPGRHCGVDKA >Dexi9B01G0025940.1:cds pep primary_assembly:Fonio_CM05836:9B:27565014:27570442:-1 gene:Dexi9B01G0025940 transcript:Dexi9B01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSSCGAHRRMAMPAVALSSGKPMPRIGFGTATATLGQAEGRSGATEAILHALSAGYRHFDTASANLQMEYVDLYLIHFPVEVHPYCRQNKLRAFCTVKGIQLCAYSPLGGKGAVWANNSVMDCPVLKQIACEKGKSVAQVCIRWVFEQGDCVIVKSFNEQRMRENLDIFSWELSDDDKHRISALPESRGTYDFFVHESGPYKTADDFWDGEIVAGQSTGQTAVRSNPTN >Dexi2B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:2B:20532649:20534217:-1 gene:Dexi2B01G0013150 transcript:Dexi2B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQRLKPHLHGPAHPEPESCTLSLSLIFLEAVGPVRSLLLWSPTVVASSPPRADCPPLRHRAPPCAPKQRAPSGELCGGSPAARCCDPLHRVCFRPANKNTGGALRCDVVYLWTEDHLWYHGLISVLCSSYQMKNSRWRPAFALETGGPSNADGQDFDEDSGFLGRTRLGRLIQAAGRELLEKLNSARTNAPTKIFLVLLGFYTANALATILGQTGDWDILVAGLIVAAIEGIGMLMYRKPISRPPGRLQSLILMVNYWKAGVCLGLFVDAFKLGS >Dexi9A01G0034580.1:cds pep primary_assembly:Fonio_CM05836:9A:39354261:39358134:-1 gene:Dexi9A01G0034580 transcript:Dexi9A01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVARWGAMRQTGVSLRYMMEFGARPTERTLLLAAQFLHKELPIRIARRALDLESLPFGLSKKPAILKVRDWYVDSFRDIRSFPEVRNKEDELAFTQMIKMIRVRHTNVVPTIALGVQQLKKDLGGPKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKMSPMTVARIASEDARAICMREYGSAPDVDIYGDPDFTFPYVTPHLHLMVFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDEHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Dexi5B01G0026600.1:cds pep primary_assembly:Fonio_CM05836:5B:28327561:28329107:-1 gene:Dexi5B01G0026600 transcript:Dexi5B01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPKEEEEAAAEAGLGVAVEADHDSPAQRPFQEPAPGKAALPFSATCVRISRDSYPNLRALRNASALDLHEDDAAFVKVEEGDYGYVLDDVPHLTDYLPDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQV >Dexi3A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:3A:5719601:5721622:-1 gene:Dexi3A01G0008160 transcript:Dexi3A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGYTCVKLVAGESLDGELTKAAPLAAVVAAAAIAVADLCRYRY >Dexi9A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:9A:7226624:7228097:-1 gene:Dexi9A01G0011510 transcript:Dexi9A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAGAGAAALGARTARSCDGCMRRRARWHCPADDAFLCQACDASVHSANPLARRHHRVRLPSASCSSSSSPPAARDAGAEDDAPAWLHGLTRRPRTPRSKPAAGGSSSKHVAASSMAMSSAAVPDLEAEEEEESGGSGMVGDNDGYLGLEEEDDEDLLYRVPVFDPMLAELYNPISDEGDQKPACLMISSLAETTTTSPEFVVASGGSVEADGLSAGFDVPNMELASFAADMESLLMGDDGFDDLGFLDDEKPQVNAVDLGMNLHEAMAAPAPEQEDDDKKRKRPEMILKLNYDGVIASWARDGGSPWFHGGERPHLDPYESWSDFPAGSRGLFGGAVTAVTGGDREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRSSLTPLPRPPPQQQQQQQQQQKQPPPRAMMPHVGMVLAPPMGAHGHFHF >Dexi1B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:1B:22932905:22934185:-1 gene:Dexi1B01G0016430 transcript:Dexi1B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIAPFLLPGVAPRAAPVLDVRCPTMATYAEGVPAATWYGSGYEDDHASDIGALLRGIDAVVRPPKPADLPMPSMDFLAQSRRHGDHDAHFRAMLTGIHSIRVPEDGLMASVSTDANDATPTTPVAVLEAPRSNGGNDDAEAITTIKAKSPKKKQQQCGEEYDGDIDAAFRVMETDPMERPSENYLSRTQAGAMMMTDRAGLIEKMHRFSRYYELAPGTLHRAVSFVDRFLSAKKITGGDRHGQLLLLGATAVFAAAKYEDRATSWRINADAVAFYAGSTRLEVLDAERELVATVGYRLGGPTAYTFVDHFMRHSHGDSQAVLVKSLAHHLADMALLDYRCVAFLPSAVAASAIFLARLALGCCYSTAPVAGYVPEDVSECMEAIYEMHENVSVWPGCSQMVATFELTTRLTYSLPPPSLLIGTR >Dexi9B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:9B:5256923:5257998:1 gene:Dexi9B01G0008620 transcript:Dexi9B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKCGVDPVEVWKVEDNEGRTTLSAGENSPPTHGVTVLGTRQVPEVVVLPGHPDLVDPLGNNDFKTAVENLPVYITEDHNIVSAKFEDEIGLALQELKKSQDAEYRVAEERLYSQKGHILSLYRQLESERAQLADPIPLSDNSHYDVILANVLSLVEQAKREEVKFTSMLKVAGGFGKAPERVTKEFFGLPADN >Dexi4A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:4A:17579193:17581405:-1 gene:Dexi4A01G0015030 transcript:Dexi4A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Dexi9A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:9A:3848638:3849891:1 gene:Dexi9A01G0006780 transcript:Dexi9A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQQQQYGDPYRGLVPSPQPDHHLHALQYHHQQQPALMSPPQPQPGLMSPPQPQQQPGLMSPPQPQQQPGLMSPPQPQQHHHASLASHFHLLHLVTRLADAIATGTKDQNFDALVYCREEYEIRH >Dexi5B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:5B:3504026:3507970:1 gene:Dexi5B01G0005290 transcript:Dexi5B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSSSIRRGGRRKDRPGVPTTMAASLVPFLLLLLVSMSSAAMAAKHGGADRRSVRARARARAAFRSRDEWEAYRKIMDRMSPDGDIIHCVPCHRQPAFDHPKLRGQKPEDEPVERPVPKGGGAAEEEEGDVYFRQAWSDGGERCPEGTVPIRRTTAQDVMRSSSARRFGMKKPRASNVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSAKVASAAEFSLSQIWVISGSFGNDLNTIEAGWQTDAYQATGCYNLHCSGFVQTNNRIAMGAAISPTSVYNGRQFDISLLIWKDPHRGNWWLQLGSGPLVGYWPSFLFTHLGGHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDGDNSLVPAAALRLVADHPGCYDIQGGYNRAWGNYFYYGGPGRNVHCP >Dexi9A01G0032960.1:cds pep primary_assembly:Fonio_CM05836:9A:37793983:37797619:-1 gene:Dexi9A01G0032960 transcript:Dexi9A01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRATTRGGGIGAILATGHRDFLVRNSGEQVKISSIEASAVALYFSASWCPPCRRFTPKFIEVYEALTSQDKSLEVVFVSRDRDEESFNAYFAKMPWLAVPFNDSKCLQRLISCYKVNGIPNVVILSRETGEINTKDGLKFISDYGIGVSPFTLERINELKEEEKAAKDNQTIHSILGTPTRDYLISSTGDRVPIFELQGKYVALLFMVRPIIEFTAVLTMIYEKLKEVGEKFEVVAVYFNNEKSVFNESFSSMPWLAIPHGDMMYDKLVRYFELRALPTLVLVGPDGKTLNNNIADVIEEHGLEAWEGFPFSAEKLEILDEKSKAKAASQTLESLLVKDDLNFVIGTDGEVPISELVGKTVILYFSAQWCPPCRDFLPTLVKEYNKIKEKNSDFEIIFISLDKDQSTYDEFFSHMPWLALPFGDERKELLMKKFKIRSIPSLIAISPSGHTLTKDAKSHLLAHGSDAFPFTKEKLQELEKNLDEEAKAWPEKLKHELHERHELVLMRSDTATYTCDGCQGLGSSWSYRCDRCDFVLEVCTGEGHEGRGH >Dexi7B01G0024350.1:cds pep primary_assembly:Fonio_CM05836:7B:28703023:28706443:-1 gene:Dexi7B01G0024350 transcript:Dexi7B01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAPLTFLLALLLLLATPAAPATHPADLAVLKDLLKSLTNPDALGWPDNDDACGPPAWPHVSCDHSGRVDNLDLKNAGLAGDLPASISSLESLQGLSLQGNHLTGPLPSFRGMANLRQAFLNNNDFDSIPADFFDGLAGLLEISLGNNPRLNATQGGWALPAGLAVSSQQLQILSLDNCSLSGAVPAFLGTMNSLQNLTLSYNNLSGPIPDAFNGSAIQRLWLNNQLGEAKLSGTLDVIATMTNLQELWLHGNQFSGPIPDDIDACKDLYTVRINSNQLLGLVPPGLATLPNLQELKLDNNNLLGPVPSVKAPNFTFSGNEFCAAKPGDTCAPEVMALLQFLADVQYPTRLVDSWSGNAPCAGWIGVTCVQGKVTVLNLPNYDLNGTISQSLANVTTLSQVMLGGNHLTGQVPDSLTNLASLQKLDLSMNDLSGPLPTFKPSVQVNVSGNLNFNSTVAAPDAQPNNSPRSPTTPNGAQGSHGSNPAIPGNGKKASSAVLLGTTIPVTVSVVALVSVAAVYFCKKRASMPPQAASVVVHPRDSSDPDNLAKIVVATNDGSSGTSQGNTHSGSSSLTGDVHMIEAGNFVIAVQVLRGATKNFAKDNVLGRGGFGVVYKGELHDGTVIAVKRMESVAVSNKALDEFQAEIAVLTKVRHRNLVSILGYAIEGNERLLVYEYMPNGALSRHLFQWKQLGLEPLSWKKRLNIALDVARGMEYLHNLGHHRFIHRDLKSANILLGDDFRAKVSDFGLMKDAPDGNFSVATRLAGTFGYLAPEYAVTGKISTKADVFSFGVVLLELITGTTAIDDSRVGEGEETRHLAYWFCQIRKDEEKLRAAIDPTLDVTDEEIFESISVIAELAGHCTVREPSQRPDMGHAVNVLVPMVERWKPVKDEAEDYLGIDLHLPLLQMVKSWQDAEASMTDGSTLSLEDSKGSIPARPAGFAESFTSADGR >Dexi7B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:7B:17351145:17353251:-1 gene:Dexi7B01G0009900 transcript:Dexi7B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRAAVPVALLILAMAAQAAADLASDTAALRAFMAPFGSATIVSWNPSKPTCSWTGVVCTSGRVTEIHLPGDGLRGALPAGALGGLTKLAVLSLRYNALSGTLPPDLASCAELRVLNLQSNLLSGELPAKVLAALPALTQLNLAGNRFSGRIPPGIARNGRLQQLYLDGNRLTGELPDVGVPSLALLNVSFNNLSGEVPKSLSRMPATSFLGMPSLCGRPLPPCSSEPPSSQPPALSPESPAVSSDSRGHHHHHLAGGAIAGIVIGCAFGFLLIAAVLLLVCGAVRREPRRTYRSHDAVAAELALHSKEAMSPNGYTPRVSDARPPLHSVPPAAAVGKKKLFFFGRIPRPYDLEDLLRASAEVLGKGTYGTTYKAAIESGPVMAVKRLKETSLPEREFRDKVAAIGGIDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLNWESRRRIALASARGIEYIHATGSMVTHGNIKSSNVLLSRSVDARVADHGLAHLVGLSPAATRVAGYRAPEAVADPRRGASSQKADVYSFGVLLLELLTGKAPAHAALRGEEEGGGVDLPRWARSVVREEWTSEVFDAELLRHPGAEEEMVEMLRLAMDCTVTAPDQRPAMPEVVARIEELGHAASTARSGRSASMDEADDRPLRPTGSIRRQS >Dexi6B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:6B:20528899:20530066:1 gene:Dexi6B01G0012810 transcript:Dexi6B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKTGVKKGPWTPEEDLVLVSYVQDHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTHHEEKLIVHLQALLGNRWAAIATYLPERTDNDIKNYWNTHLKKKLSTKQVGVAAAAAGAKSGRSAALKGQWERRLQTDIHTARQALREALSMDHHHPALMPPAMAKAEPPPPLPPSSPALASQAAYASSAENISRLLEGWMRADKASSASRSSAALSGGEGASAVSHSGMAAPEASTGTSKAAVAEDAGAVVPPFSILESWLLDDGVGVGHGGAGLIGVPLADPCEFF >Dexi5B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:5B:1585345:1587084:1 gene:Dexi5B01G0002450 transcript:Dexi5B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCVHLVVLLLGLLIPATVASPRSPRESPADDAAAPSPRVASRPTTFFEVHRPLRPPPGSSGRCSTLLLSASFGSTFNKPPATAAYSPPRCLVAAAGGRARASAISLAVIEWRATCRGAQLDRVFGVWLGGIELLRGSTAAPPPDGIVWSVTKDVTKYASLLATGGDSTLAVYLGNLVNSTLTGVYNANVTLHLYFRRAPPSPPPHAMAQADLIVPMSRAMPSNDGQWYEIHGATDVASARVALPSNTYRAVLELYVSSHGDDESWYINTPGYHNGPFREVTVRVDGDLAGVAWPFPVIYSGGIDPHLWRPIAGIGSFSLPTYDVELTPLLGKLLDGKPHVFAFAVTNAMDVWYIDANLHLWLDPVGTTATTAGIVSYVAPPAANTTSSKSGDPVDTHYDTTATRHFSATGWVNSRSYGNVTTNATQTFAFENTKTFETLSQTTGVHAGVVAMDHAAGVLYYSVQTQRSFPLGWLYEQGRLTVTHGLDDTTVAAGRWWSGPKNRSLHTSQSSVVEDEESFGVRQTYRYVADDGCYFRNVTSSNYSIVSDYSDEVCRKRESLDGVGVVTAALPAVNLP >Dexi5B01G0039190.1:cds pep primary_assembly:Fonio_CM05836:5B:38160265:38166231:-1 gene:Dexi5B01G0039190 transcript:Dexi5B01G0039190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPRPATAAAAAAASLSSFLPSPSFRGLLPLTSWRGPPRRRLSTAAGNVSLVALDSRGPLDLHQERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMKNIVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVDWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPSIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQVYSLFLLLFTCSNSNFMLSGGEFAAEVSAVSNQPVKDSSTLEAILKKPHVQYKLLDKYGYGNESLSRIEKECVEIDIKYEGFIARQQSQLHQLTLHCNIFSYDEIVNQEHRKLPEDLDYHSMRNLSIEAREKLSKVRPQTIGQAGRIGGVSPADMTVLLVWMESNRRMANHRRQQDQMRSAAVKVDDSSEEVAHASTA >Dexi5A01G0036620.1:cds pep primary_assembly:Fonio_CM05836:5A:38055311:38061775:-1 gene:Dexi5A01G0036620 transcript:Dexi5A01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIARELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEEPNAYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTMLEAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVERMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYIRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLCDVAEAHLTDDTVKAYAISAILKIFAFEIALGRKIDMLPEVDRNLSFLNSYVQQALENGAAPYIPESERSGVISVGSYRSQEQQETSAHTLRFEAYEMPKPSLALATSQPSMSTPPTDLVPVSEPGYYKEDHQTSRPQPSGDVISGEFGVKLRLDGVQKKWGRPTYSSSTPSSSGSSQQATNGSSHSDGGGSTSSQARESSYGSKRPQGTEISEEKQRLAASLFGSAAAKADRKAQASRKTVKGSASAEKETASSVAPQPIKEEVIPVAPPPDLLDLGDEPVSSSPPSADPFSQLEGLLGPASTTLVVSGTPATSTSKAPDLMSIFSDDVPAGATSGSTDPTLADVNLMGSHKGATAAAAKKGPSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >Dexi5A01G0025930.1:cds pep primary_assembly:Fonio_CM05836:5A:29644521:29645710:1 gene:Dexi5A01G0025930 transcript:Dexi5A01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGSGGEWWWNLPSLRRKSDSRRRVRRNTDPRGRRRGPPREPLSSSSSESIGQSSGWPIEFPFKQAVTAACLTLTGDTIAQVRSRIVDRRRRGAEPDNKELIPDILLNHDWCRALRMTSYGFLLYGPGSYAWYQFLDRCMPKQTLANLSAKVILNQIVLGPCVIAVIFAWNNLWLGKLSELPSKYQNDALPTLLYGFRFWIPVSVVNFGYGHLASH >Dexi9A01G0045870.1:cds pep primary_assembly:Fonio_CM05836:9A:49361002:49364404:-1 gene:Dexi9A01G0045870 transcript:Dexi9A01G0045870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSLCSSFASLRTVSVGHRRGLAFSTPSFASLRTVSVGHRRGLAFSTPRSCGGAEAGHGLQLPWPVALGGRSVCAMRRVACDELGTLRDQMTRDPDGELLVFSWFCCVSSRKAFQVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIENQVKKIAELRRLCAEKGVNPWIEVDGGVGPTNAYKVIEAGANAIVAGSAVFCAPDYAEGMVSSQWHPSIKGIKNSQRAVAVPA >Dexi9B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:9B:3115846:3122066:-1 gene:Dexi9B01G0005320 transcript:Dexi9B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCPCVSSAWLAVAAAGSGAVARSVHAGGPHRRHDATGSTHRRSRPPANRRVAAYIRAGNRTARSSPYRWSRRIRPGSEEQNMVLGFDVALELDGACGGGWWRLWRLAAAAVCLSVTLSAERTSTSLVTEAGPNCAAASGTARSVAEPPSLRVSRLPRNGCRISEDIGTAKLTILALLTDELYEAAVRKKLPRDSRGRFVKTNGNQEGADGSSMVPLSTAVRDSYNDYQHQEVTTVGSEVDGSTPAIGFYGPFVTTNEGGKNVGEAAYGSEAPSYTPAMGFYWPFAAACEHNENIGEAASGSKMPISNPVGNFCWPVVATNDEEENIGKVAYDSILNLESPDPTTLLRIMMGNKFSTDKVSKQFRNVARLQAPEFATLLTVMNNAGYGEAADDGQYDVDKVLTKLEGW >Dexi9B01G0025210.1:cds pep primary_assembly:Fonio_CM05836:9B:25106932:25108334:1 gene:Dexi9B01G0025210 transcript:Dexi9B01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATLFRFGSPSCKPNAAAAASNGVLAFNRSSSWTCRSKATASREAMAAAGRRRERKGPADEENNEIEWPSYLTPERLGVLSEMEPWVEDHVLPLLKPVEASWQPTDMLPDPATLGADGFHAACLDLRAAAEGVSDELLVCLVANMVTEEALPTYPSGFNRFKVVRDATGADATAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIRDGMSFHGPVSSPFHGFVYVTFQERATAISHGNMARLVGARGAGDAALARICGTVAADEKRHEAAYTRIMGKLFEADPDASVRAMAYTMRHRVEMPTAYINDGRHSVGDFYARFIAIAEQAGTYTISDYRSILEHLIQQWGVEELAAGLSGEGRQARDYLCALPHKIKRMEEKVIDRAAKAQNKTPTAIPINWIFDRTISVVLP >Dexi9B01G0023610.1:cds pep primary_assembly:Fonio_CM05836:9B:18985847:18987294:-1 gene:Dexi9B01G0023610 transcript:Dexi9B01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTAQTGRSISVSRRFGDSLTDTGNVVHISHSGEGPPASRPPYGETIFRRPTGRASDGRLVVDFIVEALGVSHPTPYLAGKTATDFRRGVNFAVGGATALDVRFFESRGLTPFVPVSFDNQTSWFKNVLQLLGSERKIMSTSLFLVGEIGVNDYFIAALGRNRTAGDVKTFVPRVLAAVRLVITNVITAGASTVVVPGMIPLGCEPQLLAQYNGSVGAGGYDPVTGCITRLNDLAELHNRELRRMLSGLRRAHPGTAIVYADLYLAVTDLVDGVHFTEAANRRIACAVLEGFMAPTLSISLATTEAWRRTIGCV >Dexi5A01G0022470.1:cds pep primary_assembly:Fonio_CM05836:5A:26567198:26570789:1 gene:Dexi5A01G0022470 transcript:Dexi5A01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAEEQPPPQVSNISKMQDSFTKHSLGKEPIPGSELWTDGLICAFELVKSHRKPYHHKSWPAIEQMQEKGSVMYTRKHSRRNGHHIIAPKMDESIMLENPHQTEISHDPSALKDRPVYAGEILDHKWVPIGWSRIAELVQRVHSDASWESDSMEISDSEDDYTVADLAAPYWQRPVGPTWWCHVTAGHPSIDAWLNSAHWMHPAIRTALRDESKLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQAQNFLVTAMHVKGPSSSLNVLGVTEVQELLLAGGSQTPRSVHEVIAHLVGRLSRWDDRLFRKYVFGEADEIELKFVSRRNREDLNLFSIILNQEIRRLATQDRSLRVTHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGIVLVSVGMLYLGLQNPVNSEKVKVRKLELQQLVSMFQHEAEQHGKVREGLSRHGLSPSSSEALDEGYILIS >Dexi7B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:7B:18130799:18132226:-1 gene:Dexi7B01G0010940 transcript:Dexi7B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVAVVAVPFPAQGHLNALLHLSLQLASRGFPVHYAAPPEHARQARARVHGWGDSALRRVSFHDLPITPYASPPPTAASSESGAAAAFPSHLIPMWEAFTAGAPAPLASLLAEVSATHRRVVVLYDLANAFAAEAAARLPNGEGFGLLCTPLSSVLGQTDAGIGLLREHGVDGCVPIYNYCPEELVEYLTNRARSAETISSSAGILTNTCPELEGHFIGFFAEQLDAIGKKLFNIGPLNPLLLDTRSSSDDQGRSDNNKRHECLDWIDKQPPASVLYVSFGSTSSLRGEQVTELAAALRESKQRFIWVLRDADRGNIFTENNGEDRHAKLLREFMDQTEGKGLVITGWAPQLEILAHGSTAAFLSHCGWNSTVESMCHGKPILAWPMHSDQPLNAELVCKYLEAGVLVRPIEKQGEVIPAATIREAIERLMQRAMALGEAVRSSAAAGGAARKELDDFIAHITR >Dexi4B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:4B:21084620:21084952:1 gene:Dexi4B01G0018790 transcript:Dexi4B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEVIFAAVLPPLGVFFRYGCCSSEFFISLVLTILGYVPGIVYSLYVILRTPPEPPGIDGERPYDMLA >Dexi3A01G0031830.1:cds pep primary_assembly:Fonio_CM05836:3A:36224146:36231847:1 gene:Dexi3A01G0031830 transcript:Dexi3A01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSTSIGVLGSLLRKLKGGIHYPLKGHLEEIHGYLNQLLWLEEPTLKQKSWMKEVRELSYDIDDYLDMNLNSTEKQVHDQFSTHLQEAIERYKWYNLHVYQLQRKYLPVSHQPTQYTEVTDLFRDLEDEVVKCVMSDEQELSKVVAIVGPGGIEDYTILKDDLLKLWVAEGFITAVSGQDNHMEEAGKYFDELVKRRMIQPVDVNANNEVLSCTLHSMVLDLIANKSVENNFIVVLDKYQGVPLLEFKEGTMPNLQKLHLGFNAYGAASGGHTPVGMMHLPKLQLVSAVIGCLQAEQSDRNAAESAMRDTISAHGKRPVVNIRWVDWNFYPVYGTMSTRSFHRLHKATRSHPGGANLTTLQE >Dexi2B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:2B:9437681:9440548:-1 gene:Dexi2B01G0008710 transcript:Dexi2B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVRFIIGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSRLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVKKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSSTTNLLDRLPRYLTCGSWAGRLFCIVMIINYLLWRLLEFLQPAEDIEVVPDIGPLQAQIQRDDLCEAQENQI >Dexi7A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:7A:22478298:22478742:1 gene:Dexi7A01G0012230 transcript:Dexi7A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGTASTTNTMEATSGLSITVEKNPPEARLLQLGVKSWPKWGCPPGRFPLKFDAALTCYLVKGRVRAAVKGSRECVEFGAGDLVVFPKGLSCTWDVGVGVDKHYNFDPS >Dexi5A01G0035210.1:cds pep primary_assembly:Fonio_CM05836:5A:37028990:37031275:-1 gene:Dexi5A01G0035210 transcript:Dexi5A01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPELVVSFGEMLIDFVPTVAGVSLAEAPAFLKAPGGAPANVAIAVSRLGGEAAFVGKLGDDEFGRMLAAILRDNGVDAGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTQILSIWDQADIVKVSEVELEFLTGIDSVEDDVVIKLWRPTMKLLLVTLGDQGCKYYARDFHGAVPSFKVQQVDTTGAGDAFCGALLRKIVQDPSSLQDQKKLVEAIKFANACGAITATKKGAIPSLPTETEVLQLIEKA >DexiUA01G0019760.1:cds pep primary_assembly:Fonio_CM05836:UA:40989884:40990234:1 gene:DexiUA01G0019760 transcript:DexiUA01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAWISIYSPLNHPAAITQHYHDLRNQLLDLQLHKHIEAPHLPVSSTPPRLTLRVREWGSARGCMDWTKSGARKTKRPGGRAAGEWRLQIGPDVDGGGDEPATGGARPATGGNA >Dexi2B01G0029070.1:cds pep primary_assembly:Fonio_CM05836:2B:37570729:37572604:1 gene:Dexi2B01G0029070 transcript:Dexi2B01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNAGAGKDYPGKLTMFVLFACIVAATGGLIFGYDLLTLFTSSLYLAAFVASFFAATVTRVAGRKWSMFGGGVTFLIGAALNSTAKDVAMLIVGRVLLGIGVGFNNQSVPVYLSEMAPARLRGMLNIGFQLMITIGILGANLINYPRSMAVGGGALALAAVPASTITVGALFLPDTPNSLITRGHTDAAKRMLKRLRGTDDVDEEYNDMVAASEESKLVAHPWRNILQRRYRPQLVMAIAIPMFLQFTGINVIMFYAPVLFKTLGFGDDASLMSAIITGLVNVFATFVSIVTVDRLGRRGGAQMLACRIGAKFGFTGVAEIPKAYAVVVVLFVCAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLCTFVIAQASLPMLCRFKFVMFFFFGAWLVAMTIFVALFLPETKNVPMEQIVLVWKSHWYWRRFIRDEDVHVGTDLEMQ >Dexi5A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:5A:6457049:6460778:-1 gene:Dexi5A01G0008640 transcript:Dexi5A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPRRQRRSLSTAEQDGDEATPRSEKRGEEAAVQLPEAAVGRGGGGASGNGGGGGGGGEAAMLGGEILVRMDSRLLKCSICPEPLRPPIYECEVGHAVCFECRGKLRKTCPICCKVIGFCRSFALEKVVDTVKVPCSNENYGCKQFIVYYQKEKHERACVYTPCCCPEDGCSFKGSTGSLLDHFATEHKWWMTNFHYGKAQRISIPRYRRFTLLVGEDQSMFLMVNTFVDIGNALAMVCIRPHESFGSCYSSKISAVHRADFDKGRYVFQMNPHVGSSSLHDGVQLGRFFLLVPPEILDESTEELTVNICIEKTKCAVHH >Dexi5B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:5B:8984486:8987877:-1 gene:Dexi5B01G0012610 transcript:Dexi5B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSGSTPIAHPLGAASAPELSSAGSSERDASQGSRGSASARSFARSLALARGLGVRVAAAGAGARRQRQRRGRKGGEKKDAAAAADQCGAERRRAAARSAFMGSGLVDGAAVGAAPAAAAAAAIIRMAAAGWEGEGFACFAPGIRKPSGDGVTDKASEFAA >Dexi5A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:5A:2557452:2560554:1 gene:Dexi5A01G0003420 transcript:Dexi5A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESSGTEEKASSHANEEKRWVLSDFEVGKPLGRGKFGHVYLAREKRSNQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELTRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGLPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKVLEHPWIVQNADPSGVYRG >Dexi4B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:4B:2104235:2106936:-1 gene:Dexi4B01G0003090 transcript:Dexi4B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLGVARAASRRATSSVARRRMSGLCDAAIASANRLMGWHLRAGRPDAAREVFDGMPRRDVVSWNSLMAAHARSGEYAGVAAAFVEMRRRGIRADGASVSAVLSACARMEALELGRCVHGLAIKTGASWNVFVGASLTTMYANCGVFGCLERVVDAVDRPNVALWNALVSGLVMNRRVEDARRVFDQMTERNVVTWTAMIKGYVVADEVGRAFEMFNLMPAKNSVSWCVMMGGFVNHGLFREAVDLFKLLVSSGEVITSSVLVKIVSASSSLKSIGGGRCIHCFAVKHGFILDQIIEASLVMMYCNCLDVDEAWLEFDRMERKHVGSWNAIISAYIHANRIDEARQLFDSLIDRDKISWNLMVSGYIKDGRVADATELYSKMHEKNVEAATALMSCFVDNGMLDKARHLFYNMPQVDVMSCTTLLFGYVKGGYIDDALDLFHRMRKRTVVTYNVMIAGLLQQA >Dexi6A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:6A:28509759:28512200:1 gene:Dexi6A01G0021160 transcript:Dexi6A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVAPTLPAAISSTAAAFSLAPNPTARGDPLASRPLCRIAASAPLVPLAATSRHVHGCRSAPAPPAVASPQNQARNPRLRFAAEGMAAEASTASAAQAKPFAVLFVCLGNICRSPAAEAVFRNLVSKRGLDSKFNIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILDAFERWRHKEPLPESAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKGE >Dexi7A01G0023490.1:cds pep primary_assembly:Fonio_CM05836:7A:31456637:31462336:-1 gene:Dexi7A01G0023490 transcript:Dexi7A01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQQVLSRFFSRKPASSSSAGPDPPPPPKPKPSASAVASFSPAKRARALSLSPKSPAAKRPNAIPPSRDAIRRRLLEPLHPAPPPPAAAASGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAAAVLGIVAHPDHSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAAAAANKGGGAAAAPFARGLSAVYTRATIEAAGELEGGAAAPEEGSRYLVCVVDKEVEATGREGFQVKVGVVAIEVSTGEVVHGEFMDTASRSGLEAVLLGLAPVEVILGTPLTFATEKVMTAYAGSTSDVRVERASCVCFGEGGALAELISLFEKSVDNDSRVEDDRQLMETNGNNANLHGIEAVMAMPELVVQALALSVGYLKVFGMERIICFGSSFRPFSADTEMSLSANTLQQLEVLKNNSDGTTEGSLFQTMNNTCTAFGSRLFRNWLTHPLCDRHLIGARHDAVSEISESMGSRHSINNLQDGEDGSSVALARSDLSTILSSVLEMLGKSLDIQRGITRIFHCKATAKEFVGVIQSILTAGKQLQKLVLEDIDIVSSQHKPVHSSLLRRLISTASSSTVLNNAVKLLSCLNKDAADRGDMLKLFIASVDHFPEAIPSLLVAEGHVNVEMANHKLNLLIVDYRKQLGMHSLEFKTVSGTTHLIELPVDRRVPSNWIKINSTKKTTRYHTPEILKNLDSLMLATEELAVICRSTWHKFLTDFGKYYAQFQAVVESLAALDCLYSFAVLAKQNNYVRPTFVHESEPSQIHIKDGRHPVSCSSCNSLFMPLEISVLESSLGDNFVPNDTELHADGEYCQIVTGPNMGGKSCYIRQVALIILMAQVGSFVPASSAKLHVVDRIYTRMGASDSIQQGTSTFHEEMNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCITIFVTHYPKILDIQREFEGNVGAYHVSYLATRKLLEVTDKPLETSPEYLGEITFLYKLVAGASDKSFGLNVAMLAQVSYAYI >Dexi6B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:6B:26854008:26854913:1 gene:Dexi6B01G0020390 transcript:Dexi6B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMQRICECLRATVSDLSKFFKGKIAAPKLKALLFGPLNQAHPLNQVKPVTNPSQKIGKRKRVSPNKTSTDPSQRNAKKKKKKPLGEDKAVISDDKEKVLEQDKAKNSPSQKDDNREKPLEPDKVAISPGQKDDKKKPLDQDKTAISSVQKDAKKKPLYQKEKVTVYTSSSRAPPSVSIPPQRMHWPVPTQPIINQFAHIPQHLVTPPAFGYGLPPQHLHSAYHHPHQGLLGQPQGQAMFGPPVAHHPELNGLHPYGINGAQQMQHINNRLVQRPPYGMGPGFWR >Dexi9A01G0026870.1:cds pep primary_assembly:Fonio_CM05836:9A:30953073:30953345:-1 gene:Dexi9A01G0026870 transcript:Dexi9A01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCPGKCDYRCSATSHKKPCLFFCNYCCQKCLCVPSGTSGNKEECPCYDNMKNKEGGPKCP >Dexi3B01G0036490.1:cds pep primary_assembly:Fonio_CM05836:3B:39354380:39358626:1 gene:Dexi3B01G0036490 transcript:Dexi3B01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKWFDPTKMDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMADAIKRASGNHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTRYTGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVQLKSSPSRNMSPIYQSLTDKVKKMAFASDVTDSVRRRVARRNSLGNERTVTFCKPSPERNSISSTRSIKEYTTTQSVKEFSIDSSQVDDEVTSKAIITKTPGILRTPKSTPAKTLTTRNRLDPPKTSYTRTNHSELDMYWKFIDHHDAFVLPSTNYASVPFYFTPVQLSSRTPLSKSARTARRASLPLPTYGTPSNRTISILDQLDSPDVSVNAPRIDRIAEFPLASSEDPLAPMNKLTSAPGHGSCSTPPSINRSITKDKYTVQVLHTGDGDNGSDSSGRNATAASSRGSNDSRLQRFDTSSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEMASPRETAIWLTKSFKETAS >Dexi1A01G0030380.1:cds pep primary_assembly:Fonio_CM05836:1A:35726530:35729436:-1 gene:Dexi1A01G0030380 transcript:Dexi1A01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDAATEVLVPLAAAIGIAFAVAQWFLVSRVSLSPSSRHDDKDALLGESLIEEEEGLNDHNVVARCAEIQSAIAQGATSFLFTEYQYVGIFMSIFAVVIFVFLGSVEGFSTKTHPCTYSKGTDCKPALFNALFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYVAINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIIVCLITTLFATDIFEIKAVKEIEPALKKQLIISTALMTVGIALISWLALPAKFTIFNFGEQKEVSNWGLFLCVAIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYVSFTVAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIPALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGRAALEMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIRQMIPPGALVMLTPLVVGTFFGVETLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARALGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGILFKLF >Dexi2A01G0027130.1:cds pep primary_assembly:Fonio_CM05836:2A:38542965:38544260:1 gene:Dexi2A01G0027130 transcript:Dexi2A01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPPPSPPPPSRFEKLPEDTQRLILQRIPCPVDRVRMSHVCSGWRKLLRRLLLQRPPSFPRLLLRAAFTVGGLVPSTRAVCVLSGCRAHHYLNIDPPDAHFFGSRKDAWIFLSIPPLDQPSAHIALNIHTRIVREFPRDLIRSTDPEGASHRMVIHAAALSHSPEDQRCVGAAIVTSWRDPAPGAVAAPPPRRRCIALWLQGWPRACNYETFGDGDPNLDAEDVTFMKLDDSATFGAFVILTQGEHIHQCAPHFSEVDWQGQAPTWRRFYYRPREHLYNLHVRARYLVMSSGELLMVVRFTPHPNQPTSMFKVFLSTKRRDTEDADANFPIARCYRASEYPGFKSGIYFLDDGKFYDDAVIFGNGNVRRYPCSDNGKWSEGHVQRCFPRPDPSDHSAPVWLLP >Dexi3B01G0027300.1:cds pep primary_assembly:Fonio_CM05836:3B:22845439:22847256:1 gene:Dexi3B01G0027300 transcript:Dexi3B01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATNVDLESSSADNNSAAETPRERILRVPQDYIGSVDKCTRETWVCEGTSMAHREVTYVPGLHKIFDEILTYAADNKQRDPSMDSLRVAVDVDRCRISVYYNGRGVPIELHPEEGLYVPEMIFGDLSNYQEITGVKLANLFSTEFVIETVDRSLERKYKQVFSENLGNKSEPEITACLQGVNWTRITFKPDLAKFHMTHLDDDAMALMKKRVVDIDGFLGVTVQVVFNGQSFQRLKNFPDYALCYISSASIDREERLPRICQRINDKLEVCVTRSEGTFQQVSFVNKFATIDGGTHVDYVSNHIAACIAKFCSRHFEVEECEVNKHLWVFVNTFMENPTFDSPTRDALTSPQESFGSSCELSDHFVKSGMWQAVLVAMIVAILLYHSFLLL >Dexi4B01G0022720.1:cds pep primary_assembly:Fonio_CM05836:4B:24263852:24264526:-1 gene:Dexi4B01G0022720 transcript:Dexi4B01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLAPHLPTPRRLLLLRYLNPSPAMPPAADAAAHLATAADPDEDLCASAAEPAVAEGAAPVPAPPLPPPPVSAEERIERAWAHWRRLGSPRLMVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKYRSMEFTTCKLMW >Dexi4A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:4A:2655654:2657497:1 gene:Dexi4A01G0003720 transcript:Dexi4A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPTRTQPIYSPTQPSSQETACEPSRPPSTSSEAKSSPEKEEEQRSKMSWQAYVDDHLMCEIEGQYLTSSAIVGHDGSVWAQSEKFPQFKPEEIAAIMKEFDEPGTLAPTGLFLGGTKYMVIAGEPGAVIRGKKGTAGVTVKKSNMALIIGIYDEPMTPGQCNMIVERLGDYLIEQSY >DexiUA01G0020490.1:cds pep primary_assembly:Fonio_CM05836:UA:42806282:42808996:1 gene:DexiUA01G0020490 transcript:DexiUA01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAILLAKNHRRRGGGSGGHGGNGSNGHRDDPSSFLRQLRDALDAASEDGSLCPPPDAAGADADAAVSRSRSLARLRAQRDFLRATALAAAAGPFRSISDLPLLAHAIATFLAMYPDYASTADVDRLRVHHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSASFTLHELNANLSNHALYGGAEPGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVNWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKKKGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDTFDGLEDDLGISKDENPASNAQNGSQLPAFSGVYTSAQVRETFDSDPGRDSSSDRDGASTIFEETESISMGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFNGRNCNKRMSPNLTSRISRSPLYDGHVISFDAAVLSVSQDADCLKEDPEEEIFENGRRNHFRQVSEIQEEPEVEEVACQHAMNGGAEHKESAIRRETEGEFRLLGGRDGNSRFTGGRLFGVDEIDGGLSMGRRVSFSTEANIIADRLNRASDAAEASGYTFRDDDGCASDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPGSKGGEGVPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNSISVGIGFLSHIKVDMNQKQLNGTLDIPEASFYKNGRRDNKKVTLRVEAVTASLGFLTNFDDVYKMWAFVAKFLDPSFLESERLAISADHLEVQT >Dexi1A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:1A:20579790:20584026:-1 gene:Dexi1A01G0014170 transcript:Dexi1A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYDIPAAGGYDPMAMGMGMGGLGLGGAMGMGGYGLGGPMGMGGYGLGGPMGMGMGMGGYGLGDEDDAGAGEGEADLPPAEMKVGEEREIGKEGLKKKLVKEGEGWGHPSAGDEVEVHYTGTLMDGTKFDSSRDRDSPFKFTLGQGKVIEGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNSTLQFDVELLSWVGIKDICKDGGILKKVLSEGDKWVNPRDPDEVFVKYEARLEDGTVVSKSDGVEFTVKDGLFCPAISKAVKTMKKNEKALLTVNPQYGFGEQGRPASGEEAAVPPNATLCIELQVVSWKTVTELGHDRKVLKKILQEGEGYDRPNDCAIVRVKLIGKLADGTLFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGANETDQDLAVVPPNSSVYYEVELVSFDKDKDSWDLKNNTEKIEAAARKKDEGNVWFKEGKYARASKRYKKALSFVEYDSSFSEEEKQLSKPLKISCMLNNAACKLKLKDYKEAKELCTEVLELDSTNVKALYRRAQAYTNLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKETVKEYKRRDAKLYSNMISKLSKAEDTEGNEHESRRQSKKRGLWSLAELLRRYFTADGTKGSTLWLVLRLLILVVLVVAVCVGYYMQSGVQEIDCINC >Dexi3A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:3A:953189:954615:1 gene:Dexi3A01G0001360 transcript:Dexi3A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSVKSEQEGDLFLPPGFRFHPTDEEVITSYLLQKFLNPSFDPQAMGEVDLNRCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFKPSSASAAGGRELVGMKKTLVFYMGRAPKGTKTNWVMHEFRLDGKSRHNNSNLRFNPKDEWVVCKVHHKNGEANKKPAAEELSAGTPNVSSVISDDAGAGEGGDEFLADSMMNPNPNPMYFNSASSFPGTTTTINAAAPHINTDYTISSAAAGATTSTTIGSFVDLPSYGFNDTTSFNNLHQMTVANPRISSDSSYSSPWNMLQAADYNQAMGSYNLHHQAMLAKALGGNDFVGGLPSSSVTGILQHNSEGVPQHKFGNVVRY >Dexi2B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:2B:1431889:1432282:-1 gene:Dexi2B01G0001870 transcript:Dexi2B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAIVQEGMSRAVSLMLGKHFEKTSRGHSAERLEMALSELEFALERTAKLPITEATLLRRRKVLKHGYIEGMDLLNKHKLQGNQELRHGIARASL >Dexi7A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:7A:20776986:20781798:1 gene:Dexi7A01G0009860 transcript:Dexi7A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVYVLEARGLPAPPPRHGGGGLLCYAKVTVGKQRFRTRAVEAAADAAAWNEEFVFAVGAEGAEEGEELEVAVARRRRRGGRGREVVGTARLPVPSATSAAAAAPGEMRSSVPPTWFTLQPMGDRRRKGGGGADDDAAPADCGKILLSFSLYGESNDNAVIHMSPSSSSRSDTDVGIERSTDMEHSGSNGAVVDSPMSRDTGRTSLDYSDRSIQTDSNSITEDDDLAEAVAATTHGVSDTKQMAPDTSSFEEAMEIMKSGSTPDMPEDLDGGIIFEHTYLVESKELNHLLFTPDSQFFKELRELQGTIDYEEQPWTWKSKDPPSLTRTCHYTKGASKFMKAVKTSEEQTYLKADGKNFVVMTRVRTPEVPFGNCFAVVLLYKIIHSTVLSGGEESAHLTVSYNVEFLQSTMMRSMIEGSVRDGLKENFEGFAEVLSRHVKVADSVGMDKEQLLAPLQAEHQSDLRLAYKYFCNFTAIFTVLFALYVLVHILLSKPGPLMGLEFNGLDLPDSFGELITAGVLVLQLEGVLNMVSHFVQARVQRGSDHGVKTSGDGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPAVLDVEVFNFDGPFDLAISLGHAEINFLKHTSVELADIWVPLEGKLAQTCQSRLHLRIFLENTKGPETSMREYLSKMEKEVGKKLHVRSPHRNSTFQKLFSLPHEEFLIADYACSLKRKLPLQVIYIQMTIIGLWKTKSTAIEQRAKLEEDQEEEVNSVDLDDVHAVLSIGDVPLSKEYTLEHPIDADLLMGVFDGGPLETRTMSRVGCLDYSATPWETARPGVLERHVSYKFNRYMSIFGGEVVSTQLKFPAEDGGGWTIHDVVTLHNVPFGDYFRVHLRYNVQSVTTEAPSSRCEILVGIEWLKSSKFQKRIARNICEKLAHRAKEVLEVAGKEIASAVSG >Dexi3A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:3A:3507705:3512356:1 gene:Dexi3A01G0005430 transcript:Dexi3A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSPPSLPATATATPTTTAPTMSACAPFPTLRPEERADLLPLLAAATRPLADVVADFFARFPRERRLRVGGALCFLLEASHRSSAPTPCAFQSPSFAPPPARSLASGILFTYLAFILGVRGVDSVQDKKMLHPTGRLIAFAILHQSYSPQTVNPYVPILLNLISAMVFISQVLNQSAVDYLNGPVSASQALLPREQLEKQYCSNGVQSQPQISSFKSASVRSAIPDPDVPQSCANSSESAISLPGDKQKSASDDRGSALAGLLQAKSGGRLGPQWIRPTPPRLPILDGELQWLNPDNNHELLWDYSILLQQVILELTKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDISGYFDGLVHMEMSLHSMEVVNRLTTAVELPTEFVHQYITNCIKSCQTIKVCSSFNSFN >Dexi1B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:1B:18386368:18387632:-1 gene:Dexi1B01G0013100 transcript:Dexi1B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQRPRGVLGFWSSLMTALGNMEHMPDSKGVEDFGDVIRDGGVALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANARKFNPAKDPCSVIWDVLWDDLWR >Dexi6B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:6B:947816:948412:-1 gene:Dexi6B01G0001160 transcript:Dexi6B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRYTNVAYQILLPQAPDNLYDARTLVWNKPMDNQMVFGHSPHLRQMTEDQHCHLKNVKITGFNSAKGFVELTCYILKNAVSLEFLTLDTNCGSTSRCSDNGIERCPSKGNGLTEARRVLHAIRTYIEDKVPERVKFTVVEPCSRCHK >Dexi2A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:2A:22947468:22956038:-1 gene:Dexi2A01G0014030 transcript:Dexi2A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSVREFEDDEPGVAPLASARAGVLLRHSGAELTTSAANNATEGEGNQAPNKKNIQEIPTPQFDAVDTYERDYTRTFAQPTSYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDTAMERERWQKPILRRLQVRRNLAQAKSLMDALVKREETKREAMECQVNLQRIQMKYKDPEEPVFLFTRPLDPEKLVAAGIKPPPDPPIENGATTPPFRCRGEE >Dexi9B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:9B:14502303:14507192:1 gene:Dexi9B01G0019860 transcript:Dexi9B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPPTLTPRRRETEAASSRRSWCSVSRPPTPQKARHLPSSHARCSTDSRAGQEEEEVGAGGPPMSSGADGSASGPALDPGPGPKLDCEAPLPQAPAPQQEVVELRTEAPAPAPQQEVMALETMAPAPQKEVVALETEAPAPQEDVVALRTEAPAPQPDVLALQTEAPSPSPQKDAVALQTEAPAPQQDVVALQTEAPAPQQDVVALQREAPAPAVTIVISRPGEEVQAPDAKGVSPASTPPAGGGRATAASVSVTAAAAAKVAELARSDSFDDYEHCRQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVGNMPMLENIPPTGYHPAVV >Dexi5B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:5B:5857884:5864645:-1 gene:Dexi5B01G0008630 transcript:Dexi5B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNLKKLYRKDAREFFNQVMVEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGKFKRRITVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLLEVWPNYMEPKLSSRFQSTVERRLKNRKPKLIVSFVFYFIFFSCAHHMISVLRFSSYHQDKIELQEFSLGSCPPTLGEEGMRWITSGEQLLLSPILDGEAILYSFESTPEVRIGVAFGSGGSQTIPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPAVDLRKRAVGGVLSVTVVSASNLFKSTASAIGNRQSSNGGTVSGITDNKVSQTFIEVEVGNLTRKTSTSKGLNPTWNSTFNMVLHGETGIVKFLLYELDSGGVKFNYLTSCEIKGITLVLSQSMLNIVAKKLEWLFHLRISMASINDGTKWSECFYLQLTVSLMLKEWQFSDGSVTVNNSLSNGLQSSFDGSPKPQSITGRRLRVRVVEGRALAANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEIAGGECLKIKCYNADTFGDESIGSARVNLEGLLDGVSRDVWVPLEKVDSGEIRLEIEPVKNDHNNSMQSSSSKAGAGWIELAILEARDLIAADLRGTSDPYVRVQYGNKKKRTKVIYKTLSPQWNQTFEFPETGEPLVLHVKDHNAVLPTASIGHCTVEYSMLSPNQSADKWIPLQGVKNGEIHVKIARRVSVSDSEKKTMLGTDPSSKGHKITTQMRHGLKKFTGLIDEGGDPEALSLAVTEMEGIQTEQEEYIEVLEREKAMLLHKIQELGSQIIRTASGPPKTPY >Dexi9B01G0042630.1:cds pep primary_assembly:Fonio_CM05836:9B:42854114:42856546:1 gene:Dexi9B01G0042630 transcript:Dexi9B01G0042630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPNASAGVTRRLDPERWAVAEGHTAELIARIQPNADSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEVDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDVTGTICVALF >Dexi6B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:6B:1085456:1085854:1 gene:Dexi6B01G0001330 transcript:Dexi6B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSKAAPPAAASLCFKVDDDGAAAVGRRIKKGSPIGDGLRKEEEAGVVAEAAVDVCLAAAAMAGAALLAWWAVAFHPSYKQLWMVPLGLVLACTPPIVCIALRLSGDGRGGSSRVPPPPPPLVAVVVHK >Dexi2B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:2B:25001215:25001877:1 gene:Dexi2B01G0014980 transcript:Dexi2B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWWPSLLPAWLGSSAVWFVALNAVVAIIAILSSRAPPSQQAKTPRGGVTRRASSAVLQSLCSFSIFSFPSFLQPDAAAAAAAAAAYQETESEMVRRRSPTEPSPRALAIVRPPPPVPALAEDDHEEDEEEGDPNAMSLDEAYALVMASRQRPEREVEEEARGSEVDAKAEEFIRGFKDDLRQQRLNSIFNYTQMLKQRGLAAGRRQASGCQARSTLND >Dexi5B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:5B:964066:966129:-1 gene:Dexi5B01G0001510 transcript:Dexi5B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHSLYFVLLVLLECVKKYINDDGSKHPDFSKTVVDDFPDETAFRKCRATLNLSEKLDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLKCAFRSEILDATNQAAELLRSLAKDVNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASHEDNSAKAQLQTTKFVSFNLKDFNTEFHEPKSEVPGNTPTPSAPMQAESYHEMMKRQQRRLHSWPPREVDNFEDDESVVSDLIPRMCALESTTALSLATFTSLLIEFVARLDHLVEAVEKLATIARFKEQIMN >Dexi9A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:9A:2669639:2676950:-1 gene:Dexi9A01G0004870 transcript:Dexi9A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKQRGGGGEPAAEGEAAPGGAGGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAQKGSETSRGFGFVQFATVQDAERALQQKNGFPVAGRKIRVKRAINRAPLKERLQKKENAVQLKDSESRDDEDDTSAAVKLKENYIKADPEKPQLLAKDAMVSKEASIGDADKDLLEMDAHQMQQLYSLQVSNQLGILLSTCIGSKTRKWRVIVRNLPFKVTEKEIMDMFSPAGFVWDVSIPHKSYEGLSKGFAFISFTRKQDAENAIKNINGKVVAKRPVAVDWAVSKKIYTVAAKSDDKDNELANVPDNDSDDDTSEENLVGEDDSSELDQEISNRPSEDDFKTEVDISRRVLENLIKSSEKSEPSGIDGGGSDTDTDTETENDESEKKKPDSPVAGNPAKLKPVTDAKTTDPASKPEKKDTGLDRTIFISNLPFDISNEEVTVRFSVFGKVESFFPVLHKLTKRPRGTGFLKFSTAQAADAAVLAANAAPGLGIFLKSRALNVMKAMDKELARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATRQNPVIRKVNILKNEKKGIQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIRKERSQKSAAEAAEDRQGPSGDQPASDVGHADSSRTFRKGNKRKSHDRSSKPSDSGEGHAKDPSVAGDRSAMAVVRKGRPAKRAKKSNEGNALPDQDRQDTTPNASRNQAVSNKRDQSVATTKRKNRKDGQKEQKRGKPSQRTRKQPTGEGGVDKLLVEQYRSKFLKHAVSKPKG >Dexi2A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:2A:29728636:29732339:-1 gene:Dexi2A01G0017710 transcript:Dexi2A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRGSRGSSHVHPSSSLSVSCASEASTDSFCSRASTGRIGRRPAGGPPAGAHPPRRAAGSAGPPSARLAATTSRNNKVASAAVPGGGAATAPVLPVLLGLLNGETIAAASAPAGPPRCPWITPNADPCYAAFHDQEWGVPVHDDKKLFEMLTLSGALAEMAWPAILSKRDAFREVFMNFDPVLVAKLNEKKFLAPSSPAISLLSEHRLRIIIENARELLKVIEEFGSFDSYCWSFVSSKPMVGRYRHTREVPLRTAKADAISQDLMRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFEECCDIKAAATDGYGDNNCSKPAAVSEQEVSMLCGLVQCVALEPSRAATVISIS >Dexi7A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:7A:29499807:29500211:-1 gene:Dexi7A01G0020820 transcript:Dexi7A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCPSATSSSSPALCLCLLPSVPAASKSTTTTSSVAFSPCGGASISVSGRLRWGTRPRGGRHATVAASGNDGGGTAKEEKEGDKPGFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYSHID >Dexi9A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:9A:1944560:1945197:1 gene:Dexi9A01G0003660 transcript:Dexi9A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARCLGDDDAAAASAQSCGEAGRRRDDLLLMPSAARSALRTRPAAGHNDLARHLPLVPVFFNWEYAWYDDGGGDIGGSPAPAATSAGATEAVAAAIAAALLGVPGVDSHRKGTAPGRRL >Dexi2A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:2A:33675538:33678218:1 gene:Dexi2A01G0021580 transcript:Dexi2A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGRLVLGFWHDIQIINASFKFSWCGSHSLQIYSLICYQVVRCIYYGIDSSPFVVGIDDDLPPAQNRGLRGRSFSANGRASAGAFPYMRTSNDLESEIHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQAGGTPSGLYRGSRALYDAEPGSTAKRQRTSHSIPPQPAGFQSPVIPSHSVPSAKWGPLSARGKTPKTIPPEDIRWEFDAHFSNQDGWGPSGPMLKRHPSNNGAMTGPIRGRGRLSINEPIRDYAPPQNGINRNFDNIDIPNTESVVTEVERVLSNPNMREIEKARKLLKDQEQSLLDAIARLDEASDTESGKLLVSSTFGVHCRKDMATEGRTGPTGDGNGIAT >Dexi8B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:8B:2123600:2124631:-1 gene:Dexi8B01G0002990 transcript:Dexi8B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGVGAGLGATDAFAGAAAARTTAIDDVPADVMALVLRCLDGASLAAVGCVCSNLRRLAADPATWRELCLAMWPSLNDIPSSSYYTIAGGVHYRALFADAFPFPATFSTPPPPPAASSSSSPAGCNHPLLPERLVSAVDLHHGGALIMSRIVETDATSDWFLGSPFRVDALVQEAFSSPTPAIAAADLSLSWILIDPSTGRALNASSRRPVAVDRKWLTGDTVARFAVVLAGVALEAAVTCDERRGHVREVSLCVEDGDGGGVSGRDGLVAVAAAMAGARCGGGRGAEAAARVRYEEFVAGKKARKEWKARREGMVDLCCSGVGAAAFVGFLVMLTLR >Dexi9B01G0024350.1:cds pep primary_assembly:Fonio_CM05836:9B:20292678:20293766:1 gene:Dexi9B01G0024350 transcript:Dexi9B01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAFRVHEHGGPEVLRWEEVEIEEPGQGEIRMRNTAIGVNFLDVYMRKGVYPTPLPFTPGVESVGVVTAVGPEVTGIVVGDVVGLAGSPMVTGRTYAEEQIIPAAVAIPIPDWMDHKVAASVLVKGITARILVRQAFKVEAGQTVLVHAAAGGVGSLVCQWASALGATVIGAVSSRAKAEQAAANGCHHVVVYTEEEEDFVARVRDGITAGCGVDVVYDAVGKDTSRGSIACLANRGCLVAFGEASGAPEPFVLGELQPRSLSVTCPALPGYTGTREALLESAAEVFAGLASGVLRAHVGGVYPLAEAPRAHADLEGRRTSGSIVLVPGE >Dexi9A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:9A:6392824:6398241:1 gene:Dexi9A01G0010490 transcript:Dexi9A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMERSAGSLYGRLNRASTRGFVAYVAAGAACAAVLACFVLSAADPRAAADRNDGLLRAPLSSRSARVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFVGTSSRSFYKGILMWREETRILMETREREEQSKSACAPNDVVIDPSYEEPLLTQPQPKEKSALNNSKSCSTFYWVINVLQVPVAVSVFLWEAVQLCRESRARRMNGNLECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLNRFPIPFAGYLIFISILAGFWGQCLVRKIVQVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCE >Dexi1A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:1A:4619478:4619783:1 gene:Dexi1A01G0006170 transcript:Dexi1A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGVCAVARQPASWASSFANPSPAPFHASGERKMGRLLLPSQPPEARIGQQEPLRWSRSSRAGEEEQGRQGGGRVGGFSTRSGGTRTATRCLAAPDLGRR >DexiUA01G0006890.1:cds pep primary_assembly:Fonio_CM05836:UA:13255185:13255415:1 gene:DexiUA01G0006890 transcript:DexiUA01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGSSLRRCTAKSLETAAGTVGPQGSWNSCSSTRKAKKEASASSGGRVLRPRRPTGRKAKEDAASATAAEAET >Dexi9A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:9A:3567342:3567652:1 gene:Dexi9A01G0006350 transcript:Dexi9A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTVLVIIFLVVMTQATMLPVDGARALELVAVAEASSPVKPPSSTSLRVPALIPFDGSFGEEEAYGPAAAECYLK >Dexi3B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:3B:7004615:7004854:-1 gene:Dexi3B01G0010110 transcript:Dexi3B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWRSSTLVFKAPEDDAAHYIAAVTIAVDHRVASSPTVSAHARVTVQLAMARVKDELCLRGSKLCLRGSNPRGSKGR >Dexi2A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:2A:4281699:4283030:1 gene:Dexi2A01G0004730 transcript:Dexi2A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRVISLDLGGLDLMSPRLDPSLFNLTSLRNLSLAFIDFNSASLPAFGFEQLTDLVNLNLSETNFWGQIPIGFFSCLKKLVTIDLSGNLTKLHALNIYDSNFSGPIPSTIGNLVQLEELSIDLTPTI >Dexi5A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:5A:4658118:4658531:1 gene:Dexi5A01G0006290 transcript:Dexi5A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEIPVLPRRRICKVVDRFTIELGSCPVKAEFPLISSVSSLLQFDSELAKVLQSPKCTRNISSKPVSREFKHLKGTGMVPVNWLSAKIRISKPGNLLPISAGMRPWSMFP >Dexi7B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:7B:20954552:20955468:-1 gene:Dexi7B01G0014840 transcript:Dexi7B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSESAGSGRAGAELMVEQFHLKVLHAVLAVRAPRPLAAAAAAAAASFRRRDRWFHLPLHDPPPPPEAPDRLEPLAPGEPLVVDVILSPAGGGAGAKAEGEVVERWTVACEPWPDAAGGEEVAVNRAYKHCFTLLRSVYAVLRVLPAYRVFRLLCANHSYNYEMLHRVDTFAEPFTRAKEAAMRSLRFVPVDTQLGRLVVSVQYLASLDTFNLEITSLSPSMIIPDYVGSPAAEPMRDFPSSLTEATGSAFPPYYQLQRPHSWAPPVFWPHTPAQQARWVASH >DexiUA01G0015430.1:cds pep primary_assembly:Fonio_CM05836:UA:32360542:32368318:-1 gene:DexiUA01G0015430 transcript:DexiUA01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSFHLLSLPLLGQLRNGCELTATDAIQRREIELQWLRLVSRRELDRVRGAGIAVAIVYGEGAGVAFVEKGAEHDIDVLHQLVLEIRVPPCGNKHYTSTTSPYASLRCQTKSLMPQACGLESLLQQAAPSSAVPLALHALDAAWPCGLAMPLDPTAEPAQRRARGASASPLVALAPPDLHPDSCSEGGSGDELDGRITLPSFLSLSQPPPPLTITLSTDLLLCRWSSASAPLPRPAQRSLCRVGRPSLHRTRADAGPPIRIVSKSTVRRSALSVSLSIGGGGSQTSMHAAHIEKITDVVYNPEDPPSAYTNPSIHSRLSAYTEVGRGIQGPWWDPADLAEERRQREEMDQRMAEQVVQQVAAQLAAAWEAERQRMQQLQLWMESVVALLGNADQARSVAGKRLIEVARATGYTARCRWYARFRVSNINVLCHDEAIAASNASKATFISSSYDVRRGLHIVVQYLLVAAKLLEGTPQHTPRHDRERDFIFPRLYTQLTSSSLILACFPCQPARSTAACQPSVHTQQSFTGKLTSRRPCSLKVKTAGLPDLSVSGDNFDLVEGDPHDPTTTSETEAPMQSLNQLPVPHHEDQFLYAIEERTRTRDEQSIYHSKVKRLGGGACPWAPRGGRMPLQEWSRTRDQRKPKSCDRALPTVTALSRQVMGTVCGVSVPIKPTSGHTREITESSPEVEIAPRPRNIPSGHARASSCQRGHANGAWAMSCPTRTCAPCPRKVGSDTNCNIPPLRTRPERPLRPRLCVQLPTWPRQRRMGHVVSHTHMRTMPAQLTVHAREIARVGSDTNCNVPPLWAGPDLKNAPQTNTCLFCALFPNNFL >Dexi2A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:2A:573800:576779:1 gene:Dexi2A01G0000950 transcript:Dexi2A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRRRHSEGRNGVMDADAKMLAFSSEKELIGNVEQHLANANATSTTLLHQMRDLWKSHRGTVLRIEALALVAIILSFFLAFFGSCRRWSNRWVAQKGFLAAHVLSLSLGTYSIGLMQSSSVKSEMYPIWAVSLLALSGCIDQVISYNGFDYKGPLAKMIFQLCLYCGYVLLMSFSTISVVFGNLAISMLCAITFIKGFHRSLALVLPSRLQDELGYLEAMESGALAGNNGEELRVYLTTYFTDKAHKDRKQIYGIASMANVYSSSNDMLRELPFEITAKDKTTIEDVCLGYSLSHLLRRRFLGINNVGEMKAKRMVFESSVLQDSRAFDYKRMLKAIEIELAFLYEVFFTSNEYLHFYQAKGASIWALASFIGICLVGVATAIPGKMASRHRNGPPNASTVVVEATTTADLIITLAILVSLALLQLVQLIRCWTSNWARVAFACNYLLKQQYLNANIIRPTATGWRPWMRLKAFVVTRVNWFDNDTSKPTVALHDDVKTSVADFLGQIKSGRIDKQWSSLFVDNGVDASFLPYTRNNGAWGPDMFTRCVLAWHIATCYCEVVADHEAGSGEMHKHRRVAIALSRYCIYLMASAPELLLGPATQTTDIFRQTARRIRYVEAKDWLNSIGSNVLIHAMERKQAMRRTTTEFEDLAPIVMGMYFGKLLIGKEIPPPPGCTRRRSDDPWKLVALLWVQTLLYAAPYGDVEVHRQRLSQGGEFITHLWALLYHLGIDS >Dexi9B01G0032400.1:cds pep primary_assembly:Fonio_CM05836:9B:34631812:34632066:1 gene:Dexi9B01G0032400 transcript:Dexi9B01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQSIDGSISCACSPAVLALAALGKTLWRGCWAVGATTRTASQHAQSLGDAAQSGDAAAAAWAAEAAARWADGHEDRLGEEED >Dexi2B01G0026020.1:cds pep primary_assembly:Fonio_CM05836:2B:35178301:35181951:-1 gene:Dexi2B01G0026020 transcript:Dexi2B01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHGGAGGGAGLDRKRISDVLDKHLEKVVGSPSTSRGSAGGGGRDHHRLVVPSSASSIPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESAHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKASQRYIPRVFGFKLHKP >Dexi4B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:4B:7838001:7838212:1 gene:Dexi4B01G0010510 transcript:Dexi4B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASAAKRRKEEIESIDARRRRAGGEGVAKEARGVGRASEALEELAEERRGGRRDAVAGR >Dexi9A01G0035490.1:cds pep primary_assembly:Fonio_CM05836:9A:40157081:40159504:1 gene:Dexi9A01G0035490 transcript:Dexi9A01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLEAVRSCSKIYMEAYTSLLSLGLDPAALANLEKLYGKEITVADREMVEERVDQVLLEAADADVAFLVVGDPFGGKKVYEPPRFMTVNTAISQLLEVEEARGGSAYGRDTMCIGVARLGSDDQKIVAGPMEKLLDVDFGPPLHCLIIAGETHPLEEEMLEFYKIK >Dexi2A01G0032310.1:cds pep primary_assembly:Fonio_CM05836:2A:42838221:42839165:1 gene:Dexi2A01G0032310 transcript:Dexi2A01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSPTFASATTNLIPAVTFVLALIFRYERLAIGTSSGQAKVAGTFLGVGGAMLLTFYKGADITPWHSHVNHAHREFEEDTSSRVAMGSLLCVTSCIVYALWLILQAKLSKVYPFHYSSTALMSAMSAMQAVAFALCYDRDVAQWRLRLDVRLLSVVYSGVLASGAMLVVVTWCVKRRGPLFASVFTPLMLLLVAVLSSLLLGERLHLGSALGAVLIVVALYAVLWGKGREAAAAVAKVGELPADEEDGDVSISIPRSEEDLCKQQDQQPSTAR >DexiUA01G0026230.1:cds pep primary_assembly:Fonio_CM05836:UA:55645750:55648327:1 gene:DexiUA01G0026230 transcript:DexiUA01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRVPRSAALRNQAFPRDRKRECPLRAPEPDGDRSPIGARRRVPRCCEPSSPSPLCRLETPVDFTGHQSQGRQWHGLPTLAAEEGRYSDFIGTSCRYFDLGKMFWGLNELHMVRSSSVYNEAIEQREQVLECFQHLFGEVKSEDFMHACALDFLNHDGFSNSILLYDEKRDVSEVIADRNYAVGEQVMIRYGKYSNAVLALNFGFTLSRNIYDQVNSECLEKDCSNF >Dexi5B01G0033070.1:cds pep primary_assembly:Fonio_CM05836:5B:33588055:33588288:1 gene:Dexi5B01G0033070 transcript:Dexi5B01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLHPALPLPGSNLAMASASASPQLPHPLPRHAPRELRIRAERRREAWRREPALAAKIQKHRGRRRMGCGRHGGG >Dexi9B01G0026430.1:cds pep primary_assembly:Fonio_CM05836:9B:28574469:28574843:1 gene:Dexi9B01G0026430 transcript:Dexi9B01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGGEKLPQKATTRRRWVPPGGGMPLPLQMQQSQPTTLHSEPAHTGSGSTVTGTEQEARASERGRWSDSGWGRGSVVKGICGRRCGGAGEGGGRRCRGAGEGGNRCRRGATGPAACGR >Dexi3B01G0030180.1:cds pep primary_assembly:Fonio_CM05836:3B:29684333:29684915:1 gene:Dexi3B01G0030180 transcript:Dexi3B01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNDPASPLVVLPYDKAPLNPSADTEPIPSLASKKTVVCAANAFYLGHPAPVLAIEDHLVGGATYLILSVERVTQGNDALTAASLAALSYDRVAAGAGAPKSRFEYVKGDDGRTVIKATPEFRAIASLSKYRKEGEGDTGGEAECAGVLCSTPELRKHYEQLVGAARGHAWSPRLGTIKESKARMQRRKD >Dexi5B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:5B:1643921:1645327:-1 gene:Dexi5B01G0002580 transcript:Dexi5B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAPSLLLPLLFLALLHPAASASQHRHRFGAYLDAPSPDASEPPTIFFEVDRPIRPPRGSSSCSTLLLSGTFGATYGQPPVTAPYAPPACLGGGGALALAVLEWTADCRGRQFDRIFGVWLSSAELLRGCTAEPRPNGIHWSVSRDVTRYAVLLAEPGEIAVYLGNIVDNTYTGVYHANLTLHLYFHAAPPPQLQQQERADLIVSISRSLPLTDGQWFAIQNATDVQSKKLSIPSNTYRAVLEVFVSYHSDDEFWYTNPPNDYIEANKLSNIPGNGAFREVVARVDGEVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPSYDIDITPFLGKLLDGKEHDFGFGVTNALDVWYIDANLHLWLDHKSDKTTGSLLSYDASGLDLNVNSEFTGLDGQFVTSAARHVSATGWVKSSYGEVTTTFYQRFSYENSNVFTKNGNVQIVNQTIDAKVWHFCQGYFFCVAL >Dexi5A01G0028800.1:cds pep primary_assembly:Fonio_CM05836:5A:32003934:32010613:1 gene:Dexi5A01G0028800 transcript:Dexi5A01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVHGGGGAAEAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRISGSLSSLSPPPEPSPAASPASTSPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDAAAAARFPLLTHQAQLVEAFRPQIAQRARERLADRRLPVAAHADALAAVAAIDAPSLAPPQALLLFLTSRRAWITQALNGLASDLSSYTSVLCDVARIVRITLGQVGQLFLPALSDMPLFFKTVLEMTPPEQLFGGLPDPDEEARSWKEHMNQLEATMALLEPDAIARACTDWLKECCAEIFGVIAGGQKLVDAIGSGELLGSVQRLVRDELDGRDGLEGSLEQWLKCVFGSEIESPWDQIRGLILKDGKDIFEDWMEEAFVRRMKDILHTELDSLSSSVNVKESIDAIGANVDPNDAGDFLAYLQKSSKGGGFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGTEVSRIRSAVDTKCKSILDDLLSFVESHNSAPRLKELVPYLQEKCYRTISGVLKELEAELRKLSALLRTKKEDNDIPAASIIAERALFIGRLFFALRYHSSHLPLILGSPREWVKEAGGAAFASAPAISSRSHKSLQSPSGDNTSKGPWKSYSNGDRSTAPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGRGNSMMEYFFELNWTLLMMFNVHVPSVRN >Dexi5B01G0030560.1:cds pep primary_assembly:Fonio_CM05836:5B:31478334:31481415:1 gene:Dexi5B01G0030560 transcript:Dexi5B01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCLHTRGGEVTETSTMSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEAISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWVCDESNRQHQKVPNDLLEQAKAAAQAALEEMDAD >Dexi5B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:5B:9392582:9393103:1 gene:Dexi5B01G0013210 transcript:Dexi5B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASHHISNLPRGWQSLGVDTDGKLIFIDAGLCNLPNVGLGKRLGATVRSYYLVIATRAVFCPGSGGGDCSGEWEKRLIPIKLQQLKVRCVGFGEKEPPPFGDKVNLRWFCEKSGTLLFTLGEGTSSPGAFLLNIATEQVEKVADGVDCDSWRNFVGYEMDGAAYLASIART >DexiUA01G0018340.1:cds pep primary_assembly:Fonio_CM05836:UA:39045708:39046026:1 gene:DexiUA01G0018340 transcript:DexiUA01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPAGEVAGGRLRHGEGMRAESLVALELEGGGLEGVGGERPEEVQELRGHAQLLVLLGRCGGLARTAAASPAAASPAAKRP >Dexi8A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:8A:28110099:28114696:-1 gene:Dexi8A01G0016530 transcript:Dexi8A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAQAAAAARRAEEKGGKTVVCGGGKAAAAARCHPALGEQKVSIRGVVGELLASAGKGRSLISLGVGDASVHACFRRGGEFAAEAVAEAAKSGAVDCYAPSYGFPAARRAVAEYLLASARHHTGDTDVFMTVGGTGAITAITTVLGGAPGANILLPRPGFAPYEAACEIAGAEPRFYDLLPQNGWEADLTSVRALADGSTAAVVVINPNNPCGAVYSANHLLQIAETARDLGIPVIADEVYGHMVFGGSKFIPMASFAHIAPVISIGALSKRFMLPGWRLGWLAFCDPNGALKHVKTATEMLLNVTSGPASIIQAAVPKILSNEHHEFHWNVVHQLESAADVLYRRVSQIEALQCYSKPEGSMFMMVEINTSILYGVANDIDFARELIKEECVLVLPGSVIGLKNWVRIFFGAPVSLILEACDRIESFCRRRTLKKNN >DexiUA01G0012910.1:cds pep primary_assembly:Fonio_CM05836:UA:26780253:26780728:-1 gene:DexiUA01G0012910 transcript:DexiUA01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMISSSALAAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYR >Dexi6B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:6B:18663548:18665518:1 gene:Dexi6B01G0011450 transcript:Dexi6B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >Dexi5B01G0027860.1:cds pep primary_assembly:Fonio_CM05836:5B:29387178:29391564:-1 gene:Dexi5B01G0027860 transcript:Dexi5B01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVRAAQAQPQPKDPSADRRLSTLVRHLLPSSPRRTSAEAADTSATLESFPTMASQQGSSVFATLAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVRSYRYYDPATRGLDFKGLLEDLSSAPLASIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVKVESQLKLVIRPMYSNPPLHGASIVATILKDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSEQVAFMRQEYHIYMTSDG >Dexi9A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:9A:4270091:4271689:1 gene:Dexi9A01G0007360 transcript:Dexi9A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTFFHGGKRWWPPRLLLFAALAWLLMIYLHLAVFHSPPVTAPPHASSLVAAVASSDREDGRRFLLRQQEQLKKIAALPAEDEQRRLPRGDEETCRGRYVYMHDLPPRFNADIIRNCRKTEDHWADMCRFLRNAGLGRPLADRIDGVIKSEAGWYDTHQFALDAIFHNRMKQYECLTTDSSRASAVFVPFYAGFDFVRYHWGYDNATRDAASSDLTNWLMKQPEWRRMGGRDHFLVAGRTGWDFRRSNNVDPDWGNDLLVMPAGRNMSVLVLESAMLHGNDYPVPYPTYFHPRSDADVLRWQERVRGQRRTWLMAFVGAPRPDVPINIRVRDHVIAQCKASTTACTMLGCARATGSTQCHTPGNIMRLFQKTIFCLQPPGDTCTRRSAFDSMVAGCIPVFFHTGSAYKQYRWHLPGDHHRYSVYIPDADVRQRNVSIEAVLRAIPPATVERMREEVIRLIPRVLYADPRSKLETVMDAVDIAIDGILGTVARIRNGEYVDSGGPVTEDPPNLFSSTESVFRQKESSVQTDR >Dexi2A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:2A:10351098:10352872:1 gene:Dexi2A01G0009520 transcript:Dexi2A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKQQQHTLHLVVFPWLAFGHLIPFLELSKRLAARGYAVTFVSTPRNIARLPALAEGLSGRVRMVALPLPAVDGLPERAESTADVPPEKVELLKAGFDGLAAPLADFLAAACAGDPEEEEEGVFGTRPDWIVLDFAHYWLCPIAEKHQLNASGVADSERYSRTVDHCRLLVVRSCHEVEPRVFPILAELFRKPVVPAGLLLPAAGDRHHGGDHSDTTTRGLIARMMAERGVGVEVARRDDDEGWFGRDDVAVAVRRVMVEEDGKASVPWGP >Dexi9B01G0027380.1:cds pep primary_assembly:Fonio_CM05836:9B:29836162:29838384:-1 gene:Dexi9B01G0027380 transcript:Dexi9B01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRALLTSLLRLRGLSSIASPHPHHHPPTPPRRHQFVADPVASTSRGVVGGIGGGSGNPLDPTQLLRDDPVAITASIWVSSFRAAAAASASSTPAPPQPLTPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLASLLSLRNAVLDARFRFGNRLTPFIQSPRAANAPDPSTLSKRKLRALLTTPGPPPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLIRSALLTPVVTASDDAAVKKKKTKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDELDKWMEEKIKEFYKPSKSDVVGGDDGIEQGNTSWPEFVPTSGPDKTRKVDYIRYGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTASGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLEEQRTCIREEGLITPQDYISMLVWGYKKNAVLLPSSKENDAQGSTQDLGSDTDESGEKELRNEGRQGFPKSAEMS >Dexi4A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:4A:6640146:6641341:-1 gene:Dexi4A01G0008690 transcript:Dexi4A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYDRTRGRAADEAYDFDEFDPTPFGGGYDLFATFGRPLSPTEETCYPCSEPSTSYDAPHYSASEPSPYGHHAKAKPNYGFRPQQEQQQPSYGGGGGGYGSRPQPAAEEAGGYGSGYGRKNQEENYGSGYGSGYGRKPQAEESYGSGGYGGQARPEGSYGSAVPGSGYGATPPAESYGSGYGRKPQVEESYDSGYGRKPQVEESYGSGYGRKPQVEQSYGSEYGSGYGVKPQVEESYGTEYGSGYGRKPQVEPVYGRPQGAEEYGSGGYGRKTQEESYGSSGYGYGKKTEEQSYGGSGYGYGKKASEDEGAYGSGGYGRPKPYGEETQGYGYGEEKPKYQSGGYERPSYGGGDEYRGSYGRKKDVT >Dexi4A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:4A:5574316:5577343:1 gene:Dexi4A01G0007490 transcript:Dexi4A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPWKQSGGPACADAGPRSPLGGRSRRRGRLTLYGLAVAFAAFTAYVAFATPSASGDGAEGGASWFGGVYASTAPYRSQISSFFSSILPANPPAPSPEPLRDGSGGGSGDVTRDVSGGGVGSAAGSNSSAAAGFGNQFGSGGGAPIGNDGGGSVPPAGGSAVTGIGGKAEGGAPTNNSASSGGAPNGAVDPNKGNEGAVSSSKGGGGSGSPSNSAAGDETVGKAGESVDTSNKQLGSESGSPSNAADGLGSTVRAEAKVGDGVPSNNSAGSSSSGKVDLSTGSSNNQAASTRGSASGNSSVVKADTQGAVGAGSSGSSGSGTEKVDLSKGSDAQPVSGNGDASHKSAGNSSLAKSTDTKAGLNHSSDAQQGSGNGDASHKSAGSFSQAKSADTKAGSSNSSDTQPGSGSGDASHKSTGSSPAKSDAGDGGGERSRSSVRVVPTSTQTGSLALTGEEEVGSPGKNNTVVASPAVKNQEQTSSEVSSGGSSDTVNKQKGDAAQGSAGSSKDHLANNSKDGGSSGNMKVDWFKQAASCDMFHGHWVRDDSYPLYPEGSCPHIDEPFDCYLNGRRELAYQKLRWQPSGCSIPRLNATDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMQVSGGKKKETLRLDLVEQSSPKYKDADFLIFNTGHWWTHEKTALGKDYYQESNHVYSELNVEDAFHKALLTWSKWIDANVNPQKTLVLFRGYSASHFSGGQWNSGGSCDRASEPITNEQYLSTYPPTMSILEDVIYKMKTPVVYLNITRMSDYRKDAHPSIYRKQNLTDEERRSPERYQDCSHWCLPGVPDSWNELVYAQLLIKQHQMRQQ >Dexi8A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:8A:20871554:20876773:-1 gene:Dexi8A01G0011870 transcript:Dexi8A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFLAVALPILLVVLISSYALQLFHDSRRRLPPGPWPLPLIGNLHQLDRLPHRAMARLAARHGAPLMSLRLGAVLAVVASSPDTAREVLQRRNADVAARSIGDSMRAGGHCDSSVLCLPLRRRWRALRRLSAAELFSPPRHRDTEPLRREAVSGLVFLVSGHASRGAAVDVGRAAHAAALGLLWRAVFSGDLDAATAGEVSDIVGEASVLAAGPNVSDFFPAVAPADLQVVRQRMARLVKRMYDIIDEQIEERERSRDAGEARKNDLLDVMLDNEGEVEEESTDGMSHNAIRGLFTDLFTGGETTSHTIECALAELLQSPNSMWKVQEELKNVIGSKQQMDEADIGKLPYLQAVVKETLRLHPPVPLPPYEAEATVEIQGYTIPKGTKNLACTAPHITLATAHLLRLLDQSSLPALPERLERDDVLQAGYVQGLDWRQRKLRVVDVQRRPKLVPRREAMAAFLLLCISSLLVIFITTYIFQPLMDARRRLPPGPCRLPIIGNLHNIAGYPPHRAFAALADRYGPLVSIRLGGVRAVVVSSGDVAMEILHARNADLAGRAAMDAWHASGHHAHSIIALPPRRKWRALRKLCAEHVLAPRRLADLRAAREDEARELARAVSDGDGGSPAVAVARVVFPRVAGELWRSMFSEAMDATTARELGDVVREAVVVAGAPNPSDYFPALAAADLLGSGRGWRSSSRGRTW >Dexi3A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:3A:16633412:16633687:1 gene:Dexi3A01G0021010 transcript:Dexi3A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGADERLCLVQCVSIDDGDADEDQLEEEEGVVSRPTAGYRYWLTTFSLSYDTNGDLTSGETCRVQWYRVPREITQASSASEPVAFWL >Dexi7B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:7B:15800852:15802003:1 gene:Dexi7B01G0008010 transcript:Dexi7B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQIGNLMSSEEGANIEFRVGRDTFRAHRLVLSARSPVFRAELLDRPMKDGTNVVVLVEGMEAPVFRAFLTFIYTDTLLEMDPEEEYTITRRLLVAADKYSLERLKLICEYSLCNHIKTGSVATMLELADRYHCPRLKEACFEFVRSSKVLLDVTETEEFKSLAQSAPAMAKEPAYDMHRQIGNLMSSEEGADIEFRVGRDTFRAHRLVLSARSLVFRAELLDRPVKDGTNVVVLVEGMEAPVFRAFLTFIYTDTLLEMDPKEEYTITQRLLVAADKYNLERLKLICEYSLCNHVKTGSVETMLELADRSSKVLLDVTETEEFKSLAQSAPAVAKELVFNFLARGLEKAGISRWNQEGQVSVIRAST >Dexi8B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:8B:2472136:2474467:-1 gene:Dexi8B01G0003330 transcript:Dexi8B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELEAAAGGQAEPPDEEEDDPDVDEVDPTGRYLRYKEILGSGAFKTVYKGFDAVDGIEVAWAKVEITSRIMGSPKELQRLKTEIQLLRSLQHKHILMLYTSWVDNKKRTVNIITELFTSGNLRQYVFTLLIFCYTHAECSGIKPVALSKIKDVEVRSFIESCLASATERLPASELLKNPFLLKDDTINDKTSNPAQEPIAFPQNLDLDLDGTPTLVSLFSNGIVHDGKESFRLVLRRGGFVMEGVTCAKNPIKLLLRIPIPNGKCKNIEFAFDVEKDTSLSVATEMVEELELPAWSMLVVAKLIDAFLLKTVRGWRPCVQVSQMIQAMHDTASADGM >Dexi9A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:9A:1190122:1192273:-1 gene:Dexi9A01G0002250 transcript:Dexi9A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGEAETTMIRHRTVEANGIAIHVAESGEEASQRVVVFLHGFPELWYSWRHQMESLAARGYRCVAPDLRGYGATAAPPDVASYSAFHVVGDVVALFDVLGLDKVFLVGHDWGAIIAWYLCLFRPDRVTALVNTSVAFMRHVYIRSDAGASAVKPIDYFKRLYGPTYYICRFQQRPTG >Dexi8A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:8A:20208654:20209667:1 gene:Dexi8A01G0011480 transcript:Dexi8A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPARWLLVAVAAVAVMAGSCVMAAAPRKPVDVPFQKNYVPTWASDHIHYVDGGREVQLFLDRTTGTGFQTRGSYLFGHFSMHIKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDVPIRVFKNSTGDLGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWANAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDGAQYRKLKEVRDRYTIYNYCTDRDRYATMPPECARDRDV >Dexi5A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:5A:628502:631594:1 gene:Dexi5A01G0000920 transcript:Dexi5A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLASHPVRTQVVSSGILWGLGDIGAQAVTHYSARRPDSRDNKLPDPEVAAAVDHPLPLASSVLVVYRLPIQFPGGVVPGLDKDKDKEFKVDWKRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRFQPNTFKFVASKVAADGFLFGPLDLLLFFSYVGLGQGRSIDQVKEDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDASWKQWFTSFHKIEDHKSNV >DexiUA01G0019850.1:cds pep primary_assembly:Fonio_CM05836:UA:41192247:41192807:1 gene:DexiUA01G0019850 transcript:DexiUA01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRRPSGPVLSAAHYRSASPTRVKLAGAGARSTAGQSVSVSSTSTTGAARSHRRTCMCSPTNHPGSFRCSLHKERKPAAAPHGGHGHGQRPTSPPSPPSPVSSGPTTSRLGAAAGRRMGSALVRIGAVEGGEWARRALAATVRPSAAAQQSQHRRRVGGFRPRPSRLSAVSMAGDRAGDNAQ >Dexi4B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:4B:13940891:13941829:-1 gene:Dexi4B01G0013810 transcript:Dexi4B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPRREEDPLQGQEDLQPDQGQEDLQPEQAAIRYGHVFAVTGDLAGQPIAPRDAAAMRSAEDSVPGVQVPEGAGGGFSAATAMETAAAYNQAIGAVVPGQASDVAAARGITVTQTAVPGGRIITEFVAGQVVGQYSVADPAPAVEEDASKITIGEALEATARAGGGRPIDRADAEAIRAAEMSAHGTDVAMPGGLGDQARAAARANAQATRDGDKVKIGDVLSDATAKLAGDKAAGTEDATRVIQAETFHDAEARARAGGVGAAVTTAARLNEDNNLGDA >Dexi6B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:6B:17549474:17555360:-1 gene:Dexi6B01G0010800 transcript:Dexi6B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGGALAWQQYRSLLRKNATLTWRHRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPVALVAPPIPPCEDKFFIKSPCYDFLWSDGGSARVQGLVDAIRKNNPGRPIPADKVLGFRTPDDVDAWLFQNPMRCPGALHFQDINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTLSALFTVLFGMMFQFDFFLHNSFGILFFLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSADYKKLYRILWSLFPPDVFAKALSVLGQATATPEDKGIRWNQRGECPSSETDCVITIDDIYKWLISTFFLWFILAIYFDNILPNVNGVRKSVFYFLMPSYWTGKGGKMQEGGLFSCLGSSRAADDTSPTDEDVLAEQNLVKEQAANNEVDPGVAVQIHGLRKTYPGTFSIGCCKCSTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGHSVRSNVGMANIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSAIKLVAEESLAKVKLSQVTNVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHMQSINSNTEAPVNPNIEAVKLFFKERLDVNPKEESRTFLTFVIPHHKEPLLTRFFGELQDREAEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGATIQIPKGARFVGIPGTETEQHPRGVMVEVYWDQDENGSLCISGHSDEMPVPVSADLRRPPSISRRASMAREGPVGYIIDPNQVS >Dexi6B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:6B:21056288:21059464:-1 gene:Dexi6B01G0013350 transcript:Dexi6B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGTASMGRAATPAPGPHSSGWPRAVARLRLALGSSEAAAGSGSGGWMACFRPAPSPAAAVAAGAAAVKEAKGKPPEVEVVEPARGGGEDVWSADADAEVAQGGGFPEHLVIMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRSGVKKISFVAHSLGGLVARYAIGRLYEPNNRSKSSAGTSRDDVEHLEGLIAGLEPMNFITFASPHLGSSGNKQVRLISFAVYIPRVKPHRLLVRDEKYPHIVYVEKEVTNNNETEARSDLYDPEGMLTSYSNYF >Dexi4A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:4A:349894:350976:-1 gene:Dexi4A01G0000510 transcript:Dexi4A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAPRPRPRPSSKCHPAALPAVAAAAPPRRARRLTMRWRCCDDDTVGGVPRRRAQQLLHNQHQERFPELALRRGPENNNGGQAPPPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTAQGWRDAEDCGRRLRDLFSNSGDSDWKVYFYVSPYRRSLETLRGIGRAFEPHRFAGVREEPRLREQDFGNFQDRDQMRVDKELRLKYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFHPPQQQRRTDDMNIVLVSHGLTLRVFLMRWYKWTVRQFEGLNNLGNGGTLVMQTGEGGRYSLLVHHTEDELREFGLTDEMLKDQMWHKTARVGDINYSFITNGQSFFDERTDLYL >Dexi7A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:7A:28544413:28545454:-1 gene:Dexi7A01G0019310 transcript:Dexi7A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding KREAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSSIYEENKDEDGFLYMTYSGENTFGLH >DexiUA01G0016420.1:cds pep primary_assembly:Fonio_CM05836:UA:34971615:34972541:-1 gene:DexiUA01G0016420 transcript:DexiUA01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRRKKRKRTRLPAAEATSAVHNLSDDLLELVLLGLDSPLCLIRAAATCKRWRHVVADADGAFLRRFRSVHPPRAIGTYYNTSDEPFSYGRSHLPPSDPVFVPSSTTVETDGNGPGGGLQLTLDFVPCAGEPRDLVDGRGSLLLLFKEKEGTAKRFSCDCLDHHGYYISPDLVVCEPLTRRYQAIPPPDHGVFILGAFLLDVDDADEAAIAMTNFRVLLVLYEHDYDNHNRHGYPSASVFNTCGSSNPGWCHGEQHYDGVYLPRLDEVHLAGRTGGRVYWSCDDKQVVVMDEHTLEFSNMALTDT >Dexi9A01G0039350.1:cds pep primary_assembly:Fonio_CM05836:9A:43345105:43346184:1 gene:Dexi9A01G0039350 transcript:Dexi9A01G0039350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLFKSSDLLSSVAVAYTMSGAASGEANPAVRWIVLGDTSLCSTTELSTRKVSSGSHVLHSVSLMGVILGDPMLTLWMVSRACVDSPAYSPMGFTVCAVPEKRSSGCDTTSMALTNATLSFVVGVNVIDSFAPATFSENSLTVAVPFAGGPSMRDTPWEEDTDTPKLASVARLPELYWKGKKWRRTKWRPGLLIAKVYEDGERNTRADWYSAGSPEEEVLAADPTEELAVALCGAESTEDGRVASPTNARPSTVALADVPQRMRWLSAGTNAARAGAAADSAESTTTARRSMSGTGDMVDEVRGGGSRQDHEPLLLGLARGSSWERLAPWERDGEPGRGAEEEAAWPHGGGGHGG >Dexi9A01G0035270.1:cds pep primary_assembly:Fonio_CM05836:9A:39872977:39885651:1 gene:Dexi9A01G0035270 transcript:Dexi9A01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKRKRAAAAAAAAAAKWKVGDLVLAKMKGFPAWPAMISEPEQWGQSSAKKKPLVYFYGTKQIAFCNYADLEAFTEEKKRSLLAKRHGKGADFLRAVDEIIEVYDSLKEKDNCKGDLASDEVKPGVENLVENNGCMDTENLAEYSEIVPDSPNSKNEVNKSDGDEHLPLVKRARVRMGRAQLEDSSVDEIDFSNKKPELATTADQCDTHGRPAIPGNDYPADQLPTVVNTVSNPSPKFDMPILSGEGHPSWKNKEYHPKILSVDVEAALPPSKRLHRALEAMSANVAETNNSIPEVTRPGDIVLNDPSKANDHEKHIMIKGDNIEETDMDSKTANCGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYIIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEEEDGNSSEDKSFEAVTPEHDAPDNDDNEESQTPLEKHRRILEEVDGELEMEDVAPPSDVEVTTKCEPEQNGTNIMASDQRSSVVGPPLPVDGPPSPPPLPSSPPPVPPPPPAPIPQSAQMQQKLQMASDPNGPHPPRAAYDMEGNRIRFHLPRLWHHSILLVPMATSLHLLHHTMEITIIDLQVHQFLTRDIICNHHPLDHLQINSLLCHQNTNKGHITGVITVHRILRDIGTMDMIEVITDMIEGIMGMRDIILMIEDTTMTIEDITMMTEAITLMIEDIILTIEDITLMRELLGGQCTMRLLTGEDILFRQGLLQFQTILKLHQPQCTMGDHRSLHQGLVQAGLGPLGYLTILPPDILWSLQFQMQLEDMVAGDHDNLLLWYRDGVDAFLSLGIFTWLTWKTEVTPPQDGGTREILQEVGADTCFLVYMYLRIALQKQKCDKAVS >Dexi7B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:7B:23091315:23095144:-1 gene:Dexi7B01G0017100 transcript:Dexi7B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGVARGRLAEERKTWRKSHPHGFVAKPETLTDGSVNLMVWKCVVPGKEGTDWEGGYFPLTLEFPEDYPSNPPVCKFPAGFFHMNVYPTGAVCLSILGSAWKPSISMTQILVGIQDLLDNPNPASPAHHECYQLYKKNKLGYKDRVRQEAKKYPSLV >Dexi5A01G0023000.1:cds pep primary_assembly:Fonio_CM05836:5A:27117804:27118367:1 gene:Dexi5A01G0023000 transcript:Dexi5A01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAAMKEGPSATKPQAVRSTGGGGGSGATVVTDAGQEVSVSQFVAQLDEAARRRLDSIHQRLRLLEQQMETLEAEVGKARSSSTMDTYA >Dexi3A01G0022700.1:cds pep primary_assembly:Fonio_CM05836:3A:18311087:18313347:-1 gene:Dexi3A01G0022700 transcript:Dexi3A01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRRSLAGAWPAEEEDGGDAAAAAVVLSGEYQALEMSTMVSALAHVVAGHDNDNDNDDDGYPQPYAAGGRGDPTAAMAAGGYGHGHADAAQHWGSYSSAAAPTPGHFFAGAGEEQGVHHHQQQQQQRPTAAMEEHSPTATAAQQATGGRRYRGVRQRPWGKWAAEIRDPHRATRMWLGTFETAEAAARAYDAAALRFRGSRAKLNFPEDARLPSSTAATSAATAAPSSTAAAAAAPSYPASSAAEYVQYQMLLGASGGGGHGGGFPHHQYYGSGGGDMSISSGSYSFPAASSVTVASVPPSSADPVYYGEAAAQWTSGGTGLPEGAWSYPATTGSWSGSSYYPPSTRPQ >Dexi1A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:1A:15462297:15470654:-1 gene:Dexi1A01G0013190 transcript:Dexi1A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAPTCTPAAAADGGIEENAMAILDPSGIKDSRDLHEDRAAFLEAVRSACLAANNPSAPSCSILTQCSFIDLLPSARRMYDGVFQVLQNSSSLELAVASFHLLMELGKRYPRTYLTDSGGHQSLVLAKESWLPFLIGNGSVSNEIGGNARSSDHLFDPSRFSFLIEAMVGPTNATDDDKNEIKAIENMILFQYLVNTLETDFVPRHIAYKESLDWVIFRESLLNMLLGSRKLVFKSFVKNCMLLLNQYHPEAKDAVEGVPSEEAAKSASDLDSSLSYLSLESERTLVSLRKLFVMVMELDLIKKEADTLGLTSRADGVRNPIMEVILDELTYNISYLSSFLLAFVEGKWKLEIILQYFSKYCGKGPVRTRRSGNSQEDLKLESVLSFFLTATSAKAIVKKMGTEVGQLLLAHAYQVCLSVQNDTSDSTATTNKIGASLKEISCNFIYAFQNLRKVEPNIQISPFEKEALFTAATLTRD >DexiUA01G0020650.1:cds pep primary_assembly:Fonio_CM05836:UA:42894791:42897167:-1 gene:DexiUA01G0020650 transcript:DexiUA01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLARSAPGRSSAATWPASGGGPAELAEPRRWPLPSLNIAVDRPPRLAPRERHHGGRSAAVAARAHALQRIFHSLTRQSLTPNPMRALCPSSPRHQWRPRQPAAQCLLARPNTPPSSLTPSLSLSPSPRARSRRLQPRAARTEPSFEAEFALHSPPFPNSLRTKLDPFTSLPFPHSSRAVINSPARNRDFPQISIFWPPEHPHVEPLLRAIPEKPRRPRRHCIAAGRFPSFPRPPNCHQSTRGEPLVLSPHFPDPISPSLGRRNSGDEPRTFLHQGVVADGFYHLIPANGEGTPENGAGEGTVDPEANPQLAQEGKPRSIT >DexiUA01G0011390.1:cds pep primary_assembly:Fonio_CM05836:UA:22974151:22978329:-1 gene:DexiUA01G0011390 transcript:DexiUA01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRVAGGDGREEDGAKVGLPALELARAFPQATPASIFPPSVSDYYQLDDLLTNEERSIRKNVRGVMEKEIAPIMSVYWEKAEFPFNAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFILVHSSLAMVTIALCGSEAQKQKYLPSLAQLTAVGCWALTEPDYGSDASSLRTTATKSVYLISSRFILRKGTPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQSMLLVGWRLCKLYESGKMTPGHASLGKAWTSRTAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPATLAKARL >Dexi7B01G0021170.1:cds pep primary_assembly:Fonio_CM05836:7B:26294454:26294654:-1 gene:Dexi7B01G0021170 transcript:Dexi7B01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSNDLGVGSDELPQNAVEKAWPPVNHASDVCTLLRLLWTGHDDVRINQPPASSQPKEVKTRRPS >DexiUA01G0000080.1:cds pep primary_assembly:Fonio_CM05836:UA:750277:750582:-1 gene:DexiUA01G0000080 transcript:DexiUA01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWRRSMLVVSTSSRRAFLAAGLAADGPGGYHPAESVREDAVATDARTATALSAFFRVMEGLGVRNTEDGEEEGQEGAGNVAMAAAGTSIPCPAAAGFRC >Dexi7A01G0022710.1:cds pep primary_assembly:Fonio_CM05836:7A:30883137:30887184:-1 gene:Dexi7A01G0022710 transcript:Dexi7A01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQANSDVGIGGGATGGMVMAPRSMSGSSSNAGLFHSPNPGVVGNASGVGPSRSSSGDAFRGTGTPKYKFVTGSPSDWTEHELCILKEGLARYAREPNIMKYIKIAAMLPNRTIRDVALRCWWTTGKDKRKKPDGFFVGKKNRDMKTVENMDLFLRTSSNIKTILSRMSETPGIMGQMASLPSSINEDNLNSLIQLNRMVASYGTPSMSHHTKQEPRS >Dexi6A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:6A:24383494:24385179:-1 gene:Dexi6A01G0016530 transcript:Dexi6A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHQLAGLSWLLVVAATLCLLGSNTARAQVLFQGFNWESCKNQAGWYNFLRGKVDDIANAGATHVWLPPPSHSVAPQGYMPGRLYDLNASRYGTEPELRSLIAAFRGKGIHAVADIVINHRCADKTDTRGVYCVFEGGDTDGTRLNWDADMICSDDTAYSNGRGNRDTGKDFGAAPDIDHLNPIVQRELTEWLTWLTADVWFTGWRLDFAKGYSASVAKAYVDDAKPAFVVAEIWSSLNYNGDGKPANNQDGDRQELVDWANAVGGPATAFDFTTKGVLQAAVQGELWRMRDSNGKAPGLIGWTPEKAVTFIDNHDTGSTQNSWPFPHDKVMQGYAYILTHPGIPCIFYDHVFDWNLKQEISELAAVRKRNGIHPGSKLSILKAEGDVYVAAVDDRVIVKIGPRYDVGGLIPSGFHIAAHAEGYCVWEKSGLRVPAGRYH >Dexi3B01G0033820.1:cds pep primary_assembly:Fonio_CM05836:3B:36634321:36636119:1 gene:Dexi3B01G0033820 transcript:Dexi3B01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEDVLESLKNDGSIDALRMKIIAQLKANEDMKKNTMMMVEQSKVLNTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDNGGLGKEITETVEKVFCRLSGMDMMPPPPSAAGADQEKDDMAIDEGEKSKEMDSFEPSSSRKRPFSDINRKGAGAVPNGSATEQHDESDDSDQKM >Dexi9B01G0023130.1:cds pep primary_assembly:Fonio_CM05836:9B:18142475:18143957:-1 gene:Dexi9B01G0023130 transcript:Dexi9B01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYFLKTQQLQNDKQLFLLPKRQLVTYAIYALIAVALLYLFVDPAAPASSTAKPSVAAPWMQEELTPPSSSPPPHYHERSRSAATTQVVAVSSSSAWCDYTDGEWVPDPRPPPYNGTACEAIKDGRSCMANGRTDTGYLHWRWQPRQCDLPDFSPEAFLTWLRNKHMAFVGDSLARNQAESLMCLLTSRSPAELVRREEDGRFRRWVFRQHNATVSIFWSPFLVRGVEKSEHDGVRYNELFLDAFDERWMSRWLAGGGDGVTIDAAVVSVGQWFRIPSVYHEGGKVVGCYGCAAELNHTETSFFAVFRDVLRRTLAEITRRNRHEHGHGEKLVALTTFSPSHFDGEWNKGAPCTKTTGPYRKGEKEMGYTETEMRKIVVEEAAAAAAAAAAPAVRFAAVDVTAMANLRPDGHPGPYMRKNPFAAVEEGRPVQHDCLHWCMPGPVDTFNQILLQTMLR >Dexi8A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:8A:28927550:28927912:-1 gene:Dexi8A01G0017180 transcript:Dexi8A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSGQRYRPRYESGGPPSRYSKRTSRASAIRHRGIGPARQLQRFFLRAWERAEGWPAALGRRKGPPSPWSKHQAPGLASLPPSSHRSRERQGREHTACRTPLHHHHHHHRRRRPEIVLM >Dexi3A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:3A:3275488:3276160:1 gene:Dexi3A01G0005050 transcript:Dexi3A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIERLIRDNMDLFMREEIQVHVVGDPSRRPASLQDAAREAEEMTRSHSRYHLILAICYSGRWDIVQACGELAAKAQDKLLRPEDIDESMLAGHLATNVLGDQLACRDLLIRTSGELRLSNFLLWQSAYSELYFTDTLWPHFGEDQYIKALKAFQSRERRFGQRKSSSHTA >Dexi6B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:6B:17452743:17454950:1 gene:Dexi6B01G0010770 transcript:Dexi6B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRFVLFVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >Dexi2A01G0031360.1:cds pep primary_assembly:Fonio_CM05836:2A:42126360:42127984:-1 gene:Dexi2A01G0031360 transcript:Dexi2A01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLEEAVEPRKKSNVKYASFCAILASMASIILGYDIGVMSGAALYIKKDLKITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFAGSLLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPASARGFLTSFPEVFINIGILLGYVSNYAFARLPLYLGWRVMLGIGAVPSALLALMVFGMPESPRWLVMKGRLADAMAVLEKTSDTPEEAAERLADIKSAAGIPKDLDGDVVTVPKERNGERQVWKELILSPTPAIRRILLSAIPSSCSPRVFNSAGITDDNKLLGTTCAVGVTKTLFILVATFLLDKVGRRPLLLTSTGGMIISLVGLGTGLTVVGHHPDAKVPWAVALCILSVLAYVSFFSIGLGPMTSVYTSEIFPLRVRALGFAVGVASNRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFFTYLPETRGLTLEETGKLFGVEDMDVSGEENASAKEKVVEMRTS >Dexi4B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:4B:197048:203537:-1 gene:Dexi4B01G0000300 transcript:Dexi4B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQQQQQLLGADPNPSSASRLPAAPSLGQCKRRCWHGASTRFLINNKLVHHRLAKDALNLTVRPSKKDEILAMKWLGFLDAGANNNDINNACREQAGMTIGAGEL >Dexi2A01G0036450.1:cds pep primary_assembly:Fonio_CM05836:2A:45990744:45996319:1 gene:Dexi2A01G0036450 transcript:Dexi2A01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGDVERRRAVSEDQRGRKGIAEKELAEGRRWLFACVRGPGMNRCEISEEETTNSLNALYVIPAPANGDLVEKSKKHSKAKSKRDMDHDEYKASKKIRKEERHHFDRDRNPGCDLASGDVPDEAKALPVKTSTSKGSGERSEVSSSKQKNVSRHNRLENSKKARQEDVLVPEDDKEHFHQSDLQKSDMSSKKRIVKEWEESQYNSVAHVSKGATMNHIAAIKETYKDQNLKEAKLKPLKSEEPISATESKPGKIQHADQILSYDGGHMASELVEDNTPFSSKRGPPELENNLCDQALDLGEPAPIDVAYAQTTAVTSSSSKVPGSQKKKHNTQATKTSPIESLSSSPQRNSNIDKVSHSRISGKDVSLNANSSTIPSMGKQINTEVGLAGNDQRASEPVSVGSSRRKSDKDSGQQGHASDGIHFERGLNDDLQHESLRKDSNVKSSHIPRGSNHLHSGDKSNYHTDDSPVQPGKHNVDPRTSVLDTKGDLSMHENKKSVNSLQDRNGTTHCLPDGNPVPGLPSGKDKTYLKSNKQDSHKPKPQMVCSPPKESKLDSHSTPLKPNGSKSTPQIRQYNAENGGRHGTAKQVIPSPAHTSSPARKDNTSTAYALKEARDLKHKANRLKEEGKELESTRLYFEAALKFLHVASLLEPISFDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQTVVQTAPGESPSSSASDIDNLNNNGLSKGSSSKDANSPQVAGNHLLLAARNQPHLMRLLAYTNDVNGAFEATRKSQLAIASAAGNHENGMDGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >Dexi3B01G0035720.1:cds pep primary_assembly:Fonio_CM05836:3B:38708738:38708989:-1 gene:Dexi3B01G0035720 transcript:Dexi3B01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTHLPTSTSSAVFLPAAAASLAGASCSRGPFFSCPTWLAAIAACHPPCTAAASSPNHTLVDAVVEAMETKNRELI >Dexi2B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:2B:30012808:30016460:1 gene:Dexi2B01G0019860 transcript:Dexi2B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTGVAASKVLILVGAGGLSSYILPAAAIGAMGYCYMWWKSAKEHKVVESKPAMFTSDVENEKLTKTAAVKGAAVHRSIRFSFGKEGLAL >Dexi8A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:8A:28764684:28765688:-1 gene:Dexi8A01G0017040 transcript:Dexi8A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVDIGANPSAEGGGEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFIKRYIKNLTAKLEPEKAEEFKKGIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFAHGLKEIKC >DexiUA01G0021790.1:cds pep primary_assembly:Fonio_CM05836:UA:45027615:45029246:-1 gene:DexiUA01G0021790 transcript:DexiUA01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAAAQSSSKPHAVCLPYPAQGHITPMLSVAKLLHARGFDITFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSEDDDVTQDIPSLCKSTTETCLPHFRRLLSDLNDPSTGHPPVSCVVSDVVMGFSIDAAKELGVPYVQLWTASTISFLGYHHYRLLMSRGLAPLKDVEQLSHGFLDTPVEDVPGLRNMRFRDFPSFIRTTDPDEFMVSYVLKETSRSAGASAVIVNTLDELEGEAVAAMESLGLARKVYTLGPLPLVAREDPSSPRSSIRLGLWKEQEECLQWLDGRDPGAVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLETICGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDNNVRRDAVASLITELMEGEKGKEMRRKAREWRDKAIEAAKPGGASQRNFDDLVRDVLLPKN >Dexi9B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:9B:14674539:14683670:-1 gene:Dexi9B01G0019950 transcript:Dexi9B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTEVAVVVEDAAAAGSPPAAAEGPAAAANGGEEGMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKREDPVRSAVIDSCIRVTDNGRESVHRSEFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHVVACSHRRWQAFRLSRRNSRMHSIDWTLFSSAHNDPMASDVIAPSPWTIFGCKNGLRLFTEANDGSSRGKYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEGMRKRDLLLRRYWRREDDGTYALREFFRAKNGNCACMEFSSGELTRDMGLPQADEFFDVPDESEYDQREIVFPSDESTHAVIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQKYAAQGGTKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTYEELPEFLLGTCRLNYLDASKAVSIDEC >Dexi1B01G0023010.1:cds pep primary_assembly:Fonio_CM05836:1B:28669144:28688999:1 gene:Dexi1B01G0023010 transcript:Dexi1B01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSRGWNVLDHKLGELDDTFPNHMPNPKGHATTAMSLTNDAARAGLRTARSGMVDGTGATINGDRLMTVTRSRSRAAGSVTSPQSEMAPTVRQEEALPSPSTPSKRPRLSSEPRRGRRGITGEVTADGGAAATVGLEEVEEEVAGVEELEEEVSVAPAASDSMEATAFVPNSPIRRPYLMEYDSNGQRLSETPFSEDLQLDQAYEDARQQYFKKLALLSKLPTLDNNTLLESIPIQESAVDTILKASKFILGLSAYTGGVLLKQSSGILMERNEGKGTILTTAHLFCSRSPNLDVWLGGQEYARDAQVRVQLLQMDDLDDIEAPGELIYLDEQYGFALISVPMIPPETVPRFCTELMFSEDIILLGRDKWDLQIGNGKVMNNGARSYQRHHYMYFEAEISACAFGGAVIDLEGNFIGLIANSVDFIPSSTILRCLDLWRSFNCIPRIHLGMKLFGIRCLTLVSREKISRKYNIDYGLIVKEVSGGSNAESHGVRMGDIILTVNETCIATAIEVWLENMLLDTCKDYLEKGIGGDSDKDVVLVLDAFNTTKCRHGRIHLTAKMSRGAEIIERVL >DexiUA01G0019190.1:cds pep primary_assembly:Fonio_CM05836:UA:40100304:40103570:-1 gene:DexiUA01G0019190 transcript:DexiUA01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPATVLLLPFNTVIKGLCNEGEVGAAMALFRRMPQVPRQNGTGVSPNFETYIMLLEALVNKRLFDTASEVCKECLRNKWAPPFQAVKALVQGLLKSRKAKQANEVLVAMRKAVKGDAKQEWIKVEAHFQSLASSSPTNGLTDPSIRNRTPLARVRLDDLAPFDGASTPTYASAVDAIAQSLARHGAAALDLPAPDAAIVRCGLESARSFFRARPGGLYVYRAGR >Dexi5B01G0021840.1:cds pep primary_assembly:Fonio_CM05836:5B:24151039:24151478:-1 gene:Dexi5B01G0021840 transcript:Dexi5B01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVIRTEVPSGAQGPGNDRARAYVAAKNGNVGLGDFPTERENSVVKTAAEQISSQQERPGSKQDKAV >Dexi1A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:1A:4185044:4185447:-1 gene:Dexi1A01G0005690 transcript:Dexi1A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDCGYRAYTVISVDDLYNPFASMYFGASYLAWLSHYEGREQSYEFIVQAYLGGPENVNLQETGPLWNQFLEALTQYQDPKKYACAFCLKLCLTFFSKKSFSLR >Dexi4B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:4B:9721634:9728352:1 gene:Dexi4B01G0012070 transcript:Dexi4B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAMAAAGRRVKLDLFLDPPPGEASQKEGIGGEIRDQQTVVPTSPSSSDKKENPLALLGQYSDDEEENEAAAQPTGEAKGSPGDTNAPVTIEHADAAVDNGDAQTEPSVSVGDQQEAPQAGDVKNYTQCVTEENTLATEPTQQEESATAVESVLDSSGMQIVGDVGGNWKAVMHGQSNQCYYWNTVTGETSWEIPNGLTSGVASASVPTHMDYSIEAQAHVLPQNTLEAYPSDMSVVNGAATYANFGMACGSAQVSQDAYAYTAPVVSHESMDIDPLYLAKYGEELLQRLNLLQRLHGSNEGVELLRREIGIRISDCNALSSYGSSLLPLWLHAEVHLKQLDSSVSKLEMSYRADSEPRDSMAEVAEDRAPNEADMIAPSNGEALKPEGSAGVTLDENVNIDKPSSISSAQNAQDIDAAAVTPKLESDNDEDMDVEMEVDEDNVEEQAHCSPVPNKEHPPSEQVSSSDLPPLEGPTPPEDNDVPPPPPEEEWIPPPPPDNEPTPPAPPAPPEEPAASYIHAGTSTEPYIAQANVGYALSGMEYYATAGTEGTTANYYMQWKAAKEELHGDDDDDDEPESALEALERKRQKEIEEWRKQQIATGEAQHNANFVPVGGDWRDRVKRRRAEAKKESKDESVAASLSSAEQHKGSPDLAQLSKGLPSGWQAYMDESTKQVYYGNSLTSETSWERPTK >Dexi9A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:9A:11487628:11498266:1 gene:Dexi9A01G0016470 transcript:Dexi9A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVQHLDLRHSGGRRGASARPMQPHAAAFRASQAIVAVAIGTHVVGKSSLTLLRLLGRGSLVLGTLGFDSNSKGMLAIVAVAIGTHVVGKSSLTLLRLLGRGSLVLGTLGFDSNSKGMLVPRVEFDALTGSKIASIDLGARVVRMAYSPTTSHVVIAILEYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLITIAKDGALQVWKTRVIINPNRQPMETHFFERAAIETMDITKVLTLQGGEAVYPLPRIKNLAIHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQNQLTISDVARKAFLHSHFMEGHAKSGPISRLPLVTISDSSNLLRDVPVCQPYHLELNFFNKENRVVQYPVRAFYLDGFNLMAHNLSSGSDNLYKKLYSTIPSNMECHPKSMSYSPKQHLFLVVFELSGPNGVVHEVVLYWEQTDLQTVNSKGSSIRGRDAAFLGPDDNQYAILEEDRTGLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQGPLQFTFESEVDRIFSSPLESTLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPNENVLQHLTTLYYRSMLWVGPALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQEKGVEIRSCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRLLFWFDSLRITPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLAQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWDIKTPTNIKTIPQWELAGEVMPYMKTTDAGIPSVVADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSENAQSVPSVSAEKNKAIAGPDSVGDTLARQLGVQIASADEQARAAEEFKKTLYGVVDDGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPLNRTRSLSGTPQEFNQAPMQPGGPAPAVSPAMPNTAIDLFGTNTLVQPQAPSGATGPVVAGMGVTAGPIPEDFFQNTIPSHQLAAQLPPPGIVLSRMAQPVPPGMNQGQPVPNQNMMPNVGLPDGGVPPQALPQQSQFPQQSGIPMANIGLPDGGVPPQSQPLPSQTQTLPSQPQSFQPGIPAPSQPIDLSALVEGPGAAKQPARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFSALSAPGCVVCGMGSIKRSDALAGGPGPVPSPFG >Dexi9A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:9A:14223829:14234260:-1 gene:Dexi9A01G0019320 transcript:Dexi9A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPTNPSPPVPVPVPVSTPPPTANGAASSPKDQPPPQPQQQQQAGGQEESAAAAADGGGGAEAAEAGVVAGAAGEAMEVDGGPGAGDAEAGGAAGGGGGGGTGGGAQQASPATVFRIRLKQSPASLRHKMRVPELCRNFSNFLPCGAFCCSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPNKGPVNLVRDASSWQCEHEWRQDLSVVTKWLSGTSPYRWLPANSTSSNLKTFEEKFLSQQPQSSGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGSPTSFGGQPPMQTVWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVSVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPAILSTQTKVNSAAWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIAFLDADFHSLPTMQQRQQHCPVSVGYVDAVLDLASHFITRLRRYASFCRTLASHVGPSSTTSTSRSMVTSPTNSSPSPSNNQGNPGGATSATGNSQMQEWVQGAIAKISNNSDGAATATPNPMSGRRQAPRLLANAQKNQDSAVHKIQHMMNAKTEDNAATVRSGLGAAKVEDGQPTRGGQFALGAKGPEENPIGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSTLPHPLPASQVGSNNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMLHIKFSGSINPLLSDMEEDHGNSLGIQSLWPRKRRLSERDAAFGLKTSVGLGGYLGVMGSRRDVITAVWRTGLDGEWYKVSMLKAAFNSRHFRVL >Dexi1A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:1A:1589075:1590069:-1 gene:Dexi1A01G0002400 transcript:Dexi1A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRILYLSPLDFPDDVADCDGSCVAGDGPPCLLHAGLCCPDDSLDTIMSNPDVFSDELLEDLLIAAALPPPHRGVALPAAAAAIVAGEELLVDVDAGEDDVDFYSDRDSTCSNGAPRLPVLAALSDTSWTTSSTLVTTESSSPAPAPPHVSRLVMPTKKRDMAVKRGKRLWSLEIPSVPESRDNINNNLSGNGGDKDDGDRSIDVSGDGGGGLLGARPPANRRRTQKRACRHCESTETPQWRVGPDGPGTLCNACGIRYTKNKLLPEYRPSTSPSFRSDKHSNRHRKVVRLREKKVKVKEETVSVPPAASADRGQ >Dexi1B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:1B:19337237:19344798:-1 gene:Dexi1B01G0013530 transcript:Dexi1B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMLLEAAHDGDLLTFNKMVRLLDKGRGRLRETVEAVTMDTDEEELKGIGALHLAASNGKLEMCRYLVEGLRVDVDIVDCAGRTPLINAVQGESVEIVKYLLEHGANQDKVDRNGFAPLHSAAGLGTYNKMINGMTPLYFAISAASVKCVELLVQNEPVDKMKVAELKSQGNKAVGRKDFLRAAEFYSMALGLDPEDATLFSNRSLCWLHMGKPLLSLMDALECRKKRPDWPKACYRQGIAQMSLKDYKGACESLLDALKLDPGSSELEDALRKAMESFKMSRSTNAK >Dexi9A01G0023120.1:cds pep primary_assembly:Fonio_CM05836:9A:18290817:18295044:1 gene:Dexi9A01G0023120 transcript:Dexi9A01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLTAALLNRIALPPRRRALCSGAPLGLDLYASVRDVVCSGSGSLDEVGSRLDRLGVAVSKNLVDRVIDSCGERSGGGGSGSGRRLLRFLAWCRSKDPGALGEEALDRAIGVLARVGNLAAMRIAISDAEKEGRRMAPETFTSVVEALVDAGKEDEAVRLFRGLERQRLLPERGDRTGGDGVWSSSLAMVQALCKRGHAREAQGVVWHHKSELSVEPMVSIVERSLLHGWCVHGNAKEARRVLDGMKSAGVPLGLPSFNDFLHCVCHRNLKFNPSALVPEAMDVLTEMRSYGVAPAASSFNILLSCLGRARRVKEAYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRIIRGKRLVDAMLKSGVLPTAKFFHGLIGVLCGTEQVDHALDIFRLMKSCELVDTRTYDLLIEKFCRNGRFELGRELWDDATKSGLVLGCSDDLLDPLKTELYFKCLLHFMDTSQENEQDFGVLLKQGAEGRVFVSSFVGRQCVIKERFSKKYRHPLLDAKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGVNEERLNDIATQIGNAVGKLHDGGLIHGDLTTSNMIIKSNDNQLVLIDFGLSFTSTIPEDKAMEKILAAYRKASKQWCSTQNKLAQVRQRGRKRTMVG >DexiUA01G0017210.1:cds pep primary_assembly:Fonio_CM05836:UA:36336778:36338139:-1 gene:DexiUA01G0017210 transcript:DexiUA01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDNPLLAADFDFPPFDRVEPSHVRPGIRHLLTRLEAELEDLEKDVQPSWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPGKVKFQLRLGQSKPIYEAFKAIRNSSDWDTLSDARKRIVEGS >Dexi7A01G0022940.1:cds pep primary_assembly:Fonio_CM05836:7A:31076857:31081077:-1 gene:Dexi7A01G0022940 transcript:Dexi7A01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTVASAAGAALHPAVSARRLGLGSGNASTAAGGFLTGGRRAAAAGSVRARVAEAAPVAEEGTRQEAPATPMVEIPVTCYQILGVTEKAEKDEVVKAAMELKIAGIEDGYTAEVSAFRQALLVDVRDKLLFEQDYAGSIKEKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSKPYAHDVLLAMALAECSIAKACFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTLDNSERRRGAIAALCELLRQGLDVESSCRVHDWPCFLDQAMNKLLATEIVDLLSWDTLATTRKNKRSLESQSQRVVVDFNCFYVAMLAHLALGFSTRQTELIKKAKTICECLVASESTDLKFEESFCSYLLGEETGTTVFEKLQQLQSNASSNAKNYGLDKKKDSSNKVTVNQSLELWLKDVALSRFADTKDCLPSLANFFGAPKRILNTSKQKPGSPRSVLLSSQPSSSVLSCNRTSGEQTPRLSPNSHLGEAVKQLAPTNLGLHSSMDRQVNGSGTTSVPLKRNPGSHPLRTLELWGLTGDVIGKLAYTALLGFAVFGTLKLLRFQFGHMKYANPSRESASISSLSEASAPEGSFITNSVRKHFEKLSKLLWLHDRVYSNSEERERYPVPNDTTAAVCKQKMDIQEAEALVKQWQDIKSEALGPDYQIDMLHEILDGSMLSKWQDLVSSAKDRSCYWRFVLLNLDVVRAEIILDEAGAGEAAEIDAVLEEAAELVDDSHPKKPSYYSTYEVQYILRRQNDGSWKICEAAVRNLT >Dexi8B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:8B:24401222:24401825:-1 gene:Dexi8B01G0014040 transcript:Dexi8B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIGALPNIIAKLGELLIGEYNLQKKVKGEIRFLQSELESMQGALEKVSNTPIDQIDNQDKIWARELRELSYDIEDSIDTFMVHCMGNELAKLHGIDKFIDRCVGLFRKAMMHRWISTEIIDMKSRVEEVAKRHDRYKINTIVAKPVTIDPRLFAQYKKVTELVGIEEAREEVIQILMEGNEVSKQQA >Dexi9B01G0021720.1:cds pep primary_assembly:Fonio_CM05836:9B:16419672:16421786:-1 gene:Dexi9B01G0021720 transcript:Dexi9B01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASFPFLVLLVFHFHGRRSHAAAPAATGISGDDHFIYNGFAGAELDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLRFHHDTSSPATAKNTTTARSFSTTFVFAIAAEYVTVSGNGLAFFLAPSNNLSSASPSQFLGLFNSDNNGNASNHVFAVELDTILNPEFRDIDSNHVGVDVNGLVSLAAEPAGYYAGDEDDDVLTNLSLNSGDAMQVWVDYDGRATVLNVTLAPVETPKPKKPLISISVDLSAVVSETAAFVGLSSSTGPFRTRHYVLGWSFAMDAAAPPLDYAKLPKMPPRMLTKRRSKKALVDVAVPVAMPLLALAAVAGVSFLVWQRWFRYGEVREDWEVEFGPHRFAYRELFHATGGFDDGENLLGVGGFGRVYKGVLPDSKTEVAVKVVSHDASGQEEGRMKQFVAEVVSIGRLRHRNVVQLLGYCRRRRELLLVYDYMPNGSLDTWLYDDDPPHGSTATLSWEQRLRAIRGVASGLVYLHEEWEKVVVHRDVKASNVLLDAEMNARLGDFGLARLCERGGGAGPQTTHVVGTMGYLAPELAHTRRVTTAADVFAFGAFVLEVACGRRPIDRGGRFVLVDWVLELWHMGVLTEAVDPRLCGEYTAEEAALVLKLGMLCSHPVAGERPSMRQVVQYLDGDAPLPEPPGSYRSFTSLAMMHNQGFDSYAASYPSSSATGTSVGAVSSVHSGGR >Dexi2A01G0034300.1:cds pep primary_assembly:Fonio_CM05836:2A:44316148:44316614:1 gene:Dexi2A01G0034300 transcript:Dexi2A01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQRKVLEPPLARTRREHDSTLESRAESAVGEEEEMSGLEQELGLSLGVLIDVVDEQWMRDTLPADDIPVPPAMAIKTEDAEDPAPASTLTFSLPFTFCLAHSSLLRFVPILRGNLQKPNSTMGLLVRP >Dexi9B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:9B:4448292:4449965:1 gene:Dexi9B01G0007290 transcript:Dexi9B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFHTLYLEQNLDPGPVDKSVLVEQEFHKSEAIFVGKLLGQEPPQIKGGSINIAWLHDTFKTLPEGANQSDVEFATRAYILYQIGCSLFPDPSGTRVHLRYLALLRDFDASGEMAWGAAVLAHLYRELGKASMKGKANCCAFLTLLQIWAWEHIQIGCPERLENKALPDDQPLGCRWNVSFKNRENVRSMDHEFYRHGLDTISDCQITWDPYTPNLIAGLPAICTFGSTVWRSRTPLICFQIVEMHVPDRVLLQFGMLQHIPDPVEASERVTMQGYREFRSCSRKELLP >Dexi5B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:5B:957069:958654:1 gene:Dexi5B01G0001480 transcript:Dexi5B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGGELDVLDAGRCGDGYALGLAVGRRFSDAIRSRMRQDLVLREQLLPFASTAKGQPLLAALQAANSERYPRYWDELLGIADGSGVPLLHVILVNFRKEILPFIPKEGGGDLGREEEADGDCSDVLIVDESTAIVAHNEDGNVALLGHTYLVRATLPDGMSFTAYTYAGELPSCAFGFNSHGVAFTLDSVPPVNDEIVAGAIGRNFVSRDLLEAKNLHDAMHRICSPSVSVGHSYNLMDVRGRRILNVETASGNRSAVHEAGAAPFFHANMYRHLQVKQDENSMSREKRVAQCSVDSKETALSLLGDSADDKYPIYMTGPTLHTLCTVLVDLDEKTMTIYKGNPKNRDKALVLPML >Dexi2B01G0021500.1:cds pep primary_assembly:Fonio_CM05836:2B:31288470:31290568:1 gene:Dexi2B01G0021500 transcript:Dexi2B01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVNGILQVADFGLTKLAEIGTASQSLPTRVVGTFGYMPPEYARYGEVSPKVDVYAFGIVLYELISAKEAIVKSTEFTDAKSVVSLFEDTLSRRDPKEALQELIDPRLGGDYPIESVLKIAYLAKSCTHEEPTMRPTMRSVVVALMALSSKEYELTRGLEFSPRGPRSG >Dexi4A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:4A:19701581:19704200:1 gene:Dexi4A01G0016180 transcript:Dexi4A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQKAWRTAAFGLYGFTQFTKSGFLEHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRNKERGEAALNQIRSKTGNENVHLEICDLSSINEVKSFATKFTSMDKPLHVLVNNAGLLEHKRDTTTEGLELNFAVNVAAPYTLTELVMPLLEKAAPDARVITVSSGGMYTEPLNKDLQATARHSFSEGDFNGTRQYARNKRVQVALTEWWAEKYNSKGVCFYSMHPGWADTPGVAKSLPGLSEKLSGNLRSNDEGADTVVWLALQPKEKLSSGAFYFDRAEAPKHLKFAGTAASHSQINSIVDSIRSICGLPALG >Dexi9A01G0046490.1:cds pep primary_assembly:Fonio_CM05836:9A:49895800:49896279:1 gene:Dexi9A01G0046490 transcript:Dexi9A01G0046490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPAAAAAPTGGKKNLRRLPHVYSKVLELPLPVDTDVSVFEGPDAFHFVAAGSRGTGVVQVRTVRIHPGVTKVVVQAGGTGGGEQAGADDMKLDRWRSRLPEASCPAMAVAGYVDGQLVVTVPKGHGGDEGSDGGQGEVTWGSCSEGKISGRLVVVQ >Dexi2A01G0031720.1:cds pep primary_assembly:Fonio_CM05836:2A:42460117:42464305:1 gene:Dexi2A01G0031720 transcript:Dexi2A01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPLSSATCSLAPISSCLPGVRGHGTGQFERAALRAHVSSISRRDDTEPESFERNGEQAGGRCAIQLQGTGEAQIAHTSSSSSSIHPSIDGGGGVDDDISGRPAWSELLPDLLGRIAACCAKPADRAIFLALRRAPPLPPGVLMRSTTAEDIVAVLTDSRSHPFVLSLPGKGAWTPEPFAPPFMYIIDVAFVGDDRLYGITRAEDLFSFRVALHDDLQIPVVTACTRVIRHTLDLPGQDYVPWSDVDDDQDNGGKCVSVCSYGQGTLDDDAIYFMDTGEVFDMGSGAISPALWCLDKFKPTWVFLQIFSCA >Dexi3B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:3B:10334339:10336132:-1 gene:Dexi3B01G0014320 transcript:Dexi3B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQDPPPTIQDATDRITKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFAAEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESESVPSYLQPDQESELNLPAAPTGHAAPPHQQQVVKIVRS >Dexi1A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:1A:2695595:2707169:-1 gene:Dexi1A01G0003700 transcript:Dexi1A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSTNNFLGRAVWEFDAGDGTPEELDEVERARREFTNHRFQRPYSADLLMRMQQVAKANPVSLGLPVIRLEEHEDVTEEAVSTTLKRAISRFSTLQAHDGHWPGDFGGPSFLMPGLNEDGGWGLHVEGPSTMFGSTLSYVSLRLLGEGADGGNGAMQKGRRWILDHGGATFTTSWGKFWLSVLGVFDWSGNNPVPPECYNGSQLWDTAFSIQAIVSTNLVEEFGPTLKLAHDYIKNSQFLDDCPGDLNYWHRHISKGGWAFSTLDQGWPVSDCTAEGLQVSLLLSTISPEIVGKPVEANRLYDAVNCLMSWMVSIFKVFFLIFCPCVNWNLKKIAKMLLDVRLEISFRSMALTSFRNLYPEYRRKEVDNCISKAANFIESVQRSDGSWYGTWAVCFTYGAWFGVKGLTAAGRTFENSPAIRKACDFLLSKEFASGGWGESYLSSQDKVYTEHEGRRPHVVHTSWAMLALIDAGQVSFAERNPMPLHRAAKILVNLQSQDGEFPHQEFVGVTNQNCLVNYSNYRSIFPIWALGEYRCRVLASSGRK >Dexi1B01G0024430.1:cds pep primary_assembly:Fonio_CM05836:1B:29726158:29728389:1 gene:Dexi1B01G0024430 transcript:Dexi1B01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAISCSKVDVPAGPEPDESAAAAAKAKSAAAAASPAEHGECGEVTPHCHEDEEDEEEAPKVIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVSNNIVSGLRYTNTVWKTGLKVDRAKEMLGTFSPQLEPYTYVTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPSTS >Dexi9B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:9B:12550758:12553027:1 gene:Dexi9B01G0017830 transcript:Dexi9B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLGRKLAEKGYTRSGKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFSQLEALHGTGGGGGPSPATTSSVGGISGGAAVPSAVRVPAEPPPAVSAGGAVGMTTMPFVGGNHSFSTTSNTEDYSDEEDSDDEGTQELVGGDEQRGKRKRVSSEGAAAAAASGGGGGSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPPPSSSIIAAPTLNAMPPPPPLSSHPKPPQQPHHPTPISSASPPPPQPPASQPPPAQQPQPSPQQQRSPMPATPQQAPAPPQSTDIVMTPAAETTTTPGDTPGSYDGSGGGGGGATSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYSRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKQAALVSSSSGAGSASASSGQQQPPEMVTVTAAAPISQTPPPPMTTALTPSQSSHQHAAKNGGSSNAAAGNGNGGGAPGHGGGIGGMQAQTASNGSAAGGRFVAGEAGSGGGGSASAAKKPEDMMMEQRPQAVVSGGYSNRIDGGADSDNMDEDEEDDEDDYDDDDDDDVDGNKMQYEIQFQQRQQQHHHHHQPPPAAQQQQNVMRPNAGGSGNPPGGATAAGTAAAATTTAGSFLGMVK >Dexi7A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:7A:29231686:29231905:1 gene:Dexi7A01G0020440 transcript:Dexi7A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTTSGEQRSHQRHPKKCRFNGCSKGARGAKSQVATKALRAEQHIAKLMEEGNGVKS >Dexi2A01G0022510.1:cds pep primary_assembly:Fonio_CM05836:2A:34398747:34400054:-1 gene:Dexi2A01G0022510 transcript:Dexi2A01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCSMRDLLGFFVGAAVAAACIVLLQPSAPCPSCDLSTFSNVTTHATAAPQCPCGLVVPADHQEHATNLGNGTTHAAPGPCRLVAPADHLQELATLGNRTTHADPCSTKPADTAAITSPDDDKLPELLRRAAMDDDKTVIMTFTNEAFAAPNSLLDLFLHSFRVGVRTEPLLKHLVIVAVDAKAYERCNQVHPFCYQLPVQQGTDYSAELPFMKDNYLDMMWRRNRFQARVLSLGYSFVFTDVDIVWFRNPLLRIPVAVDLAMTCDRFYGNNPYDLNKRANGGFVYARASARTVAFYEDWYAARAAYPGKNEQDLFDKLKLELSVRHGVTVQFVDTAYLGGFCDRRKGRDFNKLCTYHGNCLYGLKMKLKRLAEVFADWNKFRANNALTD >Dexi1A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:1A:21352893:21354144:1 gene:Dexi1A01G0014620 transcript:Dexi1A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGDFSFISDYSGNLLRSGDIRGFQSMARMYTKLAAMPKKN >Dexi8A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:8A:758925:759611:-1 gene:Dexi8A01G0001080 transcript:Dexi8A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGSVLPTHTAAPSAPAWPSSSSSKTDTKEDPRRRRRCLCVCLLVTLAVLLALAITLLVLFLTVLKVRDPTTRLVSTRLAGVAPRLSFPAMSVQLNVTLLLTVAVHNPNPASFDYDAGGHTDLTYRGAHVGDAQIDPGRIPSKGDGEVKLALTLQADRFAADLMQLLADVEAGSIAMEASTRIPGRVTVLGIFKRHAVAYSDCSFVFGVAEMGVRSQQCHDRTKL >DexiUA01G0008540.1:cds pep primary_assembly:Fonio_CM05836:UA:16035766:16042290:-1 gene:DexiUA01G0008540 transcript:DexiUA01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAGADGDRISTLRTSNLSETWPMTSSSSGSGTVSPEKGLCRRYECLWLTSRRGYTPGMNLVRRYASSVRSTASQAPVEPTMVASSGRPGMLPRRKKKDVQPSSPSAAMLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >Dexi6B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:6B:23863731:23864570:-1 gene:Dexi6B01G0016570 transcript:Dexi6B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSVAYDKLWNFDTEALPADLIRRGMAVEDPNAEHGLRLTIKDYPFANDGLLIWDAIKGWVKAYVARFYPDAGSVAGDKFWNDVRTVGHADKKDASGSPALDSPESLSHALTTIIWVASAHHAAVNFGQYDFGGYFPNRSSIARTNMPVEEPMDAAAYAAFLDNPDQALRECFPSQVQATLVMAVLDLLSTHSPDEEYLGGPETAPWNDDGEVRAAYRKFNAGLKEIEGIIDGRNTDRKLKNRCGAGILPYELMKPFSQPGVTGKGIPNITSI >DexiUA01G0013310.1:cds pep primary_assembly:Fonio_CM05836:UA:27702231:27703549:-1 gene:DexiUA01G0013310 transcript:DexiUA01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIADADIVRGTVIFIAIVAFLAVIFLFVCTRRRWCLGGIMRNTSASQSYSVVSNHQIKNATIERFLWEIQNEKPFRFTPLQIAGFTRNYSTRLGSGGFGTVFRGSLPNGLAVAVKVFHAGLGERSEQEQFMAEVGTIGRTHHINLVRLFGFCFDDALKALVYEFMEHGALDTYLLDTAGDDDVDVAKLRDIAVGVARGIRYLHEECQQKIVHYDIKPGNVLLDGELTPKVADFGLARLVNRADTHVSVSCVRGTPGFAAPEMWMMSGVSEKCDVYSFGMLLLEIVGRRRNFDGDAPESQRWFPKLAWEKYEAGELMELVAARSSDGEEGKETVERMCKVAFWCVQQPPEARPPMGAVVKMLEGEMEIAPPVNPFQHLMAPPMVASQWTTMTRSAVPEISIEIA >Dexi3A01G0022650.1:cds pep primary_assembly:Fonio_CM05836:3A:18253724:18256978:-1 gene:Dexi3A01G0022650 transcript:Dexi3A01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDMAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEETVTTVEIDDETYEEIVRTSKRTIPFLFVRGDGVILVSPPLRTV >Dexi6B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:6B:27028544:27037148:-1 gene:Dexi6B01G0020590 transcript:Dexi6B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDATKKKAAQKKAAAAAKRGGAKKAPAAPSSSSSNGNVVVDAVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPQHMDIYHLTREIEASDMSALQAVVSCDEERVQLEKEAEILAAQDDGGGDALDRVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKKLRLYTGNYDQYVQTRCELEENQMKQYRWEQEQIASMKEYIARFGHGSAKLARQAQIKEKTLAKMERGGLTEKVVRDRILVFRFTNVGKLPPPVLQFVEVTFGYTPDNLLYKKLDFGVDLDSRIALVGPNGAGKSTLLKLMTGELAPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEQAMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLIFFFERPGIIPGLINKKEEFYRRQWRQLTPVQ >Dexi8A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:8A:25028141:25028967:-1 gene:Dexi8A01G0014570 transcript:Dexi8A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSITAPAQRELRMTLYNKEVYFGRDINGVTTVGRQPLGTTWVFSWSVTDGPGPDANVVGHLQGTGVQVANTPNYMWHYSLGLVFSDERFSGSTLQISGTSQINGEWSIVGGTGELTMAKGIIKRTEITYTGNTRISELKIHAFYTPMNRRSVSIKYPDKF >Dexi1A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:1A:21279379:21282980:-1 gene:Dexi1A01G0014590 transcript:Dexi1A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAADAPALGLTRPNAVDPPQVTFVAKDIEVSDWNGDILAVAVTEKGLLFENASLQKLDGQLDGLLSAAAAEEDFTGKPVQSVVLRVHGQPFKRVALIGFASHNAGCLQGLGESVATVAKAVQSTRAAIVLASPSVIQEELKLNAAAAIASDTSLNGKKVHLKQVHLIGLGCGQEVDQKLKHANHVSSGVMLGRDLVNSPANVLTPAALAEAAAKIASTYSDVFTATILDAEKCKELKMGAYLAVAAASANPPRFIHLCYRPTSGNVKRKLAIVGKGLTFDSGGYNIKASPIAKIELMKWDMGGSAVVFGAAKALGQIKPPGVEVHFIAAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACNQGVDKIIDLATLTGAMRVALGPSIAGIFTPSDELAEEFVAASEVSGEKFWRLPMEESYWEDMKSGIADMLNTGSMQPKGGAITAALFLKQFVDEKVEWMHIDIAGTVWSHKKRAATGFGVATLVEWVLKNSSS >Dexi1A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:1A:3526956:3527192:-1 gene:Dexi1A01G0004850 transcript:Dexi1A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAASSRESRWPELAVLRRALMARDAAVSAVVAPPAAREPRQQQPAGRRGGRDADHAAAAGSKRDKVMHLLLWGPK >Dexi4B01G0022480.1:cds pep primary_assembly:Fonio_CM05836:4B:24052843:24053431:-1 gene:Dexi4B01G0022480 transcript:Dexi4B01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELAARTSQTGKAEETPPPSPAADATPSAAEDAPLLHDGGVRRRPGCGRFAQRSTSFRREVGRAAAETFLLTRLTLILLRYLGLLQ >Dexi2B01G0032570.1:cds pep primary_assembly:Fonio_CM05836:2B:40461875:40465174:1 gene:Dexi2B01G0032570 transcript:Dexi2B01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSTYPMFTDRPIDQWMVTELKDELQRRNLPDSGLKDDLVKRLFEAMHDNILDGGEKTSDKTPSEQLKSIETLVSVDASVNQASMEEHIDEGSSQVTKQGTDLVISVTEACDESMLATSEVTQEAIVYTAEATQTSLDAVAEVDTATTDEASGNDLESASSGNARVEEANPRSEDHSDTTEKTPEDDTNKKMGVDDVPSDLNGGGIKLGLNMHRKILEMKDVPAPLDDVILHGDPEDVDAVAAAEPEDGISKKMAIDEVSSDITHATAKLGVKVDCKTEQDEVQALPDAIELHADPKDADVVAAAENMIAKDNFNVNTLMYGNGHRDPMLSNGDTKPFLCREKDQVSEVNPDLDSQVKCVSIFNDNVSTNKKNDVKGNLNADDCDLELEAKQEVFKPSSTIPSPGDHLQVLDVSKELHKNGTSLLELGSTSNIDLDREKESPDGTSSEKLNFDRCSGDESVDADVAESRHTNSNSNINSVDLGGKTEVTSEHVLKEVSLLDTAAEEKPPSPAEKRKLEDREVIANDEPTKRQRTWNVDAVNISDQQASEEVFHSAAKGLPLLRKSGTTASIDYSKERIVPPAQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDHIKTHCYVTYSSVEEAVATRNAVYNLQWPPNNHSYLIAEFVDPQEVKLKLEAPQPSQVPMSLSTATTPEAAPFQQSNANQALPPAQHAASLLPTPAHLGMLPPTSGPRPSREMLPPPPPRKLEPARTLDDLFKKTQAYPRIYYMPLSEEEVSAKLSARNNGKRG >Dexi3A01G0022240.1:cds pep primary_assembly:Fonio_CM05836:3A:17799840:17801128:1 gene:Dexi3A01G0022240 transcript:Dexi3A01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRAIEVRLLQPGAGDATAAPKWRMSLLENTFAGFLQGADAAARAVFAEGSLFSPFLLGKFFDPGDAFPLWDLDPDVLLANLRRGAARITVDWGETDAEYYLRADIPGGRKYEVEVSSGDAMKVIDITGLWRAPPGDGRDWRSGRWWEHGFVRRLELPDDADWRKVEAYLDDGEGSLEIKVPKTRDAAPKATS >Dexi9A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:9A:12917438:12920924:-1 gene:Dexi9A01G0017800 transcript:Dexi9A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSGFCPSTRTFRSLRPPLPLPPEDAPFSFPSFAVSRLPSPLPAHPAFLDASTGAALSFPALLARVRSLAAALRGALGVAKGDVALVLAPPSLDVPVVYLAVLSLGAVVSPFSPLSTAADVARAVDLCGASVVFATAGTVGKLPAGRKMTVVLLDSPQFESFLHGHEGVGAEDGPLLPPPPVEVRLSDVAAISYSSGTTGRMKAVAQSHRRLIASSLQAIAARPRAPGGHAVTSLLGVPMFHSYGFYMLKRGVAMAETTAVVTAPRGGGAAAVVAAAARCGATQMFVAPPVVVAMARRGGVGPEGFPDLVRVVCGGAPLSSAAASAFHEKFPDVELSLTGDLCYFDEDGFLYVVDRLKDLIKYKAYQVAPAELEDALHLIPGISDAAVIPYVAPYKKIRKVCFVDSIPRLPSGKLLRRELHRHVTLPKSTSRL >Dexi9A01G0026680.1:cds pep primary_assembly:Fonio_CM05836:9A:30049605:30050309:-1 gene:Dexi9A01G0026680 transcript:Dexi9A01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVNSAFSPSTSTSSPSTPRHSKARTRLATKYSSVATLSPGQILRPAPNGIILISRVPVMSTPSPSPPGMNLSGVNSPGLSHARSSIPMSATMKFTVVPLGITYPPSSMSSDGACGSTMCPGGCRRSPSSTTALRYGIACCTSSSEMWLSEPVEAIVDWISSWSLSWMAGFLTSSAMIHCIAVDVVSVPPLRNSEHSAIISSSVSARPPSRRMSSSVSTYECGSVVVVSSS >Dexi9B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:9B:11202760:11207723:-1 gene:Dexi9B01G0016370 transcript:Dexi9B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFGTAAAVNSGASSQPVESESPSTYAFTSAAAVAVPTEKRKKVGMRVAANKEVSFVYLGDALYDMKLKLNATGSQLSDWNQNQVNPCTWNSVICDNNNNVVQVLLYFGVQKSSLSYIVGPPVCSDPDALLQNIGFYGIYWSSVTKNRRAGVFECSVHFLHSFSGNNLTCGANFLHPCASNLSYQGSSRSSKIGIVIGAVGGVLCLQTMSILQVSQLKKICIYLTDLKMYSPGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLGAIVDRNLNSNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIYNQDAVELSAGR >Dexi3B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:3B:13530362:13532525:-1 gene:Dexi3B01G0018240 transcript:Dexi3B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAARDTEALKVEKEKLEEHVEELTNRLGLEKKLRIDLEKNKAGEISKLQAALREMEQRVDEATEMQERELANRAIEEALAQEREKITLLTDEVEELKAARNLN >Dexi1A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:1A:26857515:26858357:-1 gene:Dexi1A01G0019910 transcript:Dexi1A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGETDLCVICARLVHHVQPTRPDVTAILIQFKRGAPDDDDAEGSQDDSDGQEMSDAGDDQEIKSGAGDEEQPSALDRETISRALEFVRDKQRELPDEAH >Dexi9A01G0046880.1:cds pep primary_assembly:Fonio_CM05836:9A:50095818:50097365:-1 gene:Dexi9A01G0046880 transcript:Dexi9A01G0046880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLATTTALLLLLLAAAAPLLASAQLANDFYKTSCPDAEKIIFGVVEKRFKQDPGTAAGLLRLVFHDCFANGCDASILIDPLSNQASEKEAGPNISVRGYDVIEEIKEELEKKCPGVVSCADIVVASAREGVKLTGGPTYEVPMGRRDSLTSNREDADGLPGPDIAVPKLIDEFAKQGFSLEEMVAMLAGGHSIGICRCFFIEADAAPIDADYRKNISAACDGKDSGSVPMDFATPNVFDGSYFALALAKKMPLTIDRLVGMDPKTEPVLKAMEAKPADFLPMFTKAMVKLGALKVLTGKEGEIRKSCSEFNNPQASGGASVIRTSSINPDMMAAGLSSEPAAALSNRKVGGARVPEATTIADPAAAELEAKRQRNVAKIVKTNAKEAAAKVEGGVVVNNAGQIQPPNVVPIIQPPNNVVPPIVNKDAGVQAAGQGSVEASKMIAAGNKVLGDEPASKLPVPDGPKLRGGQL >Dexi3B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:3B:5580307:5580795:1 gene:Dexi3B01G0007880 transcript:Dexi3B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQAAGRLFVLLLVTTSPVVAAVGVRQPTSGLRRGGSPAYLRPAASRASSPPWGADRPQMDQLILLDVSHNAIYGGVPAEVAIFNVSYNRLCAKVPTGGNMARFDTYSC >Dexi2B01G0022440.1:cds pep primary_assembly:Fonio_CM05836:2B:32094880:32095251:-1 gene:Dexi2B01G0022440 transcript:Dexi2B01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASSARGGRRGEETVLGDLPENCVAEVLLRLDPLEICRMARLSRTFRGAASGDGVWESKLPRNYAHLLAVAAAGDGGEREAAAAALETEALPKKEVYARLCRRNRFDGGKKVFAFFYTCR >Dexi3A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:3A:14964846:14969303:-1 gene:Dexi3A01G0019150 transcript:Dexi3A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSAAGEALAAQINTMSRSEMYDMMSKMKVRPYPLPNPSSKIRISFLPPFCAYVHRRFFFTDLLTVLLVRLCYGGLLAQTMIDHDQETVRRMLVDNPDVTRALFRVRFLLAQVVLGMVKTPKNVQPDIVQPAAVPAAPSSVKATMADHVSLPPPPLPANQQSVAQHSTPFPAGPSNLGSSMDLPTMSSNPPQSAQAKGYPIHQMPSSAPQSSQHPNMALPHAPPQYSNIPSHMPIAHSQPQQPLQTPGMFNQQLQPPLPQMPRPQSMQSFAHQMHPQVPNSFGLTHGNAPQHILQQQMFHVRFYVKSIQVGILKLLSLLANHHCLASRHQCTRSDNLPVQQASSHYNGQSTNPMQVDRSAPWGRGPEAPPAGSHFPGQLPGLLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >Dexi2A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:2A:28745976:28748139:-1 gene:Dexi2A01G0016920 transcript:Dexi2A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATCHRRLFSVLPPSPRRHRLPPPLSFLSSLGPPRAAPPPPGRPLLLLSPPASSPEAGDGRPSTGGAARSPVRPSEQVLCCLPGMKGGCLHRLLVSKLCFGVVVLLTVPIIVLLLEGAPVLTIFNTRPEQLKVNSNGIIQQQEQEHLGDDRPSLAGLPGSASRSHTNKGRGRVNKVNSLCHVFPNTSCLYCNYAKGKWVEDDKRPLYSGNE >DexiUA01G0007770.1:cds pep primary_assembly:Fonio_CM05836:UA:14523655:14528644:-1 gene:DexiUA01G0007770 transcript:DexiUA01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAAARRSRRSSRPSTYYEDYQHRLRSHLGRSRAAAIIRGALHVVSIGTNDFLENYFLFATGRFAQFTVAEFEDFLVAGARAFLARIHGLGARRVTFAGLAAIGCLPLERTTNELRGRGGGCVEEYNDVAKSYNAKVKAMVRGLRDEFPTLRIAFVSVYESFLNIINDPGKYGLENVEEGCCATGKFEMGIMCNEDAPMTCDDADKFLFWDAFHPTEKVNRLMANHTLQVCYQEGVL >Dexi9B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:9B:8889881:8891137:1 gene:Dexi9B01G0013230 transcript:Dexi9B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPARARRAPAALLVPVRRLLEARVPWARDRALDHVVEREGHLVPFLLAKDALLAATPPPHAVPLHSLPSTIPFPFRPLRFLRLYPSAFALSPHPIEVSPTPRLSALHAAEAQVVDATRPDAADRLLRLLMLAPSRALPLRLVARLRLDLGLAPDFQRSLLPSYPDYFALSPDGTFLELVCYRKDLAVSAMQSYAQRTGGYKVGDALAFPLSFPRNFELDKKLRKWLDEWQRLPYISPYEDGSHLAPRSDITEKRTVAVLHEVLSLTVGKKMEKEVLVKLGEALRLPPGFRKVVARHPGIFYMSHKLRTQTVVLRESYRRHMLVDKHPMMGIRYQYLHLMHMGKEEVGKGKGKDRKSKRGEQMIGEEFGAEEEGGDNEEDYDDEDELDEDMEAGIASEDEESDDDIDKDTETQIAH >Dexi1A01G0023110.1:cds pep primary_assembly:Fonio_CM05836:1A:29701236:29702957:-1 gene:Dexi1A01G0023110 transcript:Dexi1A01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREATQGGLEWRVTVPEGASVTVEHEAGLAARAWAWVLACVAAAWGKVDAFARKVWKIGADDPRKVVHGLKVGLSLALVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEAVATASAGVLALGVHWVASKSGEFEPYILTGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDQLATLAQQRVSTIAIGIFMCLAVSAFICPVWAGQELHLLTTRNMDKLASSLEACVEDYFAQATTATTTKSTKSDGYKCVLNSKASEDAQANLARWEPAHGRFGFRHPYGQYCKIGAAMRACAYCVEALSTCAGAEAQAPEHVKGLLRGACARVAARCARVLREASRSVGAMKTFGRALDFAVAEMNTAVHELQGDMRSLPPYMLAVKMAEEASLMDAMAVFTVASLLVEVSARVEGVVDAVDELATLASFKQVDDDDDDDDDDKRGEAEMTTTMKVHPLNEPDTDEENQQAAKA >Dexi2B01G0030740.1:cds pep primary_assembly:Fonio_CM05836:2B:38999981:39000562:1 gene:Dexi2B01G0030740 transcript:Dexi2B01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDEYLSLCLMALAAACQQAGAAAAPSSATTTTASSTELKLLNFRCPLCGKAFASYQALGGHKANHRKAPPYDVGAAPLLRHHQKETSSASASASGSGGGTGRRHVCTVCHRGFETGQALGGHKRFHYLHGPSVSASLPISTAGSSRSGGFDLNVAPPEIGVPGVRRRGEEEEVLSPTPLPAKKPCRPSNSA >DexiUA01G0017620.1:cds pep primary_assembly:Fonio_CM05836:UA:37233869:37236201:1 gene:DexiUA01G0017620 transcript:DexiUA01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRALLVGINYPGTKAELKGCHNDVDRMYRCLVDRFGFDEGDIRVLTDKDRSSPQPTGANIRSALARLVSDARPGDFLFFHYSGHGTRLPAETGQRDDTGYDECIVPSDMNLITDQDFRELVQKVPDGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNKTQSREPEERPDSGSGSGFRSFLKETVRDVFESEGIHIPHSRHGHSQYGGDDQDEAYGQPSRDGRTKNRSLPLSTLIEMLKEQTGKDDIDVGSIRMTLFNIFGDDASPKIKKFMKVMLGKFHQGQSGEHGGGGGVMGMVGALAQEFLKAKLEGNEEEAYKPALEQEVHSVDEVYAGTKAWAPNNGILISGCQTNQTSADATTPQGVSFGALSNAIQSILSDKHGKVTNKDLVMKAREMLAKQGYTQQPGLYCSDEHVHVAFIC >Dexi8A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:8A:1379771:1380301:-1 gene:Dexi8A01G0002030 transcript:Dexi8A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANIDMESSSSLRPSRRLATDDGDLEEDEFFRTTDLRHVVALHQMPTPPLFFSELAQLLQQPAAVLPLPQVPQPVVSQRVDQPRREYTYRKKCVDHVCRKCQKVFSTGHALGGHMRVHFTAPPIGPTRKSPARLPDIAVPSSPGVSLELSIKTEGAPLPLPAGRVVRLFGIDIST >Dexi2A01G0029810.1:cds pep primary_assembly:Fonio_CM05836:2A:40832424:40835306:-1 gene:Dexi2A01G0029810 transcript:Dexi2A01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEVSTAARSSGGTAEEKEQRRERESESEGEEEAAGVAAVLDFDMLCASVALSAERRKGAATAGAAGGDCGGGVGVGGGVQRMWEGDVVLDCLEDRRIALEAACCPFYRFGKNMRRANLGSCFLQGTESSMDDCVLHLICPCCTLCQEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALNKASLVPIKSPGLCGMVRASNAADEHEPLVPPAQLDQV >Dexi3B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:3B:12762340:12764071:1 gene:Dexi3B01G0017340 transcript:Dexi3B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSALAAAALVSVSVPFPAFTSTSSSRVSAASRHRGPRLFRAATVIRCSSASPNLSQGAPAPAPPKPQIELEFVGPKPGEDGSYPVDRAEATSGEKLLRDIMNENKIELYAAYVRLSSSRLSLVGKVMNCGGGGSCGTCIVEILDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENTGKACFIKSHPFCS >Dexi5A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:5A:23854996:23856956:-1 gene:Dexi5A01G0019980 transcript:Dexi5A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRSIPRDAGGEFPAAVTAPLLAHAPSPAEPSPSTGASPEITDDEIDAATAACCRICLDSESEPGDELISPCMCKGTQQFVHRSCLNHWRSVKEGAAFSHCTTCKARFHLRVEHLEDDICRRMKFRLFVARDVILVFLVIQAAIAAIGGMAYLLDKDGSFRNRFSDDWERFLSKHPVPFYYCVGVVVFFVLVGFFGLILHLSSFNNNDPCLAGCRNCCYGWGLVELPASLEACFAFAVIFVIIFAILGVAYGFLAATVAIQRIWQRHYHILTKKELTKAS >Dexi5B01G0024350.1:cds pep primary_assembly:Fonio_CM05836:5B:26406391:26407807:1 gene:Dexi5B01G0024350 transcript:Dexi5B01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSIRIVFLFLLSATCSTILTAASNTTTDFAALLAFKAQLKDPFGILASNWIDTASFCSWVGVSCDGKKRVTGLEFSDVPLQGSIAPQLGDLSFLSTLALSNTSVVGTVPNELGSLPWLQNLDLSYNSLSVETT >DexiUA01G0017990.1:cds pep primary_assembly:Fonio_CM05836:UA:38273281:38276194:1 gene:DexiUA01G0017990 transcript:DexiUA01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGIFRHQTLGGAALELAALAAPLWLAALVGLLIGWAWRPRWAGVVVGETAQVAPQLPAPPPPARATAAGEASRLESTAVVPRTMVAPVAPEEEHLAVNTADLMHLWRLVEEKDGGPSWIHMMERNLPTMRYQAWRRDPPNGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNSWDDMLLQHETLEECTKTGTMVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKGIPRPSVPRQNKPRRVDVYYSSWCIRPVESRKGDGSMTASEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQVARTAGEPISKCAAMAHVTTKFNADELNTEDNTEASSSNNNTEVEKPKHWTNNIPKVLMIGGAVALACTFDGGLLTKAVIFGTARRRSGSCCSDEMVWRRSPLDDGEYSVTE >Dexi3A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:3A:5977677:5978360:-1 gene:Dexi3A01G0008550 transcript:Dexi3A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGTGSSSAADDGESGGRLQSVMCCGKCMLGCLLQCACQCAWFWMPLTAVVISLWLIYRPDLFRPRVDSAVLAAFALDAPPSTGTHLLLRYDLAVDLSFRNSHGRLAIEYLDVGAAAFYNGTKLGDDEAMPAAPFQQGPKSKDTTVLRPAFRGVVPVDAGVAAELERELAAGTVHVRVTVALTLMYKVWLVDQVFFYKYDCWLWFPPPADDAPAIFDAGTQCWPA >Dexi5B01G0039660.1:cds pep primary_assembly:Fonio_CM05836:5B:38391749:38394530:-1 gene:Dexi5B01G0039660 transcript:Dexi5B01G0039660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANGKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Dexi9A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:9A:1087087:1087805:-1 gene:Dexi9A01G0002100 transcript:Dexi9A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATMSMADAVTLVDTLEHLGMGLRFREEIDLLLGRVYRADEDLEFSTSNDLHIVALRFRLLRQHGFFVSAGLCGSKTRDLLSLYNAAHMAIPGEEALDDAIAFARGHLEAAVNKGELKSPMAEQVSRALGIPLPRSKPRVEATYYIAEYEQEETHDAVLLELAKLDFNLVRALHLKELSDITL >DexiUA01G0004710.1:cds pep primary_assembly:Fonio_CM05836:UA:8774315:8775219:-1 gene:DexiUA01G0004710 transcript:DexiUA01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQAAVVGHHHSLMANLKQQEESRWSLAGKTALVTGGTRGIGLAIVEELARLGARVHTCARTAADVDACRRRWASAAADKDMMMMITASVCDVSSERDREGLIATVRDLFSGELHILVNNAGHSLYKPATDTTAGEYANLMATNLDSVFHLTRLAHPLLRRASASVVVHMSSVAAMIAYPSLSAYAASKGALHTLTRSLAGEWARHGVRVNCVAPGAIDTGMLTATLDGDDAGGRRARRLAEAEVSRVPMRRFGTPREVAHLVAFLCMPAASYITGQVICIDGGRTLAAARL >Dexi1A01G0026050.1:cds pep primary_assembly:Fonio_CM05836:1A:32042367:32043659:1 gene:Dexi1A01G0026050 transcript:Dexi1A01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQRGRTGYLRASYAVRHACPLPLPSARGPRLVPDRSLIISNLVGLANVTHEPRALAHLADRSIRPDASARVATLLPCLASLRWGVVAPSERGGARRAHPPIWPEHESSHGIVGERTGSPGRGRHGTFDRDAQPGRDRARPSRHGGSSLTNACTMCPPADARACGRPGPSARAWAPRCTCPPPGWGATRRLLQTWPGSATGGTVHARTQQRTVPGRARGPDRITCRPVAHVRHRPRRMTHPRRLTRARANKCTSGAQSRCAQYDKAHVCGTTPGKLPGLDAVVLCSDRNIPSATPSLQAEESVWGSFIVSRPED >Dexi2B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:2B:20425372:20432224:-1 gene:Dexi2B01G0013090 transcript:Dexi2B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSVREFEDDEPGVAPLASARAGVLLRHSGAELTTSAANNATEGEGNQAPNKKNIQEIPTPQFDAVDTYERDYTRTFAQPTSYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDTAMEALQYLSVRHAVFQAVYNYWKQKRERWQKPILRRLQMQRRENNIQSFEKLRLVRRNLEQAKSLMDALIKREETKREAMECQVNLQRIQMKYKHK >Dexi4B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:4B:6275960:6276484:1 gene:Dexi4B01G0008720 transcript:Dexi4B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGGKSREADPTRCRRHPKHRHEAGVCPFCLRDRLSRLSAAAAASANNASSAAAASSSAAASSSSSPCSSWEETVALSSSGQAPRPRRGSLGLLLKQEGREAVALAAAARRTEQQEAEERTTGKRGNNFWARLQQQLHNGSSRSRRDGCSSAVEKQSVVVATAPHKRAPVV >Dexi9A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:9A:1320693:1324841:1 gene:Dexi9A01G0002470 transcript:Dexi9A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLARAIGGQKLTRGPRTVPEWQSPEAVQAAEAWAGLGLFPSRGPGALAHLLLSPGRDPAHPPPTTVRGRDIKGSRGRWLTGSFNLISHLTLSLDKNAVIIGSSDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFHNHTLNHSRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIVPDSSTNVCINHCYVRSGDDVIVIKSGWDEYGISFAQPSSNISISNITGETGGGAGIALGSEMSGGISEVRAEGLRIVNSLHGIRIKTAPGRGGYVKNVYIVGVSMDNVSMAIRITGNYGEHPDDKYDRNALPVISNITIKDVVGINIGVAGILEGIQGDNFSSICLSNVSLSVQSPHPWNCSLIEGYSNSVIPESCEQLRSNCKQTPVCYDGSTLAAMRAQAQSHKSSASQFLNPFLKLASF >Dexi4B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:4B:8150271:8150883:1 gene:Dexi4B01G0010770 transcript:Dexi4B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSADLVAVVLAQALALGTGVRISGGSHINPAITFNALHTGRVSLLHVLLYWAAQLLGSIAAALLLRLATGGDALLLPDYILASGVNRWHVVGLAVGLLAGANVLACGAFDGTVMNPMRAFGPAIVGSHRWANHWVYWVGRRMHSASLSDVLCYRLIVAKPITDENPAAIRGSSRRA >Dexi5A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:5A:24717508:24724865:1 gene:Dexi5A01G0020840 transcript:Dexi5A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPSVAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVTKKMCYLYVGAHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLATGLKDPSAYVRMIAAVGAAKLYHISATACIDADLPASLKALMLSDPDAQVVANCLHALQEIWTLEAANSEAAAREIETLYSKPVVFYLLNKIKEFSEWAQCHVLELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLSTIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYVLESLVENWDEEHSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEMKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAEAPENVISAQRYQENDNDLLLSTSDKEDNGTRASNGSSTSTYNAPSDLIGLSSQTPAETSLINTGGPTYSSQSNFSLDDLLGLGVTEAPAPPPPPALTLNSKPVLDPGTFQRKWGQLALALTQECSLSPQGAASLMNPQSLIRHMQSNHIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQLKIKADDGTAAEAFSTLFQSALSKFGLS >Dexi2B01G0031580.1:cds pep primary_assembly:Fonio_CM05836:2B:39676884:39678986:-1 gene:Dexi2B01G0031580 transcript:Dexi2B01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTIVLKVDLECQRCYRKIRKVLCKIQDKMKITTIAFDDKANTVTISGPFDADKVCNKLCCEAGRVIKEMDVKGKEKAKDGGGGGDKAKDAGKAKGGEKDAGKAEKKEEKEDKAEKKEGKGDKDAKPDKAAEKGDKDGKAEAKKVKFADDAAPAGGDAKPGKAAAPPAISKADLGPLLEKMKAAKAGQEPPIAAAPAMVPGAAQGVAVPSIWPAPAGSVAGYGYNPGYDAQPYYGGGGYGGAYGCGCGGYNGYCRCGKPAAPGGYYGVPVYDNQGWYYGGGGRQPYYQQQQPGYEDPNAGCSVM >Dexi1B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:1B:11341004:11341821:1 gene:Dexi1B01G0011260 transcript:Dexi1B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGATRARTPRRRRACGPVLPDELVVWEILVHLPAKALLRCRAVCRSWRRLTSAADFVLAHHRLQPSLPLVFLQGTIRDSRGGAAIGATLDAFDLSTSPFTATDERRRPTLRFKDYKHHRELKVYATCDGLLLFSLWCRSKSFYICNPATRQWIELPMSLAGARIAALYRHISSGEYRILCRKGAAYPGVDAAAYYILTVGSDAEPRRVVEQPAASESIKHCMAAVLRFDHVSSYR >Dexi5A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:5A:22766659:22769373:1 gene:Dexi5A01G0019240 transcript:Dexi5A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPLVVDFPSMGAALCFNSLESLLRDSASGFLAAVSAAPAPGAADLTNFHRVFSRVLSAYPDPPLEAVWFFSALTFHDRPDDLRSLLQLLSAFAASSPGAAKPLALLAPVISELFHSDKTRRETEALVEAVLSYISICSSRPAAVSTDGASTDAGTLLPAFGELVKVWSVRHSRDRCPFQVLFPLVGEEARRELMKEGCSVEYLAGVVVAEAFLLRLCLKVQNATGASRSDLHKELRIWAVSSIPIFQNQHFFGVLLNMLMNSPLPIYSLLSAEDEILVRDVLYDTLILVDYSFINKVAGVDQVDSTLLPIYLSRLIITLDAVNDARRKGDQGRAMSFINAFSTSNVPNYLIKWATCQAGFGALSKPIANTPQALIKWLVDLEDKGLKVFGDNSSWVKGRLIYDEVKNGYGNRMTHSDADLFFIDKQSGGEVMDTKGSEGEEAVEMETAGNAFMAAAQSMKVETNGIRKRKGCGNEDAAGVKFVKYKVEDSSVKDYYLSGNNGMSSGSEVENPQSDDEMEESD >Dexi2B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:2B:27446425:27449131:-1 gene:Dexi2B01G0017040 transcript:Dexi2B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFLRATLSEAAQVNCIASLIKAYGWKEVVPIYEDTDYGRGIIPYLTDALKEFGAFMPYHSVISESASNDQVEQELYKLMTMQTRVYIVHMSSALASALFMKAKELGMMSEMYAWILTDGIANIASSLSPPILEAMNGALGVKFYVPKSKEVDNFTARWNRRFKQDNPNVAPSQLSIFGLWGYDTIWALAQAAEKIYDAAIGDITIRYNRTSYADFMLPYTESGIAMIVPVEDCKNKNTWVFTKPLTTDLWFGSIAFFIYTGIVIWLLERRINNAELTGSFLRQLGIAIYFSFFADSE >Dexi4A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:4A:10140065:10144017:-1 gene:Dexi4A01G0011900 transcript:Dexi4A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFKQPEPAIALPNTAATPEQGNDCSKGSDTRQESMDSDFELLWRLRKYLVLLGILAVSVTYNSGLSPPGGFWSGNVNGPDGHSAGDPVLHAKFFLRDQHVPDTKEGESHGEHQTAGKHQMVNTEEAVSGLQHALMSSSESKHHVAYDEQVSDTEEGESHAEHQTAGKHQMANTEELVSGSEHTLMSDEKSKNSNDVMYKLEEHSTQSEEKEPMSKTENPLTANTKEQSSSMDALKPTNPAAKETISKIENLQHVNVKQKPSSMDDLKTTNPMDGTSIFEHESADCNQVGNMTWQSSSNNDHKITTTIMEVVDMSKDRMLTSGRNGATNDLTAVKESIYVSRKAIKDVIVEINDDTSAITNGNIEKNDESQGQDYRNGNDGGNATDEHLNKSRTYLLLLGILAVSLTYQSGLNPPGAFWSNSSTGDSILKGSDHQSYHLPGDPILEDTHHQRYISFFYLNAIAFVASLVMIVMLLHRRMSNKVIKRYALQTAMIVDLLSLTGSYVMGSCRETKNSIYISLLTLAGKIENLSCRYIWEKKASFGHDKRNSANEMDSELQHNQSADADDKYWERRRNLLLMLAVLTATVTYQAGMNPPGGVWSDDMIKPGDPILQQNNVKRYDVFYYSNSLSFVSSVVITILLVNKESCDHGIKSYALRWHCKSFDTEEEKWDFPSSEL >Dexi2B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:2B:25230780:25233893:-1 gene:Dexi2B01G0015180 transcript:Dexi2B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRVLKLSLLRRLRAAAELPQPWRPRIATFVDLGFSVSVVFLIIMAICFCRVLPARGYHSRGYSSGGSSKYNRPMRQFSEQNESSPRPLIYYIAPSALLCFAGLAAFVHYNDERRAVPLAKGGGETSVPKRCTTNRPAIGGPFKLYDTENSVVTESKLRGNWTLMYFGYTSCPDVGPAEVQKMADAIKLLESKYGIKITPLFITIDPQRDSPAQLKAYLSEFDPRIVGLTGPISAVRQIAQEYRVFFKRVEEVGQDYLVESSHNIYRYLLDPCLETVRCFGAEYEASDLAEAITMEVKKASASSTN >Dexi1B01G0023710.1:cds pep primary_assembly:Fonio_CM05836:1B:29220979:29222600:1 gene:Dexi1B01G0023710 transcript:Dexi1B01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMRAARQVVSALGPHALRDAPAGRSLHTASTGGGKKIVGVFYKGGEYADGNPDFVGCAEHALGIRGWLESQGHQYVVTDDKDGPNCELEKHLADAHVLITTPFHPAYVTASRIARARNLELLLTAGIGSDHVDLAAAAAAGLTVAEVTGSNTVSVAEDQLMRVLVLVRNFLPGHRQAVAGEWDVAGVAHRARDLEGKTVATVGAGRIGRLLLRRLKPFGCRLLYHDRLRIQPEMEEELGAEFEADLDAMLPKCDVVVMNMPLTDKTRGMFDKDRIARMKKGVIIVNNARGAIMDTQAVADACATGHIAGYGGDVWHPQPAPKDHPWRYMPNNAMTPHISGTTIDGQGVKDTLERYFKGQDFPAQNYIVKEGKLAGQYQ >Dexi7A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:7A:5929244:5929838:-1 gene:Dexi7A01G0001960 transcript:Dexi7A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVVQGCLEAGGRDLLLHPSSPPSPTSAAASSSILQSLPLHVSFDRGYYLLVKAIQELRARKDGHVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTGAGGDDGSSDMDAIDFDALACNLQVWV >Dexi1A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:1A:6100878:6101262:-1 gene:Dexi1A01G0007930 transcript:Dexi1A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGPLEGVVFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >Dexi4B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:4B:17296610:17299537:1 gene:Dexi4B01G0015480 transcript:Dexi4B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPTRRHLLLRLWPRRNPTLLPISLAVYAAAHSTAPTAASASAAAATPISDHLRALRSLHAVEPDRLLSHPLPSSAHVCLAAHLAARARLFAHSRRLLARLLGAGHRPHLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASSPTPSPPPPTALPSVVDTLLSLLADRGLLDDAVRAFARARELRVPPNTRTCNHILLRLARDRRGRLVRRLFDQLPMPNVFTFNIVIDYLCKEGELVEARALLVRMKAMGCLPDVVTYNSLIDGHGKCGELEEVDQLVGDMRKVGCAADVVTYNALINCFCKFGRMEKAYSYFGEMKKQGVMANVVTFSTFVDAFCKEGLVQEAMKLFAQMRVRGMMPNEFTYTSLVDGTCKAGRLADAIVLLDEMVQQGVALNVVTYTVLVDGLCKEGKVAEADGVLRLMERAGVKANELLYTTLIHGYFLKKNRERAMDLLNDMKNKGMELDVSLYGTLIWGLCNVQRVDEAKSLLHKMDGCGLKPNNVIYTTIMDACFKAGKESEAIALLCKMLDSGFQPNVVTYCALIDGLCKAGSIAEAVSHFNKMRDLGLDPNVQAYTALIDGFCKNGSLDKAMHLLNEMVDRGVSLDKVVYTSLIDGYMKQGNLQDAFALKAKMIESG >Dexi1A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:1A:22079243:22084456:-1 gene:Dexi1A01G0015180 transcript:Dexi1A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRLRGFGHNHPKERRGNQTPPAKLDELVCAAQEVEDMRNCYDGLISAAAATTNSVYEFSEALEELGGCFLAKTALNGDDDDSGRVLMLLGKAQFELLKFVDTYRSNIIHTITTPSESLLKELQTVEEMKQQCDMKREAYEAMRASYREKGRSKHSKIESYSAEQLQSSFAEYQEDAALFIFRLKSLRQGQFHSLLTQASRHHAAQLSFFRRGLKCLEALEPHVKAIAEKQHIDYQFSGLEDDASDNGDYSSDQDDCSDEGDLSFDYEINDKDQDFLASRGSMDLDKRDVMNSPQPVKGSKQEEVKQTMADVITPQVKPEFNTHSAPILASNLPDPSERFWQMKPSSAKHSYRLPTPVDDKNPRSGVHRSHHSQQFEGKPHAATNLWHSSPLCKPSGHVKMPSSTEGISTFSQSVSDYKKMKRESWSGPIPSKPGLFKPSSLNYRMSPMAQHHVMPGNPQSHSRQPSSVSPKVSPKMLPHPTKSPKISELHELPRPPANVESLRPSGLVGYSGPLVSKRQTQIPAAPARASPTASQTPSPLPLPPATLTRSYSIPSNSQRIPIITVNRLLEARNSREGSDISSPPLTPLSLNDLSQQQAAKTRMKGK >Dexi3B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:3B:39904:41083:-1 gene:Dexi3B01G0000030 transcript:Dexi3B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFACRWLPAGAAAGKKDPPPKALVFLCHGYAVECGVTMRGTGERLARSGYAVYGLDYEGHGRSDGLQGYVPDLDALVQDCDDYFTSVVRRQQQQQHVVRRFLLGESMGGAVALLLHRARPEFWSGAVLVAPMCKIADDMRPHPVVVNILRAMTSLVPTWKVVPTADVIDAAYRTQEKRDEIRGNPYCYKDRPRLKTAYELLRVSLDVEANILHQVSLPFLIVHGGADKVTDPSVSELLYRSAASQDKTLKLYPGMWHALTSGESPNNIHTVFQDIIAWLDQRSDDATTTLLSTEELLELEHKARHDDQHHPQHGNK >Dexi1B01G0029680.1:cds pep primary_assembly:Fonio_CM05836:1B:33909342:33912896:-1 gene:Dexi1B01G0029680 transcript:Dexi1B01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLEGDDSPNTGSSTAALVSPVASSSSTATSANTTPTAASSAEQGNGKVNAGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLPAELPQVNARINPVNGYQNGGHMAILRPLPRARSSGRLHHLAALLPDTDPSSFNDDEPLELAGEATEDPQQGSLRTVEIKTYPEFTEVPETTSERNFTVLIHLKAPLAQHLQSPSNLGDGNGPSTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGASDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTISPTAGVNKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVKVESLHPDVHFGSIRSGSYSSRVSDDKKSGSIDVGDMYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARFSAERGDLANAVSLLEDCQRMIMGSASGQSGDRLCQALDAELKEMQDRMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSASLIQAYQTSSMVDMLLRSQTMSRSPTPRQTPQMRHAKSFPARPQPR >Dexi2B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:2B:4037571:4041739:1 gene:Dexi2B01G0004430 transcript:Dexi2B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHSLLHPPAARRSLPSTPTPSPSPFLRLPSATARSRQPHRLRSASPASTSDLTSFPNPNGILSPIDVDAATEAELRENGFRSTRRTKLVCTVGPATSSPDQLEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAASAKAEDWLDIDFGIAEGVDFIAVSFVKSAEVIKHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGVMVARGDLGAQVPLEQVPSIQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSIRIEKWWREEKRHEALELQGVSSSFSDKISEEICNSAAKMANGLGADAVFVFTKTGHMASLLSRCRPDCPVFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDVLQSIQVMNVP >Dexi7B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:7B:21357440:21358444:1 gene:Dexi7B01G0015330 transcript:Dexi7B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGDADSFALDFIGDLLLASDGRVPLATSPVLVSDDVTFPILQPTQPDFHPMPSFVPQHHPHQQGYIDLTQYMGGAAPAAFRAQQQEPEPQPVMIKFGSEPPSPVRPPLTIAVPPSSYAWAGAASSAAPVAAVEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRLRGAKAILNFPNEVGTRGADLWAPPPQAPATTQPAATAGNKRKRQQKTEEDTDDVVEVVAVANKAVKLETASSPPSSVSTRETTTPSSTVTTTSTATEKGGAGAEWLPVTPTSGNWEQYWEALLGGLPPLSPLSPHPTMGFSQLVVN >Dexi9B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:9B:13229548:13233977:1 gene:Dexi9B01G0018540 transcript:Dexi9B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGASLRPAPLPPATSSATATLLVLASVAMPAPRLAHLRRRRLLLPSRSAAPLHPLAPNPGRPLPGPAPLLLPPRAMAGAAHAGVVTGSAEYEEVLGCLASLITQKVRADTGNRGNQWELMPKYVKILELEKPIAQLKVVHVAGTKGKGSTCTFTESILRSCGFRTGLFTSPHLMDVRERFRLDGLDISEEKFIRYFWWCWNRLKDKTGDDVPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVRAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLMRRASELGVSLQVVEPLDPQKLEDQPLGLHGEHQYMNAGLAVALANTWLERQGHLDRIHVNHSDTLPDQFIKGLSSAYLPGRAQIVPDPQVNSENDDSDNSSLVFYLDGAHSPESMEMCARWFAYVTNNDRIQPVSLEQPQTNRNSRKILLFNCMTVRDPQRLLPRLLDTCDQNGLHFEQALFVPNQSQYNKLGSLASPPSEREQIDLSWQLSLQRVWESLPRSNKGVLDFFVKLCLVV >Dexi3B01G0030210.1:cds pep primary_assembly:Fonio_CM05836:3B:29691843:29703793:-1 gene:Dexi3B01G0030210 transcript:Dexi3B01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSRPPPPFAPQNPTPSPGSLAAPFANMQVSRAAGPPFAGPPDSGPAPSSAIRGPQGPPPGARPFPGSPPPPSQPSPPFARPGAPLQQQSPPQFGGPPPAMASQPQRPGFGGPPSGPPPQVQRAPFGGPPSGVSPQAPPFGGPPAAVASRSAPFSGPPAAASYQPAPPAAVPQRSPFGGPPAAASAQPPPIGGGPFTAAQAPPFGGPPGSVPQTAPTGGLRPPFGGPSAPSQQVQFGAPPLFGGPSAVQPGAQPPPFGASQSQAPPFMGPAGGNAPPSFAPPMWQGQARPGAVPGGMQPSMRMPGMPGGMPPNALGRGMSPASTPTMPYSPHAQVSTPSKIDPNQIPRPVQETSVIIFETRQGGQAAIPPAASSEFVVKDTGNCNPRLMRCTMNQIPCTGDLLTTSGMPLALLVQPFALPHPSEEPIQVRDPMPAVYFFLIDVSMNAVNTGATAAACSAISQALSDLPEGPRTMVGIGTFDSAIHFYSLRRAQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPVMFENNRIADSAFGAAMKASFLAMKSTGGKLLVFQSVLPSVGIGSLSAREAEGRSNTSTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLSTQSYLDIASISVVPNTTGGRVYYYYPFSALSDPAKLYNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKAIMVTFKHDDKLQENSECAFQLVLEPFDNELSRKVNEVVNEIRRQRCSYLRLRLCKKGDPSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMT >Dexi7A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:7A:28839739:28841140:1 gene:Dexi7A01G0019810 transcript:Dexi7A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDQKMAKTACVTGGSGYIASALIKTLLEKGYAVKTTVRNPDDMAKNSHLKDLQALGPLTVLRADLEVEGSFDDAVAGCDYAFLVAAPVNLSSGEDPEKELIEPAVRGTLNVMRSCVKAGTVRRVVLTSSAAAVYMRPELQGDGHVLDEDSWSDVEYLRAEKPPTWAYAVSKVLVEKEASRFALEHGISLVTVCPVITLGAAPSMNTNTSVPNSLSLLSGHEVWLGVLKVIERTSGSVPLCHVDDICRAELFVAEEAAAAGRYNCNALSTTVVELASFLAHKYPEYDLLERPRACLSSAKLVREGFVFKHSTLDEIYDNVVEYGKVLGILPN >Dexi5B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:5B:10997897:10998454:1 gene:Dexi5B01G0014500 transcript:Dexi5B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLLLLPPLLLLGANAEPAPATIVRKDGTTCTLCASCDNPCNPSYYPPPSPPPAPVATPCPPTTPSFPSPSGGGGGGGGPIVYSSPPPPASIGGGGGGLYYPPPTGGGGGGNNGASQQGGGGGGGYPAPPPPNPFLPYFPFYYYSPPPPHFSGAWAVTAASSSVTTLLLSGLSLLVVLQWC >Dexi9B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:9B:4443332:4447234:-1 gene:Dexi9B01G0007280 transcript:Dexi9B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCALRSRVLPLPASAAAAAAPHGLLLRFLLSTAAPRHASHLRSRRAAIYAAAEAYAAAEMSQTMTPRFGRATRHPGGDASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGRGKYSEVFEGLRPGSDERCVIKILKPVKKKKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRVIDWGLAEFYHPKMEYNARVASRCYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFSGKDNYDQLVKITEVLGTEDFYNYLGKYGLQLDPQLERLVGRHIRRPWSKFVNARNRHLLSPEAIDLVDKLLRYDHHERPTAKETMAHPYFDPVRSSECSRTSSQ >Dexi5A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:5A:1138179:1138761:1 gene:Dexi5A01G0001590 transcript:Dexi5A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQQEVYFVFMNSDPVYERLRADRSKEGSATLDAYLSHKHDKLLSKLLQPDSYQKRSSLAIVDGFAVEITEDQASVLRSAKEVRVVEKNQELA >Dexi9B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:9B:11677405:11677610:-1 gene:Dexi9B01G0016970 transcript:Dexi9B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYLLLHSALMHAIAALVIVVYIPLSAPVKLFLWAFVKPLTKEDLRGKVVLITGASSGIGEHLT >Dexi9A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:9A:13790263:13795785:1 gene:Dexi9A01G0018740 transcript:Dexi9A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGHQSPEAAATNAVARAAGQPLNLTCLADPTRHALPVQRLEATGYHSSLGVLYFVGFPGLKWTFCSVALEKRFNCHGHGFAEVAAGWEIGEPYARARSSCRAVASRGVVCPTCWRDSDLRHYSSSFLCCNSASPSPALRAHHQREQVPLTPLPLRDPARGTRAIKFMRSVFTRLLAQNRCVFTGVRFTVLDTPPPSNDYTTLRLLPVRLRRTYCTASTELPASNLYDYFEQGQSRNTMSSDGIPPAGNGATDASGKDPASGYISIRAFHGLPRRDHPRTGTHHTRHTQAPRYGVGLLYLVQPGREPDESGYGTVKIESFWPVKFAARRIKSDSADESAIHTNDPTRSSAVKISRRAAGVERAAAPDIPIL >Dexi8B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:8B:1859996:1860317:1 gene:Dexi8B01G0002670 transcript:Dexi8B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTTSGAADGGELTKEMFINTGPRETKHRGMAEETTTTTECAICLEDFEFGDRLSLMPCSHTFHVGCLAEWLAIRRLCPCCRRALSGEAMTPQRH >Dexi3A01G0023080.1:cds pep primary_assembly:Fonio_CM05836:3A:18658523:18663161:1 gene:Dexi3A01G0023080 transcript:Dexi3A01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIFESTSTSTSTSSSGGSAASGSGSSGLGSRTSGSGSFNLRNLSKVILPPLGGPSGHSPFLAGSDKWVISPLNSRYRCWETFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADMVVDLFFAVDIVLTFFVAYIDPSTQLLPLTLRACRYLSTFFIMDVASTIPFQGLAYLITGEVREGAAYSLLGVLRLWRLRKVKQFFTRLEKDIRFSYFWIRCARLVAVTLFLVHCAGCLYYLIADRYPHREKTWIGAAIPNFRQASLRIRYISSIYWSITTMTTVGYGDLHAENTVEMVFNIFYMLFNLGLTSYLIGNMTNLVVEGTRRTMDFRNSVRAASSFVRRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSIYKSICEQLFLPVVKDVYLFKGVSREGLLCLVTKMKPEYIPPREDVVVQGEAPDDVYVVVSGEVEVIKLDGGFEERVEATLLGSRDIFGEVSALSDRPQGFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLKVEMHGMKVEDLLGDQNAGDGEHEDSNVLTVAAMGNSGLLEDLLRAGKDADVGDAKGRTALHIAASKGYEDCVLVLLKHACNVNIRDAQGNTALWHAAASGHHKIFNILYHFARASNPRAAGDVLCLAARRGDAALLRKLLRLGLDVDSEDHDGATALRVAMAEGHADAARFLILNGASLDKAGLADDGSGSGSGEARLAMSPGELRELLRKRELGHQIIILDDDAPTVVPPVIAGGSSAGNSRQGGRLQSSSSDNARWPRVSIHKGHPLVRNRSSEAGKLINLPGTMGEFRAIIREKLKVDSEKAMIVNDEGAEIDSIDVIRDNDKLFVVTQEDLRRLPAMDSVSAS >Dexi8A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:8A:22902745:22906657:1 gene:Dexi8A01G0012960 transcript:Dexi8A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFWSAVQWWEEWQLRFLVLASLFFQYFLFLAALLRKRRIPAWFRALIWLAYQVGDVVAIYALATLFNNHKKDELEAGIRGHLDTLWAPILLLHLGGQDGITAYNIEDNENWRRHLLIAASQIAIAIYVFCKSWWFDDTRLLRAAILLFVPGVVKCLEKPWALKNATVTSIIDSSDPQMMLTMEEKDDKPAKTLEDYVQQAATECVKKPTEGEASDEYFVDKMNDEPYHLFVDLSHPYSIRLKNLKIMVAETGRDEAHDRVRLFLSRGFDRLYTKHKASYGGVLRAIVVFLTFVDIGLFQESRRSTYVPADVVVTYILLCCTAALELISACVVLASGLPLPDDQIAQYNIFGYLARNKKHWTLRHLAFLLGLKDHHDHLCCTTPPVPSRHITELVYDHIYGGWKDYIDADGVRHVNDDQDKATGSRTGIKAVDYYRRFNDSRGQRTLEWENKNLLERSKPLGHFERSLRRPFDESIIIWHLATEFCYFDHVDTGGDAPQHSRVISNYMAYLLFVRPWMLMPGTRRGLFRAVYLELRKMLTDKKSDLEGGDEGTDKKKKKMKVPTRAMDEIARKIIQKVRNPRKISAAPTRPGRVSADELVRHAWDLAHELMEFAKGKAVEIEEKKLKEEEEERKRTVKSEAKTVTSSAEKKAEITISAKKEGDDKMWEVIQTIWVEMLCFSAGRCRGYLHAKILGNGGEYLSYVWLLLSYMGMETMAERMQMTELPMDGDTGALVTASNVEDDDDNEQAPEDPPRKQASKDPSGTTATLTPAAVVPTGSVAVVVPIFGE >DexiUA01G0015480.1:cds pep primary_assembly:Fonio_CM05836:UA:32397842:32404621:-1 gene:DexiUA01G0015480 transcript:DexiUA01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGQQQPPPSPPPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLATHPPIFSRADEPLEADTWIRAIESTFTILVTPCTSNRKVVFAAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYAQAFNGLCPYVGHHVDSDEKKLERFRRGLNTKLKAQLATTRAATYGDLVNLAITQEDANMVHKAEKKRKTPAGPSSAQPQRFRLVPPAAPQGQSRAPQGGGWVARPPPPNAPRFPPPPQQQQAPRQNAQQPARPGAGYQCFKCGSKDHFIKDCPQNKQQNQRSGNQQGKGKQQQRVQVRQGRLNYTTLADLPEGAPDMTGLVTADNTAIRATPNGGYYVRPELSCCSSCRLGFHPADEDLCRPHLSWPLHKLNQCLAGSSHVRP >Dexi1B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:1B:10994862:10998018:-1 gene:Dexi1B01G0011020 transcript:Dexi1B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSQNGGPRPRLAKAETIHGLVRAGDLAGVQRKLRENPDLLNDKNPVMCQTPLHVAAGYNNTEIVKFLLNWQGTETVDLEAKNMYGETPLHMAVKNSSCESTNLLLEHGAHIEAKANNGMTPLHLAVWHALQAGDCSTVSVLLSYNADCFAKDDEGKMPLNHIPGGAGSEKLLKLLSHHMEEQRRRKALMSCREGKAMAEFEDAISQIVGLQELKMQLRRWARGMLFDEKRRALGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMAGILPTDKVIEVQRTDLVGEFVGHTGPKTRRKIQDAEGGFLFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMKRVITSNDGFCRRVTKFFYFDDFSTTELAEILHMKMKSPSESSLLYGFKLHPSCSIEVIGDLIGRETTEERRKQMNGGLVDTLLINARENLDLRLDFNCNDADTMVTIMLEDLEAGLRQISRQRQLQ >Dexi3B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:3B:11462083:11463057:-1 gene:Dexi3B01G0015820 transcript:Dexi3B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAEEETSATPAAAPAAGRLKGSPELTVDADMREMAKTAAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELAKPVGWVHISLSGADPRYAF >Dexi2A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:2A:9464259:9465337:-1 gene:Dexi2A01G0009240 transcript:Dexi2A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKGKGKADVDGPARERTITWDEENTKFMLDWYIEYKKNQHASFVFKKQHHMKCADALNKEFGMGVTVAQVDRHYRDYKERWGKIAKALSKSGNSFDHVKCKLTISESEKSELSDRARRLLAKPIKFYHEMEELFVGSSADGSLAMDQETCLNDDGNSSDNSESEWMNNTTCYAQHVDLAGDDSDTLPEIKGHKKGLFSTASGDDSSSSTPHAGKKRPRGKSPSKKPQKSRSRFAEATKEINTTMKAIVQALAEPPPPPPLPTPQPGGAHASLWKKIEALPITTEDKVSIGVYLARPEQEGMRDFLSASSDNTLETWVYKFLCTGDGH >Dexi5A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:5A:19423091:19423726:-1 gene:Dexi5A01G0016770 transcript:Dexi5A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHTLCLLVLAAAGVAGASTVAYDDRALVIDGQRRIILSGAIHYPRSTPEAKRGGLNTIETYVFWNGHEPRRRQYNFKGNYDIVRFFREIHTAGMYAILRIGPYT >Dexi7B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:7B:3638787:3647465:1 gene:Dexi7B01G0002120 transcript:Dexi7B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPWWLLTTSCTPPSSSLAGTLAFLFLSPCPQRALLAAVDILFLAACLVLLALRLGSSSPSRAPEREPLLQRVPKPPSPSPPRFRYTLALAASSVFAAGSVVLLVLILLLLPLTTPWRVVEPAFLISHALAHAVAAWTVVSSRRAAPSSPPAKHLRVFWLATALCAALSSASAATRLADASLILPDDVISFAALLLSLPLSYVAVAGFTTGHDASEGGDGETNHHIGDEAHDATPYAAASLLSRVTFSWINPLISKGYAAKSLAAADVPSLAGDHRAEASYALFMSNWPSSSTTSRHPVAVALWRSFWPQFVLTGMLGVANIAAMYVGPSLVDRFVTYIQHGGTPWEGLKLVLILLVGKAAQTLASHHYNFQGQLLGMRIRGALQTSLYRKSLRLSTGARRAHGTGAIVNYMQVDAGTVASAMHGLHGLWQVPLQVMVSLLLLYTYLGVSVLMTLAVIVAATAITAFANKLSLDYQLRFIGARDGRVRALTEMLNHMRVIKLQALQAWEETFGGKVRELRQEEMGWLVKFTLFMCANNVVFSSCPLAMNVLVFATYLASGGHLDAGKVFTATSFFSMLEGPMRSFPQTMVSSMQAFVSLGRLDAFLSDTEVDTTAVDRVESGGDGDVAVKVHGGVFAWDVPDSEAKGNDEQNGRGEEPRKETVLDGIDVEVSKGELVAVVGMVGSGKSSLLSCIMGEMYKLSGKVSIYGSTAFVAQTAWIRNGTIQENILFGNTMHPERYSEVIRACCLEKDIEMMDFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFTECLKGILKNKTVILVTHQVDFLQNVDKIFVMKDGLVIQSGSYPELLASCSEFSVLVAAHNSSMEMAEEQGFLVQNTETSLDTKSRNENGEKTSIAQNTDANSSKLIQEEEKETGRVSWHVYKLYMTEAWGWWGAVIFLTVSLLSQGFSMASNYWLSYETSGVGIFNTSLFLGVYVSIVSASVVFGMISNIVVTFSGLHSAQAFFTKMFDSVLRAPMSFFDTTPSGRILSRASSDQLKIDIVLVFFIGFATSLCISVVTNVALTCQVAWPSVIAVLPLLFLNIWYRNHYIVTSRELTRLQGVTEAPVTDHLTETFLGAPTVRCFRKEDEFYQTNLDRINSNLRMSFHNYAANEWLGFRLELIGTLILCITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTILISCTVENDMVAVERVHQYSNLPSEAAWEVADCLPSPDWPSRGDIDVKDLKVRYRQNTPLILKGITVSIKGGEKIGIVGRTGSGKSTLVQALFRIVEPADGHIIIDDFNICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGQYSEAEIWQALERCQLKDIVASKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAVIQRIIREEFTECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPSKLMGRPSLFGAMVQEYANRSS >Dexi9A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:9A:2846829:2848828:-1 gene:Dexi9A01G0005180 transcript:Dexi9A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPQGPEDDFLDQFFSMAGGSYSAAAAGAGRAAGDQPFSLALSLDAAAAEASGSGKHDEGGKTDREAVQLPGLFPPVFGGGVQPAHLRPSPPTQVFHAQQPKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKVRR >Dexi1A01G0030830.1:cds pep primary_assembly:Fonio_CM05836:1A:36014614:36015593:1 gene:Dexi1A01G0030830 transcript:Dexi1A01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEEALRREYVVGEEIGRGRFGTVRRCYAAATGEALALKSTPKAPLRSPGADPLDLALAEQEPKAHLLVSGPPPASRHVVALRAAFEDADAVHLVLDLCAGGDLFSLVQSRGPLPEPEAAGLAAQLADALAGCHRRGVAHRDVKPDNLFFDAAGALRLGDFGSAGWFGDGLPMEGLVGTPYYVAPEVVAGGEYTEKVDVWSAGVVIYVMLSGTVPFYGATAPEIFEAVLRGNLRFPPRSFAGVSPEAKDLMRRMLCRDVSRRFSAEQVLRHPWIVTRGGSARLSSC >Dexi3B01G0021900.1:cds pep primary_assembly:Fonio_CM05836:3B:16810073:16812514:1 gene:Dexi3B01G0021900 transcript:Dexi3B01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGIHPPYTVNNIPRPGCDHDKQSILLFRDPDVELRGPLPIRLFPAFKDGKHYFGSDYNLADKSEIRVDSAEDCPNECCCFPMSLLQFVDMKIAGYQHTHPGRAKVYGFVAARERFDPLRNYVYRRKIENCESVSVKRKTGVARLSLTGPARVISMTTRALIEFEIHAQNEDETTGDDDLIIEGCTQLDKIFKSKSFIEHRRLYGERCALDIKYMVLVNAVEAKVEVTVLRLGSASPGSVNMKLYAKTSGFSEVIQLFRGTAPEPGDMMSFAVAVERHSGFDLYIEGSPRDDLMLDQKVRPYLCWECGFASAYHGTSEEVALLGELAAFSVKF >Dexi6A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:6A:3995772:3998976:-1 gene:Dexi6A01G0004400 transcript:Dexi6A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRNQRLRREYLYSKSLEGADRAQFEKKRRIRQALEEGKPIPTELRNEEHELRRQIDLEDQERQVPTNIVDNEYATATIREPKIMLTTSRNPSSPLIQFVKELKVVFPNSQRMNRGGQVISEIVEACRSHDITDLILVHEHRGKPDGLIVSHLPHGPTAYFGLLNVVTRHDIKDRKTMGKMSEAYPHLILDNFSTKIGERTATILKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKPGGPKSIDLKEVGPRFELRLYQIKLGTVELSEAKSEFVLRPYINTAKKQRTLGA >Dexi5B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:5B:21556952:21557548:-1 gene:Dexi5B01G0019200 transcript:Dexi5B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAAVVVAAALPMLAAGGYTECYDFCFKDCISKDKSMRDYCSYACDKTCAPDAPIRRRTDDPAMECQIGCVRKSCHAGIRADGKDMEACYGQCYDSCETDTVLPRPLRAGTGPVRPAALPDHPFHEKKQDAVWPAALPDHPFHKKQDAVLP >Dexi6B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:6B:10973761:10975900:1 gene:Dexi6B01G0008700 transcript:Dexi6B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATRVRKAGTAANRRAAPPRNRRAAPPPMQSMPGRGRAPPAPEVSSSSWATRVPEQRSSGCGTATPPRSFTDGSYFNGGGCDFFGSPGQSSQPWNHQSSDPTTWGTNATPPGGFTNFIQPNLNQHFIFGGEPSQYSPFRPPRTQQDVQSEEEFSTPISAKDNNTYVDVDSSEEAPARTEKRIYWTQEEDIRMMSSWLLNSTDSTCGADRKNEQYWTDVEMTYNETTPSHRVRNAKQIKDRFHKIINGVNLEPVRYGPRGG >Dexi2A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:2A:31559333:31560749:-1 gene:Dexi2A01G0019340 transcript:Dexi2A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGFEAACGEIRGACGNPRRLGLLLAPRSPAERQQIRAAYRASFGEDLAATLQGTLVPARQEDELCKLLYLWALDPAERDAVVAREAVEGGGTTVAGYRALVEVFTRRKQDQLFFTKQAYMAKFRRNLDQDMVTEPSHPYQRLLVALAASRKSHHDELSQHIAKCDARRLHDAKNGGAGSVALKTNGGGEFEDALRAVVKCIYSPSKYYTKVMQTADSLLQRSMRCAATDKRLVTRAILGSDDVGIDEIRSVFKSCYGRNLADFIHESLPQSDYRDFLVAVARGSAAS >Dexi1B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:1B:22778013:22781096:-1 gene:Dexi1B01G0016260 transcript:Dexi1B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDAPNACGSDDDDMNSSPQPSAAAAAVAGAPTAASAGGVTTSVTTVAGTIMVFMAIAAVLIYLQFYFDAWTRRDRQNNGSSQASSRRRRGGGDGAAGSRGRIRGARGVDPELLRSLPVTAYRAGSKESSVECAVCLGELEDGEEARFLPLCGHGFHAECVDTWLASHTTCPLCRLTVAKPDDDDAPPCPALLLPPVPPEPANYAQNRPASVLLGMSADHGGVVMSAGGGRSASRGVLVIEIPELAVPTTPTTPCDAAVSTGSARLRSSFKRLWSFGMQGAGASSSCTCAQRRSRLGAGREELSLTSARDSARKAVNRSRSWNYLTAKSHRPIATADRPAGQAWPGAKNPSRNFASLLGAFFVLLRACANMPLMSPSSRQCSDTSIRPMTQAAGDDDMNSSQPSGAVIAGPPTAATTVAAAAGGVMTVGSVTTVVVPIMIFMAIAAGLLYLQHYFDAWNRSDNQSHGRSQASRRRGGGGASSGRGSVGIARGGLDPDVLRSLPVTVYRSKESLVECAVCLGELEHGEAARFLPRCGHGFHAECVDTWLASHTTCPLCRLTVVAIAKQQPDDASPVAPPSLALRPVPPEPTTARYHAANLPLPASVPNQGAVSTVAMASDDGDTSASAGAMAVLAIEIPEVAVATLLTPRSFRRLWSFGRQGAGPSSSCSCGGAGEGADVEQGVSSA >Dexi9B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:9B:5292505:5294604:-1 gene:Dexi9B01G0008680 transcript:Dexi9B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPRTGGATARGRSHSFGGSSSSGAGGGGGGGNGDMFVRAGAHNEVYVRADKIDLKNLDLQLEKKRSQVWLDHQLSQRSASPMPDSPLLEWEIDLAKLDIHNQIAHGTFGVVYRGTYDGHDVAVKVLDWGSDGHDTSAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSQLKIPKKGSTSSGGGRSVPSECCVVVVEFQHGGTLKTLLYNHRDKKLSYKKVVHLALDLARGLSYLHSKKIMHRDVKAENMLLDRRRTLKIADFGVARVEAQSSEVTGQTGTLGYMAPEVLQGKPYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRALSEIMTRCWDGNPDNRPEMSEVVALLERIDTGSGKGGMTPVDDVAHGCSCFGFNRSSA >Dexi1B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:1B:5693584:5694386:-1 gene:Dexi1B01G0006870 transcript:Dexi1B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSQSSSLQRLHQVEKRIVRVLELAGVVMEELGNSQGPRTDAVGAHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLQYVIEKLDTMQQNLEQSTDDV >Dexi3A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:3A:156152:159222:1 gene:Dexi3A01G0000140 transcript:Dexi3A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENEDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSAAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESEPSLNAEIRNMRRPPSSSVGSLPPMGRSISSSQTSDRRGGSSASNTRKDEHNWRYDTDDMSEEVLRASTALESIQLDRKSRNLPTSWRHSGDGTE >Dexi7A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:7A:12429998:12433672:-1 gene:Dexi7A01G0003250 transcript:Dexi7A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRITDELAADIGVEVALVVGPEGGKAWPVEVGQDGDGAFLGRGWPEFAEAYGAGAGWLLVLRHRGRGVLFAKAFDDTGCLSELGAPASPAGDWSQFLKFHCITEANALLLRYEGNTVFTVKVYEPNGYQKLYKHKENRGQQSERNIMMFLADTEEQREAPSACIQKQQESKRKNNWLGTDGQKRPKGSMASSKMKRKSSEMNCVYELGPPAWLTKKMDTNIMRRHHLCRPRSFCNAIGFQTHSMGSDISWQVHGHFYQNAACQLGSGWKKFCQDIELKDGDVLTIKVIKTHLWDVIIARS >Dexi7A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:7A:14615976:14616464:-1 gene:Dexi7A01G0004230 transcript:Dexi7A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGAKAIGNISIRDVQYLLTAPKIYKEHRTITTKDFLTAVRHHLQDQHEASPLLHDVITCKKDDTIKDIILKLDSEKIHRIYVVDDKGNTEGVITLRDIISKL >Dexi7A01G0024050.1:cds pep primary_assembly:Fonio_CM05836:7A:31878240:31881568:1 gene:Dexi7A01G0024050 transcript:Dexi7A01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPVSRRIVLSFLDFLNSVYYLPFPDQSDSQVEDVFDLDDSGDELFAKFYAALDEINFFTTSPAGAEDPGQLSKATQYFNEALLSMQKSGREKTSLVDLAESFKSRGNEFMRSSQHLKAVELYTCAISLNRKNAIYYCNRSPKRNWPNNESHQKS >Dexi9A01G0043890.1:cds pep primary_assembly:Fonio_CM05836:9A:47589061:47591046:-1 gene:Dexi9A01G0043890 transcript:Dexi9A01G0043890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMRALLLLLLPCVLATSSILPPPGGPVHCNVGTFDCTVTNACGSFNDRTTCHASQAVYPRTEAELVAAVASAAREKRKAKAVAKYSHSLPKLVCPGGSDGVVISTALLSRVVGVDVEKKQITVQSGMLLRDLVAEAAKVGLSLPASPYFYGVTIGGLLATGAHGSSLMGRGGAVHEYVVGMRIVTPSTTTGESGERLAVVRELRADDPDLDAAKVSLGVLGVISQVTLQLEPLFKRSVTFVTNDSDADLAEMVDVWGLQHEFGDIMWLPGQGKVVLRKDDRVDISTPGDGLNLGMFRDRPSSDIARSRLEEEQLQEKGSDAALCSVSHNRSVVAEKLGFGLTNDGKSFTGYPVVGYQHRIQAYGSCQEGPDDDGHQEQQLCPWNPRINGSFIYNPGLSVTRSKAKAFIKDVLTLRDLNPDAFCGLDMHSGILFRYVKSSTAYLGKAEDSVELDMAYYRSRVRGTPAMHADVFDELEQMALYKYGALPHWGKSRSYAFNETRGKYPKLGEFLDVKARFDPDGVFSSEWSDQVLGINGSPMILGPDCAVEGLCTCIQDSDCASGYVCSPGKLYPDARVCSIPHPQSNIAQL >Dexi3A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:3A:13222410:13226409:1 gene:Dexi3A01G0017300 transcript:Dexi3A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLGLSTSFLPGHDTLLRRRPRRPASPAAASFRPVTAELGGVATELGRQLVEAVGVGLPCTVMQCGDVIYRSTLPRDNGLTITTPGVALALAAVSYLWSTPGVAPGFFDMFVLAYAERLFRPTFRKDDFVLGKKLGEGAFGVVCKASLANPEAAEKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRENKAKGKGAEEYWLIWRFEGEDTLFDLMQSKEFPYNVSSAIWVETKILGDVQDLHKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATAELRRGFEIMDLDDGIGWELLTSMVRYKARQRISAKAALAHPYFNREGLLGLSVMQNVQLQLFRATQKDYSEAARWVIGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASVLRVQRKIVRTIKESMDDLTSQRKSIWWSRWIPREE >Dexi4A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:4A:1277053:1277922:-1 gene:Dexi4A01G0001930 transcript:Dexi4A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLPHAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi3A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:3A:15317713:15321822:-1 gene:Dexi3A01G0019510 transcript:Dexi3A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFLHRVLVLSSLLLLASGEIFFEERFDDGWEHRWVKSDWKKSQGQAGTFRHTAGTYSGDPDDKGIQTTGDARHFAISAKFPEFSNKNRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSLSYLLLSIILHNNYLYVYLCSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDATYSLLIDNREREFGSMYTDWEILPPRRIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKEIPDPKAKKPESWDDDDDNSIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEVE >Dexi5B01G0025590.1:cds pep primary_assembly:Fonio_CM05836:5B:27615737:27620098:1 gene:Dexi5B01G0025590 transcript:Dexi5B01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVDDAKKDVLIALSQIIDPDFGTDIVSCGFVKDLDISDALDEVSFRLELTTPACPIKDEASIAPFEQKANEVVSALPWVKKVDVTINSFFQGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPIEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVFLVLQLLRTCAILMLMESVITHLDKVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQDSTLRPVGNA >Dexi1A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:1A:11357321:11361116:1 gene:Dexi1A01G0011940 transcript:Dexi1A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSIECVSYSDGMEDDDDAAAVTSSQLPRPFLKSASSAGSAAAAVNVVVVSDRAGTAGPGGAGTGAGPLVISPATGVHELLECPVCTNSMYPPIHQAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >Dexi3B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:3B:11414409:11419804:-1 gene:Dexi3B01G0015770 transcript:Dexi3B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHSKINRKRLAELDIIEICEEILNPSVPMALRLSGILMGGVVIVYEKKVKLLYGKLLPLSFLAEIIGAWRVKPSTDPTVLPKGKAQAKYEAVTLPEKIMNMYVEQPMIFSEVDAARFQGMSLDDLDEHYVNVNLDDDFSRAEHAHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEGPQVPSMLFPSPPRQEDPPQQGQYYAAPSPVPSTPVPSSPRQEDPPQQGQYYAVPSPVHEEHQQGGSREEQEEQKMENFNFIRTTKISNLMDMPPVALISYSEKSSSELYYPKPLMQLWKECTEVNSAKASSSGQPSSSQEQQPRKSPPWEFPPQPEGEYQMETGANLMDFPDGFEKLRANVSTEYDAYNTLHSDHSVTPGSPGLSRRSASSSDGSGRGFLSSDQEMQLASGSGRSKRKQHSSGRSLGNLDPVEEDFPLEQEVRDFKMRRLSDFEPTPDLVEETEPTQTPQTPYEKRSDPIDKVTESIQSYLKQHFDTPGSPQSESLSLLASGMTSASAARLFYQTTVLATFNFIKVSQLEPYGDILISRGAKM >Dexi9A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:9A:10221335:10225877:1 gene:Dexi9A01G0015370 transcript:Dexi9A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLGRGFVNLESGEESDDVDTESQDFSDSQAFSQSEGDPYAAYSYGYDESNESIKRAAYVQVLKDVIYLDFVNFGGRQVPDDIPRIAMWKGEMLKEYSSFDMKSTGSYGCHPLLDFSATCYSKAALGK >Dexi8A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:8A:25042425:25044544:1 gene:Dexi8A01G0014610 transcript:Dexi8A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDVSRSTNIYTCLFCQILWNFSPGPELEKLHAERIAALKKEAEKREVLKRKGHGEYREITEGDFLGEVTSSEKVICHFYHREFYRCKIMDKHLKALSSVYVGTKFVKLDAENAPFFVSKLAIKTLPCFILFKKGIAVDRLIGFQDLGSKDDFSTRALEKIFSR >DexiUA01G0014560.1:cds pep primary_assembly:Fonio_CM05836:UA:30619077:30619712:1 gene:DexiUA01G0014560 transcript:DexiUA01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGKHHKHEHRLRRCCGCLASCILALILIVAFVALVVYLALRPSKPSFYLQDLQLRRAITVGDPSLSASAQVTLASRNPNDHVGVLYRQLDVFVTYRDEPVTVPVSLPPQYQGHRDVTVWSPVLSAQAVPVAAYVADDMKKDVEAGFVSLQVKIDGRIKWKVGSWVSGSYHLFVSCPAVLSAGFAGGAGANATLSALKFAQPTGCSVEV >Dexi2B01G0028150.1:cds pep primary_assembly:Fonio_CM05836:2B:36787620:36791880:-1 gene:Dexi2B01G0028150 transcript:Dexi2B01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRREWLLSLCLVSIQLLVPLAFKGLLVGAQGLSPPALTPLLVKQVDDMVEHVWLKCGLDKRSLEDVRKHFNYNHVFDILRMVSGKDSKDISPGIEDASKALSPEIKNALLNCLNKQPLVIAAKESAKNLPVDYIKMLLALLRRDVAQGTPGAPAAVKSTPSPSLGEPSSPIPDKKTDPLSQTSPKEKTVPPTKKSVAKKEDSSGMPTIAILGLSVSAIALLALICLCCCICRVKQASSSDIRDDKPLLGLNQSDLSAASYKSSKGNPIDINKLGALPLTSEAVQNGNVKLSSCVQNDSVKLCSSVQNGSVKLSSYELPISDAHPADYSSLTEPMATSIGSAPVLQPSTSPVMQSAVPTPPKAPPPSNPQAPMPPSKPAPVHAESSPPPVPEAAPPPKAASPPKAAPPPPPKSTGPPRPPPPAMPGSSKTRPPPPMKKSGNKIDDGADSHEAKTKLKPFFWDKVTANANQSMVWDHIVSGSFQFNEEMIESLFGYNATNKTGSDGKKNSSSKDVPQFVRILDPKKAQNLAISLRALSVSPEEVCSAVKEGNGLPSDLIDTLLKWIPSNDEELRLRLYTGELTQLGPAEQFLKAIIDTPYVYQRLDVLLFMSNLPEEASNVKQSFATLEVACQELKNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRATRTAKEQSTSISSVDTNDVTDDNNEQTEDDYKQLGLKVVSNLGDELQNVRKAAILDADQLAMSVASLGHKLVKTKEFLNTSMQSLDEDSGFHHKLKHFTEQSQADVTFLLEEEKKIRSMVRGTVDYFHGSTGKDEGLRLFVVVRDFLTMLDKVCKEVKEASKAAPKKTKTHQPSQTSQSSFNDPRRNLFPAIQDRRAGTSSSSSDEDD >Dexi7B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:7B:23348095:23350749:1 gene:Dexi7B01G0017370 transcript:Dexi7B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPWPPPPSPPPAAASHMAITQAFADALRSCGARGALSVARALHGRLVAVGLASTVFLQNTLLHAYLSCGSLPDARRLLQADITSPNVITHNVMLNGYAKLGRLSDAVELFDRMPARDVASWNTIMSGYFQSRQYLPALETFVSLHRSGDSSPNAFTFSCAMKSCGALGWHGLALQLLGMVQKFDSQDESEVAASLVGMFVRCGAVDLASRLFARIENPTIFCRNSMLVGYAKTYGVDCALELFDNMPERDVVSWNMMVSASSQSGRVREALDMVVEMYSKGVRLDSTTYTSSLTACARLSSLGWGKQLHAQVIRNLPRIDPYVASALVELYAKSGCFKEARRVFNSLRDRNNVAWTVLISGFLQYGCFTESVELFNQMRSELMTLDQFALATLVSGCCSRMDLCLGRQLHSLCLKSGQIQAVVVSNSLISMYAKCGNLQSAEFIFRFMNERDIVSWTSMITVYSQVGNIAKAREFFDGMSIKNVITWNAMLGAYIQHGAEEDGLKMYSSMLSEKDVRPDWVTYVTLFKGCADLGATKLGDQIIGGTLKVGLILDTSVANAVITMYSKCGRISEARKVFNFLNVKDLVSWNAMITGYSQHGMGKQAIEIFDDMLKRGAKPDYISYVAVLSACSHSGLVQEGKSYFDMMKRVHNISPGIEHFSCMVDLLGRAGRLTEAKGLIDKMPMKATAEVWGALLSACKMHGNNELAELAAKHLFELDSPDSGSYMLMAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVDNKVHVFKADDVSHPQVIAIRNKLDELMEKIAALGYVRSESPRSEIHHSEKLAVAFGLMSLPDWMPIHIMKNLRICSDCHTVIKLISSVTGREFVIRDAVRFHHFKGGSCSCGDYW >Dexi2B01G0032690.1:cds pep primary_assembly:Fonio_CM05836:2B:40542645:40542990:1 gene:Dexi2B01G0032690 transcript:Dexi2B01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIAVVVPLVLASALLFLLSPSAAAPPPREPVELTRAPPAGRLFDFPTPPAAPFRPANPS >Dexi6B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:6B:5029680:5030168:1 gene:Dexi6B01G0005560 transcript:Dexi6B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAHANDYANMDMEEVIPCALDERVVMVPVRSPAIQCSSRSRPLLSEPRRTGQDCRGLDRRADVVHADGTRGRRIGQRARREGRRSGAYDLRGGHWAGLAVAVAAASTGDTDRNAGAYVDAAAGRQQHCRGRGGGDVEGTTRRRWMISVRASSSGWIPKPL >DexiUA01G0008920.1:cds pep primary_assembly:Fonio_CM05836:UA:17037805:17038422:1 gene:DexiUA01G0008920 transcript:DexiUA01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLISGVTCDAPYEWSDKTDSEWEFKKDQKSGTFCVVAYDFGIKNNILRRLTSYGCKITVVPANWPASEVLKLKPDGVLFSNGPGDPAAVPYAVKTVQELIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQC >Dexi5B01G0032550.1:cds pep primary_assembly:Fonio_CM05836:5B:33159246:33163024:-1 gene:Dexi5B01G0032550 transcript:Dexi5B01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPVAASASPAQADQLAAAASVTTPQNPNPNPLLSPQIPPSPTQLDPAAAAAASSGGGGSMDYPPRPPQLQAPSPTQAGAGPGGFGQIQRSGSASRLSTASQLPQYAAMAARVYGAQMCFSGGGGLVGQQQQQLGGRAPLLGQGQLGMLQGQGNAASAAHFGLQSQMMAQARQKGMVQGTQLNNANTAQALQGMQSMGVMGTMGMNQMRPNGTIPYGAQQRFAHAQMRPQASQQAALSPQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLEKNWHMSVPGFSKEDKNPQRNSVKPSVDPQQPETDATSIRGPSNKLIANNSVGNHQIRPPIAEASAMPTMGPMSKVPRF >Dexi9A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:9A:3147198:3150876:-1 gene:Dexi9A01G0005620 transcript:Dexi9A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPAAGHAADLLQKLSLDPKSEGGKGPERKEKPSGGPNGVSKGGVVSPNPPVLSAEPWTQQDYNDAAMYYSAYPGAYYCGGWGDYSVYVSQDGADALSSGVYGDMYCYPQYGIADGQIYGSQHYQYPSTYYQPKTTASKPVYKAKTGKSSPSQDVSTATAADRQPANQDASKTTSKSIDGVKGLKKETLPLKPNERLDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYEEAKKKSSSSPVFLLFSVNTSGQFVGLAEMMGRVDFNKTVEYWQQDKWTGCFPVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVKLDQGLQILKIFKDHVCKTSILDDFGFYDNREKIMQEKKSRRQYPVEKVMNRKLLTTINTENEATDGKQKPEIVGEQNAVVENGAVVVAANGVTPREQTVVVENGVVAVAASSAAPKDANPTTEVVAVANGC >Dexi7A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:7A:207791:208744:-1 gene:Dexi7A01G0000120 transcript:Dexi7A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIITPAMMPRLAVLLLLCTAVVSGATAAARVDTFSFPSFDATTTRDLTAASNAWVLGPASQLFVNFDGYAKLNRTEGYLVLSRPVDIWRPVSSGIPSLEASFNTSFTLTTTATVAFVVLLDTFWIDKDTSLRGFGNYTSPELAVGSLASVEVGPVRSYATYGHDDNSAVGLNVTVTPNVTSATTRTVWIDYNAAAHRVSVRVAGDGEPRPSRALLDAPLGLAGRRTTETAFVGFFAAAIQDIFVGVRDWDLTVDSFEGDGRKGTKWWVILLAVLGSVAVTAAVVAVAVCYFQSRRRQRRQQQQLDKQPNIDVASLE >Dexi9B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:9B:3258169:3263644:-1 gene:Dexi9B01G0005550 transcript:Dexi9B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELLPDKLNAWARCPSSCVLKMYMYMTAADTSALRGKPKVALEAILIHLESCSFLLDQDASLLAGSELKTKTRPGVRGFRLLYPELMDCKFQTMVELYKAYEAMSDRIMEQIDSEVLHLENGISELKELLNKPDTAITTVGPDLLTRNQGLKHVIYFAPPVYLFSFSPSAHPEYEYHTAGPEAIRTQRAYDSNQDREEALNRDRVAQRAWWEVNLEFLETEKRVVEDKRIEMERSLRAVIKKAYERRSKLGAGYTDFTFRGG >Dexi9B01G0041690.1:cds pep primary_assembly:Fonio_CM05836:9B:42138581:42139129:1 gene:Dexi9B01G0041690 transcript:Dexi9B01G0041690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGARTRGPVMAGTRNHSAAMAGNLATRPGLGRHDHEGEGRIDRGFMGQRGRHKCGPDNQTLRPKLKLDGSGERDAAPSKSATIILASAGRGSDQAAEAEQEDESAVCPAK >Dexi3A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:3A:16562422:16570571:1 gene:Dexi3A01G0020940 transcript:Dexi3A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAPSCAVSDLVAKGKESAIALRAMLGKQPAVVGCGEGATPPDDLGDLAEQILRCCDRALAALRGATKESSAAATRKRKPELHGPQTPPATTSKRMRLSGGERANKQVEKKWTMEDGFLWRKYGQKDIHGSKYPRLYFRCSYKEDHGCMARRQVQQSEDDPSVYLINYFGEHTCCRDNGVSEDPESSPEPFVINFGASTIDGHDLQPRGSPWPSSDDDGPVTRSERVRGKRRVAMASCAPACGAASELVARGRDSAAVLQALLLGQQPVVAAGPTPRCLQELTDEILRCCDRALAALRESSTEEDAAAAGSGTRKRKTERGYGPAAHASPATSSNSKRMRVRGGEGATRVEKRSSMEDGFIWRKYGQKEILGSKYPRLYFRCTYKDEHGCMARRQVQQSEDNPSVFLINYFGDHTCCRDDDDERPAPFVINFGSSTSDGQPQQSGGSPWSSCGDDGLQVVSKTPDLCNSPEEELRSSTGNESDEFNIEQSASFPELTSMMSSMEWDALVDGSSLDWPFCEGESLFDDIGEFMGHDFNYFDLL >Dexi6A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:6A:308402:310834:-1 gene:Dexi6A01G0000540 transcript:Dexi6A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPRGPLCFFLVICLMAQLGACNVVLMANNTTLSFDDVEATFSLIDLCVFATAAPAMKGSGLNGVLYAAEPLDACSPLTTKAAKGAASPFALVIRGGCQFDDKVRNAQNAGFKAAIVYDDEDNGVLVSSNAPDTMFFLCTFSYMLLIISAQKSFMQLVWTCGLPHGEHSALFRYAPHP >Dexi2A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:2A:3675714:3684382:-1 gene:Dexi2A01G0004110 transcript:Dexi2A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPEKLPKPPGSAASGAQREPSAFTTVAGSFWKAMAEASRTTEARRDAVFGRWVVFSPARSRRPTDLKSHNPTNPSPGPGEPRPSCPFCAGRESECAPQIFRVPPDGSLPWRIRVIENLYPALRRDLEPPPAAAPDAEAEEEPGERAVPGFGFHDVVIETPSHDVRLWDLPAEGVRDVLLAYAARVRQLAEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTSRLNCMKEVFDRSGNCSLCDFRSKDILISETPNFSAIVPFAASYPFEIWIIPRQHLSYFHEIDQDKALDLGSLLKTMLQKLSKQLNDPPFNFMIHSAPFGLSSSCLPYAHWFLQIVPQLSVIGGFELGSGCYINPVFPEDAAKILRELGCSS >Dexi1A01G0031640.1:cds pep primary_assembly:Fonio_CM05836:1A:36525225:36529330:-1 gene:Dexi1A01G0031640 transcript:Dexi1A01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPASLDGRTATFGGRTAKGARHGGTDALCSHGQLELKRMSMCEKDQNLPWGYDLFRDPFAPPAGYYGPPPGYCDGNCCDLHYGRGTADEHEHETVYVEPSSSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIISQLKANRDAYDGYVPMAYDEYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGE >Dexi3A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:3A:7956191:7957073:-1 gene:Dexi3A01G0011190 transcript:Dexi3A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSPAAKGGKTGNVGGGGDTQIMDGGTPPLGSPASDSDETQCGGDDGALYDETQPVDEAETQLVDGVEEEEEEEDDDVAGDLGETQLVEIGEEDGSDDDDQVKTQLDVENGDDDGGAEDNAGKWTTTQLDEKCEANGLKNGVGGMVETQLVEDSEEEQEDGVNGGDEPDVCEWGKTQLVEDSDEEIGDDELSDSTQVLSDNESLSGDERDVKSGIDIRDVGLGMEVSMEALNGGVEKLGDNKNLVESDASTDEEGDTVSG >Dexi1A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:1A:107246:107548:1 gene:Dexi1A01G0000150 transcript:Dexi1A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHVEAAGGPVIRQSCMCCMTPDSDFVIDFLGGEFGEDVVVGVGFSGHGFLAEMAIDGKSNTAAEAGVELGHYRISRFDGNPMGNAAKDY >Dexi5B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:5B:6347770:6348435:-1 gene:Dexi5B01G0009300 transcript:Dexi5B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPSCIPLAPTGGGGGASSSSAATKVIHGDGTVTRLARPVRASELMLDHPGQFVCDSGRLAVGCRVPGVGADEVLRPRHAYFLLPMDMLYSVLTDEEMAALSASHAATATAAASAWKRLTFTATAHRHDERRSASVVAPAKDGCGNDGGARVYPMLGLLEAAGDLDKDNINTKPQSRGGDASKSSGGGGGGGGGGGGGAGVRRHHRSWQPVLDTIEEVP >Dexi2B01G0020980.1:cds pep primary_assembly:Fonio_CM05836:2B:30956345:30959460:-1 gene:Dexi2B01G0020980 transcript:Dexi2B01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTPPQCGRAPLLHHGRREAHPAAAAPGVVVRCARGVPQVSGLEAATKAAAARAEGGGARPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATRYLLFEYIAGPKTECTVQVTGSYRLLSSKWVMMNQNTRRLQRVSDDVRDEVFIHCPKTPRLAFPEENNGSLKKIPILSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEGKSMNGSASAAPHKQAEQQFLHCLRFAGNGDEINRGRTVWRKLAR >Dexi2B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:2B:18941762:18946844:1 gene:Dexi2B01G0012610 transcript:Dexi2B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVRDIAALYNCQASLEKVEECRRAEGFTSISSKCFRAANISAILIDDDMSFDKMLDMESHKAVAPVVVRILGIECLAETVLNDESFSGLIWTLESLTEAYIAKLKSYPPDFSITIAACRSGLDINPNVSKKDAEDGLRKELAGQKPLRITNKNLIDYLFTSSLEIAASLNLPVQIYTGSVDVDQDLGKCNPLHLRAVLDDERFAKSQLVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVHGMTCSLKELLDRAPIKKVMFSTDGYAFPDTYYLDIISYSTHLLKKSHFQVVPARRFYETTMDQGVGLPLAIMGLTSFSDHPAEGTNFTGVGEMRLVPDMSTICRLPWY >Dexi9A01G0024200.1:cds pep primary_assembly:Fonio_CM05836:9A:20432989:20436924:1 gene:Dexi9A01G0024200 transcript:Dexi9A01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGLAVDSLNPKVCFGRANATPSRRFGFFGRATEGSADAHTLLPLPQVLALTDHLGDDAIARRAQCIQNEIENKPGSHPFDEIIYCNLSNPQSMGQQPNKFFREVLALCDYPHLLEQSKTNSLFSKGTEDSRPLSLESNRRGTEGLRDVIAAGIKSRDGFPCNAEDIFLTDGAAPPVHMMMHLLIRDEKDGILCPVPSHSLYRSSMVLHGATLVPYYLDESRGWGVRMSDLKKQLDDARSKGTNVRGLVVINPGNPAGHVLVEENQREIVEFCRKEDLVLLADEVEDESYTSYQAERNGILSAFSRCAEAMVLALNRLEGVTCCKAEGAMFVFPSVCLPKKAIAAAEEQNIEPDVFYALRLLENTGIVVVPGSVFGQVHGTWHFRCTILSKEENIPLFISRFMVFHEAFMDKFRN >Dexi1B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:1B:5372992:5375783:-1 gene:Dexi1B01G0006580 transcript:Dexi1B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSTSSPAAGAAAAAALRSASKPCRAATHVLFRQKLSFLAAFQAQHMKCSQPFTRSVVKIARSDITDGDNETEPARELLERLFAKTKSLDPSASRDGELSMSIEVLKTEFEAALSILRKKERDLREAEKKVSVDRSRLNQTKQDLDQREEDIIKAYGRQHEMEKALMKASRDLSLQVRQINKLKLLVEEQEKKIVNSQDALSNKVIEVDKLKQDILKKNEEAALMRSEIETKEQELRAANQALAQQESTIRELQSEVKRKETEIARSNELRKANEERLKVAEQELKKQNLGWIAAQQELKELAQMASKDKDNIKDTIDDFKRVRSLLDAVRSELMVSKEAFNFSRRQIEDQARQLSKQVKELTDQKTLLISYTQNLEAAQLEIQGKTNELSAVQSRCRELESQLLEEMKKVESLEAMLTKERESLGQKTKEVDLLQEELAQKENEYISSQKLVKTKESELLEARHEVEDMKLKVDSIQIAVQEKDLELLETQRRLDEVNNEVVELQQMINTKEDQLVQVRTELQDKEQRLQLMQDELDKMRLGRSQAESVVQKIVELTGNLIGSVEGEEFDIYSLLDDEILSTGTALESNLHKHNQLEADIDMLKESLQQKDMDLRVAYKALDAKDLEMKAVLRRLDVRDKELDKLEELSITKDNNVEEVELQKHEIESVEVEALAASTMLKKLANITKEFLTRGRTDSGTNSLASRNANVSDGASKIQPKEMDVILKAKKEIVGLFSLTEELVAGAGINNAEEP >Dexi6B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:6B:5648960:5649657:1 gene:Dexi6B01G0006050 transcript:Dexi6B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVSPPPPPPPQHREAEGSPPDLYGVAVQRISDHLRRTGQHGDDLDAPTFAERLARHLRRLRSRYLFSYLDGSISAEDVLLHLRILDDCADPDKRPVFHARLVGARLYGVPVVVDGGDDRQELLHEPGIVFRHEIVFSSVERPRVLSRLTALVSEVGLNILGAGIYNTVDGFCLFVFLVDGWESKVNV >Dexi5B01G0026560.1:cds pep primary_assembly:Fonio_CM05836:5B:28312491:28314924:1 gene:Dexi5B01G0026560 transcript:Dexi5B01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKRGGGGRHGRGRGRGRGAVVENDMDRHETSSPSSPSTTSDREENAILIPRQSPACYVGPSELSSTLLNPKINHRSDAIFGDQVDKLEGMVKRAKRAMSSTADTTTQALVAEFLHGFQDVVQDITEIDTSPHIDTAASQQSPELVLEAEQNIDANQEDQQEDEINTVEHASLTLEPMDEENNLSNNVLSDPSLGLDETCDSGALATENYDTITAATDLIQPSAYLQQDEHLEDHPEMEQTIFMVEPKCEEDDDSNFVLPSSPPEIMLEEQDNSANPDEEHRVTWLLKVLTHVLYDRALK >Dexi2A01G0022580.1:cds pep primary_assembly:Fonio_CM05836:2A:34436093:34440813:1 gene:Dexi2A01G0022580 transcript:Dexi2A01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYSFSFSYPNTSTEEVAMNMSRTGSKKGSTTFTSNASEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYNDDVTVSVKSVLDPCDDNNVDSGDDGMSVDNESDHNDDFSDTEVRPSEADRYVVAPNDDTQDAAHEEQITAEVKEWICSREMGTINVSDVLSNFPDISMEMVEDIMERLLKDGVLSRASKDGYTVNQAVDPKTPHIKKEIMQNVSLTEGTKQNNGDLIYMKALYHALPMDYVTIAKLQGKLDGEANQNTVRKLIDKMVQDGYVKNSANRRLGKAVIHSESSNRKLLEIKRILEGNEGQQMAIDTNAEHVDSERKDLLKAHEMKDGSTMGCLHSVGSDLTRTRELPELQQNVSMQSGQDASAMDKDPSRTPTSLREPAAPVCSLESGVLGQKIKRSLTGGSEVKSTQDKRSRKASMVKEPILQLFKRQKP >Dexi2A01G0035390.1:cds pep primary_assembly:Fonio_CM05836:2A:45041308:45044413:-1 gene:Dexi2A01G0035390 transcript:Dexi2A01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSSPPPPSLPAGVSLSIFISRSGDMHMRHVPQMQGGSFFRGYTKLCKGLAVILLLVHLVVQLFPSAVTYLALIPARTIPFAWNLITAGYVEQTIPGAIISIIGLLLFGKLLEPLWGSKELSKFIFIVNFSTSACVFITAIVLYYITQQEIYLYTPLSGFYGVLSGLLVGIKQLLPDQELNLFVLKFKAKWIPSLVALISIVVSFFIKDLMSYLPVLLFGIYMSWIYLRYFQKRVETGLKGDPSEEFSFSSFFPEFLRPILDPIASILHRLLCGRSERSDARGQTLDSSPLPGSDSIEANRRRERGQRALEQRLAEKLAAVRNSEGTSLDAADKV >Dexi2B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:2B:8004726:8007163:-1 gene:Dexi2B01G0007810 transcript:Dexi2B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPAILLSLAVAAVAIMAFAPAQVSATKYNITKLLEPYKQYSKFNEMLSKTRLASDINRRQTITVLAVDNAAMSALDHYSLQTIRHILSLHVLVDYYGDKKLKKLAHGSTTSSSMYQATGSASGMSGYVNITRKDGKVSFTTDDADATAKPTRYVKSIKEYPYDIAVLEVSSIISSADAEAPVPPPAPVDLIDLLSKKYCKSFASLISANADVFQTLNETKDNGLTLFCPIDSAVAAFMATYKNLTAKAKTAILLYHGVPDYFSLQLLKSNNGVVTTLATASETKEDYSYDVQNKGETVTLETRVVTSSVTATVGDMEPLAVYAVSKFLKPKELFKVVEAPAPSPEPSKRKGKAADGGDDSSDDSGDDTADKGDAAPAAMLARWVTAATTAVAALALLS >Dexi7A01G0022330.1:cds pep primary_assembly:Fonio_CM05836:7A:30662438:30664471:1 gene:Dexi7A01G0022330 transcript:Dexi7A01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDRDFCDKESDDEVYYRPSGRGSSSSSTGRLRSNGTRDRTVEASSPSSTTEADDVQSLWQPARHRTDTDETSRGVELRITSAIVIDVPKKKSDDVVIQNSSHQHGVPQPAAGHAQRSSQADRRSNVGATRRPLQPGKWSRGGADRPSVCEEKERRPRDQAPPQPALGTTTPQEEYDGRCEGE >Dexi8B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:8B:26306929:26308755:1 gene:Dexi8B01G0015530 transcript:Dexi8B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPEGYFAKGLLEQSPPSPPVFLDIPHKPSGSSEGQHNIPDNMMLPHISRVLFVDDDVDDKLSDDPALLQVQQPFAEILSCPRSNDLLQDGSHEETVLDLVLSKSNEVEQAFLKGMEDAYRLLPKVNNFRRDNLVNQIFTKSSSHCGAKKRYNSDDHQEEVRSSKAVMAMKKAEDNNVNEILDEMMSHASKTCNRGMDKLHIMMENKSRKCSGRKVSRKDVIIDVRAWLISCAEAVAANDYMRARELLKKIKNHASETGDATQRLAQCFTMGLEARLLGARGQIWQMQRTERPSIEDFLKAYKLYYTACCFNKVAFIFTTTTIMQAMVGKSRLHIVDYGMHFGFQWADLLRLLATREGSLPEVKITAIGPPKRKACPAGWIEEIERRFRQCAHEFGLPSFKFHTIMKKWEDVCIKADLNIDADEVLVVNDLYSFSALMDESIFFDDPSPRDIVLNNIKNMRPDVFIQGISNFSVGSSFLSRFREMLFYHMAIFDTLDATIPRESKYRLVLEQVVLGSYALNAIAFEGVDLVERPEKYRQWQSRNQRVGLKQLPLKAEIIEVVKDEVMKHYHKEFFICEDGKWLLQGWMGRVLFAHSTWVAEDVYSG >Dexi8B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:8B:15473883:15476998:1 gene:Dexi8B01G0009090 transcript:Dexi8B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIENKGGAVALMLAALFFGGSMGPPMIYLERRGRLPQHIYLDYSIANFIAAVMFPLTFGQIGDSKPGMPNFFTQLSQVQDSWPSVLIAMASGLALSLGNVVSQYAWAFVGLSVTNIVMCSMIVVIGTTMNYFLDGRINRAEILFPGAACFLIAVFLGTAVHSSNAKDKEEKLGILAANGTKRDIECNTETDDKAQVKNDASMDGNVSSQAKPGSAEFILQVEKRRSIKVIGSNRLLGLGLVFFAGLCFSVFSPTFNLATNDQWHVLKKGVPRLVVYTAFFYFSVSGLALGIFVNIWFLYRPVAGVPASTIGAYLRDWNGRHWALLAGLLCGLCNGLQFMGGQAAGFATADAVQAAPLVSTFWDILLFGEYRRSSRKTYILLTSMLTMFVIAVAVLLASAGHRKTS >DexiUA01G0015210.1:cds pep primary_assembly:Fonio_CM05836:UA:31896699:31897013:1 gene:DexiUA01G0015210 transcript:DexiUA01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEFKACARQERGQASAQLLPSFAGGAAQESEANVLLLEMLEAGAGEAELLLLREQQQVHGLHEIMTSTAASRACRSLPHLAWGAEAGVGKRRIEAGRARGVG >Dexi1B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:1B:11380071:11386049:1 gene:Dexi1B01G0011290 transcript:Dexi1B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMVERATSDMLIGPDWAMNLEICDILNRDPGQAKDVLKSLKKRIIHKNPKVQLLALTLLETMIKNCGDIVHMQVAERDILHEMVKIVKKRRNGAVFPERTNGSVPIITPPQTQPLQNYPHPVRISQQEEPALSIPDFPALSLTEIQNARGIMDILSEMLDALDPGNREGLRQDVIVDLVDQCRSYKQRVVQLVNSTSSEELLSQGLSLNDDMQRVLAKHDAIAAGIAIRVEKPKSLQLQIESSATRKQDDAKEPVQRSSASTSATKQSPFEILALPAPPLSSSSKAPVAPAPKIDLLSGDDYIKPEPAHSLALVPVTEYSASDQNVLAFADMFEQNTANKSNLPNSFSSSTLNSTSSQAYPPAVRPALSQHPATYPNVATSNAIVPYDQQPQLNSTGSWNGQQAYGGNHQKQALYYGTRFLSQCLLLHLTILAFDNNLLTGTYNRNGDIPPAPWEIKRSTNPFDDDRPVGTALQSGQPVVQPQPMHVSQQGNGFMPAQPMPREQPGGMQLQPVAGTQIGPPQPQSMQLNMQYDVVYPSMQMNQGMGMYSQPVFGGGFYGMNQPQLYGVQMSGYGYGPQSGGYYIPNAAYAYASANELSQRVNGLSVQNGGSNGATPNKQSRPEDSLFGDLLSIAKMKQGKPAAGKVGG >Dexi2B01G0020830.1:cds pep primary_assembly:Fonio_CM05836:2B:30819796:30820569:-1 gene:Dexi2B01G0020830 transcript:Dexi2B01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPAAPRPNWSFVTSESTRLDVVTWPPGGQTSELVLHVEVAESHRWLGIGGGRGSTRKLRPDRSIVKDASLVLSTSGPEGCLRRAGDCRCFIRDMLREKLVWEFGRFLLRDECWEDAVPQGVEAGIVERVLAMPPGCTCEVFVGIVLHVTFCYFESLALRRACAQAAVDQGMTATGARLDDAGPPLCSICLEDMMEEAETTCLPDCAHGFHSRCVQRWFQKASTCPVCRRNKFQYLPPSYRAVRDMMHSGREDSC >Dexi3B01G0038010.1:cds pep primary_assembly:Fonio_CM05836:3B:40832629:40839903:1 gene:Dexi3B01G0038010 transcript:Dexi3B01G0038010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAGVGGGAAEGDPASAGGTGRALLELTPHKLAVCHLVQVFAPPAQAGGDVVPPFPFESVAHHNRLGLFLFTLTRSCDDFREPSLEELLRQLKAVDDLTNGWLCEQLTSTLSVLTSPDDLFNFFDKLRGVLTAPEGAGAEDILLDPNSQLGVFLRCCILAFNSMTFEGICHLLADLVMYCNSTDASYDLAEDEDFDTEMSNLMDADISSQADIFQKYRQGRESESHMGESSSALTRAPMILHDFDEANTFKVDEDNPTSLRSRWQLEAYLNQQADLLEKDPSSVPLNSFNATMTQLQTLAPELHRVQFLQYLNALCHDDYVASLDNLHRYFDYSAGMQGLFGRSVSAVQDVIVGKYEGALLCLGNLHCHFGHPKKALEAFSEAVRVSQMNNDDSCLAYVLGAISNLLAKIGMSNTVGIVSSPYSLGTNIGLGTPLSIQQQLLVLLKRSLKRADLLKLPSLLAFDHLSLAKFDLKNVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDALSTSSENGSFSTSWLRNLATASDSRRSSSMKSTKLHNDFDNFHYLAQPSPVPSPVLQLAGSSYLLRATAWEHYGSAPMVRMNALVYATCFADAASSSELSLAYVKLIQQLAVFKGYSAAFCALKLAERKFPSSTSSHIQLLRMQILHERALHRGHLKVAQQICDEFGVLSSSVCGVDIELKTEASIRRARTLLAAKQFSQAAAVANSLFSTCYKYNMQVENASVLLLLAEIHKKSDNAVLGLPYALASQSFCKSFNLDLLGASATLTLAELWLALGSSHAKRALSLVYQSLPMILGHGGLELRARAHIVLAKCHLSDPKFSVAEDPEAVLDPLNQATEDLRVLEHHEMAAEAYYLKAIAYNHLGKLDEREEAAACFMEHITALENPRKEEDSLAY >Dexi7A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:7A:26243976:26245535:-1 gene:Dexi7A01G0016390 transcript:Dexi7A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPDASSVGISASAFLKVTSLGLIGLGVMGLAEACGVPVQSILTVGGVGGWANRRQGG >DexiUA01G0017580.1:cds pep primary_assembly:Fonio_CM05836:UA:37046546:37048101:-1 gene:DexiUA01G0017580 transcript:DexiUA01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFHYGNANTTGQAILEVRGLIHEWHWYWVCVGVLFGFSLIFNILSIFALEFLKSPKEHSVNTKSQKVQDIQYVDQSVRDQVSTSDQVNLPFHPLSVVFNQINYFVDMPSVNSLPHYS >Dexi1B01G0022970.1:cds pep primary_assembly:Fonio_CM05836:1B:28625108:28627450:1 gene:Dexi1B01G0022970 transcript:Dexi1B01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNPSIVGEVLAGIYITEMSLEPSSSAPVEEVGARCWEAREEAAARLEAMAAAALVDDELPEEQLQGNSRIQEDELLALQAIYGDDMLVFDNMGGLRFFQISLHYQLQGDIQLYMNVWTNETTETGDEDDDEDANDGLLYACSLQHLPPITLTCLLPRSYPSTRAPHFVIVAKWLDEPEVSRFCSVLDEIWAELPGQEVVYRWADWLSGSSWFCIASDGQVVLGPDASSAARADERAIGRSLILDSTIPLMQRYSEERSQETFDQSVHECGVCFCENTGADLLSSLFLEPIGDRPVSTEICSEISPCSFDIVAGRNFIHLPCNHPFCIKCMEAYCSIHVKEGSVATLACPDTSCRAPLPPQVLRRLLADEGYERWEWLALRRTLDTMPDVAYCPRCSAACVAAGDDAQCPACFFTFCARCGDRRHVGDACVPTLDKLEDLLEQQKLEVSAKGQRKLEELLGLREVLRSTRQCPSCRMAIVKTAGCNKMVCGNCGQFFCYRCGRGINGYGHFFNGGCGLFERVGMGRDGQLDEEVERFMRAVRYTCPDCGAKRTKAGANNLLTCQRCQARY >Dexi7B01G0021050.1:cds pep primary_assembly:Fonio_CM05836:7B:26195256:26195847:-1 gene:Dexi7B01G0021050 transcript:Dexi7B01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGEKEEAENKSQDSAEKQREDGDDAAEEEEKVMDTYKAAEESAAPLIKEVTAAGSEESSSPAAHPCSLLQLLLRTCAGCLGLHGDDDPKPAAAAAEDSSQEGENGDKANGEVITRVFAVRRPTPPGDRPREGDGGNGGVHH >Dexi5A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:5A:12473069:12475907:-1 gene:Dexi5A01G0015130 transcript:Dexi5A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSYAVNLRWRPLFAILVVLSLLPAAAPAAARSDQEHDRVRFLPGQPPSPPVSQFAGYVTVNERNGRALFYWFFEAQTSPAKKPLLLWLNGGPGCSSVGYGAASEMGPLLVNGNGTGLEFNKFAWTREANLLFLESPVGVGFSYSNTTSDLDEIDDALVEKVINIPAEDTYTFLVNWFNRFPQYKSHDFYIAGESYAGHYVPQLSEMVYERNKLLEMNQHINLKGFIVGNALTDDYYDEKGLVEFAWSHSVISDSLYKHVTNVCNFKTIFFTGECAHAMSLVYTQYDKIDIYNVYAPKCNTNESALSSDSTVEKTAKD >DexiUA01G0023790.1:cds pep primary_assembly:Fonio_CM05836:UA:48613134:48613774:-1 gene:DexiUA01G0023790 transcript:DexiUA01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATGRWRRAGRSAPRLLERTLLVAALSAAALMFLLLLQHHHSPKPPNPSSASRDRGSSDELPDESLPAERDAEVGDAVLAGDGATCATVERMGEEAAGARRGSPEQASLRVREMIRRHFELQGIDLGY >Dexi8B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:8B:18414874:18415213:1 gene:Dexi8B01G0010040 transcript:Dexi8B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGMVADREEFIQKVRDGDLALNLKEFPALYRAHRVSSELLKMDAHGRWKLIALVWVEMLCYVAHNCGAGFHAKHLSTGGEFVTHAFLCVGILRNNYFLQKR >Dexi8A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:8A:30562811:30564889:-1 gene:Dexi8A01G0018240 transcript:Dexi8A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKKKTPAELLRENKRMLEKSIREIERERQGLQAQEKKLINEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVSQVLDEIGIDVNSELVKAPATAVAKPVAAGKVPAQAEAAGGPDGGIDDDLQARLDNLRKM >Dexi3A01G0030990.1:cds pep primary_assembly:Fonio_CM05836:3A:35353419:35355034:1 gene:Dexi3A01G0030990 transcript:Dexi3A01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEDLESPLLLADEAKNGSSYALVCTLLASLTSIIYGYNRGVMSGAQEFVQADLGITDGQLEVLIGATSVYSLVGSLAAGWTCDRAGRRRVVALSAAMFLAGSTLTAAANGYATLMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGLLSSIPEIACNSGILLSYIADFALAGLPRTINWRLMIGIGAVPPFFLAAAATLAMPETPRWLVLHGHTEDARRVLARTAGDADRRLQEILTSVQEATKQADESSKGVWSEMLLRPTPAVRRVMLAIVGLQVSQQACGVAAMVLYAPRVFSHLGIRSENAVLGATVLLGVVKTVAIVIPLVLADRLGRRPMLLASAGGMAASLLVLGISMRAPAGTASASWWAAPTCVAAAAAYMATFSLGFGAVIWMYGSEILPLRLRAQGVGVGTAVNRVMSAAVGMTFISMYEAVGMANSFYIFAVFTVAAWVFVYTCLPETKGRSLEEMEALFDAGAVPSPRATLS >Dexi9A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:9A:5748744:5748953:1 gene:Dexi9A01G0009450 transcript:Dexi9A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCGFCKVVKRRGIVFIHCTANPKHKQRQGYSTIAEAAASCLHLPPPPPASGSASATAFAQ >Dexi4A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:4A:2418853:2419230:1 gene:Dexi4A01G0003340 transcript:Dexi4A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTATYLAFLFLTGNSILAISRSRGDATDMAFVLASYFSLVQLFYCLRQFEASPLGSVARSRARVGVWLAITVLTALFSWRVAAVTPWPVAAGVWLMGGCTVPGGFCALFLHPQGDDDDVPTA >Dexi5A01G0027200.1:cds pep primary_assembly:Fonio_CM05836:5A:30722331:30724454:-1 gene:Dexi5A01G0027200 transcript:Dexi5A01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGIAQANHRFTTNMQRRLYGRATDIHIRPLNEERAIQAAADLLGELFVFSASVAGAAIIYEVQRSARSEARKEDVRKQEIEAIKKREEQLAIEVQLMKQRINEMELRYSKWIRPGFRGFGAAQAAAQPAVTQQPRAA >Dexi5B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:5B:7774536:7775758:1 gene:Dexi5B01G0010980 transcript:Dexi5B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMEAGERKKKKVIIDTDSGIDDAMAILVALRSPELEVIGLTTTFGNVHTPVATRNALHLLKAVGRTDIPVAEGSHVTLKKDTKLRIASSCHGSDGLGNQDSTPATTTKPVEQSAAAFLVEQANLYPGQVTIVALGPLTNLALALELDPSFPKKIRQIIVLGGAYSVNGNVNPAAESNLQCT >Dexi1B01G0026450.1:cds pep primary_assembly:Fonio_CM05836:1B:31314408:31330403:1 gene:Dexi1B01G0026450 transcript:Dexi1B01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATKLVLLCGSGLRHGATAHPTADDTTQVTTRSLARWTLPRLRVPRPTPPAMPLLFPFPAVCPCPPSVLLPPRCRLRVTLTRAAAASTAATPAPSTSTFAVEDYLVASCHLTPPQALKASKSLAHLKSGSNADAVLAFLAGLGLSPKEVAAVVASNPRILCARINRSLAPISAELRALGLSPSQVARLAQIAGRYFLCRSFVSKVRFWLPLFGSRERLLQASDWNYWLLTSDLEKVVEPNVVFLKQCGLSSADISKLLVAAPRLVTMHPEYVQDAVRRATQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKETLGWSQEEVNLAISKAPRILVASEERLRRNAEFLLNEVGLPPQYIARRSVLLMYSLERRIVPRHLVLKALKERGLVEQDRCFFNVVAPTEEKFLEKFVAPFEESIPGLADAYESACSGKAENPQLSSSVRVPSPHPATPLLRRRPPPAAMLRLQKHLLPLHRSAYPIVHLSLQRALLSIAAAAASSSPGHISAEDYLVTTCGLTREDAAKTAKYLPHWKSPAKADAVLDFLTGPALGLSKAEIALLVTKDPRILSSSVDKTLRARLEGFRRHGFSTAQIRSFVRGAPFALRANIDEKLSFWMSFMGSPDKFLRIIKRNYYLVSSDLDKVVKTNIRLLQERGLSIQDIDNMCVANPRLLTSNPDTTRAILVRAGELGIPQNTNMFMQAVSTVAGLGPETIASKLKMMCKMLGCSNSEVATMVQTNPQVLRCSKEKFQRVYEFLTKVVGVDAKYIMGRPTILMYSLERRLAPRNYVMKRRQRPARAARMLSLKQRLLSALRGVVLPPAASLHRLSLSTAAAAAVAPPAGFLVEDYLVASCGLTPAQARKASRYLTHVRSPDKPDAVRAFLAGVGLSESDVAAAVVSYPMLLSGRVDGTLTPRIAQLRELGLSPPQISSLIAVAPEVLFSPVKISKLAFYLSYLGSYDRVHSALTRCCYLLRPDLDTVVRPNIAFLHQCGLTDDAIGKHFLLRTRILLMEPQRLKEIAARAEEIGVPCNSVMFKHVLTILYNVNAGKVNAKFSILKKVIGCSEAELSIVIAIRVIDNTAPQCSERISSPSSGPPSLSSLHRHFLYSTAATATPPARFVAKDYLVASCGLTPAQACRASKYIGHLKSPENPDAVRAFLAGISVSQAHATAAIARDSRLLTWKVQRTLTPRVAQIQQLGLTTTAPTGTPLAPPLGLTASDISRLVTIIPHIFIRPVEISRLTFYLSFLGSYDKVHAALRRNPFLLCQDIQNVVKPNMEFLLRCGLTDSDLARLFYRTRLLTMVPERVEELVVRAEKLGVPRNSVVFTSALEITCGRRSCPARYAPPQRPLSIVQLVVPTHHAHTAIHPFLIHLIPPPATRLRPRDALPLHHRAIRRPHHPARPLPTPKPFLSQTKARPGQVSQARAALPEVHCRRTLRDAGMAALRLASFTLRPAAASAAASPSCAAPAPRSASFARAAALPSLRLASPPRRRGDLARPRAAADAAAESYASALSEVATENGTLEKTVADLEKLEKIFADEAVAEFFDNPTVPREEKTQLIEEIAKSSQLQPHVVNFLNVVVDNFRAAIVPQIVTEFENVYNGLTGTEVATVTSVVQLESQDLAQIAQHVQKMTGAKNVRLKTQLDPELIAGFTVQYGRDGSNLIDMSVKKQIEEIASEFELPSVALEV >Dexi1B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:1B:24215784:24216945:-1 gene:Dexi1B01G0017930 transcript:Dexi1B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLAQVPDAMDYLLAEFSRVCIYTVPKHLHALNAQARNKDYYRLIGYQEENGQLESTESYLTYVVAYVKLYAAIIQTEIKGVRHPHGLTEGWKWLAMFLNALPATTATACALHAFLKMAGFALHKKYGSQFLKILDVISRCFLPALKDQGNKMQSEAVNNLQNYLNDKIYLQKPEGQYLVQQLLSKELFM >Dexi3A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:3A:3295047:3296596:-1 gene:Dexi3A01G0005100 transcript:Dexi3A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNQLRLAMAVLMLVVIIFVVCRLKLSSIATKPKLNLPPGPWTLPLIGSLHHVVFGNGTPLLFRVLRRLAQKHGALMTIRLGEVLAVVASSTEAAQAILKTHDAAFADRFTPTTFAAAEYDGAADIILSPYGERWRRLRKVVVQEMLTAARVRSFTRVREEEVARFVKEVAVSAAAGDEVDFSMGVSKLVNDAFVRECVGSRCEYQDEYLDAIHTAIRLSSGVTLADLFPSWRIVQMLATAPRKVLACRRRIDRILEKIIHEAKEAMDDMKDAAHDSFVAVLLRLQKEGTMPVELTNDTIMALMFDMFVAGSDTSSTTLNWAMTELIRSPAAMAKAQAEVREALKGKTSVTEEDIAGLSYLKLVFKETLRLHPTSPLLIPRRCRETCQVMGYDIPKGTAVFVNVWAIGRDPMYWDDDAEEFRPERFETNGIDFRGTNFEFLPFGAGRRMCPGINLGLANIELALASLLYHFDWKLPKGMEPED >Dexi5A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:5A:20796598:20796989:-1 gene:Dexi5A01G0017550 transcript:Dexi5A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLQWCPEFNNLKFLTLGKWCLDASFCGLIAFLQNSPNLEQLTLDLEGHVAKREPLVGEQGFLCEHLMTVEIICPEHGPLMNKLEEFFVGNGINPGQIHIKH >Dexi7A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:7A:24451148:24452109:1 gene:Dexi7A01G0014490 transcript:Dexi7A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNGGGGAPVMLNVYDLTPMNNYLYWFGLGIFHSGVEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFVYRRSVWMGTTDMSRAEFRSFVENLAGKYNGNTYNLISKNCNHFTDDVCS >Dexi7A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:7A:28797613:28799801:-1 gene:Dexi7A01G0019710 transcript:Dexi7A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCCFGTGCSEFTGHGSIASGKGKGCQGQVKVCYGYNLEEFWTHTDRAITKAYEKTDQAILSHTPDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGKPIQMSIDHDPNVERSVIESRGGFVSNMPGTERLLGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIKVEDIDHTAELLVLASDGLWKVRLAVISKFVMNNQEVVDLAKRYKDPYAAARHLTAEAVKRESKDDISCIVVRFKA >Dexi3B01G0025900.1:cds pep primary_assembly:Fonio_CM05836:3B:20859977:20860864:-1 gene:Dexi3B01G0025900 transcript:Dexi3B01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFGGCYKSLPREPRGSKDRFRIPTEGTVQRLRPIMTAEELDAANLLHDPAAAASLESETEEEEGEQLAAELGERKRKRRKKRKGKVHGGLPLRLVDPRKIFSLTLGGPRRRRERQPAADAVDEQPRHDRQGGRVHEVRPTVQLQEHDVVDIWAFKQREFRLMGTPVFHESPLYILILKRDG >Dexi2B01G0026360.1:cds pep primary_assembly:Fonio_CM05836:2B:35482278:35484555:-1 gene:Dexi2B01G0026360 transcript:Dexi2B01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNLASEGLVAQQQKANSRRPVGSSQIWTRYGVLLPSQQTRKLKEWVLTDQQQQLVNASGLGHLALTTGFTIDRSLLTSFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPIGDEAVKRMASLCCHKAWIYEYLPITQPQQKNQSTLLPRACRWNFGGATRGQRKKVMEWRKVFEQLQLSEASSNVNWNPYKDMNPAIVPEYCIAADNICYSRTWLISFNIKEVYVPDRFARQFGREQGRLHGVPMWARRTWSKWKDWRIEYAREIEEFHQLVGCRFTPSAESNINSLPPDGFVAGENATGCSQTTSDNIASMVEDLKNDLPVIDRYLEGHLLPVEVASFLERVGTMIKNYSPPQGKRKPRPAQGQTGPVRSKNPRKRRKPSQFQDPSSAHQHPGALVPYQASKCDMVFDGTVPLLNGGEEFKEQEAMDPWQMSHLTMTPSSSSLDSSSPESRKRSRQDEDETQISRDADDLRRSGRFCMHLKMFKHRDGGVAEAANPIFH >Dexi2A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:2A:6266086:6266731:1 gene:Dexi2A01G0006690 transcript:Dexi2A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVGSYTLLSQAPAPGRSSRAAVQAARRQTAASVVALPSKLSRSRAPLSVCHAQKNKYDIQPFALVHPKFPPTSNGSWRITEDKDRINLWFYVEQSTSKDKLEVGTEDGVLLIRYIGDSSDDNPASLLDVRLLMPPGYDGQKVEAELEFGSLQVTIAKPRRGFNEIPISEPHQ >Dexi7A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:7A:19899892:19901703:1 gene:Dexi7A01G0008670 transcript:Dexi7A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDALLPVVLLLCLALACGADATRKTVGVYELKNKKGDFSIKVTNWGATLMSVVVPDSKGNLADVVLGYDTLAEYLSVSSTFGTVVGRVANRIANGSFVLDGKTIHLNKDGTTVLHGGHRGFNRVIWTVKEYVPGGDCPYITLYYHSFDGEQGMLSSPYQLSARMNATALNKATPVNLANHAYWNLGGHGSGDVLGQVIQLLASRYTPVDGSMIPTGEVAPVSGTPYDLRAPTPLGSRIRLVSGAGMAGFDINYAVDGDGFREVAYLRDPVSGRAMELWANQPGVQLYTSNWMSNLKGKGGVVYGQYGAVCLETQGFPDAVHHPNFPSVILRPGGAAYRHDMLFKFSF >Dexi9A01G0031680.1:cds pep primary_assembly:Fonio_CM05836:9A:36644553:36645398:1 gene:Dexi9A01G0031680 transcript:Dexi9A01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRAARSPGSVSAKPGGSSLAAPSMVAVAGSGKKQARGRGGGGAVRASLFSPKPAAAKDARPTKVQELYVYELNERDRESPAYLRLSPKQTQNALGDLVPFTNKVYNGSLDKRLGITAGICILIQHVPERNGDRYEAIFSFYFGDYGHITVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEATPAARAAEPHACLNNFTN >Dexi4B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:4B:6651057:6651335:1 gene:Dexi4B01G0009270 transcript:Dexi4B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRETKIRRAIWERERPSSSRFFSTLAQRILGSAATTAAMSARERPRAERKATTASGWEGDLRWETAFDALRACARVRPHLATR >Dexi5A01G0039630.1:cds pep primary_assembly:Fonio_CM05836:5A:40168763:40170524:-1 gene:Dexi5A01G0039630 transcript:Dexi5A01G0039630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDREEPPSAEKASPNASSSSGGGGGGKKGSPCEECGDQPWKYRCPGCARLTCSLPCVQAHKRRTACTGKRTRTDPVPIAQFDDNQLISDYNFLEETKQATESAHRLIGGFGGNFGGPGGAQLPSWLFFLRKAAQRRGRHHTAYSM >Dexi7B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:7B:16192120:16193280:-1 gene:Dexi7B01G0008360 transcript:Dexi7B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGANTVPLLTPYKMGKFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGVSDTAQGCVWLSAIY >Dexi2A01G0029880.1:cds pep primary_assembly:Fonio_CM05836:2A:40858865:40866009:1 gene:Dexi2A01G0029880 transcript:Dexi2A01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPSAGWWVGGSGLLAGRERRRRSGERDTQGYMSQRGDRGEGHRRPGRSSSFGGHRGGGVGGAGKGGAGSSGQPPLSSNRSFRKPGNGHGGHQRVVSQPDTTGFQPAPAPGPHQTPARPPAPQNAAAHAPVPAPRPQHHDTQVSASSPSGEKPANVPLPKPTHAAPRAPPKNSNPPVPQGASKGEPSKGFNFQFGSINMNGLPALMEELKIAPPGPPLSGQSQMTVKPHPGGLQAEKSGTHAVTITAPPIKSDAPKLRPAEDVAASRQKDTEVVSGITVPNKSAHEKEGKAPLTQEKHLTVVSQSLPTQGAKPQSSVTVSSAANSAIPVSGADAKNKESIQRTGSLKDNKKMAVKKDAKNSSDPQHLASSAEDVKVQTSVKVGDDSDDHQETKNLSNELDLSSSSSGEAVPLSVSRPGTAEAENATVNDSSSAGPDSEGTTVSHQGAESIAVSTVECEERKQTHKVATDPISDNISSDATEPELPEVGAVGMTEQTPVVPSDTESSCAAAPHGTDQEELPKESTPSGPEEQGMMSSSSKNSETSLHLLDDNAVAVTSSETSEPTVQGAIDEGDSHISPDTSQVSNVAITSSEGQQKSESTSSDQSTATATASTRPVSREKPSAEITRSKFTAGKKKKRKEMLSKADAAGTSDLYNAYKGPEDKSETIGTGEGADSSSAVDTAPVLLDEPEIETSSSADDSKKKLEPDDWEDAADMSTPKLQSNSGDQAGITKVLESDTTEGRKKYSRDFLLTLAQHCTGLPVGFQMNEAVNAIMNNLAGKSYVVDREPHPSPGRGSDRPTSRGDRRGAVIADDRWTKPGVPLSPGRDMDLANGPSINYRGGPGGNHGVLRNPRGQPSNQYGGGLLSGPMHSVQVPRSGSDADRWQQKGLMPSPVTPMQAMHKAERKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLFGDYDNPDEENIEALCKLMSTIGEMIDHVKAKGHMDVYFDIMQKMSTSQKLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQSSRLGRGPSVSSLPRRGAPPMDYGPRGSSSLASPSSQQGSIRGVPPQSRGFGSQDIRFDSRTVPLPQRAVKDEAITLGPQGGLARGMSIRGQPPVSIAEPPSVVDHRRVVSGSNGYNSAADRTSGRTPASSHSAGPSQRPASQEGRSGNKSYSEDDLREKSISAIREYYSAKDENEVALCVEELNAPSFYPSVVSLWVNDSFERKDMERELLAKLFVSLYSGRRNLLTKQQFIDGLASVLASLEDTLSDAPRATEYLGRLVARFVQENILSLQEVGKLIQEGGEEPGYLVENGIGADILWAVLDSMRLEKGDSFLNEFKSSPSLKLEDFRPQHLKRSKLDAFM >Dexi6B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:6B:3709626:3719606:1 gene:Dexi6B01G0004460 transcript:Dexi6B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVARRSVAPARHLAQFTRHLSASPAAAAAPTRSFSSYPAHRHLSAPGSAASTRSFSSYSRDDLTRLFNILVTLAYQIVDPYLASYGAANPIFAVVQLAQTTMRSELGKMTLDKTFEERDALNDNIVKSINEAATDWGLKCLRYEIRDISPPQGVKAAMEMQVEAERKKRAQILESEGKKRAQILESEGEAGAVLALAEASARGIRMVSEAMTTKGSAEAANLRVAEQYVRAFSQVAKQGTTVLLPGDGGSPSSFVAQAFKIYQKLQTNSSQIVDPYLASYGAENPIFAVIQLAQTTMRSELGKMTLDKTFEERDALNENIVRAINEAATDWGLKCLRYEIRDISPPHGVKVAMEMQVEAERKKRAQILEAEGKKRAQILESEGEAGAVLALSEASARGIRMVSEAMTTKGSTKAANLRVAEQYVRAFSQVAKKGTTILLPSDGGNPSAFVAQAVKIFQQLQANSPQIEELEESAETDHAETSEMPPLIPDTDHGTNFSLQRRKDNI >Dexi9A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:9A:4163570:4163928:-1 gene:Dexi9A01G0007180 transcript:Dexi9A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEFEPRKLFVGGLPPSVPGRPEGTFSTYGLVVEARVMLTPDGTGRGFGFVEFDDEAGALRALDARENAAHNAFFGRRS >Dexi7A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:7A:17360263:17361683:1 gene:Dexi7A01G0006070 transcript:Dexi7A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELLTKFLTVLFGYAMPALECFKAIEQRPGRADQLRFWCEYWIILVLLVTFDEIAGVLISKIPMYYEVKLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPTIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVMDYVRSQASRGSRTRSFFSFRGDREERPSFPDDYAIGGERRDGGRHRRPRSGY >Dexi9B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:9B:4478021:4478580:1 gene:Dexi9B01G0007340 transcript:Dexi9B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPHHTSGQQRRARLRNLLPVMMADEAVVAAGGEVMIDGAAGCRRQVSSEWEAASRAEPGGVNTGGGGLAEAAARHACAAVDGEERRPRGTHALVYHLTARGGGGSLWRVPVGGMRGGGLAGLRRCEHVCDRNLHMARLVRALEVHAAVRVSQP >Dexi5A01G0031790.1:cds pep primary_assembly:Fonio_CM05836:5A:34409468:34409779:1 gene:Dexi5A01G0031790 transcript:Dexi5A01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi7B01G0021430.1:cds pep primary_assembly:Fonio_CM05836:7B:26456833:26461426:1 gene:Dexi7B01G0021430 transcript:Dexi7B01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEESSPRSSVPSEVGGSNTLRFSMPGFGNGSFNALRSFCTLGQGLVTSTYPGEVLFSIAICVLGLILFALLIGNMQSYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPDIRHRVPLFSNMDERLLDAICERLRPALYTENEFILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPRSGANFPASSRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRKEEEAASRPSSSHPSLGATIYASRFAANAMRGVHRLRSKAAPTIVRLPKPPEPDFGVDDAD >Dexi4B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:4B:18534453:18540869:1 gene:Dexi4B01G0016480 transcript:Dexi4B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSLGHSRFVIVNLREFSSGRAAMPLFVRPRCPGHIPAAPASPLPAAAPTQRQGSSAPRASCLGPSACLTKQFSNHQSAAISSKIADMNVYQGLPFTHGKFLFLSRVTSFRSASPSMCKDLTVLRNLIVSLIRPCLRFRPNRVPTIARIWFVIPQASPSSHLDWRRRERYIKLQAFGHDHAWLAQWGSSGVARTKQGDVCEARGGAAESGAPRTAASAQLQVAGAWAARTHDQWHGSAATGDGFQRLKVRSYVPVLGVIRCRPADLRFQTILYTEETTLATKYTHGDLQISSGGGSRQPPALTPPPQPASSTAATTTEAARKLAPMATADAMEALALGRASDGCKPATCFTEWIQRRTKPARPVLPPRTRFSFSRFSFFSLPCRPQGHLSGLRRPNLPTEAMSPPSSPPASMARRLEAIGGSRTWPSSQALRCKCDAVPARLLELRGAATCICSSAMLSRLAKERECHCLPRLCSERARFDAPPRRAGTRSVRGWVLAGAHWVRTPALRPGSMNHAEVQDPLWLKHEMWTSREELKAVEAIGAIGAWGVGRPRARGAPCISGDQRCAATCVDGAEEGEVATTARPNPRTEWRTHDLNREWRTHDLHQQFVGEKNQKKRWEKTNGETKRGSASQRALRHLLSFILGVHCRPRLLRGQARLRRTIARPCRLPCWPLPPPLSTVVIAPNRHPHLSTSDAIPPASLPLDLPPPLGWPTTIGPPSNVGGELTEEEGERGGRRKMWSDIRP >DexiUA01G0006840.1:cds pep primary_assembly:Fonio_CM05836:UA:13143786:13146668:1 gene:DexiUA01G0006840 transcript:DexiUA01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAWYLVTTLYARHCIATEIGNLKTRAQHVSERRTRYGVENLGGVEGSARSDTPRDRTAPPPRLIGTMAPVGIEHAMVELRPWFTDAKQGNDAHQLKFLAIVGFGGLGKTTLAMALYREYGDQFALRASVLASQKLHLKTVLRSLIKQLHEQQSGASKDDLNSLRFNLYDDWSRYLILMDDVWSVSAWESIRDSFPSSGKGGRIVVTTRFKSVAEACRRQQGSFYEHKPLAEENSSKLFGQVISSIGYEICPSKPINGGIIKKTCGGLPLAIILVAGLVASKLEPDKLEFDNHLDEVDKSLSQDLGNNLTTEGVTHILNHCYHHLPADLKTCLLYLSMFPKGCLISRKRLIRRWIAEGFIAEKHGKIVEEVAEDCFNELICRNLVRAVNNSSNGKVKNCQIHDMVLEYIVAKSSDENFITIVGGHWQTPFPTYKVRRLSIQKSDRKEKETVERMKLSHVRSLTALGSFKALHSTLLKFQILQVLDLEGCKDLHFNNLKDICKMHQLKFLSLRRTDIEWIPKKIGRLEYLEVLDIRETKVKKLPASVEKLEQMVHLLAGNKRKRIALKLTEGVTKMTALQTLCGVSICTGSALEALENLTNLKKITIYKLESFTDRENNLLLSAIEHLSSCSLKFLAIDDDFTGFLDKTLNASQAPPEHLHTLGLSGKLSQVPKWIGSLHNLEKLTLSLTSLTTDNLRILAGLPELFSLIFSLDSTKKDASVLKILRDNTLQTDGIIFVEAGGFIKLKLLCFAAPVLPPLSFLEGAMPGLQRIELRFRMVNGIYGLENLESLQQVLLTISSQAPKDARAKASQIKELAGMINGKVNVPSVVLDEYNESSEQK >Dexi3A01G0026650.1:cds pep primary_assembly:Fonio_CM05836:3A:22981027:22982841:1 gene:Dexi3A01G0026650 transcript:Dexi3A01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLEAMRRAQGEEQEQEGSGMAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEAEE >Dexi4A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:4A:22968480:22970796:-1 gene:Dexi4A01G0019110 transcript:Dexi4A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAGAPRVRSLNITAPEVEARPVLVPGGNKARSAPANARKPSPKPLRKAAPAVVGTPEKPAAAPAKEEEGAKRNAGGGAPKGASPVPSPRRTPPGPPPRRNNDAPLLQPSLPLSASCSSNASADSVRARASVGKVEKGRSWPTATPKQGKAVGKATESKSGVVNFVAPVTTEPVEGKRRCAWATPTTDPCYVTFHDEEWGVPVHDDRRLFELLVLSGALAELTWPEILKRRQLFREIFMDFDPAAVSKINEKKLVAPGSMAHSLLSEQKLRAVLENARQILKIADEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADIISKDMMRRGFRGVGPTVIYSFMQAAGLTNDHLVSCFRFEQCNASPTLCMNDINRANMKEDLKKNEMTMKICCEEIATNTEMPRMSDALIVS >Dexi1A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:1A:27022044:27023251:-1 gene:Dexi1A01G0020100 transcript:Dexi1A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLRFQQKLVVDVDGSGWVVEGVVAGVLGIAVVAEVAAEAQDIEEAQAMAEGGEVTAGRRRLVHGVSAGHLHQLVHGATAGHHHRLLQETTAGRHRHLLPGGATAELSRSPPPPPARRSYSGSPEQQPQRDESPYGNDA >Dexi1A01G0032300.1:cds pep primary_assembly:Fonio_CM05836:1A:36911176:36911817:1 gene:Dexi1A01G0032300 transcript:Dexi1A01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDIHTSAFWWRRLHGALKVSLVELALLGALGVELGVRGGHGLLVLDAAAESGGVHGGFGLGGGMDQSRAKLVDPALGCAEVLLEGEDVVLEAVALFLGGEELEAEGSVGDGEAQGAVDGVAHVLLGGAGERGSPSIIHLAAAAAEQWALPVTAAIRSDLPPSPSSRKRKDGD >Dexi9A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:9A:439186:442745:1 gene:Dexi9A01G0000830 transcript:Dexi9A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLELAPCSILRNPLLCSSDLFSSAQIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDILGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVFVARAPNAAVGGAGPRFGGMGPDLQAQ >Dexi1B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:1B:23735570:23740018:-1 gene:Dexi1B01G0017400 transcript:Dexi1B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVLLVACFIVMALLAAAVFRHFLPLFRNPGAPSGSFGLPLVGETLGFLRPHASNTTGAFLHDHITRSHIHIRRRFFHESYGTVFKSHLFGSPTVVSCDEELNHFVLQNEERLFQCSYPGPIRGILGGSSLLVVTGERHRRIRAMALAFVASAGLKPAYLADVDRAARAVVASLRRRRAVAFCGEARQVRSRHHQLDAAPPLVHKIPPLFAFGVIVEQVLGLSPDEPVTGRILEDYSTFMKGLVSLPGLNQTAGKKFAPFGGGLRLCPGSELGKVEVAFFVHHLVLNYR >Dexi7B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:7B:8407068:8410312:1 gene:Dexi7B01G0003510 transcript:Dexi7B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMSLFDALLSLLLLVFITSTSSAQDSYGGGGNNSLPSAATLSGCQRTCGNLTFDYPFGIGSRHCFRDTSFELVCNNTTQIPTLYLSDGTTEVVDDLDMPDNEIAVFFSHSIPTTIGENVYNMSWKAPGRHLTLEFAQLNITGCDFGVYQLLDQEETTPMLLSAVSCPNKEITETVARQNCNGTGCCSIPINLGSNSLQLQFVRNGKEQIEHGERTNRSSSLWDSINITTVFAEMSWTIIDQLTCASTLVNKTNYACAGKYSNCYDGDASPGYNCLCVPGYEGNPYLLDGCKRDEGTITISISDTIDSNSVMRVNLFKSTQMKHW >Dexi9B01G0023140.1:cds pep primary_assembly:Fonio_CM05836:9B:18152497:18152989:1 gene:Dexi9B01G0023140 transcript:Dexi9B01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKGREQRGSAVPWSQEETAGRLEKMCGPAAPVNRNSTDLTETVPMEVRAPKSHRAHAVEEGKEWGRAVREAELVNRRWGWDTELEAGREGAAGQVPAEWEVEVVAGQLVLAMGQWPGARRAPSTQSYSGVLGLGEELGKKKGWMNEMTGGSHV >Dexi2B01G0028670.1:cds pep primary_assembly:Fonio_CM05836:2B:37231410:37231922:-1 gene:Dexi2B01G0028670 transcript:Dexi2B01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTCRLIGAGVLGKDMVTGFRIHGKGWNSISCTTEAVDAFRGTAPISLTERLYQIVRWAGGSVEMFFSHNNPLFAGPRLHPMQRTVYLNCNIYPVTSLSGDMWLIPEEILIPRPFTRYVIYLIVIIALIHHAIGLVEIRWTGTRGMRLWAWCSTCGSWFFSSHLLWRS >Dexi1B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:1B:20365335:20366432:-1 gene:Dexi1B01G0014130 transcript:Dexi1B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLWEEERQGEQSPLGRGARIQQRQARLADGTMGRRRREQRGRRQGRRKRDWEQEDRRGRGGGGRDGALAHSSNSALHGARMQQQPAQGDFLGEGGELPGRGKQQQWRASRGPLCDGDMPREEEVLHGAHGASHEEAFSTKSWWQ >Dexi6B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:6B:358053:358728:1 gene:Dexi6B01G0000510 transcript:Dexi6B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGDNGGGAADGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQVFPQPTISPTAAAYIN >Dexi6A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:6A:7346021:7346396:1 gene:Dexi6A01G0007430 transcript:Dexi6A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAGYPPPATAYPPPGQQAAYGAPPPPEAYVAPPQAYPPSQDGGGYGGQHQTTSRGGDDGFWKGCCAAICCCCVLDMCF >Dexi7B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:7B:18602742:18605553:-1 gene:Dexi7B01G0011580 transcript:Dexi7B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRGAALAARSFRAAAASSASATVHRLPAAGSLAGAGEFAPARLFLLESRRGFAKGRKSKDDRGDTVQATPDIGPTVKSAATSQMDAAVIALSRELSKLRTGRATPGMLDHIMVETADVKVALNRIAVVSVLDSHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTVKKSASSMPKDDVKRLEKEIEEVTKKFIKTADDMCKAKEKEISGS >Dexi1A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:1A:10913469:10918083:1 gene:Dexi1A01G0011750 transcript:Dexi1A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDALLTQLVNKHGIKNWQTIACAIPDRNAHACLSRWKYILDPAINKEAWSQQEELRLVRAHQIYGNKWCKMVKHFPGRTNNAIKEHWRGAMKRKLDSYLASGLLEQVPDLEENLSVPQSSQSDIPKDRKVLSDRVRFSSVLMTRSKLKQELRQLSENADTSVGESSDFIYAKALDAHSANVSEVIIAKPQKCARARKKLDLVSTPVKVCPCLLRKRNFIFFQEDEILTKMVTKHGLKNWQTIASAIPGRNAQQCRIRTNHALKEHWRGRMKGKLNYYLASGLLEQIPDLEEELSVAEISQLDTPKDGQDSSEINRPPSSLPTIPKSKSDLSELDEDADTSEEESFDSIYPKGIDAHPSEVSEKIIAKSKQRDRARRKLDFLSTPVELKVCTAAPSCQRPPPKLEQTPTADNICPSDVSQDIPQNVPSERVNVHSWETQDPCSLEFHEANASDLLDMSYCDGLMIDSPRYPYDSSFI >Dexi2A01G0026830.1:cds pep primary_assembly:Fonio_CM05836:2A:38368672:38369762:-1 gene:Dexi2A01G0026830 transcript:Dexi2A01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIMDPNMKQLQEAMVDIETDAEQILLARHQLVENDKTRNGNREALTALRKQARTTKTSVPSPFEVIMKEMEGSSGKQLIKEVCPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVLEKDQERLDFDTKKLQSFVKEKSLVIAEKGALAGRYGTDTVKSLVNLTDTPKSTRVAGGPEVKYQIG >Dexi2A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:2A:30190254:30196847:1 gene:Dexi2A01G0018160 transcript:Dexi2A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVSSHGKAGQRCVSVYGCRISWQWATAPRFSDSDLDIVTRSSKGLIARGAVSPLGIIHERWRSGSGSSGSTGSGCPLARFLVVAASPPPPPRLIPRPLSRRRRRARGGASGGGGAAGREAVDVGVILDRTTWVGNVSWACMELALEDFYADARYRTRVTLHLRDTGPSAVDAASADSPCRSASQTPYFIRTAWNDSSQVEAIASLVQEFNWREVVPVIEDGDSNTRFIPDLVDALGHVGTRVSYRCKIHSSAGDDEIKGAISSLKGNWTSVFVVRMSYSLALKFFRLANEEGMMGQGFVWITAYGLTDILEVVGSPALDVMNGVVGVEPYVEDTARLQDFKRRWHEKYKRENQGTKLNGPILPGLYAYDTVWALASAAGKARYVNSDFLPSETNNGSTDFDRIRTSKAGEKLHDAFLKTSFIGMTGTFRIQDWKLVSTSYKIINVVDRDRKVVGFWTPGFKISSNLNKKADLYTIIWPGGSDKGKATGFCARVFEEVIHALPYEVPIHYEEFGDGKGESNGTYDSLVYKVYLNEFDAVVGDITILANRSLYVDFTLPYTESGVRMLVPVRDRRQKTAWTFLKPLSADLWLGTGAFFVFTGFIVWFIEHRTNPEFRGPPASQIGSIFYFSFSTLVFAHRERIVNNLSRIAVVFWLFVVLILQQSYTASLSSIFTVEQLQPTVTNLDEVIRRGDNVGYLNDSFLPGLLKRLKINESKLIPFNSPEEYNDALSTGRVAVIVDEIPYLKLFLSKYCHNYTMVGPTYKFDGFGYAFPRGSPLTPEISRGILELASNGTMAELEKELYGDTVCPDKNDSQTSSSLTLHSFLGLFIITGASSLLALVLHVGITLYSNRSHLIDAHRQGSWRGSFAILSKIFHEHDNSSNTQDKDETGMANPDPIVEIPWSMSSHTIENFDMDTDMGSPLEGEGTPGREVSNQDPGPPSFAYMHSDG >Dexi1B01G0029440.1:cds pep primary_assembly:Fonio_CM05836:1B:33750395:33754921:1 gene:Dexi1B01G0029440 transcript:Dexi1B01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQESVHFDKITARIKKLSYGLSVEHCDPVLVAQKVCTGVYKGVTTTQIGELAAETAAAMTASHPDYASLAGRIAVSNLHKNTMESFSETVKLLYMHHDERSGLMAPMIADDVYEIVMKNAARLDSEIKYNRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECAAISKCAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHPDIFDFLDLRKNHGKGKAKKVVAAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSSSETAVCNLASIALPRFVREKGVPIESHPAKIFGSSGSKNRYFDFDKLAEITSTVTCNLNKIIDVNYYPIENARRSNIRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASADLAAKEGPYETYAGSPVSKGILQPDMWNVVPSDRWNWSALREMISQVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVIVNKHLLHDLTEIGVWSPILKNKIIYEDGSVQNVAEVPDDLKALYKTVWEIKQKTIVDMAADRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQQTSGEEDVQAKMAQLVCSLNNRDECLACGS >Dexi7B01G0021810.1:cds pep primary_assembly:Fonio_CM05836:7B:26835366:26836850:-1 gene:Dexi7B01G0021810 transcript:Dexi7B01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVAPPTMETPAAPPTMETPAAPTTAPHVLVIPFTAQGHTLPLLDLAALLAERGLRLTVVTTPGNLPLLSPLLAAHPATVRPLTLPFPSHPSLPIGLENTKGCGPEYFPIFIHALASLREPILAWARSQPDHVVAVIADFFCGWAQPLARELGAAGIVFTPSGVLGTAFPHSLFRRLVRRPDECGDEFTVAFPAIPGEPAFQWREISMTYKWFVEGGHREKVRESVRQNFLWNLQASSGFVSNTLRALEGRYLDTPLQDMGFKRIWAVGPVAPETDPAGTRGGEAAIAAANLSAWLDAFPEGSVVYVCFGSQAVLTPAVAAALAEALERSAVPFVWVVGAGSSGVVPEGFEARVAAAEGRGLVVRGWAPQLATLRHAAVGWFMTHCGWNSVLEASAAGVPMLAWPMTADQFANAWLIVDEVRVAVRACAGGFGVAPDPGELAAVIADAVGEKGRDVRARARELAAEAARATKEGGSSYSDLEGLVQEIRELC >Dexi3A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:3A:13326383:13327840:1 gene:Dexi3A01G0017490 transcript:Dexi3A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAGIGGRRRLTRLRTLGRGASGAVVSLFAAGDDEGEPLLAVKSASGAAGAAQLRREAGIMAALRSPHVLPCLGFRSAAAGGVEESHLLLEFAPGGSLADEAERSGGRLPEPAVRAFAADVARGIAYLHGVESVVHGDVKARNVVIGADGRAMLADFGCARRVGSKDYPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWAGVVDDVVAAVRLIGYTDAVPESPAWMSAEAKDFLSKCLRRDAGERWTAAQLLEHPFLASARCGGEEAEDAIKPKWVSPKSTLDAAFWESDADDEEEDDENEMPESAADRIKALAGPCSALPEWDSDEGWIEVSGGCSEVSDAAEEVKFPTMQCEFPRTAVAASSEQMRSEVPDVPAVAALAAETTSYENFWGNEWDAEVEGELFDADLAVGDDPVYNVGAGDAYVHQHQQDIYANLTINPSVLDLDISEEIAKTRFHGQIVPFSLPHHLFAF >Dexi2B01G0029210.1:cds pep primary_assembly:Fonio_CM05836:2B:37666865:37673359:-1 gene:Dexi2B01G0029210 transcript:Dexi2B01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVMAPAAATQKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPTTRRPSLPAGFKLENGMTTVAAVETAPVDRKPDVEKDIAGLETKEEKPVKGCIFGTKRKFHNAEALEESPYVDKFHERKGMTGCKDPPSVSSATAKLNGKPARTYVMDYVLQKKLRVWCSSPNAKWELGQIQSISGDDAEILLASGEVLTMSPERLLPANPDVLDGVDDLIQMSYLNEPSVLYNLQMRYSRDLIYTKAGPVLIAINPLKEVPLYGKASIMQYKQKIKDDPHVYAVADLAFNEMLRDGINQSIIISGESGAGKTETAKIAMQYLAALGGASGMESEVLQTNVILEALGNAKTSRNHNSSRFGKLIEIHFSETGKMCGAKIQTCKLSLHLLFGWLTTTFLNFLLTCFLGHSRVVQRAQGERSYHIFYQLCSGAPLLLKKKLFLKSANDYNYLKQSNCLRIDGVDDSKKFTVLVDALDTIQISKDDQMKLFSMLAAVLWLGNISFSVVDTENHVEVISNEGLATAAKLLGCTANQLVTAMSTRKIRAGNDSIVKKLTLTQAIDARDALAKSIYAHLFDWIVEQINHSLGTGRQCTWRSISILDIYGFECFNKNGFEQFCINYANERLQQHFNRHLFKLQQEEYLEEGIDWASVEFVDNTDCLSLFEKVCSVVSIYIALSFLSVALCPFHDVRKPLGLLSLLDEESTFPKATDLSFANKLKHQLSGNSCFKGEQEGAFKICHYAGEVTYNTAGFLEKNRDPLHSESIQLLASCKFELPKHFASVMVADSQNKSNLSWHSVVDTQKQSVVTKFKVQLFKLMQQLESTTPHFIRCIQPNGKQRPRLFEHDIVLHQLKCCGVLEVVRISRTGYPTRMTHQQFAERYGFLLLRSIASQDPLSVSIAVLQQLNIPPEMYQVGYTKLFFRTGKVEQYFPNPTPPPPFFP >Dexi9A01G0046050.1:cds pep primary_assembly:Fonio_CM05836:9A:49444371:49447830:-1 gene:Dexi9A01G0046050 transcript:Dexi9A01G0046050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVALEPLAEEPGGGEEDAARRRTGLHAALHRWARLLSGGGAAGDDARSAPDLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTGCGKLDDGAVKSMYASGRVRLSMLQEPTGGGSGGGRGGHGHEGSFVLWQLAPSMWLVEMSVAGQSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKQVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGVAAMYWETTIASSMSDYRAVDGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAAGK >Dexi3A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:3A:2535311:2535865:1 gene:Dexi3A01G0003790 transcript:Dexi3A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVMHSIPASSLEAGGTVPCQPDSVLACSIDESFGSISFSKSSVVDHSMRFSSTRFSEVSMSSHRIGDMSLGDNDECKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGAPTPSQAAPAPPPEHEKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi3A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:3A:5818010:5820886:-1 gene:Dexi3A01G0008300 transcript:Dexi3A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATLGRSVLRFPNESMRLVMVTIIGAVLGFFIGISFPSVSITKIYVPTNPKGAERLAPGIVVPESDFHLRRLWGEPSEDLPFKPKYLVTFTVGIAQKENINRAVKKFSENFAILLFHYDGRVTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGVEHFNAEEYIKLVKKHNLEISQPGLEPDRGLTWQMTKRRGDREVHKFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQIAATSWSTCPLNLTTFQSLFQVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLV >Dexi2A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:2A:5670891:5671905:-1 gene:Dexi2A01G0005980 transcript:Dexi2A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKIIGARVYDHRQGSISGLSPLDEVGHGSHTASTVAGRAVANVSSDGLAVGTARGAVPGARLAIYKVCVGVFCSDADILAGFDDAIADGVDVISFSIGGMFPMQYFQSAQAIGSFHAMRRGVLTSASAGNSGLMGGRVCNVAPWMLSVAASSIDRRFDRLILGDGQTIEPLP >Dexi7B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:7B:22167005:22170729:-1 gene:Dexi7B01G0016200 transcript:Dexi7B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNASAEAEARSIFERAAESSFPPLHAVHHLLSVGACVRCILRLLGAYSSACSCASLTSSALHSFLEEHDDSIKGGSCSCLSADDSYCSVCLGVLLPAWHQDERLETPHTDISSMISGVVQKEGYQVDEFSLEISLPPVIAANERAVRLYMKQKFGNENWLEDKMFPQQIISVKEALRLLMVPTLEKQMNAKHGNGSFRIRLTYTHDDASQKLHSLLPNEHSRKRKTDLRNGSDTSSEAHKRNSADGNNKQISESDSFIYKTLEGIKDQEFCNLIQLPPEKVSKPCHLMISCMRSPIYIGGRYLKVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINSSEKKYVRVRNLKLVGNEIWTMMREGEAEKQKQYAALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMEIEKVEGSSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDFLQ >Dexi7A01G0021870.1:cds pep primary_assembly:Fonio_CM05836:7A:30357048:30363585:1 gene:Dexi7A01G0021870 transcript:Dexi7A01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEPEKEAAAAAAEGDEKAEAKGSGSGWELLYCGGTSFDSMGRKVVGGAQGNLVSPTRLRPLMGVDIRFVGSGCKIESSPVPCIISEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKDSSVKLTYDPQPRPRAIAAFSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQRHNVLPANAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHFVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTRVGCVYGLSLIVVDRANIGDRLDKLEIYDGDTSTEVTLMDDFEFTTAVEEVEVQTTKKASASTTSRANKRKKTQKEESESEEDDEEDESEDDENGEIEEAKGRRGRKPSNRGRGRGAKKAAPEPKPYGRGRGRPKKTESPAQKAGSSGGRGGKRGGKRGRPRK >Dexi9A01G0047870.1:cds pep primary_assembly:Fonio_CM05836:9A:50833738:50834614:1 gene:Dexi9A01G0047870 transcript:Dexi9A01G0047870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARILTCLFEKNLEFELVRIDTFKKSHKLPEFIKLRDPTGQVTFKHGDKTIVDSRAICRYLCTTFAEDGNKTLYGTGSLERASIEQWLQAEAQSFDAPTSELVFQLAFAPHLKDVHPDEARIAENEKKLQSMLGVYDEILSKHNYLAGDEFTLADLSHLPNSHYIVNSSDRGRKLFTAKKHVAKWYEMISSRESWRQVVKMQKEHPGAFE >Dexi9B01G0044460.1:cds pep primary_assembly:Fonio_CM05836:9B:44212993:44215316:-1 gene:Dexi9B01G0044460 transcript:Dexi9B01G0044460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDHQRKTSKSADLSESEKENLQRKVVIKEQKSVVASRYMLGVSSNNGKITNLNSSIDSDKSNGGSSICESNQKSVAPKVRQEAKPQERPNNTSPSPAKFVSSKQETNKDTRKNSGTSPSTNGSAAVKKQMPKESKKEPANERRSPPKLYRSSPTPARTSPTKLSSSAKQNGSCAPTPPVSSVKRRVTETISWDSLPTSLIKSGKAVVRRKNIALVAAAEAQREAAAAACLVKGLGIFAEIRESSEVDPHAAITKFFQLHRLIVQQSAVWKAYSPEAGKESRAEKEKPSRKVSASQNKAAPYNAAKNSDDAQTSEKMEWAREDGFKEICRSWLTLKKESQSWFLSFLEDALESGFKFEDHTKNTRERARGQCKGGDGRIAVRLSQLKETSNWLDQLQDEAVETVEQLKQKVYKCLLGTVETAASALEGR >Dexi7B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:7B:18256305:18258360:-1 gene:Dexi7B01G0011150 transcript:Dexi7B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGSKASVAINLHRFVNETSASSSLLLDGTDTMLFQGTCKFFRSPANFEPDVELSLPELAALDACYQKATFKSMKHEEREKLKQQCGGSWKLVLGYLLVGEKNYRREKSQVVAGPGHSIATKGDVYSFGSNSSGQLGLGNTEDQFKPSLIRSLQGIRITQAAVGSRKTMLVSDTGSVYRFGQDAFGGMKSSGTYTSSPKLVESLKDIFVVQASIGGYFSAVLSREGQVYTFSWGRNERLGHHSDLTDVEPRVLSGPLENALVVQIAAGSCYLLMLAYKPTGISGTRWKCAQLTWGWKNYGCLGVGHIDCKTLPVEVEGLKDVKARHLSAGSYTTFVVADNGDVYSFGLGHSLNLGLQGVEAANVWSPKLATSIVALNQKVVQISATNTWGPINGHSGRSHTLALTESGRVYSFGAGAKGQLGVKLVEGQERRATPERVEIDLA >Dexi7A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:7A:11934059:11934845:1 gene:Dexi7A01G0003000 transcript:Dexi7A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEEDIIPTCRELGIGIVAYSPLGRGFLSSGTKLVDSLSEQDFGKHMPRFQPENLDKNAQIFEYVNTMAERKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTPDELAELESYAAASEVVGDRYPQMTYTWKDSETPPLSSWKAE >Dexi4B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:4B:9700468:9703024:1 gene:Dexi4B01G0012050 transcript:Dexi4B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGGAGKDDAALGLPWSEMFRSASLRRPNQGADDAPAKKPPTVAALKSALKEGKARPPSPVAAAAGAGADIAGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSVPLRETQRALVAFWEPPLRGGLSAAVLALPLAAFRSSAATLADARAALLRRPLPHSPAFPRLLRWLVSFFFFLVLFERLGAAAALLLLTLALAFFAATPKLTRAASSRISGRRPSSRGLLLTGGILRHLKTLVAVGLMLGMIAGFITGSIFFSYKIGLEGKDAVMSLKSHVEKGNYSEKIGLKKWLDDNDIPGLVDQYSAKVYDTVWEQVDQLAVQYNLTDFTSGFRHFLISQSVDPKSKALISSRPHPYSMKLQSIAARVKKREWVEIYRELDSFFRELLITREDLVVKAKELALQGTEIAKRLLSSSTSVLGGSANLMLSIALRIVSGAAEVVNFLSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVLLATAKIAIFQGGLTWLLFKFFKDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADAEETSS >Dexi2A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:2A:926301:928197:-1 gene:Dexi2A01G0001400 transcript:Dexi2A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGSGHAQLAEDDAGVDRLSALPNDVLIRILASLGDAASAARTSVLAGRWRRLWTQLPELRFPSSPKHRPIASALLAHEAPLTCLDVRAEDAAAESVAAWLPVAARRLYGSLVFTNRVPEENDDLDAAGEERGDFELPCFGNATTVSLDLGWLGLAVPRAAGVFARLTELSLNHVRFHRPAVLGDAVSSRRCPCLEKLTVQHTLGLSDLTIRSNSVRHMELAYLRGLQQLTVDAPALEHLSVVCCFHRDQIRPVANISARQPQDIDNLSYLMEDIKMLPDVASLDLRVVANGHATGASLFHVLKMCSGIRELILELSSTDLEDTIWAANTMG >Dexi3A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:3A:16977097:16985263:-1 gene:Dexi3A01G0021320 transcript:Dexi3A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAQPPPHLRARLHAAPLFSTPRAPRCRGGRSTRLLSALPSPSPSPWPPSRSRRVSTAPPLERELEPGPGPAPSSEQELQPQPRGDPALAAEIARLSSARARLRAARTLGDKLRALDAEPRVAAFFGEDLSRGVLGALEPREVLLLKCLVAAGQEHVLGDELDWYGGHHEHHHRNGVSGGSALREALYGLAGLVGKWSSEEVVGGGEKGSEEMEVLRGLLKFLGDIEEFYDCIGGIIGYQIMALELLSASKDRKHRPSKDKLVDFHVPRGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGGQCITPVAIMTSSVKDNHDHIIAICEKLDWFGRGRDNFRLFEQPLVPVVNSDDGKWLISKSLFPVGKPGGHGAIWKLAYDKGIFQWLQQCGRKGATIRQVSNVVAATDLTMMALAGIGLRGNKKLGFASCERRPGATEGVNVLIEKQNLDGLWSYGITCIEYTEFEKYGIPEPTTTGRYSQVSYPANTNILYVDLQAVEQVGSRKNASCLPGMVLNLKKAVSYVNHLGLQRSAAGGRLECTMQNIADNFVNTYNYRCGKGIESELDTFIVYNERKRVTSSAKRKLKSEDRSLHQTPEGSLLDIMRNAHDLLSRCSVDVPMVKDNSEYLHSGPPFLIFLHPALGPFWDIIQQKFIGGSVSKGSELQIEVAEFLWKDVELDGSLIILADNIMGSTKKKNGEQILHYGARCGRCRLQSVKIVNEGINWNSPSNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHVFEVPDGQRMSIFQDRAGLQLAISYVAFCAL >Dexi6A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:6A:13389994:13390299:-1 gene:Dexi6A01G0010750 transcript:Dexi6A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLLVNLQQDQDHRLRHLLLSQRFLLHHLHHRHQLHQLHLLQRCLQLLVQAIRLHQDRHLLLLPGLQLDLDLHHRQLDLELDLHHQQCLVVQSRADRHH >Dexi1A01G0029880.1:cds pep primary_assembly:Fonio_CM05836:1A:35300996:35302317:-1 gene:Dexi1A01G0029880 transcript:Dexi1A01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFFLQQRCRFGSNCRMSHALKQFTPTRWQQSLVGSSILAASEHRSGLWRRAELESWDDNLKVGQVVFKDDGSSARLPSDSLSVSEYADMSNEDDEGSSSEEESEFSDNGDQEDGSVHQGIGLIEPTNFSGIQTDTVIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPVPVKVLPPKQSLDHALAASEADGSVGSGKKRSRGGKRKREKKFAEQARAAKAEEAERSVFSFINSNLVSPDVPEGSTIKAKKGPSGEANGHAKKEDRRSLVAYDEEVKELRIRVEKLEEMKNRNRKDKAVFEAASRKLEETRKALADAEATHASATNAVARKEKEKKWLKF >Dexi9A01G0042470.1:cds pep primary_assembly:Fonio_CM05836:9A:46056191:46059801:1 gene:Dexi9A01G0042470 transcript:Dexi9A01G0042470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLAPVPTKPRSNPFRRRRGAAPLLLDQTATAAAAAEGKRPAESSTSASSSFYSEVISASSTSLAAYQRPEKRPRRQDADEARPAGSECSQVIGGARAHPAEVEASESSCLGSVLESDLACPERLADDAEATEYSSACEELTPSEPEEEEEVLSVPCLCADYSLSPLISSPLTDDDDDDGAPSPTFNLFLNFAERFVRCVHPQAHARTNAAHDFLTGRRFEDLDDEESYERFRRRERCEAVACDYTGAYISMRGSYGPLVVEQRVVMVNWIIETVTKFMGIGLMDRFLTQGYMRGLRNLQLLGIACITLATRIEENQPYNCVLQKTFTVGINTYSRSEVVAMEWLVQEVLNFKCFVTTTHHFLWFYLKAAKADDRVADLANYLSSLSLLNHKQLSFWPSTVAAAVVGLACLATGNDSSCHLVMEVNKQTHMRTQDDDLPECLMVNVSSVFCV >Dexi5B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:5B:2866167:2869018:-1 gene:Dexi5B01G0004240 transcript:Dexi5B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSQAMLDAQAELWQNTFAFVKSMALKSAVDLHIADTIQHHGGAATLSQIANKAMVPPSKIPCLSRLMRVLTHAGVFSTHGGAGEQLMYTLTPASSLLVGSRNQAIFTSLALHSAMVSSMFELSGWLQSGLPNPCMFKMRNGRDMFEIVDGDPDFNVIFNEGMASDTEFIIDIAIKKHGEVLFQGVSSLIDVAGGLGAAAHAISMAFPHVRCSVMDLAHVVDKVPPGNNNVQFIAGDMFESVPQANVMFLKLHNQMSRNV >Dexi6A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:6A:23972401:23973249:-1 gene:Dexi6A01G0016100 transcript:Dexi6A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSALIALLVVLSCAAAVSAATTFTVGDTSGWKTGVNYDNWVSGKTFAAGDQLLFNFATGSHDVVEVDKSSYGSCSISNAVNTIQTGPATVTLTSGTHYYICGIPGHCNAGMKLTVTVGSGSGGSPSSPSTPSTPGSPSSPPSSSTPAAPAPSAASASPAAPALAMAAGVLLLKLALF >Dexi9B01G0026450.1:cds pep primary_assembly:Fonio_CM05836:9B:28602709:28603167:-1 gene:Dexi9B01G0026450 transcript:Dexi9B01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKKNEDGRQGENLQLLQRQSSNWKAPEERPYRRRIKPPATKVHHVHPARFRRFVQRRTCGSMLPQPNAPSCASSNSDDATASAAVSATANLLQTPAGVCAIGDGDAATGSGCLDVTRKSMQEAYMAWCSSNDIVLSPGTMAELSFTEHP >Dexi9A01G0023970.1:cds pep primary_assembly:Fonio_CM05836:9A:19513047:19514871:1 gene:Dexi9A01G0023970 transcript:Dexi9A01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAAASSCTDATWWAYAVPALLGADTLCTHPALLAFALLLATASAALLAWAASPGGPAWAHGRGRLGAIPLVGPRGLPVFGSIFTLSRGLPHRALDAMSRATAGGGGAHRARELMAFSVGSTPAVVSSSPATAREVLAHPCFADRPVKRSARELMFARAIGFAPSGEYWRLLRRVASTNLFSPRRVAAHEPGRQADAGDMLAAIAAEQCASGVVILRPHLQNAALNNIMGSVFGKRYDIFSSGSGAGAGASEAEQLKSMVREGFELLGAFNWSDHLPWLAYFYGPSNVARRCAELVPRVQAFVRGVIDEHRRRRSQSSDAPDDNADFVDVLLSLDGGEKLADDDMVAVLWEMIFRGTDTTALVTEWCMAELVRHPGVQARLRAEVDATVGADGGCPTDADVARMPYLQAVVKETLRAHPPGPLLSWARLATADVALSNGMVVPAGTTAMVNMWAITHDAGVWADPDAFKPERFIPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVGLWVARLVHAFEWALPEGAPPVCLDEVLKLSLEMKTPLAAAAVPRRA >Dexi7A01G0024290.1:cds pep primary_assembly:Fonio_CM05836:7A:32027132:32027339:1 gene:Dexi7A01G0024290 transcript:Dexi7A01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFRGHPAFSLFCLAAIARPCWTRPLLACHVDSMSCWRASVGRVGPTRRNREAHTERW >Dexi9B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:9B:14140237:14140503:-1 gene:Dexi9B01G0019570 transcript:Dexi9B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRPPRPGAKKRPTVPCELCGVLCMTAWHLKQHELGRRHRNMAAQLTGEINVRCPVCNVHLSSGLNVEQHFAGKQHRRQLTIKGGT >Dexi1A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:1A:2471589:2473343:-1 gene:Dexi1A01G0003420 transcript:Dexi1A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDSLTACTKEVSFKALTLERGDAYKLFHELPIQENAGAHLEYGMFRSSMASEGANIQGVNEIPLADVVWDEAPLHNLDLQYDMLQQIAQVEEGLSGEESKPVDVVANDQFCTQIHALGSKHFDSEQAKLIIQSDNGDIMWDEDVPHNLGLHNTILEQLNDVMLGQGGNDLVTGVGGLPSAPQFDVVTSKDTFDEISKDHEMNRGSENLVSGEMQRGSDLQFVFVELHPGDHDRFSIGIDGMKTECLGLGNTQSWGFGGLCLRKNFSPLWSKEMPAQRHGNFTAGQIQQEHELLKMIERQCDYLCSTDAELYMCGTAAGVPKQVLVLNMHLLVSENNTTKSVAELIATNLLVSVDDLYLHLELGMAGQVADVVHSKGGDGTKMLNELVAHSSSLQGSSWMRLQTYEELEENDQEIIKVSTYCLVDKMPRENERSDDSYREQGEVFLLLQKSSVSCAAPELVWDEDMHCFLNAHCGLLEQLAMSSEYMNDERTNQVAKLGVSDVHIEKVSEGTLNENLDKDILVGLEQLYGSSANGTQQKSRNISPSPINEWSDSFGDFETNLTDDGHMEYELVQPNINFQGI >Dexi2B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:2B:7704735:7707689:1 gene:Dexi2B01G0007370 transcript:Dexi2B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLISEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQKIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVGAPSASVAQPASAGKVAQAEGAGAGNADSGIDADLQARLDNLRRM >Dexi2B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:2B:3305319:3305593:1 gene:Dexi2B01G0003790 transcript:Dexi2B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATNGLLKSVEHRAAANGEVARTSVATFIMPTEDCLVAPAAELVDGGGDNPARYRAVTFREFMRVYKTVGARRESVEKAFKI >Dexi2A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:2A:2680309:2683807:-1 gene:Dexi2A01G0003120 transcript:Dexi2A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAARSLLLPAVVVLFLAAIDSAAGAAEAAALQEFRRALLDVDGRLTSWDAAAADAGANPCGWAGIACSTAGDVTGVTLHGLNLHGELSSAVCALPRLAVLNVSKNALAGPIPAGLSSCAALEVLDLSTNALHGVIPPDLCALRGLRRLFLSENLLHGEIPSGIGDLTSLEELEIYSNNLTGEIPTSIRDLRRLRVIRAGLNDISGPIPVELTECSSLEVLGLAQNNLAGELPRELSRLRNLTTLILWQNALSGEVPPELGNCTHLQMLALNDNAFTGGVPRELAALPSLLKLYIYRNQLDGTIPPELGNLESVLEIDLSENKLTGVIPGELGWIPTLRLLYLFENRLQGSIPPELGQLSSIRKIDLSINNLTGTIPMALQNLSSLEYLELFDNQLHGAIPPLLGANSNLSVLDLSDNQLTGSIPPHLCKYQKLMFLSLGSNHLVGNIPPGLKACRTLTQLRLGGNMLTGSLPVELSLLQNLTSLEMHQNRFSGPIPPEIGRLRSIERLILSSNYFVGQIPSTIGNLTELVAFNISSNQLSGPIPRELAQCKKLQRLDLSRNSLTGVIPQEIGGLVNLELLKLSDNSLNGTIPSSFGGLSRLIELEMGGNRLSGQVPLELGELAALQIALNVSHNMLSGEIPTQLGNLRMLQYLYLNNNELEGRVPSSFGELSSLLECNLSYNNLVGPLPSTPLFQHLESSNFLGNNGLCGIKGKECPGSSASSDSSKEAAAQRKRFLREKIISIASIVIALISLVLIAVVCWALRAKIPELVSREERKTGFSGPHYCMKERVTYQELMKATDDFSESAVIGRGACGTVYKAVMPDGQKIAVKKLKSQGESSNIDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSNLILYEYMANGSLGELLHGSKDAYLLDWDTRYRIAIGAAEGLRYLHSDCKPQVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAVAGSYGYIAPEYAFTMKVTEKCDVYSFGVVLLELLTGQSPIQPLEKGGDLVTLVRRMMNKMTPNTEVLDSRLDLSSRRVVQEMSLVLKIALFCTNESPFDRPSMREVISMLIDARASSYDSFSSPASEAPIDDDSSPKV >Dexi5A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:5A:19326313:19329519:-1 gene:Dexi5A01G0016690 transcript:Dexi5A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAAHISQLGGGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKATAPIQAHFGETDSFVGFSDITAAKSLEEKLKSSGVPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENQEAVELAWSRFSAWMGRFLEFA >Dexi9B01G0035180.1:cds pep primary_assembly:Fonio_CM05836:9B:36923134:36927462:-1 gene:Dexi9B01G0035180 transcript:Dexi9B01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPKRDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPETTDKSRIKVWLEKHRKLRVALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKNHREYAVIPITCVILAFLFALQHYGSEAMFADLGHFSYSAIQLAFTCLVYPALILAYMGQAAYLSKHHDFYSSSQVGFYIAVPGLAVITVMLVTTFLTSLVIVLCWHRPPLLAFAFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLIWHYTTIKKYEFDLHNKVTLEWLLALGDRLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVETLATFIKLDASFRCSEASALEQEQELEQEHSSERERRLTVIASNPLRHRASYDLQGSTRHSSASVTGTGDGEEAEISPARKQVRFFIDCDVSSPDAGESCKQVAEELEALVAARESGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Dexi2A01G0023540.1:cds pep primary_assembly:Fonio_CM05836:2A:35238679:35239725:-1 gene:Dexi2A01G0023540 transcript:Dexi2A01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPPAGASSSASAVPARVRPHLARITSFLIVFAIGYSLGLLSSSTRPSPRPSQTTIVRPHAAHLLTDASTTVPASNATASATVTTTTTSYPRSPPHDLFRFREECGEPVASDAVVPTLLEKLFDGESPYRGFPPPHTAALLHPARARPRGWGSTGAVFAELIEAVRPEVIVELGAFLGASALHMAAVSQNLSLSPAILCIDDFRGWPAFRDRFRRDVPPPRHGDALLLPQFMANVAAAGADANVLPLPFSTASALMALCEWGVYADLIEVDAGHDFHSAWSDINLAWAVLRPGGVMFGHDYFTSADDRGVRRAVTLFAKVKGLTVRPHGQHWVLSPKPHGHGSSAR >Dexi9A01G0045910.1:cds pep primary_assembly:Fonio_CM05836:9A:49375069:49379439:-1 gene:Dexi9A01G0045910 transcript:Dexi9A01G0045910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGEEGDPTSVPVGHPDWTRRDSCGHRDRGEQPRRPQRDPALVMGDAADAGHEGSIGKLAWSRGWAFLGAFVLLLDGVCALLLLGTLVFLLSSLDVLLSFESSFICARCPQSGRRVERSLSLYTSSDLRNCGLVLQAAPVLLLVIASITALPDLGGNGGGGGLEGKSSGSAFWQGIGEFGAVDDEGQVEVTDWEGAGGAGNVAMGCNAGGGGGGSAGRWVRAIVLLVLVWEERCKLHMVKENPAGQLDSYNQQLGPEDLQPCLRQGEWDRALEVQNGEAVATGVDTAQPKPLDIKRAHPELVIMLRGQSFFDLMGKGDVVRAAAYYNHHIKRTYPDDTAVCHRVFRRYNPAKLRFHQLRDPAGRQALCAASSQYVRKAEQDGGGGGGGSSHRAAHTSEESALPLPTDAGHGASTSTSSTERLPPPPPPVLGDAEEAEAASPEETPGAGGGTSSVN >Dexi8A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:8A:14371316:14371600:1 gene:Dexi8A01G0009760 transcript:Dexi8A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAAPPGGRCGGCLACLRDVALSMGSCLTTEQQPAVAAGAVPSGKEREARTREEEVPGRIVRNGVSNAACLFTRQGRKGTNQDAMVVWEV >Dexi1A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:1A:458225:458795:1 gene:Dexi1A01G0000740 transcript:Dexi1A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVNHPDVQAKLHDEVKKSMPDGGDIHGQATPYLKAVVMEGLRLHPPGDFLLPHGVQSDGGAEIGGYAVPKGAEVNFMVVEMGRDETAWTAAGDFRPERFMDGGEGYDVDITGSKEIKMMPFGAGRRMHVPRCLVARMVREFQWLPAAEGEVVDTVETVVSFTTVMKHPLRARVAPRN >Dexi4A01G0023160.1:cds pep primary_assembly:Fonio_CM05836:4A:26284554:26286662:1 gene:Dexi4A01G0023160 transcript:Dexi4A01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGTVAGPSVDGKSRPDCINSSNPYHECSDYCLRKIAEARQRLDDELPDSWKRPPEQRTVHPDCINASNPYHDCSEYCFKRIADAKSGLERGEGQSPAIGASTSNATEQQPNDNDAEKQEEAGADDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLDDRKKKIGKLLDSNGLDMSKAYMLDTQETAEAKYKKWEKEPAPHGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEVDPEFYRDASSLQYGKVSKVPEENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Dexi4B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:4B:3539285:3540166:1 gene:Dexi4B01G0004930 transcript:Dexi4B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAGMLPGVECARRRRLRQGGAAAGAEVGGGTRRPSFCLYTAGHAGHPAAGLAGAGSSGGKQRSGVMEMIHEWTLDSNAREAKERLDQKLRSKREAAIKRHHSTGSIKLSRPPRLHGVGAGGAEERGESSSASASASSKSAMSGVQREVYSKKGVMRRLMRWSRPRWDAAEQAECAVCLDDFRAGDVLAHLPCGHRFHWACAAPWLEGTSRCPFCRAAVDAANPHAAGA >Dexi5B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:5B:4389553:4390134:1 gene:Dexi5B01G0006570 transcript:Dexi5B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMSTEVTLLSSSAAAQLAEPAAGGDKGFVRGVVTSTVMDDLKVAPMSTISGITLLNTFGITDIGSLQERKVQLGYSEKRKA >Dexi2A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:2A:10495141:10496716:1 gene:Dexi2A01G0009650 transcript:Dexi2A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTTQQEASSPSSRPLHIVVFPWLAFGHMIPFLELSKRLAARGHAVTFVSTPRNAARLAPVPPELSPRVRVVTLRLPAVEGLPDGAESTADVPPEKVELLKTAFDGLAAPFAALVAALLAYVGTKDENDAHPRRSTEEYMAQPPWIPLATTTLLTYRRHEAEAVAAAFRPNASGVSDIDRLFHLHHPSCRLVIHRSCPDAEPDLFPLLTNLFAKPVVPSGLLLPGDVDDAGDQSAFMEAARWLDEQPARSVIYVALGSEAPVTAHHIRELAHGLELSGGLIARAMAARGAGVEVVRDDADGSFRGDDVAAAVRRVMVEEGGEELARSARELREVVGDRVRQEEYVDELIELFQRYK >Dexi1A01G0021770.1:cds pep primary_assembly:Fonio_CM05836:1A:28494179:28494731:-1 gene:Dexi1A01G0021770 transcript:Dexi1A01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLAADHFAPSPSAAASSDRALALASLPFPSLPVPTLPPDSHLPNPLPFPADFLPVPSVAGDDLDSLPVASALAEFLASVIPQPLPVPDIPAADEGLNDYLYDRGVYGKGFSSTDPVAFKIPKAID >Dexi3B01G0023830.1:cds pep primary_assembly:Fonio_CM05836:3B:18581393:18586295:1 gene:Dexi3B01G0023830 transcript:Dexi3B01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINIAAAAVLAVLATVCMAEAAAESRRMEEGGGVQREMSLDSRALIVDGTRRVLFAGEMHYTRSTPEMWPKLITKAKEGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVKFIKEIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDVPDITFRSDNEPFKVSTPAQPPANCYLIYGNDTKLRSTEDIAFAVALFIARKSGSYVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGTYSNFSLGQEQEVNAQHGSRTAEEVVSFSDINTWKAFKEPIPQDVSKAMYTGNQLFEHLSTTKDETDYLWYIVGRVFGIRKVSIQQGQGPENMLNNELWGYQVGLFGESNHIYTQEGSKSVEWITINNLAYSPLTWYKTTFPTPAGNDPVTLNLASMGKGEVWINGESIGRYWVSFKAPSGNPSQSLYHIPREFLNPQDNTLVLFEEMGGDPQQITVNTVSVTRVCSNVNELSTPSLQSQDKEPEVDLWCQEGKQISAIEFASYGNPVGDCTNFGYGNCHAGSSESVVKQACLGKNGCSIPVTPDTFGGDPCPGIQKALLVVASCR >Dexi7B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:7B:15469562:15474972:-1 gene:Dexi7B01G0007690 transcript:Dexi7B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAMRKASSQASLADPEDFDLTRLLNHKPRINVERQRSFDDRSLTSELSLSGAGAGTASRGGWGYGGGAMERESYESMYSPGGGLRSYCGTPASSTRLSFEPHPLVGEAWDALRRSLVNFRGQPLGTIAAVDHSAGEVLNYDQQSFASPSASLAAATGVRGRRRAGRCAKGGGLGSPPSPLRSSYQVFVRDFVPSALAFLMNGEPEVVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDMTLAETPMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAEGKEMMERIVTRLSALSYHVRSYFWVDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPSRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQASAIMDLVEERWEDLIGEMPVKICYPAIEGHEWQTVTGCDPKNTRWSYHNGGTWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLRRSASWTV >Dexi9A01G0043990.1:cds pep primary_assembly:Fonio_CM05836:9A:47637928:47638311:-1 gene:Dexi9A01G0043990 transcript:Dexi9A01G0043990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDGGRSPAASGSGSSSEDDGDAAWKAAIDSVASVRFGVPSSNGVAKAASGGSGEANNDVEHPLEGKPHAPGLKLYQIKGLDSTIV >Dexi4A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:4A:23959957:23963380:-1 gene:Dexi4A01G0020190 transcript:Dexi4A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVDLTNATRSVQVFKISGFTATKEKPGYTASMVCDVGGFEWQIEFHAKATDPSIYGSNDWIMFRARLISKGSSGVAASFGCRLVDPTPTSGNNNPPEEIIKSTVVHWSRSLDIFLVRWSDLQSSRYRKPKDDCIFVQCALTVLEPKPASAVAQPCDAMASNPSSDLHEQFGELLRSQKGADITFIVAGESIPAHRSVLAARSPVFMAGFFGDMREKAASSSRVEIHDMEVEAFRAMLHFVYTDTVPELDDHKGEQAALMAQHLLEAADRYGLERLKKICADELCKGISVGTVATTLALAEQHGCLELKSKCMKFILDAPSNLHAVAATEGYKHLEASCPSVLTELLKLMVKGIRSRGNKNRRSAADVTVTSAKAMPKLSLTVPSPDLHRELRELLRREKGADVTFLVAGECIPAHRSVLVARSPVFMAELLGDMKENAAASVVVDAMEPEVFRTLLRFVYTDTVPELEVEEGEEVTLMAQHLLEAADRYGLERLKRICVEKVSMGISLDTVATTLALAEQHGCLQLKSRCMRFIVATPENQRAVAATEGYKHLKASCPSVVDEILELKVKKGTSSVTR >Dexi1B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:1B:24739107:24741621:-1 gene:Dexi1B01G0018560 transcript:Dexi1B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVLEVSRKYYDRDVCRLYLAGLCPHDLFQLTRALKRLEEEDAKAAIAISVTEVTQTKEVMELSKLIRQKMKDIDAFEGKIPTTEELDKLKEQRAEEQTLQK >Dexi7A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:7A:17008147:17010795:-1 gene:Dexi7A01G0005780 transcript:Dexi7A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLPEQEGAKGMGSSGCSEIVEVVDDPKDARLGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPMSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPSGVSTPPDSGAIKKLYGSIAVQTNEEKDDTNKVVKVSVLPEKPAGNSLAEPVETGKGQSKSLTKKNSRSASPTTAKIHKTRIQDVISNKSSEAVDDPPAGTTLAKQRKGKSAKASSPRAVPVGGSRLVKPMFRNKTSTKKKVKPEPASVAASQKQCEAKGSNSDTGKHETLQDEPRTPAPTNKKAISSTCVGTDFGTKGCGDGTIHGSKVGELSRSKEKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGSRPHMSKDARWGAIRRLAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLINRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPVLVRTSSVGRDEPSRPSGPCAQSCIDPLCIQPSWSNSSCFTPRLVSTTPSRTRRPRAEPLKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFKGPGNEETLSNVISQNLKFPDNPAVSFHARDLIRGLLVKEPEYRLGSLRGAAEIKRHPFFEGLNWALIRWTSPPETPKSFDTSAATVTTTRKKKEGKCLEFRLNGDDIEFELF >Dexi3B01G0029910.1:cds pep primary_assembly:Fonio_CM05836:3B:29219297:29224440:1 gene:Dexi3B01G0029910 transcript:Dexi3B01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASFPFPNYPKAKVSIALKASPSPARFANFALARQLTNDPNSPIPMDPAGRRAAAIARHLAGALPAPPPPLAAGAAPQLGPSPCLSYVPPESAEPAPAFPPAELRALLDGHHLSDRDWVFGVMEESQLFCPRQHGGGGGKVFVAPDYNEGKEAQREATMRRIAHLARRGVFRGWLTEPGADAELRKLALLECLGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLLTTENYEIKGCFAMTELGHGSNVRGIETTATYDSKAREFIINTPCESAQKYWIGGAANHATHTIVFSQLHINGKNEGVHAFVAQIRDGDGNVLPNIHIADCGHKIGLNGVDNGRIWFQNIRVPRENLLNLVADVLPDGQYVSMIDDPDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAVRYGLSRRAFSLTPDGPEMLLLDYPSHQRRLLPLLAKVCLMSSAGNFMKKMYVKRTPKMSKAIHIYSSALKATLTWQNMITLQECREACGGQGLKTENRVGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLAAQKKKAPFKGLGLEHINGPSPIIPDVLTSSILRSSKFQSYQLAEDLARAFTERTILQIFFEDEMRVPSGSLKEVLGLLRSLYVMVSIDESASFLRYGYLSRDNVAGVRKEVMKLCGELRPHALAVVNSFGIPDAFLSPLAFDWIEANALSTGSH >DexiUA01G0008760.1:cds pep primary_assembly:Fonio_CM05836:UA:16399408:16401100:-1 gene:DexiUA01G0008760 transcript:DexiUA01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVDLQKLILAHNNLEVLREDLRNLTSLVVLNISHNKISSLPAAIGDLPLLKSLDISFNQINALPEEIGLATALVKVDFSNNCLTELPANLAKCPDLLELKASNNNISRIPDTLAGCSKISKLDLEGNKVVTISENMFLSWTMLSELNLAKNLLTTIPDSIGALPKLIRLDMHQNKITAIPPSIKGCSSLAEFYMGLVLYS >Dexi5B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:5B:21358907:21359152:1 gene:Dexi5B01G0019040 transcript:Dexi5B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKAEEKPVKVLPWNHYRLVDREAEQVYHLASGKDQVPGKCAPFVCFGCPVNGQ >DexiUA01G0015520.1:cds pep primary_assembly:Fonio_CM05836:UA:32456886:32457717:1 gene:DexiUA01G0015520 transcript:DexiUA01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRILSKQHKWKIAACVMLVSLLLIAFDSPFRTSFSNHLSSIIPSSDSSQSTTLTQENRNLSSEHATNLSNSKPGQQIEHGTYNINTSLTNATSSWSIVKEEFTFPAAGRPFNNCHASTIVEIEKDNFLISYFGGSIEGAPDVKIWTQRYSDGYWHPPVVADEENATAMWNPVLFQLPSHELLLFYKIGEHPQK >Dexi1A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:1A:25477508:25477720:-1 gene:Dexi1A01G0018150 transcript:Dexi1A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSDERSQGECEINERRKRRRAIGGGKTGEKYESEPGGLVQPAGRAQAQPDGFDVVDSL >Dexi5B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:5B:1707458:1709972:-1 gene:Dexi5B01G0002710 transcript:Dexi5B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKAEGYVTVEQVEKEFSWSTGRAIDALETLLKVEGLAMIDDGHRDGKRRYWFPCVTVSSDTTGGEAK >Dexi2B01G0033370.1:cds pep primary_assembly:Fonio_CM05836:2B:40957106:40958141:-1 gene:Dexi2B01G0033370 transcript:Dexi2B01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLIRLFFHDCFVRGCDASVLLVNSSGSSAPSEMLGPPNKESLRGFNVINDAKAALEAACPNVVSCADIVAFAARDASFFLSNGRISFSIPSGRLDGRVSLANETTGPLPGPFSDLETLKNRFAAKGLDTNDMVTLSGAHTVGHARCDFVVVSNAGRRPGMNATLAGELSRKCGGGGGDVTVNLDYKTPDVLDGQYYQNVKDGDVVLDSDAALSSTETAALVDTYAAAAAAAAGVGSGWEMAFAAAMVKMGNI >Dexi2B01G0028780.1:cds pep primary_assembly:Fonio_CM05836:2B:37328893:37333170:-1 gene:Dexi2B01G0028780 transcript:Dexi2B01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGAGGEFKHNHGSFITGHTYHSESCLVLIFAGRSEEKPEINKVLVLTPYLFGYGREQAEDATLANVAGTPGCEPLPGGDEGVGEVSGVGEGAGEPRGGVGRLRGVKALGGGESQERRGWMARKRRRKERPVMMLRKEGQAADARATSAGGVFCKNSQIAINQGVLCKNLLATDSTAATLRLRPARKGGGERAAGRGRKLRTSQAPSHPQQLIREEIERGPPSQPRRLCLQAPGRRDR >Dexi9B01G0029600.1:cds pep primary_assembly:Fonio_CM05836:9B:32097512:32098196:-1 gene:Dexi9B01G0029600 transcript:Dexi9B01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRGAARGEEELTLLGVWPSPFVIRARVALNLKGLPYRYVEDDLDTKSDLLLASNPVHNKVPVLLHGGRPVCESLVILEYLDEAFPTCGPRLLPDDPTTAPSLDSGPPTLTTRTWKPVYNGRTTEDRVEAARQVVAVLERFEQALAECAKGKAFFGGDGVGLVDVVLGGFLG >Dexi4B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:4B:176513:178048:-1 gene:Dexi4B01G0000270 transcript:Dexi4B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSTQAQTTQQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRLGGPGEEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLVYYKGRAPNGHKSDWIMHEYRLETNENGPPQANYADEGDIIQINEKQEVATDYASTSTSSSQVDPWK >Dexi7B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:7B:21622940:21630683:-1 gene:Dexi7B01G0015560 transcript:Dexi7B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTATVCSMCGDVGFPEKLFRCARCHHRFQHSDVGAGKARWSSSSSAAGKQQQHASAGSQESTSTTSSGGSGRGSGKASDHQQAEAAATGRRATTRAGGRRYKLLKDVLC >Dexi2B01G0029100.1:cds pep primary_assembly:Fonio_CM05836:2B:37626196:37626829:1 gene:Dexi2B01G0029100 transcript:Dexi2B01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRAVIGDTDMLQAMQKDALRLAGKALDNYEAIDSTEIARFIKKEFDRSYGPGWQCIVGTDFGSFVTHHSGCFIYFGIGNLAILLFNAEMNT >Dexi3B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:3B:5815299:5816570:-1 gene:Dexi3B01G0008250 transcript:Dexi3B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSKAAFDHGINTYVFMFYRQAAGSLLLLPLALLRNRITLSLNLYLVSLKFTSATVASAADNSLPVLTFFLALLLRMEHVKLKSSSGVAKLTGVLLCLAGVLVIAFYTGPSLSPVNHHRAFASHAPGPGPNITRGAWAKWTFLMVLANTMWSLWIVLQALVLKECPDKIVMTAVQCVFSAVQSFVVAMVAERDFSKWKLGFDVSLLAIFYAVSFAYSLY >Dexi3A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:3A:8638266:8645287:1 gene:Dexi3A01G0011910 transcript:Dexi3A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDAVMARWLQSAGLQHLAASSAGGGDYRGGMPGLGGAGAGSMLPSLIMQGYGPQSVEEKQRLYTLLRSLNFNGESAPASMSEPYTPTAQSFGGGNPVEGFYSPELRGDLGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKEIDDDDEDVMPVGQQVPVDNYGVATSEKESTSRENNVAKIKVVVRKRPLNRKELSRKEEDIITVHDSSFLTVYEPKLKVDLTAYVEKHEFCFDAVLDENVSNDELFDLLSDRRQLLMREDGKKQVCIVGLQEFEVNDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHVIVKDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTGPTTTSSRESSSAPSYPLPAEAEEIPNHIQEKRPVDTHRKGTENFISNSSGEPDRNSFSTIPSYSNRGREENGTVSGFNDRERYDMRSSQTAYTSKAQLVQNAANTQEEEKVTKISPPRRKAYKEDKSDRQSNYTKRDNGPETGRAGYKMQQTKQLQQQQRPPSASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPAR >Dexi5B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:5B:11825407:11833080:1 gene:Dexi5B01G0014900 transcript:Dexi5B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRRPPSLPALVAAVVLVCGGFCCCAEADSSPVAADELMSGSGPARRRRAASVTVPMTILKSAVSEGAVCMDGTPPAYNLDPGSGTGSRRWIVNLEGGAWCNSARTCQLSKGTGRGSSDHMDKEIPFTGIMSSSRAINPDFYNWNRVKIRYCDGGSFAGDTYNKETGIYFRGQRIWNAVIKHLISIGMGSADQVLLTGCSSGGLAVILHCDQLRSFLPASTTVKCLSDAGLYLDVADISGGRSVRSYFGDIVALQGIGQNLPPACTARLDATSCFFPQNIINDIKTPMFILNAAYDVIQISLSLAPDRADPSGSWRACKSKRSACNASQMSFLQGFRDQMVSSVQGFSQSRSNGLFISSCFAHCQSEQLGTWNYVPGGSPTIQNKGIAKSVGDWYFNRAEVKAIDCRYPCNNTCHHIM >Dexi9B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:9B:12330384:12331088:1 gene:Dexi9B01G0017550 transcript:Dexi9B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPKSVPSAHQFTVVIDSVETAIHEGVLRSNGGGTVAVVAPGVLEVTRLHHVVVRGGGGGEVRFSRCGHAAAEDVGAASFDRCDAVRVAGAARGVSVRRCRSADVERCAGAVAIRRCKGAARVRGAGELRVGRCREADVAGCADVAVERCRAARADWCGALALGRCGSADVSRCGAVRVDRCRDASVSGCGTVAVRRGRVSVVEAQKPMSPPPMYQQAEPVLATPVEIMSK >Dexi8B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:8B:12775628:12779526:1 gene:Dexi8B01G0008670 transcript:Dexi8B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEQSSQSELLQQLRKQLEYPRQLDAWGTPSSDPCYIHPTAVLAVTCEGNDITELKIIGDRITKPPKFSGYPVPNVTLSEAFVLDSFVTTLARLSTLRVVILVSLGLWGPLPDKIHRLSSLEVLDLSSNFLYGSIPPKLSVMSKLHTMTLDGNYFNESVPDWLDSFSNLTVLRLQSNRLKGCIPASIGNAAMLTELALAGNSISGDVPNLGNLKNLEMLDLRDNELDGKLPEMPTSLVVEAKRYLQNSAAEILCLQAVSISSQEEREEVLDPVVIGTSSHDSLSIVVSIMIKCLSVKSSTRPSIEEVLWNLQYAAQVQVTSDGDQRSEVSSQAC >Dexi5A01G0037100.1:cds pep primary_assembly:Fonio_CM05836:5A:38434167:38435715:-1 gene:Dexi5A01G0037100 transcript:Dexi5A01G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYCNNGGEVAVVGQKRKRATSPAFGQSAVAAGECAAGGRRKRLAGGPDYLDVLPDDLVLSILSKLAASASAPSDLLSVHLTCKRLNELGGHDMVFAKASPASLAVRATSWSEPAQRFLKRCADAGNLEACYILGMIRFYCLGSRSSGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELSLALAAAAASRHAFASLPLSAAGVAGGCCPLLSEFGWSLPEAEPHAANQFMVDWWASRGTQAAAAKKTGAGTGDTDGDAAELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAAVNAGDAEAPPQ >Dexi4A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:4A:6430320:6431450:-1 gene:Dexi4A01G0008600 transcript:Dexi4A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQRHLLPLLRAASLFPSPAYHRACLLSNSTLASATPFSLEDYLVAACGLAPAQARKTAKKALDESSCSRGRLHSASNPDAIIALLSGVGLSRDDIAAVVVADPLLLRSSPKNIGPRLQALRDRHGLSAPQIVRFLLVGSRVLRNCDIGRILEFFIPLLSSFEQVLLLMKSNSRFLRSDLEGVKHNIALLRQCGLSARVIAHLCSRHLWILNFEPGRLNEIVLRAEELGVPRSSPMFSQAVFVAASNSKETVAAKLEFLKRTLGVCESEVSTAVSKMPTILGLSEECLLPKIQFLIKEVGFEPQNIVDRPILLGFSLEKRLMPRHRVMKALQAKGLLSSNMSFCSLLSYGEQDFKLRYIDCHKDSVPGLRMLMP >Dexi5A01G0026250.1:cds pep primary_assembly:Fonio_CM05836:5A:29933165:29933767:1 gene:Dexi5A01G0026250 transcript:Dexi5A01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIQGYYEDGTAVVSFDDDYIDTTLTDSGDVVEWWVAETRRMHRHGDVAGLDVEWRPARVPGPVAVLQICVDHRCLVFLHADYVPLALSQLLADGRFTFVGVGIRDDVAKLWAGYGLRVASAVDLRRLAADAMGRPELLRAGLQTLAWEVMGAQMEKPYHVRASAWDAPALSDDQLKYACADAFASFEVGRRLYDGEY >Dexi4A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:4A:34348:35897:-1 gene:Dexi4A01G0000040 transcript:Dexi4A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEETKRSLTVAPFECAWGEDLRFGESGRGCVAFEASAQNDVTLVFRQQPGSQHYRYKMDSSRHYTVILGSHRNKRLRIEVDGSTVVDVAAVGLCCSSSFQSYWISIYDGLISIGRGRHPSSSLLFQWLDPDPNPNIQYVGLSSWDKHVGYRNISILPSAPQNSVFWSQIEYASVDSEQRLCCGKQGFRDDSDQRLPADFLESWDFSDAVFVVGSERKVVPAHKVVLCASGDFPFETTDGATIELPSVSYPVLHSLLEYIYTGSTQIAEWLLSSLLELSSRFKVKQLVNCCNEITDCLEVDKLYESGKILKLSSSRFQDHKFDSFPLKAPVNVQKIGQFLAKGKHSDINIYVDGHGLVAKGHKLILSLWSVPLAKMFTNGMKESSASNIFFKDVPPEAFLLLLQFMYYGALKVDTWDTSVLVQLLLLSDQFAITALQFECCKRIMECLSEVPIVSFI >Dexi1B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:1B:6128038:6128337:1 gene:Dexi1B01G0007370 transcript:Dexi1B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQASRRGHRVRHVRLGSLLRLRVRLFGLAGLLVRCLEELNCCPKRRSPATVRAQRMLGGAGRPGPAERENSFQAEAIADCLEFIKRSYIADDHKTAC >Dexi2A01G0033780.1:cds pep primary_assembly:Fonio_CM05836:2A:43945286:43949186:-1 gene:Dexi2A01G0033780 transcript:Dexi2A01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHAGFSSDEAVAAVVAPPPTGLHFSPFPPPTKAAARDCKKLAAVHVDITAPMAGSWFESMKDSSPRHAADAEHGDWMEKHPSALKWFEAALGAAKGKQIVMFLDYDGTLSPIVEDPDRAVMSEEMRDAVRRLAEHFPTAIVSGRCRDKVFNFVKLTELYYAGSHGMDIEGPAKQQSKHVKASAEEEEAVVQYQAGSEFLPIIEEVRNVYHTLTAKMESIPGAMVENNKYCLSVHFRCVQEEEWNAVEEEVRSVLKEYPGLKLTHGRKIRPSIKWDKGKALEFLLKSLGYAGRSDVFPIYIGDDRTDEDAFKVLRSIGQGIGILVTKFPKETAASYSLRDPAEVKEFLCKMAKANQGDGAAEKMLT >Dexi5B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:5B:1075579:1076125:-1 gene:Dexi5B01G0001690 transcript:Dexi5B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRARSSTTLASAALFVVSILLAFAAHDGSSAAALQCAQVEQLMAPCMPYLTGAPGLTPYGICCNSLGVLNQLAATRADRVAACSCVKAAAAGFPSVDFARAAGLPASCGLSISFTISPNMDCNQVTEQP >Dexi4A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:4A:8288480:8291273:-1 gene:Dexi4A01G0010420 transcript:Dexi4A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding STADVYKGELTPLQRHVAFFDRDKDGVIYPSETYQGFRAIGAGVPLSAFSALFINGLLGPKTIPENEKAPAFKLPIYVKNIQKGKHGSDSGVYDANGRFVPEKFEEIFKKHAQTRPDALTGKELQELLKANREPKDFKGWLGGFTEWKVLYSLCKDEKGFLHKDTVRAVYDGSLFERLEEEQKSKESTKNI >Dexi2B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:2B:19928348:19935288:1 gene:Dexi2B01G0012850 transcript:Dexi2B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDEAAGVAADTKKKPPAAKVKAAGKGKAAGPKASASAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERVDEALYDDFESEKAREKRLAKEARFQETQAKNAALGKKVKEAPAVRGKGRGEAAFFKVTCKALIWSKMSTGLPIEIKSSMKGQNYVSFCRLDIDIHKNVPHVHLHEKRENKTHWHGAEIQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDAADKNLTIKFARRTDVMPPVPLQTKHHPSAVDLLLIKRLITETTKQNLLQFLQHEFVNISKSHAERLIGEMGPDFSPKMIVKSLTSQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDMVATHASSPQVFEGHPFIVEAGISIGGKDVKQGLNIFRFANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEISSAVKSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLVEIADESPPKRPRYDKEDEELLEKITSEEVTEMTFKDCLTQHVEQVDYEMALDYAMQSGVSEEPREAIYLNSLEGSYKFVDFQSPVFVFRFVP >Dexi8A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:8A:5293137:5296639:1 gene:Dexi8A01G0005620 transcript:Dexi8A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRAPAAAVLLLAVLLLPLAAVPASRTATLPVAASTAAFQLFGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCSKVPHPLYRPVQNKLVPCADSLCTALHSGLGRNNKCSSPKQCDYRIKYTDSASSLGVLIADNFSLPLSKSSNIRPSLTFGCGYDQQVGKNGAKPAPTDGLLGLGRGSVSLLSQLKQKGITKNVLGHCLSTNGGGFLFFGDGIVPTSGVTWVPMARSTSGNYYSPGSGTLYFDRRSLGLKPMEVVFDSGSTYTYFTAEAYHAVVSALKGGLSRSFKQVSDPELLLCWKGQKAFKSVFDVKKDFKSLSLSFATGKNAVMEIPPENYLVVTKSGNVCLGILDAAAAKLSFSIIGDITMQDQMVIYDNEKAQLGWMRGPCSRSAKSAMSSSP >DexiUA01G0010880.1:cds pep primary_assembly:Fonio_CM05836:UA:21600678:21603791:1 gene:DexiUA01G0010880 transcript:DexiUA01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQASTLPKTTEPSYTPRRRGDQKTPFVFPPSAALAAFLNKPSSLLCAAFLIEAAGLRRINNHSCTEYGRISRTTPIQFLRELEKRLRPKKTQANPRGLFDQLLCPTTGAATTFTNCIPTHPVYAHRSFQENMRAGGLCLCNLARNTLSSGEPAIAPGLGLPPSLEEPYEAEAPRGSALQREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >Dexi4B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:4B:8831116:8832393:1 gene:Dexi4B01G0011420 transcript:Dexi4B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDPAAAAAVRTHAPTARPPGGLKRKRIAVSTTEQYDEISRLGEGAFGAVVKARHRVSGEVVAIKRPNGAHAAAALLREARFLEEASSSYGGVGGGNPFVAGFRGVVRPPASLDDLRLVMECVGSSLHDLLRRRLGPRYPPLPEPMVRAAMWQLLTAAKKMHGDARIVHRDIKPQNILVVGDDDTVVLKLCDFGLAMSTDEPPPYEPAGTMSGAKPEHMATGVVPIRQRDPNGKLQLRPWTMWYMAPEMLLEKEDYNAQVDMWSLGCVMAELIDNGRPLFQGFYDQGQLCCIFDVLGAPDDSTWPWFSSTTFADVVMPELDVQRENRLRELFPESKLSKEGFEVLSGLLTCNPEKRLTAADALKHPWFANVSALELPKKQKVALPLHKKQSLHTVCVV >Dexi6B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:6B:22038336:22039342:1 gene:Dexi6B01G0014550 transcript:Dexi6B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPPALPRLLAAISAAASSPADLRRLSHLLLAPSAPLPPIRCLNTLLMALARHRMLPDMESLAARMPARNLRTYTTLINAYCLAGDLPAAKRHLSSLLRAGFAPDSHAYTSFVLGYCRAGLLAHACRVFVLMPLRGCARTAFTYAALLQGLCGAGMLNEAMVVFAGMQPDGCAPDHHVYSTMVHGLCGAGRTGEADTLLTEAMGEGFVPNVVVYNALIDGYCSTGDLELAVGVFEGMQSKGCLPDVRTYTELICGFCKSGKVERAMMLYSQMVEAGLAPNVVTYTALIQGQCNGGHLDFAFRLLHSMEASGLN >Dexi7B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:7B:13603452:13608389:-1 gene:Dexi7B01G0005990 transcript:Dexi7B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVQSAIVPAVYRSSSGRFCVRARTRTNATMVRNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVTSQIARPRGSASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRKDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVAEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDINLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPLEPALSI >Dexi9B01G0028150.1:cds pep primary_assembly:Fonio_CM05836:9B:30770774:30772240:1 gene:Dexi9B01G0028150 transcript:Dexi9B01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAVAVELAAPLVRTGTGTAAAASSSPTQRAPFPRRRAAGAIRASPASGRSEGAGELPAPLLPNARRRGRDPLWNGGGFSLGVDLGDARTGLAVGRGITLPRPLTVLKLRGQKLELTLLDMARQQEADELIIGLPVSADGRETPQSNKVRSLVGRLAVQAAERGLRVYLQHEHGTSVDALDYMISRGVKKSARDVKSDAYAAVMILERYFTSSGQGAKIVLPRQPELQDKLIVQSRRAAEI >DexiUA01G0007590.1:cds pep primary_assembly:Fonio_CM05836:UA:14184653:14187281:-1 gene:DexiUA01G0007590 transcript:DexiUA01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRLAAEYLVGQGVLPPAALQRGVGPSGAWVGHPLPPPSQQLQEPLGFYGRRRYEDEYSNNPGARPRRANGASSSTSSRDDYSSGSYNGRGKRKYGEYRRGYDSGRDREKERGRSSSNGRRYDEDEDEDGAPGFRRERRGSRGSDETKSSVTEAVREETPLSAKAIVGLDMEDTRSKNAISVDDIRKDTDAVPEELPEEGEMADDNEGLNSESEAVKREIDTDDRNAPPVVLELEHMQLPPDGKIQDEVPDVEAEDDEKVSDESALDHNNSDGEVTNVENDMHGGQKNLIYYCNFARAPTRPRSVRGHRNAAPVPGETAVAETVELVSSGQASEMVIGASANESSLTNIESENKEDQMCQENTNSGAPYAESIERKLLQENGTSIVTDVKVDAQPHVVQEYNEESLLPDDHEESLIQETSLSPITASHKDGLAHEDGLNQETDLSPLAANHRDSLIAETALPPLTASHKDSLTQEIDLSRTISSHEDNLQLQFKDGTQICDIDMLPQDVNLIELSDLRKTVGRDTDAEAGIKMEGKLDQSSSLNLSDLDLVGGIEVSSIHDNPALVQPCAARSPAEPCNKQQDLQTFTGANTSATDDLCQLPLENKDVQVIDIECGTPVEIGGFDSSKSNMDSMMDPGIHTDVLPGIQDGYSLALSDFLGADIPCYPSMQSDLHAGIGVNGSEGITVMDDPIYGSLTDIVVF >Dexi9A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:9A:8347448:8348265:1 gene:Dexi9A01G0013010 transcript:Dexi9A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAPRLLADILARLAPRGLAVSRSVCKEWRATVDARCHQLLPISLGGIFIWTNASEIPDFFVRPSMAQKIAFGLDYYQTMGCYPDIGDCCNGLLLLEHHHVVNPATRQWAQLPPCPPLPEEAGGISRAYLLFDPTLSPHYKVLSMNGPCRKDRLSPEGLKWPPSVYMLHIYSSTTGRWEERPFARKGPTNSLVR >Dexi1B01G0024760.1:cds pep primary_assembly:Fonio_CM05836:1B:29971944:29972783:1 gene:Dexi1B01G0024760 transcript:Dexi1B01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALKKNSQGGYCVQPACVEPSCIIQPSCAAPTTCFGPRFFSSKPKRDRKPKPEVATQINPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEVERPSKQPPSPSGTTDGSSGAPQKGSDGYLEFDFF >Dexi6A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:6A:1117448:1120779:-1 gene:Dexi6A01G0001290 transcript:Dexi6A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDEHGDGKPRRRPSSNSLKRLVSYSSSKRHADLEEEEEEGAVVAATSSSAGRRAVNDASTARLIRKPPAPVVEAVAALPEEASTLAIGVVDAERAVAAAAGNWKRPPADVQVNGAAEQETRSGGVRTDGEAKPRIRDVPNGLQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVVKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFTEPQVKCYMQQLLSGLDHCHNHGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGSTNYGAAVDLWSAGCILAELLSGKPIMPGRTEVLFVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPTSALALLDRLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAEAGRRKQLPAPDGNSGPQVLGIHSCNIEVFE >Dexi6B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:6B:13069288:13072291:1 gene:Dexi6B01G0009090 transcript:Dexi6B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPLLVAAAVVLLCTAEWHLAQAYKKASEMIEQLNALRFRIQFPSYIVYLGAHAYGRDASAKEHARATESHHDLLGSVLGSKEMAQDSIFYSYTNNINGFAAHVEEEVANQIAKHPDVVTVLESKMLKLHTTRSWDFMDLERDGQILPDSIWKHAKFGQDVIIANLDSGVWPESNSFTDDGMGEVPQRWKGSCQDTVKYSVPCNKKLIGATFFNKDMLLNNPAVVDANWTRDTEGHGTHTLSTAGGSFVPRASLFGYANGTAEGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPLADVQSLFHEPVTLGSLHATTQGISVVCSAGNSGPYDDTVVNAAPWVTTVAASTVDRDFPNVLTLGNSVHMKGVSLESTTLHSSQLYPMVDARHAGIADTTPYAAADCGMGTLDPAKVKGKIVVCVRGGDIPRVTKGMAVLNAGGVGMILANDRMDGDDIVADPHVLPATMITYTEAVALHNYLTSTDNPVANISPSKTEVGVKNSPSVAGFSSRGPSGTLPSVLKPDIAAPGVDILAAFTEYVSPTELASDKRRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGTEATAFAYGAGNVHPNRAVDPGLVYDAAPEDYYTFLCSMGFSTVDMKRLSAGKFACPAKAPPMEDLNYPSIVVPSLRGTQTVTRRLRNVGRPAKYLASWRAPIGITMEVKPTVLEFSKVGEEKEFNVTVTSQKDKVGMGYVFGRLVWTDGIHYVRSPVVVNALA >Dexi7A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:7A:3192966:3197515:1 gene:Dexi7A01G0001240 transcript:Dexi7A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNQETFVHIDARTAADMRRRGMDPHQMEQATRILLYNLYTSLPAPPVSAAACLSALPSASSNGEDRISSLPFALLREIVSRLPVKDAVRTAVLSRRWHLVWLCSPLVFTDAHLIPGFIERRGRTTRVDTLGLVAAVKRVLAAHPGPFRSVHLVCGYMDAHQRQLARWVQTLADKGITDLVLVNRPWPLDNRDLDFLLAGSPVLEVLGIQGANTGCASAFPAGKSGACRYASSIAVVDTPSLERLLIRESMTREGSFIRIKIGNAPNLRLLGYLNPGIHMLEIRNTVITAGIRASPSTMAPSVKILGLHSPQ >Dexi9A01G0048380.1:cds pep primary_assembly:Fonio_CM05836:9A:51156684:51158065:-1 gene:Dexi9A01G0048380 transcript:Dexi9A01G0048380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPVFNNVPPRAYHGMNATVGLPSAMTPSPIFASAPKEKGFSGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFGRTMKDEGFISLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGIRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLVGTLEDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >Dexi1A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:1A:4410051:4412680:1 gene:Dexi1A01G0005920 transcript:Dexi1A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRLLLLKGPMSNISDQKKRTLEALQQQYTAAKAKKLRNEQLKSHKKSNFDAPKPKFETPRKGKAPELTPCQTSAQSSSHKGVAFSGSGRQQKPSASSGEEINPVYAELSFPLHDNLFQNSISDFDSTEVVHSVIYDIIQKGGDSGKVTKGGKKLKLEKGILLDNYVQRGPRLVDAQARSLLTHSKRSKQHMSLKQHKKCGSFGLDSQFCKKKQLSENLLSADLHGALLIVAECKAASYQGVSGIMIRDTAETFGIISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSHKEKLKEDQRQQRAQSQIR >Dexi8A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:8A:2899068:2899565:-1 gene:Dexi8A01G0003800 transcript:Dexi8A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDLLTRDMVDLIGNHLDIFRKNQALIGADVMRTLSSEERDERLKQHLILSQELHPALLSSDHEYKPVMNFASPM >Dexi3A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:3A:7760970:7762073:1 gene:Dexi3A01G0010880 transcript:Dexi3A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHATAARHPVATPQEAGAEEEPGRASVDLGDCRFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTALGAEQARAAGRGILDVVASGGGNWKVYFYVSPYARTRATLREIGRAFPRERVIGAREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLGQDPSCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFENCEFRVMQLGPGGEYSLLVHHTKEELEQWGMSPEMIADQQWRASANRRSWAEECSSFIDSFFEDPKDSESSSEEEEEEVNGRINDLE >Dexi9A01G0022020.1:cds pep primary_assembly:Fonio_CM05836:9A:16971161:16972710:-1 gene:Dexi9A01G0022020 transcript:Dexi9A01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKIELGLIEKASSRAKSLKQRKEGLQKKSYELEVLTGVDVAVVCANPGGGAPKLEYGSAAVIDRYFRLPADKRAKHTHLNYLNVELGKEKARLAKERQEGPKALASPRKQEMSGVDLEELLASIDAALLATTQRRKALGMPDVVDGGQPPVDAVVPLGEGVQLVGDDGGFDDDLEAWVDELTWHDVEPHPLNASMMQPAAPADDGAQFYINGVEPRPFNSSMTQPASGVQYISGASLGMGGNPTPPLQQMGGNGGENDHGQLAWGAYPLHNNTVSFPDHSFQYTGSNNSYRDMDGSDHSFQYTGNNNSYADMDGFQYTGSNYYSYADMDGGCPQMTMPSNANAYDGFCNPVYMPPEHSSMGTDGDCFSGVSAIDLDGSSFMDASGHEYETHARTPASILESSHCFTSVMSPKAYAIMSTKQAVAALGDLNPLFRVTAALGHYSFTQSSPSQMREIRLQEFRNFGRWKKQSGSTKQFQ >Dexi9B01G0038370.1:cds pep primary_assembly:Fonio_CM05836:9B:39455617:39457492:-1 gene:Dexi9B01G0038370 transcript:Dexi9B01G0038370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSKASEDRPAATMDSPRAATRRKKMTKQLTGKRDDTAMHAAARAGQLDSMREMLSGKGADELAALLSKQNQAGETPLFVAAEYGYVALVAEMVTYHDVATASIKARSGYDALHIAAKQGDVDVVRELLQALPQLSMTVDASNTTALNTAATQGHMDVVRLLLQVDGSLALIARSNGKTALHSAARNGHVEVVRALLEAEPTIALRTDKKGQTALHMAAKGTRLDLVDTLLSAEPALLNQTDNKDNTALHIAARKARHEIINRLLAMPDTNLNAINRSKETPLDTAEKMGNNEVAELLAERGVQSARAINPGGGGNNHKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRIDKLHEEGLNNAINSTTVVAVLIATVAFAGIFTVPGEYVQDPSSLAPGQELGEANISHETAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVSFLALSFVVVGRSERWLAVSVTVMGGTILVTTIGTMLYWVIAHRVEAKRMRSIKRLSISRSRSFSCSGMSEGEWIDEEFKRMYAI >Dexi7B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:7B:21165203:21168741:1 gene:Dexi7B01G0015080 transcript:Dexi7B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKARRGGAAAARKAPATRGRVGRAQASAEEAPLVEELKEAPAEEAPKVVEESSPPPPHQPVLEEKGSDGTANGASHAEEEGAAKDAYEEEDKGERLEFEDEPEYEEEAAVDYDEKGLEQYEEQYEDGDEEVEYTEDVVEVDEELDEGGDDGEGEGYENADEEHHVDVDDEEHHEMVKEHRKRKEFEVFVGGLDKDATENDLRKVFGEVGDITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYGVENFDDLLLVEDTNNPGMNRGYALLEFSTRPEAMDAFRILQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKRYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGISNSEFGEGDHKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGASRGGRLPYARPPPPRRPPPRLVRPAVSRLPPIRSHPLKRPIDIRDRRPAMSVPDRARRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSRVVLDYSPRVPVDRRPSFRDDYSPRGSGYSDLGPRSAPRLSDRRAYPDDGYGGKFDRPLPTYRESRVRDYDTIAGSKRPYAVMDDAPRYQDIGVRQSKARLDYDDGGSSARYADTYSERPGRSHAGYSGSRSLSGHDSGYGSSRHGMSYGGSASGGDAGGMYSSSYSGSYASRGSDVGGSSYSSLYSGRNLGSSSGGYYGGSSSSSYY >DexiUA01G0002180.1:cds pep primary_assembly:Fonio_CM05836:UA:4989028:5001250:-1 gene:DexiUA01G0002180 transcript:DexiUA01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSGSGHEKNSSSMVAASGGSPPEQRRVAAARRRRRRRKRLTARRVMLLPTNLNKKSFGYKGSILSPYGEQWKKMRRVMTSEILSPALERRLHTQRAEEADHLVRFVYNQCNDTKANNGVDIRHVARHFCGDMIRRLVFSKRYFVEPPLVSAGAGPGPNEVVHVDALFTLVNCVYSFCISDYFPVLRGGLDLDGHEKVVHGVMETLNRLHDPIIEERIHEWSILRKHGEKREIQDFLDVLVSLEDSEGQALLSFEEIKAQAAEIMFAIVDNPSNAVEWALAEMMNKPEVMEKAMKELNAVVGKERLVQESDIPRLNYLKSCIREAFRLHPYHAFNVPHVAMKDTTLSGYMIPKDSHVIISRLGLGRNPNTWAAPLEFQPERHLSGSSDVLLTEPDLRFISFSTGRRGCPGVSLGSSVTMMLFARLLQGFAWTKLPGVRAIELKESTTSLALSEPLTLQAEPRFGYKGSILSPYGEQWKKMRRVMTSEILSPALERRLHTQRAEEADHLVRFVYNQCNDTKANNGVDIRHVARHFCGDMIRRLVFSKRYFVEPPLVSAGAGPGPNEVVHVDALFTLVNCVYSFCISDYFPVLRGGLDLDGHEKVVHGVMETLNRLHDPIIEERIHEWSILRKHGEKREIQDFLDVLVSLEDSEGQALLSFEEIKAQAAEIMFAIVDNPSNAVEWALAEMMNKPEVMEKAMKELNAVVGKERLVQESDIPRLNYLKSCIREAFRLHPYHAFNVPHVAMKDTTLSGYMIPKDSHVIISRLGLGRNPNTWAAPLEFQPERHLSGSSDVLLTEPDLRFISFSTGRRGCPGVSLGSSVTMMLFARLLQGFAWTKLPGVRAIELKESTTSLALSEPLTLQAEPRLPVHLYESISS >DexiUA01G0027490.1:cds pep primary_assembly:Fonio_CM05836:UA:58753804:58758308:-1 gene:DexiUA01G0027490 transcript:DexiUA01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGPSCFNLAPASSSSSSIAARCCHHPLAPPSTVRAAAASPSRVARQDEVSRGLGFCWCPPPHVLHQLAKHIAPQGHRKLSTAQPDLADLVRVVDINLPRVECLLEDDEATIELRPRRGVRHPRPVSGLGRHGPYIVPGLGCFLGPSPVRDGTACWPRHDGPSGPTKKPKYTPMVIHGHTVASLLPLLHRRRSCRLRLLRCRYSFLLPCTTPRSFLPPASVAVLLPPPLLATAAEGLPPPLLATARQAPPLLATAAECLPPPRLDAAAGSPPAAVLARHVGPPSCRVLAWHGQKAGVPCVGGVHGTKVPSCRPVLCHAVSGWAAHMAIYIGWGRCLGVVCRAAGIQPDDEITEP >Dexi2A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:2A:4275654:4288122:-1 gene:Dexi2A01G0004720 transcript:Dexi2A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHADKRVNRDRVGFTASQPCEAGRDKYTGIKAMPTKGGPYSSRTLSLLFLPRRLHPLPSTVTAPQLQVVAPPLREPWSPLRRLAPSTDCSSTHWSDFVHCRSSGDLESGPDELESGSGELKSGPSGLDSRRGSSSAQLLEPTAPPLQLLDALVELRTLVRGPGTLPELDAVHPSEGIGTGMGKKGKRRERMVDEIRELLKPKSWERSRIEVDECKAEVPERGKVEERRIQPRAHQVQPTEVKRNDSAGGHVAGDALPVAAITNQCQIAMMLLAGGGKKLDLGGKNRHCHGVNLVNRDLTSDLLDSLEPLLWGDNFGLVDIALVPFTCWFLAYEKLAKIIVWAKLYKECESVTKVLPDSYKVFEFIQFLHSKFGEERRLNVFAEVDAITTT >Dexi9B01G0022770.1:cds pep primary_assembly:Fonio_CM05836:9B:17628842:17629057:-1 gene:Dexi9B01G0022770 transcript:Dexi9B01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSVFGEEDEEDLGNMRKGIWGRGGRSSCRERIQASHAHNGSSPPSPSRSSYNPLRAVAAAWERALVS >Dexi2B01G0031570.1:cds pep primary_assembly:Fonio_CM05836:2B:39664457:39665747:1 gene:Dexi2B01G0031570 transcript:Dexi2B01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAYLPTSACKTNRVKTTAQANDCTVTTKWFGFYRISKSSNKISDSVELNLPPYSYVSQAVWVRIPHSVKLSVEERKLEFRGGSHAASHALLNIVPLHMMCSASDLGTECANPHETRGIPDRILLYDRHPGGIGIASQAQMLFGELLRGALELVSTCSCTSAAGCPNCIQSLTCSEYNEVLDKEAAIIILKGVIDYERSYFEAGDACQQS >Dexi4A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:4A:24756870:24757157:-1 gene:Dexi4A01G0021050 transcript:Dexi4A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQPQQQRIAVEVKFGAKAVAMEVGAMDVVRDLRKEVERLRLPVHDGGGGGGGGYFFVYKQNIMDEDRTMRWHDVKNGDTIEIFNGTVTGGGA >Dexi7A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:7A:20744494:20751798:-1 gene:Dexi7A01G0009840 transcript:Dexi7A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEKAAACCRAAPARVAAGGAPAVPVRAIAASPPGKVVAMATGGGERVAASAAGAGGVVIEEIVAGQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDSMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRSKGSAVIPSRLPPRRARLVVYNKQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEAAVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYGEADGPSRRIGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNTVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDGHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFISTIANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKRESAGTHNVHNNAFFAEEKLLKSELQAMRDCDPSSARHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEMFPGGEFPNQNPRIHEGLPTWVKKDRPLEETDIVLCSSDADVKEPESPKAIQNGGLLSKL >Dexi1A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:1A:27471890:27473332:1 gene:Dexi1A01G0020630 transcript:Dexi1A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVAVAIATAVLLLLLARVAHAEIKTTPIVSDPRSIILFEQFGFASGGKATISITRAAWQLRTPGPSRLAGVDPNLMGFVLISGAQFPKVNNQTEYAAAGASPEGSDFCVLTSDYALPVLRLNDVAPGGATTTVTIDDPDEYAVVFSNCQDGIEVTMDVRTEFYNFVRRRGEDEGVRDYLPVGLRPLPGIYAAVSAVYLAFLVAWAWACARQRATAERIHAVMAALLLFKALKTACAAEDAWFVQRTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKSVLMVVIPLQVIENLVLVVIGETGPTGKDWIVWNQVFLLVDVMCCCAVFFPIIWSIRGLREASKTDGKAARNLQKLTLFKRFYLVVVGYLYFTRIIVSAFLAVLNYKYQWGVNVAVEGASFAFYVFVFYNFKPVEKNPYLYIGDDEEEAAGGELEMDDGAF >DexiUA01G0024600.1:cds pep primary_assembly:Fonio_CM05836:UA:51143168:51144643:1 gene:DexiUA01G0024600 transcript:DexiUA01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSGQQGADPLPPPHMGEDRRRRSGEDLISGLRDELLHGILVRLRCARSAERTSVLSRRWRHVWSHMPELLLDKSPDTVDGALAGYLAPALGRLAISLRADPNDRVLAERAAPWLRFAAERVAGELRICYVLPRPLDLVLPMEKADFELPVCGGVQRITLSLGVAWQLRLRPGGLFSALSELNIHRAHIEAITLCPRLSDLNLNVTLIAASNVTIRSDSLRSLRFTVLNTQQLELFVPRLEKLALSPSIGAHISAPKLAQLVFLFANVYDPRNHHFLDVGRALPPPLLSFAELELQTPAD >Dexi3B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:3B:13654026:13657254:-1 gene:Dexi3B01G0018400 transcript:Dexi3B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNEKIIRGLLKLPENRRCINCNSLRAKEIYFKHWDFQGPVIDSSDVYRLRTFIKNVYVERRYADQRIDEHLPQAKKSTSSRTDDPSPAALKDAKLYMSQNLIDFDSDLEAPQGVAQTDTQDSVPPTDVGWATFDVARPKKTITMPSIFNTVAVEGPKLHIPDLASAPQIRFPNAPLSFPPAKYGSQQHQHFLYAVNTIQYNNTLLNRATSAPVYNQLQRAASLAPTTHGGTVLPANQGSNILIGTHDPAIVSSSHQPAAEATSNGRKPLPEDMFTTSYHPVSSAWNWQTNPHLNMQYGQYRTHDPVGIVN >Dexi8B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:8B:15248941:15249486:-1 gene:Dexi8B01G0009020 transcript:Dexi8B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHERGPVPRALLPAGHAHPEDPAGRGLLDAALRVLVPLVAAVDDGVAGLEVGDEGLDGGVDGAARLDEEHDGAGAREGEHEPARVAVAQHRERALVARADERGVHLGGGAVVDGDREALLGDVEREVLAHGGEAGEPDARGRGVGRGGRGGRRRRGGHGCKGYGPVGSAAVAAAAR >Dexi9A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:9A:16563608:16578599:1 gene:Dexi9A01G0021730 transcript:Dexi9A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNLNNLHDFNFQYGKPTLWVYGGHPLVPSSRIVFDEIQAIMAFSVAVWQKKNLLDIPLDDKKQLTDAMLSANQDLRRLAMEGLSMAIVATKTDEDVSTIVDRLDDVHQRLRGKVDFERSKLLSQTSVPEVKSCCSVSSDILCNINGFSGWLASLPLLNLKSINLDGLLLERILKYAQKDPSEARKIIMNSEYLLNYAKDYSLQSSSRSPLEYVQHQIISWINDAWATVDNVHVEVANSILQMWYNYHSSLWTYCSGSPKSLSVAHDEICDLAHLTKMDAINTIMQRDLRVVDYQKNCFMLRISSGNLWEGVSCGGNFVASLHSVADSLFKQIIFAHKEHFEEEDFNKLEAVLLQQPKRYIEKEGLGTICALLSSSSHAILASLAGSDELIESLLMDLYPSYSRDSLFHTGAAWMHIGMLRFRLLLSSYSPDPAFESAYIHSNIIEKISLVKLEGKVRHDCEELAGSSSPEDNHDKLLQDLETEEKGIRAKVVFRPQQSKHKSFIAACFEFENRLSDCKDLLANLNCEGAGQLEVDRVCNWQITSMNFIKRLTEEYGEYTDLVQPIQVAVYEMKLGLAIALSGYLQKGYLKKIKEDGIEKVLGTIVAVMEFPSGSITERFVTDMTDVTEYAVDDKLKTQNSGSRDVDVLKKLAIVSSQLNVGKVGDKVKSHSEMLVSIHHMVLVRTAYHVSCSLIMDSSSYLSLKETFDHFTSMWIDMKSHLKAKENDDSHYYKFKSRTIDIEEIFKDVPLLLDMDIEGNIVPDNEEKLEQEFSKITERINEDNGVIEHSWDAIPESVLKCIVIIHNQLFGSPDLFEKPSKCPISDAQKIQSFIDSYDLGTRILKDLPELTCSMFDEKLMPEHLFRVCLEYRQTSAASFNCRGYNAYKDPNPSVIFKMVEPLSTLQKKVREYLEEWPDHPGLLKILDTTASMLAMPLSTPISKALLGLQLLASKAQTLQENDSKFFLKDHLPPIFLLVYSWQKLELDCWPLLVEEVQEKYDMDAIKLWFPLRALLTQTSGISTDEDLSITRSIEEFVQTSNLGEFKRRLHLLLAFHGEISDGASVGAYSSARVKKIQNILYNVFGYYMQFLSLVHKEIEAGKQPIEQQLKDRVNLYSWEQAPYSTTSIENFKRARQKIFKLLQKFNEVLRKPVIVLLSEEATARKVPCWLDPRWPESQFPLDTEKFNKRYVWYKKWASDTSLSLQGLLHANVVGVPTVKEYTDIVQNVNHRQDEIEHNDRLKCFWAALERICGAANFAHTLKHGKKNQKKAALSNLFKTLEECGLTKHRPMGHEWRDELSAPNSLFLEQSYDAAHLLQQVSSQKKLEDVSIVHCTLLTTDNWKHANCQYFKCLAMMQQLRQVSLKFNKDLGLEEVNRATSFMNHLLTILSEQRHFAYNLLDQLNQFQHAIFLLGSGGGRSLSSCQNVLLSSMWQQKKLFDNVLTLTTDTNLLLKSFKDCHDTSCSNFEEVAAMSTLLEKFVSRFSESKVVRQEEASMRSIKEVLISRLEDLLDKKRLVDCKPETLSSIEVHLNHLHTWLGVILSSVEGILSELLEAHRTTADITHALGDIFIYLFGEGFGSTEDTSEDAEDEQQQDAVETGTGMGDGDGGNNVSTEIEDESQIEDLAKDVPHKPDQAPKNDDEAVEMKEDFDAPLSDVSEDPEGKDSGNEDEDMNLDSQMGDTGDSSEVVGKKSWDKDEDDDPKTSTEKYDSASSVKGTEKNDRELRAKDDGSVEEEDQMEMDNDEQGKNNDLEEEPVTCEETDPNTEEVMDKTDAYDDRTGPELPEPDNDSEDIDMERQESDEEDAGNEDIDSEEVEHADQRSDASDDMELGDIAQHGDSAVDNEGDHIEDANTEPGDIDKLQLDEVDSLKHPSEGQPDNMQVDNNREPEANLANSSDMNGAVAPSVNFSGNEVPNMEISVPNSGNDSRLSNAKSEMQNDVPQSNIKQANPFRSIGDAMEDWKERARVSADTQDHPPETGHQSDDEDATQFRYVPEGEQSTSQALGAATADQISDDTQIKQSFMEDESRAKKLEQSEERSPDNTEVPHIQASQALTSKSENVNELEGPEIQTNTSIQDLVEVREDSSFQNLVSFKRPLADDKIDLDGLTVGTELSTQMDLDIPDAETERAIVDWKNIELATMKLSQELAEQLRLVMEPTLASRLQGDYRTGKRINMKKVVPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFSVASFGKKGNVRVLHDFDHIFNSEAGVKMISSLSFEQDNKIEDQPVSDLLTHLNTMLDTAVARARTPSGQNPLQQLILIISDGKFHEKDLRRHVRDVLNRKRMVAYVLLDSPEDSIMNLREACFKAGEDLKLEKYMDSFPFPYYVMLQNIEALPRTLADLLRQWFEIMQSANE >Dexi5B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:5B:5767845:5768300:1 gene:Dexi5B01G0008510 transcript:Dexi5B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARAQQPKVEDPQDAAVAATANPAKPQRRAKQPRQPKAVPAGKKPAAARAAATTAAAAAAANAGSAAASPGPEMAATVPDMWDLDAGARPVEWVDLDAGLDAAAWWTWGVDEEKLLGWFPFVEEDFRWAGGRAGDAEVAAFDHDIWSIW >Dexi7A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:7A:13912547:13914485:-1 gene:Dexi7A01G0003810 transcript:Dexi7A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQALVFLDEQLAGDLLAAKQFPLLITILPLLLLFLLLRIFFVTRTGSGQGKKRLPPSPPGLPLIGHVHLIGALPHVSLRRLAERHGGEDGLMMLRLGVVPTLVASSARAAQAVLRVHDQCFASRPRSVSGDVLTYGPSDVALAPYGERWRLAKKLATTHLLSAKKIRGAAATGTVVDTSEVLSKFTSDMVCRAVSGRSFRVEGRDKVFRELIDEGMALLAGFSLENFYPGLAKAAGGVLVRSARRKAERVRNRWDSMVDKLIDEHVRKNAGAPAVLHEDDGSGDQECDFIHVLLSLQEEYGLTREGIKAIVVNMFAAGTDTAYLVLEFALAELMLHREAMTRLKHEVRSSIPEGQNAIYEDNLVGMPYLKAVVKETLRLHPPSPLLLPHLSLEDCDIESFHVPAGTTVLVNVWAIGRDPEEWDAAEEFMPERFIHNGELKGVDFRGKDFQILPFGSGRRMCPGMNFAVASIELMLANLVYHFDWELPKGVDKIDMAEVFGLTVSRKEKLLLVPMTRSIVCTF >Dexi4A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:4A:22587073:22590142:-1 gene:Dexi4A01G0018630 transcript:Dexi4A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESPMESDEGATLCGHQEAAAAAAAATKGGGGKRRRKGQRRGGEGGERKKYKLVSYHELPDYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFILFFGLTLVHLGQYFPQVADLIGHLSWPITKVAENVSTNIGDVLSVSNFHFLKHFIHMGAAMFIQTNPTLASYGMALTSQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPHWQVVYLSAITAAGVATVYALMSPRLSSSRYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPARNVTLAYEGAMAASYLTGTVFYLTRVPERWRPGAFDLAGHSHQIFHALVIAGALAHYGAAIVFIRARDEMGCPA >DexiUA01G0015760.1:cds pep primary_assembly:Fonio_CM05836:UA:33534669:33537403:-1 gene:DexiUA01G0015760 transcript:DexiUA01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEMGMDAYRFSISWSRILPKGTLEGGINYQGIQYYKNLINSLKQNGIEPYVTIFHWDTPQALHDKYGGFLSRRIVKDYTDFAKVCFEHFGDKVKNWFTFNEPHIFSSFSYGTGGHAPGRCSPGGTCAIPHGDSLSEPYRVGHHLLLAHAEVANLYKSYKGTDGRIGMALDVMYFEPYDEETFLDKQARERAIDFNLGWFMEPVFRGDYPFSMRSLVGNRLPYFRDDEKEKLVHSYDMMGLNYYTSMFAEHIDLSSGFSPTVNTDDSYAKLTTEGNDGKSIGPETGLYWLKLYPKGLKELLMIMKDKYGNPPIYITENGTADLDTGNLSKEDALDDNIRLDYLQRHISTIKESIDLGAEVQGHFAWSLLDNFEWTNGYTPRFGLIYVDRDDGFK >Dexi9B01G0030990.1:cds pep primary_assembly:Fonio_CM05836:9B:33454894:33460669:-1 gene:Dexi9B01G0030990 transcript:Dexi9B01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSDSKPRPSRQRWPPSPGRAAAHGLDADPHLVFELSSSQGPEAGLILFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGSVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKKSKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDNTFVDLPWQVRLEVDGTEIDIPEDSEGVLIANIPSYMGGVDLWQNEGEDSENFDPQSIHDKMLEVVSITGTWHLGTLQVGLSRARRIAQGQSIKVQTFAPFPVQVDGEPWVQQPCSLKISHHRQAFMLRRTIEVPLGHAAAIITDVLEHAESGH >DexiUA01G0004580.1:cds pep primary_assembly:Fonio_CM05836:UA:8672258:8672619:1 gene:DexiUA01G0004580 transcript:DexiUA01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLQHAAHPALAASPHDTRPSMLAGAHRKKSFLPRPPLCTGGFRHRSAFRCSLPGASSLFLRNRAPWPDMVVLAEE >Dexi1B01G0029580.1:cds pep primary_assembly:Fonio_CM05836:1B:33831578:33832921:-1 gene:Dexi1B01G0029580 transcript:Dexi1B01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRGGGAGRRQERQALMVAFAVALLMGTAVYFRIWARQSSDPSFTADDREELRRQFEQANLEAMDESAEWRMKYDKEFEKNRQLQDELSKVKASLTGTARRLELLQKENEMWKRQTESLRQKCNCTLPLETTQD >Dexi5B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:5B:6880147:6880704:1 gene:Dexi5B01G0009820 transcript:Dexi5B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLEPEADRTAAFHAGGSPATSILVLIAVTAVAAVVVSLCTSGKNVGHRNKHRRSSSLAPAPQQQDDNNNNSCGKRNNKPQLLASLSGIGVKAAAVAKMVSWKRSPAAGGWSDGDGEEGEGGAAGVEEEDEALWKKTIILGDKCRPLEFSGHIAFDSDGNPVQPPSPPAVKEDVAPGAANQA >Dexi1B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:1B:2118657:2120858:-1 gene:Dexi1B01G0002660 transcript:Dexi1B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSIVTLVPESDCGDDGISEALGAISLDVDSTSKPWSMSLANVALSSLTGLNDLLECPVCTNSMRPPILQVFKCFGQHFCLHFEAFLLGTAPVYMAFLRFMGEESEAQGFGYSLEVGGGGRKLTWQGTPRSIRDSHRKVRDSFDGLIIHRNMAVFFSGGSKQELKLRVTGRIWREQGQ >Dexi2A01G0031840.1:cds pep primary_assembly:Fonio_CM05836:2A:42518246:42519502:-1 gene:Dexi2A01G0031840 transcript:Dexi2A01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDMMAQFFGADDHCFAYEHVDESMEALVALFLPNLDSDSNSSSSCLNYDIPPHCWPQQCHSSTVTSLLDPPQNFESFEFPVMDPLLPTDFKSPSDIPSFTEDLSPLQGNHSSSIEEVAADDAPVTKKRKSSATVKASKRTKKAGKKDSVSNEDGRNAYVDAQSSSSCTSEDGNLEGNENSSLKKTGTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAAQYVKFLQLQIKLLSSDDMWMYAPIAYNGINISNVEFNLSYPQK >Dexi3B01G0028960.1:cds pep primary_assembly:Fonio_CM05836:3B:27707918:27709696:1 gene:Dexi3B01G0028960 transcript:Dexi3B01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCANLDREHGLDTVLEVPVPESQHEPSSGRAARRRRRTVKAWVRSHMDQRHRRDGAPPSRADVQLMLGVIGAPMVPQPVEARKAMAGKDIKDEPIEVSKAKYIVEQYVAAAGGEPALSAATSMYAIGKVRMKTNKGHKAKTGMGVVNGGSEVAGGFVVWQKKPEMWCVEMVVAGGTKMSAGSDGKVAWRQTPWQQAQASRGPPRPLRRCVQGLDPKSTANLFSTATWVGEKCVDGEDCFVLRIDADTSALRARSSADVEVVRHAMWGYFSQRTGLLARLEDSHLLRIRVHGEAAETAYWETSMESSIGDYRHVDGINVAHAGRTVVSLSRFGSGVAADEEGSDAEAHGKRTCTRMEETWSIEEVDFNIMGLSNECFLPPRDMVPACNSKPVEKEHDTKKDAAAVPVKIAVGGCDTTAVEVKSKNTDVGVRPVTARKALVPATTGLGWFGPAKVVAVETVDAAE >Dexi8A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:8A:6864286:6865315:-1 gene:Dexi8A01G0006610 transcript:Dexi8A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSKQDETASMKHGFEDRISALPDELIHHLLGFLQAPEAVRTSLLSRRWRHHWKSMRSLRLTLVDGPELRAEWLNQFMGHLLRELCF >Dexi5B01G0030790.1:cds pep primary_assembly:Fonio_CM05836:5B:31636479:31639279:-1 gene:Dexi5B01G0030790 transcript:Dexi5B01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPPPGCTLLRIAAAAPISAASTAVSTPRTPLFLPSSRSASTRRHFTAAWSPKAAASASVEIQDEYADEMDAVNIAQDVTQLIGKTPMVYLNSVVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIAIASVAAARGYKLIATMPSSINVERRILLRAFGAEIVLTDPNKGLKGAFDKAEEIVLRTPNAYMFQQFNNEANSEVIGVEAAETSVISGENPGYIPSILDVQLIDEVVKVSTAEAVDSARELALKEGLLVGISSGAAAVAAINIAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKK >Dexi3A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:3A:1851875:1854424:1 gene:Dexi3A01G0002760 transcript:Dexi3A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMAVVDPLAELWKHLMSLERSDLLCLYPSKITMGGIWMGDNPIDFSLPLLLFQIILITTTTRLVALILTPLRLPRYIAEILAGFLLGPSVLGRVPHFSDLAFPIRSLFILESMSLLGLIYYTFTIGVEIELHTLLRAGFRSFWFAAASALPPFLVGATTGYVAVTSSTGGSRNNNLNTLSFPVFLGATFCSTAFSVLARNIAQLKLAGTDVGQLSISASLINDTFAWAGLTVATALAHVRFGTLPCLWTLVSGFLIVGASCLVVRPMLLRLAARVAEGEVVTELHECSVLVGVMAAALVADAGGTHAIFGAFVFGLAVPNGPVGVAIVEKVEDLVVGTLLPLFFAMSGLRTDTAKITSMGAAALLMCAALAAAVLKVVAAVVVAGVFGMPLHDGVSIGLLLNTKGIIELVILNIGKNKGIMSDQSFTVLVFMSALITALVTPLLALVVKPARRLVFYKRRTIAWAAQPDAELRVLACVHVPRDVPALLTLLDVASPSSDGSPVAVQALHLIEFAGRSSALLLINASAPSSSFDHSSAHGRSQVELQFKHISHAFMAYEENVGGVSVRGTVAAVSPYESMHDDVTSAAEDQHSALILLPFHKRRSLDGGLETFHPAIQGLNQSIQRFSPCTVGVLVDRGLGGVPGAGCRVAALFFGGRDDREVVALATRMVYNPAIDLTVLRFVLKGGGGFGGEFDALKERKADDACLREFLDRANAMSVGGGAGAGVEYRERGVFNAGEMVAQVREVEALGKDLFVVGKVPGLPALTAGMAEWSECPELGPIGDLLASKDFHTMASVLVLQSYARPSAGAISSELGLGGDALPGGGARPPRPDPHHSRRNSIGNWG >Dexi3A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:3A:14791918:14794979:-1 gene:Dexi3A01G0018960 transcript:Dexi3A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKFKHKPTGQRTFSTPEEIEAGTSAGRPRTFKKIGKTPETSRRESIGVFHCSHGSSCCREELEKQQSHERYMKLQEQGKTEKAKKDLERLTLIRQQRAEAAKKREEEKAVRNCICMLVMLLSDYELSTLRMA >Dexi2A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:2A:13891683:13898291:1 gene:Dexi2A01G0011920 transcript:Dexi2A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKLRKQPSGRLIESLKMERMRNILTHRYPYPHEHLRHFMIAVFACWAFFISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFNRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPELLTIIQNCAVISIACCVFYSHCGNRTVTRDKSIDRRTASRIAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRVNGFFIAMWNAVFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHLTSAVLLMLLMELAIEICIRNHLLATSGLRTRLEHWTFGLYPACIKYLMSAFDVPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYVCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPFQMSYTRKFPSKWRSALGQDPINAVRIVDRFVIPRTPPSPTTPGGSVK >Dexi4A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:4A:1759826:1763475:1 gene:Dexi4A01G0002540 transcript:Dexi4A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSGGGDGGDPTAEFLQGYTPEELAIAGEFLTTWLPFLSAGLCPSCVSSLRTRVDSLLPRDEESPPTPTLRLDQIEASGWDSDPAPQQHPPVEPTGWDSDPPPPPPPPPQQQLPAPAPAEKPKMSWADMAQEDELAAAAEEDAAASAADDGEEGGSEVGRPKVHLTREQREQRRFKNVVRTKDYICLERVHGRLVNILAGLELHTGVFSSAEQRRIVECVYDLQERGRRGELGEFTGSFEIPLPVGSVLVLNGNGADIAKHCVPAVPTKRISITFRKMDPAKRPFNFKDDPDLLNLAPLGSFVQEAGQSSYEGKSRTPDVQITNLSKVSRAKRSKGRTSAGKIESGILGELPPGHEQAPAVEVLSRQSLHGQRPVSASSSERERSAGGWSREPRYQSNNAHGMQPQVDDLREWPRRLAQERRHVNGMTSSEDGAESGERRPRVEHRQISLINRTINDDVDSLSVSSRESGDQSRARVRTEYNNKPRRRVILDD >Dexi9B01G0030350.1:cds pep primary_assembly:Fonio_CM05836:9B:32891204:32891586:1 gene:Dexi9B01G0030350 transcript:Dexi9B01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRAFRYVVVDAFTDAPFKGNSAAVCLLEDDAGDGGEPLDERWLQAVAAEFNTPITAFLTRSASSGAGAAAAVTAQFRIRWFTPVREVIVYVTNLPHP >Dexi3B01G0025980.1:cds pep primary_assembly:Fonio_CM05836:3B:20926586:20931797:1 gene:Dexi3B01G0025980 transcript:Dexi3B01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYHAELTALVTAAGPANPAGLARLASTAGPRGFAGSLPLDGGMRVFDPAVSAGLAAERETARQERARRPDQRQRASERDAGAWAKEMLLCTMGQAVMGRAG >Dexi1B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:1B:9484441:9487721:1 gene:Dexi1B01G0010100 transcript:Dexi1B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAMAAALPSGYARPLTTITFNPTPRTQLSSFTLHLRLCPRRAAAVTAAVAAAATLREVCAGRVPDHVLQRAEEVGYVVPTEVQEQSLPLLLSGQDCILHAQTGSGKTLAYLLCVFSAIDFSRSSVQALVVVPTRELGIQVTKVARLLAAKACTVMALLDGGTLKRQKSWVKAEPPAIIVATVPSLCQMVERRAFTLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFLHDCVQHKWTKSDVVHVHVNPVQPMPSHIYHKYVICTKKERLHVLLSLLERDAPKSAIIFVAEQSERSKKAGNPPSTAIVVEFLRNTYEGNLDVLLLEEDMNFNARAASFSEVKGRGFMLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSRLECGVTTLITEDEHFVLQRFQNELKFHCEDLSLESIVMLNAR >Dexi7B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:7B:25635169:25636275:1 gene:Dexi7B01G0020260 transcript:Dexi7B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPTSVDNSNALRRLETSSRCVTERVIGTHTFEVADFSLLDGMGIGKFVTSMTFSIGGCDWHIKVYPDGSIQEHKGAYVSVFLCLRKYTSAIRVVQFSLYLLEEKDGSVSALRDPGLLTHTFASTDDDWGLPQFVEKSKLKEFLRRLVNTTVVIPSSNDLHHDLARLLKDSGGADVTFEVDYRLFHAHRLLLAARSPVFKAELFGPLKKDTNPIKVDDMEASIFEELLHFIYTDRMSDKFHGPDRDLATQHLLVAADRYGLDRLRLMCEAKLCRGIDAQTVATTLALADQHSCVHLKDACITFLASGDELDAVMETDGFKHLAASCPFVMVEILDKIAKLKSH >Dexi3B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:3B:1388083:1390557:-1 gene:Dexi3B01G0001970 transcript:Dexi3B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHLDRGGGGGTELFICFTSRPSAASAAGAPSSLRTSSSSKLLSPGRVSAGGAGAEAVTAPPLHPSLSRRLRNSGSLKGGQSPMFPSGSTAGGGRRGRGGLEPAEPSSPKVTCIGQVRVKGGKRKPKHASSAAALRSCSRRVGDVPQAAKNQGWVYQIPVNICEALGSCGARSLCSPSRPGGVGERGGDSADAHAGKRRRQRAPAGGSWLCGAAVARCLLPIQEVDDDDDVGKGAAIVPAEDMRASEVGLVMEGWDVEEEEKAVLVGEVEVEKKDDILVLGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAATATVEQVDAEVPGGVNQDEDEEEEEDEEDEDEAEPEPEECKDKARHSAVSVKDANCGELGGSENEDGEAGKIDQAEAQAEQSSKCGDLVEEDKCGSCRVEVEEAQIVRKDAALEVSLGEDMAVESQGPDMLELVVSKEKDTPAQEKVEEEVKGRRSISSCSPSAVLKEDCNKLRRLNSRRCVASRRASSSSASDRVGRRHSFSAEMEARRSSFSSSKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKPSSEQDPEKDCAGVVAPNSAEEGPESYDDGKEEDTTKNQEEGETQNAETNREVEKVEIRAKDCEAGPLVQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGKNNCNRRPQKTLASGNDAATATGEVEDKENSEGSTVDTKESKDPSMVNLTAVRMAAPVAQETPPLDPDTTEQKTKLELPLVTNAAAYAPFVLKRCKSEPMRSSARLAPDCFWKDRHRPLNATGVGF >Dexi5B01G0027260.1:cds pep primary_assembly:Fonio_CM05836:5B:28859101:28869679:-1 gene:Dexi5B01G0027260 transcript:Dexi5B01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEEQGLNRDSPVQPLGIWRRAITSAEALAELNAKWEVIRAAEEDSDEEDEEEEDYYAFQASRYYTSKLSTLEFALGVVVYSVEASIIVQVTRGSWPSGFRAQISAHTSSIIGPEAILLDSGDNKVPVAGDGTITLSRSVASVEILGKLKISVKAWQEPEEQLRVAARCIHAMDRELHRRDQDVGMLRREKEELKKKMDQLAKEKAELMQNNLQLSKEKKILVDEVMAGSLRQHELEEEMEEQNKTFKIHLDDLTRRKRRALAARNRAFEKLQTYREVTDEEIRELIAELQGLVNLNNQKANAIRQLMSIDIAVRVTYQTRIDKVKEELEERIQELGRRSTQLRETVGYLDTMDTELIRTEEELEYHRQEARRLRDLEEWVLAEYKDREQQEVEPAPALKKPRRDDPFLRYFWGPGSEVHLGEYARACAQRAQEEQETDQ >Dexi3A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:3A:13999508:13999794:1 gene:Dexi3A01G0018310 transcript:Dexi3A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVTAPCRLAISTPRVPRGHEGCDGVEKRFTPGPATLRSLTGGPKKNALRRGDERGVTLAQQITRPGAIGPTAEIAARRA >DexiUA01G0024700.1:cds pep primary_assembly:Fonio_CM05836:UA:51244773:51245608:1 gene:DexiUA01G0024700 transcript:DexiUA01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTLFFRPGSLSLKTLRSKLSRLADLQDFKKVNEVYGKYFPAPAPARSTYQVAALPLNARIEIECIAAL >Dexi8B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:8B:27428707:27429091:-1 gene:Dexi8B01G0016290 transcript:Dexi8B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNCSCAKTIVYLTTFFVVLVIMSPWTFPYCQAGNGYGSHRRLPPTPPAAPPPPFCFPYAPPYCTNYHCDKVCQEHSFPPHIGYCNKNVNPWECCCPY >Dexi2B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:2B:5138427:5138720:1 gene:Dexi2B01G0005600 transcript:Dexi2B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGIRLFECPQLGHGAIVTTEKVKVGDYPERDPFEKEEIKIISKTKKRKYRQV >Dexi6B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:6B:25165584:25165790:-1 gene:Dexi6B01G0018100 transcript:Dexi6B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASHGGNAALRCRSSSSLAAVMAHMLSYRTTHIWVTDGEDDVLVGVFGYMEILNAVTRGVVAPPSA >DexiUA01G0007790.1:cds pep primary_assembly:Fonio_CM05836:UA:14590931:14593295:1 gene:DexiUA01G0007790 transcript:DexiUA01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTFHLAPDLPPVSRLCFGTMTMGEQTGVASSLRLLDAAFDAGVNFFDSAEMYPVPQRRETHGRSEEILGRWLRARRPPRDHVVVATKVTASRRLPSPGSLRVLRLLRMLAFYVPMFGEIEYDPSCQYTSVPMEQQLEALGRAVDTGKIRYIGLSNETPYGLMKFLQLSKDFKLRSKLLTVQNSYNLLCRSFDSGLAECCHHERISLLAYSPMAMGILSGKYHSGESCPPDTRMNLFKGRYSEGESRYKLQSPKVKAAVKEYTQIAVKYGISPATLAIAYVLRHPLVASAVFGATKLWQLYEVLQATRVHLPEEIIVEINDVHARYPNPCP >Dexi2A01G0036330.2:cds pep primary_assembly:Fonio_CM05836:2A:45878068:45878664:-1 gene:Dexi2A01G0036330 transcript:Dexi2A01G0036330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPGSIPSGSSQSKWYRREARGSSMLANPNPIPGHIRRPAPNGMNSKSVPLKSTSLFSNLSGMNSSGASQCVGSLPIAHAFTSTMVPAGTSKPRTRHVLRHSRGISSGAGGCSRSASLMTSDRSSSVTTSCPARTRRTSSCALRITFGFLISSAMIHCSVVADVSLLPPKISCSKKKELRSDARCGLICS >Dexi2A01G0036330.1:cds pep primary_assembly:Fonio_CM05836:2A:45877344:45879087:-1 gene:Dexi2A01G0036330 transcript:Dexi2A01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNLLMILYNLTMMTALMLSVVRGGSSWSSLCILSSTSSKSSSSSTVAALLSWCSWMMVPMNASISSRCFLNRRGVELSIIASRDDGKISGRVCVGNLSRSSSSLRNVSLSLNLLPMMARTDASATYADTIWLRFTGDGEASAAAAADTETRRRRTSSSRTALKDRTRRALRSSVLAPPVAVGREDDAAAVLAEQELARGAEGTRREGEVVAAHDLARRVGRGGDQGGDLAEVEKHERAEA >Dexi5A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:5A:23709365:23709669:-1 gene:Dexi5A01G0019750 transcript:Dexi5A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHSWDKILNSSVSNETLGVQCLKSRGVFPEAKWYWIGFGALLGFIMLFNCLFTLALAYLKLPSICI >Dexi9B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:9B:6027477:6029793:-1 gene:Dexi9B01G0009800 transcript:Dexi9B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSVFSASFSALRRGPTVKTRRLLAVAATRAHSSAAGASRARGGLPRFHAPSLPASKGEVVRVQGDEFWHMTRVLRLGVNDRVELFDGAGGLVEGSIQKIDKGGSDVELLEDARLIAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHNIAENRVDRLQRLVLAAVKQCTWIDICQRIHEMSLKPPIQIGSLVPVVSQSKLAFLASAEAPPLLSVLPKSSNEQSGLLIIGPEGGNKSYIHIYI >Dexi3A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:3A:17011248:17012771:1 gene:Dexi3A01G0021370 transcript:Dexi3A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNIPCPAILFVPGTRVTLPLGSSTKRSSGRSLNGSAETAPQVWTFFVPSMSGRRDIWPLRGLQTKRVMSGSMSRRTPSPSRAARSLATTGAVAGPSSNWRRRRPSPLVERTAPPRRPRLLGSESMRGKGLMWWAGTRRSEKRGGSASWRNARGDVDLTRIVLSMIAQGGRDGAVERLRRRPAEDTAAEMARRSRSGILGEARRRGTGEVGGGVGEVRVLGGLETLERVNWWWSRRKTGGDEGGACVRRSRSRSSGTSGHGLVSLGARCL >Dexi1A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:1A:2229037:2232229:-1 gene:Dexi1A01G0003060 transcript:Dexi1A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDACEEEAAERYRSWYLSAEEIERGSPSRRDGVGSAKEAELRVTYSSFIRDVCIRLQLPQITIATAILLCHRFYLRQSHAKNEWQTMATACVLLASKIEDTPCSLKSVVIVAYETMYRKNTDAAKRIHQEEVLAKQKALILVAETLLLSTIRFDFNIQHPYEPLKLALESLGISHKEVRQVAMSLINDTLPTTLVVQFKPHFIAAGSLFLAAKLHNVILPSQNGRVWWNAFDVAPRQLQAVIHQMTELFKKRFPCSMAPVIKPVPTSTPTDKHQMTPPPTLILAPMNEQKIKPTPTLTMMNKQQIKPTPTLTMMNKQQVKPTPTLTMMNKQQIKQTLTDKQKIKPSQIPAPMDKQMPTPNLMGKQKIKAIPAPTPTDKQRIISTSDTALRHTQSSRGNFNNSNAEASRHVPLGSSFDNKSTSWSARYEEKKYRRTYEENLYQETYEENLYRRRHINHTMDQRLEDRSYRGTCVNEAGTRDLKKRRIQEAVELPTPDYASETNCW >Dexi8A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:8A:184488:188302:1 gene:Dexi8A01G0000210 transcript:Dexi8A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPPAPTATTSPSDPPLPTTAAAPKPLIASSARSLLAAARRSPVTTLVVAFFFLALFMYGEDVHTIAELSIDDYLYPDADLYNVSGLPPLLLPPPTCDLSAGRWVFDNVSTPAYREKDCTFLTKQVTCLANGRPDDTWQYWKWQPNDCSLPTFEARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGSFIVFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDQRIISPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRSWSQHDEVPRIEAYGRVIKTWSDWLNHNIDPARTSVFFMTISPIHNSPNNWGNPGGIKCVKETLPYQNYSQPLDLYHDLRIFDLVVKVASSMEKVPVTVINITRMSDYRKDAHTSLYTMRQGKLLTPKQKADPEKFADCIHWCLPGVPD >Dexi8B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:8B:1205850:1206718:-1 gene:Dexi8B01G0001780 transcript:Dexi8B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQQLSKQQIEEFREAFSLFDKDGDGEGTRSWKTMGVTQLMRRASAPPVQSSETHSATFVSS >Dexi2A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:2A:33503659:33506882:-1 gene:Dexi2A01G0021410 transcript:Dexi2A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKENGQERDDHSANLDRDGKQGKEAESDYEPGRDSLSSQGEATSNEDTKAKRVSRVPKKLAKKESKENSPRSARSISSRQIHTKLQYISSNSPQNKSPKTNKVANGARTAVEVKKTQIVKVPSCSSSEVSEEADEKAIEDSPTDDKAVEGKAKDDKAVEAVEDKTKDDKSVEGEATDEVVESRVKDGKAIDGTKDDKAIQDGTIDDKAIEAGMVGDKDVEGGTKDGEATEGKKTDDNAVEGGTKDGEATEGKKTDDNAVEGRETDGKAIEEAKEIDVLDEAPKCDQSTTTDDEIADTEENIVDNGNAVSYEKNEELDSKFGKLEQELREVAALEAMTPNMQTPMGDLSTPKIDKLLGPSLGDQQHGNFSIDLWKTAFRDAFSRICPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESANEIPSDPVSDPIVDSRVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMFGMNGAESGKDGQDAEDNVDERRHAAESNCFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPVVTRILCNFTPDEFCPDPVPGMVLEELSSEGLMERFTEKDMISTFPASAAPVVYCPPSLEDVEEKVADTGCRNPELDRRGSMVQRRGYTSDDDLDDLDSPLASLYDKSAPPSPCSNGIAHFSTSQGAASMANVRYELLREVWSERR >Dexi4A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:4A:2839808:2844438:1 gene:Dexi4A01G0003970 transcript:Dexi4A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLHLCLRLSLHPPQALPPSLRRASHSCVASRPIATRAVSTRRGGRPFRAAQGEAEGAAWADGSEEELRRLLELLPGELRRRVETHPELPALVEVVMDLGRPPLARFPSGDFVLSHRPISFDDLQHAISQIGDFGADNRAGISRTLHRISAIRNRKGVIIGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKQRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKTELRVHRSLEATVDALLAGKPPSVEIRKLGPKGFVQEVSVQKEQSHIGLYEGATKFDSDSLRNARRSLDSAFNLESAEAHIEMADEADSSLNLYAYGISESTALQAIKQLELEDVVTLTYNISEADAVIALHSKLKKNSQIQALVESQDIPVFFVKTNSLSQITRALRALVDEHMDELIDHEDKEDVRSSEETDALEEARLAIEQVVIPKVESVRLLPRPPSIISSQVDLVESFSLKWEVVGQEPNSHLRILPHFTAAKEATGAGVADSGSPDDADHTQNSVTRLPFLPD >Dexi2B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:2B:6541984:6547316:-1 gene:Dexi2B01G0006620 transcript:Dexi2B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAEAVKSGRRGGGQVCQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDDTDADDASDFNYPASGNDDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKASKSKKKGSDKKKSQKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >Dexi9A01G0040920.1:cds pep primary_assembly:Fonio_CM05836:9A:44608749:44611951:1 gene:Dexi9A01G0040920 transcript:Dexi9A01G0040920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHAVHPNATPEHAAAIGVYPPLPTPHGQPANQHHHLGGTGANSWVGNDANTLLVVATLITTLTYQLGTSVPGGYWQQDTPGTTSGGRQVTYHAGDPIMRDLHRPRYYVFMGASWVGFASSMVMTLSLLVRMPVDSRHVRWSFVVAYSSLVLTFVVSQPRTHLSVDIIIWLGVLALIWIIISLHPNHRARSALNATAPSNQSALERAASTTRRGGVAANDHKRAQTDPSEVRASSGRIGAHARSSEFIPFTTRRHERRRWQCHWGGRRPQQHVPAATSAADVAAATTRARARARAPRANHHQQQRPANDNDWAGNDANTLLVVATLITTLRYQLGSSIPGGYWQDTQPAETGKPPHTAGDPVMRDLHPERYWVFMVASWMGFAGSMLMTLSLLVRMPVDSRHVRWSFAVAYASLVLTFRLSQPKTHIALDIFIWVAVTAFLWLMISVRAEHRARVVRFFCCAGDN >Dexi4A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:4A:780372:780630:1 gene:Dexi4A01G0001190 transcript:Dexi4A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARAASGDTMSSLKPHAAACSGHRDLLRKHNVLPESPPCRIVNFQWFLTKP >Dexi8A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:8A:30408297:30409304:1 gene:Dexi8A01G0018090 transcript:Dexi8A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGERREGPEMACDSVAPWHAAVVLAPGQLLLRLRGASRAVVLGAAAPRHLGEEVSLLRRRALPDEEEQVDADHRAVAQQALAPTAAEGSSEETARPDTALLLASSSAVPDFSKEALGRPLPHFFVXXXXGDTCFLESATLRFIYVPGYSEEI >Dexi6B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:6B:5368623:5369986:-1 gene:Dexi6B01G0005800 transcript:Dexi6B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTCTTTTILKPVYSTPHPLAGKKVLLTIYDLATFDTFVPTVLVYPAPSPPSNKDLKDGLLKAVAAHPHLAGRLAVDDHGRRFIHLNNEGVLVIETTISADMAVALAGDVAAATDHYLVMSYTHLFDHFSVEFKHAGDETAAAVVPTKKIKNLKVSFSGKFVTELKADVVGGGPNQPCSTFQCLLTHVWKKITSARGLDPEEFTQAGDLLSSSYGDVVTVIGDAVACIDGEYIQSFVDFGAVVDDGGRKGEGDGVAATAATVASTVLCPDMEVDSWLGFKFHETNFGTGPPVTFVAPGIVVDGLRVFVPSSDMEKGGVELFVGLMEDHVEEFHKICYSLD >Dexi5A01G0022770.1:cds pep primary_assembly:Fonio_CM05836:5A:26915582:26915911:-1 gene:Dexi5A01G0022770 transcript:Dexi5A01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVGLLHLSSMQDKEILTISSACRGLNCPCNLPSTKGTTFPVSTTCLSNRAICGISSRSPPAVVWSETLLPITISSSTMPAHSGAMYPVVPLM >Dexi2A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:2A:4061205:4061914:1 gene:Dexi2A01G0004500 transcript:Dexi2A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFALGLTKTALEGTLSRVQSAIEEEGKLKVTVQNDLVFITGEFQMMQSFLEVASKERANNKVVKTWVRQLRDLALDVEDCVEFVVQLDNSSSWSWMWRVLPSCMAPPRHQDDAVDEMKQLKARVEDVSHRNARYNLISDSGSKHVSSPTPPVASSSFDILREMWESEGNSCTMDELHYLITAGGDERQVISVWESTGGDIGAASILRKLYSEQTVCHKFRRCAWVKLPR >Dexi9A01G0029080.1:cds pep primary_assembly:Fonio_CM05836:9A:33861063:33863317:1 gene:Dexi9A01G0029080 transcript:Dexi9A01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGAACLVCGGMGDCASCHRHGGIGGGARCGAVAVADLNRGFPGMWRQQQQAEAEENGGGVVAGGGAAAAGLHEFQFFGHDEEDHDSVTWLFNDPAPHLHRGPAAVGNGVAADGEAEHRRAAPPLFDGYAHAAQYGGHMPGHHGLTFDVPLSRGAEAAVLEAGLGLGGGGSNPVTSSATIMSFCGSTFTDAASSVPGEVAAAANGSSSGGGDPTMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGEAPAPPAAAAAAGYEPSRFDIGWFRS >Dexi1B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:1B:22799660:22800789:-1 gene:Dexi1B01G0016280 transcript:Dexi1B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIAPFHLPGLAGVVAPRAAAPFLHARSTAATTYGYDDDDDDQQPMSTAEFLGLSRPDHHHSVADFDLEAILRGIRSIRVPAAAEFAPVHVDSVVSVATPTTPVASLPAPRSYGGGDGEPTYAAGIDATYRAMEKDPMERPSTDYLSRTQAGAMMMSDRAELIEKMHRFSTSYELAPGALHRAVSYVDRFLSAKKITGGDRHGQLLLLGATAVFAAAKYEDRNTSWRINADAVAFYAGTTRLEVLDTERELVAVLGYRLSGPTAYTFVEHFTRHVGEDDDGEATRSLAHRLANLALLDYRCLGILPSAVAASAIIMAKLTLNPAAAWREDLAAMGYAVEDLAECMDAIKEMHGLQEVWPGCAQMMEGFVLS >Dexi3A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:3A:2020257:2020848:-1 gene:Dexi3A01G0003060 transcript:Dexi3A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLETPGATATFAPALVAPLLAGAGEDDDHHAVMCVHHDYTPKEATKVDGAVQTVYPRKNWSSMVLFNCGHPRNVSAVSTRSGAFLHRFMWLDDGEVGEVPFVWNFLVGHNAVDPDDEAGTAPRALHSTSGGPWFERYKDCEFAYLWIQERDAYLAEEEEDVKAVPMATVVSVGPPALRA >Dexi9B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:9B:9978574:9979041:-1 gene:Dexi9B01G0014900 transcript:Dexi9B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKKNGGEAMPALGWWLIAVGALRISLTWSGFFGATSLGAATYAQAEMTGVHGRTFGVWTLLSCTLCFLCAFNLGNRPLYAATFMSFVYAYAHFILEYLVYHTFTAANLGAFALVAGSYLYCSDVYK >Dexi9A01G0047920.1:cds pep primary_assembly:Fonio_CM05836:9A:50852570:50852863:1 gene:Dexi9A01G0047920 transcript:Dexi9A01G0047920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLGSPIRTTLRSADNGAADAGLVETAVAEAAAREARERALAARGWRRGKLGGGEGDWGSCRGEAPATAEC >Dexi5B01G0039280.1:cds pep primary_assembly:Fonio_CM05836:5B:38223848:38225436:1 gene:Dexi5B01G0039280 transcript:Dexi5B01G0039280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDVELLLASKSGLDIDRLSSLDIRHLSSVAVCCCCLTPYLMNHAGLGQIHAQGSTESSRARREASENDNCRDCLMNKHNINHPSVEPVHHTQVLGFGGQCYKIGENDHDWRFRFVPRSSANR >Dexi9A01G0043780.1:cds pep primary_assembly:Fonio_CM05836:9A:47469622:47475924:1 gene:Dexi9A01G0043780 transcript:Dexi9A01G0043780.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGVSASTFLDPAMSSAPPAHQSRASQHRRQHHNPGPRQQPPQQQRYVPKSAAPAAPKPSPPSQPSLTTALRSSTASSSASGSDSGAGRSTSGSAGGGAADGFVAYLPHDEAAAAGLGGLDAHEAQAVVDLLNDALSALLRAKPREFWRQVAQNTSLHAFLDSYLQFRHRWYDLPHRAPKGAVASLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSIKEHTALLLEKKLLDLPKLLDICAIYEHDNNKLTSSLVTNAINVQPNALDGINIVIPQFLGIFHTMHDRCMASLQVLTSTGSVDNGYVQLHKDFLEALDFINDAIVTLDSFVGAYQPAALLFCANFEMSYGVEELLNTLSRLHDSLLPSLLQGFKVMSKSQSNGEASPDSMLSDTALGIKMLSKRAVRFGWRLLHYCYLNDQLKEHDAQTSTKMFPANVEDPMIRGDILVQTLIDINREATYSSQLNLGNTFLQSIESEFQLTSRIDDIRNKGWIYMDDEQFQFISRLCGSTRTWNSVPDLPVSSRGGELQQKDEETAMVESKISQIRDLFPDYGKGFLAACLEAYNLNPEEVIQRILEGTLHQDLLALDTSLEEMPQKNLAPTAVKDKGKGILVESAPQITVKPHKVAEARYIVQDGPSSATSSASHGPSSATSASQGPSSAVSSEIQGSSISSVSSVPHGRFTRKANDDFPDTVILDSKNAKDAVRSVILDSQYEYEDEYDDSFDDLGFSVVESSYEEADGANDTDASSHGPRWSSQKKPQFYVKDGKNYSFKVAGSVAVSNAREAAAMTQTQKDTIYGLGRGGNVPFGVPNRQHIDVEEEEVDVANNYGRGVSNPRGRGRRGGMGQGNPLEENENPSGRGYGHGGRRGGWNQGNLAEENGNPNGQQGFGRGSRRGGSNQGNPAEEDANSNGRQQGFGRGARRGGRNHDRSAEDNEDHDPAQGFARGGTAPRGGGPGRGGGRNHHRRDRAMKKHMQGLTGL >Dexi4A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:4A:1871209:1872178:-1 gene:Dexi4A01G0002740 transcript:Dexi4A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLRAHAFASNPLRGVSTSTTAISPSAAAESLRTLLDPASADAASPPHPPHLSKILPFRRGRPLARSPDPPAAAASPPAAPAWCLAWLPPSRVPGVAPDAFVFLGAHAEGDDGKEAAAYWAVDVSEGEEGPRIDGVSGDGDGSSFVDLRTLMVATDWSDKDAMGDLAIAGHARALLEWHSTAKFCGACGAKAVPTEAGRRKQCSNEACKKRIYPRVDPRMIERS >Dexi6B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:6B:25257168:25259105:-1 gene:Dexi6B01G0018220 transcript:Dexi6B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVAAAMAPLYFALGLGYSSVRWWKFFTPEQCGAINTLVAHFSMPFFTFDFLSRANPYTMNRRVLAADAASKVIAALAVAAWAHCCGAKAGARSWSITGFSLAAFNNTLVVGVPLLDAMYGGWARDLVVQIAVVQSLVWFPLLLLGFELRKACVVGGEDVVAAVAGRRGVESMAENDDDDDVEMDVGPGADVAAGIRMWPTVRTVGLKLARNPNVYASVLGVVWALIAYRWDLRMPGVVTGSLQVMSRTGTGMSMFSMGLFMAQQERIIACGPGLAGLGMALRFVAGPVATLVGAAVFGLRGDVLRVAIIQAALPQSIASFVFAKEYGLHADVLSTA >Dexi1B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:1B:2910084:2911870:1 gene:Dexi1B01G0003670 transcript:Dexi1B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEERHGEGDYGDVITMEAVGKLQAGYAWADVASLESPAEGARVRVRGAVAAVRAVGRRVAFLVVRQGAATVQCVVAGGGGGMARFTAGLSRESVVDVAGVVSRPREPVRGTTQQLVEIRVEKLHCLSRAVPNLPISVDDAARSDEDVARAKAAGEQLVHVGQDKRLDYRVIDLRTAANHAIFRIQCQVENVFRQVLLSEGFVGIHTPKLIGGASEGGAAVFKLSYNGQPACLAQSPQLHKQMAICGGFGWVFEVGPVFRAEGSDTHRHLCEFIGLDAEMTLRDHYTELCDVVDKLFVAVFDHLNNACAGELEAIQRQYPFKPLKYSRTTLRLDYDQGIKMLQEAGVHVDAMGDLTTEAEKKLGELVRERYGTEFFMLCRYPSAVRPFYTMPCSDDPRYSCSFDVFVRGEEIISGAQRVHDPELLAAQAMARGVDAASIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPKRLAP >Dexi5B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:5B:9152441:9158771:1 gene:Dexi5B01G0012930 transcript:Dexi5B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPDPLAISASAPFPTIPAASSSRPRAARPRRHAAPFRSDHPAAASVRRSGIDLSRRPTTSALAQQEGSRSWGASRDASFVFGSGAAGAPVMTRSFSSGSGEALSTEIPAEVDKLSLYDGSGRRSDADASGGDDHDGSSRRSDASWGDDAVPVTNASDPFGIGTRGSNFSFREGFGLDSLHDQTEKRDEGSRAPSQTIQCEGAETRSLASPTCTDQDAPIQFARNRDSSRVKNSVDEGSFPGDGSEISAHDNVQQNVFVFGRHAGYRDTANATQSSISKVGSTDKDSATINSEQLNHSVAEGSTCTKFILRDAKLAFGSTNKNPVHSEPCEISPAVKFGSNSRSEDGSGKVSFIKLPYDFEAVAAPELTEHASFDEKSFTVLDHNVASMNKSRAKGMVTNRRAVMPNNFSSADQVPSLESVSRRSDHCSGKVSPEKRGNQAYAEGQLTKAEECYTHGINSFSPNEASRKALMLCYSNRAATRMSLGKMRDALSDCREATGIDPSFLKAQVRAANCLLALGDAEEAQKAFEMCLKSSHLSSLDHKIVEEASDGIQKAQKVSGFIRQSKEYLIKKSFDNMPDALQMISDALSISIYSDNLMAMKAEALLLAAGNEAFQSGKYLEAVEHYTTALLSNNESLRFLAVCFCNRAAAYQAMGQILDAISDCSLAIALDADYAKAISRRSSLYELVRDYDQAENDLRRLITLLEKQLQENTSMPSEKTESIRSNLNRANLRFSSLKRDARKGAPLNVYLILGIEPSSSAVDIKKAYRKAALRHHPDKVLYSCSSNYTYILLSHSSYATGRQVPGEK >Dexi1A01G0022340.1:cds pep primary_assembly:Fonio_CM05836:1A:29012092:29012670:-1 gene:Dexi1A01G0022340 transcript:Dexi1A01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGPLQLRAAAAAAQLGLPEDCSTKKTGAEAATGFSDSDSGKAIAPQSVEAGNTAKVTTAPVGIEVASRGKPLLTQATVDWILAHTREPFSGLSNRDLNPHEPQELVHSVGQTMLEAAEFHEGVEDEVGAMQERLRCELDTRGFIELDDDEDCAERVADINAISSAAFLEAFGCGGLPSDCSDPEDNCD >Dexi1A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:1A:6983620:6984063:1 gene:Dexi1A01G0008830 transcript:Dexi1A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDELLLVSRSRRTSQPQSGGDDAHGGDFSWLTALGFVFLTFNSGMAVYRSNGDIGAISFVSFSYLDLVALFSCLRLYESTPPESPRREHLKMAVWLLTTMLTAAFSYKVAAVLPLPVVLLVWAMAAATGHAGFFVFFRYRDQAR >Dexi6B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:6B:23495885:23498497:-1 gene:Dexi6B01G0016230 transcript:Dexi6B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCPQNKLNIYLAPFLHGMRYTSYGRHFTKLDKLQLIVDKLQWYIQSGDTVVDFCCGSNDLSLLLKEKLEASGKNCFYKNYDLIQPKNDFSYERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKQTERLDKKYPPYELIWQDSEQLAGKSFYLPGSLDADNKVMEQWNVSPPPLSLWSRSDWAKRHSEIAKSVGHLPSANGDWQREVADGLSVATTGHVEMDDAEVAGMPPSVLGKLLSDTFHDPTSSPGDYWNDTNGRSRQPCNYETPGRSDPTYAHHEEMSAGSDMSISLSDCEMQDKASSTSKHGGTNSQACNAVGSALAEEPAAAAECDEVTSAAGPYNLPEGSSQIHAAGVQYWMMEDSPLLEEGELSNVSPECRPAAGTQHQHMEDTVPAVTTEIDSQCGQPDKSRPAARHNARTLPPRNTFPGLRFRQGCNITSRQFMSQSIGHPAVYQGPSSGWLDDDDY >Dexi2A01G0026270.1:cds pep primary_assembly:Fonio_CM05836:2A:37788605:37789012:-1 gene:Dexi2A01G0026270 transcript:Dexi2A01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSLPHRKTGRIRLISINIGYGEGNSPFVHRCAQSSSSPPTVGTLLTRASAAAPRPRECSTSPRSLLSRILHMGRGGGGFGCRLRLLPPYCTSGAAAAREHIAVEVEVRMEPLAKGAGRAKSGGRPNGFSRIA >Dexi6B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:6B:1440563:1442721:1 gene:Dexi6B01G0001610 transcript:Dexi6B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATTSASTCCCNTLLHLPRPTHFHRVSPPPAHRLELFLAARFATCRTARSGPRARASSGPPPPFFETVVEEEEESGWSDAEGESSDEVEDEQEWSGSNGAARGEDLGADAGEDLSGWTRQWPRPRELFVCNLPRRCDVEDLLKLFTPHGTVLSVEISRDGETGISRGTASVTMRSLAEARTAINALDGLDIDGREIFVKLASDVISNRKNVKLAHITPTKNHIFETPHKIYVGNLAWNVQPQDLRELFTQCGTIVSTRLLSDRKGGRNRVYGFLSFSSAEELEAALKLNRTVRLRSFSDGISLSRKLT >Dexi5B01G0034970.1:cds pep primary_assembly:Fonio_CM05836:5B:35089964:35090919:1 gene:Dexi5B01G0034970 transcript:Dexi5B01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPAPSGSVITVASSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQAAAAAASASTGANGQQGMAEFIGNGMPNGGHNFINIGHSPAAALGSIGASHHAVFGQEHQFGNAQMLSARSYDGEPIARLGINGGYEFGYSNNPMGGAVGPVVSGLGTLGISPFLKSGTAGGDEKPHAGQ >Dexi5B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:5B:4891383:4891634:-1 gene:Dexi5B01G0007250 transcript:Dexi5B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAGSNGAAGEVDEAAAFGRGLLGGLAAASTAIALAMTEPPPWLDRNAYLVAISGAFFAGMAQVCGHVAGTGSKLVSRSS >Dexi8B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:8B:3610790:3611673:1 gene:Dexi8B01G0004140 transcript:Dexi8B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSKTAKLRFLRHGEWSIQQPKFIIDGEGNKDGEQMLSSWQNHTVISARRGFIYCNIYDEMSSLCYIWLPPIEEVEDRLSCRGSNGDVCVTSDGAVKFVCVDPRCCCGSSGGTHCRHSANAYAVRIWTLQMDAMVWEMDSIIDATVLWALDIYKDLPLSMDGPHIIFFIVCESFYPRRRRYDGKTEWLVLVETKSKTIPSVYRYGKGGSYFQGRIFFPSSVSDYFSSSPCSSESASSVTKSSRDPEPTPWVIANDEHFTNIASDNSKAASSREETILAALRKIYTWLGP >DexiUA01G0027890.1:cds pep primary_assembly:Fonio_CM05836:UA:59680742:59682532:1 gene:DexiUA01G0027890 transcript:DexiUA01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKNEDATAVDDTMKRDQVFNMDSIPNWLAYTGYALLSIIAIIAIPIMFRQVKWYYVIVAYILAPVLGFSNAYGTGLTDINMSYNYGKVALFIFAAWGGKDNGVIAGLVGCAIVKQLVQVSAELMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIVSPLTFMLFFRAFDIGDPDGYWKAPYALIFRNMAILGVEGVSALPAHCLKLSGGFFAFAVLANVARDFLPRRYGELVPLPTAMAVPFLVGANFAIDMCVGSLVVFAWGKVDGEEAALLVPAVASGFICGDGIWTFPSSLLSLAKVKPPMCMKFTPGS >Dexi5A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:5A:5467552:5468310:-1 gene:Dexi5A01G0007360 transcript:Dexi5A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPEHHPLYCGYPALELACDAAGRAYLSSRTSRQRQHLYRVDDISYGNNSMVVAVEATFAGDATCTVPDFNVSSDLSLLPLINISDTNTNLVFVYNCVVPPSVRLQRPCANHTMGAYVSDGDGLSGVPANCTFVSVPVRGFHAGMEPARDLYDYYEGLIRDGFLLEWTAAGDCDACKRSGGECRFVQPSFQCFCPNDALLCSTTPRDLPN >Dexi5A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:5A:24240653:24242840:-1 gene:Dexi5A01G0020410 transcript:Dexi5A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQAPPVPRVKLGTQGLEVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVTEQVSAESNLHGIPRFAAENLEKNKQIYLKTEELANKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDALKVKLTDADLKEISSQIREEDVAGGRQFAFFQHATWKYADTPKKQS >Dexi3A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:3A:3544911:3549627:-1 gene:Dexi3A01G0005490 transcript:Dexi3A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLHYLNTMVSLTSVKVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRIEKSFNDMGIGGSRFGSGSGLGGLSTDMDSFASKPKGRPSTAATAPGKGFGMKLGKTQKTNQFLESLKAEGEVILEDVQPSTVSSRSSALPPSDPVTVTIEEKLNVVVKRDGGINSFDVQGTLALQVLNDADGFIQLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVIISIPLPALREAPSVRQIDGEWKFDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSSFFPISIGFSASSTFSDLKVTGIQPLKEGNPPKFSQRARLLTANYQVV >Dexi9B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:9B:12749390:12757064:-1 gene:Dexi9B01G0017970 transcript:Dexi9B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTVPGADVLSPGEAEWPPELRLPPLPPSAMVSNRLPAPHLKLTAPAATPPKKEPSPPRHAEGGFDDRHFLGSMMGGTAPHQQQHHHQQQQQTAASQLQQQQHHHHHQPPADPAPVKRKRGRPPKNRDATTQAAVPAQVRPVNKKEEEVVCFICFDGGDLVVCDRRGCPKVYHPACIKRDESFFRSRGKWDCGWHICSNCEKAVQYMCYTCTYSVCKGCIKQGKFFGVRGNKGFCDMCYGTILLIESKDDGAKVGVDFDDKNSWEYLFKLYWLDLKGKHLLTMSELFDAKRRWTVPTTACRREKEESSDELYDINDDQDASFDISSRKRRRNNLSGKTGQKRKKDSGVIKKAPALNADSQKAIDSDSAEVGSDKRRKAQKNIQIEQTTNLEDYAAIDMHNINLIYLRRSLMEDLIDDDASFSDKIAGAFVRIRISGLGNKQDMYRLVKVLGTHKVADRYNVGKKTTDYALLIANLDKKEVITMDTISNQDFTEEECKRLRQSMKFGLTTRLKVGDIYEKAKIFQSLQFTDWLENEKQRLTHLRDRASETGRRKEYPFLLLDTPEEKAHRINEVPEVHVDPRMTPNYESAEEQDYKKAVDWTVNRNGTNLGRKGAESNSVPNHTKKYLDTSGCTSTAPTEDVGHPTEAGSNITSNNTAVEPMMPVVASDDTEPEKVWHYKDPKGAVQGPFTLLQLSKWVNFFPRDMRIWLTFESEKNSLLLSEVLSKQQSDFVQPPAATASDKSIWAGMGKDRINSIADISSSPVGYNAVYSSALSSQFAEVSDPTKEDPKHWSTALPSRSLKNAHSLHGQVQHQVNYSSTTIQPSVGSYAQTGSHDERVPREQVGEWKSCQDNAGTRSATIAPINHSCKSNMEQFPDGCTTKDQLQTDSKSHFHSVPVLTPQQSGRDSAAPLSTTGLPEFKAMCQQKPSYWGSAINAGAHDPQLSVASVKPESRSPTNPFEDRDSSTAAAVSSQSGAPAYLPQPVPSVSTSNSSKVAATINQHKACRPAASNTTFDKDPEPKNVPMFSLKTQDGECEKPSPTPKLERKETSMNQSRSPEDLATKPCVHSSVSSVSEPSGSPASKIDSLQSVKEKSCLEGRHLIDGDSMTQVEHLLENTVNGNNKSVNHVSDAEGIAVSDVFESLTEQNCERYSIPEAMPLENFVAPSAEEEQPQCSSPIALSPWGEPSYYQGEAVDSALWDVQDDPGNDMWSMPSPTPALQPSSG >Dexi1A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:1A:6864342:6865817:-1 gene:Dexi1A01G0008720 transcript:Dexi1A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSATAVAGASPPHFVLVPMMAAGHAGPMLDMARALASRGAFVTFVTTPLNLPRLGRASSDDAPPIRFLPLRFPCAEAGLPEGCESLDALPGLALLGPFNDACAMLRAPLVEHLKDAAGDDAPPASCVVSDACHPWTGEVARELGVPRLSFDGFCAFSSLCMRQMNFHRIFDGVDDDRCPVRVPGFPIDVEISRSRSPGNFTGPGMKELGEEIMAESARADGLVVNSYAELEPTFVDAYETAIGKKLWTIGPLFLMSPVVSTATAEQDTTAIRCASWLDSKEHRSVVFVSFGSLVRSSLPQLIEIAHGLERSNRPFIWAVKPGNLAEFERWLSDDGFESRVGERGLVVTGWAPQKAILSHPATGAFVTHCGWNSVLECVAAELPMVTWPHFAEQFMNEKLVVDVLRVGVPVGVKDAAQWGVDSETVVATREDVERAVVVVMDAGEEGASRRARAIELGRKAREAVARGGSSCRNVELLMEHVTQKKSMA >Dexi9A01G0041060.1:cds pep primary_assembly:Fonio_CM05836:9A:44708978:44712658:1 gene:Dexi9A01G0041060 transcript:Dexi9A01G0041060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSQPPVAARASTRLLPRGLGALPESAPASLRFSIGRRRRAARLEVKASGNVYGDYFQVATFGESHGGGVGCVISGCPPRIPLSEADMQVELDRRRPGQSRITTPRKETDTCKILSGIHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVILPEDAVDYETVTMEQIESNIVRCPDPEYAEKMIAAIDKVRVRGDSIGGVVTCIARNVPRGLGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDLTGSEHNDEFYMDEAGNGGISNGEIIYFKVAFKPTATIGKKQNTVSREHEDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPIGSASSASELAPNLS >Dexi9A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:9A:5308161:5310920:1 gene:Dexi9A01G0008980 transcript:Dexi9A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMVKSQDKLTTKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGKSVSSTVFFNPESDDGYVGIAAPFPGRILPVDLANFGGELFCQADAFLCSVNDVSVTSTVEPRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKNPNQLRRAVFGGDNQLTASLTGPGVVFIQSLPFPRLSQRIASSRSVAGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >Dexi3A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:3A:16882448:16882832:-1 gene:Dexi3A01G0021230 transcript:Dexi3A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKAMVSGLLLCLLLVQCGMAVLGSSDDCWVDNNANYPICFHQPKCRGHCQDSGKADGRCNNKFPNLVPICECLLPNCNH >Dexi4A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:4A:20831392:20837582:1 gene:Dexi4A01G0017080 transcript:Dexi4A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSSGSGPSSTAAPSSSQPSLLAEWNSYAAARSADDAGDGFGIDIEAAVRSANDRVAGTFGVCVLALGYYAISYFPDELVKTAKYIATPGKGILASDESTGTIGKRLSSINLENVEPNRQALRELLFTAPGVFDYLSGVILFEETLYQKTSGGTPFVDLLVAGGVVPGIKVDKGTVEIAGTNGETVTQGLDSLGARCAKYYDAGARFAKWRAVLKVGPTEPSELAVRQNADGLARYALICQENGLVPIVEPEILTDGDHDINGCAAATERVLAAVFKSLNDHKVLLEGTLLKCNMVGAEVIAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATKNLDAMNKLEVLKPWTLSFSFGRALQQSSLKKWLGKKENVAAAQAAFLVRCKANSEASLGKYAGTGDGDAAASESLYVKGYKY >Dexi7A01G0023990.1:cds pep primary_assembly:Fonio_CM05836:7A:31836603:31839152:1 gene:Dexi7A01G0023990 transcript:Dexi7A01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPPRRSPPIPLLLLLLFVSSPLFFSPSPAATAVGGQFLLSPIVYERAIRDPPVIVLAFIKSSDFSSADCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISIARYANATGRLGVPPAFAEICLSSVSETFKLQGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVIGSCRGALSLDITCKTCLNYGIVYLHRLIGSDDNVALSVCRNAIFVTLATQGGILSYDDIVTCFFGVQGITTFPGPSSVTSTPASSPNVTVDSPAPKIKGLPQKHPQHYRITVIPGIGIGVILLAVLLQIILVVLIRRKSRELKNAEFPAQNQDNTFHHNQSWRYTEGHLILPVSDPTALLTCSGQSPMFQKYSYKETMKATDNFSTVIGKGGFGTVCKAQFSDGSVAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIEKKERFLVYEYMVNGSLKDHLHSSGRKPLSWQTRLQIAMDVANALRPK >Dexi9B01G0041810.1:cds pep primary_assembly:Fonio_CM05836:9B:42183319:42184498:-1 gene:Dexi9B01G0041810 transcript:Dexi9B01G0041810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAVVDRISALPDDLLHSILTLVREATAVTRTAALSRRWRRVWIHAQQLDLLDSKLKRGAVPGHFVGFVDWVLAQRGETGMGSLNINMSRDNTGASPSPESVNEWLRYATQHVARSFRLELPCPSYEWLLLDEVDDDDVPAVVLPDHGRMTYMKLSLSPQNMFQLPVAAGAKYEALTVLRLWRATFFGAGRTLGDFVSSCCPRLRRLEIHSPKGMPLLRLRSEALRVLMLSSAEDLRTLDVTTPNLASFKLENCFGDDGGDGGEVVRIAAPRLQEIVVNQYSRPVLDIHGLTSVRRLSHLTLNMHAQYYAPAMDVGFWLLEHCPNADNVDVWLQHSRVTEGVVDLMTSESEGAAPFANVRAMEMVRITWWRVYRRCFCGALV >Dexi2B01G0027730.1:cds pep primary_assembly:Fonio_CM05836:2B:36549524:36550141:-1 gene:Dexi2B01G0027730 transcript:Dexi2B01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLRATVSVVTQKLTTFLPSKQCVATIATCSSSILASPRLYSAEYVQLPCDMSIRNNTSNPVSYSFTHSCF >Dexi7B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:7B:2696231:2696662:-1 gene:Dexi7B01G0001600 transcript:Dexi7B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQEAPCLILGLGGADETKASVFSLADRCAATVRASDPSMRGHLVLGSSGGWLVTADEKGALRMANPVTGAHADLPPITTGTIPFCIDMNAFRQIQFAGVSPSPRTKEDDGEWGPICHDGASSATDEAKA >Dexi9A01G0033220.1:cds pep primary_assembly:Fonio_CM05836:9A:38126138:38133917:-1 gene:Dexi9A01G0033220 transcript:Dexi9A01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGCLVRSRPSSERPNSISSRFRERNRGGLAILPTMDLLQSSYAPEDASSPELSAAASSPDSSPLRLPSKSAAPAVDDTALALSAAASGSRPLDPSLHLVAFNPTADQLWAPILGPHHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYASDPSGLHIVGDAQTQAPEPDTVYNLAPSEHKRRRLLAKADNQEEPLPPEAKNPASEEWILHNKQSPWAGRREGPPAELTDEQKQYAEAHAAKKAEKEARAEGKGERTEVVVKSTFHGKEERDYQGRSWITPPKDAKATNDHCYIPKRCVHEWKVLRGAFVSSPQWHHRAGVVSLGWMGPMGHLLPRSKTTMNRAAHTYLVTTTHRNHPAPLFHPIPSALERHPISSLAIQFTPANMSSCLALRALAVAVWVAAAYPVVFRARPVQAVGLAANWGTRALHPLPGDITVRLLRDNGFGKVKLFEADAAALQALGHSGIQVMLGLPNELLAPVAASVNAAEQWVLRNVSSYVSRYGVDIRYVAIGNEPFLKSYKGKFESLTLPAVQNVQAALVKAGLARQVRVTVPLNADVYESSDGRPSSGDFRADITGLMVSLVRFLLDNGGILTINIYPFLSLDADPNFPVDYAFFPSPGAPPSQASVQDGNVLYTNVFDANYDTLIAALEKHGLGAIPVIVGEIGWPTDGDRNANAASAMRFNQGLFDRIIAGKGTPRRPTMPDVYVFALLDEDNKSTDPGNFERHWGVFNYDGTPKYRLNLAGGRPLVPAKGVRYLSKQWCVLRPDASPTDPAIGGAVEYACQYSDCTSLGAGSSCGGLDARGNVSYAFNQFFQAANQQKGSCSFNNLSVITTTDPSQGACRFHIQIDTGRHELTGKSAAAGRRHRARLGRRACCGRVVSEAATTRRLEPLNGAADRFIPVAILPRWELLPSLTFAFSFPPTDPTATHAQTRPSPPLDRHRCTRAQQSPADRFVSVRSVARTGAPSTMAREKTLLDVFISRRLFISRRKRSNPAPLGSASSCPSRAEQDPPQKPDRW >Dexi5A01G0032070.1:cds pep primary_assembly:Fonio_CM05836:5A:34662952:34665768:1 gene:Dexi5A01G0032070 transcript:Dexi5A01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEVKKKVDAKNSLENYAYNMRNTIKDEKIASKLPAEDKKKIEDAVDGAINWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDAPSAGSGAGPKIEEVD >Dexi2B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:2B:28588892:28590439:1 gene:Dexi2B01G0018260 transcript:Dexi2B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDRRLASAVVRLPGRSRVSASPSPRRRSPSPPPRRDRRRVDRSPSPYHDRRRVDRSPSPYRDRRRVDRSPSPYRDRRRDGRSPSPYRDRRRQWSPYHNDRGRDRDRAPPVRGGGAGGAWSASDDDDDKELQGLSYFEYRRLKRQKLRKSKKRCIWNITPSPPRVEGDEENYGYSDVEEEKESPKKKGSPEGSEEDSKDASGSESGESDSLSESSESEGPRRKRKGRKSSHHSSKRSRRSHRRRSYSSESDEESESNDDSEGSVDSEDSRDRRGKKRSRRHKKSRKGRSSRRKKRTQDTASEQSSEEAEHSDSSPKDSKKKSKSSKRKRSKQSDSEESLPSDASPEVKEDEEIKEPEIDPEAIKFKEMLEAQKKAALENDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRTIGNDVGPSHDPFATTDG >Dexi9B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:9B:6083033:6085157:1 gene:Dexi9B01G0009880 transcript:Dexi9B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLASSLLCSSCGSTSRTPAVPRAIRIPLFTKNQYKPPLRPLRTSSVVRRSLQQEQEERADQASSVAVASGEQQQEASHHVGGEDGATVSGHAGGAEGGQGHGDGEEEKRSTDEQQEVDWKSDEEFKSFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLLRGLAKDQLAREKQRLELAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTEVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTTWGYLSAVALAVTTFGTVALMSGFFLKPGATFDDYVSDVLPLFGGFLTILGVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYITSVVLAVSAFIADGSFNGGENALFVRPEFFYNNPLLSFVQVVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPVGRLEGGRIAQALFGRGTAAVLSFATSVLLGAGAISGSVLCLAWGLFATFIRGGEEIPAQDEITPLGNDRVAWGFVLAVVCLLTLFPNGGGTYSTSFLGDPFFRGGI >Dexi2A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:2A:5885719:5892079:1 gene:Dexi2A01G0006250 transcript:Dexi2A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHDGGDMVEIMSSSPELNTTKPGAPPMKTTRFAEPAAVSSSPAPEAMPSSNSNHLAGDDDDSSDAVVVAPAKKWRSSALLSAPSRAIRQLVPRELRRATSFHHRRRVGSGADDDRSTTAAARALDGLRFIGATRWEDVATRFDVLTADQGGVLYRSQFGQCIGMKEMEKKANGEGKKTTKDERAQQSGGFCCFFGDGQEMGSANNHQHASPAAPADNKPDFASELFDALNRGRTQPAGDGISKDEMREFWTQINSASFDSRLQTFFDMVDKNGDGTISEEEIKEMIILSASENKLSLIRDRAEEYARVIMEALDPDNLGFIALHNVESLLLRQSPCQPSSSSQRRRRSSLSRSRTTTRIAAETASPPTPPPEPKLLLLLRQAAYFLEDNWRRVWVMLLWLSICAFNMALVLLPVCRNTITWILNHTTATSVIPLAADSLNFHKVIAVAIAGAAALHAISHLACDFPRLLHATDAEYHPLGQRYFGFPRPDTYWWFVKGTEGWTGLVMVAIMAAAFTLATPWLRRRRGRWLPELVKKVAGFNAFWYAHHCFVAVYALLLVHGQFLYMTREWYKKTTWMYLAVPMAVYAGERLTRAVRSRVRPAKVIGADVYPGNVLSLRFDVEPPPPHGRRFRYKSGQYVFLNCAAVSPFEWHPFSITSAPQDDYVRIDGPYGAPAQDYKQYDVVLLVGMGIGATPMISIIKDILNNMKHHLNASGDVEAGTASVSGTGTTAPRRAYFYWVTREQGTFDWFHKVMDEVVEADMEGVIELHNHCTTVYEEGDARSILISMLQSISQAKDGIDVVSGTRVRTHFGRPNWPRVYRRIADTHRHQRVGVFYCGNQVLTKELRELAQDFSRNSSTKFEFHKEIF >Dexi8A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:8A:29961237:29961913:1 gene:Dexi8A01G0017830 transcript:Dexi8A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNTMSMAKETRGLTTQRTATRTGNNVPGVNSAPGWSWDTAERLLISDMDDTQGFGTAITGKSGTAPAQNVLPRVSEMDVMLGLQAMGGMAYAPAASPEVLRHTGTELQQLNPTWFPHIQHNGRHHHGGTGVYFLGTDQGAATQTQGGDGYVAGPIVGPVQHPEYQLMPAVEPPVAAWTDGSAIVPAGYHPAEARGAVYYV >Dexi9B01G0028110.1:cds pep primary_assembly:Fonio_CM05836:9B:30724306:30729003:1 gene:Dexi9B01G0028110 transcript:Dexi9B01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSGEGAGADAAAGSGPGAPPRVAMACVLASEVATVLAVMRRNVRWAGVRYGGGDGGAGDDEHLDHPLVAGLKSLRRRAAAWGHGRWASVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGAGAPGVAEAMGAIVDAVTACRFEVTDPASEEAVLARVLQVLLACVRGRAAPALANRHVCAIVSTCFRVVQQAGTKGELLQRVSRQKMQEVIRSVFARLQDIDVMVDSGEPAAVCKNQGLGAEEMENGKSDYVCLNSSGDEVGDGSGVVQVKTMMEPFGVPCMVEIMQFLCSLLNIAEDIEVNPRMNPIDFDEDVPLFALGLINSAIELSASSIHRHQKLMAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVIIRLAESRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAERTDSAPQHPDQTVPEISEYFPFWQLKCESANDPDQWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPAKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIKVLHEFSRTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSKRTSMYIACDSYPFLDHDMFSVMAGSTVAAISVVFDNVDHEEVLTGCIDGFLSVAKLAAFYHHDDVLNDLVVALCKFTTLLSTSYIDDPVTAFGEDTKARVATEAVFTIATTYGDHIRSGWRNIVDCILRLHKIGLLPGRLTGDTADDQESSSDSLPSKLVSSPAPQALPISTPRKSYGLMGRFSQLLYFDAEEPRSQPTDEQLAAQRNASETVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSSLDDEGIAVFCLELLITVTLNNRDRIVLLWQYVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNCLTRWSREVREAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGILVSSSTWLMAFDIIFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQVSFGKLWFEVLDMIEKFMKVKVRGRRTEKLQEAILELLKNILMVMKASGILSKTSTSENSLWEATWLQVNKLAPSLQSEIFPDNEGDNTTQSEENKSDTPAQSDQSADQ >Dexi3B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:3B:2189853:2192542:-1 gene:Dexi3B01G0003360 transcript:Dexi3B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTALALYSPPAAARRSPARADFASLRVRCSPTDSFRPRASPNPALRALSPGWGRLRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFVSSVSEKTLGADTIKGVRPDQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQDTYASVRLQGKSCMLVAADVYRPAAIDQLTILGQQVGVPVYSEGTEAKPPQITKNAVEEAKNKNIDAIVVDTAGRLQIDKTMMDELKEVKKAVNPTEILLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVIA >Dexi1B01G0026360.1:cds pep primary_assembly:Fonio_CM05836:1B:31247172:31257406:-1 gene:Dexi1B01G0026360 transcript:Dexi1B01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGISALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDMGGMMSISVEAAAARDSLSNDKELIHTYERLTALDGKRRFALAAASSHKEEVGRLRFFLNFKRLNYAILVCDIKLPFLNVSRKGGAGATPRNGTQEKSKVQGKGYKDKCYECIRMAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWLEGMVTEYLVATFADYFGDVKMYIEERSFRRFVEACIEETIVVYVDHLLSQKNYIKEDTIERMRLDEEVLMDFFREHINVTKVESRVRILADMRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVAMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLAAKKGIWRKLGQ >Dexi5B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:5B:5207531:5209075:-1 gene:Dexi5B01G0007670 transcript:Dexi5B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTLLPLAALLLLLLAVAPSPAASRHHHPASSATETLDVAASLSRAHAALSTDATTAAVSLHQSAATEPHKHKRSPRPKGSSSSSLTLRLHSRDFIPGEQGRHESYRSLVLSRLRRDTARAAAVSARAALAAAGLLSHQDLRPADTSPVFAASAAAIQGPVVSGVGQGSGEYFSRVGVGSPARELYMVLDTGSDVTWLQCQPCADCYQQSDPVFDPSLSSSYAAVSCDSPRCRDLDTAACRNATGACLYEVAYGDGSYTVGDFATETLTLGDSQPVTNVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISASTFSYCLVDRDSPSASTLQFGYGAAEDTAVTAPLVRSPRTSTFYYVAMTGISVGGQALSIPSSAFAMDAASGSGGVIVDSGTAVTRLQAPAYAALRDAFVAGTPSLPRAGGVSLFDTCYDLSGRTSVEVPAVSLRFEGGGALRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTARGVVGFTPNKC >Dexi6A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:6A:9895725:9900368:-1 gene:Dexi6A01G0008980 transcript:Dexi6A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTTAGPAASPSPPPQLASPFAELVKTPSGLEKVVLRGARNCCAEVYLYGGQVTSWKNDNGEELLFLSSKFGTHGNLEQHGFARNRFWAIDSDPPPLPVNPAIKAFVDLILKPSDEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNKDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFETEVDKVYLAAPSKIAIIDHEKKKTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKNMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLQG >Dexi9B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:9B:10914425:10918382:-1 gene:Dexi9B01G0015950 transcript:Dexi9B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAATAALSLGAGAVAVAVASTEDPATTLKVCAHLPPRLLRDSVTAATIALDYKWSLLGLEPGTPAWQSAKHKTHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVKTMRESMLKRCPFFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVVDIATVDLLVNALHYIFPMFDYRWLVDEVRESAPKESVHHFEFESLCNLRRLSPHIASSIYVPKVYWNLSTSRILTMEFMDAKEVTDVRGIKEIGIHPVDVSNLVSKAFAEMIFKHGFVHCDPHAANMMIRPMPQDSRKFFGWKRPQLVLLDHGLYKELDYTTRISYASLWKALVFADAKAIKENSVKLGAGEDLHALFAGVLTMRPWQRVIDPSPDHLVLDRKTTDYSELQDYASLYFSEISELLRRLPRVMLKTNDCLRAVNHALVSL >Dexi4A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:4A:11815844:11816095:1 gene:Dexi4A01G0012920 transcript:Dexi4A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHTKLMEMRKQCKKNMVDKNNNLHKTKVRPVIPVKANNTLPQEVGSSGCSSLFPSMDDKKQGMDDMGDMDSNKLDLTLKL >Dexi9A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:9A:4480614:4481534:1 gene:Dexi9A01G0007660 transcript:Dexi9A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRKMARVDVAELKQRLVKRLGRQRSGKYFAHLTRLLNLKLTKVEFDKLCCATIGKENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVAAVLDSGDGELARERGAPVGKVVSVEDGEEVEQVRSAPCVQSRSPITAPLGISVTGSSGVRKQRRMDDPKVSCYDSGHLLDTGTLCEGLKRRLHSDGIGVTVQGVDALNRGLDEFLRRLIKPCMDLSRVRASSRRISKVNEKFTGRMNGVLQPNMGHCSTLQDFAVAVQSDPHSLGPNWPTQIEKIQTMSFGGE >Dexi6A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:6A:633080:634192:1 gene:Dexi6A01G0000750 transcript:Dexi6A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRRGHDGQNQHAASGDGDKRPRRGKHLYLLLDDWDRGFSIHKIDADCFVSDNQPDRAARHLPEPPVLRLASPVGPVPQNGVSFAALGTKIFALMSHRCGLVYDTETAVLAVGAHAPSQMVCGFGITVAIGEMLYALSYRFFDKQHSFEVLSWETTAPDATQQQRPTEGWSWRTLPSPPPAFHSRVNSYVLHPDRRTIFMTTANKGRMGTYSFDTMDSEWRWHGEWALPFIGQGHFDDELDVWVGLYRDGSICACRVASPSCHGTVTSLELDCKKTKEKLFRNDDDAEMHLGATLTYMGASRFCLVQCVAREGVDVGQALLGGCAIHLTVFGVKYSHRGELQITDHRSRSSFLVSRHKNHFGPVAFWM >Dexi4B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:4B:16731728:16735543:1 gene:Dexi4B01G0015300 transcript:Dexi4B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMRGGTMELDDRMYTLPRVRGDRVDDDGNEGRSGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVTGPLTLVVFAVITLYTCGLLADCYRVGDPVTGKRNHTYTEAVKSNLGGWYVWFCGFCQYANMFGTGIGYTITASISAAAIYKSNCFHWYGHSADCSQNTSVYIIFFGAVQVIFSQLHNFHKLWWLSIIAAAMSFCYATIAVCLSLAQTISSPMGKTTMTGTQVGVDVDSPEKVWMTFQALGNVAFAYSYAIILIEIQDTVRSPPAENKTMRRATSMGISVTTAFYMLCGCLGYSAFGNGAPGNILTGFGFYEPFWLVDFANACIVVHLVGGFQVFCQPLFAAVEGAVAARWWPEGSSARRRLNVFRLVWRTSYVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQIPRFSTKGVALQGLSFVCFLVTVAACAASVQGVLDSLKTYVPFKTRS >Dexi2B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:2B:777728:781116:1 gene:Dexi2B01G0001200 transcript:Dexi2B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSFHKLRTATLNIASALGLGALAWSTVVHISGFIGELRDAEFWIITALSFVMAFMDVCCPGYGASGHRLSSCPGCIPCRPLPCPASPSSTTVGPGRGLHLNPPRHTPALHRGPSFPPTSGGPVPPSALPAIPIPTFGNVVPIRAPVAPVVRSLPPAANGSASSGGGPPSPPPLPATGAPPVVPPLSLPDAEDLEVFHDYYKEVFMPPIDWRHFGHYTFAFVDPAAPNPGAILRATLLPEEVSNGDFMRIHPSSLGAGVIVFSRLADMEATVDGEPIPVMEFSVHFERPWETRHRFSFKHSRYATLSLSGRLHH >Dexi9A01G0042850.1:cds pep primary_assembly:Fonio_CM05836:9A:46338687:46340318:1 gene:Dexi9A01G0042850 transcript:Dexi9A01G0042850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAGGLKGVALIGGGANSTVAGALHFFQDPSTGYTEVRGRVTGLSPGMHGFHIHVFGDTTNGCNSTGPHFNPQNKPHGAPIDDERHVGDLGNIVANKDGVAEVLIRDSQISLSGPHSILGRAVVVHADPDDLGRVM >Dexi2A01G0032770.1:cds pep primary_assembly:Fonio_CM05836:2A:43147840:43149479:-1 gene:Dexi2A01G0032770 transcript:Dexi2A01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRMSGSILLRHAGSRISTAPAVSPAAAAWPLLFAGCDGVPAAMVRPMSTSSPTKEAAAAKAPKEGGDKKAVAVNSYWGIEQSNKPMREDGTEWKWTCFRPWETYTADTSIDLTRHHEPKTLLDKIAYWTVKSLRFPTDRRYGCRTMMLETVAAVPGMVGSMLLHLRSLRRFEQSGGWIRALMEEAENERMHLMTFMEVAKPRWYERALVIAVQGVFFNAYFLGYILSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIEDVPAPAIAIDYWRLPVNATLKDVVTVVRADEAHHRDVNHFASDIHCQGMQLKESPAPIGYH >Dexi7B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:7B:16479163:16479818:-1 gene:Dexi7B01G0008720 transcript:Dexi7B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRRGMPLVAASNALISGRYTSERSVVAEHHATRALTPVEGQGPGRHGHRHLVHGDALHAEVVGSVLGVLEEPCGVPADRVGEIDDPRRERRELEWPTAEDGSAGLATAGEAERELLRHLEPLRDLGRERQRHPREPRDARRAGRERGEEPAGSAGDVGGEGHREQRGLAVGGVGGERHGGEVAGAALAAGRVVRVRGAGAVGVVGVAGLLAA >Dexi3A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:3A:14777041:14777622:-1 gene:Dexi3A01G0018930 transcript:Dexi3A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATRGPGRAGTAPGRTISKGVLSLPSHVRLTRRAGGNRGAALLVVAASGGRRDGWGADSSSSSSNDGQLVDANMATLRRRIREARATEAAEEDGGIDADDDGAEEADAGGGLPLPEGWTELERRHHGDYVAGVRGAVALIEVLLLRTRPGLEAGVLAILLLGVPASLFLVVCAQLMQTLESVSSRLPIGR >Dexi2A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:2A:26758887:26762014:-1 gene:Dexi2A01G0015620 transcript:Dexi2A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQALRMLCSPQFWRMAVLWTISLLLSYILAFLRGRPAASPRRRLPRPGAGGRPICVVTGATSGLGRAAAAALAREGYHVVLAGRSTQLLYETVQEIRRQQPDARLEPFQLDLASYKSIKKFGTSLEQWIQQTSSEPSIQLLINNAGILAKSHRVTEDGLDDAADPGVVETKIMRELPQCISWFAFLVLRILNLLQAPDTGVGAVLDAALALPEKSGEYFFGGKGRTIRSSRLSYDTEVSKKLREESSAIFKELHLREVNIGNS >Dexi2B01G0020930.1:cds pep primary_assembly:Fonio_CM05836:2B:30930193:30931226:-1 gene:Dexi2B01G0020930 transcript:Dexi2B01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRHSQDVSADKVVVNLETSSQVAESRRGASTAVAGAQNAPIDVEAIEDEVQVVSPSRVPPPRRNRRIRREYVTVLDLEEVGPSWQVWVFHVDDESVSFPQVLNARGLYLQPIACLHIGEKGPACRCLIFI >Dexi6B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:6B:373403:374110:-1 gene:Dexi6B01G0000540 transcript:Dexi6B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASKTMAITNKALLVMLLTVALLPLAAFASRTSAAHHGHSGHGPKPHSPPPSPLTPASPPTPAALVRSTCNSTTYPDLCISALGADPSSATADVRGLSSIAVSAAATNASGGAATAAALANATTGAAANAQATRAADATVQALLRTCAAKYGAARDALSAARESIAGQDLDFAAVHVSAAAEYPQVCRALFRRQRPGQYPAELAVREEALGQLCSVALDIIALLSSSPAPSR >Dexi1A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:1A:2290869:2291434:-1 gene:Dexi1A01G0003160 transcript:Dexi1A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCCAGYGWELRKALLRGKKRSKTRPFGLRRARRRSGRRQAACVRACLARQARQPFYCGGERSNGRKGGEKETGWEKKGQTKGERGRDGSLVSGLLKKEKARRGKFLASRGATSSPTRTDDAAAGGKVHRILALAPVGPSLPAFCTCGDGAPAGPRESRVGAAVVVVVVAGTEWRRER >Dexi1A01G0026080.1:cds pep primary_assembly:Fonio_CM05836:1A:32049241:32052492:1 gene:Dexi1A01G0026080 transcript:Dexi1A01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTARRTQTDVTQMIESLFASVNLAKDLSARCKGRALQLTDDDIQNIAQDLENVLQNIYDDLSRIPTSSFGSNAYMDVLIKSQSMRGYSEADISMNVMGNRRKSLRNNDTPKLVDFLQGMYHESDEYRGQMFNTLSEVAEYIEPMYDAFFCPLTNEIMTDPVITESGVTCDRRAIEEYIERFSDSSEPVYCPVTKMPMQSKTVMSNASLKSVIEEWTMRNEALRVRIAHTALSLSAAETMVLEAMHELKLLAKLRGKNRELMHKIGVTKFLARLLDNHNAQIQCDALELLCLLAEDEEGKDIIGKTKAIARTVKLLSSNTTDERHAAISFLLELSKSQLLLESIGSTPGSILILTTMKINYSDDPIAAEKAGAVLKNLEKCPKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVSFLSELVQEQELTIDINRSTSEILIKMARSCKPMARKAAFDVLVQLSFHRPNSMMLVDAGAVPVMIEELFIRKVDDEPVNSMASAGTILANIVESGIDPETTLVNKEGHVLTSKYSIYNFVHMLKCFMPDDLNLSIIRVLLAFTALAKPLATVVSVIRENHRGHAIVELMSSQMEALSLAATRLLITLSPHIGHTIVERLCKTQGQPRKLVKNISHAGRITERQAALAILLARLPYRNTSLNVALVQEGAVPAILSAIKEMQNNPARSSRHAVPYMEGLVGALVRLTATLYSPEVLKVAIDHNLASVLTELLTGAAGSDEAQRLAAVGLENLSYLSIKLSQPPPDELLSKKNITILKLLKDSKAHNNKKSSHNQINVCLVHRGVCSPATTFCLLEAGSVEGLLGCLENDNVRVVEAALGALCTLLDERVDVEKSVAALSELDVARRVLGALRQHRQNVLWQKCFCVVEKLLEHGDDRCVREVTGDRMLPTALVSAFHRGDASTKQAAESILRRLHKMPDYSATYVSMEF >Dexi5B01G0021350.1:cds pep primary_assembly:Fonio_CM05836:5B:23628972:23629265:1 gene:Dexi5B01G0021350 transcript:Dexi5B01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRVRRPSAPTVNRRSTTVRSGGLPQASVGLATAPDPFYACQDEGLPQQPLNPNGRWHPPRQADGSSGWRATIPHQSFLPKMVDGKDEQCLHSRP >Dexi3B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:3B:800401:801050:1 gene:Dexi3B01G0001060 transcript:Dexi3B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLQPDLRREPISRQEEDRIVSLQKLLGNRWSAIAARMPGRTDNEIKNYWNSRIKKKLQRMGTGHYQSKSTEMRQIIQNNGGDSNTDGNLDLYRRQTTAEGQVNHSNPTLHNNYSDQPSTLPTVFTSQLVHQQPIVAIEHGEQRTQSFIHEPFSKSYQINFVEEYVDLIMSLQDDLPDI >Dexi9A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:9A:13795680:13799051:-1 gene:Dexi9A01G0018750 transcript:Dexi9A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLALVATLLALRPAVATDPYALFDWDVSYVTAAPLGVIGINGKFPGPVVNITTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGFGGIVVNNRDVIAVPFGRPDGDITILIGDWYNKNHTDLRKMLDEGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETFNVHPGRTYRIRVHNVGTSTSLNFRIQAHNMLLVETEGSYTTQQNYTNLDIHVGQSYSFLVTTDQNASSDYYAVASARQVANESLWRHVTGVAVLRYSNSGGPASGPLPDPPQDQDDRSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRGTAPVTIGNRRRAALNGLSFSPPETPLRLADAYGVKGVYTLDFPDRPKQGAPPRVGRSIINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGIWNVRSENLDSWYLGQEVYVRVVNPEETSNKTEASIPDNALYCGQLHKYQKEQTPHHKMGMSGAAARSTPAARRLILTALLLVGSFVLAP >Dexi9B01G0042170.1:cds pep primary_assembly:Fonio_CM05836:9B:42459218:42461208:1 gene:Dexi9B01G0042170 transcript:Dexi9B01G0042170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEATRAGIEGGRVIDAALHLAALALAAPLSCHARSSPATDRILAIVGRRSGRASDDTQMATHPGKKHALGTAGMLTRSRIAARAQGTQLATKPKVVCDVSPAGRPEHRSIVVGYCMIQIVDFMALRQLVVIGVKLKVMAPIRTLRWCPVAYTTRVSWGDERRETEDSSHHHAGSHDLDDGALFMALSSRHRPATPVYLFHAGIRDAHSPPGRARTDLTATDHPIDERGSSRAPCRARRRALAAAAAALPLSAHHAALSLSPYLSVKPGRPPECMHGLHDAVVASPVHSINRAELHAVTMCPACRDEPSIEFDLDADGLLDISLRCMHEPPASK >Dexi1B01G0023000.1:cds pep primary_assembly:Fonio_CM05836:1B:28664329:28664846:1 gene:Dexi1B01G0023000 transcript:Dexi1B01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTEPSTPAAGGTPWKGRLRSHHATPESLFSRRLPPRTNNRIEVEEPQVAKKPAAPKNTRRCGGTRTEETGTARVPRAPPRRSPRFAVAGRDPEHPIVLDDGIEA >Dexi9B01G0030920.1:cds pep primary_assembly:Fonio_CM05836:9B:33357796:33359310:-1 gene:Dexi9B01G0030920 transcript:Dexi9B01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVESKKLRILLMPFFANSHMGPFTNLAVHLAAARPDVVEATVAVTTANAPFVRSIIARQSPSHAKVEVATYPFPSVDGLPAGVENLTVVEPADAWRIGAAAVDEAVMRPAQESLIRELSPDAVITDFHFFWNIDIADDVGAQCLVFNVIGAFPSIAIAHLNKSRAAASASDDETRDTTDRSGRVVAVPLLDGKDMLIPHTELPEFMRVKEAISEASVMGRIESSAGRCAGRSMNTFRDLEHGYCDLFTNSVETKPSYFVGPVALPPAAAAVNNGGAGRSPCIDWLDTKPSRSVVFVCFGSLAPVSHAQLDELAHGLEASGKPFLWVVRNDTWAPPEGWTERVGERGMLVKGWAPQTEILGHEAVGVFVTHCGWNSVMETVTAGVPALTWPMVFEQFIIERLLTEVLGIGERLFPEGAGVRSTIHEEHDLVTAKAVAQSIVKFMEPGGGGDAARARVKEISARARAAMAEGGSSQRDLQRLIDDLIEATTEQYHRTNSVLEK >Dexi7A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:7A:28655154:28658311:1 gene:Dexi7A01G0019450 transcript:Dexi7A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKCSGSETSSWLVTRSTHVAHNFEVTGFSLLDDSGAETYVSSKIFSAGGHDWTIKVYPDGYYKEDKAAYVSVLLCLVGGAKGAKATVTYAFDVLEKDDRMPKLSPAINHMLSDTFEPGVHDLGGFPRFVRKSKLEPLLRLNGDGITIRCVLTVIGEPQFEDIATVAVPPSNMHQHFEHTYKVGKGTDLAFHVDGRLFHAHRCVLAARSPVFDAELFGPMKEKDTEPIKVDGMEACIFEELLHFIYTDRMSDKFDGSDRDLATQHLLVAADRYGLDRLRLMCEAKLCHGIDAETVATTLALADQHSCVHLKDACLTFVASGDALGDVMETDGFKHLVESCPFVMVEILDKIAKSKTQSSRWQKNPTSVDNSNALQRLETSSRCVTERVIGTHTFEVADFSLLDGMGIGKFVTSMTFSVGGCDWHIKVFPDGSKQDDKGAYVSVFLCLRKFTSAIGVVQFTLYLLEEKDGSVSTLRDPRLLTYTFASTGDDWGLPQFVQKSKLKEFLRRNNDSLTVKCHLTVIKKSRSEPKVVVNTVVIPPAHDLHHDLARLLKDSGGADVTFEVDGKLFHAHRFLLAARSPVFKARALWSDEEGYKSYQSRRHGGQHL >Dexi9A01G0036520.1:cds pep primary_assembly:Fonio_CM05836:9A:40921648:40923719:1 gene:Dexi9A01G0036520 transcript:Dexi9A01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLKLVEPRPPALPMGEAGKDGHVFVELRTHQSCDCYSSHGLLRLLAAYLLLVDVAMARQRAPSTSVSARHSATGVVSILMQFGDGHGRPGAKLRANSKGGAMSGSTRGDMFIPIGDGGGLTKENQAGAFGPWSVRGSCFLVASSTPQNSSCRTIAEVCAGRPERHRTFLRFVSLDGWGKAQKQQSGHFVIV >Dexi3A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:3A:81256:82357:-1 gene:Dexi3A01G0000090 transcript:Dexi3A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNKQQQHQVAAASSWRAAAASFRESLSRSLSVNQLEQEKDDEVELKWAALERLPTLDRLHTSLLAGDGGRWWTLGAAERRMAVDALIADIHRDNLRLLRKQRQRMDRVGVRPPTVEVRWRDLCVEAECQVVQGKPLPTIWNAAISTISAAFSMLGFNRQHAKISILHGVSGVVKPSRLTLLLGPPGCGKTTLLKALAGKLNGAASSLKVTGEIEYNGVSLKDFVPEKTAVYIDQYDLHVPEMTVRETIDFSARFQGVGSRAEIMKEVIKREKEVGITPDPDVDTYMKVIYFPCVILI >Dexi1B01G0026890.1:cds pep primary_assembly:Fonio_CM05836:1B:31716980:31717321:1 gene:Dexi1B01G0026890 transcript:Dexi1B01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRAAAIEHASSFLPPPPPRVALAQKLVVAFLLCSLLAARNTATASASPTTRSCRISSDTRAARLTSSWNAGSSSPGLPHSDDPALDEQSVEYPVDAPEHDDATSSSP >Dexi1A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:1A:9024090:9025345:1 gene:Dexi1A01G0010430 transcript:Dexi1A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFKAWHCLLALSLLCSAVSGQLTPTFYASTCPTLEQVVRNTMISAIQTERRMGASLLRLFFHDCFVQGCDASILLDDTGSFTGEKTAGPNANSVRGFEVIDQIKTNVEAACPGVVSCADIVALAARDGTFLLGGPNWAVPLGRRDSTTASLSQANSDLPAPTSSLATLITKFSNKGLSARDMTALSGGHTIGFSQCKNFRDHIYNDTNIDSTFATARRGSCPAAQGSGDSNLAPLDEQTQLVFDNAYYGNLLVKHGLLHSDQELFNGGSQDTLVQQYSSNPGLFVSDFVTAMIKMGNISPITGSAGQIRANCRVVNSS >Dexi9A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:9A:6268637:6272011:-1 gene:Dexi9A01G0010340 transcript:Dexi9A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPQDDKSDRPSGDGGTSREQERRPSKAWGIIIFGLIGATTATFAITQVRRSVDWFYTQTAFRTKAMEVHPDQNQDDREAAEEKFKEVVKSYEAIKLERKNGGN >Dexi3B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:3B:4692022:4695048:1 gene:Dexi3B01G0006710 transcript:Dexi3B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVQLHSLLFKLLLRRRLSTLSAPDAPAAPPFGVSSRPADQRPSPPSNPSFSPSSPDGVATKDLHPDPLSSLHLRLFLPNPNHHSAATAAASPVPHPLRRNSFPQRDPAPGQDHLSRRASASFHAVGASPAPAPSPSPSPSPRDYGGYLPTAGAARSAARRRLPVIVQFHGGAFVTGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVAVLRWIAKQANLAACGRTMMGKCTGADSFGAAMVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVETGKLLDPVRVVAQVLMYPFFMGSVPTRSELKLANSYFYDKSSCLLAWKIFLPEGEFNLDHPAANPLVPGRGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPQAQACAEDIAIWIKKYISLRGHELSY >Dexi2B01G0035700.1:cds pep primary_assembly:Fonio_CM05836:2B:42677025:42677471:-1 gene:Dexi2B01G0035700 transcript:Dexi2B01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEFGSMGPFLLGIISTQFEEAFPALPTRKRAEEVIVPTSKGPAEAPATPALTSTKPVETESRSQEVYQLRDEADFPPLQAGCRID >Dexi3A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:3A:8735684:8739293:-1 gene:Dexi3A01G0012100 transcript:Dexi3A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVTSVPFRNSVPSLPSVPNRDSSSTCELAGASPCTARPRRRPWTKDSAVGHWSKGARGFEACTHHSLRSPAMEKSGGVDDGGNDCGGSDDDSHSDGVDNNDGGGGKRDARITFCVYLLKYIARTINKDLTTYSCYVKNGKPNKCKPSLTASLSCKSLFVSGGWGEIRGGLPVASSWPIHGLRRGRAVQGLAPASLHVDEGFRRGDRKESRLGTEGSDGTESRKATEVTQGGQFPVRKFLKKKKPFGDSAAAAASKEEIEAAKEQRAVERMSLRSLVISTRDPPRAGADPTAGTISPLLLLSFFPVAHLLSSPRAAQQRQPSTPGPTCRRLSPPPLPLAPWAHMSAAPPTSSRGQAELLPGFAPPRRHAALGLLASLVRTPRGSPPPLYKCNPPFRKPARTNPSRRQVRAAAVTLSLLHRRIAANVESSSVGESRGTRRAQNPSSSPSPPHARPRRRHQAAAGRREPSPPREARFRPPKSNPSPLSLGLIAASFVPPARSVFRRPIEIQRPDLKTRFNPSRFTVNPRRQHPAVAMAFLQKSPCIVDEPFEFADEPVPEEQEQQQFTEEGKYNTDNPCYLYTI >Dexi9A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:9A:10060628:10061731:-1 gene:Dexi9A01G0015110 transcript:Dexi9A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAAAAGASRKRRRVCVGSTDVYEEVSGLGSGNFGAVVKARHRVTGQTVAIKRLTLSDADGGLAEDPLQEAALHEACAGHPSIVGFHGVVRDPSTSRICLVMECVDGPSLHDYLHHHRLRPRRRGAPSLLPEATVRAAMWQLLGAAKKMHESRVIHRDIKPENILVAGDHRSVKICDFGLAMSMSDAPPYEQAGTLEYKAPEMLLEIPDYGAAVDAWSLGCVMAEIIAGGTPLFEKGGGGDDGQLVAIFDLLGMPVDETWPGFSSTPFATKVVPELKVNRRNRLREIFPKATLSEEGFEVLDGLLTCNPGKRLTAEDALKKPWFDKVDALELPRKDEVASALPSWKKKLLVSPAAAGKRRKLQCV >Dexi9B01G0031520.1:cds pep primary_assembly:Fonio_CM05836:9B:33867251:33872791:-1 gene:Dexi9B01G0031520 transcript:Dexi9B01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGHSGIGGITQEFRVVKDNRSKQKEACETLTEASHKSDSNVGDKRILKFKNSSHMYAVSPHLAWKPKSTSPSCVNHGVGVVPPCVDGNQAEVAGLSKKLSQANVSQDEHVIIPEHIRVRDSEVTHLIFGTFGSEIDPKTSVTTSHTVGTKEDFNDHSPSSPGVVSEYGTNEMISSKVTHSQPQLQHQDNPAMQNFKFHSSCFMVHQSYVSDSRYTMPFITKIVDGEAAQSTAYQCECSSIAPGSHPANAFQLPASGTAQQPVPQMYPQQFQVPQFPNFLPYRNVYSAQYGSPMVVPNYPSNPAFPQLPHGSSYLVMPNGASQLAVNGMKYESPHQYKQVFQGTPAGYGGYTNHNGYPVSNGVIGSTGGIEDANMNKYKDNSLYAPNPQAETTDPWVQGQREIPNIPSPQLYKMMGQPVSPHAPYLPAHNGHPSFSPAPPHPAHLQQYPGFPHALHPTSMTMVQNPQGMVHQPGVPPLAGNLGLDMAAMAPGSQVGAAFQQNQLGHLGWAPQPF >Dexi6A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:6A:19541270:19541518:1 gene:Dexi6A01G0012810 transcript:Dexi6A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRERNSASGIGKVIAAEFVRNGTKDNIGHSIAVEVGPSVCCYTHCDVTDEAQPRRSPRPSTSQWRATAASTSW >DexiUA01G0017630.1:cds pep primary_assembly:Fonio_CM05836:UA:37240643:37241818:-1 gene:DexiUA01G0017630 transcript:DexiUA01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPLRRWKPFLAAFGAIDAAIEAAGPDISRDEFRRARCVLVERLCDASGEDERDELCGHLDTAMVQSLLTLQMVPVAPAMLARTELVPAVRALKWHECEQVRALAEDIVCRWRATVEGDLVKVRAATAKLAQIESTSPAAAIGNVQFQSEHDANTEAGKNKVTTTVLVDDTDRVESTKISDRLVSKSTSQVTTASISRNRPSSKKVLEPRSKETAHGVATTTRSVRVESTKVSAALPRTTAALRVSGSSGSDNRGVSSCIDGKIEASKRRLREGYREEEDAKRQRKIQVIKAPDMVVVKDNRKQHPIMRERSRVRCASSVKVKAPRIDEEAEGIR >Dexi4B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:4B:3334282:3336986:1 gene:Dexi4B01G0004680 transcript:Dexi4B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGREMAGGGEGGAQQADDFFDQMLSTLPSAWADLGGAGGGNNKSPWELPAGAEDAAVQAAFGDESALLASRLRQHQIGGGGDAAKSSSPVMLHLSDLHRQAGAGEESGGFSPLPLFTDRSAPAREDMDGGFKSPNSASGDHSMFNGFGMHGAAAVPPQFGQGGSLSPQSLGGPAASGGTTPPAGGAASSAGGGGAAPPRQQRQRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSSSNGGAKGSAAAGTKASGSGENGGGGGGLRVTENQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPPSLLRHAAVNNNNPAPPPGQLHDANNGGAAAGAATSPASGSSAGGGGDDSRSSVKDVVGGGGKQQ >Dexi5B01G0039170.1:cds pep primary_assembly:Fonio_CM05836:5B:38151410:38151885:1 gene:Dexi5B01G0039170 transcript:Dexi5B01G0039170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKSAAVVAACSILIIVLLSGQQQQQAAAMSDFCRCYQNCYTECRKTGGIYRCKIECAQDCFNGQPPPSSAAGCREICGLDGICGVMETTAIAADACVASCTNKLGAFAPNAAKINY >Dexi2A01G0023580.1:cds pep primary_assembly:Fonio_CM05836:2A:35300760:35303624:1 gene:Dexi2A01G0023580 transcript:Dexi2A01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRSPSDPPRRARLLSPPGGQPQAPRAPSLPMDLSSQYQRRFSAPLFLPPPMAPRGLAPGSGFSAFSNYQGPPAAPGGSHLARPLTKTPFFSTDSLAPLPYSADPAAGAVAAVPRSPPSLGGSEQQGASPSGLPPRGAGHRRSRSDFLIGFSLQNQLPLALPMLPPAEGYSKSADAAALEELFRSYRDPRALAVLGSPNERNSHLLGNQVMSGQRAWSPADSSDNETESWATASGGGSTSHPWRHCRSLSVDSIMGSLNFGALGQVSPTLPRPPSLASGSGAGASVSHTGSGPSGAAVAVATSELANGKFTESEMKKIMANDRLAELALADARRVKRILANRISAAKSKERKVRYMGELERRVHVLQMETSTLSSKVSSSQRECEALKAQNNEMKIRLQAMGQQAHLKDALNQALGAEVQRLKQAAGETSDAHAWTGSLHHMNRQILEQQLLQLQQPAEDQKVHQQQ >Dexi1B01G0029760.1:cds pep primary_assembly:Fonio_CM05836:1B:33964639:33967488:1 gene:Dexi1B01G0029760 transcript:Dexi1B01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFNGLENTRILLTCQDLDFQCLEEPNKLEMCGLDPRKLHRADRLQDSHKTHLVNILKGGIVYSNKVILMSSVHSSDVLMRDPRHGLEATLTAHKEKIMVSSHGLDGEFWDPSKDIYLPRRYSANDLEGKSICREALKRRLGFHSGSSIIVGCIFDGYSDIHNLKEAVHVALRRSAQVIFMEKLGSVVNSSVLALKEEVKFINLDDNIAFIEEYDETLAHLIYAGSDIILCSSFEDPSLQIAIKAIKYGCAPVPINFPNDESRQSEGHDCHNGVMSKYIISTYGELSLLQALESLKNDPSSWDQQIKDGMVKGLAWDAECYDLHWEAYSSIRKL >Dexi8A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:8A:17436695:17437185:-1 gene:Dexi8A01G0010430 transcript:Dexi8A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLRTILPVVFFAILLTGTNGERCGTSSIQVETFNSGVVVTGGDTVFEVEVKNLCPCAVRNVQLDARGFATTVDVDPAAFRADDGGVFLVNGGESIASMATVRFQYAWDHFFQMTPMNLDVDGPC >Dexi6A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:6A:4202931:4204684:-1 gene:Dexi6A01G0004660 transcript:Dexi6A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPYPPQQQPPPPPPPQGGFPPQMNPYAPPPPQQAPYGRMPAPPYHAGAPPPPPPGPPPPHQPQFNFGPGPPQQPPPPQQMYFQPPPPPPYGGNGNPPPPPPSAPPPPPSPPPAAPPPPPPPPAQPPAAQASPPPXXXXXXAAATAPAADPPPPPPYGGNGNPPPPPPSAPPPPPSPPPAAPPPPPPPPAQPPAAQASPPPPKEQQAKAALPRAETEEERRARKKREFEKQRAEDRKQQQMMRQTQATILQKTQQTRSAHQQPSQSRHQHHPPGGSRAAATGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYAL >Dexi3B01G0034190.1:cds pep primary_assembly:Fonio_CM05836:3B:36959800:36960159:-1 gene:Dexi3B01G0034190 transcript:Dexi3B01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVRAPGFVPSGLFEVIPPIIPPVVFLWCLLDGLHLLGTPSGLVLVSMVVFEFYGSPQVGILGATFSFLPMGVDDGLRLLLLDLPGARSPSLGCTPSACASARRCCWGTSGTILTGGA >Dexi9A01G0027740.1:cds pep primary_assembly:Fonio_CM05836:9A:32401359:32404519:1 gene:Dexi9A01G0027740 transcript:Dexi9A01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIPGHTSIAAYLGSSLPNFPSHCIASNLRYTTPGAGSTKSPVAGGRSSNSASSSSALHEQRFLAVLMAAAAMIGITTLRCHHRRLPARSRATSTVRSCTLPPRRHRRGSLAPHSSSSCSASSACSPHGRPAAALSRISAKNKGFMGPAGNGAATLHRPSSSDLHRRLIVPNSTAGTGDASGSSGLLPTILGVAHLIVSLGIVLAADKFLKQAFVAASIKFPSALFGMFCVFSGLVILDTFVPALAKGFMDFFEPATMFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFAITSLGTTAKTCLPFLLAATVLGYMVGSGLPAGVRKVLHPIISCALSADLAAVAYGYLSGSGLDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLSDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLIFIAG >Dexi2A01G0032850.1:cds pep primary_assembly:Fonio_CM05836:2A:43192597:43195104:-1 gene:Dexi2A01G0032850 transcript:Dexi2A01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGCQRCLVAAAVVILVMISASVSTAAGAAAGEFITWDDLSIPAAARRGEPEGSGDRGGVKARARHENLVTIVVSQDGTGHSRTVQGAVDMVPAGNSRRVKILVRPGVYREKVTVPITKPFVSLIGMGTGRTVITWNARASDIDKSGHQVGTFYSASVAIEADYFCASHITFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPTEDSGFSFVGCRLTGSGMLYLGRAWGRYARVVYSYCDLSGIVVPQGWSDWGDRTVLFGEYSCKGPGASTRQRVPWSRALTYKEARPFLGRSFIDGEQWLKL >Dexi7A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:7A:20946274:20946727:1 gene:Dexi7A01G0010050 transcript:Dexi7A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNIGRLVLPRLALCWLPRCWLINMCVTDRSDNSGVSSYLAILGSLVRAERDVEELRRILFSTMSDRRTVEFFEGILDPLPQQELYLKTLEGIVQLRARRCTRSGVHAFLYKNRKIIFALAPLLSLLVAIVGLVVNNSIKHKY >Dexi3A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:3A:5595538:5598463:-1 gene:Dexi3A01G0008020 transcript:Dexi3A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRCQPLTTCMTSGSRKGAGRLCVAAPPAVSISALLLLPPDSIIEFESKPAAMSSTEYVLVLERNEYCASSFQIVYDVTDMESFNNINQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEKAKDGNPTERGEKAHCPRSHERAANTAEEQLLLVIVVGFIKSKEGYTSRAPSLSVLVRVWKD >Dexi2B01G0034800.1:cds pep primary_assembly:Fonio_CM05836:2B:42004124:42008389:-1 gene:Dexi2B01G0034800 transcript:Dexi2B01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRFVRREGCSALLLIYPAVASRAQNVTARACIVEHRQVHGEMDACARLLLLSAACASHGRELPVKKSSQSFVYNHTLAKALAEYASAGLEMRSLIVDVENCLQAFVGVAHNINSIVIAIRGTQKNSVQNWVKDLIWKQLDISYPNMPDAKVWVQDSKGNTTVQICDNSGEDPHCCRCISMFGLRIQDHFTYLGVDMEADDWSTCRIITTQSVEQFRKELASNIMMTKHNVEVSIVEPSIQTDRSSF >Dexi5A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:5A:5436068:5438231:1 gene:Dexi5A01G0007270 transcript:Dexi5A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPPAMVAGVGAPGPGPGPGYPESTESSPRSRGGDSWDEPFPSSAAAAAAGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRHASLADVHARLSRSLLGGRPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAAGSSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVPNSAPSEDQRAAQQKLPAAATAAAVAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPADRPQDSRVMPPATVEDHFAQMGISEQQPPPPVAYMQPPQQVPIPAMAVPVASPSEASSRVFSDDDRSDHGGSRKPQPPKQEVPTVADPTNRAVYYNDRSPPAELKRDMPVGAEAASYRLPVSTPDAAAVAAAAAATQPPPGYVFAQMHAPPPQQQPPPPQPPQQPQQPAPQQIVTAGNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPVARPQQAPAFDPNTGMYYIPMQQNAPQPYSMPPGAQASLPPASLVDTTPKPTVPIPQMAVKPELQQVQQPGVYRTTAAPAPAPAPNAAPGYAGMGYHHVIQSHHHPVQQPVANMAGNYGYEYADPTRAQQQVYYSQAAPQPTLPPQYQPIVSPDAGQAEKH >Dexi7B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:7B:18841743:18843153:1 gene:Dexi7B01G0011910 transcript:Dexi7B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREREMARHGRLAALFLLACFVSARAATAILDVSCTRMRVLNVASMLCTLCSPPGLLPNGNFEEAPARSELNGTRVTGRFAIPHWEVSGFVEFIGPGQKQGDMILLVPEGAYAVRLGNEASIQQRLTSLARRTYYSITFSAARTCAQAEQLNVTVAPESDVLPIQTVYTSSGWDSYSWAFKARRSDVTLIVHNPGVSDDPACGPLLDSFAIKTLQPPQRPKNNMLKNGDFEEGPYIFPDAPWGVLVPPLDEDDYSPLSPWMILSSTKSVKYLDAAHGYAVPHGAHAVELVSGMETALAQDVRTVPGRGYRLEFSAGDAGDGCVGSLAVQAYAARGSVRVPYESQGKGGYVRGTLDFAAFANQTRVVFVSMAYTMKGDGTLCGPVIDDASLVGLPNRVARRLLL >Dexi9A01G0049810.1:cds pep primary_assembly:Fonio_CM05836:9A:52345886:52348893:1 gene:Dexi9A01G0049810 transcript:Dexi9A01G0049810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVAALVLLPLLAVAAPTSALPFIVLHGIGDQCANHGVSQFTQLLAEWSGSDGHCLEIGRGTWDSWVMPLQQQADIICNKVKEMEQLRGGYNIVGLSQGNLIGRAVVEYCDDGPPSGILCIIVDALIKLEIYSDYVQEHLAPSGYLKIPTDMSDYLKSCRFLPKLNNEIPGERNATYKERFSRLENLILIMFQNDAVLIPRETAWFGYYPDGAFDPILPPQKTKLYEEDWIGLKALDEAGRVKFVSVAGGHLGISKGDMKKHIVPYLADKSSEKMLQWSTSDVLGVDLA >Dexi2A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:2A:4697561:4701182:-1 gene:Dexi2A01G0005050 transcript:Dexi2A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKAAATTTAATAGSASFSAAAGRTRRGSAGRVSFRGAAHVVPVRAAAAVAVAAVAEDKRSISGTFADLRKQGKTALVPFITAGDPDLATTAKALKILDACGADVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPEMSCPVSLFTYYNPILKRGIPNFMTIVKEAGLRGLVVPDVPLEETYVLRNEAAKNNLELVLLTTPTTPNERMEQIAKASEGFIYLVSTVGVTGTRTNVSGKVQSLLQDIKKVTEKPVAVGFGVSTPEHVKQIAGWGADGVIVGSAMVRLLGEAASPEEGLKKLEELAKDLKAALA >Dexi5B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:5B:7449663:7451536:-1 gene:Dexi5B01G0010500 transcript:Dexi5B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLGLAPSASSSSGGGRGGGMASPCTALPPGFRFHPTDEELIVHYLRKRAAATPCPAPVIAEVDIYKFDPWDLPAKAIFGEAEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPITLSGGGGAAASSESSSDGRAMIGVKKALVFYKGRPPKGLKTSWIMHEYRLAEALAAANTYRPMRFKNSSMRLDDWVLCRIYKKTTPQLYNSSPSHDDPSMDGGLDLGRQLQDDSVSANDIVATYAPPAGRLPRPASISDYLVDYPAVSELFDTMPAPETTTTTQLGMDDAGRFYVTTNNSVMGASSSSTQQQQSSQYKRRFMEDYSNSGLNMLHVSSNKRVMMSDQQASMGVNNNNPFSSVFEPGQSSRPDRM >Dexi8A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:8A:10614759:10622099:1 gene:Dexi8A01G0008670 transcript:Dexi8A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEDDEQQPYHEVVEVEYAQEEHVESAVATMRREREERRKKLKREHQDDGSRLHSQQIRNDYVPQTKRYSRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGTKHVKIPCKGRDAVPDNESVNVFVYEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMIACPPTPEWKRPDDLDLNGEAKQDDDDDNGEHEPPNNESVDKVITNDDVLGDAVPYDQQEALRVLCYRLLDMPLGLHDMTLVDGEMIIDTVPESGLKRRYLAYDLMALDAVSKTKLPFSERWRMLEDEIIRPRYHEKKQFESGAKSNPFYKYDMELFSVRRKDFWLLSTVTKLLKEFIPKLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVMRSITDNITEVKLLEEIDEIRRLPMYADRIAQAHAKMAQHRRR >DexiUA01G0008440.1:cds pep primary_assembly:Fonio_CM05836:UA:15801983:15804327:-1 gene:DexiUA01G0008440 transcript:DexiUA01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGYGAHAVVYRAIFLPRSEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHNLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDGAGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCW >Dexi4A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:4A:2717082:2717890:1 gene:Dexi4A01G0003810 transcript:Dexi4A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKEGDLKLLGMHVSPFAHRVSMALGVKGVRYEYIEEDLFHKSELLLSSNPVHKKVPVLIHDGRPICESLAIVEYVDEVWSGDGRPAILPADPYERAIARFWASYIDDKFFPAWLGIMRATTEEARGEKVKETQDAVQNLEKAFSEIAGGKGFFGGDTVGYLDLALGCFLPWFGAMRMMFGLEVIEAATAPLLAGWAERFGETAVAKEVLPEPEKAVAYAKKLQAYRASLNK >Dexi1B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:1B:26322672:26324829:1 gene:Dexi1B01G0020140 transcript:Dexi1B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHTPTSSSDNETRALNTLLDVFSCSFSLDDIADAYIKAKGDVNKAGDLLTDLQLSLPHINDVETTLSQTDKPVEENYMESSRQPRTLSQIEQAVDEKHTENSDQLKMPEKLQKSSAAFGTVSSMLGKESARATTTANRSSKKDKPLKVELPEYMRDDFKVKSDESDSAPRRETLNNRDVEEFLFCMLGEGFKLSMEVIREVLGSCGYDVKKSMEELMSFSEKGLYKQGESKDTAIQDVAVESSASKGKCLGSQSILSTHSSQERVQRSKPQISPGDLIESLFTVPGRLEEEPKLRRYELGANRSRVLDQKPVLKPLDDLSTYSTDFPVKVIIGSKEPAVNEEDYQNYRRAAKQHWDMMKQYYEKARDSKMMFTFFGELSGIHYSIHFQ >Dexi5A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:5A:4165998:4167450:-1 gene:Dexi5A01G0005630 transcript:Dexi5A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVNSIRRRFIDGFYEEASRRLPLKEVPGLDGCICAGGLCVGLADPVANIILNAVGLLLHDRQEQEDLPPPQRQFRVRRGSGGGWADIAYRSLDGLRGFMTAYFRYLDYEQAARYLYVASYNLPLAIALARRDSTSHSQSQQQLQLRDDCGNLRDALRVAAVQAKHPAPVVMVRLMTAQYPSGLLAAVVAKLQGTEEPLTARDVSEVMGLLANPWPPATPPLSMDFWCRPNNGSTTCTRGDDGTLTIAAFVGDRVATLTIPPPAPPVVRDLGCITHITFHSATDMMLLSGRRYDASPCTHLLLLKQLCLLDAIHATYIRALAVLPSCSPRLLRALLVAGHCYGPMDPVSNIVVSTAWYDMAFPLAEPDQQLPQDILDTKPVYRLASRSLQGLVAMAWLASAACCLRTGGSSLRHNGAS >Dexi6A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:6A:27299356:27300920:-1 gene:Dexi6A01G0019660 transcript:Dexi6A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSHHSPLLKMPAALTNGQSPNLSSLLTFYGQSHGQGAPANANAASGTAATMAEDASLESSSAVVDTSPQGSPSPMDRKRKATEDSATLSSAQSKDCKQESKSKRGKRSHKGSEEKSTTDDEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGLDSDGLHDHAQKMGGMFQEGLAMPGPVLSQASPAPSQTGMDTTFTTPYSLQGQQGAISFSQDNGSSYLMQQAVGDRQELLNQLVFNMCSFQ >Dexi9B01G0034850.1:cds pep primary_assembly:Fonio_CM05836:9B:36701029:36701316:-1 gene:Dexi9B01G0034850 transcript:Dexi9B01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTEAQGVAPLRRGSSTLRGPDEAAGEGAPHRGEPGCRGGGGRPSHGPDVKRAAIGGGRQRRANRPPEPHPLLISLFDSFQRKILDEVREGCRK >Dexi5A01G0029520.1:cds pep primary_assembly:Fonio_CM05836:5A:32599319:32602017:1 gene:Dexi5A01G0029520 transcript:Dexi5A01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGSICIDYSEYEHWKYEKFARFVNKMLLRRDTYLHTSRLHFKNYHFVDYRDRLALCGSDIKFLYPDDELCLLEIPGHVGNGTALMLTFAETRETYLEERKLSKVTKGEETSKMPITGMTFECPLLETVIVQCSKDDNEIEQTVNAMVAHGVSLEKIQVIFHEDIERAERWGMSLEKLKEHDILEKARKENQERVDDNNAGSDNSDDDSEEMEDEEYDDDDDDEIDDDEDEMEDDDDDF >Dexi2A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:2A:27845428:27847795:-1 gene:Dexi2A01G0016260 transcript:Dexi2A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAGRQGRRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVAVSRGLNGIGLALVIPAVQSLVADSTDDDNRGAAFGWLQLTSSIGSIFGGFFALMLAQTTFLGIAGWRIAFHLVAIVSVIVGILVWLFAVDPHFPANNAGLHAEPVSKKSALDEARELLIEAKSIIQIPTFQVFVAQGVSGSFPWSALSFMSMWLELMGFSHEETAIFTTIFAVATSIGGLLGGKMGDFLAQRYPNAGRIILSQISAGSAVPLSAILLLGLPDDSSRSSGIAHGLVLFIMGLIISWNGAATNCPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRERARMQSMIQSELDQIELGGSHFGCSDDRFELFESADDGEKPDQADGSYSAEQSADADEDTEKLLGNHES >Dexi3B01G0030250.1:cds pep primary_assembly:Fonio_CM05836:3B:29764245:29767171:1 gene:Dexi3B01G0030250 transcript:Dexi3B01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNVCDICGDVGVEDNLVICGRCNDGAEHIYCMKVKINEVPKGEWFCEDCQADIQIEIEEKNLKIYRLKAGAKSSANYVENEEPIKKYQWNGASSKRNQLDAGIINTNSVTHSVEHGVIGVGGTESVNADKTCHYREQTSGGRSASFNLRSLDGERHSLESYCMQMMVKEVPEEGWLCETCQSELQTEKKNAKLENSQAKLGAFKQALIEVKENKPASDGNIQSSSKDEDTKYAENRESKRRTCATLVGQISPECDGLSKEIDCRKGALLKRGCSFKIGTEKEKQTTSQMPTSVVPNALKNMAGPLHGPLSKSRSFNSTKIPKVKQLLTDVPLKPKHSKEPSSSIIKQVGPKSTLNMSSSLEKLNFSDPTIKAKTLLKPNSEEAGMLYPLKRQNVNNNRGTSIPGCSSATALVTSPTESAFQHLTKGSNMVDSNYVSIAHGQRKKTLGHGEVKLPLSAKEPGTISLNRVKTSVDILASDTARKAVQMPYPSNLDYKLSNPRSMDNPTMPSYLGNKSLAFSSQHISPGYEQLAFTPPEMNHIWQYGYSSLFNYSTYPVLLLL >Dexi5A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:5A:1294166:1294996:1 gene:Dexi5A01G0001830 transcript:Dexi5A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNENLKVLRSLTPALYIKRGDQASIIGGAIDFIRELQQVLESLEARKKRRSTGSGGHGCFSPSPTPSPRSHLVFSASGGSSSAGSSITPSPPVANNNKASSSALLAVKELAACCNSPVADVEARISGANVLLRTLSRRAPGQAARVVAVLEALHLEVLHLNISTMEDTVLHSFVLKARTLFGIGLECQLSVEDLAYEVQQIFVCCFQEQEQEQPDQLLLQENLMYSAMAI >Dexi3A01G0025220.1:cds pep primary_assembly:Fonio_CM05836:3A:20903081:20913135:1 gene:Dexi3A01G0025220 transcript:Dexi3A01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding AINNVHTIIGPALVGKDPTAQADIDNFMVQQLDGTKNEWGWCKQKLGSNAILAVSLAVCKAGASIKKIPLLCTSCECQTADPRSASRSDRAPRPLPIHGRRAAPLACAPLPRAPPRIIHEFMVLPTGAASFEEAMKMGVEFMIKTKYGQDSTNVGDEGGFAPNIQENKEGLELLKIAIEKAGYTGKVVIGMDVASSEFFIDKDKAYDLNFKEENNDGSQKISGDSLKNSYKSFVSQYPIVSTEDPFDDQDDWVPDQKTTRPCGYAKMTEEIGEQEQIIGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESTEAVKMSKCWLGCDCNGETEDRLIADLAVGLATGQIKMGAPCRSECLTKYNQASDFYVVE >Dexi7B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:7B:24246158:24247071:-1 gene:Dexi7B01G0018490 transcript:Dexi7B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKTNFFGRAWNRARGKSDVERICKKVFDDLADKDTALLDINSLHVATLMLVGPHKDPPCMKIIAEKMEEYRAKKGIAFEEFQEMILKWVEKDLRLVLANKAAVSILGAPLLAVTAKNAGRQVPRVGDAVEKVPTPLIAAVFSVGLMLLQDVRFGRQRE >Dexi9A01G0030020.1:cds pep primary_assembly:Fonio_CM05836:9A:34960053:34961637:-1 gene:Dexi9A01G0030020 transcript:Dexi9A01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLGFFKAVALILGPVALAVALYNPGDFSPAPMPPEYSYGPVVSAPRHEARVLEHIERVGEGKLPGPENLAYDAAGGWLYTGCDDGWVRRVTIPGGLVHTGGRPLGVVLASDGGLIVADADIGLLKVSRERKVEALTDAAEGVKFAMTDGVDVAADGTIYFTDASYKYNLANHMTDILEARPHGRLLSFDPATGRTAVLGRDLYFANGYPFVRKLVYLVDKFVAVPHGLKNAGAISVTLEGEPMSMYTDPGLALATGWLKVGKHLYYGSLTETYLSRIDLTKSSAELHE >Dexi3A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:3A:9904840:9905868:1 gene:Dexi3A01G0013600 transcript:Dexi3A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGGFGGDEEGRGLELSLGLPGYFSGSPTQAAGFFLLLSTPSLLLFFSLLTIVALCVGIVVSGLEKGSAGSTAAPAKGTNGFKAPRPAAAAPVIGWPPVRAFRRNLASSSSKPPPPRSHEPSSQRGNGSTATAKVVEAGGNKGLFVKINMDGIPIGRKVDLRAHAGYDTLSAAVDHLFRGLLAAQTSGRDQQVITGVLNGSGEYTLVYEDEEGDQMLVGDVPWE >Dexi9A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:9A:4787201:4787784:1 gene:Dexi9A01G0008060 transcript:Dexi9A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRASVAAAAALLALAACLALPSGAAANKITINWKPNTNYSDWVTQHSPFYKDDWLVFYYTAGQADVVQVDETGYNKCDASNAIYNYSKGRSFAFQLNETKTYYFICSYGYCFGGMRLAIKTEKLPPPSPPPSASHKSAAAAFARSHAAVLYAAVAVLAALLRMV >Dexi5B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:5B:19372156:19375441:-1 gene:Dexi5B01G0017580 transcript:Dexi5B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPRAPRLPPSAFASGAAVGLRCCGRGARCQATAAGGVAAAGPPSSELEAIRWGSANLQGARDEMEDDVVLRTGSLLDGFSFAAVFDGHAGFSAVQFLRHAPTXXXXXXAGPPSSELEAIRWGSANLQGARDEMEDDVVLRTGSLLDGFSFAAVFDGHAGFSAVQDELYKECAAALDGGAVLSTKNLEAITASIQRAFAAVDARLSTWLEQMDKDDDSGATATAIFLRNDVLVVSHIGDSCLVISRGGRPEALTSSHRPYGNNKTSLEEVKRIRAAGGWDF >Dexi5A01G0028240.1:cds pep primary_assembly:Fonio_CM05836:5A:31551277:31554242:-1 gene:Dexi5A01G0028240 transcript:Dexi5A01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAATLRKRALSVHTGSNGTTLAAAAAGELVSPSARLVEDFYIVVVIGIATPVNLPVARAGIESQLARYPRFRSIQVKDGSGNPRWVPTTVNLDHHIIYPKLDAAAVARDPDKAVEDYVATLSTLPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPPPTTRTGAIWERPRPPLSAGAMAFLAWAWSFVVLAWHTVVDVVSFFATILFLRDPHTLFKRVNHGARQRKRLVHRGLSLDDVKFVKNAMNCVRPXXXXXXXRHPHTLFKRVNHGARQRKRLVHRGLSLDDVKFVKNAMNCTVNDVLVGVTYAALSRYYFRKTGDADTSKEIRVRSILLVNLRPTTSLQACVNMIESGKESDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLSAEVILKIFGLKAAGAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNAMMVNLAVDEAQFPDSHDLLDDFVESLNLIRGAASSLGKNHKND >DexiUA01G0012480.1:cds pep primary_assembly:Fonio_CM05836:UA:25249448:25255572:1 gene:DexiUA01G0012480 transcript:DexiUA01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGEDNIVTASLEDLTVEDHERFMALQKHIEAEFLKTFRKGREDPGQTASTAGQTATGGQTVATGGQTASSGGQTNSTNAMVFVPEQPLPLSTVPNSVSAGRAAESTKGKNVVIGEERPSSKESVREVTYEKTADGKESFKVTVKSSGQGGKGRSLLLNCGHLGLVFYGGQTGCARRSDRLLPVAGSQTARQGQLPRAPLKQVYQNKKKKEVQSMDKRKLQRLRFREKQEQELEKQREILQPSQTHDSIKEGVEAEGRPTGVQTACHPKLAAQAVRPPVQAVRPGDAEAPDVSSSSSSARDGKSTSVPTAEDDEELVDYSSSPERMNLDVNVFHMSMNGDMLSEEEHRSRIITNGTLCA >Dexi2A01G0025320.1:cds pep primary_assembly:Fonio_CM05836:2A:36961690:36964484:-1 gene:Dexi2A01G0025320 transcript:Dexi2A01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLPTSFDRRAPSALAVLLLLLLWPLCASSDDRLVLGKALSPGTPLVSDGGAFALGFFSPPNSNSSSLYLGIWYNNIPKLTVVWVADQAAPITTPSATLALTTASNLVLSDAAGRVLWTTNVVVDASNSSSSSSSPMAVLQNTGNLVVRSPNGTALWQSFEHPGDAYLPNMKIGIVYRTHYGVRLVSWKGPVDPSPGPFTFGADPVRPLELVIWNGTRVHWRNSPWEGYMVDSNYQNGIGSRSAIYRAVYNSDEEIYAAYTLSDAAPPMQYRLSYSGDLELQSWSNDTSAWSTIIKYPTRPCSAFGYCGAFGYCDNSSSSTETEDAVSSACHCIQGFEPASGAEWARGNFSGGCRRKEPVRCADGFAAVPNMKLPYGYTLVANRSLEECAAGCGRDCACVAYAYANLSTSVTKTDPTRCLVWTGELVDMEKVVGTWGDFGETLYLRLAGAGAGSERKSVLDWSMRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDATMNPKISDFGMARIFGDNQEQANTKRVVGTYGYMAPEYAMAGIFSVKSDVYSFGVLLLEII >Dexi3B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:3B:8050929:8055950:-1 gene:Dexi3B01G0011430 transcript:Dexi3B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGAILKPGSLGFSDHDAIVSINIFIALLCSCIVIGHLLEGNRWVNESTTALLMGLITGGVILFATGGTNSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTMISFVIITLGAMGLFKKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDISQFDAFVLLNFIGNFLYLFFTSTVLGVATGLLSAYIIKKLCFARHSTDREVSIMMLMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYNKFTASGHTAVRVNAIMITSTVIVVLFSTMVFGLLTKPLLTLLIPPRTGPNTSSLLSSQSILDPLLASMMGSDFDVGQISPHYNLQFILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVERSEPESHLGTVTEAEHS >Dexi2B01G0033720.1:cds pep primary_assembly:Fonio_CM05836:2B:41154035:41155209:-1 gene:Dexi2B01G0033720 transcript:Dexi2B01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENAKSFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPHNAQKAVAR >Dexi9B01G0048900.1:cds pep primary_assembly:Fonio_CM05836:9B:47774414:47776442:-1 gene:Dexi9B01G0048900 transcript:Dexi9B01G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKQQQQLLVRPHPCRRRYHVDDDDDDSGSSSTGSAAREEVVLDHSSSSPPPVSSCGRYLLHRVCRFDTLAGVAIKYGVEVADVKRANGLTTDLQMFAHKTLRVPLHGRHPPAATPSPSSSPSHAHRTREWTMGLPPKIAASLDPFLKPPRSAVSPSMSLLQGYYGLTSTPMGNLTNEGTEYINGHHRKARSLSSSFSLENGDANWETEDAEKPIRRRQKADVELTTREDNGGSLLARGGQGLAMRPKSGGRADMNSSQQDLLATWMPSYGDGLQTVKKSSSNPEFQDSDSISIASVWLKSKWNLKPEAFTLTLPLPLFDGIPKPLLDTIPNSIAAWRNKAAKD >Dexi3B01G0034360.1:cds pep primary_assembly:Fonio_CM05836:3B:37141133:37149993:1 gene:Dexi3B01G0034360 transcript:Dexi3B01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMAALLIHLLLLLLCFFFAELAHGQQVDTLGFISIDCGISDSLAPYADQSTRGLRYVSDAGFTDAGLNAGVNPPYNIKGLADRYLTARFFPGDGDNSRRSCYTLRPVIAGGRYLVRATFYYGNYDDLNKLPTFDLHLGVNRWVTVNVTAAGEVYIFEAVVVSPEEFFQVCLVNRGLGTPFISGLDLRPLQDDMYTDATVNQSLALLNNRRPAATYSFNRYHFWRPASTYRVFRYPFDPYDRLWESYGDIDAWTNITSSTAVDISNISSFHTPSKILWSAATPVNGTRMDFTWGVDDSINNDNTSYLLLLYFAEVQRLPSNALRQFDILVDNATWNGSQGYSPKYLSAELVKRMVPGSSHHTVSLVATTDATLPPILNALEIYSVLPMTELVTNGPDGEASAATPLTWHQRLKISLDSAHGLEYLHKACQPPLIHRDVKTTNILLSAELVAKISDFGLTKVFADDFMSHITTQPAGTLGYLDPEYYNTSRLSEKSDVYSFGVVLLELITGQPPVVPVTETQSIHIAQWVRQKLSMGNIESIVDPRMGGEYDVNSVWKVAELALQCKERLSQERPTMTDIIVELKESMELDVLHAMGYYSSAPSSTINLSAASVDLQSDAHESDARQETVLELEQQYINGLLDFGNATARMSDGATGESPIECPRGTTSPTVWISPPLSPLVAAPPPTAPLPACAFLPHPLLWSLRVELFVGIPARFANRGATRRMGF >Dexi6A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:6A:7220221:7220886:1 gene:Dexi6A01G0007280 transcript:Dexi6A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWETDAAAAVAAAGCIQTLPQDLVGEILARVPWDVTFLFRCALVCKRWRRLLADPAFLRRLFPESGRPSLLGFLVQRHRTSAAAWRRRIAGLFKNRAPAFVPAPGSALGPRRRFLTSFVRDDAGLLQKAEPLAERDGLVLLRVFPRAAGREMYSLCVCSLITGKLDVLPPLDVTCFNGEVVRATPSCRPPTMALLPHLGRPMATPTCSRCSSSAFIAAASN >Dexi5B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:5B:16263005:16263949:-1 gene:Dexi5B01G0016640 transcript:Dexi5B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQRASPAGSTIRFLSLQGTRASNLPFRTRAAASTRTRFHCHLSRSNDSAPIPTTSDPDVDRDPEDSLRFAFACGGAGAGGRVYSAIALADELHASLPSSRSLILGAPAPSLESSAAASASYPFAPIPPRCLPRGILAAAQHLRRFRPHVLVATGGAPALPACLAALLLGLPFVIQDQDASPAPTTRLLAPFALRVFLAFNAPVRLLPKRKCAVYGNPVRISILTCQASKAEALARFFPRAGLLGEQEAQVVLVLGGAEGSPEINVAVLNVYYEMLRKRKDRYIIWQTGTETFCEMESLVRGHRRLFLTP >Dexi3A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:3A:12808564:12820340:-1 gene:Dexi3A01G0016910 transcript:Dexi3A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGLALKLRAAPPAATGRKAPRGRTTVSAAAYGGALQHRRCCGGCLSLEGGRFLRGAPLPAAAHQRARALPWAERAARRHEGVVASAEGVRSMSKIPGSSIGLYDPSFERDSCGVGFIAEISGEPNRRTIDDAIEMLERMSHRGACGCEKNTGDGAGILVALPHAFLKEASLVEVVNVGAMLSVVEGFTFGVVIVIWQVTKDAGFELPPPGEYAVGMFFTPADEKRREKSKLVFREIANSLGHDVLGWRRVPTDNSDLGKSALDTEPVIEQVFVSKSTQSKADFEQQMYILRRLSIKSIQEALDLQLGGPKDFYMCSLSSRTVVYKGQLKPTQLKGYFFADLGDRSFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCKGLGLSRDEMSKLLPVVDASSSDSGAFDNVLELLVRSGRSLPEAVMMMIPEAWHNDVNMDPERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVVMASEVGVVDIPDDDVMRKGRLNPGMMLLVDFENHCIVDDDALKKQYSRAHPYEEWLERQKIQLVDIVESVPETKRIAPRMGALLQKNESNEAVGIHGILAPLKAFGKVLDITYPKEYGRRGLEQTLDKLCAQAHEAIREGYTILVLSDRGFSLDRVPVSPLLAVGAVHQHLVSNLERTHIGLLVDSAEPREVHHFCTLIGFGADAICPYLAIEAIWRLQNDGKVTPKDDGQPYLQEELINKYFYASNYGIMKVLAKMGISTLASYKGAQIFEGLGLASEVVSKCFEGTPSRVEGATFENLAEDALHLHDLAFPTRTLPPGSADANAIPNRGDYHWTQKGEVHLNDPFSIAKLQEAARLDSREAYREYSRRIEELNKLCTLRGMLKFREIPVRISLDEVEPASEIVKRFCTGAMSYGSISWEAHTTLAKALNIMGGKSNTGEGGEQTSRMEPLPDGSMNPMMSAIKQVASGRFGVSIDYLTNAIELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHILISGHDGGTGASRWTGIKNAGLPWELGLADTHQTLVANGLRSRAVLQTDGQLKIGRDVVIACLLGAEEFGFSTAPLIVLGCIMMRKCHTNTCPVGIATQDPVLREKFAGKPEHVINFFFMLAEEVREIMSKLGFRTMNEMVGRSDMLEVDLDVIKGNEKLHNIDLSQILKPAAEINPETVQYCTEKQDHGLDMALDNKLIASSRVALEKGFHVFIETPVRNTNRAVGTMLSHEVTKRYRMPGLPPETIHVKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFIPQENIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGMFSTRCNHELVDLYSVVEEDDIVTLRMMIEQHRLNTESILAKDILSNFEDLLPRFVKVFPRDYKRVLENIKVEKVAKEAEEKARKAALDKKAGEVIKAPNGISVITKEVKDKKSSSRPTQVYNAEKPRGFVTYEREGISYRDANERVEDWNEVPNELVPGPLLNTQSARCMSCSTPFCHQENFGAGCPLGNKIPEFNELVYQNRWREALYRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIEFAIIDKGFKEGWMVPRPPLQRTGKKVAIVGSGPAGLAAADQLNKMGHFATVFERADRIGGLMMYGVPNMKADKAGIVQRRVNLMVEEGIKFVVNAHVGTDPRYSIERLRSENDAVILACGATRPRDLHIPGRELSGIHFAMEFLHANTKSLLDSNLEDGNYISARGRKVVVLGGGDTGTDCIGTSIRHDCSKLVNLELLPKPPRERAPDNPWPQYPRIFRVDYGHQEAASKFGKDPRNYQILTKRFIGDEHGRVKALEVVRVKWGKVDGRFQFKEVEGSQEIIEADLVLLAMGFLGPEVDIAKKLGLEQDNRSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAIAEGREAAAAVDKYLSRENKCC >DexiUA01G0008470.1:cds pep primary_assembly:Fonio_CM05836:UA:15881563:15890092:-1 gene:DexiUA01G0008470 transcript:DexiUA01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGQQQPPPPPLPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQNQILFHKILYQLYILLAFMPETSPDAAEKNGTLLRSAGCVGSHIRSSLFTRSFKHFVGGAPALLLRSNRSLLMLLRRRFFAFSSLWTGALMDTGREQAKRVAVCVLSKKPAPSTHACRGCDAASRALVAEPFEYADDSVLEEQVQQQFSEEGKYNTDHPCYLYTD >Dexi5A01G0038550.1:cds pep primary_assembly:Fonio_CM05836:5A:39513504:39514163:1 gene:Dexi5A01G0038550 transcript:Dexi5A01G0038550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGEEEEVNLMSTGEEDAADADGFDPTEDELMLHFLRPQLRGLPPRVAGAVLEEADPYGAPPWELLARHGLAERGHFFFAARGRRRQPGRRPVRVAGVGAWLPSSTRAGTSVTELGVVVRWSRVKWCFYEKGGQQQQQRSTGWVMEEYEITDPGCYRRRDEEEGEDDYWVLCRVTKSNSKAAAAKPTASPVKARLHKLAEMEADPGVKAKASRPLRT >Dexi8A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:8A:19784848:19785789:-1 gene:Dexi8A01G0011190 transcript:Dexi8A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFQHIDAAIEASSGDGVSRDEFRKARGRIVELLCDAAATGDGGGDGEAAAEGLGLLLDEAMEGALATLHAVPAGRIPTLLAAPGGLVGAVGALMKGHASERVRGLARDVVREWKLSVGAELARARTAMDVLNGISDDTRAKHEEAKKIIPEEKKEQPLRPKKTAVVSSSRRICTAESYAPLCKKRAPAVVSTSNTKPPSASMKTPAAVPPQPSKKPTPAAVVSVTAEQRKMNATKRKLEERYQETEDAKRRRTVQVIKPPRPEKKAGQRQSSAHLAMRARGQAASGTAERRFMKPLSRPIRV >Dexi5A01G0037280.1:cds pep primary_assembly:Fonio_CM05836:5A:38692630:38695751:-1 gene:Dexi5A01G0037280 transcript:Dexi5A01G0037280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLGKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRRGNTSRGPAPAAPGCALGWWCPGEVALRHDLSGRIRQIKKRLDEISTNRAIYNIEHTPAPAWAASCSSATTLAAWDDLEEYTVGLDKYSDMLKEQLLDDAVAARAVVYQSPEVRNHFEIRTWTVLPHKCRAADVLRDIHRQMTNQLRRPPSRQVAADDACDRAAPCGPEKDISNQLYKSMAGRRYLVVVDGSIAVADWNSLRASLPDEGIGSRVLLITDTAGLEAVGHAAGHTYDTVKLTRLSPENTYEVFRRRVFGRGGDCPGRYKSRYYQDVFRITRGLPLSIVVLAGVLRSKEMPVEWDEVMAQLAPGREQQQQHKKAAAAASGSSNNNSRRIMSVAFDDLPHHLKSCFLYMAAMRESTPVDAQRLVRLWVAEGFIRPRRGSTMEEVGEGYLKELISRCMVQLVDKDEFGAVQTVVVHDRLHAFAQDEAQEASFVESHDSTDVLAPATVRRLAVLSSATNRYVQLSNALPKLRSIICDFAEGRSRSSSKCVQSSDLGFLHASKFLRVIDIQGLELKKLPNEIGSMIHIRYLGLQCGDLEKLPSSIGNLVNLQSLILGGRRVLEVTAAFWRIPTLRHVVAPFALPSRAMGDLHSLQTLHHVRPRGWDTGSNPLAKAMNLRSLELSELTAEHAGALEAALESLDLLVHLDLRGDSLPASVFTVPSLRRLQSLKLCGAMDTPEGPGGGGAEDVRYIRPNLTRLSMWFTLVKQEFVDMLAELPSLAELSLMSDAYDGDRLAFGEAGGFPSLHELKLSLQKLEEWTVGAGSMPKLAMLTLLRCAKMRMLPEGLAGMKELEEVLLYGMPDMVDRIREDQGQDHHKVKHVPVIQAIY >Dexi9A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:9A:1582646:1584896:1 gene:Dexi9A01G0002990 transcript:Dexi9A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLRVGVLEDDDDRGEWVNDTSVDHRGRPPSRADTGSWKAAMFIILIEFSERLSYFGIATSLMLYLTKVLHEEMKDAAENVNYWMSVTTLMPLLGGFFADGYLGRFTTVVFSTVVYLVGLMVLATAQLTPSLKPERSLRLHETLFFIGIYLVSVGTGGHKPALESFGADQFDESHAGERVQKMSFFNWWNCALCSGVLLGVTVIVYAQDRIGWGSASVILAAVMAASLVVFLAGWRFYRYRVPDGSPLTPLLQVFAAALKKRHLPLPADAADLYELKPQDMKKRLLCHTHQLRFLDKAAIVEPSGGVDAAGPWRLATVTQVEETKLVLAMIPIWLATLPFGMAAAQVSTFFIKQGSVMDRRMGAHFVLPPASVFALSAIGMIATVAIYDKVLVPILRRVTGGERGISILKRVGIGMALAIVALAVAAVVERRRLTSPSPASMSVLWLLPQFALMGVADGFALVGLQEYFYDQVPDNMRSLGIGLYLSVIGAGSFMSSLVISAADKASSRGGRPSWFAKDLHHSRLDLFYWLLVCMGAVDLAFYALVAARYSYKTVSAGEFGHDRSSNAAAAAAGDIECAAAA >Dexi9B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:9B:10380039:10381107:-1 gene:Dexi9B01G0015260 transcript:Dexi9B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAPQPIYCFDLVTIRRADGAHDDRGLVIKSSMPAGKKLRVLLADGTTVSASKRGLVVADRSYFRPGHVVAAASDPGGQIGVVTGVATALDLVRFSSGTDRTQAVAVARGVSASGLRRVTELSVGGYVVSGPWLGRVLEVSLDVDVLFDDDDGGDLCRVTGAEHKLEAAGINNRTRYTDCLFYPGQRVTGGSSVFKASRWIRGYWKPTHRTGTVARVDTAGVVVCWVASMELAPPSPSSKRRLLHLGGSMFVFRRQSERLVVVVVAATVDYHERHVITFDEVAPKAHEDAGGQEDVETRPEARGVRAAHVRC >Dexi6B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:6B:16739967:16743125:-1 gene:Dexi6B01G0010350 transcript:Dexi6B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLPGLPKAHTLHSHPTPLHTSPMTPLLLLRLLCLLPLATAAAAAATLPADFAALLAAKTNLSDPTSALAAWDPHLSPSPCRWPHLLCSVPDAPAAVASLLLSNLSLAGDFPSPLCSLRSLSELDLSYNSLTGPLPPCLAELPSLRRLDLSGNAFSGEVPAAYGTGFPSLATLGFAGNELSGAFPGHLLNVTTLEELLLAYNPFAPSSLPPASFSALPRLRVLWLAGCGLVGEIPASVGSLKSLVNLDLSTNNLTGEIPATVRRLENAVQIELYSNHLTGRVPDGLGELKRLRFFDASMNRLTGEIPADLFLAPRLESLHIYQNDLSGRVPATLAQAAALADLRLFSNRLAGELPPEFGKNCPLEFLDLSDNRISGRIPAALCSAGKLEQVLILNNELVGPIPAALGQCRTLTRVRLPNNQLSGAVPPDMWGLPHLYLLELSGNRLSGTVGPAIAMATNLSQLLISDNRFTGSLPEQIGSLPALFELSAANNMFSGPLPASLAEASTLGRLDLRNNSLSGELPQGVRRWQKLTQLDLADNHLTGAIPSELGELPVLNSLDLSSNELTGDVPVQLENLKLSLFNLSNNRLAGALPPLFAGSMYRDSFVGNPALCRGPCPPSSSQSRASRRGLVGSVTSILAVAGVVLLLGVAWFCYTYRSQHNHGRSTAELSNGGGGSRPRWALTSFHKIEFDEEDILGCLEEDNVVGMGAAGKVYKAVVLGRGAGEDAITVAVKKLWGGSGGKGKAISAAAAKDSFDAEVATLGRIRHKNIVRLWCCFRRRDDCRLLVYEFMPNGSLGDLLHGGGKGVLDWPARHRIMAGAAEGLAYLHHDCVPPIVHRDVKSNNILLDADLGAKVADFGVARVIASDGPSAVSAIAGSCGYIAPEYSYTLRVTEKSDVYSFGVVMLELVTGKRPVAPELGDKDLVRWVHGGIERGGVDSVLDPRLAGKFRDDMVRALHIALLCTSSLPINRPSMRAVVKLLAEAAPTPPSPPPPAKAAEQKPLDV >Dexi2A01G0035180.1:cds pep primary_assembly:Fonio_CM05836:2A:44852354:44854032:1 gene:Dexi2A01G0035180 transcript:Dexi2A01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKSVLPEAAAISGPQGQKRAQVEDAGSLPLEKANAVVDRRLEKTKADKHEPLFSTFGYNTYDIFGIDDDNDDAEWAAWQAALSKALFIPEVKEEEESIEEWEKRMWARRGDQDEDLSDDDDDDEARRASRFRADWEFLWSPRYGAFDDNTRIPSMRYTFSKPPQANVMHENALQIFSAKVTSTKVDFPFHVFGMVAMRDCIDHNRNVVFCRTRDNCQTLTEEHPYLVLTGPTRAAMLEMSTPVTIEVDLMLKGTTDSDDQKLSSLAVPVISDDTMYSHMWKSGYTSKLSTIEFTLGHIICSVEATIFVQVTHGSWPDGFRGQFSVVASGVRAHHDASTIYHTSVNDKEFVLLNSGGDKVHVTGNGDVKLSRRVVSVDTTGELKVYVKAWGADGSFTNKWVNFKPSDAGKGEATIDMGFCTMDVTVFWSLISYHHVFAKSAL >Dexi1A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:1A:26934094:26937079:1 gene:Dexi1A01G0019960 transcript:Dexi1A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVLKSVEVPQGLGGISAKDAPQHGGKEVILVDDNDSGQEDGGKAKVDENAPRIGLRFKTYDDALKYYKQYAEDSGFAAIILKSSYLKSGVCRRAFLACMKGRCPKAIITEHYNAILDAVQEVLPEVRHRLCLYRIMKDVADNLKEHAEFKTIKKSLNKVTYGSLKVPEFEADWKKIIEEHGLGENECLSSLYEHRQLWAPAYLRDKFWAGMSISQRGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEEKDFLRSSFVTDDTNMIQGPPSATHLEGPHMGVQGGIDLMVQAEPHGFANQWVYHPMLQDNPVLRTPTRRTG >Dexi7A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:7A:24868488:24888164:-1 gene:Dexi7A01G0015010 transcript:Dexi7A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDASESPDSSPRPAAPPMPTREELSEETFEAVALGEEAAVEEEAVASDPGMGASTPATPATPYEPSLRPRRRPRPPGAPSDAPQEVVRAVEAAIAGEPGLLREVVAQEQGELAHSVVDVLLGTMGGADEAGDATGTGAPPSVMSNARAAVVAAELLPHLPCDDDDEPSPRTRMVVGLHAALRACTRNRAMCSSAGLLPVLLDSAEKLFVEMDPSCSWDGAPLLQCIQVLGGHSLGVKDLHSWLGLVRKALGTSLATPLMLALEKAMGSEEARGPAVTFEFDGESSGLLGPGDSRWPFLNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSSDNQGVEAYFHGQFLVVESVGGRGKKASLHFTFAFKPQCWYFVGLEHSNKHGLLGKGESELRLYVDGNLYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPVYIFKEPIGPDRMRRLASRGGDTLPSFGNGAGLPWKATNDYVKSMAEESFTLNNDIGGGLHLLYHPSLLTGKFCPDASPSGSSGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPIALLPLTISNVQMDSPEPTPGDLSLSLATVSLSAPVFRIISLAIQHPGNNEELCRTFAPELLSCVLRYLLQALSKVESGKEALTDEELVAAIVSLCQSQRNNHELKVQLFSSLLLDLKLWSSCNYGLQKKLLSSLADMVFTESACMRDAKAMQMLLDGCRRCYWAIQEPDSIDNSSLSGTKRSLGEVNALVDELLVVVELLLGAASSTAASDDVRCLIGFIVDCPQPNQVARVLHLIYRLIVQPNISRANMFSQSFISSGGVEALLVLLQREAKGGNKIILDDSGANLSENDVHRRRSSNRKVDSADKRCQVDETLLAEHHETIFHEEACEHEATNLNDMLESDIGSNVPGLENGLLKNLGGISFSITSDNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFESGVANPNIPGGSQATLNEEGNPMPEDRVSLLLFALQKVFQAAPRRLMTSNVYMALISAAINVSSVEESLNLYDSGHRFEHIQFLLVLLRSLPYASRAFQARAIQDLLFLACSHPDNRTTMTSISEWPEWILEVLISNHEMGAKKNADGVSIGEIEDLPSAHPFSVDRMWRQRFIVQSGFQWSEDLALETKGLGSPFTLNLANMREESLPIFKRRLLGDLLDFSARELQVQQTEVIAAAAAGVAAEGLSPEEAKAQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSHLGDSIISSTSMASLAASRSNSLGTAGKEPLAAGASRRSSLSSDAGGLPLDQLLTSMADANGQISAAVMERLTAATAAEPYESVKHAFVSYGSCISDLGESWKYRSRLWYGVGIPAKSDIFGGGGSGWESWKSVLEKDSNGIWIEFPLVKKSVAVLQALLLDESGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMVLVSMREDDNGDGDASMKDMKEVVSDGMVRQIGSMIPFDGNSYSSPRKPRSALLWSVLGPILNMPITESKRQRVLVASSILYSEVTQAALLMVSPGWAAAFASPPVAMALAMMAAGASGTETRTPPRNTLNRRDTSLPERKAAAKLQTFSSFQKPVETGANKPGSTPKDKAAAKAAALAAARDLERTAKIGSRRGLSAVAMATSGQRRSSGDIERAKRWNTSEAMSAAWMECLQSADSKSVAGRDFSALSYKYVAVLVSCLALARNLQRVEVFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYEDRKLLSAAAQSNECNSEDVNSSLTNTLPPSASVIVADAMSMDERNLEIEQLETDTTHSSADDDQLQHSSAADQQSVKGSVTSKRINFIIDGRSSDNNLDAAASTSGQCDQQDKDRTWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDIDARKNAYRAIVHTKPPNLNDIFLATQRAEQILKRTQLMERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIVADYKSRVLNLDDPSTYRDLSKPIGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELTYPNYRSRYKQRGKEAVMANNVFFYITYEGTVDIDKITDPVERRATQDQIAYFGQTPSQLLTVPHMKRKPLAEVLQLQTIFRNPNELQSYVLPHPDRCNVPASAMLVSNDSIVVVDVNVPAARVALHNWQPNTPDGQGTPFLFHHGRNAANSTSGALMRIFKGSAGSAEDYEFPRAIAFAASAIRSSAVVAVTCDKEIITGGHVDGSLKLISPDGAKTIETASGHLAPVTCLSLSPDSNYLVTGSRDTTVILWRIHRSGSLHKKNAQEPPPTTPTTPRSPLSSSSSSLSETKRRRIEGPMHVMRGHLEEVTCCSVSPDLGLIASSSNTSGVLLHSLRTGRLIRRLDVTEAHAICLSSQGIILVWNGSKKTLSTFTVNGLRIATSVLSPFSGQVSCIEISTDGHFALIGTSLFNNYKCNDSNETGDHELGPSCKDDISKDSEQSETQQYVHVPSICFVDLHKLEVFHTLKLAKGQDITAIALNKENTNLLVSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQ >Dexi5B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:5B:7636214:7640042:1 gene:Dexi5B01G0010820 transcript:Dexi5B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALAAGSAGDDDQDPDPAPPSPEEPASPGSDDDDDAPAPPGPVDPSKCSAAGPGIAGGSAGAPATFTVIAKDRHSRRLTTGGASVRVRVSPAAGVGGDDLEGVVKDNGDGSYTVTYAVPKRGNYMVHVELDSSPVMGSPFPVFFSGSTTTTTATFTSTLPAVSSAYPNMVNQTMPNMPNYTGAPSSAFPSLLGAMPASSTGSSGGVVLPGVGASLGEICRDYMNGRCTKSDTDCKFTHPPQQLLMTLLAATSSVSALGSAPLAPSAAAMAAAQAIMAAQALQAHVVQAKAAGDTSGSTDKAAEADALKRTVQISNLSPVLSVDYIKQLFGVCGKVVDCTITDSKHFAYVEYSKPEEATAALQLNNRNVGGRPLNVEMAKSPLPPKANNNLPMMMQQAVQLQQMQFQQALLMQQTIATQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGDKIEEKDAKVKSSDKKDSRRSEVQDDKRRSHRGNRGDKDEKSGKDEVERSHRSNRGDKDKRSVRDEEEKSSRGNRDKDGRSVKDELERSRRGDKDERSVQDPVEVDTSAIAHKRSSPVSEDEILNDNSSNHKKSRHDGGLVDDERDYLCPAVSDINGKHGPEVNGSLGGTEESAM >Dexi6A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:6A:24849681:24853264:1 gene:Dexi6A01G0016990 transcript:Dexi6A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSPYITRLDQRRKDPYITSIAAATNPCHLQQKTQDLLQGYLELYHHGLSHVKSMAFGCAIQLCIPTAIYRRGGAATISDLAADTGVDPSKLPYLRRLMRVLTVSGIFAADHPPSSPSTGENETVYKLTPASRLLVGGEASTTTSCDMSPMMRLLVRPTTNFAMDTVLKDAGVAKIFSGLGSLVDVGGGHGAEAVAIARAFPHIQCKVLDLEQVVSKAPADGTGTVQFVSGDMFESVPPADAVLLKYHSVD >Dexi6B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:6B:26790294:26793132:-1 gene:Dexi6B01G0020280 transcript:Dexi6B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLFWYTRDKKSDTEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKAPRDEGESSSFNLEPPETEVSPKQADDPPPAVKQEDSEEDRKGKRRRERDERRGEREKERKREKHADGKERRRDKHDRRHDSEDRSKRHRKDKQRRRHDSDSD >Dexi6B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:6B:8644459:8645570:1 gene:Dexi6B01G0007420 transcript:Dexi6B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSSSCSNPTAVLAMLVTSMMAAPAVVMASLHDDIELMFGGDHFAFHTGGDGVETLALRLDKDHGAGFRSKESYLFARYDIDLKLVANDSAGTVTTVYLTPDLVPPEEHDEIDMEFLGNVTGEPYTLHTNIFVNGVGNREQQFRLWFDPSKDFHTYSIEWNPKHIIMFIDGTPIRVYKNEASRGVPFPTLRRLRLDGSLWNADEWATQGGRVKTNWTQAPFYAYYRNFRVTPCTASCGEKGLDEAALRRPREDHLLYDYCEDQNRFKDNGLPKECTLD >Dexi3B01G0028310.1:cds pep primary_assembly:Fonio_CM05836:3B:24454824:24456241:1 gene:Dexi3B01G0028310 transcript:Dexi3B01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPASSLPNPFACSNENSPSAFPTCHRAPTCPSPPPSAAPPSGQAPPSATCPEYFRYILSDLSPWREAGITREVLERGRDKATFRLVVSSGRAFVEKYRPAYQTRDVFTQWGILQLLSRYPGRVPDLDLMVFCDDTPVVHAAAYLDPSEAPSLFMYCKNDSALGIVFPDWTFWGWPEVNIRPWAQFMEEVVRESRSMPWKDREPYAFWKGNPDVGGLRGELMRCNNSHGSKDWNAWLVRQDWEDADRNGFKDSNLAKQCTYRYKIYVQGRTWSVSQKYILACGSPMLRIDTPFYDFYSRGLVAGRHYWPIDDDRICPSIKFAVDWGNAHPVQAQRMGEVGSSFARDELSMDYVYDYMLHQLIHYARLLRYRPTVPKNASELCLESMACAADGRARDFMMESVEKYAADFEPCTLPPPFILRSWPEGQEGGRSQVKRMEEQDWKET >Dexi3B01G0034750.1:cds pep primary_assembly:Fonio_CM05836:3B:37453334:37455514:1 gene:Dexi3B01G0034750 transcript:Dexi3B01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYDPSPSPGADDLSFYLTDLGPASPSAYLDLPPTPRPEEPQQQQQQQQQKPYPDANGGAAAAPEDMVLPFISRMLMEEDIDDKFFYDYPDHPALLQAQQPFLDILSDDTSSSSPSATHSGGSVTHPAASDVTNAPLTPASVDSYDPGAQFNGFDLDPAAFFSGGANSDLMSSAFLKGMEEANKFLPSQDKLVIDLDPPDDTNRFLLPAENGLAAAGFNAASAPVAAAVAVKEEEVVVAAPDAVPGGGGGGVGGRGRKNRFDDDDEDLEMDRRSSKQSALQGDGDDRDLFDKYVMTSAEMCTEQMVKLQIAMREEAAKKEASVGNGKAKGKGGRRGGRDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHSSPQGDATQRLAHCFAEGLQARLAGTGSIVYQSLMAKRTSAVDILQAYQLYMAAICFKKMVFVFSNRTIYNASLGKKKIHIVDYGIHYGFQWPCFLRHIACREGGPPEVRITGIDLPQPGFRPTQRIEETGRRLSKYAQEFGVPFKYQVIATSKMETIRAEDLNLDPEEVLIVNCIYQFKNLMDESVLIESPRDIVLNNIRKMQPHAFIHGVVNGSFSAPFFVTRFREALFYYSALFDVLDTTTPRDSNQRMLIEQNIFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQLPLNPDIVQVVRNKVKECYHKDFVIDIDHHWLLQGWKGRILFAISTWVANDDGGSYF >Dexi2A01G0021940.1:cds pep primary_assembly:Fonio_CM05836:2A:33884777:33886014:1 gene:Dexi2A01G0021940 transcript:Dexi2A01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILWSLAVTTVAVLVGGTIALVLTAGTWKAKIKKSQEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNTPVAHAVGFWDYQAFITAAALFEPQGFCTTGGKEMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQTYCDKTYTQYPCVEGAEYYGRGAIPVYWNYNYGAAGDGIKVDLLHHPEYLEQNATLAFMAAMWRWMTPIKKSQPSAHEAFVGTWKPTKNDTLSKRLPGFGATMNILYGESICGRGYIDAMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAPFNPSSKPDDQQQQQQSGS >Dexi4A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:4A:22696431:22699417:-1 gene:Dexi4A01G0018740 transcript:Dexi4A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGRCLLILLLVSASLYAHVSAAASDTAVLAAERTRRKDPLDGLRYYTGGWNISNKHYLASAGFSAAPVFVLAALWFLAVAAAALVACCCCCCRGGGSNYSYSRRVFALSLVFLIVFTAAAIIGCAVLYDGQRKFNGSTTATLDYVVSEADAAAATMRNFTGLLETAKGAGGGVASLPADVTRTIDDVTQRVNNASDVLAARTASNSRRIRTALGTVRKVLIGVAAVMLVLVFLGFVFSLTGFKSLVYTVIGDTCVAMDEWVAQPQGHTALDDILPCADTAVTTDALRRSEQINSQLVGKLNELVSNVSNRNFSSQQVGPPLNYNQSGPLVPLLCNPYNADLTVWQRFVCRTTTSPGTSTEVCATVGRLTPAMLSQMLTVASVSDGLRQQAPAMGDLASCATVRRAFQTIGERGCPSLRRDSSRVYQALLATSVAAMLAAAVWVVHSRERRRRRESELFRVSPYRLPIEEKVLLNSPRRPYRRV >Dexi9B01G0029130.1:cds pep primary_assembly:Fonio_CM05836:9B:31686772:31697715:1 gene:Dexi9B01G0029130 transcript:Dexi9B01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTPPPLPSRTARRPPPPSTPPSPNLSPLPGLRPSRAAAGRFATTARPPPPQSLSKPRPPPRPAATSPTSRPLLRVWRLGSGDDMERLEEDGEDGGGDGEEDDDGGATRDGFPLRRSSFPKLGVVAVRLPPARDRLQLLGLVSAAQEVSERWVLCHCRKKAARCISSSDSNPDDMDRTLPSSAPSTRATTSGFSRNLGLDLSVIVNADAGMLDADGLAMRPAALHPSHRCFSPPAPAHCGPRSDPSQQATASPIWNAPPLVDDNGSSAGDLAAPNAMRLPAFLADQRRLRRVLSSSSVSRATLTRLHALLIVSSSHHLLASLAAAYARAGALDAADRLERAPLRALPRWLPRHRAPRLPRPPTFTLALSACARLGDLAAAESIRDRAFEAGYSKDVFVCSALLHLYSRCGAMGDAIRVFDKMPMRDHVAWSTMVAGFVSAGRPAEALGMYRRMREDSLEGDEVHARQPGMPKWGPQFMGIYCDMMRKMGYVPRTEFVYHDLEEDVKEQLLSYHSERLAIAFGLLNTSPGTRLVIIKNLRVCGDCHDAIKYISKIADREIVDCRSRRVTVLERERERERECPAVNSGYQLHHEPDLPPPMATCIPAARPLHQSSLRVAHTTTPCPLGPGSRAVPAPGHTAAAGREKGARLPRRRGAFAAVGLFCYGENDRWERWPARREIEQRKGRCRGASSLGLCRLGWVGEWEPVLCLTLCLPRPETDPSGPAPATAPQTQPPCGILCRRRFGWHLNGMKPQAVVPLPTRKLHPDACPSVINHPNLQDRGPPLSVLSPLRFFTKRSLTHRAPAMPRPSPPSYSRRLLQSSRDSGGVNPDPSPNRIPGIPPADPPAGVNSDVVVILAALLCALICVVGLAAVARCARSRRNRGAGADGGGGPSSPSSNPGDAAGHFGGGGHHGGTGASTTTTTTTTKGLKKKALKALPKLAYADAVAAAAAARGTAPAAEGEKAEELLAECAICLAEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPAPPKRCRKCEAVVLEAVLASSSSSSSSAAAAGGGSGSAWRGRGGGGGGFLPPDPVVELPQSALDEQSASALGRCPCHEGPKTIAKSMWPSARLLSSITLANRGSSAVESRSHGAPPGHDTRRDYERTRAGELALGGEAKDNVLVQVIGSITSAAEE >Dexi2A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:2A:28333916:28334974:1 gene:Dexi2A01G0016630 transcript:Dexi2A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPETPDSPPPLDPSLAPVLLFRSGHGGGDEKSVKEETAGGGATTTMFLYSIPKRQLLPRVVGGGALDYLTSDVVVSWITPQGWVLTLNPTTRDASLRDPFSSGAVRLPQDRDSLLPAAPDDTRCLLSTTPHQPTCVVLVIHLKDPLLWHCSPGGDRWFRHEYTPELISGDRTIAIWAVGMLTAAKGKFYTSVFNHGDGHKLVALELSSSSSPGPVISATRVVVKPWLAGCFLVGIVESCSDLFAVRFNLEPLRGKALIDIYVYKLVPDENTWVKVAQLGDDRVFFFSSVQEFGASMAAKELGLKANCIYFTDQHDKGLYVYDMEQGSITLHNPGPDVPDSSEPVLLMNVT >Dexi6B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:6B:26072035:26076490:1 gene:Dexi6B01G0019280 transcript:Dexi6B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSETELAVGREEDEGWCGIDVAATKTAAAGSKEPPPLTHDDNHGFLRMLRDKKERLGVEAAKVEVRFERLTVEADVRVGRRAVPTLLNCAVNAAQATTFGEGSNLTTNYIIKVTWLFVQFAIYLDKMIVTVDYIQILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARSFFMDDISTGLDSSTTFEIIKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVIII >Dexi7B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:7B:15494419:15495343:-1 gene:Dexi7B01G0007730 transcript:Dexi7B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQDVHPEVIDALLGFVYDPLDPALDDLLNIPPFHGHHADADADAERHCAKKQRAWRGPADIIGADQQWNNGGGGNLQQQVPALPGLLNQLPLPPLPSPPPPRQLPEPKKQPQQAAAGNASQQSVQSAGARQRRKRISEKTAELSRLIPGGHKLNTAEMLEAAARHVKLLQAQVGMLALMHNSVDFVGCSTEKEEMPFTAAQEEEQMQVLLACGGVQERLAAEGRCLVPTKLVDAMAKDSALKSNALVNRDLGRFVASLQAGQ >Dexi6A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:6A:28763842:28764374:1 gene:Dexi6A01G0021540 transcript:Dexi6A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding APGKKADAKTQALKVAKAVKSGAVKKKTKKIRTSVTFHRSKTLKKPRDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Dexi3B01G0035620.1:cds pep primary_assembly:Fonio_CM05836:3B:38661482:38662456:-1 gene:Dexi3B01G0035620 transcript:Dexi3B01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGRRRSGEDLISGLPDELLHGILVRLRCARAASRTSVLSRRWRRVWTHLPELHLDDPASSPDTVDGALAGYLAPDLWRLSISPAADLDYRVLAWRAAPWLRFAAERVVGELRLRYVSSRPRRLNPEADLELPACGRAQAITLFLGVEWRLRPRPGGLFSALAALTIRGARIEGGELSNLVCTQCPHLSYLDLFVSLVGASNVTIRSDSLRALRFGILNSHGQAQQLELVGPRLEKLILSDSIQAHISAPKLAELVCRCRSSFCDLHNYQFVDIGRRLRLLEVEVDLMLASLMQRFDEVDVLKLEVLTPLVCWQLTNFLRRN >DexiUA01G0001710.1:cds pep primary_assembly:Fonio_CM05836:UA:4649763:4650413:1 gene:DexiUA01G0001710 transcript:DexiUA01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAVVANPSPWLPTRAFPSSPCAAPWPRLLLSPPHPPRLRSMLRPLPCAAASSTSSPSSSVSVPDPDMEPPEPAHDDEAEAAAAEASRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIM >Dexi4A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:4A:4822802:4827525:1 gene:Dexi4A01G0006710 transcript:Dexi4A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFYAGASPQKQQHYQRRRQQIRQERKSLPIASVEKRLVDEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQDGRFCQDGKVIGITQPRRVAAITVARRVAEECNDQLGKKVGYSIRFDDSTSSATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANGSKNGKTLPDVRGHSQNLTQKACQGTRCVPLKLTIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKLRLFKGVAVLDVRGPGSASDCSKKALGIDDIIGFDFMEKPSSFCLLMPTYYGTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKGFEQMVQGKLYQSPLSKTCSGCS >Dexi9A01G0037050.1:cds pep primary_assembly:Fonio_CM05836:9A:41379805:41380369:1 gene:Dexi9A01G0037050 transcript:Dexi9A01G0037050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEARSRSTSRINDWGGAVTGSRAPAAGSRAESTIGEALSRLPPSPIVSERRLEDAALAAGHRPDEARRRGAERRGAEVRSLRASANGGGGEERRGGAPERALGGGSLQVPRRWAADGRRRDAGLADCVRRPGRWEAARRVGVRREKL >Dexi4B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:4B:21234453:21235270:1 gene:Dexi4B01G0018950 transcript:Dexi4B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWPENVGIKEQKQAKMENFDKDHQDPLEDVKFDEKPVSVDFQRLVEMAESGKGQSHMRWFMKQWERKRASALQALEEDLDPLCQERKDDQEPMKDAEHESISYWKERAMQLEERIYQEHGIDVECDISSFWKERVMRLEEKLQACLQKKHALVEKPEGSIRNPPSHTLKDKFSGLLRRADFFLHLVLQSAPVIIAHQDAELRYRFIFNHYPTLGDDEVI >Dexi9B01G0026780.1:cds pep primary_assembly:Fonio_CM05836:9B:29158924:29163981:1 gene:Dexi9B01G0026780 transcript:Dexi9B01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRSYVPAEVRNGLETLKQRRLERMRLSAQNETSENLAVAARSGGDALRSPANCGVRLHSNNGTGLPGNVQDKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTKEEFEDPIAYIQKISPEAAKYGICKIVAPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYERMANKVFSKKYSSTSCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQMPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILIGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLEQELRSCPCKSNRVVYVREDILELEALSRKFEQDITFSKERICTGSSKEAEISDINVERVPNSGTTLDFSNNRTGISGFVTADDGKNSPAASVLTSPAASILTSPAASILTSSAHLEAHSTQANQIYSSSKQAINTSYVPTGTCNLDEISSGIGNACNASAMECSDSSDSESGIFRVKRRSTSFDKPTSDTKVSNLSEQQVLRRLKKVHPEGQRPSKRPEESDACSARSVGMSQKSSNPTSSDYEREDMVPISWRIKRRQLETQHNDTSHGAKPQPCPPSSSSREDFAERTRDATAEFRSKRVKIRIPSSVSRQLEQQRSSGQRFARDDKLSLGCPRTF >Dexi5A01G0030830.1:cds pep primary_assembly:Fonio_CM05836:5A:33681152:33682178:-1 gene:Dexi5A01G0030830 transcript:Dexi5A01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLHAAAAAPLQGPSQPARAAFHPLASAPAASLRLARSSPAPRPRLEASFRALSAGRRFAWRGRRVIAALAGEETGSGVGDGKDNIKEEIKPEEAQEAWKVMLDQFKAEALRMQALSTQAYDVYSKKTREVLLEAAEKLKIQTEKAQKDLSVIAAEVGEEGQEYLTMAARNSPDSIKDIMTTFRALGKLKWPSEYEDYHVGIPFGM >Dexi9A01G0039460.1:cds pep primary_assembly:Fonio_CM05836:9A:43430042:43430503:-1 gene:Dexi9A01G0039460 transcript:Dexi9A01G0039460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGDDSNPASYIHTVQHLIEKCMTFGMSMEECMEALAKRADVQPVVTSTVWKELEKENKEFFDQYKQWMSEKRSASSS >Dexi2B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:2B:2990671:2993829:-1 gene:Dexi2B01G0003340 transcript:Dexi2B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMLRLAQEQMRRMSPDDLARMQQQLISNPDLIRMASESMKNMKADDLRRAAQQMNQTRPEDMRDMTEKLANTTPEEFAAMKAQADAQMSYAISGAKMLKKQGNELHNRGEYSDAAIKYKLAKDNLKAIPSSAAHNLQLQCTLNLMACYLKTGQFEECVSEGSEVLTYDSSNVKAYYRRGQAYKELGKLEAAVADLSKAHEISPEDETIAEVLRDAEEKLAQEGGGMNMRKGVVIEEVVEDDTPQPSSSQTSSTQYTVSQPPEGARHSGLSEPSGRSRDMSESNHEGLSKSGIEGMSPELIKTATDMIGTMKPEELQKMFEVASSMNGTSSGGPNLGSNMPEMSPDMLKMASDMLGKMSPDELQNMMNFASQMGGPGSAPRGSGNNFQPSSRATTSNSPLGSSSQTISPSLAELSNDQRMGQSSSSLPPSTADMQETMRNSMKDPAMRQMMTNMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWMERAQKGVEVAKKTKNWLLGRRGLILAIVMLILAFIFHQLGFIGR >Dexi8B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:8B:22068746:22075249:1 gene:Dexi8B01G0012700 transcript:Dexi8B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLYKAATQGDVEMLRRLVVDPEDPSILRSTTPQHNTALHLAALHGHATFAGEVLGKNEDALDKKSPLIMTNKAGNTALHEAVRSRRATVAVALLDADPVRGHDLNERMESPLHMAAREGLDQVVRKIVDYTLVDPELFPSLSLTVSGTALHQAVLGTHYGIMEILLEKRPELIELTDSDGNNALHYAAHKDHRRAAEMLLKARTDLAYKRNHQGMSPLHVAARYGSTDAIKALLRHCPDVAEMADGSGRNAFHVSADSSKAKALRCLLRHVRPAELPNRVDTNGDAPLHIAAKRSYVQCALVLLKDPRVDPCVRNHGGDRPRSLIEMKIDTGETDTNEIYLWKQLVEQESKRCRKQQLPSMQGRRRGLNNSDFDKVVESYFLAATLIATVTFAATFTMPGGYDQIKGVALHGQSKAFKIFVVSNTVAMCTSIVVIFLLIWARQEPVTFRLRNLAWSQQLTVIACLAMLLSLITAVYVTVAPIAPWPAYAVIAIAIGSPALFFYVYWTGTTWA >Dexi5B01G0021850.1:cds pep primary_assembly:Fonio_CM05836:5B:24154613:24157290:1 gene:Dexi5B01G0021850 transcript:Dexi5B01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQVVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFSTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVEAVALKPPEVQIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Dexi9A01G0024400.1:cds pep primary_assembly:Fonio_CM05836:9A:20629343:20629820:-1 gene:Dexi9A01G0024400 transcript:Dexi9A01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNGRSRFAVACGVLSRCVRAETNAGKMASAAAHVRPAATTAESAMLLMPGADVVPDVREEADEAAAPAEAAQLTIMYCGRVLVFDGVMAERAAELLRVAARHGEARGVVKDDIPVARKASLQRFMEKRRDRPAPMD >Dexi3A01G0023240.1:cds pep primary_assembly:Fonio_CM05836:3A:18923368:18924889:1 gene:Dexi3A01G0023240 transcript:Dexi3A01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFICTANDIEGIPSPLQDRMEIIDITGYTNDEKLHIAREYIIRNKLEACGLKPEQQEPRREGKKEEKNGVPRCIAAPHSSSSRSKSRWPWRARGGGRSGGGHGELEDELTMVSLEAERRSKRRRPCLLGGLAPRRCRHVPHQALEQSHGGGGTASLAGEVAAPEGSRRGGREGALPSAAWRGGREATHTELGVVAGRAPPPREREVGERERRRRRWRRCAREAHAGEDDKA >Dexi7B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:7B:17045710:17049175:-1 gene:Dexi7B01G0009410 transcript:Dexi7B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRNLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGEQLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGKVIATRQDAVGGMKCKREGFLQAIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGEYFPGVVNPLDGAQWVQHSSYGMYQYFIKVVPTVYTDINDHIILSNQMQLIAAVLRDGTFSKQ >Dexi2B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:2B:24882620:24885928:1 gene:Dexi2B01G0014950 transcript:Dexi2B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAATGAIAAALLRGKVTLMAASAPRVARAFPGLAAAATGFAPSTTSSPRLRLLPRLRSGASARSFCGVARASPGGAATGSSVEEEGPRLQSELIFLGTGTSEGIPRVSCLTHPTETCPVCTKAAEPGNPNRRRNTSILLRHATPSGTANILVDAGKFFYHSALQWFPAFGLRTVDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIINEEPFMVHNLEVIPLPVWHGQGYRSLGFRFADICYISDVSDIPEETYKLLENCELLIMDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGGNSLTTHARMMHLMDHEKVNDDLARLMETEGLDIQLSYDGLRIPVRL >Dexi5B01G0024210.1:cds pep primary_assembly:Fonio_CM05836:5B:26303263:26303511:-1 gene:Dexi5B01G0024210 transcript:Dexi5B01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAVLLGADDAFVPSFASRAASEIRSLQWAGGEGGGDRQRRASMPSPLRWRSSRWATRRGPRIPAAQRRHLDLVASTAQPT >Dexi1A01G0024750.1:cds pep primary_assembly:Fonio_CM05836:1A:31197783:31198148:-1 gene:Dexi1A01G0024750 transcript:Dexi1A01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFTYVILGGGVAAGYAALEFVRRRGGDAAPGELCIISDEAAICSQKVCSFSQSQIEECLL >Dexi7A01G0023950.1:cds pep primary_assembly:Fonio_CM05836:7A:31821878:31823026:-1 gene:Dexi7A01G0023950 transcript:Dexi7A01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSSVLVVAAAVGLLVAAAAAVSAGSGGLSVYFHVESCPQLETIVRSNVDAAIRQNVRLTAGLLRVFFHDCFPQQEVLQLIEDIRGKVHAQCGATVSCADITVLATRDAVNLAGGPAFSVPLGRLDSLAPASRNDVSKLPPPTASVDQLLAAFKNASLDDPADLVALSGAHTVGKAHCNAFQGAGGGDDDDDMISKCVTETCSAASGSLRDLDFLTPTVFDNLYFVELTLRKNKGVMLPSDQGLATDPRTSWLVQGFADNHWWFFDQFKTSMIKMSQLRGPQGNVGEVRRNCFSRNSNAIPGAADLIASA >Dexi7A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:7A:10292599:10300115:-1 gene:Dexi7A01G0002410 transcript:Dexi7A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNPGSDGSDPPPFTLSRWPPRPSRKGIVCPGAGKRVLSAVLATRSVRVSDAPVLWCVSDDYACLSICAPRPCDFFSSNRFLVSGVSDPGVCRFLQGPETDMSTVDKIREAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTELQSAKLRPEDLWAIYSKPVSAKPHKRYNPSWIAIEKLTKSGATIGLKHFKPVKPLGCGDTGRMSTIPIIVFISSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRVCIEREIYSLLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFALLDRQPMKLFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQEDGHIVLTDFDLSFLTSSKPHEVITGSPHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVCVAAKQLIHGLLQRDPSFRLGSSAGANDIKQHPFFEDIYWPLIRCMEPPELDVPLKLTRKEPELRVKPGEDSLTRSIDTF >Dexi5A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:5A:568803:573996:-1 gene:Dexi5A01G0000830 transcript:Dexi5A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAHTIGNEAVEELGRLPTVAEPDDRPAELECDHFSMSSPDSKAVTAVNVPATSAENTVPDTFAGKSVPDTKDLQGSANNLDVPENILNDVSMDVTDLPDVPQMISSKELNGTEGPSSPKPCEVPTGDENRTVQDVTEGPSSPKPCEVPTEDENRAVQDVLDVGHNESSVRRQISGHKGSKQTSGHKSNQVRYRGLRRSHLKRNVGPVDAIEANHAKSVDICESDKSKMPLEAGNGNDTPVSVQKVCESQSTAVKNKTKSRGVDNFDDGPSLMNWLKQTHKKVRTEKRDTGHKNFDPSDVSNSTPDIPASSDIHDDSVPSGGDLGQDTSARHGNEKAQNNNLEQNMQKADELCQNKSENLKQRFLSNGESTILLKRKVRSSTISRLENPEGTVQRYPAKVSLGKLKVQNESGPKNIPKNKKKRRLEPVIAAVDQYTRDAVDQVQQRSVPSTALTMEVGGMYDQRIAGQSGLYPKEPMPATHLLRLMDSSTARGFTNYQRANRLQMELETQNLGEHYVQHNHYNASTSTSYGSQITEKVPLTLHDLARHQVEKNLHRPLRPHPRVGVLGSLLQQDIANWSGNSGIQSGYKLGVPNGTTSSHMNRKANYETLNSGMFSAGWNALQLGSARSVLGPEHSSARYGATQPWTGSTGKKIQPWTDSTGKTVHPLDKLVRKDICVTNRNPADFTVISDKNEYMINL >Dexi1B01G0029300.1:cds pep primary_assembly:Fonio_CM05836:1B:33652251:33654692:-1 gene:Dexi1B01G0029300 transcript:Dexi1B01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSAKASLRQKIESVIFKVYDIDGKGKVTFKDLLEVLQDQTGSFMSDEQREDLRKLSSECDTNHIDALQQVVTKVLEEAGYAKDYSFSVEDFIQIIDHPGLKMEVEVPID >DexiUA01G0013420.1:cds pep primary_assembly:Fonio_CM05836:UA:27984415:27986958:-1 gene:DexiUA01G0013420 transcript:DexiUA01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGMVQSLSGLLKVIDLDHPDAAKVVNLILKALDSLTRTAYASDQVLKSDRFVKNILPGSHEQTQEADDNVIHEQNTDNGIHHTDDIIHATNQRPQELSQVDGDHNESHGQPAEQEMGVDLVDTNSSGSPPVNSVEFMREETIEGNVMPASIDVGLAFPLQHQADDEMGEEDEDIGEEGEDADEDEDDVEIADEGAGLMSIADTDIEDQENNAIGDEYNDDLMDEEDDDFLENRVIEVRWRESLTGMDHHLRFSRGHADSSGFIDISSDSFHGVGTDDSFNLHRSFGLERRRQSGSRSLLDRPRSDGNAFLHPLLVRPAQAREGIGSAWPSGVTSSTDFHTLSFGNSDIPFYMLDAGFPPESAPPVFGERVVSTAPPPLIDFSLGMDSLRMRRGLGDNPWTDDGQPQAGNHAAAIAQAVEDQFVSQLTVANNSNNAPQLQPEQAGNDVNAQLPSLDTENAEPIATDSPAQPDSSHQQVHSVNQEPAPENDGLCPTNVQVNLQLVDSVHGNCVEEVVQQTAAADRMPQSDEIMSIVDTQLGGCPERDSLYGNQSYDQIMHNEINAPQQVQLSNDPRDAPSDLESSCHALVTSSSVAPELSDAHVDSATVNVDVDMNNVEVENSARGSDGNDLFSRRHEEAHQEPQTEQPNANNEASSANEIDPTFLEALPEDLRAEVLASQQNRSTPAASYTPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRVAHVQPVGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGGRRLPADNQTIIDRAVGAAV >Dexi3A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:3A:11889142:11891506:1 gene:Dexi3A01G0015980 transcript:Dexi3A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGATTECKAVAGGDGDAKGAGVVATARRRRRRLELRRLGRTEAAAEEGVTAKKARSGSDRASSDSSVESDAGAGWPACLSHGAVSVIGRQREMEDAFSVELSFLASADPKGGGGGEDGEEDFFAVFDGHGGARVAEACRERLHVVLAEEVGLRRGVGGDTRWKDALVASFARVDGEVTGGLAAPPKMASDGDPNMPYRTVGSTAVVAVVGQRRIVVANCGDSRAVLCRGGVAVPLSTDHKPDRPDELQRVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVCAEPEVTVVDRTDQDEFLILASDGLWDVVSNEVACKIARNCLSGRAASMFPESVAGRSAADAAALLTELAMSRGSKDNISVVVVELQRLKRSGGTA >Dexi5B01G0033470.1:cds pep primary_assembly:Fonio_CM05836:5B:33851371:33852030:1 gene:Dexi5B01G0033470 transcript:Dexi5B01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARHRSTPSSERFLGSFFAPAAAGEQSASAAFELDEGDIFSTGSGSPEPPQPVRRPLIISSVRPTNPSPLPRLPRPPEGILDALPERRRSPPQSSPLTPSSPASPAAAAPRMIPAIPRPAPVPAPHVARSLPVNVPAARLQKPPKVMVDGKTLRFPLSALEEDDEEMLPPHEMVARARARESPMTTFSVLEGAGRTLKGRDLRQVRNAVLRKTGFLD >Dexi9A01G0028250.1:cds pep primary_assembly:Fonio_CM05836:9A:32883563:32883887:1 gene:Dexi9A01G0028250 transcript:Dexi9A01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITREVPASHASGKQSRPTLGFDLNVADDQALEEDVPQSSAQTTCSESELRSQCYQQDRYLESSLMLA >Dexi5A01G0029990.1:cds pep primary_assembly:Fonio_CM05836:5A:33027009:33028020:1 gene:Dexi5A01G0029990 transcript:Dexi5A01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLFTSPLFPSLRLPLPTGSRCAPPPQAVASSADNAAAAPRLVAFTGHRRELVLGAALSALLSRAPLPAQAREVEVGSYLPPAPSNPGFVFFKATPKDTPALRAGNVQPYEFVLPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIEDIGSPERIIASLGPFVTGNTFDSDELVDTKVEKIDGLTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVVSANDKQWSSSEKVLKTIVDSFQV >Dexi9A01G0048750.1:cds pep primary_assembly:Fonio_CM05836:9A:51468600:51470222:1 gene:Dexi9A01G0048750 transcript:Dexi9A01G0048750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMATTSLSLQGRPSHAPAKKLSSPFLGAPASFLRPLSPASTAAPSRRALAVRAMAPPKPGGKPKKVVGMVKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTADKPGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQRQKVGKVTADQVRTIAQEKLPDLNCKSIESAMRIIAGTAANMGIDIDPPILQKKEKVIL >Dexi4A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:4A:9362650:9370150:1 gene:Dexi4A01G0011360 transcript:Dexi4A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMPPPPPRNPNPSSTATTSMPPPPPPNPTSASMPPPPPPPRPEAESQEASPAAEVEGHASSTPSSSMPPPPPPMLAPPAPQPEAGAEGSADPSPSVSGGGEGGGAGLSVLQFRNDGKVFLYDLGSTHGSFINKNQIKKKLYTEIHVGDVVRFGQSSRLYIFQGPSELMPPEKDMQKLRDAKIRQDMLDREASILRAKNQAALAEGISWGMTEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQVSGGDDDEFYDRTKKKFSQKSNEQQSVETADSLLEKKDSITSDIENKKKLLEEETHKLAQSSTADLGDDLDAYMSGLSSQLVHDKIAQIQKDLSDLQAEMDRVVYLLKIADPMGEAARKGDLMPQELRTSAPNDNPRSDSTKQSKAVKTTSAEKPKDSSDETTDKPSKVETDVSENLEKGSKPAFSMPKPQWLGDKRIVEPEENFINEEKAAVEEPDNFVDYKDRKAILSNSGSGKELEEAAPGLILRKRKSTDQSAPSEGNSSSVESEASIADAVALLLKHKRGLQTAEEMENEDESHTNKREGKKSKQKRVLGPAKPDFLERGPDSDMGQTGDGRTALNERLGY >Dexi3B01G0032410.1:cds pep primary_assembly:Fonio_CM05836:3B:34769122:34772165:1 gene:Dexi3B01G0032410 transcript:Dexi3B01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPVTTAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYARYRAGVVTRDMLALPKRRFIAIGLLEALGVASGMSAAAMLPGPAIPILSQLIFSALLLGRTYSVRQIIGCLLVTSGVILSVASGANEGQFLSEVQLIWPALMIISSAFQAGASILKEAVFVDGAKRLKGKRPDIFVVNSFGSGFQALFVFFLLPFLSNLRGIKFGELPGYLNGGAECFLNVGESPIDCGGAPFLPLLYIAVNMAFNISLLNLVKRSSALVASLTSTSAVPISIYILSLPLPYIPHGAELSVPFIIGAVVLLMGLIMYNLPQSSPKQD >Dexi9A01G0028880.1:cds pep primary_assembly:Fonio_CM05836:9A:33616544:33624300:-1 gene:Dexi9A01G0028880 transcript:Dexi9A01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRTCRAVRASSRVGSAGVEDVEDAPAAELDWKALPDDTMLQLFARLNYRDRASMAAACRAWRALGSSPCLWSELDLRAHRCDREVASSLAARCGSLRRLRLRGHEAVEAVPGLRARGLREVVADGCRGLTDATLAVLAARHEDLERLQIGPDPLERISSDALRHVALCCSQLRRLRLSGLREADAGAVGALARYCPFLEDVAFIDCGTVDEAALADIRSIRFLSVAGCRSLKWATASTSWTQLPSLIAIDVSRTDVPPNAISRLISNSKTLKLICMLNCISVEEELVQDPSVFSNSKGKLVLTIKSPIVKSIATMFPGVDVKEHEVFNQCNWKHKDMIAGDTMTWLEWILSQSLLRIAESNPPGMDEFWLQQGTALLLRLLKSSQEDVQERAATALATFVVMDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGITILTSLAKSTNRLVAEEAAGGLWNLSVGEDHKVDILPMLILIAYFNGGTFGNNSLAVLLQAAIAVSGGIKALVDLIYRWPAGTDGVLERAAGALANLAADDKCSLELAKAGGVHALVTLARSCKLEGVLEQAARALANLAAHGDNNDNNAAVGQEAGALEALVQLTGSHNEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVSLVQQCLNGSEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYSGNALRIVEEGGVPVLVSICSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSMAATSSAPAALSQVAEVVFIHEAGHLRCSGAEIGRFVAMLRNPSPILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAGRVLRAAAAATTASIEAKIFARIVLRNLEHHQSGTST >Dexi9A01G0029710.1:cds pep primary_assembly:Fonio_CM05836:9A:34605072:34606877:-1 gene:Dexi9A01G0029710 transcript:Dexi9A01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLAPLSTVVLGSLSFGVFWLLAVFPSVPFLPIGRTAGALLGAVLMIVFHVITPDDAYASIDLPILGLLFATMVVGGYLKGAGMFKHLGALLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGILPAMLAGMGVNMVMLLCMYWKELEGVSPDEVVAGKVMEAVEEGRSSPASVLSLKMQVASPVGAHGANQLRQRQNGNGNGHDAEDSVMSENISTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEACLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNFMAPYAKVNHVSGVTVLSLIILLLSNLASNVPTVLLMGDEVAASAATISASAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAHDLTFWSHVVFGVPSTLVVTAIGIPLIGKITV >Dexi7B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:7B:21525957:21526682:1 gene:Dexi7B01G0015480 transcript:Dexi7B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQVLPHVSEGCTCSSSNDSSSNSSSLNASSPASSSDDSGSNSKGTKRPRRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDNPEMAARAHDAAAVAIKGRAAHLNFPELAHELPRAASAAPKDVQAAAALAAAATLVGAAAAASPVVPSHEIDDGEHEQAAPDLGIENAAATFCGGIELLDLAFLDVPDTTLDFGYMLSPVPLPPSYCGLPWDGVAIGDELCFEEPLLLWEH >Dexi9B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:9B:10787342:10790322:1 gene:Dexi9B01G0015760 transcript:Dexi9B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSMYRGNLHIGGRDSVAAAPRRWEPPRPTLSAKRFRRLLHSRSLAIARLDGAPPRPGSPSPAGVDGGRGAAENGAEARDVEEEGQVEEQQPPQQQQQEEDERQQQQPEEGHGEEEQQQQQAEEEEHEEGAVEDADMEDAGEIVVEGDGNDDAEEGQGESEGVDTNQLEASYSDQIDEKKRKLNEKLDVLNKKKHDLVQMLKQVLNAEEEIRRRSMQASLRIAMPQPSENATDGSSVSRLAPRMTVDVNFGDVAGDSDAGSNQGTPGRPLHHFHSISPSTASFVRSPFGSLQGHTPRSPATFSTASPSRFAANGYQGPPGPHSASFPGGTYAASSPSPAASGGSSSVFRDPRPPNST >Dexi8A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:8A:1628434:1629957:-1 gene:Dexi8A01G0002470 transcript:Dexi8A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFPEDTVSSATSSPASSLYTPSPHGYGSWVQELSHDQQGVQLIGLLYQCAAEVGAGAFDRANHSLEQITQLASLDAPHTLQRLAAVFADALARKLLNRVPGLSRALLSTANSPESHLIPAARRHMFDMLPFMKLAYLTTNHAIMEAMEGERFVHVVDLSGEASNPVQWIALFHAFRARRGGPPHLRITAVNESKEFLADMAGVLARDAEALDIPFQFAAVEARLDDLDPDALRHVLRVRSGEALAISVVAQLHRLLAADDTTRRHLPGSSCLTPVQIMARSSPSSFGELLERELNTRLQLSPDASSIVSSLSPQSPVVQQQQQQQRPAAAKLGSFLQAVRALSPKIMVVSEPEANHNAAAFMERFEEALNYYASLFDCLERASSSSSPAAGQGPWRAERARVERLVLREEVCGVVAREGAERKERHERLAQWGRRMEAAGMEKVGMSYGGMMEARKLLQSLGWGGYDVVHDARGEAFFFCWHRKPLYSVSAWRPAACRHGRLAGA >Dexi3B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:3B:3076498:3077749:-1 gene:Dexi3B01G0004520 transcript:Dexi3B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIITLHQILGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPKTHRQLISAPATATGAPSGGRREGQQAAASPQSSGGAGHSSDDDSASLGGIDLNLSLSPPSQPSSPVAAVVAN >Dexi5A01G0039320.1:cds pep primary_assembly:Fonio_CM05836:5A:40001196:40009280:1 gene:Dexi5A01G0039320 transcript:Dexi5A01G0039320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKHRASAAAADADSDGEEASQDAALPLDSFSGDACAALTARYGRSAAPQHRHLLASAAAIRSILLDDGLPLTPASYLPAAVTALCAAGPADPAAASALASLLVILLPHIPTSPSSLPPDAASESASALAAFLSSPDASKLPTGTVRSVVKSLGHLTLHLDAAANWDAVAASLEALLAASVDQRAKVRKCAQESVEKLFAYLEQCGCAKKASDSAIGMFEKHISLARSLVNLNSDISEVKETDAAQMLGAMVTLVPYLSKKARKKVFSDAYQLLSPCFTPLTRHVLRLLETLLDHLKAESIESEVKSLVSLVVAYLPYDEKKPDDTIVSALHLMKSCLDKIVGCSKLWVEALPTAFEAVSGYLVLGRNCSDDIAKVVHDCIDSHIDHSVFVTNGAQISERGVEGLSDETAIKSICLSINKRLQTCASPPDSILTILVVLFLKLGESSFVFMKDILLTLAQFAMKMDKESQLNNVLLNNSFSLNLCHMQVEECIGAAVLAMGPDKIFSLFPIAFDEERLTCSNTWLLPILDKYTYGAPLQLFLDHIVPLVKSIQNARNRVKKGRKRKDLQCWIDQLWNLLPSFCRYPTDVHHSFGSLSKLLVEILKHDERRYKSAVEALQQLVDGTRKLSSNDQDVEIYMELSALFSSKPISFKCARLERSSKKEARKNLKVLALHSANLLCTFADYFLDSSQEKRDHLKAALRCLAQLSGSTNICEFFVSLVKRFELEDTQLEPESQVCKTDEVDRKDEDGIDATEELSNKRSLLLELISTFAEVADEDLLDKLFGFIKSCLLNSSMSCHSKALLALSIIVKEHNEYSLAHLDEIMLLLHGMKPVLDNSVLESQLLCYQHLLVHMIKVNEENTSKKAFLILNELIVALKSKKESRKLAYDVLLATSANLRSSKSDSSDSDLQRLFTMVMGYLSSKSPHIVSGAIAALSLLIYSDANFCMEVPNLIESVLVLLQHKAIEITKAALGFVKVLVTSLKPEKLLKLRADIMSGILQWSKVTKHHFKGKVVLILEILIRKCGFDAINLVTPDEYKDFVKSVEEGRKGNPNLADNVESEPKEHRQHDAKRRKQFDSNTETGQEGTLSRRPPSKSWSVGKRHAKDFHFKKGADRGNPHSAKSHQSKAPGDKGDRPNFKSKSKWQPGKGQGNRGDKSPGSNTKTRGSALSKTQNSGTRAPWHSPSSFKKRKRTA >Dexi3A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:3A:5183590:5184206:1 gene:Dexi3A01G0007490 transcript:Dexi3A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATGGEVRLVDRCIDAAARDAATVEAWRRQRRSLERLPAPLADALFRRLAERRLLFPSLIEVFKCSVEEVDLSGFLAVDAEWLSYLGSFRYLGVLKLADCKNVDNGAE >Dexi2B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:2B:17635101:17635913:-1 gene:Dexi2B01G0012410 transcript:Dexi2B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFATGASFSTDCKGGGRESNSRFLPFMVQMASHLADASANQQRHAIAKAVTTYLSSSASTPESPIRLSASVSGSRGSSSFSEETVQFMMRGIYHAYMQHKHGRSTLKLSSDSSSTAVRSDEGSSSDTNEDKKLFTIVQPMLVYTGLIEQLQQFFKKGKSSSTSMSHEKDESGGNLEKWEILMNEKLGNMKEMVGLSNDLLCWLEDMTSSDDLQEAFDVMGALTDVFSSGNATCEDFVRAAIHAGRS >Dexi8B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:8B:8058604:8062440:1 gene:Dexi8B01G0006920 transcript:Dexi8B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISVRPPKRARVTAGPRPLDLRAFPAGGDGPPLPPPRGAFRECVRGFLARCAVPADGAWRVAFRAGDGDGAVALGMEVVEEDVARAGAGRVYCEHCTVAGWSRHPVCGRRYHFIIRNECDIQDYKTCRHCGLMAQLFETRKVSLMDASKKYGTDYRILHAVTTGYSWLTDENILLPNVSMPTQDHLYRDIKFLYDVLLYPHTMYPYKPEKDYEDAKSSAMILLDCKQFTKHYDLEKEFLPQNPSMLHVWCHVELVDQVGDAPSIPPELLTLSQTATVADLKLEATKTFRDIYLMLQTFVANQLIDFGMASL >Dexi2B01G0032020.1:cds pep primary_assembly:Fonio_CM05836:2B:39992372:39996421:-1 gene:Dexi2B01G0032020 transcript:Dexi2B01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGPLCTWLVAACLSAACDADEHKKKHCGPTRSGVGGGAMFGQGRERRRLGSRRRGAARSGMAMAVALQAERNVIEKKKPDIKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSNFPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGLTEELMSELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLITAIIQLIVSVILQGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDMDRDGFVMGEGAGVLVLEELEHAKARGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVASIQAIRTGWVHPNLNLENPEDIVDVNVLVGPQKERCEVNVALSNSFGFGGHNSSILFAPFN >Dexi5A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:5A:22391043:22391291:-1 gene:Dexi5A01G0018870 transcript:Dexi5A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGRFARPLPTPAHSFGVPSESDATPPVPFPSQRRYGAMPVAAAASLSPASASPPNPTNGTRRRAAPQQARASVACHCRW >Dexi4A01G0023080.1:cds pep primary_assembly:Fonio_CM05836:4A:26234496:26235708:-1 gene:Dexi4A01G0023080 transcript:Dexi4A01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAIDRSIIPLRSRLVAVDGVPVVFAVFLGVWIATRNHQLGGILRRHLEVEELLPEHVVEAVEEPLLDGVHAPLEAVEQLSGVLVVHEEAIVEVEAVAAGVVHQPEQRLVPLGVDGRRPELEHREHPPHRVRQELRPGGMRVVLGAAHLHHPAPLALKDLEERLGAGTARRAVADADLVEHEGEAGPAVARRLRAEHGVGADDVELLRERAEEEVLGEFLDGEHVGEECVAAEAVEGERADDGLGGEDGCGEEHHVGVALAEVVRVGEEARAEARRGGGVVGAGVSQHGVALRHQRPRNESLLPNDGGGAAPVARRRRGRAAAVPGRRRHRHLAATNWRLLVGVGDRRHEDGWWWRRAEGDAAIYYSSR >Dexi4B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:4B:17456334:17457375:1 gene:Dexi4B01G0015640 transcript:Dexi4B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLAMVCSVLLAFAAPPHCAEAAKARHFKWEISNMFWSPDCEEKVVIGINGQFPGPTIRARAGDTIHVELKNALHTEGVVIHWHGIRQIGTPWADGTAGISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHESIHTQMVALSSKPFRWIGEPQW >Dexi8A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:8A:2288048:2291878:-1 gene:Dexi8A01G0003180 transcript:Dexi8A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHTKEGSNLTADSTEDSESSSKNNSNHSSDQHGAAANMVSQFDQGSQQQQEIQHKNMATSSTTKSGKTLDPKQTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLSQMEQDMQRARSQGLFLGGVPGANTSSGAAMFDVEYARWLDDHGRRMAELHGALHAHLPDGDLRAIVDDTLTHHDELFQLKAVAAKSDVFHLITGVWTTPAERCFLWMGGFRPSELLKTLLPQLDPLTEQQVVGICNLRQSSQQAEEALTQGLDQLHQSLADTMAGGSLIEDANMSFMGQMALALGQLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREILVADESNCGEISIAAQPSQSQFSSF >Dexi9B01G0038360.1:cds pep primary_assembly:Fonio_CM05836:9B:39443861:39449221:1 gene:Dexi9B01G0038360 transcript:Dexi9B01G0038360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVHRAVEASGGRSSLLTRTVRTSLDTVVHHAGQAVAGGARLITGHRNYKSVKVTAKRLEEAALSYKGEERVQLLRRWLVALKETQRAATAARRPPQLGGDLEQAAPLLVSIPLAPALVSDLYVDCESGAEPMNFFHVFLYSQGLECAVLSMVSWPISSQATYNAIVLHYRMCLSGGKDVHNALLSSVKELARLFSHYQDEVLAKRSELLQFAQGAVSGLKINAEIARLDNEISQLQQQINSMDALRGTSNSNRNRTSQMATEVGKLKVLSESLANSSAKTEKRIVENRLQKEESLIFRVTKTNEVSGIEKELVAEISVLEEQRDKLEAELKKEDELSRSIASCKVEGSTVIAWISFLEDTWKLQSLFEELKEKQANEELNRCGVFFVKLIKHHVSACLEELGTSIDRIKTFVDNLNIFGDRSVSAEDGANGSSKQSNPRKYLEEEYLQTEKKVVAAFSLIDSIRAIYSSNQEYYKTRKDEPEIKNLFDTVDKLRVEFESVPRPVLEIEIKEQEEKAKRSRSLKVSASPRHSRSDSTIAPQLMTRLPSESESELAKFEPEYKADEISGWEFDDLEDEPRPDSL >Dexi9A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:9A:15017755:15018206:-1 gene:Dexi9A01G0020040 transcript:Dexi9A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEKPVAVRRAEELVEREMGGRDASHDAAHALRVRDLALSLAAEEGLAAPARLLTVELAALLHDIGDYKYTK >Dexi8B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:8B:4670289:4671557:-1 gene:Dexi8B01G0004930 transcript:Dexi8B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSQYGARRDGIHSYGTSSATSFTSNGEEISFSFDLVEPPGISVICLDSPKDASTQTANVIAALHDVVLIRMYYGSGAPIDYYVYKASSDVDASRWRPSLLLLLPAYDDEDIWTSRDNTGIMSWRTKGKSFDLWSEIGSPPAIEAHMFRSGSDEWKVFKDLQVNGANGSSDLQWWKTDVIVPYQGRFLMWVDYYQGIILADMSPQSEGEDVAPSLWYVPFPVDRITKNPEHIDYGRGSPQISRCVCATRDGLKFVSVDHRHTSDWGVGHQDVLKWSHTFRITTWSLREHDYTWRRDVTMYEEEFWDTLHCSGDHLFPRATPEYPVVNMDNPDAVCFRLKKEPYNFGDPIWMIEVDMKKKALLAATSYSMETTSSNEVGTINYARVVSDAPPFSSDLPRYLDGVSDCKKRRQ >Dexi2B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:2B:30422209:30423128:-1 gene:Dexi2B01G0020360 transcript:Dexi2B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCVSTNARRLSGRLPPPSPAEDAPEAETSEREPEDDGEIVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQTCGFQLHQFCALAPPSLHDHPFHPKHPHLLFFVKPAGGFLRCKCDICGKAVKGFSFRCASCGFDMHPCCAAMERRMELPAAHEHALALAAQDAAAETSFVCQVCRRATAAAKRPGGSSSSSLVYRCLPCGYCLHARCAKDMVNGLYAHGIVPPEKRSALATAARVTVNALFGVIGGLIEGIGEGIGEAFVENIGRSRRSSG >Dexi8B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:8B:572023:573798:1 gene:Dexi8B01G0000830 transcript:Dexi8B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVVMGNIIPPLHPTTEFLHVKPGKGAAFVRTKLRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTYEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGGSDSAQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >DexiUA01G0007020.1:cds pep primary_assembly:Fonio_CM05836:UA:13479894:13482860:-1 gene:DexiUA01G0007020 transcript:DexiUA01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAKLAGLHPIYGLYTGFAPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVNSSSDLYTELAILLASMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYNVTRSSKIIPLIQSIIAGANQVGFIVSP >Dexi7B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:7B:12390472:12394970:1 gene:Dexi7B01G0005130 transcript:Dexi7B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSKRIHCHSWPNANTHDIRQKMALKPVILAILLLLSLVSADMVQIKQQVIGTEVQELVDNRSSDGDGGNGVTPKNPIKAQQLGGSERQELIENGSGDGGMVAGGVSPRSECTEKALYHGPCVEMVCVAGCLLQVRSGGHCKGGLFGACMCFVCS >Dexi4A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:4A:1048343:1049669:1 gene:Dexi4A01G0001620 transcript:Dexi4A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENESQPWKIPPIVQELAAGVPEPPSRYMVREQDRPAMAAAAPMPEPIPIVDLSRLSAADDDGAVADEVAKLRSALQDWGFFLAIGHRIEPEILTKMMQVTRGFFNLPLEEKQKYSNVVNGNEFRFEGYGNDMVVSEDQIMDWNDRLYLIVEPESLINHSLWPARPPAFTGVLRKYTAGCRAIAGVVLATLARILLGIHDERRFVDMLNDGVAMTHARFNYYPPCPMPELVLGLKPHSDASVITVVLVDDVVSGLQVQKPNDASGVWYDVPIVPNALLVNVGDVIEIMSNGFFKSPVHRAVANAESDRVSLVMFYTLDMEKEIEPLPELVDEKRPRRYRKTTTKDYLAVMFDRFATGARAMDTVKISTAGHGSGTRSEDG >Dexi2A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:2A:11374124:11378967:1 gene:Dexi2A01G0010200 transcript:Dexi2A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHLLLLLLALLSALAPTPTSSTTTVGSTLTLSGTLAGNQTLASPGDVFRLGLFAASNRTRWFLGIWFAVSPDTVVWVANRDRPLTSPSGVLELTGDRGGALALRDGATNDTVWLSSSAAAAVAELRDTGNLVLTDASGAMVWQSFEHPSNTFLPEMRVGKSLITGAEWSLSSWRAADDPSPGDFSYVMDTSGSPELYILSHGGRRKTYRTGPWNGVRFSGIPEMTTFADMFEFTFTDTTDEVSYMFTNRHGDGSPMSRVLLNESGVMQRMVWDNSSGGSWSEFWSGPRDQCDAYGKCGAFGVCNVVDAVVCGCIAGFAPRSPAEWYMRNTSGGCARRTPLQCGAGGGEDDGFYVLRGVKLPDTHGADVDAGATVKECGERCLANCSCTAYAAADIRGGGDGSGCIQWFGDLVDTRFVDEGQDLYVRLAKSELDAPKNNRKLAAVVALVITGFVLLLLSLAFLLIWRKARRSKKVAMLDDAVEFMSSECPTYPLGVIRTATDGFSPDKGQLPDGQQVAVKKLSAENTAQGLSEFMNEVVLIAKLQHRNLVRLLGCCVHCSERMLVYEYMSNKSLDAFIFDERRRASLGWEARLGIILGVARGVLYLHQDSRLNIIHRDLKAANVLLDADMVAKISDFGIARPFRCTADRQETITSTIIGTYGYMAPEYAMDGTVSFMQDVYSFGVLLLEIVSGRKNQRSFNLIAHAWGLWEASRSHDVVDPAIRGGCTGDELAQAATCVHVALLCVQESPSQRPPMADVIPMLLSQHRAPPARPRRPVVCTPMKSHTASATAAALAVQAEITGGNGELTITSLEGR >Dexi3B01G0023960.1:cds pep primary_assembly:Fonio_CM05836:3B:18703031:18704735:-1 gene:Dexi3B01G0023960 transcript:Dexi3B01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSHSSPVVLLLVAHCGLLLALAHGYPPTSPTGSALSSTFYDASCPSAYDVVRRVIQDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIQTEKNVPGNDKSARGFPVVDDIKAALEHACPGIVSCADILALAAEISVELSGGPRWSVQLGRRDGTTTNVEGAKNLPSPFDPLNKLQEKFRNFNLDDTDLCQFTRENCTAGQPKGALENLDQTTPNLFDNKYYGNLVQGRAQLPSDQVMLSDPSASTTTAPIVHRFAGNQQDFFRNFGASMIKMGNISPLTGNNGEIRKNCRRVNSKGY >Dexi1A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:1A:15277239:15277898:-1 gene:Dexi1A01G0013110 transcript:Dexi1A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLERDGGFHFPNSEQENSLFLRTIISVMFGDTAVLALVPETAMAPAPAPAVACARCDADGCTAGCELLASAAAATGSSSNSEEHGGECSGSATRVVTGPEAAWASGEEGEQLQVTGACGGGRGASGRHRSATRTASCTSGSAPSTQPRTRLQHRCRRVPRPPCQSQLPNRSRRAGVFLGADDHDVPAQPPAAAATAGVPPREVWVQRGVAGARGTA >Dexi3B01G0031440.1:cds pep primary_assembly:Fonio_CM05836:3B:32886525:32895313:1 gene:Dexi3B01G0031440 transcript:Dexi3B01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPWAVQKNEILARPGPARPIYNARPGPLPRHVVLAQPGMDKAQRPIWILKNPRATLYPTHMAKPSLWSPRRPSPIATHERSSLPGCHCRLSCAVTVSSLPFTVVSSLPCRCLRPSSVVAASLLLYASFASSFPSLLRPTACSSTQAWHDVPPRWAAWMTIPTYQHPPTVPALAPLLSPHLLGPSGDVRTSCHSPFPMAADAVPHPQPINLEFRASLFSIFAANSVFPHIKLASSPQSISFLLPPSPNPHPRPHNPSPRRPSSIHSQESHETVDPSTSSVSLRWTPAADLGGTTANYLPHRPDLYFHVLAPPWLQHVVAARYSTRNWEAEALLRWKSTVNDQASGCLYSWSKHTSPCNWTAVACTTTVPHGSDVIATCARVDSSSSLFASSPPKGISYWSPSPVSGDGFRTPEAPLKRVRGWRQGRGNGYGVHDEIHDNISGVSHPVSPRGILTTHSSWLYPTGNGGTAWPRRRSSRISPPNDGMASPPPRLPISPLDGRRGPPHLLHGCAPAVLHRLCRERISPFCLLQGRELAGMPGAAAMLLRLQCVVPQDLNATGFTLMTRGRDANLAC >Dexi5B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:5B:18250835:18251668:-1 gene:Dexi5B01G0017200 transcript:Dexi5B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLFFVAAASTAPVTRYGEAAPLVRPFAYNCNPSSAPLPDRTNTTFEANLEKLSTIVPANASASGGFFVGSLGAAPDTVFALALCRGDTIGADCTVCLESTFQNAIYYCSNAWDVTIYQERCQVRFSNLDFLDDRDFLASDRINDAWNPDSITVPMFPGIDPNDTQSVTFVAATVSMLMRETAMLAAFNASQRFATALMDTGGAFPTLYSMAQCTPDLSPAECFACLDVIVQMIPTNGRRGGRIMGLRCSIRYESDVFYGGKAMWIFGSTLRDGM >Dexi6A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:6A:10888414:10889985:-1 gene:Dexi6A01G0009420 transcript:Dexi6A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWAEDELKGAVVLVYANKQDLPGALDDAAVTESLELHKIKSRQWAIFKTSAIKGEGLFEGSATHSNLEAANVGASFAVNHCWM >Dexi2A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:2A:4719286:4720185:1 gene:Dexi2A01G0005080 transcript:Dexi2A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRAASTSILALTAALIVFLAGPASAAGNKTGQVTVFWGRNKAEGTLREACDSGLYTFIIISFLDVYGHGKYHLDISGHPIAGVGEDIKHCQYKGIPVSLSIGGFGNNYSLTSKQAALDLFDYLWNAFLGGGKPGTHRPFGDAWLDGVDLFLEHGTAADRYDVLALELAKHNIRGGPGKPLHLTATPRCGFPPARWVKTAVDTGIFERVHVRIYDDGDCEAYWHLRWDQWTAAYPATRFYVGLTASEMTHGWVHPKNVYYDVAPSAQKADNYGGLMIWDRYYDKLSNYTSIVKYYA >Dexi5B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:5B:5621069:5624400:-1 gene:Dexi5B01G0008330 transcript:Dexi5B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKRPSPFPGGGCTGGVLLHLLDWHRRLARKRRLFSPRRLLPSSLRSSSSPRRLPCPPPSPPPPAALAPRHAADGPAPGVVARLMGLESWPTAPPRPQKQRKVEAPRPDDSAVVLVLPTSRSRRHPAPAAPAPTTARSHHGADLPARSPRRARLVDAAAAKLLESGARASERSRTRLALAYACSSPQHRKDGCHSGALLQGSGPGRMADDFLSRSESLLTPSTRVQAQQPPPVRPAETGCDSAAVSRRHEQQRSIDNAKVEASTSTVVLPRMDFADGNIGERSFAMDAKHNDSRVRNEIEQDVYSSAASLNNEPNQPSPTSVLEASFSNDASSLGSPVEKNEVKDLFVSTENKMEELFNLESDMVNLATSIDTRKTNAEETLHDNVKLSCSQNYLAHDSKFLESRLLSIGVAETISSAELLLGSSLYPLIIEMLENTMDMFGGGDYSDLTEDKKYEHTNFLFDCIVESLDSKFCNYGKCGYKASLKLPFSLSKDLLKCQVLEDISNWKESSGTALRQVSVKEVDQVTARWDASQVEAFDISIAIENDILETLVGEFVLDLW >Dexi5B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:5B:8591653:8594619:1 gene:Dexi5B01G0012110 transcript:Dexi5B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGIAPKPAAPPVAAAPQPRHMFVFGAGFVGRYVSERLLAQGWQVSGTCTSAVKKKELEMLGINASVFDATESNLENIQSLQQATHLLISIPPIAGIGDPLLHSNEGLRRILSHGNLEWLCYLSTTSVYGDCGGALVDEDALDTLAKSKSLSQRQKLRESKQYTARIHVADIYQAVLASMSMRCARKIFNVVDDDPAPRAEVFAFARSLMESRPDLITETPGVNSTESDFQERIVPAEKRVSNARLKKELGVKLLHPTYRSGLQSILDSWQAESQLRDRSR >Dexi9A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:9A:7314018:7317540:1 gene:Dexi9A01G0011670 transcript:Dexi9A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASSLTVAFRRLPRDRAAGMVSQAPMAGANLTASLCKKSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFESHAHRGGGAEPLRRVLCDAHAAELSRLGYCSAHRRLADAGDMCEDCAAAAAPGKALLSWMGRSELGERDLACACCGVALESGFYSPPFLLPTPAPCVSDCGHKEVEETARPNGEVVFVSEEGPVIELFDEKPLLGDDSIGVLAEGAEIVSNVERLVPLESIDELAVDMAAVSSQSGGERKESVDHVRLNDVITENMVNANEGEIVMTSDDDKQDGVVDRLIDEQIADVALVPACMEGTFNDGINAGETVEGFADQQSPEEEDGLKDKDMKISIEDDQVEQVTLQQESYTMKRDPSDHEFIEKLDRSIEVEHFQQAEIKQKLNSMPSVASVHVAVTQPEEKHVQQAEVNQELDSIPIHPREYSGEEIEGERTAQAGLEQECNFVLTDFGEHACMTSYGCTDDEQAEMMQKVTSVMSDVPEYAADTFNDDTNACKEDMEEDPIEAALTSIHQISYEPLTSLDKFPHDHSVIEDEGEPLMPTHIENIYDSQELLDSKAAVSDAKSVDSSVATISTDLESTEFVSIDQLKSALASARKSLNSLYAELENERNAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKREKEKQDLERELELYRHKVHLYEAKVRKTSRHKVDEQNGSSSASSSAEDSDDLSQSFYEGDESAHGLSGSNGSIHTDVVLQETARHLVTLDGSLADFEEERLSILEQLKVLEDKLFDLDDEESDNMKTDKHFSEENHLSGASNGFSDDDSFFKLHDKRKGVTCRGKKLLPLFDDATVEARNIFLTKQGDEVDNSTEVTLDLAREQDKLAVANEIDQVQERLHALEADREYIKQCVRSLKKGGKGFDLLQEILHHLRDLRRIEQRARNSGELSPHYEHLYMD >Dexi7B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:7B:15347038:15348519:1 gene:Dexi7B01G0007460 transcript:Dexi7B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFKITYIEYLHFYCDPPLYHGDVRPSNVLLDKNYLAKLVTGKPVIQGNNRSLVEWSRELIGTDYRLHELVDPAVADAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILHERLDPLSGRFARAVEGEEGYYYCGVGGRAAKGKLAGAGEVIQFSGEAARSWLPSSSSTSRSHCSRSVLLECNSPEQQLSPPPAHGNGAFLA >Dexi5B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:5B:5137582:5138766:-1 gene:Dexi5B01G0007620 transcript:Dexi5B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSMSPTAAAAAEDSSASSSSRSSGVSTATTESGAAQLLPPTAASSPGGAAAASSQTAEEQAVTSSSSAPAPATATAVAQGSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEDAAARAYDVAALRYRGREATTNFPGAGSSAPELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGANGMGAARAQPTPAWARALLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKHRPSSPETAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSMYGSEKQLFIDCKKTTTTTTKTATAGEAAQAPAAEEEKPKEVATRVVRLFGVDITGDGCHKRARPVAMAFEQQGHEFLKKQCVAAHHRSPALGAFLL >Dexi8B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:8B:25002317:25004012:-1 gene:Dexi8B01G0014380 transcript:Dexi8B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPQDAMAAPLLPSPEDGVAPPPPRRNRFAFVCATLASMTTVLHGYNMTLMSGAQLFMKEDVGLSDGEIEVLAGSMSVFMLASILAAGWITDRLGRRCTLVLANAFLMAGALAMALGSSFASLMAARFVTSIGAGFARVVAPVYNAEISPPSTRGLLSSMLDIFINVGILLSYVSNYAFAGLPVHTGWRAMFAAGAIPPAFIAGGVLFMPESPRWLAMRGRYADAHAVLLRTSDTSGEADLRLRDIKRAVADHPPSPATSTAGVWKELLLRPSPTVRRILACVLGLQFFVQASGIESILLYSPLVFKAAGMPSTTAAVGATVAIGVVKTCFILVGMLFTDRIGRRPLLLASTAGVALTTASLAATLLASSSSSSPATAAVSVAAVLAVVAAYSVGYGSVVNAYSAEILPLRLRAQGLGMGLAVNRLACGVVSMTFISLAGEITMPGCFFLYAGVTAAAFVFVYTQLPETRGRSLEDMGVLFDK >Dexi2A01G0026560.1:cds pep primary_assembly:Fonio_CM05836:2A:38137880:38140121:-1 gene:Dexi2A01G0026560 transcript:Dexi2A01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHLQVVAVVMGIALSTAAAGTISSGRFEFQEATVDAIQLGFKNGSLTSTALVRFYLDQIARLNPLLHAVIEVNPDALAQAERADAERSASSPSSGRGALHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVESGWSARGGQTLNPYVLSVTPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSSNSVVGIKPTVGLTSRSGVIPITPRQDTIGPLCRTVSDAVHVLDAIVGYDKLDAEATGAASKYIPHGGYRQFLRIDGLKGKRIGAPTVFFQGYDEFRTAVYEKHFDTMRKLGAIVIKDLDIATNFTDLYAQETLLMNAEFKLSLNAYLSDLLRSPVRTLKDVIAFNNAHPVEERIKDFGQPDLIAAEKTNGIGPKERAAIRRLDEICNNGLEKVMKEHQLDAIVAPDSDSSSVLAVGGYPGIAVPAGYDKEGAPFALSFGGLKGYEPRLIEIAYAFEQATKVRRPPTFKH >Dexi2A01G0034280.1:cds pep primary_assembly:Fonio_CM05836:2A:44308145:44308430:-1 gene:Dexi2A01G0034280 transcript:Dexi2A01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDGDSGRLAPLANTECRPRTEESKRRCLARVAVAADLRFSTTSPGEAGTRQSAGYITEGVDAGRMHAAAW >Dexi5A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:5A:6308835:6310333:-1 gene:Dexi5A01G0008460 transcript:Dexi5A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLVMAKIHKAAGVGMVVDLSSLLNSFTNNIVCHAVSGKFYREEGRNELFRELVEANSSLMGGFNIEDYFPSSVKLDMIKRMLCAKAQKVNKRWNELLDKLIDDHERRSASQHGDEENDFIDVLLSIQEEYKLTRDHIKAQLEIMFEAATDTSFIVLEYAMIMLMQNPDVMAKLQTRMRMTIPKGKEMVTEDDLNANDMDFLKAVIKETLRLHAPAPLLAPHLSMADCDIEGYTIPSGTRVIVNAWALARDPSQWERAEEFMPERFMEDGSAFAIDYRGNYLTYLPFGTGRRICPGIRFAISSIEIMLANLVYHFNWALPLESKDKGIDMSESFGVTVHRREKLLLVPVLPQ >Dexi8B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:8B:24676257:24676887:-1 gene:Dexi8B01G0014260 transcript:Dexi8B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVPQSPLHAVGNYGYKTVVMIRDGATDREARQPGGADLFICYAGVQMRETVTAEADWVVFDFQELITKSTG >Dexi7A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:7A:30264715:30265673:1 gene:Dexi7A01G0021740 transcript:Dexi7A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSLLSLTLDSALLRIAHIADLSHLPDHLVIDLFRRTLSAGKLTEKVLKLFLATGCEEIILAVQLLNIKQPLVPVLPTRCSERF >Dexi1A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:1A:9776678:9776902:-1 gene:Dexi1A01G0011010 transcript:Dexi1A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGWAKEGAVARRRVWTLECGGSGGDGDGRRREGPQPPAKRERDFMVEAAPSRSVFPVGPTGSKQWAGDSAS >Dexi9B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:9B:2214638:2218005:1 gene:Dexi9B01G0003850 transcript:Dexi9B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKKLFGFSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFMMNFLKSIASPLAGVLALHYDRPAVLALGTTFWALSTGAVGVSQYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGGDYYGLPGWRLAFISVAFVSFIIGLLVYLYAVDPRKTSPSHYGGDEDNERSHLVSNGILPPHSIWKDSWMAARSVMKVRTFQIIVLQGIVGSLPWAAIVFFTMWFELIGFDNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTIIPQSVDYWLAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTEKIYGYNAKTIDLAHGSVDGAYALSRGLLTMMIVPFALCCMFYTPLYTDFKRDRENARLASIKEQELI >Dexi1A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:1A:6082174:6085652:-1 gene:Dexi1A01G0007890 transcript:Dexi1A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLHVGLNLAFAIALHNIPEGVAVALPIYFATRSKWQAFYMAAGSGLAEPAGVIVVAYLFPSSLNPDILEGLLGSVGGVMAFLTLHEMLPLAFDYCGQKQAVKAVFVGMACMSASLYFLEISLPKEISL >Dexi2A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:2A:28328956:28332557:1 gene:Dexi2A01G0016620 transcript:Dexi2A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALHHLRLAPLALLRVAGLPPLASSRLAARHHQHLLLFAPPARPWRLLSPAARPRTLATAAAVADDTGAGSGDGFFAEESTSWGSLGVSDRLSSALRGAGLARPSLVQAACIPHVLTGNDVIVAAETGSGKTHGYLVPLIEKLCSKSSTTENDNSQDTAAGARDIVLVLCPNVMLCEQVVRMANSLLDASGEPLKSAAAVCGPKGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFMRKVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAQDSGKEASPGSDDEYHEDSDSESAEFSGFDEENEDNLVPDRSGTVENNPVGARKDWRRVRKIYKRSKQYVFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWIEVTADTQVDALLDAVKYGLRSKDHDAPTRTMVFTNTVDAANSVSDILQRVGIPCILYHRESSLEERANNLQSFRDNGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGTVTSLYTEANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQALKKREASLA >Dexi6B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:6B:21841816:21842784:1 gene:Dexi6B01G0014290 transcript:Dexi6B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFYRVESFIEHQDTCNASRPRAETSSSPASGGGAAGFAAASASTSHHQQQRQMHHATAAAALSRTASSASPPSAGDLAGVSPVATWPAAPAMASPTAFHRFDQAALSSPPPPPHNLELQLMPPRGIYGGGAPASAAVVSPTAPRTVAGDPMRLQLSIGFGSGDAEISSSSASAAAARLKEEAREQLRQAMAEKAAADEARAEARRQAEMAEHELASARRMRQQAQAELGRAHALRDHAVRQVDATLLQVTCYSCRCKFRAAAAAGGAMSSEVASYISSVVTEGGDAEVVDDYHHHHHRLQLNADDDTPSHARTMMMDIN >Dexi1A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:1A:24790577:24794424:-1 gene:Dexi1A01G0017460 transcript:Dexi1A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYGLSVALDAIWFLRTKQDLEGLNGLIAKIVASGAKDFARAILRTSLLASCVAACQSKAISVGDSKDIVAERLNDRLRDCPGSDHLKIAYQNSLAVDTDLALVYASHYCKLDTMACLVDEGNAYSFLAPLIKAAERGCMQVIHWFVSRGVPEIEMCLALTTAASSGHYVVASYLLEQIPQQILEALSTQILKAARGQGSGSLNGVAFLLRSNFLRDAAMTYEAADLIARGGTNSEPPDLVAFLKEHWSQAAFAEGLSAGEVHFTNVMRVLKRGTSPVCLDDLPSQMVLGIAYLPLYQACMREVGGQLLPQRLRGELVEAMSRLGEPVDAESQGKDLIVILERHMPSFLVGSREVSQV >Dexi9B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:9B:664876:666054:1 gene:Dexi9B01G0001160 transcript:Dexi9B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSPGDYLIVPRNCHISVISALVLSGAIPKYIIPEYNSGWDIAGGITPLQVEEAVKELEEDGKRVGGVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDSLPSTATEQGADLAVQSTHKVLCSLTQSSMLHMTGDLVSVDKVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFDDPLAMALETKDQLTTVPGISVLDLPCFASDFPAIDPLRITLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFAVNLGTRAQDVEKLVQSAKHLSEKCFLANSSKLMKEHRVCDPLDMISVHLTPREAFFTKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAVDAELNSILVCDL >Dexi2B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:2B:27765449:27766548:-1 gene:Dexi2B01G0017360 transcript:Dexi2B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILDSHMSLIINIGDLLERWTNCVFRSTLHRVVPVGKDRYSVAFFIDPSPNLLIQCMESCCNDAYPPRSPLTMLSFG >Dexi1B01G0031400.1:cds pep primary_assembly:Fonio_CM05836:1B:34997809:35001833:-1 gene:Dexi1B01G0031400 transcript:Dexi1B01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAFLLLVVVLLLLLQGGVASAADGDALLTLKSSLDRSDRLPWRPDTAPALCSAWPGVRQCGRDGRVTKLVLEGLNLTGSLTAALLAPLGELRVLSLRSNALTGPIPDALPRALPNLKLLYLADNRLQGRVPATLALLHRATVIVLSGNRLTGEIPRSLAAVPRLTSLLLDRNLLTGAVPPLPQPTLRALNVSANRLSGEIPPALATGRFNASSFLPNAGLCGPPLGVRCPDAAGPAPVTAATAAFAPLPPPRARSRRRKSAAVVAGATVAGVVVLGVLAAAALMASRRGRSKQRVAGDVDKGGGGGGGAQQQEEEEHPEAPAASTAAASRAVPCREFSWEREGIGKLVFCGGVAEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMRDPSASASASAAEFGRRAEELGRVRHPNTVALRAYFQAKEERLLVYDYYPNGSLFSLVHGSRGPCSKGKPLHWTSCLKIAEDVAAGLLHLHQSGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPTSNAAAELLQPGSSSLLYRGPEVRGGGGGGGLFTAASDVYSFGVLLLELLTGRTPFQDLQCEDIPSWVRAVREEEREADNSSGGDSAGGAEEKLTALVAIAAACVASDPARRPATAEVLRLVREARAEAMSSSNSSDRSPARWSDAMLAAPRDHQPTDHSFTDRD >Dexi6A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:6A:22783664:22784687:1 gene:Dexi6A01G0015350 transcript:Dexi6A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAVEELIRRLLDGKKHKVTGKKVLLTEAEIRSLCVAAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPAANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLNRIREIQRPVDVPDQGLLCDLLWSDPDRDSSGWGDNDRGVSFTFGADKVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKGQTD >Dexi7B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:7B:15818890:15822515:-1 gene:Dexi7B01G0008030 transcript:Dexi7B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRSTFSLLEPPENLDPNEDVFQIRFTNEIFRDYQIFCYTSGTLSFTDLLEEVYSSLRLDLSEGLELHAKKDGSEAACKILEVIGSGGTKLYEVGWLGQDNEVINTSVVKADDLICKKAPASRSLLKLFIRDSTSKRSPWIVNADLAKKYGIDTEPPEDIMNDEGLYKGRKRFAYGEDASKKFKKDEKLVELPVKYPIDDLLVKLAADDPVFSKRPPLSRDFRVPVDSVGDLLVVWDFCLSFGRLLCLSPFSLSDLENAICSKESNLVLLVEIHAALFHLLNKDEGAYFSFLLNKKRTLKVTLVTWAEYLCNYLEMIRKEEFFSKVSTIRRGHYGLLDSGVKLKILRELVEEAITTSAVRQKLSEWIDQQQALVAAKREDARKNREEQKLKKEGVGENGINHTDTIQNDSECPKNQCGGREQKDLNILLSRNTGDGKIFVRKHLENEMEQQSLRPSHLGKDRLYNRYWFFRHEGRLFVESADSKEWGYYGTKEELDALLGTLNIKGVRERALKRQLIKSYDKISNALEERSKDVEQKTLLEEADLRRSTRVQAQPKDDDPSMSFLKYINQWKK >Dexi5A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:5A:3788337:3789414:1 gene:Dexi5A01G0004960 transcript:Dexi5A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEDAARSRSEDASASIPRTSSRRARASAAAAACVGGAGRRSAAWARTTQARAQRCSTLAGGIFRQLVAQVTAASSIYLGGNNYPSSSTPTRRTPPHAANPRERERERGRRPAGIEGWTELARTVPDTLLRVAKGTIGVLGAVESAHQQLAAIVHVVRSLRRGDGVGAINWDDARQHPEAIPTLDDARRELVRILELYSVAKQVFVLYGTCLDAEVHPLWQTWAGHGGETFGHGFRALRSLRSAASYARASRDALLMSLSCPPWSPDWRDWISAALNLWRRAIWAGTKASVAARRMRDAVTVELEEAWIVLHR >Dexi9A01G0041370.1:cds pep primary_assembly:Fonio_CM05836:9A:45015248:45024583:1 gene:Dexi9A01G0041370 transcript:Dexi9A01G0041370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAPPPTPPESDPRLVEVFTPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQRPPPPPPAAEAQAPSTPTSAPSTPTSSSAQPGPLRSLSLADSELLLAPVTSALASGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADMIELPDSGSGSPTADPNVVQGFISKIIGDFDGALTPLARTTSSTGPTVAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPAKDAPADPIVMRGKILALELLKILLENAGAVFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVPTTLVPPQDATMKSEAMKCLVAILRSMGDWMNKQLRIPDPASPNVESEKNDNEAGNELPQTDNNGDESSEASDSHSELSNGISEAASLEQRRAYKMELQEGISLFNRKPKKGIEFLVSASKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLMQMSPEDFIRNNRGIDDGKDLPEEFMRSLYGRIWKKEIKMKEDEFVPQQQQSTSSNKILGLDNILNIVVRKRGSSMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIRQKNVEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPELDKSKQAKSSILPVLKKKAPNAASASKRGSYDSAGVGGKASGVDQMNNEVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMAVMEVYNMYRAQLSAQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDSAPDHGSTEVVESHLIGLCKEVLEVYLTTAKPAQLSSGTQPLGHWLIPVGSSKRRELAARAPLVVATLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPLVLQSC >Dexi5B01G0030330.1:cds pep primary_assembly:Fonio_CM05836:5B:31272570:31274249:1 gene:Dexi5B01G0030330 transcript:Dexi5B01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNCFVAQLAKFFTKNAELLEEMYIDDGEHRISEHLSRKVVRSERRDAQRESGGDCLSKLGDGVLGHILSFLPAFQAAQATVLSRRWRHIFGLVHTISLDRYWKKPRVGVLWPSVPRAGVPATFGDMISAALLGRHRGGVPLRKLHIALRHFHGVTPAMVDQWLSCAMELAGGEYFHLHLRLGGSLACSLGRRRGSFPAAGRLHATCGGEREDDDEEDEDYPVLLLTHNGAAIPRSIPVPTRIFSCVVLRSLRLGPCQLHLPATIDLPCLETLLLTGVVGPGAGAQVQRLVTACPRLADLTLEACAKLTTLSVIDKRLRRLALRCCHGLAAVAADASELRVLEYRGVVPPSFLTMHGPPRISSCTLDLCGEELADPSRLRDFLHLFACAKHLHFKSAQLGFGVGHDVFSCAPAFLTYVALAVPDGVAIPCVTQRVREINLVHYRAAVSQRTLAKFLLRNAPALEELCCAFAPGPLWMQTELMEEMKSWVMNKSTKLMFV >Dexi1A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:1A:24296547:24311334:1 gene:Dexi1A01G0017060 transcript:Dexi1A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQSPTTSGGMRARSGQMQFGTASSSTGRGPQLPLVYYPPMWRPAAPSAIAAAPCAITTAPSTIASAPYLLGLSSILFTPPPSWIQSPLPSTVVGSTGNRTCLLPDSLDSSCESPMEQAGPAMPLPGDAPSPAAPLPGGALPQRRPSTSGAPPQQLRTPSPPAAPAVPQHPFSPSSAGAREGYSPTAAASSVLSSPSQVRKMSIQIGDCSRSNKRKKACKSREMTSALSQLNPEVVSYLSETVVSLASFNAAHFDRHVEFGSDSKIMTGGPLVDLAGNFVGMNFCTNKGTPFLPRDKIYSWLVQSGILGLLKWGKGQESEAFHIFYKFLRRLLVLIGRPTSHPDNTIPLGHAAHPRAAKVVAQFDATEFVEGLWHFLDPNPLPVDDFMDFVSNDLKSRNYPMPTRLEALKLFYYLVFYPGGMRLINNFEEKFVEDTWSKLSKKVASDISRSVVSLASFKASLVRTSGDEHKIADNLKIQVYLPNKQLAEGTLEHHNLSYNIAVAGIGGPLIDFGGNFVGMNFYGRKETHYLPRSMVVEWLRRCERYGFSYPFFNSPSDVDETSYKEPNSTTALEEFRGG >Dexi7B01G0022110.1:cds pep primary_assembly:Fonio_CM05836:7B:27089379:27090939:1 gene:Dexi7B01G0022110 transcript:Dexi7B01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRGAYGGGGGGGYSNGNESQSPAAPVMTTSSSEIAAMAVHKVAPPPPRSTATKMKARVKETFFPDDPFRGFKGQPLGTQWLMAVKYLFPILDWVPGYSLSLFKSDLISGLTFASLAIPQARMRSSHAFCIWIHLSASGISYAKLASLPPIIGLYSSFVLPMVYAVLGSSRDLSVGPVSIASLVMGSMLREAVSPSAEPLLFLQLAFTSTLFAGLVQASLGILRYTYDKHSTQRGGRQRRRRWRRRGKWRRRGKRGGGGVTKGKEWRWRRRRRTAVEEEEEERNGGQRVAAEEEERNDGESRGGGGVGRAAAAMGLGKEKSWTEKS >Dexi5B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:5B:231468:235967:1 gene:Dexi5B01G0000320 transcript:Dexi5B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSSLRSPSNSADQRGFDHVGGHCCDDFMVDDNLLDYIDFSCEVPSLFDADGDILPDLEVDPADLLAEFSSLEAETTSPTAAHQLLINIGGDDDDHPPPPPPDVQETNNIKTAAEEAEAGGGVKKKRRDEEAVSSAAVTTTTEEDSSSAGATAGSDTKSSSSHHHHHSSNKKKQQASAAAAAKNNNNNGKPAGVRGVTGSPILLLAGLVAAYDANKQTVPSFRPFPGGTGCTVHLLHRRFVQAVEQLGIDKAVPSRILEIMGIECLTRHNIASHLQHLMAREAEAATWAQKRHMYAAPAARKPAVAGGGGPWVVPTIGYAAMAPPPPPPPFCRPLHVWGHPPTAAAVDAPPPAATTTTMLPMWPRHLAPPRPWAPVDPASYWQQQQQYNAAGRKWGGPLHHQQAAVVTQGTPCVAPPPGMVQPPPPRPFPMVPPHPGIMYRPPMAMVPPPPAAAHAPPPPPPIITTRLAELQLQLDAHPSKESIDAAIGDVLGKPWLPLPLGLKPPSLDSVMSELHKQGIPNVPPPTLLLP >Dexi5B01G0034580.1:cds pep primary_assembly:Fonio_CM05836:5B:34794409:34795855:1 gene:Dexi5B01G0034580 transcript:Dexi5B01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLAASPARGTSTSTARRRLTLLCPVSHPAPPPPLHSPPPPPLPSSACTIRRRLSTLLRLRHPPPPAPSTAASPPSTAASHPPPQSPCAVEQPSRAWPQVAAVGAAPSAAAPWPQVAAIGAAPSTTAGFFVCRHGHYRAMGHGTALSLTLSVVLGPRAVPFSAVPISASAVPGRPGTFGHRNSGESKDCHKAPCTVQADFRMNGRGALLAVSGPTATAFRALVHPFCPHARASNRFGLL >Dexi3A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:3A:14758155:14760398:-1 gene:Dexi3A01G0018910 transcript:Dexi3A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFAALGPSGGGRRRSGSFGMKRMPRVMTVPGTLSDLDDDEEEELAATSSVASDVPSSAVGERLIVVANQLPVVARRRTDGRGWVFSWDEDSLLLRLRDGVPDEMEVFFVGSLRTDVPPAEQDEVSQTLIDGFRCAPVFLPPELNERFYHHFCKRYLWPLFHYMLPFASPLAPTTEATASGDGGRFDRSAWEAYVLANKHFYEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLRSVLRLPEKEQKVAELRQRFEGKSVLLGVDDTDIFKGINLKLLAFETMLRMHPKWQGRAVLVQIANPPRGKGKELEAIQAEIRESCERINREFGQSGYSPVVFIDRNMSSAERLAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPEVNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIETTAEALNEAISMSEQEKQLRHGKHYRYVSTHDVAYWSRSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNLDTIVLSYERANIRAIFLDYDGFLVSALLKMCQA >Dexi4B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:4B:11532243:11536492:1 gene:Dexi4B01G0013220 transcript:Dexi4B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSCQPAYTETDMATHLAAGYCAATGTYSSHHPPLATVTASSFPEYLFPRLLQFPPDRPAFVDASTGATLSFADLHVLSLKAATALSALGLRRGHVALLLAPNSLYLPVISLGVLSLGATLSTSNPLLTPDELAEQARDSEPFLVLTTAELAPKLCSLATSRVVLIGDLLAGVDNHDTWKLDDSPVCRDDPALLFYSSGTTGKSKGVVSTHGNVIAAAAFLESVWRHHDGEVDVYGCVLPMFHMFGFSAFVLGTPAMGATAVLVPGRFSVDRLMAAMEEHRVTRLLAVPPMVVHMAKVAPGEPPSVLTRRLCLREVVSSGAPLKLEHMARFRRCFPGVSLAQCYGLTETTGIVTMDDLPAPLQHDHNGGVELSIETSPISISIGRLVPSTEAMVVDVESGEAQPPNQVGELWIRGPSVMRGYLRREEASAGALVAHNSGDGGRWLRTGDLCYVDSRGFVHVVDRIKELIKYKAYQVAPAELEDVLAAHPDIHDVAVAPYPDEEAGEIPVACVVRKPGRNQLQAQDVVSFVRSKVAPYKKVRRVVFVDCIARSPSGKILRAQLKSFVRTCELHGEAELRCANRV >Dexi1A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:1A:12468679:12469956:-1 gene:Dexi1A01G0012470 transcript:Dexi1A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRALLLVAVAAAVAGLAAANFRDDCDIPWEPQNARFTGDGNGLSMSLVSNYSGCMLRTKKQYIYGTVSTLIQLVPGNSAGTVTTYYVSSVGEYHDEIDFEFLGNETGQPYTFHTNLFADGVGHKEMQFKPWFDPTSGFHNYTISWSPCMVVWYVDSVPIRVFRNYKANGVPYPTSRPMYAYSSIWAAEDWATQGGRVKTDWSKAPFVANYDNIQLDICECSGYGGCATGCSAAAAPYNGACQLSPSELGQMQRVQSKYMIYNYCVDPKNWANGQEPAECKLSQY >Dexi5B01G0036420.1:cds pep primary_assembly:Fonio_CM05836:5B:36121857:36123398:1 gene:Dexi5B01G0036420 transcript:Dexi5B01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCPTTSTSSLLFFFIFSCLIISHALCNHSHHGRISGTEYVQQYSHQELPDKHIVSHKTMKGVNNDILPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCICHR >Dexi6A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:6A:23061736:23062180:-1 gene:Dexi6A01G0015600 transcript:Dexi6A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAVCSYELKRGRTFKGSKTELWMLDLERNKQKGAWFLVFSVVEPGHEPLQEFAWPHVAHARPYHPGKGERERLVELARVPAAHGRGKDDDASREGADGVAAGDQPI >Dexi7A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:7A:1331599:1333377:1 gene:Dexi7A01G0000500 transcript:Dexi7A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSITVHVEWLARRLKQQQEEAAATEQPPSAYRVSRVPGHDGEARLRAGNRLKMAYLHSLISRGHRGHPDPADHLAVIKEYVHVVAAREREARAMYAAADVDGIGAEDFVHMLVLDGCFLIEHLVNVFTGKEEPSLHTMPFGPAQLSVDVVLAENQIPFFVLLDLIGSTSGHYALPPAEGVCHILHLLRQMVAAARTRWEPPPRIQDDVATREMMQEAARLLLRRLPLLLLVPLLYPILSKERRRRASYDREDLPSASDLKRMWVSFKKARGGGGKNAAAGIASLLGPVPLAVKLAHEDRLRLPQLRIEFRTAPLLLNLMAFEQQEQQRAGDVSAYVWLMAKLVQSEKDARVLVAAEVVKSGASASDNQEHVVRFFRQVGGAASEAPAEMDKTYLGETLRKLRERSQHPLFMMWADIQRSLSFSPSAAVIAEFVTLVSFFASVLQIYTTFK >Dexi2A01G0027250.1:cds pep primary_assembly:Fonio_CM05836:2A:38628818:38629665:-1 gene:Dexi2A01G0027250 transcript:Dexi2A01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSSLLDTSSSVSASMPPSDGGMGPESMLLPRRTRWSEDDRPSCAGIPPWKELFVASKDSNLAVPQSGRRLPLLEVPEAADGVRQPAGELVVVQCQGLELGEVEELRRELAGEAVVVEQQARQVAELAEGSRDAAGEAVVREVELRQRSAP >Dexi8B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:8B:20251459:20252076:1 gene:Dexi8B01G0011260 transcript:Dexi8B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSPSSKLLSMASSTALPPAWMHTCSNAVLKSGTDRQPIAAAALRAMREAAKRSCSEKGRTSGPSAVRLALRASPATAMRSFDSATPTNPSPSSSWNTQRCATSSAPWWVRTVWRSSYLARRRSPPRLVRSTAAPPIRKRQLVISIDLLFPLYQLSVITSMLTTRAYFLRCSTAWRSFLARSMEMSPALQPMPPRL >Dexi2B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:2B:2003718:2004512:-1 gene:Dexi2B01G0002410 transcript:Dexi2B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGIGGSVTVGMPAGTDGIGGSVTLGTTTAGTTGGSAAVGMAGTFGMVTAGTVGTAGMGGTPVTAGTAGICGTVGTAGTVGFGTAGMAGMAAGAAAGSVSSASRRAAWQVLVPRTSTSATTMDIAVRLEVEDKAIDV >Dexi8A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:8A:9638680:9639342:1 gene:Dexi8A01G0008220 transcript:Dexi8A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIGIAAATSVMGSVIGKLTALLSEKYQLAGNIKRGIRSLKDELSSMDAALQDLADKDDDQIDRRSKDWSHKVRELSYDIEDCIDRFVLNHSHGGSKGNFVRKAIRKVKMLWKDMGTTEEIQELKRLVGAQSERANRYGTHQCLASPQTVHMDPRAPILFQDERDLVGINGPREDIIQLLKADEENQHKVVSIYGTAGQGKTTLAMEVYRNITESYDC >Dexi1B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:1B:26356412:26357947:1 gene:Dexi1B01G0020250 transcript:Dexi1B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFCESHAYAVQHLGSCRWNLDEAINLYFTGGGGGIGSSDVSAPMLSEEHAVMEDDGEDYGFGGDNSGDDVRPPIPARVEALYEDGYHGTPCDASFFGDQAPYPPSAPPSAPVEATGWEEAANAGNGGQVVGSENGGGGHQAHDDAEQEENNNVENDEEGNEQSDDDNMSYDSDNEIEDYGLEVDEDSYYASIEDEDSTDVATMQRQQHSSLEELYQPPLDLMHNGSFHDAKVHAASEDRFLLTRGGAGDFQSMLYNRDLWSNELVKNMVKDSFVLLLLQKKSNGSNAHDDMGHLECSKVCSFYHLQDHHLPAVLVLDPITGQLLAKKSGLMTPDDFIDYVVEYTKSKPSTMCMPRFVRKISASSAIAPAAAPEISALAVEAGEKAPGIIPDGSVPAGVSCSEQEQPAPAPMEAEMEDVDDEPMEGEKMYKLRIRFPDGTMVAKEFGCKRKVASLFAFCSSAVNGKAFRIVTLARGAFQAVQGDGSATFEELGLNCTTVSVVFDA >Dexi2A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:2A:14990786:14996508:1 gene:Dexi2A01G0012830 transcript:Dexi2A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVVCISSLLIISISSYVFQLLSDRRSRFPPGPRPLPFIGNLLGVVGELPHRSLARLAGRHGSLMTVRLGTLLTIVASSPSAAREILQTHNGSLTGRSPPDAWLALGHAANSVFVLPPCRRWRALRRIGTEHLLSARQLDGNNRLRPLLREAVLDLLRRVSGMAEAGPTVEVGRASFAVMMDLQWRAMFSVGLDDAAEGALHDAAHEAVAVSLKPNVSDFFPALAAADLQGVRRRFGRRVAVVYRMIDEQIERRMRGRREAGGGRSSGEKDLLDVMLDMSSEHGKDEDGAVTINRDLIRTFLTDIFLATVDTIASTIEWAMAELLQHPDTMSKLQEEIRKVLGSKGHVECSDINRLPYLQAVVRETLRLHPVVPLVPNEADETVEIQGYTVPKGCTVLVNLWAVHRDAKAWPEPEKFKPERFLPRLEETGFLGTTEFNFIPFSAGRRVCLGLPLATRMVHAMLGSLIHRFELTLPQEVKENGVDISESLGLTMIMAKPLQAIAKSV >Dexi9B01G0038950.1:cds pep primary_assembly:Fonio_CM05836:9B:39798937:39802273:-1 gene:Dexi9B01G0038950 transcript:Dexi9B01G0038950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSSEMEPSGGGQVAEMPRVPKFARGKRSARKKEGQSPVQMMCPFDLLATVAGKLLDEGEGSLGNMSAGFPALAAKDVRVKQEQCNEEMKHFKHEVTDQDSCNESAILPHNVFPRSLNHGRNEDPKAMSEPRDKETSMISCAKAELGCNFRAIADRWSPESVESGAFTGDAAASLMPAATAGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGDKIRRSNSLPRGPKGVAGYAVDRDDDDDKSSGCTHPSTTTNRDYRSNCTAEHSRVRKLLTSKYRKVAPARVHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHAVLSSEFGRASAKGNTKVTGRDSHATSLEANKETNSTPFQKPCASNDCHVKLRIKSFKVPELLVEIPESATVGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLMQAGIGQDDMLDNLGFSLEPNCTHNPSQVQAHEDISFLETFDTTEPLARIAPADSSSKHGEVDVSQELALTNLVNYQCSDHDSVHSPGGISSPDKVSTNSRALVPVPSTDPNAGAVVPVNKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQSKTPPLAEARLLT >Dexi3A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:3A:11699668:11702885:1 gene:Dexi3A01G0015720 transcript:Dexi3A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGVGGGGGGDGGFVRADRIDLKSLDEQLERHLSRAWTMEKRKEEASAGANQRSGGGGRQHSLRPRREDWEIDHAKLVVKGVIARGTFGTVHRGIYDGHDVAVKLLDWGEDGHRSEQDIAAVRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPTNICCVVVEYLAGGTLKSFLIKNRRRKLAFKVVVQIALDLARGLHRDVKTENMLLDKTRTVKIADFGVARLEAANPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPDIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRPGCLSCFRQYRGP >Dexi5A01G0028220.1:cds pep primary_assembly:Fonio_CM05836:5A:31540297:31545836:1 gene:Dexi5A01G0028220 transcript:Dexi5A01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQRKEHRAAAAALLFAAAVLGVLTGGPGVRAFEDGTAVYIVTMKQAPVFHRRLNLEKFGSNRVANAAGGGGGGGAGDTPSTSVLRKPRHGSPKPMNYGSYLIRLQNLLLKRTLRGEHYIKLYSYRYLVNGFAVVITPQQADKLSRSKDVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASSHDRVYNNYVVLGNNLTIQGVGLAPGTDGDCMYTLIAAPHALKNNTASPTEMSLGECQDSSHILKPNLVAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFPSFSPAAIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCGYNDFFSFLCGINGSSPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRIITRTVANVAADESYTISYSAPYGTVVSVAPTQFLIPSGQKQLVTFVVNATMNSSTASFGSVGFYGDKGHQAIIPFSVISKVVYSS >Dexi2A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:2A:32144430:32145355:1 gene:Dexi2A01G0020100 transcript:Dexi2A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEASPYATPSPVQPASSKGTKETVKNALSQWGRKVGEATRKAEDLSRNTRQHLRTAPSIAEAAVGRIAQGTKVLAEGGRDRIFRQAFSAPPDEQLRKSYACYLSTAAGPVMGILYLSTARVAFCSDSPMSYEAGGGDRTEWSYYKVAIPLHRLRAATASASKLNPAEKFIQLVSVDRHEFWFLGFVNYDSAVAHLQEALSGFHNLQA >Dexi2A01G0022320.1:cds pep primary_assembly:Fonio_CM05836:2A:34164052:34165397:1 gene:Dexi2A01G0022320 transcript:Dexi2A01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >Dexi8A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:8A:15418174:15420669:-1 gene:Dexi8A01G0009840 transcript:Dexi8A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGEAMEMGPYPERAVAAARMKGEYPQRTGQPDCQAQIGSSSSDDQLRTPGTAQYYSGSCQSGAPGMGDQGMFSSYQAGSVPVGLYAVQRENIFPERLDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALNSLGLPLRPVSVL >Dexi3B01G0038520.1:cds pep primary_assembly:Fonio_CM05836:3B:41311664:41312318:-1 gene:Dexi3B01G0038520 transcript:Dexi3B01G0038520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQSKSSKTAELDPVLHSIGFEIEEVSPSQLTGRLPVTARCCQPFKVLHGGVSALVAEGLASMGAHMASGYRRVAGVSLSINHFRSAAVGDVVLARAAPVHLGRSTQVALLSSALLYR >Dexi9A01G0049550.1:cds pep primary_assembly:Fonio_CM05836:9A:52139915:52144489:1 gene:Dexi9A01G0049550 transcript:Dexi9A01G0049550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLKEPPIVGSRSMRRPSPLNLMQPPGASTSGAESSALEVGTEKSEVYSTNMTHAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEDFDAFDLRLRLPAVLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDSCSSVEISGVDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHENITKPNADGHVNNYIQVSRDGTSDEEKELRERLTGPDPNLRDEERLMIREYLEQYVDAEH >Dexi5B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:5B:3935989:3936729:-1 gene:Dexi5B01G0005830 transcript:Dexi5B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKVNLQWISNDTSRRVTHKKRCKALMKKTSELTTLCGVKACVVVYGEGEAQPEVWPSASEARDVLEKFKDMPEIGRFKKTQNQEDFIQGRISKLREQVCKLDLVNREHETSRLLLESMEGRRPGLVGTNVEELTNLNRMVEEKMAKVKELLHQQVVREGAVPSHPMLSSSQPQASYTYTEMQALVESVELQQGWPTNLAPNNAFASGSNGCAGTSENRGDMAQAYNMGCFSGLGTQDVFPPME >Dexi9A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:9A:2506315:2506621:1 gene:Dexi9A01G0004610 transcript:Dexi9A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVVDQGLIARTMAERRVGVEVARDDGDGSFGRDDVAAAVRRVMVEEEGKVFASNAERMKRVLGDQRRQDQYMEELEGYLIRYKDTNTPIVPNVQGN >Dexi1A01G0028100.1:cds pep primary_assembly:Fonio_CM05836:1A:33831143:33843792:-1 gene:Dexi1A01G0028100 transcript:Dexi1A01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEMARAEATPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGTGDARKKHKKIQLPPPDDAAVEPPPPAAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYSNLDSCMLIPFLGSDNELINQAETYDVAVAETSSYLGVGGAEVVSSRERGEPSAHLLSHREKRDQSVDPDIHDVVVQQMVSDKDLRTCRAAFHPICARESKHQMEIWGKSGHSNVELRAFCSKHSAVGYTSSLEISNHASGQSPIESASNNANFISGKIPKLRFTRKNKDKFMNCETSTSSSGNLIRVETTEQDALAYTVRNANAQLIRSWETDTGHPSVEHMSSDDIAVVLRKLIDSGKVIVGDIASDLGISSESLEAAIVGETTTFSHGLMLKIIKWLKNSVNMHAVQGNSDKGNSLVLQDNNSDGSDTTDAVNVKIPLVSDDDKDVFVDVLDSSGAEATLARSKSNHKTLEEDNTTCATGVTILQNGNKNMLNDGAGPECSTKDFAKESTLEFSPIGSKGVSKDEKGKLILNNTSGNKEFGTSMEIPNENRGILLGRKSDNLTVAEPCSGLKEGVPSLDHCSSQGDYARDGENLIENGFGTPRDCDSNCSHGEPFFNFDDSHSYIHPFMKKKISHYWDMTFNQNKEAQNHHVEEPSFPSNEKIPVDSSEEHEGTPESASDQVSKAKSLKIIEHAPDDEVEGEMVYLQARLLDNAVFLKHRYEKLIEKIVQNLSRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVASSSRNSNMRKDAKEDLAPTILENSPKLVGSSRVGQRPKDPSKSSNSKVPQDNRFSSFHMPISSESALYCDVCMRTETVLNRIFICSRCKQAAVHIDCYRNLENSIGPWKCELCEDQDISLETSITSDKSDCNGNKLPFARCSMCHGTSGAFRKTTGGQWVHAFCAEWLLETNYVRGQENPVEGVESLVEGKDTCCLCLRKVGMCLRCSSGDCHITFHPTCARNSGFYMNTKGFGSTFQHKAYCGKHSAQQKEEDAQLYGPEELRNMKRMRVSISYVLYIVFLPLVNEHVTMQQIEMFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKERPWNRNTQPHTPQEPGG >Dexi9B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:9B:1175137:1175583:-1 gene:Dexi9B01G0002070 transcript:Dexi9B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFALVSLLSLARAATGLDAGCLSPPPQQQRRTVVDDGVRGEVDALEALRAFMETDEDDTSAEHLLGEQHQPQCFDSPEAAVPSCFASSEEPIESSSAGVEAGVPSCSASPEECIMTEYLLGEQQQQPGVAVPPCSPPLEECEHPDV >Dexi5B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:5B:4805672:4806313:-1 gene:Dexi5B01G0007180 transcript:Dexi5B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKSGQSSSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >Dexi1A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:1A:1957754:1960713:-1 gene:Dexi1A01G0002720 transcript:Dexi1A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAARRNSRIGFPIRAVTGDQSSRNVSDVKFPSDYTELLMQAKGAAESALKDGKQLLEIEFPTAGLQSVPGDGEGGNEMTGSMLLIREFCDRFVPAEKLVNSNSLTSKDYPSFFYPKLAELSKTFLPKLDTVYYIHNFKGVKGGALFRCYPGPWKVLRKTMSGNYICLHQQEEMPSLKEVALDILPSA >Dexi9B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:9B:368622:371642:1 gene:Dexi9B01G0000520 transcript:Dexi9B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNVLALGEHVLKGTDRKRKHEIALEHAEGNDVPQHDPRIRSDDGVQYYEMTVNNPLGWFDDRGKGFFPDLSSGLCTSKRSHHEKGGAAEHAGMSSSVAESSSSVSVGEVVSYGKRINNIVEDSLKAHRKHDEAIGENKSGPSIHLNEYSSGTVQAATGKHNNGPFIVSSVRNLLLQGLGQPFKAKDIIGIYRTPLLDQHGQVRSGLFQEEIEVAKSRRGNANVRYAWLPCSRSSMEEMMMRGALEIAKPQRGYTHGAGACLAPANCSNSWVSFSDFHEDGIIRMMLCRVIMGNVEVVLPGSKQFQPSNESFDSGVDDLQNPKHYIIWDANVHKQIYAEYAVIVNVPPMINECLVSKDSVSNISEITSSDSPNNLTKRKKISRSDLVIRMRQIVGDKILVSTVMRLQQKSPPTAAAGVPRTL >Dexi5A01G0030010.1:cds pep primary_assembly:Fonio_CM05836:5A:33036665:33037529:1 gene:Dexi5A01G0030010 transcript:Dexi5A01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRCLSDLPDDLLRHILFFAPTKEAAATAVLSRRWRSLWRTCGAVNMDSRSYGRRLDASARDAFFRDAEAALAAATAPVRRLTIYVELEDEDKREMATYWSRTKRAAQVLHDMIGAVLSNPTARQVEELRVGALLFGRASANVFTGLGLGALSYGAHHPSEALRVLHLTNCSSFTPPPPGTSSFPQLKEMRLRWCGVPCAELQGMIDAAPLLATLRLEFVDLSSADEITLHDPPRARCYMLRCPAATALVLENCACPWVPDGIELDTPRLRYLSEYH >Dexi6B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:6B:1585337:1585958:-1 gene:Dexi6B01G0001800 transcript:Dexi6B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLPSSPRTNEASIEDELQNLKAIVCSSSATIETMVDGLSRIGIIYSRINELICLASSQRGQRKAVEEELDHSLILLDLCSSMQESFAELKASVQEMQLALKRGDDVSVQAKVQSYTRLAKKAQKQCKKISTKASSDIEGCRVIKLLAEAREFAVTMVESTLNLLSKQLVMPRSSKWSLVSKALQKKRVVSWR >Dexi5A01G0025390.1:cds pep primary_assembly:Fonio_CM05836:5A:29257036:29263803:1 gene:Dexi5A01G0025390 transcript:Dexi5A01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWEMEVDGESSGAPGKNDDLVYGTIGATDEDEYSLTSCDDDWYVQEESSTLSFPSYYIDADAVVCLDDGDCKIRNGLVAVEDDSTLDTSIACDSCDKWYHAICVGFNPEMTSENSWLCPRCVSTEVKHTADVILKQNINEECVMVSDRTSTDASFSGRVSVSVADEGETALVVSMVGVQSKTRSDLSEASLGLKTGHEAFNYSSYPSHSKNDFTRDTVTDASTLRNTDSFSSSQNKSSQMNIVRTLYSEPAETSLQFSPIREPTTILVGSEQGNMSDDQLEVPKLVSSCPVIDNSKEAKSTGEDNAAQKSNNERYPVIKSPQPSSQDAVQKMISAQNMQSPLRHDGHESNGMEEEKDMESGSEVSHPAKKAKLEVQEQSKSLIRNSGFSSPRSHHTTNSAKDTVDDVAEFVPQQTSVPDIMSIIEGEDCRRDLGREFAKPVGRRSGDKPGLRMKKIFRKEEGKQSSAMVQKLQSEIREVVKDTGTNILENDGSFDEKLLTAFRAAIGKPVDGTAKSTNQLIRTRRSLLQKGKKRENLTKKLYGTSTGRRRSDWHRDWEVEFWKHRCSPGINPEKIETLQSVLQLLKKSSEKDKESAQGKKGEDNNSILSRLYLADASVVPRKDDIKPLSAISGCAPLDKSSQIKANNSKSPNIPAAGTEGTKISSPSSTRKISGSSPLNKETSSRRENRNSQASLDKKNNSSGDIKEDKRKWALEILARKNASSVTSKYQTGDTDALKGNFPLLAQLPVDMRPKPEAGRNNKVPISVRQAQLHRIAEHYLQKANLEVIRRCADTELAIADAVNIEKDIYERSSNKSIYVNPCSQASRQPAKEKSDKGTSTVTKKTESGSDLISEKVMSDNNDVSGNDMDEAPHRAVISDVKSKVGDDTASEQTVHKHTVSFSSAEEALRKAGLFDSPSNSPERENTSVEGECKLKEQSNHNCMVNDVSPLKDDKSSLTNDLDAVNCQNLNTMSCQQPKPNSEEQQNLTAQGETEDMTANEMNAMNLADNDRFSEQCEKSSGPGKGISVDINMPVKVTVNEETSREMEKAASSLPNQSHEDAMPREKVVSKPKNLELSKEKSSSEQPSLNSKHPKRDREGGDVPKKQEPDEASKSTPDASSSTYKKVEMFVKEHIRPLCKSGVITVDQYRWAVTKTTDKVMSFHRDSKNASFLIKEGDKVKKLALQYVEAAQQKIN >Dexi5B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:5B:6779219:6786052:-1 gene:Dexi5B01G0009720 transcript:Dexi5B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCLPEWIAGQGTPLAPPYRHRGTLVLGLPNRHDAIVVLPTGDWGGERRGLGVADEAIGKMRSLPHGHCSPVAASAEKVRVQEEGRTQATVGTASRQRRVWNVVSIIRRHFGAVRGDLLSMASFSASIVTKFEAGATFVFGSWLCTANQEGELQHQLRDVVAAPASPHAQTTPRGSRKTLNSDTISGSYPTRRSTWRPKQIQTRADHVNSTPVKRQDQATRPRLPGGLQITSDFRQGSTIRTVTTTPRAPRNSGSDSRGSKTPPRGSRAPQFPFGITNSAAIYQKHLKRKFRQPRRATSDLVMTTTPSGVIVHWPDIDPEVALHEANVPSTVRDILPLLPFQEGRELPVTMSNRKTRPNNPGRQSCVILNDHSDEEVVSDDASTVDGETDADRELRVERNRNRALRRRFIQRKNLNAEFDKEGIFNSPVANIMFGVSVFEGFQATPDINLAKARLEAAAVMVDRLDGGRSASKSKSSSRHQAPSARRQSSHYGSSAGRTKDMARPREEPRRPREEPPRSNRREEPRPAPTSHVADSAGGYDVPNPDALPCYTRTIRVSSFPRKFKPPGITNFDGKQDPNIWLRRYSSAIEASGGDDISKMLYFPVAMEQGPLTWLESLHPDSIDSWHALKKAFVSNYQGSFERPGSKYELRACKQKPDESLRDYNRRFFAIKASCVPIPDSEVIDYFQEGMTDRSLFRDFGHNRPRDLEELRALVSNWMDTDDQERERYGKRSANPGRRNQEDNRDQPRDSFQRNSNNPRKRPTEPKLTFTELDGDIDEFRYPHGAQYNVVRTDAKLQCSVGTAKPSGRSAAAGAPAGRVCGVEGEGSAIFPLTWAPVDRASLQITLQPASAAAHISAHRSAPRVDSTLAGLAYLSGPKLGRRFCLQTVG >Dexi7B01G0021400.1:cds pep primary_assembly:Fonio_CM05836:7B:26444138:26444434:-1 gene:Dexi7B01G0021400 transcript:Dexi7B01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAIQPKSLLHRSKQSNKTTAPAIGIASSGGALPVVDLAPLLTGDRGGIARATEAVREACRTHGFFRAVNHGVLAELLAAFFALPGEEKTKVRSGGR >Dexi7A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:7A:12465668:12471222:-1 gene:Dexi7A01G0003270 transcript:Dexi7A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSDPGARAMAKQLKVLLPPSFHKLRISDELAGCFDDASAISDSGKGVPLGPTALVVSPFGKVWRVEVGRDGDGAFLGRGWPEFLAAHGVGVGWFVVLRHQGGGVLTFKAFDTSFCIKEFAAPAAVYLYLTFPIAMASRSSKRISSKPQFIRITYPDFSEKMSLPVKFCHSIGFRKACTIMLKTEMDNTRTWQVRGLVYEKVCYLVGVGWRCFCKDNKMKKDDLCTFNIIETTLWHVVITRCKHQCNKSDIEMQQESTPPIRKRKSKSSSEENERQKSSVTSLNRKPSQKKPDYQIGPSCWIRKEISTYTLENLLSLSIRFFRGIGLLNSCRITLKTEMDSTRSWEVYGTPYKNYFCIQGDRWKSFCQDVGLKKGDLCTFNIMKTTLWHVTIEHCKHKKHVFLLFCEAPTLANTKRQKESPCSSSKEHKTKKGSSSSKEGMRPKGSVNSFSKASRYTTSVYEIGPPSWIRKEMNNNSITKHLSLAVNFCSAIGLQKRCTITLKTSTNSRSWKVRGLMHKTGSYQIGPGWIKFCRENRLKVGDICTFNVIKTLLWHVVITRH >Dexi6A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:6A:23618764:23626025:-1 gene:Dexi6A01G0015750 transcript:Dexi6A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDPAFHRSEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHASHQPPPPPPPTQQAPPPQQIQHQQQQLPPPPQPQPQQHPPAHTLPPPPPQVPPPQQQVRIPGVHVPSPGLPPAQPNLPPPPQPPSAPGPPLPQHHQIQQGDGINRPGGNYGGGPIVVGNGGPAGGGDGPGGTTLFVGELHWWTTDADLESELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPGAAAACKEGMNGHLFNGRPCVVAFATPNTVRRMGEAQVKNQQAMAAQTSAMQPKGGRGGGGSAGPQVGGNYGGGRGGAAGPGAGGGAGGGGGNWGRGGGGMGNRGPVGNMRNRMGPAGGGRGIMGNGGMVAPPPPMMPPGGMLGQGFDPTGYGAMGRMGGGFGGFPGGPGAMPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGMWPDPNMGAWGGEEQSSYGDDAASDQQHGEGGSHGKERPPEREWSGAPERRRERERDAPPAQEWPERRHRDERDMDRERNRDYDRDRERDRGDRERDRDRDRERDRDRERERDRHRDDRDRYGDYHRHRDRDSERNEDWDRGRSSGIRSRTMIENMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCAEEELEKRLLGRNQGRVDDNIETIRKRFNVFVESSLPVIEYYSSKDKVEKIDAAKPIPEVFEDVKAIFAPYAPQVK >Dexi1B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:1B:4869096:4873250:1 gene:Dexi1B01G0005980 transcript:Dexi1B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDAAAAAVPVATEEKEVVFRSKLPDIEINNSQPLHTYCFGKMGEVADRPCLIDGQTGASYTYAEVDSLSRRAASGLRRMGVSKGDVVMSLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVRGFAAERGVPVVTVDGRFDGCAVFADEVLAADELEVDEDIHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFTKEDVILCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFELGALVDLVRRHGVTVAPFVPPIVVEIAKSPRVGADDLATIRMVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFQVKSGSCGTVVRNAELKIVDPDTGAALGRNQPGEICIRGQQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSLKDDLAGEIPVAFIVRTEGSEVTEDEIKQFVAKEVVFYKKIHKVFFTDSIPKNPSGKILRKDLRARLAAGVH >Dexi9B01G0022790.1:cds pep primary_assembly:Fonio_CM05836:9B:17653931:17654205:-1 gene:Dexi9B01G0022790 transcript:Dexi9B01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRGRQHCSSNGTTLMQGIMLRRKQGMEGWPSPARPSNGSSAAPFPGFTWFRFGQTCFYRCLRPST >Dexi4B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:4B:22760074:22760742:1 gene:Dexi4B01G0020640 transcript:Dexi4B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVHRPLCNISSMLLCNPLSACLVSRAPAPARGDDGAGGRRRGIHGGDPSPSSSSASAWRKPELGRLKLNFDGSSKHASRRASIGGVYRDHEGAFVLGYAERIGTATSSVAELAALRRGLELAVANGWRSVWVEGDAMAVVDVVRSRARLRAEEDRRLCAEIEALLPMFDDDMTVSHVRRKGNRVAHGFAKLGHGVEVPRVWRGVPPDEVLRFLQRDAEGK >Dexi1A01G0031930.1:cds pep primary_assembly:Fonio_CM05836:1A:36692161:36696341:1 gene:Dexi1A01G0031930 transcript:Dexi1A01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLVFCFQVKVQTWVDKRERDEFVGVGARFGPKIESKEKHANRTRLLLANPFDCCTTLTQKFAGDILLVERGNCKFTKKAKVAESAGASAIIIINDKHDSLLCSDMCVVHPLAELYKMVCDRNETDLDIGIPAVLLPKDAGSSLQSLLSSGEVLVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEIPPNFEAGGSSGMVDINMASAILFVVIASCFLITLYKLMSHWFVELLVVVFCIGGVEGLQTCLVALLSMSRRFKPAAESFVKVPFFGAVSYLTLAVCPFCIVFAVLWGVYRRLPYAWIGQDILGITLIVTVIQIVRIPNLKVGSALLSCAFLYDIFWVFISKMLFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTIGTFLALGMKRGELRHLWTRGQPERVCTHMHLSPKDSADPEPRRNK >DexiUA01G0010020.1:cds pep primary_assembly:Fonio_CM05836:UA:19695364:19695903:-1 gene:DexiUA01G0010020 transcript:DexiUA01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHRARRASAATSPGQGSCGIAAGRAGATSPASKVLAAGGHAPLPGQAVRPARDLAGAGGQPGTLLLASLAADAEARFPSSPTLAVVTCATNGIGRAAALDLARRGLHLVLVGRSPDKVARVRKEVRATEPSCEVRSVAFDLASTGDLATGADAASPSAGARPWLRCEGATEGIGGCF >Dexi9B01G0030740.1:cds pep primary_assembly:Fonio_CM05836:9B:33185828:33189434:1 gene:Dexi9B01G0030740 transcript:Dexi9B01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEKLGRQILQIDEVTTGASLSMDTTKRVITVQDPPPQCCCTPNTESEGTQRAGPRRFHRWATLSRARGPPTRHSARLSLVRGDLQTRRTAPHYGLVEDEVPRIPGPYQAVNPSPRFEIITLFSPSHRMSSLSKKTECRLRRLSTLYRTSGQVPTGCRCRKRRPSMMSRTVLEIAEQPQASPSATNTRADVLAWGSYATTGQRNHKQPTSSRLMPTMPDKASKEVTTATGAAVARPRAGLSFHPRDVVQQDNGSRMAPSTGRATLEGAATTTCCTHSPWQISTTTVATLTKGRHYRSTTHPAADASSPCRPSSPATATTTEATRLARVADLPPPAPVVEKACATVMQPLRHHGAAPEGEPRHHGAGLPLEGRQARRRSQPSQPQLAAYCRHRVTMPSLKHEGRTPPTPPTRPWPAGPRAPLRRPRMTPPIEEGSRGGGMWCVVTRVTRIRIVTAPASFIRVLQADSPPHDLTSQIWVGDTLTRRNHPAATILACLQASEEMLRRRRGLGKGKSAGGGGEEESDAGAHRKISVAAAMDPCTIVHRTPCTATAKFIAFPRF >Dexi8B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:8B:7825599:7826129:1 gene:Dexi8B01G0006680 transcript:Dexi8B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKNHHVYLLLFFIATTTAAAAAASVNSTATTKPTAYEMLERYKLPRGILPVGVKGYKLRHDGSFEVFFSGDGCEFRVGGGRYLLRYDRRIAGKATAGSIKNLQGVSVKILFVWLGINEVDRSGDQLSFHVGPLAASFPLSKFSQSPRCRCGFDCVTAAAAGVGDDDAVVVAAS >Dexi9B01G0039020.1:cds pep primary_assembly:Fonio_CM05836:9B:39893240:39893548:1 gene:Dexi9B01G0039020 transcript:Dexi9B01G0039020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNNGSRRVPGAGASSGDHFLKRSSSMRDVPAIGRRGSGATAAAAGGCGGAPQPSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >Dexi5A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:5A:22530162:22531966:1 gene:Dexi5A01G0019050 transcript:Dexi5A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQETLFPSPPACSPPSLTLHATGQELTIGIEDIMRIVVPCLPAPFFPAAGASRPSPSARVGGDGEDRISRLPGKVLSEILSRLPAKDAARTVVLSRRWRRVWASTPLVLDDSDLLVLPRRGRSDYLPVDWCSVTDAVSRAIGSHRGPIRRVRLTCCVMWLVQRLGMLDYWLRRFADGGAEDLVLFNRPQPKGLYLPADVLRIASLRTLYLGFWTFPDIAGLPRGPAVFPHLREIGLFCVLISTGELEHLLACSPVLEKLAIVACFYFSHHIRIGSRSLQCLVFWKSLTYELAVVVAPHLQRLILLQDYPNLSNPSLRRTRVKIGYTPGLSVLGYLEPGMHQLEIGGVSIESGTKIVPSTMVPSVKILALRVQFGIRKDAKMIPAFLRCFPNVETLHVLSLEADEPTGKLNLKFWQEVGSVDCLETHITKVVFDKFRGERCELAFLKFILERAQSLLKLVVVLANGDQASVDEMLTKLKPLTTAKRASECPTLLAVARDGDSPWCFQRASDLSVSDPFDW >Dexi3B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:3B:12846472:12848145:-1 gene:Dexi3B01G0017480 transcript:Dexi3B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKWALLLLLGLALLAPAALADDNGKDKDNGKDDSKDKDKDKDKDDKDNGKKSPPPPYHASPPPPYHASPPPPYHASPPPPPHVPSPPPPVVSYPPPPVVSSPPPPVVSSPPPPLSSSPPPPVVSSPPPPVPDQSPPPPVVSSPPPPVPDQSPPPPVVSSPPPPTPDQSPPPPVVSSPPPPVPDQSPPPPVFSSPPPPSSNVVYCKNTTEYPTCTAPATCPKKCPQSCHMDCDTCKPICDCNVPGAVCEDPRFIGGDGNTFYFHGRRNRDFCLLSDANLHINAHFIGSHVPGARRDPTWVQAIAVQFSGHRLYVGARKTAAWDDDTDRLAIVFDGAPVELQGVANARWEAAPSPSSSSLSVTRTKAANGVVVELAGVFKITANAVPITEEDSRVHRYGLREGDCLAHLDLAFRFYALSDDVHGVLGQTYRSSYVNRLDVAAKMPVMGGERDFAASALFAADCPVARFAHGRHDASVLAIASSEEITSFKCSTGLDGVGVVCKK >Dexi4B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:4B:6337567:6338849:-1 gene:Dexi4B01G0008820 transcript:Dexi4B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEGYLGHDQLIHDGNVHQLVHFGCAHKAIHFQNINRFAGVIGVANLARGLTRFSYCLFAGGEATRRGFLRFGTDVPPNLHYMSTRILPVHGAHVSGHYVSLVGVSVGARRLGEIRPETFTRHEDGQGGCVIDLDTPLTVLVQEAYDVVEEAQVDLRFVFDLKDSKLSFAPESCIQDSVEAA >Dexi2B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:2B:2331647:2336113:-1 gene:Dexi2B01G0002780 transcript:Dexi2B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAGDRTPSTHPALRHCVALLRLHLAAPSLAAAKELHARALRGGVPPSHPLLAKHLLFHLAALRRAPPLRYAVAVLTRILPDPDPFSLNTVLRIAASSPRPRVALALHARRLAPPDTHTYPPLLQACARLLSLRDGERIHAETAKNGFATLVFVKNSLVHLYGACGLFESAHRVFDEIPVPERNLVSWNSVLNGFAANGRPNEVLTVFREMKDVEFAPDGFTMVSVLTACAEIGALALGRRVHVYLAKVGLVGNSHVGNALIDLYAKCGGVDDARRVFEEMGAGRTVVSWTSLIVGLAVNGFGKEALELFSEMEREKLVPTEITMVGVLYACNHCGLVDDGFMYFDRMQEEYGIAPRIEHFGCMVDLLGRAGKVEEAYDYIVTMPLEPNAVVWRTLLGACTMHKKLELGEAAWSRLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMVKDGVRKNPGRSLVELRNSVYEFVMGDRSHPESEQIYKMLAEIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPASASSGRITPQEKAESVTPIPTLSPPEGNMTFIDGVTWCVARPGVSQEDLQNALDWACGPGGADCSQLQPGGRCYQPNTLLSHASYAFNIFYQQNGNSDIACNFGGAGALVKRDPSFGSCKFLASETSAAGSSAMLGRAWMAMVAASLIGLQLIV >Dexi5B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:5B:13518104:13519192:-1 gene:Dexi5B01G0016030 transcript:Dexi5B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGWVVSPWMGRVRVCLEEAGVEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDVTLYQSRAIARYVLRKYKPELLKEGDLEGSATVDMWMEVEAHHVEPALWPIIRHCIIGPYVGRPRDQAFVDECLGKLRAVLPVYEARLSASKYLAGDDVTAADLCHFGFMRYFMACEYAGVVDEYPRVKAWWDALLARPSVKKVIAGMPPDFGYASGNIP >Dexi9B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:9B:3537618:3540236:1 gene:Dexi9B01G0005980 transcript:Dexi9B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVWEFPSSVILILEMFVLSSNVVALRVVSQFPKAHCFGVCFMAHAAKYLTETWILRKP >Dexi2A01G0035470.1:cds pep primary_assembly:Fonio_CM05836:2A:45108804:45111944:-1 gene:Dexi2A01G0035470 transcript:Dexi2A01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEVVVGGGRSIGIGAVLAPGAWDQPPPHVAMSSVSTWALWTWAAAPSFVMTRDRKMAWKSFASTCRIQQSDRYKGSTHVEDANQVFDEMLTSDFDDWRLPNRILEVTIRKVVEGGEHTEATTLAATVSDDIIPIALATILDVMDNDDN >Dexi3A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:3A:11645636:11648668:1 gene:Dexi3A01G0015640 transcript:Dexi3A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSGTGYTLPPPPPLQPPLPLPIPAAPSHGTVFNVVDFGAKGDGVTDDTQPPAADGHAAAAVAAAAGGAAGAEAGAAATTGAGAGPAASADSGRLQAPPPPPPLLRWPRSGSSRPTRLWAPLWAPSGPPPTPLRPPLCLHCRRPSSTWTTPMWTAPDRRYR >Dexi5B01G0029150.1:cds pep primary_assembly:Fonio_CM05836:5B:30401259:30401657:-1 gene:Dexi5B01G0029150 transcript:Dexi5B01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGLEAGDPATGGLELPVASHGHGKRSTVGMGGRRGKGGRNSPPPASQREASKRYETRYPSAPLSRRLCRPPKNTPLSPRRPSPLPPDGAQRQWPGVAPKSATLHNLSLPSPSPLSSPMSCDNNPARGCCS >Dexi5B01G0033410.1:cds pep primary_assembly:Fonio_CM05836:5B:33828549:33834116:-1 gene:Dexi5B01G0033410 transcript:Dexi5B01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDCLATKPKQAQDKRKQATPTYSGVVQRQTETCPNLVKYRSDDAATVGARVGSRPRVAANPRVQFPILNPVCAVRALVSHDDTRLAPTLPAASLSMARYSTCARWITDATTPRNQTFGPNITKFRSKTAQNQANLGHLPHPHGRTPASAPMAAAGNGEATAPPPPRQQEPAPAAGSTSGGGVIASLEEQWRKTKEHAETYPYVWGSYILVYGGLGAYLAWRWGKLRRTEDRVRVLQERLRKLAAAEESQAASSSASTAPIPPPPQQPGAGPVKPASGPLAVLCLHGSYPIFLQLPISRSTLHRENLEAALGRRERKLLRAMPQVDLESLVCGVSGAGAGDRKVSCETVIAAGSGDDASPPWMPPPPPPDPDFPPDSITIPIGDEVAFVDLNPIIYERDDSTKGSTNPKFAAAVVSHHPTIAAKTRSNSTRATGGAPAAAAGTTFFGLPAKIRPAFSRRRPSQGRILSDKRAGGGEGEVEPRSPKVSCIGKGAAASAARAVGGGTASRPFGGGASRKKMALGDDHDDGDEQQPGIAGMRRFKSGRRAASWGDEALAAAVAAAEEEDEEEREKADSEDAEQWSRRQTRPCRRRGRETVGPGILSPLGPSWASRADPSAMDGLWLADGDRRLA >Dexi2A01G0023460.1:cds pep primary_assembly:Fonio_CM05836:2A:35172556:35174061:1 gene:Dexi2A01G0023460 transcript:Dexi2A01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDSSVHVLLISYPSQGHINPLLQLGKRLAAHRGVRCTLAVTRFILAQGRKPQTSAVHVVAYSDGCEIGGFGEAADEEDYLARLESAGSASVDELLRGEAAQGRPVRAVVYDAFLTWVPRVARRTPHGAACASFFTQACAVNVAYAHAWAGRVDLPVAPGRAPPELPGLSVGLGPADFPTFLTEQDGGCRAYVDLMTQQCQGFEEADHVLINSFYELEIKSRWGAKTVGPTVPSAYLDNRLPDDVSYGFHLYTPLTEESKAWLDARPAHSVVYISFGSLAAPSAGQMAEVAEGLYNSGKDFLWVVRASETSKIPQDFHDKVKGRGLIVTWSPQLEFLNKIRFK >Dexi6A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:6A:854752:857388:1 gene:Dexi6A01G0001000 transcript:Dexi6A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHAHAIHSSSCFFPLIPLLVVFFLILSGELDVCSATRQFSTVAISHAPNSTLICALVTISGDAAAATGGGSTSKLRCTSLPDGEQYVYPSADIPYNAIAAGTGFLCGLMAPTGGHAAMRWWSFSEEAAANRSRPVGRRLYWGPSLRSLNAGGSHVCGLSDDHDPACWEWPDLDLPKGLDFSKIALGHDFLCGIVSNDHTNMSCYGGLTSPSLAPSPANFTTLAAGHRHACAVDTEGGFGCWGDDAPEVKADELPATMSAMALGNGTTCILDGGGIAHCWGGAPVPEKYTSTAFLSIEADGDAVCGIIKSNYSVVCWGKSDHYLSGGHLVYNNTMPGACVPASSCGDCEIIFGSGELCGNGGGEGAVELAVCQPCKLPLNASRILISNGLASPSPGSDEGARRKKKKIMTVALSVAGGAAAALAGMGLFVVAVRRKREKRKKTKTLQLGESSSRRLCRDVEAMVIPAPQDISPLRHGRPLGCEEFTLRDLSRVTNGFDEAKKIGSGSFGSVYRAKLPDGREVAIKRAERSASASGDRRRRRRRFDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGVVSSSGGYSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDVKPSNILLDGEWTAKVSDFGLSLVSGGAAAAAASSSATAGTVGYIDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRTSGQEDGGSGSPRNVIEFAVPAVETGEIGKILDGRVPPPRGHEVEAVARVAKIASECVRPRGRARPVMSEVVAELEWAVTLCEESVLVSGAGGGGRSSDRHGGGGGSDLSRSRSRSESDDPSPFHTRELGFGFGFSFTHGSSRPPVAHGRSHSTM >Dexi7A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:7A:20506691:20508418:-1 gene:Dexi7A01G0009530 transcript:Dexi7A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDGDCNRKRVRVKDSGIAGKGRKAHRKAIRDSKYGSFVESYLIASDAGDGINSYRHRKMLRNYDGDRSEDRQSEEVQSAYRRDSDIGEEMIVSEDQNDENEWSWVNEGKDGDPLAESVSSLRTTQQVLESEIQKLSELGKELEAEESTSGNKDQDVIVLPYAEVDMLELNERMEHLEQKLEEASNTIREKDLRLSKLQTLISTSDRPTVGEEAASIDQLVAELEHHLLEKLEAEIQCLVMLKAKQNWQVRAEDRAALEEHRASAGDNSTGMLLKLRETESKIVMLKEQVDKLEVHEKELYRRTEVLKMQSRTFKVSLFGLLQLVMLCLSLKMFFAQVPVPFDEVVPT >Dexi1B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:1B:556947:557417:1 gene:Dexi1B01G0000640 transcript:Dexi1B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGGDIHGQATPYLKAVVMEGLRLHPPGDFLLPHGVQSDGGAEIGGYAVPKGAEVNFMVVEMGRDETAWTAARGYAVGMRHAECLVARMVREFQWLPAAEGEVVDTVETVVSFTTVMKHPLRARVAPRN >Dexi9A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:9A:2283776:2288373:1 gene:Dexi9A01G0004260 transcript:Dexi9A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYPLSAQQRLAIKVNDHPRAGGFRLDRGSAASTLTLERVVSVSFEAWRTRACDPSSTPNPPRFTRVVTTRSKSRDHCSTPSPTPGEDIGQIHSQQWTLSAMLHARPNPASSTATSKETMEHRAQDTTLDVVNCHEKEGQYVSHCHVVSGVGRRDSLSRTVRGMDEHLGLRSLSPSPTLLVNPYYKQHVTRCIAPLLDVRPRGRNQDKTSSLTLAIGKNEWLAPQSLGTSAALLSFPMTNFGEGRPPLGESNLLPAGQEIRFGSLRFQTCDDDYHMRILQKDPSNQPEPHHQPPATPRRRSRPGPRARRTRAARRAADIGDPHPTREGGILWSGSQERAAPLLPGPMAAPRATTTHSYLYGLRTSVDVYASFIRTAMSANGNQPGCHPVSKQYFADPLPGDSRTESDDGHAFMRRHPGWDYSGLRDPEAFIAFQTAADYCFEYSDDEYDPTRECFVINDGQASEGSTSDDDGGGDDQGNDDGMDPIGAQPSDPSDHSPSEDERNPRHLPRVGGDVSPPARSDREPGKRGDEHATNARHAGRVVQARILAEGKDDELAPRTSQKLIAAAALLRAMPEAATPEGRKLHLEARKLVETAARQQAESSASRLRRSAASKGERGGESSVRSPRPNGRARAQSCGDSCRDSAQRHANEPRTPEARTLPARVPARSRLRDTRGAVGDGDARNTLNHIRQREGARAHQRGRTDVRWNRDAVSEPAGTRVFSRNIRTAPFPPRFRQPTTITKYSGETDPRVWLNDYRLACQLGGATDDAMIIRNLPLHLADSARTWLEHLPPNRIRDWDDLVETFVGNFQGTYVRPGNTWDLRGCRQKPGESLRDFIRRFSKRCTELPDITDHQIIHFFLESTTSYNLICKLGRDPPPDANRLFEVASKYASGEEAANAIFNGKKGKRPEETPAEGSKPRKPSRKKATMANEVPVVDPRHKGPRGFPCGGESLMPMACSSTWDDPPPTEILANACDIQQLMRFGPTLARTIPPSGAAMGTNPRKRQGRLSRVLAYASRLRQHLTSLRLSHSRQGRLSRMLAYASRLWQHLTSLRLMATSFRGTCIRHDVHRRDAFRVRFNHQGHPSRRHLRPGKDALPPSGQSCGHFAHATPEKARALPHEVAVTALTVIMTPHEEVEGGPRSTEPGIIDGTLEARALEEANRLCSRPGKVPRSRDPSRGSIEPSTSIE >Dexi9A01G0026170.1:cds pep primary_assembly:Fonio_CM05836:9A:27848846:27853880:-1 gene:Dexi9A01G0026170 transcript:Dexi9A01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYWTSSWPRVQQMPAWPRSSDSSRRRLLRLVPTRRPYSGAACVVADADQALAMDGVADAVGVEFLTEEEHEKLDERERLRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKQNLTEGALHSGSRRGSGGPRRVRTAPCAIPRRAVPPAGCVAHPCHGEEMPAPLAVGERRPHGGASPPLSALCLSMAALILPPCASKPDGASWTSHRLRATRAPLLACCLSEPPSSPECHHVLRSQLLDGVPLPLVKKKLMNLGHAQSCPRISSHQTRDASFVIGACTSYSEETRVKISEGVRRGWSLRLQRLMVQDGCFVEWRDMVADAARKGFAGGVNLQWNSYKILTKQMRQEWLGNLQKRRSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLNQEYRERVCNGIAHYHGSSPGTKSPRKPRPAEELGLKRETSKKKYLQARAVSLEDADGKGTTVKRKKSAIPYKDPMAGEKLEMLSKIRAQRAALEIEKKEATKRARSLIAEAEKASDALESAAAMSPFAQASLIEARKLVAEARVLLEGVEGLPEHASDDMSEDSALLEHHRGLETQNESNALKQESKPVNGTKLTTSNVNGIGFHFDVSAVTGLKQLYQTIEYSMERAFLLPSALSKPKAVNGDFSIIDFQVRQSMANDMENHESIVAESTEPPGTLEEYNSTSAEKAETSKDCPLGTPVEDTPSEKKAKMRWVRGRLIKLENEPEDPEI >Dexi5B01G0022990.1:cds pep primary_assembly:Fonio_CM05836:5B:25257236:25259249:-1 gene:Dexi5B01G0022990 transcript:Dexi5B01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRETCLALISLLIAVVPWPSVSAQHTLGAGSSLSVEDHARPFLVSPDATFSCGFLEAGENAFSFSVWYTHASNKTPIWTANPGAPVNGRGSRITFRRDGDLALADANGTTVWDTKTSSRGAMAVSLLDTGNLVISDPSTTTVVWQSFDWPTDTLVPSQPLTKDTKLPLLRQRQRQRARLLYDGPEISSIYWPDPDNGPFQNGRTTYNSSRIGFLDGNGFFLSSDNFGVNASDLGLPGVKRRLTIGQDGNVRIYSMNVSTGEWTVTWAALAQPCSVHGLCGKNAICEYQPRLRCSCAPGYEMVDRQDWRRGCKPMSGNGTKWTYLFAFAGVLGLVDLIFIVTGCWFLSSHQQSIPSSLQPGYRMVTGQFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDKGKVVVAVKKLTNVSRGDEEFWAEMTVIGRINHINLVRIRGFCSQGKHKLLVYEYVDNESLDKHLFDPDRALPWRDRFRIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKLSKREGTTGDSSLEPSHMRGTTGYMAPEWALNVPINAKVDVYSYGIVLLEMVIGRRVSDQTTAGGERLEMSQIARLLRQVVDTGNVMPLVDGRLQGQFNPRQALEMERSSRPTMDDIAKVLTACDDEDEHPAYRS >DexiUA01G0018710.1:cds pep primary_assembly:Fonio_CM05836:UA:39470513:39471045:1 gene:DexiUA01G0018710 transcript:DexiUA01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASKPSPDFAVAFSISTEIIGLVFRSASAYADAVTVSGAGSSPARRDGTENQERNESENAADEETLVPFRFRARPADPKAAHRASFPPL >Dexi5B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:5B:11538540:11539241:1 gene:Dexi5B01G0014660 transcript:Dexi5B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVGNNFLGRDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRDCDIYGTIDFIFGNAAVVFQGCNLYARRPLPNQSNIFTAQGREDPNQNTGISVQRCKVAAASDLAGNVSSTRTYLGRPWKQYSRTVFMQSELDSLVDPAGWLPWDGDFALDTLYYGEYMNMGAGAGTSARVTWKGYHVITSATEASAFTVGNFIDGDVWLAGTSIPFTTGL >Dexi3B01G0027270.1:cds pep primary_assembly:Fonio_CM05836:3B:22796847:22798750:1 gene:Dexi3B01G0027270 transcript:Dexi3B01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDLNEPINWDEIEEFEGGTLDLSYDFVWDSANEEEDGGGNDTEEDDEHATDVEIEAREADVDQAGEAVVVEQTEEADIVGLGETNAGDEAEEAVQAFDSGTPVNIKRRRYYPPDIKRILYAMCLERSAPGMLKEGVTKSVANDMGVPLRVVQRAWHDGQRA >Dexi9A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:9A:14203136:14204448:1 gene:Dexi9A01G0019290 transcript:Dexi9A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSINGGFMSSYNASERRESSKGGKETNRRTSVPSHNPAKSASASHKAQTSSHGTKRASGQTGNAPQRSAKPSPANSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQNPEVEHVPVHAIQNILYTSEDDPATVAEAQAMVSQQQNHQQPSALSPILETSEERPKQEVALKRKSISDLEEFGMASSSRQRLSDISDVQLCGSPLTSFS >Dexi9B01G0023390.1:cds pep primary_assembly:Fonio_CM05836:9B:18607272:18611357:1 gene:Dexi9B01G0023390 transcript:Dexi9B01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPPAPPSALRPEIGPDGLARDSPVIAYTEKVILEEQLQLKKYIQENYSKIRDVERELESLTLEMKLTAGPKKAALEHLRKKIEMSTEKIRLAKVKEEHAKKAWEAAAQVVKDEEDAKQKLCDDLNHLVQESAATQYTRLEELKKRLESLNPCRPSVDVSGVNTAQHATTTSVPQPPMSQNPATPNDPMNTEPASTGQPRRPAQEEKRRSSNARGRSGVMILPKGRPSSGSGWTGAGFDVDSGT >Dexi2B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:2B:21743950:21744563:1 gene:Dexi2B01G0013360 transcript:Dexi2B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYWKALPRRRPAYGRGWSGYSQPIPDLPHPAAGRLEEHGVALEDDTAEALAAGVLAPGRLRPPRVEQGEPGEVVAVVRPAAPHPPAAAPVAAAAVDDELGDAAARLAVGDAAAGDHAERRQEGAAADSLADELHHLRGEVVLHGSVVGGCLRGHGRAGHRGDGCEGHGHGHGGEACHSWWPPALIWG >Dexi9B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:9B:4711780:4712103:1 gene:Dexi9B01G0007680 transcript:Dexi9B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGDSSREGVGGDGDWGFAGADAFAEYTSSVFAELGWPGDLAAELPVLDLPEAAAPPLGEVTRPEEIVAPGRSGDAAAASSSSSGEGDGAATGSDDRKPAAETA >Dexi2B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:2B:26383084:26388790:1 gene:Dexi2B01G0016220 transcript:Dexi2B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATGDAAGSSAAAAAAAAAELGSGVAAPAPVPTWPRVRRAGHGAVAHALLLCFTALLALKLDGVVSLSWWVLFIPLWLFHAVAARCRFSLPAPSSTESGRQVPCHSIVATPLLVAFELLLCVYLEGSNGRGEPFVDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETITDEAIWDRLPYFWVAISMVFLLAATSLMLLKLCAILSTICLLLQGTPSNAHFIPMRAIFLPIILLQVATVSFAVWRFFDRLLTKLQDGSISQGHISVSSKVDEIFMMIQSGSSYSTFCSYPPEVVKEMPKKVLVEEVQRLQLALEEQTEMANHSNQQFDRLKNERILCRICFERDICIVLLPCRHHILCESCSNKCTSCPICRLTIESRLYVYDAVMSSNPLCDAV >Dexi7A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:7A:23400575:23402648:-1 gene:Dexi7A01G0013310 transcript:Dexi7A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDPIIIPWMAAVVARDDPMDGSCPGANSDTQQLDGVEVAMQRVHELYNNCPSIASLTSAELSPSSWIGNASNSNHVALAPFGLATYKLDAKVWASPNSGDQKHIACLYDAAQSWLKKHGIYHYDFNFFSASCSSTRT >Dexi2B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:2B:12829257:12830564:-1 gene:Dexi2B01G0011230 transcript:Dexi2B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVTLRRVLLASAALRLALVVFGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLLPNSLLHAAWGKLLFSAADLLVGLFIDTILKLRGIPEKMRMWSVIAWLFNPFTFTIGTRGNCEPIVCAVMLWILICLMKGVE >Dexi2A01G0027860.1:cds pep primary_assembly:Fonio_CM05836:2A:39174565:39175413:1 gene:Dexi2A01G0027860 transcript:Dexi2A01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSHPDDGEDGNASLTAEAARVPLPAQPCGGVLELEQRLLLPGRALEGVADVEGERGGEEAPAQVADLLLGLSVEAVGDAYDVPHEGLDVGGERLGVLPGCRGLGGRAVLEPLDGGDEVGLGAHDAGDAEPLLALADEEEAVVGEALVLDDLADAPHLGGRAARAGEDDAEAERSSKMWSAWVASGKSTSGSGNSGSSTSPSSDSARYGSGEDGPGPEPDAPAAAATRPRPRRGAEAWRQGARGNAREGEAAAARRGWG >Dexi2B01G0027900.1:cds pep primary_assembly:Fonio_CM05836:2B:36677646:36681508:1 gene:Dexi2B01G0027900 transcript:Dexi2B01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPTKGGTKKSQGPSTKVGPKKTQDPPLHPYEQQRLSRCMQNSARLQQLGIPTIRTMFEDAAAISRDKKKKHGNREDSGSEYDPVQDDNSEDDCIEDGSEKGSNGKTRKKTNKQTPTTVVKFQTRKRVYAAALPNQGPSSKRTNSVLDASRTPGAIQVPHTIVTPVVEPVGNFEDNPQEDGDDDIARSDGHNHLSSEEGGGNNIGRSDGHNHLSSEAVGDVGYNDDNTMVDGPDAITLPAGDNQMINEESVEAELEVQRMANADLQSKMDDMSKKMQETEDARRRDQEELKEMKKKQAELEAALQRILTQN >Dexi2B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:2B:8388535:8390032:-1 gene:Dexi2B01G0008320 transcript:Dexi2B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMADLESENPIANIAAMNHAFVLIAGGKLPEPLLLPRIIAGLASNMAKPHHKSSIKRGFCSILKAIWTAIISNKMNMDSSASGALSSLSHVPGFTFAIARAYCDTLIAFPPQSSIQISSVVLMLDRLKQIRMTMVDHPEFNDLAMDVLGALANCNFSVQKRVLNLAQLVTIPIEYQQMLEEAIRECHSAFPESIMQFILNPKYRVFINCISYIKEIMDRNPMLRSQLLIGILRAIRHVRSSPVCAAAVWAISVCSKSLLEVHGSIATIPCLFEDLLDRRDMEKVILEGVGVDHEYTLPSDNYGVKDGDAQGYHLKPWLMEMEELLFVHIGLTQQADGSYAIASSSESSSSSEDVYLFVPSLDHTDNLEFLVQSGDMLLADFVENILSKLVKKAEEFH >Dexi2B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:2B:1889330:1890945:-1 gene:Dexi2B01G0002320 transcript:Dexi2B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLRSWSWEKRTCKLKSSLTVRSVKIPEEVKLYIVRTLRRYHSNGQQLNNGIESLRRKGVGEMFLCACSSNSISDTILTWHIATCILEVRYPYQHGFRPGLDHKITATHLSRYCTYLMVWSPELLPDDEEGTKSLYETIKEDVKCALAGFTAAIGSLTPESEYEQVIHFLNAKSNHAVTRNGVMLGRQLVELIEGEDTPWAVLAEFWVDMLLYIAPSDNVRGHLEAIARGGELITLLWALLTHAGIMSRPGDAAAAAGVV >Dexi3A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:3A:7502241:7507768:1 gene:Dexi3A01G0010490 transcript:Dexi3A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFQLDATSSASGGGGDGRARKGVAIAAAGSVPFYRLFAFADGADAALMSLGAFGAVANGAALPLMTVLFGRLIDAFGGAATTRDVVSRVSGVSLQFVYLAVASAAASFVQVACWMITGERQAARIRTLYLRTILRQEIAFFDQHTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLLVTFAGGFAVAFAQGWLLTLVMLATIPPLVLAGALMSSVVAKMASLGQAAYADAAVVVEQTIGSIRTVASFTGEKRAVEKYGESLKSAYSSGVREGLAAGVGMGIVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAAGQAAAYKMFETINREPEIDAYSKTGRKLDEIQGDIEFREVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVDLREFQLRWIRSKIGLVSQEPVLFAASIRDNIAYGKDDATDEEIRTAAELANASKFIDKLPQGLSTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHRGSVVEKGSHFELVRDPEGAYSQLIRLQEASHASEGANYQNKTSTKGDSGICTGKQTSTNHSANIRSPHNTSRNHSLSVSFSVPLEIDVQDRSSKHVDKEIEQEVPLSRLASLNKPEIPVLVLGSIASAVSGVIFPIFAILLSNVIKAFYEPPRILRKDAEFWSSMFLVFGAVYFLSLPLSSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDYPENSSGAIGARLSADAAKVRGLVGDALQLSVQNSATLIAGLVIAFISNWELSLIILALIPLIGLNGWIQMKFIHGFSADAKLMYEEASQVANDAVSSIRTVASFSAEEKVFLALSMAAIGVSHTSTLTSDSSKAKSAISSIFAIMDRKSRIDPSDDSGVTLEPLSGDIEFRHTVALVGESGSGKSTAIALLQRFYDPDSGHILLDGVDIKKFQLRWLRKQMGLVSQEPSLFNETIRANISYGKEGEATESDVVAAARLANAHKFISSLHQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERIVQDALDRVMVNRTTVIVAHRLSTVQGADVIAVVKNGMIIEQGRHDTLIRIEGGAYASLVALHSAAPS >Dexi8A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:8A:4601710:4602076:1 gene:Dexi8A01G0005150 transcript:Dexi8A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRFGFNFPSAYKFDPTDAEIVAHYLLPRAAGVTNFPYSHALIDDNTCSCPPWELLRRQGHGGSDHAFFVGPPGDPSVNGGRTSRGVHPGRDGGPGGLWRGQKGEEADLSPAAAVAVR >Dexi6A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:6A:23810822:23811787:1 gene:Dexi6A01G0015910 transcript:Dexi6A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSLFHHLCLILLAVAAGAGAATPRQLFLVSQPPVTLTNHHGQLLTGNYSVNLLWYGRFTPAQRAVVADFLLSLSAPSSPSSSSSSAAPSVAAWWATTARYHPGAARLTLGRQVLDPSLSLGRRLSETSLAALAVRRLSPHRGSVAVVVTAPDVIVDGFCLSHCGLHASATSSSSSSASSTRGRGRFAYVWVGNAAEQCPGECAWPFHQPAYGPQAPPLVSPNADVGMDGVVINLATLLAGAVTNPYGGGFFQGPAEAPLEVVTACTGVFGAGAYPGYPGQLPVDAATGASYNAVGVTGRRFLLPAMWDPKTSQCSTLV >Dexi6B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:6B:2302663:2305074:1 gene:Dexi6B01G0002670 transcript:Dexi6B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTSVVQFWTEWGLRISVLTSLAAYTVLSLLSGVRRRSASGGYSILRWAVVLILWVAYQLAEVAATSVLSSLSFSYSDASPEEKQVIAFWGPFLLLHLGGPDNITAYTLEDNMLSLRKPIMMFVHVLWVINAIWNYIYRSRTWVLFAASAIMFVAGVARYAERVCALRRANLDNMQQEDSSKKTEPVGSGASRSSSSSSAADRAVESMITRSLGRELDDDEALLLAQDLFHIWRRALVDSSVDPRSPSQLNSEKLLSLEWSSLCKVAEMELSLMYDILYTKANIAHTWTCACYLIIRFMSPLGTAAATCLFSLYRNKEGRGLIRGSFVLITYLLLGVAFAMDMVWLLRALVSTWTYAFLKNKAWLRPWAWLSSGRWRQLHHAIVCLDPMRLVLGIDPVGYRRWSGTIGRYNLLQECSNVRLRRHPWCRCLVTKIGLEETEYLSEISEGVKKLLFERVKRILPTDNNRSGDAYTRDAIKSCWGQEALRRRKQKLFRWNEEPIFGREFEQDVIVWHIATCIFLECPMVTKVKLEKTSPHVAVIEAMSEYLMFLVAKRPQMLPGLVLHNLLEETRRTLKEIWYQDRGNNKGNQVNLATLVWEKRTSNRDWFLHTGGRRLVLDGAEIAGSLTKYSSSSEEQVLQILELIFNVWVDKLLYAGIRCGRESHAKQLSKGGELTTVLWIIIQHAGPFRIGEEKPLPDSKPDDKKPEEKLKKLEEKKKPEKKPAEEPYLAYHHDPYPYLTPYPYPTPPVMPEPKHEPTPATVAPMPKPSDEKPEKAEASEMPMDPEDAEDYKMPIRYITLY >Dexi2B01G0023860.1:cds pep primary_assembly:Fonio_CM05836:2B:33327098:33331107:-1 gene:Dexi2B01G0023860 transcript:Dexi2B01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPAIIRYAIVLHLLRLLPPPCAAGTGDRLVPGKSLSPGSTIVSEGGSFALGFFSPTNSTPSKLYLGIWYNDIPRLTAVWVANRGAPATNISTSASSSSPAAALSLTNTSNLVLSDAGGRVLWTTNVTGAASAPAAAVLLNTGNLVIQSPNGTTLWQSFDNPTDTFLPGMNIGINYATRAGERLVSWKGPGDPSPGSFSLGLDPDAFLQAFIWNATRPVWRSGPWTGYFVSPGYQANVIVYLTVIDTQEEIYMTYSLSAGAAYTRYVLTDTGGFELHTWNTSSSAWVFLWDWTSGPCSRYGYCGPNGYCDYSDLSSMCKCLDGFEPTSMEEWKSGRFSQGCRRKEALRCGDNGFVAVKGMKSPDKFVLVENRTLQECEAECTGNCSCVAYAYANLSNSRRKGDVTRCLVWAGDLIDTGNIGEGEGSDTVYLRIAGSDAGRIRPKRNALKIVLPAVLISGILVLAAISILAWFKFKEVVTGIRRSSISTNMGYPNLIVYAWNLWKEGKGRDLADPYIMDTCSLDEVLLCIHMALLCVQENPDDRPLMSSVVFTLENECAALPTPNDPGHYGQRPGDYGPRISDVVQIRDRTEGSVNSLTLTTIEGR >Dexi4A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:4A:133030:133398:1 gene:Dexi4A01G0000190 transcript:Dexi4A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSPIAVAAFRHQAPSAFPAPIPARFSPRHRRRPRRPLSSVPAAADGDASSSAVSAVSQRGAPTSPVLTFQQAIQRLQVQCKSLINASSRFITNHTCSSSSRPHTTGVLGLRRMRCHAV >Dexi5A01G0036780.1:cds pep primary_assembly:Fonio_CM05836:5A:38228381:38232776:-1 gene:Dexi5A01G0036780 transcript:Dexi5A01G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLARAIAVAVGEEAVVEATNPHTHDLPNDYWRWAFRKSAGANCLLNIDGIDELPEDWLVDCSQDSESYLPPEEMTSRATTVEKPDNISAAEPIIRATSVGQYDYHGDATLIDLNKMIQGAPSFKIKHKNDEDRNSHKASVINEKPLVNLIKIYTEGGKQRLTISRNVLKYSN >Dexi5B01G0036240.1:cds pep primary_assembly:Fonio_CM05836:5B:36037349:36042820:1 gene:Dexi5B01G0036240 transcript:Dexi5B01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPAPAAFLILVLTAAFASISSAVRPVSDAHRSAASELFAPSADGSFGDLESTYEAVRTFQILGLDRYKSVTGKACEFAAEKLASPASSSAKDLFHAVRISGVLGCSVDAGVYDVVVARLKAVIKDTNSLLEFYYSVGGLLSIKEQGHNIVLSDAESTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLADAEVDPSMIGVVKSDIVKLFDTIKSYDDGTFYFDEKPVDATEYKGPVMTSASVVRGVTAFTAVASGKLNVEVTTVFGSAAPPLKVNLVQVLGSDSKVITSDNKELQFDRDNNVHYLDIAPLKIDVGKYSLVFEISLQEPDHETVYATGGKNTENVFITGLIKVDKAEIGISDNDAGATESVQKLDLSKDTKVSLSANHLQKLRLSFQLTTPLGHTFKPHQVFLKLKHESKVEHLFVVPGSARQFKLVLDFLGLVEKFYYLSGRYELELAVGDAAMLMHLGVNMKNFPSLPGPAAFASLFHAGIGAVLLLYVLFWLKLDLFTTLKYLGFLSVFLVFVGHRTLSYLSSASTKQKTA >Dexi5B01G0036240.2:cds pep primary_assembly:Fonio_CM05836:5B:36037349:36042820:1 gene:Dexi5B01G0036240 transcript:Dexi5B01G0036240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPAPAAFLILVLTAAFASISSAVRPVSDAHRSAASELFAPSADGSFGDLESTYEAVRTFQILGLDRYKSVTGKACEFAAEKLASPASSSAKDLFHAVRISGVLGCSVDAGVYDVVVARLKAVIKDTNSLLEFYYSVGGLLSIKEQGHNIVLSDAESTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLADAEVDPSMIGVVKSDIVKLFDTIKSYDDGTFYFDEKPVDATEYKGPVMTSASVVRGVTAFTAVASGKLNVEVTTVFGSAAPPLKVNLVQVLGSDSKVITSDNKELQFDRDNNVHYLDIAPLKIDVGKYSLVFEISLQEPDHETVYATGGKNTENVFITGLIKVDKAEIGISDNDAGATESVQKLDLSKDTKVSLSANHLQKLRLSFQLTTPLGHTFKPHQVFLKLKHESKVEHLFVVPGSARQFKLVLDFLGLVEKFYYLSGRYELELAVGDAAMENSFLRPLGHLELDLPEAPEKAPRPPAQAVDPFSKFGPKAEISHIFRSPEKRPPKELSLAFTGLTLLPFIGFLIGLMHLGVNMKNFPSLPGPAAFASLFHAGIGAVLLLYVLFWLKLDLFTTLKYLGFLSVFLVFVGHRTLSYLSSASTKQKTA >Dexi7B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:7B:22217835:22220810:-1 gene:Dexi7B01G0016270 transcript:Dexi7B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPACRALWASSSVPSSCSPASTSRDGSTDDTKVRAFTFRGVSGFSLKAFEAAWAAACGAKGSSASMVVPAQRSFLGPCASGKITVQIQGKIVAPPPSAVNTWGNGSNDSWLMFRRVDWLTVTGNGVLDGNGQSWWSLRLLMCNNLKVSQLSSKDSPQMHIAIQNSTAVNVTGLTITAPGTSPNTDGIHIGESHNVHITSSSIGTGDDCISISSGSRFVTVDGVGCGPGHGRKVYLEFVAQQPLCDMAAVEFIDVRNVNFTNTMYGARIKTWEFDNVDHPVLIDQIYENRLSVQPAVAISNVTYSNLTGTSSMATAVAFDCSDGGGCTDIHVNSLVITGLGGRQTVATSGQVNPEIPCGS >Dexi6B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:6B:2638407:2641625:-1 gene:Dexi6B01G0003210 transcript:Dexi6B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMPPTACCCFVFLLPLLLVLVLGATTSCWSVAEARRNVITHIKGFDGRLPFHLETGYVQVDQEHGVRLFYYFIESERKPAEDPLILWITGGPGCSALSGLLFEIGPLRLDVAGYTEGFPQLFYFEDAWTKVSNVIFLDAPVGTGFSYARDEAGYNVTLTGLGRRIHTFLHKWLAEHQEFVSNPLYIGGDSYSGYTIPVTALDIAASNGGGDHVSRINLKGYLVGNPGTDDRYEKGGKVPFMHGMGLISEEMYEAVQQGCGEDFYDMSGRSNPLCASALEAIDMATSDVNPVHILEPFCGKALRERVPGVSIFQARSMLVRDKVEHPEFFTRKNLGLPVECRDNGYRLSYIWADDPEVRESLGIHNGSIGSWSRCNNLTRFTTDLDSVIPHHVILTKAGYRALVYSGDHDLDMTFVGTQAWIRSLKYPIVNDWRPWHSNGQVAGFTRTYAYNLTYATVKV >Dexi3B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:3B:8269055:8269512:1 gene:Dexi3B01G0011820 transcript:Dexi3B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRAGESFPVLEEPQRRFVVPVRKKATTSGASAGVHKKSKGSNSSYAAMPPPPPSRVQRERAAAAVGDVTVEDIHTLECGMCCLPLKPPIFQYAEQK >Dexi2B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:2B:22999353:23004333:1 gene:Dexi2B01G0013870 transcript:Dexi2B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDSGAGDGTPGTPSPTHGGRLRHRKRSSEVLSDVNKTNGANLLLNDQNKYKSMLVRTYSSLWMIAGFVFLIYMGHLYIWAMVVVIQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTMTSDKLLYKLVSGLIKYQMFICYFLYIADKLLYKLVSGLIKYQMFICYFLYIAACEFHGPFSVVDMPKKAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTVEEQHDLYGQLGRLLARAN >Dexi1B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:1B:24623377:24625015:1 gene:Dexi1B01G0018400 transcript:Dexi1B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMISILCPMFQHEEIGIGLVGFGILFSFLGVILFFDRGLLALGNGSVPFFIGLFLLFVRWPVAGIIMELYGSFVLFSGYGAPIQAFLYQIPIIGWILQYPFQLFAQLRRKRA >Dexi3B01G0038650.1:cds pep primary_assembly:Fonio_CM05836:3B:41422086:41426302:-1 gene:Dexi3B01G0038650 transcript:Dexi3B01G0038650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPSPSPPSPPKHSRSPDDPQPDASPKRRKRHHHRRHHRRHRDADSPVAVATQDEVEEGEILDDAAATDVDADLAAHAQAHFGNGADTEPNADATKPQASALPTLPSSKDGSKSLCSAPEYESGGIISSDAEDTKASGEKSRDAEQKSWKSEELKENSFKEEDEDEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQLQKQRMESIPSSYNEEAGAMGGDGTAHLKDDNDSSSTGNDEAENKHDSSDVFVGKEDFAVGKSPAHNDTGVGASSDDRMIGVSGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHIERNAVHDNWDDADGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAVKIIRNNDTMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKMVQ >Dexi9A01G0046810.1:cds pep primary_assembly:Fonio_CM05836:9A:50054564:50055337:-1 gene:Dexi9A01G0046810 transcript:Dexi9A01G0046810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLAHFPDGHGLEPKFLQFIGRGFRSGMRPCNETDVYWFFTWTPSDNDKGVIESGTKLKQFVLANLTALKVPPNALTVIERSETNDVFAVPLRFRPPLSLITASISKGSVCVAGDALHPMTPDLGQGGCAALEDSVVLARCLGEAILNDGVHGGGVRSRGRIEQGLQEYAGFRRWRSVELVATAYVGCSREGF >Dexi8A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:8A:3977898:3978284:1 gene:Dexi8A01G0004580 transcript:Dexi8A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGVTVRRASRVPLPSPVDVDMTPLAGGPGRRRLAAAPRTSNLLPLPPRGEKWKKDARPKDVHVGSHDLGLGGRGTVLMPRRTTCHQNGSNKCSPPTTARVQSIPPLMGPLQPNRKDTSQQARPRFT >DexiUA01G0001520.1:cds pep primary_assembly:Fonio_CM05836:UA:4068544:4069963:1 gene:DexiUA01G0001520 transcript:DexiUA01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAACLREQGVPYVILERADCIASLWQKRTYDRLKLHLPKQFCELPRMAFPEDYPEYPTRRQFIEYLENYATKFEIKPEFNSTVQSARYDETSGLWRVITSTPDAGDMEYIGRWLVVATGENAESVVPDIPGIDGLDAKVLTHVSDYKSGEVYRGKSVLVVGCGNSGMEVSLDLCDHGAKPAMVVRDAVHVLPREVLGKSTFELAVLLMRWLPLWIVDKIMVFLAWLVLGDLAKLGMRRPATGPLELKETHGRTPVLDYGALARIRAGDIAVVPAVKSFGKGTQVELADGRVLSFDAVILATGYRSNVPQWLEGNDFFNKDGYPKVAFPHGWKGQSGLYAVGFTKRGLSGASADAVRIAKDLGNVWREETKPTKRAGACHRRCISVVF >Dexi2A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:2A:10684346:10686974:-1 gene:Dexi2A01G0009720 transcript:Dexi2A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLCWLLLGVVLAFGAAASPAQASKAHHYDFFIKETNVTRLCHEKTVLTVNGQFPGPTIYARKGDVVVVNVYNQGHKNITLHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTVWWHAHSDYDRATVYGAIIIHPKHGSTYPYPKPHKEIPIILGEWWKADVEQVLKQALETGSDFNYSDASTINGQPGDLFPCSKNGTFRAVVEHGKRYLLRIIHAGLTYEMFFAVAGHNLTVVGMDGSYLRPFTVDSIMISPGQTFDVLLEAIHGGGSANTSRYYMAARAFSTILNATVKFDDTTATAILEYKDAPPSSAPPVFPTNLPALRDVDGATAYLRKLRSLVTKDHPVDVPTHVDEHMFVAVAVNSLPCGANKTCQGPDGQRLAASLNNASFEYPSVDVLDAYHSSMNNLNSSMYYKPDFPDKPPVIFNFTDASLLNPTTSFTKRGTRVKVLEYGTVVEVVFQETGFLGPEDHPIHLHGHSFYVVGRGSGNFDLKKDPATYNLVDPPYQNTVSVPRYGWVTIRFRAANPGVWFMHCHVERHTVWGMETVFIVKNGKTPDAQMMPRPPTMPKC >Dexi3B01G0033600.1:cds pep primary_assembly:Fonio_CM05836:3B:36366805:36368243:-1 gene:Dexi3B01G0033600 transcript:Dexi3B01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding APTMASKSMASSPAASLFVASVAIMLLAASNHAAEAARPPTRVPPPPPPPPTRAAPAPPPTTRAARAPAPAPTRAARAPTPAPARAAPAPARAAPAPAPAPGPSQGWF >Dexi4B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:4B:17719713:17720662:1 gene:Dexi4B01G0015840 transcript:Dexi4B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSKRETAPPTPQALPPGGPWWGSAVKPGVAFPPSGEAMAIPPGWWPPPLLQSTSSFVSPYGAWMGAVPTPGGQGSQNKSNNPLEFTLGGYVSLLQNGQTSLPAPHTNPVTQQAVLALKDKNVINSDNGDEARKEKRLSWTPDERRAVGQRLVI >Dexi5B01G0024130.1:cds pep primary_assembly:Fonio_CM05836:5B:26255942:26256838:1 gene:Dexi5B01G0024130 transcript:Dexi5B01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLRRLPVFAFLSLVFLIVHVPASHGSPDSLPTTYDSSIYTANYTRYSCGYTDLEIFCQGEGPTGTPTIRFRGDNYTVKNIFYEEKTIVLADINVLGPVKCPVVSHEVSFDELWLRLNPGSNDNLTFYFGCKSLDRVPPGLDTYQIDCNGFKSPFGDGPTFVFTPDDLDKAHEQELVMLCYNFSVPVSGEALAARNRTNITHGGYGEVLKQGFELVWLSNSTYDECLRCEQSGGKCAYNEYREFSGCLCSKGKVVQQHPFCRPSKSFETDHSV >Dexi6A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:6A:2550645:2553505:-1 gene:Dexi6A01G0002720 transcript:Dexi6A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHCQGHIAQCLGGILARRGGATVAVDSGGHSLSGEEFVDGVKRLAAGLVDRGVRPGDVVAIVGFNSIQYVELFLAVAYVGGIVAPLNYRWSFEEAAQAMELVQPTVFVFDGVFSSWALRLTNSNMCSSIGLYFILGDTCSTAHDAKFVSVDHIKRSVRESTATDPVSAPRDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDVYLHMAPLCHIGGISSCMAILMAGGCHVLIPKFDAKSAFDAIKKHEVTSFITVPAIMADLLSYARKEMISGPVMTVTKILNGGGGLSEELIDGASRLFPRAAIFSAYGMTEACSSLTFVALNKPKLQEPKNQPGSHSGGVCVGKPAPHVEIQVVMDGNNTSSSPTGNILTRGLHTMVGYWANNKVYPSDTVKNGWLDTGDTGWMDKAGNLWLMGRQKGRIKSGGENVYPEEVELVLSQHPGVERVVVLGIPDSRLGEKVIACVGISDGWKWVDASAEHKGEGKEVSPQILQDHCRLKNLSRFKVPRFYYQWRQPFPLTSTGKIRREELKKEILATIQIPSNL >Dexi2A01G0025170.1:cds pep primary_assembly:Fonio_CM05836:2A:36906427:36906556:-1 gene:Dexi2A01G0025170 transcript:Dexi2A01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPVDPKLGFVVDHPLRPLSNPTNLQ >Dexi9B01G0044750.1:cds pep primary_assembly:Fonio_CM05836:9B:44401951:44402601:-1 gene:Dexi9B01G0044750 transcript:Dexi9B01G0044750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTGSSSLRSRCSLPNLLVWLLNLSLLALAAAALGPVLLLRPRPTPFGWAVISVHAATLLTALAALCAHLAAARLRLAAYTSFALAALCCHALLAAAFVLHRDSSLRLLESARDRREQLVLAFLEVALLLAMLLAQAGALAATCVVSRRWAREHREVETEKAAVARKRGREMARVQAVSAAAAEAGVKAVDDKVMRSSSGKKVHWANDDGFDEC >Dexi2A01G0026240.1:cds pep primary_assembly:Fonio_CM05836:2A:37756435:37760025:-1 gene:Dexi2A01G0026240 transcript:Dexi2A01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRHVNPFRACAGLRGLGYLMVALVAAIAAVSYYAVVLYAWGPVLLSGGASAAGAAAVLAAFHVLIVGILVPLQRTKEVLLDIALVVKMANLPAVIIALSVYTFAETVLDTLVLLPNFIEFFQDGSLRSSSPGDIAILFLAFVLNLAFALSLLCFIGMHTSLVTSNTTSIEVHERRKSVSWKYDVGWKRNLEQVFGTKKLFWFVPLYSTEDLHNIPALRGLEFPTRSDTIV >Dexi5A01G0034270.1:cds pep primary_assembly:Fonio_CM05836:5A:36390772:36391104:-1 gene:Dexi5A01G0034270 transcript:Dexi5A01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPQHPPEHKKNPPTHHEQIDRLPANKASEPAPRTEEEPTAEGHRTRAALTRKATAVRQTRRRRLVEEQKALLRREPKWREQRAAAASKSRSVGAAEAVGAVRRGVVR >Dexi5A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:5A:13294429:13298880:-1 gene:Dexi5A01G0015570 transcript:Dexi5A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAAYDLGSSRRSQDDTATLIPHSGNLGESSGRGVKTTRFKDDDEVVEITLDVQRDSVAIEDVRAVDDGSSGHGGGFDGLSLVSPSSSRSGKLASKLRQVTNGLKLKNSSNKAQQTQLGKNVRKRLDRSKSGAVVALKGLQFVTAKVGHDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMEGSDEFAVQVFDSLARKRGIVKQVLTKDELKDFWEQLSDQGFDNRLRTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERAEEYTALIMEELDPTNLGYIELEDLEALLLQSPSQAATRSTITPSSKLSKALSMRLATKEDKGPFYHYWQEFLYFLEENWKRIWVMAVCNMVQVIAAGVAVGVALHAGAHLTCDFPRLLNANDAAYEPMKPFFGEKRPPNYWWFVKGTEGWTGVVMVVLMAIAFVLAQPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLVVHGIKLYLSREWYKKTTWMYLAVPVLLYACRPPTDGESGLLRADLSKGITESNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHSQQGESVAGTEPEGSGRSKKKPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKNGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRKVFKHVAVNHDSQRVGVFYCGEPVLVPQLRQLSADFTHKTNTKFEFHKENF >Dexi4A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:4A:23195388:23196777:1 gene:Dexi4A01G0019430 transcript:Dexi4A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVASGVKIRRRLVPEVEERLTRPRRLVRELPDLDAGRLHRLIRGGDLAPCFDAAEDAGDGRAEECPICFYFYPSLNRSKCCGKGICTECFLQLMPSKTSQAVQYPIFCPFCKIKSYAIEYRRAHTTREKKIKQEGEQKVNEIKLRQHSKSQIAGEDILP >Dexi7B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:7B:17928277:17929285:-1 gene:Dexi7B01G0010650 transcript:Dexi7B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPVIDLRLTGAASPEESARLREACERLGCFRVTGHGAPPELLAEMKAAVRLLFDLPDDAKRRNTDVIPGSGYVAPSATNALYEAFGLLDAAAPADVDAFCARLDAPPGVRETVKAYAEKMHDVIVDVAGKLASSMGLDQEERCSFKDWPCQFRINKYNYTEDTVGSSGVQVHTDSSFLTVLQEDECVGGLEVLDPATGEFVPVDPVAGSFLINIGDVGTAWSNGRLHNVKHRVRCVAPVPRISIAMFLLAPKDGRVSAPETFVDADRPRRYKAFNYDDYRRLRMSNGEHAGEALARLEA >Dexi9B01G0046040.1:cds pep primary_assembly:Fonio_CM05836:9B:45426230:45426715:1 gene:Dexi9B01G0046040 transcript:Dexi9B01G0046040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRLASATTTTSRTGSIISAARLTARMAMSSSESSSTGSSLATAAQGTTAADEVGAAAAPVMRCLQRRTRRRRRCPREGGGTLEAAQAAEEADDSVDDERHGFLELPQGPCGGGGGLISGQARRAQVLRHRLGRAVDHIEGGKITGDEVAARLGARESG >Dexi9A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:9A:3559824:3560723:-1 gene:Dexi9A01G0006330 transcript:Dexi9A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAANVAVVGAGISGAVCASLLAARGVAVTVFDSGRGAGGRMAQRREVMEDGTQLRFDHGAPYFTVTNDEVARVVSGWETRGLVAEWKATFACFDQATGKFTDFEKDE >Dexi1B01G0028680.1:cds pep primary_assembly:Fonio_CM05836:1B:33152377:33152967:1 gene:Dexi1B01G0028680 transcript:Dexi1B01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSSQPAPGSKKFRHTFLKNLLRGLRKGAAAAASSRAMTLDERRIAVRRAADAALATARGAAPRWSRSLAAELSQGRLLRPRRDDAQPAASSSSGCKPPPASKMLRRIPRRRRVIRARPKSKATASDLARVMARKRARALREIVPGGRGMDECTLLGETLDYAVSLKAQIEAMQLLLRTLIQAPKEKNPST >Dexi6A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:6A:19826147:19827301:1 gene:Dexi6A01G0012950 transcript:Dexi6A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGGADDELDSSTSSPLAALLRQQGFGGGGGGSPLIYGLQDLATPPVSSHWCSTTAARLPPAAGGGASASSPSPSLPCHGGLQASSASAAEQTATAVTAQPAAAAPPRGSRKRARASRRAPTTVFTTDTSNFRAMVQEFTGIPSPPFPSGAAPSSRYDHLFPSRSSSSCPAAFPQYLLRPFVAHKLHAAYPPPPSTSSPAPANAATAASTAAPAATAVASSDSYEPSALLRMQQDHSGVNSYLSFQSTLAAAHLDGGDAKYPSLFDDHRRVTPTSAAPRMMQDPTGFLHGVVMSSEGTRAHLRPRNGDRGDELLSGLVGVCKTTYSSSSAPPPLERIGRSQPAGVSTATPPPPPPVAMRTQGVESWVCGTSE >Dexi9B01G0036270.1:cds pep primary_assembly:Fonio_CM05836:9B:37801044:37802360:1 gene:Dexi9B01G0036270 transcript:Dexi9B01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKLTLLLALLAAALSISCSDAAIRTQLTRADAGRGLTRHQLLRRMARRSKARAARLLSATGSSSPATASAVPGMNQPLDTEYVVSFAIGTPPQPVQATLDTGSDLIWTQCQPCPSCFDQTLPYYDPSLSSTSAVLPCNSSACQQLPISSCGAHSLGTNTCVYTYSYGDKSITNGLLDADTFTFHGDAAVPGLSFGCGLFNNGVYSANTTGTGIAGFGRGALSLPSQLKVDNFSYCFTDITSSTPSPVLLGLPANLYGGATAAVQTTSLIQSSDNPTFYYLSLKSITVGSTRLAVPESAFALANNGSGGTIIDSGTSVSLLPPLVYGQLHDAFVSQVKLPVTSDEPLCFAVSSAAAGKKQEVPKLVLQFEGATLDLPRENYVYEMEQGGQSSMCIAVMSSGGDMSIIGNYQQQNLHVLYDLAGNKLSFVPADCDKV >Dexi6A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:6A:1219151:1219525:-1 gene:Dexi6A01G0001390 transcript:Dexi6A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGRLCFLDDPAARRPQLPLIDESLSDPKQTRVAGRGHRAECEDERTLAWYKLREAKPLPTPHG >Dexi7B01G0022450.1:cds pep primary_assembly:Fonio_CM05836:7B:27372906:27377173:-1 gene:Dexi7B01G0022450 transcript:Dexi7B01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDLWLSAFPIGTEWENIDKIKEFNWNFENLEKTLEEGGELHGKTVYLFGSTEPQLLFVNGESKIVLIPVVIAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFVDEKVKDEVLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKTREAFENIKFYKFYPVTTPDTPDVTNVKSKYINRYYRQAHYLM >Dexi9B01G0044660.1:cds pep primary_assembly:Fonio_CM05836:9B:44355033:44359552:-1 gene:Dexi9B01G0044660 transcript:Dexi9B01G0044660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGWGSLFGCFGSTSHGGSKSSRKKTKTKAKTKKKKKGSKQQQKVAAGGSSGRPRLQSRLSFTELSGMVSPEDLSLSLVGSNLHAFTIAELRAITRDFSMTNFIGEGGFGPVYKGNVDDKTKPGLRAQPVAVKLLDLEGGQGHTEWLTEVIFLGQLRHPNLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGTDVYGFGVVLLELLSGRRSVDKSRPPREQSLVEWARPYLTDARRLDRVMDPNLAGQYSGRAAHKAAAVAHQCVSLNPKSRPHMSAVVEALEPLLALDDCLVGPFVYVAPPEEDKDGDAGNKGGSSGRRGRRRSRDGAAAAVVRPES >Dexi5A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:5A:3923051:3923911:-1 gene:Dexi5A01G0005200 transcript:Dexi5A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDSLDQHLFRWSGGNQQQPTPISRWDTRYNMVKDIATGLHYVHHEYEPRVLHRDIKANNIMIDSGFQGRLGDFGLACVVAKGKESYTDIGAPGTLGFRAPEYIHSGKATTKSDIFAFGVLVLEIVTGKVAVDAQHHHLADWVWHLHKEGRLPDAIDPTLTTEFHTNDAKRLLLLGLACCQPNPSDRPTMVKALQIITKSEPPTDVPVEKPGFVWPPEEEQSLSSDNNYSTEQSSLGVHQTVTVGIEMTEAGQASSSENRGNGLHHRPIAGTSKELFSIYHTAEK >Dexi2A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:2A:30285795:30286757:-1 gene:Dexi2A01G0018210 transcript:Dexi2A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSSHGHHHHTASLCTPYLLLVPLGLLAVLLVLPTLTSPNARSDDLGVLCAGIDAYSVSTGADEKDAAASDAAGSSEFSLLVGVLTMPSRRERRDIVRMAYALQPPATAARVDVRFVFCNVTDPVEAALVAVEARLHGDILVLNCTENMNDGKTHEYLSSVPRRFAAEPYDYVMKTDDDTYLRVAALAEELRGKPREDVYLGHGFAVGDDPMQFMHGMGYVVSWDVARWVSENEEILRHNDTHGPEDLLVGKWLNIGGKGKNRYSLKPRMYDLGWFMDNFRPDTIAVHMLKDNRRWAATFRYFNVTAGIKPSDLYHLP >Dexi5A01G0026120.1:cds pep primary_assembly:Fonio_CM05836:5A:29850015:29851202:1 gene:Dexi5A01G0026120 transcript:Dexi5A01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQYYNDKLESFLRSIPRQRLHSLVIDMFCVEATDVAEKLGVPIYTFYPSGASPLAIFTQLPALFASRQTGLKELGDTPLEFLGVPPMPASHLLAELLAHPEEEIIKATVSIYERGMGTRGVLVNTFESLESRAVQALRDPLCVRGKMPPPIYCVGPLVGNGAGNGEKTERHECLAWLDAQPERSVVFLCFGSLGTFSEEQLKEMAVGLDRSGQRFLWVVRMPANVGDPMRILENQCEPDLDALLPEGFLERTKDRGLVVKSWAPQVEVLNHPATGAFVTHCGWNSMLEGVMAGVPMLCWPLYAEQKMNKVFMTQDIGVGMEIEGYMTGFVKADAVEAKVRLVMESEEGRELKALVAARKKEAETALKAGGSSHAAFLQFLLDVENLAQQQLAE >Dexi5B01G0025620.1:cds pep primary_assembly:Fonio_CM05836:5B:27636665:27637059:-1 gene:Dexi5B01G0025620 transcript:Dexi5B01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQSKACEATRFVAKHGCTYHKTLMEKNKHYVVDPPTIEKCQELSKQLFYTRLARFLW >Dexi5B01G0032340.1:cds pep primary_assembly:Fonio_CM05836:5B:32966293:32967330:1 gene:Dexi5B01G0032340 transcript:Dexi5B01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVLEVHLVDAKGLSGNDFLGKLDPYVIVQYRSQERKSSVARDQGRNPCWNEVFKFQINSTASNAHHKLILRIMDHDNFSSDDFLGEATVDVTDIISLGAERGAYHQSAAKHSVVLADQTYHGEIKVGVTFTATQCVEDGEEIGGWRHSS >Dexi5B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:5B:22492243:22493945:1 gene:Dexi5B01G0020260 transcript:Dexi5B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKDEAKATRDTKFSSCRGVSFELKPSPGRSSLTFQVTDRPVHQPPLDSPMMAGRWMWFPGPFSSRSSRIFAEPPPGPHALGRSQSSHFCDLNYEDTDDESVVFIEGVDEEMAVVKPDHGVVSLDLPMPGPQEPAQASSSRRSRLGVMLLGQGLFTVYKRLFVLCVASNVLGLALAATGHFHYAGGHASVFAMGNILALTLCRSEAVLRVVFWLAVALLGRPWVPVAVKTGATSILQSLGGVHSGCGVSSLACLAYALVQAIQQQHSDANATPREVVGVASAILALLALSCLAAFPLRARAALGLRAALRSRLRPSDGRHEMWLTSAITFFIFLPWLTVRRVPVTVTARSNHASVITFQGGVKAGLLGRISRSPLSEWHAFGIISDNGDTHAMLAGAVGDFTQALISDPPTRLWVRGVHFAGLPYLLNMYRRATMVATGSGICVFMSFLMRPGPAELSLVWVAKGIEANYGEEMKAACCNSERLRGRVIVHDTAVMGRPNVAALAVDAARRCGSEVVVVTSNPEGSRDVVRGCNKAGIPAFGPIWDY >Dexi3B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:3B:9060032:9060706:-1 gene:Dexi3B01G0012910 transcript:Dexi3B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGKEEPGLVVKQGSKLHAKLLSKEAAAQLAAPSFRVYYSVASAGAVPFLWESQPGTPKNDSPSAAALTPPLTPPPSYYSSSGASTGVGGRSGKRRPAGIIGAILRRGSRPGGRTPTSSASSWSSSSWSSSSSQQHTPPSMSPVFAVQSSPGPRGHHRRAFSAGGDEDDAAPRCFWAERDCCQRGVVKGCGVNGVAAAVRNALATVVGGGKKPGRRGTAA >Dexi3B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:3B:8819826:8823337:1 gene:Dexi3B01G0012580 transcript:Dexi3B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIDTPPPAPPTPPTPSAAAGRQTRAAESVRLEHQLVRVPLEALRSTVRSNHRLAEKEIAAVVSSASAAPAESSAAAVDHLTSLVSRLHGLKRKMEEGARVEELQVQRCRARLDRLATACTGDDAEWEDMRLKRILVDYMLRMSYYDSATKLAEISGIQDLIDIDVFLDAKRVIDSLQNNEVAPALAWCAENKSRLKKSKSKLEFFLRLQEFVEFVKAKNCIQAIAYARKYLAPWGSIHMKELQRVTATLVFRSNTSCTPYKVRNIFSVLSNDLPKHHESDNSWSCPKEDPLSLEGFRKLAEPLPFSKQHHSKLVCYITKDLMDTENPPRVLPNGYVYSEKALQEMAKKNDGKITCPRTGEVCEFSECVRAFIS >Dexi1A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:1A:7956045:7956671:1 gene:Dexi1A01G0009650 transcript:Dexi1A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSASCAAERGSMYGGADIRSYSASYARPPPAPASRVQRARSVSSWTRPAPPPQRSGSTKSVASGAGGGGGGRPAPGRLNLRSYSASFAASYGPTIAGDGGGGGGGGELRRSGSVTNWSSSNRKSVNLRGYTPSFAALDDTAAAPAVVVPAAKKTAAALEDAAELQRRKRLVVYKTYDMEVKVRESVRRSVGWIKGKCSRVVYGW >Dexi9B01G0036600.1:cds pep primary_assembly:Fonio_CM05836:9B:38094272:38095929:-1 gene:Dexi9B01G0036600 transcript:Dexi9B01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPDSGDVIVVEPARPGSPVAVVTINRPAALNALTKPMMVSLAAAFRRLGADDAVAAVVLAGRGRAFCSGVDLTAAEDVFKGDVKDVAADPVAQMELCHKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSRIIGPNRAREVSLTCMPVTAEMAERWGLVNHIVDDNEVLNKAIEVAEAIARNNRNLVVLYKSVINDGFQLDLKHAQALEKERGHKYYSGMTKEQFANMQKFIQGRSSKPSSKL >Dexi5A01G0026930.1:cds pep primary_assembly:Fonio_CM05836:5A:30497275:30499691:-1 gene:Dexi5A01G0026930 transcript:Dexi5A01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSQRRSSPPINLPFNDFIPISSNDTCLPLHLPSEKQLPLTRKPEGAVAAAPAFSVMHFASDVDCAVRGGLMSIR >Dexi4B01G0023590.1:cds pep primary_assembly:Fonio_CM05836:4B:24871596:24874343:-1 gene:Dexi4B01G0023590 transcript:Dexi4B01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEQSFSLLGSYALPLWATITAGVFVVVSLSLSLYLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGGSGSDAPLLGQASEQRYVHHPFPMNYMLKPWPLGEWFYLVIKFGLVQYMIIKSICAILAVILESFGVYCEGEFKLSCGFQVFCTSSPVMTCNFHLTMGVASVVHLYVFPAKPYELMGDRFIGDVSVLGDYASVDCPLDPDEVKDSERPTKFRLPQPDDHVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHMISQNIKKHEKGKKKTNDDSCISSPTSFNRVISGIDDPLLNGSLSDNSGPKKARRHRRKSGYTSAESGGESSDHGLGGYEIRGHRWITRE >Dexi1A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:1A:3186895:3187192:-1 gene:Dexi1A01G0004330 transcript:Dexi1A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFSAEVEALSMAQHDNLVPLWGYCIQGDSRFLIYSYMENGSLDDWLHNRDDGASLFLDWPMRSHKAQARVSHTSMMSASLALCTVTSSPVTSC >Dexi5B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:5B:13274745:13281674:-1 gene:Dexi5B01G0015840 transcript:Dexi5B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAAAAASAAAAISAVMDWRSSPDARAAAFAYLESVKSGDVRALASTSFLLVRKDQPSEIRLHGFKMLQHLVRLRWEELSAAERNEFANLTLNLISDVVGPNEVWALKSQTAALVAEVVRREGVAVWNTLLPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPQILPLLYSLLEKHFVAALSEHSKQQMDLAKQHAGTVTAVLNAVNAYAEWAPVTDLAKSGLIHGCGSLLSYSDFRLHACEFFKVICQRKRPVDVAVAEYDAAICNIFQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSGDNSAAGNSASTGDLSAEKEKKGVSVFVTDEMYSTILDVSFKRMLKNTASPSSGLLEPWSDELEGKSDFCNYRTKLLDLIKVIASQRPMIAAASIVQRINVVFGDANQATKSPQDLDAMEGAQLGLEAVVGAIFDGSVDYGKIDLETKSQLQKIFEGLLQQLLSLKWTEPSLAVIHGHYLDALGPFLRHYPDAVASVVNKLFELLTSLPITFQDPSNNSRLARLQICSSFIRISRAADKALLPHMKVRLRRSAHN >Dexi1A01G0026770.1:cds pep primary_assembly:Fonio_CM05836:1A:32594374:32598096:-1 gene:Dexi1A01G0026770 transcript:Dexi1A01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNIESFPCVRLLNLSGEVGCSTWGYLGSRKFLQELDKGADSVNGINSLMMDQNSSASKKILDALQSASKSLGSDNVEVKQAASSNPGVPPSSLMSFVRKQTSILHR >Dexi7A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:7A:185111:187765:1 gene:Dexi7A01G0000100 transcript:Dexi7A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding THNFANILQVSFLLGLSSVLTAWIYSEFLGYRASSSREKLHSDASLGNETIKEDDKTVLLEGGQSKLPSRDKSAKANLIRFITLNESFLLENQGVLRAIKQEGYKNPEPSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESRTKVRLSIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITYCLYLPTQLHPAIEEFLFGSFCVSI >Dexi5B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:5B:21086412:21088004:-1 gene:Dexi5B01G0018700 transcript:Dexi5B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMDGNSLPSPSCPDARKRRVCYYYDRNIAGVDYGESHVMVPRRVDMAHALIRSYRLLPDMARLRTTPATAAEMAAFHDAGYVDLLRDITPEAFVSGDGEVARRARGFNVGEASMDGGGGRYIDNPPIAGLWDYCRSYAGGSLASARALAINWSGGMHHACRGCASGFCYVNDIVLAIKELLGSFSRVLYVDIDVHHGDGVETAFVDSNRVMTVSFHQRTGDFFPKDRGLVSHVGEGEGQYHAVNVPMSKGMDDDGYYFGLFKPVMEKVMAVFKPEAIVMQCGGDSLSGDRLGGLNLSVAGHARCVGFMRSFNTPLLLLGGVGYTVNHTAVAIGKEIEDEIPKHCYDSYYESQGHKLHYAVDKKLKNDNTDYYIKTISTKVMRNISRLEAAPSVQFEDPAGGSIIDTKKLFHTRLPREDNDPMVRLHRLGGELEVDGFLTELGKRQLELLEAAQDQENGCCHQTHGCQSEPVKKHRRPKKAYFKY >Dexi2A01G0032920.1:cds pep primary_assembly:Fonio_CM05836:2A:43231760:43236083:1 gene:Dexi2A01G0032920 transcript:Dexi2A01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGYNPRTVEEVFRDYKGRRAGIIRALTTDVEDFFQQCDPEKENLCLYGFPNENWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWKRLFGMINELPTTFEVVSGKSKIKAPPANNNHNSIKSKSNNKTKSSEPKVKQTKPPVPQVKAEDPAPVPAPAAEEDPAAVEEDGGGGGASEGEHGETLCGACGESYGPDEFWICCDICEKWFHGKCVKITAAKAEHIKQYKCPSCTGGGCVNSSKRARPS >Dexi1A01G0027930.1:cds pep primary_assembly:Fonio_CM05836:1A:33621768:33625333:-1 gene:Dexi1A01G0027930 transcript:Dexi1A01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSSMVEDDEEAVEEYVRGLQERSRYGTTCHSDYDAEVTEVEQQALLPSVKDPKLWMVKCAVGHERETAVCLMQKFIDRPDLQIKTVVALEHLKNFIYIEAEKEAHVIEACKGLRNIIASAKITLVPIREMADVLSVESKSVDLSRDSWVRMKLGVYKGDLAKVVDVDNVRQKVTVKLIPRIDLQVLANKLEGKEVVKKSFVTPQRFFSVDEAREMHIRVDRRRNRDSGEYFDVVGSSMFKDGFLYKTFSVKSISTQNIKPSFEELEKFKRPGDDLNEDVASLSTLFSDRKKGHFMKGDAVIVIKGDLKNLKGSVEKVEDGTVHIQPKLHGLPRTLAFSEKDLCKHFSPGDHVKVISGVQENTTGMVVKVEGHVLIILSDTTKEHIHVFADHVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRILMGVPDRPELVLVKLREVKCKIGRRTSAKDRSDNIVSTKDVVRVVEGACKGKQGPVEHIHRGILFIYDRHYLEHSGFICAKSQSCLLVGGSTGNHHGNAVDTADFHFRTFSYPARISQSTGRLPPRGAHMNSGGRFGGRGGGGRGHDAVENCGEAEGNWLLPDVLVNVSRGGDEVTDGVVKEVLPDGSCRVALGSLGDGDELIATANELEVVRPRRNEKLKIMNGAMRGFTGKLIGVDGSDGIVRVEGASDVKIVDMAILGKMVA >Dexi5B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:5B:244846:245589:1 gene:Dexi5B01G0000350 transcript:Dexi5B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRPASRRTADPSPAMDRDGRPGWLPSLGFAFLSFNCGMAVYRSWEDPWSVAFVVVAYVALIVLFRCLHLLERAGHRGAGQGPKLAVWGLSTLLTVMFSYKVAAIMPLWGQLLVWAMGIFTVVAGFYAFFLVRPQEP >Dexi5A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:5A:6362437:6362863:-1 gene:Dexi5A01G0008550 transcript:Dexi5A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHAAKLGTYASDGCCEYTPDDGQPAAMLCGACGCPHNLHRLAMVTRRWAVVLFVNTPAAAPAPPAAAAAPPRTPADSTEPPHHPTSSAACASMSMRSSSHSIAAGVEEDGAGERKRMEREVE >Dexi8A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:8A:1652944:1655050:1 gene:Dexi8A01G0002510 transcript:Dexi8A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAKPIRPSTVRQALAQAAAAHLKQICHSLHRCKAQNFTLPPAAYLTCFFVFFFLVSVAVVSSHLAMRAEVLVLAALVAAAALLSSLDSLSDVRRLELGDGDLELVPLDGAVGPETIIFGDGGEGPFTGVSDGRVLRWLPDERRWEEHSCSVPDLGSQDPGREHECGRPLGLKFNDKTGELYVADAYHGLRVVGPDDNVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFHRREFLNIVITGDKTGRLLKYDPKTNKVEVLVEGLAFANGLAMSTDGNYLLIAETTSGKILRYWIKTPKASTLEEVVQIPWFPDNIRLSPRGGFWVGLHAKRGKIAEWSITYPWLKRLILKVPMRYVQRASWFLNQLGRQR >Dexi3A01G0033220.1:cds pep primary_assembly:Fonio_CM05836:3A:37994769:37995660:-1 gene:Dexi3A01G0033220 transcript:Dexi3A01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSTGVVRERRVGMVLEPVTDLELLDHAGHAHLVLLREAVQVAQHALVHVAAAAAAAAEEEEES >DexiUA01G0006600.1:cds pep primary_assembly:Fonio_CM05836:UA:12695890:12700310:1 gene:DexiUA01G0006600 transcript:DexiUA01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPSRPPACGPPPPGVIVSKNGGAGGCAVRQQDRAAAREASSAASSGGWSGRGAAAREAWRRCRQRRDDASSAASAASSGGWADKGGTMKVYGMYHFDKMQKASTVAELTRNFSKNKNTLTFGVLYTIDAQTMVKARFNNNGSLAALLQLEVKPKTHLTVSGEFDMKALERPPKIGLALALIRCSNGTT >Dexi5B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:5B:22539255:22540845:-1 gene:Dexi5B01G0020310 transcript:Dexi5B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVACPRYDTLHHPRAFACASASASTSGSRGLLLRAPRSAAARPRPRWRLRRRSLAMAAHGGGHSAGADDSHAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLDTSTFS >Dexi5B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:5B:7181462:7182939:1 gene:Dexi5B01G0010250 transcript:Dexi5B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFFLEKKGLTNEEIDEAFRRVPDPNPNGADAAAAGSQKAHNHNQSAALQPYTEAQRQAATGSVTARPIAPHTKAQFSWVNTLLGAGLFLGLGASAAITLKKFFIPSLKSWTHRAVTEENENEKDELTCKLYEEIREAIKDSAAAYSDIARTNQEVLASKDEDRKVLMKLTEAFESQADVFKSLNETLNHIRENRFSQYNLLEEHVQPAPWNG >Dexi3A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:3A:15483874:15485793:1 gene:Dexi3A01G0019610 transcript:Dexi3A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAWIKGEAIDVISKSAIVGIFYFVGFGLFCVESLLSIAVIQQVYMYFRGSGKAAEMKREAARGALSSAF >Dexi8B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:8B:27105599:27108294:-1 gene:Dexi8B01G0016140 transcript:Dexi8B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLLLFLLLSLVGLNDSSPPPQPVSCTNDTSDCTVTNAYGAFPDSSICHAANVAYPSTEQELVAAVAAAVAAKRKVKASTRYSHSIPKLVCPGGNDGTIISTVRLNRTVRIDAEKRLMTVESGMVVADLIGVAGKAGWSLPHTPYWYGLTIGGVLATGAHGSSLWGKGGALHEYVVGLRIVTPAPPSQGFAMVRELNAGHPDLDAAKVSLGVLGVISQPLFKRSVTFVDRDESDLPAKVTVWGHLYEFGDIKWLPQERKVVYRKDDRVGVSSPGNGLRDSPIFRALPTSTINGTDGERCEAQRQLAATDEMEAYGFTNNGVNFTGYPVVGLQHRMQASGSCIHSPEDGLQTSCTWDPRIHGLFNYNSGFSVPLSMAAAFIADIALRKYGGVPHWGKNRNSAFEGAIAKYPGAAKFLEVKNRYDPDGIFSSEWSDQVLGIKGSPSIVMKRCAIDGLCICSDDSHCAPELGYFCRPGKVYKQARVCAFEGVTTSS >DexiUA01G0002810.1:cds pep primary_assembly:Fonio_CM05836:UA:6073771:6075067:-1 gene:DexiUA01G0002810 transcript:DexiUA01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVEIAAFRVRRKKMRWGGEEKTGGGYRVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRR >Dexi3B01G0032650.1:cds pep primary_assembly:Fonio_CM05836:3B:35076424:35077231:-1 gene:Dexi3B01G0032650 transcript:Dexi3B01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGTVSWVAAPPSVLGRCGGGGGSVNGRACGGGGGGGGGAGVRGAGVVRCCARAPEKRPPRVRKSKEERREMVESFINRLL >Dexi3B01G0033640.1:cds pep primary_assembly:Fonio_CM05836:3B:36433161:36437911:1 gene:Dexi3B01G0033640 transcript:Dexi3B01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLRNGDMIAVKKLKWTITGIQDKHYENEVRHLMSLKHRNIVRLVGYFSETEKELVQHNGKYVYAEKPERLLCLEYLPKGNLCNYISGIAIQYRVTICVVRRTAKGIEAAKETVAAAAKEASIDKDKAKKKKGKKPEAKLVAGEKSLCGPQENSSGTVDLSYKLASDVSHPAPVYFVQEPQLEYIPYPYPPQLFSDENPHACSVM >Dexi8B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:8B:19313835:19314149:-1 gene:Dexi8B01G0010750 transcript:Dexi8B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHRAALHLVVVDEARVGPCTTTTTPFGGSTTTTVVVVVVAMHVSTSTAMGLEVVGTSPPSSLKNDLLPCGVSTPIAASWWAVGGAAGGEVAAGRGGGRGGGG >Dexi5A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:5A:7194678:7195289:-1 gene:Dexi5A01G0009610 transcript:Dexi5A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNIAPQANPKPLLAAILCAAAIAASFLPGSSAATATSSSPPSQLMTQTCAKTSNEHLCIELLQSNNRSSAATTVHDLAVVAVTAARRWALRGRILWLDLSYQAKARSSSSSSVADRLVARCAALYDECVSAGAKVVGRVTFMPPAYDARVAHAVSDLRRFPERCQGIFDERNRVSPLEKVNTEAVEKLRVAEEIVRLLR >Dexi5B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:5B:7603311:7606289:-1 gene:Dexi5B01G0010760 transcript:Dexi5B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAAAGGGSCRAILLAPTTAAVLFLLLLCSCGTPAAMAAAAGGTGGEGEQYGGARYKDPRQPLNRRIDDLLGRMTLAEKIGQMSQIERENATADVVRGYFVGSVLSGGGSVPATNATAEEWVDMVNGMQQAAMSTRLGIPMLYGIDAVHGHGNVYKATVFPHNVGLGCTRDPRWGRCYESFSEDPKVVQQMTSIIPGLQGEIPANGRRGVPFVAGQRNVAACSKHYVGDGGTTKGINENNTVATFHDLLAIHMPPYYSAVIQGVSTVMVSFSSWNGVKMHANHFLVTDFLKNTLRFRGFVISDWRAFDKMTTPEHTDYINSIKLGILAGIDMVMIPYTYTEFIDDLTILVQNGTIPMTRIDDAVRRILRVKFTMGLFENPYGDPSLAGELGKPEHRELAREAVRKSVVLLKNGKAGEKPLLPLPKNAGRILVAGSHAHNLGYQCGGWTITWQGVSGNNLTVGTTILDGIRHAVDKNTELVYVESPNASFVEENNGRFDYAVVVVGEPPYAEGFGDSLNLAIMAPGPSVIGNVCGSVRCVVVLVSGRPVVVEPFVGDVDALVAAWLPGTEGEGVSDVLFGDYGFTGKLARTWFRSVEQLPMNVGDKHYDPLFPFGFGLETQPSMTA >Dexi3B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:3B:15524524:15525290:-1 gene:Dexi3B01G0020560 transcript:Dexi3B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGHWQTTPAVARTNVYGMNINGTSTFTAPWPAYLVPPFSQPNSSVGSNGAMRVPAPHLAAVYRPATPASSRTGSALPLPETQVASASNRGSLAPSHSVQAGAVVALRDLPPIKMDHDGAYDDIAILLSVGLTAPTEGAEATSATATPAMTMIDTRDSGASAFHPWCPPGFKSVDGSSSSRQAQELQGDSNQGEQGATPLLDLFKP >Dexi1A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:1A:23312001:23316007:1 gene:Dexi1A01G0016310 transcript:Dexi1A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAAGQESDMEARASPHRSVSISGGGGFGGARGDDSAFSFLSKGWREVRDSATADLRLMRARADSLRTLADRELEQLLASASTAVAPAPPPPLAAGAPIAELEFVRTRIQPKISELRRRYASQERDLGRRVLEGWAPRGAGGPARARVDLSGITAIRNAFVFEAPVGERWRSPAWMGDAEAEGGKEWEVVRMIRDGIKEFERRSQTSEIFEGLRSTGELVEKLKSSLRSFNMESQGSKEIPPLDLTEILANVVRQSEPFLDQLGVRRDQCERLVEALYRKQNHSLSEDTSLLANDNSSDDLDLRIASVLQSTGYHADDGFWSEPAKYEVTDNRRHVAVVTTASLPWMTGTAVNPLFRAAYLAKGSKQDVTLVVPWLCKSDQELVYPNSMTFNSPEEQETCIRNWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFIPSREADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGEKITADRESGEPSFSKGAYFLGKMVWAKGYRELIDLMAKHKNDLEGFKLDVYGSGEDSQEVQSTARKLDLSLNFFKGRDHADNSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYKSSDEFVARVKEAMNREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLNDEAVQPGQAGTRNKIRRSSQRQHNLSNIMDGGLAFAHQCLTGSEVLRLATGAIPGTRDYDKQHCMDMGLLPPQVQHPVYGW >Dexi4A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:4A:24679730:24680648:-1 gene:Dexi4A01G0020940 transcript:Dexi4A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFLSDDGAAAAAAAANASTNGSTASDGYGRNTTPRAAASFFRSGYRRLPRAAEPRKHGTPPSPAAAAGAGDGRVGEAEGDDEDGGGISARAAQRRERARTLESRSRARAPGRRNLCGLPSWRSSARGAAGRRAGERRRRLALAGGAVDGTGGEEWIGMGNGTGERER >DexiUA01G0017150.1:cds pep primary_assembly:Fonio_CM05836:UA:36295029:36299537:1 gene:DexiUA01G0017150 transcript:DexiUA01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRRKVLEASRRLPPLFSAASGGRAHAVSSLAASSARWAPPATAGSLAAAPWTATQRRGAKMLGSDVKLGNVIQRRGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKIVERFRTDEALERVFVEEKSFTYLYQEGDTVTLMEPETFEQIEVSKDLFGKAAAYLKDEMKVNLQYFDGRPMSATVPQRVTCTVVEAQPNTKGLTAQPQYKRVVLDNGLTVLAPPFIEAGEKIVISTADDSYMTRYIRGSLIIFLLYPKTQLKA >Dexi9B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:9B:961751:964614:-1 gene:Dexi9B01G0001630 transcript:Dexi9B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPQGRKAGDGKGGGGGLALASSDDGDNPSAAAELRALWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSRNHDLLTISLQRAMLLLFLAALPIALLWLNVGPILVALGQDPAISAPAAAYARFALPDLAAGVVLQPLRVYLRSQGITRPMAACSAIAVALHVPLSFGLVFGLGFGVRGVAAAQALTNTNMLLFLLAYIRWAGACDDTWRGWARPAAVASGLPGLVRLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTVPMALGACVSTRVGNELGAGKPRRARMAAMVALACALAVGVFHLAWTSALSRQWVELFTTEAAVVRLASAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVYLAFGGGGAGGVGFSGLWYGLLSAQATCVALVLAAVVWRTDWQVEAMRAKKLAGLELASSSSSPAAAASEAESKRLVAANGEPAEDV >Dexi1A01G0025850.1:cds pep primary_assembly:Fonio_CM05836:1A:31951380:31952038:-1 gene:Dexi1A01G0025850 transcript:Dexi1A01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAHQGEPVPPLLLPRRHPESSSASASIPEASSASETERTRWRTPMLSPRAGAPPPSCPRAHSTYALAQLHAALAKSGLSTGSATPATAAAAARACARLGHLRAGRAVHGASAKLALLPASALLPNALLHMYASCPGDHYHLQLARLLFDTMPARDAASYNILLTALAVGGHVDEALELFDEMPEPNF >Dexi4B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:4B:17603745:17606405:-1 gene:Dexi4B01G0015760 transcript:Dexi4B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKYSPKYFLYVLFILGSSLVTCLLHFRQPFFLHHSNGGTVVSLPFSRNVSFFFSTPSAVVADDWQSTRSSSSSSCDGRYVHMVDLPPQLRVCAEGSPAFTSEHSICQLMSNAGLGPVILPAGNSSDADADIVPNTGWYNTNQYALECLTDDPTAADAVYVPYYAAMEMQPHTCGPFNSTVRDGATGQLLRWLSSRPAWSAFGGRDHFMVASRTSWMFRRVAAAGDDDDTGCGNSFMLQPETRNMTMLTYETAIWEQPRRDFAVPCPSYFHPASAGEVATWQARVRATSRPWLFAFAGARRPNRTLPIRDRIFDACDAAIPRRSCGKLDCDGHGHDCRSPRKLMALFASSRFCLQPIGDSFMRRSSVDAVMAGCIPVFFHEASTFEKQYQWHERDPQQSEHSEQSNNGRRYYSDGEVAAMRKEVINMIPRFVYKDPRVRFAGDTRDAFDITIDEVIARIRMIKEEEDLGKKEKSDGVVVAALRPAQRHPTIMPLPDPRCPTVVPPSDPGSPWRTTF >Dexi5B01G0030380.1:cds pep primary_assembly:Fonio_CM05836:5B:31289385:31294448:-1 gene:Dexi5B01G0030380 transcript:Dexi5B01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGDGVQKEALDLVSSDVNFPKGHFPDYRIGPNNQIIDPEETHEVVPLKEIVAKETQQLLEQHKRLSVRDLKEKFEKGLSGATKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDAEEAISLVEALAVQLTQREGELIYEKAEVKKLANFLKQATEDARKVAEEERALALAEIEKARVSIEKVEKALQVHDSASSSREKEVLPYFTMDEIEELKKEVREARRIKMLHQPSKVMDMEFELKALRNLISEKTQLCKQLKKELAMIKRLEEDSSNLFELEGSDTLGSQLRIIPRVDGAPSIANCPIQWYRVISGGTRDLISGATKLTYAPEPFDVGRLLQAEIVLNADKIIVQTDGAIENAAGLERYVDSLMKRTDIEFNVVVTQMNGKDYSSSSVHVFHIGKLRIKLRKGWSTKARETYSTTMKVPSDPSMSVLSLSQAPATKAPDEVIMHVLAATRYIIRD >Dexi9B01G0045000.1:cds pep primary_assembly:Fonio_CM05836:9B:44654418:44655704:-1 gene:Dexi9B01G0045000 transcript:Dexi9B01G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGKCYLVSRSLPSSCEAETEWAYLAHEVLSGKRPAPEDVDVGDQDEADGGGKRSKPPSPQPHTPDITEGHGSSRHASGGGEQQGTGSNPINSIGRDLTINCLLRLSRSDYGSVASLSRDFRSLVRSGEIYRLRRQNGVAEHWVYFSCNVLEWDAYDPYRDRWIQVPKMPPDECFMCSDKESLAVGTELLVFGMARIVFRYSILTNSWTRADAMNSPRCLFGSTSVGEKAFVAGGTDYLGTILSSAEMYDSETHTWMPLPSMNRARKMCSGVFMDSKFYVIGGVANNNQVLTCGEEYDLKRRSWRIIENMSEGLNGVTGAPPLIAVVNNELYAVDYSEKDLKKYDKKNNKWNTLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWIPNDGPPVWNLIARRPSGNFVYNCAVMGC >Dexi9B01G0035460.1:cds pep primary_assembly:Fonio_CM05836:9B:37102776:37110985:1 gene:Dexi9B01G0035460 transcript:Dexi9B01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKRNKKKKGNQGKNAADVMSNAEEAAPQNHNHESAPRNHYKGSDADDAMSSVGEGVPQYQNHEQTLQSDHNGTDAHDTTSSTGEGTPSCQNNEPTLTQENHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHETIKLLEEEKSLWLQKVSTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDMLIKKEEVLGNKVKCIDDINDTLTHQEALLKERLSELEETNKTLAAQVKVLEEASNNTSEENRMLVTEVDELDSRLQALEARAALSETLITEKGNEPIAGGGLSSLVEITPDNSYGQINSIPSNAYASNHPEETLIQLPQIDTGNSIAQAHIDVNERRFDGSGTSEEIVPVPLDDIQIHEDDPRQPGADVEIDEVPFSDAPIIGAPFRLISFVARYVSGADLVNQK >Dexi5B01G0038610.1:cds pep primary_assembly:Fonio_CM05836:5B:37738387:37739166:1 gene:Dexi5B01G0038610 transcript:Dexi5B01G0038610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKLIGAFGSPFVLRAEVALRLKGVPYEIIQEDMENKSELLLQNNPIHKKVPVLLHGDRAVCESLVIVEYVDEAFHGPPLLPSDPIGRAAARFWAHFMDQKCLRALVLSFCTEGEVQEGFIRETKENLTLLEAQLDGKRFFGGDSIGYLDIALSGVSYWMGVFEEVYGVSLMGAGEYPALHRWVKEYTSNEAVKQCLPNRELLKGHIAAKKDKLKMVATAMLKQ >Dexi2B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:2B:2146945:2150094:1 gene:Dexi2B01G0002610 transcript:Dexi2B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQVAGGAGCVLPPLLARRRAFRPPRASSAAAAAAAGTAKEEDGKVALGGSGVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEQVEVAIATKFAALPWRFGRGSVISALKASLDRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPDNPPKGPRGRIYTPEFLTKLQPLINRIKEIGGNYGRTPTQVVLNWLVCQGNVVPIPGAKNAEQAKEFAGALGWSLTGEEVEELRSMAREVKPVIGFPVEKL >Dexi3B01G0028940.1:cds pep primary_assembly:Fonio_CM05836:3B:27575756:27577505:1 gene:Dexi3B01G0028940 transcript:Dexi3B01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFAVAPVLLAVLVASAWRAVAAAAESSSAADLIDRLPGQPVVDFPMYSGYVTVNELAGRALFYWLQEVPPEAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNEYRWNKAANILFLDSPAGRFPQYKYRDFYITGESYAGHYVPELSQLVYRNNIGIKKPIINFKGFMVVGNAVTNDHTDYKGMFESWWNHGLISDDTYQQLKATCLNDSLMHPSTACNNSQDVAAVEQGNIDMYSIYTPLCNQTSSSASGKGRPIQRRHRWMKGSYDPCTESHSLVYYNRPEVQRALHANVTGINYPWVTCSWP >Dexi5B01G0033520.1:cds pep primary_assembly:Fonio_CM05836:5B:33916887:33920210:-1 gene:Dexi5B01G0033520 transcript:Dexi5B01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQQEEVVYGYSEVTIMSSNAGPQLYYPPPPPPPPPRRHLSRFRILVRASIGVCVFMGALSLLTWLIYRPQTIQVAVSTATLSHFDLNTTATAIGDPPVLSYNLTAVLAISNPNRRVSIYYDRLEAAGLYQGERFGRAALPVSFQGTRRTDAAPAVLVGSSHVYVNADAFREDNSTGVFPLDLWVDGVVRYKFGDLMTTTASTLTVKCHLALKLMVASGWNLSRRLSLHIREIPVKALLVQRLTFPRRTPPLWALFRRGSFTRAFPQRQLSATEMAAYEKQQQPPMYYGAPVPPPPQPAYYPPPPPPAPRRGGPRSLLCFLFKVVAVIIIVLGAATLILWLIFRPDAPRAYADSAALSRFDLAGNSGGGGGNLLQYNLTVNIRLQNPNKFGIGYDYAEAQAFYDGDRFGFDPLQPFYLGAKSDARFTATFAGSAVVEDGDARRTYGRENGEGFYYVRVRVYSDLSFKVREQDYLRAAAAGATRRWQRERHGGDDYAGDELTVVAGCPDGAPPFDSTEAQHLMSAHASKPSARMKPGPGRLGPGKNARTRHFGVQFLLCGTLRSNWALPERPAVNGRTLKTPFLLGLAHFSTAYAMIHLRLRCPPAPHERCNGLKLHTQ >Dexi4A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:4A:5715522:5716363:1 gene:Dexi4A01G0007570 transcript:Dexi4A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAPPISAAAVALLAFLAAAAAKTTIEPCSGADACPALLGYTLYADMKVSEVAALFAADPAAVLAANALDFASPGAANRILPAGTPLRVPTRCACADGVRKSVAVRYATRPGDTLGNVADVVFAGLPSADQIRTANGLAAEDPDAPLNSGLRLVIPLPCVCFNSTDNNLPAVYLSYVVRVGDTVQSIAANHATTVTDISNVNAMGSPIVAPGDILAIPLPDVQVGQ >Dexi7B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:7B:12439015:12443008:-1 gene:Dexi7B01G0005180 transcript:Dexi7B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSLEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVDSFPRTHPSQVIEIPSDASLAETVEILSKNKILSAPIRNVEAPEDASWMDKYIGIVEFAGIAMWLLSQSDAAANGTAGSRIGSPVSNIVSRLGSLTFRRTSSGRVETTTDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVMHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRTITTKDFLTAVRHHLQDQHEASPLLHDVITCKKDDTIKDIILKLDSQKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSSV >Dexi5A01G0033050.1:cds pep primary_assembly:Fonio_CM05836:5A:35441353:35446362:-1 gene:Dexi5A01G0033050 transcript:Dexi5A01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAVAASREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFAALGWTAGIICLVIGAAVTFYSYNLISRVLEHHAQQGRRQLRFRDMATDILGPGWGKYYIGPIQFMVCFGAVIACILLAGQSMKAIYLLANPGGTIKLYVFVAIFGVFMIILSQLPSFHSLRHVNLISLVLCLAYSFCAVAGSIYLGHSDNAPPKDYSITGDAQNRVFGVFNAIAIIATSFGNGIIPEIQATVAAPVTGKMFRGLCLCYTVVVTTFFSVAISGYWAVGNQAQGTLLSNFMVNGVAVIPKWLLLITQLFTLLQLSAVGVVYLQPTNEVLEGFFSDAKRGQYAARNVVPRLVSRTVAVALATTVAAMVPFFGDMNSLIGAFGFLPLDFAVPAVFYNVTFKPSKKGFVFWLNTTIAVVFSALAVIASVAAVRQIALDAKTYKLFANV >Dexi3B01G0023210.1:cds pep primary_assembly:Fonio_CM05836:3B:17988688:17989404:1 gene:Dexi3B01G0023210 transcript:Dexi3B01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYYGVSGQSSSSSAAAAAWGAPPCSRPWTKAEDKVFEGALVTFPEHVPNRWALVASRLPGRTAQEAWDHYQALVTDVDLIERGVVEAPGTWDADDAAAAAAGGARSGAGRGRGGGSGGEERRRGVPWSEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAGTRGDTKRKSIHDITTP >Dexi8A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:8A:25305070:25312202:1 gene:Dexi8A01G0014760 transcript:Dexi8A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLWYLVTTLYARRCIATEIGNLKTRAQHVSERRTRYGVENLAMRLEGPVGVNIPRDRPAPLPQLIGTNAPVGIEDAMEELSPWFLDDIDDPRPRFLAIVGFGGLGKTTLAMALYREFGDKFAFRASVLASQKFHLPTVLRSLVKQLHKQQSAAYLILMDDIWSVSAWESIKDSFPNIGKGGRIVVTTRFKSVAEACRRQQAGYVYEHKPLIEENSSKLFRQVISSIGYEICLSKPIDDGIIMKTCGGLPLAIILVAGLVASKLESDKDQKLDPHLAEVSKNLSQELGNNLTTEGVTHILNHCYHHLPADLKTCLLYLSMFPKGCLISRKRLIRRWVAEGFIAEKHGKTVEEVAEDCFNELIGRNLVRAVNNSSNGKVKTCQIHDMVLEYIVSKSSDENFITIVGGHWQTPFPTYKVRRLSIHKSGRKEKDTIERMKLSHVRSLTALGSFKALHSTLLKFQILQVLDLEGCKDLSFNHLKEICKMHQLKFLSLRSTDIERIPKKIGRLECLEVLDIRETKVKKMPPSVERLQRMAHLLAGDKRSRVALKLSEGITKMTALQTLCGVRISTGLALAALENLTNLKKFTIYKLKSFTKRDDELLLSAIEHLSSCSLKFLAIDDDFTGFLDKSLNALQAPPEHLHTLGLSAPVLPPLSFMEGAMQGLQKIEMRFRMVEGVYGLENLSSIRQVVMTVSSQAPEDTKAKPARLGHRLQRAWWMRPGAPTDTSATILEYLRSGTSCNFEVKLVMDAFIERYSGMFNVGRKL >Dexi5B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:5B:8987959:8991236:-1 gene:Dexi5B01G0012630 transcript:Dexi5B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGTVVPMLEAIMSVVPVEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIRTGVDLGKVMAAGEFICKYLRRQSGSKAATALSKVTANASKL >Dexi5B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:5B:8348193:8351401:-1 gene:Dexi5B01G0011780 transcript:Dexi5B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVCYVIQVKILVFCLTMVTKLEVIDKVQEETSKSRSGNHRVLAVEEEGEMSVLNLEAEALDVAKMMEAEAVVAVEGVELVAKVVTKVGAVAVAEA >Dexi2B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:2B:27395250:27397779:-1 gene:Dexi2B01G0017020 transcript:Dexi2B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLGLAYPWGRDARRGTPVVVTMENPNYSVVEIDGPEAEALRAGVLPMDKGRGRSAKQFTWVLLLRAHRAAGCLASLAAVAWALPSAVARRFRRAAAEGPGHGRGWLLYRFIKGFLALSLLTLAVELAAYWKGWQFRRPNLTVPELHMPEVEDIQGLAHLAYLTWMSFRADYIRRPIEFLSKACILLFVVQSLDRLILCLGCFWIKLKKIKPRIEGDLFRDGSGYLHPMVLVQIPMCNEKEVYEQSISAACQLDWPRDKFLIQVLDDSSDESIQMLIKAEVSKWSHQGVNIVYRHRVLRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPNPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKNTMSVTKFNAMLSGLFKLGSSYEWIVTKKSGRSSELDLFAATEKDEKAAMFPQFQKQLSEKGELIEINVEKEQHEKAQHDAKKANKIYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLAVGLDLIGEQIS >Dexi5B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:5B:8812020:8814837:-1 gene:Dexi5B01G0012460 transcript:Dexi5B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALARRARGSAAVLWGAARGFASVGSDIVSAAPGVSLQQARSWDEGVATKFSTTSLKDIFYGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGVDSVICVAVNDPYVLNGWAEKLEAKDAIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDNGKIKSFNVEEAPSDFKVSSAEVILDQI >Dexi3B01G0023940.1:cds pep primary_assembly:Fonio_CM05836:3B:18691146:18693531:1 gene:Dexi3B01G0023940 transcript:Dexi3B01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTIGGGDDAFNTFFSETGAGKHVPLGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDDGDEGDEGDEY >Dexi5B01G0034140.1:cds pep primary_assembly:Fonio_CM05836:5B:34405132:34408578:-1 gene:Dexi5B01G0034140 transcript:Dexi5B01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPSSSSATAPPAVDSASLSAVAEAAPPEEITLVVKWCGQEQTVRMVGDDTLGELKLRICEATGVLPKRQTLLYPKIMLKSIDDSTLLSSIPFKPNGKINMIGTVEEEIFVGQEDDPELLDDFDFEQNEDTSIKDKDVYKQKLKRRASQYKIKLLNPCRKGKKLLVLDIDYTLFDHKSPAENPKELMRPYLHQFLAAAYSKYDIMIWSATSMKWVELKMEQLGVLGNPDYKITALMDHLAMITVQSENQSRKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFVMNPQNGLVIKPFRKAHSNRDNDHELVKLTHYLLSIGDLEDLSKLDHGKWESFIDDSAKRRKRS >Dexi4B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:4B:9965717:9968683:-1 gene:Dexi4B01G0012230 transcript:Dexi4B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLLATASAVYYAISSRDQFYPAMVYLSTSKICFVLLLNTGLVAMGVAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFMAFLLIVDCLFLSNSLRSLILKREASVSIFFSFEYMILATSTVSTFVKYVFYVSDMLMDGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFKIRIADYVRYRKITSNMNERFPEATAEELNASDATCIICREEMTSAKKLLCTGTPASEGAPGENTSRRQAKLEAAAAAASVYGRSFAFPPANALNGSIILISVLYLTIRSGPSQIKSSTLQSEASSSNQSQKDQELQFHNTSNVLAPLPFNAHGAIGSGTNTRDLENSLQKAQENFIKSQIEVRKNLDYKSTQLKSLYITSLCDQQAI >Dexi1A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:1A:27253590:27255264:-1 gene:Dexi1A01G0020450 transcript:Dexi1A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWCLSAPPAAPPVAPAPGVSASAYGGVAALGRAVVPVGRRRRWSALVVRAAPDEEKITRRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQPPPEEPQEDDPDKEEPEEDDPDKPTE >Dexi2B01G0036250.1:cds pep primary_assembly:Fonio_CM05836:2B:43116794:43117901:1 gene:Dexi2B01G0036250 transcript:Dexi2B01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGRELLDGHLLHLVVRPGLHLAAEAAGAGEVCVRHAGAGDGVVHRQRRLARDDDGVDDLPLRVVDGEDVEAGAADLVRVHHRVEEGPGPVRAPHHQRGAGGHVAPQVLHDARLLVGGHAHQRRQEDDVVGGEVTRDEGHVGGAERHARRQVGVGAHQAPRPLVGLAADVLSKDAAGRWRAASTRELSGSGPEPTKATRPGGPDVPEAASRPCSSSLSIMCSSGLSNPARSRPSASPHSRISRARISCSSGDSRSTSMELRGMHAVTSSVNRAFTSGDGLSDGSFEMDAARPAILCTSAASSVSSSSFSSGTT >Dexi7B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:7B:16229248:16232556:-1 gene:Dexi7B01G0008430 transcript:Dexi7B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPLSMKPPTLPFSPPKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLLGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEVLAAGINPVQVARGIEKTAAALVSELRLMSREIEDHEIAHVAAVSAGNDYAVGNMISDAFQRVGRKGMVRIENGKGTENSMDVVEGMQFERGYLSPYFVTDRANMTVEFTDCKILLVDKKITDASEIIRILDSAVKENYPLVIVAEDVEEAAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDIAVMTGGTVVRDDMGYSLEKAGKEVLGSASKVVIRKDSTLIVTDGSNHHEVQKRVALIKGQIENSKERYNKKILGERVARLCGGIAIIQVGAQTIIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSEKIDAIKESSMDNIEQKIGADIFKQALSYPTSLIANNAGMNGNFVVEKVLLSANTNYGYNAAKNCYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVVVEAKESKPVRIRPPMPPRNLIPPMPPSGIRV >Dexi4B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:4B:1625568:1630773:1 gene:Dexi4B01G0002550 transcript:Dexi4B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEETAGGEMGEMVAPAVSTVAVSISGSRSSRHALKWALDKFVPEGRVLFRILHVRPAITMVPTPSETSSPSLISTLPAKFLPIACCSLVVGNFIPISQVREDVASAYRKEAEWQASNMLLPFKKMCAQKKVEAEAVLLESDDVAAAISEEIGKFNICKLVIGSSSKNIFRRKLKGSKTATKISESIPSFCTAYVISKGKLSFVRSATSDIVETPRSISSSTVSSPSSRSLSSCAPSEMQFSSGSSGNSIYKSFHRDNLPDNSDQASVSEISEKVNHSNDQDDLRLQIERLRVKLQHLNKLHECAQNESFDTQKLRKLHTQHIEDEIKLKEIEMADDKIRRLYFASFMFCSSSSFMFQLEVLGKIRHPHLLMMLGACPERGCLVYEYMENGSLDDMLQRRNNTPTLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMGQYLSTMIKNTAPVGTFCYIDPEYQRTGVLSMKSDVYALGIVLLQLLTARSPMGLAHVVETALDDGCFVDILDAAAGQWPLNETQELAVLALKCSEMRRKDRPDLNQNVLPILERLKDVATKARESVLQGHTAPPSHFICPILQEVMIDPYVASDGYTYDRKAIELWLSTNNTSPMTNLRLPNKSLIPNHSLRSAIMDWRSKSK >Dexi6A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:6A:10894007:10894473:-1 gene:Dexi6A01G0009430 transcript:Dexi6A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSLFGNREARILVLGLDNAGKTTILSIGFNVETVQYNNIKFQVWDLGELLRSCYSLPPD >Dexi2B01G0028830.1:cds pep primary_assembly:Fonio_CM05836:2B:37385913:37387055:-1 gene:Dexi2B01G0028830 transcript:Dexi2B01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSHHPDSSSASSTPRAGAGNGNGGNNHLHPPPLPPAPAPTPAAPPAQAHGGGPLVRLMCSFGGRILPRPGDRQLRYVGGETRIVSFPRAAASFATLAAALAKVAPSLFAPGLPRPSLKYQLPQDDLDSLISISSDDDVDHLMEELDRIHDEAAGVARPPRLRVFLFAPAPDAAFGSVLSGTAGEAAAASTDQWFVNALNAPAPHPIERGRSEASSIISEVPDYLFGLDTASDEPSPGPTASRTKSDAAETPRQHGDEDDPLGPARQASYVAEGASSWPAPPPPYMAQPVYYFPVPPPVHYLDPSAQGGYMPRPVYHIVGGRGSEVPGGDLHAPGGVYGVPHPMQAFPPMMYAPPRAVMYTAEGMPLHPPEGGAHTS >DexiUA01G0027240.1:cds pep primary_assembly:Fonio_CM05836:UA:58353361:58354175:1 gene:DexiUA01G0027240 transcript:DexiUA01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQDKQQAKSTTSSIPSSSERSSSSGPNNLREGGAESDEEIRRVPEMGGASASASSGAGTDERRAKEDGAQGQLVASAGAQGQPPASGKKRGRTAGDKEQNRLKRLLRNRVSAQQARERKKAYLTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHASKRSSGGAGKGGEGGGGKKHHFTKS >Dexi5B01G0032950.1:cds pep primary_assembly:Fonio_CM05836:5B:33472388:33474663:1 gene:Dexi5B01G0032950 transcript:Dexi5B01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAQPDPEPPPPIHRLLELIKSEPEPATALSHLELLVTTRPAYPTPQPLIFHLLRRLATSSPSHLPRLLGILPRMRHRPRFSESVALVVLSAFSRALMPDAALAAFRDLPSLLGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRLAPNLQTYNIILRSLFARGDVDRAVSLFGSLRRRGAAPDRVTYSTLMSGLAKHNQLVSALDLLDEMPSCGVQADTVCYNALLSGCFKNGKFEKAMRVWGQLVRDPGASPNLATYKVMLDGLCKFGRFKEAGEVWSRMVANKHQPDTVTHGILIHGLCRSGDVDGAARVYSEMVKAGLVLDVAVYNSLIKGFCEAGKIGEAWKFWDSAGFSGVRDITTYNIMIKGMFDSGMVNEATELLAQLENDASCSPDKVTFGTVIHGLCENGFANKAFAILEDARTSGKELDVFSYSSMINRFCKDGRTDDAHKISDAVKIYTEMEGNGCSPTIITYNTLIHVNLDSLLKAIVIKE >Dexi2A01G0028510.1:cds pep primary_assembly:Fonio_CM05836:2A:39799970:39801242:-1 gene:Dexi2A01G0028510 transcript:Dexi2A01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKAFSKTGPTHMMAKAGAAGSSPTKTIEIDWDNEEHRRCITACLVKGTYVLESERANSSEADDDDDSDKPLAPAWWESFHFRLFRELKCACGCALCKICRHIVEAKSPRFIYGAIFEYAPPVGSRRHPSAPSYVVAFRGTMRRDATTLGDVCLDLGILLNKHHNCVRFTHAREEVGKFLDDTGSCAAVWLAGHSLGASIALDVGRAIVMGANREVNMPTFLFNPPQVSPTALISDKLPITKTVVHTSSYILKQGLGKVLKPHKKNMDHHFEQLSPWAPNLYVHQRDIICKGFIDYFEQRERVRERLPSVAASGTTLSYRDMCRSVLGGQNDRPHLLPSAILWKNESPDGDAHELRQWWQPQGPELVLSHKSYEWPASTNKA >Dexi1B01G0027160.1:cds pep primary_assembly:Fonio_CM05836:1B:31962030:31964604:1 gene:Dexi1B01G0027160 transcript:Dexi1B01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKERIRRSPPPPQAEAAPAHPRGGRKGRVAAALPLSVAALVACGVLLLLLAGGGSAARRGGRFLDADPGSLAGGDGRGDLHQARPRDGGHVTTVSSKDHRDKLIGGLLAPGFDERSCLSRYQSALYRKESSHLPSTYLLEKLREHEVLQKKCGPHKESYNKAIEQLKSGQNTEVGDCNYLVWVSYSGLGNRILTISSAFLYAILTNRVLLVDGDKGTADLFCEPFPETSWLLPVDFPINQFKNFSIGSHESYGNMVKTEDIRSDGSFKDPKPTFIYLHLAHDYGDYDKLFFCEHSQQHLQRIPWLILRSDNYFVPSLFLIPAYQEELMRLFPQKDAVFHHLGRYLFHPTNVVWGLITRYYDSYLARADERLGIQIRVFDSETGPFQHVLDQVLSCALKENLLPDVNAQQPIVSTKDVRLKAVLITSLNSGYYERIRNMYWEHPATDGEIISLHQPSHEEHQDSDKNMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKTWLMFKPENCTVPNPPCRQVTSMEPCFHAPPFYDCKARRGTDTGKLVPHVRHCEDMSWGLKLVGTDEW >Dexi9B01G0024560.1:cds pep primary_assembly:Fonio_CM05836:9B:20956597:20968007:1 gene:Dexi9B01G0024560 transcript:Dexi9B01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLAAVCLCLTTFLPGLPAPITSLLSVSHPPFKPEVLAAAPGRAAEATAFALAACSSAGSFLAWSAVAKKGGRGEPISRKPRVPKWKIEKHKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPASIALPLRGSDSGTLLHITAQLLTTKTGFREFEQQRETGARSSQQLLNQRSHDPAEVAAASSDMGTDKVNARIKLKETSLGFPLVEDSAGSTEDYENSSHTSDGIFTEKNDPCGAHEISRFRSPGDLPLCPTSQSPTPEKGAPWGKQLSSPGSNDWTHGWSPEYYADKDLTAAHDENSRLTTRLEVAESAFSQLKTETTSLEHVTDKLGTETKGLAQQLAVELMSRNQLTTEVSLLRTECSNLKEELEEIKSSKLLQKKSDAEGNTMGKHGNDILAADPVHQLQTEWLQGLLLLESKLQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVLPGQMKENHYAEHLVPLPNTAHLSNSGHHDTLKKSSGGRTGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEESQKQTAIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALESQNKELERRAAATETALKRVRFNYSAAVERLQKDLELLSFQVREAVVQLNMLRNDFEKLQLKNNDADELLRVHMSTQAELTDRNSALQAAIHSLEINLCSVVQEKKGLEEIMKGHEEAFTKVSNNSHDAAVDNSEKIFEDQNEVSLRVLLTDLEEQIDNVKSTKDENEILNIILRSKLEEQHTMMSSLLQNQRQELTNLIEENKDLTQKLAEQSLKAEEFKNLSIHLRELKEKAEVGRKEKEGSLHAMQDSLRIAFIKEQYESKVQELKGQVFVNKKYAEEMLLKLQSALDDVETGKKNEIALAKKIEELSMKISEMEVEMQDLSADKRELSNAYDSMMTELECTKLNLDCCNEEKQKIELSFDECNEERNRKRVELDLVKKLLENMALTDNNTSCNSYGSGTPGTTSIGNILGDAKAESASRATPNKIEMDPELQEHEIQSRSLTSNLSRADDAGKLGEDEVSKNLELERLKNENMSHLLPLDVNLIDPSLSGLERTLSQLDMANEHLQSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHSDEAAVFQSFRDINELIQDTIELKRRQVAVETELKEMQGRYSELSVQFAEVEGERQKLEMNLKYRSPWNS >Dexi5B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:5B:8847177:8850299:-1 gene:Dexi5B01G0012500 transcript:Dexi5B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAAAPSPLEAIPLASRPAAAAPRKRPVLLLDTRPHPASPTPPLHSSTAAGAGAAAAAAASAASGPAQARRKKSSHTPKPRWQTVLSVAAKNAALLAALLYLGDLAWRWSHPPPPSPPPRDGDALKAYAARVAELEASLDRALRMMQVQLEAIDRKIDGEAYAARVAELEASLDRALRMMQVQLEAIDRKIDGEVGAARADLAALLEEKRLTLEEGLRRLDARAGELGDALAALERMEFLRKEEFEKFWEEFKDSLASGSGSKVDLDQVRALAREIVMKEIEKHAADGIGRVDYAVASGGGRVVRNSEPYVPKRGGFLAGWLGGGNPDPQKMIQPSFGEPGQCFAVQGSSGFVEIKLKAGIIPEAVTLEHVSKDVAYDRSTAPKDCRVYGWYDETPGESQSGHASKMAALAEFTYDLDKNNVQTFDVTTPDLGMINMIRFDFTSNHGSSLLTCIYRLRVHGHEPVSPGTSGFQA >Dexi3A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:3A:14687083:14694235:-1 gene:Dexi3A01G0018830 transcript:Dexi3A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDAAGSPRSTSNRTRGDAAVMPTSSPFAADGGGAGSPTRVERMLREREHSRRHILASDANAMDTDAASLFAGSFAADGVQSPGRASPANMEDAGGAATGHAARPPLAGSRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDSNMKVGWFLHTPFPSSEIYRTLPSRLELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRALELPAVKRHISELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWNDKVVLLQIAVPTRTDVPECMNPFYVPPPYLLIQSKAYKPNVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLKALCEDEHTTVIVLSGSDRSVLDENFGEFKMWLAAEHGMFLRPTHGDWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSENMVTPIDYVLCVGHFLGKDEDIYVFFDPEYPSESKVKPEGGSTSLDRRPNGRPSNGRSNSRSSQPRTQKPQQAVAERSSSSSHSSASSDHNWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMATATADFQSAGADYMFLDRQ >Dexi9A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:9A:14389127:14392214:1 gene:Dexi9A01G0019470 transcript:Dexi9A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAVTSPGEPGVHLPHAASHRRRLATVVLVLPLLLFLAAEIAFSSSSTRLNHLLLLAPRDDAARSSPSASSSPPPPPPPDSPPRLEEDEQPQLRSGVQRVAVCLVGGARRFELTGPSIARHVLGALPAGATDVFLHSPLDADAYKLAVLARAAPPGAALAAVRVFRQEHIAVTPAHARALTRMNSPKGIQVGLVDLFSPQPSIASHSSQPAVATRAMKAVTSLGEPGLHPAVASRRHSRRRSLASVLAALLLFLAAEFSFSFSSSSSFSPPRRRDRQQQQRVAVCLVGGARRFELTGPSIARHVVAPLLATGAATDVFLNSPLDADAHRLSVLASAAPPGAHLAAVRVFRPERIAVTPARARALTAEHSPKGVQGLLQYFKLVEGCLDLIRERETRGNFTYAWVLRTRVDGFWSAPLDPNDAFHPAAYVVPEGSRFGGLNDRLGAGARAASEAALARLSSLPRLAAAGYRDLNSESAFRAQLRLAAVPAREHRFPFCVLSDRTYSFPPWGRSAVPVASLGSSRGPLSGAKCRPCRRPACRGGCVARYVGRLHRWWSWTEWRDGAVELCDASGPWERGWEAVFDEVAGEEAAKVRRRVARMGVEECVAEVEALRARAERWDAPGADEICRLRFGVRSPPAVSRRTGNSSADGDTNVVGTEN >Dexi6A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:6A:28468288:28470198:-1 gene:Dexi6A01G0021130 transcript:Dexi6A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMSLRSLALAVADAALPPAHKLLPAAFLSSSSTRAAPLLHLRATRRLPLAPFVASSDAVEAGVEWADEEEEAAAEAFDEEVGEAEEEEVLASGDDEEGEYAAVEPPEEAKVYIGNLPYDIDSEGLAQLFDQAGVVEVAEVIYNRETGQSRGFGFVTMSTVEEADKAIEMFNRYDISGRLLNVNRASPRGTRMERPPRQFSSAFRAYVGNLPWQADDSRLVQLFSEHGEVVNATVVYDRETGRSRGFGFVTMASKEELDDAISALDGQEMDGRPLRVNVAAERPQRGF >Dexi4A01G0022220.1:cds pep primary_assembly:Fonio_CM05836:4A:25491722:25505764:1 gene:Dexi4A01G0022220 transcript:Dexi4A01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTGTVPPTLTQDGCCRAPVPDAALCLMRARAGLRRREHAVLLVAGVHRVPVPVLLPVWDCRDAGERGAAAAAAVRPRVNIQIRAHTRDSRVRVRVCPWSKIRGYPHPRVKLPSLARIGGRQDHGDQSSNRRIIAIHGRTSWKPKPKRKRRLSPFSRRRRRANGGTIWSDPRRIYLAPRVTVTRARERLGGLTEPHASVSLAPHVAALTGCTGARVVVVDSPVGARDTLYASARARVKRRPPPASSMMQQLLPGCSTRFGRFHSKGMIAARCNLHPPVMDGRCELGPPGAEWRGRGGGMMEPSYLPVGTYVRATSIRLMEFLQTKDAYTAADEDLSAQKKKKAADEDRWRCRAGHQHSSQPRHDLIGRTVNGGGRGADGYSLPRPVYEFEERAGLGRRRGSDGMRPRSSKSGTTGRPPSSPRSSDPSRPSTGRSSASLASDKPIPSFLRPTVSSSLHSSSSSSSLASPSSSSSSSFKGAAAAATARRSADKAPAAQPLSAPRPITPKEKTKAPPPSALSSSTSSRWSSVSPRHLMQKASNALKATSKSRSKKGKEAPASSSASASGKGGATGASSRAKGETTARAQPETPAEPSPAVTPVDTEEPVLLEPGASQHVATSQEVVSTDIETVEVRDHQEEHGGAERPEVEAEEEDVDVEKIILEEPGSAKMSVPEPQQLPEEKPQSSAVAETETETETLKNAEDDSPADVVEETTVNESATPERQEPGTSAVEEKVVEETKAKERQQGDALKPEEISETSVISEERPNEEGNVISEERLAEGSNVISEERPKEETSCVISEEPKEETSVISEEQKEADPVHEEVADEAKMAAESSASAPATPLKETAVHDDEAMLKQVSASEPVTPVAETMSKGKAVIETQQSVSAPVTPVTSADKKKGPSKLQATIPEESAMAFTGSKVKTAMEKRSEEEQPKKKEVARSNDVIEEAKSKLLEKRKSKVKALVGAFETVMDSPRAS >Dexi9B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:9B:13699712:13704916:-1 gene:Dexi9B01G0019110 transcript:Dexi9B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRESTAAELEDTAVKTAAAAAPVLSPLSETLWRDRASAGALLGDVSARLAWRDLTVTVALGTGDTQAVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLSGTILLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPREEKQALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTTFFVTQTLRGLARDGRTVIASVHQPSSEVFTLFDCLYLLSGGKTVYFGKASEACEFFAQAGFPCPAMRNPSDHFLRCINSDFDKVKATLKGSMKTRFERSDDPLEKITTSEAMRRLISYYQHSQYYINAQQKVDEMARLKGTVLNTGGSQASFAMQAFTLTKRSFTNMSRDFGYYWLRLIIYIVVTLCIGTIYLNVGTGYSSILARGACASFIFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTLSAMPFLILITFVSGTLCYFMVRLHPGFMHYLFFVLALYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFNNQDDELPKIPGEYILENVFQIDVNRSKWLDLAVLFSMIIIYRLLFFIMIKISEDVTPWVRGYIARRRVQKRRQRKVELASRSPSLRGYVVDVASLPDDQP >Dexi1A01G0031050.1:cds pep primary_assembly:Fonio_CM05836:1A:36211636:36212842:1 gene:Dexi1A01G0031050 transcript:Dexi1A01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCFLEEELMAGRSWKLGEFCNVDGQDAEVSISLKETSATLKLGLVAQGIEIKPKKQNGHWQEKKQKQNRWRRRRRTARSRLPEDLLVASITRASPRDACRATVVSPAFRAAADSNAVWDRFLPRDLPPLADGELSPAPPSKKALFMRLSDRPVLLADGLTSMWLDRETGAKCYMLSARALCIIWGESPEYWRWIPLTDSRFALQL >Dexi9B01G0048970.1:cds pep primary_assembly:Fonio_CM05836:9B:47808896:47810083:1 gene:Dexi9B01G0048970 transcript:Dexi9B01G0048970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPDLWKKKAVHKTTSTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFQNPKGPDNLDNLRRLAEQFQKQVPGAEAGVGIAAQDDDDVPELVPGETFEEAAEEKESEPEEKKES >Dexi7B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:7B:13327771:13330079:1 gene:Dexi7B01G0005800 transcript:Dexi7B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASLLLPAAPFFSISDVRRLQFLPRGRRRTLPCWSIADLGSVRAPTVSSFVGSRRSSRRSVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGIDEVPPSTNYKPPTTSNNQQPAADPNVKPETAPYTSEELMKVTEEQIAASAAAAWNTQPAPTQQQEAAPATESSDAATSGGNDGAAGAAPVAAASGGNDGAAGAAPVAAATEPDASKAKQSEKAGTER >Dexi5A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:5A:8507840:8508673:-1 gene:Dexi5A01G0011360 transcript:Dexi5A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNVRGAPLGRRNGRGPASAGAVASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRMCT >Dexi7A01G0022980.1:cds pep primary_assembly:Fonio_CM05836:7A:31122301:31122837:-1 gene:Dexi7A01G0022980 transcript:Dexi7A01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEASSSGGGGGGGEEYGAWTREQEKAFENAVATLAAEEGEEVDEDARWEKIAEAVEGKTADEVRRHYELLVEDIEGIEAGRVPLPTYAADGGAEEGGGGGKKGGGGGGAHGDKASAKSAEQERRKGIAWTEDEHRRKLLGC >Dexi2B01G0030800.1:cds pep primary_assembly:Fonio_CM05836:2B:39050064:39052859:-1 gene:Dexi2B01G0030800 transcript:Dexi2B01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATSNSSAGSDSTMSFLEQLAEVFGKLKSHTEASLQLQNGMDWADIKEYFLNVDKSYRSKFDELVEKQKALEEKKTEARRLIAEKEANVSAKERASLNQLQELRDAAVSSLAEVRQKYKVDLAEILDANGSKDKKVSTSINGNTASRASEENTPASGSGEPSETSPVEVKPCPVLKELCEQMDTKGLLKFVSENIKKLASFRDELSVALRCATDPARFVLDSLEGFYPPDQTNSPGNKQNALQVQRRSCIVLMEAIAPALGTKEPGGNDPWSSEIKEQAKAIAEEWKNKLAEIDLDASNGYSLEAQAFLQLLTTFNVDSVLDEDELCKIVVAVSRRKQTAVSCRSLGLNEKIPGIIEELVNRHRQIDAVHFIQAFGLSETFPPVPLLKTYVDELKDSFDNNGDATATFSKPKTEKRPSSDAGRGYSKKPRGPGTSFPRRPVGPVSSAARRPPFPASNWQRAPVPMPSRAPAPMAPLPDRFGAADRYHYTPPATAYEAGAFPSYGESFSVPKPFQYTPGSVAAAYNSSAYKVAYGGPGALPGASGYPGYSGASGPSAPSSYTNYLGSGYRPNQQP >Dexi3A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:3A:13183548:13184830:-1 gene:Dexi3A01G0017280 transcript:Dexi3A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYYSAVEPRRMAADANAAAAADDDTLLSLSLGVGDIYRNNAPAAARAKNDGPRPPLVSTPTPPAPMDAPPSPPVASFYTPLCLDALPMVGGGTSAFTPVLITSNAPTTPLRSHHKASTDDDAIIGFVPTIPTTLTATRPDAAAAATPPAPRKRSRSGRRSSAATATVIRHVASPPQAKIVLIGDEETVDVEGGLHVAPPYEWSTERVGVHHSLAELSNRGISTITGELKCKRCDNLVAMSLDLDSKFRDLCGYISRNVHDMDDRAPARWKEPALPDCAKCGQRGSMRPVIPADKHRINWVFLLLTEMLGMCTLEQLKHFCAHTRQHRTGAKDRVLYSTYMELCNQLMPDGVFDMASERQKRARPNED >Dexi9A01G0035090.1:cds pep primary_assembly:Fonio_CM05836:9A:39767327:39770548:1 gene:Dexi9A01G0035090 transcript:Dexi9A01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRAEGEDQKAKGGVPLAWALPRGRTPALTRRPPRLTARPAPGDVTARAARAVVSNCARTPRLKRRAHFSHETTKFSLVGAFKAPTLEGKASKLEGSRKPLRGTEVSKASLPRVEQRSRLPPRSEEAIVLLIRGSNLGPSEGFNKGPRSTESGIIDGTLEARTLEETNRLCSRPGKHRALDLYRMRSSPPTLIERGMDVCSNHPLSAQQASMARSRRGKHGSPPPQTPRTRSMRRDQGPQAKWTNPHLRKQRMLFTSSLGGYTRGCASAPPRILRTREGTKASKASTSTNLRRIESHSRGGSGATAGPAKAHHRDNSSALIQLEVDDHLRAGISVSEISTLERAFPSQRARACGPSSGRPRREQRPRLCDPSIQIMMTLLCGQKVGDHCATPPSTPRKRHRPNLGSSTVPTTPLTSLASGTPPSSKATLEGSYGGSIWTPYATVTRRKDITSATAMSSAGVGKRDSRSHSARHGRTELISFSHLACNPLPRATRNRCSAPLLDDKSPVSSLAIRETSGLAPQSLVVLAQQHDIFFLFRGRRQNDKNISPMWPCLERDDVAARKEKGRLVL >Dexi9B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:9B:13023457:13024511:1 gene:Dexi9B01G0018350 transcript:Dexi9B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKENKMTIIGEMDAVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Dexi5B01G0031540.1:cds pep primary_assembly:Fonio_CM05836:5B:32229447:32232303:1 gene:Dexi5B01G0031540 transcript:Dexi5B01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLTALKPSTPAIRLNPIGVSHGRRRRPLFASSAPPPPPPPPPSSASRPPKPTPSPEPPKPTNEARGQNPDDPTAAAFPTTKPRKPRRGRRGEAAAVEDFVRGRLEQVFASIRQRDPEVLEGKGDILKRKEEEPAPDEEEGEGVGEEEQKPVVEEEDPSWPLDADIGWGIRASEYFDKHSIRNVTVDGVEIDWEKEVDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWRFLQELEKAAKVYWNAKDRLPPRTVKVDINIETDLAYALQVRECPQLLFLRGNKILYREKEIRTADELVQMIAHFYYNAKRPPCVNPEAVASL >Dexi9B01G0030260.1:cds pep primary_assembly:Fonio_CM05836:9B:32770311:32772099:1 gene:Dexi9B01G0030260 transcript:Dexi9B01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGFTDARPPDKYVCAAASAASAVARGWDGCEMKWGDARAGVPQTFWPPPPPSTLAPPVALSDLAVRTVLRLEHKNEPDQTDVATALLATSTRSPTPRRGNDL >Dexi4A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:4A:17638205:17640906:1 gene:Dexi4A01G0015060 transcript:Dexi4A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGAAGGLGGTRVPTWRERENNRRRERRRRAIAAKIFAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPTAAERREGIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNFIGGVEGSSLIPWLKNLSSSSSIASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRIKTDWENPSVQPPWAGSNYASLPNSQPPSPGHQVAPDPAWLAGFQISSAGPSSPTYSLVAPNPFGIFKETIASTSRMCTPGQSGTCSPVMGGMPIHHDVQMVDGAPDDFAFGSSSNGNNASPGLVKAWEGERIHEECASDEHELELTLGSSKTRADPS >Dexi4A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:4A:1438496:1438843:1 gene:Dexi4A01G0002120 transcript:Dexi4A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILGLSLVGAGPGNVLGPGMSTGVLESFARGGGRAETKAAGGNSSRGAAGGTGSSAAPVSAGGKKSAAERRSEPEAEGVGGQKGRGGEDANARARLRPALDGTMFWFEAVAPH >Dexi9A01G0026160.1:cds pep primary_assembly:Fonio_CM05836:9A:27706638:27712326:1 gene:Dexi9A01G0026160 transcript:Dexi9A01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVMGKLGVLIGPDVSLLWKFKDDLESIRSTLLTLQAVLNDAEKRSSREERVRLWLKRLKFAAYDIHDILEEMESKNDMQDTVRGIALQKVSQFRAHIPIARKMKKVRQRATFSSISEDIVGRAMEKETIVAMLMAYSEEEILTISIYGFGGLGKTTLARLAFNDENVRRVFDYQVWVYVSMKFDLKKIGESILSEIDGGNCGHANLQEVSRHIQRVLASKKFLVVLDDLWEENGFQLLKLKEMLSGGAKGSKIIVTTRSEKIASLMRPCTPYKLDVLSDDDCWILFKRRAFVPGMDDPRIEGIGRDIVKKCNVFSKGVVIDKDMLIQQWIALGFIQPASGSLTLEKRGEEYIHELVSMSFLQASMISSLTLTNLTNLEHLNLACCISLEMMPGYYGCLKKLKRLNTLRLSTNYWYRDEGANIVPGGMHQVYRAKERYTPHMSNCSS >Dexi2A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:2A:9486658:9487429:-1 gene:Dexi2A01G0009250 transcript:Dexi2A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGICGGRSVAALGAGAAARLAGAARGDVNAERTVDVAADDDADDDVPMESILATIAGMVGDAAVGGNDKVEAGVRAVAADAGATTGAVAGVSTGVTAGGCAAVAAADVVVAEEEDGMRRLGAPMVAAIGDGLLQGLDVVDWWQIWIKGRNWVRFVVCGYVQGKTPGSEYQMLWNC >Dexi8A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:8A:9308013:9308742:1 gene:Dexi8A01G0008040 transcript:Dexi8A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQEQHTCAGRQAQAMLDAQLDLWHNTFAFIKSMAFKCALELRIADAIHNHGGTATLTQIASQAKIHPSKIPCLCRLMRVLTVTGIFSIDKNPTEEGDRVYGLTPASRLLAGPQNLAPTLKLILHSTFVSPFLGLGTWLEHKLPDVALFEMAHGKGVWDVITHDATISQLFNAAMVADSRFLMDIAIKECGYVFQGISSLIDVAGGHGAAAQAIAKAFPHIDCSVLDLEHD >Dexi6B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:6B:21815950:21816393:1 gene:Dexi6B01G0014240 transcript:Dexi6B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPPPPYASHPPIVAVDFAKSTLLSSFTNLSECVCLRFPAAALQPAAANATEHIVSSTSPPSSVAGVRVPSRPLPPGTCPPHGEKLHVALRRLLELL >Dexi3A01G0034300.1:cds pep primary_assembly:Fonio_CM05836:3A:39503397:39503789:-1 gene:Dexi3A01G0034300 transcript:Dexi3A01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATSHLLFILLLVVGVAAAGAHDDAAVRRTLEEFAGFPASDDGEGPSAAFRVDAEGLQRQIDELASFSDSPAPSVTRVLYSDKDVQARR >Dexi8B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:8B:7910793:7912224:1 gene:Dexi8B01G0006770 transcript:Dexi8B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAASDPAPAMETSRGRVAPPMPWRTRLAVMAAGYLTDATRRADGTINRRLLGVLDKPVPASPTPRNGVASRDLTIGGDDPSVPLPLRARLFHPSSPASGEPLPVVVFFHGGGFAYLSASSQSYDAACRRIARYATAASYDAACRRIARYATAAVLSVDYRRSPEHKFPAPYDDGLAALRFLDAHPDHLAAAGDDQHNSIDVSRCYLAGDSAGGNIAHHVASRYASNPSAFARVRLRGLIAIQPFFGGEERTPSELRLAGAPIVSVPRTDWMWRAFLPPGADRAHEACSPEAAVAGLGGRELFPPVTVVVGGYDPLQDWQRRYCDALRREGKEVRVLEYPDAIHAFYVFPEFGDAKDLMLRIKEIVAGGGGE >Dexi3A01G0023210.1:cds pep primary_assembly:Fonio_CM05836:3A:18815144:18815734:-1 gene:Dexi3A01G0023210 transcript:Dexi3A01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYSNLASSSPPTTAANVHGARSSARPSLELTNTKETKPWEGLAIGAVTLARTFSTGSHRFCRSGSERSTRRSRIRSRSGLLPGALRRAFSMRRFHPAGSGGAGDGYWRIHDMDGDDDSDRGDDTVEERGEEEEEAAGEGEVAVERKKAEQRGDDATSVAAAEKEGGDAEGRTTTTKKKKKRGGILKACKKLFRL >Dexi3A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:3A:8729704:8730192:-1 gene:Dexi3A01G0012080 transcript:Dexi3A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEASVKEDNGNEFSLEKYLIEMTELAAAQKKARYVREMSGKKAAVKEVANSEVMTEEDMKEEAAMKARFEEWMKEHGRRYKNKEEKAQRYELFKDFAKMVDKANAQGGGAVFVTNHTADWTEEECQCLYDADVDWDDYLDHIQSLIDKKNAKAKKAISE >Dexi9B01G0032850.1:cds pep primary_assembly:Fonio_CM05836:9B:35010016:35012040:1 gene:Dexi9B01G0032850 transcript:Dexi9B01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRAALRLHAPTTYAAAASSSCCLRRLSTHRRAPPPPRSAATGDDEWNDAWETAWLPGDSPTSSPAPAAPWESPASASASSAVPAISDEVDPDTKAFVADMDERWAERRAASRRAPSQRASRAAEGGEGGAAAKKKAQADEYRTRKQRVHAALWVKEIEKMEEARLGGGGVGADDIDRLLDSCSDIFDSGNADFDDSKIPSTTEIKTKPDGWETTSRGQDGNIWDISQREEDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPYREDTPVIASSSSFRGNRPQ >Dexi3B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:3B:9719825:9725068:1 gene:Dexi3B01G0013600 transcript:Dexi3B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLVGRKFSDPELQRDLASFPFRVSEGPDGYPLVHARYLGEEQTFTPTQLLAMVLSNLKGIAEGNLKAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVSIVGYKKGQLKMLSHTYDQSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPVLERVKGPLEKALAEAGLMTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDVFPFSIALSWKPDAQNNETQQTVVFPKGNAIPSVKALTFYRANTFTIDVVKVDANDGQIEQKISTYTIGPFQSRNGEKAKLKLKVRLNIHGIVSVESATMLEEEEVEVPVSATNEAQKEATKMDTDDAHPASGTDVNMEEPKGATDAAEGSENGAPTSEEKSVPMDTDAKAETSKKKVKKTNVPVSEVVHGALGADELNKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTPEEKEGLIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWETRDSSVNQLVYCINSFREAALSNDQKFEHIDISEKQKVINECSEAEAWLLEKKQQQDSLPKHANPVLLSSDLKKKAETLDRFCKPIMTKPKPAPKPQTPPPTETPARETQTPEQQQSNGENSAGEPTGENAAEEPAAEQMETDKPEGAADASA >Dexi1B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:1B:4066606:4066860:1 gene:Dexi1B01G0004990 transcript:Dexi1B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEDYEDEEEEDVAA >Dexi3B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:3B:4183248:4183759:-1 gene:Dexi3B01G0006060 transcript:Dexi3B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQPSPRPPVHLAGAARDAGLRLATALSREEVLRRRRRCLLQLCSLYRAQFWALADELPARHGQYWWDHGASPVLDDELPPSLTVLKGNGAGAGPLGNGCRGALAPPAAAAGGRASCAASNCDAKAMPLSQYCFRHILLDPKQQLYEPCAFPTRKRI >Dexi4A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:4A:20596166:20596997:-1 gene:Dexi4A01G0016860 transcript:Dexi4A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCHTPGESRGKKRSNELAQEKVVGMEDLVGNFMVFQHLDYVIGDCEPEGFFSEIQASNSPSGGSDAFTMGKCFSDANFSDTGIP >DexiUA01G0005240.1:cds pep primary_assembly:Fonio_CM05836:UA:9407903:9408652:1 gene:DexiUA01G0005240 transcript:DexiUA01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYYYHKHPPAQQGLLPRDGHRHPPLHRGHEHTQPQEHSGHDGAAGPPRHEPRQQEDDGFPSQHDGWHLQQEGLRPPQHDERHRPRAPRQPAQPQHEHEGQEHGLQQPLQEGAFGQLQLGVQHGVSRQEQLKQLGALGFGKQWQQQHRHEKHVHSFDLHSIIRFL >Dexi6B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:6B:7303366:7306519:-1 gene:Dexi6B01G0006590 transcript:Dexi6B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRAVAAALLLVAVLCGGASIRRSEAIGVNWGTQLSHPLPASTVVRLLHDNGFDKVKLFDAEEAILDALKGSGIQVMVGIPNDMLADLAAGGKAAEDWVAKNVSGHVHDGVDIRYVAVGNEPFLQTFNGTYLNTTFPAMQNIQAALVKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYSDPNFPLDYAFFQGSSAPVVDGDVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPTDGDMNANLDYARRFNQGFLTHIASGNGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFNYDGTPKYALSLAGGNGTTTLRPARGVKYLDKKWCVLKPSADLADQKVGDSVSYACGLADCTALGYKTSCGGLDAKGNVSYAFNSYYQTMDQDDRACDFKGLATTTSVDPTVGTCRFIIEIDVGAAAASAARGGVAGGVASLLGALALMVMVL >Dexi8B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:8B:10496020:10497087:-1 gene:Dexi8B01G0008110 transcript:Dexi8B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATKSSTVAAKNPNPDIADDMRPFMVKYTDGRLVRLAASPFVPASDTSSDAAGGVATRDVVIDPDTGVCVRLFLSVQAIAKGTTDKKKIPLIIYYHGGAFCSGSAFSKLFHRYAESLSARAGALVVSVDYRLAPEHPIPAAYDDAWAALLWASSFSDPWLAQHTDPKRVFLAGESAGGNIVHNVTARATASPESHAIGIEGIILLQPFFWGTQRQPSETDRDDGPAFAPEWVDTLWPFLTAGEAGNDDPRLDPPAGEVLALHCQRALIAVASKDLVRDRGYRYATWLRLGDRCREVTLVESKGEDHGFHLNPRAGASAVKLMDRVVDFINRRGHRPIAAAVDPRRVVLKSRL >Dexi1A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:1A:6366883:6369655:1 gene:Dexi1A01G0008270 transcript:Dexi1A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLHGSHYLPSAFGASPALHQRPAAQPALRRRAAAEAGGEMPNMGRCGTAGEGDAINVRPWNAMREVGLGIVRDGLRWYAQYRLILKRLSNKIAWEGKQLAVDDEMKLPQSIVTKCGGLPKVLAAIGEVCSSDKRVLDSLSDNFIGTLETEPRFHSLRTLFSWMQSYFDACSDSIKPCIFYLSIFCADKNIRRQRLLWRWIAEGYCRDTFGVNYENGERFISELINLSIIQQQSPSKALCQINGFFHEYIFSRPMEDNLVFALEGRFRLSSLRTGQHHTVNSNWDRDRTVFESIGRHLIIKSNWDRDRTVFESINFSRLQSLTVSGKWMPFFISSKINIRLLRVLDLEDALDVTDRDLKQIGNLLPRLKYISLRGCTKVTCLPNSMGGLRHLQTLDIRHTSIVRLPLFITKLQKLLYIRAGKSEVWDWNEGDVLQADGEVPADTEGMASTEEVANLPAATACSRPRGWVSSLMPKLRRGGLDNSGVEIGKLSAFGLHTLGVVNVTGSCGKSIFKELKKLTQLRKLGVSGINKGNIKDFCYAISGHAHLKSLSVEFDNDDDLDGLDDIPQPPKTLSSLKLYGHVRTLLVWIDQLDNLKKLKLDLALVKPEELQGLPFSRLPYLVPLHHLRIRPIQDGELQIGNFSSRVVEIQCSSVLCVNFYRDIFSEIEVLKVHCSRGASLQISELRRLRRSLKEVWLKGYISEELKQSIQQQIDEMPLLEYQKRPVLKLVQACSS >DexiUA01G0026400.1:cds pep primary_assembly:Fonio_CM05836:UA:56447800:56448444:1 gene:DexiUA01G0026400 transcript:DexiUA01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFWPDKRMARWSEVTGTGMEPVHTLQANKTGVLLIGVHFLTLEIGARMFGMQAPGIGVYRPNDNPVIDLIQTNGRMRSNKSMIDRKDLKGMIRALKSGEVIWYAPDHDYGPQSSVFVPFFAVEEAATTTGTWMLAKMSKAAIVPFVPRRKPDGSGYELMMLEPELAPPLDDAETTARWMNRVVEKCIMLAPEQYMWLHRRFKTRPEGMPSRY >Dexi4A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:4A:13151149:13152549:-1 gene:Dexi4A01G0013520 transcript:Dexi4A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLVAVLASAAQRGAVDALIVDGLQVGFYGQTCPEAEGAIRDIVNNEIAMDRGIAPGLIRLFFHDCFITGCDASILLDESPAGDVPEKESSANGFTLVGLNTIDTAKSTLEAMCPSTVSCADILAFAARDAAVAAGLPSYDVAAGRRDGVRSNMDDLPGNFPVPGHHVPRLTELFNQRGLSQEDLVLLSGAHSIGSAHCFMFSNRIYGFSEQADVDPSLDPEYAARLRQMCPPRKPDDDPQQAPKVKFDAQTGEKLDNAYYSELLAKRGLLTSDNALIEDPETRAMVETFARDDALWQQKFAQAMQRVSMLDVLIGEGKGQVRKQCRLVNKQEQQQQPQQQLEEQEQQPPQFRGSDSTSGRSSRGPGSDGRVCRFRTTRSVT >Dexi1B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:1B:2223554:2236106:1 gene:Dexi1B01G0002710 transcript:Dexi1B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGWSAGTVVIVGFFGITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGDQLGLWIIVPQQLIVEVGTDIVYMVTGGQSLKKLPLSQFPNFNSISAVSAAAAVMSLTYSMIAFFASAAKGAHAGAAADYALRAPTMAGKVFGVLNALGAVAFAYAGHNVVLEIQATIPSTPEAPSKKPMWRGVVVAYAIVALCYFGVAFAGYYAFGNTVDPNVLISLEKPRWLVAAANLMA >Dexi2A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:2A:33716851:33719443:1 gene:Dexi2A01G0021650 transcript:Dexi2A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPLLLLLLLLPLMLAASAADVPVAARRPGCATRCGDIEVPYPFGLDPQCAINAAFRLNCSTVGRATKLFHGTLEVIRFSVPDGKAWLKTWISRQCYDQATATVFADNAWMDINNLPYVLSADDNKVIVLGCRSLAYMLSDTYIIGCLSTCDEPLKNGSCSRTTGCCQADLPRGVRYYQGFFNSFYNTTRIWRRTPCNYVTVMESAAFSFRTTYLTTTEFYDADDSRTPVVMEWGITRETCEQARINKTSPYGCASDHSDCVDSDAGYRCACSRGFEGNPYTIGGCTDINECLDNATYPCAGICENTPGSFKCTCPRGRSMIDGENKVEDILDDQIKNNESIEYLEEIADLARQCLEMSGINRPSMKEVADKLDRLRKIMQHPWAHDNPEELDRLLGESPLVNSTSTTGNFSITKKAAMGLELGR >Dexi9B01G0046510.1:cds pep primary_assembly:Fonio_CM05836:9B:45773271:45780127:-1 gene:Dexi9B01G0046510 transcript:Dexi9B01G0046510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFDPTVSAGHSLRDTGKQHQSLGIRMALAELIVVMASHCYLSGPPAELAVEFLVRHSAITDEDLNDLDTLKNEYFQDKRFEN >Dexi9A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:9A:7601143:7608767:1 gene:Dexi9A01G0012000 transcript:Dexi9A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARWAAVLLALLVASAGAGGARAAGAKVAGLSRASFPKGFVFGTATSAYQVEGAASTNGRGPSIWDAFAHIPGNIVGNQNGDVAVDQYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGMTPYINLYHYDLPLALEKKYGGWLSSKMADLFTEYADFCFKTYGDRAAQKGKVGIVLDFNWYEPLTNSPDDEATAQRARDFHVGWFVDPLINGHYPQIMQDIVKERLPRFTPEQAKLVKGSADYIGINQYTANYIKGQKLLQQTPTSYSNDWQVQYAFARNGKPIGPQANSNWLYIVPSGMYGCVNYLREKYGNPTVYITENGMDQPGNLTRDEYLHDVTRVRFYKSYLGQLKRAIDQGANVAGYFAWSLLDNFEWKSGYSSKFGIVYVDFNKNLERHPKASAYFFRDMLKKY >Dexi9B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:9B:8308474:8309717:1 gene:Dexi9B01G0012390 transcript:Dexi9B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTHALPQDSASSLLLSHSLHRETSTTGNGAFGSVVKARHRATGKFVAIKRLAGSSHAGGTEALLREARFLEDASASGAGAANPFVVGFHGIVRVPGTFVDLRLVMEHVGPNLHDLLRRQNPIGETSPPLPEATVRAAMYQLLTGAKKMHARRIIHRDIKPSNILVAPDCSVLKICDFGLAMSTDDDPPPYEPAGTLGYMAPEMLLDKPDYDERVDAWSLGCVMAELINGWNPFQGLSEEGQLCAIFDVLGAPDDTTWPWFSSTAFATVVMPELDMQRSNLLREQFPETKLSEQGFEVLSGLLTCDPEKRLTAAAALELPWFSNMTDVLLELPKTEEIALPLPKRLRVRFVCAT >Dexi6A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:6A:2662212:2662688:1 gene:Dexi6A01G0002900 transcript:Dexi6A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAADASLKQEEALAAAFEEKHAELVAEARGVAREFGVDVRAVAFRPVGGGGGGAVVHEFQGIPPAARVVRTIRRAVAKDVSAMGMEEVAQHERQLLALRNIVVRELQARKKATAAKKAMDVAIDGAATKRAPEQQEEVAGGGGESKVRKIIID >Dexi8A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:8A:5538345:5545505:-1 gene:Dexi8A01G0005740 transcript:Dexi8A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTPRSGRMEKLLPLLCELVDLDLDQRIGSGMRRGGGIAPAAAAASQPRARAGLPGRLPRRQAALYDSCDRRVHRANKLAGKHRRFSLLHPSPSSSSSSSSSGQQKPPLCDICQLEAPIDCVDGCPRRGANRDRRVQERRGFLFCKEDWAILCRECDVPVHTASEITRRHSRFLLTGVRLSSAPVDSPATSEQQDDQEQEEENAGSPCNADSACSGGAATAAASASDGSSISEYLTKTLPGWHVEDFL >Dexi7B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:7B:5515744:5517311:1 gene:Dexi7B01G0002820 transcript:Dexi7B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFALDPLPHVPRGFEIVPRDPDAPPSRLYAYIGGVMDAYNEDLSIPFLLPAVAKEDFQHLAEALKSFFIQNMGVRLSEPRVRTWTCPIVVLKRKGVTMLQDEDIFPPVDGDIAHPFPPPPPRWMGMDDLNADAPAISESANGPSGDINMPDPPAANDTTDSILVEAPVTQSVDVAPVPVDAGNEGALIIRDIAVVPVEDVGASLIIPPGFENQIVQGHKIYWSSAGPLVPYSDTEDDDEVQEIPKPPSSSARMRRRKMMKEPLDVAFLRRSSRLNQDQGFLNNAHAEAAVGNPGVYTAQVEGSSVTAPYLDVGTMQGIAGFLQIQPEAVSAAALLELDAEADE >Dexi1A01G0030800.1:cds pep primary_assembly:Fonio_CM05836:1A:35976730:35980279:-1 gene:Dexi1A01G0030800 transcript:Dexi1A01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLEGDDSPNTGSSTAALVSPVASSSSTATSANTTPTAASSVEQGNGKANAGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLPAELPQVNARISPVNGYQNGGHMAILRPLPRARSSGRLHHLAALLPDTDPSSFNDDEPLELAGEATEDPQQGSLRTVEIKTYPEFTEVPETTSERNFTVLIHLKAPLAQHLQSPNNLGDGNGPSTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTISPTAGVNKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVQVESLHPDVHFRSIRSGSYSSRVSDDKKSGSIDVGDMYAEEERDFLVSVNVPPGYGETALLRVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARFSAERGDLANAVSLLEDCRRMIMGSASGQSGDRLCQALDAELKEMQDRMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSASLIQAYQTSSMVDMLLRSQTMSRSPTPRQTPQMRHAKSFPARPQPR >Dexi9A01G0034920.1:cds pep primary_assembly:Fonio_CM05836:9A:39612690:39612990:-1 gene:Dexi9A01G0034920 transcript:Dexi9A01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPSLWDKPEEFVPERFMGSAAGMDYKGKHFSVGCPGLPMAECVVPHLLASLLHAFEWRLPEGISAEQLDMSERYTSANVLAVPLKAVPVAFT >Dexi2B01G0032450.1:cds pep primary_assembly:Fonio_CM05836:2B:40331168:40333567:1 gene:Dexi2B01G0032450 transcript:Dexi2B01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVSNRQSFLNTSKWIDEVRTERGGDVIIVLVGNKTDLVDKRQVSTEEGENRSKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSASKEDMVDVNLKPTSSQSNSQQQAGSGCAC >Dexi1B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:1B:23814416:23815498:1 gene:Dexi1B01G0017520 transcript:Dexi1B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPATPTAVYVAAVPLRAPKGPGQLLMSASYSLGLWDLQHFMVVLRTDPARTQALVFDFQPVNPEDGGAALAVLSRSQIPGVVRRRTLRRIPDRRCWFVGHCSDGDAVDAADRFSEHWPTGLVVGEHDCRDYTNDG >Dexi5B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:5B:14137870:14148743:1 gene:Dexi5B01G0016240 transcript:Dexi5B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVAPTVEEMLADAVAATAAGCDIVEIRLDFLQGFRPREHLPILLRGCQLPALVTYRPSWEGGQYEGDDTTRFEALRLAMELGVDYVDIELKVADKFMSFISGNKPEKCKLIVSSHNYECTPSCEEIANLVARIQAVGADIVKVATTAKDIVDVSRMFQVMVHCQTMILCHGLMAYWHNFHICQVPMIGLVMSERGLMSRVLASKFGGYLTFGILNAAKTSAPGQPTVEELLDIYNIRRIGPDTKVLGLVANPVKQSKSPILHNKCLQSVGYNAVYLPLLGDNLASFLDTYSSPDFSGFRYCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISPLAGRLIVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVNLANAIGGQPLRLADLETFRPEEGMILANATSLGMYPNVDGTPIPKKALNFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFERFTGGIEAPESLMREIAAKYT >Dexi9B01G0028880.1:cds pep primary_assembly:Fonio_CM05836:9B:31452371:31453338:1 gene:Dexi9B01G0028880 transcript:Dexi9B01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVQVFGQPASTDVARVMACLLERNLEFQLVRTDTFRRGHKIPEFVKMRARPSINSYRHISSLCNTNDTCGSYSRDICRYVCTEFPRWCTKDLYGAGALERASIEKWLQAEAQSFDAPSAALAFHLAFARRPVRVSSPATSPHDDKDEDVEEEERHAATVSESERRLARVLDVYDEALGRSAYLAGDEFTLADLSHLPNAHYVACADARGRALLASRGNVARWYAAISARPAWRQVVSAQARSAHYPCAFQATDSPQ >Dexi9B01G0022360.1:cds pep primary_assembly:Fonio_CM05836:9B:17037463:17039642:-1 gene:Dexi9B01G0022360 transcript:Dexi9B01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGSRGADVDNPSSASAIVAGAVNGHHILHIEGYSRTKEELPIGKCIKSRPFRVGGRSWSIWYYPNGACSMDHITISLALEESDTGPVKARAKFSLLDLAGKPVLYHSSSTGLKEYPIGGAGWGISNFIKREFLENSGHLKDDCFKIRCDVIIPQKICTEDREATPFFIDVSTSDIFRQLGDLLSSKDGADVMFPVGGKTFWAHRCILGARSPVFKAEFFGAMRESVTTGDHIQIDDILPQVFEALLDFIYTDSLPEMEGQEEAVMAQHLLEAADRYDMQRLKLICEDKLPASDIFRQLGDLLRFKDGADVMFQVGGKTFWAHRFILVARSAVFKAELLGAMRESVTTGDHIQIDDMLPQVFEAMLDFIYTDSLPEMEGQEEAVMAQHMLEAADRYDMPRLKLIC >Dexi3A01G0031790.1:cds pep primary_assembly:Fonio_CM05836:3A:36130310:36133749:-1 gene:Dexi3A01G0031790 transcript:Dexi3A01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEQGVEAVRKGEGEEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDAALVDRVARLTGRQPHCFLRRGLFFAHRDFNDILDLYEKGEKFYLYTGRGPSSEALHLGHLVPFMFTKYLQEAFKVPLVIQLTDDEKFYWKDLTVEETKRLARENAKDIIACGFDVERTFIFSDFGYIGGAFYENMARVAKCVTKNKAVGIFGFSSEDHLGKYSFPPVQAVPSFPSSFPHLFAGQDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSAKEIKTKVNKYAFSGGQDSIELHRQLGANLDVDVPIKYLNFFLEDDDELEHIKKEYKEGRMLTGEVKQRLITVLSEMVARHQRARALVTEEMVDAFMAVRPLPNMFC >Dexi5A01G0029030.1:cds pep primary_assembly:Fonio_CM05836:5A:32198504:32199490:1 gene:Dexi5A01G0029030 transcript:Dexi5A01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVALAGLRLAASPIINKLLADASTHLGVDMARELQELEATVLPQFDLVIEAAEKSPHRDKLKAWLRQLKESFYDAEDLLDEHKYNILKRQAKSGDDSLTGDDASSIKSTILKPFRATATASRARNLLPENKRLIRKLNELKDILVKAKDFRDLLGLPAGNNYAAGPVVATSIVPPTTSLQPPKVFGRETDRDHMIDLLTKRTEAGVSYSGVAIVGHGGAGKSTLAQYVYNDGRIKDHFDVRMWVCISRKLDVHRHTRELIESATNGECPRVDNIDTLQCRLRDTLQKSERFLLVLDDVWFEGSSNEREWDLLLELWLLRRREAKFS >DexiUA01G0015960.1:cds pep primary_assembly:Fonio_CM05836:UA:34146016:34146330:1 gene:DexiUA01G0015960 transcript:DexiUA01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIMLCCSAGMSTSLLRGLPVKIDAYGVSEFDTQFPQYQVKYMLQTLSDKAATKGIPVQPIDMMDYGMQRGDKVLDYALSLIDAAH >Dexi9A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:9A:9818958:9822749:1 gene:Dexi9A01G0014930 transcript:Dexi9A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAGSSGCGGSRWGGGFRSLMRRKQVDSDRVRAEGQPQLAKELNVPELVAIGVGSTVGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGYTNEEIYIFRLFKQMPRMNSHAVSFQALFFGGSDSLPWVLARHQLPWFDIIVDPCAAALVFVVTVLLCVGIKESSFAQGVVTVLNACVMIFVIVAGSYIGFQTGWVGYKVSDGYFPYGVNGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGVALAICCALYMAVSIVIVGLVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKSTIVTGICAAALAFAMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPPSMQESFRLKQECDGEKERGLLGDGNCNASQTNDVIVIVESMKDPLIVKRQHRGKMDETKRRNIAAISIGSVCVGVLVLASSASLTWMPFLPICIGCIVGAVLLLAGLGVLSWIEQDDGRHSFGHSGGTAKKFT >Dexi9A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:9A:6494206:6494626:-1 gene:Dexi9A01G0010620 transcript:Dexi9A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEMDSGNAQSEGSAPSVPKNPAMASCRKKKTDDATFLEDVKDHIDEFIHASMDEHKSCFKKTIQKMFGMSKAVAERSAAEAKEAEVESALPLQTSVSQ >Dexi3B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:3B:11396208:11397427:1 gene:Dexi3B01G0015740 transcript:Dexi3B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGSSQQWKFCRHVSTSLQLTCHHGTTDRRHATPRRSRRPDGAHQPPVTRRLERRRPPPTHMQVEPCGLGAPSSSNGESAEKSKSLPRGNKEFGPRGWKNRMDGSECERAGSRTDSHTPRKPIDRSIDQSFPRRNPTTQPNQTTGAGHIEQANLGFNSQAQRHGPCRAPGVPCSRAAATVDAPPLFESDPADFRCVVSLCRTRAAARSFAIHPSKAESRNSAKEISKDHDSFPLHFLQPTGFSVALLDPRFYSMQVPTGEVKKCAKINEKLEKLEGIQI >Dexi4B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:4B:18346800:18354688:1 gene:Dexi4B01G0016290 transcript:Dexi4B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPFEQPTSPVKCWHGVAYRFNLPARRAHCRAHRRARRRRHTTLACSCVVASGEPHRWRGVARLPALWPLRLAGPRQSGHGGPGARQAAATLLPLLHAVCVVCAAAAVVGQRTLSLARRPVCPRQWSHMLEVLEMAPPPPPPPQARHQQGPAGKGGSHAAGRKQPLQSSVAQPKVEPPAVPPPEGGKRCGGGGGGRRRGGRGRSKATPAVAEPRALPAPPAHTRPPRTVIGPPVPSKGLSFCRRPGFGTIGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRAVNRAIMAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDDGTGVAPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDVVLRELANQRYVPIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQILGKDVISRPLSDSNRIKQIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHRHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVHQNGYEQDPYAKEFGINISEKLTSVEARLKYHDTGKEKECLPQVGQWNMVNKEFNSEPVIPIYSARPDQVVKALKHVYNIALNKLKGKELELLLAILPDNNGPLYDVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKELSLILRYAIQRSLISTSVVMLEFSYARCTRSVSVVPPAYYAHLAAFRARFYMEPEISENQTAKNSNGTNGASVKPLPAVKEKVKRVMFYC >Dexi3B01G0025330.1:cds pep primary_assembly:Fonio_CM05836:3B:20107761:20114508:-1 gene:Dexi3B01G0025330 transcript:Dexi3B01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPNAGEGKVVDGFGGLKAYLTGSDDAKAAVILISDVFGKPATWPASLASELATDLAKATDREAPTQGSNPGCGT >Dexi3B01G0031730.1:cds pep primary_assembly:Fonio_CM05836:3B:33776022:33776241:1 gene:Dexi3B01G0031730 transcript:Dexi3B01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFEAMLEQSSKHVEIKDMDAQVLRAMFDFIYTDMAPKFDSQPQPAAGDGEEKAAMA >Dexi1A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:1A:19204280:19207064:1 gene:Dexi1A01G0013730 transcript:Dexi1A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTMGDSLECLWDCLDSDGLQSLYIGVDGDSAVAGDQQRHDGYSSAPDDAAGANSSAAATAADSKPGNGNSVVATERRRRRRLNDRLYALRSVVPNITKMDKASIIKDAIEYIQQLQQLERQLHGELALLEAATGAHQMFIGVPPSTGAAVAENDCASVSPTKKMRWNASLSPPSASSSSPVDAMEVRVSGAGDKVLVVSVVIRHRRDAVAKVCRALEGLRLRKEEMHQTEIKEMVETAIIQLDDIFGSPFSTMSY >Dexi9A01G0021910.1:cds pep primary_assembly:Fonio_CM05836:9A:16890102:16890609:1 gene:Dexi9A01G0021910 transcript:Dexi9A01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYDMQVPNREVLEHVSSILKEYGFCPSEEVVDTINLRPYDCKMSDRKSCRKGLTNANSALMETASLHAAEEKVQ >Dexi5A01G0037960.1:cds pep primary_assembly:Fonio_CM05836:5A:39104216:39104503:-1 gene:Dexi5A01G0037960 transcript:Dexi5A01G0037960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLAVPPPPPTHSSTVPAAHAAARWWSLSSTAQASGSTAAVRWPAASGAASRRSTAAAASDPCHGGGITRGGWSESSSSSSSS >Dexi2A01G0036120.1:cds pep primary_assembly:Fonio_CM05836:2A:45710924:45712133:1 gene:Dexi2A01G0036120 transcript:Dexi2A01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTYADEGVPRFVYEGSSDSLQIYSLKVAGRSLQQKKKRKVAGSSTQWPLKVFGVVSVRDRIDPRRNLIFYRDRDDCQIITQEDPYLELIGPTRAVGMNHDVMIEAELKVKGAVEREDKYLIADGATLSPMFGLDLVELTSHEWKLGIAVGGLKKCVEAMIFIQVINGTWPIGFRGQFAAYTKGMKEKIVLMEFNGDDVISNDVDCIIEKSWHIVSVETSGELIVSYQAWKGEEGVISGKVVFKAMSGRSFRYLNVSSCSLGVLVVWSRIEPFCGNMLPMSELWDRMYEAPPIQASGP >Dexi2B01G0028020.1:cds pep primary_assembly:Fonio_CM05836:2B:36729322:36730281:-1 gene:Dexi2B01G0028020 transcript:Dexi2B01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGHHAVAILLLALLLPAAAAASLDPYCDDNSTYAANGTFQANLDLLAAALPANASASATGSATAAVGAAPDQADALAFCRGDTNASSCAACVAAAFRDARRACPLHKGVTVYRDACVLRFAGRRFLDFLRDDKWLVSELVPAIDTALGSVNASDAWFTAGVKAILTVMVDRTAAAAAASNTTRNYFATAEMEFHPKLYGLAQCAPGLTPAQCHSCLGYLLAVVTTQLLSGRAPGRSAFVVWCSLRYSVRRIYDGQAMLQLPAPSQPATTLTSSSSGLELNCFQVSPDGV >Dexi2A01G0037210.1:cds pep primary_assembly:Fonio_CM05836:2A:46806484:46808448:1 gene:Dexi2A01G0037210 transcript:Dexi2A01G0037210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSAPNAGEENGTPDNGARTKHKKTLEAHEGKESSASCSTRLSRSRSRRDKRNKEEPANPKQLRRSMSFSSPARNSCLDERCFSFSGDVPCTFYDESEVSHHAKDVFPNMWSPEGNPTFREYAIKTPKEYSALENDSPRSQCCSYSAGHSPVSSPVSLRCRSTRLSNLFNKNEVLDRYIDRGHEDAMINEKQRQYSSATSMVSNLGRPPRPQSTVPSVPKSMKETTERYPDVDLKDAFLRQIAQEDTGDNCKITTMCNAGRNYISMSDAFERESATSVEDIYEDLQDVKPPNVICPSSCPTSGEQETDDMLLQRAKEVESKFIVPCGDEYEFSMARDKQMSPNDMIQLIQQLTEDRKQLAHELSSQIKVRVAERFASKEQYKQSKKELDTRTGRLEKEKIEIQTTLEREMDRRSQDWSVKLSRFQSEEERLHERVRELAEQNVSFQREVTFLEA >Dexi6A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:6A:13192378:13195940:-1 gene:Dexi6A01G0010680 transcript:Dexi6A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQQIGERRHRYGVDNPKTGHSGSTGAGTGGGSAGFSAAENQDLSLKLVAANDPVGVDMDMKVLEDWVTMQGEANKVTDVLAVVGFGGVGKTTIATDLYNKFRDQFEHRATVTVSQSSDLEAILTNIKSQVMPRSDDHQQGHLPGKKGRLAAIRGLVRKVQQRTSGVIAKCSVGGDSDEMEDSAKLSQLKKDLINILNEKSIFPKGSRISRKRLIRRWIAEGFVSEKQGMSVEDVAETYFTHLVRRKIIRPVEHSRNGKVKNCVTHDMILEHIVAKASEENFITVVGGHWLMQPPSTKVRRLSLQGSDSKRAKDTEKMNLSHVRSLTMFGDMNQLPSRSFKFGIIQVLDLEGCTGFRNHHTNEICKMHLIKYLSLRRTDIKELPKAIKKLDNLETLDIRETQVVELPSTVCNLRRLVNILGGNKMTRKALRVPEELLKKKKMAALRILSGIEIVGGSANLHHLTELRKLAIYRLQIKEDDPAYKELLSSIAYLGGFSLHTLVIDDSSQFLQSLDTLVNPPRFLTALELNGMMVKLPVWMEQLDALNKLTLSITLLQEDSLGHLSKLKALFSLTFTLDAAKQDQETVAIIEKNKSGPNGEVIVPSGGFEKLKLLRFYAPRVPLLSFSRNAMPCLERLELSFSMLEGLLGTENLLALKEVHLSLGMKRKDENPKGHDIAAEQIKKRIENDIDTEDRKGARMSTAMKPKILFDQYYD >Dexi8A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:8A:8258310:8259452:1 gene:Dexi8A01G0007340 transcript:Dexi8A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGGATGGGGARVAGAMEHVELIPGIPDDVAVDCLARVPHASHRALRRVCRGWRSAAAAPSFASARAAAGANEDLVYMLQFGNPSADEGPKDGESPANTPAYGVAVYNVTSGEWRRERGAPPVIPVFAQCAAVGTRVAVLGGWDPRTFEPVADVHVLDASTGSWRRGAPMRSARSFFACAEAGGKIYVAGGHDKHKNALKTAEAYDAVADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWISPRRGWREVGPYPPGLKAGTARAVCVGGGEKVVVTGALDGEGGGGRHALWVFDVKTKKWTVVRPPPEFAGFVFSVASVRI >Dexi5A01G0038880.1:cds pep primary_assembly:Fonio_CM05836:5A:39679655:39680281:-1 gene:Dexi5A01G0038880 transcript:Dexi5A01G0038880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDSEGVTTSMGKLSVEAAPSSSGTEIAQHANGGDVATLEDDDVWDDASDSPGHPSNLDREWIYRQNQFHKMGYRDGITEGQKDSAQDGFNVGFRQSVNVGYKWGLVRGVT >Dexi9B01G0048710.1:cds pep primary_assembly:Fonio_CM05836:9B:47579868:47580666:-1 gene:Dexi9B01G0048710 transcript:Dexi9B01G0048710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRRIDWRAGTYLLPICLAAAAAPARIPPTAPATTADRGPLAARKSAPPRDDAVDPVVAVAGGDHAALDPGIHEAYDPERVADAASRAAAGVEDAVGPDLSGLPWLRAPSGVAEPQRGPGHGAAQVADAGVVGEVLTPGAALRDGLLLEEELRGGRQVVELLVGDVEVLVRVVKAAAGVVPAVVGSRREQPRVGHGSGGGGGGGVGGKKEMGGGFRTRTARLLGRGAGRLYLPPRLIFFSRRPTN >Dexi3B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:3B:3042818:3043372:1 gene:Dexi3B01G0004470 transcript:Dexi3B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVLVVLLATTILVAAPAASAAASSSGGGGGEKSTHIKLYWHDVVSGPSPTAVRVAQAAVTNTSKTFFGAVIVIDDPLTQGPDLKSGKLLGRAQGTYIGAGKDELSLMMNMNFVFQAGKYNGSTIAIMGRNAVLDDVREMAIVGGTGVFRMARGYAQARTHTLDLKTGDATVEYNLYIKY >Dexi9A01G0029060.1:cds pep primary_assembly:Fonio_CM05836:9A:33831709:33832700:-1 gene:Dexi9A01G0029060 transcript:Dexi9A01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGERFANRVLSVHRFINRSLVARLIHYMLPGGTATTVAAALPSPMVRQLSKNTLAVDADALLLKPSPDAAFPAYFLVAVEAGGYVRGLVLLALYPILRMLSHEAHVKAMAMVSFCGLQRDEATRIGRAVLPKLFSREAPHMHAMEALNALPKAVVAVSRTFPTVMVEAFLKEYVGFDAVAGRELKGGPRYLTGAMAELDMERLARVPKQAEKTLCSYYPKPVVFHDGRLAFTPTPAAALAMYIYFPLAILLAIVRIAIYVLLPWRVSSVVAGLTGVRVRVIGAVPSAGDRDAEGATKPHGGRLYACNHRTLLDPVGIVCAPP >Dexi5B01G0038270.1:cds pep primary_assembly:Fonio_CM05836:5B:37503173:37504788:-1 gene:Dexi5B01G0038270 transcript:Dexi5B01G0038270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWHNLAAVGALLAALAAAAALTVAGLRSTTSDSKRGHPSARRPPLRFGPGGSFKVALFADLHYGEDAWTDWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLPIPNASLYWDRAVSAARGRGVPWAAVFGNHDDMAFEWPPEWFSPDGVPPLRCPPPSPSGTDRGCSFRGTPRIDLMTTEISNNRLSYSSNGPKDLWPGVSNYVLQVLSRNRDDPALLMYFLDSGGGSYTEVVSSAQVKWFQSQSQFLNPDGRIPELIFWHIPSTAYVKVAPKAKSEIRKPCVGSINKEDVAPQVAEWGMMDVLAKRPSVKAIFVGHNHGLDWCCPYEKLWLCFARHTGYGGYGNWPRGARIIQITENSFSTVSWIRMENGTKHSDVTLSS >Dexi4A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:4A:1749368:1753239:1 gene:Dexi4A01G0002520 transcript:Dexi4A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANDAAAPEAVAEAALPAEEESEVDAFQRKVDNLVFKTDVMERRVNEVVDYYDIKNHSSGGQKAGRPGRVPPDLMRRFGKIIREIISDDDSWPFRDPVDVVGMGLHDYHKVISKPMDFSTIQNKMEGKDVATYKNVREICADVRLIFANAMKYNNDKNVVHLLAKSCLEKFEEKWIQLLPKVESEEKRQMEEESKGLVSPDTSREAALASLAKDTDDELSQINRQLEELRKMVVHRCRKMSTDEKRKLGAGLCHLPPDDLNKALEIVAQDNPSFQTKGEEVDLDMDAQSETTLWRLKFFVREALERQTNVASGKMDENAKRKREICNALAKTASKRIKKQP >Dexi1A01G0030650.1:cds pep primary_assembly:Fonio_CM05836:1A:35870598:35873636:-1 gene:Dexi1A01G0030650 transcript:Dexi1A01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIILQRALSFSNLPVHRYDVSPLKVSICSSSTTDANLQVSAVSNRNEGLALLTTIAEGTDDCCNPSPLLSNRSPLERNLISKIIHLREVLELPLQSSSDALDQLLLGTLEALKIAYPKCISGQSGTISSVQQGLVHLHKVLMSVQDCHAERNQLSNLGSGKQPIIVSESLEHVGQGVIEMIDQVIPMAKEMFNFMESSRSANAAASAAWLEDLPERRTLPPVLCHIRSPEHFKGAGPHPSDSAQVATPCQQDGTIRHTEQLKVQKLVSQQEAGEGGFTGEDDQTSRSRPPSTPNGHSLLLQLTPSSVSPHPLSAPPPSPVPLLGLPMLLQSWEAMQDDKATAATVTPMDAAPTALQDTADVTGSKNDSAVSVSMETGQPSSPSSMVSNATMPSVPPPLPPPSLVQEGSPAKVSRAPPPPPPGNISAALRAKKAACKLRRSTQMGTLYRHLRDRVEGSGCTHGGKAQAKNKTPGGHKSNAGLGMADALAEMTKRSAYFRQIEEDAENHATTILELKDAIGSFESKDMAELVLARFEGFPSKKLDSLRMAAALYSKLDGVVSKLKGWKLAAPVSKQLDRLEAYFNKIKDDVDMIERNKDEEAKRFQSHSIHFDFGLLVRIKECMVDLSSNCMELALKESEDAKETASVRSAGAPQVAGAPSRTLWRVFQLAFRVYNFAGGQDERADRLTAILAREIEAHPL >Dexi1B01G0023470.1:cds pep primary_assembly:Fonio_CM05836:1B:29049876:29050716:1 gene:Dexi1B01G0023470 transcript:Dexi1B01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSRGMSCRGAICGIVALLSATAFSCSLAAEFHKVKEKDMKLDGSLCSLPRSSAFELGVSAIAFLFVAQLVGTTASVTTAYAGGKPKKIAAAARGRIAFIALLSLSWLSFAVAVILLATAASMNNGQRYGRGWMDGDCYVARNGVFGGAAALVVVTALITLGLTFATEPTAAAMASTCAAGSDTCSSRTYLEAAEADAEQPAGRSKQ >Dexi5B01G0022160.1:cds pep primary_assembly:Fonio_CM05836:5B:24389330:24390247:1 gene:Dexi5B01G0022160 transcript:Dexi5B01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGEAGQIVGAAAVVVNAIGFAFYAMYIVIKLAAADYLGTMACSGVILVFFGAYISAILVSVYGPIKRESREALIISFVPFVLQIISQVIPLVTVCSLLWSMIFPPENQQRPEHINRRKLMIYFGLANDVGAVLALLSMFLYLVYTLRPHDMDYPPAPAEV >Dexi2B01G0031560.1:cds pep primary_assembly:Fonio_CM05836:2B:39663201:39664214:1 gene:Dexi2B01G0031560 transcript:Dexi2B01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSMKFYVYPVTLLRYRNFYCSLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCHVDSHNPKVLGQHLACAAYEHPLCLQYDERYFGSDLNSAMTTLKDKGYIINNPSGPFSSSMWNYIGPEKSPSQNVSIRAIEHDKYKVINKLNNRLLEEIEESKAFFQVYEGDVYMHQGINYLVEELVIKGSFL >DexiUA01G0002450.1:cds pep primary_assembly:Fonio_CM05836:UA:5519236:5519991:-1 gene:DexiUA01G0002450 transcript:DexiUA01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFKQSGGCDEDLIDRVAAAERLLLQGTTENQLLHGDLQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLDMSKMESRPELWGKYTYVLNRLQGILDPAFSKPRNDLTICACLQKDIRVLNGPPHSGLSAMGPIPMHIRGTFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >DexiUA01G0014790.1:cds pep primary_assembly:Fonio_CM05836:UA:30969803:30970608:-1 gene:DexiUA01G0014790 transcript:DexiUA01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGATTKEESKDGDAQLPSERAQAIAASSLARPCCHACDGKGTSVFNADQHCTNRDVYTWPLPWGPKTCWARVPVTQRHLTNHFSSA >Dexi4B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:4B:1581246:1591169:-1 gene:Dexi4B01G0002480 transcript:Dexi4B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNRRLRSDAAPFRPSAAAATHPYAYAYAGANIHHPFFADACYVAGQSGYLHGYLYAAERHRDEFLYYTEDFMSNPNIPYPTAWYIFAPDGEPHLGEPHYGTQRSAGLNGMIDNVFIQLICTIQYLIVKENADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLEELEKVMADYGYSIEHILMVDIIPDAAVRKAMNDINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRDGMMQASSSNV >Dexi7B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:7B:18954180:18957323:-1 gene:Dexi7B01G0012090 transcript:Dexi7B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSATSSAAANSSCARLPSVPLRRAAAAVSFPSRPRPAALAARAGPSRRLDVAAAAGHQKLVGSLTSNEGLRFGVVVARFNEVVTNLLLQGALETFERYSVKAENITVVTVPGSFEVPITAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLNAGLSAGIPCIFGVLTCEDMDQALNRAGGKAGNKGAETALTAIEMASLFRHHLG >Dexi2A01G0027360.1:cds pep primary_assembly:Fonio_CM05836:2A:38787445:38787784:1 gene:Dexi2A01G0027360 transcript:Dexi2A01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGHPAATRLDLRAAEELKEQGRERGAHQPRERQLLTSPCRRSSPHASPRRRWPSYSLASSFVEREELLRQLPRRAGCGGAGERETAAQASGEREAAAQASGEGCGGWW >Dexi5A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:5A:3796473:3796898:1 gene:Dexi5A01G0005000 transcript:Dexi5A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGADPATGSGIARQPDQAAEVVEKDTAAEEVVEKDTLPSGGGGTSGGARPPRKKDWTPEEHKAAKEFMKALLDDYDEYAAMSEDEIEDEVAKKHPPPPGYYPVLEQDFKLIEDDED >Dexi7A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:7A:8232053:8233143:-1 gene:Dexi7A01G0002110 transcript:Dexi7A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLDLMGGYGRVDEQVAIQEAAAAGLRGMEHLISQLSRAGTGERSSSPESAASHQQQPERSHPTSPPQDPVDCREITDMTVSKFKKVISILNRTGHARFRRGPVVAQSEGPAATSDPAALPAWSAPVPRLAVTLNFTKSVSGYSRDSGFSMSGASSSFLSSVTTGEGSVSNGRMLPPAASCGKPPLSSGAGQKRRCHEHAHSENVAGGKYGANGGRCHCSKRRKHRVKRTIRVPAISPKVADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPSMLIVTYEGEHRHSPGAQNPPEPPLAPLPEQPSLIN >Dexi6A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:6A:22442358:22447094:-1 gene:Dexi6A01G0015030 transcript:Dexi6A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRALLPTPHAGASPAGARPRSRLAASLPFASRPLRVRCAILSSPAAAPAVVAANSSERARRISPAGSDGALRPKPAVLVAEKLGEAGLAVLREFADVECAYGMSPADLLAKVAQFDALIVRSGTKVTREVLEAGRGKLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLASMARNVSQADAALKAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVISHDPYAPADRARAIGVELVSFDEAIARADFISLHMPLIPTTSKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELSATAVNAPMVPAEVLSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTTARGPDDLDTRLLRAMVTKGLVEPVSSTFVNLVNADYTAKQRGLRITEERVAHDSPAAEAPLESIQVRLSQVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEKIGGIPAIEEFVFLEL >Dexi7B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:7B:21154205:21156968:-1 gene:Dexi7B01G0015070 transcript:Dexi7B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDRGASAAAGGAGDRKRIGEPMDRSSPSTSWGFSGGREKERIGAGKQLEVPRSARGSTAMSKSMLSDVESETDSEESDVSGSDGEDTSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSNQVPYYEYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYIITSKGLAAMLDKFKNVDFGRCPRVNCSGQPCLPVGQSDIPRSSTVKIYCPRCEDIYTPRSKYLSSILSFPVKFALNLIGRLNYITLM >Dexi9B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:9B:1312880:1316713:1 gene:Dexi9B01G0002300 transcript:Dexi9B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGESTAAALCAPMKATSEGAWQGDSPLRFSLPLIILQVCLVLVLTRGLAFALRPLRQPRVIAEIIHDHSRSCNNGWMSTMQGGILLGPSALGRSKVFLNHVFPPESLTVLDTFANIGLLFFLFLVGLELDPASLRRTGRRAFAIAVAGISLPFALGVGSSLALRAAIAPDAPRGPLVVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPFVSVYVLLSGVGFVLAAVFLVRPALVYMARRSPAGEPIKESFVCSTVAIVLAAGFATDAIGIHALFGAFVIGVLIPKDGGAYAGALTEKMEDLVSSLFLPLYFVSSGLKTNVATISGAKSWGFLVLVITTACAGKIGGTVAASLAMRVPAREAVALGLLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALTTTFMTTPAVTAVYKPARRGASYKHRTVERGDADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARHNGLPFSSSRRGGGAGGEVVVAFEAFRRLSAVAVKPMTAISDLSTIHEDIVASAENKRAALVVLPFHKMLCHDGAMELAVDGAFRQVNARVLASAPCSVAVLVDRVLGGAAQVSAPDVSYAVLVLFFGGPDDREALAYAARMAEHPGIEVTVARFTAAAAKHNAGKDEEALQRYVTRALKSGDGSVKYEEVAAAEREEVTAAIKALGRGKNLVVAGRSPAMAEALVERSDCAELGPVGSYLATPEFSTTASVLVVQRYNPRSSSSTAAGDGDVEEAVVPFPSSSSSRPAAAESSESQDST >Dexi6A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:6A:686247:692128:1 gene:Dexi6A01G0000850 transcript:Dexi6A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVQINWHDLQPVLSLDFHPASRRLATAGADHDVKIWVIASDASESKLPTATFQSGLVPNGTAHSSAVNVLRFSPSGGYLASGADGGGIILWKLHSTEDGEAWKIHKTLLFHHKDVLDLHWSHDSAFLISSSVDNTCIIWDANKGIVQQKLEGHLHYVQGVAWDPLGQYIASMSSDRTCKIYANKPQGKSKNADKVNFVCQHTLVKVEYPSNDESKTPVKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGVSKHSTEVINTAYIMSRRDLSRPAIQLPGASKAIVAVRFCPVLFKPRGSNSDGFFKLPYRVVFAVATLNSLYVYDTESIPPILIHAGLHYAAITDIAWSSDAKYLTVSSRDGYCTIIEFENEELGQPHILPGTKEVAEGNTTCEKKPVSVDSIEVDVSARKPKVEASPVAVTVAENVTLRTGELVEGNAASESKKPVAVDSMEVDVSDNKVKTVTSPVAVEVTPPPVSTKNSASSPREVVSCLPCCCSAGGFTNGFLLLHRLLELDVLVYGELDNVEAAQVLVVGGYAAEAVLVGAAAVVLEGEELAVAVGGLVVMAGVERGVVAVGAMKVEAAQVLVVGGYAAEAVLVGAAVVVLEGEELAVAVGGLVVMAGVERGVVAVGAMKVEAERGEAVAXXXEAVAEAMMGEVGHGAAVEGAERAEVGCGVAVEGAELAVVERGVAGEAVAAVEHAAVAEGL >Dexi4B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:4B:6098541:6099797:1 gene:Dexi4B01G0008460 transcript:Dexi4B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQAAKEVIPLLTLFKMGQFELSHRVVLAPLTRCRTYGNVPQPHAAVYYSQRATKGGLLIAEATGVSPTAQGYPDTPGIWTQEQVEAWKPIVDAVHRKGAFFFLQIWHVGRVSNNEMQPDGQAPISSTDKQISPDPESGMVYSKPRRLRTEEIPGIVDDFRRAARNAVEAGFDGVEIHGAHGYLLEQFMKDGTNDRDDCYGGSLENRCRFAVEVIDAVVQEVGARRVGVRLSPFADFVECADAEPVALADYMVRQLNVHEGLLYCHMVEPRMTNVDGRRQIPHRLLPFRKAFNGTFIAAGGYDCAEGNKVVADGYTDLVAYGRLFLANPDLPRRFEVGAPLNKYDRSTFIIRDPVVGYTDYPFLEDDHNGDLTILA >Dexi7B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:7B:1449889:1450495:-1 gene:Dexi7B01G0000690 transcript:Dexi7B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPLSSSLHLPPPLHPPTGMSSEGGGGVDRRKLVGMPDARGLLRIPHKVYLSGYWPVGKEVLVSESPFAVSFSMSLYQPAWKDNKTINQNSHPAGLAFIVLPPYQKAADEGNLTKQLGLRADSSLNLSSSIDRTAHFPGGGQVSVQIGGYRMGCLRSH >Dexi5A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:5A:1763996:1764651:-1 gene:Dexi5A01G0002540 transcript:Dexi5A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLCLLCLLLAAVAASLLAPASRVNAQGGLFPTPASTNISASWTISLKANGHGGSQKSFGIFLLQSVDGSGGGLSFSACFYCTDTCSVFYFGVCILETDSGGGLFDGTGILQVVWSANRGRPVSENATLTFAATGDLLLRDADGGFVWNTNISSQSVAGMTVTKSGNLVLFDGKNTPVWQSFNHPTDCLLPGQQLTEGMKLTPNASATN >Dexi6A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:6A:24225500:24226425:-1 gene:Dexi6A01G0016370 transcript:Dexi6A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTIAILSRDLGTSQKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNAGEPPAAADDAAAARPTPFLSVTPDAFHRSLAVSAAGAFHCAQQVIPGMVERGRGTIIFTGSSASLTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSVLRT >Dexi9B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:9B:5947610:5947942:1 gene:Dexi9B01G0009620 transcript:Dexi9B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAARSAPPLPFTASPATPRPRASASSGEPARIRPPSRPRRAAWGGGPHRTPKPPHTGHPHAQTRAQPPRRASLAGRPLERIEAGRAAPEWLGFGGAAELAPPNAQRRD >Dexi6A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:6A:28637875:28643070:1 gene:Dexi6A01G0021390 transcript:Dexi6A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPRVPAGPEASSSLPGGLLPRPAVAISWDSSALHHHAERSEIESKECVIDPALLPTLEASLQEIAIFKCVVFFLTNDISLVFPISGQGYCYGVLPILAARVPVLKVVDQGTGVECDISIENKDGLSRSMIFKFISSIDERFRILCYLMKFWAKAHDVNSPKDQTMSSMAIISLVAFHLQTRHPPILPAFSVILKDGSDFASIKKNVSLLNGFGSINKESIAELFVSLMIKLVSVEGLWEEGLCASNFEGSWISKTWARGVGCLSVEDFLDRSQNFARAVGMEQMQRICECLRATVSDLSKFFKGKIAAPKLKALLFGPLNQAKPLNQVKPVTNPSQKIGKRKRVSPNKTSTDPSQRNAKKKKPLGEDKAVISDDKEKVLEQDKAKISPSQKDDNREKPLEPDKVAISPGQKDDKKKPLDQDKTAISSVQKDAKKKPLYQDKPAIPSVQKGGKKKPLYQDKLAISPVQKDTKKKSSNAGCDSGSSHVQQKKAKVTVYTSSSRAPPSVSIPPQRMHWPVPTQPIINQFAHIPQHLVTPPAFGYGLPPQHLHSAYHHPHQGLLGQPQGDFLHLHPGIQLQHPGQAMFGPPVAHHPGLNGLHPYGINGAQQMQHINNKLVQRPPYGMGPGFWR >DexiUA01G0017250.1:cds pep primary_assembly:Fonio_CM05836:UA:36427937:36435865:1 gene:DexiUA01G0017250 transcript:DexiUA01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVTVKSLAAEIQTSVDRLVQQFADAGIPKSADDSVNAQEKQTLLAHLNREHGSTPDKLTLQRKTRSTLNIPGTGGKSKSVQIEVRKTRTFVKRDPQEAERLAAEEQAQREAEEQAQREAEATAKREAELKAEREAAEKAKRDASDKVKREAAEKDKVSNQQTDEMTKTAQAEKARRENEAAELKRKAEEEARRKLEEEARRVAEEARRMAEENEKNGVNPAEASEDTSDYHVTTSQHARQAEDDNDREVEGGRGRTRSTKAARPAKKGNKHAESKADREEARAAIRGGKGGKRKGSALQQGFQKPAQAVNRDVVIGETITVGDLANKMAVKGSQVIKAMMKLGAMATINQVIDQETAQLVAEEMGHKVILRRENELEEAVMSDRDTGAAAEPRAPVVTIMGHVDHGKTSLLDYIRSTKVASGEAGGITQHIGAYHVETDNGMITFLDTPGHAAFTSMRARGAQATDIVVLVVAADDGVMPQTIEAIQHAKAAQVPLVVAVNKIDKPEADMDRVKNELSQYGVMPEEWGGEAQFIPVSAKAGTGIDDLLNAILLQAEVLELKAVRKGMASGAVIESFLDKGRGPVATVLVREGTLHKGDIVLCGFEYGRVRAMRNELGQEVLEAGPSIPVEILGLSGVPAAGDEVTVVRDEKKAREVALYRQGKFREVKLARQQKSKLENMFANMTEGEVHEVNIVLKADVQGSVEAISDSLLKLSTDEVKVKIIGSGVGGITETDATLAAASNAILVGFNVRADASARKVIDAESLDLRYYSVIYNLIDEVKAAMSGMLSPELKQQIIGLAEVRDVFKSPKFGAIAGCMVTEGIIKRHNPIRVLRDNVVIYEGELESLRRFKDDVNEVRNGMECGIGVKNYNDVRVGDMIEVFEIIEIQPQEMQKEIALILQREIKDPRVGVMTTVSGVEMSRDLAYAKVFVTFLNDQDEAAVKNGIKALQEASGYIRSLLGKAMRLRIVPELTFFYDNSLVEGMRMSNLVTSVVKHDDERRQGGLMSRPRRRGRDVHGVLLLDKPQGASSNDVLQKVKRIFNANRAGHTGALDPLATGMLPICLGEATKFSQYLLDSDKRYRVIARLGQRTDTSDADGQVVEERPVTFSAEQLDAALESFRGDTLQVPSMYSALKYQGKKLYEYARQGIDVPREPRPITVYELLFIRHEGDELELEVHCSKGTYIRTIIDDLGEKLGCGAHVIYLRRLAVSTYPVERMVTLEHLRELVEQAEAQQIAPADLLDLLLMPMDSPAADFPVGDEGKFIGMGEMDGEGRVAPRRLVVALLTAQINHLQGHFAEHKKDHHSRRGLLRMVSQRRKLLDYLKRKDVARYSALIERLGLQASPMGVLVVISREDAKKYGQHTVTLETGMMARQATAAVMVSMDDTAKKAKPGQDFFPLTVNYQERTYAAGKIPGGFFRREGRPSEGETLIARLIDRPVRPLFPEGFVNEVQVIATVVSVNPQVNPDIVAMIGASAALSLSGIPFNGPIGAARVGYINDQYVLNPTQEELKESKLDLVVAGTEAAVLMVESEAELLSEDQMLGAVVFGHDQQQIVIQNINDLVKEAGKPRWDWQPEAVNDALNARVAALAESRLSDAYRITDKQERYAQVDVIKDETIATLIAEDETLDANELSEILHAIEKNVVRSRVLAGEPRIDGREKDMIRGLDVRTGVLPRTHGSALFTRGETQALVTATLGTARDAQNIDELMGERTDSFLFHYNFPPYSVGETGMVGSPKRREIGHGRLAKRGVLAVMPDADKFPYTVRVVSEITESNGSSSMASVCGASLALMDAGVPIKAAVAGIAMGLVKEGENFVVLSDILGDEDHLGDMDFKVAGSRDGISALQMDIKIEGITKEIMQVALNQAKGARLHILGVMEQAINAPRGDISQFAPRIHTIKINPDKIKDVIGKGGSVIRALTEETGTTIEIEDDGTVKIAATDGEKAKFAIRRIEEITAEIEVGRIYNGKVTRIVDFGAFVAIGGGKEGLVHISQIADKRVEKVTDYLQMGQEVPVKVLEVDRQGRIRLSIKEATEQSQPAAAPEASAAEQQGEAYYQAGRLVKPPGDRTNSAWRKSEVLAVPLQPTLQQEVILARMEQILATQDDLLAFYQDDPNDPFRSLWLYIVEQKLDEKQAKEALKQRFDKSDKEQWGWNIVEFYLGNISEATLMERLKADATDNTSLAEHLSETNFYLGKYYLSLGDKDSATALFKLAVANNVHNFVEHRYALLELSLLGQEQDDLAESDQQ >Dexi1B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:1B:15272232:15273608:-1 gene:Dexi1B01G0012400 transcript:Dexi1B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHLVGLVKVRVVRGVNLAIRDLRSSDPYVIVRIGKQEVYDKDTFVDDPMGNAELDIRPLVEIVKMKLQDVADNTIVKKLVPNRQNCLAEESSIYISEGKVKQDLVVRLKNVECGEIELQLQWVDLPGSKGV >Dexi1A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:1A:18933885:18938125:1 gene:Dexi1A01G0013660 transcript:Dexi1A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSTMGLEVQIRVELKSCRSACSLFKVFETFVESTLVQPTFVLDYPVEISPLAKPHRRYILPLFQFGCYNHFINLLTFKGMLYLLLQRSRFENQIKQHNAKRAARAKVKSTEDQGDEDDYSYDVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSSSIRDVIAFPVLKIQQ >Dexi9A01G0023750.1:cds pep primary_assembly:Fonio_CM05836:9A:19183788:19184994:-1 gene:Dexi9A01G0023750 transcript:Dexi9A01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTKGKLSGILHKGFKPDKCKKSLRITVSRIKLVRNRKEVQVRQMRREVARLLETNQDITARIIVEDVIREEKFMQAYELIELYCELIVARLPIIDAQK >Dexi2B01G0021410.1:cds pep primary_assembly:Fonio_CM05836:2B:31200890:31205588:1 gene:Dexi2B01G0021410 transcript:Dexi2B01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAAAAADELLTAAQDMADMRSCYDNLLSVAAAIANSAYGNFLIGIQWLSTIFNLRPASPDGPPPCSGAELWRHPTAPVATSFYPSSLCLLPWLPLALPIPCPTSLLYRPAGGAPPPCLATPVPGRPAFPHFPLDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVELNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYLVEDENDDDYNDSHDGELSFDYGENKEAEESGIF >Dexi2B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:2B:5315029:5321048:1 gene:Dexi2B01G0005770 transcript:Dexi2B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHDGGDMVEIMSSSPELSTTKPGAPPMKTTRFAEPAAVSSSPAPEAMPSSNSNHLAGNDDDDDSSSDAAVVAPAKKWRSSALLSAPSRAIRQLVPRELCRATSFHHRRRVGSGVDDERSTTAAARSLDGLRFIGATRWEDVATRFDVLTADQGGLLYRSQFGQCIGMKEMEKKANGEGKKTTKDERAQQSGGFCCFFGDGQEIGSANNQQHASPAAVAATHKTKPADKPDFAGELFDALSRRRTQPAGPGDGISKDEMLEFWKQMNSTSFDTRLQTLFDMVDKNGDGTISEEEIKEMIMLSASENKLSLIRDHAEEYARVIMEALDPDNLGFIALHNVESLLLRQSPSQPSSSSQRRRRSSLSRLRTTTRITAETAAPPSPPEPKLLLLLRHVAYFLEDYWRRVWTLKLNMALVLLPVSRNTITWILNHTTATSVIPLAADSLNFHKVIAVAIAGAAALHAASHLACDFPRLLHATDAEYHPLGQRYFGFPRPDTYWWFVRGTEGWTGLVIVAIMAVAFTLATPWFRRAPQRGRWLPELVKKVAGFNAFWYAHHCFVAVYALLLVHGQFLYLTREWYKKTTWMYLAVPMAVYAGERLTRAVRSRVRPAKVIGADVYPGNVLSLRFDVETMHGRRFRYKSGQYVFLNCAAVSPFEWHPFSITSAPQDDYVSVHIRDAGDWTHKLKEIFEKAKNKEPTGQLPTENDHDIAITNPNWPKVRIDGPYGAPAQDYKQYDVVLLVGMGIGATPMISIIKDILNNMKHHLNASGDVEAGTAAAAAAAAAPSSSSSFRTRCAYFYWVTREQGTFDWFHKVMDEVVEADMEGVIELHNHCTTVYEEGDARSILISMLQSISQAKDGIDVVSGTSVRTHFGRPNWPRVYRRIADNHRHQRVGVFYCGNQVLTKELRELAQDFSRNSSTKFEFHKEIF >Dexi5B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:5B:6529772:6534796:1 gene:Dexi5B01G0009520 transcript:Dexi5B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSRCEDVLAELIAQRPSVVALQETKLQDANVAKLKLFLPSRLLTCVAPSADKPAFLAELVEIAHSLTGGWVLIGDFNLTRDAVDKNTPSFNQGEAHMFNDCINSLELLEIPLLDRAYTWSSKRESHTLVRLDRCLINLQWDELFPNTSLSSLTRFASDHVPLLLTAATTVPKGSCFRFENAWLHHATFRGIMEEALQSIPINDSRTFAQRLKRCRAACRNWARQLRPLVQRENDTKVLIDALDLLEEERDLTSAEATLRRLAITTLQAIHSEKLAYWRQRFNHRMVVEWDENSRFFHASASGRKRKNKIQCLERDGVELFSHEAKSVVLFDFYNELLGRPVATEWRFNLHDLYPNLDVDGSHLSSPFSESEVTAALFAMDSNASPGPDGFGPSFYKAFWGTLKPMVMSLFSYFHAGAIDLDGMNRAHLVLLPKQEGNGLHHPLDSSKPCPVLQYADDTPILAKGDVASMSALKTILDDFSIATGLGINFHKSTFVPMHIDGDDALDMARILGCGISTFPQTYLGLPLSPHKIKVSDFQPLLSKVDRYLACWKARLLSSGGRLILVNAVLSSIAIYHMSSFLFPKTVIDALDARRRAFFWTGEDRCHGSDWVLTASPDDSYITRLLREELPRYRAITRSIVGDGRSTSFWHDSWFTESALCTGMSGLYSHNIKPDFFVHEATTSRLAAQLRLRLTRVATEELTVLEELLSHFNPEGGLDHHFLTHRPDKAFSSKEALAAFHGSQSANQLASSIWETHLSNKVKFFGWLLYHGHVNSRAMLHSRHIRSIEDSFCEHCPETLETDEHIFTQCPTAISIWGCLGIDANASNFRYPAHLGQELEIPHQYPSVSTECPISNSPRRRLPRPAPHCPTQTPPGGRRQAGRTAPGPSAQPRVALPRSGAALLAACCRGVLEVSVEVEWCCLLLVVVVPALLLPAGHSGGGATEPSPARQPGLSPPKQVCALSRPEHP >Dexi3B01G0025860.1:cds pep primary_assembly:Fonio_CM05836:3B:20803151:20805332:-1 gene:Dexi3B01G0025860 transcript:Dexi3B01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKAAASSSSSSSSSAASESGGEVKRGNGSVKGRRARSLLPLPSSSCFRGSTTPGDGDASASPPPAVESHKQGETTSLPSLAHSAKSDEDALAVPKSRPGAGTSAPSSDSERDQDDDVLQNGAATSTSAMASQLPNPSDRSRPRFGANFGLSRAVSLGSSVACSILSSGLSASATPGESHGGVNNSSDAVISQQGAALTAGIDSTLDMLRDSVTAQARAARQARRNLLESEDASLRNSYRRTGSQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAVWPSGNGSARQDSAVMQRTNSDRSSELRSDPSTNNAYNSSSETLREASNRDLLERRSAFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRNGNCNCRTNGRPGNPDEETSTRASISRIVMLAEALFEVLDEIHQQSAALSSSRPSFSSIGSVPAPREVVECLPVKVYRKPLKYRTEEAAQ >Dexi8A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:8A:1160194:1162405:-1 gene:Dexi8A01G0001660 transcript:Dexi8A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKHTSAVGAAVHPIPRPIDPGEGDGDIPLPDQRSGPRVPGRKRSCVTRGAKKDNKRRRRELTGGGAGGPPDVPEWFVKWEQRDDLGLHVNQQSCPPAPAPDPAPAPAPDTPVRVPTPAPDTPDTCDALDPVPNPLNLGECVLRLGDLALSGADLHSLEGHNFLLDGVINFVFAQVSAAFAQQEDDDIVLVPTGLSLLLTNLQDPGYLATSAAPLRLVSSRARLVLFPVNDNENFDQADGGTHWSLLVLHIAADGSSRFVHHDSMGLGGANLHHARRLADTLRPLLRGSPPPLIEGFTPRQEAGSNDCGLYVLAVSQAICNWWRNGGRNGSSTSWISAMAADVDAARVRAMRTELLHNFKDQTGPAPSSSK >Dexi2A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:2A:28824908:28827866:1 gene:Dexi2A01G0017060 transcript:Dexi2A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPCFFTLASPFFLSTMRAAIALTICALSALLAAARGSERPVAAVDVAAASDLVRSGAGREKNLKFIKQVAAHFDKQDSIVVGCKSGVRSELACSDLMAAGFENVKNMEGGYDAWVENGFAVKKLQEQDEL >Dexi4A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:4A:2574172:2574843:-1 gene:Dexi4A01G0003580 transcript:Dexi4A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWPPPPPPPPLDGAKGLGHDAIALSFFVACVAATVVLTSSMCSACGRKPKPATQPDPTSSDQLAGTGSVSGRSQQEAATEEEEEAVVRLSPELATHGAIDPEALPKSTSKRRLSMSMSKNLSMSMNIPDKLRLSRRERKDHHHKVESEDTLWKKGIILGEKCRIPGEREAELGDGVDPADELAAGSFRRSSYSRPVSRSSSFALHQPQQSNEAPAALHSDS >Dexi2A01G0037460.1:cds pep primary_assembly:Fonio_CM05836:2A:47017308:47018292:-1 gene:Dexi2A01G0037460 transcript:Dexi2A01G0037460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIAWRAIAKHANKWKGVDYLVFNTYICNKNKGGGGGGWSKYALVDRPVAYREVLKTWAKWVDRHIDPNRTTVFFMGMSPNHITPWAWGNDGGIKCAMETQPIVNRTAPLNIGTDWRLHGVARGVLARHLRRVPVHFVDITALSEFRKDAHTSVHTLRQGKLLTPDQQADPKTYADCIHWRSK >Dexi3A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:3A:12750260:12750850:-1 gene:Dexi3A01G0016810 transcript:Dexi3A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPPAPSTLRKRPISVDTSRPGADGAASREGPRRHMPGQGAREEGEREAAGSISMASAPAMEEQPEAAAKGRELEVEVELEAGEPMSPAGRLFRETHFNCYIVAVIGLGSAVDVAGDARWAGGHLGPPPALLQPPDGKR >Dexi2B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:2B:8312866:8313416:-1 gene:Dexi2B01G0008210 transcript:Dexi2B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIPMPLHLILSFPFLIITVVYLVRSARRPGCATASSSSLRRLPPGPWALPVMGHLHHLMLDALPHHKLRDLSRHHGPVMLLRLGELPVVVVSSVDAAREVMKTNDLTFATLALAHGAEGLIFSPYDHTWRNLRRICTVELLSARRVRSFHAIREQEANSLLREVAAVAVAVR >Dexi6A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:6A:1366096:1368357:-1 gene:Dexi6A01G0001540 transcript:Dexi6A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVIFGRRPGALHMSLPVLLVCLKASYAPADVIPSPAGSSGIAGSCPCSNTGRNGVSVTGKFKPRVSLLLL >Dexi9A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:9A:13989300:13994037:1 gene:Dexi9A01G0019020 transcript:Dexi9A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGASPRPAPLPPATSSATATLLVPASVAMPAPRLAHLRRLILPLRSAALLHPLASNPGRPLPGPVPLLLLPRAMAGAAHAGVATGSAEYEEVLGCLASLITQKVRADTGNRGNQWELMPKYVKILELEKPIAQLKVVHVAGTKGKGSTCTFTESILRSCGFRTGLFTSPHLMDVRERFRLDGLDISEEKFIRYFWWCWNRLKDKTGDDVPMPAYFRFLALLAFKIFSDEQVDVALLEVGLGGKYDATNVVRAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLMRRASELGVSLQVVEPLDPQKLEDQPLGLHGEHQYMNAGLAVALANTWLEKQGHLDRIHVKHSDTLPDQFIKGLSSAYLPGRAQIVPDPQVNSENDESDNSSLVFYLDGAHSPESMEMCARWFAYVTNNDRIQPVSLEQPQTNRNSRKILLFNCMTVRDPQRLLPRLLDTCDQNGLHFEQALFVPNQSQYNKLGSLASPPSEREQIDLSWQLSLQRVWESLPRSNKGVLDFFVKLCLVISMCFLTETTQVH >DexiUA01G0023520.1:cds pep primary_assembly:Fonio_CM05836:UA:48037485:48039589:1 gene:DexiUA01G0023520 transcript:DexiUA01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAGEGAYEEMLRVVEACAVRIRWRLRPSSKRRLLNDILFLCTGLRPVVLVDYGGIMPQLQENLCSLLHHARQETRILNPLRVMVINDMLYLIHVKGLAEHASPKARSQHQLAFVDLEKSCCELVTNTEENNTVLELVSIQDRFAAKFPIDLDVDMPITQPGITKQMSGLPEKTIDAESTDNDVDNRTLLVIDLSAFLEAAQIALPSLNG >Dexi1A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:1A:12647485:12648991:-1 gene:Dexi1A01G0012570 transcript:Dexi1A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVLVVPYPGAGNINPSLQLAKLLHRHGVYVTFVNTEHNHRRVQDTEGAGAVRGRDGFRFEAIPDGLSEADRAKQDYGNGLAESITTCGAAHLRTLLERLNGGEPGVPPVTCVLATMLMSFALGVARELGIPTMVFWTTSAASLMADMRLRDLKDRGYVPLKDESYLTNSYLDTTIIDGVPGMPPMSLGDFSSFLRTTDPDDFCLRLAEEEPDACAKADALILNTFDSLEAEVLAALRANYSHIYTIGTLGSLLSRVTAADDSTNDSYTTGLSLWKQDAECLSWLDTQEQCSVVYVNFGSLTVVTPEQLTEFAWGLVASGHPFLWCIRDGSVRGRAALPPAFTAETAGRCHLTSWCPQEQVLRHPAVGCFVTHSGWNSTCESLAAAVPMVCWPGFADQYTNCKYACDVWGVGVRLGAVVRGEQVASHVREVMGSEEMRRRWRPPAQVDRHMRTCLAW >Dexi3A01G0023720.1:cds pep primary_assembly:Fonio_CM05836:3A:19386911:19387297:-1 gene:Dexi3A01G0023720 transcript:Dexi3A01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLLYKAIKERRSTAAVGGGGGGQAYYHATGDGLPAASAPVDLEDPEQRRRWLNQELRSPVHAAAVSSASSSAPAAAEGPLLHHRGNLSLEELAGEVGLSHDRRLRVPLPKARSVRAFACIGAA >Dexi7A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:7A:23365481:23366426:-1 gene:Dexi7A01G0013230 transcript:Dexi7A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSSNGHVIGVPVTAKAYGIEEASTDPPSFRKTSDGDHLAVSLTHPSPYASFGYKHSSKGQVTHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSADKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPITVTSPRGDTARVTYKVAIPLRRIGKVRPSENADRPEEKYIHVATVDGFEFWFMGFVSYQRSCRYMQQAVSELHQ >Dexi1A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:1A:3004585:3005587:1 gene:Dexi1A01G0004090 transcript:Dexi1A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTSACSAEERGSVEEFGAHLSLGIGAGGGSNRPSPPQQKGRPPRTVQLFGEVLSLLQDADDDTSPPAAASSRRKRDRGGSSTVAAAVDHLDSSRQSKKARKSQSQQGDDGDRRSPTDDGDGGGGRKKLRLTAAQAALLEDTFRAHNILSHAEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCELLRRWCDRLTDENARLRRDLADLRRASSAAVCAACRGGGGNNHVAAARAGETA >Dexi9B01G0040230.1:cds pep primary_assembly:Fonio_CM05836:9B:40812798:40813857:1 gene:Dexi9B01G0040230 transcript:Dexi9B01G0040230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKLSFPITNSQRRPSKPISLSTLAYGDLISSALTGRRHFVTEFDPSQPLSPAAAAPVAVIPPIPNSGCFGHRNPSSLPTPARLLPAAARTFVLDTSTGTGDNSYGYGLNLRAAADDDVEAGLHGMSMEGFAAAVLAGYGWSKGKCVGKSHRNKPEETSMAVDRRRGGRPAFGYNPTADDPRKSRSGDWTWTAGADDKNHIRVRVVSEKLGKRLYLMKGKVVDVAAAPAAACDVVMEDGLELVQGVGQDMLETVLPRRNGRVLVLYGKHRGVCGRLVEKNPERETGLVEDADTKAVVRVRYDQMAEYTGDVELLGY >Dexi9A01G0025930.1:cds pep primary_assembly:Fonio_CM05836:9A:27413132:27414263:-1 gene:Dexi9A01G0025930 transcript:Dexi9A01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFQDMRPVQKYKRNYWRFTWTNRTTNGAPVLTRNRNTPKDECSYYSVIGALESNMRLQRGFVGNLSIKYLKQKHAKVVHANVEMMKFGRIEQLLKISKEIGVPSEHIYNLILQRQRPVCPMHKISGYKKYDVSVPMHIRAALERHLKRGPMIAVFWISVNYDDCMKNGVVYRFLDLHPKRDKKNDISNEDRISHAVCVVNFGMEEDVPFLLFRLDIAGWPEFGRVEMQTVTELYGINM >Dexi3B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:3B:13688112:13694043:-1 gene:Dexi3B01G0018490 transcript:Dexi3B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAAAGAEAAALLFRRPAPSSIAGRSRLAVSRRTRHRNLRPSWLLVCIYFISAILYMYIVLFSGARTGAQPNYRNRVNIQRDRAGASSDDEHQRKSEDENGLPNIQLEDLVGMIQNTEKSRGFSPYILLLNQARLQALERADKILKEKEALQQKMNILEIKLSETGTRSQLSNEGKSDAEALKFDVLKEENLLLKDDISFLKTKLIEIAETEESLFKLEKERALLDASLRELECAFIAAQSDMLKLGPMQHDAWWEKVETLEELLESTANQVEHAALILDGYNDLQDKVDKLEASLGAASISEFCLYLIDLLKERVKSIEERFQACNLEMHSQIELYEHSIVEFHDTLSKLIKESEKKSMEHYAEGMPSEFWSRISLMIDGWSLEKKISINDANILREMAWKRDNRLREAYLSSRGMAERELIDSFLKMALPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYHCMQHNQINNLKVLDVVVQSYFEGNTFANKIWTGTVEGLPVYFIEPQHPGKFFWRAQYYGEHDDFKRFSYFSRVALELLYKSGKKVDIIHCHDWQTAFVVRFKYYAPLYWDVYANLGFNSARICFTCHNFEYQGTAPAQDLAYCGLDVEHLDRPDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSELDAKRFLKVQYSASDLYGKSANKAALRKQLKMSSAHASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPNIQREFEGIADQFQSNNNIRLLLKYDDALSHMIFAASDMFIVPSLFEPCGLTQMIAMRYGSVPVVRKTGGLNDSVFDFDDESIPMQLRNGFTFLKADEQVVAESYPEILEFKIFWWVQ >Dexi9A01G0030970.1:cds pep primary_assembly:Fonio_CM05836:9A:35864484:35867786:-1 gene:Dexi9A01G0030970 transcript:Dexi9A01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGLPVVDLVSPDLRAAAAAVRQACVEHGFFYVTNHGVDNTLMESLFAESKAFFDLPMEEKMKLQRSSNHRGYTPPYAEKLDASSKFSGIR >Dexi3B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:3B:6550757:6551052:-1 gene:Dexi3B01G0009480 transcript:Dexi3B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding IREVELKRDYAFIEFSDPRDAEEARYNLDGRDVDGSRIIVEFAKGVSFP >Dexi9B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:9B:908034:910094:-1 gene:Dexi9B01G0001580 transcript:Dexi9B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAALLLLFLLAGPLLLAAPALAADGTIVFTTLGRSRYAFDIFALPIVPLSSSSSSRAAEVRLSDGASVNYNGNFAPSSDSLLFVSERNGSLNLYISPVAPSASDPGSRREALEEAPPPSPLLPWDPIALKDRPSLTPDGEHLVYVSTAEPTDAPRRSWAAVYSTHLPSGSTHRLTPRGVADFSPAVSPSGEWTAAASPGPDGWGGEVEDLHTDIYVFRTSDGSRRTRLILEGGWPTWADESTLFFHRRDNDGWYGVYRAKVSFTDDGGVSAASVERITPPGFHAFTPAASPGSPGLVAVATRRPGSDYRHIEVIDVSTGGANAYFEVTRPVAPRVHHFNPFISPDGARVGYHRCRGSGNGDSPLLLENIKSPSPDTFSLFRIDGSYPSFSHDGKKIAFVGLPGLFVVNSDGSGGRRQIFSGNAFPTAWDWKRKGVIYTSIGPDFASERTEVDIVAVRLSDEGEDSNISIKKLTLDGHNNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGIQRLTEGPWSDTMCNWSPDGEWIAFASDRHNPGGGSFAIYMIHPNGTGLRRVVHSADGGRTNHPWFSPDSKSLVFTSDYAAVSAEPISNPHHYQPYGEIFTVNIDGSGIRRLTHNSFEDGTPSWTPYYLKPEDVGETLKASGTCAFEDCHWLNIVDDKADGIMCGRHR >Dexi3A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:3A:2686419:2687889:-1 gene:Dexi3A01G0004070 transcript:Dexi3A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSTMASPFSPTSAARARLPASTSRPLSLAAAASSGRIPLSRKGIGFRRGRFAVCNVAAPTAAEQETAAASSAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLQGDTSLPVTTAKITMVGTVRDAELRVKIVEERARFDRDPKAFRDSYKEEQEKLQEQISSARSNLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALASLKGRDKVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Dexi6A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:6A:4627149:4627520:1 gene:Dexi6A01G0005050 transcript:Dexi6A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRDSGRRAAVNRSSGGGIWPWLEIENGGGGGVENGGVHGRSRGRRRRDVFGVGRATPIRSNRSSGRRFIDGDFWGDWKQRHLLEWIGLRGCRRKKKKGGTGENPLALAAGFYFAPRFLLSD >Dexi2B01G0026290.1:cds pep primary_assembly:Fonio_CM05836:2B:35405158:35409624:1 gene:Dexi2B01G0026290 transcript:Dexi2B01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSADKSPAETETTASSASERLTDEQDTPKSSPKSTQSPEISSKELEDDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATAKNSALEDRLVHLDGALKECVRQLRRAKEDQDHTVQEALSQQARQWESHKADLELRIVELTARLEAKSERSVAADGDTGSKLAAMEKENSALKAQLVAKTEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRKLQAAARRPSMAVELRRSPSSACAESVTDCQSDCSDSWASGMIAELDQFKNDKSSASTRTASLATAADIGVMDDFLEMERLASANDSSKGDTVVEDANGQVAKLEEKVKKLAAEKAEREKALHDAQRELRTSRHRVMVAEEKTAELQRQLNLANGQKHAMEIEMEAAEAKRCEFEGKLELARAEIAGLLDKGRILEERLESEKALTLELAAKYQDMEALGAEKRELSAQLEAARSEAKRFSDKITLMERKLEVEKALSIRLATKCHGIDALEAKKKGVELELESAREEIASLHKKVSSLELEVQEEKASSTELAARCEELEVLGKHRDELRNQLDSANSDIVKLNDKIKMLEDAMEKQRPVTMELESQLQSRQAEIESLKENVSQLEKKLESQKNLSSAYISALGASETEKKELATRFELKEKEAEELFRKMSLLEEQIYKQSSEFAEKCLKMEEQVPSRSLGRQPVKSTSVKDLQIRKEKELAKAAGKLEDCQKTIASLSSQLKSLADFDEFLPGTETGGAASADSWDDDLKLLHPASYPAQIGCLAVT >DexiUA01G0018000.1:cds pep primary_assembly:Fonio_CM05836:UA:38303656:38309437:-1 gene:DexiUA01G0018000 transcript:DexiUA01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAEAKMKALDESLRREEALKRKNPPMGASSSAPPRYKVIYRGPPRPTFRAPQSQPPQQSWALNLNPKVTVIQQRQAISSHVTTVGKLDILLGIVAFPSSCIPTHPVYAHRSFQENMRAGGLCLCNLARNTLSSGEPAIAPGLGLPPSLEEPYEAEAPRGSALQREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >Dexi9B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:9B:4559672:4561134:1 gene:Dexi9B01G0007440 transcript:Dexi9B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSMLTAASLSFSPLPRSRLGAAPPTAASFAAPRRASSAPLVVRASAASSKATAAAEAAPKKKRATGITQPKPVSPALQAIVGAPEIPRTEALKRLWAYIKQHNLQDPADKKVVVCDEKLKVLFAGRERVGFLEIAKLLNPHFVK >Dexi9B01G0024340.1:cds pep primary_assembly:Fonio_CM05836:9B:20285595:20287565:1 gene:Dexi9B01G0024340 transcript:Dexi9B01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPGATFQVPGMPEHVAITAEEVPESVQEWVRDDGHENDPVTRFILDDIGDSDARSWGVLVNSFAALEEDYVSALESFYYQPGARAWRTPFPLGRPVPDMVAAGGLGS >DexiUA01G0007360.1:cds pep primary_assembly:Fonio_CM05836:UA:13974625:13975029:-1 gene:DexiUA01G0007360 transcript:DexiUA01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVNQPDLWDQLSESNLPVVERNRRGRRPLLPRRPSSLAAVTCRRPRASPSSSLSLSLSHPLSASPPRRSGRLTPSPNKTTTSPAFAQLPSSSSVRASSDSDEGAGPALRRSSSASSEVENPIQGLIAYCKKS >Dexi6B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:6B:2524250:2524876:-1 gene:Dexi6B01G0003010 transcript:Dexi6B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARKTAPVTGGVKKPRRYRPGTVALREIRKYQKGAELLIRKMPFQRLVREIAQVHKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERQ >Dexi9A01G0040540.1:cds pep primary_assembly:Fonio_CM05836:9A:44264608:44269969:-1 gene:Dexi9A01G0040540 transcript:Dexi9A01G0040540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDAFGSSTAPLAWHDFLERMRQPSAAEFVKSIKSFIVTFSNRAPDPEKDSASVQEFLENMEGAFRAHTPWAGSSEEELESAGEVISFLCSVTTATANPPQLHSNLLYIQRYRRQTRLVSEAQYFFTNILSAESFIWNIDGESLSMNELDFQRKMDLARERLLGLSADSENQNNQANPDVQERRSQNLKANRSSDFILSLKDPVQSSGQDTRRDSDVSMSSKPVERVQSISDLEKKGATELLNDDDLNKKFQDYPYLFARAGDLTIADVESLLNSYKQLVVRYVALAQGKGVSPESTLAQSGQTSSDLIVSEEPENVNSVANNNENDEGISKTSDDVTSGNHNSEVVDTEASEQMTYKTAADTSDDSKVSKDEALHQPENA >DexiUA01G0019720.1:cds pep primary_assembly:Fonio_CM05836:UA:40954687:40955632:-1 gene:DexiUA01G0019720 transcript:DexiUA01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKVFGSPASSEVARVMTCLFEKDVEFQLIRVDSFRGPKRMPQYLKLQPHGEALSFEDGGVTLVESRKILRHIADKYKNQGNKDLFGPGALERASIEQWLQTEAQSFDIPSAEMVYSLSYLPPDMPLDTGRGGLLPVGGMHPSHRQKMEEMLQRFEKSRKDLGKLLDIYEQRLGEEEFLAGSKFTLADLSHLPNADRLAADPRSARLIESRKNVSRWLYTISGRDSWRRVKELQRPPSAEAPF >Dexi1A01G0022160.1:cds pep primary_assembly:Fonio_CM05836:1A:28858800:28861020:1 gene:Dexi1A01G0022160 transcript:Dexi1A01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPPAPAMHSPERPPRLSDGPLGLRALPALSYNAHRALVLGLTFLAYALYHASRKPPSIVKRELARAWPPFADSALLGATDVAFLTSYSLGMFVAGHLGDRLDLRRFLAFGMVAGGAAVALFGAGYFLALHSLAFYVVAQVIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIALGGVLVLFFLAPYPQCVGFGPSPIEPVTEESTTDGEDSSSSTAGGAGKDRRDAVGILKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTDIGGEHMSAASAGYLSVLFDVGGIVGGILAGFISDQLNARATTAAVFMYLAIPSLFLFHAYGSISKVTNIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFLTGFISRRGWDSVFVMLALCAFVAAVLLSSHVKTEIPQIIQKWKNWSTNMQNGHADSDVQPLLVEES >Dexi1B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:1B:10067141:10067619:-1 gene:Dexi1B01G0010430 transcript:Dexi1B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKVTYIETSFVTSDVAGFKDLVQRLTGRSPTVPAPATGAPHRPRPRSCYSGDSGRSATAAGPTGCHHVSPPPAAHEALVGDGRIAPPCLEVDETYGVADFSDVLFYGSASQSAQFI >Dexi7A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:7A:15692421:15693000:1 gene:Dexi7A01G0004770 transcript:Dexi7A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRRSASEAEGGEASGSGKKASRVAARSASSGSARMARMSGCSGAAEGCAEGERRRWRRSERTVGLGREGLTQVGCGVGRSALAAAGVGLSWRSVRESGSSWSERERVGAAEEEQTTVGLGLCLVWRRLRRGGERKRKAEEAVGAGNGAMAVVHRKS >Dexi9A01G0033800.1:cds pep primary_assembly:Fonio_CM05836:9A:38628164:38628561:-1 gene:Dexi9A01G0033800 transcript:Dexi9A01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASPMCSLSTLMFLFCRFADPRSIETQTNPIDRSIALLLFHQPSDHVAGAVDDASSLKSPASVWFHPLSVKKQHQGPAVNQGMMTASSTIYSPRGQGGPKDAQSQENY >Dexi5B01G0029610.1:cds pep primary_assembly:Fonio_CM05836:5B:30797621:30798863:1 gene:Dexi5B01G0029610 transcript:Dexi5B01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARGEADRGAAQEFVALDIRGETESPPGVEAGLMMDSSFAGKALERERSGDGNSASIGVTGVYEKQGVPVHVDGSPREQYHPSTPKRRRVSRRVPGWRDPRKILFAFAAL >Dexi7B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:7B:10456008:10456540:1 gene:Dexi7B01G0004290 transcript:Dexi7B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKNLCPTNGFSVIVVDEDKHHANSARSMLCALNYHATAYTSPIEALEFLEGHAQVVDLALVAVDMELMHGFQFLDIVREDHKNVQVISKC >Dexi8B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:8B:22978634:22982781:-1 gene:Dexi8B01G0013090 transcript:Dexi8B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKAATEGARASSGGGEGGADGSPGGGDLDRLSALPDSLLHTIMSYLKARQAVQTCLLSTRWRHLWHSMPCLDVDHDEFRTAASAPSNHHPPAANPDYSDSDLGSYDEDSDDNNDDSSVNDREWDDFEDFAENLMHRCNIAQLETLRLHVNRSRAPRFADKLAGGWLRRAMKYCTPDPPRQREGMSHGSWLMKRMYLCNVALDNRFSNHLLSVCLLLEDLELDDCSCEIRSISSHSLKTLVLKNCRFRILSEITSPTLKRLVISGGTNSDDYVLGSKQQQRRRPATARASVPAFGGWEGGGAAPDYSLDFTKIRAARMQQRQRKALSWSSFVGNATANGVAAAPGAGDEGDEEKHQWSSTASERDDDGERRRRHRPRHRRVRSDAGDRDDREPIRPPPKYLS >Dexi4A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:4A:2348161:2348550:1 gene:Dexi4A01G0003250 transcript:Dexi4A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLAVVGDAATTGLVQIGVVVLTVTSATAIYRAAIARDVASAAFVAASYGALLTLLRSLRAYELAPAAERGRFRLRVWALCTLLTGLFACKVAGVVPLLVAVGVWVVAAATSAGGFVLMFRHQRRRL >Dexi5B01G0026320.1:cds pep primary_assembly:Fonio_CM05836:5B:28095430:28098338:-1 gene:Dexi5B01G0026320 transcript:Dexi5B01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAWSGREAMTGKEQDHGTMSSSREDGRHGTEMDEEYEGKGIIFPIGDEFMPNLHQDSSPREENRYGTEIEEDCGVGVMTKKQRVEDEEEQVVGGLKEFRRFWEESMSPYFGPLTATTAGSEFGPMRYTDSRPPSYGGIPYDALEIFSIKVTELKGLEWPIRVFGLVAARDSMDHKRNVLFDRSEENAQTLTEKDSSLVLTGPTRAIALIDPPEFEVELRVLGSRPSGGKILSAVYFEYTNRNSATTGLVQTWTETSKRSTIEVKCSQLNAPLEATIELCHSEGSVDFHGRFYAHMEHMGEEHIVLLDSRDHKVTLKPDGHVMLSRKVVLVQEGAKLVLGVKAWQNGDVDSAVMDTAVFPARFHGRSNGCFDVGFCKMSISVAWSVLC >Dexi5B01G0022850.1:cds pep primary_assembly:Fonio_CM05836:5B:25130565:25141251:1 gene:Dexi5B01G0022850 transcript:Dexi5B01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVAAEWDLLSDRFYRRLTLYSPLPWSAPAPTSTSSSSGGAVIGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPIASAPWPPLLPRLHSLAFSSSLSLLALLSDGSLLRFRLPDLQPTPSSSPVPLLPPASGGVADAVFWGGGVAILTEDNRVVVATDIEAADPHPRDLADPGVGDEEHVLCMAVVEPQFVMSGSPEVLLAVGDRVIAVDEDGVQVLGEELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRIIFEYECDAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQRTLLRAATYGLAFCSGSLKQKTLGRVCQEDNRSSNQAPQQPDIHAPEAGRPSAGTPASRGPGAGTPAAGEPASLPVTGGVLPAAVVATAGEQVAGAFPSLSSPLGGAGQQGGLPEASAVGGMKAAEAGWMADGGGGHWGGGAVAVGGRRRGGGSNRSTLAFDSIEPKASLGFGSRFPHERFQEMCKMLRVLNAVRDPEIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASATLPDTVLLEGLLDKLRLCKGISYAAVAAHADSCGRRRLAAMLVDHESQSSKQARNLACLKYIISFISPSQIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKLAVEKKAPLDFFGVINARSVARDLFMAYARHCKHEALKDFFLSTGRLQDVAFLLLKESRELEKNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIVMGNERAALKVKSEFKVPEKRWYWLKTCALATVGNWDGLEKFSREKRPPGGYKPFVEACIDAGKKTEALKYIPKLTDPRERSEAYARMGMAKEAADAASQAKDSDELFGRLKITLAQNTAAASIFDTLRDRLSFQGAY >Dexi4B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:4B:20696824:20699288:-1 gene:Dexi4B01G0018360 transcript:Dexi4B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGRVSALLLLLVAGAVASDQIFTASGVPFGRSSREPRYHVEYHPVDSPFEPHEGWWSYEFCYHGRIRQVHVEAEKIVQEYVLGEYDNDATISYHENSTSEFPDDNHHVKDISKSLFHQEKRTLSIHCNELPSEAEPSITVEDDSLPKEAQISIIPDQDEEHDFTAYAT >Dexi5A01G0027510.1:cds pep primary_assembly:Fonio_CM05836:5A:30980643:30986256:-1 gene:Dexi5A01G0027510 transcript:Dexi5A01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKSTSIDAQLRLLAPQKLSDDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKLYPKMLNEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLKRLVHQLKKSPMEVFDALKNQTIDLVLTAHPTQVDTALKNIGINERVPYNAPLIQFSSWMGGDRDEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGFSEIPEEATFTDVEQFLEPLELCYRSLCACGDRSVADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITEYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKSDEIADVLETFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGERLRANYEETKQLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVSQAYTLKRIRDPGFQVITRPHLSKDIMDAGKPASELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >Dexi9A01G0027640.1:cds pep primary_assembly:Fonio_CM05836:9A:32330540:32332267:-1 gene:Dexi9A01G0027640 transcript:Dexi9A01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGVPLNCFQVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSSS >Dexi4B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:4B:168505:169413:1 gene:Dexi4B01G0000260 transcript:Dexi4B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPAAGSSLQAALSYCVRQVRSYDYHHYLCLLHLPPPMRKAAFTFRAFNVETAKAMDVVSDPKTGLMRLLWWKDVIDKVFANKLVEHPVALALSSVVSDHKVSKHWLKRSVEARINDASRDEGAIPETSAELERYAEDTQSTILYMTLQAGGIQSTVADHAASHIGKASGLLLLLKALPHHVNKQGVIPYLPADVAEECGLLTWDGGRSEVRMDDRLPDAVFKVASIAEAHLHKARELASSVPREAIPVLLPALPAQVLLDSLRRCEFNVFDSRMSRGVHGVSPLWYQLKLNWYAWRNKY >Dexi1A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:1A:4130421:4132689:1 gene:Dexi1A01G0005620 transcript:Dexi1A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVCMSSLLLILLSSYLHQILQHTRSRLPPGPTSLPIIGNLLDVASKLPHRSLWRLAERYGPLVSVRIGTAVVVVVSSPAVAREVLKTHNGSITGRRAPDAWNGAGHAANSLITLPAGRRWRELRRIGAEHLLSPRRLDGHGLRAAIRAALLDMRRRVFEESSSSAPVEVAGVAFATMADLIWRAMFSCSLDAATMRDMHGVAKEAVRLALTPNISDFFPAVAAMDLQGVRRGMAKQMRKVYELIDHEIDKRRRGREETGSGGGSGGGSGDEHKAADLLEVMLDMWEVDEVDVFLAAVDSIPSTIEWAMAELLQNPEAMKKLKEQLNSVLGSKECVECSDVDGVPYLQAVIKETLRLHSLVPLVTNNAEDTVEIQGHVIPKGCNVIVNLWAIHHNAEVWTHPCKFIPERFLQCNKEFNYQGTDDFDFVPFSAGRRRCLGLPLATRMLPAVLGSMLHHFEWTPPQEAMKNGLDMSEKFGLTLCMATPQQAMVKGM >Dexi1A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:1A:26110222:26110614:1 gene:Dexi1A01G0018920 transcript:Dexi1A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAITIPSLVCLRRWRSRAAAAVSSSAAPAGRVAVSVEGRRFVVRVAHLGHPAFVELLRQAEEEYGFPPATAGPIALPCDEDHFLDVLHLVASPSSSSCCCCVPAALKRRGDGRPLLEGMAVEKKLVW >Dexi7B01G0024690.1:cds pep primary_assembly:Fonio_CM05836:7B:28989274:28989654:1 gene:Dexi7B01G0024690 transcript:Dexi7B01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSKYFLTVPDDWSKENRPVSCKSCAHAGSTKWPGVMDEKPPQHPNPNTIVAWL >Dexi4A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:4A:18255133:18259458:-1 gene:Dexi4A01G0015340 transcript:Dexi4A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFSGGAAVGDAPGAVTYPVALNVYDLTPINNYLHWCGLGIFHSAVEDDSHTSSNDNFDDDELEDKHLLPTSSVSEDAIVKEVHR >Dexi9A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:9A:12991486:12995037:-1 gene:Dexi9A01G0017950 transcript:Dexi9A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSQHTSHHELSGRLEGILSDREAPWARRASRAAMVELRLLAPIAAPAVVVYMLNNMLSISTQIFSGHLGNLQLAASALGNNGIQTFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSTILLMAVGVPLTAVYAFSRRILILLGESPEIASAAAVFVYGLIPQIFAYAANFPIQKFLQAQRIVAPSAYISAAALVLHLALEWLAVYGLGMGLLGASLVLSLSWWVMVAAQFVYIATSERCRRTWTGLSRRAFSGLPEFLKLSTASAVMLCLEVWYFQILILMAGLLDDPQLALDSLTVCMTLAAWVFRISVGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITVAMAVVFLIFRDHISYIFTEGETVARAVSDLCPLLAATLILNGIQPVLSGVAVGCGWQKLVAYINVACYYFVGIPLGVLLGFKFHLGAKGIWTGMLGGTCIQTIILFWVTFRTDWNKEVEEARKRLNQWEDKKQPLLVPTD >Dexi6A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:6A:6599284:6599580:-1 gene:Dexi6A01G0006770 transcript:Dexi6A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAPATILPPAARDWAALPSDIVLDVFLRLGPHEVMLGAEQACKPWRHVALEEPMLWRRVGLDKDYTDKRVKQEMLYVALDRAKGQC >Dexi9A01G0023220.1:cds pep primary_assembly:Fonio_CM05836:9A:18457438:18457983:-1 gene:Dexi9A01G0023220 transcript:Dexi9A01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHRTLPAEVDLVNSCPDWLLLLLNRCWNVRNGVLKEGETVSIEGSVMFLNCYRESLLLVRQQKVAVDDRGKQKVQAFDVPSCAKEPRLGKRWNPPAVGALKINVDGAFCRKSGAAGVGVVVRDVASWPAAVDGEQIKIFHCRDVEEAEALACLEGVRMGSRWFN >Dexi9B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:9B:1506346:1506633:1 gene:Dexi9B01G0002670 transcript:Dexi9B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAAAAAPTPVSNGAAAPAPATASLFPSGLRRP >Dexi5B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:5B:10754243:10755061:-1 gene:Dexi5B01G0014360 transcript:Dexi5B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGFRSIVQIMGLGNLKPNIVVMRYPEIWRLENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQREYGTIDLYWIVKDGGLMLLLSQLLLTKESFESCKIQVFCIAEEGTEAEELKADVKKFLYDLRMQAEVIVVTMKSMEARTELNASAKKDPEEEHASAQHRIRSYLSEMKETAQREGRTLMEGGRQIVVNEEKVEKFLYTMLKLNTTILKYSRMAAVVLVSLPPPPLNHPAYCYMEYMDLLVLNVPRMLIVRGYRRDVVTLFT >Dexi3B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:3B:6488034:6495368:1 gene:Dexi3B01G0009420 transcript:Dexi3B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCPFRWTSHRDACHATVADRGKRNSSMSFSKRTRLPTGGVRFRPAPGRPNNNSKVNIMMAPSGRKRRQAATTFILLCILSSFCVSEAQFKPADNYLVDCGSTKGTTVGQRTFISDGASPVKVSTSQDILASTSANGVASFDNSALYQSARIFTAPSSYTFPIQKQGRHFVRLYFFPFTYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPVFKEYSLNITRDTLVISFKPSNGIAFINAIEVVSVPDDLIVDTAQMVNPMQQYSGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVIDQKYLLNPTVTKQVAYGKDVNYKKGGATPLTAPDIVYGTATELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDIVSKALNSLYFNAYVGGFFAQNNLDLSVISENQLATPTYIDVVLSSNDASSKLGISIGPSTLNNVLPDGILNGLEVMKISTGGSAFTVGSGGGNKNLGVILGAALGGVGLLIIIVVLWQKRGELHQIVDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGR >Dexi2B01G0025870.1:cds pep primary_assembly:Fonio_CM05836:2B:35042239:35045512:-1 gene:Dexi2B01G0025870 transcript:Dexi2B01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARSPAWGPRRRALLLALLLLPMLLLLHHLISSPSLRPLPAPRRPSQSCDYSDGEWVRDASAGPALRYDHTCKEIFKGWNCIANGKGNGRDLLRWRWRPSGAGCELPRLDPRRFLDRHRDSNIGFVGDSLNRNMFVSLVCMLRGVSGEVRKWRPAGADRGFTFLRYNLTVAYHRTNLLVRYGRWSASPNGGPLESLGYKQGFRVDVDIPDQTWIEACSFHDILIFNTGHWWFAPSKFDPIQSPMLFFEKGKPIVPPLLPDAGLDLALQHMVTFLKKAVRPNALKIFRTQSPRHFEGGDWNEGGSCTRNQPLSSEEVEEFFSLDNNGTNIEARLVNKHLMKALRQSTFRVLDITRMSEFRADAHPSTTGGKKHDDCMHWCLPGLTDTWNDLLAVNLEVAES >Dexi9A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:9A:14081730:14084544:-1 gene:Dexi9A01G0019130 transcript:Dexi9A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRRAVAQRFASQSPAAFGLRRFLQEQPAFRPAVPPDRFMPLADRIRDLGVGFAFPRINLDGLVPPAAPQEPPRREADAARELPAASLTVEEARKVLRATQMEAARERIRASGAGAVPYAEFLRLCCDAAGPDAGPSVARALDESGSVIVLGKTVFLRPDMVVKVIEKAIPVHETLPIAENDPAREELKAMEVQKADIDRAAVAQVHRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFRAKQKRLMQARGFDLRRYDELRRACGLKPVLQAQPPCATAQESGQCHSYCHCH >Dexi3B01G0021450.1:cds pep primary_assembly:Fonio_CM05836:3B:16272112:16273177:1 gene:Dexi3B01G0021450 transcript:Dexi3B01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAPACDAASELVARGRDSAAVLQALLLGQQPVVAGAGATPHGLQELTDEILRCCDRALAALRESSTEEDAAAAAGGTRKRKTERGYGPAAHASPATSSNSKRMRVRGGEGARATRVEKRSSMEDGFIWRKYGQKEIHGSMYPRLYYRCTYKDEHGCMARRQVQQSEDDPSVFLITYFGDHTCRRDDDDERPAQFVINFGSSTSDDGQPQQSGSPWSSCGDDGLVVVSKTPDLCNSPEEELRSSTGNESDEFIIEQSASFPELT >Dexi2A01G0026490.1:cds pep primary_assembly:Fonio_CM05836:2A:38034091:38035017:-1 gene:Dexi2A01G0026490 transcript:Dexi2A01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASTTSTFLPTLARATPNLSSSAAAAAKVVVRLFPAQATTTGRVRRRASLSTPRAAVSSGTEKSQPPASSDNKDHQRVVKVSTIDEFDGALRAAKNRLVVVEFAARECESSSQIYPTMVQLSRTCGDVDFLLVMGDESEATRELCRREGITQMPHFNFYKGAEKVHEEEAIGPERLAGDVLYYGDSHSAVVQLHSREDVEALIDEHRGGEGKLVVLDVGLKHCGPCVKVYPTVIKLSRSMAETTVFARMNGDENDSCMQFLRDMKIVEVPTFVFIRDGKIVGRYVGSGKGELVGEILRYNGVRVTY >Dexi3B01G0037960.1:cds pep primary_assembly:Fonio_CM05836:3B:40802153:40803029:-1 gene:Dexi3B01G0037960 transcript:Dexi3B01G0037960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKTSANRDTPIPDPNSSVLTIWADGNLVLLNKSRSIVWSTNDTLTTSRGASNTTIVAVLLNTGNLVLAYASNTSSIVWQSFDHPTDTQLPGQKFGRNKLTGVSSRQVSWRSYSDPSPGIFSVVMDPNVAAQYLFIWNNSLPYYTPGKFNPQTGAFSGIPAMTAMSQPDSIYSYEYVDNDKEEYFMLTIKDDTVFLRTLIDPSGQQKGMVWMEEKQEWMLYFSQPGVCSVYSACGAFSWCDMDSGIQCTEPIGVELRELHSRLH >Dexi3B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:3B:7400506:7406869:1 gene:Dexi3B01G0010580 transcript:Dexi3B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEWTAREVAQPAVYVNTNEVQPHQVLGDERRTFQTKSIRPKVLKPEYPDSACNNMPEPAVQEELVVVVVEITEPEVTRFERRTSTEAQKILHKLPALLHSLRLPNVVGDACDAQARSALPEVTPAPSDHARPHGLRGRHEAEDVLEDAIRQRIDAAARHGDALAPSIHGHSVEHGREAIAIPSRLERCNHEGMKQVEAKEGSLAVLSGAKTLNADDASIAGGYAHAACVYAKVNKMTCVERATYVTRDHPILTMNPAGALGRTAPPPPPPPAVQHITVGPTMAAGRTTRPHGPTSQGLFGGYGSLSPTQPTTQFPPDPTAAPHAGGSYKWAGARPSTACAAAGSDLRRRDHSPATYHLLIPLLRRIGSDPPYHPEPPPPPPPPPAAGERPPPSPSLAVDLSSSALGWPSPALSSSLSLSLAVGFCFVNQRQRCAGFWIRCRRMVRLAASPAGR >Dexi9B01G0021370.1:cds pep primary_assembly:Fonio_CM05836:9B:16132007:16135003:-1 gene:Dexi9B01G0021370 transcript:Dexi9B01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRRNPVVPFESYYVPTDERASLEESHFYLEQFLSNSSHEQFAWTFFFLDVPQGSAGAVIHVQLKSDKELNYDFFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAILPAFWALRQKVSF >Dexi1B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:1B:5275363:5276221:-1 gene:Dexi1B01G0006430 transcript:Dexi1B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAAAAAAAPPSLEGPGRRVPAGALCRLGFRATTRSRNARATAAAADDESASAGDRCSLHRNDPGCVVGAHRQYLLAGVAAALEHGGGEGEELMAGGVKPVERFASVGDQLGDGTDRPVTRIIEVA >Dexi9A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:9A:144727:145612:1 gene:Dexi9A01G0000170 transcript:Dexi9A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSSSSNRFRVLDTTLVAPAAPAPPARSLPLTFLDVKWLHLPPVERVFFYRLTPDDADVAAILSGLRTSLSQALRAFYPLAGHVRILPSSNNNGRRRHELCYRPGDAVPFTTAAYDLLDDDIIMDSDGARPVRVAALAPLAPQLPKGRAVLAVQATLLLVVDRGEQQGRALALGVTVHHSACDGAASTHFLHTWAAAATRRSPPPPPPVIMDLIPDPRGLYDLYLKSLPPHDHPRRLRDKLLATFTLPHSLQQSIKAAVAGEAARRGITPPPRCSSMLAT >Dexi1B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:1B:4539758:4544190:1 gene:Dexi1B01G0005530 transcript:Dexi1B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPTTATLLPGPVAGSPSPRTGSMASALPASRRRRLLAVRCQSTSVDKQQQEQEQEPPKPKQRNLLDNASNLLTNFLSGGSLGAMPVAEGAVTDLFGKPLFFSLYDWFIEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRIFTKCSERTISKLEELTESEGQVIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPFTKWIVPRQRKFHSDLKVINDCLDNLIKNAKETRQEDDVEKLQQRDYSSLKDVSLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQSPTKMRKAQAEVDSVLSNGAITVDTLKKLEYIKLIILEALRLYPQPPLLIRRSLRPDKLPGGYNGAKEGYEIPVGTDIFVSIYNLHRSPYFWDRPNEFEPERFSVPKKDESIKGWSGFDPNRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALAMLLRKFDVELRGSPDEVEMVTGATIHTKNGLWCRLRKRRT >Dexi1B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:1B:9584285:9587470:-1 gene:Dexi1B01G0010190 transcript:Dexi1B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKIVTSLLLNLALFLPCLPAAVSSKLPSPLRMSKRPIHHDYTRFADVERHCKAVLSSATELRADGDFGRGRMHQLSFRDGDWSQDAGQARLLPFHGTYADAAGVSPDPELLEAVHLASFMLTHMDTVPRRGARTAFNISGLITFTITRNCCCSYTEPHPRVSPEFELRPGSAKLYVLLQGVYTETHSSGSGDDDGGGERVLCMVGDAVLPVRSSSNGTDWAKNHGGESNLEPPAVVADGNILLVLRYPMSATLKTLAVRGEMMSTSATSDGAYFDTVRLVSHLAGGGYDSGYRFQAEDAVLDAVAGCSEDRTVFHEDHDGGATELLNRGASPCDIVHQFAPGSQMMEVIPNWNCKGTDAFCSRVGPFETSRPATRAMQQDMAFTRSVIAVLGLQCKPTSSIDGTPAARVAVVFRYVPPWEHQPTAARRTGLSGMTLSAEGVWNASKGQVCMVACLGGGKEAAACHYRVTLSIRRTFSMTRRGINIGQITAMDGSHAPLLFQQRVISPRLQRFGRPGLSYIYTKVEQARELLRRSEATGFRDTFVAKSLLTYPNIAGVADDLVGMSVLADDLNLRFQGMEKQPFVPEWIDDSFFELQILSVGNLVGCYSAEFQQQFQAGSRLRIEQLGRVPTVQRQQMLNVSAEFTASRNNFLSPSPVMSLEGVYNPEDGRMYLIGCRKIHAPWRVLARRRDLEEGMDCSIEMTVEYPPTTTRWLISRAAKVSVASTRDEDDPLFFNRTGLRTLPVVYREQRCDFLTEPMVEGLLCATMLSAAIAATVCQLRQIEAHADVAPYVSLVMLGAQALGYSLTLVMDAKMLPAWPAQRYKPYADHLSWNMDCSVKALTLAALLVTARLAQKVWRSRAKARARSPLEPGRVPSDGVVLLCCLGVHLGGLFFVLAVHWLSTNGTSTTTQRHGRVIYDEAQGRMSSSSSSQMRTRAAVVVERYVGVVIGNALWRVNCKPLAARYYAGLTAVWLLPHVYGYLRPPVASSYYSEARDDVMDFYSKVSAVVVPVVGFVLALVVYVQQRWNYKIVGWAMKADKNKLQHVY >Dexi7B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:7B:22032504:22033418:-1 gene:Dexi7B01G0016000 transcript:Dexi7B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLRTTYLPETHLSCPSTGLAGARPSADLMVTEKLRTSSSALNVSLGGFTSTFTDAGTSTSTAYVEVAAPTLVTERLAVAVKGGWSRMALSPHRYGTYPAAVMLYTSPGSTALCGFTCPAPRARNVAGLPSTSAIGFRRLSVGTAVVISADLIAAGDHVGCACLISAAIPLRCGAAMDVPDLMSNLGTSALGANSTSSIDGTPARTFTPGPMMSGFKIPRLRLLGPREEKGATIGDCGVRNVDPLKTNVAVPSCEVWTYSFIFCPVA >Dexi3A01G0031580.1:cds pep primary_assembly:Fonio_CM05836:3A:36009203:36009564:-1 gene:Dexi3A01G0031580 transcript:Dexi3A01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEGRGPRRSRVAFVLVDGIGDVAIPSLGGRTPLEAARAARLDAVAAAGVVGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKH >Dexi4A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:4A:23969097:23969464:-1 gene:Dexi4A01G0020240 transcript:Dexi4A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNASTSMSWISIRVDAFSPMSPKNPAMKTGDLAASTDLCAGIDSPATTKVMSAPSWLLSSSPNCSCRSEDGALAAMASDGDMAFLGSRTINQSDEEDVE >Dexi8A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:8A:1412744:1415453:1 gene:Dexi8A01G0002110 transcript:Dexi8A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKYPPGVAGDAPGGGTDHGRLRELGVLSNFSISFSVISVLTWVTTLYNTGLAFGGPATMTLGWFVAGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGDRWAPFASWITGWFNIVGQWAGTASIDFSLAQLIQVIVLLSTGGNNGGGYLASKYVVFAFHTEETKNADRNGPIGIISAIGISLVVGWGYILGITFAVKDIPYLLSADNDAGGYAIAEVFYLTFKSPFNLGRYSVLVGWIAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFTLILLSWIISARHRFKGPVTNLGG >Dexi1A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:1A:2504704:2514107:1 gene:Dexi1A01G0003470 transcript:Dexi1A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGPAAHLSGQMSGQAAQMNQVGNSGVGVGVGGAEGLQQHQPMQDMAAFTGMDQQFLMLRSGMREKIFEYIGRKQSSAEWRKRLPELAKRLEEILYRKFPNKNDYYNMTKAPVEPQLQFAIKTLSAQNQQNQQNPQMSRQMASSSGYGTMIPTPGMTQGASGNSRIPYVTDNNSLSSSGSNMVPQNANMGTSMPVPKPPGGGLEQVTGNIYYLRQIRIMNGVEGDRMDVDPVSAEVFDDQPSVPKRLKMQPPSPSAQENDIAVTSNPRVNPGFVLQETQSEKLELSNRATYLKREVDAKADMRAPQKPVKIAYGIDGNVTARHNVIPGIPNEMNSHIKQENLSIAKETSEPGLEVKNETNDPADATVSKSGKPKIKGVSLTELFTPEQIKEHIDSLRLWVGQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQAFLKAKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVDEVKCGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFQRLKQEKQDRAAAAGKNIDEIPGAEGLVVRVVSSVDKKLEVKPRFLEIFSEDNYPQEFPYKSKAVLLFQKIEGVEVCLFGMYLAMLRKASKEEIVVELTNLYDHFFIPKIECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKRYSAQRCYDAEQQLEDKERHPTTSRDVHMLHPVDIVGVPKDTKDRDDILETKEDA >Dexi3A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:3A:2242397:2243841:1 gene:Dexi3A01G0003440 transcript:Dexi3A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQANGGKVTPNLAMDAEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGR >Dexi1B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:1B:21898857:21899158:1 gene:Dexi1B01G0015380 transcript:Dexi1B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLTNDDAFVVGGSEDGHVFFWELVDAPVVTRFRAHSSVVTSISYHPAKACMLTSSVDGSIRVWT >Dexi2B01G0035410.1:cds pep primary_assembly:Fonio_CM05836:2B:42439976:42440521:1 gene:Dexi2B01G0035410 transcript:Dexi2B01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNKVVLALVAAAVVAAACVVGTAEARGLGKLGRLVISGVVPCNTGSLIDIATSPVFPNADVELRCAGKVVAGATTSTNGTFSMELDMTSAVAAFIGGCTLVVDTPLVKCNADLTDVGSLVSYLQGPLTRLLGGIFHLFPARFSFHARRA >Dexi2B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:2B:24710289:24711785:1 gene:Dexi2B01G0014820 transcript:Dexi2B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLVQVDQSTVAIKETFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALSDKASDAFYKLSNTREQIQSYVFDVIRATVPKLDLDDAFEQKNDIAKAVEEELEKAMSTYGYEIVQTLIVDIEPDERVKRAMNEINAGKMRLAASEKAEAEKVIQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAIKDVAAQIRD >Dexi3A01G0034720.1:cds pep primary_assembly:Fonio_CM05836:3A:39951355:39954983:1 gene:Dexi3A01G0034720 transcript:Dexi3A01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTKMDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMADAIKRASGNHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTRYTGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVQLKSSPSRNMSPIYQSLTDKVKKMTFASDVTDSVRRRVARRNSLGNERTVTFCKPSPERNSISSTRSIKEYTTTQSVKEFSIDSSQVDDEVTSKAIITKTPGILRTPKSTPAKTLTTRNRLDPPKTCYTRTNHSELDMYRKFIDHHDAFVLPSINYASVPFYFTLVQLSSRTPLSKSARTARRASLPLPTYGTPSNRTISILDQLDSPDVSVNAPRIDRIAEFPLASSEDPLAPMNKLTSAPGHGSCSTPPSINRSITKDKYTVQVLHTGDGDNGSDSSGRNATAASSRGSNDSRLQRFDTSSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEMASPRETAIWLTKSFKETTS >Dexi9B01G0045510.1:cds pep primary_assembly:Fonio_CM05836:9B:44973222:44973481:-1 gene:Dexi9B01G0045510 transcript:Dexi9B01G0045510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVGREDEAVGLRGEVRPPGPGERGEQCVGCGGRDGDAVVEQEAGGLEGLPGLAGLGVGADEEVEDLRLRSGRGSEKG >Dexi9B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:9B:5732281:5737682:1 gene:Dexi9B01G0009280 transcript:Dexi9B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKYTTLGACLASTSSCRASFSPKIMEETSTAMAASPFPTVDKCSSTDRANDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIVLAPLAGLLYYFVSEPAGIQVLIFASMAGAKISDIEAVARAVLPKFYCSDLHPESWRVFSACGRRCVLTANPRIMVEAFLKEYIGTDVVVGTELAVWRGRATGLVLSPGVLVGEQKADALRRTFGDMSPDVGLGDRRTDYPFMRLCKEGYVVPATTPKVKPVAREDLPKPVVFHDGRLVQKPSPAMALLTVLWIPIGFLLACLRIAAGALLPMRMVYHAFRALGVRVTIKGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIKRLLTEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPGELTCSGGKSSHEVANYIQRIIASTLSYECTSFTRKDKYKALAGNDGSVVSKPNIDKKKVMGSAAFGLSGRVAQGPARRV >Dexi3B01G0025200.1:cds pep primary_assembly:Fonio_CM05836:3B:20020842:20021188:1 gene:Dexi3B01G0025200 transcript:Dexi3B01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISTAGTNPKSPHSCAAARTAEPSPGISMPSSGTVVGSEQTTAGQQMRSASKYEAERYMDESRRVQVPEPWPPAANGFAGMGQEHRTGGASFFFFFGVEWRPDLT >Dexi8B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:8B:21805814:21806390:1 gene:Dexi8B01G0012440 transcript:Dexi8B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGGRALAVAALLCAMAAMAAAQQASNVRATYHYYNPAQNGWDLNRVSAYCATWDADKPLSWRQKYGWTAFCGPVGQKGQAACGKCIKVTNRATSASIVARIVDQCSNGGLDLDYETVFKKIDTNGQGYQMGHLKVDYQFVAC >Dexi3B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:3B:985135:987493:-1 gene:Dexi3B01G0001340 transcript:Dexi3B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIEKAKDYTKLKNKNAAIQCLKKKKLYETQIEQISNFQLRVHDQIIMLESAKATTDTVDAMRSGSSAVKAIQQSLNIDDIENAIDEANEQTENMRQIQEALATPIGASADFDEDELEAELEDLEEEDLDEQLPEPSPRISAPVEEPLARSTSSSKQHNDLSELTKLQAEMAL >Dexi9B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:9B:2373692:2373991:1 gene:Dexi9B01G0004110 transcript:Dexi9B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPLPIVGHQSGALFDPRIKASGEDEPAGTAAATSTTSFGHEIRVSTCFASPPATSYVSIRRADGRPVDDPKVVAADGELLLIRVPVACAGPRSVDW >Dexi5A01G0030970.1:cds pep primary_assembly:Fonio_CM05836:5A:33826551:33827751:1 gene:Dexi5A01G0030970 transcript:Dexi5A01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGRRRWRWSGRSLLKLAGLCLAVAICLFVVRSLACSDSGRRARTVLLRSDIWRRTAAPCGNQGCSPGGEQWRRRLMAEGPGSYPPRCTSKCGDCNPCYPVHVAVAPGVPVTTEYYPEAWRCKCGNRLYMP >Dexi2B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:2B:7785940:7788859:1 gene:Dexi2B01G0007510 transcript:Dexi2B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPDTTAISGGRRRRVLMFPVPFQGHITPMLQLAGALHARGGVDITVFHAAFNAPDPARRPPGYRFVAVGEEGSPSDDLVPSGSDADFPGALLRISEHLREPFRERLLQELAGDGDDEEEEATPACLVVDSNLRGVQMVAEELGVPTIVLRTGGAACLVAYMAFPALCDKGLLPPASQDKAQLDMLLDELPPLRLRDMLFSPTTPHANMTRCLQNIVDAARSSSGVILNTFQDLENSDIQKISNGLGVPLYTVGPLHKISSGTESSLLEQDQTCLNWLDTQEADSVLYVSLGSLASMDEKEMLETAWGLANSQMPFLWVIRHNMVKSSRQVSLPEGFEEATNGRGMVVPWAPQKEVLGHRAIGGFWTHNGWNSTLESICEGVPMLCRPQFADQMINMRYVQEVWKIGFELEGELERGKIEIAITKLLCSEEGRQMRQRAKDLRDKAVTCIEEEGSSKSAVDLLLKRIMSF >Dexi7B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:7B:18698742:18710757:1 gene:Dexi7B01G0011700 transcript:Dexi7B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPEEKLRCTKEPFIEDVGTRTIKSIRFSVLSGNEIRKSAEAQVWNNRIYDHEMQPIPNGLLDPRMGLAKKREKGKELRCRTCHGIFSDCPGHFGYLKLALPVFNVGFFNCILDVLKCICKGCSRVLLAEKDRLEFLKKMRNPRADALLKSATMKKVRDKCKLTCCPRCEYRNGVVKKGRVGLIVIHDCSKILDGHTEELKNALQNKKEKASISSVRMLDPATVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVIVGNSRTSNEDSITAILKSVVNTNSILKETLQTGGLFSKCFDCWQQLQLQVVEYVNSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSNYNIEKLRQCIRNGPYKHPGANFYVTPDGERMSLKYGDRRIAARDLKCGYIVERHLEDGDVVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDSFYDRSSFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNAHTKVFLNLTVKEKIYSKKKEKNVGEEEESMCGRETMCPNDGYVYFRNSELLSGQVGKATLGKYCNGNKDGIYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNRQKKMKIDEGYRQCHDLISLFAKGALALHPGCNAAETLEHKITGVLNEIRTAAGNAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGTPLNLDQLFMKVMATCPPRGPDTLSPQDIRQMLDDKITQHDTSSDGACSEEFKKQLREFLEERIKLLECTRRALHLHENHVGKKDSCIEEFIAANISGISAKQLQVFLDTCFSRYQSKTIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSRKDVLSARIVKGAMEKAVLGEVASAIKIILKSSQPNLVVKLDTQLIEALHMGISADSVQLSILSHPKIKLKSEHVRVVDRDKLRIYPAGTDKSKLQLELHNLKSMLPKVIVKGIPTVERVVIGEIKKKDTLERYNLLVEGTNLLAVMGTPGVDARNTKSNHVMEMCHTLGIEAARRSIIDEIQYTMKSHGMNIDVRHMMLLADLMTYKGEVLGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDNIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPEPILK >Dexi9B01G0036660.1:cds pep primary_assembly:Fonio_CM05836:9B:38156520:38160993:1 gene:Dexi9B01G0036660 transcript:Dexi9B01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLPPSAPPPHLPSPAPKPPPSAGAALCSSSSSSSSYFSLRLRRARAAAAAGAAAAGGPERDGGRFEGGAMGGAFDRGLAEIAKKVPLFEPATDGELAAAAGERPLPINLELWLYRVKVHTRKFEFTEAEKLLDKCISFWPEDGRPYVALGKLYCKQSRYDKARAVYERGCQATQGENPYIWQAWAQVEMRAGNNTMARNLFEAWGWMEWKEGNARTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRIEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPSGPTRQGTVKAEKEPSPTTRSSTAEESSETSPAKSSDTPGLSSNDGDNSVSEATETPGSDFDLDGFIKKRLGLDSAELDAVLEGSDPRGVVSQRRKRRLPRKPLPVP >Dexi3A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:3A:4670602:4675022:1 gene:Dexi3A01G0006930 transcript:Dexi3A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGPTTSRGIEGHVRGFAARFPLVERPQIQICSLLCFFQPLVSQPPPVPFAPSSSHGIPCRPRRRAHTDPRRLAMAENPGAGGGAKVAAAAAAVERRVALGDLTNVAAAGRSLGGPDVALANGSLSTYISPLQEKEVNEDRKLKSCSTNVECLKENLSEEQGHRERAEMEVPKLKKIEDKADKLDLNFGSCNVLLSVKPVVSPDDNMPQKQPITMPNGVGEATLAKDSAQSMTREDNWLEHSLAALSEESEKPMKDQFMLIKQETRNADDTSLKDMLSGLNGMDKIFIALERTMDELISRQQGERDFNERLSIEKRKVQSLEQEIDQLRSQVALLQSKLSHGDYSASSKKVSCAVNTLAADSETKPKLNETEDWLQTVEELKGQDGGVKKQPAVIEICDDEATCIWCDDGEEPSLTSNDSGSGEPGIEPCQFTGSYEWVFRGNKLMLSSGMKKHLWELCGCVPPEIPFYVYQMNKSNVKTRGKMRLSAKYISKQLLSCLDKRVGYAHFEVDGEDHGTVRMHLNADGRVSLTSGWENVVAAKAIKVGDICALHFKISDGVLKLSVHVFHAVRHLVCVR >Dexi2B01G0029500.1:cds pep primary_assembly:Fonio_CM05836:2B:37884877:37886147:-1 gene:Dexi2B01G0029500 transcript:Dexi2B01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPVKLAASAVGGGGGGGFTAVLPRPLREWRGRTSRKVVSFSSSGKGGEEEAAQGETPEEARRRLAELDALLEGLVEPKMRPPTPPPPPDPYLDRAMMTGQSSTDELPEFSPTYVAFSTLALVILTVFTNVMFNLYVKPSVDGVDQPVRIQRVPLVDPGDRKFE >Dexi5B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:5B:1117843:1126843:1 gene:Dexi5B01G0001780 transcript:Dexi5B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMLRVLAAVLLLASAGTTATVSSLPVRRYGSIFSFGDSYTDTGNDIVFFNERNLTDPAAGPPYGMTFFRHPTGRNSNGRLIIDFIADALGLPFVPPFKTYNGSFRQGANFAVAGATALDASFFSFVPSMVQPYIFNASTNVQLEWFESLKPSLCSSPGKCKDFFYKSLFFMGEFGINDYSFSVFGKNLTQIRSFVPDVVKTISTAIEIVIKEGAKTVVVPGIPPMGCSPPNLAFFPSADPAGYDPRTGCLKQFNDLAIYHNSLLQEAIKNVQNKHRNAKVIYADFFTPIIDIIVSPQKLGQFGSDILSCCCGGGGKHNFNISAGCGMPGTTVCQDPSAYLYWDGGHFTEAVYHYIAKRWLNSIDNYHAKATTSKSAKGFFHKSFFFMGVLGHSNCIFAAVSRKNLSQIRRSFIPDVVTTITTVIEVEDNGSSTTWQCTPTRNCSLQGGLKRPSKFGQGLPRMFLSVPSAEVATAMKLTLAFLQIVLLLPSFSFSIRTNYTSIFSFGDSYIDTGNLVILYGGLATTAPDALITKPPYGMTFFGRPNGRASDGRLTIDFIAEALGLPLLPPSLAANQSFRRGANFAVGRATALERAFFVDNGFKAITSFNISLTVQLGWFDALKPSLCSSPQECEEYFAEALFIVGEIGYVDYGVMLLAGKSVDEVGSHMPQIIGKICAATEKLIDEGAKTVVVWGIPPLGCAAGNLVLFARQTGGELEPDTGCLKDLNQLSKDHNAQLRRALARLAGDGSGVRIIYADFYSPIIDFAVAPERHGFDAGGAIRACCGSGGGRYNFDLAAQCGMPGVSACVDPSAYVDWDGVHLTEAANRRVADGWLRGRYAHPPILSTTD >Dexi5A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:5A:3135895:3137562:-1 gene:Dexi5A01G0004150 transcript:Dexi5A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFPFLGRFEAGQLEMAATGVDVEQPGMVAAGDGVGQPEMAASGYGHGDASFVQTCLNGLNALSGVGLLSVPFALSEGGWVSLALLAAVAGVCWYTGILLKRCMSVDPTVRTFADIGDRAFGRRGRVLVAGFFHAELFLIAIGFLIVDGDNLNKLFPGVHVSVGHVTLAGKKLFVVLVALAVTPTTWLRSLGLLAYVSATGVFASVLIVLSVLWAAAVDGVGFSAPGATAVRPVTKLPTALGLFTFCLCGHAVFPTLYTSMKEKRRFPKMLAICFVLCTLNYGSMAVLGYLMYGSSVQSQVTLNLPAGRVSSKIAIVTTIVSPLSKYALVVTPIATAIEESILRRGGAGGRSGAAAVMPIETAVEEGILRDGAAGSGVATPIPRKLRGGFAVSVAVRTLLVLSTVVVALAVPYFGYLMALVGSLLSVGACVMLPCVCYLRVFRPPARAAETVVIVAILVLSSVLL >Dexi1A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:1A:5334475:5335154:-1 gene:Dexi1A01G0006950 transcript:Dexi1A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTEDGEGIQHGAHGDQEFEVAVLQGDGAEDELAQAGKANASWESGGVDEPPRAKVEAAEGGAGAVDEDELVDALGGEEVEPARKRGAVRVAGADEATREADEGERARGGGDGAAHGARDGARARGATVGAAVGEELGVVDDERRRAPEAVPARGEHGGAGAVLGGEAGDDAAEEVVGEGADAIDPVAVTVAAAAAAQERVAAAETGGSGIEP >Dexi6A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:6A:15891169:15894498:-1 gene:Dexi6A01G0011160 transcript:Dexi6A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVAVFCCRNPEEIPWGAAGAEYVVESTGVFTDQEKAAVHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLIRHMHSTN >Dexi2A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:2A:16833127:16842301:1 gene:Dexi2A01G0013170 transcript:Dexi2A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSGGPSGGGDRSGGRFQRGPSRWSGGGGGGGGGSPPHRYSRGGGDSGGGGGGGGGGRFHPYRGSSDYSSGGGGGGAYRGGGGGDDFGEQRHRYGGGNRGGGRGDFQDHDSRTNYVKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEAERAIRGLHNQYTLPGAMGPVQVRYADGERERHGNALIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVAAMNALNGTYIMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWRPSSPRSIAPNQYNNFGSDNPLALSGGAVTSSDAAAFRPQMFPGNGSLSTQTAVPTSLHMELNYSQLQAAGSIDTDRIQQVV >Dexi7B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:7B:17405988:17409364:1 gene:Dexi7B01G0009980 transcript:Dexi7B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGGKKPAAGGGRGGPTIRTLADIGRGPTGFPGAGGSGSDSDEPQEYYTGGEKSVDDGPLRAYDDPENADFIESLRMSQCPRELEPADRSTPVHVNVVKRLEDYQEPIRPRSAFQGVGRTLGGGPSADDSSAPAPAAPTSAPPASRSSSFIVDDSQPFTSIQLRLADGTRMVARFNMHHTVADIRSFIDASRPGASRPYQLQTGFPPKQLTDPTQTVEHAGLANSVIMQKM >Dexi9A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:9A:1433900:1437181:-1 gene:Dexi9A01G0002670 transcript:Dexi9A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHLPNKLWERVKLPRNYEKAMDVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPKKQTQRDLRRLDKAEKAAQLERNIENELKERLQKGVYGDIYNVPFKKFEDLVGVNEEPEEEEEEQGMVGEIEYVDADDVKEMSDMEDMEDFEGLSDGDTDEDDHLDDQLSKKSKGSGSDLKKNAGKRSRKVMTEVRSQ >Dexi2B01G0024310.1:cds pep primary_assembly:Fonio_CM05836:2B:33796286:33799656:-1 gene:Dexi2B01G0024310 transcript:Dexi2B01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQCLPPSVEPRVSDHIDQIINMIKQCCVPFLYGGVECDQAIDRLISSFLHPFSEQILDNNCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKTLHDCEESCQQQEKNSGDSLPANTLNYIEKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLAALEEKIKVVLSVLGLLPSSYHEVSTSVHDRISFNTDC >Dexi9B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:9B:14580808:14581206:1 gene:Dexi9B01G0019920 transcript:Dexi9B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVSPVISAHLGCTTSAAACGIGVSCNPNEDEECANPNAKGIVGRSSSGNQAFGSNRAPAQAKEASVVVPSEVSCPAAGNTTAQSKAADGGDGKVEAEHEAEAQAIAEGAIRQLKIY >Dexi3A01G0030500.1:cds pep primary_assembly:Fonio_CM05836:3A:34486508:34487478:-1 gene:Dexi3A01G0030500 transcript:Dexi3A01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSGSKKRRTRSGRPNPTALLTDDLLVEIFARAPYRSLCHFRCVCKHWSALIAHPDHRARLPQTLAGFLFLYPMPFDAAAGLDYGFANVSGTEAPFIDAAFSFLPDREREHIMLVDCCNGLLLCRSYRFAEVGEFDYLVLNPATEKWVAVPGTRRWWNNVQTVRLGVFLDGVLYVSAIGDIEFVIGAVDVEGETWRIIKIPRSKCSLFSETSPAFIDLSQGQLHLANVDDMVGDKLAIYL >Dexi3B01G0023240.1:cds pep primary_assembly:Fonio_CM05836:3B:18036580:18040481:-1 gene:Dexi3B01G0023240 transcript:Dexi3B01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLKVVGTPNYMCPELLADIPYGFKSDIWSLGITFYLPQDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLLPYVNQYRPLYDASNPMRMPEKPSPTSRSTQRSMSDSQSSSISSSDIDSNQSSDRSTSGGTTSTDRKTVDTGNVRDADQVMLDENCSTPEDFRCKDSSSIQFKRQDSSKSIHVDHPTRTESKQPKIIEKIMTTLREESRLREVNSPFRGGIKPISGLGNSNQVEQPLQVSRTTNSDMPRTLKSGNMLSHEEHVNQVEASSPMKQLSPIAEHSPKIKNASPLTPEPAKQIAENGAVASGKTKSKIPPATRRPSPQRQAGVGTPSPPATVTRRAHTKVTAEKEKTPERPSCGPDIALSNPRSSAPNSVSEEDDLSTITCSEISTDKIIVTNDGGLTLRSALEPSFLSSEQEFVCKDDVQSSKPKKSTTFERGEDKFMVQELLSLAPEAPSILSAPEVAPSISLALEVAPSISLAPEVAPIPATNGTLLEAPISLQSWKKHVVSHLNPPVEDITQTIRHSTLGVSDEQPAPESVKREAGSTDIIKLLSVVPEEADAKSSSSNTLPPAASPVTATSHVSEANAATKAPDTLDLVKLSAASSETSNVMKEEASPTKEALDVTSFRQRAEALEGLLELSAELLENQRLEELSIVLKPFGKNKKTNVSSPIFCNAAASPIAQLRLPGVLDPLSVALLFLKNGCNSAKESQARGYVADEYEGSMEEIHMCIEISAKQE >Dexi1A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:1A:23181150:23182978:-1 gene:Dexi1A01G0016210 transcript:Dexi1A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSASPYNTQQSLHLLPLTDAIRHCTTSWTAGRGSPPRREVPCRRPESCCSAGIGGSAHVATARVAPPPNGTPAARKACGQRSSGGGDGRWAAGGAGQMRRRRSARADATAAGENLYAR >Dexi4B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:4B:3436480:3443366:1 gene:Dexi4B01G0004780 transcript:Dexi4B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPVFCKKFVELISTLKERDASKFDNVVLLLQDMLEVITRDMMVNEIKELAEFGHGNKERRQLFAGSGTKPAIVFPPPISSQWEEQIKRLHLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRMNGIISWKELIAKEKVKYGETRKMSYNFVIGLLLEAKHSVEQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAVADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDDVKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDMVVIIVYVFLYGRLYLALSGLEFAIMKQALMRGNSALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVRFAENYRMYSRSHFVKGLELMLLLVVYQLYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPSNKAWESWWEEEQEHLQSTGLLGRFWEIILSLRFFIFQYGIIYHLNISAGNKSLSVYGLSWLVIIVVVLVLKVVSMGRKKFSADYQLMFRLLKLSLFIGSVGTLAVLFTVLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMSIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >Dexi5B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:5B:6772010:6772889:-1 gene:Dexi5B01G0009710 transcript:Dexi5B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPVLLLLFLLLPITTNGTVSITNRCSYTLWPAAMPVGGGVQLNPGESWILHMPTGTTTGRVWPRTGCTFDAAGSGSCQTGDCGGMLNCTQYGQPPQTIAEFSFGASGQQTDYFDISLVDGFNVPMDFLPAPTNGQGGKGCSKGPRCETNITSQCPTALKAPGGCNNACSVFKQDIYCCTGSSSSNCGPTNYSEVFKRICPDAYSYPEDDSTSTFTCPTGTNYQVVFCPPTNMSALSLPPDANPPAPIATEPPAANPIAPIPTEPKHKNSSSYARRRAV >Dexi9A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:9A:15598225:15601669:-1 gene:Dexi9A01G0020550 transcript:Dexi9A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNVTCLTRLDHNRALGQISERLNVQVSDVKNAIIWGNHSSSQYPDVNHATVKTSSGEKPVRELVADDEWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKMDATAQELSEEKALAYSCLE >Dexi2B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:2B:8187038:8188841:1 gene:Dexi2B01G0007980 transcript:Dexi2B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPGAKEAGEGGGAKAEWLIYAFVARGTAVLAEYTEFTGNFPAIAAQCLQRLPAGSGTGGGGGAPARFSYACDRHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGRADTALAKSLNKEYGYVLPIIKQHIQYVLDHSEELDKTLKVQAQVSEVKNIMLDNIEKTLDRGEKLTELRDKTSDLHNQAQEFKKKGVKIRRKTWLQNMKIKLVILGILLLLVLIVWVSVCQGFDCTKHET >Dexi1A01G0030090.1:cds pep primary_assembly:Fonio_CM05836:1A:35431443:35434639:1 gene:Dexi1A01G0030090 transcript:Dexi1A01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQVCQVREADVLKQKAYMLFYVREKVRSSVIHKDNGTAGLSEKEISGKIACMNDAIRNGLVEKAIDFSTIAIEGMKLQKHDPDNGQPCGINATLQNQGSNEHSSIEVIDASTSQNNEPAQKAPHTLPDGVDTLSTKSEQITLGLQREAMSPGQPDVCILVMKSEKMNPDDGQPSNISTASQDQCSNEQGSTEVTKASTSQNNEPVQKATCSDLEGTASTKTEQIAPSSQKETASTAQPDACILCDASSDQKAYEKPLHELQLQPDGALPGSGKGTLVSAFQLCTGADVLLEANKQVNEPRTEVFCKPTPDCDATTIAPVIPVEITCDVSDPDVSNGTITGNEYSTNGEAKGIEPVELHDGLIVVKELCEKNIDDKVEAEEQTAVRNSTLGYGHCMMKGVSIMETGHMADADDQMYHKKRNIGNSCAAELAIDKKGSKHATLAGAELASSCPSSVSNPDSGKCGGTDEKGSWHFDLLTMGLRVPRWGDDDMPNPKAAELQHSSSTSIGYVLDERDEEYDRGRRKKVRKSMRDFSGPNPFQEMENIRSRQRRRLQADQARSGHQPLRI >Dexi9B01G0040690.1:cds pep primary_assembly:Fonio_CM05836:9B:41206005:41208936:-1 gene:Dexi9B01G0040690 transcript:Dexi9B01G0040690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPRLALRAVGFLVLLMLHCGSELVAAVPPDGWYDYSAYNDCRGQPEPALYNGGILKFGTSGDPDGYRTTETGVFSPAFVVYNLNKTTMYTFSCWVKLEGASSALITARLAPDNSGARCIATVLARSDCWAFVKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRVATIHVADPQGSRVVGASVSVQQTTKDFPIGSAIANTILGNQAYQQWFVDRFNAAVFEDELKWYSTEPMSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTGDDLRSAVNTRIQSLMTRYRGEFAHWDVNNEMLHYSFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYVAKLKELRAGGAILEGIGLEGHFSKPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAVYLEQVMREAYSHPAVSGVMLWTALHQSGCYQMCLTDWNLKNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYVLTVSYNNRTTQSTFSLSPGDETRHINVQM >Dexi9A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:9A:9729911:9733831:-1 gene:Dexi9A01G0014760 transcript:Dexi9A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLPPSPQREPNPRPRATDLPDPTTVTLWQLKLANGSYGPTGSGVCKMDPEASVSSPMELERMLTDERTEPKQLQLTLLKSITNNFSVDLEIGTGGFAVVYKGLLQNGTVAVKKLTQTLEVHETKFHQELDSLMRVKHKNIVRFLGYCADTQGKVWKLGSKNVMAEERQRFLCFEFLPQGSLDKYISGKFIYLQQHFADASEGLDWLTRYQIIKEICEGLHYLHQQKIVHLDLKPANILLDHNMVPKIADFGLSRCFDEKQTQAKTSNVFGSQGYMAPEFYGGLITYKSDIYSLGVIIIEILTGQKGYPEIENVREQSIPG >DexiUA01G0025040.1:cds pep primary_assembly:Fonio_CM05836:UA:52497505:52499669:1 gene:DexiUA01G0025040 transcript:DexiUA01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding EELMRLQLEASAKAKQLTEVHGAWLPPWLAAQYAHYVEVVSGHWSQHGKPTMQNFLQKASEKSAQAKKWAEPHIETAKTKWVPVKEKLVVLKKNAEPYIQKVSTRSVELYETSRDAVAPHVVKAKEFAHPYYQEAKKFSKPYIDQVAEITKPHVERVRTALKPYTKRVVRAYGSFLESATTYHRQAQAAILDYLHQHEITRSLATKELVWFLASALLALPVFFIYRLLIDTFCTKKPKRSRGGNGNHGHKRHKRRHTDK >Dexi1A01G0029930.1:cds pep primary_assembly:Fonio_CM05836:1A:35316597:35325735:-1 gene:Dexi1A01G0029930 transcript:Dexi1A01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKSKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGAQLKDTVEVASLKPCHLSIVDEDYTEELAVAHVRRLLDIVACTTAFGAKKPEQKPTTPDAAVAEAAKPGSPGKTAPAGGGGEEPMYPPPKLGQYYDFFTFSHLTPPLHYIRRSTRPFVDDKREDDFFQIDVRVCHGKPVTIVASQAGFYPAGKRALISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGQGRDGKQDHRPWKKEFTILAAMPCKTAEERQVRDRKAFLLHSLFVDVAVLKAVASIQQLISSHTSPHEAANGTTGPVLHTEQVGDMKIMISKDRADASSKLDIKLDGSQAPGMSSDELAQRNLLKGITADESATVHDTATLGVVIVKHCGYTAVVQVPVDADLATTSIAQQGIHIEDQPEGGVQRFHGCDPQDNEATKNFVQKIVTDSLEKLENEAPMVTRPIRWELGACWVQHLQNQTSEKTETNKSEETKNVPTVKGLGKQFGQLKEIKKKTDDKSGKGAYAKENASPNTDNAHTDNTTSAKEDKEAALQKLLPEAAFQRLKESETGLHAKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDASAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNPSDLVDDEDQKSPPPNNDNLQMEKETAGVKENGTFVEHVKVKEEIPSDTAIRIPQDDFIEEYTSDEGWQAAVPKGRSTGSRKTGAGTRKPNLAKINTNAFHSENGRYKGRGLSNFSSPRVSPNETSALIASSPLAKKLAKSSSFNSKAGTSHSGDNSSIPNSKPASPAITSAAAKVIPSTAPTSSQTVRKSLSYKEVAIAAPGTLVKALSEVHTEDKDTVDQGASVDSAKPPKESNGHPSGDKDGATEVSQKGDSSRVSKATDGGKSEQTDVSVGSDQPESEHEKTSDAAETSVAKKTTDLAASVTSSATQTEAEAPNAEAPAVVEANDSSSNDDERDTGEDTPEQLSSGGENEKSSPSESEKKETTSKLSAAAAPFNPSSVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRTKPVLPNGEAPTETNTSAPRVMNPNAPEFVPGQSRSPNGHPASPNGPLTSPGGIPSSPQGLPSSPDSTVESPVTASPQVSECSQTSPEENVASSEINVEAGGEKQNTDDTNHTESEDGEAEPEQTTALEVAEEAAAGKDVTEESIATEQPKSWADYSDGEVEVAS >Dexi1B01G0026040.1:cds pep primary_assembly:Fonio_CM05836:1B:30987076:30988094:1 gene:Dexi1B01G0026040 transcript:Dexi1B01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAAAPAPADEGGRQLDEHGAALYLPRLLAGVVSGALTGLFALAGALTGAVTGAVAGRASDSGVLRGAGMGAFAGAVLSIEVLEASRAYWCSDRLGSHGASSMVRTVKLSSSTTLSLSVSNADFIEQLIQARFAQEQFASSGYTPSRWQVSTKHQ >DexiUA01G0019370.1:cds pep primary_assembly:Fonio_CM05836:UA:40367886:40372050:1 gene:DexiUA01G0019370 transcript:DexiUA01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEAATEGARASSGGGEGGADGSPGGGDLDRLSALPDSLLHTIMSYLKARQAVQTCLLSTRWRHLWHPMPCLDVDHDEFRAAASAPSNHHPPAANPDYSDSDLDSYDEDSDDNNDDSSVNDREWDDFEDFAENLMHRCNIAQLETLRLHVNRSRAPRFADKLAGGWLRRAMKYCTPDPPRQREGMSHGSWLMKRMYLCNVALDNRFSNHLLSVCLLLEDLELDDCSCEIRSISSHSLKTLVLKNCRFRILSEITSPTLKRLVISGGTNSDDYGNKQPQQQRRRAATARASVPAFGGWEGGGAAPDYSLDFTKIRAARMQQRQRKALSWSSFVGNATANGGAAAEDEDKHQWSSAASDADDERDRRRRHRPRHRRVRSDVADRDDREPIQPPPKQAP >Dexi5A01G0025400.1:cds pep primary_assembly:Fonio_CM05836:5A:29264340:29265379:1 gene:Dexi5A01G0025400 transcript:Dexi5A01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPESTTTPPRPVAASSARLLSLASPATRSPPTLKPTMSTLGLLGFVRSGVNAMDGGSGARPNTRYDVEAVGEDAPVGVRHLRREGELATADAEQPGGGLEQAAVVVAAPERDVVVGDAVVVGEDDEPVGGEVAGGGGAVAVDDGVDAPARAGVAAGVAEHAAAPRRGAGDPAVRGGGAPARVVERGELAVAAAARRALEPDEVAAGVDDDERARGRVADADGREVLAAVEREARDDGGAELLVVGDGGRVEGGCQLHGVVGGVGCVEAEADRRRGAGRGREGRVAVEDGDVESSWWLGGVGAEEQDDEEEENYCGQRHAGTAASRRDEVYAD >Dexi8A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:8A:5875646:5881390:-1 gene:Dexi8A01G0005960 transcript:Dexi8A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSPTSEAAVLFLVTAAALQLSAAAVAAGLQQRIAKPGCNTTCGNVSVPYPFGFSPGCYWPGLNLTCETSHGGTPRLLLGDGTLRVTEIFIENATVRVMRAGSSAVINATGTELNSDGWNVSFGRGFAEYGYKLSYVNELVVFGCNVVARILADNGGKPPRSIGGCASFCTTIDLGDQYSIVESVGLKGEYCTGIDGCCRASLVVSGLPNEVQAKWLYSENHTAWQDVLQVNVLVAEEGWVYKYMRALETNNEPEEEVPIVIEWSVTQDLPQHSDEEPDSTCPDHVSRILCKSEHSQCSIEKPGYTCGCEEGYDGNPYLPGGCQDIDECKLPSEESGCFGECVNTVGSMECRCPHRTFGNPSVKDGCVKMNDSTTDEQQLPTVAPVRVGLHDNCNTWCGDVRVPYPFGISPGCYWPGFNLTCNTSYNPPRLLLDSKGTLEVVNISLLESTVRVIYHTLTYTNSTSDPKINMLIYFYIPDIGEPYTLSTKNEFIFYGCNVQATLYGEYSNVQATGRIISSCNSTCRSNSNYIVYQDGAAGSLVVPPHSKGGYCSGRDDGCCHAPIAAGSTPKRMEIKGLNLQINQLNFDIMHGVALVSEDGMTDQWHITLNNSYLLTTPWTSRLNNFCLSSPLVLRWAVKQGFPTYSSDNSSGQCPREVSNSLCRSEPSSCRQENGGFMCYCPKGYLGNPYVVGGCQVIDECQMMKQSGKQCFASCINFPGGGHECRCPRGYFRSPSKLGGCIPTGHTQWILL >Dexi2A01G0029590.1:cds pep primary_assembly:Fonio_CM05836:2A:40661411:40662271:1 gene:Dexi2A01G0029590 transcript:Dexi2A01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQQQVDPGGGGSDRITDLSDDVLHIILARLPTTAEAARTSVLSRRWRRVWTGVPALSFRYGEAPTSSTAQLQDELNRIDAVLSGHAPMTKTLERLEIAVPYGVVPDARVARWLRFAARRLNGELRLALPSSMRWPDEAAAAAIPLSERVTFMRWREESKEAAIPLCEGVTSMSLCLERTLRFPVHSAAGAFTALAALVLRKCCVDGGELESIFLKKLALKDVTVATLQPPAGDDRGRGICICSLSLEHLKITYIYISLNGRSTACSRLPPQSSSRSP >Dexi3B01G0027590.1:cds pep primary_assembly:Fonio_CM05836:3B:23243308:23245980:1 gene:Dexi3B01G0027590 transcript:Dexi3B01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVERPEATEEEDASAAAAAAAGEEEDTGAQVAPIVRLEEVAVTTGEEDEDALLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVISTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFKDQVEEIAESLASSDVKETEGGASSAGLLEKLTVSESKSQESVKAESAESGKEIQSKAEATPSE >Dexi6A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:6A:28102001:28102762:1 gene:Dexi6A01G0020660 transcript:Dexi6A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNRQRRSSPPATASLPYFPPELIPEVARRLTSLQDFFAIRAACRTYRALLTPTSSNLASQAPLLLVPVEDTLSHALFHPNLRRIHRFRLHRTLLANDDYASTDFHSLGGRLAIYVVRGRVGTLSIVNLLTSERTCLSTPPDRIHRVLLYGDLVLTWKCSGCAIQYCYLTHA >Dexi6A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:6A:18200403:18201349:-1 gene:Dexi6A01G0012020 transcript:Dexi6A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLTALLVLFVVGQQGHAFYYTNYVSASSASSSSRRAADAKMAVFFREEALRVGQSLPFRFPAAVAAPLGLLPRHVADAIPFSSSALPGVLALLGVAEGSAQATRMQDTLGMCEDPGLEWEAKFCATSLEALVEGAQGVLGTKDVREMISRVPPTGAPLQPYAVRAVRPVGGDVFVGCHQKEYPYTVYMCHSTGPARAYEVEMEGAAGGGRVTLFAVCHTETSEWYKDHVAFRFLGTKPGGPPVCHVLPYGHILWAKKDTAGHSSA >Dexi9A01G0022430.1:cds pep primary_assembly:Fonio_CM05836:9A:17630435:17631332:-1 gene:Dexi9A01G0022430 transcript:Dexi9A01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAKSLILCTVLAACLALTSAQLNSGTATFYGGPDASGTMGGACGYDNLYNAGYGVLNAALSQTLFNDGASCGQCYTITCDASNSLWCKKGNSVTVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAFEHIGVAQAGIVPILYQRVQCSRTGGVRFGLAGSQYFLLVNIQNLGGSGSVAAAWVKGDKTGWIQMSRNWGANWQALAGLTGQGLSFAVTSTGGQYMQFLNVVPGWWMFGQTFANNDQNFAY >Dexi6A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:6A:28055876:28056633:1 gene:Dexi6A01G0020590 transcript:Dexi6A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRRRGRSPPPAAAPPPRDPVPAAPSPPSHFPPDLLRDVASRVTSLHEFFALRAVCRAALPLAAPSLAPQPPLLLVPDTAAASHALLHVHRGFHRFRLTRTHLTGETADVHSLGCRVAVDLRERCQLRIVHVLTGERTRLPSPPSPFSGLLLSGDLVVAWDSNHPSLQHCSLGNPKWRVAVLQ >Dexi9B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:9B:1495445:1496530:-1 gene:Dexi9B01G0002630 transcript:Dexi9B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEESVFSTSDDASISLLRRLHAGATVHFVHRVDVCSAAPEDLVAGLQPVPGTDLAEDGYNSVWYLYCLKRFKNAQGKPSGHRQRAIAGGDTCWHSETAPKPVKGLEGATFCNLSFGRKEGSGRSFNRMGWCMTEYDDKNDHVLCKVHRSSSSLAKEKSKNSSAGCKRKATVEHPQAPPTKMSLCASVDDQVDHHQVQPPLLTGQQMTMPECEDIDYESLFAAIDDYESLFPIGEENQQLDQNILPPAEEQQQLEQNIIFPAEEQQQPEQNILLPGEEQQQLEQNTFFPAEEEQFEQNTLFTMEELLRSPGLGLAF >Dexi2A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:2A:2950356:2951516:1 gene:Dexi2A01G0003360 transcript:Dexi2A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAMSSPMGLSLLLLASLTALLVVAPRLSPPPQAAAAAAAAAGEEAPPAAGASGAKALASGGGVWGAALVEEEADDLRLFRRAALEEAGGGAAAAAGEARGPPKVAFLFLTNSDLTFAPLWERFFAGNEARLTVYVHADPSSRLRLPPTPSFRGRFVAAKATRRADASLIAAARRLLAAALLDDPSNAYFALVSQHCVPLHSFNRLYTTLFPPHPSAAAAPRRLPSYIEVLTGEPQMPERYAARGEGAMLPEVPYDRFRIGSQFFTLARRHALLVVRERRLWRKFRLPCLPDMAQDMCYPEENYFPTLLDMADPAGVARYTLTRVNWTGSVAGHPRTYAAAEVTPQLIDELRASNNTHPHMFARKFAPDCLGPLLAIADTIIFKD >Dexi5B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:5B:16176574:16177819:1 gene:Dexi5B01G0016620 transcript:Dexi5B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAAPLLASRGGQVETLLDPHDGEVNVPTVLGGGLMLAPYRGREPETEQARETLETGKRRPMCRGGEDFPKETERVG >Dexi3B01G0035530.1:cds pep primary_assembly:Fonio_CM05836:3B:38546477:38547664:-1 gene:Dexi3B01G0035530 transcript:Dexi3B01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLITEIGWTEFDFLSHGEESEVMAQLLVAFPSYGEESPQELPWSDQASKSYSGNIGSSLAVPSAYEGYYLSNSSEALRITSCTAPEDLSLVQEYGATEFVNMFSNHSLDFYGNGDRNCGDLDDPSMSMLDSVSATNKRKHLAEGVEGQRRGQKCARKGETKRTKRARQSGDEDASMAVASGSPTSCCTSDSDSNASLESADADARPKGKARAGRGVTTEPQSIYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVQYVKFLQHQIKLLSSDDMWMYAPIAYNGMNVGIDLSLDR >Dexi1B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:1B:3172182:3172772:1 gene:Dexi1B01G0003970 transcript:Dexi1B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDLPPAEAAAAVKEEEAAAEEEDLIEIVEEGSGRLDISRYVDHVRDLSAGAIATFEGTTRDQFAGRRVVELRYEAYAAMARRRLAGILREARSRHALRRLAVAHRLGPVPAGEASVFVAASATHRADAMEACRYVIDELKASVPIWKKEVYDDGEVWKENREFLDRLSGDGSAPAPAAKAGGCCGSKVRVLDA >Dexi8B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:8B:7767960:7769353:-1 gene:Dexi8B01G0006620 transcript:Dexi8B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRQAFYGVFDGHGGHAAVDFVSRRLGENVVSAVLAAAATREDETSPAEEDAVSAAIRAAYLATDRELLAQHQQQGMTRGGGACAVTSLVKGGNLYVAHLGDCRAVLSHGSAGNSATDDHTCAVEEESGVWRVQGSLAVSRSFGDAGLKRWVIAEPAVMKVALDDAGCEFLIIASDGLRDKVGNQEAVDVLSRNRANGCVELVDLARRRGSKDDVTVMVVDLERFVR >Dexi9B01G0027850.1:cds pep primary_assembly:Fonio_CM05836:9B:30334413:30336590:-1 gene:Dexi9B01G0027850 transcript:Dexi9B01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKAIRIHELGGPEVLSWEEVEIGDPNEGEIRIKNKAIGANYVDIYYRTGLHRTPLPFIPGKEAVGVVSAVGPGVTSFKVGDVVGYADDPMGSYAEEQIIPASVAIPIPPAIDYKTAASVLLKGMTAYVLLRQAFKVNRGHTVLVHSAAGGVGSLLCQWAKALGATVIGTVSTEEKAAQATQDGCQHVIVYTKEDFVTRVAEITSGEGVHVVYDAVGKDTFQGSLACLMPRGCMISYGQSSGNRPDPVQLSGLAPKSLILGRPGLMHYTTNRDELLQAAGEVFTNVMSGVLQVRVNHVYLLSEAARAHKDLEDRRTSGSIVLVPDSQRL >Dexi5B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:5B:7528043:7532404:-1 gene:Dexi5B01G0010660 transcript:Dexi5B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDDQRLLHSLGVTSANIEDIEKKILSQASLAQADPKHEAEQGTAADDHERSDATPEADAQAKLHQKLLSVQIEIDAVSSTIKRARHTAGKQTESSDSGDAQDKKQKQKRADGTAQDNAHGGALQQALAAERLKSLKKAKAQIQKEISQSDPCPSGPNKGKDKMLAMLVEEEQRRKKKTLMPSRGPKKMSAPRLKTMSYNDDDDFDAVLDGASGGFMETEREELIRKGLLTPFHKLKGFEKRVELPGPSHRQNDGIDEAEEAMEASRIAKFTQSMQQIAQSRPTTKLLDPESLPKLDAPTAPFQRLGRPLKRPVSPSSEEQEKKRRRNKTKRPLPDKKWRKANSMKESLLETDDEDDGDIEVSVSEDEDQAADGFDGLPPVILEGGLRIPGTIYEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWRREASRWYPKFKVKILHDSANSSSRKKKAYSDSDSEGSWDSDQEEVRRTKPAKKWDDLISSVVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLRVWKDQGHRVLLFTQTQQMLDILENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDGGNGSTETSNIFSQLSEDVNIGVPNEGQQGQVHIASTLPSTSEAEPSSGLKGKVDDTSDQADEESNILKSLFDAQGIHSAINHDAVMNANDDQKVRLETEASQVAQRAAEALRQSRMLRSRESFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLISSSQPSETSSSRSQSLPVGALNGKALSSAELLAKIRGTRERAASDALEHQLNGGSSSDNVSSLPGNGGRSSNSSNRSMIVQPEVLIRQLCTFIQQNGGSATSTSITEHFKSRIQSKDMILFKNLLKEIATLQRGTNGAMWVLKPDYE >Dexi4A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:4A:15276731:15277174:-1 gene:Dexi4A01G0014000 transcript:Dexi4A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDLKKFLAVLMLHTVPILYDKYQDKVDHYAGRAHTEARKHYEVLDAKVLSKIPRGPAKPKKQN >Dexi3A01G0029170.1:cds pep primary_assembly:Fonio_CM05836:3A:30581104:30585771:-1 gene:Dexi3A01G0029170 transcript:Dexi3A01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIISALIGDAVSRVISLLTGRFNHHQSTEARLRKICHMLVRIHSVVEEAKGRRITNPGVLQWLSELIDGEHQGRYLLDMIGGGSGGDRNELEDKEYSADKVLPLPRASSISPFNPAKRMRVAARSAMKRALSICDLCGADEIDRVLESLQGVSADLGEFIMLLQGYQPISRPLPTNIFVDGQMFGRHVEKERIINFLLHTDDRSSGELGVLPIVGAIGVGKTTLVQHACDDDRVRRHFSVIVFFNFSCTYAIATSGTTAAALRSKHVIGAADQLSLNDPLHWIKRNFHNQKFLIVFENVDMCQKQRLEELLLKLRCAAQGSRVIFTTNNRRVSTLGTVEPIILKVLPNPEYWFFFKAHAFAGRDLEENPRLVTAGEAIARKLNGSFYGAKIVGRLLKDHPDPRFWCKPSSKGTESRDMRFRRGKSSNKAKKGSTTPWQNGERKVDGGTGSNSRQVAPDTGFGGYGSSIDETFFEANPWLESDCEDDFYSVNGDLTPARSLSSQTSRVPPSPANKNLPTLGAILKAEPLKPPTQNMRKLGDLLREPQDEGDLSRADSLRLAEEANRCCVPQFARAISCNGRRNSLQK >Dexi1B01G0031190.1:cds pep primary_assembly:Fonio_CM05836:1B:34897653:34900430:-1 gene:Dexi1B01G0031190 transcript:Dexi1B01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAAAAELRRRARSSPLPAPALSSLLSSPSPQSPAASTFPDPSPLAHRRHLITLRRCPASASAFAPDLHHARILLPSHFSQALQLSTSPSSSEPAAKASPPPPTWVDNWIPEAARPYAMLARLDKPIGTWLLAWPCMWSITIAAMPGQLPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGVLTPSQGVGFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKYWISGFGAACIGSLALSGYNADLGWPYYPFLSAAAAQLAWQISTVELSNRSDCNRKFVSNKWFGALVFSGILFGRLVS >Dexi2A01G0025060.1:cds pep primary_assembly:Fonio_CM05836:2A:36837197:36839702:-1 gene:Dexi2A01G0025060 transcript:Dexi2A01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSTCITAAFLLLLPGLCSPAGDKLTHGESLSPGEILVSDGGAFVLGFFSPSDATPTRQYLGIWYSNATVPVRTVVWVANRDAPVIVVDDERSGNSSSPSLAFVNDTSTNLVLTDASGRVVWSTKANITGATSSSATAVLQNDGDLVLRRSPDGATLWRSFDDPTDTFIPGMRVGRRYRTNEEWRIVSWKNPGDPSPGSFSYGMDPSTSLQLLLWNGTRVYWRTSAWTGYLTAGMYYLGATTGTVIYVSVVDNDEEIYMTFSVSDGAPPTRYVVTDDGKFQLLSWSRNASAWATLQSWPAGQCSPYGSCGAYGYCDHTNTTQQAVSSTSTCKCLDGFEPASQDEWSRGVFARGCRRSQPLAPCGGGGGEGDAFLAMANMKVPDKFVLLGNMSSGDECAAACRTNCSCVAYAYANLSSSSAKGNIGRCLVWNGDLIDTQMIGAIWGVTAETLNLRVPAGFTGKRRSSESVKKLVPGSVRTSSELGEGNHTEDLEFPSIQFSDIVTATDNFSRACMIGRGGFGKVYKGTFLGGREVAVKRLSKDSEQGIEEFKNEAILISKLQHRNLVRLLDLGLSRVWLGDFCISIKIQD >Dexi1B01G0025680.1:cds pep primary_assembly:Fonio_CM05836:1B:30675835:30681155:1 gene:Dexi1B01G0025680 transcript:Dexi1B01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYGGARKKRGWRGLVAAAYLVFAVACSAVLHWHLRGESLDRAEERLISMCEERARMLQEQFGVTVNHVHALAILISTFHYEKQPSAIDQETFAKYTGRTSFERPLLNGVAYAQRLFHHEREMFERQQGWTMITMKERMPAPRQDEYAPVVFSQDTLKYLAKIDMMSGEPDRENILRARTTGKAVLTNPFRLLGSTHLGVVLTFAVYRPDLPDDASVEQRVEATIGYLGGGLDVESLVENLLSKLAGNQDIVVNVYDVTNASEAMVLYGPSSLDDHVPLLHVSMLDFGDPFRKHEMRCRYREKPPLPWSAITNPLGMFVIWMLLGYIICAAWSRYEQVTEDCRKMEELKTQAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVLGDPWRFRQILTNLVGNAVKFTERGHVFVRVCLAENSNVETNQAVHGTMNGKDGKVESIANGAFNTLSGYEAADRRNNWQYFKLLLSDKESLLDDLDGETSNQTESDRVTLAISIEDTGVGIPLKAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFTSTLKRSYKDASADSSRSLSDALPTAFKGMKAILIDGRPVRSAVTRYHLKRLGIIVQVVSNMSAGLKALSGQNGATISREKATMIFIESDFWRPETDVQLLNGLCGRKNGQLPDVPKVVLLVTSQADKDKYGSIFDIVMCKPLRASTIASCIQQLLKVEVPERKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAAAALKKYGAKVHCVESGNDAISLLQPPHSFDACFMDVQMPEMDGFQATRQIRLMEKKANEERKKKLALEGSTFVEYHLPVLAMTADVIQATYDECIKSGMDGYVSKPFDEEQLYQAVSRLVVGATDPAD >Dexi5A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:5A:9413010:9416775:1 gene:Dexi5A01G0012580 transcript:Dexi5A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAALSPPRVALDARALFSPPLSLPASPSTHLRLAARPRALAAAAKPRFLSPHRDPAVDGGRGARDVVAMVVPFLRGTAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLSRHIGKPIEEIARDIRRPKYFSPSEAVDYGIIDKVIYNEKIQQDGGVVSELKKSNLI >Dexi6B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:6B:21607881:21610873:-1 gene:Dexi6B01G0013860 transcript:Dexi6B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQAAAASPSRHPAETEDCMRAAPARLESVQVFCRFQKMNESWGREKERSSPAFQRISDSKSPKPEASGEIRCAAFANLLANNTINMCSHARTHCTQGWTGRRDDAELLTGQRRRHGFGFTAHTPAHQSVPVSLQLPLHCTCEPRVSLPYGQNNAGHCDAQPCLPLPWTARTKSGTRSPFRFRIDMHECPLPALFRFRGYQASSDLGHLNTVLMLSPPPLSAHAALFRKAKDSEPAPPGQSSTASKGASTRPTGMEMRAANLALTGVPARFAIVHCTTWIGFFWASKVDRRFSSLSEEPLSLLQSDGQPKATRRAGARTRIAGLRPGARNQLVQPAPGGPS >Dexi5A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:5A:2416897:2419089:1 gene:Dexi5A01G0003270 transcript:Dexi5A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLRKPEKEDCKDKLQIPSVHGGLRGKGRNWKLWRTSSGDHGSLWRGSRGGSHRSAASEASDDASSVAAPTDAFTAAVATVARAPARDFMAVRQEWASIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLIEARRTQMDILREAEEGWCDSQGTLEEVRVKLQKRQEGAIKRERAIAYAYSQQVDGAVKCNPPKLTCNGRLNHSGMLLKHQNFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLRSTKNCEDSFGVLGDFSEPNSVKVRKNNVSKRVCVKPPGASHSQTHHQRFKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTSEKTEDNVRTRPNYMSMTESIKAKQKACNAQRTSALKQSEDRKGMSAELNAVQV >Dexi6A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:6A:10689177:10692244:-1 gene:Dexi6A01G0009330 transcript:Dexi6A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVTPPQCRRGLPAPARASPPLSSPLWMRPLAAPFHPPRCRTAITTARRRRAALACSPRCTLETAGPAVFDPLGLYKDGPSGSDSSSRSPLSTFFGILAPVFGSSSGGGARRDKASYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFFLLVLGIVYVQEAERKIPLNYASRYSSRTGGLQRSAYLPFKV >Dexi9B01G0048400.1:cds pep primary_assembly:Fonio_CM05836:9B:47375240:47377022:1 gene:Dexi9B01G0048400 transcript:Dexi9B01G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNRKRRAPAMEPAARGAGQQRQNKAPAGKKAKKGGGSGGGWPAVKRKKDLQINRLKGTHLLTIPDFFTSAEAKAFIDMAESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQAIWESGINRIFTDINVSGKVATGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSKTYYTLLIYLSGKGSAKDSSGQALVGGETVFYDHRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVVFS >Dexi8B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:8B:19258522:19262323:1 gene:Dexi8B01G0010690 transcript:Dexi8B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLAVLAAALAALAGGWWGRGAEASIHTYDREPFHEVGNSFLLSGGSEGIVADGADPAAPTSSFINSGVRPVGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASEMLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQVYFKATDPFSERWQSAWIITAFWDVLAFVLLVVICYLWAPSQSSQRYAYSGEAADDDDEEAQSLTKGDGEVGMVKMDKDRNVGVSNAFSLEDEAEEDKRE >Dexi5A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:5A:2091074:2091679:1 gene:Dexi5A01G0002910 transcript:Dexi5A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGDAAVAAAPAPAPAPAPKPARRQSKPRRISMEGLQRAMSDLALELSRDKKAADTARAAAAPPPATQLPAITEHPPQVEEARCECCGMQEECTPEYVRRVRERYCGRWVCGLCAEAVNGEADSGRHGGRTEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRSNSPRDHGPGGVLARSSSCIPAITKDFRC >Dexi3B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:3B:9404266:9407196:1 gene:Dexi3B01G0013180 transcript:Dexi3B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQNRSPLRVLADLSLFLCILLAPVCSATPATAPATLLQVKSGLTDPEGVLSGWSPETDVCSWHGITCLPGEVGIVTGLNLSGYGLSGVIPPAIGELISIESIDLSSNSLTGPIPAELGMLENLRTLLLFSNSLTGTVPPELGLLKNLEVLRIGDNRLHGEIPPHLGNCTELETLGLAYCELNGTIPAELGNLRRLQRLALDNNTLTGGIPDQLTGCASLRVLSVSDNMLQGNLPSFIGSFSNLQSLNLANNQFSGGIPAEIGNLSSLTYLSMLGNSLTGAIPEELNRLGQLQILDLSMNNISGKLSISTAQLKNLKYLVLSDNLLDGEIPQDLCAGDSSSLENLFLSGNNLGGGIEPLLNCSALQSIDVSNNSFTGAIPPSIDRLSGLINLGLHNNSFTDVLPPQIGNLSNLEILSLFHNGLTGEIPREIGRLQKLKLLFLYENQMSGAIPDELTNCTSLEQVDFFGNHFHGPIPERFGNLKNLAVLQLRQNDLSGPIPESLGECRSLQALALADNRLTGTLPESFGQLAELSVVTLYNNSFEGPLPESLFQLKNLTVINFSHNRFSGSLIPLLGSSSLTVLALTNNSFSGVIPAAVARSRNMVRLQLGGNRLAGAIPAELGNLTSLNMLDLSFNNLSGDIPAELSSCVQLTHLKLDGNNLTGSVPSWLGELRSLGELDLSSNTLTGGIPADLGNCSGLLKLSLGDNHLSGSIPPEIGRLTSLNVLNLNKNSLTGAIPPALRQCNKLYELRLSENALEGPIPPELGQLSELQVILDLSRNRLSGEIPASLGDLVKLERLNLSSNRLDGEIPSSLRQLTSLHLLNLSDNLLSGEVPAGLSSSFPAASFTGNDELCGDPLPQCSPSSTRRLPRTEVAVIVAGIAVVSAAVCVALMYTMLRVWSNWRAVSVSSSDGEESAHGGRDNKWGAAGAGDGKYWKVGSPVSSRAAEEKHSSGSETSVLHGKLTEASGAAKS >Dexi4A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:4A:256429:258410:-1 gene:Dexi4A01G0000370 transcript:Dexi4A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGGRRQDEEGLLVEDVAIVGGLLLVQCVLAGYVVFVDHLLGLGAQPLAVIVVAAVSSAAFFLPFAVALERTTAFQELMLLGMKKTTPAVASAMPNLSPGLIFIVAACIGLERFELACKYTQAKLAGTLVCLVGAMAMSFLHSSSSSSPAPSPREKEAAAASGDYYYDWILGCSYLVVAAVVFSLVTVLQAVTLARFPAPLTMCSITSAMGAAFTAILQVILQGSLDMASPKIDAKLIAGIVILGGVVVGWCLVFQSWCLGKKGPMLVSVFGPVATSPVRRLLLHQCAAAS >Dexi4A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:4A:21692330:21698546:-1 gene:Dexi4A01G0017790 transcript:Dexi4A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKGMVAGSHNRNEFIMIRHDGDAPAAAKPAKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRQKGSPRVHGDEEEEDVDDLDNEFNYKQGHGKAPEWDDADLSSSARHEPHHQIPRLTSGQQISGEIPDASPDRQSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWKVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPLPSNQLNLYRIVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWHPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVIKSCCGRRKKKNKSYMDSQNRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >Dexi6B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:6B:22051590:22053249:1 gene:Dexi6B01G0014570 transcript:Dexi6B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGDRRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLKSIWTAEESQAMASASASASAAQGAGAAGDDGGALQRQGSLTLPRTLSVKTVDEVWRDFVREGPPPGTAGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPAAAAMAAAVPADAQPVAPRPIQAVSNGASIFFGNFGAAGDSSAGAMGFAPVGIGDQAMGNGLMPGVAGMASAAVTVSPVDTSVAQLDSVGKGNSDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQEEMMEMQKNQLEN >Dexi3B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:3B:12863321:12864339:1 gene:Dexi3B01G0017510 transcript:Dexi3B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSVLVWDLTNPVHSTPDSGAAADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVISVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCTLAGHGGYVNAVAVSPDGSLCASGGKDGYTLLWDLSEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQVSIS >Dexi5B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:5B:1890509:1891198:-1 gene:Dexi5B01G0002930 transcript:Dexi5B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQDGDVQLSRRAVMCSYLSLCNMAIFVFQMESQDLRRACSEIANKLEKLVTIGAAASNNNPRGPDVASKNAAAGSDEQSPAVETVRCACCGVGEECTAAYIRGVRASFCGDWLCGLCAEAVKERARRDPAAGGVAAALGAHEAECRDFNATTRLNPTLSLAGSMRRIARRSLDRRMTASCQDRRSSLGGGEPAASNMAAVLARSASCDPWFMADVVKNEASGDRRRR >Dexi1B01G0024190.1:cds pep primary_assembly:Fonio_CM05836:1B:29593505:29594878:1 gene:Dexi1B01G0024190 transcript:Dexi1B01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAGQQPVAMEPKLPALFLLVAFVVCSASHHQDPSVVGYSQEDLALPSRLPDLFTSWSVKHSKIYASPKEKVKRYEVFKQNLMHIAETNRKNSSYWLGLNQFADITHEEFKINYLGLKPGLTKMGAETRTPTAFRYAEVVNLPWAVDWRYKGAVTPVKNQGKCGSCWAFSSVAAVEGINQIVTGKLVSLSEQELMDCDTTFDHGCGGGIMDFAFAFIMGNQGIHTDEDYPYLMEEGYCRERQPFARVVTITGYEDVPENSEISLLKALAHQPVSVGIAAGSRDFQFYKGGVFEGVCSSELDHALTAVGYGSSYGQDYIVMKNSWGKNWGEQGYVRIKRGTGKPEGVCGIYTMASYPVKNATLWGS >Dexi7A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:7A:21425740:21428662:-1 gene:Dexi7A01G0010720 transcript:Dexi7A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTTISATAPAPVAHTTEDVRLLQQQRRHTPRPYQPSPWGDYFLGHQPRAPAPELLAMEEKARAKEEEVRRIVLAAAASPDLAAKLELVDALQRLGVAYRFDKEIGDLLLAVHGDASGSNDDGDDLYLTSLRFYLLRKHGFHATSDVFGKFRDEEGNFETGDDVKCLLMLFDAAHLRVHGEEVLDSAIAFARSRLQSLMRSLEPEMAEEVRYTLETPSFRRVQRVEARRFVAVYEKKATRDEAVLEFAKLDYNILQTIYCDELKALTIWWKNFRSVTDLGFARDRIVEIHFWMAGVCYEPYYSYSRIMLTKLVMIASLFDDFYDNYSTTEESNVFTAALQRWDEQATEQLPVYLREFYLNILSTSNEIGKDLKLQNNKHAELVKELVIDLAKNYHAEVKWRDEHYVPTKVEEHLQLSVPSSGFMQITTLALISMGDVATNEAIKWTRTYPKIVRGACVVGRIMNDIVSHETSSPFPAEPLIWSPFAAAAEASSRFGGRPQVQVHAVPGLAAKDNSPPAAAPPTRCLSSPLPLTAPPSPLPSTSMVGRSSNFDTGEAFGLRTGPRIHPCFADPARTWRRASRRADPPPVRAARFPRARRGVLRPAGHHRQRHQGAFLVAEEGFLALISRLWDAQPYIVPAGTCHLVGIVHIRTMFVATLCDSRARCGWHVAAAARLVARDASPQPAAVAARGGVLGFHRTDFQPNLQLRAQPTFH >Dexi9A01G0022360.1:cds pep primary_assembly:Fonio_CM05836:9A:17571285:17576153:1 gene:Dexi9A01G0022360 transcript:Dexi9A01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAGPSSGPSHSASTGAGGGSPSDRGGAAPSASASVSTPASESTVARRLNGIDLQADDAPSSKPAARFVSRDPRRLDSVVAQSSGARSPHLNCLLRETVKKKKRGARAVGPDKNGRGLRQFSMRVCEKVESKGRTTYNEKILHMAWLFQVADELVAEFSDPNINIDCPDLDNPSAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWRGLPKTSMNDIEELKTEIVGLKGRVGKKSAYLQDLKDQYVSLQNLVQRNQQLHGSGDAPSGGVALPFILVKTRPHATVEVEISEDMQLVHFDFNSAPFELHDDSIVLKAMGLSGKEESDGTQTPVANGGECSSTPNNFWHQSPQRERQRGVRLPNSSPIPGILKGRVKHEH >Dexi6B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:6B:22129658:22130019:1 gene:Dexi6B01G0014600 transcript:Dexi6B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSSLCLLFLLALCSLVQAQVLFQGFNWESWKKQGGWYNSLKAQVDDIAKAGVTHVWLPPPSHSVSPQGKLRYRLHHPSLL >Dexi6B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:6B:25762527:25762742:-1 gene:Dexi6B01G0018800 transcript:Dexi6B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHRSGETEDNFIAYLAVGLASGQIKNGAPCQRERLAKYNQ >Dexi5A01G0033310.1:cds pep primary_assembly:Fonio_CM05836:5A:35622262:35622921:1 gene:Dexi5A01G0033310 transcript:Dexi5A01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARHRSTPSSERFLGSFFAPAAAGEQSASAAFELDEGDIFSTGSSSPEPPQPVRRPLIVSSVRPTNPSPLPRLPRPPEGILDALPERRRSPPQSSPLTPSSPASPAAAAPRMIPAIPRPAPVPAPHVARSLPVNVPAARPQKPPKVMVDGKTLRFPLSALEEDDEEMLPPHEMVARARARESPMTTFSVRNAVLRKTGFLD >Dexi8B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:8B:2425580:2426390:-1 gene:Dexi8B01G0003290 transcript:Dexi8B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDLLTRDMVDLIGNHLDIFRKNQALIGADVMRTLSSEERDERLKQHLILSQELHPALLSSDHEYKLYE >Dexi3B01G0024870.1:cds pep primary_assembly:Fonio_CM05836:3B:19571535:19573662:1 gene:Dexi3B01G0024870 transcript:Dexi3B01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLMELGVSAAVHLIFGFYVFSTAVAADISQAAAASGCLLLRRPPAGPAEGALVDVAAAGESDERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEDHSKACGHTRFGRIYHTGHYPVWDEHNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWILSLTSLSGALNGTTRTYYDGMLVEDGRSMRSICLLQLCRIGVVVYDWLDIPWLKNYYNFGFDHYEMSRRKVGFSGLIDLLLGHTGPFASGDWILPDLTIQGSLKINSRLRTFPNTFYFSYATKKTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQNAPLPYKGYR >Dexi3A01G0035160.1:cds pep primary_assembly:Fonio_CM05836:3A:40426870:40429560:1 gene:Dexi3A01G0035160 transcript:Dexi3A01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAAGERGRRDATFAEETRLQFTENPLIWIGKHIQAQNTLTQSPKPSPQVNTHTSPHVRTEAEETGDPGSPAAHLPAARLLGFSSMFTWDSNQKPNFWLPSLTCKLTSDGQLLDLLRASQLVKFLMIMGTREDGAEIPAAMNMVGEENIELAHSNDTFDVEGREAGKNARGDGKDESATGHPHDKAMDIERVEADICAVHERERDILLKKFGSIPGRMSLIARSWANRSHTSGYVAFTAQLIDSEWKLHRRMLNFMVVPRPCSDKAAMEAIGKSLSEWNLKKKLFTITVDNDFWSHDFYTVNMRDRQSKMNTVMLGGQIYVVRCYAHTLTEVAHGVIDSIQSAIYKIHESIKFIKSCCSHEEKFSYLARELKIVTDQTLCLDIRTQWNTTYLMLQAALDYKKVLTMLDAFDDNYNKMPLAEDWRKIDIACMYLEQLYASEHSIMSEDPTANIFFHEVGYYNESFQSPRLMKN >Dexi5B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:5B:7791298:7794905:-1 gene:Dexi5B01G0011040 transcript:Dexi5B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDQEAQRPLLATAAGSSGDGQAAATAASSSSIAVVVASTAVAVAGSFEFGISVGYSSPSQPGIMRDLDLSLAEYSVFGSILTIGAMLGAIVSGTVADRFGRRFAMAISDLLCILGYLLITFSQNFWWLDIGRLSTGCGIGLLSYVPVYISEITPKNLRGGFATVNQFMICCGASLVYVLGTFITWRALAIIGVVPCLMQLVGLLVTPESPRWLARFGHPGEFEAVLQKLRGKGTDISDEAAEIKDFTEKFQHLPKSKMLDLFQKDYIHAVTVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTGLGVLLMDKSGRRPLLMVSAAGTCLGCLLVGLSFLAKEDHWGKDLNIWLALAGILIFGGSFSLGMGGIPWVIMSEIFPINMKGAAGSLVTLVSWLGSWIVSYAFNFLLVWNSYGTFFIFATIGGLTVVFVERLVPETKGRTLEEIQASMNSSLTGPFHK >Dexi2B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:2B:627680:628820:1 gene:Dexi2B01G0001040 transcript:Dexi2B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRFVNIIAERQSTGMYTLHRLDVSKHLFFPSSAAAAAEAAPNKISILPWLPAPAMRFEPSPTTIWDAGRLEMFTLVSPRSCEDRILCSNTLGHASLYNADSGCIQTMPPLSGGKGFMPMAVSIVARPGAREEEDLYVMNTSVGGGGIGMPSLDDLRNNPSCFDVLRLGNLGCYDDSEVEESPMRLRGWRWNPLPPPPFAGNVRSHTVVDGGAGAAICIRRQVGGGGWVLPFDGAAEYVPELKLWMGFSSTDSQQLCAWDLSGVAKDDKPPTLEHSWRDLETPKEWSPSRISLINLGKGWFCIAKTFRAVSEAGRLSLDSVDSVEDKFAVLTGIEMVTDGQEGLKMIRHKFIRYMFTNEMVRWVL >Dexi2A01G0025630.1:cds pep primary_assembly:Fonio_CM05836:2A:37228808:37231632:-1 gene:Dexi2A01G0025630 transcript:Dexi2A01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDNFNTPTPTASVKILGINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQGSNLKGKEFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >Dexi6B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:6B:24001649:24005252:1 gene:Dexi6B01G0016700 transcript:Dexi6B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRLLLLRLPVAVLWVLAAADVVAPAKNSGCPNTSWCGDVEVPYPYALDKECAIHSGFHLNCSTVGGTSMLLYTDAEVTKISVKENKAWLKTPISRQCYNQSTKRMTYNDTWVNTTGTPFVVSADDNKKEFGKEMLILSQINHRNIVKLLGCCLEVEVPMLVYEYVPNGTLFHLIHGNHRRHISLDTRVRVAHESAEALTYLHSCASPPIVHGDVKSSNILLNGEFTAKVSDFGASILAPNDESQFVTLIQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRRRSFNLKGPEHEKSLSMVFLEAMKENKLEDIIDDGIKKDENLEFLDEIAELAKQCLEMCGADRPSMKEVADKLGSLRKVLQHPWADDKDTEVFDSLLGASSSVNSGVILSTGNSSITKKASMGLESGR >Dexi8A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:8A:680938:684153:-1 gene:Dexi8A01G0001020 transcript:Dexi8A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATRIDFADATDSDDHRRRCADPIPPSAQRKKLVDVDNPGNDQPGQGRGGRRFRGVRQRQWGKFAAEIRDPGLKKRVWLGTFDTAEEAAAVYDAAAIRLRGSRAVTNFPASTPSSAALSSSAVLPGVSSPVGSAKIPPTPASPPSTESSSVVVDAGEEVTGLRWFEDEPFELTEFCMPPAKSTRSEFGELGDLDDLITTVDSPRNWVCPPCHVEPSLPATPRVSLLRCILLASCIPVMPPDAGESAVVERQGPHLRASGRPFIVHGFNTYWLMYFAADPATRPTVTAALAEAADAGLNVCRTWAFNDGGYRALQLKPFSYDEEVFQALDFVISEARKHRVRLILSLCNNWKDYGGKAQYVRWGKEAGLDLTSEDDFFTDPTIKSYYKAFVKAVLTRINTITNEAYKDDPTIFAWELINEPRCHSDPSGDTLQSWVEEMASYVKSIDPVHLLEIGVEGFYGPSTPELLHVNPDAYSGTVGTDFIRNHRALGIDLASVHIYSDTWLPHSVEDSHLQFVNTWMQQHIDDAANLLGMPILIGEFGVSLKDGKFGNDFREAFMETVYGIFLSSWKGVIGGGCLVWQLFPESAEHMDDGYALIFAKSSSTLNVLANHSRSLECAASLSLI >Dexi5A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:5A:3962111:3963119:-1 gene:Dexi5A01G0005280 transcript:Dexi5A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGHLYKEHSIVTSEAMTATTNPTTTTSIAGMSSTPPAPPAHGGRNDTTPSPPSPSAESFSKFFESWIAEQTRDLAELRRAAASAPAAAAAADLRRLVDRVLGHYENYYRTKRAAAADDVLRMFTPSWTSTTENLYLWCGGWRPTAALHLLYAKSGIQLEHQLPNFLNGGSLVADLGDLNADQLEAADQLQRRTIKREREIEDATASAQEALATAKMVELAGGGGMDAEAMEREMEAKSEGMKRVLEMADELRMETMRGVVALLLPGQAVHFLLAAAELHLAVHDFGRRKDGHAPPPQQP >Dexi2A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:2A:2011198:2015272:-1 gene:Dexi2A01G0002520 transcript:Dexi2A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAGKQVAAFNLAEAGYGDRPDLDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLVAFSVITWFCSSLLADCYRSPDPVNGKRNYTYGQAVRAYLGVSKYRLCSLAQYINLVGVTIGYTITTAISMGAISRSNCFHRNGHSADCEASNTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSSIGLGLSIAKIAGGVHAKTTLTGATVGVDVSATEKIWKTFQSLGDIAFAYSYSNVLIEIQDTLRSSPPENVVMKKASFIGVSTTTMFYMLCGVLGYAAFGNDAPGNFLTGFGFYEPFWLIDVGNVCIAVHLIGAYQVFCQPIFAFVESWARDTWPDSGFLAAERVVRVAGGEFPVSPFRMVWRTAYVVVTALVAMIFPFFNDFLGLIGAVSFWPLTVYFPVQMYMAQAKTRRFTPTWTWMNVLSFSCLVVSLLAAAGSVQGLVKDLKGYKPFKVS >Dexi1A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:1A:1757889:1762185:1 gene:Dexi1A01G0002640 transcript:Dexi1A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCPPIIIAFRGPHVLPVGGGPYAASLFSPPVYDGSTENRVHGAAPSLPPPLIVAAGVPSSSSPSKPVAPPESAGPPARPPAAASRLPCGRCICGESCGRLRDRGGVPPLIAASVCPVRSGELLPVGRPGTVIEKADWAEAFLVMGSNDPSTPSKAPKASEQDQPPATTSGVTASVYPEWPSFQAYSAIPPHGFFPPTVAANPQAHPYMWGAQPMVPPYGTPPSPYVMYPPGTVYAHPSTPPGMHPYYPMPTNGHTETPGAVPSAPEMNGESRPRPTIHFSIKDSHSKDNDGKEDGSSQNGISYSASQGMLNQTMPMIPIQPGAMVGVPGSTANMNIGMDYWAAPGSAAVPATQGKATAGSARGDQWDEREIKKQKRKQSNRESARRSRLRKQAECEELGQRAESLRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATGDSIPDMNEQNDGDGSGCQKQPDSDAQPGNES >Dexi2A01G0025330.1:cds pep primary_assembly:Fonio_CM05836:2A:36969005:36969274:-1 gene:Dexi2A01G0025330 transcript:Dexi2A01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVARERGKGDVRLLKVARVRVRGAEATSAASSPIQALTRQSSSATSARQALARPPSPASSPHQDGAAVSGGLEFRREERVTDRGQGD >Dexi9B01G0032080.1:cds pep primary_assembly:Fonio_CM05836:9B:34371013:34372693:1 gene:Dexi9B01G0032080 transcript:Dexi9B01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPTRGAVVGLVLAGLALLALVGTATGWWIQLDASSFLLRSCGGSSSSSSSVRHGDHPRRARPRGIPIPFTCGATNGNANATSPTCRRAGSASSSPSSSPVASLPSSSSDPAPPPTCPDYFRHIHSDLSPWRESGGITREAVERGRGLADFRLVVMGGRAYVEKLQQPFQTRDVFTQWGILQLLARYPGRVPDLDLMFKCGDMPVVRAADYLAGDDPPPPVFHYCKDDATLDILFPDWSFWGWPEVNIRPWAPHLDDVARENRRLPWPDREPYAYWKGNPDVSPSRADLLRCNATAAGDEEEDWNARLFRQDWSVAMSDGFKGSDLAKQCTYRYKIYVEGRSWSVSDKYILACDSPVLLVDTPYRDFFSRGLVAGEHYWPIDAKHKCPSIKLAGSGFAREELAMENVYDYMLHVLTEYARLLRYKPTVPEKAVELCLESMACPTDGRVHEFMMESRERYVAGYEPCTLPPPFTADELKEMARREDDVRSKIRKMEERAS >Dexi9A01G0023340.1:cds pep primary_assembly:Fonio_CM05836:9A:18613058:18613803:-1 gene:Dexi9A01G0023340 transcript:Dexi9A01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSEVAAFKRKLCFSRKGGKKKGKVQFEEDEPEDLFEDNSDSDSPHGSPLYAESQDSSSASSDNEDDDDDVEGDKGDGGAAYLTKEHGAGASGSTVRGSSGISKPHMNALVVNGDAIEAPMEKIWSCSVVQVP >Dexi2B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:2B:10464439:10465461:-1 gene:Dexi2B01G0009720 transcript:Dexi2B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSLGIRNWGFYDTMKGNLGLQLMSSVPADRDTKSLLPSGAFLHHHGHHNAPHQLHSHHSCNSGSGGASSGMPTEPHSIHMDFSRNEAWLHPSHHQHPREQKVLNARPVGPAGNVGHSGHGGHPGHGGHAVRHQPTIYGMMADAPHTLQMMQPPLQPQPQEPPPCKEDPVPPPLVEDHSMVKTEPPVKKRQQGRQPKSPKPKKPKKAAVPREDGAVNGHAPRRRGPKKTVGMVINGIELDLSNIPTPVCSCTGAPQQCYRWGPGGWQSACCTTSISTYPLPMNAKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLANPIDLKNFWAKHGTNKFVTIR >Dexi9A01G0046080.1:cds pep primary_assembly:Fonio_CM05836:9A:49465940:49467506:-1 gene:Dexi9A01G0046080 transcript:Dexi9A01G0046080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGWAAVVVVATAATVAGGDMNADKTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATRANASHCAPPAPTRVPLLPQDNSTATTDSRGLQAANGGGVSSSSTAGAALAALLAGYLLLLVPEMAPSSF >Dexi6B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:6B:18641948:18644263:1 gene:Dexi6B01G0011410 transcript:Dexi6B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRRRGGHPLALLLLLLFPILTAANLVLEDGYTVTTFADLNPLPTSGPHPYAVLPRPRAGDLVLLDYAGSALYTLALPSPAEPRRLAGGARGAGFVDGGPGDAAFNRPRSVAVDCADNLYVADRVGDAGGLHGVIRKVAPDGMGATSVSVIAILSALFGSVIGFLVRHFYPFNEISINRFFSRIQNQCQRTQRKATQISFCDIKSAVASSVAYTLLLKLFRVSRGYLAMVFPSVRLQRAVRKPSRRPAVCKTRTSLNIGLHNKAPLASTEHLGDLISFAGDANDKEISYADSEEANEPSFDCDLMGLLYTPQGNTKKIDRMIETNLLGFSGHDDQCGVSVSVSSYSLSRRRVHGDK >Dexi3B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:3B:11540374:11541208:-1 gene:Dexi3B01G0015930 transcript:Dexi3B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNSSPSAEDLSAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Dexi3A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:3A:5598658:5599789:1 gene:Dexi3A01G0008030 transcript:Dexi3A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADAGGFRVGQRVHAAGYPRRVGTVRYLGPVEGHAGEWVGVDWDDGAGGKHDGSVAGRRYFVAAGERSASFARPTALSQGISLPDALRLRYRVEDFTKEEQDEMYVFSTSQKRVSVEFVGKNKVQEKLKNFNELTSASVAYMGFLI >Dexi5A01G0024550.1:cds pep primary_assembly:Fonio_CM05836:5A:28395332:28396038:-1 gene:Dexi5A01G0024550 transcript:Dexi5A01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRHLAALILVAASAASLISVVGARVVHPIVINDGRLLFATAGRDELLALCQQMHYKTLCTTMATLPGVTTPEQLLDTSLRITAVKAAMAEMKLDEAIKSGEGKGGDGMASSLQSCRESYASLVDSLNSTRETLKSGGRSADIMTELSAAGTYSTDCDDIFEERPELQSPIPGAQRHITRLVSNCLDLAATIKDLP >Dexi5B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:5B:3979646:3981958:-1 gene:Dexi5B01G0005910 transcript:Dexi5B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEEGALRTGVAKHGVGNWRTILNDPELGPILCSRSNVDLKDKWRNMNVTVTASSSRDKARSTVKKTRAAPKNNDNSAAISTITSDADDEIVDVKPIASVSSEAWNASNSKKSHSRLDNIIMEAIKNLNEPAGSHRTTIANYIEEQYWPPNDFDHLLSAKLKDLAASGKLLKVNRKYRIAPSSPRLEGRTPKMVLLEDVQREPVKVGSDASKNLTRSQVDAELARMASMTPEAAAAAAARAVAEAEAIMAEAEAAAREAEVAEAEAQAAQAFAEAALLTLKNRNAVKLV >Dexi5B01G0024560.1:cds pep primary_assembly:Fonio_CM05836:5B:26592794:26602654:-1 gene:Dexi5B01G0024560 transcript:Dexi5B01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSFKQLRRLRTLGRGPSGAVVWLATDDASGQLVAVKSATGPAAAEQLRREARVLSGFRSAHIVPCLGTAHHAADDEYHLFLEFAPRGSLADEAARNGGRLGERDVRRYAADVAMGLAYLHGESVVHGDVKAANVVLGADGRAKLADFGCARSTSGDVRRRSPMIAGTPAFMAPEVARGEEQGPPADVWALACTVIEMATGAAPWSRGDTGGDVYAAVHKIAYTDAIPEVPAWLSSEAKDFLCICLERDPRRRPNAVELLDHPFIVSADEPAASKQQRWTSPKSTLDMAFWESDEEDEESESAAGRISSLASPGSEFPDWESEEEDDGWIDVHSCECSHGSEAAAAADTVTEASAVFGLRMGEALDAVDVEVGLHVVDVEDAIRYPTTSHGVGIVDDFVKSQQRHSSLGLSGVELCLCPVACCQQGTTMDRNSVVLEIKNGMDPSPGTARQLRRVRTLGRGASGAVVWLASDDASGQLLAVKSAGAGGSAAAQLRREGRVLEGLSSPHIVPCLGSRSSTTGGEYQLFLEFAPGGSLADEAARRGGRLEEHDIRGYARDVARGVAYLHGRSLVHGDVKPRNVVIGGDGRARLTDFGCARLVVSSSPSSRPMIGGTPAFMAPEVARGEEQGPAADVWALACTVIEMATGRAPWSGDGDVADDVFAAVHKIGYTDAVPELPACLSTQGKSFLRVCLARSPRRRPTAAQLLEHPFLASASGDGDGDAEPAKHDWPSPNSTLNAAFWESDNEEDEEASDNEEEEEASERAVERISSLASPCSGLPDWDYSEEGWIEVRSDCSWLSKAPAAMATTGADFDLRNEALDVVVVEEGASRFPRCQKDSRVSLGSNAGVADDGLVKCRQIHPRVSIGSSVGIADDFARHQRHSRVSVGSNVETPTMVMMKQLRRVRTLGRGASGAVVWLATDEASGELLAVKSARAASAAAQLRREGRVLEGLSSPHIVPCLGARAAAGGEYQLILEFAPGGTLAGEAGRLDERDVAAYAADVARGLAYLHGRSLVHGDVKAQNVVIGGDGRARLTDFGCARPVNEDSSKRPIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDGGDLLAALHRIGYTDAVPEVPAWMSDEAKDFLSCCFQRNASDRSTAAELLAHPFIAGATARAVDAPPPAKQEFPSPKSTLHDAFWDSDTDDEEAEEMSTGAAERIGALACAAAALPDWDSDEGWIDLQADHSQTAPPATMETTAAEVADYFVWAEPSDAEVFDQFFATEADISEHLHLPGIAVATVADFTATISQGSYLISTTMHLSVRENEIPGTFNHEEIKKVAFRRPCNRNRVTTKRISLQIFYFAVTWLADPVQLMCVGLTLRSLNSLREMGIG >Dexi3A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:3A:7102428:7103068:1 gene:Dexi3A01G0010010 transcript:Dexi3A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALATRSASQQRPASVTAAATATAAHGHRRATAARRRRAPCGRLRALPPELSEILSPKLVPGSPSDTGDVSALMLLLYFMTNWVVPELILKRLQNKADGEADASVAASMSLSGDAADGDASRRRLKGKKKKNRKATINV >Dexi7A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:7A:21849011:21852103:-1 gene:Dexi7A01G0011290 transcript:Dexi7A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSATSSAAANSSCARLPSVPLRRAAAAVSFPSRPRPAALAARAGPSRRLDVAAAAGHQKLVGSLTSNEGLRFGVVVARFNEVVTNLLLQGALETFERYSVKAENITVVTVPGSFEVPITAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLNAGLSAGIPCIFGVLTCEDMDQALNRAGGKAGNKGAETALTAIEMASLFRHHLG >Dexi2A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:2A:3262831:3265816:1 gene:Dexi2A01G0003730 transcript:Dexi2A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKESATLKEKSKDEIHLKIKSKDKLSGDEDEKEEVEIEIDAKFVEKEEVKGLADSAGSAGKVKEVKKDKEKEKKSEKHEDEHEDDQDGEKVTKKKDKKDKDKKKKNDDEDSGKADKDKKSESKEKDKKDKKAKDKEKDGSESKEEEKHKKSESKDKSKDKKAKDESESKGSNDEKQEKGKDKKNKDEKSEEPKHKEGHLENKHGEDTQKDVHVNKDLAGAAELATREIKLTNGGSQKDDIDNEDAEVKKKNKEKKEGDDEGDKKEKEKKEKKKDKGDKKDDVKKKEKDGGEVEEGKKKDKDKKDKKKDKGAKEKINDPAKLKAKLEKVDTKLQDLQAKREDIMRQLKELEEGTKGKGNEEKPALVQEDKGKNTEEVPAQVLEQSGQSKVKEENHVASA >Dexi2B01G0028330.1:cds pep primary_assembly:Fonio_CM05836:2B:37007802:37009185:1 gene:Dexi2B01G0028330 transcript:Dexi2B01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGRSILPRPKPTASPRQLATLTDDLLQDIFLRICPTDLIRASAACVAFHRLINADPTFLRRYRAIHPPLLLGFLGVGIEGFQPAEAPHPNAPAARALHSAADFSFEFLPRREGHEWIPCDVRDGRVLLDASNPSHRSDGDSLVLGDLAVCEPLSRQYLLLPRIPEYLLTSVDIKEGNIDDFRASLVPSGDWEETSFKVLCTTHSRERLALGWAGRWPQFAYGCCYWKLRDQNELLKLEMNTLEFSIVDLPPNLIMLQNMGGVAIVEAGESILGMFSQIIDPQVRGHS >Dexi8B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:8B:16841249:16843971:-1 gene:Dexi8B01G0009480 transcript:Dexi8B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASALFGLPDSLDARPNTFGELMRTIISPSSTVQAAVNWALKGVNPDIVLHMRMMANRPVRARKAAVLCIKRALQICCIKGTPRVALVSDTPAFVKEMKSEISEFAEVIYFDYKLFTKTSGLEIAGNDKGHYSLYENKNKLRPFLCKPLDFRSRDWGSAPRWVAFVDFFLAAQARYAVVTGAHRRVGTTYAQLIAALAAANRHGQDPSGTNFTFLSSIHSNLLVEGLSTQVGWGHIWNRYAGPLSCRRQTHQCALTPLLPPAWWDGKWQSPIPRDVRRLLEYGVRLSNTGEVDERHLISHCRSRNDHVKRYHVLPPYKTRS >Dexi1B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:1B:18513877:18514982:1 gene:Dexi1B01G0013130 transcript:Dexi1B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFAAIVLSAIVVDAQDSGQTFVDLHNSARAEVGNLFWGNAGGKWTAADAVAAWVDEKQYYNCSDNSCSAPAGESCGHYTQVVWENTTRIGCATVTCNAQQGTFIVCDYNPKGNFLGQRPYAGCGQFNRSGMLSWDSTLAAYAEAYAEKRKTDCQKIPSHGPYGENIFQGPAGSGASDALFSWFGEKQNYNCTTNRCESGQACGEYTQLIWANSTRVGCASVTCDTAGGGTLLRSSRQYPR >Dexi9A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:9A:3922385:3930499:1 gene:Dexi9A01G0006950 transcript:Dexi9A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCRRPLAAALHLAPLSPPLLLFFASASSSCSPTAAASAAGSRGCSAVRMDSGAAETAPSGAVWSAPSAEPTSISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYYGTIEKLVKDLHYPEELLSWEFDWKYMVRGLVLDKKRGNILKSHCFIAFFCWTLNTYMYFFYEINSFLTIWVVDCQMDRHKYVKVAYHGFKELSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPAGTEYDCYLFIILEGLINFREPFRYEYINEDLAIVPMLEMLKKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDAGSGLNHKWLQYFDIVITGSSKPSFFHDDNRAGLFEIGSPRSSHRLPPPVHKVYQGGNVGHLHRLLCVASSSQVGSSMLVITYMEIFCAARRFWYFFTHCDSLKGWRTMLVIPELEQEVKLLSESKSIRKKLRHLRVERDSIEDRIHHLEWSLKFDDLTENQKEKLLSEHVDLLQRREHVRGLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFGLYSPNKYYRPSEDYMPHEFDVLEL >Dexi9A01G0045380.1:cds pep primary_assembly:Fonio_CM05836:9A:48946443:48948117:1 gene:Dexi9A01G0045380 transcript:Dexi9A01G0045380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPVLDYFARREFLAAGLRPSAVTLPYPDGGAGATCTVHYWAPPGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHVIVPDLLGFGGTTYPSHAAAPPPSEATQAATLAALLDALPGMEGKRVAAAGTSYGGFVTYWLARAAGSGRVGPVVIASSDLLKTAADDRGFLKRAGEGWSSVDEILLPAEPAAMRKLLELASYRPPPRPMTPDFMLRDFIQKLFTENRERLVHLLKGITVGTDKFEVTPISQDVLIVWGDHDQLFPLEKAFAVQRSLNGSARLEVIKKTGHAPQLEDPARFNKVMLDFLLAAHKSQA >Dexi4B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:4B:1603144:1605366:1 gene:Dexi4B01G0002500 transcript:Dexi4B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRRLRSDAAPFRPSAAAAAATHHHPYAAATRYHEWEIGYHQLNFPDCVPKFAVTINGQTAGPTILAVQGDTIVVRVKNSLLTENVAIHWHGIRQMGTEGAPIPPGDFFTCNFVVDRPGTYTYQAHYYSMQGSAVLTGHIVVQEPFRYDGEHSVLLNDLWHKSTCEPLVCVGEPRSLLINGRGRFVHCSNMAAAGTSNATNPECATPVFAGVPAQNNLCSTNIMPEDTVQSVWRDNCAEVFQDVVNKLRQPRRRLYAALDLEFVAEASTDVDFKARSLDQWYHHLHAFVSGGDVVQVGLALAFEHEHRSHGGPLLPVIALDINLEFDEDTRQYNATSIDFLAEQGHRLADHRNRGVPVKEFLDGLMRHLVPGGHDDPITWIMFHGDNDLGFLMRLLQGALPSDRATFMREVRRQFPIFYDLRVLGQLVMEGFRGKFSFLAELLGVERVGQEHHAGSDALLTMSCFSEILRRSQHEMHRLEARKCLLSGMEDLNMPIKAARRLDDVNVKTVPVREADFDEQARWIEELVTSNFKIIGVDVSLPSSGWDNSLCSAAEPKEYDLMSSLEGIGECQVVLGFMNADGMLALGRVWKFYLRIDPAVKNDCVDPGRLARLLESSGATHNPDVVWITYQGLEGIACLIKSSMAAGDLPSDWCSYNEHQRARFPVMYDVGLIAQRCPDVGGCIEDIARNQEVTKEEDKEPGALLVLRCYKRLEESPHFPLIASVVQGQLMASRSCCL >Dexi5A01G0035510.1:cds pep primary_assembly:Fonio_CM05836:5A:37301337:37302119:1 gene:Dexi5A01G0035510 transcript:Dexi5A01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDLPTRDLRVLVPGLSSPSTIFVRVVVVNLEHYKAIEALVLDSRSPLLTLHARVASPVLTVFLSSFLERTTLLCSCNLVHGTKAFVPVLDELTSEQLVPKSNRSSNTDEHFLLTRSSGGRRAANYLAVAAATLSASIVGVGIRGTRQRAAPLLGPWNGTRGASRSSQLEKEGCSHSCAAVLPCSSISTSVEQLLAAGRRLLPKEVSNEPRGWVHATSLRRGARRRLLLLRVSEGHVACDPDGRRSGTARAGGEMEWT >Dexi2B01G0034640.1:cds pep primary_assembly:Fonio_CM05836:2B:41942904:41944729:-1 gene:Dexi2B01G0034640 transcript:Dexi2B01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGRAAAALEEALKPFQERASEAEIRIAKLEALLYNQDGPNSGSENNSSAMKDLQSKLETISAECLTEKEKNKKLIMENEKLQYRITHLIRAIKEAESR >Dexi2A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:2A:29809136:29809360:-1 gene:Dexi2A01G0017770 transcript:Dexi2A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRTLYFCKLCNLHCNSKNTLAEHRQGKKHVENVGKRMSLSYCECNSEKMLAHHFTGKTHLAKVNGC >Dexi7A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:7A:19823294:19824015:1 gene:Dexi7A01G0008570 transcript:Dexi7A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTLSFAVLLSFVILAAGSISLAPPPVDVQVVSPPPADVEVVSSAPLQTSSATATGTIISNDNNECVYTVYVKTGWIWKAGTDSVISLGLFSADGAGFTVPDMAKWGGLMGAGHDYYERGHTDIFSGRGPCLASAPCALNLTSDGTGAHHGWYCESVEVTAAAPHAACARARFGVDQWLARDAPPYQLHAERNVCHKKAAEE >Dexi5B01G0021310.1:cds pep primary_assembly:Fonio_CM05836:5B:23610363:23612138:1 gene:Dexi5B01G0021310 transcript:Dexi5B01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLARRHSQKIIDENQKLRAELETKMNELDVRSKQLDELAAKSGYDRRNIEQEKQKNAIRSSHLKMATLEQQKADENVLKLREKHAALKKILMLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSASKNRINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARNELENGLLDISGGRAHIGIKRMGELDLKAFSKACQKKCSGEDVEVTAAFLCSKWETEIKNPDWHPFRIVIADGKEMEIIEDDSKLRRLREEYGEEIYTLVTKALYEINEYKCKGSYPVGELWNFKEDRKVTLKEAVQFVLKQWRANRRKQR >Dexi3A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:3A:8480247:8482717:1 gene:Dexi3A01G0011730 transcript:Dexi3A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRGGESFPVREEPQRRFIVPVRKKATIARKKSKGSDSSDAAMPMPPPSRGRPESAAAAAGAVTVEDTDALECGVCFHPLKSPIFQCKWGHVVCSMCRDELKAIGKCHVCGIATDGYSRCHAMERLVDSIRFTCPNAVHGCTRKTAYYDQHYHSQTCLHLPCHCPGEACGFVGSMPMLVDHFKAAHDWPCATMARAAATDDVDKEGEAYAFNVCLHDGFNFLLAECPTDGILYLLLLNVVRQPHGCTISVLCIHPHNDDSKEMEVQCELTYSQNVHVKSRRGDGKLVKHFQESTFTVDCTDLSDGKPRPDECFQFVVPKSFLPDGDIIEVEGQIVNIG >Dexi7A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:7A:27329963:27331558:-1 gene:Dexi7A01G0017790 transcript:Dexi7A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAPSPRPPAGEGAQPEERSRPRPRSALRGALGVAFPIVASFLFSFLVGLAGLALGGLSSTASVSIPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSTKRRFRCHDDYWASIFQVEYTEYFSGQISYAVAETPKEALPHNCRPDFGAAWSTTSKFKVNESYSCRYTLGSNKADIHSDKLFNCTAEQPSTTEILKRIVTL >Dexi1A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:1A:28144017:28146334:1 gene:Dexi1A01G0021360 transcript:Dexi1A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCSPSTPRQLLSTVSLFAFGAGLLAFGVHLSYVNIEPQRARTLARDQFVRDYLRKKHDNSGGESLIAEVEMNAGVDQAATTVRATVVQASSLFYDTLGTLDKAEKLIAEAAGYGSQLVLFPEVFIGGYPHGSTFGLVVGNRTAKGKEDFRKYHAAAIDVPGPEVSRLAALAGKYKVFLVIGVVERAGYTLYNTVLTFDPLGKYLGKHRKVMPTALERVFWGFGDGSTIPVYDTPFGKIGALICWENRMPLLRTAMYAKGVEIYCAPTVDFTASWQASMTHIALEGGCFVLSANQFSRRKNYPPPPEYTFGGLEEEPSPESVVCSGGSVIISPSGTVLAGPNYEGEALLTADLDLGEIVRAKFDFDVVGHYSRPEVLSLVVKTDPKPAVSFTSDAEKTSSG >Dexi8A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:8A:1489258:1489808:1 gene:Dexi8A01G0002250 transcript:Dexi8A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHRRRTTRACSGRRSISAAAGGGVGLGYGIAIAVGILVLISTVMLASYLCVLTKAGAALLAAADAPLGPPTSASSSAAVVLGLDGAAIDALYPKYPHAGSTDDGPCAICLGELARGDALRRGNPGCVHRFHASCAELWLRVSATCPVCRDSPVPSPAATQLAEDVVPLAAHAHVR >Dexi8B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:8B:3368453:3369205:-1 gene:Dexi8B01G0003850 transcript:Dexi8B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFCLFRHGGTQWELKEPVPIIQDDGSSTERLRTRGGRDTIITLGDRFLCWVRYETGFLLCDMADEESPKVRYVPLPPGVCWAPKGYDDGEPKHSKNMGAAGASTVRFVSIDPHCCCGGPGRSTCVRSRYAFTIKTWAMNLTMDDPLMWVKDGEIDGEEIWGQPGYEGLPQANLECPIVSLDDPNIVCFLVNNCPFVNSYEDRKVWTIKLNIKTKALLSAVQYTKMYGERTIIYRWNFSASSLWQANTW >Dexi9A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:9A:15000243:15001099:1 gene:Dexi9A01G0020000 transcript:Dexi9A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPLPKRLRRVASRPSSPTSGSPILDDVLFFQILVRLPVKCLLRFQTVCKPWRATLTSTHFAHRHLEHSRTRPSMVMMPRRYLRYHRMFNLCGVGFYGFQPGQSKVAELILDKRCPDGIPMFSMPLHCDGLIMIPCTTGRIFLCNPATREFVELPQGSRNFAKGQRVAFGFDPWSGKYKVARHFSRSGSETPQADGEKCSAGHHEILTLGDVEEVWKWKSTMDPPYARTPICMRGSFYWSAVSSVTGGDGHKHNKGFSK >Dexi7B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:7B:24612642:24617983:1 gene:Dexi7B01G0018980 transcript:Dexi7B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPGSPPPIRHSPAPATPPSLRRGRHSPSPSLALTPSSSASTSAATSSRPKRRSTPKRAYAPAQWVPLSSHPAFSRRDGEGGGGGGAAWDAAASRLYAWDPSACGAHRIGVRIRDPEAESDGEEVAVEAAVPSEMLMPETDLGYLITHVSLNSDGSSLLLVGSHNLSILYVHDRVSEDGDTVICRTAPIASQILSSNGDGIKVLQASWHPFSNNHFAVLTSDAVFRLFDLSSDLEQPEQEFYLQPILPGRCQNASAICPVSFSYGSDHLWDRFSVFIELKEHADYVKTELEDKQKRLEAVKKSLLSIETKDQDINTRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFADVELDALRSSIAALSARMKRFAQQSTAGAAGTGVIPWQAPKVGRSHISESQMSLLKSSLEKLSLLNEENNQKLRIIDHELKNKEQ >Dexi4B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:4B:22579328:22581822:-1 gene:Dexi4B01G0020380 transcript:Dexi4B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQAPDFLQLQREEDVVIAMDHMLGKAVDVKDAVGRASLLAELKCADFQAACLMEPAHGCYKLRDSDKTVDPWKEKFKDDDGIELMIPAYVDDFPAQVILAFVESADIDITEEFAVSATTAKDFQANTRRKLLVRNHRTTKMPQIQDHHPTKTQLV >Dexi5A01G0021790.1:cds pep primary_assembly:Fonio_CM05836:5A:25628554:25633466:1 gene:Dexi5A01G0021790 transcript:Dexi5A01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSKSTKNREGEEKKEEESVAGKLDAALTGVVELEAGLHLARRRHSIRREGPKVSASRTDAGELSSFAPNDKTQQEGIGKFGPVLCAIRAGRTLAAESEALPSIPLVPGGIGAAMEEQFILRVPPSVAERIERLMNESAAASSSNPDDASLDLSFSEDGRNGTFMIGNESFPASLLDLPAVVESYKTYDDSVLIKTADIGQAELVNQVEKHLISIMHGVSVSILLVDQNASVIGGEEGGDRKKPPVARAPKRPDAQEPAANGDEAEPERSDSDDSEN >Dexi2A01G0027800.1:cds pep primary_assembly:Fonio_CM05836:2A:39122630:39122929:1 gene:Dexi2A01G0027800 transcript:Dexi2A01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGARKNEVWDPDLLLLGDLLLELARLLPQRLHPFPSSSSPVSPPALTLKPPSTLPGRTDGGTGTNTLPLQTITAVRPWLLDVATDTEPSPWKPSSF >Dexi9A01G0032030.1:cds pep primary_assembly:Fonio_CM05836:9A:36836795:36840473:1 gene:Dexi9A01G0032030 transcript:Dexi9A01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAAFSAALAAEDFAWVETPEEMGMAPDKYREVFDLAQRGTRAFRDRRFDELALKDAEKIVSSNSNAPRPYLLKAYALILLEHYHEAREALLAGLQVDPLRSKNIPYKRNFPEEYAERRSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMV >Dexi7B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:7B:19943804:19945215:-1 gene:Dexi7B01G0013460 transcript:Dexi7B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKVLVAHIQRFGHGNWRALPKQAELPAPRGNFSKEEEDAIIRLHEELGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLVDQTKHDKPSPATKRRRRAAPVSPERSAASSSVTESSVTETTEQGNTGSSSPRLIPKEESFTSSPDAEEFQFDESFWSETLSMPLESFDDVPMEPTDAFGVPATSSAAGAEDMDYWLKVFMESGDVQQELPQI >Dexi9A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:9A:508057:511086:1 gene:Dexi9A01G0000990 transcript:Dexi9A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFDADPAKEKKKPKEGDNIVQDAPADIPSLLELKRIYYEHMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPSKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKGEYESEKNLLGGALVAKAAEDLKLRIIEHNILVVAKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPLGVVSFCTTKDSNAVLNSWATNLEKLLDLVEKSCHQIHKETMIHKAALKA >Dexi3B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:3B:14998148:15002288:-1 gene:Dexi3B01G0020010 transcript:Dexi3B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRHAAAPAPTPTPRQASHSPMGSQIYSDLDTPLLALHVANPAANSIKAINGKSPIVVGPWGGTGGYPWDDGVYSTIRQIVITHGAAIDSIRIEYDLKGRSVWSETHGGTDGGSETDKVKLDFPDEALVSVSGHYGSVCGTPVIIRSLTFQSNSSKYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHFPNPLNFPATPRSLPSAHSRNSFTGDDTGADMALAVRDRGDSYAVYATNQPKQQYANPWPGYSDGTVWNKMVSSPSYYGDRGATAALSSPQTYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSMKVLYDRNGQAVWGNKHGFSGGVPPDKIVFDFPSEVLTHITGFYDSAIIMGPTVVRSLTFHTNKRTYGPYGDEYGTYFSTSFTNGRIVGFHGREGWYIDGIGVHVQEGKVAPQRFVSRPTTATSPSLHYNMIAQLQGDTYTDDEVAYGMVKDPVPMGPGPWGGEGGRPWDDGVYTGVKQIYIMRGAFIGSIQVEYDQSGYSVWSARHGNSGHITHRVKLDYPHEVLTCVYGYYNTNREDGPRVLRSLTFITNRSKYGPFGDEAGAYFSSAMTEGKVVGFHGRSGQHLDAIGVHMQHWLVERRPAPKYMLSKYLF >Dexi9B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:9B:13938495:13939070:-1 gene:Dexi9B01G0019350 transcript:Dexi9B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRAVATMAIFLLIALSASQMAFSLRPGAALGVCRASGYLPGRSGNCEKSNDPDCCEDGKMYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSIGMVDITWSEE >Dexi3A01G0029120.1:cds pep primary_assembly:Fonio_CM05836:3A:30479088:30490692:-1 gene:Dexi3A01G0029120 transcript:Dexi3A01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSRPPQPFAPQNPTPSPGSLAAPFANMQVSRAAGPPFAGPPGSGPAPSSAIRAPQGPPPGARPFPGSPPPPSQPSPPFARPGAPLQQQSPPQFGGPPPAMASQPQRPGFGGPPSGPPPQVQRAPFGGPPWGVSPQAPPFGGPPAAVASRSAPFSGPPAAASYQPAPQRSPFGGPPAAASAQPPPIGGGPFTAAQAPPFGGPPGSVPQTAPTGGLRPPFGGPSAPSQQVQFGAPPPFGGPSAVQPGAQPPPFGASQSQAPPFMGPAGGNAPPSFAPPMWQDQARPGAVPGGMQPSMRMPVMPGGMPPNALGQGMSHASTPTMPYSPHAQVSTPSKIDPNQIPRPVQETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCNPRLMRCTMNQIPCTGDLLTTSGMPLALLVQPFALPHPSEEPVQVRDPMPAVYFFLIDVSMNAVNTGATAAACSAISQALSDLPEGPRTMVGIGTFDSAIHFYSLRRAQQQPLMLIVPDIQDVYTPLQTDLILPISECHENLEQLLESIPVMFENNRIADSAFGAAMKASFLAMKSTGGKLLVFQSVLPSVGIGSLSAREAEGRSNTSTGDKEAHKLLQPVDKTLKTMAQEFAEYQVCVDVFLSTQSYVDIASISVVPNTTGGRVYYYYPFSALSDPAKLYNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPVIDSDKAIMVTFKHDDKLQENSECAFQLVLEPFDNELSRKVNEVVNEIRRQRCSYLRLRLCKKGDPSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMT >Dexi2A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:2A:8561917:8562141:-1 gene:Dexi2A01G0008390 transcript:Dexi2A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRQCTCEKSSADAGDDSAGDRITALPLELRARMASLLPHWQRPALGALPGVAPHPPPHARRQDRPLQFLHR >Dexi3B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:3B:764171:768663:-1 gene:Dexi3B01G0001010 transcript:Dexi3B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSAGGMLRLLVWATLLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGTADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHNKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETKNGRTYSACTDDGCKCPDGFKGDGKHKCEGKNGATETGWGFLWAIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >Dexi5B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:5B:3415879:3416915:1 gene:Dexi5B01G0005090 transcript:Dexi5B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARCTTWSHTLPAVPLHRRHGLLPQPQAARRGRWARLQVAAAAGGARGEGSIKAATDAEFFQPSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYVPLQSESGRKLLERSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFLKFVPLFLRDFAYDNVANNRYIVFGRSETEACEIL >Dexi2B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:2B:5696479:5696907:1 gene:Dexi2B01G0006080 transcript:Dexi2B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEVVSGDAICRKKSVELLEEIGLPKGLLPMEDIQEFGYNRDTCFMWLVQKKKKVEHTFKKIKQTVSYAAEVTAFVEKGKLQKITGVKTKELMLWLSVIEVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >Dexi2A01G0036240.1:cds pep primary_assembly:Fonio_CM05836:2A:45817693:45818982:-1 gene:Dexi2A01G0036240 transcript:Dexi2A01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAAEKKPQLTGPLGGLPWDITIDILGRLPAKSVFRCRGVCKAWRDITIDPGFLAARSHCWPADVVLYKYEYRTVASDDGGNDTVAVDVALDLLPVSSDEETRPRRLIRYANRHAWFLLVASSNGVLLFRKEEGLYLLCNPVTRQWAELPRLPPRPPHLKHNKAMVDAECAFYLHSNSGEYRVLCRRNSSIKETTWWILAAGAAKPRRIDMGAAAEVVAKVAPCLRTAVAMHVALDGRLHWPPHQAAAVAGETEMVVFDVSLETFHLMAGPPTTTAALTKVFDMDGRLVAADFGKEEHIDLWFLEDYKNRRWELRHRVEVWTQGGTARPTLEPRSLLSVAAAGDGEAGNIMLGNYRWLVVYNVKTKTTKTVDSVVKLWGKDVLVSRHVFKENLEKHACFTTAEQCSVDLSSFHFQ >Dexi7B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:7B:23893243:23896664:1 gene:Dexi7B01G0018030 transcript:Dexi7B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRPRGAAAQGPVGPTAVPRPPFKGAAPNPSPAAEPPQAAAPAVDEALERLHLDTVSDGDPPAPAPPEPEPEPEAPAPSPPAPVEASSSGRSAAGGSLEEEEALRKLHELAEAGGEEVALTDDEVGANDQRQEDEICALESIFGDAVVIFNRKGGQRCFQVHVHIEIPEAIDVSTRFNYGDGTLKYGEASDADDLVYKFRVEHLPPILLTCLLPASYPSHRPPLFTISSYWLDKGMISSLCHMLDMLWEEQQGMEVTYQWVQWLQSSSLSHLGFDNEIVLSKNDVACEADKRACLDNASPDVIIPRMMRYNENRHHEAFVNAIHDCMICFSECPGNSFTFVVSAKRQQSGHVQADQMRILEELRSLKEIMKDSKQCPKCNMAISKTEGCNKMECWNCKEYFCYQCNRAITGYDHFRCLIF >Dexi5A01G0025230.1:cds pep primary_assembly:Fonio_CM05836:5A:29032111:29033000:1 gene:Dexi5A01G0025230 transcript:Dexi5A01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYEKPSETYAKKRPRYPKEWFSKLAGLTAGHQRAWDAGCGSGQAAISMAEHYESVVATDVSEGQLRNAIAHPRVRYVHTPEHLSEDELVAMVGGEGSLDLVVVATSIHWFDIPLFYAVVNRALRKPGGVLAVWGYNYEIHPFEDALQGQLYAALRPYQDPRARLATEDRYRSLPFPFEPVGVGAEGAPADVDIEVEMTLEDLAGFVMTGSVVTTAREKGAGAEMEAVVEDVVKRLEKEWEDAPTVPRKLVFKAFMLAGRPKC >Dexi1A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:1A:6597465:6599586:-1 gene:Dexi1A01G0008480 transcript:Dexi1A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEEIRRVPELGLELPGGASTSGREAGTGAGGGPERAQSSTAQASSRRRVRSPADKEHKRLKRLLRNRVSAQQARERKKAYLTDLEVKVKDLEKKNSEMEERLSTLQNENQMLRQILKNTTVSRRGPGSTASGEGQ >Dexi4A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:4A:19000464:19005063:1 gene:Dexi4A01G0015700 transcript:Dexi4A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDGPIEAPERPASLDRPELTWPVVKRATNGVRPQPLLKLPPTIALPVVCWEGSTRDILRSFAPDDENEKQHAPASRSGLDAAQEEDEENASTTTVFSVSPKGMVRKKFREGVFFAVKEVNLSDQGSNAKQCIFQLEQEIALPSQFKHENIVQYYDTDKEDAKLYIFLELMTQGSLASLYQKYRLHDTHVSAYTRQILNGLTYLHEKKTVHRDIKCGNILVHANGSVKLADFGLAKECLKPSNRTLHDMASSNWWTSTPANTGSYVLLEDVAEAPTPQSAVVSTVRPSSSRWGITSMNPATMLHMALGWPWTVVAAQQHVVAVGIGS >Dexi2A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:2A:25701544:25703228:-1 gene:Dexi2A01G0015100 transcript:Dexi2A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGAAWGRRRRQRWTMSLVIAAGMLESANETLLPAMYKEVGAALGASPSALGSITMCRSLVQALCYPLATCAAARFDRALVVAAGTFVCAVTAILVGASSTFLQMAIARGLNGVGMALVMPPVNSLIADYSDDVTRGSAFGWVCMLLSVGSAMGTSLGVLLAPTTILFGVPGWRLAFYAFAMVSVAVALSTLLLAADSSRPGTRRNSNTMAAVATITDVAREARDVLSVPSFWVMVAQGAASQLPWSALTFMAMWLELVGLTHWETTVVTTLKCLSTGLGSLLAGATGDVAARRFPDTGRVALAQVFNASIVPLAAFVLLLARPGWPLVVYAAGFLLLGVAMGCSGFSTSNPIFAEIVPEKARTTVYALDLCLENVFGSFGAPVVGILAERVFGYRPQETASGGSSAQAAALGKAVFAEVAVPATICCLTYSALYWTYPADRRRARMMEASGGDEDCCETDGLPVASSSADDGLNQALLSVKVTE >Dexi1A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:1A:9096170:9096779:1 gene:Dexi1A01G0010560 transcript:Dexi1A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENYPSAVFTRLEIEKINQCVGVLEILMPIKKIQSLKPNRMLKQSRGKLEKRSVLRAVCSGFEETTEPDI >Dexi9B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:9B:8138549:8143919:1 gene:Dexi9B01G0012220 transcript:Dexi9B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPSRWRQIEPVATANRCGSAVAAFSLALGVAAPPGLTRSRRIWTLDIHLKASRLSAQPATCAKTAWCPRRSCGGRHDEREESLHNIEQDEHVIMARRGGSRRKKPCACCKKYLDHLDGKNQPVSYFISVMDANSKHSLIVPNKFVQRFAGKLLGRIINLESPNGSLYDVEVTERYNKTVLQRGWKAFVHANHIQENDFMLFRHIEKSCFEVLILDSDGCEKVLPCAGVRNSPSIQEQSLDSAGISRSSCRDTTESSGSERFARRALGRSSSSYRGRTAKMAATSSSFEESGYAVISKEYALAHFPHETTYLTLQRPGKSKKWRPRFYIRNDGRVYMLRGQWSDFVRDNHLQEGDICLLLPTKTGRKFTVTVHILRATKSHSRGERGTGSQRVGSCHGGSSTEMTSAVPVKDEPADGEHVPSESTMKEVSDGSLNSNDSGGPSEESTDGEHVSSESSMNETTDESMNSKDSGGPSEPPYIEFGARYATYLPAKEQRMVLHCNGKKWNTELVIRNGRRLFLSGGWPRFVHDNCLRLGDICLFELKKNESKLTMEVHIIPREQF >Dexi2A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:2A:14336684:14341094:1 gene:Dexi2A01G0012360 transcript:Dexi2A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTAARFVQRRLMSSGGKVLSEEEKAAENVYIKKMEQEKLEKLARKGPSAGEQASSTTSSAASDVKAAGGPAESASTSTDKNRNYAVAAGTIAALSGLGWYLLSKPKKSEEVVDXXXXXXASFVNHKLCSK >DexiUA01G0028010.1:cds pep primary_assembly:Fonio_CM05836:UA:60134537:60136935:1 gene:DexiUA01G0028010 transcript:DexiUA01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVGIGFKGMRIMRVKNLNLYAFGLYMQPNSIREKLGPKYASVPTDKLMENPDFYRDLLRENLHMRVRLVVNYNGLSVGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSHFTEDIHIPAGTKIDFCQTSDGKLITEIDGKQIGAVQSKDLCKAFFDMYIGDSPVSLEAKKVVAQNVAGLIARCS >Dexi1A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:1A:3997542:3998819:1 gene:Dexi1A01G0005500 transcript:Dexi1A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPGQPTLICSKNLLTLAGLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVTRMDGGAFTVFDRSVLVSNGGVHGQLLDRIGPPTEDLKKKGIDFSMWFKPDNYPTDF >Dexi8B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:8B:490340:494791:-1 gene:Dexi8B01G0000730 transcript:Dexi8B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCWIVIDCSNLSWLLFRVFTYDDNHSFANKPNLAIAILFFSSLAQSSTKLGSRMSGPRRCGSRRPPPPVVGDNGNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRSPDAVQRMYSEVQLLSTLRHDAIIGFHASWVDVHRRTFNFITELFSSGTLRSYRLRYPRVSLRAVKSWARQILGGLAYLHAHDPPVIHRDLKCDNLFVNGHQGQVKIGDLGLAAVLRDQQAAHSMYDEEYDERVDVYSFGMCMLEMLTLEYPYSECSNPAQIYKKVTAGKLPDAFYYRVKDDDARRFIGRCLVAATKRPSAAELLLDPFLLDDHHHHSTAMMPLPPAPSSACSSFDVSSSLGDDHQVVEQEEDAQAQARVPKMDMTITGKLNAEEDTIFLKVQISDETGHNVRNIYFPFDMVSDTAAEVAEEMELEIKDREPSEIATMIEQEIERLLPNREQQHEYSMYGAHDDDENEEPPPPFYYLSSSPASSQNSLCGVAPYSSGGFSDHGYHYACLSDDDDDMSSMHSSGKYSALHYDTTGNEEEDQAQLTGDAVGPSSSDKKNSRFGPSGGGQSPAWTAHQQASLAHELQRQCSMSPHAGRPRRRDDDQDGRHGRERRRMTRRNRSMVDMRSRLLHRTLVEELNRRLFFNTVGAVENIGFRAPTTTTTSSSSSSARGRRSSTRDDKHRHQYVML >Dexi3A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:3A:10964762:10965956:-1 gene:Dexi3A01G0014870 transcript:Dexi3A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDGQEASYQRWAEAAGVDEAELKSRLQAGYCCRERLIVTTEWLVRYIAKSYTGMGTAFDDLLQAGKIGVLDGAEKFDSRKGCKFSTYIKYWIRKGMLALLVENSGVTLLPARMESIIRKVKEARRAIRYSQGRNPSDSEIADVVGVSVANVRLATKCSRTEVIPDPRTEAADEAMFRAQLRERLVAVLDRLPAREGHVLKLRHGLEDGRCMSLEQIGGIYRVSKEWIRKIEKSAMAKLRNQDVRRDLSDFCGF >Dexi8A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:8A:10516298:10517461:-1 gene:Dexi8A01G0008620 transcript:Dexi8A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQDYKAEGKFLKEIEPTEQTNHTQELKESDSFGSDGGMELALDPVDSTTASLWNSSTGGVRGVGTSPFGFTSTTGTTAGEHPYGEHTSRTLFVRNINSNVEDTELHSLFEQYGDIQTLCTATKHLGFIMISYFDIRSARNAMLALQNKPMRRRKMDIHFSSTPKAKPSDKNLNQGTLTMFNLDPLVSNEEVLQIFGAYGEVKEIRKAPNERFHRLIEFYDVRAAEAALVSLNKSEIAGRQIKLEPTRPSGAHV >Dexi6A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:6A:28515501:28520097:1 gene:Dexi6A01G0021180 transcript:Dexi6A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESETTALSTVTSTTFEDGGDAAHESKSEEIGNGAASTVTSVGKGEEEEMIGPGPAPAKQRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPAGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVHREGDVKPKLAVSDRNTPFVHIYDTHSGSNDPSISKEIHGGPVKVMKYNHVHDIVISADAKGLLEYWFPSTLEFPEHEVKFRLKSDTNLFEIAKCKTSVSAIEVSNDGSQFVVTSPDRRIRVFWFKTGKLRRVYDESLEIVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLTGDPLGDGTGGQSIWGSEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >Dexi1A01G0025050.1:cds pep primary_assembly:Fonio_CM05836:1A:31384898:31385229:-1 gene:Dexi1A01G0025050 transcript:Dexi1A01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTSLTDCVLVVSDFMASEKSDTDTFLNLANSGGCSGGGICMWSLMSMSTTLDMVGRSSGFSCTHSSPTWKHRHASSLEYDPASAASMKSAALSSFHSFHACLQRR >Dexi7B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:7B:3479467:3480543:-1 gene:Dexi7B01G0002010 transcript:Dexi7B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISAEEKEEPPEAKKEQLPCTWPPAMSSRYKLRNKLGDGMFGEVYKAWDRVGKRLVAVKHLRGRPDAGFVPTNHHYFTRETMSLAACRGHPSIVNLVATHADETHDIDGDFFVVTRYAGPLNLRQYMKLRSKQGRPFQEHEVRDAMRQLLSGAKHVHKKGVLHRDMVPENVIVDKQSVRGEKMVYKIAGFGVSEPAVGAEKDGSAALASPEPYRAPELFLGSEDYDGRVDTWSLGCVMAELVTGDGGTAPFFSADVEAEPVFKKVLRVVGTKGILEWPGLKLLARRDVAAELREKGCASYAGCLRDMFPEEKLSEAGFEVLSGLLEPNPEHRLTAATALRKPWFRRRGLGGGCFVP >Dexi9A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:9A:13964027:13970105:1 gene:Dexi9A01G0018970 transcript:Dexi9A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRLCTMQGPGTAAPAPAGGADDERSRRICAVRRAAERHIALARSAGSPLGQAGSPAPPQMLGRRVRDGGGGGSPELVGEILKGGASPCVRAPAVRTGPQERRPPVAAGWPGALPGGGAMRCDAMRCGAHASAGSWNARGSRPGGAQKSEGEFGYDVTMVQLGGVQACSHELAVQYEQQYVSVLASTDHGIGFAVTTESILHVSLTLELCAWATAAGESELDGRDRACGGKSTHTIVRQRSRGTRCCLGLTLTRRNGSATYAWAKHHHQGPFVQNGSTKNPPDLTQRCAFRHAFHPAEFFSVIRFDPA >Dexi2B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:2B:8470221:8471036:1 gene:Dexi2B01G0008440 transcript:Dexi2B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLRRAALAASSAAAALAAAAMPSASSPGSNASLLSSSAYRAAPGHLGLARAHPSLGRDLAALLTPEAFLLDATHALAAAALCARPLPGDKLRLMRRVPRGAAVVAEHLAELRPESSWDLRLRMALAHAEDGRFDDALHALARLAAERPSDPGARLSAAGICYLVGRLEEGNQWVSEIPERVRWENKIYLRNALLAATLGGAVAGGGIEGVVGWVAFEAINIALWAKFTDGDMSFLKRSILSALLRHVFAHKQYKGYYKGIVAGDPSKE >Dexi1B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:1B:26637609:26642378:-1 gene:Dexi1B01G0020520 transcript:Dexi1B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMAGPEAVNGGGGVGRMDRIQVLVRLRPLSEKEIARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVREVALSVVSGINCECRPCNENTFIFSNGSFCSKFKLVFPPLTASIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVEKLTEVVLRDWNHLKGLISTVESSAREFLGKDKSTTLVASAMEKEIKELKSQRDVAQSRLQNLLQTVGDHQKHLGSGKRSARSPPSIGMPPGISRDDSSQISHDDSDLYKEVRCIETSETGGNEHLDLSAGEGSSPRGSNVNSSLRCNDSNTSVNSRRSRLLGESPITLEQHLENIRRPFTNVGRDLGSSTRNSSGSRILGRSRSCRSLSASTMFDGIEVDDGAPLHRSLVGFPGRRPEGDHRRGSALNCDAESETLSRAGSIVSTKTNGACDGEFTGIGEFVSELKEMAQVHYQKQLGGQNVNGEFGEGTVKSIGLDPIADAPRSPSRWPLEFEKKQLEIIELWQACSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLKDTYSRGGTPSNVVVGSLNSSPAASAKKLQREREMLARQMQKRLTTEEREHLYTKWGISLDSKKRKLQVARRLWTEATDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQQPPPRRRSSNGWKYGLPSFG >Dexi1A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:1A:4335180:4335881:1 gene:Dexi1A01G0005850 transcript:Dexi1A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGANMAHWGGAGLYGVGGGAPPATEATTVTTSAAATVSSPTSGGSAGSPTRAPPGVEGGRVGKPARRRSRASRRAPVTMLNTDTSNFRAMVQQFTGIPSGPYGPTSASGGPVISFGSGEYGRAPLVRPSPTSAVMSFDHHMAAAQHRPMAVSSLQSQLFRPQQQQYAGGGGGDMGYGGMHGGGGGDMAPFLHGFEASSAEDRMLLQSIQAAQMMPTRPSSTNNSNGYNFG >Dexi9B01G0046930.1:cds pep primary_assembly:Fonio_CM05836:9B:46173336:46174586:-1 gene:Dexi9B01G0046930 transcript:Dexi9B01G0046930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPILGALLPSGCHSPAVASPSVHFAESPAAAHHHHPPAISFHLAGSGSDHERSRRAGAGGMRRTSSEGNLDSLSGRADDDHHHLLPPSGKCAARARPTPLETIQSFTGRRASSTDDEDEEEEDADEFEADRDLGFGQFSSFIGGGSTYSQEHPLFLARGLGIDRLGSGLLSADVGGGGGGGFGGSDGGGGNLVATGGNGGDRSGIEMHYKKMIEEDPCNGLFLRNYAQLLYQVKGDYWRAEEYYSRAILADPDDGELLSEYAKLIWDVHRDEERASSYFERAAKASPENSHVLAAHAAFLWDTEDADGPEETGTLGYVGFAPAHSTLASATT >Dexi2A01G0024450.1:cds pep primary_assembly:Fonio_CM05836:2A:36192439:36193709:-1 gene:Dexi2A01G0024450 transcript:Dexi2A01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNRVLLKDLPADETKVTTLDVLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDMDKEGTEGTDMVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKM >Dexi2A01G0024450.2:cds pep primary_assembly:Fonio_CM05836:2A:36193714:36196935:-1 gene:Dexi2A01G0024450 transcript:Dexi2A01G0024450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTVLGFSGFGFGFSAGIVIGYFLFIYVQPNDVKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGRKT >Dexi9B01G0045480.1:cds pep primary_assembly:Fonio_CM05836:9B:44964973:44970196:-1 gene:Dexi9B01G0045480 transcript:Dexi9B01G0045480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKILGRGMAVAANRWPTSARQFRRERPPTARRIAHLLHPFAFEQDDLEDKVKTVRTIKKYAGSYEVSCEILQNESQSNESNHHEKDSQDDELEDLWLGMSVALVCSEDVKNVDKENRNISKRKMNSIVHGIDINDGVKEKFIYNLLSLSEAAGEKVLVFSQYVRSLDFLETLFTKMKGWKPGVNTFQMDGGLTQEQREQAVERFNSSPEAKVFFGSIKACGEGISLVGASRVVILDVHENPAVMRQAIGRAFRPGQSKMVYCYCLVAAGSLEEEGHHTAFKKERVSKLWFEWDELCNNEDFELTKVDASDCGDRFLESSALKEDIKSLLK >Dexi9B01G0046890.1:cds pep primary_assembly:Fonio_CM05836:9B:46151776:46155858:-1 gene:Dexi9B01G0046890 transcript:Dexi9B01G0046890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRSPAGAPSSSGGGGGGPVIEMASLLRSDRPYAPLSTDDPSASSRCDDPPDNLVSNLGCRGTVTVGLPPAWVDVSEEISANMQRAKTKMGELAKAHAKALMPSFGDGRDDQRAIEVLTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRALATDLQNLSTEFRRKQSSYLKQLRQQKEGQDGVDLEMSINGTKSTFEDDEFDDVGFSEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVAASVEEGYKELQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >Dexi9B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:9B:14493048:14494644:-1 gene:Dexi9B01G0019850 transcript:Dexi9B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYRIASPSEYLAITGYNISDVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFIIGPRADDEECLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKEREGTTRQNAAKVDAETRVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAAANSELAMKKAGWEQQARVAEVETAKAVEVERRNAARQTEKLKAEHLSKAIVDYEMKVQEANWELYNRQKAAEAKLFETEREAEARRASAEAAFFARQREAEAELYAKRKEAEGLAAMGQAQSEYLSAMLGALGGSYGALRDYLMITSGVYQEMARINADAIRGLEPKISVWSGAGEGGDAGGGGGGAMKEMAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGPSSTSS >Dexi6A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:6A:1516171:1518058:-1 gene:Dexi6A01G0001610 transcript:Dexi6A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQAPRMARAHFTVLLFLLPILLTGASPPPDPVTCTHGTSDCTVTNTYGSFPDRTICRAANATFPTTEQELVAAIAAAAAAKRKVKVATSHSHSFPKLACPGGRDGTIISTERLNKTVSVDKAKRLLTVESGMLLRDLVNVAADAGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVKIRIVTPAPASQGFAVVRELVVGDPDLDAVKVSLGVLGVISQVTFELQPQFKRSVTFVTRDDKDMVEKLAVWGGLHEFGDVSWLPRQGKAIYREDDRVDVSTPGNGLNNYIGFRAQPAVGLLAARVAEERLEENGTDIARCLAARLPAVTFELQAYGFTNDGVFFTGYPVVGFQHRIQASGTCINSHEDNLLTTCTWDPRIRGPFFYQSGFSVAVSKAPAFVADVQKLRDLNPRAFCGMDAKMGVWMRYVKASSAYLGKPEDSLDFDFTYYRSYTEGTPRAHADVYDEVEQMALRKYGGVPHWGKGRNFAFDGAIAKYPKAKDFLKVKERYDPDGIFSSEWSDQVLGINGSSPNLVAPGCAIEGLCICSDDSHCAPEQGYFCRPGKVYKEARVCAFEQTRLVDEL >Dexi9A01G0033690.1:cds pep primary_assembly:Fonio_CM05836:9A:38573900:38574845:1 gene:Dexi9A01G0033690 transcript:Dexi9A01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEADVPTTTLLQRRSSSSPPPWSAIGRRLVSTLAGDNVALRAHAGMVFVQLGNSGYQVLTKSVLNVGMNQVVFCVYRDLIALAVLAPVAFLRERGVRPPVTPQLLGSFALLGFTGLFLNPILFLVGPGYTNPSYAGALDPSVPVFAFLLAAIAGVEAINISTKHGILKVLGTAVCVSGAVLMALYRGPSLISLLGGTDDPAPADASRDADFSGLTQ >Dexi5A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:5A:4175582:4176993:-1 gene:Dexi5A01G0005640 transcript:Dexi5A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPGSSSSGKGKNAKDGGGDSAGTGTFSCFHGEWRPVDEATLMDANEIRRRFIDGFYEEAARRLPLKEIPGLDGCIRAGGLCVGLADPVANIILNAVGLLLHDRQEQEEHRHLPPQREEFRVSRGSAKGWADIAYRSLDGLRGFMTAYFRYLGYTQAARYLYLASHHLPLATRLVHHDRFGPRSFSQEQRRLLPDGGKLKGALRIAAVQSKHPAPDLLAQLMTAQYPPDMLSRVVAKLQGTEPLTTIDVSEIMDLLACRWPPTPSPVNMEFWCRPNGTTCTRHQDGALLVSTCIGEGLVADISIRTDQKDQFQYITDLTFHCGDMEAKLSECLQAAGEISGTGMETIVNYDAFRCEHIVTLEMCLLDTIHTFYITALAILPRRRSTLRALIVAGHCYGTMDPVSNIILNTIWYEITFPPTNDDEVELPQGILDTRPMFRLASHSLDGLVEMARETSSQLF >Dexi6A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:6A:12016572:12018963:1 gene:Dexi6A01G0009940 transcript:Dexi6A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHRKQSRRAISGVRVRPTAMASPSSTTAAAAAAHRHCRLLALPLFLLVPSCLALLAEAGGIPTTLDGPFPPATRAFDRTLRQGSDDVPLTDPRLAPRVQPPAPEQIALAASADAGSLWVSWVTGRAQVGSNLTPLDPAAVRSEVWYGERSAAAGDTTSYLQVATGSAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSLRGGLSDELSFTTLPAAGAGSYPRRVAVVGDLGLTGNSTATVDHLAQNDPSLVLMVGDMTYANQYLTTGGKGATCFSCSFPDAPIRESYQPRWDGWGRFMEPITSKIPLMVIEGNHEIEPQGNGGEVTFVSYLARFAVPSKESGSNTKFYYSFNAGGIHFVMLGAYVDYNHTGAQYSWLERDLQMVDRQVTPWVVAAWHPPWYNSYSSHYQEFECMRQEMEDLLYRNHIDIVFAGHVHAYERMNRVFNYTLDPCAPVYINIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGICHMNFTSGPAKGKFCWDRQPEWSAYRESSFGHGILEVTHFT >Dexi9A01G0044470.1:cds pep primary_assembly:Fonio_CM05836:9A:48080350:48082165:1 gene:Dexi9A01G0044470 transcript:Dexi9A01G0044470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQETIAFLLLVGVFGLVQDVGGAGEVLDLVVSIAGRERAVDAFTVLGLDLDQHIPVLIEKMARSGKQLEAVKFIQALNVVHKYPLLPVLRSYINAAALAGKMIRFRGDDPASQNAADAKERTLLGTLQKFIKEQKLEELPILEEANKRMAQLEQLSAERKRAAAAAVAAAQQVSKDIEQQQKIQQMMQPAKRSKVDNVLQGLGRNVHSAGTPSQQFIPRQSIHAAVVRNQYQAALNPDVLPVITQIPRVVTGNHPVGIQSQIPVAPAVLTQYGGLAECEVMPYRPGPLTPGSSALIVPSGRASSRSKLYSGDPLAAVSRSSGKKGSSYNYSLSDMSTYDPK >Dexi5B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:5B:1581691:1586578:-1 gene:Dexi5B01G0002440 transcript:Dexi5B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVAVVPTGSSQRWRLASTYHTSMALVTARPNAWSFPSSSFPSSGVSSTSYVGRLKEPMPVMGRHRSGLMPPVKMTGNGHAAPARSPSTRTVTSRNGPLASPSAGVCVYQNSSSPWNETKTSRTAR >Dexi5B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:5B:3244986:3245899:1 gene:Dexi5B01G0004760 transcript:Dexi5B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIGAGREEGEAAAAVEMDAAAPVVAGRRGCIRSTQGPWTVRRRGRGGGMTTSLRHPTPRERENNRQRERRRRQVAARIYSGLRAHAGYTLPKHADQNDVLRALCAEAGYLVDDEGNVTRLQGVVDGVAGPSCSSDHQKPSSHSGTTEAVTLQQPDHQQQQQREEEEEKLSLELSLSLAYM >Dexi4A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:4A:18679559:18679816:-1 gene:Dexi4A01G0015640 transcript:Dexi4A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNNLSASSGMGGSSTRAPPRASVAAAALGRPPTAVTAFGDPAAAVGEIQQKDVTTTGHRDEKREIDWGVGSLGWRTYGGDVG >Dexi3A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:3A:316188:321330:-1 gene:Dexi3A01G0000330 transcript:Dexi3A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRGVDAGEVQDLQNKAPRPAHQHKDDPAQMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLAKEQLSNFQAVVFTDISMEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAVNEPGEFLMSDFSKFDRPPLLHLAFQALDKFRAELLRFPIAGSADDAQKLIDFAININESLGDNKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLERDKCEAFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAVIEELIAKLEAISKTLPPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFAMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLEWLKEKGLTAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >Dexi8B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:8B:18952325:18953203:-1 gene:Dexi8B01G0010400 transcript:Dexi8B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWKSLVPALHLHAPAAPSCFPQPPAPSPCPSPPREEEGTTPPPPTPPPSPPPAEKQMVRLVGTDGRVRAYPPPVTARELMQEHPCHLVCRSDALLIGEKIPAVAPGDELEPGKAYFLLPAHLFHSVLSFVSLASSLLLMLTKAAEDAAAAAAAAGGGAAKAAGKKPFELHRMDSGALQIKFSEDFLAGWEEAPAAEEPAAAVLLGGDKRLAKDYEELVGYSKSRRWAPKLETIEEVVAAASTAAATASPKSSSAERKKSRGALPFLGRLGSRRRHRDACGGGGSAVACSG >Dexi3B01G0026430.1:cds pep primary_assembly:Fonio_CM05836:3B:21572024:21573199:-1 gene:Dexi3B01G0026430 transcript:Dexi3B01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAMKPSILQYLAFFLSFAFGGSTTAHMPYQDVLASFRKLPLEGHFSFHDVSTVAWDFGNLSSFMPAVVLHPGSVDDIATTVRHVFLKGEQSMLTVAARGHGHSLQGQCQAAGGIVIKMESLPAARIKVRSGASPYVDASGGELWINVLHETFKYGLAPKSWTDYLHLTIGGTLSNAGVSGQTFRHGPQISNVKELEIVTGRGDIVTCSHEQNSDLFHAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFTSFTEDQEMLISAVRTFDYIEGFVIINRTGILNNWRSSFNPQDPVWASQFKTDGTVLFCLEMTKNYNPEEVDNMEQ >Dexi9A01G0044520.1:cds pep primary_assembly:Fonio_CM05836:9A:48139672:48140469:1 gene:Dexi9A01G0044520 transcript:Dexi9A01G0044520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSRALVLALAALVVATAAAAADTPAPAPGPDCMGALVGLAGCLSYVEEGSTVTTPDPSCCLGLKDVVRHQVACLCQLFQGGGPNFSISLNMTKALQLPAACKVKTPPVSKCHVSVPGVPSASPVPAPSAGAPFFGQSPSSPAPSGSPAAAAAATGSDSNNTPAPSPAHTGAASLSASSPSFFSAAAAVAVALLAYRIF >Dexi1A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:1A:2787104:2787375:-1 gene:Dexi1A01G0003820 transcript:Dexi1A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATRRIDGAEVPIPSSDKLRWVDLTVPSSAPAGPADPFVCVPPRAASGCHIIRSGDSQYYLSCGALC >Dexi5A01G0026390.1:cds pep primary_assembly:Fonio_CM05836:5A:30047026:30049379:1 gene:Dexi5A01G0026390 transcript:Dexi5A01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLELALPVVLFLLLVGQCRGGKIGVCYGRNADDLPAPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFSQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESSVNVSGLVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAHFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLYFPDQSTIYSLDWTGRGNVDVMTGANITSANGTWCIASTNASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGVRTTKDPSYDTCVYLAAG >Dexi9B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:9B:1233167:1238393:-1 gene:Dexi9B01G0002190 transcript:Dexi9B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLGAQSGHLYSGGMGELDLNRRENRLFGWDLNDWSWDSERFVATPVPTAATHGSGSNSSPSSSEEAEAEVTRNGLTGDSDKRKRVVVIDDDEREDQGTIVNGGGSLSLRIGAGAVSVGAMENGDVNEDERNGKKIRVQGGSSSGPACQVEGCGADLTAAKDYHRRHKVCEMHAKASTAMVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNRRRRKTRPDIAVGGTASIEDKVSNYLLLSLIGICANLNRRYMTLRIVLAADSVQHSNNQELLSTLLKNLGSVAKSLEPKELCKLLEAYQSLQNGSNAGTSGTANAAEEAAGPSNSKLPSVNGSHRGQASSSAGPVQSKATMVVAPEPASCKFKDFDLNDTCNDMEGFEDGQEGSPTPAFKAADSPNCASWMQQDSTQSPPQTSGNSDTTSTQSLSSSNGDAQCRTDKIVFKLFNKVPSDLPPVLRSQILGWLSSSPTDIESHIRPGCVILTVYLRLVESAWRELSDNMSLRLEKLLNSSTDDFWASGLVFVMVRRQLAFMHNGQIMLDRPLVPSSHHYCKILRVRPVAAPYSATANFRVEGFNLLSTSSRLICSFEGHCVFQEDTDTIADDAEYEDRDIECLSFCCSIPGRRGRGFLEVEDSGFSNGFFPFIIAEKDVCSEVCELESIFESSSYRHADVNDNARDQALEFLNELGWLLHRANRMSKQDEMDTPLASFSMLRFRNLGIFAMEREWCAVIKMLLDFLFIGLVDVGSRSPEEVVLSEHLLHAAVRMKSVQMVRFLLRYKPNKNSKGTAQTYLFRPDALGPSTITPLHIAAATSDAEDVLDVLTDDPGLVGISAWSNARDETGFTPEDYARQRGNDAYLNLVQMKIDKHLGKGHVVLGVPSSMCSGVKPGNVSLEICRPMSASVPSCLICTQQARVNPSPGPRTFLYRPAMLTVMGVAVVCVCVGILLHTFPRVYAAPTFRWELLERGPM >Dexi3A01G0033610.1:cds pep primary_assembly:Fonio_CM05836:3A:38328242:38330200:-1 gene:Dexi3A01G0033610 transcript:Dexi3A01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASPALRPAPRWGGGAPSHRRLVEEHLASLPHGLPRLRHVQELHAQLLKQGLHRDPRAASKLIASYALLRRVPACRRIFSAAVAAAAALPDGTYAGASSTTLLANTLLRAYALNALPHAALAAFAAVPSRQRDTFTYSFLIKALATAGVAPVRAAHSHVVKLGSVDDTFVGNALIDAYSKTGVPADARKVFDEMPARDVVSWNTAMAAMVRQGEVAGARRMFDEMPEKDTVSWNTMLDGYAKAGEAEEAFELFQRMPERNVVSWSTIVSAYCKKGDMDMARVIFDKMPTKNLVTWTIMVSACAQKGLVEEAGRLFTQMKEAAVELDVAAVVSILAACAESGSLALGKRIHRHVRQRKLGRLTLAV >Dexi5B01G0026050.1:cds pep primary_assembly:Fonio_CM05836:5B:27912942:27914824:1 gene:Dexi5B01G0026050 transcript:Dexi5B01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSCYSLAASATAMEVRRLVWLVAAVSLALATLARGDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAATSGDQLLGGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVETLVNILGDQDTASDHLSRCIFSIGMGSNDYLNNYFMPAFYNTGSRYNPEQFADALIADYRRYLQVLYNYGARKVVMIGVGQVGCSPNELARYSPDGVTCVDRIDGAIQMFNRRLVGLVDEFNALPGAHFTFINAYNIFADILANAASYGFTVTNAGCCGVGRNNGQVTCLPYQAPCANRDEHIFWDAFHPSEAANIIVGRRSYRAASPNDVYPMDISTLAAI >Dexi8B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:8B:25909270:25909684:-1 gene:Dexi8B01G0015120 transcript:Dexi8B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding FISIDCGYIEKPEYSEDKTGLTYLSDVDFVDAGMTHPVYEENMQLDIAARYWTVRFFPNGTRNCYTIKSLPPGGKFLVRAVFGYGNYDTLNRLPTFDLYLGVNYWTTVSIVNSSTPYVFETIAVSPANYLQICLG >DexiUA01G0007890.1:cds pep primary_assembly:Fonio_CM05836:UA:14769815:14772960:1 gene:DexiUA01G0007890 transcript:DexiUA01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVPALPVGGAEAAVVIGGLLAAAAVAGRAGLVGSKNNRSNVPPAVPGLPLLGNLHQLKEKKPHKTFTKWAEIYGPIYTIQTGASSAVVLNSTQVAKEAMIAKFSSISTRKLSKALSILTHDKTMVATSDYGDFHKMVKRFVMTSMLGTSGQKQFRDTRKVMIDNLLSTFHTSLNEDPNAPLNFREVFKNELFRLTLIHALGEDVSSVYVEEFGKVISKEEIYHATVVEQMMCAIEVDWRDFFPYLSWVPNRSFETRILTAEVIQASDTTLVTTEWAMYEVAKHPEKQDRLYQEIQEVCGNEMVTEDHLPRLPYLNAVFHETLRRHSPVPLVPPRYVHENTNLAGYEIPAGTEIIINLYGCNMNKNDWDEPEEWKPERFLDGRFETADMYKTMAFGAGRRACAGNMQAINISCTAIARFVQDFAWRLKESDEDKADTTHLTANRLYPLHVYLTPRGRK >Dexi1B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:1B:20466919:20471282:-1 gene:Dexi1B01G0014240 transcript:Dexi1B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKDPTATGGEPAIGIPYYPAPAAGAQGNYYYAPPPNPYAAGMPPPNAIYAGAPKGLPLQQTMFRDTPAPFHCQACGEAAVSTVRSKPSLASVVACMMPFMLGVCFLCPSMDCLWHKYHYCPSCGDKVGEFRKSDPCLVVDATRWSEPSFAVPA >Dexi9A01G0034280.1:cds pep primary_assembly:Fonio_CM05836:9A:39059774:39063359:1 gene:Dexi9A01G0034280 transcript:Dexi9A01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVLCLLGGTVHIALPCRRGDPASLQISRTAFRKVTRAPPSDHLPPEPPRPIEPAPLAARRHRNPSSSAMATVSLTPQAVFSTESGGALASATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDCPAARPHSGGGGGRARGVASSHAAAASAAAAAASAAAEVSAIPNAKVAQPSAVVQAERNLLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYAAVAMLSALVPAGGHIVTTTDCYRKTRIYMENELPKKGISMTVIRPADIDALQNALDNNNVSLFFTETPTNPFLRCIDVELVANMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCVSGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKTQMTGFGGVVSFEVAGDFDGTRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDIVQALEKV >Dexi1A01G0022230.1:cds pep primary_assembly:Fonio_CM05836:1A:28928729:28932750:1 gene:Dexi1A01G0022230 transcript:Dexi1A01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLESNPDVMNQFMWGLGVPEEVQFCDVYGLDDELLAMVPQPVLAVILLYPLTSLQDEEEKEESGSSGASTAGGKDLNKKVYFTKQTVGNACGTVGVIHAIGNATSQIKLVEGSYFDKFYKQTADMDPVQRAAFLEEDDEMEGAHSIAAFAGDTDTNVDVNEHFVCFSCVDGELYELDGRKSQPISHGPSSPETLLQDAAKAIKARIAENPDSLKFNVMALSKN >Dexi9A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:9A:477577:479462:-1 gene:Dexi9A01G0000920 transcript:Dexi9A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVCRTPGRQLRLFDVRLRQTEVHAFGWKQESSESQSALINQSWSPDGWYVSSGSADPVIHIFDIRYHGQNPCQSVQAHQKRVFKAVWHQTLPYLTSISSDLNIGIHKYS >Dexi1B01G0025490.1:cds pep primary_assembly:Fonio_CM05836:1B:30516140:30517885:-1 gene:Dexi1B01G0025490 transcript:Dexi1B01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRTKRTSAPAPREIPSVSAQPVPAPGAHPVPPPAIPGAWGPPHPPQSMVPSAPAPQEIPSATAQPVPAPPPAIPGAWCPPHPPQSMAPSTPYWFAGLQHPTMAGSSSQCPWWAPAGSNGPSTNHEDFNLQAWALKSYEVDHKVDGPFMFKHCWDVLRKEPKWDAYLERLEEIEPENRKFNVGEDVGQHFSLDNARDDRPIGGKQAKEQQKRKRKDQACVIDLEDELHKFVDAQNKASEGRKEMLETQRLVSSEALEAKKLAYLAAKEHKESTMLEAYRSMMMQDTTVMAEDVRAEHVLALRCFREKLFGKTD >Dexi2A01G0030660.1:cds pep primary_assembly:Fonio_CM05836:2A:41526545:41531539:1 gene:Dexi2A01G0030660 transcript:Dexi2A01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSGGSEAGDRPWTVTSTWAPGPGGGAVEDAVSFETSDYDAEATPAGIVLSRPVPDEDGDVPPCEVTVSFRGKYEIHRVYVRSTARTYELYHSTDSKGTSKDYLCTVRCGLAVKEPEPCGEESMSQWSGGAPTGDKVEHEIKSVSGSSDEESWVDVKLPESPMQNNTPEAQERKSIRICQENTLAHYEATAEMTDVSPCVSLTVRLLSIQSKTSVHIDEIYIFADPVESTNDNSVTGPGNMGGSSLLAMLVPGLMQMSTSRNLKIDDRYFSDGLRTQLTQDRAINESSPSGKIVQETGLSSADNYKPTGIESGINPADNGTVSDKKPNQGEFQIKDPNSLPLYVQATESIQATSVKDQRISGNPPVNEKFTPYNHIERKLDTLLSKVEKMELYCSRFEDSMVKPLGSIEARLERLEEQFTSFSVEIKSLRGSYAVSSAPDRMSNMNNSQEEARDAAPTTDSKPGLAVRAPDFSSDDSCYVTSENQANFRGPNAMPRLLVKVPEFISRPGIPDGNLRDGPSSPVYCAPSSSEKERKISPGLVVKVPEFPDDDDDYDDEVEEEKAEVGDRDDGHAQYDDTLSESTVESTKSKKSVSINGALASALEALLTSTKGISSSKPVVRTASNLSAENTNDSLGCSFSPEKIGKMCTKDRSVDQFLAAPCDTNLVGTIKSFQDIDAIPHTSLSKEMLDSKVEINEQKDDFIPEKVSFIASTESVDVPSQPDTVEESIDNGSQVNEQNGCPSLDTLPYATSTGPLEPPTVFEVVDSVVQVNENRPTISLAEFLAARNVSSGKNVSPEVCSSNDGAEKLSFERILAGADKNSKNISQLLVKKALEVDADEGKHLSSVPIGATFDGSSSAAPGNAASGHNITTEEAVSDECYGVKNAEDGFRLSVGMDTIFSQYHATDCKKEWIENSRSDWIPDDSFSKPNVMHSWSNLSSMESFNGAPGGEPVVSANATPGNYVEDLEDIGDYPTATQISGEELQKVCDLVYEFKDDMLGMTSTAEGASKNSLSLEVLLAESSDSEAQLSDLEDIDNGTGIGSARIFSTFSSSDDDASAADGPLVDIADLNPSEPCASAFKEPLVDVADLTNPSETDASFVNEPSADMVDLPNPSGTYASDANYEPFISVDDLPEPLETFSGGSSGEHPDSLI >Dexi2A01G0036150.1:cds pep primary_assembly:Fonio_CM05836:2A:45740869:45741486:-1 gene:Dexi2A01G0036150 transcript:Dexi2A01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLYRTHRSLAEQYDLLKHGSGTRHTASSVNGKTTPRSSCSVSVYDSESEVDDPEQEEHEEEQIELMRTEIMKMESLSREQQQQKEQVELMRAEIERLKEQNAALQKAAEENVALKVELAGKDEEKREVIRQLASSMDMMREENLTLREHIRGSKHSSTRAFDLKKVAKDLFSVRLFTAHCKPTGPIVAL >DexiUA01G0009960.1:cds pep primary_assembly:Fonio_CM05836:UA:19511199:19512027:-1 gene:DexiUA01G0009960 transcript:DexiUA01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETKRLRTLPTPAAAAAAENAAVVVALVLGNIDILREILLLLDAPSHLVRAALVSKDWLRAAADPSFLRRFRARSPPRLLGFLASFASPPTNPPVEGAAFTRFVPLPHPPELDVAARLVDAAFNGGAGGQTKGLVVGCRNGRVLVKHGGYYGKRYSVRTPLRPSSAVGPTTVARPSVVPPQRVRDLMEPDVRCAQLELLPYPGVDDRRDALSGFGDLTNCSTDRRCISGREVDGLPTSRLPCTFPFQSMTTKNPTACWWGEGGYA >Dexi9B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:9B:1490938:1493530:1 gene:Dexi9B01G0002620 transcript:Dexi9B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAPAKVAQPRSSRRPRPPPLCTGGCGDEEGQVQFRSHSLSRRPSMEATAGGKREYSIIVPTYNERLNIALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVLLRARPRKLGLGTAYLHGLKHASGEFVVIMDADLSHHQPKYLPSFIRKQKETGADVVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDVLEDLISSCVSKGYVFQMEMIVRATRKGCHIEEVPITFVDRVFGTSKLGGSEIVGYLKGLVYLLLTT >Dexi3A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:3A:3362897:3363127:-1 gene:Dexi3A01G0005210 transcript:Dexi3A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLDCFGLMARKVSKSSGQKEAGDAMKQKDAAEEASPKAEEKKGDKAVEQKSKEDTRAPLVVPHFPQRSTPGLL >Dexi3B01G0021650.1:cds pep primary_assembly:Fonio_CM05836:3B:16509021:16509531:-1 gene:Dexi3B01G0021650 transcript:Dexi3B01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMAPMSGAFFLLLHPRDMFLYASTAVVGTCTGAITSVAASATNELFGSKHFGVNHNVVVANIPLGSLCFGYLAAYMYQRGAHGGNRCLGSACYRDSFLLWGATCALGTALCAVLYARSRGSAGKRLPRLGAPCL >Dexi7A01G0024130.1:cds pep primary_assembly:Fonio_CM05836:7A:31961094:31961640:1 gene:Dexi7A01G0024130 transcript:Dexi7A01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSKYFLTVPDDWSKENRPVSCKSCAHAGSTKWPGVMDEKPPQHPNPNTIVACA >Dexi8B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:8B:26201256:26203327:1 gene:Dexi8B01G0015400 transcript:Dexi8B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAILPDGLLADTLALLPTRSLVASRHVCKAWPDLVDGDQRLRRRLLLPHSVRGLFINYYDYHQPHFLSRPADTGGNVVYVCNPTTRWWTHLPPCSGEPRRRAFLVFDPAVSPHYKVLLAPKEPEENDDDDEDDARRFMEWPPSPWTWQEFSSATGRWEMKVFVREGEATGTVGDLLFQSVRYGGIEPRWRYAAYWQGQLYVHCHGEYVSRLSLLNDNYRVIKSPIDLAECKNNNVRSFLGRSEKGYTLQRLPMMIIWRNSGLGP >Dexi6B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:6B:9503921:9504915:-1 gene:Dexi6B01G0007900 transcript:Dexi6B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMRELSNDSGSEEVVDLRRELQTALGTAKWQAFGAV >Dexi2A01G0024750.1:cds pep primary_assembly:Fonio_CM05836:2A:36493701:36495005:1 gene:Dexi2A01G0024750 transcript:Dexi2A01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNNAPSPATDEYIPLSVFDRVTYNMQMAIIYAFSPPAPSTAAIEKGLATALAEYRAFAGQLGEAPDGAPAVFLNDRGARFVEAEVDANLVDMAPAKPTPALLRLHPDLEDVELHEVVLLQLTRFRCGSLAVGFTSNHVAADGHATSNFLVAREYRLAGAGDDDEKQHGHGGEVENNIVIHKAHFTKDFIATLRGKASEGRGRPFSRFETILAHLWRTITRARGLSPEVTTQIRLSVDGRHRLGLPAEYFGNLVLWAFPTATVADLLSRPLKHAAQVIHDEVARVDGGYFRSFVDFATSGDVEKEGLKPSAVCNEDVMCPNVEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLLPSYLGDGSVDAFVPVFEHNLQDFKECCYAMD >Dexi9B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:9B:14915831:14919051:-1 gene:Dexi9B01G0020150 transcript:Dexi9B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREMDSALPPGFRFYPSDEELVCFYLRHKVANQRVASGTLIEVDLHAREPWELPDVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRVVREPGTRASVGMRKTLVFYRGRAPNGQKTGWVMHEFRLETPNSAPKEDWVLCRVFNKMKPSSESEEAASINHHGHSHAAAAAEPSSPPAFLGSLPDPTALTPADKLFYQQGQVVTTGVNQCGSDISSSSGTDALLMNLQAMLQQHDSFLDYCSPVVHHGAAVGATHNAGCGDDDAAAMAMAMGCHAVGFEEHGMGEIEMEYARAQGGCGYRDDGLYY >Dexi2A01G0023020.1:cds pep primary_assembly:Fonio_CM05836:2A:34793155:34795565:1 gene:Dexi2A01G0023020 transcript:Dexi2A01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPSGHVKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGYVEPVVPDNQNRALYSTHTFKILFKEGGCGTFVPLFLNLIASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNA >Dexi9A01G0035860.1:cds pep primary_assembly:Fonio_CM05836:9A:40409402:40411600:-1 gene:Dexi9A01G0035860 transcript:Dexi9A01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITAPVHEGERARVKEGEVRHIVRDAFASSSDMAPKLELVDTLQRLGVGYHFKDEIDGLLRDVHHRDTHQHNQEGVCGDDADELYVTSLRFYLLRKHGYRVSSDVFVKFRDDHGNFASGDVNSLLVLYDAAHLRTRGEEVLDSAIAFTRIRLRSLIDSLEPELAKEVQCTLDTPRYRRIQRVEARRYMAVYEQKAAATRNDTILLEFAKLDYSILQAMYCEELKSLTMWDEQDLELFPAYMKALYTNILHNINDIIQELKLRNNSHAGLVKELLIDITRCYHAEVKWRDDHYVPAKVEEHLQLSAPSSACMHITNLAFISLGDVATREDIQWVSSYPKIIRSVCIIARISNDIMSHEVPILSLLHCFLSVNELFPLFYFSSFDEAGTSFRARGSTVQTCMKEHGFTAEQAREELGALVDGSWMDIVEECLDARRPMELLEKVVNLARGMDHMYKREDAYTFPIGLKDAITSVLVDSV >Dexi1A01G0023320.1:cds pep primary_assembly:Fonio_CM05836:1A:29965224:29971309:1 gene:Dexi1A01G0023320 transcript:Dexi1A01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHRTSSISARVRDALTTSASPPSLPWLAPRSSPVPLQRLRLHPYDLEKVVPLGGTLIGAAMAWFVMPIVLRKLHKAAAIAPSISDFLPQAWKGAFVVSFVWFLHKWKTNFIANAVAKKTAIGTDLERLSAFDKVSSLGLIALGVVALAEACGVPVQSILTVGGVGGVATAFAARDILGNILSGFSLQFSRPFSVGDYIKAGSIEGQVVEIGLTSTSLINTEKLPVIVPNSLFSSQKTEEWSSIEQDILLKAASILKRHQLWTAL >Dexi9B01G0038380.1:cds pep primary_assembly:Fonio_CM05836:9B:39460486:39463103:-1 gene:Dexi9B01G0038380 transcript:Dexi9B01G0038380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGKGVADLEIGLASPEGSEEGAPSPASSAGASGSGSGERPDQSSPARASKRPGLVMSFSGKRLDQSPAASPSPSRPVLVMSQSSNRLDQSPARPVLVMSRSSNRLDQSSPASSPAPSRGPVLVMSGSSNRLDSSQPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEEFDSEVAEIRAAIVNEANEMEETALLIAAEKGFLDIVVELLKHSDKESLRRKNKSGFDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHTEVVNMLLERVAGLVEQSKANGKNALHFAARQGHVEIVKALLDADTQLARRTDKKGQTALHMAVKGTSAAVVQALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNVLLLLPDMNVNALTRDRKTAFDIAEGLPLSEESQEIKECLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAAAFKVFFIFNAIALFTSLAVVVVQITVVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFQWAALLVTLIGGVIMAGVLGTMTYYVVKSKRTRKIRKKVKSTRRSGSNSWQHNSEFSDSEIDRIYAI >Dexi5A01G0032650.1:cds pep primary_assembly:Fonio_CM05836:5A:35112663:35114653:1 gene:Dexi5A01G0032650 transcript:Dexi5A01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAVLLALLVPTICAATASAAVVEHTFYVGGMGISRLCMNSVIYTVNQQLPGPIIEVSEGDTLVVHVVNASPYPMSLHWHGIFQLQSGWADGANMITQCPIQPSATFTYVFTITGQEGTLWWHAHASMLRATIHGALIIKPRTGRYPFPTPYAEIPILLGEWWNRNVDDVEKDGLLTGLGPAMSDAFTINGLPGDDAPCGGTFEAEVEYGKTYLLRIINAAVNTELFFRVAGHAFTVVAADASYTNPYPTDVIVVAPGQTVDALMAATAAPGRYYMAARAFESKTVANPPPFDLTTATAVLKYKGVPDYAPAAMPALLPYTDVITAARFYWSLTGLLRPGDPVVPKAVDHSMVVAFGLEQAPCAPGQTKCQGFSMVASMNRYSFRFPDKVSLLEALFRGVPNVYSEEFPGWPAAMSPARKATSVRKVKFNDVVEVVLQNEGYSGALGTENHPVHLHGFNFFLLGQGLGRFDPRMASTLNLVNPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPYLLPPPPVDYPRCH >Dexi9A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:9A:1234033:1234602:-1 gene:Dexi9A01G0002310 transcript:Dexi9A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLRALAVVLLAVSLRLAGATVTIEDACKQHTKHPELCVKELSSANPEMKAAALNGGLAGLAELSLSLASQQGAETVAFVKGLEKMPGGMPPQCLEDCVAKFQEAVADLKRSEAAMAEPKAKDVPSVQGWLAAAKNDGDTCMGNCNRIEGGGDLEIVDKIGDLTKMCSIALSLTDASVHNRTGTA >Dexi9A01G0034100.1:cds pep primary_assembly:Fonio_CM05836:9A:38890399:38892870:1 gene:Dexi9A01G0034100 transcript:Dexi9A01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPRDHMIYRERHCPPDKEKLYCLIPAPKGYVAPFRWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIEQLASVMPIAEGKVRTALDTGCGVRENLYPENQYVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNNGMYMMEVDRVLRPGGYWVLSGLGSFAAALESPKLWVMNVIPTIANTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHSNDIFSLYQNKCKFEDILLEMDRILRPEGAVIIRDKVDALVKVEKIANAMRWKTILADHEGGPHVPEKILFAVKQYWGVTNKSS >Dexi1B01G0030260.1:cds pep primary_assembly:Fonio_CM05836:1B:34272990:34274587:-1 gene:Dexi1B01G0030260 transcript:Dexi1B01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGDMVTPGELLGNSLTLVAGRGAYAEGRSVRASVTGHRRVVPPAPGSDDQRSTVEVVGHKAHGAVPQPGSIVIARITKVMARMASADIMCVDTKAIKEKFTGMIRWYIGPNQLD >Dexi2A01G0033980.1:cds pep primary_assembly:Fonio_CM05836:2A:44127539:44128063:1 gene:Dexi2A01G0033980 transcript:Dexi2A01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDLSNLARDYGIAVETATLARDLLAPAATRLSGDDPSGLHLRCVLVRYHGLFLHPDEARADLAMFGDIEAVAACRTLHAAVVVFRNAENATTALRRQPLDRINLYTPVPPLYLCFPVCLVDPDLIEVTTYPKTAPPSARPRGSARGPPGTTEFVPFFRYKVQGR >Dexi1B01G0028430.1:cds pep primary_assembly:Fonio_CM05836:1B:32974017:32978633:1 gene:Dexi1B01G0028430 transcript:Dexi1B01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAAGGSEAEGAPRMAKFLCSFGGSILPRPVDGCLRYVGGDTRIVMLPRDISYADLAARMRELYKDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKVIASGEVFTRLRIFLFSQNLDDDAASVVAHYNVDERETERRYVDALNSLGDVKSRSSPVSVEQLFGIGGNDSGIPDFAGLRHLNVPRPPQNQRYGDMDSPWSPAYVSPGQYAVRDPRDFPISPSSARFQVGAEDFDERIPDDFVRQSPKYRHYEAQSPSHMDNLIWLPPGAVIQQNAGFPGNLSRSNNFLDGNSICDHCRSPFHKGQGSVTDPRYVDPRWTRPAQQHFDQPSMTNEYPGHSSNSCSDCCRPGEHYVVGQDVRMENSAYVKEQNGGHPPMFYNESHSHERVWQAHASQTHQRYEDPRLHLPGNGRVIEPYLVDTNSVNSTFAPNKVYEMHSSSLGRSSHESPHYFHGSSELINDPYHNQQVGGSGSYVQPGGLEESSGQHYNHSSTYGADSFYQMQQNLPPIQSLRRRENSPVHTGSPYDSPHLPMPNGSINTNYVRNTGDISPRIPGLPAYDRMPNPWAPPNGSIPYRVVGHDVPAAMENTSALGPRSNPITAQYVQPFIAPEPIQHQHGAPLREVNPDRAYAENMPSSYVDGRVAVAVSPLTDQLSRLDTNNMKKHEGPEDKSTRNVIEGTPLHAVEEPSTLPHHVEAVHEVVPKLGKPTEHESRTKQHEAGATALQECGDISEDRLNFLPELIASVKKAALEDATVTQVAQSDANAAVSPAPDDDDNRKNLDVATAANTDATQDSDFHGSNDQKKSSKIESTTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPSWCDPEWKGLMESCWSGDPTERPSFTDISQKLRKMAAAMNVK >Dexi8A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:8A:26835326:26836408:1 gene:Dexi8A01G0015740 transcript:Dexi8A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAAATTGRRCTTSTIIAEKSTATHILRVDGYSGTKGLGVGKIINSGTFIAGGHSWYVAYFPDGEDDDCADWVSVSLYLDRPCPKDSIVKATFEFILQDKNGSPMTLYTMKSSLTTFSMDNGARCSGHKKFIRKKDLESLWWSNDCFRIRCDVTVVKDVRVETTAADYSGELPSPDLGQNLGELLDSQLGADVEFMVGGEVFMAHRIVLAARSSVFKAELYGQMKEKYRMTCIQIDDMDPRVFKAMLRFIYTDKFPKVDKDEKIVMAQHLLVAADRYNLERLKVLSMDVLRKYIDPSTAVATLVLAEQHGCPRLKEECFKFLKSRDNLTAVMESDDFEHLMRSSPSLFKELLAKVAP >Dexi7B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:7B:5247060:5248536:1 gene:Dexi7B01G0002710 transcript:Dexi7B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRGSISRPHHLPSSAANLVPIPFICSGNETRSSSPLSPGTCRRHATAATPSPSPSPSLERPAQPPPQCPDYFRYIHSDLSPWRETGIARDAVERARGRGAFRLVILGGRTFVETYHRVFQTRDSFTLWGIAQLLARYPGRVPDLDLMFNCEDMPEVHAADFPRPSDAPPLFRYCKDDATLDIVFPDWSFWGWPEVNVRPWAPLLEEMAGETRRLPWNEREPYAHWKGNPGVSTERADLLRCNVSEKMDWNARLFRQDWDAAIRGGFEDSNLAKQCTYRYKIFVQGRSWSVSEKYILACDSPMLLVATPYKDFFSRGLVAGEHYWPIDPATKCPSVKFAVDWGNAHPAQARRMAEEGSGFAREEMSMDYVYDYMLHLLTEYARLLRYKPTVPENAVELCPESVACGTQGREQQFMMESRERYVADYEPCTLPPPFTAQELRDIARREAQVRRKVKRMGN >Dexi2B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:2B:30789347:30791764:-1 gene:Dexi2B01G0020800 transcript:Dexi2B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQLLLCLLVAAAALLLVAAAKKSGDVTELQIGVKILILMPCLYCKMQHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGPQGSPPTIPGGATLIFDTKLVAVNGEPASKSNEDSEL >Dexi7A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:7A:29041475:29046201:1 gene:Dexi7A01G0020160 transcript:Dexi7A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGGDSNTLRILVATDCHLGYMEKDEIRRFDSFQAFEEICSLADQNKVDFILLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTVNFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLIKKGMTSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDGESVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKLPLRSVRPFEYAEVVLKDEADVDPNDQASVLEHLDKIVRNLIEKNSQPTESRSETKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGDHIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKTAFYSCLQRNLEETRNKLSSEADTSKIEEEDIIVKISECLQDRVKERSLRSKGGAGFLSTSQTLDTGGKSVAAQSSLYSFSDDEDTRDLLLGTSDVGRKSSGFTRPSKDTTAVAKRGASKRGRGRGTSSMKQTTLSFSQSRSSAVIRSEDVASSSEEEADANEVVENSEEESAQQVGRKRAAPRGRGRGRGSTAKRGRKTDVASIPSMMSRDDDDSEDEPPKKAPPRATRNYGAVRRR >Dexi8B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:8B:2095003:2095793:-1 gene:Dexi8B01G0002940 transcript:Dexi8B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGKEPTQSWPDLPPDLVGHILRLLSCHIDRLCFRSVCRHWRLTERQQQAHLPPPLPFIFLNNHTFLNLTGGKVRRVGEAPADDIVVHGCFDGWLLYGPDDRDTYDRRHKCFLANPLTGATVEMPLRFNDLAKSSMLYVHKLIVCSPDNLIAATCGNRVVIYWPDELFVHEVGDATAIAGKLPKVAAAHVVEQAIKKVQGPKSTTDAEDQDEKSIRRYNYLAV >Dexi5B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:5B:5847565:5848943:-1 gene:Dexi5B01G0008620 transcript:Dexi5B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQEGSPLDLNNLPEEYGKQAVESSTTTATSSADAVRIKKKRGGGKDDASKVYECRFCSLKFGKSQALGGHMNRHRQERETETLNRARQLVFGSESLATVGAQMSFRDVNMRSTAPSTILGGGATGGGVAGDPCLSFRQVHPRLSSSPQPPFHYLYTAAPSTLQPAMSYPSTYPGPPPRQQPAVGDYVIGHAVTAGDALMQPPPPHHGSSFCFGAPLTTAPPPAVAATAAAAANVQAADKALQLQLRLRRSQQK >Dexi1B01G0030500.1:cds pep primary_assembly:Fonio_CM05836:1B:34449022:34451875:-1 gene:Dexi1B01G0030500 transcript:Dexi1B01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGRRRMAGDVAVAGWSWIPAFESDTSQVLGAADYRLNSPSTSAISLEVMGHRLHISQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKMKGKRVIELGAGCGLAGFGMALLGCDVTTTDQVEVLPLLMRNVERNRSWISQSNSDSGSIGTITVAELDWGNKDHIKAVEPPFDYIIGTDVMDPKYQHPSINLYMMDLKAPLSADAGASDNGNEEEDDVSNLGEDEDPGAKSEHSSGPLEAKSGSMDDWEIRRCGAMAARLLKDVKL >Dexi7B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:7B:16890628:16893307:1 gene:Dexi7B01G0009260 transcript:Dexi7B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASVTPAGVVGDYTGGVTFSVVVTCLMAASCGLIFGYDSGVSGWRVSLGLAAVPGAAIVLGAFFVSDTPTSLVMRGQPDRALAVLRRIRGTDADVDAEFKDIIHAVDLARKHDEGAFRRLFSKEYRHYLVIGVAIPVFYEFTGNIIITMFSPVLFRTVGFNSQRAILGSVINSATNLVSTLLFSYIMDRTGRKFLFIIGDLGMLFCQVAISWIMADHLGKHSGVTMPRNYAAGVLVLICMCTFSFGLSWALLRWVVPSEIYPVEVRSAGQAMSISIAFCISFVQLQVFIALLCAMKYTVFLFYAAWLLAMTIFVVMFLPETKGIPLEAMQSVWVHHWYWRRFAKDAKEGNQDNCL >Dexi1A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:1A:22820281:22828497:1 gene:Dexi1A01G0015800 transcript:Dexi1A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKGSTRQRNSVERTTRPLVPCDLRPSIPIPPSGGPEQKEQMHAALRVWSRGMMTMHTDVREARAKFTTMRAGATPLTSDDRAVFFGSLRAETVRVTCETGSCHRSVVAGFPAAIMGSGLIRSQGIIPLNAMQCDALSNIVLLDISCTDLTDWLRLRAVHPKTIDREKFFHGRNRCRTGTYLLADSAALLVLLLPLIFSFPFAAGPLSFCSCISLHLRASHHAQSDKPATNDIVMKLLADACHGYQSSTMMHFAQSTKKYLAHILFLFFLRLLCSCIPKAKKGSEEESDTRPIQQERLSISAAGDRVPALRAAHILPSTVGGGTATEKGSNPIQTQNHPQTPPFCRLPAPTDIRQSHQPPCLLAALLRPELPSSELHSTAEVTSSTTTRSMTLQDALAFANVNCYIMKENERLRKAALLLNQENQALLSELKHRLAKSATAAGNNNNSNNAAAPATANRASPKASTGDAGKGKPAPKPKDPFVTAVQTASQLSLDARANLHKVEVVEEDLLLGADAEYTASQTHQSTPPP >Dexi3B01G0038610.1:cds pep primary_assembly:Fonio_CM05836:3B:41402947:41409010:1 gene:Dexi3B01G0038610 transcript:Dexi3B01G0038610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEAEQPNGLVVVPAPRPRKGLASWALDLLESLVVRLGHDKTKPLHWLSGNFAPVVDETPPAPDLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKFMKVSIKDVVKVLEDGDLQTLGLLDYEKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGTMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILPRYAKDDKLIRWFELPNCFIFHNANAWEDGDEVVLITCRLENPDLDKVNGHQSDKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRVNESYTGRKSEVNVIDAKTMSPDPVAVVELPNRVPYGFHAFFVTEEQLAQQAEGR >Dexi6A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:6A:27689385:27693988:1 gene:Dexi6A01G0020170 transcript:Dexi6A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDETPEPSASASGSSSSSAAAAAPAARLNAAAPEFTPRSAPQHHGGNPHRRGSHHHQNHHHHQHYQPRHQQQHHQHQGSEDEGSAAAAGEDKEGPAGAQPRLSDGEARKVVKQVEFYFSDINLATTEHLMKFIANDPDGFVPITVVASFRKIREIVSDRSMLVAALRTSSELVVSEDGKKVRRLRPFNIEEVQSRIVVAENLPDQKYQTLMKIFSDVGSVKSIRTCYPQDGAAGAASKTSRIEMLFANRLHAFVEYGAVEDAEKAVAFSSEGKWRDGGIRVRSLLTCLKHGLGQGKKGGDEYAVDEDGPDTTGHPHDYATDNSTHNSEAHLDHQAEDGSYDKGGMRQGRGRGRGGRGRGRGQYYGHSREAHHPIGTPPSEYPAIPKPPLGPRMPDGTRGFTMGRGKQLSPTPDAAPVTDAAPITDAAA >Dexi6B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:6B:6990595:6993115:1 gene:Dexi6B01G0006410 transcript:Dexi6B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLTEVAQLFARFKAAYARNDLDACVTLLSQLKVQLTKFPSLPPLFQQTPNAVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALDHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFSSDQELHQYITEEHPEWEIKNGSVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >Dexi4A01G0022530.1:cds pep primary_assembly:Fonio_CM05836:4A:25816105:25819143:-1 gene:Dexi4A01G0022530 transcript:Dexi4A01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGGMAGGRGDGGGRGRRGGGDDGGDRRFGGRSGPLRGDVRGRRPGGRMPAGGRRGGGRGGGMKGGSKVVVQPHKHDGVFITRAKEDALCTRNMCPGESVYGEKRVSVQVFGQEDGTKVEYRVWNPFRSKLAAAVLGGVDNTWIPAEAVFASEVEKLKAEQFKPSEQVTLEPFERDHACVVGGYRMPKKLGI >Dexi9B01G0030680.1:cds pep primary_assembly:Fonio_CM05836:9B:33150411:33152830:1 gene:Dexi9B01G0030680 transcript:Dexi9B01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAKRRLHSRLLATLMVAWRARAISGFRAALRHNVASSPWLRLRGRTNKDYSQQLAGGDQKRLVVNTQARANYEESKLDDHYLSKGMMNDADSDYTSYSETGRQGPRREEAKCKFAIDRISGA >Dexi7A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:7A:17415286:17418621:1 gene:Dexi7A01G0006120 transcript:Dexi7A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQSLTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLAAPAPGPGLLRAACLRSHSHPLQCKALELCFNLRAMACGGGGEHAGISWLVGFGTYQTYTKCRAGQPSLETLWGLQTLTVPAGSLALSLNTTCAFDDSALGTVNQSMKAGSDTDGNGPASCWPLLGGSQLISRCCGDCSAARLDTKASLPRPFVSSSTLPSWLQQCRDQELTHLTDLGKTTWGSICTKPSQRMTLHFSAPVSSASSISSYEHAAGDHHHPPRHSWLLAGGGGGLDATHPWKPKRETTSGGKATTTRSHDSDGSVEVECRRAKRFKELNAENLKLLCAALEKEVPWQKEIVPEVASTVLQCRSEIAKRRDRSRSTDAKEDTWMFFLGDDAQGKERVASELASLVFGSRKHVLSIRPGGASSSSSPAASGGGSSEEHRSKRPRSPPATGEPVAYLERLYEAISENPHRVIFMEDVEQADRDCQIGIKEAIERGVVRNHAGDEVSVGDAIIILSCESFEARSRACSPPRKKVKVEMEEAKEELMGDHEHNGDGASSSSPSCIDLNVNVESDDQGDDGLCLLTAVDRTLFFRRQENHQ >Dexi7A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:7A:16219294:16221065:1 gene:Dexi7A01G0005110 transcript:Dexi7A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLADDADAALAGDSGGDAERYEAAEAEAELLRDRFRLAAISIATAEGKKAGMTVAEPVVACIADLAYKSAEQLAKDAELFAQHAGRKSIKMDDVILTAHRNEHLMGLLRTFSQELKGKEPASSERKRKKSSKKDDRAIDV >Dexi2B01G0031010.1:cds pep primary_assembly:Fonio_CM05836:2B:39234546:39247070:1 gene:Dexi2B01G0031010 transcript:Dexi2B01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITEEQRRRAEANRLAALEKRKRLAEAAAAAATASTSYNTTFPASGTPTFPTDDTAAEWRLAKCPRIAPPAPQSRFVSLPPRPSPPPPPQTPPQPPVGFKVVLEVCSPDEFLVAVGPAEGKAYPGEANCLGAVQDCLAAASVVQYSATQSQSQSCHLRPVFKLVDYDVVSKSLKKLPGAVVEEIPPNTMKIIQDIPMLPGQKWASDKEVDELLKKLPQQVKDSLLPFQLEGVRFGLRRRGRCLIADEMGLGKTLQGGLEYILMHFNAGNCNSMLLQGQGLYINSVSSRIALYLGGGIRALGSFIYAKRYSSWPHILGRNKFDYAKKYCSLHVARSYQGKSFMDYSKGTRLTELNVLLSQTVMIRRLKEHLLNELPPKRRQIIRLKLKAPDVRTATSSSIKETNSISCNGTLAIDLPSKSNDQRKFNENESTKDEDDGCKKSPRHLSPQEIGIAKLSGFSEWFSNHFIMNGLGANHNLDPQSSCQKTIIFAHHLKVLDGIQVKVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCGKNTSDESHWLQLNQSLFRVSSLMNGKKDAIREIEYMFFLWKVDQVCHLEEIRNTEEKMQSKLHPLENHNADLDFDSDFTIRTIPLEFEDEIPGTSLKINPTPRVAGDRSCIDVSLSPAAAFCTAISSCKSMKGLLKGGSKRRATPLNDVSNPLPENAEWRQVVLCNGTTKERHGKLAKSPEYFEDLFCGLACFQEYRLRTSGRALRQALFQIERGKCSQCTLDCSKLVRHIKPLSMEKREEYIRKAAPNIANRKNLLNKLVREPIDGNAWHADHIIPVYKGGGECNLENMRTLCVACHYVVTRAQHKELKEIRKKAKEHLKNALNQQKDKASEATEEIDVSSLLVTVPGSSYSIGHEVTADANEMVAE >Dexi3A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:3A:3883202:3883687:-1 gene:Dexi3A01G0005990 transcript:Dexi3A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSELRKVFQMFDKNGDGQITKKELGESLRNLGIYIPDEELDATMGKIDANGDGCVDVEEFGKLYRSILGEDDTHGADADKRDEEEDMREAFNVFDQNGDGYITVEELRSVLSSLGLKQGRTAEDCRKMISKVDEDGDGRVDFTEFKQMMRGGGFAALGR >Dexi7B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:7B:2374375:2374886:-1 gene:Dexi7B01G0001450 transcript:Dexi7B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPSAPAFAIKAAAAFASVPVVARRGPVTAVHRAASGVRARRGAVLVASGAVDGHHRPAIDELIDGDLRAYLESQITTTGEMSPTARLIDVMSRPVEVATPEQRLAEVDAFFAAEKYSGLPVVDDEGRCVGVVSKKDKDK >Dexi9B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:9B:9006847:9007707:1 gene:Dexi9B01G0013380 transcript:Dexi9B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPDTAFALEIAVVVALAALIVAIVVVASSGGSTPDTAFALEIAVVVALAALIVAIVVVASSGACDDREAAAAVHDVERALGADALLTYDQAKAAFLTKASAEASSSAPPSCCAICLSEYGSGGGDERVRVVPACGHFFHAECGIDWWLRTRGTCPYCRAELRPLPRPAMPGCPPMPPRAGGAPRWDGRL >Dexi9B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:9B:11046218:11052391:1 gene:Dexi9B01G0016150 transcript:Dexi9B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVRSIESLAAGDGQHNLLRTLGPTLLISMGYIDLGKWVATVDAGSRFGYDLVLLVVLFNFSAILYQYQSVCIGMVTGKNLAEICHQEYSRIICFVLGLQAGLSLLTAELTMISGIAIGFNLVFEYGDVITGICFSSVVVNLLPYAVSHLDKRVAGILNACIAGFALLCFVLGLLVSQPKVPVNMNVMFPKLSGESAYSLMALLGGNVIAHNFYVHSSVVQRQTLDTTHHREETETYLENVAHEEVQWPSVQKESSESHQKSAVGHMEISDTSTESDHDVQPPAAHREINPEAHSSSSIFREELKSVEVDLVEPMAKVSTDALVEQSTAEMKSATEKIVQVEPGVCTQKVTEVPHALEFEKSLGVKEPPSSSDCPPSLTLSRGDDTDAANVSGIGILSRQSGLGRAARRQLAAILDEFWGCLFDYHGKLTQKANTKRIGLLIGLDLRAAGSAVRKDNLSIDNYRSPGITEPAFSKPRKPLTRCACLKNVGTVGEPIPGSFTTAAEILEVIKGVEQAVSCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKTPARQ >Dexi1B01G0022770.1:cds pep primary_assembly:Fonio_CM05836:1B:28449306:28452891:1 gene:Dexi1B01G0022770 transcript:Dexi1B01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASRLLTTCACSRPAPPPADDGACLDDALGHSFCYAANSAAAAAAAGHSSSFRHAISGAALSANSSVPVPIYHPSSAGGGMPPQYSSAFQTSSSFSSAPLQLSNLSSGPLFLSGPIDRGGQLSGPLDQAVPFSGPLPAKPTKPPSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDHVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEADPDSKPLWQFLADGDDEEDSELDFSGSGRFALSLARLKERRHPIWAHAAAAAGDGQSGREWGVKRLTAAPAVRDHSAVLGALTRALDAAESAYLDMTNQSKGSHPELAVTGACLLVALLRDDDVYVMNLGDSRAIVAQRRDDDDDCMLGTMRVDDIGVGLETETRIHGYSAIGLEALQLSTDHSTSIEEEVQRIKREHPDDHQCIVNDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCIPSLCHHKLSARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGTYV >Dexi4A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:4A:679906:680237:1 gene:Dexi4A01G0000940 transcript:Dexi4A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSIWCPLDPLNFSWLYGAIGLEIFYYTNTARDSVREADEEGLRPRIIAQFKLDDDDDETEGYTSTITEGPDRQLEITYLVIPTAIQTSVEVEAQSRPRFYKPCRVW >Dexi3B01G0028080.1:cds pep primary_assembly:Fonio_CM05836:3B:23888685:23895419:-1 gene:Dexi3B01G0028080 transcript:Dexi3B01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPARGKGCLLFLHPCRHRLTGRAGEGDAVACVVPAYCLQDPVRKGLEIGGSAPTSWAPLSYQDRRLDSRPVPHPSPAPLPPYSQSPDRLASSSLYQAGRISMKKAAGGQGALQVVSDSPILGSSCWIRNSVGFEFVTASILDFPVIPRRREFGSRVIQYRIMRVGVLFRVVDLSCWKFHLVGIMAPPKPSAQTQFVLTKMDERAAKGDERWEQVLENMDLLFAQVGDIAANQQTMAAQISVSTKVMEQMIADQKLLNKRIDATGQAQDGGPSSPTSSVDQADPREQKPAAKGHYTLPNLSRQVTRGYPPLLLHPCVRFDTFPCVAALYSVGSMRLSLSMPCCNY >Dexi6A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:6A:1145149:1146562:-1 gene:Dexi6A01G0001340 transcript:Dexi6A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKQHWARNFAFLDYFKKVYGRAEPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALAGALVGATHLGGVAFKYSKAPHGIVLATGFGAITGAVLGAEVAEHWYQLYKMDKQGANLRFIYWWEDKVSGQKS >Dexi5B01G0040080.1:cds pep primary_assembly:Fonio_CM05836:5B:38711431:38713514:-1 gene:Dexi5B01G0040080 transcript:Dexi5B01G0040080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDDLAAACYALPVLVALFTVRFFYVLWRSGQPSSRPQAAGLRCLIVLGSGGHTAEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEESLIQVESGEKKTVENAHFMQIYRSREIFCNGPGTCFPLCVSAFLLKVFGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >Dexi6B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:6B:3207546:3211685:-1 gene:Dexi6B01G0003930 transcript:Dexi6B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMVAAVVLAAVVAAAATTAPEEVRWEVSYLTLEPLGAAQKARACSLFLFSAVIAINNQFPGPLLNVTTNQNVRVNVQNNLDEPLLITWDGIQMRMNSWQDGVSGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITVNNRATVPVPFSQPDGDITLFIGDWYTMSHTELRNMLDDGKDLGVPDAILINGKAPYRYDTTLVPDGLQYETVGVEPGKTYRFRVHNVGISTSLNFRIQNHNMLLVESEGTYTNQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFASNPRWSQVTGVAVLQYSNSKGKASGPLPDAPNDYYDKYYSINQAKSIRMNTSAGAARPNPQGSFHYGSINITQTFVLKNEAPLRIDGKRRSTINRISYSPPETPLRLADFHNLTGVYTTDFPAMPSNKPARIASSALNASYKGFLEIVFQNNDTDVQTYHLDGYSFFVVGMDYGEWTPDRRNEYNRWDAISRCTTQVFPGGWTAVLVSLDNVGLWNLRAEKLDNWYRGQEVYVKVADPLGYNITEMVMPDNVLYCGRLKDRQKPQVRQSDIKSSAQAEAGWSYRILTIVMLVITAVIFG >Dexi1B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:1B:9610121:9611610:1 gene:Dexi1B01G0010240 transcript:Dexi1B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPKFLYHVGDSDNQEGYVEAGVVMPSSNIHPWGDVVEWYAGVVKELFLLPQVIGNALWRVNYKPLAGRMWARSPLEPERVRAFREMAWFFCARRELGRPLLRLRHALAEHQRHVDDDQANWQADRMLSSLQMRTRAAVVEWCVGGVKECFLLAQYAGLATVWLLPRVYGYLRPPVVNTYCSGARDDVMDFYSKASAVVVPVVYV >Dexi4B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:4B:6671220:6680901:-1 gene:Dexi4B01G0009330 transcript:Dexi4B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAIRLRCLLRPPLRCEPAANPAASSSSGGGGALARGLGAPPRPCGEKRRLCRFYSSKEGVGSSEAAAVGTGGGGSGSSSSEQEHARLGERDQQEWLSGERFLTGCKRRESPFLTKRDRFRNEFLRRAVPWDKTGVSWNSFPYYVDQHVKQLLSECVASHLRHKDVALEYGSGLQSSSGRILLQSLPGTELYRERFVRALANELRAQLLVLDSSVLVPYDCGEDGSESEEEGNHAESEDEGSESEVDGEGAEESGESDDDDSIKSMADLKKLVPCTLEEFAKRVVGIQEGSSAAESSGTAESSEEENRPLQKGDRIKYIGASVVVEADTRIILGKVPTQDGSKNAYTFISGRTLSNGQRGEVYEINGDQVAVIFDLPEDKVADGNKDEANKEQNAKPAVYWVDTQDIVHDHDTKAEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFIEKLEEMFDQLTGPLVLICGQNIVEETAAASKDNEPKTLLFHNLSRLSPLSTCLLCSLPSHFGLCISLITLKCVQSSLKRLVEGIKGRKPSRSIDITKLFKNRLFIPLPKDDEQLRVFNNQIEEDKKIIISRHNLIELHKVLEEHGLSCEDLLHAKSEGIALTKQRAEMVVGWAKSHYLSSVVSPSIKGDRLIIPRESLDLAIGRLKEQEASNKKSSENIKMLAKDEYESNFISAVVPPNEIGVKFDDIGALEDVKKTLDELWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAKNRMKILKILLAKENLESDFGFDELANATEGYSGSDLKNLCVAAAYRPVHELLEQENKGDMCSKKTSLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >Dexi5A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:5A:2632063:2634996:1 gene:Dexi5A01G0003480 transcript:Dexi5A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAMAEQVVADLRDKCETPLPLLREVALAMAHEMGAGLQKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVQLGGNQKHVVNCDSKEVSIPPQLMSGSSSLFGFIASELAKFVADEENCTNISNGKKRELGFTFSFPVKQRSVASGTLVKWTKAFSIDDAVGEDVVAKLQTAMEKQGLDMHIAALINDAVGTLAGARYYDKDVVAGIIFGTGTNAAYVEKANAIPKLEGELPRSGDMVINMEWGNFYSSHLPITEYDQELDNESLNPGEQASEIVRRVLLKISLHSSIFGNMDHTKLQTHFLLRTPHISAMNHDKTPDLKIVAEKLEENLAITSTSLETRKLVVEICDIVARRAARLAAAGLAGILKKLGRDCNDQEQRSVIAIDGGLFEHYTKFREYLDITLAELLGEEASKSVAVKHADDGSGIGAALIAASQSQYCNAESDEVAAKNTYHTCRED >Dexi5B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:5B:9089926:9091959:1 gene:Dexi5B01G0012810 transcript:Dexi5B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAAAWSPPSSALGRRPGRGRRSSCSSVRPRAAAEEQSGGVGGVGEEAPRLVLLDSLDAAGVATAHARSAREGFAAQVGRLTGVNAETSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVDAFIARLDDLSTGFCAGGNFPPHRAPPDVFFDYLDRYLYVHKGFRRTNGVSDVRALYLHSALTSRSGSALMLALIYSEIIKTVRIYGLLDFDAEIFFPTDLNGLPRGYDKQKSKSGDEPHIITSKSLLVEILKTLKTTFWPFQSNQSRSLFLNAAAANNYGPGTLGGNQTRSLSLSA >DexiUA01G0021070.1:cds pep primary_assembly:Fonio_CM05836:UA:43374037:43374431:-1 gene:DexiUA01G0021070 transcript:DexiUA01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNTGTVHLLGKQASETKVPTTAEVAPASARDWSELPLDALASIFSKLNPIEILMGASFVCHS >DexiUA01G0011150.1:cds pep primary_assembly:Fonio_CM05836:UA:22402675:22403607:-1 gene:DexiUA01G0011150 transcript:DexiUA01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQASKSKVSVKPIDLEDAKEPPLNLYKPKEPYTATIVSVERLVGPTAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGMELYPVCHV >Dexi2B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:2B:1004933:1006407:-1 gene:Dexi2B01G0001550 transcript:Dexi2B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQLLDELVEEVLIRFPPDDPASLLHAALVCKRWSRLVSARRFRTRFRSFHRAAPTLGAIVNNGGFVSMSSFRRQQ >Dexi1A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:1A:26865040:26871095:-1 gene:Dexi1A01G0019920 transcript:Dexi1A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPAVKKRSISSAYVTRGPTPSPSSQAQRNRGRQLCKWLAPGQGEAKANPDMGASNSRETSTTAGGENVRFKYASASMQGFGAEMEDAYAVLPDLDQTTSFFGLYDGHGGAEVALLCAKLFHVELQVHPNYHENLDIAIRRMFSRMDELLLQSEEWRTLVKPTGDFVFKQNNNLPPEEQMVICDPDILSMEITNDIEFLVIASRGIWASLSRQAVVDFVHDELQFGETDLRLICERLVAHAQPTVFDTTVILIQFKHAAADEAVENQEVINPAVGDDDHPAAGDDDQPAAGDNDKPAAGDNDEPAVVGEFEHPLAPNVKPAVSEEPFAPNG >Dexi8B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:8B:22149455:22150153:-1 gene:Dexi8B01G0012800 transcript:Dexi8B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAWEKCGVPALGWWLIAVGAFRSAFTWSCFFGSASFCSATFSEIPMTGVHGRTVAVWTLLSCTLCFLCAFNLNNKAIYTATFLSFVYAISYLGVECLVYHTIRVTSLSTFIFIAGTSMVWMLLQRNSYGHGPRPRGATKQP >Dexi3B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:3B:6800898:6801361:-1 gene:Dexi3B01G0009810 transcript:Dexi3B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRPRKETKALSVERSSEEATRRRQRGGRGQLSSAGACNAIMCKAEEQAADANDFWLELGLTGVQEELWMFVGGCNSWEQERDGGKPVYVTLVPVAKRSSSPALSHSDDAVGSF >Dexi5A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:5A:11925664:11939917:1 gene:Dexi5A01G0014730 transcript:Dexi5A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRPSLPALVAAVVLVCGGFCCCAEADSSPVAADELMSGGGPARRRRAASVTVPMTILKSAVSEGAVCMDGTPPAYNLDPGSGTGSRSWIVNLEGGAWCNSARTCQLSKGTGRGSSDHMDKEIPFTGIMSSSRAINPDFYNWNRVKIRYCDGGSFAGDTYNKEIGIYFRGQRIWNAVIKHLISIGMGSADQVLLTGCSSGGLAVILHCDQLRSFLPASTTVKCLSDAGLYLDVADISGGRSVRSYFGGIVALQGIAQNLPPACTARLDATSCFFPQNIINDIKTPMFILNAAYDVIQISLSLAPDRADPSGSWRACKSKRSACNASQMSFLQGFRDQMVSSVQGFSQSRSNGLFISSCFAHCQSEQLGTWNYVPGGSPTIQNKGIAKSVGDWYFNRAEVKAIDCRYPCDNTCHHIM >Dexi4B01G0023110.1:cds pep primary_assembly:Fonio_CM05836:4B:24589859:24591703:-1 gene:Dexi4B01G0023110 transcript:Dexi4B01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVANLVLTGPEFKQMDENMRSVTMHVIKAIPRPTISTAAPLAGAATASADGIDRISRLPVGILRNIVSRLPAKDAVRTTALAKCWRRVWHSVPLVLVDAHLLSDQSVVGRGPSIYRNTGIDAWVAAIFRTLDAMGSLADNVSHVLTVHPGPFSYVYLAGNNMMYHPDKLALWIKLLAAKGVKELVFVNLASRFDDELPIPADIFNCTALTKLYLGTWWFPDTLPRRTVAFPYLRELGLCNVHTKDEDLAFLLDRCPVLEKLMISRGLWPVCLRIHSRSLRCVQVCQGLVREINVASASRLERLLLWEAWGWDDHQFTNMSCKVKIGHAPKLHFLGFLVPGMHQLEIGNTAIKVNTKASPNTTVPSVQMLGLQVKLGTYIEARMLPSFLRCFPNIETLYVQSENDNIKFWGPQTTGTSKVINLKFWKDAGPIECIQKHIKKLVLREFRGRKSELGFLKFIAENAQVLEDMVIVMTHGFSPSDNLGAKLKIFMASAKWANACCKMMVFKSPFPIQATAWCHIRAFDFSVEDPFDVNCSEDTCGH >Dexi9A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:9A:15007343:15007843:1 gene:Dexi9A01G0020010 transcript:Dexi9A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVVVPVLSNMIMTPKPPRPAAKKKPTVPCELCGVLCMTARHLRQHEKGRRHRNEVAYLAGRSTCGVRCATSTSPAGSTSSRSGIAGKQHHRQLKIKGGT >Dexi6A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:6A:20347802:20350139:1 gene:Dexi6A01G0013280 transcript:Dexi6A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRRRGGHPLALLLLLLFFPTLTAANLVLEDGYTVTTFADLNPLPTSGPHPYAVLPRPRAGDLVLLDSSGSALYTLALPSPAEPRRLAGGARGAGFVDGGPGDAAFNRPRSVAVDGADNLYVADRVSVGDAGSLHGVIRKVVPDGMGATSVSVIAILSALFGSVIGFLVRHFYPFNVSMFLLPLLLQSRSMQAEIKTVQCEPCRQEISINRFFSRIQNQCQRTQRKATQISFCDIKSAVASSVAYTLLLKLFRVSRGYLSMVFPSVRLQRVVRKPSRRPAVCKTRTSLNIGLHNKAPLASTEHLGNLISFAGDANDKEISYADSEEANEPSFDRDLMGLLYTPQGNTKKIDRMIETNLLGFSGHDDHCGVSVSVSSCSLSRRRVHGDK >Dexi9A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:9A:854275:855806:-1 gene:Dexi9A01G0001630 transcript:Dexi9A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPENAAPIPAPPAPEPAPAPAPAPVTSSPPPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFTGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWLPKV >Dexi3A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:3A:6562344:6564470:-1 gene:Dexi3A01G0009380 transcript:Dexi3A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGHHAVVVDIDEATGGDNGQAMRPVLPPVRYVLNFTDLSYSVKKSGGLLGCLPSRPSNRLASADASPPASSATGNNTKTLLDGISGEAREGELFAVMGASGSGKSTLVDALAGRISRESLRGTVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPDKKRARVDALVDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLLLSRGRTVYAGTPGGLKPFFSEFGAPIPDNENPAEFALDTIRELERQHDGAAALADFNTKWQINTSSSSMEKDSSKQVMSTMMPLELAIAESVSRGKLVAGSGSSGNAVTGSSVPTFANAPWTEVWVLIKRSFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRVSYVLANAVVSFPPLVLLSFAFAVTTFWAVGLSGGASSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFRDASRCFSRGIEMFDGTPVGSMSEAVKIKVLDAIGKTLGTNMTVDTCVTTGADVLAQQAVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGNKNKRK >Dexi4A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:4A:851147:851623:1 gene:Dexi4A01G0001290 transcript:Dexi4A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGKAPDEAAPISTPKVAPDNTIPTELPAAAPVVETEQKVEAIPEAIVELEQHKEEVVLEKIVEEEKPAAPAEEEKTATVEVKKDVEEEAEKKPMQS >Dexi9B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:9B:9950486:9950681:1 gene:Dexi9B01G0014860 transcript:Dexi9B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAGHADGLACLLGIGTANPPNCVQQEDHYFRVTKREHLLSLKTKPGRICT >Dexi5B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:5B:18085439:18089652:-1 gene:Dexi5B01G0017090 transcript:Dexi5B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHTLCLLLVLAAAGAAGASTVAYDDRALVIDGQRRIILSGAIHYPRSKPEMWPDLIGKAKRGGLNTIETYVFWNGHEPRRREYNFEGNYDIVRFFREIHNAGMYAILRIGPYVCAEWNHGGLPAWLRDIPGMQFRLHNEPFEREMETFTTLIVNKMKEASMFAGQGGPIILAQIENEYGDIMAQLNNNRSASQYIHWCADMANKQKVGVPWIMCQQNHDLPHNVINTCNGFYCHDWFPNRTGIPKMWTENWIGWTTEDSAFAVAMFFQKRGSVINYYMYHGGTNFGRTTGGPYLTTSYDYDAPLDEYGNIRQPKYGHLKNLHNVLLSMETTLVHGEYNESSYGENITVTKYTYGDSSVCFVSNQLHDRDVNVTLGGTHLVPAWSVSILPDCKTVAFNTAKIKTPASVMVMRPSTAEEPEALNWSWMPENLRPFMTDDLGSFRKNQLLEQISTSSDQSDYLWYMTSLEHKGEGSYTLYVNTTGHELYAFVNGEIVGQKHSTNRDYVFQLESPAKLHSGKNYISLLSGTVGLTNYGALFELVPAGIVGGPVKLVGANGTAIDLTENSWSYVTGLDGEHRQICLDMPGNNWRGRNEGIVPVNRPFTWYKTSFDAPAGEEAVVVDLLGLNKGAAWVNGNSLGRYWPSYAAAEMDGCHVCDYRREFNAAGDESDLQCLTGCGEPSQRFYHVPRSFLRRDGEPNTLILFEEAGGDPTRAAFRTVAMATTVCASRGEHDVIAGVNVARGSCGAYEGGWES >Dexi5B01G0038570.1:cds pep primary_assembly:Fonio_CM05836:5B:37731565:37732313:1 gene:Dexi5B01G0038570 transcript:Dexi5B01G0038570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVKVICALGSPYSHSVEAALCLKGVPYELIREELSNKSELLLKHNPVHKKVPVLLHGDHAVCESLVIVEYVDEAFDGPPLMPTDPYDRAMARFWADFMQNKLLELFWLAHWTEGEVQKRFAKEAKENLTLLEVQLRGKRFFGGDTVGYIDIACCVLAPWLSVLEEVTGVIVVDESEYPALRQWEKEYNSYDALKPCLPDRDQLVAYFTENKERYKMFANA >Dexi8B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:8B:21678380:21681284:1 gene:Dexi8B01G0012330 transcript:Dexi8B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MDSMDVYAGLKNGKDGYEGLIEAALAISGLLSVDQQWKTVATALERAFPSYILTMANQGDDATFKIFSGVLRGLHQHILPLAGWSIFLESTNCVGMCTNLCKIPCQNFIKDSLGTAVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCKPALRYLDRCNQISS >Dexi1A01G0032500.1:cds pep primary_assembly:Fonio_CM05836:1A:36991231:36994103:1 gene:Dexi1A01G0032500 transcript:Dexi1A01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPTPFLKLKAPNPPIGGYPRPSSTAAAKRRLTTLRCALNSENNVPSWPLLFTQQTSSYITWFIDPLIHYIAASTYPGVTIAEQGDWEACVRASSAVVNLAGMPISTRWSPEIKREIKQSRLSVTSKVVKYINHAENADAQPSVFVSATAIGYYGTSEIHSFDESSPSGNDYLAEVRFISCSFNCKITTRVCREWEATARQVNQDDVRLVLLRIGVVLGKDGGALGWWVSFNSICLFSFPISPRPRFSWIHLDDLVNLIYESLINPAYKGVINGTAPNPVRLSELCQRLGQVVGRPSWLPVPEFALKAVLGEGATVVLEGQKVLPVKAKDLGFSYRYPYVEGALKAIAQDL >Dexi9A01G0045750.1:cds pep primary_assembly:Fonio_CM05836:9A:49287412:49292522:1 gene:Dexi9A01G0045750 transcript:Dexi9A01G0045750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDGELELTVGVRGAAGGGGAAAAVDAPVPISLGRLIFAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVVIVGFSSDIGVALGDTKEECSLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGQHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLKTKACCEACANLKGAFLVAVVFLIFCLTITLIFAKEVPYRNQNLPTKANGEVEATGPLAVFKGFKNLPPGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTSAQVAAFDEGVRVGSFGLLLNSIVLGFSSFLIEPMCRKVGPRLVWVTSNMMVCVAMAATALISFWSLKDYHGYVQDAITANPSIKAVCLVLFAFLGVPLAILYSVPFAVTAQLAATKGGGQGLCTGVLNISIVIPQVIIALGAGPWDALFGKGNIPAFGVASGFALIGGIVGLFLLPKISKRQFRAVSGGGH >Dexi9A01G0037480.1:cds pep primary_assembly:Fonio_CM05836:9A:41755385:41756835:1 gene:Dexi9A01G0037480 transcript:Dexi9A01G0037480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDASSEEEVMAGELRRGPWTVEEDILLMNYVASHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAASNATTNAVAGDDGGHGGAAADTPPPSSSWQLGAGDDGLYASPEYYLTAGDHHQLLMNNHAAVPEVSSTATAGSSSPSSDSGTGTTAATQPWLAPMSGAEWFTTACDASSSAAVSMHDTVLASQQQGCLIGETWASSELPELGVGDFEIGGFDVESIWSMDDNLWYTQTQGV >Dexi9B01G0025800.1:cds pep primary_assembly:Fonio_CM05836:9B:27217734:27218464:1 gene:Dexi9B01G0025800 transcript:Dexi9B01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSCLLVLLSCLVFAGHLHTRCDAAGAAVLQALNCSTAGNYTPSGAYAGNLNQLLAALPDTTVSQNGGFFNGTAGQPGASGTAYALALCPVDVARADCRDCLAMATSNSSGLVKQCPGSSTVVAAYDQCLLRYSDVAFFGTAYTDVVYAWYGPDRLQTMVQNSYSSALKQSLAVLGSQAASSPQRFAVSKTSPYALVQCTWDLTADGCEACLGLLATNASDFMTIRSSGEA >Dexi2B01G0035930.1:cds pep primary_assembly:Fonio_CM05836:2B:42863174:42870936:1 gene:Dexi2B01G0035930 transcript:Dexi2B01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHGHQHHHGEQQPPPPPHQHDQTLFKIFCRADEGYCLSVRHGEVVLAPSNPRDEAQHWYKDMRHATKVKDEEGHPAFVLVSRATGLAIKHSLGQSHPVKLAPYNPEYLDESVLWTESKDIGKGFRCIRMVNNIHLNFDAFHGDKDHGGVHDGTTVVLWEWCKGHNQSWKILPWGDEAYAPPPPHARTAYGGYPGGGGGSSQQHGQGPYYPPPPPVHQEHGYGYPPPPAQEPGYGYRPPPGGYAPPPPQQHHQEPGYGGYRPTPPGYNNLPHVLASEATVRIYCKANDGYSLTVRNGTVCLAPTNPRDDFQHWVKDMRHSTSIKDKEGYPAFALVNKVTGEAIKHSLGQSHPVRLVPYNPDYVDESVLWTESRDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWAKGDNQRWKIVPWCKSPTRPAPIYAYSFQLPSDRLSSHSPTAITASHTQHRAAPAKEEEEMSWFGHHHNQQAAPPASGPNQVFKIFCKANENYCLSVRDGAVVLAPVNPKDEHQHWYKDMRFSTRVKDQEGMPAFALVNKATGLAVKHSLGQSHPVKLVPFNPAEEDASVLWTESKDVGKGFRCIRMVNNTRLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAAHAAPGNAATHTVRISCKASDDYSLTARNGTVCLAPSNPRDEYQHWIKDMRHSTRVRDEEGYPAFALVNKVTGEAIKHSTGEGHPVKLVPYNPDYQDESVLWTESRDVGSGFRCVRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWKWCEGDNQRWKIVPWCKKYSLITCRVQPCH >Dexi2B01G0029990.1:cds pep primary_assembly:Fonio_CM05836:2B:38291209:38293133:-1 gene:Dexi2B01G0029990 transcript:Dexi2B01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMSCFPCIPVGTAALPIEKQFVPPAAPPSWPAAGTVVSVSDGGFAKGSIDLGGLQVRQITTFAKVWSTTQGGQDGVGATFFKPSPVPAGFSVLGHYAQPNNRPLFGHVLVAHDTSGTGALLAAPVDYILVWSSPDGAGHFWLPKAPEGYWAVGMVVTATSDKPSPDEVRCVRTDFTDGCETEESVLSSDMDAFSAATLRPSVRGIDARGVRVGTFVAQSSRTPSGASVMACLKNNAASHTSSMPDLAQVNSLLAAYAPHVYLHPNEAYLPSTVTWFFENGALLSQKGNQTPTPVAADGSNLPQGGGNDGGYWLDLPVDSNQRERVKKGDLASAKVYVQAKPMLGGTVTDLAAWIFYPLNGPARAKVGFFTIPLGQIGEHIGDWEHVTLRVSNFSGELLRMYFSQHSAGTWVDASQLEYLVDGDGGKSRPVAYASQNGHAMYPKAGLVLQGDETLGVGIRNDTAKGSRLDAGAAGRCEVVSAEYLGVAEPAWLGFEREWGPREEYDIGREINSVARFLPRSLKERLEKLVEKVLVGEGPTGPKMHGNWRNDEKEAH >Dexi7B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:7B:18386570:18389851:-1 gene:Dexi7B01G0011300 transcript:Dexi7B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPGKAGDSRDAASVMAGNGTEPSNSYSVARSMDPGNKRAGNGDYAVPPGVTPNPVMNGAVVYHSNEPLPAFKDVAASEKQNLFVKKVNLCCAVYDFTDPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMQEITKMVSINLFRSSNPTPRENKAIEGVDLEEDEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLALERNARDHWNQAVRSLTLNVRKIFSDHDSAFFGECVQRFNDEELKQEESDSKREALWKRLEGMAASKPGESNPSVTPNGKSSQAAG >Dexi1B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:1B:5758281:5760259:-1 gene:Dexi1B01G0006950 transcript:Dexi1B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFASVFMHADAMDVVLMLLGLVGAIGDGMSTPLMLAITSHVYDDAGIGPGHLIQQFTSKINQASRMRLRYLRAVLRQDVEYFDLKSGGSTSEVITSVTNDSLLIQDVLSEKLPNLITNATAFVSGYAVAFALLPRLALVALPSILLLVVPGVLYGRVHLGLARRVRDQYTRPAAIAEQALSSVRTVHSFVAERTTAARYSTAMDESVRLGLKQGLAKGVAIGSNGIRFAIFAFNVWYGSRLVIDHGYKGGTVYIVCAIIVLGGAALGLALSNIKYLSEASSAAERIMELIRRVPKIDSESIAGDAMENVAGEVEFKNVKFFYPSRPNSPVFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMALVSQEPVLFAASIRENILLGKEDATEEEVVAAAKAANAHSFISRLPRSYETQYVI >Dexi5B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:5B:3257107:3262621:-1 gene:Dexi5B01G0004790 transcript:Dexi5B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATGCKARPNLVFGPASAMPRPTEARAPLWRTEHHTARALFELWKSQPLTSSFASTYVAPNRSPSCPAAPVPSVNLEPVASPYAAVSFLSRFPLVAPAQADRRRPLIVSTLQQRLDMGAEPGYTHGRTGTRTEGARIVLLDAAGEISSINPRGVVPGGAGPAQGVVRGQPLRRRLRFLPYFNCSGSRKLGRRRVLVAGLRRATMVVVHYRASRMAKSEMGPQQKGYSQDDLGRPPLTRVTRGKVRKAAPLQSTNGQSPSSP >Dexi3B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:3B:10328639:10331134:-1 gene:Dexi3B01G0014310 transcript:Dexi3B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSFTTLAIARPATAAAASGQRVLLASKASSPLLSLSGARLPAQAVSFSGGLQPSSSHSRARFVTSASAEPKEAEIQSKVTNKVYFDISIGNPVGKNVGRIVIGLFGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVRLIESQETDRGDRPTKKVVISECGELPVV >Dexi8B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:8B:24065040:24068516:1 gene:Dexi8B01G0013670 transcript:Dexi8B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLAPPPPPPPPMADPQTPETPGPASTSIKKKHSKKRKAVDGEDTSTKTLEPPPPPMADDETPATPASASPSTTNGGRRNPSHAAAEVSINVTVDASLTGSRTAGAAPAVAYFPTGYDPLAAAAAEGDESAPQARLFRHEKHPTWVDLVVGRSKKGPDFVGRSYAGEAATPQLCEYALGVLDKASGTLRVVPIAANKILRLEPHLEVQQPAHSQRSEVASEAGSVAGNDELKVQDLTMMYGTKADRDKDNKWRSLNAQRNDPSAYVDIDLGTSNVNTSDNHESVIIRNIPPYDPTADTSEKAYLLDEIIPKNVRQHLLQIVDHLESGEISSKGYGSFVLNRVHKLQDLEGQDKERLAWILSYIQHLLSLYERNGSMSKRHNRKDRKDSQVKHGPGTPQAVYRNLLLTFTEPGSSVMSSEKNELMINYILVLTLFADDFRSDPNDICADLKMSRQMLKPYYDQLGCKSVSEGAFKSSFMTLPAPLKFPQDVTRRKRRR >Dexi9A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:9A:1166125:1166886:-1 gene:Dexi9A01G0002200 transcript:Dexi9A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVADRLAVPVPPGQYVRGDATAPAGAHACLTDRSRRRAFDAERRALFCATCHDRHAARSSALSAGGNRLRATADKQKKPAASTQAAGRSKQPRAAAAPSQALRDMQNQMREECRVIDGCLKANDAAACARRRQSFPLFEPSGFPDYPHVRPPPARPFGNSEFWWFDERLARADQNIQNQRWCRGGGSRRCIRSGRRQQNALREPNVHGEL >Dexi1A01G0031030.1:cds pep primary_assembly:Fonio_CM05836:1A:36206863:36208628:1 gene:Dexi1A01G0031030 transcript:Dexi1A01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAGAEIYRLPEECVAYAISLTTPGDACHSSAVSPAFRAAADSDAVWARFLPPDHAAVLARADEPAALGMSKKELFSRLCDNPVLLDDATMSFGLERRSGAKCFMLSARALSIAWGDDPTCWTWTTGLPGSSTTSTTTEHAICLQHMQGEEEVAMHRRKQQYMRLRKGYGGRKMVVTREADPDIRCPRRRGDGWAEVELGEFVVAGDGEDDGGVVEVRLAEVDSRRWKRGLIVQGIEIKPRHSSC >Dexi5A01G0036390.1:cds pep primary_assembly:Fonio_CM05836:5A:37951050:37951706:-1 gene:Dexi5A01G0036390 transcript:Dexi5A01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALWTLVVVAAAAALVAAEGYGMTGATAAANAVLRAHQLPGGLLPAGITAFRHDAATGRFEADLPAPCVARFEVDLRYNATVAGVIGSAQIASISGVDAKDLFMWFPVQDIRIDDEAAGVIIFNVGVVKKHFPRAVFDAPPPCTPDPLLLRIAPPQFLEDVDVEGLLIGGVASQ >Dexi5A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:5A:22043301:22047548:-1 gene:Dexi5A01G0018510 transcript:Dexi5A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCETLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQANEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVRGAMEKYPPYQSIFSRISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >Dexi5B01G0038050.1:cds pep primary_assembly:Fonio_CM05836:5B:37327611:37333480:-1 gene:Dexi5B01G0038050 transcript:Dexi5B01G0038050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEMIASAVVQRVAGMLGDIAWERLQLLWNFKEDVQEIEGKMVDLQVTLSYADKHSRGTEDTPVQHWLKKYKYVAYDMEDALDELEADAMIWKNSPSKERLVLLRKRSSSEVKLFFSSINPLVVRITMSNKIRNIRVKLDKIGEDQKKFAPLLLPTPTDQDNNKAKGETFIGERDEIEMVGREKEKNDILIKVLQKEGDQEISIIPVVGLGGMGKTTLAKAVYNDKQTLTNFDVKAWVHVSVDFDLNKIVSDIIYQVEGASPGNDVPLQRLKSRLDHILIDKIYLIVLDDLWEERRSNLKDLMEMLQSGKKGSNVIVTTRSEKVASTLSNIHSSIFRTADPIKLEGMSIDECWSIMKPHNLGNAQLTELVDIGKEIAQLCCGVPLVAKALGFVMQKHCTRQEWLEIKNSNILDIKGDHKGILKGLLLSYYHMPPELKLCFMYCSMFPKSHDIDYDCLIQQWIALGFIKGTEEHLLQKIGAEYVNEFLGMSFLSILTPWTHQERKQAVARGAGQLQPPGTGLASIIFLLQIEYQQARDSPAPSHRRLSPHAFGTVQALGAPGSCQVFVLRWCGVEVSGET >Dexi5B01G0026460.1:cds pep primary_assembly:Fonio_CM05836:5B:28229856:28235512:1 gene:Dexi5B01G0026460 transcript:Dexi5B01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIDVMHVASPALMEFLSALPAVEALVLDMFCTDALDVAAELGIPAYFFFPCAVGALATMLQLPDYYPGAPCSFKDMPADTVLHFAGVPPIRALDMATTMQDRGSDVAKARLAQYARMLEARGILVNSFDWLESRALTALRSGLCTSGRPTPPVYCIGPLILPGHTGGISERRHACLEWLDTLPDRSVVFLSFGSLGRFSTAQLREMARGLENSGESFLWVVRNPPPEHQSNSILEPELESLLPEGFLERTRERGLVVRNWAPQSEVLRHRSIVAFVTHCGWNSALEGIASGVPMICWPLYAEQRMNKVHMVEEMKVGVVMEGYEQGLVKAEEVEAKVRLVMAPGEGDELRRRIMTAKEMAIDAREGGGSSNREKERKKERKKERKAMAEKTVVLYPSLGVGHLKPMAQLAKAILRRGGVAVTIAVVDPPEKDAVLAAALADLATTNPSITVRLLPIPPSSCTSKEKKGSHPLMFILNALRAANPALREFLRSRVPAVDAIVLDMFCVDALDVAAELAIPAYIFYPSAAGDLAVYLQLPGLWRAAPSSFRDMGKTALDFAGVPPIRAHDMPETMKDRESEVARVRLQQIARMPEAAGILVNSFEWLESRALNAMREGHCLPGRTTPRIYCVGPLVDGGSGAGENGERHACLEWLDRQPTRSVVFLCFGSLGAFSAAQLKETARGLERSGHRFLWAVRSSPKEQGKSNSPEPELGALLPDGFLERTKDIGLVLKNWAPQTDVLRHEAVGAFVTHCGWNSALEGVVSGVPMICWPLYAEQRLNKVHMVEEMKVGVAVDGYDEELVPAEEVDAKVRLVMESEEGKKLRDRMATAKEMAADAIREGGSSDMELGEFFRGLGKIDL >Dexi8A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:8A:781807:783344:-1 gene:Dexi8A01G0001130 transcript:Dexi8A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIHPSDAASRARPAATSDRRAVVYTVWKRSSLGFQGTDGFCVYDDAGRLAFRVDNYSRRRKLCAGELLLMDGQGTPLLALRPQTPSTTPRQQVFTMSKCSALQSSDEAEVHLSAAAPRASSSSSGSCKHPEVPAAPSYRIEGSFSRRSCKIRRGSDGKEAAGITRKNAGVASRPVATLSDDVFSLVVRPGVDVATIMAIVVVMDRICHRPYTPMVCSSQ >Dexi8B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:8B:7789775:7804990:-1 gene:Dexi8B01G0006650 transcript:Dexi8B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRLVLVFVLLDISLKKLQKDEYIAVIDPMKKRTAKSGQGVPLGGIGFEVLNFLTLQMNLLDHLNPFLVSHFSLLIVGTVVQEVLEEATQVTSNVGNCFQEHAKINLYWQISFLPSFPAKMVENIPQCCTLGNQIYRNPFKCRGSDISGIGSWDWNMSGEHSTYHALYPRAWTVYDGEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTTADVTLLFTWANSVGGKSEFTGYHSNSTTITAEGQPPVTFAIAAQEKEHIHISECPYFVISGSSDEFTAKDMWNSVKEYKNLTTSFPSWQHGSFDHLDPIKTSMCSKQGSSIGAAIAASLKVAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAANLAHDAILEHTSWERQIEEWQDPILQDERFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTTIGGKKFSLDMLNDDDVNEMIEQNNTASDILHRMASILERMHASIASNSAIGTTLLHGEENIGQFLYLEGIEYNMWNTYDVHFYASFSLVMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKTVYSKLWNGSYFNYDDGDNKMSTSIQADQLAGQWYAKACGLFPIVDKDKSHSALGKIYSFNVMKFKDGRRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEFRSLCYMRPLAIWAIQWALSNPKLHNTPQTDMPQDSFPKTQVSYARIAKLLQLPEDESSKSFVRVVYEIVRNRFRS >Dexi5B01G0038590.1:cds pep primary_assembly:Fonio_CM05836:5B:37735315:37736109:-1 gene:Dexi5B01G0038590 transcript:Dexi5B01G0038590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPVKLIAFFGSPYAFRAEAALCLKGVPYELLLEDLFGTKSDLLLQLNPVHKKVPVLIHGDRAISESLVVAEYIDEAFDGPPLLPTDPYERAMARFWADFIENKLTKPFFMAHWVEGEAREKFEKEGLELLTLLEAQLKGKKFFGGDRPGYLDVAASALGPWSSVIQEVMEVTVVREDEHPAICQWARDYSAHEALKPCFPDREKLLAYFTKNLERYKTAVNAMLQQQQQ >Dexi2B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:2B:20980702:20985084:1 gene:Dexi2B01G0013300 transcript:Dexi2B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESWVQDWKHTSAPESVSGYEASTERRSRQRLLLMPTYHCFLAFRDKETSFALPQGQNHSPCTTGVPNTALFCLLQGPLLQLHSVQMEEYLHPHSRFGSILCILIFLLVEVWIVKFASGMLKHHTALEYFASVFNLIHSSTDRPIASIAFHANGELLAVASGHKLFLLDYNNRGEAVDPPMILKTRRSLRAVQFHPHAAPYLLTAEVHSRDSEDSAMTPALLNYAFRDIPLLGRSGVDNLISELPYTHNFGASSSVPVTAGSFDGSRRHDTPHHYLFASESGVGGSLVGTHAIPLGVGSERATYLLDSGTELPCTVKLRIWRHNMKDPFIALEPEACLLTIPHAVLCRFGAVLASRAVKAAHCLTSVQFSPTSEHILLAYGRQHNSLLSTVLMDGETSVSLYTVLEVYMVYRVSDMKLVRVLRSAGDEVNVACFHPSPGSGLVYGTKEGKLRFLQHSGANMGVNSSTGDNIHEYLE >Dexi9B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:9B:470415:472108:1 gene:Dexi9B01G0000760 transcript:Dexi9B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHRRLASLTKLLITHVNAARHRDALSLFSRMLSAPDLPPPTDPSFAHAVPLAIKSATALRVPRAAASFHAFAAKCGLLASPFLASALIASYGVGAGASRELARRLFDELPTRNAVVWSAMISVHVREGDLAAAASALDAIDVAPAASCFNTVIAAVAESGEHPARAIEVYRHMRRVGVAPSFVTLLALVPACTAMGALTSIKEVHGFAVRHGMSARSHVGSSLIEAYGRCGSLAGAQRVFDQVEDRDVVVWSSLVSAYAFHGRAEVAMSLFRHMKDQDDVRPDGIMFLSLLAACAHSGHADDALQYFDVLTKRKYGEVGLAEVAGQALFEIEPENSGNFVSLANIYSGRGMHEDAERVRREMEQRGSHLRSVIATQWFRANFMQMQWSFVYGKMQPKR >Dexi5A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:5A:13357047:13357526:1 gene:Dexi5A01G0015600 transcript:Dexi5A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHIDPNAAMDPGLVFDASPADFVSLLCAANYTHEQITAITRSSTAYHCSASSTDVNYPSIIAVVGANATSGYKRFTRTATNVAKTASAVYKASWVSPSNVEVTVSPRKLKFTRLGQKATFTVDFKFTAPIGSDSAFGAVVWTSGNYRVRIPYVLHV >Dexi3A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:3A:11417302:11425272:-1 gene:Dexi3A01G0015360 transcript:Dexi3A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKQKNVDEAVEGLKAKGITVVGAVCHVSDAQQRKSLIDTAVKDAAPYLRKGSSVIIISSITGYNPEQGLAMYGVTKTALFGLTKALAREVGPNTRVNCIAPGFVPTRFASFLTTNEAIQKELVDKTTLNRLGSVQDMAATAAFLASDDAAFITAETIVVAGGMPSRL >Dexi3B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:3B:62707:70077:1 gene:Dexi3B01G0000060 transcript:Dexi3B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLPRDSRGSLEVFNPAAASGSAAGWIRPPAKSKPSSPFLLPPAAPAGDDDQQEAAVGRAAQRAAEWGLILHTDEHTGQPQGVTARPSGSARTSDSLVDAGTARAALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALAAGSNYCGRLLNYKKDGTPFWNLLTIAPIKDEGGRVLKFIGMQVEVSKYTEGDKDTAVRPNGLPESLIKYDEKNMLKPREDPLLDSDDDRPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQREVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERARDAAAKDGTMLVLESDGTIDLKHFRPVKPLGFGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATTERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLTEDAVRFYAAEVVTVLEYLHCQENSDKKKRRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDMRFPASIQVSLAARQLMYRLLHRDPANRLGSYEGASEIKKHPFFRGINWALVRAAVPPANLLLEESAADGAHTDTIF >Dexi7A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:7A:20593176:20593881:-1 gene:Dexi7A01G0009580 transcript:Dexi7A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLRIFFREDINLNVAIRGTTDFSAAGNVTDDDGQRAFALVQLAPYISDVRVDVSMLWTLGAKDLGGGFREVKVLRDTTQTLNGLWGQVRDGTVVGVHPSRPDAPNAVWKFAPVYQQ >Dexi3B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:3B:3210712:3212011:1 gene:Dexi3B01G0004780 transcript:Dexi3B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIAASISMKAIRRADCFHTNGHADPCQSSSIPYMVLFGVLQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIAQTISNGGFKGSLTGISIGADVTSAQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTVFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERRANATWPDSAFISRELRVGPFVLSVFRLTWRSAFVCLTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSVTCLIVSIAAAVGSIADVIDALKVYRPFSG >Dexi3B01G0037280.1:cds pep primary_assembly:Fonio_CM05836:3B:40064063:40067045:1 gene:Dexi3B01G0037280 transcript:Dexi3B01G0037280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGAGRARARRRLAPLLAAAAFAYLLFVSVKLAGFGAAGPAAAATFGRPGAAGVSEPLRRGVEKPTAPQARARAGAVVSGYGRITGEILLRQEAGAGPRRRWGQLGNFTELERTAAEAWVSGARAWEEASAFAGDVDSIASRDVGEAPPAECPSSVSVSGGEAESEAACVSFSVDGFRKCEKWERRDIVESRETKTSSWFNRFIGRAKKPEMTWPFPFSEDKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPKAHPSFSLQQVLEMSERWKARPVPEEPIQLFVGILSATNHFSERMAIRKTWMQFPAIQLGNVVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTADYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPLRRGKWAVTYEEWPEAVYPPYANGPGYVLSIDIARDIASRHANHSLRLFKMEDVSMGMWVEDYNATAPVQYVHSWRFCQFGCVDNYFTAHYQSPRQMLCLWDKLSAGRAHCCNYR >Dexi5B01G0026220.1:cds pep primary_assembly:Fonio_CM05836:5B:28019499:28020540:1 gene:Dexi5B01G0026220 transcript:Dexi5B01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGSGGEWWWNLPSLRRKSDSRRRGRRNTDPRGRRRGPPREPLSSSSSESIGQSSGWPIEFPFKQAVTAACLTFTGDTIAQVRGRIVESRRRSDETDSKELIPDILLNHDWCRALRMTSYGFLLYGPGSYAWYQFLDRCMPKQTLANLSAKVILNQIVLGPCVIAVIFAWNNLWLGKLSELPSKYQNDALPTLLYGNTSA >Dexi7B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:7B:17409891:17410880:1 gene:Dexi7B01G0009990 transcript:Dexi7B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIPPLSHIRRPVLAAAPQFFLYLSTSAAPAVRKPTTSVAVLWDLTASRPPNTLPLYDAAVRLHLAVSSFGRLRLSAAFVNPSHRLPPLAASAAAATTHLCRVCGRRFRARDGLLRHFDAIHAREHAKRLARIDSSRGDRRVRLAAALSLKLSKYEKAARELTAGADAAASPADELGRAAVLVELTRNPAASLRERAQQVLDEGSVGCLMLVSGNDELGSLLRTARERGVRSVVVGGESGLVRWADVGFSWAEVIAGKARTAAPSVSGKWRDRDVLKGLEWKYEEADDEEDVVFEDSDGDGVEELSRKAKGKPWWKLDSDGEDSGCCG >Dexi7B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:7B:23819654:23820282:-1 gene:Dexi7B01G0017940 transcript:Dexi7B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAGDTEEKERAAPGTPADAKKMKRSAATDDGASSPGAGLCDDVIVGNILARLPARAAVASMALSKHHRRLIRSTEFRGLHCRLAPPLPRPHIAYLATAPIKRRPEQEPPGSWFLGFHVAGAGASGSSTTTTAPMRSLAGRRYVYMNYVNTCNGVVLLASEDTYSAPCSCVLWNPAVADVVEEVTVPDPNKPARDYLE >Dexi2A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:2A:10478550:10478903:1 gene:Dexi2A01G0009620 transcript:Dexi2A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKSGTHLSVRKKTYAVASLSPLAGSSSCFAVATLALAGCRRPRASTPSSLSTSCTHCQHVPSAGLLSAAPAKHCATPAAARCVRCATAANPQPWCCARCAIPAAHIRLNQIKQK >Dexi4A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:4A:4672402:4673870:-1 gene:Dexi4A01G0006510 transcript:Dexi4A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAARPATRPAGSIRDHVVVFPFMAKGHTLPLLHLASALAGRAGLRVTVLTTPGNLAFARRRVPAHVGLVALPFPFHPDLPPGVESTDALPSHALFPAFLRATALLAEPFAAYLSSLPSPPLAVVSDFFLGFTQRAATDAGVRRLTFHGMSAFSLALCFSLATTPPPPPAGSAAAFRVPGFPETVTITMDEVPHAVAQASDVDDPVTRFLLDEVRDWDYRSWGVLVNSFDALDGDYAGLLESFYLPGARAWLVGPLFLAAGESQEQDDEDPEGCLPWLDEQAAKRAGSVVYVSFGTQVHVSAAQLDELAHGLVDSGHAFLWAVRCSDERWSPPVDVGPEGKIGWVPQRRVLAHTAVGGFVSHCGWNSVLESLVAGRPMLAWPVMAEQAANAKHVADVLGAGVRVGVKAGANAAAPEVVDRARVAGKVREVMDGGEAGRRMRGKAEQVAEAAREAVGEGGTSRVALRRMVDELRRSYDDDGEAKV >Dexi3B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:3B:14863648:14864877:-1 gene:Dexi3B01G0019850 transcript:Dexi3B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDLAGSRRWRCDLGGDDRWLMGAAGDDHFDRLPDALLLVIFNRIGDVKALGRCSIVSRRFHELVPLVDSVLVRVDCVIPDEPPSSPSAPSSPTASVRARGVFSQIARIVLGGIVKPIQALGQILSPANSASGFSASSASSSSSSSPSSTSYSPLPAGDVSHHSPSEVLRSFKELRHLRIELPAGELGTDDGVMLKWKADFGSTLGSCVILGASSVSSSPAGSSDGASSAPSVESGRREPEECDDSGSIPESFYTNGGLRLRVVWTISSLIAAAARHYLLQPIVGDHTTLESLDLTDADGQGVLTMNKCQLQELRVRPVSASAASHRTLMPELSMWLWYAPCIELPGGLVLNGATLVAIKPSDEATKDMVGNGAAVATWVLDAFEEPYRTAARMLLKRRTYTLEMNSF >Dexi2A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:2A:32021753:32023668:-1 gene:Dexi2A01G0019970 transcript:Dexi2A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSRSSFLLSLVILVCTPLVLDASQEAQLSEFMASRTGRPLPKRSKSPPSSSKAADRIASLPGQPPSVNFEQYAGYVTVNEEHGHELFYYFVESPSDTASKPLILWLTGGPGCSSLGYGAMMELGPFRSPAGVGFSISTDAADLKTVHDGRIANETYYFLVGWLERFPEYKGREFYVAGESYGGHYVPQVATVIALMNRHLPVQQTPMAINLRGIFLGNPLLDTSLFNKGYMEFLWSHGVISDKVWANLLSDGSFTNLPVDLNCSLEDHTVQGGKIDCFNIYAPVCLKSRNGTYYSNSYLPGYDPCSEHYVKSYLNNLEVQKALHARIRNWKACMISI >Dexi4B01G0020910.1:cds pep primary_assembly:Fonio_CM05836:4B:22992920:22993604:-1 gene:Dexi4B01G0020910 transcript:Dexi4B01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAATRASSSPSKRDAEAASASSPLVASPRVGGGKDGLRSHQRWSLPLPVRSLLALEDPRSPAASTSYRILVAAIACVALAALFSAPSVWSRFNAPYLCRKEGIRLHCPRVSERNSLWENPHAAATSWKPCAERRSNEMSGKYKILLL >Dexi3B01G0032010.1:cds pep primary_assembly:Fonio_CM05836:3B:34297765:34302654:1 gene:Dexi3B01G0032010 transcript:Dexi3B01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTTPAASRAAAAGGGGGRRNLASGARAAPRQHIRMIQEQLARRAEEEQRAEERRREEEARRAEEERRAREEGERRAEEERRAREERRRKRLEESRRRAEREERRRMEDARRRLGVAIPDASSGDGGGGTQRRPVYESRTSRSQPNRHENVQSEADLGEIQVSEQQFNTEQTNASLEDTDGVFNELKSREEQITEPSLEESNEIIDDDDSWDNKSLDEFDDLLSDKSLPYGEEEDGQAEDKHVTSAAPIANSMSLSEDIGEEEVSILLNEGASGGADRELRAPICCILGHVDAGKTKLLDCIRRSNVQGGEAGGITQQIGATYLPVENIRERTSLKPEAAIKVPGLHVIDTPGHQSFSNMRMRGSSLCDVAVVVVDITRGLEKQTIESLDLLKRRNVRFIVALNKVDRLYGWKTCSNAPIAKALKNQSDDVRSEFKWRVTEVVTQLKESGFNAALYYENKKIKEVVNIVPTSAVSAEGIPDLLLLLVRWVPEIMTERLTYVNNVECTVLEVNEEKDFGTTIDVVLINGTLRKGDQIVVCTKLGPVTTNIRYLLTPYPMKELKAKGVYKHHEELKAAQGVKIAARGLQHAIAGTAVTVVKPGDGVEQAEAAAVQEISKVNSLINEDERAESDDRTAVQEISRIQTCREGVYVQASRLGILEAIIEYLKTLSFDIPVSGCNLGAVHKQDVMKATAMLKRNEEYAAILAFDVKVMPEAFDLAAESGVKIFTADTVYKLVDSFTDHIKKLKEEKKQQYAAEAVFPCTLKILPNRIYHKKDPIICDVEVLEGIVKVGTPICVSVPSKDRATDVVHSLGRISSMETSNGMQINSAKKGVISIKIIGENPQERSRLFGRHFNSDNELLSQISRTSIDVLKEYYRDEMSDENWQLIRRLKKQFGIP >Dexi1A01G0030220.1:cds pep primary_assembly:Fonio_CM05836:1A:35537087:35537660:-1 gene:Dexi1A01G0030220 transcript:Dexi1A01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPAADASTSFLSAALVEKLHRFNLASVQAAQRQREAAAASSAAVGGSAAMPIGDAWAAAAAYDSGTPSAGEWGEGRFLEEQHVEQMIEELLDSNLSMEICY >Dexi6A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:6A:1990878:1995204:-1 gene:Dexi6A01G0002150 transcript:Dexi6A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKDGGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQYEQQMLEQKRAAAAASAAGAGRGGGGGGGGGTKHVRRTHSGKTIKVKKDGAGGKGTWGKLIDTDADACLDRNDPNYDSGEEPYELVEAPVSTPLEVYKRSVVPIIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGNVISSAQIRLGFVLLLEAVDDLAVDIPDVVDVLALFIARAVVDDILPPAFLSKAKLNLSESSKGLQVVQIAEKSYLSAPHHAELIERRWGGSTHITVEEVKKRIADLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPAAEALIVKLLKEASEECLISSSQMMKGFARVAECLDDLILDIPSAKSEFQLLVSKAISEGWLDSSYVHSGANGSVEDDEHEKLARYKREAVSIIHEYFLSDDTTEVIRSLKELGYPEYNPIFVKKLITIALDRKNREKEMASVLLSSLSMELFSTEDIAKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPDCSGAETLNMARSLASARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACNCIRELDMPFFNHEVVKKALVMAMEKKNERTLSLLQECFGEGIITINQMTKGFSRVRDGLEDLALDIPDAKEKFLSYMEHAKKGGWLLPSFAAA >Dexi9A01G0049450.1:cds pep primary_assembly:Fonio_CM05836:9A:52085490:52089019:-1 gene:Dexi9A01G0049450 transcript:Dexi9A01G0049450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPEEMVRKAMKDNGDDGADALVELLLTYQVIGNDASVNNGSASSRVPQVAEDSDDDDILQNWDDDNPGERNRGPASDESGDEDFLHEMSLKDEKVDYLVNIGFPEDEVTMAITRCGQDASISVLVDSIYASQTAGVGYCGNSSDHEDSSYGGRRGRPMEGNKRKRK >Dexi2A01G0035140.1:cds pep primary_assembly:Fonio_CM05836:2A:44818271:44831462:-1 gene:Dexi2A01G0035140 transcript:Dexi2A01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSANMEQHSQRLLEPDLRMTPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNYTKPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLHVLKLDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVITIYTNFPTTVACFFDNPNSSASMAAPMPVQHLDPTTDAPGMMQVPGGGQLNASTRSFKIVTESPLVVMFLFQLYAKLVHTNIPSLLPLMVKAIAIKGPDKVPPHLKAAFNDFKGSQVKTLSFLTYLLKSNADYIKTFEESICHSIVNLLVTCPPDSVSIRKELLVGLKQVLNTEYKRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVREDISLPQLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYQKGVDQQSMDEARILLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEQPNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIKTLAVGMKTIIWSITHAHWPRPQVRKTSGVLKSGVHCLALFKEKDDDREILQSFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVNSKLEALKQPDSPAAKLVLQLFRFLFIAATKSPESCERTLQPHVPVIMEVCMKNATEVEKPLGYMHLLRNMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEVILTLWSHLRPPPYTWGTKSLELLGKLGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHQAVSAVMQGSGMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRGDASDMKVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTRMMNAKIVLTWNKLRTACIELLCTAMAWGDLKAQNHSELRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQTQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLCRSRIDYSFLKEFYVIEVAEGYNPSLKKTILNHFLNIFQSKQYGQDHLVVTMQILILPMLAHSFQNGQSWEVVDPSIVKIIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKVLVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKLAQESESQNDMLNPSVIAGDPKRSDVPSFADDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVTIVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLSPSAQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFGNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLHQRLQDLIQKSLAAVTTSQISLELSNANSIINFSLFVLNALSE >Dexi3A01G0026160.1:cds pep primary_assembly:Fonio_CM05836:3A:22222656:22225944:-1 gene:Dexi3A01G0026160 transcript:Dexi3A01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRHIQFLFVSAWALLLWAQCASTLRFTRGDFPESFAFGAGTAAYQYEGAAAEDGRSPSIWDTFAHSERNIFGGTGDIASDGYHKYKEDVKLMSEIGLEAYRFTISWSRLIPGGRGAVNPKGLQFYNNLINELVKAGIQINAVLYHMDLPQILEKEYGGWVSPKIIDDFTAYADVCFSEFGDRVAHWTTMLEPNVLAQGGFDNGGLPPNHCSYPFGSSNCTVGNSTTEPYLFVHHSLLAHASAVRLYRQKYHAAQKGVIGLNIYTMWLYPFTYSAEDIKATERAKAFLYGWILHPLVFGDYPETMRKIAGSRLPSFSSYESELVTNAFDFIGLNHYTSSYTSNHPNRIEGQLHDFTADLATLFRGTKDAPPTAMILAGKMVDPHGLELILEYFKEKYGNLTFYIQENGYGGSDGTLNDLERIGYLTKYIASILKAIRNGADVRGYSVWSFVDLYEIFGGFKTHYGLVAVDFDTDERRRLPRRSAHWYSDFLKNNAAIESGCDFTTTIFHAQI >DexiUA01G0003600.1:cds pep primary_assembly:Fonio_CM05836:UA:7328609:7332779:1 gene:DexiUA01G0003600 transcript:DexiUA01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHQPFEIPDEVVREILIWLPVEFLARFKSVSKAWLAIISDPSFVPAHLQCSKKKEKQNPSSFLITPHILLGPGHVESFSTDIRFYQWCLTQVTEQGTGRSAKLLCRRHFPAGEFGAVAPMAHCDGLLLLPTDTKVYVFNPATKDAIALPQSQRNMMRHYGCLSVGLGLDTSTGKYKVARTFHRSCDDGPMEIFTMGMEVFTINGENGSWRETSVDLPYPILGSQAGIYCKGCLFFFIDKNNQQIPPQRLLRFSLLDETFGVTPLLTNLYPSVDDEDIFVNELDGELCASLFSKANEMVGGSDIAWDNFSSSLALAVTAAGGILVKLRGLLMVVSTETINLELIGDGAPTKDAEKNRGGSRKGKKLNTSKKLAASAKPSKEFRKWQL >Dexi1B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:1B:5849796:5854651:-1 gene:Dexi1B01G0007080 transcript:Dexi1B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTVAARFAFFPPSPPSYGLEQPSPPAASAAAPAAEEGEGKEKEKGVGGGRVVELTGVPRKGNVEARRLRTKRGTEVVAMHVRQPGAKLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKGTADEVVDCSHGRALWELAKVKYEPLWIKGGNHCNLELYPEYIKHLKKFVSAIERSPPPIDESTESSGPSDSATTEPECTAEESRKSTDCRDKTRPSVDHRHSTDRRDKPRGSTDRRDKSRKSVDHPDKPRASVDQSDRPRKSIDRFGGMMKSVRLCNIDCFKVTATSGS >Dexi3B01G0034110.1:cds pep primary_assembly:Fonio_CM05836:3B:36890062:36893842:1 gene:Dexi3B01G0034110 transcript:Dexi3B01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLACYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTAYLGVPNYDCADGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRNLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVPSWRFANGMFAIVLSFGLLLTSLRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMTQVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMSQNASLSQLYGSMQDAYQQMQTPLVYQQQSVRRGLNELKDSTVQLASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRVSNLLQASMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIALFTLFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFSLAAQDIDAAMGRAQSAEILDDMVTRSRGEIKRLNSPKITSSGGTPVAELKNIRSPSISEKAYSPRLTELRQERSPLGGRGSPRTPSKLGAGSTPK >Dexi3B01G0022690.1:cds pep primary_assembly:Fonio_CM05836:3B:17398370:17398589:1 gene:Dexi3B01G0022690 transcript:Dexi3B01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRTRVYRSSAAPLRLLVPGGVGDAETTAQIISPGFFRSPVAIYSNVNGEVGETCVGVQSQRIATFFR >DexiUA01G0023530.1:cds pep primary_assembly:Fonio_CM05836:UA:48213320:48215956:1 gene:DexiUA01G0023530 transcript:DexiUA01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGPTANGEAASSGARPVDVVAGGEEEETLPGVLRGFVDEVWSSPGGDEKTLLRRLRAASCEAAPRLRGASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMFFLLVATANAVIVSVLMSLAAAGGFLAIFFACLVAVYIGAVSVAVFAISATVISAIVGVMIATGWVGFFWMIWFTARKSMDLTKHSIGVTSSAIQSYSASRHANKKPVD >Dexi3B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:3B:6995672:6996493:-1 gene:Dexi3B01G0010090 transcript:Dexi3B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTTEPDRARAYRSPSSERLRHARGPPRSRDAAPITLGSAVKKRSHCTTPPSHAAEKTPVKSARHSRSAQDATGYCANYSMTLSVPETPQASRKDPRRTMGRARHHPHPRVTSLEATPELEGAIPAHIVHGVGSAGHLVTPSSSPTLLVIPYYEQHENSDKSLRPEFITPFPPSE >Dexi1B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:1B:5422284:5424005:1 gene:Dexi1B01G0006690 transcript:Dexi1B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLPLYLLLLAIPVFVFLWATKPKPPRGGVAQRLRLPPSPWALPIIGHLHHLSRGGLPHRAMRDLARRHGELMLLKLGEVPVMVASSPSAAREIMRTHDATFASRPMGPMSRLWFEDSNGILFAPYGDAWRHLRRVCTQELLTPRRVVSFRHVREDELRRLLSAVAAASAARRPVNLTEMFSTFIADSTVRAIIGSRKLKDRDAYLRMLKGLFAIMPGMSLPDLFPSSRLAMLVSRAPARIMAYRRSMRQIMDGIIQEHRDRTAIGGGDGAEEEEEDFVDVLLRLQKEVGSQFPLTTENIKTVMLDIFGASTETSATTLDWAMAELLRNPRVTEKAQREVRDALAGHDTVTDDDLANLHYLRFVIKETLRLHPPATMLVPRQCQSHCRVLGFDVPAGITVIVNAWAVGRDPDHWEEAEKFVPERFERSTKDFKGADFEFIPFGAGRRICPGMTFGLAHIELALAALLFHFDWSLPGGLAAGELDMTEAFGIATPRRYDLLVVAAPADTKPIQKAKRNRF >Dexi1B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:1B:22203724:22204953:1 gene:Dexi1B01G0015610 transcript:Dexi1B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSGFPLPPDPLKSIELVLAGISKGVLLNHTLLKSHEQTALVHQPHSPTPSSTASKLGTCPVGQWAKDAPSNGAGGVTGAGRSPAVEAPASPARNGQSAKLPQTHAKQRMVCVMLMRGMSTVLLTSGPTQQKLDGPTGIKMEQRGGREEKKMRKEEEEKGKEREEEEEG >Dexi3B01G0035700.1:cds pep primary_assembly:Fonio_CM05836:3B:38701288:38701926:1 gene:Dexi3B01G0035700 transcript:Dexi3B01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYYHTGLPRFSQVNMARLGIKQTRCQSDTIVSSSASHGDAGKLDPVVKMCGITSAKDAEMAAAAGAKLIGMILWPNSKRSVSLSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDYCGLNLIQLHGDESRALVYTLSKNNRIVYVLNADEDGKLIKSPPILEDAVDWFLVDSAKGGR >Dexi1A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:1A:9994193:9997474:1 gene:Dexi1A01G0011140 transcript:Dexi1A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGSLLRALVLRAAASAGRRRGVLCGRAADVRVTPRHGHGWRGFRAVAGRMMLDSSDPTSPAAAAAEAGQVQPQRRAAGAVPQAHDGGYASGGWEREDGKLSCGYSSFRGKRATMEDFYDVKLTEVDGQPVSLFGVFDGHGGSRAAEYLKEHLFENLLKHPKFLTDTKLAISETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAMALSEDHKPNRIDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENEEAVSLGKSEDAPESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >Dexi5A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:5A:3886168:3886791:-1 gene:Dexi5A01G0005090 transcript:Dexi5A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGMEMESTWKSLFQRRLVLAATHSDRVHGLLSEAIEVVDVDVRSRRRHEGPCAEETQRALEGATAELGLAIASMGAARHLALRGGASCPSAPLDSVDDLAGDPAVWCSLERLDRAAELATRVHDALERARGHLRAAALLAVLDMDRVAGGGEGGDSTAPWEQSPCFSEQLSGAMELGEAMLKAGDLVAATAGAREAAFGDIGGVN >Dexi8B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:8B:23464637:23466417:1 gene:Dexi8B01G0013320 transcript:Dexi8B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRHHRHAAGHRHLLHPRLPPFSTTTHPTSPPTPSSSSTDAASPNFPDPASLPPDDAIAALPCVADNAGSASALALFRRLSTRPDLRVLMRLYATAATTFVARGNLPMAHEAMRTMVAAFAEAGRLREAADMVLEMRSHGLPLCVETANWVLRVGLAHPGCFPHAREVFDGMTRGGGVCPDERSFRALVLGCCREGRFEEVDGLLVEMWQRGFCLDNATCTVVVGAFCQQGRFKDVSELFRRMSGMGTLPNMVNYTTWIDGLCKRGYVKQAFHVLEEMVAKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVEQGLVPNTNTYTTLIDGHCKGGSFDRAFELMNRMKLEGFLPNIYSYNAIIGGFCRKGQIQEAYRVLRLATIQGLQLDKEGEDKHCCIDVG >Dexi4B01G0023550.1:cds pep primary_assembly:Fonio_CM05836:4B:24848032:24848314:1 gene:Dexi4B01G0023550 transcript:Dexi4B01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAVVVALLAAAATARAQQCGWQAGGKLCPDCLCCSQHGYCGSTDPWWGNGSAVAAEVEVVVEEEEAAAAVAEEAKVVMASRP >Dexi2A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:2A:33173449:33173664:-1 gene:Dexi2A01G0020950 transcript:Dexi2A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELTEAPTKGSLRHEFETGLPAADVWEIYGGLLVGDLIPQLLPEVKLIEGDGSVGTVLLVTFPPG >Dexi1B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:1B:1911366:1912821:1 gene:Dexi1B01G0002350 transcript:Dexi1B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKAQSDSSLRPSPRSVLSSPRRISRRAAAPSPQKQNAAAAMAPPSTAFVPRAGLPVLRATPLFCVIASTSGAGSKKKGKKPKSGSRRAGEDKDSKALEPPAPVVRRAPAGSASIFQQQQEAASKAGGAGGKGPTEEELRQRQANENAFLLSWLGLGVIIFVEGIALAASGKLAMLHSLCLLVNGFLPEEYDKFFVKYLYPSFTPTVVLFLAGTVGYGVLKYFESEKSKS >Dexi2A01G0026700.1:cds pep primary_assembly:Fonio_CM05836:2A:38286603:38292024:1 gene:Dexi2A01G0026700 transcript:Dexi2A01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTPSRIDRAVGPPQLNSTVNNTGRTLQKKKKHRTGGLPVAAMECAAKGLAAEPCAGDVADRRCGSCGAVAYCSXXXXGIIHWRVHKDECDRFAEQMRRIDLLSQFPFTFLEPPALNCEFPSTRCFFLQKIKLHQKGLWKSECICGSDVASVNDFSIAAEWNLQNSLCPCTEPESYVPAVLASWKDYYQWRSLPLHSPVAILLHWDSNPHIIVAPNAGVAAYPSWMPTIA >Dexi5A01G0039060.1:cds pep primary_assembly:Fonio_CM05836:5A:39794104:39797744:1 gene:Dexi5A01G0039060 transcript:Dexi5A01G0039060.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDGIARPERRSPRRGHRNSRRRLPPASVFPPLMHAANATHGPLAVALLPLALVAIGELQLKIDPHCVAGTPRGCFADMILANATIYTADAALPFAAAMAVRTGRVLRVGDYESVKELKGPRTYELNLSGNVVLPGFIDSHVHFVDGGLQLTRVPLRGVRSKDEFISKVKEATRDKHPGQWIFGGGWNNDAWGGDFPAAAWLDDISPDNPVWLSRMDGHMGIANSLAMKIAGIDSSTNDPIGGTIIRTAEGGPTGLLVDTAMKLMLDVTEKVSTHERREALLRASRHALMRGVTTVVDVGSYFSGVTAEKAWQDFSDVYEWAHSMQNMMIRVCLFFPMPTWSRVSDLIRENGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPGNYGLQATDIDSLLNRTLESDKSGLQVAIHAIGDKANDMLLDMFDKVVDLNGVKDRRFRIEMLNIWLQVQQTALANMPDHLLDDADFAAKKIGFERAERSSYTFRSLLTGGAQLAFGSDWPVSDINPLQAIKTAMSRKPPGWEVPWIPAERLTLDGSLKAHTISAAYACFLDHAVGSLSPGKHADFVVLPSTSWDDFSGDLPAHVLATYVSGKLAYP >Dexi6B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:6B:7644118:7644726:1 gene:Dexi6B01G0006800 transcript:Dexi6B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSKPQSTPPSTTTTSTCTVEAAEGKHLFHVEGYSLHERLVGGGKSVRSATFSVGGYDWAIRYCPEDAEEGGGGALVLTLELLTKNATATVSCAFRFLNPGTGKASASWPDRLTIECAVTVVQAPKVSATKTVTRVEEPPSDLSVHLGRLLEEKEGSDCP >Dexi4A01G0022330.1:cds pep primary_assembly:Fonio_CM05836:4A:25590363:25592694:-1 gene:Dexi4A01G0022330 transcript:Dexi4A01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANDQRQLTDASLVMSQGKMVEYLFDDNFSVSRLDPDGKKFDKVTRIEAHNEQMYMQLDIATEIYPMRAGDKFNMVLAPTLNLDGTPDTGYYTQAGRKTLADKFDYVMHGKLYKISEDSSTSSSAKVYAFTELETVL >Dexi2B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:2B:28234453:28234823:-1 gene:Dexi2B01G0017890 transcript:Dexi2B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERPPSTVPGSFSRGSGSAPKAAASDSADSAGRSSSSGAATKSRKPPFRPAADDTKPVLRDPISRSDPVETEQAVLRLPPFP >Dexi5B01G0032300.1:cds pep primary_assembly:Fonio_CM05836:5B:32926107:32927157:1 gene:Dexi5B01G0032300 transcript:Dexi5B01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHELRRQASSYLTGKIRSARLALTDVTPTQLMTEEATNGDASPPNVKTMGLIARQAFEIDEYVRITDILHRRFASFDRRQWREAYKALLLLEHLLTHGPNSVAVEFQKDTDAIEKMVTFQHIDEKGFNWGQTVKTKSERVLKLLEQGPFLEEERERARKIAREIKGFGSFNLSSSASRAVAEPPGDGGGRGYGRSNSQFEERWRHEDGGRGYGRSNSRFEERLRQDDGDDKENLIARTTEPPRVAREAEAEEPHHRHPFHGFGQQPPEAMLLLSQ >Dexi2B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:2B:23741583:23744198:1 gene:Dexi2B01G0014190 transcript:Dexi2B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEEEPVLAVAAVVGGLQSPSTTSPGAVLARAASTPGPPTTPPAARPRAACSRDPTSTRRLESPRCAPSPGVAPTPGVAPALDPPTEIGPTALPPRRETHVTALGRGGSPPRSGAPAVASNSARVAQSPVAITTTTSHPAVASGSVRVWWCGAIRLLQIRPDPNRLGVNDARRGAYASRVAAGLNSGGDVSGGACPCGGSWTAQMRWAPPPASDTARPATRPSPFCRQRRAAEEEGALKRAPATGGPSLNSQRL >Dexi3B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:3B:9114627:9115090:1 gene:Dexi3B01G0012950 transcript:Dexi3B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSSAPNPHSLLLAAILLLVCSSLPPLAAAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADPYKM >Dexi2B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:2B:10705434:10714771:-1 gene:Dexi2B01G0010000 transcript:Dexi2B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASGAGARAEDVGSSSGVLVRHCRAITYFCWQDYGHRVRLAAHANFKDFVMTTGLEFYPLGGDPKILAGYWGPKIDVVGFCFLDLASNYEPPEPLLRWLGSGDKPIYIGFGSLVHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGSMVHARGLGAPPVPVEQLQLHSLVDAIKFMIDPKVNVKERAVELAKAIESEDGVDGAVQSFLKHLPQQRDPETPPAAPPSTFMNPLLLPVKRCFGIAS >Dexi1A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:1A:23168762:23169938:1 gene:Dexi1A01G0016190 transcript:Dexi1A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRFDMSEFVDAHSVLRLIGASPSYLGHEDGGQLTEKVRQRPYSVILFYEIEKANPAVFNLFLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEYLMEAMAGEKSMDSARDLVIKQAQKHFKPEFLNRLSEIVIFEPLSQDKLREVANVQMKGVIARLADRGIYLSLAIEYRLCPYFSQLYGARPVRRWLQKNVMTRLSEMLFQGEIDADTTVIIDASEDKKELMYEVFKNAATCLDIPGMEILSDSDSDGGIWLIG >Dexi9A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:9A:10606784:10611536:1 gene:Dexi9A01G0015730 transcript:Dexi9A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPESPPSPAGRPSSADGWLYEVLHLQDSPIFDFINSLSPIATPKPLDSAPNVQLFKSPDLVPVSSIFTSPQVHPQKESKPGIRAGYVQLSQGLGPNCQRNEIEISSCIEMSGPSAIASGNSSPSVDAANLSSKWPQSILFGSETLGDAKKQDIDGKADHSANMGQVEFSSKCYDQNGVDKMDLSTSVRNVQENELDKQYNDDLAACSLSHQISYSSNVGGVMSKSGLSLEAHQLSWKLRNDHVIFSKSFIPMDQRTSEDSQTKEFDGPTGCYIQSAADDAHVHCAGAAAGVVMNHDPEMLPGVNQSQLVSNEYFVGTFEVPSDNVKGRYSSEVYIHDDHSTRKTMSNAVESSQESHKKKRRKVQDGDGDSCRSCSCKKSKCLKLMTLTKLLLRLDIKEAAIAGSLLALKNIASAFRYQLTCHVVFLT >Dexi2A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:2A:3763223:3766190:-1 gene:Dexi2A01G0004190 transcript:Dexi2A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAAAAEGAVTGDMVSAGFAELERQQQLLATCTRLYQQLSDHFGTLERGLAARSDALRVRRRAFDARTHRALDALHRREASIDSSVARAIGHLDSLSASAKEATAAAAAPPAAPDAAEAGLADGLRALCLRMDSAAFLGFVVARRKEADALRAEMPEALKLCVDPARFVMDAVADVFPVDRREVRNPADLAWACVLILEAAVPALADPDPEIGSARPLVPRAARERARGMAREWKEAAEKKGGVEGAKPPDAHAFLQHVATFAVAEREDRPLYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKRQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSKKTSSASSDNSSTSSGQSGSNVNKKEQSALRAVIKCVEDRKLEAEFPLEDLRKQLEELEKAKTEKKKEASSASSGGSSGPATKRIRASTGGPMPPAKAGRLTNNVSVSSFPAPTTFARSPSHTSYATTSPSHTSYATASPSHASYATASPSHVSYATASPYPYDRPAGHGLYCNRSPPAIREPYVYPAEEVASVNVGIAMPYAPPPMSYPAPYAGYGNAMAAYNNGMAPAFHQAYYR >Dexi4B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:4B:6150138:6153035:1 gene:Dexi4B01G0008560 transcript:Dexi4B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLPPGQPPPPSGADGAGGLPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSQLTKMTGMEYVLSDVIEPNLFVIRKQKRESPEKANPMLAYYILDGSIYQAPQLCNVFASRISRAMYHISKAFTMACSKLEKIANVETESDAAAFESKTQKEAIDLKELKRIDHILSSLKRKIGAAPPPPPYPEGYVPPSSEQEKAPDDLLASEAPPQLDPIIDQGPAKRPRFQ >Dexi1B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:1B:10526087:10528818:-1 gene:Dexi1B01G0010700 transcript:Dexi1B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPAAEAPAEPFLPLRWESTGDQWWYATPIDLAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLADAARNRAAVARRLLHDCEPGGGGGGPNRLVRAGYGGWLLYTAAAAGDAGFVRELLAGQPLLVFGEGEYGVTDILYAAARSGCPVVFRLLLDEVLSPASCAVGEEFRCEMMNRAVHAAARGGNLEVLRELLSGCSDAAAYRDAQGSTILHAAAARGQVEVVKDLIASFDIANSVDDQGNTALHIAAFRGHLPVVEALIVASSSLISATNEAGDTFLHMALTGFGTPGFRRLDRQMELIRKLVGGAFMDVSSIINVQNDDGKTVLHLAVVGNLHLNLVELLMNAPSIDLNIRDNDGMTPLDLLRKQPQTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHTGVEVSDISERTTSFSSIIGRVDAEIPGPKLKKLNSFQDAAKHIKVLLKWPRRKGKKQGGGQKDLDDDASSVDSIKSWSHGDTPTPLRQRYSRISSLFNNKRTYAGNSSPSEAINKSGVIRPESIPASASWSSSSLVDKIEAVHLDEDQPSPYLSRLIRHTPKKYGSLNSRLMNKSLRLGA >Dexi3B01G0037050.1:cds pep primary_assembly:Fonio_CM05836:3B:39886611:39888810:-1 gene:Dexi3B01G0037050 transcript:Dexi3B01G0037050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTITTSVPGCHRIPSQSNPFNGRAAVSGEGSRLEEMRQGIPYRRGRWRGAGIPSIRRNKVAVAANAGTTRRRRRSQGPIGTHL >Dexi7A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:7A:22174500:22174838:-1 gene:Dexi7A01G0011790 transcript:Dexi7A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGSVSSVEENFGVEWMGDRSVKVKYLPLDALLASCPARAAIPRRRRRPSPARQGPALPSSLTVRDTDASVALRRRRDSARLPSLPERPLLPMCAALLGACRLEATAVDR >Dexi5B01G0033370.1:cds pep primary_assembly:Fonio_CM05836:5B:33792963:33793968:1 gene:Dexi5B01G0033370 transcript:Dexi5B01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALTPFLLSASILVALLATCHAGGIAVYWGQNDGEASLSETCASGNYKFVILAFVYKFGKGQTPQLDLASHCDPSSGGCKGLSKDIHSCQRRGIKVLLSIGGGDGSYGLTSEGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGAKYWDRLARDLKNMGKNKGGKGVFLSAAPQCPFPDEWDGGAINTGLFDYVWVQFYNNPECQFSSGRGAFMAAWKQWESVPAGQIFLGLPASKDAAGTGFVPAGELNSQVLPLIRGSPKYGGVMLWSKYYDDRTGYSSAIKSHV >Dexi2A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:2A:29249011:29250643:-1 gene:Dexi2A01G0017420 transcript:Dexi2A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTASK >Dexi3A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:3A:14778723:14780959:-1 gene:Dexi3A01G0018940 transcript:Dexi3A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >Dexi5B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:5B:4142401:4143189:1 gene:Dexi5B01G0006120 transcript:Dexi5B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFVPRPAASSRAAPAGPAARRLRRGSITCGPRDNRGPLQRGRSLSTEAILAIQSLKRLTAADRSPAAASAAAASALGRLLKADLVAAMAELQRQGHWSLALAALHVARAEPWYRPDPALYATFVSSAPASSDDEDAGAAVDALVEAFLEEKARGGGFVDGEEDVYKLTRLLRALVAKGRGHAAWRVYEAAVREGGLDVDEYVFRVMARGMRRLGLEEEAAKAEADLAAWEGTISPPARDVLDGMRAREKSKTKASAAS >Dexi9B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:9B:5089250:5090456:1 gene:Dexi9B01G0008300 transcript:Dexi9B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSESSPPLPWDENHAYTRDTIELYYQGGFGKPLSKSEILRYLLEGTVDSKSLPDGLLDGDNEEHDSIKSSTIASSTEGSSKWIKVKEGKTLQEVLQDKDFIIPSIPVFLVVSRKSTFYKDFKAGNWSLP >Dexi7B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:7B:1081453:1083049:1 gene:Dexi7B01G0000440 transcript:Dexi7B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQLSEVDAAADGESSSPPPKPLGKKLLRFNSPLAQVSLIGLVCFCLPGMYNALNGLGGGGQADHTVADNANTALYACFGVFGVVGGAIHNLLGPRLTLFLGSLTYPLYVAAFLNYNHHPTSQIFPVIAGALLGVGAGFLWAAQGAIMTSYPSPNRRGTYISLFWCIFSFGSVLGGLLPLSLNFRRGTTAASVNDGTYVAFMAVMLVGVALTLLFLPPHKIVRDDGDTAATTSSTSTSAAMEAGEVLRLFGDWRMLLVLVPAWGSNFFYTYQFNNVNALLFTLRTRGLNNVVYWGAQMLGSLGLGYLLDFSFASRRKRGLVGVAVFAVLGTAIWGGGFANQLRYVDGEWDDLIDFKDGRRYAGPFVLYCSYGMLDAMFQSLIYWILGALTNDSQVLSRYAGFYKGVQSAGAAVSWQVDFHKTPLMTQLIVNWALITVSYPLLALLVFLGVKDDDSLVSSSVEDGKDNKDTKLSSLIGFH >Dexi6A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:6A:22257510:22259857:1 gene:Dexi6A01G0014860 transcript:Dexi6A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRREVATDEDAAAMGVRGKAAPKRARRKSGPRESPSQRSSAYRGVTRHRWTGRFEAHLWDKDARSGSRGKKGRQGAYDDEDAAARAHDLAALKYWGPGTVLNFPLTDTFWSLSCCRHHHNGRWEARIGRVLGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDIGHYVSHWQRHRHGSSGGASLNSATDAAPFQRQDDPPELATATGLAGTMGAAAACPFLDKQLDDDDQVGPPPAAHHTAGPTSSALDLLLQSPKFKEMMEQVSAAAMMADSNSSSSAAASSAASSSSSSSSPPPLSPLPQQPEISCGTPAAPCISFPDEVQTFFDFDDMGLTYAEVDTFLFGDLGEYAAPMFQYGDLDV >Dexi7B01G0021300.1:cds pep primary_assembly:Fonio_CM05836:7B:26391285:26400718:-1 gene:Dexi7B01G0021300 transcript:Dexi7B01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRPCPSGGHAVVGARWLCGISSPSSSSSPPRLVPAIGRQGRSISLVSHGLEGRRLRHRQAVRASKDSAVDWPFGVEPDIMHMTDEQFKMFDEELKKNCELDKDMPFREEIETIAEYWKKIASWNTSIFEMEATSLSLHLCMIATKGVKLASRVMESTALRLDKQDENHLHTTKQLMRSIMEKAMPLTILFISEMVARHKRVLGYIPGIKERCITAKQAASDEGGPMFEEQAYIFHEMLIKEHYEMEKFSKDMPFREDIEKIYSYEKAIASWNSSIFYIEAAAFSLYLCMIATKGVKLASRVMDSAALRPDKQDEISSCTAKQILKPTMVHTMTLNMAFILDVVARHDRLLGIKGWRCPIKASGDGEPSA >Dexi6B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:6B:24639134:24640431:1 gene:Dexi6B01G0017480 transcript:Dexi6B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAEGGARGNRGEGGSGSSPPLPTISVGRHRARLEERTIDSAFHEFRVDYEQAKNHNIGQPISSNAFSAGGHMWRVHYFPNGIEEELDGKYLSIMIQLVNESRNVSAILEAFILGKDGEPCSRGAESCFSLCTVMPCLGMTKIGGPPFEMMQKLLVAADRYALDRLKLICAQKLCDDMSVDTVAATLVCAEMYSCPELKSQCIDFFAVEDNFKKAVLTRGFVELGQMFPSIIDELRERVGL >Dexi1B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:1B:10748704:10753854:-1 gene:Dexi1B01G0010930 transcript:Dexi1B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTTMHA >Dexi9A01G0031650.1:cds pep primary_assembly:Fonio_CM05836:9A:36615872:36617812:-1 gene:Dexi9A01G0031650 transcript:Dexi9A01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAYEAQRRRQIEENKRRIEELGLRQLAAAAMPPQWNRNTKILIGMSAVERSYAIAKAKAMELECEAASWSLPPQFFREHLPEHVKVITLVDEEDDEFEVQYHKTPRDHHYYMTRWKWFAINHKLDAGDCLVFQLIEQTKFKVYIMRARSYLEKMTIKTESF >Dexi6A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:6A:28868169:28868447:-1 gene:Dexi6A01G0021690 transcript:Dexi6A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSALGKRDGGDGILAALSRSQVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPPPSPIK >Dexi9A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:9A:4047237:4047778:-1 gene:Dexi9A01G0007070 transcript:Dexi9A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAARSGLRSLAARAAPARRRMSSSVHDDAYETAKWEKITYAGIVTCTLLAGYNLSKGHPHFDEPPAYPYLHIRNKEFPWGGST >Dexi6A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:6A:15593603:15595096:-1 gene:Dexi6A01G0010920 transcript:Dexi6A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGAVVGVFTGRKTSWGRKGRRKEMTFGPHAVPLQNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQVCDVSSNFRGK >Dexi9A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:9A:10896606:10900971:-1 gene:Dexi9A01G0015970 transcript:Dexi9A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLDGLRHLCGVLLKCCDIDLKQPKGLEDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKVSGFVPCCLTAWLACVSVIAVSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPPDEKIDSVPTILAVSFQLYDLKQQGFIERQEVFSTEHNMLVKQMVVATLAESGMNLSDEVIESIIDKVRLKCFKILSLSC >Dexi5A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:5A:6168066:6168881:-1 gene:Dexi5A01G0008250 transcript:Dexi5A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVASSIPLRMLWLEAALLLVVVAAGASTAAVDVVVPDGMDAIRLPTDVRGVADVVAAMARATDDASDEERPWKCCDLAVCTRSQPPICRCLDKVTRCSSACKQCDKTSDTMSRTCTDWYKGQPGPRCDHDAGSSSLAAAAAGTTMMAGGDENEKRPWKCCSLPICTRSQPPVCHCWDVVKRCSRACERCEQVAEGSSSSGTRRYRCLDTHRGDPGPRCEEKWAPTVARRR >Dexi4B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:4B:8074529:8077633:-1 gene:Dexi4B01G0010730 transcript:Dexi4B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSETGSSSTADVYKGELTPLQRHVAFFDRDKDGVIYPSETYQERERKYKENEKAPAFKLPIYVKNIQKGKHGSDSGVYDANGRFVPEKFEEIFKKHAQTRPDALTGKELQELLKANREPKDFKGWLGGFTEWKVLYSLCKDEKGFLHKDTVRAVYDGSLFERLEEEQKSKESTKNI >Dexi1B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:1B:4299406:4300219:-1 gene:Dexi1B01G0005240 transcript:Dexi1B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRRGGSPDTWDGTWEGEILDVIYGYYKSALDALPLEEMPSLAPRLLAAGVCFGFADPVTNIIANTLSFGEPDGAERRKRKRSTNASGEARLREEVLSKIVAGEGPSAPEVRTIAERSLEGLVSFLVSYFRYLPTWDALRYLSLARADLLVAVHIIEKDRCCRRKDQFQIRSPAVKTAFECAALSARQPNFGAFFASSFALVSHVKIFTETLLLAKPLKLKKSDEPMKLVRNRFHDSDVNASIENVS >Dexi9A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:9A:658763:662830:-1 gene:Dexi9A01G0001290 transcript:Dexi9A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKLIREIGDGTCGNVFMAYNVETNEIVAVKRMKRKFFQWQECVNLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMECNLYDVIRERQVAFSEGDIQNLLVTNGIVKIADFGLAREVSSNPPYTDYVSTRWYRAPEVLLQSSAYTPAIGDLLLPLSYAENFHHMWAVGAIMAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWPEGMYLPRSNTFKFFQIPPRNLWELIPNASLEAIDLIQVCNWVPRPVHDASQTKTNDPKAHPRLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLATHVPQRTEEEILLYSGFDNNPAKSGFWPLVPSDRPVGDVPTMPSWQQAYMVDSQASLPGFSGSPFGLSLQPSLLESHHHSLAPIRQVNFF >Dexi6A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:6A:25228509:25232661:-1 gene:Dexi6A01G0017400 transcript:Dexi6A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSEAPAAGDGPALRKRRRGSREGAAGSGAFPSGGKKLLGGGGEMTEDELARASGRMYGNGASAVACLHTQQGRKGTNQDAMVVWESFNSSDTIFCGVFDGHGPYGHFVAKKVRDSLPVKLRTQWQTSANGASSPHQNGSISGSINSEETGSVVDDEWGESINGDESDKLPEMFIPLKQSYFKAFKLMDKELKLHPTVDCFCSGSTAVTLVKQGLDLVIGNLGDSRAIMGTRDASNNLTAVQLTVDLKPNLPREAARIQQCKGRVFALQDEPDVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKAPESFQESESKEETVETTGEVSTTDASAEVDEDIADASVHISSEEHIATATLQHSNTLREVDEIVPVDEPPILKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGDKRSTSWRKRR >Dexi3A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:3A:11274074:11277116:1 gene:Dexi3A01G0015220 transcript:Dexi3A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRASRHRGQSHDQGPNTSHPQPKQQQANRPKHKQQRPQAPPPQPQQQQPDAAAGVGRVLGRPMEDVRASYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLAHRDDVDDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEKFKDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFASDPWPSISNSAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRTGLPKLGTKISESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGHITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRIDYQEFVAMMKNNSPEIVPNRRRMF >Dexi3B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:3B:14820832:14825478:1 gene:Dexi3B01G0019820 transcript:Dexi3B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGAGDKDANYSGKFGDDDIGGVDTAYRVIADHLRMVLAVASYCAQNEIASIVEDEVKIYQKIRAEDEQGYVDCNPAMTDSNLHPSLPQRKKERKNKKKESGKHKRPPQLPPASVENEDDTSQLLPTSVRNEDDMLDKIDESATNIEGAETEISSSPKFIASMHSTGVFYPMRQIDFLGHSTHIIYQDKNGPCPLISICNCLLLKGKINFESHWIEVPMEHLVHIVQDLVKQHLKMKVSYSFAEGPILDIVPTLAKGLDIDVKFNRTDGFTVTPGTMLFDCLEIPLCHGWLPYEEDMAGALSFSFETLSWAVREPHFPNAETLAKFLNGPQLTAYGLACLHEDVIENVPSVHYWNKHFSTIIKYNEALYTLVTDAGYLESNVVWQTLNDINGAGSYRSSDFMPIDFELGATPSGGEILAPLGSVAEIYFKSAPRRHRVSLKKFILEPGNEFSVKHTLIPAGTSVDVVATTRIGRIMALDILRPNRGLRIREIRELRVREIRGLRIREIRELRVREIRGLRIREIRGLRIREKRNMDAINEVCTVCQVFLGKLMSMESPRKKVEEEGKELGGEVEGSKQAGSKVEGSKQVEEDEPFELLSDLDLLYSHYLDLSLPIVLETLLCSPDMTTELWKWVKEVLREYDKPEPMDPAPWDR >Dexi4B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:4B:351524:354881:-1 gene:Dexi4B01G0000560 transcript:Dexi4B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRTAGQCVVARGDGDNPSLATDTVAGHAPSSRSRPWQWEAISLFGWPALDEACVGSLVEHASSGDSGENGNVEEPSSVSSMDQPCTMKIGHFSMASVKQELQALTLEQLPSRRLIVDDGNMESIHPNLTQVQLVFKDKLAYSDISCVSSPVAQFINSGDRSKNGDVNEPYGGCSDINHIGQGDMASGEEMLEPWGAEDMTEDMTKRVYDRNMGLLRPGLTREQVVVKVLHMIRCQQITEFNEKLYIDVPTCFFRFNIAFFDLDKECK >Dexi2A01G0031690.1:cds pep primary_assembly:Fonio_CM05836:2A:42429326:42433547:-1 gene:Dexi2A01G0031690 transcript:Dexi2A01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLNNMRHVHYAMAADDVPSPSEQSFKTCEHSGSSVVPCSRTSRRTQGIGGPEETGRPAAGCHCIYPPHARIHCGPDLTPSAPTPADGISRYCYPTLPQARPQPPPPSRGVVVGERGTEREMEMSRGWQELGVVDTIYEDDHEEEEEDDEERFGSPTMSSSAATSRSCSPELEEEVAAAAHRSLPPALRRAVQAWSRANGPRKPDVIIRVQEHRLPLHRWFGKGHRISATDVMTSQSSYLRRLLSDSSDITVALPSGLPLDAFVHAIASCYGSDEAAATLSPASLAATWAAAVWLELGVGRDPYGLARAVEDYFFQEVATDHDHAAEVLRCCTAFLAGEAAGPAADLLVRCLEVLAASAASGGDGGKWLEDVAAVPVEEFLVAVEAMRARFAHDHDLMYTVVDHYLENHKGKLTEEDKGKLCYNVNCTKLSQHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSHQHHAAAPAPGPLPAPVLKPSLSAGTFSGGGAAVDAASMTLGDILQRDAALRQSAHIRASMQATSLRIETLERELASLRTRLRRSEQQAEAEAAAGASAIDRAPGKSASFRIPRSRLWDGEELAPVGPRRAAFRDSNGRGFKSRLVHGFRNLFGRRQEAAGAPSACGEGASARTRCFGDKATGAAAARDLEIDDDEVLCMEERWRPHRRNHSLV >Dexi1A01G0031550.1:cds pep primary_assembly:Fonio_CM05836:1A:36470385:36470860:-1 gene:Dexi1A01G0031550 transcript:Dexi1A01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANPDLMRKAWMQKPGEGDSWREQAASAAEREWELGTGSCSWKAVALAEGGERVAGTEVRAEETGGSGGREREAEEDQLRVRLEGGSSAEGREQGEVEEATRRGGDGAAGAAAHGRRREASRWRVGVGFRRLNPIRERGAKT >Dexi6A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:6A:18007505:18007994:1 gene:Dexi6A01G0011880 transcript:Dexi6A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESSWYNFSDGDIYGSDTLTKKFPRGVPFVIDFAEWVVPHARRTSATRLCACRSGNSFCANATNGPGYVCKCLDHYDGNPYIPDGCQEPG >Dexi5B01G0029810.1:cds pep primary_assembly:Fonio_CM05836:5B:30933824:30936978:1 gene:Dexi5B01G0029810 transcript:Dexi5B01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASHRARRPPPPADPEPQAAAATPPRPGSASRRRARRVRVQSPSLAAARRGAAPPTPPPQPPPETPPVRWPLGSGDAGARPASRPGPGAGAALSVREIAAALWRMQPLQEPPPPPGKARRRAEVSIAARSLIMLFCWYEGKIVIKLQSSTKRPHTPDHCQHYKAVIQGRAGNRTVNNVPHEMEAHSAVRQIEPEMATKWSHQSKKASRGVDFDYTEHNLRDAGGEIYSLKEELMVAQDRIHELEAECRSTKKQLDHLVKNLAEEKASWKSREHGKVHHILDAVKEELNRERKQRQRADMMNSKLLNDLSEMKFATKRYLQDYEKERKARMLMEEVCDELAKEIAEDKAEVEAMRTESMKIRDELEEEKKMLQLAEVWREERVQMKLVDAKLTLENKYSQLNKLQDELEDLLCSQQGSNLEKRTVSEAKRLREAICSTKINGIKEFSYKPPPPSEDIFAVFEELKQREDTAEKVIVQCNGNRPKSCASKAYTVSPEADMFLENQGSRYCSQPRTCNEEAEDDSGWETVSQVEENGSSNSPGGSEPSVNGFCGANDASVSGTDWDENCDNDQAHSEISEVCSTTTRRSRNKRSFAGLWRSANSVDQKKMGSNIVNGRLSNARMSNVTESPDLKDGEVYDSPQSAGQWRPDLLNPDIVRAIKGCIEWPRGVQKHSFKPKLLESKIDGSKVQLRQALKQKI >Dexi5A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:5A:24899521:24901435:1 gene:Dexi5A01G0021010 transcript:Dexi5A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALFAAVASVPRSSSLLVYGVPGLVLLWQGGRLLNKLWWKPRRLERTLHAQGLRGTSYRFLTGDLKEFGRLNKEAWARPLPLGCHDIVPRVTPFLYNNVREHGKTCFSWFGPIPNVTIADPALVKDLLSNKFGHFEKPQLPALTKLISDGLTSHEGEKWVKHRRILNPAFHLEKLKTLQLMLPAFSACCEDLIDKWSQSLGSDRSCELDVWPELQALTGDVISRTAFGSSYLEGRRIFQLQSEQAERFVAAVQKIVIPGYIRMRQINKEVNSILRELIGKRIQAMKEGETTRNDLLGLLLESNMRHTDDNGQSSLGMTIEDVIEECKVFYLAGMETTSVLMAWTMVVLSMHPEWQDRAREEVIGLFGRTKLEYQGLSRLKTVNMILYEVLRLYPPAIVFSRRTYKEMKIGNVTYPAGAFIEIPILYIHHDPDIWGSDAHYFKPERFADGISKASKDSGAFIPFGWGPRICIGQNFALLEAKMALCMILQRFEFQLAPSYTHAPHTVMTLHPMHGAQIKLRAI >Dexi5B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:5B:4603133:4603552:1 gene:Dexi5B01G0006870 transcript:Dexi5B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFPFPSLGGLLARRGLLAYAATWTAVAVTAVAVAAFAPELAFVWAVGPGTPLSMACGGGAGGGDGFSVGLPLDGPPWDVVCVPAGMFGRAKPDVIVPLVFAVVVVTAAVWFTTAVGVWEDDHDDEELSSPASMDQV >Dexi4B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:4B:5418686:5419285:1 gene:Dexi4B01G0007540 transcript:Dexi4B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVSVAVPVLCVAISALELAILLDPQRTPSGTQQAPPPLRGAIWAFLPLPAVGALFASVALVYLHFYRAAAATAAGHRRLPELVVFMLCASVAFLHFFLFVQEAPAPGGVDYGHEAARELGLAALRALPAAATASFFLGMMLIIVGHIRAGGEGGGGAVAVAGHGPIEAPVVGILVKVASAMAAALVLLMGMAVLFR >Dexi3B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:3B:6249517:6251169:1 gene:Dexi3B01G0009060 transcript:Dexi3B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGIAVDDECKLRFLELKAKRTHRFIIYKIDDKKKMVVVEKVGEPVLNYDDFAAALPPNECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDSAEVGLDVIQGRAN >Dexi6A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:6A:25201049:25203580:1 gene:Dexi6A01G0017350 transcript:Dexi6A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTILVIFLPKSANPESGPGPEANGEEHAMEKKPRAGADGDEGGTRGEASGGSESWGLVERLPEALLVEVLGRLEVDDACSAAASCRALHGAASAAISAITTIDLSAFAPSNAILSRILAGNVSVRSVSVNCSLLDDSAASVIAKGSLRELSLLKCSFTMGFFAAIGERCGNLRSLKLEMAVAPEVLYSRSSRFSTFLEPIYAGCGYLETLWVKFPLLDPLTADYETGLPSVPGTVKELLLQPVSHLRAKTVFTKAPSLKKHVTGSLESLSLVLDTITDELVTLITSNVHKLVELCLEDEPVTQPTLPEDLTNIGLQALGLCHNLRHLSLTRRYCDFRRVNDFGILMLADGCKQLRTIRFGGFSKVSDAGYAALLHSGKDLKKFEVSNGLCLSDLACLDLDKAAPNITEVRLLNCALLTSDTAISLAPCTNLKVLDLSGCKSIADSGLVSISQLPKLTLLDLAGADITDAGLSALGNGRCLISSLCLRGCRRIGSNGIASLLCGTGTINKTLVSLDIGNVPRISCRAVTVIAKNCEQISSLCLRNCLLITDSSLEVLGSMGRDSGKCSLKMLDLAYCSKLSRNFLRLFEPPLFRGLRWLGVGKNVVQRRGCSPTVAELLERKPGLIICGNACDMGCRNKCHPDIRFVQ >Dexi4A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:4A:20507963:20508775:-1 gene:Dexi4A01G0016770 transcript:Dexi4A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEASFPGGSGPDLAPAPQTISAGILTNLQLRQREVYQAVFAAGGSDATVQGLRGATGLSGDAYRNQVRSLVRMGVLKEVQDARNIRRKLYMAIEFGPTGEVSSGGSWYHDERVDVDAVAAARRRCLAQVARFGAATVDMIRAGITRDEPRAGGLRRRSWKG >Dexi7B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:7B:16530859:16532746:1 gene:Dexi7B01G0008790 transcript:Dexi7B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVHDLDEVAAASAVVFASRYVQEPLPRYELGEKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDKLMLEAINKNYADMDEYPNRCVNIIARLFNAPVSAGEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKTYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGCYVMDPDEAVRMVDENTICVAAILGSTLTGEFEDVRRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFLYPELEWDFRVPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEDLIFHINYLGADQPTFTLNFSKGTARSNAFHFLRLGFEGYRNVMENCMESARILREGLERMGRFTIISKEQGVPLVAFTFKPGEDTSLAFRLSSELRRFGWIVPAYTMPANLEHMAVLRVVVREDFGRPLAERFLSNVRMALDELDDAAKGGPVPKMRVTIELEPAPRGSGEEALARVVKRESVVAVQRSVSLAGGKTKGVC >Dexi3B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:3B:4274673:4275886:-1 gene:Dexi3B01G0006160 transcript:Dexi3B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLPSCCLLLAVLLVAVAVATAFDEAAAAAGPEPEPKPEPKPEPKKPEPKPMPEPKKPEPKPEPKPEPKKPEPEPMPEPKKPEPKPEPKKPEPEPKPEPKPEPKKPEPKPKPEPKPEPMKPKPEPKPEPKPQEPKPKKPDPPHMPPAADN >Dexi5A01G0024220.1:cds pep primary_assembly:Fonio_CM05836:5A:28105495:28110824:-1 gene:Dexi5A01G0024220 transcript:Dexi5A01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARGDDRQSNGTGRDGGDKEKKDAKKVSLLGMFRYADRLDVLLMVVGAVGAVANGVAEPLVTVLFGNVIDSFGESTAQSIIRKVSKVVLNFVYLGIGSAVVSFLQVSCWTTAGQRQSARIRSLYLTAVLRQDIAFFDTELTTGQAVSRMSSDTLVIQDALGEKAGKLLQLTSSFFGGFIIAFTRGWLLTLVMLTSLPLVAIAGAVAAQLLTKVSSNKLTSYGDAGDTVEQTIGAIRTVVSFNGENKAVAMYKRFIKKAYRTDILEGLTNGFGMGSVFCILFCSYGLAFCSLGNAAPSFSAIAEGQSAAYRLFETIERKPEIDSSDTSGMVLEDIKGDVELKDVHFRYPSRPDQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNVRLSWIREKIGLVSQEPVLFMTSIKDNIMYAKEDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGSQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGPHDALVKDPNGAYSQLIRLQETRTDEKQKIADSEVPNSRSKSTSLSLRRSLNKDSFGNSNRYSFKNPQGLSVESYEDRIRGGQITEEPSDVMVLKKAPIGRLFKLNMPEVPVLLLGSIAASVHGVIFPLFGILMSGVIKSFYEPPDKLKKDTSFWALISVVLGVGCLISVPAEYFLFAIAGGKLIERIRTLTFQSILRQEVAWFDKASNSSGALGTRLSVDALNIRRLAGDNLALIVQSIASLTTGFVIAFSADWRLALIITFVIPLVAAQGYAQLKFLKGFSEDAKDMYENASQVATDAVGSIRTVASFCAEKRVVTTYDEKCEALRKQGIRSGIVGGLGYGFSFLVLYLTYGLCFYVGAQFVRQGKTTFPDVFKVFFALVLATIGVSQASALASDATKARDSAISIFSILDRKSKIDSSSDDGMVPENVTGNIDFNNVSFKYPSRTDVQIFSDFTLHIPSGKTVALVGESGSGKSTIIALLERFYDPDSGGISLDGVEIKSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKSGEVTEEEVMAVAKAANAHEFISGLPQGYDTVVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTVVVAHRLSTIKGADMIAVLKEGKIVEKGRHEALMRIKGGAYASLVELRSKSE >Dexi1A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:1A:8850736:8854438:1 gene:Dexi1A01G0010240 transcript:Dexi1A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRPGGGGDSPARWDNDGDRVEGLAGLHIFDQEADKSSAKNGMANDHDASCTPVPNRCITDTTETSVEAEQGKCFYDEPLHEHTGIWVPVSVPPMTAQDREDWHKGFGCNGGYFPEEEFSWELGEENKEMTMWDVFADMVVAAKSKMVSAATYDFGRHGMSVVSNFFLQEAWKDMAQTLADANAGVANELLETEPTKWLPDSAANACMLCGVGRLKPEKAIPDAILRQAKGLAIVTVVKVGMMVTYKLGTGLVVARRADGSWSPPSAISTCGVGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHIGRVAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVKASDILLGSMARPPAASPLYKALSELMDRVGK >Dexi2B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:2B:7917110:7918909:1 gene:Dexi2B01G0007690 transcript:Dexi2B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTLQAFLMLLNMALAPWLCLASSSAPLLLSSDTTTAAAALRDYPQVQFSTASATPETYNAFITAVRAALVSESTGQSNGIPVLPAIATYLNVTLTNKAGYAVSLKMDVTGAFFTAYEAGKASCLLKRSPSGGAFSSATCYVDPWALTSASASPELVAGDDLGGSAATATATATWKAKDLDEAVSSLYLYPTGNATEKKLSAAVSAIDVMIASAATFPYVQRRVSAGMRDGNGVSDDGSLQTLRSRWPTLSAAVQESFQGSLAVPVSIQRSNGAWITVDNVRTAAPLVSFLQHDDCKTTTSSSSSSSSSSSQSQFPMVIRSVVEEEAESTMVAGVGAPAKCSKAEPTVRIVGPEGRCVDVPYNWYYSGSQVQLWSCKSTADVNQLWTFKRDGTIRSNGWCLVTSGSRVVVDDCNKCTASSSVWEVRADGTIALKSTGLVLAVTSSSAFAPVTVRKDDRGTGQSWTPTNVTSPVTAPVVGYGDLCLQVDFAGAVSLAACGGDGVAWSLYPDGSLRPPAWLFLQWRCLAADASGKVAVKYCDGSGSACERWVFRSDGTILNTGTGMVLDATPSKSKRGCYDVVVSKATGSATQQWALML >Dexi3A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:3A:10787859:10794336:-1 gene:Dexi3A01G0014700 transcript:Dexi3A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTAPLMNYVQEHPDIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLEYTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPVLAAKICSPRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSYGTLVTASPETVDGMLESLGDLLKLMDITSSENVLPTTYGSLRPPLGKHRLKIVEFISVLLTIGSEIAEKELISQSAIKRCIDLFFQYPYNNFLHHHVENIIFSCLEVKRNQLIDHVLNDFDLVGKVLAAEKKSSLSVDSNGPTLPSEGKEPPRIGNIGHITRIANKLIQLGNSSSVIQSHLQENSEWAEWQTGVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSPSPNSEETSFNMEETDEVLIGEATGTESQLESAVLENGPVEETKELTEDAKHNDASTDDEKMLCVEEENVSQETEASEQHVDVPDGQTDTQVGGASEGPSIDTAADEPCSSSEPDNALPESGDTDQSADSSGPSEAAHESASPAKVDDEEKVEAATTNE >Dexi9A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:9A:4901856:4902370:-1 gene:Dexi9A01G0008300 transcript:Dexi9A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSLSGGGAAAGGSDPRQPSTAKPYAPPKLSPQDLPIDYAGFLAVVFGVVGVMLRYKACSWIAIIFCAQSLANMKNFENDLKQLSMAFM >Dexi1B01G0021780.1:cds pep primary_assembly:Fonio_CM05836:1B:27604441:27605608:-1 gene:Dexi1B01G0021780 transcript:Dexi1B01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRSPPPFLAVANKTNKQGSSIIPLSQQVEQFATLQRNISARISQGAADTVLSRSVFLVSTGGNDLFAFFSLFSSKNSTPSDADKRQFVGNLVSLYQNHVKALYVLGARKFAVIDVPPIGCCPYPRSMHPLGACIDVLNELARGLNKGVKDAMHGLSLSFPGLKYSVGSSHAVVQSIMKHPQRLGFKDTTNACCGSGRFNGKSGCTPNATLCENRHEYLFWDLLHPTHAASKIAAAAIYNGSLHFAAPVNFRQLVEDES >Dexi2A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:2A:25255018:25255970:-1 gene:Dexi2A01G0014970 transcript:Dexi2A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSYREWSASEMKLVKSLIAEHNTDNMNKEHTNIVEELQERFPWKEKRQVTNLYNSIMLETMQTSNENEVASRNYVSCNLTMSVGDSSTRNMDTLCGHHTEEIGDVRQSEGVLQRQPTPEKQESQPRFWTPDEHRRFLQGLREYGRGNWKNISKFCVRTRTPLQVSSHAQKYFLRLENSDRKQRYRVNDIGLDDAEPWALKNPSSREEGPTFTAGTYNPNYQAFSGERPKYHANPGHIPIVVPRQPGKQQE >Dexi1A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:1A:5548118:5548426:1 gene:Dexi1A01G0007210 transcript:Dexi1A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPLWATSRAISPSGKPKIVEFVNEGERRNSYFLVGGKYTRRPPPPPLPSPWAIQIHLLAARRAANSKSSGSHNANLHSTRLRPRHRGRCRSSRFDLVIL >Dexi5A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:5A:3509544:3511993:1 gene:Dexi5A01G0004650 transcript:Dexi5A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPSRARGLFDGIPHRGAAAAAGYRAAPERDGDAIAAYVGMLARGERPDAYTFPSLLKAVARAPPSCARGVAPAAAVHAHVLKFGMERSAHAASALVSAYAAGGRGASARALLDACGRGMSVAWNALISGHCRGKRFGESCRSFADMVRDGATSTPVTYVSVLSACGKGRDLLLGLQVHKRVVESGVLPDLKVENALVDMYAECADMDSAWKVFDGMQVRNVVSWTSLVSGLAGLGEVDRAREVFDCMPERDVVSWTAIIDGYIQAARFREALQMFRQMQYSNVRADEFTMVSVITACAQLGALEMGEWARVYMSRRGIRMDVFVGNALIDMYSKCGSVERALEVFKDMHSRDNFTWTAIILGLAVNGHGEEAIDMFHRMIRVSEAPDEVTFIGVLTACTHAGLVDKGREFFLSMIHSYKIAPNVVHYGCIIDLLGRAGKITEALETIDQMPMAPNSTILGSLLAACRVHGNLDTGELVAKRLLELDPENSMVYILLSNMYAKSNRWEDVRQFRQAIMEKAYGLLAHRLFEERIVLIKVLGCCDLVFR >Dexi6A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:6A:4820814:4822373:1 gene:Dexi6A01G0005290 transcript:Dexi6A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPYPGSGNINPALQLSKLLRRHGVFITFVVTEHNLRRVQAAEGAMAGGCEGFRIEAIPDGMLDADRDGQDYDIGLSKATTQLFAAPLRDLVARLRNGGGGVPPVTCVMPTSLMSFALDVARELGVPSMVLLGFGAAPLMAQMRLRELKDIGYLPLKDESCLTNGHLEKTIIDWIPGMPPISLGDVSSFVRTTDANDFGLWFNITEANNCTKAGAIIVNTFDSLEADVLAALRAEYPRIFTVGQLGTMLRRRDLSNVDKESGSDSIDLTLWKHDTECLAWLDSQEPGSVVYVNFGSLTVLTVGELAEFAWGLAATGRPFLLVIREDLVRGGGGVAALPPEFLVETADRRRVATWCPQEQVLRHRAVGCFLTHTGWNSMLESIAAGVPMVCWPVFAEQYTNRKYACEVWGVGLRLDEEVRREQVAERVKEVMESEEMSKNAARWKEEAEAAASPGGSSYENLLGLVKALEDEGSLLNSKA >Dexi9A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:9A:953649:956237:1 gene:Dexi9A01G0001820 transcript:Dexi9A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGQNRGDLALLCGLALLLLPLLSHGADMPLGSTLSPSNGGSWSSPNNTFSLSFTASSTSPSLSVAAITYAGGVPVWSAGASAAVDSGGSLRLSSTGDLQLVNGSGAVLWSSNTGGKGVSAAAVQESGNLVLKNSNGTTLWQSFDHPTDTVVMSQNFTSGMNLTSAPYVFSVDKNTGNLTLRWNLGNTTVTYFNKGYNTSFTGNKTLSSPTLTMQTNGIVSLTDGQLSSPVVVAYSSNYGESGDMLRFVRLDGDGNFRAYSVARGSNSETEQWSAVADQCQVFGYCGNMGVCSYNGTSPVCGCPSLNFDLTDASNPRGGCTRKVDLANCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGTSCVASTALSDGSGLCYLKVSSFVGAYQSAALPSTSFVKVCFPLMPNPTPGSISPSSRGGAGVRGWVVAVVVLAVVSGLVLCEWALWWFFCRHSPKYGPASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTTVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFSGAGGGDAKTMPWATRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDDQFNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLEIVSGHRNFDVSEETGRKKFSVWAYEEYEKGNVMGIIDRKLIGEDVDMAQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSTTTGTTTGSASGVTTSMVSTVASPAPIAAPPAPTTQNMEQEMTLNRSASSRNRERVSRQLLSPQPYMTM >Dexi5A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:5A:25308766:25310133:1 gene:Dexi5A01G0021490 transcript:Dexi5A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRLPTQPAARGLLRRTPPRILLPVERAPRRLALGGRAAVSRSPGPAGSPVPRRNPAPADAAAVTPTSAPSSAASAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSQREQAALDEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVSRQ >Dexi7A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:7A:22294543:22301034:-1 gene:Dexi7A01G0011950 transcript:Dexi7A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESKASPVGDKGRAVSLDGGTATSSGGSIAGSGSLGRWCSKLKRWSDGSSVRERREKRERGRKKRLSINVSILRGSTYDQKKALINLVNQSAGMSSSNMRTDRPRRDVSPACRSRQDVKKTLDPAKVYAEDKLGAINWSSSSNKSNASPLNMVSAKGMTKELESKKKPSSVVARLMGLEDDLPGQEATLQYAKRNLKKSHLNVNSSERNSLRQYQEQHNSNMATRDIHIGHKETVEFKDVYEVSEEPLRTYHLEDQTFPRGTSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQDALEVLSSNRDLFLKFLEEPNSIFSKQLAGLHRSPSPPQTKRITVLKPNKSVENEGRRDITKRMDEENEHMTPRSHRRSHSAEVTFSQPTRIVVLKPSPGKPSRTMAWLTPQATPAQLTEKKDFYGGLEGHDYLPDGLHRRDESLLSSVHSNGYGADESSFSRSEVDYVDEEDGNLSDSEIVSPVSRCSWDHIKRYNSPYSGSSFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEQMQLPRSSSTLGEMLSLRGAKKQGGGVVSVSSRRQYDAENESVQTTRVSTFVENEGDGQSSPKNLPRSKSVPVSSSMFDNIAPKARSSNSEGCKTNVVTRSDKGKLSLKGKVSSFFFPRSKKQSKEKLTLSATSSDEKVEVTCFGSMKPEAAHNIGTDENMSFHEGKGDSSTAQTICSSKDIVSTEVPISSACPSGHLDGLRSGGLNCSHDEPSPTSVLDASFEDSNINESESSRSITCDNDRTALRSDAIESVTCSLSWEEMSSPSPLLGMTKLTPLNSVDNDELECVAFVQRIMSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSSQKLLFDYVNMALAEIGQDTLLRAYPWSWSQARSMALKEALSLDLVEEVPRHMRDWLYGSGKFAVSDNDDAGTLLERIMQQEVEGRGWMKSMRWELDEITEQIAWEVLEELVEEAVDDLTLFSSQQEMPLTIPNL >Dexi8A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:8A:650295:651501:-1 gene:Dexi8A01G0000970 transcript:Dexi8A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKGKSLVSGGGGGGHGGSEMMEAMRRQQELVMQLRALVLPLLYAADATSADVAVQLFDDVIGCNISVASKLEGFLMMTTTTGAGGGPVDDLLDDKSLVRKSNSTTTAGSGGRTTEQAKPNGVGQKRRRNDKRSRSLVTHVPHYDGHQWRKYGQKNINGRQHPRNYYRCAYRERNCLATKTIEQQERNTGTSSAMAGEESAKYTVVYYGDHTCKDYSNSMSMAQTPRQHVNMDLRNGEMVQTTTNAQELEADLDLPALLEAFEGSLINLDDWNEDIISSSPV >Dexi9A01G0038120.1:cds pep primary_assembly:Fonio_CM05836:9A:42414308:42417495:-1 gene:Dexi9A01G0038120 transcript:Dexi9A01G0038120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLAAHGLGSEVVILFRKMKSEEVVPDGVTFAALLTACSHCGMVEEARHYFNSMARDYSITPEVEHYGALVDTLSRAGLLDEAYNFLELEQLKSLHTYNAEEKISGVKTEWPATILVFDIETSGFSRRDDRIIEFAVRDLMGGKNSTFQTLINPDRDVRNTYVHGISNSMLCRPDVPRFGELIPILLQYVWSRQMDGKPVLWVAHNGRSFDVPFLIFEFRRCKVEMPGDWLFVDTLPIARQLVDSKGSKLSSVSLEKLRERYEIPLTGSAHRAMQDVTTLCYVLQKLTFELKLTVPQLLEKSFRPSDLPASRPEK >Dexi5B01G0031770.1:cds pep primary_assembly:Fonio_CM05836:5B:32423927:32429803:1 gene:Dexi5B01G0031770 transcript:Dexi5B01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPSAVAREDVSSSHFPASNAKKKPHQPRNGAAGGGGGGGGQKRLTVLGEEGCEVTGIDDKYVLDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKTMDTDNDGIVSYEELKTGIAKLGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELREALVDDGASDSMEVVNDILQEVDTDKDGKISYDEFLAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVELGVE >Dexi9B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:9B:14932245:14932724:-1 gene:Dexi9B01G0020160 transcript:Dexi9B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAFPTTGSPASADPPLAASACAAAARRGAPSPAQARSTCGRRNLSGAAPTARIDAKASHAAPHRRARTQAPISRAGRAREWLGDACPWLWGAPLSRWRCCGRVDGVWKAVQRVEKMARGGGGGDRDGGGGMVAMVFYGVGSAELSD >Dexi1B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:1B:23789753:23790133:1 gene:Dexi1B01G0017470 transcript:Dexi1B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKALLLLALLAFATVLATAAGRQQARDDKKTEKMIVNDVATGVEDWHGSGGGSDQYSHGCEYGCCHRVYHGGCQRCCQPGGASTPEVNN >Dexi3B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:3B:1482239:1486041:-1 gene:Dexi3B01G0002110 transcript:Dexi3B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKLLEYADPSHFLSPSADLVTAARAASEHIYSSLVPLSPGQPPSTLLTDPSFDAEQIWSQIELLARPLLPHLRRQLRCLEQQPPSQTQAALPLPVEKPADSEEEEEEDGQGSELDELKELEETDDEEELSDDEENEEEEEEELDQRAHKGLEDQFLKIGEMAEFLDKGDEEEYGGGANRGEKKKATKNWMAESDDEGDEDRDEEGDEDDDDQLDLEDFEDDDEDGEGEDGGGIMYKDFFEKSHNRPAKKRDGSTKKVQFKEDTHEIELDGSENDDGNEEQGLSTHEKEQLKARAKIEQMEKAALEPSAWTMQGEVTASSRPINSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPSKAPKEHKELDESKSKKGLAELYEDDYARKAGIAPAPLAISDELKQQVIEDMSVQANVPALAMEEIAPVAVSDAAMLAPEEVFEGKGDVKEEGELTQAERKRRANKKRRYAASHKERPAKLQKD >Dexi9B01G0047140.1:cds pep primary_assembly:Fonio_CM05836:9B:46332902:46335805:-1 gene:Dexi9B01G0047140 transcript:Dexi9B01G0047140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVPGGALLGVRTRSRTLALQKAQRPLDKGEPDHTAAAGEYLELRSRRLEKPPHKDPAAPATTPKRGAARKAAAAASAPALPDDDLEASFGDNVLDFDAMERSTRETTPCSLIRNSEMISTPGSTTKSKTSNSMTSHRRMEASICRFVPSSLEMEEFFTAAEQQEQHTFREKYNFCPVNDCPLPGRYEWSRLDC >Dexi9B01G0029960.1:cds pep primary_assembly:Fonio_CM05836:9B:32456153:32459882:1 gene:Dexi9B01G0029960 transcript:Dexi9B01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPPSLGAPSGWGGCASPAPAMGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNTPLHFAAAKGHLDIVTMLLEKGADVNARNYCGQVTRADYLSGRTALHFAAHDGFVRCVRLLLADFVPSVAFEDITSSVVDGGDCQTNNGSSPNSSLGQKFNEPARVRYINKPADGGVTALHMAALNGHLDCMQLLIDLGANVSAVTFPYGTTANLIGKCWFLAQKNAIFLNSKCGFNNTLVEQELALLISKGASRLTLNCNGWLPIDVARIFGRHSLEPLLSPNSRSSVPVFQPSSYLALPLMSILNIARELFFYLMCICREFGLQHTVPAADDSDLCSVCLERSCSVAAEGCSHEFCIKCALYLCSTSNIRVEFTGPPGSIPCPLCRNGIMSFNKLPSTPMEGLKSSSALTFCNPCILNTRSMDSPATVSKAEIRRNCVAAVSSELVCPITCSPFPSSALPTCRCSDDDPFGDTEAQDGSEVQSPRPSHSASMELDKRGGEDLDRTSCSGMFWSRRSCHREQQCDAEINA >Dexi6B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:6B:16103862:16106216:1 gene:Dexi6B01G0009960 transcript:Dexi6B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTEREADTSAGLRGFDVIDAIKEKLEEACPGIVSCADILALVARDAVLLELDPAYLDDLRSKCEANRGEMVEMSPKSSPRFDTSYYSDVLHRRGLFRSDAALLADDSTRAYVEQHATGSVDDNQEFFGDFGNAMVKMGNIQPVTTDGEVRTKCSVVNDYV >Dexi6B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:6B:21806470:21808018:1 gene:Dexi6B01G0014180 transcript:Dexi6B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAATRRKLQRKFRLRGFTLKVDALEEAAAFLERFPDAEDDALDLLLDELDKEPLQSSILDRDAIRRVVALLVEAEEAVDAASPAVTSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLAIHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDTVVAEDSSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAIS >Dexi1B01G0023750.1:cds pep primary_assembly:Fonio_CM05836:1B:29273449:29276224:-1 gene:Dexi1B01G0023750 transcript:Dexi1B01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTQFRIRNPSPAAASHFAGERRRPGGRASFRAMSSAAPVEEPAAPEAKQRPSGDSFIRRHLRTLSPYQPILPFEVLSARLGRRPEDIIKLDANENPYGPPPEEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTEALMKGLNALQL >Dexi9A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:9A:2297116:2298667:-1 gene:Dexi9A01G0004290 transcript:Dexi9A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLRAAAAGKGKKTSDKREADAAGTDGEYEDWEDYRKACSTLEGDQEFVWLWEKLLSNAKLIEHFLTDMRYRLKY >Dexi3B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:3B:14233438:14236743:-1 gene:Dexi3B01G0019210 transcript:Dexi3B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSQSIVRSSSSREQYGALIKQFGDEMKLNGFGTGRSLPRQNLQAVAKGSVHSNSARLVIPRPKSGVAGSEGTLKHTSSDGQLNRERPSDRSLKEASAKQDRKGGGLSLDIPQLHVVRPSADESPGALDFSFHTDEQSQRLQRVCSSPAPFYLPDAAPPADDSRGRSASMEAIGEVSKPSPKPRRRAKSPIPRRVINDVFKEAKAATKRFSSPQRQRKPTSPRSPDDSPPLGFASLRTPSRLKINRRTSSWPKRNLDDDGSAKVAALEILERWTVDRSQLLIGHRFASGAYSRLFHGIYKEQPVAVKFIRQPDEGEDEELSARLEKQFTAEVTILARLQHRNVIKLVGACNSPPVFCVITEFLSGGSLRAFLRKLERKTLPMEKVISIALDIARGLEYIHLQGIVHRDVKPENILFDGEFCAKVVDFGVACEEAYCNLLDDDPGTYRWMAPEMYKHKPYGRKVDVYSFGLLLWELVTGSLPYEDMTPLQAAFAVVNKNLRPAIPPSCPAAVKFLIEQCWSWQPEKRPEFRQIVLILENLKTVLERDGILDKIPSFICQPQECNDQNKKKVSNWIQRLSYTQPDFSGRPPPKLL >Dexi7A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:7A:25889813:25892131:-1 gene:Dexi7A01G0015980 transcript:Dexi7A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYTRLPGAAAFAALVLLMLLYAAHRWRNPRCHGRLPPGSMGLPLVGETLQFFSPDDDDSFDVPRFVRHRLARYGPIFKTSLVGHAVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGAMFRYLKNMVLRYFGPESLRESKMLRDVEHAVASSLCTWSTLPAVELKEAVSTMVFDLSANKLLGLEPSRSKVLRKSFFDFVRGLISFPLYLPGTAYYSCMKIGTDCCSIDRCIQLLSRTNKSAQACTFVCHFQGRQSAMEVLQEVLEERKRSVQVHGGAGGNERAGRYGDFLDCVVQEITREKPLVTDKMALDLMFVLLFASFHTTSLALTLAVKLLADHPHVLEELTVEHETILNDRKAGHGSDGITWMEYKSMTFTSQVINETVRLANIAPGIFRKTLKDVQFKGYTIPAGWGVMVCPPAVHLNPSIYPDPLTFNPSRFKDKPEINRGSRHFMAFGGGLRFCVGADFSKLQMSIFLHLLVTRYRWKNLGGGKIVRTPGLEFPDGYHIQIRHSD >Dexi1A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:1A:24196217:24198958:-1 gene:Dexi1A01G0016930 transcript:Dexi1A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVESLAELFAGPGSGESVEWRMPENHHEDSPFHLVRLPGDERLAAQVANRSLLVKGIYELWGHGTTYEELEKSVMEYPDERKLPFLTPDSTFKIIVDSFGKAISSQEQNDIMKSLTYIPFKGRVNLKKPDHKFFVMETDDYGSNNGLPPVAKRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFEQYKLPEPLSLLRADNNLPPWRPGLKEMFDAIICDPPYGVRAGGRKSGGRKLIKGVKGPYTVPDEKRDNHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFYPVLRSEDGAASPQFPEHPCFKLIASCEQILSYRYSRVLLTMVKVSPYTEEIERVAKERHREFRENHQKWMEEGNLHSAVFEPAQDGKPDKELKPKYRGKYV >Dexi6A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:6A:26420214:26421224:-1 gene:Dexi6A01G0018700 transcript:Dexi6A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAQTTIVDPASAIVEFNVNYEETKYLAAGKAVQSDAISACGLTWRINYYPNGFREGLKRASIDEYLFISLELLSKSSSVVAIFQVLLVDKDGQPKYAKNGQIRFQCIIKASHDNSILVPPSDIVKHLGTLLDSADGKDVSFGVGDETFHAHRAVLAARSPVFKAELLGSMAEATMPSIALHDIAPATFKAMLRFMYTDALPGDDELIGDSPLEMFAPLLAAADRYALELATTLACAEKYSCLELKHKCIGFFAVEKNFKKAVLTEGFVRLVQEFPSIINELRDGAGT >Dexi9A01G0046790.1:cds pep primary_assembly:Fonio_CM05836:9A:50051998:50052481:-1 gene:Dexi9A01G0046790 transcript:Dexi9A01G0046790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFVLDKLRGSRNVPEAALAVIDKSEMSDVLAAPLRYRPPLSLIAASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGEALAGEEDAKGSSMAVENERIEAALREYARIRRWRSVELIATAYTVGFIQQSNNVICSRGSY >Dexi5A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:5A:13201510:13216829:-1 gene:Dexi5A01G0015540 transcript:Dexi5A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPPPWWLTTESCTLPSSLSDAGLGDWAAFLFLSTCSQRLLLSAASALFLVALLCLAAAKLLSTHRRRRQHGALNGDDKQPLLDRGDPVAVRLGSGFVVPLAASASLAAFYAVLLVLSLVTRRGDGEEALEAVFLALQCAAHLAAAAVVAHEKRFLAATHPLALRLYWLASPALTALLAATSVARLASGLASLPDDALAVAALAVSLPLPLIAISGATGIAATVSTPASPTGEEDGSNKDDKNVTPYATASWASLATWAWMNPLVQRGYRATLELSDVPSLAPSHRPERMHESFTKNWPTTSTKDSSNPVRHTLFRTFWPLFLLNACLALLRLTVMYVGPTLIQSFVDYTAVGGERPLGEGARLVATLLAAKCAEALCSHQYNFHCQKMGMQIRGALIVALYRKGLRLSCSARQRHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYTYLGPPVTSALVGVAGVMVFVVLGTRRNNRYQFSLMKERDQRMKATNEMLNYMRVIKFQAWEDHFDARIRGFRRLEFGWLSRFMYSISGNIIALWSAPVVVSALVFATCVLTGTHLDAGLVFTATSFFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDEGAVEREPAGATGGGGPAVQVTEGVFAWDDEVEDGQEVLRGIDLDIRTGALAAVVGMVGSGKSSLLGCILGEMRKVSGKSGDSAYVAQTAWIQNGTIEENILFGKPMHRERYKEVIRVCCLEKDMEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDSDVYLLDDVFSAVDAHTGTEIFKECVRGALKNKTIVLVTHQVDFLHNADIIYVMKDGMIVQSGKYDELLRPGSDFAALVAAHDSSMELVESAAPASEVDRELPLSRQPSSKNADSSSTSSSIVAPKQATDKASARLIKEEERASGHVSLAVYKQYMTEAWGWWGPLVVVGVSIAWQCSLVASDYWLAEETSDENAATFRPSLFINVYAIIAAVSVVLVAARSFLVAFIGLQTADKFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWMSVSMYITVISVLIVTCQVAWPSVIAIIPLVILNLWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKEESFLQENLNRVNASLKMDFHNNGANEWLGFRLELVGSFVLCFTALLMVTLPSSFVKPEYVGLSLSYGLSLNQVLFWAIWISCFIENKMVSVERIKQFTNIPSEATWRIKDCLPDANWPSKGDIDIIDLKEPVLFEGTIRSNIDPLEQYSDDEIWQALERCQLKEAVASKPEKLDASGQRQLLCLGRVMLKRSRILFMDEATASVDSQTDAVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPANLIERPSLFGALVQESTPPPRWHSIRKALPRFGAAAVSIGAAAFEFIPRLLLGIKPNLDALALHAGYAGVVREDEPSTLPPNHPHTLRLRRMAADLIAAASDEKTFARHPRLWARIRRRQLKKINWKVDVNKDWSIHAYSRYVGEVRVSIGSLYLNWKDADVATILGHEVTHVIAGHVMEQLKKRACVSVLVNFTEELLDVPGDKIAREAWEALYMRPHYFR >Dexi9A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:9A:2665796:2667373:-1 gene:Dexi9A01G0004860 transcript:Dexi9A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSRAKVVRLKSHHDKFLYADEDEAHVTQDRDGASPNARWSVETVPNVPGVVRLRSRYGRYLTASNEPFLLGMTGRKVLQTAPDRPGSSVEWEPVRDGFQTRLKTRYGHFLRANGGLPPWRNSVTHDVPHRTATKDWVLWDVEVVQAATPGPERAGSAPVKMPDSPPAPELSNPPPQPHHRPSKSYAAPSPPPPLEPDARPGPRLPKLESSDSFSAPLHKVEGRAIHYHIADDLGNVDDDKKGHSFTFNGSNLEELAQKLQEETGLDDIIICTRSPINGKLTPLRLQLPPNNAAMHIVVVQESSK >Dexi5A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:5A:829246:831444:-1 gene:Dexi5A01G0001230 transcript:Dexi5A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGTTTTTAMEFWPEFLASSGGREFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPLSPGIVDAPSRPPSAARLLRGILRAEGPAALYRGMAAPLASVAFQNAMVFQVYAILSRSLDPESSTTTEPPSYASVALAGVGTGALQTLILSPVELVKIRLQLEAAGHKHRRPGDHHGPVDMARDILRREGLRGIYRGLTVTALRDAPAHGVYFWTYEYARERLHPGCRSGGGESLATMLVSGGLAGVASWVCCYPLDVVKSRLQATTRYRGVVDCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELAL >Dexi3A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:3A:4397424:4397886:-1 gene:Dexi3A01G0006660 transcript:Dexi3A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYALKVLILVVQTKNQSRRQKKLKAYDLSALSEFLPEPAALEQKAETKLNCKSRQTLVLRETAHLKAVLNNPQFQLDPFAAIHQHLLATQPPAAKKDDARKHGKDSKDKRRRKKKSASASSQAMDI >Dexi4A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:4A:12819822:12828848:1 gene:Dexi4A01G0013390 transcript:Dexi4A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALLNRRFPTNSDEYKLYEEIGEGVSATVYRALCVPLDIMVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAYCSFTHGHQLWVVMPYMAAGSALHIMKTSFPEGFDEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDSNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSLFKHARSAEYLARSIVDGLPPLGERFRELKRKEAELLLNNKLGQETKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSSNGTCHIDARDNKSKDDSQNAHNAPEHIYQERVNHVSSGMPEEDEIQEVEDLNDALSSSFPSRPLEALKDEFRQKNPSNRNRSGPLLFRQMKDSRAHLPVMLFAQVVLSHPFLVAVAPEEPSEGKIIRRRGRFQVTSDSISQKVAASACNSSRTNLPIGVTRQNLRPSAILPTLQFLMQQSTMQKEVLSRLISSIEETSDDSEASTSGSIQVRNIESDFLVEGLSEKRNCSPMLSNCSEVSLNLSTKCKD >Dexi9A01G0039300.1:cds pep primary_assembly:Fonio_CM05836:9A:43326057:43326642:1 gene:Dexi9A01G0039300 transcript:Dexi9A01G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPHLLSSAHPAAAAASARKHPGPIDERFSDPSPHITKRPRVAADAAATVGSAPYPEEEVRSLVIMAGGIYPLARAEALRGLAAVLEKAGTGCCDGAGIVECCYGCAVELMRDEDEGVRLAVVRLV >Dexi9B01G0046660.1:cds pep primary_assembly:Fonio_CM05836:9B:45868317:45878834:-1 gene:Dexi9B01G0046660 transcript:Dexi9B01G0046660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAASALPPSVFPKDSRPLPCLLLASLLLLLLLHFSSSSSSSPAALPHPPPPPPRLAPLPATAAAVASAGPTPPALAFLLAGSAGDADRLLRLLRATYHPRNAYLLLLDRAAPDADRARLAREARPAPGHDNVHVVGDPRFANPRGASALAATLHGAALLLRLGQGWDWFVHLDAADYPLVTPDDLLHVFSYLPKDLNFIQHSSYIGWKEARQIRPIIVDPGLYLSSRNDIFYATQKRDLPNAYKLFTAYDTPKRQAAQDKHKLLGLALSAAMGHEQEPGAAAGHLLLFPFLAQGHLIPFLNLAKRLETQAHRRRLEVTILSTPRNVASLRRAVPAGSRIGFAELPFSPSDHGLPPDTESTEVVPLDAFPTFFFATEKLRPAFEALVTELVARAGRRNVCVLADIFLGWTAESAKALGVQHRVFLTSGAYASAASFSIWLHPPTFAGAGDDEQPLHDFPDEDVRVRYEDFLNVVVTEDPATDPMMAFLCRMVTLNFTHSGGLVVNTSEEIEPKGLRLIGKLSGLPTFAVGPLIGVVAAAPPEDQAAARNEDEDGCIKFLDSKPAASVLYVSFGSQNTIPASQMMELARGLEASGRPFIWVVRPPVEFDGAEEFRAAEWLPVGFEERVAAAGQGVVARRWAPQVAILGHPSTGAFLSHCGWNSVLETLWHGVPVLGWPLVADQVLDSKLLEELGVGVEVASGRMFGGLAGKGWEHVRDAVEAVLGDGEKARRMRRKAAELKEMARAAVSGGEDGEVKGSSVLAMERLLDTAFG >Dexi5A01G0031040.1:cds pep primary_assembly:Fonio_CM05836:5A:33920615:33923099:1 gene:Dexi5A01G0031040 transcript:Dexi5A01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRSSAARRILRLAPAVSSALSAASRPAAGLAPLSRPIAALSGGNNPISWNLRRFFSSNEKHLPAISDPEIESAFKDLLAASWNELPQGLVEEAKKAVSKATDDKAGQEALQNVFRAAEACEEFGGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEEHYLRKKVETELGTKMIHLKMRCSGIGSEWGKISLIGTSGISGSYVELRA >Dexi3B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:3B:6312513:6313821:-1 gene:Dexi3B01G0009160 transcript:Dexi3B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLHTLLPSGEAKVEAHGEEVQAVKERLASSKRPRPKRAPKKKSLPEVLLQTEEEMREEVERRREERRKEKKEKRRIRRLMEAEAAAAAEETQQVGGEKGAETEGEEEASEEDDPAVGSDKPIVAEDREQAAKSQSGIG >Dexi3B01G0025440.1:cds pep primary_assembly:Fonio_CM05836:3B:20180512:20189578:1 gene:Dexi3B01G0025440 transcript:Dexi3B01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQQKQQPQRPRRKGQKRKLEEEAAATAAVAAAAASSLGSAGADDDNEEEEDGSAASSEIYSRHSHAAIAREVRTQVDVLLRCASSWRHADRAAAKRATHVLAELAKNEEVVNAIVEGGAVPALVRHLEEPAAAAQEDQQLRPFEHEVEKGAAFALGLLAVKEIHLTVIPNLLACILILIHLIGVSLQQPEHQQLIIDAGALPLLVNLLRRHKSTTNSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGSLRTLAFKNDENKTQIVQCNALPTLILMLRSEDAAIHFEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLRYGHNMQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLISMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVQVTSEIAQDVLRAADQYLLEGLKRLCEYTIAKDVNLDNVSDMYDLSEAFHAVSLRHTCILYILEHFNKICTRAGSAQLIQRVIPELRNFLTKALSSQSPSEKNMQT >Dexi5B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:5B:12842392:12850368:-1 gene:Dexi5B01G0015600 transcript:Dexi5B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAMGSLSLPPTTSGNPLVAANDDFHFSPFPTTTTLAAHLHPQHDSRLPLLAAHLLPQHAAIRKEEKAAVAATAAKAVDGDAISTAAGCLVVPDDEGNGEGNKKRKRSNDGEEEGNSNGSLEAAKLEGMSYRELQGLAKSRGLAANGSKKHVIERLLLASANPTDGVQDDKRGGVEKVGEEVKKKMVTAMKKGAAVLDQHIPDHIKLNYHVLQVGDDIYDATLNQTNVGDNNNKFYIIQVLESDAGGSFLLYNRWGRVGTRGQDKLYAFSTRDQAVYEFEGKFQDKTYNLWSDRKNFKCYAKKYTWIEMDYGKTEKETNKTEKKVSITNQIKETKLETRIAKFISLICNISMMKQQMVEIGYNANKLPLGKLSKSTILKGYDVLKRISDVISKADRGQLEQLTGEFYSVIPHDFGFRKMRGFIIDTQKLKAKVEMVEALGEIEIATKLLEDDSSDQDDPLYARYKQLHCDFTPLEADSEEFSMMKTYLMNTHGKTHSCYTVDIVQIFKVSRHGETERFQKFDSTGNRMLLWHGSRLSNWAGILSQGLRIAPPEAPVALGDMNELVNADYYANNLPKGKLSTKGVGQKAPDLSESKTTDDGVVVPLGKPKQEPSKRGSLLYNEYIVYNVDQIRMRYVLHVNFNFKRR >Dexi2B01G0026090.1:cds pep primary_assembly:Fonio_CM05836:2B:35242454:35246155:-1 gene:Dexi2B01G0026090 transcript:Dexi2B01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNYRPSESKDEFNNTGPSLAFFAYRLRLGIWLSARDPTLPAPVHALPRPRPRCPAVAFPVFRLLPTQSHGLGLTRRLPTAARSGAGCLPVAAKPLPVLSSQKRSRADGVDAIGSGGVDAITSGGVNVFMTGGVDAWR >Dexi5A01G0029790.1:cds pep primary_assembly:Fonio_CM05836:5A:32844695:32845125:1 gene:Dexi5A01G0029790 transcript:Dexi5A01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLFLACMVLLCFAIAVGAARPATATDDAPPTENCDQDVSDLISTCQDYVKFPANPKIPPSTACCTVVQRVNIPCLCSKVTPTIEQVICMDKVVYVASYCKRPLKPGSNCGIL >Dexi1B01G0027000.1:cds pep primary_assembly:Fonio_CM05836:1B:31828165:31828704:-1 gene:Dexi1B01G0027000 transcript:Dexi1B01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRSEAGRRTVSWSCFVAGASQSPSEPRTMVRAPAASMPSRRNSRSRTSAWAAAAPQASALWRRAGASAVRSHGRKSSAMAKSTERASTRMARGPGSRITMLSPGIASQTESLRRDGEEKAGAEGGRSLAGAEAGGGVVATHEGEAGGRRAEREEDQLLDPLGLHGGGSAGMG >Dexi1A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:1A:25097376:25099646:1 gene:Dexi1A01G0017750 transcript:Dexi1A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTPMLKPQWLQTDRAAGATNIWATASSRSDLPLASDAKKNSVTSSLLQIAPSKQTEVVPNSGTALSMAETVMQAPPRISSGPQLSVEAKKIEERTLRQYTLRPLTPPAIKSSVSSYYISFGYD >Dexi1A01G0022610.1:cds pep primary_assembly:Fonio_CM05836:1A:29263368:29263858:-1 gene:Dexi1A01G0022610 transcript:Dexi1A01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDDHRVAMDAVVKLAVAAVDLGATGHRRATLDELRAGHGRTHLRRARLGGATTSSGVRCSSCVLASRLPAAAVGRDARRQIAIRRPQRRPARERRFLAQAQVFGPGAHGGGGGRLTG >Dexi1A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:1A:2308931:2310089:-1 gene:Dexi1A01G0003210 transcript:Dexi1A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPKIAAARRRHIRHGNGVRGRPAPPLLQVQRHLILDCLIAAAATLSPLRPHRGHGARADAHNLFDELLRQPTAGVTARAVNGFLAALSRAPPSATYGDGPALAVALFNRISRAAASSRVGLPTVHTYGILINCCGRAHIPDLALAFFGRLLRTDLGINIVTCTSLLKSLCDADRTNEALDVLVHRMPELGCVPDVVSYSVLLKSFCNQKKSHWAVDLLRTMAKKRGVASPNVVSYSTVVDGLFKDGKVAEACDLFHEMMRQGIQPDVFTYNSIVHALCKARAMDKAEVFFRQMVDKGVQPNIVTYANIIHGYSTLGQWKEAFRKRSCKK >Dexi5A01G0025950.1:cds pep primary_assembly:Fonio_CM05836:5A:29660370:29660653:-1 gene:Dexi5A01G0025950 transcript:Dexi5A01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWDALRAAADSDLALAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIRDG >Dexi2B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:2B:25372:25701:-1 gene:Dexi2B01G0000060 transcript:Dexi2B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTDAFKETHNLVVADEDTYVGGQVSNLQVAELIGCMANNRRAAYCKVVEVVAETTAPLLPMEQLLSAIPSKRRK >Dexi7A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:7A:29287280:29288353:-1 gene:Dexi7A01G0020510 transcript:Dexi7A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGNLQFSKDMPFREDIEKIYSYEKAIASWNSSIFYIEAAAFSLYLCMIATKGVKLASRVMESAALRPDKQDEISSCTAKQTLTMYVSIFVKLAKDTYDRKFNDQSIFSLLGAFKGVAAVGHILLQDALTNVDNDGYSDNLVLDTDDSWHEYRKNLSNLEDEFRAVSKSTKANSEAYNGSHNDT >Dexi8B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:8B:24386981:24387862:-1 gene:Dexi8B01G0014020 transcript:Dexi8B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTGALPSLLHKLAALATDEYNLQKGLKREIRLLHAELESVQGALVDISKVPVDQLPSGDKIWARNMRDLSYDMEDSIDKFMVRCNKGGDDELAKQNKHGSKNAIRSCLDLLMQPMIRRKIATEIQSVKSRVVEVHELHKKYKIKDVPSSTIAAVDPRLYAQSTKMAALVGIDEARNELVNVMMEGNDEVSMRQGKIVSIVGFGGLGKTTLANAVYEKIRGQFDCSAFVSVSQTPDMKKLFRSILYQLRKTESINQDILDEWQLISELKEFLHKKRYVSIREHLAIQLIYV >Dexi8B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:8B:26190265:26190574:1 gene:Dexi8B01G0015370 transcript:Dexi8B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGEPSRARWRWACLPPCSGEPRWTRSRAFLVFDPAVSPHYKVLLPPDEPRKKSDNVEEEDDDARRFMEATVDMDVARVLVKDREVGAEGVCKG >Dexi5A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:5A:504781:506426:-1 gene:Dexi5A01G0000740 transcript:Dexi5A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCTDHNPQLPRLEGIEEEGSPADKWAPTSVRAPETPTETMEFLARSWSLSAAEISKALKVLSCGKASSDSPAAVATMEQRPRTPAAGDHHHQRADAVAQAGEAGGAMSPPVSPRDNLDVKLLRGGGRGKTVGAWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPEQLGPKNGGGATKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIHSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPEKDISPLVFVSRGGELLKRTRQGILHWKLVTVYINSSFQVVLKMQSAHMAGTFIKTKKCVVLDVCSEIPAWAGRELEDGSHKRGYFGIRTVERVIEFECRNKHDRHKWVQGITEMLVRRDSMNSAL >Dexi6A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:6A:13369850:13370382:-1 gene:Dexi6A01G0010730 transcript:Dexi6A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALMLSLLHLRRLILGFVHEDVQKILAAVWRRLLLAVVDVVPVSDERPCLPGLLLVPENLLQQRVEAAVNINGTPTKPLQIPATAQRDVVVDVEGADELSHSLDKLLCLVSNTPRDSASHHPYHPRVGEADELTREVDRLAALGGRERGAEERHGAGAADGAELLDRARAEQL >Dexi9B01G0036020.1:cds pep primary_assembly:Fonio_CM05836:9B:37628599:37629488:-1 gene:Dexi9B01G0036020 transcript:Dexi9B01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTSDLKNTIEKTLGGAGVALSSPRLVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSHSA >Dexi5B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:5B:8634605:8635580:1 gene:Dexi5B01G0012180 transcript:Dexi5B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEAITGGTVASWVASGVVLWSTAFVLVRALFPKRSYDFCNRAVSTMHAVAAVCLALLSVHDWSCPVCPLAAASSPRQMRALAATLAYMVYDAACCHLNGDVRLDNTVHHLVSIVGIGAGLAYQRCGTEMVASLFITEISSPLLHLREMLKEFGVRDTDLNLAVDVLFAATFSAARMGVGPYLTYVTVTADNPILIKAMAMGLQLVSAYWFLRILRMVKYKLGKKKPLPPPLASKKVATD >Dexi6A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:6A:23062218:23062652:-1 gene:Dexi6A01G0015610 transcript:Dexi6A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTCNGLVCLHFWQGDVVVVNPVTGEKLAVPPPSMSAGVSMEAAEAYSFAYHTATGLCKIVHVPCRVDDGGWMFDEVTARSSCLLSFGLVSANGVMYWVSKDTCSVMSFDLKDERVAFVTTLPVRVQP >Dexi1A01G0023150.1:cds pep primary_assembly:Fonio_CM05836:1A:29762812:29766574:-1 gene:Dexi1A01G0023150 transcript:Dexi1A01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVFVAVWTVTLAMVMAFIMWAYRWSHPKVNGRLPPGSLGLPLLGETLQFFTPNPTCDVSPFVKNRLDRYGNIFKTSIVGRSVVVSADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAVLLAETDLACHASLASWANRPSVELKDAISTMIFDITAKKLISYEPSKSSENLRKNFVSFIRGLISFPVDIPGTAYHECMQGRKNAMKVLKKMMQERMADTGRQNEDFFDVLIEELRREKPVMTEAVALDLMFVLLFASFETTALALTLGVKLLAENPRVLQALTEEHEAIVSNKKDRDAGLTWTEYKSMTFTSQDKAEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHCLVTKYSWRTIKGGNIVRTPGLSFPDGFHIQLFPKS >Dexi9B01G0044770.1:cds pep primary_assembly:Fonio_CM05836:9B:44406976:44408834:-1 gene:Dexi9B01G0044770 transcript:Dexi9B01G0044770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWSLTTSMLPEDCLTLDFHQHDPCRYLIINVFRFPAAMSKGLRESLHLRYS >Dexi4B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:4B:18276875:18278027:-1 gene:Dexi4B01G0016230 transcript:Dexi4B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding TELRWKADSLESGQQQFLQIVDSYFANERVGLLKVAEGVELYICPSRGKASQILTEHMAKEHWASQTMAGVSVIGVFVCRRPHICAETPTRHDDSKKQPMSISRKQQAVLSSSVPMSSQLSRPASHFGYSNERPRLKDDATGDVPPGFGCGVIKDDDDLPEYDFVSISDGSPNVATPHSYQRQQHVQAISPSEDQVRRLVRKYGSMFESSAQPWDRDSTLPEWHRSHSGHQYNTMQQQQGMISPQLSSPISQERSMTSIPQQRSMTMRQPWNHQHMLHPAEAQSGWPEWHMLHPAEAPSGWPDWHMLHPAEAPSGWPDWHMLHPAEAPSGWPDWHMLHPAEAQSGWHGWR >Dexi9B01G0031310.1:cds pep primary_assembly:Fonio_CM05836:9B:33723019:33725373:1 gene:Dexi9B01G0031310 transcript:Dexi9B01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEERANKSPTEMARAFTAAPLLLLLGLLAPGVATANVGDSCSTSADCGAGQWCFDCEPKLSGSHCVRSAATNTFQLINNSLPFNKYAYLTTHNSYAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFQGDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLSANPSEIVTIILEDYVNAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVSSNQRLLVFTSISSKQSTEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKAKSLVLMNYFPSVPVKFTACLQHSQSLIDMVNTCYGAAGNRWANFIAVDYYKRSDGDGVFQATDLLNGRLLCGCQDVRACSVLV >Dexi2B01G0030770.1:cds pep primary_assembly:Fonio_CM05836:2B:39021515:39023321:-1 gene:Dexi2B01G0030770 transcript:Dexi2B01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKDEVVRGLSPARSRGKSPAPARSASPARMLIPRRRKPAAPPQPPPEKVLQQYLGEQLIARSGSLRPGGEALAPLIEGPDPERLAAGDPAAEDSGRREGFGNWVRGHLTRTPSMASASAAGPGGSGGASFRRSDLRLLLGVMGAPLAPIASKPLPLLSIKGAPIESSSAQYILHQYTAASGGYKLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPTGRGAAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLTADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHAGGDAVYWETTISSALEDYRPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGSVGEACELPSQSHGERARVGAVHPSRVAAVERAHANGHAHGANAGVTGAGGRGEKIVWRVEV >Dexi6B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:6B:22570490:22572292:-1 gene:Dexi6B01G0015190 transcript:Dexi6B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAVGAGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEETRGAAGHAAAAKGYRGRVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADSTLAAYQAAQDIAMKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDASKPEDEQ >Dexi1A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:1A:26456586:26459172:-1 gene:Dexi1A01G0019300 transcript:Dexi1A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLGLACRVAGVFTSPAVAHSGSRPRGLLPRLLPSKRWSGVVRMGAVVGGGQEGEEDEELRQTKEQAAARRRWETLIREQKIKTLTPREAGYTFKLTDKALLDVRPSNERQKAWVKGSTWIPIFDVDTSVDLGGLSKKVSNFVMDEIVRNFVQQVEEKFSKDTDIILVCQKGLRSLAACEQLYNAGFENLFWVQGGLEAVEEEDFEREGSQPFKLAAIGGVSEFFGWTDQQRRQAAKEGLGYRLVFTGRLVGALVLVDALFLGVQRIGPLLQELQSR >Dexi9B01G0037180.1:cds pep primary_assembly:Fonio_CM05836:9B:38666836:38668587:1 gene:Dexi9B01G0037180 transcript:Dexi9B01G0037180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNANDSFDGHEIVEVPGEPRTPSGTTRLMDFIPIDIYIPSVEKGALNKARHKRRFLDFLRARPSKDWFLSSTFVGRLRRRRSSNQASPAAKGSAAEAEEEEEDSEYSDGNGGEHRHRRRFRVPFVRKIKWGKLWSYAASWCKKPQNFAMIIWLASVGAGLLLLFMLMTGMLDDAIPDTERRKDWTEVTNQILNALFTIMCLYQHPKISHHLVLLLRWRPDTGDRDEIRKVYCKDGAARPHDRAHMLAVVSLLHVTCFAQYYCCALFWSYSRKDRPDWALNIGYGLGIGCPVIAGLYTAYSPLGRKQPDEPDTSSSAEAAHEQQQGNNRDESDDMEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCAMSAACTFCVFGWNMERLGLGNMYVHAFTFILLCVAPFLIFSVTALNVHDDGVRDAVVAVGVLLGLCGFLYGGFWRSQMRRRYKLPGDERSWWWCGCSAAVGDCAKWLFCWSCALAQEVRTANFYDVEDDRFVTILGARNGEGRPVLVPLPREASATLHTPRSMSCPPKLDDEASGGGGGVMSPLGAVEMAMAMERSATYHPMRPPLPPLIQVDREE >Dexi9B01G0038970.1:cds pep primary_assembly:Fonio_CM05836:9B:39820896:39824900:-1 gene:Dexi9B01G0038970 transcript:Dexi9B01G0038970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQGGGAASSGGGFFKSFAAGMRSWGTAVHKSVNGLLGYEGLEVINPEGGTDDAEEEALRGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLEQADQCEDPYMRMAYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKVKTKFLGNSVEIYPVGRTRVTLKKSGVVLDLVPPLTKVNNLIFGRTWIDSPGEMVMTNLTTGDKVVLYFQPCDITAHTLKFLQIWRIAPAPQNDKYQYTHFAHKINSFETAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRTREAKGEQFTPRWFNLTDVVAPTPWGELDVYEYNGKYTEHRAAIDGSSAADETDVTSIEFNPWQYGSSSS >Dexi5A01G0039490.1:cds pep primary_assembly:Fonio_CM05836:5A:40095925:40097471:1 gene:Dexi5A01G0039490 transcript:Dexi5A01G0039490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAGVPEDEESGLLPRPSASGRRPSSRGSRLPPPPAAWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPPAYSPAAFGPIRPCKDCDPFFPIPVSSLHVVRSAIGFAIFSVVLITWATTFIVGGKRLFGPAWNDLVMYNVADKLGLSGFMG >Dexi2B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:2B:22188175:22191131:1 gene:Dexi2B01G0013500 transcript:Dexi2B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPKPEASFGSTHGNAVANEQQMELTGNNMGPSNGANNNNNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDASADGNKADNKDAGDLLAGLEGSSCYFLILINVDFHVLRYINCILITKPIMNSGLPISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAAGTSVTVSSDQFPDSERTEPSTPAPTSESPTQVGASNRDTGERTEASKSTCHGESLSRREPLTPDSNCQNGSPPASPNNERAAKRQRASGSEFLDTEADFSLLGHIFESSSGPEFQQYSMSYSGH >Dexi9A01G0028240.1:cds pep primary_assembly:Fonio_CM05836:9A:32878337:32882893:1 gene:Dexi9A01G0028240 transcript:Dexi9A01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRGAEGCDGSRRRLVRLMWPAARVEAEAPPPPAQGPPTSPSSSSVPPSRTTSYPSESAARKQDLVCSPRPVSPDSFIKERQEEVNRLLHRTRLEMRAAVQSGGRSPKRLNGPSASPQPKTAPDGTQNGGISKGKKRERGEQGIDPAKRERDRLVKVDDSEPGSFNLEDIRSEVEKITEKGGLPNSEAVEKLVHLMQLDRTEQKIDLAGRVILADVIAATEIPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDAKPLVSGQSVSWSGKAGFQEISNARNKRGGSSESSPKNEVVTVSSPKVLTDKPASTDAAAKLNPVVPASSKLQHVPPTNVAANLKDQPCKSTGGTGGSELPTVKEEKSSSSCQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSRRRANNGLVSGNLKEGSAGRSVSLDRSLQQDKSSQTGTASEKGVELPSDHGNNHRLIVRFPNPGRSPARSASGGSLEDPSVTGGRASSPVVADRHEQTDRRVKMKTENSRPHLASDANAESWHSNDVKSAAASEEGDKSPCAILDDDNSRTPDDSAKDAHVSRVACSYMNDKGVCSSETRVGNSFSPMNALIEIKYSEASHSLQAGDDTAINLLASVAGEISKSELVSPSSSPRSSLAKKLVCEGDSTGKLKVESGVGPSQDPGPTDTKNVVVGKELKNDPCLITKEEQRKTVLSPELADSKAVGSSVKVESHEGRANKPKSQPASVDSKGENRDACTVHGKVEDGSTDKDGAVESALGSQ >Dexi1B01G0024680.1:cds pep primary_assembly:Fonio_CM05836:1B:29935556:29936050:-1 gene:Dexi1B01G0024680 transcript:Dexi1B01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQRLHVVAERAGVPEPVRPEHLVVGEVERAAHALLAAVPGVVLVPCVTKGRRHPRTPASSSPALANASFLAAARTTCSTSARRRRSAPDPGLRSIASYLSVMLPSRPTISTQ >Dexi4B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:4B:958972:961784:-1 gene:Dexi4B01G0001600 transcript:Dexi4B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAERAGRWSSPNIAHGRAGDGLNLARRERVRGSRPVAAIIDVAVLLLLATTMRRGAALQLAALLATLAVVAAGPQGKPVTESGAETPPTPSSFTPKDSFLIDCGGSAPLTTGGKSYKTDAQANHLLSAKDAIRVADDKADVPSPLYATARVFKEEAVYSFPLAVPGWHFIRIYLFPLKGGDVDLASVTFGVVTDDNVLLHSFTPENKPVVKEYLVNATENHLAVKFQPLKGSAAFVNAIEVVNAPDELVVDTALAIAPLGEVAGLAHEAYQVLYRVNVGGPAIGPANDTLGRQWDTDASYVESKEAVKDVSVPTSEIKFPDGVSRLVAPAAVYASAARMAEAEVGNPNFNLTWKVNVDASFGYLVRLFFADIVSKSTNDLYFNVFISGRKVISGLDLTTVTGGDLAAPYYKDFVVNQSVATDKLTIQVGPLGQDTGKIDALLNGIEVLKMSNSVGALDGEFGVDGRKADDGSGSRRAVAAVGFAMMFGAFAGLGAMVVKWYKRPQDWERRESFSSWLLPIHTGQSFTTSKGSGYGSHKSGNGFSSTMGLGRFFTFAEIAAATQNFDEKAIIGVGGFGNVYVGEINDEDGTTKTKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMHNGVFRDHIYGGGDGAPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNPESLAKFAETAEKCLAEFGSDRISMGDVLWNLEYALQMQDANPPEGAQQQEEDDESEPRGSSAVVPAGNAPPDASTTAAGELFQQLADMKGR >Dexi1A01G0021940.1:cds pep primary_assembly:Fonio_CM05836:1A:28665062:28665512:-1 gene:Dexi1A01G0021940 transcript:Dexi1A01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCTKADGDRVAAANPAAARTRKRGNANLMAFCTKADGDRVAAANPAAARTRKRGNANLVRAIPFGSMDRSTCCGARVVNLAFT >Dexi7B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:7B:23382516:23385219:1 gene:Dexi7B01G0017410 transcript:Dexi7B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQERAGTPPCRVVQCNPTTAHGFTRAGTRGVVVVVGEEQLARRRQPAEKRRPQEIERRRGGIKATNDQRHAAPAHPVVHENFMDHLNNTMDSSAMDMPEGARAPKARKPYTISKQREKWTEDEHKLFLEALQQHGRAWRRIQEHIGSKTAVQIRSHAQKFFSKVIRESSGDSNSIAAPPQIHIPPPRPKRKPAHPYPRKLGNSLGKDASAIKKLEKVRLKMQFLSEQENCSPKSVLGTAQIGSETLATEGSGSPTSSVYLEEKCLTPSTSVGESAVQVPLPKDDTTSNGEMFGIPEGPVLRLFGKRVVVNDLHQQSNSDSGLQNAADMELDASAQTPTSGTGKFSSHGEEEAKTWSPWLAGTQQFMYYLPQGEVISLHPACQFLSYGNGSMPYTELNPQTVASNTQQHQPSQAADCNSTSVPETTQNSDSTESTRVNNNDEEVIPVPGSRKRLSTVPVHLQGFMPYKKCTAAQSKMLQSQAPGKDEDMTRLCL >Dexi2B01G0028110.1:cds pep primary_assembly:Fonio_CM05836:2B:36772415:36773285:1 gene:Dexi2B01G0028110 transcript:Dexi2B01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMTGLSQGVLPGRRAAGMARAAVVVRASSAEAQAGRRAVLGLVATGIVGGVLSQAAHAEDVKTIKIGPPPPPSGGLPGTENSDQARDFDLALKERFYLQPLPPAEAAARAKSSAQDILNLKPLIDKKAWPYVMNDLRLRASYLRYDLNTVIASKPKEEKKSLKELTGKLFSTIDDLDHAAKIKSTPEAEKSYAATKSALDDVFAKLG >Dexi9A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:9A:3347056:3348561:1 gene:Dexi9A01G0005960 transcript:Dexi9A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAHGALLLSLLLLAGAGAAQDAAPQEPTLPAAGNTGGAATGGAAVGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGRHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQALLLYHVLPARLPSDSWPAASHPTLSGEEVELAAAATGGATGATMRVGHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAAPVPPGAPPVLPIWDAMAPGPAIAPAPAPGPSTGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLFPPGDKNATETADPHRKPPAITHKKKIKLRRG >DexiUA01G0006760.1:cds pep primary_assembly:Fonio_CM05836:UA:13048542:13048870:-1 gene:DexiUA01G0006760 transcript:DexiUA01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETSLEGTPTWVVAAVCSVIVLISLVFERALHHLGKALEHRKKETLYEALLKLKEGWHLGHRDLCSSAAHV >Dexi2A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:2A:32691420:32691953:1 gene:Dexi2A01G0020500 transcript:Dexi2A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRQTILQPRLSWMLPQANAPSFMLPPNVGSLAGGLRCPAARGHGGARPLPLSSCPITIPELRHLTWTPPAAPARDSLRITRNQHATSRYLDPETPLRDNIPREESPTKHALPLAHPMLAARREIAGITGICCRRSSLTLAVFDPTHEAPSYRARTPHRAPRRRPPPGPPTRLRR >Dexi2A01G0029680.1:cds pep primary_assembly:Fonio_CM05836:2A:40724193:40724453:-1 gene:Dexi2A01G0029680 transcript:Dexi2A01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSPLAMATPATSPLAVVLPLSLLHPLAASPPCRPPLPHAVAPVSHHAAPATARARLASGRSGGGLERLKIPDIVLDEEHHG >Dexi9A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:9A:13779654:13783361:1 gene:Dexi9A01G0018730 transcript:Dexi9A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSTASASAVFPPSSPPPPLLVENLPPLHQLTPVAAAPPSSEQLCYVHCHFCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTVNMRGLLFPGTPTSTAVAPTTDVTSTITTITTAPATSVNNTGQFNNFPNSLNLAPNPPHHQSLLDEISSANPSLQLLEQHGLGGLISAGGRNAAAPPLQQQPPSAGKGAKEPSPRSNPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHHQGLKKTNLLPQDHQRKDGLLKDGLYGAAAAAAAAAANMGVAPY >Dexi9B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:9B:3334662:3335782:1 gene:Dexi9B01G0005650 transcript:Dexi9B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVADPSPSRRSLKRRPPARSPELSPKAGGGGPAEEELIRRVEELEAAAARLMGEKEAAEEAARGLRQELDAERASAETAASEAMLMIERLQREKAAAQMEARQFKRYAEGRADREREVQEELASLTDLAASYHSRLQSHGIDPDSFTDEEEESDGEGEEVEQIDMDAAVVEQNGGDLSCGMELKAMVVDGGGREEEEPLSPVLKEFTVDISCASTTKTAGSVVVAEYVRDGNSGGLYARVEALEADRVALRREIAALRAERAQMVMAREMARRLCREMVAEHRAIVKKAAVPASSFSVLRK >Dexi9B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:9B:6449876:6452152:1 gene:Dexi9B01G0010360 transcript:Dexi9B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNACGCWSTHRQPARPAATSLPCCPSRSKPPTIRAPAAVKRSRSTVQRTKTTRCLRCAAPPCESRSCPVPRHRRNSTKNTRTLLGKILTEEQNVTCLVDTMHQARLLGNPKMGPHRPRIPHIAITPDLQLGPTHHGTGSPRPRWIRPSSPLVPAGSWLFKQLALGVQGITAAAPTSIALSRRLAHPPIAMDKDKDKGKSVVDPSSQGIWVSATELYPSDESDSDAAAAARASRRAPGVFEGGGDPGASCSTASAHAGDPGASSSTAGSHVVAQDITPFEDGQYVRLRNRGRGGYLFASESGRGVTVSLRRRMVNTAWAVQILSASGGRRVLLRGAYGRYLAATRMLAEARSGLLGHHAMQCNFEHRDDHDIEWSVARGKKGSVVLLHVAGVRSRALRANGRYQRWNTGVTLEPYGVNQVSSMMEWEVRVIPQRVERPPYQRRPLALIRWGEDFKAEVEVSFAYACFGHNGRVEYQGWMDMRFDGRSLTALGNEIARRLGNGVQFENMTLCVQAGNFGRPTPLLTDLPLRDDPVNILVFMVNSPGDNLL >Dexi8A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:8A:1368309:1376837:-1 gene:Dexi8A01G0002020 transcript:Dexi8A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGEAEVPLLEKKPEVYFDGCPGCAMDRRKVENSGIPYVLLFHMWIINLVTCLPLSSIFPYLYFMAYAVEVCRPEHHAIGLSIVSTSWAVALIIGPAIGGYLAQPTEKYPKLFPANSFFGRFPYFLPCLCMSVFYFVILISCIWLSATVVTCSFILQNNSVPQDQRATANGIATTLMSFGKAIAPAGAGIM >Dexi9A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:9A:7166537:7171103:1 gene:Dexi9A01G0011440 transcript:Dexi9A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGFLGNGSRNDSDIEAARKEFDRFFKETMDVENFLALRDLLPAARVLTDEELMTASTTCSRLLTEDPRQRLGANGALEVKQHPFFKDISWDTLAWQKAAFVPSSDSAFDTSHFTSRCSWNLSDETTYKTYEFEDSSDNKNRSCSCASKRQDGTGDYCGALNEFESDSDVDYHFRNSSFKVSDLTLLQNLSQLASINYDLLTKGLKDGPAKHTYQSK >Dexi2A01G0027100.1:cds pep primary_assembly:Fonio_CM05836:2A:38528722:38534960:1 gene:Dexi2A01G0027100 transcript:Dexi2A01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIVASPSRASPADTPTTAPSPASPPRRLASAPPAVDRSGGSSPASAHSGDQLSAPDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFPNAIEIVAGSKRHFFGSFLSRDEAFRIIVDGWEQHVSDARLLLERQETKSASSSEENGYVLLEGTKESKRDEDSSPLDRSVSGKAISSSSADGGDSNINISKRFSKVEENGAEENIIALNPFNFEPVDEAAPTVPESYTMIAESKFQVPVEVLFNFLFSDGAFDFLDDYHKKCGDKGAKFGTCQEVQKLRVYRNRHLVIQTSQSIGDAPYGDHFTVEGFWNVEPDKLDGNCCFLRIYINVAFSKKTIFRGKIDQSTKDECREVFGLWIKLGHDLLKQENNRPKDTPTSTDAGLELGATENGENTVPMVSSTQDESGARSLVPPIQDHQHGTGRDSSITSTSQELWGSLTSYVRSSQLGPVLAVALVAIIILMQASYSKESIDWVQKRLSLLSEEMQLAEVHMEKMRQEFAWLRSYLERLERLRGST >Dexi6B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:6B:10754047:10755682:1 gene:Dexi6B01G0008530 transcript:Dexi6B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSADAASAVPPSAVSAAEETLAATESVGDHLSQLLAAAAEDPDAVTELPPLLRARTFLAVAQAATSLLAVRLRCSGIDPDEHPIRKEFERLSLWQEKLNRFEDWEKAPLRPTTTVNTQAAARFIGHSLTHLTSDQKRSMQAISRGERRGSSGQKRKPEPLPGKKSVRAAAEEFLAKAAQELIGNSDSRVKGPVRLIHDEDED >Dexi1A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:1A:258342:262071:1 gene:Dexi1A01G0000440 transcript:Dexi1A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGTCRLNKPAPSAFAANKHLSLLSPAVVSIQRKMKTRPQRKCTFTVNAAKELHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMIAEGVKIVAAGANPVQITRGIEKTAKSLVNELRKMSKEVEDSELADVAAVSAGNNYEVGNIIAEAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIIAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGSVIRDEVGLSLDKADKDVLGMAAKVVVTKDSTTIVGDGTTQAEVNKRVAQIKNQIEATDQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQRVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVLANDNFRYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEQAPAANPMGGGSGFGF >Dexi1A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:1A:22773832:22775031:1 gene:Dexi1A01G0015660 transcript:Dexi1A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGKPAASSKPNPFDSDSDSESQPARKPSGSSYQAPADAKRRYKDGFRDTGGLENQSVQELEHYAAYKAEETTDALAGCLRIAEDIKKDASDTLVTLHKQGEQISRTHEKAVEIDQDLTKSESLLGSLGGFFSKPWKPKKTRQIKGPAMVSRDDSFKKKASRIEQRDKLGLSPRGKNSNRHYGEADNAMDKVQIENKKQDDALDDLSGVLGQLKGMAVDMGSELDRQNEALDTLQDDVDELNSRVKGANQRARKLAAK >Dexi6B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:6B:24739499:24740485:-1 gene:Dexi6B01G0017590 transcript:Dexi6B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSGFLEFKLDYSETKNLAIGQCVKSKSFRAGDHTWRILCYPRGIKREHKGDYVSLFLMLLSNTGNANAIFDTFLLNRNGTPSSIKARRTMFVFQKRGICLGWCRFIKGSDLESTYLTNGLVRFICGAIVVPENTMPTPVPPSDIGIHLGHLLDCAVGSDVSFIVNGEQFPAHRAVLAARSPVFQAELFGAMADATMQSITVQDIEPEAFKVMLRFMYTDSFPADSELGDSPTDMLQHLLAAADRFALDRLKLICSLKLIEYVSVDSVSSILVCAETYNCPELKIKCLDFFAVEKNFKEVVFTDGFVILVQKFPSLAAELRKRVVNL >Dexi4B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:4B:22503421:22505648:-1 gene:Dexi4B01G0020290 transcript:Dexi4B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMFKRVLISTPALLPAFREEGVWDLIFSGNFFYFGSSVEETHFHIVTDTENGDINSNRISIDSESLYCTDVNILQVEAISFLEFAATLGENTCNTQECSALLDALEHCISDPSTISTLLKSFRVILQLATEQTLASFESLDVITRVLKAACHQAQELQNFSNFCSNVVITEDDSQFRSIVDRTESTILCTELALSLFKEYVTISINGRILVLHNADCIECLFDLFQQQNLRKHVLEQVLALFRLPSSSAQDHKAKLQLCSKYVENFARANEKDKVDSELLIDLLVSMREIIMMEHMYYQNLFRDGGCFLHIISLLSGNFSEVTDEQLVLNVLETLTLLLEGNDTSKNEDAVVLLLNILQKSSTLVQHYGLVILQQLLKQSITNRTSCFRAGLLSFLLDWFSIEEKDDTVIEIAELIQILGAHSICGKDIRKIFALLRCEKIGAKQKHTSLLLTSLSHMLKEKGPEAFFEFSGHDSVRVVLFI >Dexi3A01G0025620.1:cds pep primary_assembly:Fonio_CM05836:3A:21331699:21336206:-1 gene:Dexi3A01G0025620 transcript:Dexi3A01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRQRLESIKEEAKLDIFNFKTDCYSLDENVHSRATFSSISEDIVGRAMEKETIVAMLMAYSEEEILTISIYGFGGLGKTTLARLAFNDENVRRVFDYQVWVYVSMKFDLKKIGESILSEIDGGNCGHANLQEVSRHIQRVLASKKFLVVLDDLWEENGFQLLKLKEMLSGGAKGSKIIVTTRSEKIASLMRPCTPYKLDVLSDDDCWILFKRRAFVPGMDDPRIEGIGRDIVKKCNVFSKGVVIDKDMLIQQWIALGFIQPASGSLTLEKRGEEYIHELVSMSFLQASMISSLVGCIRCIEQKNGILHTCHIAPASQ >Dexi4A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:4A:14951112:14955819:-1 gene:Dexi4A01G0013880 transcript:Dexi4A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPVSEHSLIIENERSDDDDDTHFAAAAATNGRSRAHDEEEDAGSDSDSSSSSSCATPRRGCSSSTLAQPWPQSYRLSNSFLAVTDSFRSKSPEIISNFVKPLLSPTTSDEQRQQHEDTQKSSQYLLPSRKPSLQQIPEDQKPLVAAHEVSPNKNCSYTQGVMNDNPIRGIVSGGVIASILVVICLFWIGVVDGVGLENKGTVLNLPGIPIALGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCIGLSTFLYAAAGVMGYKMFGEATESQFTLNLPDNSVVSKVAVWTTVANPITKYPFSLTISMKEF >Dexi3A01G0029760.1:cds pep primary_assembly:Fonio_CM05836:3A:32080638:32081735:1 gene:Dexi3A01G0029760 transcript:Dexi3A01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLRCEELIKDEFGAECNFDVHDAIKKLEKLSIVHRVNLTQFFVMLKLVRQQIRNFLAARDSIGRILCVPLKRANEIIGTTTEELVMRAQQSPAP >Dexi9B01G0027770.1:cds pep primary_assembly:Fonio_CM05836:9B:30264050:30267782:-1 gene:Dexi9B01G0027770 transcript:Dexi9B01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGDSGGGGGGEGVEELPQLPREACGASVGEGGVVMRAAVDAKRAAVGVGARMLFYPTLCYNVVRNRLEAHFHWWDQVDEAHGIENLVLPTRDYLYAPSFVNLCEAADFIHRNALSGKLTYVHCKAGRGRSTTVVICYLVQYKNMTPAEAYEHVRLRRPRVLLASAQWKAVLEFYQLRVKKTGRSTCLDNPIIRPPLFLATRNLVAFDDSAFVMVSESDLEGYNADALALNMSSGLWEISLIYRVQFASKAAFAGFSYLWLRCRPCKEALPENLGREACSLEAEQMAAGHPCLLQGVVVNP >Dexi1B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:1B:3669798:3671580:1 gene:Dexi1B01G0004560 transcript:Dexi1B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVSASPQCAAAQGRATVSASDMAPLFSAQRRHLDHFFDRLDMSQAAAFAQALLDAPGAVFFTGVGKSGIVARKIAQTLASLGFARAGYLAPVDALHGDIGAIFPGDVLVLLSKSGASDELLALVPCARAKGAYLISLTSAASGAECPLAAACDLNVHLPLQGELCPFGLAPVTSSAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKSLIFKVKDVMKKKNELPLCKEGDMIMDQLTELTSKGCGCLLVIDEEHHLIGTFTDGDLRRTLKASGPAIFNLTVGEMCNRCNAMK >Dexi1B01G0029730.1:cds pep primary_assembly:Fonio_CM05836:1B:33944481:33945476:1 gene:Dexi1B01G0029730 transcript:Dexi1B01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVWRPHARSGPYWPDAPPPAHLLRGHVPFPAHHSFVILPKSSYRTAIIRSFVPQSFCCRTPTQLDSHLASAPFPFLPHPGLCSCCAASSALIPSRSECFIRVHRQPLPCPELLQLAFMAGRGRCRRRRSWIQQRCQGSKLGGRGEGAGDILVKPNNHRYGEWICVRGHRIRLRGRMRVDADGDVYVPDSEDEEVDLGGISVAANGDHMDVAAEEGAADGVKVAADMGTGEEMHPEVAARLKKVLGHMDPTFSDVFVSM >Dexi3B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:3B:9854951:9856285:-1 gene:Dexi3B01G0013710 transcript:Dexi3B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVTKSSPVLVGPATAPATTVQHINLSSFDMALAFFPVTSFHVFDRAIHAPAAETVRVALSRAMVHYFPVAGRLVVSDDGRRLRIACTGEGVAFVAASADVSLADAGLLDDPPHTAGAAALMDELAVGLAGDGFRPSDPLLLVQVTEFACGGFVVAVTRNHAVADGTGFAQFMGAVGELARGLPRPSVLPVSCGDDDGSLPELPPLVAAMENALVALEPRDFPFLDITVPSRCIDRIKAGFAAGAGDDAGDGPCTVFEAVMAVLWQCRTRAVMMPGDDPSTPAPLVFAANVRKLAGARQGFYGNCITSVLAVPTSGEVASGDITDVVRLIKRAKRQIPHQFKRSSNGVAAVAGEEGGLSPEQVEVMFGYNAFDVTSWRNLGADAVDFSGGRPARVMCRMDRMPVPHCVACLPWKNKDGANVLARCVREEHVDAFLGELAKFT >Dexi1B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:1B:1227226:1232152:-1 gene:Dexi1B01G0001520 transcript:Dexi1B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDPPAPAPAPTRQSEAGAGGRRTDKHGRRLEVYNEVLARLRSSAAAEISPAFEDALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPAMSVRVVQVSRLIDGDADDSFDPDMETAASNHSPSLLAHPPPAFGSSSNLEALGLETSEGDVRSTNDTDHSVHLISRPMHEITFATVDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLESTDQLQGKLLQKFHKIEDVAIKVVRPERISADMYRDFAQEVYIMRPYSYLTCHEICVHSLQAIRPTIPKDTHPKLVELLQKCWHRDPAERPEFSEILEILQRLPKEVGTDTEGRQKTKAGFLSALKRSH >Dexi6A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:6A:26029750:26032994:-1 gene:Dexi6A01G0018280 transcript:Dexi6A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDGGADVWGGGDDGSSLFEGMVLFAPEPAAAEESPPTAAPVPEPDPAPRPDTDAGAPSSSSAPPPLDEDLFSDLTLLAPEEPLSLEQPPLPRGEDRGHAAAPAPARAPSPPSPAAALSRQPSSASLRKKKRAVRIGYGRSPQPAPPSPPDTVRASTAATISASSIAFLDASPHPAAPPTPDEYPERQVDVSDNGDEVDAEVVVDPDTNPPCQDEEAEEDDQKEDEMAGVAAVGIEERLAILRSQISSKLDSIQQRAAAVVARRRQLAGRRRKVAEEVTSVASRHKDLERELEEACEAEDFERAESISDSLAALEKEKDRLLTALRDAELDYDSVDSELQGVLESRIAAEEEAAALLEQFAMDATDHADSESKKSEEMSLKEMERWQTSMELLETKKLEMEVERQLVLEARSGLEGSIEHLVEEDKLEKDMLTKKGEILAEELAGLLELVRLKEAEIAENNSRIHEVQDRISVMVSRFHGSQSDIDLKLNALKEAQSKVDLESEALELKRNEIDKFISVTEKKNSELREIIGACSFEAKTCQQSVELRRKLASSILKSREDRIGLLKMEEEILQDIQMLRQKITDARTSLQEISSRRTSIQQEMDSFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKDELHTKLGKAATDLEIIDKDIAATTDKIQECEGLIVQKEKESAVTSYKRLRLDSAAARAELAAATETDDNEEVEILRKEAEAAESKAMELKTCYDLQLEDDEFMFRHVVPIAFITNSTGQHLVEIASSFGLSP >Dexi3B01G0035350.1:cds pep primary_assembly:Fonio_CM05836:3B:38144943:38148499:-1 gene:Dexi3B01G0035350 transcript:Dexi3B01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAGLVAVLSALLAAALRRLLRLRHQPTPAAGFFHPYTNDGGGGERVLWCAVRAVQELRPGLPCAVFTGDADASPDGLAARALDRFGVSLLCPPQRNSMYNNNSRIAGSIWLSRCKILYYTIFSLLYGLVGSCAHLVMVNSSWTKSHIVNIWKIPERTKRVYPPCDTSALQMLPLERSTTPPVLISVAQFRPEKAHGLQLEAFALALTRLDPDFPKPKLQFVGSCRNQEDLERLQKLKDRAIELHINELVEFHKDISYMDLVQLLGGAIAGLHSMTDEHFGIVVVEYMAAGAIPIAHKSAGPMMDIVLDEDGHQTGFLASEKEEFANAIIKVLRMSEQARQEMAAASRKRAQRFSGQRFHEDFTEAVRPILLPREA >Dexi4A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:4A:16398753:16401926:1 gene:Dexi4A01G0014360 transcript:Dexi4A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGTAKMGIRALDAVLLCLFVLVQVHGGAVISGGTADGMERWGYVEVPPKAHISWWYIRSPQRVSSPTKPWPIILWLQGGPGQSGVGHGYFLEIGPFDVDLNPRNSTWLQKADLIFVDNPVGVGFSYVDDLSALAKKDMQVAKDMTELLKELAKEEIPNLQNSPLFLVGESYGGKIAAMVGVSVARAIRSGTLKLMLGGVVLGDSWISPDDYGLSYPWFLQVVSRLDDNAVGKATMMALTVKQQMAAGQFVAAYKTWTDLLDLIDSKSGSVNMENFILDTTVSSMPSNLAARPLMSLEHSLAVTNNDFNTISDTVNGYLKQKFKIIPKNYTWEEVSLQVDELLSYGVNVTVYNGQYDVICSTIGTQAWIARLKWGGLRNFLSLPRKALHYCHPYYLADGFVRSYKNLHFYWILGAGHTVPVDKPCTALYMINDIVQSPAN >Dexi9B01G0049310.1:cds pep primary_assembly:Fonio_CM05836:9B:48017476:48021712:1 gene:Dexi9B01G0049310 transcript:Dexi9B01G0049310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFEEQVVADLVEDPNGGLVVLSSGFPLASLAAALLLQLQHTGEAEAGGCLLVLSAPDPLKARIRRRLQDRLQVHDVPPNLPAQQRASLYASGATLFLSPRALAADLLTTRLHPSRVRALLLLSAHRSSDTSSDAFIARLLRQRHLLPVYAFSDRPHAMVAGFSKAERAMKSLYVRRLHLWPRFHVLAAADLERAPPDVVDIRVPMTPAMRGIQAAVLAAMDACLKELRRTNKVDVDDLTVDKGLFKSFDEMVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQAAREDGMKLSTDNKGTPTKKRKVAHKETENKDSIAGKHDTQKVNAYPGIVLEEVLEEAPKWKVLWVMQEEWEKYLLGKAELHGLQKKNKKKSEQPKGFGVLDGEVQMGPSESAGSISISKLETNALLAAASALRNMAKEDGVKDGSNVSCSKRGLVKGKAKGKSKKTTEKRQASNKKGKSKGANDNGQDTALEEGQSGKADERADIDNSKVSTDNAFVSDSTAANACNYSSDFRGLANGKSLPPVQFHALDSDQHVLDVWKPSIIIVYHPDITFVREIEVYKAENPLRKLKVYFLFYEDSTEVQKFESSIRLENEAFESLIRQKSLMMIPVDQVDGRCIGPTLANEPEPLLCQNSVTRKAGGKRAPEKEMQVIVDMREFMSSLPNVLHQKGIRIVPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQVETMARYYKIPVLLIEFSQEKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATAEMFISLKTNQDEPDEKKAIRVGVPSEDGIVEDDVRAENYNTSAIEFLRKLPGVTDSNYRAIMDGCNSLAELALLPVEELAELMGSQKGARTLKEFLDAKCPTML >DexiUA01G0013840.1:cds pep primary_assembly:Fonio_CM05836:UA:29167943:29170074:1 gene:DexiUA01G0013840 transcript:DexiUA01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Dexi9A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:9A:5920658:5934374:1 gene:Dexi9A01G0009760 transcript:Dexi9A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLSLAATILLSGLVIMAAMGPGEATCTLSCAYGAYITCTNSPGKNFTGCACQYCAPPGCTGCVVRYDNSSSTLQA >Dexi3B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:3B:2796654:2798349:-1 gene:Dexi3B01G0004030 transcript:Dexi3B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAMKRRELLELCRQHGLGTRGSKADLAVSLAGAISGAAAATAESVVEVVVGKGCLKRLGGSASGGISGAAKKVRFSLDEESEERARMRMSQVILQPVVTKTRGRRKARKIHPAAAVSGRDCWQKHDDVGGHSVDNDVIGEVDADAPATQSTMKVVCLCAQIGAERLINPAEAEKEGEVVKAAIDSKRKEKTHENADGIAVNAQAGRKKESRG >Dexi2B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:2B:26223818:26224986:-1 gene:Dexi2B01G0016070 transcript:Dexi2B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFVASALKQPGLEFELICPAVPKPRVVPHFPKQGERARTLQEEDLVPSALLKFKPKETDSVMFTGLLDELLQASEPLPAAS >Dexi3A01G0026640.1:cds pep primary_assembly:Fonio_CM05836:3A:22975541:22979307:1 gene:Dexi3A01G0026640 transcript:Dexi3A01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERRREEHSRRSRSPARDRERRVTPPRRGSPPERRKSSPARARSPPAASHRDRERSPPREKAKERARSPRSPAKASLSHKERERSPPREKTKDQRVRSPKHAREQSPSPSPARRRGSRSSSPRSKRLRKAKGEREAVEVTDSDRRKSSHREEKESGGKHREHDEGRDASRDRKSEREDARGSAKDKKSDRDDGKDHSRDRRAGKDDDRHDSRGGRPDRDDRKAASSREHRVDCSDKKDSAREKMTDREESNGGSGRSSRHGRSGSPDEHRHQGRHGSHPSPRVSRSAARTEGINSRGGEASRSGDPDALARMNAATEALEAKEKQKPSFELSGKLAEETNKVAGVNLLYSEPPEAHKSDIRWRLYGFKGGEPLNEPLYVHRMTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINDNRIEPRRYYELFEKDTIKFGNSRYDHNN >Dexi9B01G0022510.1:cds pep primary_assembly:Fonio_CM05836:9B:17193140:17193546:-1 gene:Dexi9B01G0022510 transcript:Dexi9B01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTATQEAAAAAEGVSSALALRSLADYTVGAIPTLFYVPDFISQSEQSQLLHHIYQAPAPKWKSLKNRRLQNWGTLRSGT >Dexi9B01G0031470.1:cds pep primary_assembly:Fonio_CM05836:9B:33833686:33836474:1 gene:Dexi9B01G0031470 transcript:Dexi9B01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTTSARLLLCLSVFAAAARAHGGGGDDADADADSPKPDLRSRGLVEAKLWCLVVVFLGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDANETFEDLLPDSGYPWAFMLACAGYVVTTLADLVISYVVSRGRTSPGSANDGGGGAAGLEEGKVSTTNGTSSEPQPAEAHGSDHSVASMLRNASTLGDSILLIAALCFHSVFEGIAIGIAETKADAWKALWTISLHKIFAAIAMGIALLRMIPNRPLLSCFAYAFAFAISSPIGVAIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYKPRRPVAVDTPVGRWLAVVLGVAVIAVVMIWDT >Dexi9A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:9A:12503899:12508259:1 gene:Dexi9A01G0017430 transcript:Dexi9A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAGDGGSLEIVPHSGDLEQDRPLGNSLRQDSLFRDANRPAHADHHGQESWARTLRLAFQCVGIMYADLGTSPLRDELLQVFDTGGAACVFSLIFSGGTFALYSLISRYAKICLIPNQQAEDELVLRHKHQPRLSATRRRAQWMKNLLETSNAAKITLFFLTIFATALAISDCMLNPPISVLAAVNGLKLRVSLTNGNSYAEVWITVGILVVFFSVQRFGTDKIGYTFAPVVTLWLLLISGIGIYNVIKYDTGTLRAFDPKHIIGYFRRNKKKGWVSLGEILLCVTGTEALFADLGYFSIRSIQLSSTFILLPSVLLTYIGQAAYLRNNMDNISNAFFSSVPSILFWPTFILALITSIIGSQAMVSCAFATMSHLQALHCFPRVKILRTSRHYSGQMYIPEVNIFLCISSCVVTLSFRTIGFIARAHEICVVLVMVITTLLMTIVMLLVWKVNIWWIIIFFVIFMSTESVYTAAVLYKFIHGPYLALAISAVLIMIMIVWHYVHVKRYKYELEHTVPRHKVKNILERQDLKRVPGFGLIYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKHLPIPHVDVSERFLFRQVEPKELMVFRCVARYGYRDTLEMANGFVTVLVEYLQYYIRDISLFELGEPLRLSNYSARIDSFSKEKPSGHAIYAEEMITPIQSFSELTMHPVGMSNILTHFQTGKMNVEDMLKIEEDQMIIQHEVNNGVIYILGETEVVAKAHSNLLKKIIVNYVYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >Dexi2A01G0029370.1:cds pep primary_assembly:Fonio_CM05836:2A:40407684:40408394:-1 gene:Dexi2A01G0029370 transcript:Dexi2A01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLALVPVVGSDDDDRRRRRRALISYSLPEDIFEHVLPFLPPEDAARSSVLSKRWRARATVLSLSDEHHQDDGQFLPFARAALDRHGSPDIMALDVTIGCATNLGPSTAAWLGDAMERAVGSVSVTVTAPRPLDGELVIPRRLTAKLTSLTLGGADSMFNHGRLVFPAELGGGAATSPTSYGALAELSLSRVRLEEHDARSLGDFLSSCCPRLRKLRLRKVRVGGGLRLPPLCH >Dexi8B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:8B:4392833:4394162:-1 gene:Dexi8B01G0004710 transcript:Dexi8B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRMSMAEVMSMDLSPKKPNKSYGNDGGAYYDWSPADLPMLAAASIGGAKLILTAGGLALPSYSDSAKVAYVLQGKGTCGVVLPEATKEKVIPIKVGDAIALPFGVVTWWHNAHAAAATDLVVLFLGDTSKGHITGKFTNLHLTGSTGIFTGFSTEFVGRAWDLTQPDAAKLVSTQPGAGIVMVKEGHKMPEPCDGDRHGMVLNCLEAPLDVDIKDGGRVVVLNTQNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADETGMEWFSIITTPNPIFSHLAGKTSVWKAISPAVLEASFNTTPDMEKLFRSKRLDSEILFAPPSK >Dexi4A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:4A:22184490:22185484:-1 gene:Dexi4A01G0018200 transcript:Dexi4A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRMSAMAMACLLCLLLAPLLAAQGARPSRKLLSTAQQEKPTSHGGIVDHGAGTTAIPEFEPCSGGRGSGSRGSSGTDEARCDTAKWAEIHTDYIYTQDVKHP >DexiUA01G0021290.1:cds pep primary_assembly:Fonio_CM05836:UA:44144715:44152301:-1 gene:DexiUA01G0021290 transcript:DexiUA01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAAARVPVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFIGCLFSGSIADGIGRRRAFQLSALPMIVGAAIRNMENCIFGICNNAMGERRELNPRMVDSQSTALIHLATSAPYPAKGFSLFSIHHYSIYSDLHTSIEIVDIGCHSLK >Dexi2A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:2A:9127319:9129604:1 gene:Dexi2A01G0009130 transcript:Dexi2A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFFIEMSIVKPPSKEVIRGLFVPSLSGPGATGDTIALLGALVMPFFLFESGIALFVALTINICIVSVSGIICNSSSISPDDSAKCSDITLDSSSFLLRNMLGKNSAVVYGVALLACGTSSTITGTYAGQYVMQGFLDINVKQWLRNLMTRSIAIVPSLVVAIIGGSSGAGRLIIMASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIIGFSWILGFIVIGINIYFLSSKLVGWILHNSLPIYANVLICIIVVPLMLLYISAVIYLTFRKDTVKFASRGELQVPETDKSKVANDSDNVEHKDQLV >Dexi5B01G0033090.1:cds pep primary_assembly:Fonio_CM05836:5B:33606399:33609648:1 gene:Dexi5B01G0033090 transcript:Dexi5B01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASVSPAATPSPVAASTPRSVKRRLTPGRGGESLDSSRHTSPHRSPHAGAGTVFTPKMLSASPKSSRKRLYGDLMRAVKEALHLATVPSCELVCRDNEQRRVFEFCKACVEQEKAGSMYVCGCPGTGKTLSINKVMESLAHWADEVGMDTPDSLAINCTNLGKTSDIFSKILGQFQNRKKGSSKLSPLQQLQSMFSNKELAPKRMMLVIVDEMDYLITRDRAVLHDLFMLTTCPYSRCILIGKPLVVTFRAYSKDQICDIIKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLEARLQDSDKELGILNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVTLQIDISDIGFAFKVRTKVLNPVT >Dexi8A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:8A:6289692:6290663:1 gene:Dexi8A01G0006200 transcript:Dexi8A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSALDVPKRLAEAAAQENELAALLSSSGDSFPGSGYRPENQKKWMEGLGASNLHIQQVVWPGTHDSATNGIGDPIITRPFAECQRFSIYEQLAMGCRVLDVRVQKDRCVCHGILVGYSVDVVLDDVKRFLEETTSEVVLLEVRTEYGQEDPPSFAQYLIDKLGDYLIRQDEQVFSKSIAELLPKRVICVWKPRQSPAPKPGDLLWSAGYLRDDWINTDMPKTKFDSNLSKLSQNPPVAQRRYFYRVENTATPVGDNLASLAVEPVTRRIHRFARLFISKVVADGHGNKLQVFSTDFIDEDFVDACVGFTKARIDGVPGT >Dexi4A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:4A:967489:967994:-1 gene:Dexi4A01G0001530 transcript:Dexi4A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDDAKLESFLQWFQANGADLRGCTIRACGGGKGFGVFATAAPEPGTTHGVVLVVPLDLAITPMRVLQDPLIGPRCRALFEEGGVDDRLLVMLFLMAERRRPGSLWKP >Dexi7A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:7A:25763487:25766136:1 gene:Dexi7A01G0015850 transcript:Dexi7A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLLVGCRIEMEEETFFDSREELTPAPSPRPALPWSGSLDSVWQRRERFMRSMGLDCSSSPGLADAVATVGDVEKVEELVPEFERSWSQSDENDCSMSSWSTEDTGSYGDGASDDNSVSGSSRDDASSKVGRSFSSLSFIQRLMSRSGKLSGVPKAIERRRNGWLRRLGLRTGALDHGGDEASTSSSETEQSRGGRYERVKVRCYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLASAGEDGVVRVWGVMQSEDCKIPMDDPSCVYLKSHCKSGFAPADPDNEKECKVKGVRQSADSACVVIPTMVFQISEEPLHEFRGHSGDVLDLSWSNNKHLLSASTDKTVRLWEIGSASCVTVFPHSNFVTCVQFHPVNENRFISGSIDGKIRVWDIPRCKVVDWVDIRDIVTAICYRPDGKGAVVGTITGTCRFYDASDNLLRFETQIALNGKKKSSLKRIAAFEFCPSNPSKLMVTSADSKIKILDGTIVTQNYSGLRSGSCQSLATFTPDGQHIVSASEDSNIYVWNHENQDEVSLKHAKTIWSSERFHSNNAAIAIPWNGQKPRNPVSLASQILPPQGDNFWRMSKAVKCSSTRSEDSTINNFVSRFAPGIFNYNQEFSTESTCRSSATWPEEILPSQSVRAILDESQYKFLRNCFKSTTNSWGQVIVTAGWDGKIRSFQNYGLPAHQ >Dexi3B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:3B:4590734:4590970:1 gene:Dexi3B01G0006660 transcript:Dexi3B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRPAAADQGARSGGAGRRYHQQQQQKQQAQELVLRRLLPCNKGKACRFKRSCFSEEEDAASSAMLLLACVVCAPSI >Dexi2B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:2B:24421514:24429369:1 gene:Dexi2B01G0014570 transcript:Dexi2B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRLPPCPSAWSLVFFLGSLSLLAQAVHGAGTPPTPSLPPAEVRVLSRIKAKLGVSNWDFAAGLCDYGSSGVHCDCSFSNGTVCHVTEIFLKEQNFSSELPPDFAEFPNLLQLDLSRNLFHGGVPDQWARMKLQGLSLMGNRLSGPFPMVITKITTLTNLSIEGNEFHGPIPPEIGGLIRMEKLILSANEFTGPLPTALSLFSNLTDLRISGTNFSGRMPDFLGKLKRLEKLQIEGSLLEGPIPLSLSELTNLFDLRISDLRGTGSAFPDFSRMQSMKTMILRKCSISGSLPSYIGSWTTLKHLDLSFNKLNGEIPPSFANMQGVDYIYLTGNSLTGNIPGWLLRRNKIANFDAANKIGEGGFGSVYKGSLSDGTIIAVKQLSSSGYMAPEYAMRGYLTDKADVYSFGAVALEVVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGTNYSTEEALLMLNVALLCTTAAPTLRPKMSKVVSLLEGHSPLQPLLSDLSLATNSLSSSGVRRNFWQNPSENQSLKGQASYSDTNKSSTIGIDGIMIPLVS >Dexi3B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:3B:10281028:10285173:-1 gene:Dexi3B01G0014210 transcript:Dexi3B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLLPRRFLAPSSVSSSSSSATSSSSPSRWALPSPGSPRRARLAAAHPHPRPRRLARHKVRAHLFDQELVVSRSAEMWRSLGVFDGFVIWLFQVYAAGTISGEQPKWWEKNAGPNMVDIHSTVEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRFQKLKDAIAIHNTARCSIGPPVGVGSVDLLDSASPQEKPAEASPR >DexiUA01G0013380.1:cds pep primary_assembly:Fonio_CM05836:UA:27827872:27833929:1 gene:DexiUA01G0013380 transcript:DexiUA01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQSSGAAASWVVGRMGTDAHLYDDPDDASIPALLDSRFDADKVDALKRLLALIAQGVDVAHLFPQVVKNVASQSLEVKKLVYLYLLHYADKRQNEALLSVNIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLSDLLPDEAMALEEIVDILFGDNSPGVVGAAALAFKTVCPSCLALVSKHFRRLCETLPDIEEWTQIILIEILLRYVIARHGLVKDSLLSVEIQGINDSDPVASMPTQHDSAGNGLCGTISNIMLFRHYIEEFSGFPDGEGDSLRLSSVTTSGNNDVAILLKCTSPLLWSRNSGVILAAASVHWIMAPIGDVKRIIGPILFTLRSSPDAAHVMLGNILVFAKTMPLLFAPFYEEFFINASDPYQTRALKLEILTTIATEPSIQAIFEEFQDYIKDPDRKFVADTVAAVALCAQKLPSIATTCLEGLLALVFYESSISNSGHFDGEDAVLVQAIMSIKAIVKVNPVSYEKVIVRLVRSVDKIKEPAARCLIIWIFGEYNFMGSLISRIVSAVLKYLAWTFTADVVETKLQILNASAKVIMHCPEEHMEEFKRIVAYVIELSTYDLNYDVRDRARLLSRLLPCYTTRRGPSHHPPNGDICKELAYHIFDGKLQPSSPSTNNYRIYLPGSLSQVVLHAAPGYTPLPKPQSMGLSHNKIIEPNRNNNSVAESGSSIYESSSVYDSESEGDGLSDRGTDGSLHHQDNQDAPLVHIYDTSIQQGQTGQNAEENFADLISTDLTELMSKSALESWLDEAPADTLVQNSLQASSARVTFTNHSFEQKPKLHTLLDSSDSNGLRVLYAFSSEVSPRSRILVCVDLYLENVTTQQLTDIAIKSEEASSGVDSIGQTSEGSVRCAFKDHLDKLEHSDKNLQVAHSVASKILSNTNVHLVSMDMPVTFNVDDTSGLCWRFSSEIPSTSKPCLITVLAEGHASGPLDLTVKVNSEDTVFALNLLNRVVAIIE >Dexi1B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:1B:8607405:8607655:1 gene:Dexi1B01G0009490 transcript:Dexi1B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGCLLCPFPGLTPSGRNLTALLPELWDLLASLYSHSIQEFFQLHSPPAAAAAAVDGGPEPLGNNFIGKFIIDITCTP >Dexi8B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:8B:15242731:15247149:1 gene:Dexi8B01G0009010 transcript:Dexi8B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALANGDSQSGPQRNYQVVVAATREMGIGKDGVLPWKLLGDLKFFKELTLSTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMQSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIQSSIGCDTFIPPIDFSVFQPWYSSFPVVESNIRHSFITFVRVRKSVAETHDSNGKESPEVGTKYNKFEIENFSFLPKVIFDRHEEYHYLNLVEDITRSGAQKSDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCGDAHVYRTHVQALEEQIQKMPKPFPILKINPSKKDIDSFVASDFKLVAISWSPALY >Dexi1A01G0028260.1:cds pep primary_assembly:Fonio_CM05836:1A:33944600:33946053:1 gene:Dexi1A01G0028260 transcript:Dexi1A01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGGSGRQQQPEPENLPPMTPLPLARQGSVYSLTFDEFQTALGGAGKDFGSMNMDELLRSIWTAEETHAVASASAASAAAADHAARASIQRQGSLTLPRTLSQKTVDEVWRDIAYFGGPSAAPVEAEAPPPPAQRQQTLGEITLEEFLVRAGVVREDMTAPPAVPPLAVPAPPRPPPPQPPMLFPHSNVFAPMVPPLPFGAGLMSGAVGQGDGAAAPAVSPVRPIASNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKAPAMEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKEMNDELQKKQVIPCI >Dexi5B01G0031180.1:cds pep primary_assembly:Fonio_CM05836:5B:31993594:31995955:-1 gene:Dexi5B01G0031180 transcript:Dexi5B01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCEGSGAARRATYRPTVSAPGSWNREKRDKGDGGQRGERGGEPHYTAADHERLGTRRRDHRLRAQVEGDTNCSLASRDSPGVAWAPGRVLAHMVSAYLANGDCRAEEERNNENVWRTGTYIHLGTLLMKFVREIRSPHVLLDPGLIAMSPGRGSRLHLLDQEDNGCGAWFLRERSHGSDALHERLDIATMTEEFSIRPTLQTNLQAKSKISSDPTDARIRSSVFSNLRHQRRISCFISLLPDLRPAQAWNCSTLSKSNSLRGLGLMNHPFRTHGSPEITARTLSLALILA >Dexi2A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:2A:32836304:32836996:-1 gene:Dexi2A01G0020690 transcript:Dexi2A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPHGRLRNSAGSVSANTPGPSSQPDGHSKWFGSGAGSSFPPPLPTSESTGAVVDVSVKPPTASAGGSTVAFSVATASGTAGFSVAAAVAAASDTGALVVVSSTPVVATEASSVLGAGSGAGATRRRLEFFFDRLVLLGDGEEADEEEEEEVLLRVPPPTGTLRREPPLQNLVSRDSHRGHGSPMAGADDAAGSSSSSAGADG >Dexi3A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:3A:4744676:4745932:-1 gene:Dexi3A01G0006990 transcript:Dexi3A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSLGTAASGGSGPRFTLLTSFKKRKIKTIKDCRFRVSCAASAMDARNQEMTGNSERPLDEKRGHGFNGEMISASLKRRSSNTAARESGIAIAMAAAAHTSSPIVQELAGNRDGGNTICNKGCRLPCGSVGVAMDEKAEEMLHVLDGLRQDWEVSVLDFALPSRGVQNQKPLERDHHHNGEKECWLIKRSCLTTVAVCEGSNGAVSQNRVASSTPSTGAQKPTRRSSPVQEARADGQRSCKRTKHSARMTPMRPFMSLSSGLQCLGVTNATPVLTKTLTATDCCLHQSRLQFSPRNVMESPLMSILTPEEWRSVHNLDKVDGLELEAIDQHGYSYKMRLKYSDSARQYRLMQEWVLFLTQNGVRQGDVIEVGALRVQGRPMLTLLNYSTTQGWIPEEIEAADGLLMLSDFSDRTRS >Dexi9A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:9A:11265103:11268091:-1 gene:Dexi9A01G0016340 transcript:Dexi9A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFAVTSPTLSAFHAHRSQRLHTRRDRSQVQALAQAQLQYNKLGDSDLLISEVTLGTMTFGEQNTEKEAHDMLSYSFDQGINILDTAEMVRYIGVSNETSFGIMEFVHAAKAHGLPKIVSIQNIDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYLDASANISNRSRLNLFPGYMARYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTTSSIIGATNMDQLKENIDAFTSAPRPLPQEVLAGIEDLFKRYKDPAIL >Dexi1A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:1A:28218580:28223040:-1 gene:Dexi1A01G0021460 transcript:Dexi1A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEAWVREKPIRTFLARLAQRRAAGAAAFLASTTATAAIDGEGCGEGDIPQLSSIANSVVSRCSSFHSVPVYLMNFVILSYCCDGQGIVRNSLEIEDADEGSIFYTNSTRLAVQVDDKKTVGLSAFARIAPSCPIIADLVTVHNLFDALTSSSGGRLHFLVYDKYLKSLDRGLVSYALPFVFLIFSVVMIWHKYSGDGNLLEVLEVKPPPSKNAVEQILILQEGISKLEDSLQAANIALLKFRSVLFASVPKATEVVAAVLIFAAAILVFVPSRHLFLLLALELYTREMPLRKQNTEKFRRRIREWWARIPAAPVQLIRPNETKKKR >Dexi9B01G0022780.1:cds pep primary_assembly:Fonio_CM05836:9B:17650994:17655772:1 gene:Dexi9B01G0022780 transcript:Dexi9B01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSREVLRRSFFGHLPLLLAGAAALFAAAPRLSASLPSPFDKFLSHGLLSSLAALLGVTTPAVAVAIWFAAAAAWAYAVSRPRPVYLVDLSGYVAGESHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLISVPVDMCLRTAREESHAVIFGVVEDVLRRGAVAAADVGVLIFNSSLLSTTPSFTSLIANRYGMRHDLVSHNLSGMGCSAGIIAIDLAKRLLQVHRDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAVDRRRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMVVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRARVRAYLPDFKLAFDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLCRFGNTSSSSLWYELAYSEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVEGGEEGNPWTPELDVLPIEVPRVSPIDETTYTFPDGAKYKVSVAG >Dexi7B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:7B:25014874:25016029:-1 gene:Dexi7B01G0019550 transcript:Dexi7B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSGEPAAVGEPAPTPSLEPTQRRHPTSRISHIVRTYLDLSSSKKRRAAPKSQPKSGGQETRAAEDETDGSEAGPASSHPSRLLRELGIRVSRYTHEERRDIILRYMQKRSGRQVVNRAASKVPSRQALAERRRRGAGGKFLGKEDAQIILKGRQKKRQNCHQKSSQMLVECP >Dexi9A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:9A:14550753:14553832:1 gene:Dexi9A01G0019590 transcript:Dexi9A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPTVSSRAAAAAAGAGRHHNHHLLDAAAAPPSSPHHRRRRRRRVPGCLRPRAPVRCCAVAAPAPQAAVPAAAARAAAAAVTARVFVVSDLHTDYPENMDWVRRLPAEVGAGTGSGVDALVVAGDVAETRDNFKRTMEVLRARFGAVFYIPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRMIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACKWPSDLANDDESIALYFDKLNDKNHDAIEEVKNSSKQILTFSHFVPRQELCPEKRMLYYPYLPKVIGSDFLEKRLRDIHCNRKDGSACHVFGHTHFCWDSVVDDIRYVQAPLAYPRERKRRMNSEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVARHFAKYHKFH >Dexi5A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:5A:1386589:1387884:-1 gene:Dexi5A01G0001970 transcript:Dexi5A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFGHPTGRYSDGRLIIDFIAKALGLPFVPPFLTYNGSFRQGANFAVAGAMALDASFFSFLPSMLKLYIFNSSTNVQLEWFESLKPSLCSNKVMCKGFFHRSVFFMGEFGVNDYSFSVFGKNLTQIRSFVPDVVKTISAATERVIKEGAKTVVVPGIPPMGCSPPNLVFFPSTDPAGYDPRTGCLKQFNDLVIYHNSLLQEAIKNVQKKHRNARVIYADFFTPIIDIIVSPQKLGFRRDILSCCCGGGGKYNFNISAGCGMPGATVCQDPSTYLYWDGGHFTEAVYRYIAKGWLDSINSYHV >Dexi3B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:3B:12712929:12719830:1 gene:Dexi3B01G0017280 transcript:Dexi3B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHQPRKRYTDFVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQHPWVGVVNRSQQDINKNVDMIAARRREREYFSSTPEYKHLAPRMGSEYLAKMLSKHLEQVIKSRIPGIQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVKKLITEADGYQPHLIAPEQGYRRLIESCIVSIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCATLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >Dexi2A01G0036030.1:cds pep primary_assembly:Fonio_CM05836:2A:45664384:45664740:-1 gene:Dexi2A01G0036030 transcript:Dexi2A01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSVHCYPLPKPSFLTTPHSSVSAGTPPLRRVITRRGTRRSLQRCLCSQYAGEREPPDSPQQLERLFSNLNQATMKHDPVPSS >Dexi5B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:5B:8493212:8499951:-1 gene:Dexi5B01G0011940 transcript:Dexi5B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEESVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFGEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAVSILLKLSSSGPSICICPQAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVVYTPGQQDKCFLCGQVGHLAANCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVQRFQGSRLASGAIPAPYEQNGNKRAQKAAKVSASGSSIAAAIVEAENDLEAQERENKEELKSMLKDALREKSDVFNSENPEEDKVKLGEPGWRERYYEEKFGARTPERIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRDLGQLNITFELGTPFKPFDQLMGVFPAASAHALPLQYRRLMTDPNSPIIDFYPTDFEGIAKLPFIDETRLLAEIKKVEHTLTPEEARRNSIMFDMLFVNGSHPLSPYIYSLNNKFGHLPDRERNEIKEKLDPSARLVKLNQEYYFLNFVSVFRKYGCTSKSLTPALILYSGGMNGYITLCSGDPCPPIFRSPVDGLEDIMDNQVICSIYKLPDHHKHIARPPVGVIIPKKTVEAGDLKPPPVLWHEDSGRRPHDNSNRYFVTSFCFISAPMEIQKKF >Dexi2A01G0022170.1:cds pep primary_assembly:Fonio_CM05836:2A:34033810:34037393:1 gene:Dexi2A01G0022170 transcript:Dexi2A01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPTPAGAGASTAASSSSSSSSSSSTPSPSTKRPTTTLRLLCPSSRATALRPSRDLHVDHPPVGDEAVLVISGPDAPAAAVRAWEHVVGHRVGGDEAMGGEEEEREVTGAVGCRMLAGSGQVGCVLGKGGKTVERMRQESGAQIRVFRNREQLPPCAGPADELIHISGSFSQVRKGLLAVSTCLQDNPRPDTSNVPMGRPFGPPGSSPGCPPGMDPHSQRNYLPPQMPDYHKRNYPSIAGPPGPRFFFEQEIVFRMIILNDMVGSIIGKGGSTIRALQSETGASIKILEPVPDSEERIVAISAREVTGSFQSIHDALIHITGRIRDVIIPKPHPSGGMPPYPPPGNITVGPPLPHPHHSGAMPPYPMHAPMGPFETGAHRPPPAHSMEHMGADRMPYPYGCEQGGPRPFLEQPSPRTWAPEAPNTNSEAARNMPDAVPSTDFGKGPVASENQVATPTSATTEVVIPCKYIGFVCGTNGSDLAQIKK >Dexi8A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:8A:12477931:12482328:1 gene:Dexi8A01G0009440 transcript:Dexi8A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAGHEGSGRRSTAYARAELESLRGAPSEEAQARLWGEVRAALAAAGFSHEYDGLLAADEEPRSRRGNKGRKAAGGGGAGVGRKWDEEVAAPRFSGVPEIGAWRNGDLGVSHEHCFEAASHNHVAACGLVEEPFDQGEAVEYEDDSDDDYDGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEAKQIPRVKVAKVDLSAARKEQTPYMPEIPDIPKCSTDLRASKQWEDAFITQFSETRMLFSEHDSSDEPSTSGMKINSKLSSSTESQSDPTLTMLRSMDAVARAATLRNYIDMVQSLDSLSRNNCLWLFALCVAVDTPLDAETFASLRSLLRKSATILATKSEMDDEVVMLNILMAISGRYFGQYENRCD >Dexi7B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:7B:20011734:20014185:-1 gene:Dexi7B01G0013540 transcript:Dexi7B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRFLHLGVGLLLLLSAQHAPAVVIPSPECQTQCGDVEIQYPFGIGDSCSLSAYYNISCEVQEDNVYKPILYGVEVLNISLIHSTIRVLNHISTYCYNSSSGAMETNPWTFNANGFPYRFSDVHNKFTVIGCNTLAYIMDSDGTGYQSGCVSTCNNKSDLVDGSCSGMGCCQTAIPKGMGYYDVSFDGGFNTSNIWRFSRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASMLAPSDKEQYVTMVQEVLTGQEPLKLDGPETQRSLSSNFLIAMKENNLDPILPSHIKGQESNELIRGLAQLAKQCLDMSGINRPSMKEIADELGRLRKLSLHPWVQLDVEMETQNLLDGASTASFQIEGATTGYPTQDGENLPMNPRSSYYAR >Dexi7A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:7A:16349451:16352349:1 gene:Dexi7A01G0005220 transcript:Dexi7A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Dexi2B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:2B:365848:367593:-1 gene:Dexi2B01G0000780 transcript:Dexi2B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCVVVDARGHMMGRLASAVAKELLKGRRVAVLRCEEMALSGGLVRQKSRFLRFLRKRINTKPSHGPIHHRSPARIFSRAVRGMLPHRTARGEAALARLKALDGVPPPYDRTKRTVIPDALKVLRLQKGHKYCLLGDLSKEVGWNYQGTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGTQLDVLAPVTY >Dexi3A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:3A:616274:616764:1 gene:Dexi3A01G0000850 transcript:Dexi3A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMVAVVAALLLLVSSPASEAAITCGQVASSLAPCIPYATGNVKTLPSGCCGGVRSLNSEARTSSDRQASCRCLKSLATSLKKLNMGTVSSIPGKCGVSVPFPISMSTDCNKVS >Dexi5B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:5B:8186112:8186462:1 gene:Dexi5B01G0011530 transcript:Dexi5B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEIASAQKSDVHHPPSYARGRSFPPPNPLSCTVDHHFFLFVLFPDCCAPPANPSSSSCSMALPPGSVLAWPVLPVAEQAPWANSLRARQRRALGSVAEVTKEELRTTAMEREGA >Dexi3A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:3A:9642223:9643711:-1 gene:Dexi3A01G0013240 transcript:Dexi3A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHQQQHFLIVTATSPRLATSRAASPPRAPAICAPLSAFRRMFPCTATAAEEEMADDGDDDGEQVTFVSYSDGYDGGFDSAADSYASYMERARSAGESSLAASLRRLRDAGRPVTCAVYTLLLPWVASVARDHGVLAAAVFWIQPATALAAYFHYFRGHRDAIASAAANSGAAQVVRLPGLPPLRVRDLPSFLAIRDDDHPFAFVLPEFRELIDAVERSSARPPTYVLANTFDAMEHDALASLSPHVDVFAIGPVLSFLHEEADDANKRTLSPPPRDVFDHDKSGYLSWLDTKPAKSVVYISFGSSSVMSKNQVAEVADAMARIKRPFLWVLRKDNYCKDDNEDDDAAAIKKLAAADDDVGMVVTWCDQARVLSHASVACFVTHGGWNSTLEGVACGVPMVVAPQYSDQGTAAWLVAERVGSGVRAAAREADGVVEADELVRCVEVATSEAVAARAAAWKEEARAAVADGGGSDRSLKEFLRQIAGDGN >Dexi9B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:9B:4773690:4773770:1 gene:Dexi9B01G0007840 transcript:Dexi9B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGSAPRRPSCSSRAR >Dexi7B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:7B:9795042:9796203:-1 gene:Dexi7B01G0003990 transcript:Dexi7B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGNRGAAGRKHLRVLLPFTTDTLRIPDELAADIGAEEAFVVSPFGKGRVRPVEVGEDGDGAFLGRGWSEFAGACGVRPGWFLVLRHHGSGVLTVKVFDASCCLTEIANRPPAANTC >DexiUA01G0001370.1:cds pep primary_assembly:Fonio_CM05836:UA:3904824:3909661:-1 gene:DexiUA01G0001370 transcript:DexiUA01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDDGFVSPSSTPLGPFMCPGDRGRLWTGQEIRVRRISTSAGGDESTTRRTHQPASLTPSLPSSSLDCRCRVTYGVVAMASATTTKVYGWAMSPFVSRALLCLEEAGVAYELVPMSQAAGDHRIPDYLARNPFGQVPVLEDGGITVFGSLERSAMVDVWLEVEAHQLHPVMAAIAMECLFTASLGRARDQAVVDENVEKLKKVLEVYESRLSRSRYLAGDFVSLADLSHFTLIHYFMATEYAALVEAQPHVRAWWEELAARPAARKVAAFMPLDFAAAKKDESLGLVISVTTSTFLETSLLYSQLEAIMESGVVKVYGPAVSPYVATVLVCLEEAGVAYEVVPLDMAAREQKAPHHLARNLVALPPSCQQPFGTIPALEDGDLTLFESRAISRYVLRKYGSNAGAADLLREGNLKEASMVDTWLEVEAHQYHPACVILPMIGGARDQRVVDEHAGRLGEVLRVYDAVLGERDYLAGDFVSLADVAHFGFTHYLMGTEYAALVEERPNVRAWWERLSARPAVRKVAALMSTVAVAVLAVVSATASRAAEATIESTCSAAATQDRRVDVAFCSRQFAAYHGAAEEAGPWGLARTAALVGVNLADDAAYDIGEGTIRAPPASGERGKAAMDECARAYDAVGMAFAEAADELGARRYAAAEERFARVAALARRCDGDLAVAGVRTPPELARYSAECQQMAVIGIAITNLIT >Dexi3B01G0021840.1:cds pep primary_assembly:Fonio_CM05836:3B:16708517:16709773:-1 gene:Dexi3B01G0021840 transcript:Dexi3B01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSGGSDHRRRRKGSSSISGHAVVAQLLESPLPTPRRSCCGRAAADASPLRGGHVPFKWESSPGVPKGASGEGNERVAPPLLLPKPPPGRCGGAARRAYHHHHSNTTTDSTSSGDHGDGDTFSDALDRTSSSDRLAVLSARLSAIDGAFGSRSRRSPSFIMDRFLPAANAIATTSADKHPRRPSPPPRRSKSSSKHAMEEVAHIRRRALAGEQPDRLSSPPPRRGVEAAAQLPPCGDEEDMEGEQMTPRACGLIFFVPWSVKPVLLGFQRSPARSRTPRRADIAASAITASSSFPPRRSITLGDALEKECRLGPHWHDDEKSGSGKEEWSNPGWGAALLGTSKRYCADARKALLSRLTRSGTEGGDSPRIGRERRSGKPIASMLRSTSVRMPPLSPPSESWLSNARRSNAGNNKR >Dexi5A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:5A:24457498:24461501:-1 gene:Dexi5A01G0020640 transcript:Dexi5A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFDAEAGATNGNGAISSHATKPPAPTPGTDAGAAVVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTLLSVMAAVTLYEYSLMSRVLDHCEARGRRHIRFRELAADTTINTGVSIGAILLAADCLEIMYTSLAPHGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFVSLLLSLGYTILVAAACIRAGLSKNVPPKDYSLSSSKSEQTFDAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYSVVVFTFFLSSITGYWAFGSHVQSNVLKSLMPDSGPALAPTWLLGVAVLFVLLQLLAIGLVYSQVAYEIMEKTSADAAQDRFSRRNLVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVLMYNMALAPPRWSPMFLANTAVMVLFAGVGAIGAFASIRKLVMDAGKFKLFSNNVVD >Dexi5A01G0025450.1:cds pep primary_assembly:Fonio_CM05836:5A:29316193:29317722:1 gene:Dexi5A01G0025450 transcript:Dexi5A01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSAIISDLLSRALSMVIQRYKRSRAEKAAEHKLQRLHRVLLRIDATVEAADQRHITNQAMLRQLEMLRRAMYAGHYMLDTLKYRSGHGDGDEQVSAGLPVALPRFISGKRLPVSMNSNRNLQNIMLDTESLNKLEKTIDDLEALMADTLEFTVFLDGYPRICRQPYSTYLILGNVMFGRQMEMEMVINFLLRPESLAGNGNPGVLPIVGVARIGKSTLVEHICRDERVRGHFSSIVVFTGEDLDAVDIAAFRGSAVIKHQDVTAASPSHGGRSLAVIELAGDIDEEAWRRMYYSAASSMGHGSKIVITSRSEKIAALGTTKALILKALPQEAYWYFFKALAFWSTNADDRPKLASLGMEISEFLEGSFLAGNVVGSLMRANQNTEFWLRVLKRLRNCTRKHILRFGEHPTRLLQQGRPVYPWRMHRAQDTVTIICNIYQKPYHQEDVPEVTFGDILSGRSTHQGKFSALAWRSTIPPYYTYLANCASQTPVCSTVNKKRPRQARV >Dexi7B01G0022320.1:cds pep primary_assembly:Fonio_CM05836:7B:27269564:27271329:1 gene:Dexi7B01G0022320 transcript:Dexi7B01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPKTVFLAFIVILVVVIIILLGICWKVLKPDLMRKLMRPRSPGSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFNQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEILSSRKNTDLSLPNEMQYLPEHAWRLYEQSKVLELVDPKVQEDGFDEKEVQQVCQIALLCVQPFPDLRPAMSEVVLMLTMKSDQSIPAPMKPAFLDRKSLKDKAATTDTAMEMRSASYWLNTPSPMVDKPYDMSYGI >Dexi2B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:2B:22731277:22732206:-1 gene:Dexi2B01G0013710 transcript:Dexi2B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCMRKVRIFCSDPDATDSSDDEDGQNIKVKKMVREVYVPMKNFKTSKCLETLVPCGTKALKVSDKKGKSSRFIGVRKRPWGRWAAEIRDPVKKTRRWIGSYDSEEAAAAAYQAYSNKIRAEVLAMKDQHSVSERTTQGYPNKISAEVLAMKAQHSVSERAALSSSSSVSCVSSSTLLGQTTQDMQNGVFMEIHPDPVGETLLNFSTPKEISMDVLLGQQIDEIPVNDSVLPAEGLPHPLDDFARLEDVFPISDFIDATHNPLDDNYIGLADISHLPLPMKDPEFNMDAELDWSEFDFTAIEHELGVL >Dexi4B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:4B:6584407:6584796:-1 gene:Dexi4B01G0009170 transcript:Dexi4B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRKRPRNSGSSSRSSSGGGATSSPSPSGSMRRTTSLSDLAPRPEPASGRAMTTRPAASAGAAGEGSVWDAEMRRHSMGCFPVPEAAFLKACGLCKRGLGPGRDTFIYIFP >Dexi9B01G0044510.1:cds pep primary_assembly:Fonio_CM05836:9B:44260740:44262469:-1 gene:Dexi9B01G0044510 transcript:Dexi9B01G0044510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPPPSTLPTSWAVGLGRPAAPSFPCLKLGRGGEMAALRPRCLVARAQMNHGRNDVQLLPFPNHFVEEMNTIIGRRIKISATCEGFLHREALSTSACVCGSAGKAVIMASQLVDSASLAAGGGLSSDTVHKTLQEYVNVFLRTAEDSYNRRFYKDNVMWFLDALRGLASISHILLEDALEALSHTHPKESLSEYAFNNDVKKMRREFNGQIDDLEYVIWNRCRYNVLGAADDPKRRSSYEVLLEPHASSPAKGIRKGM >Dexi4A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:4A:25146818:25151912:1 gene:Dexi4A01G0021730 transcript:Dexi4A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQYPSSSCSKEHQKIYQEWFALADSDGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFHEFVAAMQLVSLAQAGNEITQDSLKRDGYLGSLNPPVMEGLDALLAKSKQVVKRVDPEMDGFPQEQSSLTNKWFSSKSSKKTSSDFDAKPMVMLLGQYSTGAHIGPEPTTDRFVVVMSGTDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLTDNLQDEFAKVQREYHLPAGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLQNFRNPYE >DexiUA01G0020130.1:cds pep primary_assembly:Fonio_CM05836:UA:42032083:42033399:-1 gene:DexiUA01G0020130 transcript:DexiUA01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGHRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRIKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDVSMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTIYTAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQMSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACAIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYAYGPDLVKEAEEKVRIIRENLKTAQSRQKSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEITQHCGPVAYQVKLPEKLSGVHNIFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDERPVKILDEKERQTRRKGIKMYKIQWSHHSEDEATWETEDYLRKNFPDILS >Dexi3B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:3B:9838640:9843462:1 gene:Dexi3B01G0013690 transcript:Dexi3B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNNIYLGGRGGGNPGQFKLYSGGLAWKKQGGGKVIEVDKTDIRSVTWMKIPKSYQLSVGTKEGLCYRFFGFREQDVSSLTSFIQKSTGITPEEKQLSISGHNWGGIEINGNMLCFNVGSKEAFEVSLADVSQTQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFIGDEHRTSAQMLWQAISVQIDGAGSSETAVATFDGIAILTPRGRYSVELHQSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQFETETVVERELALSEEVLAEKYKDRLESSYRGLIHEVFSMVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVDGKHLKILNLGDGQGRTGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVAEKDDSGSPTDDSDVDGSDASLSGGEKEKSSKKEASSSKPPVKKKQKSVSDEGSQKKKRKKKKDPNAPKRAIAPFMYFSKAERANIKNSNPELATTEIAKKLGERWQKMTAEERQPFIEQSQVDKQRYAEESAAYRGANTQQASGDGSE >Dexi6B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:6B:22300192:22300929:1 gene:Dexi6B01G0014880 transcript:Dexi6B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTTDDGGERPAPALRKKERGRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRAKASEAAEGVGPGVIPGEGDEVGVDVEDRLRPRRVVRAWVCCADRPGLLTDMGRAARSVGNARPVRAEMATVGGRTRGVMELDVCDDDAAEKGRAMALSTLRAVLLNREGDERERFAAAAEGYNKRPRFSAQVAEVQSTTPC >Dexi2A01G0033040.1:cds pep primary_assembly:Fonio_CM05836:2A:43353137:43355937:1 gene:Dexi2A01G0033040 transcript:Dexi2A01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQAILLASDSPAAAAASPSVRAEALAFCARARDESPPSSLLRLCLSGIASSPHAQVHFWCLQSLHDALLLRRLMLPDDIALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLVRLEYPHAYPSYFLDLLPPAPPQPGPTDMFARVLISLDDDLLSQDYPRNAGEANDAMRVKDSMRAQCVPQIARHWHAAASTLRTADPVAAAVALDAARRCISWIDVGLVANDVFVPLLFDIAMSPESAAPLAAAAVGCLSAVAAKRMDARAKVGLLRSLLAAQQGLGSPDSGLKMAPLVTAYAVEALECYRRLGPSDADGAAASEMLEEVLPAVFAAAESSDDEDVDSGAVLEFLSGYVSMMKAPSEKQLGHLGRILEVVRVQMLYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRNICRVAPAASQLFIRGMLVTALSSAEASVEDVEVALTLFYRLGEAVGEEEIRTGTGLLGELVPMLLAARFSCHTHRLVALAYLETVTRYMKFMQEHVQYLPHLLGVFLDNRGIHHQNALVSRRAGYLFMRAVKSLKAKLVPYLDTILQSLQDVLSQFTSMDWASKDTKFPSSEDGSQIFEAVGLLISIEEVSPEKQAQCLTALLNPLCHQIESLVMDAKAQGLEESSPRAISLQQIIVALNMVSKGFNERLVMGNRPAIGVMFKKTLDVVMQVLISFPNVKPLRSKVNLKLFVEHLYHFLL >Dexi5B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:5B:1473108:1474831:1 gene:Dexi5B01G0002300 transcript:Dexi5B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHREGAEVYHGAALCAEKSTELLAEAHLPLGLLPLAEMEEVGYNRATGFVWLRQKKAITHTFKQIGRQVSYAAEVTAFVEDRRMKRMTGVKTKELLIWVTLCDMFVEKDDHSKITFKTPTGLGRTFPVAAFAKEGDDGKPSTKDAPAAATAAAAAVSVSCVY >Dexi8B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:8B:9843430:9843865:1 gene:Dexi8B01G0007840 transcript:Dexi8B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHQTGERGKSEARNSGGDRIRTQARAAPTEASGAESWSPEWAEAGEDPPDLSTAGGADASRRGGEAGEGAVVGVSAGLGRTGGGGVEDGGERPTVGVPPVAPSSACRPAPVS >Dexi2B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:2B:28904463:28905914:-1 gene:Dexi2B01G0018670 transcript:Dexi2B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSKLNLRNSSCPEELEPVALVRLAVRSNLQLQAGYSGSDQRALKAADKIEALPGQPEGIEFDQYGGYVTVDEKNGSALFYYFVEAPQDASSKPLLLWLNGVANVIFLESPAGVGFSYSNTSSDYDESGDSKTAEDAFIFLVNWLERFPEYKNRAFYISGESYAGHYVPQLAATILSHNMFHNRTIINLQGILVGNPYLDDYKNQKGRYEYLWNHGVISDEQLANITHHCSFNSSEDVVCSDFYKWFDYGPIDPYNIYAPVCIDEPDGSYHSSSYLPGYNACEYYPTVTYLNDPMVQEAFHAIKTEWGGCT >Dexi2B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:2B:8442968:8445253:1 gene:Dexi2B01G0008380 transcript:Dexi2B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSMDQMHVLQVCGGFRDEGVIVNPWPAVYLVEAFILVAALQLLFQLICGSLRRRTNNLFIHGELWLGYTLLPPLITYTLGLMHSSTVDVVMYPIWALSLLLVASGANSITAYDLDDNKQWKRHIFYVLQCNIYFCIILFRLLYPSRDRDDDLLYVVPHQRHLFLAVPVFILLIAVFVDPIFGVIASWMVNYSDPSKVVADYMKDHAKFVSSRSVGGGGGGDDSHDYYFSPVSMKGCKYMVRWPYMVTRNRSDRCSTYRCEVPQQGVVTIEMIWDKFNTEYTFTSGVSSARIRGACLAHSLSHLLMRRYFGMDCAEANLVETRQFVLEGLLSESNTDEYTEAFKVIEVELAFLYDFFYTNNRIHFVELPDILKPQIVSSLRSSSGHLTNGKASLQRSHVFEQLSWTLQNETQTENMLTWHIATDYCRIASCGEATDPSVCQYRELATKLSCYCAYLISNAPELLPGNSVDTKFTFDHAMYQAKEALGSNIRNKEGLQQAIYRSTVDNIFIRGLQLGKELENLQHDCWKVMADFWIETILYIAPSENAKGHMEHLARGGEFLTHLWALLTHAGILTRDQPITIGDGIA >Dexi3A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:3A:17343230:17346811:-1 gene:Dexi3A01G0021760 transcript:Dexi3A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQATPPTTVAEEAQAPPPTTVAKAKALPQLQLFNSMTKTKEPFQPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIMENGKAYAMEGDVYFSVDSFPEYLSLSGRKLDQNQAGARVAFDTRKRNPADFALWKAAKEGEPFWDSPWGHGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDKKMAKSDNNFFTIRDIIALYHPMALRFFLMRTHYRSDVNHSDKALEIASDRVYYIYQTLSDCEEALAIHRQEGTSAPVPAEEQKMIEEHHKAFLDDMSDDLKTTDVLDGFMNLLKAINSNLTDLKKLQQKLEQQKKKQQQKKQQQSQKQPADHIQALIALEAELKDKLSILGLMPPSSLAEALKQLKDKALKRAGLTEEELQEQIEQRNVARKNKQFEVSDRIRRDLATKGIALMDEPSGTVWRPSEPES >Dexi6B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:6B:21647117:21647817:-1 gene:Dexi6B01G0013960 transcript:Dexi6B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRGAVQGPGNREPVAMEGGRARSQWRAIGRRGNGERQGSPEGGRAQRSMEGGGREGWRVAAATSEGRGAGAGGRRSARISRDFASRGLA >Dexi7A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:7A:27479508:27484663:-1 gene:Dexi7A01G0017960 transcript:Dexi7A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGSSGRQEDAAPPWAPGEATVFRRFAAATASGRSTEATPSASGNGAASRISSLHGVRRKSLKTVHFDPLTVDVLTRFTMQFAARLTSGIIQTYQQCDPKFKYTDDHNPKRYLTSPSIPAHNDGLDNANWDLILYVNLELVNKMSNRRFIVKEMLGQGTFGQVVKCWDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQKFDPDDQHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNQLRGLKVKYVQAFSKQILEAMVVMRDAGIIHCDLKPENILLAPSVTTAAAVKVIDFGSACLEGKTVYSYIQTSILFFHFSRAAITGLRKFFLAIRILYSHGKLGQPPDDLLREAKNTGRFFKHVGNIFPGSETPDGIASAYRILSEDEIEAVLHFDSETEKTDRLALVDFLKGLLEFDPNKRWSPLQALYHPFITGQPFMRPYEPVPETARIVSMPVTRAAAIDHNPGGGHWLHSGLSPQVGSVNRCQPLNNAYPPRMPFSYGSSYGSFGSHGSYTGNAGFANSYGSIGDANTMNMYYSPLGSSGFTQIGSSPDIRLRPRVPHDRGIRLSPGSLGPMSLGASPSQFTPPNYQMQIPPNSTGKHVSGSPASGGIHGSPLGKAAAAGPYNMRRNFPMPPHDYASQHGQGRYGDGVSFSHSDGYVRGHTGHSQNAGPSSGHSSWRPQIVSRSGFSLEASSSHGPPHVHHSQGPSHSFDFSPNTSAPSALDPADWDPNYSDESLLQEDSSLSADLSSSLHLGDAPHQPSGSTRSANFQGHVFATSNPVSTNQRYCHLTILVLCIQSKHFELASLFAFVS >Dexi6B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:6B:20686599:20689880:1 gene:Dexi6B01G0012950 transcript:Dexi6B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASKKSSSSAAAASAGSLEVEELKQVLIMACISGLSNQLYLGAEQVNEKQNRKRKGVSTDLTSRKAQRGPTKAVSKEVERIDQFFYTYADKSSGLIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGLKALRADSISKLKKAFPELVQEVTRPTNFMDFYAYAFRYCLTEDKKKCIEVPVACELLNLVLGLQFRPQVDKLNNYLQYQNEYKVINMDQWMGFIRFCNEVMF >Dexi2A01G0030810.1:cds pep primary_assembly:Fonio_CM05836:2A:41608928:41609293:-1 gene:Dexi2A01G0030810 transcript:Dexi2A01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAWALDPRREEERQGSQEQGRVRRQVRRRRWRPGVATGPPTTRDASAQQQDRRRRTVPWDVDKVCDGAEMRRSGDRCGGRRRPRSGAAAASGCEGTGSGGSGTRCRRRLGATADGMGGL >DexiUA01G0000140.1:cds pep primary_assembly:Fonio_CM05836:UA:1301686:1304045:-1 gene:DexiUA01G0000140 transcript:DexiUA01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDLGDLGGPITDCTLVLNEVRPSVASFVVVCDDMILDELHLADTPAFCNALLTRTSTHSSQRPPTAPVLGRQGQPGGSGTDTSKSSTGDRANRRSNKGSSKSSSKSSGGSLPPIGGGRQQSSGSSVLSYYNPWTVTNNMWPGSPDQQALLVHQMEFQVQQGIPAPCLYPYFPPPGFQQHMTGMPSSWDQQSLAPTFNTMTLQQPPQTDLYFDSDATSHMTSPKSGHHLSHPGAPARRPHSPGLAVSPTNRQACGYPDDCTCCCCFFDVACSHCCPSGGSSSEGTCVFAELSHASPARLRSRYARRALHVSIQALAQALAPVPRGVVLVPLVPSLYTTSALSPLSQSYRGGLANPY >Dexi5A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:5A:7467176:7472275:1 gene:Dexi5A01G0009910 transcript:Dexi5A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATACATPAAARPPLNTVPARRSSPSAARPPSAAPARRAAGCCFCAAASSSASSPSTWDWTRWSRHFDEVERAESYASLLQFQLEEAVENEDFVEAAKLKKAILETTGKDAVAHVMAELKSAIEEQRYQDASRLTKLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASTGTPLFEIFLVRENDETYTMKVVHLRSTKGTSSASSISSTATEALAKVESESSSESSVISKNITEEASTDTTIKGNEDVEEKEQDTGRSKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELAANSSEELVQDDMKSTSENSLEEPTTEEIQQEEDVPEEDIDEESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDMAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLRLTLSKARVKLTENTTFNRIITDTNSTDPFSGLYVGAFSPYGPEIVQLRRKYGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Dexi8A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:8A:4411042:4412286:-1 gene:Dexi8A01G0004990 transcript:Dexi8A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYYPQWVLLDDRGEIEAVVSNSSTADDRTSAAAARTSTGHLIVVSLRLAAPPATSSMCVHFPAVVPPDMQKESTYANVLAAHGDSLVIYVYFKQGYENQTIDYFVYNAGDASGEPPRPPSLLLLPPLHVDEVTKSHIWGNNGRPVPRWLDRDGTGVLRRGEDEIVVAELKLVVVSWDTTSETKEAELRVVRSAQWSWSVVKRAAVVVDGGGQDRDLLSLWKTDTVFPIGEAQLCWVDLYRGLLFCSIFDENPMLHHAPAEATTLEPVTGPRSSRGVSVTSAGSTVKFVGVFPRCCCGGTVGDLD >Dexi3B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:3B:10144164:10149616:1 gene:Dexi3B01G0014100 transcript:Dexi3B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDEAPPAVHRRPPRPARGSGGAVEGFAAALRRRLRSGASVAARASFSPDSGDESATGEPSSSSRRRRDTSEDASSAGGERAGGDGGGAGTGGVDFSAFTFRASAPVHRRAKESPLSSDAIFKQSHAGLFNLCIVVLIAVNSRLIIENLMKYGLLIRSGFWFNATSLRDWPLLMCCLSLPAFPLGAFAIEKLAFNNLITDAAATGLHIFLTTTEIVYPVLVILKCDSAVLSGFLLMFIACIVWLKLVSFAHTNHDIRKLITSGKKVDNELSAADIDNLQAPTLGSLTYFMMAPTLCYQPSYPRTTHIRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLDAVETVLKLSLPNVYLWLCMFYCLFHLWLNILAEILRFGDREFYKDWWNAKTIDEVKYYCSEVAVFISFFVSAVLHELCVAVPCRILKFWAFLGIMLQIPLIILTSYLKNKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRVEKRK >Dexi5B01G0023000.1:cds pep primary_assembly:Fonio_CM05836:5B:25266391:25267104:-1 gene:Dexi5B01G0023000 transcript:Dexi5B01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFTPGRPRSEAWATRPSLDTNTPSPSRTATLELLRPIDAGDVWAVVEEAQHVVVVEVEAEVARDQPVFLGQWLRRDQRVRRPVEALPQGSRRRVRDDEVAGFEEGYGHAAAAARLALPYRGAIGVGEGQVTVAAKRDPGAPAVHGRAGVGRPDWGLVGSTEKEKAFSPASRKPQEKVVSVDTRKGREWSSTEREEPGASCAGGPDDADEQDSKRG >Dexi5A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:5A:3477999:3481292:-1 gene:Dexi5A01G0004600 transcript:Dexi5A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNLQCIGAFLLPIFAIAASFDPFHQNQQPMGPMGGAGGGQVPFVPHEYVRFADVKRQCRSVLSSATELTFDANRANALMPELTFVKGDWHQDADAVPLMPFDGTDIAGDNTTAGSPEPLPLASFTLTHVDVARRGKTSLNVSGVLGVAISRNGTGPEMGQYVSPELKVWPGSTELKILFEGIYTENEDGESVVCMVGDALLPERSSDVGNPWEWATNTGRDNFQPPVTKDDKILLVLRYPKTLTLTTRAVRGELTSTNAKSEDAYFDAVHILSQLGAYSNYKFGSEEKLVDTACSPHPYHDGILGDGRGFYKGDSFCGILDRFTSEDVLAVVPNWRCNSTATTCKRLGPFETDKSIDVTDGVFTDVGIVMQDVRCEQRNAGGESTARVSAMFRAVPPWQHKYTAGKRTGLSGMTLSAEGVWRASTGQLCMVGCLGVGDKACHSRVCLYVQTTFTATRRSITVGQITSIGSGEHFPLTMKRTVHPTELWNRFGGVNGGGGGAPMSMAYNYTKVRKAGEFLRRSEPFDLGTVLAKSLLSYPRLAAGNLASDEVSLSNLADDLTLHVAAVPDPFPRERLVDRPFFQLEVLSLGPLVGRTSLQQSTPFAASSSSTWQPVSTSASSPPTTTTTTTESSLLNVSAELSLSGEAYANVSRLFLEGVYNPMNGRMYLIGCRSIQASRQSFSTLKDVEDDGMDCSIEMRVEYPPTTARWLINPTAKVLITSTRDPTGDPLHFNTTSLQTLPIMYSEQRQDILSRRSVEGILRIATLAAAIAAEFTQLMYIKSNTDVMPYVSLVMLGVQAVGYSVPLITGAEALFARIAAGDGAAAAAAPSYEVDKSTLYWTIDCVVKILILAAFLLTLRLGQKVWRSRIRLLTRSPLEPGRVPSDRKVLLYSSGVHLVGFTVILLAHYVSVYGRPVHDDGSYMDARGGTHALREWAVTLEEYVGMAQDFFLLPQVIGNVVWRINCKPLKKSYYAGITAVRLLPHLYDYVKAPAINPYFAEEYEFVNTSLDFYSRLGDVAIPVVAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVSGVNEGVGLRRDTSLS >Dexi3B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:3B:742155:742630:1 gene:Dexi3B01G0000960 transcript:Dexi3B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQSQQQVVVLAVVAAVVLAAAASVSEAAVTCGQVNSAIAPCLSYARGMGSAPSAGCCSGVKRLNSAASTTADRRAACSCLKSAAAGISGLKLGNAASIPSKCGVSIPYTISPSVDCSKVR >Dexi9A01G0048340.1:cds pep primary_assembly:Fonio_CM05836:9A:51128258:51131757:1 gene:Dexi9A01G0048340 transcript:Dexi9A01G0048340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERKQAAYSSLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALRSAIPLVKPHNFMHPDDHLILEDESGRVTLAGTIPPAAFVTGVVVALHGKETSAGNFLVEDVLEAGLPPQTALSSADEDKYVVFVSGLSVGSGTFNPLQFQLLIDHITGHLGDENEQTIASNIVRVVVAGNSVHISPRFVNGQTVASKDQSRIAEPIKELDIMLTQLVASLPVDIMPGCNDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVQFLGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGPEKQKVRLICIPRFSESGVAVMPKQKRLRASETV >Dexi3A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:3A:1590:3270:-1 gene:Dexi3A01G0000010 transcript:Dexi3A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNIVGQWAGTASIDFSLAQLIQVIVLLSTGGNNGGGYLASKYVVFAFHAVILLSHAIINSLSITWLSFFGQLSAVWNMLGVFALMVAVPVVATETANAKFVFTHFNTDNGAGIHSNIYICSRASYEPVHTVRIRCFCAYGTEKPSQTEETKNADRNGPIGIISAIGISLVVGWGYILGITFAVKDIPYLLSPDNDAGGVLVGWIAVLWVVTITVLFSLPVSYPVTKDTLNYTPVAVGGLFTLILLSWIIRAQHRFKGPVTNLGG >Dexi5B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:5B:6256300:6256877:1 gene:Dexi5B01G0009180 transcript:Dexi5B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSYSYIAISLLLAARRLLQLSLSRARRARKKTSVESESSEAGSRFPVVEVSAPRVEVTVRIDMAKLHLPHLHPPPQASNLPGQLPNKDRCFDCGHAGGYCRNTPLEDVLRSIRIQCPYDAYGCRTAAATSSTTTPTSIGMARASEPGCAFVSSPPVLCVHLRDTHS >Dexi3B01G0033260.1:cds pep primary_assembly:Fonio_CM05836:3B:35673065:35673646:1 gene:Dexi3B01G0033260 transcript:Dexi3B01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACSRNSNCRNKDKCRDYQEVDVDWSIRSLCACELGGVRRPSLTGTRHSRPDPAASLTSPVAAIKQDSTGQLGVLEEELTVRSGSGGEEEEQRRDVLRDDDGAGIPTTSEPRRGPDPHRTKPRRRSAVVGRGSTVGEEGEGRCRSGGGGEAACGKVEGCCQCGREGRCRPGEEAAASEVVRGAASVVLGRRL >Dexi5B01G0023440.1:cds pep primary_assembly:Fonio_CM05836:5B:25596574:25598644:1 gene:Dexi5B01G0023440 transcript:Dexi5B01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLRAAILSATLLLSLVVSGEAHGIGDFLNIFRPRNEHDYFHNANQVQQEEENVRPRASDQQNLITAPVSRSGLMKVPARSAPTAAAKDTVVLPVDNAAGFPGAWSTVTENAGVSAMHMVIMRNDKAVMFDTVTTGPSLLRLPKGNCRLDLRSKEPGAQDCAAHAVEFDYATGGVRALKILTDVWCSSGALDADGNLVQTGGYFEGEKVVRYLSPCANCDWREYPASLAEGRWYGTQQALPDGRSIVLGGRRAFSYEFVPAEGQKNAQATNLQILRDTTDDVENNLYPFVHLLTDGTLFIFANDRSIVFNYQTGQVVRELPVLPGGGRNYPASGMSALLPIDLRRGADAVSPEVIVCGGTPKNAFRLGEANQFNPALRDCARINPLKPDARWAIDQMPVSRTMGDLLILPSGDLLMLNGAARGCSGWGFARQPVLTPLLYSPRQPRGSRFRALAATAIARMYHATSAVLPDATVLVAGSNTNSAYNFTGVDFPTEVRVERFTPPYLSPERAANRPAIEAGTVPGGGMAYGSRFTFQFSTPAQAVAEADIKVTMYAPPFTTHGYSMNQRLVVLSVADFDAHGNRYTITVDAPGKPEIAPPGYYLLYVMAKGVPSKAAWMKVHK >Dexi2A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:2A:1399985:1400543:-1 gene:Dexi2A01G0001890 transcript:Dexi2A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERTAKLSITDVSLLRQKIELKREFTEAAACLLNSRRKKLQETSQVVARSSSPHGLSPTSSGAMFPVSSFIATAKDELRLSCNDVERFERLAVSARSILTDVQSGCSLRSSMNFSCPLVSHLFELKTLRYKAVQADQMRCFEVWPVRLEDRGLEAVT >Dexi2A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:2A:29149758:29150811:-1 gene:Dexi2A01G0017340 transcript:Dexi2A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGDGRLPPGFRFRPTDEELLTHYLAPKAADPFFTPAAAIREVDLYTAEPWDLLPPGRCWEEEDDDDRSNGGYFFCRRSVRFPSGLRTNRATRAGYWKSTGRDKVVRRHHGGGHGDALGVKKTLVFYLGRAPTGRKTNWVMHEYRLVMPGHRCNSSPGTQLCQSEWVICRMFMKKSPGEKSQLLEQETTLHPPLDDHLLPSVDGCDDRNAGGKAPSPEAAATSDSGAENANCFSSNIALAMAQQGGNGIESMLQLNHEALLMNFASVSSSDHASAPAASPEATLLRDELATDSFDFLPQLLDYEAFPFVIQDF >Dexi3A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:3A:2159557:2163273:-1 gene:Dexi3A01G0003320 transcript:Dexi3A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERTQPATKRVRPSPPPRALAAGVEDRLSALDDATLHAILARVPLRDAAATAVLSRRWPRVFATLPRLTLPPGTFNRRGFPDEGDDDLCEDPQRWMDGLRRVLDARAAPVAALEIDSRFMGVHCDWFNKIFRMLCGNKVLMELSVANTDYTECYTLPFPVYSCTTLTSLDLYNCRLQPAGCITGLLLLRSLRLRNVTATDADLCRMIRRCSAMEHLEIHDVHKARNINIHAPCLKKLVIYSYRPLCISMKKPPPLDMVRLSFSYGYPEHSWSLQDTDKHYTIHETEEMLDYKKMAEREHRQTNVIKNMTTFLRGLSSAKKLQLHLSTEYSEAASREAKRRARELMEEVCSELTQEVQEDQAEVEMEEERWMLHMAEVDAHDSVLAEHFHRKESNNRGNSPASSDSKLFDSISDSNVSSVVSMAKASMRKSLPQKSCLLGLQSLSLTLDHNHEVLATLVSCLLNSSPNLKELRIIELRHLGSPAPLAVGFWETQIKADDLLNHLSSVTFYTDSLFEGHPCGGICKFLVMNARVLKRMRIEYHYSQAQPEHAKKLEAARRELPLAKGFCRCAAGVDSRLSLPVLLRREVTEY >Dexi5B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:5B:13188954:13193408:-1 gene:Dexi5B01G0015770 transcript:Dexi5B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAAYDLGSSRRSQDDTATLIPHSGNLGESSGRGVKTTRFKDDDEVVEVTLDVQRDSVAIEDVRAVDDGGSGHGGGFDGLSLVSPSSSRSGKLASKLRQVTNGLKLKNSSNKAQQTQLGKNVRKRLDRSKSGAVVALKGLQFVTAKVGHDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMEGSDEFAVQVFDSLARKRGIVKQVLTKDELKDFWEQLSDQGFDNRLRTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERAEEYTALIMEELDPTNLGYIELEDLEALLLQSPSQAATRSTITPSSKLSKALSMRLATKEDKGPFYHYWQEFLYFLEENWKRIWVMATWMYLAVPVLLHPFSITSAPGDEYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITESNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHSQQGGSVAGTEPEGSGRSKKKPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKNGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRKVFKHVAVNHDSQRVGVFYCGEPVLVPQLRQLSADFTHKTNTKFEFHKENF >Dexi3B01G0029660.1:cds pep primary_assembly:Fonio_CM05836:3B:28934891:28936339:1 gene:Dexi3B01G0029660 transcript:Dexi3B01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEEHHQHDSHHFLLVLYGFQSQINPGRVLAHRLARLGIDGSVQVTLSVPVATYRDMFPLQQDGVDDGSMPTDGVVSYVPYSDGIDDGSVPTNANDRALRRCASSESLSAIISRLADRGQPVTCIMCTMVFPPALDVAREHNIPVTIYWIQTATLLAINYHYFHGYSELIASHANDPVHEVCLPGLGRPIQIRNFPSFMVDKSGSERTKVFIEVLQELFDYMDQLQPKVLVNTFDELEANVLMEMKQHLDVFTIGPMVRSSMETQIHLFNHDIIDKKRDRVGKVH >Dexi1B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:1B:10191152:10195093:1 gene:Dexi1B01G0010540 transcript:Dexi1B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGAGEPGASAGSAPVCNFVRKPPKNIRKRPTAPSGSDDDDDGGGALAALRSKKGPSSAGKLVFSSADASSEPRRFQFESSRTIQSSDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAAASGSGAGEVYKGIHGYTDYKAGFRREHTVASEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMGGDGSDDEAADEEDDDDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQQG >Dexi9A01G0035370.1:cds pep primary_assembly:Fonio_CM05836:9A:40052249:40060198:-1 gene:Dexi9A01G0035370 transcript:Dexi9A01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERARHATRVSPMPPPPAGGEDPDADAAPSSSGSAADEADAQERSLPNGDIYTGQWRGAVPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRYANGDRYDGEWRAGLPDGCGRYAWRDGTEYAGGWRAGLIHGRGALIWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGSSPRSRDPREVFARELPECVRSGTEDQSALTSLRSLKWLMRSVSGRGSSSSGRSNASSGGSLVHFWGSDGEVKCDIGDDWRRRSVREGRGLPPPSPAPAPHLTNGGPLRVSKRQGVTIATEHKNYELMLNLQLGIRHAVGRQGQAILDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKSGAHQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRITDKPPAEIDEYTTLKDLDLNFIFRLQKQWYQEFQSWSLEQDDVRRCCGGTWSGDEPGRAHSSAGRAASGRASSASWWSKTSGGRGGRERNYEKIRFCGGRGSGWGQHVRHCGEEVRGPRGRRTRTTTTTRGRAATVRVEDAGDGRGLPPPSPATGPYAGGRSRGPRRQGETIAKGHKNYELMLNLQLGIRHAVGKQGQITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFQR >Dexi7B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:7B:18031734:18031931:-1 gene:Dexi7B01G0010810 transcript:Dexi7B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGHYGYKKTDGICDGVSPARPPPPCPIASFPTYGARSATRGAGIGSSACRDVSLPRVT >Dexi6A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:6A:5349387:5349784:-1 gene:Dexi6A01G0005820 transcript:Dexi6A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPGSSGGTGSAAGAGGRRKTDLASFQRASTKEDDAGCCREVWGGDTEVMMRSVAGMDGASFGS >Dexi9B01G0026500.1:cds pep primary_assembly:Fonio_CM05836:9B:28705902:28718351:-1 gene:Dexi9B01G0026500 transcript:Dexi9B01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVAPAERETSAEWGDGVVALGFRVKASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSHVRIYNKSVLEWELTAGLRYKPEAFIKVRQRCEAPKRDVVYPANHTPCRYLRISCLRGNPIAIFFIQLYGIPVPGLEPELQPLLTHLLPQITSAKQPPSHNMHLQADLNSVTETSESSVRFLALLSGPFYPILHLVNERDPTKSLFSSADSDAQRTNPAAIPTGNVEDEGYCIATVYNAQPRRSRSPSYAQPASYLLAFRAETAMLLLRKAHKDKTLGVVCLRKAFFMYYMRLLRSLRYVASLLKLLQTFGLSYHLSKHYFQVDLAVELLEDLLGIIQPNLERLEKIERIHSELMQGNASSVTKKLHLKDLDRKAISDLENKRDRTVIDLLLQAARFDCEYQEKIPEGEHYPNIAGDAKLSVEISTEALEFADAITLLTSLLSIYMDELSLVDGVATQKVRSAKVKPLISYFLRKGTDDTKVLGHITEGSNFESLCFEELFEIVRCGKDSEDTYADKIQVIKEVLSGSASQAVNPDCKSIRESILQVMSSLSSVEAYFEFFSARSAQEYGELEEAEIELELIEKEKSVHNFIGHRHDDLVPDMTSYHKDGNDVNKRLQEVRENIRSLERSRLKEEITARRQKKLLIRHAREKHLEETSSREMELMQELDRERALEMEREVERQRQLDTERAKSRELQFNLDLEKEKQTQRELQRELEQVELGRSSRREFSTNPNSRSRERYRERDGGRAQQEVGSLRSSSRGHEGGSAQASAAAGGPAVVLAGSRTFSGGNLPTILQPRERAATDDDYAWTEGSRDSGDASSIGDPEFDGPRSHVARGGGGKSSSRQLVERRERDGTAAGTGRREGKWERKQHS >Dexi1B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:1B:693010:703601:-1 gene:Dexi1B01G0000810 transcript:Dexi1B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAIRPLHLAPPGREMANSPGGSLVGGAAASDTTSGGSSGDAINAAHLGSRQLSSFPTARRSSRNTSNPYRAHPPTRLGTEALGNASYERKRKQPTEEASHGISEAPKAGDSAPLTPRPKKRKRMSTSDYLSVFKPSSKWQAAAVIARSEQPTIPTMTKTKKVKESPFQKLQRLPDGCHPDFDNDHLCCVNNLREFWHKSHGAVFVDDKGIAIIESIGWEAVIVDYCQSSVIKHLEQFKQLPTDFRMVLLSSPLKDNIVEYKSLLAFLHSPQDIRDYTDADALAILRTRFKRHIAYERKACSSKFLEYWVPAYLSHAQLKQYSSLLLANSSILQSQTATDNVKALQDIVMSLWKCCNHPCLVGLQHSPINTHDVNESANDIMQNSGKLLLLDKMLKEIKNKRLRVIVLFQHKRNEASTEETNEARRKLRKIGRIAGSSSASSPVINNDLFREIGTQSSVGLDLLPETGVENLSTPKSYHAELERELSKLTNVLKLPEPSTGEARNIEHAKKSNIANPSMLPGSATSLVMGINAGNDVAVAADLDHSELPVLASPQNHMTLEYPPAEAEPSDTSLVMAAQDLQTGTQASCLTLDAQHQGMCPDNSSKMNLGLDTAAEVIMKGTTSNHLGDSSAVVKDKNADAVADPMNSENHSYIAPHVPAVLPDASEVETQTGQSNMPAQHITGLPAQQNTAITGYPEAEAEAEAEPSSNLDTESAQSLQPDIQQSSSILDTDSSQTWCQPETAPVLSQGGSTDHHLVDARMGFDVDNNSMKSELQAECNQEIEKVKKKFELIIQKEDQAYHRLKKDIDDACNKVFLQQLLAEDYREKFMKSFPAQVTVCFLIVFTERSVRPTIPQAPQSFQQAQSRMSVAQTTSLLVASPLATRPPGLNPLYSTGPFLQPSQVPWASASEAVQPQPVLPGSLYGAMLSPVSPMDLRYGSYGPVPAPHLQQPRMPAASAVARADQHQLAAMSPGITSSRQSVPGMLEGFASVSALAGVPLTSMASSSVQQAMPSASNSLPALPASSPLSGSAPELMAHFLQSPSTISVAIAAQQASGLIPGFHHVPGGSPYGTAGIAQAGGHLGVANQAAHEPAREALLLLQRQWAHALAPSRTVQHSVASASNPHPGLVASSANRHLMGAQQGWIPNPALGNMASPSNSAAGVWHRGAAPEVANQPDAPGPSSSNARGAGMTGLQASGGSGMMPQGGAGEGEVVCISDDEEQ >Dexi3B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:3B:5924333:5926431:1 gene:Dexi3B01G0008430 transcript:Dexi3B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCRSTPEQLTEGAAAAEDRSVRVELPHRLQEAHGTGVDADTPSLLILVTIVALADHLLPIALASLLATNNLIKIRPSIIVVGGYFEVILFALEYMVYDVTKFLEDHPGGDDVLLSSTGKVFLSLHTTNWWLSNVAKDVL >Dexi5A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:5A:3890336:3892115:-1 gene:Dexi5A01G0005120 transcript:Dexi5A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATPLIAGLAVAATALAGRYGIQAWQAYKARPIVPRMRKFYEGGFQPTMNRREAALILGVRETANAEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLTGKTKGSGSAF >Dexi9B01G0026870.1:cds pep primary_assembly:Fonio_CM05836:9B:29270331:29271587:1 gene:Dexi9B01G0026870 transcript:Dexi9B01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAPGLAVAATDPIRSFLASAAASGDLDADLRELASALSSEDAVPYRSLRAVWCAASPDARPPLRGLLQGAEFVLPIPKPREKSDELKARLEKLREMQERKEYAELVRDVAPPSRNDGPEPFSSYKDQIGFGLHVVVIMFTGYLVGFATFKAVFNNSPVLVGPPLDLWILILSDVHCKMLLEES >DexiUA01G0003170.1:cds pep primary_assembly:Fonio_CM05836:UA:6513189:6514206:-1 gene:DexiUA01G0003170 transcript:DexiUA01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPSAAEFVGQESPVSAFVPPPPPLPPELCTSWDFFDPIDAGRSSSSNNENGLTLNFSILKGLRESREPEVVPLKEEGEEEEEAFMCERRHTELPDGDAPSKQEREPKQSGISKPMQSVDASSKATSSEQVAAKVEESEMDKELCAETEDPSEFITHRAKDFVSSMKDIETRFLRAAEAGSEVSRMLETKKIRLDICPKIPGSPGKLPTARFVSALRVCCNRDIILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSPSDFVEEFAM >Dexi1A01G0026320.1:cds pep primary_assembly:Fonio_CM05836:1A:32272280:32276233:1 gene:Dexi1A01G0026320 transcript:Dexi1A01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAGDAAAAAARGKVRWSVRGVGVFGFGALVCVGARCSVAPPFADCLLDLLLLLLQRRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSAGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEDEKATGHRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSGWNLSVLDLYDCLHAVIIVSYSRYVLCCSISVFSAVSGLELSMEKEQHKCRLNNFFNCMLYGSEAMYADLGHFSQSSIQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKELVSNIAEFIRSSGEYDKNGFLEDTDKPEKLSPISTGIPLWEEDRDLDASVSPHKEIDQKNIAPKQKKTRFMIPKSAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRKNSRGPAYAANIPHASTLEVGMVYQV >DexiUA01G0014970.1:cds pep primary_assembly:Fonio_CM05836:UA:31263580:31264834:1 gene:DexiUA01G0014970 transcript:DexiUA01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVELVVITMTTLLLLACRGSHGHAASAADIEEELPPAWAVPHLRRLLARHKVDAVVDVSTRGGHHYGSIAEALAAAPPPPGRYTVHVRAGIYREPINITRSNVTLIGDGMGRTVISGNQSMHTGHGMLQSAILTVSGDGFMARDLTLQNTAGVSAGPAVALMSMSDQSVYYRCELDGYQDTLNVDCKRQFFHSCRIMGTVDFIFGYAKAVFQECQILVRRSVDGKDNVITAQGRDGPDNQSGFVFQRCAVKALPGDHLDTTTTKTFLGRPWKKHSHVVFMRCALDSIVNPGGWLQWKATTPVPDTVYYAEYRNTGPGANTQGRVKWDQLHLLKEPAEVANFSVHNFIQGDDWLPRFGIIYDQE >Dexi2A01G0037300.1:cds pep primary_assembly:Fonio_CM05836:2A:46880587:46881059:-1 gene:Dexi2A01G0037300 transcript:Dexi2A01G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGGEDATQPADARLFAGVRFAFYGFDEVSQSQCRLEIERCGGVHAGAWDGDCTHLIVSHTLYDDPVCVAARKDRKKVVTDQWVEDSLELGQLADADR >Dexi6A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:6A:22525062:22528657:1 gene:Dexi6A01G0015140 transcript:Dexi6A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSPLRAAALLLLVLSSPLLLLPLANAHPQKPSPAPRQHAPPAAKARETTGISAGLVSTLRETLDAIRGVASIISSFPIGGGGILGGGGDLRLSSAVADCLDLLDLSSDELSWSMSTTTSSSSSPATAGRLGTGDTSSDLRSWLSGALGNQDTCKEGLDQTGSLLASLVATGLDAVTSLLADGLGQVAAAAAITASSSSRRGLGAFAGEQGKTPSAPRWLRGRERRMLQMPVGPGGLAVDAVVAKDGSGNFTTVSAAVEAAPAESAARYVIYVKRGVYKETVEVKKKKWNVMLVGDGMGVTVISGHRNYVDGYTTYRSATVGECASYSAPSVLLEKFKISPVSGKGFIARDLTFENTAGAAKHQAVALRCDSDLSGWLAWDGDFALDTLYYGEYMNTGPGAGVGGRVKWPGFHVMTSATEAGNFTVAQFIEGNMWLPPTGVKFTAGLTS >DexiUA01G0027930.1:cds pep primary_assembly:Fonio_CM05836:UA:59704642:59705502:1 gene:DexiUA01G0027930 transcript:DexiUA01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRRQFMVVPPPLPPRQYEEGHSFMHQMMDHDTVGSGGGGGGGGERGKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAQYDALHARVESLKQDKLALAAQLDELKGRLNGRQDHQQQSGSCEVNGGEAADDRRNSASCVVEDDGGAVTPPVDASEESTAAADYYCYDDHVEYGSLMPEPEPFCTTPDLLWDTWPLLEWNAVA >Dexi1B01G0023900.1:cds pep primary_assembly:Fonio_CM05836:1B:29366774:29367064:1 gene:Dexi1B01G0023900 transcript:Dexi1B01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHIGSSSIFTDHQPVGPYCKKRRRHDGLEREAEKARLWKTEEEDRGSDLRQGQCEGEGGGRDWTASDFFPTKVYPLFPCEAHEASPAGQERTTA >Dexi7B01G0024220.1:cds pep primary_assembly:Fonio_CM05836:7B:28596793:28596967:1 gene:Dexi7B01G0024220 transcript:Dexi7B01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGLADRGGYGGGGAGTEGGRALENSKGIALILSDDPRATAAAAAEQR >DexiUA01G0014080.1:cds pep primary_assembly:Fonio_CM05836:UA:29938782:29943104:1 gene:DexiUA01G0014080 transcript:DexiUA01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLEKGKLSKFSGHAAGGSSIESLMKMPEPEVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAISDLLSSCGKCSQEFRLLDFVSQVSTGSHDMSYDDESDEFCGSVVVHFRIRDDMIACDRRRLAALSTPLYAMLNGGFKESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRLTMVGSASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQLACTRFLRKDYAEAERLFSAAFSAGHLYSVVGLARLASLKGNKHFALKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESHTAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Dexi9A01G0040060.1:cds pep primary_assembly:Fonio_CM05836:9A:43929674:43930138:-1 gene:Dexi9A01G0040060 transcript:Dexi9A01G0040060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDALLENETMAYVFLETGTVACAFQEMGAVACAFLEMGTVACASLEMGTVACASLEMESDVYLVRTCVGQETFDVQEMVTSLAHELVNDDDEEKVTFVTQMTVIFCEEETSDVKMGMDTLVLKVILSLNKVISFEKLKEYFLEKAFEEKMSV >Dexi6B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:6B:1915915:1916757:-1 gene:Dexi6B01G0002180 transcript:Dexi6B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVACIVCLEAVHRLEYETVHGEGTFPCTLMAEALQKLFDECCRDETWKPDEDCYVEHVLNKIQEMRPVLLVTAGVPARPLPLGSWQAHGLAGRSPEFVTALLNSHGPCIGVLCMCPWYHHFDARRDDTLVYRGCGRSERDMDLAEEIYGDQVVSLSHVVVCFAYRFCGYDEQNQEMHALFGAEHVTHDRFVCHGVTRINPQTNKNGGKPMSWVDKAIRVRN >DexiUA01G0021390.1:cds pep primary_assembly:Fonio_CM05836:UA:44326515:44327291:1 gene:DexiUA01G0021390 transcript:DexiUA01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAIYDAGMNALRTAPPLSRPKYSPISVAVGHKHYVLDCSGDKDNCFEALVYEGGETSCGVYHDWRYHSLPSTPYRPGDIDAYAVVGGSDVWVSTEAEGTYSFNTVRGAWSKKGEWSLPFCGLAEYVPEYKLWFGISKKEDRNLFCALDLAAATSRRRCMAPVPRNVWQDLRPRKGWFPVTSSLVHLGSGRFCIARFFYDEEQIEAQADDDPWKMFAVFTAVRPCGEAGKGLEMVRYRSECYSLRDGSLNQWVL >Dexi9B01G0039580.1:cds pep primary_assembly:Fonio_CM05836:9B:40235492:40237853:-1 gene:Dexi9B01G0039580 transcript:Dexi9B01G0039580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRIFFLTMRKKQTTTGNMALVRNGMVDLVVVVAHMAAEVVVVVAVPVVLARFLTFDPMTTVLSLLVDPAAANKRTTFLPDDSLKK >Dexi9A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:9A:5952411:5952861:-1 gene:Dexi9A01G0009820 transcript:Dexi9A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTACAVFLYGGISDHPLPPLTAHRSERAREGTRPRAYGLRGGLTLEAGADDVERPAAAPAAAFSHVHASAVAGEAPSGISGEGWPLHLRLPASLFTSGFRKSMPDCRARHVVTTA >DexiUA01G0023280.1:cds pep primary_assembly:Fonio_CM05836:UA:47640616:47654191:-1 gene:DexiUA01G0023280 transcript:DexiUA01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLHRPASPTLVAFLFLLLAAPHLLASAAFVSRGLSASDAAHIRHRQLLQYTTHAGSNGSTDAASYTFPNPHLRDAYVALQAWRHAILSDPHNVTGTWHGPDVCAYSGVFCAPSPRDPYLTVVASVDLNHADIAGHLPEDLGLLADLAVLHLNSNRFCGLVPRSLHRLALLHELDLSNNRLVGPFPDVVLRMPSLKYLDLRFNEFEGPVPRELFDRPFDAIFINSNRFHFEIPDNVGNSPVSVLVLANNDFGGCLPASIANMSGTLNEIILMNTGLKSCVPPEIGMLTGLTVLDLSFNKLMGAIPEELARLESVEQLDLGHNRLVGDVPEGICHLPHLHNFTYSYNFITGEPPVCLHVQEVRAAVATYAAAVSSSAIAIASAAISSSAISAATFTVSSATGRWAMGAAARRRWAVWALLLLRLLLLHPAARVLANTEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTAKPCPGAPPFSPPPPYNPPTPVQQGSSSSSTGAIAGGVAAGAALLFAVPAIGFAYWRRRKPQEHFFDVPASYTLNCKSFVIWFISVERPESEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLESLVDEDLQHNYIDVEVESLIQVALLCTQSSPSERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRTSEWILDSTDNLNAVELSGPR >Dexi5B01G0025060.1:cds pep primary_assembly:Fonio_CM05836:5B:27171938:27173857:-1 gene:Dexi5B01G0025060 transcript:Dexi5B01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSRSGSGEMTFTREEDYATEEEDQCGSEETCLAKKPRVDGDEELLDVLNRFRSNWTRSMSPYTGPVDAITVDFGPMRYTDSGPPRFGSIHYDAMEIFSIKVTQIEGGLEWPLHVYGLVAVRDSMDHRRNILFHRSKNNYQVLTAEDPFLVLTGPSRAIALIDHPEFEVELYAIGRVPSEDKVLSALYFEYNNISNGRFAGLIQTRRDKTKLRSTIELKFAHLAVPLEATIEVYHSGGTRNFHGRFFAHMDYMGKDEIVLLDSRESKVTILPDGRILLSRRVVIVEEGDELRLGVNASQSRVGQNSVEVVAKFPAKLLGKSGGELNVGFCMMSVSVAWSVLV >Dexi3A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:3A:9670952:9676266:-1 gene:Dexi3A01G0013280 transcript:Dexi3A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHHLLLSPPAPQSPRPALLPAALGHRCGGAGRAPTAVLAAASNAARSPSVAADDATRRRAVLLVGVSVLPLLRLRDAAAAAAAVRAQRSTVDLVTDRTDILKAGGSQQEKPQDEPPQSVVKVQHARNPLSGLLNALAVIASGVFAELLGTSQQEKKALQSTITSMENKLVENEATMSMLRENYEKRILDEQAELKKQARKFQEEEALLQDQLASSTRTVTSLTEEVQREKELVEQLNLEMDRIKRSIAEAEEDKHVSEGKLNENMKMLDILRNKVNLLSQEVNDKDEHIRELSSSLFAKENDYQNLSAIYNQAKDNLEQTNSQIKQLEKDVLTYKDDLKSKASLIDSLNEKVQTLCTEKGEAEEKISALTSQYMDLKTAAEERASRDSELLFEKDDKLNQLEEKLSAALSDSNNDRTRIAELNNELDTTRTMLDDEVVARKSLSDLVHSTEEALIDSRNEVFKLSEDLDEVKRSNLDLMAQISKLTDEASEVRQVLAKKVEEADSVSATLSDELASLREVLRRSQEELEVISNQLISVSEAHSDLNKELLDAYKKLESTTNELVKERKINATLNRELEALVKQSVIESEARKALQVDLDEATRSLNEVNQSTLSLSKQLETTNSKVSAIKEEKEVLSKALEEQKKSTVEAQENMEDAQSTIKRLGTERESFEMRSKQLEDELAMAKGEILRLRRQTSTSGSEISTSTEAILETGVTPSMSQPQEQPVKNRVQNTNSDGAVARSPKRIYRRRKGRPPA >Dexi5A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:5A:3244908:3246413:1 gene:Dexi5A01G0004290 transcript:Dexi5A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMVSDPTPDSTGGGDAGPRAGAGAAALFAVPRLFVGFATKRPYDGESSRSPTSPLDPKALLLRSPRSPRTWGAPGLVDALAGDTTTTNCLLSPRLRLKSYSSLPKDCGAGGEGGGYSQPELGKTMSCPAQDTKAAAAVGMSVPCSRFHHGDLKSGPDATQSDGNGKRHSFDLGKLPGPGSLPASIAAGAQRFIGSVSASEIEQSEDYTRIIARGPNPKTTHIFGDCILEPHTVVGDSDEAAMEAEEGAAGCYFVVKCAAEAAPAADDFLSSCFTCKKKLEGNDIYIYRGEKAFCSANCRDQEIQLEEEAENNTASISPRSSCSSIHEDIFMAGMFVAT >Dexi6B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:6B:8152482:8153271:1 gene:Dexi6B01G0007050 transcript:Dexi6B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYAIVVLSLLAACSISGGHALDENTLQTTLYIKQSFSTDQRTVAKDTVIINWVIKDGPDATANTTGHAEGLTTHSNLSKDIWVTLIDMVFEGGRLAGSTLKVMGLHGSRNDGQGQWSVMGGTGELTMARGVINYKIIQEDTAGRIFEIRIFVYYTAVQST >Dexi9B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:9B:2343696:2345641:-1 gene:Dexi9B01G0004060 transcript:Dexi9B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCMKGLLALTLGLAMAATSSAVLYKVGDNSGWTILNNINYTDWTSKKTFHVGDIIGKFSLLSRSHKINPKFKYPPGIHNVLEVKKADYDSCSNSTPIATHTSGDDKITIKSPGHRFFICGVPGHCTAGQKLNIRVLKPSDAPSKAPSPAPPAPAAASPTTGSGGSSGSASPPASSSSTNGSNPDESTPNSNGSGPREGYRATAVAMALAAVASVAMMLQ >Dexi5B01G0034620.1:cds pep primary_assembly:Fonio_CM05836:5B:34838851:34841395:1 gene:Dexi5B01G0034620 transcript:Dexi5B01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQAQALPPSSSSRGIRDAKLAAASMDSSPAPPLLLGAPTTTPSIDLPATAKVFDLRREEPKIPTPFVWPHDDARPTSAAELDVPVVDVGVLRNGDRDGLRRAAAQVASACAAHGFFQVCGHGVDASLARAALDGASDFFRLPLAEKQRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDGAASPVVVDYFTGTLGRDFEPMGRVYQRYCEEMKALSLTIMELLELSLGVERGYYRDFFEDSRSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDHVGGLEVLVDGDWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRRQERRSLAFFLCPREDRVVRPPASGDVTVAPRRYPDFTWADLMRFTQRHYRADTRTLDAFTRWLAHAPAQEAAAAP >DexiUA01G0009600.1:cds pep primary_assembly:Fonio_CM05836:UA:19015569:19016846:1 gene:DexiUA01G0009600 transcript:DexiUA01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYYPYGAPPPPQMPAAPMPVAVVSPQFCAPYAVPMTVTKKAVSLSGGDFVVTDANGAEMLRVKGAFFSMHDRRVLRDAAGQPLVSMRGKVLSMHNRWEVYRGDSTNASDLLFTVKKTAVFQLRTEITRKYTAANLLLGKDTFVVTVFPHVDYVFIAALVVILDEMHRERFDWDF >Dexi9A01G0029510.1:cds pep primary_assembly:Fonio_CM05836:9A:34424469:34426470:-1 gene:Dexi9A01G0029510 transcript:Dexi9A01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRASKRALSTFAAAATAAKLPEEAVAAAGEAVATAEPLPSATPASFERTSSSRVLGFEDTGRLFAGEPTSALVRTLAALQALSVGPLVDVATAALRSPAVAGSALGRAAARATAYRHFCAGETAAEAAAVVGRLWRGGMGGILDYGIEDAEDGHACDRNAAGFIAAVDVAASLPPGSASVCIKITALCPITLLEKTSDLLRWQKKHPSFNLPWKAHSFPILSDSSPLHLTASEPPALTPSEERELELAHERLLAVCARCAEHGIPLLVDAEYATVQPAIDYFTFVGALAFNGEASGEVPIVHGTIQAYLRDARDRLEAMVTSAERERVRLGLKVVRGAYLTRETRLAASLGVPSPIHGSIQDTHDCYNGCAAFLLDRVRRGSASVMLATHNVESGQLAAARAQELGIPKGDRNLQFAQLMGMADGLSLSLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSASSFDRQLLRYGHCPN >Dexi4A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:4A:5943249:5952918:1 gene:Dexi4A01G0007910 transcript:Dexi4A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKSSCDRIVIAVCICIWIPSHTADYLFLTVEDVSDLWLNVKESFERRVPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKKVYARLEADFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMSNLHEDSLREYDELELCYSESVTSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDLFREFEFRQYIFACQAKLLFKLSRPIEVAARGHAFVVGFSKTLALHENSLSFCFREVWVITACLGLIKSTTSHYDDGAVSVDTEKEFCRLQGDLYSLCRIKASCCRQALMNIVKTLFMRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEVMEKEKMVLQAKSREKLFNIHRKPLPLEPSLLLREANRRRAFLSVGNLSELYDSADGSGLDANSKLSPNRSASNFMARTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSVEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLDSTLFSSKERQAFQSEVVRLAHSEMKYLVPLDVSSLITFAGSAGPPLELCDGDPGTLSVVVWSGFPDDITLESLSLRLSASSSADEGIKAIKSSDSHVLKPGRNTVSFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVETDEFMSFETPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKAGILHIDAGAELKIEESEMIEIEHYRGDLEHASSAEVGRVEKIPIENGKIKLPDWASDVTTLVWFPVRAIDDTIARGESPASPQKQSVVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILCSEVKATLHVKDVQLDLQSGFEHLGKGDGRPASSLFPLVVAPSSRAGILFIIRLSGTKDLDEVENADSMLNIKYGISGDRTTGAHSPVPVQVGDSEELLFKIALRLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMRWRVERLKTPEDANISSDEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRMEITVTCIPLVSGYVHPPQLGLPEVGEVNISCNPAGPHLVCVLPPALSTSYCIPAA >Dexi8A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:8A:14575438:14576306:-1 gene:Dexi8A01G0009790 transcript:Dexi8A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLCIQEEDDEMEHLVNLELERRAAKAEEELKQKKKEMRHKEDLITTLRQQVEHYESRLSECEVRMKSVEEELQKQIASLHVTMAQTARGRRGAPMTTSQCRQDSSRGDLAPSQPSARWQHRACEPDIVSVRESSFEVNELAKEFERESEAFDINARAVVEAKQSPSRVKSVDELNTLRRQFARWKKDYEARLKKMNTELRRIVHTEKNHGDSHNHHQRWGWWRIKTTKCRAPKCCSFKLPSTKLCSSCFRCCC >Dexi6A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:6A:28368652:28372520:-1 gene:Dexi6A01G0020990 transcript:Dexi6A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLFGLGDAQMQMQPQQPQPPAAAAAAPAPKKKRNQPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARVPPIAAGMYGSGGMALGLSGGMAASHMQSFQNQAHSSAITGNNPAGNQFDHLMPSSVGSPSFRGGAQPASSSSSPFYLAGADDGQPGHSSLLHGKPAFHGLMQLPEQHAGQPAGSNGLLNLGFFSGAGQDARLVFPEHVNGSANTESAAIFSGNLMGNQMATAGGGFSSSLYSSTTATVAPPQMSATALLQKAAQMGATTSGGGGGGGGSSVNSLLRGLGSGGAMNGRPGGGAGGFMAAGGESSSSRSTPSQAENESQFRDLMNSLAASGSSGAGGASAFGGAFAGMDDGKLSTRDFLGVGGGGVVRGIGGAAGMPMRHDGASGIGMGSLDPAEMK >Dexi4B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:4B:8779432:8781064:-1 gene:Dexi4B01G0011370 transcript:Dexi4B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAKAERQRRGAGGGASQLRQRLLGIAADLRVHKLHLAAVAPAAAASLLPALAVVALVLLLLAASARRPPPSSSSFSLDAYRSGVSIVPAATGGGARPGSAAAAAARVPSGCDIFRPGEWVPDEDAPYYTNLTCPHIQEHQNCMKYGRPDTGFLKWRWRPAGCELPRFDAAAFLDAVRDTSLAFVGDSLARNHMQSLMCLLSKVEYPKDISKTTNPEFRTLHYESHNFTLAIFWSPFLVRGYQPDPSRHMWNIHLDEPDAAWVTGIAGFDRVLISAANWFTRPAMFYEAGRVVACHYCLAPGVPDMTRGHSLRMAFRAALRALLTAAAAPGFEGTVIVRTLSPTSHFEGGEWDRGGDCRRTRPLVAGSDGTGMAGLDLDFHTAQVEEFARAKAELETSGDGKGKVRMVLMDTTPAMVLRPDGHPSRYGHWAHENVTLYNDCIHWCLPGPIDAWNEMLLQMLLPGYSP >Dexi1A01G0029290.1:cds pep primary_assembly:Fonio_CM05836:1A:34826141:34828843:-1 gene:Dexi1A01G0029290 transcript:Dexi1A01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSRSNSRANFNKEIHDISTAQNSTMPTTYYSDRSLTDMLPPHLLKKVVSEVVSTFLLVFVTCGASAISGSDQHRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLSFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTTPTGPHWHALVIEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAVSGGSMNPARTLGPALASNLYTGLWIYFLGPVLGTLSGAWTYTYIRFEDAPSNRDTPQKLSSFKLRRLQSQSVAADDDELDHIQV >Dexi7A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:7A:19681934:19684371:1 gene:Dexi7A01G0008430 transcript:Dexi7A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASVTPAAGARDYAGGLTFSVMMTCLMAASCGLIWGYDSGVSGLLFDPSSKLFELLISFLIIWLFLVNLGGVTQMESFLSKFFPEVIEGRKNAKVDAYCKYDNQWLTAFTSSLWIAGTLSSLVASRVTRMVGRQAIMLIGGALFLAGSVINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPTKWRGAFTSAYNAFVVIGILSATITNYFTNRIPNWGWRVSLGLAAVPGAVIVLGAFFVSDTPISLAMRGQTDRARAVLQRIRGADADVDAEFKDIIRAVDIARQNDEGAFRRLFSKEYRHYLAIGVAIPVFYEFTGMIVISVFSPVLFRTVGFNSQRAILGSVINSATNLVSTLLSTFIMDRSGRRFLFIIGGLGMMLCEVAISWIMADHLGKHGGATMPRNYATGVLVLICMCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQAMSISITLCISFVELQVFIALLCAMKYAVFLFYAAWLLAMTIFIVMFLPETKGVPLEAMQSVWARHWYWRKFVKDDKNDDQDNCL >Dexi4B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:4B:11282583:11284379:1 gene:Dexi4B01G0013090 transcript:Dexi4B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVYYYILAILPLVYFFLKYCKASLGSHSHGFRLPPGPWQLPVIGSLHHLRGSLPHHALRDLSLRYGPLMFLKFGEVPVVVASTPDAAKEIMKTHDTIFSTRPLSLTMKIITKNGPGIVWAPYGELWRQLRKICYMELLSAKRVQSFRPMREEEATRLVHAIAISSTTSPLVNLSKLIAIYVADASVHAIMGSRLHGKDVHQEGLIDVLLRIQEEGQLQFPLTMTTIEAVLFDLFAGGAEIATTTLQWAMAELLRNPAVMSKGQAEVRGVFTGEMKVTEESLSRLSYLQLVIKETLRLHTSGPLLIPRECQEHCRVLGYDVPKGTMVLVNAWAICRNPDYWDEPNRFNPERFLADTRDLKGNDFDFIPFGTGRRICPGMSFGLANITLGLANLLFYFDWSLPEGINPSELDMTETMGITARRKADLMLSATLHFPLPS >Dexi6B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:6B:7051099:7053573:1 gene:Dexi6B01G0006450 transcript:Dexi6B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTTTTTVVTSPATMNPLLLAYACSGSLEKLIHLLTTAQSPPPAAQLLGGVTTEGDTVLHAVAKHGDGQNFLKMLCMLRFFEAQSSEENIHFALTTVGARSGAIRQDHFHELELDDEGKEIEKVKDTTQTLAIASVLIATVTFGASFALPGGYRADDHNNGGTPTLAGRYTFDAFMIANALAFISSAIATIGLMRSGSPLFKPQSRKFYLGIVFHFMETSVTCLFAAFALGVYMVLAPVAHKTAITICVLCPIAVLCNKAECWLKWALLSWTLFLRMGLINGLISAAIRNAGILFTEFWPLLFIFGWPAYAKDHQLYNHQNHH >Dexi2A01G0022550.1:cds pep primary_assembly:Fonio_CM05836:2A:34412689:34415209:-1 gene:Dexi2A01G0022550 transcript:Dexi2A01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYSILTRDHSKICDLADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEVGSYERTGYSAQGTGSTLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTTREYIELRKD >Dexi8B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:8B:918665:926506:1 gene:Dexi8B01G0001350 transcript:Dexi8B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRSGGHTGLSQCRRNGDCLDDDDDVRIEMVPAVLQAVNTVFPSPFLSSLFKIESRSLKFSGFSSPATSSTHIEAFSCCSDFHRVFAATWNVAGKTPDRGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSEAYTSTITEAAASFSFSQSADTTASASPASALQTPSSSPLDPSLFHKSSNREIRRTVITRGRRLKTCTCQVERPRSRRSYRSPCMMGCSKNTDVVESDTTTSDEEDDEVRTSSFVVSDMKSPAAMVVSRREKYCLVACKQMVGLFATVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRICKRSGSRIPDRILDHDRVIWLGDLNYRIGLSYSEAKKLVEDNDWGTLFEKDQLKTERERGVFRGWNEGKIFFAPTYKYSWNSDSYAGEDSTSKKKRRTPAWCDRILWRGEGIVQLSYIRGESKFSDHRPVCSVFIVEVAVLDNRLIKAASGPNMKVGAEELLIIPN >Dexi1A01G0026630.1:cds pep primary_assembly:Fonio_CM05836:1A:32467351:32468858:1 gene:Dexi1A01G0026630 transcript:Dexi1A01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRSQTPSCPAAAAAPLLLLLAASFGMCIHSFDCVRDARTFLKGGCHAVGVAPAPAPVAGMTELQKHVAFFDREHDGIVTFDETYQGLKEVGIGAVAAKASAALINAALGPKTRPDNANSSSSRMDIYIQNIQKGKHGSDTGAYDAEGRFVPAKLDEMFTKHAKTVPNALTEDELREMLKANRESNDVSGWLGAKAEWEMLYKLAKDKDGRLPKDTVRAVYDGALFYQLAAKKG >Dexi4B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:4B:5253162:5262718:1 gene:Dexi4B01G0007380 transcript:Dexi4B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMLASKSHAFSNKRKGPCSDGDSSISDIWSLLKGDVASNLSRSVVSLVLTDDLCVAYGLHSVFSGIAIECHDDITKFVTTGILATAFLSGRYKEEIEVYCEENVVTGHLYEYDSSSQVAVVKVESALNVPCVRLNREMECKPCKQLIAVARRFDRLITTSGKISYESEDREFLIFSHMFKGHKETFHPLAYDVFEEEWFPHLCALGYPIPSRTMMNRGMILVNTFECPFGDVYPKGVWGKFRKRISSEISRNTVALASFKGDTRIFACTGVFIDFDDKCPIILTSASLVRDPGDQNKIIEDLRIEVLLPGKRREIGTLKLLSLHYNVALVSVDNYRALCPVNLERLPVNVTNDMIHDCLVNDTTVVAIGRIFQSGTLMATSGKLTTDALHVLDCEALCYTTCKTTKAGIGGPLVEVDGNFIGMNFHGMFYNEIGTPYLYREDLSEILKFLKTKETKKFSWGGTIVGDKEPMNRWPVPDPCWCDPSDMEEDHLNKLVSRLLCVANMNAIPAPPPNPIDIATRTQETLHKEAVVQIVEQKRKGGSGRRAGIETMFYGSGFIIVKNLNKKTLMVITCEHVISSIIGADSLKVRLYGSSVNLDATVLFTHKPVDLAVLSVVVENVNHYPVVTFSSADKPPGTIVVLVGNFHPADALSMELNESKLFPLEPSAYGGTILGPPKAKGRQGILINHNCHGMKSTSGSPLICNDTSSAIGVYVATRNQIDEAVATETVNKFLQLWLGKKDL >Dexi3A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:3A:2821210:2823375:-1 gene:Dexi3A01G0004280 transcript:Dexi3A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRLLRFCLALSVLPPAASQPPGCRRQCGNVTVPYPFGIGAGCHHGAATGGFRLRCRRRPPRLTVYGYGHEVTAISLATAEATVLLNASRACYNDNHSGDPNLQQQQQPMALNGSAFLFSSMKSKFVSIGCPGLAYFNDGDGYYVTGCMSVCRTSERSLPGSCRGDDGCCQSNIPLGLGSYRPYLGSFGRRRGPGGGRGWEPQQATFMANSTACSYAFMVDAMWFWLAGSHFNRTGDFAVPVVLDWAIRDAPTCAAARQDTAAYACRSAQSVCLESGNGPGYVCNCTDGYQGNPYVLDGCTDVDECQHSDEFPCYGVCVNTPGSFTCTCPKGSSGNATIQYGCRRDNKFSTALKAVVAASSGVFLLLLAFFAAHLWVQKRRLLQAKRRFFEHNGGLLLQQQLGSLASSGVTFTIFSEEEIAKATEGFSEARVLGRGGHGVVYKGVLADGSAIAVKRSRVVDARNVKEFAREMLILSQINHRHVVKLLGCCLEVEVPMLVYEHVPNGSLHGHIHGGGAAAGELPAGARLRVAAEAADALAYMHTSASPPILHRDVKSANILLDGGLAAKVSDFGASRLAPAGEAAAVATLVQGTLGYLDPEYLLTSQLTSKSDVYSFAVVVLELLTGRKAFVPVEDEEGEEEGSLAFFFITAAQAGRHREVMDEKVREELGVEVVDEAAELLLRCLSMVGDKRPTMKEVADRLHRIRSRASSCRSNSADI >Dexi7A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:7A:15303412:15309669:-1 gene:Dexi7A01G0004500 transcript:Dexi7A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGFYKRARGIAVKHKAALVPSNPRKTAAAPVHQQPAGSRAKQQPVATTTMWSPPPSAVVAMEFIGGGNTGVAPGGGSDADVDRRAALYISRVQERLRREPCQQRHEAQGVGDALLLPQETTGAGGTAQQDAAGGKAKQSATAAATVWSTMPAPPPSPVVAMEAVNGNGGGDAADVDRRAAMYISRVQERLRRERTVDWRMYY >Dexi2A01G0031660.1:cds pep primary_assembly:Fonio_CM05836:2A:42390627:42394289:-1 gene:Dexi2A01G0031660 transcript:Dexi2A01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEPKGQLLHINGRTQDEVARSLERVLLTMRITLSEPKLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGIRSVCFHVVNVTVLFSQAIIIFFITIHAYANEKSEMEELSMVALSGSIWLQALVVTLEMNSFTFVQLLAIWGNFIAFYVINFFISSIPASGMYTIMFRLCRQPSYWITLVLISGVGMGPVLALKYFRYTYSPSAINILQRGERSRGPMYTLVNLESQLRSDKDNMMVSSSVTPVKNRSSVYEPLLSDSPLASRRSLAPSPFDIFQPAHSRTSQPRNIKDN >Dexi4B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:4B:2723117:2724839:-1 gene:Dexi4B01G0003910 transcript:Dexi4B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAADDLARRVSAAFLPIPPPQKQQLSGVAAAVLDAGGRLGRAVVDVFRRLRIDDTFYSGAPQHQRRRSTAGKKNGRRPADGAPPSPGKEGTTGASGRFARSQGSMNLSATYDSRTNDVESSVVARGDLWRAEASHSSGGGGAIGSAPRSSGDGANLFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHKRWFFMSMLCLNPFACSFMDMQFPNGQLKYVAGDGFTARAFLPLGGGILQAHGKFPGDKRVSFSFKIGRSKLNGRAARSGVVLRVDAPLHGFGRPWFSVQMSSGIEF >Dexi5B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:5B:5075092:5076661:-1 gene:Dexi5B01G0007510 transcript:Dexi5B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEMEEEALQSVSDLPVQNPPGEEFSAADLTWVKYASSEHHQEDVAIIRYDRMEAFISGESNNPECPTRFHIERGRKREMGTLKKYRSDDYLLYRMYWCSFGPENYGEGGTILPSRRYRLNTRNRAARPQSMRGCTCHFTMKRLYARPSLVLIIYHERRHVNKSGFICHGPLDRDAIGPGARKVPYIGSEIQQQTLSLIYLGVPEENILQTHIEGIQRYCSSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIKIWGCIRLPGFVLFVAYQENLA >Dexi7B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:7B:20704184:20705497:1 gene:Dexi7B01G0014480 transcript:Dexi7B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFTRVNNIYKSVSCGFVFRVAVVTGGNKGIGLEVCRQLASRGVRVILTARDERRGSGAVEMLHESGLTDVQFRRLDVSDPSDATLLAEFIKEKFGRLDILINNAGVIGATAEIDITTPIQEEYFSGEDLKQELSDIDSLTIERLDEMSEMFLKDYSNVQLKSKGWPADSEYLAYKVSKALINGYTRIMSKKYPKLRINSVHPGYCKTDINFDTGEYTAEDGAGCIVAVALLTEGGPTGVFFFRTEESPFV >Dexi7B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:7B:2701678:2702946:1 gene:Dexi7B01G0001620 transcript:Dexi7B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAARRDVSAKPTPDAKVRSVSLLDLPSELLAEIHGRHDFVDQLNLAVSCRGAAGASSWQQRHKTTPCLVALLPTRRPLRNLTRRGPRDARPRILGSTDGWIVTVDVRATLRMANPVTGEQAALPPITAGTIPFFVDSWPYLIDMNLFRKLTGGHGDRPPSYTISDWQMRNWFYRKVILSASPRPGSYTAMLILYKRFAGAAAFATADDQTWRLAAGSGDGGGVEDAICHNGRFYSVTYAGDVVAWDYDRRHARASEFASVAVWPRLSGDYLRLRRRKYIAAAPDGRLVVVIKDMKEAIDKHPSWSSRMSFVFQVFVLDVARQRWVETKDIGDLALSVGVNTSMCVSTREHPGIRAGCVYYMEDELGEASLRLEDARYAPGELQDVGVYVLKDGTVDSVHGLRHRHRYWPLPAWFMPCM >Dexi6B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:6B:21794272:21795762:1 gene:Dexi6B01G0014140 transcript:Dexi6B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANELWLLWATLAVSLLCYLSSLRRGASNGASRLPPGPRPLPLVGNLLDLHGHLHHTLTRLARIHGPVMSLKMGLTTAVVVSSRDAAREAFTRHDRRLAARAVPDAARASRVAERSMIWLPSSDPRWRSLRGVAAAHVFSPRSLAAARGARERKVLDLLRYLRDHAGGEVDVGHAVYGGVLNLVSSAFFSIDVVDVGAESAQGLRKLVEGIIEAIAKPNVSDLFPFLRPLDLQGLRRRTGRQYEEIFRVLDGIIDGRLASKDTHGDFLDALLELMSAGKVARDNLTAILFDVFAAGTDTIAITVEWAMAELLRNPSIMAKVRMELESALGNKETIEEADVASLPYLVAVVKEAMRLHPVAPILLPHLAEEDGVEIGGYTVPKGSSVIFNAWAIMRDPAVWERPEEFMPERFLDKEAANVDFKAKDFEFIPFGAGRRLCPGLSMSERVVPHIVASLLHGFDWRLPEGVSAEQLDLSEKFTTVNVLAVPLRAVPVLRT >Dexi8B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:8B:24398864:24399124:-1 gene:Dexi8B01G0014030 transcript:Dexi8B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRDLKLEPIHVGEARQITNRVGGLDLGLGYLPSLQSVLAYFLYESASKKEAEEAEASTKVP >Dexi3A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:3A:7671024:7672835:1 gene:Dexi3A01G0010710 transcript:Dexi3A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGRQDLPETRDSAFHMYWVCQRGGTWPSIYNTRLRGREGLVLEVRPSCQVELTSLRRGIWQRRTWRRWGRFQELTIKASSSESSSIVKLCPTTSLAYDMYFGDAVGNLEACFLAGLSVIFTDYRDVFWPHFDLLERATMTGYNLAAYMVTMAS >Dexi2A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:2A:5658956:5660876:-1 gene:Dexi2A01G0005960 transcript:Dexi2A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSQYFQSAQAIGSFHAMRRGVLTSASAGNSGQSGGRVCNVAPWMLSVAASSIDRRFIDRLVLGNGETIVGTSINTFPTVVNATLAFPPRGSCDPGSLGGGSYRGKILLCPHQNSADQNGPLLAGAAGIVLVTYSPDVAFEVPLPGLAVTRDVFDQIMVYVNTTSNPVCTIERTETTDDPQALPDLSAPGIDIIASCSPLASPKVPYNIISGTSMACPHASGAAAYVKSFHRDWSPAMIMSALITTATPINTPGNSKTSSFKYGTGQLNPVKAAQNPGIVYDASESDYVAMLCAQGYNATLLALITGSNTTVCPDGSAAGSPSDLNYPTMAAHVEPGNNFTVSFPQTVTNVGAANDAYDVKIIIAIEAAKDIAIDVSPSRLEFSAPYQKISFTVTVSGVAPLDGQVHSAAIVWYNNEHEVRSPVVVYSSTRLADL >Dexi1A01G0022730.1:cds pep primary_assembly:Fonio_CM05836:1A:29356105:29356594:1 gene:Dexi1A01G0022730 transcript:Dexi1A01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSAVSDHEVVFLNECPARKRSASMENPCVACARPLPPRHDFCSLFFKMRHRHAKHLGESEHGLRRALRVSQRHEEAATPERPQSRKRQRRPSPSSDFQPSCGGSLQKRSRKQPEPERAPFC >Dexi9B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:9B:6708950:6709330:-1 gene:Dexi9B01G0010650 transcript:Dexi9B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFYTTEDELKDVFSPFGNVQEARLMQDHQTGRMKGFGFVKYSSQAEAEKAVEAMDGRVYAFCSAHLFPVKT >Dexi2A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:2A:32788354:32789304:-1 gene:Dexi2A01G0020630 transcript:Dexi2A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTARGGLQHVGNGRGRREECWVACPESQVVAKRKGRQAEAKGESRGGVKELRGWVENSVEQVAGERTEAGGHQHAAGGAEQGERGDSRRATEAAGDKEVGMKLVDLGRLPLRGAGAGAWRRRRRGGDLAARGEAVVVVEPRAAAGSGAGLHEPAPDAAPLPVRRLLPGLPGAGEGERPLLLAEGVRPHTPVAW >Dexi9A01G0026840.1:cds pep primary_assembly:Fonio_CM05836:9A:30876792:30883194:1 gene:Dexi9A01G0026840 transcript:Dexi9A01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLNELPTEAEEEAASAVPQEPPAVVPQEAAVVVSQPQKSLPALTSHAPNLFQPGEGSQSQGILNNNAFKHASIGSGFQPFVRNKDSSNNTRESLKLDDDMNCSIASSSIVANPISDNAAPKVETCNQVSQEVEREEGEWSDADGISENAGSSVSNKDELVGTASTHEKKESQDSEPHLIKSGDVSKDDTAAECSDAEMADASIDQVLRGPTASENTQNLECKGNQPGDDLDACNRSKDVKGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAYRGAGDKAAEKQNQQAIRDQRQSEIMGSERSNSADPSDQLAESNGDAEMEEAELECVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLEAVGRDAHVAVRVKTVDRRERGWYDVVVLPMHEYKWNFKEGDVAILSFPRPGSAAQSGRSSRRAVGSNEDAESECGRLVALLKKLAPESYKQVSGSTSTSSEAVAAGSIDELLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLHQLKGREQQLSQEIAYLQRDLNMVAAAGRSQGSVGVDPDVLAQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSKYFYQGRLTDSESVIKLPDEAYYRDALMAPYIFYDMSHGRESHRGGSSSYQNIHEAQFALRLYDHLQKFLKANGGKKVVGNANALMQSEDWAALIADAKARKCFMDLDSIPKDFLPMKVPSNTPGRSSSNNIRNMRTGGPRPRHLDMFPEPRAGMGIRPDEDDRPNSVPRNGSYRNLDDFGRPVDRPRDSMQFGVPRRPNSSNGRREV >Dexi7B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:7B:25262598:25265596:1 gene:Dexi7B01G0019790 transcript:Dexi7B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQSRTSEVELLLFSFLVADFTCQRPRGAEARLGTLGLGSCQFSRHETGVTHVLVTGGAGFIGSHATLRLLTDNYRVTIVDNLSRGNMGAVRVLQRLFPQPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVESTPQNPINPYGKAKKMAEDIILDFTKSKKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKSRPGKVGIYNVGTGQGRSVKEFVEACKKATGATINVEFLARRPGDYAEVYSDPSKIHSELNWTAKYTDLGQSLSQAWKWQKAHPNGYGSA >Dexi3A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:3A:5744755:5745665:-1 gene:Dexi3A01G0008200 transcript:Dexi3A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVSVKYTSATVGSAMCNSVPVITFFLALLMRMEAIKLRSSSGMAKAAGVALCLAGALVIALYAGRSLSPLNHHRVLAGHGHKQQAAVSRGLWITGTFLMLLGCVAWSLWIVFQGLLLKEYPNKLFATLIQCLFGMIQSCLLTVVAERNHPSRWKLGLDFSLFAVAYSGIVGTGVCCYLQTWAPCSWPCGPPCYCCSLSSAPRS >Dexi9B01G0042520.1:cds pep primary_assembly:Fonio_CM05836:9B:42740373:42748850:1 gene:Dexi9B01G0042520 transcript:Dexi9B01G0042520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKEGSPLLAGAPAWPSNPSRHDLASSWVAVRGWIARKATGKRQNKRKSSPSSHTKRGARRRWARELEARVMPGYVECDWEETEERTDRMRSWCCATAPRGWPAIRLSGCCSSSPSLPPSRGNGRGLFSCDGVGDSGRRRLGTRIYQWGDGDCDGGPSSLVGPARLGLSLSDRWDRRFSYLAIRFPSGAAFPIVIPHTQGTQRGSHPRAQDSIAPPHHARHRRAAASGAARSPASTSYPAVRRLRWSGLVAVRHHVHLGEMRRWLLIGGGLARSPAAPWAASTTTAAGVPGRPPLIMATRASSPPPAAPASSALAVGALRVASPSMQPRAKGAAPALVVDTLRAATPSMPAQVKVAAPAPSPQLPQASSGPGLFRRITTSATPAASRGLPDALRWGPVPTAALNLHGHDLSFRVRPFTPDVAIGFASPSTPVRRFMYSPLRRYSSSRRVM >Dexi5B01G0024540.1:cds pep primary_assembly:Fonio_CM05836:5B:26578434:26579694:1 gene:Dexi5B01G0024540 transcript:Dexi5B01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIAVIGRHWMKLHTLGRGASGAVVSLAADAASGELFAVKSSAAGSPAAAAAALRREEGFLSSLSSPHVVRCGGGAEAADGSYHLFLEYAPGGSLADEVARNGGSLGERAVRAYAADVLRGLAYLHGAASVVHGDVKARNVVIGADGRAKLADFGCARRAIASARGATIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDGGDVLAALHRIGYTNAVPEVPAWLSDEAKDFLACCFARDAADRWTAAELLEHPFVAFAIGDDDEKARWVSPKSTLDVAFWDSESDGEEEEMSVEDAAERIKSLACAAAAFPDWECEEGWIDVLGGEQEQSEVCGEVQVSRSEASKVSSILAVPAGGMGVGGGVLSDDELAAEFGGDVSPHASVGRQSSKRCSSSDCHEYCRFS >Dexi9A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:9A:9766472:9767045:-1 gene:Dexi9A01G0014850 transcript:Dexi9A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLFLIAALVMLMVAAAAAAAVKTPRFGIPRFYAYFGGEEDASSELSLRLYGNTKSGTPFALGNAAAIHLGNTKSCIAGYGSGGGGGGDPYRFCIPSRVAFFTHRDPPVDVHGPLVGEAARDRASIPSWTAISGFMRLIHRRHALPDFF >Dexi5A01G0035810.1:cds pep primary_assembly:Fonio_CM05836:5A:37516340:37519386:1 gene:Dexi5A01G0035810 transcript:Dexi5A01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAVESNGSHGGGDHRTSLSKKDARLSRGDAVNSCFQWTAYFGVSTNLVNFLRDRLHQDSKTAANSFTNWQGTSSVMALVAAFLADAFLGRYWTIALFILISVVVRAATPAPSAALFYAGLYLQALGGSLALQPVLTSFGADQFDDSSEEGRRRQSSFFNWFYQSLNVGSLVGGTVLVWVQSNVSWGLGYGIPALCSVLAVAVFLAGTGAYQRQQPPAGSPITRVAQVVVAAARKWRVEVSADALELHECEADDGMSAIQGSRRLAHTNQFRQSFLRRALEVGKAAAKVSSTPARFLDKAAVETAGDKARPSPWRLSTVTQVEELKCVLRLLPVLASGIIFSAAYVQMSSTFILQGDTLDPYVAGFRVPAAVISIFETISVMLWVPLYDRVVVPLARRATGHNHGFTQLARMGVGLAVLAAAMVTAGTLEVERRRVIARHGMFDTNDGTDGKYLPLSIFWQVPQYVVVGAAEVFTFIGQLEFFYDQAPDAMRSLCSGLSTVSFALGNYLSTALVTIVARATARGGRDGWMPDKINRGHLDNFFWLLAVLCVGNLGVYLLIARWYTYKKTVDD >Dexi4A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:4A:24526283:24526927:1 gene:Dexi4A01G0020730 transcript:Dexi4A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITEEHVVLQMKPTAAGSSSGDDPWRARTMPSRRSVLFATIAVASLIISFFEVQDIIDDKNEARFTVDLAAVEGLNATTIASGGRTVSPAFRLAVRVENPRVLTAWCSSRGGRAVVSYGGVSLAWGPVPGFCAARNGGAAEVVVAAAGRGVGLSEGLRRSLVEELDAGTARVVVEMWLAYDGNGWSSVPVANDGVALVWRELSLPGQGHGAL >Dexi7A01G0024220.1:cds pep primary_assembly:Fonio_CM05836:7A:31997401:31997598:-1 gene:Dexi7A01G0024220 transcript:Dexi7A01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLEQAGLRRGRERGEGRGRRFGLWLWKVDDDSLRATGAPPTAGPPLLAALLK >Dexi3A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:3A:5729852:5731576:-1 gene:Dexi3A01G0008190 transcript:Dexi3A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRKTTTPYALGAAIQVIYAATIVISKASFDQGLSVFVYILYRQAAACLILLPLAILLERRNAPPISFRLLLKMFFYSLVNTLGSCLYNISLKYTSSTAAAAICSSLPVITFFLALLLRMEAMKLKSSPGMAKAAGITLCLAGVMVIALYAGPSLRPLNRHGVLAQHNANSKQAAAAHGIVVSKAMWIMWTFLIFLACVAWSVWFILQGLLLKEYPNKLLTSLIPCLFGTIQSLVVAVAMERGHGFSRWKLGLDLSLVSVAFSGIVGTGVCVYLQTWCVDMKGPVFLAMWNPLYLLLVLFCSSLLGETRAVGEKEGGNSIGDPTGSLRGQE >Dexi2B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:2B:25374105:25374649:-1 gene:Dexi2B01G0015390 transcript:Dexi2B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTSSSSPSPWPCVSGGVLNTSASSSPPPPPPRKGARARRSSCEAEMSPHSSSTSFPSRITLSAASASRAEVGPEPEPEAKAAMPPRHQRKKLELVGAAALIPSMFLPSRPASVAARGGDRGRVGGKGSGRRANLVARGGCCGGGGTEVASGGVGGVALFVSSD >Dexi5B01G0024390.1:cds pep primary_assembly:Fonio_CM05836:5B:26445070:26445363:-1 gene:Dexi5B01G0024390 transcript:Dexi5B01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVYGGGDDEHAQFTPRRQPRQVLVVVQSRWKTSSATQRQMMRSRARCSLTALRRPCLLLVAPRLPCCCCLLTTTSSIIPLLLLLLLLLLALTRPK >Dexi7B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:7B:24991515:24992525:1 gene:Dexi7B01G0019520 transcript:Dexi7B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRIDLKVNVSCCDGCRRKVMKAMSLKGVLRTEIQPSHDRVTVVGDVDAKVLIKKLSKVGKIAEVLPAPAPSENGKKGGAKDGSDKPAQTQTAEEKGTKSKDGGINTAAPACKEEGCKKCTQKAAARSRATDGESGDDHASGKALIKDAGANAKSGDADGFSAKALAPAPPQVQRQHHYHRPEPAMVVPAAYYLPAPVAYYGGCYAMPPPPPHMAAMAMPVGVRRQLRPQPSRFDEDYFNDDNTVGCRVM >Dexi7A01G0022140.1:cds pep primary_assembly:Fonio_CM05836:7A:30530097:30531441:1 gene:Dexi7A01G0022140 transcript:Dexi7A01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATEVDAVHVAQQQEAVKNVFCMGGGQGETSYVNNSQVQSRNLEMVVDVLKETLDTIRLPPSPEKLLTAADLGCSCGENTLFVADVIVDHMSHTYTSIGHAPPEFSFYFSDLPSNDFNTLFRLLPDQQQPTAAGRTGDHRRRRYFAAGVPGSLYDRLFPENSINAFTSTFSVHWLSQVPREVMDETSNAYNKGKVFVHGASEATGAAYKRQFQSDLTRFLRRRAAELKHGGVVFLLCLGRPATADPTDQGRVRLLYGTLFEDSWSDLVTEGVMEQEKMDSFNVPLYAPTLEEVREAVDDAGGAFRINRLEMVMGSPPVVVDAGADDDDPAAVGRTVANNVRSFVGALVDAHVGKAMADELFDRLRRRAEGQARELMAELRLPHVVCSLSLA >Dexi9B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:9B:1018449:1021107:1 gene:Dexi9B01G0001780 transcript:Dexi9B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGNAKAKPSGGKRGAAPKDPADTLLPDKRRRERGGMDDSDNEFDSDMKEIVTLLRNIKDKAHKDGQKKTEQAISSVATEIQTMIQDTKTKFEKERQNFLKALSKTSKECEGLLKNEYTKFQATHDKFCKEKGAHIQNFKDLFSKFEDDKEKLLMHYELQRRKEKTTLSELEKTFSEKIGNAEESLKKMKQDDKSIDILRKSIGSFLGGDVDDQFGQDDD >Dexi8A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:8A:27209859:27211251:1 gene:Dexi8A01G0016050 transcript:Dexi8A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKCASIWSEESEMIANLQSMFWNSSDADASLSSPNSSTSSCLETSTLPTALFLPLVETASYDTTSMWNRATDCCFGHQIQAFAPIDNAVIGDKRAPLMGESRKKSKKPCIVSPVLRTLNDLDDETNTELINHSSSWCCNSEDDSLGVCEESVVLKQSISSRGRSRSSKNSQSIYAKKRRERINEKLKTLQQLIPNGTKVDMSTMLEEAVQYVKFLQLQIKLLSSDETWMYAPLAYNHMSIDINLDRSAKQ >Dexi2A01G0022000.1:cds pep primary_assembly:Fonio_CM05836:2A:33912516:33913869:1 gene:Dexi2A01G0022000 transcript:Dexi2A01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVAPSGRRQDYPGGLTPFVLMACLVASSGGLIFGYDIGISGGVTSMDPFLRGFFPSVYQKQLQAEAGDGGSQYCKFNSQLLTLFTSSLYVAALVSSLMAASVTRAFGRKWSMFAGGITFLRKGGEG >Dexi5A01G0037170.1:cds pep primary_assembly:Fonio_CM05836:5A:38531387:38534320:1 gene:Dexi5A01G0037170 transcript:Dexi5A01G0037170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLTGERTVKRLRLSKALTIPDHTTVREACRRMASRRVDAVLLTDSNTLLCGILTDKDITQRVIARELKMEETPVSKVMTRNPVFVLADTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTAAAVVTVAPTDTVLTASKKMLELKLSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHDGKFLHLPVLDRDGSVVTVVDVLHITHAAIATVGNTGAVGSEATSTMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTDLITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLIAAVDHARQIGWKSLRLHLDYVGVGRRKRGGGSTDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRTG >Dexi9B01G0033450.1:cds pep primary_assembly:Fonio_CM05836:9B:35599697:35611358:1 gene:Dexi9B01G0033450 transcript:Dexi9B01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDGEMEEEGKGQCFFTDKLTDGPHRTEANGSCAPAAMQGGRRGSAPTPLPSNAKDPPCPNIRSMGPPLHLVHIKILAADLLSLTVLQTSRPSFLRRGRTVTRAELVGIVVSRDRREKFLRFLIDDGTGCVPCVLWLNHQYLNANVSSELSASDPNAEMALKMSEEVCLGTLLRVRGKIVMYRGAIQIAVRDVVLEKDPNVEVLHWLQCVRLAKECYDLPPPSAPGLQQRSKTWVVSASAFHQVPPMTGFRKIVADRWEFANEFFKKGAKHLLSEIHRRKSPSCSQPLSPHQPYLSRLFSPALQPHHTSSSTQAAYRVQEEAHHGGNKDFMATLSEDNRELRRRNSLLLSELAHMRRLYNDIIYFLQNHVEPVPPQQQPAATVCRLVELGSADTSSPPTMWRPRGGDDEEEAAPVKLFGI >Dexi7B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:7B:12561076:12561656:-1 gene:Dexi7B01G0005250 transcript:Dexi7B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRVHLNEANVSTVNDLFMSNNQSWNEQLIRDSFMHTYAEEILKLKHRVHMQRDIFAWALLEKKGTYSVRFWEAVKTMTGKKYSTVAFGVMDQRSPGRA >Dexi9B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:9B:8841930:8843345:1 gene:Dexi9B01G0013080 transcript:Dexi9B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSSATAAPSSSSSSPPKPPNNSAMLEPPQSSPYADAAASSVSSSSSSSSTSSSSQADRSSTFSVDSPSTAATPSSSPPRPHRASDVAWGPIRGRDLGPRDFTLLRRVGAGDIGTVYLCRLESSHSEGPTATSCAYAMKVVDRRALAKKGKLCRAAAEKRVLRRLDHPFLPTMFADFDAGADFSCVVMEFCPGGDLHSLRHRMPGRLFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTASPALEEEEDDASPAPAPTCLPIPELQILRLRRWKRRAAVPPPPPPRTFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAAVDWWAYGVFLYELIYGRTPFVGETNEATLRNIVRRPLEFPAGVHVGGGGDDAAARDLIARLLDKDPRTRLGSKRGAADVKAHSFFKGLNMALLRSSPPPVVPPPPAPLHRCDKAADVQQLFEHF >Dexi7B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:7B:22922054:22922734:1 gene:Dexi7B01G0016900 transcript:Dexi7B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAKPASRPAKTAAAPKPKPAAAKPKAAAAGASHPPYFEMIKEAITALKERTGSSSHAIAKYMEDKHGASLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKDSPKAKPAAAKTAAPKPAKAATKTKKPAATAAKPKKSAAAAAGTKRKAPEKKKIVAKPTKSPAAKAKAKPKTVKSPASKKARKVAA >Dexi9B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:9B:5510097:5511818:1 gene:Dexi9B01G0008950 transcript:Dexi9B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFYQSLLLSVAAVALLQLVKLALRPRARLPPGPWKVPVIGSMHHLVNVLPHRKLRDLAAVHGPLMMLRLGQTPLVVASSKETARAVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCSAEILSPKRVLSFRHIREDEVALKLEEIRAVGPSAPVNLTVMFHSLTNSIVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFNIPDLFPTWTTILAKVTGMKRSLQDIHRTVDSILQEIIDERKAILDEKIKSGAENAEENLVDVLIGLQGKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPAVMKKLQGQIREAFQGKAEVTEADLQSSNLRYLKLVIKETLRLHPPAPLLVPREGIEACELDGYTIPAKSRVVVNAFAIARDPKYWDEAEEFKPERFEDGGIDFMGSSYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVEEVDMEEAPGLGVRRRSPLMLCATSFVPAAVATK >Dexi4A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:4A:22457441:22462928:-1 gene:Dexi4A01G0018460 transcript:Dexi4A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAERAVAGELPEEQRPPPVEEEDEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDADRDEGGRERHGARAVRRLAGPNNRVPPDGNIDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKSLLHHWFAAVGWTLGLTDFLLPKPEENGGQENWNGRGERRDRGHGGREMVAPQVEQRMIQHVAEDNGRGNANEANDVVEEPEVDGQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYILWSAAAGTRYAIDYIRSRQLRILVQQICKWCSIVLKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDTPEPSESGATIGSDDQDRALVLHDQEDEVVRVVRRNNVRVHPRLVE >Dexi5B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:5B:11002874:11003644:1 gene:Dexi5B01G0014510 transcript:Dexi5B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRITELHVRMDCNGCQHKIRKTLSAIDGVSEVYVDQANHKITVVGMADPERIVKAIRKTKRVPTIFSHIDPAAEAQPPPAEAEASPPADAPPAEAAPAEPTPETKEAPPPETLAMDSIVINKMHDYPYGDGHHLYREQWVNHPIDMHGVGYDAAPYHVMHSYSHHGTSPYIAEYGYGGSPDQEGRYYSYNYYLGRGKGDGSQITSIFSDENPNACSIV >Dexi4B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:4B:22402196:22403043:1 gene:Dexi4B01G0020160 transcript:Dexi4B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMLGVSEAFALVTRITLFLELWKAKDLHLAMASATGVGFKCPMGSEALEIYRKLCEDGSELKDFSCAFRHYYAGKDEE >Dexi9A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:9A:16277309:16279005:1 gene:Dexi9A01G0021370 transcript:Dexi9A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQAADADPCAICLDDVGRGQTIFTAECSHVFHHRCISDSVAFGHRDCPLCKAAWRDLPATAVTRPSSPGPFDDDEPVVEQGYVEAPDHPVVALKTHCERPAVARDASMGSFAVLVHAVAPGVVAGTQRAPLDLVTAIDLSASMRGNKLRLVKQAVCFVIDSLGPTDRLSLVAFSNDASRVVRLARMTPDGQASARRAVDASPRAAAPTSARASAVLDDRRSRNPVTSVILLTDGRDGYSGRYENRVETDRRAASVDVGELYADEERRFLVFVRVPTAQATEEVTRLMKVWCLYDDVVTGCSEAVAGEDAVVLRPWEVAEGDDAQVSMEVERERVRVTATEDMAAARAAAERGEHAEAARILESGKEAVWWSAPGMAGDPTCSVLEEELSDLGARVASRREYEQTGRAAMLAGMNSHRQQRALSVAVRATHFGSSGRGGGAGRGGRAAPRPYVTPAMQNMVRISQGAR >Dexi4A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:4A:8443499:8444253:1 gene:Dexi4A01G0010540 transcript:Dexi4A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPTSPSADSSPSSSDLDTEQSTGSFFPDRSTTLGTLMGVSAFGEHRRAAAAAAAEEAAEGGEEEEEGRRGGGGVWRRRRRRRRRGQRGRSLGGSWWRLCRDHGDGGPPPTSLGEFLDMERQLAGADDFLFDGAGGASGREAAEAAASALAATALFEDGRVRAPQPQQAAAEERGRWRLLRPSDGSSSSSLARLPVLLTGICSGGAG >Dexi4B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:4B:4821102:4823779:-1 gene:Dexi4B01G0006890 transcript:Dexi4B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRRCRLAVALLVVVAQRRGALAAMVPPGDGVVVDAAARESHGSSTAHADIKGIDGRELATTNSRAVSRGREKKLRIAVPHKHGFKAFVNITHPNTERQKVTGYSIDIFCTAMEMLKDPPQYEFYVFNGSYDALVRSVSLKVFDAAVGDVTITPERIMEADFTMPYAQSGLSLLMLSENDSKPIQWIFLEPLTKELWFATVGGFLFTGFVVWMIERPRNPEYQGSRLRQFSNASYFAFSTLTFSHVLVVVQSYTANLSSMLTTNRLRPLVTDLDQLLRNGDHVGYQGEYADALRKGSKNGGVSAILDEVPYLTYFLSNPQYKMEFQMVNRMYKTLGFGFVFPLHSPLVHDLSIAILNLTGEHEGPQIEERWLSSAATSVGDSPISGFTALTLRSFSGLFIVTGCISALMLLLSISRLLCAKYTRVKGPELQNDDRDGGDVYLTESIALQNDRGDGCMPDQHLHEIRGDDSRNTYAGDEIVTDVETSLMHDGYVPADCVQIEISTGQGVVETL >Dexi3B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:3B:4696501:4702380:1 gene:Dexi3B01G0006720 transcript:Dexi3B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASPAASPPRRRHSHRDDDSPCRRKRRVSPSPPRSPSPGADADRRRRSRDSPPDPDRRRDAKPSEEKENGHAKPGKDEGGEDDRPPRRARVSDGEEDGDRRRRRSRVSDDEKEDGRRRRRARDSDEERDDRRGKRDRERDSRRHRRRSPSSESGSSPDDRRRRRHRRDEGTRRRDDRRRRDDDRGERRRSPENREPTPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMRDVEDKSSPEYQRLTWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQDDQFTHEISLEDDLDPETNLNVFRANQNFVEDEKAYENLKRSILGAESSEDEEGSDAASDEEDEESDEEDDEEQMEIKDRTETNLVNLRRTIYLTIMSSVDFEEAGHKLMKIKLEPGQEELSEHLGIRLLNERLNDPNMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLREHLKNMPRLIMQQQKPESSESESSGSESGSEGSSSGSSSESESSSDESDRRRSKKRRKRT >Dexi7B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:7B:15719427:15720255:1 gene:Dexi7B01G0007910 transcript:Dexi7B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIYFLLAPHLIMGGTSNLPPGFHFFPTDEELIVHFLHRKASLLPCQPDIVPTVLLNCYDPWELNGKMTVCSLQESNNWVICRVFDSTCGSQVSFHDEGMELSCLDEVFLSLDDYDEVSLSSN >Dexi4B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:4B:15668293:15669002:1 gene:Dexi4B01G0014560 transcript:Dexi4B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRAHMLSVSAHRSRMLAAGRGAPDDDDIRVCSVILASVVSLMLLCGVLSVVPGPGALAVTKAYVILGVAAFMLVLMLLAWLMAPAIRARLRPHRHRLRLRRPCGWRAASAQPNRRSGVLCAVCLEDVRPGEMVRQLPACGHLFHVGCVDAWLRAHRTCPLCRCELPPRKAAAAARMATTAAAVMPAVAERRAAAVIPATAEGLPLPPV >Dexi7B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:7B:3339037:3341650:-1 gene:Dexi7B01G0001930 transcript:Dexi7B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQASEAGPRVFGDDENEVEEEEEEYESDGSEPSAKRQKSSDIWEGFWILKQTGTSSKCSACKRILAKSGKSGPSLLRSLQSNVGTTGPDFDAARRAVKAGLELIADVIAKILGTNVLECHTKDDLFDDYAPPEHVSELE >Dexi5B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:5B:3086923:3087207:-1 gene:Dexi5B01G0004560 transcript:Dexi5B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPCGAHQEDPGSQWARSRHRSIISGLWSARNRRLLPSHCTRRKKKKKTLRLILSVSAKAFRGNDGGRTWQRDLVDFGEDDLISGGQWHMVR >Dexi9A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:9A:3289133:3295105:1 gene:Dexi9A01G0005860 transcript:Dexi9A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVLAVNGRRYEAAGVEPSMTLLEFLRTQTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTRDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKKSGLPEPPAGFSKLTASEAERAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGEPADVSKLPAYNRGAVNTFPDFLKSEIKSCEQVNGAPVAVSNDGWYHPKSIEELNRLFDSDWFDERSVKIVASNTGSGVYKDEDLYDKYIDIKGIPELSIVNISSSGIELGSVVSISKAIEVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMSQRLPFASDIATVLLAASSTVTIQVASKRLCLTLEEFLQQPPCDSKTLLLSILIPDWGSDGVTFETFRVAPRPFGNAVSYTNSAFLAKTSGDAASGEHIIEDICLAFGAFGVEQAVRARKVEDFLKGRPLTSYVILKAVQLLKEFISPSEGTTHPEYRISLAVSFLFSFLSPLVKVDTPSALYTNDNSKYLLEDHIKVDINDLPIRSRQEMVFNDEYKPVGKPIKKDGVELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHVHVKSINFKSSLASQKVITVITAKDIPNGGKNVGSCFMMLEDEELFGDPVAEFAGQNIGVVIAETQRYAYMAAKQAVVEYNTENLLPPILTIEDAIQHNSYFQTPPVFVHKPVGDYNQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNSMTIYSSSQSPELTQYMVARCLGIPFHNVRVITRRVGGGFGGKGMKSTHVACACAVAAFKLRRPVKMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLGINAGISPDVSPLLPWAIIGALKKYNWGAFEFDTKVCKTNVSSKSAVRAPGDVQGSFIAEAIIEQVASSLSVDTNTIRRKNLHDFKSLAVFYGKSAGEASTYSLVSMFDKLAFSPDYKLRAETIEHFNNSNKWKKRGISCVPSTYDVSLRPTPGKVSIMNDGSIVVEVGGIEIGQGLWTKVKQMTAFGLGHLCPDGGECLLKKVRVIQADTLSMVQGGMTGGSTTSETCCEAVRQSCATLVERLKPIKDSLEANGSTLEWGALIAQASMANVSLSVQAYWTPDVTFTSYLNYGAAVSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQIEGSFVQGVGFFTNEEYTTNSEGLVIHDGTWTYKIPTVDNIPKQFNVEMFNSASDKKRVLSSKASGEPPLVLAASVHCAMREAIRAARKEFSVCTGPAKSTATFQMDVPATMPVVKELCGLDVVERYLESLSSAGPNTVKA >Dexi8A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:8A:5313515:5316723:1 gene:Dexi8A01G0005630 transcript:Dexi8A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQVDDFRLPSHCSAAAAAAGTQLVPKFIEDEPPNEWIVDDAEPKAQIEDDGRSTIFSRKRRLVHKTSSSKAKKAWAVQEVEARAVEELEEEEFESSDSEHEEEGNIPYADYSSGHEKDESNAMGDDDE >Dexi3B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:3B:13088781:13091290:-1 gene:Dexi3B01G0017730 transcript:Dexi3B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSGVLASSSALLSGVVGSASAAFSLVGFSRVSFGRANPSSMTAPACKAASSSSAAVDANAGAGAGEQKPWLFVGLGNPGRMYKGTRHNVSSQALINPPSIPSQAQVNLAKMATREGIMFQPNRVGIQVGFEMIDAIAEAEGISVSTKQFKAIVGKGNYVQSLIGDVPVMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVIYDDLDLPFTKLRLLPKGGHGGHNGYS >Dexi9A01G0036310.1:cds pep primary_assembly:Fonio_CM05836:9A:40790849:40791118:-1 gene:Dexi9A01G0036310 transcript:Dexi9A01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAHAPAPGHLPLAVPTSSADGQQTLRSAWHPTTPHSAPPPTVGAQRHLHHGGGRRVGRPPHRSTPQSPVVQLPRPRQSKEQPRQGHT >Dexi1B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:1B:8776011:8776451:-1 gene:Dexi1B01G0009560 transcript:Dexi1B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTSSLLAVLLIATSAVLVVAASTPARGGASGRGMLASRFLATAAARREIGRGGSTCRPSGHPAAGACSGGVEHRHRFKCCGGACTDVMASASNCGACGRRCPFGRLCCAGRCVAVAYDAGNCGACGRACAAGTPCIYGMCGYA >Dexi9B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:9B:9593856:9594593:1 gene:Dexi9B01G0014320 transcript:Dexi9B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLQLVTLAVVMATATVTSAQQQPAAATAFPALPSCPPAPLSLSPCIGYVFGAGSGTLSACCSQLKSFLLAQAPCLCAASKLAPSPVGLLLGQAQTIIPNVCDLPNPCDDAASGEGSSPAAEGATSPPSETTTTTPAAETPEAEPASTTAAAAGPDAPPEEPAEDSPAPTGFKLPQLLHAAGATSSRGMSAGSVFVTVFLASVAIMYV >Dexi9B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:9B:1389953:1390616:-1 gene:Dexi9B01G0002400 transcript:Dexi9B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDKKAHARRNRSLTKEEVEAFWKQRRRPEDAGGELTSPLASPATESPFGSLEKKARPSSPAARGASSSPRVRVDGFLPVIGDDGGDAADDSPSKSRDWWTRSNWAFLNEPPLEEPSARAKSYTPQFHVARIATGDA >Dexi3B01G0034530.1:cds pep primary_assembly:Fonio_CM05836:3B:37281810:37283558:-1 gene:Dexi3B01G0034530 transcript:Dexi3B01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFMGAKDGYYGNCVANHLLAVATRGTVAEAGIVDLTKMIKRAKDQLPHKVKMGNKSGNDDDLMRGLCGRYDMMHVSSWKNIGFEQVDFGSGAPARVIFHVREGTPPVPTCIIPTRLTLPISSRTPAPQRRLPDLHLTYEVKIDNWKPSS >Dexi2A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:2A:31135059:31135893:-1 gene:Dexi2A01G0019010 transcript:Dexi2A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYVPTLNHYSGGLPIISVMYASSDSECTVGINLRPLCDPSELSYTIMPNMAYFEFLPVHQQLVELADVEVRREYELVVTTYAGLSRYRVGDVLRVTGFHNGAPRFRLVRRVNVVLSVDTDKTVEAELQRAVDRASSALLLRRHAGAAVLDYTSRACVTSVPGHYVIYWELMMMTSKEEEEGATTGDGWRTAPSSRWRYGWCGGAPSTSSWTWPSLEAHPSASTRRLGAWLPRPPATVDLLDSRVISSHFSPRLPRWSPGERFDPEKQ >Dexi9B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:9B:4905328:4906937:-1 gene:Dexi9B01G0007950 transcript:Dexi9B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKAAEEKLGSQLEILAPIKY >Dexi8A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:8A:6033329:6033878:1 gene:Dexi8A01G0006030 transcript:Dexi8A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVVGVLIGKLSEALTNQAVTYGVSQFSKEASELNGLIGEICRAKEELESINAYLHDSEKFKETNETTGIFVNKIRHLAFRIEDVVDEFTYKLEDDKHHEAFPVNMRKRIRRVKIWNRLSLELHSINDELEDAIKRKDRYAMPGMERNLGKKTLWVLRIMRRI >Dexi7A01G0024200.1:cds pep primary_assembly:Fonio_CM05836:7A:31986870:31988776:-1 gene:Dexi7A01G0024200 transcript:Dexi7A01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEQHPQPNATDQTDATNSPTADDHHKPGTPRVSSCRTDKDAGLSLCRVCHCVEPDLIGESALGFLGIVPPSAPKIENDATKTSTSKDAINESTKDGTNVPRFIEFISPEGEIFVCATDVESGPLHQQDHLVDLGCSCKNELALAHYACALKWFISHGSTVCEICGSVAANVRPDDFNKVLASLKDYEALRERTSTGELSFLQHVPGTGVDPDAVAAIRRQRLSEISSWFNPHNSQMAVSQGHIDQLPLSPSNNSVLEHSVVAVRRIHTRWSLESTGVLVAIGLAVIVLAWLVAPHVGKV >Dexi3B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:3B:11453776:11454282:-1 gene:Dexi3B01G0015810 transcript:Dexi3B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARRERRHHRKAAAAGGGGGVVGAPNGGGGGAAAAAAAARAAYGDVFGGPPRFAAPFGGAPLDYAEVFGGVTATCTIPYLDLPPAAAVGVDGGFFACRGKGDYGEIFGRFDFGDFALPYEDLFGEPGPEAEEREPEITSPSSGSSRFVTFASSSSFLSLQHSRVFR >Dexi9A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:9A:9188942:9190963:-1 gene:Dexi9A01G0013980 transcript:Dexi9A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAARKKPVSALLPLWLFCLLCASSAQPYIGVNYGEVADNLPPPEETARLLKSTAISKVRLYGVDAGLIRALAGSNISVVVGVANGDIPSLAADPAAASRWLGANVLPFVPATSISAVAVGNEVLESGDASLAAALLPAMQNLRAAAVAAGGAAAGIRFSTVNTMGVMAQSDPPSTGAFHPDITPQLQGILGFLSKTGAPFMINPYPWFAYQSDPRPDTLAFCLFQPNAGRVDAGSRIKYTNMFDAQLDAVKSAMVRSGYGNVDVVVAETGWPTKGDAGEPGATVENARAYVSNLVSHLRSGVGTPLMPGKSVDTYLFALYDEDLKPGPTSERSFGLYHTDLTMAYDAGLTSAAPASGGGGAAAPRASGGWCVARDGATDAELQVDLDYACSQVGVDCSAIQPGGACFDPNTVRAHAAYAMNQLYQAAGRHPWNCDFRASATLTSDNPKSL >Dexi7A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:7A:12705394:12705990:-1 gene:Dexi7A01G0003340 transcript:Dexi7A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRSAQTLAFRSLSSVRPLHGAVPPAAAGARSCASLVPPPRLPPPSSRIVPPGVAGAVSFSLTFATLAAAEAKAKERPPTDLLPQNVVLYQYQACPFCNKVRDK >Dexi3B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:3B:1955003:1955505:1 gene:Dexi3B01G0002950 transcript:Dexi3B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDYQENAAEQAYHDVAGDGAGELGMELDPDVAFQDDDMWMQSPGVEAAPDTRFTDDGGFGGVPASAAAIAGLKKKRYDGSSSGADDDTCVICMREYKKGKKLHVMPCAFKHRFHRKCLKKWLARSHLCPLCRHALPTYDTPVI >Dexi8A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:8A:21254064:21254423:-1 gene:Dexi8A01G0012230 transcript:Dexi8A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRPSAVAQTTMRRPTSVVAQSGMEVRRGAGLQGRLRGVGRWCSAAEEEPGAVGNWSSMPIREPRRQRERRSWGSMQGREPGAAGSWGSQGSKTSGRASCSGAAGSGGGRWGERRQA >Dexi5B01G0037690.1:cds pep primary_assembly:Fonio_CM05836:5B:37117187:37118231:1 gene:Dexi5B01G0037690 transcript:Dexi5B01G0037690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGGKHFILVHGLCHGAWCWYKVATLLRAAGHRVTALDLAAAGAHPARLDEVRSFEEYSQPLLDAVAGAGNGEGLILVGHSHGGLSLTLAMERFPRKVATAVFVAAAMPCVGKHMGVTTEEFMRRTASKGMLMDCQIVPISISSRDKNNNGVAIVMGPKFMEEKYYQESPAEDLTLAKLLVRPGNQFLNDPVMKDESLLTAGNYGSVRKVFVVAKADASSTEEMQRWMVDMSPGTEVEEIAGADHAVMNSKPGELCDILLRIANK >Dexi2B01G0034940.1:cds pep primary_assembly:Fonio_CM05836:2B:42107409:42108623:-1 gene:Dexi2B01G0034940 transcript:Dexi2B01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAALCVAAAAARVEKVVDEFGGGASFIFGDSLVDAGNNNYIPTLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQADYSPPFLAPNATGGAILNGVNYASGGAGILNATGKVFVNRIGMDLQVDYFNITRKQLDGLLGQDRAKEFLRKKAIFSVTVASNDFLNNYLMPVLSTGTRISESPDDFIDDLIFHLRDQLTRLYTLDARKFVVANVGPLGCIPYQKTINRVEDDECVKLPNQLAAQYNGKLRELLIELNDGLPGARFCLANVYDLVMDLIANYPNYGFETASVACCGNGGSYDGLVPCGPTTSLCDARDKHVFWDPYHPSEAANVLMAKYIVDGDSKYISPMNLRKLYSL >Dexi1B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:1B:5398512:5400280:-1 gene:Dexi1B01G0006650 transcript:Dexi1B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLPLYLLLIFLLAIPLVLLSFATKRRAPHGPSAAAAATVSRLPPSPWALPIIGHLHHLAGALPHRVLRDLARRHGPDLMSLRFGELRVMVASSPDAAREIMKLHDAAFASRPLSPMQELAYHGAGEGVIFAPYGEGWRQLRKICAVELLTPRRVHSFRHVRADELSLLLLSISAAAAATSPSPVNLGERIAAFVADSTVRAIIGSRFEHRDEYLRMLQEGIKIVPGMTLPDLFPSSRIARLVSRVPGKIERHRRGMQKLIDTIITEHRERRASGKDDDDEEEEDLLDVLLRLQKEVNSQYPLTTENIKTVMLDMFGAGSETSATMLQWAMAELIRNPRVMRKAQEELRRALAEDGKVTEDKLPTLHYLHLVIKETLRLHPPAPLLLPRKCGSPSCKVLGYDIPEGTMVIVNAWAISRDPAHWQNPDEFMPERFERCGRDFRGTDFEFIPFGAGRRMCPGMAFGLAHIELALAALLFHFDWELPGGMAVEELDMTEAFGVTTQLQSDLVVVAVPRVPLSIE >Dexi2B01G0032780.1:cds pep primary_assembly:Fonio_CM05836:2B:40577584:40577851:-1 gene:Dexi2B01G0032780 transcript:Dexi2B01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQQLAVLEQSYTAPRRELQLFPEAAATEDTEPPQDQGIPNHVMRPQARYPTTMATLPSFQEWKMSNLAVALLVVERKMA >Dexi8B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:8B:10805857:10806783:1 gene:Dexi8B01G0008230 transcript:Dexi8B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSSDSDRDEPVATGTASSCPSSPAAKQPSTPLPPPPPPPPLRRRQQRIRRRAHRRAARNFTGVAEENEELEFPAEPEAEDVWRGLQLQREASWPRRASRPVVVAGEEEGGSPGGAPLAREGSGVGRARSLTDDDLEELKGCVDLGFGFSYHEIPELCGTLPALELCYSMSQRFLDEHQQLGKPEETLALAPASPAQPVATNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Dexi2B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:2B:7840828:7845509:-1 gene:Dexi2B01G0007620 transcript:Dexi2B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITVDAPELEELDVGCTVGYAGSFTLRAPRLRCLKWRSLSLPNQAPHVCYCSYAGSAPCYYPAPVSAAPSYTPSDHLLHSNVRIELLHTQELCRMGIISLWVLTYMKARYCSDQFCTSYDYDYDNTRCSLG >Dexi4A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:4A:6051889:6059708:-1 gene:Dexi4A01G0008080 transcript:Dexi4A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSHAASPAPPASDTAEMPSGVKPATAPYGSWRSPITADVVASAEKRLGGIAVAGDGRLLWIESRPEEKGRMVIVKEGNEPVDVIPEEFAARTLAQEYGGGAFAVDNNVLVFSNYKDQRLYKQTVGIGSPPVPLTPYYGAPNVSYADGVFDPHFSRYITVIEDHRMSSLNPITTIAAINLSGEEVHEPKVLISGSDFYAFPRIDQNKRRMAWIEWSHPYMPWNKSELWVGYFSESGDLDRWHCVAGADQILVESPTEPKWSPQGELFFVTDRGSGFWNIYKWVEQTNEVVPIYTLDAEFTRPLWVFGISSYDFLGKSNRIIFTYRQQGRSYLGVLECDSGSISLLDIPFSDLSNLVAVDDYFYIEGASASIPLSLAKVNLDASKTKVIDFSIIWSSAPAVAKYKSFLSTPEFVEFPTSTPGQKAYAYFYPPSNPYFQGLPDEKPPLLVRVHGKGWAYVDVNYGGSTGYGREYRERLLEKWGIVDVDDCCSCAMFLVERGKVDGQRLCITGRSAGGYTTLASIAFRNTFKAGASVYGVSSTLRIFLDRQFHSSS >Dexi4A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:4A:23634896:23635879:1 gene:Dexi4A01G0019730 transcript:Dexi4A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVESYESEVRIGSKFQADVPEWSGPILSKKDQFTEPSELDPRETTMVGCLQRFKDMKTRVGNWIQCREVLDTGIVCGKWRRAPLFHVQSSDWDCSCSVVWDPIHADCAVPQG >Dexi5A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:5A:8034031:8036618:1 gene:Dexi5A01G0010700 transcript:Dexi5A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEGARKKAIIDTDPGIDDAMAIFVALRSPELEVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVAEGSHVTIKKAAKLRIASFVHGSDGLGNQDFPAPTTKPIDQTAAAFLVEQANLYPGQVTVVALGPLTNIALAIELDPTFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQCDSKYARYLCKIMGVYFDYHKDAYFIKGVYLHDPTTVIAAINPSLMTYTEGVVRVQTVGITKGLTVFDNTKKRYGEITPWTGMPTVKVAVTVDAPAVVELMMQSLMTDD >Dexi5A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:5A:2888131:2889213:-1 gene:Dexi5A01G0003790 transcript:Dexi5A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLTVAALLVLCIGSMLGSSSSALPSDMSIVGYSPEDLSSHGKLMELFEQWLSRHGKAYASLEEKLRRFEVFRDNLHHIDETNRQVSSYWLGLNEFADLTHDEFKATHLGVISMPDEQQTIKTTSKAYEQVDASSLPKSVDWRKKGAVTGVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTALSEQQLIDCATDGNHGCNGGIMDNAFSYIAHNGGLRTEEAYPYLMEEGSCHHRRRSEEEDDDEQVVVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRSFQFYAGGVFDGPCGTMLDHGVAAVGYDRDSIIVKNSWGPTWGEKGYIRMRRGTGKRHGLCGINKMASYPTKN >Dexi2A01G0036290.1:cds pep primary_assembly:Fonio_CM05836:2A:45865810:45866241:-1 gene:Dexi2A01G0036290 transcript:Dexi2A01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRCTQVAPLLCVQEDADDRPAMDEVLRMLSNDNMTSLPEPKQAAYFNVRPGAAAAADAPPSGW >Dexi3A01G0034860.1:cds pep primary_assembly:Fonio_CM05836:3A:40166707:40168773:1 gene:Dexi3A01G0034860 transcript:Dexi3A01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLLHLFVSVASLCAAASSGQDDDNNAVLPARPSCSTTGNYTDVSQYKKNLDELFATLSTAALDNGWFYKGSAGAGTADEVFGLIMCYADRNATQCQDCLAGAGAGIKQACPGSRSANATYDACVLRYSDEPIPATADLGYVLAVYLTVTGMPITSDAVRAAWVPLMSKLTGGVAASPSRIANGSTPYSGEQSSEMYGLAQCTRDLDAGECSNCISSYTDKLGKFFPNNTGGAIKGYSCYLIYQLIPLDVTLPPATVPPPALPPPLQPSPEPSSSSKTGIMIGVSVGSVSFLIILGLSTWLLLRRRRRSKKRATILEQGREHEMKKDSDDLDDDDPEMEDEFEKGAGPKRFRYRELAIATDSFSESNKLGEGGDIKPSNIMLDASFHAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRASAESDVYSFGVVLLEIACRRRPLVRRHGEEEVIHIVQWVWDFYGRGAILDAVDERLKGEFVAGEMETVMVVELWCAHPDRSLRPSIRQAVNVLRGEAPQPSLPARMPVATFMPPPDAFYYTSSSATGGSSSTGTGTTLSSTC >Dexi2A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:2A:3819475:3819697:-1 gene:Dexi2A01G0004310 transcript:Dexi2A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDGGEDSHGEAGVFDADQESEDTLSVLVGVEVVRGGDGGGLRMVKHKTKRFVFPGNSINCIL >Dexi6B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:6B:806057:807693:-1 gene:Dexi6B01G0000960 transcript:Dexi6B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATDGQPPKNAGLASSGAQTEPFSLNPLLTTTTSISVDGLLHATSSATASSNATAALGTSLLDAGDDNVGQFMYLEGMEYNMYNTYDVHFYSSFSLLALFPYLELSLQRDFAMAVLIHDPRRRRTLDGKTVRRKVLGAVPHDVGLNDPWFELNAYMLHDPARWKDLNPKFVLQVYRDVVATGDVAFAASTWPAVYMAMAYMDQFDTDRDGMIENEGIPDQTYDIWSVSGVSAYTGGIWVAALQAAAAMARVVGDRDAEAYFYERYEKAKRVYDGELWNGTYFNYDNSGGATSSSIMADQLAGQWYARACGLEPVVMEEEDGIGNGKARSALGTVLDYNVMRVKGGGVGAVNGMRPDGGVDSSSPQSKEVWPGVTYAVAAAMIQEGMTEAAFRTAKGSHDAAWGKDGFGYAFQTPEAWTEDGGYRSLHYMRPLGIWAMQWALSPPELHKDLRAAAASEESSPGDAAIGKDKFEKLASMLKLPEEKQPKGYLWAIYNLIRQMVFPA >Dexi2A01G0032040.1:cds pep primary_assembly:Fonio_CM05836:2A:42661130:42662329:-1 gene:Dexi2A01G0032040 transcript:Dexi2A01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASRSLALALHCAILLLAGAAAASGGDMVLMMDRFHAWRATHNRTYATAGERQRRFEVYRRNVEHIEATNRRGELSYQLGENQFTDLTSDEFLAAHTMPPGQALAAREAFMRRLNATTRAAGGLVVAEHDGNGHDSSYTDDAFFGQVPYSVDWRTSGAVTPVKHQMSCGSCWAFAAVASIESLYKLRTGRLVSMSEQELVDCDHTPTDSGCAGGDPASAMWWVARNGGLATAWEYPYESKQGQCRRGRVRVGWIRGGAAVESNSEAALELAVARQPVVVCINADTLQHYKSGVLSGPCAAGINHAVTVVGYGADAGGSRYWIVKNSWGDGWGEVGYVRMERRVAAREGWCSIASMPYYPVM >Dexi3B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:3B:14651463:14652799:1 gene:Dexi3B01G0019650 transcript:Dexi3B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGHHDPAPPACCSCSCCCGCAGAAPCYYPAAPVPAAPSSAASDHLLHAIAAHLLLSSPAPAQPQPQPQAQTAPAPPPPPPAAAHHATNPYPYPQPYQYQYQQQEAKTHAYAYAHPPPPPPPPPQQLNPSGDHGHLLLHSLLRRVAALESALPRGFPASPPARRPPHPNPRPRHAARYQEEEEESESEPESAPPPPRRRRPVRAGPPPSAASERAARTIQAHFRRFLARRSQTLRQLKDLAVLRSKAAAIRGSLSGRRGCPDPAAVSEAAMSLLLRLDAIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHEHMAMGDALDTDEYHEGCNAAFVAGRPSVSKKKVSFSGNGQVHELNGDTENGKEVDDDSENSSSAESDEVVKPSKRRANGKSGLAAPMPVHMESRRIADERR >Dexi6A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:6A:7973358:7975747:1 gene:Dexi6A01G0007890 transcript:Dexi6A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMSCSSVSSKGIALRLLIEERIQRQGACACKMFALGSQRRRVRHPVVFAKKRRRPKKWQRPWWKTFFSDWNDDEEILAGWREDDELFEEIKSNQELSENEKFEMWRRKAEAIVDLREAQQDAMNAEERSWEDWISGGSASGGGDWDGGASVLDQITDDPALILRDKGIIEVSRDSLDEDYDDMLFEDRVFMYASKNSAKFLALLVVVPWLIDFIVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLQMVKDLKIEKARYRFEVEIGKSPPLSDEEVWSELREKALELRDDWRLDNRKAFANIWSDMVYGIVLFLLICFNQSKVAVLKFTGYKLLNNISDSGKAFAIILVSDILLGYVICFFSLNRRYIY >Dexi9A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:9A:16540726:16552929:1 gene:Dexi9A01G0021720 transcript:Dexi9A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSTAAALTRLLARCPALHCDPRLHALASSAAAAPPASDDVAAALAEPLLHPFYTVPVLGCFLPLAPALVERAVALLRAVGPHSLRADDVARLEEEAGEGNVHVVEFYLSRGRGLRLHELACLALARSLDIAPYLLRSVLSYFKFSPPPFQRLCACLPSQIPSKEVHLFLDATRVSYRFLQLEPRLFCGQWDWSCFLDIVYSTADYSLVDNSLYSVGLNLRWCTIQILMVVFKASDMAIESFGLGADEAYTCFLRWKEYCMDTSLEKASLYLPNEDGNPKSSVDGLTTLADCLSNWPEIATGRDHSVGIYECPFVLTATLRKSYEVALMAVSQKWPVLLYGAVGAGKTALINKLAQICGKRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQQAIVKGFWIVFEDIDKAPSDVQSILLPLLEGSSAFSVGHAEPISSKLIDTFEKVNSLGSICGPNMAGGLSDGALHRFSLRDLLKWCKRILGFDLKFENLGFANSCCKLIYYEALIQKGPFANIRRALDVLERVACSIKFNEPVLLVGETGTGKTTIVQNLAAWLKQPLTVVNLSQQSDISDLLGGFKPTDARSICFPLYIEFKDIFCRSFPAKDNEAILRHCDVYVMEKNWKKLLHTLAKCVEKAQKLIEGVSKSSSGSKRKRALPAQVVSEWDSFSSRLNAACRQIGSAAGMSFRFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDIDYVERHPCFRMFACMNPATDAGKRDLPYTFRSRFTEYFVDDLMDDDDLRLFITKYLDGLNATNGVIDGIVRFYKAAKKESEERLQDGANQKPQFSLRSLSRSLGYIKSAEKRFGFRKALYDGFCMFFLTMLDAPSAKIIKNLIVSLLLDGKVPPSVSFADYFIEKPMQLDGCESDEFLRSYVLTNSVTEHIVNLARAVYIKRFPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSQGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPMLYGGRKMLSRAFRNRFIEVHVDEIPEDELVTILEQRCRIAPSYAKKMVEVMKDLQTQRQNSRVFAGKHGFITPRDLFRWANRYGTFEGKSYEDLAKDGYLLLAERLRDDDEKAVVQEALERHLRVKLNIADLYNSEVAREDNLSLDAIRLRVEECFGKLREPVLLVGETGGGKTTVCQVLSVVLGSRLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKHLVAKIKQMKIFVCVAGDTPLETDISGADSVMSRLNEILDRYRKEKYLFPEVSAQDLDTLEQCKLDLMHLKKRWQAIFLWQDGPLVQAMRNGDLFLIDEISLADDSVLERLNSVLEPERKLV >Dexi2A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:2A:13520543:13523182:1 gene:Dexi2A01G0011610 transcript:Dexi2A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPIEVGVIYPQLPLNYPLTTNRYALDSSSLAVPRPRVPRVPRACRRASPACLAVAPAPGRPAAAPPLTRRRLAWTSSRPHISRRLGFGPARAPLPPWPARLPSPAWSFPRRSWISTRVPRVELLRLQQKVQSRTRKFVDGKISGQVGLMGYANLIKKFTDRTKRSYTRDQHKNRCDNLKRTYTQWKTLNIKASGLGRDPITGCIAATEEWWEEQNVAMPGCIMFKTAPLEFEDEMRIIFDSICVTNATAFVAGGNVNASASGGAAASQENNNEQDDDLEMPSNDIPSPAVGKCSVEKRPAAHGASPKGKKGKNTYRDGLMKRLVDAYEKKSERASSTCVELKGAKLLTLLNIYTVHILGLLY >Dexi3B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:3B:5416383:5417746:-1 gene:Dexi3B01G0007670 transcript:Dexi3B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAMAGKKFSSYHLAAALRREPDPAAALRLFLNPTTSASASPAPFRYSLRCYDLIISKLAAARLFPAMESILSRLAADSSSGTRLRPREQLLCRVVSAYGSARLPAAARRAFAHPAFPGPRTARALNALLHALLACRAPLRDLLAVCGEARIAPDACTYNILMRAEAASGSLEHTRNLFDEMLQRGIAPTVVTFGTVVSALCDAGQLEDAFEVKDMMVRRYDVSPNAYVYASLMKGLCEKGDVDAAVMLKEEMVGNAELVLDSAVYATLVRALFRDERDPSAAFAVLDDMQKSACKADAVTYNTLVAGLCKLGQWRDASELVEDMPRRGCPPDVVTFRMLFDGMCAAGEFLEADQVLNEMVFKGFAPSKDGVRKFVKGIERGGNAALLESVLCRLAKVNALESSEWEKAKWCAE >Dexi7A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:7A:19219494:19220721:-1 gene:Dexi7A01G0008040 transcript:Dexi7A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWYCGNSYGDYWEGRTCNWESFPDREQMMRGLATQAEPLRTRTTLEIKEEIRRKNGVPLPSRHNLFGPVAIDYAANYGKPTKFNTGIVEHLIKFWRDNRDKYNFAVTTEGKMKEAEERMQMMREEMLRRENFKFDPLKVYPPGSWEDDRQQYLAYWEGIDLRTIRIKAKLTQMEAMRALVRELPGNKDTIQEDKEMDMDAHIEALVSNLLAHVTKGDDKLRKHVRFAKSCEAVAALVYVLLLHRQSLKNTWSRHVAWRD >Dexi3A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:3A:4983066:4985597:-1 gene:Dexi3A01G0007330 transcript:Dexi3A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPVSCSAGEVAFKTKVYHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKADRTKYETNARSWTQKYAMG >Dexi3B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:3B:1906313:1906987:-1 gene:Dexi3B01G0002870 transcript:Dexi3B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQGLAPREEGPSSLRLVCNVTVQHASRRLGGGGLAEADHGKPATASSESKSKVHEDDPLAFLDYNRTREIVWRAFGRLPGLRGIDLNIDNWHEEFTSNTITSRILQVVRGNHDMGLCGGHYRFVVYLDAEVTLVFSEPKALLRYCSEEVMQTLEDTAAGEPCGICLDALESTTRSPPLNLPCRHAFHSQCIARWFFKGTACPLCRHDFSGLVALATSSTAK >Dexi9B01G0044110.1:cds pep primary_assembly:Fonio_CM05836:9B:43962541:43965232:1 gene:Dexi9B01G0044110 transcript:Dexi9B01G0044110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVFNFYEESSFVGVIGVSVVVAACFAYSLGSQARSDRLYPVADLAMCQAVRPLVQSNNPRFAASDGVPPEATIVGFRGASVTGGLTGPYRRSSGEENLDDRAI >Dexi5B01G0026160.1:cds pep primary_assembly:Fonio_CM05836:5B:27974584:27976084:-1 gene:Dexi5B01G0026160 transcript:Dexi5B01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDGADLLRDRLSDLPDVILVSILSLLQLDEAARCTVLATRWRRLFSSTLLLDFNANMPGRRDIIATVNSILAAHPTAPVRSFHAGWSSVPGDEDLSGGGWLEELARRGVEELVLDFDFRDWHHRISASLFDCSSLKRLRAGSCTFPDVPKDGAVPAPRPLARLTEIELCHVSISDDSLNSLLSQCTALERLKMEGTRKCDLVHVRSPSLKILDSDGTFSELFIEYAPNLEWLFGECMYMKAGSREVRLKIAHAPKLKFLGYLGMNMKAIEIGETIFKEDQINVKTLMPNLKTLSIEVSYTREGYIDWIIQLLNLFPCLEALYIRSDTWSRFQAAASETWDVLRHIPCVHNHLEKVVFEVYRGHEWQREMAKFLHGRSMFLKDMEFHCKRERDGDAKLPNVEWVRKQQELLCLDSRASKDARFLFFS >Dexi5B01G0037820.1:cds pep primary_assembly:Fonio_CM05836:5B:37208154:37210259:1 gene:Dexi5B01G0037820 transcript:Dexi5B01G0037820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHLSFEEGWKVLEQGIVKCSKILECTSTRPTVSEYMNYYDCSYRMAVQKEHYCLEMYNGFKTTLAECVRAMVLYLLSTLCVTGFFGYLDRCYVEQCKLPSLSDTAATSFFGQVFSYFGDEARTAILTLVQESMENETNRLAYYLNIAREDGIELCLQEI >Dexi3B01G0038000.1:cds pep primary_assembly:Fonio_CM05836:3B:40828663:40831424:1 gene:Dexi3B01G0038000 transcript:Dexi3B01G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAVRAAHHTATGCTRLHHPSSSSSSRPRVILPLPARRRGGASLLRPRASLAAAATATATAAPTVGRTATEEAVYEVVLRQAALVEGAAAGRVAREDRRRQQQQQQQRPRWAGEKEDEGLVVGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNASYTTPTALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASAESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEDDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVGKAKKLLSLPVAYAKAAVAL >Dexi3B01G0025580.1:cds pep primary_assembly:Fonio_CM05836:3B:20392471:20396498:-1 gene:Dexi3B01G0025580 transcript:Dexi3B01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPTLLGLRPASPFLLSAGPCVSGRWLASPLRLRPLRPARPLIPISAVEKTKGANAAEDGELEGMPPEFYDERRSLVEAKVGSRPCFFLEIVRYHIYFHMQEWQARQRERTKEWHAYRQKEEAEEERITNEYREIGMRLKAYPQEEVRKARILVSSFIRAGADIEEEINKAAERGDLTELVLMVIWNRLDIARRDDERDAIRSLDLLYRRVETEILKSEATPAMRLLNELLNLHDGGDDDKWLKKCRKHMLEVFPREDPFTVVFPASFNMEKHEGRIELPPQDDDLLLRVDFVREVDELLKEVQAEQEKNKLQTGYDPESVANMLKMQEKLQTIRQVESLLELASSLKW >Dexi1B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:1B:11804630:11806527:-1 gene:Dexi1B01G0011590 transcript:Dexi1B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEAAETETSNIGESFLLTDSTSLEILDLSGSTRVTGSISSKASHLQEITLDGCEGLGDVMLPNNSWLRSFSFDGCGPSEASHWASTIELPPPMSRPNQAPIDANKKKGVVKTSIISLQGCGRLDKLFLRGLPNLVELDLSGCAIKVLDFRSTVVDVPMLKRLFMIGCERLCAIKWGSDDDEKVPQKLQMIYVDTLPRSRRVSRPPSLDVEQKSFQLQINASTTDARFARSLFAPIDQAYSSTYYFNIRIISSAACRITTGAILQPAARASNKTMMAGSSSDQQRQHYCLAGGVLMYGDVFTKVGDIPAMTQAFPQAPMEQSDRHIEIGGESRSLQREAEDPDANNLASLMRFYTESVHVHDVSTCSNIMSSMHWYSLRLCRIERCPRLQAIFPPGALDHAGRLEIMGDSDLLMARCVWSKGGFSYNLDHLKSLRHLHLRCCPSLHLALAMCRRPSLPHLETLYIIHCGNLRHVFVPDDEKIQHSSIEFPKLMTIHLHDLPALQQICEGTKMMAPALETINIRGCWSLRSLPALLGRKPGMKKPAVEVEKDVWDVLQWDGVDASHHPSLYEAPVHSRFYKRCMLRRTVLR >Dexi2A01G0036020.1:cds pep primary_assembly:Fonio_CM05836:2A:45658660:45659988:-1 gene:Dexi2A01G0036020 transcript:Dexi2A01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGAPRPRAQAQTSAQPRRRRHQAASLPIDVIAAIVARSDPATLVRCAATCGDMRGRVADPGFRLRLRHADHRFVPSLLRGLLLGDRESTYRNDDPLDMYLLDATAGSLRRAAESFAPGEDGEPLELYMPLSAREGLVLVRDGDLHVCNLATGRFETLPPEPEFGGLCVLLVGDGEDAGGGAVGRPFQVVKASLVLEEKHRRLVVQTFSSELGTWGPCTEIRTPQIHGRNLHGYSQALRIRPLVAGGAVHWLCFTDTAAYVLKLRVRSAAAAPPRLAVTKLPERFPYNGGRFSNNGWWWWIRHLLVTMAPGGSPAVLVADKDKISAWTQSKHSARWNQQPQVVIECKAISRFLGNVVGEERERQHMRNWHSEQATNLVGFAERSGVSKQIVSCFLDPSIRDKNVYCPYEMDISNWVPSFSAASL >Dexi4A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:4A:20694021:20699386:1 gene:Dexi4A01G0016940 transcript:Dexi4A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRWQSPAAAAAAAEAAEEDAGGTGGPSRRPARRGMNRSSPYGGGPRRWLARLPVASRIFPTATRDGSPAGNNQEVHHESLDVIPELSLANVTMIDNFWYALELENNASVLFYVYRDETEHLIEIIRSRTPDLSVEDQRAPGSTVKGFETTLFSTPARLIDPQSSWSTDTLPSSNVHGVGSSPIEIAKAFMEAQTSASVHESQKRKFRALSHGVEVENSTPKIPKVATDSSLCWPGSVVRGYSNYLTPQSNKGRTRLQPLSRTPYSGSVFPRSVKNSGHGDTYNNSSGHSQLSTPFSVGNKTILEDKLASASGMVQPSSSSRGKVDVFGRASAVDDMSRGPSPLELRKTLAKRNISSVATSSKGKGPDFSIGGHRQSGISESGTANLEIADAKKVPPSSPSADESSQKIQSSGANSEVPETRASQQPLKSDLTSTSAAEVTDKNTSKGFTFTFPIPKAPSSLLEPPPTPTLASPPRTLPVTTEDIPKFSFGSSSTANKLVFSFDSRGSSLGDDGSVPTFKFGSDKKRELCFDVAVKNAVC >Dexi7B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:7B:10755769:10758690:-1 gene:Dexi7B01G0004440 transcript:Dexi7B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAFNLPGAGEEEEEEEMMGGLDEDEAMKDLDAGEGEDEDYFTGTMKVGEEKEIGKQGLKKKLVKEGEGWDRPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWASVKDICKDGGIFKKIVKEGEKWENPKDLDEVFVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALSKAVKTMKKSEKVLLTVKPQYGFGEQGRPASGDEGAVPPNATLHIDLELVSWKTVTLIGDRKRILKKVLKEGEGYERPNDGAVVRVRLIGKLEDGTVFVKKGHDGEEPFEFKTDEEQVIEGLDITVVNMKKGEVALVRIPPEYAFGSAETKQDLAVVPPNSTVFYEVELVSFEKDKESWDLKSNVEKIEAASKKKDEGNAWFKMGKYAKASKRYEKAAKYIEYDSSFSEEEKKQSKALKISCKLNNAACKLKLKEYREAEKLCTKVLEFESTNVKALYRRAQAYIELVDLELAELDIKKALEIDPDNRDVKLVYKTLKEKMREYNRRDAKFYGNMFAKWRKLEHMDTKVPGKQEPQPMAIDSAA >Dexi5A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:5A:3838462:3839148:-1 gene:Dexi5A01G0005020 transcript:Dexi5A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAEAVEELELLTAPGGALDRLFSVFGHRGTTTARRGDATSFGECTTVMLSLASPLTARGTDMVHMDVNGVPPAGGARHRHGKVSGGAESFVAGAGLPVPGAKRKRGVIDPGSTSHGVVDTELQKRRRVVPWMRHSASFGSRFLAAPPPQLGSSSEDRARTVALAMARVRRRIGMPTRRRRQAVLRQHFSRFSLQ >Dexi2B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:2B:23911297:23913398:1 gene:Dexi2B01G0014320 transcript:Dexi2B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTQRTIMFVPFPAQGHVTPMLRLAHTLVNHTDVSVTVAVPDFIHRRMGQHSVPGVALVSIPSGVHDDGGDEPPGPPAFMHAMEHHMPAQLEAMLLKAEQGIGVPRVSCMVIDLLASWAIPVAERHGLPVFGFWVGMVATYRTVMVIPELMEKGLISESGGDSDLYDPPHGHEILPIGPLLFNDDPKKATAMWQADQTCIEWLDKQSVGSVIYVSFGSWAAPIEPDKISGFAQGLEASGRPFLWALKNTPSWRAGLPDRYIEKVAGRGKIVSWAPQDDILRHQAVGCFIMHCGWNSVLETVRHGVRMICYPISSDHFINCAYIVNMWEVGVLLVSCDQRNVKDCIERVMEGEEGRHLQQMVNKLREKITVGDAMRVAKANLNLFIERIKF >Dexi4A01G0022710.1:cds pep primary_assembly:Fonio_CM05836:4A:26009304:26011592:1 gene:Dexi4A01G0022710 transcript:Dexi4A01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAQEPALWKQIDDAEHYLVSGSFEQAVSAALSVSDQIRNASLEDACDRGELLEMLESAGMVLVQALKELRRASEMFVQLKAMFGSVASVPVKLFHTGATMQMAAGSVAELRPIFEEYLGQWKYTVLLFSLLFSTIYVLRRKAAGLRRTMFQQASSLRQAFFDALQLAFSVQMNPLAAVQQLPQARGSCLL >Dexi2B01G0024850.1:cds pep primary_assembly:Fonio_CM05836:2B:34126186:34127145:-1 gene:Dexi2B01G0024850 transcript:Dexi2B01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIEKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYELKKDLKLALKAFEEVLLFDPNNKVARPRVDDLRQRANMYKGVPIKSEKR >Dexi2B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:2B:3727556:3728083:1 gene:Dexi2B01G0004250 transcript:Dexi2B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTQAADAASSPIPPFRHSTKRRRGAAAAAASASPCSSLHEDLVARIAERVLAAGDILDYVRFRAVCKIWRSSTVDPRGRGVADPRFHPRRWTMLPEGHGLQPGHAKLRGHVRFFNHDTGAFVRSRIPELKDHCILDSPRPPRGSSSCSATRTPPSASCTPSPATSASSRH >Dexi5B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:5B:1479310:1480405:-1 gene:Dexi5B01G0002330 transcript:Dexi5B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLCALHAAAGASAAPLSLHRRGGGTRARRSRAPAVRAARGTPHEPGPHAVPDAEGGGGGSPAAVPKAALRVGAGVALALALGGASWAARGGSAAGPVLQPAMVCALNAVAADGAPRVSGAATMKTSVDALSDSLFRREDSPRDRATLMDLVFEQVTKEHITDRGKLTSLLQKEFSASRDNERKLDLGLLLTDVLINQRDWQRAKEVCQQITGRHQRDPRPYLHLAVINMMMAVEGMLSPDTATTDDIEKMTKSAMDAWKEFKSKSELSKGSADTTT >Dexi5A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:5A:10167447:10168327:1 gene:Dexi5A01G0013550 transcript:Dexi5A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAVEQLVCVTGGGGFIGSSLVMELLQLQAINIELVLIMQTHMQELMAVAIDGTKNVINAAADMGVQRVVFTSSYGAVHMNPNRNPDQVVDESCWSDLEFCLETKVKML >Dexi8B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:8B:23494216:23495000:1 gene:Dexi8B01G0013360 transcript:Dexi8B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAYEHRHDRLREVKLTLKLVFKSPPRLPIHTGSRIVDATGNPLEVILVDAKTGSPWELPMRLSIAVVPLLGDFPPYDGKDWSAEEFEAAIVKGRKEDVPLLKSNYAVYHDMRDGRLSQQELQFTDDSSWVRCRKFRIGAHLVIPRGVFRIIEAMTEPFVVEDLNRKHYPPVLDDPVWRLEMIGKEGETHMKLRSNNVGTVQEFVRMLNVKPGKLRSVNRG >Dexi9B01G0025320.1:cds pep primary_assembly:Fonio_CM05836:9B:25524845:25539617:-1 gene:Dexi9B01G0025320 transcript:Dexi9B01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVLVRVLDLTGGISDRYDVCVAAEEFTDQWVSFVRLMLQGTFSWTFMSPGGGGFGQQYVRFAIILATPKDKKASLVIHGLVDKVSFPERPDMKPVELKEQPFSLQRETSMNRSFFMLLKLNFTDGCSCLSSSIGWPVDFQKQKDSFVRDKTLVIPELQCAAEHESCAGQQEILERESLPRAETAIHAIVTNVVRYDMGNNKISLPRDKGVNCSSSNSGKRNISGCYPSAPKKLKYFLKDEKLNC >Dexi2B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:2B:20954974:20955171:-1 gene:Dexi2B01G0013270 transcript:Dexi2B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDATSKKAYTLAIVIYTGLSVLSKAALDDGMNIFVFNFYRQATGSLLLLPLALLFQRSQD >Dexi4B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:4B:16012858:16013181:-1 gene:Dexi4B01G0014780 transcript:Dexi4B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPPATRFSELRPEPHPSRRVVPPPTAPPREESHRQLLGAPRCHLLCLEQSRAAATCSASSRAAQPPMLRAPLLLGPAPPPPLLGARTEGVEAARESMERESGEG >Dexi9A01G0031560.1:cds pep primary_assembly:Fonio_CM05836:9A:36542365:36546982:1 gene:Dexi9A01G0031560 transcript:Dexi9A01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKRNKEGRGGGAADDQPDVGTDADSVASMSTALSDLQLPQQYDYVIFAHIVFSLYLYLQGLLAMTLGPGSSSHEIMDESHPQLLRILQTWPDASKMISALDCLAVVTFVGATDLAETQLSLKAIWDVIHPKSGSNVGIIRKPKPPLLAAAVSAWAFLLTTVGSSRRNTDSWKELVKDSSIISGECPEETLRLSGKNGILRVTSWHESIQLNYLRRFLGRGFLKHAQDNDLLHDIFDIKMDRIENMSNTEKKIFRSEEEKGRALKLNKERRLAQERKQNILDEQYG >Dexi9A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:9A:7596441:7597068:1 gene:Dexi9A01G0011980 transcript:Dexi9A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPAQANSAWLYIVPTGMYGVVKYLKEKYQNPTIIISENGMDQSGNLTRDEYLHDTVRVDFYKNYLTELKKGIDDGANVVGYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSAYWFRDMLSGAGSKAATPQTGSQTSAGSRAASAPAASSGRALLVSLLVSLCFLVPSVFMV >Dexi3B01G0022330.1:cds pep primary_assembly:Fonio_CM05836:3B:17159479:17159916:-1 gene:Dexi3B01G0022330 transcript:Dexi3B01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKAEKAPKAAEKKPKAEKRLPASKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi4A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:4A:10087775:10088593:-1 gene:Dexi4A01G0011850 transcript:Dexi4A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGSPSIFQDGKGCGACYQVKCAGHPSCSGSPVTVVLTDLCPGGACLAEPVHFDLSGTAFGAMAAQGQSDQLRSAGRVQVQYARVACNWNGVDVAFRVDAGSNPNYLAMAIEYEAGDGDLRAVELMQSSGGGVGWAPMEQSWGAVWRYNSGCPLQAPFSVRLTSGSGRTLIATNVIPAGWEPGKTYRSVVNF >Dexi2A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:2A:30346761:30349674:1 gene:Dexi2A01G0018300 transcript:Dexi2A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGPDSEPWPGARRGRAPPKVPRQVPSNQQNQSSLFSQLVLQRPVTFFREVLCLCDNPALLNKDEARALFRKFSDTVSFYCHSPCAIRRAQRIINSIPGKETGGYTDSRGVKSLRQAVADGISARDGYPSDPDDIFLTDGASSAVNVMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDRGWGLEIFEVKRCLEEARSAGLTVRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQDNVYVENKKFHSFKKVARSLGYDEMDISLVSFHSVSMGFYGESGRRGGYMEITGFGYDVKDQIYKVASVTICPNIAGQILTSLVMDPPKLGDESFEIFESEKEKIHSSFWKRAKTLEKAFSSLEGVSCNNIEGALYLFPRLHLPSLAIKAAEAEGVSPDVFYTHRLLDATGIAVVPGSGFHQVKGTIHIRCTILPDEDKIAAMIPRLKAFHESFMNKYRGSEPYMNDLLR >Dexi4A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:4A:574804:578858:1 gene:Dexi4A01G0000830 transcript:Dexi4A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGADWEDLVRRMFPPGTAIPDPPANLDYSIALEYDGPPVSYELPRIDPVHIPTADPVSGPLGLATAAVPVAPVVGHARRANPPPQPPRVAPVQRPQQPSAPQANSATPARDEEEEYSDDDGSSRSAKSSHSQGQHKAAARSAAPGGRRAQVVTFGVAEDSNKYDSKEFDEVSEQYVAVTRTEKKGKTCHRCKKSKWESKESCIVCDARYCSHCLLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVKQILKVEKECQANQLRPEQLIVNGFPLYDEEMEDLLGCQRPPGNLKPGRYWYDKESGLWGKEGEKPDRIISTNLNFNGKLQPDASNGTAQVFINGREITKIELRILKIAKVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPLTRLACALVSLPVPPLNFDKPKEENYYSSRSVPNYLDHKRIQRLLILGSPGSGTSTIFKQAKLLYGSRFTPEELESIKLMIQSNLFKYLGILLEGREHFEEEALAAVPNHASSEDEDPHQDENKPTTSNSCVYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVDELWNDPAIKATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLSFIDFTLDDRSPMSESFGDSHEAYSQPVNKYQLIRVSAKGMNEGCKWVEMFEDVRMVIFSVALSDYDQLALPGSDGSRSLLNKMIQSRDLFEATIRQPCFRDTPFVLVLNKYDLFEEKIGRSPLSTCEWFGDFCPLRTHHNNQSLAQQAFYYVAMKFKDLYAASTGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDGAGYCPEESFYSTTELSSSRLIAAAE >Dexi7B01G0024370.1:cds pep primary_assembly:Fonio_CM05836:7B:28732784:28735852:-1 gene:Dexi7B01G0024370 transcript:Dexi7B01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVKILNRLEIFMYGGKSSVMPLQDQAEVFTWGEECSGRLGHGVGTNVFQPRLVESLSICNVELIACGEFHTCAVTSTGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLDGLQLGNPNNDGRYPRLVEEKLGGGGVVEVSCGSYHVAVLTNAGEVYTWGKGANGRLGHGDVADRKVPTVVEALRDRSTLPFLRMPYLNYSGSLSSESLESLRDANELLKQEVQKLQAEVNSLKQEREQQNTELQKSEAKAHEAIILATEEASKLKTAKDVIKSLTAQLKEMSERLPPGACDVKNGRMIGALPPEIGRDNQMRNDPGSIQYPQTHASVASARFSGLPAQVHQASEYNGTVVVPQDGRGEHLNGFRELSSVQQRANGGTIGYRHRADDHDRKETDRFQINLNNLNMRSSGSPNNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVCGTDRLSSVMTT >Dexi5B01G0029940.1:cds pep primary_assembly:Fonio_CM05836:5B:31027882:31031559:1 gene:Dexi5B01G0029940 transcript:Dexi5B01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGDHARSKEAAGMMALHEALRNVCLTSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFIKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDATIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPTPPFPLTKQPAPLARPPPQLFNWPGHQPPPPHQLSPATGASPLFPPGPAAAFHPSAGRPMPPFPGGNKDEGAHMFHLPPAHHHGAKPPHMDEHHQPAMGPGGGEAAHDGDIRWPNGVSFFTALTGRADDAKLLFGGADDEKSAAAPGAAQTGGHGGAENVEEYLSLESHSNKARRVESAAAQSTKFKRSFTLPARMSSSGTTTSPSVSGSTAPAPAPQQQGMEYRGHHEGGGGGVYSDLMETFLE >Dexi3A01G0030190.2:cds pep primary_assembly:Fonio_CM05836:3A:33807212:33807544:-1 gene:Dexi3A01G0030190 transcript:Dexi3A01G0030190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTTTFLAGISSNTFLASAARPMFAPAFPRNPCTWSPSTTAPRSAEQHLTTELAVKAFGRGPPLRRSMSRNSAIASAAAAAAGGEEHDEACLA >Dexi3A01G0030190.1:cds pep primary_assembly:Fonio_CM05836:3A:33806882:33807205:-1 gene:Dexi3A01G0030190 transcript:Dexi3A01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLSEAAGTALSKTARAWRGDGDAAMSATAARAGSGRPRRRSCAWSCLAAAGVVARWARPARSAGRTAASWWPHGGGSAAPPPPAWRIGGWRVLVGHGAPT >Dexi1B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:1B:7941073:7944808:-1 gene:Dexi1B01G0009010 transcript:Dexi1B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLSPLAAGGGRVLLATFLLAASLLTSAANAAVSYDHRSLVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPAQGQYYFADRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIRFRTDNGPFKAAMQKFVEKIVSMMKSEGLFEWQGGPIIMAQVENEFGPMESAVGSGAKPYANWAAKMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNKKYKPTMWTEAWTGWFTKFGGAVPHRPVEDLAFAVARFFQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPALVSGDPTIQSLGNYEKAYVFKSKNGACAAFLSNYHTNSAVKVRFDGRHYNLPAWSISILPDCKTVVFNTATVKEPTVLPKMNPVLRFAWQSYSEDTNSLDDNAFTKDGLVEQLSLTWDKSDYLWYTTHVNIGANEQFLKSGQWPQLTVYSAGHSMQVFVNGRSYGSVYGGYENPKLTFSGRVKMWQGSNKISILSSAVGLPNTGNHFESWNVGVLGPVTLSGLNEGKRDLSHQKWTYQVGLKGESLGLHTVTGSSAVEWGGLGGKQPLTWHKAFFNAPAGSSPVALDMGSMGKGQLWVNGHHAGRYWSYRAVVSGSGAGGCGRRCSYAGTYREGRCLSSCGELSQRWYHVPRSWLKPTGNLLVVLEEYGGDLAGVAMATRTT >Dexi2B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:2B:13986712:13987752:-1 gene:Dexi2B01G0012070 transcript:Dexi2B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSCRSGGGGKPDVDRIKGPWSPEEDEALQRLVARHGARNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTAEEDDTILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYYAAASARAPADAAEDERPLKRTSSDGHPGLCFSPGSPSGSDLSDSSHHSLPSVMPSAAAAAPAVTSQQQQHVYRPVPRAGGVVVLPAPRPPSPPPQQAPPPATSLSLSLSLPGLDQQSNPSPSPSPVQMHQKQQPAPSQMPPPPQPAQPSMPFQLHPPAMNLASPRPPPPPSPAPFSADFLSMMQEMIRIEVRNYMSGSGMDPRADAAVHAVSKRMMGTAKIE >Dexi2B01G0030600.1:cds pep primary_assembly:Fonio_CM05836:2B:38852656:38853162:-1 gene:Dexi2B01G0030600 transcript:Dexi2B01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLCFPGDEPSEEQLQRRRRPRDPVAAAATTQAACGASTSGSDSPSSFASGRWPSSRTASARLRSASEVAGGVRLDARGSELDEAGVAAVGHEAARLAEEGSRESVPSVSAASSSGGGVDVSTSRAAAEARVRSDASCASERAVERANGCWTEPPLCLRDLVGSE >Dexi3B01G0036030.1:cds pep primary_assembly:Fonio_CM05836:3B:38955410:38957220:-1 gene:Dexi3B01G0036030 transcript:Dexi3B01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVIENRRVSLVTPRSVPTSAKGAGARAEAQAPSPAPLPTGDRWAPRRHTSDETIVWRTCSSSWTQAGSIICGALALSRPCLDAGLVQRDELPGAGPTNMPRSRVRRRLGISGRRRVARVLVEVDDEVLGRVAVAALLHLLGGPAVALGVEAAPVVVPACARTQQLYKHRQKHRWADEKDIQKLGSSPSSAVSFAESDSGELVARFARRRRPVYVLTSRWWLTGVHGS >Dexi9B01G0024760.1:cds pep primary_assembly:Fonio_CM05836:9B:21990602:21991145:1 gene:Dexi9B01G0024760 transcript:Dexi9B01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAGAAPPPAPAPAPPTRMDNAGAAQPPAPAPAADLHHAHCPHPYAAYPYPYGAYHQSAPAPAMNPSAAATGSSSYYYPVPAATPSVAMQFDPYSAYQYYGAPSGGTSDSGLSGYYFTSGEASQQASATQIAPAATGKEAGRHFGFDPQRYAQV >Dexi6A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:6A:26467495:26470657:-1 gene:Dexi6A01G0018820 transcript:Dexi6A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTSKAKTTPSWSTTSRRRRSRPCSGSCTPTLVRRRTPPCEMLRCLLAAADRLKLVCAKKLWDSVSAETVAATLACAEACRCPELKTNRQLPAVTLPVGFPPPLSLGVVICGETHDSVAGGSLVDREPPREQVEASNAFDGGAGVLCCWFGNDGRCRMDVIDGDQPEIPRCQVDSLWHRRRRQLGEDNTQAERVVGGRDGDGRSEQSWIVELRESRRKTPTG >Dexi3A01G0033810.1:cds pep primary_assembly:Fonio_CM05836:3A:38650914:38655412:1 gene:Dexi3A01G0033810 transcript:Dexi3A01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPQVAAPPPMMPMPPMMAPAVGAGAVHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPQMGGNAGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIARGINPNDFANNHERFIHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPRYFSHIHEHFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHASPKTQLHCRTDKSFLMSSAPWIKIPYPFHWGTPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSASVENIGLLGLTKVGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGVSLFLGISFNDIINTVFASGPTVALIVASVLDNTLEAKGESDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFVPSRFL >Dexi1B01G0031170.1:cds pep primary_assembly:Fonio_CM05836:1B:34856967:34858313:1 gene:Dexi1B01G0031170 transcript:Dexi1B01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARSLDEALAAARPFLRGEEAQVDAALPELAAVLRGAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVLRCGLFHSAYSNSYVNLAIFQPDTRRAHVAAVVAPPPSASSTSSAWSRASSSSTSTSSSTTPTTKTSSPTSRGPRRRSRTRAEGSSTTTSPGAERSNACCHQKASPTGEDVALSRRIAASFLLMTMADFSDQLFDWQDRLFDNHNGRLEFRGNTWTSLWPGSGKPGLWVSSISRMAALYALIVREEEIYIAHRAHGSTTADRDEDIDLVIPPVFHGCTEVLSAQDQKVARDLYWEALCTGDDASDWHRVEQLLQQSTAKNPFVGEPHLVLAQVYLNLERYGDAQVQAEEGLRLLLEWGSSWDKRMPWEAWVSWGRAMLTKAKDKAWPHTSFGIISLGLVK >Dexi1A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:1A:21802128:21802882:1 gene:Dexi1A01G0014920 transcript:Dexi1A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMANGRDDAPIMNGGVRASAEETVGRRKKVELVREAIHGLLEEKRTDFHGEENVLAPRRQQDEEGLLSSLLTKLDALERDPDSGILEPHSLHPNHQPATAKAEMSKEVELGNIAKDLNKIKRQNTITHLLLGAVIVMTAVWQVNEVSFLLWVQRKLSNPFKSLGDMIKASLKLKGRKPVIESSPLPPVGVPDVSHAHLPMLVIGSTVDGY >Dexi4A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:4A:1967193:1967989:-1 gene:Dexi4A01G0002830 transcript:Dexi4A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPEHLRNTSFHGAGRPHPAFFRP >Dexi1B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:1B:22302791:22305069:-1 gene:Dexi1B01G0015740 transcript:Dexi1B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLPRSPPLAAAVAAAAMPPRRRGVAKSSPLPPSPPQLSSTPPPVRPIQASKAETADHDDPPPSARRRLPLAAAAVEAQEEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPDADDGRLAFLLHNEGGCAASSSSVPAASAALCDYLNAAVPLADLWRQFAAADERFAEVAARIGGGGARVLRQDPVECVFQFLCSSNNNIKRIEKMVWTLAGYGERLGEVGGFVFHRFPTIEQLACVSEQELREAGFGYRAKYIVGTAKELQAKPGGGENWLASLRKKELPEVATQYLLPELAGKSLTPKLSVVVADAFVTRFGSYAGWAQNVLFIGQLPAQKVVVAEVTNDNGTPKPIKRKRGGK >Dexi1A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:1A:7054388:7055496:-1 gene:Dexi1A01G0008950 transcript:Dexi1A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQLYTEQYVPRGPSERGGGLTRQPLGAARALDLSGIVVAALPHQRCHGLCHPHAPDRFLGRENPSRHEVYAYDTERNSADTGFPELLLNIAMHEETVTGVCRHRVAGSDPPPVAPCVSNEPAW >Dexi8B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:8B:1436453:1437891:-1 gene:Dexi8B01G0002040 transcript:Dexi8B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSHGEHFAEVVVVRHGETSWNASRIIQGQMDPELNEIGRQQATMLVFDQALRERHMGDLHGLKFDDAYRIKPEAYKAFSSGDRSEEIPGGGESLDQLSERCVSCLNAIAEKHKGKRVIVVSHGATIEEICRHADPTSSARRRIPNTSISMIHISGDNHHWILEKLGDVAHLKEDGFLQSAFGGDGASA >Dexi6B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:6B:3702013:3704300:-1 gene:Dexi6B01G0004440 transcript:Dexi6B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALCLTLIAAATTPTSSSEPPPPSVATHNIITSCLLANGVRNFSLPSSPTYTPLLDSSIRNLRFELPSILKPSAIILPSSKLHLKLAILCSRNSSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLSNLNHVHVDPTSATAWVESGATLGELYHAVAAAASSSSSPASRRTPLAFPAGSCATVGVGGHLAGGGFGLLSRKFGLAADNVVDAVVITPAGDELTRGTMDADVFWAIRGGGGGSFGVVYAWKLRLAVVPENVTVFGVGRTGPAELVAGLVHTWQHVGPRLPDEFYLSMFIPTTSNGGNFSVSFTGQVLGPKHLAMAVLAETFPELGLAESELSEVSWIESVAKFAGVSSVAGLMDRQPGSSEP >Dexi9A01G0026090.1:cds pep primary_assembly:Fonio_CM05836:9A:27659485:27665173:1 gene:Dexi9A01G0026090 transcript:Dexi9A01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVMGKLGVLIGPDVSLLWKFKDDLESIRSTLLTLQAVLNDAEKRSSREERVRLWLKRLKFAAYDIHDILEEMESKNDMQDTVRGIALQKVSQFRAHIPIARKMKKVRQRATFSSISEDIVGRAMEKETIVAMLMAYSEEEILTISIYGFGGLGKTTLARLAFNDENVRRVFDYQVWVYVSMKFDLKKIGESILSEIDGGNCGHANLQEVSRHIQRVLASKKFLVVLDDLWEENGFQLLKLKEMLSGGAKGSKIIVTTRSEKIASLMRPCTPYKLDVLSDDDCWILFKRRAFVPGMDDPRIEGIGRDIVKKCNVFSKGVVIDKDMLIQQWIALGFIQPASGSLTLEKRGEEYIHELVSMSFLQASMISSLTLTNLTNLEHLNLACCISLEMMPGYYGCLKKLKRLNTLRLSTNYWYRDEGANIVPGGMHQVYRAKERYTPHMSNCSS >Dexi1A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:1A:24981541:24983067:-1 gene:Dexi1A01G0017620 transcript:Dexi1A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIVWLRLCLLILQFLINLCSLVMVICYFTLSHKESYDPTDVIVSYLLLTFTFAIECLVTPVMYCPRIQNFCKDRFPDQVAQYNLIGYLTRNKKNWRKLRKLLIFKDYIDQLWCMEPSKSSCDITKLVHGYLKKGWMDPVHRIKDVASYRAFNDNRGQWTLQQEACHDDTIKKSLQRSFDESVLIWHLATDFCLHLTNPSDESHEVASHCRVMSNYMVYLLFVNPEMLMPGARRFLFRQTYMKLKETLKLIVPQPDGGEPLQQRDATDAPRAPGVNSTQASPLQQDKSQQERCFVTNIFHAAEQLSHKGGPNIIFRARVLAQHLRDLRTGENDAKMWRVIQGVWVEMLCFSACRCRGYLHAKSLGQGGEFLSYVWLLMSYMGMETVADLMQRAAPVATDNGGNANDTTAASPAATLTGGGASGMTAAIPSVPAASGHRNGIVGGGAADDEITEAASPV >Dexi2B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:2B:29746681:29747349:1 gene:Dexi2B01G0019580 transcript:Dexi2B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRELVPAYRDEQKHGARAGMSRSRPAPVCRHEHEHGAVLACHDEQEHGARVAMSRSSLAPACRDYSTSRSREPCLELAGAAMAGEGSSSGRPGPWLRSSAPSFSEVNVRGDRRQRAPPTGRRGLRSVEAPLEVDSTL >Dexi3B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:3B:5693034:5708628:1 gene:Dexi3B01G0008100 transcript:Dexi3B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTDRGRGLLASRRRTLAVVSGALIAGGALVYARSSQSRRRRRPGTNYGSEASALATNGDGLSQNGRLYAARHKKSALESLHFLTSILLKKIGPNGTRYLLGLILTSVLRTAVGHRLAKVQGFLFKAAFLRRVPTFTRLIIENLILCFFQSALYQTSKYLTGSLSLRFKKILTDIAHADYFEIDNSIMSSTVLMLCGYAIRIRELLDVSRELSGVPDQSLNHNASPGNYISEANHIEFSDVKVVTPAGNILVDDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTEDQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQHRRDDSSFATEESDFSSSETDRKSDAVTVQRAFMSRAKVPKLLDKQGGQLLAVAVLVFSRTWISDRIASLNGSSFHPWISLNVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLSGEEQELEGAFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFVKLLNHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEEFIRAAQRNTVVSSNAMSAASEDSISFYEVDIVTPSQKLLASKLSCNVVQGKGLLLTGPNGSGKSSIFRVLRDLWPTFSGRVIKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKVLSLHQAGNRSSASVLLDDHLKTILVNVRLVYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHALELKLIDGEGNWELCSIQQ >Dexi4B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:4B:11232170:11232939:1 gene:Dexi4B01G0013050 transcript:Dexi4B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLMESIHMVSGDGDTSYARNSTVQGGQQGNLKPMIEDAVAGLVDGSNQVTTAIMVADLGCSFGPNMLVLVSTAVDAVRRRCLQLHQPPPDVCIHMNDLPDNDFNSVIKSLATYREAQEVSSPVIASVVPGSFHGRLFSKCSLHLVCSSTSLHWLSKVSSTLQVPYGNI >Dexi2B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:2B:25668299:25669072:1 gene:Dexi2B01G0015600 transcript:Dexi2B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVIIQHPGGKVERLYWSTTAAEVMRNNPGHYVALVILRVAADKAAAAAAGDAAAAAATAAAGGTGGAKITRVKLLKPKDTLMLGQVYRLITAQEVNKALRARKNEKMQRCEAIRQQHEQLRRGDGADQSSSDKDGKQEKDRHRGRGRHWRPALQSISEAASQSSSSSSSVSEAAAS >Dexi5A01G0028210.1:cds pep primary_assembly:Fonio_CM05836:5A:31525321:31527943:1 gene:Dexi5A01G0028210 transcript:Dexi5A01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARPLQPPVWAGFGGSCDRGSPRGSVSVARCRAEAAPPVSTAARAPVGPHTGRDPEVKKPAWLRQRAAQGEKYARLRETIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCREGMITKSSIMLGLGESDEEVKQTMMDLRAIGVDILTLGQYLQVSSIPATISH >Dexi2A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:2A:10479165:10479461:1 gene:Dexi2A01G0009630 transcript:Dexi2A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQAEESTSMVMDTGLHELCALLPDPSATATGATNFLVLHSCCTRWTRIEMAVPTEVVTRRHRGGFNRRRAARDAGRRRRLARVEPSGTEETEEEEK >Dexi3B01G0029780.1:cds pep primary_assembly:Fonio_CM05836:3B:29076673:29077914:1 gene:Dexi3B01G0029780 transcript:Dexi3B01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVRNRKVVLKRYVTGYPTEDDMEVVDGTIHLAVPAGLAVPAGLTAPAVLVKNLYLSCDAWMRGRMSKRDDVTTVVNDFVLGEASYFLSKVVESTHTDYQAGDLVWGMVGWEEYTVITHPSWLVKIQHTELPLSYYTGVLALEFVISVVSPNDVAGMSGLTAYAGFFDVCKPKPGEAVFVSAASGAVGQLVGQLAKLAGCHVVGSVGSDDKALLLKDKLGFDDTINYKSEPDLGASLRLRFPDGIDVYFDNVGGETLDAALPQMRLRGRVAVCGMVSQYNLDDPGEGVRMRNLPWLVTRRVRMEGFNVADYLADEEYYRRFEEAMAGYLRDGRVTYLEDVVEGLESAPAALVGIFRGRNVGRQLIVVSRE >Dexi7B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:7B:18990206:18991399:1 gene:Dexi7B01G0012150 transcript:Dexi7B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSAADAPPVSAIGFEGYEKRLEITFSEAPVFADPQGRGLRALSRAQIDSVLDLARCNIVSELSNKDFDSYVLSESSLFIYPLKIVIKTCGTTKLLLTIPRILELAEELSMPLAAVKYSRGMFIFPGAQPAPHRSFSEEVAALNRYFGGLKSGDPARPGQKWHIYYATEYPEQPMVNLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISEIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGFDANELAYGDLVKRVLRCFNPSEFSVAVTIFGGRGQAATWGKKLDAEVYDCNNMVEQELPGGGLLIYQSFSAADYPVVGSPKSVLHCFKGENVDNAAPVKDGKLANLLCWEEEDAMEEKDGVLAE >Dexi1B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:1B:9220168:9221855:-1 gene:Dexi1B01G0009860 transcript:Dexi1B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLNISYNNFSGVVGADIVGKFGHDAFIQAGNTLQFEQPPSSGSGKKHKRAVVVAVIAAGAVVTVVAFMAVCCCCCMTRGRRKKKGNKEGKAAVWEDEEVVVGAVKVDAAAPVVVLERPLMELTLADLAAATSGFGRESQLAAETSGGRSGAAYRAVLPGDLHVVVRVVEGGLVGVGEEDDDEAAMAAGLRELARLRHPNILPLLGYCIAGKQKLLLFEYMEKGDLHRWLHELPVGSMDTEDIGIDTVEAIEDRKPAGDWPTRHRIILGIARGLAFLHQGSIVHGRLGPTNILLDDDMEPRISDFLPRRHVSGGDGETPEGDVYRFGTLVFELVTGQARWNDASTSWARGVIRNRKGLNLVDDRLRDDETAAVEKEMEECLQVGFLCTASSPEKRPTMQQVVGLLKDVRPAAPPDAGEQPVAGHMTV >Dexi1B01G0021280.1:cds pep primary_assembly:Fonio_CM05836:1B:27214104:27215457:1 gene:Dexi1B01G0021280 transcript:Dexi1B01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIRVLSRVMAFAVLACLLRPGAPVELHRKLSGWSDDAGATWYGSPTGAGSDGGACGYQNAVDMPPFSSMIAAGSPSIFQDGKGCGSCYQVKCTGHAACSGTPVTVVLTDECPDGVCKEEPVHFDMSGTAFGAMAKPGQADQLRSAGRLKIQYTRVPCNWQGMDITFKVDTGSNPNYLAILIEYESGDGDLRSVELMQNGAGWAPMQQSWGAVWRYNSGPTLQGPFSIRITSGSGRTVVANNVIPAGWTPGGTYRSVVNFN >Dexi3A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:3A:13732577:13734454:-1 gene:Dexi3A01G0018010 transcript:Dexi3A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSRFARSLPSLFDPVSRPFKPPHPHRCRRAVHTIASSPGPAADAPAPSPSSAWAPPSLSRLLAAALRGGRARGELPDLAAAATGGAGLGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFEGHPISERKLKELLGHTPSQVFAGAILGILVAWYCCQGCIVPI >Dexi1A01G0027400.1:cds pep primary_assembly:Fonio_CM05836:1A:33192211:33201003:-1 gene:Dexi1A01G0027400 transcript:Dexi1A01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGVVSREVLPACERLCFICPSLRTRSRHPVKRYKKLLAEIFPRNQCELNNENCPEYYAYVPLQITVYLEQKCYKEMRAERYGSVKIVMAIYRKVICSCQEQLPLFANSLLTIVETLLEQNRQDDLRKIACQTLFDFINNQVDSTYMFNLESQIPKLCHLAQEMGEKDKICFLHAAGLQALSSMIWFMGEHSHISAELDSVVSAVLENYESPYANSDNDDTTVEDRRIRWVSEALKSEGHEPRAVTILTKVPSWKDLRATHGELSLTIEESQSPNIWSGICLHNLARISREGTTVRRVLEAIFRYFDNNSLWSPSKGFALCVLLDMQIVMDKSGIRCLLNSLILLIYLRHCMPGQNAHILLSMLIKHLEHKNVLKQPDMILDIIEVTARLAEHSKPQSSTALMAAISDMVRHMGKSMQSLASDAGSGDNMAKWSNGYGKAVDECLVQLSRKVGDAGPILDTLAVVLENISSSTIVARSTISAAYRTAQIVASLPNLLHQSKDSGIEVLIGSFQLAFSLRSVSLQAGFLSPSRRRSLFTLATSMLVFFSKAFNIPSLIPVAKHVLTESAVDPFLHLVEDSRLQVLDSAAKPCYGSKEDDDLALKSLSNIDMNVDQSKETSVSLILNSLKDLSESELSTIRKQLLEEFSADEICPLGETPKSPAPTGKLPQKSMEVIPLGYVFEDDTLIEPPDSIEEPHLRTDSSLLDVNQLLDSVLETSQNVGRSSVSTNHDLPFKDVANQCEALLIGKQQRLSVCMSVQQKEVSDQLKPSAVKQLSNGESSTEKLESSLEDPQADRFLSIPGQCDSNFCKLPVLSPYDKFLPSSGC >Dexi3B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:3B:14124829:14128994:-1 gene:Dexi3B01G0019050 transcript:Dexi3B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQATHDSWIEASNNYLNRFVPDSPSNPAVTCPTEGRGDEAKDPNLALRFWRGVSVPGTGGVMADWDGIPARERRQMEEILQLDMEELNVEVVDEEEEEEEQGDGDNEEDDVEAFLRANDGEGVASTSGPFTFNASLASLHTYLGDVDDTRGRVSLLDGGRVINLPMFYLQGVVLFPGATLPLRVIRSRLKTAVDKALNLVDAPCTIGVVLLHPQSNHRHYNAATVGTTAEIRQLGRLNDGSLNVVARGQQRFRLRRHWIDVDRVVWGEVQIIEEDTPLRTPRDAFAQLAACNRFNLHSSPVISLDMSPIKKDHIDSELECDTPSPKSNASNHSSMDTRLRYLSSQSSDSMKSSSDEEGDLTHHGQKRRSVRESGASSHSDKKTNMSNEDDLCLTPLRSLPTARTRDIKRQRQYHAYSKQASRAPLSLWPQWVYEMYDSYTLARRAAELWRQIIAKPSMDDHVRKPDILSFHIGSKLPVSESVRQKLLEIDGISYRLQREIQLLKAFNLIKCRNCQVTT >Dexi6B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:6B:26878085:26881376:1 gene:Dexi6B01G0020420 transcript:Dexi6B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPLVALLLVLCAAAAALCSLADGAGTADGSEEWGYVQVRSKAHMFWWLYRSPQRVDNGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDEELKPRETTWLAKADLLFVDNPVGTGFSYVEDTSLMVRTDDEAARDLVVLLDALSPRLHGSPLYIVAESYGGKFAVTTALRALQQGANIAGVALGDSWISPLDFVLSWGPLLYQVSRVDEKGLQQCNSVAAKIKDELEKKQFTDAEASWAELEGVVSANSNFVDFYNFLKDDASEDSTTTTMQQQQQRQRSTLSSFRSRNGYSGYLESMAAVSSFDGIMNTVIRNKLGIIPKDVSWGEQSGDVFDALAGDFMKPRIQELDLICATKGTMDWVHKLKWDGLNNFLNSSRTPIYCKKSGTQAFVKSYKNLNFYWILGAGHMVPIDNPCPALKMLADITRSPAK >Dexi3B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:3B:2615461:2616015:1 gene:Dexi3B01G0003830 transcript:Dexi3B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVMHSIPASSLEAGGTVPCQPDSVLARSIDESFGSISFSKSSVVDHSMRFSSTRFSEVSMSSHRIGDMSLGDNDECKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGAPTPSQAAPAPPPEHEKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi3B01G0021390.1:cds pep primary_assembly:Fonio_CM05836:3B:16247182:16248048:1 gene:Dexi3B01G0021390 transcript:Dexi3B01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSAVDDEEHLDYSAGKVTIIPDLRCWELKLNEASELGQTELVTQFDVRATPTFIFMRDKKEIDKLVGGNQEDLQKKFDPYCQQN >Dexi6B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:6B:26596881:26598095:1 gene:Dexi6B01G0020050 transcript:Dexi6B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPSDCGLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEAEGAPAVAAHH >DexiUA01G0000320.1:cds pep primary_assembly:Fonio_CM05836:UA:1767810:1769582:-1 gene:DexiUA01G0000320 transcript:DexiUA01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPQSPPPPPSWSRSVTETVRGSHQFTVRGFSLAKGMGPGRHVASDIFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQR >Dexi1A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:1A:4586083:4596065:-1 gene:Dexi1A01G0006130 transcript:Dexi1A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTISISLVALAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGVVISSHSLAIDESSMTGESKIVTKDHKTPFLMAGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLFARYFSGHTTNSDGSVQFVKGHTSVKSAIFGSIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNKMTVVQSIVCGVKREAPANVDNLSPTVVSLLLEGIAQNTSGSVFEAQDGSVEITGSPTEKAILAWGLELGMKFAEERSRSAIIHVSPFNSEKKRAGVAVAVGAVNLFMFHLQRDSDVHVHWKGAAEIVLALCTSWIDADGSIHELTSDKANQLKKFIEDMAEQSLRCIAFAYRNLDPEDVPNEDQRINWQLPDNDLTLIGIAGMKDPCRPEVREAVELCKKAGVKVMARSSPNDKLLLVKALKKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFSSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGNVPLNAVQV >Dexi4A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:4A:12489829:12493718:-1 gene:Dexi4A01G0013260 transcript:Dexi4A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSVEEPLLAAVRPEEQEGLTVREEVRKQLWLAGPMIGGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLLGTASALDTLCGQAFGARQYYLLGIYKQRAMFLLTLVSVPLAVIWFYTGEILLFFGQDPDIAAEAGTFARWMIPALFAYALLQCHVRFLQTQNIVLPVMASAGAAAACHLVVCWVLVYGLGMGSKGAALSNAISYWVNVAILAVYVRVSSSCKETWIGFSMEAFHDALSFFRLGIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLLNTAAFVWMIPFGLGSAISTRVSNELGAGRPQAARLAVRVVVFLAVSEGLVIGLILVCFRYIWGHAYSNVEEVVTYVAKMMMVIAVSNFFDGIQCVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLIAFVLRVGGMGLWLGIICGLFVQVLLLLIATKAKDRVYSSSSPADFET >Dexi9A01G0029810.1:cds pep primary_assembly:Fonio_CM05836:9A:34705316:34705742:-1 gene:Dexi9A01G0029810 transcript:Dexi9A01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVSYVSAAKLVSMVRGNHRLAIIDVRDEERSYQAHIAGSHHFESGSFAARMPELVQAASGKDTLVFHCALSQVPDPPTRLCDSVLSVLSETLHPAIPFSMT >Dexi4B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:4B:335490:336430:-1 gene:Dexi4B01G0000550 transcript:Dexi4B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPAASSSAIAAVAAALIMAQVATAYYGYGQQYQPQPTFQTSNWQDGSATFYGDDSGLGADFGGACGYGANDIQSLYYTYTAALSTPLFAQGSGCGQCYELRCVSSRWCIPGSPSVIVTGTNLCPPNWYLPNDNGGWCNPPRQHFDMAPPSFLQLAQRVAGIIPVQFRRVPCQRSGGIRLCLQGNYYWLLVYVMNVAAAGDVSDLAVKRAGEPDCNYRHASHNWGITFQVFGALGSDKGLVVKLTSYSSPQQIVVVDDAIPAGWSAGLCYQGSNNFY >Dexi5B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:5B:22099171:22107341:-1 gene:Dexi5B01G0019880 transcript:Dexi5B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIEVVVFKIKFYLMCALTMRVGSFCYPAILIQVQITALFQTQLMKAREQQQQQPPQQRQQQPQHMQMQQMLLQRAVHQQQQQQQQQQQQQQQQQQQPQQQLQQQQQQQLQQQQRRDGSHLLNGSANGISGNNPLMRQNQSTANVMATKMYEERLKVPSQRDSLEEASMKQRFGENAGQLLDSNETSLLKAASSGQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQQSIKTEINPILTPRAGGPEGSFIGVQGSIQAGNNLTLKGWPLTGLDQLRSGIMQQKSFIQNQQQLHQQIQMLTPQQQQQLMMQAQQNISSPTSSDVDNRRLRMMLNSRNAVLGRDGQTNSGSDIIPNMGSPSQSGGDIDMLIKAAKKRKKPGSSSGRANSTGTANTAGPSPSSAPSTPSTHTPGDAISVPQLQQNGGSAKPMVMFGSDGTGSLTSPANPLDDMDRLLEDGSLDDNVESFLSQDDMDPRDNLGRCMDASKGFGFSEVAKARASGTKVVCCHFSSDGKLLATGGHDKKVVLWCTEPLNPKSSLDEHSYLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDSDGEVRSWSINNGSCLTCVKAFKGGATQMRFQPCKGKYLAAASEKAIYILDGETQHACRSPLQVG >Dexi4A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:4A:919164:920679:1 gene:Dexi4A01G0001430 transcript:Dexi4A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPGALRRVTVHYANSPTRSTGDADLEDLDEDLLPYLLSEVLPGQEGLHQSFLQGEYINQSHMRGAPSDNSQSQHYHGESRSSAAAATASGTPGTDEQIASDYEYAKRLQEMEDLSIEDDDCVPSPSDSDDDHDRDHDDEEADRQDGNDDDPDNMTYEQRQALVESVGTEDRGLSDELISFLPTWKYKASGSGFFSRKTNSEDCPICLSSFRHRESMITLPCTHYYHAACVTRWLKVNKVNLQMKHDLLVLTAFRDITVEYVKLSD >Dexi7A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:7A:24982069:24989016:-1 gene:Dexi7A01G0015170 transcript:Dexi7A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAATTPAHPSPPSEPATTTRPEQQRPPPPPPPPSGVESEAPPKRRKVEEVGFQRSPYYTIRETVVNLRGRFLQVCQETDSQQKDAAVEILKEMKDVMELSKKTRLDLSSTAEPVKPFEKTEARAPEDIPAGKVPSTEKSRVPPTSLAAEPVKPFDRPEARAPEYIPAGKVPSTEKSQVPPTSLAGNFVHSTVVDIPLKPANSDTAAHRLLVETKEGARPSDLLTPEHGKGYHRAVEDEYEDEYYDQDEYEEDGSGAGDEYVEEEEPSEGQKEILELRERLKEQIRRKAKAAAASAAGRSSSFHDRIPPTSDNKFGSFFGPSKPVISRRVIEERKSLKELHSTISRDPRPSARDMPSSSKVQNKVTNGHHPKPKMVNEVKRKAEALKDNRDYSFLLSDDADLSSSPKEKTGARSSLSQRADREVMHSAAKSKAPTSQPAGRLSNGYGCRNTLSTQRHAEGMPSRPISSNGIRDDHAKRKQVVKRRFDEDEDEEDPLAMIRNMFGYDPRKYQGRDDDDSDMEADFATIEREEKRSARIARQEDEEQLRLIEEEERREQERKRRKMARGR >Dexi6B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:6B:16832408:16835883:-1 gene:Dexi6B01G0010450 transcript:Dexi6B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIIANLFERALLLVEKDYLCYHLWDKYIEFESSQKQLAQLATIYINTLKFPTKKLHMYYESPEALRQYLSAGERLYKRSSRIYKEICCFEASIKRPFFHVKPLDDDQLENWHQYLDYVEKNGEFDWAVKLYERCLIPCANYSEFWIRYSEYVDAKGGREIADHALVRASSCFVKGVPTFCMYHALFKEQIGDASAARSLFVKARSNFTSGFYANINRLANMEKRMGNTKAASEIYETAIEDAIQKKNIELLQDLHINFARFIYAVRTKYLVTDIKLSIIW >Dexi7A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:7A:21058656:21059483:-1 gene:Dexi7A01G0010250 transcript:Dexi7A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQKDSSRMEAQQLADPATPPSPHLPDDIHAEILVRLPAKSVLRFRSACMAWRRITTDALFLAAHARRRPPEVLMYVDVDPSHCESRPIDYAVDVALDALPVSGEVSGWRPLVSYPKFATTSDSTTNKRWYHSMPQHCLLLDSCNGVLLFKKAVGSSYFLCNPVTRQWAELPEITFTGRDGHRRAARGVTEYAFYFHQRSGEFRLLCCHSSFTVVHGQTTTWYVLSTCAAEPRHVDPHAMNVDNLISLLSTATTSLALHGRVHWPPRLIRH >Dexi5A01G0032350.1:cds pep primary_assembly:Fonio_CM05836:5A:34900908:34902431:1 gene:Dexi5A01G0032350 transcript:Dexi5A01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSTPATAVAVAAAAAVAVASSALERRRRRLEMRRFRATDLDAPAAEEDLRAGKRQRLARTTSGPCTDGVSGYEKPPPAMPELRLPRYGVTSVCGRRREMEDAVSIRPDFLPGAASKHHFFGVFDGHGCSHVATMCQDRMHEVVADEHSNAASCQETAWKGVMERSFARLDEQALGWATSRSADEPACRCEQQMPSRCDHVGSTAVVAVVNPTHVVVANAGDSRAVLSRGGVPVPLSVDHKPDRPDELARIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVSSEPEVTVTERTDDDECLILASDGLWDVVTNEMACDVVRACFRSNGPPGPAARTNGVAPAADADAEDGSAVVKGVSKADSDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGI >Dexi5A01G0038800.1:cds pep primary_assembly:Fonio_CM05836:5A:39657909:39658146:1 gene:Dexi5A01G0038800 transcript:Dexi5A01G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAINKFPRTRIDQAKQNMIETVKLVSAFGSGFTHRGVPYELILEDLRNKSELLLKSNPIHKEGTWLNN >Dexi9A01G0023800.1:cds pep primary_assembly:Fonio_CM05836:9A:19244656:19246754:1 gene:Dexi9A01G0023800 transcript:Dexi9A01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQVRRVLRVAPPGKGDGEAFPTVQAAVDAVPLGNRARVVIALAPGVYREPVYVAKTKNFITIAGAAPESTVVSWDNTATRIKHSQTSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGYITAHSRKSTSESTGYVFLRCSGPGSRSSNRVTWCRQLLDVEAEQFLSHTFIDPDLDRPWLQQMMSTRIPASA >Dexi9B01G0027430.1:cds pep primary_assembly:Fonio_CM05836:9B:29873587:29877271:1 gene:Dexi9B01G0027430 transcript:Dexi9B01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARSAALLAAFVVVLASSAVSCRAQLANNYYAGKCGNSSVEDIIRSAVQARLVWDKRMVAGLLHLMFHDCFVTGCDASILLDGPNTEKTAVQNTGIFGYDFIDDVKTALENFNV >Dexi4B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:4B:14582267:14613307:-1 gene:Dexi4B01G0014090 transcript:Dexi4B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMKYGTPDIELQNYAIQVMEILQGNDSPDPHALVSSFVDLRFLYLGGSDCSLSYCQACVLYVLRVGAADQMTEPTQREFLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPADFKDVLDNTVVAALSHSSAHTDGLGEDYSTESVQHPITIEEVAHCGRVVERVGAFASSLHSGTPDVAGDGEFAVVGQVRVEAALTLRALAEVDPTCVGGLVSYGVTTLHALRETVSFDKGKNLNFELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRDIQDWASELRTADGGILLNPVLAYLGGALSLISSLRSNQVPDVKSKLDLFATGTLMAYWSLSNPVVYKSEHQQMLQLCSSPFRNFPATINFLTPFWNVVLTPFVLCIKIMVGGISDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGLLPCVWDDEISNFPQILNNICLFFSYMKAESVRKMLVNQMLLCYGSIFACQENTVKIRLLNNIDQCLKSGKKYPWFMSLVTNACVAILSGLKEFLTLRSAQSLSIDILSMIQSIFKAILVETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELIIATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLSLAMEILLLEENGYVDLRQGIGHLINVIVAVLGPELAPGSTFFSRCKSVIAEISSSNEMATLLESVRFTQQLVLFAPQAVPVHSHVQGLIPTLFSRQAAMIDENIEENLFSMLDEETDSGIATLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSGSGYNPPDNTAENDVYYGEDEDNMISSSKQEQVHSSSSMSSQFPQRNKHLRYRTRVFAAECASHVPNAVGAEPAHFDLLSARSEMANGTYLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLVMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAINTASGPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISRPLSDVEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRTKEDAPDEYLQLAPALVNSSTLLGKYWIGTLKDYVSVSFGLHSKINYKPFLDGIQSFLVSSKVQKYLDEVWVLILQATALDAAPKEFDISRSGDLLEQTFISGHCMVRLDRTEFEFLWGLSILVLFHARQSLKKNNALKINLDFRQDKNFGGFIVHGLDDKKPCDQVLPVLLSLTAEVFFSNNFVSVNICQELLQALTYADCSSAPSIHLFTQTNYCFTMKIIRFCPDSFFEVEAFVSSALELFSQYLSILQCMGGSSQKHSSNTLISELSIASETMACRMKGEVVIGYTIPASLEAVDVTERAKSDGEHMHPEVVLGASVSLVAYFCAECDKRISLLENNISGSYRQLAKILLFCLGEATVFAKLIHEIGYLSENGSNNDVLLWGSFRQCVQVIQGSLHSTNIQVRMLGLHVLKSNAQKELTEGSETKTDSFVMLLAELLGDVFLVMQTTLKGCSNKESLGVIDESLKLLFLFHTLTQSKQYQQDATTLLLEALLMVFYISSDTVSQELAEVNSNSRRLFSHFIQIPSVAIQMKDIMLSAPPERRQQLQDMVRASVTQGQIIVPVSARSEQDVQDSNIKNSGSTDESTAEGSECCANQGKDEKEVDDDDWDEDWDTFQSLPATAANDAVDSGENSPANSHHKQFHQENASQGISDGDITAGAIEGRASSEELEEPCDFQCSSTEQHVNKEFLGSSHEDCVEHERRPTVDCKEPLAHIEMADELQQVNEDTDQASQDLKDVSTEIHRIEVDAHGGSISSTDEFTRNSNNLSE >Dexi3A01G0022860.1:cds pep primary_assembly:Fonio_CM05836:3A:18485393:18486847:-1 gene:Dexi3A01G0022860 transcript:Dexi3A01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKTMIIVCSVVGSLGVLSAILGFSAEGTKLTPNDILVFGDECIYPQNPALGLGICATIFLLAAQITVTAVGGCCGCCKSRAFPSETKRIIGIVCAVCSWIAAVIAWALLIQGAEWNANVARVTAPDCFYLKDGIFAGGAVMTLAATALGLASFIMLRRNPANAAAPAVHATGQPQFAQAMATPPNKASEQPPQHAVVTMGQPQFWAPAAAAAQQPPTAAGNTMGQGYQQQQQPAPTSWAPTGHGHSQFSPPQAYAQPQQPTSYPPQYPVQHQQQHHHQPQQQQHQVYMQAPALPPTASSPPPQGYEQQEEGVSGEDLVKAGAKLLMRVVENSLSSNNDTTTTSTAGDGAQATDNNYGYAVAT >Dexi4B01G0023600.1:cds pep primary_assembly:Fonio_CM05836:4B:24892057:24894100:-1 gene:Dexi4B01G0023600 transcript:Dexi4B01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENYHQGVAMEGVKFASEMANTNRRALGDIKNIIGGPRQHLAVSKRGLSEKPASAAVGHRPVTRKFAATLANQPTTAHLAPIGSERQKRNADTAFHTPADMESTKIDDDIPLPMLSEMDEVMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVEYVDEIYKFYRRTEGSSCVPTNYMLSQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLALENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRMVNTLKFNMSVPTPYCFMRRFLKAAQAEKKVRY >Dexi9A01G0033130.1:cds pep primary_assembly:Fonio_CM05836:9A:37981633:37982381:-1 gene:Dexi9A01G0033130 transcript:Dexi9A01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTSSGAAVAMARVDEMSSVVKLGTWGSDGGSAFDITVAPRRLESVTIRWGKVIDWLAFSYRDRDDEVHTAGPWGGNGKGEGTETITLGPSEYVTEVAWSVGPFKLKSVERCITSIKLVTNLGTYGPFGHAVDSTHHSLPVLNNVSIVGMFARAGDFLEAIGFYVLPF >Dexi9B01G0042030.1:cds pep primary_assembly:Fonio_CM05836:9B:42357860:42360887:-1 gene:Dexi9B01G0042030 transcript:Dexi9B01G0042030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLADSEQVFPEADAPAAESSDELWLRSGPPPRVVDVDIYGAESNGCDDTEAFLAAWSEACNSSDYRSMLLVPEGKSYLLMPVTFRGPCRATSITVMIKGTLEAPSNRSVWRDHNLQEWITFEDIDRLHVLGGGALNGNGQQWWVSSCKLNRSMALYFRRCTHLVVEDLEVRDSMQMHVAIAYSWNVLVSKLFITAPGWSPNTDGIHVSNSKQVSISESTISTGDDCISIVTGSMSVRVTGIFCGPGHGISIGSLGANNSWAHVSDVLVEKATLLGTTNGVRIKTWQGGHGYVERITFQDIEMHNVTNPIIIDQNYCDSKKKCHEQESAVAVHDIRYRNIHGTSASKAAVSFICSGAVHCDGILMQDVYLVGEGRYATCSYTSATVVQLGYSFPFCSAEM >Dexi8B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:8B:10185111:10189814:1 gene:Dexi8B01G0007920 transcript:Dexi8B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRFLLALAAVLLLSFSLTAAAFQSDELLLNDDEEFEGVGARPAAPSPPAAPTVSSSRRRSAEATSAGVGESNAVQFTLEHDLGGGEGFTPAGTFSARLKTSAHGTQTLTKLRFTRNELTEDEKDAFKKLLQEDGFYTIRLPSNVLDPTRKDNVVSSIKARCIPRDSLDEHIVIHMFHWCCELRLTYTLLLHYLQDGVNVLAVNYGSVGGCQYPRPVKFPSKWTFSSYTILKTAEQAPRTPSFVDQLLEADNGLGEVIKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAAGQGQPGARAPAAAAARRR >Dexi4B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:4B:14935263:14936378:-1 gene:Dexi4B01G0014220 transcript:Dexi4B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDIRIHGKSASVYVISASTFRPENSTIVVRPYTRKWEKDTMLRIREVSMRSSPPAPHSFVIPPRCTARHDVPALVFSTGGCGANFFHAMSDLIVPLYITSREYDRRVQLLITDYQPEWVAKFRPILDALSMYPVIDFDADTASHKILGIDPALSRTGYTTMGFRDFLRSIFSLPRAWTSPVSRSSGKKPRLLMVLRRHSRAITNEDDAIAAMTELGFEVVAAKPKDVSDMGTFAGVVNSCDVMVGVHGAGLTNMVFLPHNATIVQIVPWGDMAVACRFDFGEPVPDMGLRYAEYEANADETTLNEKYPRDHPVFTDPGSLHRQGKLWEIFLQGQNVTLDIGRFKGAMQQVYESVTTE >Dexi1A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:1A:17513894:17520092:-1 gene:Dexi1A01G0013350 transcript:Dexi1A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLKKFDVLNSLEERLGRMETTQQQMLNLVRDPEEQLTMVGVPEEYLAGHAFHMYHLTSPDGTVSFEFQHNVCGRSIYAEGTVDAAMFLYTKIQSGASKKLYDMIDVLREGNMR >Dexi6A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:6A:21269898:21270517:1 gene:Dexi6A01G0013930 transcript:Dexi6A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVPPAPTWPRQDAIDLHRAFKGFGCDSTTVINILAHRDAAHRAAIHHEYRAIFHQDLTRRIAAELSGHHKRAMLLWILDPSSRDATILKQSLTGDITDLRAATELVCSRTPSQLHAMRGTYRARFGCYVEHDVTERTSGDHQRLLLAYLAIPRCEGXXXXGTYRSAIGATQ >Dexi1B01G0030460.1:cds pep primary_assembly:Fonio_CM05836:1B:34432118:34435397:-1 gene:Dexi1B01G0030460 transcript:Dexi1B01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIDRQRVLLAHLLPSSSSSHPQPLEVFSLQASACAAGDSAAYQRTSAFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPSDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSVAWEGQVNPKLSAFQKAQDCLLPMGITSENVAHKYGITRQEQDQAAAESHRRAASATASGKFKDEIVPVPTKKKVVISVDDGIRPGTTASGLAKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLEIQDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGSGMGAAAVFERGDAVDELSNVRNMQSHNFLSRDVK >Dexi6B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:6B:23600490:23603552:1 gene:Dexi6B01G0016340 transcript:Dexi6B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRYPVKLFDISSFYSRDSDKVIFERLQKEFEAARAAQTEEISIDDDEWNDGLLATIREKVHMEADRKAMANQVNVPQDLPFQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTVIEHTLPFFLPLRELESDLLSSNAIKFIDHLEEILQAFIDRREQVSTSY >Dexi9A01G0021950.1:cds pep primary_assembly:Fonio_CM05836:9A:16927951:16928356:-1 gene:Dexi9A01G0021950 transcript:Dexi9A01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLSLLPPLHLSLRARQRSASHRSPALEGGPAAAGQLDGGGGRESGEAAGGPGDGANGNNDGGGTRRQKITAGLGVDNERAEPSSTRLFKLGPAREPARAAREQ >Dexi7B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:7B:16213491:16215244:-1 gene:Dexi7B01G0008400 transcript:Dexi7B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLCFIFSNLLHRIVLAPLSRNRSYGNLPQSHAILYYSQRATKGGLLISEATGVSRDAQGMSLFPHFAGIWSKEQVEAWKAVVDAVHAKGGIFFCQIWHVGRASDMEKEPISSTAKPVEKNEGNLMDFSNPRCLPVEEIPDVVNHFRVAARNAMDAGFDGVEIHAFHGFLLEQFMKDSVNDRTDEYGGSLQKRCWFALEIVDAVVAEVGSERVGIRLSPYGNHLGCHDSDPDALGVYMAQELSKRSILYCSAVEPEMVAVDGKMQIPHRLHEMRKAFNGMFMVGGGYDREEGNRAVADGYADMVVYGRLFLANPDLPRRFHLNAPLNKYDRSTFYTDDPVVGYTDYPYLEDSVRELHMHGEGHLAEERQLGKS >Dexi2A01G0031390.1:cds pep primary_assembly:Fonio_CM05836:2A:42143944:42144555:1 gene:Dexi2A01G0031390 transcript:Dexi2A01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPPETVEAKKTVVASMTSIVLGYDVAVMSGAYLYIKEEMKIRDVQLEMLMGTISVCSPLGSFAAGRTSDWIGRRYTVVFTGSIFFVAALLLGFAVNYPMLMVGQFVAGVGGGYALMIAPVYTAEISPASARGLLTSFVGLSINFGALLGYVSNYAFAHLPLHLG >Dexi2A01G0031390.2:cds pep primary_assembly:Fonio_CM05836:2A:42144562:42145555:1 gene:Dexi2A01G0031390 transcript:Dexi2A01G0031390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISAAPSILVALMVFGMPESSRWLVMQGRLADAKAVLDKTSDTSEEVVERLADIKVAAGIPISMAMWSPCQEENTAKRSRFGRSSSFPLPPPYGAYFSRRSSSIFSIGFRHRFRRFVHPSGITDSNRLLGTTCAVGVVKLFSIFVATFLLDRVGRRPLLLSSTGGIIVSLVGLGVGLTAVGHHPGTKITWAVVLCVVSNLAFVSFFSIGLGPIGFMYTSEIFPLRVRALGCAISLSINRFTGGLVSLTFLSLSKAITIGGSFFLYAGIAAIAWVFVFTTYRRLAAGLRRRLASCSAWPTTLAWKPRAKLRKWRWKCPQSDRNS >Dexi5A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:5A:9755154:9759425:1 gene:Dexi5A01G0013060 transcript:Dexi5A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTESALVADVVVPACAAVGIAFALWQWFVVSKAKASPNVNVEDVGAHGEEEEGAGDGHDAVVRCAEIQSAISVGANSFLFTEYKYLAAFTATFAVVIFLLLGSVHLFSTGSQPCQHTTIGRTRTCKPALANAAFTAAAFLLGAATSAVSVHLAMRIATYANARTTLEARRGIAAAFAMAFRSGAAAGFLMASLVLLVLYLTVKAFSGVYYGDDGDREGLYGSVVGYGLGASSSAMFGRVAGGIYTKAVVVGGELVGKVERDVPEDDPRNPAVIAGMVGDNVGGIAGVGSDLLGSYAESTCAAMFAASISSSSGGDFPAPAAVARYPLLIGAAGLVVCLVTTLLATADVFCSFKAETVRSVARALTVLMTVAALAVSFVALPANFTVYDFGQVKQVKSWHMFFCVAIGLWAGLAIGISTDYFTSNTYSPVQDVADSCRTGAATNVIFGLALGYKSVMAPVLAVAVSLYVGFRLASIYGVAVAALGMLSTVATRLAIVAYGPISDNAAGIAKMAGMSRRIRQRTAAGNTNAAIGKVSVGLLVGAALPYWLSAMTIKSGDIAALKVVEEVRRQFNTIPGLMEGSASAEPDYARCVRVATGASIREMVWPGALMLLAPLVAGTFFGVTTLAGLLVGAMVSGVQISISGSNSGGAWVNARNYIEAGESEHARSLGPKGSDLHKAAVIGDNIGDPLKDTSGPSINILIKLMAVESLVFAPFFAAHGGLVFK >Dexi3B01G0027120.1:cds pep primary_assembly:Fonio_CM05836:3B:22651259:22653232:-1 gene:Dexi3B01G0027120 transcript:Dexi3B01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGTRPPSSAAAGDRLSKLGDRVLGHILSFLPATEAARAALLSSRWRHVFAAVHTVSLEEPVAPLPDYNDGDDDADSWDWNRAPPDPNAPPPFHCTVTNALLARVHRRRRGGAAPPLRALRVAIEDGDRECPQESLRAHSTTVDQWVSYAVEQAAPGGLHLDLRLGRVPLCKRPYSLLRRRSGGERQGASSLSTTEINDEIHLSVSRSRLLPPPTARSRRGAAPSLTTTEIDLQRRRIYRFRNVSTTDGGSSDYDDYAAHTGSRRPSPPLSSSSSDDSVFWPSPRGQQHDDEAARGGQPWWERPRTLNTMPTALFTCADLRSLSLGLCRLDPPANVGLPSLETLLLCHVSGTGRIVERIIAGSPRLVDLTLEACREVVSLYVPSRTRLRRLALRCCHSLATVVLDASDLVAFEYRGAVPSSTLLTLHGGTPKVTYCKVDICGEVSYKEEDTRLGRFVQLFASTTKRLHLESARLGFGIDDDDNFPALPNLRHLELRGRVPSDDTAVVGVVSRMLGHAANLRALSLFFHPEEHDRWAGLSYIREYNEEELLDTHRLKYNGQSVPDVPTAAMAPCLSSSVREINLVHYQGGRAQRALAKFLLRNAPVIHKLWCEFAEGDLWTQTQLMREIKGWVMNKSANTQFL >Dexi7A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:7A:17659002:17661139:1 gene:Dexi7A01G0006270 transcript:Dexi7A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDGEGVVGGAKPAVAMVAVEFVFSALQIFIKLALDDGMDVRVLVAYRLMFASAFLCPLAFFIERKKRPPLTMKVLLGLFLCGLFGIAINQNLLVFAMKLTNSTTIVTCLCNLTPQSTFIVAILTRQEIVKLGKASGRAKLAGTLVGLAGAMVVTFYKGPELVFMHRLSRVAKLQHDGHGHGLSPATTPAARIVGSFLAITSCFSYAVWLSIQSRVGEAFPCHYSIAALVCLSGAVQSSLLALCFHHDMAHWRLGLNIRLYSSAYAGIVASGFAFPLMSWCLRKRGPLYVAMFGPLIIVFVAVLSSIFLDETLHLGIALGAVLIVAGLYMVLWGKAREVQEKAAGVLPQHEELGKESAAPADAANGKSVKQNGET >Dexi6B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:6B:24734849:24735153:-1 gene:Dexi6B01G0017570 transcript:Dexi6B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGALEFKLAVGDLVEFEAISVGGHRWRIQCYPRGDRDEEKGQFISMYFELTSESSSKDATFHILLLGRDGERRSVDTQLGVEPAPAAEHP >Dexi5A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:5A:3698874:3699811:1 gene:Dexi5A01G0004840 transcript:Dexi5A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPSHGDDAGAGNGGAESNKERKGLWSPEEDERLFTQITYHGVSTWSSFLSFMICSYTASINVECSGLRRSGKSCRLRWMNYLRPDLKKEPISKREEELIVSLQRSLGNRWSTIAARMPGRTDNEIKNYWNSRIRKRLNAAAKAEVAAGTEPPPPPPAAEKKVEEVEPINNGGTEVGPMPIPARFPVFECQLVDAGGGGGGGCISSDGSGESTPSTTTSTQQNSGDDSEASVDESNMIHCLSFDDLDYPAADFLVDLPGAMDAWESELYPANSTSSLY >Dexi9A01G0038220.1:cds pep primary_assembly:Fonio_CM05836:9A:42527653:42530643:-1 gene:Dexi9A01G0038220 transcript:Dexi9A01G0038220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTATGAPAITGSSSSFLYSSPFSLKASTTGHRRAPVRRPVTIRCVSSPPAVDTSYKTSVPRNANMAKLQAGYLFPEIARRRAAHLLKYPDAKIISLGIGDTTEPIPDVITNAMAEVPSCCEKIRNCRCFRFHIFNSPKNASRARALSTIDGYSGYGAEQGEKKVRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQALFGSNVTIAVQDPSYPAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCNPENGFFPDLSTVPRTDIIFFCSPNNPTGAAASRDQLTQLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMHDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >Dexi5B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:5B:2745559:2748714:1 gene:Dexi5B01G0004040 transcript:Dexi5B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKQRRMSGEVFWPKIVLKKWLNLKSKDLDFAADEEEDDDDDGSDIDNQENCGCDDGVPRRPSDGGAQITDESLESAPYKLRRRNSETLRAQYINTKELRVCVGTWNAGGTAPPDDLDIADWLGTAGDAEPADVYVLGFQEVVPLNAGNVFGAEDGRPAKAWESVIRGALRRAQTSKPKYKCYSHPPSPSRFDPPVDAAADELLLPGTDDTETDTDDDAPPFSFPALRPEEYVDATPRKLSRLNHFTVAGDDDSELTGDELGELEIDQKPQPEALQRTLMRSLSRADRVGLVWPEQPLDLLPAARAMNAASSSSASFRAYKSFRGSSRVADVAADDLPMIPDLDLDDGALRKKSRSPFVRIVSKQMVGIFLTVWVKRGLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCTHLAAGEKPGDVHKRNADVQDIHRRTRFPAPGDQQLFRDIHNHDRIFWLGDLNYRLDVSYERAHELISTKSWSKLAEMDQLKRELRKGRAFDGWTEGILEFAPTYKYAVGSRKYIGDEHKGGRRTPAWCDRVLSYGKGLRLLGYRRSELSLSDHRPVTATYAAEVEVFSSRKLQRALTLTDAEVEGGQVVPDLDF >Dexi9A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:9A:13157072:13157764:1 gene:Dexi9A01G0018100 transcript:Dexi9A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVILVTHSLGGINTNVFLNRTPLAWLRRYVKRFVMVSTGAGGGVFLLQFGNSSSPAGITSRSFASAFSALPSPKVFGHAPLVVTPAKNYTAYDIPEYLKANGFSDEEVARYVARVLPVTLGFAAPPVVPMTCVNGIGVPTPEMLVYRNDGEFGAKPDEVVYGDGDGGINIASMLALDTLIGADPEQDYFKSVGFATRVTLAPSRTILLSSVWWMRFLKQVVPLFRTGVL >Dexi4A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:4A:21645869:21649407:-1 gene:Dexi4A01G0017770 transcript:Dexi4A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSALAYIRGQFSWKKDQEKDLERLDTMLTEILTIVDVIEKREITDGNQRKLLSKLKDAIYSAVDVLDSFQYMVLQSKIDRQSAVSRATSSCIRLGKRLIGTDKFRWKLEDILDKLGNIKETADTLLKVVNFDNATARLLPVTRLRVTSPLKENHHIFGRNDELDKLRDMLFEINDSNAPVSSDSSINVISIVGVGGVGKTSLAQLAFRDEQIRMNFGHRIWVSVSDTYDEIRLTREILESLTDSNYRTVTEFDILQNALREKIEGKKFLIVLDDVWYDEDKTKWANELLWNKVLSSLNTGLEGSKDKLFFMAKLPVLKYLELRGMHSVRQIGQEFYGTELDSQLQRKEGAEWNKIVHIPEKKLQSVY >Dexi2A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:2A:29408675:29410053:-1 gene:Dexi2A01G0017530 transcript:Dexi2A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRNPRAKRPSEAGLLTSKTESIRLRVDGCPPQQSARAGRSRSARDDCGRRSGLICAAGGESAPKTARWPWSHSDSEVLRSDSNAAPLVYTRAAPRQPPTSHCSTIPSQGSATHDTRVRSLAPAAATDPSRSAPNAQQQQHPSSIGMATLCIRPTDCLAGRAFFGAHRGARLALAPPAPTSRRPMQQQQKQKQKQKQQGSQIPATDSAPPAVAAMQPRPARGQAANGRPRRRRSPPAAAPASRPPHRLVMENVVILKRGEPIPPAATVAPPPAVPSLAQAEEAKAVVAEEVKPVAVADQGRAAPTESDEPVAAAEGQRGPDTQEKKAEEDAEHIAADEAKAAPPAVAAPAHQGVPQAKKETKQQASMAAAKPEVYAGSSFATAAPDPSELPIPVLLLKTRGRAPRTIRASGDDRAPVTVAAAA >Dexi2A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:2A:4992333:5001710:1 gene:Dexi2A01G0005230 transcript:Dexi2A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGIFRDDSDEDDDNVQEREANKEMVVYLVDASPKMFTPAKAKKNLQDLAGVYVYNVGDRDPLDRPTAKLIKDFSCIEDSFMDNIGSRYGITAGSRENTLYNALWVAQALLRKGSVKTISKRILIFTNEDNPFGAITGAVKTDMIRTTVQRAKDAQDLGLSIELLPSRPDEEFNMSLFYADLIGLDGDEITEYLPSAGTITWLDSLSNIPLKTERSFICNDTGALLQDPQMRFQMYNEFALAFYGNPTRPQLVALVAQEELTSSSGQVESPGMHMIYLPYSDDIRYPEEVHLTSDDAPRATDEQIKKASNLLKRIDLKDFSVSHFANPGLQRHYGILEALALGEDEMPDIKDETLPDEKGLSRPGVVKAIDEFKASVYGENYDQEEAEAASAKASRGDAAKKRKAITDAASLKSAAYDWAELADNGKLKDMTVVELKSYLTAHDLPVSGKKEALISRILTHLGK >Dexi8B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:8B:73977:75831:-1 gene:Dexi8B01G0000090 transcript:Dexi8B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSATIQQQLLVSNSNRSMGDSSSVNNHQDLEQNGASFDELLMRPGLR >Dexi1B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:1B:25812719:25814675:1 gene:Dexi1B01G0019580 transcript:Dexi1B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFGKHPSSAVHNYNTDKLASDGDLLETIKFSLLVLLLGVGIASVTDLKLNFLGSVLSGLAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQAAILFATGPFVDHLLTNRSVFAHKYTFPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTARNILGILVAIFGMALYSYFSVREGKKKSAGDALPVSQMPDKETEPLLVSTKDGSDTKKANGVAHDC >Dexi7A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:7A:14601042:14602315:1 gene:Dexi7A01G0004210 transcript:Dexi7A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDHQLHQLLQFSPEDHFMASPAFFAVDGHHPAHFQAAGFVEPVSVGIEDGAWVADLMQLGDKLFGGGHGDVIPVPVPDAVGMGDRQELWLYEDDGGSPDDPPPRSASMDGEGGSPPASGEQLGGAGELASDEPNGGEDDASPETTTTTARKRRDRSKTIVSERKRRVRMKEKLYELRSIVPNITKMDKASIIADAVVYVKNLQAHAINLKAEVAALEARPTRSPSGAPSLATEAGRRRGPGGGSGDGYGGEGRRGGGGHGARLTRVEATQVGEGRFFVTVECERRDGVAAPLCAAVESLACFRVESSSIVRSGTDRVVSTLTLKVCQQVGDQAVIGEASVKLWIMAALLKEGFRPETAVGIL >Dexi2B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:2B:10582449:10584101:1 gene:Dexi2B01G0009850 transcript:Dexi2B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKLQVLHALDAAPTQRYHLRAVVIAGTGFFADAYDLFCITLVTKLLGRIYYHDHSSGGEPGRLPPRLEAAIGGATFCGMIAGQLVFGWLGDRFGRKRFYGKTVMLMLMGSFLSGLSFGNSSPGPVMATLCFFRFWLGVGIGGDYPLSATIMSEYASKRTRGAFVAAVFAMEGLGVLAGCVVTLVVSATFRTHFPAPAFEVDPAKSTPPQADYVWRIVLMAGALPACLTYHWRMKMPETARYTALVARDPHKAARDMSKVLDVDADDISGADIAGGEPTDKAVESQSLTTCGVFSRAFARRHGLHLVGAAACWFVLDVVFYSQNILQEEIFSDVRWVPEARTMSALEETYRVGRAHAIIALCGTLPGYWFAVVFVDVVGRKPIQTLGFAMMMAFMLAIASLYDRLLTNGHRTWLVVMYTFTFFFANVGPNTTTFVVPAEIFPAHVRATCHGVSAAAGKVGAIVGTFGFMYASQRADGSEADETGYPSGIGVRGSLFVLGACNVLGILFTCLLPEPKGRSLEEVSGDGGGENLSSRDDADVGDSQVLPL >Dexi5A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:5A:3056804:3057358:-1 gene:Dexi5A01G0004110 transcript:Dexi5A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWQRCAQLLSSHPYHSAISSAPCFGLGTHDDDADGDHGAAAGAVAAGKFELPRGALSATDRFFVSPARTASLVGDDAGEGERDLRAAAVLVETTYSSDPRGKFLESMEEMAAAYGAEGMPAPEYREFMDELLSCYLERNDRGVHQHVLAAFAELTARRWPTAKRRRPLRGLMKINPCVSGS >Dexi9A01G0041000.1:cds pep primary_assembly:Fonio_CM05836:9A:44662947:44666650:-1 gene:Dexi9A01G0041000 transcript:Dexi9A01G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRSKRRRATRSQNPSLDSDEEEEARRVKEEIAEDLNGEPAEEDDDEEVVVEDDEVVIPSDDEEDGGGGDDGFVPRTLEEALVPRVGTVFDSVDEAFALYKAYAYRTGFHAVRRTCHNYEGLRYRSTFTCTNGGKSRAGASPSDAPGARYPLRSKRGAATQEKSRRGAAEKTGCKAMLVIRDKRVDERWKHSRTSLPLATLLGVNNHGHLVLLGCGLLSGDSKENYIWLLKSCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTKTTLPVFLEQYEPTLKGKLEREVYDDLRSYYSRLTLLSGLPFEEQLVEIYTVTMFQAFQDEIKQLMHVICKEVDRSGSSITYMASELIQGKKVDYTVVYNNSDKDVWCICRSFPSRGILCSHALAVLKQENVLMLPSKYILNRWRKDFRILTSSANTNCMESDRNLGIYDDLYFRAHEYFEDVIDIGAREPELKEFVLTVMKEARDRLIGPDHTQQVDQRVDVNMTVSGPVSTDTRVDVNMASHTSALIQGDRRIDANMASNTPALVHGDTITSNATGLIHRDRRLEMKMPTTHLIHGEGRVDMNMSSPHLIQRERRVDMNMASPHLIQGDRRVDMNLASPHFIHGDRRVDMNLASPHLMHGDRRVDMNMTSPHLLQGDTRVDMNML >Dexi1A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:1A:3494956:3496113:1 gene:Dexi1A01G0004800 transcript:Dexi1A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSALSTSALSSLEAMLHALMRGSDGGDDSDTPLDDTLASPPPPPLPARPTARGRRPSPRRVLRAAAPPTSPPSPSPSPSPSKEQEEDEKTEEDVSLLVEELERKAMEVEARLRHKEEENAALKRRMESYHIRWLEYEIRIKSMEEAFHEQMAALKLAQDAARKAEDETAYGRRRGSSELDGSMEEEEEEAAPVRLCHGRDRMVVVGSRRSSVMSRLGSEFRRQSHTLERGAAAIVVADAPPPLSGGGDSVDDLKKLKAQFRAWTKDYRARLRRANTELRRDRQQQRHHQASCWI >Dexi1A01G0031970.1:cds pep primary_assembly:Fonio_CM05836:1A:36707171:36710326:1 gene:Dexi1A01G0031970 transcript:Dexi1A01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGAGAAAAGVGGAAVPAFEETTGGXXXGKVTSCAVFRSESVLSSQDVSQSDASGAESGRLLGDDESQRGAEVMAFTDGEDTAQTLLENVDLQEVNADRAEVHSPGIPSDGMVVMQESLTQGDNMRQDETEDSTRFWQSSLDGRLDRWPSEIEEDADRNWEDSVEDLHSETVEDDDRGHDHLQEEHDDWHDDESHGTVENWQDDYQDSTLDTGPIPRTENRFIPSDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVLRRGHGPLNWNLDAAMPTSNSPNENQEQERNTETRQFQGPANRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRADMGRLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRFSGAEGFSMDVSEDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYSVL >Dexi4B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:4B:2302773:2308046:-1 gene:Dexi4B01G0003300 transcript:Dexi4B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVLRRSARRVARQVAAAHSLSRSALQQPERLLSSQASPEHGPRGAVSGSELALYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQIDQPTADPDNVKEQLKRLFDIDPSEALLTSAKTGKGLEQVLPAVIARIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALRKGDKIASAATGRAYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSTVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKETSNALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSQRALLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVLTIEYIMTGAC >Dexi2B01G0032640.1:cds pep primary_assembly:Fonio_CM05836:2B:40509597:40515279:1 gene:Dexi2B01G0032640 transcript:Dexi2B01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSRRRSAFSPGLAVLLSGDEAKISPQKTHLVSYHDEIGHQAVERTIEHILDLPHKSVVRPPGQIDVAFVRSVLRNQARKFDLDWDKFIHGYHGSVLIVDKGAGQSKVVLDDSSVCGKFRSVRGPLLVESSGPFSSARANACVWKGKWMYEVTLETSGVQQLGWATLSCPFTDQRGVGDADDSYSFDGRRVTKWNNDPKPYGQPWTVGDVIGCCIDLDAGEISFYRNGASLGVAFDRIRNAESRKGYYPAISLSEGERCHLNFGSHPFRYPVDGFEQMEAPPHSWAFTTYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLRELFRPISEAICAEFFSAIEVSQGCLEYIAWGSLITLLLDVFRAREPHDFSCLDQVLDLFLKFPGCNSLLQELIVALSCMCKAAPLVLTECPYSGSYPFLALVCHLLRHKDVIHLWWNSEDFPFSFEGFLTRKIPNKHDLQCLVPSVWWPGSSEDEVSMTLTMTTLSDAVKKIEEMHRELCSLVDCFHALRRSDPPFVSPAVFLKQGLASFVTLVVKHFDDTRILNPDLKDLLLQSISVLVQYKEFMLVFENNREAVNRMPRSLLAAFDNRSWIPVSNILFRLCKGSGFASTKNGEPSSSATFQVLLRETCIHEQELFLSFLNRLFNTLSWTMTEFSMSIREMQDKHQVADLQQRKCSVIFDISCNLARILEFCTREIPCAFLMGPDMNLRRLTELVVFILNHIISAANAEFFDM >Dexi3B01G0033840.1:cds pep primary_assembly:Fonio_CM05836:3B:36654899:36661211:-1 gene:Dexi3B01G0033840 transcript:Dexi3B01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGSGFDGVHMKRRRSSAARRPRPEGGPAADHRDNASSPPSPSASSRSGLRRLPLTSDENATGPDGGNRRREFLLNAPSPERATKGSIRLRSDAAGVGTRKSEGSSHGGLVSPEGNRGSSSASGKMGKVKLKIKNVLPKPNPDTTDSRSLPAKPPRPVDSRQQQKTEGAKDSDRSTSSKEKKARKERSIDEAMAQEQSAKLQREPSSDPVRKSRRLAKKSLLDNEIDEDYDTSNTGTPEDWDGNAPEVKNKGGSSSKKNVSKKVKNRSKAYEVDNEFVTIKDIKKRPRQSVDGDNTEEEPISDSEPDAEDEQKMVTESPVNVRSEPLTTRRRALQSWMDGSSNSTIEFPDGLPLAPSRSKKDKLSEEEMLAKKAEAAQRRRMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKPSEQIVLFTQERAARAQNIAASSIRWVMGPTGTTISFPHAVGLPSIFNSKPHSYPPPREKCAAPSCPNEYKYRHSKLNLPLCSLKCYKAIPSHVQTAEWFEFLEVGSFVRHLASDLNNKQMAGGRVAHATLKGPSVVKEIFIGLTLGLIAGGMWKMHHWNEQRKTRSFYDMLDKGQISVVVEE >Dexi9A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:9A:12704963:12706794:1 gene:Dexi9A01G0017650 transcript:Dexi9A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSISMFFPHSNMMSSNPRPSMAALPANLHVVAPFTVVACSLLVLAFLHYLTTKTTATIKRELHRLPPGPAGLPIIGSVHHLMYNKPVFRWIHRLVKEMNRKIMCLRFGPVHVIVVNCPEIAREVMRKNDAVFASRPLTFASSSFSFGYKGSILSPYGEQWKKMRRVMTSEILSPALERRLHTQRAEEADHLVRFVYNQCNDTKANNGVDIRHVARHFCGDMIRRLVFSKRYFVEPPLVSAGAGPGPNEVVHVDALFTLVNCVYSFCISDYFPVLRGGLDLDGHEKVVHGVMETLNRLHDPIIEERIHEWSILRKHGEKREIQDFLDVLVSLEDSEGQALLSFEEIKAQAAEIMFAIVDNPSNAVEWALAEMMNKPEVMEKAMKELNAVVGKERLVQESDIPRLNYLKSCIREAFRLHPYHAFNVPHVAMKDTTLSGYMIPKDSHVIISRLGLGRNPNTWAAPLEFQPERHLSGSSDVLLTEPDLRFISFSTGRRGCPGVSLGSSVTMMLFARLLQGFAWTKLPGVRAIELKESTTSLALSEPLTLQAEPRLPVHLYESISS >DexiUA01G0010470.1:cds pep primary_assembly:Fonio_CM05836:UA:20816240:20820470:-1 gene:DexiUA01G0010470 transcript:DexiUA01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAAATEGGGGGHHLIGLRIEEYGKYLSESTCCPQCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLQGKVRPGSTSSHPLIDDFIPTIEGEDGICYTHPEKLPGLTKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAAAASSSSSSPATQHHQQQQQRSETRWHKTGKTRPVVVAGWQRGCKKILVLYTNFGKHRRPEKTNWVMHQYHLGVNEEEREGELVVSKIFYQTQPRQCGVVAAEPAAAASSDTVDDGAAADQVAAEAAAVAPPDVGGVYRGATGIDEFNFAQFRSSFEEVDVGTSSAQVSARADEEVHTSHLHLQQEHDLHQHHQYTNQQEQQRMAAAAAAFQISTPTESIRHTMITSSPMVHHGSVILQQTEAYDHAASYRHHQEVVEDEQPHQHRNFDGRSTSGLEEVIMGCTSRRSRRGEASGSGGNKESRDWQYPASFWPSDSQDHHG >Dexi2A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:2A:24085075:24085839:1 gene:Dexi2A01G0014460 transcript:Dexi2A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPLHNRAALAAGDIAAALAAQEGSTPAAHARARAAAHARRPARAAAPCPPLQRHVPRSPQPPPRPPHAAPRSPPRRRTKEREPPPLLAPSSRKESTGTKSSACPRRSFWRPIRSYQSSARRAPALAGAAGARFAAARSLARQSCVVAGELDSRTAASRCAIPGSPSQAQEAALLASVAIRLRKVDRVRRHHGRLGTMLCGRRGCAFFFFKSRLSSSTQCRERTKVWQARDARHGDRGLQLCGRRLFWDTGV >Dexi5A01G0030020.1:cds pep primary_assembly:Fonio_CM05836:5A:33051622:33053960:1 gene:Dexi5A01G0030020 transcript:Dexi5A01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDEPRARGCHIVAVPFPGRGHVNAMMNLSRLLAARGAAVTFVVTEEWLGLLRASTSSPAPLAAGVRLRAIPNVIPSEHGRGADHSGFLDAVNTEMEAPFERLLDGLDEGPPPAALVGDAYVPWVIAVGNRRGVPAWSLFPMAASFFSAYYNFDRLPAWLTDDDERAPDSDKSDHRLVHYISSQASSSIRLLDLEPLIHNKRTVKHILSSISSIRNAQCLLFTTMYELEASVIDSLQSVLSCPVYPIGPCIPYMTLEDDRTMSNGNASQGDYFTWLDSQPVNSVLYVSLGSFVSVSASQLDEIALGLVASDVRFLWVLREQSPRVRDLIGDTTKGMILPWCEQLKVLCHCSVGGFLTHCGMNSTLEAIFAGVPMLALPLLFDQPVDARLIVEEWKIGLNLRDWASKDGLIGREDIALAAKRLMSSVEAEAKAVRRRALEWKEASRRAVDKGGSSYRNLSALMEMVCTPE >Dexi8B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:8B:1051761:1052293:-1 gene:Dexi8B01G0001590 transcript:Dexi8B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASALPLLLARRPVPARPTSPHLHSRRLALAPLRPGTLSAAAATHPRKARRLEQLNAASCCGNSAPAAGTTGGSAKDH >Dexi2A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:2A:8366353:8367728:-1 gene:Dexi2A01G0008140 transcript:Dexi2A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHALLCVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRFKMKLEIFLAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEVHLQISILIVLSVLTDLRTLRRRRNRLAVGPER >Dexi1A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:1A:23029160:23033071:-1 gene:Dexi1A01G0016050 transcript:Dexi1A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTEAANSGTGAPVRCQRIGCDAVFTDDNNPEGSCQYHPSAVSFTLLQMLFRCTSGKHTTEKPVTKAVSASRPKATPIQSSKQGVGADACPRCRQGFFCSDHGSQPKVQKPAVTEDTSKEPVEKSSVPPPKKRIDINEPRTCKNKGCGKSYKEKDNHDSACDYHPGPAVFHDRMRGWKCCNVHVKEFDEFMEIPPCTKGWHNADAV >Dexi4B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:4B:15816732:15817256:1 gene:Dexi4B01G0014660 transcript:Dexi4B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPPPPPPPATTDASVIIGLSVALGIFLFFISCVRSMARQSVAGDAADTAEAARTVVAIVPPEPWENELPRQHLHIDAGDEGWPRRASPVADLPSFTYSQSVKHNVTGPADEAATCSVCLGAFETGETVRLLPVCLHMFHVECIDPWLDAHSTCPICRSGIDATTDSRLYLPV >Dexi3A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:3A:1368732:1373080:-1 gene:Dexi3A01G0002010 transcript:Dexi3A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMETGGAAAAAGEVGWYVLGPNQESVGPYAVAELREHFANGYLNESTMLWAEGRTEWMPLSSIPELNSAVATKDQPEQDTEDDFEKFQKEVTEAEAEVDALKGSAADGDVNPLDDERPATPPDGEEEFTDDDGTIYKWDRSLRAWVPQNDASKDNYAVEEMTFAVEEEVFQAPDIPGPSALEEINTLAENINKEPDKAEKKGEKKRKSSEKPAEKKEANKPPDSWFDLKVNTHVYVNGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKATGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFIAKKADKQKKRKTKKVEDKMLGWGGHDDKKLTIPTTIILRYMFTPAELRADEELLSELEDDVREECSKFGPVDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >Dexi3A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:3A:248278:250632:-1 gene:Dexi3A01G0000240 transcript:Dexi3A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMREEEAAKATEDDDTHFDAGKPPPFRIGDVRAAVPPHCWRKSPWRSLSYVARDVAVVAALAVAAASSSDGWPVVIWPLYWAAQGTMFWALFVLGHDCGHGSFSDNATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPQITEKLYRKLEPRTKKLRFTVPFPLLAFPVYLLYRSPGKSGSHFLPSSELFSPKERGDVMVSTTCWSIMLASLLAMACTFGPVQVLKLYGMPYIVFVMWLDLVTYLHHHGSKDQLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPQRSGPLPLHLLGVLLRSLRVDHFVSDHGDVVYYQTDHTLSTFLE >Dexi5B01G0029180.1:cds pep primary_assembly:Fonio_CM05836:5B:30430597:30430815:-1 gene:Dexi5B01G0029180 transcript:Dexi5B01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPTLDQLREGGAAADIFRRPIDPTPRRAKTLDLADQRHQSHHRVPARIWLEAPRARKQPAGAAGLRARS >Dexi5B01G0027770.1:cds pep primary_assembly:Fonio_CM05836:5B:29338328:29339245:1 gene:Dexi5B01G0027770 transcript:Dexi5B01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERSVACYRQWIAGQESGLAELEAASANAAAGRATDAELRAVVERCMVGYQSYAAARRAELSRDDDGTAFIAPPWCTAFERSVLWLGGCRPTLTIRLLYNLSGEGLEAQVEDFVVNGRRAGGDVTVPRGRMGITPGQLALISDLHCRTLLRENALSDRLATLHEDIADRPLFPIVRQRATAMAQLSATAAAAGHGGFVDGVVARPAGVGGGVDTEVEAALRRYKEGMAQLVAEADELRMATARAMATEILTPRQAVEMLAAAKQLHLSLRDWSRRTEAAGSQPHPDGPRAMMANTTSAAHRNT >Dexi9A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:9A:5570132:5570959:-1 gene:Dexi9A01G0009300 transcript:Dexi9A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSAAAQPPPPASSAAMYGAGAAYVAPASSAPGGGYTYPAPSSSSSGGSAGYAKIPAYSAPPSAYPNPPPPQVSTQGPIQDPPSPMTKAAELVTRFREQGQALIAARRPWAEVLRAQAFSKPPSLGDAFARMRRNTAYFRANYTLAVVAVVAASLLWHPGTLFALILLCAAWFFLYFARPAQSGQPLRIFGVEFDDGTVFALLCGVTVVAMLFTNVGWNVVGSVLVGAALVGAHAAFRTTDDLFLTEQEAAGDGLVAAGMNAGGPILPTYVRIA >Dexi5A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:5A:22235397:22235636:1 gene:Dexi5A01G0018740 transcript:Dexi5A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPLDELYVEALWGGGVAAAAEQEEDVRPVGEEVGEWDGWREAARLWRGSGGGGGGAACEVG >DexiUA01G0002430.1:cds pep primary_assembly:Fonio_CM05836:UA:5484134:5486262:-1 gene:DexiUA01G0002430 transcript:DexiUA01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIERIAPDGHNVQHQVAFSATTIPADARVLITYGGGSVKKTGVLDQVYSALEGLDVREFGGIEPNPSYETLMNAVKIARDEKVTFLLAVGGGSVLDGTKFIAAAAHYADGIDPWHILETRGSDIKSAIPMGSVLTLPATGSESNKGAVISRKTTGDKQAFMNDHVQPVFAILDPVYTYTLPARQVANGVVDAFVHTVEQYVTYPVDAKIQDRFAEGILLTLIEDGPTALKEPENYDVRANVMWAATQALNGLIGAGVPQDWATHMLGHELTAMHGLDHAQTLAVVLPALWNEKRDTKRAKLLQYAERVWNITEGSDDARIDAAIEATRSFFEGLGVPTRLSGYGLDGSSIPALLAKLEEHGMTQLGEHGDITLEVSRPPEGGKMANQTVIKLQDGNVMPQLGLGVWKAGNDEVVSAIHKALEVGYRSIDTAAAYKNEDGVGKALASAGMSRDDLFITTKLWNDDQKRPREALQESLDKLQLDFVDLYLMHWPVPAIDHYVDAWKGMIELQQAGLVKSIGVCNFQVHHLQRLIDETGVAPVINQIELHPLMQQRQLHAWNATHKIQTESWSPLAQGGEGVFDQKIIRDLADKYGKTPAQIVIRWHLDNGLVVIPKSVTPSRIAENFDMN >Dexi9A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:9A:11107366:11109973:-1 gene:Dexi9A01G0016160 transcript:Dexi9A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRSPQVVSKQRLAQFVSGNGLRVGDICLFELKKNEKQLVMKRLSSLHHTTRLESPPLQQSPGDSGFTIGMSMVWRPPKRPPPRPAKEDGFLGRWIDEGSLDDPEARKDSGGLA >Dexi5A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:5A:23740500:23741041:-1 gene:Dexi5A01G0019850 transcript:Dexi5A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFEWTAAKFFWYLFFAYFTLLYFTFYGMMAVGITPNSNIATVVSAAFYGIWNLFSGFIIPRPKVPIWWRWYCWACPMAWTLYGLVASQFGDIMTPMDDIPGMTVKGYIEDRFDFKHSWLGGVAAAVVGFTVLFAGLFGFAIMKLNFQKR >Dexi9B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:9B:7028660:7029873:1 gene:Dexi9B01G0011080 transcript:Dexi9B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASPTPFGAAAARRRAAPAPLPLLPLSGRAALHVAFARRGGVSSRTQRRLEERGGKKRRGGVATPDVDEEALEAGMAEWEGGPLGFEVSTEPMPNLPDPETPDFWEGQQWEPLGFFVQYMWAFGVVFGLIACGVAVATYNDGATDFRDTPAYKESQTQEFPEESESSGADVFEGNPTEVAPALE >Dexi5A01G0032770.1:cds pep primary_assembly:Fonio_CM05836:5A:35153313:35154788:-1 gene:Dexi5A01G0032770 transcript:Dexi5A01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEERQKVTPRTVMVGGKAFATYTNAKRIVKLFKPDPRFEEAKQLIRSGAFGSYDYEPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQDRVDAAYKDKKKWTKMSILNTAGSGKFSSDRTIAQYAKEIWDIKASPVA >Dexi9B01G0029640.1:cds pep primary_assembly:Fonio_CM05836:9B:32110882:32111702:-1 gene:Dexi9B01G0029640 transcript:Dexi9B01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANIELKLVGQWASVFVTRVKLALHIKGLSYESIEEDLRNKSDLLLSSNPVHKAVPVLIHNGKPICESQIIVEYIDETFAGIGPSFLPADPYERAVARFWAAYIEEKLVAPWDRVFRAKTNEERDEATKKMFATVEALEGGLKECSKGKGFFGGDNVGYVDIILGGAISYAKATEALSGAKFFDAAKTPLLTAWMERFSELDAAKTVLQDVDRVVEHGKMLIAKNAAQASTTD >Dexi5B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:5B:21197003:21201156:-1 gene:Dexi5B01G0018840 transcript:Dexi5B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQEGKRRGVEDVKRKGAGKPKAKAAAAAVAADDAAPVAGCWIRFPRLRGCMSSRAKVDSSTSGGVISWVYHLTDTISTSAESKTMIDGCQDQSVPPASGSTTTSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYKLVDPRLDGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLQNLKDMASSSYFFQSMQHERRTALTNPYGSQSMKAQSTFARNGQQPLRSLSYGPHASPYRQSPRPDGKRQ >Dexi5A01G0037240.1:cds pep primary_assembly:Fonio_CM05836:5A:38649640:38655347:1 gene:Dexi5A01G0037240 transcript:Dexi5A01G0037240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVKPAAADPPADGAEEAAAGPEPTADAAAEKGKEESTPQQPGKKRGRRKKGEAQAEAANKTPPPKKTGPAAERPSRERKTVERYSELAPRVAPTKKSPAIVQGSGTKLKDIPNVSFKLSKRKADENLQSLHALMYGRKSNVHFLKRNISQFSGFVWTDNEGKHRTRIKEKLDKFNKEKLLDFCEILDIRVPKATTKKEEVSAKVLEFLESPCVTRDVVLTDKKKGKKRGRKPKGSGEATSEGVSTEKKRKRGQNAAAEDGKEDDDDEDAGSEDASTGEDGDGDSEANDRAVSDDEPDEPPAKKKSTDANQVKKESGSNAKEKNARGKRPGKGSKPSQDIKDEPNMDTKKVGKRRKSSKESDVPQDSNRTNKVSRSKKDDGKENQNNKAAKPSIKIKGIRSATLADILRQLGTHFEMDLMDRKAEVKRIIEEVINSMSDDDDGEEDSEDEAEDNGKEDKSKSEPDGGEEK >Dexi9A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:9A:8397639:8398478:-1 gene:Dexi9A01G0013070 transcript:Dexi9A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATTARIGAPSLWRSERSAGVLAGSATPPPSRRPARDTRARASELQQAPRPPASGAVPTHKVTVHDRQRGVVHEFVVPEVDQYILHTAEAQDIRLPFACRHVVVCPDC >Dexi4A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:4A:23696207:23696993:-1 gene:Dexi4A01G0019870 transcript:Dexi4A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVYGGGGRVLLAKVALVVLTTAASASAQTRSPEADTLRVFRNSLRGPGGDPPRWLNQWVTNPFPCGGGGDAPQWIGIRRCAGGHVVAIDLEGLGLEGAAPDLRILAPLQGLRSLSLAGNNLTGAFPDVSPLPALKSFFLARNSLSGEIPDGAFAALRGLQKLDLSDNAFTGRIPSSMATSGRLIDVNLSNNNFSGPVPDGLLRLGANLHVQVYCTI >Dexi7B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:7B:15199627:15213227:-1 gene:Dexi7B01G0007290 transcript:Dexi7B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRARESVNMSEDLTGAIAPYATALHDMFLHSHCSSCFSKLPPQSTCVISCTMCCSVRYCCSECLTSDLVVHSSSGECCFFVEHLKGASPSYVIEGTSDLRAALRLLYVLEMQGLASFDSINHYSRIGGLSASGIEEALEEGEDIAERILEGSLLMSSARKSRAQTNVSFSDVLKVERMTLWAVITNSVEWHAWQREEVDSTNAICKYGPRVVVRCTKPINKGDEVCITYIDLLQTKPSGVAQIQPSELALVLAPFTPPPLLDLLEQIPPPPLTPAPPPLWLLQPPPLPSPSSRRRLRPRSSGRHFLLWWRRSTSSHLLLPWWCRSSSSHLLLLWWRKKGPAAVPASSPLTPALAAAYLAELTALAAAAGLTDPAGLARLAATVGPRGFAGSLPLDGGMRVFDLVGSSSRPPLGDTGKQYDRSSYKGTSANEGGYKQSNTDDYGSGGGFNKSSTDDYGSGGGYNKPNTEDIGSGDGYNKPSTDDFGNEDGYNKSSTDDIGSGDGYNKPSTDDIGSGDGYNKPSTDDYGSGEGYNKSSTDDYGSGEGYNKSSTGDYGSGGGYNKSNDLSSDYNKSGTDEYSGSGGYIKSNTSDEYKKPSSNDYDGENKELNTDDYSGSGYNKSSTDDYDTGKNTSNTDDYSGSGGYNKPSDDYGGSGKSGTDDYNGGNNQSGSDEYTTRSGRDNTDDY >Dexi2B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:2B:14386845:14388154:-1 gene:Dexi2B01G0012170 transcript:Dexi2B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFAALFDPLYCPEEHLDLYREELGEDAGEHWPDQHPAPLDDELPELFEALRAKEGVVLAGDGEEDGYGGAAGREAAVGWACRAAARLGFSALTAALAAAYLDRCFLAGGALRLGDQPWMSRLAAIACVALAAKVEETRVPLLLDIQLCAAAGADPADAYVFEAKTVGRMELLVLSALGWRMHPVTPFSYLQPLLADTAMRLNNCEGVLLAVIADWRWPRYRPSAWAAAALLATAGDGDDDSELLALINAPEDEAGECAKIISEVTGMSFLDVGAGNKRKHAAARMYSPPLSPSGVIGALSCFSGESSSSATAADSRPASTLAAWAASAPSSVSYSPEPTGRAHKRAAVALPDPVQHPLPPDEESRDAWPSTCAA >Dexi5B01G0033130.1:cds pep primary_assembly:Fonio_CM05836:5B:33632636:33634771:1 gene:Dexi5B01G0033130 transcript:Dexi5B01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMWKPAKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFSLLPKTAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRTASARMNAAEKPPFFLPSWTGMFVVNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAQSPAPLPHH >Dexi9A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:9A:60179:64757:-1 gene:Dexi9A01G0000090 transcript:Dexi9A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQLVFFFLPLPPCSLVGQRGRRCHVAVDHRFLASPTTNRPPNPSPILSITAATTIATGSSLPLLISYCRPPRQLKPHHHRRQRRHHHRHCCSPPPLAEDLTPSTNPSIQGGEWIALVALLLSMPFPSPESRRRRWISQDFGPRSLTCGPHASAAAVWGGRTVEPEVEGEPEENVVDTEENQGKHSLQATMAALAVLRHQRRPASSWAAAVADHACSGRHAAALTVFRRVLRAHPAVATSDQLAYAALLRCHDRRLAYQIHAQVCRRGLAASNPVLACSLLAFYAECVDTDSATRVFDEMSHLDAVSYTAMISALLRAGECHRAFALYPRMLPLSAPTQHTFSRLLAACASMRLRRYGTQLHAQLLRWGCWGPHLSLVLKTALLHMYAACGAMASARTVLHATPETDVVLWTAIIAAYSRGGQLQPALRAFRDMERAAVPPNAFTYAALIAACSAARSLHIGRLLHARLFKFHLEHDTSACNALLDLYSKCSTCFLDLLHAFCAVGTPNVVSWTAFIAGLVRHGRDQEAFAAFARMRAAGVQPNSFTVSTLLKGCTSAQAYQL >Dexi9B01G0033480.1:cds pep primary_assembly:Fonio_CM05836:9B:35640901:35642584:1 gene:Dexi9B01G0033480 transcript:Dexi9B01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLLQPKKSAGGILLPETTKQLNAAKVVAVGPGDRDRDGKLIPVSLNEGDTVLLPEYGGTEVKLAEKDLEMFNL >Dexi7B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:7B:24967801:24968124:-1 gene:Dexi7B01G0019480 transcript:Dexi7B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSIHGQPGLTATKRSDLGRILGCAGTGIQALTSNGSNGEVASVLPRWPLAIHNWEMGMGISTVQAQQRAEVASLAAPSPRCCGPSYCPCLCTFAVHHQREEARS >Dexi2B01G0029670.1:cds pep primary_assembly:Fonio_CM05836:2B:38047578:38048266:-1 gene:Dexi2B01G0029670 transcript:Dexi2B01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRFPAAAVPRLPPTQAPNGAAIAATLAAAAAAAAAVASLTFTAKSAGGPVPRPSPSAPLWASLSLADGAAPGSVEPRTGVTFPTEASAGRSLLGVGLRKTSVLGLKSIDVYAFGVYADGTDLKQQLMEKYRKFSTSELKENAELTNDVLEQDIQMTVKLQIVYGRLSIRSVRSAFEKSVGTRLQKFGGQDTKDLLQR >Dexi1A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:1A:23294499:23296417:-1 gene:Dexi1A01G0016290 transcript:Dexi1A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPVGPQINMRDDSLFTQSCRFPSGDPFYGEPPCWLDDLLADSGRVPNLPPLRRACSDSDAILDALSSFQSPIHSIEEGDLSPGGEAEDLLDAIKGGESGSVVEASCVYGPNSPRQKSRLTTSESSMVNAVLENVPSNPLQYLNIDTSCVMNGNLANGTADANGAFVHPDQDKSFKRRSGQRSRVRKLQYIAELERTVDSLQNMGADLSIRVASLFQLHNALSMENEQLRIRISSLQHAKLLKDGMSKKHHVFFRFL >Dexi5A01G0029880.1:cds pep primary_assembly:Fonio_CM05836:5A:32937893:32939362:-1 gene:Dexi5A01G0029880 transcript:Dexi5A01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYRVVEAMAPLYTASVLGYASVRWLKAFSDEQCAGINHFAALYAAPVLIFHVVSTNDPYHMNERLIAADTLQKAVMLLALTAWALWAHFRRRRGGDGRLKWVVTNFSVASLPNTIVVGMPLLDGMYGSMSGGFLKQIVAMQFCVWYNVVIFLYEFIVLRESCAKMTPVLVTVAAENSDHILHIDENVGSIHAERGHPAENIEITEVAAASLTATDCASEDSITAVRKELSADRQAINGNNNKATEEGSAAVPPPAPATQQVPSVMRVLWMTGKKALSFPNTYASFLGLLWSLIAFRFGIKMPKIVDDSLFAIYTTTVGLSMFASDKIL >Dexi3B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:3B:3258312:3258626:1 gene:Dexi3B01G0004850 transcript:Dexi3B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGWERSAGGECVESVGLGEMGTERLRRRDCENGGRTRARLGGGGRQEAEACGRSGRRRSAAFDGGSGRRLGSRVWLCVVCLRMGGGADCSVGEKTRWHDGK >Dexi3B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:3B:1989212:1989496:-1 gene:Dexi3B01G0003020 transcript:Dexi3B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMSSSMALALAGFCCSIIFIVFVCSRLACALIRRRRARSRRAPPLPQFYPYSVHSVGAAPAAGLDPAAVAAFPTRAFAAAGGGGASDVDSQ >Dexi3B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:3B:11214401:11214829:1 gene:Dexi3B01G0015440 transcript:Dexi3B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATHPLCVNALPLPEATTQLPPLSSTPVTTGQPRLASPPTLSNPPAPLPLPLPAPPHTASAPARRRQDGTDPSAETSDPRGLGGTARPPTRASRLVSTRKPLTERQSSTSKRRGGHLLLSHLHAMPASSTFSPPSLTPVRQ >Dexi1A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:1A:10984336:10988285:-1 gene:Dexi1A01G0011820 transcript:Dexi1A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDRYPTKLRVLAVDDDRSGLRLLKQQLHLCNYNNVLSANDDKETMIKGIKNGACDYLVKPARLEQLRNIWMHVARNNMKNPRNKVRSGKDGGVHKLQSADGENSEDGANHTKKYSKKNKMNGNQAEEDKDGTSTQKKQRVQWTGQLHHKFLEAVNTIGMDKAVPMNILKEMNVDGLTRDCVAKVPNLPKEAE >Dexi1B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:1B:1792840:1793352:1 gene:Dexi1B01G0002170 transcript:Dexi1B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAPLAIADICDAYSHLLTNGELRPLPPIFQIYGRSRVFSGHVVTVKCFEDNVLVREFLAQEGHGRVLVVDGCGSMRSAIIGGNLAKRAQNNGWVGIVVYGCIRDVDDINLCDIGVRALNSYPVKPSKKGNGEKHIPVTIAGTRVCDGDWLYADSDGILVSSMELNTV >Dexi3A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:3A:7443199:7445795:-1 gene:Dexi3A01G0010450 transcript:Dexi3A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHLRRCGGGALAAFRRFRHIPSAASKSPPAAWRCPCPPPRLYSTGELSQKLPANLVGIMEQRMKLIEQQSAYLQEQINQPAASPEEYSRANKEFRKLESTMELIRELRSKQEEIEGLKSLVANAREEKDMREMAAEELLEAVEEEKRLQHELFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMVRIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASVAA >Dexi5B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:5B:2206665:2209105:-1 gene:Dexi5B01G0003310 transcript:Dexi5B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASGKSRRHTEGRDLHDCHEGIISGFSSSFRKRYYGELVKNSRNHAVRRRTPRIPVVAPADRNRIDEATPVIGNINNTTKRKYGAIDSDCAIVPTNEYSPDRSSGITEANKAGQDHTFLEAKGTEDKVICQQKLKKTRIQQPMEEIQTVKVEHETMASEDRNKLVDSPNNHHEPSNIISEDDMLVLDVLNSLVNAPSKMLKIEFNVPSGSHGKTDSAVSDRREEGHPTIGQSKQGKPVGKSSASKTRNRRHKKLLGAEI >Dexi5B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:5B:1609385:1611999:1 gene:Dexi5B01G0002500 transcript:Dexi5B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESLYRRVLPSPPAVDFTSPDGKRLFSEALEGGTMEGFFSLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAKGISFGKVACLAHCSGADVHAHIKAQRSSFGTLHSGTHEDVLQQVRDTKLFMIIHDLQCAYTQCCNCFFSSEDSITRIAASVRCQGATVLSGNLASSDCFFCTVTCCKGVQANGDGPKTIIPGSVVSEGNEQDVDMLLPMSPCITDSCNSNLGNGIIKYPSSTDVLTVLLLALHPSTWLGIINEGLKAEFQTLVSTDNLPDVLKREILHLRRQLYYLKACKDEEYEEPVPLSP >Dexi4A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:4A:6886297:6887747:-1 gene:Dexi4A01G0008960 transcript:Dexi4A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFPCVAAAVIVSFRVDSDVVSLATESPTWATSRPTPTSPRMEWTDACHRAQSREEETGEGRPLAAAARAGGCQEEGPAHVRVELQRLAGADHGGDVLAKGGTQAYDEYGRENFSRTKNGNAADEGGPTLSKLGSNSTAQLYPKDDCEGRLAVMPPASVMTRLILIMVWRKLIRKPNTYSSLIGIIWSLACSWHCSPGSLLVGTNLKRMPWLSGSSWERFFCTLPLFRYSVYLNLVSNYS >Dexi9B01G0045380.1:cds pep primary_assembly:Fonio_CM05836:9B:44870967:44872278:-1 gene:Dexi9B01G0045380 transcript:Dexi9B01G0045380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGWAAVVVVAMAATVAGGDMNADKTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATRANASHCAPPAPTRAPLLPQDNSTATTDSRGLQAANGGGVSSSSTAGAALAALLVGYLLLLVPEMAPSSF >Dexi7B01G0022870.1:cds pep primary_assembly:Fonio_CM05836:7B:27662487:27663736:1 gene:Dexi7B01G0022870 transcript:Dexi7B01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVLKETLGTIRLPHRPVKLLTAADLGCSCGPNTLFVANVIVQHMMDLYTSRGHAPPEFCFYFSDLPNNDFNTLFRLLPDQQPTAAGTGDNRRRYFSAGVPGSFYDRLFPARSINAFTSTFSLHWLSQVPREVMDETSKAYNRGKVFVHGASEATGVAYRRQFQSDLSRFLRCRAAELERSGAMFLVCLGRPSSAAPVDQGTVRFLFGAMFQDSWNDLVSEGLIHSDKVDSFNVPAYAPTLEEFREMVVEANGSFRINRLELVMGSPPVVDRPDDAATVGRTVANNERSLLGALVDAHVGKLLCDELFDRLRRRAEGRAQELMEDMRFPHVVCSLSLA >Dexi3B01G0035710.1:cds pep primary_assembly:Fonio_CM05836:3B:38707974:38708589:-1 gene:Dexi3B01G0035710 transcript:Dexi3B01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACALYSPDLPAARRPAKRQAGAAEPAVSFASPWSLSIWKPEPSRLCQLSCQPGSLPLASSCHGRARRGDDVAAPSYRHRVLCYTLPLTRRGGRPAGRRLFPRHLDLPHRARAISSTCPPPQIVVFFSDHLDGGRGTPHGRPDSDLFF >Dexi1B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:1B:8269418:8269810:1 gene:Dexi1B01G0009230 transcript:Dexi1B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRCRRNASVPEMGAAEGLPVDAHLDGTGSGRGAGPGERSMAARREDGWEVAGGRGAHKGIEEVEREHDEAASRAAHHANLCRRRVRQRQGRPAAASMVTLSLCCFFCEERRSDAWGNNDSEQCRARGL >Dexi2B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:2B:2077101:2080060:1 gene:Dexi2B01G0002490 transcript:Dexi2B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDDGFSAARLFSQGVSYTYDDVIFHPGYIDFPADAVDVSTRLSRRVPLAVPCVASPMDTVSEASMAVAMASLGGAAVVHGNTDPATQASILRAAKSRRLPFVSATPFLSPSSVFPSAADFSGGCAYALVTENGDSHSLLVGVAAAAHHKPGVPVSEYMTPAPRTTSAAFDFEQAAAFLADEGLDFAPLVSDAGEAVDLITAQDIERIRSYPKLGKPSVGDDGRFVVAAAIGTREEDKRRLELLVKEGANAIVIDSSQGNSVYQLDMIKYAKRIFPEVDLICGNVVTIAQAQNLIGAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHDLPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSLEAPGVYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDMLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRSESLRLEVRTGAAQVEGGIHGLVSYEKKAF >Dexi5A01G0030660.1:cds pep primary_assembly:Fonio_CM05836:5A:33555849:33561074:-1 gene:Dexi5A01G0030660 transcript:Dexi5A01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTCNGVLVLGEGAVHLDELLADGGVDGDLLAEHLHLLVGHHLDVPPEPFPASAPLVRRGGGRLGPVPPLHSSPAQADRPAATGKISEREESTRDGNWESRALFVQKEIGRLGRQAGCRLETTTRDLLAGGAGAHAHASFRGRCGGGNAGRRGEVDNQQQVKRTPQQEPGGQENAEREGRWQQGTERDGESGSSEPDTARTRGGGGGAVVAVAEARAAATRGAPRTGGAVPGEGWSMQACSGEEEETRGRRPVAPDVDSCNDRRSTDRGLIIGIGDIALAGEKHLSVAVSASMAADRTGVGLSCYQWRGGGGGGGRREDRNRAYEMSKTAASSGGFFAWLVSFLPSSWSRFLAAAAGW >Dexi3B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:3B:7324880:7327033:1 gene:Dexi3B01G0010450 transcript:Dexi3B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQAPVPVLEVQYHRCVTKGVDEVVGVSAAAAAVAAPAEVEVEVEVTVEVASMGLEQPEAAPSVSVEMLQFVPNIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASRVDELYLQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRKGVAMEMSRDHRANYVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKTPDASASPLIAEPEFRQATLTEDDEFLIMGCDGIWDVMTSQHAVSLVRRGLRQHDDPARCARELVMEAKRLETADNLTVVVVCFVSELGVQQQEQPMRPRSYKSLSTEALYNLRSWLETDDR >Dexi7A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:7A:29051257:29053076:1 gene:Dexi7A01G0020180 transcript:Dexi7A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPFLDQRRPSFKRRWQQRPWWVRLVLSLLLALACVLLLAVLLGSPDPGASPSTSTASSGSEATSSPLLRQRSYLEGITDALNMTDEMMSARSFSRQLMDQIYLAKTYLVVAKEANNLQFAAELSAQVRRAQSILAHAAAHGGTVMEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQVQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQSNLRDSNLYHFCVFSDNILAVSVVVNSTAINSKHPEKIVFHLVTDELNYAPMRAWFAMNDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELHKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLIEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMDKYKGFWDSYVDYSHPLIQQCFMR >Dexi9B01G0045280.1:cds pep primary_assembly:Fonio_CM05836:9B:44815791:44817820:-1 gene:Dexi9B01G0045280 transcript:Dexi9B01G0045280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPSLPPPPPPAASTANLTASSLLSVPLPRPRLAAAHRRGVVATAASPRPPPPPKEGDGGGGDEKEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARSENQLWTMKTRRSRNEVERELGMLFSKGGGSGVGTKSKYPGSKFNMVVEDIREGVLIFEDEDDAVKYCDLLQGGGQGCEGIAEIEASSVFDICRKMKALAVLFRRGGIPPLPQSLERDLRARNRSLED >Dexi7B01G0022180.1:cds pep primary_assembly:Fonio_CM05836:7B:27156814:27157426:-1 gene:Dexi7B01G0022180 transcript:Dexi7B01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLLRYKGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLVIGPEVGTNFPLTSNTGSSLSPALRAKLEKCCIESSKRAAQNNGANASGAAGRASGVQQEQDAKADEDEDDDEYIQEMIRELAYYGPLDMGPSGGSSGGASA >Dexi3B01G0022820.1:cds pep primary_assembly:Fonio_CM05836:3B:17525589:17528065:1 gene:Dexi3B01G0022820 transcript:Dexi3B01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLCVISERLFKMAGDDDAGQGPSADGQIPLARRSYCVDVPHVQQAFTWDCGLACVLMVLRTLGVDCCDGIADLERLCRTTRFFSFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGKALDAGISIQCRSISAYDIAFLLLSGHCIAIALVDKSKLNSSWMNDVHDVQQLNEDSDYMGHYVVICGYDADDCEFEIRDPASSRKRERVTMKSLDEARKSFGTDEDILLVSLTGKSGMKLTRKFLAGSM >Dexi4B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:4B:873412:874830:1 gene:Dexi4B01G0001460 transcript:Dexi4B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPSSSGVWLPLNHRQGPCSPFPSSESETTPSTADVLLHGRARADTIRRLLNATAAFVDGAGDAIITVPTKLGTSLGSYEYVVTVGLGTPAITQTMTIDTGSDISWVQCRPCPVPSPCHLQKDKLFDPSRSATYSAFSCSSGECLGELGRAVFNGCSPGSSLCQYIVDYGDGSNSTGTFGSDKLTLTPVFAIDGFRFGCSHGDQLFNDRTSGLMALGGGSPSLATQAAAAKDAFSYCLPPTASHSGFLTLGVPRVASSRFVVTPMRRIRNIKTYYGVLMEGITVAGRTLDVPPSVFAAGAVVDSGTVITQLPVTAYRALRTAFAEEMERRKYRRVAPTNGFDTCFDLTAGEVELPSVALVFDRGATMELDTSGIVFDGCLAFYSNGDDASVGIIGNLQQRTFEVLYDVGGRAIGFRRGAC >Dexi4B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:4B:19368457:19372964:-1 gene:Dexi4B01G0017260 transcript:Dexi4B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASSSLHGSIRRDPEGYDMPSDLDQALLLYFDGQQSKQSIQEQQPQTLNIFPSQPMHIEPSPKGPMASSAAAVAQVAGPSKNSQPTKAGGGTLAAGKSSKAAIKREGGAGGKHGGAGASTSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEIQMTRTHQGALWSTAGTLSPDAALFNLEYERWLGDHSKVVARLRAAAEEHRPDGELRAYSDEAASHYGALMGHKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSYLIKVAVRHVEPLAEQQAAGARDVEQAARRAEEALDAEMEALLRSLSEVVSSDAGGGQPPPGVFGGQLYAGDAAAAYMGMGHVHMALAIDKLATLGTFLRQADELRMQALHALRQILTARQAARCFVAVDDYFCRLRALSTLWNTTRAAPQHQQMARGPAG >Dexi1B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:1B:20377748:20378126:-1 gene:Dexi1B01G0014160 transcript:Dexi1B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRKRRLDPIHGCGLKELPGGFMGKILVYKSGKVKMTLGDVLFDVSAGSSCMFAQEVAAINTKEKHCCGLGELGKRAVVTPDVNSLLGSIEKME >Dexi5A01G0037130.1:cds pep primary_assembly:Fonio_CM05836:5A:38471066:38472597:1 gene:Dexi5A01G0037130 transcript:Dexi5A01G0037130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNEPKSSNLYYTFLEKKVRRPKSPCGGFPSSTAPSEHPALFIRHPAAGAAATTLGAALSSLALSSLAVAASMAAGVEVFFFFALACFCSAAVCCSLLRRSCHTGLGRPTRMPVPPEPVLLLLLLRPCPGTNLLNMDEALLLLLEVVVVVVGNGTASCAAVAVAAASSSTAVATAGAVAVTDAAAEAAVATAVAVGMLAGAGVEDSPRELLREHGALLTLRGGGAGAVAVLALALELVLPLLLLLTLELEVAFGVACGLALLKETAAAPPPAEWPAERLLEKGQMLMLMSSAFPLTLLTRRSIFLRSLASPPPPPPAALKMSFHLNVTAGRSGVGTMADSARGACCCCCSPAGAAAAPSAPASAPGSAAVESGTSLASSAPSALPSGGAAAAASGTAAAWRVCSGSTTPPEKSSSAVRRSGAGNADGFRPTIQNSNSGDAVGDGDDRPDSPPVSEGGGSSCMASVIAAADATTQRHRPTPAYLGAAS >Dexi2B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:2B:23511756:23512048:1 gene:Dexi2B01G0014060 transcript:Dexi2B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYGISCKENIIKECEEEAGIPRSISTIATSVGAISYMDIEGFRYKRDVLFCYDLKLSADFVPNKC >Dexi7B01G0021900.1:cds pep primary_assembly:Fonio_CM05836:7B:26882716:26887383:1 gene:Dexi7B01G0021900 transcript:Dexi7B01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFSSARSKKTNASTSRIGALATLQLLVLTTAVSAAAAAAAAPPPPPMALPGCPERCGNITVPYPFGVRPGCFRDGFNLTCDEARHPAKLLVGNGVEVEDISLLDGTVRILSKMLSVSTNATSMESSGALWSVGLPTTGRLKVSAMYNRFVAMGCNLLASLLVAHYESSFGPYNHVSACAALCANTMEISDTSCSGVAGCCQTPIALSLPSYKVQLSDMAAELPGMSSSFGAVFIADQEWLSRQGALPLQGDYSPDVPGTIDIIDRTVIPVVLEWSLDAYRDQDMLWGRDDPADSWSCNYVDTNSYGAYGRTLCNCSKGYEGNPYITNGCQESKTLPAFQLLFILATAAAAPVALPGCPETCGNITVPYPFGTRPGCFREGFNLTCDETPNRPPKLLLSDGVEVVGISLPEGTVRVHTRMLGVTLPLNTTTSSLRFNTSWSTGLMDTGRLAVSTRHNRFVAMGCNLLASLAVADHSLTVPENYVSVCAALCGEGSVGWSVSQLSDTSCSGVGCCQTTISRGLPSYRVQLGDLGAQSTGRSSASAAFGAVFIAEQEWFSEESPMLLVDYFGEPERTADTKAIPTVLEWSMDVRSDRDLFWEVDSVEQRRCTSVHSVIEDVDGGGNVGRARCNCAKGFVGNPYIADGCQGGISLPGCPDSCGNIQVPYPFGVGRRCSHDGFDLTCNETHHQHKLFLGDGGLDVEVLGISLPDGTVRIQTDVLRSNASELNISWSVPNATGPLKVSSSRNSFLAFGGNVVAQLIPHSVLGSLSSASICAAVCPETLTGSSCSGVACCLTSINPFAGDLPSYGIQVKRLAGQTDYQLGSVRAAFIVDRDWFSKNQDDMVSVFNEYFSVLQSVPVVLEWSLDLISDEGMFVLSQIGPESFDYRCLSSDSFSYTVGGNYDRRRCNCSQGYEGNPYLTNGCQGI >Dexi1A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:1A:6557888:6558691:-1 gene:Dexi1A01G0008440 transcript:Dexi1A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKALSPPLHHHLPTLRRRLHRCSGASALRIPSAASSSSVAAVTTPIEHIVLIKVRPEAADSGAAAAMVSALQALGTQVPGLAHIHAGPVLRLGSPAAAALGPTHVLHSRYATENDLHAYAAHPAHVAAVGAHVAPNALDATAVDWVNAAPAPSPLAPGAVVRLTLAKAKDGLAPGEVVEVVTAATQAAAELMGAEVRFGVSFGENFSPARAKGYQFGMVVVFDSVEELDAVEGNEKVLEARAGVRSRLDDVLVLDFVVGPADQC >Dexi3A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:3A:1068155:1068484:1 gene:Dexi3A01G0001570 transcript:Dexi3A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRGSVIMISFMLIFLHLLILSSPAAAAATPTGTTLQPPDDAAQGWAAARRLLLRQPKPAATGTATFFHVDVAARQAAATSPAAKPNVEFNASTKSAPGSRFNPKQN >Dexi5B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:5B:4656405:4657009:-1 gene:Dexi5B01G0006940 transcript:Dexi5B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSAVRRLYLSVYNWVVFFGWAQVLYYAILTLLQSGHEGVYDAVERPLQFAQTAAVMEV >Dexi9A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:9A:1777755:1779035:1 gene:Dexi9A01G0003370 transcript:Dexi9A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFRDIKGLSCSSPASTAICPSLEKQPMVRSHKAIASASPLSQLPKEPRTHRHHDGKKGQQQKAAIVATDAGFVSPAGSSRYLLSGRAVAAATQEIQELEAAPAVEAKREEASQAADAKNTQAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVTSFDIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQLWAAPVPAIAA >Dexi1A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:1A:16291896:16292435:1 gene:Dexi1A01G0013310 transcript:Dexi1A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEFRSLCAEEAYLQQELEKLQDMRNKAKLEGELWDERLSSSSGQNSHLVSKATRLWESILARKASVPDK >Dexi7A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:7A:21795659:21800124:1 gene:Dexi7A01G0011200 transcript:Dexi7A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHTPSNRSGCNGYVGSPMGSSDDPFGVATMMNFDGYSELCSPSVADQIFSMLNDPSSAQHMFAMWSSLGSSPRASAMREDMPFDTYSGPVDGTSAPTQRINPASVLSPTGVNGELKDSDELFPNNGSQKGGNIIPRSMGNFLADKMLVALSLFRKSLSDGVLAQVWMPIEHDGRIVLSTFEQPFLLDQDLAGYREVSRNFLFSVKEEPGLHLGLPGRVFISGVPEWTSSVIYYSKPEYLRMEHALRHEIRGSLAMPIYDPSKGSCCAVLELVTNKEKPDFDAEMDSAVNLQTTTDRSNQKVYSENQKSAFIEILDVLRAICHAHMLPLALTWVPKSNGIDNGYCVGKNIGVDPQSGKAVLRVHESACYINDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFGLHAAVAIRLRSTYTVSEAEVDNVSASTAAMYKKTNGNLPTGNSESSSHDDQPITESALQDLSLGDKQRNIEPDQAQTQTSSMRVAEKKRSTSEKNFSLDVLRKYFSGSLRDAAMSLGETSYINSPTSLHPSTNSGEDQTIGRNSSFLQQADVTMVDGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPEHVIKDRSSPSLTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLTTGAFQLKYKDDEDEWVILASDSDLQECVDVLDSIGSRNVKLQVRDLPCLISSSGSSSCLQMEAHSS >Dexi3B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:3B:7396635:7397639:1 gene:Dexi3B01G0010560 transcript:Dexi3B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTWSPFAMAALLLVVAASVAHASHLKVGFYKHSCPQAEEIVRDAVRRAIAREPGLGAGLIRMHFHDCFVRGCDGSILINSTPGNKAEKESPANNPSMRGFDVIDEAKAALEAHCPRTVSCADVVAFAARDGAYLAGGIGYDVPSGRRDGRVSISDEVLKNNVPSPTDHLRELVESFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQLGKTDPSIEPAYAEHLKQRCPWPSSNGQMDPTVVPLDPVTPASFDNQYFKNVLAHKVVLTSDQTLLDSPLTAGIVKFHSAVEKAWEIKFGAAMIKMGMVDVLTGHEGEIREKCYVVNNY >Dexi9A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:9A:1188390:1188905:-1 gene:Dexi9A01G0002230 transcript:Dexi9A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWHSKQEPGVAEKEFSPANARSIIRRILCSHYTSASEASGDKKPEPPGADEDKPLPPWLTEADVDYFVSEFERTGFTGGINYYRNMDRNWELAAPWADAKVQVPTRFIVGDGDLTYHYLGIQDYIHKGGFKADVPLLEDVVIIPGAGHFIQQEKADEVSDHIYDFISKF >Dexi5B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:5B:6515580:6515984:-1 gene:Dexi5B01G0009500 transcript:Dexi5B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLVPAFIALQIITTMTLFFPAAVHGCEPSCSNPSPPPPPAVPTPSGATCPIDTADLSVCVDFLDSLLHIGLNVAPSQQCCPLLQPLASADAALCVCGVIKALNLNVPVDINLLLNKCDMPPCPPGFTCPLY >Dexi1A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:1A:3180516:3185352:-1 gene:Dexi1A01G0004310 transcript:Dexi1A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPSIGDARIRGEGKNGIDGSRAREEVVVPRRRRGGGVEIWRYGNDKRLWVPECFMLTACGRGGCVAAALREENGRLEEEE >Dexi9A01G0023400.1:cds pep primary_assembly:Fonio_CM05836:9A:18714131:18715053:-1 gene:Dexi9A01G0023400 transcript:Dexi9A01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLLAPSVLLALALLVPHAQMATAANSNLFVDYIGAIFNGVQFSDVPINPNVQFDFTLAFVIDYTTTTTPPSPTNGQFEIYWQSSVLTASAVAAIKQSNPNVRVNVSLGGATQYNLDGIDIDYEQFQADPATFAECIGQLVTTLKNNGVIKFASIAPFDNSDVQSHYQALWSSYSSVIDYINFQFYAYDSSTTADQYVSHFNDQIANYPGGNILASFTTDTSSNSVPIATALSACQTLQSQRNLYGIFIWAADYSGSEGFKYETQAQALVANANS >Dexi6B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:6B:13855708:13860369:-1 gene:Dexi6B01G0009470 transcript:Dexi6B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVAGPHPGAAFAAHRRRLHPSAADRNSISQRCWKCEKTQDGSFGSSLRITHLPGLLHRDVSKILLPPTAAVSVEQAEATADLPKGDMWSVHKFGGTCMGTSQRIQNVADIVLRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMGTAKDLLAGDDLARFLSQLHADITGHATESFSDFVVGHGELWSAQMLSCAIKKTGTPCSWMDTREVLVVNPSGSNQVDPDYLESEKRLEKWFARHPAETIIATGFIASTPDNIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNTSAPGTMICQQPVNENGDLDACVKAFATIDKLALVNVEG >Dexi1B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:1B:20369164:20369378:-1 gene:Dexi1B01G0014140 transcript:Dexi1B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELKATENSQGRRAAREEEAHGRDERRRPAREEVWGGDGKREEREEVRCGGRRGRRSGAA >Dexi5A01G0038970.1:cds pep primary_assembly:Fonio_CM05836:5A:39719892:39722995:1 gene:Dexi5A01G0038970 transcript:Dexi5A01G0038970.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGDLDLRVLVSHPRLLASVSSLLLRALHASTRRHDLLCGVPYTALPFAAVLSVAASVPMLLSRYDDKSIEGTYRAGQSVLIVEDLVTSGASVLETVGPLRAEGLVVADAVVVIDREQGGRENLAANGVTLHSLMKLTEMLAVLLRHGKVSEEKVGEVKRFLDANRKVAVPGLPVKPKVARKSFAERAGLATNPMGRKLFDTMEAKQSNLCVAADVGTAKELLELADKVGPEICMLKTHVDILSDFTPDFGVKLRAIAEKHNFLIFEDRKFADIGNTVTMQSEGGVFRILDWADIVNAHIVPGPGIVDGLKLKGLSKGRGLLLLAEMSSAGSLAYGDYTAAAVKIAEQHSDFVIGFISVNPASWSATPSSPAFIHATPGVQMAAGGDALGQQYNTPYSVSPLVPLQFLLVTDPIVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQSSLS >Dexi2B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:2B:3688014:3697242:1 gene:Dexi2B01G0004190 transcript:Dexi2B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPPGEWVPHVEAYVDVSRPAAQHSASVDALATLVNKDRLTLFDLVAKMDMYLTTTDHILRSRGILLLGELLSRISDKWLDVNTITTLSDFFISRLGGEQLYWICEAIDEEKDPECLKLSFHVVEVVMKLFPDPSGLAAQFASEFFEILSKYFPVYFTHGVADDLNATRDDLSKALMILLVIVDSLAKLSVSLQDKDLVYSLLLVLSGMLMDEKGKECILDNIHITISVLTQLVSYPHMMVVRETALQCLVAFSTFPHSKVFPMRRKV >Dexi7B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:7B:17635088:17635744:-1 gene:Dexi7B01G0010270 transcript:Dexi7B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIVISVTMANAKSRSRAMVLAAKTNGVSSIAITGDDKLEVAGEGIDFACLVRRLKKKLCHAEILKVEEVKDKKPGDEKKKPAGEHACPPSPCPWAGYHSALMTPLYCYEEPTSSSCHVM >Dexi7A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:7A:19864598:19865342:1 gene:Dexi7A01G0008640 transcript:Dexi7A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKESSRVRAPTPTALVLALLLLMGSSSWSRIVADGVRMATPRSGASGPAEAGVLGLGEAAPDAVSSSRRPSTDKPDRTAASPTPEEEGPAVESEEELWAKERTATGSRLPDCAHACGPCSPCRRVMVSFMCAQASESCPVAYRCMCRGRFFRVPAL >Dexi6B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:6B:383035:384549:-1 gene:Dexi6B01G0000560 transcript:Dexi6B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADSWEEAREIFLNRDRSAPRRLVSSLEQAREVVAELGNIRCVVVDVPSIDSLRHCRSVLRPLLQMVKDRSWFVDSAYMLYRADELAESLSSLYDNAISSLLDVLEDAIVYYLDLKREEDEEVDPFAGPPQEILFPPLPVAEATAAAAASALLAVMDGIDKDPAEAAKAKAALLHALHGLGDGEQRAADLRRTLNEMRRTVNINCYLSSHSSNHLVCRDLALCCTRLTGATGMLTGADDTPYVLPELSPDEIAAREDITYGDDAEEEGVGEEDDVAGGDTGEEEEEEGGDADDHGEDNGPGDDDD >Dexi2B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:2B:28857418:28858542:1 gene:Dexi2B01G0018610 transcript:Dexi2B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSRRRLAKLALLLLALLLLLAATTLLNAASGPEPWLRDRGNLSRLFLAGDSAGANIAHNMAMRAGTEEVDGGAAITGLLLLDPYFWGKKPVAGETTDNSTRRQYEATWSFICGGRYGIDDPLVNPLSLPASELRKLACSRVAVTSSGLDDFRPRDLAYAAALRDSGWEGEIEQYETDGERHVYFLDRPKDPNSVKELAFVTGFLSRE >Dexi3A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:3A:10623770:10628015:1 gene:Dexi3A01G0014610 transcript:Dexi3A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNRFRVGRKLGSGSFGEIFLGTNVQTNEEVAIKLINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQAQMTSAPPRAVAPAVGQSSGMAPVANNNRHSGTEEGRRSGWSDMDPTRRQVPPPAINAGSLAKQKSPVRHEQSTSKDAMFDFFGMFKRIVKAACCLQ >Dexi1B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:1B:5125191:5126393:1 gene:Dexi1B01G0006230 transcript:Dexi1B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISSQPSLSLDLHVGLPTLGLGRHQAPLPVAALAKPKVLVEENFLQPLKKEPEVAALETELQRMSEENRRLAEALTSVAAKYDALRSQYTEMVASAAVHNTTTTNNPSSGSEGGSVSPSRKRKTSETSMDTPAAAHHHQHLHLAAAADQMECTSGEPCKRIREEHKPKVSKLYVHADPSDLSLVVKDGAGKNAAVTKPPSPPRQVAAVAPHHTQVHHQQHQQQEAVAAMGAGGEAVGAAAEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >Dexi6B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:6B:13164314:13166745:1 gene:Dexi6B01G0009120 transcript:Dexi6B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMDIVKVYPLDAVYDSPEDVPEDVKSNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSYVSLLQHFLPIMNPGSAYLLCSTGTYSGASISLTYIAAERAIPGYGGGMSSAKAALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >Dexi8A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:8A:1214458:1215033:-1 gene:Dexi8A01G0001780 transcript:Dexi8A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAIAILLCALLFAGTHQTLAEPTEVVTTSEVPSVLPACKTVGGGSVFFDVQFCVEALGSDDRSADAGMNYGAYSAIAADLLTANATSTAAKIDALLLRRGRGGTARCLRSCQGLYGGVVRRQPGCAAAVRGRRDGEATSCLERAASAAMECEDGFGKSKVASPVTMENDDAFKLAKLAVALLTMAH >Dexi2A01G0023790.1:cds pep primary_assembly:Fonio_CM05836:2A:35498489:35498773:1 gene:Dexi2A01G0023790 transcript:Dexi2A01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPVASPPPRFYAGSTGRYMGLGAGRGEGTASAAARENSIGGGGVARGSDGRERWQRHEGVAGAEAEAEARRGRGTCARQSEAARGIIARPT >Dexi9A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:9A:10340883:10345967:1 gene:Dexi9A01G0015480 transcript:Dexi9A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVVGGGGKDRSSPGGGGGPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNAAASRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDILHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDPPSGTRTLDLASTLEVGSGGTTRASSDPSSTSNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAHTLARWIGRSYRFHTGAELLRTDTQCTDASLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDGGRKALCTEYPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >Dexi3B01G0032850.1:cds pep primary_assembly:Fonio_CM05836:3B:35289601:35297546:1 gene:Dexi3B01G0032850 transcript:Dexi3B01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding IILELAAFQGCTRNKPMTAILIASELIQTGSFRQSTFFWFLNFFFILHQLALLEAGKFIEEKMAFHVDKIGEESLVWCARKSLGSKFVNGDSDLIAKLVVEAVQAVKTTNGKGAVKYPLKGINILKDQNKSIKNSYLLNGYALNTGRASKGLPASVSPARIACLDFSLQKTQTQTNAHVLDSDSMQPRNIYERKQRIEKILRAGANVVLTTKGIDDIALKHFVEAGIVAVRRVRKRDMHHVSKATGATTVTFADFEYEKEFDSSFLGHADLVVEQRICDDDVLLIKGTKNTNKSHLLRIHVPCRRHLHLLPSRHPSHPPNPLVVMAEPAIAELKEMLSTVIAKLTTVEGDISSLKVVPGSGAVEAALSVHLKKIAAKLTSQEQLVVAEFAESLLIIPKVLCAEAARNEAKDAAGLLSMLKAYHHASQTNTDKKQFSR >Dexi5B01G0039760.1:cds pep primary_assembly:Fonio_CM05836:5B:38460055:38466287:1 gene:Dexi5B01G0039760 transcript:Dexi5B01G0039760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPGDGEDAGDPVVLEITDTSSAAAADAPSSPLPPPVSVYDLARFDPLPLIESSSYFRALLGGSFSESGREYVQLGCNLEAAVQVLRYLFEPSESFTIAHDNFLLLLEGALFLAVESLLVDCERWFRTMGSQVSAPVVPLDFVIDVWYFSQEHGVTFVQDICPKYLAQNFVGTTNYIAVLFEKQLCEAILYWVSENMKPCEQPVPNLGDGHLLLLSKVKVCLLPLEFATGTKRHWFDFGNSVICTILNLLKDSLKTLLNAIADGLDSAALSQLMSIINITKFLCLRETSLTDGALSKFFGSSLEYLDVSETVVSMESLAPVIQRNCNLKCLKTAGCQSLLFESDEVERISGYKHGDFLQEIGSTCCLEDVEMGWGFCPIQIKDLIPSFSKVRKMTVGLGTTLAENVLHALPVICPSLESLTLRFQVISDSVVRNLLESATNLQVLCLYYCLGSLTSFSFQTKAPALRVLRLQWATPWLTNDDLKILTENCNLTELSLSGCKLLDSSSQEIISYGWPNLVLLHLEDCGQVTVEGISSILNCKALEDVLLRHTGRGIGRRIIDDAIRELPLLRKLALDLCDACEEGYDSPNNAEGKMIRVVRMSRCKTLRGSCLELTRGASKPVHKDTVVLERSSKRLTTTIVKERV >Dexi8B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:8B:51216:52580:-1 gene:Dexi8B01G0000060 transcript:Dexi8B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIEDMKPHPIVISILSKLSNVIPTWKIIPNEDIISRAIKSEEWREEVTLPFIIVHGGDDAVTDPSVSEALYSLAKSKDKTLKLYPGMCHALTSGEPKENIDIVFSDIIKEQG >Dexi4B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:4B:12913778:12915525:1 gene:Dexi4B01G0013680 transcript:Dexi4B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETHRLRLRLHVLAILLTVAMVIPSISAGELCRESCGNIPVRYPLGIDDGCGSPYYRNMLICADNATLRLRTPSGTYPVAGADYSDPHLVVTDPSMWTCTRPFTAVHAAPFSLDTSTRFSLSPRNDYLFFDCDEARVIVAPRPASCERYPDRCDSACDSAGYLCRNLPGCRGALEEGNMTCCAYRPRAAESLRAMLRHCEAYTSVYWRAAGDKFPPYDQLPSYGVRVDFEIPVTTRCLQCQDKRRGDGGTCGFDPATRDFLCICDDGHNSTTDCADGQASGYHGSAGVIAASVVVSISAAIGIGGFVWYMRKIRPNKVVTCGVQSNENRFF >Dexi6A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:6A:2112944:2113790:-1 gene:Dexi6A01G0002250 transcript:Dexi6A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIPGTCAIVACTVCVEAVHRLEHDKLHGEGTFKWSAALSALDRLFIACILDATWTPANGANVGKVLTKIQQMGGVLATSTAPYALQLPLRSWRRHTWHDGSRLSPERVAALLDSHGPCVGVLWVCPWYYHFDARGHDDALVYSGCGRGEDDREQSKRLYPGTVGSHAVVCFAYRFCSGGGDEMHVLVRDNHEAAANGPQRWIDVEEIDTLYTLSVERA >Dexi9A01G0027380.1:cds pep primary_assembly:Fonio_CM05836:9A:31990243:31991361:1 gene:Dexi9A01G0027380 transcript:Dexi9A01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEVGLALGLSLGSGHHRIKEQPSPPSHSYALFEPSLSLSLAAKDSLASPAVRIAAVKRELRMEEDDEATDRALYSVASSALVAPDDDEGCNSRKKLRLTKEQSALLEDRFKEHSTLNPKQKAALANQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALNQPHPTAFFMPAAALSICPSCERLTRAPATTTTGADRPKTGGPGRAAHLFSPFTHSAAC >Dexi2A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:2A:28321475:28321735:1 gene:Dexi2A01G0016600 transcript:Dexi2A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREWGSVYRFLASAELNVVTADWLEAMVRRRRCRAEAGAEGGEEGGLGHGGRKDLERDGRAKAARKVEKGGAPVRFDAMRGDRRD >Dexi3A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:3A:7867978:7871389:-1 gene:Dexi3A01G0011060 transcript:Dexi3A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKGSRKGKKAWRANISTDDIGDFFEKQTRDAHAGAAAIPSLPSDSLFYVDKPAASTSAASASDATTKDIPVKRKIEKKRDKVLYHESLLKRNPFVQPIPSSVVSKKDKKKAKKKELQETQGEKSVPMEDYSAEKNLDIWAGDDKGDTKSKKRSTTSVIPAVEVEPLGCSFNPPFEAHQDALAQAVADERRKVLLNELGPTPVPLIVPGEALTEEDKFFLDADDGEEDAVDDDGDQDADTSVGQRKNKTKRVTRVEMNKRARRKERLRAEAEAKKMEIISKEIDSLPNIIDEIAKEDEEKEKRRMRRTVVKEERLKSGPPRLGRHKYNSKLIRHIEALFEPAPVQVLLTEEISGSLRKLKGCCNLARDRYKSIEKRGLLAPSKRIRFALFLFLNCFNLEI >Dexi5B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:5B:1511945:1518366:1 gene:Dexi5B01G0002370 transcript:Dexi5B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCFFKGGVTMTTQSNDKCPLCLEKMDLTDKQLKPCKCGYEVNIHGLSTILVLKELCADKSNYQKEQTKSQKQTSVKGQLAQPEPKDPNNVRVIQRKLVYIVGMPNEFASEKLLRQKNFLGQYGKIENIIIDNIGANTQIPDSGRVYVTFAREEEAVRCIQAVNGFILDGKPLKATFGVTRYCHIWLSNRVCYKTNCSYVHQKASAEDICTKDDVSVVCASFHALLAHHCTNCKSFYAKICLNDERLLPNGANKNTSLLPAATPRESSLSPGSSSIANAVLHPKNDHENQQDLPSWAADCLKDDGDVAKNLNVSTSSRLSSTDTDQRHAQFEGTFFYGWSNHPQFSPYPPQHKPEYWDGTTGGYMSTGGYDAFYQGATSGTIGGMAATLPQQPTMPSPHGSWTNGNADSGMNCPKISTSYPMYSLF >Dexi4A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:4A:22753514:22754039:-1 gene:Dexi4A01G0018850 transcript:Dexi4A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVESAPSWATVYTVQDAGHGAITHIHPPRRPLDPDQHGACACVRAPHTGVASHDGGGATATPPEPGVHASQSPSRPWTGCSLLCTPRPASRQAPFSSQSTNQQSSDFTVSSNETAERA >Dexi3B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:3B:14331483:14332460:1 gene:Dexi3B01G0019310 transcript:Dexi3B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAQEQLVLRSWKAMKKDTESIALKFFFRCYSMHLLNLLRFCLAGWEKKIRIFEIAPGAKQMFSFLQDAGDDAPLENHPKLKTHAVAVFVMVSSRSPPSISPRLSRSVCLWPVVSVCVFCSTTNECELVFPQACESATQLRSTGDVKVREAALKRLGATHVKAGVADAHFETQVFPQQVSKRAGRADGSKILSWNAQVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKKAAAAAS >Dexi2B01G0035670.1:cds pep primary_assembly:Fonio_CM05836:2B:42637639:42637911:-1 gene:Dexi2B01G0035670 transcript:Dexi2B01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPALSPRRLFQSSPGGSAMAAVAAANLPGGHDGVWISSRPPPLSPARRRLVGMARRTGPGHVCQIAPRLYAARFGVARLVRKPCRTG >Dexi1B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:1B:4322384:4322806:1 gene:Dexi1B01G0005290 transcript:Dexi1B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLYYEARAQNSGLPRLLPIHRFGQNYSKIATLHTFSLAGSSRGVERSACAARCGSGPAVGPSLATRGTPAAAQIGSGWSTNGASGMRAAKRSANDSKMQGPRRRWSGGEQTGGGGRRLCCLAGRLKCHDGDSEKESGN >Dexi8A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:8A:520065:521794:1 gene:Dexi8A01G0000770 transcript:Dexi8A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADDQDSGSPRAPRAVPLAHPCCTTGKI >Dexi8A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:8A:26823157:26823889:1 gene:Dexi8A01G0015720 transcript:Dexi8A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHQRHKTLGFQTVHHTKKTFEESKYLKDDCFSLRCDVTVIRKEIRTEAATTKFVTVPPHLGHLLSSEEAMDVTFEVQGDTISAHRLVLAARSLVFKAELFGPTKERTMSHIRIVDMDPRVFKAMIHFIYTDTLPEMDKDDTMVMAQHLVVAADRYGMERLKLVCEDMLCRYINTSTAATTLVFAEQYGWKGLKEACFKFLEKPSNFKEALVGTDDGFRHLSTSYPYVLQELLAKVVP >Dexi9A01G0038480.1:cds pep primary_assembly:Fonio_CM05836:9A:42693831:42694911:-1 gene:Dexi9A01G0038480 transcript:Dexi9A01G0038480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFKAANPDAVFEDFIRWHSPGDWVSEDKADGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNKTTYGAFKLMKTKMNQLYATMASTLKSLQVIWLET >DexiUA01G0012460.1:cds pep primary_assembly:Fonio_CM05836:UA:25241491:25242423:-1 gene:DexiUA01G0012460 transcript:DexiUA01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGMIKKLTSLEELVIQCSEKCGKYHEGQFKELGSLTELRVLTIKIIDMNWIMLSDLLDSVGNLHKLKSLKLEDQNVCSSLSDQAFNTVPLALPQHLRHLLVGVYWFSSLCWCINLSSLINLSHLELRVDSMDEHTMQILARLPELRHLALSTKSAVTVTNIATDGCFQKLRVCRFYSSVVLFVLNEDSSVSFTLWKRRFGGSIVFGSMKKDECRRAPAVMPNLQELLFNVDRFYMMKCAGNYGNLGLEYLTSLKEVTVSIDCDFCPSEAKVIEAEAGLRHAISIHPNHPTLILRRFNEHRLRKSSVHL >Dexi3B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:3B:13220622:13221410:1 gene:Dexi3B01G0017900 transcript:Dexi3B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPAPVATAAANSSAGTSPASLSLGVPAERAVDSIFSSPLPAVAEAFRASISSSSAAFSWYALVLEPRAPARLLLVAGLLGVEEALEALDLGAERGGLAVVGGGRLLEGGDLIGRLR >Dexi3A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:3A:9796149:9797513:-1 gene:Dexi3A01G0013480 transcript:Dexi3A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVTKPSPVLVGPATPTTAPAVDKTTTVQHINLSSFDMALAFFPVTSFHVFDRAIPTPAAATVRVALSRAMVHYFPVAGRLVVSDDGGRQLRIACTGEGVPFVAASADVSIADAGLLDLDPPPTSGVAALLLDELALGLAGDGFRPSDPLLLVQVTEFACGGFVVAVTRNHAIADGTGFAQFMAAVGELARGLPRPSVLPVSCGDDDSSLPELPPLVAAMEKALVALEPRDFPFLDITVPSRCIDRIKAGFAAGAGDDAGDGPCTVFEAVMAVLWQCRTRAVTMPGDDPSTPAPLVFAANVRKLAGARQGFYGNCITSVLAVPTSGEVANGDITDVVRLIKRAKRQIPHQFKRSSNGVAAVAGEEGGLSLEQVEVMFGYNAFDVTSWRNLGADAVDFGGGRPARVMCRMDRMPVPHCVACLPWKNKDGANVLARCVREEHVDAFRGELAKFT >Dexi5B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:5B:698665:700794:-1 gene:Dexi5B01G0001080 transcript:Dexi5B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPHAEGGASPKHETLMEKLADKLHVGDSSSSSDSDNDERPRPSAPPAEVKQPSFSDSAATAAADAKAKVFRLFGREQPIHKALGGGKPADVFLWRNRNISAGVLGGATAIWIIFESPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLMVIAAFWLLSVLGSSCNFLTLVYIVFVVLHTVPVLYEKYEDQIDSYGEKGWVEVKKQYAVFDEKVLSKVPRGPAKDKKH >Dexi1B01G0026760.1:cds pep primary_assembly:Fonio_CM05836:1B:31557261:31566569:-1 gene:Dexi1B01G0026760 transcript:Dexi1B01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWSNKRGGRILDPNRVTSSRHITRIVSAVGSTTSTGTRPHTWDPLMWDPRAVGPWDPRDVGPTVGPTVDHSRYAQTGRRQQHQPRTLPASQTSPGPATMSSGSDDARPRRPHAVLIPQPAQGHVTPMLHLAKALHARGFHVTYVNSEYNHRRLLRSRGPASLAGADGFRFEAVPDGLPPSDNDDVTQDIAALCLSTTEHSAAPFRELLVRLNATPGCPPVTCVIADGVMSFAQRVAEDMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKGESDLTNGYLDTEIYWIQGMPGIRLKDIPSFIRTTDRDDVMLNFDGGEAQNARKARGVILNTYDALEQGVADALRREFPRVFTVGPLATFAAHAGVELDAIGGNLWKEDTSCLRWLYAQQRPGSVVYVNFGSITVMSAAQLAEFAWGLAASGRPFLWVIRPDLVSGENAMVPEEFVTETKERGVLASWCPQELVLSHPSIGLFLTHCGWNSTLESICAGVPMLCWPFFAEQPTNCHYVCAKWGIGMEIDGDVRREEAMDGDSGKAMRVKAMAWKERAREAVEEGGLSRKNLDRLPTHGFSGLVHENHNQRKRRARLKRSRSKTPRPPLEIAPALFPLVDAVTLPAAVDRGYSNHDPAAGITKPAIARLPVPRPFLLPRLRSRLRRRSASSNPIEGKPYAMGSTSRPHVVFVPYPAQGHVTPLLHLAKVLHARGFFITYVNSEYNHRRLLRSRGSEAMAGLDDFRFEAIPDGLPPSGDDDVTQDIPALCESLSRTAAAPFRDLLARLNASPGRPPVTCVVLDNFMSFAQRVANEMGILALVFCTMSACGFNCYLHFNELMDRGIVPLKDERYLTNGYLEDTVLDWVPGMPGIRLRDMPSFIRTTDPDDFMVHFDGGEAQNALHAQGIIVNTFDALEQDVVDALRRIFPRVYTIGPLVTFVKTMVARPDTASIAGNLWKEDASCLQWLDARRPGSVVYVNFGSITVMTPGQLAEFAWGLASCGRPFLWVIRPDLVTGEKAVLPEEFLEETKERGMFLSWCPQEEVLSHPSTGLFLTHSGWNSTLESICAGVPMICWPFFAEQTTNCYYACTKWDIGMEIDNNVTREEVARLIKEAMDGEKGKDMNAKATMWKEKAVAATEESGTSSVNIDRLVGFMLEGSVPTTAS >Dexi4A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:4A:24740039:24741154:-1 gene:Dexi4A01G0021010 transcript:Dexi4A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGEKGRREERGTRGKMSEERPVPRRESPWGLPEGDTRQPKAHRCNDRAEDVVQSIFEGNPFKTVPGPFKLFWQCMRSKPGFTYLI >Dexi4A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:4A:11941085:11944101:1 gene:Dexi4A01G0013030 transcript:Dexi4A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGRKDAGAGPGVAAFGVSCFDIKSFVASLALLTLVMALWQLHPYEPLLSASRSSSASCPLLPSQPISASSRAAAATSTGAAAFPSANFTAAETKTTPSTVPAVARTKPAAAVLPRDPNKRELRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPAHVFGTPYFKCEWVPNRDPSSPAPPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPTNPNAGNRGGKLLVHAYYSTASRRYERFVALEEAPGSYDESRFRPPFPYEYLYCGSSLYGNLSAARMREWLAYHAHFFGPSSHFVLHDAGGVSPEVRAVLDPWVRAGRVTVQDIRAQSEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLQEVLGELERYTQFTIEQNPMSSKLCVEDPNKEYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVIGRTTHKTESLIRYYHYHNSINVMGEPCREFVPKPTNGSKVMFEGIPYVYDGNMKRLAAEIKSFEEDTIGITHT >Dexi4A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:4A:3448713:3449027:1 gene:Dexi4A01G0004890 transcript:Dexi4A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLAATEGDERVAVAADLPCVAIGVERGLQPPPQQPDARHHLNPSRLRVASAAEGFRSTRRGLIPGRGGRVKVLGRDRTQAAVIFSWAEIGTREGVTPWVGPH >Dexi2B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:2B:13447953:13449589:1 gene:Dexi2B01G0011790 transcript:Dexi2B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEGAAVEGRAPRRCHGSPGQSGVSVTVVYYLCRSGRHLEHPHLMEMHLTSPNQALYLRDVIRRLDALRGKGMAAMYSWSCKRVANHDCTNVGTTIIPRVHCVKPTTDEEAPTHSQEAWTANSSLSSPPTIKVEVEVKAPPPALHQEPQPELSPTSPSSSGTTGDRGEEAASARSSSSGSPSAPNKLTRVSAGSIGSPSGCTSSPTLPSLMLHNKQQVSVITDEATQTQGTSTGRDLHKKGTSCSTGSTPTKETVPTDDKQPGRTESFSYSTSRNRTLESLIRAEALGRRSTTAKRILEEEDDDDRAAQSIITKLNPANLLMRLMACGSTMSARQHLPACGIMRPTPKPQYLSQHVELLPSSPVLSPLGALIMRPVTAAGASVVSDSGDCSYCSGSMLQTAPKGCESGKVMSTSIKPPSSNEKYWYVT >Dexi7B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:7B:21904467:21905870:-1 gene:Dexi7B01G0015890 transcript:Dexi7B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDTVNSVAVVAVPFPAQGHLNQLLHLSLLLSSRGLAVHYAAPPPHVRQARERLHGWDPKALVSVEFHDLDVSSYDSPAPDPAAPSPFPNHLMPMFETFAAAARAPLASLLGRLSATYRRVVVVFDRLNAFAATEAGRLANAEAFGLQCVAMSYNVGWLDPGHRLLRDYGLQFLPPDACMSKEFVDFIFQMEQDEQGAPIAGVVMNTCRVLEGEFIDVVAEQPQFKGQMLFGVGPLNPLLDADARAPGHDARKRHECLEWLDEQPAASVLYVSFGTTSCLRAEQVAELAAALKGSRQRFIWVLRDADRADIFAESGESRHAKFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTIESLSHGKPVLAWPMHSDQPWDSELLCKYLKAGLLVRPWEKHGETISAEAIQKVIEEAMVSDNGMAVRKRAEELGEALRASVAEGGSSRKDLDDFVAYITR >Dexi9A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:9A:6880160:6882280:-1 gene:Dexi9A01G0011080 transcript:Dexi9A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAALRSPTAAAAAGPSRRPAAPGASLLPFDRRRGFAFVSIKGLGRQQLTCRAKRRSSVVRASSSPSESQPPSSSIAPLRMESPAGQLLSQILHTHPHLLPAAVEQQLEQLQTDREAEKDKDKESGAGDKPAPSGGDLVLYRRIAEVKEKERRRTLEEILYALVVQKFVEAGVSLVPALSHSIDSSGRVDQWTETVEERLQRLHSPEAYEMIENHLALILGQRQGDATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKSLPWGSEEEEDALNQVMTTDSMPSAQVSSSHPEMASWTSHNFSAGGPSQSIKPSRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >Dexi4A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:4A:18111945:18112909:1 gene:Dexi4A01G0015270 transcript:Dexi4A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAGFGSGRRPQRRIITSYHHHSRHTDAQLGKARRASGPHPASHSAVGAHPAARSAFASSPETTTCARRTARRTRLEAEAAAATPGAPRPRRPTRTWFAGTWNATAAHAAAASGRVDAERVDEGEGEEVREGEENVLLRQGRDLAVLAEEDEDLGHVEPEHGERQRAAEEEEDGAVEGEAEEARLVGAERLGAEWVHAEGEAREHGVAGDVGEGDGEGPGGEREVADGAEEEHRQGQEQSRHRDREREPAQLDGLPEGLAGDQQGHGGRGLELAPAFVGGGVDVSEVGYRDVDMVADLTGDLKRHRRR >Dexi9B01G0022650.1:cds pep primary_assembly:Fonio_CM05836:9B:17402961:17403601:-1 gene:Dexi9B01G0022650 transcript:Dexi9B01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKIWSANVPAKVRVPANRCWNVRNGVLKEGETVSIEGLVMFLNCYRESLLLVRQQKVAVDDRGKQKVQAFDVPSCAKEPHLGKRWNPLAVGALKINVDGAFCPKSGAAGVGVVARDDASWPAATDGEQKNFPLQGC >Dexi3B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:3B:2776938:2777704:-1 gene:Dexi3B01G0004000 transcript:Dexi3B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDEEAEQPAGGYGGEATEYSETTVTEVVSTGEDEYETYKKEEKQHKHKEHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKIEEEIAAAAAVGSGGFAFHEHHEKKKDHKDAEEASGEKKHHLFG >Dexi6B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:6B:22266593:22268466:-1 gene:Dexi6B01G0014820 transcript:Dexi6B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVHAAEPATVNGSGGLTLDPVAQRALSRGASVLGTPKSPPPAYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVVSGTSTGGLVTAMLTAPNKEGRPLFAAKDINDFYLKNCPKIFPARSAGPMGLLRSVLSGPKYDGKYLHSVVRDLLGDTKISQALQNIVIPTFDIKLLQPTIFSRYDAMNDVSKDALLSDVCISTSAAPTYLPGHQFETKDKDGKPRVFNLIDGGVAANNPTLLAMTHVSKQILLGNKDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAVESSKWGLLGWLYNKGTTPIIDSFSQASADLVDIHASVFFQALHSEKRYLRIQDDELKGDTSSVDVSTEKNLNSLVEVGKGLLKKPVGKVNVETGKNEPDVSRGTNEQELIRFANMLVQERRARLQKKGNSL >Dexi7B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:7B:9837225:9837462:-1 gene:Dexi7B01G0004010 transcript:Dexi7B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEVGADGGGAFLGRGWPELAAACGVGAGWTVVLRHHGSGVLTVKAFDASCCLRELGTLPAGN >Dexi1B01G0025800.1:cds pep primary_assembly:Fonio_CM05836:1B:30786489:30791822:-1 gene:Dexi1B01G0025800 transcript:Dexi1B01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLRRRLPLLRLLRQLHTDSVASASSSSAPPPPLPKPHAAASPSVAPGSRRLGFLNPTPLASARAASGSSSAAAYLAVGAAAALASLPVAYADGNEQGPVGPAVSTDPTEGEDLARKERKRIMELAESRGMQRGSYPQFDVAVKGQKVVVKFNMPSTCNISHLIVDLVTHIGLEAEQHGGGSEVLVRAWDSFTFGLIFQEIEFIKPGSFSLKELEALISALKVAGEKDVKGSPGKGNKNASRKGNSQRSKHVPSMEKIVSDLEAMGVRVYGFDETSSVPMDGTVIWENLAGFEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALIRCIFLLVMSGRDIRDVCQQAERHWASKVCRLLIRGQVPKDEKGEPSLPPIDEYVSSAEQRRKSLPDRTRWSSRSPALKLA >Dexi2B01G0024050.1:cds pep primary_assembly:Fonio_CM05836:2B:33533394:33535646:1 gene:Dexi2B01G0024050 transcript:Dexi2B01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGCRTNRATQAGYWKSTGKDRRVNYQNRPIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGIQDSYALCRVFKKNVALGEFQKQKQGECSSSQAKEKQEDVREAGQSSGSNEHGKDNSWMQFISDDLWCNKTK >Dexi4B01G0023270.1:cds pep primary_assembly:Fonio_CM05836:4B:24667814:24671541:1 gene:Dexi4B01G0023270 transcript:Dexi4B01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVNFVVGRLGEFVVKEAAELQEVGNDIMLLKDKLQWLQTFVQLADHERRRHVGAGAGGDYREVWVQQTREVALEVEDVLDEFMLRVDIEHALPLCKKWLKFLSTCATQISIRHDLSARIAMIRARLEQISQHSKDYIADHPPPPAIASSASSIITTDGWDEEVRVIGFKEECSSLEDMLFKGDTRRGIGKSTLARKVLDSHEVKKHFKAKAWLNLPPFTTEVDALYLIYQLLCPFDEAPTTEETIRAALTYHLRDKRYVIVLDGMEKLFNWSSVLGALPNNNLGSRVVIIDALGGDEPALAGGTVLRVQHLKEEDSHLLFCRHALGSGNKHLIKSFGSQDLSESSEYNKAIMDDMFKITTGFPLAILLLGRLGASFGERSFLTNGEMCSTSSSPWSGGLNINYAAAVLVRRWAAEGFLRPMKGESMEDVGHNYLKELISRGMVHVSRKGPLTSEGFMIKTVFIHRRLHAMARLETQKGSFLDICDSTDVPSCTVVRHLFIQKFRDVADIHMDDSFPKLRPVRCHFSEFRESVGYHFSEYWEPIRRDFPEYSRTDMEAGSGGATAMNNGDRPYHNHSLRHLLRSKLLRVIELRGLQVKKLPKAIGDLVHLRYLCIRRSSLVELPSTIANLVNLQTLDIQKSKVREIPGAFWMMIPTLRHVLAEKSPLPKSVGVLKNMQTLRGMVVCAHPWHNNMSPLQNMVNLRRLELEISQLKAQHWDALSNAFKRLELLVRLHLSASSGDIIPIALFTKYSLRRLQVLELHGSIEMPAEGAHDPCLLPNLSWLLLKYTQGPAKTSSTC >Dexi9B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:9B:11168134:11171801:-1 gene:Dexi9B01G0016340 transcript:Dexi9B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPTYRKPTGVVYRPPFPALMPPVHLSNVATRPDRRGPRGLTGSLAPTHAGYCQDSGARARAPPSRSPRHRNHGSGITSQRCPDPPPRRHPLMRRTSEMDARWAAVLLALLVASAGAGAAGVKGGNWLAGLSRASFPKGFVFGTATSAYQVEGAASTNGRGPSIWDAFAHIPGNIVGNQNGDVAVDQYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGMTPYINLYHYDLPLALEKKYGGWLSSKMADLFTEYADFCFKTYGDRVKHWFTFNEPRIVALLGYDAGSNPPQSCKIPYKVSGIFFFLMQAAQKGKVGIVLDFNWYEPLTNSPDDEATAQRARDFHVGWFVDPLINGHYPQIMQDIVKERLPRFTPEQAKLVKGSADYIGINQYTANYIKGQKLLQQTPTSYSNDWQVQYAFARNGKPIGPQANSNWLYIVPSGMYGCVNYLREKYGNPTVYITENGMDQPGNLTRDEYLRDVTRVRFYKSYIGQLKKAIDQGANVAGYFAWSLLDNFEWKSGYSSKFGIVYVDFNKNLERHPKASAYFFRDMLKKY >DexiUA01G0018130.1:cds pep primary_assembly:Fonio_CM05836:UA:38679044:38679316:1 gene:DexiUA01G0018130 transcript:DexiUA01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGELDFHGAVPDSMGDPDVGVAMLRLVVPAEAEQGRPSEQQGLDEQGERPRAGAEPAGRKDAAAAADARLADVEAARGGRDP >Dexi8B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:8B:7902446:7906394:-1 gene:Dexi8B01G0006760 transcript:Dexi8B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDGDAAGASPPPPPPVAAPASASPRQKPIAYAPMGVLRGGECGDLLALVSAVARPLEEAVAGFRARISPERRLRFGSAVSFVLESTGPGLCLKQITNQASIKLCYLFFPSHQDKTMLQPAERLIAFAILHQGYSSKLANPFVPLLINAACDETSEKAERVFLQLLLSSTDGDNNEVLKQSAIDYLNGSDYASQVLLPREELEKQCSCDASSLQSSFRGSSVRNAIPDPDVFQSSGSSSEVSPTKPNRDNMIASLLQQSSLKGLPPQWIRPPPPRLEILEGELQWLNLDNSHELLWDGSMCADTSRGAMIRDLVEKACKAPLAPAQQEVLSAILFSRICSC >Dexi2B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:2B:26537734:26542208:-1 gene:Dexi2B01G0016410 transcript:Dexi2B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPAMDVGGGGAGVSAATANGSYGLVRTVVGYSTSSLFFWLLTVLLVAAIHIASVYKSSRSDETEEEKKKKAQRDGFAAAAEEREMSARGDDRVLEMMRSFSFVQATEEDFMEGMAGAYEYNHVDSGGAATEVVAPEPFAPPSPASSLSFKFQHQIPEIPPEAAAVVLREIPAAQVEEHQDQDLEEEETAPSIPLESAGKQEHEDEAEETELVLEEEEEHVEEEQRREAEQEPATSKVVATTHNYQFLTERDFRGFVREPEAMTVRVHDSFVPPSPSPRRTPPPRRPPPPPPPSQPEERRVVNTVPYTGGGFLTEGDFQSADKPDVCESVASSGTRAPSLRRKPASKPDVYDNVASPRKSTPSETRKPASSPSVVSKQGSARRMSFASEFSGFGGGGGGDSDTESSASDDGYSVKDLVVDSDSDWFLSEKDFPATARDSGNLKSYKAKVLKAIEALEAAAKLERSSSYQDSVTTVSPGSVGQDSPDTIPDGSPKFPDDMWSRSPSPDVEYKEDEEKVTREAEAQYEEDVEQRSMVEEERSVDMSDDEHSPKGRKVEWAPVYDLAHVADDNSMDHSEKETIPLNDHSGMDHSEKETITVNDYSGMDNSEKETVTLNDYSGEAISDTQKSPEAVSVKALVALSSDQVAGPAKRSPEPSEKEFVGMADHSLEHTSDDRRETSSESGQSYEIVFDDKRRPEPLETGFVGNDRSHELISDVWNEIISRTDQASTAGGLDAAEEEFVGRNDHSNDLISYEKKVTFGTTNVQSFPAVSDEKSVPVPLPHAKNIPETEEDEEQASENDHFDDAARQTYISVTRKAKVYDEEGEDPEVKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPRQSINSLKSLSPTMTSILSHNFRSSRRRSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNHVITSEELEYIMEEAMHILWEFIKADKVDATPSTVLKGFSSAHVELQDPSDHDLMAHIHAALQKKEKRLKDLLRTGNCIVKKFKKPKEDRSNQNLFFSQVDMKLVARVLRMPRITSEQLHWCKAKLDKIILVDRKIHREASFLLFPC >Dexi7B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:7B:20981484:20982199:-1 gene:Dexi7B01G0014900 transcript:Dexi7B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGKAYYTRSGAAGLSAPDAGGVDPDYKYFLDYVRLDGNGYALYIPSKDGVSPPKVIRYEDPFLDSNVGAPVPGGSGGGWRGAPPSLEEDSYGVAAHPRAGVKRKAPPHGNPRSGARRGAVPVDEEDPPAAQVPEPAWHDSHPDIDEDYGFFLRNVRVESDGKVVLKMGNSTVPIGHEPSVDNRGAEEEDDAEEGDEDESIPASGQSGENGVGTEKNVGRERN >Dexi2A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:2A:30356073:30356603:1 gene:Dexi2A01G0018320 transcript:Dexi2A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNHKNITASSRAMRSNKNITTINKFLCNHNSKSLSSHESITNYIKVMCINNHHKINIINSAMSNHNNNMVNPMTSHHYSINQVNRVVRNNHDINAARRLGNLSHVISTKQYQTWFGP >Dexi7B01G0022260.1:cds pep primary_assembly:Fonio_CM05836:7B:27231641:27233662:1 gene:Dexi7B01G0022260 transcript:Dexi7B01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGPVELLHLLFSPKVGRNRAVDCFTSTEVRSFHRRLAIFFNLLLPILLLSLAGPVAALGAAVELLLNLVDNVLHGRMEYPVKSSPTYRSMTGLIDRRVDLDRSIKPTDNGFDAALCVMASKLAYENEAFIRNVVTRHWQMEFVRFYNCWNEFQNAYTAQAFVFCDKPVVDAEVIVVAFRGTRPLDAARWCADVDPSWYKIPRLGCVHAAYTHALGAQRNIGWPKWVDHIKGKPQKLAPPMIAGTRQHLTTARYVTVSMCTARMQVYAYYTIRDALKEVLEANKKARLLVTGHGSGGALAVLFPAILAYHKEKAVLDRLAGVYTFGQPRVGDAMLAMFVERNLDRPKKRHFRITYGHDSLPRLPIERSAFHFLHFGLGLHFDKSYDLKVLREIPGEEETSSSLLVDLVRSPVNSAWELGRGVYLGYRRGGYFREGWLLLLLRAAAVALPGLPFHRVQDYVNAVVLGGGYIPRDN >Dexi5A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:5A:1765907:1767834:-1 gene:Dexi5A01G0002550 transcript:Dexi5A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKARVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Dexi9A01G0038840.1:cds pep primary_assembly:Fonio_CM05836:9A:42989850:42992006:-1 gene:Dexi9A01G0038840 transcript:Dexi9A01G0038840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPYPGCRARARTAGVVKGGGAQTQVHCHDARGEDGQEVADRQHQRRPTTTASSVGCFFRPPRRAFGTTKSNNAPVEKPPPPLQKPPKVSPPPLPKKPSKMSPPAMQKPSKLPPTAVQKPSKQSPPAVRKPSKLSPPNPIKVTKPSRLAGKPLKKVAPGADVEAKIKKSQRVSFQEAEVGASAPRSGEKAKDYADDAVGHTPMVAIRVTEKPAKVLAAETPFFSAQNCSNCTLDQFESATYWLAQIRMAESVGKHWVAAAFFRLAFECQAQPIHRIRSELRSYVVRHESAGTFTPLFDELLTAHGMPVNQPKFDADGCDKVDTPLATNAVEKDLDTATLKVDECLEFDCGEDLIDVGAIIVDKHDEDANVMDQLSIQKKLDESFEFDDSEAVIVDQVDEANFDLLKNMCIEVPCSDDIVQSACRSSTEKSSPRVAIVVSDSSSRRLPLVNPSDKLSPNTGSSSSRRLSSGSSFDRKSPLSSKRLISSCPPCKKSATRDLSLKRMPSSSHSDGKHSVAAGAAYHKSEVYQEVALECPALLDQLESKEPADDAASNED >Dexi5A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:5A:4158161:4159904:-1 gene:Dexi5A01G0005620 transcript:Dexi5A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMHRMPTRKSHSWWWDSHISPKNSKWLAENLEGSGISETDSETQSTPPSPEPNMEQKTPKQKRKTRAVGFDVFLGSGGSSDISKKGSDGSSSSSSSDSESEVDEASEENGNGVSYMMNGRIAELEEELQEARQHIEILEEKNRHCQCEKLEESLKQVSSEKEKIVAEVLANKNEIEDLKGELATVTGEKLQLEAQVKELEQASHSLEDSSAEITRLQEIIKDLQTRLESDSNEKNALEERAAEFEQVRRQLEDSRTEVRELQATIKNLKDDLGKSLQEKALLQDRVKDLEQAIGDLNASVASLEGKLTATEAQLEQLHTEKAEASLESEKQISELNETIADLKKKLELMSSEKAAVDNKVSILLIDVTTRDEKLKEMDSHLHQLHLEHVKLLEEADAARKAVSDMRGRVCELEEEVEKQKLMISDSAEGKREAIRQLCFSLDHYRHGYEQLRQLLQGHKRPMVMAT >Dexi9B01G0023330.1:cds pep primary_assembly:Fonio_CM05836:9B:18406678:18411058:-1 gene:Dexi9B01G0023330 transcript:Dexi9B01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGMEEASPGGGGAGKQRQISLVGLFLACMVAGGVQYGWALQLSLLTPYVQVQPCVGLYSDKCTSNLGRRRPFIFTGCVVICISVRASSSLETHGSSFLLLQLVIVIGFSSDIGYALGDTTEDCTVYTGKRLHAAAFFVMGFWLLDFSNNTVQGPARALMADLAGTHGPSAANAIFVSWMAIGNILGYSSGSTNDWHKWFPFLQTRACCEACANLKGAFLVSVLFLGFSTIVTMVFANEVPLDPATAKAQSEGEPTGPMAVFKGLKNLPPGMPQVLIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPAEIASFQEGVRQGAFGLLLNSVVLGFSSFLIEPMCRKLTAKVVWVMSSLIVCVAMAMVTVLSSWSLGDIGGNVQDAAAVDKGLKSAALALFVSLGFPFAVLCSVPFAVTAQLAASKGGGQGLCTGVLNISIVIPQMIIAVGSGPWDELFGKGNIPAFGVASVFAFTSAIAGIVMLPKLSKTSFRAVSMGGGH >Dexi1B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:1B:8311749:8318323:1 gene:Dexi1B01G0009270 transcript:Dexi1B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIYNDGALECGSLSSPGFPAMAASSPSSLAPPAVPIELHAGNRDRLVAALRDHLSASGRPPRGLVLLQGGDEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKALSYFRDTYKVDMVFYVDEITHVVQDSFGDHGKPFLFLLYGKNTDSGNFSKPASFEGIEKFDTDLSTLHPILTECRVIKSDLELAVIQYANDISSEAHIQVMRQARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLNDGDIALMDMGAEYHFYGSDITCSYPINGKFNSSQIIIYNAVLKAHNAVISHMRPGVNYMDMHKLAERAILESLRKEQILQGDVDDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTTRELKEGMVITVEPGCYFIDTLLTKVRNDPSSSKFFNWQEVEKYRSFGGVRIESDVYVTAQGCRNLTNCPRETWEIEAVMAGAPWPLPASSSTLAASENGVSKALS >Dexi4A01G0024070.1:cds pep primary_assembly:Fonio_CM05836:4A:26925515:26926741:1 gene:Dexi4A01G0024070 transcript:Dexi4A01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLEQLDVAWVAHACRDIRSSGQLQHLTATWLRALAEILHTYSYFRQQQHTDTGGHFFCVMHRRYWQDGSGTPNQLNFTRFAGAAISRLLPFVDALVAQPPTATVDDADDRALPAQRLQALIQVRGALIRIRDIAVQLPSSAAEVASVHDELLRLLTAKLAKLDEAVWDTMEEVRARVISTTTEDDSNDGSSGVHRMTRSVLSYIDLLETDYGLLHRIVYQASKLRKCAPPGIGNNIGTLASLKLEMVSCLEEKLNEESRSFPNESLRYLFLLNNLHFVKQQFRPMSDMKFHMPVLDSKIDDYMQRYLQVSWAPVLSCFHSPAPLCLGRYSPLPKFESEFHKAHSTQKLWKVPDPELRRRLRKAVIDKLTPSLTEYLQDDSLVTSQGIITLTPQELEEMLQELFEG >Dexi9A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:9A:11208238:11213387:1 gene:Dexi9A01G0016260 transcript:Dexi9A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRRGPRPRGGSIGAGADDSVPSSAADLLALAGTLVPAAATAALKSPPHLKQLVHSLPASHPLLLSLPQAIALALAPPPSDAASASDAXXXXXXXXXXXXXXXXXXXXXXXXXXXQATRPLPPRLPPAPPLPPAGHRPRPRSSPLRRRLRLRRAAAAAPDPAGPRRRPPPAPHPPVPPAAMGRPHPPAXXXSHPLLLSLPQAIALALAPPPSDAASASDAPPPPPPTPRGPAVVLHLLLTHPSHPPRWDDLIRPLELLHGRLALLATADPPLAAHAAACFELAWRADAPGREALVAQTLPYLVALALTSGATARPVIRRLFALRDALQLLDYDDDQSISDFKMLLLRCFVSPLFLKAEEGRKFLALVLGVSEGIAREGLELIRAQVGIKGAKRAALVAYGEVVFRAWKDGGWVRAEVGEAFLQGMMEAAVHAGSKEVAKAARKIISAFVEQRAVAGVEKLVFRLAEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLIEKQFFLIEKLLMDDCPEIRTVAVEGICRILNQYWEIVPAPTISKFLSTIVDDMSKDSCNEVRLSTLNGLIYLLDNPQSHEVLKVLFPRLSDMISDTALSVRTAVVDLLLAIRDLRSFQYNKVVGLSPLLSSLANDHPRIAQKITRLLIPSYFPSKLSTKEACARCIALIKRSPAAGARFCEFALSEGSSPRSIVDLVKFSITLALSQTGFNSEQIDGLIIASVNLIKSLSEERSSLATLREFFANAKLRLVLQTVVSEGARAALLSIAPDVLPDDLSVLHEECMDIVVNAARISKQEECQETALAAHKLMVLSGWSDELFEALANILQSKASDFAEIYGLEPPPCPIANLRRKKGKSLKKTPARDVGKGSSKSKVSNEDLAVAAGAAWQINQLVKAEDLRDAFLQSSYSEIAFSSLKVISQVFIEQCLYLDSLDLAPVLAYLSLATYNLPDIDTRSCSESSTANQSLDHLLNCFDKLLNTPIKNPPPPSKSKASRSKGQQKGASEGNTVKGTLNALMLGTSILKFIVDTTTIKLVNDDKIRCLKFASSYTKYAISSIKKHQEQSSSFTGDDLKDALVLIRSSFTYAAKIIHLVLSSSTEESSPPEEVFFLANDLLDLVPSVESFAGSRFALSTVSVLKQWLPVLILGLACRWLMGPQNEMAANFCPFGDSCLPLWLTAVAKNELLDGKEPGHDEQSEQAAEGEDSPSSRKLAEMMAILLKKGSPRILDCVGGVLLSTLQLILQRSEYGVVLGITRFVCSRLLGNSTSALENLQLTQDSLRDNFFKIDRYVGEELVDDDDRQILESTKLLIRSVLPED >Dexi9B01G0048110.1:cds pep primary_assembly:Fonio_CM05836:9B:47111187:47112884:-1 gene:Dexi9B01G0048110 transcript:Dexi9B01G0048110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDQAPRTKPRPDKAKKRKKAKKDKWGQPLSAAAAEEEPSVEPEQEPSVEGAAPAEGEEEAPAAPAEGYEPTKVVASGLPYTTTEADIRKLFEFYGPLLSVQLSRFPDSGNFRGLAFVSFESRTYVQVGTERMCGNRYMRVERCRVTTSSNKKRKAEFQADPEKSVGCLSAYVGNLSWNVTEKDLRDFFKSSKIASIRFAMDKRTGGSCGFCHVDFEDDDSLEKAVAMNQSELLGRPVKVAYSVSNRG >Dexi1B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:1B:21306148:21308395:-1 gene:Dexi1B01G0014900 transcript:Dexi1B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAAPPAAPPAERARLHVAAAPSRARPRGPHCAARGTGWGGARCGRRQLVLRRCSPAGESRVAGDGGPSSFCIIEGPETIEDFVQMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRTAESKVASIEENEMPEIPSTIPFMPHASEKTMKQLYMTSFSVISGIIIFGGLIAPVLELKLGLGGTSYEDFIRTLHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGNNLWSTNGRCQNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Dexi2B01G0035610.1:cds pep primary_assembly:Fonio_CM05836:2B:42541763:42542125:-1 gene:Dexi2B01G0035610 transcript:Dexi2B01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYSPKVKPEGQDNGGGGGASIGAGVGVVGSDVGAGIGASGGVVAGVNTGIGAGIGTEVGTSVGAATTGVGEGDVQRSMGLVSSVSPPGHKSELTEWVVPDEESGPVHELGHASELVG >Dexi4B01G0020940.1:cds pep primary_assembly:Fonio_CM05836:4B:22999197:23003089:1 gene:Dexi4B01G0020940 transcript:Dexi4B01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYFNHSSSSYPAPPPPPPGTSSYGAYRHAYPPPPPPPTAYGAYYDRVEEAVPARDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRTGKSSQAYAFAVFADQPSALAALSATNGMVFDLEKNCTLHVDLAKSNSRSKRLRSDDASPYSPEKRTRKPMGFPDSVMQTLSLLLPGRGAFFFMLYIFLQDPSSFAPQNNPPCPTLFVANLGPASSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKDANSSTEALDRLQGVILYSSPGEGIRLEYAKSRMGLRRRDKRP >Dexi1A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:1A:15166995:15177382:-1 gene:Dexi1A01G0013020 transcript:Dexi1A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAASEAALLAVALLPLRLLSISLHTRFSRPAAALRRARSAAALLAVAALVSAICAVPDAGARPGIPISGDADDAALRSEIEALRLKVAQLESLLEENTNMLNSKSSILEEDNKLIEAMERGIQLLVDVSESTEDSKSKSFSAESIKSMEDEVQQLQQEVSKISKNSGTIELLARDTERRVETLSSEVKKIEDIIAEQWIQIRQFEQAFVLTKMMASKVHERSRASGIVYKWPGKETILKYARDVDVNDIFHRGASYVRSCFSQTYEQSGGLIQQINRYYHEAYRFRKAICRQYIPDTDRLDVFLGGSVSRSSISIPYNKFKSFISSTQKFHNKVQVFLHDALESNRYSRVLANDPVTFILAYLLVVSPMWIPWFFYSMRFGSRK >Dexi7B01G0020930.1:cds pep primary_assembly:Fonio_CM05836:7B:26116040:26117535:-1 gene:Dexi7B01G0020930 transcript:Dexi7B01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGCCSTPLAWARRPRGAPAPRGGRCLAYAPAVAAAASDAAFHPDVSRAAESLQAEFAAVDRALAFNSSRVATAFRRARVAPHVTSLLSPPFLYYRPVWPPGLTSLVRLFQHFGGSTGYGHDDGGGREALDSIFTEIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANFSSSGSLQMQNPNCMVMVDNCYGEFVETSEPAMVGADLIAGSLIKNPGGTIAPCGGYVAGKKHLVEATAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAIKVGS >Dexi5B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:5B:10474366:10477137:1 gene:Dexi5B01G0014110 transcript:Dexi5B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAISTMASASSFRHLQPFLPALLCAIAIAAVSSAATASSAGAGERGPIGTNGKNYTKVCDPARFASLGLDMSRFRYCDASLPYGDRVRDLVGQLTLDEKVGNLGDRADGAPRVGLPHYRWWGEALHGVSDVGPWGTWFGDVVPGATSFPLVITTAASFNETLWRSVGAAVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFLVGRYAVNFVRGMQDIVVSGAGDGHSGGDPFSRPIKVSSCCKHFTAYDVDAWFDADRLTFDAEVQERDMVETFERPFEMCIREGDASCVMCSYNRVNGVPACADARLLSETVRNQWQLHGYIVSDCDSVRVMVRDAKFLNFTGVEATAASMKAGLDLDCGMYWEGVRDFFTTYGVDAVRQGKIKEADVDNALANVYTTLMRLGFFDGMPEFESLGAKDVCSEDHMELAADAARQGMVLLKNDAKRLPLDPKTINSVSLVGLLQHINATDVMLGDYRGTPCRVVTPYNAIRKMVKATNVHACDNGACDTAEGLGRAAKTAKISDATVVIAGLNMSVEKESNDREDLLLPWNQTSWINAVAEASPYPIVLVIMSAGGVDVSFAQNNSKIGAIVWAGYPGEEGGTAIADVLFGKHNPGGRLPLTWYKNEYVNQIPMTSMALRPDAAHRYPGRTYKFYSGPSVLYPFGHGLSYTNFTYTSTTTTGATVTIPIGAWEHCKLLTYKPGASPSPSPACPALNVASHRCDESVSFAVTVRNAGGVSGEHVVPVYTSPPTEVGDAPIKQLVAFRRVFVPAGEAVEVPFTLNVCKDFAIVEETAYTVVPSGASTVVVGDDALALSFKVTIHLAL >Dexi3B01G0026130.1:cds pep primary_assembly:Fonio_CM05836:3B:21071770:21072111:-1 gene:Dexi3B01G0026130 transcript:Dexi3B01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGRATARRRRLQRTPRRGGLHDGRLMDGGGRATAENAATGRTPRWQTRGRRRKGDGCDDYGGRRDSGLVDCGGRATMRGRGCAGDGVEADGFWRRRGSGVAKLDLVEEGE >Dexi5B01G0021730.1:cds pep primary_assembly:Fonio_CM05836:5B:23985029:23987687:1 gene:Dexi5B01G0021730 transcript:Dexi5B01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGEEAGQERRRAATWVPGAIIVGAGPSGLAAAACLAARGVPATVLEMADSLASTWRHRTYDRLTLHLPKRFCELPLLPFPEAYPAYPSKDQFVAYMESYAAASGVAPRFGARVEEAAFDAAAGAWAVRLAGDGELLMARWLVVATGENAVPRKPELFSGGAARFGGPVVHTCEYKSGEEFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMFGLSTFGIAMALLKVFSVQVVDRILLTAARLTLGDTGKLGLRRPKTGPIELKNLTGRTPVLDVGTLGHIKTGKIKVVGAVKEVTPRGVRFADGKEEQFDAIILATGYRSNVPSWLKVKSPSHSSLPSYLVFLTLQDGGDVFTSEGMPKIPFPNGWRGKNGLYTVGFSQRGLLGVSSDALNIARDIHCQWKDMSRPANSDALQSDHTV >Dexi5B01G0029600.1:cds pep primary_assembly:Fonio_CM05836:5B:30793985:30795400:-1 gene:Dexi5B01G0029600 transcript:Dexi5B01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLPVVVVFVAAAASCRAALAFPATGMQLPGLPGCDASIMLISRNKTGERNAFPSYGLRGYDEIEQIKAKVEAACPLTVSCADIIALAARDAVFLSNGPRYAVETGRRDGKMSFNIDANNDLPPPSSNIVDLKTYFSVKGLGWKDLVVLSGSHTIGRAQCSTFAADRLYNFSGKLEQDPSLNKTYAAGLRELCEPGVVNDTTPVPMDPSSPYTFDLGYYRDVVGNTSLFLSDQALMDDRWTRAYVERMAAAASPEEFFADYAVAMTNMGRIEVLTGDNGEIRKTCAAQAD >Dexi8B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:8B:26367468:26367720:-1 gene:Dexi8B01G0015590 transcript:Dexi8B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIAPTKNFSDARAAKYFGLLNDKSNGDPSKHIFMVELDTYKNAELQDINDNHVGININSAISLQAQPSGYYEDDGGI >Dexi1B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:1B:1734064:1736890:1 gene:Dexi1B01G0002050 transcript:Dexi1B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRRNRDLVRSLESLANGLTWILPERFANSEITPEAERLKILQYFRAGVRLAAFRESGYKMLLQGGEVANEEEVTLVEDNYGVNGNGVPVIYPMNGHSQNGHKAASNGLDGKNGCASKSLERRAVAALNKFGENAKMMSDPMWTRRLQPTPEPPVMAVEKPTLASIWSAKGGAGRLFVLGEVVHILRPLVYVLLIRKFGIRSWTPWLVSLAVELTSLGIHSHATDLNHRGGKVHQLSSAERDEASSFQLS >Dexi7B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:7B:3356244:3356461:1 gene:Dexi7B01G0001950 transcript:Dexi7B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVSAILIVSHGDPLQIFQAVLSGAKENSSFLEDVSDLKVKGMTVVSVLSQHRKFALATGELRRVM >Dexi3A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:3A:6095119:6096933:-1 gene:Dexi3A01G0008730 transcript:Dexi3A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQATIGIARVSSSVKPDLDVAIVRATSHEDAPPDDRRAREVLRLAAGGSQRACVASLSRRLARTRDYVVAAKGLALLHRLAADADGGGDPHLLAELLRPATSGKRAGEPVLSLLLDFRDDAHAASWDHSAFVRAYAAYLDDRVRFLVSLLPPPPAVRFSDDHFAAAPPPPPPPATAVSDMDAEGLLLRARQLRHLLDRFLACRPAGLARTSRVVLATLYPLLRESFTLYRDVAGVLAAILDRFFDGMEYPECVKAFETYVATAKQIDALIAFYAWCHDAGVAPSSDFPEIKRVDDELLETLEQFLREQGRAGRATSPPAVVPAQSLPDHDRRDELDDMDGIKALPAAANLSVTTTTTKADQPRQADLLVDLREPAATVDEQENKLALALFSAAPPPATTTTSSWVAFPSESEDAPAAVTSAWQTPAAEKGKADWELALVETASSLSRQTAALGGGMDTLLLGGMYDQGVVRQQVASQAAWGSASSVAFPPAAAPVLMLPAPEGTTVEMVGGDPFAASLAVPPPSYVQMAEMERKQQLLLQEQQMWAQYRQGGMQGQPVGYNGLAAGGVFASSAAVTMPSYGMPMAYNHVGGYY >Dexi8B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:8B:27547241:27547723:-1 gene:Dexi8B01G0016350 transcript:Dexi8B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAAMEEATTTRPPGWLILDRFVHRTTHDFAAVSDDATATATSSTCTGQPISASLRIANSPPAISRLHLHWPRRREFKRLPEPYVIAAHRHAILFKARAPFSEHDMGRDDTFFFPVDLFVYSSPSSSAPPSLHRLPPCFVGGVSAPAEDMFFTPYRNTQ >Dexi3B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:3B:8315222:8316535:-1 gene:Dexi3B01G0011910 transcript:Dexi3B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRLPQTYLGLPLSTAKITTATLDAIAVKLEHAVPGWRTTLPNRAGRLTLVESVLTAEAIYAMSVLPFPLTALAKLDRPRKELFWAGATKCGGGACQVAWDLACRSRGDGGLGLRDLATMNKSLMMKHIHKLFAGDSNPWADWIRFWYDGGQAGGDTPCWRDIKKLIPEYRTIMAVALGDGDSTFFWHDTWSEAGILHDALRTLYSHCTDTDLTVAEVVLAGGMDSALQPRLSSTAQAERELLMNALSDIELNDARDTRWIRGSPSSNIRAAGIYNALWALIGGPPMARVNWECFAPKKVKIFFWILRHERTRTRASLHRHGARDSPDCPFCPGVTEEADHLFVTCPRLVNHWSHLLPEQKPPSSIQGAVAAICSAFAAPDTAAHTAAVGVLWIIWKAQNAMVFHNLQEDAPTMARHLQQHIELWVCRAPRRLDV >Dexi1A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:1A:24141837:24142208:1 gene:Dexi1A01G0016850 transcript:Dexi1A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPPLPPFAARSSTPSLGSLRSEELDALPVSLRGTARVSCWSVAAAESGAAAPLPSVPKSGASRVVVPCSPSPPKSGTARVLQRCSPSAWSPVPPTSPHGRRRAGPPSFAWGEARQKELCD >Dexi4A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:4A:3015205:3018614:-1 gene:Dexi4A01G0004220 transcript:Dexi4A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGGKYGHFTDPNLEGIEGLEVAVLAEMLRGYLQDKRYVMILDDIWSISSWESIRCALPDNKKGSRVIVTTRTEDVAKTCCSRHQDWIFKIQRLSDATSRELFFKRIFGSEDKLPNDELEEVSNSILKKCGGLPLAIVSIGSLLASKTIRTKQEWQKVCDNLGSELESNPTLEGAKQVLTLSYDDLPYHLKACFLYLSIFPENYEIKRGPLVRRWIAEGFVSQRYGLSMEQIAESYFDQFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIVSKSLEENFASFLRDNGSLLVSHDKIRRLSIHSSHNLAQKTSTSVSHVRSFTMSASVEEIPDFFPQLRLLRVLDMEGCICLSNNALNYICKFFQLKYLSLRRTNIYKLPRQLGNLKHLETLDIRSTHVKKLPTSAKNLISMKHLLVGHKEQLTRTGSVKFLKHCSGLEMAPGVVKNMACLQSLVHIVVKDQPLVIWEIGLLQKLRKLKILLRNVEVNWKAFVGCLGKLASSLSSLSIHIINEKEYGSSLDILAFVESPPLLVTNFTLSGKLDSLPPWISSLRSLSKFTLRRTGLHAEAIKVLGDLPNLLCLKLYHKSYAEDCIVFPLGKFAKLSMLVIDNLDNIDRVHFEEGSLPNLERLTLSFLQEPKDGISGLEYLQKLKEIEFFGNIILSVVNKVVSCVKTHPNHPRVIGDKWNIVTEYA >Dexi5B01G0025050.1:cds pep primary_assembly:Fonio_CM05836:5B:27161127:27161885:-1 gene:Dexi5B01G0025050 transcript:Dexi5B01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFRFPVFNPDIGSSRVTQTYASVTESILRRIRGWGIQKIQDKAVCPPEGHFEIIFYKGVDRGRDDTEEENGPKGSVRLWFSYPNVYVEAFKANGVWRRFNNMHPEIVPPGAPPHRYQVEELPFEAGYHSRGLNADWDALRFGTTTIFDIYKVLKAYPNNPGGILELRVVLTKTTALFSEALRFAKLREALIYQMKFMEGSSICRFSGYFNKWEVLCNAIRRGPEFFVPIFGLKTFPELLSVVTLLLHQG >Dexi6A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:6A:25166662:25171270:1 gene:Dexi6A01G0017320 transcript:Dexi6A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLEPLRAGAAALSSSSSSDPDSPATPRRSRMRDLLRNLDRRLSNRSRGGEVAAAPVHSGGEPVVSPRRGEEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCANGCAEMMENNRERRIALVAAGSAAGIASELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKRFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAQLINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSGSKDTSEATSPRHGYSSLLPPADRNETDSRRQDGDDVELAILDVDPYHYGSNNEEMLLDDLKVSQAMSKHHVKVTPTFSIKEATRLMQEKQQSCVLVVDNEDFLEGIVTLGDIRRKGFEPSENSTEENSSTLDANSSPVSSCLTRGFQFHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYDAIGWCLR >Dexi5B01G0029060.1:cds pep primary_assembly:Fonio_CM05836:5B:30327669:30332830:1 gene:Dexi5B01G0029060 transcript:Dexi5B01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAVAVAAAVAVAALLVLGAAAASVPLLPAALSLQRAVPHKGVALQELRRRDWERHRSSRRGLLGGAAGVVDFPVEGSANPFMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSSCAGCPTSSGLNIQLESFSPDSSSTSSRIACSDDRCTAALQTGEAVCETSDSSSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQMANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPRVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLSIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVTAIAGAVSPSVRSLVSKGNQCFITSSSVDSSFPTVTLYFMGGVAMTVKPENYLLQQGSIDNNVLWCIGWQRNQGQEITILGDIVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQFDVNSSTRRASYKSLIPAGIAAMLVHMLAFGGGFSRR >Dexi9A01G0027190.1:cds pep primary_assembly:Fonio_CM05836:9A:31674736:31675024:-1 gene:Dexi9A01G0027190 transcript:Dexi9A01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICNSGTGERTDRDSRTHHTEEEGAAAARRRSLTARGGGGRSLKAWSGEEVERSREPSSGAALPVRRWRLAMAQSSGEARIYSGGEVRA >Dexi1B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:1B:1244722:1248028:1 gene:Dexi1B01G0001530 transcript:Dexi1B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAAGAAGAAGAPLLLHGDLDLTIHEARGLPNMDLLSTFLRSLCLCPPALKKTTTLPSRSLPNNDDDTSHHHHHHRRRRKHQPHGHRMLPTSDAYVKVMATGGSHHQSTLVRTFVFRNSEAPKWEVTFLLHLAHHAARLEFHVKDADPFGSDLIGVASLSAAAILATAGKPERSEWWLELVRPDGRRGGPPKPAAGSSAAIRISARFIPAGSTPSPWRLPGGGIPAYFPARRGCDVRLYQDADVAAAGGEDAGVPGVFQPGRCWEDMCLAVLGAQHLVYVAGWSVDTRVRLLRQAMSPEMEAKAAEVWELGGVKVENMSLGELLKYKSQEGVRVLLLVWDDKTSHDTFFVKTRGVMRTHDEDTKRFFRDSSVICMLSPRYPSNKLGIVVGTLYTHHQKCVLVDTPASETTRRVTAFLGGLDLCAGRYDTPSHTLFHGLHTVFHGDVYNPTFPGDDAANKGPRQPWHDMHCRLDGPAAYDVLENFEQRWRKTKKLHMREVFSFRNKNKKTRWKEDDLLKLDRISWILSPTKPPPLSDTAAGGNEDDDEQVALQVLPEHDPERWHAQIFRSVDGGSLKRFPRPWDKEQMMRHHLMCDKNLTVEQSIHTAYVSAIRSAERFVYVENQYFIGSSYAWPSYGHPGAANLVPMEIALKVAAKIRAGEAFAAYVVIPMWPEGDPRSAPAQEILFWQYQTMEMMYKIVAAAINDGAHPQQFLNFYCLGNRETPPEAVAAGDGDDDMTSAPAAARRNGRFMVYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPEHHLAGGKVFGYRMSLWEEHLGRETMARWPEVVRRPELRECVGLVNGVARENWERYTAAEGRGGAMKGHLMRYPVVVGVDGSVGPLQGYETFPDVGGRVLGSPNNLPDYLTM >Dexi3B01G0020970.1:cds pep primary_assembly:Fonio_CM05836:3B:15874296:15874935:1 gene:Dexi3B01G0020970 transcript:Dexi3B01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAVRTTGRAPKKQLQFDGSPSQRAAQAGADAGASGRKQKKRRWRPGTVALREIRKLQKSIKLAIPFAPFVRLVREISTDYSTEVTRWTPEALLAIQEVNAEACLVFHFSRKLCYTLPDYMKCTFHLLNVYGVQ >Dexi7B01G0023860.1:cds pep primary_assembly:Fonio_CM05836:7B:28345794:28347148:1 gene:Dexi7B01G0023860 transcript:Dexi7B01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGPGVAASGGGLLGFFGMKSKYARMDDVLPQDQEDGGGILVRGSSSRRYVFACSVFASLNHVLLGYDIGVMSGCIIFIEKDLHISEVQQELLVGCLTFISLLGCLAAGRTSDAIGRKWTIGLAAAVFQAGAAVMTFAPSFAMLMAGRLLAGIGIGFAVMVAPVYISEISPAMTRGSFASFPEIFGSLGILLGYVSDLVFAGLPDGVNWRVMLGAGILPSISIVFVLMVIPESPRWLVMQGRVYDARTVLLKITDSEEEAQLRLAEIEDAARVSASSEAVWRELLWPSPLIRRMLVTGLGIQFLQQITGIDALVYYSPTIFRDAGMATDTHLLGATVAVGFSKTVFIVVAIVLVDRVGRKPLLYVSTIGITACTGSCPRREARRWSRSSRCLVAAAAAPRWAWATWR >Dexi7B01G0023060.1:cds pep primary_assembly:Fonio_CM05836:7B:27778544:27781460:1 gene:Dexi7B01G0023060 transcript:Dexi7B01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLARLGAALPRARPRAAARVLPPVRWDAAALVASRRAVQPVLNGKRKLPVAASQKLNLLSHALIFVQVNMLRERNKSYGAIKFVDISSKDYSPDDNQGLDYETAMGRIHAILSDGTIVTDVEAFRKLYEEVGLGWIYAVTKYEPVATMANAVYGVWAKYRMQITGRPPLEEIMASRKAAGECKDDKVCKM >Dexi1A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:1A:24535551:24545205:-1 gene:Dexi1A01G0017160 transcript:Dexi1A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPKPGGGAAAAARAAAAGPRTVLITGVGRGLGRALALELARRGHAVVGCSRTAEHLRSLEAEITSPSRHFFTVSDVRSDSSVAELAKAAVERKQVPDIIVNNAGTINKNNKTWNVPAEDFDMVVDTNIKGTANVLRHFVPLMIEKRHGIIINLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLTSCFGSSASLYQTTETW >Dexi9B01G0039820.1:cds pep primary_assembly:Fonio_CM05836:9B:40504843:40508269:-1 gene:Dexi9B01G0039820 transcript:Dexi9B01G0039820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQAGGWKPALCVVLSEVFNTGTVLLSKVAIDSGTFVFSLLFYRSILGAVFTLPFALFFESGKWKDLDKGALGWLFLNAFTGYSLPSSLYYYGLRDTDASYAVIFASLTPLITFVLSILLGMEKLRLRSKEGNSKVTGVLVCFGGALLISLYKGKVLLLFRAIVNVEHKSSNGIAGRHHLRGTLLLLGNCISYACWYPVQVKVLGVYPWKHWSSVVTCFFGGLQTFAMGIIVTRDKLAWQIGWNIQLLTIVYAAALGTAAKYWLNLYAVEHRGPVYPPMFSTLSAVFIIILGTLLLGESLTVGSLLGSFLVLSGLYIYLYGKAKEPQAKTTPGSMDKELQMWPTRGSASEDTISGP >Dexi3A01G0023100.1:cds pep primary_assembly:Fonio_CM05836:3A:18697371:18697640:1 gene:Dexi3A01G0023100 transcript:Dexi3A01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEAVAAGVVLLALVLACASAGRDDEGWGAPGVEVTYDHRALVLNGTRRILFSGEMHYPRSTPEDFC >Dexi8A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:8A:23450136:23450397:1 gene:Dexi8A01G0013480 transcript:Dexi8A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGATAGGEVTSLMSTRCPYLRSLRLCLTVVDGDDVSIRSGSLHSLSLRLLGTRRLEVVAPILDNLYLCNTIDDES >Dexi1A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:1A:27019173:27020332:1 gene:Dexi1A01G0020090 transcript:Dexi1A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAELELEQKPAVGYWGMVVGARPCDACAAEPARLHCRADGAFLCPRCDARAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERVPVAPLFGALADAPQPFPSPAFAAAAAAGAHGEPAAAGDDDGSSEAEAASWLLPGPDNSHEDSAAATTDAFFPDSDGYLGVDLDFARSMDGIKAIGVPVVPPEMDITAGGFFYPEHSMNHSVSSSEVAVVPDALAAGGTTAPAVPVASRGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRGSAEAEDEALLEQDEGACFSPAVSAPAASDGVVPSFC >Dexi2A01G0030560.1:cds pep primary_assembly:Fonio_CM05836:2A:41461576:41467115:-1 gene:Dexi2A01G0030560 transcript:Dexi2A01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLCCLCSVAVSPVSTAGRPGTQSSLYNWAPSGSHTRTESPTACNFSPSARHAKAEKPQDGSPTVAAGGWLPVTALHSTSSNPTPPPPLSSRHSVQPRRTRRDLPFPASTLHWASEVARSCRMESSSGEELEEEFPGHEWITPQSSINAAYQSQTEKGIRKICSELLELKDAIENLSGNMQSKYLAFLRISEEVVEAEQELIELQKHVSSQGILVQDLMSGVCRELDVWFKSSKEEDVKEKDFQTELDEILSDDTQDPKAIFLDKLDALLAEHKMEEALLALEDAEKKYLVTNESSKELNAELSAFKTALFKRKAILEEQLVKWSEQPTLPITELRKSLAGLVKISKGSLAHQLLLKAYGSRLQKNVEAFLPSCSIYTETYAASLSQIVFSAIAKAAKETNTLFGDSPMNMNRIIQWAECEIETFARLVKENSPLPESVSALRAACLCIQTSLFHCSSLESYGLKFSKLLMVLLSPYIEEVLELNFRRVRRKIVDAARNDDILLLTPQEGSPLSGAVAPNIMLTSSGKKFMSIVNDILDQVTPMTIVHFGGAILNKFLQLFDRYVETLIKVLPGPAEDDTLLESKEAVEFKAESDAQQLTLIGAAYTIADELLPASLSKFFDMQNEKKGTGGSSEGLGSGSIYSIEYKEWKRHLQHSLDKLRDHFCRQYVLSFIYMEGKSRLDARMYMERKTDDLLFDADPLPSLPFQALFVRLQQLASVAGDVLLGKDKIQKVLLSRLTETVVMWLSNEQEFWDVFEDRSIQLQPSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSTVITRAIAAFSARNADPQSALPEDEWFLETAKAAIHKQMLGTSGSESELEPDEHITLHDEMSDSGENIATPSVSGSEDSFASANNDDLESPVYFTDPEA >Dexi8A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:8A:22917053:22919792:-1 gene:Dexi8A01G0012970 transcript:Dexi8A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEGVQWWEDWQLRFLVLFSLYIQYFLFFAAILRKRRIPHWFRFLIWLAYLGCDAVVIYALATLFNLHKKDEVAMDSAHLDALWAPILLIHLGGQDGITAYSIEDNENWRRHLLISVSQITVAIYVFHKSWWSDDRRLLRAAIVLFVPGILKCLAKPWTLKNATITSIMSFPDSRLEQTLEKEDMGRKDILSLDKFVENAAKSVQDAVPKDNKDAQDMRKKTPIADDGGEAKDKHEQEEELGAADHMKDAQEDSTHTLITNAEDRNGRYVQLQEALVTVRDLKKKVGDKPYHLFADLGHPYFVRLKNLQEVTAPHGAREATHGLIRSSLSKAFDRLYTKHKRRTLAGLLRAAAVLLPFAVIGLFHGSHRSMYSHDDVMVTYVLLCGTAALELVSTFFFLGCGLPQLDDQITQYNLIGYLARNKSYQSFWSLVSWLGCKDQLDRVWCTEPPEPSAGITKLVYDHVVRGWTKYMDQATYEPIMFRDEDYDWDWHYTITAVGAYRRFNDSRGQRTLELEIRCQQMEAVRSSMHLPFDESVLLWHLATEFCYYEHVDTGSDITRHSRVMSNYMAYLLFVNPEMLVPGARRSLFMAAYRELEPLGYAVVEQGTMPESPPKTRDEMARKIIQKVKSTIGSDDLSVGACP >Dexi1B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:1B:9202550:9204566:1 gene:Dexi1B01G0009840 transcript:Dexi1B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLLRLFFHDCFPQGCDASVLLVDDSARGIDSEQNADPNKGSLRGFGVIDTIKKTIVSETNCSSVSCADILAVAAKESVVILGGPSWTVKLGRRDSLQAFKAKANSDLPKPSFSLQQLKDAFGNKNFTPSEMVALSGGHAIGSVACTFADDTVRQVRCTTSTVLDPNSTRVPLDKTPAIFDNQYYVDLVDGNGVLNSDRVLVGPGSDRAGQVQTYKTSRDSFFKDFAKAMAKMSEMGVLTGSQGQIRRVCSKLN >Dexi5A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:5A:21141058:21146939:-1 gene:Dexi5A01G0017790 transcript:Dexi5A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVKPLYYDCGCFNAANDSNYGNRMSLASKLMENISASHDNFFASYTVGSVFGFVLCRGDYNGSNCADRLNQTIKDYISVTDTNQIICPSSMDVTIYYDQHMVSFFSSGGGGEEYKGLGSNVPAWVASNMNYVMNSTGAAGFYGERVLELLNKTASYAASNSSKLYATGKSWFGEAGVSIMYGLAQCRPDMRRDHCQQCLNDLILKVPEKFTTPAGDHCVGGRILGVWCNLRFETELFFEVTQETRKLHKPKNRKLQRELGEWHNEVMREIDSRFSLYHFTMIRDATGNFSEENELGFGSFGFVYRAWHIWRGEKYNQLVDPSLRGVYQMLELRRRIQIALLCIQENPDARPHMREVSTMLSNNDVSLPIPQPPAYFNLQLGNAEASASNDNGTVFHTARAHQVP >Dexi9B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:9B:169803:170009:1 gene:Dexi9B01G0000200 transcript:Dexi9B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEVSLRPEQDAHWIKGGPSTKVCTGDIYDALRGTIGGPPMADANWNCFAPKKVKIFSWVLRHDRT >Dexi5B01G0032980.1:cds pep primary_assembly:Fonio_CM05836:5B:33496266:33501989:1 gene:Dexi5B01G0032980 transcript:Dexi5B01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIKITDPSPTHLPSSPCSRQPRLPQSRLLPSGWALKKLATGPPDAKEEIIRLCSRGHLKDALHHRFRDGLWSEPGLFSHIFRACRALPLLGQLHAFAATSGAAADRFTANHLLLAYADLGDFANARKLFERIPRRNVMSWNILIGGYIKNGDLETARKLFDEMPARNVATWNAMVTGLTNSGLNEESLGFFLAMRREGMQPDEFGLGSLFRCCAALRDVVSGQQTRHQRHLLRRRAMASPSPSSSPLHPHQHQQPLPPNAHPQFQAPPPSMPPPPPAAPPKAFDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDAGGCKPAWSERLVLPLPPHLSPHDPSVLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFPTNPNPSHDPAASPVVSLPLLRPSGRPQGKLRIRVAIRERSPPPPEPQYPPPSSSQYYFPPPPPPAYSAPPQQYGSEQYYRPSGYYSAPPPPTQYEYTGGPSAPVDYSRQYEQRGRTGAGGSGRYGVGTGLAVGAVAGALGGLAIDEGVKYKEEKTTERVEEKVVPAGRDDYSEHRGDY >Dexi1B01G0024020.1:cds pep primary_assembly:Fonio_CM05836:1B:29492765:29494024:-1 gene:Dexi1B01G0024020 transcript:Dexi1B01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNARKDAGGGGGGSPFAIPCVDVKSFVASLAFLTLFVAFWQLQPYASLLTAARTFSSSSSPPCPLLSTTTTSAAADLTSPHAATTAAADTKRVRLARPAARPEDDPNKRVFRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPTHVFGTPYFKCEWVPNPTAGDPSPRSVRTKAYKILPDWGYGRVYTVVVVNCTFPSNPNAMNAGGKLLVHAYYSTSSRRYERFVALEEAPGSYDESRFAPPFQYDYLYCGSSLYGNISAGRMREWVAYHAHFFGPRSHFVLHDAGGITPEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGKKLDEVLGKLSGYSQFTIEQNPMSSKLCVDDPSKNYSRRNHVYY >Dexi2B01G0029240.1:cds pep primary_assembly:Fonio_CM05836:2B:37689844:37693799:1 gene:Dexi2B01G0029240 transcript:Dexi2B01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQPQFEALAGAARINGAAAEATLSGDELAWRPAGGGGEGKERRLELESEVLGCRVEGRKLRVATYSASGSGGGERPTALACGGGGKGGGGDGNRRRGEVVLEMESDDAAARWADAIRDRLASFETKRRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGLLQREDWETAIKVPLGIIPAGTGNGMAQSLLHAAGEPFSISNAVFAIIRGHKRALDVTSVVQGKTRFFSVLMLTWGLVADVDIESEKYRWMGSARIDFYVLLRVLNLRRYNGRVLFVPAPGYEEVGEPVERSTSCKQNGVSTGSHEDKANDRNGSTIGYPGPSIQEADLEWRSLNGPFISVWLGNVPFASEDVMAAPKAEFADGYLDAAIIKDCPRWDVMGLMVQLKDGAYVNSPYVEYFKVKAIRIEPGLRVGRNAKGGIIDSDGEVIARADEPEHLMAYGPPIQLTVDQGLATIFSPRSR >Dexi2A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:2A:30836650:30837987:-1 gene:Dexi2A01G0018660 transcript:Dexi2A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKEEQGAAAAAAMAAGRATARDKSSFAVTCSLLSQYLKENKGGLQGLGGLGMAPPPPNDAAKATTEEPKEHDQRTGENQREDEQAQQLTIFYGGKVVVFDKFPSTKVKDLLQIVNTGGDGVDRSGAKAAPQPSQNSLPEEKGQAPYQANSPSGIEVSKQSTGEKTSWLGLGQEVTVKQEI >Dexi9A01G0026550.1:cds pep primary_assembly:Fonio_CM05836:9A:29768332:29768669:-1 gene:Dexi9A01G0026550 transcript:Dexi9A01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGGKARVGALHIGGGGGIAIETPALLLSTRKGLPSFVSCDHLASLPLPDSLLLHVCPTHLALH >Dexi7B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:7B:16308176:16309297:-1 gene:Dexi7B01G0008500 transcript:Dexi7B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFLYLVADDSVERSYSLRRIDMSRFFFKAPSEGTPTPLDSSGGAGATDPLAIADSGSLPDPVLSLRVAQDLDKGSALMNFILFKKKGHDGENLKVVTIDHTGRTLMCDPSLPPGCLPLPMVATPKFEPFSLTVGSSLYVMDAFPKSRKSSERHSFELLSYGHIRSHLYKSWHWQSLPPPPYVSDRGNPSDYIESYAVVAGTDILLSNKAKHTCRFDTVKGTWRMAGDWVMPFSRLAEYVPEHKLWFGLSSMGDGYSFLAADLMPHSDSEEMSPPVVRDSWKEYAQPPPECRLVRSYAVHLGSSKFCIIRFFEIGELRVCPETHKTYMVEEELQALLTGLEVESCGEKLQVVKHKSERYKLDIQSDYWLL >Dexi2B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:2B:6899512:6901129:1 gene:Dexi2B01G0006930 transcript:Dexi2B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAATQLPLPATSPPRRRARAQHAPPAAAPQPPPRALAAILRSRVIACLRAEDGETALRAAHAAVRGGVTVVIEDLCRSYPSLTFGVGTVLNAADARKAIGAGAQFLMSPGTVMEILHDFEESKVLYIPGVMTPTEVLSACSAGAKVVKVS >Dexi9A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:9A:9711965:9712712:-1 gene:Dexi9A01G0014740 transcript:Dexi9A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERRTFEEYEPAVEWSHAADVDTELRVLVDNHLHMRVRGERPVAEGSAKWIRLQTTFDLPDNCDLDGIRSKFEKETLTVTLPKMSHPSPPDGSDPTTLDDQGNKEEEEKEKKGTVVEEEKPQEEEEASGKRPIRWLLVAVAGVLFVGITAYAVWRKLRSGGATGAGGHGPGELAGGAGSYVSEM >Dexi8B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:8B:6015090:6016649:-1 gene:Dexi8B01G0005760 transcript:Dexi8B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSPPPPPHLRAADGSEKQVCYYYGPHISYIDDGEGHSMVPNRVAMTHALLAAYGLLDDMDHLHVSPATKQDLKVAHTEEYLDLLRNLTPTKYKHDATTRASAERHHLGVVTDIRTGCTSHDNPVIDDLWDYCLRYAGGSLTAARALVAGDYKVAINWSGGMHHAGDGKASGFCYVNDVVVAVKALLERFGRVLYVDVDAHHGDGVQDAFAEEARVMTVSFHQYDGKGFFPGTGSVDDVGDAGGAAVYRTLNVPLEAGTGDVRYHKLFEPIMKRVMEVFRPDAVVLQCGADSLAGDRITGLELSVRGHARCIRFLRSYDLPLLLLGGGGYTINHVACCWCYETAVAIGKEIPDEIPKHGYDRYYQTQGYKLHYYHEAHSSSSNVLTKKMGKVKQTVMDHLDKLSALMAVQSADTDEEPAQAVNIDADALVNRSPSGEEPIQRLYRLGGEVELTEFFIDLGQTKQVKRNKVHHDQCRVPPL >Dexi4B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:4B:5910104:5911961:-1 gene:Dexi4B01G0008180 transcript:Dexi4B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDATPPSREKERWRSKTGPVLVVCLMTLPFLAFFFGGMDMEHASTVWRSTGAKLNAVSGGFLNASSNARRTDDAKVVDELLGGLLTPGFDRRPCLSRYQAAHYYKYSPYKPSSYLLRKLREYEARHKKCAPGTPLYAKAVEQLRSGRATEAMECNYLVWLPYNGLGNLMLSLISTFVYALLTNRVVLVYSPGDFTDLFCEPFPDTTWILPPDFPLTNLSRLGLNPPQSYRNLLTRKLIVNDSTKATVDSLPPYVFLNLGHEKPYMDRLFYCEDDQFVLSKVSWLLVFSDVYFVPALYPMVQYHDELQRLFPEKESVSHLIARYGLHPSNTVWGLVTRYYSSYLAQAKQRIGVQIRMFRFGTIPVDDMYNQILNCSRQEHILPEIAGDEELLQAGNTTASGGGDGSKAILIASLYGEYYERIRSMYYEHAAKGGVRVSVFQPSHEEVQATGKRGHDVRALAEIYLLSFSDELLTSGDSTFGSISSSLAGLRPTILLVAYGFKVPKTPCVRAVSMEPCDLAPPRVRCKGYPVDKDKEDVARHVKGCEDDRGGIKLFD >Dexi3B01G0027390.1:cds pep primary_assembly:Fonio_CM05836:3B:22902581:22909555:-1 gene:Dexi3B01G0027390 transcript:Dexi3B01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGEGGVTHKDEHVGKSRFTRAPPWTPLSFLPLLPPSPPAIACPPPLPASDPAATAPCPLLDKLRRRKVEAAATGRSSSSPGVTSSPILRLSFHTRIMGSWSRAMGIELNLDDGGDNASRERETPGETEWNIWAPPVAFWVEDRDVFWKDFLEAKHLAAQLVLDILDSFVPHENRILVKLLETFEIELRDIAHALHGSELDDDLVKAREHLRHLVKKFAEKGCWDIAEVRAKKDTKLLEYLVCLAMEAGYMEKVDELCQRYSLEGYVNSLVPEKVFCGSDYLDLKKLDVEEIVWVDEVNGLLNATSCLEACKIIGMDCEWRPNFEKNSKPSKKEYAALDAVVLVHIFHEHIRRQPQFGISEGCKAEWKSHVVSRVNSTRNPLRL >Dexi1B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:1B:22423031:22425860:-1 gene:Dexi1B01G0015890 transcript:Dexi1B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVESLAELFAGPGSGESVEWRMPENHNEDSPFHLVRLPGDERLAAQVANRSLLVKGIYELWGHGTTYEELEKSVMEYPDERKLPFLTPDSTFKIIVDSFGKAISSQEQNDIMKSLTYIPFKGRVNLKKPDHKFFVMETDDYGSNNGLPPVAKRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFEQYKLPEPLCLLRADNNLPPWRPGLKEMLDAIICDPPYGVRAGGRKSGGRKLIKGVKGPYTVPDEKRDNHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFYPVLRSEDGAASPQFPEHPCFKLIASCEQILSYRYSRVLLTMVKVGPYTEEIERVAEEQHREFRENHQKWMEEGNLHSAVFEPAQDGKPDKELKPKYRGKYV >Dexi5A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:5A:5621451:5621903:-1 gene:Dexi5A01G0007610 transcript:Dexi5A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVFDPLSLDFWASADPLGVVRPLAEQCPVLTNVRVDWKETPTAHVFKADLPGVKKEAAKVEVEGGDVLVISGERDAREELAGEGEAWRLAERSTGGRFRRRFRLPRGARLDQVQASMEDGVLTVTVPKDEAKKPQVKAVEISG >Dexi3B01G0035820.1:cds pep primary_assembly:Fonio_CM05836:3B:38832094:38833401:1 gene:Dexi3B01G0035820 transcript:Dexi3B01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPPSSSCHHHRAAPFFAPVARPRWGAQRLAAAAGRSVPASGTPMVAPLQTPPAAVDKRRCECFDLHQKIVPYAEAYAWQKSIVKRRGELAYSGEDHSDTLIALQHPPVYTLGTDSNEEYLHFKKEDAPFEVHKIFRGGEVTYHGPGQLVMYPILNLRYHKEDVRWYLRSLEEVIIRALKSAFSIKATRVEGLTGVWIGMN >Dexi9A01G0031480.1:cds pep primary_assembly:Fonio_CM05836:9A:36482650:36486838:1 gene:Dexi9A01G0031480 transcript:Dexi9A01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRRDGPLMLRGGGGGKPLSRGSRIAVAVAVGIALGCLCAFLYPDGLFRPSASTLRWSRHVDSTACESSGQVTNLKSQLASSERKNAELRRQINELSMKLQLAGQGKEETLYKAGPFGTAKALRTNPTVIPDESVNPRLAKILEEVAVKKELIVALANSNVREMLEVWFTNIKQVGILNFLVVALDDNIESFCKSKGVPVYRRDPDEGIDSIAKTGGNHAVSGLKFRVLREFLQLGYSILLSDIDIIFLRNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVSYRLSHEKAWDQAVFNEELFFPSRPGYEGLHASRRTMDIYLFMNSKVLFKTVRKDAQLKKLKPVIVHLNYHPDKLDRMKAVVEFYVNGKQDALQRFPDGSE >Dexi8A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:8A:6905372:6906944:1 gene:Dexi8A01G0006660 transcript:Dexi8A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGAAAKKPAFPAGDPFAALPDAILQHILSFLPAQPAVLTCVLARRWRRLWEGMPGLRITAANAPEPPCAPDDLVSSGELREFVDHLLLLRSGAPLDSCEFLFDVREDADVPHVNLWIRHVIRCQGPEHKVEINGRYSPMEGSAAISENLRIIEVKCEVVDEGVLKVLDFLHTLNIVYLQ >Dexi4B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:4B:20639154:20643678:1 gene:Dexi4B01G0018280 transcript:Dexi4B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAFLPELATQVVVPVAAVVGIAFAVLQWVLVSKVKLSPEPRRGDGSSGKAGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVEGFSTKSQPCHYSKGKTCKPALANALFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNNIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >Dexi6B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:6B:21635488:21636099:-1 gene:Dexi6B01G0013920 transcript:Dexi6B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESVAQVEHLREDVQDLQKEIVQVLKDRVRLNEEISTLHKEFDKYNSYAESALQLMCEACNIDWSDIVRRTAARASSSDHPGTSKQGGTLVDAGINDSHADPPQDHAVADPGSAHVDSPIISCAANAHPKSLKDHD >Dexi6A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:6A:21477060:21481290:1 gene:Dexi6A01G0014100 transcript:Dexi6A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VADVKKLIETTQGENVYPADQQMLIHQGNVLKNETTLEENKVLENNFIVIMLSKKGSSSAASATAKAPTSQISVDRAIPATPATQPPPAAPAPVVPVSAPAPTATAASPAPTAAAITEADPYDQAASNLVAGGTLEATVQSILEMGGGAWDHDTVVRALRAAYNNPERAVEYLYSGVPEQEEVPVAASAPPISQQPADPVQAPHSAQPAIPSSGPNANPLDLFPQALPNASSNAAGGNLDVLRNNTQFRGLLSLVQANPQILQPLLLELGKQNPQIMQLIQENQAEFLRLINEPAEGAEGSLLGQFAGAGMPQTIAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDETMAANYLLDHMNEFDDEAPQ >Dexi2A01G0024710.1:cds pep primary_assembly:Fonio_CM05836:2A:36424693:36426963:-1 gene:Dexi2A01G0024710 transcript:Dexi2A01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAEVVAPKPAAKRRSTTLRFLFELEKPDGGLLPGTAKLPPPSPEPEADSLIDKIAACHRVFTFAANAGDEREDERDAKRERLVEVLGAVRSAGTKRPLDHRVMAALVKMVAANLFRSLPPSAYPPLPPDGLDEEAPVMSLSPSWPHLQVVYDILLSVVTATDAKALRNHVDRPFLSALLSLFASEDPRERDRLKTAYHQLYSKLTCERAFMRRSMAAALLRFVYEAPAGERHCGAAELLEICGSIINGFAVPLKEEHRVFLKRVLLPLHRTRWAHTYHRQLAYCVLQFVHKEQGLAGEVVTGILRHWPVTNCQKEVLLIEELEEILEVLDPKQFQNLAVPVCSRIARCVSSCSSQVAERALYVWNNERFLELATTSPGVMEKILPSFVASVEGNLEGHWSKCVQQVTASVKALLEQVAPDLYARCADDLAARRSEAEAAAAVRDARWRKLEAAAATK >Dexi4A01G0024190.1:cds pep primary_assembly:Fonio_CM05836:4A:26994260:26995312:1 gene:Dexi4A01G0024190 transcript:Dexi4A01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAVLVALLAAAATAGAQQCGWQAGGKLCPDCLCCSQHGYCGSTDPWCGNGCQSQCNGCSGGGGGGGGGGGGGGGGGGGGGGEGGDGVASIISESLFEEMLKHRNNNACPAKGFYTYSAFIAAASAFPGFGTTGDLETQKRELAAFLAQTSHETTGGWAMAPDGPYAWGYCFKEEVNAWSDYCQPDPRWPCVAGKKYYGRGPIQPSWNYNYGQAGEAEPIAADLLSDPDMVARDPLLSFKTAIWFWMTPQAPKPSCHDVMTGRWTPSPNDIAAGRLPGYGVTTNIINGGLECGFGGPDARVESRIGFYKRYCDLFGVSYGDNLDCYNQRNFAAVASSASATSSSYHAS >Dexi7A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:7A:28150411:28150737:1 gene:Dexi7A01G0018850 transcript:Dexi7A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSIANLPADIIRCIADRAATECRPLLRAACSALRAAVPAEPQPWILLQPRPSEDNDDFSVLSLPADVKLLSPGCSVAPRNLAMAFPPGARRVGAGHGWVVGVPR >Dexi5A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:5A:8280912:8283463:1 gene:Dexi5A01G0011010 transcript:Dexi5A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVAPSGYKNSSSTSIGADKLQDHMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKPNELKGVPADIVAKLIPEHAKKQCSYVGL >Dexi9B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:9B:12295684:12295929:1 gene:Dexi9B01G0017460 transcript:Dexi9B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGVIGRPAAFFFPAVMGMMPCDWPWISGFLGPPGGHVVCGGDAIRE >Dexi4B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:4B:21605003:21609076:-1 gene:Dexi4B01G0019350 transcript:Dexi4B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAAAAWQLLTTVALVVLAAAAAAAAQAQTEADVLKAFRAALVGPGGGPPVELDKWLDPQAGPPCSGDGTQKWVMVKKCSGGRVVNLQLEGLRLQGAAPDLRLVAPLQGLRALSLAGNELTGAFPDVSPLPALRSLFLGKNRLSGDIPDGAFAALKGLMKLDLSSNGFTGPIPSSIATSGKLVDVNLANNNFSGPVPDGLQNSGAKLHVEGNKFICGTQDGPPCPSSPPPAKSSSGSMNVLITIAIVVVAIGALLAIAGIFAAIRARRNEPRYAGGTETLGGSPDAAAAKAIKITSAPAVKIEQQSGMDGGLVTPASAKRGGGGGRREDHGKLVFIQEGRARFGLEDLLRASAEVLGSGNFGASYKATLLDGPSLVVKRFKEMNSVGREDFAEHMRRLGRLVHPNLLPVVAYLYKKDEKLLVTDYMVNGSLAHVLHGGARSTLPPLDWPKRLKIIKGVARGLAHLYEELPMLMVPHGHLKSSNVLLDATYEPVLSDYALAPVVTPQHAAQVMVAYKSPECAAAQGGGRPGRKSDVWSLGILILEVLTGKFPANYLRQGRAGRDDLAGWVNSVVREEWTGEVFDKDMRGTRSGEGEMVKMLQVGLGCCEPDVSRRWGIEEALARIEELRERDGGGDDSSTASSFVSDGEPAMAARHGEPLSHSSA >DexiUA01G0027370.1:cds pep primary_assembly:Fonio_CM05836:UA:58529648:58531100:1 gene:DexiUA01G0027370 transcript:DexiUA01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKLNRVEVQAACEDFSNITNTYPSCTVFKGILSSGTEIGVVSSVISSSKDWSRSAETCFKKKIDVLSRVNHKNFINLLGYCHENEPFVRMMVFEFAPHGSLSQHLHLQEFEDLDWAARMRVIMGVAYCLQYMHHELNPPVAIHNVRSDTTFISDDYAAKIADVGVWDELAAKAKSGKEDGSSRSEAPPDLPSNVYCFGALMIEIISGRVPEPDDHEPICTWATEYLKDKNYSKLVDASLKEHKGNELEAVCEVIQECIDPDPTRRPTMRDVVGKLRTPLGISPESAAPRLSPLWWAELELLSVKST >Dexi6B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:6B:16047205:16047655:-1 gene:Dexi6B01G0009930 transcript:Dexi6B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAIIRALSTSAAPAAEGAAPAAEAVAKEAKKRKKKNLFDVVQFLPSWGVGYKVAKTTWRDVCYQITKINLYKDGRHGKAWGIRYKAG >Dexi9B01G0046330.1:cds pep primary_assembly:Fonio_CM05836:9B:45577193:45577989:-1 gene:Dexi9B01G0046330 transcript:Dexi9B01G0046330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLQHTRRRKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLARIYYTDLAKPDPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDRLGRKSVYGMTLLLMVACSVASGLSFGSTPAAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGGFIAAVFAMQGFGILAGGIVTLAISMAFRTAFPAPAYMVDAAASTVPQADYVWRLILMLGAAPAILTYYWRTKMPETARYTALVAKNAKQAASDMSKVLQVEI >Dexi2A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:2A:3200142:3208665:-1 gene:Dexi2A01G0003690 transcript:Dexi2A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRDKSPDHNNQFVDIGDQAGAFAKRLKEDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPDRDLEKLLKGILQQVVTPKMDDGKGVKEEKDVGISELGAYLSDKSPPLAFLSPTHPHPATRIFPTHLLPDPDSSASSAATPPPLLPPPPPSASFFATAPPRITAAPASSSTVLPLRSPPCLLLPHASSSTAARPWPLPPPWLPRGPPTPKARGYPVALRLIAPRRARNAAFWNTGLRSMPELQRAKSPDENNKFVEIGGQAESFIKQLKKDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPARDLENLLKGILQQVVMPKTDDGKGVKEEKAVGIDELGAYLSDKRYLIVIDDVWTTQAWEAIEYKLAAAQTNNNCGGRIIVTTRIEAVAEACSTASARQHCIHHMEPLKLDDSKKLFLSKAFGKMDATYPKELTDVMDNILKKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVSHPTLEGMRHIVALSYNHLPHELKRCMMHLSIFPEDYEIDKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFHEIVRNVELYRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIEHGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTDQHVLHACKLYLLRFLSFENTEISKVPPQVKKLEHLQVLNLQRSSIGDGGLSDNVTTLKKLERLLCNFWVLPKGIGKMKALRELDAVRLEDGVEIAQELGELEQLEAIMIKVGGSKEVRQALATSLSKMYFLRSCGISSDDNNLEFLHDLRTPPRRLREIRIGGRVGPCLPAWIGQLTHLVEFTMAFARLNGDQLLGVLFKLPCLKRICVAYLCCVDRELVALTNYRFPSLVSLEVSARETEEPEEFRFEQGSMPELERFQIHFGDRNNQVSIVGMEHLTNLKQVRLTGLKSSPALEHALQQVKAEKSKREESHQFQIVVKYEN >Dexi4B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:4B:6551401:6552521:-1 gene:Dexi4B01G0009140 transcript:Dexi4B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLAMMQHRRKKIPIIMHNESEQAHRWSQVYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADLFGKEQVHEWRRSYDVPPPNGESLEMCAERAVAYFKEQIVPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTR >Dexi2B01G0033460.1:cds pep primary_assembly:Fonio_CM05836:2B:41009220:41011033:1 gene:Dexi2B01G0033460 transcript:Dexi2B01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVRLAGGAASWSRSGKAAVPTVVGLFEQRRRAFQGVRMASAGSKSSGRGALVVLEGLDRSGKSSQCARLLSYLEGQGYRAEGWRFPDRGTSVGQMISGYLANESQLDDRTVHLLFSANRWEKRALMENKLLSGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPDVGLIAPDLVIYLDVQPEIELGDDGVLKVVDGSRAMETVEEQLRELATNCIQECQEKPLTNLTW >Dexi1B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:1B:5667603:5667806:1 gene:Dexi1B01G0006830 transcript:Dexi1B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPSRLAVRVVVAVLFLLLCGYALSTAEGARPLHSQRTAGGGFSTANLPVFAIARAGPSRRGAGH >Dexi7A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:7A:26801416:26801976:1 gene:Dexi7A01G0016980 transcript:Dexi7A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQAIASLFFLLHHKHAGHHKHTISYHDCVKFFEADKGSATADKRGLAAIGAKIIGATAKSVSDRIDHLRASEKDKERLDCLNECAKLYKGAAAEIGKVTKGITSGTNRTLGDAATTLGTVLDAPSTCEEGFKKIHKPSPLAPEDAKFYKQVAITLFATGTL >Dexi3B01G0036770.1:cds pep primary_assembly:Fonio_CM05836:3B:39641758:39647362:-1 gene:Dexi3B01G0036770 transcript:Dexi3B01G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRERGGKAAPVGGSARMAGAAAAVVGEEALSSATKQKVAAAKQYIENHYKAQMKSLQDRKERRWMLERKLADADVSEEEQNNILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSPEAKDLIGRLLCNVDQRLGTKGAHEIKAHPWFGSVEWEKLYQMEAAFIPEVNDELDTQNFEKFEEMLSSKDVNFVGYTYKNFEIVNDPELPGIAELKKKNKPKRPTIKSLFETADSEDQTSEGSFLNLLPTQLELPESLEPSPHSSISSEDSQARHR >Dexi1B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:1B:23059728:23061371:-1 gene:Dexi1B01G0016560 transcript:Dexi1B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFLAYFHPRAGDPGAFDFTHRELAGGGEIDDGVRPGGGEQGGELAGGDEDEAADYELAVAVADLPELLLDPPVVLQHGGDVGEPPELAREPHHPQDLKVADDAEENADHEEERDAGRGGSASGSSPSPARRRRRGAAQGAATARRRKTAQEPAAARRGEEEWSTQSHERQARKLRSSSCACDEHRANRDAAGAGFPPDGGGSSGDADDASGISWRALGVAK >Dexi9A01G0047380.1:cds pep primary_assembly:Fonio_CM05836:9A:50518563:50520771:-1 gene:Dexi9A01G0047380 transcript:Dexi9A01G0047380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFGYKDTLLCFSLGVQLENEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALATQTYDLVSVAKVPTEPPPAPAIDLELPVDPNEPTYCFCNQVSYGDMVACDNPNCKIEWFHFGCVGVKEQPKGKWFCSNCAGFQKKRKGK >Dexi2B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:2B:11067751:11072558:-1 gene:Dexi2B01G0010130 transcript:Dexi2B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLGQPNGQVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCPRVRGDEEEDGVDDLENEFNWNDNESQYGAESLHGHMTYGRGGDLNGVQQPFQLNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHKTRGDGGCDDGDDADLPLFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDCEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGDRKHKKKTTKPKTEKKKRLLFFKKQENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >Dexi3B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:3B:14089824:14093855:-1 gene:Dexi3B01G0018990 transcript:Dexi3B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTAVVVCAAVGVAVVLARRRRRREAELLGSAVAERKRRAAAVIEEVESSLATPTALLRSIADAMVTEMERGLRGDIHAQLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINGTVGEDVVSELSRAMERQGLDMKVTALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQPFVLRTPDMSAMHHDSSHDLKILGAKLKDIVGVADTSLEVRYITRHICDLVAERGARLAAAGIYSILKKIGRDKAPSNGSKMPRTVIALDGGLYEHYKKFSSCVETTLTDLLGEEASSSVVAKLANDGSGIGAALLAASHSQYAEVD >Dexi2B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:2B:174658:175242:1 gene:Dexi2B01G0000380 transcript:Dexi2B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSSAAKRQLLVVTAAALLLAVVSARRRPVRLLLYMHDITGGPGQTAMPLVNGTGPPNPSMPVGSRFGDTTAIDDLLTEGPGVDSAPLGRAQGTYMLASLREPVLVVSMTVALTAGPYNGSTFAVAGRDNVLDETRELAVVGGTGRLRRAAGHVVWRTAKLESPVHWVLELDVHASVPGEDDGTAVACDLST >Dexi7A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:7A:21189490:21202134:-1 gene:Dexi7A01G0010410 transcript:Dexi7A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKETELILYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVLYKHKIVLFGGFYDTLREVSCLHATTNTHPPNPLAIMAEPTIAELKELLSTVVAKLTTVEGEISSLKVDQARLHVAVNNVQSSRLDTSETSAAGGSFYLQIYLYGGYFKEVASDKEKGTVHADMWSLDPRTWEWNKVKKAGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGFLPNVQNILWTVAKEANLWCDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKESTNDVEANIDNDGDEVMEDFDAIEGQPEVHGVSNQLTKSLSITRAGSSKSSDVLSDSAAQEAPPEAVKPSGRINACMAVGKDMLYLYGGMMEVKDREITLDDLFSLNLSKLDEWKCIIPASESEWLEISEDEDDDDDDEAEENENDSEEDASQTDEDEESDEDAARNVSSAVSLLKGESKTMRRKEKRARIEQIRVILGLSDSQRTPVPGETLRDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASGSTSSKRDTKKGKQKSAGR >Dexi8B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:8B:17082716:17083524:-1 gene:Dexi8B01G0009580 transcript:Dexi8B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFPTVEAAEASLGRAMTWAEAAWFQYSRSTPDYCLCFHNFVFLLVNYTLAPLPLVLLELCAPAKLTTQYKLQPRVRLSPATFLRCYTETARVLVLLTIGPYLLAPLPVLKMGGIRMGLPLPSFGEVVAQLIVYILMEDYMGYWFHRLMHIEWAYNNIHYVHHEFSAPIGFASAHSHWAENLIFGFTFFIGMVIVPCHMTTCWLWFIIRGLLAIDFHCG >Dexi9A01G0036410.1:cds pep primary_assembly:Fonio_CM05836:9A:40858967:40859791:-1 gene:Dexi9A01G0036410 transcript:Dexi9A01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNYAELGQLYEMYKDQGFEILAFPCNQFGGQEPGTNEEIVQFVCTRFKAKYPIFDKVDVNGEDAAPLYKFLKSSKAGPFGDNIKWNFAKFLVDQQGRVAERYAPTTYPLSIQKDIKKLLGSS >Dexi1A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:1A:6021335:6022784:1 gene:Dexi1A01G0007820 transcript:Dexi1A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISHCCLFISEVINLMSYFFSFLPLKILGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDAEKRALYDETGITDDDALVGDAADNLQEYFRTMYKKVTEADIEEFEANYRGSDSEKKDLRDLYTKYKGNMNRLFCSMICSEPKLDSHRFKDIIDEAIAEGELESTKAYEKWAKKISKMEPPTNPLERRVKKKRKSEENDLILAISQRRAERKNQFNSILSSIMSKCDPKASSSEPTEEEFEQARQRLESKRAKKRK >Dexi5B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:5B:3513028:3516553:-1 gene:Dexi5B01G0005320 transcript:Dexi5B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVMSGGRRTRRSSSARAAAAAALLLRCLLAMAFVSSALAVDAQGAALLAWKRTLRGAGAETLADWRDTDASPCRWSGVSCDPSGHVTGLTLQFVDLHGGVPGADDLSAVAPTLSRLVLTGTNLTGPIPPQLGDLPALTHLDLSNNALTGSIPARLCRPGSKLESLYLNSNHLEGAIPDAIGNLTSLREFIFYDNQLEGTIPASIGKMASLEVIRGGGNKNLQGALPPEIGDCVNLTMLGLAETSISGPLPASLGKLKSLDTIAIYTALLSGPIPPELGECSSLAHVYLYENALSGSIPPQLGKLAKLENLLLWQNNLVGVIPPELGACAGLTVLDLSMNGLTGHIPASLGNLTSLQELQLSVNKVSGDIPGELARCSNLTDLELDNNQISGAIPGEIGKLTNLRMLYLWNNQLTGSIPPEIGGCSSLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNNLSGEIPPEIGNCTSLVRFRASGNHLAGGIPAEVGKLGNLSFFDLSSNRLSGSIPAEIAGCRNLTFVDLHANAITGVLPPGIFHDMLSLQYLDLSYNSINGVIPSDIGKLGSLTKLVLGGNRLTGQIPPEIGSCSRLQLLDLGGNTLSGEIPASIGKIPGLEITLNLSCNGLSGAIPKEFAGLVRLGVLDVSHNQLSGDLQPLAALQNLVALNISFNNFAGRSPETPFFAKLPTSDVEGNPALCLSRCPGDASDRELAARRAAKVAIAVLLSAVVALLAAAALLLVRRRRGSSVLGGAGDKGDAEMMPPWEVTLYQKLDISVGDVARSLTPANVIGQGWSGSVYRATVPSTGVTIAVKKFRSSCADDASAAEAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGNLLHGGGNGVGGGVSTGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPAILHRDVKADNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPVEPAAFGEGRSVVQWVREHLHQKRDPAAVVDHRLQGRPDTQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRNDDGGGEARKVSGGGGVSGARLDSAKWAGLSPTKPTAQAQSQSQSPSSSLAYSM >Dexi5A01G0034630.1:cds pep primary_assembly:Fonio_CM05836:5A:36635356:36648377:-1 gene:Dexi5A01G0034630 transcript:Dexi5A01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEELGEDGVMEEQLIGGVLRRSSGDVSGELWDWHKCVNCRGSSDFQCLCCPLYSVCHDCIGHVQFVQLGKQNKGFCSMCLNRAILMEKKADPDPDVEKTDDRDEEIARILFTDYWEITRDKEHLTLVDLEEASVFLRLNSKGGVNSDEHVLADNDVNDQKNPCKQNEVNTLLKKKSKRKKTFIGWGSEELIGFLLSVGKDTEKPLDKLEIAGVVKDYIKQKNLYHDAKKVCFLCDDRLQPLFARRKVRCKMIRKFLADHLASSAVSEDENSYGSEDDDTPVMKKRPLNSLEPKIAKRVSERSKRCFAALVHKNINLIYLRRTLVINLLSDPDTFERKVVGCFVRLMVAQPVHSYVKSTKAFVLGRVTGIKKASKEYKLKGTCTSTNILLCLTGPLADVTISMLSDEDFEEDECSVLISSVKEGLLERVTIAEFEEKVATVHTDIVKHWIERELVRLEKNIDRAQNKGLPMCAVVWDACKDRFNLSAVGGGKPEIKPDSIPQVKKKANMPPQGKKKTNLPQGKKRKNPDLRYEKFNEKKKKRKEKEKQAQVDGKENQVDGEEGENQDDGEKGENQGDGDGSEEEDDREDKSADEGETSKAAVATDQILSGLRCTPQIRVLSLLPLRQVGFLLPLRRHVGFLLHLRNGISLISGMPPPTAERLNLEEKARRQEQTRNEERRGEVGLQKGIAVAVAEEVAEAAAVDERTNLNGHAVLGSVTPC >Dexi4A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:4A:23433429:23434569:1 gene:Dexi4A01G0019600 transcript:Dexi4A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSTSLWSKTTTIAGHSVLAAILWSCARSVDLTSEVAITSFYMFIWKLFYAEYLLIPLVR >Dexi6A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:6A:680888:681571:-1 gene:Dexi6A01G0000840 transcript:Dexi6A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNKAFSAFLIMVSLLPLSTFASRTSGAHHGHSGHGPKLHSPPPSPPTPASPPTPAALVRSTCNSTTYPDLCISALGADPSSATADVRGLSSIAVSAAATNASGGAATAAALANGTTGAAANAQATPAADATVQALLRTCAAKYGAARDALAAARESIAGQDLDFAAVHVSAAAEYPQVCKALFRRQRPGQYPAELAVREEALGQLCSVALDIIALLSSSPAPSR >Dexi9B01G0031620.1:cds pep primary_assembly:Fonio_CM05836:9B:33960277:33965118:-1 gene:Dexi9B01G0031620 transcript:Dexi9B01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTQSEQRGSVRLACGSGARAPHPFPPSHPHAHHMEVAHERRRILVATPALVIPPSRVATPLPRNRTDPPRPPRDHLPPPPPMPNPSLPPPWEDRAAGAEMDELAAAADTTQAESSPASRPPWQERWSRALYTIDEDYEQQEDETEEDPETPFYTSAAWPARPGNE >Dexi9A01G0034270.1:cds pep primary_assembly:Fonio_CM05836:9A:39054369:39056894:1 gene:Dexi9A01G0034270 transcript:Dexi9A01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLSPQFLSLSAKPTPAAAFATAAFPSVPPPQLRALTAASAAGWRPLAPVRAAAAVAEELDAEGKDGGEEEVVEEFSADLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVLEGRSLRVNSGPAPPRDQSSPRGSRGEAKRVYVGNLSWGVDNTALANLFKEQGEVLEARVIYDWESGRSRGFGFVTFASDEEVENAISNLDGADLDGRQIRVTVAESRPPRQQY >Dexi4A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:4A:8782789:8784263:1 gene:Dexi4A01G0010900 transcript:Dexi4A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDSQKRPSAQCSLIACSGRKRRRGLTAPASAVAPGAGTAVSSSPWASMNEDLLRLIASRVLAGDLLDYVRFRAVCPHWRSSTVCPRGRGVTDPRFHPRRWMMLPEGHGLYPGHGKLRGYIRFFNLYTGRFVRVKLPVFRDHCVMDSVDGLLVLRRDQDMAVRLFHPFTGDVVDLPSLATLLPHVINNNQDLPGGSHPVHCFYYLGGVCTSFSVSAAGVITVMFALHRMGRVAFATSQDQQWRLSTWTLEYYKSLSFRGKLYMVRMSFIPEQNSDIFRVDPPQEEQGGSLPEPKLIASIPTDKLTYPIFLTECDSQILVAGYTGRLRSHMQVHRLEDLTLEKFIPVKSIGNKALLIEDRSLSVSSNAALPSVVGDTIVLPSLKDGSLTQNKGQLYNRRKGCKWRVNGKWRLGV >Dexi9B01G0028660.1:cds pep primary_assembly:Fonio_CM05836:9B:31283234:31285787:1 gene:Dexi9B01G0028660 transcript:Dexi9B01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSHGHGGFNAGRGGCHQGQGGFNGGNGAGFNANGGFPGAFGGAGGASFPHGGAPFQGVSGPTFPGGAGGVSFPGGAGKEEEMDDTHKKHKSGGSSSKYPEIHPGGKNSEASDEGHMQEDVDFDEDDLLDDVLEVCENGKNNGDCPTQVVNSPLVDVVIEPMHAVHEEMLHASSAFSKGIDAAGGGDTLTESVLDSSMVVLVGHQGNQAPVSLEKMDVSDGGISSVTEVETGHGGGGMIVGGSTNDGFCAGGLAVAGGMAADRTKSAMEPGLVLVSGGFQDGIKEAAMSLDGVVNDALAVTPHLRRSKRRASTTDEDSVERASRLVAIKNLEVDKVR >Dexi3A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:3A:9813742:9813978:-1 gene:Dexi3A01G0013500 transcript:Dexi3A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPEALFSSYSSPFMADYAPASFPAANNATVDAAAFLAELDGLHDQFEYSPPPIFTTGTGAGAGDDRNEKKM >Dexi7A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:7A:25710929:25712014:-1 gene:Dexi7A01G0015830 transcript:Dexi7A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLMAANAGAATSTTQVSNPNPPAAAPPSSLPGSGALRGGVPPAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSASASASAATSSSSMATTTAAAAAAASKNPKTLMAQHEGAHHDLNLAFPHHHRGLPHAPEFAAAFPSLESSSVSGGGAMASNGRGGAGVGALSAMELLRSSGCYMPLHHQVPMPPMPGDYAAGFALGEFRAPPPSQSVLGFSLDAHGPGPSGAAGYGSSAGLPENAGRLLFPFEDLKPTVSSGGGGLATGASGGGAGDGNSGHPQFDHSNKEPGGSGGPSGEHDAPGFWNGMIGGSGASW >Dexi8A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:8A:1614370:1617508:1 gene:Dexi8A01G0002440 transcript:Dexi8A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNRSRYVRRQPDLSRRPSRPPLPPPDYGHEHCSVPLWEREFCSYVGNISWQRFCENKQYVSVYNNLEQWDDSGAFENFENAKARFWANYHGRPSDIPLPDRDMYIDKVDHRCKVDPELVADLDKVRLPFDSDNSSALATNARADNQKGSGNWDIYIEKPAEVNKWDLEANLGSEAIWGGKNESSIKWGDGNSGWGAALEKPSWRGWSDNQYPSNNRSNNPYVGSNNNRYREEDPSHSSGRKRNGGGYFQQRNNKQRSQDHQRSSWQDHRGINGEWRPLHNRACQSGEGWG >Dexi6A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:6A:20171796:20172881:1 gene:Dexi6A01G0013120 transcript:Dexi6A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPISLFKSHPEAAAEPPPEGRNAGYLVVKSARDELDDETTWFGPTGRLLGLPFPQNRVLRVEYGEEYETVVFVPVPDMPLTYSRYYVVVPSGNDKGLVMACSREEDVTMCCLHRSIPDAEPRPFDPADIYQQIEIVQHERGSFTARAVTADGFPPSIFRSMYWKVYDHESNKKIVDLGVAPGLDVSRRLAYVPLGAGKAAVGKWYCPFFLVEEHGMGRGAFYEVVLEQRWEPMHGDAVRHGDDSSKLAMKVLIGGSIEVRSEEALSSLQGATYMWFVAATGQKVGVCTMVCERMLWEVTKAGWVDEEKDAGRVAHESMVLVERFVVKRMDGSVVVAIDFVHLNVTKANKLV >Dexi4A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:4A:2679273:2681242:-1 gene:Dexi4A01G0003770 transcript:Dexi4A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTPAADAAPVAQRARRPSPLAAILPTRSMRSIMVSLIVLALLLVAARWIDLDASPLLVSTGAGQRRRYHGNSTATHLIPIPFTCGNETSPHPPKCSATPSPPSPPKAVPTSGEPSPPSCPDYFRYIHDDLRPWRDAGITRETVERARPHAFFRLVIVAGRAYVETYRRAFQTRDVFTQWGILQLLRRYPGRVPDLDLMFACDDPGQVRAADFLPEMSKAPPVFRYCKDASTLDVVFPDWSFWGWPEVGIGPWKQMLEEVKEENERVKWPERQPYAFWKGNPMSYPMRVELMRCNVSNGQEWNARVFTQDWNHAIQNGFKDSRIPKQCIYRYKVYVEGNAWSVSEKYIMACDSPVLFVRTPFQDILSRGLVAGEHYWPISRDHMCKSIKFAVDWGNEHPAQARLIGEQGSRFVREEMSMDYVYDYMLHLLTEYAKLLRYKPTVPEKAVEVCTESMACTARGLHRDCMMDSMERHVAGFQPCTLPAPFTDEEAKKIADREAEMLRKVEKMEG >Dexi9A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:9A:5688656:5689153:-1 gene:Dexi9A01G0009400 transcript:Dexi9A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAAPGTTTAAEARVVLVDGALRRFPGGTRASQALKASSDTTSATGAWFLCSADGLELGGAVAGVGPEEALQPGQLYFVLPAAMRRRPLQAEEMAALAIRASAALVGDHDGPLVFPEAVAGGGGGAGAGKACRRSRRGSSRGRDFMTDLGAIAE >Dexi8A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:8A:25950624:25951026:1 gene:Dexi8A01G0015080 transcript:Dexi8A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSASLFNLQQKMVIKLGIPSTKTRAKAMALAAKVHGVTSVAITGGHKDQLEVVGVGVDAACLVNILRKVFRSASILLVEEVKEKKEEKKLLELGWPV >Dexi8B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:8B:1130600:1135427:-1 gene:Dexi8B01G0001700 transcript:Dexi8B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLVPAICAHLALPWSLSQFPQMPCPTTAPRKPTGMSLSGMVFSPIPFQPGTLPPIRDPPAAEQPEPRERVPAASVTSNIPEFFKRMIFPPQEPNLLQEFDTQKVSWNPHKHCLAFVSGKNQVMIHDFEDSDAKEPCILTSDHVKAIEWRPNSGKMIAIACKGGIFLWSASYPGNVPFMKAGVTSISAFPRGSGGLGTPIRRGLSNISLVRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPESRVALLSFSNSTTLGSIHFSSKQPSLDAHILPVELPEISSLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPEGAKPLAFAFHNKFNQGPLLSVCWSSGWCCTYPLILRSH >Dexi3A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:3A:15021835:15022334:-1 gene:Dexi3A01G0019170 transcript:Dexi3A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLLLLLLAMFTGSDASFCVCKPGIPDAMMQKAIDYACSKGADCAQTTQGGPCYGNGNKVAVCSYICNSYYQSRASMGATCDFQGVATLTNTDPSSGTCKFASGAR >Dexi3A01G0028040.1:cds pep primary_assembly:Fonio_CM05836:3A:28257670:28259157:1 gene:Dexi3A01G0028040 transcript:Dexi3A01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENISNSENEAKRAYIPDYLDNNGRMVFVTLPTIKIVKHFLEPKMNEKVKFVYSNSAESQRIMSDMFDLDKLESTFGGRNTASLDMNKYADKMRTRDQIRGASTYANSNASSSCS >Dexi2A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:2A:7940513:7941628:1 gene:Dexi2A01G0007770 transcript:Dexi2A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSRIRHHPHRLTPSSPPAISPSLNLRRLRPLRPPTLASANTPPPSTLQFSFPLPRRQWRGGTSGLVRDAVLELRPIEADGGSVNAAGEKKSFWGAVSLIIGTAVGPGMLGLPSATIRSGQAPSAAAILLSWAYVVSSIVLVAELSFSAMERDGVDELHGTCVEHPGGGPRALVAVVYAALSFSLLVACVAGIGSLVSHLFPMVNPVLANALFPCFAGVLIAFFPFKAVDGANRALCSLMLVSITALVVTGVSVGRSSLLRSLGYACWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASAGGNAGIGDPIKLLLSLHCLLFEALHLLHWRRA >Dexi9A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:9A:6723800:6727182:1 gene:Dexi9A01G0010940 transcript:Dexi9A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAQVKNTSSLFAHLLLAPRLLLLGEERNTVRQTEIEAERSEKMMMAPAARSIGMAGKKPRKAYTITRPRERWTADEHERFLHALVLFGRDWKRIEAFVVSKTSTQIRSHAQKHFRKAQKLGLPTPAPHPRRAAILADAVTPSHETLIQLPLSPDDLYFAQVYRFIGDIFGSGETRPIETQLQRLTLDTGRSQELPKPQLSSHTCSATELRRSGRAKQNCRETQTQIDTERRKPTMAAMARSFGTPAGKKPRKAYTITRPRERWTADEHERFLHALVLFGRDWKRIEAFVVSKTSTQIRSHAQKHFLKAQKLGLPAPPPQHSRRAAVLGQPPVGCSALLPGDAVMAPRDEALIQLPLSPDDLYFAQVYRFVGDIFGSDNPQPVEAQLQRLLCADPVLVDTILRVLGNLEANLFL >Dexi9B01G0038400.1:cds pep primary_assembly:Fonio_CM05836:9B:39471231:39472214:-1 gene:Dexi9B01G0038400 transcript:Dexi9B01G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNVAKQAVRAALCLLLALAAANCAFAGRVLDEQPAAPAEAPLPEDTLPAPTDPPADPVVAAPAGAAAAGAAATTGNAGASTGGAAAANAGAGAGDHPPLTFFMHDILGGSQPSGRIVTGVVASAAANGQLPFARPNTNIFPIQGAVPLPQGATNLINSNNAPYVAGLGGTSGTLVQNNGNPVNGGNKNIPFVNAGDLPSGVTLQNLLFGTTTVIDDELTEGHELGAGVIGRAQGFYVASSQDGTSKTIVLTAMFEGPDAPHGGDTLSFFGVHRMAAPESHIAIIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFNIHLI >Dexi5A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:5A:8028139:8029218:-1 gene:Dexi5A01G0010690 transcript:Dexi5A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATNRRVVLKEYVEGYPTEEHMELVPGGEVPLRLTGDEPAGSVLVRNLYLSCDPYMRPKMSRPLRESYTAAFVPGSVITGYGVARVLDSSDPRFAPGDLVWGITGWEDYSVVKPPVTAFLAKISHHDAAAAGGGVPLSYYTGILGMPGLTAYVGFHEICAPKKGETVFVSAASGAVGQLVGQFARLAGCHVVGSAGSKEKVELLKSKFGFHDAFNYKEEPDLAAALKRCFPDGIDIYFENVGGVMLDAVLLNMRVHGRIAVCGLISQYNLKEGEKDAVRNIGALIAKRLRMQGFIEPDHKHLYPKYEAWVLPYIRDGTLAYVEDVAEGLENAPKALIGLFHGRNVGKQLVRIADDE >Dexi7A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:7A:10770492:10771190:-1 gene:Dexi7A01G0002520 transcript:Dexi7A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLEHPHIVKLIGVCQESCSLVYEHLPNGTLQDRLTNGLPWEDRVRILAEQRNALKYLHSRRPNAIIHADLKLTNILLDAGDVSRLGDFGTARAVHVKPLEEETIVRRTIPMGTIGYLDPVFYATGELTTESDVYAFGVVIMQLLTGMDGIKITEKVRGAAKMHSVLDASAGPWPVVEAERLLKLALRCCSMERKQRPAITSDADWKPLEILRAKAAPASKTWKWNCFAS >Dexi5A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:5A:806931:807550:1 gene:Dexi5A01G0001220 transcript:Dexi5A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKVSGKTPVLDVGTISKIKSGDIKVLPGIQSFQEHGVEFIDGRTEDFDVVILATGYKSNVPYWLKDNDFFSDKDGFPRKANEWKGKNGLYAAGFSRRGLLGVSIDATKIAYDIAQCCNDIGYEKHKSK >Dexi5A01G0032300.1:cds pep primary_assembly:Fonio_CM05836:5A:34871293:34877782:1 gene:Dexi5A01G0032300 transcript:Dexi5A01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASRSDGGCAAEARAYHSAVSFLGLASALLLTVCSLPLPLVQSDRSAEALSLEASGVRNGGIAGRSESNLILGAPESFGRMMSASAVAMRAEMGGGGGEEELEDELDALLSSGAGGQRRRPVDAGERERERELSMYRSGSAPPTIEGSLNAISGLLRGDGEAVVTVAPIPVAEALNGHGGLLSEEELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKTGQDDAGQGTGTAVGRSLFLHHSGSEQEEESRNDEGSAAEWVDGGVDGLIGLSLGRQRSFADILQEQVNSAENQYPTHTDILDTHHPAGNVQNVSGIHSLNAPTSQTFASILGSSVSRNATPDPHYVARVPSPGLPPVGVRITSNENKLNCSSSPFNTVSSKAVGADDILSALSNVNLSKSEHQKFSLDSQAAQVNNKQHPVMLETDDEYLGMPSMSQQSSSSFADINSSVAGLSELRNSTNKRLDGHLEMQRSLSARSYQKSPSSSNESPGGSPAQHQNFDNINSAFMNYGLTGYPLSPGLPSMMPPLFETAAAASAIASLGADSRNIGNNILSSPTLNLTDMHNLGRGGNQAPSGLQSLSDPFYVQYLKATQYAAQGAGSYGDHSLERGYMGSSYANLNAVQKAYVEALLQQQKQYEMPLLGKSTVSNHGYYGNLPFGMGMTYPGSPLGSPVASPSGPGSPLRLGERNLRFPSNLRNLGGWNPDPSGYMSENFPSSLLDEFKSNKTRSFELAEIAGHVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPHALSLMTDVFGNYVVQKFFEHGSAEQRRELADKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMKCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGHVVPLSTHPYGCRVIQRVLEHCTDPKTQQIVMDEILQAVCILAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPTERAVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLNALKKYTYGKHIVARVEKLVAAGVLLAI >Dexi1B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:1B:1167707:1169047:1 gene:Dexi1B01G0001500 transcript:Dexi1B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAGAGAFPSWVLLEPFILRRDRASTFPDETMAPISFSGTTSCGDNFFLAFHLVDPPGISSLYAHLPAFGKNEVPVAFLSTHRHLALLRVATLDYHDHLTQDLFVFDASASDVSRCLLHLPVCMEPPFDYNRLDGSLRRRRRHPRIAQPRLMAVKSMGILSRQDGEFAVAEMNFYMPMDTLFADICLFRSSANKWTYMRVPVLHSGDHALDTQQLCFWVTSSVVPVGRWLCWIDYYRGILFCDLFAKPNPTVSYLRLPVDEFPKTNNRSRTCRWMYRCVSAVDDGNVVKFVDVARSDDIGYGALRPGAGFTITCHTLMLDGVDKWDKETMGSVVWHKDWTVTSDELWSANPPELLPREAPTFPQVNIDRPHVVHFLLTEFGYVMKKMWVVAIDMSTGKVESCSQYLNGRDGIGTDREKLTDWRSICPMPFLPCEFTKYLLHFPM >Dexi3B01G0036630.1:cds pep primary_assembly:Fonio_CM05836:3B:39534985:39537501:1 gene:Dexi3B01G0036630 transcript:Dexi3B01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLHLLAAVGSLCAAAADVLRPYAPSCSTTGNYTDGSRYKKNLDELLATLPSAAGDNGWYYKGSAGEGAADEVFGLIMCFADRNATQCQECLAGAPAGIATAVCPGSRNVSAAYDACVLRYSAAPIPATADLAIAFYVYVSGEPVTSQGLSNAWLTLMTNLTSGVHDDPLRIANSSTPYSSSQEMYGLAQCTRDLNGTECSDCINSYIGQLSRLFPNNTGGAVKGYSCYLIYQVGALEITLPPAVVSPPPAAAALPPSSLQPSPERSSSSKTGLVIGLSVGAASFVVVLAFLTWLHRFLRRKQARILNKPRERELEEGGFFDDEPAMEDEFEKGTGPKRFRYGELAIATDNFSNTHKLGEGGFGSVYRGFLKEMNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHDAGELLLVASAILYLHQDWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGRGPTPPCSPAPWGTWTRKSDVYSFGVVLLEIACGRRPLVSRHGGGDDEENVTHIVQWVWEFYGRGDILDAGDERLKGEFDAGEMETVMVVGLWCAHPDRSLRPSIRQAVNVLRGETPPPSLPARMPVATYMPPPEAFYYTSSVATGSSTGTGTGTGTTTQSSTTETSTLLE >Dexi4B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:4B:16569766:16579632:-1 gene:Dexi4B01G0015230 transcript:Dexi4B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMEKVWESGRRVNRSISRGMGMEAWGVDEAFMPNAWTGSRGSRRSGRVDDDEEALRWAAIERLPTYNRVRTSILSSSAEADADDKQQFKEVDVRKLGDGERQEFIERVFRVADEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLTVEAKCHVGSRALPTLFNTARNIAEGALGLCGLRLGRQATLTILNDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRCSGEVTYNGFRLDEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLMTELTRREKEAGIRPEPEVDLFMKATSMEGVQSSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADKQRPYRYISVPEFAQRFKRFHVGLQLENHLSLPFDKSHCHQAALVFSKQSVSTTELLKASFDKEWLLIKRNSFVYIFKTIQLIIVALISSTVFLRTHMHTRNVDDGFLYVGALLFSMIVNMFNGFAELSLAITRLPVFYKHRDLLFYPAWVFTLPNVVLRIPFSIIESIVWVLVTYYTIGFAPEADRFFKHLLLVFLVQQMAGFIPNWWIWGYWISPLMYGFNALAVNEFYAPRWMDKFVEQNGVAKRLGVSMLESANIFVDKNWYWIGAAGLLGFTIFFNVLFTLSLMYLNPLGKPQAVISEETAKEAEGNEHARRTVRNGSTKSKDSVHTKEMNEMRLSAHLSNSSSNGVSRVMSIGGNEAAPRRGMVLPFNPLAMSFDNVNYYVDMPAEMKQQGVQDNRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEMIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMVDYFEAIPGVPKIKDKYNPATWMLEVSSISAEVRLKMDFAEYYKTSDLYKGDANTLRIVMGGMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYAAMPYAIAQVVMEIPYVFVQTTYYTLIVYAMMSFQWTAAKFFWFFFISYFSFLYFTFYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPKIPGWWIWYYWICPMAWTVYGLIATQYGDLEETITVPGQDPQRISYYVTHHFGYHTNFMPVVAPVLVLFAAFFAFMYAVCIKKLNFQQR >Dexi1B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:1B:14313758:14318354:1 gene:Dexi1B01G0012150 transcript:Dexi1B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAGGGGGWFAVVRKVFRPSASSSSSSSSKDKEAVLQHGKQRSKQAFMASCMWSWQQQDGGAVEEEAAACRAEEPEVLLLEHIPASETSGEASNEGGDADLAALGRNDDRRAAAASAAEDEEDMERARALAAAAEAAVAAAEAAARVVRLAALRRLSREERAAVRIQANYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTELPLLLLPPPTPPAPASRPSLLEAPGRHSHHQPCLDLELVGDDGAEVADLLLQQRSRSRGRLTRGDDINNGGGRSPSSWDCSSRTLEDARAEDARRHDAAARRERALAYAYAYQLRQWQRQEDEKAGLGFHWLERWMAATQRPQEDAPDHTKTTSYQQGPAARTASYVTAAGAFPGVMMPEKTVEMDTSFRSPLNQATHGRPPAIPGYMAATRSARAKARPTPSPATPTHGRSRSGGGLAGDTSSSGQSAAGQNGGAIAGYSPDSSCTGDWTPPRLGVSTRTSRVAYT >Dexi5A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:5A:8632940:8633532:1 gene:Dexi5A01G0011520 transcript:Dexi5A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDVGHVVCSPCRDKLKDIGKCHECGTATGDYRRCHAMERLVESIRSPCPYAAHGCTAMPAYCHKQSHRQACSHAPWRCPGKGCSFVGSSTVLLDHFTGEHGWPCTTRTRAGKTCRCSIRLNDGFNFLLAELASDGEGGGAATTGSRQRKH >Dexi1A01G0028420.1:cds pep primary_assembly:Fonio_CM05836:1A:34063525:34064123:1 gene:Dexi1A01G0028420 transcript:Dexi1A01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGEFVVLHVQPPPNIAAGLNPAPIPFGGPSGVEVPAFTQAIEAHQRKITQVILEHALQICAEKNVEVKTEVIVGDPKDKICEVTANRNADLLVMGCRAIGPLQR >Dexi3A01G0032680.1:cds pep primary_assembly:Fonio_CM05836:3A:37566820:37567405:1 gene:Dexi3A01G0032680 transcript:Dexi3A01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQVNHRNIVKLYGCCLEVEVPMLVYQFIPNGTLYQLIHRDGPPPPFAVRLKIAHETAEALAYLHSMASPPIIHGDMKSPNILLDENYTVKVSDFGSSVLAPTDNAHLVTLVQGTCGYLDPEYMQTCRLTDKSDVYSFGVILLELLTRRKALTMAAPEEERSLAAYFLSSMRDGMKSRVM >Dexi5A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:5A:9349683:9351782:1 gene:Dexi5A01G0012420 transcript:Dexi5A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRPLMTLSSPNTPEPAERLNPPPPPPPSLSLRPPSSPTSRSLLPCGVSVKRRREEAAGQAEMGARWRGGDAGGAAAATAWWWVAAVVLCHLLSSARAGLLETNPGLAYNFYQKSCPSLDSIVRQVTWAQVASNPALPARLLRLHFHDCFVQGCDASILLDNAQSEKTAGPNLSVGGYEVIDAIKTQVEQACPGVVSCADILAMAARDAVSYQFKASLWQVETGRRDGPVSLASNTGTLPSPFAGFNGILQSFANRGLNLTDLVALSGAHTIGVASCSSVTPRLFNGNATQVDPLLSAAYAKTLMSSCPNATAPGTVNLDGGTPFKFDSSYYTNVLNKQGTLASDAALAQNAAAAQMVQDLTNPIKFYAAFSMSMKKMGRVDVLTGTKGEIRKQCRKVGS >Dexi9B01G0047850.1:cds pep primary_assembly:Fonio_CM05836:9B:46852058:46856514:1 gene:Dexi9B01G0047850 transcript:Dexi9B01G0047850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPAVEVANTPKVAKAATQARDEASCCVSTPPKASVPPDEMRAVARKFADQPIQETEPGVWAVLTAISKKSRLRPQPSDWTSLNQLKGINILLNGDEHCLGRCVEDRFQISDPQISSKHCMIYRDTVLGELNRHEPVPVFLKDTSSNGTYVNWKRVKKNSSPVKLNHGDIISFIAPHNDASYSFVYREVNAISCAENGATALKRKSEEGGSGSKRLKGLGIGSPDGPVSLDDVRRLEKSNADLREQIEAHVVTIETLRTEIKAAQAQHGKELEELRETTSSSYLDQTKSLRLALEEKQKQLDLLSTSNTELQSSIKDLDERLSASKQSRADADEIILSQKATICELEERLSEERNFRREERDKAAQDLKSALHKVQTEAQEEIKRQAESYLRQQREQKEVISKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEARLQDEQLVSVNNQKKSNNLEIELSKLKKELENEKAAREEAWAKVSALEFEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTLMSVDLNKVPIATDDGCLRSCSKNTLEDPSASTQNTQLSEHSSSDEDANMTEQHADGTAEGGSTQGLECSSPERSEERLRSDFHGNSVSAAPEREVADTEQVPETESEAGMVGCDDQRCDNMGGETMPLEDEAQPHENEEPTTLLKDGGQPRANEDPVPTPKDGIDHCSEDKHEDHTESKQDTHVEAIRTADLLTSEVAGSWAVETAPSVNGENESPRSLEDASDAVRQDDDDGAADDLLNLVNSEGQAAGSQNNAADHVTSKKSDHHRVLSAMIEIVDPEFKRQISRSNDEPMSDAETDEGSEEVDTDDDSEEPMVEDSVG >Dexi7A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:7A:17343623:17343853:-1 gene:Dexi7A01G0006060 transcript:Dexi7A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASGLLDHCSPCSFSDDRQELGDDSSSAIVLLEESPVAGSERFSFRSSPLELFLLLRLILGCAVCIHQMFESAD >Dexi2A01G0028960.1:cds pep primary_assembly:Fonio_CM05836:2A:40088192:40094267:1 gene:Dexi2A01G0028960 transcript:Dexi2A01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDARHHHHHLPSKLALALFLAFALAPLAAGDPLGKMCGSSGNYTANSTYQSNIRGLSTTLPKDASTSPTLFATAKLGSVPNIVYALALCRGDVNASACASCVAAAFTDAQQLCAYNMDATVFYDFCFLRYSNQDFTSSPTSDGRGNVLILMNTQNVTAPFKVFDAAVSALVNATIDYAASNSSKRFGTGVEAFRNFDSSNPSIYALAQCTPDMAPADCRSCLTGLTQWAPNTPLLQLPEPVVGAPAPAPAPPVNGTPPTTGGGGGRGRRNGGSYSTDESADLLSLVWEHWTTGTLMEIMDSSLSSLAPRDQMLKCIHIGLLCVQDDPAERPMMSTVNVMLSSSTVTLQAPSRPVFCIQKSGSNSEMYSEVYPGAPHSESRSPISLNDVSITELEAR >Dexi6A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:6A:3537430:3538107:-1 gene:Dexi6A01G0003820 transcript:Dexi6A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGRKAAGPSGEEAEVEALIRAAQDAVLLKLQANSHLVSSSSASASAPIAPVDDAAAAADPLDDDLARRFDALKSRPPAPKPKPVGGVAAGAAAAAAAGGDSGMNELEARFAALKGAAGPEKDARVRLDDLGGESSEDEADEVDKVMRWAMDAARLDVATAGAAGDKAKNVADAEEEEKVEKNSVSSEEDDDDEDERLQMEMARKRKEMAKSKTKIKWFFL >Dexi3B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:3B:8926794:8927609:-1 gene:Dexi3B01G0012720 transcript:Dexi3B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHLAALVTMLIVAAAALSAAPVARGQDASASAPAPAPAAPKTITAILTKAGQFTKFLQLLQSTREAEQITNQLKGKSSSGGLTVFAPPDNAFTALPVGTLNSLSDQQKTSLVQFHVVSQVMPSSQLETVSNPLRTQAGETVPGKYPLNITADGTNVNVSTGVVNATLDGTPLYASDRLVVNKVLLPWALYGPPLPPAPAPAPAESKKKKKKVAPDAVADAPAADEAAGTTTSEAAARRGMRGVGAGSSYVAVAVAAAAVWWWGV >Dexi5B01G0039340.1:cds pep primary_assembly:Fonio_CM05836:5B:38243426:38244460:-1 gene:Dexi5B01G0039340 transcript:Dexi5B01G0039340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQAKEKGSTTQIAPSSEAVASEEIHDTTDDLVSKLPRREGWSEPLVLYNNYWLRPHFAATIMRLQNSNSFKPRHDDIILATNPKCGTTWMKALAFTMSNRSRYEFGNHPLFFRHPQEVVPGIEITLDGGLADFDKLPSPRLLATHMPLSLFPKSIATSGCRVVYMCREPKDACVSRWHFENKMMCSRGHNHIDLESAFSMFSQGFSPYGPFWDHCLEYWKESIASPGNSSKVLFLKYEDMISDPVEHVTRLATFLGVPFSTEEEEDGVPQEVVRLCSFEKLSSLHAVQSGDFGRRGDIVLDKSVFFRKGKVGDWVNHMSEEMGRELDCIVQEKLKGSGLVL >Dexi9A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:9A:5219166:5221772:-1 gene:Dexi9A01G0008840 transcript:Dexi9A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVVRTVRVRNISDLATEREVREFFSFSGEIEHVDIRFDGAATGRTAYVTFKDPKALEIALLLSGATIVDKVVNITPAEDYIYIPVTEQQLLVNELTSTSSTPNSESEYSPEVSSPNSRVYVSKAHDVVTNVIAKSSAIRQDAVNKAKAFDEKHQLRANASARINSFDKRVGLSEKINNGISVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQLAVSNLTAK >Dexi5B01G0031160.1:cds pep primary_assembly:Fonio_CM05836:5B:31978268:31981917:-1 gene:Dexi5B01G0031160 transcript:Dexi5B01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALTMSKDAIPDGMFMCSELYIAALEGRKEEVAGLLSGSSPTTTAAKNGRLPPASRANGTSIYLPNTVHSGRSCTTREVTADRSTLLHIAGGHGHCDLITELCHWDTALLSSTNSSLDTPLHCAARNGHAGAVQAIVRLARSCGEEEGRVRELLGGKNEAGDTALHVAARHGHGETVEVLMKLAPELASEVNGVAVSPLYLAVISRSVRAVEAIVGYRDASAVGIRDNHGRSFLHAAALQGHSSIVSQVIKNRMLENLLNQQDREGYTPSDLIEKSTGFYSMVRLVLKLYVYGAQFRPQRQDLIEKWSGQDLVKWRLETSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNGNRMYNAFLVLDTIAVTTAVMATILLVHGRASRSNKSWLGFIISMHFLWLSLFSMMLGFFTAIAATGDKKSTSIALYRLIYLGLYILIMLLTSLAMPGSFRGVLRLLFGRQRNRLKRRIKRQYPFVVVYAFNMILFIVINNIALASVDTIGNLRR >Dexi1A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:1A:20701722:20705093:1 gene:Dexi1A01G0014230 transcript:Dexi1A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSGRGYNTSTSLSPLLLLLPSVLFICSHSIQLPTSVVYNSTVDGKQFTTFYFTDFDQQQLQQPGILMFSSNASINQKALQITPDSSNSSSFLINQTGRVFFPSPFTLWQFDASKSSRSPDGKYVASFNTSFIFNLFRANVSFKGEGLTFLIASGNDEPPPGSYGGYLGLTNASTDGHVTNGFVAVELDTVKQQPYDPDDNHVGINVNGVRSTYATPLAPHGIQLAPANASTGTYNAWVEYNGTTRRVLVYMSADESKPSAPVLNASVDLSTILLGHKAFFGFSASTGIHYQLNCVLQWMMTVETLDAATTKKASSGLKLPVIVGVACGAFTVTLGLFAGLLYVVTTKKKKRKKDRADTIGLTAAIPGMPKVFDYKELKKGTNDFDEKMKLGQGGYGVVYRATVPGEKGQNLEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNNVLLLVYDYMPNGSLDKHIFGGEDAPVLNWEQRYNVVSGVASALNYLHHEYDQMVVHRDIKPSNIMLDSAFNARLGDFGLARALDSDKSSYTDMVGVPGTLGYIAPECFHTGRATRESDVFAFGAVILETVCGRRISCSSPAGFGQLLEWVWRLHGAGRILDAVDPRLTGEFDEEDAERLLLLGLACSHPNAGDRPRTKAIMQNLTRSVPPFAVPLARPAFTWPVEPAVDGEEDADVTETLYATAATTTVVEVNMELAGEHGAQERAREGCVQEARPDHHGYGLRRPGGTRRWLTWTGVGSRRGSVVRLGARDEEANVIGKLTTL >Dexi5A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:5A:24009343:24011007:1 gene:Dexi5A01G0020160 transcript:Dexi5A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTKFSSCRGVSFELKPSPGSPFALQTNDHYPPQQPPPDAPTAGRWVWLPQSFSRHGSSRIFPAIFARSTSRVSSHFCDLDSDDDSGDDQTVAAIVTDEEMAVVAAAPVAVDHQAPGKKQARSRLGVILLDQGLFTVYKRLFALCVALNAVGLALAATGHFPYASGHASVLAMGNILALTLCRSEAVLRVVFWLAVALLGRPWVPVLAKTGATATLQSLGGVHSGCGVIRDIDVTPRVVVGVASTILGLLVLSCLAAFPLVRHLHHNVFERTHRFAGWGALALLWVFVLVSIGYDPATASYDHLTGAFLVKRQELWLTAAITFFTLLPWLTVRRVPVTVTARSNHASVITFQGGVRGGLLGRISRSPLSEWHAFGIISDNKDTHAMLAGAVGDFTKALISDPPSFLWVRGVHFAGLPYLLNMYRRATMVATGSGICVFMSFLMQPGPAELSLVWVAKGIEANYGEEMKAACGSSERLGGRVIVHDTAVMGRPNVAALAVDAARRWGSEVVVVTSNPEGSRDVVTGCKNAGIPAFGPIWDS >Dexi9B01G0022740.1:cds pep primary_assembly:Fonio_CM05836:9B:17592765:17599690:1 gene:Dexi9B01G0022740 transcript:Dexi9B01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQIGVLKETHQIRLCRLLESKHGVALWNRRAVSARLLHSSDFPTRKGINSPIEYLSSLSGYSSLPSLGRAWAKCQGPLLPSTSTSGHSTNSVGGDSTGTPSKESSPSRRKHVKLQRLAPARRPIWRASAAAKLDPGSLACCHTRGLPAGPPVDSPLHQYCQRWGGTGARRGGAGPGEGGIPVPTPFPEAHFGAKTFRPRSLRIPVPHWAPASRNCTEHLNRHVGFWEAAVSTMRVATSLVAVARGTAGGARVAVAHSHVTPPPGGRQDPGFPSGEKFPPSLPRSGRIPAGICSMAAALYSGDQRVWPNQSPKQLTKKTYMSPRPDSTSLHPPNSLNTTADPPLKVAALTQVVFLPAMRKTGSELDETQRGLVEEVEEVGIELGWSDGAGGEEKRKRIGLEPVEQYLSTRIDPSRSPPPIIRMATVKNMHAGTAARGRSFRLHSDVVSVAPSAIRDHDFEVAWSTAYTLDKALDIEKKEGWSLQAEAGQQKKIETPWPCRAAHASVIQGVRRGPDDLARGASFPQHGRATEHKRCDGT >Dexi3A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:3A:13258824:13259183:-1 gene:Dexi3A01G0017360 transcript:Dexi3A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGYNTVVGERGTQLSGGQKQRVAIARAILKDPRIFLLDEATKSEQVVQDTLNQVMVGMTTITVAHRLSTIQGADMIAVLKDGMIVEKGTHDALMGIDGGVYASLVELGCGTA >Dexi1B01G0023260.1:cds pep primary_assembly:Fonio_CM05836:1B:28911943:28912512:-1 gene:Dexi1B01G0023260 transcript:Dexi1B01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARAAQHDEGDDRHIPRVIRNAIDGARGQPPSAGYGPAVPVQMALAHRWARYEHVVSALRSLANLSLIQQPARENARALLGSLLKHPTPFDAGVRFPEAEVFLSVDHGKFGECVSRIEKALLRVEAATSGFIIRNIQRAASACEEFMDAVRSAAEVATLVLPEEHGKPVLYDRDVFEEDFLLTWTDA >Dexi5B01G0023590.1:cds pep primary_assembly:Fonio_CM05836:5B:25738937:25739566:1 gene:Dexi5B01G0023590 transcript:Dexi5B01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLLLLLLLQAAAFLAAAGASSKSSRAVVFELIHRKEATWSELARSDRERMAFICSRGRRRATETAAWAKHKHKPKPKPKSKAEAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCRSTAALAPAPAPAPEAGYGYGAPAMATSPAAEGRVFRPDESRTWAPIPCSSNTCTASLPFSLAACPTPGSPCSYDYR >Dexi5A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:5A:6028073:6031097:1 gene:Dexi5A01G0008110 transcript:Dexi5A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVATVLQQVLGPEASPHGFALHLMQSVVAFSPFTGGGTTGGGHGVPSPLLFRALSSLNLLLLVGYLLLVLLAKLFARLHLRATATDRTSSWYHGCDDDHYYAEEATDTEEVAAADIVGGQRADTMFWFDEAVFEDSAHLLGDEGKDHHPYTATPDADAACCLQQVVSTFPMEEDSAARISPRNQVVQKHHRADTTDAAETKQQVVVQEAETNGIAAVDVSTVPEQRNEAPLVTSPEKNVSIQGNLHVNKESGSRDEGGEQVRRDAGDRDDEHTAEEEEDEKSISEGQPDVKLFMNSRALVDTRKLLLDGVVAAGAGGGAKLRRKEEDSKDRNGDSSGRFGASTLTSESTSKSSVEWQSSTVTKDYSETEYPFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETGKLAQSFRSIKYQPRSMSERIVHKLTPKPSAPIGLRDPYPDLERAYVAQVCLTWEALNWNYTSFRRHNGGDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEVYARMKNSTPKLLLVPEFRDEDDEKDDLISAVQFLLILEESIRTFMTFLRADKRSHYEMFREMVKRRSSAADQTLVITLKKANKRKKSRLKDLTRPRRCLRRTRLREEEELSILLGLIDLKVVARVLRMPEVTDQQLHWCEEKMNRVRVDLEGKMQRDPSPLFYPAH >Dexi5B01G0030580.1:cds pep primary_assembly:Fonio_CM05836:5B:31494207:31497566:-1 gene:Dexi5B01G0030580 transcript:Dexi5B01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQFPCPMAHARPASVSSRRLLAAASALLLLSAAYFLLRSPSSARPPAAILASPSPTTSFLASLERFLAAAPHPSASAPAPGDLDAAILAQEEARLHGDPAWPAPAAGPLRVYVYEMPSKFTYDLLRLFRDSYRETDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKSVVRVKRQEEADVFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSKRSILLFFRGRLKRNAGGKIRSKLVEELKSAQDIVIEEGSAGALGKAAAQHGMRKSLFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYREVSMMIQTAFNIVMLTSHSFTNLSYSVVVKIALFVSSNDAVQPGWLVKYLRRIDGKRIREMQYNLVKFSRHFLYSSPAQPLGPEDLTWRMIAGKLLNIKLHIRRSQRVVRESRSICTCECRVGNTTRML >Dexi9B01G0037320.1:cds pep primary_assembly:Fonio_CM05836:9B:38792727:38793602:1 gene:Dexi9B01G0037320 transcript:Dexi9B01G0037320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKVGAYWLGQRANKEISSAGDDIESLSTSVSDGAKWLVNKFKGKMQKPLPDLLKEHGLPVGLFPREATNYELAPETRRLTVYIPSPCEVGYRDGSELRFDAMVSGTLGEGRLTEVEGIKTKVLVWARVTAVKADAAKVHFTAGIKRSRSRDAYEVVRGGITVDEF >Dexi7A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:7A:20324228:20325352:-1 gene:Dexi7A01G0009250 transcript:Dexi7A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADAAGGLAAGPASPGAEEAIAAVRDDAYGRPRTPSDGDEEEDYDDLYGDVNVGFIPLLPPSPSPSPTSPPKTPSPGCSILSLSPSPPPRRAPAPEPPPQREPAAPPEPAAPLHQPPRPPPPLPPPAPRYHVPPQTQRTPRGVGVEATLNLAPHGHAAAAAALCGLHFYSDKYTGKSRGICRAEFLNAAAAASAAATLHGRAFHGRHCVASLDRPPALHRLGDDSDSCAEAAPAPNPTRGLGNGGRGATNATTVRGNVGDRPAPPPLPVVPRQSPRPPFGGIMGGVGGYGGFQSIGHYNAGVGTGTVSSVVAPHVNPSFLAASAMAMRGPGVWHDQGMAGSLW >Dexi3B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:3B:2181446:2182474:-1 gene:Dexi3B01G0003330 transcript:Dexi3B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDGQEIASSPPEMAEQRGNGDESFVLHHECAHAAADDCGDDPCISLSAVSTTVSAWDMMRLKPY >Dexi2A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:2A:1560203:1565701:-1 gene:Dexi2A01G0002050 transcript:Dexi2A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKPAPPATSGAEPEGKESRTALERAADAADELYRLRDTFFPRDPAEKAAALRAGADAALALLDAIPPEERKSPQQRGVFEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLSAAKNCFSLALSKGSDKKILCQLSMLERSMAQGSEDQELLVEESINHAKEAVVLDIKDGNSWYNMGNAYLTSFFVGGAWDHTKLHHSVKAYQNAEKDKTMSLNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVEKIISLLDKLENAMKVSKNIKNAPMKVVQRYYLACDLDQSYFMLSVYGLRNDAIKEGDRVVLFEPHYRILDASWKDKRYQFKSIRVDFPEQILINEKAPAPRHVARASIHAHNKP >Dexi7A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:7A:14053016:14055174:1 gene:Dexi7A01G0003930 transcript:Dexi7A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATKKDEAAQAIALPGCPDKCGNISIPYPFGTRDGCYFDPGFYISCNLSDTPPSAAVSGVIMKNGTGYYLANNNQAGEPPGVSNNDSHGYWILGLIDLDVAHGVARVDGPVSYDCGVNDTYHSIGVSTVSVSLSTAFALSSTRNALVGVGTNVEALLSGTISTDDIGGGGANYSAACAAMADTPPAARNGSCLGVGCCSAELSPGIDVFSVSMRRRSSSSGWKKTTPCTYAMVVDKAWYNFSAQDLYGHAFFERMMVTDGVPLVLDFAIRNESCPAEGRPPPLGCRSSNSVCVNASQGPGYLCRCSDGYEGNPYLPDGCQDIDECMLRDVHPDLRNTYPCFGICRNNIGGYDCQCELGTKGDAKNGTCKIVFPLIAMVATFSK >Dexi9B01G0048770.1:cds pep primary_assembly:Fonio_CM05836:9B:47643728:47646723:1 gene:Dexi9B01G0048770 transcript:Dexi9B01G0048770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQPFSPAAGTPPVAPSPISSRPPTEGQQQQNQQVNDHEAGTAAGDEAADRPSSGGNRWPRQETLALLKIRSEMDAAFKEAALKGPLWDQVSRRLEAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGSSSPAAASLAATPVAMAPPATPAVVMGGGAPGPGMVAPAIMHVQQAPPPSTPAAAAAARDAACMMTTPGGDLSSFSSGSSDGEDTAEETGGEDAGGKRKRHGEDGGSRKMMRFFEGLMRQVMERQEEMQRRFMEAMERREQERMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPSVVAPPPAFTLTPPLQPTPVASAGPAHQQPPPSIQLSSPKIHTATMATTTKPAAQPPPTQSSNKQETMMMVRAPVEDTTGGAPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRMGYSRSAKRCKEKWENINKYFKKVKESNKKRPDDSKTCPYYHQLDALYRTKALAASSTPAAAPVAPPAAAGVTTTTVLAAVPLSQTAPQHAGTNGNGIITSSDNGGMQTPPATNGGNGVAANKQEEGGMVTTKQQEAATEPRPQPVSMNDSYVNDNMDSDSSMDDDDDEDDFDDDHDEANAGGGGKMQYEIQFQRQQQQQNASGGGGSGPGPGPSPAAPTSGSFLTMVHH >Dexi9B01G0048980.1:cds pep primary_assembly:Fonio_CM05836:9B:47811260:47818184:-1 gene:Dexi9B01G0048980 transcript:Dexi9B01G0048980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTSLANDTSCESNVTTPPNPIRDASNPSGLLSIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLQYTTTMEDGSLVVCERSLSGSGGGPNAASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEASSVPEVLRPLYESSRVIAQKMTAVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSAKKIRNNSNMEFTFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKASACSLPGMRPMRFSGGQMIMPLAHTVENEELCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDTKTDGVSSSRTLDLASSLDVGSAAPQASGDASHDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSVVSAVQRVSIAISPSQSGLNAGQRMLSGFPEAGTLARWVCQSYHYHLGVELLNQSDEAGEALLKMLWHHPDAILCCSFKEKPMFTFANKAGLDMLETSLVALQDLTLDKIFDESARKALFSDISKLMEQGYVYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLSFCFMNWSFV >Dexi8A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:8A:2799451:2800119:-1 gene:Dexi8A01G0003650 transcript:Dexi8A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHCGKDCCGKDCGNHGDEDFTQSCRRLLLILLTLVLLAGVVALVVFLVLRPTHPRFVLHDSTLHQLDITNTSAPLLSTVTQVTIASRNPNARVGVLYDRLDVYATYKFQQVTLATRLAPPAINQGYGDVDVWSPVLSGPHVPFAPFLADAMRQDVANGYLVMEVRIDGRVRWKVGSWTSGHYHIFVTCPAYFIGGRGGGYAVAGAHGLTFKMPTHCSVEV >Dexi5B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:5B:8771456:8772859:-1 gene:Dexi5B01G0012390 transcript:Dexi5B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLETKSAAAARASPAAAAKSATAKAAGLSEAIAPVVASKEEMLPQASGGEEEVEEEVEEEVEVEEEVEVEEEVEVEEEEEDNDEGEKDPASIHALLDSFPKDQLVELLRDAAVAHRDVLSAVRRVADADPAQRKIFVHGLGWDATSDTLTEAFGPYGEIEDLRIVTDRNTGKCKGYGFILFRHRSGARAALREPQKKIGNRNTACQLASVGPVPAGGAPNNPVPAPAQLQLPPVSEYTQRKIFVSNVGADIDPQKLFQFFARYGEIEEGPLGLDKVTGKPKGFALFVYKSIGSAKKALEEPHKHFDGVVLHCQKAIDGPKPNKLAGPGGFYGAGASSGNKGLAGYGATVHSLPGNVGIGQPMSPLAPSLASLPGGIAAAPGMDPALGQALTALLATQGAGLNLNSILGVGSNGTGVPPQGASGALGGSGVPGMQGGYMGGYGGSGGYGGAPSGGPGRNYMGH >Dexi9B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:9B:1945331:1953897:-1 gene:Dexi9B01G0003400 transcript:Dexi9B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLRRFLNLVTMNRKDGIYSLRRIDLSRQHLFYPTPPPPAAARQDLKRSSLQQIERIRIPAASIICTDNSGLAFLYDAVFRSVVTMPSLHSRKWCPISFSVPGPDEDGGPENDVPGSCLYIMEKFMEKVTSAYQDQGQFEGFIHTSSETSFRKSWYRHSLPPPPYVLDPGYQPAGIHSQAVLGGGSHLCISALGHGTYCFDTASREWSHAGDLMLPINGMAEFCIVKFFETMQVGTYCFGEPVVDDIFAVFTGVELLPSRAKGDKLNGNGKCKWRRGIRMVQHMSKVHVLAGYTTIEVVF >Dexi5A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:5A:9246369:9246633:-1 gene:Dexi5A01G0012320 transcript:Dexi5A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASTRACSRLASPHASSSAGAASAAALASSPLLGSGMSATERHPAPPGAGFGDTGRSCVAEDPQPRPCTSSGESRTAS >Dexi9B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:9B:5832233:5832642:-1 gene:Dexi9B01G0009510 transcript:Dexi9B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLLSLTCILLLSGLVVFGEMEVTAAAPEPCPVVCIQGGYITCDNYPCQKLDGCVCECAPKDGINCVLHLLTTGDSFKCPAQA >Dexi7A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:7A:12103803:12105554:-1 gene:Dexi7A01G0003130 transcript:Dexi7A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGWVQAAAAAEGKGVVGETAVTLEELRQRMADFARERNWDQFHSPRSLLLAMRHKDQRSFLLVVYYLKLCVGEVGELSEIFQWKGEVPRGLPGWEARETEHLGEELADVLLYLVRLSDMCGVDLGKAALRKIGLNAIKYPVGKPHNTSSKKFACCSGDAGGKDADGEQC >Dexi4A01G0023490.1:cds pep primary_assembly:Fonio_CM05836:4A:26555739:26557020:1 gene:Dexi4A01G0023490 transcript:Dexi4A01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPTDGDEPLATVGYLWRNVLTVHGNPVRRYIDYSNAIDCLSHCHGQQVGRFANESLATLSVPIGDPKEASRLRAFVQRFHCECRKIAFKLNCMASRDPHEHGAPSSSGTRAASTSRSSEL >Dexi9B01G0030230.1:cds pep primary_assembly:Fonio_CM05836:9B:32717399:32735012:1 gene:Dexi9B01G0030230 transcript:Dexi9B01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAQRKEVAVETSEVMELRFRPIAPKPMSRPPPSNMLWQAHKRGRQDYPVPSPVPKRERDAMSYPPPVWCPTGTGEVPMVTRGWCMPESFLPSCEEHLRRLSLEGSSASAWVPPLEVRPSFPVERDFISKLQVPKVIRPRAARPLRTSINIDCSNIIDGTTSKVGVVKSKKTVMEVVAEMELPHTLPTIVSGYHNNHVHITNDAYKAMVGQLICPWLDFVPGVGASRRINGDVVLSVRSFNTGPRLANPRSAFPCTARISWEREDATASLTVPCAVKHLAENPLDYRFIWKFDRSRASVIRSAGNPAPVSLLSSRDRRGRFPFHLETAVSSASAPCPAGRRRRLQIYVIPASQPRSKTHPGKAQSRFSWFSQVASLCSPTFAFLPTRRPTSPLFLSPPNLFAPPPPKHEARSPGLVSPTLGVPVLELVAGLSVRYQIPTAQAGPWVGSGMDDAADSSALLRRVEELQRERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTAALEQDAENLQKKLGGCLRENQNLQEELAEAYRVKSQLAELHGAELSKNKDLEKQVRFFQSSVAQAFAERDSSLMQCEKAKEREEAVLKKFADFEERTREYQSAIDDQKHLNDDLQRKLAELKVQTESSLKASLEEERESLKAKMAKLQSNLRMGLEIEQHLQRNARILEKRQALYDGFLRNGLSKLQTFYKCQRAEIVKVLEEESSRLSKVVAEIQDKLNEICINTEVSEHPVDVVQCCDSSCKDVHVTTDISPRTCSSLKNDPPVDADSISFDVSKALAQALQEKMEALMLFSQEQERYLLEKQRDQIVIEDLRKNLSQVKDEKVKILMELAKLKEEQLNGSAVKEHRDTSDSSKVTPGHDQQGMLKTMLKKTSLRHWMRKESNNIGHGSSDGNDHTVCKEHLVDIARLRIENATLLEGVGTVERLTSSVHRLHIVLLKAYDDVKSAGSLESTFEALSSLITEANLMKTALSVVLPVSWSGDSSDAITYEALCDPSDSPKSKSDKVDALSSVGMEMVELLIFAAEVLKESFLMKK >Dexi5A01G0033280.1:cds pep primary_assembly:Fonio_CM05836:5A:35616310:35616722:-1 gene:Dexi5A01G0033280 transcript:Dexi5A01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPCSFGLPSAAPAKRSAMAASLRASSSLRSRLLSSSSVCSPWRLLLSSPVHTEAPHQAQTLAFDEIQLSPEKPPTATAFVLHGLLGSGRNWRSFSRTLASELHNRSPSDGIHWPQTFFMQDGANFP >Dexi2A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:2A:4981333:4985649:1 gene:Dexi2A01G0005220 transcript:Dexi2A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLACTRLCYWIAVSESINGACEKGCACRFLVHKQWKLEEELDGWLAAAGLTKSPEVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRASVYCTPIGDLPVDQEVIEELNATGKFEFMDLRVDEAEHSMEMHLPYLSKVFHGHTVKVVPILVGALSPQSEAMYGQLLSKYVDDPNNFFSVSSDFCHWGSRFNYSYFDKKHGEIHQSIEALDRMGMEIIETGDPVAFKQYLQEYENTICGRHPISMLKHCSTKIKIGFVRYEQSSQCKSYRDSSVSYASAAAKVDVSGEEEKKD >Dexi1A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:1A:4720041:4720350:1 gene:Dexi1A01G0006310 transcript:Dexi1A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLRDFAKDSIRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Dexi4A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:4A:1815286:1818382:-1 gene:Dexi4A01G0002650 transcript:Dexi4A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTPASPAVTSSSSVSRIFEPRTLEDDFHLYEKKDEIEATLAKIEGIYTRVKLDKELVLGNGFCFGLLDPVTNILVNTVISKAKSSPAAAAAAPPPPADGGRRRGKRKRSPADRDMNQRSHDSLIAFLTCLFPYLPNAEARAYLYAADLDPLVAALLVVDHRRMRRFGFSSGITVAAVEAALRCAAVAANHPDPSRLVLAWKLLSHGLQKFVSEIESINKSDTATVARHVLSMVNFNGASSDTTKLELKEPWELAERRLHDNNTIGKELDLVPPARGAMKRMLLATIHGFYLQALARLPTAELRSRYHRSMLEGGYCYGPLDPVSNIIVNTVWYDQNFPASKQVTLDMISTTCLWRVAARSLYGLVSFLCTRYQNMSPDQALQQLLVASANLQVADPNLFDDVPDKDSKLRCSADTDRMQTGQGSDGTCEMQREAVEGSTPSASVIEGYAAAATAAFHCNPLAQKEFLGSSDAVSKLRVASEVLHLQDGHPLSYQDLEFLSMSLLKCSSSTSKSCQEEDLAPTKIKKSLYSYIAQCSYRFWGQHERVTTMVKAALDKFNETLEDRPFRLHIICGANEFVSGPEPSMDGEVGGYNPWTRHKYYHTHINFLAVCEGHPHDPPRLFFAECGKDGDDTCWCIPTTPQKPDAEQVRCIYCEHRGNRIVHPAIERFHGRDEFDKLFYLSPMEEFYSNNEIITDYMVSVDKVHSLEDDAIYENCCVDDEDSDSDAYYWIQIS >Dexi7B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:7B:22031232:22032485:-1 gene:Dexi7B01G0015990 transcript:Dexi7B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLGMTTGPNTSGVMTTNPAAPARFAILAFSVLMTPPASQMMIFPVTFCASRTPSLAHVPSTSCIRGFGSPMVVFGSLSDSPPSSDLSPSTTETENLLSLVLAPTVSSHGASLTRVAGLGPVFPAAAQMNTPLRIARDGVVGEVDGVLVPKRQGEDVDAVLDGVVDRRQDVVTVAALLLVAHLVEGDVGAGRHAGGRAEPVAEDAGVGYERSGRRARRVRAVLPLADREHRVAEPLPFPLGRLEVGPGADELVVAHRLVEVAAAFPLGRRRLHPGAVEGRVAGVDAVVEDADDDALAHVAGVPQAAAAAPAHEPEHLRRVRGEEVVRLLGEGAVEPVHLGHLLELLRRHAGGEAVDDVAMRRVMAVGSASSVSLAESHTDARSDACQLDTFWCSLLSYSYRLRTMTR >Dexi9B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:9B:9109173:9112811:1 gene:Dexi9B01G0013570 transcript:Dexi9B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLAAPIPSNSLFPKSKQRELCVSAAPRFDWHPPNPRSPSPPLPAVVMDDDHDQDTDPPSPAAAAAGGRCPCCSSSSRAVPWRRSVKRKLGAEKGHEGEEGDEDGEEGSTARVKAEDECAALREAVAAAQSTASALQAEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDQLRALLAQRARRLVRLRSRLREYRLEFLHLGIPLPEGEDLVAQNAQEEEEDLLLLEGEDGYADDDGGYYPELRCHNGEYYYEDGQEDEDAVALDLESRICRLEHDQETHLLEPPLEEEEGTHLYADEALPELSELEQDGLYASEMLPEEAVEERSQLYNDDEDLPESPTAGFSRGEEASETDGAGSASGSDRVYTIDKVHQGVSAPVARVLDKYQDEAVEPDIKKLYMRLEALEADRESMRQALVAMRTEKAQLVLLREIAQQLAKDGAPAGSGAGVRPRHTPGKCTVGILERRFTEDKKAALVKTFSMAALFKWVLTLFGKKKKLPQTRYTSGLSSNNVGLLLLLDKYPRIQKTLTRTK >Dexi2A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:2A:6695308:6696109:-1 gene:Dexi2A01G0006940 transcript:Dexi2A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATGAGDDEKPYLASPLLAPPQPPQQPYYAYPAAAYAQPPPPPPPPTLVFVPGPCSPVLVRLRRLRPRRRGRCCTRTLPLVLLLLALLAAGAFLVYPSAPAARVGGIQVDRFRVAPPVLDLGLALRLRVLNPGFVLPLRYRAVSAAVSYRGHLLGSAKAWPESGELAARGEVYADAEVWVDAGRVLDDVVDLIGDVAAGSVPLEIVTEVVGSIKVFRFHIPVKG >DexiUA01G0004870.1:cds pep primary_assembly:Fonio_CM05836:UA:9015873:9016277:-1 gene:DexiUA01G0004870 transcript:DexiUA01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTSDSIASPRWGVPFCGVRSSGTPRTRRLPSSSGGHGCGWPPLDRVAGWVAGGIAAVFFASLERCSCVNVRTDDGDDLLDDEQRDSEAPLMFDDGNGGSTAGAAGVGRRRGGWRSDKGKRSGAGMGWYGDF >Dexi9B01G0039400.1:cds pep primary_assembly:Fonio_CM05836:9B:40110024:40114509:1 gene:Dexi9B01G0039400 transcript:Dexi9B01G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAVPAVITKVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGAPEGAAADSAGDSERRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSAATLPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGAESSNIGDHGGFAPNISRQVNQVGTVTEAIEVVKQAKDAHWGVMVSHRSGDTEDSFIAELAVGAAAGQIKAGAPCRGECLTKYNQLLRIEEELGSDGVYAGENWRTASTS >Dexi5B01G0032690.1:cds pep primary_assembly:Fonio_CM05836:5B:33282048:33284708:-1 gene:Dexi5B01G0032690 transcript:Dexi5B01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGVLFSSASLSDAPRVFDEMAEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATTFGQLWRLEPLPPEKKAMWRREMDWMLCVSDHIVELVPTWQSFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVEQGIAAPDCDGSASFRSAFHRRDEKWWLPVPRVPPGGLRDKTRKQLQHKRDCANQILKAAMAINSNTLAEMEVPESYLDSLPKNGRATLGDIIYRYITSDQFSPECLLDCIDLSTEYQALEIVNRVEASVYVWRRRAATKPVNGLGRSSSARSSWGMVKDMMVDTEKMELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIMTRIDDLLNIDELNRHAEHFSATGDEDCKIACSQAAVPSFPVPASGTPFMTAYATPSLSPAQLASPSKKERTSLTPGRRSQHSRSTTGAKKSLTDRIGTEVKGMIISSGMTIDVSTTTEL >Dexi2A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:2A:1351589:1353564:1 gene:Dexi2A01G0001860 transcript:Dexi2A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPELMDELVEEVLLRLPLDSPAHLAGAALVCRRWRRLVSGSAFRRRLRDLHRAPPMLGFLCNLRDACLTHLARFP >Dexi1A01G0029140.1:cds pep primary_assembly:Fonio_CM05836:1A:34670243:34671883:-1 gene:Dexi1A01G0029140 transcript:Dexi1A01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFISSNRRQGAERTPHEPVLIAFGAPPEGAHLLEEHLAAAGALLAGHEAHAAASGARVERNALAAGARRRRRHLAGLQRLGQLAGLVHPADLLGSAQVPPVGEHLREPARCGGATAQRGVELVLEPGVHRQVALVDAHAVAVEDGPRGPAILITTFRPLSGGGGGGGGAAGGASLALASFASILCWNALTRARTMPGNLCISRFSRLFTVTPVSSPAAASGCFLAHSSMGGSSGGGDISSSAFRNAAMTSGSNSGDPGVDFSIRPSASASLSSSLSSRPSMSSHTLITSGSRTGVLAGEPDTCLCRLTSCCCFSAAALAAPTPSSRHFIISSSLSRLSDPRVDDLSATVWCTPPVAGPEQLRAYGDRPPPRTPPRSACLDPVHPIGHPAAVATTLYNPETRHQSAELNVGAGALLGLALDHPEQQLGQEQVLPAERKEQGR >Dexi6B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:6B:26383132:26386450:-1 gene:Dexi6B01G0019740 transcript:Dexi6B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRQLRALISNKGCAPIMLRLAWHDAGTYDVKTKTGGANGSIRFEEEYSHGSNAGLKIAIDLLGAPHLRDIFYRMGLTDKDIVALSGGHTLGRAHPERSGFEGAWTQEPLKFDNSYFVEMLKGESEGLLQLPTDKALLSDPEFRRYVELYAKDEDAFFKDYAESHKKLSELGFAPRGAASTKLDLPTGAVLAQSAFGVAVAAAVVIAGYLYEASRKAK >Dexi3A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:3A:347275:349450:1 gene:Dexi3A01G0000360 transcript:Dexi3A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEATPKMTVLGSPVGLRSILNSLVAFSIIVSFITFLFYQGQERNMPMAIEHEHQEMQAKVVAHHEQLRVKEAQVQLTAELPNDSKEECNWSTGRWVYDNVYRPMYSGLKCAFIFPELSCDRYGRKDVMYQHWRWQPHGCDLPRFNATRLLEKLRNKRLVFVGDSLNRNQWVSLVCMVEASIPDDRLKIRVFNGSLISFKAFEYNATIDFYWSPLLVESNSDDPIIHRVEYRIIRADKIEKHANAWRDADIIIFNSYVWWRKHKADMRMKVMYGSFEDGDARLEEVEMVDGFEIALKKLTEWLGDNIDKNRTRIFFAGSSPTHSWASNWGGVNRNKCLNEKEPIYKVGYKAASTDYSMMEKAKSYFGTLEQKGICVEILNITELSDYRKDGHPTVFRKQFAVLTKEQMANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >Dexi4B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:4B:865860:867471:1 gene:Dexi4B01G0001450 transcript:Dexi4B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPLLLLLLSCSSPAVLGADEEHGYTVVALSSLKAHAACSGHRVIPPHNGSWVPLHRPLGPCSPLFSGAGGAEPPPSSSSMAELHRQDELRVDDIHRRLSGDVGDDKQGSDKTPMSTQVSQLNSQSTIQANVGSGGGSATTTMSSDDQLAAATGGGLPGVTQTLVLDTASDIPWVQCSPCPVPPCHPQTGIIYNPSMSSTYTAIPCNSPACLQLGPYANGCINNQCQYRVVYPDGSSSSGTYSSDLLTFDPSNSISNFQFGCSRAVQGGSFDPRTAGIMALGGGPESLVSQAAGVYGDVFSYCIPPTASVKGFFVLGVPRVAATRYVLTPLLRSPNVPKTFYRVLLKDIGVAGRRLNIPATVFAAGAVLDTRTVLTRLPPTAYVALRDAFRGAMGMYRMVPPKGPLDTCYDFTGVGVVRLPKITLVFDGRNAVVELDPSAVLFNDCLAFIPNGNDAMPGILGNVQQQTIEVLYNVGGGLVGFRHGSC >Dexi9A01G0031610.1:cds pep primary_assembly:Fonio_CM05836:9A:36595361:36598948:-1 gene:Dexi9A01G0031610 transcript:Dexi9A01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGLPPDLIDDAMAEILLRVPPDEPADLVRASLADKRCRRILTDPNFLRRYREFHRPPPLLGFFHNHPPDDVGSMPRFVATTGASPLPPPEFDGEEWWAMSGRHGRVLIDVGDTGQGLVVWEPLTGDRRRVYLPDSLHGTLCSPTGLGVMFVGTFVGVYTIEVKSGKMKRIGDPGVFYPIVPFMSFCTPGRARAAPHVEDRHLTAAKVKLSSFSWEEEALVVAVIAVTKKLQMVLHNGTDAPVVVAVGHGHSLVVELESVWRK >Dexi1B01G0027980.1:cds pep primary_assembly:Fonio_CM05836:1B:32637320:32639398:1 gene:Dexi1B01G0027980 transcript:Dexi1B01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPKHGTMLHAHVALALAALVLAGDALHPALAAGGGFNYKDALTKSIIFLEAQRSGKLPPNNRVKWRGDSGMEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALAFKPELESAKEMDHVHEAIRWGTDYLLKCGSRKKKLWVQVGDPNLDHQCWVRPENMKAPRTLYEIDEKTPGTEIAAETSAALAASSIVFRNDKKYSRALLNKAKLLFLFAKSHQGSYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADYINHEAISSSVAEFSWDLKFPGAQVLLAEFNMTSGGGAQSYKSQADNFVCAVLPDTAFHQVFITPGGVIHLRDGANSQYVTSTAFLLVVYADLLTRMGQTVLCGSQPIRPERLREFARQQVDYLLGANPRGSSYVVGFGANSPTQPHHRGASTPVLPPGYDVNCGLSFGEWFAPDRPNPNELTGAIMGGPDKNDGFVDKRANSSYTEPCTYINSLAIGPLAALAVRGANLVATH >Dexi3B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:3B:4220954:4222070:1 gene:Dexi3B01G0006110 transcript:Dexi3B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATTRPRKLSRRCEHASLPLDLLLEIAARSDPATIVRCAATCRELRRHIAGTDLRGRLRLSRPDRFVTSLLRGRLLVHSYNSEVSLVDNATPDATTTLLNAAACFPSTSVKSCKALAARDGLILLQAMGIRRPCVYCPATCYTQALPPGPWPNGQHVLLVGAGGEGGLAGRPFQVLNVTLRHDGHYLQIQKFSSEKGTWVPCQGIAFPRTKYGNEMLLSEPLVVGDVVRWLCHYRWTNRYFVVRLNVSADGDKISLTELPKGFHRACTSFNGGISHMVLATAAAGSRRPIVLVASDDHDDVISVWAQSKRTGDWKKRPDLIVDCEAMKGICTLGSVSPRKS >Dexi9A01G0034870.1:cds pep primary_assembly:Fonio_CM05836:9A:39572103:39573714:1 gene:Dexi9A01G0034870 transcript:Dexi9A01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPHFSSRASAGTSLASLIPLQIWWLAAERLLASGFDLEVDLSDVLWAFGYLVLGANEQEGNGKAQGLFPEWVPTLALSGILVYIGAYSIGMGPVPWVVMSEIFSINMKATGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVAKLVPETKGRTLEQIQDSLSSRR >Dexi1B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:1B:9042733:9046627:1 gene:Dexi1B01G0009700 transcript:Dexi1B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELHCFLLHKNRPESAFPRFKTVSTDQTQPIGPSLVTAIVESIISSFTGPASSEAPKIMESSDVVLVTGATGGVGRRLVDVLRKKGIPVRVLARNKDKARNMLGPDVDLIIGDVTKEDSLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYSQGIKFFEPEIKGPSPEMVEYIGMQNLINAIKNSVGLNEGKLLFGLKGNLSGNIVWGALDDVVMGGVSESTFQILPTGSETGGPTGLFKGTVSTSNNGGFTSIRTKNFGVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTASFDTTKGEWQSVKLPFSSLRPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKVSREEVARICVAALASPNAVGKTFEVKSTVPFSEPYVIDPSNPPPEKDYEMYFKELKEGITGKEALEATPV >Dexi6A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:6A:8411361:8415246:-1 gene:Dexi6A01G0008170 transcript:Dexi6A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPTKGGTKKSQGPSTKVGPKKTQDPPLHPYEQQRLSRCIQNSARLQQLGIPTIRTIFEDAAAISRDKNKKKHGNREDSGSEYDPVQDDTSEDDCIEDGSEKVCLHDEGSLIMCLCFLEDGDDDIARSDGHNHLSSEEGGGNNIGRSDGHNHLSSEAVGDVGYNDDNTMFDGPDAITLPAGDNQMINEESVEAELEVQRMANADLQSKMDDMSKKMQETEDARRRDQEELKEMKKKQAELEAALQRILTQN >Dexi5B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:5B:9954620:9955929:1 gene:Dexi5B01G0013730 transcript:Dexi5B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAGEQLVCVTGGGGFIGSSLVMELLQRGYTELMAVAIDGTKNVINAAADMGVQRVVFTSSYGAVHMNPNRNPDQNFYCYAKTVAEKTAMEEASRRGIHLLVVVPSLTLGEMLQPKLNLGIELLIVSYMKGKKAYPNTVSGYVDVQDVARAHVLVYEPPLRMDATSALAQWYTGRSLFK >Dexi2A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:2A:8949969:8950649:1 gene:Dexi2A01G0008870 transcript:Dexi2A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLDDDCCCCGGCYDTFCDRCCPCISYDTREAIFGICICLLLLSAVALVAVLLAAYGFIRHVEVAVEDASLTRFALLTSPATAFAYNLSLTLTVRNKNWAMSIKNTQPMEADYNFDGQRFDRVLLAGEGDVLPAGKTRVYHLVSGSGGAYVALGNAGEVEFGKENATGMFQVEVALAGEVRYQAHITKCSFAATCPLTLQLAPPGTPAVVFTKVKCKLAKPDKNC >Dexi8A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:8A:26794701:26795780:1 gene:Dexi8A01G0015700 transcript:Dexi8A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASSMGSAGVPMTASSIVADAVTGSHVLKIEGYSRTKGLGNGKSIKSRAFDVGGHRWYITYYPDGYGSDNAGWIVFFLILQHTDAKSDVKASFKFCLIDEMGEPVQSYCNAWSEIRPFKVNGCYQWGYSRLIEKAALEESGYLKDDCFRVRCDVMVSREFCLEDTTQFVKVPSSDIHQHIGNLLSSGAEADVTFQVGEETVAAHRLILGARSSVFMAELFGPMKEKHASHIQIHDMDPGVFRAMIHFIYTDTLSDMDKGNTFFMAQHLLVAADRYDLQRLKLICEDKLCNFISSSTAATTLALAEQHGCKRLKEACFKFLRSPGNLKTIMDNDGFKHLTSSCPSILNELLANVAP >DexiUA01G0014490.1:cds pep primary_assembly:Fonio_CM05836:UA:30594556:30596538:-1 gene:DexiUA01G0014490 transcript:DexiUA01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGPPGLFSPSGLYTHRLCPNRPTRAMRPMVGSKTICNTPSPKVAPPNPSHPAYTFVLASSGRSGARQGHCSRPEELRV >Dexi5B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:5B:22660168:22660593:-1 gene:Dexi5B01G0020450 transcript:Dexi5B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKPLTAFSDSRPARTRSSLARREPKRASTALAAATTRSSLARREPKRASTALAAATTEEDPAAYGTLEGYAMWVATGVASAFFASVERCSYIHLYTTEDGDEEDLEEARDRPLMLSRPQTLPEYYYDRSGSSASFAKM >Dexi7B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:7B:13819180:13820075:-1 gene:Dexi7B01G0006200 transcript:Dexi7B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHRQPNDERVPHEHVPLWACLEHLRCGLDATTLCVEINEPPRQHRGKSDIVWPELLFPHPVEQPHRSLRRTSLGAAGDYRAPRHGVRRHGHPLEHLPRGADPAGAHVRVHQRVVGDDVPGGGGHFVEHPARGVRERVPGVERDERVGDVRGREEVADDRDGVEGEPEREVAAAGGGGDGEREGVAGGRDGEAEGGAEEEEEESGGEEGAPREAGVGGEEERVGPRVGEGEVAGDGGERVRREEAAQWEAAARVEEVGQGVGG >Dexi6B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:6B:1592319:1594268:1 gene:Dexi6B01G0001830 transcript:Dexi6B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRWINDNMHLPCLSCSSLHRVLLCSACSGVSSFIHVFLQFSTGPVCGRCPTPPDLDRGLALSAPSHAAAWRPAAADCCHRDPPPPGPATPCHLRLAPPGAATNQHLPPPPGTALAALASDTRPPLAAPTARRNRSDAHTADVVHLVAMDSSGCPIWIDPGNAFRLVVKVSKYVADGEYGNVEMTDQEHDLWFDRTELFTLEKSHDEMATKII >Dexi9A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:9A:1641339:1643626:1 gene:Dexi9A01G0003150 transcript:Dexi9A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPSSSLLLLVVASAAALSFAPAAARIPGVYGGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGQSCGACFEIKCVNQQGWRYCHPGSPSILVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGSGNWMPMSRNWGQNWQSNAILVGDALSFRVTGSDRRTSTSWNVAPRNWQFGQTFEGKNFRV >Dexi2A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:2A:32578239:32579294:1 gene:Dexi2A01G0020470 transcript:Dexi2A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLAVLLSLSALAASTAEAHEERLVGDNVVLLTGRKWLRGRKIMAALGHGGAAAKKDEVVEGKEAKSTGANTVHVHDEEEKTVEVTVVGLGVASQEADPPADAVHDSGRKSKGPAAHTMFAEPRQGVTAAVAPEILGMDYSNYNLGAHHHRPINNDAPLDDLAKKP >Dexi5B01G0037150.1:cds pep primary_assembly:Fonio_CM05836:5B:36730546:36734815:-1 gene:Dexi5B01G0037150 transcript:Dexi5B01G0037150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSLKGMISAISWVPRGAAKNVPVVVEPPTQEEIDEAIKTMALGGDSGGSDAEEDDEAGTMELDGAEAEEQEEEEEELDEVARAKAVAKALAKGSGKADDVAEELAELNMDEYDDEEEGIEIFSSGMGDLYYPSNDMDPYLNNNDDEDDDDEEEIEDKVIKPTDYLIVCAHSDEDIFSLQASLPVCNISGFARNYNGGAVNILEETDDGEQNMFVHHDVPLPAFPLCTAWMDFNLKGDDKGNFIAVGTMDPAIEIWDLDMVDEVQPHMVLGGLSKKKKKAKGKKGKKYKKGSHRDSVLGLAWNKEVRNVLASASADTTVQSVAWCPQSPEVLLSGSFDKTVAMNDMKDGGQSCHKWSLEADVESLAWDPHNEHSFVVSLENGMVQAFDKRTASSSSSGRSTYTLHAHEKAVSSVSFSPTAPNVKLWDLSNNQPSCITSMNPKLGAIFSVSFSHDNPFWLACGGSKGKLKVWDTLTEPAVAHKFGRQK >Dexi8A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:8A:17787693:17788283:1 gene:Dexi8A01G0010480 transcript:Dexi8A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTHERRRRRGPWPSSVVVSDGSGERPSEGGAASRAASNTVGAACGREEGDRSRVGQETHSLSAASDRRRSRRAARGSSPETVVVAAVEPEKEEEDGKAKRKEEEWRRGLTGARRCDARRREQGLAAGKGCGARRMRRRRGRKKVAGNEAFSREKKNERRSTDLGLISNYPAKHVLGTNLKAIPTVVSEGSPSP >Dexi6A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:6A:26043138:26046764:1 gene:Dexi6A01G0018310 transcript:Dexi6A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNIPYLASSSSSSFFSSYCSSTCRGRRAGKLFLTASAAGGRSADDLAHSQLFEQENEGALSGPRSSWHYKRREFTSAIFLPFLLPHADIASAAEAYDGSIIRSGVRNVLTKAKAAGVLRLVFHDAGTFDVSDKSGGMNGSIIYEVDRPENTGLNRSIKILGKAKAGIDDIQKVSWADLIAVAGAEAALELSWLYPFSLRRTADPAGKLPEETLDAAALKLAFSTKGFSTQEMVVLSGAHTIGGKGFGSPVIFDNSYFKVLLEGKPQTSSSGMEAKAMVGLRTDWALTEDDECLRWIRIYAEDQARFFDDFRDTYIKLVDSGVTWRTA >Dexi9B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:9B:6787493:6791195:-1 gene:Dexi9B01G0010790 transcript:Dexi9B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKVRVPDSPARFLFALGSLRGGLGRAMIGLVDGAGQIELFTHVPVLRNFVEHLKRKNFNICAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLDPNAQVLLSQLNRQMAPRFGKLNKCLAELVDDYSMVNFIPLDLRKESSIQYVLSSIDSCIQYGEDADVKIRDFDLPEDDD >Dexi8A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:8A:20277245:20290993:-1 gene:Dexi8A01G0011520 transcript:Dexi8A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAPHLRCLLFLLLLLLLLHAERMSNPRPWCGGEREGGSEEGDCGEPFGGEAQPRRAQKNQAITNKGPCPTSYTGELGQGSMVAQRRYATLAEESPLHLYDEMRLRQCMQNNSRLQQLSIPTLARFFCNKTASPLGKNKTTREDSEFEYDPLQDDIAEELIDDHAAKGSKAKTSKNTKKQTSGAVDGVRCFFLDDEGDAIARSDTHIHMANKDGFDPHDDESNMAAGADVITNPAGDNQRTDQAQLEREKMENAELLSIVNNQRKQLEEADQARIRMEEMSKRCADLEAKLFLVHVHI >Dexi3B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:3B:10033372:10034867:-1 gene:Dexi3B01G0014000 transcript:Dexi3B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVVLVMALVAATAVSPSCAAWELNIRMPTARAVEEAASRLVAESSDAAAPLIHALRPLVGSGGELGRRGGVRCDSWRLAVEAHNKRDWKTVPADCEDYVGHYMLGDHYRRDSRVVVDEAIAYAEGLKLAGKGKEVWVFDIDETSLSNLPYYAEHGFGFNAYVKEGTAVALPETQRLFKKLVSLGIKPVFLTGRSENQRAITAVNLRRVGYSGWEKLLLKPVGFKGNAIGFKSGERQKLHDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >Dexi2A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:2A:6672290:6674399:-1 gene:Dexi2A01G0006910 transcript:Dexi2A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALGFVMDLRLLIHFFFPDCSVELRASAMAAGFAVSKSGAADRPQFKGRITWYVWICGIIAATSGLMFGYDIGISGGVTAMDDFLVRFFPSVYARKHRAKEDNYCKFDDQRLQLFTSSLYLAALAASFVASRICTRFGRKRTMQAASVFFLAGSGLCAGAVDLPMLIVGRVCLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTIGILVANVVNYFASAVHPLGWRYALGGAAVPAAVLFLGSLAITETPTSLVERGKVDAGRRTLEKIRGTGEVDAEFDEIRSACDLAAELNAEERPYRRLLRRESRPPVVIAVAMQVFQQFTGINALIFYAPVLFQTMGFKADGSLLSAVVTGGVNVVSTVVSIVLVDSVGRRKLLLEACAQMLVAQVAVGGIMVVHVKADDPGSTMNSAWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLETRTAGFSLAVSSNMLFTFLIAQAFLSMMCTLRAFIFFFFAVWIVVMGTFVMVLLPETKGVPIDEMVERVWRRHWFWKRCFGDANDARVNNC >Dexi8B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:8B:24508266:24508706:-1 gene:Dexi8B01G0014140 transcript:Dexi8B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGYYHYLLVILIGLCIIATVLGALLPESFPVDLASGTAFTLYGPMLPKGCARDVDGHIDCHFRAAQERAEQLANFQLFGLVFLAFVYVLVCYAVAAARYGHPDLKVMHDEHVAAMECQGDASTDVREEGVM >Dexi3B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:3B:5392161:5395596:1 gene:Dexi3B01G0007620 transcript:Dexi3B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASAGGGPGDEYKREESVALLVIVSLAALSLLSLIAAFAYYCYITRKVSRRQQSLSLPKRSGSGSPPAPPPRGPPPPPPHHHQQQQGKESPSSNSASDGAGAAAMAVVVAGERGVQVFSYRQLHAATGGFGRAHMVGQGSFGAVYRGVLSDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNRALEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSAQKACNPNVQASKPLD >Dexi3A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:3A:3458907:3459270:-1 gene:Dexi3A01G0005350 transcript:Dexi3A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLRSSASLTLPATECPALTPHPAREELIRVDRAASIALGGLAAARRSRRGPCSSPPGWFWLSEAEKGKGYAERRGLSRRLPVSLHAVLRDLQ >Dexi1A01G0030170.1:cds pep primary_assembly:Fonio_CM05836:1A:35494810:35496891:-1 gene:Dexi1A01G0030170 transcript:Dexi1A01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRWSLAVAGFLLASRTPNPNPFSSAASAFSFATAPTTARVLQDDLSRRLLRIRFRAPRGTAAAAVERWAGKRDRVSLPELRSAITLLRSTRRYERALEVFSWMDSCNSLELSPLDHAERVGLIAKAHGSSQAEKYYMKLKSGAAKRAASFPLLHSYVTERNVQKAETFMAELQNCGLPVDPHTFNEMMKLYVATCQYEKVLSVIDLMKRNNVPRNVLSYNLWMNACAQFSGVASVESVFKEMVNDDMVEIGWSTYCTLANIFMKHGLTTKVQACLSKAESKLSQKRRLGYCVVMTCYAALNDTDGVSRLWEASKSVPGRIPSGNYMTAMICSIKVGDISRAEWIFGSWETHCRKHDVRVSNVLLGAYMRNGWIEKAERLHLHMLEKGARPNYKTWEILTTGYVQSGQMDKATDAMKKGLSLLKNCHWRPPLELVEAIAKHLEEQGSAKDAYRYTKVLRRLSLTSLPIYKSLLRAYINAAIVPPNILAMIAKDQIIMDEEMDRLIILAGKIDITCSSTPRPVARDAEGKICFVR >Dexi2A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:2A:23792826:23798823:-1 gene:Dexi2A01G0014390 transcript:Dexi2A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSGAASAEKARRKDKKQKRKKHKDATEDDCGAMMDEEGTPHEKMKKQKQTIDGREGEKMKMLRPTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEIVVFDSTPASEKGGAGDDEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGVTLEGDRSKGTLVDVGLSKNVLVEQILEPGERVTVAMGTNRDLTTAGERKVVPPCTPREEMGSYWGYKVRYASNLSGVFRNSPYKEGYDHIIGTSEHGQIINSSELILPSFRYAICATDEASFDRHLLIAFGGLAGLEESIEEDTYFKGKHANDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRVEQQL >Dexi9B01G0033300.1:cds pep primary_assembly:Fonio_CM05836:9B:35488838:35489213:1 gene:Dexi9B01G0033300 transcript:Dexi9B01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNAVTVVRIIVAIILPPLGVFLKHGCKIEFWICLLLSFLAYLPGMGGSSTPSGSSSRSDLCDRLFIGAVSDRSTQRHAWLCEY >Dexi6A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:6A:25217147:25219946:-1 gene:Dexi6A01G0017380 transcript:Dexi6A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAEKRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAGAASSSAQASAPDSSKAATPGALFEDKPQSANDTWRKLHSDPLLMIRQREQDAIARIKNNPIKMAEIKKSVEAEKKHKEEKKEKKKHRKHRHHKSKSKRHHSDENSDSDEMGDGKDERRKRAHSSPDHKKEEKSSRHEKKHRRQDSSDSDNDEPQRRRRVVSEEDEPRRRRQDVSEEDEPRRRRQDVSEEDEPRRRRQDDEEPRRRRWQDDEEPKRRRQDDKVPRRRQQDDEEPRGRRHDERPWYEHIDADDRKRRQHSPPDRHHAYPKHDGPDSRSKRVDVHKTGNSHSEHRSRAEQGSGEQTRQESEHGKNNGPSFNRRRGGVHHMSEEERLARLRQMQADAEVHEEQRWKRLKKAADDDAKEAATVNVNQFKGKNFLEDEKKSIFGTEKGGSATIEESIRRRAFYSQGGRDAEGNAFRR >Dexi3A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:3A:4258834:4259898:1 gene:Dexi3A01G0006460 transcript:Dexi3A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSTTLHLTPAHAPSIPDSFILPASHLRPSTTASSAAISLPVIDMSLPRDDLRAAILDAGKEHGFFQVTNHGVPDHVLRDMDAVCRAFFAMPAADKAEFYSTDKSKPNRLFSGTNYETFGERYWRDCLRLVFPLPSGDTTGWPHKPHNLREIIGNYTSLVRGLAMEILRLLAEGLGLRPDYFAGDISGGRVSLDINSYPPCPDPTKTLGLPPHCDRDLITVLLPGDVPGLEVAYNGDWIRVQPVPNSFVVNFGLQLEVVTNGVLKSVEHRAVTNSAVPRMSVATFIVPEDECVVGPDERFVSEENPARYRTMSVGEFKRMHNVVNLGSSLNQITNVKKSSQQEVHHKEESV >Dexi9A01G0032870.1:cds pep primary_assembly:Fonio_CM05836:9A:37702640:37703047:1 gene:Dexi9A01G0032870 transcript:Dexi9A01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGTPFPPNFRDVIKTIMKRLFRVYAHIYHSHFQMVLKLQEEAHLNTCFKHFTLFAMEFQLIDRAELAPLNELVESVMLGR >Dexi8A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:8A:22561692:22562024:-1 gene:Dexi8A01G0012800 transcript:Dexi8A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMLKMNFVHPRNLPHFGKAEAQLRCRRGQNRGGAKERGILAARKIRGQLGGWEAKEALTGHCWCLGGGDEPGRGRKPGRGEDVEAEAPRLTNGRRAADEGMERPSGEK >Dexi4A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:4A:6209551:6210394:1 gene:Dexi4A01G0008280 transcript:Dexi4A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAAEMHPAVDAPASAAPQPDAAQAAAAVTIPASDPSPSPPAPAPEAADPPPPPPAPAPKTVTWSEKLTSDSPTHVHAAAAAESSQYVSHGPSASSSKGKPRPATAGSTRGVEVVKETLSRWGKTWGETTKMVESLSRDTWQHC >Dexi4A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:4A:24556768:24560314:1 gene:Dexi4A01G0020800 transcript:Dexi4A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPAKPVDEEAASAKAAKLRDLQVQVLQNHHSRTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNVKELSDPEAIRSVVDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLTPVDSKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPDEEELKYTMDKIGDNFSNYSAWHNRRHSLYSKFVKLTLARLLLACAAMKSCGRSLIERKRYCDEALGHLSDLIHLDPSHKQYYEDERSSVLMDKLTCDMETFMKHCSVQVQPNSVPLNHVQLHGLSLTCVGFAERLMWVQVLDLSHNSVRSVEGLEALQQLVSLNISNNQISSFTALEPLTKIMFLKVLDLSFNEIGAHPIDTTRYICSSPFSHKIEACEAFEQCQKKNINVEEYWDAILFFKSLKLKQLDIEGNAIASKENFRTLVMELIPSLKWFNGECVN >Dexi3B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:3B:10248589:10250145:1 gene:Dexi3B01G0014200 transcript:Dexi3B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGGWSFHSGSELQQEQGALPISSQVEEQLKQIYLLMDMEEHEHAEAAPSSQSSTFHSSASPDEAASSLMPGSSSATYYGDSHYAGQCYGDLDMNMALNLEEFTSQEAHHEQREQRKNGHGGGAFMPYSRHLSTKKKPKLGSGGGQRAIKAAMSALARMHMVRLAQWQCYQMEMAAAAQPTTAGSNCNQVQVQHVLSERKRREKLNDSFKALKTVLPPAPKKDKASILIRARDYVNTLKSRVSELEQRNRMLVELQHHNCNNGGDRDDVSDERIEVDIDRAAVVEETSQEYYNLKIVVRSGCNATDAVVVVLESLKEIGEVILEAMDTGSGATTLTLQMKTSRCDDNFLKESVIKSVKGAMQSKIETH >Dexi2B01G0022610.1:cds pep primary_assembly:Fonio_CM05836:2B:32224401:32228937:1 gene:Dexi2B01G0022610 transcript:Dexi2B01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSPTAASSPPSSSWIHIRSLLVAAASSSSSSSSSSAAAAGSAVAVLSAAAAASSSSSPASSSPHSDRGGIKSPWSRRKRKRALSREQWESLFSANGKLRDGGKKFLKKVRSGGIEPGLRAEVWPYLLGVYDLNSSEEERNTVKIKKRKEYEKLRRQCQQILNGCKGNGLKAITEVNNEECSSLEGTADGSESPCFEDAHAVVAPVSLEELKSEHNETEQPDNMPCAVVECMEEDTDDLNYAYPCIAESESSDSESSDEDDPGRMSVSGDDNCDPDPKFTRSTSFKADFFRSSRTSEDFATWQRIIRLDAIRSNSEWILFSRNQAEVSKEKAMQLAAAVGLKDYDHLEPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >Dexi9B01G0029400.1:cds pep primary_assembly:Fonio_CM05836:9B:31959561:31959840:-1 gene:Dexi9B01G0029400 transcript:Dexi9B01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLGFNPDPPY >Dexi5B01G0029230.1:cds pep primary_assembly:Fonio_CM05836:5B:30494161:30499056:1 gene:Dexi5B01G0029230 transcript:Dexi5B01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLKLEEFVAHDADVRSLAIGKKSSRVFITGGNDRKVNFWAIGKQTPLLSLSGHTGAVEAVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTGVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKKIRFTPDGRWVVTGGEDDTVKVWDLTAGKLLHDFKFHSGQINCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHERCVGLWAADISLIGPYALGVLPKANFFAELVQSMDDNPVKQIDISTNSSPALAMTQPKNSYKVKESAIAESRVRGSHLTPTSTDKSKKERSSTISRRPDSSFRSSIQSSTPMRRMKLVDSPCTNPKTVERNFGPKDSSLTSHTRIANNSSTAKKGNLTESASVKDIYTTSQALSSPVIVPRDIVEDKTVSSVCRGTGGTTTAPDAFRVPVHRRKPSLSGTAADSDSSVGSILTEPDVCSEGLPSLKFSFGISPYCKKEEYSEMDKNDIAQIAEKMDASVSLEHPLQSNDDKCIALLLSFESPCSTTEPARVKYVRGVAVPLGKTKSLVERWEKRESSTNDSSPQTGSLGDRVLRNDSPPAHSVRTHKFENNSSVMYIQMMRHVFEQSGIKGAIAAVSKLPDNAVQADVVSALKGKLDLFNLEIFSSFLPVLAGLLCSKTER >Dexi2A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:2A:26356109:26358170:-1 gene:Dexi2A01G0015420 transcript:Dexi2A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCSCYSLAIFFFIHSRLAAAVSFGFNFSISDYASELNYSNDSHWVKPVVELTKDQRYQSINDSVGRVWYARPVPLWDRTTRQLASFNTVFSFQIKIGGDSLWPGDGMAFFLSYYPPVIPANSAGGTLGLFSGSFRNAIVSGDERVVAVEFDTYDNGDGDNSGSQHVGIDVNNIVSVASTNTDIADRNLTSGLPMQARVTYRNDTMVLSADLQIGDTPYHVSTNVDLRDCLPEAVAVGFSAATGDSFELHELMSWSFDSDLQVVPPRTAVDASVPTAAAAPAQQTNDGASKHRRRLRPEILALAVVSGLLCLVVLLLIGCTFKMVSQWCKRHAREKLGHGGPRRYQYSELVRATNRFDAQRKLGRGASGEVYRGDDNGRRVAVKKLMDSGAATDAKAQRRRREFEAEVDIISRLRHKNLVRLFGWCDSSNGLLLVYELISQGSLDNHLYGNETDKPLSWDDRIIIGLGKALCYLHAEHSGTKYVVHGDIKPSNIMLDEELNAKLGDFGLARLVDHSAAARTTKTLMGTEGYVEPEFLETGKRCVESDVYSFGIVLLEIVTGRRPLSQPSPRSQVWELYGQGRVVEAASAKLKSDSEANDLQMIQRVLVVGLCTRMRRYRR >Dexi3B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:3B:8237877:8239961:1 gene:Dexi3B01G0011780 transcript:Dexi3B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANEAPTCSWRLSGMVTAAYVAAEDTGVLDCSCMMGHAVCSSCRDKLAPSGKCHVCGIEIDRYHRCRAMERLVESIHATCPNAAYGCDATPVYYDKDDHHRACPHAPLRCPATGCGFLGLAEALLDHFTGAHGWPPVTKARVGEVSGALLRDGFNFVLAGDDVAGGGGGKYLFLLNATRRPAAGDCGVTVHFVGPKQSSERLMAFLICGARRRYVYGRREDKEGAVQLDQVVVGDGSDL >Dexi2A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:2A:2275022:2275492:1 gene:Dexi2A01G0002860 transcript:Dexi2A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLVIPRAVSFSTIRPEIPSFAISSPPSSSPAAPPAPGTDPSHDAGVALNPRGLGEASGARRAGPGGAGGGERSAARRGLRHLAAAEGGEEARARRSREIIVAAVEGNGRQLVAFSWRKKTTRFFFFSSLLHFLASSLVEWAEL >Dexi2B01G0028250.1:cds pep primary_assembly:Fonio_CM05836:2B:36950323:36953447:-1 gene:Dexi2B01G0028250 transcript:Dexi2B01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCPRLLLPAARVAAVHYPRCTARSSFSPGRFPVSVVFSRRCRSSVPVHSASAGTGSQSSVADEPEAAEWAMQDFYALRKEVELAIERVSEVRQSAGMEQLKEEISSLEKKSGDSSLWDDPSKAQEIFVSLTEVKDRVKLLNDFKSQVEEAETIVKLTEELDSIDTGLLEEASKIIKSLNKALDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMAVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALSRLKAKLLVIALEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDITGVMDGELDPFIRAYLKYKLTAAASS >Dexi9A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:9A:3550161:3551781:1 gene:Dexi9A01G0006320 transcript:Dexi9A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARAGQPAAATAAMDVDKLTYEIFSILESKFLFGYDDPKLFSAAASPHSPGAPASSGKTTPLRAVAPAAPPGKGKICILSIDGGGRAADGLLAGAALVRLEASLRRRTGDDGARLADFFDVAAGSGAGGVLAAMLVARGPNGRPLFSAEDALAFLLRSSLRRGWSSPSDAGGLRALFRCRSGGGAAAAFRKVFGDLTLRDTVRPLLVPCYDLATAGPFLFSRADAVETRAYDFRLRDVCAATCAGSDATTAAVEARSCDGSTRIAAVGGGVALGNPTAAAITHVLNNKREFPLAAGVEDLLVVSIGSGEGETRGAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTSNYIRIQATGTPRASRGAAAKGGGVAEEMLAQKNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRNSPVSPTVVKQQPSSSPASYSNLVSQMLTSIM >Dexi2B01G0036450.1:cds pep primary_assembly:Fonio_CM05836:2B:43277843:43285835:1 gene:Dexi2B01G0036450 transcript:Dexi2B01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADVSTMYAVLVNSLSADEAARRPAEAALAQCETRPGFCSCLLVTHSTATPAHRILGFPRDWPLASDCPIYGASHLILHLAASQEIISSRGLACREDVRLLATVYFKNSIHRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYPKEWPDLLSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQKNYAECIESSQFLCRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIVIFEKYRELLAPVVVSVLREAMAVSPPQETDVTAGMLLKDAAYTAAGHVYYELSNYLNFNEWFHGSLSIEVSNHHPNMHIIRRKIALLLGQWISEIKGDTRKLVYRALVGLLQDNDIAVRVQVLNFISVLLEHAGDKIIPFASQLSQFFQMLVNLVFFFQIWDESTGESLLQIQLLTALRTFVSSLGFQSPLSYHVLIPILQSGINIDSPDALNLLEDSVLLWESTLSNAPSIVPQLLDLFPYLVGIVNRSFDHLEVTIKIIEDYTIFGGSEFLKSHGASLAGIIDTIVGNVNDKGLLAALPIVDLLIQIFPLEAPPLISSALQKLIFISLSQDDGQNPSRTTVRASSGAILARLLVMNTNFSAQLLSEPALLSSIQQAGIAVNNNMLISLVDMWIDKVDDANAVQQKEYAMALSVVLTLQVPQVIDRLDDILSVCTTVIIGSREVKTDDDTSGDITSASWIGNDGSGYSNTSSKELRKRQVKDSDPIKQASLENVLRENLKACAAFHGDAAFNAAISRIHPSAFAQLQQALNTS >Dexi5B01G0030960.1:cds pep primary_assembly:Fonio_CM05836:5B:31791131:31792325:1 gene:Dexi5B01G0030960 transcript:Dexi5B01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSVEFCVISARGLGRRSSLLKPQWFSVAWVDTNSKYCTKVDTSGSSDPSWGMKFSVSADEHDLSSLQRMALTVEVYRREPIFLGEHLQGVAVVQMKEYFDKFADGEHPGLVEETASFQLRRKRSDKAHGFVDISIRICKEEDVHAQLSGSHDGSKYPNQVRITLAIEDGPVYNYRPLPSSHYRDHSERNDLYGNTTPTTSTTHPDQSPSGSNNFSNQPPLIPRTLPPPTLNPSYFSPPYPAARGQVPQNYINMPPRRFVGQNGPANLGMGLGAGALAAGTLIFGENLLPGPSFGAGVDGASLTLSSDAPF >Dexi6A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:6A:25136799:25137317:-1 gene:Dexi6A01G0017300 transcript:Dexi6A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKPEGHFHFSAKGANGNGMPYDLDHELFNSIKIEEIKKTIGPQDVCYLLKKANSELWPRLLKTEVKVPFLKIDSKLSVRAAAFDTHNDESQLANKANEVRSDNEANE >Dexi9A01G0029090.1:cds pep primary_assembly:Fonio_CM05836:9A:33868948:33871049:1 gene:Dexi9A01G0029090 transcript:Dexi9A01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCMTASGEGERFDNRVLSVHRFINRSLVGRLVHYMLMLPGGTATMVAAAQPSPMVRQLSKNTMAVDADALLLKPSPDAAFPAYFLVAVEAGGYVRGLFLLAFYPILRMLSHEACVKAVAMVSFCGLRRDEAARIGRAVLPKLFSREAPHMHAMEALNALPKDVKVVAVSRTFPTVMVEAFLKEYVGFDAVAGRELKGGPRYLTGAMAELDMERLARVPKQAEKTLCSYYPKPVVFHDGRLAFTPTPAAALAMYIYFPLAILLAIVRIAIYVLLPWRVSSVVAGLTGVRVRVIGAVPSAGDRDAEGATKPHGGRLYACNHRTLLDPVGIACALKRPSFDSVYFLMNPRPEYVVQFLEPVNTESGKSSIEVANDVQHALASALGFEGTALTRKDKYLLLAGNEGVVKTK >Dexi8A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:8A:1329667:1330478:1 gene:Dexi8A01G0001980 transcript:Dexi8A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding CEFWNVWEYISEVDAVLQKHQNKVVEALQVRIGFTTLLARHIDAWVDFAVSARTKSLALDLKPHDALTMDTPLSRLTYLRVERCRLTKIRFNAVNLATFEYDGDFIPIDLVSSFKLKSANIEFDEAVFHHALVSLLNEGMVEG >Dexi3B01G0024110.1:cds pep primary_assembly:Fonio_CM05836:3B:18795918:18796858:1 gene:Dexi3B01G0024110 transcript:Dexi3B01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSCAVYGLPFVSPNNIPVSTVNGAGAAIEVVYVIIFLAFASSQRTRLRMLVLASAAAAVFAALALVSMLALQGERRKLLCGIAATVCSICMYGSPLSIMRLVVKTKSVEYMPFLLSLAVFLSGTSWLVYGLLGRDPFVVIPSAGGSFLGAVQLILYAIYRNSSGKASAAAADEDMEMMASNTKQDSNKVKVAHEADGGAGKEDRLV >Dexi3B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:3B:7415050:7416996:1 gene:Dexi3B01G0010600 transcript:Dexi3B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMSCFGCCGDEDTQGVPDSRNPYPGHHPARNDAYRPADQPPKGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGTLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGS >Dexi8A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:8A:30386880:30396628:1 gene:Dexi8A01G0018070 transcript:Dexi8A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQELAPDVDEEMQMLLVDAPAVHLGAGGGGALVIVLPQRAPNGEEEQVDDAEKPDLATRTAEGSSGETGAGTETVLLLSNIDKVGGSFTKDALLGRLCICNGSAASTTLLLELDQKMPTRLLHLTGPVEQQIHIQRAQGITAYLLQTKEESLPYCMAAGFSVGDDRPLPVGLGIAPVNTRVPVKWPSCMKRKDDLISHLPDPKADKAGDANEGCDTTLLLLVVDDKASSPPESVVVDEALSTQDASSRDPNQRREALLYWIQLLNKFGAEHKADWENLPPLLNAAKVKLGDMNTTEMLLRGDANVNEVDAIGNIYGLYWFLICSHQKNQLMKKEGGGWLLDNEFDGLTRCYAGPDASAGLVSIIFSALVARMKSHCDLKQGFTFEIAPANTRIQVKWPLDTSGHDLSYLQDPKAHKIGGTGEVDYRDAMEGCLTLLLLVVVDDKTSPPSVVDKSSKRWREKFKNDDGIELMIPAYNKDLIPDILEKWIYSSKGGGESSKAGKGKQPSQAATSPGFSQPASPASSVSSGRSFLEAFRSAGLHSSSSRFSSPSSQDIKGKGKQRK >Dexi8A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:8A:5815269:5817023:-1 gene:Dexi8A01G0005890 transcript:Dexi8A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFASAGGAGAMAAELLNPRFIRQVVSGRWFTLFASLLILSSGGATYAFGIYSRDLRSAMGYDQRAIATLAFFKDLGANVGIPAGLLLEVAPPWLVLAAGAAMNLAGYLMVYLSVAGHVTRPPLWLMCFYICAGANSQTFSCTGALVTAVKNFPERSRGPLLGLLKGYVGISSAILAQLYLAIYGGDGDGGDTKSLVLLVAWLPAAASVVFLPTVRVMPPQQRSCRSQSSGHGGGGEVFVSLLYISMALAAYILAMIVVQRQVVFSRTGYAASAAGLLLILFLPLAVVVKQEYQTNKELQLQEPLLMAPTTVTIVDETASSPMPKSPAWSTRTFTPPQHGEDYTISQAVVSIDMAILFVVIAVGTGGTLTAIDNMGQIGQSLRYPTKTIDASVSLISVWNYAGRVAAGYLSESLVTSRRYKLPRPAMITAVLVVSCAGHLLIAAGAPRGTLYAASVIVGFCFGALWPLLFAVVSELFGLRRYSTLYNVSAAASPVGSYVLNVLVAGRLYDDEAARQHAGGGGGDKMCMGVECFGRSFMIIAAATAAGALVSMVLVWRTREFYRGDIYAKFRDTTATERKESPGV >Dexi6A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:6A:8495832:8496912:-1 gene:Dexi6A01G0008210 transcript:Dexi6A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLPRRTRTTSRCTPRAARGTHAFDIAGYSHLIKGLSDGQYFIQSGAFQVGGCSWCIRYFPGGREVIAVHLELLSQDHVRACFNFRLVNPVNGSSTAVSSSAVQLFDTVSKGIRFGRGQIMLGKELEGSEFLRDDRLVIECDVTVFMEPQVVAMISTASSMGGHDETLPKTLPTCWGAEEGADVTFKVQDEVFNAHAIVLAMRSRVFKKEFYGHMKESSRVDQQDRTVIVEDMQPAVFRALLRFIYTDCLVFTDVSDHDEDKTELTKHLLVAADRYDIPGLRFLCQKDLCESLAVETVAATLAFADQHNCIKLKDACIEFITSLPSIDDVVASEAYKILKESYPACLVDIYES >Dexi2B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:2B:20406029:20407918:-1 gene:Dexi2B01G0013080 transcript:Dexi2B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPQKNINQNLFPYYRCLCFLYHQVPYTFNHHSRYSTNIFQRFGFSSVSPQQSDKEINETKEQENTLHGSNEYSSSSGSEEASEQGIEDIDLSKDDLAKLIREKEGLLKSKDDEIKDMKDKVLRSYAEMENVMARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKIDTSKDSAGAVPLLKTLLEGVDMTDKQLAEVLKKFGVEKFDPINEKFDPNRHCAIFQISDPSKPQGTVASVVKVGYMLHDRVLRPAEVGVTEGGTTTTETEEAEQSEKKSTGD >Dexi5A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:5A:4611572:4612378:-1 gene:Dexi5A01G0006210 transcript:Dexi5A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGTHRRVVALNLPSHGLTGVLSPCIGNLTSLRTLNLSSNGLSGNIPASLGRLGRIRSLDLSHNTFFGMLPANLSSCTRLVAMDLGFNQLSGHVPSEFGNKLLSLRKLTLRNNSLTGSIPSSLGNLSSLGILNLPFNQLEGMIPDSLGVLKDLWFLDLGFNKLVGEPPIQSNMLNGSIPSDVGSKFPNMVDLVLYVNQFTGPIPISVSNLTSLQRLDLDGNSFSGFVPRTLGRLQALQHLGLTGNMLEAGDREG >Dexi7B01G0022830.1:cds pep primary_assembly:Fonio_CM05836:7B:27650115:27650642:-1 gene:Dexi7B01G0022830 transcript:Dexi7B01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERESRRRAQGNKGTPSIDQQPSDTPSKAGSNTRFGCESQPPTPYRTCTSSTQVERIIISIASSSSVSQHNHHTRPHERPPRSAGKLARVAWHRNGRPACSRHQYCAPGAPPDGGSDLDLLSPASSSCLPASRAHARRDGDSNRVTLFFSARTAPRADSHLSLRPDSSDPPIC >Dexi3A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:3A:12629586:12638020:1 gene:Dexi3A01G0016680 transcript:Dexi3A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPPHPVPRETVAGAVASLTKWMKKRAAEAPPNLLADERDDLVVLQLSLRRVPASPTTKPRLLPLPHPVVAHDGASLCFISDDRPKSRSPAATDLLDASKSLHRLPVSEVIPLSKLRTDYRPYESRRRLAASHDLFIADRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQVRKVMGSTFLYLRSGTCSGIKVGRLDMEEEEIVENVMAAVEAAVEEVPKKWSNVRALHLKAVDSVALPIYQVVPELGMKIEVPGELESGEVIDAAELETGGKKSDKKTLRYADANADEGGASESGKRKRNKKEQIKDVEMQEEAQTETEKKKRRKSIVVSIDEGQKKVGKKGKDKGKRDLENEMEEPIMDNKKSKKGKIEEGKKKKSLKGDGLVCTTESQEDKKSKGEKSDGKIKKTRSRLRARAISDHPRTGNRESRRLQVKLFVTSARRDRVVAPALGLPPVQYRSMCLSLCSAPRRRWARRYRTRRSWRRRASQASSLPSPQAREARAPAAGKAWRRGDDKLGVRAMTSARGPRPVDLAPSHGRDWCGGLAFREGRGAAQHAPPTRGAPRRAAARPTTRRPCAHGRLFFTLPEPWLAAKTVLDRIARSYCRPSYLLHGFRVVRRLAFYVRDQWSLFSSEVHAKLTRILHRFWTTLQGSREDIGWLQRSQASRCSVDGTGRFNEILQGIRNGLHCLPDTLVYLFIPGLFSNHSPLYFTNTKRFFSKMGLACHIAKIHSEASVEKNAWELKQYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADKETRKIMELVVCKLIKGDMRALEDLTYAKRKDFISKHKLPVDELPIISFHSEASTAPTVLASLTRVAHAELLPWLPLPRFFLSASEFIESMLASLKVPVVAPVSAAMAVTALHLRLRYGEMSDGLVTRRDAEVPGSVVVRPRRRLDHAWMVYSTLKKGSAEADASEMCEALLVMLVEMGKNQELLLTNGPSVSDTLSSPPGFHALNPRQNRYKTIISISTLSSPPPAAADVAAAMASRRCLLRLLSSRLAPQRSQPLAPVSIAARTLTSLSEPLAHPAPRALASPRLYYPSRSHFATRSSGDEEDEEDEEEHYDDEGSEGEWGEEEEAVAAKKPSGKTEEEKIAEAAEIGYKVVGPLGSDEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLVLNRVLMLGSQTQTVIGRPILPEASVHAVVEEHVRTAFFSWYSLTG >Dexi1A01G0027390.1:cds pep primary_assembly:Fonio_CM05836:1A:33187603:33190617:-1 gene:Dexi1A01G0027390 transcript:Dexi1A01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEGYPEEDNAEAAGMDEDGDDDMHDLESLNYDDLDGVSKLQKTQRYKDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEENLEKTVEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDTTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQ >Dexi4B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:4B:21088208:21096609:1 gene:Dexi4B01G0018800 transcript:Dexi4B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLYPFSACVPPPRALLRRLSPPPPMAAVAPPSTAVRILPSVGTLDLPPLLPPATDDFHWLDLFAFLNSPADTYHQIPSRGEEVEELEAGLELELELEAERHAEAERQRERARRAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPALPYVKSLFVGWFEPLRDAIARDQDVQRRKRVKHVYAKYLLLLPADKIAVIVMHKMMGLLMSSKDGTGGVRVVQAAHCIGEAVEREVKVQTFFQKSRKKKDHSENDPALEKEQAKSRKRVKSLVRRQKMTEAQKLIQQELELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQTPDSSPDIRPAFRHVLRQPIIENGKLKKKHWVIECDHLVHEGFESTARHVDIPYLPMLVPPKKWKGYDKGGHLFLPSYIMRTHGVKDQKDAIKSVHRKQLRKVFEALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKENIPLPERPESEDPDEMQRWKWSLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLCWLKIHLANKYGGGVEKLSHEGKLAFVESQLLEIFDSAANPVDGNCWWTNAEDPFQCLAACMDLSDALKSSSPYRAVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGDKPADIYSEIAARVLDVVREDSMKDPATNPNASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGTITDDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMVSYFFFFFSNTQIRTSLQCLALRREGDAIAIQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAGVHDSFWVHACDVDQMNQILREQFVELYSMPILENLLEEFQTSFPTLEFPPCPPQGNFDVREVLTSTYFFN >Dexi9B01G0035510.1:cds pep primary_assembly:Fonio_CM05836:9B:37144268:37145360:1 gene:Dexi9B01G0035510 transcript:Dexi9B01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKKRGVDEFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVRAHPFHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVLLSVRCRDAHAPQAHEALRRAKFKFPGRQRIITSGKWGFTKFSRDEYLRLKREGRVVPDGSNAKLLTWHGSLADRRPGRAVYPTSVAAGSS >Dexi3A01G0032540.1:cds pep primary_assembly:Fonio_CM05836:3A:37399097:37399669:1 gene:Dexi3A01G0032540 transcript:Dexi3A01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAHASFTTAVTVNSQGACGATPILSTRHAGEAPYSWHLAADAGGSSLDFSAPVNGHVQPPLSGNTDSHADLLHDHEHIPSNLPSPRPWMEITYIAGLMYAVLIHLGRIESRPQPPSTAGNESSSGGGGEDRRRLGTAGAPLVRSIVCRPGVVDGQSNLPAVGPSSTIGRLSGVDVAPRPILLLRTTA >Dexi4B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:4B:21914847:21915728:1 gene:Dexi4B01G0019740 transcript:Dexi4B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPIASIDPTEPSCSVSVRQFKITGGNKSQERSVGLFELEISVVMSAGDKPNEQGTTAAANDGNAVAAVPSTDLQKDFGERWRSKRGTDVTFLVSGEPIAAHRCVLAARSPVFMAELFGDMKETAEQSVVVEDMEPEVFRALVQFVYTDTSPPELNSQEEDDAKAMAQHLLAAADRYGVDRLKLICEEKMCGDISVGTAVAHLVLAEQHGCPKLKAMCMEFMVATPANLRAVVATEGYKHLMESYPSVLSDLLVSVVQRHK >Dexi4A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:4A:23600922:23602584:1 gene:Dexi4A01G0019700 transcript:Dexi4A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDESPPEGINVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVDKSSTASSENTPSMPPAVSTSTASRAFGTSLQDQNPTVSDPAVAAGSRKKDGPMASKAPLDKKKMDARKKSLKRL >Dexi1A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:1A:2301894:2303393:-1 gene:Dexi1A01G0003200 transcript:Dexi1A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMVAYSGISSNSQMVAIFEGKNFVFDHRISVGSLKENILGTCLICDSSYDDYSVRCCCSHCRMLVLVCPTCQDSTKEHVCELCEKNGKEPSQIPTRQDCDIEIGLSEPSCVGKPSASNHNELKVPWSNGKVYCEQLKRLRILCLHGFRQNASNFKGRTSALVKKLKHIAELVFIDAPHDHSFVYQPIKGHCSGKPSPPSVTPKRKFAWLIDPNSSCNTEQDWKAADAPFDPLQYQQQTEGVEESYAYLENTISQMGSFDGILGFSQGAAMAALFCRRQQKTCGAPKFRFGVFCSGYPAPVGDFDGEPIKLSSLHCFGFGEGHDM >Dexi9A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:9A:3210805:3211306:-1 gene:Dexi9A01G0005770 transcript:Dexi9A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKTMLVAAAMAVVVVVAALLPATASAKTYRVGDGAGWDTGVDYAAWASGKKFKVGDMLEFRYLQAEHDVVVVDAQGYADCLAPDNAPALNSGDDHVVLGQAGQFFFICDAEGHCDSGMKLAVNVH >Dexi8B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:8B:1819199:1819510:1 gene:Dexi8B01G0002600 transcript:Dexi8B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPYQAVLVVIDRLQEPAAAASDAAVAGLEKQTFHTGGGSGGVSVMPCFHDHGFHSDCITKWLGRSNMCPLCRHQLPTDVTDVDGK >Dexi5B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:5B:20708736:20709046:1 gene:Dexi5B01G0018510 transcript:Dexi5B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWWRSRTRRSTNRARRRGKRAAACSSSSRRPPPCRAPARAVLLEAACRHAKLLLEAARQTLKQLKEELEAASLIFHPRDPFPGASPCCSSRPHRRRER >Dexi6A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:6A:11662826:11663443:1 gene:Dexi6A01G0009790 transcript:Dexi6A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFAVDLKAQAQPDALNKWASINRFQVGDDLVFKLGAGESVLEVSRDDFNRCSTAAPLATHKATAGGVSAATVPLPRSGPYYFVGGAPGSCQKGERLFLVVMSQKHSRGHHLRGLAPAPAPEAESPLAASFVGDPAAAPAPVTGAAGKTTGMELCSSAPPPLLGPCWLGGSET >Dexi3B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:3B:1479330:1480048:-1 gene:Dexi3B01G0002100 transcript:Dexi3B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEIATRKA >Dexi3A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:3A:9443705:9446703:-1 gene:Dexi3A01G0012990 transcript:Dexi3A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSLAVVLSHCPHTCRSPLAFNPSPRSLASICFPCPVRRLRLTISMSSFSVALRAGDRSSTGAMAMILLHGSIHVTIYEAEEISNSSRPSSQAPGFLRKLVEGIEDTVGVGKGNSKVYATIGLGKARVGRTRTLTDETSSPRWYESFHVYCAHLAADVVFTIRAKNTLAGASTLGVAYLPVRDVFAGDELDRWLPLCCDDGNARTPLESGARVHVKLQYFDISKDHTWGRGVRSAKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFVPRIPLDGGRFYEPHRCWEDIFDAISGAKHLIYITGWSVYTEITLIRDGGRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGSLKKDGLMATHDEETMNYFQGTDVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPVAAPASRRQHRRRIVSFVGGLDLCDGRYDTPVHSLFGTLDGAHHDDFHQPNFATAAIAKGGPREPWHDIHSRLEGPVAWDVLYNFEQRWRKQGGKDLLVNLRGDLADDIIPPSPAMFPDDGETWNVQLFRSIDGGAAFGFPDTPDDATRSGLVSGKDQIIDRSIQDAYIHAIRRARSFIYIENQYFLGSSYCWKADGIKPEDVGALHLIPKEVSMKVVSKIEAGERFVVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDANPRDYLTFFCLGNREAKKPGEYVPTEEAEPDTDYIKAQHNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAASRPARGQVHGFRMSLWYEHLGAVDEAFTRPDSVECVRKVNAMADRYWDLYAGDEPARDLPGHLLTYPVGVAEDGAVTQLPGVEFFPDTQARVLGAKSDYLPPILTT >Dexi9A01G0022740.1:cds pep primary_assembly:Fonio_CM05836:9A:17865149:17872089:1 gene:Dexi9A01G0022740 transcript:Dexi9A01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVSKRSHRSSESVGPSNPSPAPPQQQPSSPARSAAALASSSPPAVAPDAAEEDFILQEEEYQMQLAMALSASASVSGEGGAGDPDGEQIRKAKLMSLGRGDPSAAGDQSGGDTAESLSRRYREYNFLDYNEKVIDGFYDIFGLSAESYRQKKIPSLAELQMSIGDLGFEVIVVDHKFDNALREMKDVTQCCMLGCDDISVSVRRIAEVVAEHMGGPVIDANEMFTRWLGKSIEQRTSHHTSLLPIGRIEIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAISIIKMDNDREYLVDVMAAPGTLIPADVFNSKATSLNANQTGQNQMTDSITNSDKEPVALQFEHNHNQLRMPSNNNWISNNHSRHGETTTPSAPNPWADKVSVTAGSSSAPCALVPHKQSDQPSTPSTLSKQKEDLKLLLNSQDNEESKRLFSDLNPLRAIGSGKSSVALKGSDNRNNEFQRRRENVAPVPARSQQPLVIKNWSAFNDISNNKQYNFAEGLVPRRNVMNNVASSSQLAWSAAKHYNSNAVERNNWSNAPPVRNYDNGTIGASAMTTTSNSGEHLDKSNMGVASDFEIIGSSSANTASSYEIGKAADKGPSDDLERGSMYSRFDGQLPVNSQGFVLQANENKENYGKHEHQKLYPDIRKPPPDRFMGAPKQHSGSVSPSQVGSSRVEMVLEDVSECEILWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFYGDALDEFRCEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLHKIIHRCEIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDDNWTVKPEWMAPEVLRNEQSNENKTSVVIHIHLLSCRCDVYSFGVILWELATLRTPWQGMNPMQVVGAVGFQDRRLDIPKEVDPLVAKIIRDCWQKEPNLRPSFSQLTSYLKTLQRLVVPSHQEIPSPHAPQELWVNHTP >Dexi2B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:2B:28048397:28050686:1 gene:Dexi2B01G0017670 transcript:Dexi2B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAWSVLLILICFWACPLRISGLSWNIFSSSTSGSSGMANQRTPVMELDGAVADFAIDDPNNPLALKLLENARNKVAGPKNCWQEAYRKLFASCGEIMADKERQSRLAWHLSSCFQEDSGRPPFPSCAEGSKMKNCCKRLSESEGKVYLEFFLETNTLCHQLQAEAFKQNTEKLVNDLTKTSKSAEEKLGVIEETSEQIIKESGKVKDTLSSIEMQADHLAETSKNMGEQITDVLAHSKAIIEQSKEIATFQAALKEGQMEMREKIDAGMARLKESYERLGSGMDKLTQETGYIQREIKNVGDSISSKMQELQSTANDIESAAGKSLENQIQLLAGQSRAMEGLNNLHSFLAQSLEESRETVQKLAQFGQRQQEELLARQEQIRQAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLVLEIGLIKVGADDFDKQFWVMSKVFLVRMVFMGAATVQILHSIFTYRDYEVLNHRLLQTLVDKVRVLEENAGGRALPCDVAESEGSLRDYSWVFDELADEVDSKMDPSYTLLPEEVGENSITTFDRRYNLRPRK >Dexi1A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:1A:10269:13465:-1 gene:Dexi1A01G0000020 transcript:Dexi1A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKFKYFCDKLSCGTGDRKAVISISVDKDNVRFFTDKSSAIVRLQTQNGDKPNEATRIVMKEKISLTFGLRYLKNFSKASTLSDQVTIKLWSNLLVVEYMGYIRYHVMPAEKEAETEGIEEEDQKN >Dexi3A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:3A:1433960:1441852:-1 gene:Dexi3A01G0002150 transcript:Dexi3A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLPRITPLPRRRRNPTPTITAELAASLARVLASRATDPAWSRSLAALLPPPLSDARLAAAVSALADPDHALALLSWSSSQPHHRGNLHHDDAPAPTPLAHSALLRLLARAGRFDAAEATVRSMFPAATPTRACLGELAASYADAGMERKATEMCARARELHGKLPDPRHCNRLLRLLVERRRWEDARKLYGEMLGEEGGADNYSTCVMVRGLCLEGRVEDGRKLIEARWGAGCIPHVVFYNVLIDGYCRRGDIGRALLLLGDIYTKGFLPTVVTYGAVINWLGRKGDMEKIGSLLGEMRVRGLSQNVQIYNTVIDALCKWQSASQAMAVLKIMFAAKNLLAEMLEQNVQPDKFVYTTLIDGFIRSENLSDARKVFEFMEEKGVCPDVVGYNAMIKGYYHSGIVKAEKKTTLLDVFKVLVSDGLDQRISTKNAIIFSLCRHNMLEKALEWKDKMANKGYSSDCITFLSLLYGFCSVGKPGNWRNILPNEFQKDEIEIILRHPALLCSTLRLRLTAFAAPLALRAAGCRADAWCCWLQVLVSAWCLLPLAGRSWESPAASLREGEAARAKPAGSKGTAAAGWPVPSAAPGAPSLLCTKSNPPLRLTPESRDPRSCILHATVAWIISWRRVWYSGAGDNATPPGASARLSKFFWPVRGHISSIIGQALERGRSSGSVELELERLHVNLSPFVVNRVLRGVSDSETAVRFYWWAESRPGFDHTQFAIAYIVSLLFIDGNFSLLSEFLDRVRSQGVALHRSLYRMLISGYVRAGKFDSVIRTFDEMVTSGCREFGVDYNRLIGVLIKNCGFDLVEKYYGMALDKGFCLTQFTYSRWISALCQSDRIELVEKLLADMDKFGVNEAFELALRMLSLDLELSVCIYNALISGFWRSGSIGMVKDAEDLMKKMEMSGVNPDRYSYNQMLKGLCKAHQLDKAFAFVADHMEVGGFCDIVSCNILIDAFCKARKLS >Dexi8A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:8A:10501885:10503427:-1 gene:Dexi8A01G0008610 transcript:Dexi8A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTPMMAAALAIAAALLVSLPVLYRLLSPGAGDKTSRKKAIPPGSFGLPIVGHTLSLLGALRANTAEEWLRRRATAYGHVSRLSLFGYPTAFLVGPAANKFLFTSPALTTTNAEAFRRMVGRRTVRDVAGDEHARVRAMMVQFLKLDAVKRHVATMDAEVRRHLDAHWRGRADVAVMPSMKTLTFDVMATVLFGLGRDSDVRRELSTEFQQLVQGIWSVPIDLPFTRFSRCLAASRRGRRAVAAVIDERRAKLERGESSPADDILTHMLSKGLPDEDITDNVMFLMVAAHDTTAALITFLLRHLDANKDAYAKVLQEQEEIARCKGAEEALSWEDLCKMRHTWAAAMETLRIVPPAFSMLRRALADVEYGGYVIPKGWQVMYATNMTHWDPAIFPDPGRFDPARFDDPAALAPYSFVPFGGGARMCPGNEFSRVETLVAVHHIVTRFRWKLAAGCDGSFSRHPMPYPSQGLLIDIEPIH >Dexi9A01G0043490.1:cds pep primary_assembly:Fonio_CM05836:9A:46918486:46922744:1 gene:Dexi9A01G0043490 transcript:Dexi9A01G0043490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRWIPNLAQIYLIGFYEEREFALYVSSISNELRIPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPSIFSAIEDVLKQKKDRANLRRVSSFEALQSATKALPADFVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTNPHLLASGDGKRTATIVGDVYIHPSAKVHPTSKIGPNVSISANARVGAGARLINCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Dexi2A01G0024040.1:cds pep primary_assembly:Fonio_CM05836:2A:35711063:35712688:1 gene:Dexi2A01G0024040 transcript:Dexi2A01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAEEKMSPYVLAAAAAGVLIVFLFAVKNRRRSKLPPSPPSLPLIGHLHLIGRRAHRSFHELHLRYGGRGGGLLFLQLGRRRTLVVSTAAAASDLFRNHDLAFASRPRTVAGDKLMYGCRNVSFSPYGEDWRRGKKIAVVHLLSPRRVESFAPVRAAEVDALVARARLAAVAGEAVELRELLYGYTNAVVTRAATGTAGATAEKLKQLLGNSSALVAGFQPEDVLPDAPARFVRWATGIDKKLDDMAEAWDKFLSEIVASHEEKERGGAGREDDEDFLDVLLRLREEGAGGLELTDDRIKATVEDMIAAATETSSQTLEWTMAELVANPRVMAKLQDEIARVATTDQPAIAESDLNKMEYLRAVFKETLRLHPPAPLLVPHESTAPAVVQGYEVPAKTTLFINVWAIGRDPAAWGDAPEEFRPERFVVGDGDAPVDFRGTDYQFIPFGAGRRMCPGINFAMPVLELALASLLRHFDWELPGGMRPADLDMDEAPGLTTPRRVPLVLVPRCKTLA >Dexi5B01G0036230.1:cds pep primary_assembly:Fonio_CM05836:5B:36031482:36035497:-1 gene:Dexi5B01G0036230 transcript:Dexi5B01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLPSCTNRPSESDAWAHHEPTPSVRSQQNQTTASPPAATSRSIPSLTFALELGGDLASSPCSLVPPSSSPLRPTPAPGTMNPYDLRYADPSSYHDRRSDLAVAPMVAPPAPMPAANPYAAAYPPVPVASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGSGYGGGGRGGRGRDGLDTLALPKPDFRSLIPFEKDFYVESPSVQAMSEADVVQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPRDVEALARQFLQNPYKVTIGSPELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGIRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLGAIMSKEDHLFPWFTSLERKYWQCYWALQLSANNVKDIKCVINYDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHANAKFSRNLVKILREAGQVVNPALESMSKSASSGGGGNFRSRGRGGFGNRGHTSGSNSFPVGGRRPY >Dexi7A01G0023300.1:cds pep primary_assembly:Fonio_CM05836:7A:31324755:31327573:1 gene:Dexi7A01G0023300 transcript:Dexi7A01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSGAEKQPKHRLPLGADADAVADASKRRRSGAAKQHQADEEASIPSSLSAKILREARKQQQEEETLAEGPPATAVATEAAAGPSTSASFPIPAADDDEDDEFDGFDALTEYDGGEVEINEEDEKALAAFMSKDKAAELTLGDIILQKIREKDAEVSAEGRPRVKLDNSIIELYKEVGKFLSRYTSGKIPKAFKRIPSLECWAEVLQLTEPENWSPNAVYQATRLFSSNMNTKNAERFYEAILLPRVRNDIRQNKRLHFALYQSLKKSLYKPAAFNKGILLPLCQERNCTLREAVIIGSIIQKVSIPFLHASVALVKLAEMEYCGTTSYFIKLFLDKKYALPYRALDAVLAHFMRFLDDERIMPVIWHQSLLAFVERYKNELEKKDKEKLVRLLDYQKHYLVVTLVPLIPMHVHQPNLYCRNRLLQKFEGNFGAAATGVNKIIICRLISLQCISSFTCILYSVEDEKLILI >Dexi5B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:5B:12185876:12188187:1 gene:Dexi5B01G0015180 transcript:Dexi5B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGAGAMTSGAKATAAAAAAAPLRLQHYLVMAGVAAAVVLACLRYAPPSRYGGLLAVASPAESSRAAAARDDPHASAPPPPSSVVIFNFGDSNSDTGGMAAVNGMNLNLPEGRTFFRRPTGRLSDGRLVIDFICEILHTPYLSPYLKSLGADFTNGVNFAIGGSTATPGGSPFSLDVQLHQWLYFRARSMEMINLGQRPPIDRDGFRRAIYTIDIGQNDLSAYMHLPFDQVLAKIPGFVAHIKYTIETLYSHGARKFWIHGTGALGCLPQKLSIPRHDDAGDALDAHGCLKTYNAAARRFNALLADACGQLRRRMVDAALVFVDVYGIKYGLVANHTAHGFARPLMACCGHGGPPYNYNHFKACMSAEMELCDVGARFVSWDGVHFTEAANAVVAAKVLTGDYSTPRVTIASLVDSTMVGNDG >Dexi3A01G0032280.1:cds pep primary_assembly:Fonio_CM05836:3A:37044000:37046474:1 gene:Dexi3A01G0032280 transcript:Dexi3A01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAARRLSRSTTASAMVLREVSGSHKLTIDGCKPSKALPCGWNWDSKPFPVGGHSWRIRYYPHRSDGHVSLFLELHRASAIREAGHVSFKLSLLDPSSGEPKLTRAMDWRNFSCSPCGFYTSSRYHGFEQFVSWKDLDESGCVEDDRFTLRRSAPPPPPPPPAYEHEHLVDLLWKHKRGADVTVDVGGGATFEAHGWLLAARSPVLEAELLASKEKKSPGDGSHRRIEVQGVEPKVFKAVLHYMYTDALPEKMMAEEGGEEAEGDAMAMARGLLAAADRFKLERLKTMCGETLAKRIDVSTAAVTLAAAEQHGCGALKEACMEFLARPENLKAVMETEGYEKGGVC >Dexi2B01G0025190.1:cds pep primary_assembly:Fonio_CM05836:2B:34496849:34497643:-1 gene:Dexi2B01G0025190 transcript:Dexi2B01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAAAPAAGSNVSGGCAFQFEEATVDAIQQGFSNGSLTSTALVQFYLDQIARLNPTLRAVIEVDPDAMAQAARADAERSASSSGGRGALHGVPVLLKDNMATHGDRLSTTAGSLALHGSAVPRDAGVVARLRRAGAVILGKANLSEWSNFRPVQDGWSARGGQTVNPYVHSATTGGSSAGPAVAAAANMAAVTLGSETDGSILNPSSSNAVVGIKPTVGLTSRSGVIPIMPRQDTIG >Dexi3B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:3B:10133126:10134831:-1 gene:Dexi3B01G0014080 transcript:Dexi3B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLASSQPEPSLSDMATSAAHGEDSPYFAGWRAYDEDPYDPVTNPNGVIQMGLAENQVSFDLLEAYLREHPEVSDCGVGFRENALFQDYHGLKSFRMAMASFMETIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPVPCSSDTGFQVTAAALQAAYDAAVSSGIRVRAVVLTNPSNPLGTTIPRPVLEDILDLVARNDLHLISDEIYSGSVFDAPDLVSVAELVDERADADVAASRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVAAARRMSSFTLVSSQTQRALAAMLSDAGFAAAYVVANRARLRERRDHVVDGLAGRAGLACLRGGGNAGLFVWVDMRPLLEEATVEGELSLWRKVVDEARLNISPGSSCHCSEPGWFRVCFANMSVETLDVALQRLGCFTQKWNNKCIQN >Dexi5A01G0034680.1:cds pep primary_assembly:Fonio_CM05836:5A:36672469:36673803:-1 gene:Dexi5A01G0034680 transcript:Dexi5A01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPAAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAYIPGTKMVFPGLKKPQERADLIAYLKDATA >Dexi3B01G0031870.1:cds pep primary_assembly:Fonio_CM05836:3B:33889856:33890366:1 gene:Dexi3B01G0031870 transcript:Dexi3B01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHWHSPTEHTVDGRRYDLELHLVHESTEKKAAVIAILYEIGAGHDALLHQLEPFIRRIADKQDREERVGVVDPRRARGRASVYYRYMGSLTAPPCTEGVIWTIVKR >Dexi6A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:6A:26004069:26006738:1 gene:Dexi6A01G0018230 transcript:Dexi6A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHFLVHLLLLAVAVTSAASSSSLSTNSTSSPSNGGGGNVTVFTFSRFDEANRGANVTVAGDANINGGALQITPDTTNNPSAYLTHKSGRVLYSTPFKLWHLLDPTAAAAGEGNATAGEVNDKKVVVASFTTVFTINIYRPNKAEPAEGFAFVIAPNAGDPPTGSSGGYLGLTNVSTDGNATNHLVAVELDTEKQSYDPDDNHVGLNVNSVVSVANTSLKPFGIEISPANATNYTVWVDYDGAKRHISVFMAVAGKPKPWRSPVLAAPLDLAATVAEWSYFGFSASTGSKYQLNCVLAWNMTVDKLPSDNLGNGDAKKRKRMLGLAIGVPVVVTVLAAAAAAVVFVCVARRRKVTGGEDGSAITGTMIRSLAGGPREFEYREIRKATNNFDEKMKLGQGGYGVVYRGVVAGDHTSPGGHGGTVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLLGKLYSFPAGLRQPPPPPGVASALHYLHDEYDQRVIHRDLKASNIMLDASFNARLGDFGLARAIETDKTSYADEAAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVAGRRPRCDVEGFHFLVDWVWRLHRDGRVLDAVDGRLDGVFDGDEAERLLLLGLACSHPIPGERPKTPAIQQVLMGALPPPVVPPFKPSFVWPAMDGGIETMSTTAGTTTSQLSLTSASTWSGNYGKGSLKHAFRQEVSDSSLP >Dexi3B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:3B:3515863:3520838:1 gene:Dexi3B01G0005320 transcript:Dexi3B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARNPRAQRYLVAVEYVGTRFSGSQQQPNQRTVVGVLEEAFRRFIGQPVSIFCSSRTLTPHEPEVVKRAVNHFLQRNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPESTSVFEKNSAWHISEDLDIQAMKKACSILVGHHDFSSFRAAGCQANSPMRTLDELTVTEVFPFMFFPSSIERSEMESSDGSLVYSRIPTMESSGKQSYGSSTSSGKSEPENGKEFGIRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGNLTTADVERILNAKTVTAAPPMAPSCGLYLANVKYDLSV >Dexi6A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:6A:28104579:28107433:1 gene:Dexi6A01G0020670 transcript:Dexi6A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRGRSPPPAAAPPPRDPVPAAPSPPSHFPPDLLRDVASRVTSLHEFFALRAVCRAALPLAAPSLAPQPPLLLVPDTAAASHALLHVHRGFHRFRLTRTHLTGETADVHSLGCRVAVDLRERCQLRIVHVLTGERTRLPSPPSPFSGLLLSGDLVVAWDSNHPSLQHCSLGNPKWRVASAIDPFRFHDLIFESGTLYALVTPGYRLAVVRLSDNSNSDELELEFLGGELDAETSRQNSVFCLAECCSELLLVTRSEEHHHRRQTFHVFRWRSGEQEWDRIARLGGCALFLANYRFAGCLGPHHPEIRPRRRTHQRAPLFVIPRAKALELEESCLAICSVVKY >Dexi7B01G0022930.1:cds pep primary_assembly:Fonio_CM05836:7B:27710314:27710829:-1 gene:Dexi7B01G0022930 transcript:Dexi7B01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAVGDDPPPYSSSGKLPSGALLLRLARLDVGSLQQRHEGGVALAMTRRPVFWLRSWRPRCAVSSLAATTSSLAFSLMSTTSSSFSTISPPPLLDSAGQHHLRRSIRSHGSSGIPAARHRREVAPLATLRHELSAAGSPDVVASLAPTTVPPPPRPPHRRPPHPPPPS >Dexi4A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:4A:1720123:1720482:1 gene:Dexi4A01G0002470 transcript:Dexi4A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAAAGLKQILRRCSSLGRRQQQQHNGGEEEQYEEEAAGLPSDVPRGHFAVYVGERRRRFVVPIALLDRPEFRSLLRRAEEEFGFAAGGILVLPCEEVAFRSLTSALACAAAR >Dexi6B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:6B:3052325:3053917:-1 gene:Dexi6B01G0003730 transcript:Dexi6B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTLLCLLCSLLLRTRTRSKAHAGGEDSAVPPLPPGPSPLPVVGPLLFLARRDFDVEPVLRRAARDHGPVFTFAPLGKSRPTIFVAGRAAAHRALVTRGAAFASRPPVNSPSSALLTSGGRNVSSSTYGATWRALRRNLAAGVLNPARLRSFSLARRWVFDVLSSRIRASAAGDGDGGAAVAVMEPFQYAMFCLLVYMCFGDRVGDERVREIEDTQRELLANFLSFQVFAFLPAITKLVFRRRWEKLVSLRRRQEELFVPLIEARRKVACAGVDGDGLCYVDSLVKMTIPEDGGRELTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQTRLRDEVAGVVGDDNSGEVREEDLQAMPYLKAVVLEALRRHPPGHYVLPHAVHEDTTLDGYRVPAGVPINFAVSDIGLDGEVWDAPEEFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFDWREVEGDEVDLTEKLEFTVVMKRPLKARAVPLRSPPPAVAAA >Dexi3B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:3B:5217041:5218603:-1 gene:Dexi3B01G0007350 transcript:Dexi3B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRADQSSPAPAPPPPLRTPKRQPVVVFAHGAGAPSSSDWMVHWKEMVQDALDAVEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGIVKDAASKYPGHPLILMGKSMGSRVSCMVASSDEIDVSAVVCLGYPLKGVNGAVRDDILLQMKVPTMFVQGSKDALCPLDRLEATRRKMSCKNELHIIDGGDHSFKIGKKYLDARSLNQHDVEMEAVKVISQFVQNSFTESCA >Dexi2A01G0032220.1:cds pep primary_assembly:Fonio_CM05836:2A:42814022:42817197:1 gene:Dexi2A01G0032220 transcript:Dexi2A01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLLLRRHRNPHLLLRAAISSSRALPQQAELSAEPTAAAPEPAPLPPNPSTGSPFYGENWRNPAAANPPSSLLPAVVGGSPFGAQSRMTAYSDAAGLKETFAKWMAEQRWEDMKQLFDTWVRSLDAATGKPNRPDVDLFNHYLRANLMTGALPHEMLDLADHMREFELEPNTASYNLVIKSMVTALEAEGAEKLLERMLQTGTVPDDESYNLVIDLLIRQNLFDSAMKYLDLMLKSGHTLSLTVFTDYVRSTDKNKILCPQWAWCIDIAEAAFEANNSKLALFALEFLARWIARGENVKSPIQLSVNEGLVISALSAAGRTYSTDLLNAAWSLLRKSLRQKRAPTPEAYLAKIYAHSSIGQLQRAFATLREFENAYGNSEDIDLELFSPFTSLHSLVVACCKDGFGTLDSVYVQLENLSRAEPPYKSVAALNCVVLGCANIWDIDRAYETFVAIKDKFELTPDIHSYNALLCAFGKKKRTEEACNVFEHISTLGVKPNATTYSLLVDAHLANKDPKSALAVIDKMVEAGFTPSKDTLKKVRRRCSRESDFDSDEKLQSLAKQFNYRLGGENRREMLYNIEYNPVY >Dexi9B01G0024160.1:cds pep primary_assembly:Fonio_CM05836:9B:19961295:19968386:-1 gene:Dexi9B01G0024160 transcript:Dexi9B01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMQEAHCCSQWGHDFRPDYKNLGILKIQFPSVPMIALTATATSKVQKDLIEMLHIPRCVKFVSTVNRPNLFYKVYEKSPVGKVVIDEVANFISESYPNNESGIVYCFSRKECEQVGRTIHKSLFLLFAEMTLTILIFAADASAMLQKNCAIGAFWLSIIMRIWKLKLVRKFTCGVWSKGKSQVIVGTVCAPYLKMVAFGMGINKPDAKNNWRHPGFPGFLYLIVPRFHKIGEAGATFDLWFIIALASLWRHTTRRVVGQAGMDYLQNVFCIIGPAMFRGRQSSMVFYENCGLQNLYDIVRYCQSKSCRRGAFFRHFGEALQDCNGMCDNCASSIEIKEIDATGTILLCIILEIFIYYLTVDNVEAGHSNRDVDLKREEIEKLIVQLIIDHVLKEEFQHTAYSTNAYVTIGPLWKAALQGNRPVKLEIAVSSSEGRGGDGRCKGTKRSRMSDLEAKLDELRRELSLSSSNGGISIFPHAVLSTEHILLLSCQKPTTEAELEKVIGKVKTEKYGGRIIELMRSHDAGARNGGDASKRHKKEKDVVCLESSDEE >Dexi3B01G0031770.1:cds pep primary_assembly:Fonio_CM05836:3B:33817535:33823673:1 gene:Dexi3B01G0031770 transcript:Dexi3B01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDSVESTSDTTAAALSRSSSRVEIRAHQSVPSRLAFLEREISETGPTFPHASVSLPAISFFPAASDLDPPDAASSGQRRRLLLSGRRLAAGVMNYIIGAFKPPCDISITFSDARTRKQVSVKKDNGKTTMVPVFQSLETISGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLGAFLCLRNLFYYDLRSLFICAVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRSMIGIVDLLELEMYILKVTIGRNYVGNIVEYRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLESAPSS >Dexi5B01G0021970.1:cds pep primary_assembly:Fonio_CM05836:5B:24236644:24236928:1 gene:Dexi5B01G0021970 transcript:Dexi5B01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIKNPSRFGVDNPLVACCGGDGPYHMGAACNKKARVWGNPSGFASWDGLHMTEKAYKVIAQGVLNGPFADPPLLTKRRIVHL >Dexi5A01G0034720.1:cds pep primary_assembly:Fonio_CM05836:5A:36720448:36728531:1 gene:Dexi5A01G0034720 transcript:Dexi5A01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSATACAGGRPPLGTLPVLVYDHGVNPNNRQTAFAIGDQSLHTSVVPELANSYYHVTPQGWVLLVAPGDPSPRATRLWDPRSDESVSLPAMEHELLPKVWECYLSDAPTEASSCVVLVLDIKKPSFLYSRVGDSRWSTHDYDIGDARQPPPEPPTKMVVQQTAAVDGKFYFQVCGKKLGFIDFSSATPEFTSMDYPLVEFPEGSNCVNNQLVASHGELYNVYIYLKEFTPEILTVCVYRIDDPSGQPTLSKVDDLGDRVFLLSDANTQLLCSASKYGVKGNRVYFNHNVMGDMDATELKPEAILTTKLRSEGMGRERRMRGFDLGDFLAELLQRREGATAAMHGVLAGVARKKKKTECGGSGRVRRGSPTPTTRQSGSYNGATSRRPALEHEPEPRCRGGFLQKIICMSTALYGRSVEIGLLGHWTAAVVPPTPPTPAGCSPSERSLARSARWPLPAARLHACICTLRRGAEPARLCAVPPPALPPTDLPLAEVARRRRPAAPNPNETTDEEDAKVAQGSGVGKRGKETGKKKKKRKGHLGREEIIGPTISTAQIAEEVLPGAQRHGPPAAPPLKSPLLMMYFSPTCSCSTELTDVETMAHGWTGLAPFENLDPAAIVASLPTCPPPPTTTGNYASTLQLLLFLFLHGRIQTNLGGSKGQLASRVSHCRCHLELENTSQEPLERKPRALKLYKREDEANQRAAMRRAERGGEPEAEHRRGYKGVRRRRWGKWVSEIRVPGTRDRLWLGSYATPEAAAVAHDTAVYLLRGGASGAVAAGASGGDVAALNFPQRAAAAYGPGAAAARLSPRSVQRVASDAGMAADAQLVAARDRAPAPATEPGQSHADRAGIGVSIAHGGGGASMSRAHASSSSTGGSREQQLVSGEISVDDIEILM >Dexi8B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:8B:16585672:16586185:1 gene:Dexi8B01G0009340 transcript:Dexi8B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMKLSLLVPAILLILVHVQGAQPAARGPKCTASSVTVEQANTGEKAGYDPVFEVTVRNGCGCAVRGVYLRSEGFSSSVAVDPRLFRRDGRDYLVGDGRRIEAASAVRFRYAWDRAFRMMPAAVHDDCS >Dexi8A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:8A:7644436:7646405:1 gene:Dexi8A01G0007080 transcript:Dexi8A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGLGHPIAIALPWGLDKSCGRFDRFVPRNHGFGGFPGLVLKTRDESYQRTSGTIMKLASRRSEVVKASETAHKHPQLPPILDPIHTKSQEIPMAGGEGSSQGKGSKRKAEAMKQVVTTEETVSAGEMPSIGDPISD >Dexi1B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:1B:24008253:24008809:1 gene:Dexi1B01G0017680 transcript:Dexi1B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDRLLFSLTIRIRTREKELEKERAKIRREEEEENAKQMAEAPSKIESMRKWVVEHKLRAVGCLWLTGISSSIAYNWSRPNMKPSVKIIHARLV >Dexi2A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:2A:3285153:3286463:1 gene:Dexi2A01G0003770 transcript:Dexi2A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFFPADGRRSSHFDATFRSSTRRSTEASEMVAEPTGKASLPCRISRETTRSSSPSVKGSAATKRRSSFHAGPPPFPRAAAGVVRVLSAWNPSVARTATPASRGGAADTLDRDVAAAAPVAVVDVAGESAFHASAKASSAWVPAHVATMRSAPARRRPPSSLYHCVARGPSGGSRACTSAVSKQRPPRKWRSSATDTSASGGRSHTEISRVGPGESVSVSRTNAKVWSHSKKAPRRASRVDAWRPPAATRTCGCAPAGSPRARTTRTNRYAILSTRSCLLLSIAGVSSGTGGGGRRTGMDTCRGITGGGGCSVSGGFSSDAASSGGFSSGAGVAAAAGSASSVGFSVVDPSPAGAGAVSSTVSSGFGGSSAGAASLLGASLASGGGGASASAAAAGGSGSGGGGGGGRGTSR >Dexi4A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:4A:6180861:6182051:1 gene:Dexi4A01G0008220 transcript:Dexi4A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVLFHCWYNNDIDFDFLNSMNDIIDKYSTNSKNLGKSQQQPSIDLNVEQSKQMRGEELEGLTVEELHQMEKKLEAGLHRVLSTKDQLFMQQISELQQKVNKAYINLIAFENYLYQAIYLVVEN >Dexi7A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:7A:21008091:21009004:1 gene:Dexi7A01G0010180 transcript:Dexi7A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPPQTPRPLPRRPRQLLRPAPRCSNDASPPPPPSPSPQAAAGGIRRLVLQPEGRAKLDPRPDRGFYAFPRLVTHVDDGFIATLTDLYRERLRPGWDVLDLMSSWVSHLPPELKLGRVVGHGLNAQELARNPRLDRFFVKDLNTDQQLALEGASFDAVLCTVSVQYLQYPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWRDGTAYSRVQLVTQYFQCVEGFTQPEVVRKLPSAGGSSPSPLDALMRLFGVAGSDPFYAVISYRNFKPM >Dexi7B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:7B:2067967:2072921:1 gene:Dexi7B01G0001150 transcript:Dexi7B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAAVGWAISTLGWFASPLATRLVNDGLALLGLDESEKLRDLEARLLPQLALMREQAERIPPEQRDRVKLWANSLRDAFYEAEDILDIADYHRLHNQKMLEAASVEPSPAFNNLDTLQKKLVDELEGKRFFLVLDDIWAVKHESVQFKLDQLLSPLADGKEGSMVLVTTRFKEAAADVGVQNPMKIPDLKEMDFFNLFMHYALNGATLDAKELDTFQTIGKQITKKLKGSPLAARVVGARLRKKLEATFWRRVEDQVMLPDTMGALWWSYQHLNEQGIPIEEIEGMLTNLKKLRVVQVHLPKNLGSLYHLQILELHGSGFPGLGELKSLRELSDFRVSKEKGYELQQLKGINNLRGRLRISGLDCVESKEVAREARLCDKKHLTGLSLEWSRASVGQQILSPDLQVEILEGLCPPSQLTDLQISGYCGRTSIRSLPPLPACLQTFELTDCDPSFMKSCQKPGDPNWNVIAHIPSRRFVDTQN >Dexi3B01G0028440.1:cds pep primary_assembly:Fonio_CM05836:3B:24599064:24606168:-1 gene:Dexi3B01G0028440 transcript:Dexi3B01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLVAALSKTAARRAATATAGVAGHRLILPARILTYNTSTPSSLIPRRRFGHMSEVECTTPLDPLPLLGRNFAVAVGSGAMDSEAPALVVVSFYRFADFPDHAAFRRPLKELCEDLHVSGGIILAPEGINGSICGTPAAVDKVLNFIQEDERLKGLRMIQSPVTPEDEAIHHGHTSQSPVGAGDDAPFRWDHVRVKLKKEIVTFGDPGVMPTKMVGKYVKPKEWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPTWVDDQFQLVESDTQESVINNDNGTGEPAEDLNDSKPKQLPRIAMYCTGGIRCEKASSFLLSKGYKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGNHKLCYGCKQPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLDGKDAAEAKQFPNSI >Dexi5A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:5A:3005022:3010736:1 gene:Dexi5A01G0004010 transcript:Dexi5A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orthologue of the Arabidopsis GIGANTEA, Regulation of circadian rhythm and flowering time, Photoperiodic control of flowering, Osmotic stress respons [Source: Projected from Oryza sativa (Os01g0182600)] SFRVCWQALELPGMSASNEKWIDGLQFTSLFCPPPQDVEQKKAQILAYVEFFGQFTADSEQFPEDIAQKEYSEQWALACGEILRVLTHYNRPIFKVESQHNEAECSSTSDQATSSGFKDKKSDASPGNESDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETLEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVIDDSRLTNGRNKCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGSHSNLKDSVKIIIPGKTNGINNELHKSISSAILHTRRILRILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSSLMRCKWDTEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTPVKRVNQQHSDENNTILSDSGKLENKNGSISHKKNGFSRPLLKCAEEVLLNGDVASTSGKVIASLQVEASDLANFLTMDRNGGHRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAAHLIIEWGDSGLSVADGLSNLLKASPLL >Dexi5A01G0038940.1:cds pep primary_assembly:Fonio_CM05836:5A:39697051:39700811:1 gene:Dexi5A01G0038940 transcript:Dexi5A01G0038940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNPAHRFVDSPKPYNRRNVFGKPMSRLDSSEQNNRQGLRVCGMPTRMDSSDHGDRQGLKACELAAKMDADGYGDRQGLKACGLGTRIVDANDHADCQGLKACGLGTRIVDANDRADCQGLKACGLGIRMDANDHGDCQGLKACGFLTTMDANEYGDRQGLKACGIMATKMDANDHGDHQGLKVVSVKLDEWTDEQVDILADSGGNAAVNMIYEAFLPENCTKPRQDCSSEERIDFIRSSWRRKEHEPKAVKKTMEMGMVEFVGLIKVDIIKGTNLAIRDVMSSDPYVIINLGHQSMKTKVIKSSLNPVWNERLMLSIPDPVPLLKLQVYDKDTFTTDDRMGEAEINIEPLVAAARAYEASTVNDTAQLNKWMARDGIWIPRDSAISIINGKVKQLVTVRLQNVERGQLEMELECVPLTQ >Dexi5B01G0031470.1:cds pep primary_assembly:Fonio_CM05836:5B:32193877:32195035:1 gene:Dexi5B01G0031470 transcript:Dexi5B01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGGGRLVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDEGETKHLQALDGAATRLRLFQMDLLDAASSMRPAIEGAQGSAMVPNPSWPADKAIAEDDCWADVELLKKRQIWYNVSKTLAEKAAWEFAEKEGLQLVVLNPGTTLGPFFTPSVNASLNILLQLLRGA >Dexi3A01G0026460.1:cds pep primary_assembly:Fonio_CM05836:3A:22683581:22701980:-1 gene:Dexi3A01G0026460 transcript:Dexi3A01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPHFPEIGKKPKDLIAKDHAFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMDQLYEQISSLLKSNDVAENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASAVLGHLAKAGGAMTADEVERQIKTALVWLGGDRVEYRRFAAVLILKVITSGPHLRKDIRKTFFSLPGGWYYRMCEAAQVGLGRNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLEYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKVCMDHILFVLRTPEERASGFVALGEMAGALGIELVPYLPAITSHLQDAIAPRRGRPSLEAISCVGNFAKAMGPAMEPHIRSGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSIRPGASVGRASRSNSLQQLVDSSSPVLVQLALRTLANFNFKGHELLEFARESVILYLEDEDSSTRKAASLCCCKLVAHSLSASSSSQFSSNRSNRMGGAKRRWLIEEIVEKLLIAAVADADVGVRSSVFKALYRNPAFDDFLAQADILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPVHKALVTRLCEGAGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGGAVSKREVAVATLGQIIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHSLPGQPREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILRDPSLSSYHQMVVNSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLISIVRQVLHLVEQLCLALNDEFRMYLLKILPNCIQVLGDAERCNDYCYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPKVQVGTHVSALVHHLKLVLDGNNADLRKDAAEALCCLAHALGEEFTIFVPSIRKILVKHQLRYKKWDEIENRLLRREPLITENLSLQKYTQCPPDVISDPLDDFDVTPSEIADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMSESSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCTKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQNLEVQLKESWYEKLHRWDEALRAYTMKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGAEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRSKKYDEARIFVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESPIAEGRKELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRISQARSTLVKLLQFDPESSELTLYHAHPQVALAYLEYQYAVGDELKRRDAFSRLQELSTQLATTMDSFPGMSTTHGTMSTAGVPLIARVYLTLGSWKRALSPALDDESIKEILISYNNATLSAKDWGKAWHTWALFNTEVMSRYTLRGRPDVAGKYVVAAVTGYFYSIACASTTKGVDDSLQVNVLCSDFCSAEFI >Dexi2B01G0035760.1:cds pep primary_assembly:Fonio_CM05836:2B:42733248:42742937:-1 gene:Dexi2B01G0035760 transcript:Dexi2B01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSRPVRAAGAAFAADPDAPGPSGGAKRAAKGQARRDVCVEVDRSTWGLADVDHRDVAEVVLRDVSVSGDGEEEALEEVLGASRFSLRLRVRDAPEEGFRMGQWPIVPSDCVLLECVVHEKQEEFVVSGCFDGPDEGVCGLAHLVSLRFVSLRVQSLRVFRDMGEARVESFGVGVEVMEQAFSACESLLEVARHPWRKSLMNMMAWLRPEVMTSAVLYGIDGLGVSTDDGATADSTPKSDSQFDLAAFYESIKPSLNAKQLEGGLPDLVPQLRPYQLRAAHWMVQREKGNILHQEYVKSAPYCVPIEFVHRNSSMFYNPFNGNISLQPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRQFSTEFSISQNKTEMSQIKRQKVERVECICGAASENSAYRGLWVQCDICDAWQHADCVGYAPKEEILFDDSAEDVPSKNEKNNMKPRIRRKKKPKCSIVDTEDKYVCALRLELTEVAQTNIFSHATLIVCPAPILAQWHSEITRYPVVPTVLTRIYWWRLCLDEAQMVESSKTSVTEMAMRLHAQNRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYERGDRIAMNYTHNFFKEIMWRSSKIHVSGELQLPPQEECFSWLIFSSIEEYFYKKQHATCMDHAHQIIRSLRDDVNRSGSTSDSNALLNVYLSNDDIAKLLVPLLKLRQACCHPQVGSSGLCSLQHNPLSMDEILQVLIGKAKIEGEEELRKIVVALNGLAGLAAIEQRNQEAISLYKEALALAHENSDDFRVDPLLNLHINYNLAELLRNSSEYLQECPLKNKASEVELSRKRKEINIVETDTRCIKRNKTCGNSVSSLTINGMETADEDENVIEQACSTGEMDTENVDEGHSSLKCSADECLRKTCNTITEKYLSVFTSRLTVAQKDFNASFTEVLNITKELQNEHMNWWLHALDCIEQNNVSVDELLKKIDNSSTRSTTGLGARGMSSRSVANLFQVKSIAGLKYAIQADIDSLQSSRQQLMDRLLEVDNTMDNPRDEDIEGQRYCPKCYDGSGSLCIQCELDELFQMYEARLFLVKKSNNDSVIDSVEEAQDLQRRKYELNHFFRNKKSNEGSEPGYDNKNPRSARENIQVRCLYMTEQAATQFGKRKKWIMCPTCRQHTDIENVAFVVEKHSDKAEKSAEDLAEGTISVQGSYGTKVKKTIEESIFKLNRSRAVCSTITRKSKNFKDEPVLTLKDVESLFPMTASDEPPELANQDHDDSLRSLPPSVAAGLAAERRRLMEHDNQQASR >Dexi1B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:1B:16774704:16775105:1 gene:Dexi1B01G0012600 transcript:Dexi1B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRGSDAMHITVVFSSGEPWRPWHQSVTVRLVTLAVCALPSRVLAYRVHQLQATAAVEHQRSPSTGHTHIRTRRRIGGPWSFGVEVPWSSGHRILALSRRILGRAQVDRDGEKRKERSRRYGNNGAQRDAG >Dexi9B01G0022250.1:cds pep primary_assembly:Fonio_CM05836:9B:16908749:16914392:-1 gene:Dexi9B01G0022250 transcript:Dexi9B01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGRAYDYGMDNLKSMGFPVDDLKFDPDLVIRGLIMDKEKGNLVKADRFGYIKRAMHGTQMLSTPSVSEIYGRELVDLRKESRWEFLNTFFSVSEAVMFMQVFYLFGFSFHMIMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEVIVSARKPEFFQLSHPFLNDKIDVLWLTCYPFYQLGILGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALVQSHGQKEKLVTLIQQKEIVGDLFNQLRLAQQRRSNSRPAQTLAATCMDDHELTESMQKLLIVMQRLDEKIGPMLESDGELFNKRYIIRYLNSYSARNPSNLGVQWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQ >Dexi3B01G0034230.1:cds pep primary_assembly:Fonio_CM05836:3B:36991485:36994417:-1 gene:Dexi3B01G0034230 transcript:Dexi3B01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAAVAATAIAAVALLLVLLGSATVLMISSSSEVAAGEDEVFSAESAAVRRGRQVVVVPAMYVFGDSLMDAGNNNLFPPPAPKSVPPYGIDLPLSVSPRTGRFTNGYNLADIIAQYLGFKMSPPAYLSGNGTIPLRAQVQLFAETKATIIQSGLVSHKRLEDLLSQSLFLISSGGNDLAPFDIAGVPMSQAPEFITGIVADYVKYISELYRLGARRLAVFDLLPAGCLPSQRAAMADGECHADGNSLSAMFNAVLRTEIAKAVVASMPCLKYSINSLYNAYSDMIANPALAGM >Dexi9A01G0041440.1:cds pep primary_assembly:Fonio_CM05836:9A:45117078:45117302:-1 gene:Dexi9A01G0041440 transcript:Dexi9A01G0041440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTPRANHFGKYSGENPQAAGVQPTNGSIVYLGGEQLATGGASSVATSHASRIIMAVALAAIVLV >Dexi2B01G0026660.1:cds pep primary_assembly:Fonio_CM05836:2B:35779105:35780969:-1 gene:Dexi2B01G0026660 transcript:Dexi2B01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASFKMEHELEKRQNESARIREKYPDRIPVIVEKAGKTDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >Dexi5B01G0022120.1:cds pep primary_assembly:Fonio_CM05836:5B:24331257:24343739:-1 gene:Dexi5B01G0022120 transcript:Dexi5B01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLWKWQPSMRTTIQLDGGRRRSNSNSLLTYSYWLLAITPSPNAQQRARSRSYAQGKHDERHEEGGADGEIEHRGEQRRGSPLSFGVQRGTGVVASRRRRLTWTATECARPRQAVGSSGTRYVGELMLSTGERSGGPVSDLLDLPPPASFTASPLLHTQISRLQISAPAPTLSLARDYLAAARGGRGEMLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGRGPDCSQSTIFHVQCTCTINTRQALCCHLLMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAIACLMTYMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISMKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAIAPLPTPTGNKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDTGRSRNDSIDHLVVKQTKKHISTPAPHDSYSVLSVSSSGKYVAIVWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALAPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVIGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYRLKLHLIGAAGRHISLYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQGRAVAEHGDLALITVEAPQVTVVRLASFQHAPSIPPFIVPKQSKMDGDDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETAAADATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQSDIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGTKPGLPNAAQASNGPIGAPMVQGATAPQGTPMIQGAPMAQSAPGAQVPMIQSTPAPSQGTDEAKPSEATAADAVPPVADAAAAPSSGEATAAPGNEEAPAAPGNEEAAAAPVTDASSNTDPAAVAPTPAADSNSTGAPGVTPVEAAASAPSTETSEATDKPSSTEASPPPPPPPPPAYESVV >Dexi9B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:9B:2395349:2398430:-1 gene:Dexi9B01G0004150 transcript:Dexi9B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANVQAITGYRHLNNRNLSVFNEFSKQLKGEVKSNPEFQKSIKEFGEKLGVVKEDLKVRTKKTTETIYKSVDDVWSEAEETSKKVTANIKEKVFAAKEEVKENFGIGKEETTSFKDGSPGASEHEKTEASSHSDGTSEDATSTQALFTKLKSTISSASPVVSGAFAKLKDTRVSTLAKQGYEIFKDELSSTSSRKKKHQARHAYDKVEKSTRTDLVIMPTKKSVLGEKWEAFKNKIRGHPVYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQDLNESLLEETTTAVTFREIRQRDPSFSLSDFVVDVQEMIKPGDVEALKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADVSEIKMFGSSPMIILRFQTQQIYCVRDREGQVTEGGQDTIQTVFYYWAMQLMDSDQVPEEESYYPVWRLREMQQAGVKALI >Dexi9A01G0043210.1:cds pep primary_assembly:Fonio_CM05836:9A:46712628:46716086:1 gene:Dexi9A01G0043210 transcript:Dexi9A01G0043210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVRCELRLRLLLLVAGFGVSLLQVCNAQGEGGLTRGSFPEGFVFGTASSAYQYEGAVKEDGRGKTIWDTFAHTFGKVTDFSNADVAVDHYHRFEEDIQLMADMGMDAYRFSIAWSRILPNGIGQVNQAGIDHYNKLIDALLAKGIEPYVTLYHWDLPQVLEDRYNGWLDRQIVHDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDDGLQAPGRCSLLLHLYCKSGNSGTEPYIVAHNFILAHAAASDIYRRNYKVTQNGELGIAFDVMWYEPMTNSTDDIEAAKRGQEFQLGWFADPFFIGDYPASMISRVGDRLPKFTADEAALVKGALDFVGINHYTTYYTKHNSTNIIGRLLHNTLADTGTISLPFRNGKAIGDRANSIWLYIVPSGMRSLMNYVKERYNSPPIYITENGMDDGNGPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWTAGYSSRFGLYFVDYKDNLKRYPKTSVQWFKNLLSSS >Dexi4B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:4B:4746333:4747009:1 gene:Dexi4B01G0006780 transcript:Dexi4B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSAIVTTVTDHFGMPIQADGAPRKIADPFDFGGGHINPERAVDPGLVYDINPQDYTKFFDCTLEPDQDCTNDIGNLYFLNLPYIAVPDLKDSVTVWRTVTNVGPAQTTYRAMVEAPTGVTVSVDPSVITFNNGGSQTATFKVMFKARQRVQGGYTFGSLTWLDGRTHSVRIPVAVRTIIHDFIADAS >Dexi6B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:6B:21510364:21516169:-1 gene:Dexi6B01G0013760 transcript:Dexi6B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNVDVVLIDARKAMACGFDFRGIVEFDLCVPVIYLGGLLTQRLELMDITEGRERDNLVSHQQVDQRRQSFSWPSYNPKIFEDFAGPSNNVGFFTNANNNVVPAPVLEPCPAYQQQQPWALNIFNNTAPPLAAVSTMATTVTYEPQFSHDKQQDDICLPRMAGPFPWPLVMQQDMFQPQAESCRIQPLAGDIFTVMTGAGTFGASMTTAEAGVHVNIDNSHIQSVNLGTEQQGDDRLAAMMMDMHTKVDSAMVPQDVGINGITAKEKASAMPYNGNDNNANHNNDGSFMALDRQVFHGMASDMIDSTMVGGAYGSNDASLVAPRGLVIVAPNGNQLALADNMDGSPMGLVQSPGTVLDWKSNLAAIFSSPWYEENDFFPVEALLGQQDAPMHDVAFDGRQVDATEYTNCDAGGDVATCRSSNTALKVLTGGKLKDVNVVLINAVKAATCGFAFRDIVERDLSIPVIYFMPLDHKAATGEEMNKLIRTLLAATFTISKPFDIEELHVLWRVIAWHKCYLNASRNHGVGGFGRVPSSWAALMARSAVDKVRENVASIKYKYKMARASGNGRKRKTTSTNHGGSSGTGSVAGARPAKGQQRIDVIDLEEEQKRRAMEGNKKKGGKASKFQQPQAMDDHQLPQQQQSMPPHNPRVSSGNSSTSSCALTTNSGVTGTASGEPTPNPATASYVPNNASTSKTSSDSRSPSPSTRTTNCAFGAPQPAAHGLRQHLRRRHGTVCDYGSDNHAGGHRH >Dexi2B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:2B:28991459:28991675:1 gene:Dexi2B01G0018780 transcript:Dexi2B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRWLRGVLLLLLMLMIMATVAVLVRRAAAGGERDGDGHGRVVVRGEAVEGGAAATRTTVDAA >Dexi9A01G0043560.1:cds pep primary_assembly:Fonio_CM05836:9A:46967483:46969216:1 gene:Dexi9A01G0043560 transcript:Dexi9A01G0043560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFLAAEEVQESADRMESVYRIWVQERSAGDSEVAGVNGGPTDAELRRELHTALGTAKWQLDELERAIRSNDQVISAGKDTRARHDDFVTAIGYRISEVENILKESNVAEGRGPLSWVHLDDDERDDLAAFLSAGPFQQKDKVVTILSAGDIEVGSNTTRMKNDISSDSSKDSAGSTDLILGRGKEDLHRGHRRAASASADIGSWSISIPNECEGALEQSSDGPHKAPLLKIVKTCALTSALQSKPRTKCKNGTVRWAGVNQKDIEEAIPLTTSQLTPGLDGCFERNKSCLSTCDEGTYNKKLYGWLGALHRQLQRSQYQIRYGRPVQLIVLALAALVLCKSVIPLFLKNVSLSS >Dexi3B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:3B:8495878:8498081:1 gene:Dexi3B01G0012140 transcript:Dexi3B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISMRRLIKFMEGKEEKNIAVIVGTVTDDKRIQEVPAMKVTALRFTETARARIINAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Dexi8B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:8B:24108970:24109311:1 gene:Dexi8B01G0013730 transcript:Dexi8B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAANEGEQEAAAAPSTTTAAPDVNARPPDAILDPQLFMAARRGDSKRLKELLQLRNDGERRERSLVVVKPTAATTPSHHHHHQQQQLSLMG >Dexi4B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:4B:3170070:3176753:-1 gene:Dexi4B01G0004450 transcript:Dexi4B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVSAMSAISGELISLDEILSSRNLEMNAVLGKIAATTQELAHYHSGDEESIYLAYSDFHPYVVGDLACAKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLIRGDSEKSHHHQRVVELQRLRSIFATSERQWIEAQVENAKQQAILSILKAQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVKAFVSECASSYLILCFTVQSCVSLPPSILLFSLQTIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACHLERKNIASAYSLLRVIESELQSYLTAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNVQATVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFVDFFCNPERLKNQVRELTSRVKALQD >Dexi2A01G0028330.1:cds pep primary_assembly:Fonio_CM05836:2A:39602567:39610860:-1 gene:Dexi2A01G0028330 transcript:Dexi2A01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLAWKRSSEIFHLTLDYGDYADTDDRQPPSPLPPASSSPTSSASSTPSCSSSPTAATLSGDLGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVSVDLTPMEGGEEQGVRIEMRVVRRREALRSVRVSRATGSALGSGDGGGVLARLIRSNLAPAPAVDGPTAAAGLPVLADHWRPVAMLSLCNCGLSVLPVELTRLPLLEKLYLDNNKLSVLPPEVGALKNMKVLSVNNNLLVSVPVELRQCVMLEELSLEHNKLVRPLLDFRSMPKLRILRLFGNPLEFLPEILPLHNLRHLTLANIRIEAVESLKSVTVQIETENSSYFIATRHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNHVAISKEENAVRQLISMISSDNRHVVHTTTLNYPLCRENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMTLDQCEEIYTKLGAYLLPLEPIPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSAMPAQPYIFRNYQYPPGTLEVSPGMAESPSIGAIGTAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSVVDERCGMELDETDPALWLKLEAATEEYIQKNFLAFKNLCELLVPRYQEEEKSSDIYKSLSFSRLTSLNQGLSESNPTLGWRRVVLLVEASFSPDSGKKINHARSLESFCSQNGIRLALMNSASGFGKPATALPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGHPTTKSSPPTSPLSSRQPSAHVRSLYDKLQNMPQVGVIHLALQNDSTGSVLSWQNDVFVVAEPGELADSYPSLQVMEDNQEIGAYMFRRTVPAVHMAPEDVRWMVTAQLLNQTCYHLIVISKTCDLAHLCFKPCKANRTCLGQVGAWRERIIVCSGKYGLTNGLVKAFMDSGAKAVISSSMEPPDSQTVAYYGMDVNGSLENGKFVIGDEEAEEPEPEPVSPISDWEDSDAEKNGNHDMDDEEYLAQFMCLLYDKLFREGVTVDTALQQALRSHPKLKYSCHLPNVL >Dexi3B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:3B:5184066:5184536:-1 gene:Dexi3B01G0007300 transcript:Dexi3B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVQLPTSPASGVRPSRLAVSAVAPGSRVKEEEEEAKGARKKEKIVIRVSDPVRERRLPPPLFSEPDEPSERPPDPEEERRQGNEDGEEAKKQYYVNMGDAVRKLREELPVVFYREPSLGIYRFVQLQ >Dexi4A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:4A:1254979:1255606:-1 gene:Dexi4A01G0001880 transcript:Dexi4A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPVPPTGDHRVAKTLSDYCAYLVAFVPDMLPGHGYDTQRIFDAVVVEARERLTGCDTLSSRCEKLMVAVSPSDTILELGSRLGRELRSVFPEERRWKVLADFWAEFILFLAPSSNVEIHAEKLAAGGEFMTHLWALLTHAGILDRPSTTDAAAARVNCGT >Dexi6A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:6A:26451508:26451852:-1 gene:Dexi6A01G0018780 transcript:Dexi6A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFMYTDALPGDEELEDSPSEMLLQLLAAADRCSELKNKCIDFFVMEGNFKKAMLTKGFVQLGQKFPSIIDELRERVGL >Dexi9B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:9B:5673349:5676414:-1 gene:Dexi9B01G0009160 transcript:Dexi9B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFRTSSQRERWIFQPHDLVEKWAAANQRAADILAQYGTTLVKVDEHDGSVNPPDQSMPFNSELTHMATCTIFSVELESFGSVEGITYAKPLSYEEEQLTRVFYEQKIQEATAIIFFKRFFLQWSVMEHHPKHIMLTCIYISCKVEENHVSAEELGKGIKQDHQIILNNEMVLLKTLDFDLIVYAPYRSIEGFIDDLEGFCREGNGALQRLKLALAALHKSNDILGVFDFERYLETVFSRQHSECTVEQFVQSINAVHYLVKLFDWLGMIRFTYK >Dexi6A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:6A:4090665:4092086:-1 gene:Dexi6A01G0004500 transcript:Dexi6A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSVRPSLLSAAGASPPSRSAAAVVAKAARGFQLFRIDGYSLTTRLPSGERISSEPFAVGGRRWCVDYYPNGRDGSTDSDSDAIAVYLRLLHGGHGSSSRRKKERVRADYKLSLLDAAGNAAYELPAETGIFVPAAGYGSSSDDDDDDDEEDSPRRRKKKKPSSRGYDAFVSKEDLRRRRESLLREDCLAIRCDVAVAEVETVAVGQMMRHRRRRSPSYSSESSDSSESDEDASRGGRRGQTPADDKEYIRRETYLALCADMTPPHLATRCHLRRRASPTPGNMVPMSLFNIGSE >Dexi2B01G0034950.1:cds pep primary_assembly:Fonio_CM05836:2B:42110538:42110747:-1 gene:Dexi2B01G0034950 transcript:Dexi2B01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYESADDRPAMDEVVKMLSSGEGVTLPEPKQPAYFNVRPVGTEMSVSCDMSISITLSR >Dexi9B01G0040620.1:cds pep primary_assembly:Fonio_CM05836:9B:41150278:41151906:1 gene:Dexi9B01G0040620 transcript:Dexi9B01G0040620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPQYKRTSAPAPQEISSAQAQAIPCQAQPVPTAAATPPVFGPGAWCLPQPQSGASSSVPYWFSRLQHPGMAGSSLQVLGGHLQALVPLRILRTQICKFGDQIPTHLEPKWDAYLERLEQLEPENRKFCAEEDMGQRFSLDDAKDERPIGGKQAKEQLKRKRKDQACLVDLEDELHKFVDAQNIATEGRKEMLETQKQVSSEKLEARKLAYLTAKEHKESVMLETYRSLMMQDTTVMSEDMRSEHVLAVRCFREKLFGKAE >Dexi8B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:8B:24425604:24425873:-1 gene:Dexi8B01G0014070 transcript:Dexi8B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRYLVIDQFHVPDTRGIASSDGGIDLGLGNLPSLQSVRVALLQEGAASDEDMRQAKAALRHAANAPQSSCAPNRYSKNGRRCAS >Dexi6B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:6B:4059322:4061049:1 gene:Dexi6B01G0004770 transcript:Dexi6B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFPFFLQGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLFGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >Dexi3A01G0021910.1:cds pep primary_assembly:Fonio_CM05836:3A:17485089:17486224:1 gene:Dexi3A01G0021910 transcript:Dexi3A01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSIRSALARALVAPKLQGPRGFSATAAAGETQPERVAAEMVRYALGGAVHRSSPDEAMRILEQGALNLQGGGEGSAEAVGLLMLAMSTLLYRSRRRQDAMEKLKATQQVAPSASFRV >Dexi9B01G0023850.1:cds pep primary_assembly:Fonio_CM05836:9B:19280903:19284639:1 gene:Dexi9B01G0023850 transcript:Dexi9B01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAAMLPASMILVQAIMVGMLLLSKLSLSAGMSPIVLTVYRNIVDAVAVAPFGLVFERELLKKVNWVVLAWITGNATFGVSLAMGLYYYGLRNTSADYSAIFLNLMPIVTLIIAVLIRSEKLALQKWYGRMKFLGALFCMGGTLLVSLLKGPVLHIWPTGLLKGYQHANAASATDAHHNIVVGTLFLCGELCSILDARLAKIFPSKYWTTVLSCLIGSVQCVVVAICLSHERAEWALKWDLQLVTISYSGVFNTGVMFVLISWAISKRGPIYPPMFNSMFLIVSTILDSVLLGTNIFVGTVMGTVLIVLGLYGFLWGKDEELKIMVAARAQQEAAVAHEHQPGAV >Dexi2A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:2A:5802702:5804607:1 gene:Dexi2A01G0006140 transcript:Dexi2A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPVSPPSGTVVVVAERPAARWSIRWALDAAVKFVWSNLAVPCLLQPFRDHLQHFAGRFLRRHARRLVGVADPYLTVTVAEHDGGEGTMRPRNDAYEEAKAYLGRRCCARGGGGARSLRAERARDDAGRRRFVLSLGDGEEVVDEFRGATVWWFHSAAPRRDHHHDGDVVAVEDDAAAATAGRTYRLTFHQRHRDLVVDSYLPHVCREGRAILSATRRRKLFTNTGESWSHVVFKHPSTFETLAMDPRKKKEIIDDLDAFRNGEDYYKRIGKAWKRGYLLYGPPGTGKSSMIAAMANYLDYHIYDIELTSVSTNTDLRRMFIETTGKSIIAIEDIDCSLDLTGKRGTTTDATKKKKTKKKKSDGSGTTSSAVRNLTLSGVLNFIDGLWSACGGERIIVFTTNHVDKLDPALIRSGRMDKHIEMSYCCFESFKFLAKNYLDVDAHHLFDAVAALLKEVDITPADVAEILTPKQRAVAGEDADSCLAALVEALQKVKEESLEKKKKAKEEDAAQAKAKKAGGKKGKKEEEVEVADDDDDGDVRVLLREDDVAELLTSKCAGDDEGSCLDGPVEVLREGKETAMAAA >Dexi9A01G0026670.1:cds pep primary_assembly:Fonio_CM05836:9A:30043004:30045177:-1 gene:Dexi9A01G0026670 transcript:Dexi9A01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIAERGGRSRRGSRGGWNPSATGGGRPTPVPNQGFGRGERGRQGGGAAAGAAAGRTSVAGRQSRRGGTMVWRPRHPQSPVESGSADGGATSPAVAAALDNEDILGEILLRLSPGPSSLPRAGAVCKRWRRLVTDSRFLRRFREHHRKPPLLGLFTHNRGKIVFSPLLDPPDRMPPAAGFSLRLQRGSRVCGCRHGRVLVVTSNPFSFLVWDPVSGDQCLVPLPRTSGGGNYMIDGTIICAGGEQGHVHGSCHSSPFLVVFVGRCGDEIIVWVYSSETGTWGDAISIMWPSPFDPDDFDCCNTLVGNSVYWLFHESNMVILEFDLDRQCLATVDVPPELTGLNTSVRDKFQFLIMPASGNGLGFLILDGLNARIWKRNDKCDGDTRWMLTNIFKLDLPLRSWARRCFSEIIGFAEEYNMVFISIGGGVVFMVHAESGTAPVFSLMPPAMSKEDLLTHIEVVKDQQLNPKIEDCEMDMWDPMIHEASIAHLPL >DexiUA01G0001530.1:cds pep primary_assembly:Fonio_CM05836:UA:4145563:4146304:-1 gene:DexiUA01G0001530 transcript:DexiUA01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGNGFDAASRMFNIPYSEKSPSKLGTGKYNYLTRPIKFFDLMEELFGESPRATGSLAVDQGNLDAEDDRSESGSDDSFTAEDDSIASSVPAPTDPYANLWKRIEDIPFPPWDKVDIASFLSKPEQ >Dexi9A01G0027090.1:cds pep primary_assembly:Fonio_CM05836:9A:31421793:31423873:-1 gene:Dexi9A01G0027090 transcript:Dexi9A01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLIILAALLAAVALLAPGAAGQDYPWLVCDYAARNFTPSSRYLANINFISAALPKNASGSLDLFATAVVGAAPDMVWALALCRGDANASYCLSCLDQASSTLPNSCPYNEDAAIYYDKCMLHYSPTGFDGSGTTYQSANYEDLTLEESPRFNRFGASLMKATADYAAHNSTRRYAAGEADMDLPDFPKLYSWAQCTPDLTPARCRRCLAAVIEQLPQLYTNSSVGMVLGVRCSVRYQTDPFMDGPVMVRLGEGRAMAQLSAAPAPAPAAILPSVTPKPGEDDSGENELNWEQRYNIILGIAKGTRYLHEESSTRIIHRDLKANNILLDEDMAPKIADFGLAKLLGEDHTDTKTTRVVGTL >Dexi9B01G0026900.1:cds pep primary_assembly:Fonio_CM05836:9B:29300212:29300619:-1 gene:Dexi9B01G0026900 transcript:Dexi9B01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDELPPDNFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRERLRWCYRIEGINHHQKCRHLVDQYLESTRGVGWGKDARPPEFHGLNPLVLQPKKVAEAE >DexiUA01G0011920.1:cds pep primary_assembly:Fonio_CM05836:UA:23750548:23753373:1 gene:DexiUA01G0011920 transcript:DexiUA01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAATPDPSCSPFPSSRRAPASFVLPRRAAASSGPPSLRCHGGLPRCHAWWRSNGRARRGSGGAGRVRHCRAVASAPDHMDELPARGRYHPFEEIHEAAKLDDGEPAHLTDAESARTIVEVNNKATVMISTLVGDGVHERIILPEFPYLTDENGDIYFEVDNEDALLESIMGEDKIAVMTLFIHPHFLPCFSVSLHELYLSTATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVAKHLSNDEPKDKKESGATFFKVEVLSIELITAYGTEACLFYFTCSSNPKVKIEEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQVEEAAVIGVDCLGFDLRMCSGTQVQTLRFAFPTKATSEFSAEKQIHELLFPRNTHQEGQSPQAQHKS >Dexi1A01G0027010.1:cds pep primary_assembly:Fonio_CM05836:1A:32883282:32887335:1 gene:Dexi1A01G0027010 transcript:Dexi1A01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGFSWALPDHPKLPKGKPVAVVVLDGWGEANPDEYNCIHVAQTPVMNSLKNGAPEKWTLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGDGFNYIKDSFENGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSIGFVETLENDLSQLQEKGIDARIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPKANDQYLPPFVIVDDSGNAVGPVLDGDAVVTINFRADRMVMLATALDETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVAPKMKALEIAEKARDAILSGRFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKSGKPLLDKSGGIQILTSHTLQPVPVAIGGPGLHPGVKFRTDIQTPGLANVAATVMNLHGFEAPADYESTLIEVADN >Dexi3A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:3A:597927:598436:-1 gene:Dexi3A01G0000800 transcript:Dexi3A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYTPATVLSLLRRLPRRRTAAAAAGHQLHALLAKLGLLLQPAFLPALLSRLPPTSPSSLSLLLAAPPCVLSPSLFCPVIVAFSSSPIPSSSLLLFNHVSSLSIPTPLPAFPALLKSCARAFRLSARTGTAAVFAAKGCELHCRLLKLGCEQDRYVQNGLVSMYGKFE >Dexi8A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:8A:19601140:19603589:1 gene:Dexi8A01G0011100 transcript:Dexi8A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATSCGAPSPPPASGTGGEGGEITYVSYGGEQHLPLVMSLVDAELSEPYSIFTYRYFVYLWPQLTFLAFDAKDGKCVGTVVCKMGEHRGTFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNNGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGLPPMMIGSERDDQQIDSPYL >Dexi5B01G0034230.1:cds pep primary_assembly:Fonio_CM05836:5B:34464269:34464994:1 gene:Dexi5B01G0034230 transcript:Dexi5B01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGGSDDVHVLDADFFFCVAATSRRNKSNISYFHTNAAAGEDARSALALAALCLDHAPDHHRWHHHTVAGARTFAFLSAGDGRTYFAAADPTPGAAEVVRFLERVRDACDAAPRRRLRDEAVAPVARQFAELLRAVAGSGGAGGSAAALPGASPQARLLPPTPLAPVCAADAGDEKDGENQRAGAPRRAVRQDRGSARPGWWRSWWRHAVVVIGVDVVVCLVLFAVWMGVCKGFRCLTR >Dexi6B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:6B:19670017:19676852:1 gene:Dexi6B01G0012120 transcript:Dexi6B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELAELADATLQGAALMADDDPSADDRPTRRASSFLTVVVPSVVSPDDSCKWWLCLQPTGENGATRAPVCVELQRDASLSSKAVVLQIDGKAQQVSASSLRNSLQDRFSKAGSSGKGRSEEINVKLCTSTAPALKLIDLPGIDQRSMDESTIGNYAARNDAILLVIVPALQAPDVASSRALRIAREFDSEGTRTIGILSKIDQAAGEQKALGAVQALLGNQGPRTAADIQWVATIGHSVPTASVQSEVGSEASAESSWQAEVKSLISILGGVPQSKLGRVALVDSLAKQIKTRIKVRLPNLLNGLQGKSQIVQEELAKLGEQMVQTSEGTKAIALGLCREFEDKFLQHIAGCEGAGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLVSPEKGLRSLIEALLELAKEPSILLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALDGFKNEARKMVIALVDMERAFVPPQHFIRLVQRRLGLLTTLMERQRQEDEVKNRSTRKGQDSDKKGVCYSLFGLFHCQDTSPQINSDQGEAKQSSNIQVLGPAGEIIAGFLFKKRAKANDWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEDCNLEEVLDDEDPPRSSKDSKKANVLDTGKDSSLMFKITHKIAYKNVLKAHNAVILKADSMSDKTEWVAKIKSIVDQKGLSAKKPNASEGAAPMKPSHSDGSLDTMLKKPVNPEEELRWISQEVRGYVEAVLSSLAANVPKLRPQSIQPFLNTFLRPAMSY >Dexi9A01G0041410.1:cds pep primary_assembly:Fonio_CM05836:9A:45088100:45089232:1 gene:Dexi9A01G0041410 transcript:Dexi9A01G0041410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLPQVAALFAAFLAVSFGAAVWRAEAAAAPPVVVGSIKCLDCSLNGVNAEDAFQGLQVAIKCKSGGADETYETKAVGPLDDAGVFRIPLAAELLRDDGNLDHDCFAQLHTAPDTPCVGQAPPRIAPATQDDTTTTTYLAAAADTVFSPVACACGKKKKHFMFGPPPPPPRPTPNPPTPTYGPPTPTPAYGTPTPTYGPPMPMPTPVPEPRPPAPEEPEPEPFFKKKPKMKLFHKKKPCPPLVDDEGTARPAAGEQEKLSKKLN >Dexi1B01G0022480.1:cds pep primary_assembly:Fonio_CM05836:1B:28231048:28234364:1 gene:Dexi1B01G0022480 transcript:Dexi1B01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGAAQKVSAVLYHYPCPDGAFAALAAHLYFSAAARPVRFFPNTVYDPIRSDSLPLDEIQDVYLLDFVGPPGFVEDIAPKVERVTILDHHKTAFESLCGNSTLGKNVTKVIDMQRSGATIAFDFFRNKLLTEANILRGNESRKDVAEVKYVPDNKVEAVQKLFKFIEDGDLWRWKIPNSKAFSSGLKDLDIEFNVNANSKLFDQLLELDPEHVISRGQVTLLEKQRLIDECLGKSYEISLGCGQFGNCLEYGGGGHRNASSFMLSITEFGQWKVGPEPSQTKGS >Dexi7B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:7B:23846246:23846809:1 gene:Dexi7B01G0017950 transcript:Dexi7B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPWSQHGHAVRELRQSRSTAAAWQQGDEARQVNGSFRHSAEQVVCVQACGCGELLLGRLCMVGCQAWLGSGSCIKTHGTKARQMGLGRRRAQGGLIGVHGRTAEEKGRAGLEGSPWACWRSRRGDGGQQVRLEHVGVAVSSSQFVFDISSNTWWASAMAAPRPSASGGRRRGGKRAWRGRRRARR >Dexi6A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:6A:20997526:21000421:-1 gene:Dexi6A01G0013730 transcript:Dexi6A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKTKKRVAIIGAGPSGLAACKHALAKGLRPVVFESGEAVSGVWARTLSSTRLQTPAAAFRFSDFPWPEEVTTAPDMDFPRHDQVAAYMTSYARRFGVLECVRFRSKVLAAEYVGPPETEVAAWERWSGNGEAFGDGSGEWHLTVQHSEAEETQTYKFDFLILCVGRYGVAKVPKFPQGRGPEVFHGQVLHSMEYARMAHADADELIRGKRVVVVGSGKSGLDTVAQCAQANGTKHPCTLIYRSSHWMVDAKLIWGGISFGKLAMTRFAELMVHKPEQGFLLSLLATIFTPLRLLMATLVEAYYKMNVPLQKHGMMPECSFGESMLGWRLGTLPEKFYDMVNEGSIKLKRSHSSFSFCTNGVVLDAGTGQRVVVDADVVILATGFDADKLLSTVFVSPRFRDIIVSSEASDTMLPLYRQCVHPRIPQMAVVGYVECAASIYPYEMMAKWVAHLLAGVVRLPGVEDMERSVAEWERWGRWAKRRSGGVFFLRSCIGTVTTWYHDQLCRDMGYSPRRKKGGGILAEWLQP >Dexi3A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:3A:15909347:15910161:-1 gene:Dexi3A01G0020000 transcript:Dexi3A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPALQSAADGTELSSQAPATSQFPLRHRAGSADTTEPTLHGFWKGNAPTSVSILLRTSSRRLLSLATSASSAACPCSLLRSCWLVARSFLTSSSKKAACAFFFSRYLRTASLFPNGFRGLAGSSLASSSSPPPVRTWVCVCRHVWVCMGADGPGGLQLCVWVQVVVEVLRRSSKMSGISGWEKRNWQWREAAELTN >DexiUA01G0005160.1:cds pep primary_assembly:Fonio_CM05836:UA:9320153:9324537:-1 gene:DexiUA01G0005160 transcript:DexiUA01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGELQCVGRLEVAAPPPARYLRVGSLPVPTDSSASLPALLPSPSPTGAPRYQKLPLETDLNTLPMIPNIPEKVFPNDAKNTEGSRYDGGLVNQNLSRKCEALAVSGLAEYGEGIDVIAPADILKQVFKIPYSKAQVSIAVNRIGNTLILNTGNEKYIAVSLHLWDVSIQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKVVSDDGTPAFHPQVVQQNGLAVLKFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPEKHKAGDPRSSCGPMSSFINKGRKESLFSLGTLLYRVAHRMSLSKVPSNKAKCAKFFRKCLDFLSEQDHLVVRACAHEQFARLILKCYEELELTSESFMIESEVTLTDLDDDSPELRLENLPSKQNVLPEIGKDEPAASDSILECSPLVSSGTTDSLMEPSNVDDCSSSSVTKDDLSVDSLGVAAKIENGS >Dexi9B01G0034560.1:cds pep primary_assembly:Fonio_CM05836:9B:36475460:36479800:-1 gene:Dexi9B01G0034560 transcript:Dexi9B01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPSHSHLRPPPVKRAPLAIPLTGGRFLSLLNLRGILDGHWRLLNESMLSNRLAPLLSKGCISSLRTSSSFSSSPIQPWLFVGLGNPGEKYQCTRHNVGFDMIDAFAQSQGIPLTTHYFKALFGEGMIDGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGFGRHNGLVYCFLICFARQQENLPPGKPSLLCLNSKIRRVIPTLSVTKFVHRHSPLTYFVGRHWYHELDKQNAKNLQLKSLIYHFRRNREFCRLRIGIGRPPGQMDPKAFVLQKFNRTGRERIDSAIKEGVDILKMVAIKGLTEAARLSNADQKYKHLRSHDLQD >Dexi4B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:4B:15386142:15386688:1 gene:Dexi4B01G0014440 transcript:Dexi4B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTLLPVVVLPSLGRQSASSLATRCVRATCAVSDWVDGPSPAVPTAPAGSPVCFAQETEAEKETGKSGFWPLVAFDFI >Dexi3A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:3A:2836827:2837630:1 gene:Dexi3A01G0004330 transcript:Dexi3A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYTLPLPCICVVVVVLLVSPSRALAGTGDPTKGFKAVSLNESNFVLQKPYDLPSAARYRFHGGVRQLWVLSSDKPHTPQSNTKPRTEFRMTGYDYSSGVWQFEGHGFVPSGTTGVSIMQIFGGGETATTLMLHVYDGALRYYSQQVVEDNIYDRWFRLNVIHDVDASSLAVFIDGVEKLRVPGRGGDSHYFKFGVYTQHNSSSCMESRWKHVRILRKD >Dexi5A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:5A:1984869:1985706:1 gene:Dexi5A01G0002750 transcript:Dexi5A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIVGAAALAMLAVLLAASSGAYGRDFNVGGRGGWAPNPTEPFNAWAERNRFQVNDTLVFRYNKDVDAVLVVTPSHYDACNTTDPTLRLAGGDSRFTFTASGPYFFISADEGRCKAGERLIVVVLAVRSSSSSNNNNTTSPSLSPPKSSSSSPQPPPPKSSSSPTTPASPSPPKSSAAATSPPHVNTPAPPHAMSPPPSEGKNASSPSLAPVPAKMNGTSSPASPSSAVALMRGDVVAWLVIIGGAAMLI >Dexi6A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:6A:3484316:3485502:1 gene:Dexi6A01G0003730 transcript:Dexi6A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRSASMDVSVSIPAAAVAGSPMEIMSDDKIAIIIPQRSPSNKILPLGFQQNEPSHPPPSGFAKRVVVPLLKKVVAEMLGTFLLVFTVMSALIMNEQNGGALGLLGVALAAGLAMVVIVSSLAHVSGGHINPAVTTAMAAFGHLPLSHFAPYVAAQLLGSTAASFAAKALYGDPINLGATVATVPKVGAMEAFLIEFITTFTFLFVVTSLDTDPKAVKELVAVGAGAAVMMSALVSGKSTGASMNPARTLGPAIATGTYTNIWVYMVGPPLGAIAGSGAYHALK >Dexi4B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:4B:19940630:19942874:1 gene:Dexi4B01G0017600 transcript:Dexi4B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLASRPRTPHSASPARSGGGGAPAAMRKDAATGIAPGTAAAAPALLCFDAKPFLAALTALTLLAAAWQLRPYHSLLASPFPAAGERSLPRVLAVHAKTSSSSSSHSAAAAANSTSSSPPPPPGPERREFRAIGNAAALFVQMGAYRGGPYTFAIIGLASKPTHVYGKPWFRCEWEPATTTTNSSSSPMRAAKAYHMLPDWGYGRVYTVVVVNCTFPQIPNADHAGGKLILYAHYGPSRSPSSPHERIVALDESPGAVPAAAFRRGPPRYDYLYCGSSLYGNLSAARVREWMAYHARFFGARSHFVFHDAGGVSAAVRAALEPWVRAGRATLQDVRAQAEYDGWYHNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRKLEDVLAELEPYTQFTIEQNPMSSRLCVDNPEADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENIIGNTTHKTEHLIRYYHYHNTINVLDEVCREFVPIPPKGGLTWSEKTPWYYDNSMKRVADAVREFERKAIGDVRL >Dexi1A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:1A:3961257:3962555:-1 gene:Dexi1A01G0005420 transcript:Dexi1A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATPPAAAAAGVTATVDLSPAAANLGGAQLLPCVIRQNAAAPVSDYFKPRSTGVEVEGVKVEEAFFRGRKLQGATLALPDGYRGYVLEKKSGEKDTQNSDGEFSSFVSRAEFQSITYWNHDVTPSAEDSIPRCFHWLTVANAMHKPVTAEELSNISALQNQDN >Dexi6A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:6A:10645276:10647045:-1 gene:Dexi6A01G0009280 transcript:Dexi6A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSDQAQNRSETPELPKPLVDDDGRPLRTGTVWTASAHIITAVIGSGVLSLAWGVAQLGWAAGPAVMVLFAAVIYYTSTLLAECYRSGDPMFGQRNRTYIDAVRATLGESKERLCGGIQLSNLFGIGIGVSIAASVSMQGHEDPCHASTSPYIAIFGVIQIVFSQIPDLDKVWWLSIVAAIMSFSYSTIGISLGVSEIVANRGLRGSIAGVIGAGASDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGSGAPDNMLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMTQPVFAYVERRAAAAWPGSALVRERDVRVGQVMAFSVSPIRLVWRTTYVCVTTAVAMLLPFFGSVVGFIGAVSFWPLTVYFPVAMYIAQRKVKRGSTRWLLLHGLSAGCLVVSVAAAAGSIAGIVEELKAHNPFCWSC >Dexi5A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:5A:3844052:3844819:-1 gene:Dexi5A01G0005050 transcript:Dexi5A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRRCTMSSPAQRPPTPAASAWRAAAGTRLRGPSSSLRPWFLMRSAADGRYYFLRPTGDHHDIRPVVVPPEVTSSEGEAILCALRGWLPVNDGGRLFLRDPISLAEVPLPPAIPSMDDQDPAAAYELSEIFLSDDPLDAPDRRCMAFAFFKAGGDGDGRRILASCVPGVDAEWARFQLDEGDGGGQQHVVGSYWGTLEFFRVQAYVLLGSSNCTLAVCDVHTRRGVSWGSAGGACGTTPSGAWWSAVETSWPR >Dexi3B01G0023110.1:cds pep primary_assembly:Fonio_CM05836:3B:17892982:17895256:-1 gene:Dexi3B01G0023110 transcript:Dexi3B01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLPRLPAPQYASQSQSSIPSSLTSWPCGCCIFLSVAQVHQFMEVKGKDVWGLLFVLLLGQLVAFSMAISSFTSSLIANLGVHAPLAQSFFAYLLVILLYVPIVLYRRRKLQIGLFAGYAMAFSVFYTVTPYVLKSGGSTLFNLSLLTSDMWAVAIRVLFYRQQINWLYYVAFGVVAIGLIIYSMK >Dexi4B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:4B:1687754:1691210:1 gene:Dexi4B01G0002630 transcript:Dexi4B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHQGSSSAAAGEAPTTGEHRMGTTIVGVCYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYAFFDHEWKEGMSQEEAEKFVVKAVSLAMARDGASGGVVRTVTINADGVKRNFYPGDTLELWHEELKPHSSLLDILAAGSPDPMVQ >Dexi7A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:7A:525234:527332:1 gene:Dexi7A01G0000310 transcript:Dexi7A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVTSKKHSKGRNLLAACDTTDTENDAPQVAPSIAPDLQAKELNHFPTNCLTGQDFLFYLAAKKGLINLTFKAAYKKLKA >Dexi1B01G0020830.1:cds pep primary_assembly:Fonio_CM05836:1B:26841916:26842141:-1 gene:Dexi1B01G0020830 transcript:Dexi1B01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFCTSARHGAPWLLGGTQMVAADRLGRHEGVALRVRCACDGKQKSRSAVAPLLSHQPFIARRWQAA >Dexi6A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:6A:7715188:7718565:-1 gene:Dexi6A01G0007790 transcript:Dexi6A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKASFSRTLSRLLFERTKDISGPYDHITHVSLPPEAGRSTGNHWLAFEVLMEMARTLEISQRDEEEVQKLRQLAAEECYFGYGTLSENLMFRALGYQYVELFGSLSMAWDPSHDRQRSCSLLLQRIQEALQGKRHLLLVENLHVPASLEVLVYIMSRRRPSPYQNRWLISTTSEEVYVASRWDMGADAYGDEWRLSSEHYHHPNFDGLCDDKDWAALIREALRDVANSIHSALKQQGRDEEFWLHIAMNCFYYAILYHPLQLGAAGRKKPSNDSFVSSDELVRCWVAEDLVFSKTSPTDTPAARGEKPNSNYYRGCSELHTLSPQAPASVKESSPLSDLGKLEVLDMNGVPLLELTQQDGNNKSNLHYLDLSAPSPLPNIKRIYFQELPQLQHLHDDVTFQFETPNWEKLLVRGCHSFHRLPLLKQKYPKSKVVVSGKRDWWGMLQWSLRGQSDYYMHVPPPEFISCKKHIIRSYL >Dexi6B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:6B:10815646:10820173:-1 gene:Dexi6B01G0008570 transcript:Dexi6B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQYRAGDERRSPSPPPPTPLPLAAPSSSGSGSADAHGGDSGGLVLRQPPTPPPPVAADSADELRRQAEKAKIRERILREEAEQWELELEVRREIREELLRLSWPALGRSAAGVLAPPVAASPVGFGSGNAPLQAINHDEDHPIAKVPAASPPVKRKSPDRGAASTVSAATSSKKQKNNLTCMVCGISATSEKAMQDHINGKVHKRKATALLEQLEAMTETGHEAGEEVLVPSGDHTPTKLTMLTNAGALNEVMQMDGYLLCEVCNVRTLDRVTMMCHLEGSKHISKGQKKGQASSKPLDEALMKKGGKGASVQEAATSDMVSSDPEKLVLEVYGVPHTVRRLEGFLLCELCNVKVPSVNGIRHHLSGKKHKNKAKASSDASANDSTGVNEADKVQLMETDTAVIARMAIQLEAPSAKSLEAKVGDDSEVQETTVTSTNDVAIGDNNKTNAKKVRNASASVAAALENNLHDSESLAMEVDSVHHPLQRVNGFLICPCCNVKAPSETIMRSHLSGKKHKHKMALAARVNIKDASILSTGADEVQGSSSKSMKANVEAESAPLPVTQEKSAAAMAPMDVHRSCSPESGKANGEAEPPPSLIITTKVDGPTEVQPATRIEGEHTAAAAQVSSTHMGKSVKTTALPGMPIKIQVEGKVFTVLQEQNGRLSCEPCGVHGCNKDGMILHLYTRTHWDRANLALKKKEQEDAVVVDNDGNGHI >Dexi1B01G0021820.1:cds pep primary_assembly:Fonio_CM05836:1B:27622452:27623513:-1 gene:Dexi1B01G0021820 transcript:Dexi1B01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTQYHHQSAEAQEKLPADQVEVKDRGLLDTLLGRKKPEEKKPEDELATGMEKVTVSEPEKHDEHKKEEHGNGEKKESLLAKLHRTSSSSSSSSDEEEEVIDENGEIVKRRKKKGLKEKIKEKLPGGHKDGHVEGEHHTVPVPAPPVQTHAYKEDDHPAAAHHNSHVDTPHGYNKEDEHNKPYVPPPTPLPVETHVHHHEHATVVQKVEDDTPPAPEEEKKGLLDKIKEKLPGGHKKPEDATAAAPAVHAPAPTPHGEDVGSPDGKEKKGLLGKIMDKIPGYNKGSGEEDHKATGGAAAAGEHKTSSY >Dexi4B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:4B:2136981:2137420:1 gene:Dexi4B01G0003140 transcript:Dexi4B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAFLRCPLAARNLAYDEFEGFNSKSCRFHPVRYLRRNPTDWKPCQKLSASPSRGWTQRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVVRLSESYPFGLPFS >Dexi9B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:9B:3123741:3124807:1 gene:Dexi9B01G0005330 transcript:Dexi9B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRMAAAAVLLLAAAMAAAPASGQQVATSCTATLITTFTPCLNFITGSTNGGGSPTQGCCSSVAEMVRTGADCFCLILTGNVPFSLPINRTLAISLTRLCGGMSVPLQCRDTATQIPAPAPIPPESSVQPEPDSPAASPAVDSPPFSQRPVVVPSSAWSSHVSLAAVAILLPIAASIFV >Dexi9A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:9A:10677893:10681461:1 gene:Dexi9A01G0015770 transcript:Dexi9A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTAMDQYEVLEQIGKGAFGSALLVRHKLENKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVDKGCYVCIVIGYCEGGDMAEAIKRSNDTHFSEEKLCQWLVQLLMALDYLHAHHILHRDVKCSNIFLTRDQNIRLGDFGLAKILTPDDLASSVVGTPSHMCPELLADIPYGNKSDIWSLGCCMYEMAALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLVRSMLRRSPEHRPSAAELLKHPHLQPYVLQVHLKSPPSRSIIPLHQSPTEKRMTFSAEPIYRSKGRRNSLGNERIVTFSKPSPERNSISYIPGIKDYTTTQGVKELSVDDNQVEEITSKNIASRISNVMKTPKTTPWKMMTTLKNRLEAPQASYDRTSHTEQFPRTPVDNRSARLARRASLPLPVFETPKRSSISILSQLDSPDISVNSPRIDRIAEFPLASSEDPMFSIGGKPSASSAHGSCSVAMPPFVDRSITKDKCTAQTLRAAASDAGDEDEDDDLSTGRNATAAAISSRGSSGSLMRRQQGQQQRRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPDEKVSPRETAIWLTKSFKETGL >Dexi2B01G0026890.1:cds pep primary_assembly:Fonio_CM05836:2B:35957878:35961096:-1 gene:Dexi2B01G0026890 transcript:Dexi2B01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQRRGAAKQTRLREVDEIRLLNEWIEAGKPPPGTKPPPPSKSSGAAPAPPATGEYTEYGACTRFDELPLSQKTKDALRKSPFKEMSDIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPVIEKLYRERWGPEDGVGCIVLCPTTDLASQIFDVFNLVGKFHNFSAGNIVGKRKGIEQEKEHVNSLNILVCTPGRLVQHFDETVNFDCSQLQMLVLDEADQILDHGFKKQVDAILSQIPKVRQTLLFSATQTKSVKDLARVSLRDPEYISVHEEARTATPDTLEQYAMIVPLEQKVNMLWSFIKRHLNSKTIVFLSSVKQVKFVFEIFKKLRPGIPLKCMHGRMTHVVQQAIVADFNESISVLFSTDLTARGLDIKNVDWVVQVDCPESTDIYIHRVGRTARYNKKGKSLILGKPLKKKKLKINVHRPLGTRVKYDDEGNAIPPLASIAEEVASEPVVHMDKISQRYAEMLREMREHDKEDKLEHKKSLREKKLQKKLKLKRKRQETDAGSDEDSGSESDRVRDSANKGKKRYLNSDDEGDNTVKDGDVLAQQEALALKLLSKMHS >Dexi5B01G0034330.1:cds pep primary_assembly:Fonio_CM05836:5B:34571882:34573680:-1 gene:Dexi5B01G0034330 transcript:Dexi5B01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWTVWTATTHAITAVIGSGVLALPWSVAQMGWYAILWGTMVGYTITTATSIMAVARTNCHHNRGHDAACVSSGTMYMVVFGLVEVVLSQFPSLEKLTLISVVAAVMSCTYSFVGLFLSAAKLASNHGSHGTLLGVKIAAAAGVSASTKTWHSLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGISVTTIFYVSLGCIGYAAFGNAAPGNVLTGFDEPFWLVDVANLAVVIHLVGAYQVYAQPIFACYEKWLAGRWPDSAFFHREYAVPLPGGGGRAARFTMCKLVLRTAFVAGTTVVSLMLPFFNAVLGLLGAIAFWPLTVYFPVTMYIAQAKVATGSRKWVALQALNVGALVVSLLAAVGSVADMVQRLGHVTIFQTQL >Dexi9A01G0034420.1:cds pep primary_assembly:Fonio_CM05836:9A:39186498:39187817:1 gene:Dexi9A01G0034420 transcript:Dexi9A01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTEAFRSWDGVLCTRVLPRRPDDSSANGYMLWNPLTNAYAVVAAPAPAGHGWIVGGYAHPVTGRFHLLHATDVAVPSDNLAARTTVRILRVGDVTGWREVSLPPSLSTMTMSGEKDRSVSLHGNLHWLVEQPGSGKKAAAVVLVFDTAKEQFRFMAAPERPGLDPTTARLRVVPRGNKQQLCVLALIKQQLPVALEVWVLDDYSSDHRRSWRLRETIGLDGTRLSRARFVAAAAVEVVEGVNEGEEVFVHHEDGIEAYSVRSKVWRRVSVGRRCAALLMYRESAIQPEISFCKALRGFHRVLQ >Dexi3A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:3A:1952539:1952829:-1 gene:Dexi3A01G0002950 transcript:Dexi3A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMSSSMALALAGFCCSIIFIVFVCSRLACALIRRRRARSRRAPPLPQFYPYSVHSLGGGAAPAAVLDPAAVAAFPTRAFAAAGGGGASDADSQ >Dexi1A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:1A:10949853:10951987:1 gene:Dexi1A01G0011770 transcript:Dexi1A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRGHSLDKTGSHSSCDNNSNLLHRTGSNTTADEMHESLNNGAAGTPPLPNGRAAAAGARSRLARDGPPTELDMMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHIVEFVPTRQTLDNGTVMEVVMSTSQRRDLAMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSDVTRKWLQYQKECVNQVLKAAMAINAQKGKTSLGDAIYRSITEDTFDPLEFLAGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIVSFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYSLLESYSRVLESLAYSVMSRIEDVLGADAAAQNLTASEAAKRMMESAALEAPTARKLDAKEELEKLNEAPASMTLFDFMGWHFDQDELMKRREDGTLDADAEAKLLKKAPSMAPTKKFSYVDSLSSGGMRSPSARH >Dexi7A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:7A:26152600:26153743:1 gene:Dexi7A01G0016280 transcript:Dexi7A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDPLSRLFLPCLVPPPLPSSDGRLSPSSVLLDCTAYICADAVSNATTAVASMSTGTPIHVSFCLASPPRLSYLCVHFPGPAAGTPPAPPPSGASAPRVVSTHADVALISVPIPGARHLCDDFDYFVYTARPQPGASSLDLLPKPTSGFRDADAAILRCQSSAGHHRYVIACLRTTIDSDELFRFHLYDSNTRNWSTPRLLRLENPAERDDVLPIPDTASEVLFHETTKTIILGGPNGTVGWVDLWRGILFCDVLDEMPVLRDMPLPKPSRRNRRDFCFGGPHSYRDISVVAATSGQEHGINNNKVVIKYVEMETRPGEIPLSSCPHHRHSSGSQESSSPNSRPGHQR >Dexi6B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:6B:26581224:26582147:1 gene:Dexi6B01G0020020 transcript:Dexi6B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGDKLILRGLQFHGFHGVKQEEQTLGQKFVVDIDAWMDLEAAGESDSIADTVSYTDIYSIAKDVVQGTPHNLLESVAHSIAKATLLKFPQISAVQVKVGKPHVAVQGVLDNLGVEIMRHRKKA >Dexi2B01G0026680.1:cds pep primary_assembly:Fonio_CM05836:2B:35793576:35794355:1 gene:Dexi2B01G0026680 transcript:Dexi2B01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMTIPSATMRRLKQAAAASRASQVAAPSAAPPVLLRRPAGDGGARALGRVVQLASAPSSGSKRRATAGGNDGNGRDKVRVIRPAAPPVPAAFSSPSGKRGVSTAPPPASSGRAIQRPADLPAAPPARRLVVGERVLVTMPVSSTPAGQRALASLGAEVVSGEVEDRYGESYLDVVFDGEFPPHDPSSVVRISRDQVVLPVIRPVAAAATKPAASAAVPAPPRPAKREALGSATTSLRGAGEQKTSLPNGRCNKRGSY >Dexi2A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:2A:8364851:8365658:-1 gene:Dexi2A01G0008130 transcript:Dexi2A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAELHVTVLVALLLLSGAGAGVQRAAAEADITYHAMAADEVPGRNRALFRPGANANPYSRGCEAIEDCRGSE >Dexi8A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:8A:3788643:3790501:-1 gene:Dexi8A01G0004400 transcript:Dexi8A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNATDESQKLIVMEVALNVLAFYVKNMLKEMAEGEVHMLLGVSKEIDKMAVKLEDLKNFLADADKRNITDNTMQAWVRDLRDGMYSATDILDLCQLKAMEQGPGLNTGCLNPLLFCMRNPLHAHDIGRRIKKLNQRLDHIKERSTAFNFNLSSYEDCSRKVEISRRGSRETSGELNESSVIGEKIEEDTRSLVDMLTKGGEITGPDNNILVFAIVGVGGIGKTTLAQKVFNNDVIQQEFRKRMWLSVNKDSSETELLRKAIEAAGGQHATASTKVMLEQILKEAVKGHKTLLVMDDVWDHRVWEGLKIPLTNALARGSRVLITTRHHMVARGIKAEEPYHHVEKLGLEDAWSLLKKQVSSTKFMY >Dexi9B01G0040050.1:cds pep primary_assembly:Fonio_CM05836:9B:40685832:40686473:1 gene:Dexi9B01G0040050 transcript:Dexi9B01G0040050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQYPQPPVYSSTQPSPGNGSLETGHVAVYPPPPPPPPLARGLPVTVSQQQQLVGDTEGHDPNALIVGATVITMLAFMLGTFIPGGYWEHSMASSSDGKVVYHAGDPIMRDLHRPRYWVFRVATWVGVASSMVLTLSLLLRMAADSRHVRWSFAVAYSSLLLTFAVSQTKTHLSLDIIVWLAVLVVSWLITRNNGEKRARIMQLLCCGSRGD >Dexi4B01G0022410.1:cds pep primary_assembly:Fonio_CM05836:4B:24019311:24020335:1 gene:Dexi4B01G0022410 transcript:Dexi4B01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFNPTSYRHSGDAAKLLDARFLSLPEGITGKTYTIRQPSPSISDRVCIGSSPDGWLITADASSELHLLNPLTGVQLSLPPAATLPLINAIHDAAGRVVSYTCYNSLDCCCSCFGDDDGDGEAPVVTPDMTISPDLLRYGVYEKAILVSPPRRMAPSGEWGGYAVVLICNPLSRLVVERAGDTEWAPLDDTPGRRRCWVDALPAAFTGADDDGHRQGDRAAVPVLVSAVRSHVGGVPQVEDDGGGWPELRGNCVYFTDDGPWSDERCHEVAPDVGVLDLADGSYRPPRGAAREMVWKWPPPVWVFPSLATN >Dexi7A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:7A:29021281:29024982:1 gene:Dexi7A01G0020090 transcript:Dexi7A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSASVVSLLLGYLVMCVRPRKCESGRNFAGAGSSGPSGMEEGVIQYVRMRAWFWARAAARARGNRWGGAPENRVQRSARLTLPLVACQRAGHLVRTRLALFFAAVSRSSVGHRERLRACSVERNGWALELIRLGVLRIRHHRPGSFWTQDDACVCVLCAAACWFVSPRRIQLQPQPLTFNRTGRTSPFSNVELPPRLYALSAEGQTETSGLWDMVFRETRGHWSVPAFADAARCVASNGNLHSDDIRYLAFSPQQLRYMTVLMPSSALSARLLATAGASFLACAKLRTLALAFRWMERTPPPRQRSSPPSTTPSLHPPSADLLLISPWFGFSFLGELVAADVGFPGYRGLSALSSLSLLRVVETLKQPTHDRFRPGPVALVPMPWPLFIRGEMYLLRLAPGPRPRWRRRGRVPPRLQIRAPRSAAVMNHRLADPEVCMTSACPPRHYGRSQQAASRSPAFFHRREHRAGVKPSSHRYNPSNETIQIWFRRILANPPSPSPSARGAMAAIPKFTRRGPREGMGGEPLPSVIDSPRKLLDVIDWH >Dexi1B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:1B:4578461:4581952:1 gene:Dexi1B01G0005590 transcript:Dexi1B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRACAPPALVPALVRARLARRASSAAAYAAAATTVAASSSASSALEELAAERKGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKSGDIVLVADGTEKPIGWGLYNSVSMFCVRLMQLEEEARRDPACALNMERLLEARISSAVDLRRRLDLPSADTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYRAEIQFFVSKLNGINHIKWRPSEDILKEEGLDVSDYKEPSSSCSAVKVDVLTASIDSSGSALNLANENIILNELSPERISFVKGDATAFMKGAVSSNELWDMVILDPPKLAPRKKVLQSASGMYRNLNALAMQVVKPGGLLMTCSCSGAMTQSGMFLKTIQGAALMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVS >Dexi3A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:3A:4684890:4690989:-1 gene:Dexi3A01G0006960 transcript:Dexi3A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLKRFKESDAAPEPFYETEMEAMRSRESTTMYVDFAHVMHFNDVLQKAIAEEYLRFEPYLRNACKRFVLEHRAGENRAPIISEDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTSVMGVVTRTSEVRPELLQGTFKCLECGNVVKNVDQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREMDIRDRDTDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAVLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERTVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPSDNDVGQPPEADAAQQQDAENDQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVAKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIDEGTAAAAEDGAAARRTSESRILAVNPNYVID >Dexi5B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:5B:6352563:6359291:1 gene:Dexi5B01G0009310 transcript:Dexi5B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNERAEQAKSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATELSLWQEAFRSVEDIHGLMSMVKKMPKPSVLVVYYAKLTEIFWISESHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHLETENEKERNMRMANLVNFSLDSKRENRELPSRASLLSELVSKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYASALEKLTTLRVLQQASHIFQSMKIDMLSKMIPFFDFAVVEKISVDAVKRNFVAIKVNHLSGAVHFGTVDIESDGLSEHLSVLADSLNKARNHIRPPVKKASKLGESLVSLAAVVENEHRRLLARKAIIEKRKEDHERQILEKEKEEESKRASLVKKTAEEERIRLLNEQRQREQERIRREIEEKNKAEARKLLEDLTKKGGKKHVVVDRELTKEAVMELALTEQLKERQEMEKKLQKLAKTMDYLERAKRQEEAPLIEQAFQKRLEEEKILHEQEQLREIELSKQHHAEEERRKREEAERKAKLDAIAEKQRQREKELEEKELKRRNEQHFRGSETARAQEAAPVAQPPLDPAAAPAAAAAAAASAPGKYVPKFKLRGDSSSAGGSQRPDVRAREDDRWGSREERSRPDVRPLRQDAPPARQDAPPARPDGPPAASDRWRGSRYSSNSSSSSSTWGRPRN >Dexi9A01G0047230.1:cds pep primary_assembly:Fonio_CM05836:9A:50411462:50419888:1 gene:Dexi9A01G0047230 transcript:Dexi9A01G0047230.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFIILSTISAASSPLLPSLFRSVGAFDPWRLIAASLRIPIRGRGLRSRARMEEQEPSASLAPEPRGRADEAGRSPREPDAFEDAMDDEEEVSAASESPVGCREGCGGATAEASPSSSPSAERSRGDGQAAPEEAETYGSPSASSSGSAAMAGEESPSVSESREEPGRVDTGSASSPSEQRAGGAEEHESPMATPRAGSPLREEAESSMHSAPSSPARSATSTSSWPLPQVKPQARHVRTGSFQRFRQQMQRAWKWGPIGGGGGERSPREQLLRTTMNIEAMTNQKRQWYQIHSKAQDNWQYKEPASLFEHFFVVGLHSYANVGVIEDAFAKKKAWGSDVARSEIVELRKIQYHGSIPSMEPQILFKYPPGKRAEVREIDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLSRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQKAPVLTICWHVYSMNSIIAQERLDRITQFASEITLSEPVPRSVKEQDGAKGEFDSSNGIPYIDWTEYAVPVNSISGLISSSGIPPEREMSSYLFRSWGPDSPESISASEISDSSYAREVDKEGRHSFQQYEDCLSENLESRCDSFGRASYSDNGHTSPDLLSMHSPISRKLERAQSVESFLDSSVKAVGSDEEDEVNAKHEMVVDDKKVMGWAKANNNEPLQIVCGYHALPLPSRGGELVFRPLEHLQPVKYSRPGLSLLGFGETIMDNGLASAETNKVNARLAAAEEALALSIWTTATVCRALSLESVCYFTLSFTVNGNTMEGLNVLGLFAGVLLEKQIVVICPNLGILSAIVLSIIPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIVSFSGPRSSFAMSRHVHCLNFHVTKSLFLIWVQFMLDYHNDKHAMWMFLQAEAACQFLDVMRSYLESLCSDLRFHTITNVQANNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLYSFENERA >Dexi8A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:8A:9485090:9486872:1 gene:Dexi8A01G0008140 transcript:Dexi8A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRRMDPALYKAATQGKVELLMRLVDPRDRSVLGSTTPQLNTALHLAALHGHLDFAGEVLYMKKELLVSQNGDGDTPLHLAAKAGGVEMAKLLLMHAVGWPHDRESPLIVANKAGNNALHEAVWNHNADVAVALLDADPSRAYDLNKRMETPLHTAAREGLVHVVAAIVENPWEPEDPCVSIIGTPLHQATLGASLTIVETLLKKRPELTDQTDSEGNNALHYAAQKDHERVVELLLNNTTKLAYNANREGMSPLHVAVHYGSTNAIKVMLRHCPDVAEMVDGHGRNAFHASVISGKANVLQCLLRHARPGELLNRTDTSGDTPLHLAAKRSRVECALLLLDDRRVDICVRDCDGHTARSLVEMKLHSGETDTYEMHLLKKLQQQECKRCRKHQLPPVSSGGRRGLNDKDFDSVVDAYFLAATLIATVTFAATFTMPGGYDQTQGIPLHGRSAAFKVFVLSNTVAMCISIVVIFLLIWARQESVQLRLHNLMWSQRLTIIACLTLLLSLMTAVYVTIAPIAPWLAYTVIAIGTSTPALFFFISWLGG >Dexi8A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:8A:18231824:18232464:-1 gene:Dexi8A01G0010630 transcript:Dexi8A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATGRWRRAGRSAPRLLERTLLVAALSAAALMFLLLLQHHHSPKPPNPSSASRDRGSSDELPDESLPAERDAEVGDAVLAGDGATCATVERMGEEAAGARRGSPEQASLRVREMIRRHFELQGIDLGY >Dexi3A01G0026560.1:cds pep primary_assembly:Fonio_CM05836:3A:22800150:22804114:-1 gene:Dexi3A01G0026560 transcript:Dexi3A01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLKHFKSVESVQKDFLEAKHLAAQLVLDILDSVVPHENRILVKLLETFEIELRDIAHALHGSELDDDLVKAREHLRHLVKKFAEKGCWDIAEVRAKKDTKLLEYLVCLAMEAGYMEKVDELCQRYSLEGYVNSLVPEKVFCGSDYLDLKKLDVEEIVWVDEVNGLLNATSCLEACKIIGMDCEWRPNFEKNSKPSKKEYAALDAVVLVHIFHEHIRRQPQFGISEGCKAEWKSHVVSRVNSTRNPLRL >Dexi8A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:8A:6849175:6851462:-1 gene:Dexi8A01G0006590 transcript:Dexi8A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWEPAKRAAPPVGGSGGSIEELPDSVLEHILGFLPSPEAVQTSVLARRWRHLWKSATGLRVGCEYSDPRPVEELRSLMNHLLIIRQGSPLEKCDLAFGDFAGQDDVPHVNLWFRQVVMCKAQRLKPKVEMKLRVGPTKRSGAISKHLKKVELKCDVVDVRVLKVLQFLSAFNIYR >Dexi5A01G0035280.1:cds pep primary_assembly:Fonio_CM05836:5A:37108603:37109833:-1 gene:Dexi5A01G0035280 transcript:Dexi5A01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWSCSSSISSALLALLLCCSCSTIARSQTADSCASGLDATVAHLIPFDTSNLTCLDAWTYEGFILRYRKSGQNNTWSFVLSAPDAGGYVAVGFSSDGAMVGSSAVAGWTTTAGVGVAKQYRLGGTSPASCPPDQGNLALIPSTTLLTTRSSRLYLAFQFTTASPPAPYLIYAVGPSGAQLSNNYLVRHRSYASAAVNYATGVSTTAGGASSAKKWHGVMAGLGWGVLMPVGVSLARYFRRHDPFWFYAHVSVQGVGFVLGAVGVAAGFRLSDVPGAGSHQALGVAVLVFGCLQVLAFLARPGKASKVRRYWNWYHHYVGRAAVVCAVINVFVGLSIAHEAVAAAAFYGVFLAVWVLASVVLEVSLSRTAA >Dexi3A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:3A:14231683:14234625:-1 gene:Dexi3A01G0018680 transcript:Dexi3A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALLHRASSLSSSAYALRRASLLHGIEPIGNAGLFRRHSWRRISTFQPLCMGRRSCKIAGRKVYGFGGVGMIVEVLTDKITRSVADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLSIALDAGADDVLEPNFDYDDDSEEEIERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDEEAMELNKELVTKLLELDDVDAVYTDQKEPI >Dexi1A01G0026970.1:cds pep primary_assembly:Fonio_CM05836:1A:32838513:32839133:1 gene:Dexi1A01G0026970 transcript:Dexi1A01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARCCCAAWLVVVLVAMSAFVITFLLVVELTPVEVTVDEASLGRLSLANTSGNGNATAPSFLLSYNLSLAVAVHNPSWSTRAWRTSPLDAELRFRGRPFAAVRMGTAGEWGRIRALRTEVHRVASAAERAPVDLGSFEVAEFDRERVAGEFGLELVVAGEFKYQAHSGRRRIKVSCPLRLSLLPTSAAFERVECTEECRDDDDD >DexiUA01G0018600.1:cds pep primary_assembly:Fonio_CM05836:UA:39310440:39311293:-1 gene:DexiUA01G0018600 transcript:DexiUA01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALYVVSLATIVVVLIWFHKPRPQVSATSGDQSKAKKQLPPGPSPLPIIGNIHHVMGGLGHRKMMELSRRHGPLLFLRFGEVPTLVVSSAEAAELVMRTHDLAFCSRPTTSVTIDIVGCKGKGIGFAPYGDRWRQMKKIVVMELLCAAQVKRIESVRAEEVGRLLRSIAAAAASAATGVINVSEEVKVLAPDLTAMAMWWHKSDFVVLYDEVSELVSGFFPVDLFPSSKLVRWLSIGERRLASASLLLSLRAAKLQEMGPAAALIKRICWA >Dexi7B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:7B:19879075:19879961:-1 gene:Dexi7B01G0013380 transcript:Dexi7B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQTRRDGDGESGGGIGTLLHRDALYEILLRVPAKPFCRFRAVCRSWRSLLSAPSSFVAAHAARHRRDPPLLAVCDLVPGSGDDRPAEIKLLDTSGRVVKRLGAGPLSLLCRVWPHLELVLVVRCVAATDRHPRPLSVLDPATGDVCLLPGGHDSYGARDVTHSSFVFGRTGGGGDGEYKVLSLSTSRHYGMRNLCKILTVDAGGGSHGEWRDAPAPPVVLETFHRHTLVASGMVYHLVDRSNGWTIAAFDLEAVAEPAEIPRGAGRPRIFMVFV >Dexi8B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:8B:797773:798961:-1 gene:Dexi8B01G0001180 transcript:Dexi8B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSGSAACSARTLAACVIGGIVLGASVLALHHAGSTAIPSLPPLDAVRRRLRRRRHRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDDEIKANKGPPVTPLHERWY >Dexi3A01G0024320.1:cds pep primary_assembly:Fonio_CM05836:3A:19953597:19957345:-1 gene:Dexi3A01G0024320 transcript:Dexi3A01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQIYCFDAVTLENKFSVLSYPLQGAPGVNIGYGPMAVGPRWLTYATNTPLFSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQFATGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSGKIPSSVHPEADNAGMVVIKDFTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGTTRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLQPQNSHSDGPPLAPCQSRPWWSKPSFLMDQQLHQVPSTVTNSVVSRIKNSTSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSIYQGSLPVPSKANALEHLLVYSPSGHVIQHELLPSSGSESSGSSPRIGSGPNSQLQDDEMHITAEPIQWWDVCRRTNWPERDENIANIVLYNQRNYMMAMDASDCEDSEHSDSTPSNDGISGKENMRVRERSSWYLSNAEVQINSWRIPIWQKSKICFYVMDHPAAESGEAVSSSGGEIEIEKLPLHEVEIRRRELLPVFKQFHYTEKNSSDR >Dexi9A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:9A:11731699:11734555:1 gene:Dexi9A01G0016690 transcript:Dexi9A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQSTQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQVAEKLHREKLAAEREGEKEEEADPMAAAEAQALKTSS >Dexi5A01G0025140.1:cds pep primary_assembly:Fonio_CM05836:5A:28977596:28981022:1 gene:Dexi5A01G0025140 transcript:Dexi5A01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSGCIPAIPGVAPPARRGLLGGAFLQVSAARPRAGRCRATQHGRVRLGGRVVARAGAAETPVAGADEDAGAAFSEKFPLRRCQTVEGKAWVRVDAEPDGDGKCKVVVGCDVAGKWILHWGVSYDGEQGSEWDQPPSEMRPTGSVPIKDYAIETPLEILPNSEGRYEVQIKFDKDTPIAAINFVLKEEETGAWFQHKGRDFRIPLSGSFDGGVPLGANQDIGVWPGDLGHLKKNQGSNAQPQETIPGGTGLSGKHISGFYQEFQIIKSEYTQNFVTVTVKRDNETHKRLVEFDTDIPGEVVIHWGVCRDNSMTWEIPPEPHPPTTKVFRQKALQTLLQVFRIICWRSKGTELTVLVKRKVK >Dexi3B01G0035540.1:cds pep primary_assembly:Fonio_CM05836:3B:38557510:38559333:-1 gene:Dexi3B01G0035540 transcript:Dexi3B01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFQTRLLPSSSSSLAAAASAPPFAPRGPTGGAGSSARVPGGRRRRGTAVAMASGDARVAGIAASIRVIPDFPKPGIMFQDITTLLLDPNAFRDTIDLFVERYKDQGITVVAGEVISEEYSLEYGTDKIEMHVGAVEASDRALVVDDLIATGGTLCAAVKLLERVGAKVVECACVIELPELKGRDKLGDRPVFVLVKAD >Dexi9A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:9A:7673897:7674369:1 gene:Dexi9A01G0012110 transcript:Dexi9A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAIVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHKYT >Dexi1B01G0027790.1:cds pep primary_assembly:Fonio_CM05836:1B:32428639:32437933:-1 gene:Dexi1B01G0027790 transcript:Dexi1B01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRKAGSASAAAAAKSSLHQLHHHPQQQPQSGSPTSTTTTTTSSSRLTPELSLDGLASPMFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRHVYDVAAQHVVSGSMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESNEGEAVTFSQLVISKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDTEEDNIILWKQKLAYLPYRRRDIMMENESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLAGDNVSADLFSIGHGEFPSGSIHDEEIPLDTIFFVSTDFITDMLYYVVWYQASGKTMDHVDLLREQFKILSGEVALHTSVLKRLTEEAGRSPHGEKIQMEMKKISDEIKGKKRQIASLEREIGRATLGSQGKADKLELSPSYTELLEQLNEKSFELEVKAADNRVIQDQLNEKIGECMELQAEVTRLKEQLSQALEAKESLSNSLMQNNREANHKVQCYADQENAVPREVSSEQLQKQQQSVEIDELKQKVSELIEIKAQLDDRNQKLMEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLATELASLRSPTPRRASNGTRGARRESMSRRHEPASRRDANASHEREKALEAMLMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGYEHEDSEGKHNVS >DexiUA01G0007290.1:cds pep primary_assembly:Fonio_CM05836:UA:13897536:13898845:-1 gene:DexiUA01G0007290 transcript:DexiUA01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFAALFDPLYCPEEHLDLYREELGEDAGEHWPDQHPAPLDDELPELFEALRAKEGVVLAGDGEEDGYGGAAGREAAVGWACRAAARLGFSALTAALAAAYLDRCFLAGGALRLGDQPWMSRLAAIACVALAAKVEETRVPLLLDIQLCAAAGADPADAYVFEAKTVGRMELLVLSALGWRMHPVTPFSYLQPLLADTAMRLNNCEGVLLAVIADWRWPRYRPSAWAAAALLATAGDGDDDSELLALINAPEDEAGECAKIISEVTGMSFLDVGAGNKRKHAAARMYSPPLSPSGVIGALSCFSGESSSSATAADSRPASTLAAWAASAPSSVSYSPEPTGRAHKRAAVALPDPVQHPLPPDEESRDAWPSTCAA >Dexi3B01G0033750.1:cds pep primary_assembly:Fonio_CM05836:3B:36568903:36574536:-1 gene:Dexi3B01G0033750 transcript:Dexi3B01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASMLSAAGRRLSRSSLSASTILWREVRGQHKLTIDGCVPSTKIPKDWSATSRTFEAGGYDWQIKYEPYGYGNSWSDKYISVELVYGGKKHTDPLHFTFSLLDNAGKPVPRYSRSSPEVCYFDDGYNHKQGFQDFIRWKDLEESGCLKDDRFAIQCDITVIKDWSLLNTGDDDVNGDDVTSPASVVLVPLPDLHQHLGYLLRKKQATDVTIDVGGEMTYDVHGWLLAARSPAFEAELVAATKAKSGGRRRVEIKGIEPVVFEAMLRFVYTDELPEMAEEGDAVEMAKGLVAAAHRFELERLKMMCEEMLCGRIDVNNVAGILVVAEECGCRELKEACVEFIAAPGNLKAVMETEGYEKMKAKCPTVLVGLKPRRGLLALIRKLARSTKMPRTLPPGRPVNQCSEAVGIFVLRLRPAMATSAAMLSAAARRVSRSASTIITREVTGHHNLTISGFTPSRKMPTDWTASSQAFEAAGHGWRITYYPNGNSWSEHVSLYLEPVHGDGRQKVSDTDPVEFAFTLLDPSGNPVPHLASASSKGVTYFDGDSMTKGFQKFISWSDLESSGCLKDDSFTVRCDITVIKNWTETNTNTNNNSGNGAAVAGAAPAAAPPRVVVPPSDLHKDLMNLLWKKQGADVVMEVGGETYEAHGWLLAARSPAFEAELLAAAKEKVAGGVVRRRMEVQGVEPKVFEAMLRFVYTDALPAEVAEEEGRDAAVAMAQGLLAAAQRFKLGRLKTMCEEMLCQRIDMDTVADTLVVAEQHGCRGLLAACVEFLARPGNLKKVMETQGYEKMKASCHSVVLELFLKQLAARG >Dexi3B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:3B:6591490:6592800:-1 gene:Dexi3B01G0009560 transcript:Dexi3B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATTALLEQEVPRQAVLGAPGFWHDFLLGMLKPVAATAVVAMAVALSFAQRLGLEREMLYAAARAFLQLTVVGFVLHFIFSHKHALLILLAYLFMVTVAGYTAGQRARHVPGSKHIGFVSILVGTAITMLVIVALKVFPFTPRYIIPVAGMLVGCAMAVTGVTMKKLREDVKDQRNLVETALALGATPREATLQQVRRSLGIALAPVIDNAKTVGLISLPAAMTGFIMGGASPLEAIQLQIVVKNMVVAANTVSSIVSSYLCWTAFFTDAFQLKDEVFADK >Dexi9A01G0042450.1:cds pep primary_assembly:Fonio_CM05836:9A:46048846:46051184:-1 gene:Dexi9A01G0042450 transcript:Dexi9A01G0042450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARADQIRRYGYRSVVDDNDMKALGVYVSNLQQCSVSKRRILHLRPMKKSELYRPAAGTPRCNTCGLAGAISMLREKQKATNSAHLFARVLPSSRQCDRFFQDDLGLSLGYRLLLAADHNGWCCLATISVVWVNVGMTVLAATTTWDDLACSGSRRGAQHGWVLLVRYNIGRWRKRLYLIFWLGGSGFARGQSNEVMSA >Dexi3B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:3B:8506370:8509176:1 gene:Dexi3B01G0012160 transcript:Dexi3B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRRLLALASQLQRRAPAPPSTQLWRRASSRFLSSAAAGPLNRLKTPPFARPAARNHAASPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEFGSSDFPNARTAMTSPLAKSLFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNTAASMDTAIHEVVSQLASVYNLSCNFSCPVFLNLPVCFDILLSQDPDDHILLIDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDQETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVT >Dexi2B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:2B:26742804:26743610:-1 gene:Dexi2B01G0016540 transcript:Dexi2B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCIRPTDCLAGRAFFGAHRGARLAPPAPSSRRPMQQKLQGSQIPATDAASPAVAVMQPRPARAQATNGRQRRRRSPPAAVAGSGSPPPPHSLVMENVVILKRGEPIPPVATVALPPAGPSLAQAEEAEAVVAIAEEVKPVAVEGHSRAAQADSAEPVAAAGQRGPEAQEKKAEEAAEHLAGDEAKAAPPAVAAPSVPQAKKETKQQASMAAAKPEVYAGSSFATAAPDPSELPIPPRGRAPRTIRASGGGLAPVTVAAAA >Dexi1A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:1A:25370100:25372858:1 gene:Dexi1A01G0018110 transcript:Dexi1A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMEDCTEAVGKKEEPQGRALKFQTRTPPQTEPNQAAQGGCYYYVSDQARLTLGVGDHPPRAEQHRHRKDHRPPPPRRRHSDSRAKRAPPPHRRMGRRVPLLPDGCALRSDLRGAARSAAPPPASPPSESCAKRAHDLLLSLSLSLSLLSNGGDIIFFSTRRMLL >Dexi1A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:1A:10970831:10974220:1 gene:Dexi1A01G0011800 transcript:Dexi1A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFPVTPAAAAATGHRASPSPRSSTSLPRRTTVLTSIGAAAGSPSWRGAVAVTRAASGSDKAAVARTIINPNDVPVLSFSELGCFEWQLCTQTAQVIYFNKSITLPLKVAERLETFQASGTRNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKISLPFDRSTIRSILIQTVSASTCTQGSLRYWLSVGPGDFQLSSSGCTNPALYAVVIESPFLQVPAGCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTGIWLDDEGFVAEGSNMNVAFVTAGKELLLPSFDKILSGCTAKRVLTLAEQLVADGRLSRIISRNVGVHEGKAADEMMLIGSGILVKPVVQWDDKIIGSGQEGPIAQALYDLILEDMRSGPPSVHIRVSY >Dexi1B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:1B:7479838:7480134:-1 gene:Dexi1B01G0008710 transcript:Dexi1B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPSVSIVLYAATAFPLWLSLRHCCFPCTGSSSPTRVHVARRAVHCRKVVAQRPGTAPCRIGSPLYPAAAASTPSCSSSNTYGILTITVVIVSS >Dexi9B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:9B:3864063:3866495:1 gene:Dexi9B01G0006420 transcript:Dexi9B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGHMPGDKTVGHYDDAFTTFFSQTGAGKYVPLGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPNYSNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPANMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGGDEDEEDEEY >Dexi3A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:3A:4091023:4092713:1 gene:Dexi3A01G0006230 transcript:Dexi3A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASDDTPAEAGEEELPPFHTLAANTEELHLVAPQQQQQDPGGREASPSEEPHACMRARTKLPLPPVHHSLPAGMHGPGASNNIRRLFELSSSIHALVIAHRGWLRSFGARKNSPINRL >DexiUA01G0003700.1:cds pep primary_assembly:Fonio_CM05836:UA:7575148:7575475:1 gene:DexiUA01G0003700 transcript:DexiUA01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLKAVKVMHTVALRTEATIPGGETPADLGQAFKNHMSEMFAYHATMMSNTLRTSIVVFTRTGFMAILLSHYRPSGTIFAFTDE >Dexi8A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:8A:9266882:9267175:1 gene:Dexi8A01G0008000 transcript:Dexi8A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVDNWTVSCSCGATDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYVCKSCKFLNKPKRPRPVYSNGPNKRCKTGTGRGFLKPHIL >Dexi7A01G0023390.1:cds pep primary_assembly:Fonio_CM05836:7A:31378352:31379874:-1 gene:Dexi7A01G0023390 transcript:Dexi7A01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTVLVQILLPMLLWASAIKGTDGGGGIGVNYGTRGTTLPPPGDVARFLARETLVDRVRLLDADPAVLHAFAGTGLAVDVTVPNAVVPRLVNMSFARRWVRDNVAPYARATNISRVLVGNEVTTEANRTLLLAIVPAMRNLHTALVAMSLHGRIKVSTTHSLGVLTTTEHPSSGRFRDGYDTAILRPLLHFLRATGAPFMVNAYPFYGLTNDTLDFALFRVSDAGVVDKGSGLVYTNMLDAQLDAVHSAISRMGFGDVDIAVSETGWPSAGEDWEVGVGADHAREYNTNAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPVSERNFGLFHGDMTPVYDAGIFTDPAAIVEQPVSAKATPAPAGQLGARQWCVATPGADEMMLQENIDFACGQEGIDCAAIRPGGVCYEPDTVQGHAAYAMNLYFQSNGRHAFDCDFGQTGILTTADPSKILIPYIQHKLARLLSLY >Dexi1B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:1B:17849488:17857897:1 gene:Dexi1B01G0012960 transcript:Dexi1B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRPALAPQQRGRAELRLGRARAPARPPPSPRRRATGRPAHRPRACTCSLVPWPRPRLLAWPHAPSLQPSRTRSPSLQPRRTHLPSPPTPRHELLFKVPWSLMVVRRRARHQSLLPITGCVLQKPMAKQPSSSPGDSTSSPRSHTPSYTIGYAMLPNKHDTFVQPSFLDMAAVHGIRFVPIDASRPLVDQGPFDLIVHKLYDQPWRAQLEAFSALHPDVPVLDPPAAIDRVLDRFTMLDVVSNLGVAKDGAVATPKQVIVRDATALVALADDASPGGLRFPLIAKPVEVDGSAASHDLCLVYRREGLLRDGLRAPVVLQEFVNHGGVLFKVYVVGDHATCVTRSSLPDVSDERLRDLAADAAAPFANISLLAPPAAGDVEMPPQEFVDRVARELRRALGLHLINFDLIRSRGPDGNAKYLILDINYCPGYSKMPGFEPVLLEFFLEMLRGRPVREKTGSSAGSGSGSGLVAKARKAEVQPSFVTSGAEPRQALRSQHQPGEPSSGTPMPLSDLATWPNNTIATTPTTHRPLLGSISLAPLYPHRFTAKVKQWATSIAVSPSRDQPSRPAIAVGDIASHDLKIQTSHRTADRTSHQATNPRPISDPRSPNCPTNQLTNVSHASLTTAPHTSTSKPVTAPARSRAPSPSANQPSFPARRTRVCAQNQGISLFRIAPVPPRFPTAHQPVLARQPPKGYIAHAHWPHHQTQQHQSNRNMAVSVARVAVATAASLAAHWLARSFLHPHHLHPALVLLLPSAVFLLGIAATGLLRNSRGANNAPPGPPAVPVFGNWLQVGNDLNHRFLAHLSARYGPVFRLRLGVRNLVVVSDPRLASEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRAMWEAEMDAVVADIAAGDVSAVAQTSGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFVEATKFNSERSRLAQSFDYNYGDFIPILRPFLRGYLGKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDNKDKLHCAIDHILQAEKSGEITPDNVIYIVENINVAAIETTLWSIEWALAEVVNHPDVQRKVRDEIRDVIGEDEPITENNIHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWEKPEEFRPERFMGEEKAVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVIAFHPISS >Dexi1A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:1A:4581915:4582460:-1 gene:Dexi1A01G0006120 transcript:Dexi1A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELDLLPTQLPPIRTASLDVGGGGDDNAVADGCSTPKLASSVLPPPLVCPPAPRKKPRPPPAAMAKIKKLQRRCGSAGLRPAHVRWFIAVPEDVLAAVFVARPAAAAVNSPPCPTSSSSPETSKKIRES >Dexi9B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:9B:1928306:1929180:1 gene:Dexi9B01G0003340 transcript:Dexi9B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVPADAPPSVVAPAPEVAAVDGGVSEREPAGGGGVRSMVERWKMEGAPARARLVLRALGWLFSLLALVVMASNQHGHGGSQDFRQYPEYNYCLGIAIVAWLYTTAQVVRDVHRLGSGRDLIGTRKTSALVDFTGDQVVAYFLISAMSAAVPVTDYMRQKADNLFTDSAAAAISMAFFAFVAIGLSALVSGYSFSMEVLV >Dexi6A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:6A:5304902:5306716:-1 gene:Dexi6A01G0005770 transcript:Dexi6A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPLATSSGGAGRYVTPTTAAGGGGAMGIYERQRHMQLAGAGMWGDPFVRSDAGDVLVTPPLAAAVAPPVTVVTPAAVDVAETEVKLFSNRMAAQDDVVPVMEDTAPSSDSFGHDDARPRDKRRLAQNREAARKSRLRKKAYIQNLETSRMKLAQLEQELTMARRHQLPPTATGGIVLSPPVDPRITAFEMEYARWVEDQKKQAGELRAALQSESTPEFHLRFLVDAGLSHYDALFEAKARAARADAFFVLSGVWRSPAERCFFLWIGGFRPSEVLKVLSPQLDDTLTDGQASAVRALQNTARQLEDALSQGMNKLQQTLVDALLTVDDDPAGIGAGGGGGGGIAAAEQMASAVGKLDDLVSFVEQADLIRQHTLRNMNKILKLPQAARGLLALAEYSQRLRALSSLWAARPREPA >Dexi3B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:3B:14304198:14305520:1 gene:Dexi3B01G0019280 transcript:Dexi3B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRASWQMAVAILAVLGAAATPAGAAPQVPCYFIFGDSLVDSGNNNFIVSMARANYPPYGIDFAGGPSGRFSNGLTTVDVLGKQQASSIVRVHDFIPPFAGASSQQLLSGVNFASAAAGIREETGQQLGGRISFRGQVENYQSAVQELVSILGDEDSAATHLSKCIFTVGMGSNDYLNNYFMPAFYNTGSRYTPEQYAESLTADYSKLLQVMYRYGARKVALIGVGQVGCSPNELAQRSADGVTCVEGINSAIRIFNRRLVGLVDQFNRLPGAHFTYVDVYGIFDDILRSPGAHGLRVTNAGCCGVGRNNGQVTCLPFQMPCANRHEYLFWDAFHPTEAANVLVGQRTYSARLASDVHPFDIRTLARL >Dexi4A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:4A:4864477:4865058:-1 gene:Dexi4A01G0006780 transcript:Dexi4A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISPRSGTRKPAFRFRTSAHGSSSSSNLSLTAVTDKKVFEDQLRGILCYRDENGELICEGYDEGPRLGIRLPEKACFPWPVEVQVTDFIQLARLQVFEDVDVLQLKDDQKRKLGHDN >DexiUA01G0008630.1:cds pep primary_assembly:Fonio_CM05836:UA:16259981:16261239:1 gene:DexiUA01G0008630 transcript:DexiUA01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNLTRRNSFYKIVPPSWIKKLTNTKTLHTHLALTTTFCDAIGLREPCMFMLKTSMDSTRSWLVHGAPCKTGSYLRVNGWKRFCQNSLKEGDICTFNVIKTTLWHVITRCEGNVNQLCYETPESHHGTSSSERQIRPKGSMTYLKARSKCVYDIGPPAWVQKEMIPCALQNQLA >Dexi8A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:8A:1114345:1114683:1 gene:Dexi8A01G0001590 transcript:Dexi8A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRGTAAATLTGTTLQPPDDGSNTAQGWAAARRLLLRQPKPATTGTATLFHIDAAARQAATTSPAAKPNVEFNASTKSAPGSRFNPRQN >Dexi8B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:8B:9816200:9824620:1 gene:Dexi8B01G0007820 transcript:Dexi8B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREIPSTADAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDADDLDGIDKVQEQCQVAATVQGEWPPLVRPAPPSPAASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGGVPNVAAPEDAVGNGGKQPATPSSEDNKLLKRLSIGPKMRDALLFRRSGEKDEEQDRDGFFKRLLRDSRDKEEDDGDREGFFKRLLKDSREKEHVEEDGDRDGFFRRLLRDSKEEDMELTPSSEGLLKRLFRDKEERQGEDDEKEGFFRRMFKDKNEDRRDSVPARHGDEERVGKSLEDDEREGFFRKIFKEKNEERKDGSQSKQNEREKAGANTEEDKRDGFFRQLFKEKSDERKEGSTPGRKEEDDKGGHKNADDDNFFRRLFKDKNEEKKGVTHDRNEDDKCEEGDKENFFRKLFKDKHEERRMEGLEKNDDDGRSTSGIEEEDNPEFLSFRRLFRVHPEDAKGGHTESSQPNNLSEGSPGSESFFKRLFRDRDRSLEDSELFGSKKHPGSTGTIEKQNGKPPLPNNAVAELRKGSYYASLELVQSLCDTSYGLVDIFPVEDRKIALRESLMEINSQITSAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVHKLPKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWETKVKFVNVSFSVEKLGRSRSLAISDTGRRLRHSRSDSHDPPEDSHSIDDQPIEWVKVTLSAVPGVSMDDVDENEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQNLDSKVTDGGEPKPTDALAGELWSVKKERIRRSSIHGKSPSWDLRSVIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNISNLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQVFSLSKGFDTFDIIANLMARYYVCLFGP >Dexi4B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:4B:19269715:19274155:-1 gene:Dexi4B01G0017180 transcript:Dexi4B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPARDVVSYTAIISGYAQLGLGEEALDLFRQLYNEGMQCNQVTFLSLLNALSGFASLDYGKQVHGLILRKELPFFMALQNSLIDMYSKCGKLLYSRRVFDNMPERSVVSWNTILMGYGRHGLAHEVAQLFRSMHEEVKPDSVTLLAILSGYSHGGMIDEGLDMFDLMVKEHSTLLNVEHYGCVIDLLGRSGRLQKALDLIQKMPYEPTRAIWGSLLGACRVHVNVHVGELVAQKLLDIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLKKTVIKEPGCSKMIIDKVIHTFRSSERFHPRKEDINAKVKEIYVAIKAAGFVPDLSCVLHDVDDEQKERMLLGHNSQGYMKGFGALQIAGQYIYMQHIAESSAGDSFVYGSSACSEGRRRQWERVVRPLHGGDVFLDSMCWRRLECAGFPSVWQ >Dexi3A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:3A:1085448:1086323:-1 gene:Dexi3A01G0001620 transcript:Dexi3A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPNPAPPSRRPDHQQPSTGDADTTAAAPTETTPLQQEQPYPSFNQRPLSPPPGTYIVQIPKDQVLRVPPPDRARRYKKLAARPARRRLLRRACCFSLAAVLLLIFLAAAFVGAVYLIFRPRSPTFSVASLSIHGLDTLSSSSSLSPELDAAVRADNGRNKRVIIDYRGGGSVTVSYAGERLATGPWPAFRQPARNVTVFAVAMRGQGVRLTEEQTKQLAAEQAAGDVPMVVEAVVPVRLRFGGTVLRTWTVDVKATCDVAVDRLAAKATAVNRGCKVKVKPLWWWW >Dexi2A01G0034570.1:cds pep primary_assembly:Fonio_CM05836:2A:44482786:44484549:-1 gene:Dexi2A01G0034570 transcript:Dexi2A01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGVVRGAMAASEPSARAVAEEVVRWGSMKQTGVTLRYMMEFGSRPTERNLLLSAQFLQKELPIRFARRALELDSLPFGLSNKPAILKVRDWYLESFRDIRYFPEVRNRKDELAFTQMIKNVKVRHNNVVPTMALGVQQLKKQLCRKRKVPFGFDEIHEFLDRFYMSRIGIRMLIDCPPYRWLKLPAKMLVLFV >Dexi9B01G0035780.1:cds pep primary_assembly:Fonio_CM05836:9B:37361882:37376977:-1 gene:Dexi9B01G0035780 transcript:Dexi9B01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAGEGQRFKRIPRQAWSGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDGKYGHYESVAPPSFQNQIFEGPDTDIETELQLCNARHSKPEDATEDDTPSTSGRQIYEIEPSAPSSKVHCSLSPLPAYEPAFDWENERSLIFGQRVSESLPAINNSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTDMQDAHISLDCRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYKESFAWAMIPLFEGNHAGGLGDAASPSSPLAPSISGSSSQDSIVDPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHDGHNDVDNVSEGGSMANDLNDAGDLSNGRWNRSSFDGTRSSVNSSAGAQKGAHHNGKISNAESGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDSDIRKPPLEAVHPRERNTMLQKWGHTQIAVGTRMASYHDEVKISLPALLTPQHHLVFTFFHVDLQMKLEAPKPVVVGYSVLPLSTHIQYASSSDFFNVDQYHYISPTNTMWLDLLVKKCPSLKLMDNTLLHSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAIISAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAIEKREVLVVILQIIRNLDDATLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSMLLSASSRSPDLERPASPKYSERLSPSVNAYLSEASRHEIRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSFEESGEARRLRKSLEEMADVRSKDLLKDCGLPVNALEASPEGSSDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVTVDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDTVWSKEHVASLCKICPIVSTDVNAEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRSYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYDAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >Dexi2B01G0028120.1:cds pep primary_assembly:Fonio_CM05836:2B:36776507:36777310:1 gene:Dexi2B01G0028120 transcript:Dexi2B01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVIVCLLVIAMDAAAGVLGIQAEKAQNQASASPPVSGQHLRILFLFIECRHPVRRAYQLGVAAAAVLAASHAIANLAGGCACSCSGDKLRRASPNRQMASFALVLTWMVLVVGLALLVLGALPNRKHKLADCGVVRHRFLSIGGVLCFVHALFCVVYYASANAAAREERRAAPHA >Dexi6B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:6B:25067560:25068743:-1 gene:Dexi6B01G0018010 transcript:Dexi6B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLVGNEVLSNSAAAGSTWRGIVPAMTNLHRALRSHGIRKVKLGTALAMDALSSSYPPSAGEFRADIAGDVIRPLLGFLNATRSFYFVDAYPYFAWAGNRDAISLDYALFEGAASSHYVDAGTGLTYTNLLDQMLDAVVAAMGKLGYGNVKLAVSETGWPNAGDAGESGASVRNAATYNRNLAARMSKNPGTPARPGAKMPVFLFSLYNEDQKPGPGTERHWGLYYPNQTKVYDVDLTGQRPSRTYPPLPPADDTDSSPAWCVLAGGGGGGKAVNETAVQAALDYACQQGSGTCVAIQPGGACHEPDTLDAHASYAFNSYRQQFKNAGGTCFFNGLAETTTKDPSHGSCKFPSSMD >Dexi3B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:3B:8929040:8930159:-1 gene:Dexi3B01G0012730 transcript:Dexi3B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDGNSSMAFRERPYYPAPQRTNINLPPVPTHPGSSDSIPFVHGGYAPRAVPCNTIRSYPAPAFGTSSNSAAVTREPAIPSYPPAAPSYLPATSAATSSALPFHAEAAVASRHLGQISLGPGGGGSARSRRLRDSYHAFHPLIIDENNLRGSAAERFMMLDQLVIHESREDSDPHWDMRLDIDDMSYEELLALGERIGNVNTGLADEKISSCVMEITCCSSARAQDGKENARCVVCLEEYKFKDSVGKLKCGHDYHADCIKKWLQVKNVCPICKASAADDREGIE >Dexi4A01G0023260.1:cds pep primary_assembly:Fonio_CM05836:4A:26390511:26394135:-1 gene:Dexi4A01G0023260 transcript:Dexi4A01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAADGKQDPWGAVEEVADGEDTGGQTNRDKVSDGDAHEEFHDRQDDYAQDHDGSIRWPALPRNSHRDGSIYCTRGNFGSGWKYAYHIADRNESNGLREEIQLFNGVIGESRGLRKHVLAVMEDGVYGFGVQVELGFAGGAWLLCGFLFLAAPAMRKRRRCRGIISPGFFRSPVAIYSDTNGEARETCVGVQGRRIVVFF >Dexi4B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:4B:2929735:2932359:-1 gene:Dexi4B01G0004030 transcript:Dexi4B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVHRRDQFQNQTKKGKAQDQRAEERAVPEVQWRAELLSGGRRWQTSEVRDGRHPSEAMDLLPLQLSDFGEGGETVREREVGGMVESDRRADANPSLTGGSHSRRSIGPPPPGGAAVTGRTPGFLSSSPFLPQALETRAMSAQVAATLEACAFQIGPSGESRDRDTFSVASSA >Dexi8B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:8B:24516815:24521643:-1 gene:Dexi8B01G0014160 transcript:Dexi8B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQAARWAAAQEEVPVGVDLVAAALRQLEFLAAVDRRRWLYEGPLLDRAIRRYKACWLPLLAKHTNAPVVDGPLVVPLDCEWIWHCHRLNPVQYLRDCKKVYGRILNNDNVESSTGTKSKIQSQKIWKELYPEEPFELEYSSSSETTMDVNPGATDGISYDLVSAVKRQSSFYYQVGTPTMHDQRFLVEALARYKGFLYLIKMNQEKGVQRFRVPTYDVDLMWHTHQLHPARYCKDMLKLLGKVLEHDDTDADRSEGKKLDVGFTETTEQFEGTFGVRYWKAGCMYRGNTPSPVTSTPHIFNAVGVNGSDTYKVQKGLNVLNITFVELYLQIVDIKNLPSAIPKENVYVWFTKNQSDMFICDGGRLDISTVTGKTGAVLQCEPTGELILTVMVDQASKKPEPIGKFSIPLQDLTGPDSKLSFERWFELKAHGGHATSPPVSLRVAASATVPSSAQKVFRMVTTEPFSLKSCLLPHSIKDQKMGSWTRFVYDCGTEVIRLQIREHKVKKAMVCNRELIGVLKSPKEQLQLAEFKENKWTLKISNLSISDSTDGSMLDCKDDNQLIKLYRGRKLEYERNCCKCHSEDDSAVTAVKFCAEYPYGKAVALLDKESKLITVNEDGFLLPWITISFLCMEADGEDDVKFISGAMDQKVAESESDTAMVSETETLEVGSAAVVPAQCGTCSTAFDGEKVMAAGCKSDHDSSEACQVAIASCENGHADSAGVGAGYGGSCGPVVVEEFKVDNAKSGGCGSGCGGGCSGGRVSDTLVRASTTAGEGQAKSAGCGSGCGGGCGSGMVIGGSKTSHAKSSGCGSGCGGGCGGGMVIEGSKTSHANAGGCGSGCGGGCGSGMVIEGSKTGQAKAGGCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGAVPAAVVAVVAAWSSRAPRQAMPSLAGAVLAAVVVAEEAVA >Dexi7A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:7A:25158628:25158960:-1 gene:Dexi7A01G0015320 transcript:Dexi7A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVEPGPLLGSVHGTGSQVVLYLRSGAAAAMESRAWRSGGASPTDGISTAPGLRRRGRGTTTRLGVAGREEDQRHRWDSPVGMGVTGRGRRAAWRRQAHGQRNASRPR >Dexi4A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:4A:14790960:14792224:-1 gene:Dexi4A01G0013840 transcript:Dexi4A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAKSVLVAAVALVAVVLEIGLVGANFQDDCDITWEPQNAKMDEGGNHLTLSLVSNSSGCMLRTKKQFIFGSVSTRIKLVKGNSAGTVTTYYTSSIGDNHDEIDYEFLGNVSGQPYTVHTNVFADGVGQKEMQFRPWFDPTADYHNYTIFWNECMIVWFIDSIPIRVFRNYSAQGVPFPTRRQMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDINLQVCDCASYVGDAGCPESCASPSNWYAAPDLCQLSKAQLRQMRAVQLGYTIYDYCADSERYNGTVPPECGMPQY >Dexi3A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:3A:10969028:10971971:-1 gene:Dexi3A01G0014880 transcript:Dexi3A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTGAEQEQKRAAAAAYDYDGDARWSDYWSNVLVPPNLASRPDVVDHFKRKFYQRYIDRDLVVEPMSSTGSTQTSRPDVRSSPSPSNENLRARNSGSTSRSAPPPPPTQTDSVVNPLRFDARTIHFSINAWDILYTVLMGWRRSIIQTFMYWQVLKLMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLQTPISAIQRWWFR >Dexi9B01G0028260.1:cds pep primary_assembly:Fonio_CM05836:9B:30881330:30891209:1 gene:Dexi9B01G0028260 transcript:Dexi9B01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYTPPAATSSDPLGAAATHSSLYASLRTNLPRETMGFLDFPFSAAVPGSADPRRFPGHEEVLRYLQAFARRFDLLRLIRFETEVLRVRREDRGGWAVTSRKLGDKGSGEEEVYDAVVVCNGHYTEPRIAVIPGVDAWSGKQMHSHNYRVPEPFGDQVVIVIGASASAVDISRDIASTAKEVHIADRSAPARTCVKQHGYNNLWLHSMIDHAQEDGSVVFQDGSSIKADVIMHCTGYLYDFPFLGDDSTITVDDNRVDPLYKHVFPPEVAPQLSFIGLPWKVIPFPLFQLQSKWVAGVLSGRIVLPSKEQMMEDVKAFYSKLEARGWPKRYTHNFANYQFEYDDWLAEQCGHPAIEEWRKQMYHINGINKAVRPESYRDEWDDDHLVAEANEYFKKYL >Dexi3B01G0026520.1:cds pep primary_assembly:Fonio_CM05836:3B:21719053:21724376:1 gene:Dexi3B01G0026520 transcript:Dexi3B01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTLVEIHPRELRFLCTCPLFSSPVPSSPLQPHGGRRLEFGLHDPSLAERAAASDAFIGLPDRAGYLFHLLSVEVKKQSSCCVHLVNKSDQYVAFKVKTTSPKRYCVRPNVGVILPLASCDFTVTMQAPKHAPQDLQTKDKFLVQTTVVPFGTADEDIVPAFFSKETDRYIEEKKLKVVLVSMTHPQEEQPINGVPHAKETVGVSVAEEIHVNESQSSNVANEVHHLPKASSPRESSAAFCEIPSPVKATVQQDFLVPPNQASFTLGSASNLQETSAISVESQFSSTETSAELKAPPLEYTPAPSEVPSLSDIKSTNTDNLHISYVTEDVHTLQMKLNNLEVKLEEAETLIVKLREEARTTIQERDKLWKDMVFLKRASVAPSSTGFPLLFVVYMAVVGISLGYLLHL >Dexi7B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:7B:25283329:25286697:-1 gene:Dexi7B01G0019820 transcript:Dexi7B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPDKLMTKADKLTKLSFTRWNADWKSATSLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAAALAKELGRWNEVSDFYRRASELYRECGRLQPASDALAKGASALEEKSPEEAIKMYDEACSVLEEDGKEQMAFDLYRAAAALYIKMEKYSDAAAFFLRLGSAADKCNAINSQCKAYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLSSDQNRCAMKLLSAYEEGDAEEIKRIGQSSAFNHLDHVVIRLARKLPTGDVQAIKKAADDGEESLDEDDLT >Dexi9B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:9B:4736684:4738196:-1 gene:Dexi9B01G0007740 transcript:Dexi9B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSPHPRVLMLPFPAQGHVMPFMELSHRLAEHGVQVYFVNTDFNHARIIRAMDAAGETAGAVVPAGIHMVSFPDGMDADADRSDIGKLGGGLRAAMLGHLEDLVRSKEIAWMVVDVPMIWALELAATVGLRVALFLPFAAAAFALRLHAPKMVEDGIVDHDGNEKRSEAIQLSTRMPAVDVHELPWSSVGKTPEARRFVFHTVVNTSAAIAVADCLVCNTFEEIESEALALIPKTTLAVGPLETPKDDTPAASHFWPDDPACLPWLDAQAPCSVVYVAFGSLAVFDATQLQELADGLALTGRPFLWVIRPNLTGDGCWLDEFRRRVGGRGLVVGWAPQQRVLEHPAVACFVTHCGWNSVMEGARQGVPFLCWPHFGDQFCNRSYVCDVWRTGVRLCCCCGGGDGRGGGVVTKEEVRDKLELLLGDEGIRERAVLLQTAARASVVDGGSSHRNLLRFVNMLRER >Dexi3B01G0036430.1:cds pep primary_assembly:Fonio_CM05836:3B:39299528:39300166:1 gene:Dexi3B01G0036430 transcript:Dexi3B01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLLLLLLLAISFLCIAGDATQLVYDTENHEVTSEDSYYVLPVEHGTGGGLRMMFHTWRRCNYLVSQASSETDIGLPVRFVPSNESSGRNILVPTNITVSFHIPTTCIQTMYWHVGYSRLPPLEPHDRVVVGKDEGASYPVPLPSEFVFRIERYNGTMRNGKTKGYKLVSCAGEGPWKDLGLSASENKNWLTMSNSPFVVEFKKAQYPYA >Dexi8B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:8B:12667207:12668380:-1 gene:Dexi8B01G0008640 transcript:Dexi8B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHPSSVLEGDEYGKFPMYVVYHELISTTRPYMRNICAVDQAWVEPILKKLEKLDVNRLSGGSSAPKDSEPLTDKQENSAKKAIDVKQSEVDTKIQAARERYLARKGKK >Dexi9A01G0041430.1:cds pep primary_assembly:Fonio_CM05836:9A:45104304:45108230:-1 gene:Dexi9A01G0041430 transcript:Dexi9A01G0041430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETNGSLANEKAPETTVGVGRYVEMEQDGDSNTVKSRLSGFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVLTLTLPSAASVYWAFGDQLLTHSNALSLLPRTAFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHNCRSLCKRAAARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSATARENAVEPPPRLVGQWTGTFLINAFVVAWVLVVGFGFGGWASITNFVHQIDTFGLFTKCYQCPPPPLPPLPFPGGGLSNITAPFGGGAGLPPAVAPSPAHFLHHHRHHGHGLLN >Dexi4A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:4A:24673856:24678721:1 gene:Dexi4A01G0020930 transcript:Dexi4A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGGAEGVARRWVLLLCVGSFCLGLLFTNRMWTLPEASEVAIPNERRAKNGEPMAGDCNTRKVQGKPDYKGIFHISDTQHDSQTLDKTIANLETELSAARILQDSFLNGSPVSEEYKASEPTGRRKYLMVIGINTAFNSRKRRDSIRNTWMPKGEMRKKLEEEKGIIIRFVIGHSAISGGIIDRAIQAEDKKHGDFMRLDHVEGDVRYYEPEHWKFGEVGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGSWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWWVHNKCSEGEKALLTASF >Dexi1A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:1A:1981551:1983857:1 gene:Dexi1A01G0002760 transcript:Dexi1A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLSPPRLRATAAAASAGGTATPPRPAVILPVAPSSLAQGLGNNTGDYAGLAAGLREDHGLPAAVVARVTRPDWLRNAAGLADANYWRGTLRPRPVLDWYLKRVEEAVAEARELCGPGGKISLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPPKGVPGVIDQTRGLLNYVEKNCAPAVYTPELRYVCIAGRYIQGAPLLGNSEVASDEVLAVDTPSEGGEAVIISTSDKSDPSSATWRARFVGQGYKQVCGRADVWGDGVVPEMAAHLEGALNISYDGVYHSPVGADDEQRPWYGSPAILKQWVHHLLS >Dexi2A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:2A:14277428:14279463:-1 gene:Dexi2A01G0012280 transcript:Dexi2A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSPGVQVLGLTTIFGNCTTEHATRNALILASHPEVPVAEGSHEPLKGGKPRVADFVHGSDGLGNIELPDPAIKKVDQNAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFVKNVKKIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQDLLELRNSKGKHAQFLFAALVRPELFTFRKGVVRVETQGICAGHTSMDLLLKKWNSENPWTGYSPISVAWTVDVTKVTAFVKELVTKE >Dexi6A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:6A:99863:100567:-1 gene:Dexi6A01G0000160 transcript:Dexi6A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSKHPSKVDARTVAAHVLAVAATVLVLVWCVHFRGGLALHSQNNKQLIFNVHPVLMLLGPIVLAGEAILCYRTFPLSRAARKKAHLALHAAGLAAGGLGVYAVFKFHGEAGIPNLYSLHSWVGLATIALYALHWSAAFLAFFFPGASPATRRRAIPWHAVVGLLLFALAVATAQLGFLEKLTFLQAPPLRLPKYGAEALLVNVAAVVVLLFGVAVVLATVTIDDATRYNTII >Dexi7B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:7B:25532238:25535131:1 gene:Dexi7B01G0020110 transcript:Dexi7B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACATLARRAAAAAAGAAPARVRHLAGAAEVAEAELKKTALYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRTNGGLFDVAHMCGLSLKGRGAIPFLESLVIADVAGLKDGTGTLTVFTNEKGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHIHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKTIDINGSSCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRRAEGGFLGADVILKQLQEGPKIRRVGMFTQGPPARSHSELVSSSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >Dexi4B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:4B:19851278:19851803:-1 gene:Dexi4B01G0017460 transcript:Dexi4B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYQRNEEALEMMEKAIAADKKNPLPKYQKALILLGLQKYTEALEELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKAAMEKVHLPDELMDDDL >Dexi9A01G0048190.1:cds pep primary_assembly:Fonio_CM05836:9A:51059057:51060384:1 gene:Dexi9A01G0048190 transcript:Dexi9A01G0048190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVVGGRERSGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGIMQGSMQEHRADQEHIYGGMHLGVCTGVHQCDHECSICHSPKPREEPMLMLHPQLKR >Dexi4B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:4B:19044909:19045474:1 gene:Dexi4B01G0016890 transcript:Dexi4B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEFAEIALQCHNDDPSNEVKYELIEATMSNYMFEGSRNYGHVNFTARAKQDGSEEQLFFAELNLRDSANLTCFCSLKEMEDQIGGRMEMDTEAIGVVDLDHCYACDNAVKHPRDGASYQAGHRIGPRR >Dexi1B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:1B:4955169:4955479:1 gene:Dexi1B01G0006030 transcript:Dexi1B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLRDFAKDSIRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Dexi9A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:9A:15195385:15198972:-1 gene:Dexi9A01G0020270 transcript:Dexi9A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCIRVSLLILVLSLCSPYKFIQSPMDFGPLNLLPTTTSAASDFGRILFHSPAAVLKPQSSRDISLLLSFLSGSSLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPAEIEFYKGGDGEISYADVSGGVMWIDLLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPSKDADLFNAVLGGLGQFGIITRARIMLQEAPQKVKWVRAFYDDFSTFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSVAFPANVNFNPDFGTKNSPKIYYCIEFAVHDYQHTNTNVEQVVEVISRQMSHMVSQLYSVEVSYFDFLNRVRMEEMSLRSIGLWEVQHPWLNMFVPKTGINDFRDLLMGNISPDSFEGLILIYPLLRDKWDTNTSVVLPDSGSMDRVMYVVGILRSANPEGGCSHHCLQELLRRHRSIADTAGVRLGAKQYLAHHPTPSAWHRHFGRRWERFSERKTRFDPLRILGPGQGIFPRRDDDNAA >Dexi7A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:7A:20647319:20648536:1 gene:Dexi7A01G0009630 transcript:Dexi7A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRAERAGGVGSGGWTGGQHLGRAPGHLLSASLLTGRSFRLSDLPAGGELVGSSNGWIAFLLFPLKDTDDGPVVKVAFAVNPTVDDYTAVAICGDRRRLAYAKAKDMQQWTVMDVAMADERDRLVDLVYDAGGGKVYCVTPHGDVHVFHVVPGCRRRRPSLARGGGLFAPPYDVASKLTGAKNIFVSGGSLYQVWRNTTCAAVSRMMMPGGGGGGGQRFAMAKDEVFVLKYDPSKRRGPYLILRW >Dexi9A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:9A:7682748:7682983:1 gene:Dexi9A01G0012140 transcript:Dexi9A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSHKKKKASALNPSINPKAAASDKKPKPPKPIEEQPEQAAAEKKPKKQKARDEIDEIFSAAKADKKRGG >Dexi9A01G0033330.1:cds pep primary_assembly:Fonio_CM05836:9A:38225179:38225811:-1 gene:Dexi9A01G0033330 transcript:Dexi9A01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPQQALQVSGTRCRVYRDDALYQATEDQQGLIPWNGKQDVLIDR >Dexi1B01G0028950.1:cds pep primary_assembly:Fonio_CM05836:1B:33345765:33346346:1 gene:Dexi1B01G0028950 transcript:Dexi1B01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQKFGGQDERSPPPGTAVADSPPPPGGSPARRPVVRPCESRALRPSLLISPERPSPPAGSGALRE >Dexi8A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:8A:18329471:18331166:-1 gene:Dexi8A01G0010680 transcript:Dexi8A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQDDAPPLRSAADTAGMVWAESKRLWGIGLPITIGTLSSYAINSITQMFIGHLGNLPLAAASIGLSVFGIFALGFLLGMGSALETLCGQAFGAGHVAKLGVYLQRSWIILLGSSLLMTPLFVFAEPLLLLFGQDADVARESGRFALYILPSIFAMAINFAGSKFLQAQSLVNVPAWIGLAAMLACVLLNYLLVVVLGFGLAGAAAAYDAANWLVALGQLAYIVGWCTDGWKGWSSAAFHDIWGFVRLSLESAVMLCLEIWYVSLLTVLAGHLHDAQIAVDSLGVCMNVNGWEIMVFVGLNAAISVRVSNELGSGRPRATWNAVVVVVVEALFIGLVCMGLVLVFRDSFSVIFTGDDTLRRAVSKIAGLLGLTMVLNSVQTVISGVAVGGGWQGLVAYINLGCYYAFGLPLGYILGYQLKFGVGGIWSGMLCGIVLQTVILLAIIWRTDWKAEAAQASSRVQKWGGKATDDQVNQPLLA >Dexi7A01G0024150.1:cds pep primary_assembly:Fonio_CM05836:7A:31966504:31968897:-1 gene:Dexi7A01G0024150 transcript:Dexi7A01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLQLPADDSLLLLVTHSNLSTFAADIRVSKQTTVEALKDKLWRKTGTSVASMRLQLRDDTGAKVADLDDDAAPLAAYGPYDGYSIHVVDLDPSSLTSGGWLEDTSLVDKYKMSEEAYDKLDKNSKKRWPRKPLHQMIKK >Dexi1A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:1A:2103923:2104567:1 gene:Dexi1A01G0002910 transcript:Dexi1A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAPGDLARRRRQWRYTWEALAHLPLLRLYVFPLPAAIPTGGLRADLRLEDSLLLVSFSLAGEAAPIALRVPVPRVLVDPAAPVECRAAGDHVEVRLSLVLPVDHPVVAAAFPPPHGAEPPAQLAVRDDIKRLSAGEVHLYCKNCSARLTKQPLRYRTSATCDL >Dexi2A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:2A:32056478:32057245:-1 gene:Dexi2A01G0020000 transcript:Dexi2A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLRRAATSVTTSITAVATFLRVDHVERLRVLGPDDALDACAHVRELAVGPRRNAALLLRRRREHAGAHGHAAEQAEHVALVVGGIFPPDGVPGLAPVAVDGPDVLLHEPAPRAAGVALERQLDRPRRDLPVQRARQHGELDQEQRRRAGVLVDGEAHAAAPVAEERHRRGVVGQERTAVVLAEEGYHLAPGGEHRHELEHLEDPGAATASAAAAVGDLGCPASIGGRPEQ >Dexi6B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:6B:6835134:6838184:1 gene:Dexi6B01G0006270 transcript:Dexi6B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLQALRPLQTLSLAPSPTIPTLLRLLSSSSSAAASSDSDSPTAAPPPAPAGDADADFDSAEYELPTPGPAPSRKINNPVSALRKQLRFDPSLRARADEALFGERMGGAAVVVEEDEERSRDVALALLDAAMEPPDEDEDPSEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGNTQIVCHCAEFLLCFFDTPGLMLGHHGFPYRDVTVRVESAWSSINLYDLLIVMFDVNRHLNIPDSRVIKLIKRLGTEVNPNQRRILCMNKVDLVEDKKELLKVAKEFEDLPGYERYFMVSGLKGKGVKDLVQYLMEQAVRRPWDEEPTVMTEEVMKTISLEVVREKMLHHIHQVIPLHSN >Dexi1B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:1B:915972:917570:-1 gene:Dexi1B01G0001120 transcript:Dexi1B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSICHHLLAQCKTLRELQKIHAQAVAHGLHPHNQSVSCKIFRCYADFGRAADARKLFDEIPCPDLVSFTSLMSLHIRLERHREAVSLFSRAVADGHRPDGFAVVGALSAAGGLGDRQVGRAVHGLIFRLGLDFEVVVGNALIDMYSRSGKFESAVVVFDRMFLKDEITWGSMLNGYIKCAGVNSALSFFDQMPVRSVVAWTALITGHVQANQPVKALELFGRMVLEGHRPTHVTIVGVLSGCADIGALDLGRVIHGYGSKCNASRNIIVSNALMDMYAKSGSVEMAYSVFQEVQSKDAFTWTTMISCFTVQGDGKKALELFWGMLRSGVVPNSVTFVSVLSSCSHAGLIEEGRELFGTMRRIYNIEPQLQHYGCMIDLLGRGGLLEEAEALIADMDVEPDIVIWRSLLSACLVHRNDRLAEIAGKEIIKREPGDDGVYVLLWNMYASSSKWKEAREMKQQMLIRKIFKKPGCSWIEVDGVVHEFLVEDKTHGARREIYETLECLSRQFKMDLRSYPWEQLSSSIFVDEEI >Dexi6B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:6B:24604366:24610972:1 gene:Dexi6B01G0017430 transcript:Dexi6B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVADASPPAPPTGGDRKRRHASPVLPPPPPGPPPPGPHKRHRREEGGGGGFDRRRLGPVGGGGHEQDDRRYVNGHGGVGGRGGEGRYNRAPDSGRGGWNEGPGNSRREGLMSYKQFIQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKDEDWLRNKYHPTNLEAVIERRNELARTTANEFFQDLQSGSLDTGPGLTGSAVSKSGTNSSKNLVDADGKKGKVGKSADDLYYAAPKAHPVSSEVRRIQMDIEQAQALICKLDSEKGIENNVLSSSDHDKTDRDKSHSSMGPIVIIRGSSTVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADARTYNGASSNATEWESKLDSFWQDRIQAQDPLEILKAKEKIDAAATDVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVQKHLKLKHADVVVELTSKVREDIYFENYMNDPNAPGGTPIMQQPAPRGKGRQRPPIESRLRDERGNRRFDSNVDSPPHDGSGENPDDAIYDSFGDPILHGGFPPDIPAPPILMPVPGAGPLGPFIPAPPEVAMHMMRDQGGPPPFEPVGGPHPRKAGRGGGPPMRGPSPIFSAPPPHMHDPRRIRSYQDLDAPEDEVTVMDYRSL >Dexi9B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:9B:14192529:14193422:-1 gene:Dexi9B01G0019660 transcript:Dexi9B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGGCGIISGQLKAADGARVVKLQPGHDAVAVVDVLARHLLGHLPRREILLADGTLGTFRFSNHGLRNNDVRECREGRLGGRWCAVAVGVVLGELLDELLETRANEVVPEACPADAAEAKTGAEADAGVVEEDLDVGASLHEASGSFSGVAVPAAERAPPPPTLLPGCSRSPRKVLRMEEMSLDRDGEVAADEEEDEKEGDPPEPAAGAAPGAGAVLAAGIVVDEKGNGKGSGMKVGAGTVTSSRKSPWHSGQESSPAAAAEAAMRTVRLHW >Dexi9A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:9A:12458537:12462631:1 gene:Dexi9A01G0017400 transcript:Dexi9A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGAGMGGGGDELVLRGSISKRWTFLLCLGSFCIGLLFTNRMWTMPEPKEIIRRSTLEVEKMNLVAGDCAPKSIGDAKDVPGEVPRTQDVIQTLDKTISNLEMELASAKATQESMLNVAPTPESTGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKAYFVAAVSMWDAEYYIKVDDDVHVNIATLGNTLARHRSKPRVYVGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNACVASFDWSCSGICKSADRIKEVHQRCGESENAIWNAKF >Dexi1B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:1B:9531914:9534959:-1 gene:Dexi1B01G0010170 transcript:Dexi1B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMPGVTAVVPALTASTADSLLSPSNGFLVNLSDGLHSTYVQLPHADADALLSARPQLVGQLVHLDHLRFARPVPRAVGLLLVLSSRSFPCVGNPEPLVARAAACSRGYVIQPAASPSDAAPPLMPSSSGSNIHESSDAVKRTVLAPKNTGAAPPPSASAASAVKRRFLSPAPSKRRDPSPSVKGGGSRTSSPSVTRATSRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRTAREPAIVVPSRYRQPSPSSGRRGGASPAVGGRRASLSPSSRRLSGEGTNKKKVWVLVAGISKMTDLGNSAVKPGRKSWDDQTLALAAAAASSVRKSRAKVDKDTILRTQETMSRRLSDATSELSSNDDSSVDDRPKPCKKIDSSSVKMKNAPPKIILHDPKWTDDSIPLDAVSGKLSNIGKEAIERRNAAATAAASALQEALITESVIRNLSKFSDICSSSKTSNPLPTIDLFLVVYEDALKWKILSESAATNGEDVAFLEKSTSQWVDVALATDLEVLKLLNGVTESVSWRKSTNKLKSPLVVEPSRTSVPQKQSVGALAKVQSKVSPSSPMSFTWSTTESMNETVELAKTLWREMYMWFLNFVNEALDMGFHLFEDQNFASKVKHSSHITMVLSQFKKISDWLDQVGKISEDKATKERIESLKHKIYGFGCV >Dexi9B01G0024400.1:cds pep primary_assembly:Fonio_CM05836:9B:20477696:20480266:1 gene:Dexi9B01G0024400 transcript:Dexi9B01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVALFLIAFSSWLLIPHFAAAAPRPPIRAVNLGGWLVTEGWIMPSLFNGIRNNDTMDGTQLQFMSVTQSRYLVADQGGGATILADRTNASSWETFRLRRINETTFNFRVNGGQFWGVNSSGALVATATTPGPSETFQIVRRNSSFPTRVRIRAPNGLFLQVKNMTSITADRGDFTDWSNNDPSVFVAGNVGNLYGEYQICNGYGKANATEVLRNHRNTFITEKDFNFTASSGLNAVRIPVGWWIASDPNPPPPFVGGSLQFLDNAFSWAQKYNIGVIVDLHAAPGSQNPFEHSATRDGSQLWGNTDANINQTVQVIDFLAARYANNTALLAVELLNEPLAPGVNLSSLTKYYQDGYKAVRKYTSTAYVIMSNRLAIANQTELLQFAGNFTGTVLDVHYYNLFDSKFDTLTVQQNIDFVRNNRSADLKAITNQNGRPLTFVGEWAAAWAVQGGNATDYQRFA >Dexi2B01G0034740.1:cds pep primary_assembly:Fonio_CM05836:2B:41973601:41974566:-1 gene:Dexi2B01G0034740 transcript:Dexi2B01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVVDPIAAAGADDRGRRRRSLSTSCWRSRRAPTRRPSCGFAATCADARRRLADDPNLRGRLRLRHAVDRFVPPLLRGDLFHERHTYHHRHSDMYLADFATTKLRRVTAAAGYPVTWRDGLLLLRMDKNHLRVCDPATGRSQAVPLPDPPPARSAQANEEYALLVGEDAASSAAAASTAGRPFQVLFAYIEVSKHRRYLQVQTFSPEHGGGAWSSRAEIRTPNLHGSRLIRGLGKAMVVGGAVHWLCLTDAGAYAVRLHVRAAQVTRSPHPAAVVARVATHGDLVAGRESGGV >Dexi3A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:3A:10123505:10124661:1 gene:Dexi3A01G0013860 transcript:Dexi3A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSQPVHKWIVRHIYFPCMRNGISKEVAVFISFFVSAVLHELCVAVPCRILKFWAFLGIMLQIPLIILTSYLKNKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRVEKRK >DexiUA01G0016690.1:cds pep primary_assembly:Fonio_CM05836:UA:35642618:35644219:1 gene:DexiUA01G0016690 transcript:DexiUA01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNLTGNIPKEVGNITTLKLILVDNNNLSGPLPPELAETQSLEILQADNNNFSGSSIPAEYSGIQTLLKLLQGAVPDLSGIPNFGYL >Dexi7B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:7B:25914755:25914961:-1 gene:Dexi7B01G0020660 transcript:Dexi7B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKSTTTRSIPSRRDRHHSLAFAFAYLLILAASLPANTAGPSIIHHRASGGAHVRSLISSSGQLSAS >Dexi4B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:4B:6410142:6411271:-1 gene:Dexi4B01G0008970 transcript:Dexi4B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRHLLPLLRAASSFPSPAYHRACLLSNSTSASATPFSLEDYLVAACGLAPAQARKTAKKALEESSCSRGRLHSASNPDAIIALLSGVGLSRDDIAAVVVADPLLLRSSPKNIGPRLRALRDRHGLSAPQIVRFLLVGSRVLRNCDIGRILDSFEQVLLLMKGNSRFLRSDLEGVKHNIALLRQCGLSARVIAHLCSRHLWILNFEPGRLKEIVLRAEELGVPRSSPMFSQAVFVAASNTKETVAAKLEFLKSTLGVCESEVSTAVSKMPTILGLSEECLLPKIQFLIKEVGLEPQNIVDRPILLGFSLEKRLMPRHRVMKALQAKGLRSSIMSFCTLLSYGEKDFKLRYIDCHKDSVPGLRMLMP >Dexi7A01G0024080.1:cds pep primary_assembly:Fonio_CM05836:7A:31905057:31906384:1 gene:Dexi7A01G0024080 transcript:Dexi7A01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQNCRHLLSRIFVANPYKRITMSEIKSHPWFLKNLPRELKEEAQAAYYSRRGDAGAGTSNGNAPAAAAASLSFSVQSVEEIMRIVQEAQTVPRPARPVSGYGWDDDDDEAQGQDEDQEDDDDYDRTVRQVHASGDFDISNLQI >Dexi4A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:4A:8100080:8106040:-1 gene:Dexi4A01G0010180 transcript:Dexi4A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSKRRVAEGDTEPEEEEEESSEEYEVEVVRDHIASSRGSRLALFGSDLRLDRLRPRRRRRRRPLAGEGAAHGFFHDLVIHPDNRCVITHQRSPETSSSSLEASAPAAPALVACPGSCMAAARSIAAWYRLWTKFILVWAVYSSFFTPLEFGFFRGLPRKLFFLDIAGQIAFLIDIVVNFFVAYRDPDTYRIIYDPTAIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRVMKVTEFFWHLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYAHFREIDLAKRYITSLYFAIVTMATVGYGDIHAVNIREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYVESVPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETLLMLEPESTFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSEGEYGGRIKMLESDITFHIGKQEAELSLRVNSAAYYGDLHQLKSLIRAGGDPKSTDYDGRSPLHLAASRGYEDIVQFLVNEGVDINLTDHFGNTPLLEAVKQGHERVAALLFAKGAKLSLDNAGSHLCSAVAKGDSDFIRRALAYGADPNAWDYDHRTPLHIAAAEGLYLIAKMLVDAGASVFATDRWGTTPLDEARKCGGRTLAALLEEARVEELSKFPERGEEVRGKMHPRRCSVFPHHPWRAGGEERRRKEGVILWIPHTIEGLVASAQEKLGVPGERSRMRLLGEDGARVLDVDTVSDGQKLYLVGGEDDDQQDGE >Dexi4A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:4A:7075682:7076989:1 gene:Dexi4A01G0009000 transcript:Dexi4A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQGSKHALHGGCGGPPGPRGLTGRLPVSRRRSVAVRSAALGTLSLDRAAAAVAAMGAVSFDDAYGGGEGMTKKKKDSDNDDADDGAGKLLGPSRSFAGWRPATPPPVAVPPKKRPKKQAVVAPRTPTKTPARGAPEEINVWELMNGLDDEEEDDHEEVKEDKEDRVHYEERKAQSTPGSPVFDPEILDAFRKALDDLTPNGSHLPDFVKRDEDSGGGDDVVEKREIQKFHGIVRARVTVLQEKIDAKTKLAAAAKKQASPAPPPESAGRVVVYLTSLRGIRHTYEDCWSTAAVLRGYGARVDERDLSMHAGFKDELRAALACGGDGRVPPLPQVFVDGIHLGGAEEVRRLHEAGELAAALEACDAVVAKGCAGGVQDACGGCGGVRFVPCDACSGSCKVFVEDDVEDGAGSGAGAFRRCPDCNENGLVRCPVC >Dexi2A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:2A:4486418:4495195:-1 gene:Dexi2A01G0004890 transcript:Dexi2A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRDKSPDHNNQFVDIGDQAGAFAKRLKEDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPDRDLETLLKGILQQVVTPKMDDGKGVKEEKDVGISELGAYLSDKRSHHIYSGCNTAELTIKTFQLNRYLIVIDDVWTTQAWEAIEYKLVTARTNNNCGGRIIVTTRIEAVAEACSTFSTVSGRYIHHMEPLKLEDSKKLFLSKAFGKMDATYPEELKEEMDKILTKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVSHPTLEGMRHIVALSYNHLPHELKRCMVYLSIFPEDYEIRKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFDEYDMNVEWYRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIERGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTDQHVLHACKLYLLRFLSFKGTEISKVPPQVKKLEHLQVLNLEGTSIGDGGLSDNVTTLNKLERLLCPRWVLPKGIGKMKALRELDAVKLEDGVEIAQELGELEQLEAITIEVGVSVSKEVRQALAPSLSKMYSLRRCWIYSDDDNLEFLHDLRTPPRRLRDIWIAGTVGPCLPAWIGQLTHLVVFSMWYARLNGDQLLGVLFKLPCLKCIQVGYLCCVDRELVARTNYRFPSLVSLEVYAGRTEEPEEFRFEQGSMSQLERFQIDFAEREHKVSIVGMEHLTNLKEVRLRGNKSSPALEHALQQVKAEKSKREDCCISSADDNLEFLHDLRTPPRRLRDIWIVGTVGPAGLPAWIGQLTHLVVFSMWRARLNGDQLLGVLFKLPCLKRIYVGYLCCVDRELVARTNYRFPSLVSLEVSARRTEEPEEFRFEQGSMLKLERFAIHFYERQDQVSIVGMEHLTNLKEVRLRGDKSSPALEHALQQVKAEKSKREESRQFEVVVKY >Dexi6A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:6A:26357095:26358086:-1 gene:Dexi6A01G0018610 transcript:Dexi6A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVASSTPPVPEWLEALLATRFFLACAAHPASPRNECNMFCLDCTRGSPPPPPPPAFCYYCRAHRHATHRVIQIRRSSYHDVVRVSEVEDVLDITGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKLVDTKRSNGGHAAAAGDADGGAGIEKADAGGSKNDAARPLGRRRKGIPHRAPFWS >Dexi7A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:7A:4174473:4176150:1 gene:Dexi7A01G0001550 transcript:Dexi7A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDQLRVLYTLDVARTQLYHFMAIVIAGMGFFTDAYDFFVISLVVDIIGYKYYHGPPHRRVAVAISSIALCGAVPGHLIFGWLGDKMGRKRIYGITLVLMVVTSFASGLSFSKRVGKNVVVVLCFFRFWLGVSIGGDYPLSATIMSEYANKRRRGAFIATVFAMQMPETARYTALVAKDAQKAASDMSSVLNVEIAPENEAVNELYRQNQYGLFSAEFLHRHGIHLVGTSMCWLAVDITFYSLNLFMKGIFTTVRLIPPLDMDHPFNRMIKTTALHTTIALCGTLPGYFFTVAFVDRIGRVRIQILGFAMMSVFMICLAAPYDRYWTQHKNKYGFAVIYGMTTFFANFGPNTTTFIIPAEIFPARLRSTCHGIAGAFGKIGAITGVFVFRYNENHVPSTLYGLVGCNIVGLLFTLLLPESKGKSLEEITGEFEQQPPQQHAVAFVSGEYFRAVPV >Dexi6B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:6B:2536579:2538871:-1 gene:Dexi6B01G0003050 transcript:Dexi6B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPAPANGGAPGDDWFIDCGILDDLPAAACGAFPWDASPSSSNPSVDVGSYVNTSDVFKEPGSIKRLRSGSSGRPTSKACREKIRRDKLNDRFLELGSTLEPGKPVKADKAAILSDATRMVIQLRSETQQLKDTNGSLEEKIKELKAEKDELRDEKQKLKLEKESLEHQMKLMTSTPAYMPHPTLMPAPFPQAPLAPFHPQGQVAGQKLMMPFVSYPGYPMWQFMPPSEVDTSKDSEACPPVA >Dexi9A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:9A:14712984:14713394:1 gene:Dexi9A01G0019800 transcript:Dexi9A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKVAVLFAVSCAVSRRHGGGGTPAVMTVNGFQRGEEGGRSECDGHFHSDGEMIVALSMGWYAGGHRCRRAIRITSARTGRSVEARVVDECDSRRGCRDNIVDSSPAVWKALGLDTDVGEVPVTWADA >Dexi9A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:9A:1530069:1531564:-1 gene:Dexi9A01G0002840 transcript:Dexi9A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPAPAMAAGESTDTVHGDPPRRHVLLFPLPYQGHINPMFRLAGVLHSRGFAVTVFHTHFNAPDPSRHPEYRFVPVADGMSSSATVPVAIEDVVAQILALTAACEAPFRDRLAAVLDEYSKDAVACLIADAHLLPIFTVATSLAVPTLALRTGSAACCACFLAYPMLFEKESQRDMPVKEVPPYRVRDLIILGDDEDERGGQARELVARAVASVKVSSGLILNTFDALERPELEGLRRDLAMPVLDVGPIHKFAPAGDSSLLRQDRSCLEWLDTWPPASVLYVSFGSVACITRREMEETAWGIAGSGVPFIWVVRPGMVRGSTPNQQELPEGFEAATRGRGVLVTWAPQEEVLRHRGVGGFWTHSGWNSTMESVCEGVPMLCRPNFGDQMGNARYVEHVWKVGFEVDGELDRGNVEAAVRRLMTDKDGAEMRARAGELKKAAAECTGKGGS >DexiUA01G0018390.1:cds pep primary_assembly:Fonio_CM05836:UA:39064852:39070359:1 gene:DexiUA01G0018390 transcript:DexiUA01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHQQRRFAGSGDAPPPPQQPPHPNAQWYPAPPPPYPHHPYPPQHHHQWGPPPDLQHQRHPPPPQQQLYGYQQPPPPMQQQPPPPGNPWPPHHAAVQPPPPSYPPPPPGQAWTNHSWAQNHGYPDRGPMVSPAQSFGSFPSTYEQEMDPHAAPLFVAASSSNYVPHAAFGVGSVTEVFPTDPNAPFSVAEKSKKRPVPNWLREELLKKKTAPLSASAQHPTNLNSVESDDAEQLLGRPDQDDSRSNDSARSTEDNEDDEDDIEATRMATINQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSAESDEPSDVFGSKEPGLGESRTKTSAKVVLPAKPNSSSSDHKDSTGLSSPKGALLGLASYDSDDDNDDGGDGRDKISTSDLSANAGVANEEGDKSTLGDRYVNHTEKVLSPGKTTQRSTDADLETEHSHFTQNGEFPLDAKTSIKPKGAVDKMDEKKAHRDIQDRKNSSSGSNIEKYHDLESSRKHLEKSSKGDSVKELKTDHTKELKSSTAEKYNSDKYGMYGNVDKKSSFKEGKGSGRTLKHESDTRKPHSRGNSKHDGAKGDRKDSQKDTRERDRDTTDRRGGKGKDEKEDRSRQMTKSSTSHSRSSRSRSPRGRSRTRNENSSRVRGSVSSDEPSDSVKKRKHHSRKHSMSPSPPKSRNR >Dexi9A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:9A:10052408:10056796:1 gene:Dexi9A01G0015090 transcript:Dexi9A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEQHAAAVEETPEKKEAGITELPAPSGWTKKLAPIRGGKFEVIFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWGTSDTPRRSARLSEKVKPTESPEGEKTPKRGRSSSKRSKKEKTDEADAVDPNETVDRGTLEGTDVEMKDAENAKEKKEEAPTDAAEKTVVGEEKKEEAPTDAAEKTVVGEEKKEEAPGADATEKTEQGADGQEQINNVAVPESVKPAESEVAPPAPVVAEEKKDNTENSLAAESAVPPAVSSEAEKKDYGGVIEPVAPPVVVTEPVAPPVAETKVDVSSAEAAKEAENSAQANAAPQEPTAANCDNKGQIQPGASAVRCT >DexiUA01G0012350.1:cds pep primary_assembly:Fonio_CM05836:UA:24972619:24973144:1 gene:DexiUA01G0012350 transcript:DexiUA01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRKERKKRQKWFWGSVGLAVTLGATAIAWSYLPSSQPQASADSNSASSE >Dexi4A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:4A:4439966:4441578:-1 gene:Dexi4A01G0006180 transcript:Dexi4A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCVRGRAGGRVVEAAGSLPLPPPPRMAAENSSATAAAASIRAHLARSASGVDAQPSPRSLLSRILLRGGGGDGGSGGGGGFGCRVRLPRRYGGGLKEERKEGSEQGETPRVKVVAPPPPPEMPFDTPRSSLGKKKPEEELVSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRQAAPARWKENATNHSASASQESNGSISSASATTTAVKDPIAFPAADAEVASNCSHTTADNNAVSGDPTAAAVVIDQLEAELQAELGRMQMQRAAGTERMIPPMQGLELPLLKVKTKATDNTSSRRRVVDRGDANKEDGEVVNGNNADEEEVDDDEEEYEEAEEEEEEDEEEEERYDEDGMSPPHGGVSARALERRLHELLQKRQQERIVELESALDTAQRRLHEKEREVVWWRDAAKLVTHRRDEQQSRRFVR >Dexi4B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:4B:20016104:20025562:-1 gene:Dexi4B01G0017680 transcript:Dexi4B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFPPVAAAAASAPPRLAPRHPFAAAAVAARRSSLSFRPAARRPALPLRSSAFASNNLRCAHRRAISPRSRRGAQGFGAASSLDLAASTWGAAAGRDGCLSCFPKSRRGRSGLARFAPCALPHASGLSFGSRLSGAKVRHSHILRAAGPDEPHVASPTWSETSLDKHDLDHAISRQELEDVLNTPLPEHPKLIRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLSENEGSPVPSGSPFGAMASLFAPKLPGGFSANLTGEKSPATDKIKPIKRERQAVRPPVEHKWSLPGVALDVKPPAIFQHELIQSFSINMFCKIPVSKVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHVDGVGETDFEIYPDEITESIKAGLDEPIYPEPELEVPKELITQSELDELKLQHRPSFVPLTEEENVVKVFDTETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGGNMEVSIVGDFTEEEVESCVLDYLGTVRAATSPNTEERIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATGNDLFNVIQRSGADGNFNLICARSVPCLLVYNILVYICRAIAAEISEQVNLDLTGKKRIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTMLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDINDDGADVDLDGMVPMGGRGLSTMTRPTT >Dexi9A01G0042540.1:cds pep primary_assembly:Fonio_CM05836:9A:46143999:46149193:1 gene:Dexi9A01G0042540 transcript:Dexi9A01G0042540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHDKISAVISLLTTEFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLASFQDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSREIRQQADAALSEFLQEIKNSPVVLMFYAFHADYSTLNEKNVDYGRMAEILVRRAGSTDEFTRLTSITWVARETNEELRAIKADPTEGFDIAAILSIAKRELNSEHEATRIEALHWFSTLLSRYRVEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHACIAEESHHFHHLVSYLIRTFHDNHVLLEKRGALIVRRLCVLLGAEKVYREFSTILESEIDLDFASVMVQALNLILLTSTELGELRSLLKKSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSMGEDDINVKFLVQLDKLIRLLETPVFTYLRLQVTSDNPFLQVYAHVPHEPLNLTSMFPTWLF >Dexi3B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:3B:1998307:1999905:1 gene:Dexi3B01G0003040 transcript:Dexi3B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPATGVWRQLGRSPVRGGSSSSKLTQRAINNIRFCLLCAFVTVLVLRGTVGVNRRLVYIAGVSNHRAPPAGTTKVVAAEEDDIDRVLREIRADSDPEDDDDVITSTTTPATRSSYYDSGSAWTTASYSLGPRVTRWNAKRRRWLYNNPGFPSRDARGNPRVMLVTASPRGPCAAAADTLAGDHFLLRATKNKVDYCRLHGIEFMPTMSHLDVDGELTGGGWAKLPLLRRLMLAHPEVEWFWWMDSDALVTDMGFELPLSRYETTHLVLHGNSYVLFQQRSWEAVGTGSFLLRNCQWSLELLDAWAVMGPKGRPRHDAGKLLTATLYGRPAFEADDQSALVHLLITEKERWMEKVYLENEYYLHGLWMELVDKYEKAMEKHHPGYGDDRWPFVTHFAGCKPCNGGKHNTSSGGGGRHSRATTGTSMKGGGNSSDELDRCVRGMERAFNFADNQVLRLYGFRHESLASAEVTPVANRSANPLEAKEEAITFLKRPKDPVVWSDDVRTYRKPKRKRDSVLNRILKRLGWRPEL >Dexi9A01G0028110.1:cds pep primary_assembly:Fonio_CM05836:9A:32775374:32782502:-1 gene:Dexi9A01G0028110 transcript:Dexi9A01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAAEAVVPQMPVEPPPIAADAVVEPPPIAADAAAETVVEPPPVAADAVVERPPEIVADAMDTVGTPLPEAADDEEAAAAAPMSAVPPPPPPPEQKLEQKIQSVMHGGEEPLPSEIHKIIESIEVQLPSIADDQKPCDMTIQKQPEEETVQRSGHLLVEDQLAMDSDDLSDDSPTRNSNDDSDYSDGAYHLISSNFERGVQQDAQEFLCDLLEKLDEASIAPKSSLEEPSLTEGGIAKEIFGGLLKSQLRCPKCNRCSDKSEPFLDLSLEVTMVDSLIDALQSFTKVELIEDYMCDGCKCRVNMEKHFKIEQAPEVLVIHLKRFTNSGGKICDKVKYPLELHVDSFMSSSDDTQQKYDLYGVVVHHGIYGRGHYVCYIRSSVDD >Dexi5B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:5B:18299937:18301528:-1 gene:Dexi5B01G0017250 transcript:Dexi5B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKDDDAAAALAVFHESRAGVRGLVESGVNAVPPIFLTASPQPQSSPPTPAFSIPAVDFCLPRSDTVALVRAAARSCGFFHAMNHGVPSGTVASAVTAARAFHEEPRAARAAFYSVEPVGAVAYSTIPNAAQQGAPLLPWRDTLRVRFGPGEPDLGRLPAACRDALREYQRSLTAFGKEMAGVLSEALGVGAERLEEAMQVEGWLMACHYYPPCPEPARVVGSLEHTDPSLFTVLAQDGVGGLQVRRDDGGAGGGGEWVDVAPLTGALLVNIGDVLKVVSNDELKSVEHRVVIKSTQDARVSIALFFNPAKRGASDHFGPLPELVTAEKPAQYRSFTVPEFMSSRREYGHSRSSIERFKVSSSQ >DexiUA01G0014150.1:cds pep primary_assembly:Fonio_CM05836:UA:30010760:30012025:-1 gene:DexiUA01G0014150 transcript:DexiUA01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTDQDGWTQGCYHNRPGFTRVLWQILQEKGFEEPPLYIWKQFDTLQQVGCTVYVHIPESETHATWKLDETQVEGFEFEDTVQTAALAALTELCQKNKFEIGTSSARFFPLQDPEDGVWKRRVKALKNKSRVENDEVAASSVDYMVSMFSLLQICQKSYQRQREKNTDFKMKMLGIKSGLEEAQQAMLDREHEMERSMRDMARRMDEKDAEIQHLQEVGAESAAARTEAMGVHTQEMQARVSMLQDEIVALRTALEQYEHLVAAADHHVEAPPAPAQDQPPAQEGAGEEVDIVGMDPALAAPPAPEDAQFADEVLEGFEGFEFEVEEHNEALPEDPNAFAWANANVDGFDPEGHGPLEFHPVVVDEDSDEEDPSEIQGESGMTTVTSSSSSSTSSSASSAVRSDGSENSVNQPAPMEGGA >Dexi6A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:6A:8127989:8129095:-1 gene:Dexi6A01G0008070 transcript:Dexi6A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRSSASGPRSAPTPTTASTSTPLTAQGRHVFEINGYSKHKGLGHDKFVRSCTFSVGGHDWCIRFYPDGSFYREQAHISVYLELLSPRAKVRASCDLGLINQSTGLPDFAHRTTEPRMFNSSDISKYAPKTDLFKNRSDLEASEYLQDDRLVIECIVTVIKDLKVSETKLHGQIVVPPSDIGKHLGKLLETEEGADVTFSVRGETFAAHKLVLAMRSPVFKAELYGPMREGSAQLVTIEEMQPAVFKAMLHFIYTDSLPDTDPIRGDAEMIRNLLVAADRYAVDRLKLVCQSILCKNLHVKNVATTLDLAYQHDSKLLQDACLEFISSSNVIDAVVATQGYKNIKTTSPSTLVDAFEKMMTLHKT >Dexi9B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:9B:9971659:9972999:1 gene:Dexi9B01G0014890 transcript:Dexi9B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLMLSNLARPAAANSTSQRLRADGPATVLGIGTANPPNCVRQEDYADYYFRATKSEHLADLKAKFRRICHKSAISKRYFHHTEELLECYPELTNRKSPSLDVRQDILATAVPELTAAAAADAITEWGRPATEITDLVVSTSSGAHLPGVDFHLANLLGLLPSVRRTMIYMNGCAGGSAALRVAKDIAENNRDARVLVASADLSLVFFRAPDETHVDTLIMQALFGDGAGAVVVGADPISGERPGFEMVSASQTTVPGSRNIALGQLREDGLLFHLSKEIPSLRCVGDALAPLGAFTSWNELFWVVHPGGPAILDSVEEGLGLDPRKLEASRRVLRDYGNLAGPSVIFVLDELRRQRDEMNEIGVMVGLGPGPGLTVETMALRAMGGLRN >Dexi3B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:3B:12388666:12389027:1 gene:Dexi3B01G0016890 transcript:Dexi3B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRGSSSSKSREPNTTGTAVAVAAENSQGVTISQFIMQLDESATKRLHRMNERLRLLEQQMETLETDVAKARSHSASLEGCTE >Dexi3A01G0030790.1:cds pep primary_assembly:Fonio_CM05836:3A:35109549:35109797:-1 gene:Dexi3A01G0030790 transcript:Dexi3A01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAARAQAPAGAGCSCGRGFASPLSQAACATDGKEEAVPGGEQHRREGGESSNAWRSSRESSRCRAEFTKVEKKNRKEKK >DexiUA01G0006260.1:cds pep primary_assembly:Fonio_CM05836:UA:12075814:12076362:-1 gene:DexiUA01G0006260 transcript:DexiUA01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVVSSLLLLLMAFSAAESVVVATVSARSNCTRSCGDVSIPYPFGVEPGCYHAAGFNHSCNHSYHPPRLFGDGLQVLDISIPAATVCISIPMKFANYSDNRTANKKWVIALPQRGSYPYFLSDDMAQSMACGFTESELIASCTVIRSMNKEGGNLTIDYSLYNIDIWILILQHQNQQA >Dexi1B01G0031630.1:cds pep primary_assembly:Fonio_CM05836:1B:35098908:35099891:1 gene:Dexi1B01G0031630 transcript:Dexi1B01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAAVVRTVMVLGLGLSVGEALSLDLYEETCPQVEAAVTAAVRQAMAKDSTVAAGLLRMHFHDCFVRGCDASVLLDSTGNVTAEKDGPPNVSLHAFYVVDNAKATLEAQCPGVVSCADILALAARDAVALSGGPSWVVPVGRRDGRVSLASETATLPGPRASFEQLKQAFHARGLSTKDLVVLSGGHTLGFAHCSSFQDRIFSSLEEGQGGIMGMDPSLSPSFAASLRRACPPNNTARAAGSGLDATSAAFDNTYYRMLQAGRGLLASDEALLTHPKTRAFVALYAASQEAFFRAFAKSMLRMGGLNGGDEVRANCRRVNNNKP >Dexi5A01G0034370.1:cds pep primary_assembly:Fonio_CM05836:5A:36438314:36440152:-1 gene:Dexi5A01G0034370 transcript:Dexi5A01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding RELWSNIDSVTRKSMLEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGAGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLHFQIFVPAELEVDGLPFLQVIAGCWHNRFDTMCLVKNISMEILDFYDTYKIDPQRGRPEDKISPVLNKLLAKP >Dexi2A01G0028730.1:cds pep primary_assembly:Fonio_CM05836:2A:39920036:39921403:-1 gene:Dexi2A01G0028730 transcript:Dexi2A01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSQMASLAAFVFLVSATLASGAASVRVGLTRIHSDPGGIPASQLVRDALRRDMHRQQSRLGRKLATASDGAAAAATPTVTARTRKDMPNGGEYLMTLAIGTPPVSYPAIADTGSDLIWTQCAPCSGEDHQCFNQPSPLYNPASSTTFAVLPCNSSLSMCVSALAGAPPPPGCACMYNQTYGTGWTAGIQGSETFTFGGSSPADHARVPGIAFGCSNASSSDWNGSSGLVGLGRGALSLVSQLGAGKFSYCLTPFQDSNSTSTLLLGPSAAITGTGVRSTPFVASPAMAPMSTYYYLNLTGISLGTKTLSISPDAFFLKSDGTGGLIIDSGTTITSLVNAAYQQVRAAVQSLVTLPVIDGSDSTGLDLCYALPSSMSAPPAMPSMTLHFDGADMVLPAESYMISGSGVWCLAMRNQTDGAMSTLGNYQQQNMHILYDVRQETLSFAPAKCSTR >Dexi4B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:4B:14352512:14354890:-1 gene:Dexi4B01G0014010 transcript:Dexi4B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVHHQNADDDVDGSDEWRVDVPNAVAEHQNARGARRACCRPALLVSLFSRAAAPVRRMVGFAVASWRWMVLSAAMARDRAVGLARTAWKIGADDPRKVAHGFKVALALTLCSVFYYVQPLYVFTGHNAMWAVLTVVVVFEAMATLTGGALALGVQWIASKSGKELEPFILSASVATYSRFIPTMKARFDYGVTIFILTYTLVAVGGYRVDEVVFMAQQRLTTIAIGAMICFAVCALISPVWAGQELHDQVARNMDKLAAAVESCVEDFFSEAAGVIDASNGEMPARRALSEKSQGYRAVLNAKASEDSLANLARWEPAHGHFGFRHPYPLYQKIGAAMRCCAYCVDALAASVGSETQAPAQVKKHLASASVTLSRHCAAVLREASGSVASMTRSGRLALAVGDMNMAAQELRDELRCLAALLEEDESSDTEHDQNMALEQAPPLIEVLPLFTAASLLLEICTRAEGVVSAVDNLATTGRFKKADSDEESALDVEAAVPVAMSATITVEVPQEAHDKVGVEHDKTETADDQSSDKSPGDQVGEVIKVLTRRRSTKKRARGDTKLCPKPPIEFAVHAPSPRSSRSMELTGHAPFARSPRHHHRSGELVGHPPVAPSPRNRSVDLANHGPVLPSPRNRSVDFTSHGPVLPSPRNRPVDFASNGSVLPSPRNRPVDFVNHGPVLPSPRHRTILGTA >Dexi3A01G0030670.1:cds pep primary_assembly:Fonio_CM05836:3A:35016164:35017205:1 gene:Dexi3A01G0030670 transcript:Dexi3A01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLANLRDAFAKGLAENRKVVLKSEANLAFRRKDYKLASEAYSMLIEDKPNATLYSNRSLCKLKMEDGEGALSDAYQCRMMRPDWAKACYRQAAAHILLKEYKQAYDALLDAKKLDPGNDEIERELR >Dexi4A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:4A:535190:542853:1 gene:Dexi4A01G0000800 transcript:Dexi4A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRESEATAARRSPQVKRRSSPSPPDAGAWMTARRSGSWRAARSNACEMQQSSAASAIRKRNCVLSSCQLQLKPAAVVFRRLGPRSTIDL >Dexi2A01G0032570.1:cds pep primary_assembly:Fonio_CM05836:2A:43028965:43030777:1 gene:Dexi2A01G0032570 transcript:Dexi2A01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESHVNTWPSFRMMHHVMAMNQIIYWNHAKGHSLRVKSLTGEHQHSFWVLR >Dexi4B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:4B:17968615:17970575:1 gene:Dexi4B01G0015970 transcript:Dexi4B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRNKERGEAALNQIRSKTGNENVHLEICDLSSINEVKSFATKFTSMDKPLHVLVNNAGLLEHKRDTTTEGLELNFAVNVAAPYTLTELVMPLLEKAAPDARVITVSSGGMYTEQLNKDLQAKLPDIHSAKETLMGHDNMLETKEFRSHSLNGGQRNTTAKESVSTPCTLDGLTRLESPRACRDCQRSRIFSPACCVELLSGNLRSNNEGADTVVWLALQPKEKLSSGAFYFDRAEAPKHLKFAGTAASHSQINPIVDSIRSICCLPALG >Dexi2B01G0024080.1:cds pep primary_assembly:Fonio_CM05836:2B:33549063:33551658:-1 gene:Dexi2B01G0024080 transcript:Dexi2B01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLRSHPLLHHAARLSSPRARLLLRRDALQFPLLRSPPHPPIRMAASSGSNASSPAPPPPAAVVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESTAAGASRETLEEACADVEIVSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYTEDVKSGSIKFHYCTINKRLGASPSDLRSFDIDNHIAV >Dexi2B01G0033410.1:cds pep primary_assembly:Fonio_CM05836:2B:40972740:40973753:-1 gene:Dexi2B01G0033410 transcript:Dexi2B01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIIVSLILAAALLGAAAAQQAAMAAPPPARPRLRFGYYKHSCPPAEVIIRDAVRNATFVNPGLGAGLIRMAFHDCFVQGCDASVLLDPTPANPRPEKLGPPNFPSLRGFDVIDAAKAALERYCPGVVSCADVVQFAARDAAFFLSGFKVDYRLPAGRLDGRVSVESEALAFLPRSILNLTELVTSFKVKGLDVDDLVVLSGAHTVGRSHCSSFSDRISSTPPTSDISRGLATVLRKQCPASPNFTDDPTVAMDVVTPAKLDNQYYKNVLKHKVLFNSDAALLTSRRTARKVVENAFVRGRWEKKFAKAMVNMAAVEVKTTADGGEIRKNCRLVN >Dexi5B01G0025640.1:cds pep primary_assembly:Fonio_CM05836:5B:27642069:27642940:1 gene:Dexi5B01G0025640 transcript:Dexi5B01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMPSCAATGMVDSSLQSTSGVSIAASSTSTLLTSPSSVASISAPSAHGCTSAFTLAGSSQMRSHTGCPRPLPPQPQRRAVVAAAVAEVHDALPRRRRLPEDPVQHLPLAHVGRARPDAAPVRRAVAELVVGLDAEAAAGGGVLDVARVGVDLGEAAAEAVVVEDGLDEEAVGVAEDAEVAAERVRGADGVRDARVDGRAAEHGGDVAEGLARRVDLAHHGVHEGVPRHGREEPLELLGEAEVVDHPVVAVHVDDRLVEVEHHDDPGHCSCSLALLATIEREGF >Dexi1A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:1A:15199553:15202793:-1 gene:Dexi1A01G0013040 transcript:Dexi1A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQHHHQNGGAGADENGSGHHAAAAEWRFARAEAKDGALAAAGDKMSIRAVRFKISASVDARDPRPVLPLAHGDPSAFPAFRTAAEAEDAVAAALRTGKLNCYPAGVGLPDARRALAKHLSRDLPYDLSTDDIFLTAGGTQAIEVVISVLGQPGTNILLPRPGYPNYEARAALNNLEVRHFDLIPEKGWEIDLDSLEAIADKNTTAMVIINPNNPCGSVYTRDHLAKVAEVARKLGILIIADEVYGNLVFGESPFIPMGVFGDIAPVLSIGSLSKRWIVPGWRLGWVSVCDPKKILQETRILTSMTNFLNVSTDPATFIQGALPQILENTKEDFFKRIIGLLEETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESVILCPGS >Dexi2B01G0024670.1:cds pep primary_assembly:Fonio_CM05836:2B:34063303:34065415:-1 gene:Dexi2B01G0024670 transcript:Dexi2B01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFIVCIPIAMKSAELEVYMRPPFMLTCPCYFSPSLAYMQRPHHPVRPIPSQLWCKNFGKKEY >Dexi8B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:8B:25463628:25465598:-1 gene:Dexi8B01G0014790 transcript:Dexi8B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRKNNAGEPRRRNSDESISLRCVLDGYTTPTPTTSFTGASSATAAFFPAATSSPQPPQWKAVAEAWRSRAKRQISVRRFPPLGPIMSSTLRRLSIRRSPENNDVGEVHKFCVMKPSLRTFSLAELKKATRNFSKENVIGRGGFAKVYRGRLAGGELVAVKKLTAEHAGGDRVEGFLSELGHVVNVSHPNIARLVGVGVDGGEHLVFPFSRLGCLSGMLHGGGGGAGETMTWDARYRVAVGTARGLEYLHERCARRIVHRDIKPANILLMDNYEPLICDFGLARWLPAKLTHLQVTVFEGTFGYVPPEYTTHGVFSEKTDVYALGVVLLELLTGRRAIDDAKLSLVAWAKQYLEDGEEEEIPKMADPAMGGRYDVGQLRNMAWAAKLCIQTSPDHRPLMSKERGRRRIHGEKGIGGPTSQVSCVKTNGYDATLGYLDDLSRHKALAFDFDGETTPRTYGGS >Dexi4B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:4B:715830:719059:1 gene:Dexi4B01G0001100 transcript:Dexi4B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPPLAADRGSSSSSSSHHQHHPPPPPPPKILLAKPPLPHASPFGADEDGGGPGGAGARARQAPQPGSLSFISDAWEAHTDKILPYLTENNDFMVIGVIGPPGVGKSTIMNELYGYDASSPGMLPPFPTQTEEIKLMGKHCTTGIDLRICNERVILLDTQPVYSPSLLIDMMRPDGSSTIPVLNGDPLSADLAHELMGIQLGVFLASVCNIVLVVSEGMNDPSMWELMLTVDLLKHNIPDPSLLTSSASQDKENKSDDQSGSEDYISDLCFVHARLREQDFSPSKLMLLRKALEKRFDLSSFRVGSASATGQVSGPSVSSSAKVEDLTSNRQDIFLLPLRGHDNSTKFEYGTHSCMLGVLRDQILSWPARSFSKNLSERDWLRSSAKIWDMVKKSPVIADYCKALQSSGLFRK >Dexi5A01G0037190.1:cds pep primary_assembly:Fonio_CM05836:5A:38547116:38551460:-1 gene:Dexi5A01G0037190 transcript:Dexi5A01G0037190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTARGGGGGGGGAAGMEEKGGGAAGLGFLGLDRMRLLLPLPMPEKLSARMLRTHFPTKFLNWRWRWVRDRWHKVLPVWVLFWVLFSGGIFWFMTSQAVEKRRESLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQRTFARYAERTAFERPLTSGVAYAVRVTHAEREQFERQQGWSIKKMYSNKKQSPSPGPGDAEVREQAEEYAPVIFAQDAYKHVISFDMLSGNEDRENVLRARESGKGVLTAPFKLLNNRLGVILTYTVYKTELPPNVSPQERIQAAIGYLGGIFDIEALVDKLLHQLAGKQSIMFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEMVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQTLVGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVTHCLAVETGTQYANTLSGYPVANRKRSWENFQIFSRELNSSETPFAPVTSDSVSLIISVEDTGAGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFSSKPKVGSTFTFTAVLTRSRCSGNENKSSEFKDINALVVDHRLVRAKVTKYHLRRLGVQTELTTDLDQYIAKLNCGSRIAKLVLIDKETWLKDSHNMPLLVGKLSNKDQPDSPKLFLLENPKSSVRSSSHIFREYKFNVIMKPLRASMLQVSLQRALGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCAESGKKAIKLLKPPHNFDACFMDIQMPEMDGFEATRRIRAMEKDLNEQIERGEVPPECANVQQWRTPILAMTADVIQATYEECLKFEMDGYVSKPFEGEQLYTEVARFFLNQDEVL >Dexi1B01G0031580.1:cds pep primary_assembly:Fonio_CM05836:1B:35078095:35079406:1 gene:Dexi1B01G0031580 transcript:Dexi1B01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPQEKSWESWWEKEQEPLRLSGKRGVVLEIVLALRFFIYQYGLVYHLNITHSKNILVYCLSWVVIFVILLVMKVVPWCDFFILLTIAHVLCHLQTVSVGRRRFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLIAQAIKPAITRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARNKE >Dexi9B01G0040650.1:cds pep primary_assembly:Fonio_CM05836:9B:41166881:41167189:-1 gene:Dexi9B01G0040650 transcript:Dexi9B01G0040650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRATALAKRSRMLTGKYSGENPQAAGVQPTNGSMVYLGGEQLATGAAASVAASSASRIIMAVALAAIVLV >Dexi8B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:8B:1364685:1369568:1 gene:Dexi8B01G0001930 transcript:Dexi8B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPHQRTTQTRRTRCKQPLHGGGAMTRNTYPPGVADDAPGDGTDHGRLRELGYKQELKRHLSALSNFSISFSVISVLTGVTTLYNTGLAFGGPATMTLGWFVAGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGDRWAPFASWITGWFNIVGQWAGTASIDFSLAQLIQVIVLLSTGGNNGGGYLASKYVVFAFHAVILLSHAIINSLSITWLSFFGQLSAVWNMLGVFALMVAVPVVATETANAKFVFTHFNTDNGAGIHSNIYICSRASYEPVHTVRIRCFCAYGTEKPSQTEETKNADRNGPIGIISAIGISLVVGWGYILGITFAVKDIPYLLSPDNDAGGVLVGWIAVLWVVTITVLFSLPVSYPVTKDTLNYTPVAVGGLFTLILLSWIIRAQHRFKGPVTNLGG >Dexi7A01G0023430.1:cds pep primary_assembly:Fonio_CM05836:7A:31389943:31390242:1 gene:Dexi7A01G0023430 transcript:Dexi7A01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKPSLRRSSRLAKQPLNLTVRPSKKGEVLAMKRLGFITSGCTDLEGARKELTRFFTETVDIQNFPALRHLLPAARHLTDEELQEAVQQASALVTGE >Dexi2A01G0024690.1:cds pep primary_assembly:Fonio_CM05836:2A:36415568:36418975:1 gene:Dexi2A01G0024690 transcript:Dexi2A01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLTGDGGGDGGDPVTVCRDRKRLIKAAAERRFALAGAHASYAAALRSVADALDVFVARHTTPAPILITLPTPSNSPPVSPKASQVQVQELPSPATPPTPPQQEEQAAAPPAAAVDGGGGAQTPDMGCPYYYPSPATPPPPPPAASVVGGWDFFNPFYGTDEVAAAISDEEMRAVREREGIPELEEAEEEEEAAKSVEAEAPKAEASLGVSKPQEETKDVRDMTGNDGGLEVALAPQGRELLAALKEVEELFARAAEAGKEVSSMLEAATRTPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTEKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCFQLRNQDAKGSELRSAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFVSYVNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPTLVVICHDWYTTLSKFPSKRVSFTMRNFLRSVRVLWLKQGEEQQQKRKVDGLSKELDKKLTAYKRAENRIIGTKLLEHKPEIDAKQRMEHLSEKKEMLNVLRRRIEMEKMKHQACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYDDLLAQANAKDSEKVNAEKRPCVEGPYSHIGVDA >Dexi2B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:2B:23585083:23586261:1 gene:Dexi2B01G0014120 transcript:Dexi2B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLGELLGLLGAGSRKELDADVRSMVGLAFPEAPHKGGPHVSYACGVWHDSARALKPAYRDLAATSFRSAVRAVDFLTKPEEARTEINGWVAEATSDHIDTIPEPGSVNSSTSLVVANAIYFKGSWANRFDKPRTKEGKFHRLDGSVVDAQFMNSSGSRQCIEVHDGFKVLRMPYAAPPNPLGTTAAMAVGHIPRHVAPRYSMCILLLDENDGLQKLQDKVASNPGFLMDHMPYGQHRVGEFRVPKFKLSFATSVRKALRDLGVQAVFSAGAELPGMLEDDGSQEPQFLGDVFHKAVIEVNEEGTEAAAVTASVGFRGCGPLPPPAPFVADHPFAFFCGGGGVWCDPFCRTRP >Dexi9B01G0022680.1:cds pep primary_assembly:Fonio_CM05836:9B:17519714:17520835:1 gene:Dexi9B01G0022680 transcript:Dexi9B01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSGRGKPSGSSSAIVADRPSGYHDLKIDACLFAAGSVPTGESLQSCPFTVGGHRWRIKVYPNGANRADAAGYVSLYLRLDDEDVDKPVTVQMQFIATVEKRGLFFLKCKKKVASTQKPVTLTTFDGQQATVWGYSKFAERGKVHKHVRAGRPVTIRCVIVVHNAARAVVVGSSTSAPPSVVPVPPSNLSAHLGDLLSSKRGADVVFEIGGGETFAAHRAVLAARSPVFAVELFGAMMSESDAGGVVRIDDMEPQVFQALLRFVYTDSVPEMTTKEEGVMYQHLLVAADRYDMERLKLICEDKLCRYVDVGTAAIILTLAEQHNCSRLKKVCLDFVSAPANLKAVVASDGFEHLSTSCPTITKDLIAMLAS >Dexi7B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:7B:24268636:24271019:-1 gene:Dexi7B01G0018560 transcript:Dexi7B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGAGGRDERVPQWGAQETRELIAARGEVEREADAARRSAKTMWEAVAARLRERGYRRTADQCKCKWKNLVNRYKGKETSDPENGRQCPFFEELHAVFTERARNMRRQLLESESGASVQRKLKRPSGGRSSGESDDENDGGEESEDEKPMHSRKRKADEKKQQSQRMTEKSRTGIHELLHDFLAQQQRIDIQWQEAMARRAQERVVFEQEWRQSMQKLEQERLMLEHSWIQREEQRRMREEARAEKRDALLTTLLNKLLQEDL >Dexi4B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:4B:17376459:17378331:1 gene:Dexi4B01G0015590 transcript:Dexi4B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMAASLSTASCAASEEEEECRDEAAALRLKMVAVAAILVSSATGVAIPLLGRRWRRRGSSGSAAPSSSSGGGLFVLAKAFAAGVILATGFVHVLPDADEALNDPCLPAVPWRRFPFPGFVAMLAALGTLVVDFVGTHMCERRRRSEEAAATAAAGAGDGGRGEMAAALLLEDGELVWRGRNGVERRNDGGGRKEDDGMHSHSHAHGHGGASFDGHGHGHRHGDEPSQSRHAVLELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFKSFSALLMAFFFAITTSAGIIVGAGIASFYNPNSPRALVVEGILDAMSAGILIYMALVDLIAADFLSKRMSCNPRLQVGSYIALFLGAMAMASLAIWV >Dexi7A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:7A:14018570:14018968:-1 gene:Dexi7A01G0003890 transcript:Dexi7A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFSCTIQAGHVEVGIRGNPPYLNVRAPPHAPLAIRFHSIPAERCLLPLDFVA >Dexi9B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:9B:9720427:9720698:-1 gene:Dexi9B01G0014520 transcript:Dexi9B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPADASLATTRAPRTVGTRTGPPGQAMGTRRVSRRCRRMRSFSGCRRATSMTASAVNGNSAYGFRGQARRGEVC >Dexi7B01G0024160.1:cds pep primary_assembly:Fonio_CM05836:7B:28553565:28558171:1 gene:Dexi7B01G0024160 transcript:Dexi7B01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRWAAAAPSLLRVLLALQCGVVFLQCSHASDMSADVSALMAFKRAIIDDPHSVLSDWIDADGNACDWHGVICSPQGSVISLKLSNSSLKGFIAPELGRLSLLQELYLDHNLLFGTIPKLIGSLRNLRVLDLSVNRLTGPIPSELGGLSSVGVINFHSNGLTGNIPTELGKLQNLVELRLDRNRLKGSIPGSNTGSFSPTTNIGSTAHNGLCPSPRLYVGDFSYNFLVGKIPPCLKYLPSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVIDLARLNHDNIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFSKHEKDRRHFNSKASFHGNGDSVEDKHADIQGNTYAFGVILLEIISGRLPYCKDKGFLVDWPPLAKNMDLTGDSDSCTQATKYLQQPEEIGKLVDPELSNVRTEDLAVLCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >Dexi7B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:7B:14670086:14670363:-1 gene:Dexi7B01G0006880 transcript:Dexi7B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVEYNADLLMPLPLAISKSGAGQLDLLILEANALHGVGDSFSTHLTLSE >Dexi2B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:2B:2858749:2859276:1 gene:Dexi2B01G0003170 transcript:Dexi2B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPAAQASPEQESSAPAAAGQEEAPREPGPEADAPGAAAAEGELQEEEEEEEEGECGFCLFMKAGGCKDAFVAWEECVEAAQKEGTDMVQRCHEVTSNLKKCMDAHADYYAPVLQAEQVVNERAEAAAAAAAAKGEPASDAEKKEEAVPQQSDSPLPAAGEGKKEEAVAEKV >Dexi4A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:4A:13796044:13799743:-1 gene:Dexi4A01G0013690 transcript:Dexi4A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGMRTPVSLRSNTGLFFNVLRPRLSHFTTRAESAQATEPKAVPKSIQLATKEAAEQKTQGFEAIIGIETHVQLSTVTKAFCSCPYDYGSQPNSTICPTCMGHPGTLPVLNEKVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAKKGYVDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVRPVGQSEFGTKVEIKNMNSFSEINRAIDYEISRQIMLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTTDYIDEISKSMPELPEAKRRRYENMGLSMQDVLFLANDDNVAHFFDSTLEHGADAKLACNWIMSDIAAYLKNEKLSIHETKLTPLELSELIASIKNGTISGKIGKEILFELIAKGGTVKGVIEEKDLVQIADPAAIEAMVDKVIADNPKQLEQYRAGKTKLQGFFAGQVMKTSKGKANPVLLNKILGEKLNAK >Dexi6B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:6B:20884146:20886647:1 gene:Dexi6B01G0013180 transcript:Dexi6B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCDHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRTSLQQKIEFIFRVYDTDCNGKVAFEDILSILRDLSGSFMTEEQRQKVLTHVLEEAGYTKDSHFTLPDFMKILGNSEVKMEVEVPID >Dexi8B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:8B:11713185:11713418:1 gene:Dexi8B01G0008600 transcript:Dexi8B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCSEGGGGVLGVPGEDGVPSASEDGEDRDGTEDVVGVEEEGGDAVEVVESGAEEEKGSGAVRDGAAVVLGVPAWE >Dexi5B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:5B:4283612:4285444:1 gene:Dexi5B01G0006310 transcript:Dexi5B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDEAPGKKARKPYTITKPREKWSADEHGRFLDALLMFGRDWKKIEEHVLTKTTVQIRSHAQKYFLKIQKGGLVAGLPPVYPRRGLAMAQQSSAAATPFLHGEPQGEPVAVPPGPSDSDAVARGGIGWNSPEMQRGLDWAGDSGSGTRASWLSLSSGAQSQIAPPATVTGGSRFSGALNFSSSSMEWAGSSSSGGSPIGPVQNELVKLPLSPDDPHFAQVYRFVGDIFDPNTPIPLEAHLQKLKDMDDITVKTILLVLKNLENNLAAPQFEPIT >Dexi9B01G0049350.1:cds pep primary_assembly:Fonio_CM05836:9B:48034981:48035349:-1 gene:Dexi9B01G0049350 transcript:Dexi9B01G0049350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSGMGDGGMTVSVGLLPLLLLLGGIEWEVEAEEARMQSTTAGTASAGRARKELLRAATACSEGDAMAEADVAGARGERRGRGDN >Dexi2B01G0023780.1:cds pep primary_assembly:Fonio_CM05836:2B:33288546:33291180:-1 gene:Dexi2B01G0023780 transcript:Dexi2B01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLPTSLDRRASSALAVLLLLLLWPLCASSDDRLVLGKALSPGTPLVSDGGAFALGFFSPPNSSSSSLYLGIWYNNIPKLTVVWVADQAAPITTPSATLALTTASNLVLSDAAGRVLWTTNVTATVVDASNSSSSSSSPMAVLQNTGNLVVRSPNGTALWQSFEHPGDAYLPNMKIGIVYRTHYGVRLVSWKGPVDPSPGPFTFGADPVRPLELVIWNGTRVHWRNSPWEGYMVDSNYQNGTGSRSAIYRAVYNSDEELYAAYTLSDAAPPMQYRLSYSGDLELQSWSIGTSAWSTTIKYPRRPCSAFGYCGAFGYCDNSSSSTETEDAVSSACHCIQGFEPASGAEWARGDFSGGCRRKEPVRCADGFAAVPNMKLPYGYTLVANRSLEECAAGCGRDCACVAVTKTDPTRCLVWTGELVDMEKVVGTWGDFGETLYLRLAGAGAGSERKSVLDWSMRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDATMNPKISDFGMARIFGDNQEQANTKWVWLHGS >Dexi5B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:5B:6900593:6902197:1 gene:Dexi5B01G0009850 transcript:Dexi5B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQQLRPPPVMTSYDDEPSSMSLELFGYHGGGVVVDGDDADGAAALSLHLGAAASAGDYYGATWAAYGGSGGASSSSSSSVLSFEHAGNGGHHHHHLSYGDDGCALWMDATAGGMVVDHPPAQQHGSAAACRFGLLSPGSSADGDDAAGLHIQNLSSVQMPTKATNKRARLDGEVQAAAAKKQCGGRKSKAKAAPAPTKDPQSVAAKVRREKIAEKLKVLQDLVPNGTKVDLVTMLEKAITYVKFLQLQVKVLAADEFWPAQGGKAPELSQVKDALDAILSSQQFPKNEAKCTRFSLF >DexiUA01G0023720.1:cds pep primary_assembly:Fonio_CM05836:UA:48464596:48468240:-1 gene:DexiUA01G0023720 transcript:DexiUA01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLQLLSGGGVSLSGDLLVQLLLRLRGASKLALSLLHSARLHPSFASTRPPADAYDAVVDALGRARQFDAAWRVVVDASADGAASPRTFAVLARRLVAAGMTRQAIRAFDDMEAFVGREPDAVEFATLLDTLCKYKYPKVATEIFNKRKYKYEPNEKMYTILIYGWCKVNRSDMAQKFLKDMIDHGLEPNIVTYNILLNSICRHASLHPDNRFDRTVHSAEDLLKEMRGKGIEPDVTSYSIILHVYSRAHKPELCLCMFRSMKERGISPTVATYTSVIKCLASCGRLEDAETLLTVMVAEGVCPSPATYNCFFKEYRGRKDVSGALQLYSKMKAPGSPTSPDIHTYNILLGMFIKLDRHGTVMEIWSDMCESTVGPDLDSYTLLIHGFCDSQKWREACQFFMEMIEKGILPQKITFETLYRGLIQADMLRTWRRLKRRVDEEAAKFGDEFKLYHIKPYKR >Dexi9B01G0032560.1:cds pep primary_assembly:Fonio_CM05836:9B:34765007:34765873:1 gene:Dexi9B01G0032560 transcript:Dexi9B01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDGRKAALLPWLSSSRWSVSGRRLLSAAGNPEGRAHAGMVLVILAYSGYHVLTKAVLNVGMNQVVFCVYRDLLAFAVLSPVAFLRERRVRPPVTPQLLASFALLGFTGLYANPLLFLIGLQNTNASYAAAFQPSIPVLTFVLAAIMG >Dexi2A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:2A:24693320:24694567:-1 gene:Dexi2A01G0014680 transcript:Dexi2A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRGSFAGLGFLAWYLAGKIAAFDRKGHVAKLCIVFLPLLTASLVAVSRVDDYWHHWQDVFAGALIGLTVASFCYLQFFPYPFVADALWPHAYMVKLAEERNSRNNANSYSMRPTEIETVNVPGHGGITLRDTLNDVESGRSP >Dexi9B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:9B:2532277:2544339:1 gene:Dexi9B01G0004440 transcript:Dexi9B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDHNQWRRPGHGYDPRASAAAQWYAAASTSFPGPGAAPLPGMNPYGFAPNPFAPNPFNALVGDLLLCNPAALASYQQLQQQQQVHHFPSQAYHQTPTSNIQHRPTKPAAAASPAPPPTQPQQPLPRNQQAVLDRAQAAARKAQEELVKSGEGVTGWKVAQAVLLALKVDSWGSLGIQLQDVPLLRDLFLIEGKVNAFIHCYVAARKIVTVYDLEVEICKNEGLGQFEELGLGPFLQHPLVAHYFSVPSDLSVVPKLSSEEILNVLQKFLDISKKKITVEDFLNYLSEQKSVSGKERLGVRIQSLGLHISFLRQARQTEVSAVKLLGNKSGSGHSFGNDSKLLWKNINREEKNNKFLFAIPRHWPSQCCCVAIDDNDIIRKIMEYIESNSKVSSDVPSQIKALHDCETWVQNADDNVYPEDVEPTLSFILQENGIVVLNNERGFSAENIRALCDIGNSTKKGSNRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITDGQIGFVLPTAVPPYNTTSFSRMLSVEDDKDTCSLWNTCILLPFRSKFREGTASSMDEDLHYATDLFYDVENVRSLIGSAAPYAAPQISSSSLRKDIGFKTEVSYCDALMVLKSWITSQAPFSASMSQMCKFYNFLSEGVADSKIDIKREFLSSPSIFTPLQRPRSSEVFRVFVRWANENDKMNILYLKESLQKIETTILPTTVDKWVSLHPSFGLVCWVDDDELKQQFKNSSDVNFIQFGDLSFEDKQMLSGRVAALMKSLGIQALSKVVYREAIFYGAAENREKGNTLYATQDADSHSVLLELSRVFFDGSPDLHFANFLHMIKTMAESGTSAERTESFIINNQNVPELPEHEAIWSFSSLSAASHCAANQGADPEVVEFQPACEFSAPNHQKAPVMVSSWPLNHWRTAPVFKTPLMSHQACTQEAKVNDAGHSSDLSMPAPCGQTEDTLLSVDLDGDWIIEENTRTETTLLADNTTAILDEPQMVMSVDPSDASAYLEVEAGSSSPTVRVELTNFNEKLANLAEERNGLHPDANQLKTGRLGEALVHRHLAEQLGSNNVKWVNDKIETGLPYDIIITHSEGFTEYVEVKTTVSSRKDWFDVTPREWQFAVEQGDLFSIARVVLSSTKKASVEMFKNPHKLYKQKALRLGLLISK >Dexi2B01G0024840.1:cds pep primary_assembly:Fonio_CM05836:2B:34124229:34125075:-1 gene:Dexi2B01G0024840 transcript:Dexi2B01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACRLAAPLGLAPLPRSRAAVVAVSQCGSKISRGGVAIRATSGGEQATEEVPEIVKAAQDAWDKVEDKYAVATIGVVAIVALWTAVGALKAIDKLPILPGVFELVGLGYTGWFTYRNLIFQPDR >Dexi3A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:3A:2885025:2887074:-1 gene:Dexi3A01G0004390 transcript:Dexi3A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKLGGNDDHGHKRSDLDQVSHGGDVKRPRDGKVVILGMEMGDGGEDDAEEEPGPYHNFHVYYKNWLSTYGSYGLSFDAETEYGPMRYTNGPILPMSAGPCDTMEVFFVKVAQISGGLQWPLDVYGAVAVRDSLDRKSNYLFRRDRNKCQTLTSPQACFRKQDSLLELTGPSRAILLRDEPVFEIELKVKGEGNSSSEDDVLCLDVFGYNNISYKGKVSYAMTEVLSSIHSRMQVRFAHVKRSIEATIVARITEGSGNFSLCLTAYSTSIREDVVLLDSRGQNVPVNEDGKVELQRRVVGVEEQGKLILRVKVVQLGDTSDSCHCIEKELKLPASGLWPGNS >Dexi1A01G0029070.1:cds pep primary_assembly:Fonio_CM05836:1A:34613822:34618512:1 gene:Dexi1A01G0029070 transcript:Dexi1A01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPPQAHLATTAAAAAAPAPNPADDWVDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRGVHTSFSCHRCRRSKRAPSSADEAEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGLPGGGDAALFRGAPAFSAALWRCTGYVPKRFGFRYCEFPSWADDNDGADALFEMAREKPREIADAVSLGIEPKKEKHYVRSLSCRGKKVDGDQQPTPPLTETKKRESGSWKDGHQQSGACAMRDATREDRHAQTNMVSSDLQTVKTKKKMEESVDLNGEKKSSEQVPGMLSKNDKKVPSKLEFLSGARTTSSLAEQEVQSGCVAVEVSISHVTKQQSEGDHNAGLRSGVTSSGPIKMQDMQDLQKQSDQTSNVQDVAGAPDLRIGQSKSQIIKTELSSLENEKADCIHLVSDDHESNKQGLRDATGVSIVQRDSSKLTYDSVSVYREYPKSETQNLMHIDVDPSSTLGSSKVCTSVSIPRELSHTSASKEPSSARNSDRSKKEELVSPTDSKHDSTKFSEDSSHEVKRGSEKVQLKGSLSSAPKSSQASKIPVSTVKPRLPVSKEQPQKIAVTGGTSARSFHGEVPPLQSRNKAVASSSSQKKDKIHQRIINVTQESSNNSASTELRASDLAAPLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGTQMLHPTGASVFSKRSSAHGGRDHAAVLKKRNREDSVKDSEDTKRIEKRHRDASTEHASSAKDSCRSAENVASEQKNRGICSTGADTGLAKDDSIDSSVSHNLLGLIDEIISKDRNITYGELCDAIHQRLRRSRKSSGGDCAYPSYLHAINDCLRKRKEWSYLVDQASKMNSNKRRRGDSNSLLEVENVRNRPERDSEGSVDLHQEELRRGKRKSRKRRRLELKGRRVRDTRKRSSIGSSSEDAAASLSDSSNDKNDDPMVDMNQEDNSVAPETGGYIEAKSADSSS >Dexi5B01G0025070.1:cds pep primary_assembly:Fonio_CM05836:5B:27178865:27180590:1 gene:Dexi5B01G0025070 transcript:Dexi5B01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYITTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEETLIIDLPRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPDLYYNILDGAAAGQGIATAAGCAPLNGAGNASSAQALTVSAAQHSPPSMLHNPTAAWAEFVSQPLFLPGNGVHGGAGDLHQYAGVDGEFIKLCRDADAFVVPPPENAAVVASECKPSDDDLVAQQDAGAAVRSLPVFLEPKSSGAGAFVADPAMGPVMDFMEAILGSSSTSAVSSSSVDSFSASTGGMQSRCWILP >Dexi9B01G0041180.1:cds pep primary_assembly:Fonio_CM05836:9B:41628310:41628665:1 gene:Dexi9B01G0041180 transcript:Dexi9B01G0041180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGALELCCMPRDQSDSDINGDGKWRRWQQLPRSPFTRLDVTSYAVHSDGETILFSTNGDNGSATFAFDTRRFLWTRLGEWSLPFAGRGHFDSGLHALVGLDSENLGYLFACDY >DexiUA01G0002240.1:cds pep primary_assembly:Fonio_CM05836:UA:5088405:5091182:-1 gene:DexiUA01G0002240 transcript:DexiUA01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETFHQHERGEISDEEFAERLCHEMDVPLSYEQFSHGWQAVFVAIRPDVIAIMHKLREQGHRVVVLSNTNRLHTTFWPDEYPEVQAAADKIYLSQEMGMRKPEARIYQAVLQAEGFTAADAVFFDDNADNIEGANQLGITAWLKLLWHRIDEDNMTTLAGNLAYVSLLSLVPLVAVIFALFSAFPMFADVSLQLRHFVFANFIPATGDVIQNYIEQFVANSSKMTAVGACGLIVTALLLMYAIDNALNAIWRSKKARPKVYSFAVYWMILTLGPLLAGASLAISSYLLSLRWASDLNGVIDNLLRIFPLILSWLSFWLLYSVVPTTRVPNRDAVVGALVAALLFELGKKGFALYITMFPSYQLIYGVLAVIPILFVWVYWTWCIRVTRASVTVEGEVTGEIGPGLLVLLGVEKDDDEQKANRLCERVLGYRIFSDAEGKMNLNVQQAGGSVLVVSQFTLAADTERGMRPGFSKGAAPDRAEALYEYFVARSRQQDMNTQTGRFAADMQVSLVNDGPVTFWLQPKGSERDAWDAMAHHQMVMDEEGNLVAVGRLYINADNEASIRFMAVHPSVQDKGLGTLMAMTLESVARQEGVKRVTCSAREDAVEFFAKLGFVNQGEITAPQTTPIRHFLMIKPIATLDDILHRADWCGQLQQAWYQHIPLSEKMGVRIQQYTGQKFITTMPEIGNQNPHHTLFAGSLFSLATLTGWGLIWLMLRERHLGGTIILADAHIRYSAPISGKPSAVADLGALGGDLDRLARGRKARVQMRVELFGDETPGAVFEGTYIVLPAKPFGAFEEGGNEEE >Dexi4B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:4B:8631232:8636958:1 gene:Dexi4B01G0011230 transcript:Dexi4B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKEKRVAIVGAGPSGLAACKHALARGFRPVVFEAAASVGGVWRRTLASTRLQTPASAYRFSDFPWPPPTTAEALFPRHDQVLEYLAAYARRFGVMERVRFGCKVLGASYVGVTEREVAAWERWSGNGEAFGDGTGEWHLTVRHGGGDGEPEAIQTYRFDFLILCVGRYGVPKLPTSPHGRGPEVFRGRVLHSMEYSAMAHEDAAELVRGKRVAVVGAGKSAMDTAAQCAEANGSRYPCTMVYRSAHWMVDPKVARRVKFLTLTSTRLAELMVHKPGEGLVLSLLATILSPLRWVTSKLTEAYFKRSIPMKKHGMVPDCGFGQASLGWRLGILPERFYDMVNDGSIELKKCGSVAFSADGLVLNDGDGDGERAAVAIAADVVILCTGFDIDRPLHDVFSSSSPWFGDTIVSGSGDGVLPLYRHCVHPRVPQVAVVGYVESGSSIHHCEMMAKWVAHLLDGGVRLPGVRDMERGVAEWARWGRRARRSCGGFFLKSCVASVATWYHDQLCRDMGYSPRRKAGLLAEWVQPYGPTDYAGIQ >Dexi2A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:2A:31257146:31257516:-1 gene:Dexi2A01G0019100 transcript:Dexi2A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERPPSTVPGGFSRGSGSAPTAAATDSADSAGRSSSSGAATKSRKPPFRPAADDTKPVLRDPISRSDPVETEQAVLRLPPLP >DexiUA01G0016260.1:cds pep primary_assembly:Fonio_CM05836:UA:34649364:34652252:1 gene:DexiUA01G0016260 transcript:DexiUA01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTHGSGRHAFGDLTNILCKRPALSDPEKSTGEIKIRRIEKDTVTRKGSDENAINSNKGKGAVFGNLFDGVVKDNFEMPSIFRRTKVQHMAAKAADLLSKEVSDLRDRTASIDLLDLSDQEQDSSIDSEGEYDEDDSEMNGESLGHFNSSELANKTATNDCECLTQEEIVGSSGNQKPLSSLDFMTGGNMPSSSVQHASMRTVGSKAVPIKSCACSFCLKAAFMWTDLHYKDARSRLSVVLNELFLSHSSSVKLKELRKNCKTDLEMIGNSSLGK >Dexi9B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:9B:14153274:14157203:1 gene:Dexi9B01G0019590 transcript:Dexi9B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Dexi3A01G0023050.1:cds pep primary_assembly:Fonio_CM05836:3A:18630888:18632094:-1 gene:Dexi3A01G0023050 transcript:Dexi3A01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKIKRHPDLYLAGELDFFLVRIIAVSHEVVPPRLHGLAEVVVPRHCRLEGQDNAAEHLVATRRDAVLDGDHQRLVEGLRDDEHELLVPNWVGRPGRRAGARAAHLSGELQLDAGAHGPRGVVRDEVLRPQGGDAEVHELGREVYLGARGRDGRRPRRGVRIGVPGVRERLVGLGPEPDGEHDLPVGELVAAPGLEAVPLRREGRVRGHADGHLGEVEADAADEVVAAEILGPDGDLEDVRLEVRRREGEDLVPGRAVGGGLDGGGACEVAELDLDERVDGARGGHGEVPRLDDVDVEEPHRLDEVVDAILPAPEAAAAADGWRPGMLKRGRSTAASGGRVSTSPNCCGGGIGPPGPMNGRGGIAPFISAAGFARWGAAAAAAWVGERGVWAQRVERYY >Dexi3B01G0030030.1:cds pep primary_assembly:Fonio_CM05836:3B:29435531:29436887:1 gene:Dexi3B01G0030030 transcript:Dexi3B01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSLSIRAASSTVYPVCLSLHHHPNSHHPSPSSTNHDNTNECMKSPKIVRRSLFSLPASFLLLHSSSSLAIDETATPSTSAIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPITTANFKRTCAAAAYRGTLVHKLLQGQFFAAGRQGPRRDKGEVQPPPGLVRNSETVSPKAFKLRHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGIVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARGMWDRPLKTVYISDCGELKMTKQSLSPPSLP >Dexi1A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:1A:22805154:22807577:1 gene:Dexi1A01G0015750 transcript:Dexi1A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGPPGLFSSSGLYTHKLPSTQPLSEEEIIHNIYNPVSAPFSSTIPVVEEPCPAGPSAPEQEGEEEFTLGEPEIPMRPSAMVEETPSTTGEEPTIDHAAVEPEAIVPEEPREMPETTLPEVQAAVPSSLPVPVEAQVEETIAEVLADIEQLVTQAVIEETEVERRDQNSAEPPSVMKTSQGKAKAVSEAGCSRGKQAETSTQEQAIEEIPRTFECILDVAEDEEHIDRGLYHAERAVAYFKLLGFFSGVFMPVGASPPTDFLGCQESIFVTTSFMASAFLFAPLLRLDPSPQAIAVLRGLVWMGFGFEEVAGVCAQC >Dexi8B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:8B:26050095:26051259:1 gene:Dexi8B01G0015240 transcript:Dexi8B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAAAQFQTEMQLPLGLTGDPGPTSWFQNSGADGHQTMMLTDDASLLHQRDIGCSSSTSLQSYPAYFSMSKQSTDTGCGSEHGQTAVHQQPPDFGQVDCLTSLQLGAQFPYTPFDASLFNERMFRPDAMELHDGTAGIDFGGGHFDIPRPGDEASFQNWASAACGAAMFDHHQQQQHHQQQQPPSAQDHD >Dexi9B01G0046030.1:cds pep primary_assembly:Fonio_CM05836:9B:45422760:45423733:-1 gene:Dexi9B01G0046030 transcript:Dexi9B01G0046030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRLPPRNLLLLLVAAVAAAAPRFAPAAAGDDGGGWSKATATFYGGGDASGTMGGACGYGNLYWSGYGTDTAALSSPLFDDGRSCGQCFVVTCDDAASEWCLKGKSVTVTATNLCPPNHALSGDDGGWCNPPRRHFDMSQPAWLQIGQYKGGIVPVLYQRTPCVKQGGVRFTMAGSEYFVLVLISNVGGSGSVKAVWVKGNGTDRMPMSRNWGANWQSLTALAGQSLTFGVTNTDGKTVVVPGVVPTWWKFGQSFTSSVQF >Dexi9B01G0024080.1:cds pep primary_assembly:Fonio_CM05836:9B:19760440:19764883:1 gene:Dexi9B01G0024080 transcript:Dexi9B01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPMPGSTGAEELATPSPSLPGTNAKDAEPMEGVVADPSDNLFPSPGRHYYLAVDHTQFKTRTLLELLGVVADRRGGLPIAICVSSRDELDAVCAAVANLPFVSMSPLVNHNKFITYWLVATDACLPQAAMAEAPLLARVLMNYQLPTKKEAYLRRMSTCLAADEIVINMVVGGEVATLKALEENSGLLIAEVTTNVSERL >Dexi9B01G0029190.1:cds pep primary_assembly:Fonio_CM05836:9B:31752768:31757376:1 gene:Dexi9B01G0029190 transcript:Dexi9B01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHPTTRSRWKRTPPPPPRNAHVAPQTHPSSPPSYATLTPSSSLVGLCAQAPCHFPHPATPLPPPPPVAMLPYSGDPRRSPPPPPLRAAFSSSLSPSAAPFPAADPVGPGRDLPTAPSVYAAGGDWATASWMEPPASYMAPAAAAAAATPPGYKGNGNNPLCAPRVGEVSQNPYGIYSRNHFSNFVGACLRSESSNSVSEKQPGSFPEISEALNNDFGSSVFHQQQNAFVNKLLDHSGAEDTVYPPQQDFIQYPFGSTYDKYMTQLSSCSKDSQPHIFSTRYVDSSEMAKTAVPLMNDTTGENSFSFSSYMNPIRINLDYFDCVWNEQKDLGYQTADKQHGKWSNSEDVATVGNYPLNSLGENHVGIEHLGNGRPMQESAETKHDLGGFNSKISSPEVGFVQPRDFSSELLEVNNTSVDSPCWKGTPASYQPSFGMTEKNDAPRTVMGTVGYISSHQSQKIPELSSEYPGRFPEHQEASVSKGDPFKAFDLSARCKNSEDHKEVPPVDVRVLNDMATYASYLPNKEHARTEKCYSTEDSKNVISLSQQESPCPAINPKLLGENGGSHTASTNEVMRKSELNPTGIPRRVGTDCLTTGSPHGNSSAAAAAAEKEEITQKRVEDHSQCYPGAEGNMLNMACDSSSSSRTIFLKLMHNLSVVLLSTCKDGSSLQEDEEELLQSVIQNLTAAKRSKMEQKHDDGLSNSSQLKFKNNNFSMAMHAHSAQENSDSEFKTTVSQVLTNHLDDKMPDDTEISKSAIYRNLWVEAEASACKLKYELQHARMKLIAAKGRNSTLKVTDSSEGCKGSSSSISSSKPQNHGKESIACTAALQGQGGDSGDRQSAVVSTNIFNGVDTDVLARFKLLQSRISNVSSFGEIDSEGWQEANKKSFAVEDAVMARLKVLKSRPDNIMTSQEINKHQLDASTNISDNVDDAVMARLRILESRPNSAVMARLRILESRPNNSILGQESSSQQLGESKDREDGADDAVMARLRILKSRPDNVTSLGDAGNEQEEACSDRLNEGDLSAVANGSITDTEVSTEQCWKFIQSDDLADHVGGKDSVGGMDTFGDGTRAGENETGGSADASTPKRCKATSDELNKEGAVHSENHVLLETTGDSHVCSEGSHDTHVISAVHQYGSAPSEWEHVLKENFFHPGK >DexiUA01G0001890.1:cds pep primary_assembly:Fonio_CM05836:UA:4791191:4795314:1 gene:DexiUA01G0001890 transcript:DexiUA01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLLHAPLLLVLATAAPPQGLTQADVAKRLREELSERNRENEMLESWNGDPCSPSTWEGFTCEPKDGALVVVKLIFSSKNLQGQIPTAIGNLTDLTEIDLQDNNFTGSIPVSFSVLKHLRNLSVKCNPFLNNQLPDGLSTGVDFSHGACPAEGYHISPAEEYESPAGSASQRVIVIGSVAGWSLACTFALGFLFVCFNKRERRSPEKDCSSTTNPIFQECGVNDTTNPAVQQLSLKSIQTATGNFKKMIGEGGFGAVYRGTLAHGQEVAVKVRSSSSTQGTREFNNEDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLVYLHNFAGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNPSMEVRGTAGYLDPEYYSTQVLSTRSDVFSFGVVLLEIVTGREPLDVKRPRSEWSLVEWAKPYIREYKIEEMVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSA >Dexi2A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:2A:2146668:2148900:-1 gene:Dexi2A01G0002630 transcript:Dexi2A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSPAASTSASPPAAMLLHFSSPSHAARRLSTQHGHKSSSGSGNGLPLKPQVLAAVAAAAVLLVAVLIACCCCCCCRSKKKRKNAAHHHHHPPHKGMMFYADSSGFNGNTSGYYCPPGARPPWQQNQVGPTAAAPTSSTGGLAPPPMPPPPLPMPVGLDTTAFSYEELVAATGGFSEANLLGQGGFGYVHRGVLPGSGKEVAVKQLKAGSGQGEREFQAEVDTISRVHHRHLVALVGYCIDGARRLLVYEFVPNSTLEHHLHGKGRPVMEWTMRLRIALGAAKGFAYLHEECEPRIIHRDIKSANILLDNDFEAMVADFGLAKLTSVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELLTGRRPGDRSSYGQDGLVDWARQALPRALADGNYDELVDPRLDGDFDPTEAARLVACAAASVRHAARRRPKMSQIVMALQGEMPLEELNDGVRPGHGATFSSSSGGSGSEYGSGSGGSSYTAQMERIRRAALPSPEYSANYPGSIPEFGHPSPASSVATSAAERDDRRRHGGRR >Dexi1B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:1B:618786:622137:-1 gene:Dexi1B01G0000700 transcript:Dexi1B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSQAFDAGKDMRGLLARLLPQIMVRVKQHDTTETNNMLEEEATGTSRANQQELDIDHMDVEQLSTKLKDLLKEKRYLVVIDDVWSLPAWEAIRIILPENNCGSRIIVTTRIETVAKASSVSEDLVHHMKPLEREAGEELFVKRVFGSMGTCPDGLKDTMGKILKKCGGLPLAIVKIASILASYNSVENIDMWIRVSDSIGSRMENHPTLEGMRQVITLSYAYLPHHLKACMMYLSIFPEDYVIAKDRLLYRWIAEGLVAEKRGLTLFDVAEEYFNELISRNMIQKDKLLMHNLSSNDEMVEACRVHDMMLEVMVSISQEANFVTLVGRQYGGGLARGTVRRLSVHDSNEEEEALKHTVGSPKRKKERVSHGGIEAMKLQHARSLSTFQVEGLDKLLDRLGEFRLLRVAQEIGELQQLQMLSMKVSEWDNDKAPKEEFLHAIGSSLSKTYALRTLILTSESTVRRESALDFLLHVSSPPPLLRCLSMSGRISRFPDWISSLKHLVELTVWWTQFEVDQLLDPLCMLPNLQILRLGHRSCGFAQLVVSTRHKFPALRILELLSHEYHMGIEFKEGSMTNLERLVVKPVNVETSSNIIIGFDNLKKLKEVKLICEAREDPWLKHVVQQLKEENENRPKSNQIKVLVE >Dexi5B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:5B:8568029:8569444:-1 gene:Dexi5B01G0012070 transcript:Dexi5B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNASNNDLHVRVVSRHIVKASPHSTKPHVLAVSNLDLVPQPIQTTMFCIYPRPPAGGDFTAIVAAFEANLPSFLDHFYPFAGRISTDTTTGLPELHCNNHGAELVVGEADVALPSLDYGTTTASVRRVQQPYSQDIALSVQLVSFACGGFAVAWCTNHVLADGSSLSALVSAWSELSRSGTSTLPAAFLPNHDRSVFRPRATPCYSASFDEELTPLDDVKRQVNVLTTQESFVERLYYIEASQIARLRESASTNLEGGDRRATRVEAVSAYIWKALAGVVCTAGDTSCRMQWWVDGRRRLTTTRPPEAMRNYIGNVTTAIVREASVDEVQRMPLADVAAMVGEVINAPDYDKHFQELVDWVEEHKARRYVETASLGLGSPTVGVTAFTSFPLDTDFGFGHAAMATAASSQSQTTRLCSGFFQITARPGGDGSWIVNAYLWPRLAAAFESDEPRVFKPITAEYLGLLAP >Dexi6B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:6B:24521972:24526850:1 gene:Dexi6B01G0017330 transcript:Dexi6B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALVICMLAVLAMAAQQAASLTIGMPGCQTRCGDVDIPFPFGVGVNCSLPGFEISCGVGAATTGPVLAGTDIAVLNLTVMPRPEARVLLPVAWQCFNSTGDSTGFSYGTVRFNPAGVYRISDTHNELFVLGCNTLVYTNSGPPGRLPYTFYTGCMTFCNDSGSAKDGRCAGVGCCHVDIPPGLTDNWMEFGSPSTWSHADQEFSPCDYGFIVEKGYYTFRASDLANMPVSQTMPLRLDWAIRNNGSSSSMSMSCAAAKKTSPGITLGLSLLIVAILVTLMVIHKRRMNEYFKKNGGSVLKKVESIKIFTKDELNKITKNNSEVLGQGGFGKVYKGTLEDSSMVAVKSSIEVNEERKEDFTNEVTIQSQMIHRNILKLVGCCLEVDVPMLVYEFATKGSLQDILHGEDPVFMKTGLLTQKSDVYSFGAVLLELISRKKIVYGKNNSLIMDFCRVYEKEGSGRAMLDVDIATEENIFILDEIGKLAIDCLKDDVNDRPDMNEVAEQLVMLRRDRKYGKSQNKSPRHSEGIATSDSPRSFATDTTNSSATISLISSATPSRELPDL >Dexi5A01G0033210.1:cds pep primary_assembly:Fonio_CM05836:5A:35574499:35575457:1 gene:Dexi5A01G0033210 transcript:Dexi5A01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRALNPIQLIATLFLAILATSHAGGIAIYCGQNTGEASLSATCASRKYQFVILAFVFQFGQGRTPKLDLSGHCDASSGRCSVLSSDIRSCQRRGVKVLLSIGGGVGNYGLSSPADARLVATYLWNSYLGGTDLKDMGKNAGTEVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNPPCQVSAGRGAFLAAWKKWESVPAGKIFLGLPASKDAAGTGFVPAGDLNNNVLPLIRDSPKYGGVMLWSKFYDDSTGYSSAIKSHV >DexiUA01G0014610.1:cds pep primary_assembly:Fonio_CM05836:UA:30652185:30654355:-1 gene:DexiUA01G0014610 transcript:DexiUA01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTASRSALAAAGCAACCGSRGHLLRGGFVTAESAKAMLMDPPVMQVDCQMQNDVEKTSSFDRKLTVSHCDYGWTGSDVHPTKDAITFHPVEVNNASQTGTDEALDSSSKTPPINLGDLPQGTELIKKNVDDSYSKDVELQLNVSTGSNNGLQSDDGKCNKQSSDKDDVHHSQEEIHPPPTNVSLPSSCKLNGDAMPSQQEKIVEEHVKVDGNVDAVSKEVGADLVGCHSGQKELQCTLQDLSEIACSIDLVRNKSSPQEETKTSVSPLNDGDNSVDNNSCNGGTSYKGEELSMVNNGDEDHAVALWVKVDHVLLGW >Dexi7B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:7B:25208812:25209726:-1 gene:Dexi7B01G0019730 transcript:Dexi7B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSAAERKRPLIRSLDYLCVRRFRLRRLLAFLWSHSYNGAFESLSKETRVLFRVEHLQHLVRLGLWSDAMGYVFRFVPAFDLPVGGQFLVTFINFLWEIAAYDPTDPSTFPEYDPTVAKEASVPEESNSLRSSALGSINWPLVRFKAAEISGTWLLRFQSLMRCANCRSAPPGQPKCFLSA >Dexi9B01G0027020.1:cds pep primary_assembly:Fonio_CM05836:9B:29469286:29474927:1 gene:Dexi9B01G0027020 transcript:Dexi9B01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAPLVYRSFSSDNSSKAALLKAALDGDLGRIKGIMKSIGIKNSDRAAVFSFTMGGFGVLHCAACQGHLEVCKYLVEELKGDPNMAASEGPLEGATAFMISVQSGDISTVEYLFDHGGDLMKTDAKGRTILHYAVCTGSTTVSGFLLSKGIPVDIDCGHGTPLYHACINEQDKTVKILLNHHANAGADVNGKGSVASPLVFATGCGGYTNFIHLLLKAGADPNIPDDKEHIKVGKTTIRSQADEAFRRKEYDMASKFYTELIDVGPDATLYSNRSLCKLKLGDGEGALSDAYQCRMLRPDWAKACYRQATAHMLLKEYKQACDALLDAQKLDPGNDMIERELSKALELMMISPDEH >Dexi1A01G0024840.1:cds pep primary_assembly:Fonio_CM05836:1A:31264784:31268812:1 gene:Dexi1A01G0024840 transcript:Dexi1A01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEPPPPRPETDASLSRPLISAAPRISVLAAHHTTTHAEVVTPWSGRVFCTPFEAPRRGDGAAPSSCGCDRVNPPACDLFYGVWWLPGWSMGACDSGADMGLGPLGPWPQADLVDSLSSPPQVPRPLAHPRQTQLALSCLTTALWIGRCKKGRPRILSYSRAPTRQIDERRSSAFPSHRPDPLTARLNGQEWPNRRAVRPIDRMHCQIDRLLAPPLGVMDGFVARHRWLVTPAAAVGHDNPDGAGKTKELNNRLSWFGWGLGALGCPFPVEEAVCLSDKSRAAAETDASAPPPLSRQTSRAPLLLRSSASLSRQTSRTQKRSSSPRGGGGAAVPACARPTAVYLGDLRLVASVPLFLAMSVLATVKLLLPDSMDAGSSFACGGRPNRARQEQGGGRLCSALELADMEAKAEVVVLVGGRSNRAAEVVVAEEEDVVRGLAVIALLRHGLHLPRGARFAAASSSLDLCSEEEQELGVAAVVEQELNAVEEQEMRRAGASPGSSFLRLGFSGGAAARAPLSIFCFDLCFDLVSICARFGGFVCDYRGEELLPVRFVVSILHARRRAGAEPGLLDVLLLSFLLFFLLFPCSPLFRSSGRTAEQAEEAEAVVTAAIGGEVGPARCHVYGFGGHGSDRERTGSLPVPRCGDFNRYSDLEVRIESPVRIAVVRIGRSPDAELMPLHGVRGDCARPRVKRRGELARDIQDNTCVATARARGGPARRKLGHMGVRERTEMILLSVEELFGRSLFQLLLLKLDLFQPLLTFTSLLLLLRRRSATFTDFPLLTTPWELAGDA >Dexi9B01G0043210.1:cds pep primary_assembly:Fonio_CM05836:9B:43245318:43248683:-1 gene:Dexi9B01G0043210 transcript:Dexi9B01G0043210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIVRCLRDGRLDGEHSPALAVGGSLQCCQLAAGAMLHVAAALASQAAAGKAQARGLVVVVFDRSPEVYLEFMRRRGLDTNALNRCVRILDCYSDPLGWKQKIQNQQHRENSTKQFPANKDNITVFRNVKDVKKLMCSITEIGGGFEGEGKQYFSIAVDSISSMLRHASVPSISGLLSNLRSHEPVSSIFWLIHSDLHEPKVPRAFECLSTMVACVEPALVDPVCVESPGNLSVLEQNYSKAKFIVRLKRRNGRVKHFYEELYVEGTDVKFDSAPSVMTEVNQSLVPKVQFNLELSEKERTDRANVVLPFEHQGKGEPIRIYDGRRSLPEDQRDSNLAPALVDEIAPKSGTAKGEIHYVRDSDDEQPDSDEDPDDDLDI >Dexi9A01G0040580.1:cds pep primary_assembly:Fonio_CM05836:9A:44313059:44316964:1 gene:Dexi9A01G0040580 transcript:Dexi9A01G0040580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPHAAQDHAPSPSGGSGSTRRRLRRLDRRNASKHIGYDASNFCEFPPPPQPPASAPASGPASLAHSAACSLDLVNSFRIGGSGDGGGDVQFLCQSLGLSGPDDFAIPLADWEAHKAVRSSASASTSPSSARHKPDPPARDSPLRHEGAEEEPTRPADAEREIPAKEPAVARSRCAPIEALERPARRDPLVLTPPDVKRAVGEGGIKGLRPPPVLKPPPSMALAALPAVCGTESTWDILRSFAPDEKEHAPASRSGRGFGYQDAVEEEHEDAVVALTLEDLKLGESSEGFTGTSSLSTTYDDETSSTTTESMFFISPNGRFRKKIRSWNRGVLLGSGSFGTVYEGISDEGGFFAVKEVSLFDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLVSLYQKYRLRDTHVAAYTRQILNGLNYLHERNIVHRDIKCANILVHVNGSVKLADFGLAKEITKFSAIKSCKGTVYWMAPEVVNPKKTYGPAADIWSLGCTVLEMLTRQLPYPDLEWTQALYRIGKGEGPTIPSSLSKDARDFISQCVRPNPEDRPSALKLLEHPFVNKSIRSVRSMRTPSRSNSSTRGFN >Dexi1B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:1B:2629591:2630896:-1 gene:Dexi1B01G0003300 transcript:Dexi1B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRRRITSVFTATPSSTSSSPPPPSRSLLDALSAATERARAGTLRAADAHGLFDELFRHPTGVTKNAINSFLAALARAPPSAAYGDGPALAVALFNRMSRVAQQLPTTCTYNILIDCCGRAHIPDFALAFFGRLLRTGLGIDIITCTSLLKSLCDANRTNEALDVLLHRMPELGAMDKAEVFFRQMVDKGVQPNIVTYANIIHGYSTLGQWKEAFRLQEVKPDVVTYGTLVAAFCRMGRLDDAMDIFIDMTDQGVPPNEAAYRCLIQGFCTYGGLVKVEELVSEMIKKVQ >Dexi1B01G0029120.1:cds pep primary_assembly:Fonio_CM05836:1B:33505271:33505750:1 gene:Dexi1B01G0029120 transcript:Dexi1B01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPRVFAQRRRRRRRLFVSAATMVTPLALTLSSMELDSCRHGFDVSLTLFLTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Dexi2B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:2B:5928625:5929826:-1 gene:Dexi2B01G0006340 transcript:Dexi2B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAATKNRGGARGRTAASNPRRAWRTETTNRQGGAPRRDNPTQIPQIEHKSTSNRLIEAQIAQIERNQQKSKGGSTRSSRTPAMPWRGKEGPRRSSRMPHPSPLMCRRRSARPPQILLHRRIEMGIWWRERERSPPSQILLLHPSCRRRERERTGAAERTEELAAADAEREGEAGVGHGDGDGSVEAGTRCGGGAPSELGVGDGGDVQRELGRATDGTREKSRRTERGAADGHRRK >Dexi3B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:3B:5572064:5572861:-1 gene:Dexi3B01G0007850 transcript:Dexi3B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSMAATTPEAAAYCLDEKKLMELRELVEEDDAAEAEAALEEDFRALLSMAADLEEEEGLTPEKWEWVEKTEALALSLTTPMREHADGIRQAAAALASLRPGEAAFAEALRKQAALTDARRADAERLLAATRRLQEKEMRRLAAKEHLVSPAMAGFLGKLASRTDSSVDQEGYVPAPEEMAVAAQVEDAAARAVEGMGRVAGRLRRGAAAAVMKGNEETLVDALLRQAVVADTARATIETFAAAVRRFLAAGGGGGGRLWWWYG >Dexi5B01G0034240.1:cds pep primary_assembly:Fonio_CM05836:5B:34474412:34474876:1 gene:Dexi5B01G0034240 transcript:Dexi5B01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHG >Dexi9A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:9A:8469451:8469932:-1 gene:Dexi9A01G0013220 transcript:Dexi9A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPEDVLADVLSLLPPRALAVSRAVCKAWRAVADADARCQLRTDLLPVTVGGVFIMTNEPEDPAFFVRPSMAHRIAGDVGHYLRKAGFFADVPWVVDCCNGLLLLDDADQVVNPATKQWARLPPCPILRRTDGYHYKFLVFDPMVSPHYQRPT >Dexi4B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:4B:17343525:17345346:1 gene:Dexi4B01G0015540 transcript:Dexi4B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVEIISRTRRPASSSAREKLPTKISDALLLFRPVLLVLSRTFHSSPINTRTPPMSPAKIPTQRTRLTEATSSSRRPAILRRMMEHPAVATVKQEEEEVVVVLDVDSDTGGRAPGPPPAPEPWQTAAAAAIPPFLAKTFELVEDPATDGVVSWGAARNSFVVWDPHAFAAGLLPRRFKHANFSTFLRQLNTYVSNRIPKFGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSTASATSSSAAKTGGASGIGVGDRESELERLRRDREALARELTRLRRGQQEARAQLLDMERRVLGSERRQEQCTAFLARAVGNPGFLEALLARRGRAAVEAAGGRKRRLLDAAPDAAAEDVLAFEEMALAAGAEVEAPLLIETAAASESSGSAATATDMIWYELLGEEQVEIDAEVEELVAAAAAADVVAEPWEEMGDEEVEELVQQIGSCLGSPSP >Dexi7B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:7B:16537644:16539321:-1 gene:Dexi7B01G0008800 transcript:Dexi7B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVFPSSHITRENSIMNSACSHVRSTTKPNTVIPARLFSSACLFWKEMLVTWKDANLEVSTLSSLRDSSSSDSSPEEALATGKGLAGANALLGVLGFSWSRSRLLMVASACHAAATLVIDCVLCVILAALWRQMSSPRGTHRELAGDGQVDLRVGPGGSEKGRELADGDEDEAVEYEPPVPVADPSQLHTYAAVLPEHGGDVGALPEVPREPRHAQDLQVAYGAPRGERGEGGARDGHGEGGEDEEDAALEAEEASEEEWSMHIQRRQERKLRSSSRACDMDRVYEPRRRSSGVDTEPGSAVADVGDGDGVSGAIALASRGSFGLCRPRVSSSLDAFFLSRSNGALVF >Dexi7A01G0024330.1:cds pep primary_assembly:Fonio_CM05836:7A:32042672:32045630:-1 gene:Dexi7A01G0024330 transcript:Dexi7A01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLSQQPEFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIGGKKLKLAIWDTVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGTKKNIFKQKQPEADGAASSCC >Dexi9A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:9A:10272526:10274151:-1 gene:Dexi9A01G0015430 transcript:Dexi9A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGKFGRAIVISFRISGPRELSGAVDLISRYRLLNHHSFFCKKPLPLAISETNYLNNVVGDTEIRKGEGMELDQLFQNSYPSEKTAYIQPFDMETLGHAFQLRETAPVDLPSAEKGIPTISGKPKIKSKDKVKKHKKHKEKDRDKEKEQKKHKHRHKDRSKDKDKDKEKKKDKGLNHELGGDHSKKHEKVPVSQKHKNQ >Dexi1A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:1A:4496936:4500834:-1 gene:Dexi1A01G0006010 transcript:Dexi1A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGEIAAAGCTGFGWEEEHSGLEPFFFDEAAVRAEHAAAQAAKEKLREKEARERKQLSLKQKAHRAVINQIRDYDNKQGGIYYTRFCLEDFSKFEVNIICSDVGFPINVYGTVIARDCVDFKCVYLFRRNRDDSELINSEDETLTLTGPKRALVLLDDAYVEIDLKIKGHGEQKDKEFSKAGGLLQDQNSEELGCNLHHLFQGSRTGDGAQTGVESSQVAPEQGDDASLELPQKRQRTGEAILTILGDMRTSFSDVFKSTEPIPLPQVTPPAEILAKLQMIPDLARCDMLKSYGKLILNERLFQALMELPMDMRKEWILMLNEN >Dexi5A01G0032570.1:cds pep primary_assembly:Fonio_CM05836:5A:35055776:35058154:-1 gene:Dexi5A01G0032570 transcript:Dexi5A01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIAMAAFLLAVAGTSIVLAAHAAGRVLDETTRESFVSSAPSPIASAAGQELYVLGDRGLDERAYLSADSNESCAFGKVGDYDGRSPDFTIFQFERLRWLKSPAIVRSESRCSVARETRNVPSAGR >Dexi7A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:7A:18873721:18874857:-1 gene:Dexi7A01G0007520 transcript:Dexi7A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFLYLVADDCVERGYSLRRIDMSRFFFKAPSEGTPTPLDSSGGAGATDPLATEDSGSLPDPVLSFRAAQDLDQGSASINFVLFDKKGRDGENLKVVTIGHTGRTLMCDPSLPPSFLPLPMEATHRCAPFSLTVGSSLYVMDAVPKLSHNGSEWHYSFEILSYGHCFSHLYKSWYWQSLPLPPNLYGRGDLADCIESYAVVDGTDILLSNRAKHTCRFDTVKGTWRKAGDWAMPFRRLAEYVPEHKRWFGISAKGNDGHSCFLAADLMHTSDSHEMTSPPVVWGSWEEYVQPPPEWSLAESHAVHLGSSKFCIVRFFHVGELCVCPMTHRTVMVEEELQVLLTGVEVESCGEKLQVVKHKSERYKLDIKSDYWLL >Dexi5A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:5A:714924:716288:-1 gene:Dexi5A01G0001060 transcript:Dexi5A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSTRAAVSLLLLLLLLSPPPSSPSIRRYHYDSIFSFGDSYTDTGNNPVAFAWYSVLNPVTRPPYGTTFFGRPTGRLTVDFLAEGLGLPLVPPFLAHDGSFRHGANFAVAIDAGFFHDGEPPGSGKFPLNTSLGFLGRSVFFIGELGFNDYSFSLFRGKSVQQLISLVPDIINTISIAIEVLIRHGATSLLVSGMVPAGCEPPVLVFCDGADPASYSPRTGYCVKEMNELSIHHNSMLQESLAKIRADHPDVDITYADFFSPIMAMIESPAKFGFDEDDALTVPLQHSEITCGDPGCTTWKDPSARLFWDGAHLTEAANRYVADGWLTSLSSPATATN >Dexi1A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:1A:1346794:1348187:-1 gene:Dexi1A01G0002050 transcript:Dexi1A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKVERLQENFEVFDFKISSEDMEKIKAIDRSYRTNQPAKFWGIDLYA >Dexi5A01G0026370.1:cds pep primary_assembly:Fonio_CM05836:5A:30026109:30032689:1 gene:Dexi5A01G0026370 transcript:Dexi5A01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIMSRALPFASRSSSLYLPPPPRLPGTALLRSAAAASLLRPAAAAAPAASLPSSSSSRGLTATPEPSLAAPPPFAGLLSGIRGFRRPRRGPAAAKRPQPQDAAPPPPPPSPKESEIELIARIGVEEDLPDDAEVLNIVEILKLNVPMAMKIALDGLVDSNYSTRDTSISDVGKYDKVEISVLLCNDNFIQDLSKEWRGEDCTTDMLSMSQYIPDLDVPILMLGDIVISVETAARQAEEKGVTLLDEVRVLVVRGILHLLGFHNETSDEAAMEMEKEEQLILKSLRWKGKGLAKGAQDSSKLQTDSLDGQVTNSQKRAVTLRFYRPKFKYIFCDMDGTLLNSKSQITARNAEALREARSRGVNIVIATGKARPAVIDALSAADLSGKTGIVSESSPGVFLQALLYSLENKIPLVAFSQDHCYSMFDHPLVDSLHYIYHEPKAKIVPSIDQLLGTAEIQKVLFLETPEGISSALRPYWAKAIEGRAHVVQAQPDMLELVPPATSKGNGVKILLNHLSICPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANVIGATNDEDGVAQAIYEYAF >Dexi5B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:5B:4259096:4259437:-1 gene:Dexi5B01G0006230 transcript:Dexi5B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVEHTLPSGGGGGGTSGGARPPRKKDWTPEEHKAAKEFMKALLDDYDEYAAMSEDEIEDEYRRAGKLHKVAKKHPPPPGYYPVLEQDFKLIEDDED >Dexi2A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:2A:33226332:33226763:1 gene:Dexi2A01G0021010 transcript:Dexi2A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAAVLSPPSVAGLRLAPSPRAARVSFRAAAPARRSVAARAELSPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPMQNGKTHFEAGDERAKEYAGLLKSNDPVGFSLVDVLAWGSLGHIVAYYILATSSNGYDPNFF >Dexi3A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:3A:10428179:10430032:1 gene:Dexi3A01G0014290 transcript:Dexi3A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMEKEENKEASVSISNNDTDTNDVENDDYDDDDGKHVVVLGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVTILTLTILTPERPDLVLPIPLVSDDKGHAFALKDGSTYSFRFSFTVSNNIVSGLRYRHTVWKTGVRVENQKVMLGTYSPQPEPYTYEAEEDTTPSGIFARGSYTAKLKFVDDDGKVHLDMIYCFEIRKDWPASP >DexiUA01G0003400.1:cds pep primary_assembly:Fonio_CM05836:UA:6978183:6996733:1 gene:DexiUA01G0003400 transcript:DexiUA01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRALPVLAFCLLCLYTPTAFGSSSASSTDFLRCLSSSIPSNLFVTQSSPSFTSILVSSIKNPRFFTPSTVRPLCIVTPTNASDVQSTVLCGRRHGVRIRVRSGGHDYEGLSYRSVSPGEVFAVVDLANLRSVRVNSAQATAWVDSGATVVEA >Dexi9A01G0032650.1:cds pep primary_assembly:Fonio_CM05836:9A:37570270:37570905:-1 gene:Dexi9A01G0032650 transcript:Dexi9A01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPMETAKIQLATWPCVVASMTMPVATPMGLEMANMKAYAKQERSGRFGSIRSSAMPMAIAAKILCRLMVHNTFHAVN >Dexi9B01G0049560.1:cds pep primary_assembly:Fonio_CM05836:9B:48138195:48138499:-1 gene:Dexi9B01G0049560 transcript:Dexi9B01G0049560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPTIAVHRERPIAAISDDEVGRFDLNVAVESNDFPQRVTTTFDRSKAVNSDRSEEYSLDQYVTQNDSAECATIISRDQQGMAESRGVTRMWNCN >Dexi2B01G0034410.1:cds pep primary_assembly:Fonio_CM05836:2B:41644985:41656198:1 gene:Dexi2B01G0034410 transcript:Dexi2B01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPGSAERQARGGAASASGNAVSARFPPGSGAGGAVGIWCAFGIWCCALSTLPQRSGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRIKVEGILRQAADVEEVDRRLHEYEQGKTEFSPEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKESRINSMRAAVSETFPEPNRRLLQRILRMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDFDMNGDSAAQLIAAANAANSAQGIVTTLLEEYENRGVEDPHASVEREGARGVARARGGREARGVGETRGGREGRELCVVGDHELRGQGRGLLRPLRRKRERGRLGEQSLLLRRRRELQLQWLLEGRSSSGRGRSSVGCARACAGRASPCACRSVRQQRRRRLCGGGIRCGRGGREGRRLSGGGARSARASRWSRGEEGREGGEELAAGGQPWRLARKGQQSELVDPSGEEELAIQRLEVAKNDLQIRIAKEVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSSVDANDRYQHLPSHLAQSITQSGFDRSIAQSGFDRSIAF >DexiUA01G0008810.1:cds pep primary_assembly:Fonio_CM05836:UA:16692751:16697883:-1 gene:DexiUA01G0008810 transcript:DexiUA01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLSEAEAGISCFASSLPGFRGVLKHRYSDFIVHEVARDGTIVQLTSFDLPTECVDVKEEEKAAPSADADHSQALELFRALCGDADCDALRGFLERVAAGGDGDVSPVILSADADKAHRSEVHNFFKKNFKFLVTDTVEHTDGVQRCIRVRLGSGAGGARGGGSRGRGRKRKNMGGSDWREDRPFDSRGSSNWSDHVGKFLRFHLYKENKDTQEALGVIGKMLGLQPRSFGFAGTKDKRAVTSQQVTVFKVQANKLAALNNRLFGIKVGNFCYVKEGLVLGQLMGNRFTITLRGVIAESEDVIKAASDGLGKNGFINYYVIKHRDDINELRKHYKEHGDIDKALRNFPRHLVAERAILQCLKKCPAIMYIKYVHSYQSYLWNHAASMRVEKLLLEVVEGDLVYNKESPPEESTPVNIPETDDDHTNSSEIDLCSEAQPEETIQSVKVVDSGDLLKGIYTFDDVVLPLPGSQALFPGNEVAEIYHEMAKKDGISLTENAHGVKEFSITSMKGGYRRVFQRPIDFQWELMTYTDDSSSLSETDLDVLSRTKPKEVNEHVTSDPQDRLEKASDASTATNGSNFLENKPTGSPDTIPRKLAIKLSFTLPASCYATMAIRELLKTSTSVAYQKTLSC >Dexi8A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:8A:26548253:26549220:-1 gene:Dexi8A01G0015450 transcript:Dexi8A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGWALMLLLAQLHSLATTHANDANLTLQTAPSLCHPGQAGVLLKLKRSFIFDLDSTTTLQSWQPGSDCCLWEGVGCSNTSGLVTVLDLSGVGLHSKGIDTVIFNLTSLRLLDLSMNDFGGMNYVNPSDGFERLSLLTHLNLSNAGITGQIPKGIRKLTNLVTLDLSCSFTITDDGGNIEACEPWEDTFQNLVANLSNLRELKLDGVFISAPAEDCFKALAKSVPHLRILSLDSCWLQGYIDHSLSRLQFLRVINLSNNYRMNPGSRLVLQSESVRAHAKSF >DexiUA01G0027560.1:cds pep primary_assembly:Fonio_CM05836:UA:58812794:58815384:1 gene:DexiUA01G0027560 transcript:DexiUA01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLTCVVGRLCGRRLSRKKATADDDYYGSDAVGGDQEKGFEIKYPAMKPMASSRAMIHDIDDGFEIKFAPGKPAAWKSDTKADNKGRQQQQHLQGHHQHQPQQQHQPQLVGMMPKGYVVPKEYAGFRYPADAVVRQGQIRGGAFIPAKPST >Dexi5B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:5B:16380760:16387763:-1 gene:Dexi5B01G0016650 transcript:Dexi5B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVCRCRDRKRLMADAVVARNAFAAAHTGYTVRLKSTGGALSDFAHGEASDPSLVASHSHHAAAAATAASVSAPPGPSSASVISAPSPPPPPFPDFSHSSLQRSSSTPNIPMPDQRAMATWDYFFGPTPTPPPTLEQPADETWMERRDKEPVAEVKLPVSKPTVSEPAAVERPPQTAAEKEHAIEDMVANLPPSKPIVRKPPMTPGSHPVPHYQHASSMGAAETRKGKMIVASGTASLLQIAGELMKIDYQKKVTLLQKQKKRGVRLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINQLRDRQLFPKLVDLVDGMAMMWSAMHRHHRIQFMIISGIRGFEIPPVPRETTDLHYNQTCELRDIVKEWHMQFEKLMDNQKAYIRALNAWLKLNIIPIESNIKEKVSSPPRLVDPPIKNLLHTWHDELERLPIELAKTAIKTFAEVINNIVHLQEEEINLRRRCDETRRDLTRKRAQFEEWHQKYMERRATLSGDANPEASEVQNIDPVEDRKRVIEELEIRLREEEGHHLRHARQVREKSLANLRTHLPELFRNMADFSYFCHDMYNNLRKAAAPPKDEVRG >Dexi1A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:1A:3807040:3807551:1 gene:Dexi1A01G0005190 transcript:Dexi1A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACLRRRRPSASSSAGSCHLYRPLLPPPVASYWNGVVTAPYLVGAVLERRCGSILPGEVKRRRRLGDALNSIFLQQLLGVHVYTHVLILGPPLPAVRQWRRMIRLFQPSGCTST >Dexi3A01G0035850.1:cds pep primary_assembly:Fonio_CM05836:3A:41149444:41151225:1 gene:Dexi3A01G0035850 transcript:Dexi3A01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPQSDGGGGGARGRPAAMAADAAAGGAREMDSPRFRAILRATSGRRKRAPDVKSFSHELSSSGVPSAMRGKMIRGVTGTTTAPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVAALEDDDDDDEEDEDRRLALEDLLMAAQRCAEMSPEEFWTKCEGIVQSLDDRRQELSPGFPKQAHTRVLFILTRCTRLLQFRKEAAAAGGGCYAGDVGDKKQHVLGLHQLSDLGLFPFRDGGGVAGGELGRKSTSSLVELKERLIRRRMLEHKQLTIDFVSPARIFSSSSGDAAAVEPSPSSGKIASWKKLPSPAEKNKNAGTSGGDAAKLAATDESPEVKKKPITRQQGKASVDEIVERVDAASIHPDGLAVAAASAMNVEEVLPSRYPEAQQIIVDGKPRMICRICDFEIPMACAEGHFVVCTLADRCDAKGHSADARLLRVADVLHRVLACFVSGAGGRASSSESESDASFSNSDHDELSHMLTVPSAELFSEAAATPVMTLATSPMLTPRTSHAESQLARHFHAGVVGVGENFQQIESLLAIARGIESVKSSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQ >DexiUA01G0000640.1:cds pep primary_assembly:Fonio_CM05836:UA:2352440:2352721:-1 gene:DexiUA01G0000640 transcript:DexiUA01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSGQRYRPCYESGGPPSRYSKRTSRASAIRHCGIGPRAPAPTTLPSRLGASRGVADGLGGRKGPPPPWSKRQAPGLASLPPSSHWSRERQG >Dexi6A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:6A:28709296:28710162:1 gene:Dexi6A01G0021470 transcript:Dexi6A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSWMAPPAARGAASSARLVVLLVLALAAAADVANAGGVKALTPGGRVVHHNHGKFTAGPWKPAHATFYGGRDGSGTTAGACGYKDTRADGYGVQTVAVSSVLFADGAACGACYEVRCVDSPDGCKKGCPALVVTATNLCPPNYQQSGDSGGWCNPPREHLDLTMPAFLQIAEEKAGIVPVSYRRVPCLKQGGIRYTITGNKYFNMVTNVGGAGDVAAMSVKGSKRVKWTELKRNWGQVWQTGEDLTEESLTFRVMTSDHRKATSWHVLPTDWKFGVTYQASKNF >Dexi6B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:6B:25194774:25195644:-1 gene:Dexi6B01G0018140 transcript:Dexi6B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQKNAHVPKFGNWDNDGNVPYTVYFENARKGKGGKMINPNDPAENPEAFSMAATSPNRSDAGRSSPAPPQPRHHERRPSDAPPPMSPNPYAGGSSPYHRGGEPQRRGAGARTGGGYSVEQSPVHPYSSESGGYGLVSNSRAKGASRGNETPTRGSAVPKFGDWDSNPASADGYTIIFNKVREEKQTQPGKPAAAFGKDAARGNGAKQHDDGYVSSKFSCFGWCK >Dexi2B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:2B:2713133:2713690:-1 gene:Dexi2B01G0003110 transcript:Dexi2B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISSKHNCSNETATIAAMLSAPNCFLRPREAQKAADEAKTRFGHIDGDHLTLLNVYHA >Dexi6B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:6B:21126818:21128995:-1 gene:Dexi6B01G0013430 transcript:Dexi6B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFSDFGPLTERRRVEKQRQQRRRVMVAAAGASVVLILIVMGAAAVAYNASVQDDDVDTSSSSPSSPSSSGGSGSSSLLSVSKSVKMMCAQTDYKDACEKSLTKVATANASTTSSPKDMVRAAVSVIGDAVAKAFERSALVASTTGNKDPRVKAAVADCKEIYGNARDDLARTLRGIDAGGMGEVTGAGTSSGAVIAHMETCIDGFPDGELKRNMTGAMESGKELTSNALAIIEKATSFLAALHITGFTGATTSHRRLFGVHEEEDMEKQPKVNYSGTFEQADRDGDSPAPTSRRLLGVEDEDAPPWVNGEERRMLKGNFQGRLTPTVVVAKDGSGKFNTINEALKAMPPKYTGRYLIYVKAGVYEEYVTITKAMENVTMYGEGAMKTIITGSRNFADGLTTYKTATFNAQGDGFIGIALGFRNTAGAAKHQAVALLDASGRPAIRSYLARPWREFSRTLIMESEIPAFIDKAGYLPWNGDFGLKTLWYAEYANRGPGADIAGRVNWPGYKKVISKEEATQFTVQNFLHAEPWLKPAGAPVKYGFWA >Dexi2B01G0028790.1:cds pep primary_assembly:Fonio_CM05836:2B:37336653:37343807:1 gene:Dexi2B01G0028790 transcript:Dexi2B01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLLAGWWVDQGFSLGERRRRSGERDTQGYMSQRGDRGEGHRRPGRSSSFGGHRGGGVGGAGKGGAGSSGQPPLSSNRSFRKPGNGHGGHQRVVSQPDTTGFQPAPAPGPHQTPARPPPAPQNAAAHVPVPAPRPQHHDTQVSASSPSGEKPANVPLPKPTHAAPRAPPKNSNPPVPQGASKGEPSKGFNFQFGSINMNGLPQFPARTSSAPPNLDEQKRNQALMEELKVAPPGPMQQVPKQQPLQQHQQQQQKQQQPQQVPQQPQQQQTRKDAVGSSQPIPINPHVPSQFKRDVHASPSVPNVTSLRPTVQPMPGVQMSIPFHHQAAPVPLQFGGHGPQLQPQVVPSSLQMSMGLTGSNAPQVPQQLYGPTIEHHQLQQQAMMHQGQGMSYVTSVGHQFPPQLGNMPMNMAPQYPQQQPNKFVGPKKTTVKITHPDTHEELKLDKRMDSSGQRAAPNLTAQSQPVGSYAPHMGFFHQPSNSYNQSGMYYPSTTGVSQVPTGSSGPRFSYPVTQSGQAIPYIGQSAGPPVSGQSQMTVKPHPGGLQAEKSGTHAVTITAPPVKSDAPKLRPAEDVAASRQKDTENVSGITVPNKSAHEKEGKAPLTQEKHLTVVSQSLPTQGAKPQSSVTVSSAANSAIPVSGADAKNKESIQRTGSLKDNKKMAVKKDAKNSSDPQHLASSAEDVKVQTSVKVGDDSDDHQETKNLSNELDLSSSSSGEAVPLSVSRPGTAEAENATVNDSSSARPDSEGTTVSHQGAESIAVSTVECEERKQTHKVATDPISDNISSDATEPELPEVGAVGMTEQTPVVPSDTESSCAAAPHGTDQEELPKESTPSGPEEQGMMSSSSKNSETSLHLLDDNAVAVTSSETSEPTVQGAIDEGDSHISPDTSQVSNVAITSSEGQQKSESTSSDQSTATATASTRPVSREKPSAEITRTKSTAGKKKKRKEMLSKADAAGTSDLYNAYKGPEDKSETIGTAEGADSSSAVDTAPVLLDEPETETSSSADDSKKKLEPDDWEDAADMSTPKLQSNSGDQAGITKVLESDTTEGRKKYSRDFLLTLAQHCTGLPVGFQMNEAVNAIMNNLAGKSYVVDREPHPSPGRGSDRPTSRGDRRGAVIADDRWTKPGVPLSPGRDMDLANGPSVNYRGGPGGNHGVLRNPRGQPSNQYGGGLLSGPMHSVQVPRSGSDADRWQQKGLMPSPVTPMQAMHKAERKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLFGDYDNPDEENIEALCKLMSTIGEMIDHVKAKEHMDVYFDIMQKMSTSQKLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQSSRLGRGPSVSSLPRRGAPPMDYGPRGSSSLASPSSQQGSIRGVPPQSRGFGSQDIRFDSRTVPLPQRAVKDEAITLGPQGGLARGMSIRGQPPVSNAEPPSVVDHRRVVSGSNGYNSAADRTSGRTPASSHSAGPSQRPASQEGRSGNKSYSEDDLREKSISAIREYYSAKDENEVALCVEELNAPSFYPSVVSLWVNDSFERKDMERELLAKLFVSLYSGRRNLLTKQQFIDGLASVLASLEDTLSDAPRATEYLGRLVARFVQENILSLQEVGKLIQEGGEEPGYLVENGIGADILWAVLDSMRLEKGDSFLNEFKSSPSLKLEDFRPQHLKRSKLDAFM >Dexi9B01G0022230.1:cds pep primary_assembly:Fonio_CM05836:9B:16898818:16902216:-1 gene:Dexi9B01G0022230 transcript:Dexi9B01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRGLLARLRGLSLSAGGGPRLPLLPPSRLFSAEPFVSHSDDDDAGGEGGGGGCRIIEARSGVMGPASRRTGLIGVKCGMSAMWDKWGAKVPITVLWVDDNIVTQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFLFIKDSIFKKPDTTLLPFPTYFSQEGEPEALEPLIADLGEIDPFMAAD >Dexi6B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:6B:25954256:25964107:-1 gene:Dexi6B01G0019120 transcript:Dexi6B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPTATPFAKGSDIWRQPPALSPQGAAIWRQPAAFLLSTQRYGSGHNRSKMDPGKSIDDRFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYHNVTVIEKCQNVSGMCESIDIEGRTYDLGGQVIAANSAPVITHLAKELGCEFEAMDSHKLALIDSQTGNIRDLEVAEDYVSMVSLTLKLQASDEANRSGRVGIHAVGGLASDPTLEFLKQHGLTSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSFWERLSQSLPFEVLCDTEVLRVKRDSCSASVLIKKNNDDIEVREFDKIILSGSLAFKNGKTYRSSSLTDGENEVVELNDLERELFSKVQTIDYYTTVVKIEGFEHMPKGFYYFGEYMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSDVAKRVTDAVTSMGGTVNKVVLQRRFKYFPHVSSEDMKNGFYERVESQLQGFQNTYYVGGLLAFELTERNASYSISAVCKHFAIDSELPVIPYVKRLFPLSRRNPSSPRDLGELEGVEFPDLPSLDAYLEYWGTHKVTAKNVIYTWINEEGKIVNRRTYKELHDNASHIAYRLLTSTKPIIKPGDRVLLIHLPGLEFVDAFFGCIRAGVIPVPVLPPDPMQRGGQALLKVDNISKVCNAVAILSTSSYHAAVRAGYVKNIVTLAKSAQKCSAQWPDLPWIHTDSWIKNYQRSPGSYNSECAKSMITKPQPSALCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLISWLPQYHDMGLIGGLFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKSYDLSSMIFLMIAAEPVRQKTIKRFIELTEPFGFSEGALAPGYGLAENCVFVSCAFGECKPIFIDWQGRVCCGYVDPDDPDIIIKIVDADSLTEHMDGAEGEIWISSPSSGVGYWSNKEISQKTFCNQLKNYTTKSFTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVEGSSDVLRPGCCAVVGVPEEVLTQKGISIPDSSDQVGLVVIAEVREGKAASEEIADNIRTRVAEEHGVTIASVKLIKPRTISKTTSGKIRRFECMKQFVDNTLSLANSNHISKKKSLFRSLTTGTGMEIRRPSLKQAIDPTVSPQPRIKVKNFMEIIEFLTQLVSDQTGIPKEKISPNDSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFTASCISELANFLENLVHKSQPQLAPQPRGKVKKSKEIIEFLKQIVSDQTGIPKDKISPTDSLPSYGFDSITVVRAAQKLSDFLGIPVGAIDIFTASNIAELAIFLEKLVDKSQPHLESDACFSSEDENLAITDASSSDLSVYAIGTLQVLALTYVCFILLLPAYLASLMYMGMLSSVRLVKLSLLSYLSSLVLAPIAWIFYALFTSLSLSILGKSFLQPNYVLTPDVSIWSVDFVKWWALYKAQSLAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLAVADGAVIAEGVLILGHEVRNEVLSFRHVKIGQKASIGPYAVLQKGTIVHNGVVVPPLHNTEQGKSAYIASKTSTYMKEEERIANIAFEHLVSIYAVGFLGALSSATVFMLYNHFSGATASLQHFSFACVAGAFHWLPAVIAAYAVIVRETTTSPVSFSLCIAFAYLSYGIILILLTSITNKALATTLGAKKKDMASLIQRRLTVAVHLRFAKMLSGTEAFCMYLRLLGAKIGRHCSIRAINPVANPELISIGDGVHLGDFCNIVPGFYSKGFTSAEIKIQDNTVVGSGSLLLPGSVLQENVILGALSVAPQGSVLQQGGVYVGAQSLTMVKNTMIIEDERIEQMDPAYKKIVGNLSANLAITTMNVKSRYFHRIGVSGWGILKMYQDIPSLPKHKIFGAGKSFPVIIRHSNSLSADDDARLDARGAAVRILSDDGEVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKKSPHIRDAVWGSLRNTDSYTILHYYSNICRLLRFEDGKEMYAKFKLRPADKDVPETSGEVVPRGILPPETGAIPRDEDDTRPLLFLAEDFRHKVESQEGVRYVFQLQLRDVPGDSAARDVALDCTRPWDEAEFPYIDVGEISIVSNVPTEETEKLEFNPFLRCHEMDVIPATSCTQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVMAATRSSSNVRHVTTKHVTLARTWYQALWATVCQPLLQILVPYFTMGMVIFFPFRVLLLAGGSGAAALYWTLPIFWVTSGFAAMAACAAAKWALVGRRDDGDTVHIWSPAVFMDTVWQAVCTAAAEYFAELTPGSVPFAAWMRAMGAAVAADGGVYVDSMGALLNPEMVVLERGASVGRDALLFGHVYEGEGGEVKFGEVRVGEDGFVGSRAVAMPGVRVDDGGCLGALGLAMKGEIVRNRM >Dexi1A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:1A:23804200:23808117:-1 gene:Dexi1A01G0016560 transcript:Dexi1A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRWRPTVNEREFIEHALQSDLRVDGRHSFDFRKLKISFGRYIHLHAPTHTPAPSSLPLPWAEIPPRRSLAPRNFASEGGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSGVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPQKINVTDVTMENKGDGELETQTVKASDVQNISKDPATTSKASSHEEAQPMLTESSNAEVKSTSSSGAAGESEEAQEMGSPKSLKDAVKPKHKRKKKKSDRS >Dexi1B01G0024410.1:cds pep primary_assembly:Fonio_CM05836:1B:29714816:29715160:-1 gene:Dexi1B01G0024410 transcript:Dexi1B01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVATHAPGGSASASELLLFAVWREHSSRLALPSPRRIPASTRNPTTRPATSRGGIETTIPRFGAHHKADLRKREDDERDRAELRAAPTKP >Dexi7B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:7B:23385728:23386525:-1 gene:Dexi7B01G0017420 transcript:Dexi7B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHAAFAPSVVASRSFNFTASGGVRNQQRPSTATFCLPPPTSSHGAATRPIVPYNPGEPPERIREIRSVHLVMDRVAKRPRGFAFVSYTDEEEVKNIEGMHGKYLDGRVIFVEAAKRRPGL >DexiUA01G0024640.1:cds pep primary_assembly:Fonio_CM05836:UA:51187503:51188464:-1 gene:DexiUA01G0024640 transcript:DexiUA01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAAMALAGARPTAHAPSSAASKPRAGRAQLNLRRGSCTGRLIPRASHHRPQHPACAAEVRAPELWLRSAAAALAIAAQISVSLPADAVLYSPDTKIPRTGELALRKAIPANPNMKTIQESLEDISYLLRIPQRKPYSTMEGDVKKAMKIAMDNKEAILGSIPAEHREEAAKLYTSLLEEKCKM >Dexi9B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:9B:11024785:11027212:1 gene:Dexi9B01G0016100 transcript:Dexi9B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGGIIDGLTGANKGSQLKGTVVLMRKNVLDLNDFGATVLDGISEFLGKGVTCQLISSTLVDSNNGNRGMVGAEANLERWLTGSLPSLTTGESKFGVAFDWEVEKLGVPGAIIVKNYHAAEFLLKTITLDDVPGRGTVTFVANSWVYPVDKYRYSRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYKEHDRVYRYDVYNDLGEPDRGNPRPVLGGSAEHPYPRRCRTGRKPTNTDPNSESRLSLVEQIYVPRDERFGHLKMSDFLGYSIKAISQGIVPAVRTYVDTTPGEFNSFQDILNLYEGGIKLPKIKELDDMLKLFPLQLVKDLLPTGGDYLLKLPIPQIIQGTCQLTSC >Dexi8B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:8B:28001315:28001719:-1 gene:Dexi8B01G0016870 transcript:Dexi8B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSPGAAAARSTKETDMSTETLRCLPSATAAAEPISSTRATMAPGARWLLPPAAEVRRGGTVKEQRIANPSKKLGLGSVAAAAARRAARRFSASTRAPSSSLTRCNAASKSEAPFAIPD >Dexi9B01G0032700.1:cds pep primary_assembly:Fonio_CM05836:9B:34868514:34870442:-1 gene:Dexi9B01G0032700 transcript:Dexi9B01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGVVLGTEDAVVAAAAAARHFSFPPPRTGGDSCRKLAAQVDLGAAAVTGSWLDSMKASSPRHKLMAPLAAADPEHDDWMERHPSALDRFDALAAAAKGKQVAVFLDYDGTLSPIVEDPDNAVMTDEMREAVRGVAARFPTAIVSGRGRDKVFDFVGLEELYYAGSHGMDIRGPTADPNNPNGKEARSVLCQPASEFLPVISEVYAALVDKVETSIPGAKVENNKFCLSVHFRCVEESAWGALFEQVRSVLKDYPGLRLTQGRKVLEVRPMIKWDKGKALEFLLGALGYGDDGRGDEVFPIYVGDDRTDEDAFKVLRARGQGAGILVSKFPKETCATFSLREPGEVRDFLRRLVLLDGTNT >Dexi9B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:9B:235788:236659:-1 gene:Dexi9B01G0000360 transcript:Dexi9B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRWKLNYSTLDRRFGVGRLLKSTPLTVGGYSWMIQFFLNGHSFDYCSYYMRKASACVSLAGTPVAATAMPKAKYTLSLVGRDGQPSRLWRARSPIRTYGWPHPNSWGIKLHYRKPLLRLFGCLDGNRLKIRCELTVFVFTALSTTKDTSPALLAPLPELHGHAKCSNERSLPTLGLGDGRGADVTFHVAGAAFRAHKVMLAARSPVFDAELFGPMAKKDDFVEIADMEPAIFEMLLHFVYTDSLLAIFNGAGNNSTAVAADRYGMGCPPAAASPLDWRRISERRSW >Dexi5A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:5A:24878307:24880268:-1 gene:Dexi5A01G0021000 transcript:Dexi5A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGASFASEASMPWGFLLGVPLGLVLLWQAGRLLNQLWWRPRRLERALRAQGIPGTSYSFLTGDLKEYGRLNKEAWSKPLPLGCHDIAHRVTPFIHNLVQEHGKMSMSWFGPNPRVTIVDPELCKDVLSNKFGHFEKLKLPALSKVLGDGLASHEGEKWVKHRRILTPAFHLEKLKLMLPAFSACCEELVSRWSESLGSDASLELDVWAELQNLTGDVISRTAFSSSYHVGRRIFQLQGEQAELVMTNIQKIVMPGYMSLPTSKNRKMRKNNEEIESILKDIIGKRIQAMKQGESTKDDLLGLLLKSNMRETEENGQSSMGMTIEDVVEECKVFYFAGMETTSVLLTWAMVVLSMHPEWQDRAREEVRSLFGKNKPEYEVLNRLKIVTMVLYEVLRLYPPAVSLIRKTYKEMDIGGIRYPAGVMLELPVLFIHHDPDIWGSDVHEFKPDRFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFAFELAPSYTHAPHTVITLHPMHGAQIKLRSV >Dexi6B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:6B:23431529:23445914:1 gene:Dexi6B01G0016150 transcript:Dexi6B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRKVRNANKRYAKINDDWKIEDTPSVPKSKVRKKKLSDMLGPQWSKEELERFYGAYRKYGKDWRKVAGAIRDRTSDMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDRESNDSPKTFRKPQKRGRAKFQPVSKTSDTRYPDQLQSQPASSSYGCLSLLKRKRSGDLFVGNRPRAVGKRTPRVPVGSMYHRDERGAPSRQAKPDSNNGDDEGAHVAALALAENADSEMGSSKLHGFQLDADYPEASLGSGEAETGDYPKGTSYLMTNKGSPSGKPQKKVKRSQKRRKKAARKTDESSALDALHTLADLSVNILQPSSVVESESSAQIKDENKDNDSDGKPATIPVYEQNSKSTAKKLKRQSDIASTDMVTRKKWRAVKDPHHDGSTTSEVKHQACTCGVNTEKKRRKSSMGKVSKEEKNIIKDVLKTEVSAEEGKASSNKETTTQGGTTPQADWTSKVKSRRKLGIQKSLSQECKPTEGAGDSGSDKLSYSLSNIIDVKDIDCMPLHPPENFPESLRQHIVNEFYSRFSDINEDQMKELVTGGAARFASNLNGADASFHIPAGHPMSTLMKQAKEALLVELRHMNEEVSGKQKEGEIIRDLEHFRKQYAMVLVQLRDSNDQVAAALLSLRQRNTYHGNPVESYPKSMENGIAFAGAPDPYNLFRYINPESGSQVIEVIETSKCRAKMMVNVAIQNCTEKQYQPAEVAHILDSALSGLQPCSSQSIPIFREIEMFMSIIKNQIVTLVPTPSG >Dexi5B01G0038750.1:cds pep primary_assembly:Fonio_CM05836:5B:37831468:37840906:-1 gene:Dexi5B01G0038750 transcript:Dexi5B01G0038750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRRSSAAATHPCSSSLVAAAAHPHSSSPAPVALSVCSSPASVALLIHSSPAAAANPSSSSPAVASEPALEHAGHRHPSVLDLAGCRRCIPALELVDRRCLSHDGARQLAPPILLELAALAGALRPSRWGTRFRSARGRGVLVGEQASARRGWDYAAAGGVDAVAAMSDASSDLXXXAMSDASSDLGGIRAGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIIPGQRTDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPRAFFPSDSNAGSVHSMSSGHSDNTNGHPRGVPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSGSKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVSQPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVNGPLEDRESISVPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGHVYTMGSAVYGQLGNTQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQLKGMAERLPGGAAKNTKLPPLPGISIPSDITSMGTESSLGSPSSSGEQITNGHNGLLAPNGPSSIRNKMSHAEVGKNGSRIPDAESCPEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIASN >Dexi5B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:5B:2391114:2392966:-1 gene:Dexi5B01G0003550 transcript:Dexi5B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFQDARLPQQRVVEGVAFPAVVVPTATAAGGGIDEFLAAVRSEREARLEPLVRDAGALLLRGFPARTAEDFDRAVDAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKFPDFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDKAVADERAAKLGMKLEWTDDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPAVVIAECGKILEEECVAVPWQHGDILLIDNWAVLHSRRSFEPPRRILASLCK >Dexi2A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:2A:26166921:26170121:-1 gene:Dexi2A01G0015300 transcript:Dexi2A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMSARRLALSLISILLVAGHGAPGVGASLSFDFDFSSASTFSLTDFKTDGDAAYHGGLFDLTANSYSADITSSVGRVAYAHPVQLRDNATGQAASFTTAFSFAINITDVNNKGDGMAFFLGHYPSELPPNSHGGALGLCTDYCVNQTSGADRFVAVEFDTFNDTWDPNLTYDHFGIDVNSIVSISNVSLPSFSLHRTMSARVDYNGSTGVLNVELQFGPRRMFYGATPTYNVSAKVDLASVLPEQVAIGFSAATGASIELHQLLSWSFSLVTPGSSSTASTSGGASASSSSRTGLKVALGITSAVSLLLCIAILGLLRALRRQHLAFAEIQLESEARSKLMDEEFEKGSGPKRFDYGQLAAATRDFSDEEKLGEGGFGAIVLGLGSALLYLHQEWEQCVVHRDIKPSNIMLDASFGAKLGDFGLARLSDHGLGGSHTTNLAGTMGYIDPECVVTGRAGPESDVYSFGVVLLEISCGRPPVVLGRQDEDNQNQVVGRERLVEWVWGLYGGGAVVEAADERMGGDFDRGEVERVMVVGLACAHPDSSLRPTIRQAVSMLQCE >Dexi4B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:4B:3474010:3475091:1 gene:Dexi4B01G0004850 transcript:Dexi4B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVDEEMGSSNTLRRYDLNTLRAATDNFSEHNRLGQGGFGPVYKGTLQNEQKNAVKRLSTIAQQGQAEMKNEIFLVAKLQHRNLVCLLGYCIEQDESLLVYKFLSNKSLGKVPYGQQELSWSQRYKIIEGISRGLTYLHEDSRFKIIHRDLKPGNILLDGDMNPKISDFGLAKLFNIDSSMKNIAIMLELSVELSCFLQTGYMSPEYAMHGIVSAKADVFSFGVLSTLLAN >Dexi6A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:6A:897641:898043:-1 gene:Dexi6A01G0001050 transcript:Dexi6A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSMTCKNAVSDARDELPSILPNLDSLYLSSTTEDHTPPMLTTKFRNLKHLTIQTCGASFPQLYDYLGLVSFLDASPSLETWHLNVRGPVHSIMRKLRL >Dexi9A01G0049760.1:cds pep primary_assembly:Fonio_CM05836:9A:52313913:52321036:1 gene:Dexi9A01G0049760 transcript:Dexi9A01G0049760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPSLAVTRRPSDARRRGRVLSFLIVAVAIFAAAALAYLSFPSAAPTPPPADADCCRGIEGLELWGPAVKWGSNHRQPSAAACCASCKAMCPHPEDGACRCDSWVFCGDERRCKDRLGECWLKKQKDVMIPAVIARGADVMWTSGLVFGKGEGIVGLETNLGTLHIQLLPGCAPRSVDYFIELLGLRNCVGCRFYRAEGRGNVWDPKGNHDKNAAFGPPYALLQGTLEVDCVPFKDIAREACPAVKRGSIAWVGSGPEFLISLANHEEWKDAYTVFGHVLPEDMAFAEEMALLPASTDLWSNVTVRVLRDPVYFKVKKRSSHATSSSGRPTAHLRRGQAQPSPASNHVIVIARTAVRAGREAAVMLPFEEQVVADLVEDPNGGLVVLSSGFPLASLAAALLLHLQHSGEAEAGGCLLVLSAPDPLKARIRLRLQDRLQVHDVPPDLPAQQRASLYATGAALFLSPRALAADLLTSRLHPSRVRALLLLSAHRSSDTSSDAFIARLLRQRHLLPVYAFSDRPHAMVAGFSKAERAMKSLYVRRLHLWPRFHVLAAADLERTPPDVVDVRVPMTPAMRGIQAAVLAAMDACLKELRRTNKVDVDDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQAAREDGMKLSTDNKGTPTKKRKVAHNSTDKGKETENKDSIAGKHDTQKVNAYPGIVLEEVLEEAPKWKVLWVMREEWEKYLLGKAELHGLQKKNKKKSEQPKGFGVLDGEVQMGPSESAGPISISKLETNALLAAASALRNMTKEDDVKDGSNVSCSKRGLVKGKAKGKSKKTTEKRQASNKKGKSKGANDNGQDTALEEGQSGKADERADIDNSKVSTDNAFVSDSTAANACNYSSDFRGLANGKSLPPAQFHALDSDQHVLDVWKPSIIIVYHPDITFVREIEVYKAENPLRKLKVYFLFYEDSTEVQKFESSIRLENEAFESLIRQKSLMMIPVDQVDGRCIGPTLANEPEPLLFQNSVTRKAGGKRAPEKEMQVIVDMREFMSSLPNVLHQKGIRIVPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQVETMARYYKIPVLLIEFSQEKSFSFQDEPDEKKAIRVGVPSEDGIVEDDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCNSLAELALLPVEELAELMGSQKGARTLKEFLDAKCPTML >Dexi7A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:7A:18735164:18739474:1 gene:Dexi7A01G0007360 transcript:Dexi7A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPLSSLQEEEGGPAGEDSSAFSAAAVPPRPATHSHSLHKYAPLDWSDYFDEERPVAIPGTDDVFNVYMAGSEGPVVFCLHGGGYSVLSFALAARQMKEKARVVAMDLRGHGKSTTSNDVDLSIETLTNDVIAVIRTMYGDSPPAIILVGHRCSYFAWVVQWLYMWLQEKKFEIFMDLSSLMLLRPGTVMASLVHMQKILSNREQHFPSIEKAIEWSVKGGPLRNVESARVSIPSTLKYDESRECYTYRTPLEQTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDKYEHFFLLTSQALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFISRNKIGPNGVEIPGIVKKWGR >Dexi8B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:8B:17357683:17361159:-1 gene:Dexi8B01G0009740 transcript:Dexi8B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTAGGEDDDEDEEPSAAEVPSSAGPPAPPRPALAPAPVRVPPAASASKVLEQEPEVLPCLAADSPLSPQPSAAGTPRLLAGPGIKVWDPCHVLLPPPPPPTHPERQGDAAAVEVLVVSHGECAAAMRPDLIGGRWPAAALTARGERQARALAVFLRSRGSRLAAAFASPLDRARATAALICRELDFPEEQIQLSDALTEMSQ >Dexi4B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:4B:21555958:21556634:-1 gene:Dexi4B01G0019270 transcript:Dexi4B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSRAATLARRLGAQAQPQPVVVLSRRHSHTRRRTAEVLEAEAAGPSATPADAAAVAQRLEDAIDGAMARMAEPDWAPFRPGTSYFVPPRPAGAGLGILALIRQGGGFVEAPAPRRGLSADEARAVAAASRGYPCSTYFIDGHFPDEVESSSLDATDQAQEE >Dexi5A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:5A:2904779:2905270:1 gene:Dexi5A01G0003830 transcript:Dexi5A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMFGLETPLMAALQHLLDVPDGDAGAGGDKGAAAGGGPTRTYVRDARAMAATPADVKELPGAYSFVVDMPGLGTGDIKVQVEDERVLVISGERRREEREDAKYLRMERRMGKFMRKFVLPDNADMDKIAAVCKDGVLTVTVEKLPPPEPKKPKTIEVKVA >Dexi1B01G0031600.1:cds pep primary_assembly:Fonio_CM05836:1B:35086593:35089097:-1 gene:Dexi1B01G0031600 transcript:Dexi1B01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGPVHDSGGDSELEEQSYQEPAFDAFMCPLTKQVMQDPVTIETGQTFEREAILKWFRECRDSGRTPTCPLTQAELRTTDVTPSIALRNVIDEWRARNQDKELDKACASLTLHLHLHSEDDALRALLYISQMCQQRSGGKNLLRRQGIIPAIAAMLKSSSRRVRLKSLEVLRAVVEGNDDNKQELGGKGDTIRTVIKFLSNEHVEERELAVSLLYELSKLDPICERIGAVYGAILLLVGMGSSKSENLVAVEKAESTLRNLEKYETNVKQMAENGRLQPLLTRLIQGTPQVQVAMAEYLGELALANDVKVVVAEQVGALLVSIIKTGSLPAREATLKALREISSNESSARILLEAGILPPLVKDLLSVGAGHLPMRLKEVSAAILANLVASGAAGPMAVDEESGETLVSEGVVHSLLHLISNTGPAIECRLLSVLVGLTASPATVADVVSGVRSSGATISLVQFVEAAHREIRVESLKLLRNVSPHMGAELADALGGHLGSLVRAASDGVAVTEEQAAAAGLLGDLPERDWELTRQLQELGAFRALASRLAELRRGAIRGNRHVAPFTEGAVKALYRVTCAVGILGAEYVEVARELGLAPLFVELLQQQQQQIAVALYSAMALENLSMQSGRLTVVPPEAPSPVAPRGLLLACACFGGGGAPPPMAPGPGTCRVHGGLCSLRESFCLVDGKAAAAAAVERLVACLEHADARLVEAALAALSTLLGDGVASAAEGVLALGEADGLRPVVEVLVENRTEALQRRAVWAVERILRVEDIALEVAADQTVASALVEAYRNGDARTRHTAERALRHLDRIPNFSTAFHNAKPRRDSS >Dexi2A01G0026810.1:cds pep primary_assembly:Fonio_CM05836:2A:38360210:38364850:1 gene:Dexi2A01G0026810 transcript:Dexi2A01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLLRQAPRRLQVPKTSPLAALSFPLPRTALLAAASVRRQRFCAAAQASAPAPSAAAAATGPAGKAVGEFRKRLRVADVKGGEDEGAAWVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASILVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPATKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTSRHLAEFWVFEILTLMIEPELAFADLNDDMACATAYLQYVVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDHLEARLDEQNLSKESYWWYLDLRRYGSG >Dexi3B01G0034610.1:cds pep primary_assembly:Fonio_CM05836:3B:37321736:37322346:1 gene:Dexi3B01G0034610 transcript:Dexi3B01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAGTMAESKRFDTKSPGCLEGLFNFLALNQRLQMPKMIAYRKHSEGSSNSNTLSKVLHIRVKVPKPKNRSEKDETIPD >Dexi2B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:2B:22363193:22363435:-1 gene:Dexi2B01G0013590 transcript:Dexi2B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGVVFVASVTTADVASVASAAAAVASVASAAAAAAAEAGGLAAAYACVAVEVAAAVTVVAVEVAAVAALVSAGLGPVV >Dexi3B01G0030370.1:cds pep primary_assembly:Fonio_CM05836:3B:29897605:29899317:-1 gene:Dexi3B01G0030370 transcript:Dexi3B01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAGQDPQRGGGGQRAADHQPGNLQWLSELIDGEHQGRYLLDMIGNGSGGDRNELEHKDYCSDKVLPLPRASSISPFNPAKRMRVAARSAMKRALSICDLGADEIDRVLESLQGVSADLGEFIMLLQGYQQISRPLPTNIFVDGQMFGRHVEKERIINFLLHTDDRSSKKLGVLPIVGAIGVGKTTLVQHACDDDRVRRHFSAIVFFNFSCTYAIATSGCTAAALRSKHVIGDADQLSQDDPLQWIKGNFHNQRDLEENPRLVTAGEAIARKLNGSFYGAKIVGGLLKDNPDPRFWCKVLGSNIGGLSLLGDGIGYIADLSENLLPDHVNVCQ >Dexi5A01G0026220.1:cds pep primary_assembly:Fonio_CM05836:5A:29918893:29920376:-1 gene:Dexi5A01G0026220 transcript:Dexi5A01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVGAGIAAVAGGERSISGGKKEGLGSAPPAVVSQTAAVLDGDQATRGHRAAPNHPCSHPLPDVGRFSYSWPASKSKPQHEGRIGHGNIAKLIETDPASNVDRRRNAVSTSGDRFPTGPSR >Dexi7A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:7A:27906242:27906648:-1 gene:Dexi7A01G0018510 transcript:Dexi7A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPTVRHFNNCTDSTIAAPRLHPASTTAGVKSRRAIIVQHAPGRHYWLPHEHWLFLSSVVPLLFVQESRCPIRHVVLLSVRVLLRPLSPSSAQAMTVR >Dexi6A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:6A:1344482:1346907:-1 gene:Dexi6A01G0001510 transcript:Dexi6A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALMTPERRKSRSPRLAAVRTGRSPAAATSPSRRRKGFRTAVHRAPPQGPSSRSSTRTSRREGKGKGRTLARSASEPALWFGARVHAAVPADLDQHSPPSPPPPPLERPHTCFDVFAPESPFGRSPSAAALTNPSPREEAKVVVSVTVEGSVGPVKAMVRLSASVGEAIAAVVERYAREGRRPRLDPAAAETFQLHHSHFSLQSFS >Dexi5B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:5B:10974823:10975185:-1 gene:Dexi5B01G0014480 transcript:Dexi5B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHETMVPSLYNDHMHKTSIESIVYYLPLYNVYCSIAPRCCYHEGSASQQQLLLLLASCCFGSSTLSLFSFGGHLSCICFGFGAWALSLLTLGSHQHLCPAYLRFLKPQICLESQNFYS >Dexi5B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:5B:623774:624653:1 gene:Dexi5B01G0000970 transcript:Dexi5B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSGLDGDRVTSTSSRRMQGRIQGPGWGGYSPSPSPRKQDSLLAPISGHFRLPKTRPIGGDQQEENTQREDEHGKQWNENECGSQA >Dexi4A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:4A:21035482:21037413:-1 gene:Dexi4A01G0017330 transcript:Dexi4A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRKVFSKSPCSSASGGRAHSEKGSTSDHRRRWSSLRLYLCGEEMNTAPDEDDVETVSVKSFETCVMPQEAHIPVAQSSDVHDADADDNTREPEDHRVPGEHNHVVVPTEPAEKEQGAATLIQSAFRGFMVRRQQQELIRKRQEMGGGGDEPRSPTSASVATSVVVQVGESVSNLRLSEDSASVQQRGSQKSRPPPPAFRVKEEWNDSTVSSNVSRMRIQSRIEATTRRERALAYAFSQQLRSCGGTNKKRSARPDQTEFNVGWSWLERWMATRQAEPSVAADDCMSRNADTGSVMAGRRVVVVRRRSDLAVEEKESCGSNDVSVVSFDGSSLGGRSGLSCHKPSRSRLKGGRSLPRRKVASSDHRLQARSHKVSKKGHKREEQAALPYKDQAVADGYDAACQPPTDY >Dexi9A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:9A:3376192:3378726:-1 gene:Dexi9A01G0006020 transcript:Dexi9A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGGSPFGGGGSSRGRRQRRGEDVVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASSRCAGCQGSGYKVQIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTESLCGFQFVLTHLDNRQLLIKSHPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPEQCKALEAVLPPKPASQYTDMELDECEETMPYDVNIEEEMRRRQQQHQEAYDEDEDMPGGAQRVQCAQQ >Dexi3B01G0028180.1:cds pep primary_assembly:Fonio_CM05836:3B:23989591:23993237:-1 gene:Dexi3B01G0028180 transcript:Dexi3B01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGSQEPLPRRNLSADDESLQPRPSDDGRNNRGGWITFPFLAMAMLGLGVARGGATSNFVVYLVKKYNVPRVDAAQISSIALGCLSLAPVGGAIVADAFFGCYPVVAVAMAFSVLAMVMFTLTASLPSLRPVACQPGAGPPCEPASTAQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFVFFYASSVVGSTAIVYVQDNVSWALGYAISGAASLAGLAALLAGTPYYRRPGAQGSPFTALARVAVATARKWKVNLATSEEVLRFYHGRRSADKDGDVSDASSLAGPSDSFSFLNRAALITDGDVAPVDGSMVRPWRICAVQEVENFKSVVRILPLWTSSIVLSVAFGTQINFTVLQALAMDRALGRFTVPAGSMSVVILMSIVISLVLLDRALLPLWRRLTGGRRTPTPLQRIGAGHVVAVLSLAASASVERHRMATVRAHGEEGRHPSWVSPLSAMWLVVPLALAGAGEALYFPGGVTLYYEEFPPSLKNTSTGMVAVVIALGFYLSTALVGVVRRTTAWLPNNMNASRLENLYWLLTVMAAVNFVYYLLCAKLYKYQNVGR >Dexi5B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:5B:18941531:18944784:1 gene:Dexi5B01G0017360 transcript:Dexi5B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVAVAAAAVLFAVSSAASPPVQWGKNKQLDPHFYDHSCPQAQKIVASIVGKAHYQDPRMAASLLRLHFHDCFVKGCDASILLDSSGSIVSEKRSNPNRDSARGFEVVDEIKAALEAACPATVSCADILALAARDSTVMTGGPGWIVPLGRRDARGASVQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLPRQSMRSHTIGDSRCTSFRQRLYNQTGNGLPDFTLDASYAAALRPRCPRSGGDQNLFFLDPVTPFKFDNQYYKNILAHRGLLASDEVLLTGSSVTADLIKLYAANQDIFFQHFAQSMVKMGNISPLTGANGEIRKHCRRVNHN >Dexi3A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:3A:1360347:1362886:-1 gene:Dexi3A01G0001980 transcript:Dexi3A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVWFPLRSAAYVDLAKRNAENMVHKRVLQQTTDERPLPARGMEKIEEAVEERVYRTGYSREQQQALVGWKEGDYGIELGGGGAPAGDVDEPPPHPALLLHHSSVSRQQLCRCRPAALDYSAGGDGGRRRHPLSLVSLGSFTCGAHASSSSSISFSFPQRAPIQDELGCLPDRGIQIRLQVVWCVCMAAGAVVVATSGGGDGSNPVEKEKGIENSRRDWDGGGAWACDLGEWTAALPPRCLRPPSTKAWRSSWSASRVLSLEDDGRGGVGSDGGGAAQPWPWADGNMKRRVEEEDDVWAPHASFHNPTAAYLSSCLRFEFLSLHMPPHVTLAIANPRLQPPLHTTHMQPAAPKASPFADDSNEPLGYQF >Dexi3A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:3A:2516022:2517748:-1 gene:Dexi3A01G0003750 transcript:Dexi3A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLYSARADTEPVDQKNCLEERCKPLCVKSLYDYERCVKRVENDGTGQKHCTGQYFDYWSCVDKCVAPKLFDKLK >Dexi9B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:9B:1025044:1031742:-1 gene:Dexi9B01G0001810 transcript:Dexi9B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGDARGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKITEEEIKFLRSVMPTCEDGFFEYLSSIDCSDVEVYAIHEGYVVFPKVPLMRIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDEITDKALISSDGSSKCEDFVSLVQNWLIKIKDSSSLGGTFGETNQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKVSVTAKLYDFIHFLWLNEAPVGELQ >Dexi2B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:2B:18796967:18798894:-1 gene:Dexi2B01G0012530 transcript:Dexi2B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGGGGGQGRAAVAEEAGTAVGVPRRRRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLRSSNPSTDNQKGNPAYCVQPVCIEPACIQPSCVTTATCFSPRFFSKSKKEKKEKKAKADLANQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASSSQKATSAAEKGSDYLELEFF >Dexi6A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:6A:4666663:4668334:1 gene:Dexi6A01G0005120 transcript:Dexi6A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFPFFLQGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLFGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >Dexi3B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:3B:12461605:12464230:1 gene:Dexi3B01G0016980 transcript:Dexi3B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLPFRYAHPRKPHGPKSREQNRKRSPSSGRPLLAVTREAPPAPLPPKTNEQKEASLPFPTLLLLLLLLLLPPRCAYPPTRSSSGQPPTLLAVVRLRPMPPPPLQARDYIGLGAAGSSSSSSSCSGVVEGAAGPHLALRLGLPGSESPGRGAEAAEHVDAALTLGPAPARGGGAKRGFADSLDLPAKRDAGAPPDAAGDVSREEKGVAETAAGAPRAAKAQVVGWPPVRSYRKNTLAASATKTKGEDESKSEAGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLGLEKMFSCFISGKSSSGKPSRRERLTDGSRADALQDQEYVLTYEDKDADWMLVGDLPWE >Dexi5A01G0035490.1:cds pep primary_assembly:Fonio_CM05836:5A:37289471:37292632:-1 gene:Dexi5A01G0035490 transcript:Dexi5A01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFPSSATVVAALPRALSLSAAAVAAATTTLLLISAVVSRSHHIASSSPPLLPPSASASTTSTQAPAPAPDAEHHHHSPPPPPVPPCPPNATNLVPCHESPSGDRHCPPRPTPPLPHPPKDHPPHPPVPPPPHCRVPPPPGYRPPPPWPVRRERARYANVELPLLPPGKVSEGQDPVRGRGEWLVFAQGKGVRHYVEQLERVVPLRGGVVRTALDIGCGVASFGDYLLNYDVLTMSFAPKNIYGAQVQLALERGLPAMIGGFGAQRLPHPSRNTVDMSAGLGGFAAAMSKYPVWVMNVVPANITNNTLGVIYERGLIGTYTDWCEAFSTYPRTYDLIHANGIFSSYIHKCGIIDILVEMDRILRPGGAAIVRDRADVVLKVKKDADRLRWQSQIVDTENGPLDPDKLLIVDNSLPLPGS >Dexi2A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:2A:31270265:31272326:-1 gene:Dexi2A01G0019110 transcript:Dexi2A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFICLRPSSKPSLPRPLSLFGLSSPAAASASSPFALHLPSRLLLASSAATPSSSASSTTTVAAQNPNPFNLNINLLPWLHELRFPRNFLCQPQPRPSPPPPSPPPPPPEAVVPRTRRLPSLRVTMEYDIEESVFANKEGAALQQLFSRPVLGLITKHFSVLYDIEERNTLLSSGAVRLRASHDAKSTEFLLSYVVMYLSLIYQLQQGEISVITRLGGPLYKLELSSLVPYSGPCSDSRLPRWRSTAQRMTSSSMINA >Dexi5B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:5B:1830871:1833019:1 gene:Dexi5B01G0002830 transcript:Dexi5B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAPLAKDVERKLQKFVSMGKSMSMPVDRDDEDTGTALKHCASLPLVRSPLQLDKEKGNKPKRTSFDIPSSPPMNSENSKGPKARSLVKSPSSMMLLSYLNKSPLNQGSTKQKAYGPQPRPRSKSPLPSIAPSEVFREAKSSSQRFASPPPQRRGSEKSIYGKSFARQVSDMGQSPDWSSTPIVSGKHKSQKDNSWARKYSGGRRVSAVNPADDRRAQMVRMNQAVQTTVDWTLDPSKLLVGHRFASGAYSRLYRGFYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEINSLSHLYHKNVIKNLKPTIPPECPPALRPLIEQCCSLQPDKRPDFWQIVKVLEQFHSILSQGGCLDIPKSSTCQDPKKRLLHWIQKLKPAHST >Dexi3A01G0024780.1:cds pep primary_assembly:Fonio_CM05836:3A:20393109:20394351:-1 gene:Dexi3A01G0024780 transcript:Dexi3A01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSEKLRQEEKEKAQLSESLQLMQLKYTSRDNVFRTLNEKVKDAEQACKNYQRRIRELEIELGNEKKAAKDTARSMRPPLVPMKQRQPQGRNSNYAPPSGPSRSRFSKAPTLQNKENIPVTMNKAHPGADPNRAAGKARRVSLTPVIRQIPIQPKRRSSMAILPSLSEQLSVLNEKRAPSRLSNAHVPRRSVAAFGSILGTPLAGHGTVDATPDGAKLRRIDFGSSSKFTSPPPNPMLGQWNKMTTPAGGPGNASRLCFSIQKRVAVSPVRMKPSVMGKFNPAQREQMVVGRAGNALRVVNTKRRQSVI >Dexi2B01G0027830.1:cds pep primary_assembly:Fonio_CM05836:2B:36606494:36610163:1 gene:Dexi2B01G0027830 transcript:Dexi2B01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAHHHHHRLPSYLAAALFLAFALAPLAAGDPLGPLCGTSGNYTLNDTYQGNIQRLAATLPKNTSSSQMLFAKAQLGAAPNIVYALALCRGDTNASACGSCVATAFSDAQQLCAYNKDATIFYDLCLLRYSNQDFLDSTTSSNTVLILMNSQNVTTPFKVFDDAVATLLNATSDYAADNSSRRFGTAVEAFRNFDSKNPTIYGLAQCTPDMSPADCRSCLSGIIKMGPKYFTGKQGGRILGLRCNYRYEQYSFFSSTPLLQLPDPAVEGPAPAPAPPAPVIGTPPTSGGDTSNPEDIQSIDSLIIDLSTLRAATENFDEANKLGEGGFGAVYKGILPDNQEIAVKRLSQSSRQGMAELKNELVLVAKLQHKNLVRLVGVCLEDHEREHILKKFVYPMQVWEHWTTGTLMEIMDSSLSSLAPRDQMLKCIHIGLLCVQDDPAERPMMSTVNVMLSSSTVTLQAPSRPVFCIQKSGSNSEMYSDVYPGAPHSVSRSPMSLNDVSITELEPR >Dexi9B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:9B:10802744:10803524:-1 gene:Dexi9B01G0015780 transcript:Dexi9B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNKALTILFSTLFLAPLLMATDPDPLQDFCVADLTGKTSVNGYPCKPASSVGDEFLFSSRATTGGDPTANPNGSNVTELDVSEWPGVNTLGVSMNRVDFAVGGTNPPHVHPRATEIGIVLRGALLVGIIGSLDSGNRYYSKVVRAGETFVIPRGLMHFQFNVGEEAATMVVSFNSQNPGIVFVPQSLFGSSPPIPTPVLVKALRVDAEVVELLKSKFTPL >Dexi8B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:8B:26882636:26886239:-1 gene:Dexi8B01G0016010 transcript:Dexi8B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFLAAAAKLAVLVVAAATAANAASFARYRRRYLRRIPNPIDEAADPIADFRALPSAGAGADDPSGLLPFSLFFAEDGGFFFGLATAPAHVEDRLEDAWLQFATEHSCDDKEAVRDHKTADAVMASAAGDGGAQLASMSRREEKAGVDGEKRKPLKVAMEAMLRGFEMFVEGAESDSDDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGIIVFRMGIDWTRVMPKEPTDEQLKSSVNFAALERYRSIIQRVHEYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVKYFMDFVRLVVDSVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAIAHAEAYDYIHLESKNERKPIVGVAHHVSFTRPYGLFDVAAVTLANSMTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRVLIQFNERYKSLNIPFMITENGVSDETDMIRKPYILEHLLAIYAAVIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKVVTTGKITRQDRAYAWRELQQAALQKKSRLFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYEMEGLQDPLSRFIRSIMSPILQKNKIHYVEDDISYSIS >Dexi4B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:4B:1114828:1115535:1 gene:Dexi4B01G0001790 transcript:Dexi4B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRFLAKNAGAGGGNDGPPPQFNAGGGVALEVTVLSADALRLPPSYSPLPRRLRPYVTVSSDAASSACSTEVASTGGGEHSWGDTLVVPVGPEFLEGRADVHVSVMSEATCRLVGATPLGWCGIPAADVLDGLRPPRALRRLSYSLRCPRRGGSTSWGHGVVHLAVRVLGLGDGGARRASPDTSSAPATTTAMPAPVQQGWCRVAMGIPVSGASAAAASAVVGMPMSWGATSR >Dexi6A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:6A:26303683:26305122:1 gene:Dexi6A01G0018540 transcript:Dexi6A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRSLTSPYSLIFVVALALLSHCCSIAMATCSFTISNYCSHPIWPATLAGAGTPQLSTTGFRLDPGQTVQVPAPTGWSGRLWARTGCVFDAGGDNGTCQTGDCGGRMECSGTGATPPATLFEITLGKVAGDLDYYDVSLVDGYNLPVLAVPRAAAGGGCNATGCMADLNRSCPKELQVERGGDTVACRSASLNSGDVPVGSPPAYGQSTSGGTSGSSDSTPPPGTDTNGVGSTTPPPPATDNNGGGSGNTYPPPPTTDNNGGGSGSTYQPPASTDNNGFGSTDQPPPPAASYGDGSTYDQPPWMTLSSASTLHKQLWLLLPAVLLFLLWSLSP >Dexi3B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:3B:3045021:3045569:-1 gene:Dexi3B01G0004480 transcript:Dexi3B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAAAAAVLIFLLPTASAGAASRWGGERSTYMKLYWHDVVSGPDPTTVPVAQARVTRDSESGFGAVVVIDDALTEGPDRRSSRRLGRAQGIYVGAGKDEVSLLMAMTFVFQGGSRYNGSTLDVMGHNAVLHDVREMAIVGGTGVFRMARGYAQARSYTPVSNKPGDATVEYSLFIKH >Dexi5A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:5A:14292863:14296302:1 gene:Dexi5A01G0016100 transcript:Dexi5A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDPSSATVADLQRLIESHLTVPVQLQRLSLDPALLLPTPSASVPLLANPAASLASLRLSNGAFVYLAYPPDARSARPPPPKVLSAAGSFGKKMTMDDLIARQIRVTRQENALCAAASFDRDAANAFQLYVAESLAFAVKRAGFLYGRVDAESKEVFVDFIYEPPQQGSEDVVHLMRDPEEEARVDAIAEGLGMRRVGLVFTQAVGRKASETGEYTMSNREVVQAAQLQAEGGIPEWITGIVKLEVGDDGTGDVHFEAFQMSEICVKLFKDGVLETEVGETDDPRLSKMRKEVMAGGKDTMEVDNDFFLVPVKISDHQGPLSVGFPIENRGSPVGMNALRSHLDRMKHLTFVKRISDFHLLLKVATFLDVKADVPALAACVKTQSRVPEGYQLLIESLASQG >Dexi5B01G0039310.1:cds pep primary_assembly:Fonio_CM05836:5B:38233292:38235038:-1 gene:Dexi5B01G0039310 transcript:Dexi5B01G0039310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRMKTCGAEGVLYAKKDYNLAKHPKLDVPNLEVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRTFLNLPSEVVPNTLKKSSKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGAPGSSME >Dexi1B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:1B:6350384:6351946:1 gene:Dexi1B01G0007670 transcript:Dexi1B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVVVALLLLATSPAFMASASSPVPAAAGGSPSVPAGPLDIVQLGAKGDGKSDATQAILKAWKNACDSTGTQKITIPAGNFLTGALELAGPCKSSIIIRLDGNLLGTGDLNAYKKNWIEIQNVDNLSINGHGTIDGQGPLVWKKNEGITLLNSKFFHMKIFSSQNVLVDKVTIKAPGDSPNTDGIHIGDSTNITITGTTIGVGDDCISIGPGSKMIRVHGVHCGPGHGISVGSLGRYKDEKDVEDVKVTDCTLVGTSNGLRIKSYEDSKSSPKASKFLYEGVTMDNVSYPIIIDQKYCPNNICVKSGASKVAVSDVIFRNIHGTSNTPEAVTLSCANNLPCQGVQLVNVDIKYNKSNNKTMAVCKNVAGKSIGVAKELACL >Dexi5A01G0038450.1:cds pep primary_assembly:Fonio_CM05836:5A:39442016:39442476:-1 gene:Dexi5A01G0038450 transcript:Dexi5A01G0038450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRRSPELPAADPDRARLHQLGYKQELKRALSVVSNFALSFSIISVMMGVTITYNTGLRYGGPVSMTLGWFVVTLFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLAS >Dexi3B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:3B:11921341:11931139:-1 gene:Dexi3B01G0016360 transcript:Dexi3B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVLSLTCAGLGSSQEDEDGAVIGYAKSDHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQTDRNLVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVAVTVIVSLLEDPLDRLERTLFTEEDWKLVQLVLTLFRNVLAIQEITLPQKASGEATHLLFLADSFLELMFQENVMDLILVLTQHIDEPSGYLKQENLLLMEIYHYLFLGRDPGLIARDSSKDSKEQVNGDIDSSVDSLRLMMEEEERKKRMSRQRNSEHNSLSGTFTCFSVDGSKSLCKGNPTSTSANSLLKIRNVQRGPQKRIAWDNELLYIPKEGITEMLRSFLDQFLSGAYNILMQSICDDITNEHHSIEKSDISTFFKVARFVLAFQHEKASNDQKSSNGIQSSEVSPSNGHDDNQPFHGDICGPVGSTLNEDMFNIVISRWRETNESLKETNDYKTLSAAGSLMKTMIDMIYLVLKVLPEDSRESQTARVLLDLVDLLETIHIMLQLMEKLQARGALRECHCIDADTLLNELKKDGGNKNGETGSSKGWRGPINIADSLGDDEADLVIPQEPYDADKDGDLSGDEDGGGFRKSNTTYKRSRLVSLSDSEADENERNDVSRGSLNSEVPKRRGRSIFTEEQERLIRDLYEKYKDDRKCSHLIAEALDPTGKISSAQVSRKLTRLGLRNVIRRKKVADESLSTGDLATEPQHDLLDDLNHMLGEHNHDPKPKSSRTRRKMLHGSSCGHDDTSHGKSSDEETLQALKSRTKNNKPSSVDSLNASQHQEAQRDLDSDDATIGSMIRSGKKKRLSTSVFEGNAQDHQEFSRETNTNDSSPRIPLHEKTLENNYPDDETTESMLRSRKKKRLVMSNFSANIQEGSASLRNSDLHDEIIASNITDASLIHGPEAVDNGGNTAEAELLDDIEVELDNHENDDQGITDDVNITESGGTTNSQANQRAGLKRRHRLVIDNDDDDE >Dexi8B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:8B:7218052:7225885:1 gene:Dexi8B01G0006230 transcript:Dexi8B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPVEEERLTAEGLPRSGSASRLNAQAPEFVPRAAAAAPPPPPPPPQAVVRLFPPPPPAAFFVAGPPPPPPPFEYYAVAAGGGARFGPPAPAAELEVEAEPPARDGSFDDPVHKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVISDDGTRVKRLQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSAVGSVRTIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYDTLEDAARAIVELNDERNWRSGLRTKGGKGRKGGHEADGYGEEENVSTSDQRNDKHLEETPQLSDAAGEHMTEDGTGDMGRGRGRGRGRGGRGRGRGYHQYNNNQQYQNQQQHQNSSQHGNNRSVTHPVGTPPSGYPVKNEQQTQPQPQQPSAANKQPPGPRMPDGSRGFTMGRGKPLSSVPSVVASESEP >Dexi7A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:7A:22680705:22682947:1 gene:Dexi7A01G0012510 transcript:Dexi7A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAGAATTYPFVSSFHRPRRATVLRVRATGSSSASSSWEEREEARWLREEQRWQREEQRWLREESRWRAEREALLAEVAALRLRLRALEVGTLTDTVDAVASPAPLAAVPAPQPRPVLVEEVEVRKEVVVVEEKTAAAAKLEERSGAGAVATSASKSRRTLRAGAEGEDVRAMQEALQKLGFYSGEEDMEYSSFSSGTERAVKTWQATVGTSEDGVMTSALLERLFSGKTGEDLKTNDGTNGAAVPAVTGFAEVRQTVVTENGVSGVGISEHRVFLLGENRWEDPSRLTSKKPASNDTDASTKACMSCRGEGRLMCLECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSILCDVCAGKKVVPN >Dexi8A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:8A:4237150:4237694:1 gene:Dexi8A01G0004800 transcript:Dexi8A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLGLGCFDAARIFSRCRPSTIPLRWTHHHAPSCGSHPEPSDSPLDAAQDPGWVMLNKYGIRADDTFFAEADTVASCPVFRGRHLRVSIGRAPPPASTFIYYNLQYSALGQDGYSSDISAGEDDKNVVDECQEDDEDVDESEEEEEEDDDAEEWGISVVAAQSEPPTLPIWL >Dexi2A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:2A:1324496:1325192:1 gene:Dexi2A01G0001800 transcript:Dexi2A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPELNGDAVAEILLRVPPDEPRDLFRASHVCKPWLRTASDPAFLRRYRAFHPGAPLLGFFYCMGCWNYSCPFVPTTAASPFPRPACGDDDYHHWRVLDCRHGRVLLVKSSGNFVVWDPITGHRQELPELGFESQYSFYSALNSEV >Dexi4B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:4B:10759176:10763102:1 gene:Dexi4B01G0012780 transcript:Dexi4B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVECSLAATLAPRPLAGRTGNRKAPPRVLAGRARLRVRSAKREQPPPPEPAAVRCSPVMEHGGRALGQAAAGLAAAAVVSLTGFAGDVSPLPTPPARAESLTVAFPVAKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYSKISGMLSTLGDPFTRIISPKEYQSFRIGSDGNVQGVGVFINKEPSSGRLGGPADRAGIHEGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGTGNDRGGRIRQKEPQGNYFPIKTDWVFPNHICYLFFPKVQLSREIINLSPLSTTIISHRSDDGHECKTGYVRLTAFSQTAAAEMENAVKRMEDEGVESYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDREGNVLPINMIQGHSLTNDPLVVLVSFLFKHLGLCLFSVLHGYRSTKGVQVQVKSWQGHYMTMDELFWSATGPLVKEKFRQAQLHNTLMLGSFLYKT >Dexi2B01G0030410.1:cds pep primary_assembly:Fonio_CM05836:2B:38689757:38694022:1 gene:Dexi2B01G0030410 transcript:Dexi2B01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHKGGAASAPAANGTGELIGYVDVHVRSARDIQNICIYHKQDVYARVSLPGDGAPVASTQVVNGGGRNPVFDQSLRLGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPEVVAADGGTLAREFPLTTSDLFQIPSGFLQLELSYIGVVPEVVPISPTPKPALADPEEEEPDESNAGAGAGNGKEYEKIEFPDLNLVEENQIMVSEYTGLLPCAAVEAHSSESLVTSEHEDGATTLSHEAGVRLVESFSTDHSTADSVGDTAVSSVSTTESPSSAVAVPATPQSNSSSEPSGNGHSSAEPKEKAAASEAAADAEVDSSSRTVQESPAANSPGAASEATVDKPVISVNIEQEVKVDGNQIMDMYMKSMQQFTDSLAKMKLPSLDLGNGSSEKSSPAAAASEADSSGADSSAVKKPTASGQQEKPSPKVFYGSRAPTAPHLTTAAHHGQDELEQHRTSASPLARSVGQSRRSDRLPPPPRGGEEGPPGALTRGDPVALLRGCFSFGSAGRRRPKASPLPSPPRITASAAAAAADDAAKMKGLFKSKPRTPVDVVRQTRENLVHLDLNSGSRSGDAKREEKVWSNPSLCLLV >Dexi9A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:9A:934995:935554:-1 gene:Dexi9A01G0001780 transcript:Dexi9A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGRVVQGWAALVMGVLAGSIPWYTMMILHKRSRLLKHVDDTLGVIHTHGVAGLLGGLLTGLLADPTLCNLFLPHGGRGAVAPVTTTPNREN >Dexi8B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:8B:23975185:23976649:1 gene:Dexi8B01G0013580 transcript:Dexi8B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSVDAGDSDSNDNADEDDGDLKFARMMLLDGCFLPQFMVSMCPEDPRTHHQGRTLSCRGRRPGVPVDTFLAMMATAFDVGNDVDADVDDQDQPAVHLHGDEQPPPHLLGLFYRRQVGPARTETLRVPKLSSLSSTAVELAEMGVKLTASKTKKFGDMSMVRRQSGGLRLFSELSLAPMVLNEVTACWLVNMAAYEACLGAALPDNFAVSSFISVVAMLMNREEDVQELRSKGIVSSAMSDMGTMEFFKWAVPHLRVGHRYYEVFQGLQEYRQKRWLWIAIHRFFFSNFKTIVAVLSIIA >Dexi6A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:6A:16835336:16839269:1 gene:Dexi6A01G0011590 transcript:Dexi6A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPVSSIGPTQSNPVAHEQMGPGGGDMVPSNGGNDNPNMAARQRLRWTNELHDRFVEAVTQLGGPDNSKTEKKDPGDLLAGLEGSSTMQISEALKLQMEVQKRLHEQLEVMMMPWIPLEFSM >Dexi3A01G0027010.1:cds pep primary_assembly:Fonio_CM05836:3A:23628423:23628638:1 gene:Dexi3A01G0027010 transcript:Dexi3A01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGEKVLQAAAGRSWWEADVEALGPEELPEFARALRRLRDNLRRRAGKLPALAPARQLSNEITLQSDGE >Dexi1A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:1A:14810205:14812045:-1 gene:Dexi1A01G0012910 transcript:Dexi1A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADTIRTAIGVIGNGTALVLFLSPVPTFITIWKKRSVEQYSAIPYVATLLNCMMWVLYGLPVVHPHSMLVITINGTGMAIQLTYVTLFLLYSAGAARRKVFLLLAGEVAFVGAVAAMVLTLAHTHERRSMIVGVLCVLFGTGMYAAPLAVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIKFDLYITVSKLGLFTCPHVQEFHPVQFYLPHVNP >Dexi1B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:1B:22925339:22926562:-1 gene:Dexi1B01G0016420 transcript:Dexi1B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIAPFLPPGFADVPAATWCRHGYVDDDADIGALLRGIDAVVRQPKPSDLPKPSMDFLAQSRRHGDHGANFRAMLIGIHSIRVPPPGLMDAQDATPTTPVAVLEAPRSYGDDDDAAKGDTIATIKTTLPKKKQRDCGAEYDADIDAAFRVMETDPMERPSTDYLSHAQAGAMMMTDRAELIKKMHRFSRHYDLAPGALHPAVSYVDRFLSVKKITGGDRHGKLLLLGATAVFAAAKYEDRATSWRINADDVALYAGTTRSEVLDAERELVAALGYRLSGPTAYTFVDHFMRHHGHGDSHSQTLLIKSLAHHLADMALLDYRCVAFLPSAVAAAAIFLARLVLGCCSTTAPVAGYVPEDVSECMEAIYEMHENLPVWPGCAEMMADFELTTRLTYSMPHYSALTCRK >Dexi7A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:7A:3445135:3446307:-1 gene:Dexi7A01G0001350 transcript:Dexi7A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSNGSAVHQLMEVPKVNLHSRLEPGTPGWDDARALVTASMVELGCITVAHDALSPELRESLFGRAMPELFALPLEAKQKNVFMFGPLSGYVRKFPGTALESIQVAEATDPRGVSDFTQLFWPHGNSEVSDIVLSFAKSMLKLEHTVQRMTLEGLGVHDESIGSFLQILTHCVRLSHYDPPITETSVSLLAHRDTTMMNGVVQHEVEGLEVQTKDGSWLTVPPEPDTVTFVAGDLFTIITNGRVPACIHRVRTPSNRERLSAMLGCWTKGGTVVSALDELVDVDHPLMYHPCRHEEYSMFRYTEGNKFSDPLKAFCGVKKNESVE >Dexi2B01G0025730.1:cds pep primary_assembly:Fonio_CM05836:2B:34952111:34955743:-1 gene:Dexi2B01G0025730 transcript:Dexi2B01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVLQISRVTTRPPNRRRRRRRHRVPLPIAIVAIDASPRASSVPSPLPPSSSPPSTPPLRHCLPRRRRRFPPPRRRRLFPLRRRIPVVVAVTTSHLRWGLTMPLQPRHRPWRPPHRDNLLRTLKRFKEAGGDIAPGIGGGRRVRQEVGRLEVAVDHAVPVQEDERGAHLGGDGHGRSTTSTPATADRRGGASGGGEGAAAGEEEEAWPPAWGGRTAASGAPDTADRRGEEHGVDRAVGSGYRLAQQRTPRSRPSTTDSARWTGETAPHHGAWAWLEQQIHRFLPLDPPPPPPWFRFLADGFRQGFGISRILVENRGALSKRSRNDGEILAELLRSYLFSLAAMRLWLGFG >Dexi3A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:3A:12919288:12923307:1 gene:Dexi3A01G0017030 transcript:Dexi3A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAGAAAPRLLLVLLAAFLGAAPRRAGALRSLGVAGAGAAGGAQGDAAVDLDAGNFTAFLHASPESFAVVEFFAHWCPACRNYKPHYEKVAKIFNGPDAAHPGSIVMARVDCASKVNLDLCNKFSVDHYPFLVWGPPAKFDTPQWKPKQENSELELIDDGRTAERLLKWINKKMGSSFSLDDKKYENESALPKNASDPEQIVRAIYDVEEATGHALQIIMEHKMIKPETRDSLVRFLQILVAHHPSKRCRRGSADLLINFDDHWHTNLSLSSQESSTLLTSVAGDNICGMGVPRGYWMFCRGSKKETRGFSCGLWVLLHSLTVRIGDGEGQSTFTSICDFIHNFFICEECREHFYEMCSSVSAPFKSARDLTLWLWRAHNKVNERLMKEEKDLDTADPSFPKVIWPPKQLCPSCYRLSSRTADGTMQIEWDEDEVFRFLVDYYGKKLVSSYRETYMDSHLQVTKQVGSTSDDSSSSSAATVPIGAALGVAVASCTFGALACFWRTQQKNRKYYHLRSLKKI >DexiUA01G0025850.1:cds pep primary_assembly:Fonio_CM05836:UA:54434000:54435651:-1 gene:DexiUA01G0025850 transcript:DexiUA01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLYLSKTDWDPPFNITGDHLLDGLLTADFSRKSCRSRYEFAGYYNNNSSSHKPSPYLIAKLRKQESLQKRCGPGTAAYKNAVRRLDSGESVVNGDCRYLVYISYRGLGNRMLAIASSFLYAVLTDRVLLIDGGKDAGDLFCEPFPETTWLLPRPGWLSFFFSPLSRLQGYLGGSKESLGNLLQTGAVTMSASGDGNVSWSPASRSPPPYLYIHLSGGYGFHDKLFFCGAQQRLLGEVPWLFMWTDNYFVPGLFLTPPFTGELEAMFPEKGAVFYHLGRYLFHPTNAVWHAVTSYYHSNLAGARRRVGLQVRVFQKKQPPQVVLDQLLSCVRGEKLVPVPETTTAAANGSASSGDAVLVTSLSSWYYERVREEYGGRVAGGVHQPSHEGRQRWRDAAHDMRALSEMYLLSMCDVLVTSGYSTFGYVAQGLAGLRPWVMARAPMWADDWREGLDPSEPPCRRAASAEPCFHSPSAYDCAAGRDVELDKVTPYIRRCVDVKCGIKLVNESSSQWSFV >Dexi6A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:6A:27254851:27255526:1 gene:Dexi6A01G0019630 transcript:Dexi6A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIELESQAVAPETTTTMTMPASAAHVRTSAALRLLAFAASLAAATVVATNSQDRWGITVTFRMFAVWEAFVAINFACAAYELLTAILVRRLVCKQWLHHADVVTVNLQAASTAGAGAVGSVAMWGNEPSGWFAVCRLYRLYCDRGAVSLALAFVSFAALGIAATLSRFPRTPPPAAASRS >Dexi4B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:4B:3824113:3833458:1 gene:Dexi4B01G0005420 transcript:Dexi4B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAKVMLSRSRPDLLGVAAAALCIVALAAAPSRALAAAPKDARATPSGAASSSSAAAPKDAGATPSDGVPAFLKQDTWLDEASAYWRHHFLCQNIDGEVTIPFSTLLVQLKKKLQLQLTDEELDIYASSVIDAEVVLER >Dexi1A01G0030010.1:cds pep primary_assembly:Fonio_CM05836:1A:35376037:35376528:1 gene:Dexi1A01G0030010 transcript:Dexi1A01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATGPGATLLAVIPVPASSLASTFVMASTAALAPYPGRSDATLDDEKVTMRPPPPRATRRAASRQHRKAPRAFTANAASHCSGVVSAMHGYDASCTPAAATTTCRSGPNAASAASNSDATCSGSDTSAATATARRPPGESSELSEATRRSAGDGSPK >Dexi3A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:3A:14106457:14107324:-1 gene:Dexi3A01G0018490 transcript:Dexi3A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRSLDVGERSGYLKGVVTDIVHDPGRGAPLARVTFRHPYRYRQQKELFLAAEGMYTGQSVYCGRRANPSIGNVLPLGTLPEGTVVCNVESRVGDRGALARCSGDYAIVISHNTDNGTTRVKLPSGAKKLLQSNCRAMVGQIAGGGRTEKPLLKAGNAYHKYRAKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGSKTGQVAARRTGRRRGQAAVASSKSMF >Dexi7A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:7A:24583391:24585862:1 gene:Dexi7A01G0014610 transcript:Dexi7A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHTIAATATHAVAHHHHHPCRRSPLPRHCSRRVQGRVVVSVVRAAPGTATTTPVAASATDTPSTAFWDYNLLFRSQRAESPDPVELRVTEGAIPADFPAGTYYLAGPGMFTDDHGSTVHPLDGHGYLRAFRFSGGNGAAAAHYSARYVETAAKREEHEASSSWRFTHRGPFSVLQGGTQVGNVKVMKNVANTSVLRWGGRVLCFWEGGVPYELDPLTLETLGPFDILGLAGGADNEAAARGNGGEVDRSRRPWLLEAGIDVAASLLRPILSGLYHHHCHHHHLLCIGCGVYSMPARRLLAHYKIDPKRNRLLMVACNAEDMLLPRSNFTFYEFDADFTLVQKREFVLPDHLMIHDWTFTDKHYVLLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRESTPVYLLPRSPEAEAGGRDWSVPVEAPSQLWSIHFGNAFEERDARGGTSIRLHMSSCSYQWFHFHRMFGYNWLHKKLDPSFMNIAKGRELLPRLVQVSIDLDKRGACRGCSVRRLSDQWTRPADFPAINPSFANRRNRFVYAGAASGSRRFLPYFPFDSVVKVDVSDGSARLWTAAGRTFVGEPVFVPTGGGREDDGYVVLVEYAVSDHRCHLVVLDARKIGERDAVVVKLEVPKHLTFPMGFHGFWADE >Dexi3A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:3A:781269:782219:-1 gene:Dexi3A01G0001050 transcript:Dexi3A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLICACLLHLLLLATSSGVAAQSQPLSPARILDAMLQDYAYRAFVRPHTGIVYNATLPTNLTGIAVSAVRLRSGSLRRKGLADYFEFAVPTGVVVQPYVERVVLVYHNLGNESDYYYPIPGYTYLAPVLGLLVYDAANLSAVGLQELNVVASGSPISVTFSNVRAVPAGSAAPRCVMFDLNGVPQFRDMEANNLCSTYRQGHISIVVNSSEIAPAPPPHGTISPPIPTEGGHNKKGNSKAWKIAVSVVGAAVALGILAALLLCLVRYKRDKKLEVMERNAAVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >Dexi3B01G0033020.1:cds pep primary_assembly:Fonio_CM05836:3B:35409095:35409912:-1 gene:Dexi3B01G0033020 transcript:Dexi3B01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKASSGEEKEKEKGAWEAVSIVLRIVTVGMSLASAVTTLASTQCAASSSQQPVDDASSSCGTYGSFTYSSVASLVSAVLQGVVIWLEVVGNEKWTKTVELIDALVLALTSTSGPLLFAADDITSCGSPRRPRTRNGRTTTKPENVGDKAAAMGLGALASHGVNVIGTKVMKHKKTSRISFIIRHRWGGFAAPIDEKPASEEEKRPPPPCSPPPCSSCSCPTVATAPCCCENRDPCDAWTS >Dexi5B01G0027590.1:cds pep primary_assembly:Fonio_CM05836:5B:29152359:29152586:1 gene:Dexi5B01G0027590 transcript:Dexi5B01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKTVAVLLAVVLLGLVASAAASRKLEEDAALLGNLAPAPSPAMSAAAGIAGAAPGAWAVAALVSLIAFLAH >Dexi2A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:2A:33531131:33533100:1 gene:Dexi2A01G0021450 transcript:Dexi2A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVPKDLNLPASQPPPPPPPQMSSPGLLRYRSAPSTLLSEVMCGDQADFPVAAPGAAAGHGPDHAAADSVLARFLAGHHHHTEIPDCKPPRPAAAAHHHFMEDAASMAASHQHHRHQQQLMYHQSQQQQQMVAMEEGLYRNVGTEHGAAVGAGNSLLRQSSSPAGFLNHLNMDNGYGSMLRSGMAGGFRNGVVNDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAAGINGGVARGYSGIPGYRMGGGDAWTTTDEPSPTTTTSSGGKRPRDSGGLAPQLSLPSGGANGGVGKTASAEMAAMEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKQVKVLNDGRASCTCSAGKLLQQNQFAS >Dexi9B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:9B:7142014:7144393:1 gene:Dexi9B01G0011220 transcript:Dexi9B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGGIPTNHGTPGHCIFVLHIVKHRPCLFHLPAFHIQVQCRSCHKHTPRDPLLLCRPPHRLAGHQISGTGTRGQDPDSREAVRTHATLKCLPCNANGFLDTPGVHVRGDERGPRNRAGAGHFVERLVGVVHKAALCVQVEEGCEDVRVGVARQLERAAMELHGGVEWVGEAGGGLEREGKGEVGWVGDDGVEAYGVEVQASGRERAEEERGEIGEEVKAEDAAVEELDRERWELFARAYAGEEATDCGGEGIGVLVEVGVGGDRGGGGGEGSEEGEMVGGGAPAAAEAHRPGRAGGAGSGHRTRSLAM >Dexi5B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:5B:2918628:2919070:1 gene:Dexi5B01G0004310 transcript:Dexi5B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRQQQQQSILSFFQKPPRDPDGAGAGTPPEKAPRPPAGSVDSIMERLVRPPPPQGSSNCD >Dexi9B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:9B:10182034:10183131:-1 gene:Dexi9B01G0015080 transcript:Dexi9B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADGVERARPASVQPVPWLPLPAPVQQADGSGVEPPAEATVVVSSEPVLVPPVVHRRDASGEHEQERRQRAQLVDAHPLLELHPLLDPRRVPRPPPPREVEHHHARVEVARAAAGEGRGELRRRPEPRREVGGEVGAAVLRRRHGRLREERRRGEGGDVVGEHDVGVEVDDAADAGREGGGELRGRSSAPRMEVEMSPATRASSANGYTRNASDGNDARTVARSSSAVAVDDGAEDEMKWKKMDSGQEAWRSTESTAAMVPRRYDASSVMATCTAACGEHAASAHDGGRGGGHCAAAEFVKCGASRYLGKAAEDAAAPAAKTSRSSCRAERDILARVCGCGCGCGLVGG >Dexi8A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:8A:25632670:25632939:1 gene:Dexi8A01G0014920 transcript:Dexi8A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRQPGRAPPRRRERNREGEKLRERNRERGREITLLLVRHHHLCLLDPAAPVARSTADRRTDEREEKVMRRLRGAALPSPNPHLTSR >Dexi5B01G0023360.1:cds pep primary_assembly:Fonio_CM05836:5B:25550113:25551033:-1 gene:Dexi5B01G0023360 transcript:Dexi5B01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCFAGAKHPLQMVVYDPSAANKRARQEAEPTTTSPPSSSSAGAASSSSEPPPVVLDVKPINAIPPPPPPRLPRARLPPPLAAAEREAPPCLRSHFLHWLGLRDDVPVHFIADKILTDTDLNPHQNRFRIPRDGVIHRLLPLLTPTEITQANLLNDPPPPRPPRAKKPSNGAAVAEAEGNGGPSGKKVKKPKAKGKVHGGLRVKLVDLMAGAKELLMSRWHSSRGTVVKGEGYLDFIRRCSFREKDAVEIWAFVQRRVRLFGSDLCGDSLLHVLVVKRDQLPQQCRCCPAPAPAVMNPSTDLVMA >Dexi7A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:7A:30150221:30150502:1 gene:Dexi7A01G0021570 transcript:Dexi7A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVLGIIAAAAVTFYTVSFMQLRDKSFEELDDKYSEYDDESGGRQRRTRRRAERARKKRN >Dexi3A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:3A:11822753:11826968:1 gene:Dexi3A01G0015880 transcript:Dexi3A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPFRIPRRSKPHRGHSIPTMVAGRVKAAMGFQRSPATPRPSSSSSARKAPAPAPLQVPGSAAAAAGQPETTPRRRSSGSPAPPSGSGSKAGPFSRYFPRSSAQVQPARTASEPAELVRLVEELQERESRLRTELLEHKILKETVAIVPFLETELAAKSSELGRCRDALSRLQAENARLRAELDAAVATSRSNEQRVLEMEKELAEVRKRRREAAATEPDDCSSSASSENSELSNAASNSAKPPQVAARLSVLPPPAPPPPPPPPMLAPYKSRSYFSGSSRASPANSSSSSSSSAPSTPTYSSDTAASRSRVPELSKLPPIPAPPPPPPPPPPSMPTRGRRSTSSSPSTSSCSSGSRGAGPPAPPPPPPPAARRTSKSSSPATSASTPASAPCVRRVPEVVEFYHSLMRRDSRSRDGGGAGDAGAGGGAAAARDMIGEIENRSAHLLAIKSDVERQGEFIRFLIKEVQGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDLKKIESEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLARVRDGAMSRYRGYQIPWEWMQDTGIVSQIKLQSVKLARKYLRRVSSELEAIQGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQDLKEKASTFQLQRESKNQHLQQQRLAGRS >Dexi4A01G0022820.1:cds pep primary_assembly:Fonio_CM05836:4A:26103828:26105978:1 gene:Dexi4A01G0022820 transcript:Dexi4A01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPHALASTGGERRLPLPLLLPILTLLLLAAATPAAAQPTPRLQAAYAALQSWKRNAIFSDPYNFTSNWVGPNVCAYNGIYCAPHPTDGALAVAGIDLNHADIAGYLPADLPPGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDLSNNRFVGPFPSVLLTLPSLRYLDLRFNDIEGPIPAKLFDLPLDAIILNNNRLTRPIPANLGNSPASVVVLAHNRLGGCIPPSIGRMAATLNEIVLIDNELSGCIPPQVGMLSQLTVFDVSGNHLQGRLPASVAGMSAVQQLNVAGNVLRGPVPAAVCGLGRSLRNFTYEDNFFTSRPACPVVMADGRWNCIPGAPAQRPPAQCAAAGVPFDCSTAQCQLAPPVSPSSPPGGGAIGGGGGSPGTPGSGGSPSLPLPPPGSSTTPPGSSTTPPGGSTTPPGGSTTPSGGYPSPPGGSSSTPPGSSASPPGGGSTTPSGGYPSPPGGSPTTPSMPPSSGPSHGGSPPYSGYQPPSSGWSPSGQPVVPPPTEHPGGVWPPHSPTAPGTPGSPSTPTTPGAPGSPLTPATPGTPGGPGHPGTPGSPSTPTTPGAPGSPSTPTAPGTPGSTTPGAPGSPSTPTTPGYHPPSPGTPSSPPGSWSPPPQGGGGGDHGGGDHGNQHSPPSTPGGGGGGLPFPPVHGVAYSSPPPPPSDPGKLPFPPVHGVAYSSPPPPPLPPVYGVSYASPPPPTTP >Dexi5A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:5A:25041603:25046397:1 gene:Dexi5A01G0021150 transcript:Dexi5A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPAGVLPKVAAQWLFTFHRAARKLRRHAFQLYYRNTTTTKPSPVAASPQQGQHATTTVKSSAAVLELDAAAAAATDGTVVFDMHGALLRSTSLFPYFMLVAFEGGSLLRAMLLLCALPLVWALGGERSVAGIRVMAFVAFAGLSTRDMDLVARAVMPKHYMAQLNAAVYERLWLPSKKKVVVTAAPRVMSEWFLKEYMAADAVVGLELRLVTVGRRRYFTGVLDGPEPSKKALKEAIGAEVTMADVGIVSNSNPVDQQLFVPYCKEVYVVSRDSTKSATLPRDKYPKPLIFHDGRLAFLPTPSAMLAFFLFLPLGVILSVIRISIGIVLPYKINFLAGAIFGWMDPVAFFANPAPAYRVEFLGAVPREWTRAAGERTGVEVANWVQRRLGEALGFECTGLTRRDKYMMLAGNDGVVAK >Dexi5A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:5A:9742809:9743213:-1 gene:Dexi5A01G0013030 transcript:Dexi5A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMMRKVSSVPAIMLLLSVLSCLLIVHGLYGLLELFGPPELSPEATLLIIFALCFLLLILAAAAVGNRRALLPRVLLPTTAEEAAVGGAAEAHHDEQVAGEEEEMRRAGLLQTEDYPGSGANSRHDPRNPH >Dexi9A01G0038610.1:cds pep primary_assembly:Fonio_CM05836:9A:42785040:42785830:-1 gene:Dexi9A01G0038610 transcript:Dexi9A01G0038610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLSSSSPRFTAKLAGVPRSSSFYTQLSFCSRHPFHKAAAAAAAFQKLPPELLLLSSPTRSKHARTSCRATDNDQAAAQETTAPSPASSPAAAPIPNANGSEPPKRTPLTARERLRAARVLGKYAEPSAKKGSSTKSGKPEFGSGVLDALREADAKKAGGGGGGGGRRGSRLPEAPGNLFDDSKRGMPKEGWTFELPFGVDVFLVLVSFTLITTIMFGTAFLVWKLGGIHFNEY >Dexi2B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:2B:21810101:21812943:-1 gene:Dexi2B01G0013380 transcript:Dexi2B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVGSVLVQEGLSKAVSFVLGKREEKASEAVNAERLEMAVSELAFALERTAKLPVTDVSLLHRRKMIRRAYLEGTELLNKHRSSQQPLQVQGQEEIGQGEAKPLMSGIFWKGENS >Dexi3A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:3A:10312435:10312715:1 gene:Dexi3A01G0014130 transcript:Dexi3A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCMTRLGAGSSQQLPWVEPIPDRRSRFWQVDVQPAARIDLICPQPRRASRPPFLMDSLNRPISKPSGPE >DexiUA01G0024590.1:cds pep primary_assembly:Fonio_CM05836:UA:51141010:51143115:-1 gene:DexiUA01G0024590 transcript:DexiUA01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGEDEPTNRSDDVDGASPPCRRRRVWQTRRPPPTVRTSCWLMQRRERARQKTR >Dexi4A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:4A:2989521:2995723:1 gene:Dexi4A01G0004190 transcript:Dexi4A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAARALALLAVASVALLLPLVSAGDEEYRHCEGVVRGWAASSSTGRDKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKFPRERTSVVTILRNPVDRRDARIDKLHSSKKVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRQHPDLGHIVLEVAKNRLDQMLYVGLTEDHEESARLFAHMVGGQVLSQSGTLNLDLKEDLPSENDSHPSMVEPEDEETNEHLDSTHGWQNNEALNSTSDEQANGNMTVGKLMEAYETCIAKLRKSQSNRRKISLKKVEEANFSKEGWIDIICNDGICSPWIVAVLGLGITATIALVSFAVTTRRRTSKLKV >Dexi4A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:4A:9979003:9980479:1 gene:Dexi4A01G0011760 transcript:Dexi4A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALGMATTVVGSALSVASSAAREEMGLLLGAFLRADDGARENTGVLKAYLELIRDMAYDIEDCLEEYMVFIKNKNFVKQLLRLGARHRIALQIRTLKQRVQNLRYNAIKLTPPPPAM >Dexi8A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:8A:24960182:24960434:-1 gene:Dexi8A01G0014470 transcript:Dexi8A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIANLIGGNEFKLFRVDFQQSKWVEVTTIGDNQVLFLRRRCSRFIPVSLEEMPGDLSMT >Dexi5B01G0026440.1:cds pep primary_assembly:Fonio_CM05836:5B:28223811:28225180:1 gene:Dexi5B01G0026440 transcript:Dexi5B01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIDATDVATKLGVPVYTFVPTGASPLAVLTQLPALFASRQTGLKELGDTPLEFLGVPPMPASHLIRELLAHPEDETCKASVSIFERGMDTRGVLVNTFESLESRAVQALRDPLCVPGKVPPPIYCVGPLVGNDAGKGAKAERHECLSWLDAQPERSVVFLCFGSMGTSSDEQLKEMAVGLDKSGQRFLWVVRMPANIGDPMRILENQCEPDLDALLPEGFLERTKGRGLVVKSWAPQVEVLNHPATGAFVTHCGWNSILEGVMAGVPMLCWPLYAEQKMNKVFMTQDMGIGMEIEGYMTGFIKADEVEAKVRLVIESKEGRELKARVAARKKEAEAALEAGGSR >Dexi2A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:2A:6221465:6222952:-1 gene:Dexi2A01G0006630 transcript:Dexi2A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKQEPSPLHVVVFPWLAFGHIIPYLELSEHLAKRGHFVTFISAPRNLAKLRPILTELKPRIRLLPLSLPAVDGLPAGAESTADVPPEKVELLKIAFDGLAAPFAAFLASACSGEDATTGEEGHGHAKKPDWIVLDFAHHWLPAIAEEHEVPCAVFFIFPAACVAFIGPKELNDAHPRSSPADFAVPPPWIPSSHSCIAFRGHEAEWIAGALQPNASGISDIGRIWETAKLCPLIMCRCSHEVDGPLVPLLAELYRKPVLPSGLLSPYAAAARAASATGAGDDDDDDEAASLMRWLDAQPERSVLYVAFGSEAPLTPEHVAALAHGLELAVAGGVRFVWALRKPIGEETPPLPDGFEGRVAGQGVVRVGWVPQVRVLAHAAVGGFMTHAGMSSLMESFLFGHPLVMLPLFADQGLTARLMAERRVGLEVPWRDGGGGGELAGEDVARTVRRVMVGEEREEFARNARELKEVLWDTARQERYVDELVEHLRRRR >Dexi5B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:5B:5489456:5491390:1 gene:Dexi5B01G0008200 transcript:Dexi5B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLNTEETAHFGMNLVDDHCDSSSDGLAGWAPSGSCTLSAHTDDPSPDTLPPPPAATAVDSDEEAIQRARRRLQPSGRYVLASHRKSDKDGLCRAIPRLPKPKVTYRVAGWVGVSGGVEVEDSDSHVVHVEVRVDGHRHVGGGVVVVEPGNNKWGEIKGSFRVDDDDEPPRSAMVYVHGPPAGVDLKVMDLQVSAVNKIPRLRHLRKKADKRDVVLKLSSKAEEDGGVSSVAGAHIQVIQVQNSFPIGSCITKAGLQDPNYVDFFTKHFDWAVLENELKWYYTEPAQGHVSYADADELIDFCDRHGKPVRGHCIFWAVENAVQQWVRALNPTQLRAAVEARLRGLVSRYSGRFPHYEVNNEMLHGSFFRDRLGDADVDAHMFRETAAIDSSPALFVNDYNVESGNDPNATPEKYVALVTDLQRRGAAVGGIGVQGHVTHPVGDVICDALDKLAVTGLPIWITELDVSAADEAVRADDLEIVMREAFAHPAVEGVMLWGFMQGHMWRSHGQLVDADGKVTEAGSRLVDLRREWTSHARGTVDANGKFKFRGFHGTYQVLLTTAAGEVRKKAFDVNKGDAPLVLDMDF >Dexi9A01G0037200.1:cds pep primary_assembly:Fonio_CM05836:9A:41528382:41528739:-1 gene:Dexi9A01G0037200 transcript:Dexi9A01G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNLRRRLHHGDVDGRKNEHVDISSADALNEPLLGSSHDNGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLGNAFI >Dexi9B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:9B:99105:103357:-1 gene:Dexi9B01G0000060 transcript:Dexi9B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPVAAYPGSMACCCLQLRRLRRPAPAPPPARVLLLLSPPRLRPVRASPGSPSFAGWSSDDGDADQSPLGFGPAGETAATESYPDYDSCKENSVTHDAAQPSSPTDCQDDKDSLNKGDDTDVLPTPLESSNMPWGADESEMEPSLQNPELVTNGDHIVSEQVHQFDYPIASDDGSQSLVSPPPLPISDEYTQDDFASPTKLDGADGIPDLETTSDSDPKMDLPENQHLDDTSISYAVVLESEGVVPIQEYPEDKGIKQNPEIQNNRDEAYLSILPAYTEEHLSADGMLPPGSNDLPIGSSEPVDGEESLANDPYERESELENRNKSFKLIPAGQSFSSVGIPAPLLVSTASHVSAGQIVVPASVDPTQENAVAALQILKVIEPGVQAGDLCTRRENTSSRVYPAMYIDNVTGLAFDDVTPEDPDFPFIQGLAEAGLISSKLSRSDMNTPEDVQDDHNLFYPESPVSRQDLVSWKMALDKRQLPEVDRNCLLKASGYIDIDRINAAAWPALVADLGAGDQSITALAFGFTRLFQPDKPVTKGQAALAISTGDSSEMVLEELSRVEAEKIAEAAVNAHGALVAQVEKDLSARFERDLEKEREKIETLEKLAEEARMELDRLRAEREEEKNILLRGRAAVESEMDVLSKLRTEVEEQLQSVLSKKVEISFEKNRIEKLQEKIENDNLAVVQLQYELEVERKALSLARAWAEEEAKKAREHARALEEARNQWERQGIKVVVEGGLEDDASAGVTWANAGKEHPVNEAIGRAESLLEKLKSMSAEMKVRSHDALERVMEPVRSFIASLKQQAGDAREWFSEFGTAAALKASKASAEVQGSVCAFGSAIGEKASKASAEVQGSVCAFGSAIGDKSKRVMEDCKEGLEKFSHRFKTD >DexiUA01G0008130.1:cds pep primary_assembly:Fonio_CM05836:UA:15301683:15303892:1 gene:DexiUA01G0008130 transcript:DexiUA01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSNGQRGEVYEINGDQVAVIFDLPEDKVADGNKDEANKEQNAKPAVYWVDTQDIVHDHDTKAEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPRSNHREFIEKLEEMFDQLTGPLVLICGQNIVEETAAASKDNEPKTLLFHNLSRLSPLSSLKRLVEGIKGRKPSRSIDITKLFKNRLFIPLPKDDEQLRVFNNQIEEDKKIIISRHNLIELHKD >Dexi6A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:6A:10155001:10158451:-1 gene:Dexi6A01G0009130 transcript:Dexi6A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPSIHRHGRGRLLLLAMVASLPAIVASQTSNQFTKINCLPAAASSSPAPSPSPSSSSSNSTSTFWSNVLALLDALPSAASPTGFASLSRGNGTDRAFVRGLCRGDTTPAHCATYLQNAALEIRQSSCNTSRRAGIWYDDGSGGLDPAPMFAFVSYADTNASTANEDTFRAPSQNAFVVSDQETFEGIYSALMSNLTTRVVNGSSDTSSPAPMFATGAAVYDPGAPNGTMYGLMQCMRDRTQAECQQCLNTSVQTLIDCCNGHRGGLVLAYNCYMRMEVYPYYDLALDGPPLLAPAPSIFVGENRALGDSTNGLANAGKHGVDVTLAVTLPVGTLLGAVVVFSVFLYMRKINGKETPPDDKSMIDDDMAYIEPKKLNLVGTLEDGEEIAVKRLSQDSSQGFQELKNELVLAAKLKHRNLVQLLGVCLEEEKLVIYEYMPNRSLDTFLSDPVRRQLLDWNERFAIICGIARGILYLHEESRLKVIHRDLKPSNVLLDVDMNPKISDFGIARAFGGDQTRDITRRPVGTLGYMSPEYAYWGHVSTKSDMFSFGVIVLEMVTGRRNNSAYSDTSDSVSVLSHVWDMWRAGSTTDVVDPLLAESGYSESEVINCVEIGLLCVQENPADRPDASTVVLMLSSPTTTSDDRRAPSRPAFVFSSGFTETDRSGAKTSDGAPLISDEQSSTTTVSKNEVSISELEPR >Dexi5B01G0035430.1:cds pep primary_assembly:Fonio_CM05836:5B:35412480:35418278:-1 gene:Dexi5B01G0035430 transcript:Dexi5B01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRRLDERRRCWAWHPVGGGEASGETGRAEEDKVGDSIIFPDVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGSSSHIGLDLEEELLLNKDHSIHLESDDGIIIQARPAVPATDMDIDDNQSKDETAERFSNMDDGPSSQGKLSPLNADDLGGSSIPNWTGYNVQTPDLNDMLLHNEGIAGPSASYYQPSPFPCDNEPASPEFVSAQAPATPGLMGETVPSRVHESPVLSPQRKASPSSNDETAKADTPAAPGSDFLHSATPIPNDVGAEATEPVQVESSVIVQDDASMQQHTTGDLPSYGQTPNLEGASDKVISANDVGASVETVTINATIEDVPLDVNGSEVCVNGSTEPSVMENPEQINGPSVDAQDFQHEVPVRPEVASNDRPDELTSGVAEPEKMLSAPDAEFDHTYDLGQITAEKGTTESDGSNKIGSLTSRKRHLEDSLPALESETTERLSTRPRGKRTTDFVPDDDDLLASILVGRRTPGLTLGSTPLPPRASSLKRPRLGSKASTLKRKVQIDDAMVLHADTIRQQLINTEDIRRIRKKAPCTRSEIWMIEKGSLEDDIFHEPTFSCLSEELNNLHNRTYETIVHPTVQNMELQGQLDMSETIAEDSNIVGTSGAAATHDPLHIPDYSDVVLPNANDVDDATAAFGQLPPDNQVNGVANDFVADTVLQVVTEPLTDNEKEVAVADKEHAQCDTLDNDYLQDVPSDMQRSTDAKGSGPDVVLDSSGQTNAKAADGMTQEFNHFGHSDANVFENNEVPTSEITGVEYNQGASGFLPTEDGNAVSAMGDNSGFQENNMDSLMDMDMVNDYGLKECNDFGSAIHGVDTDFLNYDDDGDFDDANNDEPNPDEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLVRGKTRKEASRMFFETLVLTTKDYISVDQPNPFDFVSIKPGPKLLKSEF >Dexi5A01G0022410.1:cds pep primary_assembly:Fonio_CM05836:5A:26505175:26507419:-1 gene:Dexi5A01G0022410 transcript:Dexi5A01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRGVAAMSPTKARCRRHRGACQRAPPAASTADPATSSPSRAEASLRPRPQAGVETTEGLRDLISGHLLRAVLRPPGPPADRHGSPDLVGNRTLDLASVPYQFLASLLDLITAAPPTASHRMQGCLAVTEARKRARARFGHERMRMGR >Dexi5B01G0024170.1:cds pep primary_assembly:Fonio_CM05836:5B:26281371:26283136:1 gene:Dexi5B01G0024170 transcript:Dexi5B01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFHHGSEFLNSDEALQISGKLADILLWKDRNLSAGVLIAATLIWYLFEVVEYNIIPLLCQIAILAMLVIFIWSNAAPLLDIPPPRIPEVIISEHAFRQIAQTIHYKLAYTVDALYDIACGKDLKKFLLVIVSLLISSEVGSSYSFTSLLYLGFLCAHTLPALYERYETEVDHLAARGSEDIKRFYRRLDSNLLNKIPRGPVKTKLT >Dexi9A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:9A:2693481:2694248:1 gene:Dexi9A01G0004900 transcript:Dexi9A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLASLLAEERWLFPAFFVMYAAIYCVGQLVVFRRWAPRQRLDGASCLISLFHGTPAALAAAGVILALPPEYRSFAAPNARLQDHVLDYSVAYFTMDLLHYLAFLPGDVLFIAHHLATLFVFLTCRYIVRHGAYALLVLLVLAEVTSLLQNVWTLTGIWRDQSPAAARVYRVLSTPFYVLYTLVRGVAGPLFLLKMAVFYLSGQAIDVIPWWVRISWIVVVGTAIAVSNLWIWNLWKELFSERNQAVEKAKKDT >Dexi4A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:4A:9750599:9774033:1 gene:Dexi4A01G0011570 transcript:Dexi4A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPRFLVSPRWPLADAGRYPPLARSADVATSRRPRLRLGRQHGYLFRCDSSSSSSAPRDRPPRPRQQRQRSQRPGGRGDAVDPVGFLAKLGVTDRAFAQFLRDRHKAFKDRKWELCSRFIDMKEASSGFELLGMHRHRQHRIDFMEWAPDAEGKQSYAVHWEPPPEKIYKWRFGRPKVKDSLRIYECHVGISGSEHKVSSFQEFTSKVLPHIKSAGYNAVQVIGVVEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLLVLLDVVHSYASADELVGLSHFDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLNWWVTEYRIDGFQFHSLSSMLYTHNGFSTFTGSMEEYCNQFVDKDALIYLILANEMLHDLHPDIITIAEDATFYPGLCEPTTQGGLGFDYCINLSVPEMWLWHLENVPEREWSMNKSISGRKSFAEIILNSGKLSVGSVNDDLIRASLLKISVVERYVVTAKAHAIKNCRHFPRVFQIIKLITFTTSGGAYLNFMGNEFAHPNRVEFPMSSNDYSFLLANRQWDLLDKGLHKHLFDFDKVCNMDELFSLSKFRICCRNNFDSSDVMSLDETERIISRGSPNIHHCDDTSMVICFTRGPFLFVFNFNPEKSHQSYHVGVDEAGEYQLILNTDETKYGGCGELKSSQYMRRTVDKRVDGCRNSLELALPLRSAQVYKLVRILRI >Dexi1A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:1A:18403499:18404815:-1 gene:Dexi1A01G0013490 transcript:Dexi1A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPNAKPDRQTTAALAAAAALNPALVRETLKKVGEGALLRSISAEGGDSICGGGSDAQVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRVYLRTSLRCKQETVRQELPIPNPMTMRGGASAQKRSPNGKFGGMGGGGESTQWRRMSLPAMLLGETVLEIVQASQFARDIAAVAGSNREPPKTPKPAPSARKLMAAEQTPLRARRAREKQSHRGGAAARGADAATPPSRGRVRSRIQFKPPSPVALGWPSVSANRVSPKNRPWAKKAVMFPNPTFHATTSAAADACAMPSPSKKQKRSYKMRSPVAARQTPHKFLVKTPPSTLGSKLRSHGKALPERPVAVSPPPKAQASPAKARRCSFSPSRLATRLVSPIKARLSLGRSPHSGVGAGGGPISGLKQRPGVSMTVRTVSTKISSR >Dexi2B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:2B:16027923:16028198:-1 gene:Dexi2B01G0012300 transcript:Dexi2B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWMSMEEVVVDEAAPVPMRIRPWRKLLLTKQLAAAADEGACADGERPAVLGICLGKMCRHRCVRDSRSARGRIAIPRIQKGGERLGVPF >Dexi9A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:9A:7364355:7373658:1 gene:Dexi9A01G0011760 transcript:Dexi9A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAANLWVLLGLGIAGVLLATRRLRRPARADHGAFIARLELLPPPQPPPPQAPHPLTGLCFAIADALHVSGYITSFGSLEWAKTHDADAQTSPVVSTLVDGGAICVGKTVIDEMAYSIHGENKHFDTPTNPAAPDRVPGGCSSGSAVAVAGGMVDFALGIDSIGGVRIPGAYCGVLAFRPSHAVVSSTGVIPVAPSLDTIGWFARDPSVLRRVGHLLLRLPYADIRPPRHFYIADDCFELSKIPARRLTQVVTKSVEKLFGRQVSRVNLDKYLASKIPSLRNYSNGHANGDSKSPSLLTLCNAMRLLHKCEFKDQHMEWINSAKPAVDTRIVNNLSEDGDSDLDVCQVARNETRLALGALLKDDGILVIPTALGCPPKLNAKELSSESYNSQTLCLSSLASMSGCCQVSIPLGTHDKCPISVSFIARHGGDRFLLDTIQTMYATIQEQVEILAKSNVSSKQAMNEEAAEAAKEKGNAAFKEKQWQKAVNFYTEAIKLNGKIATYYSNRAAAFLELTNYRQAEADCTSAIELDPKSVKAYLRRGTAREMMGYYKEAVEDFNHALVLEPMNKTAGVAINRLKKLFQ >Dexi1B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:1B:26779898:26780999:1 gene:Dexi1B01G0020730 transcript:Dexi1B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSDEWNGYKRLPSEQKYSEDASHIHHGLNVEPTREELNNLSKACTRLWELDLNRLTPGKDYTIECGEGKKVYHKGDKASENLFSWLEESVLRRPTYSRFCALLDNYNPHQGYKESVTQQDKNEEAAFIEEISRSAPIKYLHRYLVLKEITSQDYEDFKKMLTSLWFDLCGRGGCSSSSSAFEHVFVGEIKGQRQEIMMVLLTNMQFYLEESNGNVDYQGYIFPRKRGELPDSETQLLTIQFEWHGVLKSVSSTLVGVSPEFEIALYTLCFFAGGEDNHVDIGPYSVNIKCYRLGDNKIGSAFPIAEN >Dexi4B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:4B:2026795:2027560:-1 gene:Dexi4B01G0003030 transcript:Dexi4B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVTRESIEEHLARAKKCSHEAAFAGAKAAAIATVASGIPTVVALARQHSYENAPEDIKKTYQQQEEEDTGRRPYPSFFRP >Dexi9B01G0047250.1:cds pep primary_assembly:Fonio_CM05836:9B:46431847:46434705:1 gene:Dexi9B01G0047250 transcript:Dexi9B01G0047250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAISHHHHRVLLLHFPSPIQTLSPLATSSPRLRHQLPKPIILSLSPRPAFSSAFAVAAVDDDEDVVIGDCLVFDDEAFEEPDLDLPSSPSPPRASRYGRRAEASGGESLVPERWREAEEEINLTKKDKRRISHGLRFGSRLERRAPPAVSAPDEFRAYRNGMLQAEREHVASVYRGPQEQTLPPEEVEEPLPPEPGTRVTPKNPRMGMDVGSLDDIADFFSSREYVTDEMEDSKSSKNRQKLFSSEEKVLLNKRVPDLDAASSSKWLPLHTLAASGDFYLLDSLLKHSVDINALDKDGLPAIHKAILSKKAAIINYLLRNSANPFIQDKDGATLMHYAVQTACSQTIKTLLLYNVDIDRPDDYGWTPLHLAVRTQRTDIVKLLLIKGADRTLKTQDGLTPLDLCLRLGHHVRTYELLKLLKSFRGQKQHGSVYREGV >Dexi8A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:8A:23185521:23188121:-1 gene:Dexi8A01G0013170 transcript:Dexi8A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSRGIRIMDRVEEEERKRSTTTPWTFLAVDGEEDSSSSSAAAMARARARAPAPRECDVYVGHGRDARRLAAWLRAELEMLGIPCVASNRRQCGDAPAHAAATGAMDGALVGVVLVTPASLSNPYAVEEVCHFLDRGALVPVFAGGVRRSDLAAGADVAVERRGGELWEKNGGRLWMAYDGEEQQWRQAVEGLAGSEPAVEVRGGDLRDRVLDVVELVGARLGRRAVGPTVRAWRAEAELKIPFPWNEGFVGREKELLDVDAMLRGGAAHHRALLDNDKASGKRPMYLDVVNNGAFLDGVVCITGSSGAGKTELALEFVHRHCHQYKKVLWVHGEARYLRQSFLKLADHLGIAVGDTIFFQSKARSTTTTTTRNLHDIEGDAIAKIKKELTRDIPYLLVIDNLESETDWWDRRAITELLPRGCKRTRIIITTKLAGGVHGVRTLSLGSLDASNAMRLMKGARTFGMEDTAILRDIQKTVGDVTLGFALVGGVLAELPVVGPGELRRAMRHAPHRSPVWETKDDAALRDNPGLVQLLDACFALLRREEEGSPAARGRLAAAAERVVEASSYFAPVPVSSAMLVDAAAAAAGAVTSSSSWKKRWFKRTMRLSCASPLPTITGGRAEHQAALAMLLRLGIARRSTHHGCVSVHGVFRLFARKVGGGSGARAAVDTIASGHGAVAAENTDFHKVAACLSLFFKFESSAMAVKLPEPELARFVTGAVIPLAARYVVGHSAYGAALEILREATDAVFAAEERYTTTDDGGSSRRRRGVAGDGGGHVERLDPKVYRELARARAELLVMRARVMMRAGERDIAEDHCVSAVSILEVVNGDWHPDTVAILAPAMNGSESITVV >Dexi9A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:9A:522722:523099:-1 gene:Dexi9A01G0001040 transcript:Dexi9A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGRVVILLAAAAVVLCVLLQAPAAESAVFTVGDRGGWSFSSGTWTTGKRFKAGDVLVFKYDSSAHDVAAVSAAGYKACSAPRGAKVYKSGNDRVTLARGTNYFICSIPGHCQAGMKIAVTAA >Dexi9A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:9A:3989447:3989749:-1 gene:Dexi9A01G0007010 transcript:Dexi9A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWRWWCAQGARLFGWPAWLADFGGPRGRRFAWVDELTTGNAGAGRKGEENPAAMQMRRAVDGGGPRAAVAAGVAKWWSLTGTPGVIASLYHLEAPGH >Dexi7A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:7A:21168835:21172046:-1 gene:Dexi7A01G0010390 transcript:Dexi7A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFAHAHPSWALALAALGLLLTARAAARLALWLYAAFLRPPRPLRRRYGAWAVVTGATDGIGRALAFRLAASGLGLVLVGRSPDKLAAVSADLKERHPVAQVLTFVLDFAADGLAAKVDALAEFVRGMDVGVLVNNAGACYPYARYFHEVDEALVRNLIRLNVEAVTRVTHAVLPGMVDRGRGAVVNIGSGASAILPSDPLYTVYAATKACLYVEYRSKGIDVQCQVPMLVATKMASIKKPSFFVPSPETYACAAVRYIGYESRCTPYWTHAMVGLLISLVPEPIADRMFLNRNIEIRTKGHAKDARKKAQ >Dexi8A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:8A:21060073:21060341:-1 gene:Dexi8A01G0012060 transcript:Dexi8A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREHFIADHQWPSTKFRYGSCFHTNIKEGVCVISSEDDEQLFLLKVVSEPFGSVISVYCVWPRDTDRNS >Dexi1B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:1B:5235929:5236279:1 gene:Dexi1B01G0006340 transcript:Dexi1B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDADAVAADIICSLRGADLAGWTPPWCKPERRREGELIWPVVTRGKRSRRRSPSAAAGKMGRWGRGSPASPLDYSGASGSAASTSGGEDGYGGGGFCSAAHGRAPATKVRD >Dexi2B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:2B:17275107:17276116:1 gene:Dexi2B01G0012390 transcript:Dexi2B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQNPLGGDDADDFYFGYDAGYHRSGGAGKNAKKDKEKEKSFLSCLPCFVPCSPGAVDPLAHRRLLSSDSSDSDNAAAMDINADLARLRARYSRLAAGPPVRPRDVPGLVARPDDPALAVSALSWLGGDLRPSCMLLALLPALFPSLPSHARHALSVAARRLSAREAALDGEVAEYQSTYAMKLACEKTKDGVAETAAEEMCKMARAARRADKLRWRAVEAAVKEVLAQAQAREFLKAVEDVGGKVARHGTRWHARAGTLTVPVEAFERVRANASAATDDAW >Dexi9A01G0021870.1:cds pep primary_assembly:Fonio_CM05836:9A:16843671:16857872:-1 gene:Dexi9A01G0021870 transcript:Dexi9A01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRGGRGQPVVAVPLIHRVQPDASGGRGRGGGNSVYSARGLASRRGGRGKGLARGDFYNLEEDEEEDENEVRDLGSSRVNSDDDSSDDGHEDEPPYENDVYASDNGHEDEPPYENDEYLSDGGDSSDDERKSSIEDETVKMARNNLKRDHKFIMQTMLGVRIVGVGWMISSVLPRSSSSSSPIVFLVLFVLMFLFVHLLIFVFYFVSCAHHGCRIDAQQLAVDGFSGLLLRSRSLSLAKPPDPDAIAQRRAAARPHGTAHAQPSRPPASSHHATTPPLRPVPPSLPLPNISPAGRAHFTAREPQEASGFYCRLERPRRQCARAPNLAGATAPPVDRRARAPRLQRLEGRISASVLWSQSKGDVEDKIDAMCSWKEEVEREDADVRKSQSGMTMKHGYANGIEDPDDARRGSSTCRNESRRKFVSGSGSGQAYSDNEDDYNNFIRELELTSVVEDYPKLRELIQRKDEIVANSASAPMYYKCDLKEHVLSPDFFGTKFDVILVDPPWEEYVHRAPVSIAEFLFLWELVRFLWSCHVIIGYLIQQAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPGLRHDSNTLFQRSKEHCLMGIKGTVRRSTDGQIIHANIDTDMIIAEEPTDGI >Dexi9A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:9A:3440630:3443983:1 gene:Dexi9A01G0006100 transcript:Dexi9A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGPLSFFLMPNEPCGRTLIPRQAPSPSSSWLVRHAGPSSSLSARPVALSSSRAPLLPFCADESHHPSPPLPLIHVFLSIDPGAAVPLPSAPKPGATTLVPSARARHDSPPRWPLSSAGTRRPHKLAAPPPIGCPALAGGAGAVGIVAPGAAPSKSRTTMQSFAKPRALEPLRPLAVHLPSMSYVDVKMRWKKDASFDDVPVLSHARDLRPLVSLARLLSQSPTPVSAVSKLRNSLETPDRRVTSFLRRFPAAFVESVGPEHNLPWFRLSDAAVHLLREEREVFAAGRADVTGRLRRLVLMCPRRRIPLRVAQGMLWHLGIPDDYFKDPDHAIAQDGFRTLTSGDGVTCQDDDGRELGLIGDGKGQEMPLSVLQLNAMRKFGSVAKVPVPLFQSKGLRLKRKIQDWLEGFQRLPYVSPYEDFSHINPGSDVSDKRAVGVLHELLSLFVTCSAERRRLLCLRKHLGLPQKFHLVFERHPHVFYLLLKEKTCYVVLKEAYMAGGDTAIEEHPMLKVRKKYIELMEQSREIIKCRRNRKPIELQSMVSCSGDIEDCTEILS >Dexi1A01G0032090.1:cds pep primary_assembly:Fonio_CM05836:1A:36818032:36824251:-1 gene:Dexi1A01G0032090 transcript:Dexi1A01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILKSARESGSLNLSNRSLRSNISSRAAPTPTDSDTFELDPIQSQRLSSSRSDRWRAFIGFGGIAYGHFGSCVGNVVAGVAGLCFHFDISTSFFSTSPFREIPKEVYNNLDTGSQDEKWWEGVDLQKLILAHNNLEVLREDLRNLTSLVVLNISHNKISSLPAAIGDLPLLKSLDISFNQINALPEEIGLATALVKVDFSNNCLNELPSSLAKCPDLLELKASNNNISRIPDTLAGCSKISKLDLEGNKVVTISENMFLSWTMLSELNLAKNLLTTIPDSIGALPKLIRLDMHQNKITAIPPSIKGCSSLAEFYMGNNLLSSIPADIGTLSKLGILDLHSNQLKEYPVGACNLKLSFLDLSNNSLSGLPAELGKMTTLRKLLLTGNPMRTLRSSLVSGPTTTLLKYLRSRLSSDEEVSGFGSTSTPTKDDQIATARRLSLSSKELDLSGLGVISVPAAAWETSDVVKLDLSKNSIEDLPNELSLCSSLQCLVLSNNKMKRWPYAVISSLPSLSSLKLDNNPLAEISSTDLVSVSKLEVLDLSGNASALPEPSAVSVLPQLQELYLRRMKLNEFPNGLLGLKLLRILDLSQNNLVTVPEGIKNFTALIELDLSDNSITALPAELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAE >DexiUA01G0017330.1:cds pep primary_assembly:Fonio_CM05836:UA:36671403:36674016:1 gene:DexiUA01G0017330 transcript:DexiUA01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRSSGRKRSVRDRLGSGGGSRSRSDDAKRFRRDDGTWMRELYKDSGGTQTSSGPSSRNLQSSKHSQVEQRIEVVKKSSVPDLREKLSGVPSQRPQLSSTVQVPKPVRETVNSDRPVKKRDPPPTVAPPVIKKVSAPAPVPVPPAAVPAPPAPQQSQEKVDMKAMAYMSEEDLKALGIPMGPRKKILSALAHKKRKSSKSLPTS >Dexi8B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:8B:5263359:5273153:1 gene:Dexi8B01G0005230 transcript:Dexi8B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASLSTPSTSLLPTRTSAPRLLRRRSSNPLPRAAPCPRPKKPPPPPLSCAAAAAPTHGPAPATSTKAAGSWKELCSLNAWVVRDYRRLVDSVGALEPALRRLSDEQLKAKTAEFRTRLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRSSYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPRPFHFAVVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTVELKGNNIDLTEDGVAHAEIILGTDDLWDENDPWARFVTNALKAKVFYLRDVQYIVRDGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFELGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKGLTKIQIGPSSLGLLAKAAIMAKYVHKSERNEWSFGKAKSTIAESIEMGQTIGMEKLQERLAEEFEMYPLSDAIGLAYLSVLRDCEIHCSAEGTEVKTLGGLHVVGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEIFQKFNLDTEWAVRLISRITNDEDIAIESNVVVNQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADEIVLQNIDLQKPPKTWNLAKLLDEFVSLGGKLLSESFEDIKEENLQSAFQQMHGCGSVKADSFALPNMPVPPDSFRGIRKKTSSIMRWFAICVDDTSKKGRYTNTANLLRKYFGDVLIATYLNAVEESRYDDAYISGIEREVLLKTLDALWKDHLVNMNKLSSAVNVWSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLHYWSSPMESDEIFNTGDQ >Dexi3B01G0026530.1:cds pep primary_assembly:Fonio_CM05836:3B:21725335:21726213:1 gene:Dexi3B01G0026530 transcript:Dexi3B01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNTTTSAAAKLLRRKSSVSTGQRHTSSSSGNTTVAVKDVVKDPASGDVKADDVEAASAEKPAAVDGKKENDIVVVSLEKPAATAIAGEKKEDGDVVVVKKDVAVETTPEAVQAKKLEEEELPESTMAEEAAAEKAEEEKVEKVEESKSELEKAASPAPTKEDGESSAGKQNTTEPVEAKPVDEQKAEEAVAVVTEPSAEEKTNADDGQTATTTASETPAN >Dexi7A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:7A:22312107:22324116:-1 gene:Dexi7A01G0011960 transcript:Dexi7A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLVSSLVAATPRWLPLADSILRRHRPHRSPLPMLLFDRGTWSKPRKVSRGISMASRKSNKQGEYCDEGMLSHILWWKEKMERCRKPSSVQLTQRLVYSNILGLDTALRNGSLKDGTLNMEILQFKSKFPREVLLCRVGDFYEAIGFDACILVEHAGLNPFGGLRSDSIPKAGCPVVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGTSRWGEFGEGGLLWGECNGKSFEWFDGSPTEELLCKVREIYGLDEKTVFRNVTVSLEGRPQPLYLGTATQIGVVPTEGIPSLLKMVLPSNCGGLPSMYVRDLLLNPPSFDVAAAIQEACRLMGSITCSIPEFTCMPAAKLVKLLESKEMNECSFISQRIAGVISLGVESDQAITSFEYMPKEFFNDMESSWKGRVKRIHAEEEFANVDRAAEALSTAVIEDFMPIISRVKSVMSSNGGSKGEICYAKEHEAVWFKGKRFTPNVWANTPGEQQIKQLKPATDSKGRKVGEEWFTTVKVENALNRYHEACDNAKNKVLELLRGLSSELQAKINILVFCSTLLVIAKALFGHEGFLEESSSEMELVGLFPYWLDVNQGNAILNDVHMHSLFVLTGPNGGGKSSMLRSVCAAALLGICGLMVPSASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRALVSRATARSLVLIDEICRGTETAKGTCIAGSIIERLDTVGCLGIISTHLHGIFDLPLSLSNTEFKAMGTEVIDGCINPTWRLMDGICRESLAFQTARREGMPDLIIRRSEELYLTMSANNKQTTSMVHNEPSHVFTTVNGLVDKPDSIRNRLEVPSAFEPLRREVESTVTMICKKKLLDLYNKRNITELVDVVCVAVGAREQPPPSTVGRSSIYVIIRSDSKLYVGQTDDLVGRLHAHRSKEGMQDATILYVVVPGKSVACQLETLLINQLPLKGFKLINKADGKHRNFGISRISGEAIAAH >Dexi9A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:9A:12169617:12170998:-1 gene:Dexi9A01G0017150 transcript:Dexi9A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRLGGTAAAVRRHHRRQPGRAGVVVTCRSTSTILRTAAPAAAAPAAVEQQSKEMGLPTWAEFELGRAPVYWKTSNGLPPSPGEGLTLFYNPAASKLSPNDVFGVAFNGGFNQPIMCGGEPRQMTLQIRGSADPPIYTIRIRVPQHAMSLIFSFTNGTDWDGPYTLQFKVPKQWQNKPPSFFNEGLADELNREGACDRAIYPDENIAITSCALAGYYEEGGDRCKLDIVTGCMDPNSDMYDPMAVVDDGSCPLESDSEE >Dexi9B01G0034320.1:cds pep primary_assembly:Fonio_CM05836:9B:36300658:36301128:1 gene:Dexi9B01G0034320 transcript:Dexi9B01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRVGVAALYFVLVMLSGQRHVAGFCGFFGECYPGCREGHPGWFCTAKCVENCAVGPREVPVAAFGSGDCSKICLSSICGTAEASDGPPDASSAAACVDDCTENWKLYESKHT >Dexi2B01G0033610.1:cds pep primary_assembly:Fonio_CM05836:2B:41127120:41130629:1 gene:Dexi2B01G0033610 transcript:Dexi2B01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRRKQGELLGSGPLRPANIIRNKFPTFKNGSNGIIIKLADSPEVPTLKESVAKETTDLLDKHQRLSVRELTMKFEKGFNTATLLSNEVWIVAVHCSVKWRHAALLERDILLKNLKSVLESLRSRVASKNRDEIEESLSMVDILAVQLSKREDELLQQKAEVTKIAASLKLASEDAKRIVDEERANARLEIENAKGAAQRVHLALKEQENVSQGSGKQDVDELKEEVQEARRVKMLHCPSKAMDIGNEIQVLRDQLAEKSSDSVHLLKKLELHRRFEENDMPLYELEGLETLGAIKPFYAPEPHDVGRYIQAEIKFGGQISNAKTAGPVDPAAGLADYVEALMRNPETEYNVVVLQVNGVTQPADSLHVLCIGRLRMRLAKGTSVVAKEFYSSSMQLCGVRGGGDAAPQAVFWRPRKELSFVLAFETTRERNSALMLARRFAMDCNIILAGPGDKTPW >Dexi4B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:4B:15537937:15538239:-1 gene:Dexi4B01G0014510 transcript:Dexi4B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAAPDEGLPPPPAPRLHRSRCRASSALQGPSCPCARALSPFLPSSFPPPRAGAKRAAAPLRHVPLGVDHGAERAAASPCHAPQRSCRSESSTATRS >Dexi1A01G0027170.1:cds pep primary_assembly:Fonio_CM05836:1A:33026421:33027919:-1 gene:Dexi1A01G0027170 transcript:Dexi1A01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKQGGDDGAAPRCPPHPGFLRGLCIVCGVKEEDTEGGAPELAIGDDGEMKVVERGEDEAATAAAAAARCPPHPGFVLGLCFLCGAKEEDTEGGAPELTIEDELEMTMMEQGVDEEAAAARCPPHPGFRALRPVRGEGGRRRGGEHLRTRCWVHPRGSCATGFGDDEVHHPGRRHPAGEPPAREELTLILDLDHTLLNSTALDDFSPAEVRNGFSPTTVDDLGRGLFRLDGHGIRMLTKLRPFAQGFLEQASAMFEMHVYTLGDQAYARAVVSPLDPYAVYFGERIVSKAESTEPFVKSLDVIPGAEVVAVVILDDSDLAWPGHQDNLILMDRYHYFASTCRNYGYDISSMAEQNCDEREHDGSLAVALQVLRRVHQRFFDSVVDGYFPDVRQVIAEVRREVLRGCTVAFSWLSYREDFAAKGTPMWTLAVQLGAVCKVDVDETVTHVVAEHPGTEKAQWARDNDKFLVNWEWIQAAKFRWCRQKEQDFPVLLGD >Dexi5B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:5B:7782242:7783629:-1 gene:Dexi5B01G0011010 transcript:Dexi5B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARLALVVAAAAAAMVVMSGVAAWGSPSSPPCMYIFGDSLVDNGNNNNMLSLARANYQPYGIDFHEGPPGRFTNGRTMVDFLSDMLGLRPPLVPPYAAARPSDLPRGLNFASGASGILPETGNNLGGHYPLSEQVDNFRDAVSDMGNTSAFRGNATKVTEHLGRCIFYVGMGSNDYLNNYFMPDYYDTAERYTPRDYASLLLQGYAAQLAELYALGARKFVVAGVGQIGCIPYELARMNNDNQPDTPSSVANEDISISINLGGGNGGRSTSDTGANPTAGATGGYCNETINGAIAIYNKGLLAMVKRFNNNNNNGRGQQQQQMRGARFVFLDTVQSGRDVAANAAAHGFTVLDRGCCGVGRNNGQITCLPLQRPCDDRSKYMFWDAFHPTEAANRIYAAKAFGSNNTAEVYPINVSQLAAI >Dexi1A01G0031290.1:cds pep primary_assembly:Fonio_CM05836:1A:36324192:36324605:-1 gene:Dexi1A01G0031290 transcript:Dexi1A01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGMGASGCLDYRNSLPFVTMDYSSGRLEGPCPLRMKKKLASFYRSRGSISEHGHLPRH >Dexi9B01G0021060.1:cds pep primary_assembly:Fonio_CM05836:9B:15739008:15742403:-1 gene:Dexi9B01G0021060 transcript:Dexi9B01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGPAASAYKGQSWSREKEEYLSSQGLRNATYAINATELQEDIFGPLVPCSFQEIVGASSNQPARNHFGIHNGVAYQTGIQSVDLNHMVGVLHFDGASKGNPGKAGAGAVLMTEDGRVISRLCEGLGVVTNNVAEYRGLILGLKYAIRHGFKRIKVHGDSQLVCNQVNGIWQTKNQNMMELCNEVRRLKQNFISFEINHVRREWNAAADRQANVGITLASGAVSEERGDI >Dexi5A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:5A:1930576:1932597:-1 gene:Dexi5A01G0002740 transcript:Dexi5A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRCSAQLIHLHSTKHHHSTVHSFHTPQQEEQIRAAAMEARTLLASFVLAALAAQAFVGVVEARATPMEKTSQGEDVKKPDCVPGVDPHTFPGIGGGITPVPSHGGSTGTSPSHGGGGYLPTPAHGGSSGVPALPSPSHGGGGYGGGSPSHGSSPSSGGGAYGGSSPPSTPGGGAYGSPSPSGGAYGGSSPTPTFGDSSPSHDGTTTTTSSPSPKLPPLTDDAHVTMVNFFSLTTTMQSLTRGAGLLILSHPMEVLSALGGQLPSSMSSSMSHFFGGAAGSLGGGGVSIQDALANTRTDGAGALLREGAAALLNSMTRAGSFPYTTEQVRDAFAAASGSDGAAAAQAAAFKKANEAGKAVSSIRPYYVRVKEDVAEGRLAAKKFPR >Dexi2B01G0029080.1:cds pep primary_assembly:Fonio_CM05836:2B:37605852:37607822:-1 gene:Dexi2B01G0029080 transcript:Dexi2B01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNAGAGKDYPGKLTMFVLLACIVAATGGLIFGYDIGISGKPSIPLLLFSLLLLTMFTSSLYLAALVASFFAATVTRVAGRKWSMFGGGVTFLVGAALNGAAKDVVMLIVGRVLLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIHGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKKMLKRVRGTEDVDEEYNDLVAASEESKLVAHPWRNILERRYRPQLVMAIAIPMFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGAQMLACQIVVGSLIGAKFGFSGVAEIPKGYAAIVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMLCTFIIAQAFLPMLCRFKFILFFFFGAWVVVMTIFVALFLPETKNVPIEEMVLVWRSHWYWRRFIRDEDVHVGADLEMANGNGKLAGMH >Dexi2A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:2A:13938286:13941832:-1 gene:Dexi2A01G0011980 transcript:Dexi2A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDDDAVLSDVDEDPLPPPSTAPSAAAAHKTLPPQAQPQPDAQAQQRLLDLAAELEEERRLRRAAEGSLAESENRLARLKAFAQDVLRKRDELTAEAAASARSLAALQADSARSLAALQAESATSARSLAALQAEASTASSMLSSGFERISAKASPSSAPAPLPTSQKYSSGLPALAYGVLKRANDIVDDLLAQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSAECESLSKSLSQREAEISELRDEIASLEGKLDAQRPVLAEQISCASKLYDEMREVVKLVDADAASALSDSVFVWKETDVEESLKVSLEGTKLVYELAAMAVEKVGACIDDKERKLTGLEDKVDELIKEKEHIGVLLRSALQATTSEVLKVAEDGLREAGIEIGLDERKEHRPGSVEKDEVYTLAGALENTMKESQVKIIELQHLVEALRAESGLLRTRLEGQEKEIAQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGRSIEQEFQIQISSLRKELDEAKQAMVELENKLKFKEETAAAAMAARDAAEKSLKLADMRSSRLRERLEELNRQLEESDNRTDSVNRNGHRYMCWPWQWLGLNYVRLPPAETDQTSNEMELSEPLII >Dexi7A01G0022040.1:cds pep primary_assembly:Fonio_CM05836:7A:30462220:30463282:-1 gene:Dexi7A01G0022040 transcript:Dexi7A01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTALEALEKLEKSVDTLIVIPNDKLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARMVEAKEKAASLSNKAAGAVVQPAPASTWSRRLFT >Dexi1A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:1A:5571563:5574595:-1 gene:Dexi1A01G0007240 transcript:Dexi1A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSQEPALFAMSIRENILFGKEDATEEEVIAAAKAANAHNFISELPQGYDTQVGERGVQMSGGQKQRIAIARAVLKSPKILLLDEATSALDTNSEHVVQEALDLASTGRTTIVIAHRLSTIRNANMIAVVQSGEVKELGSNDELIANETGLYSSLVRLQQTKETREADEVDGIGRTSAMGQSRSHNMSRRFSAVSRSSLQRSMDDAGDHDNTKNPKLPIPSFRRLLMLNAPEWKQALMGSFGSILFGGIQPVHAYTMGSMYSIYFVKDHEEIKKNTRTYALIFVSLAVVSFLLNIGQHYNFGAMGEYLTKRIREQMLEKILTFEIGWFDRDENSSGAICSQLAKGANIVRSLVGDRMSLVVQTVSAVLIACIMGLAIAWRLALVMIAVQPLIIVSYYARHFLLKSMSKKSMQAQSECSKLASEAVSNLRTITAFSSQDRIMRLFEQAQDSPRKEGIRQSWFAGLCLGTSMSLLRCTWALAFWYGGMLMADHKITAKALFQTFMILVSTGRVIADAGTMTNDIAKGADAVASVFAVLDRKTKIEPNNTEGYEPEKIIGEVDIKEVEFSYPSRPDVLIFRGFSLNIQPGKSTALVGQSGSGKSTIIGLIERFYDPHRGVVEIDGRDMKRYNLRAIRRHIGLVSQEPTLFAGTIRENIVYGTETASEEEIETAARYANAHDFISNLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQDCDLIAVLEKGIVVEKGTHESLMAKGPSGTYFGLVGLQQGGNQHLDIA >Dexi5A01G0037850.1:cds pep primary_assembly:Fonio_CM05836:5A:39040721:39041747:1 gene:Dexi5A01G0037850 transcript:Dexi5A01G0037850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGKHFILVHGLCHGAWCWYKVATQLRAAGHRVTALDLAAAGAHPARLDEVRSFEEYSRPLLDAVAGAGDGEGLVLVGHSHGGLSLTLAMERFPRKVATAVFVAAAMPCVGKHMGVTTEEDLTLAKLLVRPGNQFLNDPVMKDESLLTAGNYGSVRKVFVVAKADASSTEEMQRWMVDMSPGTEVEEIAGADHAVMNSKPGELCDILLRIANN >Dexi6A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:6A:19898052:19904875:1 gene:Dexi6A01G0012990 transcript:Dexi6A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRGLPGPLPRYAKPQPAANTRHRKEAEEARKRKAAYAKPAGRQGRPLQRSRRCLPAMGDAGRRTLLLVNLASIMERADEALLPAVYREVGEALRATPAALGALTLCRSAVQAACYPLAAYAAVRYDRARVVAVGAFLWAAATFLVAVSDSFAQVAVARGMNGIGLALVTPAIQSLVADYTDDSTRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHIVALISIIVGILPHFQNVGRGTGRGKQLFRKPAWSEMKDLVVEAKAVIKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHTGTGILMITFAVASSLGGVLGGNIGDYLARRSPNSGRIILSQISSASAVPLAALLLLGLPYDPSTSFLRGVVIFIVGLSISWNAPATNNPIFAEIVPERSRTSIYALDRSFESVLAAFAPPVVGYLAEHAYGYNPVTSGVGTTSIARDRANAAALAKALYAAIAIPMLLCCFIYSLLYRTYPRDRERARMDTLISSELRRIELERSQGRGEYYQGEGVAVIDIEYQKELLDADYDEKTMMLHKIEQSTPPPPPRSSKRLRPPPVAAAEEMARGSDDAAAAARRRWTLVLVNLASVLEKADEVLLPAVYKEVGAALGASPTALGSLTLCRALVQTACYPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGTYLQMAISRGLNGIGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNMGSILGGSFGVLLAPIAFLGIPGWRLAFHAVAFVSAALGALMWLFAADPRAKPKGAGAAASAAEEASELLGEARRVLSVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGLIGDPVSRRFPNTGRIALAQISSASALPLGAVMLLALPNDPSTGVAHAVVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEHVFGYKPVASDTSVDTDRENAAALAKAVYTEIAVPMAICCVTYTFLYCTYPRDRERARKELLMASGDQLGEETTDSEASATRTREDEESSVSSLNQRLISRGE >Dexi1B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:1B:26042707:26045820:-1 gene:Dexi1B01G0019820 transcript:Dexi1B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPAEDDRGGSKPAGPNVVASLTYRSLAAPVSKPVDKFPLLPAFLKVSIAYSAPIKVDIEYTVSNHPNVKTEKNFVIGYMPIMLRSDNCILNGKDEAELARYGECPLDPGGYFIVKGTEKA >Dexi9B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:9B:15335224:15338136:-1 gene:Dexi9B01G0020630 transcript:Dexi9B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETAPAAVAATTPEVAATEGGAAVEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEEFWSLYDQIFRPSKLAGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFETMWLETLMALIGEQFDETEDICGIVASVRQRGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRREKPSRGGRYTV >Dexi6A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:6A:23699040:23703548:1 gene:Dexi6A01G0015810 transcript:Dexi6A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSGTLGASSVAALRPCAGRRAQPAASSVAPRGSGALRCSRGVRWEAERGRGRLVRVRCDAAVAEKPTEEEAAGEEFEYQAEFLQLSILCHELMVYGCYLSGVLHSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGVGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGSDNGLIGQFGVGFYSAFLVAEKPDDKYEFADPSRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEETKEGEEATEGEKQKKKKTITEKYWDWELANETKPIWMRNPKEIEKTEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKEDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFYSSKNEADAISLDQYVENMPESQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEEEENKETKQEFTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLNAACKNEPESTEAKRAIELLYEAALISSGYTPESPAELGGKIYEMMAIALGGRWGRSDTGEAEATGEASLEADSSEGTVTEVIEPSEVRPESDPWRD >Dexi1B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:1B:4155464:4157034:1 gene:Dexi1B01G0005070 transcript:Dexi1B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSSPAGFVRVNPRSDRSHGLASGAPTRLPRPAASPWRSARPSPRAPTSPRATPRTPRSSSALALLFTAPYAHAAADASTASLPSFSAPAARRFAVDHGLAVRAVGVRVADAEEAFRASVAAGAHPAFQPVELGLGFRLAEVELYGDVVLRYVSYPHDDATDKPFLPGFEDVINPEAGALNYGLSRIDHVVGIVPKLDPVAPYMAGFTGFHEFAEFTAEDVMTSTAAESALNTVVLANNTENVLLVLSEPVHGTNQRRDQKIHVIQAYLDHHGGPGVQHVALASDDMLRTLREMRARSAMGGFEFLAPPPPNYYEGVRRRAGDVLSEAQINECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEIIQRIGCMEKDETGQDYQKGACGGFGKGNVTELFKCLEEYGKSLEPRQAAALEP >Dexi1B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:1B:302954:303886:-1 gene:Dexi1B01G0000240 transcript:Dexi1B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSALLEEGAEDDRRRIISHNHIVSLTSSTYGILTYTAAPTGSPGPPPPPQAAAAEAPTTPTSAPPPPPPPPPAAKSHPQPQPDEVINSWELMAGLLDPSTPARPPRPPSCSRGRRIRSPLRPIDGNALRQRQPSAVLYTTSLRGVRSTFEACNAVRAALQAHGVAFRERDVSMDRGFRDELRGLLRPYYGGDCAPLASGKAPSLPRLFVRGRHVGGADEVLRLDEQGLLAPLLEGLPRARGGAHCCCDGCGGMGFLPCFDCSGSRKVAVPVPGHQGAACRGRRTAMVVVRCGECNENGLVLCPICS >Dexi1B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:1B:21064414:21065625:1 gene:Dexi1B01G0014690 transcript:Dexi1B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGKPAASSKPNPFDSGSDSESQPARKPSGSSYQAPADAKRRYKDGFRDTGGLENQSVQELEHYAAYKAEETTDALAGCLRIAEDIKKDASDTLVTLHKQGEQISRTHEKAVEIDQDLTKSESLLGSLGGFFSKPWKPKKTRQIKGPAMVSRDDSFKKKASRIEQRDKLGLSPRGKNSNRHYGEADNAMDKVQIEKKKQDDALDDLSGVLGQLKGMAVDMGSELDRQNEALDTLQDDVDELNSRVKGANQRARKLAAK >Dexi2B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:2B:27706155:27707395:-1 gene:Dexi2B01G0017310 transcript:Dexi2B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWPDASTNNNPIPFESIRQTLFNSYDSKTVFVGMVEGADNDWYDSIAHDAQMMEQMEDPGINAIASPLQLPRSQAPDQEPTAKEPNLKLSISKIWGLHPSELDPDGPGPSTRAQSRVAPLADDEVAKFDCGICLETLPIFDLFHGMSCTHKFCVVCMEKYIKGRTRAGEVPIPCPDPSCMEEGNDTILNPEDCKKSIDFDVFCAWTNLLTENAIPPNQRVYCPNRECGIMLEMVESTCTNKTPSKVPCPVCNHLMCASCRMDWSSDGSGQHDCTEGPDAELMKQLAAQHQWKKCPRCRYYVERTTGCDVMTCRRGV >Dexi4B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:4B:22854879:22859986:1 gene:Dexi4B01G0020760 transcript:Dexi4B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLEVRRFAAARAGELRSLHAAISARLDDGSGRSRQQPRSARRRTTGHLPSKRRRRGSGEDAAGAGETGSSGEGISSARKNSRRVRRRRELAGNPAEGFSVAGDGARRLRTHLWHAKRFSMERQWGFVLPVGAQGRGRGSRSVLKRLKNGTIVHDASYFIPIELDGPEESLLAILRMVLRPSPADKTPDLSHLQDQVMRGVCYENAMIQDSGAVVRCCSLEGKIARLEVMGCKAMQSLKKMLHPIKASKFNMVPDTSHNSTSTDTPPDSSTAPHLLEASIIDHAEILHPGAILSMVVHDPREISVQGTVSSSKLVSLDKKNEDLEEDVVPNADEAPSEVGNMLSSMWIRPGKHDIILSDCTELWDSSQSINPPVAEEVLCMEKQRKRIKFFCLDSGNDQVQTMQEKDRFSRFCPVVLLKHAKKGMPSLGWSIILPLSWVKPFWLFLVSHGAHVIGLRERRWIATKFRMPCFPFDYPDSKAYASYMSKEAAVLNKAVECRPVAKRPPTVPLPPLWHCIMGSVLKDDGILGDLEVDDSMLISFDAWNRSEEEEEECVEKWELQLPQSYVSSYFSWFDPSTSNLQLPKDNAARDAFRWPIGFVTTGFVHGSNGQDAVAVAFCEATLLAILRRQQWVHENLHGREICVLVRNPRSAAYRRALVTIVLEHQESDLKFL >Dexi7A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:7A:29996336:30002969:1 gene:Dexi7A01G0021380 transcript:Dexi7A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEAAREWAASVIPPELAAAAGGDPLAGLVATVAALIAGLLIVAVWFRSGSGAPAKPAPTPVRPAPVKVDTDADVDDGRKRVTIFFGTQTGTAEGFAKSMVEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETIVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYGVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDKSDLSFQDRSWTLANGTGIIDIQHPCRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENSIETVEEAEKLLDLSPDTVFSIHADAEDGSPRKGGGSLAPPFPSPCTAALLALASHASDPAEAERLRFLASPAGKVDEYSQWITASQRSLIEVMAAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKGGHLQLIRLLCRMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPVEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGTSILFFGCRNRSMDYIYEDELQNFLQEGALSELIVAFSREGPTKEYVQHKMVEKVRYTMQCFLSGSLDSSKTESFVKSLQMDGRYLRDVW >Dexi5A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:5A:22013908:22015972:-1 gene:Dexi5A01G0018490 transcript:Dexi5A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEENVEFPSCGEYFEAIQSKKKLPLSLQESLTAAFAQIPVSAFPEVPTGRVIEIPGDTSVLEAVRILAEHNIRAAPVLNPEPGAPADWQGRYLGVIDYSAVILWVLENAELASFALSAGSATAAGLGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLSAADHLGEDFYKILLEQEPFKSTTVRSIVESYRWSPFVPITLNSSMLTVLLLLSKYRLRNVPVIDLDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSIDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVIEFMKTLGSTLPDSGNNCLVRPPPTCAPDTSLGSVIDSIASRITHRIYVVDDDLEVVGVVTLRDVISCFIHEPPGYCDSLASAMEKVEDKGTVENS >Dexi3A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:3A:5017040:5018510:1 gene:Dexi3A01G0007360 transcript:Dexi3A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIRLIVGIIGSAICIVLYAVPILTFKRKFVILMVSLVLGIFCVAVFVSSFIIHTHHMRKVFVGSIGIVTAMSMYSSPLVAVKQVMRTRSVEFMPFYLSLFSFLTSLIWMVYGILGRDPYITSPNAVGCFTGILQLVVYCIYNRCKEPPGKLRDSEQANDMDVVTSREEANGFRH >Dexi3B01G0032620.1:cds pep primary_assembly:Fonio_CM05836:3B:35047981:35049486:1 gene:Dexi3B01G0032620 transcript:Dexi3B01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLNFDSPSKIVGLNYSDDHVLHSLSLRFSVTPNPFGAGTGVKDTDDARRLISAVDAALALRSGTDMDDLEINFVYSSPKNRYIDMNSGGFYLFRHGHAADITSAHLAAWLRFAARHVTRRFTLAVPVPPHHATMKKKTATSAAAPPLPPERKLYAEMPSTARSETMSLTLGNATLAVPVAGAFHALADLHLSHARIVPTSSDEVNLSRLLSAATCPALRRLRLEHVAGLAAMRLRPAAAGLEELRLDHVRDMAFLEVDAPGLRSLHVADCYRMASDDAPATISAPGLETMACAEMCHPDRLRFDAATVRRLERIFLWSHGRPGVYSNAGAVWLLQHCAAATSLGVRISPPVHL >Dexi7A01G0022830.1:cds pep primary_assembly:Fonio_CM05836:7A:30970683:30972579:1 gene:Dexi7A01G0022830 transcript:Dexi7A01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVGKLGRIQPPRCRQVATTSPADPGRLRPPRGMDRLDANPANSCPLTPLGFLERAAVVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRGDIKLTRTAVDRIEIVGAGPAMYEMHFGVPMSGAVLNTINTRLDARTVSVLLRHSGSKLVFVDPSCLPLIRDALKQLPPGHPPPRVIPVEDPHEKEFPAAPPGTLTYETLLETKGDPEFAWVRPASEWDPMVLNYTSGTTSAPKGVVHCHRGIFLITLDWLVEWSMPQRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVNAGAVYATIASRGVTHLCCAPVVLNMLANAPEGVRRPLPGKVRVLTAGAPPPAAVLQRAETIGFEISHGYGLTETAGLAVSCTWKKDEWDTLPAPERARLKARQGVRTPGMADLDVIDGETGRSVPRDGSTMGEIVLRGGYVMLGYLNDGEATAAAIRDDGWFYTGDVGVMHPDGYVEIRDRSKDVIINAGENISSVEVESVLYGHPAVNEAAVVARPDELRGETPCAFVSLKEDAPGTVTAADVMSWCRERLPQYMVPRTVVFRAELPKTSTGKIQKYVLRNLARDMDSARKGDE >Dexi1A01G0028790.1:cds pep primary_assembly:Fonio_CM05836:1A:34381656:34383025:-1 gene:Dexi1A01G0028790 transcript:Dexi1A01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLISTPRGVGAPAPSPRTALLALRLGAAQATRFSGLVVSSQPVERRAAALVAMAKREQELEEIRGMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >Dexi5B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:5B:22248035:22248574:-1 gene:Dexi5B01G0019980 transcript:Dexi5B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFEWTAAKFFWYLFFAYFTLLYFTFYGMMAVGITPNSNIATVVSAAFYGIWNLFSGFIIPRPKVPIWWRWYCWACPMAWTLYGLVASQFGDITTLMDDIPGMTVKAYIEDRFDFKHSWLGGVAAAVVGFTVLFAGLFGFAIMKLNFQKR >Dexi6A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:6A:8040173:8040760:-1 gene:Dexi6A01G0007990 transcript:Dexi6A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVPPSDLLEHFGKLLLAEEGSDVTFSVGGENFPAQKIVLATQSPVFEAQLYGQMKESMACRVTVEDIQPEVFKALLQFIYTDSLPEWDDLDAEEYCEISNLLAAADRYAMDRLKLLCVSNLVDYLDTQNVATTLALADQHNCDRLKDVCIEFMGSSDEMDAVVKTEGYANLKRTCPSILVDVLEKNIRKHRT >Dexi3B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:3B:7130832:7131593:1 gene:Dexi3B01G0010220 transcript:Dexi3B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSAMAEWKWEWDCEWDSEEEARRALAVAEQRFVAGDVEGARHHARRALDLSPALPGAEQALAAYDVHAAAAPAMARPGCWYAALGLPHPPTTARLSDDVTHDAIKRQHRRLCLLVHPDKNRSAAAGGAFKLVQDAYEALKAIHPPPPPRDEERVKFWQAAAQDDVAPPRPPVARKEPPIARPAPRPAAAERKEPPIVRPAAAPRWAPPPPGPRPAAAPRWAPPPPGPPPQQPLCRCRHPCACAFYRPGWWR >Dexi5B01G0032830.1:cds pep primary_assembly:Fonio_CM05836:5B:33390584:33392168:1 gene:Dexi5B01G0032830 transcript:Dexi5B01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRGEQLDFRKLQGEEKCGGKNRRKCGDTNGITSSATPSYLDPKVNDSAATNNHRTSAPSLSTAVW >Dexi8A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:8A:4447786:4453237:1 gene:Dexi8A01G0005060 transcript:Dexi8A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSTSQPPNPASASSPASSSSGVWAKLVPSDPAFPEVEVAEDDAVVCSLVAPAAAGEEVAWCEIRRNAGAPSSATIRNLSSDAIIVDGTIVKQEAVDIKPGSEIVSGPQKEGHLVYTFEITAAKDQDKNNVKAILQAFSSLQRSDEEIALLESYASVKSNIVSNEFGGFRCSPGAVHLQCDGCGGMMPARSNVSIAQKCLGCDKSFCGAYWCSQGVNSSHCSLICNQETFKMISQRHTSRLPDTVHGGNPYEKDITERCIQQSGKTLQAVISEWTSKFDNMEIDRSRLQLNNLDTITSRTYICNLCYNKFIDFLLYWYRVSMPRNLLPPDAVNRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >Dexi6A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:6A:25641868:25643097:1 gene:Dexi6A01G0017780 transcript:Dexi6A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKGAAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDETD >Dexi4A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:4A:9089673:9101973:-1 gene:Dexi4A01G0011240 transcript:Dexi4A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDGDDPGCTFSHCICRWRTPLCSSPSTPRVTPGRNYTFNEDDDDDGPTCSLLAGVVSLFDGGSSLRLHRFRATCSGRVLGRSGDALDVFADDVDYYKPNNTWPLIRAAAATRSHPNGRSLSLCLFSREVDLADMSRVEAPRPVELNINLVVSDDDDDVAKITVSPLPRLPLGLLMPTMPITAAGDLWAPYLTEIDGPSRLVMQRFDRDAGRWVEVADLHLPQGRKRANWRDKSSGFQGFAVVGRTTILLSLYPRNLFFTFDCSALAWAAVVTDETRWTHYVPIEDRSVYVEEMTPSTSSAAAPTGCCCAAKTNRSPTMLGCVFPFPSKEGTAFMAHLGGRIMCAVWIGDEKLQCSCDDKHVLITTFRVVGRHDDGEPLADRFVPNRIQVLHSTCRRLDLWPSKANGTRSHFKFSILHSDPVGNGGLLVQAAEPSRVESESPWGGSSPVPPGPSAVIALGGWQRSEAQARKASLAESVLAAISELMSAAAVDVEQDNFMDVDNHLLHTATPDAMELQKAQKSPTNRLHVMEYLAANVDLAKDLIARCSAIAQRLMDDDLLGITEDLDNVIKNISSELNRVPASTFASSRFAEPAVSGHLQLVRNRHDLYDQRSRDGYSEGDMSMAVSIERPRRRTLHNSDMPRLVDFLQGMYQESHEFGSQSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRRAIEDYFDKFTDGSEPVICPVTKMAMQSKTLRSNFPLKSTIAEWITRNEATRVRIARTALSMATTEAMVLEAIHELKVLAKLRRKNRDQMHKIGITKFLARLLDNKDALIRCDSLDLLCLLVEDDAGKEIIAKTRAVSRTIKLLSSSSTDERHAAISFLVELSKSELLLENIGSTAGSILILTTMKFNGSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELIQKQEITINIAGSASEILIKMVGSGNTAIRKAALDVLVQISSHHPNAKTLVDAGAVPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPEAIVVNKEGHVLTSKYSVYNFAHMLKCTMPDTLNLSIVRVLLALSVLPKPRATVVSVMKEQDSSQTVIELISSLSESLGISATRLLIALSPHMGHTIAEKLCKTPGQPGKLIKSIGMHGRITERHAVSATLLAKLPYQHIALNLALLNRGAVVTVLAKIDEMLRGETRASRHAKAYMEGLVGVLVRLTTTMYDPDVLLAAMDHNLTSVLTDLLVRSSGSDEVQRLATVGLENLSSQSPNLSQPPSEERRPKKKNILQRLREAHASRVHDNNNRRPLPAHGRVCPVHRGVCSPSTTFCLVEAGAVEPLLCVLESNENGRAVEAALGALCTLMDDAVDVTSGVAVLAEHDAPRHVLRVLRQHREDGRGGGRGHDGEGDGGTLTRRCFWAVERFLAHGGERCVREVTSDRALPSLLVGAFHKGDAATKQVAESVLRCLHRMPDYSATYESVEL >Dexi3B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:3B:5938137:5941510:-1 gene:Dexi3B01G0008470 transcript:Dexi3B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRFVEVVAVAEILQTQMAERAGMLKEEVAEMIASSAYSGLCERLRLIDTLERLCLDHLFEEQINTALSQIETADVSDCDLGTVALWFCLLRKHRYRVSPAVFLRFKDEEGGFLADNPADLLSLYNAAHMRTHGEAILDEAILITRRRLEITLSNMEESLLAREIKSALEIPLPRRVRIYESKYYISAYEKEATVHKTVLKLAKLNSNVMQLHHQHELKIITSRIILTMIIAIVTLLDDIYDSYATPEECELLTKCIESWDAKAAHDLPECLKFALGKILDSFRTIENMLHQEEKYRMSYLRYFIEDLVRSFNMEVKMLQDGYVPESVEEHLQVSIRTGGCPILSCASFVGMNDIATKDSFDWVSTVPNMVKALSRILRLLDDLQSYEREQLIPHVASTIDSYMKEHNVSIEVACEKIHALKEESWNDFNTEWLNPDNTYPKQLLERIFNLTRTMEFMYNQGDNSTNCPNLKDIIHLLFVESFAKAI >Dexi8B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:8B:25760928:25762646:-1 gene:Dexi8B01G0014970 transcript:Dexi8B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGERWSLAGTMALVTGGSKGIGHAIVEELAGFGARVHTCARNVEELEESRRLWAEKGLEVTVSVNNAAQVIVKPAVECTGDDYSQLMATNLESCFHLSQLVHPLLRNASIAGGGSIINISSTASYIGLPGFVVYSITKGGMNQLTRSLASEWAHDKIRVNCVAPGVITTDMSKGVLNPEELEQEYLRIPLRRSGKPEEVASVVTFL >Dexi5A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:5A:7125028:7125588:1 gene:Dexi5A01G0009510 transcript:Dexi5A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLEPEANHTAAFHAGGSPATSTLILISIAAAAVVAAVVVSLCTSGKNAGHRNKHRRSSSLAPAPQEQDDNNNNTGKRNNKAQLLASLSGIGVKAAAVAKMVSWKRSPAAGGWSGGDGEEGEGAAGVDEEDEALWKKTIMLGDKCRPLEFSGHIAFDSDGNPVQPPSPAAVKEDVAPGAANQA >Dexi5A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:5A:6324235:6324624:-1 gene:Dexi5A01G0008480 transcript:Dexi5A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCDIEDYRIPSGTRVIINAWALARDPSHWDRAEEFMPERFMEGGSAFSMDYRGNDFVYLPFGTGRRICPGISFAVSTIESMLANLMYHFNWVLPPESKNKGIDMSELFGVVVRRREKLLLVPVQPQE >Dexi9B01G0022670.1:cds pep primary_assembly:Fonio_CM05836:9B:17461616:17462887:1 gene:Dexi9B01G0022670 transcript:Dexi9B01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCSFPTAVAGVDTRRQPYPSRAHSAPYVTASLHAGILARCGRWGPSRSASAIVAGAATGYHVLKIAGYSRTKEALPNGQRIDSSHFLVGGRTWFVKYFPNGSAAEDADFISLFLSLHDKVAEAVKAQFTVSLLDQDGKPVPFYSRATEFVNFSEKPGGWGYPRFMERESLEKSKYICGDCFTVRFDVTVLKDVQTVETPFLVVPPSDMHKHFGDLLSSKEGADVKFRVGKKTFSAHRLVLSTRSRVFKAELYGKMKESATSNVIHINDMEAEVFDALLTFMYTDSLPEMMEQEESAMAQHLLVAADRYNLERLKLICEGKLCNHIDSDSVATILALAEQHNCPVLKDACFAFLSSSGTLNAVIETDGFEHLIVSCPRVLKELVSKVVPH >Dexi9A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:9A:8148541:8153165:-1 gene:Dexi9A01G0012780 transcript:Dexi9A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPLAARGRRSLLFPIILCCFCCLAAWASPPAARALPLCTNGRAPVPLNKMLNFCSTYGSGASSCCDAAADAALRKRFDAMNISDAGCAGVVKSVLCALGPDNGAQPCQYQVVVAEYSAEISSSNVSAATSANPSEVRRIFTMGLPYTAHHGGQIIFGPTDGYLYLMMGDGGSKGDPFNFSQNKKSLLGKIMRLDVDSTQSLQLFSVLSDRGKAKREIQAYGVTILFQRTIHLLMIVTYNQRYGPWVLATRGDVVLTPRGLPTSIVEMSGRYLYTDLYSSLVWTGTEIPEGSGNYTSATIPLSCSNNSPIACESATGSTDPLLGYIFSFGEDNSKDIFVLASKGVYRVVRPSLCGYTCPAEKLSTNNGTAPPGPSSSAPTTGLGKTVAVALTLIVCLSYS >Dexi7B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:7B:25544865:25546745:-1 gene:Dexi7B01G0020120 transcript:Dexi7B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITSSFKLEHPLEKRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRTRIKLSAEKAIFIFVKNTLPPAAALMSSIYEENKDEDGFLYMTYSGENTFGLH >Dexi2A01G0033010.1:cds pep primary_assembly:Fonio_CM05836:2A:43316917:43318894:1 gene:Dexi2A01G0033010 transcript:Dexi2A01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLELVDKLDLQKDTDAVVSKTYPQQAGTIHQNGFIVIKGRPCKVSLLTSDGNTKDGLKLPTDEQLLKQIKDGFEEGKGLVLTVQSAMGEEQICALKDKPE >Dexi6B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:6B:2286224:2288237:-1 gene:Dexi6B01G0002640 transcript:Dexi6B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKQAYDRKLCSLLDEYSKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYAEKTGNKAFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQIVSVYEDGSVFSPEVLDLTEDDLVEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAAPKEEEKAPEPAEESDEEMGFSLFDD >Dexi9A01G0023180.1:cds pep primary_assembly:Fonio_CM05836:9A:18407533:18408622:1 gene:Dexi9A01G0023180 transcript:Dexi9A01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSTASTDGSASSIAAGAASGYHLLKIEGYSNIKSTIPNGKHVESHPFRAAGRTWSIKYYPNGECPDTADYISIFLVLKEAVTNHLMVQLVFSFIDEVEKHKPSYVRAAKVHKFDSHESWGYDKFVKRAELEQSGRLKDDCFTVRCDIVVIGEPRTKANTLPGSSFVVAPPPDSSHHLGALLLGGKGADVRYGKERLKLICEERLCRYIDVSTVATSLALAEQHRCQGLKKACFKFLKSPKTLDEVMATDGFQHLAKSSPSALFELMSKLAER >Dexi8A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:8A:9003521:9009188:-1 gene:Dexi8A01G0007830 transcript:Dexi8A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQYLMQKIVLELGKDWKLVYHCGMTPQKLPDLVEHNPCIAVEVLSKLINSPDMDAYFDVLVHMEMSLHSMEVVNRLTTAVALPPGFVHDYISNCIRSCEDIKVCFLIKHVAFSDNDIVSECFILCSARSLRRGASILHRLLTNKRGGRTVQAPQISRMKSDA >Dexi2A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:2A:5726475:5727820:-1 gene:Dexi2A01G0006030 transcript:Dexi2A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTLSTPKRPCVYWASLPYNICGRVGERLLADDDIDDFIAFRATYHEWRVGAIADYPENGDYADPTHFQPSRWALLNLKDHHATLVNVDTGWFISKSINLLRDHKYYFIGATRGALILLGELEEPHHAVVLNPFTGSIACFKVPVPIPVTGVTAVAVTTAPLMLFVSSEDGEILWADQDRSVLSSAVAGVAADGGDEQSPRSALTISIGTITPRLDTSPSLPVRRRTGRYYLVVSGGDLLLVTKPSNTLPYHPIVQRVDTERNNKLVPVSSIGNRAIFAGPVRCISIDADKFHGIEGGCVYFVDPIVLRGDYGPSRMDVYQVSDGFHYFVMFEMGTLEGCFRPLTFAQVLADYCRSVLFSELYEMEARERGWDISSDSEAEVSDWDSEADASGRDISSDSEAEVSSSDSEPDDQTLSSEPDE >Dexi9A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:9A:3780746:3783380:1 gene:Dexi9A01G0006660 transcript:Dexi9A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCLFGCFRASAAAGGGREGKGGDDGGQLAHPSVAPATSHEDGAGRRTRPPSRNALAAVFQREDEGSRAEQTASSWAGADQSDWKKGMDQELEPQAIIKETCNALLESTNETQREQKNADSVHQRETHSRCLPALSDNVQLMEALMVENSDAMSSSKPNDELQSSATSLANNVEDLTMTNESNTEACAQDEEQQVLDLAKDYEECGVSKEEILQPEQSENPSCAKNDNVVSMEISMSDECSLFHSSEGSISSSNKTRDSMNATSMEKPLDTEATIHATGKKVLKNNDSEQELPSLSHWLKPPNRKKTFRDDALTGDRSHSAKSSDEDRPIIGMDQRVSWHATPFEERLEKALSEEKSLSERNCSSGKTSQFLGVEGEESTTAESNRLYAAAYSLVSSVGSILM >Dexi5B01G0023030.1:cds pep primary_assembly:Fonio_CM05836:5B:25288951:25289820:1 gene:Dexi5B01G0023030 transcript:Dexi5B01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAGLAADLLIEFAVRLTVIDWLRFRAVCAPCNHAAQTTLSSGRRPRPEPPWLMLSGGAGASADPTAVDLFSFHDGRRHRRPSLPKPAIQRRVSISSAYGWVVTVDEECALHLLNPVTGAQLPLPSITTMTGYCEEALPRAESSDTSIRFVFHDRWLLAVHWPDNRMRAGESVEMLADQVPTCFFRKAILLSDPASGEYLVMMIHGPMGHLAFARQSDPRWVILPSPYLFEDVIGYNGQFYAVTRCGVVLIWEPDGVTFKSRMIDVPEHSEGDLYFKKYLAESVNGDL >Dexi1A01G0022600.1:cds pep primary_assembly:Fonio_CM05836:1A:29237139:29253814:1 gene:Dexi1A01G0022600 transcript:Dexi1A01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLHKPVARVHVRLLLAVSAFSGPLHFGTSGAVAAAVRTRGPSPSRGVAATAMPSSSSSPPPSSAEETTDAASPAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGARLVVNSSWKNPSQEWHVGCKLIYELFTDTLTSRLKKERKKKWDEENQEAISDALKQLNEFEKKHTKLDDATLKKAHEDLQSRLDYLRKQAEGYDDKGPVIDIVAWHDGDVWRVAVDTQTLQGTNNGGKLADFVPLTNYRHAHGGMVTCILMNSIHYLLERKYAIFSKLDACSFVANVYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEATLLPDYGRFIDLSNEVVDKHRIIFISSAGNNGPALNTVGAPGGTSTSIIGVGAYVSPAMAAGAHCVVQPPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSGMKAEGIPLSPYSVRKAIENTAASISNAPEEKLTTGNGLLQVDRAFEYVQQAKNLPLVSYRISINQVGKSSECTICFYYVCGNGMSHWTVELDPKFHEDASNLEQLVPFEECLQLHSTDTSVVQIPEYILVTNNGRSFNIVVNPANISSGLHYYEVYGIDYKAPWRGPIFRVPITVIKPITLLGEPPLLSISNLSFRSGHIERRFINVPFGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEGVVTFSSPSSKNFSFRVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGICIDDKVIALDGSESPLRIVARSLLASEKLAPVASLNKIKIPYRPVECNLSSLPTDRDRLPSGKQIIALILTYKFKLDDGAEVKPHVPLLNNRIYDNKFESQFYRISDSNKRIYSSGDVYPSFVKLPKGEYTLQLYIRHENVQFLEKLKQLVLFIERKLDKKEFIPLSFYSQPDGPIIGSGTFKSTILVPGEPEAFYVGPPSREQLPKNAPPGAVLVGSITYGTVNGDKEKAGSVGTKSISEQLAEEVRDTKIKFLSSLKQETEEDKSAWSELVASLKSEYPKYTPLLAKILECVLQKAAYDDKIGHEKEVIDAADAVVGSIDKEELAKYLSLNSDPEDEEAQKFKKKMEETRDQLADALYQKGLALAEIESLKSDESIEASAKDIFEENYKELIKWVDAKSAKYGTLTVLRERRCGRPGTALKVCYAYQFPIICKCSHIIFHVLNELIQNESEPKKKLYDLKIQLVQEMGWSHISTYEKQWMQVRFPPTLPPF >Dexi3B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:3B:11161744:11171331:-1 gene:Dexi3B01G0015380 transcript:Dexi3B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDQHEQLANAMEEEEEDRPARASETTRLMNSTAAAAASIQSLAPAGELCSSHARRSAPLLFSITVVGRLIVFLLRADASWRDRSRMAPLFAGWLKAILSQQEDASSQPRAVYPAHFRAKVVGLVGCTNNWGPAQRTHTWLSLFAHHCSSLPVIWRGSGRRQQRTLHAAAPFITGARFPIVAGPQNQSPQSRGYRGGCIGQLRHPRRNLQIQRRQPEQNSDFHQRSYSLGLNWSATSSHEENDDVSSLYSNTGLSGLSIGKKPGEIIPLQCRRFRITGAVRNKKPQRSRAPPENALSAAKHQRRTNPRLRRTRSPAPLATGYKQELAAVGVRPSRPCGAIRILRSWGIRTLGGSVSRLSTPNPYWTGPAPRPGPADLGGDESWREGDELQEPEGQGATAPLSGIDWY >Dexi5B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:5B:4082513:4085615:1 gene:Dexi5B01G0006060 transcript:Dexi5B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHAWCAILLLYSLLSSSQAKSPCKYRLSSGLQQDPSNNMYDTLTNTFDDASGYLFHANSTNPTRLYSYRLGYYKKHPPWKMKLSDPGMLIIDDPEVHLWEASRDGTTIQEAAFSFEFTMSIYQPKNPNTTAVSGYCLIFAIIPQDLLDVYADNNTDTMPTPIELISYSCDDTSDIIVDNKLAGNRFIIRVDEMNKMATYYYTIIRVQIAIKPLEAGNSSYMRNNYSVSIQYKPLRHNMTVQVKVDAEDGQNRTTGTGSKHPIYMSNISSGSGLFSLSSSMGQLFQLHTWESTIEWLPDEFENFHYKKKGTITIILSSVLGSVAVTVATAAVVYCYFNSKYRGWKKELDQLAKAMQVLPGVPTQFSFSDIRRATNNFHETTQLGRGGFGSVYRCKLPSPKKGEALLEVAVKRFSRDDNRRYEDFLAEVSVINRLRHKNIVPLVGWSYNKGEPLLVYEYMPNGSLDQHLFRWSGGNQQQPTPISRWDTRYNMVKDIATGLHYVHHEYEPRVLHRDIKANNIMIDSGFQGRLGDFGLACVVAKGKESYTDIGAPGTLGFRAPEYIHSGKATTKSDIFAFGVLVLEIVTGKIAVDAQHRHLADWVWHLHKEGRLPDAIDPTLTTEFTPTTPSVCYFSVWHAANRTHRTGQLW >Dexi9A01G0032240.1:cds pep primary_assembly:Fonio_CM05836:9A:37153637:37154872:1 gene:Dexi9A01G0032240 transcript:Dexi9A01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRSSATRHSCKVCRKGFPCGRSLGGHMRSHSLAEMETAMVEDDADDDGDHHRRASDYVMPPGAGGYGLRENPKKTRRLSGLHGGDESSGGGDHDECVHGAPVVGHERRHAPAGVAVEFEADRDHHQEEDTLLMPAPRRRRRSMRVPAPAPPQHAAAFEKEPEDVALCLIMLSRDILERRDSTADYLSPEKKKRRKKRHDHHHHDDADYSDDFFNYTAADVEINTTKMNKTKHNNHRVVLADDDYHHDDADFDSPAPPRRRYECPGCGRAFQSYQALGGHRASHKRINSNCSIAKVPSDDHTEPSVKTQTSMPSSDAEYGAADVTKYTTVVALKAKPHKDKAMVKFECPICFKVFGSGQALGGHKRSHSIAGELYEPVHAAEEDVGIEQPMIADGFLDLNLPAPGAED >Dexi9A01G0037470.1:cds pep primary_assembly:Fonio_CM05836:9A:41734756:41738596:1 gene:Dexi9A01G0037470 transcript:Dexi9A01G0037470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLPCPPLSGASSRRRPTAPRRPPSALVCGTYALTKEERERERMRQQFDEASERCRTAPMEGVAFSPEDLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEEAGIRPGLVEEFMIIDENPGDETLILSLQAIQQELAWERCRQLQAEDVVATGRVISGNKGGVVALVEGLKGFVPFSQDSLLDEVLFDLDQRTTAEELLGKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDMPEDSGEEPTDE >Dexi4A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:4A:1359218:1361032:1 gene:Dexi4A01G0002010 transcript:Dexi4A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSGRDDDAPDLVCQIDCVQGMVDALSAVRWKRHQDAVMELSAHGIVLTVEESGCLQAKVFLKREVLSLFVEYDYAGDGRERFGLSLGLFVDCLNIFSSPGHASPVELRYPGPDMQLMLKSVDSPDACLYAEIRTRIPDTVPWDYNFEHDGNTPITFTVKSAILKETIDDLEWPGSSIQIRMQPDPPTVVFKGEGHGDLQIEFPYYANTDLLIVFQCDHEVSYRYKYKFLRATTSNIPSSVTKENRRTKVTIGRGGMLKIQHLISLARPGMPYFRGGTEQTSRIAHIEFFVKPEEEDNDA >Dexi5A01G0033020.1:cds pep primary_assembly:Fonio_CM05836:5A:35425597:35433112:-1 gene:Dexi5A01G0033020 transcript:Dexi5A01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPPPLGALGGGAGGSVGAAPAAGVSMRMFHGEVFLGEMEVFPMKQGGEGGFPFPSNEIRVSHLSPPSERCPPLAILQTIAPFSVRCKLQSKLMPPNPSLHRLYITCFNEYKSAVVLVGDEELHLVAMPTKSDKSPCFWCCAARAGLYASSVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIDMLSRRMDVEDDPVRIAGMSAEIKRYIEDKELLKEFIDTDTVTDNGKIVGTQKEEVQSMSGGQERILRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPEGNLISPQQLSERVTCVKSGSKKSLQNVFRDRGCHPKMAMVIDDRLNVWDDKDQHRVHVVPAYAPYYAPQAEMANVVPVLCVARNVACNVRGGFFREFDENLLKRVFGLLYENGLLDLPYAPDVGDYLVCEDTNFLPNNQPPIPEGMRGAEVEKRLNGQVIISGGAMGRTTNSILNPFARIFALDDEGMPIRGITGGRNIQPNGGAVAIAPSVFVTVLQEIGRLCDSKVEFRSTVSNGKSMQFSVEVLFSNEKIGIGIGKTRDEAQVQAAEKALQNLESSYLSFASPITGIPNKDSRKSPGSGNGFLEDVTCSDVDISMQEPSGSTLKQDHSNNLDKLSSVMSLIREHCLEDHHVVFRDQVQNSGPARNEEYHFQVLCVLHFEKQTRSLVAVAAICLRFTGYMVELAGQILGRGVGSDKDAAKLLAAEEALRTLKSTTDPQIKKYLRPVR >Dexi7A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:7A:11661965:11662722:1 gene:Dexi7A01G0002870 transcript:Dexi7A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFRRVNVKELISNASVYASATESSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTHFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVTGHMLHPVYASGSTAADLDAQL >Dexi6A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:6A:6860062:6861418:-1 gene:Dexi6A01G0007030 transcript:Dexi6A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGVRCKRCRGTHLASPCCRVGLLRRSPRIRCRVDGEDHISALPDDLRKKIASHLHCARAAANMSLLSNSWHGLWRGLPVLDFHDIAPGPLHAALAQVARPAGSLNICLSQHHDLSAAGATSLLHAAASLEPAKLDVTFRSPRATMELPGFERTTSITLAFSGERVILRLVGDFNALEVLYLENCHPMNLDDLFPHCPHLRKLTISIWPLDSIKVHSSSIQDLDMYVVSSLRVVDIVAPSLRKLRFDACQGTGDDFSLSFSAPLLEDVEWSIPCCSTFGVMWRMWNLTLLKTPAYGPHLASSVEDSCLQLHIVGNPYPSGNVVRSFGQDISRIPARRITILELNIVTGLHVYGAVVLDLLERCTSIEKLGMMLGRCDRT >Dexi2A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:2A:25023316:25028017:1 gene:Dexi2A01G0014860 transcript:Dexi2A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDSGAGDGTPGTPSPTHGGRLRHRKRSSEVLSDVNKTNGANLLLNDQNKYKSMLVRTYSSLWMIAGFVFLIYMGHLYIWAMVVVIQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTMTSDKLLYKLVSGLIKYQMFICYFLYIAACEFHGPFSVVDMPKKAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTVEEQHDLYGQLGRLLARAN >Dexi6B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:6B:25588669:25591219:1 gene:Dexi6B01G0018650 transcript:Dexi6B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLENDFLDLKETGGSDGTRMQEISEETNFTHDELDVMTPKWSSLRRYRNWGPESSPTSPRIGYGRSSSLLVRRSLVGSFEESLLSGRYSYGKDSQTIDGFLAVLNITGGSFSPTTQKLPFSVVSNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPSVLSSNPAEEENRACDVNVGPKSARSVSCGSGPREQGTLCSECCSCGQNCKSNEESEKGDLNGRCRPSESDSKESKKSISPGNKKDNSGSDDCCCPDKVGLGGKKPCCSSSKINDNSGGRVLRYALHLRFLCPTSKKSSKSMLRCKSDPSSAPYGSNTVAEEERRFYLYNDLRVVFPQRHSDSDEGELRVEHDFPADPKYFDISN >Dexi1A01G0026060.1:cds pep primary_assembly:Fonio_CM05836:1A:32045114:32045810:-1 gene:Dexi1A01G0026060 transcript:Dexi1A01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLFPDVHDWPSIWRLFTAAPVLVTAYICHYNVHPIYNELKDSLQIRPIVRTSLLLCSAVYITTSFFGFLLFGDSTLDDVLANFDSNLGIPYSSFFNDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPLSGDNRRLAVVTAALLAVIFLAANFVPNIWDAFQFTGATASVCVAYIFPAGITLR >Dexi8B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:8B:1161451:1165060:1 gene:Dexi8B01G0001740 transcript:Dexi8B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEPEDEPTHKLETKPRRLYQAWKGNNIFLCGGRLIFGPDAASLLLTTFLIISPTIIFCYQMKSKLHQSNTGQQHMHRAAVLIVIITTIMDMVFLFMTSARDPGIVPRNTRAPPEADDLLLGSSTPSMDWSGGRTPRMRFRRTKDVIVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVHKFDHHCPWVGQCIGLVSKKLRNYRYFFLFVATSTFLCIFVFVFAWLSVYSQMVDNGDSIWLALRKEAYSFALIIYTSIVVWFVGGLTVFHLYLIGTNQTTYENFRYHYDKNDNPYRKTLAENFAEVFLTKIPPPMNNFRSRVGEGALEAGFYTPYIGLDVTTPRQKIDLDRENKEVLVGGMQIPTVLQNIDYGPFEDSSEDKDMNQGEKKVHFTSAWAQGNEGGGTSAAATTACNAETSEDDLNEIESPNTATTQTSAEANTEPLGQIDKMEGTLERKSQRSNTI >Dexi7A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:7A:22176120:22177674:1 gene:Dexi7A01G0011800 transcript:Dexi7A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVAGAKLILVPVEMASTACFVIVSKNDIPIYEAEVGSAPKKEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHILLKFDHNVVVVSLTVSMTHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRIASSHFDTKVRALARKYL >Dexi2A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:2A:11691930:11695195:1 gene:Dexi2A01G0010520 transcript:Dexi2A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKAWKAEYAKSGRASCKSCRSPIAKDQLRLGKMVQASQFDGFMPMWNHARCIFGKKNQIKSVDDVEGIDALRWDDQEKIRNYVGSASATASSTAAVPDKCTIDVAPSARTSCRRCTEKITKGTVRVSAKIEGQPSKGVPWYHVNCFFEVSPSATVDKFSGWDTLSDEDKRSVLDLAKKDVIRDEPTKGSKRKKGENDMQSCKAPKLDGSTSEGTMQDKGKLVDPHDSNASSADIQQKLKEQSDTLWKLKDELKKHVSTAELRDMLEANEQDTSGPERHLLDRW >Dexi2B01G0031660.1:cds pep primary_assembly:Fonio_CM05836:2B:39716299:39716580:-1 gene:Dexi2B01G0031660 transcript:Dexi2B01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARGSGGRFLNTKQLQEQNQQHQASGGSSCSKVIDNNVSSQSGPTPTPSTPTSDTASASRANQDRTCFLSVGFHPAINLGGQGGGSAKLVQ >Dexi3A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:3A:1813659:1818553:1 gene:Dexi3A01G0002670 transcript:Dexi3A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEDAASAATAADASDPAAGTSDNEITVEEASFVRTEPPQDGSAPPVVSSDMQVLHDKVKKQVIKEGHGNKPLKYATCFEKKEMSGLGIGVGTMKSGERALLHVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDIKEGKARSDMTVEERIAAADRRKNEGNEYFKEKKLEEAMQQYEMALAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAQCLIKLNRFDEAIAQCSIVLSEDETNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKDIVRELRLLAEQDKALYQKQKELYKGLFGPRPEVKPKKANYLAIFWQWLVSLIHYLVRMFKRKNE >Dexi8A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:8A:4921171:4923311:-1 gene:Dexi8A01G0005370 transcript:Dexi8A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEVAEQISSTSGDQEVVQQLEHVHLGRPRARGQASTSDDRSRSLELEAWDDLEHVWPWLENGDGAGPSEDKGVMEPEQKPSVLTEQVVLEDIGSGTEDAQEESSEQLPGNIQSRPEELLSEEVPKKISSRLEDREVVKQLERVQFNGDIEQSSSEWRQQQHEEDLFQESLDMDHHSGGGLPRDIPFHLLQEITNGFSKERKLGSGAFGEVYMGVQKDGEKIAVKMLHNMPGLDEKQFLNEFDNLSRLQHPNIVRLVGYCHEIQKTFVNHKGRWIFGERIRMALCFEYMHSGSLDEHLSGM >DexiUA01G0020200.1:cds pep primary_assembly:Fonio_CM05836:UA:42188380:42189904:-1 gene:DexiUA01G0020200 transcript:DexiUA01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSFTRCDDCALALDAIDPLTLRPEHMVAMHLSEKLAFQAAVGQSSRFDTEEEPGEFDQQRDDDGDDDDQGEGSVPFSPKIDELEKLLEAYFVQIDGTLNKLSTDYINIMLDDKQNQLLQMGVVLSTATLMASCAIVVTAVFGINIHIALFRTTNTNIFWFANAGMLGGAAALFVVAIAYYRKNGILR >Dexi1B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:1B:10499014:10503515:-1 gene:Dexi1B01G0010690 transcript:Dexi1B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEEQSAAEATEQRRPPPPPPPPQQQIRVVRCPKCDKLLPELTNYSVYVCGGCGATLQAKNTASDTSSEKSDGEHVKYLEVLESLPEKKGDVTSEASWAVREADTNKVEARPEERFVPNRMAAAHSQSGFSFSSSTMKPQPVLSDGSSEVWEAKYRRIRHEDKGESRQPARARDESPRSVAPPNAYPGCPSEYPMKPGFRHGNGEPADNRNSDGPSRVIGLEKDRSELLRILEELRDQVQRSCEIADKPSGSASTNRVVDAASSYNPNERMSRLRYGSPQLQRNGSQRSASLNGHAAGIPPAYPSMAVQQDLHGYGEPVAHIGAPSYPVGPYPWRNFDNYFHGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVHGAPLGFNHRRAPYLMNNPSLYPVDGPVMFGAQNYNSRGINGLMRRNHMRATLSKKPAQTCEPIVNGAPFTICYNCYEVLQLPKKSLSPEKDEYKLRCGSCSHAIVVRLDGSRLDVSAPTPVSHLSPGSKNCSNNGQGSNGHTADVRLLPSYSFSVGSHCSQEKDLPSNSSEADKMQSISSSVSISEDDDSPARSNSQKNSSCSRDIPPDAEVATRVPSLPLRDHFGYSPAERAVDGSGKGSRSTRSEHEKGVLAESFKPSAVKDVPVASVLDLSDDEYDDPDYSQDPGDGAQYVDHPRATKSGDSFFSSLIKKSFKINGGMGNGREKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPEFNYPMPKNCAGGNTGVFINGRELHQKDLDLLVGRGLPDSPDRSYRVEISGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRLIQ >Dexi7A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:7A:29535195:29536479:-1 gene:Dexi7A01G0020850 transcript:Dexi7A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMSWATVVAFLGAVTSPRRGGSPPPLARAVVTAMAGAAEDATKSRVCVSERGSRRRWRPSELREGGGAAAHGSSYVSPRILPA >Dexi1B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:1B:12473599:12475131:-1 gene:Dexi1B01G0012020 transcript:Dexi1B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQLKRAQGYEDPNILAKETTFSVNEVEALHELYNKISQSIIKDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLNIFHPDTPMAEKIAFAFRLYDLRGTGFIEREELKEMVLAILNESELVLSDDAVEQIVDQVQCIEINRYFQLIPSTCLVHLC >Dexi7A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:7A:11619541:11620218:1 gene:Dexi7A01G0002820 transcript:Dexi7A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLRMDRPPGLAAVTALSLISATRWRISPPLSPSMASRMSASTSTRLTSSPISIPMPHSVHLYRQLVCCSAKNGQHTMGTPPHMLSNVEFQPECVRNTPTASCSSTAACGHHVDSMLRPSTDDKNSGGNPGESPPSPLTRSGRTCSADITVMLPKLTYTTERGGRPSSHRSGASCSFHRLDPMAATGLSTGTLFRTVSGSGPTV >Dexi7A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:7A:22127793:22133989:1 gene:Dexi7A01G0011710 transcript:Dexi7A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLSIESGSAIRVAVLPVGGPIPPPRLREYAALVARHARVDLASLRTYYSEHQKSPFMHQPWETGCLRLKFVLGGCVPSPWEDFQSSRKVLAVIGICHLPSSPDLDRVAADFIDAARSYPSALANRCFAFCPTDAQMAGKKRDDIIMFPPSDQQSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTIKINHDSSMEPRASNIDSGKVHPQSIVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRSFYPLITPAGQSGLASSLSNSADKLPTGTRCADPCLPFIRCCGSNKLKSVNFPSISVVPPLPLLVANFVGGDGSILLYEGEIRDVLITLTNAGTVPVGEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSSADLDADGSRSPANSRRIAREGINPFLDIHYAGPAANAENGDVSLLPGRRLVVPLNICVVQGMRLVRARLLSMEIPARFTEAHLRPVSGKDDISTGNDREHTNINLLKIDPYKGSWGLRLLELELFNPTDVVFDVDVAVHLDDANVDQRVISDGNAADAACHKTRIDRDYSARVLVPLENFKLPVLDASFFVKESGGDEPLGSRAAAIAERNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFKLSKNGTVTNVDSGNPSSHSSDKNVSPSTGNVLKCEDPISAHEMTHMEVQIRNNTKEIIQMNLSISCKDVAGENCFDENSATVLWAGVLSDIHLEVPPLQEVVHPFSVYFLVPGDYSLQASSVIIDATDILRARAKAESPDEPILCRGSPFHIRVVGTA >Dexi7A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:7A:20927243:20931129:1 gene:Dexi7A01G0010000 transcript:Dexi7A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAGAAATSGDGAPSSPRELYTIPASSGWFQWDGIHEMERRALPEFFGGAGGAGFGTATRNPRIYREYRDFIITKYREDPARRLTFTEVRRALVGDVTLLRKVFAFLDASGLINFSASSSSSGPASRQQEVGVVVEAPVGLQVTPRPPASYFAEEKRRGAGGEKENGFRLPPLTSYSDVFGEWSPGKAPICGFCGEECNDEKVETLQIDGNEDGADKSAEEHRTKRKRLFSSMDATTSLMEQLALLTTATSPDVVAAAADAAIRALGNENPQARKAFLLSEREFKNKALISNHVQQIDGKVGNNNVEMHGQTGSDKKFIANAYQVRAAVATAIGVAAARAKMLADQEEREMELLMASIIETQLRKMQYKIKHFEELESVMEQEYTIIQQMKVSLLNEWLKVLEQAYRSGMSLPRDELLIKLFLNQHTP >Dexi9A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:9A:1864207:1867719:-1 gene:Dexi9A01G0003520 transcript:Dexi9A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVISLRDSNPPLLGHAPGASPAAAPAPAREEGGLLAHHPRAAAGASTAHPAFAAILERLEARDRDIQELLVDNQRFAATHVALQQQLIAAQHELRAVSVAATRARAEREVEVRALADQAAHIEAEARAVAAARAEADQVHADVQVLAAARNELVNRLQVLREQLVRKQADASKTASVRAQIETMRREIQKGRAAVDFEKKAHSDNLEQSKAMEKNMIAVASEIERLRGELANAEKGATTVNPAAPVANSGYAAAYGNPEGTYTAMYGNPEATYAAHSYPDAYSTNQAHMHTDGNSHYMSQPVPHGQGQYDSQHTNVQR >Dexi5A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:5A:3386063:3389000:1 gene:Dexi5A01G0004480 transcript:Dexi5A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLATAVAAPAPFPVVVAAAFVLAVATPALARGRCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPHIQELPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLEMKSAASEQQLRSGTSTSATSPMLPLEVRTPR >Dexi1A01G0024270.1:cds pep primary_assembly:Fonio_CM05836:1A:30868445:30870721:1 gene:Dexi1A01G0024270 transcript:Dexi1A01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGGAAAMGGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGADKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQSKKDTGLEASRGAFAAQGINFSAPVPPSIPSTAGNNTGETPLADALKYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGAANLDATRSQLTDFNLALSGFMDNVSQVGDQNNGELAKAISDDNLRASNLGFQLYHGVHDGEDVKCTPDEGLLLLDLNIRGGYDHRSTADFKMNQHMR >Dexi9B01G0045910.1:cds pep primary_assembly:Fonio_CM05836:9B:45334437:45335901:1 gene:Dexi9B01G0045910 transcript:Dexi9B01G0045910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSILPLHLPSSARRATTAVVRAGAAAPATATTTAQSLEESFGRKGLRFVADPAGGAPTAELSVRNGSSLHLRLGDGLVTSYKPKVYWKDDGCREVLHTVADPAKVVKGGVGLVLNEVSGQSLIDDATWTVNDADSDSYDAVQVELGCTKGKLEISYVVTLYPLSMATAVIVRNTGTKPVELTSAVLSHIKFDKRGGTAVEGLRGCPYCSHPPPAAGFSLLTPAEAMKREDPGWFSGGGEEPRQGLWTVEEDMYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQATKLFHCIYSGLGFRLVRMGFEDMYLSSPGGMYEKFGSDYFLCTGPASILVPVVVKPGEEWRAAQVIEHDNL >Dexi1B01G0025380.1:cds pep primary_assembly:Fonio_CM05836:1B:30430367:30431387:-1 gene:Dexi1B01G0025380 transcript:Dexi1B01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGEDSQSQIAGKRSRPEPKKHLYLVLDDWEKGYSIRQIDPYTMLSNSSSDDLAYAVVDLPEPAAFRFVAPARDTQFFAMGSNNIVAVSSGVDATESSPPTLVYDTAAAALATAPPLPGHLAGPIIPVADSLYAPTTLGAGMPTAFEAFSCTPYTDEPSSPRRMQHEWSWKSVDAPPPLPPPPPSSSPAPAWRRRFVVSYAAHPDGRTVFVTTRDASIGDGVAMTYAFDVERREWTPLGLWALPFLGQGHFDVELDAWVGLDEDPGYICACQVPSRSRYTTVPPESDKMEERLFGARDERTLTYMGDSKFCLVTRRRRRRRSRCTIARFV >Dexi2B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:2B:9180979:9182265:1 gene:Dexi2B01G0008660 transcript:Dexi2B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDLRGLKPNTMAWVDATAAVTASMTAHGYVVVVHDALDAELRCALFGRALPELYALPFDTKKRSSVFSNGPHRGYDGQVPNEVLESVAILNPAEPGNVQDFAGQLWPQGNQGFCDTIETFVKNVLEMAQTVERMTLEGLGVREESIAWQLSSQSHAVRMMLYGTPADNEVISLGAHPDDQMTTVIVQHEVGGLEVKVDGGRWLAVPPEPGTLMVPACIHRVIAPPSGHERFSVLLSRRRKGDPMLRAMDELIDEDHPLMYNPCRHEDYRAFRLSEEGRRLREHNPLKVFCGVEKHGSVE >Dexi9A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:9A:13980967:13982480:-1 gene:Dexi9A01G0019010 transcript:Dexi9A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKFTVRRKPSELVSPASPTPRELKRLSDIDDQDGLRFHIPVIQFYRRNALMGARDPAPVIRGAIARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGAAAPSVRPVWGRELLEARDPPRPAFAHREYDEVPDTKGTIIPLDDMVHRSFFFGRHEVAAVRAHLPPALRSRASTFDVLTGLLWRCRTVALAPDADEVMRMICIVNARGGKSGAAIPEGYYGNAFAFPVAVATAGDLAARPLGYAVELVKRAKGEVDVEYMRSVADLMVMRGRPHFTVVRAYLASDVTKAGFGDLDFGWGKPVYGGPAKGGVGAIPGVASFLIPFRNAKGEDGIVVPMCLPGPAMETFVEEMGKLLSPPAAEQQQQQQQGNDAFPAAIRSAL >Dexi9A01G0048370.1:cds pep primary_assembly:Fonio_CM05836:9A:51153857:51155220:1 gene:Dexi9A01G0048370 transcript:Dexi9A01G0048370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVEHDGANAHLHHNNREASTTEHAAASAPIGRAATADDFAAFLVLWSAAWSAGTDGASAAGGEACGASAGAGAGASTAPTSGGTRRLSSVIRQKGTLSTTVSATHVVALGDHLDGGLGAGHGGERALDELERRLGVVGRQRVVLEELGEVGDGHGLDVGGVLGLERVVVGGEQGEPLVHGVLVRLEHAGLDGERGEHLAAGALEEEGEVGDGRGVEEAVELDGGGDLEDGELERVGLDLLGDGADPGAGGGGGEAVVLVVLVDDLDVARGGGGVAGGLEEGGGALVASSTEWRRSAFLMAGSESPASEASVVMASLESTRMLIDVDCRELISSHTFVSERYLLYSLYSLYPSRMAVMLRPRTDAIGSRTHASSAAATSRRIAMAPR >Dexi9B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:9B:14746574:14748097:1 gene:Dexi9B01G0020010 transcript:Dexi9B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVFLFRLQIARSAIAGCTGIWRITMGNSSSRGRSKLRQGHGSKVAPSFPAGDQSEQKTFKWSIDGFSSLLDKGAGWTYSRVFEAMGHNWYLKLNPRDKKSGDSKEYVSLRLELANSSVKPDTVVDASFKLMIYDQSFGKHSEHEVTTSKANTTSEILFVQKTSIFNEAKSYTWDIDDFFSLKNPGYSPEFEAGGYKWNIAMCPSREGNHLSLYLKLKKTNDLPEGTANLVELTLSIKDQETGKHRKGTGRCQFAKDARTWGWSKFISMEDFKDSANNYLVKTKCCVVAEVTIVGSSKME >Dexi9B01G0026940.1:cds pep primary_assembly:Fonio_CM05836:9B:29328997:29330688:-1 gene:Dexi9B01G0026940 transcript:Dexi9B01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFAAATHASLLPIFSPDPAAARPPRGVLRSRTSRLRLPRATGPAGRGRGRGRGRGGFANEWGERSPPGAPETPAERDPPVEDDDEWGRDAGEGNSRPIIVDEWGEPAEPEPEQPSSADPPIPGADDEWEEETAAAAAAPPSPPAEEEVVVDEQAERREDLKRCLVDTAYGSELGFRASSEVRGEVVELVTQLEAANPTPAPVKAPDLLDGNWILIIF >Dexi9B01G0039270.1:cds pep primary_assembly:Fonio_CM05836:9B:40023816:40024168:1 gene:Dexi9B01G0039270 transcript:Dexi9B01G0039270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASGRGGGGRRVGEYELLRPIGSGAYSQVWLGRHRARGTEVAVKEIAMERLSSKLRESLLSEVDILRRIRHDNVITLHDSIKVPSSRLAAPFDVALLALMGV >Dexi5B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:5B:6567104:6569333:1 gene:Dexi5B01G0009540 transcript:Dexi5B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAKPWRGLKSWAQRHLNAGFAAGFVLVLVTYLVVSQQFAITAPNAVTTKGSQIADKQKQVIRSAGDSEPKKEREEEWQPKAAAEEERDKKKDTSGAVPTEESPKRDEADAKPFETKRDKVVCNTDGPISDTCDLDGDIRINGSALSVTFVPSSPSERREWKIRPYSRRTMAGVEKVTVTQLESPHDPSAAPPCEVTTADVPAVVFALGGLTGNYWHDFSDVIVPLFAASRRYNGEVLFLVSNIQPWWLGKYEAIIRRLSKHDAIDLDHDTRVQCFKHVAVGLRLHKELSIVPELSPEGHRLTMADFTAFLRETYALPRGAPASLRNFPDRKPRLLLIHRAHYRRFTNVPEIKKAAEAAGFEVTVASPRADVPLAETARMVNSHDVLLGVHGAGLTNAVFLPANGVVIQVVPYGKLERMARTDFGEPVADMGLRYVEYSVGAEESTLLEMLGPDHPVIKDPEAVHRSGWDKVAEYYLGKQDVTIDVKRFAPTLAQAIEHLRQR >Dexi2A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:2A:22457615:22459061:-1 gene:Dexi2A01G0013760 transcript:Dexi2A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISSLVTPPALRRRCRSSALASISASTSLSFRTFAAPQTRRWGLALRVAASSAVLEAPAQLAARKLYVGNIPRTVTNDELRDMFAAHGTVERAEVMYDKYTNRSRRFGFVTMSTVEEANAAIEALNDTEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKTVTTEVLKKFFSEKGQILSATVSHIPGTSKSKGYGFVTFSSEEEVEAAVATFNNAELEGQPIRVNRA >Dexi3B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:3B:9866650:9867136:-1 gene:Dexi3B01G0013730 transcript:Dexi3B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFLWDLLVRLFLPEDPPQIPQFTGCLTAGAGHISRAPASPPFPPAATPHGLQNPPPLLGTSQGLEKPADSSLPQAPWGPAIPWISGRPVPGTMSGGEEDPEGLA >DexiUA01G0026320.1:cds pep primary_assembly:Fonio_CM05836:UA:56166132:56169444:1 gene:DexiUA01G0026320 transcript:DexiUA01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSCCFKETIMESKLTFSRDSPPISIICAAKVAGLPIAVDPNLPAGSAPTLQLGSGESLHGVNPILHFIARSASFSSFSGQNAIEFGHVAEWLEYAPIFLSGSEFETACSFVDGYLVSRTFLVGHGLTIADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYGYTLSESVAAYVGKRGVGKSPAPSLKEKVHDSKDPLAPEVVLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYNAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEEMRKERMDGIESKCRNNAVAENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKIEALIQFILQQVHLCAKGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLINGPDKPFVRILPRHKKCEATGKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIVKEIKMENGVITELVGELHLEGSVKTTKLKTTWLADIEELVPLSLVEFDYLISKKKASFLFGSPIAYLEEDEDFLDNLNPCTRRETPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >Dexi3A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:3A:14154743:14157257:-1 gene:Dexi3A01G0018600 transcript:Dexi3A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTRPRRLLLLCCYVAVLLLDAASCGAAAKGKHGPRHTHTKRLRPGNKGSPAAAAKPYPANATRMEAIERQFTRWVRFMGAPGHGSSYNRGLSRALLPTRTLVVDKAPGAGDFTSIQAAVDSLPLINLARVVIKINAGTYTEKVNISPMRAFVTVEGAGADKTVVQWGDTADTPGSWGRPMGTFGSATFAVNSMFFVAKNITFKNTAPVPKPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRQSLLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGANYAGRVQWSRELTDEEAKPFISLDFIDGLEWIRL >Dexi1B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:1B:7225639:7227614:-1 gene:Dexi1B01G0008590 transcript:Dexi1B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGAEAVLHVWKEWGIQLLILLSFTIQVVLLILADLRRSIDSGVLRACVWSAYLLADSTAIYVLGHLSVTSRSPEHQLMGFWAPFLLLHLGGQDNITAYAIEDNRLWLRHLQTLAVQVAAAAYALYESPFLGSGSSLLRAASILMFGVGVAKYGERVWALKRAGKSPGGNNYRSTERPQALSMHPVREYLKEITGALDTETSLQVAQYLLLVPKDLLLDGAPSTEGLQTDLTDEEVFKVVEMQLSLMHDVFYTKIGVTHGWYGFCIRIISLLATTIALVLFHIFGDKQEVVYSKLDVAVTYVLLIGALVLEITSIFRFVFSSWTCALLITCGSRWHLPARAVLSLRRLVPWKARYWSGSMGQHNMLQLCARSRTSRGSKVARWIGAEDPWNMLVCSWSVPVSEFIEQLMVKQARKNLHWRVEHALAARIQELVNKGGMYGDLDWTVWRKAYSSGIATDIYLYWCREHEQEKATGDNEQTRRRSDLAKAAQALSNYMLFLLASRPYMLPPPNGRKAYLSPRSAKSLQRLGGELNTGTIELTSTTPVIGRRDSATSMKGAQLGEKLIGQDLQVVGSSSGESDTLELIVEVWLEMLFYVGYRCSAHSHSKQLSSGGELVTIAALLCKYIRAPPELGFK >Dexi2A01G0032080.1:cds pep primary_assembly:Fonio_CM05836:2A:42697599:42701459:-1 gene:Dexi2A01G0032080 transcript:Dexi2A01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKNVVSVTPAADSSGVLRERTQPRAHESSAPVPLPVPAAALSSLRSSSSAARRSEKVKDEAEEPGKTLVTVPAASRSFRLRSLRKSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLESGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLCSSPDIKFTEAQLKCYMNQLLSGLEHCHSRRVVHRDIKGANLLVNNEGILKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSVGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHQPYPSTLRDAFKEVPENALSLLETLLSVEPYKRGTASGALSSEFFRTKPYACEPSSLPKYTPNKEMDAKLREDALRRKASRGHGTEASKKSSRLSRAAREPSAVPKQINNTEVSKSSVNGTKDGTIQDRVKLNGDARLFADLQQVSVVHVRQRAQHFKNDSREEVPFSGPLSVSSSSGFAWAKRPQEDRSFTRSRTRSSSRGQFVAEIDQDSKSQAKENIGLRELPSRDVPVSISRVNSKVRDQEPHDVAKRAVLKKWSQLERPESFDSCDTYHSQNFSNAMYLGGTLSSKNSFKGDHDQEEKVEYSGPLLSQSHKVDELLQKHERHIRQVVRTSWFRRGRKLDK >Dexi2B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:2B:294735:296224:-1 gene:Dexi2B01G0000690 transcript:Dexi2B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSKKVCVVGAGVAGLASAHELRREGHDVTVMEQSSGVGGQWLYDPATDAGDPLGVAGAHSSIYASLRLNTPREAVAFSDVPFFPSNDGTGDARRYPCHGEFLRYIRDFCNAFELMDTVRLNTKVLRVAMEPRNDDDDVRWVVRCAKQGEVVATTEEEVFDAVVVAVGQYTQPRLPKINGMDKWRRRQMHSHSYRVPDSFSGEVVVVVGCHESGKDIALELRAVAREVHVSVKSMDDRFGWLALRAAQIDRLCEDGRVVFADGSCVVADAVIYCTGYNYSFPLLDTAGHVTVDDNRVGPLFEHTFPPALAPSLSFVGIPKLVVVPRFFEAQARWVAQVLSGRRLLPAPEEMMRAAEEYHRAREMAGVPKHQTHRIAFDFELCDEFGEKCCGFPRMEEWKKDLILSSIRSSRDNAESCREDYHDSELVLEGLRSHGWLTGRPPQHDRG >Dexi8B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:8B:18240318:18248395:-1 gene:Dexi8B01G0009940 transcript:Dexi8B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFAYSPVETAKVELVQFGVLSPDEIRQMSVVQIEHAETMEKGKPKIGALSDPRMGTIDRKIKCETCMAGMAECPGHFGHIELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEESYKFKQALKIRNPKNRLRRIYDACKTKKFCGGGDDLCIQEQQGTDELVKKSGGCGAQQPNITVEGMKMVVEFKAPKKKNDDNGQLPEPVERKQILSAERVLDVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHILTEFAQLLQFHIAMYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRVRGNLMGKRVDFSARTVITPDPNISIDELGVPWSIALNLTYPETVTPLTLRGKTGAKYIIREDGQRLDLRYVKKNSDLHLELGYKVERHLNDGDFVLFNWQPSLHKMSIMGHRVKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKWMPVMGIVQDTLLGCRKITKRDTLIDKDVFMNILMWWEDFDGKIPAPAILKPRPLWTGKQVFNLIIPKKINLIRFSSWNSENENKFITPGDTVVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAASKFLGHTQWLVNYWLLQTSFSVGIGDTIADKASMDKINKRVSKAKIEVQELIRKAHNKQLEAQPGRTMMESFENELNLVLNRARDEVGASAQNSLSESNNLKAMATAGSKGSFLNISQMAACVGQQNVEGKRIPFGFSGRTLPHFTKDDYGPESRGFVENSYLKGLTPQEFFFHAIGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIEDQKLDTLHLEKDEFDNLFRYELDDENWRPNYLPPVHVDDLKTIIEFRSVLDAEVQKREADRFQLGTEIATNGAKSWPMPVNLKRLIWNAQKTFKIDTRTCSDMHPMEIVEAIDKLQERLKVVYGDDDMSIEAQKNATLLFNIHLRATFASKRVLSEYRLTREAFGWIIDEIATRFSQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHFAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPGVNKEKELAKNVQCALEYTTLRSVTHATEVWYDPDPKGTIIDEDVEFVQSYYEMPDEDIDSDNISPWLLRIELDREMMVDKKLSMADIAEKINREFDDDLSCIFSDDNADKLILRLRITTDGASNGDMQDESAEDDVFLKKIESNMLTEMALRGILDINKVFIKEVKVNKFGENGSFRSVPEWMLDTDGVNLLAVMCHEDVDATRTTSNHLVEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGSCTLFLNDQMLKEPSITCIQPYITLIQPSITCIQPYITLIQPSITYIQSYLAWLQPDLTNLQLNIAKLQPNFTKLQSFVCQVFSEHLLSKQPKDDSRQPDFFRLQPYFTDILTDFTSVFIAKSVIQPNQSN >Dexi9A01G0027570.1:cds pep primary_assembly:Fonio_CM05836:9A:32264562:32265766:-1 gene:Dexi9A01G0027570 transcript:Dexi9A01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVAPAERETSAEWGDGVVALGFRVKASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSHVRIYNKSVLEWELTAGLRYKPEAFVKVRQRCEAPKRDVVYPANHTPCRYLRISCLRGNPIAIFFIQLYGIPVPGLEPELQPLLTHLLPQITSAKQPPSHNTHLQADLNSVTETPESSVRFLALLSGPFYPILQLVNERCPEN >Dexi7A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:7A:19884818:19886553:1 gene:Dexi7A01G0008660 transcript:Dexi7A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVFVRAASPAMARAALLLPVALLLCLALAGSAGAERKMVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSEGNLADVVLGYDTIAEYVNGSSYFGALVGRVANRVAKGRFVLDGKAYHLYSNDGKNALHGGHRGFSKVIWTVKEYVPDCDSPYITFYYHSFDGEQGFPGDLDVYVTYRLSSPYELSLHMNATALDKATPVNLVNHAYWNLAGHGSGDVLGHLIQVFASEYTPVDSSMIPTGQIAGVAGTPYDLRRLTPLGSRINLVSGGGAAGYDVNYAVHGEGFTQVAFLRDTASGRAMELWANQPGVQLYTSNWLKDEKGKDGKVYQKHAALCLETQAFPDAVNHPNFPSEIVRPGGVYSHDMLLKFSS >Dexi4B01G0022250.1:cds pep primary_assembly:Fonio_CM05836:4B:23923300:23924960:1 gene:Dexi4B01G0022250 transcript:Dexi4B01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYPIKSVISRSKMSSQSAATGEQIIAPDQTVHPCTSIQTSVHKLFDAKLDHRLLIDDTLSSTSQSSNIKIELIRSSSLSRSLPVNLQKRSPESDPESPLSHVSHPKFSDPILSNSSTFCTSLFSSSSRNTDPCRQMGSLPFLPHPPKCEQQFSAGQASSSSLLLSDDTGNALDEAEQSDDLKDFLNLSGDASDGSFHGENNALAFNEQMEFQFLSEQLGIAITDNEESPHLDDIYGTAPQLSSLPVSSCSNQSIQNQGSPVKVQLSSSRSSSGSATTNKSRLRWTLELHERFVEAVKKLEGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPEPKEDKKASSEDKKAQSGSSGSESSKTKNLQVAEALRLQMEVQKQLHEQLEVGIR >Dexi4B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:4B:1900808:1901761:1 gene:Dexi4B01G0002870 transcript:Dexi4B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAAAAHGGGHHFAPPFHPFAHHFPGQQHPAFQHFQEQLMAGGPPAATKQELAADDTNTINSAGSNGSAGDDQHHQQQQLDEQNQNQQQQHPPMVMRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVAAGCDVVDSVAGFARRRQVGVCVLSGAGTVANVTIRQPGGGPAAAVVNLAGRFEILSLSGSFLPPPAPPSATGLTVYLSGGQGQIVGGSVAGPLVASGPVVIVAACFGNAAYERLPLDDDEPPPPPQGMAGQSSSSPPPPPLPLPSLGDHQLPHSLMNGGLPLPGDAYAWAAGAGGGGRVAPY >Dexi2A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:2A:4901657:4902022:-1 gene:Dexi2A01G0005180 transcript:Dexi2A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVFIVLAVLTVALLAVGFMAAGYQVVGSHGDLSFSALCAKLGAMLSSALLVVAISCKLGPWGCVAAVPSASVVAGPRHQVSPEEEKGVVMV >Dexi9B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:9B:1635099:1640178:1 gene:Dexi9B01G0002930 transcript:Dexi9B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLLSRIRLSPAAMPNTRSSSSAKLVRADLNPVVREVKRESSISFDISVPESPGSVKRKRVKRELEENGVHPKKQVVPDIEDFRYDKTKALASSSKAAPPLVKVEKKVRVSSVIKVGAPENWEAILGGIKNMRLSGQAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLAENGLLDPDAIVRTDEASLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNELLALRGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRISLEKWLPKDEWEPINPLLKC >Dexi4A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:4A:23890670:23894866:1 gene:Dexi4A01G0020100 transcript:Dexi4A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTGSSFAAQWAELYHHDLSPGLPVTDDTTTLTADSPDIFTSTVATAAAASPPTSGGSTGGSPTKAAAQQHLGVDGARVGKPTRRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPTPPPGAFGGGPVINFSGDYGGGFPSSGVMSFDHLHRSHQAPASLHDQLRRQQQYTGGNFGGGYNGGGSLLHGGAGDVFASHGLSSAEDRMLLQSIQQAAHHMPPALPIFGSKGRGGLTAAGRPDGQVATLFSPVKCAAALRRRRGARWDPGISDGRTLKYNGEGAGWNTFAYSPSYTKNKCDEFTELPPVATESSCGRPLGLRFHTKSGDLYIADAYMGLMRVGANGGEATVLATEAGGAPLRFTNGVDIDQVTGDVYFTDGSKTYTRAQHQMVTTSGDSTGRVMKYDPRTNQVTVLQSGVTYPNGIAISADRTHLIVALTGPCKLMKYWIRGPKANTSEPFADLPGYPDNVRPDGKGGYWMALHREKYELPFGLDRHQLAIRIGDGGEKLQEMKGPKNVRPTEVVEREDDKIYLGSVALSYVAIIGT >Dexi7A01G0023750.1:cds pep primary_assembly:Fonio_CM05836:7A:31662916:31663999:1 gene:Dexi7A01G0023750 transcript:Dexi7A01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPERLPRSLPGPLACSDDCAATTQSVPTAPVCAISCNTAGVAACLASERSTRTALSVVTSDTLIIKAPTAATPAPCATSSARPSAHPHSRSSAPSASSHARRSSSSSSMSTSTGTAPASTALTRLRPHGVAPARTWRAALVAALDTCCLSRSSCTTSRNTCSGRFLLGDNDITFWRSSAACSLDAALDRCRAETSASTNSESFVSMARSRHCSGSWSEQMISSASPSPDATSTANKASATPGTAATSFLLRSLSAATSLSSRSAARSSDDDHLVVLAAAAAAAATRDTSEATRPRSVAGLGGVWMAWAAKEWIAAHEWMRRRSVWLGVGSKSSGTGCLVTGQLPAVRSHRSMPSRS >Dexi3A01G0023500.1:cds pep primary_assembly:Fonio_CM05836:3A:19197992:19198886:-1 gene:Dexi3A01G0023500 transcript:Dexi3A01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWRMHKRNGKMLEDEHKLLSFRVAYQHQQDVELSGGMRELEIGYHQVEHDRDFTSQMPFTFRVQPSHPNLQEDE >Dexi6A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:6A:4558152:4560043:-1 gene:Dexi6A01G0004970 transcript:Dexi6A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEDAAVPETAAGAGAAQTEWYDPMSPPAHVAESHQPAAEDAAGTSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHMYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVKAIGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGTNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSESNLSGEDSDS >Dexi1A01G0032140.1:cds pep primary_assembly:Fonio_CM05836:1A:36846506:36849199:1 gene:Dexi1A01G0032140 transcript:Dexi1A01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRYPIKLESKDYEIYNGSKVCYLYTETSWEKESWCKALRIAATNDKEKLNWYTKLSEEFLNYISSLNSEYPCFLKPPVLSGEDHEVMDRTSKADGSSKVRLFLKKLAKKASTKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQDDKLSSSSVQDMVKATAPAVALNHTGQLSASPDLNTDDRVADEGTLCWNLLSSRLFFDAKMSDEINKVIKARIQRTLSNMRTPSYVGEITLTDFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVQEPELQKDIMKSNFGADADGEVDSDLLESIEQYGNQFKGSHTAAASSAAEKNEADASSQSKSTGWTSAYRSRWKNILHSIADHVSQVPLSLAIRISSVKGTLRIHLKPPPSDQIWYGFTSMPDLEWDLESSVGDRKITNIHIATLIGNRFKASLRDSLVLPNCESISMPWMLGEKDDWVPRKDAPFIWLNHEAAEMRSHATPMASTRPEEGDLKNDASIKRPMPSLPISSAGSEESLKAVASVDEAKQEPMAVASLHGQSSAGPASESVHSDENDELRRPLLVTEKLQEDASESRVGSPMHTSVRAVIPVGEQLSASASSSIGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSLERTSS >Dexi4A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:4A:19876136:19876828:1 gene:Dexi4A01G0016280 transcript:Dexi4A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQISTRTFLLRADEIRSLKQQILRQSSALNSGAAPSKPPSTYVAIVSLVWASIARAKLTTMLDADDAYIVVTADCSSRLRPPLGDGFFGNCVKPCVARVRAGDLRGEATGVARAAAAIQHAIHVHLEGDPLSDAERWVAAYGAIPKERLVAVGSSNRFMAYETDFGWGGPSHVELVSVFPAQLVTLLGARDGGVSVALDRATMDGFAPNLVVSAPISAVGAAAADAV >Dexi3A01G0033020.1:cds pep primary_assembly:Fonio_CM05836:3A:37803011:37803354:1 gene:Dexi3A01G0033020 transcript:Dexi3A01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVINTHTTADVVWQDGTRWRGVPSASLLPFMKRNEHEFLPGQHVVRVRGGEGSVAIDGGESAAARTTVAPRAGIVRSIDCKDQTVSVSWLNAAEMHSGEHNVLGRDVERV >Dexi2B01G0036260.1:cds pep primary_assembly:Fonio_CM05836:2B:43131220:43140694:1 gene:Dexi2B01G0036260 transcript:Dexi2B01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAAAIFPARFAAAPAVAAAEELRSPLLRVLGTLRGGRGSVLLGRRARFCSNSSASDSEAVAAAAEAEAKAEDATVAEGEADGKASSAIVPTNPQIEDCLSVVALPLPHRPLFPGFYMPVYVKDQKLLQALIENRKRSAPYAGAFLVKDEEGTDPNIVTGSDSEKSIDDLKGKDLLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLREVLRASSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIESKKDKCPSHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTEAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPDQEALSITENEEPSNATEKDEILKDAAVEDASVTSNMINPASEEANELNIAKETVVHEVHTTEAPKEDGVSEGKDTDGAKEDAADKAIKKVVVDSSNLGDFVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGEGKGALVLTGQLGDVMKESAQIAQTVGRAILLEKDPDNQFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAIKTLIFPSANKRDFDELASNVKEGLEVHFVDTYSEIYDLAFQSDAGAETS >Dexi9A01G0041170.1:cds pep primary_assembly:Fonio_CM05836:9A:44819097:44822744:1 gene:Dexi9A01G0041170 transcript:Dexi9A01G0041170.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGDMDMALPVVNATSAVLARVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLTRKSYKPPKKPLTEKEIDELCDDWKPEPLCPPIKEGARIDTPMLESAAGPHTIVDGKEVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAISAVNYLEENPSILSNLRSNIALLHKELSDTPGLEISSHALSPIVFLKLKKSAGSPTADLDLLETIAERVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSAGHTESDISTLSKSLKRVSASVLSDYF >Dexi1B01G0023630.1:cds pep primary_assembly:Fonio_CM05836:1B:29175245:29176714:-1 gene:Dexi1B01G0023630 transcript:Dexi1B01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFGGNGSDAENVCYLRNIVDADKLVHVMRSSPGGNAIVIGGGYIGMECAAALVANKIKVTIVFPGKHCISSLEISSGKVTTAILRDGRRLPADMVVVGIGARANTTLFDGQLAMDKGGIKVNGQMQTSDATVYAVGDVATFPVKLLGGDARRFEHVDCTRRTARHAVAAALNPSSAGDIDYLPFFYSRVFALSWRFYGDNAGEAVHFGDMSLSASSAKFGAFWVSAGRIAGAFLEGGSTEEYEAIAHAVRRGVAVPDMAQLAREGLAFVVREGQNGGSGGGGDKANYAWHATVGVAAAVSIAALAYWYGRNKAPCLVKRSF >Dexi4B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:4B:20627483:20630540:1 gene:Dexi4B01G0018270 transcript:Dexi4B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSRRGHLLLLLLAAVASACLGTAAANQAGSGEGYTIAGRIKIDGANVKGFGLPAKTSNTKVILNGGQRVTFARPDGYFALCSWYSVSSAFYTVLSLLLDHIYLSHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRALNELVLEPLKEEQYYEVREPFSVMSLLKSPMGLMVGFMVLMVFVMPKMMENIDPEEMKQAQEQMRNNPVSFSSLLSRAQG >Dexi6B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:6B:6978617:6979062:-1 gene:Dexi6B01G0006390 transcript:Dexi6B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTGSDSLVERASTERVGADEGGCEAFAAVVGGILCAGGRLAGALEADEHDDVGFITFAFGWGEGRFPWVEHVAELGEDGFVHGAAAVDAGGQRGGVGGGLDVVDGGTDGLEADVGAEERVRDVVEAGG >Dexi1B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:1B:5142913:5150166:-1 gene:Dexi1B01G0006250 transcript:Dexi1B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDADPFAAGRRSSINVFWGKALFRAAKDGILDYVKAVRIPIEMTFLSFLYLCLKDVIRILGIGDGELAAVFYDFRVLHHAALEGHLEVFKYLVEEHGADVDMAGPDEGYTPLIAAAMSDHVSLAKYLFDWGADPMKSDENGSTALHHAAGAGSCKVTEFLLSKGVPVDIDFNGRGTPLYSATSCDKDNTLKILLDHHANPNTVFIDIAIPLTIALVHRSSKCMKLLIELGRLPIEVAALKDSREEVEVLKATDYNGLSFILSLKRKNPWSNQWQISHSGRRIINLHQRCMARRAMELMKISSDEAQE >Dexi9A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:9A:5183527:5186653:-1 gene:Dexi9A01G0008760 transcript:Dexi9A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHKITLLVLMLCMVALGRAEYAKYKDPKQPLAARIHDLLGRMTLAEKIGQMTQIERADATPDTLANHFIDSAETWASMVNEKQKAALSTRLGIPIIYGTDANHGNSVRKSMVLLKNGKSAHTSLLPLPKKVGKILVAGSHADNLGYQCGGWTMSWQGESGNNFTAGTTILSAIKGTVDPATQVIYSENPDAGVVAGDKYDYAIVAVGEAPYAEELGDNLNLTIPAPGESVIQSVCKVTKCVVVLVSGRPLVVEPYLSDMDALVAAWLPGTEGQGVADVLFGDYGFTGKLPLTWFRSVEQLPMNVGDAHYDPLFPFGFGLTTTGTK >Dexi2B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:2B:946644:947665:-1 gene:Dexi2B01G0001440 transcript:Dexi2B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSDELAEEILLRLPPDDPGSLVRAGLSCKRALRLAADPGFRRRYRAFHGAAPMLGFLHNTKGARGSRRGMFGFLEEPPTVARFVPNLAASSSSCLPSGEWLVLHD >Dexi1B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:1B:555964:557377:-1 gene:Dexi1B01G0000630 transcript:Dexi1B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKLTTVSTVSTTSPSAAGSHWNSRTILATKHSAWRIPTAYPGHMHPPPGAERHHLDLLAPGDVHVVPLAAVHAVSSRPISTTMKFTSAPLGTAYPPISAPPSLCTPCGRRKSPGGCSRSPSITTAFRYGVAWPWMSPPSGMDFFTSSCSLAWSSGWFTSSAMIHSTSELGAEAGHLGVRERPVILAAVVGHAQREEGLGVARRLVVAARGVDERHEHLVLSPCQRDVRRPSPAEEALGDRREEGEDREGGVRHEHAALQLLDLSQRLLADPRAEAHVHHQPEHGAAQRRPQLDNTSRVAGAEEEEVGDEGVAGARPRRREEGDAGAVEGLGGEVAADEAPVGTAVRLGERRAVGEGGAALDEGAVGEAAVGDEDERGGEEAEGDDGAVARVEVAEERAEVEDGAPERQELGEEEQHRRPGREPPSLVAAASRAMAAVKQEEEER >Dexi8B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:8B:260522:262701:1 gene:Dexi8B01G0000380 transcript:Dexi8B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEATPKMTVLGSPVGLRSILNSLVAFSIIVSFITFLFYQGQERNMPMAIEHDDQEMQAKVVAHHEQLRVKEAQVQLTAELPNDSKEECNWSTGWWVYDNVSRPMYSGLKCAFIFPELSCDKYGRKDVMYQHWRWQPHGCDLPRFNATRLLEKLRNKRLVFVGDSLNRNQWVSLVCMVEASIPDDRLKIRVFNGSLISFKAFEYNATIDFYWSPLLVESNSDDPIIHRVEYRIIRADRIEKHANAWRDADIIIFNSYVWWRKHKADMRMKVMYGSFEDGDARLEEVEMVDGFEIALKKLIEWLGDNIDKNRTRIFFAGSSPTHSWASNWGGVNRNKCLNETEPIYKVGYKAASTDYSMMEKAKSYFGTLEQKGICVEILNITELSDYRKDGHPTVFRKQFAVLTKEQMANPASYADCMHWCLPGVPDVWNEFLYGYLMYK >Dexi7B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:7B:24301900:24303124:1 gene:Dexi7B01G0018630 transcript:Dexi7B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDLPDRPSPDRCSRLSSEPDKPLLRRCSGCLTELGTSSKRPLSGSNNCSGRAAKRPAVQQRQKHLYLVVDDWERGYSIRKVDADASLDEPPPVVRIEAQHGLSWSFASHGSKIFAMCPPESSPGIPVLDTETLGVTVCASPLGRSSVKRHKPVYASVAGDRLVALLYPHLEVLGPAPPPPPPPRPLGEAEKPWAWTEIQPQPPTPFASCLVSGYALHPDGRTVFMSVKGWRDYAKSTPIRGDRNSTFALDTESFEWSYLGEWLLPFKGRAEYDSELGAWVGLCLYNEGAGHICCCDLGRDKLFDMDVDSDTDTYVGATLVYMGDSRFCLVECRRPEGYELNRSLRDARELNMATFVVKYDKEGDLRTSKHRPYGSMSYKIAHENTLDPSPNPVAFWM >Dexi1B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:1B:23713631:23715371:1 gene:Dexi1B01G0017380 transcript:Dexi1B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLLSIPGFPSPASKLDASTPAQASRTNHYDFFIRETNVTRLCHEKAVPAVNGEFPGPTIYARKGDVVVVNVYNQGHKNITIHWHGVDQPRNPWFDGPEYITQCPIAPGSNFTYRIIFSGEEGTIWWHAHSDFDRATVHGAIVVHPELGSSFPYPKPHKEIPIILGEWWNMDVEKLLEETKRTGGDFKISDANTINGQPGDLFPCSKDGTFRVSVEHGETYLLRVINAGLSNDMFFAVAGHTLTVVGTDGHYLKPFTTGHVMISSGQTMDLLLHAINGSASRYYMAARTFQTNTELTVNNTTATAILEYTDAPPLTAGSPELPVLPAVDDLAAATAYTAALRSLATVDVPTHVDEHMVVTISVNVLPCKPSNGDTSSCEGPINGTWFAASLNNVSFVASPTGVDILDAYYHSIHGVYTSDFPSRPPVAFNFTEPEPGTELWFTERGMILRRGKPSSREATCTARAPRKIIPADYCSRSAAGEPRARENHV >DexiUA01G0002690.1:cds pep primary_assembly:Fonio_CM05836:UA:5971923:5972476:-1 gene:DexiUA01G0002690 transcript:DexiUA01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVLLLTTGVMALLAVLLVAAPPAVDAAAGETTATTHIKVYWHDVVAEAAVTNTSKTFFGLVVVIDDPLTDGPELNSSRLLGRAQGTYIAAGKDEGALLMAMNFVFQAGKYNGSTVAIMGRNAVFHAVREMPVVGGTGVFRMARGYVQARTHTFDQNTGDATVEYNLFISH >Dexi7B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:7B:23908386:23911382:-1 gene:Dexi7B01G0018070 transcript:Dexi7B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSRHGGEEGVLLDLDSPWAAQAEAERILVEVAATDAAAALQISREVERELEDEIRDNQQRQEDELMALEAIYGHDMAIFENKEGLRYFQICISYDLPDGIEVCSKLSSANVCAKDEGCSDAKTPPHFTVTAKWVDAPQVSQLCEMLNTIWAELKGQEASGKMSEKEMARELLAIRKMFSDIQICPKCKMSIVKTEGCNKMSCGNCGQLLCFRCGRAIDGYDHFWNECELFELRQYDDVTPFERHMEEVQIGRRVKVQLTPIGSTIRCPKCRQRNFKENEEYIFCWACRIHYCSLCRIRVDDKYMKSGHYRSSECVGLGNF >Dexi2A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:2A:2781477:2782022:-1 gene:Dexi2A01G0003220 transcript:Dexi2A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPSSPAPLHLTLALSPAAAIAVRRDDEEMDDTAAAPTAYFDGKWVRLFPCLFCNKKFLKSQALGGHQNAHKKERAAGSWNPYVYDGEHGGGAAMEEEPSAAAGVKVKLETPDGGSTRFFAEHSKLLPVSPAAAAVGGSGGAVEMLNWRRTSRMVMVAPSESGGGGGNSDEELDLELRL >Dexi5A01G0024170.1:cds pep primary_assembly:Fonio_CM05836:5A:28070946:28074767:1 gene:Dexi5A01G0024170 transcript:Dexi5A01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATVVSVNGKRLDPRASGSDLLLGFFFWCPFRLVSLGGRNRGSAEHLVCGVPVRLFAGNDFACGRVGVAGKMEVEERQAQKSYWEEHSRDLTVDAMMLDSRAADLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTGELAKTAGNLLALDFIESAIKKNESINGHYKNTSFMCADVTSPDLVIEANSIDLIFSNWLLMYLSDEEVEQLVQRMVKWLKVFKECHAFDQDGNSFELSLVTYKCIGAYVKNKKNQNQICWLWQKVKSTEDRGFQRFLDNVQYKTSGILRYERIFGEGYVSTGGIETTKEFVDKLDLKPGHQVLDVGCGIGGGDFYMAEKYDAHVVGIDLSINMISFALERAIGRSCSVEFEVADCTTKMYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKNAGFHDVIAEDRTDQFLGVLEKELAKFEKNKDDFLSDFSQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATK >Dexi7B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:7B:19488689:19489219:1 gene:Dexi7B01G0012770 transcript:Dexi7B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGVSKGATYSVTVTGVAGLDPAADLLSASRPTLSPVFNLTVRIDNAREKFSTHCLGSFAAAAVTYGDAFLGKGSVPEFCAGKGKVRERGARAWGHGVDLPRFLRDQMAGEIAAGEAAVDVEVTVPDQECYHHCFDTVLTCSQAKIGGGPAPCTNVVHHGHDGETAAGSND >Dexi7B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:7B:19870779:19873114:-1 gene:Dexi7B01G0013360 transcript:Dexi7B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIHHLAAHSCSYYTTTYTHCSIGFSPRVRGASTSWVRAAAEGGGDGGAGERRRRASASLAADGPRVVDVTAAPVAGGGAAGAAGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALAGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFMIIVTASSIGEELFYRAAIQGALADIFLRSTELMKDARGIASLSGIVPPLVPFAQTFAAVITAALTGSLYYIATAPKDPTYVVTPSMRSRSGRENLKKQFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSAVVLGHGLWKIHDHRRRLRERIQQVRSQGKSSDAL >Dexi1A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:1A:8416434:8417950:-1 gene:Dexi1A01G0009960 transcript:Dexi1A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKDKLAKVYEVKDTNCIFVFKFRTHFGGGKSTGFGLIYDNVEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Dexi4A01G0023180.1:cds pep primary_assembly:Fonio_CM05836:4A:26310590:26322591:-1 gene:Dexi4A01G0023180 transcript:Dexi4A01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLACANLLLAVLAAAAAFLAVAAASSSPAASDFIRKSCRDTQYPSVCVQSLASYGGPPPPRSPRELARAALSVSADRARAASAYVGRLCGGGKAVKGGAGKAAAKGGPVRDCLENLADSVGHLRDAAREMGGAGMGGRATSPAFKWHLSNVQTWCSAALTDENTCLDGLSGHGGVDAATRAAIRSNVVEVAQVTSNALALVNKVGPGFVWLREFQIFEDKASAINNNTGVDARLASMIRGCLKSEQKLDVGSYEHAQIIEENLVSSSLVRMAARKENSMLIACQAPNGRITRAQAAANRGSFGAFPSVSQHAKTERKQPAPGKTKRGSSYDNTSSSAAISAPQPKRRAVLRDVTNVSRANSSKNTTAATKLQTRPTQRVGRTVSKNKQCAKKVPRIPPPAVNKSPVANDSNIAEEKQEGPLLPQREEPALLLENRGSISLQNVERNRDSACHEAFFEERNARDKPEPSVSKTGESPALDIVDIDKDIGNPQICLIEHGRTPFLEISDMFLAHCYLFGGFILNLYVYVIPQLMRRPSPNYLDGLQRDITKGMRGILIDWLVELLMSDILSFLKIFYTSFCLLLITIVMSDTLALLQVSEEYKLVPDTLYLTVNLIDRFLSRNYIERHRLQLLGITSMLVASKYEEICAPRVEEFCFITDNTYTKAELTCLLFLTRRFLRAAQASRKTPSITLGFLANYLAELTLVDYGFLKYLPSVVAASAVFLARWTLDQSDLPWNQTLEHYTSYKSSDIQLCVCALRELQHNTSNCPLNAVREKYRHQKFDCVANLTSPELHQSLFS >Dexi9A01G0045730.1:cds pep primary_assembly:Fonio_CM05836:9A:49250838:49251254:-1 gene:Dexi9A01G0045730 transcript:Dexi9A01G0045730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGSRISDDEINELISKLQALLPESSRRRSASRSSASKLLKETCSYIKSLHREVDDLSERLSGLMSTMDNDSPQAEIIRSLLR >Dexi3B01G0034800.1:cds pep primary_assembly:Fonio_CM05836:3B:37484291:37487310:-1 gene:Dexi3B01G0034800 transcript:Dexi3B01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLGADRDGGSPPTSSDEGSFSDRSDDDDDDARAAPRGGGGGDERDAPDDGQKGTWPQSYRQSIDMLSAVPSPTVSTLMAASPSLTKFGSSFLKAGSSFFLKKGEGSSLPLTRPLLPPSLSHLSQSSLHQRPVKQSEDNLGLPPRPPGTHEAELPERPSRACLKSDYIELPPPASKCSSGQSIINGFNVLCGVGILTTAYGIKEGGWLSLLLLPLLGGSSCYTGLLLKRCIDSSPNIETYPDIGQVVLYLELYASCVEYITLLGDSLSSVFPSAHLSFTGINLNAHNLFAITMALAILPSVWLRNLSLLSYLSAGGVIATITVIVCLFWVGIGEGIGFHPSGALVNLTHLPVALGLYGYCYSGHSVFPNIYSSMKDRSQFPFVLLFCFTVVTLVYAGVAVSGFLMFGESTMSQFTLNLPQQYIPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPRKMQSYLVGMSVRTCLVLSTLAVALLFPYFALVMALLGSVFTMLVVSSELLNSPKLLQWFPFGAFGLTQQSIQ >Dexi5A01G0035180.1:cds pep primary_assembly:Fonio_CM05836:5A:37004210:37007110:-1 gene:Dexi5A01G0035180 transcript:Dexi5A01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGGKLEASVVLMLKSSDVMYNISATAMYNIGATVMYNIGQAITCQLISSTITDGALDNGNNRGKVSAEASLEQRLNTPPLLAGENQFCFRVTFNWEVEEHGVPGAIIVKNNNDTEFFLKTITLDDVPGHGTIVFVANSWIYPQSKYSYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDRQGPYEAHDRVYRYDVYNDLGEPDSGNPRPTLGGSKDHPYPRRCRTGRETKEGCESRLTLPGDSTYYVPRDERFGPIKSSDFLGYSVKALVVGAVLKKNNRMEFNSFKDILQLYEGGIKVPNGPDLDEICRQFPLVKDVMPISGDFLLKLPMPKIIKEDKKAWMTDDEFAREILAGMNPMIIKRLTEFPPKSTLDPSMYGDHTSTITESHIERNLKGLTVQQALANNRLYILDHHDHYMPFLKEINRLEHNCIYASRTLLFLRDDDTLAPVAIELSLPVVNKDDDVITNAKSAVYTPTSNTGAEAWVWHLAKAYVNVNDYFWHQGISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLHPHYRDTMNINALARQKLINAGGIFEKTIFPRKYALMISSKVYGSWSFADQALPNDLIKR >Dexi1B01G0025980.1:cds pep primary_assembly:Fonio_CM05836:1B:30946359:30946618:-1 gene:Dexi1B01G0025980 transcript:Dexi1B01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHEEVEQPGDEEDDRKNHYDEQHRRADLPIAAAAASTTTADTRRLHLRRGLGVVGRRVPDEAAEAERRIRVRG >Dexi3B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:3B:5151563:5154289:-1 gene:Dexi3B01G0007270 transcript:Dexi3B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPVSCSAGFNDQFTSQVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHMYKADRTKYEANARSWTQRYAMG >Dexi9B01G0032900.1:cds pep primary_assembly:Fonio_CM05836:9B:35025603:35028062:-1 gene:Dexi9B01G0032900 transcript:Dexi9B01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPRDHMIYRERHCPPDKEKLYCLIPAPKGYVAPFRWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIEQLASVMPISEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNNGMYMMEVDRVLRPGGYWVLSGLGSFAAALESPKLWVMNVIPTIANTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHSNDIFSLYQNKCKFEDILLEMDRILRPEGAVIIRDKVDALVKVEKIANAMRWKTRLADHEGGPHVPEKILFAVKQYWGVTNKSS >Dexi2B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:2B:10172487:10175257:1 gene:Dexi2B01G0009400 transcript:Dexi2B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITGQLLLPPLDTQLQKMPKRKTDRAHVLDKAKHLSRLNVKESGKVMLKRSDSSAISITCVHKEDLEHAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVFLFLQWHSNCFAAF >Dexi7A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:7A:20406348:20407038:-1 gene:Dexi7A01G0009380 transcript:Dexi7A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKLTMPNARSRSQAMALAAEANGVNSIGITGDLKDRLEVVGEGIDVTCLVMCLRKKLCHAEILQVEEVKDKKPEDKKKPEEPKPKPCTCPGPCWCAAGYCPPPLPMVLCEEPPAGACSIM >Dexi3B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:3B:6016678:6020169:-1 gene:Dexi3B01G0008640 transcript:Dexi3B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLSNSVTDLRDKRDKRTAVLTEQLQALEPLEAKCREDAARQEKIEVAFLGLKITGREDGFEFE >Dexi5B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:5B:640272:644638:-1 gene:Dexi5B01G0001020 transcript:Dexi5B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVHLSVHGRLRRSPLDASSHRPSLLRCRAFKQEADGDASAPSPSDEGKQRKKKGPLYKLKAAIQGLAGSRSAAAEAYGGADEYQRAVEKAEEIFFSVATQLGKYVITMMSSGVVLGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDHVVITSRSPESVLQTIKELEENIQEGISVAKKRERKTLSHAKVVGTACDVCKPEDVKKLVNFAIDELGSVDIWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLRCIPSKEKEAACLLGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >Dexi5B01G0033510.1:cds pep primary_assembly:Fonio_CM05836:5B:33881796:33885148:-1 gene:Dexi5B01G0033510 transcript:Dexi5B01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGTRRRRLVERGSDRLAFITGQTRSLSCDPIPGSPSSFESDPTHQSERQQIEGDFGGEKFNDRTELQKSVPRASIRSEARARTLSYDNLVPEFKRADIPQEIKAKPLSYEDELFRKFKTGSAVPEIQPVNETPLHSPNQETFSKKTTHDETPSVQPRREVEMRPRYVPPSQSNQADEAGWSMETLKELMNFTPQEITKAISATESNRFLASIAIAILVVLSNWGLDIGGVITRVLVGTRPLLFLIITNITIVMTLLMENRDTNARGRPVGPNLGSADSLGQMLEIGLLLQKALGALLIDCSVCAVIMICFLGF >Dexi9B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:9B:4912948:4913850:-1 gene:Dexi9B01G0007970 transcript:Dexi9B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFHGKTSKETSRLKKLLKLALSRLAIIRRPHLARSSICRSDVGQLLSLGHFHRAFLRAEQVIEEDNMLQAFDIIELHCNRLIEHAKQLDKPREDTMEAAAGIMFAARWCGELPELLLARSILEGKFGSDFAATAKEGTGIVDPMLVWKLSGDKTNMKLKKKITKEIATENNILVDFSELLEAIEQDDMDCGPYC >Dexi4B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:4B:3567731:3569738:1 gene:Dexi4B01G0004980 transcript:Dexi4B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi6A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:6A:26395978:26396633:1 gene:Dexi6A01G0018640 transcript:Dexi6A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVIVLPGDEGEGPIALPSSDDIIGGDVSHIAVPPSDIGDNLGHLLDGGDGSDVSFDVAGETFHAHRAVLVARSPVFKAQLLGSMADASTDRITLHSIHPETFRFLLRFIYTDALQGGHDEELEGSSSSSKAMERLEDLLVAADMFQLDRLKLVCAQKLWERVSPDNVAAMLGFAETHGCPELKKRCIDFFVVEKNFRRVALTEELPVCD >Dexi9A01G0048260.1:cds pep primary_assembly:Fonio_CM05836:9A:51092704:51093425:-1 gene:Dexi9A01G0048260 transcript:Dexi9A01G0048260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELVTDEGSPLRVIKPMVVIPIIVIRYIVLPACGIGVVTLATKLGFLPRSPLYRYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIIFLWTHLVAALALTIWSTVFMSLVL >Dexi9B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:9B:3052296:3060787:1 gene:Dexi9B01G0005200 transcript:Dexi9B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRGRGRGGRGGGDRDRGDQRLPFGHGGGPGGGRSGSPVSPAPPAAQVTIRAPPASPSPAPLSSAPPPRQQVAPASSSAPSAAALAKEVEKKLFVSETALAPSAAAASAAVAAAQGAPASDAEDAADVDLAPVSKKGLAHPARPGLGTVGKSVMIRANHFLLDVADNNLYHYDVSINPESKSRATNREVLNELIKLHGRTALGGKLPAYDGRKSLYTAGALPFESEEFVVTLVDPEKKEKERAEREYKITIRIAGRTDMYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWKYINDTLVFFLKGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQLDQIRRYKITGITPIPMSQLIFPIDEMGTRQTVVQYFWDKYNYRLKYGSWPCLQVGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDKFAQEFGIKVTNDLVSVPARVLPPPMLRYHDSGREKTCAPSVGQWNMINKSVNPRPFVEVKSAAPNHIENALRDVHRRATQMLAQQGTGNQLQLLIVILPDVSCSYGKIKRVCETEIGIVSQCCLPRHASRPNKQYLENVALKINVKVSVGGRNTVLERAFARNGIPFVSEVPTIIFGADVTPIPPGEDSASSTAGVGFFL >Dexi1A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:1A:24213264:24220001:-1 gene:Dexi1A01G0016950 transcript:Dexi1A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVFHTGWTVAEGLATLGFGQEAANGSMCRPIGRRVAGNGKRPGGGKGTEGRASGQKAKGGGSVGFLGLREKSSPSSYTVTLALLPLRSHHSPNPFFFSPPTLCQPIVRSSAVAAVANAKSPWRAPGHQADEAEAVEEVEANKKANLDLGSVNSMAKTQITVQGDVP >Dexi5A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:5A:8204162:8207612:1 gene:Dexi5A01G0010950 transcript:Dexi5A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRMRWMSEGDGRWELDAETPATMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFFHRFMASPFVPTFSASGDGLSLHHAHLLHLTDNWSFTILEQIHVEKLVSVVKEKLANRQEEAPWTKDLKKHLHDVMSLGIGTELLITPDTTLLLELYDIKKGDRGKAIFHHKAKNLDALKLFGIHLVICGYSFSIVTVLNIWIKWMPLHQLQLPRQNVTFQASWPGLFVDKKGVYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDETSDVPIALLPGLCAKAAVSIKKSIDAWRKKEDKLKNVQPYDVFLSDSHVSFTGIVGAVASGYLGDCSRRVSIRDEARRSNAFRMFDERNKFAAFADLFASVTFTAQYGNFQRLFLDLTKASARFDIASGSLFLCGASQLAQDFFFSRRPDIQTFCDVSPDVTVSLQQQIVGPFSFRVESSVSIDPRSQDNFVRVEDSIFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFEA >Dexi9A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:9A:3785523:3787000:-1 gene:Dexi9A01G0006670 transcript:Dexi9A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEFGREVISMDNKVKTDGEALIAAGDEEEDDVVLPGFRFHPTDEELVTFYLRRKVARKPLSIEIIKEMDIYKHDPWDLPKASTAGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSATNSGGDSIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPATPAAATATNASPSMQEAEVWTICRIFKRNVIYKRQQVWRPPVATANGLPPAESSSNTGSFESDAGDEYMNCLPAAAPSAPRQHHFSNQINMLNASGGGFFRDNLHSQQFQGQWFNSLPAAPAIEQKPQLNSPAMTIAFHQNDQNLAATDCYKEGYWEEIARFMEVNDPAVFSDCRYA >Dexi5A01G0027850.1:cds pep primary_assembly:Fonio_CM05836:5A:31223408:31223750:1 gene:Dexi5A01G0027850 transcript:Dexi5A01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLYRERRRRADIQSDTYVLMEPGMDEQFVSREELEDRLRGWLERWPRDELPPDLAKFERVDDAVSYLVRSVCVLEIDGDVGSVQWYQVEIE >Dexi9B01G0035150.1:cds pep primary_assembly:Fonio_CM05836:9B:36905226:36906475:-1 gene:Dexi9B01G0035150 transcript:Dexi9B01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSRRLVAAACVAAVALALMATPSRGQLDPHFYDEACPAALPTIKRLVEEAVAAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKSASPNVNSVRGFEVIDRIKAAVNAACRGNVVSCADIVAVAARDSVVALGGPSYNVPVGRRDARTASQAAANSSIPAPTFNLDRLASSFATHGLSLKDLVVLSGGHTLGFSRCTNFRDRLYNETATLDGALAASLRAVCPRPTGSGDDDLAPLDPTPARFDGAYHASLLRGRGVLHSDQQLVGGVTDALVRFYAANGEAFRRDFAEGMVRMSSISPLTGNSGEIRYSCRKVNYS >Dexi8A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:8A:19103963:19108601:1 gene:Dexi8A01G0010940 transcript:Dexi8A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVTPKDEERLVGLMARERPRSAVVTAGGDLVTAPGGGEGSDGDSSGSIQEITAEDFRKDSSSSGGGGALGAGASAAPRSRSWAGPPPAMGYMARSYGQAFHSFAWLQAVQKKPLVAQSAPEADDEDEVEHAVDISDGEKEEGEIEEGEAVEGSSSPPRAQPETVDLDLDAPEKSVAAEGSSGAEPAAATQEVELDYDQRVGVILEDLEMISIEEAEKYGQSFEETCSRLRVCFENLIPLYSENGSPVPILDPLVQQAFIGIDTLTNVANTFNLPRREQNKTMLLKLLFHIKNRYSYMLTPDQKDELDSRVRQLVFEEKDNVSDPSTSGGTTEINVSAPSGQVSSGRSPYESGAQNPFNGSILMRSEMPAKRISPLLDLHADYDENSLPSPTRDNAPPFTMPKPVGFGAFPMVPERPSFPERAESGRNSMYPPLNDPLKAVSSYQQKYGQKSVFPSDDLPSPTPSGDEGKSPDKASDIFGEVSSFPGPKKTALPNTSQMSTSQPNNTVSSSKVNYAGGPPGYGKQAELLATGPNHALKATSKSRDPRLRFLNRDSAGATDVNQHVNFSEPKDGGVGVGVGVPINSRKQKAVDEPQVDENMLKRFRHGARDPRNMVVPTGNSNQPMTNMRTPPNSSGTITSFLQPPQSSAPQLSAPPAVSLPPSLLKDIAGNPTVLMNWIKLEQQKMSSELQQVSTTGAMFSGTTSVGTAGTFLPPGSAPNTTAAQVPSVRPQVPVQTPSLNSQTDAGILRMKPRDPRRILHNNIAQKTDAGGLEHVKSNGTSQPDSQGNKDQTTSVASQPAMVSSIARPSTMSTKHVDPVSNSQLAATALMVPTQQALGSINRVDPRLGVEQNGHNADAANAAATPLEAAHPVSPWGDVDHLLDGYDDQQRALIQKERARRITEQHKMFSARKLCLVLDLDHTLLNSAKFIEVDSVHEEILRKKEEQDRSLPERHLYRFHHMNMWTKLRPGIWNFLEKASKLFELHLYTMGNKLYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNRHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHRNFFSHPNLNEADVRSILAAEQQKILAGCRIVFSRVFPLGDAKPHIHPLWQTAEQFGAVCTMQVDDRVTHVVANSPGTDKVNWALSTGRFVVHPGWVEASALLYRRANELDFAVK >Dexi1B01G0025120.1:cds pep primary_assembly:Fonio_CM05836:1B:30273508:30275194:-1 gene:Dexi1B01G0025120 transcript:Dexi1B01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERYAQTNMMKLANRMQFGVPEESSFGDGLGKGYGLLGQAGGGKLRVSAGQSKLSTKIAKRFRERNCGSSGSRLGLTSSLSFTPVQGIELSNPFAQGGSGTENKYFSDTGTFSKIKV >Dexi1A01G0028040.1:cds pep primary_assembly:Fonio_CM05836:1A:33776743:33778193:1 gene:Dexi1A01G0028040 transcript:Dexi1A01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHANTAAAAAVGGSGSSKAGVASSSTSSASSYGGSESRLRLRKGVHLRRLRRRVADRGGGGSNKGNDGDGDVQDLALPLGMSFAAVLSQVVNRYSGSGRSLQPQVLSKMCISAVKESLTNIRDFHEKL >Dexi8B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:8B:7989537:7995030:1 gene:Dexi8B01G0006830 transcript:Dexi8B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNEWINGYLEAILDVGVKPRGRAEPLALTPRLLQAPALADAAAYSPTKYFVEEVVSRFDDRDLHRTWTKVVAMRSSHERNNRLENLCWRIWHVARKKKQMEMEYSRQLARRRLEQEVGSREAAEELSEGEKDRPDGAAPPSSADGDQHQQSRFARINSEVKIVSDDEDEVPKDERNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVHRVDLLTRQISCPDVDWTYGEPVEMITRPSDADDAVDGAGAYIVRLPCGPRDKYLHKESLWPHIPEFVDRALAHVTNVARALGDQLAATPGGDATSAAPPVWPYVIHGHYADAAEVAAHMASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYRIARRIEAEETGLDAAEVVVTSTKQEIEEQWGLYDGFDVMVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDAGDLADGDGDLQALMSPGKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDIIKALHNGLLVDPHDAAAITDALLSLVADKSRWLECRRDGLRNIHRFSWPHHCRLYLSHLAANCDHPAPHQLLRVPAGSGAGAAATATGDSLSDSLRGLSISIDASHDLKAGDSAAAIMDALRRRRATDRPAANNSAAAKAIGFEPGRRNSLVVLAIDCYSGDGSPEVEKLKKAVDMAMSVAGGRVGCVLSTGMTIAEAADALRACGVDPAAFDALVCSSGAELCYPWKELAADEEYAGHVSFRWPGDHVKAAVPRLGKADLAGAQEADLAVDDVASSVHCHAYAVASASKVKKVDSIRQSLRMRGFRCNLVYTRACTRLNVIPLSASRPRALRYLSIQWGIDLSKVAVLVGDKGDTDRERLLPGLHRTLVLQGLASHGSEELLRDEDGFLTEDVVAMDSPNIVTVADDQAAADILKAI >Dexi5B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:5B:20613262:20615432:1 gene:Dexi5B01G0018420 transcript:Dexi5B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLVSSKDAPNPKSGGSEQARREPCRDAAPRRFEQGGNPVPWLRGLQGGSVVVLYASIYGDVPMVVNLSGRFYLEKGVKERLGKEFMDRINKEGYIDVLNKSGKVLYRVTKDSLMERLNTDMHAASLSTSKECRFFTIHGSADKIIPVEDAYEFAKLIPNHKLHIIKKANHGYTSHRKQLCDAVINSIISNEAGNIPS >Dexi6B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:6B:4141986:4142501:1 gene:Dexi6B01G0004860 transcript:Dexi6B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAPSARPPPSAVAAASSPMKPPPARVPQQRRATLVRSLPLPRPPKGGLAAAPPRRRVPTSCACSPTTHPGSFRCALHRSHPSSQAQLPASSGLTSAPRRASMANPLVRVAAAEGGGGDNIRRSAMASVARPPQHRRRAHAFRPGPSRLSVVSSAASSDEPPRRSEEK >Dexi1A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:1A:1274816:1277482:-1 gene:Dexi1A01G0001910 transcript:Dexi1A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWDSEDFQPVAPVVKAEPLKNQWADEDVEEDDVKDSWEEEEEEKPKPPPVEKAPVKPSSKAPAKKGKQQASTSAEEPDEPPLSPTSEKIRQQRLVEEADFKATTELFKQKGGEQKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMTPLKGADAKEISSSVTAIANEKIKAEKEAAAGKKKQGAKKKQLHIEKGDDDFIPGRGGGYDDPDEYDFM >Dexi3B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:3B:15182061:15182933:-1 gene:Dexi3B01G0020160 transcript:Dexi3B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLAKWLVQFTISWTIYDITIAYKHRLPTFLDNVYGIDPSEVHIHINSIQVSDIPTSEDKVADWLIERFRLKDELLSNFLTLGHFPNEGTEGDLSTLKCLANFTAVVSVTGILTYLTLFSSVWFKVFVAFSCALLTIATCYSIHLPQLIGSPGMSARVKEA >Dexi7A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:7A:30264306:30264683:1 gene:Dexi7A01G0021730 transcript:Dexi7A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRPGPPNPLSVICRFWIALSPATKQSDKGVSEKTQSDKGRRKKKSRRSGGGKSPIGASVAVLVASLCSGSLGSVLLPCRQTQHEAGGRGERGGRRGNVAWHAREGEAPLLPEEGGL >Dexi1A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:1A:2156988:2158340:-1 gene:Dexi1A01G0002980 transcript:Dexi1A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETPPDATRPALLLSTSDCNEGCIVYDVRLEAVGSGTPESSLRPSMDPILCLAASPDHTNYTELAAVSGGATIVSLVAGSPDTLVHDLATEAHTPGPRLRASKPRPIMLPVGDHTVLVMNEVLRPGRHCFEALRRVPSAGGDTWLADALPDPPIAYAMDDDEDDMDDDEDTYHPHVMAYFAIGSRAWISVSRVGTLSLDMDHGGASWQVEGTWELPLLGRGLFVPELGVVVGIPASAHRHSMKKERCYQVCALDVEARPPVVRRKWGIPPERVEEVPPWEVSLAHLGNGRLCVARSIVVKVPHHEGGLCEARGTSFTLVDVRRRSPGGELELARHGKVHGHTT >DexiUA01G0003140.1:cds pep primary_assembly:Fonio_CM05836:UA:6488474:6489406:-1 gene:DexiUA01G0003140 transcript:DexiUA01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDINKPPTQHHSSQAITMATIAFNGLCVGIAMAFLLSILSQSSPGEIDGALQAPGNAAAQAIPLAVATFFTAVTFIYIHLLHGGRRMIQEVMLFILCVSISLLDLSLTMQPGPVFQAPALVAAMAEVLPLAAVATFFLAVTLIYYAHGNGGHDGAAGAANQQNPAAAVELLNSVTLAATLITGVLGLIAAVLYINPK >Dexi3A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:3A:1834834:1837155:1 gene:Dexi3A01G0002720 transcript:Dexi3A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVTDTSLSSSSGIGDWSTGTLAIILTTSPIDGRCSGCGATHASATCSIATISSSMSRYRSSSTSNTSAVHSSRTTDRTHSGRLAAAAALLFLSLLCASAASGNGCGCCAAGDLPRLQHQHAEAVHVGLLREALVVRLLGCHVAAESGDGVRWAEEERQAEVGDPGGAAGVEQDVGGLDVAVRHAGGAAVVEVAIGEVVVDEELLLLGVVEGPEGDEVRVAETADEVHGLASRRRFTATMRPSPSTARYTVPRLPRPTISADARSSSSSWNLLRLSCRCDVSGAPSLSSSSSPPSPSAPKNTSLLRAPSLSQPSLHLPALPGRAGCSPLRWWPNSMPSPSSRPTRPRLFLFLAPPGSPLPFPQQQHATSSDARAKKKRALPTAIPAIAPGLNPALPSDSGVASAGEDEATVEDAAGLDGTKEEGTEEGGVGAGSRGRKEQGRSGGAGPQRPALPAKEVRPNLARMASGTRPESWLLEALNSTRRGIAAVTSGRRPVVLELEREEAGELAQRRRRRDGPAQAVVGEVDADEAGEPRDGIGDGAGDVVVGEVEQHEVGDAAEERVERAVEAVPAEVERAELGEVGERVERAPQVQRRERERGDAAAVGGAGDARPAAGAGVAGAPVGGQDAVRVGAVAEDGTAPAAAAAAKLRHARNAMERSNNGTAIAVVRTCCDECGCCC >Dexi2B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:2B:9971869:9972602:-1 gene:Dexi2B01G0009180 transcript:Dexi2B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSLKLLGPGARKTKVPPAAVVAIICVRYVILPLIGVVVVRAARDMGFLPPDPLYQYTLMLHFAVPPAMSIGTMSQLYDVGQEECSVILLWTYLVAALALTIWSTIFMWILK >Dexi4B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:4B:18114195:18115607:1 gene:Dexi4B01G0016100 transcript:Dexi4B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAKTKYPRMTMTPRTKQQLNKFFKNHAPDMADLVPNNQGQGGGGGGGDNGQSEPGSGTSNSSQGSNGGGQSQRAPATNAGMYFYSYSVGTPPQTVSGALDISSELVWTQCSCATCDVSTSTTPFDPSLSTTVADLPCTSTACQDFVNQTCDAPECTYVYMYRGGVANTTGYLATDTFTFDTTSRVEGVVFGCGFDSAGDFGGASGGVIGLGRGPLSLVSQLQADRFSYYFVPDDSTGNAESFIHFGDDATTQTSHAVSTPLLATSDDPNLYLVGLTGIKVDGKDLAIPRGTFDLQKDGSGGVVLSIAVPVTFLEESAYKLLRQAMASGIRLPTANGTEALGLDLCYTSQSLAAAKIPAVSLVFDGGATMELEVGNYFYMDAGSGLECLTILPSDSGTSLLGSLIQAGTHMIYDIRGSTLTFESLEQASPPSNSSQGASRPRRSSAPPPRSPVVIVHFFFWVVVFMVL >Dexi6A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:6A:992503:994403:-1 gene:Dexi6A01G0001120 transcript:Dexi6A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGDSNAKHTLKSRGGGVTAMAVGDEESDYFPPTPRKDWSTGLLKLVTATVIFMGGVVLGLSVSGSVARYYYNSSHAELFFPTTTYGCDPRDRDCGLGLAFKAFVHPPRLAHSMTDDELFWRASLVPKTEDFPFQRVPKVAFLFMTRGPIPFAPLWDKFFRGHQGLYSVYVHTVPDYKLNVSKTSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHIMADQWRKGSEWFELNREMAVQIVADYKYYSVFRKHCRPSCYPDEHYIPTYLHLFHGPLNANRTITWVDWSRGGPHPASYGAADITEEFIQSIRNNGTQCFYNSKPTSVCYLFARKFAPNALGQLMNLTSTVLDF >Dexi6A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:6A:7683900:7684514:-1 gene:Dexi6A01G0007770 transcript:Dexi6A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMLATEYVKADKLLKCLIEGVKIYLSRHSTCSKTEVNAGAETTDSEEENTKDLVETCRQLSRYMMYLLVNHPSLLPLRVSAVDTLRRCQSTNLKDDVLDQLGGFQALPSSKEILKELRDLWTRLIIYAAAYVAPIRHHAAVSPYGHGGHGDTPNTAGIRVSP >Dexi3B01G0037270.1:cds pep primary_assembly:Fonio_CM05836:3B:40058599:40060076:1 gene:Dexi3B01G0037270 transcript:Dexi3B01G0037270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRCPPDPKPRLRPPPRAPPREHGNPPRSSGDLRPRRQRGVTQGPEHRAASHSPPAAESGGAAARRELQEERQETAGLELTANPRVARPPWLDRGGEWSGVAVWVRSCPYRAPLETRDDHRSGRPRKPVTCAEGQHGHFAPMRRGPLSPYEDSSPFPTPHGERMTANAPVHRGLISAVRLADGRS >Dexi9A01G0035030.1:cds pep primary_assembly:Fonio_CM05836:9A:39695584:39697871:1 gene:Dexi9A01G0035030 transcript:Dexi9A01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATEAMSPAAVNSMAIGAAGLTAFEPCAWGDFFINYAPPFSQESEERMRERAGQLKAELRRRMSEAAGEAMSVADTVTMVDTLERLGVDCHFRDEIATALRRVVVLDDCESLDDDDLRIVALRFRLLRQHGIWVPADVFDRFRDETGSFSESLSNDPGSLLSLYNAAHMATPGEQALDEAISFSRSHLESMRGKLASTMAEQVSRALDIPLPRLPKRIETMHYVVEYEKEDGHDPMVLELAKLDFNLVRSLHLKELRDLSLWWKELYGNVNLSYARDRLVENYFWTCGVFHEEEYSRARMLFAKTFGLLSLMDDTYDVYATLEDCYVLNEAIQRWDESAASTLPEYMRMFYINLVRNFQGFEDSLLPHEKYRVSYAKKAFKLSSKYYLDEAKWSSENYAPSFKEHVEVSVMSSGFPTLAVVLLMGAGDLATKEAFDWAIDVPDVVSASGEVARFLNDIASYKKGKNKKDAASSVECYAKERGVSGEEAAAAIAGMAEHAWRTINGSCVEMDAALLPAAKLVVNLTKTLEVIYLGGRDAYTFAGDLKDLVVSLFVDGPAI >Dexi2B01G0023660.1:cds pep primary_assembly:Fonio_CM05836:2B:33224529:33226901:1 gene:Dexi2B01G0023660 transcript:Dexi2B01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGARSSLPLLLCLLLAAALRCPAATAARFACSATAPRASTCQALISYAPPNGTATHTLASVRALFQLRSHRGLLAANGLPLSTPPTAPAPSPLRVRLPCLCSGGAGATFQRPTYKVRAGDTLDAIARGVFAGLVTFQDIAAANNVSDPNKVAVGQQLWIPVPCSCDPVGGEPVVHFTYVVPAGSSVESIAQEFGSTEENILAVNKMTDAKGLVAGQVLDVPLRACGSTISNTAIDRNLLVPNGSYILTANNCVNCACSSSTWMLDCQPTQGLSSSFCPTAKCGDMFLGNTSSTSSCESRTCSYAGYTNSTSFSILSNITTSNVCTAGLSPMAQPAHSSAFRLELVWLRWTELVVSLHVVLLCLGYLRQD >DexiUA01G0016770.1:cds pep primary_assembly:Fonio_CM05836:UA:35859936:35861398:-1 gene:DexiUA01G0016770 transcript:DexiUA01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNASSSKAGELDPPLDAIGFEVEELSPSRITGRLLVSPTCCQPFKVLHGGVSALVAESLASMGAHMASGYRRVAGVQLSINHFRSANLGDTVLARAVPVHLGRSTQVWEVKLWKMDPSTGEKGHQISESRVTLLCNLPLPEKHKNAGDALRKYSSKL >Dexi2A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:2A:4388799:4389386:1 gene:Dexi2A01G0004870 transcript:Dexi2A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPCCHAVKIPAGNIVKRWTVDAKGVLPAHMIEHENDKAAESSLSSRLSDMFVLILEFSKTCSRSEKTFEAGMAGLVQLEKELSEYKQVKDVPVLSEKSSHSAAQGSDVQGMSAAAKDDTTSAAQKQRTEAEAPVLKRKNVQHTKAKRAH >Dexi5B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:5B:19689937:19693622:1 gene:Dexi5B01G0017800 transcript:Dexi5B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEQPHRNGLYGDTNNSEEQRSGCSASECWGYVLDGLMDLHLPDAQEDAGIATCIRDALLYGCAEFGTVASKVEMDSEKTVTANTAKCQNVLMNLLRLEEMGDQVTVNQMLEVCRSPKCEDAPHDNSRAMVVSNVNSVEGPELAEIDTGGSCKICGDPEDDDKRFLICGHSHCLYKYYHIRCLKSKQIAGDVQRGKPCCCDEAYHIYCITPRRTSIPKGRWYCSSCSAEKAKEGMKQYERRTLKLLQKD >Dexi7A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:7A:13839889:13844569:-1 gene:Dexi7A01G0003750 transcript:Dexi7A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNVAISAALCCQLGPNIRALRMELLYAQGMLENSQGREIRGPALSQLLQELWQLAYNAEDVLDELDYFRIQDELDSTCETATADPRGLVGGGAALNARHTMKAIARLLGLRKGSPGGNGVEEQGDVRQLGALSCARPRPGQKSASCARRTARATERSSEKGGLHGLRSLQVLVIWSCPKFFSGYKTSFSSSYCPFPSSLEALTLIDVEGFGTLKPLSNLTSLAELCLEECGDDLRGEGLLPLITQGQLSRLKIQRCPYFFVGSDLTMGLQNDDYRHLLHSPSKLQMLQTDDVAGVLVDPICRLLSFSLRMLKLQETHEVLCFTEEQEDALQLLTSLEVLQFSCCFELQYLPSGLHTLTNLKSLHIWACPAINSLPKDGLPISLKELVVHDCHNKELQQQCEDLIPCHPEIKIC >Dexi1B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:1B:24667588:24669757:-1 gene:Dexi1B01G0018480 transcript:Dexi1B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTPGAVLLDENMPIHRGKRNDAPRAKPLKPLEKKPGLQERKALQDVSNFANGTALKDRSMKGKSQQRKALQNVTNTTQSKDRPSLKEQRSTLKERSGLGKHDKSKNAMNGLRMGWRELTSMIIRSRIGICKTNEVDKFFEEEKGLELEPEILPNISWGISHSGDKAKVVAEDPFTDDELDQYPFLDNNPAMFELRDEPAIPQLGVY >Dexi8A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:8A:16925876:16928062:1 gene:Dexi8A01G0010220 transcript:Dexi8A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding EARDEGGPGSSEAPFYAMLAAAVAVPPAASYYSPLKPARHGIPRWGISWNCRAARVEAGYSQLEKYDNNGTPIGLSEMLTSQRVGIVFQFPERYFLADTVLEEATFGWPRQKADLLFKEQLALNLQNAFNSVGLTTISLDEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVL >Dexi1A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:1A:14570910:14571440:-1 gene:Dexi1A01G0012870 transcript:Dexi1A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVTAAATVAGGAHAQEAEEVRIFYQRYGHGATKVLLIIGFAGTYESWGPQVKGLTGAVEPVDEEAPAGDDSGAAEGIEVCCFDNRGMGRSSVPANKSQYTCVHRVSSPLFTLLHSFPFAYAMATQILAG >Dexi3A01G0028270.1:cds pep primary_assembly:Fonio_CM05836:3A:28819585:28821139:-1 gene:Dexi3A01G0028270 transcript:Dexi3A01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVSASTGVMNSLLPKLTRLIEAGQQRGERLDEQSKEWRNKVRELSFEVKRGGADTSVVQKTAKKIRNIWSRHKIANMIQGLKASVKEESERLLRYKVDESIANTGTIQIDPRLPALYVEADRLAGIDDPRDKIVDWLMKDDSTRKLKVVSIVGFGGLGKTTLARQVFNRIKSEFVSQAFVPVSRNPNIKKILTDMLKEIGCGVDTSDDERQLIDKLRAHLQDKRYLIIVDDIWSTAA >Dexi9B01G0045270.1:cds pep primary_assembly:Fonio_CM05836:9B:44814240:44815406:1 gene:Dexi9B01G0045270 transcript:Dexi9B01G0045270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQGASIDDYLQNSPRIVGAVFPDQRKRRKLNDEEWSVQLLPIQFLFLSASPVIVMRFVRKSGGKEYPPNVPIHATSLLLMEVTDYKLNGLDSNSMPSHLALTVRGLMYPQREGRKSLRGHVEMTVGFNLPPVLALVPESIIRGVGETVLRRLAEQMKQDFDTGLAADFKKYSREKLTDRRSSP >Dexi2A01G0026590.1:cds pep primary_assembly:Fonio_CM05836:2A:38158501:38161304:1 gene:Dexi2A01G0026590 transcript:Dexi2A01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPLRWEPPSGIGARGLCRPPDPNPDPSRTTEGVEHISRLPDDLLLQVLVRLQCTRAAAHTSLISRCWRGLWRYLPELYFRDITPGALDTALSQVARGQLCLLDIDVPDEHRYSAAGVASLLRTAALLAPVVLSVSVCGDISDRDISVEVPIFERATSIKPNVWNLYLVPPAKGGEFTVLEKLSISGCHVDDGFLVQMTGGACPPTCHCQPLQDLGNQNISLIALEEVALENVRGSGHEVDFVKLVFRCAPLMKRMAVKLAPKVLPSSRGCKEICDIFKANPSVTCYLYSSRGKQVVHA >Dexi4A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:4A:2492480:2493621:1 gene:Dexi4A01G0003440 transcript:Dexi4A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCQQSYGFLPCATTVLGNLFLVITYGLLMYKGGTYLSHGSELLHEILGPGLVGGLLLPVLGALPEALIALESTVVTHERRRHYDERVDKDQPVMTICSRDNNG >Dexi8B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:8B:3429206:3430515:-1 gene:Dexi8B01G0003920 transcript:Dexi8B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGNKPRDLGGSLPVPNVQDLAARPAAELTPAILHRYLRGADAPAFSSTTTIFRDNDNTSSSSSVPVVDLARLLDPAHADEEAGRLRAACEDWGFFHVANHGVPGHVINDVKDDIEAFFNLPLAEKNGMAAQGSSGIEGYGQAFVVSDEQKLDWADMLFLATLPPEYRSLNLWPTKPATFRTSLERYSVEVQRVAADLLRAMARNLGVAEEKMMSIAAAQTVRINYYPPCPQAHDQVLGLSPHSDAVGLTLLLQVSQVPGLQIRKEGKWIPVDPVPGALVANVGDVVEMLTNGRYKSIEHRAVVNACHERVSVAAFHSAKFGATYGPMEEIVGAAGDGEAPRYRTIGAEDYVKLLLSSKLEGKNIMDAMKINPL >Dexi7B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:7B:25751928:25758107:-1 gene:Dexi7B01G0020440 transcript:Dexi7B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALTVETARVGKLQITLPSVSNVQVEPIEVNIDKLDLVLVEKDDSENLSPSSTTPSPSATKSSGYGYADKKLEWQSLSVDLLPHPDMFTDARFNSSSSQDNKRDDDGAKRMFFGGERFLEGISGEANITVQRTEQNNPLGLEVQLHITEAVCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQVTLQEQSCLRIASFLADGVMPNGSTVLHDPSINSLSFSLKEFDLSVPLDSEEITRCSGTKNTCPQSSFSGARLHVEDLYFCQSPSAKCPLLNLDKDPACFLLWEYQPVDASQMKWATRASRLSLSLETSSTSNGQRAARDSYANLWKCIELDDIRFEAAMVTADGFYTYFGQVAERISKVSKAKVSGVRLGGGMTYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLAKLFKSSHLTEEENERSNVDDHNLKFDLGVPDDLDVSVELRNWLFALEGTEEAGIEGLQAIKPRLVDKHTRKGTSNNHQMGSGFNNASSIGDHGVDVEATMVIGEDEIEGAKWTMDNVKFSVKEPV >Dexi4A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:4A:19282368:19283909:-1 gene:Dexi4A01G0015870 transcript:Dexi4A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACINFLVLLCTIPIAGTGIWLASRHGGEDCARLARWPVFILGALLLLVALAGFVGAYRNRRGLLACYLFAMAALITLLLALLVFAFAVAHGSGAYPVLGRAYDDYRLEGYSPWLRRYVAGDPERWEGIRACIAGSDTCRKLATDSSFIVPEQFYMTHLTPIESGCCKPPTVCGFAYVSPTAWASPANPAADADCAAWSNDPAQLCYGCASCKAGVLGSLRDEWRRATVALLVATVALIFVYVIGCSAFRNAQTEDMFRRYKWGNY >Dexi2A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:2A:2215103:2215960:1 gene:Dexi2A01G0002730 transcript:Dexi2A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGAMGNLAPKLLQLLQDEYKLQKGLKAEVKSLAQELKSTHAALCKVAQVPPDQLDPQVRLWARDVREASYDMEDILDTFLVRVDDDHRSADADADKGKFERLQEKMGKLFSLSKLKARHDIASAIKDIRKQIQEVAERRDRCKVNEIVATPAESSTVDPRLEAMYKEVSQLVGIKEAMDELISMLSLQGGEEVSNKKLKTVSVLGIGGLGKTTIAKAVHDKLKSDFDCSAFVPVGRNPDLKKVFRDILIDLDKRKYSDANMLIWDERHLIGELRDFLSNKR >Dexi2A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:2A:6207851:6208223:1 gene:Dexi2A01G0006590 transcript:Dexi2A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKVSPDPSAPRGDERAGSIPGFAPLVGEDRRRGRRGREV >Dexi4A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:4A:1449039:1449326:-1 gene:Dexi4A01G0002160 transcript:Dexi4A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAAGAGSFTTRLSWRRGAGAGGHHHQDADGPANKATQRDGEKPRSWQRRTHGDGEPPALLPRFAPEFDGIDCFETIVSH >Dexi5A01G0028870.1:cds pep primary_assembly:Fonio_CM05836:5A:32064050:32068335:-1 gene:Dexi5A01G0028870 transcript:Dexi5A01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANGKLSNLQGMEQPAAAVAAAAPEEGRGEEAAAGWAWSWGAGTDGQLGNGGFDDYHLPQPLLLPIRCRGRVSLVAGGGAHAIALTNSGKLFMCGDGSFGQLGTGDNHCRNLPFEVAYFTTRHIEKLALGMRHSLVLLKDFKIVNIYANGDHSAALDEYGHLYIWGRALIGEHDNDQPRAVLPSLSISQVALGWHHALVLSERELFIIGVYRHQKCDLPVPGNAAGQQPKTSAARSSHDVSSSMTTLQKIEDQSSLGAGESMDNLAWVTLLTRWFLRE >Dexi6B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:6B:10291113:10293992:-1 gene:Dexi6B01G0008250 transcript:Dexi6B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGGVCTDARRFFFPFAVLAFSWPVQGTGASAMGLLGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVNINLRNCRGQTGLMQACLYGHWKVVQILVLFKANIHRRDCFSGATAIHFAALKGHTRCIRLLVADYVPSLSEFWNIMRGKSKDETKKDAFDAVSLRRLINGKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIATGANIEAENANGYASASLS >Dexi4A01G0022640.1:cds pep primary_assembly:Fonio_CM05836:4A:25950361:25951216:1 gene:Dexi4A01G0022640 transcript:Dexi4A01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSTQQPAAAPVKLITAFGSPFAHGVEVALALKGVPYERVVEDLSNKSDLLLAHNPIHRSVPVLLHGDHRAICESLVIVEYVDDAFHHAAAPRILPADPFLRATARFWAHFIADKCLRPLWMWTWTDGEAQARFARETKGSMAILEAELAAGNKRFFGGDAIGFVDLAACTLAHWLYALEEVAGVRLAADGEYPALRRWAKEYTSDETVGRFLPDRDELVAFFAANKERYTAMVRAAVQQ >Dexi1A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:1A:353088:359536:1 gene:Dexi1A01G0000580 transcript:Dexi1A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSPDDQTDADFFDNLVGDDDAPAPASDGSSALPRGVSDISLADDDPPAPPPATEAAAPPEGGSPGSAKAGAGVHTTVKQVQWASFGGGPDDGADPFADLAGGAGDDGFFGSQQTLDTSVGTSDHSFFGGNQSLDAQATDQDFFGGTSVSSDQNAGGQLQRTGSAEVDSTDPRYLETMYPGWKYDEATQQWYQVDTSNATGNAAQALDSSSQNLQQLGGSSYMQSSAHAGLETIAEEGSAAAGASSWGQEGASEYPPNMLFYAEYPGWYFDTNTQQWHSLESYQQAVMQAATTSAVQAVANDSAVATSAGTGYNAKQAEDLAVHNQVTQHNSFTNSFAPQSQRQTTGAFGNTMQSESATDNSLMTSSFYGFDQHANADNTNSSTSQQVGFNTAETATDHYGAHKGFEPSSLQSGYSSSDSQQSSYKAFEPSTAYQPGYKAFEPAMGHQTNHKVFEPSMGNQSGYKAFEPSMSNQSGYRAFEPSMGHHGAFMPSTGHQTGYKGSEASTTVHQASNKEFETSTGHQPKYMGFDTSANHHGYGDVNGAMNTRGFVPMQSTYHVQNQANTNPQGHLSNNYLGTENSMNFNQQQFLGANASSLQFGHSPHEGRSSAGRPPHALIAFGFGGKLIVMKETSSMTTSFNSGTQGNSSGTVSVLNLSEVVLDKVDPSSITNGSALDYFNALCRQPVPGPLVGGSAASKDVNKWLDEMISLYEPSSTEFQRGDTRKLLISLLKILCQHYGKLRSPFGSDPSQEERDGPEIAVTKLFSSCKRSSVHMGNFATVQCMRNIPSEVQMQAVAQEVQNLLVSGRRKEALQCAQGGQLWGPAIILALQLGDQFYVDTVKKMAHSHFVSGSPLRTLCLLIAGQPADVFNVENNVNSDYGTSHQPMEPVPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKIEVWIIYHLKCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKISDSLRYCQASMKVLKAFGRAPELEAWKQLFSSLEDRIRTHQQGGYATNLAPGKLVGKIFTSLDKSLSRMMGTPSAPLPPLPHGSESHSAPGAAKFVNSQSLMAMSSLMPSASMQSMTEIADNSGGAGRKIAHNRSVSEPDFGRTSKQGTGSDGTQSSASGSGSSRFGWLGSTLQKTMGLVSKSHRQAKLGDQNKFYYDANLKRWVEEGAAIPAEEPPLPPPPTKTMFQNGMPDHKLNGPMSGNHAPNGVTEWKPSNSSEQGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKSGAPGAVPSYNKPAAPSVTPPAGAKFFMPTAAAAVDQPHQAVAQIHSETIHQDERSASPPAETSFSSPPPSTQFPAPVASTIYRQSSMDNIASPYQGSGLSSVSSNSSFSRSRAASWSGTYPEQFSALGATRSPEGQNMPSPLVPGKPSHSRSNSNSSLQLNGLAEDLHEVEL >Dexi9A01G0032180.1:cds pep primary_assembly:Fonio_CM05836:9A:37030784:37034040:1 gene:Dexi9A01G0032180 transcript:Dexi9A01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLLLIALATLATAVVLRHFLPLLRSPGLPKGSFGWPLIGETIAFLRPHPSNSTGGFVHDRIARYGTVFKSHLFGAPTVVSCDEELNHFVLHNEERLFQCSYPGPIRTILGESSALVVTGERHRQIRAMFLALVASTGLKPAYVASVSESARSVVASWRGRDTVTFCEEARKFPYKVIMEQVLGLSPDEPVARRILVEYQTFMKGVISFPLTIPGTPFARGMKARKRISDTMEAFIEERKKNGSSKQGVFLDVLLANKDLSHDDKVAFLLDSLLAGHETTSVLLSILIYFLAKSPNIVEQLKREHESVRSSKGKEEPLTPEDYRKMDYTQRVVSEALRCGNIVKLVHRKALQDISFKGYVIPAGWKVLPILGAVHLDPSHHVDPEQFNPCRWEGLNQTNSKSFTPFGGGQRLCPGSEIVKVEAAFFLHYLVLNYRWKLDGEDVPMLHQYVEFKRGLPIQLEPL >Dexi7B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:7B:16778303:16780250:-1 gene:Dexi7B01G0009090 transcript:Dexi7B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARPRSGSFEAGLRVTATASSVSSRDHHKQQPSSPRLQRSRSPAGGASKVSPSPERRRSVGGASAAMQQQRVAQLEEELRREREEKAKAIKELEEVRKDGESAAKAVAEKVQLLEREVDKSKESERKMLESLIYQTKQLEQTKISLEEAKLEIAALRQANKGLESAAARRVGGVQEQRSVRDLMFGGADEEIRVLRGELRTATQAEERSRKAADDLSVALSDVTMEAKQVKLWLSEAQAELESANAEAERLRAALAAAESRLRAVSGEHERCRVEAEEAAASWGDKERVFLDCVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEASAVKDALELARAENERLGEAVADKDAALQSLRQEYECVKVSEAAAQGSLKELNSLLAATTTTTTNTACSTPAPEYGFDQRLMANGSKDGTPQRWMAEKPRTPSSRRRYSIGEPGKLKGGLSQSARMGNLNPKERVFASLSNIADLKSAADAAMDDFDDEFDHIDESHYVDMEDSMNKHKKKRPIFRKFGDLFRRKSFYKPNLAPVHTL >Dexi2B01G0023480.1:cds pep primary_assembly:Fonio_CM05836:2B:32983091:32983765:1 gene:Dexi2B01G0023480 transcript:Dexi2B01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRKKLEFAPPLSILCSTKFCLITELLLPCFAPSEGRRTSSPAAARIGGWSRTPRRWGAALQHPSCARLRRRRGARHGNPRSVGFGDGGERRGGSQLGGGDAPELTRAVPRRETGRALSSSPRREPREGVELLAVEGAKGGRGAPSHKGWQGRLSARHPNGGIERL >Dexi3A01G0035890.1:cds pep primary_assembly:Fonio_CM05836:3A:41256156:41260256:1 gene:Dexi3A01G0035890 transcript:Dexi3A01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCGGGGIIPASRFLRRRAAVRDLGRDAAAEADAFWAAPPRLYDFSQQQQQKLEEKEDPHPAVAQRSPPPEPEPCSPNPIIFVRRRSAQRSPEPEACSPSPLAALRSPSLGPESTSLLLALQRTCVGWGATKRLEYPSRHRPASPSQAAVEGMNRLAAAATPCGGEDQQSASVGGGGDKKRKWLEEAGKDDDEAGKEIGREEDKPVVPAKAGKSRSRNKRKARWSNLRRRRAARRAAKKATRAVKEEQDAAAAAEEEEENSQDVRPAVVEAEKERKSRKRPGSSAHGRSPAAAKRAKKGPLKEEKVEEDEELAEEEEEEAESKPATPAPASKPERGSPGGKVERWSAWRYAAGEAALLDILRARGAIAGKPAPRAELRAKARRHIGDTGLLDHLLRHIADKVPSGSGERVRRRYNPAGGMEYWLEPAELAAMRREAGVDDPFWVPPPGWKLGEPVTPEARTLEVQKQVVELAEELDVVKRQMKQLDSNLVQVSKEAYISWKGYDCMVKANGKLEKEVLSLEEKYENATQVNGELKELLLLLKEKYETVLEKNDKLEGQMVALSTSFQSLKEDMLLQRIGEQPMLMLDQEPWDADKQEASAGNVAAGAGNQLTDADPVDGSFNSNGGASDSASAEMALRKCSVRICRRDGMLQWPKPESGGTATSPRELPEPLTPGADLVITDFDAVISSLAPPSMEEYLMAEGLHTPTSASSTNNAASPKLPLLPAPASPIEVQPPPLQSTAMTTGDLQAVQQPYTGDLNLQLRRKDTSSSSPGPCGGKALKLDAGAGGGVVGTELALATPTY >DexiUA01G0009230.1:cds pep primary_assembly:Fonio_CM05836:UA:17941173:17941703:1 gene:DexiUA01G0009230 transcript:DexiUA01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKLTIRFSVASTGAHRLASLLGPGDSSPRSIGSLSTGTPTSADSPPLPTLAISVMLTQRHNLGRLRVRGRKNRSLRCGDRRTEAIRQERGGGAGSNKQGMVLGAATGERETARWELSQWRKEASRRLRETERERIRELPGFGWDCGVWPWMIGGERLRRRTGQRKQELGVWCG >Dexi9A01G0026700.1:cds pep primary_assembly:Fonio_CM05836:9A:30159501:30160610:1 gene:Dexi9A01G0026700 transcript:Dexi9A01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALASLAARKLLFNTRLIALAPPPVTSETFTGPEPWDRATVEVQIDRSSLKQWLAANGVDSYHEDEVNGKLVLFSGNDYMCLSSHPAVREAAVKAAQEHGMGPRGSSLICGYTTYHKLVEESLAKLQKKEDCLLCPTGFSANTAVMTALGSISSLLAAGRKPAEDERIAIFSDALNHASTIDGIRLVERQQEGVQLLIGKQSCYHR >Dexi4A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:4A:4361002:4365588:1 gene:Dexi4A01G0006090 transcript:Dexi4A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMGWLTKFFGGSTHNISEGQYHGRPAEETPWNEPSSSPVVTGFLSDFNNEDIDRAIALSLSEEEQIKAKTVGALYEIWVCPFQHGSFTCQPRESRYVLLGDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMEMITEPYRLTRRCEVTAILILYGLPR >Dexi8A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:8A:19829160:19831244:-1 gene:Dexi8A01G0011250 transcript:Dexi8A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPHPNAEVTLPCSSGHGNGHHGAAVTATSLTIGNHGNDSAQAPLLQVDKLRRDQRADGPACVLAVGTANPANCVPQDEFADWYFRVTKSEHLTHLKEKMKKTSNKVLTSKHGRLNVSGEQVQVKKRYFQVTDDLLSAHPDLLDPSLPSIDARLQAVASALPELAAAAAAKAIAEWGRPASDITHLVVSTSSGAQIPGIDVRVASLLGLRPTVRRTMLYFQGCTGGAAALRVAKDAAENNRGARVLAVCADVLSAMAFHAPGDGDDIEGAVAHAIFGDGAGAVVATIPGTERLVTGDVGSAGLGYNLAPVEVPVLVAGNIEEILAGAAAPLGGVVEEGGGWNSLFWVVHPGSPMILKSYEKVLGLEPGKLAASRRVLGEYGNMIGPTVIFVLDEVLRRRRKEGEDGGEGCKWGLLVGLGPGFTAEVMVLRARK >Dexi9B01G0048320.1:cds pep primary_assembly:Fonio_CM05836:9B:47301991:47303204:1 gene:Dexi9B01G0048320 transcript:Dexi9B01G0048320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRSEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFISFATEIEKDADNTSDCERLYKAFLQEINTFELPLLKSKAVVDANVRGKESFIELQVEIERQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLISLQPPRSETERLIADLEKEIASLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEQKMSIEESGGASDAMAVD >Dexi4A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:4A:3540163:3540456:1 gene:Dexi4A01G0005010 transcript:Dexi4A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTPKPTPRKPAKLDTEFSCPFCNHRDAVACLIDRKERYAKVECRICNESYVTKAHPLTAPVDVYAEWIDACEDANEGVDRRRRCRPRQDYV >Dexi6A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:6A:4353646:4355058:-1 gene:Dexi6A01G0004790 transcript:Dexi6A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPLARRSRPSSSRPPPTTTSSAAAAAEPRGGRDWLSTLLRVRAKLTRRRAAADDDGTPLTDELLLLILAGVPTLADLVRCAATCRRWRRLVSAESASLSLTPRRAPGRFISPLALGFFHHEDAAPPRFVAMAMASASRRFPDLLLRHPPPSLSTLIDGELLGASSHIVAARNGLIVVDLRRGKHDRSLKLCVCNPMSGLVHVLPFLDGKEGVLHYACTVLNADDSDEKTVTPPRSSSYFRLVIVYTRHGFTVFRSYSSEEGSWSEEAKVNTAMLGQKQMSFTQGQGGIVQHGGRLVHWLARNVVFVLSLETLQSVVVSVPRSGNGQRFDMENTLLGLSPEERLCVIQFGHLSLVTGNQRVSIRVTTRTDRGWDLGELIQVEQSLPADVAGVRLKWFFEKSGVVIFSVIAGDNDRRRSEMYALRLDTRVVEKLVSHDREGDVWGNVHGYEMDQATYLASLAVPEGMEDM >Dexi8B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:8B:13774858:13776778:1 gene:Dexi8B01G0008730 transcript:Dexi8B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAVAAAASKAGSDDAGAAAANSTAASGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >Dexi2A01G0024290.1:cds pep primary_assembly:Fonio_CM05836:2A:36019771:36023315:-1 gene:Dexi2A01G0024290 transcript:Dexi2A01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSSLASSHLSRLADLRRAAAPANLSVPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCVKNSLTERIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHIIGENDEFIGKKAAYALSKNVKAPDFTTIINSVTSKKVVA >Dexi9B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:9B:8105923:8107265:-1 gene:Dexi9B01G0012170 transcript:Dexi9B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQSFVQAPEHRPKPTVSEATGIPVIDLTPLTGGGGGDVDALAAEVGEASRDWGFFVVVGHGVPAEAVARATAAQRAFFALPAERKAAARRSEAEPLGYYESEHTKNVRDWKEVFDLVPRDPPPPAAVADGELVFANKWPEDLPGFREALEEYAKAMEELAFRLLELIARSLNLRPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTILSQDDVGGLDVRRRSDGEWVRVTPVPDSFIINVGDLIQVWSNDRYESAEHRVSVNSAKERFSMPYFFNPASYTMVEPVEELLSEENPARYNAYNWGDFFSTRKNSNFKKLAVENIQIAHFRKSIAA >Dexi1A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:1A:8131445:8133346:1 gene:Dexi1A01G0009820 transcript:Dexi1A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSTFSPIGMDAVTSFYTIAVGNGMISSDVRHFHPSELLDHGGFGLGDAAVAGASATASDMAASFAANNFAATSFFATASAPPQVDHIGRRTQPEEMDEGYGFVTGAGGSCVPASLQRPDHSDAMAVWSSSSSSSKKPTGTWSSAAGDSRAVTVHEPYHHLADVAGFHYSLAAADAAGNAPASSELSLTLCSKSPSDTALNAAAADQCSSGASRGSALTELPPPPAMYPPRARHRPAPANFAVVVARSPYAAVAQEVLNDVVSYMLHGVADVAADSCSGATTSVVSSNRLMAPSSERGGERRGEAQRVKNDLLKLLQLMDDKYNQCLDEIQSTTAKFNALMHSAGGGGGGGGGVSNIYAPFAHRAVSAVYDGLRRRIAGEIMAAASRATATCWGESSSSSAAVTTTERSWESAFIKKHWAAQQRRRGEQQCWRPQRGLPEKCVAVLKAWLFDNFLHPYPKDHEKEVLAARSGLTRNQVSNWFINARVRLWKPMIEEMYQDLKKSSVVGGQGSAMEMHTSKRRIFELEEGH >Dexi7A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:7A:22507068:22511302:1 gene:Dexi7A01G0012250 transcript:Dexi7A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMEQPEPEVPGHYYALQVGSYFLTGYYNVLTNQPHLANLFYTANSSVVRLDCETGQWSFGETMERINDMLMSMNVTKVEVKTANFLESWGAAITLLVTGLVQLKGYPVRKRFAQNIILAPKKDGYFIFSDIFKLICDEYDDRYHVSDYNGAENMPQVDASYTMAETGSDYFDGEPQDVVPAAENHVQQQDPSEYKAVNGIYDETHSEEHMPSFPSSTDVKQDSPLASQPPSPPPPEEPVEEAPKTYASVLRTKAKATAGTTEPQQPQQLVQHVQTAPVHEKSNLDNNRAVNAPDDEEEFISCYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALDASPIELNGRLVHVEERRPNCGFPSSRRRGRGRDQAGGRYDGEYATRSKGTGHQKKGGRQYDSYY >Dexi3B01G0037600.1:cds pep primary_assembly:Fonio_CM05836:3B:40384102:40385469:1 gene:Dexi3B01G0037600 transcript:Dexi3B01G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPEPILDDFSQTLLSGHPLENPVSINRRHFGAVRGDTLVEFSSKESMATFSASIVTKFEAGASFIFGSWLCTANQEGELQRQLRDEAVASTPPHAQIAPRGFRKTPDSDTISGSYPTRRSTWRPKQIQSRADHDNSAPTKGQDQATCPRLPGGLRITSESRQGSTIRTVTATPRVPRNPGSNSRGTRTSPRGPRAGQFPFGLTNSAAVHQKQLKKKVLQPRGATSDLVMTTTPSGVIVHWPGMDPEAALFEANVPITVRDIQPLLPFQEGRELLVTKGNKRTGLKNPGRQSCVLLSEHSDEEVVSDDAPTEEGETDADRELRIERNRNRALRRRFIKKKNLNPEFDKQEIFNSPVANILFGVSVFEGFQTTPEINLAKARLEAAAVMVDRLDGGHSSSKSKSSSRQQAPFTKTDFRGVFLQTLAG >Dexi4B01G0023440.1:cds pep primary_assembly:Fonio_CM05836:4B:24752971:24753870:1 gene:Dexi4B01G0023440 transcript:Dexi4B01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPLSSHGCRTPARSSKMVGAAGGHVRSASVPCYTHPLLMHVDDQLLALRSWTSNPGQNPLSLAHVRALLCVLDELLHLPLAQAALIRGGAAAGADGLLDGFLVLADAFGTFLAALVALRQHASELRAAVRRRDAAKLASAARAQRQVGKELEQLAAAVARGAARCARASLVSSSSSTCGSAAGELEVARTVAEAVNNTAAASASVFAEVGAVADAAAALASPASSSPKKRLPPLVNTSLRSNKMRPTSEEQREAVALRKLQELEECIGELESESEKVFRSLVQTRVSLLNIHTPTF >Dexi8A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:8A:8580426:8580647:1 gene:Dexi8A01G0007540 transcript:Dexi8A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGWRTKELDKGTVSRGGRIAPFFPSTARLGGCGPLELAPPSQSCYRLVLLPPPQGSFGHAATAGYLAPAPP >Dexi9B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:9B:8827739:8828293:-1 gene:Dexi9B01G0013060 transcript:Dexi9B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPAAEGEAKPVVHCASLSCGSSRRRGEKRARRGAEEAGGCEISAAAAARQDGKRSSEQPSTQPHSPAHEAHYSVQTLAPAADYKRRPSLAQPLVPACLARRRRNREVNSACIR >Dexi3A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:3A:11863429:11869775:1 gene:Dexi3A01G0015930 transcript:Dexi3A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKGCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDATGEPADVCVIELGGTIGDKIFSPHNNSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCAGKIPRKPKLVEWTDRASKCDNLKIPVRIAMVGKYTGLSDSYLSVLKALLHASVALGRKLVVDWVPSCDLEDSTAEETPDAYEKAWETLKGADGVLVPGVIEFARSIMKLHGANSTEFDPTTKTPCVIFMPEGSKTHMGATMRLGSRRTIFKVNNCKAAKLYGNVSYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDETGRRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFLGSAQGSKGHRATVEDRRRLDRRWVSVYDG >Dexi7B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:7B:17855056:17855598:-1 gene:Dexi7B01G0010550 transcript:Dexi7B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVLGYHSGSGLLLFVGVALKAQTGSRFSLFLEGEIYRRDSGFLMPLAARDPGETTLLMKNPPPPPPPERSARAALLPSLDSGISGPCKTHAAEERHIGEMRTQQTHQRVEKGGRRRVAVPGDAVEGVGAAAGGELGPEMAARPGGCEIGSKRRTPWTALTDLAAARRAALPPPATK >Dexi3B01G0023250.1:cds pep primary_assembly:Fonio_CM05836:3B:18040995:18042123:-1 gene:Dexi3B01G0023250 transcript:Dexi3B01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKSNGTYFPEECSNIFLTKDQDIRLGDFGLAKTLKEDDLASSV >Dexi9A01G0039640.1:cds pep primary_assembly:Fonio_CM05836:9A:43519991:43523349:-1 gene:Dexi9A01G0039640 transcript:Dexi9A01G0039640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSNGEHISTRPPPTPSPLRFSKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGASGEPLTVQKPGTQTRSFCYVADMLINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKVVLRDGLVLMEDDFRERLAVPKKTKA >Dexi5A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:5A:2759631:2761236:-1 gene:Dexi5A01G0003610 transcript:Dexi5A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYTEAQFGSFFDLPTGDDLTTWFAHLCDEQAAAAYPAAAAAPLVMADPPRKRIAEYQLAPQGETSGVKRQRSPTTSSRENSGGSNDGDHELSSAAAGASSSRGGGRRLWVKERDHEWWDRMSSPATCPDDEFRRAFRMSRATFEAVCEELGAAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAIKAVLMPKAVQWPETPAAAGEVAAQFEAASGIPAVVGAMYTTHIPIIAPKANVAAYYNRRHTERNQKTSYSITVQGVVDAAGAFTDVCIGWPGSMSDADVLDRSALYAQRGAAGRLQGQWVAGGQIIHTE >Dexi1A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:1A:3552561:3552996:1 gene:Dexi1A01G0004910 transcript:Dexi1A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEGEAEALLRWRSTLLNSSSLSSWSLANPTCFWFGVSCDAAGHVTSLRLPGAGINGKLDAFYSPAFQNLTRLGLRDNNLAGSIPANLSMLLTLTILDLSSNNLTGVIPYQLSKLPRLAVLNLANNHLTNPEYGKLSPIHCL >Dexi8A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:8A:2520752:2521673:1 gene:Dexi8A01G0003470 transcript:Dexi8A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCARTNIGGVCPMKSNRTSGCGGVCPAKFDKNISGVCPVTGKNHGAESQGSTGNAEGKSSSDPRLVPAKCPFGYDSGTFKLGSLSCMICQALLHECSRCMPCSHKFCKACVSRFKDCPLCGADIEGIEPDSELQALVDSFIDGHARIKRSHGSGETEVLGGKNKVIYEDVSMERGAFLVQQAMRAFRGQNIGSAKSRLSMSAEDIREELKSSEDNLELRSQLGAVLGMFGDCWYGPLS >Dexi5A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:5A:1689913:1691652:1 gene:Dexi5A01G0002390 transcript:Dexi5A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCVHLVVLLLGLLIPATVASPRSPRESPADDAAAPSPRVASRPTTFFEVHRPLRPPPGSSGRCSTLLLSASFGSTFNKPPATAAYSPPRCLVAAAGGRARASAISLAVIEWRATCRGAQLDRVFGVWLGGIELLRGSTAAPPPDGIVWSVTKDVTKYASLLATGGDSTLAVYLGNLVNSTLTGVYNANVTLHLYFRRAPPSPPPHAMAQADLIVPMSRAMPSNDGQWYEIHGATDVASARVALPSNTYRAVLELYVSSHGDDESWYINTPGYHNGPFREVTVRVDGDLAGVAWPFPVIYSGGIDPHLWRPIAGIGSFSLPTYDVELTPLLGKLLDGKPHVFAFAVTNAMDVWYIDANLHLWLDPVGTTATTAGIVSYVAPPAANTTSSKSGDPVDTHYDTTATRHFSATGWVNSRSYGNVTTNATQTFAFENTKTFETLSQTTGVHAGVVAMDHAAGVLYYSVQTQRSFPLGWLYEQGRLTVTHGLDDTTVAAGRWWSGPKNRSLHTSQSSVVEDEESFGVRQTYRYVADDGCYFRNVTSSNYSIVSDYSDEVCRKRESLDGVGVVTAALPAVNLP >Dexi2B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:2B:2276609:2279510:-1 gene:Dexi2B01G0002740 transcript:Dexi2B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYLKNRKLSQFTLHCRPQHYLPVHQTEPATYSHFFSHPLIKLLQSVASHPETKNLFLRAYYTEYLYPLLNTTSNARSFEYLRLTTLGVLGALVKVDDTEVVTSLLNSQFIPVCLQIMETGNELSKTVSTFIVQKIILNEAGLQYICATPERFYGIASVLASMVAEQPQPSTRLLKQIVRCYLRLSDDPRACSALQTHLPEVLTDGTFNSCLRDDPVARSYLQQLLDNLAAPAGRAPPPDAAPAAAGGPHPGLGPVAGGSPGGSSQEAGPSHTHPGPAPAARAARHPGPGPASGGSRGRGGSSKAGPSRWRRR >Dexi3B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:3B:2509373:2511856:1 gene:Dexi3B01G0003690 transcript:Dexi3B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSIAIEIDGEAICLDGVGDDEQEAQENEETHKIMYSAENGEQVAFANQEQGREEDPAGNEEDGEHSSLIPSREELTEELRNKVAYSEEEAYRLYCDYGHRIS >Dexi5B01G0022580.1:cds pep primary_assembly:Fonio_CM05836:5B:24841954:24842409:1 gene:Dexi5B01G0022580 transcript:Dexi5B01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALALTQTEDSTPPTPPKEAFSTEASPIGSPEKESAVTIAKLLSGEDPAAAVAKPAVDKVAPPNDTGSLPAAAAVTGVGGGGGGVGSKRWLLGGRVPEKVRRTELRRAELGFRVSAAVFCLVALSVVAADTTAGWSGDSFRRYNEYRLR >Dexi4A01G0024500.1:cds pep primary_assembly:Fonio_CM05836:4A:27256182:27258341:-1 gene:Dexi4A01G0024500 transcript:Dexi4A01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCFKCGRPGHWSRDCPSSSSSAAGPTANPSNPSRFVSSSSSYPGAKRRPPVSAEGDTPHQDDGTNKKKRKKERATRPKLTPDLLLSEDGIGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRFIPYYSFEQFVRKLEKVGASNRVRRCISELRDRVARGGDPTLLREAPVEEVTPTGEPDGTAPEDPFLGSEDNHVANPGHEGSIDPLVEGNTDMDHMQEDLLNEMYEKAADEAPQTAAGEESNKEASEPSAPKEASSHGNAAGKVQEEAGSSKPAKIELTEEQRARMEANRLKALERAAARARASQPA >Dexi5A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:5A:23586155:23595865:-1 gene:Dexi5A01G0019690 transcript:Dexi5A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIEVVVFKIKFYLMCALTMRVGWFCYPAILIQVQITALFQTQLMKAREQQQQQPPQQRQQQQQQQQPQHMQMQQMLLQRAVHQQQQQQQQQQQQQQQQQQPQQQLQQQQQLQQQQRRDGSHLLNGSANGISGNNPLMRQNQSTANVMATKMYEERLKVPSQRDSLEEASMKQRFGENAGQLLDSNETSLLKAASSGQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQQSIKTEINPILTPRAGGPEGSFIGVQGSIQAGNNLTLKGWPLTGLDQLRSGIMQQKSFIQNQQQLHQQIQMLTPQQQQQLMMQAQQNISSPTSSDVDNRRLRMMLNSRNAVLGRDGQTNSGSDIIPNMGSPSQSGGDIDMLIKAAKKRKKPGSSSGRANSTGTANTAGPSPSSAPSTPSTHTPGDAMSVPQLQQNGGSAKPMVMFGSDGTGSLTSPANPLDDVDRLLEDGSLDDNVESFLSQDDMDPRDNLGRCMDASKGFGFSEVAKARASATKVVCCHFSSDGKLLATGGHDKKVVLWCTEPLKPKSSLDEHSYLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDSDGEVRSWSINNGSCLTCVKAFKGGATQMRFQPCKGKYLAAASEKAIYILDGETQHACRSPLQSLELWDIREKNTMTLSNAHDGMVAALAASSATGKVASVSHDKFVKLWK >Dexi8B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:8B:18536466:18538473:-1 gene:Dexi8B01G0010080 transcript:Dexi8B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGKKITVLSIDGGGIRGLIPSVIIAFLEKELQKLDGADARIADYFDLIAGTSTGGLITVMLATPKKGTNRPITAEKIKKFYLKNGPKIFSPKRRDEYGPLGRFCNFLEFLWVGPKYDGKFLHKKINDLTGKRTLANTLTKILLPAFDLSSLNPVFFSSYKNKREVKPKLSDVCIATSAAPTYFPPHGFYEYYPSGRHRVAYHLIDGGVATNNPTMLAISRVAREVVRKNPDFHPDVDYKSFVVISIGTGSARQRGAYNAKDCARWGALDWIYNRRSGDNPLFDMLSQASAYMVERNVAFLFQSHGCGKNYLRIQPREPLFRKGEKLSMDDASKENMNKLINVAEVLLKEPVRRMNWTAGLYMVDTNDETTNAQELVRLAMILSNERRARLATQKTSGDR >Dexi1A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:1A:2482108:2483271:-1 gene:Dexi1A01G0003450 transcript:Dexi1A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVGIAGGALAPLLAPRRRGAVSFRRAASAAVVVRASYEAGVGVMATKVGMMTYFDPATGKPVPVTVVGFRDGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGAPPLRHLQEFRLTAVDAFEPGQELDFAELFKEGDLVDVSGNSIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDSDLRVLMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >Dexi1A01G0031530.1:cds pep primary_assembly:Fonio_CM05836:1A:36466126:36466872:1 gene:Dexi1A01G0031530 transcript:Dexi1A01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEQGGGANPGPWTLGLTPPLLLKGRVGPAAAITTARGRAAERRRQAAAGGSRRCTGPETEPELEPRRRNGGADETPALPVAAAMVGAVVAAKQWCDDMDGMESAGGDERWEWKKAQEWGMRWSGGRGPEQER >Dexi3B01G0032020.1:cds pep primary_assembly:Fonio_CM05836:3B:34318939:34319272:-1 gene:Dexi3B01G0032020 transcript:Dexi3B01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLALGLRNLVSQCAWAFAGLSVTNIMCPSMTVVVGTTIKYFLDGHINRARASSMWCSLVLPCILPTPRMMN >Dexi9B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:9B:8634460:8636045:1 gene:Dexi9B01G0012810 transcript:Dexi9B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTQPSAAAAAAAPLMPPLVAPAPVALPNCSSTCGDVQVPYPFGLGPPRCSWPGLNLTCSVSGAGPPRLLLGDGTLEVAEISLHNTTVRVLRRGDIIINITSSSSSSSGTGTTTTSNATTTTTPFGSSFTSYYGGYTLSDRNELVATGCNVVATLVGDLDDYSNIITGCASFCSSSNTTKADINQPGATGSSKYCSGLGCCQAQITMNYSRPQGVQVSWLRGGDDLQQDLLRLDPFVLVAEKGWFDQRPVADQLVGPPGELQSSHAATIEVPLVLEWTVTNVAPIDDRYPGPDCSPAAAQRLCKSANSECTTDGNGGYSCQCQDGYDGNPYLDGGCKVSNECEVKADIDECKLPPEVNGCFGDCTNTEGGFVCQCPPRTQGDYTQRGGCVPPVLTDAEEDNVDIIY >Dexi2A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:2A:26438013:26439044:-1 gene:Dexi2A01G0015440 transcript:Dexi2A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPSLFHLRLQYHSATKNLRPGAPCSETDVPFLSAAGFRCTARYWPKWELCDRIKISVVVTRTLHSQNKHNKVYALHIDLPGKTGLPVPTGIISRSLQLELVHTDLQGGVRVSLLVSRDDVERYCVVDGHFIALCTVAVSRAWPPLPLPTPATLGCDIISTAATDLMDVSFEVQGETFAAHRLVLAARSPGFKAELFGEMAESKASSITIEDMRAPTFKSMLDYMYHGLLPAGTPEMDEASRKKMEFEHLYVAADRYGLDTLKAMCEEVLCATVSVSTVLSSLVFAEERTSPKLKSRCLDFLAVGENFMEVAVTNGYVDVMKDIPALLGDVQNWFKRPRLS >DexiUA01G0007880.1:cds pep primary_assembly:Fonio_CM05836:UA:14748858:14752297:-1 gene:DexiUA01G0007880 transcript:DexiUA01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFALAAGPRARVLERAATRIPGCLYICLWAPVISGHLSSSPLRCVDAWISNTGGGHGVRAREAFEAYRRSLCAAVSGCVPGWAYKDGNPYMQLPEPDLTASASLLVQQQFYHETGTKVAVFMGGEHGEIEVGLSSNTSAAPSMVPQHVQQSLLEELMLLTPPTVPSSSSSSLPSLSIGSPEYSSFIRSMATTAAPEPPSSQERQPLHPAVQQLPPPAYGHAPFMGGPDLDDAAIAQAMLAVISSSAATTAPPPRWSPRRRTGAFRAYNASLSPKARRRPGAAGQRMVKTAIALMVSVHMAMREQERELAAAAARRQEDAAAVQPPQQQQQHTSSQIHHMFSERRRRERLNESFQTLRSLLPPGSKKDKATVLASTTEYMQKLIADVSELEKKNRQLEAQLGSLPLETQQPGRDDPSSETTRVQVDVTTGASTSTSTSSAAGQASQEVSIRVTVRVECDLSDVVIAMLAGIKEMGRFAVVTVDARQRSSRHAQISIIVRVSASNDELDETSLKEAVAKAVEDAVAAPPSPRP >Dexi7B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:7B:23463111:23464158:1 gene:Dexi7B01G0017540 transcript:Dexi7B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCPKLSRIQSAHYRTAQGGQSVTEKWSSQSCIASNQIDASRVFRYAAAAISRFRWPFQLATADTFLVSWCSHRASVADEYCCLRPVDLAACLARMASNCICSSRQSSLQKGVSPLYMKMSLSRPSGLEQSAHASSAGTPGSPIGSASKKKAHARCAAAAIYMALAAGEQRMNDLWCLGSARDSGAVVPGAPGKTPVWHGRLGWARETDSPRWLAAAASAPRTRLTRLRRDRDEDVRGGFWIRAHPPTAQNRTRNKTVASQQAKPGRCLLRFV >Dexi9A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:9A:13997014:14004319:1 gene:Dexi9A01G0019030 transcript:Dexi9A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNARSLGCAPSGDASPNGRPTRPRRAQLRCCELKSWRVELCCAAPPWLPVKLRSPLYGATCSACVMLRAACVFRQAAVRARCTVVASPEAGMCSYSSMDGLRYCKIHFEQLFKGIGAFSKSFRTCTKANNEQVLIPQQAIICVLWKWNPRQMCSLQEDSVPIGEGFLLIMGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQTRASPYIWVDDRSDKRLARILRVAMAISCGNFLPKLKVLSLRCSKVTMDALQCLLQSMEYLEILNISHCLLLVVAANGRKQVVHELDDQILGKASRLREFHYCESRSCITCQRMVVDEGIMRWYRYEDWFWRRDEVRSLDLQDYGKLFDAGCERLTSVE >Dexi2A01G0036340.1:cds pep primary_assembly:Fonio_CM05836:2A:45880608:45881661:-1 gene:Dexi2A01G0036340 transcript:Dexi2A01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGRRARPTPLTTDNIKAVILDIFAASSETSSTTLQWAMAELMRNPRVMRKAQDEDVLGELSYLPLVIKEALRLHPPAPLLVPRECRSTCRVLGFDVPARAMVLVNAWAIGRDPSYWDAPEEFMPERFENSDVDFKGTDFEFGAGRRMCPGIGSRSPLSSYHFDWELPDGVEPGELDMTEALGITTRRLAHLVLVPTVRVPLRGE >Dexi7A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:7A:8820573:8821475:-1 gene:Dexi7A01G0002200 transcript:Dexi7A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLLACIVLPVLITIVFQHGYMLSHRKISGASSLPPPPGPAGNPLLGNLVYVIGPLRNNPHRGLASLAETYGPILSLRLGLTRTLLVVSSATAAHEALSKNDGALAARLVPDNVCALSYGTTSMVFLPSSNQLWKQLRVVIGAGFSSARGLDVIRPILERRAGQLAEHLRSCSGKPVNIREVVNGTVLNIISNVLFSEDVVDLSVQGTQMFKGLIVPVLEEWSKPSVCDAFPSFAPLEHFLGSRHRISTHLAKLYKFFDQGIIERRLASSKNHNDLLDVLLSRYAASKLSRQEITTF >Dexi5B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:5B:22055516:22056553:1 gene:Dexi5B01G0019830 transcript:Dexi5B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQASSSSYYAVLGVHPGASAAEIRAAYHRLAMRWHPDKVANGRAEEAKSRFQQIHEAYQVLSDEKRRALYDAGMYDPLDDDQEDVEGFHDFLQEMISLMATVGREEPVYSLGELQSMLDGMIQDFAAPQPEPSVFFSGGESPFGAPRGADQSTTSSRMHPQGIGDSACFSRTAFSR >Dexi2A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:2A:23214045:23215411:-1 gene:Dexi2A01G0014200 transcript:Dexi2A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYAMAGTVLCLLLVMSSTVAAAAGARPPAIFVFGDSTLDVGNNNYLPGAGVPRANMPHYGIDFPGSVATGRVSNGYNIADFLAKNMGFSASPPTYLSLVPSNARLVQAAVAGGVSYASGGAGILDSTNAGNNIPLSKQVQYFRSTRSQMVTKLGSRATNLLLAKSVFLFSVGSNDMFVFAVAEAKQNKSTSDQQRDVATLYANLLSGYSAAINELHALGARKLAIINVGLLGCVPSARLRDAAGKCSGELNQLASGFDGALASTLAGLASTLRSPASGGGFAYSLADYYGFSAATFEDPAASGYTDVEDACCGGGRLGAEVGCGMPNATVCGDRDRHAFWDAVHPSQRSAMLTAQNFYDSRPGRYTAPINFKELAQTGL >Dexi8A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:8A:24917246:24917679:1 gene:Dexi8A01G0014420 transcript:Dexi8A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFYPLSYICYNSPSTIAPDGVSSWWFQVEGLPYLISPTRNIFTAIGCNTLALLEGREDWSYYTGCITSCTSLHDAAEDGAMCTGLGCCQTPIPANLSFIMVDWNISRDTYNPAWKFSSCSYAFVAEKGWYQDL >Dexi5A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:5A:13965494:13973204:-1 gene:Dexi5A01G0015960 transcript:Dexi5A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRSRSADCASMYSAAALDASIHSGLFHAAAEGDLRLFKGLVQVLDNGRGRVREAVEAMSAHGGLGALHVAATCGRLELCKYLVEDLRVDVDAADDFGFTPLIFAMNNENLAVFKYLLDHGADKDKVYSEGLVPLHSAAGLGNCEMIELLFAKGAYIDPVADRGTPLHIAAMEGQAGAMKLLLDHNADCNKTDKFGATPLLAAINAGSLKCVKLLVEAGADVKADRIFTALIDAANDGSSECLDYLLRIGANRNVPDDAEDVNKRKVAHLKSLGRMAVEREDYLSASGFYSKAMDLDSGDATLFSNRSLCWLHMGDAQKALAVALECRKMRPDWPKACYRQGAALMLLKDFESACEAFFYGFKMDPKNAEIEHALRYSFDSEHACMFQHIPFGFSCKVEN >Dexi5A01G0033500.1:cds pep primary_assembly:Fonio_CM05836:5A:35839958:35847768:1 gene:Dexi5A01G0033500 transcript:Dexi5A01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGEAWESATAGGSVRRRKGGAEAAGAGASSSFAEGMGEFVLKSMDARFSGSAGEDELFVSSRQAAFGHSKSTAANSGTRKGQDHAFVRSYSDRLLKCDLTLDMLSGNEKIKIFEKLVKFQNDGTVEVDVTRSALVTSELSEIDAFGYVPRDIEEVLPGVTKSVPKLKIAMLVVGTRGDVQPFIALAKRLQVICTSLRRLEYLCISSSLFHGRKSVLLNLPEFFSLPTDEFPHPLARMPQSATYRLSYLILDLIIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPQLMPKPKDWGPLVDVVGYCFLNLGTKYEPPPELSQWLQQGPKPIYIGFGSMPLDDEKKVTSTILDALRETGQRGIISRGWGALGSFSEVPVDIFILEDCPHDWLFPRCAAVVSLLFQACHQPVYVVDRAPRPQNTLMTVWFIGASWWSRYYSRRTDSGVVPFFGDQFFWAERVHARGVGPAPIPIAALTVEALSNAIRFMLDPEVKSRAMELAIAIGNEDGVAAAVDAFHRHLPSELPLAPPAPVEEERIDFFQWFSRALEKCCFPFNF >Dexi4A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:4A:2069789:2072243:1 gene:Dexi4A01G0002900 transcript:Dexi4A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGGAAAAAGSSGARPVDKEVDFANYFCTYGYLYHQKEMLCDRVRMDAYYASVVRNAPHFKDKVVLDVGTGSGILAIWSALAGARKVYAVEATTMAEHARELARANGVGDKVEVIQGTVEDVELPEKVDVIISEWMGYFLLRESMFDSVIFARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKMEDLDIAMEDWSLFVQDTQSYYGVNMNALTKAYRAEHEKYYLKSSIWNNLHPNQVIGQPAIIKEIDCLTATVDEIREVRAQVTLPINLDGARLSALAGWFDVHFRGSAQNPAVEEVELNTAPDENGGTHWGQQVFLLTPPLNVSRGDNVNVSFSMVRSKENHRLMDMEFTYELHESSGRKHPAITTKMFLE >Dexi1A01G0028640.1:cds pep primary_assembly:Fonio_CM05836:1A:34280620:34281579:1 gene:Dexi1A01G0028640 transcript:Dexi1A01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNCAKAVLLAAVVLVSSAQLSMGARRRMELYHPNPADMLSYHNGQVLHGDIAVSVLWYGQFTQAQKTIVYDFILSLTMMPQTASPSVAKWWNTIEQQYLSKALQATPNAGGPKKTQVLLADQVSDDSCTMGKSLTLAQISSLAARAKPKKGGVALVFTAQDITVEGFCMSQCGLHGSDAKSGTTYVWVGNSATQCPGQCAWPFHQPEYGPQGPPLTPPNGDVGVDGMIVNLASEFAGVVTNPFGDAYYLGSSEAPLEAATACPGQFGSGSYPGYAGNLKIDQSTGASYNANGAQGRNTLV >Dexi1B01G0030980.1:cds pep primary_assembly:Fonio_CM05836:1B:34734417:34738887:1 gene:Dexi1B01G0030980 transcript:Dexi1B01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPPPAIPSASVRVLSRTTPPPASPSPSSAPSHDGVVAVGFVGAAGAARLADRILDAHVFSPGGSAGSLAVAGSVRYHRDADRRMVFLHLTPPPAPMEAGGTGGPELPEMLFMFSDDAIDGSSAAASLDDTGDTFSSNQVSASDGLSKQSSTSKGSGSVVMLARAGNKSESSPGKKLHSSLEGQIRVLLKKCRVLAGMEPGHIGPRGASNMSHHVPLFSLDTSRIVALLERSMNKKREPLDFIAGLFEDSLSSKSPLDVLSLENNYHPANHDDFQLIKDFIFRQSDALRGKGGYSSNPTAGSVAGVGMVAAAAAAAAVSAAAGKPVNAPDLPSFDKWLSISTSILTALLTGRSELSCLSENKTHTNPSEKNDQLPAGGSNAVDIALSCLESSNGLNMKFSSSWCQRVLPAAKEMYLKGPAVRVFSKKLEDECRIIWESGRQQCDAVLMMQVTPDFPVLLASCPLVQFEASMQ >Dexi6A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:6A:24322854:24326903:-1 gene:Dexi6A01G0016480 transcript:Dexi6A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMTWNVIPGTKQDATNCVIPVSAIFTPLKPNPAIPVLPYAPLRCRMCRSILNPFSIVDFVAKIWVCPFCFQRNHFPQHYSTISENNLPAELFPQFTTIEYVSTNEMGPVAPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVVEELQKDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGVVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKVQGILGPCTSLEKKSPLSSDTVVGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGPDSIGQSASNQFYFQFLTYYQHHEGQMRLRATTLSRKWELIAGFDQEAAAAVMARLVTYKMEAEVLHDTS >Dexi9A01G0047350.1:cds pep primary_assembly:Fonio_CM05836:9A:50484108:50507368:-1 gene:Dexi9A01G0047350 transcript:Dexi9A01G0047350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREELDDLQMITLKSSDGVDNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKDPPISDSQQNPTTFFDYEKVVRWNYTTEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESILIPIDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKIFRENIDFLLERFENGDICGVVELQQLLDILELTHQSVSRFLELDSYSLMLSEMQENLSLVSYSSRISSQIWSEMQTDFLPNFILCNTTQRFVRSIKGTHHSSQRSSASTGKPYFYCGSHQPPPPSLPAPPAPEWLAGRRFLLPSPPRPPQCRIWRSRRQRSWRDSRRQQQARRAQQLAQEPLLSSLRPLSPATSSRRRSSRCNGSAPLLAPEEGRNRGGSAPCRRPPPAGAASPTGPTSCTCPAAPIVPCRDLTMAYQGLAGLYRDFFGVPHMFAVVKLLGSRSLPAIIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKTEVLHDLKEIGSALYWMSILDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAYTDNTPFTTLLSGATNAVTSSPACSNPSTFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYVKTRTSYLEESINNSSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSDRTRSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFMNTVSAFETLPQRAT >Dexi4B01G0021470.1:cds pep primary_assembly:Fonio_CM05836:4B:23426608:23428549:-1 gene:Dexi4B01G0021470 transcript:Dexi4B01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDDLREHLLDVDALPNGAGAAAAPKIRVRGLRRLTDATGEEILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVFLDGDDIRAIDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLCGKKLTEAEVKNLLSLADLDPALSSKPASELSVGQAQRVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLVVDGEIVEVLAPSELSDAKHPMARRFLELSN >Dexi2B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:2B:25090899:25091321:1 gene:Dexi2B01G0015070 transcript:Dexi2B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNSKKTKSTSKGPNQALNHLSPRLLAPPCLLAKAEEGGRGAVAPARGGRRKAPRSWTRGGGGAARPTAQPRGARPGEEAALLGLLLSLAELDQGRYSTCCSASPRGAQAGGAGKGMQGMGTRTERMMRLKREQLAMP >Dexi2A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:2A:8232693:8234740:-1 gene:Dexi2A01G0007940 transcript:Dexi2A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATYRGPSSSLAMAPAPVAVVSPQFCAPGTVPLTVTKKAMSFSGGDFTVTDGNGAVVLRFEGVYFSLRSRRVLLDAAGRPVLTMERKVFSMYDKWKVYRRDSTNSGDLLFTVKRTSFFQMKTSLGVFLARNTSEQVCDFKIKGSYFERSCAFYRGNTDIMIAQMKRKFTVSNVLLGKDTFLVTVFPHVDYVFIAALVVILDEIDRDRSQ >Dexi5B01G0025360.1:cds pep primary_assembly:Fonio_CM05836:5B:27409477:27409936:-1 gene:Dexi5B01G0025360 transcript:Dexi5B01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRLTCAHPAPSVAARRWRPPGRAWRAPRFRRGRAAAASSADGTGAPSPSSPYDPLVDLLGPDIGTSSSRSQICD >Dexi9B01G0029730.1:cds pep primary_assembly:Fonio_CM05836:9B:32172149:32172980:-1 gene:Dexi9B01G0029730 transcript:Dexi9B01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNDLKLLGMWASPFVVRVKLALSLKGLSYEYVEEDFSTKSELLLRSNPVHKKVPVLIHHGKPICESSIILQYIDEAFAGTGPSLLPADPYERAIARFWAAYIDDKMLAAWTQATKGKTEEERAEGMKQTLATVATLEGAFRDCSKGKPFFGGDSVGYLDVFLGGLLGWVRAYEKLGVKTFDPEKTPLLVAWAERFWSLEAVEPVMPDVSKLVEFGKMLLARAAAAAAGEGN >Dexi9A01G0038960.1:cds pep primary_assembly:Fonio_CM05836:9A:43079395:43082355:-1 gene:Dexi9A01G0038960 transcript:Dexi9A01G0038960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGATPSPGGAGGAAGTGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYTAIAWLRIVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWITYLTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVIFLLPATIFMEDNVVGVTIQLAKKDFTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSIMIFRNPVSITGMLGYTLTVIGVILYSESKKRSNKP >Dexi7A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:7A:19247713:19249693:-1 gene:Dexi7A01G0008080 transcript:Dexi7A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSLVAVLLAAVVLFGLRLTPSALSHGTAGPLATVPHPVDRTLGGLLSPDFDESSCLSRYRASLYRRPSPHAISSYLISALRRYESLHRRCGPGTPAYVDAVERLRAPPNTSTSSPSGSCSYIAWTPIEGLGNRILSITSGFLYALLTGRVLLLHSSGGALDDLFCEPFPGSTWILPATDKDNFPIRDMEQLAVGHHESLGSALRRGGGEGEGPTSLQQQAPWLYVHLVNNYDAQDRLFFCDDVQGELRRVPWLVFKSDNYFLPGLFLIPRYEHELARLFPRRDLAFHHLGRYLFHPSNTVWDMVTRYHGSYLASADERVGIQVRVFGWAPISTDELYGQILDCSQREGILPVPTTSVSDEHGQAQQAKQVAVLVVSLHGEYSEKVRDLYKNGSTTGGEVVSVYQPSHLGEQRSGEQRHNQQALAEMVLLGFSDAVVTTAASTFGYVGHGLAGLRPWVLMGPVDGKAPATPCRRAATIEPCFHAPPNYDCRAKASGDTGRMVHHIRPCEDLPGGVQLVE >Dexi9A01G0037160.1:cds pep primary_assembly:Fonio_CM05836:9A:41510364:41514562:-1 gene:Dexi9A01G0037160 transcript:Dexi9A01G0037160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASRKEEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCVSCSGIHREFTHRVKSVSMSTFSSQEVEALQKGGNQRARESFLKDFDTQKMRLPDSSNIANLREFIKAVYVERRYAGGRFSERPPRDKQRTLSGGNIDSISLKPGKSSLSDLIFEDDNVQRPQKSTNAATPSFLDFSDAISAPNQEVFNSTAGQKHHVTIMDQSLDLFANMPAETPSADKVIPVALSMDNAGWATFDTPPEQKQPSASGLSYVAATSNDREVLSRDLFSFESNDEPTWFQSSKDNPSVTNLSTATSLDPGSSQEVTSTEQMPLNPFDLRFDTQSGTPDLFMDVSSLQEALPNTDLPAFLDGLPEAWFSSSSCAYVPSASHGGLPCLVEQAPNSPLR >Dexi9B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:9B:1176104:1178882:1 gene:Dexi9B01G0002080 transcript:Dexi9B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRHRQVEANGISMHVAEAGPEDASAPAVLLVHGFPELWYSWRHQMGYLADRGYRCVAPDLRGYGGTTAPPEPSSYTAFHIVGDLIALLDALRLPKVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFTPRRPGIKPLEYFRAVYGDDYYVCRFQEPGIEAEFTAFDLKKFFKLALTVHTTGSSSMNLRKMQAYNKEVALPSWLSEEDVSYLASVYAKTGFAGGVNYYRCLDLNWELMAPWTGAKVQVPTKFIVGDGDLAYHHPGVKSYINKGGLKRDVPMLEVVVIKGAGHFIQQERAQEISEHIYDYIKKFGTGVSTLKASKL >Dexi7B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:7B:6851554:6852640:-1 gene:Dexi7B01G0003040 transcript:Dexi7B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLDLMGGYGRVDEQVAIQEAAAAGLRGMEHLISQLSRAGTGDRSSSPESAAPHQQQPERSHPTPPPQHPVDCREITDMTVSKFKKVISILNRSGHARFRRGPVVAQSEGPVATSEPAALPAWSAPVPRPAVTLDFTKSVSAYSRDSGFSMSGASSSFLSSVTTGEGSVSNGRMLPPAASCGKPPMSSGAGQKRRCHEHAHSENVAGGKYGTNGGRCHCSKRRKHRVKRTIRVPAISPKVADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPSMLIVTYEGEHRHSPGGQDPPAPPLAPLPEQPSH >Dexi9B01G0034900.1:cds pep primary_assembly:Fonio_CM05836:9B:36716160:36720518:-1 gene:Dexi9B01G0034900 transcript:Dexi9B01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPRSGPGAGKRRGRGPRIPATTLRKQQAALANVDQITGAKIPKSFVFSRGKLPSTLRHLQHDLRKVMLPYTALNLKEKKRNNLKDFVNVAGPLGVTHFLILSSPKSLPHLRFANSPQGPTFTFQIEEYALAADIANSQKRPRCPPGIFKNSPLVVLSGFSGLGNPFESLVEYFQHMVPAVDPSTVKLAECQRILLLKFDKEKEVIDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEVDDEAATVSLPSDVDKLNQASRKSAVRLQEIGPRITMRLVKVEAGLCSGDVLYPWPVEKEAGGKKGNVTEEEIEGQEETDDGLEDESEDEMEE >Dexi9A01G0034360.1:cds pep primary_assembly:Fonio_CM05836:9A:39122815:39127305:-1 gene:Dexi9A01G0034360 transcript:Dexi9A01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQEIQISKQLSQRQQQQEMPPAPEAGAAVQDHTSQDQQVQGGGDGAAGGHHHHRGSSKKLTLLPLVFLIYFEVAGGPYGSEQAIRAAGPLFTLLGFLVFPFAWGVPESLVTAELSAALPGNGGFVRWADRAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGRAAIPSVADAGKARTATVVAMTVLLSFVNYAGLSIVGWGAVALGLVSLAPFVLMMGIAAPKVRPWRWKVKVEGRKKDWRLFLNTLFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIAASYLLPLMAATGATDAPPESWTNGYLADAAGIIGGSWLKYWIEAGAVLSSIGMFEAQLSSGAFQLLGMADLGLLPSIFARRATRFRTPWVAIAASSAVALAVSFLGFDDVVATANFLYSLGTLLEFAAFLCLRARMPDLKRPYRVPLPLPALVAMCAVPSAFLAYVCVVAGWRVFALAGALTALGVGLHGVMKLCRSKEWLSFNTAVVAAAEDYRDVSAGDRV >Dexi4B01G0021650.1:cds pep primary_assembly:Fonio_CM05836:4B:23590774:23593819:-1 gene:Dexi4B01G0021650 transcript:Dexi4B01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLFVVLRKVALSLGECVLERIGTELAEVAPILTDFEHSLKQIEGELSIMKAFIDQVGVQRDGDRAFDAWLDQVRDVAHEVEDIIDEHAYLTAQAPETSSFFKRKFHQIRNFASWQKLPAQISQVEARIQRLAEMRNRYGISVRELDKSNKLQQHNNQFSMSDFAYLADNSEIVGHTNEIARLTQWLLEEKQDRTLIAIFGMGGLGKTTITSSVYKDQKIRRAFDCRAWVTLSQTYHAEELLREIINQLIDQRSSMASGVMTMNRMRLVELTFRARWFPKLKSLQIVDMEHLNLIEIEDGTLMSLHILEFTGLRNLKAVPEGIKYIRTLDQMFLTDMSNEFTERLLGSDNHIVQHITDIRNFGSSDSPAANNFISSEYLVKKYGAGAIKNSPVE >Dexi5B01G0033040.1:cds pep primary_assembly:Fonio_CM05836:5B:33538577:33541592:-1 gene:Dexi5B01G0033040 transcript:Dexi5B01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHACSRAHQVGALLLVAATFLLTRLFDRLLLDASSPSSSSFHLNPARPTQSVDLRIYVYAEDEIQGLRALLRGRDGTVAAATCLKGQWGTQVKIHQLLLKSRFRTFNKDQANLFFVPSYVKCVRMTGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDGRAVQPIALTKRKYLANFLGRAQGKIGRLQLVKLAKQYPDKECVPVILSDEVELPFQNVIDYSEISIKWPSTRIGPELFEYLESIPDERIEEMIDRGREIRCLWVYASDTEPCSAMSGILSELQSKVRRFHQSPETFWLHNRSIVNRDLVEFHGWKTPVPLP >Dexi5A01G0037020.1:cds pep primary_assembly:Fonio_CM05836:5A:38375154:38382960:1 gene:Dexi5A01G0037020 transcript:Dexi5A01G0037020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSSSTRRPAAAARSRERSSDASEQEPDARRAAAAAARRRGRGDHGPLRLMDIRLRTLALLGIASLALVSAAFVAYTGGWWDEVEGDGAATLRTVMRSVTPLSAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRSRTPLSLIAGLMWIGLKNGQYFLRHVCQDSDELSTYGWTAHNGRDYGRQVLVDHGLSLTTSFLKEKGEGSGYGGDWAVRLDANSERSSLSDAQGSTTHLFFYIADESGKSITMGSPIPSSRGPVLLASGSHEEIGDWELYLRSEVSIKTPAQIDLVFLSGSASNNPMVEERIIKLTGPMLSARLESKQKEFEERYDHIFNVNNKIDSKELSVGRAALSNLLGGVGYFYGQSKIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLLNSDGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLAIRDLASGIRAKQFSDDEAEKISSFLERAHIRLNSWFQWFNGTQSGKYEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCIRSISEFLKMDSALEKDYYKMSNQLSDFETLNKLHLDDKIGAYFDYGNHTEKVRLRWYDVQDKDTVRRELLRETLQPPQLQLVPHVGYVSLFPFMMGAIPPESWVLEKQLDLISNTSMLWTDYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMTLSALHHYAHEDGPYKGRAGELYEKLRSNLIRNIVQNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMAESYPTLHR >Dexi3B01G0037810.1:cds pep primary_assembly:Fonio_CM05836:3B:40629305:40633590:-1 gene:Dexi3B01G0037810 transcript:Dexi3B01G0037810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYAVDNSEWLEVKILNGYAVFMGYLMMGVRGLGVLVITWTTGVLLGGFKDPSFATGRNLVTYGVNLMMEAPSNEGFIAGISILAGVIKHYEEPGANSQRQMLEEGQPGGGGGRRSGEEIQEEVQEGEDDVGMVTHLVILRRRLCAPAGDGIGFGDVRGDAGVAVGLHVAAEAEDPLLAAPEAPLGSQAEAAAAAAVAARHLTPSSPLTAATLGFALTELGFFCKIAAARSPESSVAGAAGNSSLFFSLQALIFGAAAMGGLSCMRALGCCSTECCWTSRASPMFPWQSIRCDEGQINFSKHKYKLGIKQHRQNHGAQL >Dexi3B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:3B:12812806:12815542:-1 gene:Dexi3B01G0017440 transcript:Dexi3B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDAICQKYDKYDVDKLNGANVAGDDPFARLYASVDAEINQCVEKAETAKQEKNRAAVVALNAEIRRTKAKLLEEDLPKLQRLAVKKVAALVPALSPLSDEGLDVIGEGLETLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQLRSSRNFCIDIILLCVILGIAAYLYKQEFFIIYAVL >Dexi9A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:9A:8281395:8287613:1 gene:Dexi9A01G0012960 transcript:Dexi9A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAARGGQGMRPVTCVGLLLGWPRTPPILDGHIDMMIRPVNVITATPLIDEHLRLAVSPSLGLYVRQLGSGLDGGDKQIREVVEEDD >Dexi7B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:7B:19244501:19246042:1 gene:Dexi7B01G0012470 transcript:Dexi7B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVAGAKLILVLVEMASTACFVIVSKNDIPIYEAEVGSAPKVRIFFKPGAAYPYELLMCNLAVCFLWQKEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHILLKFDHNGVVVSLTVSMTHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRIASSHFDTKVRALARKYL >Dexi6B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:6B:21688537:21689184:-1 gene:Dexi6B01G0014050 transcript:Dexi6B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSQTRPFRLIGHCSIATPEVRSTRRPPSPGEVRQLMRPESASTARAPGRHVAAVRGAVWWRPGSGSLPGRVCPWLIPTRIHPSRHAGDETTRLGYGAVCPLGPVLVCAIGAVAAGGES >Dexi9A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:9A:6368713:6369086:1 gene:Dexi9A01G0010450 transcript:Dexi9A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPATLSRTPARSQARGGEAAHTDARKDAHTDTGPPPPPRRPPAFYSSVFAQIEEIGWVRVVSATGDDGLSSLTFRVV >Dexi2A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:2A:23051025:23058041:-1 gene:Dexi2A01G0014110 transcript:Dexi2A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLASASPPVGGLAPPPPLAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIVLLIGGSTGTMLEALDVVGDENTSIENYEDNNGYMPPTYEEGTSSGGGLRMKLKQFHKSTTSFTALDKNYLTPFFTSQTDEDDDDFSE >Dexi8B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:8B:422229:429871:1 gene:Dexi8B01G0000650 transcript:Dexi8B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding STQPEAAGYWPAGMTTPRRLLRARAEAAVSSVVTVLPHEIPPLLSAAATFFFILSAYFVVLPLRDEGAISLGLDTLPGLFAGSLLLTILAAPVASLAFSLPSVPKPRVPPGNLNLPPSLFSLGTFDVMIKSIEDGSSKLSGWQNHSWFYIVVRISLFLWVALLNLITISSTWARIIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWLGPFMLLFSSLLLELAAVSSKGICIDDSQGSIDLSPTGAEKIQNTEVDDEMSSLKVTIIATTISSSAARRRTFALINSFIAVFILVGQLTLTGHILAVASVTVAICASPLVAASNMVALAVWPTWIAVAITETIRKVVTYVLTRPGRELLFTVVSLDEKYKAKVCIDVIVQRLGDATAAGIYSLLFSRLEKKATMATLYALPLCFLWLLTAFHLGRLQTSLARLKATPVSS >Dexi6B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:6B:26684174:26685026:1 gene:Dexi6B01G0020140 transcript:Dexi6B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRRQRLPAVALHVQEEATGPRRRLVGHPQPSFLLRPGPDHELRTGAGAAAAPAAEPPVVDVPHDVNHLPVVQHGGAVAPESPSDPAHLRHLLPVDAHPELPVAAAARVHGHLVLVPALPEPAPRDVRCRRATHLLPPADEDRAARGGGAGSDAEAAGEVARRRARAEEERELAISHDGEGSRSGSGDVADGYLASAVSQCTPTAVPAPVTSVRLPRLFCSTTSPLLPDDDGFVAGAGESPPSSNATATPGAPAAVRVQVTRTSTSLPEDSRALYTLVVAA >Dexi5B01G0029300.1:cds pep primary_assembly:Fonio_CM05836:5B:30581974:30585166:-1 gene:Dexi5B01G0029300 transcript:Dexi5B01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRGTTVLVIMCLLVVAVTLADALPPASPLVCDKVYGVQERETCFAVSQAEGLPLKKFLRFNPNINCNNLFIGQWLTFAPLTARSRVAHAVLAPPAAVRPRLSRQWHRWMSPPTVHASGKVSADPRLAVASPPWTAGHFRRRRCPSVARATFAPPRAPFSFPALTPTRRADAAHGANTAATSRCRRDSSPSCPSPHLVLPEHPSTPLSLLLKPSTVPLSSSPEKPSPEFTEAPPSSLSWAALSTAPPAKPRLSRASPSSTATPRPIPDPFPPPQPPAQLAGVNRALRRRPRTCTARYAPPPAEPRAPIASSCRAGAHRPLPRPSPTSTPPVTARRSKPSRHRHLAQRNRGEPLSIFPHFPGPVSPPFGRRNDAGELEDRNSGLADGVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi2B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:2B:5119492:5121107:1 gene:Dexi2B01G0005550 transcript:Dexi2B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPWSCLMVSLTCVVSTMLWHGLHHLQLPHFLCRQSRRLSRRLAAFLDPYLTVTVAEHDGGGRIRRSEAYKEVQAYLQRATMDATGGVRHLTASPARDSDPDRLVLSMDKGEEVADVFRGATISWLASASPPTDDDSLARRAASRAAGRRLYRLSFLERHRDLVLGEYLPYVRREGRAAMVKDRQRKLFTNISGGSYDSDEIWSASSWSHVVFEHPKTFETLAMDPGKKKEIMADLDAFRSGKEYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYDIELTSVSSNTDLCKLFIETTSKSIVVIEDIDCSLDLTGKRTTSNKKKDTAAAGDGGHRKDGDRKEDDGSSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHVEMSYCGFEAFRFLAKVYLDVDAHHLFDDVAALLKEVDMTPADVAENLTPKGPGEDADSCLAALVEALEEAKEKALAKKKAKEEAANEDGDVDDD >Dexi6A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:6A:6339609:6342498:1 gene:Dexi6A01G0006550 transcript:Dexi6A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLPDLPDSNTLHLPVCSLAGGLAVERVLALVMPAGGCRRLLAGAMNGGTSLAPSGAERPRLCLASRVAPRDTLAPDRSIMIRLIHCQKASPRGCPRRQVTNPFALAATQDYIQSPVHFLAMEVAPSKLPSIIRRTRLPKILETIMEDDREALESPRAPSYNASRTKEVVNTTMHCADKLVFLVPMAKTECLKIKA >Dexi6B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:6B:10046806:10051717:1 gene:Dexi6B01G0008110 transcript:Dexi6B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEFSLACLPVQAGAQLLSLLSFLPPRGKNQPYKTALRSSSSPSPPLQPPRRALASPPPPPTAYSPTPASARPRWRSRSRSCSDVAPSMSSLSSSAAASPTPSEREAPRSPPLPAPPLVGALIESLSFRGCGFGRAAASAFEKEDLRSRAALPRRLRAAVHAAMRARDPAAGLFALDDRDGDGDGEGNPWFDAAAHDDAPESPLIAFVNPRSGGRLGPVLKTRLQELIGEDQMAGVAGYVELLSRCGEARAIARLQAALVTSGLLRRSAELHDALIRALSRSARPHLAHPLYAHLLRSGFLPTPHTLPSLLKSIALSPAAPGAAVLALAVHAHAVKLGLERFHLVSNALIRVHAGLLGRLDDGLLLLRTAAAVDAASFNTLITAHARAGRVVDARKLFDEMPARNAVSWSAMVNGYVQAGDGREALEMFARMQAEGVAPDDTVLVGVLAACAQHGALEQGKWVHGYLKANGTRITVFLGTALVDMYAKCGEVQLAMDVFEAMKDKNVLAWTTMIKGLAIHGRGSEALMLFSQMESSGVRPDDIAFIGALCACTHAGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLGEAKEMIQKMPMKPDALIWGALMAGCRFHKNVELAEYTVKHWILLEPEKSGAYVLLSNIYAASGRHNSAREIRHIMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPCIKDILTKWHEIDSRIKLEEGYIPDKKEVLLDIEEEEKEDALSRHSEKMAIAFALISTSDNMPIRIVKNLRVFDLTAVKPSEFVEYALACLEQLADSGDERARFVRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFSFSWKAAAKRSLYKAIFGSVCCLDSIDMFFFALNEVSLILLE >Dexi3A01G0031600.1:cds pep primary_assembly:Fonio_CM05836:3A:36017212:36017893:-1 gene:Dexi3A01G0031600 transcript:Dexi3A01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGWTLDLSLATAGTSAAASERRVGWRRRTVSSLFAELGSMLPNLPTDRPATQEEIVEAATAQVKMLEEEAAILETYRAVRRGPRPGPRPEVAVAVATVCFCVRLPARPGALTRVLGVFHRRGVEVLVATVARHGGAAVVTVTAAAAPPEVLEMISADIGAIY >Dexi3A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:3A:4798992:4802804:1 gene:Dexi3A01G0007090 transcript:Dexi3A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPFAAAVAAAASASSLHLAASRLRVPAVSVARREGLFGGRVAGGAARAPARLPRRGLCAGADAGAVVTQEEAVEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYNIGAGFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAIHFYEKAFGMELLRKRDNPEYKIAISTDDVYKTAEVIRLNGGQITREPGPLPGINTKITACTDPDGWKTVFVDNIDFLKELEE >Dexi4A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:4A:3815200:3817368:-1 gene:Dexi4A01G0005310 transcript:Dexi4A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSISKRLRPVAPEVIPDEVLLFKILVDLPVKSLVRFKSVCKAWRATIASAHFVRLHLELARACSPSVVLVPRKWQPEPTKVASRFVYIYSFQQPPVQVAKLIMKTKPCPTGCIPRFTIPLHCDGLILIPSVTGHVFVCNPATKEFVELPPGTPNALLDQRVAFGFDPSSETLEWKATIDPPYPIKGRTPICLPGFFYWSAVQSVADADQADKDMVFLAVDARNLFKCNLRDGSLETVVDMRFGLKYNRGEGVNFIHGSGLEGYLINAQQHSENVACLKGIEHAAILGTQQIILETDAMSVANAIVRMAVVRVDPSAQWISSIANLRVQWFDPPPALFIAILHSSIGPTDRCSLLVPLAAHRRTSSSLPLPPPPQSTANTSSDGCLKNLEAEVFKVNKAALLDLIFVDLSLNSFSLSDLC >Dexi7B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:7B:23752567:23752795:-1 gene:Dexi7B01G0017820 transcript:Dexi7B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSQSQCPGSPSSRYAGGSEQPLRRRLGVDDAEHVVRRRGDVLRRRQAATFLHLQRT >Dexi5A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:5A:24474292:24479428:1 gene:Dexi5A01G0020670 transcript:Dexi5A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKHHDPEVARGRGTGSSSSKAVWDTGSSLYDSYELAAVRRLIDRRLLAAAGVLPLTTDDETPPDERTDRSNKQQAVVAVRPRRKVTLRALFRAVATWAARPKQAPLACACAGMVHAQGGSAVDPDGEGAG >Dexi2A01G0032710.1:cds pep primary_assembly:Fonio_CM05836:2A:43125722:43126072:1 gene:Dexi2A01G0032710 transcript:Dexi2A01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKEVSVPDSPTSPAAPTRIAGVPTTRITASIQQADAAAATAAERTRPVTTQGPNRDDGDEEGQIRPWGTRIHPPRTRIWAHRLPAPEKSHRPPPHPGPAMEKATGKRRKEGRRG >Dexi6A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:6A:1914977:1915598:-1 gene:Dexi6A01G0002010 transcript:Dexi6A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLPSSPRSNEASIEEQLQGLRSIICSPSVTIETMVDGLSKIGSIYSRINELTCLASSQRGQRKAVEEELERSLLLLDLCSAMQESFEELKAIVQEMQLALKRGDDVSVQAKVQSYTRLAKKAQKQSKKISTKASSDIEGCRVIKLLAEAREFAVTMVESTLNLLSKQLVMPRSSKWSLVSKALQKKRVVSWS >Dexi3B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:3B:1714403:1718531:1 gene:Dexi3B01G0002480 transcript:Dexi3B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGNTPVGDDGHVPVICGLSRCNKKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCHDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYNLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLASKMVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEINDKEFEDFFKRYKEVAEKNKGRLLAFLLYYYHHHQIVTIICTVTSDTLCMETHVLIHSLQRVTDEDIEALLSDEIFQPKVIWSLSDVQATCGTLGLSTATVKLIGPDGEEKIACSIGTGPVDAAYKAVDQIIQIPTVLREYGLTSVTEGIDAIATTRVVITGDVSINSKHALTGQSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSGVPESASVGSKE >Dexi7A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:7A:30015993:30020104:1 gene:Dexi7A01G0021400 transcript:Dexi7A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNADESVEDCVEADPPPPPPPPPYKPTWRCFSYDELHQATDGFHQDNMVGKGGYGEVYRGVLDDGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFEFSTRGSVSANLHGKFSTTPQQWLALNLKLPVMSWKQRQGIAVGTARGLRYLHKGCERRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLIAWAKPYLSDGIVQGLVDPRLGDAYDAAQLRRLMFVASLCVRAAAAWRPTMTQVLELLESGEISQDQWLMPEKEEQDELWDFDDLDDFEEDDEDDYDNYDDESDSPSISSSACSIHPND >Dexi6B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:6B:24850201:24850482:-1 gene:Dexi6B01G0017720 transcript:Dexi6B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDRGKYLLVHHIHLDLDHERIETTRRQSHLRLLFVMGRDGAPSSSHAATLDCAETYNCPELKNKCVAFLVKNFKKAVLTDGKFHSILSPS >Dexi5A01G0034610.1:cds pep primary_assembly:Fonio_CM05836:5A:36630825:36631044:-1 gene:Dexi5A01G0034610 transcript:Dexi5A01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWDDKYNTQGISNEVVAAMREMVNKDMVSNSKDTQNVVSNSFLLDDDL >Dexi2A01G0023340.1:cds pep primary_assembly:Fonio_CM05836:2A:35054543:35055221:1 gene:Dexi2A01G0023340 transcript:Dexi2A01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTRSSVKNEVARSIVRICFDWDEEKDKKVMVIAGVIISINADGSAVIVADATFFKKKLKFVVNFPNATGYEDQALSVSDDLVNDGVDFCTFTLKPSEDGYIKPVRFETQPVKQGDEVNAFVFPREGFITPTAYCRGSIKYASARVVFHNCPMHSYGYLGSPIFNLRGDLVGITYLDRGHLHAWTVRHLLSDVLRNKSR >Dexi4A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:4A:3367955:3368251:-1 gene:Dexi4A01G0004780 transcript:Dexi4A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSYVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGIRDGGSHVFEHLMGLLNGKKPLF >Dexi8A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:8A:28555627:28557633:1 gene:Dexi8A01G0016900 transcript:Dexi8A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLAGFFRAPPRCGPAAAPAARASPPLPGIPLFHGRRRRRASSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPNEYQKLAQSVSELDQVVTTYRQFKDCERQLEETKALQKENEEDPDMAEMIASELEALSNQLAELEGKLKLLLIPSDPLDSRNILLEVRAGAGGDEAGIWAGDLVGR >Dexi9A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:9A:5083753:5097194:-1 gene:Dexi9A01G0008590 transcript:Dexi9A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPTRPARARRVPPRAPHAPAVALPPGPRATAAPTRSQPGLADAARPHASTCVFASMCKSLRARRIDPTAHRLLLPISSLPDPSISFALTRRIDPTARRLPLSISSSLRRRRRRKPELEVAVRKLSISIMSADPEASAPSPQRSISMGTREGNASRRAAHHRSKPLSARPSTNRSRFTSRPSEGTDPPGTLVMCLRHSRPHLSPDEQLAAEKDFGLYCKPVEMYNIIQRRTIKNVNFILQLQPTFIQRSLIYKIQSRRKKEVWQVGHVIGGGNYPGNYVEGSSLQKLEGKCYWGKIATHLLTSALEKVHTRVSLGLTIELASTVTMRSSFLEILFLCMQPKFLEQDNCLTFCSHKVDDTGSYQLKVCIHAEEVGSRDLSLSPYSNYSYNDAEPSILPEIIRLRAGNVLFNYKYYDNTMQKTEVTEDFSCPFCLVTCGSFKGLGRHLNSSHDLFRFEFWISEECQAINVRLKNDDRKTELLAEGVDPRHQTFSYRSKFKRRRQLETTAVKFRHVHSHDKESGSQEDAQAGSKDDYVQRENDALVDPSHTVHGSIFSPPTMLQFGKTRKLSVEQSDLRIRQLLRKRQFFHAHKAQPMALEQLFSDHDSEDEVDDDIADFEDGRMLDDFVDFTKDEKLIMRMWNSFVRKQRSVVLADGHIPWVCEAFSRCHGQHLVQKPALLWGWRFFMIKLWNHSLLDAHTMNTCNIILQGYQEGSSDPK >Dexi3A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:3A:13960595:13961048:1 gene:Dexi3A01G0018270 transcript:Dexi3A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGGYFIGNVSPARMDFRWFCLGNFIAILSSLVTGEKAEAMPDLVDELCEELNREMSLKISYPGMENQEWHIVTGCDPKNTRWSYHNGGSWSGTY >Dexi8B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:8B:24405482:24405946:-1 gene:Dexi8B01G0014050 transcript:Dexi8B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYGGLDLGLGNLPSLEDVSTRLLYEGASKEDMRQAEAALRHAAEMHPNHPHHNISTGVIDEDDEL >Dexi3B01G0021560.1:cds pep primary_assembly:Fonio_CM05836:3B:16433037:16434890:1 gene:Dexi3B01G0021560 transcript:Dexi3B01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTPETAITCAAALAPARLHSSTSAAAAHSLDHSVPRRISATSRATAPSTATIASAPPRFASDASTAAAASSSPIAETDASAAPASTSLPMFSLSPDRDSSAASASFLVLGGPVRASSTTASTTRAFRPRRRRYACTAARLSTVAAAFSLARHDAPERITWHSASTAPSACMMRRLLASRMERLSSAVTALSWSAESSERSSGTMSAAAPASPMKARLSDPDLASWRISCTTRLVASGEKVADSFFTRNDAVMRAASSAALFAATATDNDAGSALPPSTTSTATPFSRHHRAIKVLSALLGTSSRLASFCPVFGHVDLPEPNHRAMDSRSHDGVAHQLERDGAPEVHRRRRRLVGAVVIIEIRLGVVHGTRRGGAEEDALGVAEEADERDHRRRPPLGDELADLPLEGLDLLAGSLIPTSSRMPSSLSRPGTISRSTSWISASTPDLSSTTSAAPARRRHWRDASASRSATSSASPRSATGRRSSSVARSCCSSPARAATSSDCSSSLMRLRAAQSATRALNRCSTRSTSLRQSDADRGSSSSGPAAAAPPHSRRSSKTAASSLARLATAAKTGRRNGAGASAAERSRARRCRERSTSPSGADDE >Dexi6B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:6B:2837299:2840439:1 gene:Dexi6B01G0003440 transcript:Dexi6B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTSALHGGLLLLLPLLAITSAASSAPLPLLALLSLKSSLHDPAGALRPWTYAAAASAGATRSLAPPWCAWPGVSCDAATGDIVGIDLSRRNLSGTVSATAARLLAPTLTSLNLSLNAFAGEFPTAVFELRRLQTLDVSHNFFNGTFPDGVVKLAVALADLNAFSNCFVGDLPSGIGELRRLERLNLGGSYFNGSIPPELGKLQRLRFLHLAGNALTGRIPAALGELASLEHLEIGYNGYDGELPPELGNLTELKYLDIAVANIAGELPPELGELGKLESMFLFKNKLAGAIPHQWSRLNSLQVLDLSDNLLAGEIPAGLGELFNLTTLNLMSNSFSGEIPPAIGSLPNLEVLQLWNNSLTGGLPESLGTSGKLIRVDVSSNSLSGEIPARICSGDRLARLILFGNKFTGAIPASLATNCSSLWRVRLESNNLSGEIPVGFGAINNLTYLDLSSNSLTGGGVPDDLVTSPSLEFLNISGNPVGGELPNVTWQATKLQVFSASKCELTGELPAFGGDGCANLYRVELGENDLTGGIPHDIGVCKRLVSLRLEHNKFSGEIPATLADLPSITEVDLSWNDLTGVVPQALANSTTLETFDVSFNHLDSPAARAGDGEGSSSTSSKHNAAMWVSAAAVAVAGMVVLAVTARWLQWRDATAGELDGGGARRRGDVIGGVGPWRMTAFQKVEFTTDDVARCVEGTDGIVGAGSSGTVYRAKMPNGDVIAVKKLWQQPPAQKETQPQPPPETKKKDHDHDDGEVIAAEVEVLGNLRHRNIVRLLGWCTNGEATLVLYEYMPNGSLEDLLHGGGGGGAVAAKARMGWDARRRIAVGVAQGVSYLHHDCRPAVVHRDIKPSNILLDADMEPRVADFGVAKALHGGGAPVPMSAVAGSYGYIAPEYTYTLRVDEKSDVYSFGVVLLEIVTGRRPVEAEYGEGSSIVDWVRRKVAGGVVEVAAWAAEESGEVREEMAMALRVAMLCTSRSPQERPSMRDVVSMLEEARKQLVGKKQARAKMV >Dexi6B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:6B:20777248:20777634:-1 gene:Dexi6B01G0013050 transcript:Dexi6B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTTLSLDTTFTATPRPVRRSRAAWTRPKLPCPSTRPSSYRPCSTPPGFTASPSSPAPPMLDRSIHPTSSNPDPTQTEKKTLLPYDDDDDDDWRRGGRWMGAVAAQLALAGDVSA >Dexi7B01G0021500.1:cds pep primary_assembly:Fonio_CM05836:7B:26502162:26502527:-1 gene:Dexi7B01G0021500 transcript:Dexi7B01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLAFLFLLLAAATATVTAASGTSRKVLHWKDYLGCPRDAIKLGACVGALGAAGLQAGAELGSKCCDVVQGLAAAEVAACFCTTIKETVLGIPTEWDVGVGVLASACKTELPDGFKCL >Dexi3B01G0034880.1:cds pep primary_assembly:Fonio_CM05836:3B:37529547:37532353:1 gene:Dexi3B01G0034880 transcript:Dexi3B01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEWLVSDSGSEEGEDDENQGPNHPFTVDKFPKATCDEQEQIYVLYKHPETRLRGPLPLRRYPPYKCGKHVFRVDYNLDDKSESTKNYVHFAVTVSSAEDCFNGCKCNPLCLLQFIDIKIAGYKHVQSGCANIFGFVDTRDTIEPLRNYVYRRGINDCEAISVKRNTGDARLSLTSPARVISILTRVLFEFELYVRTESLSEDEPKGDCLIEGCTEFTNLFEPIPCVEHRRLYGERCALDVKYMVLMNAVEARVEVKVLSVGAIAGGVHMKVHAKTSGFDEVIRLFRGAAPEPGSNMTFVVAVERHKNLDLYIKAAPGDDPFVGMEKKPMSCSWQQYSFASGYHGTDEREAELGEFAQVSVKVTWKSYR >Dexi3B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:3B:3030974:3031309:-1 gene:Dexi3B01G0004430 transcript:Dexi3B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVGSPPTWRAVAAAVVALWLLPVVLALALLWLPLLCCAVAALRFRRVRRRRMLRGCCGGGGWREEEEMMVEDGGGDRLRLRLLHQYLDDQMELVGGAAGQLDPAQR >Dexi9B01G0044360.1:cds pep primary_assembly:Fonio_CM05836:9B:44147212:44147826:1 gene:Dexi9B01G0044360 transcript:Dexi9B01G0044360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHLEEGVGASTSTTPMAASAAGELVGLRLIIQPSPRKQLPTVLRRSAVRIPASAASRCHEIENGRVFVGLEFLKSCLCCHKNLDATMDVFVYKGEHAFCSAECRCQHMAREERREIEALVRKRRDAFHRRHAGAARMPGSNRLLRLQTTAAR >Dexi8A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:8A:12461958:12466577:-1 gene:Dexi8A01G0009430 transcript:Dexi8A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSLLLLLLATTLLVASSSSASDAPGFSCGPSSPSRALPFCDRSLPAARRAADLVSRMTVAEKVSQMGDEANGVPRLGVPPYKYWTEGLHGLAFWGHGLRFNGSVRGVTSFPQVLLTAASFDEGLWFRIGQAIGKEARALYNLGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPATASKYAVAFVRGIQGSSSPSAIGGGAAAPSPLQASACCKHATAYDLEDWNGVQRYNFDARVTAQDLADTFNPPFRSCVVEGKASCVMCAYTGINGVPSCASSDLLTKTFRGEWGLDGYISSDCDAVAIMHDAQRYVPTPEDTIAVALKAGLDLNCGTYTQDHGMAAIQQGKMTEKDVDKALTNLFAVRMRLGHFDGDPRGSAPYGGLGAADVCTAEHKNLALEAAQDGIVLLKNDAGILPLDRSAVGSAAVIGHNADNPLVLSGNYFGPACETTTPLKGLQGYLKNVRFLAGCDSAACGLAATGQAVALARSSEHVFLFMGLSQDQEKEGLDRTSLLLPGKQQSLINAVASTAKLPVILVLLTGGPVDISFAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPATGYPGRSYRFYNGKTIYKFGYGLSYSKFSHQLVNRGKSPSPDTSLLAGLPSTTSSKDTAKSYYHVDDIGTEGCEQLKFPAEVEVENHGPMDGKHSVLMFLRWSNATDGRPSRQLIGFRSQHLRAGEKASVRFDVSPCEHFSRAREDGKMVIDRGSHFLMVGKDEWEISFEP >Dexi7A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:7A:19304918:19309326:1 gene:Dexi7A01G0008140 transcript:Dexi7A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding YISRLTQPRAPSLFRPPPLASPHTSHDPLSSAAAAAAAMQMAATTTDTQAAVPPHHPHAHPHAPPQHGHPHHHMPQPRWVVIPYPPPPPMVAAPPPPPQFAKHFAAGPPPPPQAAAGRRTPTPPAAGSGGNGCEENKTIWVGDLQYWMDENYLHNCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFFTHASAEKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAADVTDEMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMSEMNGVFCSTRPIRIGPATPRRSSGILFFSNLKVYSLLSFYHKLYYFVLWSNYDINIFDCVSRTDAEEALQGLHGSVIGKQAVRLSWGRSPSHKQSRGDSGSRRNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPFYGGNQQLVS >Dexi9A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:9A:9568117:9573921:1 gene:Dexi9A01G0014470 transcript:Dexi9A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKVHVVAMSLFIISGGLWASRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSVPDLKAAGEGSVHYPLSAKESFKAAVTYLFRKWYFRAVSFWRNIKQLSENTLQLMYTINIQVKKIWCQDILPAEFNEWIFSCLLERQVRSNWNDFLHIIKDLELPSMDHLHSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKLRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPQGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVG >Dexi5B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:5B:612454:618675:-1 gene:Dexi5B01G0000950 transcript:Dexi5B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFAAAVLLLFVALVSLLVFSKKKGGHGRRLPPSPPGLPLFGNLPLVGSLTHRKLQSMAAKHGPVMLLHLGRVPTVVASSAAAAQGIMKTHDVSFASRPRVRMAERLLSEHDMAFAPYGERWRHARRVSVLHLLNNRCVSSFRYIREQESAAMVAGIRRAIAGGGGAAAVNLNAVLISFANRVISRAAFGEDGSNVLDGGEKLAKLFGDFEELLGMATVGEFVPGMAWVDTLMRLDAKAARTSAEMGSLLDRVVDVHQQRRRRGARPPEGDDHRDFVDVLLDLKEAEEKTSDGVPFDNSFIKAMVQVIFAAGTDTTYAALIWAMAELMNHPHEMHKLQDEVRTVVGDGGHVTEDHLEKLRYLKHVIKETLRLHAPLPLLLPHETTEDTELLGYHVPARTRVIVNAWAIARDPATWEHAGEFMPGRFAGEDHKTDYLFTQDFRFVPFGGGRRGCPGIGFAAPSMEMALASLVYHFDWELPAGAGSKLQMDEMNGLSVRLKETLHLRAPTENFRGPFAILSHSSVFSKAPKQSCRRLTAAMTVTLIVLLTVSLVVPLQSASPSRISPPPRKDSLASICTAALSCPARYCHYWRQARHVCVGPSKKLRREASSVANKHAVDDDFFVAPVTYIGSVRMNSFASICTALVRLKLNMDVSLLALLFTAAAVPLVLLLSSATNRRASGRRLPPSPPGLPLLGHLHLLGSLPHRALRSLAASHGPVMLLRLGRVPTVVASSPAAAEEALKTRDLAFSGRPRLLMAQRLLYDCDMVFAPYGHYWRRARRVCVLHLLGPRRTASFRRVREQEVAALVARVHAGGVVNLSDALICYAKAVISRAAFGDGDYGLDGDDGGEKLRRVFAEFEELIAAAPMREISPWLGWVDTITGLEAKTRRTFEALDGFLERVIADHRSRRPRPGGRRQVVAVDGEVDDHRDFVDVLLDVNEMDDEDTRLELHTDNIKAIIMDIFAAGTDTSYTVLEWAMAELINHPDKMRKLQAEIRGAIAAAGHVTEDDLGEMPYLKAVISETLRLHTPAPLLIPRETTEDTELLGYRIPARTRVVINAWAIARDPASWGERAEEFLPERFAGEAAMDYGKVGQDFRFVPFGGGRRGCPGAGFAAPAVELALASMLYHFDWELTTADGGVKRSAGTTPPSLDMREAYGLSVRLKAPLLVLATPWLSA >Dexi2B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:2B:12710420:12716733:-1 gene:Dexi2B01G0011120 transcript:Dexi2B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQKVGNLVKQSTGASSALYQAVRCMSSSKLFIGGISYARIIMDRETGRSRGFGFVTYTSTEEATNAITGMDGKDLQGRIVRVSYAHDRGSRAGGGGYGGGGYSGGGYGGYGGGGGGGYSGGGGGGYSGGGGYGGGSYGGGGGYASGGGGYNDGGNIGSGYNTGGSYGVSQGGQGGYGVDAGYTGGYNAAPGSYPGESFNQGGGTPSAYGGGNYGASNNTYAENAPNNAAVGKLDDLISDLKVDGAGEAEGQGEDLGFADEGIKEEGQDEFAQDDFKDEDEPNEANNK >Dexi2A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:2A:17831960:17832454:1 gene:Dexi2A01G0013200 transcript:Dexi2A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQHMPGRSLWLRACSIVLVGSKKFMKPHEFGRITPRFGSRLSVSILRFFRHGATWSSLSRYPGGEEVPPVKRALVYHIQLVVRPEDALVWTCLHFPAAAHHHPTAVLHRTGVDTTTTTQEPIGGVVRLFRRQHQALPLHVSCMVDLVRQVMGWDCMRPAKST >Dexi1B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:1B:6022803:6023018:-1 gene:Dexi1B01G0007280 transcript:Dexi1B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKEMGRLDAERQPPAWFHPFLATSFFEPCPKHPATTSGRWTQGVKDHRLQLFLRRLCRRRPLLRFLPR >Dexi1B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:1B:989494:991412:1 gene:Dexi1B01G0001280 transcript:Dexi1B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTALCARHFRPPLACSSFPSPPRLPATSSRLRPRRLAVSPRAGTGTGDVEALRAGVPVYKPRSYDVLVTDAARSLACAIDDGKTRLEIEFPPLPSSISSYKGSSDEFIDANIQLALVVARKLKELKGTRSCII >Dexi8B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:8B:25265397:25266908:-1 gene:Dexi8B01G0014620 transcript:Dexi8B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLQPLANLQKLSLQGLEKVSDPLDARFASLDSKINLESLSLRWDMDDYSNDTIPANSHAVLESLRPHQRLKALEIVGYEGEKLPLWITGRPYIKSLVEIKLINLRSCELPPLGLLPFLKIAEISGAETVCSVNGNFYGGKFPSLEKLTFSYMHNLEVWGQEHRQGMFPRLRELAIIQCPKLRALHMELQSLERLILWMNNKMLYDLKGALQGLVKTLEHISISFSEELLASSDCEGLQDLGKLTKLEICGCDELAFLPQGLQHLSSIRSMTIDNCTKLEALPDWLENLPFLQIMRLSGCPLLHSIPRGNPVDFKGKEIIMEDA >Dexi3A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:3A:8494941:8495697:1 gene:Dexi3A01G0011760 transcript:Dexi3A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGERDPKRQKPSTPVDEGVAAKEVSGSGGPAMALLNLTVANADALHCVVCSLSLKPPIFQCDEGHMVCSPCSDKLKGAGGKCHVCGVAMAGGYRRCHGMERLVDSLRAACPNAAYGCAATPPYHGREEHIRACPHPQCYCPGEACGFAGSTAALRDHIASAHGWPYQREPWSRSSFNMNLRDGFNFVVSAKDDLFLLNP >Dexi9A01G0049520.1:cds pep primary_assembly:Fonio_CM05836:9A:52123852:52128313:-1 gene:Dexi9A01G0049520 transcript:Dexi9A01G0049520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRARGLTLQPVVLDDLFEDVVEIDHGEEDDSEEEEEEDEGEEETDDDQGSEDGEGSSEEEEEEDEEGERHADFAGGDCGPSAQARVSGAAAERPTCPCPQCGKRFKQKDIINLYAPEVAIPKNELEKVLQHDKLFEEMTKRQIAMEQRIKDAVSSKRQKVAEHSDGAARLELSTSATVNFGLQNELFLDGARVIAIDASNQLILASGRATAVGPEYVLTKISMNSTEAQKIHLPPDTKTVKDMCILPGGSAIFTSLGRRLSLFSDVFVASFRPKVEASEDATTSQVYLSQTPSRPIGSGKLGHHALLRRTGNSSFAEGTTCYGNVSEIRMSRSAIIPYGDNHQEKLFAYGDESLRGVRTWQLPSFAIHSDLCPHRQPILDLRYAESSGGGTRYLGCLSDEKLQVFRVG >Dexi3B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:3B:8950591:8952036:-1 gene:Dexi3B01G0012760 transcript:Dexi3B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATLLAKVVRQLKDLKSQAAETRQTLPIPTATYIRTSVSCDDRPGLLADLAGALRGLGLRPLRADVDSLGGRARCELMLCKEEGDAASGSRVKALVDEGVRQALASAAFPETAPNRSTR >Dexi9B01G0023950.1:cds pep primary_assembly:Fonio_CM05836:9B:19377492:19381412:-1 gene:Dexi9B01G0023950 transcript:Dexi9B01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCGLTVDSLNPKVLALTDHLGDDAIARRAQCIQNEIENKPGSHPFDKVPYYLDESRGWGVSMSDLKKQLDDARSKGINVRGLVVINPGNPTGHVLVEENQREIMEFCRKEDLVLLADEVEDESYTSYQAERNGILSAFSRCAEAMVCALNRLEGVACCKAEGAMFVFPSVCLPKKAIAAAEERNIEPDVFYCLRLLENTGIVVVPGSVFGQVHGTWHFRCTILPKEENIPLFISRFMVFHEAFMDKFRN >Dexi3B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:3B:10501589:10505873:1 gene:Dexi3B01G0014590 transcript:Dexi3B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRARFLFLLLLLHTAVAIPPTAAIPQAAADDDDAHNISLASQAAALLAIRDAFTLPLPPPLRAWTLATRATLCTSWPGVACDPGGRGVVVSLDVSGYNLSGTLSPAIGDLTGLRFLSAAGNSLSGALPPTITSLRHLRHLNLSNNQLNFTLAGGVLDFSAMRDLEVLDLYDNNLAGALPDPESTGLAALPSLRHLDLGGNFFSGAIPASFGCLPAVEFLSLAGNSLTGAIPPELSNLTTLRRLFLGYFNHFDGGIPPELGRLVNLVHLDLASCGLQGPIPASLGDLVKLDTLYLQTNQLNGTIPASLGSLTGLRFLDVSNNALTGEIPPELAALRSLTLLNMFINRFVGGVPEFLADLESLQVLKLWQNNFTGAIPAALGRNAPLREVDLSTNRLTGEVPRWLCARGRLEILILLNNFLFGTVPEGLGGCPTLTRVRLGQNYLTGPLPRGFLYLPALTTVELQGNYLTGRLEEDDAGVPGKLSLLNLSSNRFNGSLPASIGNFTALQTLFVGGNQLSGEIPREVGRLKRLLKLDLSGNNLTGEVPGEVGECASLTYLDLSGNQLSGAIPGRQLARIRILNYLNVSWNMLTGGIPPELGGMKSLTAADFSHNDLSGRVPENGQFAYFNASSFAANPRLVMTSPRQWPGTPEQQRRRQGSSSSSSSMVGGRLKLLAALGLLGCSVAFAAAAVATTRSAMLRRRRSSSSSSGRWRMTAFQKVSFGCEDVVRCVKENHVVGRGGAGVVYRGTMPGGEVVAVKRIVAAGDGGFQAEVETLGRIRHRHIVRLLAVCSSSSSSSSSSGEAQRLLVYEYMVNGSLGETLHGGGGGEEEERPTMEWAARLRVATEAAKGLCYLHHDCSPAILHRDVKSNNILLDARMEAHVADFGLAKFLRVGGNGAASECMSAVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGLRPVGEHLGEDGVDLVQWARARASAAGGVHALLDPRLGGDVPAGEAAQLLFVAMLCVQEHSVERPTMREVVQMLQQAKQQQQPLAPAAPDAC >Dexi2B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:2B:1590556:1601531:-1 gene:Dexi2B01G0002040 transcript:Dexi2B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYRLGVQLHGHADDVSARSPSSHPYSSRHYLLRLRLLPLQACVDALGFDPTVPEPKPSQFGVSLSLVERESVGSLICRLAAGLSSNSIWPHMDHLVSWLAYHVYSLQVRGICVCGDAGIATSSRDRTVKFWTRDPEKESEYVLSKTLAGHSSFVGPLAWVPPSDRLPEGGILSGGMDTFIFLWDLHKGEVVEKMKGHNSQVTGLAVDTNGDIISSSMDCTVRRWRNGNAIEVWEAHKVAVQTVLKLPTGELFTGSSDSTIKLWKGRTCIQTFSGHADTVRCLAPMPGLGILSASHDSTVKLWTLTGQPLLEMIGHSSLVYSVDAHSSGLIASGSEDRSLKIWKDGVCVQSIEHPGCIWDAKFLENGDIVTACSDGTARIWTTDTNRFCSDEELAAYTDLISQYTLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAELKWDKIGEVVDGPEDAAQGQVHDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGGKHVFFSFLAIVVFAVGCCRLLLTTKLIMYQLTHMYLRGNRLHLMVVLLNKFSSTYPRKVADNRTSNNIDDVSTIQWLPQRRRHSFAFAVVLPHLRADHAVARTPPWPPCCCSSAMVASAAAPPRRHNSSAMAVVLPHLCAVDGGRRPCRRTLRSYPRVHARDALSLGKMMAHATPGQELPAIGMLSFETAQFDGILKKVTEFNATLSSDSVIQKLISYYVQEQKQVSLSETEMSRLPAIAKVLKETSFYHTSKLAEADLALLVKILNSWPPKMMFPVIDFLRMFVLHPDGAALLLKTIEIGNDVLMETFRKAVALPVQPANLLTILKAVTNLFDNSCLHQWLRIHSAEIIDSLSNCKSSFSKNSHLAYATLLLNYAVLSIESKDEQSQAQVLSAALEIAEDDAQDFDSKYRALVAVGSLMLKGLVKSLALDLDVKSVASSAKASMDSKIAEVGADIELLTR >Dexi6A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:6A:254075:254222:-1 gene:Dexi6A01G0000400 transcript:Dexi6A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPINPVGPILLRQPSSPSSRGDASREGFEEKSSRIKKRR >Dexi3A01G0025300.1:cds pep primary_assembly:Fonio_CM05836:3A:20976261:20981462:-1 gene:Dexi3A01G0025300 transcript:Dexi3A01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSPTSLSSSGYPAPIHLRLQPLPSIPPLHPTLLPFPRSLPLHLTSLRFSRPHLPPTPLASSAIGGIGGGNDDDLPSGGGGGGGGGDEGGDGSADGAGDGDDDASVNRREALFVLAQLGRKLESLPADLAAAVQGGRVPAEILRRFVDLEASPVFRWLLQFGGFKERLLADDLFLTKVGIECGVGIFTKTAAEYEKRRENFIKELDFVVCDVVMAIVADFMLVWLPAPTVSLRPPIAGNSGAIAKFFYNCPDNAFQVALAGTSYSLLQRAGAIVRNGAKLFAVGTSASLIGTGVTNALIKARHAVNKDSAGEVENIPIVATSVAYGVYMAISSNLRWVDYARWTGVQ >Dexi5B01G0022900.1:cds pep primary_assembly:Fonio_CM05836:5B:25166541:25168129:-1 gene:Dexi5B01G0022900 transcript:Dexi5B01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFNNRHWPSMFRSKHAAEPWQAHPDVSSSPPPHLLSGAAGNNTAATGSCLKHTSSGYAVLRGREYGHVGDANVFYWFQNRKSRSKNKLRSSASYAAARPCPTRARASSTAAPPPVPPPPQQQQQQFQLLAAASPVQAAAVAPTSSSSSSSDRSSGSSEPAAKRAAAQAMSSPTAAAMDLLGPLAAACPTQMYYHQGHQPMAPPPAHKVQQDLVVSSEIFQQWPQGYCVSAGEVAAILGGGHGQYMHQHVPVVQQQQPPALPTAGAPFLGLCNEHH >Dexi6B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:6B:22308210:22310324:-1 gene:Dexi6B01G0014900 transcript:Dexi6B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGKKVTDLAVKAGKAIDWDGMAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEQASLKESERIEKEIAELKEMKKKISTMTADEYFEKHPEVKQKFDDEIRNDYWGY >Dexi7B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:7B:18126143:18127799:-1 gene:Dexi7B01G0010930 transcript:Dexi7B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSHGSDLLAPVFLILVATVTILYFNRLLLLLAPRKRTGSPPSLPCPRGLPVIGNLHQLGATPHDSLAALAATHAAPLMLLRLGSVPTLVVSTAAALRAAFQPNDRAMSGRPALAAATRITYGLQDIVFSHPDGPLWRAARRASLSELLSAPRVRSFRGVREAEAAALVAAIADASGSPVNLSEKLMATSNKILRRVAFGDEGEGSIEAGTVLDETQKLLGAFFVGDYIPSLAWVDTLRGLTRRLERNFHELDAFYEKVIDDHINKRDDASKGEDLVDVLLRLHGDPAYQKTFHSRDQIKGILTDMFIAGTDTVAATVEWTMTELVRHPDVLAKAQREVRGVMGETDGDIVLESDLPRLTYLKQVIRESMRVHPPVPLLVPRETIEPCTVYGCEIPAGTRVLVNARAIGQDPGAWGHDAARFVPERHEEVADLGDHKPWHDSFSLVPFGVGRRSCPGVHFATSVVELLLANLLLCFDWRAPGGVAAVDLEQETGLTVHRKNPLVLVAERRMRAGKR >Dexi1B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:1B:6051271:6051849:-1 gene:Dexi1B01G0007330 transcript:Dexi1B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKEMGRLDAERQPPAWFHPLLATTFFEPCPKHPATTGGRWTRTSGCNLFCADCSGDALCTGACLADHAGHRLIQVRRSSCHNVVKVADLERLQLNVRFVQTYVYNNEAAVFLNKRGVSGKEKPGQIRCEGCNWGLMDPECRFCSLRCKVRTPGSDLFLDL >Dexi8B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:8B:18413181:18414599:1 gene:Dexi8B01G0010030 transcript:Dexi8B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTFLLFAAGFRKHRRSRALSGLLWLTYLSADSVAIYALGRLTLHSGDPRHQLVIFWAPFLLLHLGGQETISAFSMEDCALWKRHLLNLATQSTLAIYLVGEQWRGDRRLMAPMLIMFVCGVSKYAERAWDLRRAGSRAPGSRSMAGHVTGARREFEREVFWYYDKLNHILSERLQLHFELVMEVATRGFQLSLDFLMDVIPAKSLRPETDWNEGLVARIKSSENRAELAYRLAEVQLSLIYDYLYTKFGGLLGVLHRPITFVLTSTALFSFVIIIALDDQKGTPTASSSYYGTDVIITYILLVGAVALEISSMLMWFMSSYWPYMTIASLQNRCHHKAFKTLLLIIVRRLGRKSRVEWSGKLHQYNMIGACIRENQEGTGDRMMRCLGIERDYTPPAVVSPASKKVLLDHLLEIATSSSSAAEDMDFANFRGQCG >Dexi9B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:9B:5096488:5097206:1 gene:Dexi9B01G0008310 transcript:Dexi9B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQSAASGMAGARVDDGAAGGKALKVVAAVDASEESLHALSWALDHVVRCHPDAALVVVHAQHAVDHFVYPVAAHGIAYAPATAVESMRKAQEENSRRILARALDMCKERQVGATGAIVEGDPKEAICQAVERFQAGLLVLGSRGLGRIKRAFLGSVSDYLSHHACCPVLVVKPTKAQAK >Dexi3B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:3B:7851686:7856430:-1 gene:Dexi3B01G0011160 transcript:Dexi3B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYDPVVLRMISFGCDYCWTIHSSHFDNKKHMQRCEVCYSGKKCYFALQVSHYSNFSLILQLEAPQKNYSFAQISWYGLRWVLSFFLMEGMTHFFHYNAFVVSRLWQKLSPFEIFIISYGLKYWSLLTLFLCFIINLIDFLNFPIQVLNFMWLKFFLIWRYFRFWSLVGGVEAPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGSRRKLLSIWVIFTFVAVWHDLEWKLVSWAWLTCLFFVPEILVKSLSNKFQVKFFHRIAASSCLGMLVHREFKAIAGAVTISCLMVANLVGYVVGPSGIKVLISKMAGKE >Dexi1B01G0023060.1:cds pep primary_assembly:Fonio_CM05836:1B:28718242:28723077:-1 gene:Dexi1B01G0023060 transcript:Dexi1B01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIATDDRGAGKDEEGVPDGEEETSPIEQVRLTVPSTDDPSLPVWTFRMWTIGLASCALLSFLNQFFSYRSEPLIVTQITVQVASLPVGHFMARVLPRTRYRLPKMLGGGEWSLNPGPFNIKEHVLISIFANAGFAFGIGSAYAVGIVNIIRAFYHRHISFLTGWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPGTLVQVSLFRALHEKEEFPKGSRQISRSKFFMVALACSFAWYVVPGYLFPVLTSVSWVCWVFSKSVTAQQLGSGMQGLGIGAFTLDWATVSSYLFSPLISPFFATVNIFFGYVLFVYVMLPIAYWGFNLYNTKTFPIFSTNLFMSNGTIYDITSIVNDQFMINMDAYSKAGKVNLSIFFALCYGLSFATITATVTHVGIFYGKNLPTLPSIPERKA >Dexi9A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:9A:6769021:6781323:1 gene:Dexi9A01G0010980 transcript:Dexi9A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGKKLINDPNDVVTQFIEGLAETYSGVQYLDGFPEIKVVLRSDVAVGTYDKVAGAAADAGLPLEEVAEQARHASKLVGTVGVALSVCTLPGQETSDRLGPEQIELGLGIHGEPGAAVTELQPVDVVVSRVLKQILLPETHYVPITRGDRAILLTNGLGATPIIELMIATRKAVRELQLEYGITTERVYTGSFMTSLDMQGFSLSVMKSDTAIIHCLDASTKAPCWPAGTNGPRRKPAKIAVPTPLSNAMKSDKASRPPATGDVVHASPPLLPGVGSADLVDNNDHAPCPSSPVSWRPAEEILPTEPEPGSPLHELAEGIRPTIVYTRRPRETTGQGTAATPLAPSTPAPSMDVQPAEDFIDKISKTLPPAVPVPVLQMLQQSRELTKEGCILETSIAAGAKEIIRIKDSLNEWDSKVGDGDCGTTMYKGAIAILDDMKKCYPMNDAAETVNEIGATIRRVMGGTTGILYDILCRAAYASLKGIKTVEGKHWANALQASIDAISRYGGARVGYRTMLDALIPASEILRERLEAGDNPLDAFLISSEAAITGAESTRHMQAQKGNMPDHSIEAVKIEPSTG >DexiUA01G0024330.1:cds pep primary_assembly:Fonio_CM05836:UA:50347847:50349137:-1 gene:DexiUA01G0024330 transcript:DexiUA01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPNNAAYFNGYYAGQDGKPVAGENRICVFERYASDVAWRHTEAGFPGELCRSRRRPDVTLVVRMVVSPGNYDYILDWEFKTSGSIKFVVSLTGLLEVKGTSYTHADEITADAHGTLVSENTLAIYHDHYVTYHLDLDIDGTNNSFVKNVITAARNTGDPATGGAATPRRSYWTVRREVAETEADGQVDVNAGPADLLFVNPGKKTRMGYEVGYRLIPSGATAASVLADDDYPQRRASYTKKQVWVTPYSKAEKWAPGLYADQSTGDDGLAAWSGRNRGIRDEDIVLWYTLGLHHIPYQEDFPVMPTLSGGFELRPSNFFERNPILRIRSGSTHGPSKNCSCDAR >Dexi3A01G0033290.1:cds pep primary_assembly:Fonio_CM05836:3A:38070791:38071268:1 gene:Dexi3A01G0033290 transcript:Dexi3A01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERFELRSPPVLDQEQYGHHLDGWFGFVTSTMAKHVTLDFTPRINSKHCKNVIKYSNQLVVGSYSVGIVSLFLGYVCLELPPKSKSRSPLFGFKTLKKLELKFVFDLGASLTLPFPILLYLIRQAACVTCALSVLASKAFI >Dexi3A01G0028380.1:cds pep primary_assembly:Fonio_CM05836:3A:29039744:29046903:1 gene:Dexi3A01G0028380 transcript:Dexi3A01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAAFHAHPIPHPHRHPARPNPTTGLLRLLPSRRRARPRAAVRLAVSASSTSAPPPSADRSEAASSLERCLSATATGAGMGAGAAAPASAPPRAPPAMKGGRKQYGAFGAVTLEKAKLDLSQRRKKITPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGDEEEGGLFRRRIVVQELFNREFVEAVLQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLSIFARPTNARSFSRALPGWLSRGLVGRTLADPSFPHKMAFEFMATFFSSVWWEMNIRKERFQQEWDLAVVNALTASCCNLMVLGLLAPCRSYGSTSRFDFQNTIEKLPNNIFEKSYPLREFDLPKRISAFFYKAAELSLVGFVAGSVQGGMSKVLSERKERRLSVTIPSVGTNALGYGAFLGLYANLRYQLLSGLDQYMVKRFDVLGVAIFFSTAARLMNIQIGEASRRTWLGEEPDPQYSDRLLRAYKRPVEVNVDQQDSRWFISKDAMVSGLGLLGIKQGGPETSMSKPRRKRVVRKKVTSG >Dexi6A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:6A:26089197:26089850:-1 gene:Dexi6A01G0018350 transcript:Dexi6A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSSGSGMLRKGRARRVTTGGKIHKDLGWVGVRERLWGGYAAEIRIPSSRSRVWIGRFQHARQAALAYDAAMFCFYGERLPNLRKYNFPTMPHPDIPEDMRRELTIANVKAISEKHARSFARFVPVPQPLIPASISAAPMQVVEAGVGATTAIGVAVDGTDTGAKETADERAADEIYIDAEILNASDCQFSCNNPNDDFTGFMDMDFDLIFSDN >Dexi4B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:4B:8581313:8582296:-1 gene:Dexi4B01G0011170 transcript:Dexi4B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLHELPELIFGSHHRILLPQLRPLIALTQHHCKRQGCRSSILAVSSGSPNSLLKCSSFRIDIFLHSELVHNGHRSLLGLGIRAQHRPLLRVGRDIRRGIKLLHPGVRLGPGGRQRAGEPWGGVVRGRGGLDGGDGERRHPPDELQLGCVPAVRVRVGEAEKIGVGGEEAEKVVVGEAERSPQRGELGDLEAAAAGEHEHPREAVRHGRLGGRDGGLLGVDERRHPLGETEVRLLLAAAPLGVRGEDLEAGWVLAEPVGGAPHHVERLEAGLQEPHRRRAGREAEEETLDLVVHDPAPVAPERRLQGGVLGG >Dexi4B01G0022320.1:cds pep primary_assembly:Fonio_CM05836:4B:23973124:23975346:1 gene:Dexi4B01G0022320 transcript:Dexi4B01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSLDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEEDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYRSLDPVQPPIAPPYKTALEMKKASSHGISADTIKPSADS >Dexi1B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:1B:23118559:23120947:-1 gene:Dexi1B01G0016610 transcript:Dexi1B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAKRSTLELLRSSGSPVTSETSQPRKKAFVVVGVNTAFSSRKRRDSVRETWMPQGQLEEQKGIVIRFTIGHSATSNSILDKAIDSEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >Dexi7B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:7B:3828922:3832911:1 gene:Dexi7B01G0002250 transcript:Dexi7B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGAATTTSSSIPEHGGGAHVLLVPLPAQGHMTPILQFGHRLAYHGLRPTLVTTRYVLSKSPTAGEPFPVAAISDGFDNGGMASCSDPVEYCRRLEAVGSETLAWVIETEARAGRTPAVMVYDPHMSWARRVASAAGVHTAAFMSQPCVVDIIYGEVWARRVPVPMVDGSALRRRKVIDVDLGAEDLPPFVVWPEMYPQYLNVSIMQFEGIEDADDVFVNSFHDLEPLEAEYMEATWRAKTIGPTVPSFYLDDGRLPSNKTHGVSFFNSSAPAIEWLDKQAPCSVVLASYGTVYSLDANEIDELGNGLCNSGKPFIWVVRSDEVQKLSEDLRGKCKEKGLIVSWCPQLEALAHNATARELSDESAMIARHEQWMAQYNRVYKDDTEKAQRFEVFKSNVKFIESFNTAGNRKFWLGVNQFADLTNDEFRATKTNKGFNTNAVKVVTGFRYENVSTNALPATVD >Dexi9B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:9B:14841131:14845759:-1 gene:Dexi9B01G0020110 transcript:Dexi9B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNVTCLTRLDHNRALGQISERLNVQVSDVKNAIIWGNHSSSQYPDVNHATVKTSSGEKPVRELVADDEWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKMDATAQELSEEKALAYSCLE >Dexi4A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:4A:887639:888265:1 gene:Dexi4A01G0001370 transcript:Dexi4A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGTGLSAKRIILAALAATLIAAAVVTIVFVIMSPAHVRFAITDAYTTQMGGGDTDTAGDRTVRLHLTVEANNTSGRAAVMYHSMLVDVSNSTGPHRVNWVRADVATPLPLFQPARNVTAVNASVALVGGAAAEAFAGNVTGDFSVTVSATARFKVGVAWTRLYDIKVTCAPVSFFFSDDDDDDDEDGQSQAAARRRVVDCSAAS >Dexi3A01G0026500.1:cds pep primary_assembly:Fonio_CM05836:3A:22757508:22757977:1 gene:Dexi3A01G0026500 transcript:Dexi3A01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADAWGRSSSAAARRLQARYDLYMGLDDADAAGDEAVDPRGGAELYNCPFCGEDFDFVSFCCHIDDEHAVEAKTGHSAGNKHIPH >Dexi5B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:5B:111541:112102:-1 gene:Dexi5B01G0000210 transcript:Dexi5B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGACAVCKHQRRKCEPNCELAAYFPAHRMNDFRALHLVFGVANLTKLIKANATDAGRRRAAETLTWEARWRERDPSEGCYREVACLRRDNAALRADNAALRRQLAEHHQLLLLCSGDMPAAQAMPVVCPATTRAAHDPSNNNRENNVNTSNARR >Dexi8A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:8A:20888256:20893254:1 gene:Dexi8A01G0011890 transcript:Dexi8A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGCPTKCGNLTVPYPFGISANCSLPGFNLTCDSKSRLLLGDGVTGMQVTDIFLQNASLRVISHAIISEPDMQFLSINKTWGLGDVVGAGPISLSYNHNKFIALGCGLQAKLTGIDKNNFIAECSLTCWGGDSGNWLPACRPDLAATAECSGNGCCQAPINQYTPTFNAWITGLDNERFHAGLLPNFMVLSLSKDGSNACSTGYDGNPYLVDGCQDIDECAEPDHYPCYGECTNLLGTYLCRCPQGSQGNASVMHGCVGTSVILAVAAWLLAMHLWAATAAAAPIGLPGCPTKCGNVTVPYPFGLSADCSLLGFNLTCHNNSRLLLGDGSTNIQVTDIFIQNATLRVVSQAIIAVPNMPRPSINNTWGLGDVVAGPIILSYDHNKFIALGCGVQARLTDEIEGNFIAECSSSCWGGNPGYWLPGCRPDLAAEAECSGNGCCQSPIPQYAATFNARVSELDDARNYAAILPTVIVFIAEQGWIEGVWCHIMGWMAKDFPIIPPEELLSTVPVMLEWAMNSTLLSYPGWTPETDSRCPNDGVETACKSDHSSCINMNNLYRSGYACQCSPGYGGNPYLVGGCQDIDECADPDHYPCYGECTNLIGTYQCRCPQGSQGNASVMHGCVDFGASRYIPIDQEGIDTAVQGTLGYLDPMYNSTGHLTEKSNVYSFGVLLIELLTRKKPVSYRSSQGFALVSHFVSLLSEGNLEEILDPQVTKEGDGEVVDIALLAAICVKIQGEERPTMRQVEMTLESIQAAKDFSSDVSDDDVSSERMEV >Dexi9A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:9A:12975717:12979562:1 gene:Dexi9A01G0017880 transcript:Dexi9A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPKSVPSAHLFTVVIDGVETAIHEGVLRSTGGGTVAVVAPGVLEVTRLHHVVVRGGGGGGEVRFTRCWHAAAEDVGAASFDRCDAVRVAGAARGVSVRRCRSADVERCAGAVAIRRCKGAARVRAARELRVGRCREADVAGCADVAVERCRAARADWCGALALGRCGSADVSRCGAVRVDRCRDASVSACGTVAVRRGRVSVVEAQKPMSPPPMYQQAEPVLASPVEIMSNNPYANKHDHDGTTGPTAQPPSHTFIPIPRSRASTSKHGQLTYPNHHQHQSNPMALVEAKPSGASTSAAQPIFLVVLDGVETPVHDEVTTLYGAAGGTVTVTGPGQLSAEGLRSVLVRGVAVVPVDNDDGEGGGGVATTTAVRFTLCADAAAECVGAASFDRCGAARVEVAREVSASRCRAAEVERAGKVTLERCRDARLRGGGFLRASRCRRADVESFGEARLARCKEARLDWCGTVEVDMCRAVDVSRCGAVTGERCRVVNAAGCGSVAVARAVVNMVEEERMQ >Dexi3B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:3B:7201205:7202776:-1 gene:Dexi3B01G0010320 transcript:Dexi3B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGDNITVHVEWLARRLTQQQEDAAATEQHRNMASTYRVSRVPGHVRLPNPDAYAPGLVAIGPLHAKDGETRLRAGNRLKMAYLHSLISRGGRPDPSDHLAVIKDYVLLVAAREREARAMYAAEDVDAIGAEDFIHMLVLDGCFLIEHLVNVFTGKEEPSLHATPFGPAQLSVDVVLAENQIPFFVLVDLISSARTRLPELESSGHGHDRPALLMELVLFYLGGDKGRDMIGDAEAVRLLPPAEGVCHVLHLLHAMVVTARTRWEPPPRIQDGAAVEMMQEAARLLRRLPLLLLVPLLYPILPEERRWRASYGREDLPSASDLKRMWVRFKKARGGGGAGKASSAAGIASVLGPVPLAVKLEHEDRLRLPQLRIEFRTAPLLLNLMAFEQAAEQRRAGEVSAYVWLMAKLVQSAEDAGVVVAAEVVQSTTSTGSESKDDVARFFRRVGAASEAAAELEKSYLGETLQKLRERSQHPLFMMWADVQRNYFTVPWAVVAEFVAFITFISTILQTYGSFKH >Dexi1B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:1B:1770368:1770580:1 gene:Dexi1B01G0002130 transcript:Dexi1B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVPGKSSWPELVGVLATLAATAIAHDRPDVSVEVLPPGAPIIPDHNPLRVRVFIDNNAIVTQTPVCG >Dexi6A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:6A:19424974:19426354:-1 gene:Dexi6A01G0012730 transcript:Dexi6A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEIAPAAVPSAAVAAAREQGVEIYPLSCYYFGAKDAAGVPRAVETAADRALRLKANFAAHGLRTSVHGVLLVELFDHPHVLLLQVRNSSFVLPGGRLRPGEEDVQGLKRKLSSKLSVVDDLGDAEDEDDWQVGECIGMWWRSEFEAIPFPYMPPNFRSPKECIKLFLIRLPMSRQFIVPRNMKLLAVPLSQIHNNAQVYGPIISGIPNLLPKFSLNVISD >Dexi9B01G0026760.1:cds pep primary_assembly:Fonio_CM05836:9B:29134430:29139206:-1 gene:Dexi9B01G0026760 transcript:Dexi9B01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGVALLMASCWAAAVLAAAASLNTSPVRFRAGYMLLFGGDNLARSPNGRSVRLRLDARTGSGFVSKSAYHHGYFSASIKLPDDHTAGVVVAFYLSNGDVFPHNHDEVDFELLGNRHGHEWRVQTNVYGNGSTTRGREERYLLPFDPTLRRHAYSISWTPTAIVFYIDKKPIREVVRVPSMGGDFPSKPMSVYATIWDGSSWATDGGRYKVDYPHAPFVAEFSDFVLGGCPVGHGKLVGGGRRRSSESAGCDVAVMTAEFAVMTPAKRAAMRRFRRRNVLYTVCHDLHRYNGTVFPECDDADGTARGDFHKWGESKRVSPSRRGYTKQQEGHVDVEDAAGGRPSTWPVGSLRAD >Dexi7B01G0023930.1:cds pep primary_assembly:Fonio_CM05836:7B:28387732:28393025:1 gene:Dexi7B01G0023930 transcript:Dexi7B01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKMPQVMVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPVTSTAMEEWVREGYAAKHRVAIDKLLQLRVFVEVRDKRKEASYKMNQKFQGNMQKYLVDGGSLPREPLSLSITGRLPSSEDLETYALDQWERFLLQLINSSQVEKGTSFSSSMMKTFQRGLLSSRDGEAPKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHKLGAAYSLNTLTDVQGVAIRDLAELGLVKLQQGRKDSWFIPTQLATNLSASLSDSSSNKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGAINKESIYGAFENGITAEQIISFLKQNAHPRVADKIPTVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKEWFEQCCDHARDHGYLLWEDSKRMRLIVRGEFHPEMREFLRRQR >Dexi7A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:7A:20193369:20197825:-1 gene:Dexi7A01G0009070 transcript:Dexi7A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPTIPRRGLFIGGGWREPSLGRRLPVINPATEATIGDIPAATAEDVEIAVAAAREAFSRDGGRHWSRAPGAVRAKFLRAIATKIKDRKSDLALLEALDSGKPLDEANGDMDDVAACFEYYADLAEALDGKQCSPISLPMENLKSHTLKEPIGVVGMITPWNYPLLMATWKVAPSLAAGCTAVLKPSELASLTCLELGAICTEVGLPPGVLNIITGLGPEAGAPLSSHPDVDKVAFTGSTETGKRIMTAAAQMVKPVSLELGGKSALIVFDDVDIDKAVEWAMFGIFFNAGQVCSATSRLLLHEKIAKQFLDRLVAWTKNIKVSDPLEEGCRLGSVVSEGQTTELLHFIFQYEKIKKFISTARSEGATILYGGAQPQHLRRGFFIEPTIITDVSTSMQIWREEVFGPVVCVKEFKTESEAVELANDTHYGLGGAVISNDEARCERISKALHSGIVWINCSQPTLVQAPWGGNKRSGFGRELGEWGLENYLTVKQVTKYCSDEPWGWYQPPSKL >Dexi9A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:9A:1571163:1573319:1 gene:Dexi9A01G0002980 transcript:Dexi9A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILADIHQIISKKALAAQEAAGSGPPGQGTTINVADPSGVAKKGCCSS >Dexi5A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:5A:10031426:10035236:-1 gene:Dexi5A01G0013340 transcript:Dexi5A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRQAEQGAMATRRQAAPGGDVPAQEQKAGDAARLIRRRHTVPLRAVARSRRALADVGNLINGRPALANRQKQVVVAAENCRKAVPQVAARSRRALADVGNLINGRPAPANSQKPPFVASGRNGKAIKLKEEKKLKPEVITISSGSEKEKKTKVPGGQNIARRDSDGLISIPKKVKAYDIDAPDAHNELAVVEYVEDIYRFYKSAEGTCLPLSSYMSSQAEITERMRAILIDWIMEVHHKLTLMPETLYLTVYIIDQYLSMESVLKKELQLVGVSAMLIACKYEEIWAPLVKDLMCLCDNAYTREQILTKEKAILDKLHWNLTVPTMYMFIVRYLKAAMGNKELEHMAFFYSELALVQYTMLIYPPSVMAAAAVYAARSTLKMNPLWTDILEYHTGLTEPQLLDCARRMMSFHALVPGSKQKAVYTKYSNAKLGAVSLYSPCKKLLSV >Dexi3A01G0024720.1:cds pep primary_assembly:Fonio_CM05836:3A:20332276:20338715:-1 gene:Dexi3A01G0024720 transcript:Dexi3A01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPAGGSGKVVDSFGGLKAYIAGSEDSKAAVVLVADVFGTCFIFFLQILHLSRSFSWDGDRKIADKVALSGYFVVVPDFFHGDPYAPDNAGKSRPEWLKLHAPEKGFEDAKPVIAALKEKGAAAIGAAGYCWGAKVVVDLAKAHEIQAAVLLHPSFITVDDIKEVKCPISVLGAEIDHRSPPELVKQFEQVLSANSGCCDNPPALNPAGGEGKVVDSFGGLKAYLAGSDESKAAAILISDVYGFESPNLRKIADKVALSGYFVVVPDFLHGDPYKPENAERPLPVWIKSHAPKNGFEEAKPVIAALKEKGMSSIGAAGYCWGGVVVVELAKAHEIQAAVVLHPGPITVEDIKEVKCPISILGAEIDHFSPPELMKQFEQVLSANSGVAHFVKIFPGVAHGWSVRYKHDDVAAVKSAEEALQDTIEWFNTNLK >Dexi9A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:9A:1254477:1257012:1 gene:Dexi9A01G0002370 transcript:Dexi9A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLLRLLSGCGGVWPTSPAPGAASSSSSSGEDSEGRDGLLWWRDLARCHAGDVSVAVAQANQVLEDQCCLESAPPLGTVIGVFDGHGGPEAARFACDNLFPNLRGNPNPNATHLRCPPPKTDRRMFDLLAEVSSGPQGVTANAIREAFLATEEGFLALVSRLWEARPDIATAGTCCLVGVVHNRTLFVANLGDSRAVLGKKVGRTGQIAAEQLCSEHNANQEAVRQELVAQHPDDPQIVALKHGVWRVRGLIQVSRSIGDVYLKHAKYNTERIKPKFRLSEPFTKPILSADPSIISRTLQPNDSFIIFASDGLWEHLSNQDAVEIVHSHQRAGSARRLIKAALQEAARKREMRYSDLTKIDKKQKALHEDNPSPSDAL >DexiUA01G0023540.1:cds pep primary_assembly:Fonio_CM05836:UA:48216492:48217166:-1 gene:DexiUA01G0023540 transcript:DexiUA01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTYRARFGCYVEHDVTERTSGDHQRLLLAYLAIPRCEGGGGGVDAGLAALDARELYKAGERRLGTDERAFVRVFSERSSAHMAAVARAYQHMYDRSLEAAVKSETSGNFGFGLLTILRCAESPARYFAKVLHKAMKGIGTSDSTLIRVVVTRAEIDMQYIKAEYHRMYKRSLADAIHSETSGNYRTFLLSLVGRDRAY >Dexi1A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:1A:4614161:4616138:1 gene:Dexi1A01G0006150 transcript:Dexi1A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGAAGGAGGGGSGRLQGGIPFEKSKGQHILRNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLTQDAEMGEEKMSADDVALLANMVEDLSMETGDEKEDDEMEMDDADMAGEGAASFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >Dexi3A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:3A:9986807:9990160:1 gene:Dexi3A01G0013720 transcript:Dexi3A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANHRLAAFFLAIIISSLASTPGAAWDVKLEIDDGMPLIHMLRPLLSSAAGNLARRRNSNYGMPCDSWRFAVETNTIRDWATIPASCEDYVGNYMLGGHYRRDSRAVVDEAIAYAEGLELAGEGKESQ >Dexi5A01G0035890.1:cds pep primary_assembly:Fonio_CM05836:5A:37552523:37555698:-1 gene:Dexi5A01G0035890 transcript:Dexi5A01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYPAINKPAGVVHWLKHSSEADNVDWVVILDADQIVRGPIIPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYGLPFQVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECMNTLNEGLLLHHASVGCPKAQWSKYLIFLKSIRFSELTKPKYWKGQNVDSMMSAQHIALSKTNSEYPKIHTLFSTECSSYFDWQTVGLMHSFHLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAILWLHKSEEVRADKAHYATNITGDIYESGWISEMYGYSFAAAEINLRHIIKRDIMIYPGYVPLHGAKYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPSTIMQEDLDARERDLLSIECGRALNKALYLHHKRRNCPRLDTMHSTSKKTGQVSSNKIERISHESPRSRNRGKFETVDAARDKTLERAAATISTVHRSRRLARSSRMWIIAVWAVSIIVFLLVISISFTDRRRSVSRPRVSRSMKAHV >DexiUA01G0020940.1:cds pep primary_assembly:Fonio_CM05836:UA:43180701:43182170:1 gene:DexiUA01G0020940 transcript:DexiUA01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKGVEPVQQGAGLPLAALNHISVVCRSLQSSVHFYRDVLGFVPIRRPGSFDFDGAWLFNYGIGIHLLQAEDSESMPPKKTEIDPKDNHISFQCESIEAVQRRLKELGVRYVQRRVEEGGIYVDQIFFHDPDGFMVEVCTCDNLPIVPLDGHAILGLPSPAPACKRAAAPPLPVPVAVPAAKKASISCVSEVADCSIRSCPEHACMQV >Dexi7A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:7A:21864919:21866033:1 gene:Dexi7A01G0011310 transcript:Dexi7A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKLSAGVGAPAYWGLGARPCDACGGEAARLYCRADAAFLCAGCDARAHGHGSSRHARVWLCEVCEHAPAAVTCRADAAALCASCDADIHTANPLARRHERLPVAPFFGALADAPKPFSSSAVQPKAAGANDDDGSNEAEAASWLLPEPDHHHGHDHKEGAITTEVFFPDHSDPYLDLDFARSMDDIKAIGVQNGPAELDLSGSKLFYSDHSMNHSVSSSEAAVVPDASAGATPVVPVVSRGVEREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTSQGPGGADGEDTMEEHEEEMYSSAAAAVAALMVPAGGADADYGVVPTY >Dexi9A01G0025410.1:cds pep primary_assembly:Fonio_CM05836:9A:24827669:24832596:-1 gene:Dexi9A01G0025410 transcript:Dexi9A01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSSGGGAGDGPHPSFSPATATRPSPASLDGGLLLRLLQNPPHGQPRAETPVPGPGAHHFFVDPAVATVGPLFAAPLQMQGGVFAWSSTSAPQPQQHQLRFLDPRFTPGETYAALGGDGLGFGSGDAVRVERPRPGAPPPGFGKPSHPSAALHDASNTFEGAPSREQNHHRPNGFSTTSNKELQTVPPFAVGGREAFGRMPDGERSAIAIRGGCGVAVGAMYKEQQQDHILSRTPPDMNTNISFGRMPLGEQHTLPINGGMTFHGDHYIHPIEGSRMRIDQGQQEHWLANTPQREQTWQGLKEEKGYALRKLANTNAHDTFGKAPVKELHHVTLPAGSSFTVGPLKDQVSGLEDGKVVAEAWNFGVPYQKGNVSFAEQDEDGDDGREEGTIIEQMTESLVIDGDGETKGVLLPKTIPRSKEMSKVDIILKLADILQAGNLKNIQLCDNVHPPPTATKNTPMSTGI >Dexi1A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:1A:1285:4478:1 gene:Dexi1A01G0000010 transcript:Dexi1A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSLAAALLLLFLFAAAASPSARAATTTSSKDEEEDLQYLMDNAGDTDPEEGWLPDPEGGGGGDDDDEEDDLLFKDEDEDQQPEIDETHVVLLTAANFSSFLAATRHVMVEFYAPWCGHCQALAPDYAAAASHLAAQGDVALAKVDATEDTDLAQQYDVQGFPTMLFFIDGVPKDYNGARTKDAIVDWINKKLGPGVENITTIEDAERILTGDDKAVLAFLDSLSGAHSDELAAASRLEDSISFYQTSSPDVARLFHIDPAANRPSIVLLKKEEEKLTFFDGEFKASAITDFVSANKLPLILLFAVANESSKFLPIFKEAAKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDAKKFFLDGEVSLDAIKDFAEGFLEDKLTPFYKSDPVPESNDGDVKIVVGKNLDRIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKVRIAAFYG >Dexi2A01G0028720.1:cds pep primary_assembly:Fonio_CM05836:2A:39908278:39909669:-1 gene:Dexi2A01G0028720 transcript:Dexi2A01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIAQSPMASKRWLLLCLLLPLPFFIVPSNPGRGIRLELTHVDARGPLAGADRVRRAAERSHRRVNGLLAAPPASTLRSDGGGAAAATAAASVHASTATYLVDLSIGTPPLALTAVLDTGSDLIWTQCDAPCRRCFPQPTPVYAPARSATYANVSCSSKLCDALPSPMPSRCPASEPEPTSCAYYFSYGDGSSTDGVLATDAFEFGSGDGAGATVHTLAFGCGTDNLGGTDNSSGLVGMGRGPLSLVSQLGVTKFSYCFTPFNDTTTSSPLFLGTSANLSPAAKSTPFMANPSGAPRRSSSYYYLSLEGITVGDALLPIDPSVFEITASGRGGVIIDSGTTFTALEERAFVALARAVAARVALPLASGAHLGLGLCFVAPEGKGPEAVAVPRLVLHFDGADMELPVVVEDMVAGVACLGMVSARGMSVLGSMQQQNMHFLYDIERGVLSFEPTNCGEL >Dexi5A01G0031090.1:cds pep primary_assembly:Fonio_CM05836:5A:33938656:33940147:1 gene:Dexi5A01G0031090 transcript:Dexi5A01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSIEAPANSRPGSSFSFASSSFTDMLGSSAAAAGGASRYKAMTPPSLPLSPPPVSPSSFFNIPGGLNPADFLDSPALLSSSMFPSPTTNAFASQQFSWLTPQAQQQGAAEQGGDKDGQGQTYPDFSFQTAPTTEEAVRTTTTFQQPPIQPAPLGEEAYRGQQQPWGYQQQPGMDTGSSQAAYAGQFQAAGSSDAAAAMAPHVQASGGYSQQQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSSAAAQLLQLQGGDASGEHSFGSTPVATPENSSASFGDDEAAGGGSPRGGNAGGDEFDEDEPDSKRW >Dexi9A01G0031700.1:cds pep primary_assembly:Fonio_CM05836:9A:36670745:36671401:1 gene:Dexi9A01G0031700 transcript:Dexi9A01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFEALLDPTALSLAPPAPGLKKEDYLAICLAALAGTRGTGLRAAVFAQNPAAAPAKPQQQQQEELRFRCAVCGKAFASYQALGGHKSSHRKPPTPEQHAAAAAAAASTAADSEETTTSSGGAVATGGGPHRCTICRKGFATGQALGGHKRCHYWDGSSVSVSSLSASASGTGSSAVTVMRNFDLNLMPVPESSGMRRWDEEEEVQSPLPIKKRRI >Dexi2B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:2B:26237590:26245039:1 gene:Dexi2B01G0016090 transcript:Dexi2B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQPPGVPAPGAASRDGEAPASGGDQGSNAAEGAGAAVRGEGFGFSKSGDGEVGSCQLSAAPWVGEEEEGAKCMQRAREGIGAWVREVMRCCGGGGGDAAVVVRFLYGKWRFGREI >Dexi8B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:8B:1853957:1854892:1 gene:Dexi8B01G0002650 transcript:Dexi8B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLYNDDEDSLQGGGATAANVPPPHNDGGSFDDDLEDVEFDEDDDDFRLVFSPEDFAHVTEMWDQGLHEEAYQFVSQRVEPDQAERFREILELTSGHVEAAEHGGDDDDVLERINEAVADGRFFAQLAPARLDQSYSYYRGGFHGVPVDAALSGELQNGAHATDETMSGSRDIYSEIFHLVREGVHPDEMEQLRHEAEMEYGELDAAITFTSATRFYQEISARRQRGVVPVTAAAAAIAGVEKQRYDAATDADDVGCVICIVDYEDGDELGVMPCASRHRFHDKCLAEWLARSLSCPLCRHPLLPMELHA >Dexi7A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:7A:20969425:20971130:-1 gene:Dexi7A01G0010110 transcript:Dexi7A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMETLQPHDELVALFLIALATILLLKRVLPSPPPLFKRSSSATGSPPFTRPMGLPVIGNLHQLGGALPHASLAALAAEHAAPLMLLRLGSVTALVVSSADAARAVFQQRSNDAALSGRPALYAAARLSYGLRNISFAPPDTDGFWRAARRACVSELLGAPRVRGFRGVREAEAAALVAAVEDESNKGSPVNLSEKLVVTSNRIVRRIAFGDDDDDDQEISICAKAILKETQSLLCAFFVADYLPWLGWLDALRGLRRRLERNFHELDALYEKVIDDHLNKRTMTSKEEDLVDVLLRLHNDPAHRSTFGSRSQIKGILTDMFVAGTDTSAAAMEWTMTELVRHPDVLAKAQREVRSAVSDGRDTVLESDLPQLRYLKLVIRESLRLHPPASLLVPREATEPFTVHGYEMPAGTRVIVNAKAIGVDPGAWGPDAARFVPERHVGVDVDDHKPWHDSGGFALVPFGLGPRSCPGVHFATAVVELLLANLLLCFDWRAPPHGGEVDLEEGSALTVHRKNPLVLVAERWRAASDTSRG >Dexi9A01G0032930.1:cds pep primary_assembly:Fonio_CM05836:9A:37779170:37780470:1 gene:Dexi9A01G0032930 transcript:Dexi9A01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQSRLNGKKENRASDGAATISSSHGSGVHETQRIIYESEKHLNAEQWPQAGLLSIGTLGNDEAPPPAQEEDLPEFTVEEVKKLQDALAMLLRRAKSKSSSRGSGASEDRPPLDRFLNCPSCLEVDRRVQTSKHGEGDGQGGDLSPDTKIILTRARDLLDNSSASGSIKQKSFKFLLKKMFVCNGGFPAPARSLKDPVESRIEKFFRTMLGKKMNARPSNGTASSRKNYLLEDGAKGKKRRGDGCCGCEEEREESCSHCFGDLI >Dexi2A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:2A:26279943:26280541:1 gene:Dexi2A01G0015360 transcript:Dexi2A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPPTVAAATSATAGAGAGLAAAAHLHRSTTAASAWCAGPPGTDASRASTRSSRALLLATAPFSPTISSLTATATSSRVTTAPSPPLTRSATPTWSDASLTAFILCSANSGHASTGTPAHTASRHEFHPQCERNPPTARCSKISTCGAHCGTTSPTPFVLSTNPSGRQLTGSSVVWWPK >Dexi9B01G0030570.1:cds pep primary_assembly:Fonio_CM05836:9B:33058375:33059001:1 gene:Dexi9B01G0030570 transcript:Dexi9B01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVEALLDTTALSLAPPLALKKEDYFAICLAALAGTRKFGLGNKEQQREAAATTTLCPPHAPPQELRFHCAVCGKAFSSYQALGGHKSSHRKPPTPEQYAAVAAASTGGELDETEGGGGPHRCSICRKGFATGQALGGHKRCHYWDGSSVPVSSVSASVMRNFDLNLMPVPESSGMRRWVEEEEVQSPVLPIKKRRI >Dexi2B01G0033710.1:cds pep primary_assembly:Fonio_CM05836:2B:41152054:41153037:-1 gene:Dexi2B01G0033710 transcript:Dexi2B01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPWRVRVQLAALKLVHRRDGSIRRLLFSLGDLKASASARPDAAGVRSGDITIDASSGLWARVFSPSSSADADAPPVPVIVYFHGGGFVLLSAASRPYDAFCRRICRELRAVVVSVNYRLAPEHRFPAAYDDGVATLHYLDANALPADVATVPVDLSSCFLAGDSAGGNIAHHVAQRWASMSAARLRVAGAVLIQPFFGGEERTDAEVALDRVTALSVAVTDRYWREFLPEGSTRDHPAARVCGDAIELAEAFPPAMVVIGGFDLLKDWQARYVEALRGKGKPLVVVEYPDAFHGFHAFPQLADAGKLVEEMKLFVQEHNSKRGV >Dexi6A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:6A:1308521:1308848:-1 gene:Dexi6A01G0001480 transcript:Dexi6A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDELQLDAKLSKVMRLFMVATAEMLESGEIPPGDLLFAALAPRCVAIGLKAYAGAAESAATCARRAEEDAAAPGGEAMAEAMRRQAASSAALAARAEEYAASRI >DexiUA01G0015150.1:cds pep primary_assembly:Fonio_CM05836:UA:31818153:31818763:1 gene:DexiUA01G0015150 transcript:DexiUA01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIKFTAAIFLLLLVVQVECQGCAPSSISVQQTNTGKMVGGTDTVFQVTVTNGCPCAVKNVYLSSNGFSSSTAVDPKLFRRAGSGYLLGDGRQIPSSMSVTFQYAWDHYFRMAPTSVQAQC >Dexi3A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:3A:2416221:2417902:-1 gene:Dexi3A01G0003660 transcript:Dexi3A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCFPPEADDLHRRWLPREIFADIGIVDTDAVVPDATPDAAVVVGVEELAAQLAGILGGGSKACQLAPPRKPTPPPAVAAPRHGAQVSQWWFFAPREPAAPHRRFLAVLALTKTALWLQVCGLERSVVAACGGTNAAGAAGAVAWPFVPYPPMQWQGGSNLVNLGGVLDYCYSSSAFPLATYCAVPLPQPANIRGGTGVFIPRTACNSPPAKARAGRS >Dexi8B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:8B:3354840:3358529:-1 gene:Dexi8B01G0003840 transcript:Dexi8B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDALASYVQSMLLEVAEDEVHMLVGVSGEIDKLDIKLGDLKNFLADADRRNITDQTVQAWVRELRDTMYEATDILDLCQLKAMEQGPSRDMGCFNPLLFCLRNPLHAHDIGVRIKNHNQRLDSIKERSATFNFVNLGSYEDRNSRVVPSSRVVNRETYALLDQSSLVGEKIEADTRQLVKMLTAQDLKIGNNTIDVDNKLVVLAVVGTGGIGKTTLAQKIFNDDIINHNFAKKIWLSVNKDYSQTELLRTAITYAGGDGQANVNGMLPQNLKNALKGHKTFLVMDDVWDHRAWEDVLKTPLINAVGQGSRVLVTTRHDSVARAMKAIEPYHHVEKLGLEDAWGRRGGARKRVEYIAKSNVTAKSKETTAAHPVPSSLPQ >Dexi4A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:4A:1436509:1437250:-1 gene:Dexi4A01G0002110 transcript:Dexi4A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRAVSHPLELDEAAGLEPAGDGEQVGAGHDVVRQRRVELHHGAHLVGPLAMPETSSSA >Dexi4A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:4A:24533938:24535675:1 gene:Dexi4A01G0020740 transcript:Dexi4A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNQEVEAKIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPGPVDATTSNDVIEETNDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRMANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFVHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNQRLAQQAANAAQHPEARNNGANDNNGDRQQGHDPNSGNDAV >Dexi2A01G0024150.1:cds pep primary_assembly:Fonio_CM05836:2A:35855661:35860275:-1 gene:Dexi2A01G0024150 transcript:Dexi2A01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMDEAVKVVDLEDGEGEEEAAAAAAAEGPSKETRMLPRMPVRVLLAEGDDSTRHVISALLRKCGYRVAAASDGVKAWNILKEKSFHIDLVLTEVELPLMSGFLLLSTIMEHDAAKNIPVIMMSSHDSANGGSDVQHIQQEEDLTERIEQQIAVTKADNLNKDGPTANNLIPTREDDLSPKKKTCLNNFDKASRDIELVHIIDNQQKHNMQMEVDTVTASIGNDEKGSIPAHQLELSLRTDYGKLESDEKNDRRMLNHSTSSAFSFSIRVKIYIVDRYNCRTASALGNAGDGLTFDGQPFWNGTPVASLFYSQSAPPIWNSKTSMWQESTPQKTSLQQKSQQTEPNEMGPKAVENTEEQSVIISRPNSSGKQLRVEIPRDEARHVSPMTGESGTSTVLDSARNNLSGSGSGCDSNSNRITAPTESSNTFNGVPETPGTEGSRHLSQREAALNKFRLKRKDRFGTKVGNYSQSSVHGSRASLFVKIIASKEAKGAATTLYHTAG >Dexi1A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:1A:27997704:27997913:1 gene:Dexi1A01G0021150 transcript:Dexi1A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGYEMLTSPQLEGQWAMEAADGRYSIPPSPEAPGACGMAAMHASTKDMAKTIITSLEPIVAAVRLYV >Dexi3B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:3B:9875835:9879460:-1 gene:Dexi3B01G0013750 transcript:Dexi3B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTAIDLDIFDCALGKLFEISRQSKAHPWFQGIEWDKLYEMEAAFKPQVNDELDTLNFMKFEEMMLNSKDLSFVGYTYKNFEAVKSLHQSADLQRSSSFTKLSAGSSSDTADMDSSMEPDGADTHMRSGSSGDPMVS >Dexi1B01G0026620.1:cds pep primary_assembly:Fonio_CM05836:1B:31471717:31474207:-1 gene:Dexi1B01G0026620 transcript:Dexi1B01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVGEDCEQLHKAFEGWGTNEKLIISVLAHRDAAHRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLEPAERDAVLANEEAKKWHPGGRALVEIACTRTPAQLFAARQAYHDRFKRSLEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKIHKKAYSDEEIIRILTTRSKAQLLATFNSYKDQFDHPINKDLKADPKDEFLATLRAIIRCFICPDRYFEKILRLALGGMGTDEDDLTRVITTRAEFDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Dexi3B01G0035410.1:cds pep primary_assembly:Fonio_CM05836:3B:38267366:38267848:-1 gene:Dexi3B01G0035410 transcript:Dexi3B01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGGASRGDPQRQHGGELPGGVEGWPPPRAVASHGGEWAERQLATTLLHLYPDGDPASPSRVASSISLSRNLLPPLSMGSPAAPAELPVPAAAGLRGSPLTPLLPAWVDLPSLRCFQPGWSSLGPHCCWPGVELPTACRHQAERHRHRASGSIVGFRG >Dexi4A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:4A:7175592:7179222:-1 gene:Dexi4A01G0009090 transcript:Dexi4A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTPPRANSRVNYSNEIHDLSTVQGGSVAPTMYYPEKSLADIFPPHLLKKVISEVVATFLLVFVTCGAASIYGEDMKRVSQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQVTGAMCAAFVLKAVLHPIEVIGVTTPSGPHWQALVVEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWVYTYIRFEENPTKDGPQRLSSFKLRRMQSQSALAADEFDHV >Dexi8B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:8B:25973982:25974250:-1 gene:Dexi8B01G0015190 transcript:Dexi8B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAPVSLPPPVVKSSPPPAPLTTASSQVTTSTCPGELTTSPVSTTTSTGQLTTATGDVTTTASTSELPATSSEISSSTSTS >Dexi4A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:4A:22390021:22390332:-1 gene:Dexi4A01G0018390 transcript:Dexi4A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHGGLTQQVPASLEASRCAAVVRPPRGSMVAGATPQTPLQRPERALPQHCLADKWMRSLASSLWKELNWVADTWRIWRQVEFYPICGHPWRSGLAASSFTD >Dexi9A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:9A:6433840:6436400:-1 gene:Dexi9A01G0010540 transcript:Dexi9A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGEEGDEY >Dexi7B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:7B:25003008:25007807:1 gene:Dexi7B01G0019530 transcript:Dexi7B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRGRGRGRGGGGRHGGGGDGRGGGRYGGGGGGGGYGHDEGGGYGGGRGRGYQGPPRGGGGGGGGGYGGGGRGGRGPVAGQAYGPSGGRGGSAWAPAPGAGRGPSGGGADYAPVARAPAPAQAPAAARGAAPKDKEAPSSSGSVERIDSSELARVKPLVGSSLVATPSAGTRVPMHRPDRGGSSSQAKVKLLVNHFMVNYREASTIFHYDIDIKLDQASPKASDKELSKADFLSVKDELFKDITFRSLSSCVAYDGVRNLFTSAKLPEGYFRVRVRSKTYLVSVDLKKQLPLSQLSQLPVPREVLQGLDVIVREASRLRKIIVGKGFYSPNSSLDLGQGAVALKGAQHTLKHTQQGLILCVDYSVMPFYKAGPVMDLVEKIVGRLDYRTTLNKWQLENLEHELQGRRVTVIHRRTNQKYSVQGLTPLPASQMTFVDAETGQTKRLVDYYAEKHGKVIQYQMLPCLDLSKSKDKANHVPIELCTLLEGQRYPKANLDKNSDKTLKSEALIPALKRRKEILDLVKATDGPCSGEIAQQFGISLDVQMTEVMGRILPPPNLKLGGGPNGQKFSIDQENCQWNLMRKKLVEGRDLQCWGVVDFSAHPSHPKQEPLNGRMFVEKIVRKCCDLGIRMNTNPCFVNISEMAVLSDPQRLYEELNKAKQAAVSKKQRLQLLFCPMSEQHPGYKTLKLICDTQLGILTQCFLSNLANKQQGQDQYMTNLALKINGKLGGSNVQLFDSLPRVSGAPFMFIGADVNHPSPGNVESPSIAAVVASINSGVNKYASRIRAQPHRCEVIQHLGDICLELIGVFEKQNRVKPQRIIYFRDGVSDGQFDMVLNEELADMEKAIKVNGYSPTITVIVAKKRHHTRLFPKDQNQPQMKNGNVPPGTVVDTGVVDPSAYDFYLCSHNGLIGTSRPTHYYSLMDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMASQPRRGGPSSGAFEVGNFPKLHKDLEDNMFFI >Dexi4B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:4B:1032294:1036270:1 gene:Dexi4B01G0001710 transcript:Dexi4B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKSASVLDKMRKTKRGGDDLIRSSRENRRAKRASRIRKGEKTQISGDLHGDSNQDILAELSDEVKSNLSKSVASLALCSAFLQLCCTANSYPTMYLPPAGMTLVNTYEEVFGDLWDKGVWRELGKKASIINRSVVALASFNGEKMVFACTGFFIQWNGSIAVLTSASLVRNTGDENKIVENLTVGASLWSLISILLSELGEVAKDSDSSIWKMDGDSTVRLNRWPVPKPCWRHTDQPCHSYPYDSDEDVSKDEPDFEIPAGMEWKYGYRGGMRITYLVDSFTLTT >Dexi4A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:4A:2916799:2917999:1 gene:Dexi4A01G0004090 transcript:Dexi4A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKARPVALDMAGGRNRDDPLVIGRVVGDVINPFVRTTNLRVSYGTRIISNGCELKPSMVTHHPRVEIGGVDMRTFYTLVHTAILGDTITIFFISSFYGQLHLLLDLQVMVDPDAPSPSDPNLREYLHWLVIDIPGTTGAALGQEVTLYENPRPTMGIHRFVFVLFQQMGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYPN >DexiUA01G0012500.1:cds pep primary_assembly:Fonio_CM05836:UA:25311603:25315640:-1 gene:DexiUA01G0012500 transcript:DexiUA01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATGAVSSLLVVIRNEAVLLRGVRDDVQFIKEEMESMNSFLAYLGRSTPPSGEHDEQVRTWMNQVRLLAQDCHGCIDLYLYSGNPEIHRAKSKLRRHLWWVYWFLRKLYAQHRAAVHLQQLKERARDVGERRLRYGVEVPGKSAEAVAKSLGRGASAMPGGGGGGDEEDEDQLVGPWGIMAAGDSGGGRRASIEPRTLDDYVEAKLWEWVRSIPVNAGESLSMVIVAPYTYQVQDLLALVDEIWVSQGLGYQRIVLVDIQAVHPDSMRLRAKDVLFYILRELKHAKSRPHPYHKEQDPEQSDLDPWEAYFRKLQIYNEKKMLLAHLKIKENMQAMKVHEKLQKIKGDIQDRLEKGSGLPNRNKLQGEVDKLDLDVQLQLLLQAAVAASQQEQGKNRDMQSLPARIVKKLKEHLEAEEKDKRQLEDLEEQPKHSMGIEGGDEEDDDDDDDVEGDEEIQEIKSTRIHLDEAQYAHILRKLFPKSSNRMPVQAQDKPLDKQPTTDTLDGDQIKQMIHDVKKDILRELQEGTYGKSEIRIGENGVPDQKPETDSEKIGQMMDKIEEEFKEQLKIKGLMEEIKRNLKYRRHFEQYECPLFVLKVDELTDMDVSTLEDTRNALSLLNNSADIMVVTTTKDIRLGKEYCYPQLEPIDYSLTGLYNDTMLKLTSQLKNQDNYHPQILHDILHKCEPDELCMKIFTHALYANPKRSNEELLKLHSTLQALPTSSNSIAKVMFKFSYNDLPKEYKSCLLYLAIFPPGHKISRSTLIGRWVAEGLTSKEDWSSSICQANRCFDALIARCLVYPADISATGNVKSCVVGDLVHGFITTTARKQHMVETRLSHHLARHFSIFNDLQLRSSDRIDKFFEGLSNSSRVSMLKVLDLEGCQCFAMKNHQRYLKDICNKMVLLKYLSLRRTDIIQLPSEINNLRELEVLDIRQTKVPPHATANILLLKLKRLLAGPIDLNPSNFGRIPHRIDKMVNMEVLSNVKAQHSGDLKDIGKLWQLRKLGVVIQDKDNHLEKLVQTICDLHECLRSLSITTIPVAASCEGTLSAAELPDDTGFLLKNQPKILQSLSIRGTTRKGRLLPLFIKGDKNKLAKITLSGTLLTQNDLEVLAKLPMLQCVRLQHVVCTEHMLTFKKDEFICLKYLLLEGSSLTNITFEDESARNLEKMVLSFTSAGFISGVELLPKLEELELNNTFCGRLLSSFDNAKQIAKLTLRGTEIEQDALQIITKKRSIRCLVLLDKSFGGRQNEITFKENEFLWLNLLVVDCSAVTKIIFTSGSAPRLEKIIWSSFTSLSGIDKLPRLKELEFNGSHVPDGLIEAIKKHKKEPRLKLNEPETQD >Dexi3B01G0029000.1:cds pep primary_assembly:Fonio_CM05836:3B:27760413:27765127:-1 gene:Dexi3B01G0029000 transcript:Dexi3B01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVNITVTRKSQSFVAPSEPASSAEPETLELSAIDRVPGLRHTVRSLHVFLSNKDSTTTRRDHAAATARPAEVIRAALSRALVDYRPFAGRFVGSVVAGEACVQCTDEGAWFVEAVADCSLEEVNGLDNVHPLMVSVEELLPAPEEGVDPTSIPIMMQVTEFACGGFVVGLVAVHTLADGLGAAQFITTISEFARGVNKPTVAPIWARALIPNPPKLPPMPPPFFQFYGFEHFVTDVTSDRIAHVKAEYFQATGQYCSTFDVAIAKVWQARTKAIKYRPDFKVHVCFFANTRHLLTEVLPKDGGFYGNCFYPVTVTATAEDVITSGLLDVIKMIRDGKARLPLEFSKWAAGDAKVDPYQLTFEHNVLFVSDWTRLGFFEVNYGWGPPNHILPLTYADYMAVAVLGAPPSSKKGTRIMTQCVEEKYLMGFKDEMKAFF >Dexi9B01G0023090.1:cds pep primary_assembly:Fonio_CM05836:9B:18099792:18114550:1 gene:Dexi9B01G0023090 transcript:Dexi9B01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRAPDPLLPQSPTPQSTQKPRRPGLIRAPDLALDPNSLRGPRASRQISAPRSSDLVARGRGGGRERGMSARIKEMVRVATARLGGEQTSGGGASSSSGYGRRESTARLGGGGTSLRRQPQPMAPTVRTVYCNDREANAPVGYKGNSVSTTKYSVLTFLPKGLFEQLCTCAYSPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDILQGQNWERTPWKRLQVGDIVKPNSDGTSLEQIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALERTWDFVTPEKASAFKGELQCEQPNNSLYTFTGNLIVDKQTIPLSPNQLLLRVMMNSMNVPSKRSTLEKKLDKLILALFATLFSMCVIGAIGSGVFINEKYFYLGLRGHVEDQFNPKNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGTGITEIEKGGAERAGIKIDDDEGKRSASAVHEKGFNFDDARLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDVPYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNHDMRKTTREHLEQFGSAGLRTLCLAYRDLNREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDVIREAEDRVRCTYCSLDRYVNEVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKVWLLLLVFDSVLLLHCLCEPAWIQRWLFPYDYQIIQEQHRDEPHEYSRVQLPDTSHLSPEEARSYMISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKAWDVARRASMKPQRAGRS >Dexi1A01G0026130.1:cds pep primary_assembly:Fonio_CM05836:1A:32171072:32171487:-1 gene:Dexi1A01G0026130 transcript:Dexi1A01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTETEKKKTPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLVCLVSL >Dexi4A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:4A:8741666:8743913:-1 gene:Dexi4A01G0010870 transcript:Dexi4A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAEQGAGGYLHHHNHVGDGALLPELPRSPNPSSKSSSNLTVATFVPPLAVAHGGVAPSFGMVPPGMATAADDGRFCLPWAATAAAGAGQFENWGDSGFVVTSPLTEATSTDVSGDKQHGQMGGAMTQSVGVYVDSCAASKDGSSRDQKVQRRLAQNREAARKSRMRKKAYIVELESSRAKLAQLEQELQRARQQGMFIASGRTGDHGGSTGGPSAFELEYARWLDEHQRHMNDLRVALSAPQIGDDDLRVLVDAAMLHYDHMFRLKGAATRADVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLAGHVEPLTEQQLVGICSLQQSSLQAEDALSQGMEALQQALADTLAAAAAVSAGAGQMVGASPADSVTNYMGQMAVAMGKLATVENFLRQADLLRQQTLQQMRRILTTRQAARALLVVSDYFSRLRALSSLWLTRPRD >Dexi1B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:1B:22099518:22105640:-1 gene:Dexi1B01G0015530 transcript:Dexi1B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVEDLRMEEEEEQRPPLTRALLHRSATNNTSQVAMVGSNPCPIESLDYEMIENDLFDQNWRTRSKADQVWYVVLKWTFCFAIGILTGTVGFVINLAVENVAGIKYEAVSALMASSSYWTAFWVFTGSNLALLLFATSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVAGNVAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGKGRCGMFGQGGLIMYDVSTMFDNLMTYHLKDIPTVILIGVTGALLGGLYNFLMMKVLRVYTKINEGGRVNKLLLAAVVSIITSCCVFGLPWLAPCRPCPRTGPRASPDGTCHALNRFRRFHCPPGHYNDLASLFLNINDDAIRNLYTTGTNDVYHPGTMVAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGARSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILSHKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFAGVEKVGNVVHTLRTTGHHAFPVVDEPPFSPVPVLYGLVLRAHLLVLLKKREFLAGPERCPKEYVEGRFQAEDFDKRGSGKQDTIDDVELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGSRWKRLRWQKGAVAKHFRSLLMWIANSG >Dexi9A01G0028440.1:cds pep primary_assembly:Fonio_CM05836:9A:33116109:33118341:-1 gene:Dexi9A01G0028440 transcript:Dexi9A01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARWLPPPPAPPAAGAAASPSARPLSRRRIPRRAATVVSPRAFGRADFDGFVRRAWQGANAGAERLAFETRQAAQRLDVRFSISRRLAEASRAARERAVEIDAELGIGRRWRTFSVDFSRNWPRYRRELSDFMATPIGRALATLFFLWLALSGWLFRIFIFGTFVLPFAAPLLGTFANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNSSSGGARSSRRAEPDVIDVEYEEK >Dexi5B01G0023730.1:cds pep primary_assembly:Fonio_CM05836:5B:25841503:25846436:-1 gene:Dexi5B01G0023730 transcript:Dexi5B01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTGGKGGAVGQSVPRFRCQECHGALAVVGVAERLPASGMLASSVQGSIMGTSRMDNSYVVLSKKNRSKSLGVSQRPLSSASPHVEPNQPTRPMECSYIMLPPPTASIYKASSSEDAAQLLPPIVNSSSSSPQNNSGFFSSVTVLKRAFEIATSQAQVEQPLCLECMRILSDKMDFEIEDINSDVRAYEACLQLMDQESYSILSETDFQNEKQKIEEEEKKLRADIEEAEKQYSEVSSEMKDLETKSKQIDELEERFGPANVLFSTPYDEAMTWFLKCLQEFVEFAVGLDKEKNIPPDKSLKLPYKIDNDKVGATGLS >Dexi4A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:4A:19445592:19448450:-1 gene:Dexi4A01G0016020 transcript:Dexi4A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSPPFQGHECPGLSATAYAMCISEVARVDASIASFCLVQSCLAMLCIAQLGSEAQKDKYLPSLSKLHKVCAYALTEPDYGSDASSLNTVARKVPGGWVLSGQKRWPGNSSFADVLVVLARNTSTNQVNGFIVNGGSPGLKISKIENKMSMRLVQNCDIELENVFVPEDDRLPGANSFQDFVNSLAFSRVMAAWVSIGIATGVYDACRRYLGERKQFGVPLAAFQLNQEKLVRMLGNIQAMWLLGWRLCKLHSSGKMTIGQASLGKAWITKQARETVALGRELLGGNGIVTNFHVGKAFCDMETVYTYEGSYEVNALIVAREITGISSIRPTSRL >Dexi4A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:4A:21011782:21012177:1 gene:Dexi4A01G0017260 transcript:Dexi4A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGRANATLQRCSVARAATSHMTCHNACPSRPAPARQRPASQPASQGRVPSRRVPSRPVWPVPLHETTMLTLHHGTHPEDPRATAPRPGSAPAATPRHEEFSQRPTHESMTHQRLPDAHATWEGRRGG >Dexi1A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:1A:2063876:2069354:1 gene:Dexi1A01G0002880 transcript:Dexi1A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHGTARAIPRASLLLNQRRVRRPRRLLRPAVRPWVAGEPAGRSLPPSWGQVPVGSSYRRAMARPHAAHKSFDGMRERHCHARGVHDDQRLPYGGQVWAAPGLSFFFFLFQNMQQAGEMGEIGRQARHEGEFQSGSDTEDHHKEKTVDSEKDEQFRVQPKWRKFLAHVGPGALVAIGFLDPSNMETDMQAGADFKYELLWVVLVGMIFALLIQTLAANLGVKTGKHLAELCRAEYPRFVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFVIAAFMFTMAACFFGELSYLRPSAKEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSVKSIRAACRYFLIECSLAFIVAFLINVAVIVVAGTICNANNLSPVDANTCSDLTLQSTPLLLRGSFRITTNIDELTLFTSFQNVLGRSSSVVYAVALLASGQGTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIIFSSMVLSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLARNHLHKYANVLVSIVVFALMAAYLVFVVYLTFRRDTVSTYVPVSERAQGQVEAGGAPAVAAAADADQPAPFRKDLADASM >Dexi9B01G0028340.1:cds pep primary_assembly:Fonio_CM05836:9B:30945194:30945745:1 gene:Dexi9B01G0028340 transcript:Dexi9B01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLGLFLALNLLFAGAAHGCASYDCAPTDPTPPAEPAPSTTYSPEPPTSPAEPTPSTYTPEPPTAPAEPAPSTYSPEPPTPTTPATNDHRPAERCPKDALKLKVCASVLGDLAKAILPEEEKACCELLDGVADIDAAACLCTVLKADVLDIPLRVPINISIYLNKCGRKNYPVGFACPHRH >Dexi7B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:7B:20269453:20272070:1 gene:Dexi7B01G0013830 transcript:Dexi7B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRSGPGKRRGHGPRIPATTLRKQQAALANVDQITGAKIPKSFVFSRGKLPSTLRHLQHDLRKVMLPYTALNLKVHPYRYAQLVYISNRRVTDLVRVLTSWNNWQEKKRNNLKDFVNVAEEYALAADIANSQKRPRCPPGIFQNSPLVVLSGFSGLGNPFESLVEYFQHLVPAVDPSTVKLAGCQRILLLKYDKEKEVIDFRHYSIKLQPVGVSRKIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEADDEAATVSLPDDVDKLNRASRKSAVRLQEIGPRMTMRLVKVEAGLCSGDLLYPWPGEVTDEEIEGQEETEDGLEDESEDEMEE >Dexi5A01G0031380.1:cds pep primary_assembly:Fonio_CM05836:5A:34076478:34077095:1 gene:Dexi5A01G0031380 transcript:Dexi5A01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRLDVTRSNEEPVRSGEEILSSASENDRSSSSSSLFVARHGCGRPGGGLGLGGGGIFLCMSLPHALFLGTPGELSQEKGTCVRSGDDSRRHRGWRDDASTETHERRGVGSGESSSGAGVCPSALRSSLSVPARLVMMIWRSMENDATRRDARQDCSYCRPRPCLAGILPAWGPGRVRLRVHAKTAAKPLR >Dexi4B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:4B:3999610:4000441:-1 gene:Dexi4B01G0005620 transcript:Dexi4B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRLLGRRRLLPPPLAASVTHLSAATQNPSRPHHLPIPTPKLPLPPRELPPFAFHSRSFSWYSRSSSRPSPSPETATADTPGEEAYIDKESVCLENAHIVDNEEGVASAAADTVGGAAGTTADGVGGVSELAVNTMTDLMDGFQSLTGLPWVL >Dexi5B01G0035600.1:cds pep primary_assembly:Fonio_CM05836:5B:35552708:35555787:1 gene:Dexi5B01G0035600 transcript:Dexi5B01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTPAHHGGMLPPQPGTTGHPQLARYGSAPGSFLAALADSVTRGDPAPAPPPVSRFYSGESSGLTSCDSTSRTEARPLERAYGGSGEIRVPPPPYHHHQQHQQQVAPPRPAQGQQPPAISPLFRHSSSPAGLLSRLMADPHGMAPTRGMGSYSQAGTDAAMAHRHRQLSSQWSFSTRQDGLPQISEMGMIPDDIGESIVAGVCHSSSDAGGGGGGGGAAQSSSYLSRNFSVSSWDDTNSIMFSSPSKKAKLDAADDMVTSFSNIDSQFGLSKSSLEMPGMDDYLQLQQDSIACRVRAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKVN >Dexi1B01G0025050.1:cds pep primary_assembly:Fonio_CM05836:1B:30230716:30231796:1 gene:Dexi1B01G0025050 transcript:Dexi1B01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLLMSKQRQRQRRRRRIYSRNGWVASRAKRKRPSEQDENSLGSQIKGYSGSKLPEYLEIRGCNIFRHFQAYQLQ >Dexi6B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:6B:2192669:2193865:1 gene:Dexi6B01G0002510 transcript:Dexi6B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVDAATLAPATVCACPSAHCGNGNHGGAAAASATVRHRGVPRAPKQDDLQVPGPHLPSLHKNATGSGGGSRSYIAAACDDWLLFSDAYGLFRLTSPFTGKTRHLPSFHDIHADDRPVDIINEPSPRHDAMATGEVWRDDKTMAVRKVVVCPDGFIAANFGREHFAKVALCSLETFSWTHSVHDRWRPYDDLEFHGGKLYAVTAGADLLAIDVGFDGETGRPSVSRVDRVIVGGAISSFHYLVPSDDSGELLMVRRQFPNAYYAAMGGSSSSSRSRFAVFRADLASARWEEVRYLGDGEAVFVGRMCSRKVTGRRLSGRENGH >Dexi6A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:6A:1222352:1228555:-1 gene:Dexi6A01G0001400 transcript:Dexi6A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEALDAAAAPAPAGGDGDDVEMPEAAAPSDSDSDSSDSDDEDDGAGGADELRIQALEQTLQAQPLDYETHVQYIQCLRKSGNIEKLRAAREEMNKYFPLTPKMWQEWAKDEITLRMSEESFGDIEKLYERGVQEYLSIKLWRDYLDFVEEHDPSVSQCTPSGLSKMRDLFERAITAGGLHVAEGSKLWSAYREYEMAILITITDGNDEEKAKQFQRIRTLFHRQLSVPLAEMESTLSEYKSWEAEQGNANDPSSNFDGVPSNVISAYKKANDMYNERKQYEDQLSDAGASEADKLQEFLKYLKFEESSGDPARVQVLYERAVSELPVSKDLWIGYTSYLDRSLKVPSVLRSVYYRATRNCTWVSELWVHYLLSLERIHSSEEELRGVSFLVLSFDTYLSGQYSVLLQQYKSGSVLEVWQQYISMELEMGHLREARSLYKRCYSKRFAGSGSEDICHAWIRFEEEHGTLDDYDLAVKKVTPRLKELMVFKSQEEAKLEAYSLNDNSTADDSSQKRKASKMANKQQPAAKKRKENPPKSAKSSDVQGSKTQSGHSGVVTAVEVGETTREKVEASTEMKVDSDSRTGNTGSNEPKSSFYTDKCTVFVSNIDLKANEDDLRRFFSDIGGAIAIRLLKDKFTKKSRGLAYVDFSDNKHLEAALRKNKQKLLGKKVSIARSDPSKSKKSREAGPSSTGHDNLPQSGDDGAKAPGARPDKEVAKDDVKITGKNTFFAPRSVVKPLGWTTKDDKTDGGTGELKSNEEFRNLLLKK >Dexi2B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:2B:1807991:1810000:-1 gene:Dexi2B01G0002250 transcript:Dexi2B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFNGIPLKLIFLCLSFALLTAGDDHKFIYSGFAGSHILVSGLAEVTPNGLLHLTNGTTQWKGQAFHPTPLNFSDPINGTVQSFSTSFVFAIRSIAPGVSAHGLTFFISPTKNMSSAFANQFLGLLSKAKNGNSSNHIFAVELDTVLSSDMLDADDNHVGIDINDLRSVKSHYAGYYDDMGGNFCNLTLASFETMQVWVDYDGGSKQINVTLAPTTEMAKPRKPLLSIEYDLSTVLKDISYVGFSASTGILDSHHYVLGWSFGLNQPAPEIEFSYLPKLPRVGPKPRSKLLYIVLPVASASFILALVTGIFLLIHRKIRYAELREDWEIEFGPHRFSYKDLFHATEGFKNKHLLGIGGFGRVYKGVLRKSKSEVAVKRVSHESRQGIREFIAEVVSMGRLRHKNIVQLLGYCRRKGELLLTLDWAQRFRIIQGVASGLLYLHEDWEQVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYIAPELTRMGRASTLTDVFAFGVFLLEVTCGRRPIAQQDGQDVPFILVDWVLEHWQNGSLPNVVDTRLLTNYDVDEACLALKLGLLCSHPLPIVRPNMRQVVQYLDGNAPFPDQILMEITRNGGVERGTNYAASPSVPSSTSFGTMSVGLSGGR >Dexi2A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:2A:24715228:24715891:-1 gene:Dexi2A01G0014690 transcript:Dexi2A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMVKPVFIPKSQRDTIAELERLEELEEEERQLEELEHVEKPLNEEANIEDVDTDDELNEAEEYESWENREIGRIKRDGEERDARLKDKESADDIIQSAGKDDIYSRDFSEPTGEDKMDKSIPPKVMQVKHFGRSGRTKWTHLVNEDTTDWNA >Dexi7A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:7A:28365675:28367286:-1 gene:Dexi7A01G0019070 transcript:Dexi7A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFMFGKKAEQAVQGDDDVHSVKVFSYNDLRKATQDFCGPNKIGEGGFGFVFRGVLKDGTVVAVKVLSATSRQGIREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGTRYSNIRFNWRARVKIAFLLLLPFAFRGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWGLYEEERLEEIIDFDIGDDLDVEEACRFLKIGLLCTQDAMARRPNMTNVVRMLTGEKRISIDKITRPAMITDFADLKISNKEQRSNGTRTPTTKSFTTSEPFSSSETPTQLST >Dexi1B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:1B:18952176:18955554:1 gene:Dexi1B01G0013350 transcript:Dexi1B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRPSSHSTSSGDESFEDALLLAVERKVQENTRAISSAQPSLPPPPPPPRPRPRPGCFPSPKRLVRGVARRIFGVATGSSSFSSPRRIPPRGRASFAVADKSESAPPVQARTGSEEETRREEDQVALTRSGYGAMMRSALADIQEDAEGQEHAPFAKLQEAMTGLMKLTYGKAEPTNPSQLPREFATRWPHSDDDLSHRGLMDGPVILASGHSVDGSYHQWSCPLNNNVYPITHKTLSHSSTAPNHLLSDMIAAWRLDHMAHSPDSTADKLSIPLAPSEEQIQDILQKFSGHSVMQEEALQKIHQLSKITKGEQPCLHEWPGLVSELLDLRKNWKSTWTQRLEEQRLGVILNLSVYRPNGEILAGENRLPVALKKIVHKLHKHRSQPSAFAKVASIVAILSEFDMFKKGILDIGGMEMLRDLLMIEDAVVRKEAVTAIRGLCADDEGKTNAQSCNVPDALLECLMVSDEVLLVLDCLPKDPCLVDKISEKAVQLVNIIMHEQGTAPVTPVATYSAISLVHAIIQRDPYKMEQVKNLEDFKERLVELSSGRLPMQTMLQVDAIINSAVLQFW >DexiUA01G0011760.1:cds pep primary_assembly:Fonio_CM05836:UA:23447537:23449103:1 gene:DexiUA01G0011760 transcript:DexiUA01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASAKEGNGAPTKRTSLHDLYDLQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIREVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTVSRTVDANVSEAEGVYSALEKLGINWDEVGKQLELEGVDSFKKSFDSLLVSLQEKGNSLKTASV >Dexi6A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:6A:27715478:27720420:-1 gene:Dexi6A01G0020190 transcript:Dexi6A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAARKEWRAVPDAPLRSNGAEDAAEHGKLGQPEDRAIYEEGAGGMDGFCAITIDGTGGLSEDILQQRLQSVVRQREELQQVEIDLRAQAIAHPQIIQVQQTYQAAVKEKAAAAAKLKDQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLLREQTKELATVRRERDNSEAERAQHLKQIHDLQEHLREKESQFIALEEQHRVAQENILYKDEQLREAHAWVSQVREMDALQSQSLQVELRERMEQFNQYWISSQQQYAEMQRGFLHTIQQLQLELNEARERSGAQKDVPQVSREGSAESSFVQSIVNSVASNGSATADGNQQLKNNGSVDVSVKKVFEICVTFINFQGNSASAVPVPSSLLGIGGYAPSAHITGLHSYMIHQGIPQPLASPNSGVPQFGSFQSQSATQPNLHWANQQEVQTVSQPQDEPTYQPSQSDQTALRPDVINPDELSSKPSQACQPDNLNAHGKQQQNPASVPAESTHELAKTSQVVESNVAEHVVYDEQQRAFKEQDPPSIVNIRAGMVEHQEQNTEPKLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDLIHLCEGAQQLISASTAAAKIAAAKSSAPHSSLLPSVAVTPVAQSTRQKRGSAVDSRSSNAIPSGNGLEQFNIIQGVSDVTISGKVRNTQDNGFSDEVRSGQPSMHTAAVNGVRHDKAASNIRHGYGGKQQGRSTDAAYISRR >Dexi7B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:7B:19875053:19875373:-1 gene:Dexi7B01G0013370 transcript:Dexi7B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWLLMGSGDRAQYWCKQCRVLTSSMDMEWYERLGADPEPLWVLDDGRVAFWMRSRHKTDGILRMYDPRTQTCTRLAYCLKLGASVYTGNLLRQQVQYAHGMEEL >Dexi5A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:5A:9724618:9730021:-1 gene:Dexi5A01G0013020 transcript:Dexi5A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLVAALFLCASAAAASKPPLDTLGIPPQDEAYYGGGVIKCRDGSGKFSRDQLNDDFCDCPDGTDEPGTSACPEGKFYCKNAGHTPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKIATYKSGVVIRNKEVERAKEAFAKDETELAKLKGEEKILKGLVDKLKEQKRLIEKAEEEERLKKEEEKRIKEEAEKKATVEKEAQDASHEVGSKETDPPVKEDESKVAEQHDGHATDHVPESGISDEQHGSESQDDSGIVDELPLKESAPTLEQDPSSDNPEGLSREELGRIVASRWTGESVNDVSKDNKKGHEDDHEIPEPAEAAVEDELEIPEPAEENYGSYHSDVEDDRHKYDDEDFDHESEDEYVDDHDDHVESYKSDDDQKGEHHSDLTASEHSSWLDKIHQTVQNVLQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISTLTEKLKQDFGKDKEFYSFYDQCFETKEGKYTYKVCAYKKATQAEGHSSTNLGRWDKFEESYRVMQFSNGDKCWNGPDRSLKVRLRCGLSNELNDVDEPSRCEYVAVLSTPALCIEDKLKELQNKLDAMSSKQPGHDEL >Dexi5B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:5B:97322:98478:-1 gene:Dexi5B01G0000160 transcript:Dexi5B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDKGGSAGYGTFHGPPSYPPPRPPPPPGFPQPVPPPHRRAAAPPAPGGLPCCGIGFGWFLFVIGFFLGAIPWYVGAILLWCSRVDHREKPGYVACTVAAVLATIAVIIGATAGAHVY >Dexi2B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:2B:13203030:13203629:1 gene:Dexi2B01G0011610 transcript:Dexi2B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAACPGEDGDEEMGVKEEGEEEDDDDEEEDDAEDGYYMDPCPVAVVASPPGGGRAASTGRRRAREEKERTKLRERQRRAITGRILAGLRQHGNYSLRARADINEVIAALAREAGWVVLHDGTTFPSSSQSAAAATAAAQVGLSFSLWLCSSLPTHSVTVCCLLCSRLGKKGTMSCCWASELADGHWLLPGSQVKSSE >Dexi1B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:1B:24764193:24766346:1 gene:Dexi1B01G0018600 transcript:Dexi1B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKVQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAPAAAAPKKAKK >Dexi9B01G0022950.1:cds pep primary_assembly:Fonio_CM05836:9B:17839163:17848321:-1 gene:Dexi9B01G0022950 transcript:Dexi9B01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLPPASSSAAAAAVRSLARAVPQRHQLLLHASRRGRRHPPLPLAAASPCHAHLRCALSVSASGGSNGAAPVSAEREYDYDLFTIGAGSGGMRASRAASALYGARAAVCEMPFATVASDALGGVGGTIGGIDAESVSIMQIVDPHTVSVDGKLYTAKNILVAVGGRPSKPNIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQNNVLRGFDEEVRDFVAEQMSLRGVNFHIEQTPQAVTKSDNGSLSLKTNKETINGFSHVMFATGRKPNTKNLGLEDIGVKMDEHGAIVVDEYSRTSVDSIWAVGDVTNRLNLTPVALMEAGAIARTIFGNEPTKPDYSAVPSAVFSQPPIGLVGLTEEMAIETYGDIDVYTSNFKPLRATLSGLPDRMFMKVIVCSKTNKVLGVHMCGEDAPEIIQACGIAIAVKAGLTKQNFDSTVGVHPTTAEEIVTMRNPTRKVRRDTAYEV >Dexi9A01G0030030.1:cds pep primary_assembly:Fonio_CM05836:9A:34967088:34967850:1 gene:Dexi9A01G0030030 transcript:Dexi9A01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISNTCTVFSHHQPGTCCFAVHFWAPTFKWCLNVANVADFVKPPEEISYPQQLALGCSGLIWARYSMVITPKNWNLFSVSSALAVTCTYQISRKIRYAR >Dexi6B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:6B:6842030:6845937:-1 gene:Dexi6B01G0006280 transcript:Dexi6B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRGQAHSGPVAPLRLVGPNNTSCQAIADRAFRFVSILAAAAAPPLLLPPSEIPLVPAPPARRRYGSPPPSAPNHFLLACVFPSMGWELPPSTPAASISGKLPSLMHFDLCSLLQERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINDDPTIRLLNRLYAKKRKELQERQKLKDVQTQEELKDERSLDELLCFINGDEGSRGGKAAKSKKKNKRRKDQAKNPSKANSEPVNKEGAGCVVQRKADIGNISRLPCQSPGLQDDIEYPFEDADLDDGLDPAMREEIDR >Dexi2A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:2A:1813891:1818901:1 gene:Dexi2A01G0002310 transcript:Dexi2A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSFGNLGAAGGSSSGGSNSVKPSAASSSFLQLPLSTAAAAAAGASPTGGGVAYYGAPLALLHQSASAAAAGPSHPPPSYAKLAAEISPAEADAIKAKIVAHPQYSALLAAYLDCQKVGAPPDVLERLTAMAAKLDARPPGRHEPRDPELDQFMEAYCNMLVKYREELTRPIDEAMEFLKRVEAQLDSIAGGGSSAARLSLADGKSEGVGSSEDDMDPSGRENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >Dexi2B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:2B:29589750:29591895:1 gene:Dexi2B01G0019450 transcript:Dexi2B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAAKAKESGKEDRQEPRAEVMKEKLMPSHPQQEEAPASVMDKDSSGISSVPGDVSPVLDRDSGELKVEENMDGNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGSDISDESSCSSMSSTTTKPHKGNDSRWEAIHVVKSRDNALGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKSKKDKSKSDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGPGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPVANQKGPDNYLEFEFF >Dexi7B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:7B:19745316:19748460:-1 gene:Dexi7B01G0013190 transcript:Dexi7B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRIAAAAVAVVAVLAALAAAAHGGVVERSEFPPGFLFGAATSAYQVEGAYLEDGKGLSNWDGDVEILQSLGVNAYRFSISWARILPRGRLGGPFVTLHHFDQPHELEVRYVGWLGSGIREEFEYYADVCFKAFGDRVKFWTTFNEPNLMTKFQYMLGGYPPNHCSVPFGNCNSGNSDREPYVAAHNIIMSHAAAVRAYKENYQLHDEQRLLS >Dexi1B01G0025920.1:cds pep primary_assembly:Fonio_CM05836:1B:30875377:30880561:-1 gene:Dexi1B01G0025920 transcript:Dexi1B01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGAAPKQDDLTPHPVKDQLPGVSYCITSPPPWPEAVLLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVLPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVAYLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQLILLVIFAMYLPHTVHMLRSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATAIPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCIFFAYAGSAGLGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIINVLFSSKAFVAGIVAYLLDNTIHRHDSSVRKDRGYHWWDRFRSYRTDTRSEEFYSLPFNLNKFFPSV >Dexi9A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:9A:6753805:6756679:1 gene:Dexi9A01G0010960 transcript:Dexi9A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGTVAVAVASDAAASASGASGKKGGKASASVVLRVPAGSPMVAARRQASARREIAIRRAREGGREYEIVPTARGETLFTQCWWPHPPSSSIKPRALVVVMHGLNEHSGRYDHLARRLNEIGIKVYGMDWTGHGGSDALHGYVQSLDHAVNDLKMYLKKVLAENPGLPCFCFGHSTGGGIILKAALDPEVETLISGIVLTSPAVRVQPSHPVIKVMAPVVALLAPRYQFPGSQKNGPPVSRDPEALRTKYSDPLVFTGAIRVRTGYEILRLTSYLQQHLHRITVPILVLHGADDMVTDPDGSRALYEQSSSADKSLKLYSGLLHDLLIEPEKDKVMDDIVAWLSPRV >Dexi7B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:7B:4606391:4608001:-1 gene:Dexi7B01G0002500 transcript:Dexi7B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELKVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSKTPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMVMVLCCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIISASFKARFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAASDMTQVLNVEIVEEPEQAEELAKREQFGLFSRQFAKRHGLHLLGTTVCWFLLDIAFYSQNLFQKDIYTAVNWLPKADTMNALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFVIQLGGFFFMTVFMLGLAIPYHHWTTPGHHIGFIVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQSTDPTQTDAGYPPGIGVRNSLFVLAGCNVAGFFFTFLVPESKGKSLEELSGENEDEEAETSAAADYRTAPAPPA >Dexi6B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:6B:5663623:5667655:1 gene:Dexi6B01G0006080 transcript:Dexi6B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding NICYWDSFTWSSCYYYSTSDRTSRKERLIAKVPELTSATGYFFRAGAEGKRTCPTVLLLMASAVSMGMTDGLENKLRARHMRVAEITEMIHISSLIHDDVLDDADTRRGMDSLNFTVGKKSKADASLQLAVLAGDFLLFRAFSSAVSLDNTEVVSLLATAVNNLVTGELMQMSITPAQRCSMDYYLQKTYYKTAALISNSCKAIAALAGQSTEVQALAYQYGRHLGIAYQLIDDILDFTGTSASLGKASLSDIHQGIVTAPILFAMEEFPELREIVEQGFDDPSNIKTALEYLSKSEGIERTRLLAAEHAKLAADAIDDLPESEDEVVLNARQALKDLTQKFMRRTK >Dexi5A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:5A:1348688:1350242:-1 gene:Dexi5A01G0001890 transcript:Dexi5A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTVAAVLLLLASSLLDGADAGYYGTKPSSSLTAIFSFGNSYADTGNFVRLAAPILPVIPFNNLPYGETFFHKPTGRASNGRIILDFIAEAFHLPLVPPSLDTTQNFSKGANFAVVGATALDLAYFMEHNITSVPPFNSSFSVQIGWFEQLIKTSSFSKEYKPDDYLSKSLFVMGEFGGNDYVFLLAANKTVDQIIRTYVPAVVNAISGGVERLIKLGAKRIVVPGNLPTGCIPIMLTLYASPNKRDYDTNGCLVKLNGLARYHNALLWREVRALRTKYPDTKIAYADYFKPVVKFLQKPTKFGFDGRTALVACCGAGGKYNYNITAACGFPGATACKDPSRAVNWDGIHLTEAAYEDIAYGWLRGTFAKPSILRLAR >Dexi3B01G0030290.1:cds pep primary_assembly:Fonio_CM05836:3B:29843849:29844261:1 gene:Dexi3B01G0030290 transcript:Dexi3B01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEDVDMQRKQILEELLQSLSRYKEGSKVIITTDSKRVANIGTVEPIILTALPCPEYWFFFKAHAFAGRDIQDNPRLISAGKEIARKLKGSFFGAKMVGGVLRDHPDPKFWCKILQSNIGGILS >Dexi9B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:9B:11984397:11985366:-1 gene:Dexi9B01G0017220 transcript:Dexi9B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEASTDRMNDNTVTTTTENAADLGLPAPSSLLTRTLKYHVSRHSITADASESLKKVGKPENACHENPVHVSLHRWLVTMYTNWATTMTHQLTLSISDAPSKPSPNL >Dexi7B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:7B:23685479:23688111:1 gene:Dexi7B01G0017750 transcript:Dexi7B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPPPPNPICTRRQAKESDPDAPPRVTETRAPPPSSARPPLMASEVRVGSPSHRAAQGSDTEHPDVAEAPSAVRPSTDLAAPKQMTRPSQMMQASGPAGETLAPSPSAAHPSTDQAPPKQRPKFRFKFEIPPSPTSVARTSSELALPKSKTRQVASTSRVVQGSDLPVETAPPLPFATPKPHEPRRNPPRDASATAVPCEEVSSEQNVEKEVSSQILTEPVVREKKFHQISPHLQLIVSNLSKTWSRKVDLAMDGFRTTIEGCSAEKKPWQEIVNKASANLAETLNRIQDEQSRSLIDELSKEPDSCTFGMKKLALIYDERMLLHRPPNPRFDEKPSRCDLFGCEEVAVELLSAVHSLGYIEDIQSLSSKDQQTRDLMASEHCEQGDIYYSEGSDTAAFLAAGGAIKACSLVAEHKYQYSFAIIRPPGHHAAVKGNFNV >Dexi4B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:4B:9815848:9818607:-1 gene:Dexi4B01G0012120 transcript:Dexi4B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDLRQRCFLSLAVLGALYLTAVTFRLLDLLGIPTSFFLRRPTDLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLVGRDPDKLRDVSDAIAAAHPGVRTKAVVFDLSLVSTPQGDEAMRRLREAVEGLEVGVLVNNAGVAKPCAAYLHEFDVEAWIKMVRVNLWAPTEVAAAVLPAMAARGRGAVVNIGSGSTEAIPSFPLYTIYAATKRYVAQFSRSLYVEYRSKGIDVQCQAPLFVDTKMASGVTKAKRRSPFVPSSDAYARAAVRWIGHGALCMPNAAHRVQRCIAAAVPDRVHDWLRLREHLRQRALFQRLRSARAAPGTTSTTASRNSETQGN >Dexi9B01G0023370.1:cds pep primary_assembly:Fonio_CM05836:9B:18478434:18482134:-1 gene:Dexi9B01G0023370 transcript:Dexi9B01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPDQSPRPVRFGILGCASIARKLARAMLLAPGAAVAAVGSRSEEKARLFAADNGLDAATTRLHGSYEALLDDPDVDAVYLPLPTSLHVRWAAAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDSTMWMHHPRTAKMRELVDGKEAIGDIKVINSVFSFRANEDFLQNDIRVKPDLDALGSLGDVGWYCIRAILWAVDYELPKTVIALRDPVKNRAGVLLACGATLYWADGKTATFNCSFLTNLTMDVTLVGTNGTLHLTDFVIPYEEKSAEFCVASKSNFAKLHTRWDPLPSKHVVTTDLPQEALMVQEFARLVQNIRDAGGKPEGKWPAITRKTQVVLDAVKASIDKGSEPIEVAS >Dexi7B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:7B:4715339:4715975:-1 gene:Dexi7B01G0002540 transcript:Dexi7B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNPDALRQAKRADAERCSCSHCCSGLHGIPVLLKDNIATRDRLNTTAGSFALLGSVVRRDAGVVRRLRRAGAIILGKANMEEWANFRNLEGLHAWSARGGQGRNPYVLSADPCGSSTGSAIAAAANMAAVTIGTETDGSILCPASLNSVVGIKPTLGLTSRAGVIPISPRQDTVG >Dexi2B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:2B:8324089:8328133:-1 gene:Dexi2B01G0008230 transcript:Dexi2B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKEKPTLGGTRIKTRKRNIAAPLDPASFSDAIVQIYLDNGGDLELIAKSIESSDLNFSRYGDTFFEVVFVGGRTQPGTIKPEEEGDRHPYSVLDCAAQREAILPSVLYIQKTLRRKPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIGLLKKGKMEDGLLDFFPSAKRSSEALSEHFTKEGLASLVEYNEKKMFEVKLKEIKSTLTTMINDEAEIPEIIETVKQQVKDAKFPDIEVIRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAELLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >Dexi1A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:1A:587561:591367:1 gene:Dexi1A01G0000910 transcript:Dexi1A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRRPRAAGSPPGDAGLPPSPEKAGRNRPWAALGSDRRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRSYLHPLIFAALYKILALLHLDTPWFMMKSKCRFVFLEVIPVGAIVLAVTTLLDWWMYGQQVRRVFHSHFKVDRDLQSSVIVYSQRDVL >DexiUA01G0013900.1:cds pep primary_assembly:Fonio_CM05836:UA:29252047:29254584:-1 gene:DexiUA01G0013900 transcript:DexiUA01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGHEDDDVDHYEVLCLPSGEEGAALTVEQIEKAYRTQSRLRHPDKRPDDPNATADFQLLSSSYKLLRDESLRRQFDARLRGRREAAARAAAAGVKRRKAVSDLEERERAAASGQPACPEELAKREMKQKAADIERELNEFHTAKQAAASGATPTSAYGDKKGGASQDGVKTDKGKILKVSWDGSADSYTAAKLEELFQKFGKVEDIVIKTRKSRSKGSAIVVMGTKEAAVLAIQNHFSLYPLNVAPVQESGGLPARSTQTNESRTSNIDGTGFSDLEASVFRKLQEAQKRKQRG >Dexi9B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:9B:1395497:1397572:-1 gene:Dexi9B01G0002410 transcript:Dexi9B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAASCCVAIRFELLLELALIRMPTRGGGSSRSWRAVSGSAESQRVEASSDGAPVQRAPPPASPSVAVELCVPCQGQLHVTGRRLRHLLQDTSELLLAVQSSVQCQGHVLVADQRLRHLCCLQGASEQCERMDGGDRVDGVERLSLREKVMTMPKKQTQRDLRRLDKAEKAAQLERNIENELKERLQKGVYGDIYNVPFKKFEDLVGVNEEPEEEEEEQGMVGEIEYVDADDVKEMSDMEDMEDFEGLSDGDTDEDDHFDDQLSKKSKGSGSDLKKNAGKRSRKVMTEVRSQ >Dexi5B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:5B:4354030:4354986:1 gene:Dexi5B01G0006460 transcript:Dexi5B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAEQRVVVEDLVGVVTLFSDGSVVRGDESPFFPPGPFPVAPGVHWKDAVYDAARGLKVRLYKPSPAAAAGEAKGNNNKLPVLVYFHGGGYCIGAYDQPMYHSFCQRIAAELPAVVLSVQYRLAPEHRLPAAIDDAATFFSWLRAQAVLGAEPWLAESADFSSTFVAGVSAGANLAHHAVVQITAGEENALGPLRLAGYVLLSAYFGSVERTAAELDPPSGVSLTVENSDQAWRMALPVGATRDHPLANPFAPGGATLEAVPMPPALVVAPGRDVLRDHVRGYAARHGFSVGSRSEATEELMAILKGFVHKHAALD >Dexi7B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:7B:21892015:21893409:-1 gene:Dexi7B01G0015860 transcript:Dexi7B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVVAVPFPAQGHLNQLLHLSLQLASRGLPVHYAAPAEHVRQARARVHGWGDGALRRVVFHELAISGYASPPPDPAAASPFPSHLMPLWESFTSTAAAPVAALLREVSSSHRRVVVLYDLLNGFVAEEAARLPNGEGYGLHCTAVSSIVARTEDGGELVRERGLVYLPIDTYVTKEFMEYVVGRARPERAIVSSAGLLANTCRALEGEFIDIFAKQMAAGGKKFFAIGPLNPLLIDASSVPEEQSGKIRHESLQWLDEQPPASVLYMSFGSTSSLRGEQIVELAAALRGSNQRFIWVLRDADRGNIFADHGESRHGKLLAEFTKETNGRGLVITGWAPQLEILAHDATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCKYFKAGFLVRPCEKHAEVIPAADIQQVIERMMVSDEGRAVRQRARELGEAVRSSAAAGGSSHKDFDDFIAHVTR >Dexi9B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:9B:4069004:4069534:-1 gene:Dexi9B01G0006770 transcript:Dexi9B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAARSGLRSLAARAAPARRRMSSSVHDDAYETAKWEKITYAGIVTCTLLAGYNLSKGHPHFDEPPAYPYLHIRNKEFPWGMDRGNT >Dexi5B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:5B:9072204:9075341:-1 gene:Dexi5B01G0012760 transcript:Dexi5B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRLAFAKDSPPLAIIAAAKIADVSLTIDPSLDSGSVPTLYLRSGDFIHGINTILRYIARGATIPSFYGQDDIQAAHVDQWLEYASVILSGSEFEAACSFLDGFLTSRTFLVGFSLSIADIVVWSNIAGTGQRWESLRRSKKYQNLIRWFNSIAVDYAGMLDEVVEAYVGRRGIGKSPAPSLKENLQCSKVNTSGLEIDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNNYFAERYRGRLIVRFDDTNPSKENNEFVENILKDIDIMGIKYDTVTYTSDYFPKLMEMAESLIKQGKAYVDDTPRKQMQEERATGMESKCRNNTVEENLLLWREMINGTEIGMQCYVRGKLDMLDPNKSLRDPVYYRCNIIPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEICEFSRLNMVYTLLSKRKLLWFVQNKKVDDWTDPRFPTIQGIVRRGLKIEALKQFILEQGASKNLNLMEWDKLWTINKKIVDPVSARHTAVLKDQRALLTLTNGPEEPFVRILPRHKKYEGAGNKATTFTNRIWLEYADASVISTGQEVTLMDWGNAIIKEIKTENGVITELVGALHLEGSVKSTKLKLTWLPDIEDLVLLSLVEFDYLITKKKLEEDEEITLTSPILNPCTRRETLALGDPNMRNLKQGEIIQLERKGYYRCDVPFIRPAKPIVLFLIPDGRQQSAANK >Dexi7A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:7A:14127314:14128569:-1 gene:Dexi7A01G0003960 transcript:Dexi7A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLTTSFVLITALLIQQHRWFAIADHNTRALNTTSSARGLSLRLFASHEGSSYSYRRGSDGFLYYLKHSLSDSSANMTMSPKDNDPEQAILTIGTGTAEHKYTLKLDATSPLSWIQCEPCVPHAPQKGPIFSPNGEHTHSPSYRPLAPSDEFCKPENGMEPAGEQCAFHVSGAGGMSVHGYVAQWRRVRAGKAPASLTMQLVARGMTRFSYCLTRGISRQGFLRFGADVPRNSRYKTTRILPALDASEADYYVDLVGVSLGERRLDRIHRQMFTRGKDGEGGSVIDLGTPVTVMAEEAYRVVEETMWSELKEHGAERVERRGYGLCVRVTKSVKGHLQSLSLHFAQGEEATLVVSPEQLFLMMVDEHAGEIACLAMVPGHRTIIGALQ >Dexi6B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:6B:2714667:2715248:1 gene:Dexi6B01G0003300 transcript:Dexi6B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQESGGGGGGAKKPKATSSSPVAAVGDELLRQILLRLPDMGSLANAALAEKRWYAIASDPAVFRRFDALRHPPLLGFILTDRGDQLFPRRCSILRFVHATRGYSNLASVAAGTDFFFEDLPDDDLEYGGWDADWRLRSCAGGRLLLSRGYGGQITRRLRPHRTDRRLPPRIHRLLAFHPHGPLRHCCRRG >Dexi8A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:8A:6088934:6095119:1 gene:Dexi8A01G0006100 transcript:Dexi8A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMAPSSAAVLFPAAVVALMAMALPFSAAAAPPPIGIGGATRLLLGDGTLRVTGINVSDMIPTVRVVREGSIINSTAGGGWNALFGRGFTEHGYWLSRDNELVVFGCNVVATLLDDGIEAAKTNNTAGRIGGCTSLCAKRFDGSSYSTRGTWTTSPETIAPTLHSRSDTAEEKQLPVNVFVAETGWIDELRNMSLRADEVREVPFLLNWSVTRGLPPPQLERHGGTLCTDEVHRMLCKSNNSICWNAIPGPGYTCHCEGGYHGNPYLTRTGGCKDINECTTFSSEHNRCFGECINTIGSMYCRCPYGTYGNPGVKDGCAKFDPTTADSAAQQLQRHLWRCARAVPLRLRTFDTSHGGTPRLLLDGNGTVQVIGISLSDSTVRVVHHTRIRPDDFIFKNYSLRSDGSTVVERAMSVEATLYGHKHRNSGSAYSNITGCVSRFISTPFREYNNCSGGDGCCHALIFPGSTPMMMEFRGLLNTSLDMDMPLAFVSEEGLTALWLDTILKINVSLGVLVPRFFSAPLVLQWAVKQGFPAPTGNTTGQCPGYVARQLCKSELSSCQQENGGYTCYCNKGYQGNPYIVDGCKGHYADSDLLVYTYAMVSIIFLFIDFPAP >Dexi2B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:2B:5154477:5164991:1 gene:Dexi2B01G0005640 transcript:Dexi2B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVTPASTSTKNPGMVSLVRRWVVTNFASSSWLLLAPLLAAYASRRRLMFLTYFHLHLRRIAQCLLNAVDPTVSLDIFECPIVEHVTSLHPMAFNDTTYEEVKAYLSATCLVISMRDGKDVTDEFRGATLWWSSVVEKDHQSHGGSYMSKPWSYVNFDHPTTFETLVMEPTKKKEIMDDLDEFRNSRDFYRRASKPWKRGYLLYGPPGTGKSTMIAAMANHLKYVIYDVELTMVRNNNDLRKLLVETTSKSIPPAPARVPAPGTYDPHASVVTLSGLLNFINGLWSASGGERIVIFTTNHVDKLDPALIRIGRMDMHIEMSYCGFEAFKTLAKNYLGIDDHRLFGAIEEHLREVYITPADVAECLMKAMCAGDGEDTYMECLIAELRKKNEEAQAAAAAANTKVEAENQNSDELGDEGQELEYSDELEDEGKELKHSDEIKGGGEETKDSDGVKEEDNQQTKDSSEVKEEDGQKTSKSTG >Dexi3B01G0031300.1:cds pep primary_assembly:Fonio_CM05836:3B:32412810:32426885:1 gene:Dexi3B01G0031300 transcript:Dexi3B01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHNTRNKSKRPRQDESSSPSAAVFKKIHSDGNISKSDIRQLYMVWKPHCQGCHGNTKDSPNCFCGLIPTSNGVRKTGLWQKMQEIVRSLGPNPSRDLRDSNETPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLELDVLKKHPVLDQLAQLFAQLHSSKMAFIDSAPFIKALELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTIVQHLFCGSVSHVTSSIDNGPIQCEHGKVPASKVTSMKRLSSVAWQKLLSKYGGGPALSSDDCCMECLKDGAKNAVSADVYRERKASLKTIAEAALAGSCPDGPSYFISRTWLTHWLRRKNADITSDADNGPTSALRCCHGDLLPEHAPGAKRVAVPESLWLFLYQTINEKKADDIMTFPSDCRSCEICNQELSDVASVEGNLRSVKLQQRQNHEKLISGKSLALHPGQKYYLVPSSWLSEWRAYITATGKNISLLPEPQSLEAIVNSLLCEKHSRLLQRPLDLVCKRGSITQKTSNNDGLTMIPEYDWKLFSEEWNATPDKGISAEIAFSKSSQDKLSGSSEANPIMDGDLDQSLDDANDDLGDREPYVITDPEIVKENQKLHKGSAEIEDDFATLADKSIFPGDVLWVRDSEIYENRDIADEISEQKTDVQQAEEGFRGTLLTSSVSAQLCQDIAFSE >Dexi4A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:4A:7645560:7646926:-1 gene:Dexi4A01G0009670 transcript:Dexi4A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKACPWLLLLLLVAAAGSVPVGAEEAAFDENYAVQWGADGYHLVIRGTEANITMDQNSGAGFRSKSMYGSGFFHMRMKLPSSGYTAGVVTTFYLISQPEDGSRDEVDFEFLGDKAGVPITLQTNVFVNGRGDREQRLHLWFDPAADFHDYKILWNPYQLVMFVDDTPIRVLRNLTGTVPGYPFPAKQTMLIRASVWDGSGWATDGGKTKVDWSKGPFMAGYRGFDVNGCANGSATPCDSPDLWWNGGGYRNITAEQRAAYDDVRKKYMNYDYCADKARFNNSVPIECNYV >Dexi5A01G0037290.1:cds pep primary_assembly:Fonio_CM05836:5A:38699364:38708102:1 gene:Dexi5A01G0037290 transcript:Dexi5A01G0037290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGTCGHHLLLFLAYLCCLPLEATTLSFWYDFSTPGVVDRADLIYKNDSWGASDRVMLTKVTNGSVGRVAYAQPARLWDGRTGEVASFATSFSFAIDGNHNSNRGDGIAFFVGPFPPTVPPKSNAGYLGLYSNQNLSLSGSGSPSTVAVEFDTYWNQDLDPPGVTDHVGINVNSIHSANYTTDVPDLGIYGFFESHQLLSWSFNSTDMAAAGNPNSPDTAVPLEFSYQELFEATDGFNEGTSNVMLDERFDAKLADFGLVRSVDPGQSSLGGTEMNGTRGAPPPTR >Dexi3B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:3B:14889322:14891043:1 gene:Dexi3B01G0019900 transcript:Dexi3B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGFGAAASGGTGRGPELPPCPDGMGEGGREVAARWEKAGAGREVAARSFQYRFFKAQCNFRLHICPSARIQRLVALASSPSTLSTCKQGSPAAAGAQRSAATRCVITLQSVVLQIHHESCQHGIVEGLEESLRTAKDFIFCRQSRAWSSTVSFSDLDEKGDMNVGDDYTDSKRELRPQTVDPKKGWEFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVIGPEDLPKPAQWHRIAVHNDSLGAYAVQKLVKNSAVYIEGDIETRVYNDSLNDQVRNIPEICVRRDGKIRLVKSGDSAANISLDELSKFSEYLEILIS >Dexi1A01G0030330.1:cds pep primary_assembly:Fonio_CM05836:1A:35695152:35700463:1 gene:Dexi1A01G0030330 transcript:Dexi1A01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPPLDDCLRLLRGERDEQKLAGLLVAANVCRAGDADAVRKVYDAAGPRFLRRLLNTGLGKVEGGKEEEREAYLRLAVTVLAGLARAPEVAADEGVVSTVPLVAEVVSKSADPAITEECFELLSLIAIASEDGAYKFCEPGVIDMIFLQVSSLPDGSKCIELAINLMRLLVHKLKVDNMSVDKLQGMTSMVTCLARLFAILHTAVKFDALHMLTTLLSQKESALLDLLRSMPASIWEFHIRVGITAILQNRVVSSEKVHALLLAECMMSILGEDWLSEDCKIHGNQNVLPVDKFVLLVLESARVEVAVLLNELAYLKYESSKTSQADEAVSQKQRNLAILFSLIERIIKMISNASSEGMLPSSCVFSVIDSMGTWAITGLNETINLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTRNLLEFIFCIEGQDESSPFYSICFMLPMLSQITTEADGCRTLASFGGYKAVIDCLVKMTEQDGIDNGSMFLACDTIINFISNRKSVHIPVDSCFICLLKALVTWSGTTDASSVTMTASCLCAMLIDLTSEEILLSRSDFGTKTLGSLSELILRSLQQGIPDDDGEQLNQKQIIVSGYRRWADRFPHVKNVVEQHVSV >Dexi3B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:3B:6832253:6832891:1 gene:Dexi3B01G0009870 transcript:Dexi3B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVHYCTKSKMACAAMVILFSFLLLGCDGASDPPSSSTVKHEKIAEVHVRKLLNLAAAVPAAAAPVDDRKTAAAPVECSEEFVAVSQAEASRWLGGMPSYSVTITNTCASCAVSDVHVSCGEFWSAKLVDPTSFRRVTAGDCLVSGGGAMQPGESVSFDYSNMYPYDLDVTSVSCSCG >Dexi5A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:5A:1513203:1513600:-1 gene:Dexi5A01G0002160 transcript:Dexi5A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWKPGSEKPSTLLVDDEEGGVVFLPSSTSSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQTL >Dexi1A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:1A:21812127:21814052:1 gene:Dexi1A01G0014940 transcript:Dexi1A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAATAAAAALTGATTSAPRTRNRAAHPLARRAAPIRCSAASTPATAPATPATPLRPWGPTEPRKGADILVEALERCGVRDVFAYPGGASMEIHQALTRSPVIANHLFRHEQGEAFAASGYARSSGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVVQEAFFLAASGRPGPVLVDIPKDIQQQMAVPVWDTPMSLPGYIARLPKPPATDLLEQVLRLVGESRRPVLYVGGGCAASGEELRRFVEMTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGMNALLEGITSKKTFDFGSWHDELDQQKRQFPLGYKTFDEEIQPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFQMNIQELALIRIENLPVKVFVLNNQHLGMVVQWEDRFYKANRAHTYLGNPDDESEIYPDFVTIAKGFNIPAVRVTKKSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >DexiUA01G0008720.1:cds pep primary_assembly:Fonio_CM05836:UA:16329777:16330412:-1 gene:DexiUA01G0008720 transcript:DexiUA01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGAISTANRSYKPDEGETRSDTLSTLRAGLARVAGDEAAGTVAATVPEEKGALADDGRNSLSLDAGRRDAAEQRRRSAAIDDLEAISLASCGGGDGGGRAAGAARVTSASGGARVGEGPTGRGV >Dexi2B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:2B:20205649:20208322:1 gene:Dexi2B01G0012940 transcript:Dexi2B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQPEPLALVPFELQAGPAPPPARSGGGGKMHRLLRSAFKRGDSASAGSGEDADQLSRSVSGSSSSAASSGRPPSGRRVGRRGGDGSSVDGDRSSRESFELDGSKNAKLLSALRDAKIGHAYEPFPWEKKMRDLLPVPEASSFLSLLLLPRLTDGSKTRYSSLEDTLARADAWLRSSQASGVPVSFMNVQTEALLTKISGETALSTVNMGSLSDLAGMASASLYGFEDYHGVDIGVVRAVRLWYAAAAGEAAVEIRLRPGDTRLGFAISRTEEGFIYVSSVAEEGTPGVASARSGLLELHRAARRASRLLVVSRVGGEKVLPWMASAAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDRDLAAALPGRDGDDDADKPLTVAEAMADEEEVDGGGDGPGRLAGGKDSRDSSFRFQNIGLPDSWL >Dexi5A01G0033750.1:cds pep primary_assembly:Fonio_CM05836:5A:36023761:36025641:1 gene:Dexi5A01G0033750 transcript:Dexi5A01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGELLPGSGPSLGSKPADDRRRGGWRAARFVLAVGFLERIGFYGVQGNLIMYLTGPLGMSTASAAAGVSAWAGTVQVLPLAGALAADSRLGRYRAVLAAGGLYLLSLGMLTITSMLQAPQPHATSSPSSSMLAFFYVALYLLALAQGFHRPCVEALGADQFVSSDGDQSAHASRSSYFNWFHFSISWGYAIATAVLSYVEDNVGWTVGFAACWATMALYLAVFLLGTRTYRAEKTVGVRSLTETVRSWAASVSIFRRSDGTDAERLLAPERDEDKEQELIVRLLPIWLTSLVFAAIFSQVYTLFTKQGSTLDRRIGATLVVPPAALQSLVSATFVTMLPLYDRVLVPMARRVTGHHAGVTTLQRIGAGMAMSGVAMAVAGLVEGRRLRVAMDAGLVDRPDVALPMSLWWVVPQYVLLGVAAVLAEIGLEEFFYDQVPDAIRSVGLALSLSAMGAGSYASSMLVSLIDWATRKWCAQSWFSDNLNRAHLDYFYWLLAGLAALEVAVFLHFASSYVYRNKG >Dexi3B01G0033680.1:cds pep primary_assembly:Fonio_CM05836:3B:36531593:36532710:-1 gene:Dexi3B01G0033680 transcript:Dexi3B01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVGTVATWRTRSTFHHAIAEAMVVRSSFTFEDYFPNALGRLADRLTGVTALRERVFRDFDSYFELMLEHHLNNHSDSSSDAGRGLIDVLIGLMKEHEGSSSVRFTRDVVKALLTNTFIGAVDTGAVTIVWAMAELVRHPHILKKAQDEIRSMVGPNKARVERGDLPKLKYLKNVVKETLRLHPALPLLVPRETMRRIEIAGYDVAVGTRVLVNTWAIGRDPASWAHPEEFDPGRFDVDGEDGMSGLSRARFEFLPFGAGRRMCPGIDMGVATTEFTLANLLYCFDWELPEGMGSEDVSVEEAGGLTVHQKAPLLLVPTRYKSRR >Dexi6A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:6A:11251279:11254769:-1 gene:Dexi6A01G0009550 transcript:Dexi6A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQQHEVTGARRAGREPANGGHRAAATVTEMPPGGHRAERAERPAGHRVERAERPVSHRVERAEMQATHAVERAGDGRRPAAAAAEMPERRTERKKSLESLLDDNARGGQQHKHRGAATGGGHVPARPVPAPGEKVMNFPGQGLEFMELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIARGSLEGSVSVDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPTYLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLDPLVAYQRDGSKPNEAAKTPIPKTPRTPYQKSVQFRQIQLKSNQFSVTTATPHAHPFSNYESYNINDEEEDFDNSLERKAQTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWTPARTPARTPMSSYQRSRLATPHHQPPPSPQEPVFKPEEPTYHEYHLDLEPLDAPEDGPKFANPWFREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDANFTTINRLLNFYIFSVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLQSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTQIPIAWRWLHYISAIKYPFEAMLVNEFKGSRCYVGSQTELSPGPLGQFQESDLHKSLHLDETTCPLIGQDVLSTMGITIDNIWIDVAILLAWGVLYRLFFYVVLRFYSKNERK >Dexi1B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:1B:24487804:24490855:1 gene:Dexi1B01G0018210 transcript:Dexi1B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVAVFGCRNPEEIPWGAAGAEYVVESTGVFTDQEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKAESEGNLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLIRHMHSTN >Dexi1B01G0021710.1:cds pep primary_assembly:Fonio_CM05836:1B:27575512:27576540:-1 gene:Dexi1B01G0021710 transcript:Dexi1B01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSIFLPLPLPKLVSSSRSHRLLPARASSAAPAASASFDLRRYWTSLIADVESELDAAMPMRPPESIHSAMRYAVLPGAGNEGAAKRAPPVLCVAACELLGAPRSAALPAAAALEMLHAASLVHDDLPCFDAAPTRRGHPSTHAAYGTDMAVLAGDALFPLAYTHVIAHTPSPDPVPHAVLLRVLAELARAVGSTGMAAGQFLDLAGATALGEAEVMQVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDIRSASGNGKMRRNASVLRALGMDRALGIVEELKAQAKTEADRFGDKYGDRVLPLYSFVDYAVERGFELQDAAATP >Dexi2A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:2A:13055695:13057145:-1 gene:Dexi2A01G0011250 transcript:Dexi2A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTKQRGGVEEMSWISRKLFLYNVTIGLYVLDCTAKSMWQAFDNHLKISMELGTRNYTMVVLCE >Dexi9A01G0036630.1:cds pep primary_assembly:Fonio_CM05836:9A:41011580:41012275:1 gene:Dexi9A01G0036630 transcript:Dexi9A01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLQIPERRKTEMPLTELSRNGFQETAPEEVDRYLPSQEALVYVVVVAAAAASPENAIEEGEVERAAGSGLSWEWSRDSRVRKRARARWGKEAAPWGQKGRGSSDGGEEVHRTTEGWDERRGRWPPGEKGGAHGMGRVHGPRGRGGASVRWVPPRNSRGWVGSRLRLGGPVRASRTGRADSSVLLLRRACCCCCLLLLRCVGFAFGTQAQEQGEVPSLPFRLVYIYP >Dexi2A01G0024720.1:cds pep primary_assembly:Fonio_CM05836:2A:36450740:36457196:1 gene:Dexi2A01G0024720 transcript:Dexi2A01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSESPAKPVLLHGDLDLWILEARLLPNMDLFSEQVRRCFAACRPPTSCGPKHPPAAVARDGSGGGGGGARQHHRKIITSDPYVTLSVSDAVVARTAVIPNCQDPVWDEHFTVPLAHSAAALEFQVKDNDTFGAQLIGTVTVPADDVAKGEEVEKWYTIYGTNGKPYKPDTALLLRFKFHPIANNPAYRHGIPGDPEHKGIKDSYFPLRHGNQVTLYQDAHVKEGDLPEIELENGKLFEHNACWEDICHAILEAHHMIYIVGWSVYDKVHLVRESSQPLPEGGNLNLGDLLKFKSQEGVRVCLLVWDDKTSHDKFFIKTILMFLIIICIHWMQAGSKGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRDRFRKVSHWKDDALIKLERISWILSPSPNVPNDHPSLWVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASNLVSQQFYSVFSCDDGKMVHDIFMAGADNLIPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIADELKAKGIKDMQPEDYLNFFCLGNREEPSSNGSPESEKSTDKSAAGLAAKYRRFMIYVHAKGMIVDDEYVILGSANINQRSMSGSRDTEIAMGAYQPRHAWSTKNRHPHGQVYGYRTSLWAEHLGKVDDLFKDPSSLDCVKFVNKIAQDNWQRFTAEEFRPLQGHLLKYPVKVEADGKINPLPDHECFPDVGGKILGAPTSLPDTLTM >Dexi2B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:2B:3046666:3052993:-1 gene:Dexi2B01G0003470 transcript:Dexi2B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASLLRLSRPRRALLPLSSLRLPLSTQPQPASSPAPPPSSASRRFPHLLSFLAAAAAAAAAAGGTTVALCDPGVDHRVGGKDSTELVVKGKRRRLPQEFIAELASFLGDNLTVDYEERSFHGTPQNSFHRAANVPDAVVFPSSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMTLMKKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKFGALVKKMICRSWSHNWRNVCYSLFWFISCKVITYVLLIFSGYIRVCRYGTMRDNVINLQAVLPNGDVVKTGSRARKSAAGYDLTRLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFKTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGRNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHRGSDFVFVEEPAAKEELWKIRKQALWAGFAMKPDHEAMITDVCVPLSRLAECISASKRLLDASTLTCLVIAHAGDGNFHTIILFDANKEEERKEAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >Dexi6B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:6B:24205592:24205843:-1 gene:Dexi6B01G0016980 transcript:Dexi6B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCRARYGAERRTEARWCQDAPIDARATLEPQSLEQRMRAARRSGDTLAEKHRRGEEKETREADRGTMVRRHLTTAGEADED >Dexi9A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:9A:10471532:10477708:1 gene:Dexi9A01G0015660 transcript:Dexi9A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHDLSLPNLLKVLLNTGDQDQWPPEATLVIAAYDGNIRRLKEIAARMDVAGRGVNSMLRRTNYEGLNALHAAVGGEGLLPMCRYLVEEVKMDVNKRDTFKGKKMTPLEHAVFRGNLPVVRYVLDHGADLHQEGRLEGLNGFTALHIAALKGRCAIAKLLLSMGAYVDGKSCHVTPTHIAVGEGHDSTLKILLDHNADVLFLAAVDVWPSLGISEQPNKEVKLSTPLLIALRTPSLPCLKLLIQAGAEVNGIGEPLAVAAQRGLTEAIKCLLKAGANPNAPDMDDDFIETKKSELRKQGDIAYGKQDYINASAFYTQAMRVDHCDATLFSSRCLCWLSIGDGRRALRDAVRCKILQPKWAKAYLQKGQALILLKDYEEACDVLTQGLEVDPLNDELDKLYWEAMELKDGSRVAA >Dexi5A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:5A:960204:963790:1 gene:Dexi5A01G0001410 transcript:Dexi5A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVDGSALAGRALAAAGARYMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGTPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCDQADAGRGDFQELDQIAATKPFVKLAVKATTIADIPRLVFQALAATVSGRPGGCYLDIPSDVLHQTLTESEAADLIAAATAASNPSPPKHKSLDEGIEKAADLLRRAERPLVVIGKGAAYARAEEAIRKLVDTTGIPFLPTPMGKGVVPDSHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVIELINREIKDNPFCLARSHPWVEAITKKAKDNVLKMEAQLAKDVVPFNFLTPLRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPKRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRGPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINCIIDPYAGAESGRMQHKN >DexiUA01G0006150.1:cds pep primary_assembly:Fonio_CM05836:UA:11611062:11613019:1 gene:DexiUA01G0006150 transcript:DexiUA01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFQGNSFEGPIPESLSNLTQLTSLRISDIVNGSSSLSFISNLTSLNVLILRNCRIYDNLATVNFSNLAGLTLLDLSFNNITGQVPQSVLNLDKLGFLFLGNNSISGSLPDVKSPSLNNLDFSYDQLSGSFPSWATQNNLQLILPSGLNCLQQDVPCFRGSPE >Dexi2B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:2B:69623:72015:-1 gene:Dexi2B01G0000160 transcript:Dexi2B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELASSFAAAASATASASSSSSYSAVVASAALRGWWNDVNESPQWQDGAFFSLAAAYALVSAVALIQLIRIQRRVPELGWTTQKIFHLMNFLVNGVRALVFAFHVHVFLLRTKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYIAVNSIIYVVQVCIWIYLGINDNTAVELASKIFIVAVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICVTCFLIRCVVVALSAFDPDVSLEVLDHPILDLFYYTLAEILPSALVLFVLRKLPPKRVSAQYHPIN >Dexi7A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:7A:17860710:17864434:-1 gene:Dexi7A01G0006480 transcript:Dexi7A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQAKLDSAPARARARRRSHGQKRHEAAAPGEGPAPPHGVEAGRPRRALPLLALLARRAASLLAASGTGVNAAAPSSCTWCWLAALVCEAWFTVVWLINVNAKWNPVRFDTHPERLAERTDVELPAVDMFVTTADPKLEPPIVTVNTVLSLLALDYPVARKKLACYVSDDGCSPVTCFALREAAEFAKLWVPFCKRHGVSVRAPFVYFSTGAPERGDGEFQRDWTAMKSEYEKLASRIENADEESLLRRGDGEFAEFVGAERRDHPTIIKVLWDNGKGKAGDGDGTPSLIYISREKSPRQQHHFKAGAMNVLTRVSAVLTNAPIMLNVDCDMFANNAQVALHAMCLLLGFDDEVHSGFVQAPQKFYGALKDDPFGNQLEVIYEKLGFGIAGLQGMFYGGTGCFHRRKVIYGVPPESTTDVQPTRMRGSPSYKELQKMFGSSTEFIESARSIISGEMFATAPAVDLSSRVEEAKQVSNCSYEAGTNWGQEVGWVYGSMTEDILTGQRIHAAGWRSALLNPNPPAFLGAAPTGGPASLTQYKRWATGLLEIIVSRNNPILLSISKHLEFRQCLGYLVIDVWPLRAPFELCYALLGPYCLLSNQSFLPKASEPGFIIPLALFLIYNVYNFLEYKECRLSVRAWWNNLRMQRIVSSSAWLLAFLTVVLKTLGLSETVFEVTRKDVDGDAAGDDVDPGRFTFDSSPVFVPPTALTILNLVAIAVGAWRVVVAGAGGGAGVGEFVCCGSLVLCFWPFVRGLVGGKGSYGIPWSVKIKAGLLVAAFVHFSTRS >Dexi5A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:5A:4656077:4656841:-1 gene:Dexi5A01G0006280 transcript:Dexi5A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLNDWIHPKSGMPTVSNTLSLAQRLDISVDIMDALDYLHNYCQPPIVHCDVKPANILLAEDMSARVGDFGISRILPESASKTLQNSNSMTGIRGSIGYVAPEYGEGSAVSTVGDVYSLGILLLEMFTGRSPIDDMFGETVDLHKYAEHALSGRILDIVDSTIWLRVESKDLIIRSRIKDCLVSVFRLAISCSKQQPRDRMVIRDAAAEMHAIRDAYDMFAC >Dexi5B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:5B:10082174:10082473:-1 gene:Dexi5B01G0013820 transcript:Dexi5B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRFTKEQEDALRLLTSLQDLNFESSYHLQCLPTCLPTLNLKSSLPEDGLPVSLQELDVQNCGNEELKQQCRNFILDHPGIKLISNY >Dexi2B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:2B:1406734:1407787:1 gene:Dexi2B01G0001820 transcript:Dexi2B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHYSGRALDCRHGRVLIHDTGRVLVHDAAPLAGLLVWDPITGDRHQVSFPPSHHDQDFCSFAGAVLCARHGCGGCDHLDCHDGPFLVVYVGIMVHVAHTWTTVYSSETGAWSSAQVFSSSSNNDNSYWKFNSLKPSILIGDAIYFGIMCPRLRILKYDLGGHGWLSMVDAPKLLGKVAPIDIDGRLGLVEYHRNCIYTWSRQADGVGGGWVWHNVAELETLIPTRRYSLYRDRLHDVIRFREGTDTVIFSLDNNIDRGVFTLDLKSRRVRKVSKRWDYDILPYMSFYTPVYISTK >Dexi9A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:9A:1273676:1276740:1 gene:Dexi9A01G0002400 transcript:Dexi9A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALAKSLSQLNKALVRKLNALIIRAQPPPARPPDGGRVSTLDALPASGGVAVCKVEGGLLRSSSTFPYFMLVALEAGGLLRGLLLLLLYPFLSMLGHGRAIKAMAAVCFVGLRKDTFRAGRAALPRLLLEDVSAEVFDAAFRRRVVCVSAMPRVMVEPFLREYLGVDAVVAPEMREMRGRFLGVMQGESEVLRGLDVEMVIAREKEKAGGDVVVGVGGLGSSFSQLFQKHCKEVYVPTESARRRWHALPRRRYPKPLIFHDGRIAFRPTPAATLAMFMWLPLGAALAVARIATFLLLPFSLSVPILAALGMHGRLIVSNSGAAASTTNLFACNHRSLLDPLYVAAAAGRTDLAAATYSISRLSEILSPIPTFRLTRDRAADRAAMQAKLSGDVGRGGGGLVVCPEGTTCREPYLLRFSPLFAELGRDVTPVALHSEVGMFHGTTAGGWKALDPLFLLMNPVPAYIVQFLDTISCGGGGGGPEAARAVANEMQRRIAEALGYTCTGLTRRDKYLMLAGNEGLVDNKKTAASATT >Dexi5A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:5A:19855706:19856123:-1 gene:Dexi5A01G0016960 transcript:Dexi5A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTPGPSCITGILGDLMTLTVNCALTTMDGRYTSRTPSVGPPRCDTAASLESLEGKECGDLRSGKHHPTECAATSCGEHGLVAFGYKQQAQHWTTWRDFIQNSL >Dexi2A01G0024580.1:cds pep primary_assembly:Fonio_CM05836:2A:36358071:36359474:-1 gene:Dexi2A01G0024580 transcript:Dexi2A01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPAQSAAAAAVPPAIAALPEPGRGAPAAPRRDPPAQPEGADPANAAARKTAWNVPAQPPAAAAAAAGATPGGGGGIIGGDASWPALAESARACPKSASSDSLKSLSDGSGPSQQEEDSTASSVAPHHPLVEVIPIPTGSNPTSTPPPNAAAAASSQRNGSATQLNPVWRGGSNGGNNGGGSHGNGSNGGRRAANSSGGDGSSGSGGDGNWNGGSLGTGSGCNSSNGNGSSNLGDNAPGGGAGVNGNESTRNAPGNSHWNNNMRGVGVSSSNGTASGDGNNRNNAGSSNHWNNSPQNSSGSSNGVGGRGGYLGRRDHERGGNFSPRNFPRVPVMPYQQQQQQAPGVYQPGPFHRPPPPHAAHFMPMCNLILSISHQ >Dexi3B01G0030520.1:cds pep primary_assembly:Fonio_CM05836:3B:30048154:30048453:-1 gene:Dexi3B01G0030520 transcript:Dexi3B01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTAEIASRSTAAGEGDRPRPHTGGLYRRPRTDRPSRCAWAPDTARGGTRRGLEEHRGAAMEDGYGDGDGAAARERRADGRLAPRSLAGPWPRRRGG >Dexi1B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:1B:873738:875054:-1 gene:Dexi1B01G0001060 transcript:Dexi1B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPSSPASSCSAAANHQETTTTLQQLPAGEAAGGIATTSRAEAAADADELSPPRCEWEFRLAATVPSTALPGASDAIGSVDFDPTGRLLATGGIARRIRMYHVASLLQQQGSGGGAATWAPAACICVPAKLSSVRWPSPSSVGCGDYDGVVTEYDVERGVPSWERDEHAGRRVWSLDYSPATAGSAMAASGSDDRTAHVWDPRSPSAGWATARAAAAVLCVEFDPSGSPLLAVGSADRRAVVYDVRALGRGAVARMDGHGRAVTYVRWAAGPRRVVTSAADGTHRLWEWTTSPAAAAVEAEAREVRSYSGHASARSFVGMGVWRAGGLVASGSESNHVFVYDLRWAKPIWVHPFVVGGGAHGPATAPAGSGSSLVVDDDTEAAAAGGGGRFVSAVAWRQGSDGDGGGALVAGGSDGVLKLFTCHRRDDDSSSLLLQ >Dexi1A01G0029020.1:cds pep primary_assembly:Fonio_CM05836:1A:34550170:34564777:-1 gene:Dexi1A01G0029020 transcript:Dexi1A01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRWGRGRAALRQRPAPPPTQSKYHHPLARWTLPRLRPRPTPPAMPLLFPFPAVCPCPPSVLFPPRCRLRVTLTRAAAASTAATPAPSTSTFAVEDYLVASCHLTPPQALKASKSLAHLKSGSNADAVLAFLAGLGLSPKEVAAVVASNPRILCARIDRSLAPISAELRALGLSPSQVARLAQIAGRYFLCRSFVSKVRFWLPLFGSRERLLQASDWNYWLLTSDLEKVVEPNVVFLKQCGLSSADISKLLVAAPRLVTMHPEYVQDAVRRATQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKETLGWSQEEVNLAISKAPRILVASEERLRRNAEFLLNEVGLPPQYIARRSVLLMYSLERRIVPRHLVLKALKERGLVEQDRCFFNVVAPTEEKFLEKFVAPFEESIPGLADAYESAFCLALNHHPAPLDLNPTPPAHLLPSSLLQQLLPPDACPRLLTAAAAAPTFRPPRHLLPPPPRAFVPQRSAPSPSAILAPAPPWPDRRPSPPGLAAPPSALPPPRPRPPPGRPAAASTPSKECGEHWPGNPQTRTRNPNPNLAGARRSWRRRNDEEEEVRLPSPHPATPPLLRRRPPAAAMLRLQKQLLPLHRSAYPIVHLSLQRALLSISAAAASSPGHISAEDYLVTTCGLTREDAAKTAKYLPHWKSPAKADAVLDFLTSPALGLSKAEIALLVTKDPRILSSSVDKTLRARLEGFRRHGFSTAQIRSFVRGAPFALRANIDEKLSFWMSFMGSPDKFLRIIKRNYYLVSSDLDKVVKTNIRLLQERGLSIQDIDNMCVANPRLLTSNPDTTRAILVRAGELGIPQNTNMFMQAVSTVAGLGPETIASKLKMMCKMLGCSSSEVSTMVQTNPQVLRCSKEKFQRVYEFLTKVVGVDAKYIMGRPTILMYSLERRLAPRNYVMKPAHSHRGLGHTPTRTAAKMLSLKQRLLSALRGAVLPPAASLHRLSLSTDAAAVARPAGFLVEDYLVASCGLTPAQARKASKYLTHVRSPDKPDAVRAFLADVGLSESDVAAAVVSYPMLLSGRVDGTLTPRIAQLRELGLSPPQISSLIAVAPEVLFSPVKISKLAFYLSYLGSYDRVHSALSRCCYLLRPDLDTVVRPNITFLHQCGLTDDAIGKHFLLRTRILLMEPQRLKEIAARAEEIGVPRNSVMFKHVLTILYNVNAGKVNAKFNILKKVIGCSEAELSIVRGPLWDPHHTGLVGPRRAHFWDPHHAGPVGSTAGTTYGTHTIGSHDCSGRSKPSTWPIRRPRQCGTRPPLRGRASAPPQRPLSIVQLVVPTHHAHTAIHPFLIHLIPPPATPQPPCDPAAARSRPSAPHPQTLPLSNQSQARPGQVSQARAALPEVHCRRTLRDAGMAALRLASFTLRPAAASAAASPSCAAPAPRSASFARAAALPSLRLAPPPRRRGDLARPRAAADAAAESYASALSEVATENGTLENTVADLEKLEKIFADEAVAEFFDNPTVPREEKTQLIEEIAKSSDLQPHVVNFLNVVVDNFRAAIVPQIVTEFENVYNGLTGTEVATVTSVVQLESQDLAQIAQHVQKMTGAKNVRLKTQLDPDLIAGFTVQYGRDGSNLIDMSVKKQIEEIASEFELPSVALEV >Dexi9A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:9A:4248365:4248721:-1 gene:Dexi9A01G0007310 transcript:Dexi9A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFASYLAMKTGPEAGDGSPAMKALIDADLQELGIAAQKLVNHAFVLGGGLGFGTSFLKWLAFLAAVD >DexiUA01G0022860.1:cds pep primary_assembly:Fonio_CM05836:UA:46620681:46623437:-1 gene:DexiUA01G0022860 transcript:DexiUA01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHLTEQKFSDFALHPKVIEALENKGFHNCTPIQALALPLTLAGRDVAGQAQTGTGKTMAFLTSTFHYLLSHPAIADRKVNQPRALIMAPTRELAVQIHADAEPLMQATGLKIGLAYGGDGYDKQLKVLESGVDILIGTTGRLIDYAKQNHINLGAIQVVVLDEADRMYDLGFIKDIRWLFRRMPPANQRLNMLFSATLSYRVRELAFEQMNNAEYVEVEPEQKTGHRIKEELFYPSNEEKMRLLQTLIEEEWPDRAIIFANTKHRCEDIWGHLAADGHRVGLLTGDVAQKKRLRILEEFTRGDLDILVATDVAARGLHIPAVTHVFNYDLPDDCEDYVHRIGRTGRAGASGHSISLACEEYALNLPAIETYIGHSIPVAGSIQTLTRIKRKVRLAAGLSSDNHLSPEAMERGWQCLRLFAERLQDIPHSQITVVATATLRLAVNAVDFIAKAQEILGCPVQVISGEEEARLIYQGVAHTTGGDDRRLVVDIGGASTELVTGTGAQATSLFSLSMGCVTWLERYFTDRNLGQENFDEAETAAREVLRPVMDELRYHGWKVCVGASGTVQALQEIMMAQGMDERITLAKLQQLKQRAIQCGRLEELEIEGLTLERALVFPSGLAILIAIFTELNIKCMTLAGGALREGLVYGMLHLSVDQDIRSRTLRNVQRRFLVDIDQAGRVAQLASRFADHVANAWDLDPLSRDLLLSACALHEIGLSIDFKQAPAHAAYLVRNLDLPGYTPAQKKLLATLLLNQTNAVDLSSLHQQNAVPPRVAEHMCRLLRLAILFASRRRDDLLPALNLVADDEKLSLTLPENWLDNHPLGAEMVEQECQWQSYVHWVLEVK >Dexi5A01G0027890.1:cds pep primary_assembly:Fonio_CM05836:5A:31240745:31241116:1 gene:Dexi5A01G0027890 transcript:Dexi5A01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISYSAPASSSATAHLVTFHDMVAPYSFIGAITSTRLSPGAPSPDSDPVPQLRQLPIWSGNG >Dexi2A01G0027430.1:cds pep primary_assembly:Fonio_CM05836:2A:38840360:38845407:-1 gene:Dexi2A01G0027430 transcript:Dexi2A01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPTRHLLPLVLFVLVASLRCGAEAGYGDGGEGFCSAEPSSECSGGPPLYWKVTHPTLAPAHLQDLPGFTRSVFERDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPKDVERLVFVLQGSVSLSVGTGTHSLLVDSYAYLPANTKHSMISDESTTVVIFERRYSAIEDSHTDLIVGSTDKQPLLETPGEVFQLRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDSIWMAPFVPQWYAALGKTRTRYLLYKDVNRNPLI >Dexi7B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:7B:7711337:7727645:1 gene:Dexi7B01G0003200 transcript:Dexi7B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAPPPAAEAWRPAHGLEGSAAARPGSCAPELGSRALARADDAGRGGFAGMWLFWRARNRFSLEELRYLTDQLQKVYVVNEANKDFVIEALRSIAELMIYGDQHDPSFFEYFMEKQIMGEFARILRISKLSRVSLQLLQTMGIMIQNLRNEHSIYYIFSNEHINFLITYPFDFRIDEMLSYYISFLRAISGKLNKNTISLLVKTKDDEVTSFPLYAEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDIVQHFQKQCIDLDKLVSHSLRNANSSLPLSSVEDAIVQIEDTLYYFSDVMSSGIPDLEKFITENILQVLVFRLLLPSLQRQNTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQSDCPDRKQGTPNGYTSEHDHCISENQCSSASAVEKENEDKTTSLSAVSWKQLSNHSTSSDCCQGNTPREHLLSYITVGDDSQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLEALVGEDLAERQLFSSSSGLTDDSICSDFDIYVRKLQVLDALVDLFCRPKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKVHPFICTASCPVILLLWIHTRIAVQSFQRNLEEAGVTCFFPLSKKLGGIARKLAIEASSPPKGSKSIIVPMDLYSFGGDSSLAIAERVYEMVKGFVLQHQVILFCIGETFTDQPPICPPIGLPANTRANAADLGGSVPKPGVEVNLVDAVPCRIAFERGKERIFCFLAISNGTSGWILLLEELPLKQERGTVRVMAPLAGSDPRIDEKHEKWLHLRIRPSTLPFLDSEKHKGKTKKYLVDGRWTLAFSDEQSCKAAETMVIEEMKLQQDAVGKQLQPLVEFDMPEDGLQHPQPPSHETPSDDGS >Dexi2B01G0031200.1:cds pep primary_assembly:Fonio_CM05836:2B:39354251:39355382:-1 gene:Dexi2B01G0031200 transcript:Dexi2B01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVNGPSVLGPVPFADVCGARPDPVATMPNKPDGEDDSATAASALPSGIARVLRCYQGAWLAEEWVPGIVAIQQGSFVPRRGDVVLASQPKCGTTWLKALAFATMARRAHPPSNAGHPLLRLNPHDCVPFMDMLFADGATGSRKMDALPSPRIMNTHMHHALLPASISDNPDCKIIYICREPKDMLVSLWQFTRKFDANLAFSDVFEHACEGVYFSGPIWDHVLGYWNASKKSPETVLFLKYEETLRDPVESVKKLARFVGQPLSPAEEEAGVAEDIVRICSFDKLKGLEVNKQAGSRYLFPNSSYFRRGEPGDWANHMTPEMARRLDAIMENKLQGSGLVFA >Dexi9A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:9A:10293225:10297411:1 gene:Dexi9A01G0015450 transcript:Dexi9A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLGSKPDAFKRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLARSIEKNSDQEECVIKLNDIPGGAKSFELVARFCYGVKIELSPANVVHLRCASEHLEMTEEVAEDNLIAQSEIFLNQVVLRNWKDSLIALETCDELLPRAEDLQIVKRCIESLASKATTDPNLFGWPIREQGIMQSPGGSVLWNGISTGARPRNFNADWWYDDASSLSFPMYKRLISTMESRGIRPEIIAGSLMYYAKKYLPGLNRRHSMGTVPLTATLSEAHSWLSEAEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWSTAVRENQVLKVGMDNMRMRLAELEKECSNMRQEIEKLGGRSSKGGGGGWASRVVPRRLGLKVRSQMCSAQEGSVSEQQKSMSAKLEKLQAKVTKQKKQLAADA >Dexi9A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:9A:1623059:1623480:-1 gene:Dexi9A01G0003100 transcript:Dexi9A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSLAIGKIKVKESDYSDTIERYLSSTEPDPDDDGVLRRGGNLVLKFLENEDLSGFGKVCKVKFKKVSLLRPKATRPSSREIYMICEGLR >Dexi1B01G0022570.1:cds pep primary_assembly:Fonio_CM05836:1B:28285003:28288565:-1 gene:Dexi1B01G0022570 transcript:Dexi1B01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAWRVSQRAVTSPLLGIGRSQIRSPFPTMASLSPAAASSPKRLRVYSSAAADGDGAGNGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVYRLYIAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMLLAGGEFMEDERSQSWRVQAVAVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >Dexi5B01G0037280.1:cds pep primary_assembly:Fonio_CM05836:5B:36832796:36836977:-1 gene:Dexi5B01G0037280 transcript:Dexi5B01G0037280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLSTAPGSSLFFPAVSAGAGGGGGGGDKQQAAAEAVQLQAPPQEHIAAVEKDAFGWPLWLSEAAGDALRGWAPRRADAFHKLEKIGSGTYSNVYKAIDVASGRVVALKKVRVDGVGVAESARFMAREIALLRRLGDHPNVVRLDGLVTSRLNTAPSLYLVFEYMEHDLTGLTACAAASGHRLSLPQVKCYMKQLLSGIEHCHTNGVLHRDIKSSNLLVSNDGILKIADFGLATSYDPDNMRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVLDSVQIFKLCGTPSEDYWEKMKFAHPTFKPYERCLAEKFKDVPPSALSLLETLLSIDPDMRCTASDALNSEFFRTEPYACEPSSLPRYPPCKERDVKLKYEKHKRFGPTLCTYFSCFLLFTESFNIFIIFRKSRVNGSVERHKNRQHASQNPGRRVFTPDVNNKPQANPKVPRLVTSTSTTKLERFPPPHLDASIGYSLDSTADGNTEEFFTSSVVELKKMPSIIFGHMKSYLNSPKKGMHKAKPSLNMAPSTVLIGAFRPYSLGHPMEVRRKNREQFRGKGRNVVAAVK >Dexi8B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:8B:24017311:24018944:1 gene:Dexi8B01G0013630 transcript:Dexi8B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGTADTTVPQPPPSTGKLITILSIDGGGIRGLIPATIIAFLEAKLQALKWHELDGPDARIADYFDVIAGTSTGALLTSMLAAPDKNNRPLFAAKDLNTFYLENGPKIFPQKTAGLLTPVAKLLGAVRGPKYDGVFLHNKIKSLTHDVRVADTVTNVVVPAFDVKSLQPVIFSTYEAKHEPLMNAHMSDICISTSAAPTYFPTHFFTTDDGKGGSREYHLVDGGVAANNPTMVAMSMLTKEVLRHNPDFNPGKPSDYRNYLIISIGTGSAKMAEKYTAPECAKWGLVQWLYNGGFTPIIDIFSHASSDMVDIHAAVLSEALHCEKNYLRIQDDSLMGVTSSVDIATTENMEALIGIGQELLKKPVSRVNIDTGVYEPVNGEGTNEEELSRFAKILSDERRLRQSNLYQLPVIHH >Dexi3B01G0021380.1:cds pep primary_assembly:Fonio_CM05836:3B:16236711:16238959:1 gene:Dexi3B01G0021380 transcript:Dexi3B01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIDCNICAVWPLTNMLYDKTGFVMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRQKYESTARSWTQKYAMG >Dexi5B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:5B:4138701:4141350:-1 gene:Dexi5B01G0006110 transcript:Dexi5B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAALAALLALWCCTASVAAATVEAEADRIASLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAEGVAAESAPLVLWLNGGPGCSSVGYGASEELGAFRINSDGRTLSRNPYPWNKVANMLFLDSPAGVGYSYSNTTADLYTAGDNKTAHDSYNFLVNWLERFPKYKHRDFYITGESYAGHYVPQLSQLVYRNNKGIAKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDETYEKLRLACEFDSSEHPSKECNKIYDIAEAEQGHIDAYSIYTPTCLFYWLRLFISQLFHYHRDSPKSMLPIYQELIAAGIRIWVFSGDADSVVPLTATRYSIDALSLPTVSNWYPWYDNGEVGGWCQVYKGLTLVTIRGAGHEVPLHRPRQGLKLFEHFLQDEPMPKPVHSIQTF >Dexi6A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:6A:27541093:27542716:-1 gene:Dexi6A01G0019930 transcript:Dexi6A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEDVGGAAGGRWCGGARWVLLGLALGQFVSLLITSTGFASSELARRGINAPTSQSLLNYILLALVYGCVVLYRRQPLTL >Dexi5B01G0022880.1:cds pep primary_assembly:Fonio_CM05836:5B:25157495:25160064:1 gene:Dexi5B01G0022880 transcript:Dexi5B01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSRGIRFLAVAALLVASLVGTSRAREAQPLPAAEAVGSSRRAPERHGLSLDFYAKTCPAVDQIVANVTAARYRDFPAAGPAVLRLFHHDCFVEGCDASILIAPTTTASAPKVERDMEENRNLAQEAFDTVEMAKAAVESKCPGVVSCADVLALAARDYVQQVGGPYYPVKKGRKDSKVSLAGKVRGSLPRANSTVDELLRVFAGKGLGAADLVALSGAHTVGFAHCVHVLGRIYDYRGTRRPDPLMDARLVKALRMSCPSSGGSARVVVPFDVSTPFQFDHAYYGNLQARLGLLGSDQALFLDARTRPVVQELGANKTRFFEAFVASMDRMGSIRIKKGKKGEVRKICSQHLLSA >Dexi5A01G0035940.1:cds pep primary_assembly:Fonio_CM05836:5A:37609560:37612811:1 gene:Dexi5A01G0035940 transcript:Dexi5A01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAIIYPFLQQLEGNLMDKDCNEKGWCKESGGGRKLVADDDREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVKHFLSFIDSLPLIVPDNMLLVYYDYLV >Dexi3A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:3A:13780326:13782758:1 gene:Dexi3A01G0018090 transcript:Dexi3A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLGPKSTARRRPLRLLSGNKARPPPTPKSLFLNPPPRTPAAAGGPAARTPAAADAPASRTPAAADAPNARSPAAAADAALDRLLLARSDIAGIVSQIDELISDALRCETVSKRGKQEIELFNGFLSDTNHSLKQWSSRLKQALETGPETAENVSKDNLGTCSTSVAKGDDKSIHSSLWSSRLKQALQTGPEKTETVSKDHLGYCSKSVAKGDDKLICCSSLPDTDPIASPCSNFTEADLIVSPSPLVSWRTGACMVESGKQLFQLTPLPKTKTCSSRCPTSKTQMKTATSMDQLNLPSLPVWKLTISDDDHPDVEQDMKVNEAMTVTTTPHVATANKSSLEDGLQSPCIFSIQKSMRTLPRSCLKTALSSKQQFSPIPEDVRKEGIDSNGPNQGGKRSDSSDEVSKDLATRYDIYGLNQPTRTTYRRKQAEDPLLWYFSPPKTCVLMDLSDDKPLPTPARSNMKGKHNVYDDKPAQTPAVHSNALDPSGDKPLPTPARSNMKGNHNVYDDKPIQTPAVHSKALLGTPWKGMESTNLKGRQAGETTLKRELWTRFDAASTSELHFDKSLFQKMDGNRRFLDMLEEAS >Dexi3B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:3B:4077041:4079535:1 gene:Dexi3B01G0005980 transcript:Dexi3B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWEEYTLVPKPESFSKINHPELPLSYYTGVLAWAGFFEAGKPKKGDYVFVSAASGAVGQLVGQLAKLTGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEKDLDAALRRCFPEGIDIYFENVGGPTLEAVLNNMRNHGRIPVCGMISQYNLEQPEGVHNLFQVVAKRVRMEGFMVFDYFSKYYQFEEEMAGYLKEGKISYVEDIADGQEKAPAALIGLFTGRNIGKQLVAVARE >Dexi5A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:5A:7662119:7663383:1 gene:Dexi5A01G0010080 transcript:Dexi5A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNGECRILVIGGTGRLGRHIVAASARLSHHTSALVRDTSPSDPTKAALLQSFRDLGVELVHGDLYDHASLVRPMKTTDVVISALGTSQIAGQTRLITAIKEAGCVKRFLPSEFWTDVDRTCAVEPARSAVYGAKAAIRRAVEASGVPHTYVLCGYLAGAALPSVGQVVSPTPPAGEAVVLGDGDTKVVYVAEEDVGVYTAMAAADPRAAGKMVYVKPPANTVSHNELLSMWEKKTGRGFRRAYVAEATVLKMIREAPFELGISLAHAAYILGETDFKIEPSRGVAAGELYPDVKYTTVSEYLDRLLMSTGSSERYLQGRKCLVTSVVAACCVFLCVYVWMLSGRLG >Dexi3B01G0033190.1:cds pep primary_assembly:Fonio_CM05836:3B:35527490:35530027:-1 gene:Dexi3B01G0033190 transcript:Dexi3B01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLPFLLLLALLPLPAPAAASSASDKAPVEPDSGRCLRLQHGLPPFAAALRASCPVSTEGYPAEEICGEELLGMLDGKKEYTAVLFYASWCPFSQQMRPMFDDLSSMFPRVKHLAVEQSNVMPAVFSKYAVRSLPSIIIARGSYQFWTLRSKDLDSMVKFYTVVTGQDPVAYIGPGKWTAAQSTHYAKLWNSSISEAVKREPYLAFSILFICLRIFLFFFPKFSSLIKGFWDQYFQQINLGILAKLNQLLECVPHALDVRKVWSKLRLMIGAKNARVWASSLTSMSLGGQSSPRAAVE >Dexi3B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:3B:13153355:13159467:1 gene:Dexi3B01G0017790 transcript:Dexi3B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVVAATSIARPRADVAYCIHALSRRLAKTRNWIPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLATMEEYVREAPRMVPVREPLELPERLLLTYKPEESEEIPEPAPVEEEKAPIEEPELVPPVTEVVSPPPKTEVPDTVDLLGLSDLNPVGLAIEENNALALAIVPTDGTSTTGNTAFQDKGFDPTGWELALVTAPSNTTSSASSSQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSHQHQQIPTMMQPNPFGQPIQPQMGMGPATNNPFLDAGFGPFPVANNGHQQHNPFGGAQLL >Dexi9B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:9B:8828394:8831691:1 gene:Dexi9B01G0013070 transcript:Dexi9B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPALQYNPIRRLSNDRRRSNSSRYTVGDGTEQARPPRLPKISFIERRCLLVFPAFDWYWICLEWRSIRGSSNPMGSDGKFGRAIVISFRISGPRELSGAVDLISRYRLLNHHSFFCKKPLPLAISETNYLNNVVGDTEIRKGEGMELDQLFQNSYPSEKTAYIQPFDMETLGHAFQLRETAPVDLPSAEKGIPTISGKPKIKSKDKVKKHKKHKEKDRDKEKEQKKHKHRHKDRSKDKDKDKEKKKDKGVNHESGEEEARSNWKFG >Dexi4B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:4B:658020:661370:-1 gene:Dexi4B01G0001040 transcript:Dexi4B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALEKEDKEKAAAAAATKDKAAVPKNGGGNGGGKNGGNGGGNNGGAPPQSGEETAREIQVVREAYRRETAAPAYVIPEEPPAMVESVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPSSDFTPDPKYVVKGATCSIHEMSMLTRHSIAIDGSRMSPLGWSGLSWAIGILIVAPILTQTAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIAGSIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLSLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLLRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGILYSFGRILLLDASPPGKEGAFAVWYAFVRCTGAMIGYAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMDDEKRMGGLGMEKGEGMGSAVADSGEGRGR >Dexi9B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:9B:10980393:10982505:-1 gene:Dexi9B01G0016030 transcript:Dexi9B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDFLRFASVNLDHLTETFNMSFYMTYLARWPDYFHSAVSPGGRIMDMRKALSQDVEKKSIIPLKRPITPDELEYD >Dexi6A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:6A:3413056:3414592:1 gene:Dexi6A01G0003660 transcript:Dexi6A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAHSLQPSTVVIEVHCLEPMPGRGAVDRQRWAWQRAPGATTVMSGMLGTEANKDTATSSTRGFEEPRSEDENSGRNSRRAQAAAARSRTPHKSKDDQQGRSERKKAEPPLRTQA >Dexi9A01G0043670.1:cds pep primary_assembly:Fonio_CM05836:9A:47071979:47073176:1 gene:Dexi9A01G0043670 transcript:Dexi9A01G0043670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPTDGDEPLATVGYLWRNVLTVHGNPVRRYIDYSNAIDCLYHGHRGAKNWELIHQGYVNAWDARAGNIVHGGALHREGGYARYLDWLKENTRLKLKVAMVGHQIEDLPSDPEDVFDEYDEMTRKGTQPERGPLQDYIGQQVGRFANESLAALSVPIGDLKEASRLRAFVQRFHRGCRKIAFKLNCMASRDPHEHGAPSSSGTRAASTSRSSGT >Dexi1B01G0029350.1:cds pep primary_assembly:Fonio_CM05836:1B:33679092:33679400:-1 gene:Dexi1B01G0029350 transcript:Dexi1B01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAAAAEDEDDELASMEEKPAAAGTTTRDLELSCYLAATASAAEGFRMGGFHWLRPGAASRAPEPPRSSGLVVVGYSGDRASPSVSSRGLPGP >Dexi8B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:8B:1168:2382:1 gene:Dexi8B01G0000010 transcript:Dexi8B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWLRRFPHDRRKSSNNAGGGGGGRRAASSTSWRNKNNSSFTARIIRCASSVVDRRRQYQDEEEEDELKLPSSPPPPGDEEADEVSALQAFSFRELAEAAGSFRQEQLIGEGGFGRVYKARLRLRLGEEEEEVAVKQLDREGAQGNGEFVVEVVMLSMLHHPNLVNLVGYCAEGDQRLLVYEYMALGSLEAHLHHDQGLLPWGRRMQIAGGAARGLEYLHDRGVIFRDLKPSNILLHADYTPRLSDFGLARLLPPPSSSSSSSSSSNNGNGKVMGTYGYCAPEYLRTGKLSAKSDVYSFGVVLLELITGRRAIDVGRPDGEQSLVGWAAPMFGDPGRLPELVDPRLVMAMQGPPLSELKQAVGVAAMCLQERHALRPVMADVVTALAFLATDHAASPPTC >Dexi9A01G0035510.1:cds pep primary_assembly:Fonio_CM05836:9A:40171957:40178660:1 gene:Dexi9A01G0035510 transcript:Dexi9A01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSVRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANISTLSSVYHKPPESFVSRVKAAPRADDEEFADTGETGYSESPSQGVDGASPSSSVGTSSNVPVKHPGAASPPAAAMPDLLGDLMGMDNAIVPVDEPAAPSGPPLPVLLPSTTGQGLQISAQLTRRDGQIYYDISFENGTQSVLDGFMIQFNKNTFGLAAGEALKVAPLQPGESARTLLQMVPFQNISPGAPNSLLQVAVKNNQQPVWYFNDKIPLHVFFVEDGKMERTSFLEGWKSLPDDHEFTKEFPGSVISSIDATVERLAASNVFFIAKRKNANMDVLYLSAKMPRGIPFLIEITAVVGVPGVKCAVKTANKEMVPLFFESMETLTK >Dexi3A01G0035740.1:cds pep primary_assembly:Fonio_CM05836:3A:41015501:41018782:1 gene:Dexi3A01G0035740 transcript:Dexi3A01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLLVGTLLNTGHTTHAAPISSPPASQITMDEHALLSFRALITGDPHRVLTSWTAGNGSNANMTGFCSWRGVGCHSRRHPGRVTSLELWSSNLTGTISPFLSNLTFLSTLNISHNSLSGNIPWELGLLPRLLYLDLRHNFLQGMIPGSLARASKLRILQLEYNGLVGNIPANLSNLQELEVLDVGSNQLSGAIPPSLGSLSKLTYLGLYLNNLSVGVPASLGNLSLLVDLFADTNKLSGQIPDSLGRLTKLKSLDLAYNQLSGTIPASLFNISSVATFELSGNSALSGVLPIDIGATLPNLQNMIMNGCQLNGRIPRSIGNASQLRYIQLDDNELEGTVPLEVGSLKDLEVLTLGNNKLEDKWGSDWELMQSLSNCSKLFSLSLDSNNFQDVLPPSIVNLSNTMQNLHLAHNKFHGAISSDIWKLSNLAILSLRGPVPVNGVFGNATGFFIGGNNVCGGIPSLQLPQCPAKESGVEKKRPGRVVAIKVIDLQQHGAENSFLAECRALRSIRHRNLVKVVTACSSVDHQGNDFKALVYEFMPNGDLDKWLHQSFATQDDAPKTRRLTMSQRVSIALDVAEALEYLHHHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLARFVRKVVSNSTEESSTSIGIKGTIGYIAPEYGMDGNVSIQGDVYSYGVLLLELFTGKRPTDGSFQGGQTLQGYVAACYPDRIMEIVDPTLLSVDNGCFSKRDICCNDIGAEKMHECMVPIFRVGLQCSQESSRARMHIRNAIRELEAVKDVLLMNDRDWL >Dexi9B01G0036520.1:cds pep primary_assembly:Fonio_CM05836:9B:38008341:38022610:-1 gene:Dexi9B01G0036520 transcript:Dexi9B01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLELGSVCPSELAGATTVEAPPPRRITEGMARAGTLLGRGANSRREISMVDWWGKESITRVEKKFAVVGVHSAKFDNEKDLDAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEEKNLLRNVSTNMVVTNLEGKFICQVGSSDEGLLDGPFDAALFNRPQLSEPAGLVVIGEGRILVADTNNNAIRYITLSEKGADVKTLDLIGVQPPSPKPKTLKRLRRRLSVDTDVLNVDGGSSMEGFLSLAITVPDGYHFSKEARSKFDVETEPANAIEIEPANGFLNSEGLASLKFKRISSSPSMGRINCKVYYCKEDEVCLYQSVAFDVKFNGGAADADPSAAQIALSYSVTPRENCAGPKC >Dexi3B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:3B:14615177:14618800:-1 gene:Dexi3B01G0019610 transcript:Dexi3B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLRHRRRLFPEARTAAAAAFPSSRAASFSSYSRGASSHASATAAAAAAAASESSAGAGEQSAPPPPPAARGRWGLLKFGALAAVAGAIGGVGYATYGAIPRSLVAISPPRAFSYSLPEIDAKTREFRKNPAPPIPEDASAFEKYKAMAYSTAVEVSVKAIELYLDVRSTIEDHVRGFTEPTSDKLLPDLLPQEEHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFLEHMSKFYEVVVYSDQPPMYVEPVFERLNSRGTIQHRLSRPATKYVDGKHYRDLSKLNRKPQQVIYLSAHALETCLQPENCVEIKPFKLENNDTQLLDLIPFLEYVAMARPTDIRTVLVSYQGHDVAAEFIERSKEHQRYTNMVVLFKFSLPDICECSSH >Dexi6B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:6B:23332578:23333099:1 gene:Dexi6B01G0016040 transcript:Dexi6B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEEHNASYPSMMLRDSYEPAANHGLAYSREAYDTRECGHMKDLLKNFGHQNSTVHQSSPDPCARVSNDHQMNGYTTLHSLRNAESSSDMLNLGARIYPPHNNFSEHKYHDSMKLEAPPGKPVTEVEAARQFAYTYVRRKPHKRSTLVVEETVSPCALDSMTNMKAKAIKL >Dexi8B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:8B:2047245:2051766:-1 gene:Dexi8B01G0002910 transcript:Dexi8B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMVAVPDDDEQVTEHRASTFADLGVCPELVDACDALGWKQPTKIQVEAIPYALKGMDLIALAQTGSGKTAAFALPILQALLENPRPFFACVLSPTRELAIQIAEQFEGLGAAIGLVLDEADVLLDMEFEKSLDDILKIIPKERRTFLFSATMTDKVKKLQRACLRNPVKVLKVSSKYSTVDTLREEFYLVPSDDKDCCLVYILNKVPGSMVMIFTDTCTTTRLLALMLRNLGFEAIFINGKMSQDKRLGALNRFKSKACNIIVCTDVASRGLDIRGVDVVINYDIPSPKSYVHRVGRTARAGRSGYAVSLVNQYEARQFKDIEKHLGKEISKREVDDCELMILKECVCDSRRIIKAKGDGWKRPRSMRDDEDDEMEGHDRAARRSGLSMNRYRRVKHK >Dexi4B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:4B:2020079:2020985:-1 gene:Dexi4B01G0003020 transcript:Dexi4B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASLDQKLALAKRCSREATLAGAKAAAIATIASAVPTLASVRMLPWARANINPTGQALIISTVAGMAYFLAADKKILSLARRHSFENAPQHLKNTSYQGTGRPHPAFFRP >Dexi3A01G0034110.1:cds pep primary_assembly:Fonio_CM05836:3A:39396915:39398231:1 gene:Dexi3A01G0034110 transcript:Dexi3A01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRRPTPPSPAPAPAPESLSSDVSSPPSPYAYVPFASPAPAPGPSAGPGPAPEPSVKALASGGGGRRGGGSLSPPLIAMLAVVGAALLVVLYARLVSRVFRAARRRWRRRRLRLLMFPPAGSSATSSPSGRDDSFASFTTYDNYYHTFSPYCGLDDAAIKSLPTAPYYLSSTGGAAARGSGASRECAVCLLEFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVPSPLHRAARRGGCVVRPSLDDLLFFHPVPPDTAAVPTEIAPASPDQLAGGARHFLLKRSYSFGFERSLAVEAASTASPPWRYRISSSAAAAATDGGGTSRGRSFWSKRWPSPFGGGAGASAAARVFSFRSYRSAAAAAAAKSSPFSRRRGHGGGSGFFMSLASEPPSILATSRRGRVAASSRLRCGDPEALVSPDRLSR >Dexi5A01G0023200.1:cds pep primary_assembly:Fonio_CM05836:5A:27261264:27263948:1 gene:Dexi5A01G0023200 transcript:Dexi5A01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHSHQVLFLLVLLPAATAVEYSSLCRSPAAAHDTGVRVSPLSLPWISTGHFSGSGAGDLNFAPGRYDKHAFTFSPRPSSATATDDPSTTHLSATLTLRGTRLTRRHGPRHHSVSFDLQGYYYHSTNATAAELCMVGSGSYAREDGSGVVVLSDVVLHLSVPSPSSLSKPFVTGSLKGAEFNHTALVAYAEDDYAYGQSGSCPESSPRGGGAREVLHDGWFSCRHLRAVIGSSYSVEYMPIDGSSGGGFPLRQRHGSMYVNQMRCDTNGAVRAYLVFFANQEDDAFPSTSYHTARRRWRRGFLVGDEALVADGFWDSSRNRLCLKACRVVVRSGESGGEEQLAVGECGIGVSFWFPAVWSIHDRSVATGMIWNASSNSDGNTSAGVISVSRTAWSYVDRLSGITYNYTRVEEAKKHYDSMSKLGKETTKGSRFPGNYSYRDFAFGFSLKEQGFAGFGYASPVTIGSALVEGQELRADAAFEAQHVNKQRLLNVSYSMQYHAANSPQLRRISAEGVYDTKNGTLCMVACQVIGNVSSDCEVLVTVQFAPMGGVARERAVGTISSLRNQSDPLFFKALEFIGDAGMSVNDRERSSSRMDMESVMLLASMVLSCLFTGLQLRHVKHHPEALPATSVTMLVVLALGNVIPLVLGLQDMYRDSLNLFVKLTSGGALEINEFMQRVSTLLALVLQLRLLQLALSRRSADQAAVKPEDSSSLSSSSLSSADAERSTLWICLPLYALGAITVFIGHLSDGHIGSFALPGPGIVDDLVAYAGLVLDGFLLPQVVWNAVAGSTPAVRALSPWFYVGGTVIRAAPHAYDAFRKRSYVPSRRASSVYASPRDGLFGVGWDVVVQCVVALLAVLVFLQQRLGGAFLCCIKRRRPGGYEMVSTPTS >Dexi9B01G0042370.1:cds pep primary_assembly:Fonio_CM05836:9B:42618247:42619863:-1 gene:Dexi9B01G0042370 transcript:Dexi9B01G0042370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQPQQGSSGTVFKNVWTNISAQRLAGMQASKITPNILQSMMFPNNAATSTNLWNSQKSDDQGQKAATPSDIATSSANSQNQDSKQAVDSDAGLASQKANFESTGATVSGGNESLQKPSSDGNFVNAVSSFTQLRQQGIMGTKHGENPGANFKAMNASHNGANSSGGVVLHGNPAPPNIQHQNFSLLHQMQALRHTDIDPGSSIGKTMRPDVGSDASQVDWKSGQRFAHVANNSTKSSAENIGSPGVPGSFPSDMKMLSFASRSEERNPNIPSQLSSGERPSHDLATAQNDNQNHQPVGTSAGSNSVERTERPRINPQMAPSWFGHYGSYRNGQSVAMLNAQKATALPYNFPKASWNNDSNNSTENRVESGQSVRPGHHLPPTKVDALVPSNVKISSMIRRPKKRKAMESVLVSWHKIIESPQKLRSISTSEMDWAWAANRLIEKKL >Dexi7A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:7A:18248185:18252688:1 gene:Dexi7A01G0006870 transcript:Dexi7A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVMDAAPDAPPQAVVLVSAGASHSVALLTGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGITSVICGADHTTAYSEEDLQVYSWGWGDFGRLGHGNSTDVFTPQPVKALQGLKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLLPEKVSSVEGERMVLVACGWRHTITVSDSGNLYTYGWSKYGQLGHGDFEDHLVPHKLDALKDSTISQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGNNDDHCSPVQVHFPEEQKISQVACGWRHTLALSEKKNVFSWGRGTSGQLGNGEIVDRNTPVLIDALSPDGSGCKKLESSTAAPFTAKVWVSPSKRYAIVPDENVPKSGEGTARGNGADANVPENDVKRMRMQS >DexiUA01G0012410.1:cds pep primary_assembly:Fonio_CM05836:UA:25102433:25105465:1 gene:DexiUA01G0012410 transcript:DexiUA01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSYEEWCSSAMAIMVKASMFFISGDDGRRRQIDDRKLTKVDPRRHGKRPLPADEEEEDQPPPPPPPPPPKHEPQQLVESPSLEEQYRHVSPQLQQGATFSGGGGSSSSSVAGAPPPEASYAQYYYSARADHDATAVASALAHVIRASPDQQQLTPQQASFYGGAPAGHQQAPPHAGASAGEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGLPHRHGHQPSMAPPPSMPPPPHRQHHQTVVPYPDLMQYAQLLHGGGEHGEAIAAAQAQAQLMMMARGAAGGVNLPFGGAAFSPSSSSSSAPPQLLMDFSTQQLIRPGGTPSSPAAAAVAPSTPPGSSTTTAAAASPGGGGGGAWPYGGEHQRRNKKDA >Dexi4A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:4A:1042682:1044580:-1 gene:Dexi4A01G0001610 transcript:Dexi4A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDILPYGFSKRSNRGDGGAAAAVPRVAAGDGAATCSFRAHPPPPVTQAVSWGAKPEPGNGATAATAAGVWERTRAVKRAHDEVAAAEEYCAAGPVVRAKRTRMAGDVDEVWFHQPIAGTVQAAGGNSHGGEEEPEEEKVFLVPSAAAFPHAAGPSLAAAKKEEFSKSPSNSPASSGGTDGGSSAPPPPELLQSRNGVPAAAHGDAGREAMELVVSLTACADSLTARNHDATNYYLARLGEMASPAGPTPMHRVAAYFAEALALRVVRTWPHLFDITPPRDLTDAVAGDDDDAMALRILNAITPIPRFLHFTLNERMLRAFDGHDRVHVIDFDIKQGLQWPGLLQSLAARSTPPAHVRITGVGESRQELQETGARLGRVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGERVAVNCILAAHRLLRDDDAGGAALADFLGLARSTGAAVLLLGEHEDALNSGPWEARFARALRYYAAAFDAVSAAGLPDASAARVKAEEMFAREIRNAVAFDAGDRFERHESFAGWRRRMEEGGFQNAGIGEREVMQGRMIARMFAAGNSYSVHGQGNGEGLTLRWMDQPMYTVSAWTPVGDGGGGGSTVSASVSTTASHHSQQS >Dexi3B01G0034270.1:cds pep primary_assembly:Fonio_CM05836:3B:37016474:37020313:1 gene:Dexi3B01G0034270 transcript:Dexi3B01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAATSFAAAAAVAAPTAPCRGRLRLPLRGAPRAPHRAVVSMAVSAPKSAAAASFLERRESGRALHFVKYQGLGNDFIMVDNRDSSVPKVSPEEAAKLCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFTIHTGAGLIIPEIQSDGQVKVDMGEPILSGPDIPTKLLATKNKAVVQAELEVEGLTWQVTCVSMGNPHCVTFGAKDLKQDLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERV >Dexi9A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:9A:8519232:8525915:1 gene:Dexi9A01G0013290 transcript:Dexi9A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGGGGRRGGRGDQGGGRGGGGGGGAPGGQSGRTADLGSGGHHASAASGGGGGRGDRGGATVQRGGHFQPPVQPAAAAGRGGGYAGVAQGRGQQQATALAQGPTQRGGHPFQPPHPAAGTGRGGYPAVAQGRGQQVAVPTPAEVEALRRQVERKAVVSPAPLGMRGGPSPFPGHGQAPPPASGLAPATPARPQMQAKATGQVAVPTPGPAGSSSSSARAPAPGETPPPAARVEIMQGKAPGQATQMAMATPAGSLPPVSSKAVVLASRPGYGTVGRRCRVRANHAQVYLDAKDIYHYDVVITPESASRARNRWIIKELVELHKQYLGGRLPVYDGRKSLFTAGPLPFKDREFVLMLTNPERANLGTKEYKVAIKDAAKLDMYSLQQFLAGRQREMPQEIIQALDIALREYPASSISSISDISATAFYKSQPIVDFVVEYLNIRDTSKRLSDQERIKLKKALKGVRVETTHRRDMSIRYKITGLTSTPLNDLMYFIYYIAVCVCNIAKGQRYSSKLNEHQVRNILTLACERPADRERRTSQVLENNNYAADDYAKEFGIKVNHQLTLVDARVLPAPKLKYHDSGKETTCNPSIGQWNMINKKMVNGGSIKYWACLTFTSRIHPNEIGRFCEDLAMMCNSIGMQMSSQPCIQIKKAHHGNVEAAIRGIHGESVQVLSQQGLTGQQLELLIIILPDMSGSYGMIKRLCETELGLITQCCAPRNIMKGGKQLLENLALKINAKVGGRNTVLEDALNRRIPLLTDVPTIIFGADVTHPSPGEASSPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIIDALFTEVRDPVKGLVRGGMIRDLLISFRKSTGLRRERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPRVTFVVVQKRHHTRLFPENHRARDQTDKSGNILPGTVVDTKICHPSEFNFYLCSHSGIKGTSRPAHYHVLIDENGFTADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARYYLEDDNSDQGSSTGTSRTHDQSVPVKQLPRIKENVQKFMFYC >Dexi2B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:2B:568592:568984:1 gene:Dexi2B01G0000960 transcript:Dexi2B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGILSIRDQDYGETQASEELVARISDDISVDMCNELFDTKEQSCFQAAVYTWDDYMDMDYIFNHIDHEMIKVDSEIHMDMMWDETTALGYLDEAYGSSEIKTVLVKEEVDLIEMVAATQKYGEAEKN >Dexi9B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:9B:1644949:1646277:-1 gene:Dexi9B01G0002950 transcript:Dexi9B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAPDPPQADRLHRRRRGAPSCRCSPDAPPLKVTKLPLRSPGVSARAAMEALSDDVLLRVLERVDSHVSLVHAMTVCKRWRRAIAGSDAGAGFLRRYRSVHAPTVPGHYYNSSTGPVFSPSSPSTVDAHRFSLDFLPGGAGSWTVVDSRGSLLLLWSSRRIGFPDMVVCEPLTRRFEIIPPPAEHINEGCSYLIDGIALETGGRISMSNFRVLCLFCCDGAMRTAMFTAGFLWGEPNICHAVPNLHSTIIMGPAGGKWYFCFRGSILVELDGSTGDFTSSVFPDIGVMDFDMETCNFFVTEFRDGKPRIITEVNSTVEVFGRLDSGEWALEKSILLWEATSGLPGYKDRFFSHYQEILTRGIGFIILSPQFAEPCPYSIDLETMEAKEATADVGPRVYRSELPWPPALHAHLD >Dexi5A01G0023030.1:cds pep primary_assembly:Fonio_CM05836:5A:27124683:27129580:1 gene:Dexi5A01G0023030 transcript:Dexi5A01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLNASMWLFNLGGCGTLLPTPQANSSNGRGFMVPGRSLSVLSLRWGLASKRSRVLDSRTDGAVTGGGAGGGSSELRHIEKELTFSPTFTDYVKIMESVKLNRSKNLRGGDPDDRSSRRRFTGDGDRRAPGRSGDARKKPFERNQGPRKDSCDRGRGVKSAKDENEDVTGFVERRATGDVKYSHRGQGEVEGYVQRRIIRCDTRGNGGNGQLSSLVKAKDTSGSMFDHQSLRNKQAKAVAGRELEGQVTRTPPGLPNKSISSNNIKFQMGKEDFTSTDSSIDFKYTNESAFSNTEVNADSKVQRHQQTVESSRRNFEVRRFGAIDIDSKKPTVSKQYLDVQPTQVHDSYSSDRLKTNKPRNNQMQRGANVNMGKFVRRDTEATFVDDRAAFKTFEVFTDVRDRPRILRMEMEERIQKLASQYIYTTVLDVLGKAKRPIEALNVFYTMQNQLSSYPDMAAYHCIAVTLGQAGLVKELFGVIDCMRSPPRKKFKLDPLHKWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKVEKLSIPGALNYKVLVNALWREGKIDEAVMAVKGMESRGIVGSASLYYDLARCLCSVGRCKEALLQVEKICKVANKPLVVTFTGLIQACIDNGSMENANYIFNKMCNYCSPNTVTCNIMMKSFVEHGMLEDAKDLLQDILNGRIRSKADPSQTATADKFTFNTFMEACAQAQRWDDFEYAFREMLSRRYHFDERRHLRMVLDAYRNGKEQLLDDLWRYLCHHNRAPPAPVIMERFCLKLVQGDTMAAISCVSRFQEGKISNTSSMSWLHLLNRNADRLKEEHVTKLVHELDDFVSSRSSSDNISLYQKIQSSCTTFLSGATVVEKAPSNRQMAVASHHS >Dexi9A01G0026340.1:cds pep primary_assembly:Fonio_CM05836:9A:29192480:29193340:1 gene:Dexi9A01G0026340 transcript:Dexi9A01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVSSLVLLLLLAIPSATSATTPASNSSADVLLSFLAAMPLASQRLLLPSWNTSAGGMGNGNGPHCAFLGVTCSATGAVAALNLSGMGLSGDLAASAPLLCSLPELAVLDLTGNNFTGTVPPALASCSALSALVLGQNGLSGALPPELLSSHQLRKIDLNSNALDGEIPAPSAGGLPLLQYLDLSNNSFSGEIPLELTALPALSLLDLSSNKLSGPVPDFPEHCVLEVLCVYTNMITGELPHSLGNCGNLTKLLVSSNKISGSLPDFRLPSRFLRLHATPAKAVP >Dexi7A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:7A:10665809:10666096:1 gene:Dexi7A01G0002460 transcript:Dexi7A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASAAAATLKLAAIAACILLALLSLAPRPVAANPCTDACHDPCNKFADGFCGVPDPSKTCYTAVNTCHEQAFDVCTTACYNSCTSREIVSCI >Dexi9A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:9A:2201229:2204660:-1 gene:Dexi9A01G0004200 transcript:Dexi9A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAATMGNCCGTPKTQGGSNRRKKPKAPKANPYNVAYNRGAPPPARPGLVVLRDPTGRDLGAHYELGGELGRGEFGITYLCTELSTGARYACKSISKRKLRTPVDVEDVRREVDIMRHMPPHPNIVSLRAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLFANKKESSALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFRREPWPRVSEPAKDLVRRMLDPNPNTRFTAAQVLEHPWLHDSKKNPDIPLGDAVKARLQQFSAMNKLKKKALRVIAEHLSVEEAADIKNLFDGMDVNKNGKLTFEEFKAGLRKLGNQMPDSDLRILMDAADVDKNGTLDYAEFVTVSVHVRKIGNDEHIQKAFTYFDRNKSGYIEIEELKDALADELEGTTGEDIINGIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSIGAETR >Dexi4B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:4B:4890242:4893014:1 gene:Dexi4B01G0007050 transcript:Dexi4B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDILNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEKAKNINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Dexi6A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:6A:18292528:18299315:-1 gene:Dexi6A01G0012070 transcript:Dexi6A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRLRPASPVTLPDKDNDDLLSEILLRLPPQPSSLPRASLVCKRWRRLRFRPPLGGEGGWEPNKWRIFGCRHGHVLLYNRKQKEIVLWNPPTGDHRHVAVPPEYDREERMIWNGAVLCTAAGDRSHVHGSFSSCPIKAALKSHKLRKIFGQRLWHKVILGYADDINVVLLWIDSSIYVLQLDSLQFTKLWKTNVISRNHPYATIYDSDPPPPPAAAVLAPRASLVCKRWCRLVAEPHFRRRLRARHQSPPVIGIFEDHTGYPFFRSVMDPPDHIPTERFYPRFRDGNADWDKWRIYGCRHGRVLLHNKKQNDIVVWDPLTGDHRVVAIPLEFDSEEKRIWNGAVLCAAADNPSHMHGGFSSCPFKVVLVGVTSDHTQMFVCSYSSETGNWSDLVSATIPFTVYCVSDPGTLMGNALYWKPGGVGLAILKFNLDTLGLDVIEWPSSVTVLGRGSSQILLAEDVSLGLAILSDGSLQIWKRKVCSECVTEWVLQKTHSLDKIHGMYPGTEIIIGYAEDANVMLLWTRFGVQMVQLDSMQSTKLWETNIVYYGHHPYTTIYDST >Dexi3B01G0036200.1:cds pep primary_assembly:Fonio_CM05836:3B:39077455:39079681:1 gene:Dexi3B01G0036200 transcript:Dexi3B01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVDALSNRGTPLHLAAANGYDQAVKMLLEHGADAGADVNFVGGSGQSVLMTAVEFGFIDTVKFLVEAGADPNIAGEMLNIAKCCAHVGQRHGTVRVQL >Dexi3A01G0025240.1:cds pep primary_assembly:Fonio_CM05836:3A:20936341:20941492:1 gene:Dexi3A01G0025240 transcript:Dexi3A01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSLSSSSVAARDPRPIPSLPAAAGFLVSRESTPVPNPSPYFLCRLLRRPNDSVDPRIRVCKRRMSTQAQPRFAPLPTEQSESQADAGAAAAGYQFRLVSYNILAQELDEYDAFYKKNLESSGYSSIYIQRSGDKRDGCGMPGDDDKQKAPQQDDSMLQQILLRLESLATDVAVLPAITARLEALEARQPPPPPSATLPEGFPYGMPGFGSTATGVSSSAAGAPTAAAAMTTSVGVVTSPLPITSIQFPKSAELVQKEGIHYNDLVEKYVPNDHVNSASPNNSSQEEDTNSKQHNNKRGDPNDPRGPRMD >Dexi7B01G0023190.1:cds pep primary_assembly:Fonio_CM05836:7B:27840414:27841769:1 gene:Dexi7B01G0023190 transcript:Dexi7B01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHAAVVALLVLAAAHVALCGSDRAGVVAHGGGNAPPAANVTGAASSAPAPAPSSASLAACGCGPAPAPWQFLNQKLAALWPVIQAFKKTITCDPHGVTATWEGPELCSSYFNGTKYKGFYCDFPPNAPTTLTVASIDFNGFGLCAPSLAGFIDQFPDLALFHANSNNFSGDVPDLTHLPYFYELDLSNNNFSGSFPDTVVPLGGLLFLDLRFNKYAGAVPPPVFDLTVEALFLNNNGFNGRIPDSFGSTGAKYLVVANNQFTGPIPRSIYNTSATLSEVLFLNNQLSGCLPYEIGLVEGLVVFDAGGNEVMGPIPLSFGCLSDVEELNLAGNQLYGQVPDVVCLLAKTGKLQNLSLSDNFFHSVGHHCMELVRSRVLDVRRNCILGFPDQRPPIECAAFYADPSKHCPFIPHIPCELPGYHHPHAAKADVAALPAAAHGHGQTQEGGN >Dexi6B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:6B:8542097:8543191:1 gene:Dexi6B01G0007360 transcript:Dexi6B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPRPPWRKTASRLIVEIDRCTHTLEVASYSLHKGTTAGTSLPSATFAAGGHDWCLAFFPNGDDTTTEEGKDHVSVHLKLVSKATGKVTVAMELKLVNQITGISSTGVTYRSTVVFESKTLTAGAKFNKSELEVMPYLKDDRVVIECNLRVPIEMVQGSSTVCEIQVPPSDLSGDLGKLLDCEEGKDVAFDVAGEIIRAHRIVLAVRSPVFKAELYGPMSDTGEGIVITVDDMEPVVFRALLRFIYTDSLPGMDDLDGEEVSEMIKHLLVAADRYAMERMKLLCESILCKRLDVESAGITLALADQHSCAKLKDVCIEFINSLGRNRTVEKFIASQGYGHLKRACPALFVDMWEKVAKSRRI >Dexi5A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:5A:1202593:1203312:-1 gene:Dexi5A01G0001690 transcript:Dexi5A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPSPSPPAARLLIVLISPTSLALLASSPPPLHASLLLPDLPLQPHAPVRVYLHPSGALLAAAHGAVPAHHARAAARALVSRLQPEEVLVLDEVRSESYRGRLAADEPVEGKLETRAARRQGGVGAARAVAALAPPGSVVDGLGAAVLAECEIRGKAASMVVTWPAAARPAEFAVMRRVAAELGVDIAKITARVSGRAELDALYT >Dexi7A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:7A:154476:154688:-1 gene:Dexi7A01G0000080 transcript:Dexi7A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAPCQQRPQEKDGAAATGGGQRQGGQLAPAAAAAPGDRRRKGPPTAAVAAAPGGHLRTAAAAVGEQ >Dexi4B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:4B:8683355:8687196:1 gene:Dexi4B01G0011280 transcript:Dexi4B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPSRSWGQPAGDGDWQQDRSRRPFGAVLITVPDGTGWPLPASKGDGLEAALLDHAGAPLELEDGERARPRGHAFSPWRAALAVLALAALAAAGYVWLYAGGDAGVAWRRLLEAREGEDRDVRRSFLLPLYPKPRRSGGGAPENLTAGNVPPTTGLYYTTVSIGNPPRDYFLDVDTGSDITWIQCDTPCRSCAKGAHPSYRPAQANIVPASDPLCERVQSDPDQCNYDINYADRSSSTGVYVRDNMQLISEDGEKENVDIVFGCGYDQQGILLDSLQNTDGMVGLGSRAISLPTQLASRGIISNVFGHCMTTDPSGGGYLFLGDDYIPRWGMTWVPVRNGPVDNIRKAQLQQVNHGDQHLNVQGKLTQVIFDSGSTHTYFPHEAYINLITALKGASPRFVQDDSDKTMPFCLKADFSVRSVDDVKHFFKPLSLQFEKQFFFSRTLNIRPEDYLIISDKGNVCLGVFDGTAIGYDSVIILGDVLLRGKLIAYDNDQNQIGWIDSDCTGPSKQSRIPFFLRRVLHKHLL >Dexi7B01G0022690.1:cds pep primary_assembly:Fonio_CM05836:7B:27545776:27547238:-1 gene:Dexi7B01G0022690 transcript:Dexi7B01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVGGGRSVVGGGAGSGPAGTGTAACRASGSRWTPTPEQIRILKELYYGCGIRSPNSEQIQRITAMLRQHGKIEGKNVFYWFQNHKARERQKRRLTNLDVNVVPAADIGALALSSPSSGAPPPSSPLGSYAGNGGGGGVSALQLDTSSDWGSSTAMATETCFLQDYMGVTRSTGVQHGINTGAAASPWAPCFSSWTAVEVTPTTTTREPETLPLFPTGDSIHPTRPRHGAPAGAGDAIRGGHLPFW >Dexi5A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:5A:10049065:10050884:-1 gene:Dexi5A01G0013380 transcript:Dexi5A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGGRHGRVVLPCLAVVVVALLAAAGPRGAEAYKNYTVGDDKGWYDDLTLPGVDYQAWADDIKNFSLGDFLIFNTDKNHSVVETRNGTLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGRTYFFSGNYDGEQCENGQRFAIDVAHGQGLPPDLRPPAAEAPAPSAAADGGAAVLDFSHPKNVTTPSADDDLRGDATSGSGRTAVSPGSGIAVMTALAAALFAV >Dexi9B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:9B:12429934:12434366:1 gene:Dexi9B01G0017680 transcript:Dexi9B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRLKETLHACSSSSSQPQSQPRSPPPPALLPRRPPKTSLSQQLLRLEAAAASSSSYSSRIAQLPPVPRPPIEKPREDDEPLSSSEEEDERRTRPISRPRPPPLPAAALEHRGPFEPLVLSPPEERPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDIDNPDGCPMCPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAAAVFDTDIDLVGGSAKSENFMGLSDAEHCGKMRALERLLSLWTQQGDKILLFSYSVRFSFIYALTIY >Dexi3B01G0010950.2:cds pep primary_assembly:Fonio_CM05836:3B:7704453:7704818:-1 gene:Dexi3B01G0010950 transcript:Dexi3B01G0010950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTFAVRRHDPELIGPAAPTPRETKRLSDIDHQGTRMHMPMAFFYCGGHRGSDDPAAVIRRALGEALVAGKLVVDCTGERVLFVEADADVRLAELEAETGGVKEPLP >Dexi3B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:3B:7703343:7704452:-1 gene:Dexi3B01G0010950 transcript:Dexi3B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLFDVEGSSGFFNCPMMLIQVTRLLCGGFVFALRIDHALCDAAGLAQFISAVAELARGLPSPTIAPAWSRKLLDARSPPHPALPNPAYDPIPLTPEPPSGGDMVTRAFTFTRADIAAIKQGLPPHLRDKATTFEALAAAIWRGRVVALDPPAGDHMMRLGFTVSVRRFPELGLPAGYYGNAVVFVMATATAGALQDGPLGDAVELVREAKALVTAEYVRSVANLLALRRRPSASPAAARGVLPHRGLPPLADILIVSDARHAGFHTVDLGWGGPVYGGTVHTHAHQPISAVFSAVKNGDGEDVMVVVPLTLTRPAMDRFASEIEMLVKASRLAPAG >Dexi7A01G0022900.1:cds pep primary_assembly:Fonio_CM05836:7A:31047982:31048838:1 gene:Dexi7A01G0022900 transcript:Dexi7A01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVQILAIRANFRISAIVADDWYQSRSIYTALEGEVQEQGMDLVLTGPYRMLEAYGALVLKVFAADDEGFSTDDDDGSPIYGGWDVTEPDEFEEFTGTIYGGLGRKLEVTYLVIPDGIETHVEVRLNLIDLGSRNRAVYGSVKARAIDYGSKSIHLFSRERGRSLSLPCDSTCILPLRPYMIALRNWQHFKLHIEVDLRVITSNDNQEEDKNFKFCLDCSRRIRSEERLEPPFRIRSQKREFNGDQVEVSVMWRLERS >Dexi5B01G0034900.1:cds pep primary_assembly:Fonio_CM05836:5B:35043742:35047665:1 gene:Dexi5B01G0034900 transcript:Dexi5B01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGESESTALEFTPTWIVAAVCSLIVVVSLAAERCLHHLGKAFKGKNQKALFEALLKVKEELMLLGFISLLLTVSQGMIQRICIPKEWTIYMLPCHSAKEQAELSPSETHGLAAGILGPSRRRLLAEGVPGAQHCQKKGEVPLLSVEALHQLHIFIFILAIAHVIFCVLTMLLGSARIRQWKHWEDEFQKNATENGSSVMFGPHVMTNSLRFYFQHSFGKQFYGSVTKSDYTTMRLGFIKTHCRANPKFDFHRYMLRALEADFKKVVGISWYLWIFVVVFMLLNVNGWHTYFWISFIPLLLLLAVGTKLEHVITQLAIEVAEKHSAVEGDLVVNPSDEHFWFGRPKIVLYPIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFIVPRLVVGAIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQSLVGWAQKAKKRKALKNNGGSSGAAASANPSTRLELMRRAAALEGNSTGGNGGEG >Dexi3A01G0035920.1:cds pep primary_assembly:Fonio_CM05836:3A:41291360:41292228:-1 gene:Dexi3A01G0035920 transcript:Dexi3A01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDSVPGGFLRPPHRRRVTFGPGHGYLCSPIFFSVADEDELYAFEYGVGLVKFSLKPLWPPRLEYENNLHHAGGDDKWVAAPPFDTMNVRSYALHPDGKTIVVSATAPEFNNPFRRNNDGDDGAAAAGVGTFGFDTIKGEQVWVRHGEWTMPFVGRAHFVHGLNALVGFSDDPDTAGHLCACEVVAVAGGGDRRRPSWKVGKEKMFGEDPNERQIGYTLVYMGGAGEGSGSGDEGFCLVECVTIGDEEQLEEEDGT >Dexi5A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:5A:8950889:8953070:1 gene:Dexi5A01G0011920 transcript:Dexi5A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMGCLVLLCLVSPVLLASAVHGHPWGGLFPQFYDHSCPKAKEIVQSIVAQAVAKETRMAASLVVDQIKAALEAACPGTVSCADILALAARDSTSLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTVITKFKRQGLNVVDVVALSGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSYAAQLRQGCPRSGGDNNLFPLDFVTPAKFDNFYYKNILAGKGLLSSDEVLLTKSAETAALVKAYAADVNLFFQHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNDH >Dexi1A01G0022380.1:cds pep primary_assembly:Fonio_CM05836:1A:29056006:29057031:1 gene:Dexi1A01G0022380 transcript:Dexi1A01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDSVQLPQPQVDWSSITRNLSIEPHQTLVIMNEIKPTLEAHRTLDAAPPATPQDATRPQGVPQRHRFPLSTSPPYTSSPHHKTPLTPAPSAPTSPVTTRLLPPSTQSHTPPCSCSSSLLPTNSTNTHTRIPRQQE >Dexi4A01G0024230.1:cds pep primary_assembly:Fonio_CM05836:4A:27026520:27028578:-1 gene:Dexi4A01G0024230 transcript:Dexi4A01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENYHQGVAMEGVKFASEMANTNRRALGDIKNIIGGPRQHLAVSKRGLSEKPAAAAVGHRPVTRKFAATLANQPTTAHLAPIGSERQKRNADTAFHTPADMESTKIDDDIPLPMLSEMDEVMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVEYVDEIYKFYRRTEGSSCVPTNYMLSQTDINEKMRGILIDWLIEVHYKLELLDETLFLTVNIIDRFLALENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRMVNTLKFNMSVPTPYCFMRRFLKAAQAEKKVRY >Dexi3A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:3A:1518701:1521956:-1 gene:Dexi3A01G0002300 transcript:Dexi3A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISLLLAMLWVLCMLHPMFYMACGCVAEERAALLRIRSSLQEAGRSGNVPIEPLEHTGDCCSWSYVSCDDNRRVKGLHLSGLYDLEGSVVTAKSRCWDLNMTLFSPLRRLGLLDLSWNSACLHNLDGFQELTNLRQLDLSHNSFAGNDIMASLATLPSLEVLNLASTNMSGPLQNIDGDAPDFEWSTPSYELQGFTFFTKATFGNMTGMESLDLSHNELSGPIPQELTQLWSLEMFSVAYNNLSGCVANSGQFDTFTMDSYQGNKNLRHSSLGCLGGSGPIVPALED >Dexi9B01G0032160.1:cds pep primary_assembly:Fonio_CM05836:9B:34416177:34417456:-1 gene:Dexi9B01G0032160 transcript:Dexi9B01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMFERIQLLRLQRNENRNGKGRKSLQKWVDTGVVAAVEVLAEQKPKKVPFSLALQFPVTLPFTKVPKQVKTLKAPSSADEVPSGCSLNMF >Dexi6A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:6A:3729270:3735890:-1 gene:Dexi6A01G0004040 transcript:Dexi6A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEVLRQPGLDGLQELELFYFPPTIYEIIDVHVRLNLNPAPPPLSRFSPTLRVLSLCCRRRRRNIRSRLEFPAAAEVAAGLLFPHLDQLTLKGVNIPESTLHGILSGCHALKSLVLRGNGGYDNLRISSRTLRSLGVSGARDSEGEIVAMGHVQNVMDLSNDVKSFVSLECLDGHLKILELKGDTACLASCTSKPLATPAPPQARLATPPPHLLHAALLPRSLLVMPPPAQARLAAPPPP >Dexi9A01G0032350.1:cds pep primary_assembly:Fonio_CM05836:9A:37233629:37233893:1 gene:Dexi9A01G0032350 transcript:Dexi9A01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVGTYNLSWQSPGRNLNIESYNYFAFLGCGIGVYLFHPDTGDLVGHCTIKCSSMAAMLIATEGGSCNGMGCCTVTFPDLE >Dexi3B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:3B:306145:307385:-1 gene:Dexi3B01G0000390 transcript:Dexi3B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDYAKDDSNPYAIPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >Dexi9A01G0038080.1:cds pep primary_assembly:Fonio_CM05836:9A:42393207:42397973:1 gene:Dexi9A01G0038080 transcript:Dexi9A01G0038080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKASAPCVVVNTAADSVLQADGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFGRKNSEPFRRSQSMNESEVPYEEAGFNADAHLDSGDLSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELELKADLITTKAGMEYLQMKYSEDINLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQGSPSTVGSIDEGNITIVTPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALGDLFKLAEQRKGTFIYDIAVQMIEIYNEQVRDLLIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVRELKEQIARLKSALAVKDSGSEQIMSRDSEAFNVKMPSPGFSNRRQGSCDLLSSPASFRQPMEDVGNIEVRANTTLRQKKPSFDLQDLLTSNDSPWPDSNLRVNFQMGDEREVVGGDWIDKVVVNNNGDWEGDSAALPDFFYQRYHSGMGEKQYQRNNTRQKDDHEYEQQRPRFYSTNTDDSDDIDMATSDSSESDALWQLNVQSMNSSISESASKVKKPQAKLRDGSDSR >Dexi5A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:5A:8326552:8326782:-1 gene:Dexi5A01G0011100 transcript:Dexi5A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVQSLKMVQRSVEFQESIPTPPARPNIRQSSTTYESDGTSSMFSSGPFSGLSPFETETIPRTAIFSEDLHEGR >Dexi8B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:8B:20699553:20702877:1 gene:Dexi8B01G0011600 transcript:Dexi8B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAHLAAAAQRRPTVTLLLGLALAFCLAVLSIQSSFFAAPGAPGRRRLDLDAGDVRELAGFQSRVQQCVASRGLGLAADIIDHCKLVLKFPEATNSTWYNAQFKIFEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCDELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDVVFRDNEAPVNKKYAKHVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDVIDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEEIRRAHAAAFRLKKQETGQPAEPGPFSNCKVWGTVDPDYGPVSGTSDMSETRKNSNYSKWELLPLEKLRREAQEHYIQLGGVSLYKMDGNKLDDLVCVRHQRSSS >Dexi3B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:3B:6152568:6153122:-1 gene:Dexi3B01G0008910 transcript:Dexi3B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLSWHDRWCILKEATRLYGASWVRDIGPDLRPNDYKKAAEDEEELSSDTGSGGDKKGRKSSSESSVLKDLAIAARGGAETLKPALRRIYMTRASTYTTAMKNFVEAYQEGLKDQLQEKAGDADGGGGHQQQPQQGDEATKPPPPPSS >Dexi2B01G0034970.1:cds pep primary_assembly:Fonio_CM05836:2B:42120902:42121483:-1 gene:Dexi2B01G0034970 transcript:Dexi2B01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPGSIPSGSSQSKWYRREASASSMLANPNPMPGHIRRPAPNGMNSKSVPLKSTSLFSNLSGMNCSGASQCVGSLPIAHAFTSTMVPAGTSKPRTRHVLRHSRGISSGAGGRSASLMTSDRYRSSPRSSSVTTSCPARTCRTSSCALRITPGFLISSAMIHCSVVADVSLLPPKISCSKKNYDQKQDVV >Dexi4B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:4B:1956433:1956991:-1 gene:Dexi4B01G0002960 transcript:Dexi4B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGATQALATPTAAHRGGGGGDHPRCAHHHLLLERMSPASLGAAAADRRLTRLLVNVTVDGSLWPLHLVLPADATVADLVRAAVAAYIREGRRPPLHQHGGDEFELHFSKYSLESLKPEEKVLDLGSRNFFLCARRSAAADA >Dexi5A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:5A:5014019:5014339:-1 gene:Dexi5A01G0006740 transcript:Dexi5A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGSNGRGGRAAGELRRQAGAFGRGPRGLLGLAAGSTAIALAVTEPPPWLDRNAYLVALSAAFFAGKAQVCGHVAGTGSKLVTMYASFVVVAAGLTAAASLLL >Dexi5B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:5B:11689515:11693767:1 gene:Dexi5B01G0014830 transcript:Dexi5B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMYLALCLLQKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSSRRGGVSSRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYAIRKLDDSLFRNAFSKSYIRVKEYDARSRSRSRSRSYSRSPSYSRSMSPKSVSRSLSPVDERSLSRSRSPVSSHSRGRSASRSPRSRSASRSLSPVRSD >Dexi5B01G0021300.1:cds pep primary_assembly:Fonio_CM05836:5B:23602452:23605992:1 gene:Dexi5B01G0021300 transcript:Dexi5B01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFQAHCSRKSYADANRVSAVILGGGTGAQLFPLTSTMATPAVPVGGCHRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGITFTDGSVQVLAATQMPEDPAGWFQGTADAIRKFVWDYYIHNDIEHIVILSGDQLYRMNYMELVQKHVDDNADITISCAPVDESRASNYGLVKFDYTGRVLQFFEKPIGADLESMRVDTNFLSYAIGDTHKYPYIASMGIYVFKRDALLDLLKSKYTQLHDFGSEILPRAVLERNVQVTLIYCTLYPEVFYIVAYFFTGYWEDVGTIKSFFDANLALTEQVLCHISIVYWIYYVNIHSPHVSLFSLPSLNSMIQKHLSSLRPGTCLRRNWTNARYKIFWDTSVTSEQDIHIFELCVSPLQIKDAFISDGCLLKECNIKHSVIGVCSRVGSGCELMDTLMMGADIYQTEEEASKLLSAGKVPIGIGNNTKIRNCIIDMNAKIGKDVVIANSKGIQEADHPEEGYYIRSGIVVILKNATIKDGSVI >Dexi4B01G0023380.1:cds pep primary_assembly:Fonio_CM05836:4B:24711862:24713332:-1 gene:Dexi4B01G0023380 transcript:Dexi4B01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGYNKKLAMESFVLCLMIFMEVVVPGAAAGIRQPPPMYVFGDSTLDVGNNNYLPGPKVPKANVPYYGIDFPGVPSGRFSNGYNAADYVAKTIGFGSSPPPYLLLAQSSSLLISTALKIGASYASAGAGILDSTNAGKSIPLSKQVQYLNATKTKMVAQVGSSAANAVLARSFFLIGIGGNDINAFASAQQSDAAAFYDSLISTYSAAITDLYTMGARKFAIINGPLAGCLPFVRVLDAAGSCSDDRNKVAAGFNERLASLLAGLATKLPGFVYSLADSYGNMVDTFADPKASGFTDIADACCGAGRFGGEAGCTPNSTLCGDRDKYYFWDRVHPSQRGAMLKAQAFYDGLPRYTTPINFKQLLHHK >Dexi5B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:5B:6414278:6414650:1 gene:Dexi5B01G0009400 transcript:Dexi5B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKKGVSSFTVKVGLLLFAGCILVPISLVTMFRHYTVPLQTLSLLFSVGSASSVIWGEESIGSHRSGMSSIQRNLMLVGYSSFINSLENQTEEA >Dexi2B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:2B:3500910:3502829:1 gene:Dexi2B01G0003930 transcript:Dexi2B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSHCESNCAPPLCYLPCLLKSKDDAGGDLVSGSPSPAAVAEDKPPVVQKIEEAAAATGGGDDDDKGCKVVAVASKSCLKRADCVDSSKDVVKGNVKWRDLLGKEDLTQVKEFEPSESGDSDDDEDGGCTCVIQ >Dexi8A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:8A:18850977:18851814:-1 gene:Dexi8A01G0010790 transcript:Dexi8A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFATAEEAEAALGRAMTWTEAAWFRYSGSTPDYCLCFLNFFILFASYTLAAVPIALLELCAPGKLIMSYKLQPQVRLSPNVFLRCYKDTARILALITIGPLLMVPYPALKVAGIRTGLPLPPAWEVAAQLLMYMLIEDYLGYWFHRLQHTDWFYNNIHYVHHEFKAPMGFVAAYAHWSESFIVGFASFVGMVMVPCHMTTCWLWFAIRGIVGVDIHCGYMR >DexiUA01G0019140.1:cds pep primary_assembly:Fonio_CM05836:UA:39985478:39988393:-1 gene:DexiUA01G0019140 transcript:DexiUA01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAEGTSLSSPPLLHWKRKAKDQECEILRLREELKLLQDGTRGEEIELPVASCRCHFFDGCRDLPLQLGRDGGEHWVDEVLRRRFLGLGIIWLRLDSSREASPSFATLSHLAVDFILALVP >Dexi7A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:7A:21753472:21754593:1 gene:Dexi7A01G0011130 transcript:Dexi7A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRVMSPNSIPNWVISGFVEYIGSGQKQNDMILPVPEGPYAVRLGNDATIRQQLSVTRKAYYSITFCAARTCARAERINVSVGPESGVLPVQTVYTSSGWDSYSFAFKARHTTVWLAIHNPGLEDDPACGPLIDSVAIKTLDPPRREKGNMLRNGDFEEGPFIFPDTAWGVLVPPMDEDDVSPLPGWMVMSGTKSVKYVDAAHHAVPRGARAVELVAGREAALVQEVPTTPGRWYALSFSVGDAGNGCAASEAMMTVEAYAGREAARVPYASRGSGGRTRGGLEFAAVANVTRVVFQSYNHHMKRDGTLCGPVVDDVSLVAVRKRAGRRLLL >Dexi6B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:6B:2090002:2091097:-1 gene:Dexi6B01G0002390 transcript:Dexi6B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSRAVVVARPPEEVKTASRVVRRRRGNSKSSAAAVRGRHISSLCDDLLLQIFLRLPSVATLIRAACTCPAWRRAMSSSPDFRRRFRSLHPSPLLGLFTSDTSDASSIVAAFVPALPHGSRPDDDDLAAAVRGGDFSLPNAAGGGGGWRLVTNSCQFGNLLLYNRQDKSHAVINPYSTRRSEGQRHLLVSRNMTGRYFAAAVLSSSSRSRLMLLDNALSKMKATIFAMETGKVCSATPWVDIPACPDQVDGRVPCGRICVQSNGSVYYLCENWGYIASIDTSTMGITVVELPPQCVSRGSLAKVGETKDGETCLVYSDGRDVGVLNLMHTRGDDVQKWVLDRSFDASSQLASLMLEFVC >Dexi1A01G0022980.1:cds pep primary_assembly:Fonio_CM05836:1A:29587428:29588595:1 gene:Dexi1A01G0022980 transcript:Dexi1A01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADTSNKGAGRLKAGGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQQYQAEHPENKSVAAVSKAAGEKWRGMSEEEKQPYVDQAGQKKQDYEKTKANFDKKSTSSKKAKTQDDEGSDKSKSEVDDEDDEV >Dexi9A01G0040670.1:cds pep primary_assembly:Fonio_CM05836:9A:44404575:44407602:-1 gene:Dexi9A01G0040670 transcript:Dexi9A01G0040670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLAVLCVDHLNRVEGVTSTEDLSIQRLWNGNKRKTKNSVDLTFSSRRTAATVKAPTCPSPSQPACGEVAAAAALAWTVAPLAALPAKIQGHLEDRQWILEEVAEREEEEGDGHLDDRRWVFEEAAATALERRHWMRWD >Dexi1A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:1A:18861541:18864100:1 gene:Dexi1A01G0013630 transcript:Dexi1A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANRAAFVELQARMIDTTGKIKQLQSQMRSKEGEKKRAYLTLEELRQLPEDTNTYKTVGKVFILEPKSVLLNEQEQKFNDSESAIASMQTSKEYLEKQIGELENNIKELLQQDPV >Dexi5A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:5A:7497012:7500063:1 gene:Dexi5A01G0009940 transcript:Dexi5A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQCFKQSSGGGGNGCGPGKLLERRLSLGEYKKAVSWSKYLIAPPGARIRGGGEELWSADLSKLEIRGKFASGRHSRVYSGRYAGREVAIKMVSQPEEDAALATELERQFASEVALLLRLHHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQREPHSVPIELVLKLSLDIARGMSYLHSQGILHRDLKSENILLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVMWEILTALVPFSDMTPEQAAVAVALKNARPPLPASCPVAISHLIMQCWATNPDKRPQFDDIVAVLESYKEALDDDPSFFLSYIPPPPHHNHHHHHHHHHPSLLRCFPRSMRRSASLNV >Dexi5B01G0031030.1:cds pep primary_assembly:Fonio_CM05836:5B:31854434:31855943:1 gene:Dexi5B01G0031030 transcript:Dexi5B01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADEVKSRFGRCPYCRAMIYQDLTAVIFYCSKCRTPIRGKNPRPADETEYALAQLEILSADTASVFSDDVEPPNPRSAWAVNDDDARPPLASRSATTGRSFDPIRQQGTGDASSSSLPYRQFGSVRGAGPRSNNALKNSGLNRDDQTEASRRGSPLHGRVSELRPSSRRTRRSSSGDVDLRSDAGSGSGTESDSDMPAPATSYRRRASPLSSQELEVASVLSGFEPSININKSPLSDPAFQKDLLQALDNLRKLIAAVDHPRSIDGQWQSLMPRLSASCNANADVDGGGKPTITRRSSRLMRRLESQLTQALPVERPRRNASTSSASSSRRGGLKRQAHHCRQLLGGTPFVVCGECSEILQLPATGLTAGRAVRVKCGGCGEAFELTLPVSGSTNDRPNRIFSAPQPVADGGGAAEEEEHALAWSHLSGEQPRPVGPLHRVLGYSSVSSVLRRRRYGEHD >Dexi9A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:9A:8459848:8460794:-1 gene:Dexi9A01G0013200 transcript:Dexi9A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVCRMSLSNDKYQVINVPTGIDANSSYYHIRLGKSRNGVHFVVVDDQNRLQHGNYDRRSKREPVLEKEMDWDSDDENAVDVEEWGRKQSSLYIE >Dexi5B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:5B:21777696:21783898:1 gene:Dexi5B01G0019480 transcript:Dexi5B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGAAAPERSPSASPPPPAQAHAPPSPSPTQPLDWKFSQVFGERSAGEEVQEVDIISAIEFNKSGHHLATGDRGGRVVLFERTGVKDRTCRKEAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIKWCQAANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLDRSTASANVSPGGVGLSPSLSNGNSLRPGGIVSLRLPVVVTTQETSLAASCRRVYAHAHDYHINSISNNSFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFSCGNGSNEATTLEATRNPTRKQLQNPTRAARSLSTLTRAVRRGGESTGIDANGNSYDLNTKLLHLAWHPSENLIACAAANSLYMYYA >Dexi9B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:9B:6982316:6998623:1 gene:Dexi9B01G0011030 transcript:Dexi9B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCAAAPAAAAAPQRASRAHLHSLLALARLLPAGGAGAGDAAPPAPLLSFLASHAFLSPAFWPQSFAAAPFLSRLLPLLAAAPASPALSSALSAALLAALDVADPASAPLARAFLSAAAAAAPLPLLPADAAPVAARLLLDFPASEEAPARAKGKGEDTLVEENGGVRDVVRRFEEEDVEELERKEVAFRLIVHMLGGEGGLETGQVGKVRNAAARQVRSLTDFLKIRKRDWREQGAQLRARIHTKLMCCQAAVVVLVRSVSTMETDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQACFFFTLFTLTVNLFQDGKGKDAVPVIRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKALGASENNTLPSEATTERTETLPAGFLLVAANLRSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQKNDAPTKPVSASLNMESSSAIALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNENSAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEIQRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRAFETVLSWLIDLRTVSKLILLAVQIAYIFCFGGQEDRISDIGEGADVRESVLSIHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWLTSALSYAPCTTQGLIQMVTVLSMLRLPIACLVEAKLADHDNFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDFSLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGINMGMASPGMQSAQPHQTFDEVFVSKFVSLLQNFVVAAEKQPIDNSQFRETCSQATALLLDHMMSDSRANLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPTHFSRHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKAYAQREAQSVSVFVHFLQNERSSPVDSPSKSQGREGEQNMADQTHPVWGCVDNYATAREKRKQLLLTLSQNEADRLEVWAQPINTKDTTTFRGKISSDKWIDHVRTAFAVDPRIALSMPLRFPTNATMQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSVLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGGEYVDESEKDAEAQKGSAFQSLLPAVKDKIIESFTPEARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKITIPGDDLYLPTATNKFVRGIQLDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVNIFFSFTVVPNTRSRNQMGETTDGGLLEIFQQDFGTVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSQGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAARRHMNGILTTVNLMADSGLPCFSRGDPINNLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGH >Dexi4B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:4B:17950193:17953853:-1 gene:Dexi4B01G0015950 transcript:Dexi4B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRRARLFPAVAVAFAAALVIVLSVVAPPAAALSPDGKALLSLLPGAAPSPLLPSWDPKSSTPCSWQGVTCSPQSRVVSLSLPNTFLNLTSLPPPLASLSSLQLLNLSTCNISGTIPPSYGTSLSSLRVLDLSSNALSGDIPSELGALSGLQFLLLNSNRLTGGIPRSLANLSSLQVLCVQDNLLNGTIPASLGALASLQQFRVGGNPELSGPIPASLGALSNLTVFGAAATALSGPIPEELGSLVNLQTLALYDTGVSGSIPATLGGCVELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGKIPPELSNCSALVVLDLSGNRLAGEVPGELGRLAALEQLHLSDNQLTGRIPPELSKLSSLTALQLDKNGFSGGIPPELGDLKSLQVLFLWGNSLSGAIPPELGNCTELYALDLSRNRLSDGIPDEVFALQKLSKLLLLGNDLSGPLPPSVANCVSLVRLRLGENHLAGEIPREIGKLQNLVFLDLYSNKFTGTLPAELANITVLELLDVHNNSFTGVIPPQFGELMNLEQLDLSMNGLTGEMPASFGNFSYLNKLILSSNNLSGPLPKSIRNLKKLTMLDLSNNSFSSSIPPEIGELSSLGISLDLSSNRFVGELPEEMSGLTQLQSLNLASNGLYGSISVLGALTSLTSLNISFNNFSGAIPVTPFFKTLSSNSYIGNANLCESYDGHTCSSEMVRRSALRTVKTVILVCAVLGSVTLLLVVVWILINRNRKFAGEKAMSLSGAGGDDFSNPWTFTPFQKLNFSIDNILACLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYIPNGNLLQLLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPGKLILLLLLSYGYTSNITEKSDVYSYGVVLLEILSGRSAIEPVVGEGSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGVAIFCVNSSPAERPAMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQA >Dexi9B01G0023250.1:cds pep primary_assembly:Fonio_CM05836:9B:18304777:18309094:1 gene:Dexi9B01G0023250 transcript:Dexi9B01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAARPHGSSTASQEWSSIGRSDPPWRTNAGFSPPLSRGWEYRINSEGLSYGSHGDSGAAANYGSSLSSNSKEASRSWERNELPHEHRYSTSEGAISYFNSPDVMFQNHHVMLPMLQDSSVDEYMRVSVAEPVGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEAQGTQSPTVIASSNNPLRSEFKGTGDLRPPGLMDYGSGSHGESGNWSAASSMDLTDLSERPEAERAVPLRSSNVMQKTRCDLCDRLLTKRSPWGSRRIVRTGDLPVAGVLPCSHVYHAECLDRTTPKGQKHDPPCPACDKLAGKDTEHWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAAQIPRSNSIALLTRNGHKRHASSKGEPSKDWAETSKSACM >Dexi4A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:4A:732007:734631:-1 gene:Dexi4A01G0001100 transcript:Dexi4A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSASSAAGVGMGMGVAGGGYPPPPPQQRGPPVFTAAQWAELEQQALIYKYLMAGVQVPPDLLLPVRPGAHSAAAFSFASPAATSPFYHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHPSQPQLSTVTTTTREPVPLDNLKSGGKTHGLSLGGAGSSQLHVDASNAHYRYGSKYPLGAKADASELSFFSGASGNSRGFTIDSPTDNSWQSLPSNVPPFTLSKSRDSGLLPGTYSYSQMETPQELGQVTIASLSQEQERHSFSNGGAGGLLGGNVKQENQPLRPFFDEWPGTRDSWSEMDEARSNRTSFSTTQLSISIPMPRCD >Dexi8B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:8B:3189163:3192262:-1 gene:Dexi8B01G0003780 transcript:Dexi8B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALNVLAFYVKNMLKEMAEGEVHMLLGVSKEIDKMAVKLEDLKNFLADADKRNITDNSMQAWVRDLRDGIYSATDILDLCQLKAMEQGPGLNTGCLNPLLFCMRNPLHAHDIGRRIKKLNQRLDHIKERSTAFNFNLSSYEDCSRKVEISRRGSRETSGELNESSVIGEKIEEDTRRQDGKLVQEEQSVSEEEQKLIKEVFDELCPPCRLHKLRLKQMVEWEEWEWEERVPAMPVLETLVLEKCKLNRVPPAVVQLEVSQCPDLQKIKNLWKLQKLDIRTCPKMK >Dexi9A01G0035590.1:cds pep primary_assembly:Fonio_CM05836:9A:40213257:40228747:-1 gene:Dexi9A01G0035590 transcript:Dexi9A01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGLVQLLVAFVVAWEAVELVLRHGLLLSVFKLGVLAALAAAAGCVAVILLARAVAWVLRRAAKLSIGCRSYGLNYLRDITISSPKGAVESICIGEIRLGLRKPLTQLGFTILTHGPILQLQISDLDVVLRQPVKSANKKKPSPRKPSSSSSIKSKGKSKGQVKWRLITSVASLLSLSIVELRLKAPKAALGIKDLKIDISKTGGLDPVLNVQINLIPLFVQALESDSIDSNTSVFSKLDWWVSGQYCSAMDTSDCSSFLFEDISLSCDLHQRDKGIKVKNLDLILGPIVVNLEEKLFTKKKQSASTVADQKDGSSVDNKSAVRSEGGKLASLNKKISLFPEKVSFNMSKLDLKFLPKDHGLSVNNEIGSISLKCTRLQPQQDFGESATHLRLETDVTEIHLLMDGATSVLEVAKISTVVSAKIPTQPSLPVQSEVDVKISGFQCNLIISRIKPLIRINSDKKKPLVLHENSQQEKAPKEKLALAWSCTLSAPDLTLVLHSLDDVPLYHENAQKSSKKAKGAQLLKINVAQCFIMYDGDMRLEDMTIADPKRVNFGSQGGRVVIINEADGTPRMAYVNSTGPPDHKNVHFSTSLEIYQICVSLNKAKHSKQVELESFRLTHKEDQLDNKPVEETKLFDVRKAKFVQRSGGQNDVATCSLINVTDIAIRWEPDPYLELLEVATRLKSVLHKIKLQNSVTEVKDDTLSMDIPAKKEDHGQHEKAQRKRESVIAIDLESLKISGEFADGVEAMVQINNMPLQPDDPAKDLTLHFTKFRVEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLVKVFLNRTPEASTFKDSKIENKSTKDRDSPGCEKGKKKTNSTEKSRDDGFFLHSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLIKSEFDGGDESDHDQSGSDDEGFNVVVADSCQRVFVHGLKILWNLENRAAILSWVGGLTQAFQPPKPSPSRQYTQRKILEKKQLIKEAEMPKDGALNSVSSASQPSEPQQIKSSESPPSSGSSKSDQTSSSETALKPSNNSDAEEEGTRHFMVNVVQPQFNLHSEEANVSKLPLHFFAKFYFEIAFGILTNVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARTPRKPKSNLSYPLDNDDDDIEEASDAIVPDGVEEVELAKIGVEVKERARNLLLDDIRALCTSAESSHDQSSSPKADDSTWIVSGSRLMLVKQLKKGLVNIRNGRKEAYSTLRIAMQKAAQLRLMEKEKNKSPSCAMRVSTRINKIVWSMLADGKSFAEAEINDMIFYFDRDYKDIGIAQLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESFLVDIYPLKIYLTEAMYRMMWGYFFPGEEQQPQKRQELFKVSTTAGTRRKKSTSGVETNSPNNQSSKESTFSQKPELRRTSSFDRTWEETVAESVANELVSQIQVHSNAQTESQDSAKDSKLLRPVRSTREDKKIEPNEVKQTRPQKLMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWPRLFARVKKHIVWGVLKSVTGMQARNFDLLITFEGKKFKAKSTSQKEPSAALISASDFNLSDSDGDDAGNSDQLPAFLKKPSDGAGDGFATSVKGLFNSQKKKAKAFVLKTMKGEADHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKLNKSKVHKNAGGVLTIDWHYDG >Dexi5B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:5B:5799826:5800236:1 gene:Dexi5B01G0008540 transcript:Dexi5B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAATAKAKRKRAAATDIAGADPAPAAVEEASDAEVEEFYAILRRIRDASRRICGAGARPAPRAPAWRPSFCWEDFATPPPPPLPPATTPPAQARPDEPAASPPPPQPRACLDLNAEPEPEAPATPRSARIPAA >Dexi5B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:5B:22019251:22023647:1 gene:Dexi5B01G0019750 transcript:Dexi5B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAWSTHQFFITTPSPCVSTADPPPRATSMAAGGGGGGDIGADSERRLKKAMDKLYYFPKPKLNVPGGSKPSSSSSAPAPSSGRPVGKAAAEAARRFGLVRGSLLPPQLAAMSAISPPPPCRPWDRADLMRRLGSFKAMTWFTKPKVISPVNCARRGWINIEPDVITCEACDARLLFSTPSSWTTQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLLALPRISCSSLEIMKKRSPQLEQFLSEPFSSSVVLKGRFMLTEDSTVKDLDDAFQDADTYYQLISDSDRQDDQNNGQANIVSGVGHSKDANIGFNFTIAGGSMKRKRSTDQPHLLESNTGDVDTATTGAKHDQPGDYSEKSIPNSEVSIEQKQGGSQSDTDKDTNMDEASNEKQPEIEKQGLYDRMNEFDPMKQHRTFCPWISPDYGESLPGWRLTLMALLAQDKRSDGDSRAEVQTGLLDEDDDPLTSVRKLFMTPPPKRRRIQQSDKS >Dexi8B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:8B:6530617:6535410:1 gene:Dexi8B01G0005990 transcript:Dexi8B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAVVCPRPGLAAAVAGPGGRRRAPVRKMGLAVGPRARYVRIAAAASSSSSTGGVAPVPPRSSRSVIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGSDKVLNQVVEQLNKLVNVIKVIDLSNVPQVERELMLIKINAEREKLPEIMVLARIFKAEVVDLSDDTLTMEVTGDPGKMAAIQKTLSKYGIIEIARTGKIALRRERMGETAPFWGFSAASYPDLEVTMPSNSRLSTGMDAMSQNPSESSGGDVYPVESYESFSANQILDAHWGIMTDGDPTGFCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGNDESIAKLIHQLYKLIDVLEVNIKFAVSMTVIELTGDIDRMVRLQKMVEQYGICEVARTGRVALLRESGVDSKYLRGFSLPL >Dexi7B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:7B:25266707:25267078:-1 gene:Dexi7B01G0019800 transcript:Dexi7B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHARNARPDFVATGLAAVATAMSYLLFVVVVVTGGGSDHGGTPVGSGTAWQVILAFGLMSTGLLMVMYGMRAPRGARPPPLVRRVADAAGAALLHAGGPERLLVPVMILLVLPFLEAWFDFF >Dexi1B01G0022700.1:cds pep primary_assembly:Fonio_CM05836:1B:28384015:28390250:1 gene:Dexi1B01G0022700 transcript:Dexi1B01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVDGMVGDDGSGGSRGGGNGEDEIQIQIAGSSKPETSTRGTAPQNSHTKNWQWWLMVALNIFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIVLFLFRSKSTQTTTSSPEISGTKITLIYIVLGLLIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNDQKFTPFIFNSVILLTFSAALLGVDEDTQVFAVIFFHDKMDGVKIIAMLMAIWGFISYGYQLYVNDKKSRKTSVSVEENSTARKRACSGDQTDPLASAPAADPDRSRHHHHLPARHHHHHLPARHHHWTTGERRKAQLVNWRKEEQPSRWRSLCARYVSATDSAGRSDGTRARRSVNHTALASSNRSDPETESAQARGAPESSPSSPPSTAPPPTTRRRRRGRSRPSSRHG >Dexi5A01G0036000.1:cds pep primary_assembly:Fonio_CM05836:5A:37654601:37656968:1 gene:Dexi5A01G0036000 transcript:Dexi5A01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDIDRYIPSAKECPLYLQLPGDGLSKTNAFISPMWGGVLIWNPPDCSLGSKKTHSTRKKMASQELMETLEIFIGQLRQLFGLKPSYLSHDMDLPTKFVVSERGFTEWELDLLYRHHARSNLLSCLTTLESLSSLVHSLPRMIVMDEIGRQHLCMRLSFLLKLQVWLKEMQLLELVTLQQAISATRARALAEDAFFHPSVMSISYASIEHYFAIYMV >Dexi2A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:2A:27337802:27340462:1 gene:Dexi2A01G0015920 transcript:Dexi2A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPPQRWAATYTKQVKQKRKAYQDGALLLYPASGRLVLLDGAGGTLESRFLRSSEEISPGAALSFQAHLVDVGEPDPARYTSSSASASASAAAGSRTARRGGGARTRPPSSGRVFQPRVSRAFVNPSKSHGCGGGGDDEAAGSGGVEVADSRFQGEWTALYTAQLTQKAKKYHDGFVRLVQAGPHVKQIVLLDEDGQVLGSRHLKSGESIESGKKCHFPNYLIDICEEINQNMDFDNTNSEVTASSGKTEPDKGAAGRPGRFMEEDSEWNALYTTQLTQKSKKYHDGIIRVKHMGSHARQVRN >DexiUA01G0014660.1:cds pep primary_assembly:Fonio_CM05836:UA:30675219:30675419:-1 gene:DexiUA01G0014660 transcript:DexiUA01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEWPPTTSLIDWMVDWIAVVVRAAAAAPVPAALSEPARAPPPAMPAARGEEIARTHARAR >Dexi4B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:4B:1858088:1860572:-1 gene:Dexi4B01G0002830 transcript:Dexi4B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRAGAISRERGIPPTRSRQSEALSRIRRRDGERVLRLLRVRGSGQRGGGGEVGALRRLAEPGLHFFNPFAGECVAGTLTTRVQSLDVRVETKTRFPSPICSVLSRLPDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLEELEKVMADYGYSIEHILMVDIIPDAAVRKVMNDINAAQRLQLASIYKGEAEKILLVKKAEAEAEAEYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRDGMMQASSSNV >DexiUA01G0002350.1:cds pep primary_assembly:Fonio_CM05836:UA:5304378:5305314:-1 gene:DexiUA01G0002350 transcript:DexiUA01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKITYSVPFSVTAELTAGTGGGQGPWDALYGGGNIPAFALASIFSLAAGVLAVLKLPKLSNSYQSAGFHGFG >Dexi3A01G0027930.1:cds pep primary_assembly:Fonio_CM05836:3A:27931786:27933850:1 gene:Dexi3A01G0027930 transcript:Dexi3A01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWPAAAAASLLIAVLSCCEAAQAIRTHGGGAGAYVSALGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLETSVGEDGQPVYEVVHRVSDAENNLRAGDPFPGAAANATVTGDVDLYAAAKEVYLGDLCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFAQTSRFPCPGGAGCMNQPLVFHNRTALDAGSRWLRGGVFGTYELDAADDRLGLGSGGDVSYYSVTWEKEVASTNGWVFHHKLRTSKKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNNGKPCDGDTATDVTRYSEMIINPDTPAWCKPSRIDPCPPWHTFRNGTRVHRSDAARFPYAAYHVYCSPGNARRAEQPTTYCDAYSNPQTQEILQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGALSQALYFYQDPGTTPARRRWTSLDVGTEIYVSENAEAEWTLSGFDILVPDTCAKSGQGTSNSCCW >Dexi5B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:5B:22663084:22665007:1 gene:Dexi5B01G0020460 transcript:Dexi5B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFNRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSRAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVHVPANKQPARPAPQKATAEDDELAALQAEMAL >Dexi9B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:9B:6895730:6898549:1 gene:Dexi9B01G0010940 transcript:Dexi9B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPQPPPWRPRHLHSALALLLLVVAAMAAGAGADDIASDGRALLAFRDAVGRRLAWNASDPAGACSWTGVTCQNGRVAVLRLPGATLSGAIPAGTLGNLTALTTLSLRLNGLSGALPGDLANAAALRNVFLNGNRLSGGFPQAILGLPGLVRLALDGNDLSGPIPAALANLTRLRALLLENNRFSGEIPDLKQQLQQFNVSFNQLNGSIPAALRSQPRSAFLGTGLCGGPLGPCPGEVSPSPAPAGQTPSPTPVPSGSGGGSGSNGGSNGGNGGGSGHKSKKLSGGAIAGIAIGSALGAALLLFLLVCLCRRSWGTKTRSLEMPPPSSTPAVAAAGRKPPEMTSGAAVAPLTTIGHPNAPIGQSTSGKKLVFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLTEPEFRDRISEIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRSSGRTPLNWELRSNIALAAARGVEYIHSTSSTASHGNIKSANVLLGKSYRARVSDNGLATLVGQSSSPSRTTGYRAPEVIDSRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVNRSEWASEVFDMELTRNQNGEEQMAQLLQLSIDCVAQVPEARPSMAHVVRRIEEIKKSSTASNVEEGDDQSSKAESEVPTNPFA >Dexi9A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:9A:2791576:2792732:-1 gene:Dexi9A01G0005090 transcript:Dexi9A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEEANHAAVESCKKLVAFLSLSGGDPFRPMPVAAETDEAVARFGKVVAVLSDRLGHARARVGNKRSPAPPPIDARCLLDHPSSLPPRHTLNSGHLISSTPAAALPVPPATLRGVPSMRSQETEVEPPVMVSPCATVTLLAPATKKFDRNIFLETPLLELNSCSVLQSTAMATAPEKNISIVASVPAPNPCATAAAAHIQFQPHQQQLAKKQKSFQFDQMPSGEFHIEVPVPRGGGGAKEVISFSFDNNSVCTSSAATSFFTSINSQLISMSDAATSSAATAKKAAFAKRAEDGSVKCHCPKKKKPREKRVVRVPAISDKNADIPADNYSWRKYGQKPIKGSPHPR >Dexi6B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:6B:9702298:9703821:-1 gene:Dexi6B01G0007960 transcript:Dexi6B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKLLLLLLCLCTYHSLTAYAGDDPNRYTIGSINTEAICSESKATPSTTSAGATLALHHRHGPCSLDPSKETLTTEEILHSDQLRARNIHRKLSADTSSTAADTDAEKLEATVPTTLGTELQTLQYLINVSIGTPAVAQTVMIDTGSDISWVHCKPCSPCHNQMDSIFDPSQSTTYSPISCSSTACVQLGNDSSAGCSSSKQCQYVVNYLDGSNTTGTYSSDTLTLGPNVVNGFQFGCSRVVASDSDDMIAGLIGLGGGAQSLVSQTAATFGPSFSYCLPAPQAPSGFLTLGVSTTSNSNFTTTKMFRNSEIPTFYFVPLRGIRVGGTPVDVSPSVFAARSVVDSGTIITRLPPTAYSAMSSAFRAGMQDYRRAEPLAILDTCYDFSNLTTVRVPAVELVFDGGAVINLDYDGIMVFDCLAFAPNEDDSWPGLIGNVQQRTFEVLYDVGRSTMGFRAGAC >Dexi4B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:4B:19974450:19974962:1 gene:Dexi4B01G0017640 transcript:Dexi4B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRNDMLTQIASIREVVLGAPLRLLLKHLASMNKLVALVHRPNESFFLAPQADKVTIVCPIRYQDPVDIVLATSCSNPSINEFGSSNEMYLLFVGIATLPKALA >DexiUA01G0027780.1:cds pep primary_assembly:Fonio_CM05836:UA:59637312:59638760:1 gene:DexiUA01G0027780 transcript:DexiUA01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLASYASDSDSDGGEAAAVPAGSPEVPEPSALLPPPPLDLLQPPNFLGMGSRVRSFPHVEGNYAVHVYIPVVIPSDAKKQLALAMKRAASLVPDLYAVDADYALSELCKDEQKLEKVLLSREFHISKQILMVDEVYRRHGLPEFYKVMDAM >Dexi1A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:1A:4169343:4170953:1 gene:Dexi1A01G0005660 transcript:Dexi1A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRRRRRDGGDGTDPHLSDGSRAGMARNRRLILEFMYGYYDEALAALPLKRMPALVRRLLEAGVCFGFGDPVTNIIANTLSYIPDEDGEPTREGAVPDGASKRKRKSSRDPRARKETLLKIFTGDDVPSPPEARTIAEHSLEGLVTFLTSYFPYLPTWDALRYLCLSRADLLVAVHLIELDRCHRDEEKFCIHSHGVKTALKCAALSARLPNVDAFLTGSSALVSHVADDLPAENFRGHLSVQDVTRLTALLEKPLEPKKPNVPLDLAAIRFQQYGIKLSPALKESVRAVLLDRIHAVYLNAISRIPMEDFRSRYHHGLLKAGYCYGPFDPFFNIIINTVWYDTVFPAPQALEIDMICTPILVRLESQSLDGLIHLLLSCINGLSEYQAMVYLLKSNLELSQAVQMAGKDGYETSSWDATAYKAAADASSHPESEAYLHFVMESLPMVESDVMEWLTTQTLSSSKILQLSTLLSYSRLSSKSLEPTDELTNDALEMVSSYKENFFSQQNFVRKKVEATLLNYEQTKVRSFSPLC >Dexi3A01G0027340.1:cds pep primary_assembly:Fonio_CM05836:3A:24286076:24293301:1 gene:Dexi3A01G0027340 transcript:Dexi3A01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding SADAMGEEAANKQDMYTKDGSVDLRGRPVLASRTGRWKACAFLVGYEAFERMAFYGVASNLVVYLTTQLREETVPSVRNVNNWTGAVWMTPIVGAYIADTFLGRFWTFTISSLIYLAGMLLITLAVSVKSLHPQCTPDGGCAAATRGQVAFFYGALYTMAIGAGGTKPNISTFGADQFDDFDARERDVKASFFNWWMFSSFTGGLVAVLVLVFVQENVGWGVGYTIPTVGLALSLLLFYVGTPFYRHKPVQRDTASGPARLVARVLREAFANRGCPMQGDLHEHETAWYAAAGKRRLHHTPAFRFLDRAALLRGGEADRRPRPCTVTEVEEVKLIGGMILVWLTTLVPCTIWAQVNTLFVKQGTTLDRSLFGVRVPAASLGSFITISMLVSIPAYDRVLVPLVRRRTGDPRGITLLQRLGVGCALQVLVVACACLVEVRRMRVIRERATRGAGDTVPMSVFWMLPQYVLMGVGDVFNSVGILEFFYDQSPDGMRSLGTTFFTSGLGVGNFLNSLLVTLVDRATRGRDGRGKSWIGDNLNDSRLDYYYVFLLILSVLNTALFVWVSMRYKYKREFLEVERMGAPELEMAGAQQGKVDDTRLTTVKAVQAAG >Dexi1A01G0029250.1:cds pep primary_assembly:Fonio_CM05836:1A:34801675:34803819:-1 gene:Dexi1A01G0029250 transcript:Dexi1A01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRKGDAAAAPTPKAAATGSYKPVVKFKRKAVKADPEKLKAAAAESADPAGASASAPAPASKPVEASPAVAAAAGNGVVAQSKTEDSKATGKESMKGREEEKVEGKGKAKGEDSNTSRTEITKARGEERVRREEKRRTMGKEEEEEEGDDEKGFIFMCSGRTKPECYRSGVFGLPRGKMDVVEKIRPGAKLFLYDFDLKLMYGVYKADTRGGLDLVRHAFEGKFPAQVKFSVDIDCLPVPERSFKHAIKENYNAKGRFTQELSSKQVRRLLAIFKPIGLSQPAPQHIEETRLPRIVEDRQEPYDYEERQLPRHIEETRSLRIVEDRRESYDYEERRLPQHVEERQLPKYLEERRLQQHPEEMVTSIHARADLLEDHYKMTHSLRPPLLDEPRRSLVLDPYQMQEPQHVRPKYYHQVATMSLNHQPRMDILHER >Dexi3B01G0023950.1:cds pep primary_assembly:Fonio_CM05836:3B:18694273:18695532:-1 gene:Dexi3B01G0023950 transcript:Dexi3B01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRSLALLLAAHCALLLALAGAGGSPLSSTFYDASCPSAHDVVRRVIQNARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIQTEKSVPANAKSARGFPVVDDIKAALENACPGVVSCADILALAAEISVELAGGPRWSVLLGRRDGTTTNVQSAKNLPSPFDPLTKLQEKFRNFNLDDTDLVALQGAHTFGKVQCQFTRENCTAGQTAGALENLDQTTPNLFDNKYYGNLVQGRAQLPSDQVMLSDPTAAASTAPIVHRFAGNQQDFFRNFAASMIKMGNISPLTGNDGEIRKNCRRVNGKGY >Dexi1B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:1B:2804975:2807300:-1 gene:Dexi1B01G0003540 transcript:Dexi1B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVCAICDDGGSVTCCDGRCQRAFHLVDSEAHDDCREKLGLTLEQAKIINEEEGFICKNCQFKKHQCFSCGLLGSSDDTLSQPEVFQCEHGDCGHFYHPKCIAKLLYPNSEEAILFAAEVAVAREKFTCLMHECMECKGVEDKNDRSLQFAVCRRCPTVYHRKCLPSEILFKSRKGPNDSLQRAWDDILPDRILMKHKIVRKLLTPERNHIIFPEEADEHHVPETLEGAPEEFEAPHKLPSSEQIQLPPPAASDQNQCPCSHFTLSFAPSFLYEEPYPGNCGWLDDDEFDE >DexiUA01G0010510.1:cds pep primary_assembly:Fonio_CM05836:UA:20848584:20858435:-1 gene:DexiUA01G0010510 transcript:DexiUA01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSAAASAAAAAERCALAIRARPAASSPAARQKASLRRSSAGPLRPSSTLAAASRRGPVASRAITTPADRASTDLAGKFKLDSNSELQVAVNPGSQGSVTEIDLEVTNTSGSLVLHWGALCPGRRNGKQSYTPEQEKEEYEAARAELIDELNRGVSLEKLRAKLTKSPEATESGESDSPASQITVDKIPEELVQVQSYIRWEKAGKPNYPPEKQLIEFEEARKELQADLDKGISIDQLRKKILKGNIESKVSKQLKNKTYFSVERVQRKKRDVTQLLSKHKHTVIEDQVEVAPKDPTVLDLFTKSLQEKDGCEVLSRKIFKFGGEEILAISTKVLNKTKVYLATSHMEPLILHWSLAKKAGEWKAPPSNIVPSGSKLLDMACETEFTKSELDGLHYQVVEIELDDGGYKGMPFVLRSGETWIKNNGSDFYLDFSAPDTRKIKAIVPVHFIFQREISKAQDRFTDDLENMFKTYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWDTLNKNGITKERLLSYDRAIHSEPNFRSEQKEGLLNDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLQFVLDHVEDKSTEPLLEGLLEARVELRPLLLDSPERRKDLIFLDIALDSTFRTAIERSYEELNDAAPEKVMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKKKDDQWALYAKAYLDRIRLALASKGEQYHNMMQPSAEYLGSLLGVDQWAVNIFTEEIIRGGSAATLSALLNRFDPILRNVANLGSWQVISPIEVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNSKVLFATCFDHTTLSELEGYDQKLLVFKPTSGDITYREITESELQQSSSPNVEAGHALPSISLVKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKVPSWVGVPTSVAMPFGTFEKVLSDGLNKEVAQNIDNLKSRLAQEDFSALGEIRKAVLNLAPPMQLVKELKEKMLGSGMPWPGDEGNQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAELVKGLGETLVGAYPGRAMSFVCKKDNLDSPKLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLIVDHGFRNSILSSIARAGHAIEELYGSPQDVEGVVKDGKIYVVQTRPQM >Dexi2A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:2A:11783209:11785021:-1 gene:Dexi2A01G0010620 transcript:Dexi2A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLARALASHHHHRRIAIAGAPHCQLARAHPVDIRRARRRRAPPSAGRRRRRGLDLDGEVESVDVADVVEVISAAGEGEFGQRGRWGAAGAASCPVPQSPDSQEKRAAPPLAEVVVAQRERPHTRPDQVAPTPGKEMEVPGARRKPVVGNVGPPEVNVAGQTGSGQLLRKPASSAPCSRRRGACRPQALPSARAASSSPSRSSRRGPASCPAFHTTPRAGAPTLPSLFSASSTPGRSALDLANAGMCKASVGRAEQGGGSARSWWVRQWLDSRRKQRVLLTRRRRSRRNCRKQVGDHIGKRAGHGGDQ >Dexi5B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:5B:21281294:21284642:-1 gene:Dexi5B01G0018950 transcript:Dexi5B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAVAEKSGGGCGGTGAAVAGAGPAAQAGSGGERRSRFRRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPRSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPPAHSFAPELEPGIASS >Dexi8B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:8B:22651897:22655046:1 gene:Dexi8B01G0012920 transcript:Dexi8B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDHVVVDVEGLAKVKDDGVAEKASEGVSASAAAVSSAAVVVDLVDEEEGGGEDEPLIQAAECRICQEEDSVKNLEKPCACSGSLKEYKPGYTAPPRVDPDETTIDIDGDLIMDLRDPRILAVAAAQRRLLEAEYDGYATTDASGAAFCRSAALILMALLLLRHALSISDNEGNDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEEAALAAAEVAFILQSARGRTLQFAIAPDSPATPQQQEHEPTTQQQQ >Dexi3A01G0027610.1:cds pep primary_assembly:Fonio_CM05836:3A:26442006:26444467:1 gene:Dexi3A01G0027610 transcript:Dexi3A01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLLIKLYRFLVRRTKSDFNAVILKRLFMSKTNRPPLSMRRLVKFMEGKGDQIAVIVGSVTDDKRIIEIPAMKVCALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Dexi3A01G0033580.1:cds pep primary_assembly:Fonio_CM05836:3A:38304042:38306663:1 gene:Dexi3A01G0033580 transcript:Dexi3A01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRPQRLCCIYRRYRGKVLSNTPIVRASDVGNFASSGEVVHLGTSAATRRRSDGSNVTFHLTQLQWHHSELDTENGHVVCQEEAWFDSVSILGSDSDEDFSSVNGDFPAMPNSGGTQLMQCEDASSIADAIQKFERIFDGSSVAQAVGQYLKRDTNKIEAERPKVSPEASDVSSGKVEEARTRNEGIKILTKLRKGEDACNTLKSFKDGEKPHESIFKARTNNMIDVKFLNAGSSRKYLIRPRAGLLVPQAGEKISKGCWSVLEPSAFKLRGESFFKDKKKSPAPGCSPYTPFGVDIFMSPRKIHHIAQHIELPSMKQHGKIPPLLIVNIQMPTYPAAMFLGDSDGEGINLVLYFKLNDNFEKEISAQFHESIKRLVNDEIERVKGFPLDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHSFYVGPNYLEIDLDVHRFSFISRKGLEAFRERLKNGVIDLGLTIQAQKQEELPEHVLCSVRLNKVDFVDNGQIPTLLPCDDD >Dexi3B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:3B:9895472:9905208:1 gene:Dexi3B01G0013790 transcript:Dexi3B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRGLFPPRRLLPFPNSLTEEWKANKPSGAEAEPSPNPSSDRPPRRRRRSAAATAALIPAISIPPTRGAGALMRRAPWPTTFPFRGTGFLLILLGLFAVASGGPSRSAGGFNRAEADGDEAYSILTFHDYTPPPPPALPPPPAAPAATCAGDLRGVGDLNTQCVVKESVSLGGGVYISGNGSLVILGGVAVTCQRPGCVISANLSGGILLGNKARVVAGWVSLAAANVTLGTGAVVDTTALAGAPPDQTSGVPTGTYGDGGGHGGRGASCFVKKGQAQEDSWGGDTYAWSALKTPNSYGSKGGSTSVEKDYGGGGGGVMWLFATEILLNGTVLADGGDGGTKGGGGSGGSIYLKAATICMINIWLVEWSFYKNTYRNLLEFKHFPPDTLSEPGEEVAKLVLVEAMVWLVEVEDVFPLMFSAGMTMLKFLFMAQGQILLAGATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSIMTIDGGRESGVATSLLEGSNLIVLKVGPGAVLRGPLINGSSEEMAPTLNCEDESCPMEIFHPPEDCNVNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARRVTVQTSGTISATGLGCQGGIGQGKMLSSGISGGGGHGGKGGDGIYSGAHAEGGPAYGHADLPCELGSGSGNVSASSTAGGGIIVMGSLEQSLPNLSLSGSIEANGGNFTGLTSNATIGVPGGGSGGTILLFVRTLLLAKDSMLSSVGGTGSNGSGGGGGGRIHFHWSDIPTGDDYLPFATVEGTILTRGGVSEDHGFPGENGTVTGKDCPKGLYGTFCKECPSGTYKNITGSSKSLCSPCPPNELPHRAVYISVRGGVAETPCPYKCVSDRYRMPRCFTALEELIYTFGGPWLFGLLLSGLLFLLALVLSIARMKFVGTDDLPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKARLY >Dexi9B01G0038610.1:cds pep primary_assembly:Fonio_CM05836:9B:39592584:39592840:-1 gene:Dexi9B01G0038610 transcript:Dexi9B01G0038610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASEMEAPAAQVSAAAMAADQQAAEEAAAAGSEEAQPAAAAVPALYVGDLHEDVAEEHLFEAFSKIGTVTSIA >Dexi8B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:8B:4705776:4715934:-1 gene:Dexi8B01G0004970 transcript:Dexi8B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRGGKRRGPPPSAPSGAAAKRAHPSPGTPQPPPPAAAAAAPLEEDMMDEDVFLDDCILAEDEAALLMIQRDEALASRLARWKRPALPADLATGCSRAVAFQQLEIDYIIGESHKELLPNSSGPAAILRIFGVTREGHSICCQARMKESNRSSNVPRLVKRVELDFIREVDPDIIIGYNICKFDLPYLIERAEVLKITEFPILGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTVGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFTKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKSAHVELAERMRKRDPATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSVSISTPSNSGIMKFAKKQLTCLGCKAVISGASQTLCSHCKGRETELYCKTVANVSDLEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRWDF >Dexi8A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:8A:1028683:1030518:1 gene:Dexi8A01G0001450 transcript:Dexi8A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYARLRAAAASASAAGSSSPLLILPSAADADSLCAVRALAHVLSADSIRFSIYPVASAAAARDLLASFSTSSSSSLCLLLVNWGAHRDLRGILPPAATAFVVDSHRPVHLHNLCARNDRVIVLFTADDEQTADLSYDFDLSALADASDLDAEGDADDHLRVTGDASDSDASDSDSDDDGGGGGRRKRRRLSDDADAEGGDPVRLFARLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDLSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNRLCHPQALTKFCFFLMDALKERGARVKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSVAEEIGANYFHDMFESSWIVLDVVAVSSFMIRLTEKL >Dexi8B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:8B:930390:933431:-1 gene:Dexi8B01G0001380 transcript:Dexi8B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAEAEKELLSSVVGDIRCYSGSDPLRPWLRYSTSLLFRLFPVSLHRFPPRSIDRLIRPLFASGMRKMERALPPATLHEKLPRFLQKCAQEFQDDTRYRDDPRYLRVWIQLMDYVADAKPLLKKMETNGIGLKRASFYMAYALYYEKHKRFSDAEKKYRLGIQNLAEPIGELHKAHEQFILRMESYKRRKDKLQERIPRKVESSATAMNQVKGSALVGKSETEDACHHGLVEPTINTKEALDAINSMFLEPLEPETMLKRRSKREKTNYNQQRGAFDIFVDEDEHNSNDPDPNMLQNNSMKQGHTKSSEQTRGFEIFVDEDGPNGNNQNVGQNRNSKKANNQETGGLEIFVDEDGPNSSDHNLGQNRHSRKQNMKSNQETSGFEIFVDENEANVAAYNATCHKNNRRPPRPLCDSSKHQEETDFQKPFVAGFAILPDEEEEQYWKTVDNTNSRTVQPTHNNTNSLHPVQANSGTRYHEGSHPVSSGLQEDTVIRRFVGSTIDDEPKVENACHHGLVDPTVNLKEAMDDINNMFGKPLNFKGEKTKRKTNALSNGKAAPVSGFSILADDDIKENTCKASRSNSCKFGDENGLFEPTITTRDVMAEINDMFGMPLDF >Dexi5A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:5A:1375283:1377948:-1 gene:Dexi5A01G0001950 transcript:Dexi5A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKLLLCVLPAALLLASAGTGAVSSHPVRRYGSIFSFGDSYADTGNAMALGLPFVPPFLTYNGSFRQGANFAVAGATALDASFFSFVPRVAKPYVFNASTNVQLQWFESLKPSLCSNKVKCKGFFHRSLFFMGEFGINDYSFSLYGKNLSQIRSFAPDVVETISTATERVIKEGAKTVVVPGIPPMGCAPSNLGLFPNADPASYDPQTGCLKQLNDLAIYHNSLLQEAIKNVHTKHKDVKVIYVDFFTPIIDIIVSPKKLGFRGDILSCCCGGGGKYNYNISAFCGMPGATVCQDPSAYLYWDGGHFTEAVYRYIAKGWLNSIYNYHV >Dexi9B01G0022120.1:cds pep primary_assembly:Fonio_CM05836:9B:16761081:16761626:-1 gene:Dexi9B01G0022120 transcript:Dexi9B01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKRRQAPAAAPSSSSAVTAAAPREAPEEEVAAAAVASAAEGAGRKLRLYIVFYSMYGHVESLARRAAAGAGAVDGVEVVLRRVPETLPPEVLEKMQAPPKDPEVPVIASAAELPEADGVLYGAMAAQMKAFFDSTGSLWEEQKLAGKPAGFFVSTGTQGGGQETTA >DexiUA01G0005570.1:cds pep primary_assembly:Fonio_CM05836:UA:10081856:10090268:-1 gene:DexiUA01G0005570 transcript:DexiUA01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPSRPAGQRPATAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGERFVMLAELFPRTRGYRVDANAIVFGVHFARAHFLSTESKVVPWACWLLLQ >Dexi1A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:1A:9620959:9621239:1 gene:Dexi1A01G0010970 transcript:Dexi1A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQRAVLALKVNVDGAFCLETGDAGIGVVVRDDAGQPLLMASRRIFHCRDAEEAEALACLEGVRMSSRCLDLGLFLGDQDACREGA >Dexi3A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:3A:12594952:12596719:-1 gene:Dexi3A01G0016630 transcript:Dexi3A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFIGFMPFFSVDVYKKIVSENERLPFHERVIETEMDTKELETVLVEKEVELIDDPEVAESPPVPAMLDDEADFAESSPALPAVKESDVPESRFIEKLVKNERQVEGLPDNCNRVMVWNFNLEPPQLRYPTGWAIYKNLEANMPVI >Dexi1B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:1B:24993895:24993987:-1 gene:Dexi1B01G0018820 transcript:Dexi1B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRDEFRSFGVLRR >Dexi5A01G0038840.1:cds pep primary_assembly:Fonio_CM05836:5A:39665586:39666372:-1 gene:Dexi5A01G0038840 transcript:Dexi5A01G0038840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAVKLIAFFGSPYAFRAEAALCLKGVPYELLLEDLFGTKSELLLQLNPVHKKVPVLIHGDRAISESLVVAEYIDEAFDGPPLLPTDPYDRAMARFWADFIENKLTKPFFMAHWVEGEARGRFEKEGLELLTLLEEQLKGKKFFGGDRPGYLDVAASALGPWSSVIQEVMGVTVVREDEHPAICQWARDYSAHEALKTCFPDREKLLAYFIENLERYKTAVNAMLQQQQ >Dexi7A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:7A:27229023:27229622:-1 gene:Dexi7A01G0017620 transcript:Dexi7A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHPVQAASGSARDVTTHAPRRAVALEGGAIPCRQSATRYDGGHGSAVTTTSQTRDGTDDATTTRSARLPAGRRHDRAVGDLRTGRVMASGCVDDDGFRWPSWAAAAVRLRTLRDLFLHAARVSSGTVPIRGPVDRLHLACHVAWDVPVEPETAATDKP >Dexi8A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:8A:1005461:1005682:1 gene:Dexi8A01G0001400 transcript:Dexi8A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGALPSLLPKLADLLIGEYNLQKGVKGGIIFLQAELETMKATLEEISETPPDKLSKVDKIWARDVKELS >Dexi9A01G0039780.1:cds pep primary_assembly:Fonio_CM05836:9A:43613630:43614348:-1 gene:Dexi9A01G0039780 transcript:Dexi9A01G0039780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTGTAFDIDENGVLNVSAEDKTTGKTNEISISSDRGLLSKEEIERMVQEAEKFKAEDKEEI >Dexi4B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:4B:10529449:10537828:-1 gene:Dexi4B01G0012630 transcript:Dexi4B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTEPLRALAAGCAARNDACAVRFVPLETWRSGGPERLDVSTMAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTTGTIKQQLAAIAPTLEQLTKQKNERKREFVNVQSQIDQICGEIAGTIEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVGMVHDYCTVLGMDFLSTVTEVHPSLDDSIGDDSKSISNDTLSKLDKTVATLKEDKKMRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPGSLALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDSQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVHKIPALVETLVAKTRAWEENRGLSFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPASSKKAIGPKLNGSVSNGTPPNRRLSISGQQNGGGHGVRSGGKDSKKDAVKTASPGNNNGAVAAPAPAPAAAKEDVASLTSGTDPVPSTP >Dexi9B01G0029810.2:cds pep primary_assembly:Fonio_CM05836:9B:32211651:32212262:-1 gene:Dexi9B01G0029810 transcript:Dexi9B01G0029810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESTSTPSLTAASSPAMMSMMEQRLRMARWAWGAIPDAVPSALPLTLAFSTKLPAAVLAVWVPCPASSTGGAVEFTAALPNARAPMILLLHPPPAMDLNSQVPFHPLGGGWRPSSPKEGWLGRIPVSNIPITTPWPNPERLQNPSFPRWRPRKPGERVVASGRNTSGRAHPSVARSASASSSVSRAAKPVNTSV >Dexi9B01G0029810.1:cds pep primary_assembly:Fonio_CM05836:9B:32211329:32211644:-1 gene:Dexi9B01G0029810 transcript:Dexi9B01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGPSSPSSASASRPVDARGRKERCQSSTDPAAALSSRGRRWTMYAAARGGWGRSSSREARARHQPGFSISRSGAAAEEPASQWQCGWLGAMEGE >Dexi4B01G0024060.1:cds pep primary_assembly:Fonio_CM05836:4B:25246697:25248636:-1 gene:Dexi4B01G0024060 transcript:Dexi4B01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFRTGAELAKHRTTAKVAKHPPRPTTTSAKHLPDQEAETTTKHPPARRGRQSIRQNDADAAIIRLFMANEVSYVQERNHSALPCIAFAKDELDRCSHPAASREHRRDAAQRSRIPCRFPIAVRRASAVAFQIGRRELVLAGLSVASAPL >DexiUA01G0009120.1:cds pep primary_assembly:Fonio_CM05836:UA:17450710:17451591:-1 gene:DexiUA01G0009120 transcript:DexiUA01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVADLLAVQSELSKTRHGFHLLKKLDVDRYARRPEQWRANLTSKETTHRQFEAEFCSNSKSVAQNFEGKLPSQSPAKKRKQKEKSDKLIDDASHNNPGLSQNRNSKRTKSAKATSEKEYDSKKLSSEGTSMTLLKESGKRKSPGFLSDKSNLKKQKHQRPTSGKPDGKRFVQGSSSSTPFVKITSRPKQSITELADLAGKEKLTAAEVRKLLKPEMSGKS >Dexi5A01G0039150.1:cds pep primary_assembly:Fonio_CM05836:5A:39879582:39880037:-1 gene:Dexi5A01G0039150 transcript:Dexi5A01G0039150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSASSTSRDLGSLFAAFDKDADGRISASELRLCMRATLGEEVSAEEAEALVASVDADGDGLLDADEFARLALAEVGEEERHRGLRQAFGMYEMEGEGCITPTSLKRMLSKLGAHQEIDDCRAMICRFDLDGDGVLSFDEFKIMMNA >Dexi3B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:3B:13237362:13238761:1 gene:Dexi3B01G0017920 transcript:Dexi3B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSSGRWTRVRTLGRGASGAEVFLAADEASGELFAVKAAPAGGAEALRRERGVMAGLRSPHVVPCIGGRDARDGSYQLFLEFAPGGTLADAAARSPGGRLGERDAAAYAADVARGLAYVHGAGLVHGDVKPRNVVIGRDGRAKLADFGCARSAAAAGAATIGGTPAFMAPEVARGEEQGPAADVWALGCTLLEMATGRAPWSGVVADVLAAVRLIGYTDASPEVPRWLSAEAKDFLAGCLARRPCDRPTAAQLLEHPFLASAASVKGEWVSPKSTLDAAFWESDDSDDEDHHDVPSQSTAERIRALACPASALPEDWDSDEEWIDVLSTATESSGETMAPVADEVTCDDDGVGSEEEEEEAASAEAERRDIDMDNSDGSHIVGETDSSAEHERHHHLAR >Dexi4B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:4B:3686735:3688203:-1 gene:Dexi4B01G0005210 transcript:Dexi4B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWSVPTPVQEVAAGVVEPPSQFVLQEQDRPGSQLATDMPEPIPMIDLSRLTEDDEAAKLQSALQSWGLFLITNHGIEESLMDAVMGASRDFFHQPLEEKQKYSNLIGGKRFQVEGYGTDVVLSKDQTLDWHDRLFIRVDPEDERNLAFWPKHPESFRVLELDEDYFISQIGGDKAPALARFNYYPPCPRPELVFGARPHSDGGALTILLVANGVGGLQVQRDGIWYAVPSKPHTLVVNLADSMEIMNNGIFKSPVHRVVTNSEQERLSLAMFYGVQGHKMLEPAAGLLDEKRPARYRKIKAFDYIVGLREHFSKGKRFIETLKI >Dexi2A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:2A:311101:312853:-1 gene:Dexi2A01G0000560 transcript:Dexi2A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGAIRKSGYIVIKNRPCKVVDVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPHVERTEYQLIDISEDGYVSLLTESGNTKDDLKLPTDENLQAQIKSGYDDGKDLILTVMSAMGEEQICALKEIGGKN >Dexi9B01G0035410.1:cds pep primary_assembly:Fonio_CM05836:9B:37091686:37095813:-1 gene:Dexi9B01G0035410 transcript:Dexi9B01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFSLSGDEADDDKKKSGREQSNGGGYFDKLKEEMEIRKQMNMSELNDLDEDTRVEIEGFRTGTYVRLEVHGVPFELVEHFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQNLSNNQAAKIEPGDVLKRKGENTEGIARCTFEDRILMSDIVFLRAWVNVEVPTYCNLVTTALQPRKETWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKPRKFNPVEIPAKLQQLLPFKSRPKDRPKQRQPTVENRVPVIMEHNERKTHEAIRQLMLLRHEKAKKKKIKEQQKKKAYEAEKAKTEQVTKKRQREERRERYREEDKQKKRARR >Dexi3B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:3B:2601242:2602689:1 gene:Dexi3B01G0003800 transcript:Dexi3B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESVWCNRIANQMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >Dexi9B01G0025450.1:cds pep primary_assembly:Fonio_CM05836:9B:25835853:25836985:-1 gene:Dexi9B01G0025450 transcript:Dexi9B01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMSCDSSSTKLSTGSMPDDALAAMLLRVPAHPACLARACVANKGLRRVITKPGFLRAFQEHHHNAPPLLGFFHDDIGLPSNFIPVSDKPDRVSAEAFDPKDLGWRVLDSRHGRVLLRSPDRLRSWSGNPWLDAGSTSTRHRRSTRTTTSSPTLPCQEGKTVVYLCSTEEGSWNEVASGDFSPLMVTERPVVLLGNVLYWTMAQVTGFPEAILTFELGAQNKLYVIEQPLYTFDADHEHVQVMEAEGGLVGLVVACGLGLQLWVLHENNGKKGWVMEREIYLDEKLAPLPNPVFYDYYQIWILGVDGNVVFLRTETAIFEVDLQTEEAKRLCDGHEIAALYPYRSFYRQ >Dexi5B01G0027930.1:cds pep primary_assembly:Fonio_CM05836:5B:29440333:29442442:-1 gene:Dexi5B01G0027930 transcript:Dexi5B01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAMEEALPNPNQRRKGGLRTIPFIISNEIFEKVASFGLTANMILYLTERYLMSSALATVVLYNWNAFSNFLPIFGAVLADAYLGRFRVIALGSFVSLSGMCLLCLTAILPVYKKTAACAASLGGCEVVPWQMPLLFTSFALMSIGSGGIRPCTLAFGADQLDKRDNSAKNVRTLQTFFNWYYTVLGLSIVFAATVIVYIQQARGWVVGFVVPVVLMVTALTLFLLGSPFYLRAMADRSAILGLVQVLVATYKNRHEPLPPDTSDTSCFFNKAGSKPRTPTNKLRYLNRACVLRNPAKELNAEGAACDPWRLCTVQMVEDTKAVIRVLPIWSTGILPGVIVGQQMFPTLQAKTMDRKVGHLEIPAATFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGLSLRQRMGFGLALFAVAMAVAARTETVRRAAAVAEGLRDADPRTGPPVHMSAMRLVPQHCLTGLAEGLNLIGQIEFYYSEFPKTMSSIGVSLLALGLGFGALLGSAIVAIIGTATRGSGDGWLPNNLNRGHYDDYYLVLAVLGASNVVYFVACAWAYGEEGQNRVAAAADDAVVEEDGKEEEEQHKAVIM >Dexi5A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:5A:6542114:6544940:1 gene:Dexi5A01G0008750 transcript:Dexi5A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRPRHELIARCGVKRMEQVQVFAPRGHAETSAAASQHRAEKIIVSVDADLLQCCVCSGPLTTPLFQCTKGHISCSECCTDGGMNDECECLMCREPETATRCRAMERVLAGVSMQCAFRQHGCAETIPYASKQLHEASCGYAPVHCPLPGCVGYAGNARSSLSDHVMVDHQDVHHTRVRPGCLTPLRMRAFEQARVLLLGHGHRLAEFLLVVGRDVPSGRSLSLVGLMGEEFEDCKYRIEVVGKNGVLALSGQATRVQRLAGPYQASAFLFVPDAVWDSFQLDIPVFIHLK >Dexi9B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:9B:6110133:6116188:-1 gene:Dexi9B01G0009930 transcript:Dexi9B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPQDDKSDRPSGGGGGTSREQERRPSKAWGIIIFGLIGATTATFAVGPLLLVMLTITQVRRSVDWFYTQTAFRTKAMEVHPDQNQDDRVANSMNVLSVTEAAEEKFKEPRLLPFAHSLLSARPDHDRPAIFLSLLESLSKAGHVATAEQLVEELQLRLPLSLRHYTALLYGWCRQGKLDEAKHVLARMKAADVALDVVAFNTLLAGFVADGRFEDAFELTREMDRRGCLPNAVSYTTLMQGLGARGRVEEAMRVFVEMRRKGCPPDAVTYGTLVSAFCKAGKISQGYEFLDAMSRDGLRVDPAVYQGFFVAHEKKEQLEECLELMERMRECRCPPDIKIYNVVIRLACKLGETKQAMTLWNEMENGGLSPGVDTFAIMVSGLVGQGALIEACSYFKDMAGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWECIVSKGCELNVSAWTIWIHALYTKKHVKEACLYCIDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRTRGQKKSRCRQSDQGRSSRNADLLDASDDEDI >Dexi6A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:6A:28580604:28585509:-1 gene:Dexi6A01G0021280 transcript:Dexi6A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLMLYLMTIYVCLGWLLVFIGNQVTHDFLVFEFLQSGPKGQLPADAGVIPRAVKQIFDALERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEDRQKKTLPLMEDGKGGVLVRGLEEEIVTNANEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRQVASVNHVIVLSFLYLSMSQVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEENQKQISDLQQKYNSELQHSADLSKKLEVTEKCLDHTSSLLSTTKEDLKQAQYNLREKDFIISEQKKAENALTHQACVLRSDLEKSNRDNASLYSKIGKRLDNLYYYQQNKHLKCVEDLCQSCVDSHDKATSELKKKILASKSLYMSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQASNLLLACVEGEAQNIFGDIQNLLTTHRSELTKFTQELRESFLISLDRTKEMSTFIIGLFDKYVEETSKLHNHSTNTHEAQMKSIEDFQMAYEVGVRLSSLGDAARGNKAFLDEHTSAMECVTKDAKRKWEIFAEQTENDCKVGSSSSAAKHCRMETMLQEWTAAENNEQHEAEIASSRAVAEEHASNSSKDITQDIDNLLEEARSSSSRVVSTVEAHFAELQLLQENHSSQAAGINTHADKAFQSSYKDYEPTGETPVRSEVNVPSKGTIESLRAMPMETLVSEFRENHPYESSKEPKASLIPRSPLATLN >Dexi2A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:2A:15434571:15438070:-1 gene:Dexi2A01G0012990 transcript:Dexi2A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLGALAEDRRPDIHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGQALSSSPSVGGDCTRFSPQIVPENNSSKTWTDNQRAPAAEPSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERPFKCSPMLKSSFDSENGLDYPEDLITKPDNMPTAQSSLRTLGIKNLVKDSIYKDSRDSSVRVCNGEEVKDHPCNFVDTPRQLDGPPSDITQGKSKGLMDINESLRMLAKLREASWTPSDSGHHARLSYDAPRFSYDGKEAASRLREVPRLSLDIKEGHLWNREMNSRSKSGLSSSDRSSSNGTGSIAALETQQEQPVCKRLPSVVAKLMGLEELPEHNESTASSQACKAIKESKQEAMLNPLSISSHNGPAPRQQRNRDSAVRNLSNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVASVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGKHDGQEMTNLDTRLNSNTNSISPEESNTESSFRSPIVIMKPSKSANLFGEVDSSVIPLGGSSDLPQLQAGNSTDKRKSLTTNRIAKEQQAKWSPRIPTSQPLVSYDRKTNGRNDDSSNKQKSSSLLVTESSSRRQQLPRDSSISLQKNKNSTSPRLLQKKLDSERKVRPPIPSAESNKSQRQSGDRNNLDTVSPRSKLRRRPVRAQECDDGMPNRLNNRTRSLNQQGNDMSSRSDGSMCVASEVDIEVISNDRSTEVNVSNFEQGNGTPSGRNPQNVKTSYDASKDVSSVDPSASLSERPSPVSVLDSSFDQEDLFPTSRTSNSLTAGKIIFLRLQTLGC >Dexi5A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:5A:7144026:7145303:1 gene:Dexi5A01G0009540 transcript:Dexi5A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEELLRCQIHEWYPAFRRHSIPTVIIPLPAAFLRYLAGQAAYPNPDAGADSDEEPPPFLLPAITSGRQPFAPVHAHNPDPVSLLNSDLFFGSSTEDVYDPDAEHPHRPEFPELEAAIDAAIAELGGAALPKLNWSAPKDATFMSAEGTTKCSCFAEVAMLLRSSDCVAHDLTSARQSCEDFVRLEGARRNARKVGAEEGARPNTNQTGGSKGMSEAPSDSKETSGEEDKAYSADCDLEDALEEEDDGDTWVDDGFQYYLALRKWYQGLRPESEFRCFVRERKLIAVSQRDASAYYPSLPGWISEVQPKIEAFFEEVIGPQFGSNNYTFDVYVRTDRRVKLIDFNPWGGYTLPLLFTWDELEEEGRGHELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADEELNRQMNSLDGDS >Dexi5A01G0039220.1:cds pep primary_assembly:Fonio_CM05836:5A:39956076:39959337:1 gene:Dexi5A01G0039220 transcript:Dexi5A01G0039220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTALLLLLLQHLFPLLLLSPAMVLPARAAGDMSMAVNEEVLGLVVFKSALSDPTGALATWTESDATPCGWRCVECDPSTSRVLRLSLAGLSLSGAMPRGLDRLPALQELTLAHNNISGPLPPGLSLLASLRSLDLSHNAFSGPLPDDVALLASLRYLDLTGNAFSGPLPAAFPPTIRFLMLSDNQFSGPVPEGLSSKSPLLLHLNVSGNQLSGSPDFAGALWPLERLRTLDLSRNQLTGPVTDGIARLHNLKTLTLAGNRFSGAVPQDIGMCPHLSTIDLSSNAFDGHLPDSIAQLGSLVYLSASGNRLAGEVPAWLGKLTALQHLDLSDNALTGSLPDSLGDLKALKYLSLSRNQLSGCVPASMAGCTKLAELHLRGNSLGGAIPDALFDVGLETLDMSSNALSGGVLPSSGSSSTRMAAETLQWLDLSGNQLTGGIPTETMMLFSKLRYLNLSGNHDLRAQLPPELGTLRDLTVLDLRSTGLYGPIPADLCESGSLAVLQLDGNSLAGPIPDSIGKCSSLYLLSLGHNGLTGPIPAGISELKKLEILRLEYNKLSGEIPQQLGALENLLAVNISHNQLVGRLPASGVFQSLDASALEGNAGICSQLVAEPCRMNVPKPLVLDPNEVTHGGGDGGDNNLETSGAGMPRKRRVLSVSAMVAICAALVIILGVVVITLLNMSARRRATARAVDDPEKKNKDYPESVVDVSSTTKSSSSSSGKGTKGKLAAGKVVTFGPGSSLRSEDLVAGADALLRKATEIGRGALGTVYRASVGDGRLVAIKKLSSSTMASSRDDFEREVRVLGKAKHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGGGDGRPAMTWEERFRVVSGTARGLAHLHHAFRPPLIHYNVKPSNIFLVDERCEAVVGDFGLARLAPEQRPVTVAVAVGGGGYVAPELACQSLRVNEKCDIYGLGVVILEVVTGRRAVEYGDDDVVVLQDQVRVLLEHGNALDCVDPAMGDDFPEEEVLPLLKLAMVCTSQIPSNRPSMAEVVQILQVIKAPVPVRRATSRMEAAAAAAAF >Dexi2A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:2A:23069117:23070560:-1 gene:Dexi2A01G0014150 transcript:Dexi2A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPPPTLVPPPRHPHTRSRGKHGRCPAPATNTFAVEDYLVSKSLTHLKSGSNEDALLAFLMGLGLSPKKVADVALREEGRRCRPSLLVLEKGRRRRPSLLDPEKLLRGRREGAAAVGTSDGAAALPWCRRWPQEKRRHRQCPPPTAGKGEAAPVGAREKGRRREGGWGLVTWLEVARVGAGAGAGAPLAEGGVAGSGGGAAGEGVGRRQVDE >Dexi9A01G0042960.1:cds pep primary_assembly:Fonio_CM05836:9A:46499272:46501800:-1 gene:Dexi9A01G0042960 transcript:Dexi9A01G0042960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGAAAAAAAVSLIACYLLFRNRSTKVPWAPTVRSSCASGRRTRRRGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGELTCGGTVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKLAAVQTQTNGLVHVDSKTAYDKLATMQRESNRTQNNDPSHVSAQMPRSGKCNPSSDSKGGFFADQFENMANYRAHYEWTGPEIWEQTKGSLDAFVAAAGTGGTIAGVSRYLKEKNKSIRCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTRNFMMAELDGAYRGTDREAVEMSRYSDYLTAFTWNIKLRFLLRNDGLFVGSSSAMNCVGAVRVARDLGPGHTIVTVLCDSGMRHLSKFFNDQYLADHGLTPTATGLEFLDK >Dexi3A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:3A:3290886:3291719:1 gene:Dexi3A01G0005090 transcript:Dexi3A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPSPPVAAGAVVPPPLPAPPPPSPSTRRTTRRQVLMVSSGLLLAAAGSSISTGAGARAAAGDRGGGGEQVGTAALVGYDGPVVTEADKAASAAVSRRVGEAVRLLELGRELQARGEFPEALASFTRVVREYADLALSEYARVGRALVLYEIGDRDESIAEMEDVSIALKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVGSLHDFITLS >Dexi1A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:1A:1673341:1674894:1 gene:Dexi1A01G0002490 transcript:Dexi1A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPLGGARSRRGASATGGGVTLERCVTCPLTHAARSNKRKRKTKTKTSTPAEPIPELSSEILMDILVRLPVKSLLRCKAVCKAWRAVVTDPLFVRAHLQSSAARCEQNPTLVVTPHTLDSVIPYEDWPTTFSNNISFYQWQQGARMATFMHAKDFGSEFRSARHFAHCDGLVLAPTDTKLYLFNPATRESITLPDSGCGCKLTRGEAARCCCCAGLGRDSRSGEYKVVRAFYRSMDHDTTMGTDMGMEVFTVSGNGGGTWREIMDHLPYPAANWHTAVTVNGFLYWRVDGNHDKHPPWGPLHLSLADETFGITMLPDSVDPVFPNSFSLDELHGELCVGELTSEETVIIWTMPIQDEGQGLYWEQRCTVRLSGLFHPVAFLPGS >Dexi3B01G0023570.1:cds pep primary_assembly:Fonio_CM05836:3B:18397405:18398028:1 gene:Dexi3B01G0023570 transcript:Dexi3B01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKHRLIDGDGPMKKTSSRLPQPRSHLLILLVLTAAAAAAAAAMAAEPEQNAAPAAAQEAAVHIVYVDRPEGADPEEFHLRTLTPVLGSEQKAKDAVLYHYKHAASGFSAKLTPQQVEELKR >Dexi1A01G0029750.1:cds pep primary_assembly:Fonio_CM05836:1A:35190148:35191467:-1 gene:Dexi1A01G0029750 transcript:Dexi1A01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADWKNEERLVMEDGDIEDCLVGGSEEDDDKVEAEAGSLDSQRWPRSFREATDTFTIAAPPGFGQLTGGGGSDLKLPLLSDKPNDGKQDSAGTLGSVLCDGKLSATAPVVII >Dexi6B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:6B:6901814:6904414:-1 gene:Dexi6B01G0006300 transcript:Dexi6B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIRTYAAENDKGAQAAADLGIGRVIIETDAAMVRNAVLSADSDLLPVGSKTLAGCCFGDIGYTQEIIDVVAKHDVMAYVEVIGAATREGGGGHRAASCGKKEKEKKLKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKILYK >Dexi8A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:8A:24398067:24400552:-1 gene:Dexi8A01G0014100 transcript:Dexi8A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMIGVDASIANAFWRILIAEVPTMAIEKIFMADNTSVIAYEVLSHRLGVIPLDADPRLFDHISVKSGELEWLPEGSQLSMASPGQSGDKQKTFTSFGQSQKDILDKPFGVKFKDITIARLGPGQAIELEAHAIKGIGNVHAKWSPVATTWYRMLPEVVFLKQIEGDEAEELVKKCPVNVFDIEDLVTIESTGALPPEVLFTEAVKILEEKCGKVISELS >Dexi9B01G0044210.1:cds pep primary_assembly:Fonio_CM05836:9B:44025747:44027183:-1 gene:Dexi9B01G0044210 transcript:Dexi9B01G0044210.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTSELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGQTSLR >Dexi1A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:1A:20558158:20560616:1 gene:Dexi1A01G0014160 transcript:Dexi1A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFASHARRLLITGAGAPARSLHAEPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQRLLEARTLRLKKLGIPCKHVSLCCYISEFYSTMQRKLILSFAHKYRLGLWKPRAEPRKVE >Dexi4A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:4A:20086090:20086559:-1 gene:Dexi4A01G0016440 transcript:Dexi4A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSKQPALAPGGGAASRSLLPADSTKPASHGKSGAGNLGAKGPTSAYLIVPMGGHPAQVGASSPDAPHLPGERPVAGVLEAAAACADGPATFSRNSCATAITICYGKEDAFSIAEGSDAEAFSIAEGSDAEAFSIAEGSDAEAFSVAGICH >Dexi8A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:8A:356011:359307:1 gene:Dexi8A01G0000500 transcript:Dexi8A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHRQNKRRRLSPSPAPFDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRIIRPLRADLLQAALARYPSVSRLDLSLCARVPDAALAAVPSSLRAVDLSRSSGFGAAGIAALARACPGLADLDLSNGVHLGDAAAAEVARMRKLQRLSLSRCKQVTDMGLGCVAVGCTDLRDLSLKWCIGITDLGLNLLALKCTKLTSLDLSYTMITKESLLSIIKLPNLQVLTLVGCIGIDDDALASLEKEYSKSLQVLDMSHCQNVTDVGVSSIVKSIPNLLELNLSYCCPVTPSMVRSLQKVSKLQTLKLEGCKFMADGLKAIGSSCVSIRELSLSKSTGVTDSELSFAVSKLKNLLKLDITCCRNITDVSVASITRSCTSLVSLRMESCSHVSSGALQLIGKHCSHLEELDFTDNDLDDEGLKALTGCTNLSSLKIGICLRISDEGLTHIGKSCPKLRDIDLYRCGGISDDGVIQIAQGCPMLESINLSYCTEVTDRSLMSLSKCTNLNTLEVRGCPRVSSAGLSEIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHGLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLIAALMVCGGLTKVKLHEAFKSMMPPHMLKNVETRGCRFQWINKPFKVEVEPCDVWKQQSQDVLVR >Dexi9A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:9A:13554624:13555458:1 gene:Dexi9A01G0018460 transcript:Dexi9A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVMFGGTETVASAIEWALAEMMHSPDDLRRLQQELADVVGYDRNVDESDLDKLPFLKCVIKETLRLHPPIPLLLHETAEDCVVGGYSVPKGSRVMINVWAIGRHRGSWKDADVFRPSRFMAGEGGEAAGLDFKGSCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHGFNWSLPDGMKPSELDMGDVFGLTAPRATRLYAVPTPRLNCPLY >Dexi3A01G0032090.1:cds pep primary_assembly:Fonio_CM05836:3A:36791159:36791787:1 gene:Dexi3A01G0032090 transcript:Dexi3A01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVITDECTVAVSTERLWKACFGDAMPAILPKACAGIIDAVEVDGPGGAGTVTTMKFNPWEVLEGGKVTKQLKSQVNEIRVEAAGEGVSVVKVKVEYETLGDAPLPAEDQARLTKAYLGLVKKVEAYLAAHPDELA >Dexi9B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:9B:14160004:14161332:1 gene:Dexi9B01G0019620 transcript:Dexi9B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATPSSNKRTKASALPDEIVEEILARLPVKSLRRFQCVSKPWRHLITSPSFRTLHATRAIGRRRRLFVRPAGYGEPFYACEQHGISSSPDEEILSCWSSQLAPGTVFPISKPCHGLVLLRCVEYDAHYVWNLSSGETLALPDRTPFRTAGLKPRTFVSYGLGYSSVTDEHKAVRVYCLDVDAGGEPHAATAFCEVFTLDRSTHWRPAGTQPPAACRLRVRGSQGAVLCNGNLHFVGKDGVITSFGVEDETFGTLMPPSGLEYSGFDLTELDGCLCVYFSNQTNPWPDVPYRVWLLRDYAAAKDGSCWEEVRCIDWGSMTDAERAAFKSRWIAPLGMYCPDDGSNTGQTNKKIMFGTVSCKVFVVDPSNGGGTLPVVIFSLDCVQRDGQFPTMGLFEESLTSVGRASDEIILSSPSAEAWCQVLSRLPARTVGRWFPRIGVP >Dexi7B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:7B:15516267:15519513:-1 gene:Dexi7B01G0007750 transcript:Dexi7B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNTCYVMKSRRFVGIADSSFEDAADGIGEFGERMSASHNHKVKGVEGTMGIVEKQDLAWNSNLLSFEDDDFLLSGSSKLHASTSGETALSGKMGLSEPTIDRSRSVGTSKASCGHNFNGFSFRMEELKIFSQEVVRFGNRCKDPQWHNLDRYFFKLESESAPQKQLKETAIAEMQKLMALVQRTTELYHELHALDRFEQDYNSKLKGKDTERFEKGDNIQIVRLELKTQRNYVKSLKKRSLWSKTLEEVVEKLVEVVHYIHIEINSTFGSSDGFALSTESTVSCQRLGPAGLALHYANIIIQIYSIVSFFSLFMLTNTREALYQGLPPRVRSVLPNRLKTSSVPQEMTIDDIRARMEKSLKWLVPMAVNTTCARGFLRFSEWAKSGTDRVGRRPGQADPIETLYHADKARTEDHILELVVWLHHLVNQSSRPAVQKTTDQSLHLTNSTK >Dexi2B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:2B:4242762:4246324:-1 gene:Dexi2B01G0004660 transcript:Dexi2B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEIYVSTIDGAAERILQLLEDHIYGDRSVSSRNNVFYFDGWDGLGASAVLRALAERLTPTTPAGKKALAEQEFDQLVHIDCSMWESRRALQRAVAEQLQLPDHVMELFDRQDEEGDFKGVSKGSRAELPEVLRVMYQHVQNKSNGLLVIFHNGSSEEIDLASLCGFRLSGFATNKVLWTFQGRFRHRPRAKVDMAMKSAGTTDAFVSVVQPDVPEFDKPHLWSYLVSQEASELVTAHKINTRPHSTSDQPAQVHECFLYLLELCCRSTHSVDYDLTTHIPNYWVCYGIIHQLLRQGQRDDISADGDNDKLWRAAEALQREMQLDVDYHQYSPSSPLAKFVKSKPYWTSPTCGFTWIPASGAIPNKDTFQGYSDKLHVLKLSRCTFDFKSPPFICCHSLRFLWLNYCQGTRTSTDGGAGKEGDVRRCFQRLWVLDVRHTPCDQILSVQMLDLMTQLRELNMIGAQHWDMGQLQGRLPNIHKLRVKDSGVICSCSENDLFSEANKLELLDFPGSREIISSPMRSLSAQRVSSAACLTTADGGCKYEGVKSPSRSEQQVMVILQPQTAPAIYAADITVDYLKQTSEGNGDASGHIPLLVTISYPNGFSQLKTLEIMWCGDLEEALPLAINEYSAERLQQQLVILEFTSLKHIHLHELPRLRSICGIKISAPNLETIKIRGCWSLKSLPYVGSGSKVVECDCEKEWWDRLEWEDSSQVNRYKPIHSRYYKKTMLRGSVLR >Dexi1B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:1B:9196467:9197684:1 gene:Dexi1B01G0009830 transcript:Dexi1B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFKAWHCLLALSLLCSATNGQLTPTFYASTCPTLEQVVRNTMISAIQTERRMGASLLRLFFHDCFVQGCDASILLDDTGSFTGEKTAGPNANSVRGFEVIDQIKTNVEAACPGVVSCADIVALAARDGTFLLGGPNWAVPLGRRDSTTASLSQANSDLPAPTSSLATLITKFSNKGLSARDMTALSGAHTIGFSQCKNFRDHIYNDTNIDSSFATARRGSCPAAQGSGDSNLAPLDEQTQLVFDNAYYGNLLVKHGLLHSDQELFNGGSQDTLVQQYGSNPGLFASDFVTAMIKMGNISPNTGSAGQIRANCRVVNSS >Dexi9B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:9B:6715832:6719401:1 gene:Dexi9B01G0010660 transcript:Dexi9B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTASPARRRRRPRAALCCASVLLALMAISVGAAAQTAASDVEAMRAVAKAMGADKTLGWDIAGDPCSPKPWDHVSCDSSGRVTAIQVGARGLTGTLAPEVRNLTELSRLEVFGNFLAGPLPSLAGLSSLQVLLARDCNFTSIPADFFKGLTGLTTVDIDHNPFAPWTLPDDLAGCTGLNNFSANKANITGTLPGFFGAMPVLQQLSLAYNKLSGPVPASLAAAPLEQLWLNNQDAPSLNGSISFVGNMTSLQQLWLQSNAFTGPLPDFTGLQSLSDLQLRDNKLTGPVPDSLVNLKSLTKLTLTNNLLQGPMPNFSAVQPDLIATSERFCLQEPAKPCDPRVSLLLEVAAGFMYPAVLADRWVGNNPCNNFVGVRCNSAGNITILDFSRMQLSGSISPAIGQIGSLERLILSNNNITGTVPEEVAALPRLTNVDLSNNNLYGKLPTFAAKNAVVKTDGNPNIGKDAPAPTAGSDGNDNNSPSRGGGSGSNGNDGGSSSSSSGVIAGSVIGAVAGLGLLAALGFYCYKRKQKPFGRVQSPHAMVIHPRHSGSDDVVKITVAGGNVNGGARAGETHSQASTGPRDIHVVESGNMVISIQVLRSVTNNFSEDNILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWSENNLQPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLNKDAFRKAIDPVIDLDEETFASVSTVSELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPTDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFVASLDNTQTSIPTRPPGFAESFTSADGR >Dexi1A01G0024620.1:cds pep primary_assembly:Fonio_CM05836:1A:31110766:31112494:-1 gene:Dexi1A01G0024620 transcript:Dexi1A01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASVAALRTAGSGRCRGAGSPQLSLNGGRFLMMQRRELVTNAGIALAVSCSMATAPAAANGSAQGLEILPFKPDGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATIWMEQVADFLREIVKEPAVVVGNSLGGFTTLFTATEVPELVRGVVLLNSAGQFADPSKPAAAPAEEEEGSPLSRFIVKPLKEAFQRVVLGFLFWQSKQPARVEKVLKSVYIDSSNVDEYLIGSITAPTADPNAGEVYYRLMSRFMSNQSRYTLDRLLGKMSCPLLLLWGDLDPWVGPAKAARIQEFYADTTVVHLQAGHCPHDEAPEQANRALLEWLAALDARAKPAEPTLQTV >Dexi3B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:3B:10468242:10471803:1 gene:Dexi3B01G0014500 transcript:Dexi3B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGGSGGGSRNGGVVAGGGGRQPAGRADGGGERLPWLANGGVQVQVQRGVIAGCGKGIPHETMEKEENKEASVSISNNDTDTNDVENDDYDDDDGKHAVVLGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVTILTLTILTPGRPDLILPIPLVSDDKGHAFALKDGSTYSFRFSFTVSNNIVSGLRYRHTVWKTGVRVENQKVMLGTYSPQPEPYTYEAEEDTTPSGIFARGSYTAKLKFVDDDGKVHLDMSYCFEIRKDWPASP >Dexi3B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:3B:4202719:4203024:-1 gene:Dexi3B01G0006090 transcript:Dexi3B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESRLRRGHVDDFERPADGAAAATLAVADEEYELAQLGTIPGEGLDAAGRRAAHAQIHREVRARMPLVVVVHTDEDETVVCRDGDGDARFLLVPEATGGD >Dexi9B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:9B:5933965:5937111:1 gene:Dexi9B01G0009590 transcript:Dexi9B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTHLRSGSALLSPAAGRRARARRAPSSVSVRCDASPPAGSSSSAAAASLDPDFDKKAFRHNLTRSDNYNRKGFGYKKETLELMSQEYTSDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWLTNEIIHNPTVNKRLDDMGVEIIPVDAGIKDFNVVEKGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKSEYTSIIHGKYSHEETVATASFAGKYIIVKNIAEATYVCDYILGGKFDGSSSTKEAFLEKFKKAVSPGFDPDVDLDKVGIANQTTMLKGETEEIGKLVERTMMQKYGVENVNDHFIAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNRISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEILQAA >Dexi4B01G0022590.1:cds pep primary_assembly:Fonio_CM05836:4B:24122479:24123744:1 gene:Dexi4B01G0022590 transcript:Dexi4B01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSVRLHHHQPSSWRRSPTPTSNLQFLAARCRWRRLPGAAAARPLRLRATGAAPPPFGSNDSLPGAIEVEEREDQHPPPPQRLKIAVVGFGNYGQFLTRTLVAQGHTVLAHSRSDYSAAAAGLGARFFPDPHDLCECHPDVVLLATSILSAESVVRSLPLHRLRRDTLFADVLSVKEFPKNLLLGALPEEFDIICTHPMFGPESAGNGWAGLPFVFDEVRVGDCPARRARADAFLKIFESEGCRMVEMSCAEHDAHAAGTQFLTHTVGRMLAMLDLRSTPINTKGYESLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWGMDAVKKKLFDGLHDVLRKQLFDFEVSPEDPAELVVAGGDPDTDEDGEDDGDAVDGDESGSEGNN >Dexi3A01G0030760.1:cds pep primary_assembly:Fonio_CM05836:3A:35097306:35098956:-1 gene:Dexi3A01G0030760 transcript:Dexi3A01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIRSGDLLACPAALRRVPATVGVQVVSVRSRRAARGCAVAVAVRAEATAEGVGKAKGGKKRPASGITKPKPISPELREFVGGAAELPRTEAIKLVWAHIKGNNLQDPNNKKIIVCDDKLKKIFGGRDRVGFLEISGLLNPHFPK >Dexi2A01G0034650.1:cds pep primary_assembly:Fonio_CM05836:2A:44520077:44521840:-1 gene:Dexi2A01G0034650 transcript:Dexi2A01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGPRVMAATLPVLTVLALLGSVSCQGRYGDGNPAPAPQPPTTYPPTTSQAHSNPPSSSRPPPSKVPPPLTYPTSPIPPSLKISPSRPPIYPPPTSPNSPSPKATYPSMSPRAPPTKSLQPSVYPPRSSGSPSPKGPRPPTYPPMRSSSPPPKLPQPPVYPPPSLLPPKALQSPTYPPTISSPPPITPLSPTYPPTSPSPPPHVPSSPTPPTYPPTSTIPPPPMPPPPPSSDDAGKNLMVGYYKNMCGPYVDVEAIIRKHVSSFDDGMKAGLIRLFFHDCFIRGCDASILLDPTSDNPQPEKLGIPNFPSMRGYEVIDAAKAELEATCPGKVSCADIVAFAARDATFFLSGGDISFDMPAGRYDGNVSLAGETLPNLPPPFAGLQQLVKMFADKGLDSFDMVTLSGAHSVGRSHCSSFSWDRLPPSTTSDIDPAFAGELVANCSSATNAGGDNTVAQDDKTPDVMDNEYYQNVLDHRVLFTSDAALITSTMDMTTYLVRVYAIFPWLWQQKFAEAMVKMGRIEIKTAATGEIRKTCRVVNSRQ >Dexi4A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:4A:16878798:16879328:1 gene:Dexi4A01G0014590 transcript:Dexi4A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGIPSSSDAPSTSSPPPRALLISSAIFLSILFGAFAVVAALALCCCRRRVRTSSAADSARTEELSGARAGGDLPFPVETLPTFAYARQQTDGEHGCTAACECAVCLSAVQEGEMVRQLPTSRHVYHIECIDMWLVAHRTCPLCRSELDHPCKVNSDALPAPPQEDPPDDHQMPV >Dexi3B01G0035510.1:cds pep primary_assembly:Fonio_CM05836:3B:38521056:38522666:-1 gene:Dexi3B01G0035510 transcript:Dexi3B01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGDEMMPVEAAAAAEEDWSACAFSLTCDEDCADLGDGSVVDEGESFSPCNAGDEEEEEYVEHLVFKETSFCSSSSDSAAVDCDGDEDAVGEYQYALSEEWFRRARLDAVKWILETRGCFGFSHRTAYLAIAYFDSFLLRRRVDREAMPWAARLLSVACVSVAAKMEECQVPALSELDAGGDYDFCPASICRMELLVLSTLGWRMDAVTPLDFLPCFSSRLHPHGGAGAGGGRVALKAIGFIFATAEAGSVLDHRPSTVAAAAILAATYGPLLTKEALDSKMSYLSPSCLIIKEHIHACYSTMVRDMNRRGSKRSLPCSGCNEVATSIDSVLVDDVTDTVAAFATAVAARNKRIRLDLPGIR >Dexi2B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:2B:12077109:12077444:1 gene:Dexi2B01G0010650 transcript:Dexi2B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGSWGALTLLTSHGVWASQPVGAGTDAPGSKNGRDRGEAPWREDQQGEAQRRADRQRGGVAEGGAARGGAVEAEPGRRRRAALTREIAVEWGGPEECGVCAWGGEESG >Dexi5A01G0030850.1:cds pep primary_assembly:Fonio_CM05836:5A:33703461:33706753:-1 gene:Dexi5A01G0030850 transcript:Dexi5A01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACPLPLLAALLLAAAAATSALTDDVLALVVFKTGVSDPSGRLAAWTEDDDRPCSWPGVGCDARTGRVASLSLPAASLSGRLPHALLRLDALLSLALPRNNLSGPVLPNLLAALPRLRSLDLSSNRLAAPVPAQLFAQCRSVRAISLAHNQLSGYIPPAVASCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRTSLLREVDLSHNLLAGEIPADVGEAALLKSLDFGHNLFSGGLPDSLRRLTGLQFLGAGGNALAGELPAWIGEMWALERLVLSGNRFTGDIPYTVANCKNLVEVDLSRNALTGELPWWVFGLPLQRVSVAGNQLIGWVKVPVDAAMALRVLDLSSNAFSGEIPPRITAFTGLQSLNLSSNSLSGQLPAGIGGMRLLEVLDVSANRLDGTVPPEIGGAVALRDLRIGRNSLTGRIPAQIGNCSSLVSLTMGNLTSIQVVNLSQNKLNGSLPVELSNLPSLRIFDVSHNLLTGDLPNSRFFNNIPDVPSNKHHKKIILSISTLIAIAGGAAIAIGVITISVLNRRVRKRAAASHSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNIVALRGFYWTSSLQLLIYDYLPGGNLHKHLHERNEDNSLSWMERFDIILGVARGLTYLHQHGVIHYNLKSSNVLLDTNGEPKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLMLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRPEDCIDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVSILELVRNPQDSAEDELV >Dexi3A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:3A:5766952:5772601:-1 gene:Dexi3A01G0008220 transcript:Dexi3A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKPYVLAVIIQLIYTGMFVVSKAAFDKGMNTFVFIFYRMVAASLLLLPIAIFLESNSMPVVAFCLALLLRMEVVKLRSISGMAKLAGVALCLAGVFVLAFYTGPALSPVNPHRAFAVAHASNKIPSRMTWIKGTFFMVLANVTWALWIVLQKAYAIAIAIQLVYTGMFVVSKAAFDHGINTYVFMFYRQAAGSLLLLPLALLRNR >Dexi3A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:3A:3503159:3504218:1 gene:Dexi3A01G0005420 transcript:Dexi3A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVNRVVTSQHKHNFIVSVATLFFTLCVHSTEEDIDESSAEGLRDALSYAKENPMLIQVPVLGTARKFWRLSDKATRISRKLALILESYHSAGRYLTAPLNLSNVWIGSTGTVKLRGASFSAKGFVSIERMRDDYRHLFEVLVSLIETSGGVIANLPPDYREFLALLRKGAFTMKDEFFIVNHVALLPMENRTEVFLMLHDRIVNHLGRTDKAKKKRILCNLPYKNDWLDTARSNAEINKWVVNVKNEYKRAPIDLLRLNRNVRSHPHQYSNDDIEETLYCEWPELLMVMEKMLHLVGELVDTGIANKFG >Dexi3B01G0032290.1:cds pep primary_assembly:Fonio_CM05836:3B:34658005:34658502:-1 gene:Dexi3B01G0032290 transcript:Dexi3B01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGEDASSSRKGKEREEDGAGGSRREEGAGLAEGEVDLGDLYGAAAGWVEARTSCPHLGTLLPAGAVDVTRH >Dexi6A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:6A:11696648:11698792:1 gene:Dexi6A01G0009820 transcript:Dexi6A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMSTSMATTPTTTRAVAPAPARTPAPPRQCCGHHLLLLAPSTTTSHRRRGSSAVARSAKKKNPWLDPFDDGPDEEFDYQGMFSGGKQEEDPRPPEDPTNPFGFLRFPQGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQMLKDRYPGVLVDVVTSERGKQVYEMCKNVRYANVYDPDDDWPEPAEYTHQLGVLKNRYYDLILSTRLAGIGHALFLFMSSARDKVGYIYPNVNSVGAGLFLTEMFKAPTTNLSDGGYHMYKEMLEWIGRPAKNVPRQPTQPLRVSISKKLRAYVEDKYSRAGVEKGKYVVIHGIASDSVANMKSRGDDDCLLPLEHWAQIAKEISSDERGLKPLFVIPHEKHREEIEEEVGEDTNILFLTTPGQLTCLINDSAGVVATNTAAVQLANARDKPCVALFSSAEKAKLFLPYVEDKGSCTVITSATGKLIDIDVEAVKKAVKDFVPAPTFALA >Dexi5A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:5A:235536:238303:-1 gene:Dexi5A01G0000320 transcript:Dexi5A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGAGDDDLKKAYRKLAMRWHPDKNSTNKNEAEAKFKQISEAYEVLSDPQKRTIYDQLGEEGLKGQPPPGAGGPGASPYYPGGAHSSSFHFNPRSADDIFKEFFGFSGMGGMGGIRGEPGFQRPMFGNDFFHSRFGGEGSTSMQQPVHKAAPIENRLPVSLSDLYKGVTKKMKISRETVDANGRVSHVEEILTIDVKPGWKKGTKITFPEKGNEAPNMRPADIVFIIDEKPHDVFTRDGNDLVMTEKISLVEALTGYTAHITTLDGRNLSFPINSIIHPSYEEVIPGEGMPIPKDPTKKGNLRIKFNIKFPSRLTSDQKVGIKRLLGS >DexiUA01G0017830.1:cds pep primary_assembly:Fonio_CM05836:UA:37860250:37862504:-1 gene:DexiUA01G0017830 transcript:DexiUA01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHAAGGSQAPPTATAGGGETQRTQYPYVTGTSVIALKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHVATGFGNHLAIPILRAEWREDMSFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYSLKTYWGFSHFENPAQGAVGSW >Dexi3B01G0030350.1:cds pep primary_assembly:Fonio_CM05836:3B:29883856:29886658:1 gene:Dexi3B01G0030350 transcript:Dexi3B01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSSRPAGEPATEPGPAPEVECKNEDGVLQDPEDPESTGGNGTDISSLEQPLLKRSPTLTASHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASVINLAIENITGLKMLHMVNLVRERRYWAGFLYFAGVNFALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIIIGSIGAVSSGMDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRDGRCGMFGEGGLILFDVSGVTVHYHVGDLLPVTLVGVLGGLLGALYNHVLHQVLRLYNLINAKGRLAKLALALAVCVFTSAGLYLLPFAVPCTPCDAGLAAAGVCPTVGKSGNFKQFNCPDGYYNDLASLLHATNTDATRNIFSTGTSGEFRLDSLLIFFAIYCVLGLITFGIAVPSGLFLPIILMGSAYGRILALVLAKFVHIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLTVGELAAAKPRAITLQVVEKVSTVVEVLRSTPHNGFPVVDRPRPGVSELHGLVLRSHLMAVLRKKWFLTEKRRTEEWEAREKFSSTELAEKAGSIDEVQLSPEEMEMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGPEISPIVGILTRQDLRAHNILGAFPHLANKRKVH >Dexi7B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:7B:18306024:18308513:-1 gene:Dexi7B01G0011240 transcript:Dexi7B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMACEERGQPPAWALALAALGLLVTLRAAARLAMWLYAAFLRPARPLRRRYGAWAVVTGATDGIGRALAFRLAASGLDLVLVGRSPDKLAAVSAELKSKRPGAQVRTFVLDFVADGGGDLAARVGKLGEFLRDLDVGVLVNNVGASYPYARYFHEVDEELARKLIRLNVEAVTRVTHAVLPGMVERGRGAIMNMGSGASAIMPSDPLYTVYVATKAYVDQFSRCLYVEYKSKGIDVQCQVPIQVATKLASIRKPTFLAPSPETYARAAVRYIGYEPRCTPYWTHALVGFFISLVPEPIADRMFLNRNLKIRTKGRAKDARKKAQ >Dexi3B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:3B:10633352:10638192:-1 gene:Dexi3B01G0014800 transcript:Dexi3B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPARRAEIGFLPRASLISASIGTGGXXXXARGGCCAKDICEFPRRRSRFQVHLPSRPPPLHAPPRSSRRDRILAASLADLCLDRDWWWIFLAREARARRRTPLPRPSCPRPRPLNLAHGRAASSLAAAAARPPFGAMRRGGSKDEAPDKVMGPLFPRLHVNDTVKGGPRAPPRNKMALYEQFSVPSHRISSAAAPPGPAPAPPWHAQRPTAGAATSSVPSTSASQAGGSDRPLFPSFCVPSTEPVCSSHGRAGNTTRAESGRQSTHLNSKDTNAAGPTAEHSSKHRENANQNSSGKKLTNDDDFTVPSVLYSGMPPHSTQEKFTPFPTTSPYKSVSAVSKSSAKCSNTDKRHFEGMNDSDAKSRESPAIKEKEPAKVRIDLEIGGSTSQFQTSKEKLGRQDPKVSSYRDRLNKDNVADRQHSEIESYQTRGRKENAVETQNPAKAEKVPSSKPYAGMVQSGDSDLLGRGLRVIGEKRKMLHHGVDQNDDLSESSVESLPEMEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGKALVASKKKVAGGDTEKQLQSAKNKDDVQPTLQQLEYSKDEYSKDNTEGNQPSPTQDDVVGVQHNIQAAANGAVSSNPPTMPTPDNKQNSWFPPHPNQWLVPVMSPSEGLVYKPYTGHCPPAGSFLAPFYASCAPVSIPSSAGDFMSSPYGIHMSHQPQHMGVPGPPPMQPIYLPPFSMPVMNTAVSASAVEQVSHIAASRPDGHIEQHSRSSCNISNLRSEALSAGIWRFHASKDSEVQGSSASSPFDRQQGEGRGPAPPFPASSVGNGQPQPSSGSREIPGRVIRVVPHTSRTASESAARIFQSIQMERQQNDS >Dexi3B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:3B:3930717:3931094:-1 gene:Dexi3B01G0005760 transcript:Dexi3B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAASLMATETSDQLAGGQRQARRQQGGRAPVAAFRQQSTALAKGQRHLPSPKPPPPPAGGLSAEAFLVLACVAVSLAVLPLVLPPLPPPPPLLLLVPVCLLLLLAALATFVPSDVRTMAASYL >Dexi9A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:9A:3430005:3438332:-1 gene:Dexi9A01G0006090 transcript:Dexi9A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQAASKRPFTSTTASPSPTSPPAPPVMKKAKHPAASPSSSGTTEKNGIHLDAAVAAAAARLGRTNGEEDAEMVLADQDELAAPTAPAPAGVAANLFRKKATLPQPSTSTRKPLRIKIGQPKLPKNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEIHIAEKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLQLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYMQQSDIPDYLKHVESRLQEEHERCILYLEANTRKPLIATTEKQLLERHTSAIIEKGFTMLMDANRINDLSRMYNLFQRVNAVELLKLALSAYIRATGQGIIMDEEKDKELVPFLLEFKASLDKILEESFAKNEAFSNTTKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGVLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFRQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQSVVLMLFNDAQKLSFLDIKDSTGIEDKELRRTLQSLACGKVRVLQKIPKGRDVDDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLIAELYQQLKFPVKPADIKKRIESLIDREYLERDRSNPQIYNYLA >Dexi1A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:1A:4517628:4523002:1 gene:Dexi1A01G0006040 transcript:Dexi1A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDVLWEFQPNKLGVGDAITGGDLYATVFENTLMAHHVALPPGAMGKISYIAPAGQYNLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTLEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVQCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSQALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAAGTDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEPALTAKFQKLYDDLTAGFRNLEDEAR >Dexi9A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:9A:1711282:1712890:-1 gene:Dexi9A01G0003240 transcript:Dexi9A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWNQHQQQQQQAHHGQLPSDGGVGGGGAGVEVHAHHHHQLPPMPPPGALMAPRPDMAIAITASGGAGAAGGGPTGGGSSSVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSSNSSSAAASASASGTGTSSSTSSTTTGGNSAAAAMMAPPQGHHQQLPFLASLHHTLGGGDHYSTGASRLGFPGLSSLDPVDYQLGAGIGMDQWRLPQMQQFPFLSRHDGGGGVPPSMSSIYPFDVEGHGDGGGGGGFAGGHMLGGGSKVPGGGSAGLITQLASVKMEDNNPAASTAMTTSDSPREFLGLPGNLQFWGGGGGNGASGGGNNNGGGAAGANAGGGGGGGGASAPGNSWVDLSGFNSSSSGKLL >Dexi3B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:3B:13795073:13801758:1 gene:Dexi3B01G0018570 transcript:Dexi3B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRVVVLGRSCAGRALPLRPVRLPALTWQRSPAGGAGPGLSSARKTDGPASCFVRLLMQRANDDDAVRLRAVVVRQLWPPLAPFAGRLTAPESWTLPHCCVVRPYFLSETAAVRLVPGHGVLAAILPNRIIQPLAEHSQYPIEALALSNDKKYLGSLSHDKMLKALSPELPGLTPPRIRPRPRRLLRRAGGIQLDAPPLRRGTYKMEGAGRDVNPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLHEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPSARDLIPRMLVVDPMKRITIREIREHVWFKIRLPRYLAVPPPDTAQQVKKLDEETLNDVIKMGFDKNLLIESLQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESMDSSFSQVIAETPTSATELRQHGFTESPGSGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGCLESMMHNSDVFGSESAIIETDDLIQKSTPTVKFEIQVTIWNLNHIHLISM >Dexi8A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:8A:23341368:23347504:-1 gene:Dexi8A01G0013360 transcript:Dexi8A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAECHAAQVVGADGEMDAAAVERFAAASGLPARGLSYAVVSILGPQGSGKSTLLNHLFGTSFREMDALQGRNQTTKGIWIAKAVGIETFTVVLDLEGTDGRERGQDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLRTVFQVLMRLFSPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWDSVQKPEAYKEATLSEFFNVEVTALSSYEEKEELFKEQVEQLKQRFHHSIAPGGLAADRRGVVPASGFCLSAAQIWKIIRQNKDLNLPAHKVMVATVRCEEIANEKLRDFLSNKGWRELEEAVKSGPIPSFGMRLGAILDSYLSEYDMETMYFDEGVRTAKRKQLESSMLDHTYPALETVIENLHLATLDKFRSDLKQSLRSREGFTSSVRQCVQASMAEFEAGLRDAAVKHVEWDASKVRNKLQEHIQAHVESIRNGKLAELKAKYEKNLSDALAGPVQSILETGERDSWACIRRLYRRETEHAALAFSASLSEFDLDQTISSKMVSDLREHARSVVAMKAREEAENVLMRMKERFFTVLSRDRDSMPRTWMGDEDIRAITREARLEEAHRRSNKWLPPAWTILLLVILGYNEFMFLLRNPLYLLGLSVAFVLSYAIWLQYDITAYFRHGTLSALLTILSGLLPTIMEIMTAIVNMSHGQKHSPHRSHHPPVLHAAQSFMNQTWQHGQAQVHYQSPDSPSSSSSSVNSNSGDES >Dexi1A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:1A:22409207:22412932:-1 gene:Dexi1A01G0015360 transcript:Dexi1A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTADSLKNYKGERDGDQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Dexi9B01G0048540.1:cds pep primary_assembly:Fonio_CM05836:9B:47480318:47481007:1 gene:Dexi9B01G0048540 transcript:Dexi9B01G0048540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCGSRTFVPRQNGDNGFGDGRRINRTRRIAPPETVAHGHTQRPKACDRIGPWMGSLDFALHCLEPRKAALRPSPSPPAGPGKKGPFAKYRIAIKAGEAAPWQPTRPRRRQRSSPHTRAILDPTRFQVSYIELGNQIKSSPTTAELPLRLESMAEQDAVFPSASQAPVVVVDSVAR >Dexi6B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:6B:2361525:2362026:-1 gene:Dexi6B01G0002810 transcript:Dexi6B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITQLQEQLNEIAMVAVNTFGTLQRDAPPDRLSNSYPDPLNPNPKPEEDTKPQGPPQPGAPAPAQPQPQAQPPAPPQPPALDLAEHPKAMSHALVLAAKKSVATAA >Dexi9B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:9B:2490899:2492359:1 gene:Dexi9B01G0004350 transcript:Dexi9B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDAAAAATKLDVVLFPWLAFGHMIPYLELAKRLAARGHAVTFLSTPRNIARLPPVPPHLSPRLRLVALPPPAIVEGLPEGAESTADVPPEKNELIKKLADGLAAPFSAFLAGALAAGRRPDWIVHDFCHHWLHPIAASHGGSVPCAAFLIVQATTIAFLGPRWANAAHPRVTREDFAVAPTKWCPSFPPGVAYRRHEADWAVGAFHPNASGISDIERMWQIIERTRFTIYRSCDEMEPGVFTLLTDLFRKPAIPAGVLLQPDLAGDNDGSLSGVRSGVLQWLDSQPPKSVIYVALGSEAPLTAANLHELALGLELAGVRFLWAFRKPTGMSAPGTDVGELLPAGFEERTRDHGLVWTGWVPQVAVLAHGAVGAFLTHCGWGSTIESLVFGHPLVMLPFVVDQGLIARTMAERGVGVEVARDDGDGSFGRDDVAAAVRRVMVEEEGKVFATNAERMKEVLGDQRRQDEYMDELVGCLIRYKDDNC >Dexi3B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:3B:2659724:2662351:-1 gene:Dexi3B01G0003910 transcript:Dexi3B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGRLRRALAAFGGGGDVWDLVDAALAAVARDSPDELRARRDGIIERLYAGGRCRNCDSPPSPAQPRKTNETVASVAAPAAAFPASPDEEVDVDGLGEDEADAGVESKILAIRDFLEDPDQSEDEMVSLLQNLADMDITYKSLQETDIGRHVNALRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSAGDGGSSIISDGDSPDKVQPKYHQNTPASDFKYSPSPQRHNGFSSERSVNHNLVDSTMEKRRTSPAPAYHNTKQNSNSNYSTASSSVPARIMREQKDTLLDSEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKSGGGLPARHR >Dexi8B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:8B:23054837:23055947:-1 gene:Dexi8B01G0013190 transcript:Dexi8B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRLPAHVDRVWFAAVCPQWRAAARQGGLPPPMPLLLLPDATVYSLPGRGPFHFPSCAGYTDTCGDWLVFSGEDGCFLKNPFSNATVMLPPLSRIRFQHVGGESVNVVAAEQNCFEVYEANFEQSRWAKVTTLGDDHVLFLCQRWCRSVSISYNEMPGDRIFFMDTDEEYYLCYSKEASSSCRVYDMRDGKVSTPLPLMSWKLGKVFATWLLPPGLN >Dexi8B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:8B:11423244:11426696:1 gene:Dexi8B01G0008500 transcript:Dexi8B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPPFHIINHGMAALLTLLLLGRSAAAGAPPFSCGPSSPSRGLPFCNTKLPAAQRAADLVSRMTPAEKVSQLGDIAPGVPRLGVPGYKWWNEALHGVAISGKGIHLDQGAVRAATSFPQVLLTAAAFNDNLWFRIGQATGKEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYASAFVRGIQGSATNTLSPPPPVLLTSACCKHATAYDLEDWKGVSRYNFKATVTAQDLADTFNPPFQSCVVEGKASCVMCAYTSVNGVPSCANPDLLTKTFRNSWGLDGYVAADCDAVAIMRNSQFYRPTAEDTVATTLKAGLDIDCGPYIQQYATSAIQKGKLAQQDVDKALKNLFTTRMRLGHFDGDPKANVYGNLGAAHICTPEHKNLALEAALDGIVLLKNTAGVLPLKRGAVASAAVIGHNANDVLALLGNYWGPPCAPTTPLQGIQGYVRNVKFLPGCNSAACNAVSTPQAVALASSSDAVILFMGLSQAQESEGKDRTSLLLPGNQQSLITAVANAAKRPVILVLLTGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGEKNPSGKLPVTWYPEEFTRIPMTDMRMRAAGGYPGRTYRFYNGKTVYKFGFGLSYSKFSQRIATGRKNPAYNTSLLAAGGLMATTDDKASYYVDHMGDEVCEQLKFPAVVKVKNHGPMDGKHTALMFLRWPNATDGRPARQLVGFHSQHLRVGEKANLRFEVSPCEHFSRVTRDGRKVIDRGSHFLKVGKHEVEISFGA >DexiUA01G0008650.1:cds pep primary_assembly:Fonio_CM05836:UA:16289314:16292510:1 gene:DexiUA01G0008650 transcript:DexiUA01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKFKYFCDKLSCGTGDRKAVISISVDKDNVRFFTDKSSAIVRLQTQNGDKPNEATRIVMKEKISLTFGLRYLKNFSKASTLSDQVTIKLWSNLLVVEYMGYIRYHVMPAEKEAETEGIEEEDQKN >Dexi9A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:9A:11289483:11291792:-1 gene:Dexi9A01G0016380 transcript:Dexi9A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADIRLSIAHQTRFALRLAATLSTPSGTAAAPATNAAFSPLSLHVALSLVAAGAGGATRDQIAATLGGDGPVAAEGLHALAEQVVQVVLADGSSAGGPRVAFADGVFVDASLKLKPAFEGVAVGNYKAETQSVDFQNKAAEAAGQVNSWVEKITSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDSDFHLLDGSSVQAPFMSSTDKQYIASYNNLKVLKLPYQQGGDKRQFSMYIFLPEAQDGIWGLAEKLSSEQEFLEKHIPMRKVPVGQFKVPKFKISFGFEASKLLKGLGLQLPFSPEADLSELVDSPEGHNFCVSSVFHKSFVEVNEEGTEAAAASAATVVLRSFTVPMDFVADHPFLFLIREDMTGVVLFVGHVVNPLLAP >Dexi1B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:1B:24885628:24886180:-1 gene:Dexi1B01G0018720 transcript:Dexi1B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKKMTRTISRQLSSGAAKLWRQLSLDPHTPRRGGPGAGAGQTRFAIARQSSLDPTPRGGAEGSSAHQQLAVPENLDATMRLLFAACQGDAGGVEELLRSGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTYSEPETF >Dexi6A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:6A:27597889:27600780:-1 gene:Dexi6A01G0020050 transcript:Dexi6A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNTTSIPGGIVGGGGEEGGGVRGCAGNVAAVESSCDAAAAVAASPATPHTDCDMSRMPESPLRKPGHRRALSDIIGLPDDLDLGAPGSAGDGPAMSDENEEELFSMFLDVEKLNSRCGASESESSAGGGGGETTTTQASAAAPGAAGLRPKHHQRHSMDASSSIDTEHLFGTSAMDGVSPAEAKKAMSAAKLAELALIDPKKAKRIINNRQSAARSKERKMRYIAELERKRDTAGLTAENSELKIRLQSTEQQVHLQDDLNEALKSELQRLKMATGQMVNGGGLAMNFGSSPHPFGTNQQVFHHNQAMPPPFLAMQQHPNQPLHPLQAQQLQQAALNLNMKGPVPAPNQWQWGDAWSKSSSS >Dexi3B01G0026650.1:cds pep primary_assembly:Fonio_CM05836:3B:22093043:22097491:-1 gene:Dexi3B01G0026650 transcript:Dexi3B01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTANGPARLGGRGGPSGLRTETLGLSGGFQGPSRMVRPLAADGPWRTPLDYNGGPSGSLGADGPLASYRFASEMSSLPYLSPLKAIDAIPTRPRPACPTVASMASACCPTRPTRADVVLACTTCNSLYIPSPFLETSATAETEATRNQLNTYGIVTQSVAMEGAPKVGGGGEKAVVPGGPAGSDANNNAGAARVARRRVPPPSAGEDAPAAAGGGAEVKEDDDEQVERFYALLDNIRAMRGGETGGGGGGGGERKRLRAGEPPWRPAFRMEDFEEPSPTSPSAKRTHRQEDAATEEDGGARPAVASASPPPPPRRAGLRFDSGRKSI >Dexi2B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:2B:8357920:8360348:1 gene:Dexi2B01G0008280 transcript:Dexi2B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRCLLLLLPLRRCRLAVPARSSSDPSAMSPVLRPAPPSTSAPFRFPATAAAGSLRFQRRRFSSSAAAAGAREAGFPPRESAELLGIPGVGPRNLRKLVDGGFGDLARLKQLYTDKPVGGSAEKMVQFLQGSVGIIHKSHAESITSFVKDNVVRELKEDSQVPSMPASKDKRITFCVEGNISVGKSTFLQKIANETVELRDLVEIVPEPVSKWQDVGPDHFNILGAFYAEPQRYAYTFQNYVFVTRLMQEKESCGGIKPLRLVERSIFSDRMVFVRAVHEANWLNGMELSIYDSWFDPVLSSLPGLIPDGFIYLRATPDTCHKRMMVRSRSEEGSVTLQYLQDLHEKHECWLLPSQHEGRRLLSASQLPHSMDHSLHPDIKDRVFYLEGSHMHSSIQKVPALVLDCEPNIDFSRDVDAKRK >Dexi9A01G0022080.1:cds pep primary_assembly:Fonio_CM05836:9A:17006810:17008771:1 gene:Dexi9A01G0022080 transcript:Dexi9A01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFQDSVKALEADIEHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMLYKVYADGSSALPDWEREASIREFYGVIFPSLLQLPSGITELDERKQRRLCLKKFRSRDEELSEVDTERELECGICLEVSRKIVLPDCAHTLCMRCFEDWNAKSKSCPFCRACLEEVKPGSLWMYTDDSDVVDMDTLTRENIRRLFMYINNLPLVVLHVVDLDIYEYRIK >Dexi9A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:9A:4319020:4319370:-1 gene:Dexi9A01G0007440 transcript:Dexi9A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVATIPSLAAPAAKKRSGGVTYVEGMNAYSGLKGLNKVTMLGLRKNADYSFAKIVASLSPAGKTGRGGAFGAQMNAAAEIFRIAATMNGLVLVGVAVGFVLLRVEAAVEESE >Dexi9A01G0043700.1:cds pep primary_assembly:Fonio_CM05836:9A:47370464:47387210:-1 gene:Dexi9A01G0043700 transcript:Dexi9A01G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGSAADERWASLCNCVVNFLLEERYHLTALELLQELQEDGRYAHALRLRAFFSDPALFPPDLVARASSTPPGLLLGASTHEGSISKQDRRDLKISALGTLKDNERRDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSPACVPDALRRYYYQYLSSTAEAAEEKISILRENETLLRDNDQLNAEKDTLTKSREAANSQVTALRKSLEAAYKDIQEKEKMVQDMRQSLDVQRKELNDCRAEITALKMYIEGAQSNKQLFVGNSDSLESYSIANSMKEAGSLNNKDGDSKVSESMTNILTSAVSQTEDTQKDCQVIGNNAEGPSVSEAPVSCTTDENGSYGTSEEEKSVSNSSTENVTSNSNLHGDSMIDKSQGSSDGISVYVSTEKLEISNKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHTDSNVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLIEDPATVVREAATHNLSLLLPLFPNLDKYCKVEELMFQLVCDPSGVVVEVALKELVPAVVRWGGKLDQISRVLLAHILASAQRCPPISGVEGTIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAIETCPFASVDPTSSTPENIFSASCLKLYSTGDSEWSAFEWMHTDCLPNLIKLACLLPGKEDSLRTVITKYLLEVSWCYGKDYLEHFMLPVFLVAAGDIDSADFTYFPLSVQPKVRGLRPKTSIAEKLAIVCVFPLLLSGILGSPSSCQQLEEYLRKVLIQNTKDGSFSMHHTAEIINAVRFLCLFVEHHGVIFNILWEMVVNSDTSLKINAAALLKALVPYIDVKVASTHILPALITLGSDQNLTVKYASIDAFGAVAQHLKNDMVVDKIHIQMDAFLEDGSHEATISVIRALAVAVPNSTDRLREYILLFEFHVYILTQIFKLTSLTPSGDDIERRRERANVYCEALRALDATGDTCESSAKTQVPSLLLPPEGQQWEQATSKKQHQVKWPQVQTEQ >Dexi6A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:6A:3047040:3047405:1 gene:Dexi6A01G0003430 transcript:Dexi6A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYAVAAANRATKRYDDTVYEMAKIVSGGLPGDERAAYLSCTNRYATARLQMVAVVSDMNGCQFAQTMREYVEAVAAVNTCGEKLSPGWPLVADVAGDLDVTTVAANLRALVIGRSTSKP >Dexi7B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:7B:9565348:9566442:-1 gene:Dexi7B01G0003870 transcript:Dexi7B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKALPLSLLKNITDDFSNNNEIGRGGFAVVYKVQTLSFPSVLVTVDLENQVNADKGMLLPVLQGLLENGAVAVKKLSDMSVTDERRFLGEVVCLMKAKHQNIVRFLGYCSDTQGQWVDYNGKSVMGDVRQRLLCFEYLPNRSLDKYIKGTIYHVASNDFGISRCFDGKQTHTVTANITGSMGYLAPEVFYGKISFKSDIFSLGVIIIEILTGHKDYPDVKDVRRLHGPRYRLDAHYSSFYFDHSLNLRRYISHN >Dexi8A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:8A:1250002:1250718:-1 gene:Dexi8A01G0001840 transcript:Dexi8A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYPLEVDEEDKHPIHEVLQDEFNDIVYEDANDYCKSMDQYKSTIENGIKWMSEECFLAFTKSAENTHSEGIEHKFGELRSQCLSVEAYNKIFHHYNFTIEEKHEICDVWTSKVYFAEVKQVSGLKSYLCCMLEPNDQGHCHGCKNQDMYELKHPSRGGYEEGDASIHWPFMDDPDYDHTY >Dexi2B01G0025090.1:cds pep primary_assembly:Fonio_CM05836:2B:34352437:34353165:-1 gene:Dexi2B01G0025090 transcript:Dexi2B01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSRRSSSGMQSIRRELQRRRPKPLAPKSSTTKKTSAPLRPSPPLEGAHQKHPSPSASSKSPRPRPPHPLSRAAAAYPSTLPPSRSAPSSSGSARPSTHVSDERLRPGTAVGVRTRTTKLKTGKVLVLWLRATVVSPTHQGYEVIYDGSWPPSNPYGTVHVPRRHVRMIKPSPSPTNSPPQQAPPSRAPSSSTSDDTTATAKKEKMRPAPRPTTAGKSVRLVRSLFPELERHARAALPYY >Dexi2B01G0035380.1:cds pep primary_assembly:Fonio_CM05836:2B:42425060:42427318:-1 gene:Dexi2B01G0035380 transcript:Dexi2B01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANPPLLSPLRPPTDLLRLVDALCASGRAAEAHHRVSLLLLLSPASRIDARAANALLRCLLRARTPFLTLRLVQAAALVPSLPNHNRLLALLCRADPPQVPVLLAHRLHLRMRVAPDAASFAALLDGYARVPDPCAARKLLDEMPGHGLIPSSLARSFLVKAFLRARDVDAAMDLVDNNLWPIVADADGHHQLGEDQEVTNAAFANLVQCLCAEGFFHVVFRIAEEMTQRRCNVVDEFAYAQMIDSLCRAGQHHGASRIVYIMRKRGLCPSAVSYNCIIHGLCTSPKPGACLRAHQLVMEGMRFGYRPREVTYKVLVEELCRENEIAKAKDVLELMLKPTIQCGQDDGGDAADETRTRIYNVFLGALRAVDNPSEQLGVLVSMLQAGCKPDVITMNTVIHGFCKSGRAQEARRILDDMLNGKFCAPDVVTFTTLISGYLDAGDHVEALNVLHTLMTRRRCSPTGVTYNCVLKGLFGLGQVDTAMQVLEEMNANNVAADSVTHTVVIKGLCDVGQLEKAKELWDNVIWPSGIHDDYVYSAIFRGLCKQNKLEQACDFLYELVDCGVAPSVVCYNILIDAACKQGLKKLAYQLVKEMKRNGLAPDAVTWRILGKLHHYEEDEQEGHQLPAADVGRSPADGRVRVEPPVLTKEMPLLPPLLSFEVNESNSTAEIEEEAGYLTDMANNNKTEAEDGCSTKMTVEELPDNTHPTRGTSINKGDNMTLGDGLKKPDDQPLIREPLYRVAKQVFGIL >Dexi3B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:3B:5383973:5387453:-1 gene:Dexi3B01G0007600 transcript:Dexi3B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQTAVAATGVWKTIKPFVNGGASGMLATCVIQPIDMVKVRIQLGEGSAGQVTKNMLANEGLRSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEISTVVGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >Dexi6B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:6B:3831199:3834485:1 gene:Dexi6B01G0004560 transcript:Dexi6B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSHPDLSLQIGLPTTPTPHNHHHHAAALNARFFSAPGNGSPASMAPSSLQLPMPMPLPLPMQLPIPATAAGVYYHPDASGAGAAVLRPIRGVPVYQHPHTPATTFPPHAAAAAGAPCFCEPCHVAAAGAAWRRAGCGGGGRVVAGFLPPAKRAARAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNSLDLHGYGGGSRPESAAVARHGREDWAGFPSESNTGSMHSLKEQMQSKSLEILSDMNSSCVSDTTSSTSELNLEFTLGRPQNRPN >Dexi4A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:4A:19438764:19441418:-1 gene:Dexi4A01G0016010 transcript:Dexi4A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKTVNGSSRKKGKLFDGLIGEHAPEMDPSYKFFLEHLSKDGNTCVLNVPNGDHGMPVSVRYEEDDTVYSNTKAKNGPNCPNGSLHRSWGVPSGKRPDMEAIKAASGNVDQSFSPKRSYVKQKKNSTVDDSYELFMSLVKFKDGCMVIEPEPGVTIVYEQEEDMPAAYDELRTGSSTNELEALMSPLETMEEDCTMYEREYAQANKVTSERETVGPSSENIDGQDIVCTDVRGLVLYTQPSDSNACEDKQAGPLAISCIGSSTFDEKLNAVLSQPYDQNEYEELWRKASDRKPVSRQRHLRSASKRYVTGAIGLSYLDHYPDLAVQINSADCDERLSLLRKFFFWLENLCHEGAYMPWISKPLACNPISPDEYELAPALESHEDEP >Dexi3B01G0033920.1:cds pep primary_assembly:Fonio_CM05836:3B:36699298:36704495:1 gene:Dexi3B01G0033920 transcript:Dexi3B01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFAVQPVFTRSSPFDHSLFLAYTFSLLGPNAPLGRPALSASSSLSAQLSPFPFHLGPNHPLSSLNGPRRPSFPPLSLWLTSGPVCHPFHLLARAHTGLQLKPDSEHCALPLLSWRARQDSLPRMLPSNFGEEKGKRMNETDKACPEVKRREALSFQAFSFSDPILLSAQLASAFRPTRRKLPYSFSRSLADRWTPPCHPLPPAPVLDHEPEPRPTESLPSRASPARLLSPARLPPRVRHTIPYSSRERTPTHLSSTDHRLPLPFPGAAFIPRLGPIKPLRPHSQSKNKSKPLRRGADAPNEAQPGGMYSEPVRQRNADPIDDNDGDKGLSSLRRAVNPAGRRWAEVVRRIGDDAGIQIAQPAQPYCQIEQRRSVTPALEGRLYGGRWLGEIILGISNPVDAGVGWDGGVGWERKSSQTQTTGAGPGLLLFELQVKGPPACGTGWDPLPPRKNATGLRAFGTFAECNRRTRSVPWGPSPFAPGFPPWLGTKANELLPLLASFIYHLPLVDSGRVSVHRPPPEMSIATILAVLFCILLALAGTTITTAPPFPTSGETISCLAACCFLQLLLQWQHGCQLLCCDALDALWEELHAMNAPDATSVSIVKSFLCASLTRCFPPPLLHLDCSLPSQHDTMCLERISAGGTFQAMAAHPDGSPRVFLSSREGNIWLAKMPRQGSGSALSPHLFLDLTDRVHNDHVLGLLGMAFHPEFATNGWFFLLADLW >Dexi9B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:9B:7226435:7230499:-1 gene:Dexi9B01G0011390 transcript:Dexi9B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSTDPPNTHCSPPPPTQRRQVAQVLGSPTALLIAVIPFFPQGELFSTHRDFPPIPMGHSSAEDSDVSDSDIVEHKEKTYAQLRGGKWKVKHGENAFRCPFCPGKKKQDYNLKDLLQHATGIGAAHKRSAKVKATHLGLAMFLEKDIASSLEKPLQIVPYKPKTPKSEEEVFVWPWMGIVANLPYELEAKEFSRGCEERLKAQLSRGFKDALAFEKHFVFEQYGKADWNKINCKKDDIYGWLARSDDYNSPGPIGKHLRENGDLRSVGDLEREGMQETGKRVAHYALQIENAKENMLINLATQKKTEQDVKLLRLVKHHEQEKEHVVKMLYNLENQLASKQKLELEIEQLTGNLEVMRHMGDADANLKKKVDELRETLEEKNEEMEAMDSLNQTLVIKERRTNDELEEAKKVLTTELPNMFAARSLIGVRRMGEPDKKAFFAACKGKTAQDDDELTLLFSKWEDEIRHPEWHPFKVIEVDGQAKEIIKEDDEKLQSLKAELGEKAHDVVVKALREVNEYNPSGRYPLPELWNFKEDRKAPMGEVAAYIVKQWKTNKKKNTYT >Dexi4A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:4A:7407241:7408521:-1 gene:Dexi4A01G0009390 transcript:Dexi4A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASSSSPGSFAPRRLHGAGVVRDALPYGTFAAAPPPPAQQFPPAVQQTQGAGGGGGGGGGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRVLMKKQHRRGGRGGAASAAAARGSGAEAGGGADAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFVPGLMGEDNPMFDFEERLEEGRISEDCDDGFGLPGQKASGLAQMPVAEKRVFPVRLGKFKNVGTQGAVQGGNADANASVLSRDQGESSSSSLDGRRCFSMGTYQYVLGTSELRVALQPGRIRNGAAGAMRGRPAGLSSINADIMEGKKICARNKGESFSVSKIWQWSNLKGKLPAGSDECSDAGSLPWMKRGGAAAVTSNI >Dexi2A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:2A:27908278:27908533:-1 gene:Dexi2A01G0016330 transcript:Dexi2A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNTLYVAATGGGEVWINERRFRVIRQIGEGGFAVVYLVKEQQPASDAAPSKRHDAHVSVGVLD >Dexi1A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:1A:7382126:7382429:1 gene:Dexi1A01G0009140 transcript:Dexi1A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQEATDLEAEDPSKMVFMKGVKLGKQLERMQNGQHWKTSGPRQSIYVAPAHSTAKEHMRHLEDGGEFLTHLWALLSHAGILNLDRDKDQWTKRAQ >Dexi3B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:3B:1266480:1271237:1 gene:Dexi3B01G0001770 transcript:Dexi3B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRASPLNVDDLLAEILLRLPTLSTSLPCASLVCARWHHLVTPTPSSSAASAPATGSPSASSTPASNRGASISPSASSPIRHRAPSCMTASLCPRAAKTPAAAMMSTPGMSEAAATAACSSSTRRATTAKSTSFWYWLDKVQLGVLTSTHDSVSGVAVWLLVWNPLAGEQHFLGVPHSFDSDQIKFSSYAQAAVICASGDKGSFKVALAWNDGRSAHVCFYSSETGVWGDVVSAAVQSESAFVVVGSRNVLFGNSLYWIQFSSQLRILQFDLGSQKLAVIEVPLPSNAYANHCGICLTTLARGGGLSLLVMAADLRSQLWERTESSDGVGRWMLGRTIDLDMLLSLRSQGFPECGVRGVFGIDGDHNVMFVLTYRGVFMVHLKLMQFEKVFEANSFRHNENIHPFMNLYDPGNNTHLRCKYTKSLFIIL >Dexi2A01G0033500.1:cds pep primary_assembly:Fonio_CM05836:2A:43686350:43687794:1 gene:Dexi2A01G0033500 transcript:Dexi2A01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDADEPLSTTTSILKELSGTKQEVERARKAAVQAWLASMPLGEELERLRLAAAKTRLAATAAEIPPLKSLIESTNGSLAERQAEAARKKAAAEELRRRVDLARGELRRIRAEVAASRDAKDAMERRVLVRRQAARSLQLAELAVAAETHALAWAAGALAELAVAAETHALAWAAGALAEQAARARGGDVVVGGAGEEEDDHHDVVPVPARKLEELRRRVEAEERKAAARVEEAEAMRRAAKASRAAAVARLDAARARRREAAAEIRRRDDVDGNDAGKRSRSAPPPMSRSGRSCLSVKKLRSFLCAEGKA >Dexi1A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:1A:8092709:8093777:1 gene:Dexi1A01G0009740 transcript:Dexi1A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMAATGVDFRAPLVVFDFDKTIVDCDTDNFVVDSLGATRRFDDLLRHLPWNHAIDAMMGELHAEGKTAEDVLAALRATPLSPHVAAAIKAAHAHGCELRVLSDANAFFIGAILAHHGLAGYFSGTDTNPAHLDASGRLRILPYHPFTSPSSTTHGCTLPTCPPNMCKGKVMERILLEEEAAAAMARRRRRAVVYLGDGRGDYCPSMQLGEGDYVMPRAGYPVCELIAAAPPPAVVRAWDGFEDLARVLLGIVEDEIAMAVAKAEQEQDDAPSMNVVGAAVVVPVDCRGAMPQPARQEALLPQAVRVPN >Dexi9A01G0029030.1:cds pep primary_assembly:Fonio_CM05836:9A:33772984:33773889:-1 gene:Dexi9A01G0029030 transcript:Dexi9A01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNEVVCVLDVLNARDTARKVYELLLARPTGLEVAKNVICLLLWLETIMGIEVLSNIAAMAPGDILLTQIVAEASAVYDYIFHGSYSMQAPLELEGIPAIMNLCNGGRLVDIRFFKFHKDLVARGVAVIRDNIGSLIFNENLHVMLRRFNDDANSSLIPAPLPAPELMAPFVALSRTPPEDSRMAFLAFPECHCHRPNSEDIVNHFEETLRFGPCIERVETEQPPAGQAPKHGIIVFLSPELRDEAMFDETAIFFRVDDHDTWVQLYMPLL >Dexi6A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:6A:9938459:9939834:1 gene:Dexi6A01G0008990 transcript:Dexi6A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQRFGSVRDANVFYWFQNRRSRSRRHARQLQQACTAAAAHLPASGVVTGAGGHYHDVVVNDNAAAPFGMNGGSYQQVRGSMMPTAAPLPSVGATAAPHFFSDEVDSGDDLFAISRQMGLMSRGGDRRWTASIQVFINGSAYEVPGGGAPLDLAGTFGRDAMLVHSSGEILPVNEHGVLMKSLQMGECYYLV >Dexi5A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:5A:2823564:2828472:-1 gene:Dexi5A01G0003690 transcript:Dexi5A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPARTLADLDGDVLAHCAGYLGARDVVSLAMACRPLRAAAYCDAVWHRLFRDQWPVEKVPCRASGLRELYIQRHTEVHQMKFDDPQSAIYYLNPAETTPNHLMLDRNSIWLCQGLVAKKFTSFFSVVEIHRNHGARITCMRLFPLIDTPLCRNDTQNDENALVTSSTDRTIRLCWKGQSRGYKGHSAPVTALADKLLVGGECKVLASGGEDCTIRLWHKPSLLVSSSKDSKIKVWDTVAPSSGSSSCVGSTHVNSSSPPIAMKCHESLCYMAAGSEVTMVDLRTMKKASVLALDNHRILSCEMLPSEWLICTGTKDKALLWDIRKAQELPNKVAEMQSDGPVTLLHLGRYKVVTGVPSNGEVHVWETPTGGLLNTLSCDEPTRSGGRNLLSAMAVDGCRIAMAGGSPEGGSVLHYRDFLKSSVPVSLPGKEVSRFWRDNTDDSDGEDYY >Dexi3B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:3B:13660970:13665163:1 gene:Dexi3B01G0018410 transcript:Dexi3B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEAEGEAGEEYESDLDDAPLPALRRRDAASDDEGEEESEDGGTPLRRRVAGSDADSDGQGAAEVYDEGAYEEGEEEYEEFEEGVGRGRGVAAVAVAAAEQEEGEAGNGEVEKADEAAPGEEEKKGNEPYAVPTTGAFYMHDDRFQEGRGRVRGRQRRIMNNRKLWSPKEDQAWVHDRFDEVDLHDFHGDNPKRNQGGHFRGRGGPGGRTRGISRGNFRGNRSRTFYHDSSKNYSYVPKESHSYHDNTKNARHALYDNGKNRVPKPSRAQYDDAKNHDIVPKESRYYGDAKSQKNTPRVVRGRGSKRYQPRLRSNTDISSGQNNKSQGLEDSSSTTNLGQNQAQTSNSQPEQVHPIKQTVASNLNSASPPFYPLRPSNQEFPVSQGGNAQLTSALLRGKAFVPSVGHSEASMKGMNGPAFHPAALSSNTPFPVATNQLNRDYVQPARPVQQNPVQSPTQSVPRMPAQLFGARFSNSNKLSPVQPTISSDDTEVSSTSGSNKFDSRLTVKGQPGDQGEERTSFLYGGAHVLGATATGAMGLTLGDQNFRGTPALLPVMQFGGQRPAGPGVPSIGMALPGFVSQQQLGLSNSEMTWLPILTGASGALGAPYGSPYLTVDGSYYSRPSDQASSSVSISEPGANIASSLLKPQAITEVASDEPSQRQNKPRRYVKK >Dexi9A01G0044910.1:cds pep primary_assembly:Fonio_CM05836:9A:48497703:48498014:-1 gene:Dexi9A01G0044910 transcript:Dexi9A01G0044910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFSSSLAHLGTFRAHPAGRLRGGASGRFVACSSPPPDVVVTRERGKNAKLIAALLESGGGGRTNGSDAAAVDPCLTLES >Dexi2A01G0029820.1:cds pep primary_assembly:Fonio_CM05836:2A:40837777:40840145:1 gene:Dexi2A01G0029820 transcript:Dexi2A01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLTDDLLSDIFLRLPEPADLVRTSAACVPFRRLVTDRAFLRRFRALHPAPLLGFLDVHNGFHPALPPHASAPAARAVSLAADFSLSFLPSSSSSTGRGWVVRDVRDGCVLLDRAPDGGGDSPSFFTEIAVCDPLHRRCVLLPAIPDDLAAAVDHPLRVEFDRWGEPFLAPLGHGDDEASFAVIWMAQCKAKLVAFAFSSTTGQWRAVASLPSRDLMSGVGVSSRSPAFSGRQYACGSFYWVMDWRDKLLVLDTRRMEFSIADLPPVCHRRQIAIVEAGGGRIGMFALRDHVADGAVSLHYTVRQDDAYGSSHWQMEKTIPLDPGFRHYIRGAMESFLGS >Dexi9B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:9B:9468206:9468529:1 gene:Dexi9B01G0014180 transcript:Dexi9B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKSRKSSGAGSRSPVRRWAGADEEAEKVPRGHVPMVAAGGGAEDGGERVMVPVRLLSDPCIAELLEMAAQRYGYCQPGVLRVPCDAGQFRRVVDGAMLRCGISSA >Dexi9A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:9A:5464304:5480550:1 gene:Dexi9A01G0009170 transcript:Dexi9A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAAGQQQERQQPPPPPPPPGSPLHRQQADQDLATPRLSSASAGGDEGGFDAAAGSSPSAAASPARFTVALAILRLQRLNLDFAFFISNIDQECGVFCFKGKHELESDFRRFWEEFRSSSSEKEKEKALNLAVDVFCRLVKQHSSVAQLVAKLVEAHVFSFVIGRAFVTDVEKLRIHSKGRSLHAADVIAFFSDITELGICPGSNLLYAVEVLVTEVSGTNDKQPLLDSGILCCLIYILNSLLSPNEYPPSTSPVRQAGPKIEKSKNLDHTQSRRLEIEGSVIHIMKALASHQSAAPSLIEDDALQVLFHMVANGSLSVFSQFRDGIVPLHTIQLHRHAMQVLGLLLANDNGTSAKYIRKHQLIKVLLVAVKDFDPQSGDAAYTMGIVDLLLECVELSYRPDNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGSFPAALQELLSFDQQYKKVLREVGVLGAHPEEIGSLIEILKSGMVSTSSGSQFKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEETESSLHTHMKIFGFLLRAMTAAVCNNSVNRIKLHTILSSNTFYDLVSESGLLCVDCEKQVILLLLELALEIVVPPTSNLQVECISSETSDDESSFLSATSFGLSRIDRERVYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETISPFLEGSSPILNHALRIVEQLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIQMEDVRQGNVSLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFQNFFKCQAKEAEKTSKGAYSKRSGHVLRIFSVGAVDDANTLFAELYLHDNGVFTISTGSSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQASVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPTIRGKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLEVEVPASSSSQRADSSMKQANSRLESSGIVWDMERLRNLSLQLSGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYICNQCTIGDTVQTVGGMPVVLALVEAAETRDMLHMALELLALSLQQSHQNSLDIFFRIAACEASFPEPQKSKINRTASYASGMSPDASLDDLTLPKFGDDVSSGGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVAAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQNNNLSHLNGTLVADLDEATSDMGGDLQGEALMHKTYAARLMSGEAAAPAVATSILRSDCALRMAKDLTTTATDEKNVHDDDNGSSKDTFSTLPQDQEQSAKTMSITSFPQEQKSSSSESTGMTNSFETAEVKADDSSIQEPNTKILNGEANQMVNNANDQGRITAPSSNGIAESHQATDSPSSVSMNNVGSPVLSERSTHRAASTPSASPMAPFTSWPGSAGSYSDGRHLTASPSMASSISGIDLDSSPDPKAHIQSSPAVNTLFPISSKLLLDIDDLGYGGGPCSAGATAVLDFVAQILADIISEQIKAALFIESVLESVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRCDLGSDAVKIANKEDGDFLMTSGSDTMSGLNLLTYDTEQKDLDAAEFASFKDDDDIFKGGSTISAPIGWTDDKSSINEQSLHSATEFGAKSSSLSFHMTESQHGKSELSSPRRTPSVKGTDAKTSEDKSEKELLDNGEYLIRPYLEPYEKIRHKYNCERVAGLDKHDGIFLIGELCLYIIENFYIDDSNCICEKGSEDELSVIDQALGVNKDIMGSSESQLKSPSTWGATTKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEEGEEEFRKRLPPFSTENQKLQGGQFDHADRLFNSVKDTWFNLDLGEKQSGEKVGDVVLPPWAKGSVREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVPPHPLRYSAYLTQQEICKTASSVSQIVSYNDKILIAAANSLLKPVTYSEYISWGFPDRTLRILTYDQDRLLSTHENLHGGSQIQCTGVSHDGNILTTGSDDGVVAVWRFVKDGVRRLLRMEKALCAHTGKITCVYVSQPYSLIVSGSDDCSVILWDMTSLVFVKQLPRFPASVSALNVNNLTGEILTGAGILFAVWSVNGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCSSEEAVNSKSKSPAVTSGGLSLNGQTPEYRLLLQKVLKSHKHPVTALCIPPDLKQLLSGDASGQLLSWSLKDDSFKGS >DexiUA01G0013780.1:cds pep primary_assembly:Fonio_CM05836:UA:28988896:28989444:-1 gene:DexiUA01G0013780 transcript:DexiUA01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAAGEVNLVEWLKTMVAERKAEEVVDPKMAEKPSPKALKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDVCLNP >Dexi5A01G0038080.1:cds pep primary_assembly:Fonio_CM05836:5A:39182392:39186255:-1 gene:Dexi5A01G0038080 transcript:Dexi5A01G0038080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGEGESYRHGLGPCFVTDRNPDKERSSTGRGMEPRGLLKAALLLCFLAVCSGRELMIKQNPSTTIYNSTLAKILVEYASAIYTADLTQLFNWTCARCGDLIKGFKMIEIVVDVENCLQAYVGFASDINAVIVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTLRDGVVRGIQKTRKVYGDIPIMVTGHSMGGAMASFCAFDLVVNYKLDGVKLMTFGQPRIGNAAFASYFKTYLPHAIRVTHAHDIVPHLPPYFSFFPEKTYHHFPREVWIHDIAIGTLVYSVEKICDDSGEDPTCSRSVIGSSVQDHIYYLGISMHAEDLSTCRIVMDYSRLQYQMDLNGNVVLSKLPDLSSDRGFSAQ >Dexi1B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:1B:6824171:6824524:-1 gene:Dexi1B01G0008180 transcript:Dexi1B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGDPSGDPVLDGLLPLLGGGDIALRSGLGLLLMFLRGRPPLTGLLLTLLLGILTGLLLKLLRMFLRGLLTGLLLEGLCGLLEVLRLTLRDGDLAEVRVRLLLFTTGGLWLTLLRR >Dexi3B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:3B:15733543:15737532:-1 gene:Dexi3B01G0020780 transcript:Dexi3B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHGLLPLGLICYSSLVLLAATANAEDMLRYYITSDCPDDMNYTRGGAFHANLDALLSSLPAAAASSTGFAVNVTGAAPDQAFSLAQCRGDVSAPDCRACLAASGQQMATTKCPGQKSAVLIYEGCLLRYSNASFFGVADTRSESYVCSSWAARAADFSSLLGALMSDLAEKAYGSPRMFAVGAVNHTAYEKVYGMARCTRDLDRDDCHSCLAKAVRMIPDTCPGKSGGRIFYWSCSIRYEVGPFYNIQAAEAAMSPAPAPAPAPGSSGPLINNGRDVPAGSKGYADDEEMRSSGPLQYDLSTLRAATDNFSEANKLGQGGFGPVYKGMLENGQEIAVKRLSEISKQGLVEMENEIVLVGKLQHKNLVRLLGFCIEEKEKLLVYEFLSNKSLDKIIFVIHRDLKAGNILLDMDMNPKISDFGLASGYMAPEYAHHGIFSAKSDIFSYGVLVLEIITGRRAYEDLLKFVWWHWSLGSVEQLLDGYPADEPGKQDMLRCIHIGLLCVQEDPELRPSMASVLLMLKHRITTVSAPTKPAFVVLSAETPRVAVREPSSTNEVSVSDLEPR >Dexi1B01G0029480.1:cds pep primary_assembly:Fonio_CM05836:1B:33784791:33785313:-1 gene:Dexi1B01G0029480 transcript:Dexi1B01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGQLSEWVSDKLMTLLGYSKGVIVQYVIKLAKECSSAGDLVAKLVEFGFTSSVETRTFTADIYAKVPRRASGISNYQKQERDAAKPVQKQSTADEGDNDAGNQTSTSRKGSTIPSKGRKQFRRKADQDGGDDDDGGEDEKQRIREGMCGGEQKRTMKKMATTVLMKRNK >Dexi2B01G0035390.1:cds pep primary_assembly:Fonio_CM05836:2B:42437285:42438065:1 gene:Dexi2B01G0035390 transcript:Dexi2B01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPAASFMARLGWEWIGEQAAGVRFRGLPAIEMHAARELFARARHGTAL >Dexi3B01G0026960.1:cds pep primary_assembly:Fonio_CM05836:3B:22392227:22396397:1 gene:Dexi3B01G0026960 transcript:Dexi3B01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPANRRIEAVRRHLLPPLTPSPLLHSNPSSSPAVVDPSPVVIGGMVLDIHAKPSVPPLPGTTVPGMVKYVSGGVARNIAECMSKLGTQPFMISVVGNDMAGDLLLKYWRSAGLCTEAYFMTSLHNNYTGILQVNDVSTPVVSNVFDGTGELIAGVASVGAVEKFLVPSWIYRFHHHISNAPLILLDANLPPDSLAAACMSKLSHLKVCREKSICTKKILGNFSQTWTKGRCLHYVELFFPVAYESGVPVFFEPVSVVKSRRIAPIAKYITCTSPNEIELVAMANSLSPSVKYNFEKMEHCKDKAKAVEYLFEMLSPAIFFLLEKGIKLLVVTLGSNGVFICCKEHTNFMKDQRKCKQTPFSRQLVQKLDVCFPSNNPINLCRESSSRTCVFHLPAISASVISLTGAGDCLVGGVLSALCGGLDIIQSVAVGVAVAKASVESEANIPDNISATSVAGMLQ >Dexi9B01G0027960.1:cds pep primary_assembly:Fonio_CM05836:9B:30516568:30520427:1 gene:Dexi9B01G0027960 transcript:Dexi9B01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGQEGMMEQDGGVVVTKVQEPIENTNATKNSEPDEAAVSAVACAPKAVAVPTSLPRHRRSKRSVSLRMLCLQPILLIRFVSFLHISSSKFGSFDFAGTAVRGFSASSDRNAEACKHGGSSHGAAVEQRCGQAQAAAITPCSSKVAVQHTPGPDGRKSCAIPAGGWVHQAPRDHRPSASASPNNRLSLENDVRQLQLHLHQERSIRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSGQSSGISSPAHTKNITTRARRQPSISTFCSSKKLPLQPFHIMESLSESGRTKNMLKAKIKHQSFSSETLDIHPTSFPPDPKKLPYSGGASLARTLKDHLYQCPSKICEEMVRCMASIYYLLRTEAPEKPEKARSPFLSRSSTNVILPRRVNGEENGSSNNKCTVEIASISVDKNQMPDVSYAITHYRLLVEQLERVDLSMSGNSIKLAFWINVYNSLIMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHGLLCFRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLRVYTAKNVMEELERAKREFLQATVVVRKSKRKVFLPRLVERYAREACLGPDDVLPWAQREGAQQDAAAVQPGTGSRRKGAQAVEWLPYAARFRYAFARSMVDKPHC >Dexi9B01G0025340.1:cds pep primary_assembly:Fonio_CM05836:9B:25561178:25561624:-1 gene:Dexi9B01G0025340 transcript:Dexi9B01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGCGWSFVWCLPLASNISSLPLQQQLDRRVAAYDGTPIAEEGQGDVDLAASQEEGQRDVDLAAAHEEGRCGRRSHGDMAAAHEDGRGDVDRAAAHEDGRCDVDRAAAHEMGDAMSITRRRMSRAGAALATVHESRGDLQRVIDGI >Dexi2B01G0023110.1:cds pep primary_assembly:Fonio_CM05836:2B:32675504:32676202:1 gene:Dexi2B01G0023110 transcript:Dexi2B01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRPITVFSPDGHIFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQYCRSRDPRIKLNPPLPNLFTSPVRAQDREPTSLDTHIALACAGLKADTRVLINRARVECQSHRLTVEYITRYIAGLQQKYTQSGSVRPFDPYTDKPDLYQTDPSGTFSAWKANSMREFLEKNYKETMKLAIHLLKPRLYQFLDS >Dexi1B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:1B:1081538:1081741:-1 gene:Dexi1B01G0001400 transcript:Dexi1B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAWTSSGKWTAVMTAEKVLLSICSLLTNPNAEDPQERAVGDMYRNDPIRYEAKAKEWTEKYAKD >Dexi9B01G0042220.1:cds pep primary_assembly:Fonio_CM05836:9B:42491278:42493792:1 gene:Dexi9B01G0042220 transcript:Dexi9B01G0042220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of OsbZIP46 deactivation and degradation, Negative regulation of ABA signaling and drought toleranc [Source: Projected from Oryza sativa (Os03g0214200)] MGSALAAAAGPATALSALAAAARWRACSHHASAAASTRVAPEAEGLRVSAIPTGAACLKSDTNQPQKEQRALAPSPLLRHPPSLLPLLPARGARRPRRGAAAATTARRLANGSLPSPPPRKPPPFAAVSAPARRRLWLRLEFLRLLELSLLPASLCSCLILLPSPCRRFLLDAGVSFDRLARAMEGYSRDLLRGIGRGDAPPQEQRPGPARARVQVETEEVELSLGLSLGGRFGVDRKGEKLARSSSVAAILTATLEVAAPPALGRTSSLPVQAEASEGVRTQQGLDGWGSCRAVEPEARQRASLSPSSGSSDGEGLRSQDTLVRSASLPAGIDATGTEEWRKRKAAQSLKRLELKRKRLERRNSLTCNNSKEAVRQISEDVKAHTDKLETCDEAGGRNDEYHSPMKGLPPKHHATSTSHDNLSAVQRKSKSAFKGTATSEEHSPSPAAPPGEAASSATEASPPSSASSLSGRAASLGCRGDQQSTSGTAAARARSMGDVERAMMREMPSVFTKGLPNGNRMEGFLYKYRKGEEVRIVCICHGSFHTPAGFVEHAGGGKVANPLRHIVVNPLENM >Dexi5B01G0021640.1:cds pep primary_assembly:Fonio_CM05836:5B:23917458:23917741:-1 gene:Dexi5B01G0021640 transcript:Dexi5B01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCKSDPRRPMARDAAAMTAGPARKRKPMEMPVARHPQAMRFGCRAMNNPLARSGAAGQAGLGPGGVWAQD >Dexi9A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:9A:1644420:1644659:1 gene:Dexi9A01G0003160 transcript:Dexi9A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAPPTMPLRGHGFLGRDGSYTSTSLVATASPDETSVRGEVAVVRGVPESVAWIGIPTTFSPEISNGEAAAIDIMPL >Dexi7B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:7B:23658766:23660014:-1 gene:Dexi7B01G0017740 transcript:Dexi7B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGARIEITVSYRIPSTCTDWANISDGPAGLIAEFTLASDVADYVRFRAVCRSWRRCSPDPRASGLDSRFLPRRWIMLDNAHASSRRHRFLNVSTGECIQTDLPELAGHTLLALTPEGLLLLLHEPTLVARLLNPLTCQLIDLPPVTGLLSPEHHRARHCGIELGKLLLVYGTGLVADGSKVAVSFCNPRVLAVAKPGDESWTPVDDTDTHMYSALPFAGRFYCVSYRGVMVLNFSSDQQQPPQLLMVAERSKLSYFSVMADSLHLVDNGRELLLVHRMYCEYDQDHEDDDVESDGALTYVRSYVVYKVDLDAGILIPANGLNERAVFMGMSRSISVSASDAFPYVAPNTIYLGVDCDGETREYNVADGNMEPWHYCPLGPHAAVDCLRFCIKGHGAELLT >Dexi5B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:5B:8148979:8152034:-1 gene:Dexi5B01G0011480 transcript:Dexi5B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGDDGLAALREQVALASSAAISACDLDYAFQLQLDEAIQASLRADPTFSCSSNAAPSSAQPAATLPEPSSDVAYAFALQATDLTLAEQERRDALACRAAHSEAAASVRIAAHDALFARELAAIPEDRWAHDGDYFARPLDLTSPASGPMFRVFFKGMASSEVVGPRDRDPGLGVLAVAVCGPQGNVVLRMHKPVEVFVGDREMLEAMALMEGLHAALELGIRSVRVITDQKILHDHMLGMWRPSGKELADMINHLLSMRRKFELCEIALVEPSQLSYVVTLARDSIAVQIAKALDCNTSKDKREICTICMEDADITKIYAVEGCGHRFCFPCMKEHVKVKLLHGMLPACPQGGCTTKLSVEGSKIFLSPPLLEIMVQRVRS >DexiUA01G0016500.1:cds pep primary_assembly:Fonio_CM05836:UA:35146571:35147299:1 gene:DexiUA01G0016500 transcript:DexiUA01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLHGLLLLLPCIALLLGAVIPAIAGGQREAEALLKWKASLTDSDASLTSWSNATSSPCNWAFVNCSSTGDVTALIIINARINGTLSGLDFSAFPHLEELVLEQNDLYGTIPEGIDNLTSLISLGMHGQRLSGPIPRSIGQLKQLAHLQLAYLELSGTIPIEIGNLTSLQEIQLSGNGLTGLIPTAIGKLEKLSSLDLSSNNLKGSIPSQIGNMTELEMMYLASNYLEGELPDTLSSSKTW >Dexi7B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:7B:6233483:6255210:1 gene:Dexi7B01G0002870 transcript:Dexi7B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVVQRCLEAGGRDLLLHPSSPPSPTSTASSSSILQSLPLHVSFDRGYYLLVKAIQELRARKEGHVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTGAGGDDGSSDMDAIDFDALACNLQVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNERAALGSGVATPDEGEEGEKQGPYLAATGYASWLLRLQLRELEGAGKARHPALEESPMNSMSDRGHQMAKNSILLIELKQRLKICDNWFVNCSSFIEMYLRPPFASEEMKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTSMSVNSDQLLIAAETIDTLDETFLVLKGPSRKIVAAEASKLGIKGSWITKSYLEMILDSKGVPRLNTPPPVSSTLLIESQEKKIDAPKPIRVSSENITNLDDLMQPWMRSPPKKLEQEHVLAKWQFIRDSSSRSNVQLAPLPDSYDLDRGLLLSVQAIQALLENKGYPVIVGIGGPSGSGKTSLAQKMANIIGCEVVSLESYYKPEQVRDYKYDDYSSLDIALLTKNIVEIRNNHKAEVPCFDFENFSRKGFKELQVSEESGVVIFEGVYTLHPAIRKLLDLWIAVVGGVHSHLIARIQRDKNRAGFSISHTEIMTTVFPLFQQYIEPHLVHAHLKIQNDFDPVLSPESSLFVLKSKKQLPRMDV >Dexi2B01G0029050.1:cds pep primary_assembly:Fonio_CM05836:2B:37554273:37554497:1 gene:Dexi2B01G0029050 transcript:Dexi2B01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMSRVWAAATVAAVRAQRERAPSAAARDRLAGLAPQAAALAVARVAADDGRRQAGADESLHKAMYLTCWGPS >Dexi9A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:9A:13961075:13963849:1 gene:Dexi9A01G0018960 transcript:Dexi9A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHNDECRRSLTIPVIALGGVIFLMFIITNTGTGHVVPGARCGYPALNASYFDLSYHPVSTNIDCKLYKNARSVRCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVACCARGNAGGSDAKGRGR >Dexi1B01G0026880.1:cds pep primary_assembly:Fonio_CM05836:1B:31713606:31715551:1 gene:Dexi1B01G0026880 transcript:Dexi1B01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARYAPPISYNFLNLIRLGGDAKTTFEKRDLGLNRDTMWVENTVEMKSGATSSRTDGRAVHSKYANNRENIASKYTSVREQNRQAGKAVKKEISSNSVSLLEERSSEQRTNTGVSPTGVSATWASMKIGFQNFKANMGSKKFIPLRQDLVPNSNASSPESLDDIFQKLKRRPANMPVDYLDDDDDDNTGDMDLHFQD >Dexi2A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:2A:26440461:26441221:-1 gene:Dexi2A01G0015450 transcript:Dexi2A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKSITAEESPYAAIFAAPEAKSFHLRLPYHSATKNLLSGGKCIVHVVPFFPSASGFMCTARYWPNFELSGRVKISVERHKVLAAHIDLPGKTGLPVPPRQMAESKVSSIAIKDMRAPTFKYMLDYMYHGVLPAGTPEMDEASRKMEFEHLYVAADRYGLDTLKEMCEEVLCATVSVSTVLSSLVFAEERTCPKLKSRCLDFLAVGENFMEVAVTNEYVDVMKDAPVLLGDVQNWFKRPRLS >Dexi7B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:7B:20897146:20910527:-1 gene:Dexi7B01G0014790 transcript:Dexi7B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLTQLRAFSLATDRAETPICVTRPIFFTGLSNGFAFAQNGSRKLDEAEKLFLAALLEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSNDIRYITDFISFRHYRLEQLCIVLEYAIIFSTSLLKPRHVMSAKGSKMVLVLSLQGKRNDAESLTKESIRILEEAGLGESPTCIQRMRYLSTVLAKSKRFAEAEIWLRKILHTQELTKACQYGWDSLETTYAADLLSLNFQTLGKFKESEELMERSLAAKKKILHEDHSKVAFTLVLLARLTLHKFLSDMKNANSEVVTYYLARAKQLSNDSIRIAEGILNSSSKDQNKLNSTSATDRDKIAAIIVLVCVNQSDKEQDYRAIEEVFHKCISLYKEPHTRRLLTKAAVRHEYMRCLRGLVDIVEGPLLIPQMRDLLGEAQQIIEELGEES >DexiUA01G0010030.1:cds pep primary_assembly:Fonio_CM05836:UA:19708159:19710012:-1 gene:DexiUA01G0010030 transcript:DexiUA01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKPASSRDKVPRLHCQALRRYGHRFKFCFTRARPISWRPKSRDESERSELAVEDSGSEGEESTVHSISSPNCSFATENPSSGDVSTIPLPDNPCSNPTSPEFDLPEADMANFVVDPTPFIPEGLEVEEWARPARGRIVISGNPPHRHEEYSIVSFLSHPPQHLLYDTMDEVVDFFEEVHQANNMEWEPWSQQGGEGIGENEIDVLLYAPPMRSPAPSNYWNFVLSATDPDIWLLSIDNPTWATTRKLPPPMGQDNTD >Dexi2A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:2A:4236298:4237127:-1 gene:Dexi2A01G0004650 transcript:Dexi2A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVFSGSDECTDAVNGAIASAVEQGVKEIDVAVVDHTKYEFPSWLFSGDTCSSLDSLCLNHCKLSVGRGFKGFSALTKLVLVGMHMSLKDTQVLLMNCRSLKSLYLIGMFDIRFIQLPKLEELVWLCSFPCGPFKIDTPALQRLEYCGELLPASTFQSLPCLEHVSLQYVRRFNPDYHAEKLQTISTCFPHAKSLHLRYEIPKFVQPGTLAIFSKLRALTLSIDTKPSDELFWIALFIVAAPYLVTLRTN >Dexi1B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:1B:20426386:20429768:-1 gene:Dexi1B01G0014220 transcript:Dexi1B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRLRGFGHNHPKERRGNQTPPAKLDELVCAAQEVEDMRNCYDGLISAAAATTNSVYEFSEALEELGGCFLAKTALNGDDDGSGRVLMLLGKAQFELLKFVDTYRSNIIHTITTPSESLLKELQTVEEMKQQCDLKREAYEAMRASYREKGRSKHSKVESYSAEQLQSSFAEYQEDAALFIFRLKSLRQGQFHSLLTQASRHHAAQLSFFRRGLKCLEALAPHVKAIAEKQHIDYQFSGLEDDASDNGDYSSDQDDCSDDGDLSFDYEINDKDQDFLTSRGSMDLDKRDVMNSPQPVKESKQEEAKQTMADVITPQVKPEFNTHSAPILASNLPDPSE >Dexi9B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:9B:5192832:5193459:1 gene:Dexi9B01G0008480 transcript:Dexi9B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLPDDLLAFVLARLSPRWLATSRRVCRSWRDAIDGHRLLRADLLPRSLGGIFLRANNVMSPLLFARPSSPPAIRGDLGGIFRAMDTMELDLGINDHCNGLLLLDDFPT >Dexi5B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:5B:8265150:8268484:-1 gene:Dexi5B01G0011690 transcript:Dexi5B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNVRGAPLGRRNGRAPASAGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRQHITQLVKDTSDKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSTEVNNGADKLAEQRTQLLESRRRH >Dexi1A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:1A:10856961:10858183:-1 gene:Dexi1A01G0011700 transcript:Dexi1A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLTDADEAAAGHLDELPHHLTEKIIYHISPLASARLAPVCKSWASTVSSRLKMPVPHLFVYLPATGNTSDRRGVVVSVPIDSSGGDDPSPAAEVIPNRVLMKNTNGLGCIGALPASGRLVFGNWCWSQFVILVNPITGAWQSIDVGNLRSDPLLVAGGGDDSFVSFADGLDELVLWCRRRAAGGEEEEWSKQTVAVSWQLHRAAAYHAIVSVVNCNGCFYVLDRDGYVFSIDATAAPPPLRLEKLPVASLFDHLAAGDVHATAAWAHGHLLECDGEVLFVRRVLDRGVPFCHHDDVTADLLTVVGIQVYRLDVKGGRWTEVKKLGGDTAIFVSAGSSFAVRSSETEGCRSNCIYFVDKKKYCSACNRDDGNAWGAVLVGHVVLA >Dexi9B01G0038270.1:cds pep primary_assembly:Fonio_CM05836:9B:39373227:39375602:-1 gene:Dexi9B01G0038270 transcript:Dexi9B01G0038270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPFFDRRSSPMEEAEEIPRNGLLHMHHHHQQPHHHSGLLMQPQPSPPAPTKQSSFTLAQLLKRVNDARSDASSPTSSPTHSHYTIELGGSVPGSTGSDLSEHRGGDGGPLLPFVLKFTDLTYSVKTRKKGSCLPALPFGRAGGEAPEPEAPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTLNGESLDGNLLKVISAYVMQDDLLYPMLTVEETLTFAAEFRLPRSLPTKEKKKRVQALIDQLGLRNATNTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGPPGALPSFFDDFGKPIPGNENPTEFALDLIRELETMPDGARDLVEHNKKWQTRVAPKVVKHHDGKPSLSLKEAISASISRGKLVSGATDGSVSVPNGEFVAPAAAVSKFANPFWVEMGVLTRRAFINTKRTPEIFIIRLAAVLVTGFILATIFWRLDDSPKGVQERLGFFAIAMSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTIVGFPSLIVLSFAFALTTFFAVGLAGGAQGFFFFVAIVLASFWAGSGFATFLSGVVTNVMLGYPVVVSTLAYFLLFSGFFINRDRIPRYWIWFHYLSLVKYPYEAVMQNEFSDPSRCYVRGVQMFDNTPLAALPGVLKVRVLRAMSQSLGVDIGTQTCITTGPDFLAQQAVNDLTKWDCLWITVAWGFLFRILFYISLLLGSRNKRR >Dexi3A01G0036420.1:cds pep primary_assembly:Fonio_CM05836:3A:41832250:41839441:1 gene:Dexi3A01G0036420 transcript:Dexi3A01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARHQRGESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLYDSEMRRAEKLQVIKTKQKEDTAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIFRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYHLEQIPMQRPDLGIATEKRTDIPSQGPQGDENSTTDSFLERKKVNNIGSVYNNSPGKGNETNLKQPVMLLLSAMAETGLVSVPSLLTAVLLQANNRSSSPEQAVVERLGGGRRLRGAEALQAATTRRGGAPGGDYAARKRVGGCAEAGGEKITRGVARQVFPPDAVRAREYKGWRLWSSSPLLVPDGRATLLLVPAGGARRRCASLPRRSSPLPLPAGQGPPKDQG >Dexi3A01G0034100.1:cds pep primary_assembly:Fonio_CM05836:3A:39393264:39393740:1 gene:Dexi3A01G0034100 transcript:Dexi3A01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGAGGLGGVRVEAKLHASAGVERVRERGAAGVEVEAARAAVRCDPAGALGGRGRKTKAQRGVPERRERERALAVDVVVAAMVWAAEARADADLAAAVAAEWRVVVLQTEAMLRVAEVRHHLAVPHRRLAAREEEGVPS >Dexi1A01G0032020.1:cds pep primary_assembly:Fonio_CM05836:1A:36724175:36725304:-1 gene:Dexi1A01G0032020 transcript:Dexi1A01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSSSTSAPGDGELHNPPLVKRKRTKRPRHHYQHQPASSSESTTTEEEDMAHCLILLAAGGAADVDSKPSPQQQAAPPPTTAPAMKSGERYTSRKYTEAVATADGVKAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLSAAGVDDAINNDLKASPMTMSPPPPPPPPLVQMADVVTTALSLNSCGAIISSKQLRVHECSICGAEFASGQALGGHMRRHRPLNAPPPPPVNKQLQVVELDLNLPAPEEVSSAVVLGLGQFTDPGNND >Dexi2B01G0033230.1:cds pep primary_assembly:Fonio_CM05836:2B:40892069:40892398:1 gene:Dexi2B01G0033230 transcript:Dexi2B01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRNTSRRGASSNAARARSARPDLASAAAKPDLSARAWRDAAPWLRPSAAGTDSADTAAVACSASEREKELPMRRGARGSSERSRRSACSGRHARR >Dexi8B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:8B:11693024:11699760:1 gene:Dexi8B01G0008580 transcript:Dexi8B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSALLSLGILLLPLLLSLPPTSAALSPDGQALLAFKAAVTDDPTGALASWSDTDADPCRWVGITCANASASGGARVVGVAVAGKNLSGVVPPELGNLALLRRLNLHGNRLTGTIPPALANATSLRSLFLYDNRLSGPFPAATLCALPRLQNLDLSRNALVGPLPEELARCSQLERLLLAENQLSGNIPAGVWPEMAGLQMLDLSSNNLTGDIPVELGKLPALAGTLNLSRNHLSGGVPTELGRLPATVTLDLRFNNLSGEIPQSGSLAGQGPTAFLNNPGLCGFPLQVPCRAAAPSSSSSLPPPSSSSAASSGVAGDARQPIKTSLIVLISVADAAGVALIGVIAVYVYWKVRDRRRAANNAKDKGVDDEDDDEGEGRGLFLCCPCMRADACMDSSSDCSDDGDNGGGGDKCNGGGGAGEAGGELVAIDKGFKMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAPERYREFAAEAGAVGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRGRSGQPSLSWSLRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNILLDADYNALVADFGLARLLNIAGCTDVYSVAGSGGIMGGALPYARPATLADRSSGAAYCAPEARAVAVAGCARSSSPPSQKADVYSFGVVLLELLTGRAPEHGSPSASSSSASSFLPGHGGGEQQEAPELVRWVRRGFEDARPLAEMADEAVLRDAGARKEVIAAFHVALGCVEADPERRPRMKAVSDSLDKIGA >Dexi3A01G0024310.1:cds pep primary_assembly:Fonio_CM05836:3A:19947310:19947985:-1 gene:Dexi3A01G0024310 transcript:Dexi3A01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEVAVPPAAVVGEDAVERQDFGLPAELMAALPSDPFAQLDVARKITSIALSSRLGRLEAEAARLRAQLAERDAEAEDLRERVEQLDAAHAVATGRLRRVEEEKETLVRENSSLSNTIRKLNRDVAKVGHLSIPDN >Dexi2B01G0032960.1:cds pep primary_assembly:Fonio_CM05836:2B:40700987:40702253:1 gene:Dexi2B01G0032960 transcript:Dexi2B01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANTTAHGHQPWAALAAASANLSCSRPTAATATRALVSTGGFVRAPNAAARRRPPSRRRRALTMRTKAGAAEVRPSSSPDAVTYSASISTDMPLHEPPGASFDEYLQDRARVFRAMFPDESRSERLGDGEWRVQMLPLQFLLLTVRPVVVMQLRHRAGGLDLRVTEWELRGLDSGYAPTSFDLGVSGSLYADRSRGRLAGCRMRGHLEIVITVVLPPPLRLVPESALRGVAESVLSRLAEKMKRDVDVGLIADFRRFRREKAAASMARPMLDAMASARDEASES >Dexi2A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:2A:864608:866108:1 gene:Dexi2A01G0001300 transcript:Dexi2A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDVLEDEMGSNLSLDLRYFASKAVKRARDVLALNVDDCILRLEEEQDKIKMFRQELPVFVRLLAEVIDVMKAETGKKMKSDHKTAMTIEEEGALGDKSKWMSTAQLWISDANREDAESEKQDKRRSSPEETFGGDGAFLPFKVVGSSVPAHASLCLRADDKAMDVGMPGLSLLSSSMIKSAPTTSTGATKESHRQVVDFAQEAARAVTMASSVPPLSLKSQPQQTAQQQQQQQQARKARRTWSPELHRQFIAALNKLGGPQLATPKHIRELMKVDGLTNDEVKSHLQKYRLHNRKASGSAVVSQPIVLVGGLWIPEKQSSSQSGSPQGPLHFSTPSSVVSSTAAVNYEEEDGRSESFGWK >Dexi7B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:7B:18853143:18854249:1 gene:Dexi7B01G0011950 transcript:Dexi7B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSIPHWEIYGFVEYIGSGQKQDDMILPVPEGEQAVRLGNDATICQQLSVVRHTYYSITFGAARTCAQAERLNVSVTPESGVLPIQTVYTSSGWDAYSWAFKAKHTTVWLCIHNPGHEDHPACGPLIDAIAIKTIRPPHQVKTDNMLRNGDFEDGPYIFPNTPWGVLVPPITEDEHSPLPGWLIMSGTKVVKYVDAAHHAVPHGSYAVELVAGREAALAQEVTTVPGRPYRLSFSVGDAGNGCDGHQLAVDAYAGRATLKVPYDESHGKGGHKRAELEFVADANLTRVVFHSANHHIKSDGTLCGPVVDDVSLVAVHKNAARRRLRM >Dexi8A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:8A:20743070:20747041:-1 gene:Dexi8A01G0011730 transcript:Dexi8A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGKKTCQVGLQPEVIRTARSARAVHATALRNRGQGHAEGTEATDGKLFVWGRNSGGQLGLGKGKVVSTPTKVDSLTDFKVKRVALGSEHSIAVTDEGEVLSWGAAGSGRLGHGHQSSILGFSLTSSEYTPRLIKNLDGIKIKKIAAGMLHSACIDEKGTLFIFGQKTEKGFGRSNEAFRPIVVEEIPFSEDVDCGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEILKSSLFKLPVSKVSCGWKHTAVISGDDIYTWGWGGANGTFFEEGHSSGGQL >Dexi7B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:7B:23800359:23802779:-1 gene:Dexi7B01G0017900 transcript:Dexi7B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVGEDRDIAEEPEKAVLVVPAPRKRKRAAAAIIDGGSSDEARICDDIAVSILARLPARAPVSCTALSKHHRRLIRSPEFASLHCRLGAPLPLPHIAYLATAPIKRMPEQKDPVSVFHGFHLAGAGLRRGDAPMRSLAGRRHLGTSYVSTCNGVVLLAPKELSATCRCTLWNPAVADVSREVTVRGKPSPRHDATRENADLAALHLYVAAEQENADHEQRPKER >Dexi9B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:9B:1376772:1384173:1 gene:Dexi9B01G0002360 transcript:Dexi9B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLTVNPSSFPLPTQPPAHPAGPEEHATRPPRPSPLRLPSDWPPPRALLPRPRRRSGDREEEGGSAGGGPPMEWASAAYTAAALVCAAAATVVALVHIYRHLLHYAEPIYQRFIVRMIFMVPVYAVMSFLSLILPGNAIYFNSIREIYDAWVIYNFLSLCLAWVGGPGAVVVSLSGRTLKPSWILMTCCYPAIPLDGCGVLVFLAAKSRLIKNADKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGPNARPAGGFRESLLHALMFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSGSTATSGQGVELAGITVVASNSPVTSSVSSNQADQEETMTTPIKDKVDPPGGLYDLTDLLDVDLSNYPAKVPAITDVRKQ >Dexi9A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:9A:11237012:11239772:1 gene:Dexi9A01G0016290 transcript:Dexi9A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADAMRLSIAHQIRFALCLYAALNSSPSATPPSNSNNVVFSPLSIHVALGRLLAAGAGGATRNQLLAALAGGGGAADRLQALGEEVARVVLADGAEAGGPRIAFSLTPSSLTRNPPAVFVLKGPTCVLFFCQAEEVPGRVNSWVEKVTSGRIKDLLQPGSVDKDTMLILANALYFKGAWAKKFDVSKTEDSEFHLLDGSSVQAPFMSSGDDQYIASYNHFKVLKLPYQQGGDKRQFSMYILLLEAQDGLWSLAEKLSSEPEFLDKHIPVSKIPVGQMKVPKFKISYGFEASEMLKGLGLGLPFSAEADLSELVDAPARQKKSVSSVIHKSFVEVNEGGTEAAAASAIRLMGSALYSSPSKTTDFIADHPFLFWSEKTRVVWCCSLVMWSIPYLQHNVFALECYLGLVPSIPCECRVLTI >Dexi3A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:3A:16830845:16833870:-1 gene:Dexi3A01G0021190 transcript:Dexi3A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIKIAAFWLAVASLPLLAVAADCECDASTEQDGGDNKAKALTLKIIAIFCILIASSVGCAIPSLGRRFPALRPDTNLFMAVKAFAAGVILATAFVHILPDAFDKLGSPCLVDGPWNKFPFAGLIAMLAAIATLVVDTVATGYFQRAHNAKTAAAIGDDVDVESSADDHAHAGHGHGHAHGVVSMMASTSNDANGGTQLIRHRVIAQVLELGIIVHSVIIGMSLGASESSSTIRPLVAALTFHQFFEGIGLGGCIVQAKFRLKSVVKMALFFSLTTPVGVGIGIGISSTYNENSPRVLIIEGVLNAAAAGILNYMALVDLLAEDFMNPRVQNNGKLQVIINVSLLAGTGLMSMLAIWA >Dexi3B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:3B:14585226:14587239:-1 gene:Dexi3B01G0019570 transcript:Dexi3B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKQWMPELRRFAPNVPVVLVGTKLGGEMLNISATTEPTSLTILGLQQLQRHKLVLSLYSYLQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREAMPARKKSRRGSGCSVMNLMCGSTCAA >Dexi3B01G0028270.1:cds pep primary_assembly:Fonio_CM05836:3B:24215922:24219613:1 gene:Dexi3B01G0028270 transcript:Dexi3B01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRPLSQGRARRALNARSQRFVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGNPKVVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGLVQELENRARPVKGGGDIKAVASISAGNDELIGSMIAEAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATVEQLGTARKVTIHQTTTTLIADAASKDEIQARIAQLKKELAETDSVYDTEKLAERIAKLAGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTIALVAPASLIAHNAGVEGEVVVEKVKD >Dexi5B01G0039880.1:cds pep primary_assembly:Fonio_CM05836:5B:38538808:38539665:-1 gene:Dexi5B01G0039880 transcript:Dexi5B01G0039880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSATCCRASSSSPSCGLRIPATTTSSSPARIRLIFPSSTPRRRVSSRAAASPEPAAVEDEDDEDLWSAADDIGFEIQVSKVGGKRNRRLVRARVRVDAPLEAVWATLTDYEGLADFIPGLSECRLLEQADGFARLYQVMPPIPLSFLASSNPIPTVSFISAQQVGEQDLALGFKFNAKGTIDCYEGDMESLPAVGVRRRREIAFNMIQGDFKVFQGKWSVEEADDGGIAGDPERKQTTLLSYLVELEPKLWVPVRLLQGRICSEIKNNLVSIREQAQSVAVSE >Dexi9B01G0025420.1:cds pep primary_assembly:Fonio_CM05836:9B:25680519:25682100:-1 gene:Dexi9B01G0025420 transcript:Dexi9B01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSFLLALTALVLVGVARSSTTFTIANSCAYTVWPGLLSSAGSPPLPTTGFALAPGESRALAAPTGWSGRLWGRTLCVSSSANHFACATGDCGSGDVECNGGGAATPATLAEFTLDGSGELDFFDVSLVDGYNLPMVVSPTNTNAGGNGKCAATGCAAELNAACPAGLRVDAAADGPVACRSACDAFGDAQYCCSGAYGSPSACRPSAYSQFFKNACPRAYSYAYDDATSTFTCAAGTTDYTVTFCPGVPTSVKSTGQNPQAAGLPQQLNNGTTMVFFGGNAQPSSGAAAAATANLLVAVAAIALSSWLSSS >Dexi5B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:5B:21622131:21623914:1 gene:Dexi5B01G0019310 transcript:Dexi5B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPACSPPSLTLHATGQELTMGIDDIMRIVVPCLPAPFFPAAGASRPSPSARVGGGGGEDRISRLPFKVLSEIVSRLPAKDAARTAALSRRWRRVWASAPLVLDDSDLLVLPRRGRSDYLPVDWCAVTDAVSRAIGSHRGPISRVRLTCCVMWLVQRLGMLDYWLRRFADGGAEDLVLFNRPQPKGLYLPADVLRIASLRTLYLGFWTFPDIAGLPRGPAVFPHLREIGLFCVLISTGELEHLLACSPVLEKLAIVACFYFSHYVRIGSRSLQCLVFWKSLTYELAVVVAPHLQRLILLQDYPDLSNPSLRRTRVRIGYTPGLSVLGYLEPGMHQLEIGGVSIESGTKMVPSTMVPSVKILALRVQFGIRKDAKMIPAFLRCFPSVETLHVMSHEADEPTGKLNLKFWQEVGSIDCLETHITKVVFDKFRGERCELAFLKFILERAQSLLKLVVVLANGDQASVDEMLTKLKPLTTAKRASECPTLLAVARDGDSPWCFQRASDLSVSDPFDW >Dexi2B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:2B:28229023:28230591:1 gene:Dexi2B01G0017870 transcript:Dexi2B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVNLYLHRSLLLSSSSSPPPPRLTLSLPRSAAAAAASSSAAPAPPSVSLSPNHSVADVFNGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAALLPAYLAHLAAAADHLERSSRARRLHTNAASPRGAGAAAWASVPFCHPATFDTLALDPALKARLLADLTAFADGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVSTNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRSERLHKRRKLHAAAYDDDDDSSDSDDDNNNGADSHRGNKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLDACGGHAMRELVQRYVGVGGDHEMLDAAEDSIRGGAEMTPAEVGEVLLRNRDEPETAVTELAAELKARRNAADDLQWEDSAAELSDGSPTKKGRKGLGWEGKVRILGRLRSLTKSDSGRR >Dexi8B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:8B:20376126:20377584:1 gene:Dexi8B01G0011300 transcript:Dexi8B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSRATPARLNAPDEANPSSSLPVLVPLDAFWISAACDNSDDSLDYSDIHPWIRHALDSKAWALGILKHSNPKPLAMEGYPFPFTSVQCNILSALTNATSLTLVSPSVYEDVVPKVLRRDLPRCGTFSKLKRLHLGEWFLSGGCYPLIYLLRCSPGIEKVILQLDKSGAYDYKRLPNALAEICPRCKEATRTFGCEKLRKIRIYCHMQDGIRAKIIMFALSTHISPLPSIKIKPIRI >Dexi1A01G0023170.1:cds pep primary_assembly:Fonio_CM05836:1A:29788474:29789122:1 gene:Dexi1A01G0023170 transcript:Dexi1A01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAVKLPANYRYLAALAAAADDEGRGDGDEEGNCKRFSLAATKKEIYARLCRPTLFDTGRKEFWILKNKGGLCISISSKAMTITGIDDRRYWSHLATDESR >Dexi2A01G0035960.1:cds pep primary_assembly:Fonio_CM05836:2A:45643511:45645323:-1 gene:Dexi2A01G0035960 transcript:Dexi2A01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGRAAAALDEALKPFQERASEAEIRIAKLEALLYNQDGPNSGSENNSSAMKDLQSKLETISAECLTEKEKNKKLIMENEKLQYRITHLIRAIKEAESR >Dexi9B01G0034550.1:cds pep primary_assembly:Fonio_CM05836:9B:36464882:36465369:1 gene:Dexi9B01G0034550 transcript:Dexi9B01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVATKEALEWAIGCTDAVKAFAEVTRFMNDLASFKRGKNKNDVASSVECYISEHGVTANVACAKIDSLIEDAWKTINRTRFERNELLPAVQRIFSITVSMPLMYGDKKDAFTFSNGLKGVIRCLFLKPVLL >Dexi3A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:3A:2763663:2767993:-1 gene:Dexi3A01G0004180 transcript:Dexi3A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASAAPPSRRTRSRPPSASSRKSDDPSAAAAAANGKAGSKPTSPQQLTGERTVKKLRLSKTLTIPEGTTVSDACRRMAARRVDAVLLTDAQGLLSGIVTDKDIATRVIAEGLRVEQTIMSKIMTRNPIYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARLEKAAEQGSAIAAAVEGVERQLGGNFSAPSALIETLRERMFKPSLSTIVTENTKVAIVSPTDPVCVAAQKMREFRVNSVVVATGNTLQGIFTSKDVLMRVVAQNISPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVIDRDGQIAACLDVLQLTHATIQLVEGGNGTVNDVANSVMQRFWDSALALEPPDEEFDSHSEVSLLLPSEAGDGKSSVYPPVIGNSFAFKLHDRKGRVHRFTCGSESLDELVSSVRQRLSITDEKETVQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVLKLHIEDPGLNTEVTKPLQELAPPPQMSGISPVHVGLMAGVVALSGAAVMVYLKRSQL >DexiUA01G0009920.1:cds pep primary_assembly:Fonio_CM05836:UA:19474511:19476016:-1 gene:DexiUA01G0009920 transcript:DexiUA01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEETMDEIPPAYRFRPTQRELVEFYLLPRSRGQDPFPGVIIEDDTAGSSLPWDLFERHGLGTEDEAYFFVRASDATKKRGARQDRGCDGGVGSWKMQNSREKGLRVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYTIAAPPCPSPVKICHITFTGHGRKRERVPGGQEDCQTGQAPQVDAAAAGGGCSGGMPDDRDSGALVRASADEEGSQPVLTKDNIFSQNPVLGGSEFLGFPSTASANAAQYHYQELEQEVPSNLWSSTWFESNNVVPHISDNMVQQLDRVQEDNQTGQASQIDATAAAGGCSGAMLDCDFATVVNVSADEESSQPVLNEDIFSLSPLLDSSEFLGFPSPSSANAEQHQELEQVVPSTEEEQAMVPQLMVEQSVSSLEEQQCAGDLEFWSSTGVDLQSSNLGGNLWSPTGNDFAEQGFWSSTMVESDGVVPHTGDMEEGHQDQHDFWSLSEAQVQNNCAMPAIAARAVAAANCHWGGYCITC >Dexi9A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:9A:16090102:16090341:-1 gene:Dexi9A01G0021110 transcript:Dexi9A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSESTGSGSSRSGGRPTATAAKQLLSKLRSTWRRGAARPRPVAAVRFGYGLHSYSQNFDDGLSSSGHPLSVVPCS >Dexi2A01G0027380.1:cds pep primary_assembly:Fonio_CM05836:2A:38802067:38804100:1 gene:Dexi2A01G0027380 transcript:Dexi2A01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPHDPGTGELLVADSYDPCPVNLQTKHRLHAQEIAHSLDLRKYDGIICVRGDGFLVEVVNGLLQREDWGTAIKVPLGIIPAGTGNGMAQSLLHAAGEPFSISNAVFAIIRGNSFLLHRSQHALDVTSVVQGKTRFFSVLMLTWGLVADIDIESEKYRWMGIARLEFYILCSAMCNTTSLQFLLRVLNMRQYNGRVLFVPAPGYEEVGEPVEQSTSCKQNGVNTGSHEDKANDRNGETSGYPGPSIQEADLEWRSLSCPFISVWLGNVPFASEDAMAAPNAEVNLTSFWFAYPLCP >Dexi9A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:9A:11326570:11328099:1 gene:Dexi9A01G0016420 transcript:Dexi9A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGESLRALSAIPAAFTDRDMDGLYGNLGASPSSPENSSSAPPASSKKRKGGGNAVVPGHRLPFNAAVPAACQQQKEPDTGMMLLQSCGPLDLCLTPASPVAGGQRGCGGGASDEYSATTTCEDLQAITGDVEPRAPMALLNLFN >Dexi7A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:7A:24785373:24785756:1 gene:Dexi7A01G0014880 transcript:Dexi7A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAINMVVFAMASAGSVPCPPPPSTPTPTPASYGKCPRDALKLGVCANVLNLIKAKVGVPPSEPCCPLLEGLVDLEAAVCLCTAIKGSILGINLNLPVDLSLILNHCGKTVPTGFKCL >Dexi3A01G0025780.1:cds pep primary_assembly:Fonio_CM05836:3A:21666783:21673183:1 gene:Dexi3A01G0025780 transcript:Dexi3A01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQWLSVAAGCVAAVTCAVAATLVARRAAARCRWNRAVEVVRGFEEECATPTERLQRVVNSLAVEMFAGLASEGASKVRMLLTCVDELPDGSEEGIYYAIDLGGTCFRVMKLELGSVSMVINKKVEHHPISEDLTKGTSEVGKDVSQCLNEALIRNGLDLQVTALVNNAVGTLAMGHYYDEDTVAAVIIGAGTNASYIECSAAITKSRGLLADSDLTVVNVEWGSFRPPQIPLTPYDICFNVEKKHNHYDQSKKHLCQRVYDPTGRPVPRAAALQVAEWTPLYLLPFGSEGIDARTPHTTLAALQLQAEQRSPSPVAILMLHGEPAIIILCHSLSYGTPCLAAIREDDSPDLTMVGRVLEEHLKIQDVPLNTRKLVVRICDIVT >Dexi9A01G0041820.1:cds pep primary_assembly:Fonio_CM05836:9A:45402490:45403319:-1 gene:Dexi9A01G0041820 transcript:Dexi9A01G0041820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDLYMIHWPVTMNAGRFTAPFTPEDFEPFDMRGVWEAMEECHRMGLAKSIGVCNFSCKKLETLLSFATIPPAVNQVEINPVWQQRKLREFCREKGIQLCAYSPLGAKGTHWGSDSVMDSGVLHEIAKSKGKTVAQAHRTQCINWLLKSLFNLWMHANKQVCLRWVYEQGDCLIVKSFDESRMKENLDIVGWELTEEERQRISKIPQRKINQGRRYVSEHGPYKSLEELWDGEI >Dexi2B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:2B:1007939:1009216:-1 gene:Dexi2B01G0001560 transcript:Dexi2B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSSPPSHAPPAASSPFFDFGSHPTDVDLVNSILRPWVERGVRPPGTYAHAADLYAAEPSDLARRFRAAVDRNGERAWYFLSPLRAKSPRGRRKARTVAGGAGWWHGEAGPKPVVDNLEGRRKVGYRQSFSFMRKGGDGAPVRTGWIMVELRLHYDDDEDGGGGGIGEQLEGLVLCKVYRSPRHPDTAAAEESCAATAPDGWRSSSAVVVDDDRSSDALVVSEDETSIGAAAPTTPGPEEKTAAAGAKNEISGATTPAAARAEEEKKKAAGDENSAAIMAVARGREEEEKAAGDENENSAATMAVARGREEEKKAADDVDSSASTPATRKRVRIADDEGPAGAASARKKMVAAGAPATQQHLHCPQCGFHLGALQAVVSPTKSTSETETKTETGIGPADVPPRGAGTGGASGSGKDRSFHRFI >Dexi9A01G0040800.1:cds pep primary_assembly:Fonio_CM05836:9A:44518851:44519192:-1 gene:Dexi9A01G0040800 transcript:Dexi9A01G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKFSVPAAASERQRRGGLGEVSVTMVVPSFTMHSSAMNQRLLFLDMNRITALRGRAGKYHVSVTMPLTVVLPPPGYYMVFVVSGIVPQRGRGLGPHTVRANDCWEDYRMVC >Dexi2A01G0033020.1:cds pep primary_assembly:Fonio_CM05836:2A:43335308:43337887:-1 gene:Dexi2A01G0033020 transcript:Dexi2A01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFGIAPLLDAYFRRRFASVGLVEASVLLDGGATTVHCWRFAPCADADADADARPVLVLLHGFGPPATWQWRNQVVPLSRRFRLIVPDLLFFGGSSTSAAKPGPISEAHQAEAVAKLVAAVVPAGTARVSVAGTSYGGFVAYHVARLLGPGAVERVVIASSDLLKADADDRALLRRGGAERVEDVMLPRSPERMRRLLRLAYHRPRRFTPGFVLRDLVKYLYSDKVEEKKELIKGITLGNKDKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARKLGANARLEVIKDTGHMPQEEDPKRFNEAVLNFLLPVPKFSL >Dexi4B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:4B:6874467:6876499:1 gene:Dexi4B01G0009470 transcript:Dexi4B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITSAHPGRGTGFLKTSFNGINALSGIGILSIPYALSQGGWLSLIVFVAIAIICCYTGILLQRCVDSTSRIKNYTDVGEVAFGRKGKILAATFMYLELYLAAIDFLIMEGDNLDKLFPALRFHITGHLTLTGKQAFVLMASLVVLPTTWLRSLGKLAYVSLGGVLASAVLVSAILCIGAFDGVGFHEKGVLVHWTGLPAAMSLYAFCFSSHPVLVLCFAVSALGYGLTGVASYLMFGASTQSQVTLNLPPGNLRSKIAIYTTLVNPLTKYALFVIPIADAVEDSLRVADSRPLSIAIRTALVVGTTVVALAVPLFGYVTMLTGALMCSSVIMLMPCACYLKISSMTSKKVAGYERVACVGIIVLAVGIATTFL >Dexi5B01G0032290.1:cds pep primary_assembly:Fonio_CM05836:5B:32921993:32922254:1 gene:Dexi5B01G0032290 transcript:Dexi5B01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTGSPLHLEEFAERRRPGAVLQREISSALSLPLLAALVLGRRRLSMASTRPIRLASVMPPPPRRGKDQADCVDRQCQ >Dexi1B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:1B:231443:234803:1 gene:Dexi1B01G0000130 transcript:Dexi1B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKARELREFVEACKKDPSLLADPNLGFFRDYLESLGAKIPAAAKAAPFDKPKRSSMDDIDDDDDEDLDMRDATPEPDELDQEIVESDLELEGDIVQSDHDDTPQKMGDPSVEVTEENRDASQEAKGKAMEAMSEGNLSDAIEHLTNAIVLNPLSAIMYGTRASVFIKMKKPAAAIRDANAALEVEPNVHKIVEHRRKYERLRKEREEKRAERDRLCRRAQAQAAYEKAKRKEQSSSHSSGGTSPRGFPGGMPGGGFPGGMPGGFPGGAMPGGFPGAGGAMPGGFPGAGGAMPGGFPGGGPGNIDMSKILSDPDLMASFSDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFGGSQ >Dexi9A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:9A:14415810:14421236:1 gene:Dexi9A01G0019500 transcript:Dexi9A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFVAHASHVNCAKFGRRTSRILITGGEDLKVNLWAVGKPSALLSLSGLTSPVESVSFDSSEVLIGAGAASGTIKIWDAEEAKVVRTFTGHRSNCASLDFHPFGEFLASGSADTNMKIWDIRKKRCIHTYKGHTRRIDVLKFTPDGRWIISGGADNSVKIWDLTAGKLLHDFSLHEGPVNCLDFHPHEFLLATGSADKTLKFWDLETFELIGSSGPEHENLMQNNREYFELASVVRCMKFNSDGKTIFCGLHESLKVLSWEPIICHDVVDVGWSTLADLTVDEGKLLGCSYNQNCIGVWVVDLMRHGSYPDSCAGSHLTGSVDKIIQPDNSMSSVFDRLSVSRSPVNETGSDTLLERSISASKESPFSASSAVRKRLAKPPGKRDLQLTRSDSAPLLSPRVRLNPNFTEVQKRHPDAVVPLPAPIVHSKVDLSSDAGKLSHNSLARAAPMYRSMAHISSYGSKESSFVPVLVPRCSSKGNADSILSEAATVGHWYGMSCFDKRNSDAVWNPEFANIDGNEVFQHMESGGKRAVEYRPYSSNHDSIRYMPTLYDSRLHPSLPGKLSASARDEDDMYGLMENHQEFIHVMKSRLTKLESVYRCWQRNDIKDYIDATWRTLDFAVTADVVNALMENRDCITLDICASLLRLSCSLLESAYDRHLTIALGMILSLVKSFGATISSALLAMPPVGVDLEAEQRLERCSLCYQELKKVSDSLKSLTRQGEVGRLALELTLFLQDIFQLSSV >Dexi9B01G0040570.1:cds pep primary_assembly:Fonio_CM05836:9B:41089618:41099432:-1 gene:Dexi9B01G0040570 transcript:Dexi9B01G0040570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQDDGLGGLDTATEDSPPRRISQVWMSGSHGHHRDRADGPIRHAFEAAEFVAAKGVTARHRDGRTARIGAVGFRCATAQMRLAHRSTVSLTPRINSSRLIIGNLSHLVLITNIHHILLDTLHPMDRRSWPWKKKSSDKSSNADALQNSNQEQYTSAELKFPSLQEDKAPKFVQISPETYAHLTESEEKVKSLEENVQVLNEKLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALTRSLHERAELLMKIDEEKAQAEAEIEVLKTTIQSGEREINSLKYELHVLSKELEIRNEEKNMSVRSADVATKQHQEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGREYGDHRVRRSPAKNSSFHRPMSPVPDYAIENLQHMQRENEFLTARLLTMEEETKMLKEALTKRNSELQSSRSMYAKTAGKLRSLEVQMLTGNQHKSPSTPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSNFKKEKAAKSSATEGSNRLELMDDFLEMERLACLSSEVNGNGSTIDKMKIDEVGATLSGTTEKDGVKDLQSASPMSETPSSKQQLSEKSSLLKLQSRISSLLDSESPEKNAGKVLDSIRSILKDIEDEADSMNANGTHHLDGAMDQELKSAILKIQDFIKLLDQELSKFQGQSSDYDGLYEKTQHFSALTDKVLSNDNGLNDIVIALSIILSETGQLKFTMSRENSNEAESNNLDCVDKVTLLENKVQPEPVKDNVSDLSPLLPRSSSDPEFEGPADAGFDAKTAVKICSPEEYEQLKSEKINLEGELAKCNEIIEETKVRLSDMEKNLGDLTAKLSDSEKSNSLSETQLKCMAESYKSLESRKVELENEIEVLRSKIDTLTSELTYERQSHQEDLARYKDLEEKMERYELESSMFADEDPDKSQQEKEIAAAAEKLAECQETILVLGRQLQAMRPPAESFGSSPNRQRMEDFLQDAVGTAAGEFSQKPAGQPDADQEMLGTGNESPVNGFKTHMTPSDAEGSPFPSPNSSKRPKHRSRSSSSSSFTNHQLPEKQSRGFSRFFTKGKE >Dexi1A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:1A:4756872:4759154:1 gene:Dexi1A01G0006360 transcript:Dexi1A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRALSLSSLCATTLAAAARAPSPSPFLAPSHRASPLRLAAGMSSSSSPSSSQPPAASGDAAAPAPAPSASSAIDFLTLCYRLKTTKRAGWVKRGVQAPESVADHMYRMGVMALVAADLPGVDRDRLR >Dexi2B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:2B:3724808:3725152:1 gene:Dexi2B01G0004240 transcript:Dexi2B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPRPEEVASCPIPPSEHDPKRRLRGGGGAPVSPCSLLHEDLVERIAERVLAGDLLDYVRFRAVCKHWRSCTVDPRGRCAADPRFHPHRWTMLPPSKKPTWGLKKSRLGA >Dexi5B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:5B:7763480:7764556:-1 gene:Dexi5B01G0010960 transcript:Dexi5B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATNRRVVLKEYVEGYPTEEHMELVPGGEVPLRLTGDEPAGSVLVRNLYLSCDPYMRPKMSRPLRESYTAAFVPGSVITGYGVARVLDSSDPRFAPGDLVWGITGWEDYSVVKPPVTAFLAKISHHAAGGGGGVPLSYYTGILGMPGLTAYVGFHEICAPKKGETVFVSAASGAVGQLVGQFARLAGCHVVGSAGSKEKVELLKSKFGFHDAFNYKEEPDLAAALKRCFPDGIDIYFENVGGAMLDAVLLNMRVHGRIAVCGLISQYNLKEGEKDAVRNVGALISKRLRMQGFIEPDHKHLYPKYEAWVLPYIRDGTLAYVEDVAEGLENAPKVLIGLFHGRNVGKQLVRIADDE >Dexi1B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:1B:20738045:20741003:1 gene:Dexi1B01G0014430 transcript:Dexi1B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAERSPSAPAERLKRHDSLYGDAEKVSNEKLHGSGGSWARTLMLAFQSIGVVYGDIGTSPLYVYSSTFLDGIRHPDDLLGVLSLILYTLILIPMLKYVFIVLHANDNGDGGTFALYSLISRYAKIRMIPDHQTEDATVSNYSVEAPSSRLRRAQWLKEKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPHLTQLEVVWISVAILFVLFSVQRFGTDKVGYSFAPIISVWFLLIAGTGMYSLAVHDTTILRAFNPMYIIQYFSRNGKEAWVSLGGVILCITGAEAMFADLGHFNIRAIQISFTCILFPSVALCYMGQAAYLRKFPQNVADTFFKSIPAKPMQRPCSGRCSWWRSWRAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYEGQVYLPEVNFLIGAASVAVTLGFQTTANIGNAYGICVVTVFSITTHLMTVVMLLAWRTPPALVAAFYAAFGAAEFVYLSSILSKFVEGGYLPFCFSLVLMALMAAWHYVHVLRYWHELERAVPAAELAAVLARRDVRRVAGVGLLYSELVQGIPPVFHRLVDKIPSVHAVFVFVSIKHLPIPRVAAPERFIFRRVGPVSDRVFRCVARYGYTDTMEEHTEFAAFLLDRLKLFVQEEAAFAGGPVQMAAAAVEAAQQFIDDEAARGVVYLMGEATVTAAAGSSVVKRLVVNNVYGFLRKNLRESHKALSIPKDQLLRVGITYEI >Dexi1B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:1B:15238045:15242627:-1 gene:Dexi1B01G0012380 transcript:Dexi1B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAALLAAVVAFLLPLRLVSLALCLAFKGRSTSARHLRRSGAALAVAAALLAVIFALPRDRPGECAVPVTAVVDDEGLRSEVDQLKLQIARLESLLDSNSKVLVENGDTIEKVSDKNPLEEEDGHVMRAMGLDIQSLINEQETIKVRLIKDESRKMNSDIYSVMSLAKDATEKVEALHSDFKKVQLITGEWGKTNFNRMWSFAKNTERKVEDLYSDIKKGFKQTKRKVPFM >Dexi6B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:6B:21458914:21459375:-1 gene:Dexi6B01G0013690 transcript:Dexi6B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi4A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:4A:377212:378836:-1 gene:Dexi4A01G0000580 transcript:Dexi4A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPNGNPTVSSLAATVPVQAVLFDIDGTLCDSDPLHHVAFQELLLEIGYNNGVPIDDEFFIKNIAGRSDVEAAQNLFPDWELEKGLKFLEDKEAKYRSLAKERLEPVKGLGKLVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIVGGECEQPKPAPYPYLRAIKELEVSAEQTFIFEDSASGIRAGVAAGMPVVAVATRNPENSLLEAGASLLIKDYEDPKLWAALEEIDREEAKLKKASAWDEMTMNN >Dexi8B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:8B:18314366:18323593:1 gene:Dexi8B01G0009990 transcript:Dexi8B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRLALLAAIALAAAAAVGEAVYIPYNTSAGVVPGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQVRRRLRRALTAALRFRRVAFLPDYSEEFEGACVQNVLDSLIPALLKDENRKFIYVEQAFFQRWWRNQNDVIKDIVKGLISSGRLELINGGMCMHDEATVHYIDMIDQTTLGHKFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKSFGSSADIFAGIFPKNYEPPPGDFYFEVDDDSPVVQDDPLLFDYNVEERVNDFVAAALAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKDGRINALYSTPSIYTDAKYAANEQWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGKSKSGPTTDYLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGFTRAQELVSTSLACLTELGSKSRCSTPTTKFSQCLLLNVTFCPPSEMDFSKGKSLVVLVYNSLGWKREDVLRVPVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTNSQVPLTVLRGPILDEVHQQINSWIYQITRVYKGKDYVETEFRVGPIPTDDGNGKEVATEIITNMATNKTFYTDSSGRDFIKRSSSEVIDTHRRFCTSFQVNLGIYVEDGSKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVAEALNETVCLDNQCEGLIIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFSEQDGGNWVNSHVPKFSAMDPTYSLPDNVALLTLQVPASLYHCCQLAIIIFCAKAGEHTDLSALASVDLKRVFPDMKIGKIIETSLSANQERAAMEKKRLKWKVQGPTTDEKMIRGRPVDPSKLVVELGPMEIRTFIVSFDHSISGNQLL >Dexi8B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:8B:20440534:20441839:1 gene:Dexi8B01G0011390 transcript:Dexi8B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNPSYYRSGGCQDIDRLQKEHLFHLYMNQRLEGTSNGMHIGAGKADGSWLLCHSILFTDTRFKGSSLKVLGDFAMDKNGAAADGEWAIVGGTGEFAYANGIVTAKIIENMHPTNGRIWELRIRAFCPCIPEVITLAPSETIKQVFGTKGTVEGDTVVTSLALVSNVRTYGPFGKANGSAFSSQIPGNKTVAGFHARAGASVNAFGLYIA >Dexi5A01G0037450.1:cds pep primary_assembly:Fonio_CM05836:5A:38801251:38804699:-1 gene:Dexi5A01G0037450 transcript:Dexi5A01G0037450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEHGGDEEETRSESSKTSLSASSDATVSSTASKLQALRFAEDLSLPSVQVVVMSANMGCSHCRQRVANVVSKMNGLLDYMVDFGKKEVTVRGKVVHAKKRWKPFAAAGWETRSPSVSPGHARTLSWFLGCYGS >Dexi3B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:3B:5317873:5321021:1 gene:Dexi3B01G0007490 transcript:Dexi3B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDDQKMSSNSSLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILLICLTIQSCIKRSRKPLNDRPMTQIPPAYKDIKEVRTVDQFSANDFVVHDGLLLTIQNEPETVESVNRDAQLAQEEKLKQREENNLSDSFRITDGCDGIQIVSIDEQSSTHATVDSAPLPGLPELSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYERPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPSMDQVVRMLDSNEPIPQEV >Dexi9B01G0042810.1:cds pep primary_assembly:Fonio_CM05836:9B:42971801:42973467:1 gene:Dexi9B01G0042810 transcript:Dexi9B01G0042810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEEILLQASRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTDLKM >DexiUA01G0024400.1:cds pep primary_assembly:Fonio_CM05836:UA:50753193:50759672:1 gene:DexiUA01G0024400 transcript:DexiUA01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGCSCEAAAATAMASEAYLQGEPVREARELVAELCRHFYAQGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMAADGKVLSAPTAKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLNPGAKEFRMTHMEMIKGIKGHGYRDELVIPIVENTPYEYELTDSLSDAAECYHYLLDACIKLYQLGIDWTTPEHGPINSAKRLRSILSPEIPNGCHAAESSKCVVLDIEGTTTQISFVTDVMFPYARDNVQKHLTSTFDSEETKEDIKLLRIQIEEDLRNGIAGAVPVPPDEAGKEEVINSLVANVESMIKADRKITSLKQLQGHIWRTGFEKKELQGVVFEDVPVALKNWHTSGIKVYIYSSGSREAQRLLFGNTTYGDLRKFLCGYFDTTTGNKRETKSYFEISQSLGVDSPSQILFITDVFQEAVAAKNAGFDVIISIRPGNAPLPDHHGFRTIKSFSEI >Dexi3B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:3B:7654171:7656194:-1 gene:Dexi3B01G0010860 transcript:Dexi3B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVMAHCNTMCSGSEKSTSSDGEYGDNGRGMLPGCRALATSQGRPVVLTPPSATSSAASPRAQKDVILPSAGNLKPSSKSKSPGPSNGTQSTATSQQPAGTGVTIKQVPGIGISIKQEQNSSVTEAEADDDGPDEFEEELDRVQANLNYFMDLAQEAHGSCSKALADLFPEPSKDKTSGK >Dexi3A01G0022730.1:cds pep primary_assembly:Fonio_CM05836:3A:18355921:18358795:1 gene:Dexi3A01G0022730 transcript:Dexi3A01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPLLRDQLSRRTAVLGLRLWVLLGIAVGAAFLLLLALISLHLAAARRRRPRKGVPVAHAPAPVTTAPRSPSTIPPVSKEIQEVAVHVGSLRHYLEMGHAAFLNKDGGGDGESVEAATAHGSQRVHIEAGKGRRMVAYCGGDGEVGLGVGHVASDVSVAAAVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGILPDGYQVAVKNLLNNRGQAEREFRVEVEAIGRVRHKNLVRLLGYCVEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMNIVLGMAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPAGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTLRPGNGSPLERARTPWKPVAGSCDSSCYEGNTTTASTPSRLVQDM >Dexi9A01G0046820.1:cds pep primary_assembly:Fonio_CM05836:9A:50055698:50056284:-1 gene:Dexi9A01G0046820 transcript:Dexi9A01G0046820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQEAVEEVVIVGAGLAGLATALGLHRKGVRSLVLESSPALRTAGFAFTAWRNAFRALDALGVGDQIRKKHPQAQALRVMSSSTGEVAQELDLTVLQGKNRCGCNHPIMHYILT >Dexi5A01G0037720.1:cds pep primary_assembly:Fonio_CM05836:5A:38977709:38978853:-1 gene:Dexi5A01G0037720 transcript:Dexi5A01G0037720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTMPAVVEERPKKVAKVAAPAAVRAASPGSGNRKKKNDENTSPKATGAAAEQAVEYIPSEELEAAAHPKAKAAGLVAELDSKDWIRACEALNDARRLAIHHSALLNPILEKVMLAIVKLMKNPRSAVLKTSIMACTDIFNSFGNLLSSVSDASFDKLLLQLLLKASQDKRFVCEEAEKAMRAMAASMPPLPLLKKLKTYVHHANLRVRAKAAVAISHCTARMDIEAIKEFGMSALLQVAAELLNDRLPEAREAARSVVGSVHGAFAKEAAARGDKEEEKEEGAPSVAASWESFCSLSLPPISAQAVAKIAAASPQ >Dexi5A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:5A:24859587:24864815:1 gene:Dexi5A01G0020980 transcript:Dexi5A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRTTFAAAVPLPWGLLVGVLVGLLWAAGRLLNRLWWQPRRLERVLSSQGFRGTSYRFLTGDLKDFARLNQEAWSRPLPLRCHDIAPRAIPFLYNNMREHGKTSLSWFGPIPKVTIADPELAKDVLSSKFGHFEKPRFPALFKLLSEGVTMILYEVLRLYPPGNKISRKTYKEVELGGIVYPAGVIVEMSVLMIHHDPDIWGSDVHEFKPDRFSEGICKASKGHAAFLPFGWGPRICIGQNFALLEAKIALCMILQRFEFELAPSYTHVPHIVVAMHPMHGAQVKLRVI >Dexi4A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:4A:23004714:23007536:1 gene:Dexi4A01G0019140 transcript:Dexi4A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRTLALLLVAAAVLAGADAAHGFYLPGVAPADFRKVRDPLAVKVNQLSSIKTQLPYSYYSLPFCRPGTIVDSAENLGEVLRGDRIENSLYVYPLDEISIMILEIQFEMMEPKLILDNLPLVVPIKRLDQEGPTVYQQGVHVGVKGQYSGSKEEKHFIHNHFTFLVKYHKDANTDLARIVAFEAKPYSIKHEYDGDWKGNATPLKTCDPHSRRLVIDSDSPQEVEANKDIIFTYDVKFEESPIKWASRWDTYLLMADDQIHWFSVVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLEDQEDAQEETGWKLVHGDVFRPPVHADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGSEWKNVTIKTALMFPGIVFIIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISMPLVFVGSYLGFKKPAIEDPVRTNKIPRAIPEQPWYMNPVVSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITVVLCYFQLCGEDYQWWWRSYLTAGSSALYLFLYATFYFFTKLEITKTVSGVLYFGYMLIASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Dexi1B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:1B:4782751:4785054:-1 gene:Dexi1B01G0005870 transcript:Dexi1B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAAIPTANTNGNRALSLDSHSSQDVRRRTVVVAKKKASPEILAEGGINGVSEDKIAGKKDLSHTIRGESVLGKSKYSSEARKDVVASEAGERRKKTSTKQEKAKWVTALSVLVKLCLLISAIAWMGQLVWRWQNGELSFTTPDMESRLSKVEGFKKTAKMLQVQLDILDKKLGNEIDKTKRDITKQFEAKANELEKKMKTLEDKTGKLDRSIIELRDMGFLTKKEFEEILSQIKEKKGLAGTYDDITLDDIMLYAKEIVEIEIARHSADGLGMVDYALGSGGAKVARHSESFMNGKTYMPGRSSVHATAQKMLEPSFGQPGECFALKGSSGFVDVKLRTGIIPEAVTLEHVDKSVAYDSSSAPKNFQVRGWYQGSHDDSDKDSNAMATLGEFSYNLDNSNAQTFQLERSANPQAVNMVRFDFSSNHGNPELTCIYRFRVHGTEPGLLS >Dexi9B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:9B:6235617:6239679:1 gene:Dexi9B01G0010070 transcript:Dexi9B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGVCVLFLSLYSILRKQPHNFSVYFGRRLAEEKFQRQDDYFSFERLLPTAGWIVKAYWCTEEEIRQVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKEMTHNHIPAESLNVFTIANIIEESRKLSVHCIALYIITISACILLYNEYKYISRKRLAHITGGPPNPSQFTVLLRSIPRSDNELLDDTIRNFFVNYHGSSYLSHQVILRRGHFQRFVDRAEKAYRKFVSVRRSMSERNGRSSMSRCGVCGVRASSFQVYRNKFIEAKKADLTNPEVVNAQKATFFITYVLTSGWASLCSEILQVYNLVYNFFSKCICCCQQNTEYVYSFPYHTEVPKVLMFNLLGFAFAIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTIVFSLVLMQVIALGVFTIKKSPVASGFTILLLVGTILFNEYCRHRFSRIFEAYSAQDVIELDRDDEQSGRMQEIHQHLQDAYSQTTPGEGSSRSGGQVPIEMILEDPAQDASESSQELCDTVQEMSDAIHEHNISEEGKAHSV >Dexi5A01G0025970.1:cds pep primary_assembly:Fonio_CM05836:5A:29686665:29686919:-1 gene:Dexi5A01G0025970 transcript:Dexi5A01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRTAEPSGVGCRICHLGAEDDGGSASAATGSEVIQLGCGCKDELGAAHRQCAEAWFRIKGDRSMI >Dexi4A01G0022420.1:cds pep primary_assembly:Fonio_CM05836:4A:25696769:25697354:-1 gene:Dexi4A01G0022420 transcript:Dexi4A01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLFVVLRKVAFSLGEGALAKIGTEVVEAAPILLDFEHSLKQIEGELSILQAFIGQVRAQKAGDKAFDAWLDIVRDVAHEVEDIIDEYAYLTTQAADTGSFFKRKFHQVKNFAAWQKLPIQISQVEARIQRLAEMRNRYGISNGFLRRNRTGL >Dexi5B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:5B:2855928:2858653:-1 gene:Dexi5B01G0004230 transcript:Dexi5B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSQAQAMLDAQTELWQNTFAFVKSMALKSAVDLHIADTIQHHGGAATLSQIANKAMVPPSKTPCLSRLMRTLTSAGVFSTQQPPSGGDDCNSEHLLYTLTPVTRLLVGSPNQAAFTSFAPHLATVSSMFELSRWLQSELLDPCMFKLRNGCTAFELANGDPAFNEAFNDGMVSDTEFIMDIVVKEHGEVFQGISSLIDVGGGLGAAAHAISKAFPHVRCSVLDLAHVVDKAPGNTDVKYIAGDMFESIPPENVIFLKDTAIAFRLQL >Dexi2B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:2B:9830350:9839704:1 gene:Dexi2B01G0009040 transcript:Dexi2B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDDASSPLVERLVLALNGRRYEVAAAELEPSTTLLEFIRTRTPFRGPKLGCGEAVAAVRSTCSSAQCGGRNWPHPRCRGHWAPSVPSSAGCDRGSRFRDFLRRQIPQSTSESSVKVVIGNTSAGVYKDHDLYNKYIDIGGIPELSSIVSKSEGIEIGAAITISRSIEILEKEKTISGDEYKPVGEPVKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTRPLSFVKNITFKSSLSSQKIIAVVSAKDIPKDGQNIGSMSMFGDEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYDTVDLNPPILTMEQAVQNNSYFNVPAVFYPKQVGDFSMGMDEADHKILSTEVKLASQYYFYMETQTALAIPDEDNNMVVYSSSQYPELAQTVIAKCLGIPLANIEGSFIQGVGFFIYEEHQTNSDGLVISNSTWDYKIPTVDTIPKQFNVEVLNTGYHKDRVLSSKASGEPAVVLASSVHCALREAIRAARKDFANSAESGTSPLTFQLNVPAPMTIVKELCGFDIVEKYLENLGHKPASGA >Dexi2B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:2B:22229635:22230819:-1 gene:Dexi2B01G0013530 transcript:Dexi2B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPLLPTHHLHSLPEHSEVSLFSAPPSSPASSYKERIIFGAHPPPPPPPPPPPPPYGAHHRRGSVDGRLDLDLPSCSSSPPSPQSDPEDPSAAGTGGGVAPSLLDFFAVATGGRTNLHRSRTAPAMAPLSAAALAAAAASGDQPPAPPKRPAIVLHAFLFLLAYLALGVAFYAAAPTNFTSSAPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLVGFGFVDILLSGMVSYVLDLQEHLLITALKNPTSARKHRHNYIFDIKKGRMRIRMKVALALGVVAICVGVGAAVLRKVESLGWLDSVYLSVMSVTTVGYGDHAFRTLSGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVT >Dexi9B01G0040030.1:cds pep primary_assembly:Fonio_CM05836:9B:40678256:40678965:1 gene:Dexi9B01G0040030 transcript:Dexi9B01G0040030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDGSATGVDDGHNNMYPLPPPPPMTLPRPHGHAHAHAAPRANHHHQQQRPANDNEWAGNDANTLLVVATLITTLTYQLGSSIPGGYWQDTQPAEAGKPPHTAGDPVMRDLHPERYWVFVVASWMGFAGSMLMTLSLLVRMPVDSRHVRWSFAVAYASLVLTFRLSQPKTHVALDIFIWVAVTAFLWLMISVRAEHRARIVRFFCSAGDD >Dexi1A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:1A:1379606:1380331:1 gene:Dexi1A01G0002140 transcript:Dexi1A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGHGGNGAYGYGGYAYDAGAYASTWGGYGYDAAGYNGSASAGGSYCSSVYYPPPAPLDFPAGRRRAQDLPAPVVDGVELQPSEACPKNYVIFDQTSTSSWVTFHPSLAHRLTTTGGSSSSAHATGNEDDDGLCSPVRQKEDSAEIDALLMSSSEDGSADDDVTSTGRAPGNGGRSSPDSTCSSNGGMPGRKKKERIKKMMRTLKGIIPGGGQMDTTAALDEAVFYLRSLNVEANNRRGG >Dexi2A01G0033850.1:cds pep primary_assembly:Fonio_CM05836:2A:44033784:44035945:1 gene:Dexi2A01G0033850 transcript:Dexi2A01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRAISSILHIYSSSAARGARRLGFAPAFGGSFRVPSSSGRPAFVLDEVARAAGGARRRASTRTASWDSEKSPYETLGIFVYSIVVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRRAYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQQREMTLRARRLSRSKVDPEEERRLFAKEKKASMDFYSTTLKRHTLVLRKRDIMRKKAEEERNNEISRLLAAEGLELDTDEDEDKTFLG >Dexi3A01G0032290.1:cds pep primary_assembly:Fonio_CM05836:3A:37052112:37055350:-1 gene:Dexi3A01G0032290 transcript:Dexi3A01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGNVNLSDSNVRPLEVFMCSVVRKMGYGEGFKWMSQYIK >Dexi6A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:6A:27251378:27253927:1 gene:Dexi6A01G0019620 transcript:Dexi6A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLENDFLDLKETGGSDGTRMQEISEETNFIHDELDVMTPKWSSLRRYRNWGPESSPTSPRIGYGRSSSLLVRRSLVGSFEESLLSGRYSYGKDSQTIDGFLAVLNITGGSFFPTTQKLPFSVVSNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPSVLPSNPAEEENRACDVNVGPKSARSVSCGSGPREQGTLCSECCSGGQNCKSNEESEKGDLNGRCRSSESDSKESKKSSSPGNKKDNSGSDDCCCPDKVVLCGKKPCCSSSKINDNSGGRVLRYALHLRFLCPTSKKSSKSMLRCKSDPSSAPYSSNTVAEEERRFYLYNDLRVVFPQRHSDSDEGELRVEHDFPADPKYFDISN >Dexi1B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:1B:2563307:2564398:-1 gene:Dexi1B01G0003160 transcript:Dexi1B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQETKDTVLIRGDVVFTSKLTDSEAKTLLETAHSFYLNDARYRLVERFNKETHEFEFKDVLQVLDMPTM >Dexi1B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:1B:1359737:1361149:1 gene:Dexi1B01G0001730 transcript:Dexi1B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKVVMSAAAFSNWAILEPFVFRRDDYSSFPDKTKAPIRASATTSLGVPFRIAFVFADPPLVSRLYAQLPGFPDPEKVMPLAILGTHRHLVLLRVASQSSTGNTVQDFFVYTADDPSELRLLPPCTEPYMEYTRRLYRRQPRCLRPRPLSTPREEKRRLLEVTSMGIISRGEGEQEELAVVELKLYKRRRTEVYADICLFRSSSDSDHSPGGQIVAGGEWDSMRVPIEIGSNPDDLRQLCLWETDAVVPVGSWLCWVDYYRGLLFCDVFQGPTPTVSFLRLPLDKFPSDPKHITPCSWLYCSVNPIHDDGRALKFFNVDRNDRVGYGPLRSGGAFTVTCHTLQLGSDVAVLNKSTLDSLVWHKDTKLTSGDLWSANPPERLPRGILMAPLVDMDKPHVVHFLFSDYSYALKKEWLVAIDMNSSKVVSSSKYINGREDVGTVDDDLTRERSICPVPFFSFDFPKYLNLSR >Dexi4B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:4B:10572257:10576018:-1 gene:Dexi4B01G0012660 transcript:Dexi4B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQLHHLPLLLFLFAAAAAVSACGADKAHVSAVVAEKGLAFAKDVLIGEAVRSLTPLRLPGVEKAVRVPFLGGVRVVASNITLFHLDVGDNSTVYPGHSGLVVVASGVTANISMHWSYRYDSWLFPIEIADSGTASILVKGMEVGITMVIKNINGSLALSVLQCGCYVKDLVISLDGGASWFYQGFINAFEDHIKAAVEKAVPENIIEGAGKLDSFLQGLPRTAGSMHWVVDKVPDQSLLNTASWKFIIPRLYWNYPNDDMRLNISMASSPVIRITSEKIGATINADMIIDVIDGKETVPVACISVVVSASGVVETSGNKVYGRVGLDDFSLALKWSKIGNIYMSLIQ >Dexi2B01G0033670.1:cds pep primary_assembly:Fonio_CM05836:2B:41139915:41140349:-1 gene:Dexi2B01G0033670 transcript:Dexi2B01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESYRAGAKVVRGDAAACKKAAIELLGEIGLPKGLFPLDDMQEFGYNHEAGFMWLVQGKKKVEHTFKKIKQTVSYGSEVMAFVEKGKLKKVVGVKTKELMLWLSIVEVYVDESAPGKVTFKTGTGLSDSFDATALELGM >Dexi6A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:6A:11641356:11642479:-1 gene:Dexi6A01G0009770 transcript:Dexi6A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLIAIFVCAFLFSAALAARDLSDDSAMVARHEQWMAQYNRVYKDATEKAQRFEVFKANVKFIESFNAAENRKFWLGVNQFADLTNEEFRATKTNKGFKPSSVKVPTGFRYENISVDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYAAADGKCKSGSNSAATIKGYEDVPANNEAALMTAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTE >Dexi9B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:9B:4745075:4746748:-1 gene:Dexi9B01G0007780 transcript:Dexi9B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATPAPHVMVLPFPAQGHVTPLMELSHRLVDRGFQVTFVNTEPIHALVLDALRLSAAGTGTSALPDGIRLVSVPDGLAAGDDRRDLSRFVDELLRCVPGHVEQLISETKVKWLVGDVNMGMCFEAAVKLGVRVAAVFPASAACLGTLFMVPQLIEDGFFDDKGFPKRNDSFEVGPGMPPVCPSQMPWSIDGAHEGQEVAYRLVTQNTEAARRHAKIIVCNSFRDAERAAFEQFPSILPIGPLFADAELCKPVGQFLPEDTGCLRWLDAQPDRSVVYVAFGSFAIFDPRQFKELAEGLELTGRPFLWVVRPDFTNGDLSKAWFDEFQERVAGTGMVVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFVDQFANRSYICDIWRTGLAVSPGEDGIVTKEEVSGKVEKVIGDEGMTERARMLRDAACKCLGEGGSSSENFCRFVDLLRE >Dexi8A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:8A:22547567:22550947:-1 gene:Dexi8A01G0012780 transcript:Dexi8A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAVPIVDSSPGPARRGRPPKVPSSPAAEAPGSPVSPLEAAGGDYEQERAARIRENMERMQKLGILDLAQSLTNSAAAAGASGGGSGKGRRRRKPLEPGSVPEAKVKPAPPAPSRRSARLKSVDPVSYCEIQPKKEKDHDGGRTEFLEVGAKEEIYTEEHEKLLGTCNTPWTLFVDGYDKDGKRIYDQVRGQTCHQCRQKTLGHHTSCSECQIVQGQFCGDCLYMRMLMCSFVQVVKLGYKSVAHYLIATQRASASSEDSSAADCSNKLLSDKSETSCISEHEATASKDSQEDGETSSKAKQSKATRRQVKHSDGNKDCSRSESVMTSDSQDDQANKDAGCVTPLSKPSKPASRKRKYERSPDCVASRLRSRSNKP >Dexi9B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:9B:1457172:1459043:-1 gene:Dexi9B01G0002530 transcript:Dexi9B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYPLFRLPCRSSLAAAAAALAPSSPLPVSVSLSSSASADGGGELTARERRLQRRERRELRATDWKEEVQDRLIHEPARRRKKPPKRSWREELNLDLLAELGPQWWLVRVSMAPGTDYVDLLTKAIARRFPEVTFKQEAD >Dexi5B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:5B:2375607:2378480:1 gene:Dexi5B01G0003530 transcript:Dexi5B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAMAEQVVADLRDKCETPLPLLREVASAMAHEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVQLGGNQKHVVNCDSKEVSIPPQLMSGSSSELFGFIASELAKFVADEENCTNISNGKKRELGFTFSFPVKQRSVASGTLVKWTKAFSIDDAVGEDVVAKLQTAMEKQGLDMHVAALINDAVGTLAGARYYDKDVVAGIIFGTGTNAAYVEKANAIPKLEGELPRSGDMIYEKLTSGMYLGEIVRRVLLKISLHSSIFGNIDHTKLQTHFLLRTPHISAMHHDKTPDLKIVAEKLEENLAITSTSLETRKLVVEICDIVARRAARLAAAGLAGILKKLGRDCNDQEQRSVIAIDGGLFEHYTKFREYLDITLAELLGEEASKSVAVKHADDGSGIGAALIAASQSQYGNGE >Dexi5B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:5B:1003138:1003540:1 gene:Dexi5B01G0001580 transcript:Dexi5B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTQILQFKTLEVVDYSSHADVSRDPGHYVIFWELNADANDDVLQACCDELDRSFADPGYVGSRKASAGHWRAGAPGAAAWNVLRHYLSLGAPVSQFKSPWCVGRSNSGVLQILSGNVVKAFFSTAYD >Dexi5A01G0033950.1:cds pep primary_assembly:Fonio_CM05836:5A:36140525:36143802:-1 gene:Dexi5A01G0033950 transcript:Dexi5A01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSIPAAAPPLPLTPSAAFPPRGCLLLYRPHFLVPFLVLSRSHDRLTARVCQCRLLQLSPGVEVKNTTSSTSKALGLAPALVSLAAVLKYLAAEVLMEIRVRCGCGESSCPEWAVVELQGVVQPQASFAGDIRGLHIGRLCSAPSPSATSKARTPRSHSHPLPSLAAGYTFTVGYHELAGTKVTLKKPLLVLRKKKVGGGGVGDQEPPAEVELEVIGIIRHKILFKDRPKALISSKLPTNPLFSAPKSSAVLQRARIGLRPLPWKWNPRENGTGRRRVGNPMTNYFQAGDELTTYTRNSERGLIPHPTELIMAQLKTV >Dexi9B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:9B:1264485:1266132:1 gene:Dexi9B01G0002220 transcript:Dexi9B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASCILQDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFHNHTLNHTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIVPDSSTNVCINHCYVRSGDDVVVIKSGWDEYGISFAQPSSNISISNITGETGGGAGIALGSEMSGGISEVRAEGLCIVNSLHGIRIKTAPGRGGYVKNVYIADVSMDNVSMAIRITGNYGEHPDDKYDRNALPVISNITIKDVVGINIGVAGILEGIQGDNFSSICLSNVSLSVQSPHPWNCSLIEGYSNSVIPESCEQLRSNCRQTPVCYDGSSLAAMRAQAQRHKSSDSQFLNSFLKLASF >Dexi8B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:8B:22968217:22970913:1 gene:Dexi8B01G0013080 transcript:Dexi8B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRRAAVAAAALLAAAAVCAQGASTFYSSDPNLGSARVVFQTNYGDIEFGFFPHVAPKTVEHIFKLVRLGCYNTNHIFRVDKGFVAQVAAVMGGRSAPMNEEQKRVAEKTIVGEFSSVKHVRGILSMGRHSDPDSGGSSFSFLLGDAPHLDGQYAVFGRVTKGDDTLRKLERLPTRREGIFVMVQVNLKNLFMRGTLRFPF >Dexi5A01G0031140.1:cds pep primary_assembly:Fonio_CM05836:5A:33986583:33987219:1 gene:Dexi5A01G0031140 transcript:Dexi5A01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDDGAPDEQAGDDERSHGGEEEGEHGRDDEAEQRLAVGEGASEEHDGLVGGPEDVEEAPGAEDAEKDEERERVRQERGREGEGDDGGVVDAEPRLRRRREVASERESGREKADRSSSSVQGRRSASERRAVSASRPTKRRKAGVASAASERTAPEDGAEPPPPAAAGLVTGSKGAGVAAEAMAPVSRNRFGMGLAVGSISITRVGGFL >Dexi1B01G0030880.1:cds pep primary_assembly:Fonio_CM05836:1B:34682174:34685692:1 gene:Dexi1B01G0030880 transcript:Dexi1B01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRPVPSPTNPPVPQMAILSPPLDPSSQAAAEVPLAPGFRFHPTDEELVSYYLRRRVLGRRLRVDAIAEVDLYRLEPWDLPSLSRIRSRDSQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVYHRGKAVGMKKTLVFHAGRAPKGERSNWVMHEYRLLDADGPQDLHVVCRIFQKHGSGPQNGAQYGAPYMEEEWEEEEDDDAIANAPASGASAGMPAITCAVDEESNEEDENGYYKANELAQAHETLSSPEMASLQAQGSKDTSDGSGADGVISLEEILQEPLPNINAEYIDRSEGHNATDDNFSVDDLLSACPRKDDDYVGQDATLNGGYQADDSYTQWPLRAYSNQNYANGTLSAEEFFDTQNDTNGNAYSEHQQADGFPAPHQVDGSMVFYDAPSDYDLVHGNDDFVYLDDLLNEPLGNESLFDGDDMMAYFDATENDFKYDISGSAPGSAYQFAETAPNFDQKFANKVEFTFDGIGKASEASGQYSASSSGSHEEDTYPDTAVPDDTADKTLGKRLTNMLGNSMGYIPAPPAMASEFPPVMGKSVGGLSAAASPSSIRVTAGIIQLDGLTFTERWPLQKNGGDFSLLVSFTVESDVASKGVGFEQATRVGMALRSGFYLFLVSAMMLVLSYKLGSCICSR >Dexi1B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:1B:18831765:18833457:-1 gene:Dexi1B01G0013300 transcript:Dexi1B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKELYYFNKVDTIKIASLFLCLPFASYVHKRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASFINKTDVKSEENMKTSAERPKGNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVIQKQTNIFGKAPSQLNSYLQSKVDDSEEEPQSLEIQEGSQGIALTPLSDKDGAHNEDNNRTDKINASETEGSKRWTRVPSLPSYVPFGELYLLGDSSVNSLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQK >Dexi3A01G0034680.1:cds pep primary_assembly:Fonio_CM05836:3A:39914686:39920011:-1 gene:Dexi3A01G0034680 transcript:Dexi3A01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGGAAASRRAGGGGDLPLRPLEPPRDPLEFLSRSWSASAADVSRALAAAPAPAMAVAAGAAAAIAEDVAGELDCDGAAAAGGGHASGSSFSFGSAATSQLILDRIMAQSVEVSPLTSGRLSHSSGPLNGGSSLSDSPPVSPEIDEAKFCRLASTPKPQPYTRGGSKTVGRWLKDRRERKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASSGSGKDDRGARTDMAVASAATLVAAQCVEAAEAMGAEREHLAAAVGSAVNVRNPGDVVTITAAAATALRGAATLKARVWNVAAVIPVEKSSMAGGAGHHQQHGYKDNSQLKHYQHQQQINQRELESSNSSSSCFSDDLVLAEENNFLGICTQDLLARGTELLKRTRKGSLHWKVVSVYINRTGLVMLKMKSRHVGGTITKKKKSVVVDVCRDLAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGSQREHDMWTKGVARLLAIADGRKRNA >Dexi4B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:4B:1766144:1770308:1 gene:Dexi4B01G0002770 transcript:Dexi4B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAGNDADEFSAVLKQHITPKVLITTCRFNSGRGPAFIEELMQVIPNSHYVKRGTYELKKIVEYAKNRDFTSLIVVHTNRREPDALLIIGLPDGPTAHFKLSKLVLRKDIKVLVVQMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFDTKESKVASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKVTTVPDMDTSRRRFFL >Dexi9A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:9A:12584424:12587220:1 gene:Dexi9A01G0017530 transcript:Dexi9A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDEQQHAPLLSPDGGAAAASSGEGGGVELERILTDESAAPARRLARAARAELRMLVALAAPAVAVYMINYAMSLSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYEMLGIYMQRSFVLLTATAIPLAAIYVFSKQILLFLGEPERIAAAAWVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAVHLAASYLVVYVFGLGLLGASLMLSASWWVVVVAQFVYIGTSRRCRLTWTGFSCQAFSGLPEFLRLSSASAVMLCLETWYSQITVLVAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPKAASFSVKVVTTLSVTVASAIAVVVMCLRDHISYVFTKGDDVARAVSTMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNVACYYGIGIPLGCVLGFHFDLGAMVEQARMRLNKWEDKKKPLLAED >Dexi9A01G0033860.1:cds pep primary_assembly:Fonio_CM05836:9A:38669263:38678768:-1 gene:Dexi9A01G0033860 transcript:Dexi9A01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVHGTGPYEFRRPRAAEYPFPAEAPAPATAAAPDKVPAPTGGASITLLDIQRDRLTRVAAEHWGTPAAAAAFDADLVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPPDASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEERALNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWNNLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKADQPTNPAEMLENKFLRNLIEEFLEILDSKVILSSQDDSEESLLNESLSGQIDDSCVLYCERFVEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYESFEINDHSGTQLADDDVLQAHYSRFQAFQLLAFKQVPKLRDFALSSIGSLHKRADLTKKLLVLSDVELQDLVCNKLKLISEKDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTADVTFSISSYRHQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIHDEEGTLMNDFTGRIKREEWKPPKGDIRTVRIALDTAQYHIDVTETAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLEVIDFKDTFLDAKHVQQSFPDYQVTFINSDGTENLHPSPPFKIRLSKKIRENSHALPGNVNSKNNDHIADGESQKEKLIVETYVPADPGPYPQDKPKQNSVRFTPTQIGAIISGVQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQVTAEHILSELLPLRLCEYAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPNKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLALNLEECTPFTERPLGETGNIHYVTGIEDIEHLVKFRLQHLQQMQYMQYYAPPANELPPVAPQNNADVVPSENGSVLNQPNEHMAVEENGGASDTTVSNKMEEDTVEAKDEKMQEENKTAEGNGDDNVAAEDKGEEHVDANDKMEEGDATSKGDIEEENSEPKDKMDEE >Dexi1A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:1A:21374568:21380708:1 gene:Dexi1A01G0014650 transcript:Dexi1A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGAQLRSVEEAQAHAEATNHANFVESTEAVLNLVCSDCGKPCRSQTEVDLHTKRTGHKEFADKTAEAAKPIDLDAPLKPASTEEAMDVDAPASSASGEPQEMVVPEVNKEMLADLEAMGFATARATRALHFSGNSTIEGAINWLSEHQEDADIDEMPLVPANSKTEANKPNLTPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEQNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPATSKPSAAPPVEEKKSALPIRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLEVCGFEKLEGNEFLFLPRDKVDKAILNTAGAELNSAITNPFFGVL >Dexi4A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:4A:4603083:4603379:1 gene:Dexi4A01G0006390 transcript:Dexi4A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNRKGSCEISRLPEELLSAALSRSLATPQEAARAAIVSRAFRAAADSDHAWSSFLPGGDLPSWPPGSLMTLRRRPCPRRRCSSASPITPSSSLTA >Dexi1B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:1B:17576042:17578480:1 gene:Dexi1B01G0012830 transcript:Dexi1B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSTSVSASTDGPGSASEGFPVANNPAIANRVLAAARVTHVDDDDNKPLWSYAGLTERFELFICGREIGNAFSELTDPIDQVRKYKILAAYIFFLEHAGELCIRVKRNLTAYLSAII >Dexi9A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:9A:12045326:12046756:-1 gene:Dexi9A01G0017000 transcript:Dexi9A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSQLTSTSLPPVAPTQPAAAAMATQAELEAAIAALPAKKRRLREAFDRLVACSPVPVPFRWEDLDAHLAAVAAHFRHFEHNSPHASDAAAEPAAETSTTRDPVEHLEGDEVEEHRKRRGERGAWEERQGSNAEEGEEVGNASLDQEGEEEEGEVREASGARPDRGSGGDEAGNAEAQVAVEAASPEQDEGAEEGAIVASPLQGDVDVVMMEAVEEEDEAAHASAGRDGVEDDGTEEGELPRATAIGGGGETALVRAVAEGPSAPVEVGEEKDEAPHASAGQAGVEDDETEGELWRAPRATAIGGGGETAPTRPVAVAADPSTLAGLLCLSGSSSLLARREFLPALLGAADPHAFLVRAVGEFLASSARKTNRFWENCVALIECAPRLAAPSPDALEQAEGVAKDWKELWSCGGMSRLAGWALLTFLASYNIVLEFDADEIGRLFGNSAPQMKDNCVELCERLGLVDKIMGMVP >Dexi5A01G0027490.1:cds pep primary_assembly:Fonio_CM05836:5A:30962923:30965997:1 gene:Dexi5A01G0027490 transcript:Dexi5A01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQSMSTDERVAGEHRSPVLQVTAVVPALTASTSDSLLLPSNGFLLNLSDGLHSTYVQLPPADADALLAARPHLVGHLVHLDRLRFARPVPRAVGLRPVPSSRALPCAGNPEPLIARSATCTRGYVIQPAASPSDAAPPLMPSSAGTNAIDAGDSVKRTVLGPKNAVAEPAPPPAGSALKRRFSSPAPSKQRDPSPSVKTASRASSPMVVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKDENRRAAKEPAIVVPSRYRQPSPVGGRRGAASPSLGGRRGSLSPSSRRLSGEGTGKKKVGVLVAGISKMTDLGNGSAMKPGRKSWDDPTMALAPPAAGSMMKSKNKEAMSRRLSDAATEQSSNDDSSVDERPKPRKKIDSTSVKAKAVVPKIKLHDPKWTDGSIPLDALSDKLSKIGREAIERRDVAATAAASALQEAMVTESVIRNLSKFSEICSSSKTSNPLPTVDLFLAVYEDTLKWKTIAESIVTIEAEEAFLEKSSHDWVRAALATDLEVLKLLNGATETISRMKSANRPKVPSVEPTRTGLSRKQSIGASAKVQSKVSPSSPASSTWNNTDSTYETVELSKTLWCEMHMWFLSFVDEALDVGFHLFEDQNLASKGKHSSHITMVLSQFKKISDWLDGVGKIAEEEKTKGKIECLKRKIYGFVISHMGSAFESSVTVSSRS >Dexi5A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:5A:12814192:12816245:-1 gene:Dexi5A01G0015290 transcript:Dexi5A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIGSVLGALLVVLVSTLLIRVLFLLVWRPYAVSRWFRNQGLGGPGYRFFVGSVPEIKRMKAAGSEIVLDAGSHDFIPIVQPHYRKWVADHGKTFLYWFGAVPTVCLADVDLVKQVLAERTGLYPKNYLNASLEVLLGKGLVLVNGEDWKRHRKVVHPAFNLEKLKAMSVAMADLAQSMMKQWQSQIQQASNHQAEIELSNEFSELTSDVIAHTAFGSSYKEGKEVFSAQRELQELAFSAAFDIPAPGRLRNLRLPTSKRSIRVQKLDEKVRSMLMTIIEGRLEEKDTKGYGNDLLGLMLEARALEQEGHQMLTTQEIVDECKTFFFAGQDTTSHLLTWTMFLLSRYPEWQDKLREEVLTECGDELPNPDTVTKLKLVNMVLLESLRLYSPVVFIRRAAGSDIQLGSIRVPKGTQLSIPIALLHRDKDVWGHDADEFNPARFEHGVSKAAPNHPNALLSFSQGPRACIGQNFAMLEARIGIAMILQRFSFELSPSYVHAPKEAITLMPRFGLPMILRNLHV >Dexi1A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:1A:7718144:7721276:1 gene:Dexi1A01G0009450 transcript:Dexi1A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVNQLKGAVRRVFEVSKASATDATKLVDTLQHFGVEHYFREEIDAALSRIRDEEPMFASSNNLHIIALRFRLLRQHGIWVSADVFDKFRDGTGDFSMSLCNSPRGLLSLYNAAHMATPEETALDDAIAFTRRHLEAMKSKLMSPLVKQVARALDIPLPRIGRRLETRHYIAEYELEEEHDPTLLELARLDFELVRLLHLKELSELCLWHESAASMVPGYLRTLYLRTLKGFQDFEDMLDPSEKYRICYVKRGYKMIIEIYFKEATWLHQGYVPSFKEHVEFTRVSSGLPMLIPVLLMGLGSVPTYKETFEWALNMPDMVLASGEVGRFLNDLTSYKVGKRKKDVASTLECYMEEHDATGEEAFAAVTRMKELAWRRINRACLEMDPALLRPAQFAVVDLARLIILHIQIQLHKSPPPPGTTSRRGKTIGDNSD >Dexi8A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:8A:2590715:2591302:-1 gene:Dexi8A01G0003490 transcript:Dexi8A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENRIHDLLERMLLDDNVKPSKLPISLLQSITDNFSEERIIGTGGFADVYKGLLQNGAVAVKKLKREVSSEEAALVSEKRFNEEVFSLMKAKHKNIVRFLGYCADTQGEVCNVDGKNIMGEERHRFLCFEFLLGGSLDKYVSGTFLSISFP >Dexi2A01G0021960.1:cds pep primary_assembly:Fonio_CM05836:2A:33893332:33894310:-1 gene:Dexi2A01G0021960 transcript:Dexi2A01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVEGGSRCVRLREGGGGVLRSAVGISEVEKRSNGGPGQARSGWTRAESVGLGWAVGLWRVCDTVKEYSPSHGLRLRSHNLPLPESSAIVPPSTCCAPWPHAMRPAVSAKRAPHAPPDAACPHALGDAAYAINAAAHQ >Dexi7B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:7B:19298901:19299959:-1 gene:Dexi7B01G0012570 transcript:Dexi7B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVVGEGEVVHGGLPDVVREAAGELVVVDEDGLDPREPAEDSDGELAVEAVETEVDEGGVGEPEHVRGKWRRGEPVVAEVKLVEVLEAGERRHGAAEVVGVGVEESEVGEAVDEALQGVGPQHVAVEVDGGDGPVRHVGRTLAEEALVGGAQVGAAPAPGDVHRVARHALLELLDHQVRAVEALVLEVARPWPRRRRWRRAAAAAAASLTASAANADADADATAAAEADVEVLPARTARSGARHRERSSARGQHEAEDGEAPRCAWWSCHCHFQDSDHGKRRWGKARETTRLAAGTGSRLDAGAGARRDLPSNRMEREHERDRAIELESGMAVRT >Dexi3B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:3B:7490284:7495810:1 gene:Dexi3B01G0010680 transcript:Dexi3B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFQLDATSSAATGAEGRRAGKAAGPTGAGTVPFYRLFAFADGADAALMSLGALGAVANGAALPLMTVLFGRLIDAFGGAATTRDVVSRVSGVSLQFVYLAVASAAASFVQVACWMITGERQAARIRTLYLRTILRQEIAFFDQHTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLLVTFVGGFAVAFAQGWLLTLVMLATIPPLVLAGALMSSIVAKMASLGQAAYADAAVVVEQTIGSIRTVASFTGEKRAVEKYGESLKSAYSSGVREGLAAGVGMGIVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAAGQAAAYKMFETINREPEIDAYSKTGRKLDEIQGGIEFREVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVDLREFQLRWIRSKIGLVSQEPVLFAASIRDNIAYGKDDVTDEEIRTAAELANASKFIDKLPQGLSTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDNESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHRGSVVEKGSHFELVRDPEGAYSQLIRLQEASHASKGANYQNKTSTKGDSGICTGKQTSTNHSANIRSPQNTSRNHSLSVSFSVPLEIDVQDRSSKHVDEEIEQEVPLSRLASLNKPEIPVLVLGSIASAVSGVIFPIFAILLSNVIKAFYEPPRILRKDAEFWSSMFLVFGAVYFLSLPLSSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDYPENSSGAIGARLSADAAKVRGLVGDALQLSVQNSATLIAGLVIAFISNWELSLIILALIPLIGLNGWIQMKFIHGFSADAKLMYEEASQVANDAVSSIRTVASFSAEEKVFLALSMAAIGVSHTSTLTSDSSKAKSAISSIFAIMDRKSRIDPSDDSGVTLEPLSGDIEFRHTVALVGESGSGKSTAIALLQRFYDPDSGHILLDGVDIQKFQLRWLRKQMGLVSQEPSLFNETIRANIAYGKEGEATESDVVAAARLANAHKFISSLHQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERIVQDALDRVMVNRTTVIVAHRLSTVQGADVIAVVKNGMIIEQGRHDTLIRIEGGAYASLVALHSAAPS >Dexi5A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:5A:25290680:25294278:-1 gene:Dexi5A01G0021460 transcript:Dexi5A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTPRKPAPERPPPPVPVSTPPPPLETPPSPSPSSQPGEEYHTPPPSLDASPRAEASFHSNDRGGGGGAPEQSPPSSHDASPRENATLPTKSISLDASPRAEDATLPSDGRGREAPTTKNLSLAASPRGQGSLPGDARGGGAAPAKSPTLSPVRLPPPPPVSPSGQNGKGGGGVAAAGGNAAAQGRPQLRLATSLVRTSSQGSLATKSPSPSPSPTPPSPLTPATPPVVNSNNKSTQTTPRRAEARKARPSPGALGTTVVQSVDPDEEAATSPLRLGKAGLELDNQHHHQQQQQQRRQQHVGAAENGGDVPPDVAAVAAVGERRALSVTLRLATAVLSLAAFSVIASARTTGWTGDSYARHQQYRYAVAVNVIVCAYSIAQSFGEIRRLISPTFIFRSMSSYYCSLFLDQAIVCKNLIVGASTTCCLLQVLAYLLMSASSAAASRNDLWVSRFGTDAFNRKISSALWLSFIGFLMLSLNALISTANLFSMI >Dexi4B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:4B:16517029:16517862:1 gene:Dexi4B01G0015190 transcript:Dexi4B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRHHHHHHHLSMDHQPVAMSNTTTPFPAAAAATAAEEESTRRVANRIIRALQHQLRLLHRAGAEFFVLGATGNVYTVTLSTSPACTCPDPAGPCKHVLFVLLRVLGLSLDEACVWRQSLRPCQVARLVATPTSCPDVLAGARARERFHQLWSARPATASSNKATDVGQQEAASSGRSLEDATCPVCLEEMAAPAQQQGAIVTCRTCRNAVHAECFARWKRSRPRRAATCVVCRSRWRQPNRRQPEQEKYLNLAAYMNDDVVTMQIDDDNWLCAG >Dexi5A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:5A:3347624:3347944:1 gene:Dexi5A01G0004410 transcript:Dexi5A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNGIRYPPLRRANNDGLLPLWMRRRGQLLDSGRAFMLLGALVLTSWHHHLAAPEHVVVAAFVLWLLGAGLAMLALVAGQFSRLATAGAALARALRRHLLGGL >Dexi7B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:7B:22811654:22814044:1 gene:Dexi7B01G0016760 transcript:Dexi7B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHQLFARTLSAIVATSFFALLCSLPQVRSVDYTFMKDATQAPNVSYYDYIIVGGGTAGCPLAATLSERFRVLLLERGGSPYADERIGDMTRFSDTLSDTSPTSPSQRFVSEDGVINSRPRVLGGGSCINAGFYTRAGEAYVADAGWDVDATEAAYRWVEDVVAFEPELGPWQAALQSGLLESGIAPDNGFTFDHIDGTKVGGSIFDADGRRHTAADLLRYAREDGLDVLLRARVAKILFNVRAGRRPVAHGVVFHDSKGRMHKAYLNAGRSNEVILSAGAMGSPQLLMLSGVGPAHHLRSFGITLVHDQPEVGQGMSDNPMNAIYVPSPSLVEVSLIQVVGITQVGSYVEGASGSNWGVRPRSFGMFSPQTGQLATVPPKQRTPEAIALAAEAMRQLDDSAFRGGFILEKTGQLATVPPKQRTPEAIALAAEAMRQLDDSAFRGGFILEKVLGPLSTGHLELRNRNPDDNPSVTFNYFSHPEDLRRCVAGLQVIERVIQSKAFENFTYPNFSMETLLNMSTGFPVNLLPRHDNDSTSLEQFCRDTVMTIWHYHGGCQVGRVVDTEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGR >Dexi6B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:6B:25888439:25891495:1 gene:Dexi6B01G0019000 transcript:Dexi6B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCTHFDTPYLENENGFKSSPDRTSGNGITSNGDPAKPPSIEVPALSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENGKDSAVKKLDTSVDPEPDNEFLAQVSIVSRLKHENFVDMLGYCIEGEQRILAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVEPSIVHRDIRSSNVLLFEDYKAKIADFNLSSQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVKQCVDPRLNGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLTNTPYQAAPAPDTPSDA >Dexi1B01G0022150.1:cds pep primary_assembly:Fonio_CM05836:1B:27883038:27884772:-1 gene:Dexi1B01G0022150 transcript:Dexi1B01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPPAVHLNPEIYEDPLALNPWRWQDKAEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHCLVTKFSWRTIKGGNIVRTPGLSFPDGFHIQLFPKS >Dexi1B01G0022150.2:cds pep primary_assembly:Fonio_CM05836:1B:27884772:27886939:-1 gene:Dexi1B01G0022150 transcript:Dexi1B01G0022150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAFIMWAYRWSHPKVNGRLPPGSLGLPLLGETLQFFTPNPTCDVSPFVKNRLDRYGNIFKTSIVGRSVVVSADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAVLLAETDRACHASLASWASRPSVELKDAISTMIFDLTAKKLISYEPSKSSETLRKNFVAFIRGLISFPVDIPGTAYHECMQGRKNAMKVLKKMMQERMEDTGRQSEDFFDVLIEELRREKPVMTEAVALDLMFVLLFASFETTALALTLGVKLLAENPRVLQALTEEHEAIVSNKKDRDAGLTWTDYKSMTFTSQVTPFRQAGE >Dexi9A01G0033580.1:cds pep primary_assembly:Fonio_CM05836:9A:38483249:38486220:-1 gene:Dexi9A01G0033580 transcript:Dexi9A01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKTPSLAEEYSLPPQEVPVEKAVEEEPSSGTETEAAPPTNDETPPAVEDKNETSEVQDAADKSETEETNPASEETSEAAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWYTSQVDPKPSTFQ >Dexi8B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:8B:25304039:25307560:1 gene:Dexi8B01G0014670 transcript:Dexi8B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVTAAVVEHTFIVSQINMTHLCKKTPITVVNGQLPGPTIEVMEGDSVIVHLINKSPNNITIHWHGVKQLRNCWNDGVPNITQPPIQPGSNFTYRLNLVGQEGTLWWHAHVPCLRATLHGAIIIRPRNGVASYPFPKPHKEVPIIIGDWWEMDLARVGSNMMNGMFDDFASGSTINGKLGDLYNCSGIPEESYVLDVEPGKTYLLRFINSGLFSEFYLKIAGHKFTVVGADANYVTPFTTDVIAIAPGETVDALMVADAPPGRYYMVALPTQAPLPDTQTPEHSTRGMVRYMNNHVTEEGQSGVSRDVPVVAPAMPGQHDTITSLYFHGNMTSCHYRRHPPVPKEVNEHMYIVLGLGAFCPRGRTCEKGAMDSSNNLLVATMNNVSFDPPETATPLLEGHYYRTGIINRTTQKLPDRPPVLYNFTDIALIPFGPKERKLEQSSRATVVRRFRHGSVVEVVFQSSAMLQGDSNPMHLHGHDMFVLAQGLGNYDATKDVTKYNLVNPPMKNTVLVPNLGWVAVRFVANNPGVWFMHCHFEFHLAMGMAAVFIVEDGPSSNASLPPPPVDFPTRGNHMSEEFYLQTKKNEASSA >Dexi9B01G0024680.1:cds pep primary_assembly:Fonio_CM05836:9B:21249526:21250730:-1 gene:Dexi9B01G0024680 transcript:Dexi9B01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRRFLPARPPRRRHPKHPGKAEPSPPAAPVYTRDVVRRVTTILRDHAWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAASASPTFRHDRFTYTSMIHLLGEAGRVPAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGSMRVWKEMKARSRPTVVSYTACVKILFDAGRADEARMVFGEMVAEGLRPTCKTYTVLIEHLADAD >Dexi5A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:5A:7066298:7066890:1 gene:Dexi5A01G0009400 transcript:Dexi5A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPTNTPYVSPADRPRAYMHNTTCIAITNHRRYYSLAPASGGGTAAPAVLAHCSAAPAAPGPDAELAQLHLSLQLQADAASSGLDTIPWARASEQWMAEQETVMARMIAIFTAREDGEEDNFLSWGQYFSVPNS >Dexi6A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:6A:6239704:6241637:1 gene:Dexi6A01G0006470 transcript:Dexi6A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCMASALVAAATTPATAKSPACPSKKTSITNLRARAVSCRATGRSSDDLLWLPRREILTGLGGVAAGLVGYQSFVSSVANAAEEVAGEKCTKPDPVTDSLIGCMDPTTPCPPKPKVPVLDFTPESKVKRIRRPVHLLDREYQEKYKEAVAKMKALPASNPLSFTAQAAIHQAYCDHHYHYDPDKKNVDFDVHNSWLFAPWHRMYIYFYEKALGQLIGDDTFGLPFWNWDTPAGMVVPALFKDTFANPLYDRNRNPDHLDTVANLDFLNNNKSTPVAFNGPHDKAYEVAIYKNLATVHQQQLRGAGCARSFLGEKLCTDNISKQGQGSLESMAHTALHVWVGRQGGGTSCTGGVVDFKGDIKCANDMGFLGSAGRDPLFYSHHANVDRMWHIWSTKLGGEGFKDPEWLNTSFVFYDDVDNPHPVRIKFRDVIDTKNLGYTYDAEADKDLPWKDCQLTSLVPHTKGAGGAMNKLRKAVIKAAVFPVTVTKNNVIEVPSVVVPAKKEGQPRVLVIQGFEYDPNVANKFDVALNVPKDSALDVGPQNFEFAGSFAVVPASGLAGEKVKGGVTFSVEECLKDIKAADDSTVDVIIVPRTEGEIRINSAPTIQS >Dexi2B01G0032250.1:cds pep primary_assembly:Fonio_CM05836:2B:40181639:40186509:-1 gene:Dexi2B01G0032250 transcript:Dexi2B01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKPLTQEADSSPPRTAPATPPPGCATPSTPGKKHWAVSPFFPFSTPSPSPAHHLFGGSAASPRKSSPAHPGAGSAPTTPARRLLRLPFPPPSPAKHIRAALARRHGPPRPSIPEEGGGEGEGGGGGGRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAATVKKGARKGESVAVKVIPKAKMTTSIAIEDVRREVKILKALAGNKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVAFCHIQGVVHRDLKPENFLFTSKDENSHLKAIDFGLSDFVKPGHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKAQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYEHFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >Dexi9B01G0045310.1:cds pep primary_assembly:Fonio_CM05836:9B:44832649:44837851:1 gene:Dexi9B01G0045310 transcript:Dexi9B01G0045310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMHVDNGGCGGLDAQIEQLMQCRPLAEQEVKSLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFDPAPRRGEPDVTRRTPDYFL >Dexi1A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:1A:7471610:7472038:-1 gene:Dexi1A01G0009220 transcript:Dexi1A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAQLEQPLIQPASLPEDSKGAWLPSPPTIVGFAFLTLSSAMAVSSWRRGLGAVFFVAFSYLDLVVLFYCLRVYRRAPPGSLRRENLRVAMWLLATMLTISFLQLLEFWFLCVGNSRGFIVDFMDDTACPRQNPYPSTVP >Dexi8B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:8B:16224342:16226622:1 gene:Dexi8B01G0009280 transcript:Dexi8B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFPSPASAASWNSNSNSIPPLSLPGSPPDPRRGVRRLGEGRARIDGMQQRPEDAMEEVEAAAGPELGFWLAARRRLAPDDPFFAAGDLERELLAKHLALDLSEDDRNQLEKMEVASTCTVFCPIAGCGAHLGCLEDFEDHYVTRHSASCSVCSRVYPTSRLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLIDKHQFPKSFEFFKKARPSQRHRQKFQRKQSAHKGEETRDNSMDVDGKGTRQTNWKYQPKQHDPKESKENERHHQEAKENEMEVEQKIDELTSAVSKLSTADSTPANVTFGHRRSRGLTFVPRSIRQNKQQGSQPEAK >Dexi9A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:9A:10240749:10241751:1 gene:Dexi9A01G0015380 transcript:Dexi9A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKASSCGALVLLNCFVSPFGNRVRIALKRKGLPYEEKSENLAAKSQLLLSSNPVHGGKVPVLLVGDKPVCESLVILEFIDEAFPGTGEQLLPAAPCARAHALEEGKKDMVAVLKTLEAELGGKPYFAGDALGYVDVALVPFAPWFLTYERFGGFSVAAECPAIAAWAERCVKENELVATSLPEPEQVFQFVCAMRKAFGLD >Dexi4A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:4A:24902828:24903300:-1 gene:Dexi4A01G0021300 transcript:Dexi4A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLDVAEEDEPDEELYEDDASNEEEDVPDEDLSEGYEAEEELLLEQPPEEYMLEEWLYYKDVYEEACPEDVPENEQSEEDVPEDEQSEEDVPEDEQSEEDVPLYGLNNLIFAKLMKFKGHYFEMRLLSVEEGHWSPKTAIGTSCGYGELHGSI >Dexi2A01G0031760.1:cds pep primary_assembly:Fonio_CM05836:2A:42486350:42488758:-1 gene:Dexi2A01G0031760 transcript:Dexi2A01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAVTSLPTLPYQCSVLLRRLAASRSLDSSSFLRVLRCLHARLLTSGLLHAPSHPHLTLRLIHLYTLSHELPAAALLFRSSPCPVAATSLVAAHAAAGRLPAAVSFFDAVPPARRDTVLHNAVISAYARASQATLGIAVFRSLLASGSLRPDDYSFTALLSAAAHLHNLSVRHCAQLHCSVLKAGTGGALSVCNALIALYMKCDAPEATRDARKVLDEMPAKDELTWTTMVVGFVRRGDVGAARSVFEEVDGKFDVVWNAMISGYVQSGMVTEAFELFRRMVLARVSLDEFTFTSVLSACANAGLFVLGKSVHGQIIRLQPNFVPQAALPINNALVTLYSKGGKIAVAKRVFDSMKSKDVVSWNTILSGYVDSSCLDKAVEVFNEMTYKNEVSWMVMVSGYVHGGRSEDALKLFNYMRAEDVKPCDYTYAGAIAACGELGALKHGKQLHGHLVQLGFMGSNSAGNALITMYAKCGAVKEAHLVFLVMPNVDSVSWNAMISALGQHGHGREALDLFDRMVAEGIYPDRISYLTVLTACNHAGLVDEGFHYFESMKRDFGIIPGEDHYTRLIDLLGRAGRIGEARDLLKTMPFEPTSSIWEAILSGCRTNGDIELGVYAADQLFKMTPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGNKVRVFVVGDTKHPEAREVYHFLEMVGAKMRKLGYLPDTKVVLHDMMPHEKEHILFAHSERLAVGFGLLKLPTGATVTVLKNLRICADCHAAIMFMSKAVGREIVVRDVRRFHHFKDGECSCGNYW >Dexi5B01G0036900.1:cds pep primary_assembly:Fonio_CM05836:5B:36469983:36470480:1 gene:Dexi5B01G0036900 transcript:Dexi5B01G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRNPLRHIPVYADMSCSSDVFKPWRAPLDQDFNTGLYYMKATNRTIEMMKYWIASRERFPGEHDQTVFVRIKHELVSLLQAKIEPLDTVYFGGFCQYHDDLEKVCTMHACCCVGMENKLHDLKDIAADWKNYTSLTPELREKGGFKWTYPTVCRDSMGWREP >Dexi2A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:2A:10541207:10543173:1 gene:Dexi2A01G0009660 transcript:Dexi2A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAACTNDAEEEETSSFMAAQELMTAHIVSMTLKAAMELGLIDTLTGAPAGRALTADELAAKLPAGATNKAEVAAAVDRMLRFLASHGVVRCSTEEGAGPDSPLLRRHHLAEALLEGRVAFEIAHGKPAFEYMGKNPQLSALYNQAMSQLSTLVCGKMLESFTGFDGIGILVDVGGGIGTNLGMITSKYKNIKGINFDLPFVVSQAKPIPGVEHIGGDMLDYVPSGDAIFMKSVLHLLSDEDCVKLLKNCYRAVPDRGKVIAMEVVLPVTPEATQAGRFPFLFDIICLINGLKGGKERTEQEYARLATDAGFNGAIRSTVTFGGFSVLEFTK >Dexi1B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:1B:10675792:10679304:1 gene:Dexi1B01G0010840 transcript:Dexi1B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALGAANWLLGKVLSKLSDELVAGYVASRELGLNFDKIKDELNYTLGILHAAQGRGISDNPGLQGLLEGLAKKADEAEDALDELHYFMIQDELYDTREATPELGDGLSAQAQHAHHAARSTAARLYSVQSLTLKEFPLTMKSVSNLFKCFPGLSRLDISASDGDHEEVVLNFPPSSSLRHVRFNGCKNLILPVEDGSGFQGLLLLESIDLAKCGRLFSRWSTREASQSINPFPCCLKELYFWNESSTMSMALLSNLTSLTTLRLEGCTNLTVDGFNPLTISNITDICVYNLTRDETEPYSTATDLLAEVARTKAMPAGSIQLVRLVVDSISAVLVAPICSLLSATLRFLSFSLDCRVDGFTEEQEQALQLLASLEFLCFDGCRVLQYLPQGLHRLSSLEGILIAGSPKIRSLPKKGLPDSLETLRIINCCAELYEECQKLKATRPDIDVYAKV >Dexi9A01G0027480.1:cds pep primary_assembly:Fonio_CM05836:9A:32121766:32122155:-1 gene:Dexi9A01G0027480 transcript:Dexi9A01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKKKKPAAGEACGGGKPARTHWRRRNPADTSVYVVPPDQFRAVVQQLTGATAASSSPATASHHQQGVNDGKAEAQQTTQATAGTAGTNAQGQQQHAGGGEENCSSKGKTLGQIYDECMAWANADD >Dexi7B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:7B:12774991:12779378:-1 gene:Dexi7B01G0005340 transcript:Dexi7B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPYFAVEESARGIRKGESPAAALRRILATPGAHQAPCCYDALGARLVERAGFPIGFMGGFCVSAGRLGLPDAGLISYGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAVDARNESGSDIVIVARSDARQAISLDEALWRVKAFADAGADVLFIDALASVEEMKAFCAVAPEVPKMDALAAIKDGGVPPPSALPSFQQIKDTLGFNRYYKEEKQYQVDK >Dexi9B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:9B:11518231:11518827:-1 gene:Dexi9B01G0016770 transcript:Dexi9B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASSVRAGFGAQPRSAIGFRHSQRRAPACPCLRWPLPASRLLRGGGGSRPRGAIVAAPGDQRRQQLGELEAEVEAGSALGPPRSSPSEVTTHELAADIPVREEMARCFDLVRRLGRGAVYLGSSRVPLTHPHFLQTTELAREASSATRYYFLAQFNTLVLS >Dexi9B01G0039560.2:cds pep primary_assembly:Fonio_CM05836:9B:40227219:40227875:1 gene:Dexi9B01G0039560 transcript:Dexi9B01G0039560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIWSSLNLTRGLTATTSAAISSRSSPPSPLVSKRSKILLTFSARDPRPADDAADADSAIAGAAHGKNQPDCGEIRGTARVGDLMRGGRRGRAGGGAAPCAGYGLWLVAKREETMVMMTVDGGHEG >Dexi9B01G0039560.1:cds pep primary_assembly:Fonio_CM05836:9B:40226808:40227437:1 gene:Dexi9B01G0039560 transcript:Dexi9B01G0039560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSKASSRERDPSARVRSTASSLTKSRLVSSHGAALDAESAAPEISLDHPASEKESKLLFPAPPAPALPSMEPFRCCLERRRLTSISRSSRSPHEESNDMPWFGEAAHAGAAAAARELTDGGAPPPVPSGLIWSSSSDAATAAPEGGDEGLAASPGAISGEEASESTAGRDSARKSRSTSPAPSSYVRSRPGRESPLPSGRMNSA >Dexi5A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:5A:5472680:5473345:-1 gene:Dexi5A01G0007370 transcript:Dexi5A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSLFLLLCTTLSQVAALEPVDLGANCSPKKCGNLIISDPFGFAPEQATDTKCGRLGFEVHCNNSIPYLGYYRRKYRFRILDIFYDNSSLLVADIHKLEDFSAPDSKGCHVLTTNTSSKVGLPFSVSPANLNLIFYNCTSTKIPKAELVKTKCSSMLIHVRIGGHYNDSSNYTQYSVEGCSTTLVPVLGTYGKPNASNYEQLISDGFLLTWQLPSDKKI >Dexi3B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:3B:11908644:11909762:-1 gene:Dexi3B01G0016340 transcript:Dexi3B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHPPPPMGTHPSGPSTLLDGGGELLRDWLVRRPEALGPAVAARWGGDLPFLFKVCWVAKALSIQAHPDKKLAEELHALRPSIYKDDNHKPEMAIAITKFRVLYGFAGMEELKDILRTVPEVEELVGHEHAGKLMSLKDYDEGNEIKSSLQTAFAKLMATSKEKVSEALSKLIRRLNIESKVKIFLGP >Dexi7B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:7B:24868000:24868499:-1 gene:Dexi7B01G0019340 transcript:Dexi7B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLTIPPSFAGASPPSPSPVGGSSGGPGLGQAAKDKKMASAEQLVLELCDPELRENALLELSKDMGV >Dexi8B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:8B:22535457:22538484:1 gene:Dexi8B01G0012900 transcript:Dexi8B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHADLLLSALCSVHHSLPHGGDDVLPLKLSIAAAFRRALVPVSRPEIMAPGSVNIVMHHGGAFSKEGALSYDGGQVAFFGNIDKDVFSYNHLVQLARSVGFKDGDNLFYLIPGRSLDDGIDLLKDDTSALEMMKYANQTNCFEVYIQQREHPIVGDTVQEITTAQVNKGHNKKRLNKKREKRIWSADEENALVDILYEMNNSGWKADTGHKCGYMTYIEKELAKRFPNANIKADPHIQSEVKKLKKMLSYVLDIQQHGSGFGWDDERKMVVGDLELFNGWAKS >Dexi8A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:8A:18347417:18353370:-1 gene:Dexi8A01G0010700 transcript:Dexi8A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPAMAMPLSPRESPREHVERIRRDRYFIGRGERNPLAEDMHQAVNYLSQELYSKDVHFLMELIQNAEDNDYPSGAAPALEFVITSKDITRSGATATLLVFNNENGFTPANIESICRIGRSTKRGNRSSGYIGEKGIGFKSVFLVSRNPHIFSNGYQIKFSEDPSSECGIGCIQGSNLVELLPEETYFDLLAFVAQNWKDKFVGANMAQIPLVKCVGGGLLKIILAFLANPAFEIDTDKRRQMASYLLGVKTLEMSEPISVSYQVKLSLGRTVSVKGRRMFRWERENNKLYMQKSEGSYGRTTRMEFATCFGEEISQGLLYERVDLIPSLTELLKVGFLVGFEEDEVEFLLKTKNLQLFSEDEDFVVGAFHSSEL >Dexi7B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:7B:16162132:16166471:1 gene:Dexi7B01G0008310 transcript:Dexi7B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPLSSLQEEEGEPAGEGSSAFSAAAVPPRPATHSHSLHKYAPLDWSDYFDEERRVAIPGTDDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAARQMKEKARVVAMDLRGHGKSITSNDVDLSIETLTNDVIAIIRTMYGDSPPAIILVGHSMGGSVAVHVAARKEIRNLHGLVVIDVVEGTAMASLVHMQKILSNRAQHFPSIEKAIEWSVKGGPLRNVESARVSITSTLKYDESRGCYTYRTPLEQTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDKALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFISRNKIGPNGVEIPGLVKKWGR >Dexi2A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:2A:27567737:27568003:-1 gene:Dexi2A01G0016090 transcript:Dexi2A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWRGEEISSVDPAAAAAAVFLASHDLEEGGQPQPQQEPHPAPALATFAASSMACSWMVDSPCRTVAIGDADSGRWWRHPGEVYGGA >Dexi7B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:7B:2565736:2568557:-1 gene:Dexi7B01G0001520 transcript:Dexi7B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHKRVNSVMLMWAWWDARNKANAGEGSPEIDEVLRRATLMTVDAEVLSPQQTYLDCFRWRKRIEEIISCIQARTEFVFEKVEFEKNKVIVSGPFDANKLCCMLRCKIGCLIKNLEVVKPPPPPPPPEEPCQHQPCPQPCPTPRPCCQGHCRPPSPPPCQCPAQIACRCRCCCRGMPCPLVIIIIEDRPGPCGIM >Dexi4A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:4A:7207083:7208789:1 gene:Dexi4A01G0009130 transcript:Dexi4A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLQRCVDSTSRIKNYTDVGEVAFGRKGKILAATFMYLELYLAAIDFLIMEGDNLDKLFPALRFHITGHLTLTGKQAFVLMASLVVLPTTWLRSLGKLAYVSLGGVLASAVLVSAILWIGAFDGVGFHEKGVLVHWTGLPTAMSLYAFCFSSHPVLVLCFAVSALGYGLTGVAAYLMFGASTQSQVTLNLPPGNLRSKIAIYTTLVNPLTKYALFVIPIADAVEDSLLVADSRPLSIAIRTALVVGTTVAALAVPLFGYVTMLTGALMCSSVIMLMPCACYLKISSMTSRKVAGYERVACVGIIVLAVGIATVGTYISVKHIVQSL >Dexi5A01G0031720.1:cds pep primary_assembly:Fonio_CM05836:5A:34333467:34333883:-1 gene:Dexi5A01G0031720 transcript:Dexi5A01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTRLQLYSPLTKKNAPQVTRSYQKLTHRTRGHREGKEVEKLAMEYGYPANWCGNNKEKRPPLKRGQLKMQIAKTLMGSLMVPAGAANRERSFGR >Dexi4B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:4B:15485867:15487421:1 gene:Dexi4B01G0014500 transcript:Dexi4B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVALAAAALPGSAASAAAGCDLFRGRWVADESYPLYDASACPFVPDVFDCRRNGRPDDGYLKFRWSPANCQLPRFDGVDLLRRWRGKTVMFVGDSLSMNQWVSLACMLHAAAPAPVRATMTSGEPVSSVRFEDYDLLLVLYHTTFLVDVVQEDIGRVLKLDSMRNASAWLGAHLLVFNTWHWWTYRGASQVWDFVQDGNNTYRDMDRLTAFSKGLSTWAHWVDANVDASRTKVFYQGISPSHYMPKQQEGEVAKAPVAGGGSCLKQTRPLQEATDAAGGGTSPSPEQGVVSGVIGGMRSPVALLDITALSQLRIDAHPSVYAGPGRDGMDCTHWCIAGLPDAWNHIMYAMLLQRG >Dexi2A01G0029410.1:cds pep primary_assembly:Fonio_CM05836:2A:40485168:40486650:-1 gene:Dexi2A01G0029410 transcript:Dexi2A01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRPTGGGVFDGPSLRRRGDRRTDHISGLPDHLLHRILLGLPGGASSAARTSVLSRRWRRVWSTLPALLFRYTFGNEEPPPSSSSSPPADRVEAALAAYSAPTVRRLDIAMPYGSRRRPSHPTAEHVSSWLRFASQASSVSSCRGGAPSLPRTTTTTRVTSIHLVLGCYILRFRPPTTIADAGGAFKALAALKISDACVDGPELEEMLSSRRCPCLKKLELERISLRQGGPPVLSLRSDSLEWLQIAIEYRGRLQVAAPNLRTFHGRSIPCEIYMAAPMLSEVSWHNNFGYDPTRHHLAVSGHHLRRLEIRADSSGLKLMRQFNIVDELHLNVHVMEGIQEYNRFLEGTDKLARCEVLVIRFMKMEHSFKSAMLHLLRQCTRFA >Dexi3B01G0029570.1:cds pep primary_assembly:Fonio_CM05836:3B:28843154:28844835:-1 gene:Dexi3B01G0029570 transcript:Dexi3B01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGQAFVSSVFLFVLALCLVAILPPRGPPPPPRSFFQPWLPPSSNECDYSNGRWVRDADAPAYTEDCPFLDPGFRCLRNGRGDDSFRYWRWQPHRCDLPKFNATEMLERSRNGRIVFAGDSIGRNQWESMVCMLAAGASPSRVYEQSGKPITRHKGYLSMVFADYNLSVEYYRAPMVVMVDRFFPANATSGAGGEVRGAIRLGVLPRHAGRWAGADVLVLNTGHWWNVHKTVKANGTWNTGGSCAGWRDPVTTTDQFGEEYSWINAAIAKTMEGISSHGRKARFLNITHMTELRPDGHPSQHREPGTPPDAPEDCSHWCLPGVPDIWNGVLYAHLLSMGYDTRKQR >DexiUA01G0022120.1:cds pep primary_assembly:Fonio_CM05836:UA:45293350:45294239:-1 gene:DexiUA01G0022120 transcript:DexiUA01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMRQLLGLLGAINGRPRERKKKMVLRRPLQVVELRVRMDCERCEREVKKALSGMRGVQHVEVSRLQQKVTVTGEVDPLEVLWRARSTGKKAEPWAHHQNAPGGYYYAPALYGIGAAQLPAHDAGRWANPAAAYGYHHPAARSVEAAIGAEHLTDLFSEDNPNACSVM >Dexi9B01G0032300.1:cds pep primary_assembly:Fonio_CM05836:9B:34547613:34548797:1 gene:Dexi9B01G0032300 transcript:Dexi9B01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVGGSGGGGGEGGSGDGRAQAERWLEIAEKLLAARDLVGCKRFAERAVESDPLLPGADELLAVADVLLASQSAHPSGQTDPLAILQLPPGAIPDQASVSRAFRRLALLLGPRNPHPGADVALRLVNDAFAILSNHATGIPSSQPGAAGASASASPEFWTACPFCCYVHQYPRNLVGRALKCPNEGCRSGFVAAEIPTAPTIVPGTQMYHCAWGFFPLGFPNAADMGGNWKPFYKMFPWNTAPSGGGAGGRGQGNRGGSNTRQPYGGSARGGSSRGRIKKTTARKKVGAGLRRRSFGGGVESGIDSSMLGQEGWAGDEDGGGGGDDRAEEGRGININEAAQATDGGSRVNVSGTGGVEDMPNFHIDVDATEDILGNLHNLPFLRVDNLGRML >Dexi2B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:2B:390044:398950:1 gene:Dexi2B01G0000800 transcript:Dexi2B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRGSDVVPPGHGASKSAPCVPAEEAVAEQAEEVAAEQEVVMRKKKRHDMIFVFLLFSMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMVESISELQKKERSLQEENKALQKELAERQKAAASRQQQQQQQVQWDQQTQAQTSSSSTSFLMRQDQQALPPPQNICYPPVVAMGERGEEAAAAAQQQQQPAQAQPQLRIGSLPPWMISHLNV >Dexi1A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:1A:28065715:28069025:1 gene:Dexi1A01G0021240 transcript:Dexi1A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVPEKLPLPGFTRAHCTVPLGGARCQPDGSLGLAMFGHAPTRCFRSPGAPRARARTRTHGHGAPSRTERQCLPLLLAVLVLAFTVAPHLRNLVSINRRHFGAVRGIYSASVNVKFEEGATFIFGSWLCTANQDGKLRHELRYVMIALRREFRDETMASPPPPARVTVRRSLRVSDSDTILGLYPTRMSTWRQKPSSTRTNDDSGLVALKYQDQAYSRRTRLLGGLRIISSIRQGTSVRTAKINPATSVAKVLFLFEQGSFFDKNPDYGNQQGSFFDKNPNYDDQPSSFSTKNSDSARLHQQILNILHRLAGSHARGLAPTNYVFIDSPAPKLEGWHPRTPRHTSVDSSVFELGG >Dexi9B01G0032000.1:cds pep primary_assembly:Fonio_CM05836:9B:34324350:34326065:-1 gene:Dexi9B01G0032000 transcript:Dexi9B01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYAPEDASSPELSAAASSPDSSPLRLPSKSAAPAVDDTALALSAAASGSRPLDPSLHLVAFNPTADQLWAPILGPQHPHAPISSASGNRNHKLGHVEDASVLPFLFDEQYNTFHRFGYASDPSGLHIVGDAQTQAPEPDTVYNLAPSEHKRRRLLAKADNQEEPLPPEAKNPASEEWILHNKQSPWAGRREGPPAELTDEQKQYAEAHAAKKAEKEARAEGKGERTEVVVKSTFHGKEERDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDDDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >Dexi2B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:2B:4326277:4327452:1 gene:Dexi2B01G0004670 transcript:Dexi2B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHDAHIDLLPDDILELVLLGLHSPLWLLRAASTCKRWRRIISSAVFALHGRRSVVAGSYYHQEASSLRPRFEPSPSTAAAIDAGNFSLDFLPGSISDDKCSWTIKDSRGSLLLLYYYNPKSGRRDLIVCEPLTRRHVVMPPPPKPPVFYWNAMAVLLNGDGDGGAIGMSSFRVLLCSYEYARIRACVFTSGSSNSSSAFLRETSIDNIPLSFCTMGFTAGRCYWQYDGGKAVVALDGSTLKFSSFMLPIENEENFRKMILTVGRDGDARIVAEGRGNILKIFARSKGGAGGEEWELEKTIEVTAVMLGLPQLQFFHLTSDQPHDDEGIVRIFGYDGGRAPDGTSMRFHLDMETMESERLPGCDLGYMRQAYPSEFPWPPTLRACTDDNT >Dexi1B01G0026270.1:cds pep primary_assembly:Fonio_CM05836:1B:31154969:31155744:1 gene:Dexi1B01G0026270 transcript:Dexi1B01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVDDPGKRVVICLPRTAHLKFTTHEATAPRRSSPDYKLQISDGPAPTHLVCSIIIWISHYHTTRHGRRFRLLLALTALLPIPSCRITSEKPTNLPASDRRARGTFLSRPRGDAIRPPAYHCCSNNPANRDVVTY >Dexi9B01G0031980.1:cds pep primary_assembly:Fonio_CM05836:9B:34304756:34309961:1 gene:Dexi9B01G0031980 transcript:Dexi9B01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFLGYAKKNVSMVKARTNDCRAASGMAWGAAGVAALLLATVFTGVTGDTNSDDVTALNTFYTTLNSPSQLTNWAPQNGDPCGQSWLGVTCSGSRVITIKVPGMGLNGTLGYNMNLLTELSELDASNNNLGGSDIPYNLPQNLQRLNLERNNFIGTLPYSISQMVALEYLNLGHNQLSDINVVFNQLTNLTMLDFSHNSFSGTLPESFSNMTSLSTLYLQNNQFTGTIDVLTDLPLTDLNIANNQFSGSIPDKLKSIGNLQTSGNSFSNSPAPVATAPPSDSPPSRPSPSSSHSNDNSTRSRGSDNDNSSSGSKSSKVGNAAVAGIVITLVVVGALVAFFLIKRKSMKRKQGGDPEKNAHLSPLASGKIKRKSIMTSPTSVSRFYFSQVLAVKKINFSAIPDHPSDFFMKLVADIAKLNHPNLSEIDGYSSEHGQCLLAYEFYKNGSLHDFLHLSDGNSRSLSWHSRVKIALGSARALEYLHETCTPSVIHKNFKSSNILLDNELNPHISDCGFADLIPNQELQESDDNSGYSAPEVIMSGQYSQKSDVYSFGVVMLELLTGRKAFDSSQPRSQQSLVRWATPQLHDIDSLDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPISEVVQSLVRLVQRSSMGAGLSSERNSWRFDGSGDHTF >Dexi5A01G0022120.1:cds pep primary_assembly:Fonio_CM05836:5A:26023763:26031631:1 gene:Dexi5A01G0022120 transcript:Dexi5A01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELRRLMAVPTRWDRARRKPKTLPRFTSGEEEMEMENVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGMDSCYDIFRMAVEKYPNNRMLGHREIVDGKAGAYVWKTYKEVFDLARKIGNSIRSCGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLLKTLPKSNEFLKTIVSFGKVTQEQKEEASKYGLSVYSWDEFLSLAVGQEFDLPVKEKTDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNCVNEELAESDVYMSYLPLAHIFDRVVEELFIFHGASIGFWRGDVKLLVEDIGVLKPTIFCAVPRVLDRIFSGKDKQYRMMSGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVIQGYGLTETCAGSFVSLPNKMSMIGTVGPPVPNIDVRLESVPEMDYDALASTARGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSEIDSIWIYGNSFESFLVAVVNPNKEALESWAATNGITGDFESLCQNPKAKEYILGELSRIGKEKKLKGFEFIKDVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGVIDNMYKSAK >Dexi9B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:9B:1514430:1517875:1 gene:Dexi9B01G0002690 transcript:Dexi9B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVRDQLPAVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSVLGTRLPAVIGGSYTFVAPTISIILAGRYSGIVDPHEKFARIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCIEIGLPQILLLVALSQYIPHLVPLVGTAFERFAIIMSIVVVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVAGAPWISVPYPFQWGAPTFDAGECFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVAYFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >Dexi2B01G0023830.1:cds pep primary_assembly:Fonio_CM05836:2B:33308659:33310716:1 gene:Dexi2B01G0023830 transcript:Dexi2B01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLPAMCASDDRLVTGKPLLPGTTIKSDGGDFAFGFFSPSNSTPERLYLGIWYNNIPRFTVVWVANREAPANASSAPSLVLTNIWYGYCGLSSYCDYTDATPTCKCLEGFEPVDKEEWSNARFSQGCKRKEALQCSDGFLALPGMKVPDNFVPIGRRTLKECATECSGNCSCVAYTYSNFNGSTRNGDDTRCLVWIGDHQLVDTQKMMGVLPYNTAGADSQETLYLRVAGLPGTEHF >Dexi2A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:2A:27549417:27549830:1 gene:Dexi2A01G0016050 transcript:Dexi2A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRATPAPVAAHADASLGVLPRSSRSVATAARVFSRTARGGRQRRGGRVSARAYGEARQTWPEKTRDRRIPRMSRRGRGRRLAHEIAARRAAAAGSRGLARLLAHLAAAALDCLHAAAEPPGSRSPSRRLVQESPD >Dexi9A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:9A:3726063:3727031:-1 gene:Dexi9A01G0006560 transcript:Dexi9A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVPVPRGHIPVLIGEGKELQRVVVHRKMLQHPYFTVLLELAAMEFGHNQKGGQQTMRIADEDLGAEA >Dexi6A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:6A:16033283:16033559:1 gene:Dexi6A01G0011250 transcript:Dexi6A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHAKLGDGEDSYCATLGYTEAEVKAIKNFLCKNCEYKQHQCFVCGELESSDGKNAKV >Dexi1A01G0024650.1:cds pep primary_assembly:Fonio_CM05836:1A:31122716:31122961:1 gene:Dexi1A01G0024650 transcript:Dexi1A01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEEDVVLPHLVPVVPDAAPELERETVERRRGRDLLVAVDFGPNSKHAFDWALAHIARIADTVHLVHAVSS >DexiUA01G0013240.1:cds pep primary_assembly:Fonio_CM05836:UA:27446537:27450916:1 gene:DexiUA01G0013240 transcript:DexiUA01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYRPRSFEDEFTYQKQDEVQAVVAKIEKIYRRAGLSKVKMDSGYCCCLGLLDPISNIRVNGCIYDVAAAAAAAATPGKGSENIAKRSLDGLVAFLSCLFPYLFDLEAVRYLDAADVDPLVAALHIINRRGMVKFNKNSATVLAAVKAALKCAAIAAEHLDPQGFMEGWMSVSPGVGEQFMARHEDESYVWRLIGTPTPDLELEESWKLANVRLAKQKPIRQEELPPARPAKKRMLLATIHRFYLKALGSLPESELRERLHCSMLQGGHCYGPLDPVSNIIVNTLWYDHKFPISKQVTLQMTSTQCLWRAAARSLYGLVSFLCTRYPSLTPDQALQRLMHTGANLQDADPYLFSMPEPDNKKASCWSGCLQIGSGKPDANAPSVSVPEAYLAAATAAFHSNPLSQREFLGSPNTVANLQTARRVMRLQDGRLLSSKDLEMLCMCIFECPSSAGFPQKQLETEPKRVNIVLYTHVNECRRVFWRQQERASRMVAAALSKFNETAEPHYTLHVICGVNELVSDLESVLDVSGDYDPWLCASNNYRHSHINFLATRKGEHATLFFAECENHGTGSWCVPVSLPQRDGEPDSGVNPDAATDPCAEQVRCIYCEHNEIRIVHPAVSGFHGRDNFVDLFNGSDRYRYSNNKAIRREHIDWVDELEDDTIYANFVPADEMDPDDLVLLNTQVS >Dexi3B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:3B:11584492:11586040:-1 gene:Dexi3B01G0015950 transcript:Dexi3B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVSDQSCRITQQETNVGTKCMDGSESAEPETEPVTPVVPRHPKSYMEDIDDNPQNPDQPISKPRMAPKPKFPGKTAMGKAKSRKLGLGFEITIK >Dexi9A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:9A:13959963:13960454:-1 gene:Dexi9A01G0018950 transcript:Dexi9A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLIHLMTNALALPILASVPNRQGLPRDSAPGRVAGSGETADPGEDKRGAAQGTRGDGRRRRLAGKQWQSVHGRRSASSSRRCGGGHREQRQWHAGPSACGAAVSVRDGAGSPRLGVPAEAGCWERCGAQRLAAVSIGVWPGR >Dexi9B01G0021040.1:cds pep primary_assembly:Fonio_CM05836:9B:15715862:15716557:1 gene:Dexi9B01G0021040 transcript:Dexi9B01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQLSVYCVYADPGSKNTGMHPARMASNVDRRVSESPLGWRQNSEPSNTRITEPGDLHVLALPLPTQRNSLHRLDAPHCLKISGRTTLSRFHGWGAPANEKSHARSASRTLTCQAATSASGTAKFARCHGLVSSTSTVMFWTAGSLSSLVPHCSSTSWPRRKSHAVRPATMKWSRPPMARHSGRWDSHPTRSRPSMSRDVVLFHMYLPPSRLA >Dexi5A01G0032690.1:cds pep primary_assembly:Fonio_CM05836:5A:35125631:35126195:-1 gene:Dexi5A01G0032690 transcript:Dexi5A01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSSSLSPASSPSSSSSSVTSGAHRRRLTDVERDAAATDYCVGAVPSCTGTGSDDDDGEAGSARRGHGHGAGVKALSFFARRNGKRVPVVDRAWVRNAVACLLGVAVVVGLAMSSHRGDVGVGRLVRRVDAGDAQVLGWREENLTAFARRPPDPPSTIPW >Dexi5B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:5B:21191013:21192013:-1 gene:Dexi5B01G0018830 transcript:Dexi5B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSSMRSSPVDCLPQLLCCACVDQSTVAMEETCGRYDTVLDPGCHFMPWCFGRRVAGYLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALADRAFDAFYRLSNAREQIQSYVFDVIRASVPNMNLDQVFEQKNEVARAVEEELGKAMAMYGYEIVQTLIVDIEPDEVVKRAMNDINAAARLRVAAAERGEAEKIQQVKRAEGEAESKYLAGVGVARQRQAIVEGLRRFVPDEKSVMEMVLATQYFDTMRDIGATSRAATVFIPHGPAAVHDVAAQVRDGVLQAAVHHAPGGAVPR >Dexi8B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:8B:27954094:27956499:1 gene:Dexi8B01G0016800 transcript:Dexi8B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPEDFLGQGAYLAAPEPFSPSVFLDLPPTPRPDAAGADDSSDDLVLPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSSDDSATTNSNSNSSGGTAGSSTLSPSSTSSAAPASAEPPWPYDPIELSQLLRSPPYPDIGVGLDDLTADDVDALLLQGQDQATAGFHQSPPFVDTGAGGGGQLDQSSSLAAQNAAGDDGSQRPRASSAVQSSASDGQEETKTTEATTFPGGDGDHAALASAFFSGQNGENIDMLNMAFLKGMEEAKKFLPTNNSLLIDLEDTSGQSLPTDSKPATGFAAAQVKKEEEVSDGILLIGGGGGGGRTNGRGRKNRHTEDDLLEAETGRNSKLMMPEQEETGASELFDELMSCNYEGFLKRMQDLRIAMDSESEKSVRTVSGGKGARGRRRTNEVVDLRTMLIHCAQSVATGDHRSAMELLRQIKQHSSPRGDATQRVAHCFAEGLEARIAGTGSQVYRSLVAKRTSLVDYLKAYRMFMAASSLKKAYVMFCNTTILNTVAGRSKLHIVVYGVQYGLQWPGLLHYLALRDGGPPEVRFTGIDLPQPGFRPAYQIEETGRRLSNCALEFGVPFKFQAIAAKWETVRAEDLNIDPDEVLVVNCECDFNNLMDESVDVDTLSPRDMVLNNIRKMRPNVFIQSITNGTYGAPFFLTRFREALFFFSALFDMLDATIPRDNDERLLIERDMFGRSALNVIACEGADRVDRPETYKQWQVRNHRAGLKQLPLNPEVVKIVRDKVKNYYHKDFLIDEDHRWLLQGWKGRVLYAMSTWVAEDNNII >Dexi3B01G0032570.1:cds pep primary_assembly:Fonio_CM05836:3B:34935904:34936446:1 gene:Dexi3B01G0032570 transcript:Dexi3B01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTVPATALAAAAYSSMTWRTGGGRSSCLGGGSSGDGAEKKRVSRQLAAGDWNSISERSSPGMPWFARWKKPTVSAAAFTCATKSGEDAAERSMTGMVIAPPPLLMLWWWWRRKMAGTVVMPESTRPLTPAMVLSNALSSLRRS >Dexi1A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:1A:238284:239135:1 gene:Dexi1A01G0000370 transcript:Dexi1A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGGGGATEVNKVVIPMVDADEACALLSAATHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHGKEKNPHFIEQVSALYGKDQNLIVC >Dexi6A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:6A:27442486:27446792:-1 gene:Dexi6A01G0019780 transcript:Dexi6A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGPGLLPVSSLARVLRRLRCLSLHCLRRLTASSKRAFDSPIPIPSVPLVTLKSQSTEASDEPRACCFGLSQPSASAGRPPARRTGSMYAKCGLIEDARKVFDGMHERNVVCWTSIISGYTQLGKELSVKNSLIDMYSKCGDVKKAYEIFSGLTERDVFSWTAMIMGFAVNGLCGEALDLFAQMEAEGKGLVEKVVVIFYDKDHVPVEKFVFKLEVNQSYGPKLQEADLEFALRAFLIKLTVAEPVTKSLPSDGSWEITAYFRSLPADGDQEAQLWIPTDTKLWMQPPQITPIKSVSCDPLKMQLYLEHPSPTEPKNPAA >Dexi2A01G0037500.1:cds pep primary_assembly:Fonio_CM05836:2A:47062790:47063111:-1 gene:Dexi2A01G0037500 transcript:Dexi2A01G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGAGLIGMEVGDAGDAGGERRPAKVAQVAEALGDVMCRRRRRRRREVLRGGFGAASIGTQSPLPKPSSSKETRTAVPPEEEEEAAAACSGLGWAAAICR >Dexi8B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:8B:11381534:11382123:1 gene:Dexi8B01G0008470 transcript:Dexi8B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVTCILSSWNTDSFNLVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTEVTSFRRRSIKPNETSGLVSCIKEQLCSTLDGCKTEASSLLKEP >Dexi7A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:7A:20671848:20677381:-1 gene:Dexi7A01G0009720 transcript:Dexi7A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVAALLLGRLLPPLLLLAVASASYDGAGQPPISRRSFQEGFIFGTASSAYQYEGGAMEDKIADRSNGDVAVDSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGILSGGINREGVRYYNDLIDELLLKGVQPFVALFHWDSPQALEDKYGGFLSPSIINEFKDYAEVCFKEFGDRVKHWITFNEPWTFCSGGYASGRFMDPLTRGDYPLSMRTLVGNRLPQFTKEQSGLVKGAFDFIGLNYYTTNYADNLPPSNGLNLTYDTDARANLSGFRELLLYVKENYGNPTVYITENGNFYLVALLGFKCNFSPSLKEEALKDDTRIDFYGRHLIALRSAISDGANVKGYFAWSLLDIFEWASGYTVRFGINFVDYNDGRKRYPKSSAHWFTEFLKK >Dexi3A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:3A:5502110:5503120:1 gene:Dexi3A01G0007900 transcript:Dexi3A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAMKTLTFLVLLIAASTAAAAAGSKDRCHSGDKAALLAIKAALGNPYHFASWTPDNPCCDWYDVDCDPTTGRVVGLSVFQDANLTGAIPDAIAGLVHLQNLMFHHLPGISGPIPPAIAKLSNLSQLTISNTAVSGPVPSFLAALTKLTLLDLSFNSLTGAIPASLGAIPNLSGIDLSRNRLTGGIPAGFLSKSADQIYLWLSHNNLTGSIPAEFAGANFAHLDLSRNALAGDASGLFGRGKELQYLDLSRNAFGFDLTGVELPEQLDVLDVSHNAIYGGIPAQVANLTNLQLFNVSYNRLFGPVPTGGNMGRFNAYSYQHNKCLCGAPLANACK >Dexi8B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:8B:2398347:2403179:1 gene:Dexi8B01G0003260 transcript:Dexi8B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVRLVWEDGYCGHTSCPVGSEPSEALPSDTGCSVTAADTICSLVNKVMASEVHIVGQGTVGRAAFSGNHQWIVHGTANGHGLSSEVVAEMNNQFRVGIQTIAIIPVLPRGVLQLGSTGLCSIDGLLEKTVKHMLFLQSVTKNADKLKDSTESKILGTENGPLWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEMRKSKIWARFTVEANRDVTRMEIFISLVRLLEPNCDGSRAAENANNMSKPLGLVHQPVIPATGRIQ >Dexi1A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:1A:18849383:18849997:1 gene:Dexi1A01G0013600 transcript:Dexi1A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIDDLMRSCGAGAPVPSGHGQQATPRQQMVATGSDHHQLTVSKIRTAVSMLGRRTGHARFRRGPVAEHPPPSDDHHQQRTAAAGVTLGFAKACDDQKAALSGSASGASSSLPSTTTLTSLTAGEGSVSNGRFPPVSGHHVVAGNKLQPPVTTSMQQQQEPAVSDYYITVTAGRSKCHDRARSENDVAGGKAHAGRCHCSKKR >Dexi9B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:9B:13993594:13995833:1 gene:Dexi9B01G0019390 transcript:Dexi9B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNVGAQDLPDEVLTEILARLPAKSAGRFRCVSRAWSDMLSSDYFVDLHMRRANRPDRPKLLLTAIGSSYDGYLYSWQLGGEVDKLMPDEFGGEVTVPLTKPCRGLILVRVRTDDGDGGYYVFNPSTGGEPLALPDSEKPLKMNFSLRWPRLPFYERVSYGLEVFVLDNTSGYWRPSAGNPPLCSVKKKDPAVFLNGYMHFLCVDGGIATFNISDETFGSLLLLPPGFEGVASVLTELDGCLCLCNGEPDSEDPIHVCVLRDYKEARWEKLCCIDRTAWPESERTLLDSLWIAPIGIYDSGCRQKIMFGTAISYCKPIEFDDNDGTFFAGRIGLGYNSEICKHVMVHITYKTKNLETRHYELQCKMKYVDDGYEWDPINPPPRPVAGIPPNFVNGKIYWMVDPNIGPVSAGCEVIAFNVETEEFEVLQGPPCTYGSGHMAILELQGTVCISYSDQNRNTVDLWMMKDDGTWLMEYHIVLDKLTEEAAPLAVDPTDGRILLNTGWSLGYYDPRTAAFETIYTMAFPNIKFCPIVCHESLLYPLN >DexiUA01G0007530.1:cds pep primary_assembly:Fonio_CM05836:UA:14138595:14145109:-1 gene:DexiUA01G0007530 transcript:DexiUA01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSIPSLGVPRFLLAGMSSGFRPLPRIGRSSTMAGFIVLRGSNKDWNKGWFYLKNYPKAMLPPFDPLGALAMGPRARLSLEAEATPRLPRLATVVRPHQCLVAPLMARPLRLFKMGPTTSEAELRSSLVSRMIPSEEDIQARLMKLVDTPQATALVIPTPSQPPATTVMSTPKRGGLRGTDRTVTTYRLPQRWAKTASVLGRPAELGLTGRPLASDPPPLLVNALDHALVTVVLAVADSESVWTNRRTPRSVSRPFGVRQMNRPQSPGWMARLCLLMPPAEQASHDEARWRNRLTGEADHKQKRQETKEGKEIRSDLESTTDDEGNPRGHSPLLDDPPMASFVTWGESRSCLPHPRGSSYGGNPLTSANPAGSTPALGLSPWFDEQSAWRRRGLWLGPGEASPLGCLRGTANPAWVKEVAPPPVAENASATRTWTSPPPAPTPSAVQASGIGVVYVLASSPVADEVATGTELAVGIEVAAAGAVSAEPTVGVVVPIADAKPATSGNPPQPADAASPAVGLEEATEVSRWSMEDLFGEVEARARSETQTPAAPQPLSLVPVPPPSSSGLPTEASRSGDGRGEGPSSLGDPEIDPTNIMEVNTWLEGLQWMATFPASLLEMASMLRTVAIPSSREEAINHARRAWESARAARDAKARARDEAASTDHTSTHQEALAALNAELDGLRSQCLRALPHSPLSSSRRFGRDAACCFPEYHAQADTLREEKAAVVHECIDAQAEREDAEGASAQLGQLVADLCTEAQISAQRIQVLESALTTSNKSAEEKAVEVDVSCGRWDFPSEHVALTLRDVGDASGRILTQLGFPLSEDDKRLLGDLHRAAECCGALRTMAAAVMVELELWTSGDPAHLPVELHCIPQRVGAIAKQALVRGVMEAFTLVRSHYDGIHFDRMATGFTNEYTMEDQDALATEVQEPAQQFANGLLLTSDAQGNPVDGADPNQALKTCFSPLSSGARWANQTLEFYRAPEPRNTSEPPSPLRPVPSFASLEVIIEPRASARGYKRCAWVLSDTWPLGMLCPRPLAAATWPASGGDLA >Dexi7A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:7A:18405537:18414128:-1 gene:Dexi7A01G0007070 transcript:Dexi7A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLRLGAITRTFCPSQLWPCGARPCVDGRRRGDHDDGRGDHDDGVPGPRVARAASRLRTRNLGPSAAIAKHLGKAWRLQRPDELSAYPPPQDFAVAAPSALPRLVPCRPRPRSMRSAALRNRVVHETTGNGNARGRSSLTREPGPTRQPDRALWVATAHSAHESAHLIHQRGRGGSPTRLQQRERPPGGGRQWCPWPTVIPWPVALGDRRSGSFALAALTTTRLGDAGPTDLSGSSTSTRGRGKNKRKWTVAEDKELVGALYEISLDPRWKGEGGFKNGYCSVLETRLAEKLPTSGISASPHIESRVRHRTKYGAIEVMLNKSGFDWDQNSNMLQCEKTRYEEHYKYHPEAKGLYGVSFPYYDTLSAIYGSDIATGEGAEGISEAVGNLGQELATEHGNHQEIDEDRMSRETPVRSTDSASSSFKKRKSNTKGKDYGSISSDPILDMLSEVQGDLKGVAKNVGKMADAMEREAAIQEKALNNDPQQTLREKAVAELRKLGFTGTEQIKVAIVCL >Dexi3A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:3A:4040051:4042261:-1 gene:Dexi3A01G0006200 transcript:Dexi3A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHGGEGTNDWEGPCDPLLMQDYFDDVYYLLDIPNIIDPPPPLAAQPPQTATAGYSGDNRPSTSNNAAVDVNVTVPTPPTHIAQVATDPPCASRTQDVVGSSACTSSATASTSAAAAAMHKNALDCTGCHVLREVVHSNGFGEATRLCVHGAAGVFYHATLEVYHVNSEGLATAMTHQSYIDSFRGRDYLWVKHYLADYAQQRASGGYTVIRDSISAFHDALCSGMNYGGKAAADGRRGGEMEAAVVENGGGSSRPQQQELAGAAPTIIDQGHAAAAAAAAAGPSSIPSDNNEQERQEVVRQPVGRSALAIQRERASNLKLADLARYFHLPMAEAATHLGVCATVLKSTSRKFHIARWPHRKIKSINTHIAKLREKGGNDGMREMERLIEARRKIYAKLLGHQ >Dexi1A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:1A:759420:760741:-1 gene:Dexi1A01G0001180 transcript:Dexi1A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQGKLFGCTVDGCGSRFSIKANLQRHVKEFHDEENVTKSNQQFICKEDGCGMRFSIKANMQRHVKEFHGDENVTKSNQQFICKEEGCNKAFKYLSKLKKHEESHVKFDYVEVVCGEPGCMKMFTNIECLRAHNQSCHQHVRCEICGEKHLKKNIKRHLQTHGEVPSGERMKCAFEGCERSFSNKSNLTKHMKACHEQLKLFTCRVAGCGKAFTYKHVRDNHEKSSAHVYVEGDFEEMDEQLRSRPRGGCKRKAMTVETLTRKRVTISGEASSLDDGAEYMRWLLSGGDDSGQAAQ >Dexi9A01G0033440.1:cds pep primary_assembly:Fonio_CM05836:9A:38385907:38390141:-1 gene:Dexi9A01G0033440 transcript:Dexi9A01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQAVSGPASAAAPNPGKRKTRPKGKGSKNKAKKKLARSDQDDSVHRRRNKPSAKFLKLLRKRARDYNSDDDEEEDGQQQGHPPSPRRRRRDDDDDGGDDDEEALSHSDQEEDEEEDGVSTSAVTRFEQGCRAFRVAFLKIMAKKLPDDPLGPILSAHKKLVAAKLAEDAEEHKPKREARKEKRVAAEKGHVIPLDHLDSKEKELIKVATKGVVRLFNAVSKAQKPRKDLNPSRTKDAKVLAKERKNTFLAELEMPSHQDKKSQAPSNFSKRTCKDEDEPAWAPLRDTYMLGSKLKDWDKMKDSAALGERAEVPLGESSDEE >Dexi5B01G0022590.1:cds pep primary_assembly:Fonio_CM05836:5B:24845157:24848719:1 gene:Dexi5B01G0022590 transcript:Dexi5B01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRPPPSPLVDSYVVPGDVVLDLSEMTNQTIKLGTGLRQECDTIQATSAGMLRLSKPNKYWVESSQKRYIPSVEDTVLGIVVDTKPDVSIHLDSEICELVFPPFLDIGPKATMDPGQGRTGPNQMDIKGPNLAFLPVLAFEGGTRRNIPKFECSFCLLYLHPATGILLQIGTLIYARVVKANSIMNPELSCMDVTGKAAEFGELKGGYVFDSSTGMSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPAADTVILVSNAIMRQ >Dexi8B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:8B:7440583:7444302:1 gene:Dexi8B01G0006360 transcript:Dexi8B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSLSSHSSTPAVCSRSWSISEDSLRRYVSYASESCIQELLAASDSGRGSGDGDDDGWKVLVYQNGVEISKRRTGPAHVFRSRWLLQDVSPEQFMAAANAVDAAKQWESDQLVEASYIRELGEDLSIIHLKFDSSSSSTRRPARRRDLVVYERRQAMDDGTLVVAVASLPKEIAAGLLPPSSSKGGRGLLMQSGWVVEKLDAGDVGGGGPSCVVTYVVQLDPAAGWLPRCIVSRLNSKLVMIIAKLTKIAQATVPVASPARSD >Dexi5A01G0028530.1:cds pep primary_assembly:Fonio_CM05836:5A:31765506:31766929:-1 gene:Dexi5A01G0028530 transcript:Dexi5A01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSWLTACSPWSAFATLAALMTGVLVLSYVSSSFFNQQAPYEYDDPATTAAVASTVALVPRKGIGYPPVFAYYITGGHGDCVRVTRLLKAVYHPRNRYLLHLDAGAGAYERARLANYVRSEQAFLEYGNVHVVGKGDALDGRGPSAVAAVLRGAAILLRIGADWDWLVTMGAADYPLVTQDDLLHAFSSLPRDLNFIEHRADSETHNVVVLDQNLLQSTNAEISFSSGHREKPDAFELFKGSPWAILSRGFVEHCVAATDNLPRTLLMYFSNTLDAAEFYFQTVMANTPRFRNSTVNHSVRSGVPPPQALDQRARYDALVGSGAAFAGRFGDDEALLQRIDEEVLRRPLDGVTPGEWCAGGTGEEGAAAECSVGGDINAVRQGAAGKRLASLIAGLVGNAACHGCKS >Dexi9B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:9B:5749954:5751941:-1 gene:Dexi9B01G0009320 transcript:Dexi9B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTWTWVVFLLLALVSSSSPSCLAAEAPSSPQQPSAAADDLAVDDGLRTGYHFQPPKHWINDPNGVMYYKGVYHLFYQYNPKGAVWGNIVWAHAVSTDLVDWVMLPPAIYPTAPFDVNGCWSGSATVLPDGTPVIMYTGIDGENRQVQNVAYPKDLSDPYLREWVKPDYNPVISPGPGMNAAAFRDPTTAWLGPDGLWRLVIGTKDNHRGLAVLYRSRDFKRWAPANRALHSGDTGMWECPDFYPVNDTKHVLKVSLDLTRFEYYTLGEYDRDTDTYTPDPSLADGNDGLRYDYGNFYASKTFLDTSKQQQRVLWGWANESDSTADDIRKGWAGVQAIPRKVTLSPDGRQLVQWPVAEIESLRGAHVNVTDVLVKSGHHFEVAGLASASQADVEAAFQVMDMDMDKAEAFDPAWRGVDAQAVCAARGADAKGGVGPFGLWVLASGDGGQEERTAVFFRVFKDGDGGKHVVLMCNDPSMSSKADGLYKPTFAGFVDVDLAKTGGKIALRTLIDHSVVESFGEYGKTCILSRVYPTKAVGDKARLYVFNNGESDVKVTHLNAYEMRSAKITSDTAEQTST >DexiUA01G0003570.1:cds pep primary_assembly:Fonio_CM05836:UA:7277058:7278083:-1 gene:DexiUA01G0003570 transcript:DexiUA01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAALTDDVLAEILLRLPSPRDVARASASCASFRRVVSTRSFLRRFRRLHAPPPPLGVFCCYPAGSGGGVTAAGSQFHASVPPHPSAPLARAVARSADFSFAFLPPPAADWLVRDCRDGRFLLDRAREDGSTAFTEIAICDPVFRRYRLLPTIPHDLAASVENPYVQRGGGDGDLQSRSSEIFLASRSSDDDDSVSEEEVPAFTVIWMACCRGKLVAFFYSSESQQWRVLSPPEYYALSTRRVMGVRLGQRNHAHGCFYWIVALTHRWLVLDTRKMEFSIVDISPVLSGRAMMFSNQITTLESSDGMTTVVVSDVFRPDKRVIHVFQRLVAASEQSHLA >Dexi7A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:7A:29038621:29040628:-1 gene:Dexi7A01G0020150 transcript:Dexi7A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSTWAVRGGGAKPHRTEKRLLPSSRVPHQAAAAASISRAKIRANAPAICGSQAEVGGAPRRIQLRAGVGMAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVESEREQDDPLKLSGKSRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGAS >Dexi3B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:3B:8812745:8813029:1 gene:Dexi3B01G0012550 transcript:Dexi3B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSRDGSDTLHRAGIATSRYGATVARPPALPPYAPTAAESLELIVGRPWLPLAAITATAVLHMSRWRERGWGNEPTRGAARPARSRGERGG >Dexi9B01G0040470.1:cds pep primary_assembly:Fonio_CM05836:9B:40994348:40996760:-1 gene:Dexi9B01G0040470 transcript:Dexi9B01G0040470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDEHRMEMSIVERHHLPHSSHGNGNADADIEEEHLWPTKDGPLPIFLKFENVEYTVKMTFKNPFTVARVAFASQMRVDQGSTSKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGIKGQITYNDTPYNPYLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPLHMSKQQKRDRVDAIISELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKTHRTIITTIHQPSSRMFHMFDKLLLISEGHAIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLATGNLDDITVPDALHGSPDPQEFKSQVIRHLQLKYKQSTATAAAGGGVEAASRRAPTEQLRLAMRARKDRRRIGWFQQFMILSRRTFRERTSDYLDKMRLAQAVGVALLLGLLWWKSKIGNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRQTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLMYDCGSRGGCRRLQSSPSFDTVDLDGSMREVWILLAMALAYRLLAYFCLLKRISFMPL >Dexi9A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:9A:14448427:14451562:1 gene:Dexi9A01G0019530 transcript:Dexi9A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQWLEKGMPPSNLQCFLDCTTPTVETHILPKTNGRLSNDTWNHAEMDSVEYFNLVDLWEQYYEWSAYGAGAAVQLPGGEKVVQYYVPYLSGMQLYTNKVLTASRSFGEDNGMDLWSDDDDNEKMSRSWSSTSDESLFNCDVFGANRKRPGHLYFEFFEVGSPYVRVPLVDKIYELSQGFPGLTSLKSSDLSPVSWMSVAWYPIYHIPYQRKVKDLSACFLTYHTISSSFQDHALETMTNAGYHPVVNGKQNGHMDRKSNTVSLSPFGLAAHKIQGSLWTNPMTGDRKTMDSLFSAADSWLKQLGVQHHDFNFFINHPM >Dexi2A01G0036610.1:cds pep primary_assembly:Fonio_CM05836:2A:46111797:46113783:-1 gene:Dexi2A01G0036610 transcript:Dexi2A01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDHIELHQKRHGKRLDYEERKRKRQAREVHRRSKDARKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKADDDVQEGALPPYLLDRDETKRAKVLSNTIKQNRKEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKRNVICYSSAWQMIFPSNGREWLLKPPLLELDLQGSRQSMNGSLGQLVLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Dexi3A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:3A:11400460:11401466:1 gene:Dexi3A01G0015340 transcript:Dexi3A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWFHASSGAPQTVATAPERPRLQHPSLDHLLRGAAVVRRHEVPCPVHHHVRERAGALHPASSPSPPRVRHTARSASRKARSPSQSTSASHASVDTTPSLRSRMPWYSSTRVRRRSSDSTCGLTLFITSACIAAPTASLHSSHATSPRAPSAALASARFRNAATGSVWYGRCLAASYSVASPVMFCSRDSTRPRASAASRHRSARVSDTASACSASSSRFCRASTSPEK >Dexi2A01G0035260.1:cds pep primary_assembly:Fonio_CM05836:2A:44946871:44952476:-1 gene:Dexi2A01G0035260 transcript:Dexi2A01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLGQSLSRRLHRPLFVPPPPLPPPPTDYHAAFVRSFSPTHTGACLRGFASLTHNSSSVIGHKLGGPSPVHIVKVLNLVVDLDHNRQMSTATASKAPVGARKVGLKVVMMSPGFVYEPYSPRERIPFWKRWFTLSGWRRTKEDIILEANTLMAHGDTSALRKILTERMHSTMKNEIKRRQSKWSSVHWELVEPAVSIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQVLVKDIWVFERSLFHPGAYWRVCGRITL >Dexi3A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:3A:14800455:14803857:-1 gene:Dexi3A01G0018970 transcript:Dexi3A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGGGGGSAHRASGGKDSRSSTRHRQFRDRAKNRVDDLQEMFSGLQSARKESRSADAAVLEEQVHQMLREWRAELSVPSPASSLQASTLNPSASHFPLSCAVDRLVLIGRRGTAQNSQGNNREASNPPSETLRLLHLAVAEEEDDATSKLTAPRSPLQVPAFHQNQGHGQGVQMPNLDQQCEAVAGGAPPSQQSDQGVQGGCGQVAAVADAMFSDQMYYIDHEFSIDDFLQDDDCKINLPGSNEDHFNNLHEAGPLEHQQFDLPLDLPPNSYIEANTSEQNTEDVFVHMSDLLTTIWPSPSQYLGPKCALWDCGRPVGESEDSGDYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFSALIAKVQGKNVGIPVCGGAATSKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFAGLKRSYYMDPQPSSSHEWHLFEYEINASDALALYRLEYKCSYSKKSAKSKLASSSLNEIQQQMVRLTADSPVENKRTTRSKPKANNKNANKNVSGHVNTPSQVNAPNAYQAAPQVNQMTFLKESVVYGPHLPHSENVVYGPHLPHSENVVYGPHLPHGYSAEGSSFFWNPRDGT >Dexi6A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:6A:26543724:26550070:1 gene:Dexi6A01G0018940 transcript:Dexi6A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDDAAPAQPQRMGLVSPYVQPLPHAMDPVPVPARHAALRVRSFGGDEPVASSASAAQRHDPARCATFPQRHGDTAAHAPAASFAAWVGGGVSAAALERALTETEYAGGGDGVGRALPEFIGAGGGEGIFRVPLRAAMHPGRPPSLEVRPHPLRETQVGAFLRTLACDPRRRQLWAGAESGVRVWALDEAFDAWPGDAALRRGDEESAPFRESVPTPPTLCAAVDGANRLVWTGHKDGRIRAWRMDLTTAAGGGGGNATLFEEALAWQAFSRTPVLAIVVTSYDHCQAKVWSITSMTFALWDARTRELLKVFGIDGQVDLARLEAPVMPEQFIEEEIKVKPTKKEKPQGSFTFFQKSRNALIGAADAVRRAATKGTFVEDNRRTEAVAQAMDGTIWSGCTNGSIIVWDGSGNKLHEFQYHSSSVQCIKALGERVWVGYASGTIQVMDAEGNLLAEWTGHSCPVITMAIGGSHIFSLAHHGGIRGWPLTSPSPLDDILRTELANRELSYTKIENIKILVGTWNVAQEKASFESLRSWLGSALTDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIGAWARNDLKPHVGDVDAAAVPCGFGRAIGNKANGNQDDEDIPELAEADMVVFLGDFNYRLDGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGYDSSEKKRIPAWCDRIVYRDSRPVSIAECSLDCPVVASVTAYEACMDVTDSDHKPVRCTFSVDIARVDELIRRQEFGKIIESNIEVRSFLWESHVVPDTIVSTNNIILENQEDVILRISNNCETRKAAFEILCEAQSITNKDGTKYEIPPRASFGFPLWLE >Dexi7A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:7A:22349156:22350973:-1 gene:Dexi7A01G0012000 transcript:Dexi7A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSAAISISAAAAVVSRPAGRRRHRGSASFRCSTSAAGERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRVKKGDRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLHTAAYRQEQRWKLCYKNIKWIEGDALYLPFTDCYFDAVTVGYGLRNVVDKSRAMQEIFRVLKPGSRASILDFNKSSSLFTASLQSWAIDNVVVPLASGYGLTEEYKYLKSSISQYLTGEELEKLAKEAGFSVAKHYELGGGLMGNLVATR >Dexi7A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:7A:25976720:25976926:1 gene:Dexi7A01G0016040 transcript:Dexi7A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRPHTESQPRSSNHFAPVTHSSRPFFQPPSATAPLPPRLAAGHRRTGRPSLPRGPNRT >Dexi1A01G0027660.1:cds pep primary_assembly:Fonio_CM05836:1A:33388614:33391469:1 gene:Dexi1A01G0027660 transcript:Dexi1A01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRLTPNAVSAALAGDTNLKPVVQVVDLRSIAVNGPPSKGPRFRAIISDGVATTPALFAAQLCDLARSGLVRRGAIVQLIEYIINTVQKDRRAMVILNMEVLVPECEIIGNPALPPESGVSNSNSMRADQFNGAPVLTTGITLSTVPRSIDNAPVLQRSTAGNSSNINPSPRDNPQVFQPTVQPSYRPAPNYRNHGTIMKNDAPARIIPISVLNPYQGRWAIKGRVTAKGEIRRFHNAKGDGKVFSFDLLDSDGGEIRATCFNALVDRFYEAVEVGKVYVVSRGNLKAAKKDYNHLNNEWEIFLENQSTVELCPDEDSSIPAQRFSFTSIDKIEDSENNAIVDVVGVVTSVNPSTTIQRKNGMETQKRTITLKDMSGRSVEVTMWGDFCNREGLQLQEMVECGTFPVLAIKAGRVNDYSGKSVGTISSSQLLMNPDLAEAHSLRQWFDCGGRDASTQSISRDFTPAASRNEIRKTVAQIKDDGLGMGDKPDWVTVKATVVFFKTDSFCYTACPNMTGDRQCNKKVTKSDSGNWVCDKCNQEFPECEYRYLLQLQIQDHSGTAWATAFQEPGEELLGCSARELYMLKENEDPRYTDILLQGLYQMYLLRLKVKEETYGDERRVKNTVAKVERIDPSAESKFLLDGFSRLTGLY >Dexi4B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:4B:1320772:1322306:1 gene:Dexi4B01G0002120 transcript:Dexi4B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLHARAEDQGNAQPQYNYFVEEREHILTHYNTIRHTFREGCLYIDAADLSAQVCALGFTAAHMAAEVMDSIGGISAVDSTGGISADTIHATLKVYVDVFVRAAEYCYKKRFMKRDVLSFLDALRGLASISHILLEASLEALSHTHPRESLSEYAYNCDIKTMHREFNLQMTNLEDDIRNTETMQETGKLVLPTILKGVKATESILVLMMARRKRALEKASKVVDSGGASPKF >Dexi4A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:4A:15201726:15207771:-1 gene:Dexi4A01G0013980 transcript:Dexi4A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVHHQNADDNVDGSDEWTVTVPNAVVEHQNARGARRACCTPALLVSLFSRAAAPVRRMVAFAVAAWRWMLLSAAMARDRAVGLARTAWKIGADDPRKVAHGFKVALALTLCSVFYYVQPLYVFTGHNAMWAVLTVVVVFEAMATLTGGALALGVQWIASKSGKELEPFILSGSLFLFASVATYSRFIPTMKARFDYGVTIFILTYTLVAVGGYRVDEVVFMAQQRLTTIAIGAMICFAVCALISPVWAGQQLHDQVARNMDKLAAAVESCVEDFFSEAAGVVDAGAGEMPARRALSEKSQGYRAVLNAKASEDSLANLARWEPAHGHFGFRRHPYPLYQKIGAAMRCCAYCVDALAASVGSETQAPAQVKKHLASASVTLSRHCAAVLREASGSNLALEQAPPLIEVLPLFTAASLLLEICTRAEGVVSAVDNLATMGRFKKADSDEESALDVEAAVPVAMSATITVEVLQEAHDKVGVEHDKTETADDQSSDKSPRDQVGEVIKVLTRRRSTKKRARGDTKLRPKPPIEFVVHAPSPRSSRSMELTGHAPFARSPRHHHHSGELVGHPPVAPSPRNRSVDLANHGPVLPSPRNRPVDFTSHGPVLPSPRNRPMDFASNGSVLPSPRNRPVDFANHGPVLPSPRHRTILGTA >Dexi8A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:8A:21193188:21195504:-1 gene:Dexi8A01G0012190 transcript:Dexi8A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVMIRLAGPAAFLPPSPAPSSSSLRPPGARGRAVRLRVACRAGGGDGAEGKEEAPESLFARELRRRGMAPGSTPAEAKEAEEGGAEAGRKRGVAAAEFERGAAADGQRERSMALNSEGLEGLVPRAKLLLSLGGTFFLAFGPLIVVTISVFAGLYLYFGPNFVHDASKNPVSPPPYIDPYELLEDERLTRPSPDVF >Dexi9A01G0048730.1:cds pep primary_assembly:Fonio_CM05836:9A:51464749:51465919:1 gene:Dexi9A01G0048730 transcript:Dexi9A01G0048730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPAASLPLPRRASSSSSSTVNARRPGVPSLRKRHCAVAPVAAACSPAPPRQLDNEEEGSGRRQVLVAGAAAAAAFISRPSPAAFAAEAKKGFLPVVDKKAGYSFLYPFGWEEVAVQGQDKVYKDVIEPLESVSVNSIPTSKEDIRDLGPPDKVAEALIKKVLAPPTQKTKLIEAKEAFLLVRVDRTRAD >DexiUA01G0026350.1:cds pep primary_assembly:Fonio_CM05836:UA:56277623:56281983:-1 gene:DexiUA01G0026350 transcript:DexiUA01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVAAAVLLGRLLPPLLLLAVAYASYDGAGLPPISRRSFPDGFIFGTMRVVPWKVEEDRAFGTSSHTSTQAYKIADRSNGDVAVDSYHRYKEDVSIMKDMGMDAYRFSISWTRILPNGSLDGGINREGVRYYNDLIDDLLLKGVQPFVTLFHWDSPQALEDKYGGFLSPSIINDYKDYAEVCFKEFGDRVKHWITFNEPWSFCTSGYASGTFAPGRCSPWEQGKCSAGDSGTEPYTFTKEQTELLKGAFDFIGINYYTTNYADNLPPSNGLNLTYNTDARANLSGFRNGVPIGPQAASSWLFVYPRGFRELLLYVKENYGNPTVYITENGVDEANNKSLSLQEALKDDTRIDFYHKHLIALQSAISDGANVKGYFAWSLLDNFEWTSGYTVRFGINFVDYNDGLKRYPKSSAHWFTEFLKK >Dexi5A01G0023940.1:cds pep primary_assembly:Fonio_CM05836:5A:27870292:27871508:1 gene:Dexi5A01G0023940 transcript:Dexi5A01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWVVRFIDTNRFISFSAEGKIAILAMLVIFIWSNAAPLLDIPPPRIPEVIISEHAFRQIAQTIHYKLAYTVDALYDIACGKDLKKFLLVRNNQLLCYYCEKNHVTIIPVIVSLLILSEVGSSYSFTSLLYLGFLCAHTLPALYERYETEVDHLAARGSEDIKRFYKRLDSNLLNKIPRGPVKTKLT >Dexi5A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:5A:20624279:20629266:-1 gene:Dexi5A01G0017430 transcript:Dexi5A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTGSSGRAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNVTQYERPMPPESQLPPPPPPLPPPPPRSRDRRERSRSRSRTPPRRDHRDRDRDRDRDRRHDEHASSKSASSHHHPAPAAAAVADDPSTEAYRRRHEITVTGDNVPAPITSFEAGGFPPEILKECLYGGAPKGPQLKDLDRGVDVVVATPGRLNDILEMRRVSLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPHRRQTLMYTATWPKEVRRIADDLLVHPVQVTIGSVDELVANSAITQHVEVIAPSEKLRRLEQILRSQDSGAKVLIFCTTKRMCDQLARTLTRHFGASAIHGDKSQSEREKVLNHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYATDLIKILEGANQKVPRDLEDMASRGGGRGKKRNRWASRPDRGGSRSELDRYSGRSPRGSRGRDDYGGRSRYDNGETDGRSRRSARGRSRSRSRSDSRSRSPSPKRPRRHEATRGRTKSRSRSRTKSRSRSYSRNHRASRSRSRSPVASRRYEKTAAVSGSARLNSGHTEHKSPPRSHSGNDHVNHSDHKDDHHMEEGKMEKVDLDRSPSPQDEKSAPYSPVYNGKASGSMSPNGQPEADAKSVEVSEKPEPASPVRQGKSRDDDEEGIIDEDGEIAEDDPRSTAAKQNGEN >Dexi3B01G0030660.1:cds pep primary_assembly:Fonio_CM05836:3B:30786006:30787855:1 gene:Dexi3B01G0030660 transcript:Dexi3B01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVNGVLNWVGTPAMLASLLLFYPPYYLFKTCYSFLSWLFPEDLVGKVVLITGASSGIGEQLAYQYAMKRASLVLVARRESSLHQVARRAMELGARDVIVLPGDVSNPDDCKRFVQTAISHYDRLDHLVCNAGIASVGAFEEIPDVTNYSSQLDVNFWGSVQTTFAALPYLKRSRGRIVVTASATGWNPVPRMSFYNAANAALINFFETLRTELGNQVGITIVTPGWIESEMSKGKFLKEHGEMEVDPEMRDAQVGLFPVEYAKNCARAMVQVASQGERYLTVPAWFRAMYLWRVFAPEIVEICYRLLYMHGHGARQTDAPSKMMAEAGGKQLLYPSSLCSDEVKSN >Dexi1B01G0028530.1:cds pep primary_assembly:Fonio_CM05836:1B:33038883:33044594:1 gene:Dexi1B01G0028530 transcript:Dexi1B01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLQAATAQQQVVVPVGVILDQASPIGQRRKVGIEMALEDYYAAHPASRTKLSPRFRDSGGSVVGAASAAVDLIKNEQVQAIIGPTTSAEAEFVAYLGNSTHVPILSSSATSPEVSPSQTPFFVRTAANDSFQAAPIAAVLAAFGWHAAVVVYEDSPYGSGILPALSDVFQVVSGTRLMERAAVPVDAGDDHIDEVLYGLMAMPTRVFVVHMSPPLATRFFLRARIAGMMSSEDYAWIATDSVGAVVDGLSSGDVDAMEGVVSLRPYVQVTEQVRNFSARFRERLRRVDPSADFYTHDPTVSMLWSYDTAWAIAAAADASATGGVSSSPAFQTPQPQTRTTGSTDLDRLGVSATGAALLEAVQNTSFLGLAGNFTLVDGQLQLAAYEIVNVVGKGARPVGFWTPEAGITKAPGGGAKGLKAIIWPGDSKFSPRGWVVSPNGKKLRVAVPVKGGFKEFVNVANDSTAGGQKPNVTGYCIEVFDAVMSRMPYPVSYEYVPIPNSSDSYDKFVSLIPEQKADIVVGDVTITASRMASVDFSMPFTDSGWSMVVAVRAETSTSMWIFLRPLTTSLWLASLAFFCFTGFVVWAIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELQRSGAYIGYQEGSFIRDSLLKIGFDEAKMRSYSTAEEYADALSRGPANGGVAAMFDEIPYLKIFLSQYCDGFAMAGPIYKTDGFGFVFPMGSPLTPDVSRAVLVLAEGDEMALIEKKWFGEPGACPSQQGGGGGAAVGSSSLGFQSFGGLFLITGVVSGLMLVIFLATFVYRERGEVRYDVEEGVGGGSGGSSVRRLRAWLRHFDQKDLKSPTFKTWNEESVRDGSQTRRWVDDTVRNGRRGGGANSTVMPAASEEEAVIGMSPYSISASSEMINAGLSPASELGTSSFEQRMEEAPHSAETPQSVEMPELSQANH >Dexi5B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:5B:9863855:9864532:1 gene:Dexi5B01G0013690 transcript:Dexi5B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLLDGVVVEKHGMVAAGALVWGGNPAKFLRKLTADEIAFIAESAGNYFKLSKEHAAENAKPLEKVEFEKVLRSKFAHQDEEYDSTIGVTREASPELAPPTPAQ >Dexi7B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:7B:21732354:21733051:-1 gene:Dexi7B01G0015660 transcript:Dexi7B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALLLVLVVATVASILPPSSCQAAATSKCEYPKHAGHGYKHPVGVRKVVVDASGAGDFTSIQQAVDSVPVNNTVRVVMQINAGTYREKVLVPASKPYITFHGAGRDVTVVEWHDRASDRGPDGQPLRTYNTATVTILSNYFTAKNISFKVQTKWQR >Dexi7A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:7A:18111166:18114767:-1 gene:Dexi7A01G0006780 transcript:Dexi7A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGLSGSGAPPGLRLPLAAVAVKPKRRSSRVAQTPPQPDARIPGTQTIYVKTFGCSHNQASFPPRYFLLATFNCVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPADRSTMLRIGMTNPPFILEHLKEIAAVLRHPCVYSFLHVPVQSGSDAVLTAMNREYTVGEFRKVVDTLCELVPGMQIATDIICGFPGETDEDFAETVNLVKEYQFPQVHISQFYPRPGTPAARMKKVPSNDVKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVLVGEAPKQTSVEPQKEHRQNQVEEAGCCATDSCGTCACSDARTIHIPWRESMPKVAVR >DexiUA01G0015950.1:cds pep primary_assembly:Fonio_CM05836:UA:34140248:34144316:1 gene:DexiUA01G0015950 transcript:DexiUA01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRATEHGYQNKVLRALRRSAGMLVLYALLCGAMAVAMLKLPGSFLPTEDQGEIMVQYTLPAGATAVRTAEISRQVREWFLTKEKANTDVIFTVEGFSFSGSGQNAGMAFVSLKNWSERKGDENTAQAIALRATQELGSLRDATVFAMTPPAVDGLGQSNGFTFELMASGSTNRDTLLKMRNQLIGQANQDTSLHAVRANDLPQMPQLQVDIDNNKAVSLGLSLSDVTDTLSSAWGGTYVNDFIDRGRVKKVYIQGDSDYRAVPSDLNKWYVRGSDSTMTPFSAFATTRWEYGPESLVRYNGSAAYEIQGENASGASSGTAMTRMEQLANNLPAGTTWAWSGLSLQEKLASGQAMSLYALSILVVFLCLAALYESWSVPISVILVIPLGVLGAALAASLRGLSNDVYFQVALLTTIGLSSKNAILIVEFAEAKVAEGYSLTRAALRAAQTRLRPIIMTSLAFIAGVTPLAVATGAGANSRVAIGTGIIGGTLAATLLAIFFVPLFFTLPISVLTRPSLPPTAVVSQWQQVMNDHRLNRVVTMALTSSRDVQKAIADIDAARAQYGETRSSLFPSVDASLSHTRSRTLASGVSTSDEANGAVSSFELDLFGRNQSLSRAARETWLASEFTAQNTRLTMVSELTTAWITLAADNSNLALAKSTQESAANSLKIVQRQQDVGVAAATDVSEAMAVYQQARTSVASYQTLVMQDKNALNLLAGETVPENLLPGTLESLSDNAITLIPAGVSSATLLRRPDIQEAEHNLLSANANIGAARANFFPTMSLTASAGVGSDSLSSLFSHGMKVWSFAPSISLPLFSGGNNMAQLRYAEAEKKGLIATYEKTIQSAFKDVADALARRETLSEELDAQREYVAAEQKTLDVATRSYQVGAGDYLTVLTAQRALWSARASLITLQQTDFENRITLWQSLGGGIQ >Dexi9B01G0031140.1:cds pep primary_assembly:Fonio_CM05836:9B:33564714:33564935:-1 gene:Dexi9B01G0031140 transcript:Dexi9B01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIASSWIKPAALGPPAISSETELRRRYRPAESPPLLCPLLFGHAELQHDTPEAGPAEVRGGGGWLAATGEW >Dexi5A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:5A:11540866:11543339:1 gene:Dexi5A01G0014470 transcript:Dexi5A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRSPLLAPANRPQPAGAMRIFVLSGQSNMAGRGGVHHRRWDGVVPPEAAADPSIQRLSAALQWEEAREPLHADIDTTKTCGVGPGMVFARAVLPCLQEDGPGEGAQTGIGLVPCAIGGTAIREWARGERLYEQMVSRARVAAQYGEIEAVLWYQGESDVESDAATAAYRGNIEVLIANVRADLGMPQLPFIQVALASGNKRNIEKVRSAQLSINLPNVVTVDAMGLSLNEDNVHLTTESQVKLGKMLADAYIGNFLETTC >Dexi1A01G0029200.1:cds pep primary_assembly:Fonio_CM05836:1A:34739884:34742224:1 gene:Dexi1A01G0029200 transcript:Dexi1A01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEAGVNLGKQMEKEKEKAAADEGDEGTIERSHSINLNTVPPVAVGARSTQENVGTHGVGVSGAKDSITGKSEQSSDADQKKLPKCERVDYESEVEGCENPSDKAALVTVVGNEGHADFRDDERAQVLSIVKKDEPADEVDDPITPVAVAVAVAAYREEKGASAEISTVRPAGSRSSSFHGVTRCAWRVCPSNLKLLNGSKWRYWHRWSGKYEAHLWDSSCRVEGRRRKGKQGTHLFLAVLAGPFYLGSYDTEEKAARAYDVAALKYWGENTRLNFPISQYEKEQEDIRDLSREECVTYLRRHVINLAHNPFVLLLREMTTTGLLFLPAGGAAAFQEGLLFIEE >Dexi1B01G0028930.1:cds pep primary_assembly:Fonio_CM05836:1B:33338206:33338642:1 gene:Dexi1B01G0028930 transcript:Dexi1B01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVEVEQAVFGAEEVVVEQAVFEMEEVVEVEQAVFETEEVVEVEQAVFAAAGHFLSTGGGGGGGGGGDFANSGARGIGDCGGGGGGGGGGA >Dexi3A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:3A:8717281:8721705:1 gene:Dexi3A01G0012060 transcript:Dexi3A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVVAIAGDGDDSARRPLIASAEEIHPYAESSSPQRPLLDAAAAQPEQQRKTQRVASLDVFRGFTVAMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFIIGVSAALVFKRIAIGYFLAAISEIWLVNNNLVDSPVSFVKKYFMEWIMAILITVIYVALLFGLYVSNWEFHVEPSNSTLSIPSNLVEMKTECSLNSPDYGPLPPNAPDWCLAPFDPEGLLSTLTAAVTCFVGLHFGHVLIHCKVDVIHIKKPFILFQWMGMNALIVYILAACELFPTLIQGFYWRSPENNLVDITESVLQAIFHSKRWGTLAFVLLEIVFWCLAAGFLHMKGVYLKL >Dexi3B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:3B:13765067:13769285:-1 gene:Dexi3B01G0018540 transcript:Dexi3B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAASLGLRTGSYGSLPAAVGGSGGGGVVRKTGGRGWALRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKDDSSSISIVNNYEVPNAIQKSVYPSTTRPLMMSGNQYSSVVNKIEIPNRLHLSYANFTHPCEGFSVPPPLVDKKRTGPRHQAFALMPLQASTSPVLKNLNYISEEGIIANLSSPGSAFGGHPSLEQRNESFNINESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVVQAYHRELLEQMIASGRKPPSTTDAPPSRKLRPGSRKAPPSKKPSVKRKKEKKSSSRRRVPKPLAGGMGAM >Dexi9B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:9B:8621966:8622316:-1 gene:Dexi9B01G0012790 transcript:Dexi9B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAPGRRTWCCVEAVAEVNVGVEGADRGEHIEERGCGGALLEWAKAMSMWPAAEASVARRCWSRFRSRCRPAPAHASSPTPLCLSSPAAWSEGDSRAHGLELSVEMDEDVDLSSG >DexiUA01G0008240.1:cds pep primary_assembly:Fonio_CM05836:UA:15400430:15402970:1 gene:DexiUA01G0008240 transcript:DexiUA01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLSAVKILPFMALMAVCHVSVWVKQQQAGRSAEHHDPLRPGGKADWISGKSPARIQEGPRMCHWGWWAIAVTFRGLLGFILPSAGFRRLPSPPRWSRLQATFRNLHRPFTGSDFHRHLTKAGQIPTLVVWLPLFMMPCNAVRGAARIKPE >Dexi2B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:2B:1986383:1987231:-1 gene:Dexi2B01G0002370 transcript:Dexi2B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPKHQASTPARSSSRAPLPPSSPPPTVRSPRPSMSSSGLAADLGRLLETKQGSDVDFEVCGKVFPAHKLVLAARSPVFMADFFGPAKEKDTSYVRIHDMHPDAFEALLHYAYTDTLPPATVTTTASSPEGSPSPAAAAVVLTQDLLMAADKYKLKDLKSVAENELCTHNVGVNTVLPMLELAEHHGCVKLKKKCLEFIVSARNTTRAVMANDDLEHLARSCPSVVKEVLRKIMDAREATPGKNPLMVSVQHVLFYLFAFVYVVLIVAFGLCCVFSSK >Dexi1A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:1A:11749379:11750180:-1 gene:Dexi1A01G0012240 transcript:Dexi1A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEAGVRWRSSSPSSDGIAAAECRRHREAGLRTDAIRERAARPASLVRIRRQPLAPPASRARARPLAPVRRPRARPLAPMRRPRARLRAWGAARQPRSRPCARAAGRPRASCAPGRVPGRLPRRQRAWVPRNRDGRGASRGGTSMATDAWLPEG >Dexi1B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:1B:3296286:3305093:1 gene:Dexi1B01G0004140 transcript:Dexi1B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGRGGGGGSGGGGGGGGGGGGGGNNSRTDLLAAGRKKLQQFRKKKGKREPGKKAAEADADAEAKEGSAKAEEPVPEPKSPVGLKLLAGEGGSTPFEEAERSLVEQCNGEGSGTVESSSVENADAVQEQGTAADGSDVHSVGTSEQGSSEQRESMTADGADLAIQATGGDSSSDLVEGAQLGEVHVDRNLPYMTMKDNIELNTSSERDGADDDCNQLGEHQQVEMDPVDRPTSSDFEEVAEVLIPSQDTGVDYNSEGAQEMAVDVSGSQLDGDVQHDVEPTVSAEIVAETALEEESTLATSNEIPESTVRKGTEEVTDGVDREVVEENPSTTHVTEEAVTTNDLSLQAKHTGTVDIPLSEQNGDPALFRSAILQGIVPDHFEDIQRHLYSVTLSRDFLQLQLDEAAGLYSDVTQMSSDEITKLRVLLKEAEESKLAVSKELHQCRHELSEVNTVKGELELMMVSFKEEINTSNLRCTHLESELHSSKENTQQTQSELADSRLLLEALQKENLELTASLAFEKEAKKAVEEQRDHISSENRKILADLSGLELSLASMKEEMDAGSSRCEVLECELRSSNENLEHTLTELANCRALLESLQKDNFELSANFASEKEAKKKLEEGNVDLCNEKGRLSSYLSELNDKLHLSYAKHRQLESHVKDTEAYFGQLTEQLIGENLYASSSADIYQSVIQDLHTKYNVVLGQFLNIVHQESDLHLDSPKVTTENAERAIRSPGLVSHDCALNVANVNDSCNSTALQSLKRHLEVAKGDFHDLEKLLERISSRSDGRVLVSKLIKSFETKGNEDDTGSSEREHDDLRKSTREMMCRLGEKFMAMSSDITKTEEYVAELCNKIELSMKSAVQHDIDRKQTVILEAKMDELAGKLSNYKGAIDNLHNQVAIVQQDANSNAARLMDQAELLQKDAAERISILEKERMSLSDLLSEVTNKLTSLGTAVFPNDSGESEDVSFHALNCVDLVATSFHSLQEKLEAAQSDNAQINSSLMELRKENCAAQERSEQAFEIVKKLYDSLQEVLCDSFKTSDEFGTGYNAEEPIESQYGRLIEHLKNMLHDHRSMLSTNADLESRLLSKCEEVEELNMRCSSLTKNLNDVCILNEELKSASLSQNTSQDELHSRCFAVAEKLVSHSTTHSSVISQLVFDSDEGFSKEDHICTTLLPYIEEGVASCIEKFEKAAEEIHLSKICLQEINILDQISSEKWSDPLPTLIKEELAPKLCGLLDRIDQLNALNVQLETEVPILRDGMKKLDEALGTSRSELQKKVSELEQLDQKLSSVKEKLSIAVAKGKGLIVQRDSLKQSLLEKSGEVERLTQELQLKETMLKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSILQRIEEVLEDLDLPEQFHSQDIVEKIGLLSKMAVGTVPDGNKISSVDGHSEPGMAMDVINDEQNSVSNPASDELKSKYEDLHRRFFELAEHNNMLEQSLVERNSLIQKCEEVLGQINIPPQFRMLEAEDKISWLGNRLLEVEQERDSLQLKIEHLEDSSEMLIADLEESHKRISELSAEVVAIKAEKDFFSQSLEKLRFEFLGLSEKAVQDEFIRDNLRKDLSELQEKLAEKTEESRHYREMDVEIHKLLNLVQNTLQDGTNSEISSGDTSAVMLLGDLLRKLLDDYGTLLSKSTEGNLAEREIQLEDIKPSTDTSTSDTGTSDKEAELNSLNNEFDHARNNLALVEHQRDEAMEKAQSLMLEIETLHAQINKLQESDAEQMQKCQSLVLELESVGKQRDNLQEQLNQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTVIEKLKDERKQHIESLETEKLSLMDRLAENEKSLHETNQYLSGLLNALNKVDVAREFDRDPITKVEKIAQFCLDLQETVISSQNEVKKSKRATELLLVELNEAHERADNLQEELVKAEAALSESSKQYSVTESARADAVRHLEHIMHAQSQTRRKQIDHLMELNSTSSQLREVCFELSHCLVNAFSKDADLICYMENFMKSSGKWMDGTNMMDVPIASKHVLSNRINNKKAHIPSDPLEIKMDDTDERQILHHLAIACHTLSDCVKDCNDLKRSIDEHGFSVEQKATELFDVMSNLQNRLTSQHNELESLRAEIVELQSEMKEKEEQIVFAHRNMSLLFEACTSSVAEIEGMIDIYPGHRRYAVERSADESIKLIVEQLVLAVKTSQDSNEGSTKELKATVLELQQELQAKDIQISTISSELSYQLRAAESSAKQLSVELEDARMEVHHLSKKVDVLHNQNKILETEVNELKNMESVASDQHGKINELTDELSRKDQEIEGLMQALDEEEKELEVMESKNHELEQMLQEKEFALNSSEVSRTKALAKLATTVDKFDELHSLSENLLAEVETLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFMQWLEAALLQFGVYSDCDGAQVHVYMDMLGKKIGSLISESDDLRAKVQSKDSLLQAEKTKSEELMRKSDALEAPLSQKDSQIGLLRRDRASSQLSRSINSPSTSEIELMNDKASPAAVVTQLRGARKANNDQVAIDVDMEKDKPLVDEDDDKAHGFKSLTMSHFVPKFTRPISDRIDGMW >Dexi3B01G0024700.1:cds pep primary_assembly:Fonio_CM05836:3B:19366242:19367387:1 gene:Dexi3B01G0024700 transcript:Dexi3B01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSVHSLIVDVGSVDAFDVAKDLGIPVFTFYPTSASTVAIFLQLPSIRAPGQPSFKDLGDAHLNFHGVQPMPASHLTPEMLEDPQSEIYKVMMSKFRKNLDADGILVNTFGSLESRAVGALRDPRLLPGSKCTIPPVHCVGPLVVGSSGETKEKHECMAWLDRQPERSVVFLCFGSLGEAKHSEEQLKEIAVGLESSGHRFLWVLRAPALSDKERLFDPHTNPDLDTLLPDGFLERTNGRGLVVKLWAPQVDVLRHKATGVFVTHCGWNSVLEGVTAGVPMLCWPLYAEQKMNKVVMVEEAGIGVEVVGWQQGLVSSEELEAKVRLMMESQEGERLRARVTAHKEAAAMAWKDGGSSRAAFGKFLSDAAACQGTRNTRV >Dexi2A01G0023740.1:cds pep primary_assembly:Fonio_CM05836:2A:35384172:35384876:-1 gene:Dexi2A01G0023740 transcript:Dexi2A01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMIAESGSPCSSPTASTSTSSEHHQTVWTSPPKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAVSGAGACLNFADSAWLLAVPASYASLAEVRHAVAEAVEEFMRREAVHKDDALSATSSTPSSPASDDGSATEGEESTDTSPDAGVSPFELDVFNDMSWDLYYASLAQGMLMELPAAAMELGEATVIDVPLWSY >Dexi3A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:3A:787974:790723:-1 gene:Dexi3A01G0001060 transcript:Dexi3A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGAADDRRASYPQARIPLPRLIVFALLVGFLLAYRYHFLIDDSEDTTSSYGYTSFKSIDEPKLGLWQTLASKAKGIIDEDALAHKFEDFRKERPRSNSSADQAPQSRWSFENHWKTGEAAARIRPEALSASVNQLSGRIKNAFEVANAMAAKAKLLLRELKSIKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDDLIRLQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLGDGDMEDDGPDEESNLLYTENMVPVVEENSGDEELSPVPSRPESPVIRPGEASSPMSTNSQNPSASDTA >Dexi5A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:5A:11202323:11202923:1 gene:Dexi5A01G0014270 transcript:Dexi5A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQIPAFGVWNYCNDLSITQYFDSAMQARLMKRWNRQGAVEKGGMACGEQLVIRREVEKHCSADVLQDVTVQAEVGADPLNRKVVRKPVDEDLYKVPQPLLYRKPRK >Dexi9B01G0048350.1:cds pep primary_assembly:Fonio_CM05836:9B:47328565:47355812:-1 gene:Dexi9B01G0048350 transcript:Dexi9B01G0048350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEANWERLVRAALRGERLAGTYGHPVTGIAGNVPSCLRNNVHIEEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSGDIAKLQEFYKLYREKHKVDELIEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDMTKDISPEDAKNLISEEMKKVMQKDAERTEDVVPYNIIPLDALSSTTNAIVTFPEVRAAISILQYHRDLPRLPGTFSVPDARNSDMLDLLQYVFGFQEGNVKNQREHIVHLLANEQSRVGKPSGNEPKIDGGAVDAVFNKALDNYIKWCSYLPYHLIWTNTDSLTKEKKLLYVCLYYLMWGEAANVRFLPEGLCYIFHHVSLHFLRCYLAGELEDILRKQTAEPAESCSSNGSVSFLENVISPLYDIIAAEAANNKNGRAPHSAWRNYDDFNEFFWSLKCFQLGWPWKLENQFFSKPSKKDKGLLDRNHHYGKTSFVEHRTFLHLYHSFHRLWMFLIMMFQMSLEKKVPEILLLLKENNYVGRGMHESPLDYVKYVAFWIVILGAKFSFTYFLQIKPLVKPTRTIISFRGLQYAWHDFVSKNNHNAITILSLWAPVVSIRSVEAVHRFFEKFPRAFMDKLHVAIPKRQQLLSSGQDAELDKFDAPRFAPFWNEIVRNLREEDYISNAELELLLMPKNDGILRIVQWPLFLLASKVFVAKDIAADFKDPQEELWSRISKDEYMQYAVVEVEKIYGGIHESISKNNIQKDLHFKNMHIVIAKLVAVLGILRSTTESSDLKKGAVNAIQDLYEVVHHEVFSIDISGCLDDWDQINRARAEGRLFNNLKWPNDPGLKNLIKRLYSLLTIKESAANVPKNLEARRRLQFFTNSLFMRMPVARPVSEMFSFSVFTPYYSEIVLYSMAELQKKNEDGITTLFYLQKIYPDEWKNFLTRINRDENAADSELFGNPSDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMQSEDLESTFALAGSADTHFELSPEARAQADLKFTYVVTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVESVKNGKPSTEYFSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSLKRGKHHPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIAVFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLFAICFYQALSGVGESIQNRADILQNTALNAALNTQFLFQIGVFTAVPMILGFILESGVLSYRATGRGFVVRHIKFAENYRLYSRSHFVKGMEVAFLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELQHIYTIRGRILETILSLRFFIFQYGVVYHMNASNGSTALLVFVNSLALQVYWISWAVLGGLLVLLLVFGLNPKAMVHFQLFLRLVKSIALLMVLAGLIMAVVFTKLSIYDVFASILAFVPTGWGILSIAVAWKPIVKKLGLWKTIRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >Dexi2B01G0028090.1:cds pep primary_assembly:Fonio_CM05836:2B:36761199:36767362:1 gene:Dexi2B01G0028090 transcript:Dexi2B01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSPSSHVKPQLWTPCRRCCASTAAVASPAALLPSPVVAVAQRTVASAPIRAMTAESAETPSPAPQAPPLFDEDVDETMLASYVPVYVMLPLDVVTTDNEVADAEGLRAGLRRLRGAGVDGVMVDVWWGIVEGAGPSRYDWRAYRELFSIVRAEGLKLQAIMSFHACGGNIGDAVSIPLPGWVLEVGERDPDVFYTSPSGARNMEYLTIGVDDRPLFHGRTAIQLYADFMKSFRDNMADFLDSGLIVDIEVGLGPAGELRYPSYPETQGWVFPGIGQFQCYDKYLEADFKAAATAAGHPEWELPRDAGEVNDTPEDTGFFAAEGGTYLTEQGRFFLTWYSCRLIEHGDRVMEEASVAFLGCKSKLAAKVSGIHWWYRHPSHAAELAAGYYNLGDGGRDGYGPIARMLARHDGAVLNFTCAEMRDTEQPEEAMSAPEELVRQALSAGWREGTEVACENALSRYDRSGYNQMLLNARPNGVGRLSSGEPPPRRVAAVTYLRLSDELLAGNNFRIFRTFVRKMHADLDYCPDPARYGRPIRPLERSAPKIPMERLLDASAPAPAFPFDTETDMSIGGGLAEAIDWVIDKIQWVIFGPPGSLKHSSIYPSSVTTKRRANQWELGEHSQPATMAGNLLANYVQVYVMLPLDIITVDNTFEKADETRAQLKKLTEAGVDGVMIDVWWGLVEGKAPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGEGNPDIFYTNRRRLRNIEYLTIGVDDQPLFQGRTAIQLYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGVGEFICYDKYLEADFKAAAAEAGHPEWELPDDAGE >Dexi6A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:6A:8116017:8116226:-1 gene:Dexi6A01G0008050 transcript:Dexi6A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVFEAELYGPMDMICNEVDDMRPGVLRALLHFVYTDSLPVMDDLGDDEMRGLVKGLVAAAER >Dexi6B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:6B:66518:67075:1 gene:Dexi6B01G0000130 transcript:Dexi6B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPAYYKTMSSVDDSSMPTSDAEAEAVISALHSDRLFFEPEDTSSFKASCKQPMTKQAVDEDEDKAMTMSSKSAATTEAFFGGGMATSVESQNPYRDFRESMEEMAQGGVNKDWRWLEEMLGWYLRANGKSTHGFIVGAFVDLLVALSTSSPADLSSSPTTPAAANCSSSSECSCSSSSL >Dexi1B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:1B:373435:375437:-1 gene:Dexi1B01G0000370 transcript:Dexi1B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMAQYVDEWRPVMAMLLFNLISAVMTALVKEALQQGLNSLVLITLRQLVATVFLAPIAYFKESASLSQYTFFYGLKLTTATFAITFANMAPVLTFLIAIALRVESLNMKSKAGSAKIVGTLMSFGGALLVSLYKGVAVTHQNPVVAAHHAAGPASSSSQVVTGTDGKSWMLGTVSLLGNCLFFSLWLLLQSRLTKKYPALYSSTSIMFFISTLQAGALTVTIERHSSVWIVTRRLEILTILYSGIFASAVGFVIMTWCVHERGPVFTAAFIPVVQIMVAIIDFFFLHEQIYLGSILGSTLMIFGLYLLLWGKKKDASARCTDNKQVDEEADNEEQQAVK >Dexi9B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:9B:10069352:10075112:1 gene:Dexi9B01G0014990 transcript:Dexi9B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCTAPFFEHIVIIIVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTEQDRKHAAKILPVVKNQHLLLCSLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSHYGLAIGAAVAPLVRVLVWICFPVAYPISKLLDYVLGHGQTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLGQTFAIDINAKLDRELMQEVLEKGHSRVPVYYEKKTNIIGLILVKNLLSVNADDEVPIKSVTIRKIPRVFEDMPLYDILNEFQKGHSHMAVVIRKNIPKELAERPVNDGGTYEVSIAIDEKNEKVVKNLPPPLRRWKSYPNTQNTSNRGNRPKKWSKDQSDVLQIHEEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHEEQ >Dexi5A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:5A:9608730:9609851:-1 gene:Dexi5A01G0012800 transcript:Dexi5A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRMAHPSTQVIKIPCDFQARAQRFHLPRHSFLAASIHADWTYTSANAHRRCETRHGSNHRIPLGQEGAVVHLRSDTVACTQGHTFFRFACLPAPPIPK >Dexi2A01G0036460.1:cds pep primary_assembly:Fonio_CM05836:2A:46001628:46002057:1 gene:Dexi2A01G0036460 transcript:Dexi2A01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSQVKLNQVCCMNQLQWKKTLSVAKSHSPRSLVGCSSSGSSAEVVAVSRPAGASTAQSDQPMERSMVSVPGALIVISGYWTGPDVDDGCGSVEALLQRIV >Dexi9A01G0049210.1:cds pep primary_assembly:Fonio_CM05836:9A:51898729:51900349:1 gene:Dexi9A01G0049210 transcript:Dexi9A01G0049210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAPLPPTNTPSPAPRPSRAPVVPAARHRRRQQRKPPSSPSAPDAEQPDALARILRTEAAVSGVSRKAAAVARQQSTRLWPRAVLEALDSAVVACRWETALEIFELLRKQHWYEPRSQTYARLLMMLGKCRQPGPATALFKAMLSERLRPTVDVYTALVGAYGYSGLLEEALATIDQMKGAADCKPDEYTFSVLINCCAKSRRFDLIPVVLDEMSYLGIKCNSVIHNAIIDGYGKAAMFLEMESALSTMLESGSNVPDIYTMNSIIWAYGNHGRTDEMEKWYSEFELMGVEPDTKTFNIMIKSYGKAGMYYKMMSIFKYMKKRFFSPTAVTFNIVIECFGRAGNIEKMDYYFRLMKIQGVKPNPITYCSLVNGYSKAGLLDKIPGIIRQTENTDVVLDTPFFNCVISAYAKSGDIKIMEEMLQLMKDKKCKPDKVTYATMIQAYTAHGMDEAARLLEMETEGFDKKLLVSIFHCFNELMLIIPPYWFTDISGYLFLDQGPVSAVEGK >Dexi2B01G0028910.1:cds pep primary_assembly:Fonio_CM05836:2B:37430584:37433577:-1 gene:Dexi2B01G0028910 transcript:Dexi2B01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHQSELNIMTNGQEGHSVPAVQGKYLAIFICWLLGNGCLLGFNSIVTCEDYFNYFFPKYHPIRVLTLTYQPFILIISAVLTYHEAKVNTRLRNLAGYTLFFLCSLALVILDLLISERGGIAAFIGVCTIVAVFGIGGGSVEGALIGDLSLMCPEFIQSFSAGMAASGAITSALRLVTKAAFENSRDGIRRGALLFFSISCFFALLCLLLYAYVFPKLPIVKFYRAKAASEGSLTVMADLAAASIGRHPNPLVREGPAPPERLSKKQLLAENVDYALHMFLIYALTLSIFPGFIAEDVRSHSLGSWYALVLIASYNAGDLIGRYLPLVERMKLTSRKGLSVAVLARFLLLPAFYFAGKRGGEGWMIALASILGLSNGYLTVCVLIEAPKGYKGPEQNALGNLLVFCLLSGIFVGAGLDFLWLLLGR >Dexi1A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:1A:2105439:2107563:1 gene:Dexi1A01G0002920 transcript:Dexi1A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPSVNWEDVADNWFGGCCTSFGGAGEKLVSQFINAYGRLEGTSLLDTTAITIETDYLEADLVAQLSCSAHSSDFVAIREAKSDISIGKDHATEKIKSSAQPQFILEARPNASSSETNGVALQTDQSGSCQMDVGIDVNFEKSKNDCCVEKIEESNKEVDLSLVDPCHCCHVNLYSEKAEDNPLQMSSENQKKQTMLETKRDYKLTKTISLGSSFIVKASKLLNDFDWIELLCGRCSSPLGSYPSQCSLVPSDGRVRLFKCYTSTELPVTGPHDVFRIVDEWSSKYRAEELYMMKRQIDELIECLSSTMDNFPVSCSSLEGMHLSSLRR >Dexi3B01G0032890.1:cds pep primary_assembly:Fonio_CM05836:3B:35334812:35336517:-1 gene:Dexi3B01G0032890 transcript:Dexi3B01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSGDVRQPVPGPYALYQFGTSGAAVAAATAVYHRFRPADVIKVRLQMQLAGQRGNLVGMGTIFTQLVEREGPRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYAFGSTNFAFKFASGVIAGALATALTNPMEVLKVRLQMSTSSTSTIGETRKVLAQEGLKALWKGVGPAMARAGCLTASQMATYDEAKQALMKWTSLEEGFQLHLMYNEFSISTF >Dexi9B01G0033970.1:cds pep primary_assembly:Fonio_CM05836:9B:36006074:36007607:-1 gene:Dexi9B01G0033970 transcript:Dexi9B01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNKNAVWLMQGWLFYSDAAFWKEPQMKGIEQNPVVYELTSEMAFRNKKVEVEQGKGIN >Dexi5A01G0034760.1:cds pep primary_assembly:Fonio_CM05836:5A:36754349:36756718:-1 gene:Dexi5A01G0034760 transcript:Dexi5A01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRRGPLWSLPVARSDALGKLGPAFGIGAGCGVGVCFGLIGGAGIGSGFPGLQLGFGVGAGCGIGIGFGYGFGKGIAYDESGRYSNIKRPFQNSRSLAYDEQFDIMFDELMESTRKLIKATSKELDKWRRM >Dexi5B01G0021780.1:cds pep primary_assembly:Fonio_CM05836:5B:24084533:24088374:-1 gene:Dexi5B01G0021780 transcript:Dexi5B01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAPPPPRRDLLYYSHPNSRPGVRTSGRRRGGRPERRPPDAGLPMESRALLQARPAAPLPTRAGLRLPLPRPGPRAAASFSSSSSPAAALHSPLLASRGPLLPRRDAVLGHGFLKRRGTSAAGAGGVSCGAAAAAAVPAPLPEETTKKFLGIDVKTLKKILPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSDVLSKEALFYTVIFPFIAFFGAFAFVLYPLRDVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSIVVLLGFVITSIYWGVNKFVLNDPSLPKSDRKKKKEKPKLSLKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCSPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAANSLDKQFSSLAKEDLKKEKAAQEKIEPSLLKAPAEGTDVLVEQTNGSLSSETTGTESSPSNSSPVQ >Dexi3A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:3A:942334:944859:1 gene:Dexi3A01G0001340 transcript:Dexi3A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVVGIAIAALLLLQVPSAVVLADSELGRKAGVLDLNKAKAAAGRYAVIFDAGSTGSRVHVFRFDKRLNLLKIGDDGIEVFAKAKPGLSAYAGRPQEAANSLLPLLEKAKSVVPACLMKRTPLKLGATAGLRLIGDEQSEEILEAVRNLVHTKSKFQYKPEWINVIKGSQEGSYLWVALNYLLDKLGGYYSQTVGVVDMGGGSVQMAYAISANAAANAPVVPQGQDPYVTREYLKGKNYNIYTHSYLHYGAFAARVEILKAKDEPFSNCMLRGFSGEYAYNGEQYDATAAPEGATYEKCREEIIKALNLNAPCETKNCAFNGAWNGGGGAGQDNLYVASSFYYVAAEVGIVASNAPNAKATPAAFGAAAEKACQLSAEEAKIAYPNVSDGDVPYLCMDLAYQYTLLVDGFGVEPTKEMTVVDKVKHGEYYVEAAWPLGTAIEAVSRKKMFQSA >DexiUA01G0007730.1:cds pep primary_assembly:Fonio_CM05836:UA:14464903:14472214:-1 gene:DexiUA01G0007730 transcript:DexiUA01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPPPPLPLLPDFNPGVRRFLDARFRSAADLATAADVEAEICGRCTELEALVSDLSVRILEAATAYSSCREASGSALRGVGDGLRALKASISTGVGEEDEGETEHMQFEQLPALASEVARMEMVGEYAEMALKLDSLVGDVEDAVSSVTGKLKSVGNNSEARVSWLSLVDLMISFDKRTQDLMSDWLEVWAEIERQETLDKLKSAMESEKNWIARIEGAMVEYESDDFKSPAITTAVQQSLSLLIDRARPIPSVTLRAEFIRLPASPIISEFLGYMLRRCQEAEGLTALADDSTLLKVSQSINAARYFESTLTEWCEDVFFIEMENSSANGEGGCIFEEEINHVKEFRVEWVDKITTVILRAFDSRSRDYLKNKRQWLEKSDGPAVSRAFVECLDYMQGQLSKLEAGLNPRDFVTVWRSVASGVDQLLFACILAGGTKISSGGVERLEGDLSILFAVFSAWCIRPEGFFPKLSEGLRLLKVDKQQLRDGVSTDESWLRQHASAWGARQKRRRCLQRISLPIRDSPAAAPSRAGDAGREVHAGEGREREDNTDELAVELPNPPVATGIERKIEVPMAKSPTKSLAEQSRGPPYLAVPPLTSPPLPCNNRGRKGKNKKRN >Dexi7B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:7B:1158811:1160906:1 gene:Dexi7B01G0000490 transcript:Dexi7B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEQHHEPANMQHPQAGSLQEFQFFGQDDDHESIAWLFDDPPATVGDDRRSSPVEKQPHQRPCTFEPSGPQYHHGNGLTFEVSLGLGGGGGGRHAETAASATITSFCGSTFTDAASSRLKEPVILINGQLQKAPEDPSMEREAQLMRYKEKRKRRCYEKQIRYASRKAYAEMRPRVKGRFAKVPEAPEPRQRTLATTCYHHSSLDLGRWYHS >Dexi6B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:6B:25428633:25431058:-1 gene:Dexi6B01G0018480 transcript:Dexi6B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRGLSFIVAALLVVAAMPFPGGEAATPYSGGSSAAATPLRTDYYKFSCPHLEDIVRAEVTRKIQETVVTIPATLRQVFHDCMVGGCDATVLIASRNNDAEKDAKDNESLAGDGFDTVNRVKTAVEKWCPGVVSCADIMHLAAREVVFQSKGPYWSVELGRLDGLVSRASDVNGKLPDPDMHVKELMPVFQRNGFSLVDMVALSGAHTVGFAHCTRFINRLYYYNSTMPTDPSIHPDYAKQLKDACPSNVGATIAVNMDPVTPVQFDNKYYTNLQYKLGLFTSDQVLYTDGSTIPIVDKFAADQKAFFDAFVAAMIKLGRLGVKTGNNGEIRRVCTAFNH >Dexi9A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:9A:8697118:8697639:-1 gene:Dexi9A01G0013520 transcript:Dexi9A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAAAPRHAAILLVAALLGLLSTAALAARAAELTTSSKKPSSPAPKTKPAPVKPAPVVPNPGAGAGGAIPTIPGFGGGIIPGMGGFGNGGIPGGMAGGWGGGYGGPGGGYARGGVVAPTVTCTEKGPCYRKKVTCPKKCFSSYSGAGKGFGGGGGGGSCTVDCKVKCTAYC >Dexi6A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:6A:1218038:1220894:1 gene:Dexi6A01G0001380 transcript:Dexi6A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDAAAAATSPNLVVSFGEMLIDFVPDVAGLSLAESGGFVKAPGGAPANVACAISKLGGSSAFLGKFGDDEFGHMLVNILKQNGVNSEGCLFDQHARTALAFVTLKKNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLIAEPCRSAHMAAMRTAKAAGILCSYDPNVRLPLWPSEDAARTGILSIWKEADFIKVSDDEVAFLTQGDASDEKNVLSLWFDGLKLLIVTDGDKGCRYFTKDFKGSVSGYKVDTIDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTKKGAIPALPTVAAAHDLIAKGN >Dexi9B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:9B:11433692:11434951:1 gene:Dexi9B01G0016580 transcript:Dexi9B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWTSLHGDLLDLVVVRLPSLDLLRFRAVCASWRAAAAAFTARRGQPRPDRPWLLLPTDVAADHDQGRLIVCPWAVATLPARLGRVTSRRFVPLGSARGAIVAADDRGEMHLLDLVTGGRRPLPPVSTLPLVASVEGLQVQHHGGGVSAVNVLIQKAVPVPTPWRRGHGPRHLAAEEPAQPVGHGAAGRPRVEKNQRNQWATARPGDSAWKSVAPTSIPAVVDVVVHRGQLYANTRYGMMYVFPELHGLGSASPEIIPSVTRRPSAYVERSFLVEPPRGELMQVELLRPVAAAGGEGFVVRVLDECSETWEETEDIGDAAVLVDATGAVAASTAECPALRANTVYFAVDLAGETRVWAYSLAGKHKRIEVVETLPTADGYKPPCFWVTPVYSQP >Dexi1A01G0026930.1:cds pep primary_assembly:Fonio_CM05836:1A:32791181:32793846:-1 gene:Dexi1A01G0026930 transcript:Dexi1A01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVAEVAVAAAAAAAMESALTQQRQQEENEEEARPRPVLAGAKSRHHAYSRKEKSLGLLCSNFVVLYNRDDVDTIGLDEAAKSLGVERRRIYDIVNVLESVGILARKAKNRYTWIGFDGVSMALRELKERALREKYGLAPLQTEQQSAANMSDDEDDDKSGNADGDIENEKLSQTVDKPAAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLEAAAKLLLGEGHEETNMRTKVRRLYDIANVLSSLNLIEKIQQGDSRKPALRWLGRAARPNADNGVRVAVPTPGKNVSNKRAFGTEITNIDMNRSNVDSKFQKKAKLGQSGADILKNCKLTVQSRLAQNKESGFVYGPFHPAGARKHELDGGNKPGQRERADDWESLSDSFRPRYQNQALGDLFSHYVEAWKTWYSEFAQGSNIMQQHFGQPVNHFL >Dexi2A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:2A:3410897:3411488:-1 gene:Dexi2A01G0003870 transcript:Dexi2A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEMVSHPTLEGMRHIVALSYNHLPHELKRCMMYLSIFPEVYEIDKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFDERFDEYVLLDELYRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIEHGMEVKHVRSLSMFQLQEGHKLLDNLDKAARE >Dexi2B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:2B:9929504:9930615:1 gene:Dexi2B01G0009120 transcript:Dexi2B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDGRAKRIMIEVPVESRHQELPLAEGGGGGEDGGPDLISRLPDEVLGDIISLLPTKDGARTQAISRRWRPLWRAAPLNLEVGRSLCGQDRKRIIFATKILSDHTGPGRRFSLSDFRLRDRFAKIDRWLGSRALTGLREIEFSYERENSLPCPMPPSALRIAPTLCVAEFACCDFPSEIVPELNFPHLKKLSLHSVTISEDALHSLLSGS >DexiUA01G0002160.1:cds pep primary_assembly:Fonio_CM05836:UA:4972788:4975870:1 gene:DexiUA01G0002160 transcript:DexiUA01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYSMEIVRSSVTADFIVTILWTVDDDEGDTPLPSNIVADKINPADLRKRKYHIAPSKVIPKRQRGQATGKGKQKEIEVLSDEDTDDGEGDKSPEYQESQDSSSGDDGDGSNDGDGSSDGDDSNDVAVLLAVAGAEACHLQTHLLPPKTDCTGDLNSKFEFDRFPPVTGQTGPVNRYRRAAWFDLAQSDEDKAHVERTARWARERYQRMKLEEQQEAKRKQEQEEICIRRENIERQEAEAREADRERKRERARRAKEAGPEAIRKGKYPRCTQ >Dexi7A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:7A:20481918:20483231:-1 gene:Dexi7A01G0009470 transcript:Dexi7A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPGSLWAVVGAVVVDVVGAGGRAELY >Dexi6B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:6B:22613787:22614794:1 gene:Dexi6B01G0015270 transcript:Dexi6B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGAYDDADDPPPSRRSGLKKSAPAQPWSDVETMHLIDAYDERWTALGRGQLKAQQWEEVAEDVASRCAATPGVVVQRKTGTQCRHKLEKLRKRYRTEAARPVTSLWTFFRRMDQLERGPLAAAASSAYPPPASGSPPAAASDEEEEEEEEEEEEENDAEEEEEPVHRNNNTRSINGIIREFGTGLAPRHPQLQLLQQQLPPPPSSINPSTAPPRKRVAYEAFQAKAAAAAAAAVAADKAKEEEEVELVRRPSRPAGGGASSQLSAVLRDFSEGVMRLERRRMEMRWEIERGWQEVEARHTKMLQDAQRKIRDTIAGSCALPPKKARRDYGDS >Dexi2B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:2B:13822439:13827772:1 gene:Dexi2B01G0011990 transcript:Dexi2B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNDPTARRTSQRGYRKTPIKGSMAMRTAALLFRRRAAAPRPLKPHVAGLSTAEGHDALDDCGRSGGGEASRKRWVELPPFAPLDANAAARAISRGGEVEGASSNATAIRWVRRCCPHMPASLVQKLFRLRKVKRNLLAADTSSTDGTADQLRPRRVSAKDELVPGDILFLPVNIQESSVAEKTKKFGNKNEIDFLRSLEIYKDRAIIVLNKPPGMPVQGGVGIKNSIDILAPMFDDSSSEVPRLVHRLDRDCSGVLVLGRTQLSASIMHAIFREKTADALADGTQQVLQRKYIALVIGRPRHPKGLLSAPLGKILQVTVMADVP >Dexi5B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:5B:4193223:4193870:1 gene:Dexi5B01G0006200 transcript:Dexi5B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSIKEELAIAVPAEMLWKAAFATGDESSLRNLFAGLSNAEVKIDGDGEPGSIYSLKFNPGVGAGTVFIKGRLAARDNEAQVISFNEVTIEGGEMAAAQLKSQVVRCKVEPTVEGGCVAKVTIDYESLNGRPLSPVDEAKLMKGYVGLMKKMEENMVAYSSVLA >DexiUA01G0012100.1:cds pep primary_assembly:Fonio_CM05836:UA:24266463:24269281:-1 gene:DexiUA01G0012100 transcript:DexiUA01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRGSTGGGNQNQNQNPNQNQNQPLTTEDLVRLQTQTMQQLTQAIALMQQNLQNPPVQPPPQPIRDRRGEFLKGRPPKFSRAKDPMEAEDWIKAVERQLDIAQCDDREKVLYASGQFEGAALDWWVAYQYAQPDRNQITWQQFSEAFRAHHVPEGLTVLKRREFLALTQEGMSVTAYRDKFLELARYAPDEVSTDEKRQTRFRNGLQDVLQLQLMCITFPTFGALVDGALMVEHKRREIEDKKRKFMNQQSGSNIRPRYNPQQVNQQRTQGQSSLQNRSQNQQRPQYAPPQQQQQRYQNVQQNTQASRTTQANTTPVGPRVCYHCGEQGHYANFCPRRVQNSTGQNNNQKAGPQQTQNGNQQQAQGNRGQQNYARGKLNHVGAETAEVATDVVVGESLTYGSFEKGVIPPPIRPDERALFVQSCSQHPLLRRTRDRQEPYEAEAPRGSALQREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >Dexi5B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:5B:3762567:3763677:-1 gene:Dexi5B01G0005560 transcript:Dexi5B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFANPPQIGELDHLALKRKDGVSTKDCKEVWCCPGCKVLFPPRRNLLVDLPEYECPTCHQKNPTAHDWKIPDRVVNGVPLEFMDTVLCIPLSLPWTLPGGFKVQCVAYQSARHLMLIASGYPLLSGVNIGSLFQWVSNREVYITPTLEQGAASHLLALIGSGIGRNRKGELETYFIARDSAGCNAHSDYQKQGLGGDMCVWASDLYNVWGFRLDDKMQEG >Dexi6B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:6B:24290820:24291668:-1 gene:Dexi6B01G0017090 transcript:Dexi6B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRDSYLDVVLIPLAVLFPALYHLWLYHTVRRTPLTSTVGISAAARRLWVFSMMKNNEKQAIIVVQSVRNVLMGSTLVATTSILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYVVILLVFLLAFLCHSLAICTLNQASFLVNALSPSPAVHHLPLDRDYITDVMERGFMLNLAGNRLFFAGAPLLLWIFGPVLPCLCSMAMLPILYNIDMIEYAKKGSSNGEAKVEMDTESDQSTEV >Dexi2B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:2B:28541245:28542771:1 gene:Dexi2B01G0018210 transcript:Dexi2B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRAGGARASLCLVRAGAFRRAPQMEWGGEAGMNSVPDGVVQHILSMLSNVRDVAACACVCSRWRDCVPYLPALFFPRNAFDAAAAARGAADDAIGRMVASVERLRELVIYCPFSMARLPGWIAARSATLRVLELRMDAAADKAAGHLDCIGLAASLEELRLWGVSLTAAPAWGRMERLRVLEVVGAPLRDSAVRDAIAACPNLTDLSLLGCDCTGTVAVDLHLLERCRLDILGAGNCSLLLTAPRLESLEIQGFTVITLRGHSLRRLSIAKSTGRVHMVNTGKLPDLDYLSLRGVQWNWAAVSSVLQCASEVKHLVMKIEFCGELVALQPFPEVDLVEFFNSHPKLHKFEIHGAMFAAMCQKNSLKSLGSSFLIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADDFFEEICKFKYMNYKKVRIE >Dexi3A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:3A:6894578:6896308:1 gene:Dexi3A01G0009780 transcript:Dexi3A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATGAVSSLLGVIRNETQLLAGVRDDVQFIKEEMESMNSFLLHLARTAPPRGEHDEQVRTWMGQVRLLAQDCNNCIDLYLYRGNPDIHRGRGGLLRRYVWWLPWFVNKMFAQHQAALQLRVLKERARDVGQRRLRYGVEVPAAPTMAALGDSPRRGALSSSAKGVVVAPAVTAGVDAGDEEDEGEDEDDEEDQVVAAAARHHPGIREALFGLGAFTLEDYFNGKLAEWIDQVRRQAADHHAGGSSKMLLPSIAIVAPWEDPGDALSLADQALAAARTRFHGSVLVDIPAVHLSYIRLDAEDILCYIWRELERRTRSQQAQQQQDTSHYWLADTDKWGIYHERRAAISQLRENIKQRVYAKLEKIENEVQQAKAEQLHLHPGKEGVKAYLSNKPLGVLLLMLQSATAAQVRKEDEPTLTALYQDILDTTVDKLYECLQEQEEATTGAKQLHPICLSHTQYRRILRHVFPKTSFEPGDANQVRLPTSSTTTTLSEDQIKGLINKAKEEILHELQGYKSEKKETSGPDELPEVAATRNKIDEIKLKIKRTDEYPRDYQHDSKLSERCKDPYHPQNR >Dexi2B01G0029540.1:cds pep primary_assembly:Fonio_CM05836:2B:37913318:37913593:-1 gene:Dexi2B01G0029540 transcript:Dexi2B01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLMMTPTGTKPPCRGFVECAAPCRCRRESPPDPRGGDRDRAPPPARTRPQRSRVWCQDRRFGGDDSSTTGGGLVHPERAPGHQVRAAPT >Dexi5A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:5A:21257564:21260422:1 gene:Dexi5A01G0017870 transcript:Dexi5A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQQHRQQQQELLQDQQAEAADQNSSMSNLTSSASGGPNAPPPPPASGNNKRKRSLPGNPVSASSFLDLFSDGYVWTAVEPNQQKDPDAEVVALSPATLMATNRFVCEICDKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAQRKKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESSKAISLNAAMAAAPPQHHHPMLFSPPPQMMQHQVQADLAALHHHHQEVMQPPPPHCNYAMKPEMPPWPSMTYDHPLMQPLCNAAAAAQSSATSAPPPPPPQMPAASAHLSATALLQKAAQMGATMSGAGGAHYTTQMAGLNAQQQTATDGGVMAGGLARTASSHGRGGEDGGGGGGGGDGMTRDFLGLRALSHRDILGLAGAFDSSCMGAAVTGNATMSGGYEPQQHAQAPAQQQQSSNETWHGMGSHS >Dexi5B01G0022380.1:cds pep primary_assembly:Fonio_CM05836:5B:24684713:24687233:-1 gene:Dexi5B01G0022380 transcript:Dexi5B01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQ >Dexi9B01G0049530.1:cds pep primary_assembly:Fonio_CM05836:9B:48121792:48122559:-1 gene:Dexi9B01G0049530 transcript:Dexi9B01G0049530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQLPAVAHPDPPLDRSDAAASSATQALPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKRSNAAKEREEATAEHNKETPAEQ >Dexi1B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:1B:6124143:6126838:1 gene:Dexi1B01G0007360 transcript:Dexi1B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAKANAAEEMAVVLDEETLALMGISSAAAAPVAVGTEWETFKENVRPLKRGRDVSKLNRALKAHVDPAQRAALLEARRKMIEAIYEYQGEDPLRPWLDCIKWVQESFPTGGECSGLVVLYEQCVRTFWHDARYKDDLRFLKVWLEYAGNCGDAEVIYRFLEANQIGQGYAVYYMSYAQLLESKNKLRKAKEIFDLGIARKAKPLEKLEVVYRTFLRRSTKKREHSEQDDTANGLPIRSFGTNLKRDENRSQQADNSHLGRPRALQRIDVNRPLSVFKDENSLPNQGADIIRKKENTSWRTLGTQAERNKENNMMPTKWTCHKVPQKLGARGAVQPSRASSIEIFVDEDCAQEPAPQVPKSSNPSVLKLRQATSKSFKKETELLKENPLRNFPLNSLR >Dexi2A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:2A:236224:237308:1 gene:Dexi2A01G0000450 transcript:Dexi2A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGFDLTAAAEPALAAIVLHISMPAVVCRRLAGGDGTSTGGMLTTTAANLQHLHLFMHDEHTGPTPTAVLIVNGTGAPVTGDVRFGDTVVMDNVLTEGPTRDSRHVGRAQGTYVTTSLPREGPPAMLVSMNLVLTAGSTVTVVGRNDVTLPVRELAVVGGTGSFRMATGYVLWKTRSWRPKSAVLELDVYLRTTT >Dexi5B01G0026330.1:cds pep primary_assembly:Fonio_CM05836:5B:28101673:28107495:-1 gene:Dexi5B01G0026330 transcript:Dexi5B01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSAEAGSSAAAASASGRLLVLYASQTGNAMDAAERVGREAERGGCPAVDVLSMDSFDPGRLPSERFVVFVVSTTGQGDPPDSMKVFWRYLLRKDLGAQWLEGVHRAVFGLGDSGYQKYNFAAKKLDRRLSHLGAERVVEIGLGDDQHPSGYEGALDPWLLSLWKSLNETNPSLLPRVSDINDPNLSILGNPKVHVIYYSSNEVPRDSIVSEPNKIINNARSMSPALQFHDDGGPPCMLQMVTNQRLTKESSDRDVRHFELEDPSSAISYKVGNTLEILPSQNQSAVDAFIERCNLDPDYYVTIQAKTGDKISKGSLVSSQMDCIKLKTFVALTMDIASASPRRYFFEARANILNVMSFFATAEHEKARLQYFSSPEGRDDLYRYNQKEGRTVLEVLEEFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTASVVSWLTPFKRTRHGLCSTWLAGLNPSKGTLCIH >Dexi5B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:5B:3567025:3574805:1 gene:Dexi5B01G0005380 transcript:Dexi5B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASNAAALSRLVDRTRVPDPALQRHAVAAFFRHLLSLPAPLPEAAHDAASALLASPHPAVAAHAAASVARLAASRPDLLPPGHALPLLLAPLAASPSPRLASCLVKAVSALAACALRSGSRFPPHDHPFVQALASGADGVRGELARQAARMVAEGLDGLVGFLRPFVMFSVVRKGDSVFARDLIAALAASAAAAGEAGVAIPVLKLLEESMLHFGRGDDQELGLWLSSAQCLVDAYVVLLRKLAHAQMFCIESRDSVVHALGLESLSYLCEADVVDFYTAWKVISKELLDYAIEPAVAHNLCVLLRCGAMDAEAYSGISNNLIRILWSIGTSKKNDSEPLWVKARGTAFHSLSHYKVSVIQNAIPDFWKQNYEFFTSEYNQEVLNTMENFQDEIIKFEHINRRRVSTDKRVAVHKFEKLLDVFPQVVFKGFA >Dexi2B01G0035350.1:cds pep primary_assembly:Fonio_CM05836:2B:42409478:42410225:-1 gene:Dexi2B01G0035350 transcript:Dexi2B01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETSHQTVWKSKVRLQQQHWRLTNLPGCLYCAQAQLTQQTSTDDHPQQRRQPSRARRQMVSPSGTINPR >Dexi2A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:2A:1880631:1881443:-1 gene:Dexi2A01G0002390 transcript:Dexi2A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRILSGIEIDDATAVAGLYQLTGLKKLTIYKLNIHEGGSKTEDRDNNIEIFEDLRSSIEYLCSCGLQTLAINDEGSRFINSLDKMSAAPRYLIALELSGKLESPPKWITKLQTLNKLTLSITVLRTDTFELLHALPLFSLTFSWNAEQDNGITKILEKNVSQYDGEIFVPEGFKSLKLLRFFAPRVPKLGFCDNAMPALEIIEMRFQAFEGLFGIDTLDNLKGVHLRESKQGEKGNNEAAEINEILVRDLKDSTEGLKVIVDHTFTS >Dexi7B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:7B:23115843:23121355:1 gene:Dexi7B01G0017140 transcript:Dexi7B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQECMAVVVSSRSPPGIGGFDPFPLHASALLQTGFIVESFVAIPLPQAISTIAVALASKTSISLDSMPLTSQVNKTLEKYHNCCYNAQGSNTDFGGEPQCKYAHIAVFVSRHMLGEDLGPLSIKELQQLEKQLEYALSQARQRKTQMMMEQVDELRRKERQLGELNKQLKNKVSVHPSGSRRLQQLQGCPNLLGYRCCRGYHQFVAPEAAAIPRSSTTGGGESSHFMLDWAL >Dexi1B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:1B:11535916:11540007:1 gene:Dexi1B01G0011430 transcript:Dexi1B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLRLLPRAVGRLRSVLALGATRGFSAAAAAEPLHVCVVGSGPAGFYTADRLLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCNLSPDLKNTESAVVLGQGNVALDVARILLRCKSELATTDITEYALDALRDSRIRKVYLVGRRGPVQAACTAKELREILGLKNVRVCIKEADLVTSPADEEEMRNSRIQRRVYELLSKAASAPKDINCNDQKELHFVFFRRPIRFIPSENGSTVGAVELEKTTLKGDEVTGRQLAVGTGEFEDLKCGSCTKFERQSPKQRIRDGNSGNRAVASILEDDTKAVLRAPSDLKKHGRAGLVEILEQKNVRFVPFSGWEKIDSKEKTAGQLRNKPREKITTWDGLQRAANE >Dexi2B01G0028180.1:cds pep primary_assembly:Fonio_CM05836:2B:36840901:36842310:1 gene:Dexi2B01G0028180 transcript:Dexi2B01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIDLHLLNHRVTKKPNKEHHLWIRKETAGSGKKALRLIDAVSKLPNQKEAIYGALDKWSAFEPEFPLIAVAKALEMLKRRRKWLRIIQVTKWLMSKGQVLTWTTYDTLLLALFMDGRVDEAESIWNTIIQTHTRSVPKRLFSRMILMYGIRDNPDKVLEVYADMEELEVRPDENTARRIGKAFVAFGQEEKEKYVLDKYLKKWKYIHFNGERVRVRRATGIATIV >Dexi3A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:3A:1677520:1677909:1 gene:Dexi3A01G0002470 transcript:Dexi3A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKPHILKSRCKEHYSQQSDGNIDESSSNPTNSSSSSSSSDNSNSRKRKVEGDEEILPKANRSRHKDS >Dexi4A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:4A:2197883:2200841:-1 gene:Dexi4A01G0003030 transcript:Dexi4A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASKRSLPSWVGSSKDREDDSSKKKQAGTSKKAQKGPDFSKLLDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFSNTPKFRQVQADNGTIISKDWISECHKQRKLVDIEPYLMHAGKPWRKNMEPVESDEDQKETRKEHKKQVQRSHLKSSTSATIEPEPSEVKAIAAEGVITCLQDVIESLEQGNGIKGVAEQWSFVPHVVNELLKLDESRKDASLPKEQISQLAIKCKTIYQAEFARMDSDEKKGKTKSADDHYDSDDTIEMTEEEVALACRQLPGLCG >Dexi1B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:1B:9148558:9150452:1 gene:Dexi1B01G0009790 transcript:Dexi1B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVSLRRVAAACVLVLAAALGAAAQLSPTFYDGSCPNLQSIVRSGMAAAVQQEPRMGASILRLFFHDCFVQGCDASVLLDDSPTITGEKNAGPNANSLRGYEVIDSIKSQVEAACPGTVSCADILALAARDGVNLLSGPTWAVQLGRRDTRTASQSAANSNLPSPSSSAAALVSAFASKGLDSRDLVALSGAHTIGSARCASFRSHVYNDSNINAGFAAKRKQICQPQSGGSDGNLAPLDALSPVKFDNGYFRNVVAQFGLLHSDQELFGAGAGVDSITAQYARNGAVFSRDFVTAMIKMGNISPLTGANGEIRGNCRKPN >Dexi9A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:9A:4525622:4527907:1 gene:Dexi9A01G0007740 transcript:Dexi9A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGERCVIFAVAAAALLAAAAAAAGRDARHTYIVHMSHSAMPNDFVEHGEWYAASLQAVSSDATVLYTYDTLVHGYSARLTRAEAEQLESQPGVLIVNPEVRYELHTTRTPEFLGLDGTDALLPQSSTGSDVIIGVLDTGVWPERPSYDDAGFGPVPAGWKGECEKGNDFNASSCNKKLIGARFFLAGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGGAVQGADLLGYASGTAKGMAPRARVATYKVCWVGGCFSSDILKAMEVAVTDGVDVLSLSLGGGTSDYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAATLSNGAPWITTVGAGTIDRDFPAHVMLGNGKNFTGVSLYSGKPLPTTPVPLIYAGNASNSSMGQLCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMILANTAANGEELVADAHILPGSGMGEKAGNAIRDYAVSDPKATATIVFAGTKVGIQPSPVVAAFSSRGPNTVTPSVLKPDIIAPGVNILAAWSGSVGPSGILGDTRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYNEYPNGDGILDVATGLPATPLDVGAGHVDPAKAVDPGLVYDITTADYVDFLCALNYGPMQIATLTKRSSDRCSGNKTYEESALNYPSFAVAFPAAGGTVKHTRTVTNVGQPGTYKVTASAASGSTAVKVSVEPSTLSFSKAGEKQSYTVSFTAPAMASGTNGFGRLVWSSDHHVVASPIVATWN >Dexi7A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:7A:15568071:15570495:1 gene:Dexi7A01G0004640 transcript:Dexi7A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKKLVDMARAFSSNRWLVFVAAMWVQSMAGTTYMFGAISPVLKARLGYDQRQVAALGVAKNLGGCLGLVAGVLSSAQPPSVLLLAGAAQNLLGYGWLWLIAAAKAPALPLWMQSNPIQSNRSMGKLVATARAFARNRWLVFVAALWMQSMAGTTYMYGAISPVVKARLGYDQRQVAALGVAKNLGGCLGILAGALSATRPAWLLLLIGTAQNLLGYGWLWLVVSGHAPAPPLWLVR >DexiUA01G0022590.1:cds pep primary_assembly:Fonio_CM05836:UA:45869002:45870214:1 gene:DexiUA01G0022590 transcript:DexiUA01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRDRDPLVVGRVVGDVLDPFVRTTNIRVSYGARTVSNGCELKPSMVTNQPRVEVGGVDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAAFGQEVMCYENPRPTMGIHRFVFVLFQQMGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYPN >Dexi3B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:3B:1009038:1013063:-1 gene:Dexi3B01G0001380 transcript:Dexi3B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQQQQHSSPLLLPPKRRCTTLAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVITTELAGVGARNKQHLENGGAMKHKLLKDTAVNGKENHGQETVHKGNPKSCEHEYGSYCIWSTEHREVMKDAIDSGSIVFHLFTDAQNFYAMKHWFDRNSYLEATVHVTNIEDHQKIPKDADSLEMEQIWPSEEFRVTIRNYSEPPQRQMRTEYISVFGHSQYLLPDLLPSLNRVVVLDDDLVVQKDLSYLWNLDMDGKVIGAIQFCGVTLGQLRSYIAEHSFNSDACVWLSGLNVIELEKWRDLRVTSMYDQSLQKLQMERLASKRLKALPVGILAFQDLIYPLEESWVQSGLGHNYGISQYDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMATGEKFMTECNIH >Dexi4B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:4B:13838685:13839563:-1 gene:Dexi4B01G0013780 transcript:Dexi4B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPSGGGAGWLATVRMVFKSSKNERHGKKQRGGEAEGAAVGSGEAADIVSVDHFPTAETSPEVTNEGSSGAVVWREKGEHEVAGAARRDRQGIAAAVTAASRVARSGAGRGKAGSREERAAAVRIQAFYRGYLVMF >Dexi9B01G0025790.1:cds pep primary_assembly:Fonio_CM05836:9B:27191139:27192056:1 gene:Dexi9B01G0025790 transcript:Dexi9B01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGQQAVTVEAPPETEKMKKGAVVLVMPTHVVVVCSVVGFLGLLVVVLGVAGEAATSQALVQNPVETDYFITDSNKCVYQTTPALACGIVAALLALTAQVAVTAISFFRGCCPTWELPKETRRIVGVALSASSWILVIIVVSLFITGAAMNSDDKKRDRSTDGDCPVDPGSAMFAAATVLSLVATGLQIASYVLLATQEGSTKPQPAEVAMGQPIVQHQAPRNDAEEEIAGGDPPLPPSAPPLSEAAENNSTSQV >Dexi4A01G0024480.1:cds pep primary_assembly:Fonio_CM05836:4A:27236026:27244603:-1 gene:Dexi4A01G0024480 transcript:Dexi4A01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLDSFVKRCTASLQDFAGQDACAALGVGDDVRALLATLSRIDAIVAYEQGRRLLTAKVDAWVAQVKDAMYDIDDVLDVCVIEAEKILADDRPPTPKVRCFSCFKPSGPRKFRHEIGFTIRDIDLRLREVEEEMPRLPAGSTHSSNAKRDWFSHNSVCNMCNDAVKPQAVGSQVQKAVGGLVPRMLREGKKKVDVFAIVGAVGIGKTTLAREIYNDDRMTENFPICMWVKMSKDLSEVAFLRKIITGAGANAGDTDNREELLGLLSSALSKRFLIVLDDLDNPGIWDNLLKDPLGDGVVRGRILITTRNEEVATSMKATVHCVDKMDTENAWALLCKQVEPECSSEDLAALKDVGVKIAEVCDGHPLAIKVIAGVLRSRGSNKVEWEMVLNNGSWSMPPILPEVPQALYVSYVDLPSELKECFLRCSLYPEECPIQRFDLVRRWIAEGIVNAGDNKLLEESAEEYYVELISRNLLQPDPDNVELCWITHDLLRSLACFLIADESILVHGQQKLSTSLSKPRHLMLCNMENSLEEPIPLKQQMSIRSLMLFKSPSVRAIDLLIESAPSLRVLDLSQTAVEALPKSIGSLVHLRYLNLNGTQVRDIPSSIGFLINLQTLNLQGCQRLQRLPWSIRALLELRCLDLEGTSLSYVPKGVGELKHLNYLSGLIIGHDNNGPEGCDLDDLKALSELRHLHIESLDRATSGAIALANKPFLKDLYLSEQAPVIEEQKQEEEHESQENKDETGKEEKEGQETSNVQCSEEESAKASEKIWNELTPPMSIEKLVIKNYKGVNFPSWIKGPKLGTSFPSLVFLDLENCMSCTKLPSLGLLNQLQSLQISNADSVVTIGSEFLGTTVLSPATSFPKLEVLKLRNMKRLEEWSLAVEETQILLPCLKSLHIQSCPKLKVLPEGLKHVALRELHVEGAYSLTEIKDLPKLSDELHLKDNKALQRISNLSTLHSLIINDCSKLRHVAGLDALQHLRLVFPPSTETFYFEELIIFWSIAFPRWLELLIQKCKGLRRFELQCSLSLLRSCLDGGKNWHIVQQIPEVRIISCDGKSMASKLSFKRTDSIAESMPEALRQSRYQMKKCFQRYVSKGKRLLKNQQLIEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPYVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDENWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGDKPEISMKPLLDYLLSLNYRGEKLMVNDTIDTVNKLQTALLLAEVFVSGLPRYTPFPKFEQRFQEWGLEKGWGENAEKCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIKQQGLNITPKILVLTRLIPDAKGTNCNVELESVANTKHSSILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRDLDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIASPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLNASQSKDREEIEEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLTTFATNQGGPAEIIVDGVSGFHINPMNGREASNKIADFFQKCKEDPSHWNKVSTAGLQRIYECYTWKIYATKVLNMGTTYGFWKTLNKEERVAKQRYLQMFYNLQFRNLDHDQLDGAEVFNF >Dexi4A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:4A:11483986:11487632:1 gene:Dexi4A01G0012730 transcript:Dexi4A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTNRSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRMHL >Dexi6A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:6A:25359674:25363761:1 gene:Dexi6A01G0017520 transcript:Dexi6A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKAKHSSDEALSRWRSVVGVVKNPTRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIHGLPPQGEYKVPSDVAAAGYGVCAEEMSSVVESHDIKRLKTHGGVEGILSKLCTSASDGLPSSPDKLSTREELFGVNRFAEAEQRSFWIFVWEALQDMTLMILAACALVSLLVGVATEGWPHGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRSGFRQKLSIYDLLAGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNTENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTQSLFWRKLTDGSYFSWTGDDAMALLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKDVSSSSPETTAKTLPSELPSSVVAMLLQSIFNNTGGDVVMNQDGKREILGTPTETAILEFGLSLGGDFAAVRKASTLNKVEPFNSAKKRMGVVIQLPGGALRAHCKGASEIILASCTKYMDEHGNVVPLDGATVDHLNATINSFANEALRTLCLAYIDVDEGFSANDQIPMDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGVAIEGPDFREKGLEELHDLIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLIGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNIMGQAFYQFLVIWHLQAEGKWLFGIEGSNSDLVLNTIIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFAAVLGSTVVFQFIIIQFLGSFANTTPLTFTQWIACIFIGFVGMPIAAAVKMVPVDSL >Dexi5A01G0037780.1:cds pep primary_assembly:Fonio_CM05836:5A:38998031:38998627:-1 gene:Dexi5A01G0037780 transcript:Dexi5A01G0037780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASPSPSSADECQSLHLQGEQVYTVWMKSLVFNGNGCTVYGADGGVAFRVDNYGCRGSREVFFMDRAGKMLIKIQRKSFGVFRRWEARRCFDDGEETRPWFTVEKAGKDGSAAVRMHGGGRAYTIDGCSRKSDYKITGAGGGVVAAIRRKQTASGVVLGEDVLTLTVGSQVDHLLVLGMVVICGLMNRCL >Dexi9A01G0045720.1:cds pep primary_assembly:Fonio_CM05836:9A:49238456:49241216:-1 gene:Dexi9A01G0045720 transcript:Dexi9A01G0045720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRRGASAAGRRRCPAEVLRWLVSSEAAPERATSRAPPEMPPFDHQPRPYAGMAGAEIFEKRKTVLGPSLFHYYKKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMVALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLSQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETIELSEKLKDLGVLVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMSGL >Dexi2A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:2A:366824:368006:-1 gene:Dexi2A01G0000690 transcript:Dexi2A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVRINTKVLNVSPRRDDDGIMRWTVRCAAEQGDDEATVVEEVFDAVVVASGQYSHPRLPTIDGMESWRRRQLHSHSYRVPDSFRDEIVVVVGCGESGKEIALELREVAREVHVSVKSMDAVVPGMRKAIERMCEDGRVMFADGSCVVADAIIYCTGYDYSFPFLDTGGLVSVDDNRVGPLYEHTFPPAMAPSLSFVGVPSQVAAPRFYEVQARWVAQVLSGRRSLPETEEIMCAAREVAGGVTRRLSHAIFFDLDYCDEFAEKHCGFPRMEGWKKELLLAAIARLRDDTESFRDDYHDSELVVEGLRSEGWLAKASPDTR >Dexi3A01G0026890.1:cds pep primary_assembly:Fonio_CM05836:3A:23475693:23477264:1 gene:Dexi3A01G0026890 transcript:Dexi3A01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRRSGQRSSEHSEDLGLEGAKKSAKTAPAPPEDEVSDDEEESDEPGDARPVRHAPANVAAKPKGTPELAKKPSPLPTEDAGARGSGEASPARGAPSDELDAAAPPPRKKSKTKKRAKKYPPPSKEEDEVDESGDSSLMSKAPADETTAMSPRRDIVASPRLKSGAAPAQKLAKMGATPPTQEEEEQVEEHAAGADGDKVNHKTEKKKQLQKVLAVVSQPDSTGLELTGNREEVPDASNTSCPQEKANAQEQEEEQQGEMVEQGHAGNTSPPQMVDGAEEGEHEVGEVAEHAEDTSLPQQKDGAHEDGDMGVEVSDESLLERNASSSNISTFDGEKKPALEQSWSQDDELKILTALVEHAQSHGGALPDSSDLVATLTFDETDANEDKLNDKIRKLRARYHRLSSKGRPKDDIGRRLFDLSVVLWGQVGNDVQVDTTFVTGDRDFTRLSSLYPYLAEEVKVYAEKHSSGNLILAAFPTIGDRMARQLDAMCKKQRLDAFKVELSQANLTKALLSAVSSQIN >Dexi5B01G0030060.1:cds pep primary_assembly:Fonio_CM05836:5B:31095816:31099567:1 gene:Dexi5B01G0030060 transcript:Dexi5B01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFGSGVVLLLGLWYYCAAVSCSSAPPEMHEGYAGKSEFRTVNRKELESCLNPSPYLAINVSAGGAPLPDEAFLEVTVAGVLQPDASDWVAMVTPSNSSVSGCPLSGVNYVETGDLANLPLLCHYPVKAQYLTSDPGYMGCKNAGCGKRDASGACEAQTCAATLTFHVVNFRTDVEFVLFSGGFKTPCLLKRSGALRFANPASPLYGHLSSTDSTATSMRLTWVSGDWNPQQVQYGDGKSSTSEVATFTQDDMCSIAVLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYTYRYGRDYANSASVYVTPDSGGECGVAYESYFPMPAVGKDKPWYSIEQGSVHFIVMSTEHEWSEKSEQYNWMDQDLSSISRSRTPWGNCNGMPTKDANGIDVYDNSNYTAPIHAIVGAGGFSLDNFPNNVRAMGEAWSLSRVSEFGYARVHATRTDMLVQFVNSSTMEVRDQFKIVKGSSAKNSGSLIIQE >Dexi7A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:7A:24942703:24944124:-1 gene:Dexi7A01G0015090 transcript:Dexi7A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIVAVPFPAQGHLNGMLHLSLQLASRGLPVHYAAPGPHARQARARVHGWGDDALRRVHFHELELPAAAYDDVVVEDSPAAAPPSPFPSHLIPVAEAFAAGARAPVAALLSRISSACSRRVVVLYDRLSSFAAPEAARIPNAEAFCLQCVSASFDAAWTDAGQRLLRAHGLGHAPHPAACMPKEFVEYIVRTKGDGQSPAFAGVVMNTCRAIEGDEFIDAVASDPVYRGKKVFAVGPLNPLLDDATAPAAGGQTRRECLEWLDKQPRASLLFVSFVTTTSLVSEQVVELATALRDSKQRFVWVLLDADHGVSVVESRHAKFLSEFTEQIQGTGLVITTWAPQLEILAHSATAAFMSHCGWNSAMQSLSHGKPILAWPMHSDQPLVAELVCKYLRTGILVRPWEQHGVVTPAEAIREVIEKAMACDEGLTMRESAQVLCESIRASVVEGGSSQKDLDNFIAYIGR >Dexi1B01G0021370.1:cds pep primary_assembly:Fonio_CM05836:1B:27279586:27281478:-1 gene:Dexi1B01G0021370 transcript:Dexi1B01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTSSPVITDTLSISPPLLGSLTSNLMPFSVMSGGCSSPGMNVSASRRTIEEVLVNGLLDAMKSSSPRKKHNLAFDQGNSPDEDPAYNAWMRKCPSALTSFKQIVGNAQGRKIAVFLDYDGTLSPIVDDPDKAFMSPMMRAVVRNVAKYFPTAIVSGRSRKKVFEFVKLKELYYAGSHGMDIVTSIAEHNTEKCKEANLFQPACEFLPMIDEVSKSLLEVTSGIQGASVENNKFCVSVHYRNVAEKDWEVVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDCENVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQVPKDTEAFYSLRDPSEVNLP >Dexi4B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:4B:9036019:9039112:1 gene:Dexi4B01G0011600 transcript:Dexi4B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMPPPPPPPNPTSASMPPPPPPPRPEVDSQESSPAAEVEGHAASTPSSMPPPPPPMLAPSAPQPEAGTEGSADPSPSVSGGGEGGGAGLSAGEAPSSDDTEMEEAAAPAAERQRQQRPRAPYVIPEWSAAPDHPFFLEVLKDGAIVDQIDIKKKLYTEIHVGDVVRFGQTI >Dexi3A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:3A:7517274:7521313:-1 gene:Dexi3A01G0010530 transcript:Dexi3A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPKQEQHHKEEEEEGKEDMILAEDGDRRAAFGHGGGGRRSEIKEVDFFSTGGARRRDDGEDDDGGDGSSRDAVGALLGRGNNTTVNTALDLLTTAAAATSVNGGERAAAGAASDHKEVATVEGELRQAGEENRRLRRMLDELTRSYTALYHQLIQAQQQQQASGAASSMLPATMPAGLQFMDPRMAPAIRSAPPAALDGDRGDSDGGSGSEADQNNGRSPVQQDGAGTPERGENAERAAAEAPLRRARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDKAVLITTYEGTHNHQLPPAAAAMAKTTSAAAAMLLSGPAASRDAALFAGHHFASPAAPLFHHGQYPYASAAMSAATLSASAPFPTITLDLTHSPSPSSAGMLLPHRPPPAMPFPMYGGFPTGAHRPPPPQQPTSTMDAVRSRSALETMTAAITSDPNFTAALAAALSTIIGGGAESAARQGGAAGDVADGNTSGGGGGAEAGTAAAAGARETALHALLQRLHDSRQ >DexiUA01G0015910.1:cds pep primary_assembly:Fonio_CM05836:UA:34041880:34043244:-1 gene:DexiUA01G0015910 transcript:DexiUA01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKRRSDLSHHLGESVRSPSLHQSSWVWVSTAHRTSLPYTRAHAPAPVCIQSSDDLATSPPEREWMTPVRSPLYTCAHALVLG >DexiUA01G0016950.1:cds pep primary_assembly:Fonio_CM05836:UA:36135763:36139179:-1 gene:DexiUA01G0016950 transcript:DexiUA01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPKTQGGSNRRKKPKAPKANPYNVAYNRGAAPPARPGLVVLRDPTGRDLGAHYELGGELGRGEFGITYLCTELSTGARYACKSISKRKLRTPVDVEDVRREVDIMRHMPPHPNIVSLRAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLFANKKESSALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFRREPWPRVSEPAKDLVRRMLDPNPNTRFTAAQVLEHPWLHDSKKNPDIPLGDAVKARLQQFSAMNKLKKKALRVIAEHLSVEEAADIKNLFDGMDVNKNGKLTFEEFKAGLRKLGNQMPDSDLRILMDAADVDKNGTLDYAEFVTVSVHVRKIGNDEHIQKAFTYFDRNKSGYIEIEELKDALADELEGTTGEDIINGIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSIGAETR >Dexi6B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:6B:16666516:16672822:-1 gene:Dexi6B01G0010290 transcript:Dexi6B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRLRPASPVTLPDDDDILSEILLRLPPRAVLPPPRLARLQALAPPRNTFFRPVMDPPDLIPSERFRPPLGGEGGWEPNKWRIFGCRHGHVLLYNRKQKEIVLWNPPTGDHRRVAVPPEYDSEERMICNGAVLCTAAGDRSHVHGSFSSCPIKVALVSVASNHAQVSACIYSTETGEWSDLVSTAVPFVVYSFCHPGTLVGNSLYWIPTGLGYAIVEFDMDRHRLDVIEWPLGAKVSANGCSRIVLAEDGGLGLAILSRHSLRMWERKVCAEGVAKWVLQKSHKLRKIFGQRLWHKILLCLPPQPSSLPRASLVCKRWCRLVAEPHLRRRFRARHQSPPVIGIFEDHTGYPFFRSVMDPPDHIPTERFYPRFRDGNADWDKWRIYGCRHGRVLLHNKKQNEIVVWDPLTGDHRVVAVPLEFDSEEKRIWNGAVLCAAAGNPSHVHGGFSSCPFKVVLVGVTSDHTQMFVCSYSSETGNWSDLVSATIPFTVYCVSDPGTLVGNALYWKPGGVE >Dexi2A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:2A:4242544:4248185:1 gene:Dexi2A01G0004670 transcript:Dexi2A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEQLYWICEAIDEEKDPECLKLSFHVVEVVMKLFPDPSGLAAQFASEFFEILSKYFPVYFTHGVADDLNATRDDLSKALMVLYLLVMLVDKDLFINLILFDGDIENKIHSILSAERLFTSGGINEFALSFAMRLLAEIKDLTMLDRISSQGFLDSLMMGMKLLVGVSWIGKGLLMRGDEKVKDISMFLLKLALYRAFGHIISNAPVPAVISEAHQILLVIVDSLAKLSVSMQDKDLVYNLLLVLSGMLMDEKGKECILDNIHITISVLTQLVSYPHMMVVRETALQCLVAFSTFPHSVFPMRRKVVFSLALSLMVVKLSIE >Dexi5A01G0023360.1:cds pep primary_assembly:Fonio_CM05836:5A:27382900:27384051:-1 gene:Dexi5A01G0023360 transcript:Dexi5A01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPLCSDDVRYTIPAVPMKHACHVTTSDASTAQYDACDRSHLASSSLHPPAAASPATASAVAAACMTTWTSTAMRNPPDTALRYTSSITGRYAAKSPAAPSVTAKPAKYLPFPPPPGRSPESDEPRSARSTELRVRSTTALRLEKVSGVRTITAASTSVKASCVDSSSDEVGRAVGEEEVVDAHEHADHDAGGQQTPREPRERPGLMRGGALAGVDGHEQDGEADGLDQRGHPPVVPAVGEVVAGEKAGRHRAAEEGGVVGAQQKETSPTSRAIGDGGVRGRRRHVEERVGGGDGGGHWREELVVGERRGGEVRSEEGGERGWGGGGVCK >Dexi4A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:4A:12140583:12147591:-1 gene:Dexi4A01G0013100 transcript:Dexi4A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPYTLRLSPPPPPPPPLDAPLFPHLRPYLCRRGSAKVAAAGTSWAPAAAGESDDGFRGWSLPDRERPLEQGRRKTGFGRAVAVGLGASAAIALAGLAWQFPSSRNCLQRLIAAPLHYVQEKLSTLESTDAPKEDATDNEHVEDEGLSTAAYENAEPVADDSMQNHLTPVRSHFSFRTPIDPVHEEAFSILKKLQIIEKDVSPSEFCTRREFARWFVKLCSKFERKKMQQIVPNKLTSGLVRSAFDDVNIDDPDFLYIQSLGESGIVLSKLSNSLETSTSGSPSCQGNSVFLPESAYQAAASAIKLDDICPLAFVHIRPGKLRPTAAICLKPIEIHLATIQIRPGELCHVAIVHIRLDEASSTAPSPSTSGRGSSAPITVHIRPSELYHLRQFTSDHWFECATEEGNTRRLQPHKPVTNAQAAAALTSGRMEEAIRDELNRLEAENQAHLSAMAEIMEELISRGVIQQQWEEKMKTEQQRVFEVDKDLQHVLHELANERIDRENELEDLLKEKAALDRRNQELINLRSEVDGMYDRLAAENAEVMAYQQNLENLSSDMTSKHQAVSEAKSYLEAEKEALTMLRFVLYTPRPVLVFIDFSMFET >Dexi5A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:5A:5751514:5752523:-1 gene:Dexi5A01G0007750 transcript:Dexi5A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDELMWWASMTPKTQSSPYRRVPKVAFMFLARGDLPLRPLWEKFFAGHEGLYSIYWRKGAQWFEVDRAAALEVVSDTAYFPTFQERCVGGGCYMDEHYVPTLLNALRWLPRNANRTLTFADWDRTRRTGPHPHTHRGEEVTAELVGEIRSGGRSGANCSAYRDAAKGVCFVFARKFTPDTLEPLLKLAPKVMGFG >DexiUA01G0021650.1:cds pep primary_assembly:Fonio_CM05836:UA:44848765:44850147:-1 gene:DexiUA01G0021650 transcript:DexiUA01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKIVQVIGAVVDVEFPQDAVPRVYDALEVQNGNESLVLEVQQQLGGGIVRTIAMGSSDGLRRGLEVKDLEHPIEVPVGKATLGRIMNVLGQPIDMKGDIGEEERWAIHRAAPSYEELSSSQELLETGIKVIDLMCPFAKGGKVGLFGGAGVGKTVNMMELIRNIAIEHSGYSVFAGVGERTREGNDFYHEMTDSNVLDKVSLVYGQMNEPPGNRLRVALTGLTMAEKFRDEGRDVLLFVDNIYRYTLAGTEVSALLGRMPSAVGYQPTLAEEMGVLQERITSTKTGSITSVQAVYVPADDLTDPSPATTFAHLDATVVLSRQIASLGIYPAVDPLDSTSRQLDPLVVGQEHYDTARGVQSLLQRYQELKDIIAILGMDELSEEDKLVVARARKIQRFLSQPFFVAEVFTGSPGKYVSLKDTIRGFKGIMEGEYDHLPEQAFYMVGSIDEAVEKAKKL >Dexi5A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:5A:8730846:8737661:-1 gene:Dexi5A01G0011600 transcript:Dexi5A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEESVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFGEVFQCMFDYIDRLFVMVRPRRLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAVSILLKLSSSGPWTEFMAVLSVALQYYIHLRLNYDPGWKQIKVVYTPGQQDKCFLCGQVGHLAANCEGKAKRKAGEFDEKGDAVVPKKPYQFLNIWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVQRFQGSRLASGAIPAPYEQNGNKRAQKAAKVSASGSSIAAAIVEAENDLEAQERENKEELKSMLKDALREKSDVFNSENPEEDKVKLGEPGWRERYYEEKFGARTPERIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRDLGQLNITFELGTPFKPFDQLMGVFPAASAHALPLQYRRLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDETRLLAEIKKVEHTLTPEEARRNSIMFDMLFVNGSHPLSPYIYSLNNKFGHLPDRERNEIKEKLDPSARLVKLNQEYYFLNFVSVFRKYGCTSKSLTPALILYSGGMNGYITLCSGDPCPPIFRSPVDGLEDIMDNQVICSIYKLPDHHKHIARPPVGVIIPKKTVEAGDLKPPPVLWHEDSGRRPHDNSNRYFVTPFCFISAPMDIQKKF >DexiUA01G0024410.1:cds pep primary_assembly:Fonio_CM05836:UA:50844152:50852080:1 gene:DexiUA01G0024410 transcript:DexiUA01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTFNKAQFQAALTRQWQRFGLHSANEMTPHQWWQAVSGALAEQLDAQPLAKPAKDQRHVNYISMEFLIGRLTGNNLLNLGWYQEVGDVLKEHDINLTDLLEEEIDPALGNGGLGRLAACFLDSMATVGQSAIGYGLNYQYGLFRQSFADGHQMEAPDDWHRNTYPWFRHNAQLDVQVGIGGKVTKQGLWEPAFTLTGEAWDLPVLGYRNGVAQPLRLWQAKHAHPFNLTKFNDGDFLRAEQQGIDAEKLTKVLYPNDNHLAGKKLRLMQQYFQCACSIADILRRHHLAGRKLAQLPDFEVIQLNDTHPTIAIPELLRVLIDEHQLSWDDAWAITSRTFAYTNHTLMPEALECWDEKLVKSLLPRHMQIINKINDAFKKQVDKTWPGDKAVWAKLAVVHDKQVRMANMCVVSGFAVNGVAALHSDLVVKDLFPEYHQLWPTKFHNVTNGITPRRWIKQCNPLLAGLLDKTLKKEWANDLDQLINLEKYADDAAFREQYRAIKLENKVRLAHFVKARTGIEINPNAIFDIQIKRLHEYKRQHLNLLHILALYKEIRENPQADRVPRVFLFGAKAAPGYYLAKNIILAINKVAAAINNDPKVGDKLKVVFLPDYCVSAAEMLIPAADISEQISTAGKEASGTGNMKLALNGALTVGTLDGANVEIAEKVGEENIFIFGHTVEEVKALKAKGYDPVKWRKKDKVLDAVLKELESGKYSDGDKHAFDQMLHSMDKHGGDPYLVMADFTAYVDAQKQVDVLYRDQEAWTRACILNTARCGMFSSDRSIRDYQARSAMESKRLDSAAQAAGISLSYINAHGKPQSIGADTKRRLLDAMHKTDAKASVAPVPNVKVFTAGKKMPLTVNGRGEFSWLLTTEEGQQHKGHATGGKALNLPAKLPEGYHTLTLTQDDQRFHCRVIVAPKRCYEPQALLEGKKLWGACVQLYTLRSDSNWGIGDFGDLKKMLADVGERGAHLLTLVMAFITLLAQFKLATRRPQDRRKTPIKVSDASIARIALIDHADAFCNRPMPAFPAGRPITHSIASMPYGPLWRALRCNLTADMLNRSRLGILTPLEKEAAAALVTNLSSQTGSDDVAVRNFLHSGVFALVSRLCFGEDGMDACDLSTLMKMQQEFFSSYIKVKQASERSWLTRLLHWRQRQLQTGMFDRIDEVFIPAIVAIRRRRLSQQDSNCNGSFRSYLDSLIELHVPDQEDDEHARRSRLLTDQEIAFLAWEFLGAGISGTVTSLEWTLAHLAVQPEIQNKLHAELAGDHGSDVLRLPYLHAVVLESLRMHPPLPFASRQVVKAEGIAAALGESSSVMIPPGGAAVSFVLGDIGRDGKFRPERFMEGGEGEGVSLVPGPKEIKMMPFGVGRRHCSGVGMGMAHIKCFLAELVREFQWLPASPEDGGGYGGVDFTELDGFIKWMKTPLMVRITPRAPNRLYAIVSRRVMEQRDETCCMAAELSSVIKPAPSLATVDGIINDSHVYQ >Dexi2B01G0032630.1:cds pep primary_assembly:Fonio_CM05836:2B:40505781:40509268:1 gene:Dexi2B01G0032630 transcript:Dexi2B01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGLENRGRHSLQCHTAAVLQNRKPSVADSGDAMGRRPPRASGAAARHAVAARHRLVPGSGRCHTDTASLSTLVSGVLSTEAEEHTKGTRVCAPPRTKHFGHGSARRQIEEGNQKGFGEEEWARKLPETRIVTDESLEQIGYVFRGGCKASLASSFPVVSNMLIALYAFQDRMRRRLDWLRIRDPSHGNAGCNGRCWGAFGHGRRRKRGFSFSSSLSVLRDNASTSFFRLVPSPPATCLLAAPPRRRPPAASRPLALAPAFPRRRLHPPAPRSSEICVRRRRFLLPPVSAGASVVARAPPPSLPAREIAFVYSLRSSGPLAREPAICFLGPPLVRTDPLVAPRQVTGETLASPTFPPPPIAGNRSTEGSGGIRDLSIEGFLSENSPSLVLPPPQSSVHKRRHQQATGGFAAAVTPALDSC >Dexi4B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:4B:3650702:3652082:1 gene:Dexi4B01G0005150 transcript:Dexi4B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDESWRLPSTVQELAATVQEPPSRYRIPEQDPLSDQLPGAEMTEPVPTINLRRLLASDDVADEEAAKLRSALQRWGFFLVTDHGIEASLMDALIASAHEFFRKPLVEKQAYSNLIGGKQWQLEGYGNDPVKTQDQILDWSDRLHLRVEPEDERNLDRWPGHPESFRGLLHEYTVSCKRVKDGILRAMARLLELEDDGILGQFGYKGTTYARFNYYPACPRPELVLGLRPHSDVCVLTLLLMDEHVAGLQVLRDGTWYGVPPVSDRALLVNVGVSLEIMSNGMFRGPVHRAVTNSEKERMSLAMFYSTDLEKEIEPIAELLDEKQPARYKKIKFKNFVATHYDYFSKRERVIESLKI >Dexi9B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:9B:13979895:13987471:1 gene:Dexi9B01G0019370 transcript:Dexi9B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQGAGSDLPPGGEFVPFPVTRRTNLYSIMMEEDMENGRPYQERPRTFSTVRSKSSVPLVFRLLMRINPRALIILSLLVFTGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLSKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILAIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGKSLLLPSNIYANKNTGHNLQLVAPSFAIADPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSSLISPIEDPMAIMQKGYSVTIMLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRGQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGHSTGQPLLGAKVVASMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >Dexi9A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:9A:13081382:13082472:1 gene:Dexi9A01G0018000 transcript:Dexi9A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPHPETGLEKLRKATTARPLVIVDKDVDAGGAAGGSYKRTQSMPSTPTTPVTPSSSSTTPRGASNVWRSVFHPGSNLATKSMGANLFDRPQPNSPTALQRRDEEQPPLDRIG >Dexi9B01G0049140.1:cds pep primary_assembly:Fonio_CM05836:9B:47926061:47928571:1 gene:Dexi9B01G0049140 transcript:Dexi9B01G0049140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEGSIQNPLADWRWRTPTNHTPFLAAGLRRHAGEAPTSSPYLEHTVQLLQALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNMNDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDELLCFINGDGDSGGGKAAKSKKKNKRRKDQAKNPPKASSEPLNKEGALCAIQRKVDGGNISRVPCQSPDMQDGVEDPFEDADLDDGLDPAMKEELDR >Dexi7A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:7A:18767175:18769696:-1 gene:Dexi7A01G0007420 transcript:Dexi7A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDGATANAVPLLTPYKMGRFDLSHRYRDTPGIWTKEQVEAWKPIVEGVHAKGGIFFCQIWHVGRVSNSTFQPNGQAPVSSTDKPVNSVNVAKFTPPRRLETDEIPLIIKDFRVAARNAIEAGFDGVEIHGAHGYLTDQFLKDQVNDRTDKYGGSLQNRCRFALEIVEAVVDEIGADKVGIRLSPFANYSGAADSNPQTLALYMAHALNKIGILYCHVVEPRKVKHGEKIEPPYSLGPMRDAFKGTLIVAGCYNREDGNTAISSGYADLIAYGRLFLSNPDLPRRFEIDASLNKYNTETFYVPDPIIGYTDYPFLSSDV >Dexi9A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:9A:1239153:1241903:1 gene:Dexi9A01G0002330 transcript:Dexi9A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRHRQVEANGISMHVAEAGPEDASAPAVLLVHGFPELWYSWRHQMGYLADRGYRCVAPDLRGYGGTTAPPDPSSYTAFHIVGDLIALLDALRLPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFTPRRPGIKPLEYFRAVYGDDYYVCRFQEPGIEAEFTAFDLKKFFKLALTVHTTGSSSMNLRKMQAYNKEVALPSWLSEEDVSYLASVYAKTGFAGGVNYYRCLDLNWELMAPWTGAKVQVPTKFIVGDGDLAYHHPGVRSYINKGGLKRDVPMLEVVVIKGAGHFIQQERAQEISEHIYDYIKKFGTGVSTLKASKL >Dexi9A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:9A:1326181:1326582:-1 gene:Dexi9A01G0002480 transcript:Dexi9A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKANMEIKQADGNGALIAEQVFEKTKEKFQMY >Dexi6A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:6A:5907134:5908054:1 gene:Dexi6A01G0006220 transcript:Dexi6A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDMASFTSFFLLTVLLALIASGAIASDPSPLQDFCVVDKDSHVIVNGLPCKDVKDVKVDDFFLAAELDKPRDTTMSKVKSNVTLINVMKLAGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITISNAVFGSKPPIADDVLAKAFQVDKKVVDWLQAQFWEDNHN >Dexi4A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:4A:21267165:21269403:1 gene:Dexi4A01G0017570 transcript:Dexi4A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNTSCLHLIIVLLLLLGCERIVSGSGPAAASGDRETLLAIKTDWGSPPGLSSWGGVTCAAAAGVGIVTELYLQSLNLTGSVPASDLKLLNLSDNNLSGAFPAAALYACRHLSYLDLSYNGFTGPELAGLELLTLSGNAFAPGPVPPKFAKTKLTILTMDEMFLTGEIPEAFGNLTELTVLSMSWNELTGSIPAWVWHHRKLEYADLSYNCLSGELTRNITAIDLSTNQLTGEIPPGFGNLKNLKKMYLYNNQLTGPIPASIGMLPRLYDLWVSGNQLSGELPQELGKHSPLGIITVYDNNISGPLPKTLCANGELHYIAASNNIFSGELPANLGDCIRLSTLMLDYNHFSGVFPAKIWSRTPQDEDGDDPEQQLHWHFAGRDIFQHLRNPYGEQHVLWIHPDVCDRAGGVQSGQQQVQWLIAGRHEQAFQS >Dexi3A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:3A:16266422:16266712:-1 gene:Dexi3A01G0020470 transcript:Dexi3A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIGTCAAFVCALILLHCLVCTPGTLAARDVAADGFRFQPRKLLRHAAARAAGTQPLNAAAAAAAAAAATGEGRGVGASLKKQTPSKSNPKQN >Dexi5B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:5B:14789422:14789815:-1 gene:Dexi5B01G0016470 transcript:Dexi5B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVTAASAAGIQSLQYRQILDAVGTGAAALSLVGSSFIVLCYLLFRELRKFSFKLVFYLAVSAVQ >Dexi8A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:8A:17385795:17387349:-1 gene:Dexi8A01G0010400 transcript:Dexi8A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPIVSAATVQPGIRFKPSGQDIVSHYLRPRSVNEPLTSDIIIDADILTYNPWELVTGDGHYKTSGKVEPIFSSSINGGETEKIGLKRTLVFYRGRTAVGQNTEWVMKEYSLVEAGLKPYRVMKPSGSKVPVMVDPDGTWVVCHIYKKRKYTQQGTTQVHNNVEGGQVPFYNFL >Dexi9B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:9B:5315335:5316897:1 gene:Dexi9B01G0008710 transcript:Dexi9B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVSTRNDGDAAATSVSCTGEIARRRLRVFFLPSFARGHLIPQTDLACLMAAARPGEVEATMVVSPANASVIAPTVACAVAAGHAVRVLRCPFPDVGLGEGVECLATAPSHDAWRVYRAMEMVQPSHESLLRENRPDAIVADVPFWWATEVAAELGVPRLTFHPVGVFPQLAMNNLFKMRSEIIRVSSVAGAEVSVPDLPGKEITIPVSELPSFLVQDDHLSKSWDQIKSCQLAGFGVIVNTFVDLEQPYCEEFSRVDARRAYFVGPLAQPSCSTVNRGGEGDVECLSWLSTKPSRSVVYVCFGSWAHFSVTQSRELALGLEASNHPFLWVVRSDDGSSSQWAPEGWEQRVAGRGMVVRGWAPQVAVLAHPSVGAFVTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVTTGGVAAFGARVWAGGTRGERVAEAEATVPAEAIARAVARFMDGGAERQRMQARAGELAELARAAVGENGSSWRDIHRLIDDLVQARTSSSSPQNQEESPVGMNRA >Dexi9A01G0042790.1:cds pep primary_assembly:Fonio_CM05836:9A:46314230:46315805:1 gene:Dexi9A01G0042790 transcript:Dexi9A01G0042790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGVFSGSVNLKYVKLGYQYLVNHFLTLLLVPVMAATALELARLGPGELLTLWRSLELDLVHILCSAFLVVFVGTVYVMSRPRPVYLVDYACYKPPASCRVPFATFMEHTRLISDDEKSVRFQTRILERSGLGEDTCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISIDLARDMLQVHPNSNALVVSTEIITPNFYQGSRRDMLLPNCLFRMGAAAILLSNRRREARRAKYRLVHVVRTHKGADDRAYRCVYQEEDDQGYSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKTWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSARHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRSIKTPTNGPWDDCIDRYPVDIPEIVKL >Dexi1A01G0032580.1:cds pep primary_assembly:Fonio_CM05836:1A:37179133:37179924:1 gene:Dexi1A01G0032580 transcript:Dexi1A01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLKELNTLERTFAGFEKPSNLKLSLLKIITDNFSDELKIGEGGCGKVYKGILRNGIIAVKRLFNSHTIDDRMFHQEVQSLTMAKHKNTVRFLGYCSHTEGQAIDLDGKIILAEIRERLLCFEYLGNGSLEDHITGMKRHILQMS >DexiUA01G0012730.1:cds pep primary_assembly:Fonio_CM05836:UA:26398502:26399498:-1 gene:DexiUA01G0012730 transcript:DexiUA01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHAKYRVAVIGSGNWGSVASRLIASNTAKLPSFYVRMWVFEEMLPTGKKLSESINEENENCKYLPGIKLGANVIADPDLESAGYM >Dexi6B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:6B:10053289:10058974:1 gene:Dexi6B01G0008130 transcript:Dexi6B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINWHVVVSMPEDGEEEKEELDLPHSLRHLGECTFYDDGTAKGELAETVSCFDGMDAQVAYGFHHLRDEKPYLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIICLSIKRMDSSEWESIPVPSRQADNLSLVRAIVALNLHNYASGRNPWGNLKPDYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRIEIKGGQWRDAFMQMDGEPWKQPLSSDYSTFVDIKKVPYPSLIINGGDR >Dexi9A01G0028360.1:cds pep primary_assembly:Fonio_CM05836:9A:33050044:33054985:-1 gene:Dexi9A01G0028360 transcript:Dexi9A01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKVAGPLPSKDAAALPADNPADPEAANGGADGGDAGDDKEAAKRAVPVFREFALAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHSMSSTISAGVVGVPMPILVRYSPPPPKVAWDKQPLPWEMRLRVAHFIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGARFDKGEEYTVADGFLLGRAVASHVLMGITKAASVLPAILSPLGKACSVMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVAMMSAPSATVFARRSFSYLMNEQAELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >Dexi6B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:6B:2897038:2898207:-1 gene:Dexi6B01G0003500 transcript:Dexi6B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVTVPPVQTSESNNISIVISPRAASSKVMPFELIKAGSISSHPSANPAESSGAHSTHYHHWNQGLQKMKAVPLIKKVIAEFIGTFILIFTVLSTIIMNEQHDGVESLLGIAASAGLAVTVLVLSLIHISGCHLNPAVSIAMALFGHLPLSHLLPYMTAQILGSIVASFTVKGIYHPVNPGIATVPKVATVEAFFLEFIMTFVLLFIITALATDPHAVKELIAVAVGATIMMNALVSGPSTGASMNPARTIGPAIATGRYTQIWIYMVATPLGAIAGTGAYVAIKL >Dexi7B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:7B:24854419:24858276:1 gene:Dexi7B01G0019310 transcript:Dexi7B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] KGVQMDGGGGDLRGTIKKWNVIYPVYLNSKKTVAEGRRIASSKACPDPTCIEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTSKCPKKQLMIQIAELVPKHHGRTKKQESVPSSSAGGSSKNTKGGKKKK >Dexi3B01G0035210.1:cds pep primary_assembly:Fonio_CM05836:3B:37848548:37849366:1 gene:Dexi3B01G0035210 transcript:Dexi3B01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSRKNSSEELATRMMMDRQVVRQCDMEVMKMAMLKQEQTFRQQVHELHRLYRIQRQLMSDLTRDDEPVVATRRRCKQPRRALDLQLPADEYIVSADEDDDGAELELTLAVGGRRSAGRRKSNRRRQDQEKHESPGGGSSPFGSDDCSGASLLSSSPSSAGYYSDDTPAAVFHAPPPPPCQRTMAFDLGEGIMRQQAPWLMQCQQYLSLRMT >Dexi5A01G0028600.1:cds pep primary_assembly:Fonio_CM05836:5A:31818173:31823323:1 gene:Dexi5A01G0028600 transcript:Dexi5A01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLADAPPSPGAAEQMFAALVGEKAYPISSEFWKQLLELPLTQQWPRDRVLQACHAFGECRPHLSRSTDLVGILMILDVPLILEENTVEYFLMRGVLNYIGSVDVSPESCYLHHEVLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVVSVVQKLLLNVITRPKFPTNGSHPVFSDDGRPGVLQRVGTAAANFVLLPYYTINYLVSSTPEGATSQLADNSLLVLLVMIHYRKCISTNEYIPSNNIYTGSDANDKEAQVFHENPYCKALNNAKDIQYDRADVEGNAQDGPVVRLSFASLFDALGRCLNDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPAVPWYHERLMHQTSLGSLMIVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKSHKVISDQMEADNIADDMSTELHIYTDFLRIVLEIINAILTYALPRNPEIVYAVLHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLVLSRG >DexiUA01G0015850.1:cds pep primary_assembly:Fonio_CM05836:UA:33941095:33941496:-1 gene:DexiUA01G0015850 transcript:DexiUA01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPGKHKIQGVGSGFLPEVLDTSVIDETVTVTTEEAMENAKRLAKEEGLLVGISSGANLAACLKVALREENKGKMIVTMFPSGGERYLNSDLFADVREECLAMTF >Dexi7A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:7A:25872871:25876275:-1 gene:Dexi7A01G0015970 transcript:Dexi7A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTIPLPRKLYTSTNLLVTSEAMAAMAYIALCAAALAASVALLRWAYLWSHPKSKGKLPPGSMGIPLLGETMQFFAPNPTCDVSPFVKERVKRYGSIFKTSIVGRQVVVSADPDMNYFVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAVLVAETDAACRRSLDAWAAQPSVELKEGLSTMIFDLTAKKLIGYDPAKSSDSLRKNFVSFIRGLISFPVNIPGTAYHQCMEGRKNAMKVLRSMMKERMADPERRCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLTENPKVVDALREEHDAIARNRKDPDAPVTWAEYRSMTFTNQVIMEMVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPDIYEDPLAFNPWRWQDKPEITGGTKHFMAFGGGLRFCVGTDFSRVLMATFIHSLVTKYSWRTVKGGNIVRTPGLGFPDGFHIQLVPRN >Dexi5B01G0031310.1:cds pep primary_assembly:Fonio_CM05836:5B:32112321:32114555:1 gene:Dexi5B01G0031310 transcript:Dexi5B01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRSSAARRLLRLAPAASSALSAASRPAAGLAPLSRPIAALSGGNNPISWNLGRFFSSNEKHLPAISDPEIESAFKDLLAASWNELPQGLVEEAKKAVSKATDDKAGQEALQNVFRAAEACEEFGGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEEHYLRKKVETELGTKMIHLKMRCSGIGSEWGKPSFLILF >DexiUA01G0005420.1:cds pep primary_assembly:Fonio_CM05836:UA:9650181:9650953:1 gene:DexiUA01G0005420 transcript:DexiUA01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASQVRPGHFAAGFADGSVRIFDVRSPDRLIYMAKPHAPRTEKVVGIGFQPGFDPCKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPIVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi8A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:8A:2947:3216:-1 gene:Dexi8A01G0000010 transcript:Dexi8A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRYLVIDQFHVPDTRGIASSDGGIDLGLGNLPSLQSVRVALLQEGAASDEDMRQAKAALRHAANAPQSSCAPNRYSKNGRRCAS >Dexi9B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:9B:6681788:6684259:-1 gene:Dexi9B01G0010620 transcript:Dexi9B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAALRSPTAAAAAGPSRRPAAPGASSLPFDRRRGFAFVSIKGLGRQQLTSRTKRRSSVVRTSSSPSESLPPSSSIAPLRMESPAGQLLSQILHTHPHLLPAAAEQQLEQLQTDREAEKDKDKESGAGDKPAPSGGDLVLYRRIAEVKEKERRRTLEEILYALVVQKFVEAGVSLVPALSHSIDSSGRVDQWTETVEERLQRLHSPEAYEMIENHLALILGQRQGDATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKSLPWGSEEEEDALNQVMTTDSMPSAQVSSSHPEMASWTSHNFSTGGPSQSIKPSRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >Dexi8A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:8A:18424957:18429668:-1 gene:Dexi8A01G0010720 transcript:Dexi8A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAVNYLSQELYSKDVHFLMELVQNAEDNEYPCEVVPSLEFLITSKDITRSGASSTILIFNNERGFSSTNIESICRVGKSTKKGNRHQGYIGQRKLSVREDNSIPESSTVTEIAISIENNFQSRKSMHAESYTLNLSAEESGKMEEECGYYVWRQKFPVKAENKVEKRDKIDEWVITLAFPLGERLSREKKLLPGVYAFLPTEMITDFPFIIQADFLLSSSREAVLFDSPWNKGILECVPTAFMSAFVTLVKSTPDAPAMSLPSMFNFLPVNPSVISLLEPVRSRIKEKVLTEDIVPCESYSLQKIFCKASEVARLKPAFWTILHNAREFGVNLKNLSSHGTYILSSHFDKSTYDSVLKFLEVKNVDPECWNKEFPSSNKIFVQPNTQSALENFSNRSTVTSWLQKYAKAEFVCVYSYGSTVVNSLSNAKTSVISFTHFLYHSDKKSHIDGYQLQQLCRAMPLIDSYGNVVRSRNSIMVPASGSKWVELMGINPWKKDGYIELSAGYKFAGHFAGNYTSEYQLLEFLKAHVQASDVPHINPPSSPLTVDNALLLLEWVQNRKSNGVRLPDQFLASVRKGGWLKTSVGYKPPEESFLSSAKWGRILHNGSSFVDIPMIDQQFYRNKLDIYTDELKLIGVRFEFQEALAYTGSCLLSKSAGRALTRENVYSLLRHIRYLGEEFLSPIQLINSVKYGQWMKSTIGYRQPADCIINDSEWEVASNISNQAFLDVQFYEKEILQYTPELQLLGVIVGFKENYELVIHKFKFSSTAITAQATILILKCIRYVNQCECESEGDGPCRPERRRLTLAPAVNPGARKFGGRFWSLADDLASSSDQEMEVVSPAAMAEGGLGVTASTVAFGAVPASAVGSTTSGTLGASKAGRGEAAGGRQALEGLSPLGMAGTEATVAGGDAAARCAIDGFKFHGQEARSRIPGGGSRGRSGIGLGFCWIDEGEEGSLRGPYGPF >DexiUA01G0022470.1:cds pep primary_assembly:Fonio_CM05836:UA:45670790:45673503:1 gene:DexiUA01G0022470 transcript:DexiUA01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPFVTYFCVAAPPSSAHIGFCFPVQHPPASHPSSPAFRKGGVVPAAAVHGRPPHKLMKRQAAAAMKPWKQQQQQPPAPPTAAVASAAMVPAGSPRKPRLARRKAERSMARPVAKPMPMPKPARAKVPRPRKAAGPRARRAAAPAAQLRGSSPAPEQTMYTTGRNPGPPLPEPKFNNTATTVMLRNIPNKLRSSDMISLLDDHCRRMNRGGGVVSAYDALYLPMDFRRGYNFGYSFINFTTPDAARRLYYDLEECGWNVHGSKKTINIVQAKIQGTVALGRHFRQKKLECDDEEFLPAIFSPPRDGFTAGSIARIAWRPTTTKKTAMGSPAPAPAPSTPPKASC >Dexi2A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:2A:27946241:27946801:1 gene:Dexi2A01G0016370 transcript:Dexi2A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASGSGSGPTSARDADAAERVMRLGKEVELECGDISASQEDLLARAPFLGGGGGGEEDAEVFSTPPLTQGQGEGEEEDVITMCSLPFTQPSPLPASPSLTSPEDKEDQAESRPRKPRVCTRKVRGARIRTPTPSPSPSPDKRSTSTSSIGDPLYRAVLMIPTTPAPPTAAEDLLTLARNRGIF >Dexi5A01G0035020.1:cds pep primary_assembly:Fonio_CM05836:5A:36925155:36927375:1 gene:Dexi5A01G0035020 transcript:Dexi5A01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPAAAVARNRKVVLRGYIDRAPREDDMELVDGGEVALRVPGDAGGGPAVLVRNLYLSCDPYMRGRMRDFQGSYIPPFKPGSPIEGFGVGRVVDSTHPGFSAGDIVSGMTGWEDYSLITKPEQLTKIQPSDIPLSYHLGLLGMPGFTAYVGFYEICSPKRGEFVFVSAASGAVGQIVGQLAKLDGCYVVGSAGTNQKVELLKEKFGFDAAFNYKEEPDLAAALKRYFPEGIDIYFENVGGPMLDAVLLNMRVHGRIAVCGMVSQHGVTAPAGIHNLYTLISKRVEMKGFIQSDYAHLFPQFVDDITKHYRDGKIVYVEDMSVGLESGPAAFAGLFSGKNVGKQVVCVSQD >Dexi1A01G0026600.1:cds pep primary_assembly:Fonio_CM05836:1A:32439338:32440018:-1 gene:Dexi1A01G0026600 transcript:Dexi1A01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGDTIPSSPSAETSPSSSDIATESTGSFFREYRSTTLGTLMGVSLADEEQEQGQDNQEGRDAELDAGTDTPRAPAHEGEGWMWHRRWRRRRWRSSGGGWWRLCRDVDGRVPTSLGHFLENERQLSGAGLLCSDGAGERDAAAVAPVLENDGRVRPSAAGVAGDESGSWKLRRPAQGGSSSLARLPVLLTAICSGGAA >Dexi1A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:1A:4310218:4310526:1 gene:Dexi1A01G0005810 transcript:Dexi1A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKFRDERESRRGRWWSNGDGPLDALLRLLKLQEKGRQRPPPSHLRFTGIAIPTPLHYCTAFDHWSPPFRPPPWLVLRGTSTSAYTCAAPSSCFSTISLSR >Dexi3B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:3B:7645090:7646870:1 gene:Dexi3B01G0010840 transcript:Dexi3B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTITEQRVGRVRGDEEHYQGFVVDRDGSNHGVVSSSRRVSRLAVEGGDAAADNSKGVFGRAGKLLQEDAAAARRRSSFGGARAPLPPPHAWLAIDDATGINKQQHESDDPAAEQWARLLGGGGARRHQQRRSSFTVVRRERAAREAWLDRAWEMKRSWHERNGGAPDADTPVVVVVGKGGASAEGSSESQEAGAVGGGGVAMDVDEVRACRDLGLELPSDCTVEIQCYGLMSGGSSPTHTNASSGADSPCAVSSPGGADPMDVKARLKVWAQAVALASTTHLSS >Dexi5B01G0031330.1:cds pep primary_assembly:Fonio_CM05836:5B:32119116:32119906:-1 gene:Dexi5B01G0031330 transcript:Dexi5B01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPASEGKRGGVDDEPLLPEFSGGGHGGHGGGASVSGAVFNVSTSIVGAGIMSIPAAMRVLGVAPALLLIFAVAALADVSVEFMLRYTSWASSAGGATYAGLMGDAFGRAGAAVLNVFIAFTTTGTLVVYLIIIGDVMSGSVGGGDEHAGVLQELFGAQWWAGRQFVLLLTAVFVLLPLVLRRRVV >Dexi3A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:3A:10008891:10009558:-1 gene:Dexi3A01G0013750 transcript:Dexi3A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPASRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAQHHLVHLLPLLRAALSEAGIAPSDLACVCYTKGPGMGGPLQVAAAAARALSLLWRKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQH >Dexi5A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:5A:4550891:4551357:-1 gene:Dexi5A01G0006120 transcript:Dexi5A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAPVAAEADDEAALLAFKAAAIGGASYGDTGMPLPSWNGSGGAGGFCSWEGVTCGSRHRRVVALSLPSLGLTGVLSPAVGNLSFLRALNLSSNAFSGDIPASLGRLRRLQILDLSHNTFSGEIPANLTR >Dexi3A01G0036410.1:cds pep primary_assembly:Fonio_CM05836:3A:41824410:41831621:1 gene:Dexi3A01G0036410 transcript:Dexi3A01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLPLLFLFLVAGAVVAAAAAGEVDALIAFKAALTIPPAAAAFFATWNATAASPCGFTGVKCDVAGSRVTGVSLGGLNVSAASVPFAKLCAALPSLSTLSLPENYFLAGAIDGVVKCVSLHELNLAFNSFSGEVPDLSPLTNLRTLNVSSNLFAGAFPWASLAKMPSLSVLALGDNPFFSPTDKFPAVITGLTNLTVLYMSASNLRGVIPKGIGDLVGLVDLELSDNELTGVIPPEIAKLTNLTQLELYNNSLHGELPAGFGNLTNLQFFDASMNKLSGSLAELRSLKNLVSLQLFYNNFSGGVPPEFGDFKELVNLSLYNNNLIGELPASLGSWAQFNFIDVSTNALSGPIPPDMCKQGTMLKLLMLENNFSGEIPATYASCKTLLRFRVSNNSLSGEVPEGLWALPNVNVLDLAGNQLTGGIGDGIGSSTAMTNLLLAGNRFTGVIPASIGGAASLETVDVSGNELSGEIPASIGRLSHLNSLDISANAIGGAIPSSLASCTALAAVNFARNKIAGEIPPELGNLPGLNSLDLSENELTGAVPASLAALKLSFLNLSDNQLAGPVPEALAISAYGESFAGNPGLCAANAVAGGFLRRCTPGTGTSPANAVRLIVTCILAAMAVVLAVLGVAIYLKKKKKAEAGDMGRSKVFDMKKGQSWDLKSFRVVSFDEREIIAGVRDENLIGAGGSGNVYRVKLGSGAVVAVKHVVTRHHPSSAAKAAKQRWREFESEVGTLSAIRHVNVVKLLCSITSEDGAASLLVYEHLPNGSLYERLHGPEGRKLGGLGWPERHDIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDEFFKPRLADFGLAKILTTTSSSGVGDSSAGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRPAVAAAEEGGGDLVEWVSRRLESRDKVMSVVDARVTEGWAREEAVRVLRVAVLCTSRTPAMRPSMRSVVQMLEDAAAPRMDDSPTATKLLLEVKKHRSSSKYPLQRSSGGSSNKIPNLSSRSWPNSNSDSSRWHGRLQHPPPPSINANVGVDESTSSVEKTNGHAEGCNDVGASDLKSVVDAPALEHVAERPEELLVAEGTSEPPEADLSQHVKYSPKTEAVGVFSNTPVKFGDFDEVPGQKDDKFTTQARNTLFISRGFPENPIGNGMQAGWYNSREIYIQLFWRRFGQLFKADNSLGYC >Dexi7A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:7A:25503914:25504861:1 gene:Dexi7A01G0015650 transcript:Dexi7A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAGTDTSFKAAGAKRKKAGGPKRGLTPFFAFLAEFRPQYLEKHPELKGVKEVTKAAGEKWRSMTDEEKAKYGGGKKPEAKASKPTNKKKSI >Dexi1A01G0030060.1:cds pep primary_assembly:Fonio_CM05836:1A:35422464:35422781:1 gene:Dexi1A01G0030060 transcript:Dexi1A01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGEVEVDEWMPHHFLYLWMENFVEEVVEVECAILEMKEVVEVEEEVFEMEEVVEEEQAVFGAEEVVVEQVVEVEQAVFETEEVVEVEQAVFAAVEHFVLVKT >Dexi3B01G0032720.1:cds pep primary_assembly:Fonio_CM05836:3B:35175502:35184370:-1 gene:Dexi3B01G0032720 transcript:Dexi3B01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSAPLLALPVTEKLARGNFVLWQAQVMPAIRGAQLESHLDIKAVVPAKEIAVQVGGKAVKQANPEFVSWVAKDQQVLSYLLTSMTREVMAQVATHKTAATLWAAVEVIFSSQTKARGNLTMAEYIAKMKTLTDDMATAGKQLEDDDVISHILTSLDSDYNPIITSILTRADPHNGHQGGSSANLANRDGRGRGNLQARDMAAAAGGDEATTAMLVLIVHEAETTSTPVCQICGRGNHTAMDCWYRFEEDYVPHEKIASAATNSYGVDANWYTDTGSTDHITADLNKLHVHNSYNGNDQISRPPMGQYSERIRLRCQRSCPAHLFRGQHLLLLLLCRHHVPHQGKQRQFSDSLATRRDSAAGASNSDARRTIFCAKVFWKTRREQGERSMDQSNTRDCC >DexiUA01G0026840.1:cds pep primary_assembly:Fonio_CM05836:UA:57349827:57350138:1 gene:DexiUA01G0026840 transcript:DexiUA01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLRVINVALFSQVYFKIASRNFTVVAADANYVNPYTTDVISIIAPDAPSESYSIVVVAQQMPKPKQQLPYFVTTGTLPHKQNESGHGNAE >Dexi8B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:8B:28223220:28224109:1 gene:Dexi8B01G0017020 transcript:Dexi8B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVQVDNKTGTATLRYANTFSATVPLTLIKSPPQNATTIVSKFAESLRVWFMHCHFEVHTSWGLKMVFEVDNGKRPNETLMPPPKDLPQC >Dexi7A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:7A:28796140:28796737:1 gene:Dexi7A01G0019700 transcript:Dexi7A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAKALVVLAAMAAVAELAAAKTHTIQWSLNGNYGDWSASNTVNVGDTVVFTYGPPHTVDELTQAEYTACTFDHTVSRDSSGSTSITFDEPGTRYFACAAGSHCDQGQKVAITVSDVASPAPNKGNLAAGVAAKLALGLGVGGALLAAF >Dexi4B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:4B:7609159:7611784:1 gene:Dexi4B01G0010320 transcript:Dexi4B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASASSSAAAGPSSSSGAEPPAAAAVQPPKVAPLVQLQAPQQLQRGAAAASGSGGVGCRHHAYSRKQKSLGLLCSNFVALYDREDVETIGLDDAAKRLGVERRRIYDIVNVLESVGERALREMSGSAVLPPMEESSTANLSDDEDDEKLGDADEDADSEKLSQSMDNTSDKPDAPSCRLRSDHRKEKSLGLLTQNFVKLFLTMEVETVSLDEAARLLLGEGHAESNMRTKVRRLYDIANVLSSLNLIEKIQQADTRKPAFRWLGQAKRKQENDFMVAQPPARPSKRAFGTDLTNIDNKRGRFDSTTENKPKLMLGAGNIVKSFERQLGQGNKNDFVYGPFHPAVAKKQETGDHAVKQQERKSIEDWENLAH >Dexi2B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:2B:5295965:5296354:-1 gene:Dexi2B01G0005750 transcript:Dexi2B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKWWCAASLLLCLAMAAAAAREMPRADCDDATATFAASAAVAGDDDDAPNANDAGAVDEEAKTAGVFGGRTDGGGLFGGVHGPLGGGVAGFGPFGGAVAGAGPFGGFGGGGGLGGGGGGGGGAGVP >Dexi1A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:1A:26230270:26232667:1 gene:Dexi1A01G0019050 transcript:Dexi1A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETDRLLFSLTIRIRTREKELEKERAKIRREQEEENAKQMAEAPSKIESMRKWVVEHKLRAVGCLWLTGISSSIAYNWSRPNMKPSVKIIHARLHAQALTLAALVGSACVEYYDNKYGSSGPKVDKYTSQYLAHSHKD >Dexi7A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:7A:25562781:25570039:1 gene:Dexi7A01G0015730 transcript:Dexi7A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPFEEISDDEWSLHNFKPSRVLKRSNPPPTQPPPPIDSFRYNPKASSSAAATSTAAVLLSSSDDDFDLGAGGRSQRAAKSQRGVKRPHRGALSRAPPSTGSFGHSRKPSALGVSDSDDDDFDITPPGTSRLSRKGKSQRALKSPQHRRYSKAPPTTTGSFRHNPKLSKATGTTGLPISEDDDSDMPDPSPPRHYSKAPPTTTGSSRHNPKRSKATGITGLSNSEDDDFDIPDPLHPASSSRTSLRQFTTSDGRLLTAAIDLSEDDDLDLPASSSRNTHLCGKGKSKKILKRPQHRQHSQAPTSIGSFRHNPKPSKAPANTGLSESEDDDFNLTDHDMDLRASSSGTLRPRRTAGRRLVTAAIDISEEDEDLDLDDDDFDYQDPRPPQQRTSGRRFVIGDDDDSDVPVPDGAVAVEEDDRVNWSELENEDEDEDFNGGRSVDLEEREGDVVGIALRKCSRISADLRQELFGSSARNIESYAEIDASACRIVTQEDVDAACTSENSGFDPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGKAGCPAPFNVLLVGYTLFERRSAQQKDDRKALKRWQWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDRELISRIKSILGPFILRRLKSDVKFVIMDTEQSKAYKGAIDEYRAACQARSAKSSVGMTNNVVGLIPKRQISNYFTQFRKLLISYGDVGTKGALTDEHVFASAKCQVYFFVQHLFCYVVSVFFFKKGGKIDKALAELLPSLANDGHRVLIFSQWTTMLDILEWALEVIGVTYRRLDGGLVTKDSVDENIYEIARRKLVLDAAILQSGAELDNSTDVPEQTMGEILASLLLV >Dexi7B01G0021200.1:cds pep primary_assembly:Fonio_CM05836:7B:26336099:26336347:1 gene:Dexi7B01G0021200 transcript:Dexi7B01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGHLSFMLMDRADTSGFVSGGLHYMNIHVYFHFVYTMCFLSGEVVNLGS >Dexi2B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:2B:29464372:29469482:-1 gene:Dexi2B01G0019320 transcript:Dexi2B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARFFLPPSLFLILLRSRATTDHWTAPCAASSSPAAPGLTAHRGDLDCPVQTQMAVAVLDRSFSSEYPGGSRTEGRQLSWKRVFVQTDNGSVLGIELERGENVQAVKKKLQIALNVPTDESSLTFGDLVLNNDLSSIRNDSPLLFKRNQIHRSNSTPCLSPTGKDLWQRDRSGPIEILGCSSPSSPMKQLAKDVIKAIRNGVDPVAVNSGMGGAYYFMNIWGERVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTMLVKITHTVFNVNDCVGCKSKAFCNKFNDSVGCKSKVLCYKSEAVSKIASLQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGPDNFGEQTELIPIDHGLCLPECLEDPYFEWIHWPQASVPFSEEELDYIRRLDPVKDAEMLRMELPMIREACIRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVEERELALPDEAGVEDDDDDFTQFPLDSDDDSDAFEPPAFSKFGSMKASSRNPLCKLDECDEEDGDEDEDDTTKEDADALTKALPQKISSMSKLSSSMKGLGFVVKSKAYYKGVPKVKGRKTNYSVKASEHQSGCWSANELLPPNSASFVKLSDMGPREWSEFLEKFQELLPSAFRGRKHTIGGGSRPMPRLGTSCQF >Dexi9B01G0036090.1:cds pep primary_assembly:Fonio_CM05836:9B:37666230:37671720:1 gene:Dexi9B01G0036090 transcript:Dexi9B01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSKLRNLDAYPKVNEDFYSRTLSGGLITILSSLAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDITFPALPCTLVAVDTMDVSGEQHYDIKHDVTKTRIDNLGNAIESRKDRVGAPKIERPLQKHGGRLEHNEVYCGSCYGSEETDDQCCNSCDDVRDAYQKKGWAITNVELIDQCKREGFVQRYRDERGEGCNIRGFVNVNKVAGNFHFAPGKNFGQPFNFLADLLNVQPETYNISHKINKLSFGEEFPGVVNPLDGVEWMLDNSNALAGMYQYFVKVVPTIYTDIRGRKIYSNQFSVTEHFREAIGYPKPPTGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMELGKLG >Dexi1A01G0023310.1:cds pep primary_assembly:Fonio_CM05836:1A:29955378:29959038:-1 gene:Dexi1A01G0023310 transcript:Dexi1A01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGTPPALPPQSDAAGSGEDSSKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSIHRNSGMNTTVSSWAVSSIPPAVASSMVKVMPDFAQVYSFLGSIFDPSTSGHLQKLKEMNPIDVETALLLMKNLSINLTSPDFEDQVSSSTTNLLGLSHP >Dexi9A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:9A:6901359:6902878:-1 gene:Dexi9A01G0011100 transcript:Dexi9A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIIGSHHHSMMASRVAMVAHLLFLTTAVLMLVWLLHYRGGINIQSEDPEQIFNVHPFVMSWGFILLIGEAILAYSTIPMDHRTQKMAHMMIHLVGLILGIFGVYAAFKFHAAAVAPDLTSLHSWLGITAIALFGLQWLFGFVTFWLPAAHERTRAAAAPAHVMAGLAIFMLAVCAAQTGLVQKSAGAASASEMKLINVTGIFILLYGVAVASAVAMRKAFL >Dexi9B01G0043070.1:cds pep primary_assembly:Fonio_CM05836:9B:43151280:43151649:-1 gene:Dexi9B01G0043070 transcript:Dexi9B01G0043070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSWRSSSARTASRSVWRSRDKCEPMNCSKRGWPPAGGYCRRLRRTPGAGRWGGEAEHRGARRSSRAGSWRSGRRQRQRAGDGGAWPAAGLGFLRRPGTVGVGVCVREERNRDREESVDV >Dexi1A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:1A:252203:253050:-1 gene:Dexi1A01G0000420 transcript:Dexi1A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVGGGARGARARSPCDGPTYGPLVDQPNEPGPSKATELEAEQKPNPPSSHRRAAASPTSSSSTSVKWKATPPARRSRRVTPPASRMSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARVITSCFFFN >Dexi3A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:3A:15248436:15251775:-1 gene:Dexi3A01G0019430 transcript:Dexi3A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPARLAARRLLGLASSSASEAAARRLASSPIAASSYAAAARGSHIDSPENKPGMKWEFSEANMKKVKEILSHYPSNYKQSGIIPLLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEDTLLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLRRGETPPRGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >Dexi7B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:7B:19591889:19593295:-1 gene:Dexi7B01G0012970 transcript:Dexi7B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATNNKQPRKADRGGARTDGNTGDDIPKATVDTVRISDDVGNNNKAARDIKATDIAAGNKVGAVYHGMSPVEAKDSQTIVALQSPVTVMRPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDGHRHHHMSVMQQHVAFFDRDDNGIIYPWETYQGTAMAAASPPQLLQGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFVPVNFENMFSKYARTFPDRLTYRELWSMTEGFREVFDFYGWFAAKLEWTILYVLARDEEGYLSREAMRRVYDGSLFEYVERQRAQHAKMS >Dexi3A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:3A:6317174:6318575:-1 gene:Dexi3A01G0009100 transcript:Dexi3A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSTEMNDDVRWPSYSYNCIRENIHMLMNSNHRIIFLLVTVLYALLTPWLLWRVAGQPTAFVWTISLVACSYFIAGTIYLSDTITTAILSVFFRVSCGTLLTVAATHLIGPTTGAIIFTLFTFYAAGMLGYAIGEHLQRVGFENSAGIAAARPARDEELQKRRDESVFYICFIQGNMTLGLIVRMAWLVFFPVVSEADDLLFIVEELSQEAMFLSWMWTNVVALFQLEEALVSAYTMFGRNQSVISAGGSFSPNGSNKSDQQPSVLLKEALGKEVQHVAGA >Dexi9A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:9A:12903989:12906661:-1 gene:Dexi9A01G0017780 transcript:Dexi9A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGLLRLLPPVLLLALVASSVHGFGGYGGGDGSGKLRVGFYKYSCPEAEAIVRKIVAKAVHEDPTANAPLLRLHFHDCFVRGCEGSVLINSTKGNTAEKDAKPNHTLDAFDVIDDIKEELERRCPGTVSCADILAIAARDAVSLATKVVTKGGWSKDGNLYQVETGRRDGNVSSAKEAVKNLPDSFDGIRKLIKRFASKNLSLKDLAVLSGAHAIGKSHCPSIAKRLRNFTAHMESDPTLDRPYADRLRRRCWRPKDNTTELEMVPGSSETFDTAYYGLVAKRRGLFHSDEALLRNDVTRALVYRYRDSPVEAFLKDFGVSMVNMGRVGVLTGKQGEIRKKCAFVN >Dexi4A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:4A:21849622:21850048:-1 gene:Dexi4A01G0017930 transcript:Dexi4A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNIPDGAVVDEDCWSDEDYCRTTENWYCLSKTQAEREALAYADKAGAAMDVVTVCPPWVLGPLLQPTVNTTSMRLVTYLTGTYYTSG >Dexi6B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:6B:5457838:5459440:1 gene:Dexi6B01G0005870 transcript:Dexi6B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASATSILLFPATTPVASTPSACPSKKSILRRRRRTVSCRASGADDDGLLWLPRRGVLTSLTGAAAGLVSYPNLTSIALEANPVDTCKRGEKVSEKLVECSDPNRDFPCPPSLKVPIVDFKPESTVKRIRRPAHLLDEEYQKKYKKAIRKMKDLEPSNPLSFAAQAAIHESYCDGHYRYDPTEKNRPFDVHFSWIFAPWHRMYIYFYEKALGDLVGDDTFALPYWNWDSPEGMGIPAIFKDPNDDNPLYDPNRDMTHRDALIDLDYLHADKGVGPIPFVKTPATAASYDAAVDKNLATIYQQQIRGGRGPCAFLGEKLCSEASIRVKEINERSKRRQNGTQIKTDSNPRPDVPSPTACSVTTASRTVPYDMGFLGTAGRDPLFYSHHSNVDRMWHLWSTKLGNEGFTDPEWLDASFVFYDNYKEPRLVRIKFRDVLDARNLGYTYDAESEASLPWINSKPTPLSPDGGSSARSGAAAPPEFPLTLVSEQAVVVPGVAVPAKEAGKDLVL >Dexi9A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:9A:2014908:2015078:1 gene:Dexi9A01G0003820 transcript:Dexi9A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHHRAGSMYASSSSTPTLAVFTEVAAPLQRLSVF >Dexi5A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:5A:5617506:5617827:-1 gene:Dexi5A01G0007600 transcript:Dexi5A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRRAQEVGLSVLNNLSCSFIFTFRPPVANFQSSYQNLERWFSSISCNHSNVTVFHSIFGDDKEVHQSIEPDERSCFMPCLSAVRMDPKLQ >Dexi1A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:1A:101311:102199:1 gene:Dexi1A01G0000130 transcript:Dexi1A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASSAGHSFEFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGESRIIRDAYAKARYLPMVRLARRLWSDAEAESGYRVLTPVPHLSFGPRDDASLVRAVENASAEEVDLAQMWGGAIRVPDGWLTAVSEHGGGPYGQGRLPSTGEPPVYGIPSLEIPGLIKISCDGGPPCDPTAVTGSPV >Dexi5B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:5B:22032863:22033583:1 gene:Dexi5B01G0019770 transcript:Dexi5B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYQYKDILKIQKIQRIASYAGFYCFSTLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMTKHFERQGKPPYAYHAQYMAHLLSHGQLDGSG >Dexi2B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:2B:334975:336567:1 gene:Dexi2B01G0000750 transcript:Dexi2B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLLCILLTSIIICINDAALLVSGQNSFTYNGFKDTNLLFGGASFVTQEGILSLTNGKPLVSGRGFHPERLRSMIEVNQDGTQAMASFWATFVFIVSPYFSGSPGDEMAFMISSTMDLAGALPGSEAFFAIVLGVDLNYPVCISSSSTGYRYGNNPSTNNNESLKLSTGGKLVQLWAYYNSTSMELNVTVALLKLALLQPLEPLLSYTVDLSSLLLGESLGEPYAGLSASTGNNTGTQHHVLAWSFSLDGPAHPLNYSLMPSSPQLMQQAGRRVSIAEWLPAATVSAIAVLLAAAWLVLRWRRKRRAAAWQQEQGWEVELGLGPRRFSHKELRKATNGFSSRQLLGQGGFGRVYGGTLPVAASGTGTGSEAQRVAVKRIYSESKHGQAQFMAEVVILGRLRHRNLVRLVGYCRHKDDMLLVYEHMAKGSLDRYLHDRTRHRELTWPRRFHVIKGVASGLLYLHEDWEQVIVHRDIKASNVLLDGEMNGRLGDFGLARLHEHGADAHTTTSRARGPGAAEVRQGHQGH >Dexi5B01G0030820.1:cds pep primary_assembly:Fonio_CM05836:5B:31663599:31667340:1 gene:Dexi5B01G0030820 transcript:Dexi5B01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDIISPTSVRRTIAVRLNPAAAAAQNPLSLSRSGVMGGASKLLTSLLLTSSPLRLRPTTAAAALFLSPPHAASRRLLLLSSRSPLRTLSTSSAAAAASSSSSLPHGSSSASPAPPPRAPFPEWSRLVDRLAAAGYSARASSPADELSVTPGCDLSDGAEAAVSTCLAFARDRPDLLRSLPRKDVEVLVANAAPVLFKDGEASVQRLRRYLAGEETDVSSCYFLERVKSTIQHTKHVKFCQVILSERAGTVDIIRYLLSYTYSSSESYSEGKELIDSAVRNIMAELVSFTGLSQSSSFAESNPKQSSLSQHERFSRPQGQNIEMKRGDWICTRCSFMNFARNVRCLECNEQRPKKLLTGGEWECPQCDFYNYGRNMSCLKCDCKRPATIPPNPASTGAGLGCVAPLLNVANVGKSEIERKLAENDEKAERWLSKVSQLDDSADISSLAEDEDFPDIMPMRKGVNKFVVSTRKTPLERRLANAQSSNNSPQAASSDSKISQTLDRILGRSKSIAASNNQPGGGDATEKSTDHISSIDPVPFVPLPADMFAKPQSNTEQGNVAGQINAEAESSMENSTAPLTERRDGMESSDTAEKWSMKVAELDNVNNVPSVTSDEYVPEIMPTRKGENRFVVSKKKDRSLTSPQYKRRSLLEHADNSDFVPFVPFPPGYFDKKDKPVESTADTGIVPEGSPASEKRVETNASQVGGSQAKGNMNNGNWNTNYSQQNSSSVGYGQSNNYQHQSQSHGAQSWPSGTANTGTWNTCYSQGDFNESRGDSTYNGANSAQQPYSSGYNNNSNSWSGQNNGSNTAWNGNSNYNNNSAWSGNNHYNANNAWNGSTSYNNSSTWNGNDSYNSNTWSSSHGYSNNATWSNSSHSTWSSSNQSGSFADNSNPASSSGSMNPNQTLHSCYGGSSDRGYTGQSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSEPEKDAS >Dexi3B01G0032780.1:cds pep primary_assembly:Fonio_CM05836:3B:35242770:35243321:-1 gene:Dexi3B01G0032780 transcript:Dexi3B01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGRGKPKGTKAVTRSTKAGLQFPVGRVARYLKTGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGAVVIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >Dexi9B01G0024650.1:cds pep primary_assembly:Fonio_CM05836:9B:21160202:21160717:-1 gene:Dexi9B01G0024650 transcript:Dexi9B01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAVAAMASRSADGAPFLPFSAGDESSLSPPQIVAHASSWRCRWRPPSARLVVMSSRDEVEQRSGSVLPGPQRRCSHQLDEVDDDPRPDTHLKSSRLSAQRWGSEATSSSTVRGEIEAQGLSAVGLGAEEASCVPWPPPCLRERGWARGVAVQGVPQRCALVPQPGVPP >Dexi9B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:9B:3108461:3109517:-1 gene:Dexi9B01G0005280 transcript:Dexi9B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLASSATPLRRFLYLLIDDHHGAHTLRKIDTAPFFAAGVCSAAHQGSVSPAAMRPTPLPPPAASFDFSPLGRGVEKVVGIKEQRDTIICDTRTSTVLAGPALRRDKMRMPSSVEFAGKLYLLGCPVMAGPPWFDLEALTYDSARREEDWFWDPLPSPPTDNWDARILTFADAGDEDGSGAAAMRVSTRLGGTYAFDAARRCWRWEGEWVLPFYGRAQFVADYGLWFGFSDSDRAGFGLRAADLGDGATPAEKRHLWPDVDGLAAHADDWFPGSNYISYLGAGRFCATLADAGSEELRMVRKASTCYHFSQPASLGWAF >Dexi2A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:2A:31536386:31537723:1 gene:Dexi2A01G0019290 transcript:Dexi2A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAILVVLLPPLLLLSTVGAEAPRGGFGFVATLRHVDANAGYTKAQLLSRAILRSRARVATLQSLATLAPGDAITAARILVRASDGEYLMDMGIGTPPKFYSAILDTGSDLIWTQCAPCLLCVDQPTPYFDPTQSSTYRALGCSSSGCNALYYPLCYQKTCVYQYFYGDSANTAGVLANETFTFGTDTTRVTVPSIAFGCGNLNAGSLANGSGMVGFGRGSLSLVSQLGSPRFSYCLTSFLSPVPSRLYFGAYATLNSTNASTSGPVQSTPFVVNPALPTMYFLNMTGISVGGYRLPIDPAVFAINDTDGTGGTIIDSGTTITYLAEPAYSVVRAAFVAQIKLPLLNVTDDTAVLDTCFKWPPPPRRSLTLPQVVLHFDGADMELPLQNYMLVDPVSGGLCLAMATSSDGSIIGSFQHQNFHVLYDLENSLLSFVPAPCNLI >Dexi2B01G0035120.1:cds pep primary_assembly:Fonio_CM05836:2B:42225511:42226581:1 gene:Dexi2B01G0035120 transcript:Dexi2B01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTAPAPPSLPPPAISGEPRHPRLSGFEQLDARVKELTSSQAELLEKIQKLKQEVQNWRSNVETQAKTCQNELQSLKKGLDSEVEQLKSEMKEIRSAIEEEKGNLPAQTTTSETSNTNTEQGLQTPSIEG >Dexi7B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:7B:22849136:22860019:1 gene:Dexi7B01G0016820 transcript:Dexi7B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPPRRQRSPPGMDSPLSQPTLLALSLLLLLALYLARRRLTGGRKTRKYPPVAGTVLHQLLNFGRLVEYQTELSRRHRTFRMLTPTCNYIYTVEPANVEYILKSNFANYGKGSTLHGLAEDLLGDGIFVVDGDRWRHQRKVASHEFSTRVLREFSSAVFRDTAVELAGIVAAAAADGERLDITDLLMRSTLDSIFKVGFGVSLGSLSGCSEEGAAFARAFDDASEQVLYRLFDVFWKAKRLLNISSEAAMKRSLRTINDFIYAVIDRKIGQMGKDQQEFVRPLCHEGGHLSRFLLEREQDPDCFDNKYLRDIILSFVTAGRDTTAGTLSWFLYVLCRNEAVQDRIVEEVRAATAAGGHDVVVGAGENSFPYSTFRQSQTTRDFRTVVANLAPRYLTGWDDMDSPLSQPAMLAVCLLVLLLGVYFVRRRRGAAKHRRKYPPVAGTVLHQLLNFGRLVEYQTELARRYRTFRMLTPTCNYVYTVEPANVEYMLKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLREFSSAVFRDTAAELAGIVVASAAAADGERAPVDITDLLMRSTLDSIFKIGFGVNLGSLSGCSKEGAAFARAFDDASEQVLYRFFDVSWKVKRLLNISSEAAMKRSVRTINDFVYAVIDRKIEQMARNHQEFAKKEDILSRFLLEREQDPGCFDNKYLRDIILNFVIAGRDTTAGTLAWFLYVLCRNQHIQEKIAREVRAAATGDRDVGVQEFVACLTEDAISKMQYLHAALTETLRLYPAVPIDVKYCFSDDTLPDGYAVRKGDMVNYQPYPMGRMKFLWGVDAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWDANAKVGYRPMLTLKMDGPLYVRASPRR >Dexi7A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:7A:18620541:18621356:-1 gene:Dexi7A01G0007240 transcript:Dexi7A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQFKALVEYAPSQQVPKSNIKKDGREGTITKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEAPVVTPLMMFVRQQRAAKSMAQRSGSRVSRKVAGVVTSSSSPSKRSSEKRRSSTVICLVCNFLKFSNFCSI >Dexi9A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:9A:8639187:8645603:-1 gene:Dexi9A01G0013420 transcript:Dexi9A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRRRRSAGGGRGSFPGRRGGGQGGAGRGGGGGGGGGAGRGEYDQKRQTAEAMRDIASSLGLWADLQMSPQIKFLVACDSGNLRRIKALVESLDEDDRESLESVRMEGLGALHAAAMKGNVDVCRYLVEVLKFDINSVSSPELGITPLISAASEGQIAAVRYLLDKGADPNKQDHEGYAPLHDAAKGGFDEIARLLLSGGAIVDISSAEGTPLHAAAAFGKIGVMQILLEHHADVNKVSPRDCTPLVETLLATPERVNESTRLKCMKLLVKAGADLNSRHPQTPLVIATLRGLTECVENLLKAGADADIPANDANQTENKDSKVDLKLHDEKVNKQDAVSSKPCPEDTASDKDRKAQLKLQGAKAVERKDYTGALKFYSEWVFCYKLSAWYSAFSLKSCFGSAVFQDAINLDPKDAVLYSNRSFCHVKLGEAHEAFPDANACIRLRPEWTKGYYRKGAALMYLKEYKQACDAFMAGIKLDPTNEEMEQAFWEAAEAMKKEHSAEKSVKSFD >Dexi9A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:9A:2972624:2973700:-1 gene:Dexi9A01G0005350 transcript:Dexi9A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMQRDGPPPSWSDIPWDLAGRVLRLLPAYVDRARSAAVCPQWRRAARQLLLPPPLPLLAVPDGSFYSVPYGKPFRFPGFCCADFKAAACGSWLVFPRDDGCFLVDPFARATVELPSLPYEDMAFYQGKLYNLVTGDESLLVVNISQDPGTGDPQVARIGQVLEADWEHSRWVKVATLGDDLMLFLGRSCSKVVRASQYGMSDDVFENDEDNKYNIEGATSVGVYDMRT >Dexi1B01G0021250.1:cds pep primary_assembly:Fonio_CM05836:1B:27201496:27202083:-1 gene:Dexi1B01G0021250 transcript:Dexi1B01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPVPDGVSSCASTNMRRADHLPPFPLPCIGEPTAASRISPGSSPARSEASRGAPCYAADEEPDPEPCVEASDGRSTQMLLAMAAMGGRGGHYGRRPASSYGSCAAWSAGSLTKHRPASPSPICSPVSSHGGGGGDREPHGGDDEASFVTPRMVVLWFLVLDLACD >Dexi8A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:8A:6469208:6472537:-1 gene:Dexi8A01G0006340 transcript:Dexi8A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSKAWISLLLALAVALAATVAWGEDAAPAEAVLTLDVDTFDEAVAKHPFMVVEFYAPWCGHCKALAPEYEKAAQALSKHDPPIVLAKVDANEDKNRPLASKYEVQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDAAALIDDKKIYIVGVFTEFSGTEFTNFMEVAEKLRSDYDFGHTLHANHLPRGEAAVERPLVRLLKPFDELVVDSKDFDVAVLEKFIDASSTPKVVTFDKNPDNHPYLMKFFQSSAPKAMLFLNFSTGPFESFKNAYYAAAEEFNGKELKFLIGDIEAAQGAFQYFGLKEEQAPLILIQESDSKKFLKDHIEADQIVSWLKEYFDGKLSPFKKSAPIPEVNNEPVKVVVADSIQDVVFKSGKNVLLEFYAPWCGHCKKLAPILEEAATTLQSDEEVVIAKMDATENDVPSEFDVQGYPTMYFVTPSGKVTSYEGGRTAEDIIDFIKKNKESSGTTTSDKAAEPATTEPVKDEL >Dexi4B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:4B:2635392:2636770:1 gene:Dexi4B01G0003750 transcript:Dexi4B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPFHLSIASRTLVRASRPPPGHPAVLAASNLDLVLGHFPIFLVSVYAAPAAGLDAVLAAVRGALPAYLSTFFPFAGRVVVDADTKIPEVHCTNAGAELVVADAAVPLAAVDFTEVDASLGLIKIPFDASIPMSLQLVRFACGGFALTIGTTHLLADGRAFAVLQAALAEMVRGGALSGEPVFDRSLFRPRSPPRHGASMDAEFAWFTPRNLINTVLAAAIRRRMYRIDAADLAALQAAASLLARAVGDADPSCRMAWIVDGRKQVEPSEGALDRYIGNVVTYTSREASVAEILRAPLPEVAAMVRAAIAGVLTAARFQELTDWVEERKAAFREGGKWTEEVNLGLGSPALVISGLLPFPIDGDLGFSKPRLVMPWLRHRRLGSANVTVVPSPSGDGSWFFAATRLWPRLMEVVESDPIFKPAASLGLTAPAGSRL >Dexi1A01G0022430.1:cds pep primary_assembly:Fonio_CM05836:1A:29103275:29105298:-1 gene:Dexi1A01G0022430 transcript:Dexi1A01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPSSAAAAAADGGDDLDHLLDSALDDFTSLDLSASAAPKSSGSEASASGSGAKGPVLGLGLGLPDPKAPKRRGAKPPQPPPRGACASEALEKLTRETREAVRGLETATGGVPSLDDEAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKDKISKEEYERYNNQLDLMVKLIQVYENDPENMTKIFDIMQSMQECGQPPSDLVQDIVPDLDLSKLGQL >Dexi9B01G0026670.1:cds pep primary_assembly:Fonio_CM05836:9B:28850198:28853840:1 gene:Dexi9B01G0026670 transcript:Dexi9B01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQVRACGDGYGHTGSRAECGREVVWAARHARRHNYLGIGQSAPQSRRLRLVSVSSPSHATQQPSGAFSSLLSSRLLSQWSPDRSNRSIRILPFRLTASLSFPRLASPPPALGFYFSHCCRWWWLLVCYPSSLVVSLAASAFAAAAAAAAAADLPGALTAGSSSLGSGTGVGVAMALQFVEAQRQARPDLADWYADLADLYQRKLWHQLTLKLDQFLQLQAAQVRLLLLLLLLLGLGKFPNPVSFMTPNATIVFFQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLHETRESRINEPILYVKMQIAAINLEKGNQKECKNLLEEGKTTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALTAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >Dexi2B01G0032090.1:cds pep primary_assembly:Fonio_CM05836:2B:40054785:40055351:-1 gene:Dexi2B01G0032090 transcript:Dexi2B01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYNMQILSLFLLLLAASSSSLAAGGYGDDDLGLTRIHLYVHETLAPPANATFAFVAPSPLGASSSFGSIIVVDHELRAGRDRSSQLLGRYQSLMVGTSLGTTGGKYLTSIALVFTAGEHAGSTLSVEGLLVASKGVPFERAVVGGTGKFRLARGYSLTTIIGNPTPETGLFEVELFVLMHRGGKY >Dexi5B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:5B:11006907:11008535:-1 gene:Dexi5B01G0014520 transcript:Dexi5B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHAKESLTKMYPVAVNYFGGLLPSVSFSAVTAGILNGANIIRVHNAGYGADAAK >Dexi9A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:9A:11480874:11481509:1 gene:Dexi9A01G0016460 transcript:Dexi9A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNDASAAGDPAACISPPSAGAEGRALLRPSSSVSAISDDDEAGFEERAFEPAEKVVVSVSGDADEERRFYASGGGRAPPFSWRKLWLFMGPGYLMSIAFVDPGNIEGDLQAGATAGGSLLWLLLWSTAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVALVSADIQEVIGSAIAIKILSNGLLPIWAGVVITALDW >Dexi5A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:5A:2055213:2057650:-1 gene:Dexi5A01G0002840 transcript:Dexi5A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRRRRSEKRQREVAAETSGWLWRPGRRDSQGTGGGCGGVVVWEGSSGVGGRRLPKWIGFSLGDTNLVLREFEKCGVVLRHVPGPRNANWMHILYQSRHDAHKALARHGQQLNSVLIIGVKPVDTWQLKYLNENTNENYQDSATVPFPSQPIAPSGFATRNALAPLPSNSIMNGSSNESGRGGSGAIASPSKSVLSKVMDLMFGL >Dexi2B01G0035510.1:cds pep primary_assembly:Fonio_CM05836:2B:42491929:42493200:1 gene:Dexi2B01G0035510 transcript:Dexi2B01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRERKKAAGLHEKLQILRSITHSRAMNDTSIILDASEYIKELKNKVVRLKQEIAFEEETGALKQDSSPTVTVETLGHGFLVNVFSDKSCPRLLVSILEAFDELGLSVLEATASCADTFRLEAVGGENQVENVDEHVIKQAVLQAIRTCSEGDCQQEHVSTQQA >Dexi9B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:9B:12816450:12819138:-1 gene:Dexi9B01G0018040 transcript:Dexi9B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLSASEISTGEATLDDTGNFILRTSEGVVLWQSFDYPTDTLLPGMNLRITHNRHALQRLVSWKDPQDPSPGSFSYGEDPDALCGSSTVKIKMDYSGKIEILVWNSNMLDWCLDSFEPVNSKDWIGGKFSEGCRRKEPLSCQETLSIFLSAKQRNKKNWKNMISGALSTSDELAGAKFPFISFREIVLATDNFSSSNMLGHGGFGNVYKIIHRDLKANNILLDDEMSPKISDFGMARIFDGNQQQGNTNRVVGTYGYMSPEYALEGVFSVKSDVYSFGVLILEVVNGTKISCMHITEDFPNLIAYVSTTTTQTTATIVNMHLDGI >Dexi9B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:9B:536853:538212:-1 gene:Dexi9B01G0000870 transcript:Dexi9B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPAPAASAAAMLRSVRGVASYSDTLADFLDQWNSVILDVASIAATFAVLFPGPESHPKPLPAAADPAPGPPEREPSPAAPEPDLNLAPEPEGPEPNAVPEPEPIPEREPAPDPAPEPAPNPTPVPKPAPNPGREPSAAELEHRCKQMNFRELRRFVTAHVGDREWLRKVGPDALRRAEDPASLVLRTIGRYYICAESGDAEAACLLLLELYVRAGCPRARGRGDDAEAAEAREAALTWRSRILRVKGRVSLADAGAREARGLAFFMAAFGVPLEFPAQDLYDLLVAADMEACTQVLKCSKHFVKKMRGA >Dexi5B01G0035830.1:cds pep primary_assembly:Fonio_CM05836:5B:35710030:35710464:1 gene:Dexi5B01G0035830 transcript:Dexi5B01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSSAELRPPRWMRRPVPFLSVPPVVVVVVWWWGRPRPRVVWLTGYGVRRGCPTTNLARGVSGFHSGAQVPGSESVRPWRLRRASAVSTRPRTPRMLWRDETRRDGPAGGRGRGRLTTTATALLDGSGQLRDAEIAFEIRVF >Dexi8B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:8B:19296554:19307903:1 gene:Dexi8B01G0010740 transcript:Dexi8B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVASLLSSASKLLDLLRGAPPAAAPRRRSVSSGVRRLQRLLGRIQATLDDAGERVVQDRSVKLWIAELTEVARDAEDVLDDYRYELIRRRVQDLQGSGGADSSTSCKRKHEEDRGICERISRKITSWSDISTERAAVQLRLQEDDGGSGTSEEIVEITRWFEETSSDQTIPQHSLADEDDDISERIEDIIRQFEEISRDRAALQLRPEDGERITRRERDSQWEPRVPKMAKISDVKRAKENGIAYFQSCEHILLDICPEEGPELVLSPDNWLPSGLRLLNFGVENANGVPSFHRGLSTLDIGKLEIRGCPKLEALMDLEELKGLHSLISDCKGLINIGGMRCLSNLESLVLLHCPLLELRELLPVIPEYVAVFLCPKVKKWCEIQSIEYLESLPDPSHEVNV >Dexi9B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:9B:6293622:6294807:-1 gene:Dexi9B01G0010150 transcript:Dexi9B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGGGGGWDGRRWRLVERRRSGVGRGGSWEIEREGDDKVETKEANEEENTRGHASSSKKSQNPQPDNSLVNQVEDAISDDENHEDSRRGRSKLERWTSHKEIEYSNIDDDGTQALPTIKADVEAPTADELGKSEVSAAVGNTDTKSSADTGQTSDKMTEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQLPQNESAADEMEVKPERPARKRRWTSGS >Dexi1A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:1A:626151:627563:1 gene:Dexi1A01G0000980 transcript:Dexi1A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFHLSWLSFFTCVVSTFAAAPLIPIIRDNLNLTKSDIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVIMLAAPPVFCMSLVGSASGYIAIRFLIGVSLATFVSCQYWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVYEGILRCGVAPFQAWRVAYFVPGLMHVAMGILVLTTGQDLPDGNLKTLQKHGDVAGRDDFTKVLRHAVTNYRTWVFVFVYGYSMGVQLTTNNIIAEYYYDQFGLDIRVAGIIAACFGMANLVSRPIGGVLSDLGARYWGMRARLWNIWILQTAAGGFCLWLGTARQLPASITAMVLFSFCAQAACGATFGVIPFVSRRSLGIISGMTGAGGNVGAGVTQLVFFTLSSYSTAKGIQNMGVMAMVCTLPLVLVHFPQWGSMLFPASDGADEERYYASEWNEEERSVGRHGASLKFAENSRSERGRRNAVLAAAATPPDNTPEHV >DexiUA01G0004930.1:cds pep primary_assembly:Fonio_CM05836:UA:9075431:9077803:-1 gene:DexiUA01G0004930 transcript:DexiUA01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIGKDPVIANKHEWLNATLFAVRDRLVERWLRSNRAQLSQETRQVYYLSMEFLIGRTLSNALLSLGIYDDVKTALEEMGLDLEELIDEENDPGLGNGGLGRLAACFLDSLATLALPGRGYGIRYDYGMFKQNIVEGRQKESPDYWLEYGNPWEFKRHNTRYKVRFGGRIQQEGKKSRWVETEEILAVAYDQIIPGYDTDATNTLRLWSAQASSEINLGKFNQGDYFAAVEDKNHSENVSRVLYPDDSTYSGRELRLRQEYFLVSATIQDILSRHYQLHKTYSNLAEKTAIHLNDTHPVLSIPELMRLLIDEHKFSWDDAFEVTCQVFSYTNHTLMSEALETWPVDMLGKILPRHLQIIFEINDYFLKTLQEQYPNDTGLLSRASIIDESNGRRVRMAWLAVVISHKVNGVSGLHSNLMVQSLFADFAKIFPTRFCNVTNGVTPRRWLALANQPLSDVLDEHIGRTWRTDLSQLGELEQHLDFPAVNKAVREAKLLNKKRLSVYLALHLNVVANPKALFDVQIKRIHEYKRQLMNVLHVITHYNRIKADPTADWVPRVKIFAGKAASAYYMAKHIIHLINDVAKVVNNDPDIGDKLKVVFVPNYSVSLAQLIIPAADLSEQISTAGTEASGTSNMKFALNGALTIGTLDGANVEMLEHVGAENIFIFGNTTEEVEALRRKGYSPREYYEEDEELRQVLTQIATGVFNPEEPSRYRDLVDSLINFGDHYQVLADYRSYVDCQDNVDELYRQQEKWTSAAMHNIANMGYFSSDRTIKEYAETIWHIDPVRL >Dexi1A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:1A:4558506:4567207:-1 gene:Dexi1A01G0006100 transcript:Dexi1A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREHPPAPPPPPLPSSPPLSAPPPPLSAATTAVAAAAAGADPAAAAAAPAAAAAGAAAGAGRQQPRAGAAAADSAAAAAARGAAAAAGLPAGAAGSSLELPPPLLPAGAGAGAQNQTPPAPVHSPPPPPLTSTVAAAYHAELAAAVGLSDPAGLAALASTASPHGFAGSLPLDGGIRVFDPVVSAGRSAENPDRKKPREINLTVPGSAENPDRKKPREINLTVPAALEKPPEKRKEHLLEVMKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEDPDDALSWNARLQVALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVRVTECGLAELMSSGSVVQLSGRMRALLNYEAPEIHESGAFTDRSDVYSFGVVMLELLTGRRPYDSSRTRAEQHLVRWAAPHLHDIESVSQMVDPAIRGECSNILLLTFADIISRCIEVILGLYFLKLTQNNAFSVIVAHLLKMFT >Dexi8B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:8B:6525060:6525446:1 gene:Dexi8B01G0005980 transcript:Dexi8B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSGTMGFMHLVAAGMFLVIMSCMLMPTTFAVCDILPQKCNQQNCNMQKCQQWYGNQNFEKVYCKKTPFNDLCCCDFHAHPPPAGHHPSRPSHHASPHVAI >Dexi1B01G0030420.1:cds pep primary_assembly:Fonio_CM05836:1B:34413655:34418543:-1 gene:Dexi1B01G0030420 transcript:Dexi1B01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAVAIHQFAECITCHAWSPDQSNMFGHKKDLIGWISKVIRKRHESSVTSLAWHPNNQIAQLDLSSTWAFGVRWSASGKTLAYAGHNSMIYFVDEVELAPAAQNLALRDLPLRDVLFVSESVAIGVGFDCNPMIFAADDTGLWCIVPLTKGRDGTIKRFSTSGLDGKIVVWDLENHITIAK >Dexi5B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:5B:1209636:1212886:-1 gene:Dexi5B01G0001890 transcript:Dexi5B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPVKKVLVPITVGTEPVEASIPIDILRRAGADVTVAAAGVDGLLVAAMYGVNIVADANVADCADVSYDLIALPGGVPGADNLGGCAALESIVRKHALRGGLYAAICAAPPLALARWGLLHGVKATAHPAFVERFPGEVIAVDANVVVDGKVVTGSGPATSMEFALALVQQLYGKDKVDQIANPMLVRYELGYTFKELNPIQWQCSGTPKVLIPVAHVNEEMEVITIIDALRRANADVVVASAEDGLEIVGLYSMRIVADVLLDAVADQQFDQIIGGTPGAKTLAGKEKLVALLKEQAEANKPYGAISAATAHVLEPHGLLKGKKATTTHITSMAGMVADASECENCRVLVDRNVITSRSTGTAMEFAVAVVEKLLGGEAAREVAEGLLFV >Dexi5A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:5A:1205197:1211925:1 gene:Dexi5A01G0001700 transcript:Dexi5A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASRIPLKRCTAAVPAEGEPAPANAMPPAKRRRERVAPSRFKDAVPPPAKKRGAAAPPVPAPAGEVGEDRDGEVYEVEVRIAEAKGASFGPVETVVWAPERPAPSDAEVYRACRNINKSGSTSGSVLTSVSNAASDGGDAADGGLEEKPAVVECKPKRESVDKKDDFYWPEDFVLGDVVWAKSGKKCPVWPALVIDPLQHAPEVVLNSCVAGALCVMFFGYSGAGHNRDYGWVKQGMIFPFVDYLDRFQGQPLYKLRPSKFRAAIEEAFLAERGFFDLETNGVCSPRKSANGQSDPNGFQEEAASNNEQECQSEAQVVGKSTPCCDSCGNRLPSKGSKKKKQEGEQLLCKHCVKLLQSKQYCGICKKIWHHTDGGNWVCCDDCQIWVHVECDLTCNNMEDLENTDYFCPDCKSKRKTVVATEKMNTSNSSECASTSKEKLTGMIPVCCNGEEALYVPEKHMILCNCKSCKERMMSLNEWEKHTGSRKKNWKMSIKQKSTGEPLINLLDDIPCGSSKSSTPGIKKEELLQLQANVYSPVCAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARAVHDLTTWLCRACEHTQRKRECCLCPIKGGALKPTDIDGLWVHVTCAWFQPKVSFPVEETMEPAMGILSIPAEYFKKLQYSERNGRHITKMVSYCSFHSTPDPDNVLIVKTPEGVFSTKFFMQDGEKQTASRLVRKVTHQEKVLSVPANVSDCPSARCLTYEVLKFKKGPPEAIAHRIMGPRQHSQDFIDGLNACMLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMTVSDDRSQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCKAPNCRGYMN >Dexi1A01G0028590.1:cds pep primary_assembly:Fonio_CM05836:1A:34231750:34233647:-1 gene:Dexi1A01G0028590 transcript:Dexi1A01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKDGVEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVELLVGRSGGSCRRISVSGLPCDPLLSFIGDHARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGNHCKSLVGLRRVMHPIDLADKECQHDEAHAIARSMPKLRHLEMGYMLIRTEAVLEILRECRELKFLDLRGCWAVDDKFLRERHPGLRVLGPRVEDCYENSFWEECSDYSDDDSSIYSWEFMDDVDGYYAVGSDDEAIWDDGQGLENLEVRFYGGGFNESFAGFDWPPSP >Dexi7B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:7B:19565940:19566812:-1 gene:Dexi7B01G0012890 transcript:Dexi7B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRGVPGLRRIGDKNPACELKPRVPVDPLTVVSLISLASRLVDRFKHCCACTWQILFGYEPPFAIPIDLVLGQAVDPCGKCARNSRRNNFCCLVPMQSALGSGEVP >Dexi5A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:5A:10544589:10549318:1 gene:Dexi5A01G0013800 transcript:Dexi5A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHIATGGHYAIKILDRSKILSLRIDDQIRREIGTLKLLKHPNVVRLHEVAASKAKIYMVLEFVNGGELFDKIPENVLVDRKGNIKISDFGLSALPQHLGSDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDCQIPRWLSPAAQDLIKKILEPNPMKRINITGIKEHEWFQKDYVPVVPYDDDEDTLPGSVLPIKEQIDEPAQEKPTHINAFQLIGMASSLDLSGFFEEEDVAQRKFRFTSMHPPKDLFEKIENVVTQMGFEVHRGPRKLCERLSDELGVCKTEEIMRTESLGDSDMSSVDGEALPLSGF >Dexi9A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:9A:9589256:9605576:-1 gene:Dexi9A01G0014510 transcript:Dexi9A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLVIAFLTVVPLALLQRCGAAADGNNNTATYNLEYTMCSTSGNFSTSSSPYQLNLGQLLATLPSAAINNSGSFNGTSGSAPDTVFGAATCHADIPSSKNASVGYGDVMASHTPIPTVADTGIAYLTQNHAASVPEIVAFQQTRTELFTALSGAASISPLMLASGNRTFNATQTMYGLGQCAWYLPGDECSKCIRSLVTLLPVTVRRSEGVSATGFSCYIRYDLRPFKIYNPSAEGIPVAARHNLKDRLHKRLDRLALRSDRLSQAVGPPNNPRFAASDGVPPEVVIVGGLTGRCRRSSDEENSTTARSSAFRVTMGFDVGEDNIVTASLEDLSPEDHERYIALQKHIEAEFLKTFRKGPGQTAGTAGQTATGGQTAATGGQTACSGGQPNLTNAMVFVPEQPLPLFAVPNSASAGRAGSMPGAGLLQAVRPAVLGGQTACPHGRPKMLIPKRPEVGTWKMNVAKEQGVQKTKVTFDMLYDKYTKQKAVPSDRPPPVTSGQTARQGQLPRKRKLQRLRFREKQEQELEKQRDEVFNQVKPMIPQKKEWKPKEDRQAVQPAAQAVQTACQKTASQAVRPPVQAVKPGGAEALGISSSGSSIGDGKPTSVPTAEDDEELVDYSSSPERMNLDVNVLHMSMDGDMLSEEETGWPCSRSGNCARRSDRLSQAVGPPNNPRFAASDGVPPEVVIVGGLTGRCRRSSDEENSTTARSSAFRVLTQKRVNKEQLKQDAAMEDDDCLEDVNKCIGLALMCVDENPAVRPKADEILGILRINDSDPHTSAMAMVDTATMDDKATAGGGCALREGTVNQVSAILPAAWKPDWAPPKACNASPSECSLISSEPESGPPPSTVPARIKGWSPIRASGTDGGAGLKYGPHHTIGLSNREAIIISDAGLNGGPTSEPRLKLIKPTTKPRTNSLPSQHHHRWMSAGVTGPRYQARQL >Dexi9A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:9A:5853142:5855991:1 gene:Dexi9A01G0009630 transcript:Dexi9A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRAALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCIVLDRKIFMWTVGTILGLGVFIGFIMMIVKLVPHKKPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTTRRSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHIKELIKWGTDYILKTFNSSADTIDRIVAQVGVGDTSKGGSQPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVKGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTIATAPGMAKHAGAYWFGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPVFNSFNFTKDTPGWYCGPNFYTTDVLRKFARSQLDYILGKNPLKMSYVVGFGNKYPKRPHHRGASIPHNGVKYGCKGGFKWRETKKANPNILVGAMVAGPDKHDGFKDVRTNYNYTEPTLAGNAGLVAALISLADIPTGRYGIDKNTIFSAVPPMFPTPPPPPSAWKP >Dexi8B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:8B:1870795:1873506:1 gene:Dexi8B01G0002690 transcript:Dexi8B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPTPPPSPAAAGRRRVLLRPASSTCVLCSSVHELERSPAGASSLPPLRAAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWEGRGDELIPDTDLREIDLYSFQGLLKHEGKERYGVLYRQWQKNAADFSIDGHYPVRELWDRAQSCWERILAHEGRSVLVVAHNAVNQALVATSLGLGAEYFRVLLQSNCGASVLDFTPKTGGGPPNVCLNRLNQTPNSPVAAGSSGGRKTSKRIILACQGTMQSSSEIGLGGMGYAPLNMLGTIQSQKTAELLLDLKVNSILCSPQVAAVDTATAICEVQEAADCLGADCVPRYVEMRNLLELEIDDVFLAKQKSFGEIVQSGWMGSVGYKSVERLWAQSKDAWQALLNELPDDSELDRVVVAVGHPAIHLAIICRCLDLPIEYMSSFHLDNGSISVIDFPDGRKGRGIVRCTNYTAHLGRWSIPITRPTENDEEF >Dexi9B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:9B:10662731:10669238:-1 gene:Dexi9B01G0015590 transcript:Dexi9B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEDEDEFKDALATPDPPPSSPSPTSKSKTAAAGAVGGGLGRRLLASIPLPASLSAAIGRFSTPKPPASNVGLGLLLHAGPATPADGDGTPASDAASAISSPHLLPLAYLQRQHGDDQVAGPCAGVGEEDLGLVPAEEQGRTTAEDREKDCVAVDGCSVDRNDLCFRGQEEDGEKWQDDELGASVSGCMFQDQEEVMVEQGATEDFAAVVEDQSDSTAVEQCAGDETRAAKDENDVEVNEVVEELEGATEDFAVVVEAQSNNTAIDKCAGDKTRAAKDENAVEVNEVIEEQEGATENFAVVLEVQSNNAAVEQCAGYYETRAAKDEKSVVVNEVIEQEGAVSRPVAAEDGFAVGSQEEDVVVAEQSVYVISVQDQLEAVEQCSGDQLRTTAGDNSGQDKDLVDQEEETEYYTALEAVEQCTDDGSKAVKDGSIVEGVERMVKQGVVGALDAAKNGAPVESQEDDAMVAEQNEDCISVHDQHKVVELDDQLRTAIDDIATQDQEMVEQEGAIEYYYAVEAVEQCTNDGSRAVNDGNVVEDKEKVVVQELAVGLLDADRDFVTVESEEDLVSAEQSESGISVQDQNEVVEQCTSDQLKVTTDDNSAQDQEVLQQEGATKYYTALKDVEACINDGSVEAKDGNFVDEKERMVKQEDTVSALNASKDSVILDSQVVAEPVEDDISVQDHKVVEQHTRTTMNDNAAEDQEEVEQEYAIVDRDRDAETDDIAVEDQEKEMRQSAGDESRAINHENAMEFNKVDDQKDVTDEYGVIKDGIGVKILEKNNVVVVEQVGDAISQPEEGNVVKQYASDQLRATTDDNAEKQEVAEQEGATAERVVTIDECNVVEQYASDQLRATTDDNAEKQEVLEQGGAIAERLVTTDGIAVQDQEKEVEQSVGEESRATKNENGVEDSEKVDQDVIGRQSVMKDGSGVESEEEVVVISEKGGDEISVQDVGDVMEQCTSDQLRTTMEDNAAECQEVVEQEGAFIEMGVTADGFTVEDKDKEVEQSTVDEARATEDENAVEDNKVVDQEDSTDTEGAAKDGSGVESQEDVVVAEQGGAGISVRDEGNVLEQCTNDQLRTTTDDNAAEDQEVVEQEGIVNILSAANVGIAVELREVIVGAEKVEDGVSIQDQDKVVEQFPGNQQRITTDDYAAEDQEVSREKIGLSAGYPQRPAKLNCRFYISTGSCSYGSSCHFNHPQLKAKLEASSFPSEHRNHEVEFLELNRVGLPIREGARKCTYYMRNGTCRYGKKCCFNHPEEVLDAQLHTPTGWDDNNPQSSPHSKKSPEHATINDISSGSEIFPANIIRMLLPPQNVPPCTEEKEMKVKKEGNGDDTVYEHDFVAESENVTKTAYPERPGELECSHYMKHGYCKFQMNCKFHHPSDRLSKK >Dexi4B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:4B:21917654:21917887:-1 gene:Dexi4B01G0019750 transcript:Dexi4B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELKLSDGIDVDTAATTLALAEQHSCSVLKTKCVDFITRSPETLNAVLATDGYKHLVESCPLVLTELLRVAHGRRN >Dexi8A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:8A:21296333:21298478:-1 gene:Dexi8A01G0012270 transcript:Dexi8A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTGDDGTAVAAWNFAPNDALLGVTALSVRGVLGKVKAGMAVEDDGGGARRPVVPLGHGDPSAFPCFRTVPEAVDAVAAALRSGDHNSYSTSVGLEPARRSISQYLSRDLPYELSADDVYLTSGCAQAIEIVCSVLARHGANNILLPRPGYLFYEARAVFNGMEARYFDLVPDRGWEIDLDSLQALADRNTVAMVIVNPGNPCGNVYTYEHLAKVADTARKLGIFVIADEVYAHLTFGEKNFVPMGVFGSVVPVLTLGSISKRWVVPGWRLGWIVTNDPNGVLHRTKVVDSIKSYLDISADPPTFVQVKLDLSCLQDIKDDMDFCCRLAKEELVVVLPASV >Dexi2A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:2A:1625281:1625841:1 gene:Dexi2A01G0002130 transcript:Dexi2A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDFAGFDGFDFDFDFDTTTSGGAGGGFDFGTNSTATSPANASSMNAGSSGVVDEEEDEEERRRRLKRRISNRESARRSRARRQQRAEELERAVEELRSQRRALAATAGAAAARALAVRVDNARLAAEAAALRRRLAEAQRQAVLLLALARARTSMAVMAAPPPQQLAGGAPAGMMSS >Dexi2A01G0027980.1:cds pep primary_assembly:Fonio_CM05836:2A:39272783:39275485:1 gene:Dexi2A01G0027980 transcript:Dexi2A01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGDQFLKDKGGLSLSVVKSLVRRDKEERSSSEFFGDEETQSLMYSLFKLEEQFPLDGSQCNPELHHSRSLSKDLHGAPPGSFIHHLAVVIGSISSVHKMAFFWQSVVLELRKLWSDWQPVPQMPLDAAPDLNSCLLHQEIQVVNCCIARKKRRKAAKDSLDYLLKQARINNSEPRFPNGKSPESEMYARDSTGDYVLRLGADRSSENLTLLETGEPIYSPTLQEGPIMTAELIKETEELVLRTGSLGAGCSQLLSDMQAFKAANPGCVLEDFVRWHSPPDWSEDCAANSTTVGEGSSRRGRLSDRMQTKEGNLWKELWEAAKPIPAVEQTPIHDEDLAVESIFDALEVIEPSKLFQQLLAVILSVCFVTAESVLTVDSNLSKIFYDCKDYIIGIYQDDLSKDKLDEICKVYETMEAIITHPEDALQIMEQPDEKSIDNKNRFKLKLNFMAKDRPPLWKRAPKDEKKMSTKDDKNTTEEKNMKILSNLFDKKVNIFSKKNVKSPEVPPAAPPSASLGPFDDSEWTIL >Dexi5A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:5A:1154852:1156452:1 gene:Dexi5A01G0001620 transcript:Dexi5A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRCRHFAFSSAEEAAAERRRRKRRVRIEPPLNALRRGPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGGLDGASVAARAAVSSRVRPTVFTCNAVAAAMVRAGRPDDAVALFDFFFRRFNIVPDIVSYNKLILAHCDAARVDDAMQVYRDMLASAPFSPSAVSYRHLTKGLVAAGRISEALDLLHEMINRGAGADSLVFSNLIAGYIDLDNWGKAFELFNELAERCVVYDGVVHTTLMEGYWKQGKDKEAMDNYQSLLARCFKMTPATCNVLLETLFKHGKHKEANDLWETMMDKHTPPSFISMNVESYNVMVNQCFKEGKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAKKLFEEMETKSVLPDVYTYTYLVDLCFKEGRVDETMQYFYKMADGREHGPKFNIGFFNCMFEGLTEAGRIDDALKVYGRMPDKEIKPNPATFEILVKALCKKEDLDRARDLVMDMQEIGIVNCWIN >Dexi1B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:1B:23156381:23157624:-1 gene:Dexi1B01G0016650 transcript:Dexi1B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGDDPLAAAGDASVPSSPSAMQQAGEANPSDLSRLFEEHYNNLMKALQDNDPSWPSLMLKLCAVLKTADKLVSCANTNAEQLVEKVKALEGVLERGDHTVAEIVESLQRSGFAKDHQSSQSKSASK >Dexi1B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:1B:26096854:26100597:1 gene:Dexi1B01G0019890 transcript:Dexi1B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYFRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRPDREFKVLSPSEIKDFLEEVE >Dexi5B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:5B:22486491:22486916:1 gene:Dexi5B01G0020250 transcript:Dexi5B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLNKGLFAPPVYSFYAAILTVANILALTLCRSEVVLRVMFLLVVALFGQRLVGAIQHSDATPREVVASAILGLLVLSCLAAFPLVRHLHHNVFERTHRFAGRSALALLWVFAVLSACYGPTIASELSLLATVVYSV >Dexi9A01G0038340.1:cds pep primary_assembly:Fonio_CM05836:9A:42631657:42632261:1 gene:Dexi9A01G0038340 transcript:Dexi9A01G0038340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMTPLRLLKEREGTEAAGDDTGEVVVREVDPKEASGVGEGGRDAPGEVVAAEVERLEERAAAERRGHGAGEAVVVEVHAAELAEEADGGGHLAPDDVVVEAEPAQRRELAHLVGERPGEALEGELDSHDLASGAALDPGPPAGAGVEARPVPAGEEPAWVHQRLTDGLKPLQVGLRDHHAGRALPVRRRRG >Dexi1B01G0031070.1:cds pep primary_assembly:Fonio_CM05836:1B:34811518:34817737:-1 gene:Dexi1B01G0031070 transcript:Dexi1B01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILKSARESGSLNLSNRSLRSNISSRAAPTPTDSDTFELDPIQSQRLSSSRSDRWRAFIGFGGIAYGHFGSCVGNVVAGVAGLCFHFDISTSFFSTSPFREIPKEVYNNLDTGSQDEKWWEGVDLQKLILAHNNLEVLREDLRNLTSLVVLNISHNKISSLPAAIGDLPLLKSLDISFNQINALPEEIGLATALVKVDFSNNCLNELPSSLAKCPDLLELKASNNNISRIPDTLAGCSKISKLDLEGNKVVTISENMFLSWTMLSELNLAKNLLTTIPDSIGALPKLIRLDMHQNKITAIPPSIKGCSSLAEFYMGNNLLSSIPADIGTLSKLGILDLHSNQLKEYPVGACNLKLSFLDLSNNSLSGLPAELGKMTTLRKLLLTGNPMRTLRSSLVSGPTTTLLKYLRSRLSSDEEVSGFGSTSTPTKDDQIATARRLSLSSKELDLSGLGVISVPAAAWETSDVVKLDLSKNSIEDLPNELSLCSSLQCLVLSNNKMKRWPYAVISSLPSLSSLKLDNNPLAEISSTDLVSVSKLEVLDLSGNASALPEPSAVSVLPQLQELYLRRMKLNEFPNGLLGLKLLRILDLSQNNLVTVPEGIKNFTALIELDLSDNSITALPAELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAE >Dexi6B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:6B:17671946:17673213:1 gene:Dexi6B01G0010860 transcript:Dexi6B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQVYIWYNSTFSRDDEDDSMTVLRVPRLVNMASTAYLKLLLGAGAEIHLKYLKEMPKPETRMKLDLTTLLSALFFTWIVQLLLPGMLMQGAIWESLGCNGWT >Dexi5A01G0028780.1:cds pep primary_assembly:Fonio_CM05836:5A:31994558:31996432:1 gene:Dexi5A01G0028780 transcript:Dexi5A01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQVVSSNGSSAASPSPAAVRTRASRPPPPKVKQPSAAPEAKARPRTTTMAAAAAAARRQLPVALPVPVPARRAMMSTKDKEEPDKKETVEEEVVRLRGEVEGLRREVQRLLRLNADLALAQQRAQGKAISSSSDLPPQHHDNKDNHAAATNRPQQPAAPNKAPGGVLPPARPPPPPPPPPRQQKPQGPSAPITSPVSKATPVVDMYKSLTNNKKPQQQPTDRGRNHHHHSSIVGELQNRSRHLLAIKADVETKAELIEDLIKKIHTSTYTDVEQVLTFVDWLDQQLSTLSDETAVLKHFNWPERKADALREAASEYRHLKCLLADICSMNDDGGSPSSCDATLRKISSLLDRLEKSMSRLMNLRSSAMPSYKELRIPTDWMLDSGMASKMRLASVNLAKMYTKRVLKELDGRDTSANEAALVAQSVRFTYRVHQFAGGLDCEAMHAFEELRRRARPVSSS >Dexi1B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:1B:8802343:8803330:1 gene:Dexi1B01G0009580 transcript:Dexi1B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTLASSAAFFHGVLLPSFFRNPLSSRNPFRRSTSTSASATGRVSPGTLSARNSALTAKSTHWISRTSTCFALSLPILSATSAALSASLGMCTNSAGGYPAGISAMAPYTPSAIMTATLLNLTLAASAERKYPPEDAPMPTSGRSASWGNFSVVHHLMMDSTAASSLPSTPAPARDQHSARLETARTAYPRLSASRVKRRSSGSPAQRGQPPGDGEASKKSVARMVHPGAANSAARSGIDGGGSCHSTSLPLRCATRASRRTAAAAASDAAVVVSCGVGAARGRRTAVARRRSAHAEVISTAGRMDCGIPARMACISRVI >Dexi3B01G0033050.1:cds pep primary_assembly:Fonio_CM05836:3B:35429972:35434083:1 gene:Dexi3B01G0033050 transcript:Dexi3B01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVVFTLSSCCMCHTVTKLMEDLSVNALVHELDRDPRGKEMERALLKMLGGRGPAVPAVFIGGKLVGGTNRVMSLHLSGELLDHLGINKTAMAERVSRLSTEKAAVIFTRSQCPMCHTVSSLFSELGVCAAVHELDRDPRGREMEKELARHLGRAPPVPAVFVGGNLIGSTDKVMALHLAGKLVPMLKAAGAIWL >Dexi1A01G0026890.1:cds pep primary_assembly:Fonio_CM05836:1A:32764432:32768554:-1 gene:Dexi1A01G0026890 transcript:Dexi1A01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPLLLLIVAAVALHALAAAQPQRNIQTTFPSVRTPAIATPPPPPIVSPSPSPTATAPPSPPGSSSSTAPPSSSSSSAKRSDIAVAVVSTALSSFAVSGLVFFLFLRHGKKKDLVAAGGNGYSDGRQDFAAGKLPEREPRRPPRGGGGGGGFGMVDENGLDAIYWREFEKDGDGGRGRKSWRPPQPPPPRQQQQQQRVEMWPEPQQSSSPPRRSRRNKIDQEPLIPSGSVDSASEVFDESLHPPPTAGSSSSFSVAAAGSYARPPPPTPAIAVSSVPRPSPPPAPAAPASASPGLPPPPGRASPPPAPPIAAASAVPSPPAPPKPAAASPPPPPPPKGPSPPPPPPPKAGGPPLPPSKGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGASASSSRPPTAPGMPSGAEQQAKLKPLHWDKVNVQATDHSMVWDKITGGSFNLDEGIIEALFGTAAANRKPKTADSKDSAESSAGLGRSNTPEQIFLLEPRKSHNISIILKSLTVGRDEIIDALRDGHTELSTEVLEKLSRLNISKEEESTILKFSGNPDRLAPAEAFLLRLFLDVPNPLARVNALLFKVNYGAEVAQLKHSLRTLELASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTSLLHFVVEEVVRSEGKRLAINRNYSLRRSGSLARSGHEGGSSAAGQGPSREERQNEYMNLGLPIVGGLSTEFANVKRAAMVDYDAVVSECSILGSRLADIKRLLDTCSDDGFARGLRGFVNAAEQELKVLSAEQERVLELVQKTTEYYHAGATKDRNAHPLQLFIVVRDFLGMVDQACVDIKRKLQQQKKPLPSSSQPTTAAAPAAPSSTAAAVTKEATDGKPPPAPAQKPAEEVDSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >Dexi9A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:9A:12743850:12744422:-1 gene:Dexi9A01G0017700 transcript:Dexi9A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGAHHHLHHHHHHHSLSPRTPRTPTRAQPQPLLHHLPSNRFRDLQSQIHPTAGSRILRATPPFFLILLAAVYLLASVTIFSAPTPLLRLRGTSPRPLLLSMPARPPAPELFELHGGSLRVRLTNVGAAITSLLGFSLMWFLDLILWIRIW >Dexi2A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:2A:8227487:8228412:-1 gene:Dexi2A01G0007930 transcript:Dexi2A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVSPKFCAPDVVSLTVRRKVMSMTGGELTITDPYGAVVLQVKRSVLSVRRNRRILIGAARQPILSIHEKVLSMHPTWEVFRGDSSSSSDLLFTAKRCTFLKLRTEMNIFLAGNTAQQVCDFKMKGSYFDRNCAIYLGNSNTMIAQITRKYTVSDVLVGKDTFNITVFPDVDHVFVAALVVVLDQVHNSDRS >Dexi2B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:2B:27646769:27647458:1 gene:Dexi2B01G0017220 transcript:Dexi2B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPSKRSIFHLGEEGGCSYSDHHATGDDHVKSAAADTTPRRQDHGRRQRRKRDAAAVEDDAPGVGLQILVQHRHRSPEPPHSRSIVLKQVVVLPTAARHRHGRPCGGFLRACSLCRRELSPDKDVYMYRGDQGFCSEECRWQQMFNDEAREHEAMVKKERARRGLPPHRHHAARPAIRGAPGRQVAVAY >Dexi5A01G0038190.1:cds pep primary_assembly:Fonio_CM05836:5A:39259662:39261030:-1 gene:Dexi5A01G0038190 transcript:Dexi5A01G0038190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVEMIASAVVQRVAGMLGDIAWERLQLLWNFKEDVQEIEGKMVDLQVTLSYADKHSRGTEDTPVQHWLKKYKYVAYDMEDALDELEADAMIWKNSPSKVKLFFSSINPLVVRITMSNKIRNIRVKLDKIGEDQKKFALLLLPTPTDQDNNKGKGETFIGERDEIEMVGREKEKNDILIKVLQKEGDQEISIIPVVGLGGMGKTTLAKAVYNDKQTLTNFDVKAWVHVSVDFDLNKIVSDIIYQVEGASPFFRTIRGGRSLPTFHISK >Dexi5A01G0039970.1:cds pep primary_assembly:Fonio_CM05836:5A:40310337:40310941:1 gene:Dexi5A01G0039970 transcript:Dexi5A01G0039970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQACALYNNWWPMLAGECYFVSLLSHDLMTHEKVIIVLLLTWLHSYTSAALMYVLVPMPCLFFGGGSTHFLTSREGGGWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >Dexi8A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:8A:19604849:19611148:-1 gene:Dexi8A01G0011110 transcript:Dexi8A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPPSSPSPPPAAAAAEDEPRPTAAAASSSAAAASPAGFIFICSGATKAECYRHRVLGLPRGRMEAVSRIRRGTAVFLYDFDARRLYGPYRADSDGGADLVPGAFSGRFPAQVKFMIDGDFMPLPESSLKSAIKENYLNGKFSPELTSTQVSLQSLCGVVMIVEKLRALFQPINLPPESSPPHDVDNWPPAAFLPPSAHTAQPSADAHHPTTYAAPATSMVSQPSPPTPTSSLPRKRPHSLTVAEVDSAHPRASCSTAAASPAGFIFMCSSATKPDCYRHRVLGLPRGGLEAVSRIRRGAAVFLYDFDTKLLYGPYQADSDGGADLVPGAFHGRFPAQVKFMIDGDFMPVPESSLRSAIKENYFKGKFCPELTSTQVEKVRALFQPITSLLQSSSAHDIDNWPPAPAFLPPTLPAQPLAYAHHPTAYAPSLASHLVPPEVYVPPCSYPPLTQQYMQAPLPCSLYDRPSMSQYASAPVYSTGPYCQNDPYRLVNVDSRYQQSTYE >Dexi9A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:9A:339178:340652:-1 gene:Dexi9A01G0000580 transcript:Dexi9A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAAVAQQGDLADVVARAGAIMAAASRTSRPAAAAAAAAEDHMSSAAAMVVVPTCYDEEEEEEEPRPPAILEAPAMFDPYLTRTAPRGGYWLPPPHHQLSAVQISQHASCYVPMATDADEAQPARRRRGHSV >Dexi3A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:3A:5199477:5200073:-1 gene:Dexi3A01G0007520 transcript:Dexi3A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDESQSAYGWADLHSTLSTAAATLDPRRDYGTARRGVEDPGQHQPSEGGTGTGKAGRPRARPAAASACACPAWRGAVGRSIAATFRRSVAALTALASPANVHAATSTDLYFPFTTACQRRSKPEVKRTPRRFPTPKQSNPTQSNPIHTPRPIRLGFSRSRC >Dexi6B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:6B:17956654:17958185:1 gene:Dexi6B01G0011050 transcript:Dexi6B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVSALVGASRERQSRKRKQFNTVELKVRMDCDGCELKVRNTLARLRGVESVEINRKQQKVTVQGFVEPQRVLRRAQSTKKRVEMWPYVPYTNPYVAPPVYDKRAPAGHVRRVDALIAPAAGREEELATIFSDDNPNGCSVM >DexiUA01G0018380.1:cds pep primary_assembly:Fonio_CM05836:UA:39056714:39060493:1 gene:DexiUA01G0018380 transcript:DexiUA01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAVCSPQDNVGTEKGKGKSVSFALSDASGVVTPTDTNSEMGKFSDYRNDKLTDENLSNLSQQTAEKKEHNDNECLYDEGEPSEHAVEQPPKSGVGEIRSSSMKLQSRKKSKKAGTSKNTDDYVDEDCVEPSLGEEDNDSGDDYTAVNNRKVRKKSKDGVEDSQQEKVQKGKSQVSSRGRKRTSKDALAEKPEKKLTHRIRQMRAKEVKTLLETPPGDINLMNLSAAHLRLLQEARERVNAKENPSGPSSNTGFQLDDMDDLDYRDEEERNFDNDRTENCVQNVTKLNYQSYMNKQTRGKWSKSDTDVFYKGLQQFGSDFAMIQQLLPDKTRHQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKQLNIEDVAVQEVNNTHKQDGASSEQGLRKENALDHFIEEDDSNWLDEEHGVQKPDVQEEHASGNDDDDDLGDVFDWYQHDNHGRN >Dexi6B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:6B:22228743:22231191:-1 gene:Dexi6B01G0014770 transcript:Dexi6B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLHATGSTTPLPDGVARDLGDGIPPPVGQPGWWSGSLPQVTPRGTMPPNCGMDYYPPGGFMSYFQAGQQPFPPLHVPFPAPWPPVSKEFQHAPPSSDLGAQPDEARSKGKTKQTRKKGGKTIINIDDGNDVRTAKRLVFEPDEDLRLLETYARTSISRRLGVESSLGVWXXXXKVGTGRRRNVARRGQKRRAPLGMHKNRQRAYPGTCQAPGVSDGGGRRKGLSPQGVRRGRRWGEPCAVVSEHAGARRGKSIANPATAF >Dexi9A01G0049300.1:cds pep primary_assembly:Fonio_CM05836:9A:51942513:51942713:-1 gene:Dexi9A01G0049300 transcript:Dexi9A01G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSADAVVVGVRVKTTTTVEARWTRARLPRGLCGDVEGGRLRLPRAVGGSRRRSRRAAGGVIET >Dexi3B01G0021230.1:cds pep primary_assembly:Fonio_CM05836:3B:16128330:16128892:-1 gene:Dexi3B01G0021230 transcript:Dexi3B01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWYCGNSYGDYWEGRTCNWETFEDREQMMQGLARQAEPLRTRTTLEIKEEIRSKHGVPLPLDSNLYGPVCNDFYAKYGQPKDVIKFGNVSIDLRVGLREDLIKYWRDNREKYDFPVTTHGKILEEEARRERMREEMLRRDWFKFDPLKVYPPGSWEEERQ >Dexi3B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:3B:13504838:13506916:-1 gene:Dexi3B01G0018210 transcript:Dexi3B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTFEQTLQDDSILVDLAAAITMEGMSAFRFDFSGNGVLTIHGAKDEIVPAEDARQFAANIPNHELRIMPEANHRYTGHEQELTSLVLGFVRSHMQSSSPALRPKL >Dexi1B01G0026650.1:cds pep primary_assembly:Fonio_CM05836:1B:31490166:31494605:-1 gene:Dexi1B01G0026650 transcript:Dexi1B01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVPIPAPPPMPPSAAEEAEKEKRKNRRRPTRRSKQLQQGAAPAAAAPQGAPHADAAGPMSVRSMPPMHVGGGARADAERRRPRRGRATPAPCFPRRGPRRRSWRGRVWELPGCGTSSLTGLSGPWRRPSRGHVFVGKFRAYCTIDGIPVDILITGLAQNRAVEGDLVAITLDPVVHWTRMKGPNVACSPVTGGDSVAHEIGETNGNHCRRKGQADAGCRFENCSNGVPVMDRTHLHHKNSGFSQAVKCENGNATVPESNERDLSDVKSEAARALQRICAMIYSHPGRRPTGKVLSVIKKSPRRDAIVGFLASFPEFPDGDQQKNQMDVKRMNNRAQSVVTGLIHLLPTDPKFPRMVVSVSTLPDSGRQRLREGDAAIEKELVAARLDEWNEESFYPYARVVRFLGKGGHVKTHMDAILFENAISDAEFSPESMACLPDNCWEIPQKELEARKDLRKVLTFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETALDAEAQSRSTSVYTLRRKISMLPSRLLEELVSLNPGVDRLAFSVIWDIDPHGNIVSRWIGRSIIFSCCKLSYDLVQDLISGDASQSRSAVSSLQVHGIFERDDVIKSLRGLYEVSKNLKEIRFKDGALSLDTAKLMILFDEDGAPCDSYRYVRNDACFIVEELMLLANMSAAEVISNAYPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSKIKEKLQDDPVLFDILMFYASKQMQSAEYFSTGDLISKKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEEVYMKQKKSFTGRNGVKASCDLMDRCFTGLQFSKDAAESEEGKKALSAAAKKFKVPSPENLGEVAEYCNERKWAGRRAEDAGHKLYMWAMIKNKEIVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATGTLVLDACRNKPAQRRGTQMKCRAIEEVAMMVNPSESMLSEEDEESGATEAGGCTAKSVLLSGDAVKARAAPAVLPLVIHYLSDIPVVLHATGGEDCAVDIGVRLYMASYFK >Dexi3A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:3A:5935035:5935409:-1 gene:Dexi3A01G0008460 transcript:Dexi3A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSGEVNPRGDWPAGRGGVAAGRRCFECTPDKHLGRENASVCRCCLAQSHYSAPVKYAPLAAASPFSLAHGIKFTTYAT >DexiUA01G0005840.1:cds pep primary_assembly:Fonio_CM05836:UA:10522622:10524248:-1 gene:DexiUA01G0005840 transcript:DexiUA01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANCLVLLLTFLFLLATHRVVSRRHGKGGPPGPPLALPVLGHLHLLEKPLHHSLARLAARYGPVFSLRLGSRDAVVVSSADLARECFTEHDVTFANRPHFPTMALMTYGGKTIGNCAYGPHWRHLRRVATVHLLSAHRVSSSMLPTITAELRAMVRRLHRAAAVAGGGVRIELRQRLFELSLSALMETIAQTKMWRGTAVVDDDDDAATDMSPETQEFKESLDLLIQFFVAANTWDLLPMLRWLHVLGVKSKIAAAVATRDAFFQRLIERHRLKDDVGEGDKMSMLGVLLSLQKSEPDKYSDDIIMALCFSMFSAGTETTAGATEWAMSLLLNHPEVMKKAQAEIDASVGTSRLLDADDVPRLGYLQGIISETLRLYPVVPLLVPHESTVDCTLGGHHIPSGTMLLVNTYAIHRDPTVWADPTAFRPERFSAADAEGLLLMPFGMGRRRCPGETLALRTLGLVLGTLIQCFDWDTVGGVDMAQGVGLTLPRAVPLEAMGKPRQVMLDVIRKL >Dexi3B01G0027500.1:cds pep primary_assembly:Fonio_CM05836:3B:23048949:23050165:1 gene:Dexi3B01G0027500 transcript:Dexi3B01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEAEE >Dexi3A01G0024460.1:cds pep primary_assembly:Fonio_CM05836:3A:20105867:20109151:1 gene:Dexi3A01G0024460 transcript:Dexi3A01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTPAAVMFNENMQMTHKGKRADTPSVKPLKSSTKPGLQERKALQDVSNISKGTALNNRSSVKDRSILKEKSTVKERSTLKERPALHDVSNTLKERSIFKEKSALRSHQKNNKPVNIFADEEIKKCHEWAKDGVEGTHFTGNDSQKLDKDVQDKCAQKKVVKVMSALRGWSDVVVDPVTFPATEVAKFSVEVKELELESEILPDNTRCLSTTGKRAGSPRGKPLKPSVKPRLNKRKALHDLSNTLSARKGLQDLSDTLKEKSILKERLALSSHEAINNTLKILTVEETKKCHGRAQDMLESDPQKLDKDMQEERDSAQLAEDSSTDDELDEYPFLDNNPVEFELRDEPGIPELRAN >Dexi2A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:2A:30685032:30686126:1 gene:Dexi2A01G0018490 transcript:Dexi2A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAHLLCPAPAAYPTKPRQLPTRGRRIHRAKPSRCLSCRAALGPDGSLAVLGVPNPRPAPPMRRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFDHEAATRQVFDRFHACYDALLASGLPEAGLSALDIAELPLYSVGHSNGALLQLLVGSYFSERLPKANAIVSFNNRPASEAVPYFEQCQVTDAD >Dexi2B01G0022900.1:cds pep primary_assembly:Fonio_CM05836:2B:32509958:32510419:-1 gene:Dexi2B01G0022900 transcript:Dexi2B01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGATGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAAKEPKSPKKATKSPKKA >Dexi8B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:8B:279671:280342:1 gene:Dexi8B01G0000420 transcript:Dexi8B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTIVLPAAAVPSPSPVPTAVSSADNNDANPAAARAFLSRLLESTRRALSGARPWAELADRSALSRPDSVADATSRLRKNLAYFRVNYAAVVALSLAAALLAHPFSLAALLALLAAWCLLYILRPADAPPLAAFGRTFSDKEVLGGLIASSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEPDQGAGSGNPLLSFIAGATGGRV >Dexi1A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:1A:18835139:18835738:-1 gene:Dexi1A01G0013590 transcript:Dexi1A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLATILTVSSSSRSPTRGAAPARRCRSIAVRRPSFPSRCRRGRGKKPNPGPPAPPLFLLPESSLPPWLDRCLHAVTAAALALVLALSSGPPLLPAAHASSSMGVRSPMDAAVLPAYPCEDIGRYYAGLDGLVGDELRTKLAAIVSPHAALRTP >Dexi3A01G0024020.1:cds pep primary_assembly:Fonio_CM05836:3A:19625182:19627018:1 gene:Dexi3A01G0024020 transcript:Dexi3A01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVEREGEGEQKKWKQGGYKTLPFIMGFNANLISYLTQQLNMTLVDASNTLTNFGGTTSLTRRPRRPSVVAFGADQFDEQKGAEAWAHRKRRYFNVYFFTMGSATLLAVTLVVYIQDNVGWGWGWGFGIPAISMFVSILVFVVAYQLYVLVAAFKKRNADVPEDTGMLYQDKDLDALISTKGRLLHTNKLKFLDRAAIVTPGDVSSDSGRPKLWRLSTVHRVEELKSAGIILAAAGSHNGSFTIMQARTMDRHVTQHFEIPPATMSIFTTGTTLVTIVLYDRVFLPLARRATGLPSGVTYFQRMGIGLAIAISGVASAALVETKRRGLASQHGLLDTPKAIVPMSVFWLVPQYAIHGVADAFASVGQMEFLYDQSPESMRSTAVALFWLCGSFGSYLSTVLVTVVQRATRGHGDWLQDNINRGRIDNYYWLITFIMVVNLGHYLCCFYFYTLKPLEVSGEHGDRDKECEIPSSQRNENDAGNGVGMA >Dexi3A01G0023020.1:cds pep primary_assembly:Fonio_CM05836:3A:18598297:18603053:-1 gene:Dexi3A01G0023020 transcript:Dexi3A01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDSTLGRNQVADDEPGAALEVQKPPVSGEADAAAKRLEEQQQSFSFLESVVPGLAFHGGLNAGGDAGSRASPQLTRSLSQRAGLGKAKAGAAKVSEVGSILGRASTVGLEKAVEVLDTLGSSMASLNSSSGFVSSNVEKGNIISMLAFEVANTIVRGSNLMRSLSEPSMKHLKEVVFHSEGVQYLISKDDDELFKMAAADKREELEVFTKEVVRFGNRCKDPQWHNLDRYFEKLASERTVQNHLKEDAESVMQKLVTCVQCTAELYHELHALDRFEFDYRTKQKEQDGLSSRGDSLDILKQEVKGQNKHVKSLKKRSLWSKNLEEVDNEDPEEPAKHYNRLGPAGLALHYASIINQIDNLVSRPCAMPPNARDTLYHGLPPTVKSSLRSKLQSFELKEELTAPQIKAEMEKTLRWLVPFASNTTK >Dexi8B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:8B:1906999:1912033:-1 gene:Dexi8B01G0002740 transcript:Dexi8B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAALPLAAGLGAANTPTCPVVGNGGSVDDDAAIKLQKAYRGYRTRRKLADSGDVVEDLWWQALNFARLNHSTSFNELEPKTATSHWNRAIIKASKIDPRHRYGRNLHFYYDFWCQSKAGQPFFYWLDVGDGKDVDLPECPRTLLKKQCVKYLGPQERKLYEYIVHEGKVIHKQSGEPLDTEGAEWIFVMSTERRLYAGMKEKGGFHHSSFLAGGAIIAAGKFTAENGVIKSFYSYSGHYHPSTKDLNNFVKFFEESGVDLNEDKACPLTSGDYCNHPMPNDTQNIALHSNPPQVILSPNTTKRHGGEDAPTEEKYQKTLSDNLDIPEAIDVPQNAILDGTKSK >Dexi8B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:8B:21391407:21392015:1 gene:Dexi8B01G0012130 transcript:Dexi8B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVAVTCLARRRRRMHCDAAAAAAATELEQMQCPPHDDQRHHRWPAEHGLLPDRRRRASSGRPGRGRRLLQDGAPDSGQRRRRRQAAVPTVNELAPDLAEGAPAASVAASEEAPASGDAGAEAEAASASPASEASSASLVLCAVCLEEVRRRGRGGGEARTTTLPCSHSYHPGCVMPWLAAHGDCPCCRATVPSPENHRH >Dexi1A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:1A:551392:552090:1 gene:Dexi1A01G0000860 transcript:Dexi1A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINNKTRKRKAASTPQAPPPLPKLPDEMVVQEILVRLPVKSLVRFRSVCKSWRAIVSDPVFIDTHLRSSTSRSEQDPAFLITPITLNRIQPGDTTHPPISNHIRFYQWQPQGGGDDSNGGGGGNNKNNVATFKHAKDFAGEFNKFYSFSHCDGLVLVPTETKLYLFNPATRDAITLPDSELNDLQHRSGACHRPGEYKVVQAFFRAIYAGVITVGGDGVWRETTRPPYPK >Dexi2A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:2A:4805625:4806241:-1 gene:Dexi2A01G0005120 transcript:Dexi2A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDADNLSATELRLGLPGTSSSDGVTKKPSPSVGAKRALDDTRTETSGTGPAADADDQDAAAAPATKAQVVGWPPVRAYRKNTFQAAAVAAKKKAEQQGGLYVKVSMDGAPYLRKVDLKMYKGYRELREALDALFTNSVSAAAAAQGGDHQHALAYEDKDGDLMLVGDVPWE >Dexi8A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:8A:4116292:4117869:-1 gene:Dexi8A01G0004680 transcript:Dexi8A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSLFKVCRYGPSPLRNLDHYPLIRRQSNLLHPPNAAAAAASPTPRLLAGGEHHPPPTSAISPEHPFLSAHLLLPSPSPSRTDLSSPHLPHALAFAFLTQPSPLPRRLLVALHAAGARFPAFYQAFASALLSLPFPLLLPHPRARLLRAASELARAAAPGFAPLLASLLRRVPFPGDALLLEIFAEHAPFLADEEPQLLVSAVFAFLRLLARNRLAPVRSSSECKSAKSLEECREKLVSFCVSMLQDHFQVCALIGRDLVRCLHELALVPEFQPLWRDLVVGRVGDVCRMSTPGWCMAVAITVEMETQLLFMMNNVKWGDQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNEVIQSGVIARWAVIGWLLTGCSKGYVVANVKLALFYDWLFFEEGRSSVMNIEPAMLLMANSVPQYTDITNMLLEFLFLLIDNYDLTRKDAIARCVRSAFRVLVKKRVVPSLEPLTCCEKLSPLLRQKLVAFLSSSNPGAAEDSWGKPTDVSKETELKRRVCAQTRP >Dexi7B01G0022560.1:cds pep primary_assembly:Fonio_CM05836:7B:27436791:27437225:-1 gene:Dexi7B01G0022560 transcript:Dexi7B01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAITMPSLVFLRRALRRWRSRGGAATEDTSSSSSSGKGAVVPAGHVAVRVESGEGSRRFVVRVAHLGHPAFRELLRRAEEEYGFPAADGPIALPCDEDHFLDVLHRVSSSTPSSCCCGPALKRRGDSRPLLQGMAVEKLIC >Dexi8A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:8A:1009691:1012045:-1 gene:Dexi8A01G0001420 transcript:Dexi8A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGRTLLLPLAAATVLVASTIFLFAAAGARWRPADTGLPVPPAVFSAAAVPVTASSNATTGARKELSFLDENGHPDDPAAGSDSGSASRCDPRAAAVRVFMYDLPPEFHFGLLVWSPPSPDSVWPDLTNDATPPPRYPGGLNQQHSVEYWLTLDLLSSSSPPCSAAVRVADSRDADLIFVPFFASLSYNRHSRPVPPEKVGRDKALQEKLVRYLMARPEWKRYGGADHVIVAHHPNSLLHARAALSPALFVLSDFGRYHPRVARLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIYRKELICCIIHAQGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLDYSKFAIFVRSSDAVKKSYLMRLISGVSKEQWTSMWNRLKEVDKHFEYQYPSQKANAVQMIWQALARRVPAIHLKVHRSSRFSRSDRGK >Dexi6B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:6B:20598498:20599589:-1 gene:Dexi6B01G0012860 transcript:Dexi6B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVDVKYRPALFLNGGAGSPAAKKMRPAAAAWGAGEAAVYRECLKNHAASIGGHALDGCGEFMPSPEFDPADPASLRCAACGCHRNFHRRLPDAPPSPPLLALPPPPPPQPAPAVAPPHVMRDTRAMRGEETPPVDRVPSAYDDETEEEESDEGSDFEEHRPFSPVPTPAMGPPPPQGYLQPAMAPHMLLALSTGGAMTTPAAAAPRPQQPTLAPLPATGAAAAAMAKKRIRTRFSPEQKQRMQALSERLGWRLQKRDEAVVEETCREIGVTKGVFKVWMHNNKHNFVAGHSARRSASASAAATAVHPPAHAAAPAAPPAAPLPAAPVQADFSINGTAAAADYFRVQPATATASGGAPQSS >Dexi7B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:7B:5643440:5644685:-1 gene:Dexi7B01G0002850 transcript:Dexi7B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMAEEKAAGGAADAAQQQLASGEAAATRVRPVETLLRAAPLGLCVAAMAVMLRDQQSNEYGTVAYSDLGGFKYLVYANGLCAAYSLVTAFYTAVPRPATVSRSWIVFLLDQVFTYLILAAGAAGAELLYLAYNGDKEVTWSEACGVFGSFCRQARTSVAITFGSVLCFIILSLISSYRLFSAYEAPPSAALGNKGVEIAAYPR >Dexi1B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:1B:22887252:22890293:1 gene:Dexi1B01G0016370 transcript:Dexi1B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGGAAAGAAAVHHHTRSPEDVFRDFRARRAGIVKALTTDVEKFYQMCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKDARRRLFTMINNLPTVYEVVTGVAKKQSKAPNGSSKSSKSNSKPSKQTNSNSKPVKPSHPKEEDSGHEDAEEEDQAYLCGSCGESYANGEFWICCDICEKWFHGKCVRITPAKAEHIKQYKCPSCSSKRSRE >Dexi7A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:7A:2000671:2008112:1 gene:Dexi7A01G0000700 transcript:Dexi7A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGRRAILPLVRTTSTTSTAASSQPAVLTVGHALRERRRFTEADVAAYAAVSGDRNPVHLDDAVARELGGFHRGRVVHGMLLASLFPSIIAACFVRALLPPFFSSAFRRTALLEPRVAAGRLLDFWNAWATEILVTLSLSQQLILLLFSGIRRRQGRNPKRLLLWLAYQLADNTAMYALGNLSLSSSLRQQRLVAFWAPFLLLHLAGPDNITAYSLEDNKLWKRHLLTLVVQVLGAGYVVYKHIIGSGILFALGTTLMTAVAVAKFCEKTWALRCANFSVIRETVEAEDMEKQSKCRLYLEVEPPQWGFKGKVVDKEEFLMRRAHAVFRVCKSAMVDSSENPGNYVVGILKYLKENEMEYMWTLTEMELSLMSLMYDILYTKAPVIHTLPGYSIRIVSPLAVVASLLLFLFYGIEGNRSTDVAITFVLLGSAFLMEMISLLSALGSTWTFSFLCATRWSNLRHTALCLERWHQLRRMVLSLRRLAHSTRITGFFRLSRRWSGTMGQYNMLEMCTARPRRLAAILGHGTPAVGVPNGLKDLVVIYIKHMIRSDYVNTLGMVRGKWGTEALKRWKGHSNITIEHKFLGAELHEEIIIWHIARHFPRPKSQYQG >Dexi7B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:7B:11901460:11902276:-1 gene:Dexi7B01G0004880 transcript:Dexi7B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKKGKVIPNFVLGCAHSTENFQSHGEFAGVAALSQAPASLAMLLAARGMPQFSYCLSKGTSRHGFLRFGTDVPHNSRYQATRILPVLDASEAAYYVDIVGVSLGEHRLDRIHPQMFARGKDGEGGSMIDLGMSVTVMAEEAYRVVEETMWSDLKEHGAERVERHGYGLCIRVTEVVKGRLQSLSLHFAEEEEATLVVSPKQLFLMMEDERAGQITCLAMVPGRRTVIGALQQVDTRLVFDLKDSKILVAPESCIEDTDPDV >Dexi2A01G0033170.1:cds pep primary_assembly:Fonio_CM05836:2A:43466432:43467860:1 gene:Dexi2A01G0033170 transcript:Dexi2A01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTIIPHDHQPRLQQEREQAPVILKPPPPQMIPTTTKKHARRRTHTSRPYQERLLNMAEARREIVTALKIHRANMLRQQQRPTLSHHHREPPPPPLPPLRQCQSLMIQQLRRHEQHQQQQVQLVSQYHDQNQVVGEEEAPPAPTSSFAADRQLGDDPLAHWIAAGDASAGSHYYCSTSPFVPYDLTPLELEVPTSAMGGMEQLARSLPAQPLGLNLSFQGFGGSVSVDAAEDCDQDLFGGVPLIQSSSLSPAASSYYSPEATEMASGTQHASPALISTVEEYSPAPAAFMPMLDDGEMQSGSGEAQGEETAADVTAASAWWSKILLESMESCGEVAEGGAADGCTAEDEAAAAAISLPVEWRWLCDNDGVVDEQGAVVKGTAEPPDVMETMLTDGNYYTFCYSDEAGRRRGRDDINLPCMDDGILEGGTGSDSHAHHRS >Dexi2B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:2B:7240512:7241011:-1 gene:Dexi2B01G0007070 transcript:Dexi2B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQEAPPLRFNYSITLLLLLLLIASSLHGAAAVPTGVLCNHKTYGDDDPFGASLVQLLQQLVLLTPYEGNLYASLPHTDNLQV >Dexi3A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:3A:4752158:4756868:-1 gene:Dexi3A01G0007030 transcript:Dexi3A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPHMQGSGSASASCRAADYSAAWDAAQQQKRQRCQDSSSSDHVGSSTENKSLEASGPELKFDYGKNQEEDYYFEDDDDDCYDDDNDGSDYEYDPADYNQLLADKFDHLDLPTGVEASVPWLQKVERDVPGKFKSMSEIEEEIAKKYNFFKQFDTVDDFSDHHYAKSSVGKAKKEWAKRIQHEWSLLEKDLPGYERYANSAEGLRAALEYNDTTFEYSCRTMLYSLRRSPQHFEDLVAGHFRERGPAILAACKYYIEGHEVGCKVPAEDEEKKESQDGEGSSSSSVALTPQNKPKFRSNRSPSFKPNLEVLFEELLMEFNVKGADTAKFRAQKLKNQQADA >Dexi3A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:3A:12216634:12217275:1 gene:Dexi3A01G0016290 transcript:Dexi3A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLATNFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVMNKTDLAPPVGADLAVMERDALRMRKEGLLCETRSGRGGNCESYSAGVHGMQVKHGVGEVEIVNHILQAWEIVTGNKRR >Dexi3B01G0029990.1:cds pep primary_assembly:Fonio_CM05836:3B:29308346:29308597:-1 gene:Dexi3B01G0029990 transcript:Dexi3B01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYEGPPDGFVHSLLVDLRETIWTLKREKTELKAALADAVLKLEQQRKEMKRMSQSGKCGMKRVWMFGFFVSSVVAAGVFCI >Dexi4A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:4A:15748132:15755212:1 gene:Dexi4A01G0014140 transcript:Dexi4A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFWRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARQRKRQREELRRGKESGANCKVEAEECDAYEEGNDEPVAMIEESPPDIEQDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASVQPLTDTAKEFLANKYKDLTKFQDEAMTIPLAGIEAILLSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Dexi2A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:2A:5648272:5649361:-1 gene:Dexi2A01G0005940 transcript:Dexi2A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSMSLILLALFAARLSTSESISSGDIDDGEQIYVLYLGHLPSSDNPSEPESMSTSVEAAHHDLLNKVLDDGRRCRKSCPWRGDVIIGVLDTGIWLDSPSFSDDGFGPPPSRWKGVCQNFNCNKYEPKQFSLAFG >Dexi3B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:3B:8121150:8121232:-1 gene:Dexi3B01G0011570 transcript:Dexi3B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVAMPVALLFEYELPW >Dexi9B01G0027090.1:cds pep primary_assembly:Fonio_CM05836:9B:29552841:29553744:1 gene:Dexi9B01G0027090 transcript:Dexi9B01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVDIEEKRDVSSDDDECIEIGPAEFVKKLNLKETDDVVLVAVKGKIVKVEVDHPEGVAKALYGFGSETNHKHVPGGDCIDSPYKIDEDEMSLLKAKIEDRFVIDNPSAKLHGADHKDGQFFCEDKDENGCHTANILRGKLVVKLEPGESIGVEAIPDKPLAKCEPVGNNGVECAADEEGSYDHCLDISTKRIFDEEDDDDVVVV >Dexi5A01G0024950.1:cds pep primary_assembly:Fonio_CM05836:5A:28817884:28821591:1 gene:Dexi5A01G0024950 transcript:Dexi5A01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRSSSALESRSSLTLGELACAALLPVFAMVDAVLLAASRCFQKSPPPRLMSVLDARGGIRVSGRLSLRELAELADESRCFTVNEVEALYELYKKISCSTIDDGLIHKEELQLALFHMPSGKNLFLDRVKQMVIATLMESQVELSDDLVEAIIDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPHLKYGPYLCFLHFFILSSFVVNELDTTTAFPSFVFNTQVED >Dexi2B01G0025930.1:cds pep primary_assembly:Fonio_CM05836:2B:35106975:35111836:1 gene:Dexi2B01G0025930 transcript:Dexi2B01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSIDWNDELNDDPGTNEDIPNIDNDGCEPVSDSKQGAATRAEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFAALPNEALSEDSDEGDEPKREYTAAGLALKEKYGRLRARQKDRIAQRHIIKNYPDDRLEEFMTPYDSIANAENPLIIVEDPNSSRISGAKRFSESTSEMRQSSKKSKRYAEIPQDLYARIPGNAPPSKHHSKGTDAFNPGTPNHLLPVLGLCAPNADQVNSYKNSLSGPSIKEHKKASGDVTNKPLSTAADHSSEHGNEPLSASDKAIFPGASEEALRRLHNIIPDSYFPFSHIPPMSGKGVDPVENSGHSVASFQGKLGLPNFGLDDNIPLKHMKSIPDMLPNLSLGAQKDYIRNSVPELPDSSLLPNFMADIAGTSKQKSFMSGLLPGLGLNPVQPIHSAMPDNHKKVLDSIMMRAQYASNKFLKKRSKLDYWSEDELDALWIGVRRHGRGNWDAMRRDPKLKFLNNRTTEELAARWILEEQKIIEEPMSTATRRPSATPFPGISDAMMSRALNESNFSKLRMEQPKLQSHLTDIQLGSSDILSRLPHIEAANYMNSGEGGPSQIPWQDLKHRSGYGGDFPGNTFDKLEKPDIGMIPPFMPNPFMNDSIGSLPINRKNNSTVPQNEIGPSSHESIFLHGVSDGQINLLHEMQRRASARLGKQPMENLDRTDQLNPHLDNTSDLGGLKSNKLPHWLQEAVRAPSSKPPERELPATVSAIAQSACLLLGDQEMAIPPFQIPGTPLSRPKDPRINSKKRKLRKVQQSTDVEHSKTVSSPGDQDATPTPPSIEASCAPPTVDCNDGVPALNLNSLSSSSASSQGKSDAPPTFEESHPNVEGSEAIEAACRSKSEASEISCQITGLSPVDDKTSGSHGSPIKNTPDNKTRLPESDNLAPAAPELPVVGEAPGTSSSIAADTSVPFDENNLKQSDPLGSARSTINPGEPTEKPAPPEETIDSDASPQM >Dexi8A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:8A:24605200:24607529:1 gene:Dexi8A01G0014190 transcript:Dexi8A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLLLLLGFLPTIKGELLGKLCDGNSPGELYNEFYHYNLLVLSATLPNDTSSSQNLSAKGSVGVDQGTMYGLALCRGDANSSVCGDCISAAFEAIQQGCTNNTEMVVFYDLCLLSLSDQDLTDAGSYSEIVYGVINTMNTTEAKLPGWDHSNGFSISQIVQDLLLETSMPPAYVYPARRYVTAFMDATVNNPLLYSMAQCMPDLISNDCWNCLTNITEIAMASFAGQQRGGVFTVRCVLRYDTNVFYSGKPMLLIGPAAVSESTLPSIAMPKLKHTTLNEMATSNTEFGLIRRQTKALNVDPQKGPQDKAKMNVEADADETLLWQIEGRVSEFKVYDFSQVMEATSNFSERNKLGQGGFGPVYKIQ >Dexi2B01G0035170.1:cds pep primary_assembly:Fonio_CM05836:2B:42299618:42312239:1 gene:Dexi2B01G0035170 transcript:Dexi2B01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFQNVAFSDVSAAAVLAAEGATAAFGVGAATGGPRLSLVKAGKPEVEPTVEIDLSDAQIFKLGPSEWLCVCGESEAKAGVEEKSFSRAIKVVLRTEAESKAFSLAFQRWKQQVISGKTGTYYAAVMENRCDFAGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPALGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTTMKEEDLYEIDIPLSFVASVGTRVHGLACWFDVLFGGSNVERWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQVITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLSQDQQQQQQLPSLQPQGSEQQMQEGLSPGITIDQVDQECGLH >Dexi4A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:4A:15393919:15403670:1 gene:Dexi4A01G0014040 transcript:Dexi4A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHVTAAAATPAALFTGAADGTVLHWPLASASASPSPRPSSILCAHAAAITALCPLPSPASLLAACAAGVLSLFSASAPLRCLRRRSLPPWAGSPSLITPLPSTASSNPRVAILCHAPDDGGGHRQVSALVVVDARTLAVLHTAFHGTLSVATPRAIAVGSIADEAISVVLADAQGRAQVVPVAEGAVVEGDSPRRLSISSVSSVASAETADGRVEAVALSCDGKVVALMLKTRCLLKCVVEGAVLGELSLLGTSLCKADRAEETGCTVGGFFLSGEEWNARASDGGIVARSLVLWSTSGAAAVYRVLVGTSSFEFEPVCEIPDNWSMKGGGSEVKFCQPDQRLVRVECCSYKLWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQKKAKELDDADNLKLLLHNLDLSYRLHWVGGKTIKLTRHGQELGTFQL >Dexi5B01G0026200.1:cds pep primary_assembly:Fonio_CM05836:5B:28006413:28008623:1 gene:Dexi5B01G0026200 transcript:Dexi5B01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVTKFHAASAPVPCSCSPQRYAITGWTGAGIRDHHRRRRTRGRRSLRVAAVAAESRRSEGGVAEDYYAVLGVMPDATPKQIKKAYYNCMKSCHPDLSGNDPDVTNFCMFINEVYTVLSDPIQRAVYDEIHGYAATATNPFLDDSAPRDHVFVDEFSCIGCKNCANVCPKVFQIEEDFGRARVYDQSGNIQLIEEAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVGVMLAGMGGSIDVFRMEKVRRRVSQDDSSKGGSWSDIWGAPSRYQNQKNEEEVKERAKRAAAAARRWREYSRKGADKPPTYKLPEAVPNKE >Dexi7A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:7A:29455198:29456686:1 gene:Dexi7A01G0020740 transcript:Dexi7A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNLAFAALLLLLLLATRAHGIRLDKQLHEAINSKQQMGDPKSGAGEASIAADSVKIRHCTPDGRCSGTTEQMTPTPVVAKDSEVGVTFDAAGKVERALAQADETAEAKHQQQEEVVISSTGNGHTATVDVRRGAEAARHSAAAASRRVGRQRRATFPDLMDIAGMDYSPAARKPPIHN >Dexi9A01G0027310.1:cds pep primary_assembly:Fonio_CM05836:9A:31843835:31849335:1 gene:Dexi9A01G0027310 transcript:Dexi9A01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRKEPRCTSWFCVADTAFKCEVFEDAVLVDWHQSLLEQDGTYHHFEWAIGTDEGKSDILNFEDVGMNGQVHRKGIDLDQFEDYFVTLRAWRLDGRCTEFCVKAHALKGQSCVHRRLIVGDGYVTITKGESIRSFFEHAEEAEEEDVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDRDKEKILVKSKDSDDTSPTSLSNQEAPSNESLDILDRYSDSEEEDNVVVRQHSSPDSSVDQSSNRDSDERSNEHECNATADCDGSFSCEESISSRRNLRYRRDFPQEQDTSYWYEDCQDDSGDIQQHSRDRIRNNTRGYNNVFSANNRARDRYNPCSCGHQEEYRYFSNTARPNRDMKMTRKTVEKPRLQYRRCYPSDSYMVPKGGRVGGTPTKNAGPKQVWEPMDARKKANMGNGNSAAVISDDADRSDQVECSNDSISECEKLDKVCEPLIEVCSERSEEACKSGTDQPCREGEKGQSACNDGPHVMDKADSGLTKDTGRAANLTSSDSSSCLSEGDRDSSMSSMTSLSAQNAESSSTSDSEESSDRNNSSPGDPPVKSASRSLLEMCAGNGFREYQPKGLHPPDSNQFGFRVSPFQDQMLHHQKVHAPPYSAPFMGFHNHPLPVPTNGYLSYPQPGHFYPGPVAPVGYGVSGNQCVDFPMPYSNNVHPYSGPEFGFLPSPPVHKTPVSFHAVPAPPPTPLCRSEVPVVMNQERQQSHPLPPKLNQAVPVAENVSENVCTEDNATKEKQKDEDSTPFSLFQFNLPIAPPAPAASKEERGGGAWAPSRPQPPIAPAQPCSMEETNIKEYNLFSGCNKGAMFQL >Dexi6B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:6B:26583896:26587796:1 gene:Dexi6B01G0020030 transcript:Dexi6B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNGWQLPAHTLQVVAITVYFLLVVAFYAFFAPFLGKQILEYIAIGTYTTVAFAVFILYIRCTSINPADPGIMSQFEDGFIDAPGSTANIQDTTLPAKPDIASGTNSPTSACRSSLDGRSNRGGLADGDTNVDLRSPLPKSSRSCLLGGLVCALFLKEDCRKFDDSENQVDGEDALFCTLCNAEWLNNCVGRKNYFTFLALMTTSLLWLAIEIGVGIAVLVICFTNKNSERIIHDKLGHGLPRPGFATIVAFFTLLSLVACVPLGELFFFHMILIRKGITTYEYVVAMRDMSEAPQEEEDQEEVNIVYSPTNSATTGFSRASSLSLHYKGSWCTPPRIFVDQDEVIPHLEPGRVPSTVDPDAAGHTERANKAKKQVKISAWKLAKLDSNEAMRAAAKARASSSVLRPIDARRGPGASLSSSGNASMRSSMSADYSGSATKEKWADMKLSSFHSSSYPQSLASQDDYESGTQSASNVSSPVHIHKPVPHTQISVPPRAPPLPPRPAPLVPRPPLPIAQMSNPVFQSATSYVRENRRASVVWDQEAGRYVSVAPAPTRPGAGVGGDQAARTPRFLANPGGELPRFLANPGGEPSNRVKALALMNASSSALPSGQPSERLTYTGQSIFFGGPLLGAAAAGPRRSNDAGARVRQEERRELTAHQRDTGGERRRTAESFPVFAPGEFPKNTPPFNR >Dexi3B01G0021620.1:cds pep primary_assembly:Fonio_CM05836:3B:16463375:16468108:-1 gene:Dexi3B01G0021620 transcript:Dexi3B01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIDMDDLRNHNLDLARRVIRTPGEYMQPASDAVSEVARNLDPKFLKEGERVMVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHFCPVTGEFLSREYRDITSFVGLPTGSVYPTRDDNGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDVNMMMVGDPSVAKSQLLRAVMNIAPLSISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKAGYAEEDDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDGGANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGGNDGTADGHGSSGNDPMDVDVGNTSNDQDIPAERIEAFEAILGQHVLANHVDQMSIDDIEQTVNRESAAPYTRRQVEFILERMQDANRIMIRDGIVRII >Dexi9B01G0023720.1:cds pep primary_assembly:Fonio_CM05836:9B:19141624:19141908:-1 gene:Dexi9B01G0023720 transcript:Dexi9B01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQSTGNDLYLLPSLPRNKWPHGCVKGLRARGGVTVNISWKEGNLHEALLWSSSGQNSLTRVHYGDQTANMSFSSGVVYRFNRDLKCLKTWPL >DexiUA01G0027580.1:cds pep primary_assembly:Fonio_CM05836:UA:58841479:58848178:1 gene:DexiUA01G0027580 transcript:DexiUA01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPHQAREHSATNGKESDAHTGPAEQLVQEAMQDGGEVLFLDGIGEVVVSIGRDGLSLQPLHQELVSSCWSSITLQPKLDNKIKFSDVYTIELLDKGPISGPWNTRTAIHGKTNIEVFVHPLCGKGRGVKNWETVAPLFDRAKIKTKVIVTDRAGHAYDTVASLSDIELKALDGVVAVGGDGLFNEILNGLLNSRYKASYPPTPEGFGYFGRNENCEEQTRNGQNCSMPIPDSANTMFPGNSSKRDDHEPLLSTSRPTGLEIPSLNSSKEPCTGDQDNLVSFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPKAEVLPTVRYAASFAGYGFYGEVIRESENYRWMGPARYDFSGTMVFLKHRSYEAKVAFLETPNTNSFTASAEDDVSGVQQLQSRHKRPRKIICRRNCFVCKEASTSGQTSEDEITDSSRTICENTKWVWSEGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLSFKFVEHHKTPAFTFISSHDESVWNLDGEIFQACEVSVQACRGLVSLFASGPEV >Dexi4A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:4A:3245227:3246035:-1 gene:Dexi4A01G0004600 transcript:Dexi4A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFPDGAHVRLLSRVRDMPTRTGWNVSLTARRHYLNTVWLVHRLMRDGKHYVLFHSAAYGGYLGLSCSENQTWDLFQGMYTSPTQEDILWQAVKVHNGKGVILLRHVEYSSYLLDLTRGEDGNAGRRWAIEAVPATRHAPPLRRRTHLVPGIIRRQVTLIKMDYHGNLVTDTAQKFEFKGRSTYDLRAVLANKLNKIPSNLTLCLFVGSEGRLTPLMGDLPNREDTVVIAVLETGSL >Dexi8B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:8B:272451:276088:1 gene:Dexi8B01G0000400 transcript:Dexi8B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPTTAAPIRAPPCPGINRVSLPFCRRRPRRAAPSTRCSRKGEPAVTDVVQEEAPRSPETQGKDGEEEEEEAAAQRGALGCLRIDGVALDILSIAVPAVLALAADPITTLVDTAFVGHIGSAQLAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVVDDSSNSIGQSFLWFTSIFLSDSPMRAPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAILDAVLIFPLGLGVSGAALATVSSEYLTALILLWKLNNEVDLFSWNIIGDGIIRYLQSGGLLIGRTIAVLLTMTLSTSLAAREGPVPMAAYEICLQVWLTISLLNDALALAGQALLASEYAKRNYKQARMVLYRVLQVGGVTGVALAATLFIGFGSLSLLFTDDPAVLDIAQSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTLFASAISSVFLLIAAPKFGLGGIWAGLTLFMSLRAVACFWRLGSKGGPWEIIWSESE >Dexi5A01G0035010.1:cds pep primary_assembly:Fonio_CM05836:5A:36921425:36923097:1 gene:Dexi5A01G0035010 transcript:Dexi5A01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKPILSLLAHLHAALLFLPAANPAAVMYYYNVMRYGARPDASADAAGAFHRAWADACRSTRPATVYVPHGVYLVSTATFSGPCHGHVAVTFAIAGTLVAPSGLGGRGSSGRWITFENLDGLVVSGGTLDGRGRALWACRLRRSDCPTPTSSLTIANSRDVVVAGVRSVDSELFHVVVIQCVGVTVRGVMVEAPADSPNTDGIHLHRSSHVAVYDARISTGDDCVSIGPGNSHLWIERVACGPGHGISIGSLGKQEGMAVEAVENVTVKTTWFTGTTNGLRIKTWGGTKRGFVRCVTFADATMVAVDNPIIIDQRYCPGGGASCAGKSAASSSIRISDVRYVGIRGTSATPVAVTFDCSRSNPCSGIHLQDVALTYYHGRPAAVRSSCRNAQGSTLGLVLPPSCL >Dexi2B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:2B:2506408:2508452:-1 gene:Dexi2B01G0002930 transcript:Dexi2B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAEVVLLDLWVSPFGLRCRVALEEKGVAYDYREQDLVHKSELLLSSNPVHKKIPVLLHAGRPVCESLVIVEYIDEAWPDAAPFLPRDDPYACAKARFWADYIDNKTRLWKLKGEAQEQAKNDLIEALKTLESELGDKPYFGGDNFGFVDIALVSFTCRFLAYEKLGSFSIEEHCPKIVAWAKLCSERESVAKVSPDPYKVSEFIQFLHNRFGAN >Dexi5B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:5B:584509:585094:1 gene:Dexi5B01G0000910 transcript:Dexi5B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTIIVVAAVLALAGVASAEKAGGFVVTGRVYCDPCRAGFETNVSRSVPGATVEVECRPFAGNKETLKAEATTDEYGWYKLEIDQDHQEEICEVLLAKSSDPACAEVEEFRDRARVPLTSNNGIKQQGVRYANPIAFFPKNPLGDCGAILQKYDLKDATETP >Dexi7A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:7A:14491700:14494470:-1 gene:Dexi7A01G0004120 transcript:Dexi7A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNTPSSPLHIQVIPEMAANDSLVTARVIGDVLDPFNTTIDLMVLFNCAPIVSGMELRSPEVSDRPTVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDNTYGRELMCYEPPAPATGIHRLVLVLFRQLGRETVFAPSRRHNFNTRSFARRYNLGAPVAAMFFNCQRQTGSGGPRFTGDYTSRRRAAG >Dexi2A01G0029740.1:cds pep primary_assembly:Fonio_CM05836:2A:40763293:40769654:-1 gene:Dexi2A01G0029740 transcript:Dexi2A01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQAPANGAGHGDTANGGETTSVVESNGGAPADHGGSNGSNGVGGGAAPSSVAANGNGNNAKQKRADGRNKEKKKAAAISPKDKYWTPIDDEEAAAAVEDGGEDGRRPLLFRTYRVKGILLHPYRLLTLLRLIAIILFFIWRIRHPHADGMWLWWISMVGDFWFGITWLLNQVAKLNPIKRVPDLSLLKQQFDDLPDGNSNLPRLDVFINTVDPINEPMIYTMNSILSILAVDYPVDRTATYLSDDGGSIIHYEGLLETANFAALWVPFCRKHCIEPRAPESYFAVKSRPYTGNVPDEFVDDHRRMSREYDEYKVRLDALFTKIPERSDAYNAEANEGAKATWMADGTQWPGTWFDPAENHKKGQHAGIVKVMLKNPGDEPQFGAAASSANSLDFSAVDVRLPMLVYISREKNPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSLAFRAAMCFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRIAVYGIDPPRWRSDDFKIVDNADKFGNSMSFINSIPAAAHQEWSMTSPPADEELIKEELDSVMKCAYEDGTEFGKEVGWVYNIATEDVVTGFRVHRTGWRSMYCRIEPDAFRGTAPINLTERLYQILRWSGDSLEMFFSQCPLLAGRRLNFMQRIAYTNMTAYPISSVFLVFYLLFPVIWIFRGEFYIQKPFPTYVLYLVIVIVMTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLATLHIVLKLVLRGNGVSFKLTAKQATSTVNEKYAEMYIVQWTPLLIPTIVVIAVNVGAIGAAIGKAIVGGWSLLQMADASLGLVFNAWILLLIYPFALGIMGRWSKRPYILFILFLIAFVVVAAVVVAIHVARTGSFRFHFKHSGGASFPTSWGF >DexiUA01G0026070.1:cds pep primary_assembly:Fonio_CM05836:UA:54874546:54876748:-1 gene:DexiUA01G0026070 transcript:DexiUA01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQKANSGHPGAPMGMADIAEVLWNDFLKHNPNDPSWYDRDRFILSNGHASMLLYSLLHLSGYDLPLSELKNFRQLHSKTPGHPEIGYTPGVETTTGPLGQGLANAVGLAIAERTLAAQFNQPDHEIVDHYTYVFMGDGCLMEGISHEVCSLAGTLGLGKLIGFYDHNGISIDGETKGWFTDDTAKRFEAYHWHVVHEIDGHDPEAVKKAIQEAQSVKDKPSLIICRTTIGFGSPNKAGKEEAHGAALGEEEVALTRQKLGWKYPPFEIPKEIYREWDAREEGEKAQHAWNEKFAAYQKAHPELAAEFSRRMSEGEKAQHAWNEKFAAYQKAHPELAAEFSRRMSGGLPEDWEAKTQALIENLQSNPAKIATRKASQNTLNAIGPLLPELLGGSADLAPSNLTIWSGSKSLKEDIAGNYIHYGVREFGMTAIANGIAHHGGFVPYTATFLMFVEYARNAARMAALMKARQIMVYTHDSIGLGEDGPTHQAVEQLASLRLTPNFSTWRPCDQVEAAVGWKLAVERHTGPTALILSRQNLAQIERTPEQVKNIARGGYILKDSGGKPDVILIATGSEVEITVKAAEKLTAEGHAVRVVSLPSTDIFDAQDEAYRESVLPSNVAARVAVEAGIADYWYKYVGLKGAIVGMRGYGESAPADKLFPYFGFTVENVVEKALSVI >Dexi3B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:3B:1880701:1883035:-1 gene:Dexi3B01G0002820 transcript:Dexi3B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLLRSMAFLACLSLAAAAAAGAVPQPEPEVKPSDTDALTIFRHGADAHGILAANWSTGDACAGRWTGVGCSADGRRVTSLSLPSLDLRGPLDPLSHLAELRALDLRGNRLNGTLDALLRGVPNLVLLYLSHNDISGAIPDAIARLPRLVRVDVADNSLSGPIPAAAALGKLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQLSGRVPDAMRARFGLASFAGNAGLCGPAPPLPPCSFLPREPAPTPPSSVPSSLVPSNPAASSTVASSSPALATPESLGKAGLSPGAIAGIAVGNALFFFALASLLVACCCCGKGSGEPGGAKKRKRRGRVGLEDGDGMLFGHHLKGEQPARPGSAGRGSDGGDSDGARSKLVFFGADGEGGEDDDDGGDSDGAPLTSHLQERRSRFQLEELLRASAEMVGRGSLGTVYRAVLGDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPHLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHKMTGESALDWTTRVRLLLGAARGLACIHREYRTSGVPHGNIKSTNVLLDAGGAARVADFGLALLLSPAHAIARLGGYMAPEQADNKRLSQEADVYSFGVLVLEALTGRSPAAQHPHPLPDAADAQRRDKKSSAAAAASLPEWVRSVVREEWTAEVFDVELLRYRDIEEEMVAMLHVALACVAPQPEQRPSIGDVVRMIESVPVDQSPMPEEDDRDVSVTSPSIGVTTDDGGGRLSY >Dexi3B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:3B:8063900:8065422:1 gene:Dexi3B01G0011460 transcript:Dexi3B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKPKVYGWAMSPFVSRALLCLEEAGVEYELVPMSQAAGDHRTPDYLARNPFGQVPVLEDGGITVFESRAIGRHVLRKYKPELLGEGSLERSAMVDVWLEVEAHQLHPVMAAIAMECLFTASLGRARDQAVVDENVEKLKKVLEVYEARLAGNRYLAGDFVSLADLSHFTLIHYFMATEYAALVEAQPHVRAWWEELAARPAARKVAAFMPLDFAAAKKE >Dexi1B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:1B:3033491:3036278:1 gene:Dexi1B01G0003840 transcript:Dexi1B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAAASPGGAHSSPLRPAHSSPSSTLSEASNSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATRPPPARQLSGGLAAAFDTSSDLLPPLPVLGDSAFLLRDMPSPSPRSPSGGAKNCSSPAPVSSVFREFRDPAPSPASPDTVDVDELGEIDFDDDDGFDAESILDVDEATAGAAEGLDGIMGSLTVESNTAATAATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDLTVAPPTPPAAAPAATDTAMAAPPAPEKKKSKKKKVVKVEKIMAKGKEEMPTTKCKEEPDGSVEAANGDGDGDGVPTKAPKTGLGLKLDADEVLKAWSDKGSMFTEGGGPESPTSAADVRAKLADIDLFPDNGAGSGIREARVLRYKEKRRTRLFSKKIRYQVRKGRFVRSPSLLQQALEEES >Dexi5B01G0032020.1:cds pep primary_assembly:Fonio_CM05836:5B:32658527:32659793:-1 gene:Dexi5B01G0032020 transcript:Dexi5B01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSPSSAPSYSDALMNHHAASFSSAAALSVTVPAQIPRAAAPGYLDGNVAGAFSSPPSSCYSSSSSSSLPAFSYYNSIQRSISSHSLPVHIQLADVSSFASGAGNNGFLSPSSPSPHHQLPLPPLSSSPSSSSGDLFEFTSSCPVRRVFSTGDLQGMNGSSPPRAVPSGDGGSGQEGGGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEADGEGDEREASEISYEYCCGGHNEHSSNSNGNNSASSCYDNSGSTHHYRMDGLGSNGSSVASAFNGNGEWWWRAPGAAAAADEVQRMQRQVGFDDDEELWATLGDMLSVNLAS >Dexi9B01G0021100.1:cds pep primary_assembly:Fonio_CM05836:9B:15768174:15771371:-1 gene:Dexi9B01G0021100 transcript:Dexi9B01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLRHLDPAAAPSPAPSAISASACAAGDSAAYHRRAAFADDVVIVAIVFSSFLLLLSVTYERNFDKLHTLSVSSAYRTAICKAKRGGFKDTFAEDLLVPVFKALVDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLKTVNRQCSSGLQAVADVAAAIKAGLYDIGIAAGLESMTVNQVRIDGQVNPKVELFSQARDCLLPMGLTSENVAHRFGITRLEQDQAAVESHRKAAAAAAAGKFKEEIVPVHTKIVDTKTGEEKEIVISADDGIRAGTSLAILSKLKPAFSKDGSTTAGNASQVSDGAGAVLLMRRDVAMRKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKAAGLQISDIDLFEINEAFASQYVYCCKKLELDPTKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDSRFGVISMCIGSGMGAAAVFERGDGVDELTNARGIPSHNLLSKDVM >Dexi5B01G0039580.1:cds pep primary_assembly:Fonio_CM05836:5B:38355493:38359304:1 gene:Dexi5B01G0039580 transcript:Dexi5B01G0039580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPESTKLFDVVGRAGSGGNNMASASAPEKAAAAASGTLMQVLNSGGARPPPQGGYGYHPAGTVAPQMLQQLMMLAGWGTRPPSSPWMMLGPSSSPSSSSIRRIHPAGAHAAAAAGTTTTSSSVIISRRRRRKAPNVTPLEITGPAAPPPSKRKAALLLPPVLAMPTTAGGAANGRVRKRVTTKEEEEEEARKPKQQQTRTRSTTAPPPPPPNTSQSSSSNLAPPPHLRRMTRKRKGAAPRSTQLVPRRRSAVAQPPNKFTVLTCLIHAGFLSHGEKLFYVVPAGAHNHKQQVVSGAVTSTGVHCSCCDALVTLPVFAAHAAAASASVAAAASSSAAPWDKLLLVSGHSLLRRMQEAWISLRQDKLLQDKRRRSKKNIKDSSDDACGVCADGGELLCCDTCPSTFHPECLAIEVSISLTHSLFVFLFSIILHTYVLIDAADGQVPEGSWACHYCRCMLCMANDHHAGLSTCQGCTAKYHQHCRPLLDNGPDIGAYCTETCNKLYAQLSDMIGVMNPTQDGFSWSLLKIQKDAQDMPLVLENNLKLAVALGVLNECFNPVKDRRTKIDMLHQAVYSLGSEFKRLSYEGFYTIILEKDSEIISVALLRSYSHSLFFIPLFNFKRFHGRKIAEMPFAGTLPNYRKQGMMRRLVMAIDQVLASVQVERLVIPAVDDLVGIWRSSFSFRPMEPQLFEDIKRLNLVVITGTTLLCKPVAVPHAANTSFLVSNNTASSEEPWWLKYTVGAPPLTDEERAFLETFGGSFTDMLLTDSPPCPGPGSSSAAAAAAPPPPAGGWRSCGEASVMALQQQPTSTRGNQLLHGMK >Dexi3A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:3A:7625328:7626551:1 gene:Dexi3A01G0010630 transcript:Dexi3A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADACVILTCRTGPGDELAELLWDNGPALRRAPPPFQPFTCSAAGSSRSHELKRHAAAALAMPPLGMHDAGGGLPVHDDDAVPWLHCPVVVDDDDRDTAPLPPDYCAGLLSEYPAGLSAAPPAVPASRAAPPQEVAAKHAAAAGEGVMNFTFFSKPLQRPQAAASNLPVESTVVQAAATTNRLRGTPLFSEQRMAWLQQPPNNNKGARATAAAAAPPPPAVPQAALAPPDHHRHGEAATVSQRRLQPAEARAPADAAAVTTSSVCSGNGDRSHPKRSSHHVTDCSVSPDEDLDDEGGAMRRSAARSNKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKARY >Dexi3B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:3B:3744786:3745718:-1 gene:Dexi3B01G0005550 transcript:Dexi3B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFASLRAQGKSGLALRLLLAAALAGFLLVFAARSLSSPSPSPSPSSRLQQQETPAAAAECADAGNDNNNKQGIPLAVAEALVHYTTSNTTPQQTADEIGVSLRVLQRRAPCNFLVFGLGFDSPMWAALNHGGRTVFLEEDASWIANVRSKHPSLESYHVTYDTVLTDADALLELRSHPSCVAQPDLAAAAGASCRLALKNLPRVFHELEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARARRPGDGPTDVFVHDVDRPVEDAFSKAFLCEGYLAEQVGRIRHFVIPSHREKEGTPFCP >Dexi2A01G0027610.1:cds pep primary_assembly:Fonio_CM05836:2A:38962888:38967015:1 gene:Dexi2A01G0027610 transcript:Dexi2A01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPALVLLPEWGSGHLMSMLESCKRVLLSGDGEAFSITLLVMRPPTAEATSEVESHVRREAASGLDIRFHRLPAADPPSDAVGVEEFIARYIALHAPHVRDAVAAMDCPVAALVLDMFASPLVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHEKVAVEFEEVDGDLEIPGLAPLPPASMPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTTDSLEPGPLAAIAEGRCVPGRTAPPVYPIGPVLSLGDRDRSLAPSHECVAWLDAQPPASVVFLCFGSMGWFEPTQVVEITAALERCGHRFLWVLRGPPSPVSRHPTDADLDELLPEGFLERTKGRGMVWPRWAPQKDILAHPAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADVGVAVPLRVDRKRDNFVEAAELERAVRSLMGGGGEEEGRRAREKAAEMKAVCREAVAEGGTSHAALKRLAEALHSGASIPKNSENSRRRRSKSSNPCPSMATPTVVLVPTWGAGHLMSLLEAGKRLLARAGGALSLTVLVMRLPSDFEQLAAEVAGLIRREEASGLDVRFVHLPAVEPPTDTVGIEEFVSRFVQAHAPHVRDAVAALDRPVAALVLDFFCTTLLDVSRDLAVPGYVYFTSDAAMLALMLRLPALHEEVTVEFEEMEGGVDVPGLPPVPPTSLPTPVMDKKNPNYTWFVYHGRRFAETDGIMVNTAAELEPSALAAIADGRCTRGVRAPVIYPIGPVISFPSPSEKKQTSECVRWLDAQPPASVVLLCFGSGGFFPAPQAHEVAHGLERSGHRFLWVLRGPPAPGSLQPTDANLSELLPDGFLERTKDRGLVWPTWAPQKEMLAHAAVGGFVTHCGWNSVLESLWHGVPMAPWPLYAEQHLNAFMLVEAMGVAVAMEVDRKRGNFVEAAELERAVKELMGGGEEGRKAREKAVEMKAACRNAVEEGGSSYSALQRLSEELCKGAVLDTSN >Dexi3A01G0035070.1:cds pep primary_assembly:Fonio_CM05836:3A:40332906:40333971:1 gene:Dexi3A01G0035070 transcript:Dexi3A01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVARRLLTPHFAPPPPARDVSVGGPGVAAGPPRRAPRGHLPAPRRPCRASPACTTFRRVISGRRFRSLRPAPVLGFLEFDAPGKFCPAEPPNRYAPAARGLAQFADFTFSFLPGGPIGWHVCDARVLLYRSSFTAYFVDLVVCDPLHRRYVQLAPIHDCRRGSVGELAFEDFDTFLDPATERDKEEQDLPSRVICAEHYQRKLVTYHFSSVTRKWCRKTFGRSTPLDPTTARFCPWFERQYVHSCFYWVFLGIGMGSLDILDTHEMKFYVLDQLPGGNAGPQALGIVEIGGGRLGINPEHS >Dexi4A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:4A:19881723:19885187:1 gene:Dexi4A01G0016300 transcript:Dexi4A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARRGRGGGGGANGVIRPRPRDRGDGGGSMAGRVAVLAFCIVGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFVWSFIMIKLWLGGSNSAGHAPLWKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYLCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYALCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAIIMFAAPLLFSNWPYANGFEAVKFCQDNPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSPKQWGSVVMVFSGLSIQIYLKWKKKKGREHKE >Dexi3B01G0025680.1:cds pep primary_assembly:Fonio_CM05836:3B:20503397:20510361:-1 gene:Dexi3B01G0025680 transcript:Dexi3B01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEDYDGQDGGAEAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGASSRSSSGGGAGGEGEDGADEGDGEEYDSSNLAGTRGAGAGGYRDDERGVDEDEEVEEERDLFGSDNEDYVRTPARSHYLVPVLPSIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEFACFSRAEGGSVYFDDRSLTYRNNLNKILATAYLREPWKMGVHKRMGVVYLDVHKLPERPKSEAERKRCYWGYSFENLATENSFNEDGGGIDANVEFCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEKYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGTLVRTERLRTKDITQKVKAKNYWQMKTTFCNLYIHLIDWSFYVPSLPALKP >Dexi4B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:4B:7218500:7219373:1 gene:Dexi4B01G0009920 transcript:Dexi4B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPRGRVERLYWATSAAEVMRSNPGHYVALVTHRPGKPAEEERRGAARVTRVKLLKPKDTLLLGQAYRLITVAEVTKALQAKKEEKTRRAQQQLQPNKHAGGNPGSEPQQLLPCPPY >Dexi2A01G0028240.1:cds pep primary_assembly:Fonio_CM05836:2A:39517508:39519245:1 gene:Dexi2A01G0028240 transcript:Dexi2A01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVGGGQAVDAYRKALSTAASAAAYAVMARSLARELLPPELRAAARWVASALGARLGRGKPARRTLVVRSQQQARGGGGGGGGAEENLLFDAARAYLASRLDPRAMGRLGLTLARARDRDGRASWRRVFFLEPGDSAVDVFEGVEFKWACVEAPSSGRDTEKDKKGEPGTGGDRNFVLELSFDAEHTDVATDRYVPFVMEAAEEVEQRDRKLKICMNEGRIWYRLSHHHPATFDTLAMDKELKRSIVADLDLFAGRRDHYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANHLRYDLYDLDLSHVHLNASLQWLLVGMPNKCILVIEDIDCCCDAMSRENDKATPRAGDCAGDDEAGNGTPSDSDAPPPPAKSKSKSKNDQGMEGITLSGLLNFIDGLWSTCGDERIIVFTTNYKERLDPALLRPGRMDMHIYMGYCGWEAFKTLAHNYFLVDDHELFPEIQALLAEVEVTPAAVSEMLLRSDDAGVALRGLTEFLQEKKKQQAAAADGGKQISEEEEAGKKA >Dexi6A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:6A:3959896:3960159:1 gene:Dexi6A01G0004320 transcript:Dexi6A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLAWVGLRHNQFTGSLPSTMSNNLTHIDIGYNQFVGGIPSVAVGLVDFVADSNWFYATIGSGMPLLQQLNLANNNLFSMIR >Dexi5A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:5A:2143074:2157074:1 gene:Dexi5A01G0002970 transcript:Dexi5A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSWPPPLPAAVGAELARLEARLGQTADPSVRAKLAELGDAAAARVLATVAASGDRVRTLSGFVVHLAKQEAMERNAAGIPTAESAACNSGPFRADDLAAEPDGMEVESPHRWISTGGLQNQSCFSPPVASPVSNRGTTQAGCHGYEYEMRGTLAQDHTPSPVCDITRRVQLLDGPAGRVVAATEFSPTTGNASRATEASEPSPQMIALGELEPVRFFLIVVYLAGKKLEEVVEDVNYIMYLKSLPMDCFEPEIWNKFGHESLPVSQRRKFISPWTLGPYFDNTRTHLQKVVGDDNVLIVKFADVPGVKNSADNFGIYCMYYRQIAEDGIFLGLRRFALILSKTITFDVPMSDINVVIIDDELCKDEHGKIATDDGGEALIHTDGTGRISFDLAIKCPVRVFKGNFLKGHELQDTVDPEKHRYLVSYNLISSLLQLPKKTIHIRPSMIKINADPTSLGGLPFNSLEVVTTSNRPRKSHTSRNLIALLLCGNVPAEYFIEILGKALEDANKTRNKAGDSLEVALNHADMDDLTSARMILAGIQPEDEAFLQYQLDIMTKEERKGFQEGKIPIDDCCYLMGTTDPTGTLKPDQVCVIHDNRQVSGKVLVYKPPGMHFGDIHMLNATHIDGLEEIVGNSKYAIIFPTSGSRSLADEMANSDFDGNMYWVSWNPQVALVSDSLPFTLLKHFKPGTPWKHKSQPKNTKQKKPQDYDGPELERLLFCEFLRARFSPSYVLGTAANCWLTLMDRFLTPEVSQSEKDTVKIHMLELVDIYYWALDAPKNGTKITIPQRLMVKKYPHFLEREPSYNSTSLLGWIYDEAKSQQSEPVLAIKISPLKCFTEEAVSEDYKHRWTSLYHNEYLKQSSALCKVEDKEERNAGFRELFRCFRRIHYEAEEFEASPRSKLDLFSDACAIYQVVYEHAAPRNEVSKCGFAWKVAGRTLCELYLLKHGGETVTCLRSVLEDAFKKYRIATTSCCSSRSSATRRGREEQEEEEETIGMATRSVLAMLGRRCSSSAAASMGGKGVEIHQAFRPVPPPTSPFRRAAVGNPQFQSARVSHGNPRLINASANYQ >Dexi7A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:7A:28439515:28441591:1 gene:Dexi7A01G0019150 transcript:Dexi7A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding EERLTALRFLQAGALSPTKLRMKIMGAHNRVRVITSNSSSRTSPAKNTEASQAQNRLLVCDVLEEVSENSDGTKHPSATNKTEAVEKDSTSDVNKVQSTSKSSVPQPATSNSSMIHPVRPVEEDSTECDSGLDNASTSSFEFHGGEKAVTQNPAVGYFSRQASSKWNDAEKWIVNRQNVNQNISKGAAQNQTVHQINSAAARGVIVPKISGRPVQKMKRVNPTLSAPRSILERLSFASYQPKLVRHADVCPVSSSSGTPEYHKATDTGSLIEVKPCNDPKAIATVQSVSVRDVGTEMTPIPSQEPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSVASPGEDNIDDGPYFNRKGGTYGNEMSDTEMRLKTRQEIAALGIQLGKMNIATWARKEELELVSAAPSIADLERMKKEYATRAASYEEAENTKHTARFKKEEVKIEAWESNQRVKIEFEMRRIEEHAERMRSEAMAKMAEKLEMTRRITEEKRASANAKMNQQAAIAVQKAEKIRQTGRIPRSNILCCSGCFCEP >Dexi5B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:5B:4808466:4809210:-1 gene:Dexi5B01G0007190 transcript:Dexi5B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDARDPSPEPQPYDDLGDADDWARERSPTPVHGDDGGAGSSSKPRKRLLKKGGGGGSGGVPGDDGLEDFGLEDADADPASEAKKRKGSSALRDLARGGAGKEKKEKKRRREDDGRGRDSGMAREKRASGGRGSGGGEVQDDGEREIQELWDTIAGVK >Dexi9A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:9A:8450378:8451624:1 gene:Dexi9A01G0013180 transcript:Dexi9A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSQILPFANAFYRGLSDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGLKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKV >Dexi5B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:5B:689416:695071:1 gene:Dexi5B01G0001070 transcript:Dexi5B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSVVMSALGIGIGVGVGLGLASAPWAGGAASGSAARAGVTVERVEQELRRLMVDGAAADSKVTFDEFPYYLSEQTRVVLTSAAYVHLKQADISKYTRNLAPASRAILLTGPAVKTKFLLEELYQQMLAKALAHYFQAKLLLLDPTDFLIKPFKRSISETTLERVSGLLGSLSILPQKEQPKVFVAGTIRRQSSMTDVKLRSSESMSNLPKLRRNASTSSDMSSLASQVSYHLMNNKEPEYRNGKLVLSAKSLSHALEIFQETKMSDKDSMKLEATGDALKAAEKGTATAAAKSETKPASLLPPVRPAAAAAPAAAAPAPAVASKAEPTKKDNPAPAAKAPDVPPDNEFEKRIRPEVIPANEIGVSFEDIGALDDIKESLHELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSTESRELIMRRLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKLKREKGGIPSDATKKNEKEEPIILRPLNMADLKEAKNQVAASFAAEGAIMGELRQWNELYGEGGSRKKQQLTYFL >Dexi6A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:6A:4067657:4068691:-1 gene:Dexi6A01G0004450 transcript:Dexi6A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAASGVIAKPARGFQVFRIDGYSWTKSRTKSLPAGERITSEYFAYKFSLLDASGNAAYELPAETGLVTSPTHRTGYADVSREEEEDDDVKARVGLAEHCSRIDCLAIRCHVGVTEMGVHAVAPKEESHSNARHYGDDYSDWEDGEAQESTSRRRRQPKPLDDKEYVRRSLAKNRGA >Dexi1A01G0023350.1:cds pep primary_assembly:Fonio_CM05836:1A:30027875:30030524:1 gene:Dexi1A01G0023350 transcript:Dexi1A01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITKTLERYQHCCYNAQDSNGALSETQSWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKLEAEGSSNYRTLQHAAWPAPGGTIVEHDGATYHVHPPAHAAAMDCEATLQIGYPHHQFLPSEATNNSIPRGAAGGENNFMLGWVL >Dexi2B01G0035020.1:cds pep primary_assembly:Fonio_CM05836:2B:42141587:42154405:1 gene:Dexi2B01G0035020 transcript:Dexi2B01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSPPEPSTPGTPTPVLSVRIVSIDYYMSPPLPGFDFSRSPFHGALPSPPLVLFLLLPRVSNLPLAGIEPVATQARSWRRFRSSGSTAPPPRGRRLASTSTGYYPHEVSRAATLLLSGAVLNRSLQPYESHIPYLLHFLIDYNLYGMGHIHVKDFKFRILNEKYKMYTSLSQTTEGTKMVQSLVAIWEEIERLRLLEETKYADLGRPLREEVLKGFLHDIKYESALSMLFSQEVPQHKVSATEDSERLERCFKSLTDIVGTVTFSQDDYCDDIDVGNSAGMQDKPNASLCSGSFKQTVQTISPERNSEYVVSSSVTQRMLSQLSDEGEKQVDAEALGLLSWLASSQAAEDPTTDDELINEVILSPLFGKKSIEVALESAHLDFDSASQQECQDILDSVDPVLTESRGELRPDPWFDAINAVSLAIEDDADNTVEVHVFIRDNSDKSHRRRNLDGIAGCNVDVFPEEKDLLNNLISAVCSIDPDILVGWEIQLGSLGFLAERAAYLGIGLLRRISRTPPHELNHPPKAPVDDSSQVLAEASSADDVIDDVKVKLNNYSLEAVADEVLRRKIPLIPSRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSMFVLLKGHSREEAFRIGKEIAASITAINPDPVTLKFEKVYQPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSIRIMFEEQDLTKVRSYLERQWTRILSGCPRIDFIGTLRPYTPEEEHSVPGVWSCRHADIHTILKPAMSHASQLTIQQLQPAIGDEARSTLSLSSERPDACTFCARVLAGLRSVALPNGNAMR >Dexi9A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:9A:912044:916402:1 gene:Dexi9A01G0001730 transcript:Dexi9A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAYTLTLLFSSRTDASLRRRIGSARSPGPSATRRLRARTRRPAGHPGPRIAPRRLVDRMLRLMCSTLLSLAEAWWAWLWLVHCVANMPLTKHLRVAIIDSNPALKSRNHLTKNSIPDSRVSTVTPATISFFKGMILDQTCALCCLLAATSHSIVTFGFYIIGFLLSCLYFFLLKYARLVSLLETKHVIVIFLTCVHINVADIGAWEHVEQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRLQEELDDIESVIYPTRLVSLTFPSKSRQAGKPTSSEQLSTGHATEELLRSNLVKLDLSDGRSLYTKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVVENDCAWQRFLPSGPIALLPVGNNFSNIVWTMSPEESLRHKSMSAEEFVKSVNHALDFGYGPHPSSSALDHYMEKFFSGIGNSAASTKECFEVPPKATGVVSERMAFPLSLMHSHDYVLKGLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAMTAVLDGFQKMYSIDFGPLNVVRAAAFHGAQYISPLKKNIISYAMGDTKWPLFS >Dexi2A01G0031680.1:cds pep primary_assembly:Fonio_CM05836:2A:42424255:42427253:-1 gene:Dexi2A01G0031680 transcript:Dexi2A01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDAPLMRGGGGGGGGQPLSRGSRIAAAVVVGVTLGCLCAFFYPDGLFSRASDSATHWPRRADSVACETSREVSSLKSQLLSFERKNAELRKQINELSMKLQLAGQGKNEFLYKAGPFGTVKALRTNPTVTPDLSINPRLAKILEQVAVKKELIVAVANTNVKEMLEMWFTNIKRVGISNYLVVALDDTIENFCKSNDVPVYRRDPDDGIDNIGKTGGNHAVSGLKFRVLREFLQLGYSVLLSDIDIIFFQNPFDYLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSRPGYEGLHASKRTMDIYLFMNSKVLFTTVRKDAHLRKLKPVIVHLNYHPDKSDRMKAVIEFYVNGKQNALEHFPDGSE >Dexi8A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:8A:28212635:28215348:-1 gene:Dexi8A01G0016570 transcript:Dexi8A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVMVSLESLSLELPSGEILVSYDKDISALQGDGSKVLMVVHISPSEDDVGETVCSLSFAKRARSIESNRDIPEVLKILKQKRLAELDKEIFDAEEEQKYLNEQIRRTEISLEEKKKLSSSVCQVLSDEKGSPRSTLVVGHIDATESPRPTEKAKIRVSQGSVPHFMSSTVCSRQRHSAGSHSVSKPKLTKPVNRYPAELSGSQSFSYSICKNAAKARSVAFSSSAAKMKSLPVKSDQINISSNSIDSTATSAPRRRESFGSRLVQRAPLHQHRRRMSSLT >Dexi9A01G0029670.1:cds pep primary_assembly:Fonio_CM05836:9A:34564570:34571727:1 gene:Dexi9A01G0029670 transcript:Dexi9A01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSLVPASGRAVVVWSQFLILPSAKLQISHSFASSPFSTLWYSSSPPPSRPRHLVGDMPETASEADLRRQLEQTLAADPSSPLHHYNLGVFLWGRSEAEEGDGDEAQRLRAAAAEHFLSAAKLNPNDGAPFRFLGHHYARCGDTQRAAKCYQRAVALNADDSEAGEALCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQVYQRKWSDAIQNLQHAIRGYPACADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNILLMLGDFRKGVEQFRAALEMAPRNHSAYFGLASALLAWARDCVTTGAFGWAASLLKEASEASKICASLTGNLSCVWKLHGDVQLALARCFPWVDGKINGHMDAEMFKYSVQEWRNVCRSAANGAKHSYQRALHLTPWEANAHNDTAICLDLIYSMDDNNIHNHNVWELTEKMSLGALILEPINKDFWVTLGSMSSDLALKQHSFIRALNLDMSMSEAWAYLGKIYRQSGNKQLAKEAFDRARSIDPSLALPWAGMSAENYHQSGGGAVNESFESCLRAAQILPLPEFQIGLGTIAAFTGNLLSPQVLMAVRQAVHRAPHYPESHNINGLVSEVRSDFQSAIKFYQQARFALGMTHHSKSDNKNAFADVSLNLARSLCKAGLATDAVRECEELRSQGLLSMDGLQIYALALWKTGRNDEALSVSRDLAENLSGMKPETATAALGFICTLTYAISGKDSAAAVIHKLPGQLNYSSQLKFIISALDALHPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGKAIGEKLDMPLRFDASLSYLKKVLHMYPDCSLVRNQLGSLLLWSGDWMASHKAIRVPSLTRGHTSSIGLRSAHQIQACAMVCCYATCTSYPKFSFPTCENQYLSGDDAIQHLQSYTVNLVMLSSLLSSATCMEIARRFAAPQFISRAASSLRKAQQKSHASLPLVSLLLAQAEGSLGSKTKWEKNLRLEWFSWPPELRPAEVYFQMHLLARQSAGAASQQNQLVETMQSPEMWLLRAIRLNPSCSRYWKALLQLMDE >DexiUA01G0001970.1:cds pep primary_assembly:Fonio_CM05836:UA:4863294:4866775:1 gene:DexiUA01G0001970 transcript:DexiUA01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASPAAGYGPDGVYRSPRPAAAIPSDPELSLVDLVLRRAAACPDAPALVDAATGRVLTFGDLRSDVLSAAAALSSRAGVRRGDAVLILAPNCVLYPVCFLAVAALGAVPTTANPLYTEREIAKQATDARAKLVVTVSGLLPKVAGLRLPTILLDGGGDGASRQPNVTLYSDLVAGVQETEYRRPPTRQGDTAALFYSSGTTGESKGVVLTHGNFIAAATMVTSDQEDNGEGHNVFLCFLPMFHIFGMSVITLGQLQRGNTIVVMSGFDMDAVLAAVERHRVTYLFSAPPVMVALAKHGSGGRYDLSSLRCIGSGAAPLGKEIMEAVAEKFPYAEIIQGYGMTETSGIISLENLQKGRPRHSGSTGQLVTGVEAKIVDSETMEHLAPNQQGEICVRGPNIMQGYFNNVQATEFTIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLESHAEILEAIVIPCPDPEAGEVPIAYVVRSPKSSLSEVDVQKFIENQVAYYKRLRMVKFVDSIPKSPSGKKLRRELIAQLRLSKL >Dexi7A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:7A:20800772:20803386:1 gene:Dexi7A01G0009870 transcript:Dexi7A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQTHPILSYVLSRIPTLAKPKPAAAASEFDIEQPPVHTPSPRTAPSTAGEFELVERMPGLRHPSVLRAMARAVADVSAARSALQVLGPRPDHELVDSSRAIVAAAEAGDSRIPEGDVEACRAVVRLEGTHDAYEALLQEAESRLEKVYRSAMEGTDLDDEAAEGEKDDAPAAAAGGAEGGDEAVQEEVVAVLKQAEEGKPVESVRLVDRQLRQLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALISLPDTIGLLSNLNILNVSSNRLRALPDSISKCRSLVELDASYNGLTYLPTNIGYELVNLRKLWVHMNKLRALPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPISFGDLLNLRELDLSNNQIHALPDTFGRLDKLEKLNLEQNPLAMPPMDIVNKGVDAVKEYMSKRWLDILLEEEQRRIAAETPQASSTPKAWLQRSVSWVTDVSGSLVGYLSGNEKSEKDAYLDQQF >DexiUA01G0001170.1:cds pep primary_assembly:Fonio_CM05836:UA:3569808:3581000:-1 gene:DexiUA01G0001170 transcript:DexiUA01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSQRLEKLGFPLGQELATDFILASLPPSYGNFISNYHMHGAERGLNELCGMLMTAEADIKKGASGHVLAVQNKPNFKKKDNWKKKGKAKDVISKPIPTPKPGPEPDKPYHTGPSSDSQKVYPDCPLILRGKLALDLVNGRGNVAMLDFFLLATVLHLLLATMLLLTNYLKSRIGSFLRHSFNANVVELAYHIPIPRNLPFLDYIRGGHKPHTHSSFPQPREAAASELQAALGVPQERGCEGQPPPFPESGSGGSLEIVAHHTAHQLHLPLRGARSGQEAKGMSSAASPPHFFISPCPFRMRFARHWRFALLLFLHWRVRMDFAVLSQRKWKGLYHIEMLIRFPPQMRWGISHLCPFIFMKGIEARPGSRRSNNRRGAPQYTIARTFGLPTLLMATFRPPNDL >Dexi1B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:1B:6019127:6019441:1 gene:Dexi1B01G0007270 transcript:Dexi1B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTATTTTPAIDVRRVWTQRGGRPFDDGSSGHGAAAGRSTTAPAAAATWARHVKGCPTTTRSPSPARRQHPLGGGDREMAACRDAAAPRELAAALREVAATAA >Dexi4A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:4A:4169625:4170996:1 gene:Dexi4A01G0005810 transcript:Dexi4A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVVKPKPTLKANSGGHEGRDGLLWWHDPARCQDGDLSISVMQANFVLEDQCLVESGLPLGTVVGVFDGHGGPEVARFIRDNLLSNLRGDAIREAFLATEQGFITLVSRQWETRPRLATVGSCCLVGVVHQGTLLVANVGDSRAVLGKVYRPNGEVFPVQLSQEHSASLEEARKELIAEHPDDPDIVVLKHNIWRVKGLIQEGSARRLIKAALHEAARKHDMLYSDFKRIDRGVLRHFHDDITVVVLFFNHAVPPPLSIRCPLDNGS >Dexi9B01G0021650.1:cds pep primary_assembly:Fonio_CM05836:9B:16395199:16395596:1 gene:Dexi9B01G0021650 transcript:Dexi9B01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNEQEEAYNEALRKEEEEEERRKEKEERRKEASGGGSSPPEAEVVEEDSSGLEPFFFDEAEALAAHAAAEEEKRKHMEQEE >Dexi4A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:4A:10506589:10508797:-1 gene:Dexi4A01G0012070 transcript:Dexi4A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFWFVSLACIGALYVATICARPLAYLALCLRRPKDLHSYGSWAIVTGPTSGLGRSMAMELARRGLNLVLLDLDAANLQETSDAIKAVHAAMDGLDVGLLVNNAAVNTPGAVYLHEADIERFVRMIRVNLWGLTEVTAAVLPRMLEQGKGAIVNVGSGSTVAVPYVATFSRSLYVEYKSKGIDVQYQVPFYVHTRMLSSAVKAKLRPWFVATADEYTRTAARWIGNGPLCVPGAAQKLQWCLTGFVPDWVHDWYRIRLHLQHRAVTRAARSGSRERGHPVPTPNDNSVGPKIRG >Dexi1B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:1B:22213634:22214833:1 gene:Dexi1B01G0015630 transcript:Dexi1B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQNSIPMVFLAVSAAALYARAASSCVRPGFPRLLALLPVAALLAAAPLAFPFANVRGLTGFFLGWLGVFKLLLLAAGRGPLEPNLPAHQFLFVAALPVKLTDRRHGQPGATATSSKSAAFLVSCAVKVAVLAALLHAYKFVDQLHLYMRLALYGVHIYCFFDLLLPCISAVGAALGMEMEPQFDRPYMASSLRDFWGRRWNLVVSAILRPSVYEPIRDAHAGKAAAAIATFLVSGLMHEAMVCYITLRSPTGEMAAFFLLHGACCVAEQLCAQWWVARAWPRPPRPLATVLVVVFVAGTAFWLFFPPICRDGSEERLLEEWAALAEFITGTGRILSGGMVE >Dexi5A01G0036180.1:cds pep primary_assembly:Fonio_CM05836:5A:37827814:37831133:1 gene:Dexi5A01G0036180 transcript:Dexi5A01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGAPDLTDFMNDWFFGTVGARHAHNGGGGGGYDLTGESNKRPASPAGKTKQAKSGGGSSSASKQTQDWLEEAKRMVGAGSPGRGLSSPSRQVPRFAGGSGTTEPSPTLDRRDPMSRSARRNWQPGGIGDEILQRASISSPPRSSTSDPFASSAPPSPSPSLPPNPQSSRRKSRFRDAPTPESPHRRTTVSSASTSPTAAAHSRHRRHASASSAPAFAAAAEGFDDGVARLNTFLRRQRAVIDDDLAAGDRHASRPTKLVLSDASKSVSSIVAAICYAWMLSSKGDGQAAVPVVNMRRSRMARCRQAAWLLYHVGVDASALLFADEVDMDGLVMDQRVSLLVVGQDVLKSKSEVGSVCTTLTNTYCEDAYSLFQSLDIKKLLLAGILLDTSNLSKKCSNRDSEAVQLLLFGASEHMRHELFQQCNDESPPEQKRSTSASGSSQDAKKSNSTNQRTTRGSGGKAADEASRGKNNFFLAKWFGFGRK >Dexi4B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:4B:18821404:18827875:-1 gene:Dexi4B01G0016690 transcript:Dexi4B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGRKKLVGRYEVGRTIGQGTFAEVKFAVDSDTGAAVAMKVLDKETILSHRMLQQARHGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDACGNLKVSDFGLSTLSQNESLVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPRLYEKRITIEQIRAHPWFKKNYVAITRGEDENVSLDDVQAVFNNIEDKYVSEEVTPKDGGPLMMNAFEMITLSQGLDLSALFDRQQDFVKRQTRFVSRKPAKMIVATIEAVAESVGLKVHSQNYKIRLEGMSSNRMGQFAVVLEVFEVAPSLFMVDVRKVAGDTLEYHRVILQELVQQTRQHNLEASRSFCEILAAEDDHAKPRH >Dexi7B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:7B:20147989:20150115:1 gene:Dexi7B01G0013680 transcript:Dexi7B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAEKSPDGEEAEANPRAEAFLDIIGRVPMGEVEAALSACGIGPTAEVAEQVLKSRVCYSRPKSAVRFFRWAAQSVEHTTYAWNLVIDILGKAAMFEPMWDAVRSMNQDGGGVTVATFASVFASYCARGSFKEAVAAFDVMGGYGLKSDAVALNSLLSAVCRAEGGAQAALDVFERTKATVPPDADTFAILLEALENEGNAERAKSVVGEMAIRVGWDPANVAAYDSFLSTLVRSGQCDEALKFLQVMRSKGCFPGIKFFANAVDTVVRKGDYANGIDFWHIMVSEAGVVPNFSMYNAMIALCCNVGSLDHALDSVTYNAILEGFIKHHKAREAESFLKEMSKNEQLPTASNCAAAIRLFFMEFNPSAAISVWCCVVEHSITPAKDSARELIEGLLDFGRFTEVKKRADEIIDMRVELSQYTIEKMKRAFVKADKQQLYDHIARRLKRR >Dexi4A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:4A:4060934:4063561:1 gene:Dexi4A01G0005600 transcript:Dexi4A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGEEPAPLLPVQELAASRHGAGDDGGVPSRYVARRAHDGSEGTPTAAVPVIDLGRLCQPAGGGESADEAAKLRAALQSWGLFLASREFFRQPLVEKQRYTNMVGGNFQLEGYGNDRVATEEQVLDWSDRHYLKVEPQDERNLALWPPCLRDVLHEFTTKCTTLKDCLIPEMAKLLELDGNYFSNQFSGKAETYARFNYYPPCPRPELVFGLKPHTDASFITLVMVDNNIGGLQVLRDGEWYNVPNKPDTLLVNLGDQMEIMSNGIFKSPVHRVVPDAEKERLSVVMFYSLDPEREIQPAGKLIDENHPALYKKVKIKEYIAGLFEYFAQGKLVIETAKI >Dexi6B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:6B:8224848:8225954:-1 gene:Dexi6B01G0007120 transcript:Dexi6B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRPVATTFSRCVPNAVRGRHLFEVSSYSLNKGLGPGTFIQSAVFSVGGHDWCVVYYPEGDGTDGSDDYVSIFLKHVMSNTTSVTQVRATYGFRLLSSPLAPTMVTTVSSAMPPSNRVTADFSGVNPCWGLRKFMQKCLLVGYLQDDRLMIECDVTVIKGRPVLESQRRCKIQVPPSNLLENLGILLETGEGADVTFKVEEVIFHAHKVVLGIQSPVFKAELYGLLGENNREHIIIEDMQPAIFKALLYFMYTDLMPDISNVGGEEREEMVKHLLVAADRYGVDRMKVMCESVLAQRLDVKSVAATLALADQYNCSKLRESCIDFINSLNSTEDLQASEGYAHLKRASPDIIMEIWEKGTKFRKT >Dexi7A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:7A:80898:86184:1 gene:Dexi7A01G0000050 transcript:Dexi7A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSTSNRRPPSSCSAAASRCDLRLTLMVNVVSKDADNSSPEGSEMRAREITCERMARPRELVASGGALDGEAYDATIARCSKPQASPTAESIIPLLFIPALRMHAQAKMAAGPQAASPSLAPAPHATPAPSLDQASVDSMFWVRADQGTLCVSIHEVANALNFCFSMAPLRFKVRQCCPSVFASAASDANVARHIITSGWCQVGRTVLLLFPSLDSAIAAGTKNADAIVTSSPIQIGGGAEGSVFPPLNPRSPFKAPAGGRHRRAHSLPPPHTQCFRCLATDHLVASCRDPVRCRACLRNGHRSTSCCMAGSLSSAIWPRRSARSPSSEDSSSPPPANRRRHLLLSEQLGSLPPSTDAGAGAPLFHSPLSPMPFHLLPQPDTITLQPVCCIHDACLCCIHDVRVLVLVDEQKKIKPELLVRNPCGEVAGIASLRVVGDWPHQAGAPAPDHHDFSDDTTFGPPMSGPYTPGTGWADLSRGSTTRSRSSHFSSSGSTLRGGTCRTVGSCLYPSAPLWTFASGAFAALARALSLAGVPTITIRDLPTPVRPRTPPPPEAPTLVRRDENIIGQGTLPRIPSLREILEEEANEASLRKRRVRRKRAKDSVSKLRRSSRLAALEPPHYEDATSKATRVKAVKLDISKVSMKMRAAIVDAGLLERPPPAKVKSSKLHRLGHVCGLGNMSEIVDDEVPCT >Dexi1A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:1A:2840261:2841769:-1 gene:Dexi1A01G0003860 transcript:Dexi1A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPLPPPPLMGRYELGKLLGKGSFAKVYHALHLETGEQVAIKIMDKVHLSKSNALQKQVMREIDIMRRVRHPNIVRIHEVMATKRSIFVVMEFVAGGSLDAYLAGRGGNGVGEASARRVFQQLVSAVDYCHSLGVYHRDIKPDNILVDATGNTIKVADFGLSALADASSPSSSPAAMLKTICGTPMFIAPEVFLRRGYDGAMADVWACGVVLFALAAGRFPFNERDDTIVYHKIRRCDYHCPPWFSAGLVRFIRRLMCPDPARRITIAQIKENPWFKKGFKEIPRSIVEPENGDSNSDDSDDESTVSLESSSEDLSSSPVEIYQQQQRGYRSRMHTSVSAPSLTTLESNGGSSSSSSVGVQGTPRVRRLKSLNAFDIIVSSPSLNLTGLFEEPGEQMRFVSAAPVAKIISKLEEIAGHVSLTTRTKEFQVSIEGDGSSGALLVSARIFELTPELVMVKVCKKAGNAAEYRQFCDNELKPGLRGLVDGPPEEGGEIVASEN >Dexi5B01G0028440.1:cds pep primary_assembly:Fonio_CM05836:5B:29802584:29805764:1 gene:Dexi5B01G0028440 transcript:Dexi5B01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARPLRPPGWAGCGGSCDRGSPRGSVSVARCRAEAAPPVSTAARAPVGPYTGRDPEVKKPAWLRQRAAQGEKYARLRETIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCREGMITKSSIMLGLGETDEEVKQTMMDLRAIGVDILTLGQYLQPTEKHLTVREYVTPEKFEFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVTNSKTVPSSS >Dexi1A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:1A:3204836:3205289:-1 gene:Dexi1A01G0004370 transcript:Dexi1A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSKGLENKLKFTDIVKATNNFGKENIVGCGGYGLVFKAELQDGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHENLVPLWVWMIGFTTGMMIVEHFLTGRQGSRLHKELAAAFLTYMMSASRILSTVT >Dexi4B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:4B:9381780:9384634:1 gene:Dexi4B01G0011840 transcript:Dexi4B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKACRAWATGFLSGVCIVYLFSVVLLPPGFTQSRPSDYPPRWPATFRNQALADPADGPATTKELTPRVQEKIERPNENAQITEARITHLFNAWSALLNTTGDDILKRPDVPRPPHLENCRLSVEKNKKFDSRGDDGTFPPWTLWKGSLGLELFNQAKNKNWAANANPDGQYPPWIAGSDEENYPQTRRVQRDLWVHQHPPDCSDPSLHVLVADWEKLPRLGIGAQIVAMSGLLAIAINEKRILVTRYYNRADHDGCKDASRSSWSCYFFPETSPDCQRRAFELMRSNASLANGAVRVKRSFDSRKMWSADVPRLWGEPWKHMQPTTEVNGSFIRHHRRRDARWWIAQTGIATTNSNSDEMERLVWSDHRPYLPRPLLSMHVRMGDKSREMAVVGFDKYMELASRLRRRFPNLRNIWLSTEMQASQHLQSNQSMAAYEASLGREASTKNALVNFMVATEADFFAGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >Dexi6A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:6A:23830224:23831283:1 gene:Dexi6A01G0015950 transcript:Dexi6A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPSTPALPANPVLPSPGRGGPPRSRGALLRPRAVRAAPRPPSRWSVGSWRDRPALQQPEYPDKAELDEVLRTVEAFPPIVFAGEARTLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPVVKVGRMAGQFAKPRSDDLEERDGVKLPSYRGDNINGDAFDLKSRLPDPHRLIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQHCEQGDR >Dexi3B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:3B:6616675:6618242:-1 gene:Dexi3B01G0009590 transcript:Dexi3B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAAFMEMVGKQVDPGAPGFWRDFLVGMLKPLAATAVVAMAVALSFSQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKNALWILLAYLFMVTIAGYTAGQRAKQVPRGKYIACVSILIGTSITMFLLVVLNVFPFTPRYIIPVAGMLVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDSAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMQMGASTVSSILSTYLCWPAFFTKAYQLEEKVFAD >Dexi3B01G0034840.1:cds pep primary_assembly:Fonio_CM05836:3B:37519107:37521446:-1 gene:Dexi3B01G0034840 transcript:Dexi3B01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFQLAPDRISAIAGLRELQRIYPLRRWNALDEEMRLDVQRIWKRNMGRDDRLQGCWVYKKLLFSQSEQSRCMF >Dexi9A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:9A:14594570:14596258:-1 gene:Dexi9A01G0019660 transcript:Dexi9A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLYVAGVASLLALAAALAGDFTFAVTETASNTPGGQRFDQVVRLDYAAQVLSDATAFVLTIFNQTNPADRRPVVEVTLVVEDIGGVAFTSGSGIHLSAHW >Dexi6A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:6A:25872918:25874209:-1 gene:Dexi6A01G0018040 transcript:Dexi6A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLQMSPFAVSAAVAAAEQKPVEEKYSSGNIPRNDENCIAPAEAANSTRGSTQEQEKIISTKSSRKRRMEDADEASDKELGSYTSLNTFAKTWLWDRLVPLRSPTGWSDYSNYLRQYYNRNASVVAEVTGSLAASAEVCLKKEELASWWKTQLFRSAVVILPEAELICELLKHGANRTDCIIEQSIMIRMCALSLMHLEGSHAIDAAGAMLGITVECKLMCDWIKKEGSRIRAPAMVIAEGLSTPVAAALNSFGTFTRYEPLECRVMRARILDVMLSTLKESSFPSSKMWLDLVASN >Dexi1B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:1B:25940579:25941075:-1 gene:Dexi1B01G0019700 transcript:Dexi1B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELVARHVLSKFHFCLNFYLEIFTPIGICFSVKMDSSRAGSEGSTSVPSVRKSPAMASCRKKKTDDDAAFLEDLKDHIDEFIHASMDEHKTCFKKSIQKMFGMSKAVAERSALQEKEAEVESALPLQTSVSQ >Dexi9A01G0042370.1:cds pep primary_assembly:Fonio_CM05836:9A:45982237:45982962:-1 gene:Dexi9A01G0042370 transcript:Dexi9A01G0042370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGIANLLLLAGLIWSQAATRGAEAAAGTTVFTLRNNCIHTIWPATLSGNTAATVGGGGGFEMAPNATVSFPAPAGWSGCLWARTGCAAAPGGGGATSRLSCATGDCSGAASCALGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVEATGQRVNYSTCGYAGCVGDVNALCPPELQVASGEKATGGDVAAPVPSTTTTVACRS >Dexi3A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:3A:1513151:1515635:-1 gene:Dexi3A01G0002290 transcript:Dexi3A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPYGPGAGGGYGAPPPYGTSPAPSAPPYGEKPPKEGKTSSSSSAPYYGAPPSSQPYGGGAGGYGAPPSSQPYGGGYGPPPAGQQYGAPYGAPPPAYGGAGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTAVFYSLQNWRAIFERFDRDRSGKIDSSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTALSGSATFTYEAFMLTVLPFLIA >Dexi2B01G0029660.1:cds pep primary_assembly:Fonio_CM05836:2B:38041984:38042382:1 gene:Dexi2B01G0029660 transcript:Dexi2B01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNNLPDTLLSSPASTAGPIPRLLVPLSPLSRVDLSSALWLCDEPAAACSHYMNREKKGARGLCFPFDAETPLLPGMTERARGRRVAGRKGIPPTSFSLQKDELFFRAAKGESWRKRLSVIYAAYVTGIF >Dexi2B01G0028860.1:cds pep primary_assembly:Fonio_CM05836:2B:37398781:37399053:1 gene:Dexi2B01G0028860 transcript:Dexi2B01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWRERRTLCRQGFEKAPLVYPSTRRWRVLEAEAAGKGVSTSSREGDGGVVGVGSDGAVRRRERGSRAWSGGVAVGAEKGAAVMPRAR >Dexi5B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:5B:11924233:11927704:1 gene:Dexi5B01G0014980 transcript:Dexi5B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVAAALLRRGKDQASALLTPRLPRSAPAPALPRVGSTSSCGSGGGGGGGCLLPPRPGPAGAFSSASRFASFHVFRSFAPKTLFGQCARKMSTSAAALNSTVANGAANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFSLVILGGITRLTRSGLSMTDWKFTGEIPPMSDDAWQLEFEKYKQSPEYKRLSALFALGGAQGLIGWWMVKSGLEEPTSEYVQPRVSPYRLATHLTSAFAIYCGYIGHIYTLDVCSYLIGLSASSWSIDSIVTNVTSYSHIEKAITNSS >Dexi9B01G0032990.1:cds pep primary_assembly:Fonio_CM05836:9B:35123885:35125009:-1 gene:Dexi9B01G0032990 transcript:Dexi9B01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERQPAHGQKFAAVVMANDGGGSREAAAAGKKRKATSAETALAAMRREPRRGLGVAELERIRVALEVAERCYAAVTVPSLTAPAPAPPYPPAVALPPSAALAAVRHRHGSSVAHLLPAEQSQIIGRDGGDVHQVGNGASVHQQQLQMPMGYFAPYYVAAQQLFTSSENPSPHQNNGRQQADQIQSAVTTALPAAGQAASSESSSSAYQRRLSHRRRAQPPRQQQQPPTGQTAVPAPQVAFVDLVDSDDDDGGGHVEELDLELRL >Dexi5A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:5A:21819497:21819760:1 gene:Dexi5A01G0018290 transcript:Dexi5A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSFVSMSLHLLRFIFLVRLPSFVLVAGALGGGRGLVLDVGGRPWRALFDGVTAGCCSVESMANNCSCSQVLVTVLQQRIEKVRQ >Dexi7A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:7A:26254441:26255409:-1 gene:Dexi7A01G0016400 transcript:Dexi7A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWCGALSARKWRSSSRSSSPVAIRFSTIMFTIDRRKSLSGSSDCFTTANTVPSSLPVPASPLFAFRSKGEGGGGEKFPWPALLSSPPLWKTCCFAGRSGNCGGGGGGGEKLPWPSLLSSPPLCTTRCFTGSGGGGGGGGGEKYSLLVLFLPHDTTLCSSSLSWRSRPEKLVVATAELSRCLLQSTSSSPSSASSSHRKDDDEAEGTVSVPVDPDAEEGCSAAALPLRHVVAVVAVDVSVAVAE >Dexi2A01G0030710.1:cds pep primary_assembly:Fonio_CM05836:2A:41557135:41558297:-1 gene:Dexi2A01G0030710 transcript:Dexi2A01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHVAAPLVAAGAHTAANLSTACRRGTSRWALLSRPPVGTTGGFRWLRRRAQTRARARRGARASGRGRCLVVVAEFGGTYEDGFEDVHKNIINYFTYKATHTERQDVAERVMITRLHLYGKWIKECDHAMMYERISKENLDIMLQRLLETVVWPTDDTSTGEYKD >Dexi4A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:4A:9995729:10007654:-1 gene:Dexi4A01G0011810 transcript:Dexi4A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRSLRDLRARAVIISERHVHYGVSHDALLRRNPLLAPVSTGSAASARALRPAAGDRHDRFVGMKDQASAMAERVRAISNSKNVGKKLGVLSIVGFAGLGKTTLAMELEASTRGSLDLEASPVTAHDRPVNVAGEQLQLNISSKKTVFSSRGSVLLALFIFFFLRTRGAVVAARSDIRPISNARRRCPCRRRSTAGATSAACSGVLQQIVKPKAGNEKGIKEENSAGDIDKMDLDTLAKTLQDLLKDKRRVFLPYLIPTPCPSLEWGKKPHDGTAPDLIVAAGAIALFLRQVFCLRLNSNPWDAIRSKLPENNLDSRIMVTTRIETVAVGCSDAGEISGDNIYHIQPLNSEDSRKLFLSRAFGSKDATCPKELEDEMDKILEKCGGLPLAIVSISSLLASYKSPEHKDMWDRVCKSISYHMENNPTLEGMKQILTLSYDHLPYHLKGCMMYLSIFPEDFLINKDRLLYRWIAEGLVEEKRGMTLMEVAEAYYDELRPKEFPFKKDDSASKKPSKDSSSKKKSVKNGLEEINVQHVRSLSMFQLEGNKLLDRLDEFTLLRVLDLEDCKGVKDKHMGDICRMYLLRYLSLRGTDISVLPPKIRELEHLQTLDVRATNIVALPESVIKLEKLARLFFSKKDVWETMWKPPQGLWKMKALREVGWVVLEDDAVRVAQEIGELEDLQRLSIYVNCDGSKSSGAKVLKELAQSLSKTYSLRSLDMGNIGWGNELNFLLELPSPPRLLRLLRIAGAIDSLPNWVESLAYLVEFHMSWAQLVDDQLYGVLCKLPYLKSIWMQRHCYDGRELVARTAHNFPALKNLTGTCDQEMPKVHRFEEGSMSKLEKLELNFHGWTEKSVVGIEHLTSLKEVQLTVKRDNPALDRALKQLKAESERRPNQFTVGVKYD >Dexi3A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:3A:5279797:5280171:-1 gene:Dexi3A01G0007600 transcript:Dexi3A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCGDGEGGECHPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >Dexi1A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:1A:287127:289801:-1 gene:Dexi1A01G0000500 transcript:Dexi1A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTAAPVSLSARQAASPSPPPCGGSSSSSSSSHFQFLAYPRRNAARALRLQVSTTETAETEAAPAKKEKVSKKQEEGVVTNKYKPKEPYVGRCLLNTRITGDNAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHEDYQFNGLAWLFLGVPTSDTLLYKEELEKMKEKAPENFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGVDWFEYKKQLKKGEQWNVEVY >Dexi7B01G0020960.1:cds pep primary_assembly:Fonio_CM05836:7B:26129129:26136141:1 gene:Dexi7B01G0020960 transcript:Dexi7B01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLSARTGAASWGMSEETTAPCYSRRWGEDEEVDGRPGDAAPFTLHGHRPEPRGGGGKGEAAAGGEGKAESVASPGGFPNERLERESGRTGEV >Dexi6B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:6B:3017991:3018726:1 gene:Dexi6B01G0003690 transcript:Dexi6B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELLVAASHGQHKHLTRLIAREVQVAAAAASWRPTTTTTSVVDVASSPASIVVEIDGGGATTTTTTDAIIPILEGVTPDGDSALHVVAASGDAETYLESAKVIHGKAGHLLCSRNRGGRTPLHRAARAGNVEMISLLIRLAVSGDGGEGETTRVETIVRMTNGGGETVLHEAIRVDDTRARTRGWHVTTSPLFLAVALCRYGLAQELHRRDNQLSYSGPAGQNALHAAVLRNKGTQ >DexiUA01G0007060.1:cds pep primary_assembly:Fonio_CM05836:UA:13558666:13559535:-1 gene:DexiUA01G0007060 transcript:DexiUA01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELIELVCAASTWNSSSSPSTAAAGHLQELDFSTAAAGHRQELDLVSSCEVLEQLLGIDDDCSLECLDDEPLLENVELLPPAGHHQPPAAAPSPPIKRRGGRGRKPTGPRPIGPTITHVEAERQRRDKLNRRFFELRAAVPNVSRMDRASLLADAASYIAELRGRVDHLEAKQQQQQQQAAATSSSAAATMTAGEQEMKLEVRMIVGQDAAALRLTSEGERHAPARLMVALRSLDLGVQHACVCRAGGGVTVQDVVVDVPAAAAMWDERRLRAELLHWLAGADRLAS >Dexi4A01G0022980.1:cds pep primary_assembly:Fonio_CM05836:4A:26191460:26196146:1 gene:Dexi4A01G0022980 transcript:Dexi4A01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYAETLALFHILVYDHRLHWHGVAGLGRAATAVSTRGRRNSGERRDDPKHPLRKLRRREENQPRRLEFLLSLTMESSLSSASTPHRKLLRSLVHLAVQRCRMSESPCRLTVSVKRPAEPASPSQLRVSVSDTGMGSKLEEFQELNVLARETPVEKWVSNDTIKFSPHFKFQLQWHSLNHNYRKAIDDFLHIPILGINDDAIYRYRFNLQEEISSARFTKLATTYKSHAQFSGTEVCLCLSNEADADDFIMWLVGFFHKILVLRAAVSISELLSFLKPDLLKTGIGAANNAQRRKAKGLHVEVVLMIAREASDLSCWTVNCPSTQVLYFEDFVPCPISQSSFDVLVSIDWQSYGFKLKGGYIDDEGNAVLEWDNISFARVDIAIHTYLE >Dexi1B01G0029220.1:cds pep primary_assembly:Fonio_CM05836:1B:33598877:33600395:1 gene:Dexi1B01G0029220 transcript:Dexi1B01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVCENTVSADFKQIKLNNFVPFIRSGEWSDIGGRDNMEDAHVCISDMAKNFGCKSVDDEVVSFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVKRSFVQTDSKFAETFSHHKGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRHGTAIEMSKDHRPCCINERKRVESHGGYVDDGYLNGQLAVTRALGDWHLEGMKEMGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDFFSNQNAVDFARRKLQDHNDLRLCCREIVDEAIRRGARDNLTAVMVSFHQEPPPQTRVSRIGRVARSISAEGLHSIRVLLEGQ >Dexi5A01G0032840.1:cds pep primary_assembly:Fonio_CM05836:5A:35216488:35217152:-1 gene:Dexi5A01G0032840 transcript:Dexi5A01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMYKQQLRDDAFGTLGGGHCGDQPRLVGGGASSSSSSIVLAPPLAQAHGGGEPRQLFEVLVGGASLSHGAGGGKGGGAVGDLGALVRWMRELAADPVAPLLAPSEHRPRKRHVLALRRARYLRLEDVANAEELPSFSKVRRMVMTSVARW >Dexi2A01G0030380.1:cds pep primary_assembly:Fonio_CM05836:2A:41349678:41350052:-1 gene:Dexi2A01G0030380 transcript:Dexi2A01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSSAHLLLLAMLVAAASVACLAQLGYATRSIPVAQAAQAQQQATEEDVKRPETFQEGTVLIPGIGRYEIGSHYIPDIGGLDHSIPAAVNGQYLPGADDTWVPNPGFEVPNPFRPGAAIP >Dexi6A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:6A:25785605:25786525:-1 gene:Dexi6A01G0017960 transcript:Dexi6A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNSSDRAALLKIKEQLGNRPELSSWLPATNCCAWDNSIICSETGRIYLVALFQLNITVPIPSAYGPIPDFLATTNLSALTITNSKLTGPIPQSLSRLSNLRYIDLSGNMLSGSIPPGLLHGSFQFLILSNNRLTGQIPVDYAHGDIDTIDLSHNQLTGDTLFLFDIAKPMTKIDLSWNELAFDMTKVRLPYHLTYLDLSHNHIKGGVSKSLKDIKLRYFNVSYNDLCGEIPTGRFMIYHGADSYVHNKCLSGTPLPPCKKWQ >Dexi5A01G0034750.1:cds pep primary_assembly:Fonio_CM05836:5A:36749183:36752579:1 gene:Dexi5A01G0034750 transcript:Dexi5A01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPQSLLQYAPSPVHSSPHPLNSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASTMAPNHNFADPERIEHGSPLRLPGHPVNGQPMDLEGWSGMQTEHMGVLQASSMGWNGAPALAGPPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADMVDGRLNQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >Dexi9B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:9B:4412264:4413925:-1 gene:Dexi9B01G0007260 transcript:Dexi9B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEATETIVVVREYDEARDHLGVEEVERACEVGSCSGEMSLFTDLLGDPLCRIRHSPVSLMLVAETATGPNSTEIAGLVRGCIKTVVSGTTTTQQARDPIQTKVGYVLGLRVSPRHRRKGIGKKLVDRMEEWFRGRGAEYSYMATEKDNEASVRLFTGRCGYAKFRTPSLLVHPVFRHALRPSRRVDIVHGLEPREAELLYQSHFAGVEFFPSDIDAVLSNSLSLGTFLAVPAGTRWEGVAAFLASPPASWAVLSVWNCKDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLGIPSIPNLFAPFGLYFLYGLGGHGPDAPRLVRALCRHAHNMARDGGCGVVATEVGACEPVRAGVPHWARLGAEDLWCMKRLADGYSTGPLGDWTKAPAGRSIFIDPREF >Dexi3B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:3B:5444160:5444444:-1 gene:Dexi3B01G0007710 transcript:Dexi3B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHTAIVIVTLLMLASSPSLVHARTMPRDHPHAHDASASSSRFSQNFLRVFKAPPAPLVLTDKLEIAAAERRQIIEATADGSVPSPGIGHHH >Dexi2A01G0031730.1:cds pep primary_assembly:Fonio_CM05836:2A:42465944:42466306:-1 gene:Dexi2A01G0031730 transcript:Dexi2A01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGSGAGAMDAEMGEGAGEIGAGAARVGAGTGVGEAEAGVVPLEWLAEALRCHVRISRRRGRGLGSGFKFSLALARLCLRNGEEEAASLAYKRAVGGGWKEEAALAGRDGKGEARNGS >Dexi5A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:5A:25066481:25069547:1 gene:Dexi5A01G0021180 transcript:Dexi5A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDLSSEMKRTVLGLTLWVWIAIGVVALLVAILLMICIWVASRRRTKRTMDNLRQTQIPIYSKEIPVDRVAGRSLAQTMHEREQPSLPPQDKYTNREPAGGTLGHLALSKSSDHDNMSQGSSVCNVDRATSVHSGEDGSSGPRRKPSSPAAFVSASPLVGLPEFSHLGWGHWFTQRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQRGAFTWENRMKVITGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRGPVDYSRTSIEVNLVEWLKTMVANRRAEEVADPSLEVRPSIRSLKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFGKKVMLVGGGGNASPDVAT >Dexi8B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:8B:1511840:1514385:-1 gene:Dexi8B01G0002190 transcript:Dexi8B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPHGSGYPYGPGAGGGYGAPPPYGSSPAPSAPPYGEKPPKEGKTSSSSSAPYYGAPPSSQPYGGGGYGAPPSSQPYGGGAGGYGAPPAGQQYGAPYGAPPPSYGGAGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTAVFYSLQNWRAIFERFDRDRSGKIDSSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNRAIEYDNFIECCLTVKGLTEKFKEKDAALSGSATFTYEAFMLTVLPFLIA >Dexi7B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:7B:16615565:16616369:-1 gene:Dexi7B01G0008950 transcript:Dexi7B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKACEQRGRDLLNLNVITAVGLNEWLKAKENGHETISLGLPSYSLLCTVLQSIKAGAGGLLLGNVEVNQHNRPQDRLLDWFFHPVLVLKEQIQVLKMTEEELRFLEKLTLFVGNASNASGWDNGAEMPQDPVRLVGIVRSLSKFPTYRRRYRHVVKLLIAYSIERDGSGRSSASSQSVSFFEITQLDV >Dexi4B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:4B:16344193:16345782:-1 gene:Dexi4B01G0015070 transcript:Dexi4B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKTLAPVLIFTAVCCYAIILSHASPDADFNQCLSASIPSQLVHAQNSSSFTPLLVSSIRNPLFATPTTIRPLWIITPTNASHVQATVVCGHRHGVRLRVRSGGHDYEGLSYRSVLPEAFAVVDLSKLRTIHAGKVWGEKYFRDNYRRLAAAKDEIDPDDYFRNEQSIPPLGLGNNK >Dexi9A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:9A:2863831:2865364:1 gene:Dexi9A01G0005200 transcript:Dexi9A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAIKAASTSHSSTLSRPVPSSSSLMWRSSSAAAAAAAKMPARKRKAVPAIRAVAAASAPPAPVPAIRPTAKRSLSVSQTMSRLKAQGKTAFIPYITAGDPDLATTAAALRLLDACGADVIELGVPFSDPYADGPVIQASMARALAGGATTDAVLAMLREVTPELSCPVVLFTYSNPIVRWGMADFAAAAEEAGVRGLIVPDLPYVATCALRSEALKNNLELVLLTTPATPEERMKEITRASEGFVYLVSVNGVTGPRANVSTRVESLIQEVKQVTDKPVAVGFGISKPEHVKQIAGWGADGVIIGSAMVRQLGEAASPKEGLKRLEEYARSMKNALP >Dexi4B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:4B:17170194:17180688:-1 gene:Dexi4B01G0015410 transcript:Dexi4B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKGPNHYGQQQPYGGQQPYGQIPGSTGFTAPTAAGGTDGGRFGARAGQGAAAQYGGPYASVYGTQQVGGLGGKGPASSSLPSLSTRPTSLSESSKFSSAPVGSSLARPNDDYMAVRGYAQKLDQYGTDYGLERRMYGEHSANLGRRDGLSDLERRYPDHIPASHQVHDLMEQGSSMRHQQLLKGQLQPGSDTRQADYFAGRSAPIHPPSQEIGAYGRVEAESRNVSILGTAPYGRQQAASLLEGAPRTNIDSLYGQGSSSTGYGAGLPTGRDYSSGKGLLHPSSDPDYRDSILPRVHPSISMVDERRADRIGYRRELDMRDEERRRDLMLEREKEMEWERERELRDLRDRERERERERERERDRERLLRERERERERERERERERERLRERREKERERDRKHGADPRREHTPPRAPGDRRRSSSVRSEKPVRRISPRREAVHRWTSMFLLQLCGHRSPVKETKREYICKVLPFRFVDDERDYLSLTKRYPRLAIAPEFSKIVLNWAKENLNLSLRTPVSLEHDIHDVDDSADEGAIISSEKTSNSKTPATVWNAKVLLMSGMSKGAFADMTSLRSTEERIMHLNNILKFAVFKKDRSLFTIGGPWNAAIDGGDPSVDCSCLIRTAIRCVRELVQVDLSNCTQWNRFVESPVESKEQKQGEVNKGKSIDADHLKEGDVGSSDMKNEKVDADMDQQGKEEEVKVDKVEEPAEKMGGDVEGKTTVASVDHAVGDKKPIKKKVIKKVMKVVRKKPSAGASGSAGKSSAEDKHTIEESASKTAEGGQSEQNNEDAGKQQEGAGVNQQPEAKKTGKKKIIRRIVKRKVSTSGSQLTAPATPAETSKQEAEAQPEKNVESSTDAGNSQTKLQEGSKTSVEDISNQKKEEKPEEDKTDLRRSNGDKVNHKEAMEQKDMKKDGKEKAKDDKEKKNRDLKMDPKQKPLNDMKEKKKSDEPPKYPGFILQAKRSNKESKVWLRSTSLSLDGLLDYTAKDIEEPVFELSLFAESFCEMLQHRMGCVILSFLEKLYRRYVVKRNQRKRQREEDLKKEEKKSSEKRPKTTHETITETADENVKMTKEGEKRMSLDHSVSDHDEQLKEGQVKLGIDRPIAEHDKGEEEMSTDHQSEAALNEPETDTKMDEEDPEYEEDPEEVEIYEDDEDMDDSHAEEPPAEQNEDNTNNRETKPEVAAEDGGNKKTEEPELDNITNIHEKAASAEDKPSTAEKGESVDEKPSTAEKGESVEGGEKVASKEVKPTKDEVVDKDLLQAFRYFDQNRAGYIKVDDLRCILHNLGKFLSSRDVKDLVQIALVESNSARDNRIIYPKLVKIVDLELL >Dexi1B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:1B:12449445:12456290:-1 gene:Dexi1B01G0012000 transcript:Dexi1B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDAAGAERSSDQHNCKKPRLETDDEMPVADALAPGGSGGGGMESAAAGADCSGMTVEEIVQHPLPGYGAPVALSFCPDDRRVAYLYNPDGTLHRKVYTFDTAQRRQDLLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRAAHSGGHSFRTGIVVPLPSGVYFQDLSGSEPVLKLQSSPTSPIIDPLLSPNGSMIAYVKDDELHALGFSNGETKQLTFGARESRKIHGLAEYIAQEEMERKMGFWWSPDSKHIAFTEVDSTEIPLYRIMHQGKNSVGPDAQEDHAYPFAGAANVKVRLGVVCSHGGEMDNRGSARRGLHFEGQLKYNIGRVDAEDQLEGAEWLIKKGLARPGHIGIYGWSYGGFLSAMCLARFPDTFCCAVSGAPVTAWDGYDTFYTEKFMGLPSEHPDAYEYGSIMHHTRNLRGKLLLIHGMIDENVHFRHTARLINSLMAEGKPYEILLFPDERHMPRRLSDRIYMEERIWDFVERSL >Dexi9B01G0045220.1:cds pep primary_assembly:Fonio_CM05836:9B:44791229:44793318:1 gene:Dexi9B01G0045220 transcript:Dexi9B01G0045220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEENVGPFRRTSSRTRRMATRMASALSSSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEDPVLMQKKQSKNMKRKTRQGKALEKRAARSFMDVLHEANLESLPSNVPTYLRAAVGPPSTSSPRHYCSVCGSSANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >Dexi2A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:2A:4260384:4262770:1 gene:Dexi2A01G0004700 transcript:Dexi2A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGYGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDFVEVLSRIHEELEHCRPSERPGLYLVQSQVFRGLGEAKLRQRSLHSAWRCASTVHEKVIFGAWLRYEKRGEEIISDVLASCRKCCREFGPLDIASEMPVGNFEMFGSTETSSSSRVSSMVTFQIRDGRVTCDRCKIASLSIPFCSMLNGPFTESQLELVDLSENGISLEGMRAVSEFSSTYSLGDLPLEILLEILVFANTFCCDRLKDACDRQLASFVSSRQDAVELMALAFEENAPVLAASCLQMILQELPDCLTDDLVISLFLGATSQQQLIMVGQASFLLYCLLSEVAMSSDPRTETAVCLSEKLVQLAATPTQKQIAFHQLGCIRLLRKEYIEAERRFEVAFSAGHVYSIAGLARIAGMQGQKPLAYEKLSSVIASNLPLGWMYLERSLYSEGDRKLADLDKATELDPTLTYPYMYRAASLMRKKDAKLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARHHASTEHERLVYEGWLLYDTGHCEEALQKAEKSISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQVCATIYQCKIYS >Dexi3B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:3B:2786888:2790949:-1 gene:Dexi3B01G0004020 transcript:Dexi3B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGMKRPELQALCKRHGLPAGGTNADLVARLDAALSGGAEAEEEAVLGVATRKGCLKRPVGDAGEAKKVTFAVQESRGRRLRSRVVWSPVVSKTRGKRAEAGVDSAPDDGISADAGANVPVRRSRRNSLTAADAEEIEAAVTVDRKRKCKDQEIAEDAAANAPVVPSRRVTRRSSLSGNAVLLPPAVEKKMGREKAADGKNKLAAEEQVAEAQDSSAATTVVENKRSRRKRENCDPDVQKSAKVEAPSRTTRSRSVEAALMSPTVVENKRRKTGDGQPDVELPTVSEVVKKDAPVTRSLRNRVVQVNNPVVEETRTSQQLENKAQPSRPATRRHKQVESSVEEEDQEQVAVPNKAPLSRRSGRNNSEASNANSENNKLVSALVEAKDLQVAYPLTRRNAKAQDAEKQPIVKEPVRRSTRKSVVSIMLDSEDKDPTEEKNPEAPVRRSMRRSVVPAKDVKGAGEEIQHAKGEDAVKQPAITEPVRRSTRKSVVSTMLDSEDKDPTEEKNPEAPVMRSMRRSVVSAKDVKVAGEEIQHAKGEDAVKQPAITEPVRQSTRKSLASAMHEKEENDLSAEKNPGAHVRRSSRKSVVPVKDVGEDIQNTKGEDAEKQLSVKQPVRRSSRKSVLPDTLENESGFIVAETNAEAHARRSTRKSVLPNMINTENPDLSKMARNGNFETGKCEDDKQQIVNEPVRRLRRSVVTVTIEEPYKGLHYGNISKIPMRRLTRKSIAFNVVEKGSMDNTEKVGMEESEAGTRKPKVRDHGVAMVISGNDSQAVLQRSIGKSSNHYLSDDNETQPGSEKCMSRESVGEDVMKLRNHRRSSMEISSSAKDSSNIEDFSGQKFRKQQRSQTPIEKGDTGANYDRQLRTQQASHSTTSKERSSKRRWTTAPEEVTSAEEANDVMVIREATEDTCKVSHVYCEPSSRIQEICQVNATGEEYSSGPSLGTVTLPDEICSTQSVHEAIPGSESSEAAKESLDKSKQPQEHTAIQHDDNHLSETRNEELDQSSSVAELHSGFVLEDKTLMGEAEFAVGDGEGQSPVAGHGSDTASGSALPTSDNNPEIHCDVITEESIRADDLGRCPSMDGKGNLLTNLHSEGAADNSILPALNAAKGCSSDERHSSFGLEVMFTEASKDTAVEVDGGNKSITCLTPGFHVGSDCGLEDEDVIKEGINHL >Dexi7B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:7B:18019688:18021718:-1 gene:Dexi7B01G0010790 transcript:Dexi7B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPFCSSWIMNGVGLITKIRNAAQLTYLRLGELVANPCIKCPNCQFGIDTSNVSLVCPALPAGVKFDPSDPELLQHLQEKSSLLNSKSHALIDEFIPTIEKEEGICYTHPKNLPGIKMDGSSFHFFHRVSYAYGCGQRKRRKVSGDVGSACDEHIRWHKTGASKAIFDENGIKKGWKKILVLYRGSKRGDNKPDRDNWVMHQYHLGADEDEEDGALVVSKISYQLPLKKNDKSEMDDIVVESETFVAKIDPRTPKTDPPQLRFPINSPCTEQYTPIQLDQEEEECSTAVRPVKVEAGECSAWFAELSPTAVVANLPAADEPGESRNTPNSVPEPEAPIPVDDSNMELFNGLPELDNTFPMLGMPSDNVNFADMFGSQDSLGAWVDGFR >Dexi9A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:9A:14954812:14957682:1 gene:Dexi9A01G0019950 transcript:Dexi9A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPPLAELNAEMATGFDGDDGPAIGIDLGTTYSCVAVWRHGRVEVVPNDQGNRLTPSCVAFCDDTRGRRLVGDAAVNQAASNPDNTIFEVKRLIGRRFSSPCVQGNIKLWPFRVIAGRDDRPMIQVQYGDDERQFAPEEISAMILAKMKETAEAYLATTVKNAVITVPVYFNDSQRQATMDAGTIAGLTVVSIINEPAAAAIGYGLDKVLLSSDGGERTVLIFDLGGGTLDVSILKIDPGTDMDMSVFKVKATAGNTHLGGADLDNQIVKHFVREFLKRYKMLDIRSDKRALQRLRTACERAKRMLSYAVQTSIEIDSLHGGIDFYATITRAKFEDMNKSFFSECVETVEKCLRDAEMCKASIDDVVLVGGTTRVPKVKSMLQDMFDGKELCMSVNPDEDVAYGAAIHAAVLSGDEMLQDVLLVDVTPLSLGVRTIGGVMTLLIPRNTTIPTKKEKVFTTCYDNQSSVLIQVYQGESVNTDENNLLGQFRLPGIERAPRGVPKINVTFEINANGIMDVSAEDKVARIKKKITITYDAGRLSTEEIERMVQDANYDR >Dexi6B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:6B:21153133:21163868:1 gene:Dexi6B01G0013460 transcript:Dexi6B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAPASALPKSGAVSKGYNFASTWEQNAPLTEQQKGAIAALSHAVAERPFPANLEKSSGKDGGAAVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYENTLEERVKTCDGILKQVDDTLNLFEELQSLHSSVATKTKTLHDACDQLFLPLLKRLDDCILYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRGSDSGKNVVTEGVEASLIYVRFKAAASELKPILGEMESRSSRKEYAQILSECHSLFCEQRLYLVRGMVQQRISEFARKEALPSLTRSGCVYLMEACQFEHQLFAHFFPSSAADVSSMAPLMDPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDTSSSATVGDNSDIYVTWYRPLEKTVSCLSKLYRCLEPSVFTGLAQEAVEVCSTALQSASKVVSKKSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLESQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVSTAIQQDLPKVMTKMRLYLQNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSNEEMQSIGMFPIADLQSQLDSLL >Dexi4B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:4B:4557034:4558557:1 gene:Dexi4B01G0006500 transcript:Dexi4B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPDVRSAPPASLAEPARLATTETPPPPVTEPAVVHRRTSTSFTDVRSEPLPRPPTPPRASPAPAPATAALPYEAMLRSTAYERMRAEHPSEFAPASVFFTHDPRSAIDRRNSFRVKAALVYEAVTGHHVDDHMLRANSLLLALAKECHSRIHHAPPTPSTTNTDEDWDENLKRVVDSIFLVVGFLPKLKDAIAKSADRDGVDDAFKSRHMHDIVMDVIKLENQLPLRDLLDVAGIVEGAVMVTLAGDEFHDVRDSVAGGGEYKLPFTNDTFGEVVHGFCWYYSPFASPKKPAAASSPFHDLAGDDDEGMKTRTLLDCLHMSVVKPPQGTGVSATGRPSRMPTAKELRRSGVRIQASETGRAEVEFSPPAVRLPALVYDFKLATVARNLLAREYEGQSKPVTRYFQMMNELVEDAADVRILRRAGVVRGGSGDGKEVHELVKNIDGHATYPSVYMALDREIEKVRQYHDQRMTSFFVRNRPGVIWASSVAAISVVAIVAARRSRG >Dexi2A01G0023080.1:cds pep primary_assembly:Fonio_CM05836:2A:34871390:34871645:-1 gene:Dexi2A01G0023080 transcript:Dexi2A01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAVLPFRLLLGKAAGVGDADGDGARVASCRTALVLRGGRGESGTVFREGEGTVAGALPAYGADAGAGEEWQTGSRWLVTR >Dexi2A01G0032640.1:cds pep primary_assembly:Fonio_CM05836:2A:43066350:43068175:-1 gene:Dexi2A01G0032640 transcript:Dexi2A01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRCSLLALALAAALSVAVAYDPLDPNGNITIKWDIMSWTPDGYVAVVTINNFQMYRQIMAPGWTVGWTWAKKEVIWSMVGAQATEQGDCSRFKGNIPHSCKRTPAVVDLLPGVPYNQQVANCCRGGVISAYGQDPGAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALMTWNVTCTYSQHMASKYPSCCISFSSFYNDTIVPCAKCACGCEHKTCRPLTVTGKHEHAHGAAGVRVHRDMEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTAMFYGLKYFNDHLMQAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKVYFNGDECQMPPPDAYPYLPNAAMPAPAASLGAAAVAVVAFLVLVVV >Dexi1A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:1A:21129413:21133636:-1 gene:Dexi1A01G0014470 transcript:Dexi1A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRNENRRPGRGSPNKPREARAQNRVEPNNRGEKSVSETQSCGHGKPRGFQEIPRRKRKGKSARAETQWRARPIGAGQAIASGGDGKGDGYLATTVGGRAEREAHRGGPEFVGLFNHSQAGGPCVCALGLTARVRTAQQIHVLACGRYCLPQLRREGSGSTRRPQPRLGPFSSAEAASPSRCGSAATGGCPNPELVVAAEHDVTSVAHSSGAFGGAGHEEDDLWRLGSSGHQFFWAHQFRQNAREPAAWAEPTTAAAAVGDARGGAAGDSRMVVSGGADPWVEWVAPRCHQQDYDLDGSFSLHSAREKTGGGMPKPGLDCSANGPKDKYDVSLGQGSLLVLVNAVLSSLVVYHMSSILMPKTVLDILERRRRSFIWTEEETCHGSQCLLAWEHVCQEKEYGGELGHIKTDSYLARIVHEELPLRVGNGKDTAFWHDYWLLSASLADSFPALYSHCTNLEVSVHHALSTTMDTYLQPRLTQCASEEKDAVLACLAPITLCTGPDFRFLMHQPSKCFSPWDVPRA >Dexi7B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:7B:11767723:11770485:-1 gene:Dexi7B01G0004790 transcript:Dexi7B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHAFVHNGQTVFEWDQTLEEVNMYIELPKGVPTKLFSCTIQAGHVEVGIRGNPPYLNNPGFDFSQAQFSGTCPDPRSFMGGIRSE >Dexi1B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:1B:996151:1000938:1 gene:Dexi1B01G0001310 transcript:Dexi1B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAECGSVMPMRPKISVSMKSPRLYAFWTQLGKHIKSDIHSDKGHFGSLPDRELTMKTHERAANLALAALSLAPLVVKVNPNVNVILTACLTVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIVALSATLLPSIKRFLPEEWNDNLIVWRAPIFHSLSVEFTKSQIVASVPGFFFCMWYASKKHWLANNVLGISFCIQAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKQVRL >Dexi5B01G0036430.1:cds pep primary_assembly:Fonio_CM05836:5B:36128491:36131118:1 gene:Dexi5B01G0036430 transcript:Dexi5B01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPASPPRRGGLLRLLSVLLLARAAAAAGGAAASEFDDGTSPKFPGCDNTLQKVKVTYWVGGDEQSSLTGISARFGAVVPDTAGQKKPAVQPEPKGSCAKSSKPLAGSVAVAERGECTFIEKAKTAEAGGAAALLITNDDDALQKMVCTDKDPPPNINIPVVLVSKSAGDKIQTAISAGNKVDILMYAPQKPSFDGAIPFLWLMAVGTVACASVWTAAVVGEEPNKNAASLGGEENPEAEVVELQTKTALVFIVTSSFVLLFLFFFKSSWSAWLLVFLFCVGAIQGMQYVSSSLAISRCGEPKVKLPGIGNVKVLTLVTLPLAFIFAGVWVASMNSPFGWAGQNLMVASALLIAAFLYDIFWVFISPFIFKKSVMITVARGSDDGPSLPMVLKMPKEFDSWNGYDMIGFGDILFPGLLVAFSFRFDRSHGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMNSGQPALLYLVPSTLGVIAVIGAKRGELSQLWNAKA >Dexi5B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:5B:11544818:11546803:1 gene:Dexi5B01G0014670 transcript:Dexi5B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRCAFVLALLLTQLAGDAFAGRGHGHHGPAAHDYRDALAKSILFFEGQRSGKLPPHCTFAFALWRWLTECVGPWQVDLVGGYHDAGDNVKFGFPMAFSMTMLAWSVVEFGGLMKGELQHAREAVRWGADYLLKATAHPDTIYVQASSCFAFLFVGDATKDHACWERPEDMDTPRTVYKVDPGTPGSDVAAETAAALAAASLVFRKSDPAYASRLLARAKRVFDFADRHRGAYSKGLAADVCPYYCSYSGYQDELLWGAAWLHRATKSPTYLSYIQANGQVLGADESDNTFGWDNKHAGARILIAKSSLVQRVGSLHEYKSHADAFVCSMVPGTPTDSTQFTPGGLLFKLSDSNMQYVTSSAFLLLTYAKYLAFSKQTVSCGGGGGHVVTAQRLRAVARRQVDYLLGSNPMGMSYMVGYGARYPRRIHHRASSLPSVAAHPGRIGCSQGFTALYAGGANPNVLVGAVVGGPDVQDKFPDQRNDHEHSEPATYINAPLVGALAYLAHSYGQL >Dexi6A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:6A:20470040:20472422:1 gene:Dexi6A01G0013420 transcript:Dexi6A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLKHRPVSSSRSARSTQSTEKGINGLPSANQQQPCAKKRTRSRTDSGERLKEEDKPTIKAVGEHWEVHPYQGSRKPPTVIGALVREMYPDVVDTAKGPKPVLTWEDYKQTSTCGEPNDKRVVKEFWELKTGVDPGLGGAWLHNHELHPGTKDGCLCSQSATDKWAQYEEAMVNKYGPNWKAEHPNFDASVIFEYVGRMSHGKLGIADEAISIAEKESIKTRKRSAQPYASAREKRLERENEKLRKDNRVLSEIERVVRAMAAKGGLDYDALAQETTTNLAASGSEGGLSKENEKYAGDDDYIGGTYNVDEDDVDYNYDNAGYHDHEYEENCDNDADNVYRNDPGYDHW >Dexi9B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:9B:14467615:14469327:1 gene:Dexi9B01G0019820 transcript:Dexi9B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding FELPLMDVEKLKKMASAARNLMDDTYNEVLIGGRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFVNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAHGASSAEGGAGAGAAQDDDDDVPELVPGETFEEAAEEKKESS >Dexi5B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:5B:7314194:7314915:1 gene:Dexi5B01G0010370 transcript:Dexi5B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFTARACEFGLDVDRSDAVEPTRSLIINTKASIRRAIEAAGVPHTYVWMGYFFGYGLPGIGQVLSFSPPVDKAVVLGDGNANVVYVDEGDIATYTVLAAGDPRAENKTLYVRPPANTVSHNELLELWEKKTGRTFRRVYLSEDAVLKQIQEASVPLDSILLSIGHAVHINGEHDKLEIDPASGVEATELYPDVKYTTVEDYLNRLL >Dexi5A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:5A:12768616:12770299:-1 gene:Dexi5A01G0015250 transcript:Dexi5A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPLVQFYRQADVPNIGHQQGSCGVLAQGVAASQDQCDTEDIDESSAEGLCDAKSYVKANPMLIQVHVLGTTRKFWRLSDKATRISRKLALILRSQHSVGKCLAGPLQVSNILIGSSGSVKLRGVSFTGKGFSIDRVRDDYKQLSRVLQTLIRASGGDVTKLPPDYRKFLTLLANDNLTMRDEFLIVNSAALLPMKNRTEAFLMLHDRIAKHLHRTDRAKKNRILSKLPYEDNWLDTASANTQINQWVVNAQYGYKKTQSDLLRLNRNVRSHLHEYNDEDIEQILYCEWPELLMDMVKLLHFEGELEATDIQNKFG >Dexi3B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:3B:3210385:3210669:1 gene:Dexi3B01G0004770 transcript:Dexi3B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSGLSKHAVAPMEVSVEAGNAGEAAWLDDDGRPRRAGTFWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAEC >Dexi8B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:8B:27663839:27664231:1 gene:Dexi8B01G0016470 transcript:Dexi8B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSCGSSCTCGSNCSCGKNSKHPDLEDQSSVVLGVAPERKLEAAAESGENGCKCGPDCKCDPCTC >Dexi2B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:2B:22415062:22415359:1 gene:Dexi2B01G0013620 transcript:Dexi2B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYFQVASKINTQEIIRRFEEKLAQLMEEREIKLMRKEMVPKAQLMPAFDKPFHPQRQLYYTSRYDELTDY >Dexi8A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:8A:806401:806817:-1 gene:Dexi8A01G0001170 transcript:Dexi8A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPPKPVIYLILLVLLLTSLKAEEEMAGGEQKHGSIRWRSSAPSSSETVEYVPVRRVVYRRWVWAADETPHRPFLVCSGRCRCCALSNSSNCVDTPCCFGINCNLPGKPYGTCAFQPVTCGCGSCPSQPPSSHHLLF >Dexi6B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:6B:25424768:25425550:-1 gene:Dexi6B01G0018470 transcript:Dexi6B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERINELLVSTEAGPGSGRDVLSDDLYGERGYPVHLGRESHQRRDERERESAGGERPLGGAAEEEPGLGENRLRLLARGGGERGREAHGAVKRGGVGEGGRGAEGGLGRLGDGEREAVGARNEQGAGGGEGGEEGRVRAGELAAGGGLGHAERLLGAQRREAEAGGDGGDGGGGSSDLLVPVGGVGGVADEGGDAEVEAAGIVEGGLVNELRRAAVVDAAAQGADEHARVRVRDHRALAA >Dexi2A01G0021830.1:cds pep primary_assembly:Fonio_CM05836:2A:33808859:33809820:-1 gene:Dexi2A01G0021830 transcript:Dexi2A01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLSGSRLPPPSPAEDAPETETSEREPEDDGEIVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQTCGFQLHEFCALAPPSLHDHPFHPKHPHLLFFCDICGKAVKGFSFRCASCGFDMHPCCAAMERMMELPAAHEHVLVLAAQDAAAETSFVCQVCRRATAAAKRPGGSSSSLVYRCLPCGYCLHARCAKDMVNGLYAHGIVPPEKRSALATAARVTVNALFGVIGGLIEGIGEGIGEAFVENIGRSRRSFG >Dexi7A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:7A:23215490:23219489:1 gene:Dexi7A01G0013010 transcript:Dexi7A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTVLYLHATVVALLLCFSVHFIQSPSDVFGPVALLDPTPSAARDFGAVVSEPPIAVMRPGSAADVARLLATLSSSPGTRRRARAVAVAARGAGHSLHGQAQARGGIVVETRALPRAVDVVADGAGGEAYADVGGGVLWVEVLEECLKAGLAPRSWTDYLYLTVGGTLSHGGISGQAFKHGPQISNVLQLEVVTGTGEVVTCSPTKSPELFFAVLGGLGQFGIITRARIPLQVAPPKVRWVRAFYDSFETFTGDQELIVSMPELVDYVEGFMVLNEQSLRSSSVAFPAEINFAPDFGSDSDGVGKTKVYYCIEFAVHDFQQRDSDAAAADHASLSSQQVVELVSGKLSYLRPHAYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNLFVPRHGVEGFKDLLMDTVTRGEFEGPVLVYPLITDRWDSNTSAVVPAAADGVMYIFSVLRSTDPSRCGGACVEGILEEHRRVADEACRRLGAKQYLARQPSRSHWRDHFGPSWDRFVARKARFDPMHVLGPGQGIFARTDDDSAAI >Dexi9B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:9B:9531282:9531605:-1 gene:Dexi9B01G0014250 transcript:Dexi9B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEQRRSAASASSNARTGAGSVDGAAADLKVRGGRRGASGSCRHQTVQGRTDGRTDKLLRVCALALFEKTSSAGQGRGMGEPRGESSPAEAGVWAVRGEQSKQRS >Dexi4A01G0023360.1:cds pep primary_assembly:Fonio_CM05836:4A:26483575:26487001:1 gene:Dexi4A01G0023360 transcript:Dexi4A01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSESSRFVQELVLYAASAAFSCLVLVAGLRHLDPNRAASQKAAQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDKNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDHIARLCEGFTGSDILELCKQAAFFPIRELLDSEKNGRKLDKPRPLRQSDLERALSTSRKAKRAASSGLQTPLWARPSDSDDDQVQNAIFEISKLMSRIVQNSQSEPQEPSSP >Dexi2B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:2B:9174145:9175470:1 gene:Dexi2B01G0008650 transcript:Dexi2B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDDGATTQLMKVDLRGLQPNTMAWMNAMVAVTASMTAHGYVVVVHDALDAELRCALFGHALPELFGLPFDTKKRSGVFSNGPHGGYDGEVPNQILESIPILNPAEPGNIQDFVGRLWPQGNPGFCDTIESFAKNVLEMAQTVERMTLEGLGVCEESIAWQLSSQSHTIKMMLYGTPPDNAELSLGAHPDGQMTTVIVQHEVGGLEVKVDGGRWLAVPPEPGTLIVMGAPPSGHKRFSVLLNRRRKGDPMLRAMDELVDEDHPLMYNPCRHEDYRAFRFSEEGCRLQEHNPLKAFCGVETHGSKE >Dexi3B01G0033070.1:cds pep primary_assembly:Fonio_CM05836:3B:35444169:35444531:-1 gene:Dexi3B01G0033070 transcript:Dexi3B01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVAVAHRPLWMASKPYGTGAFEHRNKTAGKVQGHNSIRLPPMKTAGTGGAWPRRRVSSLSISRPRGSLSSSCTAADTPSSEKRLDTVWHIGHWLLVKITTAFSADSLDTLSAIALALS >Dexi3A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:3A:2792612:2796617:-1 gene:Dexi3A01G0004230 transcript:Dexi3A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLPLPIRPLRSARPVSRSVSWPCLPPSFPKRRRLPASLRVQCLADAETGPGGSAPPPAPRWHAALAAAAGMHPAYVTAGAAVAVATPEAFRWFVDMAPGSYTAALGFIMLAMGLTLQLRDFAALLRERPLAMLFGCAMQYTIMPALGTIISRALGLSPSFSAGLVLLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLAAVFLTPLLTKILAGAYIPVDAVKLSLSTLQVVVAPILLGSSVQTAFPSVVRVFSENFVRLRSTIADASSVNGSFFSGDIGVVMLSVFLLHFAGFFVGYAAAAVGGFKEKQRRAISIETVAKAVKAQYQFRFADEVDEDPMELDEVDNFDSRSGWGYTKFVKREEFEASEHLKDDSFAVRCNIILVSEFQAEEISPAIVEVPPSDIQRHLADLLQAGRGADVVFNVGGVAFTAHRWMLAARSAVFNAELFGMMKESDTRGVVHIHDMEPRVFKALLYFVYTDLFLDMTGEEDGDAMVQHLLVAADRYSLERLKLMCEEKLCKHIDLVSVATILTLADQHHCCGLKKSCFDFLSSRENLRAFVASDSFQHLSTSCPSIIKELLLSFQR >Dexi5A01G0032850.1:cds pep primary_assembly:Fonio_CM05836:5A:35222527:35224042:1 gene:Dexi5A01G0032850 transcript:Dexi5A01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRPRFSESVALVVLSAFSRALMPDAALAAFRDLHSLLGRNPGIRSHNALLDAFVRARRFSDADEFFASLSHGAFGRRLAPNLQTYNIILRSLIARGDVDRAVSLFGSLRRRGVAPDRVTYSTLMSGLAKHNQLDSALDLLDEMPSCGVQADTVCYNAFLSGCFKNGKFEKAMRVWGQLVRDPGASPNLATYKVMLDGLCKFGRFKEAGEVWSRMVANKHKPDTVTHGILIHGLCRSGAVDGAARVYSEMVNAGLVVDVAVYNSLIKGFCEAGKIGEAWKFWDSTGFSGVRDITTYNIMIKGMFDSGMVNEATELLAQLENDTSCSPDKVTFGTVIHGLCENGFANKAFAILEDARTSGEELDVFSYSSMINRFCKDGRTDDAHKVFRQM >Dexi8B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:8B:1880699:1881649:1 gene:Dexi8B01G0002700 transcript:Dexi8B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSIRDLASCVSDGAVRVACTTPASTLVASTTAASSSSSPATLSVTTTYHARTSPPLLFRLTWAHSPIGPPTLSFSAGPTAASPSILLRRRKGSRSLPSDSDDDGQRHPPLALFWDLTAAKYAPAASASSSPEPVSGFYLVAVANAEVVLAVGDLAAEFIKAKFEGQIPKAPFAAVARADRVVAAPNAMHAARVRFAEGAPEHEVTVGCATTASGAGGEELWVSVDGKRAVHARRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFRDPPGCAVVMLRARSALESRLWLEEEVAAPGFALVAYKTPP >Dexi7A01G0022690.1:cds pep primary_assembly:Fonio_CM05836:7A:30876773:30878097:1 gene:Dexi7A01G0022690 transcript:Dexi7A01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVAEQDAVSLVRRVARALNRRVTDVVALLFNHKSAGSLGAVAGFAIAVVFAWRFLRPSPGRPRRPAPKRPPATQTGAAKPAGTPDSVVSDVPEPVGDSGKVITRQIVAKRLSGCRKNLIHIVVQVTCQLLGIVFEEKTSEELQKHATVRPSVVELLLEISRHCDLYLMETVLDDKSEENALMALESAGLFKTRGLMKEKVMSLH >Dexi2B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:2B:25369736:25373751:1 gene:Dexi2B01G0015380 transcript:Dexi2B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKCSPATLLPFVFLLLLPPMATCREEMESVYVGKRVLPVRLGRPAFGPESLAFDHRGDGPYTGVSNGRVLRWRGPLRGWTEFAHNYKHEPLGLQFHGASGDLYFADAYLGLMRVGRRGGLAEAVVTEAGGAPLNFANGVDVDQDTGHVYFTDSSATFQRSDYMMIILTHDATGRLLRYDPATGSTAVLASNLSFPNGVALSGDGTHLVVAETARCRLLRHWLRGLHRPLRRPSRLPGQRDYYWVALNKDKPRSVAAVRVRAADGAVVEALRGLGNATLSEVVERPGGALWLGSVDTPYVGLFRSGP >Dexi3A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:3A:8343887:8344155:-1 gene:Dexi3A01G0011550 transcript:Dexi3A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAAGTGGDVSADGLIAELLDMGFDFDDISAAVGAIGPRRAEVLDVLLGGPVAVAGAGQARRGGGALSRPASTATASWEGDEIE >Dexi9A01G0024070.1:cds pep primary_assembly:Fonio_CM05836:9A:20054042:20055139:-1 gene:Dexi9A01G0024070 transcript:Dexi9A01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTYKAYEPETPSNSSGYRKVRGRRKKLTAQKKKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVDMMTDKMGERDARDELHKAFRIIDQDGNGKISDIDIQRVAIETGEHFTLDEVREMIEAADENGDGEIDMEEFMKMMKRTNLGSQF >Dexi4B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:4B:9707267:9711939:1 gene:Dexi4B01G0012060 transcript:Dexi4B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVRVIEARGLPAAEADGPRDPYAKAQLGKQRAKTKVLRKTLCPAWDEEFAFRVGDLRDQLIVSVLDEDRYFSDDVLGQVKVPLTDVLDADNRSLGTQWYQLQPKSKKAKLKDCGEIQLCIYLAQNYSEGTTTLAHWASDDLASNSDKSAELVKGSSLPNIPIEISTAASESDDIEVSKENKSNGGPSFVNKLYQMFKPKDAEAPAASLSNLDSSSTILEETPSTSSQSPDKQDEEVSATMTFEELLKAFGSQDEGKEMPENLSGGVLLDQVYAVAQSDLNTFLFSPSSDFLQSLAEIQGTTGLEIQQWRLENDGEILKRVVSYTKAPTKLVKAVKATEDMTYLKADGEMFAVLADVSTPDVPFGSNFRVEILTCIMPAPELPDDEKSSRLVVSWRLNFLQSTMMKGMIENGARQGLKDNYAQFSDLLARTFRPVDAKDTADNNELLSSVQPEQESDWKLAFRIFGNFALLSSVFAFLYVSVHIILARPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIGRFIQAKRQREIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHAEVNFLKYNISELADIWIPLKGKLAQACQSKLHLRIFLNNTKGTEIVKDYLDKMEKEVGKKIAVRTIMALWKTRSLTPEQNVQLVEEESETEDFQNEEGGSFLGTEDAKMSEVFSCTKPFDVSTLMNIFDGGPLEHQVMEKVGCVDYSVTEWEPVRDDVYQRQVHHKFDNKSTRHGGQAMSTQQKSPLPSKNGWLVEEVMTLEGIPVGECFNVRDNS >Dexi4B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:4B:962079:962438:-1 gene:Dexi4B01G0001610 transcript:Dexi4B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLPDQRPVADSAAALVERLRLALLRGQLLRHAELFLPRTPMDVVEKIRAVWGWGVGFIAIGFMASGVLSFNVHDTRVLVGCTCAAAAFMVTLVALWVWLARTYGGSGSYDHESSNE >Dexi9A01G0031540.1:cds pep primary_assembly:Fonio_CM05836:9A:36537109:36537345:-1 gene:Dexi9A01G0031540 transcript:Dexi9A01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCRAGSPSTSKRACGRRGTTEKVAVDSMRRPPDTGVSALGERAREAQSNRFAVTAPPSHRRPSERSGGGPPNPRG >Dexi3A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:3A:722816:724688:-1 gene:Dexi3A01G0000980 transcript:Dexi3A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPWNWMCPSRHVDPPLPATPRVSLLRCILLASCISVMPPDADEWAVVERQGPHLRESGRPFIVHGFNTYWLMYFAADPATRPTVTAALAEAADAGLNVCRTWAFNDGGHRALQLKPFSYDDEIFQVTTGCSAFDVPGMTLMKCALDFVISEARKHRVRLILSLCNNWKDYGGKAQYVRWGKEAGLDLTSEDDFFTDPTIKSYYKAFVKSWIEEMATYVKSIDPVHLLEIGVEGFYGPSTPELLHVNPDAYSGTIGTDFIRNHRALGIDLASVHIYSDTWLPHSVEDSHLQFVNTWMQQHIDDAANLLGMPILIGEFGVSLKDGKFGNEFREAFMETVYRIFLSSWKSGVIGGGCLVWQLFPESAEHMDDGYALIFAKSSSTLNMLANHSRSLECAASLSLI >Dexi9A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:9A:4750048:4752516:1 gene:Dexi9A01G0008000 transcript:Dexi9A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTTRQRRRSRKLSVAARKFRRKVSAAIADAPIIRGAGDAAGCFARHGVVHVEAPDSNVTLRLTKLQWQHSQMDAGSVICEEAWYDSVSILESTDSDDDLDNDFASVSGDPLPDVTGGANAQQASPCKDAACLLDTVQLRSIANAEACEGEPPEKSGDSNGATTATDGSCCKAEECRCGGALRELQSAVSCSPRPFQPSIPSNKVQPMPVGSVSPHQQQKKKTAMVRLSFRRRSYEGDEMTEMSGSANYLYRPRAGFTVPCSAGEKMPEGCWSAIEPSMFKVRGENFFKDKRKCSAPDCSPYTPIGVDMFAYTRKINHIAQHLSLPSLKIHETFPSLLIVNIQLPTYPATVFGDHDGDGISLVLYFKLSESFDKEISPQLQDSIKSLMNEEMEKVKGFPLDSTVPYTERLKILAGLANPDDLQLNAAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADNGQIPTLITAADE >Dexi5A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:5A:22360800:22365985:1 gene:Dexi5A01G0018850 transcript:Dexi5A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEREAALLARVATNHLFLAQFEPLRAALLSLRRGADPGLAADFLRAVVAAGGRVTGVLWSATSACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQPIADDPAVAAEARETLKRLLDLGVLRLRREVEVGGGEADAGTEEAPITDEDLRGLWGVFLDNAPVFEALCLGVSRQIGLDGGFGVDVLLLLRQNVQLAHLDSVKTLLKEDDLDGAAGHLRFLCLDHGVEEDEYKVVISELLRKGWAKTSNYAERWSQLRDRIAKMYSTALQSTSPHLVQLVQLILDGIVSEEIEDHDVSDANGMPLPFTKFVETLSLERVADSDDRASLDAAIASCKKDLYHYCRLSGKHMLEVVLETALSSIKREQLQEAVDVVSLFPLLQPLVAVLGWDILKGKTALRRKLMQLFWTSKSQALRLREYSHYRSKTDETSCEEYLCDLLCFRLDLACFVSSVNSGRPWNLRNSLLFSQQDQGSDVDNAEILDPFVENLILERLAVQTPMRVLFDVVPGIKFQDAIKLIGMQPLPSTNAAWKRCMQRENYDIGEEAVQRFSLPAEDKASLELAEWVAGAYKRALVCL >Dexi8B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:8B:11404269:11407583:1 gene:Dexi8B01G0008490 transcript:Dexi8B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSSSGGRGMAELVLLLLVAVAGLLRAAAGTTTPPFSCGASSPESSQFCDATLGPAQRAADLVSRLTPAEKVAQLGDVAPGVPRLGVPPYKWWNEALHGLATSGKGLHFDAAAAAGGGGVRAATSFPQVLLTAAAFDDGLWFRIGKAIGREARALFNVGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYAVAFVRGIQGNGSGALLQTSACCKHATAYDLEDWNGVRRYTFVARVTAQDLEDTFNPPFRSCVVDGGATCVMCAYTAVNGVPACADAGLLTDTVRGDWGLDGYVASDCDAVAIMRDAQRYAATPEDAVAVSLKAGLDIDCGAYVQQHATSAIQQGKLTEQDIDKALTNLFAVRMRLGHFDGDPRANAYGALGAADICTAEHKSLALEAAQDGIVLLKNDGGILPLDRSAVGSAAAIGPNADDGGALIGNYFGPPCESTTPLKGLRSYVGDVRFLAGCTSAACDAAATEEAVAMAGSADHVFMFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADAAKRPVILVLLSGGPVDVTFAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPATGYPGRSYRFYQGDTVYKFGYGLSYSTFSRKLVSRTTMPALSQHILAGLRETVAEEDGTSYHVDDIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMFLRWPNATGGRPSSQLIGFQSHHIKAGEKANLRFDVSPCEHFSRVREDGKKVIDKGSHFLMVDHNHEMEIRFEA >Dexi8A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:8A:1492177:1494160:-1 gene:Dexi8A01G0002270 transcript:Dexi8A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLITHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKACKVGYKYLEDGTKVRFARGMYASAGPKDTPIELVLEKTYDEKAGIGMPDL >Dexi5A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:5A:7770042:7774079:-1 gene:Dexi5A01G0010200 transcript:Dexi5A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAGATTGGGVCPVKSDKSSAGVCPAKSDKSISGVCPITGMGQGSEQKESADHAEEKGSDPRMVPAKCPFGYDSNTFKLGPLSCIICQALLHESSKCKPILLDFLGRACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSHAAGDVEAAGGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLTMCADDIREELKSSEDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAAFLSKLPTKDLELVHTLSVSLNKIGDLRYYDGDLQSARNYYARSLDVRRNAVKEHSSVASQVIDLATSLAKVADVDRNLGNESAAVEGFEEAIQCLEKLKLDSEQANLEQRRLSVLDFLHNQLADK >Dexi2B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:2B:9793282:9795910:-1 gene:Dexi2B01G0009010 transcript:Dexi2B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLCWLLLGVVLAFGAAASPAQASKAHHYDFFIKETNVTRLCHEKTVLTVNGQFPGPTIYARKGDVVVVNVYNQGHKNITLHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTVWWHAHSDYDRATVYGAIIIHPKHGSTYPYPKPHKEIPIILGEWWKADVEQVLKQALETGSDFNYSDASTINGQPGDLFPCSKNGTFRAVVEHGKRYLLRIIHAGLTYEMFFAVAGHNLTVVGMDGSYLRPFTVDSIMISPGQTFDVLLEAIHGGGSANTSRYYMAARAFSTILNATVKFDDTTATAILEYKDAPPSSAPPVFPTNLPALRDVDGATAYLRKLRSLVTKDHPVDVPTHVDEHMFVAVAVNSLPCGANKTCQGPDGQRLAASLNNASFEYPSVDVLDAYHSSMNNLNSSMYYKPDFPDKPPVIFNFTDASLLNPTTSFTKRGTRVKVLEYGTVVEVVFQETGFLGPEDHPIHLHGHSFYVVGRGSGNFDLKKDPATYNLVDPPYQNTVSVPRYGWVTIRFRAANPGVWFMHCHVERHTVWGMETVFIVKNGKTPDAQMMPRPPTMPKC >Dexi2B01G0021570.1:cds pep primary_assembly:Fonio_CM05836:2B:31323024:31324427:1 gene:Dexi2B01G0021570 transcript:Dexi2B01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSLPADLITHVTDGLLATDDIDYYIDLRAVCHSWRSSTADPKTSGNPRFQLRQWAMLDEVYQSDARLFVNTSTGRFVRKDLSLLRRSYFVVAGAGGSSIVLAERASPHAARVLNPFTGSLQALVGGIYAAAREPGLFPSRLVTEAQKILDLLPCTEELVNCLCFLVESVGEMLLVFKLQHRFGVFKIDPASDLLVPVKDIGSRALFVGGCRCLSVDAELFPSVEANCIYYVIDEPLYDICIYSLKDEKLVVAGGAIDYFNPHTLSPLACPPFTVVQLLCSYTFELRGSELRWEKMFTQLSGMDKELFARLTEELSAYDCESDDDY >Dexi5A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:5A:12231801:12235198:-1 gene:Dexi5A01G0014940 transcript:Dexi5A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILARRRAADAVLRRPQAGAVVSAWRAYAAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVSGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLIDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGDQTILEADVVLVSAGRTPFTSGIGLETIGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIAYSVGKFPLLANSRAKAIDDAEGIVKVVAEKETDKILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI >Dexi9B01G0026850.1:cds pep primary_assembly:Fonio_CM05836:9B:29254161:29256875:1 gene:Dexi9B01G0026850 transcript:Dexi9B01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLVAYKIIRRFFFDDGDDASYLADLDSSHSDLCFAVASRLEKLYNGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVGIKNFSGFIEADKDGNWSCPTDKKRKQEIIPNPVLEVNRLAASLQSYLEQRGAKLPDGHVIGRVVLPNPNCRLVLFTGLLWPSYNISVQPEVMLYDQWKDLKMDPKSGLSTWIKGAFSGSRSDTQDSVLQNLHFILSTSPMWDRLELKGDKNVLGEFIEFKGRHEDIELLKNLKRSKVSRFIIQKSTLFGGFAIFA >Dexi6B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:6B:23135744:23136079:1 gene:Dexi6B01G0015830 transcript:Dexi6B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSSRRPPKLPYALCLPRRHDPPWRKGGLSRARRHDPPWREGGLSRARRHALPSRPSIPRRQSSQEAAAVPFSTSASPDARRIGLRDEIQIDRWEMEAGVHVVSSLPPP >Dexi2A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:2A:11365317:11369440:1 gene:Dexi2A01G0010190 transcript:Dexi2A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding GATSRPSPPSVPPAPPPPFLPLSAAPLHYRGMAAAALRIPAHLGLDGAAAGEQWRRQRGAPAPVNVPGRRREASGVAVRCQGAVGAAIVDKKDAARERGGGEGAEPELTVVMKFGGSSVASAERMREVADLILSFPEERPVVVLSAMGKTTNKLLMAGEKAVACGVTNVSELNELSFVKELHLGTVDQLGLDKSIICGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWINDPAIPIVTGFLGKGWRSGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKSVLTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEELDDVIEELEKIAVVHLLQNSYNL >Dexi7A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:7A:29048074:29049856:-1 gene:Dexi7A01G0020170 transcript:Dexi7A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDDEAAQPPPKRTRRDPQAEEDATPTQPPPPRVALNPADCNLDFDVGGGGLQGSAQHEGGFAYCWSGARATVGARGGGRYCFGCRIVAEQPVEMDLTAADERHLCRIGVSRGDDPVGALGESDHSFGFGGTGKFSHQRRFADYGVRFGVGDTIVCAVDLDSKPMASVGFARNGKWLGIAKHFDAGEKGLGLVDAPVRPMQWESALFPHVLLKNVVVEMQFSREDGLEPVDGYEPWASAFADGNAVFGPLFEQSECEVMMMVGLPASGKSTWAEKWVKEHPEQRFILLGTNLALEQMKCATWIFNKLLTRAANTARNFIIDQTNVYRSARIRKLRPFANYHKTAVVVFPPPSELKSRAAKRFGEMGKEVPAEAVNEMTGMKPRET >DexiUA01G0006180.1:cds pep primary_assembly:Fonio_CM05836:UA:11704051:11708486:1 gene:DexiUA01G0006180 transcript:DexiUA01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWHHNLHDLTNAPRLLINQVYFGHDYYLVLLLLTQFFGVPLTLERASPSRLRPAALEGRRTGNSVQGLATHSFHDMMARLCGKKEESLHHATVPRRGEDTGQIRSPQTGYCANYSMTLSVPDTPQASGKDPRRTTGRARHHPHPRVTSLEATPELEGAIPARIVHGVGSTVHLTDDTQRTLTTFSTLDSGTWESISLSHLACNPLLRATRKLGATHHCWMYGRVARTRINPCVPSSSPQSPHRIEWLAAQSLAPTVGASGRGLRCATSASFPMGDFDHRRFPERSSDPFPAGYEICFGSLQFHATGNGYLMRILSKEPRTHPEASQPPRRPGPRARRACAARRVANANGTPPARDGGVPRQTPRAEAMPFLPTAMVGRRAAPAPPNLYGLLRAYDDLPGHHLVSVRNLIASTPDDSYPESGEEYALGQEFPRWDYSGLRDREAFLAFQAVADYFFDYSDNEYDPTRECFAIDAERISDGHTTDDDDNEGADPVGTQPPDLQDRSPQGDEPRSHHQAQDTHANELDANAGRDADARQAERVARARILIDGGSEDPVTLPRASQKLIAVVALLRAMPQPTTPEGRKLHREAQTLVEDAARQQAESSASRLRHSSGGVLGTLPSAKQRDATIARSNGGARHEDPYDLVSGTLVAPSTTRRQQEEAGARQPRHNDQRHHDRDGDQTTEPVGTRAFSRSIRMAPIPPRFRQPTTITKYSGETDPRVWLNNYRLACQLGGATDDAMIIRNLPLHLANSARMNTWDLRGCKQKPSESLRDFIRCFSNRCTELPNITDAQIIHSFLESTTSYNLICKLGRNPPPNANRLFEVASKYASWEEEADAIFNGKKGKHPDNDKRTIMGLEALHVEGVYLMPTGSLRRNTCSSSWEDPPLTEILANA >Dexi2B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:2B:4200787:4206401:1 gene:Dexi2B01G0004610 transcript:Dexi2B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYNFLPFIDMLSNEFSGTRRCRAKPQMKGEEPNENSKLENGSQDTTKEAHHGVENGDAHVTRKRPRRAAACSDFKEKAIRLSEKTSVVKVKKNRMEEEEIDAVNLTKLGPEDPPPCRKLIDFILHDAEGNMQPFEMSEIDDFFITALIMPMDDDLEKERERGVRCEGFGRIEDWNISGYDEGTAVVWVSTDVADYECVKPAGNYKSYFDHFYEKAQVCVEVFKKLTRSAGGNPNQGLDELLASVVRSINAMKGYSGTMSKDLVISIGEFVYNQLVGLDQTSGNDDEKFTTLPVLLALRDQCKSRVELTKLPSNVSNASLKIKDTESEKEIIEDDDAKLARLLQQEEEWKMMKKQRGRRGTPSQKNVYIKISEAEIANDYPLPAYYKPASQEMDEYIFDSDDSIFSDDVPVRILNNWALYNADSRLISLELIPMKSGAENDVVVFGSGFMREDDGSCCSTAEAMKSSSSSSKADQSDAGVPIYLSPIKEWLIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAISIMTLLKEQSRASKLSFADVIKKVAEFDKGNPAFISSNIALVERYIVVHGQIILQQFADFPDETIRRSAFVSGLLLKMEQRRHTKLVMKKKTQVMRGENLNPSAAMGPASRRKVMRATTTRLINRFWSDYYAHNFPEDSKEEDGNETKEIDDEQEENEDDDAEDEVQIEEENISKTPPSTKSRKLLSQTCKEIRWEGETSGKTSSGETLYKCAYVRELRIAVGGTVALEDDSGETVMCFVEYMFQKIGGAKMVHGRMLQKGSQTILGNAANDREVFLTNDCLEFKLDDIKELVTVDIQSRPWGHKYRKENSEAARVEQTKAEERKKKGLPMEYFCKSLYWPEKGAFFALARDKMGLGSGVCSSCDNTEPDSDELKVLSKTSFIYGKVTYNVDDFLYIRPEFFSQDEDRATFKAGRNVGLKPYAVCRILAIPEGAGSKKLNPASTKISARRFYRPDDISSTKAYASDIREVYYSEDIIDVPVDMIEGKCEVRKKNDIPSSDLPVMLEHVFFCELIYDRATGALKQLPPNVRFMSNAQKTAGALKKNKGKQICETDQVDSGKWFDVPKENRLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFSKNHPEAVVFIDNCNVILKAIMDKCGDTDDCISTSEAAEQAAKLAEENISNLPVPGEVEFINGGPPCQVRFGILEAGAFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGQYYAAARSTAGGAPFRAITVRDTIGDLPKVENGANKLTLEYGGEPVSWFQKKIRGNMMVLNDHISKEMNELNLIRCQHIPKRPGCDWHDLPDEKVKLSNGQMADLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYEFAGSIQSKHRQIGNAVPPPLAYALGRKLKEAVDAKRQEAGVAAPEA >Dexi5B01G0024670.1:cds pep primary_assembly:Fonio_CM05836:5B:26701866:26706538:1 gene:Dexi5B01G0024670 transcript:Dexi5B01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAGRVFLLALLLLLALQTPAFTILYDFSAIRAFGVHIDEGFLTLLEKIPGVLKVIPGSLLRLHTTHSWEFLGLVKNGKETGAWSSAEFGARTIIGNVDTVRHHFYCTIFTCLPRTHMIIYAHSKLIGARFFSAGIQAEFAVPVPGQGERPSNADVSSPRDYLGHGSHTLSTAGGSFVRNASVFGHGEGTAAGGSPGARVAAYKACYAAGCTDVDVLAAILASVADGVHVLSMSLGPDTARDYLTDLTAIGTFFAVQSGVAVVCSAGNSGPGPGTVSNVAPWIFTVGASTMDRDFPAFVHFGDSLTIQGESLAGSTLPLGQAYPMISAANATAANQTTSNSSLCLPGSLDPAKVAGKIVVCVRGAIARVEKGLVVKQAGGVGMVLCNDPSSGDSVIADPHLIPAAHCSYSQCLKLLDYLKSTDEHRVTDDYLLADVQPDITAPGVSVIAAYTGAISPAELDFDDRRVAYNVMSGTSMACPHVSGVVGLLKTKYPTWTPAMIKSAIMTTATTVANDDNPIQDETGAEATPFSYGSGHLNPADALDPGLVYDTTLADYTNFLCSLKLTQNPLQNLPVNLPNLPGSVSLPLNLLLPFFNAAGEPCTCSKTGGPYGRPEDMNYPSIAVPCLSGTATVRRRLKNVGGAPGKYRVTVTDPAGVHVTVAPSELEFGIGEEKEFTVKLDVVDAAAAANYAFGSIVWSDAKGFYDYSYGSGGSKPHRVRSPIVVKTKCG >Dexi9A01G0045290.1:cds pep primary_assembly:Fonio_CM05836:9A:48863711:48866304:1 gene:Dexi9A01G0045290 transcript:Dexi9A01G0045290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGATSIGVRGKDCVCVVTQKKVPDKLLDQTSVTHLFPITKFIGLLATGLTADARSLVYQARNEAAEFRFKWGYEIPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD >Dexi5B01G0030970.1:cds pep primary_assembly:Fonio_CM05836:5B:31795103:31800816:1 gene:Dexi5B01G0030970 transcript:Dexi5B01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMPWPDPMPMPGAVSRLMETSSVKYKPGRERQLNPTPVLSAAMEALTATDECFSPARAMSPMPIMRPPTSPEAASQYLEELLQEQQKLGPFVQVLPICGRLLNQEIMRISSMLSYLGVRGSERLPPIASPNHMHPLPRVPNFCGNGFGPWNGMHPERNGFPRGAMGWQGAAQNHSSYIVKKIVRLEVPTDAYPNFNFIGRLLGPRGHSLKRVEATTGCRVFIRGKGSIKDPVKEEQLKGKPGYEHLGDPTHILIEAELPADVIDAKLAQAQEILEELLKPVDESQDNIKRQQLRELAMLNSVYREDSPHQNGSASPFSNGGTKQ >Dexi1A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:1A:26219397:26219635:-1 gene:Dexi1A01G0019030 transcript:Dexi1A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQTGKSGGSGGGTPAKRGRPIGSATGAGAAALAAAAAVGDPGAPAALVGPSLQVLSALSAYVEF >Dexi6A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:6A:21369367:21374981:1 gene:Dexi6A01G0014030 transcript:Dexi6A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSKYFFQAYASYMKGALFFEQDKNIEAAMINFKNTRAVYEELGKYGSIENQLLCRQHIEEVEPMIDFCSHKLGGSSLQAHELLDNANDLLKAKMEAVLSETRSQQAASMTEFNWLGRRFPITNAKTRVSILKAQQLEKDLTGPATESMAADKRLGIFDKIFSAYHDARSCIRNDLASAGSAENIRDDLNGLDKAVSAVLGLRTIERNQLLVSIAKSKFAKHRDEKNERITKPEELVRLYDLLIQNTTDLTDLVSSGRNKNEEENSFIHDYEIKGMAFRAERCFFLAKSYSSAGKRAEAYALFCHARTLTDSALQQLANSPDKALIQELKSLSDNCRSNSCIEHATSIMEEENVPSELSKGVSTMSLGDDKTKENKYLLDMLQSYESAIGEQNTKGPCRITQFPPPFQAVPCNPIVLDMAYNAIEFPSLENRMKKEKKGLLSRFWG >Dexi7B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:7B:13097868:13098494:1 gene:Dexi7B01G0005640 transcript:Dexi7B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPPHAKVHLLFILFLASGAAAAAAXXXXTLHVRRHPISIHPPHLPIFSLPIPESIHSLISHSAMAAGPPPHAKVHLLFILFLASGAAAAAAGGSSSAAAAAGGCRRGDVVVRQRLTGRTVEGKPEYAVEVRNACRCAQSRVVLRCYGLSSVEAVDPRAIRAVDDERCLLRGGRALAPRGGGVVRFTYAWMTPQDFPLVSAHPHC >Dexi4A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:4A:23404927:23407777:1 gene:Dexi4A01G0019560 transcript:Dexi4A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSAYPHHWLSFSLSNNYHHGLLEAFSNSSAAPPPLGEEGAVEESPKMEDFLGGVGGTGGPPPVAAAAEDHQLVCGELGSIAAGFLRHYPTAGTAENAGAVTVAMSTDVAESDQARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILNSDLPVGGGAAGRASKFPLDSLPPASAAAMLTGAASQGMPPSEKDYWSLLALHYQQQQQQQQFPDSAYEAAYGSGVNVDFTMGTSSHSSSNASGGVMWGATTGAMEHQDSSNNKQGNSYGSNIPYAAAAMVSGSAGYEGSTGNNGTWVTSSNTSTAPQYYNYLFGME >Dexi2B01G0027460.1:cds pep primary_assembly:Fonio_CM05836:2B:36371749:36380186:1 gene:Dexi2B01G0027460 transcript:Dexi2B01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQISSKLDMISCGCGDRWTRDHTGMPAGEGIDLLLTHVWTVWLDGGGDRAASARDGCLDTPLHRLAKAGHREVAACLLSAMRAGGADADAALRATNRLGATALYEAVRNGDAETVQLLATEAPELAALTTDDGGVSPLYLAAMNGSAKMVRALLRRSPDGTTSPVASFAGPEGRTALHAAAAKSKEIVQEILAWEQGPALLSKADSSGKTPLHYAVSHSQHGVLLLLLNAEASLARVPDNQGLFAIHVAAMTGNIQSIVELVERCPDYAELVDGKGRNFLHCAIEHDQENVVRFFCRDDRLAILLNAMDYEGNTPLHLAVKCGHPRMVSSLLQTVTVDVGITNRDGLTAADLAYSHLEPGLQYFLNPRAVVKNCLYWTRAPVTLGTGGNHVQLHSRMSKITPATGHEDDHKDIDGITATTTIASVLIATVTRFAFRAFVASDALAFLCSIVATCFLVYGGARQVPPAQRRLYQWPASGLLPPAAQLMVAAFAFGVHAVLGEANRWLVTMIYVLALAAVLLCFPGIWAPFYLGKAIWRRAGWRGLVNVHRRPASFQELFWLFTTSFLFKNLVRPLLAVLIAVTFLVSVALNIALPDY >Dexi3A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:3A:9547751:9551060:1 gene:Dexi3A01G0013130 transcript:Dexi3A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSQSPSPRAAGTRGAVEPTAHSSSPDSSRLSYSPAAAFAATPSRSSDDSCVVNDVDAFARTIAAIRSKPPPAAGSSSLASVLLHYAARWLPDAAASSPSSGRFLQLPPESPTAAWLRKRLLLESLVAALPPDDHEDGHGGDGITCDFLLRLLRAGSTVGADAALLGDLEARAARRLDQASLAAVMIPAFGGGGMAPSPATTRLLLDVPLVLRLVRGFLREGTKKGGAAAARVARLVDAYLAEAALEAGLRPPEFEELARAVPAHARAADDELYRAVDTYLKAHPRASKDERRSLCRLIDARKLSPEAAAHAVQNDRLPVRCVAQVLFLSDHGGIGGGGGKLSHHHRLADWAGGSFRAFDLSSAAGGARCPSKREVVSAAQQQQHELRRLREDVARLQVQCHALQAQVDRLSSEGRRRGRGLFGWGAALLFRGGGGPGPSSRVDDSDSGVDRTPLSARKQGRQQQHAPTPDTGTPATVARWRRSHS >Dexi9A01G0022420.1:cds pep primary_assembly:Fonio_CM05836:9A:17628204:17629145:1 gene:Dexi9A01G0022420 transcript:Dexi9A01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTAMLLEYSVAVLALLATSATAQLSSGTATFYGGRDGAGTMGGACGYDNLYNAGYGVLNAALSQVLFDDGASCGQCYTITCDTSKSRWCKAGYSVTVTATNLCPPNYAITTNGGGWCNPPRPHFDMSQPAWEHIGIYQAGIIPVLYQRVPCSRQGGVRLTISGFNYFQLVLVTNAAGSGSIRSMSVKGGSTGWIAMTRNWGALWQCSSALVGQPLSFMVTSTGGQTLYMNDVAPAWWDFGMSFNSNAQFDQYRL >Dexi7B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:7B:13277083:13280987:-1 gene:Dexi7B01G0005770 transcript:Dexi7B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESSSPASNADRLEVPSPKEENNSTNSEAATDTEDFEISDDDDRNHKHRRREARPPSDENTEEQHPGAPLKKRSRVSGNGQPFGGAGSQGEAQKDFVPKFKRRPGGGAHSRAPRVNQSFRADPSASAAARPPMTRGRGRNGAPWTQHDPRFNTLDMMDFASQMASQGPPGHPSLFMGTALPNGPYGFMPGMPHGILDPVHPLGMQGPIPPAVSPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSVSNAQGLGIQNEGGTGSVNLSSLGVSKSIPAKDVKSGVASDALKLNGSTPSGDADADVYDPDQPLWNNEHPEPSGAGFVHTDAGMWNPESLGYEMGQERSNQVFAVDGSQSSKSSVWGRIASKRKSGASNTAKIVSTSNTGNQKSDFDEMAPSTAQVKSAAAAKDTNGQSTSRIYGDMGRQSNRAAHKASRTLYVHGIPQESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIRLWWANRDRIPDEGEGRIPIKSSQLSTTLANSAPQPSYPNRVKENVQSTTPRPSSGPSAEPLSSGTSSKMLPASSMKPIPHAPKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQNGSANSVKHAEAGGKEIASNDASKVKDEGSMSASDERPHEVTGSLEKKSSGELASYSQKSTVISTQKPAVVTKQTTLLGPPQNRFKLDNRTTSFRILPPLPSEIANESILADHFSSFGELSSVVLEDTEAHHHDATLKPSLSCSACVTYTTRQSAEKAFVGGKSCKGHTLRFMWLTASPGLNNHSRPQNTSIPVRASSISGHAQSISSESPSPGSKISSTATSITAAIPHFKSISTVENAHPPLERGSSRNAL >Dexi1B01G0027250.1:cds pep primary_assembly:Fonio_CM05836:1B:32019690:32024324:1 gene:Dexi1B01G0027250 transcript:Dexi1B01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKAEPMDVEAPARPSPIASDTKHRSPHDLLAETRASIEKVAARMLAIKRDGVPKSELRELVTQMSLLLVTLRQVNREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFQRAAPADIRDKTLAADACHDLMLKRLNFELVQRKELCKLHEKLELQRGSLLGTIANQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYITYTQLLGQKEAFGENIEVEIMGSTKDVQIFAQQQAKKENGTLSSGENNRMDDDVIDDDEDAQRRRSRSKKNVMKEANNPAVAYQLHPLKVIVHIYDTEDSGIKRRKLTTLRFEYLAKLNVVCVGIEESEGLDSNILCNLFPDDTGLELPHQMAKIYAGEPPNFSDKNSRPYKWAQHLAGVAANSVDSDFERRSVTNWEETESAREDGELPVVIHAENEPNSSGILPSEMSPEVRSHSRGLSLISKSVTPSKLSISHSFGRNEDDLDLLMYSDSELEDHPVIHEETEKGNLIIDKSWEDYASKEFTMVLSKAMKNGPKVMLEAKVKISMEYPLRPPLFGLRLLSDKSETLKWHNDLRAMESEVNLHILRSLPSSCEDYILAHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPSE >Dexi2B01G0033700.1:cds pep primary_assembly:Fonio_CM05836:2B:41144774:41149660:1 gene:Dexi2B01G0033700 transcript:Dexi2B01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDDSSRRRKPPPLPWTVRIQAAAFAFGHRLDGTIRRSLFFLGDLKKRATPRSNASHGVRSTDITIDASRGIWARVFSPTAVAGVSTPPLPVVVFFHGGGFTVFSAASRPYDALCRRLCRGVHAVVVSIDYRLAPEHRFPAAYDDGVAALQYLDANALPAHVAPVPVDLSSCFLAGDSSGGNMVYHVAQRWAASMSSSSAAEASHRRLRLAGAIMIQPFFGGEERTDAEVAFDKACRILTVARADWREFLPEDVTRDHPAARVCGDGVELADAFPPAMVVVGGVDLLRDWHARYVETLRRKGKEVTVVEYPDAFHGFYAFPELADSGKFVEDVRLFVDEHRSNKPCLGRVKGRERNDPPIQALVLMAEDAGVSSQKFEAPRTTEEEDDDSTFIVLDGEVWRTKKSKPKAPPKRMEAEKERRAVTEEEEEEAPELEMIGPDDEGHWMTVAQFRRYWNKRWSGYYGSFEDTNEPVEGNPRANGMDALQIYPVKLAAARGGLQLPLDVFGMVAIRDPLNPVIVEVDLKVKGTAESMDIYLKMAGGSDNSAAGHRKPSLPWTVRVQLAALGLAHRPDGSIRRLLFSLGDLKASASARPDAAGVRSGDVTIDASRGLWARVFSPSSSGDADAQPVPVVVYFHGGGFVLFSAASRPYDAFCRRICRELRAVVVSVNYRLAPDHRFPAAYDDGVATLEYLDTNALPADVVTVPIDLSSCFLAGDSAGGNIAHHVAQRWASVSAARVRVAGAVLIQPFFGGEERTDAEVELDRVSALSVAGTDHYWREFLPEGATRDHPAARVCGDGVELAEAFPPAMVVIGGFDLLKDWQARYAETLRGKGKQVRVVEYPDAVHGFHAFQELADAGKLVEEMKLFVQEHRSKRAV >DexiUA01G0001150.1:cds pep primary_assembly:Fonio_CM05836:UA:3565724:3567978:-1 gene:DexiUA01G0001150 transcript:DexiUA01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGQGRSSLGAKAAGSQAGGVLILCDNTTERLCDNTPEKAALLCNMLWSQRPYEVVMSFTRSKPGPRAVRRIGRYLSGTTHPTLLPIGGRPREPPSLPYVRLSPHTARTKTPKSIPSFLFHLSSPILDQTSLPQQENALGDSCFKTKGLKHSSFEAGVLALQLGGRFLRLSYVSLGFVPNQGGMMAGGVRVPTLVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi1B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:1B:26392304:26398250:1 gene:Dexi1B01G0020300 transcript:Dexi1B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTACTGELLGRHDEHDLHDRHDKIKFSSPQASSVASATAHELQPSGELEFSPPRVAVVTGGNRGIGLEVNNAGIAGTITEISNPETFRQEELNNIDNLSEHRLDELSELFLKDFKDGQLEHRGWPTEGGFTAYKVSKAIMNAYSRILAKEHPSLSINCVHPGFVQTDMNFQVGDLTVEEGAKGVLMMALAPKGGMTGGFLNRTEFASFV >Dexi3B01G0038240.1:cds pep primary_assembly:Fonio_CM05836:3B:41047546:41047983:-1 gene:Dexi3B01G0038240 transcript:Dexi3B01G0038240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGHEFCYSCGADYASGVQTCQCVFWDDEVIEPSSAARSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYMVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >Dexi4B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:4B:7140267:7142991:1 gene:Dexi4B01G0009810 transcript:Dexi4B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTTSSPAILQPCNKHASRGAVVRYFPPLLWGRTTSYGHSVRTTSDETERSSRGLQPSPATGGRVEAPVSGGAAAAGEEGDTIRRLQNGPDVRGVALEGEMGRAVDLTPLAVEVIAESFGEWLREQQQQQLGSEELRVSVGRDPRLSGPRLSAALFAGLARAGCAVFDMGLATTPACFMSTILPRFNYDASIMMTASHLPYTRNGLKFFTKRGGLTSANVENICDRAAHKYVARKMGLGRGASGMPPPVVMRVDLMSAYAQHLRDIIKQRVAHPTHHDTPLNGFKIIVNAGNGCGGFFAWDVLEKLGADTTGSLHLQPDGTFPNHMPNPEDATAMSLTRGAVLAHGADLGVVFDTDVDRSGVVDDTGEAINGDRLIALMSAIVLGEHPGTMVVTDARASDGLTRFIESRGGGHCLYRVGYRNVIDKGVQLNAEGVETHLMMETTGHGALKENYFLDDGAYMVVKIIIEMVRMKLAGSEGGVGSLIADLEEPAESVLLRMNILGETKYAKQNGITVVEAFKKYIE >Dexi1A01G0025670.1:cds pep primary_assembly:Fonio_CM05836:1A:31832653:31833527:-1 gene:Dexi1A01G0025670 transcript:Dexi1A01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSSPTPTNKAAEPSNRRKIRKELNHLWVDPPPFCRPGASPVTDLLHWEAIIDGPTGSPYAGGTFPVRVDFSDDHPMKPPTIAFMCKVYHPNVDSEGKMVLDIFKEEWSPILTIEKLLLSMVSVLYDPMLDRPINGRIARLYKSDVKMYERKAMGWTRRYASTPVVSYYPEKGDDNWGEYCDAIAVHDAELEKKERRRVAADAASARRHSKVASSPCEKGPKVLWRRTVAFLQGRRPVALPSTVKAVAV >Dexi9A01G0043170.1:cds pep primary_assembly:Fonio_CM05836:9A:46680941:46684844:1 gene:Dexi9A01G0043170 transcript:Dexi9A01G0043170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAQRRPAAAAPPSRRAAQPPRRRSTQPLPLHPAAVAPPRAAAPPSRRRKTLTRSPLHVVLPPEVAKLLPKNRLLSENEWRGKATLTNFIMEDAWEDEA >Dexi4A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:4A:1725644:1730832:-1 gene:Dexi4A01G0002480 transcript:Dexi4A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKKRKQPPSDAAAPPGDEGEGRRERKGKKHKKDKAEAILPSQIKNKDKRSELHAKLKREKKAEKRKLARERGQAIRRAEELGEQASERSSNFPRAPVPRTIENTREPDETVCRPDDKELYAGNDADEFSAVLKQHITPKVLITTCRFNSGRGPAFIEELMQVIPNSHYVKRGTYELKKIVEYAKNRDFTSLIVVHTNRREPDALLIIGLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGNRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFDTKESKVASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKPDMETSRRRFFL >DexiUA01G0022400.1:cds pep primary_assembly:Fonio_CM05836:UA:45545163:45546217:1 gene:DexiUA01G0022400 transcript:DexiUA01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPFYEEGSFFYQGLKLQEDDSYFQFQDFSADYELGEETWLPAQHFYAIPYPGISFYQDDLGCLSKVDFASFWAQFEEDDARGKEGDHEKEYMKLASGTGSGASVQAGIGSVLKGVETQGPPRRGLAKEELTFELVSRHFCMPIKQAARELSVGVTVLKKRCRQLGIPRWPHRKVKSLQTLIDNIQELGKHNAQDDTRSVVESLQWTKKLIEENPEMMLDKRTKELRQLYFKESFRRRRLMASWATAPGER >Dexi9A01G0043650.1:cds pep primary_assembly:Fonio_CM05836:9A:47038582:47040177:1 gene:Dexi9A01G0043650 transcript:Dexi9A01G0043650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEEVLQGVAAVVIRKYDPKTDRDGSEAVDRECELGPPGGMSLRADLRGDPVGRIRHSPLYLMLVAETSGPGGGRIVGLIRGTVKSVATGERRPTGAPAFANVGYILGLRVAVSHRRMGIALMLVQQLERWFELEGAGYAYMATDKSNEASLRLFTGRCGYSKFRTPSLLVHPVHSHRLKPPRRATVIPLPAHDAERLYRARFAHVEFFPFDIGVVLANDLSRGTLLAVIVNSNGGSYEWRGVEHFLASPPASWAVASAWDCGGVFRLEVRGASRLRRAFASATRALDRVAKWLRVPSVPDLFRPFAGWFVYGLAGEGPDAATAAEAAFASVVNMARGEAAAVAVEVAAMDPLRGRIPHWRRLSCAEDLWCMKRLGDGADGWDWARSAPGRSIFVDPREV >Dexi9B01G0049240.1:cds pep primary_assembly:Fonio_CM05836:9B:47995750:47996190:-1 gene:Dexi9B01G0049240 transcript:Dexi9B01G0049240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNNWAKGHYTEGAELIDTVLNVVRKEAENSDCLQGFQVRHFLVARHRLWHGHPPHLQDPGGIPRKDDAHLLRLPIAKVSNTVVEPYNATLSVRQLVENANEYMVLDNE >Dexi3B01G0035550.1:cds pep primary_assembly:Fonio_CM05836:3B:38560154:38573921:-1 gene:Dexi3B01G0035550 transcript:Dexi3B01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAAPRRTPARASSASEAGNDENAPGNASDVAAAAAAPDPARPPLLAIQPPASCGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWAPPRCEEQPPRAGAGATPYSAMTTPRAHRGKAPVAAASEGGSTQSTPTKSVSKPAYSIGMSGSRPPMSGGGPRGTGLGLGFSTTMGRGGPLSLGPATVVNSAEVPHFKLREDPSFWMDNNVQVVIRVRPLNHNERNLHSYNRCLKQESAQTITWIGQPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAVTFFSLAILTTNDASLEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSTNLQLREDIRKEVYVENLTEYEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQHVTRSLSFSADIFGGDVNDGSMADNDDNDANKRGSLKYLQIPNMQLRSLEEALAGALRRESTAENTIRELETEIEKLNELVRQREDDTRSAKMMLKFRDEKIHRMDALVNNKLPAESYLLEENKTLSQEIDLLRARLDKNPEVTRFALENIRLSSQLKRSEQFFDEGERELLLSEISELRNQVSQILKTRIETEQQNIFPAKFMDSQHNCIDLESDAEILRLFYPSFHVQLKRTSQELEACRGDLQVCLESNRKLTREMEEAIHQLELQLDQSKSYLAHLSSQTQDKDKSSLSVSPEETTCQNSDLNLEDRVDLRALEAFQPVEQKNAEVICKLSKEVEYTVLGMKMVQSQMARQLQENENLKEGQRKIKDLRGEVLKLNSEIIEKDRYYEARLKELELKIQGNEASLISWNKEKEALEHEISEANLLVAQKSFESATLIAMFEEAQATISDADSTVKALVKANEKAKHQAERYQENETLFIAEKDGMLSEISSLKTLLDMKEQSYKLMERKFQSGLLEANELALELEDGIRLLQNLLSEKLEFVSSDVKWMKEKFQHFAELARTWLEENWLEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQSGLSESNSLISKLREHNDQAKNELEICSVLKGKLLFDINNSFSRITKKEQEATELNSRIDSFEKKILHLQLQEEAMVQRSNSMYTELSILIQDIDAMKRSALAAESKEKEKLRHQLDEALLLNAMLKDKMLMELNLIQMNSSIPSIDITDCNEFDLCNSLADYRSDLVMTTMITKDIESTVLAFELRQHKLYLQEQRVMFADVLEGLMAEATLWKVDQDLENIAICVSHGENNDIRTSLENLKQISEEAMKNLHAMNEENTKLNYLVPSLESSIMSFQTNLDAKNKALEELECSHAAVSKELELKTKAINLSTTREKCLSAENETLKQKILNILCKEQSMVEVMATIEVDKSLVTIESRFQQVTDHVDNYVNEQINIMNKLSNELDIIQVSAEELSTQNSLLQSELIRKDELAKGLSFDLSLLQESASVAKDQSSELLELRKLIESLEQELASKSLELDDVVSDRKQLEARILKSNENVATLGEELEKKLDELNIVSVENAELKSQLQHLEEISYAMEELAGKSEAIGRLEEELVELRSLIDERNVCFQSLQNDFSKLSDEKQCCDTQLLILKEKLEMAQAFAEESEAIATESRQIAEEQKVYAEEKDEEVKLLERSIEELEDTVCALESKVDIVKEEAERQRMLREELEVELQKVRQQMLTVPPSGNARSHMEDGMVDLADSSRHLTDMHNDLLCAQESIRVLEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSLAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKQRIVELEGIAASRQREIFMLNSRLATTESMTHDVIRDMLGVKMNMTTWAALADNQKKIEPTESAISQEQEIEESNELIKLRKQLDEFVEERQSWLDEINQKQSELGAARINIEKLRQREHFMVTEIELLKAENSNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNEELSAKLQQLGAILIRTKEELARYRVTNGKDPYEQIEEEQLLRKKLDESEQDRSKLAENLSSLCTSILKVAGVVNPESGATLLKALECLNKLQCRIPCLESEVEDLKLKCKLLREKARLSELHSDSSSLSSGARDGSTHMA >DexiUA01G0028020.1:cds pep primary_assembly:Fonio_CM05836:UA:60225312:60226407:-1 gene:DexiUA01G0028020 transcript:DexiUA01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVFDILFILNRQKANRAKKLMEKRAASNEELKPDDSQRTKQVPPIPGLLTNVEHHQLVLDLCRTLALLQQYWDALQIINRTLKLGNDVLTDENKQELRSLGAQIAYRAPDPSHGFKYVRYVVQQHPYSLSAWNSYYKVISR >Dexi1A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:1A:15289047:15290405:-1 gene:Dexi1A01G0013120 transcript:Dexi1A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHQYHSTSSAASTYRRYADSYDAFFDHDDGDVKPAVVEHWRRDEAAYGFPGVVEDVKPAKQPRPQGGGGAAGGRRVHNGDDGTALSWPAAEPFRSTLRTQESLAGLRARYGIPEGFGLIPAGASQAACDPPPQPRGRGGAAASAVPICVYSQAFAAGMRLPLHPFVAGALAHYGIAPWRVLVAFAVLCHFRGAGAPSLPVFRHFFALAPLPKAKGWYSLRGRESVPALFTGLPNSTKTWKEEFLLVSPPPGSPWRCPVRWGAPSKEATSDPVLTEAEAGVARRLAQGHGVVDLKTYLSESNLVAAKISRVPRTEASRVQPSPPAKKKKAVSTAPVACGGGPSGEALRSELQAKERALISKLEEELGKAKARELAEARQALAYERELGKKVIKAEGSKGALAGKRRRGAQ >Dexi1B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:1B:23249683:23254452:-1 gene:Dexi1B01G0016790 transcript:Dexi1B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSYQVAGAAGSRSSTVASRGATAPPPLPMPGGEPSLVGAAGGADGDPRVALLRLAALGDRMAAVRGRIAASISGESRPLSYADIQSVSSEISSAAQLVVLNAASLLASSVPFPTPPPPPTTAAAAPSPAPVRETPAAAASAGQEQTLEAAKGDGGYEVVELDAAELLAEHVHFCEICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGHGKPKPPAGDREVRFSCPFAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCDGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHAPAVTEPNKNVAAGPTEPILDAMEDGGIEEGNCDREEDEEGSYDPDHTDASGFPAAIVASRRRERVIGALDCLRPRPRPGMAVAAKPHLLFFPFPAQGHITPAFQLATLLHRCHGFDVTFVHTEHNRRRLLRAGGPDALAGAPPGFRFVTVPDGLPPSDDDAAQDMAALHLSLPAMVPHFKKLVVELSELPAASCCCLVSDIVPILRAAEDIGLPRVAFWTTSASSFMALQQFQHLIAKGLQTNSRMACTEWRVGVELGEDPSREEAEAAIRQVMEGKRGEELRRPAAEWKEKAALATRPGGSAWVNLEKVVNEVLAPLIDKL >Dexi2A01G0023290.1:cds pep primary_assembly:Fonio_CM05836:2A:35029910:35032809:-1 gene:Dexi2A01G0023290 transcript:Dexi2A01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLTATSLLSRTLRLRLGVTSGIPRTFASLGLETPRSRSRPSYSTSSGDPGQGPPPPPSPSPSMDSPIKVVSHLGGGGGGGSGEGGGSAIDAGKSARRPLSLWPGMYHSPVTNALWEARSSIFERMMDAGNGAASAADDAGEQRAPTELLVKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKVDVGDFLRFKSCVLYTQLDNPEQPLVNIEVVAHVTRPELRKSEKRHGA >Dexi3B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:3B:7520531:7521929:-1 gene:Dexi3B01G0010730 transcript:Dexi3B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAGASDPPSPPPPAGDAFKVDRALQSLGFEFTRVTAREVAGRLPVTETCCQPFDMLNGGVSALMAEVTASIGGYVASGYRRIAGVQLSINHVGPARLGDIVQATATPIQLGRKIQVWEVQIWRIDPSTSECKDLVSTARVTLMANLSTQQEMKSFEEGLKKFSSKL >Dexi5A01G0026980.1:cds pep primary_assembly:Fonio_CM05836:5A:30539354:30543357:1 gene:Dexi5A01G0026980 transcript:Dexi5A01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCAVEDGHGKPHPEGEEGELPVVAVELGERVGEHREEASGQRKKAGGIRREPSFSRWCRDPSAAAPASNGPAAAATSDGDGSDEFELPLLPSAPGGGGSSSFPMDIEAGAATRSDGLPISPWLVAKVIGLIASWYTLSTCLTMYNKEMLGKHKWKFPAPFLMNTVHFTMQAVASRAMVWSQHRGLEGGASRMTWKDYSLRVIPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFMFSSLFCSPVRLEKPSFSLLGIMLIVSFGVLLTVAKETEFNLWGFIFIMLAAVMAGFRWSMTQILLQVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNWYKYKRFKKGHHNEDTGMNIQSSNGTSKYVILDDDTEDQDDTG >Dexi3B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:3B:4904567:4904913:-1 gene:Dexi3B01G0006970 transcript:Dexi3B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGPLGAAEPTKKCKIKIKTTAASSPGGPVEARNQENGGNSERPGGPRQEPNMTAEATLELPLPPRSPEKRKRRRDASSDSEIFWVSKKLRSSVAAARGRGNGGGGG >Dexi9A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:9A:5059793:5062727:-1 gene:Dexi9A01G0008550 transcript:Dexi9A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEKRRNAAALDGDEQRLRRKQEETALLLRRIKGFVRWVVEEVAAGRSPSIVIHRYRNYCSAADSASPSPCSCSYDAPVGTDVLSLLHKDSQTSRLSSCSEWASSIPVSIEAIKDLVSVAHYILVVEKETVFQRLANDKFCERNRCIVITLHLPVYCLVDSDPYGFDILATYKFGSLI >Dexi3A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:3A:2337102:2342263:1 gene:Dexi3A01G0003550 transcript:Dexi3A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSKILLLLFGYAMPAFECFKTVETRPNDARMLRFWCQYWIIVAMVIAFESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLLLRAKSGQLLSFYVKNFADKGTAFFMDVLRYVVSEKPEGSNAEQRNKKSGWSPFATKRRPPSPPPQESIFDSNPDAAVVAEALRATIGAKPRRSPNGKHY >Dexi3B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:3B:885517:888622:1 gene:Dexi3B01G0001200 transcript:Dexi3B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSAATDEPEYPDEVSSFPSSQPRTQTQMELGFSTLQWLRLLPPLSLCILLFSYLCTSLWLRPARLRRKLRSQGVKGPKPSFLFGNIPEMRKIQKQLVGSEQEQEGAKDGFSSNYVATLFPYFLHWSRVYDPDMVKEIANCKSLDIGKPLYLQKELGALLGMGILTSNGDLWAHQRKVIAPEFFMEKVKGMVHLMIEAANRMLISWENKVEKEGGSAEMVVDESLRSFSADVISRASFGSNFSEGKEIFNKIRQLQKAMAKQNMLIGVPGSRYGAKADPFSSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHPKWQSCARAEVLDVCQGNTLNADMLKKLKTVTMVIQETFRLYPPAAFVTREALNDIKLGSLNIPKGTNIRIPIALAHRDPAIWGPSSDRFDPARFANGIAGACKPPHMYMPFGVGTRTCAGQNLAMVELKVVLSLVLSRFEFALSPKYVHCPAFRLTIEPGNGMPLILKKLS >Dexi2A01G0022840.1:cds pep primary_assembly:Fonio_CM05836:2A:34627309:34628031:1 gene:Dexi2A01G0022840 transcript:Dexi2A01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRRGAESDRTSQAREAGNAPPPRFVWWKEEEDQDEKRSDIGVRRPRHPTRRCRRPTRRKASPLPLLPCQRSLLFKLQSVRYRSSHRTPGRQIFSRMIGVWKLVADLTQVFFPAGTRLPRGLTIRRRSSRLRGTRVTSMTGSTLTDIHRRMVGITTTATTTTMMTTITITMVTTITTTTRRTTAASAS >DexiUA01G0015200.1:cds pep primary_assembly:Fonio_CM05836:UA:31870971:31872176:1 gene:DexiUA01G0015200 transcript:DexiUA01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTASSDSPRDCEWRDWASLGAGPAVLIAEHALANDYVDFLRFRAACKAWRECAGAAPRSRGALDPPFHARRWIMLPPHHHASGDDGAADDSDRRRFFLNVATGGRIRLSLPGLHNCYVFGRTVEGLLVLCRKDTYVVQLLNPLTGQIAELPDATTLLGSTHWDPGTVLSNLKLHGAGLVDDHSTVMLHYGYFSLAIAKPGDERWARLTFHDKIFAALPFAGRIYCVTTKNISVVESVAGLPPELTVAVDDELDSGEYLRDRTCLVNNDGELVLAYRAWSIDEPSAHQGRYRVHRVKLGARKLVPMARMINGQAFFSGTCRSLLVSTGVSRSIIADTMYVCYNDNERTGQRQTKVICIDRRGGCLEPNFGKEDPAGCLSSYVCASQD >Dexi4B01G0022630.1:cds pep primary_assembly:Fonio_CM05836:4B:24161660:24162739:-1 gene:Dexi4B01G0022630 transcript:Dexi4B01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHPHHTRRTSQFTLHTLASSPLSLPLPSHLGLAFLPIESPAPPRNRSPSAMAYSGGGGGKMSAVDAILAEAADMIALEQIAKLNTAHLAADDSSALPSSLESRFRKLKSLPAAPTTPLTRILGRSVTAPHPTTTTLPHPPPPTPPPPAAAPAAQQDHKSGGGVHQEDENSGDSAENKGASSPPSAASSPPPPPPQNKARPPASVPSPHGDEDLERLFGGSGAPRGRATLRERNRGRDDDDESASPPPPRQACCFGFSPRKPTPTKEGKKKKVHGSRDGGDILGVVDAGEWGDENRKMVADLKEQQRKLKKALEEQVKVSRETAKMATWVKQASARMTHTAAIDDLLSDCEDDDDLK >Dexi3A01G0021870.1:cds pep primary_assembly:Fonio_CM05836:3A:17451212:17454365:-1 gene:Dexi3A01G0021870 transcript:Dexi3A01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYLTLPIFSVLAAIGYVYYTAVFVAVPRWLGLSTAAGVANAAAFTALAAACLATYAVAVRRDPGRVPPGFVPDVEDAEGTVHEIKRKILIIGSVLHSVPKDEQSGSDSARTSIIICGVILSPLALALAVLLGWHIYLIIQNKTTIEVCDPKFLLILDSAPVFRSFDKIVTNVMQYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGPNIFCWLCPVSNTVGNGLRYCTSYDIPISTPPM >Dexi9A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:9A:541898:550172:1 gene:Dexi9A01G0001070 transcript:Dexi9A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLLSPRPVSLPSNPSLSFNKPAAPSFAVLGSRGGRLRAAGDRPGAGLADQTTVYNGVYGPWTVDDSDVREVLLYRSGLVTAAASFVAASSAAFLPEGNAAGDAIRQSIDLFYVAGAAGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYLVAAQPLDEGLVQYVLEHPAALWFVGPTFAALTGLCYGKLEAGILTFVIPGLLLGHLSGLMDNSTKSGLLGVWMVLFTIFAVRKFQQPIKDDIGDKSVFMFNALPEEEKNALIQKLERQNEQKFE >Dexi9A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:9A:3227741:3235273:1 gene:Dexi9A01G0005800 transcript:Dexi9A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPAAAPQPGMVVVLAVNGRRYEAAGVEPSMTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDPVTDEVTEFSASSCLTLLGSVDRCSVTTSEGIGNSKDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKAADRPAPPAGFSKLTTTEAEKAISGNLCRCTGYRPIVDACKSFAGDVDLEDLGLNCFWTKGSEPAEVGKLPGYNSGAVCTFPEFLKSEIKASVQQASSAPVPVSEDGWYRPKSIDELNRLFESNSFDENSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSNKGIELGSAVSLSKAIDVLSDGNMVFRKIADHLNKVASPFVRNTATIGGNIIMAQRLQFPSDIATVLLAASSTVTIQVASKRLCLTLEEFLQHPPCDSRTLLLSIFIPDQGSDGITFETFRAAPRPFGNAVSYVNSAFLAKSSGGGLIEDICLTFGAYGVDYAIRARKVEDFLKGKSVTSSIIFEAVQLLKATISPSEGTTHAEYRISLAVSFLFTFLSSLANSLNETTKINAPNGSIQHSPEEYLKVDSNDLPISSRQEMIFADEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPYAHVKGINFKTSLASKKVITVITANDIPSGGQNIGSSFPKMGEEPLFADTIAEFAGQNIGVVIAETQNYAYMAAKQVVVEYSTENLQPPILTIEDAIQRNSYFPVPPFLAPKPVGNYNQGMSEADHTIISAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQIPEITHNVVARCLGIPFHNVRFITRRVGGGFGGKALKGIHVACACAVAAFKLQRPVRMYLDRKTDMIIAGGRHPMKVKYSVGFKSDGKITALHLDLGINAGISLDVSPVMPRDIIGALKKYNWGNLAFDTKLCKTNVSSKSAMRAPGDVQGSFIAEAIIEHVASVLSVDTNTIRRKNLHDHKSLIVFYGESAGEASTYSLVTVFDKLASSPDYERRAAVVEHFNDNNKWRKRGISCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGQLCPDGGDCLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRLSCAALVERLKPIKENLEAKAGTVEWSALIAQASMASVNLSAHAYWTPDPSFTSYLNYGAAISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYTTNSDGMVINDGTWTYKIPTVDTIPKQFNVELINSARDQKRVLSSKASGEPPLLLACSVHCAMREAIRAARKEFSVCTGPAKSATTFQMDVPATMPVIKELCGLDVVERYLESVSANGPTTVKA >Dexi3A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:3A:6115094:6115903:-1 gene:Dexi3A01G0008780 transcript:Dexi3A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPALLLLLLAATALAPQICSAVDPITTYCAKNFTGAQTQSSITQVLSTLVPRASATYYATATAGGIGGGAAIWGLAQCRGDIPSSDCALCISAAAKQVASACHGQADARVWYDYCFLRYDDANFLGLPDTGYELVLINTANASDPFEFDMAESKLMARVAAEAGDKASGGGLARETARLDSATTIYGLGWCTRDITAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPVTGGQDGAMDGSSHAGEYETVIVNP >Dexi9A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:9A:1000379:1001470:1 gene:Dexi9A01G0001920 transcript:Dexi9A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTARSRRGGDVGGGGGKVKVTPNLSWDREGTRALNLSVLRRLDPAVTDILITAAHVVSYSFDEDIEEWSRKLVEGSLFVVKSPDSNWSS >Dexi5B01G0035030.1:cds pep primary_assembly:Fonio_CM05836:5B:35137080:35138783:1 gene:Dexi5B01G0035030 transcript:Dexi5B01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKPILSLLAHLHAALLFLPAANPAAAMYYYNVVRYGARPDASADAAGAFHRAWADACRSTRPATVHVPPGVYLVSTATFSGPCHGHVAVTFAIAGTLVAPSGLGGRGSSGRWITFENLDGLVVSGGTLDGRGRALWACRLHRTNCPTPTSSLTIANSRDVVVAGVRSVDSELFHVVVLQCVGVTVRGVMVEAPADSPNTDGIHVHRSSHVAVYDARISTGDDCVSIGPGNSHLWIERVACGPGHGISIGSLGKQEGMAVEAVENVTVKTTWFTGTTNGLRIKTWGGTKRGFVRGVTFADATMAAVDNPIIIDQRYCPGGGAACAGKSAASSSIRISDVRYVGIRGTSATPVAVTFDCSRSNPCSGIHLQDVALTYYHGRPAAARSSCRNAQGSTLGLVLPPSCL >Dexi6A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:6A:4706476:4706969:-1 gene:Dexi6A01G0005160 transcript:Dexi6A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSMLIGPLVSMVQDKVSSYLLDEYKVMEGMEEQREILERKLPAILDIIEDAEEKAAHRAGVRAWLKALRKVSYQANDVFDEFKYEALAREAKKKGHRPHHNMLGMDVVSLLPAHTPAIVFRHRMGKKLQKIVHDIDVLSQATSTTIEVK >Dexi5B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:5B:4643878:4645485:-1 gene:Dexi5B01G0006930 transcript:Dexi5B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLNNLMENSNRPPCTLLSMDPAGSHPASTESSGGGGTANGVGSGGDPELFITPRRESAHPGPPDINLPLSADPSPPPPSWSLDTFEILDVTLGTHNYESEVALTLPKSMGNGSATVGVGARKCAKRGDSIWGAWFFFNHYFRPALVEKPKGKVTRDASGCILGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVVSPNLSAVPEADLKRWADLTGREISFSIPSEASDFESWRNLPSTDFELDRPHPPASKGAAHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIVVSMLFSDPHSVKVSWRNTLTHGIVKITCVSTARMPVIKRHDRTFRLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLVL >Dexi1A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:1A:1392493:1393227:-1 gene:Dexi1A01G0002170 transcript:Dexi1A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGNGYGGYGYGGYGYGYDAGAYASTGGYGYDAGAYATASAGGSYYSSVYYPPPAPAAYEEAGRRRAQDLPASPLDGVELKPSEACPKNYVIFDQTSTSSWVTFHPSLAHRLTAGGSSSSATAGHATGAAHDDDLCSPVRHKEDSAEIDVLMMSSEDGSGDDDVTSTGRAPGNGGGSSPDSTCSSSGGGGMPGRKKKERIKKMMRTLKGIIPGGGQMDTTAALDEAVCYLKSLNVQANKRRGS >Dexi4B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:4B:22669273:22671862:1 gene:Dexi4B01G0020510 transcript:Dexi4B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAAMVALVAVTAAVVLAGGAARAQLIEGFYSHSCPQAEKIIKDYVMEHVPHAPGIPATLIRTHFHDCFVRGCDASVLLNATGGKKDAEKDAAPNLTLRGFGFIDRIKAILEKECPGVVSCADILALAARDSVNFIGGPFWSVPTGRRDGTVSIKQEALDNIPAPTFNFTQLLQSFQNKSLNLADLVWLSGAHTIGIAHCNSFSKRLYNFTGRGGPGDADPSLDKFYAATLRRNKCKTPTDNTTIAEMDPGSFKTFDLDYYRGVLKHRGLFQSDAALITDAAAKADILTVVNGPPELFFKVFAGSMVKLGAIEVKTGSEGEIRKHCALVNKH >Dexi4B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:4B:2463999:2464370:1 gene:Dexi4B01G0003530 transcript:Dexi4B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTATYIVFLFLTGNSVLAISRSRGDATAVAFVLASYFSLVQLFYCLRRFEASPLGSEARGRARVGVWLATTLLTAMFSWRVAAVTPWPVAAGVWLMGGCTVAGGFYTLFLHPRPGETTR >Dexi6A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:6A:23764803:23768272:1 gene:Dexi6A01G0015870 transcript:Dexi6A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAMASTTRFLPTTAAAAATARRHTFLSLRAPSQVPTARLRRAPRLAVVSASASPALPPASSLDALIFDCDGVILESEHLHRQAYNDAFAHFGLRCPPSSSDPLYWDEAFYDELQNRIGGGKPKMRWYFGENGWPSSKIFETPPSTDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITAAEKLGVESKNCLVVEDSVIGLLAAKGAGMSCIITYTPSTASQDFTDAIATYPDLSNVRLEDLKLLLQKTLVTG >Dexi3A01G0030160.1:cds pep primary_assembly:Fonio_CM05836:3A:33596120:33597201:-1 gene:Dexi3A01G0030160 transcript:Dexi3A01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAAEEEQQEQVVREEAAAEVKMEVGKEAAHQEEEEEAAPEEKDVAVVGEEAEAEGEGEIEAEGEAGASAKKNRIQVSTNKKPLYFYVNLAKNYDEVELSALGMEILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSDRPKSSEDEIKV >Dexi7B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:7B:10438993:10444319:1 gene:Dexi7B01G0004270 transcript:Dexi7B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDKAPEHVQFPTTIEDLEGKRRVDIEAQLRKQDIARNKILQRQDAPVAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEDIAKMGSAGDPALAEDLGDGSTATRALLTSYSQTPRLGMTPFRTQQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFCGVTPRKKEIQTPNPMATPLALASPGPGTTPRIGMTPSRDGNSFGLTPKATPFRDELRINEEVELHDSAKLELRRQAELRKGLRSGFASIPQPKNEYQIVMPPITEDDKEEAEERIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVDVLRHSLIKGGESRNRSTFVPPTSLEQADDLINEEFLRLLEHDNAKYPLDEKTQKEKKKGNKRQANVAVVPEIEDFDEGELKEASSLVEEEIQYLRVAMGHENESFEDFVKAHDACQEDLMYFPTNNSYGLASVAGNTDKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEQLAASYRIRNLTEEVDKQKALERTLQSRYGDLMSTYNIIHEQLEEHKIQLRKQEAMERENRAREEAAAQNTEEENERRRNVEGDKEQMISVTDEEPAGSKQINVDQMDVDNSNVDGDFVGPVPPAPDTQGDNCEASVQQNSPDSLNVVDVTMNGEASDMVDESKLESQDNSNGSLSVDAASEGNTTFSLDDAVKNEQNDMVPE >Dexi7A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:7A:21054141:21058632:-1 gene:Dexi7A01G0010240 transcript:Dexi7A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAFDTVSEKFHVMAGPPAAAPVRMKMFAMDGLLVAANLGDARQYGSCVYNVRAWMSWAVSYVATPDNGVLMSRHVFRESLVQHPGFQAWSSSADLPLIRFGC >Dexi5A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:5A:2511192:2512355:1 gene:Dexi5A01G0003390 transcript:Dexi5A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSVPPWSHHLPVSGVDVSSGGATGDEMTPYLLAALRHYLPCNDTSSAAATADDDEEAAAMAAGVDGYGCDEFRMYEFKVRRCARARSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPQQSSPRGVAGSAAGASPLAESYDGSPLRRQAFESYLTKSIMSSSPTSTLMSPPKSPPSDSPPMSPDTAAAAIRRGSWPGVGSPVNEVLASLRQLRLSKAASSPSGGWSGYPGSAVAYGSPTSAGLYSLPSTPTTMGGGFMPNLEPLDVSFGGGEEPPVQRVESGRALRAKVFERLSREGTGGSMDSAATAGGPDVGWISDLIN >Dexi6A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:6A:338091:338836:1 gene:Dexi6A01G0000580 transcript:Dexi6A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPPEEHRHHQANDDDDDFTFPTPPPLLAGGGKGVHRRAPPCSASASSSPPVWLLSSSSSSSPIRRSFSAADCAASPWRARARLNGACSPALSDYTAGVVFCDDEEEEEEERMDSLWEDLNDDDPRNDDDLFKLGPLDVSRRRSVAGMGAAERARRVKDSREPLAAMLAASGSSRRRPPGLVVMMRALKKMFVAHKAA >Dexi7A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:7A:18019683:18034590:-1 gene:Dexi7A01G0006700 transcript:Dexi7A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQPASKQKTKPKHSSSSSSSSSAAAASAPRLQISSENERRLRRLLLNSSAAAAPSPAPTDGPAARGESREQKARRLRGLYDKLALEGFTSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSRGGTSTTSSHTGAEGSVKVLSTAKDNWVPQSREPEEVKVSTERLEVRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEISKYYCSDYYLYQLQDDDTNSNDSSTWEDHCLQSFEVVEAKPSRRKSKGNVDEGSDSKKEIPKDVTETCTKETEEEEVELGNMFFEDSSAWDAVAPEILKQQQIEKLSHDGYGHLLGNIDDIWKKVQGDPGKMPKAILQKFCQKLGWEAPKYSKISEKDRKFIYVVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRQLLAEPYSSLVLKWQEGELLSTSRVLDTEDSRRDGFVDMLLNMDADTSQIEDSSAGGLGSHENAESAILKKQLEDKRKLPNYLKMLEARTCLPIAKQKQHFLQLLRENNVIVVSGETGCGKTTQVPQFILDDMIESGLGGYCNIVCTQPRRIAAISVAERVSDERCEASPGSNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSNQQGRKLQVILMSATVDSSLFARYFGDCPVISVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYFAQHGEKVSCSKFSLLIDAISLSFNLFTLLQWKHANSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYISDHYKSYSERTNQNLKHVNEDVIDFDLLEDLICYIDENCPPGALLVFLPGVAEIDMLIDRLSASVRFGGAASDWILPLHSLLGPSDQRKVFQSPPDKFRKVIVATDIAETSITIDDVIYVVDTGKHKQNRYNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENVMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPNEESISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAYLSYKSPFLSPKDEKINVERAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRILLQHGAKSARQFCHSYYLNSTVMQMIRFVSAEAKLVILAGTCVFGTLLADIGLIDLPKDSLVETTKVFLRDTSVVSPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAPAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIVHLLLEEDKAQQA >Dexi3B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:3B:7616816:7618386:-1 gene:Dexi3B01G0010830 transcript:Dexi3B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEADRSGAAPTISTERTSGSSDVNPSSTGALGNLSHLLSETAQSSMLLPVYEKNHSETPNLARPKVPPKELFLDQITSTCRSSTCRSSGQTLYFPFQQPLGYSSESGNGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTPMGLNVPPDNPRHGGTGVGSASVDSFYWDGTNPCSSSSTGSRGSNSLGFEPQSTSSILENSVFPWTDIVQEKDTRARLVEELKWPDLLHGTFAETTIAMQNQSQSLYDDVIKAESQFNMEGICASWYQNQQPQQQLQAAPDMYDKDLQRMQLSFENI >Dexi6A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:6A:28815678:28821317:1 gene:Dexi6A01G0021580 transcript:Dexi6A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADPEEEIRARVVVLGAPHADAADEWARPELEAFHLPSPGPSSTSGFLATAPPPQQSAAAAPAPAPAPASPPPQAPGPAPPTSPPPPNGRPSSSSNSNSSSKTPTPPAALRDLFRFADGLDCVLMAVGTVGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLDAALRQDVSFFDTDVRASDVVYAINADAVLVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSARSQEALSNASGIAEQALAQIRTVQAFVGEEREMRAYSAALAVAQKVGYRSGLAKGLGLGGTYFTVFCCYGLLLWYGGHLVRGHHTNGGLAIATMFSVMIGGLALGQSAPSIVAFAKARVAAAKIFRIIDHRPAISFSREEGAGDELQSVTGRVEMRGVDFAYPSRPDVPILRGFSLLVPAGKTIALVGSSGSGKSTVVSLIERFYDPSAGQILLDGHDLKTLNLRWLRQQMGLVSQEPTLFATSIKENLLLGRDSESTTQAEMEEAARVANAHSFIIKLPKGYDTQVGDRGLQLSGGQKQRIAIARAMLKNPGILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAVTEMGTHDELMARGEDGGTYARLIRMQEQAHEAALVNARRSSARPSSARNSVSSPIMARNSSYGRSPYSRRLSDADFTLAVVEHHHHLRGGGDLAFRAGASSFLRLARMNAPEWGYALLGSLGSMVCGSLSAIFAYVLSAVLSVYYAPDAAYMERQIAKYCYLLIGMSSAALVFNTVQHVFWDMVGENLTRRVRDAMFAAVLRNEIAWFDADENAGARVAARLSLDAQSVRSAIGDRISVIVQNSALLLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFLKGFSGDLEAAHARATQIAGEAVANLRTVAAFNAERKITRLLEANLRGPLRRCMWKGQVAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSRTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMRSVFETIDRRTEVEPDDADAAPVPERPRGEVELRHVDLAYPSRPDVQVLRDLSLRARAGRTLALVGPSGCGKSSVLALVLRFYEPSSGRVLLDGRDVRKYNLRALRRVVAAVPQEPVLFAASIHDNIAYGREGATEAEVLQAAQQANAHKFISALPEGYRTQVGERGVQLSGGQRQRIAIARALVKQAPVMLLDEATSALDAESERCVQEALDRPTSQGQGPSARTTIVVAHRLATVRNAHTIAVIDEGKVVEQGSHAHLLKHHPDGCYARMLQLQRLTTAAGPSSSATPAI >Dexi5B01G0032350.1:cds pep primary_assembly:Fonio_CM05836:5B:32981634:32982385:-1 gene:Dexi5B01G0032350 transcript:Dexi5B01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPMAARAAAGGCSSAALALFGFRPLRRAVRPGVAFSWGRSSLYGGCRSRLAHSLVDSVLDELRSRRLLRVSANC >Dexi4A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:4A:4791480:4793086:-1 gene:Dexi4A01G0006680 transcript:Dexi4A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVLLE >Dexi4A01G0006680.2:cds pep primary_assembly:Fonio_CM05836:4A:4791480:4793086:-1 gene:Dexi4A01G0006680 transcript:Dexi4A01G0006680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Dexi1B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:1B:24064768:24068553:1 gene:Dexi1B01G0017760 transcript:Dexi1B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSTFYAGEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEVTKRQGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPGKTYHLYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKVTSYFNCEPNIRPSADNLPDELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQETVAPRRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >Dexi5B01G0030010.1:cds pep primary_assembly:Fonio_CM05836:5B:31059174:31063747:-1 gene:Dexi5B01G0030010 transcript:Dexi5B01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGRAVNKSFGAASASHGWGLGLVSRRRMRRIGVTRRPHGPSPLAPVLHRTKPPVVRRKPGTVVDRARVVVAAPVGDMACAAAPAGTSIIRMPISQESAGSAPPSPRALLSRDERGWLASRGVEVVATPARHMKLGCLDVGLERRLGRMARPACRRATRGGWTDDGSRRMGAARAAWEMARQQRVLYLSLVHPSSPAGPATLARPLPLTGRTHLSAPSRASSLSLSAAPDPPVGAISLSLARAAALSFPLADRLAPPVSFALNLPTGSRKSHDPVAGRSPALPSQAAHVPCLPRAPVSPHHPPQNPSRRTSDARRVPNCYPSRQFGVFPFPPPPPEKEKKREKGKKEEKRKGHGKEAPCRCLEPSRSSREKDGAAHREPCPDTKKTRQAAPRPHAGLLHLATASPTPLDRLARLFQALGEPFILAASLLCSGGSPGANPSALPPSLKPPLRELAVAAGRSGRRTASWRFAALVHALVRGALACARDRARAHMHTGAVRARERVERSFAGVRPCRCGISPNAWQARSRRHPHARTVLATRRWPHGIAALPQRIPGPRLVLAGPHRCGPRLAHGWFWPKRRAEPSRPDLTAGAHQSQGPLLTSSPGGPCADVNMAS >Dexi9B01G0049460.1:cds pep primary_assembly:Fonio_CM05836:9B:48076301:48079808:-1 gene:Dexi9B01G0049460 transcript:Dexi9B01G0049460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGADASSLAAAVLDAATPPAAAAATSRVLDYLASHAADHPRAFFADAFPSLLYRLFVSSPASASFIDLAAADPALSGILLSLLAPSGPLLAAAAAADRLALIRFAFPSERLPDWLRLALASPSSSSSSSSAASPLLSARVGSELHLSVFEYYLFWFAYYPVSSASAAAPASASNRGLSSRARLESWVSNLATTAVRKPGQKPQSSLYLKLLYAYLTEFVPTRTPQARMVGGGTLLHRTANDRVDAVQSFARAEFLLHTLVQFWLVGDDFSPLPVQTCHALGLRLPSRARAELSERPPSPGLGDAVKLLVMYLNCCDGRTLVDADARMPSEVIPVWNGVLDAQVVFWNPLIQRPLYRFVLRTFLFCPVGAAIKNTTQVFSVWLAYMEPWKVTQQELDEYGKQQAVEEQELQKCTVVYNSSWKAYVISNYLFYSSLVVHFLGFAHKFIHSDVASVLLMVHKVLEVLCSSPDLLGLLHKVDAAYHTRLVASSPPYDDALKYAPSIREQLKDWEDGLTETEADGSFLHEHWNSDLRLFSYDENGAYNLLQLLLIRAESEILRLSGDTQQALRTLDCIKSQMKRVFQGQIERTRGNAPLEELHNQQQQVRGEVFTPKHPSLGKRSWADFRYRGEWMKRPISETEVAWLARILIRLSDWLNDALGLDCGNGNDSPAAAIASTYIRFDRSELNTVGGPKDAARMALVAVCSVLVLVGQAVLKFMRSHRVKINLRFFASKKLLSAAAVLYAVVAVTRNASG >Dexi3A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:3A:11870555:11873596:-1 gene:Dexi3A01G0015940 transcript:Dexi3A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKIKVANPVVEMDGDEMTRVFWKSIKDKLIFPFVDLDIKYFDLGLPHRDATDDKVTVEAAEATRKYNVAIKCATITPDEARVEEFGLKAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFEGKEEQVELEVFNFTGAGGVALSMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLAANSNL >Dexi5A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:5A:7020722:7020990:-1 gene:Dexi5A01G0009340 transcript:Dexi5A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREAAMDVAMDVVPCRMVAASLQRHGIAAAHARIQIAAIAASVGAPARRHHQGPRTRLRRRKGMASTRLSGLRFC >DexiUA01G0010240.1:cds pep primary_assembly:Fonio_CM05836:UA:20399320:20401668:1 gene:DexiUA01G0010240 transcript:DexiUA01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGAGDPLVAAPSGEAEAKMETETEEQEGPVLCLDLTSYQLHDLSEVEIPPTVEEVDLTANRLSSVDPRMGRLAGLRKLSFRQNLLDDAAVAPLSSWDAIAGLQELVLRDNKLTRIPDASIFKGLLIFDVSFNEISSLTGLSKVSSTLKELYVSKNEVGKMEELEHFHALEILELGSNRLRVMENLETLTNLQELWLGRNRIRTINLCGLKLIKKISLQSNRLTSMDGFQGCIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTAIENIETLTRLEDLWLNDNQIPSLDGIEAALAGSREKLTTIYLERNPC >Dexi3B01G0033240.1:cds pep primary_assembly:Fonio_CM05836:3B:35621916:35622942:-1 gene:Dexi3B01G0033240 transcript:Dexi3B01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPVKSPSPPAPVSSPPPPINTSELTSANSQIPTSSSSGEFTATTTCKISSPTSSGEFSTTACKISSTSSTSELTAATCKISSPTSFGDLPTPTYKIPATTSAGEFSTSTNQITTTTTISCELATSSDKVSSTSANQLSTTASTDELTTSTCSPIAATTGSITTSAITRRCHPTTDHGAEVCFTSTASVPRILSSGYAMLQYRH >Dexi4A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:4A:24945339:24946394:-1 gene:Dexi4A01G0021390 transcript:Dexi4A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESEADGEPGAKRRREDDEQEDQHKEVAAGPVVRISALPDDVRRRILTHLPLKDAIRSSALAQRWRHLWKSRWAEPTSSLDVHVLPGDNPKKTINSLESAPRRRLDRFTFVSDNELLGPKLLSRFMEYAAACCVEDLDVEVSRRSCRDKRRLIFNFVPASPLLTRLSLRNVILGGAGLSCNDDVWLEPLPFDCLEVVRLHRVTISIALCRLMALCPRVHTLDMRRCDRAFVPQSATLRTVTVAECGRDRELKFYIIVT >Dexi3B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:3B:6371621:6372313:1 gene:Dexi3B01G0009250 transcript:Dexi3B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKISLKLLVETRSKRVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYQSVDHMGVSYLQPGADKSELLQPGVLHPDARELLLLPPHAGDKDDGGEADEQQQPRLPKFKLFTCAGQCVTVTMEKDAACPQCKQAMATEMAFVLPSVLPPAAAGGAKGGGGAAGDESGGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGNDVELAEKYVKVGMDEG >Dexi2A01G0034080.1:cds pep primary_assembly:Fonio_CM05836:2A:44175190:44176075:1 gene:Dexi2A01G0034080 transcript:Dexi2A01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPIAFGLVLPLLAVSSAAERFDFFYFVQQWPGSFCDTWRGCCFPDTGKPAADFGIHGLWPNYAHCHRRGDDDVFSILGLERRGRCWPQYCGGDDDGDDGGKLSPWAIRDLVASLQRNWPTLSCKSGDSFRFWSYEWKKHGTCSNLEPHDYFARALALKAAHNLTEILSGAGIVPSATATYSLDSLSDAIAKGIGFKANIECNRDADGESQLYQVYQCVDKEGKNLIDCPLHMRSKCSDQVKLPLF >Dexi9B01G0044940.1:cds pep primary_assembly:Fonio_CM05836:9B:44596292:44596663:1 gene:Dexi9B01G0044940 transcript:Dexi9B01G0044940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSSSSLLGAVLLAVLILAPSRAEWVPVPDVNEVVGQFAVLVYGLAHRKDMAFVAVVRGQTEDAVGGGTNYRLVVVAAKPGEEGSTTTAEYDCLVWGVPGSSSDTWKLRRFRKINHS >Dexi2B01G0023170.1:cds pep primary_assembly:Fonio_CM05836:2B:32723877:32734952:-1 gene:Dexi2B01G0023170 transcript:Dexi2B01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSILGGRKRLALFSLLSLGTPHVGGRRVACLVPTGAVAPEAVDGHRPSSASRAMAAGVGMAAAPVGHVESPLVFNSFGPPPLRRAGLVRAMPLWVVTLLCLHRVLLRFLTPRKGRNNVRLACAFGCSGASSGGLRARFLAESEMGVISTVLGFSGFGFGFSAGIVIGYFLFIYVQPNDVKDVKVRPLVEYDSKSLEGILPEIPLWVKNPDYDRIDWLNRFLELMWPYLDKAICRTAQEIAKPIIAENTAKYKIDSVDFETLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADVMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYLKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINIFDWEQVGKHEKMGMNRVLLKDLPADETKVTTLDVLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDMDKEGTEGTDMVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPNKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIELQWKTS >Dexi6A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:6A:28431655:28438506:1 gene:Dexi6A01G0021070 transcript:Dexi6A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGMEVEVRVVGGARSCFVALPLHLLHALERTSATGDLPPVLALDLRGPAGGRWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVARSLAKAEKVNIEPYSEDDWEILERRAELAEETILKQVGIVYEGMKFPLWLDGHNIVKFVVVSSRPEKSVGIFLLLRLLTLLQPLVETAWSAPINNTEDVELHFRKLELGEPVSFGSVVDSGSTDGFKLTKSSLGWMENAMSDVIKRLSVLLSSTSLRLFNRLKFPFPGHVLVYGPRGSGKTALTRVSAKYFEDHKEILAHVSRIYRDCSKLALGKAKETRQAIEDSISEALLHSPSIIIFDDLDSLISASSDPQVSQSSSSSDSLVRCFADIMDEYKVRICQRNMWRFDFHIELPALAVPERKALLKHHVEEHELQCSEEVLSEIASKCEGYDAYDLEILVDRAVHAAASRFVMPSNSSLNSVKPTLVMEDFSKAMHGFLPVAMRDLRKYAPDDKDGGWEDVGGLNEAVTIIKETLELPSKYPNIFTRAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAVAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLIFCDFPRWDERLEILKVHSRTVSLASDASLEDVASLTEGFTGADLAAILTDAGLAAVHELLDNQENGVPDSEPCISKELLMSVARKARPSTPADEKRRYDREFGEFVSSRKSISTKVHLNSISHNQNIDICKRVERKKGDTSLSLSNTSTHCR >Dexi4A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:4A:8403080:8408650:1 gene:Dexi4A01G0010480 transcript:Dexi4A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVAGAGAGDTARLTREVARVLDECRASVAVHQRKLRELAALRASSSGGGGRFLTAFSVAVTPLFDLARRTAGSERAARFVAGFASASASPADGGGDGFLEGFLRFLITASEAAHRPARLRACEIIFEIIMRLPDDADVSDEIWDEVIDGMKVRVQDKIPAIRSFAVRALARFATDGDDGGIIDLFLETLDNEQNAEVRRTIVLSLPPSNATLESVIGSTLDVSESVRRAAYSVLSAKFPLQSLSIKQRTTLLHRGLSDRSASVNNECLKMLKDEWLVKYCGGDIIALLRFLDVETYEAVGESVMAVLLKDDALRVQDGQSIRQYCTANGENEEQGSNIQLMDAEVALYWKIMCKHLQAEAQAKGSEAATTTGAEAAVYASEASDKNDLLDNILPSTITDYVNLVKAHLSAGPNYQFASRQLLLLGEMLELSDTVNRKIASSFLHELLVRPLEHEVDDDGNQIAIGDGVSVGGDKEWAKAVAELAKKVHSSVGEFEMVVSAVVEELARPCRERTADFMQWMHCLAVTGLLLENTSTLRNLQVTIVEPSELLHSLLLPAAKQNHVDVQRAALRCLCLLGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDRAIGIELPDPSHEKSQFTRVDLSDMNSDDLNIGVLDILFAGFHKDDWEFSLEGDNHDNVPTILGEGFAKILLLRENFPSIPSVLHTVILSQLTRLYFSEEIKELERLVAICPLLFQGICLMLILTYSSIFSAFIPVMKAMWPGIYGNAGGSPHVISKRRKLAVQASRFMVQMVQTPLFSTESTDQASKSPESTSGSADVSNNFDIGEEGLAIRIAVEVATCPDKKTPAWKAYALALCKVVVLLRFRQSEQKAIKCMRGLVNSLAASVASDKELGKELTQMAARLRSLDASPDDDLPQDEVEAIFKKLGLDGGIKLSTNQAATPTPAARSVRPPAPSRRRARRAPSSSDESDADGEVNLPAASVSRVLATPSMTAARCQRASKTVALSKMSAKPAAVSSDESDDQSDVTDDEDSSGEESS >Dexi3A01G0036680.1:cds pep primary_assembly:Fonio_CM05836:3A:42256578:42258137:-1 gene:Dexi3A01G0036680 transcript:Dexi3A01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDRWTGLGSALASFLFLWSMVQRHLPVTLTHRVATWATKLASYLNPYLEITVSEYGGERFRRSELFLAAEAYLSDACGRRARRLRADLVKDSKNLQVSVDDNDEVTDVFDGVTVWWYAVKRVARSNVISLYPGEDERRFYRLVFHARHRDLVVGSYLPHVLEEGRAVTVRNRQRRLFTNNPSTSWNSYRGGKSVWSHVHLEHPATFDTLAMDPADKEDIVDDLEAFRDAKDYYAKVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSVDLTGKRKDKAEKKKKSNDDDDSPSESSKDESTKVTLSGLLNFIDGLWSACGGERIIVFTTNHKDKLDPALIRRGRMDKHIEMSYCRFEAFKVLASNYLGVSDHELFGDIRRLLDEVDMSPADVAENLMPMSKKKKKRDTHACLAALVEALKKAKQDATAAKALADAKAKEEAAEAREKEANKGQAQDQGKEDKTSSSSTEEKMTATKGGDK >Dexi9B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:9B:12832279:12837123:-1 gene:Dexi9B01G0018080 transcript:Dexi9B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPVKAFRMAPLQPCSPSLHRQGTHVGRKTDTDSGPPPFLPNKPTPLARDPRPTHLLLFSMTASLFLHKCWICIDSSLSPPGPAQPKLRSRAAIPFFLAQRARALSAADTPGPLVIPPLAHSPNRTRLAFEPEPEPGCRDPPGANSGSSATAANPAAAIELSVHCLSAVKDPSKLIDLSLLALLPLARSCRAAAPTDLSLPVTDLLCQRILNENTLKDPGIAQLRRPSAVRPSVAAASRCNRRHRLLESISVVRSRSIGLDGVNPVSLPVSSGSRESASFEEYIEEQGYEDSEQQQGLEEGKYSLVIPILDDFSLNPSLGAPPREPIFIKESMASFSASVNVKFEEGATFIFGSWLCTANQDGKLRHELRDVTIALRRELCGETMASPPPPVRVTVRRSSRVSDSNTIFGSYPTRRSTSRQKPSSTRTNDDSCLVALKYQDQADSRRTRLLGGLRIISSICQGTSVRTVTSVIQEARPRSTLRLVGSVARVLFLSEQGSFFDKNPDYGNQQGSFFDTNPDYDDQPSSFSTKNSDSARLHQRIVHESS >Dexi1B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:1B:8087492:8088075:1 gene:Dexi1B01G0009140 transcript:Dexi1B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILVAQIADLEARNRTLESRAHHHSNGGGGGRPYSSSEQQDVVVLQGLSATSERVQVHVTTAVAGDATTSSSSSGRPAREAVTVRVETRRAHGDVGELVARTLAAIKKTGRFMVVAVDATRPGDGIAHATFTLRATIN >Dexi1A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:1A:939873:942148:1 gene:Dexi1A01G0001440 transcript:Dexi1A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVLCAANHAPLTPISFLERAALVYPDRPAVVASGPGAAPPRTWRETRARCLRLAAALAGLGVARHDVVAVLAQNIPAFCELHFGIPMAGAVICALNSRLDAAMASVLLQHSEAKVIFVDAALLDTAQEALRLISQVAGARPPAVVLIKEVLDDEPPVPSDNNLPYHEYESLLDSSIAGGDGGGSPEFAIRWPSDENEPIALNYTSGTTSRPKGVVYSHRGAYLNSLASVLLNDMAAMPVYLWTVPMFHCNGWCLVWGVAAQGGTNVCLRKVSSATIFSAVASHGVTHMGGAPTVLSMVVNATADERRPLPQTGRPVTVMTGGAPPPSPVLYRMEELGFLVIHSYGLTETYGPATVCTWKPEWDKLPASERAAIKSRQGLHHLGLEVDVKDPSTMASVPADGRTMGEVMFRGNTVMSGYFKDAAATADAMAGGWLRSGDLAVRHGDGYVKILDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRPDEYWGETPCAFVKLKDGVDGGVSEEEVVAFCRGRLPRYMAPRTVVFVAELPKTATGKVQKFTLREKAKAMGSIGSEKKNQGRPAGTTRQSKL >Dexi5A01G0028940.1:cds pep primary_assembly:Fonio_CM05836:5A:32123850:32134719:1 gene:Dexi5A01G0028940 transcript:Dexi5A01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAGSSDVEFIRARSDKRAYRRVVLPNALEVLLISDPETDKAAACMEVEVGSFSDPEGLEGLAHFLGEFRLLFLAVLQHFDSFETTNFYFDVNVDNFEEALDRFAQSFIKPLMSQDAVLREIKAVDSGSWETLETKPKERALDIRHELLKLYDNYSANLMHLVVYGKGWAMNLMAGEGTDSTEYSFFEISMRLTDAGHEHMEDIVGLIFQYLLLLKQDGVQEWIFNELFPPEEWLVGSAFPSKYAPERINMILNQLSPERVRSDCGVRALQVLIQSTVKDPSYLDARVDEFFKMFERKVHELSDEDFKRNVKSLIDSKLEKFKNLWEESDFYWGEIAAGTLKFDRVESEVALLRELKKEEFIAFFDQYIKLDAPQRRTISVQVFSSNNHSAEFKKAVAETDPPKTYRITDIFGFKRSRPLYSSLKGGPGRITMD >Dexi3A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:3A:5798332:5799540:1 gene:Dexi3A01G0008270 transcript:Dexi3A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRRRQAAGCRAPTWADLEALEERISLTQRNICDLSNAMSKAEPEAKEAKQVMEEERAALRRELERLNSELIDLDFSGMSEAERAAEAERLRRETLEEARRLKEAGDPRFRVMEALARIIDFDPKDDDGMYFNRLYSVDLATFDHDEESPLGPMRFTKTVPGEEVDVCQSVNFLSVKIACSDVGFPIQVYGTVIARDCMDYKCVYLFRRDRDNCQLINSKDESLILTGPKRGLVLLDANFVEADLKIKDHQGQDRELSKGIVSIRGLAGRSLEKCEVESKSLATRLSTVDITYAVVIDALEATV >Dexi3B01G0027370.1:cds pep primary_assembly:Fonio_CM05836:3B:22880738:22881043:1 gene:Dexi3B01G0027370 transcript:Dexi3B01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRGSRRESEEMPTVARSTPHARTHRSVSTMPASRSSSTTTSDVRHWYAVGLPAQCVFSSTHRRDVDDTANAHRSGVSCSHTTFTPASEEFQQQDIRT >Dexi4A01G0023020.1:cds pep primary_assembly:Fonio_CM05836:4A:26209292:26209816:1 gene:Dexi4A01G0023020 transcript:Dexi4A01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDFTNGNGTGGESIYGGAVFADENFKLNHTRAGMHVVHKIEAQGQSTGVPKAKVVIVNRGQLHYVQ >Dexi9B01G0038860.1:cds pep primary_assembly:Fonio_CM05836:9B:39718601:39720681:-1 gene:Dexi9B01G0038860 transcript:Dexi9B01G0038860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLVGDAAKNQVAMNPINTRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSDGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVSPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDMDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIRDDKIASKLPEADKKKIDDAIEGAISWLDNNQLAEVEEFEEKMKELEGICNPIIAKMYQGAGGPDMAGGMAEDAPPAGGSGAGPKIEEVD >Dexi7A01G0024170.1:cds pep primary_assembly:Fonio_CM05836:7A:31967590:31967934:1 gene:Dexi7A01G0024170 transcript:Dexi7A01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTHCMYTLKVAMAANCEDDPCDANGGGGDEEAANGILGEFDDGY >Dexi9B01G0033780.1:cds pep primary_assembly:Fonio_CM05836:9B:35877294:35878021:-1 gene:Dexi9B01G0033780 transcript:Dexi9B01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKPILRVAGISGSIRKASWHRGLIRAAAETCEESIPELRVDDLDISDLPMLNTDLETDGGRGFPPAVEAFRAKVRDADCFLFASPEYNYSITSPLKNALDWASRGVNCWADKPGAIVCAGANFGGGRSSYHLRQVGVFLDIHFINKPELFVFSFYEPGKFFDGDGNLIDAETRERLKKVLLSLQAFTLRLQKKD >Dexi7B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:7B:11749191:11751072:-1 gene:Dexi7B01G0004770 transcript:Dexi7B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMVLHSHPQTTSPYDSQTNSIIRLDLLSHNVSSPFTAEAPEFTLDDDLLGFFGNQTPLRDCRDFFADIPDVSCKETLEPEESREAKRRRTLEYPSESSQSEAGTHETSSFVASEVCSLATSMPCTQESVSYVDDQAGISGSSEIALVTESLIMHETRKLSTLKVSKGILGGNSSLVKGKQNITTTIACPFTFIKPSWDEGDVATLQDINQRIRAPPKRPPEILGTSPYSGKPVIGKTRIMTDGGKGSITILRTKG >Dexi7B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:7B:17844319:17844642:1 gene:Dexi7B01G0010510 transcript:Dexi7B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGPWSGIPTELLLAITDTLRHLESYCRARAVCAARLPPIPSLVTVTTTPPGAPLPLRPPRPDVSAPFLPVERLFPLTTNPRDGRCVGSSNGWLAIDSRPRSMGI >Dexi9B01G0042900.1:cds pep primary_assembly:Fonio_CM05836:9B:43019981:43020816:-1 gene:Dexi9B01G0042900 transcript:Dexi9B01G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRSRLQSRLRASGSDALGLAAGHRPCGLAFSASGTEDTLFRRSGVGPRRAAGEDGDEARGARDKKDSPWNDTERLRIVVAVALAAAAAAAADAVVVGDVECCGGGVDARVRCADRERRDEEDDEEEVEDDVEEAGDAVAPPPVRYLEETGLLEREFLLAAAELGLSSAGVSGGRAGCAGSGCAVAAAMAATMPCRCRGRWGGRSGYAQPPRGNAGPRGSRFAASAPAPARASAPAGGGEGALRIDAPARTRSSNELE >Dexi9B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:9B:4953618:4953911:-1 gene:Dexi9B01G0008040 transcript:Dexi9B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSKKRSRCAEPVAVGAERSNAAASSTAAQHATDLPPAAILPFPFRPPHRHAGLPPTATGAAPVYTPPISLRVAAGEGGAGECGGSGRSGAVGSGT >Dexi2B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:2B:402324:403084:1 gene:Dexi2B01G0000810 transcript:Dexi2B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGFLSVRVLRGINLVSRDAKGSDPYVVLNLDGQRLKTSVMKKTVNPLWNEDLTLAVLDPSAPIKLEVFDKDTFSKDDEMGDAEFDIEALMQIVRMDLDDIRSGTVVRTVRPDRNCCLADESHIIWENGQAVQDLLLKLRNVETGVVHLQLKWVNIPESEW >DexiUA01G0010010.1:cds pep primary_assembly:Fonio_CM05836:UA:19686138:19691127:-1 gene:DexiUA01G0010010 transcript:DexiUA01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSLWTPLTPSRCALSVTIKNKYPLPRIDVLFDQLAGARVFSKINLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKSMTELLKKGVKFEWNDKCDEAFHTSRKHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPMHDLELATVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVESYADTLCHEMAKLNLEIVPHGYFNHIFVEPTLHDQIVEAQLKDAKIKILKRKLSKEKVKEKYKCFRLDGQGVMWFGHRIVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIAMYVSECDTCQRVKACHLKVAGTLQPLPIPSWKWEDISMDFIFGLPRTPQGHDSIWVIVDCLTKTAHFIPVNTISTAKRYAEIYLERIVCLHGVPKTIISDRGTQFVACFWEQLQLSLGTKLIRSLAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLSEFSYNNSYQASLKMAPFEALYGRRCRTSLCWSQAGERYTYGPDLVKEAEEKVRIIRENLRTAQSRQKSYFDQRRKPLQFEVGDHVYVKVSPTKGPFATTSPRRSFRSMTLERGSRISVTIELSPDIPSSFSHDSRPHDRTLEAQQSVTIRGRTLGRSRLHSQARGGTYPRATFRHARGSTAKLEGALTLERPKLEGALTLERRFRPFEARQARACGSSSKRHSAWSKCLVQARKLCSITRGIEIDVCSGRNVRGVNGVQSESAIIATCEAAVHQALTSRDFA >Dexi1B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:1B:6379324:6379818:-1 gene:Dexi1B01G0007720 transcript:Dexi1B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTRAPSAEEYIGKSADKYSSLMLEAVEKMVEERIRSEDNMPIIWEPSLVHEVSHLEC >Dexi5B01G0035460.1:cds pep primary_assembly:Fonio_CM05836:5B:35464281:35466196:1 gene:Dexi5B01G0035460 transcript:Dexi5B01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISSTAYFSSQPQFPASSTADPATSGSSRLARQPRRSSSFVMVEASSSATGGWVGRTRSLTEDDLEDLKGCLDLGFGFAYSEIPELCGTLPALELCYSMTRRFLDEQRATGQEQAQEPAATPLPNWRISGPGDDPEEVKARLKYWAQAVACTVKLCS >Dexi6B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:6B:8289895:8290643:-1 gene:Dexi6B01G0007130 transcript:Dexi6B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGRKRLREEQEVPMAPQAVRDPRPQLTTEDSVQFVLTLKSELAGERGKYDEFIAIMREFKDGGLQDLAGAVERIKALLAGYPSLIRKFTEFLPWDYIRSQGQAGGSGI >Dexi9B01G0030950.1:cds pep primary_assembly:Fonio_CM05836:9B:33405329:33407281:1 gene:Dexi9B01G0030950 transcript:Dexi9B01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKKHRYGTVFKSHLFGAPTVVSCDEELNHFVLHNEERLFQCSYPGPIRTILGDSSLLVVTGERHRQIRAMFLALVASTGLRPAYVASVSESARSVVASWRGRDTVTFCEEARKFPYKVIMEQVLGLSPDEPVARRILKEYEIFMKGVVSFPITIPGTPFARGMKARKRISDTMEAFIKEREKNGSSKQGVFLDVLLANKDLSHDDKVAFLLDALLAGHETTSVLLSILIYFLGKAPNIVEQLKREHESIRSSKGKEEPLTPEDYRKMDYTQRVINEALRCGNIVKLVHRKALKDISFKGYVIPAGWKVLPILGAVHLDPSHHVDPEQFNPCRWEGLNQTNAKSFTPFGGGARLCPGSEIVKVEAAFFLHHLVLNYRWKVDGEDAPMLHQYVEFKRGLPIQLEPL >Dexi5A01G0034520.1:cds pep primary_assembly:Fonio_CM05836:5A:36538883:36545355:1 gene:Dexi5A01G0034520 transcript:Dexi5A01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVAAPPSSSSAPAPAAAAARVPAPALAAPAAPPALAPQPLPATSAAAAAPEAAGACRRQLFTVELRPGETTIVSWKKLLREAGHAAAAPAVAAEPAALAAHAAGPSGAAHPAENDPKNPAQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEAGTSANAAPKKRRRKDSSSTYLETNQLAPVDYFNSGDVPGKSSGRGTVQAGKQLASGNASSYGQYHDDNRVVKNKTSGLGGAPKRKSSEFSVGADAVARAKISKDASHAPLELRDLEKHKAAALPVDYAHKSKTSETYDYAYSAYRDKGTSVQLDFQQRKAIGENQDPSTRIYRKEKYGASEYPVMAMGSSVYSTQTVHPIVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPQIDINEVDPNGQAAVKRRLPQEVKQKLAKVARLSANQGKIQEHELMDRLMGIVGHLVQRRTLKRNMKEMVESGLSAKLEKADQFQRVKMEINEMIKARVAAKSKVNEQDGSADDFQVANDDRRALKGKSVMDTVLEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAISRSKDRKRLLYNQQKVRNEERMKRKKLAAAARVQDGYPVVMQSGVAPQVAQPPITNPIAYPAPDYGQNLGLKSYERVREISSSAIPDDSNRNAGEIKKKKRKSEYDPVDIQAYLPKAPLQNEKQRPSKPSDEANAGSQLTQTVLGLPTMIGHNQQPS >Dexi5B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:5B:3685195:3685543:1 gene:Dexi5B01G0005460 transcript:Dexi5B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGRRLEMEGGATEEDAAEGGAREVGAERRRRRGGGRGVREVRAEARVAGVLRAVGGG >Dexi5B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:5B:19813117:19815865:1 gene:Dexi5B01G0017920 transcript:Dexi5B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGECAMGAAAMGEETPNYYGDDIEMADADSDAEEAPAAEVSIAAGGGGSGGGAQAEKGGPEGKSKKKKKRNKGKKKNKGRQDGPPTNIADINRFVLDTCKRLKEKKSYLVWNAVGCLGVTAVSDLVREVEAIQKCGGQTIADGSRYRTGGGILWNILKSREPKAYKEIMAKGKELEKQFRYTKRPQMSRNEDASSQGSALIDDESEVQEEKEVLDDPEQLDDADQLEDVEKALPSDTKAQRKPLADRIRVPVAYDDLFEEGEVHEGEPQN >Dexi1B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:1B:611738:614552:-1 gene:Dexi1B01G0000690 transcript:Dexi1B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLASNDNSPPAAAPPARRLSSPLPRRAPPSPSPSTSSRAKPRKPAAPAAEADESLDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTPPPGPAEGEGEVQAADDQQPEQQPEADQRGEEWSLAAFSGWMQLGDTHAMLGRMDESIACYSKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPTVASVYVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEIAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLENLFVTNSARAKKEAGRRWSNFGFRS >Dexi6A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:6A:26960814:26963266:1 gene:Dexi6A01G0019320 transcript:Dexi6A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLVVLLSATAAAMLPEMANASPAGGFQPLSKIAIHRATVEMQPSAFVQATPSLLGAKGEDTEWVTVKYGWANRSVDDWIAVFSPSDFNSGQCPNPARYPGEPLLCTAPIKYQYANYSALYASSGGGSIRFQLINQRSDFAFALFTGGLENPKLVAVSKPVAFGNPKAPVFPRLAQGKSHDEMAVTWTSGYDIAEAYPFVEWGAVVSGAGGQPARSPAGTLTFSRGSMCGEPARTIGWRDPGFIHTAFLRDLWPNKE >Dexi7A01G0022110.1:cds pep primary_assembly:Fonio_CM05836:7A:30514335:30514688:1 gene:Dexi7A01G0022110 transcript:Dexi7A01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTATEESNNAVAQQESSIENVFCMEGGQGPSSYMNNSQMQSRATQLVLHVLKETLDNMIQLPSLLENKLLTVADLGCSCG >Dexi2B01G0027840.1:cds pep primary_assembly:Fonio_CM05836:2B:36611105:36613772:-1 gene:Dexi2B01G0027840 transcript:Dexi2B01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSGSGCDGREDGAVEALLQWQKVSDFLIGASYMSIPLVLLHFATCADLAPLRGVLLQFGAFIVLCGLVHLVGIFTYARPDSRRLLLAFTSAKAAAALAASVAAVSLPTFIPQLLRLKTREALLRDKARQLDRDVALVRRRQETAARVVRAITHHIRRGVGGDGGGGGGHRHLPHDALAVLRTAVLHLSDALGLRSCAVWMPAAANELHLVHQLPEEDDRRDTTATARRRQAIHDSDPDVAAVMASKDAKVLRSGSVLGTSSGSGNGAAAAIRMPMLRASNFADASSSGSDEQQGGAVSYAVMVMVLPAPPPAPKNRRGNRRNRGGAREWSKQELEIAEVVADQLAVALSHAAVLEEWQLTRYKLAERQKAVAQAQHDAAVAGRAMDAAQAAMRDAVLRPMHPVVGLLSLLQAQQQQDDAFPCAERRLAVAAMARLSALSPTLIEDVMAAVLTTTAASRGGDPAASGGVSLARRPFDLRALVRDAAAVAGCLARCRGLGFSHRAETSSLPGECRVVGDERRVFHLLMHMLGALLDRCECHCHDLCFCVEAAAGGEQDPANFSGCNMLCVKFLFGITRTLRDSLVHSSSPRPRDRITKGTTTVPVDSETRLSIATCNKIVQMMNGKMWRESPSDFGGQQHGESMNLILHFQLGYGLASPSTPSPSGGGGGFFYRSGGGFGIPSPSSSTILLPQYHFDGLRVLLADSDDTSREVTRKLLERLGCHVLPVPSAAHCLSLLQGSDAGAGADQPPCLQVPYLQLQVVLLDLHTTPAAASAGDDVFEVAIRIRELASDSFSWLPILVALPLPPRGSCVEDARRDVCQRAGVNGVIHKPITLPALGAQLYRVLHNGD >Dexi9A01G0031330.1:cds pep primary_assembly:Fonio_CM05836:9A:36274426:36287703:1 gene:Dexi9A01G0031330 transcript:Dexi9A01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAAAEETAAPAAEAEEWSGEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRTTKTSQWYRPLKLNQVLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKAMISVTHVPELNTLIVKEDGIHIGSAVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSIAKSSPGFVGLFLSKDIPGTNHTGPVIHDEEVFASDVVTCVGQIIGIVVADTHDNAKNAANKAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAVSVPSYCLRRPVKLVLDRDVDMISSGQRHSFLGRYKVGFTNDGKILALDLEIYNNGGNSLDLSLAVLERAMFHSENVYDIPNIRVSGQVCFTNFPSNTAFRGFGGPQGMLIAENWIHHMALELQRSPEHIKELNFHNDGTVLHYGQLLRNCRIGSVWDELKASCNFTEARKAVRSFNSKNRWRKRGIAMIPTKFGISFTAKFMNQFDGLTMQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRETHNSFAELAQTCYMERVDLSAHGFYATPDIGFDWIDGKGTPFLYYTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGFSINPAIDIGQIEGAFIQGLGWVALEELKWGDNNHKWIRPGHLFTCGPGAYKIPSVNDIPLNFKVSLLKGAPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIFAARAEEGLLDWFPLDNPATPERIRMACVDSITKKFADADYRPKLSV >Dexi2A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:2A:33108606:33112158:1 gene:Dexi2A01G0020900 transcript:Dexi2A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRRSPWKGKRRRGGEEGDCLVAAAAAEGDEEGDADDEDEGEKVLGCYSSTQSILLVGDGDFSFLLALAAAFRSGTNIVATSLDTYGSSTWIDCFWLLETRKDKIRSDKKDYICMPMRDREVQRKAMMPGAAGLTYARAFLEGQRHRESVQRQEWPRGCEVQRKATMPGAAGLSISSAFLEQCRRDSVQKPER >Dexi3A01G0025530.1:cds pep primary_assembly:Fonio_CM05836:3A:21230727:21240858:-1 gene:Dexi3A01G0025530 transcript:Dexi3A01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPRSLLPRAAVPVSVARSSLFSTQHRQATADSAMHPGIKIKESAAQLIGRTPMVYLNKVSEGCGARIAAKLEFLQPSFSLKDRAAISMLEDAEKKGLITPGKVHYETTGPEIWEDTLGQVEFLSWELEVVALSVGKYLKKKNPNAKIYGVEPAEANVLNGAPGPHLITGNGVGFKPDILDMDIMKKVLEVGISSGANTVAALELAQKPENKGKPIVTILPSLGERYLSSALFDELRTEAEAMEPVPVD >DexiUA01G0015780.1:cds pep primary_assembly:Fonio_CM05836:UA:33547077:33549982:-1 gene:DexiUA01G0015780 transcript:DexiUA01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEMGMDAYRFSISWSRILPKGTLEGGINYQGIQYYKNLINSLKQNGIEPYVTIFHWDTPQALHDKYGGFLSRRIVKDYTDFAKVCFEHFGDKVKNWFTFNEPHIFSSFSYGTGGHAPGRCSPGGTCAIPHGDSLSEPYRVGHHLLLAHAEVANLYKSYKGTDGRIGMALDVMYFEPYDEETFLDKQARERAIDFNLGWFMEPVFRGDYPFSMRSLVGNRLPYFRDDEKEKLVHSYDMMGLNYYTSMFAEHIDLSSGFSPTVNTDDSYAKLTTEGNDGKSIGPETGLYWLKLYPKGLKELLMIMKDKYGNPPIYITENGTADLDTGNLSKEDALDDNIRLDYLQRHISTIKESIDLGAEVQGHFAWSLLDNFEWTNGYTPRFGLIYVDRDDGFKRYMKKSARWFSEFNRAPRKVFDDDHAIVLKPALVSGN >Dexi3A01G0026360.1:cds pep primary_assembly:Fonio_CM05836:3A:22566536:22568278:1 gene:Dexi3A01G0026360 transcript:Dexi3A01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGEEDRLSALPDDILRRILHRVNSKEAASTSVLSRRWASLWRSSGAVDLAARIPYEILSSHHQEAFSHAAAAALAAAEVPVTRLALSVDTDGGNDTVLRRFIHGGRNEMYSTSTDEGVFRDVLSHPAARHVEDLRVALVDTFDAVRFSGMEIYGSIGICCFASLPSRETLRVLDLTRCDLAPAAFPRLATLHLRLCSMQLTDLHALLDAAPVLTDVHLESVLFTYTIQQHVEVEPPELAMRLPSVTTLVLALCGVLGQGTPHGQFDTSCAIAIDAPRLRSFVYKGLLHPFQLRSASPELARADLHFLKDDSASYSKERTRMLFWQSVQSFSGAKALTLKVDHELKEIAAIGKERRAQLLCPLPNVERLELEGWHRPTSTTAAVAIANLLHCCHALRDLTLKLSTVPPDSEKGSNYAWESLRKDLLDYSRSIDRFRRRSSRISMEDSNNGVRYHDVQDISGMSGESFACLQRSLRRVSMEFRLDHSSSTCIGLRLVKFFAENAMALEEMRIDSGNWRLYEHLNLSGERWIARENPAAEVQCEFSTVPSVPFDSTTDLGRSTIGFTVLPLQRRKRMRCMS >DexiUA01G0009260.1:cds pep primary_assembly:Fonio_CM05836:UA:18007583:18009700:1 gene:DexiUA01G0009260 transcript:DexiUA01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMHAASDEEAMDDEDYYDYDYCYSDDDGSGGGGGESEGELVAGDYDEGLEAEGSDEVVSRREQTFAVLSEEDISERQEEDISKITSVLSITKEEACVLLHHYKWNISKLSDEWFADEEKLTCGICFEGYSSDMMSSTGCAHFYCHECWEGYISAAISGGPGCLSLRCPDPSCGAMVLQGMINKLAKDEDKEKYARFLLRAYVEGSKKWSFLVMRTTMSHAIASSAFAGIAPRKLIVQ >DexiUA01G0004630.1:cds pep primary_assembly:Fonio_CM05836:UA:8721276:8723681:1 gene:DexiUA01G0004630 transcript:DexiUA01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPEDFLGQGAYLAAPEPFSPSVFLDLPPTPRPDAAGADDSSDDLVLPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSSDDSATTNSNSNSSGGTAGSSTLSPSSTSSAAPASAEPPWPYDPIELSQLLRSPPYPDIGVGLDDLTADDVDALLLQGQDQATAGFHQSPPFVDTGAGGGGQLDQSSSLAAQNAAGDDGSQRPRASSAVQSSASDGQEETKTTEATTFPGGDGDHAALASAFFSGQNGENIDMLNMAFLKGMEEAKKFLPTNNSLLIDLEDTSGQSLPTDSKPATGFAAAQVKKEEEVSDGILLIGGGGGGGRTNGRGRKNRHTEDDLLEAETGRNSKLMMPEQEETGASELFDELMSCNYEGFLKRMQDLRIAMDSESEKSVRTVSGGKGARGRRRTNEVVDLRTMLIHCAQSVATGDHRSAMELLRQIKQHSSPRGDATQRVAHCFAEGLEARIAGTGSQVYRSLVAKRTSLVDYLKAYRMFMAASSLKKAYVMFCNTTILNTVAGRSKLHIVVYGVQYGLQWPGLLHYLALRDGGPPEVRFTGIDLPQPGFRPAYQIEETGRRLSNCALEFGVPFKFQAIAAKWETVRAEDLNIDPDEVLVVNCECDFNNLMDESVDVDTLSPRDMVLNNIRKMRPNVFIQSITNGTYGAPFFLTRFREALFFFSALFDMLDATIPRDNDERLLIERDMFGRSALNVIACEGADRVDRPETYKQWQVRNHRAGLKQLPLNPEVVKIVRDKVKNYYHKDFLIDEDHRWLLQGWKGRVLYAMSTWVAEDNNII >Dexi9A01G0049120.1:cds pep primary_assembly:Fonio_CM05836:9A:51839624:51840079:-1 gene:Dexi9A01G0049120 transcript:Dexi9A01G0049120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGDLEKGSSGVRKEAGKVPSPLYPQHEGEREWVPWIVPVFFVANITVFVITMYVNNCPVRTHDGKCIGHFLGRFAFQPLRENPLIGPSAEQNLVVACLSCKVLFY >Dexi3B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:3B:15365974:15366430:-1 gene:Dexi3B01G0020400 transcript:Dexi3B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGIILFVGFFYAAVVSKLLPPYENQFLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >Dexi5A01G0037940.1:cds pep primary_assembly:Fonio_CM05836:5A:39081067:39086856:1 gene:Dexi5A01G0037940 transcript:Dexi5A01G0037940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAPAPPNPNPVPDDPPPPAQQEEEEEQGEASEPEASPPAPPATSIEPTFSGSEESEGDDSSSVSSAAPSGPAAPAPAAERPPPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLVAEGDGGGGGGGGGIGVVEMLFRCNILALVGGGDNPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGRKKKGGDMAPLKLYGMVLSPNVVRVATVLNEKGLDFEIVDVDLRTGAHKQPDFLALNPFGQIPALEDGDEVVYESRAINRYIATKYKSSGADLVPTTPSAKMEVWLEVESHHFHPNASPLVFQLLVKPILGSTPDPEVVDKHATQLAKVLDVYEAHLAKNKYLAGDEFTLADANHMAYLLFLSKTPKASLINERPHVKAWWEDIAARPAFKKTIAAIPLPPPPSA >Dexi9A01G0024150.1:cds pep primary_assembly:Fonio_CM05836:9A:20316151:20316699:1 gene:Dexi9A01G0024150 transcript:Dexi9A01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELEKQRRRQGEVQNKENVPILAPIEPGMAMEERTGSSISTKSREKNGQEEDSVCCPPSLASVAAEAKIRRGVQAPQHKRTSSHAAQAALAIAPPPRLPPPSGPPAGPQQCSQARSLSLSPLLLLSAL >Dexi4B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:4B:6254401:6255295:1 gene:Dexi4B01G0008700 transcript:Dexi4B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRVASLTLAALLIVSCVSTATATKYTVGDSSGWTTTGDYATWASGKKFKVGDTLVFTYASGAHTVDEVSAADYASCSSSNALSSDSTGSTTVTLKTAGKHYFICGVAGHCSSGMKLAVDVAAAKAPTPAPAPAPAKVPSPAPAPAVAPSPDAADATPDTTPAKSPSSSGKTPVSDLSPPGKKSTSGATGLSAAAWAGLGLAGLVAVHLGAF >Dexi3A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:3A:10466733:10468413:-1 gene:Dexi3A01G0014350 transcript:Dexi3A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRSVASVLLALLFLRPVARAEAADVAVDDDGGPIHFDVSPPVVPRAAMERREHFRALEAKDMLRHRRMTSSSSSSGGDDRRQLARETGKVPEVMSDTEMFVELPMRSALNIAHVGMYLVSVRFGTPALPFNLVLDTANDLTWISCRLRRRKGKHYGRSSPSASAAQTMSVGGDAAAPAKKERTKNVNYYRPALSSSWRRIRCLQVECGKLPYTTCDADNPASSCSYFQRLQDGTVTIGIYGREKATVALSDGKMAKLPGLVVGCSLKEAGASVDAHDGVLGLGNGEISFGVMATSRFSNRVSFCLLSTDSDRNASSYLTFGPNPAVMGPGTMETAMIYNEEITQALGFQISRIVVAGEPLDIPPEVWSDPIHGGGAILDTGTSVTGLVAPAYNAVTAALDSYLAHLPRVTDVAGFEFCYNWTFTGDGVDPAHNVTVPSFALELDGGAVLEADAKSVLIPEVERGVACQAFRKLPQGPNMIGNVLMQEHIWEFEHKHGIIRFRKDKCANHHLKGNSSSNVHQAPPRFVN >Dexi5A01G0036220.1:cds pep primary_assembly:Fonio_CM05836:5A:37857200:37857691:1 gene:Dexi5A01G0036220 transcript:Dexi5A01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRGACQGYGQPHVTKVAASPYDYHHAGAGGGAVQHHKAVHKESFKEVDEDCHDYSRRGHNNSGHALQQQAHRHNGYNGNNHGGRHNGGGSHRHHETYYEQTYEENCEEVETAAAVRHHGHGGAGGRRYEYETYEEEEEEEVVVGGGGYAQLKRGHRCA >Dexi4A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:4A:25045016:25046550:1 gene:Dexi4A01G0021570 transcript:Dexi4A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMAAVAFVAVMGVLAPPAAAAQTPSTPDCASKLVPCAPYINTTGTPPEACCGPIKDAVQNDLKCLCGLYETPEIFKAFNINITQALGVSKRCGLADTTEACKGLSPTQSPPEN >Dexi7A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:7A:25628022:25629833:-1 gene:Dexi7A01G0015770 transcript:Dexi7A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATQQQRKFQWSELADDDGDLGLLLLPKRVVLGPDASGLRTVIEYRFEDDDDGNEVKVTTTTRTRSLARARLSRSAVERRSWTRFGDAVKAGDDGSRLTMVSTEEVLLERPRAPGKQAEEPTTSGDPLSMASSGGALLMVCRVCKTKGDHWTSKCPYKDLAQQAEGFVDRPSSPDGRAAPRGDRAYVPPNKKEGADTSGASMRRRNDENCIRVNNLSDETHEADLLELFRTFGPVTRAFVARDKWTRSSRGFGFVNFIHREDGEKAISKLNGYGYDNLILRVEWSEKPN >Dexi4B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:4B:6401630:6401896:1 gene:Dexi4B01G0008950 transcript:Dexi4B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAEAMRRRPFQASGSDGEVAGRPAPAFLATERDRPVDPEIWSDEKRMKLELVAWAKAVASMAAAKQSTSSSASMPSSSWPAVRHR >Dexi2A01G0033820.1:cds pep primary_assembly:Fonio_CM05836:2A:44014809:44015892:-1 gene:Dexi2A01G0033820 transcript:Dexi2A01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSGLLGGGGGHGHDKMKRRKQLQTVELKVRMDCEGCELKVRSALSSMKGVESVEINRKQQKVTVVGYVEASKVLKKAQSTGKKAEIWPYVPYSLVRQPYVAGTYDKRAPPGYVRSAEPGYVAAAGQPQQQHVGRPHDHLTDMFNDENPNSCSVM >Dexi4A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:4A:19381678:19382038:1 gene:Dexi4A01G0015980 transcript:Dexi4A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTSATRPPAGTMVAATHRAARRLPRPSPRTATATCGPPPADEAGEDEPSIPAAAGSTRSITTAAAVEQMAGSTRLPLFRRRERRGSNGRAGSFRSTGQSAGV >Dexi5B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:5B:13444623:13452042:-1 gene:Dexi5B01G0015950 transcript:Dexi5B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDDEEEISSSAEEEEDQSDAAASGSGDEGDEEEEDAAAPPAGEDEDEEAEGEGQQEEEVDEEEIEAVTTGAGADEEEDAGTTAPAEGEEESQSTEDDEAVAGDDGEEVSGRLGFGFGVLESGFRGSDLCSTGVFLLQTEAVVGKREKARLKELQKKKRQKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGSESKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPVLRAVKFLGNPEERNHIRDNLLQPGKFDVCVTSFEMAIKEKTTLRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDNAELYDFDDDKDENKVDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKEKRLAKNMTPTKRGALRNSEGETTPSNSFKRRRQSLMDDYVGSGRRKRG >Dexi3B01G0035780.1:cds pep primary_assembly:Fonio_CM05836:3B:38761078:38761812:1 gene:Dexi3B01G0035780 transcript:Dexi3B01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLATFAHQEIKSYYLPLGTMLRVLCILQLMFYLACGCVVEERIVLMSIRSLLLEVNSTVPASWGQSDDCCSWERVTCYNSSRVSDLNLDSLYAPKDIFEPQASDCWNLSLTIFSSFHELQLLDLENNGACLQNFYGLQGLSKLRYLNLSGNHLIGNHIFESLSKLTSLEAIHIEGSTMSGTTLQNTEL >Dexi3B01G0038370.1:cds pep primary_assembly:Fonio_CM05836:3B:41164877:41168272:-1 gene:Dexi3B01G0038370 transcript:Dexi3B01G0038370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGGGGCSVRLPDDSLFVGLDCSTQSLKATVLDAGLAIVATDSVHFDSDLPHYGTHGGVLRDPTERGRIVSPPLMWAEALDLLLSKLRSRADLRRVAAVSGSAQQHGSVYWAKGAVTAALAALDPTRSLAPQLAGAFAAPESPVWMDSSTAAQCREVEAAMGGPLRLAALTGCRAHERCTGPQIRKMWQTRPQVYDATERVSLVSSFMASLLVGGYACIDQTDGAGMNIMDINKRQLREDALQATAPKLEGRIGKLAPAHAVAGKIAPYFVQRLRFVFLFSGRFQFASSCLVIQWSGDNPNSLAGLTLSNPGDLAISLGTSDTVFGITDSPEPTLEGNILPNPVDPKTYMVLLCYKNGSLTREDLRDRYAERSWDTFNRLLEETAPLNGGKLGFYYKEHEILPPLPVGFHRYVVKNMTNISLDELVEEEVDKFEPPSEVRAVIEGQFLSMRGHAEKCGLPVPPKRIIATGGASSNPIILKIMASIFGSPVYTSQRSDSASLGAALRAAHGWLCNQEDEFVPFSYVYSGRLDTSALSMQLAVPFGNCNEDTELLNKYTLLVKKRLEIEQKLIERFSR >DexiUA01G0009170.1:cds pep primary_assembly:Fonio_CM05836:UA:17716389:17718940:1 gene:DexiUA01G0009170 transcript:DexiUA01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMAYDYYASAAEDEWTLQENREAFSRILFRPRILIDVSRIDISTTVLGFKISMPIMVAPTAMQKMAHPEGEYATARAASAAGTIMVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLSLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGIFVGRPVVFALAAAGEAGVSNVLKMLRDEFELTMALSGCTRLADITRNHVVTESDRLRVMPSRL >Dexi3B01G0033490.1:cds pep primary_assembly:Fonio_CM05836:3B:36221919:36225655:1 gene:Dexi3B01G0033490 transcript:Dexi3B01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGQKLDYAAAGGNGAGGVGIGVLSLDLLGQVLDRLREPRDRKACRLVSRAFERAEATHRRALRVLRREPLPRLLRAFPSLERLDLSACASLDDASLSAAIAAAGAGGLAGLRRVCLARASGVGWRGLEALVAACPRLEAVDLSHCVGAGDREVAALAAAAGLRELRLDKCLAVTDMGLAKVVVGCPRLEKLSVKWCREISDIGIDLLAKKCPELRSLNISYLKVGNGSLRSISTLEKLEELAMVGCSCIDDEGLELLSKGTDSLQSVDVSRCDHVTYQGLASLIDGRKFLQKLHAADCLHEIGQRFVSKLATLKETLTALKLDGLEVSDSLLQAIGEGCNKLVEIGLSKCSGVTDEGISSLVARCSDLRTIDLTCCNLITNNALDSIADNCKMLECLRLESCSLINEKGLERIANCCPNLKEIDLTDCGVNDAALQHLAKCSELRTLKLGLCSSISDKGIAFISSNCGNLVELDLYRCNSITDDGLAALVNGCKKIKLLNLCYCNKITDSGLGHLGSLEELTNLELRCLVRITGIGISSIATGCKSLIELDLKRCYSVDDAGLGALARNAFNLRQLTISYCQVTGLGLCHLLSSLRCLQDIKMVHLSWVSIEGFEIALRAACGRLKKLKMLNGLKTVLSPELLQMLHACGCRIRWVDKPLVYKDC >Dexi9B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:9B:6407514:6408846:-1 gene:Dexi9B01G0010300 transcript:Dexi9B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGEVADNLPPPSSTARLLQSTTISKVRLYGTDPAVISAFAGTGISLLLGATNGDIANLASSPAAASAWVAAHVPASSPAVSTVSVGNEVLFADASIASQLVPAMQNLHDALPPNSSIKVSTVHAMDVLASSDPPSSGAFKPELSPTLDPVLAFLNKTGSPFLINPYPYFAYLSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAMVDAVRAALDAKGYKDVEIVVAETGWPHKGDTDEAGATVENAGAFVSGLVSHLRSMTGTPRVPGKSVETYIFAVYDEDLKPGKASERYFGVFQTSLTETYPTGLLRNGTTGLGPAMPPAVAPTSVQPAPPTPGQQTQVTPAQPGSAAVAGPSGLCPTGTTTAKGAAVACSRHNAAESSRTFSVLTIIIGFWVTALQMLI >Dexi4A01G0023560.1:cds pep primary_assembly:Fonio_CM05836:4A:26603259:26605441:-1 gene:Dexi4A01G0023560 transcript:Dexi4A01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHGASSSPSSNSPNNPWPAPSAPPLYPTLSMADLAPVEIGAPASSPTAGEGGPPPSEDVLLRISGARLHLIDRSRSHPLAAGDLSILRIRSGDTSLAAIALLDPIQWPLARDVAAVKLDPCHYSFSLTVPPSADDPTPGPLHYGLTLAHPDARLDGLLTAYTSFSVHSVVGTKELETRVRDEVEAAAYWTTVAPNVEEYGGAVARAIATGAEHLAKGILWCGVVTVDRLRWGDEVLKKRIQPGDANAEVTGYFTSSLVNSKAGKKFFNLLPGEIVLASLDGFGKICDAIEVSGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKANVAELRAKHSKK >Dexi9B01G0031020.1:cds pep primary_assembly:Fonio_CM05836:9B:33475221:33476232:1 gene:Dexi9B01G0031020 transcript:Dexi9B01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATAAEAEAALGRAMTWPESAWFRYSAAMPDYCLFYHTMAILLVIYTLAPLPLTLLELWAPVNLTSSYKLQPRVQRTATDFLRCYKDTMRILLIGVGPQLIVGIRMGLPLSSVGEIVAQLLVYFLVYDYLAYWCHRLMHTPQLYDAIHHVHHEYVAPMGFAAPYGHCADVITPLLLAFIGPAMVPCHMTTLWLWLILLEVETINVHTG >Dexi1B01G0030270.1:cds pep primary_assembly:Fonio_CM05836:1B:34295660:34300775:-1 gene:Dexi1B01G0030270 transcript:Dexi1B01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGAGLLLLLALLLPAASALHDPLDLKFPLRFLQGHCLQNLLALLRTIIHTNTGTTESQTRAFHHPQLPHLKFIGSLAGCSSYVCTEPLTATPIGSPCGCVEPINVVIDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSLSPSGGWDPSGTEDPITAAVPDQKKKHKKSDIWIIVIVSGSSLGLLLICAVILILIMKWKKLGRLHDAMSPATTPAANRRYGVDKAKGTLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGHKEGLEKLIDPSLDGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGGLVFESGSWGMGVSGCLDYRNSLPFVTMDYSSGRLEGPCDPRTALSAGSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >Dexi2B01G0022950.1:cds pep primary_assembly:Fonio_CM05836:2B:32550116:32552759:-1 gene:Dexi2B01G0022950 transcript:Dexi2B01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDPSAATASSSASLTSDATFFDALDSLPSPSPSPSPPHTPSSSTLRRRPRRSKILKQPDPVLSFSPSASAAASTVTAVEDEPLKPDSSEVTSAAPRTDPAPEEEEDEAAAHEKAIYADVEAEARAPAPTPSPAPSILEYLAVLVIKAVVFQVSALVSCLIFPVRLMQWWFLFVTDPLGLARRARAWALGVAGDAAGALTARLGVGEGVGKVAQRLVWGSLWAAYVCVVLCALLVMAFLGGGLLVGRIVEKPVQVTETLNFDYTKPSPVAIVAVPRLVPPNQRMQLEISLTLPESDYNRRLGVFQVKAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRSITQGLEPTTGVRIILGQRAEFGPGAGIPEIYAASLKVEAELPLFKRLLWNWRWTLFVWSSMGLFVFELLFTLVCCRPCIFTRSGHNVAPP >Dexi9A01G0042310.1:cds pep primary_assembly:Fonio_CM05836:9A:45933146:45934576:-1 gene:Dexi9A01G0042310 transcript:Dexi9A01G0042310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLPGLLSALLLLLPASLRDQLLASHRQPADGRPGVGLHPIVLIPGISCPNLEGRLTEAYQPSTPGCGLLKGREEWFGLWTNTTQAFDTDQAACFVEQMRLVYDPDLKDFRNMPGVVTRVPGFGSSRSFSSKNPDHPEYCLGALRSELEKLGYREGETLFGAPYDMRYAPPMPRQTSQAYSLYFRRMTRLIEDASKKNQGKPAIVFGHSLGGAVAFEFVRNTPLPWRNRFIEHLFTVAPTLSDGHVLTLASFISGPVSLFYVPSATRESLRSMWWTLEIAVANLPSPEVFGRRPLVITNQRNYSAYDIADLLATTGSGNGVIAFRDRERAKMDYFEAPMVPMTYMNGVGVPTPEQLIYSEDDFDRDPQVVYGDGDDTINLISILAFEEKVGMQPGQRERFKSVKVDKVSHSALVTDEQALKIIVGRIIEINR >DexiUA01G0003500.1:cds pep primary_assembly:Fonio_CM05836:UA:7165516:7166622:-1 gene:DexiUA01G0003500 transcript:DexiUA01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDQQQHAPLLSPGGGAAAPSSGEGGGVELERILTDESESAARRLARAVRAELRMLVALAAPAVAVYMINYAMSLSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMEGGLAHVGEQHGGDEAQGEAQLDGPHAEVAEVGEERLRAGEAEEDAAEGDPPVPAVARQVVHHVLGVEGAEHADVVAEEVVHAHAADEEQPQEDHRREQR >Dexi8A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:8A:6504485:6511385:-1 gene:Dexi8A01G0006380 transcript:Dexi8A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAVQWWGQWQLRILVLGSLFLQYFLFAIAPLRKRRIPPWLSSFIWLAYVGSDAVAIYALATLFNHQKKREWVPTAKNNAALEALWAPILLLHLGGQDGIAAFSIEDNELWKRHVLTAASQCLEKPWALNKASIYSMANNTGAPEVKKASPKVKKASPEVNTTGPVKSFTKANMESISINDFVKETMQYFQDGHTDADYIPYVIESPYRLFVDFSYSYGVRLNNLKYMAQRRGKLKEELDSRLFKTFDHFYTKRYVYGDTFRGRVVRTVAVFLTFAAIGLFHTSHREAYSDADVNITYILLCCTASLEFTSAWLKPCNPTACFLWMTRLPFPDQVAQYNLIWYLSSRNRKQWRLLRWLAGLVGCKDRLDRLCCMESCKDNGIIELVHDHIIRGWKTTITNAESYRKFNDNRGQLTLKSCSSKRLERSLRRPFDESVLLWHLATEFCFYMEGPSPSTEDATPCRCMSNYLAYLLFVHPEMLIPGARYGLFKAAYHELRDEIMSNEELQQGEEELGKIIVRKMEAVATCRKDGSFVHEAWALAQELMSIRKNKGATAMWSLIQGVWVEMLCFSAGRSRGYLHAKSLGRGGEYLSCVWLLLWYMGMETFAVRLQRTGLPEEGDMSAAVLAENPDTASTKTTPEEQTSMVAKISTKTMALIARAPNTGNDAV >Dexi3B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:3B:4477309:4477637:-1 gene:Dexi3B01G0006450 transcript:Dexi3B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPRRLRSPAQETYHKRANSFGSVVPAKQKDDELPLFSDMQKVERENFLLEASEDFDDSIATSQK >Dexi7B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:7B:20339563:20346796:-1 gene:Dexi7B01G0013930 transcript:Dexi7B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACNHALRLLSNPVTAPLTGSRSRGARCRNLCVYAQLQTEDDAYPTEQRLKKVQVTQSVRRSRRRGTGGARQSLVSVGTARGGGDQWSDDFDLTMRQLHLDDLIEDGPRDADVLVHLLVQQHTQFGLSIKGRVLTSFRKICDSCSSPYCTNIDEQFNLTVLSSSRRDQSGLPDLGVSDPSVIYVRPGEEVDLDSVIQETVRLTASAKANKSRASSSVVRSYAKATVHTTSTGNVQMNLQATVPMSQASSSVTINMTSVHEFGSNS >Dexi2B01G0025040.1:cds pep primary_assembly:Fonio_CM05836:2B:34300334:34309532:1 gene:Dexi2B01G0025040 transcript:Dexi2B01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEESAAPNRGEEAAEPAPAEEESVPSFQEVAVEEGSLASGGEAAARAHHEEKEELAREVMELGLQNEYLKSQIAGAQTAGGADEGSELVTGLKEQVERLTREAREQRLTREATEKALEHVNVAYAEADGKVQELTAKLAQAEQKMEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEVNQKAEQAASLQLAAQQELERARQQASEALRSMDSERQQLRTVNSKLRANLDEIRLALEARNNSLEKLQQSVLEKEQLLEKVQGSLQSAEDKRMTTISELTAKHQKQLESLQAQLAEVSAERMKASETIQSLQAVLTEKDSEIAEIEAASTGEAARLRATLEEVKGELAHLKDEREKERQSWEATCESFRSKLEASENARLKFEIESTKVKSQLELELLTQNQLLQTKDSYIMAAKGEISRLESEFSAYKVRAHALLQKKDAELNAAKNSDLVKEHEEAMREAEKEVAAALAERDKAIHDLQKAQSRHADEIEARDVALADAEKKLKNLMKKLDSVTSNLITEKESWEKNLASVEENWRLKCESLKVQSNGHVDDELQKNLGELTLKYEKLKEEHQSFRDIADRMIEEKEQEIAKLIKENRDLHHSLEAKPAVNSSDYRSQEPVKDTMSVELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMDRSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPDELKKCQQGVLSSVASSQAAAVSDGATTPNSFFSRFSF >Dexi7A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:7A:17428569:17429339:-1 gene:Dexi7A01G0006140 transcript:Dexi7A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHRDWILRRCCGAICACFLTLAALVGFIVLVIYLALHPSKPSFYLQDVQLRNIDLSDPAISLDVQVTVASRNPNDRVGVYYSTLDAFTTYRDEPVTVPVSLPAIYQGHKDSSVWSPVMSGDAVPVADYVAAAMKQDIAAGYVLLHVKLEGRVKWKVGSWVSGGYHLFVNCPALLATSGAAVGGAFASIAAAGVPAGVNTTVSLKFTHPTDCTVDV >Dexi3A01G0023960.1:cds pep primary_assembly:Fonio_CM05836:3A:19586409:19593592:1 gene:Dexi3A01G0023960 transcript:Dexi3A01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARALPSPILPVYAMALAFAPAAASGLRLAPSSLPLPHPLRTSPSSGLLRAATSASPRSRRCGCGAVVRCAKRTGKRRYPSEKKRLDRRHKDLLRQAAPEEGSAERESGYWRLFKLAVPARDDPGKDFTGISLPLLQSIAKAIKFPVASMLPDEAFTVVRKSFDARKILKEPQFTYTVDVDVKKLLDMEPRAWDFIARLEPKLGVVEYMPKKKLASDLISMLNVSNKGYNNEQGIKDTHNGSIYPQDKKPRVAVIGSGPSGLFASLVLGELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNADGVQAVLKTFVHFGAPWNILVDGKPHLGTDKLVPLLRNIRNHLRESGVTIRFNARVDDLIVEDGQVKGIVVSDSELQSGSGSQKLTFDAVVLAVGHSARDTYNMLQQHDVNMSPKSFAVGLRIEHPQELINSIQYSELAAEVQKGRGRIPVADYKIVKSVGERDSEDELEIAEQSRSCYSFCMCPGGQVIHLPLLVIPPSREFERRAAIMGGGNFVVPAQRVTDFIRNRLSG >Dexi3B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:3B:15515661:15518908:1 gene:Dexi3B01G0020530 transcript:Dexi3B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKRAVLVGINYPGTEGELKGCLNDVARMRRCLVERFGFDEAGIRVLADADPSTPPPTGANIRMELERLVGDARPGDTLFFHYSGHGLQLPAETGEDDDTGYDECIVPCDLNLIKGALLLLGTGDRCTTAIRLDVFEPGASASVATCGAARCGSGARRAPRRDASAGGAAAHLNHHDRYRNPPSKARRGASRQRSTEPRQAGARLSDSPAAVEQASGQLRVDAVAVVSEMPASTRAAAAGTWRAAAVGEREGGCTGSGKRARGAPATRADVRPPVVPFL >Dexi6A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:6A:10660715:10662348:1 gene:Dexi6A01G0009290 transcript:Dexi6A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADAAHATPAAAAPPPRAAISHVIFDMDGLLLDTEGFYTEVQEKILARYGKTFDWSIKAKMMGKTTAESTRILFDECGLTGLLTPEQFLEERETMLKELLPSCLAMPGVLRLIHHLHSNGIPLAVATGTHKHHFALKTQKHQEIFSTMHHIVTGDDQEVKAGKPSPDIFLAAMRRFECNVKPSNCLVFEDAPLGVTAGKTAGMHVVMVPDPRLDVSYHKEADQVLNSLLDFKPYEWGLPPFED >Dexi2A01G0024980.1:cds pep primary_assembly:Fonio_CM05836:2A:36790559:36792924:1 gene:Dexi2A01G0024980 transcript:Dexi2A01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGARSSLPLLLCLLLAAALRCPAPAAARFACNATAPRASTCQALISYAPPNGTATHTLASVRALFQLRSHRGLLAANGLPLSTPPTAPAPSPLRVRLPCLCSGGAGATFQRPTYKVRAGDTLDAIARGVFAGLVTFQDIAAANNVSDPNKVAVGQQLWIPVPCSCDPVGGEPVVHFTYVVPAGSSVASIAQEFGSTEENILAVNKMTDAKGLVAGQVLDVPLRACGSTISNTAIDRNLLVPNGSYILTANNCVNCACSSSTWMLDCQPTQGLSSSFCPTAKCGDMFLGNTSSTSSCETRTCSYAGYTNSTSFAILANITTSNVCTAGLSPMAQPAHSSAFRLELVWLRWTGLVVSLHVVLLCLGYLRQD >Dexi5B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:5B:1599559:1603425:1 gene:Dexi5B01G0002480 transcript:Dexi5B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQGHRCSSGLLLLFFFLILLQAQAGRSASSINGEGLALLELKARVEADPHGAVQDWDPMDSSPCRWSGVQCLDGKVEILNLTGQELAGTLAPEIGSLQRLRSLLLPKNNFHGRIPREFVGLSALEVLDLSSNNLDVTIPEELRAMPLLKQLSLHDNQFQEGVSSVFIQEIVDDQAGCFSRKLGCWSDFKDWISFSVLREKYYTNVPSFRKAHIMQNLQSFASAMRRRLLSEADNLPALLGNDAKSSALGNSKEIPRSADVLSLGSGSFPAFPNSYGQALTPLVPEAIDAAALQQLSTEVAQSTNVEMSGTKYSKWAYLITIPAAVLLIFLIVVILLVWRKRGGAQIAPWKTGLSGPLQKALVTGASKHVCFCNSGVSKLNRVEVQAACEDFSNITNTYPSCTVFKGILSSGTEIGVVSSVISSSKDWSRSAETCFKKKIDVLSRVNHKNFINLLGYCHENEPFVRMMVFEFAPHGSLSQHLHLQEFEDLDWAARMRVIMGVAYCLQYMHHELNPPVAIHNVRSDTTFISDDYAAKIADVGVWDELAAKAKSGKEDGSSRSEAPPDLPSNVYCFGALMIEIISGRVPEPDDHEPICTWATEYLRDKNYSKLVDASLKEHKGNELEAVCEVIQECIDPDPTRRPTMRDVVGKLRTPLGISPESAAPRLSPLWWAELELLSVKST >DexiUA01G0018250.1:cds pep primary_assembly:Fonio_CM05836:UA:38872665:38872984:1 gene:DexiUA01G0018250 transcript:DexiUA01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKKGTGGALLFIAFVVAMAMVISSCHAADYCHAIFPCSDETCTNYCQKNNYKNFQTYCTSGQYYPNCCCRVPDA >Dexi3B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:3B:5955096:5955323:1 gene:Dexi3B01G0008500 transcript:Dexi3B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASSSALLSSAASLDSDFPSASSSRSTASASFSGVLSKALAARRQASEGPMADELRVVAAAAAWRVDGEAAG >Dexi6B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:6B:2504268:2504834:-1 gene:Dexi6B01G0002970 transcript:Dexi6B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARKTAPVTGVKKPRRYRPGTVALREIRKYQKGAELLIRKMPFLRLVREIAQLHKNDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERQ >Dexi7B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:7B:14101355:14101675:-1 gene:Dexi7B01G0006370 transcript:Dexi7B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTTAQPLLDVPGVRPPGCRRCRRLSSRPSSWLRGRSSALRGPDGASRSKHTVPRALRLVGTWLSGGPYACRAAPHGACRCGQVEPDPDGYARAVVNRRWSRAVH >Dexi1A01G0028880.1:cds pep primary_assembly:Fonio_CM05836:1A:34445289:34447473:-1 gene:Dexi1A01G0028880 transcript:Dexi1A01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNQDVVVSEMGIGAGSALPGPSPALLACRGAAAGAMSLRYLDLAAAAARSASCSWVDAMRASSPTRSRAAAAADVDEVTAWMRKHPSALGKFEQIASASKGKKIVMFLDYDGTLSPIVSDPDAAYMSDAMRAAVRDVAKNFPTAIVSGRCRDKVRNFVGLPELYYAGSHGMDIEGPSSNNVRSDSNLQQPESVLCQPAREFLPVIDEVYKLLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPKLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFASCSDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETNASYSLQDPGEVMDFLLRLVEWNRRSSSPAMIRPRV >Dexi3B01G0032470.1:cds pep primary_assembly:Fonio_CM05836:3B:34849894:34855603:-1 gene:Dexi3B01G0032470 transcript:Dexi3B01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFDDVIDSDAHENEATAGVLHLRRRERFQKAFAVDERRCCNWSMLEWRSGFRPSPLYTRVHQSPTHPRPRAAPPSPSHPAYTFVLASCKRVNPKVLWLGTKAYKLAPPADPYAVCPTRPTRAICPHTDLSKCPGTADPYAMLCVLTGTHAPCALWSALIPFVTPRLPRPHFPPPSVGRARRLIAAAKFQPLFQSRYLIAVAVSGRYRYCGRYSGRYERYLLSAPHAWYGFVNVRARMQVSARTHANEDATGQTRSLPFHPDDFHAYIVGSRFLGWLPLSNPTAATVAGQDDVPRAEGDKFLLAEGIRAGFFNLSLNLLFFFNAAPSFFFLTLLPRHRPATPAAAREGLAGGPRRRGPPARPSRARGSFHDPYPAMVAGLAGGPRRRGPPARPSRARGSFHDPYPAMVAVAPATTWPNRPPPPPGPAAPPPPASPPPRPPPPPGRPAAPKLPSRSGNGGSRRSPNPQHEPPNPKPPPDLTGSRSSKTEEEEER >Dexi9B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:9B:5148351:5151183:-1 gene:Dexi9B01G0008410 transcript:Dexi9B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHKITLVLMFCLLALGRAEYLKYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERVNATADALAKYFIGSVLSGGGSVPGDQASAQEWASMVTEMQKGALSTRLGIPMIYGIDAVHGHNNAYKATIFPHNVGLGATRDPDLVKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGDVPANDVGRPYVAGSKNVAACAKHYVGDGGTFMGINENNTIINTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLITDFLKNKLKFRGFVISDWQGIDRITSPPHANYSYSIEAGIGAGIDMIMVPYGYIEFIDDLTTQVQNNVIPMSRIDDAVYRILRVKFTMGLFENPYPDSSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKTGKILVAGSHADNLGNQCGGWTITWQGESGNNLTAGTTILEGIKATIDPSTQVVYSESPDSTVLGDKYDYAVVVVGEPPYAETQGDNLNLTIPAPGPSVIQSVCKVTKCVVVLISGRPLVVEPYLSDMDAFVAAWLPGTEGQGVADVLFGDYGFTGKLGRTWFKSVDQLPMNVGDAHYDPLFPFGFGLTTKGTK >Dexi9A01G0026000.1:cds pep primary_assembly:Fonio_CM05836:9A:27548891:27557835:-1 gene:Dexi9A01G0026000 transcript:Dexi9A01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGADRSPPPRRLVFAFYLTGHGFGHATRAIEVSPALPLASRIPATLRTPTGVLSGSQPRPAFPWLRGSQVVRHLIAAGHEVHVATAVPEFVFTAEVRSPRLRIRRVILDCGAVQADPLTVDPLATLEKYRDAAVVPRESILRAESEWLNSINADLVVSDVVPVVCRVAADMGIRSVCIGNFSWDYIYSEYIMDAGYHHRSIVWQIAEDYAHCDILLRLPGYGPTFRNVIDAPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQVVAQILQDTAIGKECISNKFSGARRLQDAIVSGYQLQRKPGRDVNIPDWYSLSEAETSAGLTSKNIATKETAATCFEDFEILHGDLQGLTDTMEFLKNLSELDGNNLESPEKQQQETTAASVLFDWEKEIYIARAPGRLDVMGGIADYSGSLVLQVSFGSELSNRAPTFNMDLSDFMDGEKPTSYEKAREFFCQNSSQKWAAYVAGTILVLMTELGVQFADSMSILVSSTVPEGKGVSSSASVEVATMSAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVGIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDIVSESLTSEAPVHSDCYKENGTGLLKSEAALEYLCNLPPHRFEAADAKDIPEVISGDAFLEKYGDHSDTTFKTLLAAGNTDEQLSALGELMYQSACGLGSDGTDQLVDLVQEMQHRTTSEGGSPSLFGAKITGGGSGGTVCVIGKNCARSSEEIVEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRCRRS >DexiUA01G0008900.1:cds pep primary_assembly:Fonio_CM05836:UA:17013624:17017399:1 gene:DexiUA01G0008900 transcript:DexiUA01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATMASPASTAARPKPHLLFGTRLRTRPSLSSSAKKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVRAPVGVILNRPSLMSIKEAAGSIFADDADIAGAFSGRPLFFGGPLEECFFILGPRRTAATGGDVVARTGLFEEVMPGVHYGTRETVGCAAELAKRGVVGARDLRFFDGFCGWEREQLRDESSEF >Dexi3B01G0032500.1:cds pep primary_assembly:Fonio_CM05836:3B:34869532:34871351:-1 gene:Dexi3B01G0032500 transcript:Dexi3B01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTDAAEMPLLTKPAAAAPPPPPVRRNKYPFFCAVLASMTSILTGYNVAVMSGAQIFMAEDLGISDAQIEVLSGVVNIYSLAGALLAGWTSDRLGRRLTIVLANVFFLLGPLCMTLAGGYNALMVGRFIAGIAVGYDFVIAPIYAAEISPASSRGLLTSVPEIFNNTGVLLSYVSNLAFSGLPAHLSWRVMFAAGVVPPVFLALGTLTMPESPRWLVMKGRIAEAKDVLHRTSDTAPEAERRLLEIEDVVFVSGDGGGSSSSAWKEAAAKPGVRRVLAMVLTLQLFQQASGIDSVVLYGPRILAMAGVKSNTLLLSLNVVFGVAKAGSILIAMALVDRAGRRPLLLVSTGGMAASLVVVGSLFAAFAGGDGAAAATTTVATAATTMAAVVAYVVFFSMGLGPMAWVYSSEILPLRLRGQGAGLGTAMNRVACGVVIMTFISLYKAITMAGAFYLYAAIAAAAFVFVYTCLPETRGRSLEDMEELFHTK >Dexi6A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:6A:19097611:19100436:1 gene:Dexi6A01G0012520 transcript:Dexi6A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIKLALMANSIMPVKVWVLMALMCAGTGLDAVDDAAGSREKISLSSLNYHGALAKSILFFEGQRSGRLPANQRAKWRGDSALNDGQDQNVNLTGGYYDAGDNVKFVFPMAFSITLLSWSAMEYRDEVAAAGQLRHLRSAIEWGADFLLRAHTAPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITENSPGSEAAGEAAAALAAAYLVFRDDRDKTFATQLLSASRSLFDFANIYRESFQSSCPFYCSYSGFQDELQWASAWLYRATRDRKYLDFLQNNQGGSSNMFSWDNKYPGAQMLATQEYLAGRTELEGYKRGLDSFVCAVMPNSGNTQIRTTPGGLLFTSDSVNMQYTTTATLLLFIYSKTLSSSNSGGLQCSAATFSPDDISSFAASQVDYILGDNPMGMSYMAGFSSKFPRRIHHRGSSIPSIKALPRKVTCNEGFSSWFPTSNPNPNTHVGAIVGGPDGNDQFSDNRGDSTHSEPTTYINAAFVGACAAVLGQNQVQGPVGDIAPVISSN >Dexi9A01G0024830.1:cds pep primary_assembly:Fonio_CM05836:9A:21537823:21538995:-1 gene:Dexi9A01G0024830 transcript:Dexi9A01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDAVVYPQPPGHFGYGLPWSDDAAGFGQWDPFLLASIVKNAEQWGEEEEGSKGSPETDTAVEPPSPVTTTKRKRRRAKVVKNEEEIKSQRMTHIAVERNRRRQMNEYLPVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQSLEVQRSVKEHTGNSSNAFAGFFSFPQYSACSASYGVAGNHMIKEETSSRSPQSPSVAADIEATMVEGHASVKVQAPRRPRQLLVLAAGLQQLGLTTLHLNVSTAGTMVMYSFSLKVEDECNLSSVEETAAAVHEILGRVQEETGFS >Dexi4B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:4B:1622043:1622425:1 gene:Dexi4B01G0002540 transcript:Dexi4B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATTLIAIALLLFLIKNPCKDGEVGAGSYKEDSRRRKKKEEEEHTGMLILELGIWVLPFTLLLAPARRMVSLVGELQRIFLAVACRRAPPPGLGEVWSRLDRLDSATVMP >Dexi6A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:6A:11703850:11704440:-1 gene:Dexi6A01G0009830 transcript:Dexi6A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDPEPAPADDKCPAPAAAVEVEEARPPPPAGPAEEKRPAQEAVAAEVEMRPLLEASGTPSAKDPEKEAKVGKGVEKAIGDETKEKREEDKGKGVKQEQENVEDANEKAEAKVHAVVKVEGTDKEVKATRRPAGTSAETPILAVPVVAVPCFIAPPGFASVT >DexiUA01G0001550.1:cds pep primary_assembly:Fonio_CM05836:UA:4162696:4163273:1 gene:DexiUA01G0001550 transcript:DexiUA01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQVVVMSANMGCSHCRQRVANVVSKMNGLLDYMVDFGKKEVTVRGKVVHAKKKRWKPFAAAGWETRSPSVSPGHARTLSWFLGCYGS >Dexi5B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:5B:4272419:4273423:1 gene:Dexi5B01G0006290 transcript:Dexi5B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETPESSSTREEAEKRKSSEGEMIATGTGSTIAAASDRAPPPPSPPRTASPRVAASEAPESSRSRTSEEAAEREVAEVTLQHRRSLVALFRHPCHVRAEAIAHGTDDLALELQNPPRASYLALPERLLPDARLCGETGSLPYIIAAVPGVLLVRTTDLARGRGAINPIDFLCDVRSGTATRLPAVPAELSTRRLPPRRSLGLVADPRSPGHYVVVQLHRADGKVMGSHGALVCYSTATGQWTVKTLASAPDHKRWGKHGVFAHGGLLCWVDIAYGMVICDPFEEHPNLRLVPRPPGSEKGDGPRSTTLMNQRRCIRRSQGVHGD >Dexi7B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:7B:18830254:18831231:-1 gene:Dexi7B01G0011870 transcript:Dexi7B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAATLTVLALGLALLCAAGPAAAQNCGCQPGYCCSQYGYCGTTAAYCGIGCRGGPCTSGGGSSGGGSSSGGSGVDVASIVTDSFFNSITSQAGSGCEGSNFYTRAAFLNAVGSYSGFAQGSSSDDGIREIAAFFANAAHETGHFCYISEIDKSDIYCASSTQWPCVAGQAYYGRGPLQITWNYNYGPAGQANGFDGLGNPGAVAQDPVIAFKTALWFWMNNVHQVMPQGFGATIRAINGALECNGNNPAEMNDRVALYQQYCQQLGVSPGSNLTC >Dexi7B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:7B:23275841:23276952:1 gene:Dexi7B01G0017270 transcript:Dexi7B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKRSTAAAAPSLPDDALVEILSRLPAKSLCRFKCVSVAWRDLIVDRLRCNRLPQTLEGFFYVFDGDDEAQGGSSDAGAVSPDHAVHGRFINTLGKPSPLASFSFLAKQPGIEKFGLLHSCNSLLLFGHRRAGDSYDSLGYIVCNPATERWVAVPSSGWRPDDDDESEDSDSETSCTFTYLVFDPAVSSHFQLVQFWVGKTKWVHGVHTYSSETGMWCRRLSTWGDDFVAFFAGSAFVGGMPHFNDTSYCGWEPELEIIVAVDGEGANCRLIIGPEKLCDVAFVGQSQGRLHYVNEHGDSTDEMTGLSIWVLQDYDKEEWVLKHTLGSETDII >Dexi4A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:4A:1447576:1447851:1 gene:Dexi4A01G0002150 transcript:Dexi4A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPADISATAFSTHLSWRASAGSSSHSQKQWQQQEEKKAPIRQQEEKKGAGQQARKPETTRARFAPEFDGINCFESIVSF >Dexi3B01G0023780.1:cds pep primary_assembly:Fonio_CM05836:3B:18530754:18532164:1 gene:Dexi3B01G0023780 transcript:Dexi3B01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKYLNARLRRRASGGGLLSLGAREHSELPISHPDGARARGCRSGAPVVAPSRLVPAAGPRLLLSPCRAERATTHRTRVLLPAQETGQYSRSQPRRGRPLCSQAEVASSTVYDDDACELVSGSDLVIGDGDDSFSAYLFNAVKNNNGTGILLLSDIFGFQDSATRDFAYRVACSGYNVLVPDLFRGNPWKPIQPFDDESFARWLSGHAPARVSGDIDACTRWLVDEFKAAGVSKTKLGVIGFCYGGGRLVEALARDGEADAESRCFSAGVCFYGSRMDASLGDRVAAPVLFVCGDGDPLCDVETVRELERRARGAKAAVYAGRGHGFAHRPQSEEEDADAEDAFNAMRRWLHDHLLA >Dexi3B01G0024220.1:cds pep primary_assembly:Fonio_CM05836:3B:18901369:18901685:-1 gene:Dexi3B01G0024220 transcript:Dexi3B01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLSAEIDRVKKENDNMQIELRPVHLKGEDLNSLQPRELIAIEEALQNGQTNLREKQANISFIR >Dexi7B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:7B:18868181:18870798:1 gene:Dexi7B01G0011960 transcript:Dexi7B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILIYTYARAHKASARGDGTLLHTRASENASRGNRVTGGWCRRLALARLTCQAKLSPPAGSPGALASLLSLLPLGRACHPIPSLPRTNLVWAPAESRKGTAPRRSQGSRALLAAAPAARRRLTTPMAACSGASSSARLLLLSLLGFCVALSHQQSSSSSDSCSKDKLAVSTLVPFDSTGFRCTTAWKQEDFILRYKNTGPSEWSFVLSAPDKGTYVAVGFSGKGAMVGSSAVVGWASNGKGTVKQYYLGGKNPDDCTPNKGLLKLIKNKSVVVSHSGRLYVAFQLSTDYPQPYLIYAVGPDGNLPPSNTLQLPMHQNMASRAFNYTSGMSSNAGSGDEAFPTERKHGLLGMMGWGVLMPIGMLTARYFRQLDPCWFYTHMAIQVAGFAVGIAAIVLGFRLNGDGIKNVDVHKALGIAILAMASLQVLAILARPDKTSKVRRFWNWYHHNIGRAAILLAIGNVFLGLSIAQEISAYIVSYGVFVAVWVVAVAAFEMKRCYADDD >Dexi3A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:3A:756412:756873:-1 gene:Dexi3A01G0001030 transcript:Dexi3A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLEVRVRKPPLLTNQLPHRSSKQTACVTSHSAIPAAAREFPPGLAAAAVPAARGPPPTLTPPTRPHPAAVIRSRLLRSDAARTMHGRESYETGRRPRLRGLRTPAAVAAAAHPNRRIFSVEKGENGRKGSGMGTKRAGPGVRGAVGVDAIV >Dexi9B01G0048760.1:cds pep primary_assembly:Fonio_CM05836:9B:47618370:47624688:-1 gene:Dexi9B01G0048760 transcript:Dexi9B01G0048760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEARCPGDDPMDFSWTTGGETPAVDCPRPSPDGGGEEEAAPAPPPALSPHEIAESMILVSGPRVVMSGLRLADCRSGPLGNPAYSLFVACHKADDSVLFINAGGGAIEGCDSNVQLRTSLLNPQILSELDVYAVVGGNKPLQVRDIRVTVDMNGVIMVNFKGVRGSPMVCGICIRKAPLLTANSVTDGNALCKRCSADIDFSSTQNRTSKLISKYEKQIEELTTQCTMKSNECYMAWSSVDSTNLELGRLKIELHQKGAEMESLEQALGRESGQLRNVSQKYENDKKLWTAAISNLERKIKAMKQEQALLSLEAHDCANAIPDLSKMIGAVHGLVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEASSGQKCVVDFDGANDGDITITNAGTTKKTFKFDRVFTPKDDQDAVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKESVAYDLSVSVLEVYNEQIRDLLATSQSKKLDIKQNIEGYHHVPGLVEAKVENIKEVWKVLQAGSNARAVSSNNVNEHSSRSHCMLCILVRAKNLLNGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERAKQEVRLKDDSLRKLEENLQNLETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQITSEKQQRQLTGKLKEKEEMCAALQQKIVELECRLRQMHQSDSEVAALKQTIKELELKLKEQEHERSAVELKVKELELRLKEQEHQRSVAELKIKELDLKLKEQEHQRSVAELKAREIGHELLETQRTEALLEIKLRDLESKTKVQETNITMDSIIATPGPVEAKLLPFSRDEVMGEEENHILRISNSMNKQLPLGEKSCVPEVPETAVSEKKRKGAARNASSIGGEQENNGLAGGQNVGRKRSLPAEREPRLKRKSTEPQVKNLGRSTAASRAAAAATAHKVTATGSRVPKQQPGGNKTRGWVR >Dexi7B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:7B:15076295:15078998:1 gene:Dexi7B01G0007130 transcript:Dexi7B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRHPSAPIARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKSHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDIQLARRIRGERA >Dexi2A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:2A:4167787:4171561:1 gene:Dexi2A01G0004570 transcript:Dexi2A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSPVFSFINNLSPIEPLKSAYNANSLQGYQSINITSISSIFTSPHDNVHKEPRLPKSSLGEISESEVCAEGSNTNKPAKSSNAVSPDHNTTPCHGVRSDLKQDKCRKLDVVQTVKNTVEKRKCLFSTEVQLMDGGQPVNDTEEVLGCEWSDLISTTSAELLAFDSTMDDHQRGMHLAAKNAESCGYLLSKLTGDGEISDRAHPSASGQLYYQELMMGEDQTENAQVFQDGQQMISTEEIQDNIYEANGCVPLEYKVESQQQRGIRRRCLVFEAAGFSNSVVQKATVEDLSVSKCKGKGHVQIQPRGLRGIGLHLNALALTPKGKIACQDPMSSALLPSLASGQDAHGKLLSAGENFTHSGGELLEFPMDDCSAGGFPANDHVSSQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKLLPPYFVVLKFYNECRKILTIPQHQLVTREDAIARSQAVSRNTVNAIREVSDAPATADARVAKTLLAEEMKMYSPIKSFWTYYDTNKIYVPEENKTETEVTEEIKQEGEQTENCGTEKENDQQKTNVQSEDHPLLEVVPITPPFDLSSCLLKLPNFSSAKPPRPSKARSGNSRSSASKANTTAQSCKPSKVAGDSNSGSAMDSTDNTFSATPLALGPS >Dexi4A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:4A:3288259:3292797:-1 gene:Dexi4A01G0004670 transcript:Dexi4A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAGGGSSLKLKPAAGRCGGMMAVHSSLHGAAGQAHPSAPPNAIKGNFRPVNEIGEAALLDNLDGEVPEDFPEGVYIRNGPNPLHPTQTIADSIFGSTSYMYYEGHGMLHAVYFNKSSTGEWKITYRNKYVDSDTFQLEREKNEVAFVPSADGEPYGTLVAFLINIKIHGSGDLVIMGTNTEKPHFVLGVISSDGERLLHKVDLKFEEGKLIHDVGVTAKYNIIMDYPLRFGISRVFLQKPFIENDMNGKSRIGVMPRFGDAESIRWFDVENHCSYHLFNCFEDGDEVIVRGCRLLGSIIPSGRHRVDKSKWLNLESGETNEGYITSEEVAMDFPVINEKFIGIRHKYGYAQVADSLATSKTGLFKFNMIAKLNFDMPDKVSNYNESGVDEDDGWVVTYVHDERTNISQVYIIDAKRFSEEPVATITLPQRVPYGFHGNFFYK >Dexi3A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:3A:14255636:14262460:1 gene:Dexi3A01G0018730 transcript:Dexi3A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYYQGQGGGGGGGASMEVVSTPNQELALTNCAYVSSGDLRRFPNALALVADAWVFTLRYPLLDDIVRRQAKVSAGDSVPVSSFAPPDDFKLALLTLELEYAKARANRNDEASYNSGCCRACPTTPEEISGSGMAQFVTMISFSDFIGLTLMRMLLPFVCYWIVDGYSFITVYLCAQTLIRWGNLQVMTSGQRVPFEFYGTNYVFTVNQALLEGQENSTPFDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLGAEFTDIFRRAFASRVFPPHVVSKLGIRHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKENSFLSPDINLHELGLSFFLITMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGPAGSGKSAMAASVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFDEGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGSAEAIYAGEEKIDINHFFSILSDIIRY >Dexi3A01G0033130.1:cds pep primary_assembly:Fonio_CM05836:3A:37930379:37930996:-1 gene:Dexi3A01G0033130 transcript:Dexi3A01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVSKSSPEVIRPPEPLKTTTTVTMRALLVFEHLGSEAIESIKRALSQALVLYYPLAGRIISSGANGDEFSIHCTGEGVEFITASVDYGLQEAKIFGESTGAKALLDELAIFYPAAGSYGSSDDEPLLLLQVTKFSCGGLVLGVTWNHAVADGAGITQFLTAIGELACGSPSPSNQVGRGSLQLF >Dexi5A01G0023330.1:cds pep primary_assembly:Fonio_CM05836:5A:27361080:27362691:1 gene:Dexi5A01G0023330 transcript:Dexi5A01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGTPPSQPPAPHPEMAPLAFLLGKWRGEGEGSFPTISSFRYGEELLFSHHPSKPVISYTQKTWKAASGEPMHSESGYWRPRPDGSVEVVIAQSTGLAEVQVGSLLTILQIRINQTKGSYDAEKKTVTLQSELVGNASKVKQITRAFQVADRELSYIVQVATITTSLQPHLKALLKKI >Dexi5B01G0035260.1:cds pep primary_assembly:Fonio_CM05836:5B:35306327:35309124:1 gene:Dexi5B01G0035260 transcript:Dexi5B01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCRGGGRLLVLSSLLLLASAEIFFEERFEDGWESRWVESDWKRSEGKAGRFKHTAGRYSGDPDDKGIQTTIDARHFAISAKIPQFSNQNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHMYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDIHAKRPKDWDDREYIEDPDEVKPEGYDSIPKQIPDPKDKKPDTWDDDDDGIWKPRMISNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPVKYVGIEVWQVKAGSVFDNILICDDPEYARKVVEETVVANREAEKEAFEEAEKERKAREDSVSMKRWN >Dexi2A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:2A:30825387:30825734:-1 gene:Dexi2A01G0018640 transcript:Dexi2A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPWPRMKAAAEETTDRRSRRRRTELPAGVSHRDRRRQLGSPGGGRPSSRGGGREGGRSLAWPRVAASRRCCCRPRSPVATPVDPRLDQPMTAPVGSRLDPPHDVLAAKNDME >Dexi9A01G0023620.1:cds pep primary_assembly:Fonio_CM05836:9A:19022000:19028899:-1 gene:Dexi9A01G0023620 transcript:Dexi9A01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASGTLRRRPCFFFASPSASPFVLLPSRGTMAFHRHLFLAAAVALLLGDGLVCATASSRGAGAFDPSRVVQLSWRPRAFLHKGFLTDAECDHLIALAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVTRIEERIAAWTFLPPENGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKMLQPKDDTWSECARNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDLPVKQTGSSDGCDDDNVLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAE >Dexi1B01G0028440.1:cds pep primary_assembly:Fonio_CM05836:1B:32985451:32987928:1 gene:Dexi1B01G0028440 transcript:Dexi1B01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIDENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARAAANHDPYLAAAGGGGGANNMCTTSGAAVAHNAGGGATPQALSASALERIQLHMRLQGLYGAFGDSSAAAAAPQWPKLEALLQTNKLLPAGSSLQTDAMATTTVGVQQQHMVDHQSLAGAGEAAVEAEQQLGSGNYMAAAGSFERPSSKLGFYSPSGEVAEAASAEMSPCPMATGVYGGGGGFGPHHDELYDFLYSKYASLGGGVVAHGGGHIPPLPELQHCPDGGAAASAVGGDEKFSTWTATASCEYDGGHHHQLQGTNSVGGSLQDYVLGGYGQ >Dexi3B01G0021460.1:cds pep primary_assembly:Fonio_CM05836:3B:16281247:16285058:1 gene:Dexi3B01G0021460 transcript:Dexi3B01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLSSKKTRSQPPPRSSERRDAAIQELRRGAELADLLKKQVELIPEPNRRDAAVTNVGEISMAMESSLCILQSEMEHPSSPEVGVAAGCYSSDGGTGERNGAVARARRVRHRRGRHGADFPIKEILTDAPENDRFHWSKYGEKNILNAEYPRLYYKCGYSDDHKCPAKKYVQQQSHNGTPLFLVTLIDDHTCETLFRDEPSSSSSSAPQVLDFTKPSLSPPFMAAPHGLKKEEEDSMSVSMHSYSYDEYLSSSLPAISPDGDQVKFSPGPGW >Dexi3B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:3B:7426831:7427836:1 gene:Dexi3B01G0010620 transcript:Dexi3B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPSPSAPGGCGGDRCASGRDAWPLHHFLHEGVFCRLCSSCILLYRPAAFCSACLHLLSTSGAAASAPPGDPAVAPPGPTAPCSACGLSVAHLSCVQGDPASFVCPPCAAAAENMPFSFTPPPPPGGGGRRTLDERDARVLLVAARLAHDAVSRAAAAARAEAERRVAEAAVARKRSREMLDTAFRALEAEAREAKMRPAPPPPPPPQHPKKKTPKSTEVNRDKDRLLKLNAMQQPALAFAAAAAAAAAETSKPLPITPPSMPLPTPPPPPSREVKQEEQGSAPPVPREVRHPLFGTLHS >Dexi6A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:6A:7001911:7004421:-1 gene:Dexi6A01G0007110 transcript:Dexi6A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRAAVVAAASSAVPAPDAMDPRAAAAAEASVKMEESLRNMVELKEKMISRGLIPSRRPPPKRWWFQRLAGWFPRLAQLWRLSKVAIARRRGEIALDRQLVKECLDYYNRQHPGNEYEPLLGNVIQNPHLHNGICWVHGTFVARKKGSGFFSFLIAPRSVFFFELAYMDGFEGVVTCTTVEF >Dexi8A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:8A:25130796:25134467:-1 gene:Dexi8A01G0014680 transcript:Dexi8A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVAMDLVVGASNNAVKSLVDKLGSLLAQEYSLIGGVRDDIQYINDELASMQAFLNRLKRAQGSHDEQRLDWMRQVREVSYDIEDCVDGADHRLSGEPRGTSMVTFVKKKWYLLTTLYARHCIATEIGNLKTRAQHISERRMRYGVKELQNDVGPRVNAPPDRPVPPPQLIGNKEPVGTKEVMAKLQQWHQRKEQGTHDLPRFFAIAGPGGLGKTTLAMEFYRKFGFEFDCRACVLASQKFDILTVLRDLVKQFHEQQSGASQEPLGCIEDWNLKQLNGKLVDQIKGKRYIILVDDIWSVSAWESLRDCLPKGGSIVVTTRFNSVAEACRRREGEFHKLEPLSDKSSCSLFYEIISSTAVDGSHGLTDDEKYVMLKCTGRLPLAIVLVAGLVSTKVKSEPKSKIHHHLVKVEKALSAVLGEGVNLTSDGVTQILVHCYNDLSADMKTCLLYLSMFPKGCQISRKRLIRRWIAEGFITANHGKTVEEVADDCFNELIGRNIIQAINNSSNGKVKNFYIHDMVLEYIVFKSNDENFITIVGGHWQTPFPTYKVRRLSIHKSDGKEKETVEKMKLSHVRSLTALGSFEALHPTLHKFQILQVLDLEGCKDLSSKKLKKICKMHQLKYLSLRRTEVKYIPSKIGRLESLEVLDIRETGIRELPESANKLQKMEHLLAGNKNKRQDALKLTEGITKMTALQTLSGVEICTGSGKELLRALQNLTNLKKFTIYKVGHFTKGNDELLLSAIEHLSSCSLKYLAIDDDFTGFLDSSLNVSQAPPEHLHTLGLSGKLSKVPEWIGSLHNLEKLTLSITSLSTESLLELGKLTELFSLIFSLDSAKKNDKVKILHDNALKTHGMIFMEAGGFRKLKLLCFAAPALPPLSFLEGAMPGLQRIELRFRMVEGVYGLENLESLQQVVLTISSQAPKDARMKASQIKELATMVGHKLSAPSVVLKEYNESLLPGQGNAHTRE >Dexi9A01G0040680.1:cds pep primary_assembly:Fonio_CM05836:9A:44409370:44411682:-1 gene:Dexi9A01G0040680 transcript:Dexi9A01G0040680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGDHHHHQEIAVLDDDDEEELEHHQATACGGATSGVVEQRAGDGGGGQESAAGMVFEASSSVGSVSATMAPSQILCWPPQQHPPQHHLHHHHHNVGGGGHQQAPFFPLLPPLPPQPPPPPPFFADFYARRALQFAYGGDHHHHTGGGASTSSDPLGFGGLYMGHHHGGSAGMMMMPPPFASSSLPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTEADELTVDASSDEDGRLLVRASLCCDDRPDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVITGDDGAATGTEDEDQQEEEAPMSPQHTVASIQEALRSVMERTASSAAAAEAEPGAAPSSGGAAGLKRQRTTSLSAILENRSI >Dexi1A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:1A:24685689:24687667:-1 gene:Dexi1A01G0017340 transcript:Dexi1A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVHGIVIVGGGICGLATALALHRKGIPSLVLEKSENLRAAGGSIGVHVNGWRALDQLGIAGELRETADNVTEFHDVWQNENNKSVVVPVRGELRWVKRKDLIETMAKNIPSGAIRFGCHIADIKPANPGSHGAILTTLDGSIIRAKALIGCDGGNSVVAKYLGLSPPKSFPRLIVRGFTRYPHGHPFGPHFLRLRCNGLFVGRSPMTENLVNFFVGVWHPGGSVTKDPIAVRGLVLEKVKEQCSDEIVEMVRDVDTESLIVLTKIWYRPPWQVMFSSFRRGTATVAGDSMHVMGSYIGQGGSAAMEDAIVLARSLSRAAGSGGGGGELCEKKIGAAMAEYVRERRLRVVRLSLESFAMGMIWATKSMLAKLACQAIVAVLGTHSLGHTNYDCGRL >Dexi7B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:7B:13689504:13692183:1 gene:Dexi7B01G0006060 transcript:Dexi7B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRVFFLLPSSPAPLAKPLPLLLLLLRPPRTLHSSARPLASMAAAPAGGTAAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCSVKANVLASWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGNCYRADHLLKDFCKDKLEKDHTLSPEQAEEYNKILAILDDLSAEQLGAKIREFGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEA >Dexi7A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:7A:28595311:28598972:1 gene:Dexi7A01G0019370 transcript:Dexi7A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGFDSDYDDPAPPAGSGPREQPGGYAAPDAPSFSLGFDFDADTDEEPRAPAGGRRDEQARVSAAPDPPSFSLEFDDDTDEEPRAPAEGRREERARVSAAPDPPSFSLEFDDDDGGVLAGWQRHEQAQPQVAPGAPPSASAEDEEDDFVLAGGGEPPPQETHRFKRLRKGPAPPNPPQARRCEAPDAPSFSLDISDDDDEFLAGDQHQPRPPPGPRTPSALSFEDGALGAIGTGSLEDEIEDWTTDEDRPVRDVPASVGSCSTSRNPKFSLLNRGVLTTQSTTKTKAPRFSETSNFSASKSLEESCTKKLLPKITISPMRKIHLLDSDSDADDDQNKNKAKKPVSPIKRRQESMHKYMQEMPTLQQNSKPQGSTTVQSEAMMNDNWATPALDEFCNEYYKSTKDAGSSQQKESNSFSCSKGFQSKYPIGDMEGHFQQQNTSSEAVLDNDLDDCPPAMHYFFHHDPRVHDLVRDRLQYFFPVGVGGTRANEQNRGESFSYRREFTSSAAANNDWVTPNSRISVSTDVGKRRIRASGSQSGPGHWFTSDSGKKVYVSKNGQELTGRDAYRQYQKESGRGYGRYKKKGSSGTKRGAAKVKVEKVAKQGRSRAKRKR >Dexi2B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:2B:8348366:8348684:1 gene:Dexi2B01G0008250 transcript:Dexi2B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTGVSGDEKVTVRTSGHGHGYSSSHSGGHTSGGTAEQGGAGVVDPRNLNARSHPRSAAIRRAAVGGYSFVVVCGLLGAILAVLP >Dexi7B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:7B:10776840:10777513:1 gene:Dexi7B01G0004450 transcript:Dexi7B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAALLWQLIILITSAATAASSVHPPQQSHGGCLAVERAALLSFRQGITADPLNLLGSWQGLDCCQWNGVRCSNRTGHVVKLDLRNTFFWEDQLNLYMDNPHGMQGQGTQVI >Dexi2B01G0022630.1:cds pep primary_assembly:Fonio_CM05836:2B:32235310:32237449:-1 gene:Dexi2B01G0022630 transcript:Dexi2B01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRKRSRFLRPFRLAGFLAVCAAACFVTCLLHWCFPGGPAWGRWRWTRRGCDSSPVPAGPRGLPVIGSMWLMTGLAHRKLAAAAGRLPPRARRLMAFSVGETRMVVAAHPDVAREILNSAAFADRPIKESAYGLLFHRAIGFAPHGTYWRLLRRVASTHLFSPWQVAASAAQRAVIARQMAAAVRDGMSSGGGDVEVRRVLRRGSLHNVMWSVFGRRYNLELDPAKESPETRELRTLVDEGYDLLGQLNWSDHLPWLACFDLQRTRARCDRLVPRVNRFVGAIIDEHRAARRNGDLSSAAPPAVGDFTDVLLSLEGDDRLADSDMIAILWEMVFRGTDTVAVVIEWVLARLVLHPDVQARVHEELDRVVGAGRAVTESASASLVYLHAVIKEVVRLHPPGPLLSWARLATSDVHVDGHLIPAGTTAMVNMWAITHDPDVWADPAEFRPERFVAIGSAAEFPIMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHEFELLPSPDPARAVDLSEVLKLSCEMATPLAVAARPRQQEV >Dexi3B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:3B:12809352:12811636:-1 gene:Dexi3B01G0017430 transcript:Dexi3B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKVLIDRVQRNILADKPRVTKFPINFHPEPSTSTGQQQQEPQTASPENHTGNGDGSKPEADQ >Dexi1A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:1A:25166803:25171531:1 gene:Dexi1A01G0017850 transcript:Dexi1A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAAPTDAPAASAAAAPHHHPHAHAAAAAAAAPPHPHAHAAHPHHHHMPQPRWVVIPYPPPHHPMVAAPPPPPPQFVKHSAPPASVTPPPPPSAGSGGNGGEDNRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFYSHASAEKALQNFSGDSGSSPPRQSDTDSTNRTVLDYVNVVVLNCRADAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >Dexi7A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:7A:20401181:20401578:-1 gene:Dexi7A01G0009370 transcript:Dexi7A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATEKTLSKAMALVAKADGVSSMGVTGDGKDQLEVVGDGIDSVCLVQCLRKKIGHADIVKVEEVKPEKKPEEKKPEPLPYLWYHNYYHYHPAPPCW >Dexi2B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:2B:315837:316247:1 gene:Dexi2B01G0000720 transcript:Dexi2B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSSAAAHHHLYGSSSNGSDHHMSPLHLPTAAAAAAAALQNSNNDLLAACRPTPSMSSLLPPLLHQELMAAATCYDNPTLSSSATTKVVASTHSPSPVIMSSSLASAAPVAAAAVDKGLLDDMVPMAMRHRSIA >Dexi2A01G0035430.1:cds pep primary_assembly:Fonio_CM05836:2A:45073724:45078537:1 gene:Dexi2A01G0035430 transcript:Dexi2A01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKLTVQVAVLAAAAHILLLLTLPMAQCYWLNPEIYDSGGLSRRAFPEGFVFGTAASAYQVEGMANKGGRGPSIWDAFIQEPGKSQNGTGTVNQEGVDYYNRLIDYMIQQGITPYANLYHYDLPLALHKQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVSALGYDNGIHAPGRCSGCPAGGNSTTEPYLVTHHLLLSHAAAVKRYRDKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHIGWFLDPIINGRYPYSMQEIVKDRLPLFSDEESRMVKGSIDYVGINHYTSYYMKDPGTWNLLPVSYQDDWHVDFVYERNGVPIGTHANSYWLYIVPWGINKAVNYVKETYGNPIMILAENGMDQPGDISITKGVHDTIRIRYYRDYITELKKAIDDGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRS >Dexi5B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:5B:4832396:4832674:-1 gene:Dexi5B01G0007230 transcript:Dexi5B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGNPRLVHGSGLVLVVALATVSVAINLVVVCEPPPGLDKNAYYLLALSVIFFAGVAHVFAAVSASDDPHGRGRHAAPSELKYAAISVVNN >Dexi4A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:4A:11883855:11884340:-1 gene:Dexi4A01G0012990 transcript:Dexi4A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGRPPARALLRLRAGGGGSQGCPCGVGAGERRSGRPRVFGGRRRRGVVAGWRLGLGGREKEQWPPLSEPAAGLG >Dexi2A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:2A:1701891:1702830:1 gene:Dexi2A01G0002210 transcript:Dexi2A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRCSSALVCKPWLRTFCDPVFLLLPLPRRRRSPPTRTGAARSRPLYCRHGRALLHVADGGGCWHLVVWDAVTGYQQRLPEPGIPYWLEYSAVVFCAVACCDHLDCHGGPFPVAFIANNNATADPSEWSVTRSRHSG >Dexi7A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:7A:23353425:23356436:1 gene:Dexi7A01G0013190 transcript:Dexi7A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTGLTGVRIIDQENTNPTCRSLRFEKGNPPTGTTTGGTVVGAAEANARWAERAGWLAAATARPLGKATASGTTRSGGRGGGRAGGALEHLTPEEQGRQAADGGEPEASRTQFAPMLGGYGASFLDARGKLVARSYDILGLYSTFVFQKPDPDAMPPIVTPDLHDTDNAHEEEWLRQQQVSADDGRHSHMDVLPLDHEKGILGPGPADMRL >Dexi4A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:4A:23300749:23302164:-1 gene:Dexi4A01G0019510 transcript:Dexi4A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKYWGVGGRRCGGCEAAPAAVHCRSCPGGGGAFLCTACDARQPGHARLGHERVWMCEVCELAPAAVTCKADAAVLCAACDSDIHDANPLARRHARVPVAPIGSEAAAAAVEAMLFGTTASEADEHHHHAAGHQHQQEHNHHDHHDHQQQQHALNLNVEAKDMKLDYLFSELDPYLSVEIPRFQHADSVVPTGVGAGAGGAVELDFTCGIGVKHSSYSSYTATSLAHSGSSSEVGVVPEAFGGGGGGSFELDFTRPKPQAYMPYTATPQSHSVSSVDVEAVPEMAAAVARPVPLVGESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTDHDADADDVDAEAEAAVPSSAAAYVLDFGYGVVPSF >DexiUA01G0000670.1:cds pep primary_assembly:Fonio_CM05836:UA:2420507:2423210:1 gene:DexiUA01G0000670 transcript:DexiUA01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSLATSRNREGVNPSLPLLRWAAELGVSDSPLPSSPSAAAAPSSSSPSSCIGRSLVVADFPDAGGSGLTARGLAAARDLRRGELVLRVPRAALFTSDRVMTDDLRIAACIGPFATTSPRRSFRSMTLERGLSIVRDDRAMSVTIELSSSFRHDSRPHDRTLEAPTFRHARGSAAKLEESPSSDVPARSRLRSQARALTLERRSVTLEAPQPSSSTYPRATFRHPRGFAAKLEGGTHPRVAFPTIRGSASSSLRSSSKRRSAWSKCLVQARKLCPTPQTPTFNFTRFEVQFEFLEKIRIWKSELHGHATVPLARAALAGARPPRGAELAKPRRTLALVA >Dexi2B01G0036510.1:cds pep primary_assembly:Fonio_CM05836:2B:43322802:43323274:-1 gene:Dexi2B01G0036510 transcript:Dexi2B01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVDIVPNIRMDALNMICGDFGPFFPQIPTKVPLWLAVALKKRGKCTIRTPDWMTLGQCFLPAPAQASAPCSLCQIIPSYIVCC >Dexi7B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:7B:15745293:15745496:1 gene:Dexi7B01G0007940 transcript:Dexi7B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEASKQQQPLLLHEEVSKRQKLDGEAKLEEEVSKQQNLEEEVRDEVGSSNHPSFSLVTSGQTQIL >Dexi4A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:4A:5518160:5520579:1 gene:Dexi4A01G0007420 transcript:Dexi4A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSPRCPRCLSLLNPTAGEGDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFVQKHVKGPKWLQLMVGVPPLLLFSGASAVFGAYALPRFAQLTVTSYYAASSGSHYAVSQITRQIERAHFPESDEKSR >Dexi1B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:1B:2860611:2863280:1 gene:Dexi1B01G0003590 transcript:Dexi1B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKASSGGEEGVVEGSGTGTLVRIFVGGLAESVGAADLEALFASVGRAAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKIRLEKAKEHYLTRLKREWEQDAAAAEAAAQEAVVKDNAKQDKPKLDKAALEGMKINIYFPKLRKVKALPLKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANSEYAKVLNAAAYEKERNFMNSVMNKLFEKENEDFDSSEMEKCDVHNDTIEPSSTVNNMRIEETRDAPEEDLQMEESEESSDDDLDDDLVMNIAPRKPNKSAGQANMEKHEVNKDSQLRKRSSIEEASLPNKRQRTEYSSEPRKGKQEPFSVISDTRTRGKTLPAKSEDRKRQLKSPALTGKQTYEFPSTLPRDKSSANPQGVEAQTSSTKTRSAQNIVANEPKKGSMWTQKSAWRDLVGGMGSTPFSISQVLPSTYTAPSELPNATETVRSSEVLEATMQLPSEQKLSSSAGMPSTGTTDESTGGECKDSNKPQKVRVLPKITIGEVCPFMRNAESQKQWSKAKKAIAGFSKKSNERSGSKSNAGKGNPFKKR >Dexi7A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:7A:18094698:18097592:-1 gene:Dexi7A01G0006770 transcript:Dexi7A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNTCYVMKSRRFVGIADSSFEDAADGIGEFGERMSASHNHKQTTRVGSVLGTASIAGFGKAVEILDTLGSLVTTFSPDGGFISRSKTKGCKISILAFEVANTILKGACIMQSLSEDAVTYFKQVVLPSEGVQSLVSSDMSELMRIAANDKREELKIFSQEVVRFGNRCKDPQWHNLDRYFFKLESESAPQKQLKETAIAEMQKLMALVQRTTELYHELHALDRFEQDYNSKLKGKDTERFEKGDNIQIVRLELKTQRNYVKSLKKRSLWSKTLEEVVEKLVEVVHYIHIEINSTFGSSDGVALSTESTVSCQRLGPAGLALHYANIIIQIYSIVSFFSLFLLTNTREALYQGLPPRVRSALPNRLKTSSVPQEMTIDDIRARMEKSLKWLVPMAVNTTCARGFLRFSEWAKSGTDRVGRRPGQADPIETLYHADKARTEDHILELVVWLHHLVNQSSRPAMQKTTDQSLHLTNSTK >Dexi6A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:6A:19938568:19940957:-1 gene:Dexi6A01G0013030 transcript:Dexi6A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGTAITPAAAAPVAPRGNHRLPRHRVAASRSRAAPARRLALHASASPTATADAPDEAVAEPSVEPPETKLSKLACPICYYPLVGASDQSGTLLYLQFETAKTYLKPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYLRQESISDEYGSDH >Dexi9B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:9B:4173883:4183596:-1 gene:Dexi9B01G0006930 transcript:Dexi9B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHGATRGDCQIRSSPGKIDAFVWTPRFEPGDEQDGDISEDGVRLCGRVRPINCTAAARSTGGASKALWHAREISRGSKSGTGAAARGDPRPRTARARLEIMSPTHGPDRHRRPARSPVVVVKRGVWPQPQGPAVQVYVSQRLAQLHAICFWLEPDVDAVNMSVPSFVGQRQALDWVFSLGKSSAKRNMAELKAVTASLDAKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQTKTKGGASPPASKASSIQRAPTISSERRTHSTPTRSKTNSEEQRCLPGENATANPPKPVNERPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSSIEVTDCEDVEIYVETVGLMYCSDVKHRLIKQTVPRVLRILKVAELLGFRACVISCLDFLEAVPWVGEEEETVVSSVRNLQIENYGVGPVLKRVGSDLTTPPSDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSATCTSGSSDLCAETLYRSCQNCLESLLTLFQQATDNDFAEQSLNIKEPVFRKIALEADNLLWLTEILADRNAADEFAVMWASQLDLAGLHSKLPVRSRHLVSCVTARLFVAIGKGEMLPSKDTRRLLLDIWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFIRPYAEQQGNRSQSGRS >DexiUA01G0009380.1:cds pep primary_assembly:Fonio_CM05836:UA:18330947:18332949:1 gene:DexiUA01G0009380 transcript:DexiUA01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLNPYFGEFGGMYVPQILMPALRQLEEAFVSAQKDPAFQAEFTDLLKNYAGRPTALTKCRNLTEGTKTTLYLKREDLLHGGAHKTNQVLGQALLAKRMGKTEIIAETGAGQHGVASALASALLGLKCRIYMGAKDVERQSPNVFRMRLMGAEVIPVHSGSATLKDACNEALRDWSGSYETAHYMLGTAAGPHPFPTIVREFQRMIGEETKAQILEKEGRLPDAVIACVGGGSNAIGMFADFIDETSVGLIGVEPAGHGIETGEHGAPLKHGRVGIYFGMKAPMMQTDDGQIEESYSISAGLDFPSVGPQHAFLNSTGRADYVSITDDEALEAFKTLCRHEGIIPALESSHALAHALKMMKENPEKEQLLVVNLSGRALKSRQEGAFVPFVTLGDPGPEQSLKIIDTLIEAGADALELGIPFSDPLADGPTIQNATLRAFAAGVTPGQCFEMLAAIRQKHPTIPIGLLMYANLVFSRGIDTFYAECARVGVDSVLVADVPVEESAPFRQAAMRHNVAPIFICPPNADDELLRQIASYGRGYTYLLSRAGVTGAENKAALPLHHLVEKLAEYHAAPPLQGFGISSPDQVTAAIDANAAGAISGSAIVKIIEKNVDKPEQMLAELKTFVSAMKAATRKA >Dexi1B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:1B:3507983:3509884:-1 gene:Dexi1B01G0004350 transcript:Dexi1B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSAILVAEGAIKSVKLSLSTEEEIDIPALTLKETKTTDGAFRLELRAPSRKHMTERSWNFLDRYGFHYGGSSHNRLLLPEEVIFS >Dexi7A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:7A:18909852:18910501:-1 gene:Dexi7A01G0007580 transcript:Dexi7A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSDKFGNSPLLLALKSGHDRITSLLAKHGAALNLDDAGGYLSRVVTDGRIDLLKRLLSFGVDPNCKNYDQRTPLHIAAAEGLHLVARVLIDFGADVQAKDRWGNTPLDEGRRCCSKPLVRILEQARAVAVAQ >Dexi9A01G0034980.1:cds pep primary_assembly:Fonio_CM05836:9A:39652995:39655598:-1 gene:Dexi9A01G0034980 transcript:Dexi9A01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTNGRESNGDRSHKGGGGDVPPPNIQIDMSMVRRRGGGGGSPSFFEPWTPTPGSGSVVVRGGGSSGSSGDPTPHHPSGGGGREAPEKLLTLFALRLAVLEKAASGLGTLNFVWATVVLLGGFVSNLTLTDFWCITVILVGEGARVFGRSHELEWQHHATETSSTAAAAGVLRSSSRFFHRVLHFHASVSDDGGAAAGEARANTKSSQLQRQLAAVAKQQRGWHAPDVSLLPYTSWVSAATNIGWLLHWLQVISAVSCVALSLMRLWKHDFFAGGSKNMRPALLLFYTLALLEALLFLLEKAYWAWQFGHCKLLHQVTDDCHLGACGPMAIRRFFYDAYSKCIDGSIFDGIKMDLVTFAEDLILSDFLDEQFIGVRILQQFATNAAASTGDTLRKIGTSPQSVERLVEMLSWKRRYEEDLRRSAAEVVCKLAGKRQNALRLSGIPGAIESVASLLYTGRGVAVSGMQPQPNAAAGGEDGDYLAFHLLGLRILKKLARDQDNCGKIENARGLLSKIIDFTKTLPNLLQNRHASDSQVRAVKHALQVVKRLVSTTGNTGEALRRGVAANVFAVCNLRGILRYGQLHTELQKLAIDVLAALAMDDTGKEAIMATGGLVKLLLSTFAGVEEGELACNAGKALEMLALESEKGCAAILKQRADVVDLLVSALQDDGSDNRRRLNAARVLRSLCAYSSQKHRERLRVATKALPLVLRITMKENKELDNKVLEVCVGLTTQICRFIDGERFADELRAAGVEERAYVQRLARILRDYKYPEIRVPRMRRFVVQQVIWLMTCSRGHVYVELLREVGMEGLLDSVADTTSELECYHAFSGSVGIGKHRESFSGVVDIALELITGGRGGAPAQGVKG >Dexi1A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:1A:2270894:2273729:1 gene:Dexi1A01G0003120 transcript:Dexi1A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASARVLVAVGHRRAVLLRVPAAASLSFPRRHPAPFRGRAAGISCSAASASLSLPSSAGSGPVPFNLLPPDSEPFIEWDPPPADPAASPLGGAGGAGEGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVRELIGLDLGRHVERRVADLSAEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRADITERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSAESLDGPIVNGSLNKVSSNVTRPSWGECFLLSTLQKFFEVVLYVPDINKRLCKVLSVLSDKQPPCPQFYLYSSADRVIPGECVESFMDLQRSLGRSVFAHNFVSSPHVDHYRSFPHIYSAKIDEFLKICSTVKVS >Dexi5B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:5B:4589972:4592324:-1 gene:Dexi5B01G0006850 transcript:Dexi5B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNSGHPTSPSAQQGNAAAPAKHRRRPAERSTDRGVVVSLRQNGTVANMASLPLATAEACDANAGLILNGDLRALQPIFRIYGRRQIFAGPVVTLKIFEDNVLLREFLEGKGHGRVLVVDAGGSMRCAVLGGNLAQLAQNNGWAGIVVNGCIRDVDEINECDVGVRALNSHPIKSNKKGVGEKHVPVTFAGTRICDGEWLYADSDGILLSKSELTV >Dexi4B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:4B:22710154:22711239:1 gene:Dexi4B01G0020580 transcript:Dexi4B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSALVRATTSTAVLVVGITLLAIAPAASAMDFTEHDLASEDSMWTLYERWCTHYEVVRDPGEKARRFIVFKENARLIHEFNYGDASYNKSLNMFGDMTDDETQRAYNCSFVDPPPHRDLTARHSRTSPRVTSRRRWIGRAYGGGLAGYVTEAKSQGVGCGSCWAFAVTAVVESINAIRTKVLTSLSEQQLIDCDMDNGGCTGGYVSKAFDYIVKSGSLALEDTYPYKGKRQGFCAMPQPVAASIDGYQRVPPYDMAALMAAVAAQPVVVVVQADGVPFKQYDSGGPCGTKPGHSMTLVGYGATDSSENYWIVKNSWGARWGENGFIRMQRDVAAREGLCGILMYPSYPVKNKHA >Dexi9B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:9B:4748958:4750514:-1 gene:Dexi9B01G0007790 transcript:Dexi9B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHVLMVPFAAQGHVTPLMELSHRLVEHGFEVTFVNTETHHARVLSALPAGGGAAVLGGIHLASIPDGLASDDERHDLSKLVDSCYRHMPEHLERLVAEMEAAGRPKVKWLIGDLNLGVCFEVAKKLGFRVASFWPSSAACLAILLSAPKLVGEGLINDQGWPEREEALQLDPGMPPLQTSMLPWMDMTGTPIGHPALFEAMTRYNEFKNLGEVVICNSFQDIETGALKLFSNILPIGPLFADWELQKPVGHFLPEDERCVRWLDAQPDRSVVYVAFGSMVVLDPCQFVELAEGLELTGRPFLWVVRSDFTACLSTAWLDEFRKRVAGTGLIVSWSSQQQVLAHRAVACFVSHCGWNSTMEGLRNGVPFLCWPHLFDQFIDGSYITNVWRTGVAVSPNADGIVAKEELRSKVDQVVGDAEVKERARLLSEGGSSYMNFQKLVNLLGE >Dexi8B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:8B:21394897:21396109:-1 gene:Dexi8B01G0012140 transcript:Dexi8B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDSISGLPDELLHAILFRVRSAGDAVRTSVLSRRWRHILLRPLPEPVFEEVYFVPVPEPAPPAPPRLESFLDNVDAALAACDAPVIKGLRIVWRWYAPWSTAAASRFPTGRVEPWLRFASERVVDDLHLRMCPLTVDVEEPVVELPLCEWVKRIHLGFGEEWRIRLPSAGLFRALTDLTIRFARMDGSELTALVCTLCPCLRDLYLLLSLVDAFNISIRSDSLQSLHFLVKKTQRLEIVAPRLEKLFISHGIDEVRITAPKLEELHWPEYDPSCHQFDNVGRRLRMLQPNQNGSLMQQFDEVDELRMVISIPRELAGYESFLNETNWLTKCKLLSIFSWWVPHALIPAVMHLLRSCNSTRKICLAVACFSEM >Dexi1B01G0022220.1:cds pep primary_assembly:Fonio_CM05836:1B:27975591:27978877:1 gene:Dexi1B01G0022220 transcript:Dexi1B01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPGSGGGPSSTTGKVCNFWRAGRCNRFPCPYLHSELPEAAAPPKRPSGPGGNVWRNPSTGGRGGGGHNRWGRGPGGGSGVANHKPPERPCKYFLAGTDCSYGERCRYPHSYCISDSITMLTPLKGHEKGVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVITMGREVGCMISEGPWLFIGIPDAVKVWNMQTAAEMNLTGPTGQVYALAVASELLFAATQDGRILAWRFSATTNCFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRVCQTWSRPLMFIFKIDKFGYEYMSHLSFEVMFVSDYCLVWAATESGNLEVTYTHREEQVQYLRYYTLHCTLFVLCYYRQHCYRLSHENSGGRCS >Dexi8A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:8A:9456638:9458131:-1 gene:Dexi8A01G0008120 transcript:Dexi8A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRRRRTEKTARRTTRACLTALSLSLTKHLAPANGGDVVTAAAACGDANLAFSPAAIYAAMAFLAAGAHGDTLQEILDALGGESRDDLAAFASAVAERALADTSRSGGPAVAFACGAWHDAAWTLCPEFRDAATAAYKADARAIDFSHEPERAVNEINGCVESATNKRIDSILDPSSVNTSTNLVVTSAIYFKGKWDAPFRRSHTMVDKFYRLDGSTTDVPFMRSSRSQLIGVRKGYKVLKLPYRSPAPPPLLLPPPPPRRRKGGSSQQGEAGDEISNASDDDELPKYSMCIFLPDERDGLAAVVEQMASGPGFWHYRLPTMRVPVGDFRLPKFKLSISSSMKHVLRDDMGINSVFSDVDADLSDMAKRNDGGDEPGTPLHVGDVRHKAVLEVNEEGTMAMAATGSYLLCGANVVMDQPETVDFVADHPFLFFVIEEMSRAIVFVGRVLDPLISGL >Dexi2A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:2A:1050301:1052599:1 gene:Dexi2A01G0001500 transcript:Dexi2A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSGGGGARYTRVSEDPVVVAAAAEEEEEPCPPTPARKKEGQWGPRALLVAGLVALPLLAFFILGRESASMVWEMATAKLTAINTGASTWLSNASSHGHGHDVGELLGGLLAPGMDRDTCQSRYESWRYFKHFPYAPSQHLIAKLRAYEARHRRCAPGTPLYAKAIDHLKSGGRSAAADEAAMEEECRYVVWLPFDGLGNRMLSMASGFLYALLTDRVFLVALPPNSADLFCEPFPNTTWLLPPVEEFPVPNLFSLGPRPSSSYTNLLAKKKIVVDVDNTSTSTAATPLPAYVYLSLGYQMTDGPFFCGEHQAVLGKVRWLLLYSDLYFVPSLYPIAEFQGELRRMFPDKESVSHLLLRYLFHPSNPVWGMVTRYYHSYLAHADNRIGVQIRMFHFATVPADDLYNQILSCSRQEHILPVTDDDAPAPVMAGDNATATSGGDNNNNGGSNTTAILIASLYADCYERLKSRYYEHAAARRGGGGAVWVGVFQPTHEEWQLTGKLAHNQKALAEIFLLGFSDELVTSGMSTFGYVGSSLAGVRPTILLPAHGHKVPVPPCRRAVSMEPCNLTPPRGVKCRSSKTVDGEDLARHLKVCEDYWKGVKLFD >Dexi2A01G0023730.1:cds pep primary_assembly:Fonio_CM05836:2A:35381210:35381902:-1 gene:Dexi2A01G0023730 transcript:Dexi2A01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMSADSSAGSPCSWASASTSPEHQTVWTSPPKKPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDAAEDAARAHDAAMLAIAGAGACLNFADSAWLLAMPASYASLAEVRHAVAEAVEVFQRREALPEEEDARSATSSTSAANDEYGSSTDSEETSPAAKDSPFELDAFGDMSSDLYYASLAQAMLMEPPSMVSSFCDEGVADVPLWCY >Dexi9A01G0047460.1:cds pep primary_assembly:Fonio_CM05836:9A:50553136:50555453:1 gene:Dexi9A01G0047460 transcript:Dexi9A01G0047460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWSWLCCGRSKAAGGAAVQLPEPFQLPAPLPAWPQGGDFAKGAIRIGELDVVNITKFRSIWSCSGASFYEPEGVSEGFHCLGHYAQQNGRTLLGFLLVSREVASHLLINSKPALEKPLDYSLVWTNANLSEDDNSECGCLWLPSPPNGYKALGYVVTKGPKKPSLEAVRCVRDDLTDTCENFRSIVSMGNACQIWETRPCHRGARGHGIPVGTFSCETDSTESKKSGIPCLKNLDSNLRAMPNLEQINALIKHYGPTVFFHPQETYLPSSVSWFFENGATLHKKDKEGGDAILPGGSNLPAGGTNDGEYWIDLPDGKRNEYVKVGNLKSAELYAHVKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRVSNFSGELSSIYFSQHSGGEWVDACNLEFISGNKAIVYSSRNGHASYPHPGCYLIGSEKLGVGVRNDVARSDLSVDSSQQYKIISAGHLGDAVVEPCWLQYMREWGPSVTYNSRSEIDTVLSFLPFFLRFTAEAIFNSLPAELYEEEGPTGPKEKNNWEGDERG >Dexi7A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:7A:27708102:27708443:-1 gene:Dexi7A01G0018280 transcript:Dexi7A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRAAGGGQEENGEAGRVGFRIRRSPKLPAHCRIPHAATRSHAANSIHQGLPSSPPPSRARGQAREAGRRSSMSARPGFLEVREGVVPVALARKALSPLDAEAASAVGEGGR >Dexi3A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:3A:500733:501815:-1 gene:Dexi3A01G0000610 transcript:Dexi3A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKPPAGGGKQELEEALLQIIQQHHQQSLRQRQQTERAKKDALRCAVRVADLLVDAVDGGVQELFVNEKRIEFEARALLSNIARYRKQTDQWLTATNEINSVLKEIGDFENWMKIMDFDCKSVNAAICNIHQS >Dexi2A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:2A:33304662:33309512:1 gene:Dexi2A01G0021130 transcript:Dexi2A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRRGVMPHGGCLPALLALAALASPHLLGAAAVEASGGDWHVVPVGSLVPKPTCTVTEAAPNLPTLSVVHRHGPCSPLRSPGDPPSHAEILGRDQERVHAIHAKIGLAAASSSKSANVTLQTHWGEPLGTSNYFITAGLGTPARSLVVEFDTGSEESWVQCEPCRGCYKQHDPLFDPDKSSTYFAVPCDAHECGEFVGLQQNCSSSDNTCRYGVAYGDQSKTVGNLAQDTLTLTPNTTVPGFLFGCGHDDAGVFGEVDGLFGFGRGKASLPSQAQASANYSHSGFSYCLPSSPSTVGYLTLGVASTAPTNAKFTAMLPGQGGSFYYLNITGINVAGRAIKVTPAAFQTASGTIIDSGTAFSRLPPRVYATLRSAFRRAMGRRYKRVAAPEPFDTCYDLSGHEAVRVPSVELVFADGATVRLDPTGVLYAWEDAAQTCLGFAPSDDGLGVLGNH >Dexi4A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:4A:23681852:23685518:1 gene:Dexi4A01G0019830 transcript:Dexi4A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPPPRRHAGPLVRWAVAVTEGDNIAAPSAAAPASSSVCPCVSAVKARRVRVSMAAWGMRAPCAAAARARPHDPGSAHPDGPPLQGRHPHGLTGAIARGWRDLWKTRWPHRASVEVPLGSPDAPRRKLDALARKPRRRLDSFSLVIKNCKLKSWELRAFTDYAAECGVDDLHVEVRRGTLVAAKLHFPTCSPLLARLSLRRVGIISSPVYYKGAKPFRALEVIRLRSVSITEVGFKNMMALLRSFCYRGDFVNSLFILPSDAALGDLYIRFAHSVAKPGNTRRLRNSLPKDLAGLNVLTICSNSLEVASCLLPNINLPNRRELQLIMLEMETANLADLFVFFKTFQCPNLERLFVQLPTSSGDKPMEGGSFHEVREEPPEDDFDNFFMVKIINFNCRRSEVQLVSFFLRKAGSLCKLLIVSPNVTPPPDLPFVEADLLLIKEALANGKIILGESDDATAQPYHSKVFIMV >Dexi5B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:5B:5264383:5266966:-1 gene:Dexi5B01G0007730 transcript:Dexi5B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCGRRVAGGDVPARRCNLERFLEATTPVVTPSSCSSSKEGMNGWDQSDADDSLPFFTLGDLWDAFRECSAYGTAVPLVLNGCSDGVVQYYVPYLSAIQLYGGFRNHIGPSRTGAEESDSDMEHETSSSANAFSTQETSESSSASEASSSDEGESGSCHEQQLLFEFLESESPYQRQPLADKACLTYTHTHHLAKRFPELMTLRSCDLSPASWISIAWYPIYRIPTGPTTRDLDACFLTYHSLSTQFVGGSSHGPKPRAATKCSTPVTAMWLPTFALASYKLKGAAWTPGWRDRQLAASLAQAADAWLRLQRADHPDHRFFAARRAPSRRW >Dexi4A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:4A:9951256:9952372:1 gene:Dexi4A01G0011730 transcript:Dexi4A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVLTPVFESEERNEIVAELHKAWSSCWSKSNGVRVPKGISKLKELQILEIVDIRRTNIKAIKELGELGQLQKLRVITYGATGKKCKTFWKVIQKLPFLRSLRVDAFLRSLFFYDMNDSGTQTRLGSVSSPPPQLRSLKLSGYIGKTPDWFRGLTQLVKISLYESELEEGKVIEILGKLPNLMLVCLGSRSYVGKEVVFHRGAFPCLRKLDLQYLDLRGMTFEEGSSPQMESIKIRSCEMKSGIIGVKHLPALKEISLGCYAKVASLGTLEEEVNAHRNHPVLRLREDRNDHDLGEVEGSYLQGTESACDHDQEDSQAIALATASEPVAPNSENA >Dexi1B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:1B:20844509:20845171:1 gene:Dexi1B01G0014500 transcript:Dexi1B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQHLAHAFHHASLSIRPAPDNATTAFISEPAGGQLPPLAAQRQQQHQVGGHTVFSDPLSELTCNNLHDSVCFEPRKRARTGGVAGGAGGMIMDGHRAPQPVPVPAPQPLQEDARSRVLCSVDASTSGRLASHGVLSHLHRHSVEIDALVRIE >Dexi6A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:6A:4601588:4609533:1 gene:Dexi6A01G0005010 transcript:Dexi6A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQNGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGSIPKSAGFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSTPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQLFRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAVWEVGSRERIAHKTFKVWDISSCTMPLQAALMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYAFVHNGDLRQQAEIDAHIGGVNDIAFSHPNKSLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFESSRGPPPQINTKPPIVALGPVSNVSSPIAVNAERPDRILPAVSTSGLAPMDASRTPDVKPRITDESEKIKNWKLADIGDNTHLRALHLADTDANPSKVVRLLYTNNGIALLALGSNAVHKLWKWQRSERNPSGKVRLDDFTFFNFIIILSACFLNVFLCPVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDSWEKKKSRYIQAPANRSGTLVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLVYAAFCDGAIGVFEADSLRLRCRIAPSAYIPPSILPPSISSNSPGRVYPLVVAAHPMEPNQIALGMSDGKVHVVEPLDADPKWGTAPPQDNGAHPALSAAPSAASNQASDQPTR >Dexi9B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:9B:15105548:15112668:1 gene:Dexi9B01G0020370 transcript:Dexi9B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHIFHCRKNSWPAEEYVGRTALQLLDFDGGAPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMTLGLRLWSYVREEASHGRKAPIDPFTKERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCESSPVMENQFSVILQSNLTVYLPIDFGVLSNRMKHLYLMLLCNFRKNSDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTVTSEHGERPCKSKPVNDMGGKQITQLEVFRIIQEATIMSPSLLKTEYQECFYITSKTAKDNPPVTFAVAACETQNVNVTVLPVFGLTGENHVSAKDMWNTMVQNGHFNQENFSAGSSMPSSPGQKLCAAVSASTWVEPHGRCTVAFALAWSSPKVKFQKGCTYNRRYTQFYGTSERSAVNLVHDALTKYKLWEEEIEAWQNPILKDERLPEWYKFTLFNELYFLVAGGTVWTDGQPPAIDEKASLGSKSSKRGTKDTKPESLKDSHVNLTSEQAPDSGHMTNGDERSVSKFAAVHGSQMQEQNGGLKSEEPIPYLISKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRVKFLADGASGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMQFGRDVWPAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHRLGERHFAEKYKLKFMKAKAVYEARLWNGSYFNYDSGTSSNSKSIQADQLAGQWYTASSGLPPLFDENKIRTALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDDTCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYELFHILLSKSCFAAMQFLALT >Dexi9A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:9A:13597355:13602180:-1 gene:Dexi9A01G0018510 transcript:Dexi9A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSARVATAAARLVAAAALVLVLAVGVESVSFWLPPPTAGGGGGFLGGADRYLTRDELWMNQTLDHFNPTDHRQFKQRYYEFLDYYRAPNGPIFLYICGEASCNGIGNNYLAVMAKKFGAALVSPEHRYYGKSSPFDSLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGDSAGPECKEALQEVTRVVDGQLQSGRNSVKQLFGAPKLENDGDFLYLLADAAAIAFQYGNPDVLCSPLIEAKKNGTDLVETFASYVKEYYIGKFGASVASYDQQYLKNTTPGAAESAYRLWWYQVCSEVAFFQVAPKNDSVRSPKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSEECDSSKCSSPEALNKVRKQIVDHIDLWLSECQEHGHDKEPSLGSRWSVADI >Dexi3A01G0033190.1:cds pep primary_assembly:Fonio_CM05836:3A:37960179:37962202:-1 gene:Dexi3A01G0033190 transcript:Dexi3A01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGTAKQGEMKRKETSSSTGQGTTSRTTMRCAGILGSTTARSGSFRLTLSPKNSSMLRSSATTPSGSRHENPCTRFAMAATTSFITRLYAGHIRRPAPKGSSLKCAPRTSTPSAPPPARNLSGRNSSGDSGHDAGSRPTAHMFTISRVPAGMSDQEWAHRVKPHRLLHHGLDVGKVALGGPALVSHHAVELAGGGSHGVRVAEELHYGPLHDRRRGLRAAADDVKEERLDAVACQRRRLRRLLLLLISVVIGDKFQKHVHQVHIPRSGSPPAMIILLVLDEYLLVELVEHHMRLLHPPDISLHVEPPKPRHPLSYIPQQPCHRERLFQRSPERFAGGGGVGAATRAARRPSLPERHAKDVTHREAEQVLLHLHVLAGALEQAARQGAHLLRASVLERLDAARGEELGGAELARHAPVRAVGRLHDALVAIAEDLAEGGGRAVGEGEVVGLEDQPSRLLGGGDHDVEGAELEVHERRAMARRERGQHAVWWEWGR >Dexi6B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:6B:1211636:1214244:1 gene:Dexi6B01G0001410 transcript:Dexi6B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQTLEPFSVKSGLGAKDTPAAARTAKVPPAPLMVPHNSSSVSGQNHQSPQGASSRVAGQDGVAPGHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVIDRINLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVVPHGNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSSSSKGFSGGASGAKDDNHTVERPLKRAKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQISPKESTSPSGVTDKLQEVLKKVKSTTRSGIYDDLYGDSIPAKVGSSWAYKSDDQSDKDKAVEEKTHSLNLSRNSADDSDDLFGDS >Dexi9A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:9A:10379497:10380840:1 gene:Dexi9A01G0015550 transcript:Dexi9A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYAARASPPTKAMDVEKLSYEIFSLLESKFLFGAGAGCLSSVPGTPARAFLDGGRVRVLAIDGCGAAAEDALLAAAALARLESGLRKQTADPDARVAEFFDLAAGAGAGGVLAAMLFLRGPDGRPRYSAQEALAFVASSVGRKEKGWGSRRGRWAKIFRSGGDHRVFQRVFGDATLRDTVAPLLVPCYNLATAAPFVFSRADAVESDGFDFRLRDVCAATCAVGREPVAVRSVDGHTAIAAASAGVAAMGNPAAAAITHVLHNKQEFPLATGVEDLLVLSIGSGASITASDGSRTPMPTRSPSPREVARVTAEGVADMVDESVAMAFGHACGSNYVRVQASKAPPTTPLHVDTAAGAMLAQRSVESVLFRGRRVSERTNAEKVDAVATELVKEQERRRCSPLLPNVCVKLVATPRLSSATTASSGTATARTASTMPSPASWDSRR >Dexi5B01G0037480.1:cds pep primary_assembly:Fonio_CM05836:5B:36963166:36964625:-1 gene:Dexi5B01G0037480 transcript:Dexi5B01G0037480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCGGFAIAREMGKKGKEAARERREQRRREVTLLRALPYEPRQRWWDHVSPRAVAVVTGANRGIGFEAARQLALHGLHVVLTSRDAARGQDAAERIRAEAPGDVCVSVEWRQLDVADAASVEAFAAWAAETHGGIHVLVNNAGVNFNKGPDNSAEFAEQVIETNYYGTKRMIDAMVPLMKPSPYGARIVNVSSRLGRVNGRRNRIGDAVIRDQVSNDDCLSEQLIDEMIMKFLEQVRQGTWSSSNQWPQMYTDYSVSKLSVNAYTRLMSRRLSDRPEGQNIYMNCFCPGWVKTAMTGWEGNISAEEGADTGVWLALLPCEQGTTGKFFAERREISF >Dexi5B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:5B:12737709:12739658:-1 gene:Dexi5B01G0015500 transcript:Dexi5B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSLPSKMAPASVALHPPTTSFPFPAGAGGRSRPQWGPPASPAPPPPAATPEVPRRLLLPVAAGVWDFISGGTGGAAAASLSVRRGMQLFRQGDVAGSLAEFDKAIEMDPRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAPLYGIEEARKRFLEATYALFKDGGDPEKLVANFSSGTAGEVFYSSLYAGLYYESQKDAEKAKSHIVAACRSPYGSRSGDYMASLAFVHCQCRNWDLE >Dexi4B01G0023880.1:cds pep primary_assembly:Fonio_CM05836:4B:25136392:25139310:1 gene:Dexi4B01G0023880 transcript:Dexi4B01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKASAAPADAGTDERRRLRSLAFSNGLLQRGDPPAPRASLAPSAAVARLQGRDIVRRGGQRKSRFLFSFPGLLAPAASAGRLGELADLGTKNPVLYLEFPKGRMKLFGTHVYPKNKYLTLQMTRSAKGVFCEDVFDSLVRIVNFQLCALISARMLVFNLQGYAFAFLSTTYRSCNPEMVRWAEQLIRSHVVSCQIVFSEAWWVGTKEENPEELRLEFPKELQNDGVAADCDFKGGAGATIDETTGNKAAKEITEPLSPKLQSDGDASDDSDRDDGNGTQTTSGTPSVRQSARNAGKALKKYTDLSSGDDSSNSDNEMEVAEELDDKEALEEMESPAVKIESQSEDIKPSDSSARPFASKKEPLVQATLSSMFKKAEEKKRSTRSPRGSPATKGPAAKKQRASPMAKQPAGTKKASGTRRKQTTKVLFSEIPLLVALVEEDEIEELSSLPSQDSALDDDSDEDWA >Dexi7B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:7B:8566481:8566786:1 gene:Dexi7B01G0003580 transcript:Dexi7B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAPTLANCPKKCGNLNVEYPFGIGAGCFRDGDFELICNNSTDEPKLFLNDGITEVNFDIDTSNGGSE >Dexi9B01G0029480.1:cds pep primary_assembly:Fonio_CM05836:9B:32008454:32013498:1 gene:Dexi9B01G0029480 transcript:Dexi9B01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGANQPHEVERSHGRATSAKGSTTAIVGLAIMRSTNSSTVSKLASEDNIVESKISSLAVLHANENNNVGFKIASPAPLHANEVKEKTDGSKLVSPMVLHAIEDKKDECKLSIQAIPHAGEGNSLKSKLTSPVILFAGEENNTRSKMQKPTTVHVSADKNTGVGFKHNSSAEPYAGENNIAKSHLASQVVLHASEDKDNNVRSNLTYPMPIHSDKDNTRSKVSIQVVPQVGENNNIVSKLSIQGMPHADEDKNSRSNLTMSAGLHGNKSNIIVSKIAIQEVPHAGEDSNIESKLSTATSIHASKEKKVVSNLSIQVAAHAGKDNINSRSKLSRPMMLSTSKETSTKSKLNRLAMLYADKGENSMSKVIVQALPCVGSKLARPAVLRASKDINVASKLSIHRVSHASEDNINVRSKIPGLGEENSVASKIVVPVVSYVNEGNNTGSKLAKTTVLHASKENNTVPKLTVQVVPNTGERNSAKEGKNIIAREDTNKSISAASGSDGTPSNTFKNSTLNCGDIGAHNTTTDAPTTISHGDRGSGKGKGAMVVETEDALHMWTEKERRKKIKNLYSTLHALLPQLPEKVDKPTLVGKAVTHIKSLEGTLQRLEKLKQERMRAQEVVVITDSSSNSATPASSPARHPASEPADPATREASLADMVQGLNAQEALVDKLKVAAAASVVAGAGGSSAAAAACRAPAVAAPAPEMQTWSAPNAVLSVADMDAVINLRTPRRPHMLNMLLDVLERHLIDVVSFSVSSDQSHNLISIQAHINGPAPATLLEKLRIEDRYKMAVAEMMYVVAN >DexiUA01G0010790.1:cds pep primary_assembly:Fonio_CM05836:UA:21446425:21447166:1 gene:DexiUA01G0010790 transcript:DexiUA01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADQGTAAVPVVPLSSGKPMPRVGLGTASFPFGEDAVLRAIDAGYRHFDTASLYNSEAAVGDAAAEAVRAGTITSREELYVTSKLWIADAHPGQVLPALRKTLQNLRMEYVDLYLVHFPVSMKLPEGGVGAGTEHMKENLVAMDMKGVWEEMEECHRQGLAKAIGVSNFACKKLEYLLSFAHITPAVNQVSDSPPFSHFYLMKNK >Dexi1A01G0025270.1:cds pep primary_assembly:Fonio_CM05836:1A:31567653:31569185:1 gene:Dexi1A01G0025270 transcript:Dexi1A01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFRCPKDLAQEHYKDLKDKPFFPTLIDYITSGPVVCMAWEGAGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIGLD >Dexi8A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:8A:9964888:9965731:1 gene:Dexi8A01G0008440 transcript:Dexi8A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASQAVVKPTDAELLQAQADLWRHSLFYLTSMALKCAVELGIPTAIHNLGGTASVEDLVTSLSLPQTKLPFLRRVMRLLVTSGVFASDSSGDKETYRLNPLSWLLVEGVDHEDHTNQKCFVLGTVSRHYVEAALSLADWFKKDLVPPIPSPFEELHGVPLLDEKTPLLDSELHNIVVEGVAAHDNLAIGTIMRECHDLFRGLESLTDCCGGDGTTARAIVKAHPHIKCTVLDLPEVIKTAPDDGTVNYVAGDMFKSVPPSQAVLLKGKNGR >Dexi3B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:3B:8341197:8342799:1 gene:Dexi3B01G0011940 transcript:Dexi3B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAGLRPRLSSSRKRTRSSPPPRELVLDDDSGGDERIAERWRHLVFQDHRDEGEQQIESITEYDPLFGFYGGGDAIDGQDDEEEPPQQGGGAGGGDQPQQGRPPEQEDSDDDIPIMLLSRRCTVLRRPAVSTAPPAQAPAPPPPPLPVTAAPPEQKPASSSSCSSDSSSAVIKDATVENSGAIDCVICFLPLKPPIFQCDVGHVVCSRCSERQGEATNCHECRAPTPGGYTRCHAMERVVDAIRVPCPHAAHGCTHRPSYHARDAHAVACPHAPCHCPGDACVFAGSPEALAGHLAAAHSWPCTAVDGDGGGGTNVFLRDGFNFITAKGTKNDRFLMFVLDVKRAPPFGRAVAALCIHPDRAATATLKLTYRGNNGGCMHHMCSMHLQSSEFKVACTDLADGVPDPSSSCFQFVVPGSVREDDDGATTRIIVEIITPPSLSTN >Dexi5B01G0026910.1:cds pep primary_assembly:Fonio_CM05836:5B:28568921:28570513:-1 gene:Dexi5B01G0026910 transcript:Dexi5B01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEYGYYGGGGAGTRERKAAGCGDHFVIDDLLVLPYDDDEEGGGDAAAGDGEAPPCLQGAVDAAGGGGGAVVKEEGGLGNFSADSSTVTALDSCSNSFSGLGDGDFPGEFCEPYDQLAELEWLSNYMGEGDDAFATEDLQKLKLISGGYSPAVNVPPAPVAPAAAAAQQPGMFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGISAQAAFHAKKPSSKPSNKKKDAQPALAPPAPSSASGGSPASAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVMELRRQKEGHHHQQPEHHQQHVIAGGGHGGLMHMQNPLLFDGPAAAPIVAGDDFLIHHHLGADYRQLI >Dexi9B01G0047610.1:cds pep primary_assembly:Fonio_CM05836:9B:46689448:46693610:-1 gene:Dexi9B01G0047610 transcript:Dexi9B01G0047610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEGLDEIKAESIDLENIPVEEVFAVLKCSSKGLSTSQAEARIAMFGPNKLEEKKESKVLKFLGFMWNPLSWVMEFAAIMAIGLANGDHRPPDWQDFVGIVALLLINSTISFWEENNAGSAAKELMANLAPRTKVLRDGRWSDEDAAVLVPGDIISIKLGDIIPADARLLQGDPLRIDQSALTGECLPVTKYPGSSVYSGSTCKQGEIEAVVTATGVHTFLGKAAHLVDSTNQVGHFQKVLEAIGTFCIASIAVGIFVEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSKQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRELIEVFAAGVEKDDIVLFAARASRVENQDAIDTAMVGMLPDPRKARDGIEEVHFLPFNPVDKRTALTYIDLTDGSWHRVSKGAPEQILDLCNCGDHVRNLVHTVIDKYAERGLRSLAEVPERSKESPGEPWEFVGLLPLLDPPRSDSADTITRALNLGVNVKMITGTLAIAKETGRRLGMGTNMYPSSALLGQNKDEATASIPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKKADIGIAVADATDAARNSWKLNEIFATGIVYGTYLAVMTVIFFWAMRSTDFFSNTFHVRSLRGSNSEMMSALYLQVSIISQALIFVTRSRSWCFVERPGFMLCAAFVIAQIVSLLYANFTFAKIHAIGWGWAGVIWLYSFVTFMPLDLFKFAIRYVLSGRAWNNVQNKTAFTTKKNYGREEREAHLHGLPEQTGRSSSSYAELSEIAEQAKRRAEMARFRETSTLRGHLESSAKLRGIDISAVKRRRSMQLGVASCFAAHF >Dexi9A01G0045470.1:cds pep primary_assembly:Fonio_CM05836:9A:48985041:48986773:1 gene:Dexi9A01G0045470 transcript:Dexi9A01G0045470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLPTTSGGLHVCASPSRPRRRRCCQPTAAALPPTSGGVGRRAVSLAGVAAWLATAVERADAGSPLDKYVKRKKLEALETYVPAVLLTIDQFVDLEKSLEFEKPRYDETRSLLRSGPASSLRINIRAVAQYASSNGQGKAASDAVDECLRALEDLDSLLLHASRNDPSASVETMRSKITVALGALDNVLQTVPSEVMDKGKAIADAYRTPAEDYVEENAAELDPKMKQLEDIL >Dexi5A01G0024720.1:cds pep primary_assembly:Fonio_CM05836:5A:28557717:28561548:-1 gene:Dexi5A01G0024720 transcript:Dexi5A01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGAEGAAVEEATGFEVGIVVPKLARAAASGAADDCVARLVRELEDAGLLVERVRGVPAEFIKLAAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYCCFRYLIYGIVNKTNSEITLKFDDKEFHWKENESLLTRLESEGVVKQVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVSGLATQLIDFGSLQWLVLPGFFIFVISWAVFFLQFWKRKNSALLARWGINCSLSEYKNLGNELGSLGDSLAIEEKKFCDVSAEKRKLQRNEWFGVLLRIRNNGIIVLAIICLQLPFELAYAHLYEITETEVMRYLLTAVYLVAIQYYTRIGGKVSVNMIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLVVSQVLENLIENSIPYLKYSYKKYIAVHKKKQEKESPSGKSVRLSTRVEKEYLKPSYTASIGAELEDGLFDEMEKNDGICAYSVKSVTDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPLYFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKLDAKLD >Dexi2B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:2B:26087847:26089275:-1 gene:Dexi2B01G0015960 transcript:Dexi2B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKEAHGWAARDASGHLSPFNFSRRVQRDGDVTIKVLFCGLCHTDLHVIKNEWGNAMYPLVPGHEVVGVVTDVAPGLTKFKAGDTVGVGYFVDSCRSCESCSKRFESYCPQLVQTSNGVDLFDGSTTQGGFSDVLIVSQDYLVRVPESLSPEGTAPLLCAGITVFSPMVQYGLNVPGKHLGESATWGSVASFGKAFGMKVTVISTSPSKREEALDRLGADAFLVSRDPDQMKAAASTMDGIIDTVSAEHPVTELLELLKPMGQMVVVGLPAKPLEVPAFSLVAGGKRVAGSGGGGIGECQAMQDFAGEHGITADVEVVGMDYVNTAIQRLERNDVRYRFVVDVAGSNLSAAA >DexiUA01G0022700.1:cds pep primary_assembly:Fonio_CM05836:UA:46175518:46176708:1 gene:DexiUA01G0022700 transcript:DexiUA01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKNGSEIVVVVVGCHESGKDIALELREVAREVHVSVKSMDDVTPGISKALSRHHNLHLHLQANSSFRAIRFGWLALRAAQIDRLCEDGRVVFADGSCIVADAVVYCTGYNYSFPFLDTAGHVTVDDNRVGPLFEHTFPPALAPSLSFVGIPKLVVVPRFFEVQARWVAQVLSGRRSLPAPEEMMRAAEEYHRAREMAGVPKHQTHRIAFDFELCDEFGENCCGFPRMEEWKKELILSSIRSSRDNAESCRDDYHDSELVREALRSHGWLTGRPPQHDRG >Dexi7A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:7A:16856276:16857255:-1 gene:Dexi7A01G0005600 transcript:Dexi7A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSDQVPEAAKKLVGKVAVITGGASGIGECTARLFVKHGARVVVADIQDELGARLCAELGGADVASYVHCDVTLEADVAAAVDHAVSLFGGLDINSTKEDFERVLSVNLVGPFLGTKHAARVMVSSGDRRGGCIIGTSSLSSAVAGAASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEMAMEAVGNLKGVRLRVADIAAAVLFLASDDARYISGHNLLIDGGFSVVNPSFGIFKD >Dexi6B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:6B:20795678:20796124:-1 gene:Dexi6B01G0013090 transcript:Dexi6B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAEVQRDAAAMPVCSGKGKQPVAREQIAQRGKKLRRHPASCADRTGARGEERRLEKTLVRRRGDALACLRPTRRSPGADPGSALAPELPERHLGSRSTDTAGGRRMDASVSSGRRGYGWGLRELRMDKVIGRMAKKKKENHHRKS >Dexi9B01G0044180.1:cds pep primary_assembly:Fonio_CM05836:9B:44005541:44012459:-1 gene:Dexi9B01G0044180 transcript:Dexi9B01G0044180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGRGGGRGGGSGASKRPPAPHGRGRGGSSSIGGMGAPPRGRAAAAAAQPAGRDESFRLESSGPPAFAAIIRLTPDLVDEIRRAEEAGGGARIKFNPNMYNSSENVIDVNGKEFKFTWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGSMRRMPWKKNEFFKKNKAAAIAPTKSVSKVKLSNNIPKGNFSTSPAPSPEQPGANIPSFPVVSDANNEVITPFDLNKEENSKTEKATPNKMSKGINRRASAHSASVDDNTNEVRSLLISVLSENPKGMSLKALEKAVADVLPNASKKIESSIKNIANYQAPGRYVLKPELEVENSKRHVEGGRDIFVYNRSINENTEEFTPSLKIDDPDIFESIDVVGSPAITAGDGKVNNGSEDKAGTSSESGSDSDSDSDSSDSGSDSGSQSRSAADSGSGSSSDSDSDASSSSKDGSDAFVDITSDDDKANTAHTKVSDDLNLSTSPRDLTRLDVDDEQIDIGTNMDYRTASPHIDLNNFNTDNDDAEAEGFAAGNLKKSSEIPGSKNTPSTRIDPTRVDSKYNEMSYQADLFDDSLKTISDNLPNEEAGQLTKQHSNRRKSTSKDGPNHGPMSMADKSAKPKLKRSSGNENSTTKPESAKKIKVDVASPGITGSLSEHKKGLPPEKHSNDRLNKESGSVSRNVSRDSSPAMKGRPLSSGNIQKIDQSPNVPIPTMHSERPKENIEKSSLKKKAEKMQKPWHGTDGDFGIGYSHGEGHHANLDGSDDSSARKKSRYGDPLVDDKVLKRSKDANANINSMNLTKSSSGNAGLDEITAFPGLNESNGELSTSQRVNVERSPHGKKKLQRELSDLELGEFRETSLENDNERTRKQFERNSSSKSLDAKLASIDNSYPGMNNRKAHVSGFHDRGKPSPQEYGVGGQRNQEAFPRKAAGYDFDDNRPQQRGNIPENQHFPRTDASDSENISYRPGEKTSKKDPRMAQGGVLEHADVKKKTTSRLPQNGYNNATVTRTQKSISPSDNEERSRNNSFIESETGRKRDSSSDDDNLFFSKYDKDEPELKAPIKDFSQYKDYVQEYNEKYGVYTYLNSQIEKTKSEFLKVQEDLNVAKERDKEQYYNIVGRLRDMYRESGARHKLMKKVFVLLHEELQTMKQRITDFTEAYSNE >Dexi9B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:9B:3754258:3754725:-1 gene:Dexi9B01G0006330 transcript:Dexi9B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKNGEQEEDDLQLIDGAIACHDVIPWKPIKDRITGKCGIVDMFVVAVMLDTDMLLKFKVGNDVVRYRTFKAKQHGCASRRIKLDFASFVMKVTWSTI >DexiUA01G0008020.1:cds pep primary_assembly:Fonio_CM05836:UA:15046331:15048225:1 gene:DexiUA01G0008020 transcript:DexiUA01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSESTSSASQSIAPSRLSTQSRQPSADVAFSVLIKLVIDMYMMDSETAAPLTLYMLEGMLSSQKTSARTKALDLILNLGVHAHLLEPMVVEDAQLIDKSETVNHSYLSNEYGSSIDEPRAAEPEEEPKISPAIDQFESWLLKILFEVLLLLVQVLFSDFLLVVMFGLS >Dexi4B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:4B:20789223:20789962:-1 gene:Dexi4B01G0018460 transcript:Dexi4B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAESCAVVATAADIICSLRGADLAGWTPPWRKGDAEAPALPSACDGRQEAGEEEGGDAGGLAAWPAMARGKRSRSRRAGSPSASGSVSAGAAAAAAKEDVEEKKKTKKQGRRGGGARGSPASPLDYSGGSGSGASTSGGEDGAFCSQPPPSTAVAAYDGAAAPSAPTATAAAAPNKVPPRG >Dexi1A01G0023450.1:cds pep primary_assembly:Fonio_CM05836:1A:30111241:30114379:1 gene:Dexi1A01G0023450 transcript:Dexi1A01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGAARKVSAVLYHYPCPDGAFAALAAHLYFSAAASPVRFFPNTVYDPIRSDSLPLDEIQDVYLLDFVGPPGFVEDIAPKVERVTILDHHKTAFESLCGNSTLGKNVTKVIDMQRSGATIAFDFFRNKLLTEASILRGSESRKDVAEVKYVPDNKVEAVQKLFKFIEDGDLWRWKIPNSKAFSSGLKDLDIEFNVNANSKLFDQLLELDPEHVISRGQVTLLEKQRLIDECLGKSYEISLGCGQFGNCLEYGGGGHRNASSFMLSITEFGQWKVGPEPSQTKGS >Dexi8B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:8B:5326730:5327035:-1 gene:Dexi8B01G0005290 transcript:Dexi8B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQEEGQGQGPPGGSCCLWAVTVLLLLSLLAGGGCLAGFILMPPNEVPAWLPTVGLALVALPWAFWILTCAYRCAVARAAERRAMAVAPVSGSMRSRSGS >Dexi7A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:7A:27872522:27876787:1 gene:Dexi7A01G0018450 transcript:Dexi7A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSFVRTAYADKRLAAENARVQNERRMELETRIRNQLLRPELPPSSYDTAWVSMVPLRGFNITFTGMLSHAIDMGLEFPIRQNDAFAVPALHPLRLHCQLSMVDMLEKMGISQHFVSEIKSILDMTYSCWLQKDEEIMMDVATCAMAFRLLRMNGYDVSSDELHHVAEPSTFHDSLQGYLHDTKSVLELYRASKVSLSEYDVILDNIGSWSGNILKDKLHSNMVQRTAIFREMEHALKFTFYATMERLEHKRNIEHFDTRGSMTLKTEYLTNFGILTGIFTCNYGVLIILTESLRWHDHEDKLYSEQVKIVFSAIYTTTNQLGARASAVQGRDVTKHLAQIWLDLLRSVMVEVEWQSSQHAPTLEEYMRNAVVSFALGPIVLPALYFVRQELLEHAVKDQEYDELFRLMSTCGRLLNDIQGFEVFGSFAHVFPCKLHAYLFDYFLKFF >DexiUA01G0012310.1:cds pep primary_assembly:Fonio_CM05836:UA:24882497:24884704:1 gene:DexiUA01G0012310 transcript:DexiUA01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSTFTGLVFFLSVIFYHLPFAAALVFNYTSFTTSNYTSIKVEGDAKINDTGGWIEVTGRKDSRIGDSRGRASYAASPVPLWDAVTGEVASFTTRFTFVIDPQSKYGGIDNKGAGMAFFLAAGSMPPDSYAYDLSLTGQNPAAIAAGDARFVAVEFDTFNDTEALDPNTTYDHVGIDVNSIRSVATKVLQSFSLMGDMTAEIKFDNISSLLELKLWLGSDTTPYKLSHKVDLKNALPENVTVGFSASTSTSVELHQVHSWYFSSSLEPKPAPIIAPPPPPPPPPPSPTPSMSSARRGGGVIEGASVGAALFIVLLFATAAALLARRLRRSKRRMEEMLEDFGELDGSDNGDEPIMEIEMGAGPRRFPYHELPSNVMLDQHFNAKLGDFGLARFIDHAGGMQTMTAVSGTPGYVDLESLITGKASAESDVYSFGVVVLEVMSGRRPMSLLPDAGGNVFRLVEWAWDMYGRGAAVAAADERLGGEYDAAEVERAVAVGLWCAHPDPRARPSIRAAMAALQPGGGGQLPALPAKMPVPTYAPPPVVISPEGLFSQSTVLSAVVTSSGLTKSSATTNTSCVSDTSSGSTGLKDSSSLLKHQY >Dexi9A01G0035260.1:cds pep primary_assembly:Fonio_CM05836:9A:39867187:39869313:-1 gene:Dexi9A01G0035260 transcript:Dexi9A01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDCNGKEVSLETYKGKVLLVVNVASKCGFTETNYTQLTELYQKYRDKGQAFACCYFEILAFPCNQFLRQEPGTDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPIYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVIDRYATSTAPMSIEVSLSLSTHTRTMNYLFIVGFCLDLQKDIQKALEG >Dexi3A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:3A:12275771:12277278:-1 gene:Dexi3A01G0016390 transcript:Dexi3A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKHEQEADGGKGGGFSSPGLPPSEPPHLQGQPPQQYGYGTFQGSGEFRQPPVGFPQPAPPPGFGGGGYHNQQQAYAHAEPYHAHGYQPVPGYGEVVEGRPVRTRRLPCCGLGIGWCLFIAGFFLAAIPWYVGAFIMICVRVHDHREKPGYVACTVAAVLAAIAILLGITKGTHSW >Dexi1A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:1A:7630608:7630932:1 gene:Dexi1A01G0009410 transcript:Dexi1A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSGCCKPPSVCGFTYVSPTVWTATPGRPATDPDCGLWSNDPSQLCYECESCKAGLLEALRDQWHKANIALVVATICLVLLYLIGCSAYKNAQAAAFFRRYK >Dexi8A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:8A:22769253:22772579:1 gene:Dexi8A01G0012890 transcript:Dexi8A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAHLAAAAQRRPTVALLLGLALAFCLAVLSIQSSFFAAPGAPGRRSLDLDAGDVRELGGFQSRVQQCVASRGLGLTADIIDHCKLVLKFPEGTNSTWYNAQFKIFEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCDELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKHVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDVIDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEEIRRAHAAAFRLKKQETGQPAEPGPFSNCKVWGTVDPDYGPVSGTSDMSETRKNSNYSKWELLPLEKLRREAQEHYIQLGGVSLYKMDGNKLDDLVCVRHQRSSS >Dexi6B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:6B:1449093:1449318:-1 gene:Dexi6B01G0001640 transcript:Dexi6B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGGFERDADEDQVGIGRDDLGRESGQWTEKVERDGGWARWKDTEMGTPLLVLDQAVLALGRSAK >Dexi9B01G0031820.1:cds pep primary_assembly:Fonio_CM05836:9B:34104318:34108376:-1 gene:Dexi9B01G0031820 transcript:Dexi9B01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQLLDDGNGSPAEPRWCAVTGGRGFLARHLVAALLRSGEWRVRVADLAPGIVLGPDETEQLLGDALRDGRAIYAPADVCNLEQLTKGDGKNYDDFVYVENVVHAHMCAERALRTKEGAKISGGKAYFVTNMEQMNLWDFNYMVQEELGYKRFFL >Dexi5B01G0035270.1:cds pep primary_assembly:Fonio_CM05836:5B:35312924:35314154:-1 gene:Dexi5B01G0035270 transcript:Dexi5B01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWSCSSSTSSALLVLLLCCSCSTISRSQTADSCATGLEATVGHLIPFDTSNLTCLDAWTSEGFILRYRKSGQNNTWSFVLSAPDAGGYVAVGFSSDGAMVGSSAVAGWTTTAGVGVAKQYRLGGTSPASCPPDQGSLSLIPSTTLLTTRSSRLYLAFQFTAASPPAPYLIYAVGPSGAQLSNDYLVRHRSYASAAVNYATGVSSTAGGSSLAKKWHGAMAGLGWGVLMPVGVSLARYFRRHDPFWFYAHVSVQGVGFVLGAVGVAAGFRLSDVPGAGSHQALGVAVLVFGCLQVLAFLARPGKASKVRRYWNWYHHYVGRAAVACAVANVFVGLSIAHEAVAAAAFYGVFLAVWVLASVVLEVRLWRTAA >Dexi5B01G0034340.1:cds pep primary_assembly:Fonio_CM05836:5B:34580409:34583348:-1 gene:Dexi5B01G0034340 transcript:Dexi5B01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQGRAARPKPNARHATEEKGQHHHQQQQHRGGDIARPGGATTGGPAMDTFFLSHGSPTLSIDEAIPARHFFKSWLPARVAGDRTPRAILMVSGHWETATPAVNVIRGTNDTIYDFYGFPKPMYQLKYPAPGAPDLALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMLMYPDANIPVCQLSVQTDRDGTYHYNLGRALAPLREEGVLILGSGSATHNLRKMGPTNAPVPQWATEFDTWIKDSLLAGRYEDVNRFDEKAPHARTAHPWPDHFYPLHIALGAAGDGAKAEQIHQSWTNATLSYSSYRFSTNN >Dexi3B01G0022790.1:cds pep primary_assembly:Fonio_CM05836:3B:17508841:17511695:-1 gene:Dexi3B01G0022790 transcript:Dexi3B01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGTAGEEAEEERAASEEALTADSADDYGRRGSSSSASSEAASSVSYTYTPPDEWHKQVAVIKTCVSADIAVAPGAGKDDKPAPRGADVATDRHRASEMEMMKERFSKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRVEIMTSRPRSDLYINLPALRKLDNMLLEIMEGFRDAEFWYVDQGINAPDCDGSASFRRTFHRRDDKWWLPVPRVPHGGLCEATRRQVEHRRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSEQFSPDCLLDCLDLSSEYQALEVANRVEASIYVWRRKGGAAKPSSRGGAKSSWGMVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLNVDELSKLSDHLPAAGLDVKIACKNNSGSKATATVVPASGTPYATAYATPSLSPAQLSSPSKIGRALLVDRRSHHVKGAAGAKRTTTTTSTADRAGVEVVKGMLVGSAVFDIPKAVTAEL >Dexi3B01G0026600.1:cds pep primary_assembly:Fonio_CM05836:3B:21973664:21977961:-1 gene:Dexi3B01G0026600 transcript:Dexi3B01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETTKQQRRHHFVLVHGMCHGAWCWYKAATALRREGHRATALDMAGCGAHPARLADVRTFEEYSRPLLDAMAALPPRERVVLVAHSHGGYSVALAVERFPDRVAAAVFVTASMPAVGRPMAATSDELLAYVGPDFFMDSKEVQQENPEIKGKPFIFGPNFMAQRLYQLSPPEDHPLSSLARVFADAQHVFVGLDTMGLPSVILGDLTLGLMLIKPANAFSTGNPDEVLMRDAKLLTEERYGSARRVFIVVVDDHGIPAEFQRRMVAQSPRVEVEEVAGADHMAMLSLPEKLAELLIRIANN >Dexi1B01G0026610.1:cds pep primary_assembly:Fonio_CM05836:1B:31461846:31465048:-1 gene:Dexi1B01G0026610 transcript:Dexi1B01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRDPPTGCAMFGIYSGMFRRSRRSASMTSLQRVNGGGAASDADPQEASPANRKPAAAAVSHDSSLVRRPSTLPAVPAQNGVGSRAAAPGNERGRPVAKASAMNGVAGARTTATAAEPASEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGGGGSSARNSVERAAKQANERKAAAPTNGYAFSGMGNIVKEAKPAGGDLCRALSHRTDPEKLKEMGNEEYRQGHYAEAVALYDQAIMMDARRPAYWSNKAAALAALGRLIEAVGDCKEAVRIDPSFDRAHHRLGGLYLRLGEPDKAIYHLKQSSKESTGADVSRAQSVKSRIAKSNDSRRLKNWITVLQEAQAAVSDGADCAPQVMALQAEALLRLQRHDEADALFTGAAALRFGVDESTKFFGTFGHAYVLIVRAQVDMAAGRFEDAVATAQTAFQLDPSNREVTNVQRRAKAAAAARLRGNDLFKAAKFAEACAAYGEGLEREPGNAVLLCNRAACHAKLGRHEKAVEDCSGALVVRPSYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVQAKLNSQRNGGAPARSQH >Dexi7B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:7B:17390903:17395594:-1 gene:Dexi7B01G0009970 transcript:Dexi7B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPTIPRRGLFIGGGWREPSLGRRLPVINPATEATIGDIPAATAEDVEIAVAAAREAFSRDGGRHWSRAPGAVRAKFLRAIAAKIKDRQSDLALLEALDSGKPLDEANGDMDDVAACFEYYADLAEALDGKQRSPISLPMENFKSHTLKEPIGVVGMITPWNYPLLMATWKVAPSLAAGCTTVLKPSELASLTCLELGAICTEVGLPPGVLNIITGLGPEAGAPLSSHPDVDKVAFTGSTETGKRIMTAAAQMVKPVSLELGGKSALIVFDDVDIDKGLTEFKPQLLNGPCLGSFLMLAKSAVLLLVYFCMYEKIAKQFLDRLVAWTKNIKVSDPLEEGCRLGSVVSEGQQEAKVPQFCMVVPDHSYGLAGAVISNDQDRCERISKALHSGIVWINCSQPTLVQAPWGGNKRSGFGRELGEWGLENYLTVKQVTKYCSDEPWGWYQPPSKL >Dexi8B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:8B:413397:414960:1 gene:Dexi8B01G0000620 transcript:Dexi8B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTSAATPPGPSSAIKRQRRAAAAPLSDVTNLLVPETPTPIKPARTRRATLPTPSAASEASSTSSSTASVTPTPKPSSAADPDKDRSVLKSPISTVYARRGTTETQGRRRNPATTTTKGKGKEPVAAAGTASCPPLGRATRKAARKDSIAQDTRPISSSAPCHGAKKKRPPPSTPKLPEDFVKKQRAYFAEIDAFDLPVEEVSESELE >Dexi3A01G0023620.1:cds pep primary_assembly:Fonio_CM05836:3A:19307416:19311752:1 gene:Dexi3A01G0023620 transcript:Dexi3A01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPSRRAAALLRCLSATPFTTSESHPPTPPRPASPSSSAMAPVMGLKRPAAGAAVAAQTVTLPASAVRDAVRAAVREAEATAQAPAARVPAAAAVPAEIARDGVLCLEEVDGRRWSYVVDAAGASVKAKGRASVGGPAFKAVPLQSPLPPVEDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKSNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGYVPTLKDGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFVAIKPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARRRMNSNRSYQHNPVNMDFLPHIEESCKIVMSSYGVFKKKAREQEHLITAVTMIKMLWVGRADSCRTGEGAPN >DexiUA01G0026130.1:cds pep primary_assembly:Fonio_CM05836:UA:55030945:55033075:1 gene:DexiUA01G0026130 transcript:DexiUA01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVGRALPDVRDGLKPVHRRVLYAMNVLGNDWNKAYKKSARVVGDVIGKYHPHGDSAVYDTIVRMAQPFSLRYMLVDGQGNFGSIDGDSAAAMRYTEIRLAKIAHELMADLEKETVDFVDNYDGTERIPDVMPTKIPNLLVNGSSGIAVGMATNIPPHNITEVINGCLAYIEDEDISIEGLMEHIPGPDFPTAAIINGRRGIEEAYRTGRGKIYIRARAEVEADAKTGRETIIVHEIPYQVNKARLIEKIAELVKEKRVEGISALRDESDKDGMRIVIEIKRDAVGEVVLNNLYSQTQLQVSFGINMVALHHGQPKIMNLKDILSAFVRHRREVVTRRTIFELRKARDRAHILEALAVALANIDPIIELIRRAPTPAEAKASLIARPWDLGNVAAMLERAGDDAARPEWLEPEFGVRDGQYYLTEQQAQAILDLRLQKLTGLEHEKLLDEYKELLEQIAELLHILGSAERLMEVIREELELVRDQFGDERRTEITANSSDINIEDLINREDVVVTLSHQGYVKYQPLTDYEAQRRGGKGKSAARIKEEDFIDRLLVANTHDTILCFSSRGRLYWMKVYQLPEASRGARGRPIVNLLPLEANERITAILPVREYEEGVNVFMATASGTVKKTALTEFSRPRSAGIIAVNLNDGDELIGVDLTSGSDEVMLFSAAGKVVRFKENAVFVVSNWPVKIPSFP >DexiUA01G0026220.1:cds pep primary_assembly:Fonio_CM05836:UA:55605488:55607784:1 gene:DexiUA01G0026220 transcript:DexiUA01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKETQQAATAADTPAGTVPAAQGTLAQYGQDLTARAREGKIDPVVGRDEEIRQMVDILMRRRQNNPLLTGEAGVGKTAVVEGLALRIAEGDVPAPLQNIQLWLLDIGMLQAGAGMKGEFEARLQALINEVQSSATPVILFIDEIHTLIGAGGQQGTGDAANLLKPALARGQLRTIGATTWAEYKKYIEKDPALTRRFQTVQVHEPDEAKAILMLRSTVSPLETHHQVLLLDEAVSAAVKLSHRYIPARQLPDKAVALLDTACARVAVSQSAPPAQLEDCLRHLAALDVEIEIAGREARVGAGDPERVAALTAERNTYETKREALTQRWEEERALVTEIIRLRAALFAAGDDETGELRSALNAQQQALNALQGDEPLLFAAVDENVVAAVVSDWTGIPLGRMVKNEIDAVLNLADTLNQRVIGQRHGLDLIARRVKTSRAKLDDPNKPVGVFMLCGPSGVGKTETALALAESLYGGEQNVITINMSEFQEAHTVSTLKGAPPGYVGYGEGGVLTEAVRRRPYSVVLLDEIEKAHPDVHEIFFQVFDKGWMEDGEGRHIDFRNTIIILTSNVGTDLISAMCADPELMPEPEALSGALRQPLLEVFPPALLGRLLMVPYYPLSDEMLGQIVRLQLKRIQRRLQENHNIISEFDDSVVEQIVQRCTEVESGGRMVDAILTNTLLPQMSQILLTASRSDEQYRRLHVTCEQGEFHCQFAA >Dexi3B01G0037770.1:cds pep primary_assembly:Fonio_CM05836:3B:40605509:40609787:-1 gene:Dexi3B01G0037770 transcript:Dexi3B01G0037770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEDSRARSVIRAAKPFSAATKPPDALSVLREYPHILPGAADYHRVLILTVSSTCSQHYGQKGRNPPRIGNIGHITRIANKLIQLGNSSSVIQSHLQENREWAEWQTGVLVKRNEVENVYHWACGAAVQLLYMIVGGIVMMTTSEAETDVAALANDLSQAFLYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDISSLFTNSNWFTFDGDRGINYRLAASVPSPSPNSEETSLNMEETDEVLIGEATGTESQLESAFLENGPVEETKELTEDAKHNDASTDDEKMLCVEEENVSQEAEASEQHVDVQDGQTATQVGGASEGPSIDTGADEPCGSSEPDNALPGALPASGETDQSANSSGRSEAAHESASPAKVDEKRKLGYHNK >Dexi7A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:7A:12001051:12005561:-1 gene:Dexi7A01G0003070 transcript:Dexi7A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTLSTSTLRLDLHARYRQRLHNDENEFEHASSPSAGMEEAEACGSWVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDVIPTCRELGIGIVAYSPLGRGFFSGGTKLIKELPDSDFRKVFPRFQPENLEKNARIFERVSDMASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIGNFNQNLGALSVKLTSEEMTELESYAATNNVHGERSQSKLLNTWRNSDTPPLSSWKNN >Dexi5B01G0036170.1:cds pep primary_assembly:Fonio_CM05836:5B:35977429:35980746:1 gene:Dexi5B01G0036170 transcript:Dexi5B01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGAPDLTDFMNDWFFGTVGARHTHSGGGGGGYDLTGESNKRPASPAGKTKQGKSSGGSSSASKQTQDWLEEAKRMVGAGSPGRGLSSPSRQVPRFAGGSGTTEPSPTLDRRDPMSRSARRNWQPGGIGDEILQRASISSPPRSSTSDPFASSAPPSPSPSLPPNPQSSRRKSRFRDAPTPDSPHRRTTVSSASTSPTAAAHSRHRRHASASSAPAFAAAAEGFDDGVARLNSFLRRQRAVIDDDLAAGDRHASRPTKLVLSDASKSVSSIVAAICYAWILSSKGDGQVAVPVVNMRRSRMARCRQAAWLLYHVGVDASALLFADEVDMDGLIMDQRVSLLVVGQDVLKSKSEVGSVCTTLTNTYCEDAYSLFQSLDIKKLLLAGILLDTSNLSKKCSNRDQEAVQLLLFGASEHMRHELFQQCDDESPPEQKRSTSASGSSQDAKKSNSTNQKTTRGSGAKAADEASRGKNNFFLAKWFGFGRK >Dexi5B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:5B:3241640:3241864:1 gene:Dexi5B01G0004750 transcript:Dexi5B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVGVWQRRRTAGWRVYRRGGWPADGWLLEAAAGNGWSGEKVYVGTGKAESMGKVKVVAGGGWIGRASVILEG >Dexi2A01G0028910.1:cds pep primary_assembly:Fonio_CM05836:2A:40042346:40043158:1 gene:Dexi2A01G0028910 transcript:Dexi2A01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRDTALFALALLSLALTAATTTTNNTGIATGADYRRLPPSPAGHNCGAQGTYAPDSAYEANLRLLAATVPAQANASSCRCSPGNHAGERPDMVATSVYCYWRPDVGWPSDCGACISRAFREAQRLCPYHRQAMVVVDGGECSVSFHDVQQREQSMGLGRPGVEELKNFTERAVEASTRPECLTM >Dexi5A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:5A:23805259:23808275:1 gene:Dexi5A01G0019920 transcript:Dexi5A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEEAVAQPSRRGGPRVAPCLTPMEATEEEEADADEKLSGFRKSLKRAKKYVDALHSQLEELVPLVSAVSGSAAGVSEEESARRPAKEMRWAAPILEGQFQELLASSEKQPQHALPSAAEEDDPSDDSPCPELPLGHPPYPKSGSNLSVGLPDRKTTIEAKLFHFSEHYDLAFLLLPMETSLVIPRFGCCPDYSQEVFVLGRDKDASLRVRRGVISWTEESDYIGCSHYMFLDGEVPEGGTGGPVVDHDGSFRGMAFRVSPMPAILSISTIMTCFEMFKHFG >Dexi9B01G0047670.1:cds pep primary_assembly:Fonio_CM05836:9B:46723511:46723974:-1 gene:Dexi9B01G0047670 transcript:Dexi9B01G0047670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWSLLIVAWLPVLQVLLVGLLGALLASDRFNVLTSDARRNINKIVYIVFVPSLVFSSLASTVTLKDIISCSRMGVSEGFQNE >Dexi7A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:7A:19741469:19742573:-1 gene:Dexi7A01G0008500 transcript:Dexi7A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSLCLGFLPLLDAVGGLVASFRSSVSLHSPSHGGQFVHGHGLGSEEKESAHKSNHAIEDSDPLDLWQLWTLDLLDTSHVHVDLVFPCVCNISSGAPSSQRSHTKQRSRTRKAVIFRGERGGGRDGFSFRRLAARHEQHLTYAVVVATLQVFLRLTRANVTTLFLPMLSQATGGGASRVAGDAVLVLVTTCGVLGSALASRHYGREAMCAISGALIVFCQMAVPGMMEAHAGLSGGGARMAGGHAAGMFAVACVVCGGFSWAWGALFWAVPGEEGIGSVGQAAGAALGFGLGFAQMQCFLLTLRQLKHAAFAYYAVWIWS >Dexi3A01G0026880.1:cds pep primary_assembly:Fonio_CM05836:3A:23419244:23419600:-1 gene:Dexi3A01G0026880 transcript:Dexi3A01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGEAVKLGDIKVDEATMKIRFEDWMEYGRSYRTQEEKARRYEIFKQLSRFDNDFDWETYVDHINNMAAHDWYIGHEQFTVSEAVKQ >Dexi4B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:4B:14474653:14483499:1 gene:Dexi4B01G0014040 transcript:Dexi4B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHVTAAAATPAALFTGAADGTVLHWPLASASASPSPRPSSILCAHAAAITALCPLPSPASLLAACAAGVLSLFSASAPLRCLRRRSLPPWAGSPSLITPLPSTASSNPRVAILCHAPDDGGGHRQVSALVVVDARTLAVLHTAFHGTLSVATPRAIAVGSGADEAISVVLADAQGRAQVVPVAEGAAVEGDSPRRLSVSSVSSIASAETADGRVEAVALSCDGKVVALMLKTRCLLKCVAEGAVLGELSLLGTSLCKADRAEETGCTVGGFFLSGEEWNARASDGGIVARSLVLWSTSGTAAVYRVLVGTSSFEFEPVCEIPDNWSMKGGGSEVKFCQSDQRLVRVECCSYKLWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSVTKIRILDASGPPGLPSLLAGSSNTTATILISALSFSPDGEVWH >Dexi1A01G0022940.1:cds pep primary_assembly:Fonio_CM05836:1A:29550806:29552667:1 gene:Dexi1A01G0022940 transcript:Dexi1A01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAIADAVRDQAALCMLLLRHLGGLDKGTPTANLAISPISFHAVLSLLAAASSGATRDQIVAFLGPAGAAAHAGLASKVASAVLAGGDGVLDAGEVRRAAAVWDDALFRLSPAEVRCATAIWADSSLRLRPAFVDTAAAVYKAEARSASFGDNPTAAAAEINAWFERNTGGLVKNIVGNELDFGSKAAATALVVANSVFFHGSWVKPFNPDSTEEGPFYIADATSPEHAAVVRVPFMRAARKLMQVGVHPGFKVLRMPYCGDGEREFSMYIYLPDDRDGLPAMARALGAGAGELLRSSVVPEQPVFVGELKIPKFEVSLRVEASPLLRSLGLDLPFRLSGESFSEMLSTPVAVTSVVHQCVVKVDESGTVAAAGTVMMAMGCGMADDRPVDFKDN >Dexi3A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:3A:8943431:8947038:-1 gene:Dexi3A01G0012320 transcript:Dexi3A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGILSLYLVSALALSSCSLSLASDQRDADRVARLPGQPESPSVSQFSGYVTVNERHGRALFYWFFEAQTTPEEKPLLLWLNGGPGCSSIGYGAVSELGPLTVVRQGAALKFNEYAWNKEANLLFLESPVGVGFSYTNTSSDLDSLNDDFVAEDAYSFLVNWLERFPEYKDREFYISGESYAGHYVPQLAELVYERNKKKKEKSYINLKGFIVGNPITNDYYDSRGLAEYAWSHAVVSDEVYRSIKKYCDFKNSNWSDDCSATMDIVYSQYQEIDIYNIYAPKCLLNQTSTSSAVQAFFKNDQDQFTRRIRMFSGYDPCYSSYAEGYFNRKDVQRAFHANVSGLVPGKWRACSGDADGRVPVIGSRYCVEALGLPIKTQWQSWYLDKQVAGRFVEYHGMSMVTVRGAGHLVPLNKPAEGLTLINTFLRDEQLPTQR >Dexi7A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:7A:29501719:29503499:1 gene:Dexi7A01G0020830 transcript:Dexi7A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDHLFGLRNSFYIGAYHAAITSSQSVPAHALLSPDDLLDRDAILYRSYIAIGSHQLVIGEIGPSAATPLQAIKLLALYLSGDAGNRESAVSRLRELLSDAATGSNPILRLMAGTIFMHERDYAEALKHTHSGGNMELLALNVQIYLQMHRADHAEKQLRVMQKLDEDHTLTQLANAWVDLVMGGCKIQEAYLIFQDLSEKYPTTCTILNGKALCSMHMGNFEDAEGLLLESLNKDAKDAETLANLTVCSLNLGKPATRYLNQLKLACPEHTLVKRMSSADDSFDRACQAMA >Dexi2A01G0036220.1:cds pep primary_assembly:Fonio_CM05836:2A:45808603:45811760:-1 gene:Dexi2A01G0036220 transcript:Dexi2A01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAADNETPAPACDFCTGSPPVVYCRADSARLCLPCDRHVHGANTVLEDVIVPTTPCHGLRPLVTPSSPKNPSSGGKMTEEVIRQLGELAKSEAAAMDYTEAADTFWASSEYGIGDGDFGAFDTDACHDAATMPVPCCEEDGACRTVHEHEQAPAPASSSVEPCLSSFVDMSEICPSVMVDKSSGGNNKAEAETTTTPQPAAASAQETPEPEKKGGYDVAYPDRRTVISRYKEKRKNRRFEKQIRYESRKARADGRLRVKGRFARSGETS >Dexi3A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:3A:9714283:9718727:1 gene:Dexi3A01G0013330 transcript:Dexi3A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGYPLVHARYLGDEQTFTPTQLLAMVLSNLKGIAEGNLKAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDQSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDVFPFSIALSWKPDAQNNETQQTVVFPKGNAIPSVKALTFYRANTFTIDVVKVDANDAQIEQKISTYTIGPFQSRNAEKAKLKLKVRLNIHGIVSVESATMLEEEEVEVPVSATNEAQKEATKMDTDDAHPASGTDVNMEESKGATDAAEGSENVAPTSEEKSVPMDTDAKAEASKKKVKKTNVPVSEVVHGALGANELNKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTSEEKEGLIAKLQEVEDWLYEEGEDETKGVYIAKLEELKKVGDPIEARFKEWETRDSCVNQLVYCINSFREAALSNDQKFEHIDISEKQKVINECSEAEAWLLEKKQQQDSLPKHANPVLLSSDLKKKAETLDRFCKPIMTKPKPALKPQTPPPTETPARETQTPEQQQSNGENSASEPTGENAAEEPAAEQMETDKPEGAADASA >Dexi7B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:7B:14354819:14362913:-1 gene:Dexi7B01G0006630 transcript:Dexi7B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGARLGVVRPGGGGGSARSGGERRSGVDLPPVLFRRKDSFSRGILSCAGAPGKVLVPGGGSDDLLSSAEPTADTPVQSEELEIPDEAELVVEESASSSTAEASSAVEVEEKSEPSEVIEGTGKTETDGVAVKSAPLVEEKPRVVPPPGDGQRIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRTAEGITYREWAPGAHSAALVGDFNNWNPNADTMTRNEYGVWEIFLPSNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPFFLLYMINIYTFIFRYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHSSNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNYSFDKCRRRFDLVSLTVLLSYFLLNLTGEGSKGDADYLRYRGMQEFDQAMQHLEEKYEVCHCFISVA >Dexi3A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:3A:1015114:1015611:-1 gene:Dexi3A01G0001460 transcript:Dexi3A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSMSQKLDQIAEMGNRDGTHGSHTETGICVQSMPPGNMQMQPLRFLQYCGSCNCALGLDADIYIYKYVIWLSWGESAFCSIECREKGMRTGNA >Dexi5A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:5A:13370698:13374271:-1 gene:Dexi5A01G0015620 transcript:Dexi5A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIADTMAYLQGEGRLLRGEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPLNKMWTQVEWQTAYLSDPTGLTIMFADSQFMWSIYHTVTFFEKALKRSGTKKSTTTPQAPTTTAAPGYLHPMSSHLAWILPPLLRLLRCIHALWAEPFAQSQTGEIKAAKTMTVAEQASLLGETGKLTKGQIAPADGLLDVQRDGESKENNIKNWLRGIRDSGYNVLGLAATLGEAFFRCAEASSVTLALMENVQVMEFRHLRQLIHLVIIPFVKYCPADVWQVWLVNLLQPLFVHCQQALHYSWSSLLNEGRAKVPDSIGNLSGSELKVEVMEEKLLRDLTREVCSVLWALASPGLNSGLPSLEQHGPSNRMDSLKNLESFASSSLTG >Dexi2B01G0022760.1:cds pep primary_assembly:Fonio_CM05836:2B:32381640:32381987:1 gene:Dexi2B01G0022760 transcript:Dexi2B01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQGLARRTATPTRCEAAEQAWPPPLRPPLRLEGSHGHLVPKLAMAASTSNSSSSSPWPPTPRAGRAAVQHDDAARHAIFLFFLPSPSLFLLVAADLCSISTQFVLDLFSIYS >Dexi7B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:7B:25566242:25573109:-1 gene:Dexi7B01G0020140 transcript:Dexi7B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRAQSPLCSRGRPLLVVRPVAAATGLAQPTIGHSRFTGRRLVRCMVSSSDYPKRNARRTSAPKPKGTASRGGYASRPTAESSAKKVGESSTDEGDLGKSNGTLHSEETELAGTAGESSDVNLPGNVSSSAAKDEAETKEEADQNQLSASSSTSMDDESIDRKLDEYRGKISALASSKPEPSTIASVRGQDKPVVGVHEQEKSITGSQEHGSSIVDEPPKGRPFAEAIVGYPVKDAEEELPVSEDVVDPEVLRRRLQELADENYSVGNKCFVFPEVVKADSMIDIYLNRKSDIDEDSFEDFLIEEKQKELERLAAEEAERKRQAEEERRREEERAAMEADRAQAKSEVEMKKEKLHQMLSSASRYSDNLWKDLSNLMKRMVIGVTLPERALVLDWVFADGPPGNATNYDNNGRQDFHAIIPNNIPEDMFWVEEEHKIFRRLQQERREREVADRLKAENSAKMKAEMKEKTMRAFLLSQKHIVYTEPLEVRAGNTVNVLYNPSNTVLNGKPEVWFRGSFNRWTHPSGPLPPQKMVKAENGSHLQATVRVPLDAYMMDFVFSESEDGGIYDNRNGMDYHIPVSDSIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKMFWVGCVYGKNDESRFGFFCHSALEFLRQKGSSPDIIHCHDWSSAPVAWLYKEQYALNGLGNGRVVFTIHNLEFGAHHIGKAMAHCDKATTVSDTYSKEVAGHGAIAPHYFKFHGIRNGIDPDIWDPYTDVFIPVHYTSENVVEGKSAAKKALQQKLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDPRIQGDFTNLASQLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDVDNDKDRALAQGLEPNGFNFEGADSSGVDYALDRQVAITTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >Dexi3B01G0036910.1:cds pep primary_assembly:Fonio_CM05836:3B:39718242:39724991:-1 gene:Dexi3B01G0036910 transcript:Dexi3B01G0036910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTPFRALACTGERESRSPEPVVFEILHRERANKVFGKRYARLLKTSSPRLVLRPSQVLRRTVVCNINCRSSDCAVHLSYGNSSKARKKDATEKEDQGQSNANMVQKFSRAGKNNNNKGKNKPMKTTTFKKKKNKAELKCFTCGELGHFSKDCPDRADRKENKAKKPKDVNMSTPFRALACTGERESRSPEPVVFEILHRERANKVFGKRYARLLKTSSPRLVLRPSQVLRRTVVCNINCRSFDCAVHLSYGNSSSFISFFMR >Dexi9B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:9B:11719855:11722632:-1 gene:Dexi9B01G0016990 transcript:Dexi9B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLFTLVISGHHHPGRSHAFSIMNYLCTNRTSYALNSTYQSNVVALLSTLAANASSSAVGFATGAIGRAPDKSWGLALCRGDTNATSCAALSGWAAHNSTRRYAAGTITTTSGEGFTTTSSDLVSTIYGVVQCTPDQSPTACQGCLGRLTSVMPALFNGTAAAQFNAVWCNLRRKDSENAATVIAIVLGVLVVVLASAFIMYVWSKAQAKQHAKEDEDAGSLLFDLATLRRATANFAEENKLGHGGFGAGQEKLLVYEYLPNRSLDTFLFAPAAETHLLLDWETRYRIIYGIARGLLYLHEDSQIKIIHRDLKASNVLLDAGMNPKISDFGLARLFNGDKTTTITSQVVGTL >Dexi3B01G0031700.1:cds pep primary_assembly:Fonio_CM05836:3B:33743176:33744788:-1 gene:Dexi3B01G0031700 transcript:Dexi3B01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPEDMLTDILRHLAPRWLASSRRVCQARRRRRWISILRHLAPRWLASSRRVCRAWRAAVDGHGLQTTVAALLPRSLAGIFIQHDNCWSAFLLRRPSASASAAAAVSADLDYTFPSATDDEIYSHEAFVARDHCNGLLLVDDRVVNPATRQWARLPPRPPPSIVGPTGHYYFYSDVYLAYDPAVSPHYEVFAVPRVRRKQGLYNGDQMMSEKESPFLYNELDPAVEELKWPPSPCVLHVFSSRTGRWEKRSFVRRGAAAAGTVADMRIDQFIWHRHAVYWGGLPN >Dexi8B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:8B:23067687:23067917:1 gene:Dexi8B01G0013230 transcript:Dexi8B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPRTRDTRRGQEERSGACRGRNGGAAYRIDVRGSGTVLLLLLGWRRPPAEVGGGLADVRELSASGVVEAVVDS >Dexi7B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:7B:25649677:25653352:1 gene:Dexi7B01G0020290 transcript:Dexi7B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKAKPPPPPSPPKAAAPSLAEALLLATVCMVGLPVEVQVRDGSAYAGVLHTACVDDGYGVVLKKAKKITNGKGDANMSLGAFVDTLVVHPDDLVQVIAKGLTLPIKGVGRTPDCNAVAASGSLKPQTSHANDVKISKTEKMSSPEQAVKCTMADKAKNTSVRKNGTSVGSSTAHVESMNGASRSAALAHKVDVTNSVIASPNVASDVKASRSANNSANMIVTSSKTTAKIMGHNASRLDPARIGSPYHPIQVGATYRSPTPQPVMSGKFSPVVYVHPISQDAMHGTPVSSQGWSRPVLLNSYQASMQKLQGNVPVYLAPPVMATGNLPLVVPCPAPLVQPFQAMHPIMVPAASSMVPGKYM >Dexi9A01G0015055.1:cds pep primary_assembly:Fonio_CM05836:9A:10001343:10007770:-1 gene:Dexi9A01G0015055 transcript:Dexi9A01G0015055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVAPAPEHVCYVHCNFCNTILAVNVSSNSMLNIVTVRCGHCTSLLSVNLRGLMQSLPVQNHSQENFKVHNISFTENYSEYAPSPSKYRMPTMFSTKGDHQDHMLHAPEKRQRVPSAYNRFIKEEIRRIKASNPAISHREAFSTAAKNWAHFPNIHFGLGPRESSKKLDEVIRVANRPQKVQDLY >Dexi9A01G0028270.1:cds pep primary_assembly:Fonio_CM05836:9A:32888077:32891622:1 gene:Dexi9A01G0028270 transcript:Dexi9A01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVQSGGRSPKQLNGPSASQQLKTAPDGTQNCGLSKGKKRERGERGIDLVKRDRDRLLIDDSESGSKLDDMKSEIAKIEKGGLPNAEAVEKLVRLMQRDQTEQSMDFAGRIVLADVIAATENPDCLGRFVQSRGLSVLDSWLQEAHKGKSGDGSSSKEADKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKSLEIQKKAKFLVENWKKRVDAEMKSNDTKPLVSGQSASWPGKAGFQEISNAGNKRGGSSEHSPKNQASTVSSPKVLTDKPGSADAVVKLNHVVSVSTKVQHMQTGNVATNSKDQPSKSTGGSELPTVKEEKSSSSSQSPNDSQSCSSEPSKDARSSTASGGASKTSGSSSRGHRRANNGIASENLKDASVARSASLDRPDKSPQTGTASEKRVDIQSEHGNNHRFIVRFPNPGRSPARSASGGSFEDPSVTGGTASSPMVADRHDQIDRRVRMKTESSRPHLASDANTESWHSNDIKGAAGCDEGCAMLDDDNSRTPDDSGKDAHASRVACSSHINDKGVCSSETRVGSSFNPMNALIEIKYSEASHSLPAGDDTAMNLLASVAGEISKSELVSPSSSPRRSSAKKLVCEGDSSGKWKVESDMGPSQDPGPTDAKKVVVKEVKDDAFLVAKDEEHQSVPSSELADSKEVGSTAKIEIHEDTKIANKCSSQPASVNSKGEDRDACAAHGKIKGGCTDKDGAIESGVVGSNQNSSLILARESSLPSAGKQAQGLLKPTNHKQPVGVLDKPGGFDGCDSTVGTLDLMAADAEVKKTDAVGDNSTMQKEDEKNEPSSSLADVPKLDVAAASPLGGASMIKKMEGSKDSSSESSGHVKSEGINFQKNERSSKQCSKKSDDGVSGKEDGKELVSSGEVSSPALHAKSSATARLDFDLNEGIPGDDGHQSDPTISPVICSSAIHVAGILPFSSPVTNGLQPAPITVAAPVKGPFVPPENLLRAKPETGWKGSAATSAFRPAEPRMVLEVSLTTRDILGSDIAEKQSRPTLGFDLNIADDQALEDDVPQSSAQTTCSESGNNRSRDGSSRSGGIELDLNRADVVAENGQFAPNTSHRVEVPRLPALMKLALNLHQKIHQLKVPAVYNSYRKFLGLG >Dexi2B01G0025110.1:cds pep primary_assembly:Fonio_CM05836:2B:34390895:34391176:-1 gene:Dexi2B01G0025110 transcript:Dexi2B01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLQRFNPTDSGVRPWLGPSSSRRGFSANAVQTPTLEAWTTSAVALEARCDAEEDGEQRRRQWMGDGEVCVRTRRAAATTASWTADDANARK >Dexi9A01G0026500.1:cds pep primary_assembly:Fonio_CM05836:9A:29581714:29582383:-1 gene:Dexi9A01G0026500 transcript:Dexi9A01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTASSTCATSSAPGAAFNPPHVTGTAACRSSTSSRALANWSAVIGHASMGTPAAVASSTEFHPQCVRNHPTARCRSAATCGAQPRITLPFPSAAATRSSNPSGRRVAGATAHTNATPVDSSAAASAAAWGGCNTAMLPKLM >Dexi9B01G0042390.1:cds pep primary_assembly:Fonio_CM05836:9B:42630769:42632822:-1 gene:Dexi9B01G0042390 transcript:Dexi9B01G0042390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTATPTPTRPPHPPAASPSPSPSSLRLWRSAALRNVRNQWSHLYAAKEQWVAAAADGRSPASELVNAHITRRDLPATDLGVLKDMPGILDKASSKLERREEQYRDMFLSCYKNMVRALCRLVKASHSMRCFSKVSPNGSVAQFSERQDDLNDSGDGGGAPVFKWFSILEFESLAQELVEIFVSDLKLKRLLVLELVSITFKEGEEHQTSLDWGDELYDGESNELQSFGLQSGETCPLSENWCGDILGSQRPGNHPSHEVLQVYLTAWLANVNIKMSRVDGIFELVEEEMNIKFS >Dexi4A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:4A:3584301:3592022:1 gene:Dexi4A01G0005090 transcript:Dexi4A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSASAPPPTTSCARKSTPTCVRRAPMARRPAPDLVAAFLLVLALRAIDAARGQQPTNSSDAAALHDVFQRWRLEAAENPCGKRVWSESFAVNASVGCDCSRGLECRITHLNVTGFWNITEIPPALFNLTELVSLDLSNNKLSGSVPPEVGNLSKLETWHFNNNNLSGSFPLESSLLRNLKSLWMFDNHIEGPIPEFIQNFTNLTDLRLYGMKLQGPIPQNFSKLINLEHLMLGDLEGNPSTNFIENWANLSTLSLRKCGLTGQILNPPRNLPKLKYLDLTSNNLSGPISLLLSYKNSLNFIYVGNNSFSERLPTEIVQPFVPLDVSYNPSVNGSLPNNPVGQKWPINYIGTSVDASGTMNSESLTVLNCLRTKECNRNNLTRHKLYLYLVNYLQDQNIRKDFDIAKEAGGFRKPTNITQVVTVNKSILVIHLYWSGRGTCCIPYEGAYGPLVSAIKVTRYQKPEISPPPAPHSSSSRQDEKRRGIIAGIAALGIAAAVISSSVVYLWWKWVSLVKRSMA >DexiUA01G0019450.1:cds pep primary_assembly:Fonio_CM05836:UA:40532822:40542614:1 gene:DexiUA01G0019450 transcript:DexiUA01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPRRFALADEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGDADDSKPEKEADSASDSKNGAKPMDVDKAKAKRKFYVGQELEFRRDNMEVISPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNTAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSMATSPVGGEFLTDCMMKSLESKGVVIRPRYAFKKKEISPGEYKIVDLDLPNTTESYRLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMPVRGLPRMVIDSVNRCDVDIRKELFSSILLSGGSSSILQLKERLEKEVLEESPQAARVKVMASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >Dexi7A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:7A:20345734:20346517:-1 gene:Dexi7A01G0009280 transcript:Dexi7A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILFSILSDVEYETAGYTTLMESLTHEQMLERLAELCVILSFHLNPWQAKFFGGFLTAEALIKKMNKLLGIPEENEEGVPLIRNPPWIWFCLKSYRLDKNDPWGSRRYRVFGTEIRD >Dexi2B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:2B:3327617:3331096:-1 gene:Dexi2B01G0003830 transcript:Dexi2B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALREAGRRRRSWAAEAGRRCFSGGSAGAAVAERKEGGGKGVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAKIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLSSIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSQAEVIRKGSDITLIGWGAQLAVLKEACEDAAKDGVSCELIDLRTLIPWDKETVEASVKKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKVLDAIRATVNY >Dexi8A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:8A:20772773:20774152:-1 gene:Dexi8A01G0011750 transcript:Dexi8A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAASSKLHGAAAPPRLLAPGRARDALVFAAGVFAAALVLLGSSASVLAPGLSSGILVASPVPGPEDGPPTFYDDPSLSYSIDGRRVTGWDAKRAAWLRSRGLLHRGSPETKVVMVSGSQPEPCTGEAGDHLMLRLLKNKLDYCRLHGIKLLYNRDFLHPATAGNWAKIPILRAAMLAHPDAEWLWWVDSDAVLTDMDFSLPLATKYGSYNLVAYGWPEKIKKKSWLGINNGVLLLRNCQWSLDLVDEWARMGPAFPEAHARFGKLAMETLSDKKDPWFDDQTGLVYLLLTNWDRLGEKVHIETEYYLQSYWLGMVDQLDAIAARYEAVERRRSSSSPAAAAAARALRRRHAEREHLRYAAARNAAVRGVVAGPAGGGYGGWRRPLITHFMGCQPCNGKQNPMYSKKSCDEGIRRALAFADDQVLRVYGFRHASLLNDTVLPLPFDYPAAATVERNG >Dexi9A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:9A:7637989:7639252:-1 gene:Dexi9A01G0012060 transcript:Dexi9A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTADTSESPLHVVIFPWLAFGHLLPVLELAKRLASRGHRVSFVSTPRNISRLPQVPPALAPLIGFVALPLPRVDGLPDGAEATSDVPPGKADLHTKAFDGLAAPFSSFLDADGDKIDWLVLDSFHYWAAAAAEGRNIPCVLYLVFSAETLSRYGVPRGVSATAVNDLGAPSIAQRFVLTFEICKLVANRTCHELEPETVPLLPGIFGKPVIPVGLLSPPPSREGHNDTAASAAALMTWLDKQPPSSVVYAAFGSEAPVTVEQLHEVAHGLELAGTGFLWALKKPSGGGGGLDSEGGGLLPPGFEERTRGRGLVTMGWVPQLGILAHGAVGAFLTHCGWSSTVEGLLYGQPLVMLPFLGEQEINAQLMERKQVGVQVPGMATTDPSTVKGLRARSELSCPGKKVGGQCQEAARDRG >Dexi3B01G0026780.1:cds pep primary_assembly:Fonio_CM05836:3B:22198110:22200702:-1 gene:Dexi3B01G0026780 transcript:Dexi3B01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAQALKKHSGAKNTGSGCNAGAVRRPRTLQCQPLQPRGRNQEHPIVIEDEVNEGYKVRDDQSAMMPLRRSPRFHQEDRSFGKPLLPPNCQETSHKRKAQNAIRKDKNHGSLEGNMKNDGLKPFAKMNSRKKPQILCQDPQDIPTRKKVIDVSHMKSDKQERKSSNYEVVLGKRKRSAKGRSSEKKRGYKEPKRVIHRKIEKGPSIVVKPKAGHERLTKIDANMDEPSGIEREGIKNLCDADDWTEEQDVALRKAYFTARPSPHFWKRVSKLVIPVLKSFAEPCKVPGRSAEDCFNRIHADLSTPTPIGPRPRTSKTTFSPIGNFSLSDPKLPNLLESTVGRQRTAKQKSLAAQKTVRHLLQKHCLKDRAQEADHFSLFETSPRAFQLNISFEDSPGTPESYLNSGSLDKCSGSSSARKKSFSRLRAKQAERSPAVLKPIKNVILHEKYVDQLSRREGTKRPRKRTPGSKQVVSGKTISRQQAGGLKAAKNALISEATDFISQFKKLQANSLAHIVENDEHDGGIECDASDSCHDDDDEA >Dexi3B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:3B:93004:93915:-1 gene:Dexi3B01G0000100 transcript:Dexi3B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAALLLFFHLLLLLLLPAPSGAQPGFFSLDCGGAHDHADAIGIQWTSDASFVTGGQTAQLLAQNGLQSHQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVINDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEARFFLALSARINFGAESNDSVRYC >Dexi1A01G0022200.1:cds pep primary_assembly:Fonio_CM05836:1A:28905863:28907846:1 gene:Dexi1A01G0022200 transcript:Dexi1A01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQDSFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKAFADEMGIPFMETSAKNAINVEQAFMAMAASIKDRMASQPSAANARPATVQIRGQPVNQKTSCCSS >Dexi1B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:1B:23273801:23275979:-1 gene:Dexi1B01G0016810 transcript:Dexi1B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTRASAAAAAAPSRRKVALYLTLLTLQYGAQPLISKRFVRQDTIVTSLVLATEAAKVWQKQSSKQILALALLISAAVLLSVGESSSKGSKGGSSDYVLLYGIIPVTVASMLSGLASSLCQWASQVERTHHITFEDTTYGHLLTANIFNNNAG >Dexi5B01G0039330.1:cds pep primary_assembly:Fonio_CM05836:5B:38241481:38242295:-1 gene:Dexi5B01G0039330 transcript:Dexi5B01G0039330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSPVPTFYHMWKKGGSVERYSAVPYLATLLNCMLWMLYGLPAVQPGHSDLAIIAISATGMATQLAYITIFLAFSSAGRRRLIGLLLVATVAVVGAVAALVLSLAHTHGSRAMIVGLIMVVFGTGMYASPLTVMKMVIQTKSVKYMPLFLSITLLLNSICWTAYALVLRFDLYLT >Dexi7B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:7B:25253693:25254669:1 gene:Dexi7B01G0019780 transcript:Dexi7B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICIAFFVQYNFCTSAQMPNDRANWSDMATKTLLDLCIEQKRLFNWNRLGPSPHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYQTWKELQNSSGLGRDRNTGGVAADDTYWDTSSEQQTHGKPPPFLEELELLFGHTPQDRGTLLTAGGVRESTPTIGSDDTPQEISEDPHSASAVRNTSKRTSRDEVVDSPQKKKSASMEDYVKEISESVAKRCERRSREQEECDRTLQILEEDGIMEGSELYCMATYLCTKAANRRFFTQMKTKEGRLNWIKFHLEKGTK >Dexi3B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:3B:7576795:7579220:-1 gene:Dexi3B01G0010790 transcript:Dexi3B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGASRPSTVSFRMPTRDNLVPIRVDLEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPANFVPQMLQSIQGQIAEFRSYEGQEMQIKEKIVPLKIDLRVNNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSVMREKQLSKKGRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRKRKEWYLYEPVVDVVANEEDGKEETNNSSRPKKRAEEKVASLQ >Dexi3A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:3A:10128040:10130518:-1 gene:Dexi3A01G0013880 transcript:Dexi3A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYRNEWAEALGIEISSPRTKDGTMVVNRLSPPWDDQEKLCFPKGKLMPSLSFKLWETEAAVASIVDKTACGDDDDRRDSESEVVFMASPAASPCCVSPSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLARQHWLEAIDPRHRLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVESGKLVYKKNGAFVQTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFREFIRYLEENGVDLTDVKKCPIDKDDEYPLLSKPDAQPNAAVSNDTNVTNHTAAGAAAHEPMSESEVVDGDIHRAIDDGNMSEAEEDDSDIRSHTDTEEEAAQSSEQLHKDTPAAAAEHGKNHLTCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLGGSPARKRDPVPSPRPSPAMILSPRLASVGFHPQTAVSLTLPDFKRSRMQ >Dexi6B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:6B:2263351:2266735:-1 gene:Dexi6B01G0002600 transcript:Dexi6B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRSAGAPRWSPAAGNPAGAAGYGTPPVSAGGGGGFGTRATPPTSGGGGGFGTRVTPPTSGGGFGTRITPPTSGGGCSSRPPRPPPPSLDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMANVLKQANRAEEAIEAIRSFRDRCPYEAQDSLDNVLLDLYKKCGRTEEQIEMLTIKLRIVDEELASGRWKTKPSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAIEADYNKECNLAICLMKTGKLAEAKYLLQAIPYNCDDESHVKSLSRATEMLRELELQSLPSPITQMKSKESRILLAADFKMFEDPQPQTLSTPLSQLKYKEPHISVSENAEKHDNCSSWLPSPMTQLKREEPQISVTADTEKSEGQAESQDLSQLFNDAATPHSILEKLRKRLVREAPKNGIHDQTQTPTSSECLPNPDRNQDTSENPVQGGKLLTKVVRKTWADMVDEEEQQLGEKKPSADMVAKDEQQLDDDKLTVGVGTTERSESSKHVNKQEYITPPSSQGSSSLHRPDVGGHQQGSSANSWRRSDSKITSDNKVNWDLVRTAPTWSKHKVQDHRDRVCQRTNTAHLNENTSGSKQAPWRSSASQRTLFPNWKSKGEGYGHGYVPFDDNGHSQGSGRTEATHRWHNDVAGTVSWRPQNRLRVFQEITNEINQNVV >Dexi8B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:8B:21118115:21121582:-1 gene:Dexi8B01G0011850 transcript:Dexi8B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCNREEAFRIREIAVKKMENRDFVGALKIVHKAQKLHPELENVSQLLTICNVHCAAELRVNGGIDLYGILQVEEGADEALIRKQYRKLAFALHPDKNCFAGAEAAFKLVAEAHSVLSDPTKRSEYDLKRKNGFIIGNVPKPATKQQPSKRTEKQSRPGSEETFWTICSHCQMRFKYYISILNTMVLCVTCKRNFFAYNMNERPMPASSSVPNGSQFPNKQPRTHNQQGHRVKPSHAVRGTDVKLNSSQEASHMFPNHQHGVPCQNAHKPSAGMNVAHRDEYMKEYSRTGCDEKPNHPDASRGKFEHSTVTQDKSSVQTENETMHGRSIPEVHPNILNRQNQLREDASAEPEAMTVPCSSKLSSEGGKTDGDPRINLACRRSMPDPVDPNIADRSNLDREDAPTVPSAAGSSGTQRSVRRKQDADGNIFLNVDTKKRQRKNDLPSNVKQSDPEAMNVPYPAKLPSDGEKADGDPRINVACRRSMPDPVNSNTAARSNMDREDVLTVPSALGSSGQRSVRRKQDADGNIFLNVDTKKRQKKEDLPSNVQQSNPPHFSSNVDILEKKKTTDTCDQINIEERAPESDISDQDNIKEEAPETVSKKKPSYSELVTFPDPDIFNFEKFRDINLFAVGQIWALYDNLDGMPRYYARIKQFEASNFKVHLTWLEYDAMDGAEENWSDEELPTACGNFCLGKGTDISHDRSMFSHIAAWTKGKKRNSYVISPRKGEVWALYKGWSMEWSSDADKHRSYEYEVVEVLSDMSINGGATVFPLVRINGFVSLFATAKDKSSFVIPSSELLRFSHSIPFYRTNGNEKVGVPGGFLELDNACLPADLDAAFSSVTLDSYMSLGKKESSTFVGMSTDNTSRRTDPGDEQIAQENHSEAHACHPIKWEVTITPEVGEIWAVYMNWTPDWVPSSGGACEFAICEVVKHTEASTKLIFLAQVSGYRSVFRRDMKKGNLEIPVQERLRFSHRIPSFLLTEEQGGKLRGFYELDPASVPDAFLYGDT >Dexi5B01G0026820.1:cds pep primary_assembly:Fonio_CM05836:5B:28491165:28497452:1 gene:Dexi5B01G0026820 transcript:Dexi5B01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHDGAEEPSARAPLLPPPAPRRAAARLHPLPLIVAAAFVASFRLLFLAPDPSYYQSLLLSLGSNDTAAAHLRALTLRPHIAGSEANALAAAYVLDALSSHSFPTHLTPYSVLLSYPERRSLSLSAPGRDTAHFALEQESYPGEPYAAEAVPTFLAYAASGSVAAEAVYANYGRAEDFAYLAARGVNVTGKVAVARYGKVYRGDIVRNARDAGAAAAVIYTDAKDYAAGKAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCQRLSIAEAMASEDMLGIPALPVSGMDGEAILQLIGGDVAPEDWQGGVSAPAYRLGPGPAVLNLTYVGNETMATIQNVISVIEGKEEPDRYIGSTEWVEENRAALTSRTVAYLNVDSAVAGPGFYASATPQLDELLKEARRCKIRITELRVSLTCGWLLTPLPCSNDMHVFKIGRLGGGGSDYSAFVQHIGISSVDMSFGPGYAVYHSLYDDFIWMEKFGDPLFQRHVAAASIWGLVALRLSDEEILPFNYGYYAAELENGAMSINARVLGMPVSLSPLDNSIKKFRRAVLKVDSELKIYGPSLHNDYGAEIYPGVDDAIQTAKKTNTSKSWQAVQNEIHRIARVINQAAIVLAGGLT >Dexi8A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:8A:23125545:23127830:-1 gene:Dexi8A01G0013120 transcript:Dexi8A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLESPDPKIVASQNLCGEDASTVLNSSGSCNFQRLCKRKQGDCTDSSHSRAPCNDKRQSKDKSLAEVSSIMDKMYNDNVPGAENQASKHLPSTVDSQDKGNAKHEGRQQKYKKEATDTTNQTHVNPVITYECSDSSCSRDSCNSKRQRREDNSFADANPSDGKKYNDNVAGTDNQAAKHVLSTVESQCETNATQEGSQQKYMEGTDECADKICNMDSCHNMIQRKDNSLADVNSNDDKMHKDNVSGTENQVEQVLITVDHQDDATLEDSQQKYKEQTGIANQMHVNPVIAYECPDFFDFGKLRDVNMIAVNEIWAFYDDHDFMPRVYAQINHVDASNLKVQVTIVPKIGEVWAIYKNWAPDWIPSSKDCPAEYAIGEIKMCTGTGTLFAFLTKVHGYISVFKPDAQNGALEVPMNENLRFSHRIPSFRLTKENGGKLRGFYELDPAAVPEILLYE >Dexi2B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:2B:25532511:25533031:1 gene:Dexi2B01G0015520 transcript:Dexi2B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSESTRRGRLPSRRRNVPEDTRDAGAAEASVKTAPADRSSPAPSDHPADSDESTSLPSTQREPPERVGVRNRADVGGSSWIPPSSIAPPPLPPPPPRATAPAAADGALLAFWIFFGAVQGANDGAALWDWAELYG >Dexi2A01G0036650.1:cds pep primary_assembly:Fonio_CM05836:2A:46129843:46130915:-1 gene:Dexi2A01G0036650 transcript:Dexi2A01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRNKKNKAAMELFGDCCLIFDDDRPTLSCNLFDSMHVEISLTCSICLDTVFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSADRRSKCPLCRQQGVFPDAVHLGELNMLLRHSCPEYWEKRLQSERVERVRLAKEHWESQCRAFLGI >Dexi1B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:1B:2101549:2108011:-1 gene:Dexi1B01G0002630 transcript:Dexi1B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDADDWDDLIFLDELCRITDEVSPTSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVSHLPAAPPAASVSYLPAASAATTVSYHPAASASVSYLPPASYEPPELGFSPPRDLTQRPPLPRPSAASSDAEAMSTAGREFSPPPELSQRPAAPPEESDVAIVAASGPAAGRVGAKKETRELERLKVIPLAVIGAIFTWLRCICVQFLTPFILTTVQRELSRVSKQMNDLKNECTELRKDKTRKDLQIKAKEVEIQNLKKSNVLTVDSENSSESSSAINEAVSQVYDMFIKINNEKISIQTFLEALLNLCAFENAPIVSRTLRIMLSILQHLLNYVTKSIERNNVSVEPYINIDMESTHKDSSGLPSPLDTENLLRQNMSIPFTFWSSVFTVMLQIVVKYSEETIRTDALSIMILILRTADPQEERHRFGFTSVMARLHLLLQKENGLPVKKHSVRLLFLLLNCPMILKLLCSGGKDGPEQMESEGCENDRLKLPCNGAKDGSEQMESEGCRNDRSQVISSVLVDLSECLSCGATCSQEQEIELCRLIIVLLAYIASSGKLGYEVLLGPVSGHGANFLELIMQVLASQMQYETQELLKERYLFRLAFSACWVIPCLLMREALILLNRLASHANFSKPTLEVLTRSKLCATLTIDVANRLPQTRMANDLAELAQKFRSRVYAFLEEKPLTIEQ >Dexi5B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:5B:7497112:7497915:1 gene:Dexi5B01G0010590 transcript:Dexi5B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDICPLSPGAASGGGGEPTSWCRSGRWHAHCCDYPSLCTSRQPATCVQGELYGGFNRIEGSKLIKVVLGTSKND >Dexi7B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:7B:19755564:19758339:-1 gene:Dexi7B01G0013200 transcript:Dexi7B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAWRQLLAGASLLWWLLPWASAIHRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGTIKDGSAGDVADDHYHRYDSLGTNAYRFSISWARVLPRIEPFVTLSHYDIPQELEDRYGAWLDAEIQKDFGYFADVCFAAFGDRVRYWATFNEPNVAVLAGYMLGTYPPARCSPPFGSCARGDSDAEPYVASHNVVLAHATAVEIYKRKYQTKQKGLIGIVMYTTWYEPLNDVPEDRLAAERALAFGVPWFLDPIVYGEYPPEMRQLLGSRLPTFSPEEKRKLGYKLDFIGINHYTTLYVRDCMFSSGCPLGKATQHALAAVTGERNGVPIGPPTGRSMFYVVPDGIEKMAMHRVEKLITLPKIGFWTTIGYSTLTATSPKLLKDGADVRGYFVWSLIDNFEWLYGYTLRYGLYYVDYQTQERKPKASALWYKRFLEGLHEAQ >Dexi4A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:4A:20668286:20669207:-1 gene:Dexi4A01G0016910 transcript:Dexi4A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSWQYSPVIGRGLDGAGVVILGAAGVAGGLPDEARVERERLGVAGAREDGALAELLRPGGVPFHLLEEPGVVHQQQRVARCASAAARWPPSPAAASPPWPPHAFTPPPPPPPTPCSASAMAASRAAAADNTAAPCLVGGAAAADGDDTTAWCSNAAASTQLSSGDDALLSSISSPPSLVFFLASRYSSLLLSLPSQISRAAV >Dexi2A01G0022540.1:cds pep primary_assembly:Fonio_CM05836:2A:34408780:34412017:-1 gene:Dexi2A01G0022540 transcript:Dexi2A01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKIAPSMLSSDFANLASEAERMVRLGADWLHMDIMVRSPSPSDHSLFYFGFPPVCKCRHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPFGKAGASGFTFHIEVARDNWQELIQSIKSKGMRPGVSLRPGTPVEEVFPLVEAKNPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPCLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAADPGEVISVLRKSVEGSQNKS >DexiUA01G0021400.1:cds pep primary_assembly:Fonio_CM05836:UA:44344667:44345662:-1 gene:DexiUA01G0021400 transcript:DexiUA01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKGGCLALSRAVFDRLPRRNVITWNVLIMAYGMHGLGDKAIALFDRMVASDEAKPNEVTFIAALAACSHSGMVDRGLELFHSMKRDHGVEPTPDLHACAVDILGRAGRLDEAYNIISSMEPGEQQVSAWSSFLGACRLHRNVELGEIAAERLFELEPDEASHYVLLSNIYSAAGLWEKSSEVRSRMRQRGVSKEPGCSWIELDGAIHRFMAGESAHPESALVHAHMDALWERMRGQGYMPDTSCVLHDIEEGEKAAILRYHSEKLAIAFGLLRTPPGATIRVAKNLRVCNDCHEAAKFISRMVGREIVLRDVRRFHHFVDGACSCGDYW >Dexi5A01G0033060.1:cds pep primary_assembly:Fonio_CM05836:5A:35450469:35455173:1 gene:Dexi5A01G0033060 transcript:Dexi5A01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGALPQPRRGRPGPWPPAPPPQPQAQPLSWAKRTGFQPRVSGESLPSASAPNSGQVPLPRPTVAPADLESGPPARPNSVLPPPPAAAGNGERQQPPPPPPQPRTRRRDSDGVRPNVQAAAPSLPQLREEEEEPPERPAHVKYELRDTPGVFPLVIYGFQHYISMVGSIILIPLVMIPAMGGSPDDTAAVVSTVLLVTGMTTLLHMFVGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFAKLGTCIEMGILQLLMVVIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFVLTATGVYGYKGCDANIPASNNVSAFCRRHVLRMKSCRVDTSHALRSSPWFRFPYPLQWGTPVFSWKMGLVMCVVSVIASVDSVGSYHASSLFVATRPPTSGVVSRGIGVEGKVGAFIASIPDVMVNYVLNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAAKRESAFMKDYELPFKLGRVFRWAKCVGL >Dexi4B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:4B:972474:973918:1 gene:Dexi4B01G0001640 transcript:Dexi4B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKPKGRKGAPAKLGTVTEAPVAPSAAAAGGKGGKVPAEEVWEVRPGGMLVQKRGGGASDDEPSPNVKPVPTIRVKVKHAGVTHEIYISSEASFGELKKLVAAKTGLHPDDQKILYKDKERDSKAFLDMAGVKDRSKLVVVEDPEAKARRLIEERRNGHLEKAARAVASVTAEVDKLAPKVAALESSVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRMQVKRVQKYVETLDAVAAKNAAIIRKSGEKAKQAPPPPPQQQHQQPRQQQQQPQYNRQQQQPAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPPTNRLDWMLF >Dexi4A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:4A:24936063:24936682:-1 gene:Dexi4A01G0021370 transcript:Dexi4A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSCGGGGAKRQRGDERGVSADRISALPDELRQRILTGLPFKDAIRTGVLARDDPQGELDALPRPCRRLDRFSLVVDTTKFKSTELRGFTDYAAECRVEDLHVELQKSTLKILNFHLPLSSPLLARLSLRGIGVTSSMYYLNAQPFRALEVIRLDSVDISQIGHAA >Dexi9A01G0039770.1:cds pep primary_assembly:Fonio_CM05836:9A:43611743:43612427:-1 gene:Dexi9A01G0039770 transcript:Dexi9A01G0039770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTIAGLNVMRIINEPTAAAIAYGINMDKGLFEVKAIAGDTHLGGADFDNEMVNFSLEEFIRKHREVAIKRNYKALRRLRTACERAKRMLSSTTQTTIEVDSLYAGIDLSITITRSRFEELNKNLFGKCMEAVGKCLHDAMMDKSSIDDVVLVGGSSQRCRKCSRSSLMGRSFAGSSTLMKLLHMALPYMPPS >Dexi9B01G0043710.1:cds pep primary_assembly:Fonio_CM05836:9B:43668538:43670720:-1 gene:Dexi9B01G0043710 transcript:Dexi9B01G0043710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIALMVVLSMAQYASLATTPGPRVIIIGAGMSGISAGKRLSDAGITDLLILEATDHIGGRIHKRNFAGVNVEVGANWVEGVNGGKMNPIWSIVNSTLKLRNFRSDFEYLAQNVYKEKYVIK >Dexi6A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:6A:19397577:19405631:1 gene:Dexi6A01G0012700 transcript:Dexi6A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPARFQGQARLPRFAAPLRYDLRLRPDLAACTFSGAAAIAVAVSAPTRFLVLNAAELDVDRASIRFQARIGGPTRARGGLVPTEVTQFEEDEILVLGFDRELSVGEGLLTMDFTGTLNDEMRGFYRSKYVYNGESRNMAVTQFESADARRCFPCWDDPAVKAKFKLTLEVPSDLLALSNMPVVKETVNGPIKTVDYEESPLMSTYLVAIVVGLFDYIESSTSEGTKVRVYTQIGKTNQGKFALDVAMKSLDLYKEITNGNFLPSYFATPYPLPKLDMIAIPDFATGAMENYGLVTYRDTALLYDELLSSASSKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVDSLFPEWNNWTQFLDEMTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAEPFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPIKDLMTTWTKQQGYPVIYAKINGHYLEVEQDLYFLGIVEDSYALSVARMQTLTSLLRLLNAYHDESDYTVLSHVTSVSKSCTSIGFLNLVSPIDSVTLDKNWDHVFNTWKSSELISDFIESIVSPFTSDEKAAEVSEFFADRIKPSFERTLRQSLESVRISARWIESIKSEASLGQVVQELLQGEA >Dexi2A01G0031120.1:cds pep primary_assembly:Fonio_CM05836:2A:41901041:41901375:-1 gene:Dexi2A01G0031120 transcript:Dexi2A01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKHVEKDLAAADSLRKWRGAGWKAWAFAWSVALSEEAVGRDDGEAVQREARRGEALVDELAVGGNRGRMDHEEQNEDDEGRKPGKHSFFLECTVFCEWLLYSVPSG >Dexi2A01G0031120.2:cds pep primary_assembly:Fonio_CM05836:2A:41901385:41901600:-1 gene:Dexi2A01G0031120 transcript:Dexi2A01G0031120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSTPTWLLLMSWNSASVMVSSSTDRMRPPAVEVPYCPAFAVERLVVGETTKASPWELSSS >Dexi7B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:7B:22808224:22809691:1 gene:Dexi7B01G0016750 transcript:Dexi7B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAETPMSRSKVEAAGRLPSMECEPKTLTLDQIKLAKEAALYVMNTKTEEEAIRIFTEGLKPVKMKTVRMSSSFDSSSDDEVELGGSSDGATRECSSGGCRHSSKGGARGGGHHRGCCCRRRSRSIERDVATAPF >Dexi9B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:9B:636188:641324:1 gene:Dexi9B01G0001100 transcript:Dexi9B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRLPPLLLPPSSTRPDLNPPGSRCRRSHLLRLPRRRAPAAMADLAVAPPPPALATDLFGEPIEAHPPWFKPDSFLRPDFDPDAYVAELRSYVPLESLAAELRSHLAALRAELVGLINRDYADFVGLSARLKGVDTAAARMRAPLADLRDKVAGFRAGTAAALAALRVGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPSAPSDSSNAVVHSVNKGYPSNDTGAQNVEAGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYAKAVAGASSDELEDDYQQIKQCVEKDCKFILEISSSENSGLHVFDFLGNSILKEVLSAIQKGKPGAFSPGKPKEFLKNYKASLGFLDFLEGYFQSKSAVTKFRSEPAYTDFMRQWNVGVYFSLRFQEIAGGLDSTLTNNISPAGVNEAQEKPLLLKQSIKLLESLQACWSDEVLVFSNCDKFLRLSLQLISRYTTWLSSGLSARKGSDGSPNSPADAEWTFSIPIDDFIYILHDVHAMIGELSESGSFIGHVNQLLASCPIVVLNLVKQSILQAVEPLKELLPAIMNAMIGIIVKKSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGERVKYLSEDDKTKLCRGSTDKITATYYDLVSEVVTVARKTESSLQRLRQGAQRRVGASADASDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIDSYRALWQCVAPKDKQENIQF >Dexi5A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:5A:20760508:20767235:1 gene:Dexi5A01G0017510 transcript:Dexi5A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAHELRESAMDEINLLRQAQRQHQHQHQHHLMVRGMGEEIDLEIGPGDDPSFSSSALVAVSSGHHDTAVPADDHKSLLIPCSQPGAMDGHAQPPAPQPQLAQGEEHDDMLLLPSAHTKKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEHGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKLQPPQIERPVYVKALSKTAASILESVLRRDPHEAEFIQSVQEVVHSLEPVLVKDAQYVQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPAQDFPAEDIGVGPREMDFNFSTVVLMQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPITVSDWDGIDEYDGQMTMAAKLQMECKEMQQMECKEMALTLLGCNDMQATMTVSWLTGVRDPGAPLKEVLALVEDWRKKMDVHALAEDSKGYLLDEDGFDYVKYSLLRDIKAQQKSLKEYLKSYPHAKYIDDAKPWSEQYDVAFPCASHNEIDQGEAVAIINSGCRVLVECSDMPCTVQAVYILRKAKVLVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQQDAIKQTYERYLVHGANICAFLNIAQAMTDQGCV >Dexi9A01G0029890.1:cds pep primary_assembly:Fonio_CM05836:9A:34745541:34747987:1 gene:Dexi9A01G0029890 transcript:Dexi9A01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGGRKLPFFTRSSSSSSSSKRNRSARRLPSLPKQDNPARALLASPTDATPSATPATTAAGQAAQPPPPLSVSAGAAGAVSGKVGKKKAGARLWMRLDRWGTSEIVELDKASIIRRAGVPPRDLRILGPVFSHSSSILAREKAMVINLEFIRAIVTAEEVLLLDPLAHEVLPFVDQLRQHLPMKSLVGGNGECAPDGNGEKQHGQVPCLNEATGAERELPFEFQVLEVALEIVCSSLDLSVADLERHATPVLDELTKNVSTRNLERVRSLKSHLTRLLARVQKVRDEIEHLLDDNEDMEHLYLTRKQLQNQQVEALMSSAASNSIVPVGASVPRLNASFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAANTYIVGAFAMNIPSSLYNFTDNRLFWPFVGGTSSGCFIIVILLLGYAWWKKLLGP >Dexi9A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:9A:15544901:15550190:-1 gene:Dexi9A01G0020500 transcript:Dexi9A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPNPFLRFAPAPQLQLPLPNPPLSSAPVPYPALFHSPPPPSPPPQPLTVDSLSALLFHSLALSAWKSTGLSTWSLRVNPSSGNLHPTEAHLLFPHPEEPGRLAVAHYAPRDHLLEVRATAPAAECAAILPAPATAVLALSSVFWREAWKYGERALRYCNHDVGHALAAVAIAAAALGWDAKLLDELSCEDLGRLIGIEKGRPAAMPEELPDKVVKGKAPWAERQHPDCAVLLFPAGSEPEVDYGRMSEVLRRFDGLNWVGKANALSKDHVVWDVIYRTAEEVKKHGPAPEECFSVMPWQKSPAMLEGLYKEFTVQEVVRRRRSAVDMDGVHVMERDTFYQMLLHCLPSGEVSSGLPKGLYFLVRNEEHFGALQRAMRQDFEWVRPEGCPDGLPLYRLMKGDCQRLSMQISCFQEIASHGCFSLGMIARFEPVLHDKGIGCYFDDAVHDVLGLKDLEFQSLYHFTVGAPVLDRRIMSLPAYPGPGIDA >Dexi2A01G0025840.1:cds pep primary_assembly:Fonio_CM05836:2A:37490030:37490925:1 gene:Dexi2A01G0025840 transcript:Dexi2A01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRCCTFLEILLAVILPPLGVFLRFGCCSMEFCICLLLTILGYIPGIIYAIYVLVALDSDRHEREYYAVA >Dexi5A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:5A:6197782:6199934:1 gene:Dexi5A01G0008310 transcript:Dexi5A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSKVMGMSHAWMLRRVAAALVAVALAGAAVSAQAPPPPVTSAMLQQVAGSLQMYVDPLPQMPKIRGYDFQHGRVVPVNLTIGMFQKKWKFHRDLPETPVFVYGQCADSATFPGPTIVARHDVPLAVTWENHLPDHHILPWDPTVPTAIPGSGVGVPTVVHLHGSAHPPQSDGSAFAWFTAGFRDTGPAWTQATYTYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDVPMDLPCGEDDDLHLVIADRSFNADGSLYMNSTGAAPSVHPQWQPEYFGEAVTVNGKAWPFLAVRRRRYRLRILNASNARYFNVSLSNGVPFHVIGSDSSYLAAPVTVPSLLIAPAEIFDVVVDFSAMSSSPATTTELEMLNSAPYPFPTGTAPGPLNDKVMKFVVAPNGPHDTPDNSTVPEREVPYANVASPGSPAPETTRYIVMYEYVTASGQSTHLYINGLRLEDPVTETPRSGTTEIWHVINLTGDNHPLHIHLGMLQAVKMQQLVGLQAFTDCMTQVNDAVKCRVDQHAVGPVVPVPEHEKTWKNVVKVPPGFLTTVVVAFKLVDTNQPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLLP >Dexi2A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:2A:27896867:27899783:-1 gene:Dexi2A01G0016320 transcript:Dexi2A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQPASDASPSRRHNAHLSEDGTYAMKKVLIQSKEQLDLVREEIRVSSLFNHPNLLPLLDHAIIAVKGDWSHEAYLLFPVHLDGTLFDNANIMLSRKEFYSTADVLQIFRQMCEGLKHMHSFDPPYAHNDVKPGNVLITCRKGKAPVATLMDFGSASPARKQIRSRSEALQLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMFNVSPFEYALGESGGSLQLAIVNGQLKWPAGPNPPYPDELRQFVIWMLQPQPAMRPHIGDVTLHVDKLIAKYLS >Dexi1A01G0030030.1:cds pep primary_assembly:Fonio_CM05836:1A:35400136:35405287:1 gene:Dexi1A01G0030030 transcript:Dexi1A01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASVLALAIIGCCALLLLLAGGAVAAGVPVAGVEEFEERGRGDRVEALPGQPPVGFAQYAGYVTVNEEHGRALFYWLTEADDAATTKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLFLNKYSWNREANLLFLESPAGVGFSYTNTTSDLKTMGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNKASPYPFINLKGILVGNAVTDNYYDNIGTVSYWWTHAMISDRTYKAILKSCNFSSINVSRFCNRAMNYAMNHEFGDIDQYSIYTPSCMAARSNATVLRFKNTLIRRRSFGYDPCTETYAEKYYNRLDVQKAMHANTTRIPYRWTACSDMLIKTWQDSEFSMLPTYKMLMKAGLRIWVFSGDTDSVVPVTATRFAISHLGLKVKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAIRMFQSFLAGAPLPKS >Dexi5B01G0025140.1:cds pep primary_assembly:Fonio_CM05836:5B:27245527:27250707:1 gene:Dexi5B01G0025140 transcript:Dexi5B01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASAARSRRRLIPYLHRLLHSGPAAAAAAPSPSTSRFLRHASPVPRTPDHSPYLRFPDARVSTLPSGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTGRRPNAQALEVEIEDMGARLNAYTSREQTTFFADVQARHVPVALDVLTDILQHPRFPERAIQRERGVILREMEEVVSAAGSVSHDEVVDRVKELFTEFSTDPTTADQLVEANPAIFTGSEVRVENVELPLAHIAIAFKGASWTDPKSIPLMVIQSILGSWNRSVGVGNCSGSSLARGISDGNLAEGLMAFNTNYRDTGIFGIYTVALPDTLHDLSRLIMAECRRLASQVSEEEVARARNQLKSSLLLHIDGSTAVAENNGRQMLTYGRVMSFLELFARIDAVDCATIMETAKECIIDKDVALAAVGPISNLPELSWFRSETCSDDEFTRRMFFAKAQNN >Dexi6B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:6B:1627781:1630188:1 gene:Dexi6B01G0001930 transcript:Dexi6B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGGVLDCSPLHLSRTRRRAPLPPAPSLCSCFSGEPRRFRPCLAASLPPAPAPRDEEPRESSINPAQLLAGVAAAAAAAASPRAALAASGGVMGGRSYSSSSRSSSSTSSSSSSFSSSSSSSSSSWSSPSSSSSSSSWSSPSSSSSSSSTSSWSSPSSSTSSTPQQQAEGTHVSVGTAQPPPLVTAAGRGAEMRFWGYLASASVSAAALFLAARHYTRPRTTVVKLQVALLGLAKSFQKDLNEIAEKVEASNQRWYKFILTETICSMHRHSNCCISSSLSVDVTDSGDSWEHHFDKNSIEERSKFDEETLYNLEGIKKKKSYSRKPDGFRNEYIVLTILVAADGALKFPEVRSYADLEVVVEKLNSIPARQIQGIQVLWTPQDENDVLLEEKLQEDYPYLKPLCDD >Dexi9A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:9A:11899576:11905565:1 gene:Dexi9A01G0016800 transcript:Dexi9A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAAAGEKKKSKGKKKGKNGPAKVAMKARGVAAEERSNPFEAIWSRRKFDVLGKKRKGEEQRVSRSRSEAIRKRENTLLKEFEESAKSSAFHDRRIGEKDDTLPEFEKAILRQQRERLAKLKRESKYNLPDEDEDDSMLLEKDDFDEEVPFDDESDEDGKMVLSKKRLSLQSGDRSSETDMPQETHGHKSKKEVMMEIISKSKYYKVEYAQKAKEREEDEHLVDKLDSDFASLAQTQALLSLTESSKVKVNRNNSSAGLTGKEIFTKAKSDTYEKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGIADSSDEDDVDEDVDHMKPDNSKPMSGDDLGDSFTFGDSTGKKKGWVDEIYEKEGRKIGDAATASDDGESDDEHANDEEYDDGEEEDDDDDAEEDSSDNDFGNMSARDWEQSDDDEVDVGDDEMEDFQEKEQEFSGKMVFYGVLLQYFAVLATQSPVKFKTIDTLVKPLIEMSGETPYFAAICARERLIHTRTRLCEDIKVPGKSSWPNLKTIFLLRLWSLTFPCSDFRHVVATPMLLLIYIKGLDYDPDRERAQRKKLNKLLKSEKKGAARELRKDNHFLYAVKERERIKQEQEKAEKAGKAWAFLQEQESAFKSGQLGKGKGRKRRR >Dexi9A01G0025530.1:cds pep primary_assembly:Fonio_CM05836:9A:26033500:26036655:-1 gene:Dexi9A01G0025530 transcript:Dexi9A01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTADPSHAAGGGQGHLCFRPTALDHLSIGTRGPDGYPMSSSAAAHPVGRAAGSSGGSHWPPYHAYSLNQRECCGAYDSLDHRPPPFDGHPPDHRDRARGAGGLSASSTPPPPAHRRAGYGVDSPSVVPALYPHMMSSGASSGRPLRSRRESDISPAPSALIPSTEGEGYRGHQHHRARDESGHNPRFHSPLRGSKSVPPLPERHPSEDGRKGSKSLPSAKRCRLEDGRKGSPSGGHWYHDGQRCESRGRYGDGWHTQELAYPSRCDQGLHNLGSSKRDHSLRDCGERAQHQLSLRVKPPSPMPMEISPWDDGYESPTSWLGSDFDGSGISGRDPSFKNEDHVLKTKEDSAYTALDAVMKSWRNPNPNIRVDKEAHQKQADRFAELALKRYNKNKNNKVKYALIEAIVGAAILEGSELYGHVNFYAKAKNGPKKDEGKVLVFAELQQIGRCPNAMALTCFRLLDENNQLCGHRNQVRSCHMIQDQDKSHCYACSDRIKHPDGSCYKAGHFVNMLCYHNN >Dexi6B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:6B:1600070:1600679:-1 gene:Dexi6B01G0001850 transcript:Dexi6B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSSSAPSSPRSNKTSVEEQLQILKATISSPSATFQTMSGSLMKLGSIYSCIDELICLPSSQRKEAEEELEHSLVLLDLCNAIQECFAELKTNVQEMELALKRGDDVAVQARVQSYARSAKKAQKQFKKTNSKAASCIEGGRVVKLLSEAREIGVAVLESTLQLLSKQVAMPSSGKWSLVSKAFQKKKVVC >Dexi1B01G0021460.1:cds pep primary_assembly:Fonio_CM05836:1B:27360448:27360926:1 gene:Dexi1B01G0021460 transcript:Dexi1B01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHTVSDHEVVFLMNESTARKRSASTENPCVACARPLPPGHDFCKAKHLAESEHGLRHALRVSRRHEVAATPERPQSRKRRPSPSSDFRPSCGGSLQKRSRKQPEPERAPFC >Dexi3A01G0024330.1:cds pep primary_assembly:Fonio_CM05836:3A:19988245:19991385:1 gene:Dexi3A01G0024330 transcript:Dexi3A01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSFLLTTAVLATVPCVIRLLHRFLWVPYRLQRRFTRQGIVGPPRRLLSGNAAEFRALLAAAAARPGAALLASFHHHGGVVARAVPHYHEWSSRYGTPFVYWFGPRPRMVVSDPEIVKAVMTDSTGAFDKSGSGGGNPLAGQLFGEGLVGLSGEKWACHRRVVAPAFNMERVKK >Dexi9B01G0032380.1:cds pep primary_assembly:Fonio_CM05836:9B:34618803:34619349:-1 gene:Dexi9B01G0032380 transcript:Dexi9B01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi2B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:2B:8029606:8030289:1 gene:Dexi2B01G0007830 transcript:Dexi2B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQDRDHKFLTKAVEEAYKGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGALIAEQVFEKTKEKFRMY >Dexi9A01G0034340.1:cds pep primary_assembly:Fonio_CM05836:9A:39116996:39117884:1 gene:Dexi9A01G0034340 transcript:Dexi9A01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHTPQDQQQQQVQGGGDGAAASHQHHRSKLTVLPLVFLIYFEVAGGPYGSEQAIRASGPLFTLLGFLVFPFAWGVPQSLVTAELSATLPGNGGFVRWADRAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGRAAIPAVAHAGRARTGMVAGMTILLSLANYAGLGIIGWGTVALGLMSLVPFVLMTGMAAPKVRPRRWAAAQMEERRKDWRLFFNTLFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIAAGYLLPLMAATGATDAPPEAWTNGYLADAAEIHN >Dexi9A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:9A:3902801:3905562:1 gene:Dexi9A01G0006900 transcript:Dexi9A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPDKEEEQEKESPCMNGGGDVASAGDDDNGGEVVGVGEEEEAEEPTTEREFAAAMAELAPEGVRALHARVEAEWGPVLQSACQTAAARALWGRAVRDPAAAVLAGERYLRGLHDKMRRDERAGAREVHGVMIAVRTLWFDARIEAAVDALGGDPQVVILGAGMDARAYRLNCLKECTVFELDFPELLEMKSDLLHEAMSSANHQKLTLMAKSLIRVPANIQDGDWVTKLQSCGYVPERNTIWVLEGIVYYLHHADAMQVLETIADRRSSACTVLLADFMNKNATSISPTMYHFYHDSPDLLLPSIGFSKVALSQIGDPQAHFGLLNHPENLFEKLRRLPRSLEKNPEDGTPCCRLYFVEASASPDDQIMCPLDHYLE >Dexi8A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:8A:8086507:8091137:1 gene:Dexi8A01G0007250 transcript:Dexi8A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPRKSGVAMMASRLRLHRYVQADRINPIYLLFIFIVRLVGIRPSCCPPSRAFAAVRAQKIQLPKKNKLAIELQLQPNLTGKVIVDGRVVNKAGTQVSDKSVIEIKAEIPKYVMPAVIKVMKMDSTLITLIKPQFEARRSQVGSGGIVRDPLVHKEVLDRIISGVEEFGFCNKGWIESPIKGAEGNKEFLACFHRIPVSESQPEVETKAEAEGMTGNAA >Dexi4A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:4A:8785900:8787075:1 gene:Dexi4A01G0010910 transcript:Dexi4A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSPKDPALEAALRRNRRWVVNNQIKRLLLRFPSRAAPVRFLQSRFKTLDLMGRAANWLRKYPSCFEVFSADAEGGSGEQEPYFGFTKRMAALVDAEEVAVAASEPAMADRLARVLMLSCGRRLQVSKLAALRGPLGLPDDYLLRLLPAHTDLFRLSNPYPHRRNAAELELIRWMPSLAVSAVEAAAAANNSAPRLTCSLPASWAKSQAKMEEFNSTPYISPYSEEWPVPGTDAEAEKRAVAVVHELFSLTLWRKMSILKLEHFRREFGLPEDTARMLLRYPCLFYLSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGDLMQEGLHEYNQRRRAANLEKKRRRGEIEVKKEEEKVEDQEVARLDSAEKREERRRFYKVLFDDGNP >Dexi9A01G0048590.1:cds pep primary_assembly:Fonio_CM05836:9A:51325706:51329062:-1 gene:Dexi9A01G0048590 transcript:Dexi9A01G0048590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDNPRDKWVYDTSQFDNILKKLKVPRKNEDICQVDQKLEPICLDEPDPIICPDAVSQAEDVNWWGHKFGFVSGGFLGATSRKKKSSRKDPANVRQTFAEEDQENLYNLVQDKATSGKQGLGIKGLPMKIAGHRWKGNKTSFGDSDEDDSAQSDEYSEIEEDNEEQPATAVESIEIEKNTEKESHAGVRSKTKVKKLCKRILRQAPSQSMKLKDLKVAVEEHSNVVFSSFSCRREALLFLKKKLQGSRKFNVDGKKVHLVS >Dexi5B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:5B:5280199:5280411:-1 gene:Dexi5B01G0007760 transcript:Dexi5B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAMTAANYSQLLNDGFVMEWAATSVGDCSGCTASGGQCRYNNATAALACLCPDGNLQGSTCAANGEFQ >Dexi5A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:5A:21900199:21903545:1 gene:Dexi5A01G0018380 transcript:Dexi5A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDEAATGSPLVGNGTADVRRRRDQAKEMLSKQAVKIATKAEEHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHIVFQILKVCASTWNGGSFILEVMPRQVVQKQEKKKLNKKPIEQGNSTAHVEGDDAPDNHHEDTAKEQNQ >Dexi5B01G0035220.1:cds pep primary_assembly:Fonio_CM05836:5B:35277977:35279714:1 gene:Dexi5B01G0035220 transcript:Dexi5B01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLPVAAAVAAVALVCLSGLCRAERLGARECEDLGFTGLALCSDCNALSEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYLYGSPPKLIMLDDKGEHKETIRQVPLN >Dexi1B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:1B:4108220:4109275:1 gene:Dexi1B01G0005040 transcript:Dexi1B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPLLGPMRVSLVCSANPMNHRPKNSNISRQQKGGSSRGKGKPYQDKDDSENIDEFDSDVMFSKNGPPTSLANNSRPQATSAPGEREKEIVELFKRVQAQLRARGKGREDKKPEPAKVQGERGSVDSLLKLLRKHSVDQRRKGSDDREQNFDITRRSNDSGNRQSLAILGTKSDTQEDQKKPPPAPFKRPASNFRRRSPVPGVRFQPVINADAEADADRKSITNNVTDAVQKAKTALDERTTTDEPESVSPYEPDSEIPSENISLDDFDALSDDESDTEDFNDEEYSEAALENADVTDIDESHDDGATENSDLSSLKVAELRELAKSRGIKGYSKKKKHELVEILSGMA >Dexi8A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:8A:25363949:25364936:-1 gene:Dexi8A01G0014790 transcript:Dexi8A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVVPSLPFKRLAVLLLSGSDDVAFLKSELRSMLAVIHHSEFIDHLHDLPRSWVNATRKLAYQVEDWLDLHALAVHAAAGGGGGKPSSSSSRLLSWLLPRGAHMLTTLPSHKSIAKELQALKQRVVKLNKQWELRVRRLWCPLDSPTVLIDPRPIASDEQLVGLDDGPIKEVANMVMDAGDKQAQLKIVSIVGMAGSGKTTLAKEVYRRLTEERSCFKCRAFVSVGLNQDYGKTLIDMVAELTNRRNKHVVPIIRNEIITMTREILNKERYTLLWWMTYGICRLGK >Dexi3A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:3A:3932296:3935300:-1 gene:Dexi3A01G0006080 transcript:Dexi3A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDEAESMCLFFTHDIDSGTLLGCSVQRRPTSCMRPQASDLPASVGVGLLVSYTRTPRPPPRHLPASSSELACLMDDAGDTLSSSILDCILGSCP >Dexi3A01G0030610.1:cds pep primary_assembly:Fonio_CM05836:3A:34853157:34854405:1 gene:Dexi3A01G0030610 transcript:Dexi3A01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSWEKEEETRDWTQLLPDVVRKVAGDLLAVDVTEYIRLRAVCKPWRSSTADPSILNPKFFPRKWLLMVAGEKLRVDKSPERFVNVVTGGELKIHLPNPKEYTHHGNAEGLLILHHSRTDTVCLLNPLTMAFVDLPAMAAVHDVDVALRGLPRDVRFDEHNIEAAGVVVEGNIPVTVVLSLKSGMHTAIVCAKPGDVVWRAVDTSCADEIECELPAIEGGLSLNGRFFVPTRVGDVLALELRPRPKLTLFVARHGGGDDGEAHDGGLNERYYLAPSGKDDAGAGMIMVRSWGPSYIYFDTFAVDVSNTSVSVHEMDGTDSTVFRPSITVRSSAFPHVEANTVYLEGCMDILFHGDDI >Dexi2A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:2A:8871464:8872723:1 gene:Dexi2A01G0008830 transcript:Dexi2A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQQPPSQRYWFPYWTAPPQPPPPAPRPAVRQQLSRRDTRPAAPPASPPVTPSPSRRQSQPQPPASRGGGAGAPSPAPAAQPRPQPTRLSSRPSPSPSRAPLPLPLSPIREPNATAAAAPVPVTKEEPKTKPATHHPTTGHEIPEQKDIIVPQEKIIHEPHVDSKSKTATTTTKAVEKEKEKEKNKEEEKKNKEKEKEKDKKEKDKEEEKKNKDKEKGKEKKEKEKEGEKEKEKEKDKKDQKEKEKEHKEKEKDKEHKEKKDKDETKSKELASEHGSKMHKELKAGAIDMVTKLSAMAPSSGGHERSASAAAGTTVITLAGENKGASMKVHGAAMADGKETKERRGRKLDGSVDGGKEEAGGKGITAFVNSNVQVINNSLMLQSSCNGGDPGVHLKLSTKSKKKGGGGEEEAGRKN >Dexi3A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:3A:1822872:1825391:1 gene:Dexi3A01G0002690 transcript:Dexi3A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPPPFLDDDFDFGDFTFAPAPQPTVVDPRPAAFAAFDDDWGDFVASDLGSNADASAPPTPPTASATSSWEKPRGPLPLSLFGADDDQEEAVREEEAPAGPPSTAAADWKAPAFLSNGSRPADLKDLIAGLYGSQPAPAVGAADEGPQVVAEDGEGFEGDDDWEFTAATAEPADQDGGGRAPGDEIGKVEDLTKSLSTDQEDWSSFTSVDDKSNHVGQTADDIGSHESTGECVKASSYPPANNSAILNLYKESDRADTIDIVQNSAESVQNPSDLFSINEMNSSFQADENHSTLSASDSILIEFYHRLREESLAAIFRHVKDLKEAQKVSTVSDENGKATAIGREIQAIEDTSVAVELYKHSVSTLRTLELASKEEKGGYISAWYSMLRSCAQELQHGAAIWQESCHANVCDRIISEGTDIWHNQ >Dexi9A01G0041830.1:cds pep primary_assembly:Fonio_CM05836:9A:45407932:45411961:-1 gene:Dexi9A01G0041830 transcript:Dexi9A01G0041830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAAAAALLVLIALVGGVAADGSDHRYKTGEPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRMDLDSKPVCSKKLTTEDVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKADPSEWKYYLYRHIIFDVLYNNDRVIEINVHTDQSALVDLTEDKETNVEFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPSLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALFFVRHIYKSIKCE >Dexi9A01G0039950.1:cds pep primary_assembly:Fonio_CM05836:9A:43809043:43817382:1 gene:Dexi9A01G0039950 transcript:Dexi9A01G0039950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGFVSPSPALTAASIWPSARPAPSFPHQKLGPGETSVLRPRAVVARAQMNHGREVEEPLEPNHFVDEIRSIVRRSIHIGTTFEGFLHGDGIATSASVCSLAATAADMASRLVDSASLSAGMSNEISTDTVCRTLRAYVDIFVITAEDSYNRRFTRDNVLWFLDALRGLGSISHILLENALETLSQTHPRESLSEYAFNVDVKNIHPMRCGLVSPSPALSAAASSMTVRPPSTLTTILPVGRRAPSFPRLELRSDEKVALRPRCVMARAQMNHGGEIQDEDALDSNHFVKEIRSIAGRSIDISTTFEGFLHGDAISTSATVCSLTARAVVMASHLVDSASLIANSMSHEISADTVHRTLQLVLPTFLKGIKVTRSFLRLMVAQCILLSF >Dexi1B01G0024670.1:cds pep primary_assembly:Fonio_CM05836:1B:29934848:29935384:-1 gene:Dexi1B01G0024670 transcript:Dexi1B01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHASMKSSDHWQFRMRNTPALRLVQDLASNTRRGQPWTTRLWPRYRRSGRAKSMSVSTASESTHHTHSASGWATMAARIAGILAQYLSMDARSSAVLYSSGMPWSLHRSSVWSPPGAPHGAGCEPDTIRTRSAVAPEMSSGCARAHAARFSSHPVMGRSTVYATAGSS >Dexi8B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:8B:18874691:18875308:1 gene:Dexi8B01G0010350 transcript:Dexi8B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFRAATSGLRAAMAQQQRHHGQRPAAAAILQSQRSAATAAARLPRGDYVPVYVALGLIAMSVTLGLSTAKQQLAHAPNVRVDKKKRETVPEVAAPDLAVDEGERFVGGSLFRKVAHVQDDRSLSAGVADPVAEYPTKKAVTLKDVGVETPGIEQSREGIVNRFFKKNHA >Dexi9B01G0041970.1:cds pep primary_assembly:Fonio_CM05836:9B:42310381:42313273:-1 gene:Dexi9B01G0041970 transcript:Dexi9B01G0041970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACVGRFLRSRGENVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVAGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPSTAVVCSLDFEDSLEDASFTAYPRTASLRFEPASAAAVVGKSGVGSASSRFSVTPTDNPIKPWYLSTIPASVGSRSVVPTTSSPSLVGASPLSARSFSFASPAALRSTPPPFPRGGGAGAPLTPSSAAKQQGQKTPPLPQQFPRTPRPSFLQSPSMLFAFRKDSGQGSKVERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLISIVGEKFKQKPLQQQQQPLRRHAGTHHQQQQQQQQHYHYQQHQRI >Dexi2B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:2B:29695394:29696183:1 gene:Dexi2B01G0019540 transcript:Dexi2B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYIFVKSLVRLDAYKKVGIVHGSKQREGWSLKKWEEWQREIYDVEDAWKQVFELEQDSLEILQALVMGANEVIRPRITMKINQLMQHLPEQHPRSLRRLNMVELKRDNCKLRARMNNAKDMVKALKQAHVDICRVHASDPRKP >Dexi4B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:4B:18530011:18530623:-1 gene:Dexi4B01G0016470 transcript:Dexi4B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHITYLLLLILIHLLLHISAHEFLLPGSSLSVEHSSDVLISPDGNFTCGFYNSTSNATVFSIWFSKSAEKTMVWSANHLHPVYTWGSRVELDIDGSMVVKDYDGQIAWTNNVSSSDADRAQLLDTGNLIVKGKAYVLTMNIYYHFLVMRRGSLSSTGQILM >Dexi9B01G0031270.1:cds pep primary_assembly:Fonio_CM05836:9B:33661907:33663385:-1 gene:Dexi9B01G0031270 transcript:Dexi9B01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDSAALLALVLAVTCSVAVGYDPLDPNGNITIKWDVISWTPDGYVAMVTMSNYQMYRHIMAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKKTPSIVDLLPGVPYNQQIANCCKAGVVSAYGQDVAGSVSAFQVSVGLAGTTNKTVKLPKNFTLMGPGPGYTCGPAKVVPSTVYFTPDHRRKTQALMTWTVTCTYSQQLASRYPSCCVSFSSFYNDTIVPCARCACGCGHGGHGGGASCIAGDSKRAMSAGVNTPRKDGAPLLQCTQHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVTEVFSFQYKPLVPYGAINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDARTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNAAPAVAAPSRQAMAAASAILLALLMVA >Dexi4A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:4A:20444867:20456400:-1 gene:Dexi4A01G0016740 transcript:Dexi4A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNRYVATRHRIEGAPMEADFEVKEQTTRWSPDSGEVLVKNLYLSIDPYQHNRMKRGAVLPGQRIVSYAVGEVVASASPEYAAGDMVAGVLGWEDYTLFKPSPTARMSKVDASASFPLSYHIGVLGTSGMTAYGGLFEVCKPAKGEKVFVSAAAGSIGGLVGQFAKLAGCYVVGCAGTKAKVDLLKDKLGFDDAFNYKEDPDLKSALKRYFPDGIDIYFDNVGGEMLEAALANMNIYGRVAVCGVISEYTGAGRRAVPDMLDVIYKRITIRGIYAWDFMPKRFAEFYGVISDWIRQGKVQVIEDVSDGLENVPAAFVELFTGQNVGKKLVKLAGRGHPRRATPRTPTPTAHHFALPLARETGSGASGSRTAPDPRRRRRRSSWGAAHPQLAPPLIPVGVGPPGVLLGPSERRSSLSAGRLRPRRQQQTSSVSLYKAELSYSSPLPNLTLHFHSLSLLPLSSLERDSKSLVGAPPELVTTEQHHPDITFFPTNGARGFTKLIFHPLLPSFKPKSKNELKSFPSIALHQPMTLVGVVHIEHPSASALSCSALLSSEPTHGRLVSSIALPTSSCSTIFLAPVLPSVTNRYVATRHHIEGAPSEADFEVKEETARWSPDSGEVLVKNLYLSIDPYQLNRMKRSSASHLAVDGILPGEAPPLLRPSVRVMMQRIASYAIGEVVASASPEYAAGDVVAGVLGWEDYTLFTPSPAVLMGKLDASSGFPLSHHISVLGTSGMTAYGGLFEVCKPAKGEKVFVSAASGSVGLLAGQFAKLAGCYVVGCAGTKAKNVGGEMMEAVLANMNTYGRVALCGVISEYTGASRRAVPDLLEMIYKRITIRGFFAWDFLPKFAEYNAVIGDWVRQGKVQVIEDVSDGLENVPAAFVELFTGQNVGKKIVKLAKWAWPKPTLTGNKARVVGSMLLPFFTGLQAKNITDEHNQPWDYYARRRCLLCSPSCFPFPLPPPKMMSQRLELPESLVGPESVAFDGHGGGPYVSVADGRVLRWNSSSYAGWTTYAYSPSYAENGCASPASSELPPVVRESLCGRPLGLRFHLDSGDLYIADAYMGLMRVGPGGGEATVVATEAGGAPLRFTNGVDVDQVSGEVYFTDSSQTYQRWQHRMVTATGDSTGRVMRYDPRTDKVTVLQSDVMYPNGVAISADKTHLVVALTGPCKLLRYWLQRPMAGESEVFVDLPGYPDNVRPDGNGGYWVAIHREKYELPFGVDKHLVGIRINAEGGKLQEMKGPKNVRPTELVETRDGKIYMGSVELPYVGIVNT >Dexi1A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:1A:23233883:23237919:-1 gene:Dexi1A01G0016240 transcript:Dexi1A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGSGRPPAAQKILQSLRPPVAFASPSRPPFATDDYHRFPTPAAASATSGGVGAGGAADGTEEGIVIRTPLKRKAVCEENDAAESSDCIITSSGFPSSPMLTPVSRKIVKPSKSKAKNNKAGPQTPTSNVGSPLNPATPAGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELENGISALQAEVENLSLQDQALDERISDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDERFEELGGAATPTRHANMPIHHPVEDFNTSNVGQCSTSVDVAHNVQQSQRTPQDPSSSHDCGGMTRIIPSDVDMDTDYWLLTEGDVSITDMWKTEQVQWDQMDFLSEEVVTPRANNQQPLPCKEMDSDVPTNQVLIATEEQGDVIRIHHLERSQGRGSELQIDVHLEFTLRSGD >Dexi7A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:7A:16945154:16945525:1 gene:Dexi7A01G0005700 transcript:Dexi7A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLCKVERKWPGLEEGRLEHEALCLGGDGQQQQTGTGAGVGCRVVEVGNHGHEAVRPLHGGEEAARGRCGRCGTPTKKKMLATRRSSSLHEGESAGGAREARRGHGESTDVGHEEIGASAD >Dexi3A01G0026690.1:cds pep primary_assembly:Fonio_CM05836:3A:23032740:23038718:-1 gene:Dexi3A01G0026690 transcript:Dexi3A01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMMVKAIREESPWEALPKRIQAALVSKEEWHRSIPNFSAADALRITGVGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTEPADLAIEPWWGVRFVNFTLEEFKKLSEDETSAIDKICKEEVNSYVLFDPEVIQSLYRRGMVYFDVPVYSDDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLYQLQAAASFACRLGWAVKLLDTDSVLKDSSTSALSSNILMDDDEASRTSLASEKSGHELLSSDSDGHKKNSGTAYVGFVVDANSVRKRLRELCSEYQATGATARLFNQRGGSKNNSPRKLVNIVSGRWSPFHDPSTPPHPGSPSEHDKAKPARRQRCFTEVLSFDGSILRSYALTPVYEAATRSVSEEQPSTPSAKLDHDDGNTKDVALPGVNMLFDGAELHPFDIVACLQARQPLSLIAEASATSLAMK >Dexi9A01G0024910.1:cds pep primary_assembly:Fonio_CM05836:9A:21655332:21663431:-1 gene:Dexi9A01G0024910 transcript:Dexi9A01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEARRLAVCWSLTLLMARVGGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRTYWMLEEDFMHIVLVHYLETKGGKSTRARVNNNMVQEAAVDSPLSQLPSQTIEGESSLSGQASEYEEAESADIYSGGAGYHSFTRMQQHENGTGPVIDSSVFGSYTPGSSIGNYQGLNAMTQNTTFYPGNQHNFPIVLNGSSTMVAMNERANQIDLPSWNSVIDLDNGPVQMPPLQFPVPTEQGTSTEGIDYFTFDEVYSDGLSLKDIGATGADGASPWQFSSATGDLSAAENSFPQPNDGSLEAAVGYPFLKTQSSNLSDILKDSFKKTDSFTRWMSKELLEVEDSQIQSTSGAYWSTEEADSIIEASSREPLDQFTVSPMLSQDQLFSIVDFAPSWTYVGSKTKILVTGSILNNSQVTERCKWSCMFGEVEVPAKILADGTLICYSPQHKPGRVPFYITCSNRLACSEVREFEFRPTVTQYMDAPSPHGATNKVYFQIRLDKLLSLGPDEYHATVSNPSLEMIELSKKISSLMMNNDEWSNLLKLAVDNEPSTDDQQDQFAENLIKDKLHVWLLNKVRAGGKGPSVLDDEGQGVLHLAAALGYDWAIRPTLAAGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPSPDFPESTPADLASANGQKGISGFLAESSLTSHLQALNLKESNMAEISGLPGIGDVNERDSLQPPSGDSLGPVRNAAQAAARIYQVFRVQSFQRKQAAEYEDDKGAMSDERALSLLSVKTSKPGQLDPLHSAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGILEKVILRWRRRGAGLRGFRSTEGSTEGSSGGASSSLIQDKPSGDDYDFLQEGRKQTEERLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQAMQEKMLEESAEVDDGYFMNELQELWDDDTPIPGYF >Dexi5B01G0035800.1:cds pep primary_assembly:Fonio_CM05836:5B:35689022:35691121:1 gene:Dexi5B01G0035800 transcript:Dexi5B01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAVESNGSRGGGGHRTSLLKKDRRVFWASVFIFVNSCFQWTAYFGVSTNLVNFLRDRLHQDSKAAANSFTNWQGTSSVMALVAAFLADAFLGRYWTIALFILTSVVAYVMLTVSAATPAPSAALFYAGLYLQALGGSLALQPVVTSFGADQFDDSGEEGCRRQSSFFNWFYQSLNVGSLVGGTVLVWVQSNVSWGLGYGIPALCSVLAVAVFLAGTGAYQRQQPPAGSPITRVAQVVVAAARKWRVEVPEDPSELHECEGDDGMSVIQGSRRLAHTDQFRFLDKAAVETAGDKARPSPWPLCTVEELKCVLRLLPVLSSGIIFSAAYVQMSSTFILQGDTLDPYVAGFRVPAAVISIFETLSVMLWVPLYDRVVVPLARRATGHNHGFTQLARMGVGLAVLAAAMVTAGTLEVERRRVIASHGMFDTNDGTDGKYLPLSIFWQVPQYVVVGAAEVFTFIGQLEFFYDQAPDAMRSLCSGLSTVSFALGNYLSSALVTVVARATARGGRDGWIPDEINRGHLDNFFWLLAVLCVGNLGVYLLIARWYTYKKTVGD >Dexi7B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:7B:19612530:19612982:1 gene:Dexi7B01G0013010 transcript:Dexi7B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAIAETSPIERQKRASERAENPRRRLDSDSRAGAAQQQSSHPPGDEESMTKGQVAATPHSTPQAARRLGIYCGRRDATPRGGLEAIPAVRGAERTRAIRGGGQEQSSAACALGLVWSGCAVCPCPFLFRPRGFRTSACSLPARSAGSP >Dexi3A01G0029950.1:cds pep primary_assembly:Fonio_CM05836:3A:32680782:32688141:1 gene:Dexi3A01G0029950 transcript:Dexi3A01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHAWAKAKVLRLSSRVSLERLSPFLCVSPSLVPQPLPTAASAAAARGEPERLGGGSRPGSGKLRRLGAIPTRGPVAAAPSALVASIIATVCMFLAGKVEETPRPLKDVIVVSYEIIHKKDPNAGQRIKQQKEIYDKQKELILLGERIVLVTLGFDLNIHHAYKPLVEAIRRFDVGDKGGLPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPSDGDKIWWQDFDVTPRQLEEVSNQMLELYEQNRTPQSQPSQGSEAEGSSAGVRNLHSSVKSEGNSKEASAHGHQVSKLSNVQHSGHSNSDKHISGHKMPQNDNGNHGGTKDKSSRSGNKSDAGMDRSHHEKKSSPAHNYPKTSPEFRNPKEEHKPHRAHDNSHETRDGVLGGNEAPSVSTSRVDAMNRIDKDKVKAALEKRRKSKGGVAANVNMMDDDDLLEREIEHGVELAVEDEKTKQDKQQDLSHGSMPPVDLQHVDHVMENGQHGEQSMPTTAEAGDFPMDSKEHPQPFNQPNDGAELKSKQDDRTLKGHDDAQLAGRREQEGKDVYKRPKLEGVLDNEV >Dexi1B01G0029870.1:cds pep primary_assembly:Fonio_CM05836:1B:33999806:34005937:-1 gene:Dexi1B01G0029870 transcript:Dexi1B01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEATELEDELRAAEHHRPVAQLVREGAWPVSFRGLSPTAVNGRMAFVAITRHVIGKSLRNRPPIDYSLFDLTSDDESGVESAEKGVRSMRRRQQLPKGVVRGCAECADCQKVVARWKPSGARRPVLEEAPVYYPSEEEFKDTLKYIESIRSTAEPYGICRIVPPPSWKPSCLLKEKNIWECSKFPTRVQKVDKLQNRKSSKKSRRGGMMKKRRKLSDPEDIGNVNHNQTVMQQNQERFGFEPGPEFTLQTFKKYADDFSDQYFNKDTFGESVPSVEDIEGEYWRVVERPTEEIEVIYGADLESGTFGSGFPKFSAEVKSDVEHKYAESGWNLNNLPRLQGSVLSFEDGDISGVLIPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPLGQDAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKSMCGPDSAICKSLKKRSGNKMLNPGFRSRVKYFSIVDPTQMAYYISQILDGGLHGPLFMAIETRDRDRICTEYWRCRPHVFAGDHDRWHMPPSQGPPHIALRGLFQRASRDELQALRSILTSNSSLDESSRQQAAQILDEEIAKQWHGQA >Dexi5B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:5B:3900675:3901409:-1 gene:Dexi5B01G0005780 transcript:Dexi5B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSTGPDSIAETIKRWKEQNQKLQDESGSRKAPAKGSKKGCMAGKGGPDNGNCTYRGVRQRTWGKWVAEIREPNRGKRLWLGSFPTAVLAAHAYDEAARAMYGPKARVNFSENSADANSGCTSALSLLASSVPAASTALHGFNERDEVESVETEVHEVKAEMKDDLGSIHVECKSMEVLQSEESVLWKEGNCDHAEQ >Dexi3B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:3B:12038840:12043924:-1 gene:Dexi3B01G0016570 transcript:Dexi3B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKFDGILGLGFQEISVGNAVPVWYNMVKQGLISDPVFSFWFNRHASEGEGGEIVFGGVDPSHYKGNHTYVPVTQKGYWQFNMGDVLVDGKSTGFCAGGCAAIADSGTSLLTGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAGIRSVVDDEAGKSNGGLQSDPMCNACEMAVVWMQNQLAQNKTKDLILNYINQLCERLPSPMGESSVDCASLKSMPDIAFTIGGKEFSLKPEQYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLRVGFAQSA >Dexi7A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:7A:23498263:23498607:-1 gene:Dexi7A01G0013390 transcript:Dexi7A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMTERRGKRSKGDSARGGRWRQIHQEHEARDRFVVVGQGQSRRVPLPCPVPRACLPLRLCLSTRPRKVVVGAAAVVVVIAVVVMPSPLPFPSRCVRRWWWRCLPQPLLFTVG >Dexi9B01G0023620.1:cds pep primary_assembly:Fonio_CM05836:9B:18988274:18989648:-1 gene:Dexi9B01G0023620 transcript:Dexi9B01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMARNGEYAARVGMSRGSDGTPRAEAWLGPREGTSMAAAEEKEGSICSSDRGPLFVSPRTRNASCAPIPNLPLFPPPCIFPFVSPWVELKLAHSHRMAGAPLRVAAMHVVEWSTRPGAHPGWGLVAAIFIDEPLQGTPGTSHTIGERNSDEGVRVSLPAPLGRHIELWRWCCCCWWARGDGCCWWRLRVATASSDGATAMDVHRRVARWGEGEIA >Dexi8A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:8A:23772616:23776122:-1 gene:Dexi8A01G0013620 transcript:Dexi8A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNAASVSPATAPRLSLLLRRRNARARAAAASSSAGGGAGGGSYLDMWRKAVERERRSAELARRLQAPVPAGAEAVAPPPADVVERRTARFEDLLRVPREERDRVQRNQVIDRAAAALAAARAVLKEPPPAPAPAPSPSPSPPSTPPQMTEAAKVGSAGGSAAKESDRGSRTAASASVSQLPEVPDSGGSSSYKQASSKLGTPGPDFWSWLPPVQESSKPSESGTGLKPSKKLDSFSRQPDLLEKEHSADSLSLPFETTFFEKKEDRSLPPFQSFAEPENVDSKADLAADTKETFEEQFSKNAAEAARALSESYDKATHGIHPDGSMWWKETGVEQRPDGVVCKWTVIRGVSADGAIEWEDKYWEASDRFDHKELGSEKSGRDAAGNVWREYWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWFEHYDSTGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGETYDGSGGSTKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGIKQGETWWAGKYGDRWNRTWGEQHNGSGWVHKYGRSSSGEHWDTHVPQETWYERFPHFGFYHCFENSVQLRSVKRQQRPGNKV >Dexi3A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:3A:12336340:12339620:1 gene:Dexi3A01G0016420 transcript:Dexi3A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKLKRSHTVHGRRAHQTPAAAAAHRQKRAAAISSGPRSRNLPSNWDRYDAEVEAEDPTAAAEWTGEVLPRSKGADFGFLLEQARAQPREARDLWLPSKDSQFDFMQASTSMFEAKGEGILSWCADDNFILEDDLAPDFEVHYIELFDCAFSLHGFAGIGQSASKLKLSQRLFIEEDILPEDLADASEDDEILIEHNLNFEPSKDSLVEHNLNFEPNGATTSPEISTSTHVVNSVSEEDKTYTRNMDADPGAVHSKGLKFEVGAAEEELDILLNSLSGTHLSSSNLDGSFGSDSALQGMNVAWPNKEVTPSLSAKLPELPHVDDTLDDLLSVTSLPIQNEGFATESVTSEPTVKSGHNFGFGYTKKIDVPSIDDSVDSLLEDTSLYLSEQKQTTAAKGPNSAPLDSAPPSFWPL >Dexi3B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:3B:9582982:9585871:1 gene:Dexi3B01G0013440 transcript:Dexi3B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDVLMEKVLCMNILSSEYFKELYRLKTYHEVIDEIYTCVEHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADAKTLWTWYEPYLRDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRIPLPVTRQVTANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRSITHDDPRRSYSPSRRSGSREGPDRDRFDRDRSSRDQDHDRDIRDYHRRERDSRDRDYYRSRHSEERRDDRRDRESSRHRRSSSHHRSRSRSRSRSRRSRSRSRSRNQNEQRSSPFGDGNKEKVATVSSNLAKLKDLYGDVTEKEDGDAEKLRGDSCAEEVIRLGGPRWR >Dexi5B01G0027650.1:cds pep primary_assembly:Fonio_CM05836:5B:29208925:29209884:-1 gene:Dexi5B01G0027650 transcript:Dexi5B01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDGGFPSFYEYWRKLYGKRKKTNGMGNDMRPIYLKSLRPIDKMAQARHLSVGDFPRRERILPSHYPLLPLAPPIINFSLLAGGFAGVFLHAGASSPLRSAPTRRARRLPLARRLAPPQRPRSCPCLALWDVTGGRPCALCPSQPCALPDAEAHFFSRSCDPVLSTGQVSYPDSVILIYYNDTKQGLRSQGTKTEIPPCVENS >Dexi8A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:8A:24062185:24062721:1 gene:Dexi8A01G0013760 transcript:Dexi8A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGARALAVAALLCAAVAAATAQQASNVRATYHLYNPAENGWDLNRVGAYCATWDASKPPAWRQQYGWTAFCGPTGPRGQASCGQCVRVTNRGTSASTTARVVDQCSNGGLDLDLETVFKKIDTDGRGYQMGHLDVDYQFVVC >Dexi9B01G0023810.1:cds pep primary_assembly:Fonio_CM05836:9B:19268807:19269289:1 gene:Dexi9B01G0023810 transcript:Dexi9B01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSVQHQASRGGARFAAACGVLSRYVKATERATAAMAVAVAEARPPAVVVLPLMPGADVSSSTHEEAGPAPAAQLTISYGGRVVVVDGVPAEKAAELVRLAAAQSAAANDLPVARKASLQRFMEKRRVRAAARVAPYSRPVDAAAASLPDNLELAL >Dexi3B01G0021120.1:cds pep primary_assembly:Fonio_CM05836:3B:16032509:16033913:1 gene:Dexi3B01G0021120 transcript:Dexi3B01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKSQNKGAVQKGSKGPKLGGGGGKR >Dexi1B01G0022650.1:cds pep primary_assembly:Fonio_CM05836:1B:28341375:28345953:1 gene:Dexi1B01G0022650 transcript:Dexi1B01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPAAAAWAFAVATCVKLLLIPTYRSTDFDVHRYWLALTHALPARQWYTDQSSKWTLDYPPFFAYFSRLLSLPAPLVDATLVSVPVPDSPPFAHLLYLRLTVAFSDLVLLWSVLLLARDARRRQRPFLALSLVLWSPALLAVDHIHFQYNGFLMGLLLLSLYFLEQGWDLAGGVVFASLLCSKHLFLVAAPVYFMYLFRHYCCGRGVIKGLGRLVLMGSGVATVFAAAFAPFVYYGQVKQLFDRLFPFGRGLCHAYWAPNFWVFYIMLDKVLAFLLRRLGFNVAIPEASFTGGLVSNSSLFAVLPKVTPITTFLLVILAMTPCLFKAFCNPQPKYIIRWVSYACTCGFIFGWHVHEKASLHFTIPLALISMDNLNDSSHYFLLSIVSCYSLFPLLFENQEYLIKVMLLLTYAALMWVTVFNQKFS >Dexi9B01G0033930.1:cds pep primary_assembly:Fonio_CM05836:9B:35988993:35989832:1 gene:Dexi9B01G0033930 transcript:Dexi9B01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTPAAVLAAALLLLLPSLLAHVAVAQPKGAKAFCISQFAIASQACSILPPSPPDEHHHHDDDDEDEDDDEHDDEHHDDEHRDHRDRHHHRDHRRAASISISDLVASSNGSHGAAVVAVAAGNRTGGHHHGNRTRGGHGRRGRGRGRRGRLRDGEDGGGDDHHDADDPDHDDDHHEDDDEHHDEDDDGHHHDADDPDHDDDHEDDDDDDDDDDDDDHHDEELRAYRDCCRWLKEVQKDCVCEALLRLPPFLVKPQHTYVVRVGRTCKITYRCGGV >Dexi9A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:9A:16200767:16201699:-1 gene:Dexi9A01G0021280 transcript:Dexi9A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLVTLDYLATVDAYPRPDDKIRTGELQISGGGNAGNALTAAARLGLNTRVISKVANDETGGTVLSELMEAGIDTSHVIKNTYMYTHTRRASNGS >Dexi9A01G0038670.1:cds pep primary_assembly:Fonio_CM05836:9A:42828027:42829224:1 gene:Dexi9A01G0038670 transcript:Dexi9A01G0038670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAGGGGAWSGPDILGASGLDGGAQVSAAQVGPLVVAHSGSSQSQLARGAFEQANSATRPLARAMTSSCSLSSSASSSSASESESDGSQSRTARGDGDGDGSLVPSWSSSAAERNGWRSSCSQVHRWPASRRRHLSRKSRHASDRHAGIAGRFPPNPTRSSSSPTSPPASSDQGRLPVRSSSAVHPRDQTSDAGVTAPPRATSGAIHAGVPRGPRGASSETSLAAPKSASFAPPHPLPRRTFRPLTSPWTTPASSWRYRSAAATLLRTPRAARSPRPPICLAAASAADPPGTSSMCRHLVPAAVSPSPRYATMCGELSRFRILISLSRHASAAGGAASASTDFTAKARPEALSTARCTAPNAPLPMHFPRSHSMSMACVLL >Dexi4A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:4A:23890080:23890348:1 gene:Dexi4A01G0020090 transcript:Dexi4A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKRSSYRRTPADASPCGSDVTASRRSRLAGRQPAASDGDAAAAGGRSTAAWAPLVPLVGH >Dexi2B01G0022020.1:cds pep primary_assembly:Fonio_CM05836:2B:31688705:31689622:-1 gene:Dexi2B01G0022020 transcript:Dexi2B01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRLRRRLPLLLTARSSSSSEPHEIPTVYSFLQPSIFAPHPRPQSPPPPPLPGHDTALQKDAPRRRRGRARVPGRVLPRALAPRRCRARLPPRRRRGAQHRLGLKRAFSAAVFLLEKSPHAAPALGALFSTLAAAGSTAPALALARAMLRCGRRLLALSVWGAVGHPLIEITRDDAGAFAAFLNVFDEACKLVEEKVPAVAAAMRPLLPAMLSLVVAVVGLVRWLMLRGSTMSAVEVSPYLENFGSLAFAWRGVSNRVDELNTLLDALGFSKKGFFKNLISG >Dexi5B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:5B:1390294:1393955:-1 gene:Dexi5B01G0002140 transcript:Dexi5B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQPRRAFPLVPLLIVLMSAAAYGRLISDGSSTSSPLVSVIRLAAPAKAAAAEEKCEQSYGFLPCTTTVLGNLFLVLVYGFFMFKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLTAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDIGPNKEAVDLTNTKGFSLTGTGITTDVQTSYAARIMGISVIPFVIAQFPKILKTHHGQRLAVLLALIVSFLLVLSYCLYQVFQPWIQKRKLAYAKHKHVISGILRHVQMQSLGRLLKEDGSPDEDVIKKLFRKIDMDDSRNLSRSELHAFIVGINFEEVDLDKTDAVDKVMADFDTSRNDTVEEGEFVEGMKKWINEAKRSVPAGGAFSIKFMNDYHERTRQEHDQLIDRSDEAVESVENPGWCITKAVGLLLLGAAIAAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVVMALFTSFRTTFQLWTCLVAYMLYPLSLVIVYILDYVFGWS >DexiUA01G0018950.1:cds pep primary_assembly:Fonio_CM05836:UA:39653719:39654521:1 gene:DexiUA01G0018950 transcript:DexiUA01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSSSRFAVTCGLLRQYMREQQQQPGSLDGGAFRLPPLVGAEEVEDAVDGRTMLLFPTHAGTLQLSQDRPEKKQARKAPLTIFYEGRVLVLEDFPADKAEELMKLAGSGSSSTVASTRFLLRAALPVDLPPAMDGI >Dexi1B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:1B:5801246:5809769:-1 gene:Dexi1B01G0007020 transcript:Dexi1B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAGTPPESAPAKKATPVLRSFASVFMHADAADVALMVLGLVGAIGDGLSTPVMLLITSRIFNDLGGGPDILQEFSSKINENARNLVFLALANWVMAFLEGYCWSRTAERQASRMRERYLRAVLRQDVEYFDLKVGSTSEVITSVSNDSLVVQDVLSEKVPNFVMNCSMFVGSYAVGFALLWHLTLVALPSVLLLIIPGFMYGRILIGLARRIREQYTRPGAVAEQAVSSVRTVYSFVAERSTMAQFSAALEESARLGIKQGLAKGVAIGSNGITFTIWAFNVWYGSRLVMYHGYKGGTVFAVSAAIIVGGLALGSGMSNVKYFSEASSAAERVQEVIRRVPKIDSESNAGEVLANVSGEVEFKNVEFFYPSRPETPIFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDSESERIVQEALDLASVGRTTIVVAHRLSTIRNADMIAVMQYGEVKELGSHDELIAHENGLYTSLVRLQQTRDSREANEVSGTGSTSAAGQSSSHSMSRRLSAASRSSSARSMGDAENDSTTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAIVFGGIQPAYAYAMGSMISIYFLTDHNEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANAQSESSKLAAEAVSNLRTITAFSSQDRILRLFDQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGKLMAEHHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPEGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVKIDSRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETATEAEIENAARSANAHDFISNLKDGYDTSCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSIVVAHRLSTIQNCDQITVLEKGIVVEKGTHASLMAKGPSGTYFGLVSLQQGGTQH >DexiUA01G0024660.1:cds pep primary_assembly:Fonio_CM05836:UA:51199376:51200369:-1 gene:DexiUA01G0024660 transcript:DexiUA01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALSFVRKGSDLQLVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICLQAESHTDYGAVFKLISNAAPIPMSPLESLASSAVRTANISKASLILVLTRGRTTARLVAKYRPGIPVISAVVPEMKTDDNFNWTCSDERPARHSMIVRGLIPMLSAATAKASDTEATEEAIGFAIDHAKKLKVCNSGDSVVALHRIGASSVIKILTVS >Dexi4B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:4B:18273392:18274876:1 gene:Dexi4B01G0016220 transcript:Dexi4B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAAAATVKRVAQRVVSPSAPTPRGELPLSWLDRYPTQRALIESLHVFKGRAGAGADAETPAKAIERALAAALVSYYPIAGRLAVSGDEGDGDLVVDCTGEGVWFIEATSSCTLEDVDYLEYPLMVPKDELLPHPTYPASDPLPEDSLILLVQVTQFACGGFVVGFRFCHAVADGPGAAQFMTAIGDLARGHAAPLVAPTWAREAIPSPPGAAVGPLPVPTELRLQYLAMDISTDYIDHFKARFLDQTGHRCSAFEVLIAKAWQSRTRAARFAPGSPVHVCFAMNARPALAALRGGKKQAALPDGFYGNCYYIMRVSATAEAVADASVYDVVRLIREGKKRLPSEFARWSAGGEGGGGEVVDPYRITSDYRTLLVSDWSRLGFAEVDYGWGCPVHVVPLTNLDYIATCILVKPSAHKPGARLITQCVAAEDVDDFHRSMMRLD >Dexi2B01G0023370.1:cds pep primary_assembly:Fonio_CM05836:2B:32862072:32864313:1 gene:Dexi2B01G0023370 transcript:Dexi2B01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPVARVRASTAPELAAFSPYRKGISLPAARRRGSRHGGGFTEFGLMERLGEGRKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >Dexi6A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:6A:3658916:3661101:1 gene:Dexi6A01G0004000 transcript:Dexi6A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGCVLLRADGFRDRIYSCDTTLASAVTSRHLPIEVSLRCPECPLLPAVLFVRVPGVDLADDPPRIVRAVEDLILIRVPIPVERRFPVPSDDYFIYRIGLDEGTSSLKLLPHTTTFFNDDDVGLLRRDEEHYTVAALLAGSKYDVYDLHRFDSETDRWALDEVPLVEPQVSSEPKLRGVPLPLPLEHLSLNHGLGVELGCPKSLRGIAFIDNPGPDGMEPCLKFVDLGVTAVPIFAEDCCDDEWEMHDWTITTWSNKKMTTSWEDWHMDGQVKASDTTISGELNSKMLKSGLLSTESGRAFQNLLVSIPALGIDDGVVYLQARVRFWDSKVFVLALDTRVNELLGVVEFGTERAPRTGITYFPSSIGKYIDPDYRMVVPEGHIYHRRRSGGGGGSVILAVGRGNSDYVWFLGGRWARLNELIGDISRDP >Dexi8A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:8A:9044542:9045620:-1 gene:Dexi8A01G0007870 transcript:Dexi8A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEFVESSEFVQPESSQSAAAVARGQEVVQKLLRSVGKAAWIAGTTFIVLGIPLLFALEKEAAYIQMENLEYEMEALLGPTSFY >Dexi5A01G0022350.1:cds pep primary_assembly:Fonio_CM05836:5A:26388188:26389096:-1 gene:Dexi5A01G0022350 transcript:Dexi5A01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGQGPAAELPGLPPAVEVEAEDEEEDDGGFSFPALPFAADACIVPVYPIFGRPPSPPLGEAGEEYEPPETATVRVPLGRLLLEEREFRARQQDGRSASARAWREDDDDEEDEDGAASAAADEELEGVPPESYCLWAPGGGQSSAPASPRRCRKSGSTGSVLRWRRISERLVGRSHSDGKEKFVFLTAAAGPEPSLPPLPSPGSKEEKQGGGSNKAGGAANQLRYYGRGGSGGGSRRRSYLPYKQELVGLFANHK >Dexi2A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:2A:1750063:1750871:-1 gene:Dexi2A01G0002250 transcript:Dexi2A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREGEHPASPWRPGAGEDRDSGASPSAILLVGLVGATATTAAEVGTICVLGRYASNSKTEPAW >Dexi9A01G0041630.1:cds pep primary_assembly:Fonio_CM05836:9A:45255003:45255429:-1 gene:Dexi9A01G0041630 transcript:Dexi9A01G0041630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVYDYT >Dexi5A01G0025000.1:cds pep primary_assembly:Fonio_CM05836:5A:28881372:28883973:1 gene:Dexi5A01G0025000 transcript:Dexi5A01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEAESYLPVLISKDGITLCMYDLLNAQQWTFKYRYWPNNKSRMYVLENTGDYVKTHDLWQGDFIVIYKDDESNRFVIGAKKAGYEQTATVPQIHEHMHISTTLLPAPQVFHDYAAPVAPEADMLATAKRTKHALNSLPEIPEANVRYSDFFDPFGDSMDMTNPLGSNHSVNLAMHFQDEKTASSLFPYPKSGPLI >Dexi1A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:1A:7632592:7633644:-1 gene:Dexi1A01G0009420 transcript:Dexi1A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMRLPELALRVCVIPLAVASLWEMATNRQADDTYGEVSFSDLSGFTYLVGINAVTAAYAVASILLPSFKSFARYDWLILVLDQASAYLLVTSASAAAELLQLARRGDRDVSWGEVCSYYGRFCNRATASLALHAAALACFVMLSLVSAYQVFS >Dexi9A01G0023490.1:cds pep primary_assembly:Fonio_CM05836:9A:18864498:18868601:1 gene:Dexi9A01G0023490 transcript:Dexi9A01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLLPPAAGAPPSCSSSSFPLVVKGPCRIKGKAGARATGPRGTRVARWRAQARTTTTQADNVSSAKVFQTTHIEKDSEIIKWPGKPQDLDDYQVIPEADETDLQPLIDQVRAMLRSMNDGEISISAYDTAWVALVPKLDGEGPQFPAALRWIVDNQLPDGSWGDSSLFSAYDRMTNTLACVVALTKWSLEPEKRETGLSFLHENMWRIAKEEQDSMPIGFELAFPSLIQTARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGVPEVDWAKLLNLQSSDGSFLYSPSATAYALMQTGDKKCFEYIDRIVKKFNGGGKVVHGRA >DexiUA01G0016180.1:cds pep primary_assembly:Fonio_CM05836:UA:34480391:34481477:-1 gene:DexiUA01G0016180 transcript:DexiUA01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQQEDIVATLLPDDVLADVLRRLAPRGLAASRCVCRSWRLLIDDRRLLRADLLPRSLAGFFLNHNELRHPEFLARPGVMDSTGYVMPRGIQDHSNGLVLEDHSVLNPATGRRAHLPDPPPPLLDGEYFLDEYYLAFDPTESPHYEVFSVPMVLAYDGVAGLRTPAISRSEWPPSPFVLNVFTSRTGRWEERPLVRQGEAAGTTVGDMDCELMMHFHSACWRGALYVHRQNDFVLRISLSDDVYRVINPPKEMQELRGGFAHLGRSQKGVYFAFIDCKSCLWVWIFDDSLDKMEWVLRHRSSVCGPLPTPKSNQESYRPWILTK >Dexi9B01G0038590.1:cds pep primary_assembly:Fonio_CM05836:9B:39584011:39584503:-1 gene:Dexi9B01G0038590 transcript:Dexi9B01G0038590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDNCKRRFLELKAKRTYRFVVFIEKVGEPNLTYDDFAATLPTDECRYFIYDFVTEEGSPDTVKIRSKMLYASSKDRFRRELDDIQVELQATDPTEMGLDVIRNRAN >Dexi8A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:8A:4243576:4243835:1 gene:Dexi8A01G0004810 transcript:Dexi8A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQGAPFYLKDHFVYIAGAGQLPSVSLLPARDFLTKTELDLTTAFCGAPIMTCEWSRFSYCAIAKRDET >Dexi3B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:3B:1720909:1721295:1 gene:Dexi3B01G0002500 transcript:Dexi3B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLRKPRAELPAAEGERERRSFRCRCLSWCVAPSSLWWPAGAGSSLDGASALPTAQRAPGGSGSMKRRRSGELAAEAMVVEGTLPTECELAAEAKLPAEGELAAEGKLSAVEETSVQRPKGNRLE >Dexi7A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:7A:30133473:30136571:-1 gene:Dexi7A01G0021540 transcript:Dexi7A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLKTEACGGEQQRGLEHELEEKLLLHDDDAGGSAPPPEKKHYGGWRRRRHDDGHEGVGGDDDYRERFVRAYDRLRDELLADDSCELTDEARRWLAQMTCCATVPAILNNCLLSFLQMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDEFFLACVIGWCVEWFQACALLLDDIMDDSHTRRDHICWYRRPEVGLRGINDGILLKCHIARLIKKYFREKSYYIDISELWNEVRLTFKTPQNNLTNSIYDIFGDILLSECLVQVALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGIYFQAQKNYGKKDSTSVSKVKSTYSTLDLKVGS >Dexi3B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:3B:4940445:4942760:-1 gene:Dexi3B01G0007050 transcript:Dexi3B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLLSHRPPPAAAQLRGAAPAWTPPLRARPLAGPPQASASAEFPGSVPDAAQIPPRQHRRRIVAGIDQDELVDPEALADPDSSFYEINGVRLHHKVRSHEDEDSSSDQSSDATVVAAAGRSRICLPILLLHGFGASVFSWSRVMRPLARIAGAKVLAFDRPAFGLTSRASLSGDDSKPINPYSMAFSVMATLAFIDYLGAEKAVLVGHSAGCLVAVDTYFEAPERVAALVLVAPAIFAPRKGVKDSATGEQEGQKQNVPNDESSPPNLFAGIWGGFLKLWKHIAGLVSKLIMGIKDIFRALYVKALVAFLRSSLGAMLVRWVMDKFGILGVRNAWYDPSKVTDHVIQGYTKPLKSRGWETALLEHTISMITDSAGRAPVSKRLSEISCPVLVVTGDTDRIVPAWNAERLAHAIPGARFEVIKSCGHLPQEERPEEFLSIVERFLWTVFGSLNEQVVQAAV >Dexi1A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:1A:2552557:2555037:-1 gene:Dexi1A01G0003550 transcript:Dexi1A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRAAAAAALLLVLAMLHPPSSSAQQEGDVPEADAATFLSRFAAPPSWPFPNPRLRAAYAALQAWKRTAIFSDPSNFTSNWSGPNVCSYNGIFCAPLPTPTTTTTSSYTHAPSTNSGDLVVAGIDLNHADIAGYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTSSYTHAPSTNSGDLVVAGIDLNHADIAGYLPSSLPLGVPDLALFHINSNRFCGIIPSTFRHLHLLHELDLSNNRFVGGFPEVVLNLPSLKYLDLRFNEFEGSIPPPLFDRDLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNKLGGCIPPSIGKMANTLNEIVLIADELVGCVPPQVGLLKEVTVFDVSDNHLQGQIPASIAGMVAVVELDFARNRFEGAVPAGVCGLGSLKNFTYTDNFIVSRPRCAKATADGAWNCIPGAAAQRSPAQCAAAAAHPFDCSKAQCQAPPASYSPTPSGGGGGSHGGGQGGHGRRGGGRQPPTPVGSPPRRSRSAGYPPPSTRYPTPSHPSPPSSSPPSYPSPPSSATTPSYHHSPPPHGSTTPSYPPPPASATTPSYHNSPPPQGTPPTTPSYPSPPSSSSTPSYHSPPHGGASTPSHSHPSPPKGSSHPTPSVTHAPPPPTSADEPDVRYAPPPPATGSHGGPTPSSHHGGSPSSPPSTSHPIMPSPPTEHPGYVLPPHASPSHPSTPSTTPPTKDYSPPPQGGSSPGTGGDHGHGGKLPFPPVYGVSYASPPPPVKPYY >Dexi8A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:8A:360281:362903:1 gene:Dexi8A01G0000510 transcript:Dexi8A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAKDDDDEQGGGGGFPWMHDDLFHHHLWTTAAASMHTKQGWKGANQDAMTVCQDFAGNKGHIFCGVFDGHGPLGREVARHVRDSLPLKLSSALKPETEEEEPSTNTLKLRTEEDPSSNTDLDSSDKSDSTSFSDDTKRDEKHLLSTWKDILVKTFEQVDEELRQHSGVNCICSGTTAVTVVKQGDHLIIANLGDSRAVLCTRDSKDRLIPVQLTTDLKPDLPSELARILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKNNGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVVKTVSSVSDPSKAARQLIDRAVRAWRRKYPTSMVDDCAVVCLFLNRAPGTAGDVVKAPPHHVDDQAAVSSSSFTGSFRRVLSGRGGEASSEVWRALEGGVARANSVIRLPRMLSWRRRSNSLEEDEDQQN >Dexi4A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:4A:22205930:22206145:1 gene:Dexi4A01G0018210 transcript:Dexi4A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQAMAPSAKNAPVVFLLLVVLPIIMAIGGRGHFVT >Dexi2A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:2A:4321057:4321635:1 gene:Dexi2A01G0004770 transcript:Dexi2A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVMASMGSLTFAAAAGVSAIRVPASSLAPRRRALVVRAQADDAEPTKEETSTTTTSPSSSTSPTTSTPTPAAKSPGLWDALAFSGPAPEGINGRLAMVGFVSALAVEASRGDGLLAQAGSGAGLTWFAATAAVLSVASLVPVLKGDTAESRGDGVMNADAELWNGRFAMLGLVALAFTEYLTGAPFINA >Dexi9B01G0031680.1:cds pep primary_assembly:Fonio_CM05836:9B:34007820:34011683:1 gene:Dexi9B01G0031680 transcript:Dexi9B01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSSKKKKQKQLSAWQFLFGGCLYGSASGAGSKVRPGPRPSSTAAAKQPSSPAPASASGLQQRLSVTDVMSTCSDQDLSVSLVGSNLQVFTVGELKAATQGFVDSNFLGEGGFGPVYKGVVGEGAKPGLRAQQIAVKLWDPEGTQGHKEWLSEVIFLGQLRHPNLVKLVGYCSEEEHRLLVYEYMPKGSLENHLFKSEYLRSPFTPIPVLNYSSSSSILRVRDYQPVVVMGLFVVNNVTFAEFPPVLSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKTSNILLDPDYEARLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQNLVEHMRSWLKDPQKLGRVMDPALEGKYPAMAAHMAALVAYRCLSGSPKNRPDMSKVVEDLEPLLSTTDDAPGEPVVAQEDAKKERTRRRDGDQREKVRAQSKVATRSPRRGVPRRQAAPGQSQEFWEWHMPAQTKP >Dexi1A01G0023920.1:cds pep primary_assembly:Fonio_CM05836:1A:30500689:30503615:-1 gene:Dexi1A01G0023920 transcript:Dexi1A01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALPAQFLASPEAGEWRRRPRRRSLRPISAALMTNPAYFEVGRFLGGYGFMNITRLYEGRVVQGPLRGTQAVFKVYPGARAGASEADLMALNELRTHAFLQSDASGICDNIQFLLGAFETSTGEQADDRLSEAVNFLDLGDGAGWELLQAMLHPDYRKRPMAEAVLRNY >Dexi7A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:7A:19718599:19718952:1 gene:Dexi7A01G0008460 transcript:Dexi7A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGSRLKWVVPSKIYPVEIRSAGQAPTVSIALCLSFAQTQVFISCCTPFPVLRGVGPGDDVFIAAFLPETKGMPLEAMRSVWARDVHWYWRRFTGIPPGMPTARRFRVKNYYQKEK >Dexi8B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:8B:25641399:25645218:1 gene:Dexi8B01G0014880 transcript:Dexi8B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGADAHRRPPPPRSSRRRRPPAGSGEDGQEPRFRVAEGGLSPRRSGIRTESRASSFPAPVSYPNGSVGIPSPLTGSSSSSAAPLVDGGPANPDLPTLPHGITLFDPDGGVRGPFTPEELIGAEEHPAVVSSNVDAELPTFDVLSLTDPPLLTPHTNDDDDTDTDEATFDDSDASSSEHDDPLIADISTSEKPIEDPDDDTEELRLLEEYFEANPPVSMSEAFDKLRAANHAALTSLAAEWGVEPPPPIPPRRQFLADQAQQKASAAVSHPQRSTVPREPRRQASEEEIVENAKKWMRDEVSVLFQNYIGRRDDLKIVDYHLDELCNQCVSVENYHKIFHHYNFTVKLKKADSDDWIGALYFAEVKQMLGRKSYFCCALEPNENGLCYACQNQGVDDLRHPATGGFDKGWPNVNFGLCYLDD >Dexi4A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:4A:200357:207214:-1 gene:Dexi4A01G0000280 transcript:Dexi4A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPPDGKRHSASEHIDGKRHSASSQIGASGVASNPPYRPSTPARRDRCELRGHQFSDMQRLEQLQPLPCSLRASRQSTGRRAKQRLTRGRRNGKEGISISLSASSSSSSQARHRQRAMAAVVPLLAVAFLAATAHAADPFAFFDWDVTYMTASPLGVPQQVIAINKQFPGPVMNVTTNYNVVVNVLNSLDEPLLITWDGIQHRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPSLGMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHTHLRNMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEYETIKVEPGKFVWLIIAFIHFRNLVADISSSSTGKTYRFRVHNVGVSTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNESLWTRVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMDYGEWSENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTEILDTWYLGQETYIRVVDPDGGYNVTEMVAPDNMLYCGLLKDKQKYACCLVTHGSETSWFIEFISLRSETEQLSAPGFGLFGSLGVGPLTETLPACAQLPFAD >Dexi3B01G0036220.1:cds pep primary_assembly:Fonio_CM05836:3B:39087441:39091391:1 gene:Dexi3B01G0036220 transcript:Dexi3B01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKPSDPVNLREAKDDKGRNALHFAAGRGHLEICRFLVEELGLDVNSAANGSGTSVHFAAVGGDARVLRYLLDHGGDPAVPDGKGSMPLHDAAEQGAVRLLLSKGVDVDPVNHRGTPLHLAAAKDRDQVVKILLEHGADAGADVNFISPSGLTVLMKAADDGLTDMVKFLLQSGADPNIADEDGKIPIMFAAVHGHRELVEILFPRTRPIPSVPDWSVDGIIRSMKFLCFEAQAMELDLLDATLLANRSLCWLRLGEGDRALLDAEQCRMMSPRWSKAWYREGAALSLLKDYKGAVDAFVQALKLDPASEEIRKALMEAMDAMKSAVAPARVEQRNP >Dexi9A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:9A:3189693:3192999:1 gene:Dexi9A01G0005730 transcript:Dexi9A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDAGPGGGGEASPPHHAAAAQGDHRAWDMAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSRPHSIEVPESDIGYHFGTLLDTQEGVDVVFSVAGEKFHAHKLVLAARSTFFRSEFFEHESDEEKNEADSRNEIKEIVIDDMDPKVFKAVLHFMYRDNLVNDDELSASSSGCSIFDTLAGKLMAAADKYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTEGFDYLKDNCPALQSEILRTVAGCEEPCSSGGKSQSVWAQLSDGGDTSGRRVRPRV >Dexi2B01G0022390.1:cds pep primary_assembly:Fonio_CM05836:2B:31998805:31999485:-1 gene:Dexi2B01G0022390 transcript:Dexi2B01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMSAAESSSPWASASTSTSSEHHQTVWTAPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLAISGAGACLNFADSAWLLAVPASYASLAEVRRAVAEAVEDFQQRRREAISEDDDAGSSAPSSSPAASVEDEVSTTDGEGEESSSSSSAAEGSPFELDVFNDMSWDLYYASMAQAMLMEPPSAVPAFGEDGYAAVGDVPLWSYKN >Dexi8A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:8A:18227012:18229757:-1 gene:Dexi8A01G0010620 transcript:Dexi8A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASALFGLPDSLDARPNTFGELMRTIISPSSTVQAAVNWALKGVNPDIVLHMRMMANRPVRARKAAVLCIKRALQICCIKGTPRVALVSDTPAFVKEMKSEISEFAEVIYFDYKLFTKTSGLEIAGNDKPLDFRSRDWGSAPRWVAFVDFFLAAQARYAVVTGAHRRVGTTYAQLIAALAAANRHGQDPSGANFTFLSSIHSNLLVEGLSTQVGWGHIWNRYAGPLSCRRQPHQCSLTPILPPAWWDGKWQSPIPRDVRRLLEYGVRLSNTGEVDGRHLISHCRSRKDHVKRYHVLPPYKTRS >Dexi9A01G0025920.1:cds pep primary_assembly:Fonio_CM05836:9A:27398518:27399018:-1 gene:Dexi9A01G0025920 transcript:Dexi9A01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCKLSSMLLAVLMVLAVSPAAPRPVAAATSAHLHVYMHDVTGGPSATAVRVVNGPRGSFGNMEVIDDVLTEGTSQSSATVGRAQGYYMVASVANLEFMVNMNVVLTSGPYAGSSLAILGRDDVSVPVRELSVVGGTGMFRMARGYVLWKTITPEILDLEIFVNP >DexiUA01G0023070.1:cds pep primary_assembly:Fonio_CM05836:UA:47049229:47051922:1 gene:DexiUA01G0023070 transcript:DexiUA01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDDDRKPAVGEIGIAAMAAGRDSEGRRAHAAVVGSQLINAGYHVVVKLALNVGVNRIVFCVLRDLLALAVLAPLAFFQHRGSHAKALPRITWRLLVSFFILGLTGIFGNQLLFLLGLSYTNPSYAAAIQPSIPVFTFILAVIMGTETVSLVSIEGRAKIGGTVICVLGAVLMVLYRGPALFGSSELELDSHGDVMPAMSQLEPNGSFGLHNIISGFLIISGLYIVTWARHREKLTSIGPSYVKCTLEPHDSDSQAVTGGNFVSESFISLSRPWNVPS >Dexi9B01G0026140.1:cds pep primary_assembly:Fonio_CM05836:9B:27948899:27950732:-1 gene:Dexi9B01G0026140 transcript:Dexi9B01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVVLLVVIVFLAPVMPNVAGEPWQACGDTGNYEPQSSYLTSINSIAATLPGNASASPDLFATAVAGNIPEQVSALGLCRGDANATSCSSCLAQAFRTMPDTCPSNKDAALFYDNCMLHYSNIPFLHDDGFWPIGVVAYLMEYFNFSVKSEPERFNLVVAALLNATADYAANNSTRRYAAGEAHFNQEYPKVYSLAQCTPDLTPARCRSCLAYIIEESLSMYANLIGARTLAIRCTFRYETKPFISGPLMVSLGGTPGSSGAPAQAPAVVVPRAPAARRGYPTTGEEAMESVDSMLMDISALRSATGDFAESNKLGEGGFGAVYKGTLPDGSDIAVKRLSKSSTQGVEHLKNELGLVAKLKHKNLVSLTPLNVNN >Dexi9A01G0048290.1:cds pep primary_assembly:Fonio_CM05836:9A:51103364:51104556:-1 gene:Dexi9A01G0048290 transcript:Dexi9A01G0048290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQVSQISAVTAAAAHVLCLAGLAAAHALAGHGALVSNPPLSLRLLVVCEAPVVIAVFSYLRRDRKSCSKSRQGN >Dexi3A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:3A:10835833:10840000:-1 gene:Dexi3A01G0014800 transcript:Dexi3A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSSAERRNVSPLGEKVTGGRKRSAGVGCWIRFCVSPSSSTRAKVDTALCGARASGFIDYHNLMFLFMHAEIKGKNDAIQNQPVRQIVPASSSSSNADNISSPSVVADGLTVAFQLRKFTFNELRFATRNFRPESLLGEGGFGRVYKGWIGENGTGSVRPGVGLTVAVKTLNREGQQGHKEWVDYNAKLSDFGLARDGPIGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLELMTGRRSMDKNRPTGEHNLVEWARPHLKQRQGFHTLMDPKVGGNISMKGAYKVTQLARACLTRDPKARPLMSQVVEILKPLPDLKDMASSSGLYYSLQAEQAARLGYPSGSRSMTPQSSLARNGHQPMRSLSYGPRGHASPYRPQGHGSPYLQLPRSSAK >Dexi9B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:9B:1611186:1612964:-1 gene:Dexi9B01G0002900 transcript:Dexi9B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIFSRKLKRKQSSRADHGGAEGRSVKEEGSDLIIRLPDCIIFSPKRKRRKGGRADRGGAEGYSAANQGSDLISRLPDCILGVIVSLLDTEEGARTAILSRRLRHIWRSAPLNLDDRLPTSYVDSRRVKAISQILAAHPGPARRLAFRSLHTPSSLSCYDDWFSLPMFDGLQELVLHFPLAEHPKMPGSSLRFASLRVLDIRNFTFPDSGCITENLLQGMISNSPGIDAMVLDTNFGHRRLCLSMPRLRYLAVSVRYFDKVEEVELEHLVVEDASSLERLLLHHLNYGPSVRITGATKLKMLGYLGTGFPTIELGDSIFKGMVPVSFVEQFSTVTILALAMPKPKLKLVIDYLRCFPCLEKLIVKFNFNIWKSVEPGLHWDPFAPIECLDHTLKTIELQSYDGLKTHVEFAKFFVKRAKVLKQSVYNKMDSKPT >Dexi5A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:5A:13134724:13141761:1 gene:Dexi5A01G0015500 transcript:Dexi5A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCRPASSARSGRRLGRQIPPPPAPSFPPGSRARAAAVPDANLAPQKRSQRGGGGASAAAAASAAAAGDSGDAVMARWLQSAGLQHLAASSAAGGVGAGDLRGGSLGAGGGLLPSLMQNVASEPFEPSPFMPKEMDDDEDDVIPDNQQSLADNGSSALENTAVSARESNVAKIKVVVRKRPLNKKEISKKEEDIIDVHNSQFLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAHDMVCLLRQPMYRNQHFRLWLSYFEIYGGKLFDLLSERRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGTTGANEESSRSHAILQLAVKKHIPVTDTRRQRDRDAIEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKEQLAAQPVIIGKESTYNSYPLSGEGEETMEHTQDTRPVDGSRKVVDNFTSSSSMEPERNSYNMIPSYQHKGKEEISSRSGLNDRERGDLKPSQSSFTSKTQPLDSVNSQDIKITKVSPPRRKANRDDKLERQSNFVKKESGPEISRTVPKQQQQLKQQQLQRPSLTPAPHCSSKQSEKEDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLSFLLSRKASGLVSLQARLARFQQRLKEQEILSRQKTSR >Dexi7A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:7A:2893480:2893975:-1 gene:Dexi7A01G0001160 transcript:Dexi7A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYYIVEWHLPHRVTRQFGKRQIVPPTERYTENDWRVTHQDYLHMWEQRQRHNIAEGEDWFAGEIQHYLLWFHRVARTSLRPTAMEYNMEDVDTDAEDDYDVDTRWGNQPERAPLHDHMVFINNC >Dexi2A01G0033090.1:cds pep primary_assembly:Fonio_CM05836:2A:43390158:43397219:1 gene:Dexi2A01G0033090 transcript:Dexi2A01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFARAVEDGLKLSKRLVLPGGVPPPRPPAGMERTVSAAAAAGPDPRLLPTAPTAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAVDCARGGGYTASRVAATATYASSCQWASRYVLHTNNHLSLVIWFLLLEVVPYFADSLLVLIIKVEGGADIYATFRWSQKLLYDNGRFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGDKLSFLHEAVVENWSSKDFNFSYSVYSADLSGGVLVQPSTLRDYDDRDRFCIFLLPGSGNRKVTLFSFLRELLVFRKAVVFIVDTSGSMQGKPLENVKHAVSATLSELVQGDYFNIITFNDELHSFSSCLEQVNEKSIASATDWMNSNFVAEGGTDIMHPLSEAMALLSSVHDALPQIYLVTDGSVDDEHNICQTIKTEIINRGSKSPRISTFGLGSHCNHYFLRMLASIGKGHYDAALETASIESRILKWFGRASSTIVANISVDAITHLDDFEVDSEYIPDISAKSPLCISGKYHGTFPETVIAKGCLADMKEFSIKLKVQHLKEIPLDKVRCSSYFGTLCLDVSLNFCVLEQVKQKLKGHKGPDEPRVPLHGLKLGFGDKDATRANLTTAFGDAKQPEKNVILQKASGCCSQLADCLCCMCCIKACNRMNDQCAILMTQICAALACLGCYECCAEVCCGGSDS >Dexi2B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:2B:7656320:7658801:-1 gene:Dexi2B01G0007320 transcript:Dexi2B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRGGALVVLAVMASLLLLLAPAAHADDGAGDCGATAAGDGASGGDHARAKTLKVAAFFSILVCGALGCLLPVLGRRVPALRADGDVFFLVKAFAAGVILATGFIHILPDAFDKLTSPCLPSGGPWQSFPFAGLGAMVGTIGTLVVDTVATGYFTRLHFKDTTAAGGGGGGVVSAAAVGDEEKQQREAAAHGGGGGGEDHEGHLHMHTHATHGHAHGSTALVAAVGDGGGDGEKEHALRHRVIAQVLELGIVVHSVIIGISLGASEEPSTIKPLVVALSFHQMFEGMGLGGCIVQAKFKVRSIVTMVLFFCLTTPVGILVGVGISSVYNENSPTALIVEGILNSVAAGILVYMALVDLLAEDFMNPKVQSRGKLQLGINVFMLVGAGLMSMLAKWA >Dexi9B01G0037680.1:cds pep primary_assembly:Fonio_CM05836:9B:39003191:39007124:1 gene:Dexi9B01G0037680 transcript:Dexi9B01G0037680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAVLGLKHRGPDWSGVYQDEGNFLAQQRLAVVSPLSGDQPLFNQDHTVVVVANGEIYNHKKIRKQFTGKHTFTTGSDCEVIIALYEYGENFVDMLDGVFAFVLYDTRNKTFIAARDAIGVNPLYIGWGGDGSVWFSSEMKALNEDCDRFELFPPGHLYSSAAGGFRRWYNPVWFAEQAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLVETEAAEKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDVAMGMDPEWKMYNKSLGRIEKWVMRKAFDDEENPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTEQQVTDEMMSNAAQLYPYNTPVNKEAYYYRMIFERLFPQDSARETVPWGPSIACSTPAAIEWVEQWKASNDPSGRFISSHDAAADASTTDTSGKQHANGKEVAVVANGGHVPAPNGAVNGTDVAVAIAA >Dexi3A01G0026170.1:cds pep primary_assembly:Fonio_CM05836:3A:22240254:22240556:-1 gene:Dexi3A01G0026170 transcript:Dexi3A01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPASCSSTNNADSKAGDVGVKSEPLDTEDPVLPFGSFEGNVTEDEHGDSTECSTSFGDSGFASDDDVESDAGIMEAESPLYSHINVGGTPAVSDIVR >Dexi9B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:9B:7794505:7795140:-1 gene:Dexi9B01G0011860 transcript:Dexi9B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNDASAAGDPAACISPPSAGAEGRALLRPSSSVSAISDDDEAGFEERAFEPAEKVVVSVSGDADEERRFYASGGGRAPPFSWRKLWLFMGPGYLMSIAFVDPGNIEGDLQAGATAGGSLLWLLLWSTAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVALVSADIQEVIGSAIAIKILSNGLLPIWAGVVITALDW >Dexi4B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:4B:11266898:11267203:-1 gene:Dexi4B01G0013070 transcript:Dexi4B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDATSPSPFPSPAESTADACHHAAHHAASMLPAVDASRQHHPHQICTPLEPRASQGIRSGHCALQMHGDRESPGEGGGGLPRSSPWVLTCHRCPHVRR >Dexi8B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:8B:3421880:3423703:-1 gene:Dexi8B01G0003910 transcript:Dexi8B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHECQAAMIMPKLSLFLHLVFLITSTTTFTANVVAAAAATEVLPLLPQDPTPPQQQAAPPPVSFPGEWHLLHTNIGVSAMHMQLLPGDFVLMFDRTDTGPSNISLSPCSTTTTTVSPNSGAAAAATTTPDCTAHSILLDLHTNLLHPYPLATNPWCSSGALLPNGTLLQTGGFSSGDRVSRLFSLSTGWVELPSSLAARRWYASDVILPDGRVLVLGGRRQFNFEFFPHNSGDPTSPELTFFPFLEETMELDVENNLYPFLHLLPDGMVFVFANDRAVVFDPYNRAPLRRLPPVPGGVPRNYPSSGSSVLLPLQPEKPSHAEVLVCGGAPRGAYHLALRNGTFVAADRTCARISPTVDDDVGYSGETPAWVVEEMPVARVMGDMVLLPTGDVLIVNGAAAGTAGWELGRTPVTTPVMYSPEKMIGERFRSMASTTIPRMYHSSATLDTYGRVLVGGSNPHVGYVFTNVTYPTELSLEAFLPPYMDAKLDGVRPRIVAAPAEVGYGETTAVRFAVVGVSSGEVVGVGEVRVVAVAPAFATHSFGMNQRVVEMAVGRVVEMDVGVFEVEVAAPPTPGVAPPGYYLWFVVHDGVPSSAAWVRMRPLGPGA >Dexi2B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:2B:5691476:5691904:1 gene:Dexi2B01G0006060 transcript:Dexi2B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEVVTGDAICKKKSIDLLEELGLPKGLLPMEDIKEFGYNRAMGFMWLVQKKKKVEHTFKKIKQTVSYAAEVTAFVEKGKLQKITGVNTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >Dexi8A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:8A:27858899:27860344:-1 gene:Dexi8A01G0016450 transcript:Dexi8A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSSLPDKILQRILLFMPSREAASTAALSRRWRGLWPTPARTVILDTRSYGRDCTRDAFFHGADAALAAHGSVTSLAVHVEAESIEHGPDCIERFMSQINGGRRDRHHIAGDVLGHPSCRDVEELSVTATLPAKSSSSPKRMTARYRAFQRKQGEVEMPEGPQYTGCYKLSLAAVPSSALRVLRIDNCKDLTPAPGTALFPCMATLWLRRCVVSLFTLRDMIAGSPKLTALRLEGVYITTKVPSPDDQVGNYYGYGRHNGYGYRNADEVRRTVGCGAGLGTLVLLNCSCKRGLTIELDIPGLQSFTYQGYIDRFTLKSAPPDVQRADLHFLGDPTWIEETNLCKTKFLKLKLGFAMGEIAIEGKKKKRQGKLLSDTLMCNLEHLEVDGQYIPGSAVAVTIANLLQCCPVLRDLRLKLNMVDHDSVRRGRAPDAMFKTISLQRKSEQDARKSADHFARRRNLEV >Dexi5A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:5A:8478146:8488619:-1 gene:Dexi5A01G0011300 transcript:Dexi5A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGNTYVSVENRSRDARQRVYTVQRAPDCIALLAADYNEGRFKPCVWNRSRVQKIGSPSQGDALLFYSSRGRQLYNVILPIYPRRPDAPDVRLGDLFLGCRVSFTFPTAASRAETRMQLPFPKRRAADQLSQLAGGQVMSGRTPSPSQVRFDLRRVFGTPPFPLCVLVDRRDPSRGGRGSGETDVPDPFHPLVVWRGLGSDETEASILTSGETEPSDQSKLALSGSSSVRVPLAGALTHGMQGALRDGYHFKIEVPEAAAFGNWQRTNSATRRVEEKRRAEGAICVLRDRNCGGHGGPLKTLTTVGSGTSLDWSEKSRPPSRPTPDPPDDQEEGVGHVRLARPLTPLVGRADPREGGRVPKTLPKSNLTCEGEGVRPTPSPDRHPTSPARLPTEKADLQPCAWEKQPRTKLPRSCRWEGEGHAAAKEGGSLPNQYKPSVLRLLNHSPPIRACSVIDTRRREGPAHVYVFIDTRCEMAGSGDHQFRLGVTIRLGSLEFLVNEMPDARGGALRLVLVDNPDCPATRAGQVPCGGPAVSSAASEEAATATQAVSVVSRNSIAVSRVGRERIRQLNPSASDEAFEFAFVMRLQNPNDQEGEASAFSFGLRNASQTFSDLAQRQAWKGLERAHARVRLLRYEGILRCYSTLSAMTSLSEPGREKDLEVHIPRGWGENGAEIRLTPEQIAAKQQELEDIWLAIEIEQAQLDANIEPSARARAREVGQRIETNADGYPLFDWASQCIAAATLLSWQLPQPSTPEEHRVQNGLRTLLECTAVRQVASSAGRRRHSAGNSRSPSPVDRKRLADGSRRNAPNTDAAKVQDRLGPDRDVRHTSEARRRDKSEEPKDRVPRIRHHRREAHVEVEEDWDSNSDSDCPGRSPSPTRFAIPPRFRLPTNFSKYDGDIDPSVWLDDFQLACRAGGAFDDKVIIRNCQRVAHGVPHASECRSQNTHVSGRIPPACVLATLSLIAANFAVASFLAASAAAHQLLQMTGEQVMSGVGQEKALAARLLLLKSDRPEEDLPCLPTGRTPRGGLPIRPGGWGSGETDAPNSFSPRVVRSRLRPGKAGSSRPWALGTLGGSGETEPSDLLVAGVARVFFLGPLVHDPHSSFRPCRGVKDSCECDLDDASMERPDIPYVTGVTTLFTKRQPEPSCAWPLRTRPRGRGGPDEACGRGGQLMPYSAASCHAHTPGAPYAVGFDPMMGSAWSCDFSVRQPATPPPLYIEGRRRDRARSSPPVAQLLPPCFLFDSSSPKSSTFEMATRGSSSSAQLRPWARSTASEAALESLLTRGLLRPRTEQEEWISPPPPIIPLRFMAYHIRGFAVPAHRFAREVLHHFRVELHALGPNGVQQLANFVALCEGYLGIDLDFNLFLFFFKTALVRVQGALAPWGYCSLQAKQSRVDMFPRSELWGRPSSVRPHELAAGQGARALAVRPEPAACKKLTEHLACLAKLRSSGLTGLGIAEAFHRCRVAPLMARPRRLFEMLPTTSEAELYASLVSHAIPSEDEIRARLAVLVDSQRAASMSIPISGQPPMLPWPGTVDLSSEAPGGLRASRGVIPEEEPGGRGGRPEMQEGGDLEAHQPRGAEAREGARGAPGRGDREENPGGSSPMVLEYSGGTTPRWTPRRTVSISSHPSSWTSSHPLLWAPPAGATRMRPTSSSRPVRLSVRRPGTSSRFVGVSSLVAQESGPGSAPVKRLRRDAPPATTPDSSEPRVATEARRADPSPAVAEGPALAPALGASPSHAASAVPAAGVSYVLASSPVVGEATEPAEAMAVDAPAGATTSEGLGAGPAATAVEPLPSAGTPVEGVMVDVAAEAPSIPLSAPTTFEPFSVNPGEGEEVSRWSMEDLFGEAEALARAKAGPPTAPSALTLVSVLAPPPTAALAEASGSGGGQGAAVDPEHLVQVDPWLEALQQMHTIPATLLQMALTLRSVANPSSQVRDLLYPFVSVYCTIAFQRESIDQARRAWNAANAARDETVGSARRVSELERELASQAPAHQEELAVLRADLGRLRSDASDVRSRFQAQLNSLREERAAAILPCAEALRGRDRALNAKEEAEGACAELSQLVANLHVEVQTATGRAESLEASLSTARKSLEERDSEVEGTFSTSSRVSDGITHRTTLLSRFGMLRDAAGAVLTRLGFSLSEDIERLPEDLHRAAECCGELRAVALGMLEALEVPVSSDPTRLPAELDLAPARIGALSKQSLVRGVQEAFTLDGHRLAQRVHLGGSGRHGREAQGPCGIGNPVDGAAPGQAP >Dexi4A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:4A:4047651:4049778:1 gene:Dexi4A01G0005580 transcript:Dexi4A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAQGQLVQEVATGGLQAPPSRYVLREKDRPTTNGGGAAAPPELGVFPTVDVGRLAEPGDVEEAAKLQAALQSWGLFAVTGHGIPAALLDGIHKVATEFFHMPSEEKLKCANKTDTGEFQNQGYGIDRVDTDEQVLDWCDRLYLQVQPEEARQPRFWPKHPPSLAKLLHEYSLRSEQVAKQVLKAMARALGFADDFFLGRHVGEDMVSWARFTYYPPCPRPDLVYGLKPHTDNSLVTTLLLDPDVLKDDGSWVEVPVLAGGELLVVVGDVMEIMSNAAFRAPKHRVVASERERMSLVIFYLPEEERDMEPAEELVGEARPALYKKLNVKTFTDGFWDAFALGEPTIDFLNVRVDDKEATTAVSAA >Dexi2B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:2B:4002032:4004740:1 gene:Dexi2B01G0004400 transcript:Dexi2B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILATMVVGPLLSMVKDKASSYLLDQYKVMEGMEEQHEVLKRKLPAILDVVADAEEQAAKHREGAKSWLEAVRKVAYKANDVLDEFKYEALCRKAKADGHYKAALDMDVIKLVPSHNRFVFRHRMSNKLRMILQEIDVLITEMNSFRFKFKPQPPMPMQWRQTDASTPMDCVDIAIKSRAQEKKDLVHRLLTQAGCDHLMVLPIVGMGGLGKTTLAQLIYNEPEIQKHFELRLWVCVSDDFDVDSLADKIVKENGCGSSESSALDKLHNAVSGKRYLLVLDDVWNRDEHKWEKLKACLRHGGSGSSVLTTTRDESVARLMTGKRTTDVQNLKTLDEVYIKEIIGTRAFGSKQEDKWPCELAVMVGDMVKRCSGSPLAATALGSVLRTKTRKQEWKDVLSRSMICDEENGILPVLKLSYNGLPSHMRQCFAFCAVFPKDYEINVEKMIQLWMANGFIPEKQGQHSEIIGTDSGCSNVGELQKLDLGGRLKLSQLENVTGEDAQAGSLGNKDKLTELTLRWNRTDLQDAQNNNHEEVVENLKPHDGLTVLRICKCGSSTFPKWLNTLRGMVELVLYDCEKLEKLPTLWQLPALQILRLAGLRSLHCLCSDGKTAITFPELKVLTLYNMPKFEAWWDWDTNEVQGEEPIFPRVEELEIEHCGSFTALPKAASVGVGINKCRSAFPVLRK >Dexi1B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:1B:23809418:23813771:1 gene:Dexi1B01G0017510 transcript:Dexi1B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSRACSVLGSALLLLLLSLGSAAAQKGSTWKTLSVLLAFLKPNTNSHLIVPGKAPAIVAKGGFSGLFPDSSDNAYLFVASVSSPDTALWCDVRLTKDGAGICLPSISMDNCTTIANVFPQGKKTYNVNGVSVSGWFSVDYKSTDLQKVFLKQSVLSRTPAYDGALPIVPVEVVLGQYKAPAVWLNVQQDSFYSQFNLSMRNYILSTSKQFIIDYISSPEVKFLTSISGRVAKNTKLVFRFLDESSIEPSTNQTYGSMLKNLTFVKTFASGILVPKSYIWPVSPDNYLLPYTSVVDDAHKAGLEIYASDFANDFTISYNYSYDPLAEYLAFVDNGAFSVDGVLTDFPITPSEAIGCFSNLNNSKIDHAKPLVISHNGASGDYPDCTDQAYEKAVADGADVIDCPVQVTKDGIPICMSSIDLMDVTTVATSQFSSQATTINDLKPAPGIFTFNLTWDDITKNLKPMISNPMSSFSVFRNPRNKNAGKFMSLSDFLAYAKGKDLSGVMISVEIHISLEFCQVITAPNSHFFLPFQILQHAAFMAEKLGFGVVDAVIKALDDSGYSKQTAQNVMIQSTNSSVLVKFKQETKYNLVYMIEEDVRDAAPSSVADIKKFADAVSVTTTSVLPVDHHYLINQTNKLVQTLQSAGFPVYVYVLMNEFVSQPNDFFADATSQINAYVQGAKVDGVITDFPGTAHRYKLRTCVGKNEPAFMYPVQPGGLLSTIDPVAQPPAAAPMPLLTDSDVAEPPLPPVSNTTATASSPSSHASLRIKTDLSILVTLLLFCASLLI >Dexi2B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:2B:4635874:4638450:1 gene:Dexi2B01G0004920 transcript:Dexi2B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMGRSLCHWHATSSSASAWRSSHWRTHSTLYLNNSLWINNPCSFAMVVEDSWYTFTTTDLYGNTSNKFPRGVPYVIVFAIRNAKCPVRGQQAPLDYACVRGNSTCADVTNGYVCKCLEHYEGNPYILNGCQGCFGEVYKGILDNNLVAVKRPISGGKPENNEQFVNEVVIQSQVIHKNIIRLIGCCLEVDIPMLVHEFLPREVHCALNSPFQHLSPGHYHLALLYTLRYHARLW >Dexi5A01G0039140.1:cds pep primary_assembly:Fonio_CM05836:5A:39871926:39876250:-1 gene:Dexi5A01G0039140 transcript:Dexi5A01G0039140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAALLVVLLLLGAPPRLRAGSSPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGTEWNPANVSDPSGERVYFGEGAQYVDPDPGHSFQEIRQQIFGSDDASGPAKMDGFVQQARSLGPNMTDAVMHGFTPDSVAVYRELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPQLLAKGYPQRTIFDNIHDAGLSFGVYFQDVPAVLFYRNLRKLKYLLDFHPFHPTFRDHASRGSLPNYAVIEQHYLDSKLFPANDDHPSHDVYQGQMFVKEIYETLRASPQWNQTLMVITYDEHGGFFDHVPTPVEGVPSPDGIVGPPPYNFTFDRLGVRVPAILISPWIEKGTVIHGPNGPTPTSQYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQTRTEPRTDCPEQLPMPTRIRQTEANEEAKLSEFQQEIIQLASVLNGDHELTSLQDRIRDKMNVREGIDYMRAAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRIERP >Dexi9B01G0022130.1:cds pep primary_assembly:Fonio_CM05836:9B:16773020:16775833:1 gene:Dexi9B01G0022130 transcript:Dexi9B01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVDPVAAGLGTSGGAIGGVGMGGGAAVMDGARPVDLAMHPSGIVPALHERSDQPFIRYQRNIVSTVNLDCQLDLKMIALQARNAEYNPKRFAAVIMRIREPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIIQKLGYPAKFKDFKIQNMVGSCDVKFPIRLEGLAYSHGAFSNYEPELFPGLIYRMKHPKIVLLIFVSGKIVLTGAKVREEIYTAFENIYPVLTEYRKCQKW >Dexi2B01G0030580.1:cds pep primary_assembly:Fonio_CM05836:2B:38844327:38848517:-1 gene:Dexi2B01G0030580 transcript:Dexi2B01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSRGWQELGVVDTIYEDDHEEDDDEEEERFDSPTMSSSAATSRSCSPELEEEAAAAAHRSLPPALRRAVQAWSRANGSRKPDVIIRVQEHRLPLHRWFGKGHRISATDVITSQSSYLRRQLSDYSDITVALPSGLPVDAFVHAIASCYGSDEAAATLSPASLAATWAAAGWLELGVGRHPYGLARAVEDYFFQEVATDHDHAAEVLRCCTAFLAGEAAGPAADLLVRCLEVLAASAASGGDGGRWLEDVAALPVEEFLVAVEAMRARFAHDHDLMYTVVDHYLENHKGKLTEEDKGKLCYNVNCTKLSQHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSHQHHAAAPAPGPLPAPVLKPSLSAGAFSGGGGAAVDAASMTLGDILQRDAALRQSAHIRASMQATSLRIETLERELASLRTRLRRSEQQAEAEAAAGASAIGRAPGKSASFRIPRSRLWDGEELAPVGPRRAASRDSNGRGFKSRLVHGFRNLFGRRQEVTGAPSACGEEAASARTRCFGDKATGAAAARDLEIDDDEVLCMEERWRPHRRNHSLA >Dexi5A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:5A:24057758:24062493:-1 gene:Dexi5A01G0020250 transcript:Dexi5A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSKVHMLDIILLICYVDLFSINSLLQWIDISAVVPFFLVSITSDSSASVRQLRDWKACQGNYQKLLFGFYDHGCRSDRPGMAIINYIAFLSIRWKIEKVQFFCYREVRGRPDLEQSLIGEASFPPPYGWDDPDYVPDAIGWEGVEPGKGTKEMKPKEIDLQSSNPAIQDEEKQLMHLKLMGWRHFPVDLEKLSRVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDNGSVATPDLVKQSLYIDKDRGVPRVSAIIPHLKERCPAVEVEGIQMEIPVPGHPVSPSKMASVLDECKHLQTLVAANDAVFLLTDTWESRWLPTLLCASENKIAITAVLGCDSYLVMRHGAGPRTCGGTDEVPAQIESLSTEDALSHQRLGCCFCNDAAFLFNVHQTLPGLTSVVSGKAVELFARMLHHPDEIHAPGDIAGVETEHQLGLLPHELRGSLPNCVLSMELGISSGNCTACSIAVLSEYRRRGLDFVMQAINYPTYLKDLTGISDLKRPDTCPKMLTSISVNSDKISDVRCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRGAPKATAIIRHLVERCPSVDAQGFQMEIPMPGHPVSPNEAAGVLQDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTDENKIAITAALGYDSYLVMRHGAGPGISGEASNVTAVEDKLSTKDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGCAADLFTRMLRHPDGIHAPGEIAGASSGHPLGLLPHQMRGSLSQYNLLTLLGYSSSNCTACSNVVLSEYRSRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSEVEWVDETDEEDVVEI >Dexi9B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:9B:9869121:9869780:-1 gene:Dexi9B01G0014760 transcript:Dexi9B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDTSTTAALLLLPEDVLADVLRRLAPRGVAACVCKAWRALVDAHALLRADLLPRSLAGILVNFHGLYATELFSHPSTASRFSVELHVDDDLLARSVELDEDLSRHLGYRPTGVVEDHCNVLLLINNMVVNPATRWCFHLPPSPPSRADVDYTYDVGHLVHDPTISPHYEVFLVPRLCPLFDDSENDSPVGPVAEQYSEWPPSPCVLRVFSSKTER >Dexi8B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:8B:1519077:1522812:1 gene:Dexi8B01G0002210 transcript:Dexi8B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGVVRACAPPAAVGASSAAPSSSRRDAAQTKRSSRTARVLVLGGTGRVGGSTATALSKLRPDLSILVGGRNREKGESLATKLGAQSEFVQVDTRNTSVLEKALQDAIDTLPFSEQTAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAARSENGEPERLRLEFLTSLLTRSHLVFFYYTAGTGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALGIDFGKGVRKKNVYLLNLPEVKSAFKILGVPLLVPSLVPLLFSGIGECRLSQTFYLLYEFLRDRNKVQKLVESVDPLVRAIDGIAGERVSIRVDLECSNGRNTIGLFTHRKQSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIAMEARKLLLERASEGTSNFVMNKWFLFRQP >Dexi1A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:1A:28290553:28294956:1 gene:Dexi1A01G0021530 transcript:Dexi1A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVEEQEEELFYESLDRILSSSGSSTSASDDDGGVAEVRPRRRRGGCDASAAALDLWTSQPAPVQERRRRLLQLLGLAGDPSLARFETGRPASDASLPASPVSRSRSGVAASKPPLGGARLRPSLSDASDAALEAAEEDPRWLIRNLDDGSEYVVREELCLREVGTGRQLTVEELGRSPIVQELMRRQAFSTPNSSCNSNSQSGASTPIERSSSSSSNGGARSKRRSSWLRSIRCVAGSMAAHSRDRRSSDEKDTSSEKGGHHSSSATDDSQDGFPRHGPARVKVRQYGKSYKELSGLFMTQEIQAHSGSIWSIKFSPDGRYLASAGEDCVIHVWEVLEFERVGKERVVEENGVCDPFAAMCYQSSELMVSSAAPTGNHWEKKLRAKVLHSGGSVSSDRLMVPEYVFALSEKPVITFAGHSEDVLDLSWSKSQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWVDLHEMVTAACYTPDGKGALIGSHKGSCHLYDTSDDMLCYKRRINLQNKKKKSSRKKITGFQFVPGSSSKVIITSADSRIRVLDGFELVHRFKGFRNTSSQISACLAGNGRYVISASEDSHVYLWRNNHDFEPSRKKGTVSVTNTHEHFNCKSVTVAVTWPFTSSTMTSLMDPRKQELDHGSKNDHVVRTGAQDMPDAKYQNTNISSNKSNHNGGWTSVSWPEEVITPAKESHSHTVCLADDGDQAIDQSAWGLVFVTAGRGGQIRTFQNFGFPVRV >Dexi3A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:3A:16970967:16974026:-1 gene:Dexi3A01G0021310 transcript:Dexi3A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGAYAADLPAVPEWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQGYLVRRAALSATAHGDTPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFSKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGVFAGSVPWFTMMILHKKSELLMRVDDTLAVFHTHAVAGLLGGVLTGLLATPELLRIESPVPGLRGAFYGGGARQVGKQLAGAAFVVVWNVVVTSLILLAIGLVTPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDAARVGGGGGGVERDGTVEQRLSRMGAAGVTIQL >Dexi9A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:9A:13878808:13879637:-1 gene:Dexi9A01G0018840 transcript:Dexi9A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLDEKELERKLKKDQKAKEKEEKKLKARQKEVARLQAQAASDGGGAKKSDKKLRKKGATDESPEDFIDPETPAGQKKLLASQMAKQYSPAAVEKSWYAWWESSRYFEADAASSKPPFVIVSAFIF >Dexi4A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:4A:17604727:17608039:-1 gene:Dexi4A01G0015040 transcript:Dexi4A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEAGEDSASGPGKGEKINIKDDVSAVKKGGCCS >Dexi9B01G0046640.1:cds pep primary_assembly:Fonio_CM05836:9B:45853593:45862821:1 gene:Dexi9B01G0046640 transcript:Dexi9B01G0046640.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFIVLSTISAASSPLLSSLFRSVGAFDPWRLIAASLRIPIRGRGLRSRARMEEQEPSASLAPEPRGRADEAGRSPREPDAFEDAMGDEEEASAASASPVGFREGCGGAAAEASSPSAGRSRGDGQAAPEEAETYGSPSASSSGSAAMAGEESPSVSESREEPGRVDTGSASSPSEQRAGGAEEHESPMATPRAGSPLREEAESSMHSAPSSPARSATSTSSWPLPQVKPQARHVRTGSFQRFRQQMQRAWKWGPIGGGGGERSPREQLLRTTMNIEAMTNQKRQWYQIHSKAQDNWQYKEPASLFEHFFVVGLHSYANVGVIEDAFAKKKAWESDVARSEIVDLRKIQYHGSIPSMEPQILFKYPPGKRAEVREVDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLSRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQKAPVLTICWHVYSMNSIIAQERLDRITQFASEITLSEPVPRSVKEQDGAKGEFDSSNGIPYIDWTEYAVPVNSISGLISSAGIPPEREMSSYLFRSWGPNSPESISASEISDSSYAREVDKEGRHSFQQYEDCLSENLESRCDSFGRVSYSDNGHTSPDLLSMHSPISRKLERAQSVESFLDSSVKAVGSDEEDEVNAKHEMVVDDEKVMGWAKANNNEPLQIVCGYHALPLPSRGGELVFRPLEHLQPVKYSRPGLSLLGFGEIIMDNGLASAETNKVNARLAAAEEALALSIWTTATVCRALSLESVCYFTLSFTVNGNTMEGLNVLGLFAGVLLEKQIVVICPNLGILSAIVLSIIPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIVKACSLPQLPRYKELVSDLGPIHARLSCEDALAKRHPIYKRSEVQAEAAWQFLDVMRSYLESLCSDLRFHTITNVQANNDRVSLLLKDSFIDSFSSKDRPFVKLFVETQMFSVLSDSRLYSFENERA >Dexi1B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:1B:21884736:21887472:-1 gene:Dexi1B01G0015360 transcript:Dexi1B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKVGKANSKRPVPPLQRRRVGGRWRPVAAGGGLALAAAAYVGVDYLRHLSPAWHGRLQPALWAALALATAARAPFYRRWDAELRAAPRFLAALAFMLAALLCEAIAVRFTNTVLGLQWHRSWARNRLKKFYAPYASDRMMIWRVMKQDMPFAALQDYPDEYKPEWGLMSFLVDILRPSTGEGPSWYHLLKKSSGGCSDLLYSGHMLVAVLTAMAWTEAYGGWSSVVIWLLVVHSTQREIRERHHYSVDCIVAIYVGMFLWRMTRFLWSARETNRSRRIAKLDEVQNRLFRAAKDSDIVEIRSLLNAVELAGQEKKGFSQRIIFSFAAAIIVFILLFILLAFALTNDG >Dexi7A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:7A:27851615:27856845:-1 gene:Dexi7A01G0018430 transcript:Dexi7A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLCVKNLPKGADERRLREVFSRKGEVTDAKVIRTKDGNSRQFAFIGFRTNEDAEEALKYFNNTYIDTCKITCEVARKIGDPDAPRPWSRHSLKKSEYASKDKANAEAVDALPKSTKVQGKSADVRGSKGGVTNDPKFQEFLEVMQPRSKAKMWTNDTTATLDAVATDGVVVTKESKKPQKNDPASEDDASSEESSDEEMTNDLSSKDASGELQTDRKQDDMTDADFFKSKIKKNWSDSESDDEDSGDHSNSTTDDENPSDESQDADNQLVDLKGDLNKKINEDKDARAQRTGLQEVEDPDNKESGDLDGRQKETKNYEDKYNEDEDASSITDGKKLALETGRLFFCNLPYATTEDDLVELCSQYGDVEQAHIVVDKNTKFSTGRGYVLFNLPDSAVRALDELDNSSFQGRLLRVKAAKPLNNKKLESTHATVEVKMNLKQQKLEQKKASEISGDTRAWNSFYMRQDTVVENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEEFASKKNEKSKRSNHVILVKNLPFSTSEEELAAIFQKHGSLDKIVLPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVDDDEKNVVGERIVTKAIVEQTVEGVSTEEIDPDRVESRSVFVKNLNFKTTDESLRQHFSTKLKSGSLKSVKVKKHVKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNDKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALANTHLYGRHLVIERAKEGETLEELRARTAAQFVDEHSGFQRLSKKRKQSSLVDEGSVKFSRIVE >Dexi3B01G0025870.1:cds pep primary_assembly:Fonio_CM05836:3B:20809172:20813049:-1 gene:Dexi3B01G0025870 transcript:Dexi3B01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESDLISGPTYRLRRRCACGHLDVEWSSEGVGARHAAVTPLQVHPQDDEADGGVVDKVEEAAKRGRTKNPGSGGGGVVEQVEEVRPSEGPQQQQRRRRKLEAVGR >Dexi7B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:7B:19572650:19578398:-1 gene:Dexi7B01G0012920 transcript:Dexi7B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPQQASSGPTTPPLEDNQGTKKNSKKNRGKKKSKETAGASLGPSTMVEDPFFVLAGGKEGGFLELEEIDEADFGIVGGGLENVEEDEGKAGKDQGKKKKKHKKKKRKRGGDDQSLSGDGDSVAENEQEGEKEEKRAKKKRNMKKRKVKVSEKGGESDEGVTDDNAEDMQDENENVEQHKEDEPILGEDEVFAWHELRLHPLLVNAMRRLGFKEPTPIQKSCFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKDSRLHREDEKMEESSGGSPLRALILTPTRELAKQVCDHLKAAAKFLGIHVVPIVGGLSMEKQERLLKMKPEIVVATPGRLWELMSMNNQHLVELHSLSFFVLDEADRMIERGHFHELQSIIEMLPLTNSSDEQAARTMPNCETVPILQKKKRQTFVFSATLALSSNFRKKLKRGLSTSKASVDDVSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSEEDKDAYLYYILSIHGQGRTIIFCTSISALRHISSILRILGINVLTNHAQMQQRARMKAVDRFRGNDNSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSLAGCSIALISPADKSKFYSLCKSLSKETASKSWLQRNAESMGLILETSDSEEERVKGHKQRKATSAHLRKLQQELSDLLQNPLQPKTFSRRYLAGAGISPSLQKQLEELAKSNVSKINVGNKRRKTENKGSRFVVIGQDRVEPLQALQNSGQEICVNLDKQREKRKLAQNWKRKKHEEKKRTREQKRKEKRKAKEMD >Dexi7A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:7A:17581369:17581586:1 gene:Dexi7A01G0006210 transcript:Dexi7A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIVLLVALAATLASYCSASSPHHGFPPAEAPPAQAPYAEAPLKPHHGSEHGKHHHHDGATILPQLA >Dexi9B01G0039140.1:cds pep primary_assembly:Fonio_CM05836:9B:39950730:39952012:-1 gene:Dexi9B01G0039140 transcript:Dexi9B01G0039140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRCPCSMLRSSLHRSVSCAMGPSHRSTLSTSPLAAAAASTSTAACCPLQLALSFAFTASRMLTEPIPLGQVDHSFLMHLLAAAATCRWQRPQRREHRLDGQDHMGAECRSAAPGVADRCSGPDECPSGHVRPTVKDGNPGTNAPKVIEMRASTARTDLAMSFAALPASTLQSEPSMSLCTFCRCALSSRISFSPGSGLFFPGADCDGMMGPLCCFQLSIRRSDASSSLSASISSYSLAAAATWRSIFRSRFVAPLENPTAASSCARRPRLASGGEASAAHAQHRAARRAVAEAARSRSDTSSAHARRRRRLWSADPGDALGAEDVGAEPPELGVGERPVEGDETRHGGRCLEGQDAEPVGLAAAGDEARPQVGEP >Dexi4B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:4B:230475:237231:1 gene:Dexi4B01G0000370 transcript:Dexi4B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKRKAPDGPATADNASPLKAPRADATEPPSLTTIAAAEPVACVHDVSYPEGYDASTSASRVLAGGVEGSEPAKKFPFQLDPFQAEAILCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDSDKKKENGKWQKGIVAGKSSEGSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKTNIETIFWSAMDLLSDDDKKLPQACSCFAHFLILVSDIPYRLMHMFVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNTLLNQMRSEDGDPEKLLRYSFYQFQADRALPDLEKQIKELESDRNSMVIEEEESLKDYYELLQQYKSLKKDIRDIVLSPKHVLPFLQPGRLVRLQYSTDEPATFSIDENVTWGIIINFEKVKSHGEDRRPEDSDYTVDVLTRCSVSKDSSGKKVMKIVPLKGRGEPVVISLPLSQIDGLSSIRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMKVQSKSYRKATRRIEALESLFERHDIRSSPHIQQKLKVLHDKQELSAKIKSIKKTMRASTALAFKDELKARKRVLRRLGYVTSDDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECRIQIDVESFVNSFRPDIMEAVYSWARGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLYL >Dexi3A01G0031320.1:cds pep primary_assembly:Fonio_CM05836:3A:35824734:35825556:1 gene:Dexi3A01G0031320 transcript:Dexi3A01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNTQLLHEKVKLEKELEETKAAVKEFATDKEEVERSLKGEIDKLRLEVLVVEGKHSKSEEEVEKLKMELAALAEEKEATVKAFDSEKAKMAMESEDLRRMVGEIQASKDLVDGENDRLKSELLTSEQKYSLSEAEVGRLKMELDTLAAAKEAAANAFDAEKADIMKELEDLKTKVEAIQGSKDLVMAENDKLRSEILIVEEKLNIYETEVQRLKKELSDLAEAKEAVVKAFDAEKVEIMKESEDLKKQVEEIQASFGWKL >Dexi4B01G0022830.1:cds pep primary_assembly:Fonio_CM05836:4B:24372060:24372323:-1 gene:Dexi4B01G0022830 transcript:Dexi4B01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRKSTATSEPDADSKP >Dexi9B01G0043930.1:cds pep primary_assembly:Fonio_CM05836:9B:43833888:43836621:1 gene:Dexi9B01G0043930 transcript:Dexi9B01G0043930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSCFAGLLGGGRNKASKGKNKGVYAKRINGNDCPKVKPVEFMDMADTVDVITRREHNVPAAACDSKFGVVPAPAELTPHGGQSCDKAAVKRRSSRADLVAGGSSGYSSDRTGKSPKTTSPDAGESSSVGRMSSPTPTPSPKLKRSCSNIETTTTRSSAPPSKVFDLPAKSPSYNDLNAMPPARSRSPTTPSAGEEDTFPSQWVAFSTEDSPLDRVSAWVNSLGDDGSFHHHAAAVDEDDDATEHGGAARPSPCSEIVEVEVPTTAAGKIRQQAVKRRAAEEVANTNNQASGVVHTLNVFSSVAHISGMGFKAVPPIAAFSTLRAVNLSGNMIVQITPGSLPKGLHSLDLSRNKIAVIEGLRELTRLRVLNLSYNRISRIGRGLSGCTSIRELYLAGNKISDVEGLHRLLKLAVLDVSFNKVTTARSLGQLVANYASLRALNLLGNPVQAATGDDTLRRAVSGLLPKIEYLNRQPIKPQRAREAAKDSVARAALAGNGGGGGWSSRRRTAARRVTQSPGASSHKGRGRDGGSSRRGSRSRSKTRLQGTSSSISRR >Dexi2B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:2B:30209631:30216074:-1 gene:Dexi2B01G0020090 transcript:Dexi2B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWSGKEASGAYDHLGELDQALFMYLDHGSHGATHHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPDHHHHQQAAMEELAGSRRLQQEHHHLQHQPFAAASAEPAAAGMIKDVKPLTKKDQRRGGVSTAERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGAFFPNSGLLADQGIAGKGIPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMVGIKEGAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLSHVEPLTEQQIVGVYGLQQSALETEEALSQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQAENLRHQTLHRLHQILTTRQMARSLLSVSDYFHRLRTLSSLWVTRPRAAQEQQQQGHS >Dexi5B01G0026760.1:cds pep primary_assembly:Fonio_CM05836:5B:28446854:28451603:-1 gene:Dexi5B01G0026760 transcript:Dexi5B01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAAVVGTAVVVCAAAAAAVGVAVVVSRRSRRRREAEDERKRKAAAVLEEVEKAMSTPTALLRGIADAMVEEMERGLRADPHAPVKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVKQEYKEVSIPPHLMVGTSTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANAIPKWNGTLPRSGNMVINMEWGNFRSDKLPTSEYDKALDFESLNPGEQVPDTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGKDKLPNDFSQQRTVVAMDGGLYEHYKKFSACLETTLTDLLGEEAASSVVVKLANDGSGIGAALLAASHSQYAEAA >Dexi5B01G0022250.1:cds pep primary_assembly:Fonio_CM05836:5B:24478760:24484424:-1 gene:Dexi5B01G0022250 transcript:Dexi5B01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHKSHRSHRRGGSADRSESEGEEPAPATGAREEVAAAVRVSRDPEPERRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSEAFGHADAEKLPDKSRGSGDESKRSSRRTAVVDDKAEEVVSKSDSGKRRSEKEKDVGRRESTGHYKDDRDRDREREREKEWERQKERDREKSRDREREKEREREKERDREREKEREKDRDRERDRERERQKERERDRKDYDSKHERYEDAGSRKTGPKTSRAEEEAYSYRRETEISDSSAKEKYGNPEVQPDKHSRRKDDSEDTDKWPADNRDSDDRKTMSRYEHGRSRSSKEQRLDDDKYKERYKDDYGRDKRQHDDKFLDERVTRGHESDRADYKSAKDGHRSSESHYRKDAVQDSDHYENYGNRHGSKVIDHGKREVPYDERNNRQRTSSGRERTPASRLRDRDTENWSSERLKQKDDHQSRDIPLEISTSSQFSTHMRPFGWPNPLDESCPPHLQVWNGGSGVFPGEPYLYGRQEWDQNRHASSRGWELSADASKGLNEVSDAELPVAKKEPGSAATAISDSSSGQHNVQPQTEEKEIEHLTSENFDAKDDSKSALKSLEAPRGAQLMTSMLSKEGVVFCKSYLSKISVSPDLVDSELYTRCISLLGELGVAKPTQRALALHQNQTGKGLVPSFASVKMEEEMDVPEDSHDTEMLDCTPKEAAVSNHALQHNTGVMEEWSLSKHPGDRIGVAPAATTESGGVEAPLVITQPDEVMEEVAAPPAIAAPDKVMEDVILSPNKVPADGLEDGEPQATLEHITADLQEITAAGSLEDVAFSADGESGDNTEAMPLAIADPNLGKEVAPAVASPHDSLERPSIMPADAETGMEDQIDNIICDNPGDAEVSSILATKLDVAASDDGDSEALLVET >Dexi9B01G0043910.1:cds pep primary_assembly:Fonio_CM05836:9B:43818143:43819787:1 gene:Dexi9B01G0043910 transcript:Dexi9B01G0043910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKFCKPLTWSNGDIFLMCLASAQILSAYILKQDSLPSSYKSFLNKHGGKDLTILQGVKDIVNHTAFSNLAGIEKYYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKRPYTIIGKSLLGIARSSLFLSVYCASAW >Dexi5A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:5A:23684324:23686647:-1 gene:Dexi5A01G0019730 transcript:Dexi5A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTYTIQSFADSMLLALGGFFMSRKNIKEWFIWGYWSSPLMYAMNAITANEFLGKQWTNVFVSNGQTTDTIGIAVMKSRGYFPEPYWFWIGTGALVGYIIVLNSLFVLALAYFDSPTKRQIVLSEETIKERRSSRTKVCTESSNGKNFLHYQEMSEDGKPTTKKCQAGILNKPDGTALPFIPLTVVFEDIKYSIDMPKEMKGKGAKDDRLTLLNGLTGAFQPGVLTALMGVSGAGKTTLLDVLAGRKTGGYIEGSIKVSGFPKKQETFARVSGYCEQNDVHSPHVTVWESLIYSAWLRLSTEVNHSTKRAFVKEVMELVELTGLKDAIIGLPGMSGLSIEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLFLMKLGGEEIYVGPLGDSSHHLVEYFQKITGVNIKEGYNPATWMLEVTSNGQEDILGVNFAEIYKNSEMHR >Dexi5A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:5A:6207074:6211250:-1 gene:Dexi5A01G0008330 transcript:Dexi5A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRFACVLAVAAMVLQAAAAVFGGDGQSGGGALLNPRGLEKFVDKLPDMPRLRGYGVTDGGALVAGNLTIGMYDTTWKFHRDLPATRVFAYGTSRETATVPGPTIEAIRGVPTHVTWVNHLPRRHFLPWDPTLTTAGEADAGVPTVVHLHGGVQHSTSDGHSLAWFTAGHAATGPAFSPPPYTYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPGEEVPLNLPSGEVLDRNLVLFDRDFLAGDGSLFMNRTGNNPDVHPQWQPEYFGSVVVVNGKAWPFLRVRRRLYRFRILNASNARFFRLSLSHGLRFVHVASDSVYLARPVTTKNFLLAPSEIADVVVDFAATAAGEAVMSDDAPAPYPGDPGDKTEAVAVMKFLIGDDVEPDTSGVPVRLMRQYPRPDVREAATTRRITMYEYTKNGTDEPTHLYLNARSYMDPVTETPREGTSEVWEVINLTDDNHPLHVHLALFAVLEQRSLRRVDEFRDCMVRRNDARACGVERHLAGGRRHAVPRQERGWKNVFKVRPGAVTRMLVRFRPLMSDDAASPEESRRYPFDVTTGPGYVYHCHILDHEDNEMMRPMKIVR >Dexi1A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:1A:22523850:22525214:1 gene:Dexi1A01G0015450 transcript:Dexi1A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAQYAFPHDPRAITRPAALDNAKMSASAMFLGEPGVGAHHPLLAAAVGGGNAAFSDLTCINTTDDDTARLAPRKRARVGDVAGSPPGLIADPDLQGHRALLPPVPFAAAEDVQARLLCSGAAASTSGRPSQGVLSHLYRHGVETDALIRIETVPASKS >Dexi5B01G0024020.1:cds pep primary_assembly:Fonio_CM05836:5B:26095192:26097882:-1 gene:Dexi5B01G0024020 transcript:Dexi5B01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHRIARPPPGPTPRGAAGRRAAAVIAAAVAKGAQRARARALEGASDELRAAAAQCLDWAPARRRVRAAFAPVLPTLDHCLFKMAPKEIQMEENYETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRDMKEVRELPHFLLGQSMGGAVALKVHLKQQKEWDGVLLVAPMCKAEYNAISYSDQMRLRTAVELLKATKDIESQLEKISSPLLILHGAADMVTDPHVSKFLYEKASAKDKTLKLYEGAYHSILEGEPDDRISTAISDIISWLDSHC >Dexi2A01G0031870.1:cds pep primary_assembly:Fonio_CM05836:2A:42540217:42540995:1 gene:Dexi2A01G0031870 transcript:Dexi2A01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIEGAEAQGHATVFVAKFFGRAGLMSFLTAVPDPQRPAVFQSLLYEAAGRTINPVSGAVGLLGAGNWHLCQAAVEAVLRGGGIRPLTELDGGAAADGGSFAFTARRAAGCSTFSAAKRATARANVGAAPVAVATFPGQPSCDLGLCLSPGSPPATGERRPGTPSMTSEDSVTTMSGGAGGGGREPELLNLFV >Dexi1A01G0027650.1:cds pep primary_assembly:Fonio_CM05836:1A:33378777:33380062:1 gene:Dexi1A01G0027650 transcript:Dexi1A01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSSRAGGGGASAGGRYPFTASQWQELEHQALIYKCLASGKPIPSYLMPPLRRILDSALATSPSLAFPPQPSLGWGCFGMGFGRKPDEDPEPGRCRRTDGKKWRCSKEAYTDSKYCEKHMHRGKNRSRKPVEMSLATPAPACSITASSATSSVTTTTTTSSPAPTYHRPATHDASPYHHSLYGGGGSPYAAATRPVAGAYHAAAQQVMSPFHLHLDTTHPHPPPSSYYSTMDQRDYAYGHAGAREVVGEHAFFSDAGATNDRDHRHTSGGQWQFKNLGMEPKPTATSMFSVGGYGNGGAAYAVDVSKEDEEEERRRQQQQHCFVLGADLRLERPSGRDVAAEQKPLRPFFDEWPHEKANKGGSWMGLEGETQLSMAIPMATNDLPITSHYRNGAY >Dexi6B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:6B:24777916:24778929:-1 gene:Dexi6B01G0017660 transcript:Dexi6B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEAQATMLGSTLVEFKVDYENNQHLAIGDGVHSDAIAVGGHMWRMNCCPFGVRERDKGEYVSFFLELLNKSSSVEAIFGAWLKGNGQDNSTSVTRTLAYAFYEDKDEQDESGWHRFCLQVEIEEFHLTDEGYITFVCAIMVLSERSIPVPPPDLGEHLGRLLDSADGTDVSFNVDGETFHAHRAHLLAAADRYALDRLKLICAQRLWDKVSVDNVAAILACAEMYSCSELKSKCIDFFADEKNFKKSVLTEGFLELGQQFPSIIVELRERVGT >Dexi3A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:3A:7112376:7114553:1 gene:Dexi3A01G0010020 transcript:Dexi3A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATHGERGSVMTRLMRGRGEERERETIRAASLSLSAPPRPRLFSPAILHYSPLPTSIYIYPSALFFLLNPPTPHHRVESSSSAGVVDPSLPVKCERERSPRTRLAPSTPPLPAATTKESTVMASLLLELAAADDVVAFRRAVEDDKATALDAACHWYGPSAAAGAARPRLELRTPAMVAALYGSTAVLGYVLSAAPAEAARASPTDGATPLHLAAAGGAAGAVAAAHLLLAAGASADALAFSGLRAGDLLPRAANAAAERDRALRVLLKSPAVSPSSSPKKSASPPPAPEPRKEYPPDLTLPDLKSGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGACRKGDNCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPEELRAVNPSAVSVGMQPAVSSPRSSPPNGLDIGMLNPAWPSSPASRLKTALAGRELDFDLELLALDQYQQKLFDKVSSPRASWSSAGGIGGSPLPAAAAARAMPDYTDLLGSVDPAMLSQLHALSLKQAGDMPAYTSMADTTQLHMPTSPMVSANTAFGLDHSMAKAIMSSRASAFAKRSQSFIDRGGRAPATRSLMSQQATTGAAPSMLSDWGSPDGRLDWGVQGDELHKFRKSASFAFRGQSPAPVPTHTEPDVSWVNSLVKDGHAGDIFAQWPEQEQMVA >Dexi9A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:9A:13636952:13637197:-1 gene:Dexi9A01G0018570 transcript:Dexi9A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSAAAVASGNATARTGIHLCLARVHARTQGHHDFLHCLFAKMADRYPASSALGKPYLRPEERRLSRFLSGLTKLGLAA >Dexi2A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:2A:26060042:26062594:-1 gene:Dexi2A01G0015220 transcript:Dexi2A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRPPPGAGDGSFSGKPDADVIRRNKAITAHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDTFSYNTLLHALAVSSSLADARSLFDEMPLKDPVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRIQEARGLFDSRSEWDAISWNALMAGYVQSGQMAEAQEMFNRMSQREVVSWNIMVSGYARRGDMMEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPKKNAVSWNAMMAAYVQRRMMEEAKELFDAMPCRNVASWNTMLTGYAQAGKLEEARAIFEIMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNVEEAHNAFEEMEERDVVSWNTMIAGYARHGFGKQALEVFDTMRKTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHCDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWSDVDKMRVMMYERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKEDIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPTGRPIRVIKNLRVCEDCHTALKYISSIEGRLIILRDSNRFHHFRDGSCSCGDYW >Dexi2A01G0031420.1:cds pep primary_assembly:Fonio_CM05836:2A:42160912:42164365:1 gene:Dexi2A01G0031420 transcript:Dexi2A01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQLPRPPPLRLAGVRLSILLPSPAAPPRGASASAPATALRARFGGVAFSLQTNVRLLKPNRRVRRSRDPYYDLDEDDDEEEFEDEEDDEGYDSEDDMSGLEYPGVLYSNSPHAPSKRPGLQTPFLIKEKWEGRQPKTRDKYGSLEKIKFLHPRNKVGRSSTDVTNMDSEVELKNERISRSMFQKLQEEYDFDDKWLSLVDYLCTFGLKESHFTYIYERHMACFQISQASAEERLDFLLNSGVKSKDMKRILVRQPQILEYTLSNLKAHVDFLVSIGVPSTRIGQVISSAPSMFSYSVEQSLKPTVRYLIEEVGIEESDVGKVVQLSPQILVQKIDIAWKSRSLFLSKELGAPKDSMVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYLYLVNDLKNEVQSLTKYPMYLSLSLDQRIRPRNGLRR >Dexi3A01G0033060.1:cds pep primary_assembly:Fonio_CM05836:3A:37870126:37873894:1 gene:Dexi3A01G0033060 transcript:Dexi3A01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSMDYCAIQSSGVAGVSLLRRTTASVAPPAAASSSEVKRRRRPASESFIRQHLRSLVPYQPILPFEVSSVLSARLGRRLEDIIKLDANESPYGPPPEVATALGNLKFPNVYPDPESRHLRAALAEACQLESEYILVGSGANELIDLVMRCVLEPGDEIVVCPPAFTMYEFDASVNGALVIKVPRLPDFSLDVSRIIEVVEQENPKCIFLTSPNNPDGSIINDEDLVKILDLPVLVVLDEAYIEFSNLQSRMSWVKIHDNLIVLRTFSKLAGLAGLRVGYGAFPLSIIEYVWRAKQPYNISVAAEVSACATLQNPAYLENVKNLVIQERGRLYNLLQGMPFLKPFPSHSNYILCEVTSGKDAKKIKEDLARIGLMIRHCDTKEMKGYIRSSVGKPEHTDALIEGLKAVLQS >Dexi2A01G0026200.1:cds pep primary_assembly:Fonio_CM05836:2A:37737956:37741577:-1 gene:Dexi2A01G0026200 transcript:Dexi2A01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVLQVHKESVTKVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPTNSLGMAFPPRPAYGVAPPIYNPALNPLMARPPIWPTPPAQAWYPQQAGYPQQAMYQQPAVSVAPVVAGLPPQQPLFPIQNVPAPMTSAPANVLQTSFPMAPPGVPSPVNPQVSQPLFPVNTSTVNGAASSPFVASVAPGTIPASSPATVGYGSNNQGTGGPAVGSSPAVSNNKASGTQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQMNSVDAAIDRRISESRLAGRMAL >Dexi9B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:9B:475529:476082:1 gene:Dexi9B01G0000770 transcript:Dexi9B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQDLTPSPSMTVPIEHSSRPTLGFPLGTALLLLVICSLSGLFSCCYHWDKLRSLLRSRNPAMFQEGEHTVISIGSSPSKEASDHKLEKVGKECGLPVIMPGDKVPKFFARPCPHELCLPDAEKIEVPLETKCSVHETISICT >Dexi1B01G0024620.1:cds pep primary_assembly:Fonio_CM05836:1B:29889406:29891376:1 gene:Dexi1B01G0024620 transcript:Dexi1B01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMPSSKWISASLLVLFLSLHPGVHAFYLPGTFMHTYTPGENISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYLFRVNVNESVYLCTTDPLTKDQAELLKKRARDLYQVNMVLDNLPVMRFTEQNGVTIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDHKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDSVDSVNCPVELEKSQAIRENERITFTYEVEYVLSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPSCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTACFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLMGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLIIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >Dexi3B01G0024260.1:cds pep primary_assembly:Fonio_CM05836:3B:18927363:18928973:-1 gene:Dexi3B01G0024260 transcript:Dexi3B01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVPAAAQSQEVQLPPGFRFHPTDEELVVHYLCRRCAGLPISVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPKPLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNHSLRLDDWVLCRIYNKKGAAERPPPSSGSSDAVAMASTHGGLLAAPMGSPPEQKPSVLPPPPPASFAMGGYAPPPFSELAAYYEVRPSDTMPPAHGGADSSSSGHGHGGAGGERPEVQSQPKIAEWERTFAGPGGPMLGLGGHQPLGPAAGGGDPLLQDILTYWGKPY >Dexi3A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:3A:10576671:10580271:1 gene:Dexi3A01G0014530 transcript:Dexi3A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAADASSSSSPNALDPPLPYWGGAAAVGREEHRWRLGMESASASAAASAAGLLEHGAKELAARHLGRTVHNLSSSSLRKKSDLALLRKVPCGPLRRLLDNLQQVLLATKLVLLFPAVILALAARFFQFGQASATEWVFILSLIGLIPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIAIFALYEGNVVVVKCSLLGSVLSNLLLVLGTSLFLGGLANLGTEQPYDKMQADVSTGLLILGVLCHSLPLLLRYAASSGEHVVASWDSRLELSRACSIVMLLAYVAYLFFQLKTHRQLFEPQEDEDDGGDSVTQDEAVLGFPSAIIWLGIMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNATEHAGAVIFAFKNKLVGISPSGYLWVPPRRFLCLWWVPLSVLVAWIMGVPMDLDFNLIETGSLFLAVLVTTFTLQVMLLSFFCMMDHRII >Dexi5A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:5A:4571193:4571808:-1 gene:Dexi5A01G0006160 transcript:Dexi5A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGEDNIHERDEKFRFPLDDGVGWKPGRPKIVDVCSPSDDSLKGSACPRWPEERNGISDVINVS >Dexi1A01G0026840.1:cds pep primary_assembly:Fonio_CM05836:1A:32724739:32726943:-1 gene:Dexi1A01G0026840 transcript:Dexi1A01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAAAAKKDAAEGQEGETTAEETKKSNHVQRKLEKRKEGRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGATA >Dexi1B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:1B:8359471:8361700:-1 gene:Dexi1B01G0009320 transcript:Dexi1B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTRWLDWYGRDLTEQAGNGDPVVGRDEEIDRVVSILSRKAAGVGKTTIAEGLAQRMATRGSDLPGDLAGACLVELSVGKMLAGTRYRGAFEDRVAGVVADAEASGVVLFVDEIHMLVGAGRTTGSKVDASDILKPALARGRLRCLGATTHDEYQQYFATDKAFERRFQKVHVAEPTQDATADILRRLKPSYEHHHGLHIQDDALLAAVRLAARYLTDRHFPDKAIDLVDEACATARLRHQHQHTTRVVVGPEHIAQVVSKWTGIPATRLGQDERRRLLELPERLRQRVVGQDEAVSAVADAVVRSRSGLGNPKQPSGSFLFLGATGTELAKALAEQLFGDDKHLVRIDMSEYVDHTSVARLIGAPPGYVRGQLTDQVMQRPYSVVLLDEVEKGDDAVTNLFLQILDDGRLTDSKGRTVDFTNTIIIMTSNLGEHHLTGGGGGCDVQHQRVIADVQRKFRPELINRLDETVVFRPLSGDAMREVVKLQVAGIAARLADSGGIGLDVTDAAAGVVLSRSSGEVAMYGARPIKRCLQSMVMTRISRMMVQGEVEDGCHISIDAAADDMEEAELVFKVKKPEKIIMEAPPPPPSPASVKDAEISSLDTNNEPVKDCNISAFDANERVLDKTGQVKPASS >Dexi5B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:5B:21308446:21308766:1 gene:Dexi5B01G0019000 transcript:Dexi5B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMRGYRNLPFPFDDVGLGREGEPSSSLDTEHDMSFEGLIGIAAFVVSGDNSEAAGRGPVGEGVVKELEEGWGGPSLVRKVTFKAFLLAGTPRV >Dexi7B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:7B:24204665:24209023:-1 gene:Dexi7B01G0018450 transcript:Dexi7B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSSKEGGEDGSRAPATPHGKDAVKSLTSQLKDMVLKFSGSSKHHQYKGTTPRTVSSFRSGAGSYRRPFPGFIDDTGFTPASRVVAGGEDYYPRTTAFAAAAVTGAARTAPVDGLDVTSRRQQAGKEEEAADDVQEEAAVPREWTAQVEPGVQITFGTIPTGGNDLKRIRFSREMFNKWEAQRWWGENYDRIVELYNVVTFSGRQQGCSTPVSSVDDSVLRESSYSHGGSTSRGSPITTPLPHAPPPPATASKETSITRSTSCKAMAGTSSSSAPYAAAAPSTRAAYYPSAAVPDPSDHVWAHHFNMLNNSSAAAMAAGTSSAAMMGPSSYDPSRATTSSRDEAASVSLSNVSDMEGAEWIEEDEPGVCLTIRELGDGTRELRRIRFSRERFGEERAKVWWEQNRERIQAEYL >Dexi6B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:6B:22410185:22413774:1 gene:Dexi6B01G0014960 transcript:Dexi6B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAARAPLLQLQPRGEAAAAAGKEGRARRVALEWWVESKKLWHIVGPAIFQRIALYGINVVSQAFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWIVLLMFAAALTPTYIFMEDLLLLIGQSPELSRLAGEMSIWLLPQHFAMAMLLPLTRFLQSQLKNWVTAWTAGAALVVHVVVTYVLVTHLQLGIVGAVAAADMSWWLVVLGQFVYVVGGGCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRLLVLLTGYLKNAEIAVDALSICQTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIIVSITTSVVIGLVFWCLILYFDDKIALLFTTSEVVLAAVHKLSVLLAFTILLNSVQPGIWSGMIGGTAVQTVILAYLTVKCDWDEEVDTSSSLLLREGSQYENAEMGRVKIN >Dexi9A01G0046830.1:cds pep primary_assembly:Fonio_CM05836:9A:50061654:50067878:1 gene:Dexi9A01G0046830 transcript:Dexi9A01G0046830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEASGAPANGAAAPGSGEKDKDKERSSRRRSSGGDEERDDGERRSKRSRSEEGRDRDRERHRDRDRHRSSRERRDRDRDDKDKDKERERDKDKERRSRDREREREREREKEKEKEKERQRRSRSRSERRRDDEERDRYRDRDVRDVRFIRRKEEAVEPEVDPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSTASSGAASGGARKLYVGNLHSNINEEQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFVQASFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQVGVQVSGATAGDLDDDEGGGLALNASSRALLMQKLDRSGITTSLTGAMGTAGLNPPVGIPAVSVLGAAPATASVLRPTVPGLVSIPGATLPISTPSIELAPPSECLLLKNMFDPAVETDPDFDLDIRDDVQEECSKFGQVKHIFVDKHTAGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMTPQQYEMKFPS >Dexi2B01G0033360.1:cds pep primary_assembly:Fonio_CM05836:2B:40953776:40954844:-1 gene:Dexi2B01G0033360 transcript:Dexi2B01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSSSGLSEDHYSKKESYCPGAEAIVRKVVEKAIGFKRGIGAGLIRLFFHDCFVQGCDASVLLKNTTGSSEETEMFGLPNINSLRGFDVIDEAKAELERSCPRKVSCADIVAFAARDAARNLSNGAIDFAMPAGRLDGRVSLKDEAEKNLPGPFDELDDLKKGFSDQGLDEHDLVVLSGAHSIGRARCRFFENRLPRPNPSDMEPGLAGRLNATCTEEGDDFHVAQDPETPVVLDSQYYRNVRTGGVLFTSDDALNSTDATRKLVKSFAESTSFE >Dexi3A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:3A:1183517:1186399:1 gene:Dexi3A01G0001760 transcript:Dexi3A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILLLIEAKRAEDEKKVVNIARYYEKAADMVIAAPPAEKLKVMEETFNAAVAPDPAGCPTSVTDMHKKMNKAFDGVNAAATPDKKEQVEADTLGKSLIATVILEEAQRARDEKKVVNIARSYEKAADMAIAAPPAEKLKVMQEAFNAAVAPDPTGCPTIDKSFCETFSKIQEVYKKVSTLIRAADAAIAAAPAETLKVMEEAFKAATVHPDA >Dexi2B01G0032590.1:cds pep primary_assembly:Fonio_CM05836:2B:40471418:40473589:1 gene:Dexi2B01G0032590 transcript:Dexi2B01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRAISSLLHIYSSSAARGARRLGFAPAFGGSFRVPSSSGRPAFVLDEVARAAGGERRRASTRTASWDSEKSPYETLGIFIYSIVVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRRAYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQQREMTLRARRLSRSKVDPEEERRLFAKEKKASMDFYSTTLKRHTLVLRKRDIMRKKAEEERNNEISRLLAAEGLELDTDEDEDKTFLG >Dexi1B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:1B:7655561:7657749:1 gene:Dexi1B01G0008800 transcript:Dexi1B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVPLGDGRSAWSTSSGSSQTRPPPRLAMERPPRPVAPTSASKKRRSTFVASTRPACPHPGGILCLMDLDAAVDPGVITLSFPDSSLECVYNNL >Dexi1B01G0027470.1:cds pep primary_assembly:Fonio_CM05836:1B:32179272:32180784:-1 gene:Dexi1B01G0027470 transcript:Dexi1B01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHANTAAVGGSGSSEAGGASSSTSSASSYGGSESRLRLRKGVHLRRRRRRVADRGGGGSNKGSDGDGDVQDIALPLGMSFAAVLAQIYGDRFEIFMRNFEKSFGSTLRTLHLINETPVCEQS >Dexi5B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:5B:7832822:7833355:-1 gene:Dexi5B01G0011110 transcript:Dexi5B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAADDRSWNQRFRPKVRPLVFSSSQSSVGGTGAVSRTAIVASRGMATAAAAAPPAPESSSGGGNTLCFCTDEAPALRLSPTMVLVMSLCFIGFFTALHGFCKIYNSRWRPPASAPCSSVMLQIL >Dexi2A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:2A:379681:383012:-1 gene:Dexi2A01G0000740 transcript:Dexi2A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGNPHLPFLLFLAIKIRWSGLPAPDGLDHVAIYSPPSSRDRDFLGYLFLNGSASWHDGHGELSLPRLPTLRAPYQFRLFRWPASEYSYNHIDHDQNPLPHGKHRVAVSGDVSVGDPACPEQVHLAFTDAVDEMRVMFLCGDDGKRVVRYGLEEKETNWTEVGTEVSTYERKHMCDKPANHSVGWRDPGFVFDGLMKGLLPGRRYFYKVGSDTGGWSKTYNFISRDSEANETIAFLFGDMGTQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWATYGKDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFLQGSEQYNFLKSDLEKVNRTRTPFIVFQGHRPMYTSSDETRDAALKQQMLQHLEPLLVTYNVTLALWGHVHRYERFCPMKNFQCVNTSSSFQFPGAPAHVV >DexiUA01G0027750.1:cds pep primary_assembly:Fonio_CM05836:UA:59479624:59480483:-1 gene:DexiUA01G0027750 transcript:DexiUA01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETEQSAPQAMFHSCVTFAAFSCIMDGLNKQQAAMALTFEGKSSSAKSQEAGVLPPFSLPPLLDASDNLASFCQTLVKPKH >Dexi9A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:9A:11005754:11008876:-1 gene:Dexi9A01G0016060 transcript:Dexi9A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLSMVAVPMVALVFSSSSSFWWREQQRHGGLSKRGSPVGKQEVSWCLQPPFVQQSGMPLPLPCPYLMSAHHNRYLLSQNQGWRRGQDAASHVQLGQDNIARRHVGQHADTAAAIRRSSCSAAVESRWPSSSNGRSSSTEQACWMMNKQQPQQQRSSRVAVPNLEISLGRQGWQHNLQDQQQQLRSGGQQRRKS >Dexi3A01G0034000.1:cds pep primary_assembly:Fonio_CM05836:3A:39307682:39309245:1 gene:Dexi3A01G0034000 transcript:Dexi3A01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKFTMSGMASDDATAQVRVEGDVSEQKVGEVQDQNEVGGMPSRQEEEAVIKKKYGGILPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQQGGVPNKPKGPLEALRPKLQPTQQNARARRTSYASADSDETLNLSAEDLGQQGEPVKDNNKE >Dexi1A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:1A:26169369:26172207:-1 gene:Dexi1A01G0019000 transcript:Dexi1A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRTDVPAEAAGAEKPDDSAPERPPRTLFGFKEPAPDAEAAPAEAGSAVAPFRNKEKVLITCSRRITYRYRHLMQDILSLLPHAKKDSKVESKQSKGSALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSIKFLVNAVHTMEELKLTGNHLKGSRPLITFSTNFDEQPHWKLVKEMITQIFATPKDHRKAKPFHDHVFAFSIVDGHVWFRNYQISVPHNEIDKVDKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTWYENPYYISPNQIRALEKRQKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGE >DexiUA01G0023270.1:cds pep primary_assembly:Fonio_CM05836:UA:47619810:47620303:-1 gene:DexiUA01G0023270 transcript:DexiUA01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVESFVRALGERIGTVLEVGEARMDYKRIKVVFPLEKALMPTVQMRVKDRGVMVFEVRYENVLHFCFVCGRIGHAERECPEEVVGEGGIKFGTALRCSPQKKEIGKRLTIPAGDYKSKKGLNFSGVQKEKVMSATGSSNQLGKEASWESAMGGVS >Dexi6B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:6B:24178859:24179771:-1 gene:Dexi6B01G0016910 transcript:Dexi6B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQQEIEHGLNEGENQVVSTLPIREGWWKPFVLFQGCWLTPQAAESVKVAQTQFQPRPDDIILATYPKCGTTWLKALAFTIELEVLPTPRILTTHLPIALQPSGISTLGCRVVYLCREPKVVLVSTWHYMNKVREDFHIDLDMTFEFFCEGFSIFGPIWEHCLGYWKQSMVEPDRILFLKYNDMMADLIKHVKMLAEFLQVPFSKEEESAGVVEEVVRLCSFKNLKSLPVNSSGVGDRIGDLPMQNSAFFRSAKVGDWRDHLTEEMAKKLDSIIVDKLKGSGLVL >Dexi2B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:2B:12058226:12061132:1 gene:Dexi2B01G0010630 transcript:Dexi2B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDVIESGGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVLTVIGYKHQTDANLAGTDACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAICGVGLVKAFQRAYFDRYGGGANSLADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDHWIFWVGPLVGAAIAAFYHQYILRAGAIKALGSFRSNA >Dexi9B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:9B:12939812:12942217:1 gene:Dexi9B01G0018210 transcript:Dexi9B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSAASASAVFPPSSPPPPLLVENLPPLHQLTPVAAAPPSSEQLCYVHCHFCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTVNMRGLLFPGTPTSTAAAPTDVTSTTTTTITTAPATSVNNTGQFNNFPSSLNLAPNPPHHQSLLDEISSTNQSLHLLEQHGLGGLISAGGRNPAAPTPPQPPSVGKGAKDPSPRSNPVRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHHQGLKKTNLLPQVINRPITLSM >Dexi4A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:4A:7619592:7620872:1 gene:Dexi4A01G0009620 transcript:Dexi4A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNGVSGGGGGQQQAPAAGKLPRKRFYRARAHSNPLSDSHFPVPVSPDEVDLSQHYPRYFPADKGEEAPPPPRIRFADVGCGFGGLLVGLSPLFPDTLMIGMELRDKVTEYVKERILALRASNPGQYDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAVPEEEIKADPVVKLLSTATEESQKVARNGGQTFHAIFRRISLQEE >Dexi4B01G0023730.1:cds pep primary_assembly:Fonio_CM05836:4B:25036515:25038159:-1 gene:Dexi4B01G0023730 transcript:Dexi4B01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRPDAPSSSPSPSPAAPPSSGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTDQTIMCYPEIQAAVYALRNIRGLPWPKDHEKKPDDKNTGKDLLDWLQAMFGFQLDDQSLDVVMKKLFKNYKKWCKYLGRKSSLW >Dexi5A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:5A:8001741:8003909:1 gene:Dexi5A01G0010640 transcript:Dexi5A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLVGPGGGGSLGGEASLLCAAIAAAAAVAVAWCAARAVEWGLLRPRRLARALRAQGLRGTPYRPLAGDEPLAARLAREARSRPPLPLGCHDVVPRAMPLFHRAMEEHGKTSMTWYGPVPRVTISDPELARQVLSNKFGHFEKVGFGQLGRLLHYGLSTHEGEKWARHRRIVTPAFHLDKLKRMLPAFASCCADLVGRWEGMASGGGGESCEVDVWPEMQRLTGDVISRAAFGSSYLEGRRIFQLQEEQVQLAMLVAGKLHIPGYMMLPTRINRRMKRIAWEIEGILGGMIAKREAALRAGGEATAGDDLLGLMLESNMEQSSSGGPGMSTDDIIGECKLFYFAGMETTSVLLTWTMVVLCMHPEWQHRAREEVLRVFPGDGAAPDYDGLSRLKIVTMVLYEVLRLYTPVSAVRRRTYKPMELGGVRYPAGVMLVLPFLCIHHDRDVWGEDADEFRPERFAEGIARAASGPGGGGHPAALFAFGGGPRTCIGQSFALLEAKMGLAMILRSFELELSPSYSHAPFPLPLLRPEHGAQVKLTKRKLP >Dexi5B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:5B:6414701:6415875:1 gene:Dexi5B01G0009410 transcript:Dexi5B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSRSDMCELKGDIRLLPNATIVLHHPLTRRQSWRMKPHPRKNDRHALARVTEVTVVSSSSYATADHSAPRCTANHTAPAVVFSVGGYAGNMFHDMTDVLVPLFITTRRFAGDVHLLVGDAQPWWLDKFRPLLAALSRHDVVDMDRAGGRGVLCYPHVVLGLEFHKEMSVDAKRTAGEYSMADFTRLARRSYGLLRDTAIRLHGRGDRDGDRPRLLLISRKTTRAFTNVGAIAEAAAAMGYEVVVGELEHHAAGMASFARVVNSCDVMVGVHGAGLANLVFLPVGAVVVQVVPLGGLDAMAAEDFGAPAHDAGLRYVHYRIAVAESSLARRYPPEHRVLRDPAAVRRDGWMALRAAYLVGQNVTLDVRRFEGALRRVMELLR >Dexi2B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:2B:8812625:8816930:1 gene:Dexi2B01G0008590 transcript:Dexi2B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAPGLVELTLRDIIFHVAGDGGLPGRWVIGGQSLRRVELCLEIAGAGFWEVGSVPKLECAHVVLNDSPEHRDYFKLFTALSGVKELNVGNFNGRTYQAPLLTGSLSPTFLNLTILKLDTNFYTLEAYIATWSLLQEVPLLQILEIRDCFRDGEANVDLVAGCMHTTLRSCFDLFKNLEYLRMVGISCSINDIYFIKHVVHEATNLKEVSVDMFEGSRKTAQEVSRELKDCQRASAASRITIKRGDSVCDV >Dexi9B01G0024450.1:cds pep primary_assembly:Fonio_CM05836:9B:20558129:20561233:-1 gene:Dexi9B01G0024450 transcript:Dexi9B01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESFRVESPRVRYGAAEIESEYRYDTTEVVPPADGGAGWVVRPKSVTYNFKTSTAVPKLGVMLVGWGGNNGTTLTAGVIANREGISWETTEKVHKANYFGSLTQASTIRVGSHKGEEVHAPFKSLVPMVDPNAIVFGGWDISNLSMSDAMARAKVLDINLQKQLRPYMQSMVPLPGIFNPDFVAANQGARANNLIQGTKKEQVEQIKKDIREFKEKNKVDKVVVLWTANTERYSSVVAGLNDTMDNLMASLEKNEAEISPSTLYAIACISEGVPFVNGSPQNTFVPGLIEFAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSGVVDDMVASNPILYSSGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >Dexi7B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:7B:21260439:21263435:-1 gene:Dexi7B01G0015220 transcript:Dexi7B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNAGSFLAVRRLSGGGIVHHHHHSSPAEVMGASTAWIGRGLSCVCAQRRDSDARLSFDLSPIQEECLQRLQNRIEVQYDSSNLEHQKSFQELLCKKNGDRALWEYPFAVAGVNVTFMLIQMLDLQAENDRAFDILYCITFKLMDQKWLDMNATYMDFNTVIKSTRRQLERELLLEDIQRIEDMPSYRFLAR >Dexi9B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:9B:2335777:2337408:-1 gene:Dexi9B01G0004040 transcript:Dexi9B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGDRGGFGRGFGRGGRGDRGGRRGGRRGQRQEEEKWVPVTKLGRLVQEKRFATIEEIYLHSLPVKEHQIVEALVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWRETKFSKTPYQEFTDLLAKPTKGLMLEAPIETVEA >Dexi9B01G0025690.1:cds pep primary_assembly:Fonio_CM05836:9B:26912777:26914644:-1 gene:Dexi9B01G0025690 transcript:Dexi9B01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPHQSFCRMARRQHGAVMLLRLGRVPTVVVSSAEAARDVFRTHDADSAAGRTRQGPGGYHTATRTPYSEQWRERRKLLVVEFLSTRRVQNAWYMDGIVGTVAFGNIYGAEQFGHTKHFHDMINEAMVARSSFCAEDYFPNAFGRLMDHLTGLTSRRERVFWEFDAFFETIIEQHLESSHAKSNNSCDLVDVLIGRMKEDQGSSIGFSRDNIKALLTNTFTGSIDTCSVTIGWAMTELIRKPWMLKKLQDETRSMIGNKERVHPDDLPKLKYLKAVVMETLRLHPPVPLLVPRETLRDIKISGYDVPAKTRIFVNAWAIGRDPAIWDNPEEFDPDRFEGKDVSFNGTHFDFVPFGAGRRMCAGMAMGVTTAEFALANLIHCFDWALPEGVAGKDISMEEAGGLAVHKKIPLLLVPTRYNWRP >DexiUA01G0028090.1:cds pep primary_assembly:Fonio_CM05836:UA:60313865:60315534:1 gene:DexiUA01G0028090 transcript:DexiUA01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAVLLDYCGVLPQQWQWLQVLAATVVLLAVAKGRGLQLPPGPRMLPVLGNLHQMMGALPHRSLHELARRHVPVMLLRLGTVRTVVGGHENAHCCSRPDTPGPRRLSYGHKDVAFAPYSDYWREMRKLFVVELLSMRRVQATWYAREAEVDKLTGSLSSAGREPVLVDGIIGTLALGSIYGSEQFAHMDHFHDVFDEAMGVKSSFSAEDYFPNALGRLVDRLTGLVARRERVFRELDAFFERIIDEHLDPSRATPDNGPDFIDVLVGIMKEHQGSLDTFIGGVDTSSVTMVWAMAELIRKPHLLRKVQDEVRAAVGGNGASSRVQPSDLPKLKYLKMTNHNA >Dexi7A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:7A:29124914:29125120:-1 gene:Dexi7A01G0020300 transcript:Dexi7A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGFLDAGAGGGNIATAREEFTRFFKDIVDIKNFPALRHLLPAARGLTDDELAAAIQQASAILDGR >Dexi6B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:6B:1219027:1222304:1 gene:Dexi6B01G0001420 transcript:Dexi6B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding HISEEKGEEDLPEGVLSVPLLKHQGLGKTISTIALIQKERLQQSRFMSNDSECQLSADGDDKAMLVMDKKELIASQSMAIIAQPKKKTRVTSTESTLRQISRPAAGTLVVCPASILKQWATNHKRHGHDAMGSNSSEKAPNKAIVFTQWTCMLDLLEHSLTSNHIEFRRLDGSMPLNIRERAVKEFNTDPEVRVIIMSLKAGNLGLNMIAACHVIMLDPWWNPSAEDQAVNRAHRIGQTRLVTVSRLTVKDTVEDRILSLQEGKKKMIQSALGEDQSGGSAANRLTVEDLKYLFKM >Dexi1B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:1B:12439876:12442698:1 gene:Dexi1B01G0011980 transcript:Dexi1B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYAVKGRKKKRKLDEAAAASDAAPPAEEAEELPPPEETGGEEKGEEKEEEAAVGEEEDQAAVEGLPLVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVKTEKGVLFEIKPHVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARKVGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICNYPLSAGCCLNRICSALEQKWNIQ >Dexi5A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:5A:11060856:11064616:-1 gene:Dexi5A01G0014210 transcript:Dexi5A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGIDEEAPAPKHPQQRYRAVESHDHAVVPMASMEPGSSAAAPRIVGMGGVWQSLVLVAFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAINEMSAKEPET >Dexi6A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:6A:12878233:12879520:1 gene:Dexi6A01G0010450 transcript:Dexi6A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQEHSYSGGLTASVVAICLMAASCGLVYGYQVGVAGVTPPPLTTPLRRIRAPTPSALTASMMVPSWVEQVHRCADSPPPLPSSGQPRADAVEVVLATPSLSPRCAPTPTIPETNGRAPSARGLDLAAGRPPTSHRVLFPTLLNHSFLAPATGPSQPPSSTTRPPPPPPCLVALEITTSPVVTPAIALSPTAKKSSPATQTSETHRHPQPAATPAASHPAHNPSELGNRLSHRHRRQGGR >Dexi8A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:8A:11246576:11253298:-1 gene:Dexi8A01G0008990 transcript:Dexi8A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFLQQPAAVVGLPLPRPASLPAPLPSASASASASASASSGGGGGGSSLGSSAASDEQLDLGAYSEGGQMCAIKEVKVISDDSNSKECLRQLNQEIVLLSQLSHPNIVQYYGSDLSNETLSVYLEYVSGGSIHKLLQEYGPFGEAVLRSYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTILEMATAKPPWSQYEGGQTSIAISSYRSLSPLRDPDTMIRNLPGPTSPIPSTANRRIASINPSNVRMIMSLPVSPCSSPLRQYRQSNRSCLPSPPHPAYSAGAANYSPINNALYPLRPSSGLTDPWLEISQLKTQTFDSPRRL >Dexi4A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:4A:15173101:15193580:-1 gene:Dexi4A01G0013970 transcript:Dexi4A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFRSGDALDAVRSAPSVGRAELSSSAARVPSVNNVNQVASHLNNVQPLNGANFHEWKGKIITCLAWNDLDHESGSSQQGRKKNKRNENKNIGPKNNKKFKRGDAVSKMLWVSHSIQRPRELHRRSDDEDEEYPAYCSDSQVQHQQQNGQHYGPDEFDDLVTSYNSTTPHTIQESMISKEVSPHVVDQGYPSTLPVTKLENEQEPDNNLECGTTSSIYALESNDTNPVDFEKDELFWLPPEPEDEDDEIGDDLFDDDDDDESISIADGERCRIQSSSSFGSGEFRIRDRCGEEQKKVMKNVIDGHFRALISQLLEVENISLHEGDDMGWLEIVTSVSWEAANFLKPDTSQGGGMDPGGYVKVKCLACGHRSESTLIKGVVCKKNVAHRRMTTRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENSVSRYAQELLLEKNISLVLNIKQSLLQRIARCTGSQIVPSIDLLPSQKLGYCELFHVDKYDEHSVNSGNMTKKMVKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSTADNSISAVPGFTINDSSKQQTDSSDNRGTDCIMPTDPGEKAVAEAPVSSECLTSQNTHSCSYGSLSANSGNFNHMNVDGNELVVKVTGTSASVSISSTSGAPTNHTPRYSTVEKKGMHFGDYHDGSTRSRGKAIMMDTASTLSCHRHIAVEASANIYNSNIKESLEGSYALANVKSTSKNDAVVVQSVSSAATQNQETSQGDDGTSNKDEIVASDHQSILVSLSTRCVWKGTICERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLTEIVLSGERDGKIWMWHRCLKCPWSNGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPISVHSVYLPPHKLDFVHQPLDWIQQEANEVIERAKHLFDEVFHALRLISGKKAQGGSLNMEFSTYIAELESMLRKEKSEFEVKSLKCGKEMRMDVLVMENLLFERNVTTLYDLKGSARSRYNPDSNGSDKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVAEIGQESNQILLTES >Dexi5B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:5B:6977707:6982029:-1 gene:Dexi5B01G0010020 transcript:Dexi5B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAASDGQGLKARDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDSFAILSNERGIAARDSGAFSWEIIPVEISSGRGKPTVVVDKDESLAKFDPVKLKKLGPTFKTNGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISNAGLQTSQIDYYEINEAFAVVALANQRLLGIPSEKLNLSGGAVSLGHPIGCSGARIIVTLLGTSHV >Dexi3B01G0022200.1:cds pep primary_assembly:Fonio_CM05836:3B:17060984:17065584:1 gene:Dexi3B01G0022200 transcript:Dexi3B01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSIPFSLRNYTIRNLNRCKDDAQRTACTRIMEEIVRKAIADGTILTKNWDTEPLLPLPELGMTEARTYEEGTRAVEDMDWDALTIKGTCQEIEKGYLRLTSAPDPSVVRPEDVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNGLTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIEGCYFEFSAYNLLCVMLHYNNKRDLLSSLASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLYVERMRFEAMKCMSKSYRPTVPVEYVAQILGFLRTDSEGCTADGDDGLEECEKWLKAHGVVLSVDSSGELQIDMKASSATLYMPEPENAVAHGDATLAVDDFLARTS >Dexi6B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:6B:22192826:22196148:1 gene:Dexi6B01G0014710 transcript:Dexi6B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGHSTDYRVHLQIPRHGASICRRSNPGSNLAANRHHTAAFDMDPDTEVTFEFVPVIRQYKSGRVERLHHINPVPPSVDAATGVTSKDVTIDATTGLWARLYLPDLSTLPTGGGANIRLPILLYFHGGGLVVGSAADAPEHAFLNRLAARAGALAVSVEYRLAPEHPVPACYDDAWAALLWATAAATPDSDPWIRDHGDASRVFVLGFSSGGNIAHNLTLRAGTETSPRGASVEGMALIHPYFLSPPGRDEAAEREDAWVRGKLVEMWAFACGGRTTTGPDDPRVNPVAEGAPSLRRLGCGRVLVCLAEDALAREGEAYYNAVVASGWPAGEAELLDSRPADHEFHLREPESAKAVLLMDRLVAFVAGEQSEISLRRAMAAIPVAPPPVAADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDEATGVASKDVVISPNVSARLYLPRLDDGGAKLPVLVYYHGGGFCLGSAFNPTFHAYFNTFTALANVLVVSVEYRLAPEHPVPAAYADGWEALAWVVSHLADPSSAAGDRDPWIAGHADFARLYLGGESAGSNIAHHMAMRVAAEGLAHGAQIRGLVMIHPYFLGTDKVPSDDINAEARESLGSLWRVMCPTTTGDDDPLINPLVDGAPSLASLACGRVLVCVGEGDVLRDRGRAYYDRLKASGWQGEAEIWQAPEKGHTFHLLEPSCDEAIAQDKVISDFLNR >Dexi9A01G0033430.1:cds pep primary_assembly:Fonio_CM05836:9A:38363440:38366621:1 gene:Dexi9A01G0033430 transcript:Dexi9A01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPYSLLALLVSVLLCITLVSIPSGSAEPVTAGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPTKPWPTVLWLQGGPGASGVGFGNFQEMGPLDVNLQPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVKTDWEQAADAMTLLKALVKEVPTLQSSPLFLVAESYGGKYAATLGVAVARAVRAGELNITLGGVALGDSWISPEDFTLSYTPVLLSVSRLDDNAGDEASKIAETVKEQIAAGNFTDAEGSWSDILQFINTRSGGVDVYNFLTGSLDPASSSASTPTGSSFPSTVHGMTKYSRYLSGEDSGPNTIYGIMNGVIKDKLKIIPKNLTWVMENRAVYNGLINDIMKPRIDEIDELLSYGVNVTVYNGQLDIICSTIGAEAWVQKLKWDGIKTFLSLPRQSLYCNPSKSTKGFSRSYKNLHFYWILGAGHYVPVDQPCIALSMIGSITQSPAS >Dexi6B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:6B:18204738:18207087:1 gene:Dexi6B01G0011160 transcript:Dexi6B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTRRLLALSPSLPARPTSLSPFPSSPRSSASGPPQPPAQQPAPPSLSAPLTAGPHRSARLPPEPLTPRARAPTRARTRARVRVAQDSVPRRHALPLARTPRCLPSSYLSAANPSTEPYIAPPPPNPSRAAELPTRRLATPFFARFRGARRATPPSLSRSVALTRARRRSPRHRRPNLEKNPQNEFSISSSLSPGPNVARFAQRRRASGEAPPSAAAPRRPKSPNQPEPSDLDPTAQIKPESIRRDPVPEEQVQQQFAEEGKYNTDNP >Dexi3B01G0022510.1:cds pep primary_assembly:Fonio_CM05836:3B:17315221:17318302:1 gene:Dexi3B01G0022510 transcript:Dexi3B01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSACKIAFDVSSFSTNEEGRVVYRKGRKLEWWVDSEEYSIIDMEKDVSEHFSWAKKVSQMEDESQVIDQNHELQLQIRIEGVSAANATVLSEFEGHEWAEEPELGVSAAGPARQEEEEKEHYLEPGFDPEGDDPIGADEEWRYFKKQENVQGGSNEKVQQEKKAAKKRKAYEAIDPDAVVSISKDHTCASTSQVKGKEASKGWIADKAKESTTTKDGSLCFHSYKSRTNNKKDGSFYFYSKSRTNNKKYGSFYSKSRANN >Dexi5B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:5B:3371065:3375091:1 gene:Dexi5B01G0005020 transcript:Dexi5B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRRLTGTHRGRLPLGAAAAAACGGAALFYASSPPTVAHLEEKGEEAAEKVALNPDKWLEFKLQEKAKVSHNSELFRFSFDPTTKLGLDVASCLITRAPIGEEVEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMVAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKKELDRLASSYPNFKVFYTVDKPSNDWRGGVGFVSKDMVLKGLPGPGDDSLILVCGPPGMMNHISGDKAKDRSQGELSGLLKDLGYTAEMVYKF >Dexi5A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:5A:22598950:22600143:1 gene:Dexi5A01G0019130 transcript:Dexi5A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSCFSSRSCAATTVSDPDITDGDEEDDIISRLPDDVLSSIVSLLPIKEAARTAALSSRWRRLWASNPLVLDDIDLILSNPRHVSAVTNTVTSTFIAHPGPFRSVSLRTYFSDADKDKYVLRHWIRLLAAKRVQDLALSNIPWAGLDVLPPSLLRCRSLQRLRISDWRFFPGGDGDSMSGGAAVLLPRLRELVLSRSVIQEGDLERVVAGSPRLRTLVLVMNCGVPERVRLRSGSLWCIVFWQSVVEELAVVSAPLLERIILQTSALPCGIGRDGSRMRIKITAASALRALGYLNPNHHQLQIGDTVIKVGKKVVPDAVVPSVKVLALSVQFGVRSEASRAVFRFLECFPNIETLHVLVIE >Dexi9A01G0046550.1:cds pep primary_assembly:Fonio_CM05836:9A:49926679:49929719:1 gene:Dexi9A01G0046550 transcript:Dexi9A01G0046550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELHTTRSWDFMGLNLHMQIEQSSQTHLKFGDDIIVGVLDTGVWPESESFRDDPHYGPIPSSWHGTCVEGDGSFDPATACNRKLIGARYYLAGIESEMGPLNTTGGEEYRSPRDRVGHGTHTASTSVGSVAPNASYFGQLGRGVARGGAPRARLAVYKVCWYRDLTGRCSDADILAAFDDALCDGVHVVSASLGSSPPLMPLFATSTEIGAFHAMQRGVVTVFSAGNDGPDASMVQNVSPWGLTVAASTIDRRFPTVITLGNNASFVGESFLVDDMTRQLVESSSVFNDGTCTFEQLMNRTAAAGKIVLCFGTTGGVSSEGAALAVYAGKGDGVIFADSISRKTSQDNFWPTVHVDLHQGTQILYYIRASRKATVHISRSKTVVGKTPAPAVAYFSSRGPSSITPHILKVLSSRCDCSRSEHSGGVAAQVVADDDPSGRALDALELRLGHVHVVPSPYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRAVDPGLVYDAGPRDHVLFLCALGYTAAQIRQLVLPVPSLNTTCPHGGAIAGDLNYPAIMLPDLGTTVTVKRTVTNVGPNRAAVYRVVVTSPPGAHAVVWPPELAFFPNHGGDTASYYVSVTPTKLSRGRFDFGEIVWSDGFHRVRTPLVVRVTNLPDDGVRAAADDGYYSEAAV >Dexi6A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:6A:6919004:6920392:1 gene:Dexi6A01G0007080 transcript:Dexi6A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMISSSALAAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPSGDVYVGGTTGLLIWAITLAGLLGGGALLVYNTSALAS >DexiUA01G0025390.1:cds pep primary_assembly:Fonio_CM05836:UA:53573582:53574191:-1 gene:DexiUA01G0025390 transcript:DexiUA01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPASISITLFLVVLAVATSAAAMAGAAMEENAAANYLVYVDPHPPGVDCQKYQLGILAAALGSEDKAKAAILYNYRNVMSGFSARLTPAELEAVKKQPQVYRVLPSATLSLMSSNFDGVS >Dexi2B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:2B:861898:862675:-1 gene:Dexi2B01G0001360 transcript:Dexi2B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQLDAKIAEDVAGVDRLSALPNDVLLRILARLGDAAAAARTSVLAGRWRRLWALLPELRFPSSPSPRRHIASALLAHEAPLTCLDVGGEDAAPESVAAWLPAAARRLSGSLAFTNRVPGLKNDLDVGEERGTFELPCFENATTVSLDLGWLGLAVPRAAGVFAHLTELSLSHVRFRGPCELDDAVSSRRCPCLEKLTVQYTLGLTNLRILSNSRLPTSQPVR >Dexi2B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:2B:25073936:25074208:-1 gene:Dexi2B01G0015040 transcript:Dexi2B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWRGEEISSIDPAAAAAAVFLASHNLKEGGQPQPQPQQEPLPAPALATFVTSSMACSWMVDSPCRTVAIGDADNGRWWCQAGEVDGGA >Dexi1A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:1A:2037176:2040544:-1 gene:Dexi1A01G0002850 transcript:Dexi1A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGPGGGRSYARMVFAPALLLLLQVCSHVAPTAMATTAAAGVKYKDPNQPLNTRIDDLLRRMTLAEKIGQMSQIDRENATADVIGTYFIGSVLRGGGSVPAANALPEAWVDMVEEIQRAAMSTRLGIPVMFGIDAVHGHGYVYKATVFPHNSFSEDPKLVQEMTSIISGFQGEIPASGRRGVPFIAGRRNVAACAKHYVGDGGTTRGINENNTVATFHELLGIHMPPYYNAIIRGVATIMVSYSSWNGVKMHANRFLITDFLKTRLRFRHADYPLSVKLGILAGIDMVMIPYQYKEFRDDLTLLVNNGTIPMSRIDDAVRRILRVKFTMGLFDNPYPDPTLIADLGKQEHRDLAREAVRKSLVLLKNGKPHDNHKPLLPLPKNGTVLVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKDTVFPGTYVVYSENPDADYVRQNKDRFDYAIVVVGEHPYAETSGDNLNLTIPAPGPAIIENVCGGIKCVVVLVSGRPLVVEPELLEIIDALVLAWLPGTEGEGVADVLFGDYGFTGKLSRTWFRSVDQLPMNVGDTHYDPLFPFGFGLETYPST >Dexi7B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:7B:11933286:11933516:1 gene:Dexi7B01G0004900 transcript:Dexi7B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLHARHGLLCCSQVPLRSVSRIHPPELPSSAARSSRSVAVPPPPLLPAVEGDERAVHLEGNAEELIGHGWWPA >Dexi9B01G0046270.1:cds pep primary_assembly:Fonio_CM05836:9B:45559981:45564255:1 gene:Dexi9B01G0046270 transcript:Dexi9B01G0046270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPEAASASASPTSASSAAAAMAPGVGGVEPTVTLDQVPCWSDPDQRISPLSPTSAGSETPPSSFVSFSDPLTGDDAAAGPGGRGASRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNEVGILSNLDEAHSSPGLHAAAGPGLAKECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIATGCIYMEAKNYPREPAAHVAIRTVRRFLEKQKDKIRAIVFCTTSSTDTEIYKRLLPLYFPRDKQEEEIAVLKLPADVGDENGETVIDERKIRIKPLPAGAANSKTPIPALADIPLPNSGLTRRRNSFKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQANKGLNFANLLGFGDLGSPPLSATEEYSLHSRYLAKANSMNLSDIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYAIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTVGLRTAILAMQLFVDREVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >Dexi1B01G0022060.1:cds pep primary_assembly:Fonio_CM05836:1B:27801597:27805080:-1 gene:Dexi1B01G0022060 transcript:Dexi1B01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGGEDEMLDAEYAEVDPTGRYMRYNVILGRGAFKTVYKAFDEVEGIEVAWNRISIDEVMQCPDNLDRLYTEVHLLKSLKHGNGVKPAALSKIADPQVKQFIEKCLVPASERSSAKELLQDPFLCPYNTYGPAGTKFSSPSPKSVDISLASLQMDVDTCESSPASSVKENGCVAPVTPHAPVLEFTRTNKNTELKLKGEKLDNNSVSLVLRIADLSGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGWRPVTDAAACSYRHSESEPVITSHQDISELVPDYALVDGMMHAKDGNALSNGHLDSASGAANLGGSQGSEGSVISVQLSGSSKSVSDYGAEDYGTMDCGGYKDGISKLECSHIFGDGSRPIFHIDQASPCLELASSGSSISTADNQDVLNGELVLIEAQYKHLVDELTRMREEAMEGARKKWLPDK >Dexi7A01G0021950.1:cds pep primary_assembly:Fonio_CM05836:7A:30416021:30419024:-1 gene:Dexi7A01G0021950 transcript:Dexi7A01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSTATASPEAHERREQETLASAALALPLLRAAFARSSADASALPDALAPPRGASFRAPGSSPLPPPPHFHDLLARLGKAVASVFFGDGPAPRGAGTAGAEGDDAGWVPFLKGFNRCCARVSASRSLALLLRVYAAACADAGAPCGVQFQPGEGDGDEEGKVVGELAPEEIAVFLWMCWVMAWCGSAPGASGDGGEKSEPIVVLLPDVTHLVLSALVSAGAVADDEGIWGWEISSGGKGVKVQEFTSWALSMASGLGNCLSRYVQERFRSLAADPTEENSVSTVNATFDTSDVYLLTRGRAWAIALSLRNKLTEKFLSSSIVGMDTEDLLYRSSVHGKGPSRFWSGVEGYKGPILILLSAFSKGGVENAISGRRWVIGVLTEDGFESKDTFYGKEKNFVYSHLHPQIRVYEANPKPVGLAFGGTVGNERIFLDEDFSKVVVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDMYKKRENIFSEQRRKIDLKTFANWEDSPEKMMMDMMSDPNAVRREDR >Dexi8B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:8B:6115156:6116659:1 gene:Dexi8B01G0005810 transcript:Dexi8B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEASWAREAAIDRISALPDALLHRILSLLPAQQATRTCVLARRWVDLWKYTTGLRIVGADGKAAVKLEEVREFVDHLFLLRGTSPLEECEFRIVCDADVPRMNLWIRHALMCQVREPKHNVEMKGSFNPKELPSAISAHLKRVEVKCQTVDETVVGVLRFLSKLNILFNLQ >Dexi1A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:1A:14829026:14831168:-1 gene:Dexi1A01G0012920 transcript:Dexi1A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHNYFVYLAGNGTALVLFLSPVPTFISIWKKGSVEQYSPVPYVVTLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIELIYVTLFLLYSTGATRRKVLLLLAAEFAFVAAVAGLVLNLAHTHERRSMIVGILCVVLCTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLANGICWTTYALIRFDVYITIPNGLGVLLATVQLILYTIYYKSTQQIIEARKRKADQVAMTEIVVDGKASNHADHY >Dexi3B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:3B:13674074:13675977:-1 gene:Dexi3B01G0018440 transcript:Dexi3B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSRFARSLPSLFDPVSRPFKPRHHHHHRCRRAVHTIASSPGPAADAPAPSPSSSWAPPSLSRLLAAALRGGRARGELPDLAAAATGGAGLGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQTAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFEGHPISERKLKELLGHTPSQVFAGAILGILVAWYCCQGCIVPI >Dexi7B01G0022600.1:cds pep primary_assembly:Fonio_CM05836:7B:27481978:27483738:-1 gene:Dexi7B01G0022600 transcript:Dexi7B01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGRILWLEDTEYFRRNYLMFLSCESPENGTGGPVIDHDGSIVGMAFDNGGPNTSILGISIIM >Dexi9B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:9B:2443755:2444625:-1 gene:Dexi9B01G0004280 transcript:Dexi9B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSPASARLFPSGRSRGARRASSVSVSAVAPRASSVSVSAVAPRANETPAPSSSVPEKKDERFDWLDQWYPIAPVGELDPRAPHGKTVLGLRVVAWHDTSGSGSGAGEWLARVRRRGHIDGEGRLQCVYHGWCFDGAAAYKFIPQAPALGPPVHKNGKACVASYPCVVQNGVLWFYPRTEPEYKDVLRRKRPPYFAEIDDQSCHTVFGVRDFHYG >Dexi9A01G0039400.1:cds pep primary_assembly:Fonio_CM05836:9A:43380227:43382887:-1 gene:Dexi9A01G0039400 transcript:Dexi9A01G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGKGVADLEIGLASPEGSEEGAPSPASSAGASGSGSGERPDQSSPARASKRPGLVMSFSGKRLDQSPAASPSPSRPVLVMSQSSNRLDQSPARPVLVMSRSSNRLDQSSPASSPAPARGPVLVMSGSSNRLDSSQPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEEFDSEVAEIRAAIVNEANEMEETALLIAAEKGFLDIVVELLKHSDKESLKRKNKSGFDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHTEVVNMLLERVAGLVEQSKANGKNALHFAARQGHVEIVKALLDADTQLARRTDKKGQTALHMAVKGTSADVVQALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNVLLLLPDMNVNALTRDRKTAFDIAEGLPLSEESQEIKECLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAAAFKVFFIFNAIALFTSLAVVVVQITVVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFQWAALLVTLIGGVIMAGVLGTMTYYVVKSKRTRKIRKKVKSTRRSGSNSWQHNSEFSDSEIDRIYAI >Dexi9B01G0027530.1:cds pep primary_assembly:Fonio_CM05836:9B:30014245:30016458:-1 gene:Dexi9B01G0027530 transcript:Dexi9B01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRIKDTLEMRSSTSTSRGVSAKERERLAAGKPLSSLGKVQIVSDGECVIVFFCLLTNLSIEPVDSQMPRHPLNLLFFFWPESESDSEDSDISGSEGEDTSWISWFCSLRGNEFYCEIDDDYIQDDFNLCGLSNQVPYYEYALDLILDIESSNGEILLTSLIPT >Dexi3A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:3A:12744877:12745873:1 gene:Dexi3A01G0016790 transcript:Dexi3A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMGAYGGAVRPVESAAGETMLLWGLGQPTAHRNNAMVRQAAHSFELDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSQQLLLRDTRAVDLGSGCGLVGCVAALLGADVVLTDLPDRLKLLRKNVSLNVDDPHVPGSARVTELVWGDDPHHELLREPLPDFVLGSDVIYNEEAVDDLLLTLNQLSGQHTTILLAGELRNDAVLECFLEAAMEDFLISCIEQDQWHPEFRSNRVALFILVKKSPIVNTD >Dexi3B01G0023230.1:cds pep primary_assembly:Fonio_CM05836:3B:18024830:18025732:1 gene:Dexi3B01G0023230 transcript:Dexi3B01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRRPAAGPIYLRPKEKRPPPALLLSPPGSLPASVKDETREEELQAEAAMETTRSTNAVTMAGWVSLPLAPRYHASIRSSHSQSPTPCADTISSMDARKSRYGSAESNTTATLSPNDDDASLLAATVARFFAAGAGGGFVSRMELEGACGSKRRRSSERRPRTIASAMSSAAASLLLEGSEYSVEWLLSSVDARRVVVVVWAEELAKEESPESRKREAMVLAVADGVRKDWVLGGCLQEGHIHAGGVDGGGGGDGGGVSWAEFVNRELKGNPFTIGGVGFGINSDVGYGQRRCGAK >Dexi2B01G0028550.1:cds pep primary_assembly:Fonio_CM05836:2B:37144468:37145168:-1 gene:Dexi2B01G0028550 transcript:Dexi2B01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPQLIIFLLLACLCGMAASAAASDTLRQGESLTPPATLVSSPNGVFEVGFFAPDTNRPGRLYLGIWFRTVTPRAYVWVANRATPATSPSPSLTLTESGDLLVLDGGAATGPNGTALWSSNTSSQAATALRGAGYRAVLQDTGSLQVRGDEGTDLPLWDSFWHPTDTMLPGMEIAVHTPHGRDTSERMLFTSWASETDPAPGRYALGLDPNGSGQAHPLEVR >Dexi5A01G0035640.1:cds pep primary_assembly:Fonio_CM05836:5A:37394032:37397798:-1 gene:Dexi5A01G0035640 transcript:Dexi5A01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRVSLRRVIAAASSSHPESYKQGICGSTFHYREFSSKAKKKSKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRRQGHRDVPVISTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKNGGYCAFVDAEHALDPTLAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQTRAKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTAQFELEFGKGICRSSELVELGLKHKLVQKAGGAYYTFNDTSFRGKDHLKSYLAENESVAKDLEMKLRKLMETEAPKEEPEGSSLSDLSEEIVAPETLSEEDLGAVVEA >Dexi6A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:6A:1977067:1980042:-1 gene:Dexi6A01G0002130 transcript:Dexi6A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRSPAARALLSPALSARLVASKPHSSALAPPPPSSKPAAPANMKTFSIYRWDPDSPSTKPHLKDYQVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISGASSASTVSPLPHMFVIKDLVVDMTNFYSQYKSVEPWLKRKDPPPQEGKEILQSKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQFTKERLDAINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQIDA >Dexi2A01G0029120.1:cds pep primary_assembly:Fonio_CM05836:2A:40234744:40235516:-1 gene:Dexi2A01G0029120 transcript:Dexi2A01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSMMIDICTLRDAAGDFDESNKLGEGGFGAVYKGILPNGEEIAVTRLSNSSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQKERLLAYEFVHNRSLDLILFDTENEKREQLDWGQRYRIINGIGRGLQYLHEDSQLKVVHRDLKASNILLDENMNPKISDFGLARIFGRDQTQAVTSRVVGT >Dexi7A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:7A:29882330:29882605:1 gene:Dexi7A01G0021170 transcript:Dexi7A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLDISLPDGTGTELNVSWSVPNATGPLRVSSSRNSFVALGCNVVAQLIPYSALGPLTYASFCAAIARSRRRA >Dexi9A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:9A:15742897:15746329:-1 gene:Dexi9A01G0020670 transcript:Dexi9A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKQLADADVSEEEVNNILKQFEKKETEYMRLQRHKMSVEDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKSSSTQGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTADAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSELEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDSVQCSAKTGPWRKVLPLHILEHVNCLALNEEIFSMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFDSPEGEEQEHEQEQRHDEEDAGEGSVRKPAAEPEPSSSVSSPSSPSA >Dexi2B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:2B:11093048:11099926:-1 gene:Dexi2B01G0010150 transcript:Dexi2B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALAAVNIAEKRVETQVFPSLAMEKKRRRSDPSLHVSEDATTASKQRKRKSDTDSVAADLSIDIRQTGISSEPDLPIEEEEKLEKESDGLEDYWKDFALAIESGKLDTVDEAANEKEDNEKTEDIDCNHDIRIHDDLGHVCRICGMIVRRAESIIDYQWKKPSRRRTSSYGGHSKDADDTDCGGVKLYEDFMFADIAIHPRHAKKMRPHQLEGFKFLVKNLTGDKPGVDQFNNSADAKVLFGSIKACGEGISIVGASRVVILDVHLNPAVTRQAIGRAFRPGQQKEVFVYRLIAADSAEEKHHETAFKKEVIQKLWFEWSEHCTTEDFKLDQVDIDDSMDELLDTKAMSQDIKALYKR >Dexi5B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:5B:21264735:21265043:1 gene:Dexi5B01G0018910 transcript:Dexi5B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTKKVSAKALPSCVSGGTRDDMRIPKGYLPMVLVRDDQGGAETRVLVRVKDLKEPCMAALLEMAEQQFGYGQHGVLRVPCDSQRFEHVVNMAAKSKIAR >Dexi9A01G0044870.1:cds pep primary_assembly:Fonio_CM05836:9A:48463221:48464270:-1 gene:Dexi9A01G0044870 transcript:Dexi9A01G0044870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGQTSLR >Dexi7B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:7B:21407660:21420646:1 gene:Dexi7B01G0015380 transcript:Dexi7B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSALGSAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLASVDERFARYSNTLFRETSLEVNREQLTPKENDKLNKSISVYLRLLAGYLHRPYALKTLEYLIRRYLVHVYNLDELLLSALPYHDTHAFVRIVQLLNLGNSKWTFLDGVKSSGAPPPRSVIVQQCIRDKAVLETLCNYVTPTKEFSHSRMVICFCTAVIVECLGAVPKLDTDIVQRVLGFVFDSLNPEITVDQDYKAGALMVVGVLATRATLASKLVQNLIFFVARTAQHDASESVDLPWLRVTVMAIISLVQSQSVHVFPKKALVILKHIRDFSGVLSVLSSEYNIERFIRLYVESLVDYSTSDDSCHTHLIEAIESLPMENSVDRIVSKVLGSCSKLSPATGNPDINPTVMWAKKILSAVEIKYPLELHDAIRKFLEKNEINSTGEGSISEVFGLVFDESKKIPTEISDSNIWFSLDHPKAMVRQSALSRIATSGIFNKSTLNPQKLINMQDAILRSLYDDDLSVVQAALSIEGLGSVASPDSLLKAYDDVLTKCIKIINKGGSKASKASDVAVSCLEKMVMEYHSHQMERAKDIAAVVYRLLIVHPKTLRVNLKALELAKKIQWELYTSSSLVYEETPAQKMKNMSADSIASINMKNIKAFAETFLANPNKHVEWLANSGNGTGFSRTLFLLVVLQALVPSEALDKQVTLCEVCLPVLKDQWHHVQSEDNGVGDEISIDQLENCSVELVKHVFNSDTEALNARIIVCIFWGLLKVLSSYIKQNSMIVSGGNPVLDDLFLFFVTSPGKNAFQKHLQYLIINCTGAPFQFISKYFLDEDLSDRVQMESLCVLASICSKCASSERSDLDESISLQLLLGFPSLIAVLAHENRDIRSSAIKCIEGLSLVWQRLSTSVPRNGNTIKLPQCMSSPTFGIFLESLVNQKTMISSDAKFLPAYISSMLSPHKDMTVPEDLHERFDQPTKDALLHFLLQSALKLTPYGKVLLSMPDLANIGFNMSEPLVRALKVDALHPDDLIAVMPCLTVLRSLQPAFFDNLKTDTKVHASTVMKFIELIVTLGDVRGRSKRTKRKDDLNNDAFSSFEELFGESSTAYILVSLLDILFLKKDVNQRTCLLQPLFQILSKLRSDQWVLGIVCQYNSQHDASSETPDLSSSMKEAQHLILLVLKDIMDTLHSGHKDALLNSSDVDHLIDYIRSVEDVGTRNLGFSLIASLGKACPQLVSESIVDLFVAIGDAIKQDDSHSQRVVEDLLSVLVPFWLSRTTSIEKLLQIFIKSLADIPEHRRLTLMVYLLRTLGKESNLSTVIMHLLHSLVERIPHSLSEHQGSCLSALSQEWEYGLAVNVTDQYSYKLWFPCLSKLLKEIRVHEKQGQHLMLHLAMRLVLSKLQDTELIFELESDEAANFIQGSLGALLEEVVLCTVYTKDKKRDISGDIIKEIRDSATTILKTITGWMDASTYFRGITQLLDHSDNLVKRKVLLKPIVSQFVAEPPESIESVVDAPSVEEVDETLVLCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKLLGLKVVRYMVQHLKEEYIVLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGESLRQYL >Dexi9B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:9B:5320932:5324001:1 gene:Dexi9B01G0008730 transcript:Dexi9B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSHAHGHADKPARRTNPLRARVITRFAGSFIAKRRHHRPVASASLLPDPCRARAELASPAAACADADAAARSREARAPDTRPGSSGARRLAMARWRPAALLVVALAAVLSAAWRADALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVPARSPRLAGIVLFRAVTSSGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYLAFMAASALQVVYIRRLFSKNVAYNRV >Dexi1B01G0026410.1:cds pep primary_assembly:Fonio_CM05836:1B:31301799:31303747:-1 gene:Dexi1B01G0026410 transcript:Dexi1B01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLWFLLAAVLTLAIAVSPTEGSRSRRHYDFFIKEVDYTRLCHRKTILTVNGLFPGPTIHARRGDVITVNVHNQGDKNITIHWHGVDQPRNPWSDGPEFITQCPIQPGASFTYTIVLSWEEGTLWWHAHSDFDRSTVHGAIVIRPKLGASYPFKKPHKEIPIILGEWWKGNVRELLADALRTGGEVQPSDANTINGQPGDMFPCSEDDTFTLPVEDGKTYMLRLINAALANEFFLAVAGHRLTVVSTDASYVKPFTVDHVFIAPGQTVTVLLSADRSSRGLQNARYYMAARPLSTNPLVVFDNTTATAVLEYTADDRRTPATGVSAPPDSPTLPALNDSAAADAYTSRLRSLDTDAHSARVPRRVDEHMLVTIAVNVLPCGANQTCRGPKGNRLAASLNNVSFEAPRHVDILGAYYRWRSAGGVVVGDFPSDPPVVFNFTDGDLPPELWVTKRGTKVKVLEYGTVVEVVFQDTAILGAESHPIHLHGHSFYVVGTGSGNFDKHRDPDGYNLVDPPYQNTVAVPNGGWSAIRFRAINPGVWFMHCHFERHMVWGMDTVFIVKDGHGTEAKMMPPPPGMPRC >Dexi5B01G0031370.1:cds pep primary_assembly:Fonio_CM05836:5B:32149736:32153395:-1 gene:Dexi5B01G0031370 transcript:Dexi5B01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEPLSRGKSPADEDLETLPLDSSSTSVAATTDPLLRPPPSPSSASSSPTAGASHSPFVDEEEGEADEFTPEPAPRAAAAATSREASPVFAEITVSDPRKHAEPATGTVGVISGSSSYVSYLVATRASDGGEFRVRRRFRDVVALADRLGEAHRGLFVPARPDKSIVEGQVMQRHDFVSQRCVTIQRYLRRLAAHPVVGRSPDLHAFLAEPSGIPTSDGESPRWSPATSAAATSVAATAPATPAKSGRDFFGVFKDLKQTVTNGWVAVRPPPVEEEIDRKYLAHKAKLEDLEQHLVTASQQADALVKSYDDLRATTGLLGMSFIRLAKFEKEQAMCSSQKRRAADMNNFANAVIRVSRSQTKLNAEIVKHLGIIHEYMETMAAVHNAFTDRSNALLRVQNLSADLYFLHTRAGKLESVSSRGMDQEGPRYQKIEELKETIRVTEDAKAHALKELEVIKENNMNEIKRFNKERRHDLVEMMKGFVSDQAAYSDHIASVWTKVAEDTKGYVNRSS >Dexi2A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:2A:10365158:10367187:1 gene:Dexi2A01G0009550 transcript:Dexi2A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFCLAELCACSTTTRPLAMAGDKQQEQSLHLVVFPWLAFGHLIPFLELSKRLAARGHAVTFVSTARNIARLPPEGLSGRVRMVALPLPAVDGLPEGAESTADVPPEKVELLKAAFDGLAAPFADFLAAACAGDREEEEGVFGRKPDWIVLDFAHYWLCPIADEHQVPCAMFLIFTAAWVAYCGSREENINHPRVTVDDFMPMPRWFPSPPSLTFRRHEAAWIAASFRPNASGVSDIDRFWQTEQRCRLLLVRSCPELEPRLFPLIADVLRKPAVVPTGFLLPEDKPTTDATRSGAVRWLDKQPPRSVLYVALGSEAPVTAENVRELAAGLELSGERFLWALRPPSGAGGGDGAAAVAMLPEGFEARTRGRGVVCAGWVPQVRVLGHVAVGAFLTHCGWGSVTESLGFGGHPLVMLPFITDQGLIARMMAERGVGVEVARRDDDEGWFGRDDVAAAVRRVMVEERKGLAHNARSLQRLVLGDDVGREERDVDEVVECFRRHSSFITEESL >Dexi3B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:3B:2678202:2679045:1 gene:Dexi3B01G0003940 transcript:Dexi3B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFLRSGSRHLRTLAGGAVAVRTAELLVGVAILCVVVVAPAPAVLAIDDGNLTAGFVQVELPEGNFIVQSPYDVPENQRYSYDVTTGVRTFWVYADDKPFNTVTATNPRTEVRLAGHDYSSGVWQFEAYGYVPSGTSGASVMQIHNEDAGVQATTLMLHVYNGTLRHYSGEALEDDVYDRWFRLNVVHDVGASTVAVYVDGGAPRLVVAVAPTASHYFKFGVYVQHHDVSPRVESRWRNVTVYTKPN >DexiUA01G0018330.1:cds pep primary_assembly:Fonio_CM05836:UA:39044104:39045709:-1 gene:DexiUA01G0018330 transcript:DexiUA01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSCFPKPRLVLSPDLELAKIRYDLCPRHMKDKQFWRIYFLLAKSIISPYELRAIQKEKIRRMEMGNAKSKEVVTVEVEMQEPKGGRASQPSEVDLESQV >Dexi9A01G0035070.1:cds pep primary_assembly:Fonio_CM05836:9A:39732446:39732807:-1 gene:Dexi9A01G0035070 transcript:Dexi9A01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGALLCVEGAMMVSLLRGPELHMWHTNLLRHGQDQVPSSTVGAHHDRPVAQAAQEQEA >Dexi1B01G0027520.1:cds pep primary_assembly:Fonio_CM05836:1B:32226157:32231950:-1 gene:Dexi1B01G0027520 transcript:Dexi1B01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVAGALVPSVPKPEPAPSGDASTAAAAAALALAEEAGARAGSASPQGAAAEGEGPADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLNHKSDCPCCGHYLTKAQLYPNFLLDKVLKKISARQIAKTASPIDQVRYALQQGNEMGVKELDSLMTLISEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEAQFPPTYHPKIRPLLGCKSTLQFNELQEYYLQRRRTGAQPRRQEERDIVAMNREGYHAGLQDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSSVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVCENNVVLLNLLFLKVKVWCTKQEASVINIDMKANICSVKYNPGSSFYVAVGSADHYIHYFDLRNPSAPVHIFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAASHRFVSSDVDDADDDPSSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >Dexi3B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:3B:1308914:1312242:1 gene:Dexi3B01G0001830 transcript:Dexi3B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEPEDEPTHKLETKPRRLYQAWKGNNIFLCDGRLIFGPDAASLLLTTFLIISPTIIFCYQMKSKLHQSNTGQQHMHRAAVLIVIITTIMDMVFLFMTSARDPGIVPRNTRAPPEADDLLLGSSTPSMDWSGGRTPRMRLRRTKDVIVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVHKFDHHCPWVGQCIGLVSKKLTTYENFRYHYDKNDNPYRKTLAENFAEVFLTKIPLPMNNFRSRVGEGALEAGFYTPYIGLDVTTPREKIDLDRENKEVLVGGMQIPTVLQNIDYGPFEDSSEDKDMNQGEKKVHFPSAWAQGNEGGGTSAAATTACNAETSEDDLNEIESPNTATTQTSAKANTEPLGQIDKMEGTLERKSQRSNTI >Dexi9B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:9B:7571235:7573406:-1 gene:Dexi9B01G0011640 transcript:Dexi9B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASSLASHLKSLPTAWQDMTLMLDQKRWKKILEKKQQRQA >Dexi9A01G0040980.1:cds pep primary_assembly:Fonio_CM05836:9A:44655713:44655991:-1 gene:Dexi9A01G0040980 transcript:Dexi9A01G0040980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGWVDVLRSLLAPASRLGKQAEWLVVLLLLASNSSAGSCPFQRNKNHRDEAMRKNSLGCYVLGPGLLRREEEEDGAGGSRSASRVNGGRA >Dexi5B01G0032400.1:cds pep primary_assembly:Fonio_CM05836:5B:33018707:33023133:-1 gene:Dexi5B01G0032400 transcript:Dexi5B01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSLSRALARSARSTRPLRQGSLLEGYAGLRAAPTLPRPSMPGGDVGGLAFVRSYLTSALGSRAAAPAGQGTVEDWRFLLASSQFRRLFSDGSNKNYEKYHPKEKQEEPKGDGGDKSDPKKDSKSKFQWNFKEDVMKKFQELLAPLFFLGLMLATLPQGSSAQEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRSSPSSNQGQDGDIRITTSHLPGKETPSKYKYYFNIGSVDSFEEKLEEAQEALGRDPQVYVPVTYTSEANWFQELMRFAPTALIVGLIYVAGKKMKGGISIGGPGGGARGIFSIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDINSREQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSENAQITIQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGARNDLEKVTKMTYAQVAIYGFSEKVGLLSFPQMEDGFEMTKPYSSQTASIIDTEVREWVAKAYEKTVNLIRTHKEQVAQIAELLLEKEVLHQDDLVRVLGERPFKSAEPTNYDRFKQGFQVDESNKSAEVSDASPSPLGNVVPT >Dexi9B01G0021900.1:cds pep primary_assembly:Fonio_CM05836:9B:16592509:16593360:1 gene:Dexi9B01G0021900 transcript:Dexi9B01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLIFCAAVVLAACLALAGVQGDQGTATFYGGDDGSGTMGGACGYGNLYDAGYGINNAALSETLFNDGASCGQCYTITCDTSRPGGEYCKPGTSVTISATNLCPANYALPNGGWCGPGRPHFDMAQPVWEQIGVYQAGIIPVVYQQVKCWRSGGVRFSIAGCNYFILVNIQNLSGSGSVGAAWIKGDGTGWIQMSRNWGANWQALAGLVGQGLSFAVTTTGGQYIQFPDVAPAWWQFGDTYNTDKNFYY >Dexi5A01G0026820.1:cds pep primary_assembly:Fonio_CM05836:5A:30408848:30411259:1 gene:Dexi5A01G0026820 transcript:Dexi5A01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATITTIPTVSAHPRTKPPSASFLRSSVPCRVSFRPAPRPNLRATAMAATSQQLIITRPDDWHLHVREGEVLEAVLPHSARHFGRAIIMPNLKPPVTTTARAVEYREEIMKALPSGSSFLPLMTLYLTDNTSPEEIKLARESGVVFAVKLYPAGATTNSQDGVTDIFGKCFSVLEEMVRQDMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVQKLPQLKIVMEHITTMDAVNFIESCEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIASAVTSGSKRYFLGTDSAPHDKKNKERSCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNNSKIVLRKSAWKVPATYRYSSGEIVPMSTGSTLEWLPSDQTEE >Dexi2A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:2A:27359022:27360323:-1 gene:Dexi2A01G0015950 transcript:Dexi2A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVNKDKVHEKETHGTSSDINENTSVDKVKGPILIERAKEEIEALAGAVQTKMEHHSSPHVKDESHKEDKDGSLQKIKTHTNETHGTSADISENTPVDKVKGPNVFERAKEEIEAIAEAIHPKKGSNSK >Dexi4B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:4B:817638:817922:-1 gene:Dexi4B01G0001330 transcript:Dexi4B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGVGDADADVFLSKRISFRAMVSSLTTNGRAEETMEPPATEKRSDSGRRTTLTALTMTTTMRKVTAAATV >Dexi6A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:6A:21972618:21973768:-1 gene:Dexi6A01G0014580 transcript:Dexi6A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVDVKYRPALFLNGGAGAPAPAAKKMRPAAAAAWGAGEAAVYRECLKNHAASIGGHALDGCGEFMPSPETDRADPASFRCAACGCHRNFHRRLPDAPPSPPLLALPPPPPPQPAPAVAPPHVMRDTRAMRGEEAPPVDRVPSAYDDETEEEESDEGSDFEEHRPFSPAMGPPPQPGYPQPAMAPHMLLALSTGGALTTPAVAPRPQQPSLAPMPAAAAMAKKRIRTRFSPEQKQRMQALSERLGWRLQKRDEAVVEETCREIGVTKGVFKVWMHNNKHNFVAGHSARRSASASAAAIGAGAAVLPSPHAAAVHSSPYAAAAAVHPPAHAAAPAAPPAAPLPAAPHNGTAAAAADYFRVQPATATASRSRPSQDF >Dexi5A01G0030810.1:cds pep primary_assembly:Fonio_CM05836:5A:33662301:33664379:-1 gene:Dexi5A01G0030810 transcript:Dexi5A01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRLAVTGMAADDEHEAVIRELTRGRQLTARLRAEALSALRGQGQAEATAALILQEVSRAFNVCLSIMSSPSRAPPPPEIMPAAAAARLSPTATDMAGGQRRNREDSIPRECYYRCSFHRERNCRATKQVQQCSAGDPPQFLVMYFNEHTCDTAASWEPEASSSANPAAAVLDMSSVAGLVARRGVQEEHERQVLVDSLASVLGGQHQHFHQSPPPDVAVGVARTCDAPAPPAATATAGVDAAGGGMPRLDDVDVAGTLDVMDYDDVTAELCFGGDPYGLPDGGDLPFC >Dexi9B01G0032890.1:cds pep primary_assembly:Fonio_CM05836:9B:35020945:35022979:-1 gene:Dexi9B01G0032890 transcript:Dexi9B01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSERGSVATAVSTAAADKLLHGPTAGKKCKKAAPRKVHKSEREKLKRDHLNDLFVELGNMLEADRQNNGKACILTDTTRILRDLLVQVESLRKEHSNLQNESHYVAIERNELEDENGVLRKEISELEDELKMRTSGSPAGWGHGNAGLKPLVPHPASAVFSSQEAMQPPAISNTVFPLQQPLAPSAVIEQSYATPPPLELKLFPGAASVEVQEPSEDQEAPNHVARPQARYPTQSASWPVTLFSGLPRMEDEQCSSSTTGCNKEASTGRD >Dexi1A01G0024490.1:cds pep primary_assembly:Fonio_CM05836:1A:31034713:31035042:1 gene:Dexi1A01G0024490 transcript:Dexi1A01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAWCSSCPAGPQSPEPRRSRRTAPTVARRRQRWGRGSARERANQLTEGPTSSSARQVNESSVASWHLAAIAWRPELAPATGGAGRGYVPLHTPRAARQRQVEGSIRV >Dexi6A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:6A:28750356:28751887:-1 gene:Dexi6A01G0021530 transcript:Dexi6A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDGDSPAVRSAGDAVWMVWAESKRLWGIGLPIAVGMLSMYAISSITQMFIGHLGNLPLAAASIGLAVFATFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIILLGSSLLMTPIFVFAEPLLLLVGQDPDVARESARFALYILPSVFAMAINFAGSKFLQAQSRVSVPAWIGLGALLACVLLNYLLVVVLGWGLAGAAAAYDVAHWVIALGQAAYIIGWCRDGWRGWSPAAFHGISAFLRLSLESAVMLCLEIWYMSTITVLTGGLHDAQIAVDSLGICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAWNAVVVVVAEALLIGVLCMVLILMFRDSFSVVFTSDDTLRRAVSRIAGLLAVTMVLNSVQPVISGVAIGGGWQGLVAYINLGCYYAFGLPLGYILGYQLNFGVGGIWSGMLCGVALQTLILLAIIWRTDWKAEAAQASSRVQKWGATTGGDDQVNRHLLA >DexiUA01G0025240.1:cds pep primary_assembly:Fonio_CM05836:UA:53104501:53104691:-1 gene:DexiUA01G0025240 transcript:DexiUA01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYARRSEALGIRADAAAGEGLRFARRVPLRKAATSTSQAAEPAATAGADEDDLYN >Dexi7B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:7B:23279739:23281230:-1 gene:Dexi7B01G0017280 transcript:Dexi7B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVPSPSELNEEGSSSTSVSTYARSLSSDIVVVSELMHPRDEPDDVDYITSLPIVLEKEEDVKDITDIPFVPNKEDIVEDIARRSSSDIFVVSDLSYPRDEHENIDYITTLPIVPDNEEGVKDITIMPVILNKEDIVEDTARSSSSDIFVVSEVSNPRDKPKNADYITTLPIVPKKEEGVKDITSMPVSPNKEDIVDDASTYAIPCAILCCVATLCMRFLRS >Dexi1A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:1A:25587173:25587367:1 gene:Dexi1A01G0018310 transcript:Dexi1A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVIDRYGKAKEEQQVATNPNSELKVWNLVPQIETS >DexiUA01G0000680.1:cds pep primary_assembly:Fonio_CM05836:UA:2423746:2425894:1 gene:DexiUA01G0000680 transcript:DexiUA01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSEPQDPEEAQSKPGATSRGGERSKRPAPSEAQAPVPKRARTLPKPRARTIPEERAKVSPQPKTTSAVGIAIGEIGTSMPQQSSSARRALSDEEILHEIFNPVSVPFQGTTPIIEEPCPAGPSAAEQEVEEEFTLGEPEIPMRPSTMVESSVDHIAVEPEAAVPEEPRVVLETTLPEVQTALPADPPAPEEAQVEEIVAEVLAGIEQLVTETVIEESEQERRDQSSAQPPSVTETTQAGAEAECSRGKQTEATTPEQIIEEIPRVPQSTRTEEEGGSFCIGSFDPMLNPNPQTFEYILDTEEDEQHIDRGLYHAERAVAYFKASRKKTEYLHNISLMHAKADRLQKELERQREDRKLQEAEDANMIRTLHLRTKELAAEKEDMKKKLTTAKAELKGAQQQLATAQSKMTDWSNVANRYEEALKTLSADHEGVKEHLRVAVQQRKDANEQLIQVLEQQKQLAKNLEGAREENNRLSRDLVQAQKHLADKKVLDEKLEQAARRMSELEEELRLMKKSDDDLAEALNRISQLEKAANPVVKALVPEDPSAPQTFLERLKAMPRQLKAYIKRSSKACLVHVLAVVKSRYPEVYIGKLVEGAEPNCTESAFRDLKQEAEPVAEAIAQSLRL >Dexi9B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:9B:2208152:2210490:-1 gene:Dexi9B01G0003840 transcript:Dexi9B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASTASGDERASGAPRDPSAGAVVPPQAHAEWAASMQAFYGGQPYAWHAAQQHIMAAAAAAGASAPFGTPMPFPVSFHPAYYAHAAMATGVPYTASEPVVVAAVEGKSKMKNLCAPSFCSASGRYRFILCSNQCKPFLLAADRETIAVLHASVMVEARIKAETSGQSETNAATLPQHDVALSQMDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTALNGALRSELDQLKKACEDMEAENSQLMGEMEQSEVPSVATTLSIQIDTSKAHHGNNGQHHNKNNSDS >Dexi7A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:7A:15921644:15925008:1 gene:Dexi7A01G0004920 transcript:Dexi7A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRFRGLGHHHREKKDHAPPPTKLDELVYAAQEMDEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKAALNYDDDESGDSKIFDFIPYAGFTILRLLAIMLLRRGLKHLEALEPYVKAVAEKQHIDYHFSGLDDDSDIDDYSSYQDNHSDGSELSFDYGINDRDKDLPASRSPMDHLTIHCR >Dexi6B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:6B:25882353:25883827:-1 gene:Dexi6B01G0018980 transcript:Dexi6B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIELVDWIYFVAFACTAAGIIIYSYKGSKEAEETAQVAGASDEHGKEGGEEAGAENPA >Dexi3A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:3A:6651301:6652397:-1 gene:Dexi3A01G0009510 transcript:Dexi3A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDAAAAAAAPTIDDDWTVVRRRGRRRGDAPPTASQHDAPPPLTLTPIPWFPSDSSLDPARVSRLVDRARASISRSSPAARLQLALAALLRRDLLPESASADLFDPVLSAVECAAAVELGFSVPSLDDGCRRRVEEPTLFYMPHCEASLYDALLAANWDSPAQLRRVCVLGNSFQWYALQAEDNCLGPAAKAAHVLAAGRFAREERIGETGDLDEDWFACAFNETSWHFFEVDDDVDLAASIA >Dexi6A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:6A:28790457:28793227:-1 gene:Dexi6A01G0021570 transcript:Dexi6A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDATKKKAAQKKAAAAAKRGGAKKAPAAPSSSSSNGNVVVDAFAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPQHMDIYHLTREIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGDALDRVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKKLRLYTGNYDQYVQTRCELEENQMKQYRWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDRILVFRFTNVGKLPPPVLQFVEVTFGYTPDNLLYKKLDFGVDLDSRIALVGPNGAGKSTLLKLMTGELAPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEQAMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAHEIWVCANQAVTRWEGDIMDFKEHLRRKAGLSD >Dexi5A01G0034880.1:cds pep primary_assembly:Fonio_CM05836:5A:36849312:36850162:-1 gene:Dexi5A01G0034880 transcript:Dexi5A01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGPTLPPRSLAHAASSLPSRPHLPAVVASRPSLPLRPPIVAPFLLHRWRARARDVRRHAGDRCLPPVDAQERHTGSRMQQHIEAIYGGTQPCRWVDGGTQARGVRQQGSRPRQPGGGMGRNGRWHWAHAWLTASPDAEEEDGGGHDVRMTWRWI >Dexi1B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:1B:9688439:9689773:1 gene:Dexi1B01G0010360 transcript:Dexi1B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALSTAANPTQLCRPRASSSLGKPVKGLGMGRERAPRSITTCQAASISADRVPDMEKRKLMNLLLLGAISLPTVGMVVPYGAFFVPAGSGGAGGGTYAKDKLGNDIKVEEWLKTHGPNDRTLAQGLRGDPTYLVVEQDKTLATYGINAVCTHLGCVVPWNSAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGEDPWWKA >Dexi9A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:9A:7622880:7623425:1 gene:Dexi9A01G0012040 transcript:Dexi9A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAALSARRVQAAFLHLLCVSFLDHTCAEPRISRHGDLLHVHGAARLLLRGEAHGPAASSCAEQRGEAPWRQGEQSNPVAQRRDVKARPRGGPASRAALQPSDDDVEAICCVPCPRAPPCVVEPRHEASELELPSPFSSISSSPHLCSCGGGTKRGGGVGPRLPRALAASSAGPPRAAAA >Dexi1B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:1B:9606326:9607012:-1 gene:Dexi1B01G0010230 transcript:Dexi1B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAEWCLLAFVVGFFATVSLGGTALVVYALVALSRTPHRSAGGIAVLSVFLLLWVSFSFKICGEFIECSRLGDRLAAVLRAALACLRGVARLLCLPCRCARAVRSQLRRPDTGTGRDATTGVQPRSATQSHVMDVLPREAPARGGARVLAVDDIPAYVQRNVKRPGGPSSECAVCLGEVQSGEMVKRLPVCLHVFHQTCIDPWLLGGKSTCPVCRCDVFAPLPLEMV >Dexi6B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:6B:25205337:25208714:1 gene:Dexi6B01G0018160 transcript:Dexi6B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQVDKKMLGELEAMGFPTVRSTRALHFSGNSHLESAVNWLLEHESDPDIDQLPLVPREITIECGDTSNEVRNNVQGMRDTVQEKTEGWTETGRQNGTSQLERELNADEQEEEDRKRILALYKQNRDEEGRARGRIRNQLQEDERERIRAAKDLTEAKRTLEENQRKRMIESRIADQEEEKRARERIQQRIADDRAERRRRLGLPQGNPEPSVAIITPTKVKPVEHVVTSEQLRDCLRTLKKNHKLKCFLADSDDSARVTRAYQILLKIIANIVKNPAEEKFRRIRLSNPVFKDRVGDLQGGIEFLELCGFQRLSASGFLFMPRDKMDMALLNAAGVEIASAMENPYFGMLSK >Dexi5A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:5A:2447522:2448106:1 gene:Dexi5A01G0003320 transcript:Dexi5A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHVRLRRSPGCPPGGGPRRRPGPSHGRRGHRSLPPHLAGAPRRVLPLLPRPSLRRRRVEGQAGAPAFRETSGNLASLLGAHLRCLSLDAADGQGSPDDAMWVEEGEFEEADDLHLTCGEAVALWADTAAGPVLREVEIADYWPQACWRKAEALPLISHYS >Dexi4B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:4B:4772909:4774131:-1 gene:Dexi4B01G0006810 transcript:Dexi4B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRNRDDPLVIGRVVGDVINPFVRTTNLRVTYGTRIISNGCELKPSMVTHQPRVEIGGLDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAALGQVVTCYENPRPTMGIHRFVFVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGSPVAAVYFNCQREAGSGGRRIYSN >Dexi1A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:1A:12514023:12521350:-1 gene:Dexi1A01G0012520 transcript:Dexi1A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWTRHELVTSGAMSLAATCNGLAHKIRELKVRVREVGERRLRYDVKLPNVSPKLKPMPVAKDSLIEEKSEDFLRALEEAQEAGGGDVPHHSPSFSRAISMLPSDLVTDEARKLIDHILYMLAGHAHARKMLLCALYTYYPYRIVEKLKILKKRLDVKRAPLPMEVMLFSYDQLPTSYKSCLLYLAVFLEEDSISRTVLIRRWLAEGLVARHEEFQTMEEAGEQCFSELVLRGFLRPTSGTVTISGLKIKRCTIMNESVRDFIIRIGESENFVSGTLPIHLRLQDDIRKLVKRPPPQQHQVQKQWPWNFCGNNYCAGGIPKKPQHNVSELTAGDNQTVDQDDGEVMRHPMDEMADKLKRLPQLYRINVLDLEGAKGLTNRHLKTICTKLSLKYLSLRSTEVSRLPRSLSNLWQLETLDIRQTDIRDTDMEHMFLPKLKHLLAGRIVVNDELRLRTVRMPRKLGRGMEILRHVNIVHGKAEVMEKIGRLKQLRKLGVVLDGSKDNIMHFLNTISIMKLSKCLRSLSVWIAEEETASATARGVAVTVPLDYREDIENAPFIPPRLPNKLESLNMKGRLGGSLRRWIKGPDKLSKITLRDTKLTRDGLNALGELKHLRCLRLLRGSYTETEITLRKEEFQDLRLLVIDHVAKIIAFESGAAPKLEKIVWTLDRVEIVKEDTIEGIGKLKSLKEFELNCDPNNPGLHHVEETLPRRLLKS >Dexi5B01G0021120.1:cds pep primary_assembly:Fonio_CM05836:5B:23398477:23401249:1 gene:Dexi5B01G0021120 transcript:Dexi5B01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGVAGPLLGVAGDAFPWKLVVVWAVVAWCAVRAAEWAWWRPRRLARALRSQGLRGTAYRSLAGDAPLTERLNMEARSRPMPLGCHDVVPRAMPLFHQTMKEHGKTSITWFGPVPRVTITKPELVREVLSNKFGHFEKIKFGKLQRLLHNGLGSHEGEKWAKHRRIINPAFHVQKLKRMLPAFAACCTDLVKRWEGLAADRQPHEVDVWPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVQLVVQAMQKIHIPGYLYLPTKTNRRMKQIASEIEGLLKGIIAKRENALRTGRASSDDLLGLLLESNMEHCRGDGNNAKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRARDEVLSVFGNRTPDYEGLSRLRIVTMVLYEVLRLYTPLTSLQRQTYKPMELGGVRYPAGVMLMLPLLCVHHDRDVWGPDASEFRPQRFAEGISKASKDSPAFFPFGWGPRICIGQNFALLEAKMGLAMILQCFAFELSPAYTHAPFALGLLQPEHGAQVMLTRLP >DexiUA01G0004000.1:cds pep primary_assembly:Fonio_CM05836:UA:8020253:8020356:-1 gene:DexiUA01G0004000 transcript:DexiUA01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVTRQCIRAQKRAQSTEMRQITP >Dexi3B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:3B:585675:587125:1 gene:Dexi3B01G0000670 transcript:Dexi3B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLEMLEKKERFLQKKCSAEIEKAKDYTKLKNKNAAIQCLKKKKLYETQIEQISNFQLRVQDQIIMLESAKATTDTVDAMRSGSSAVKAIQQSLNIDDIENAIDEANEQTENMRQIQEALATPIGASADFDEDELEAELEDLEEEDLDEQLPEPSPRISAPVEEPLARSTSFSKQHNDLSELTKLQAEMAL >Dexi4A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:4A:12239129:12243235:-1 gene:Dexi4A01G0013150 transcript:Dexi4A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRQGPVAAAMASERWSRDVVAGVHRRLLGVAPAPAGDGADHGSSGSGSSSDAIRIMVGVLVTVIICTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATDNFSKANKLGEGGFGPVYRGVLSDPSKSAQLGWSTRHNVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDDSDAINTGRVVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGKRNGALYLEEHQQSLIQDAWKLWTEDRAVEFMDLSLGRSYSKEEAWRCYHVGLLCVQENPEVRPTMSNVLLMLISDHMKLPEPAMPPLFTRLRKIPLSAMPLTTKTESTMSPQSINDVSITMVEPR >Dexi9B01G0045430.1:cds pep primary_assembly:Fonio_CM05836:9B:44909401:44911008:-1 gene:Dexi9B01G0045430 transcript:Dexi9B01G0045430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPSNNNRFNYNCDGHTFNYHVHDGFTYCVVATESAGRQLPVGFIERVKEDFSKKYSGGKAKSAAANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRQQGTKIRRKMWWENMKMKLIVFGIVVALILLIVLTVCKDFNCW >Dexi1B01G0025040.1:cds pep primary_assembly:Fonio_CM05836:1B:30215888:30217377:1 gene:Dexi1B01G0025040 transcript:Dexi1B01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHKSSPSRKLSAAIVTPIFILLVLALVSLYDMTSSNRYPHIDRVSSSSTSSLPSPTNSSSSSPTNSSSSASPATTVEYCNLTQGQWVPDDEAPYYTNLTCPFIDDHQNCMKFGKPSLEFMRWRWRPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHLKSLLCLLSEVRRLLTSMLVLIHCLVSCSSVVLMRRTGRQCAHVLLQEAQPVEVGTSPEIDVTGRAVRRDYHFGNHGFTISLFWSPFLVKANQSNATLGQWDLHLDTADTRWAAHIAGFDYIVLSGTNWFLRPSLYRERGRLVGRNDAAGIAGGGNLTEVVSVPVAVRAAFRTALGAIASREGFRGKVVLRTVTPAHFENGEWNTGGDCVRTLPFRRGERALGAVEAEYRAAQVDALRETAAAARRNGVEMLLLDITEAMDLRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLLQMLIAHPS >Dexi9B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:9B:8707903:8709222:1 gene:Dexi9B01G0012910 transcript:Dexi9B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMGADKLSHQLFSLLESKFLFGAGAGCLSSGPGTPARAFLDGGRVRVLAIDGCGAGAEDALLAAAALARLEIQLREQTGDPDARVADFFDLAAGAGAGGVLAAMLFLRGPDGRPRYSAQEALAFVSASVGRKEKDWGHRRGRWAKIFRGGSRSGGERVFQSVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVECDGFDFRLRDVCAATCSVGREPVAIRSVDGLTSIAAASAGVAAMGNPAAAAITHVLHNKQEFPLATSVEDILVLSIGAGASSMVSGGSNTPMPTRRSPSPRDLARVTAEGVADMVDESLAMAFGYTCGSNYVRIKAMTAPLHAGNAAAAAGAMLAQRNVESALFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKQVGTPRLSSATTSSSGTSTAARTASTMPSPASWDFRR >Dexi5A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:5A:10887452:10891522:-1 gene:Dexi5A01G0014080 transcript:Dexi5A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVYPTIEAASNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDAKQLISYARANNKGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKEAGAIVPTSYEALESAIKETFDKLLEEGKISPVTEITPPLIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEKGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLI >Dexi3B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:3B:6171422:6175086:1 gene:Dexi3B01G0008960 transcript:Dexi3B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYKDEVTSITIIGHSLGAALATLNAVDIAANRLNAPSNSSQPPCPVTTIVFACPHVGDRLFKAAVDSIPDLKALHVKNAGDVVPMVPPLGYVDVATAVLPIDTGRSPYLRQPGTAKTLHILECYLHGVAGEKGGAGGSFELVVDRDVALVNKDADALKDEYPVPANWWVAKNKWMVKGANGHWKLEDFEEVYQTGTTPPDGRTAAATVISHTPSAMSEQPEAGSKAEGDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVSAARLPILVRRDLKLLLGAGLTEVLNAVFPVLACRKPVNTILVPAKQTKKKPGANHSHHERLLGVARLLSQMLLDVVSIYNKVTDLTDRKQAVKISIGGVQAFREYYPSMNDACTILEFVWVKDKFVLHEKIKDSCQEIQVEDQKSCGPESSIQYETLALVSEGRNALSPILHDKYMEILNTPNLETHLPTKPADTIVAGQPDKMNRCSDAGCSQSERQLENESGACSVPETLSTVHSVPHLNLKHETRKRVAFVAVGKPKVLGAASETKSSEVNKKPRLNMISQTSVESGLYSKFLDSENTEKPIL >Dexi2B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:2B:23416132:23418462:1 gene:Dexi2B01G0014020 transcript:Dexi2B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAGGGAVAGRAEFYEGKITGYFILACIVGSFGGSLFGYDLGVSSSKLFAVVASLSARIRITNSVLVFSTDTLCFAECYRLQLHYVSAEWFLSIAGGVTSMDDFLKKFFPDVYNRKQAHLLETDYCKYDNQVLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGAASFFAGGAINAGAMNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFIGALFLPETPNSLVERGHLEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVKGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGVQMISSMVVVAVILALKFGHGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLKWGVFVLFASLIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRRDPKYQGNIHQQQEMGTGSA >Dexi4B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:4B:10243007:10243759:1 gene:Dexi4B01G0012460 transcript:Dexi4B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSCLLVLLPIALLLLAGSSPAAAQLQVGYYSKTCPNAEAIVRGEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNTTDSNLSERDAKPNKSLRGFSSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPTWPVALGRRDGKVSSATEAADELPPSYGDIPLLTKIFASKNLDVKDLVCIYN >Dexi8A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:8A:10571:10963:-1 gene:Dexi8A01G0000040 transcript:Dexi8A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLAKAEAAATVAAPTPPRPGKLEKAAKAGWPPTTLLDVQEVEWITRELERLLAREQVGGGSDGADGRHRRKRAKLSPAPKKGGFLAELLGRHAASICSGSGDAVDTSAARAGRRRGGWGSFQEVEKV >Dexi8A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:8A:22614928:22615490:1 gene:Dexi8A01G0012850 transcript:Dexi8A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIRLLWLSLLCICSDAGLPPGSRSSSNATADELALLYFKSMLSSPSEGLLASWNSSSHFCTWAGVSCSRQHRERVVSLLMNSFNLSGRISPFLGNLSFLRVVDLGGNQLVGEIPVELSHLTRLQVIW >Dexi5A01G0022940.1:cds pep primary_assembly:Fonio_CM05836:5A:27063170:27065626:-1 gene:Dexi5A01G0022940 transcript:Dexi5A01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNLELFLPEEYPMAAPKVRFLTKIYHPNIDKALLSAPNPDDPLSDNIAKHWKSNEAEAVETAKEWTRLYASGA >Dexi6A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:6A:2282338:2286929:1 gene:Dexi6A01G0002360 transcript:Dexi6A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAAENYSTDAKLVEPSMAMPPNSSHCINLQDERTAREKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLVISWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVDIVYMVTGGKSLKKFHDVICEDKCKQIKTTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVEKGKLENVDYHLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPETPSKKPMWKGVVVAYIVVAICYFPVALIGYWAFGNQVDDNILITLSKPKWLIALANMMVVIHVIGSYQIYAMPVFDMLETVLVKKLHFPPGLTLRLIARSAYVAFTMFIGMTFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWFTNWICIILGVLLMVLAPIGGLRQIIMNAKTYTFYS >Dexi5B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:5B:10376292:10376708:-1 gene:Dexi5B01G0014060 transcript:Dexi5B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIDTSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHALVIDQ >Dexi9A01G0036420.1:cds pep primary_assembly:Fonio_CM05836:9A:40862338:40867906:-1 gene:Dexi9A01G0036420 transcript:Dexi9A01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLAGVSGSPSAAAAAASLLLRPALTRPISTGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSKFIPSLQQLHDSLHSKSVEFKDIIKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRINCTLPRMYQLAQGGTAVGTGLNTKKGFEYHLEFEMIFFPIVSHYDDMS >Dexi6B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:6B:24930618:24930821:-1 gene:Dexi6B01G0017890 transcript:Dexi6B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVDGHLQVRLGEDVLVGSSTAWCRGCWPAAVRLAVSLASLVTMPVPLSCAKPLAPARRHGHVRTY >Dexi9A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:9A:317824:321119:-1 gene:Dexi9A01G0000570 transcript:Dexi9A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRFEGDKATAATTLDVPAAGDLRLKASATEAAFANGPSLKGLTLTLEKPGAFLIDLKPHNQDVRFQFMNSALVLDKRVSLTYTHSTTFATAQPPPAAVPPSRTALDCSVTFDPANKVNFSHALGSGGCRVKYTYSHGAERLTTIEPLFDTKKNAWEFALTRKFQGGDAVKGTYHASTKLLGLEWSRDSKAGGSFKVATTFDLSDQSKAPKLIAESTWNYEI >Dexi4B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:4B:10742717:10746201:1 gene:Dexi4B01G0012750 transcript:Dexi4B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAWWEALVAAAAVNGGATGSSTTVVSICVFTTVLCVCLVAGHLLEENKWVNESITALIIGCIIGAIIFLLTKGKNSHILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFMTIMSFGVFGVFISVAIVSAGCYWLFPKVGFGNLDAVDYLALGAIFSSTDTVCTLQIGLSTAYVLKALYLGRHSTDREVALMALMAYLSYMLAEFTFSGETSDPVHAAIITSTIIVVFFTTLVFGFLTRPLISAMLPRHSRAPSRGHSTGTNSPKDDFTLPFFSTDEDASGSGIVLEQAKRSISMLLERPVHTVHIYWRKFDDKFMRPIFGGPQSH >Dexi2A01G0032910.1:cds pep primary_assembly:Fonio_CM05836:2A:43225923:43229529:1 gene:Dexi2A01G0032910 transcript:Dexi2A01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLKALAYGAGGVAVAGLAALVALQERLVYVPVLPGLARAYPITPARLRLAYEDVWLRAADGVRLHSWFIPHSPTCRGPTILFFQENAGNIAHRLEFVRLMMQRLQCNVFMLSYRGYGESDGYPSQKGITYDAQAALDHLAQRKDIDTSRIVIFGRSLGGAVGAVLAKNNPDKAAALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIVGEFWSIS >Dexi8A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:8A:1975639:1978954:1 gene:Dexi8A01G0002940 transcript:Dexi8A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADEAASSIVPAAAAQRTSTSFENAVPQHLLDEALQVLLQQGHHAVAQRVLLFLDQRHLHDAMRLLTDEHRGGADTAEFHARLDDSVHMMLDLDDDDDDPETFRDFRVLVLMVAREMAGFRDYGELPELDTFGVVPIPTSATAAVAGLEKRSFGGGGAECSICFEDFVDGVEVSVMPCPSRGHQFHTGCIAMWLGISSMCPLCRHALDSGAPAGLLAPGRGTLAAQFVARLGRLPGSFAQAINGEGGEIKR >Dexi2A01G0028640.1:cds pep primary_assembly:Fonio_CM05836:2A:39869919:39873225:1 gene:Dexi2A01G0028640 transcript:Dexi2A01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCQYGLDSKLLRALTSGDEAVVEELLMTRHGDGHSRKGGLLLISVQLDAATTDAAAPPRQGEEGPSGLHGVTTSGSTALHLVASRGHAGLARRVCELAPSLVAARDGCLETPLHHAAKAGHREVAACLLSAMRRADADATLRATNRLGATALYEAVRNGHAETVELLATEAPELAALTTDDGVSPLYLAAMTGSAKMVRALLRRAPVTLGTVGDHVHLHSRMSRITPATDEDHHKDIDGITATTTIASVLIATVTFAAVFTVPGGYVADDHPRAAGTAVLARRFAFRAFVASDALAFLCSIVATCFLVYGGARQVPPAQRRLYQWPASGLLPPAAQLMVAAFAFGVHAVLGEANRWLVTLIYVLALAAVLLCFPGIWAPLYVGKAIWRRAGWRGLINVHRRPASFQELFWLFTTSFLFKNLVRPLLAVLIAVAFLVSVALNIALPDY >Dexi7B01G0023800.1:cds pep primary_assembly:Fonio_CM05836:7B:28329264:28329744:1 gene:Dexi7B01G0023800 transcript:Dexi7B01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRNLQALPEPGDEAALLKPEPQNGDRPPRSVPRRRWPAELESTNVGPRARSHAGNRQVVPRREPVSGTTEECHDDENAAPPCRSSCGRKLPSLRNGKAAETSGISRQDASSAPVVCGGEAEAEADGSSETLRRLPERREAPPTGTSRSVPPWVQ >DexiUA01G0002650.1:cds pep primary_assembly:Fonio_CM05836:UA:5926492:5926929:-1 gene:DexiUA01G0002650 transcript:DexiUA01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNRFYCPSVNVERLWSMVPADKAAEAGADKAPLVDVTQFGYFKVLGKGMLPPKPIVVKAKLISKVAEKKIKAAGGAVVLTA >DexiUA01G0020330.1:cds pep primary_assembly:Fonio_CM05836:UA:42490684:42494176:1 gene:DexiUA01G0020330 transcript:DexiUA01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEGRIFVGGLSWQTDERKLEDAFGRFGKVVGAQIMLERHTKRHRGFGFVTFEDRRAVESAIKEMHGKELDGRNISVNKAEPKMSTDDTRYDSAGGRGEYRGGSGGRGDGPPPGNCFECGRPGHWVRDCPSAAGGRSGRFPSKFSGGSGGGRGDRFSGSDRYGDRYMDDRYDGGRYGYRDQVDTRDRYAGGRDRYANDRYPSGGDHFGADRYGGGQDRYAPSGYGRERERSYERDGVRGGGGYDRSGPRGGGSYDRDGPRGGMGGGYDRDGPRGGGPARYDSGGPARYDGGSYRERPGPYDRPSRGGGGRFDDRY >DexiUA01G0002520.1:cds pep primary_assembly:Fonio_CM05836:UA:5741460:5745521:-1 gene:DexiUA01G0002520 transcript:DexiUA01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSRSTPSNKTTGPFPSRGTRAKRACVAAKLKPPPLASWSLLATSSSSWAAKRARRARRRRRFPSWADLTYGPTGIIAEHALANDVSDYTSFRAVCRGWRRGTHDIHRPRGALDRRFYPRRWIMLREAKPPPGPDHHRRRFLNLDTGECVHKDLPELDGHHLLGATTEGLLVLLDKSTYVVLVLNPATRQVAELPSLDPLLSTEARETISEYGLAYTLKVTGVGLAGESTIALCFYYYSTTMLVLARHDIDA >Dexi3B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:3B:12512426:12515938:1 gene:Dexi3B01G0017030 transcript:Dexi3B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKAEVGVVARADGSALFEMGNTRVIAAVYGPREIQNKGQQVNNKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILKDLMPCSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYVEDSAGGPDVTVGILAKMDKVTLLQVLLENTKRLECQRG >Dexi1B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:1B:5048209:5048724:-1 gene:Dexi1B01G0006170 transcript:Dexi1B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPLRLCVGGSRRAPRPLLHGQDELADNELHSAFRHHLACCSLSLPVVRSASRPHGRKPSSASLAAQAHPFSSSMAWTRLDLGRAHLRGRYLGGSGGLVVSGSGGRLTVDEGHESTPSVWRSIRWMGDVAGWLSMKGKSPPVLQLGRRRWRLALVAAATVLSGIELDSW >Dexi1A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:1A:5277299:5279007:1 gene:Dexi1A01G0006870 transcript:Dexi1A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAYYLFLALLLPLLLLTLLKKRHHGGGGGHGVRLPPGPWRLPVIGSLHHLIGKPLTHRALADLARRLDDAPLMYLKLGEVPVVVATSRDAAREVMRAHDVAFATRPSSPTIRIMMQEGQGLVFAPYGDLWRQLRRISVLELLSARRHEEQRAMAAANGTDKEGEEEEEDLVDVLLRVQKDGGLDVPLTMGIIKALILDLFSAGSETSATTLQWAMAELMRNPEVMKKAQAELRGTLNGKPKVTEDDLAQMKYMKLIIKETLRLHPAAPLLLPREARESCKVLGYDVPKGTTVLVNAWAIGRDPRYWDDPEDFKPERFECGTIDFKGLDFEFIPFGAGRRICPGMVFAQSNIELALAALLYHFDWELTDGLKPSDLDMTEDVGLTVRKKSDLLLHPIIRVPL >Dexi1B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:1B:983166:988072:1 gene:Dexi1B01G0001270 transcript:Dexi1B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQCFLPPSPHAAAASSSQRRRARSQRSGWSRGMLKETYRTGEDRWKSDEPPSLYIFINSSTCDLASIEKYVDKFATSVPALLFNLELDTLSASAPAGSMPSSSPASASEVSTEWLEPRVEQRDGGYWVLKEKYRTGLNP >Dexi7B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:7B:2050579:2052913:-1 gene:Dexi7B01G0001120 transcript:Dexi7B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAEGETVRASHILIKHEGSRRKASWKDPDGRVISATTRADAAARLLDLRNQILAGQANFADLAARHSDCSSARRGGDLGTFGRRQMQKPFEDATFALKVGELSDLMDTDSGVHIILRTA >Dexi2B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:2B:22355905:22359017:1 gene:Dexi2B01G0013580 transcript:Dexi2B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAERQLVIAVEGTAALGPYWSTIIGDYVEKIVRSFCANEVPGQKLAGAPPELALVVFHTHGPYSGMPFSFSVQRSGWTKDIDAFLSWLSRISFNGGGFSEASTCEGLAEALTVLKFLCKLQILQGSPNSTQSHQNHEAQKHCILVAASNPYPLPTPVYCSQNTDLKENTESSKEPSIADAETVAKSFAQCSVSLSVISPKQLPTLRAIYNAGKRNPRATDPSVDHAKNPYFLVLLSENFMEARTALSRPLHGNLAPNQTVTKMDTAPAVTMAGPTTNANPSG >Dexi9A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:9A:3237337:3238561:1 gene:Dexi9A01G0005820 transcript:Dexi9A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAAAAQPATVVLAVNGRRYEAAGVEPSTTLLEFLRTQTPVRGPKLGCGEAAVPWILGSGAAVREDTAATLLGPGPGGARKLQPGRHVQTLLHGES >Dexi4B01G0021360.1:cds pep primary_assembly:Fonio_CM05836:4B:23347333:23349075:-1 gene:Dexi4B01G0021360 transcript:Dexi4B01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEYAEGKIHLPSSKYCGGSSSRLRFFLAAFFLLALGDALSPSLVAGAHLRPDYYADVCPDLESISVAHSPVAAPATLRLLFHDCAVRVRTYVLFFGCDASIMLINPDGDDEWRSLDGMTLKPEGFHTVMNAKAAVDSDPRCRNVVSCADILSLAARDSVFLSGGPDYQVELGRYDGRVSTGRSVVIPHGSFNLDQLNSFFSGLNLSQSCCIIPVILSVANGRSCVSLTWHHTALQAGAHTIGAASCGFFGYRMSTDTAMDPAFAEELRGSCPAMDAGGFAFLDAATPLRFDNEYYRNLRAGRGLLASDQALYADARSRADVDRYAADEEAFFGDFAAAMTRLGRVRVRTADDGEIRRDCRFPN >Dexi2B01G0024150.1:cds pep primary_assembly:Fonio_CM05836:2B:33592719:33594866:1 gene:Dexi2B01G0024150 transcript:Dexi2B01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFFLVASMPIIQVLLIGVVGAYLSSGFSNVLTTSARRDMNKVVFTVFTPSLMFASLAKTVTLEDVISWWFMPVNIAITFIVGGVLGWIACNILKPPKHFRGLIMSFCSAGNLGNLLLIIVPAVCDEDGNPFSKDRSICRSRGLSYSSLSMALGGLFIWTYTYSLMQKSGKLYHRMQSKSIQCPADSDGEHSAQDTEFAKDGPAAYNDEEAPLPTSVGTDEHTNENPMETPLLSCESDVSDKGFWTNLKDTVHQFVEELMAPPTISALIGFVVGLVPWLKSLIIGDGAPLKVLQDSLQLMGDGTIPCITLILGGNLTQGLRKSGLKRSVIITIVCIRFVILPLIGIAVVHAAYGVGFLSQDPLYRYVLMVQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLVAAVALTTWSTIFMSILS >Dexi2A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:2A:15026175:15028617:1 gene:Dexi2A01G0012850 transcript:Dexi2A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVVCISSLLIISISIYVFQLLANRRSRLPPGPRPLPFIGNLLDVVGELPHRSLARIAGRHGPLMTVRLGSQVIIVASSPSTAREVLQTHNRSLTGRSPPDAWLALGHAANSVFVLPPGRRWRALRRIGTEHLLSARQLDGSRLRPLLREATLDLLRRVLGFVEAGSPVEVGRASFAAVMDLQWRAMFSAGLDDAEERALHDAAREAVAVSLSPNVSDFFPALAAADLQGERRLFGRRLAMVYQLVDEQMERRMRGQREAGVGRSGEKDLLDVMLDMSLEQAKDAGAATINRDVIRTFLTDIFLASVDTIASTIEWAMAELLQHPDAMSKLQEELRKVLGSNAHVEQSDVDRLPYLRAVVKETLRLHPVVPLVPNKAEETVEIQGHTVPKGCTVLVSLWAVHRDVGAWPEPDRFIPERFLPRLEETGFLGTTEFDFIPFSAGHRVCLGLPLATRMVHAMLGSLLHRFEWTLPQEVKENVVDMSESLGLTMIMATPLQAIAKSV >Dexi9B01G0035910.1:cds pep primary_assembly:Fonio_CM05836:9B:37495195:37498482:1 gene:Dexi9B01G0035910 transcript:Dexi9B01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVECVAAAGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLSKAMDLTGLLCAVMLDTKGPEIRTGFLKDAKPVKLIQGKDITITTDYSIKGDENMISMSYQKIAVDLKPGSTILCADGTITLTVLSCDPAQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLQLVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSKICLQAESHTDYGAVFKLISNAAPIPMSPLESLASSAVRTANISKASLILVLTRGGTTARLVAKYRPGIPVISAVVPEMKTDDNFNWTCSDERPARHSMIVRGLIPMLSAATAKASDTEATEEAIGFAIDHAKKLKICKSGDSVVALHRIGASSVIKILTVS >Dexi3A01G0031610.1:cds pep primary_assembly:Fonio_CM05836:3A:36019348:36019985:-1 gene:Dexi3A01G0031610 transcript:Dexi3A01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGLPPPRPPPPADCAVERRRLLDRAVQRRRRRRVAALYAELRSMLPSLPTTTRRVTMEEILVAAAARVKALEDTAATLEAYRAAQPRRTGRDVAVCPATVTVSARLLEPAGGALLRRVLEAFERRGARVLVATMARHGGGVGAGDVVDVTVTANAAAPEDVEMIRADIARIN >Dexi9A01G0047470.1:cds pep primary_assembly:Fonio_CM05836:9A:50556826:50563150:-1 gene:Dexi9A01G0047470 transcript:Dexi9A01G0047470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFPSLPLPEAAAAAAHAALLALASLLLLLRAARSLASRCASCLKPSPPPRRVVARAAADGGGGLAAAAAGAWHRSVLACCAFALLLQVGTLSYEVAVGGSRVAAGSLLLPAVQAVAWAALLALALQARALGWPRFPALVRVWWVVSFALCVGIAYDDSRRLIGDEATTVDYAHMVANFASVPALGFLCLVGVMGSTGLELVFMDDMHEPLLLGRQLRDAEEEPGCLRVTPYGDAGILSLATLSWLSPLLSVGAQRPLELADIPLLAHKDRAKSCYKAMSSHYERQRLEHPDREPSLTWAILKSFWREAAVNGAFAAVNTIVSYVGPYLISYFVDYLSGNVAFPHEGYILASIFFVAKLLETLTARQWYLGVDIMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGFAMVSTLIATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLQLEEMRNVRISGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIAACSLKKDLELLQYGDQTVIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALATKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDADTVSSSIPDKRLTPSISNIDNLKNKMGENGQPSSARGIKEKKKKDEQKKKRTVQEEERERGRVSLNVYLSYMGEAYKDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPTEAPLIIENRRPSLSWPENGNIELIDLKVRYKDDLPLVLHGVSCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERADQEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKVAEFDTPQRLLEDKSSMFMQLVSEYSTRASCI >Dexi1B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:1B:9665658:9666143:-1 gene:Dexi1B01G0010330 transcript:Dexi1B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGPTAAASDALESAAGGGGYRVCDTVVLVCLACASALIVLTVAVCFRRAFLANGYAAAAAAANGAGSSAAAGRNLCGLPPSALSAIPVLAYRRGAAAAGAGWAQCAICLAVVRDGETVRLLPACGHLFHVECIDLWLRSHATCPLCRRDVGEAAAEKV >Dexi5B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:5B:11040952:11043002:-1 gene:Dexi5B01G0014550 transcript:Dexi5B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPVSKKEQPKQVVAISLNELKKITRNFSNDTLVGMGSHARVFLGERKDGRKYAVKMLDHTKLAVKELEKDFLLKVMFSPFQDWSTTMLFSFLAIVSKGMLMIAGKNGAGGAQPRQPLSWVQRVNIALNIAEGLEYIHKKAEPCFIHRIIKPSNILLFENNVAKIIGDTGVFKSDLYDMIHPDPLYYHTLPPEFKFTSYYAPEASDHTKPRGQQILTLWATPIIRRGMVHQYADPRLGGEYPPRDVSKVILLMMHSNLF >Dexi7A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:7A:22356148:22358693:-1 gene:Dexi7A01G0012020 transcript:Dexi7A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWRKAWLSVLDRAGGGSGGSSGSLSLQAQQLQGLHSSSSSSSSLASYKRGGKHGSGKAVAGCFCAALALALAFFYVSVTGGPGAADDSFASPAAAATSSSSRIFLSWAWLSSSNSSATSSAAARKSLLPHPHIPPAATADVAAAVEQSDAGNATVGAAPRRVQGSAAGDSSSSEFSNGTVDSDAEPQVEAATPMLRWRRTETDGASSSNSAVVHDAPGQRNTDVAAGNATVGAGNSSREEETKSIAVDNVQNSAGQVALLPSRREQKEDKHRRNRRSVRHRRPRRRKGVVLPAQDLVAERDGETAVVTAAMSVVDDMAGVNTTSMVIDGTGNGMAAGVMNASTGVVGAGDNWIVWTSGVQDLVSFAKCDVFNGRWVRDESYGFYPPKSCALIDDDFNCHKNGRLDSDYLKWRWQPQGCDIPRLNATEFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRNVHSASGRNQFKTRGYYSFKFREYNCSVDFIRSIFLVKEMIREGINGTEDAKLKLDELDATTPAYRTANIVVFNTGHWWTHDKTSRGLNYYQEGNHVYRSLEVMDAYRRALTTWARWVDKNIDSRRTQIVFRGYSLAHFRGGQWNSGGRCHGETEPIFNQTYLTEYPEKMVILEQVLRQMKTPVIYLNISALTDYRKDGHPSVYRKWYATEEERMAAVKQQDCSHWCLPGVPDTWNELLYASLLQAGKGSWKL >Dexi2B01G0026750.1:cds pep primary_assembly:Fonio_CM05836:2B:35862894:35868323:1 gene:Dexi2B01G0026750 transcript:Dexi2B01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAAAPTLRHSLRRLPFSSSSVSDPLAGAARFLATSSERRLHAPASSRPFGTAAACGSCSGAAVTERPAFASRGLATMAEGNSRFGHVLTSLPKPGGGEYGKYYSLPALNDPRIERLPYSIRYLLESAIRNCDGFQITEKDVENIIDWENTAPKLVEIPFKPARVLLQDFTGVPAIVDLASMRDAMARLGDDPGKIDPLIPVDLVIDHSVQADVVRSENALQANMQREFDRNKERFAFLRWGSMAFNNMLIVPPGSGIVHQVNLEYLGRVVLDTNGILYPDSVLGTDSHTTMIDGMGVAGWGVGGIEAEATMLGQPMSMVLPSVVGFKLSGKLRDGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELAIANRATIANMSPEYGATMGFFPVDHVTLGYLKLTGRSDEKVEMVEAYLRANNIFVDYNKTQTERIYSSYLELDLADVEPCVSGPKRPHDRVALKDMKADWHACLGNKVGFKGFGVPKEQHDKVVKFAFHGQPGEIRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVNPWIKTSLAPGSGAVTKYLQKSGLQKYLDHLGFNLIGYGCTTCIGNSGDLDEDVAKAITDNDIIAAAVLSGNRNFEGRIHALVRANYLASPPLVVAYALAGTVDIDFEKEPIGKGKDGKDVYFKDIWPSNEEIAEVEQSSVLPDMFRSTYEAITQGNPMWNQLSVPKAKRFPWDPNSTYIHDPPFFKDITPTPPGPQSVENAYCLLKFGDSITTDHISPAGSIPRDSPAGKYLLERGVQPKDFNSYGSRRGNDEVMARGTFANIRIVNRLLNGEVGPKTVHVPTGEKLFVFDAAMRYKADGYHTIVLAGEEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGVIPLCFKPGEDADSLGLTGHERYTIRLPSNVSEIQPGQDVQVVTDNGKSFTCKLRIDTLVELAYFNHGGILHYVLRNLVKRQQ >Dexi5A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:5A:12453274:12457301:1 gene:Dexi5A01G0015090 transcript:Dexi5A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAARLLRRPSSSNRQQLSFAILLVFLVQSWCCYAYAGAVGYREQEGDRVRFLPGQPPSPPVSQFAGYVTVNERNGRALFYWFFEAQTSPAQKPLLLWLNGGPGCSSIGYGAASELGPLRSPVGVGFSYTNTSSDLTKLDDAFVAEDAYNFLVNWLKRFPQYKGREFYISGESYAGHYVPQLAELVYDRNKDRTSTYINLKGFMVGNPLTDDYYDSKGLAEYAWSHSVVSDEVYERIKKVCNFRISNWTDDCDKAMSIVFSQYHEIDIYNIYAPRCNLPQSSTAPFVDQALSANNHEHFRRRIRMFSGYDPCYSSYAEKYFNKEDVQRAFHANVSGSRKYQVCR >Dexi9B01G0028980.1:cds pep primary_assembly:Fonio_CM05836:9B:31564389:31582363:1 gene:Dexi9B01G0028980 transcript:Dexi9B01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVDQAVAVAPQEAQLQLGPQEQLALLMARPHVQGLHSQCSPHVHVVCSRCCPPPPPPPLADAHRQSMPPGHGTAHPHLSSHPMSTLRRPPRCFPIDYAECSIQGVSRGAVARAYLYRGAPSASSRAARRLRARTRHASRDRADRWADTQARAACPPTPAHRRLHPRSHPHHAPPSDFRSTTSSLHHAAHARMLVSGIVPTASYARCADAARARRVFDAMPHWDTVSRHRHVRFAVRDAMPDPNVVLWNTLVSSHCQRGMFRESPPDRTAFAVLLKVCSGLEDLALGVQIHGLAVKTGLEADYTASQAHRPTLAPTTQPSNPTPVSPASPLTSEYIATGERKKPGEIIPLQRRRFRIAGATRNKKPQRSHAPPANPSSTAKHRRRKNPRPRMTRLRTRSDDGGKQGPEAWTAAAVSARPNLKIPHPTPPPPPPPPPPPPLLFVKDKPMSVSAMLASMDAPAAKGKPSKSAAPSKAKAKPSKAPASSYMGDIDLPPSDEEDEEEVAAAAAKPKPSRATAVDLSAGVASQKDAKKKDKREAMAAAAAEAARQEALRDDRDAFSVVIGARVPGSASADDGAVDDNVKDIVLENFSVSARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRNIDVLLVEQEIIGDDRSALEAVVAADEELTALRAEQARLEASNNADDNERLVEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTKRKEMNRKFEVYEKQMKAARKSGSKAAQDKVKGQALSKAAKEAAKNKGKGKSAADDDDDQNQVVVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPGRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVVLGDDGRKAADQRGSGEMEKKTTLTCGGYLANRRSLISSGKTGIKGEVEERTRRASRVQHEFENVLGRRNSNAFGYKAIRVRRSSATAGTEAVIQTTNRQLHGATGQKYLAIFPVPQCTMNATNSHDGPCEECPGRPAPPDTPAPPLRRRRFPRQWRDPVAANEHAARMDPNILHLRGMPSFIRKRIVHAPASPSNHSLPPPIPSVPLSFNTRRILLSPHSLTRHARAQHIQAGIMALAAGVFYLLFVSSLLLTTMAATPYPHGGHGGDPLLGASKKYEGSSDLVDLRYHMGPVLTAAPLRLYVLWYGRWDPTHQSPLRDFLLSISDPSPPHPSVADWWATAALYGDQTLANVTRRVALAGEATDASASLGASLTRLDIQRVLAAAVAAGDLPADTRGGAYLVLTAPGVNVQDFCRAVCGFHYFTFPSLVGHTLPYAWVGHSGGRCADVCAYPFALPSYMSRRGGVAALRPPNGDAGVDGMLATNPLVNAWYAGEDPTAPTEIADLCEGVYGTGGGGGYAGKVEVDKQGRSWNVNGRKGRKFLVQWLWSPEAKACACRGKSAQMSESVSDLDSEQKVHTIHKINANHQKEKKSPVLAFLATRRLKTERNRSVVDMPQPQGRAGAPPSVAGKQKHLADVHALAERLNAIDVGVDGKPNAFDGGKPAADSMAVDEVVPRMGRVNKAVIPGRITVVTLTGRAIEVGAWPTDTVGRIKERVAEQEGIPPEQQRLILGGKQLADDKTVRESNITPGTVIHLVLALRGGRFNCVASVSDHWHHRHRLTDATLTDSRTVTPEQPRTAPSCSPAPHPHYSDTIVVLESDANGVPNELYEAAHLYLGARCLVRPVPARRRRPSWRRCRTTHTARDTFRGVPVARASGNGGTTVYSPASIGRRRRGFGMGWQQQQRCLRLELPRRHRDVVRGDYIAHVLAVAATPKCTAAPAAAGWTSTRCCGRRTRSATRPHVRHARFVDGLKTVIQSTHPSSSTLVGVLNFVDGLWSSYCKIELGYGKRHALRVLANNYFGADDEDDHEPADGDTATGSRLFEEVHLTPADIAEVFMGCDGDGPHAALRKLVDDLNTKRIAQKCKESV >Dexi5B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:5B:13685535:13687094:-1 gene:Dexi5B01G0016100 transcript:Dexi5B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTSASRLPAALSAEHTAARSHLRPPPVFLPLHGHRAPRLGTAATWRRFRAEAMKAQREKEQTEVAVVEESFPVRETAPLDGADDLMEPTDDSWVVKLEQSVNIFLTRS >Dexi8A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:8A:3236089:3236331:1 gene:Dexi8A01G0004100 transcript:Dexi8A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGGRDAAARRGEGARRRRDSLVSRRRARRRRGINDGNPWRGPRSEEVVAAQWDSKEDAGGGRWRAGGFCLFVSDPEI >Dexi2A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:2A:31072773:31075520:1 gene:Dexi2A01G0018940 transcript:Dexi2A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSAQFATGLRPAPAGVRSYRIGSAACFSPVCLRGLLSHPSASRVPSVSFRQRPPASPFAGRSRLPSAERSSGDHGENDLLLRFYFAPAPGKMGYGAAVERFVKLMAMVWAGSQVTNILRAGGALALAPFVDRGLRWFTVKFNFKSEGRVIY >Dexi2B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:2B:8766353:8767204:-1 gene:Dexi2B01G0008570 transcript:Dexi2B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGFDLHELSAATSNFADENKLGRGGFGPVYRGYLRDLDLDVAIKVLIVLDIGSGLLYLHRDCEKCIVHGDIKPANVMLDVSHNAKLGDFGLARLVEHGGEPKTTQVVAGTLGYIDPEFINNRWPHTESDVYSFRIVLLEIACGKRPASRQPNGASSLLAWVHNLYSQT >Dexi3B01G0024450.1:cds pep primary_assembly:Fonio_CM05836:3B:19085296:19087783:1 gene:Dexi3B01G0024450 transcript:Dexi3B01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Dexi3A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:3A:8165826:8166470:1 gene:Dexi3A01G0011420 transcript:Dexi3A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLDGLPVHFPYVAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPPAASRSLLALGLSSRKNLCVHPQALVVS >Dexi2B01G0033440.1:cds pep primary_assembly:Fonio_CM05836:2B:41001258:41004201:1 gene:Dexi2B01G0033440 transcript:Dexi2B01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKLKKGKFLCDRAPLLGIDAPYTDLAVVERCLLRRELPLRAATVHCRERPDSEPENANPARVRRWEFGDHNAARSPFDLQSGQQREGTKVADSLLLACSACLTAAGLGSWDGWARNVVPGPHVSDCPRQS >DexiUA01G0017530.1:cds pep primary_assembly:Fonio_CM05836:UA:36827462:36827819:1 gene:DexiUA01G0017530 transcript:DexiUA01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFQHCWDKLRVLKLSRCTFNFQSPPFICCHSLRFLWLNHCQGTQTSTDRGARKEEDVRRCFQRLWVLDVRHTRCDQILSAKMLDLMTQLRELNLNIGTWASCRDDCPTSASSE >Dexi1A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:1A:27418009:27420349:-1 gene:Dexi1A01G0020550 transcript:Dexi1A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRRRRSMSWASPSSSSSSSSYPEPRPSHQLLQWKFSQVLGELPPESGGHRNGLAALQVQDDEDEISAIEFDGGGEYLAAGDNAGRVIIFRRTDGDDAGLHAWPRAELERADFAGAPPPRYGYATEFQSHQPEFDVLNSLEISEKIKKIRWCARPNNRSLCMLATNDRTVKLWKVTEEHKAPKKGRREPPRWSTAALPLKEPFAERLATKRRGSSADFAERIEKVGDVGDGYSAKCRRVFDRAHEFNINSISNNCDGETFVSADDLRINLWHLEVTSQCFNIVDLKPKDMEDLVEVITTAEFHPSSCSLLAYGSSRGLLRLVDLRRSALCDKSFTDEGRYLLTRDYMNLKLWDLRVETSPVATYKVQESLRPKLAELYTEDYIFDRFSCCASKDGSSFATGSYSFLPYSCTDH >Dexi4B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:4B:2975160:2977413:-1 gene:Dexi4B01G0004140 transcript:Dexi4B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGDRTAALVALLCVSWAAAVAAQKYNAIFNFGDSITDTGNLCTNGKPSQITFTQPPYGETYFGTPTCRCCDGRVIVDFLSNKFGLPFLPPSKSTTADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISYQLQWFQQVTSSVCGTDCKSYLGNSLFVFGEFGGNDYNAMLFGNYNTDQASTYTPQIVSTIASGVEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNSGDYDNLGCLKKFNDLSTNHNNQLQSQLSTLQAKYKSARIMYADFYSGVYDMVKNPGSYGQFTFSFFFPPREYSIASLVRESSRAMAAVVVFWPRLDRACGRIGERGLVADARAQGFSTAFETCCGSGGGKFNYQNSARCGMSGASACSNPAAHLSWDGIHLTEAAYKQITDGWLNGAYCHPAILHS >Dexi5B01G0028210.1:cds pep primary_assembly:Fonio_CM05836:5B:29630686:29633941:1 gene:Dexi5B01G0028210 transcript:Dexi5B01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAKAPRLWKPEAQSSRLPDKAAVSLPRPAAAHRSRGSERGGRSMATSTEREREVCRLLPCSDGDQKGFQDFISYLKNRECAGVIRIPAVNSMWTRLLFILPPTSEACGMLALPPHPSDCMIVVILPRETTVEAT >Dexi2A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:2A:30691988:30693028:1 gene:Dexi2A01G0018500 transcript:Dexi2A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDAWKALFDLAGGIIREYDQEAVVSLSKFIDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSVDAIDDTDIVEDVLRPRVDSIGGQIKKVILSGTHLTPCIQDLKWQVGSEYTPADGIAQGLKSLALNETRVLSRTISDWFRSL >Dexi2A01G0037550.1:cds pep primary_assembly:Fonio_CM05836:2A:47083529:47084123:-1 gene:Dexi2A01G0037550 transcript:Dexi2A01G0037550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGATAARALKTVLQGILMSSDGEKINSMNLLMYMAPIAVVLLVPATIFMEDNVVAITMELAKDLNIVWYLLVNSSLAYFVNLTNFLVTKHTSALTLQVLDNAKGAVAVVISILIFRNPVSVTRMLGYTLTVIG >Dexi8B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:8B:1812591:1813026:1 gene:Dexi8B01G0002590 transcript:Dexi8B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAASLVLLLICFVFHCVTAARTMPAAAAVPPLLLHQENGVKVQVLAANDGLVLDSTAAVGSSGHELSSVAEEADQEPACQDQEDDECMQRRLLRDAHLDYIYTQHKDNP >Dexi9A01G0036330.1:cds pep primary_assembly:Fonio_CM05836:9A:40793678:40805664:-1 gene:Dexi9A01G0036330 transcript:Dexi9A01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPASSLECVSSCRAGSWKGGGRPYECSVLSCAWNAPRALTGALASTAQCSSCGHAEAGGGWRRRGRSRRSNNSVRSVSVIRTMELDWESIAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCNEGSSKQDIKAEDLRQMFLAMTEEVRVVIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVNPIGFAELRKRVEDLYKAHEQELEEANRILRQKIAEDQFLDLICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSKGKVICLNNTGFALRVLYVLYYNHTDVFTAYSTVVDYAYLIHTEIGNKMIAAKVNGNLVSPIHVLANAEVVEIITYDKLSSKYAFQRHQQWLHHAKTRSARHKIMKFLREQAALSAAEITAEAVNNFVADLEDESDRMMAEVTSALTACGITICSCVAEVNKRRGMGVVLFHFEGSYENVVSACSSVDLILGVLGWSVGCSWCPMGVLEC >DexiUA01G0010940.1:cds pep primary_assembly:Fonio_CM05836:UA:21894252:21896417:1 gene:DexiUA01G0010940 transcript:DexiUA01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPQNTTSTHRSTFVRDTHQLDIVGYSVRKELGVSNSVRSCAFEAGGHTWDLVCHFQRHGLESISLELLLSPSITTRDVVAMAGLRINDPTGRSPAAIWRSHEAHTFYAHSSTTWKLSLPDAFREERYVHDDRLRVHCTVDVLEDSTTLMPPETRNRFISAPPPPSISHDLHRLLLVADDARWPPPDVTFVVEKTAEIHAHKLVLSMRSPVFRALFHGSMKERFTRSVTIDDMAASTFRAMLRFIYTDELPIKPKGVTSQEECRSKHLARRRVAMARDLLVAADRYGLERLRLMCENILSESLDATTVMATLTLVDGRYSCRQLEDSCIAFMASTFADVVATPEYQELKGNSVSFVADIMERVALHKLAAGNCPSSSTSSAMAKKSSSTYTSMARGTHEFTVPNISTVLTFHVPDPDDKSWPPATMKKINVVYSKDNMAWGPQGLSLITLASAKAKSQHVGHDGSLTIRCDIQITNPESRGSSSTAVGGGGTIPVPPSNIAWHLEQLLASEQGSDIKFMLEGTTVVHAHRLVLAARSPDLYDQAAASLAGGTDVDEHVRIDDMTAGVFKAVLHFIYTDQLPCSGALRDGDMAMAGEVLEVAGRYRLERLMVMCQNILAKSISAENALGMLKLAERLRCKELEDYCLDYIASSQQIATQVMKSFGSLVN >Dexi1A01G0032330.1:cds pep primary_assembly:Fonio_CM05836:1A:36940380:36941348:-1 gene:Dexi1A01G0032330 transcript:Dexi1A01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRPLLAAAVLLAAAVLASASSKPQPPPCSRCGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKVGFSAKCPYEMAMATMTQGMDMAIMLSQLGSQKLEL >Dexi6B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:6B:18519898:18520301:-1 gene:Dexi6B01G0011320 transcript:Dexi6B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSPACLKKKRDGSRHADDSSKLASKKVLIGGSIEARLEMGSSWHGGAFSWFVAATTGQRVGVCTTVWERMLWEENKAGWIDVEKDAGSVADGSVVLVERFLVQRMDRSVVVAFDFVRHNATKEY >Dexi9B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:9B:11015002:11015197:1 gene:Dexi9B01G0016080 transcript:Dexi9B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFDVRISSNPSSSCHLFTRRMVVRSVVLFLDLAAVVR >Dexi5B01G0025370.1:cds pep primary_assembly:Fonio_CM05836:5B:27412041:27413501:1 gene:Dexi5B01G0025370 transcript:Dexi5B01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVTRHTNNHLTNPFGEPQSSPPIGDGEASMRGGYSGFSGAQHTTASSSSSSSSSNGRGYNGNPCLTMHQPWASLLVHGIKHVEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVDGITEITFPDHYPVSRLLGCVQVVGCLRSQELVCWEDVPESKLVVPFEMRGYQGVYNLERRIYDGAVRGLTPVQGPLPVKFPLPDPRDHFSLKPGSLTFDASKTAVQKSASVTAATAGARAAATQFSKKDGNNAATSSGNRADSSSGNGNLLSIVQGSPAYLQNQKHPSIFWSDAGYSQNPNAEPRRSPRQEYGASNRVSIFFLCSSYFEFSWKNVT >Dexi2A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:2A:1212402:1215214:-1 gene:Dexi2A01G0001710 transcript:Dexi2A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSHPATPLAELRGRCDDVPPLARFPQPSRRPPATALVAAVQLPVVPFLSAASRRVCFAAGQTMLLLTYYRHYCRASIFRQHIL >Dexi9B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:9B:9260616:9260954:1 gene:Dexi9B01G0013810 transcript:Dexi9B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNTPPAECPATAPAKSLRRSTRLADQPLNSTVRASKKGEVLLMRRLGLHAKDDHRGSERSKELASIFKGPLDDHYFAALRDIIPAARALSDTDILDAAALASGDAISVC >Dexi4B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:4B:22350408:22351819:-1 gene:Dexi4B01G0020130 transcript:Dexi4B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASLALLDRTPLPSPATLGNSDRLSQEIFSILESNFLFGASPMEAPYSSSAGRVRVLSIDGGADGGLLAAAALARLERKLQDLSGNPEARVADFFDLAAGSGAGGFLAAALFARRMPADEARDVVVKNRKVLSGHRGGGMLFRRSPESVFRKVFGELTVRDSTKPLLIPCYDMATAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAPGSGGGGASAAVANPTAVAVTHVLHNKREFPFAAGAGDLVVLSLGGSAAASPAASLLRPSSSSLLRIAGACQADMVDQAVSMAFGENRGRNYIRIQGNGIAGGETTAEAALAERGVESVLFRGRMLMEKTNGERLDGVAEQLVREHHRRLESKTPVVLVKPSATPRTSSSSASTLITVSTNSSSSESP >DexiUA01G0004060.1:cds pep primary_assembly:Fonio_CM05836:UA:8049514:8050620:1 gene:DexiUA01G0004060 transcript:DexiUA01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDARGASAPWSELPTDALSEIAGHLHDAGDLIRFLAVCRRWREAPPPARSPTSFLPCLVEPCDSGVRLHSPFSTRRSSIRPLVSFSALRGKRIERSDASSGRALATGGRRTAELINPLNGDATALPPLPRSISSKWRSKSGVVSRGGVVVFHTEMHDELLAALLRPGELHWEEVDVTCSVGPRDVDTAFLDEHYRYAAALWSSTVVLPGAACAIAKPPQQPRPSHRYVVEFHGELLCVDVVLRRPHRFLPGGAVPDPESVSVQTMQVGDEGWPRWVERKHTGHLCLFLDWKGSFAVDAREFAGSAEVIGGCAYYFSWHPRWTASNQLYGVYRYSLQNGTATLVDELPTFFDRLPMWSMPRPRISWA >Dexi2B01G0027030.1:cds pep primary_assembly:Fonio_CM05836:2B:36004222:36005861:1 gene:Dexi2B01G0027030 transcript:Dexi2B01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPSAAAAAVADKAMAVPSLAKALDAYKTAVGTAASVTAYVVLARGMARELLPHDLRAAAHWAASFLRARLETPPPERRTLVIKRSVANPYHYADADDADGGGGRRGGSSGALYDEVREYLATRIDPHSMRRLCIGGRSKNSLSMEHGDSMTDVFEGVEFTWTSVEGRSHQENGGGYGSSGTEDSLELSFDAEHTDMALGSYVPFITATVEEKRRQDRALRIFLNEGAHWQGISHHHPATFDTLAMDPVLKQSVITDLDRFLKRRDYYRRIGKAWKRGYLLYGPPGTGKSSMVAAIANYLRFNLYDLDLSEVYSNSALQRLLNGTPNKCILVIEDIDCCFSTASRKEKDDSDYGDYRSGQANSERSVTLSGLLNFIDGLWSTSGEERIIIFTTNYKERLDSALLRPGRMDMHIYMGYCCYEAFKTLARNYHLIDDHMLFPEIQQLLSDVEVTPAEVSEMLLRSEEVDVALRVLAEFLREKKQATRERDSLLPHEDEVTGKEAEEGDM >Dexi5A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:5A:18279135:18284792:-1 gene:Dexi5A01G0016510 transcript:Dexi5A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETVEVIAQSVGIPSLGPDVAAALAPDVEYRLREIMQESIKCMRHAKRTVLTADDVESALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDAIVPPAENKRSEHGKDDGHPVDVKLPVKHVLSRELQMYFDKIAELTMSRSDTSLFKEALVSLAKDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVRSLLHNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVALIRLLLLPNLVTYMQLLEPELQLEKQKNEMKRKEAWRVYDKRKSSTDLSASQPPLKMAKDASVNSMAGNMQGAMDGFSTQLGNPGMMQASSSGQIVESIASAGIRRDQGSDLAQRVSTVLRQAWKEDQDAGHLLGSLYEVFGEGIFSFVQPPEISLFV >Dexi3B01G0030820.1:cds pep primary_assembly:Fonio_CM05836:3B:31030191:31031532:1 gene:Dexi3B01G0030820 transcript:Dexi3B01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRSRVCAARGKAATTSAEGPTAAMRSPATAMAPSRRTRSSPSTVTTSALRRMVSTVGASAAISVALLTASSAGRRHERPPYDGGEGDSAGGGVEYSERVE >Dexi9B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:9B:6491507:6492795:-1 gene:Dexi9B01G0010400 transcript:Dexi9B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRMSNRRGATGGDPGAAAGVEEDENNPRNAKKPRTDASSMASLLDKYRREFAVPFAINHDSNKEDYCSTTNDEADVNKSVEFYDFLQVNANSSDTKCGSSIEDQEESRDDQAEGQVQFIDFLEVGASHRQ >Dexi1B01G0026380.1:cds pep primary_assembly:Fonio_CM05836:1B:31264644:31266892:-1 gene:Dexi1B01G0026380 transcript:Dexi1B01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKMPAGLCWLLLGVIKETNVTRLCHEKTILAVNGQFPGPTIYARKDDVVVVNVYNQGNKNITIHWHGVDQPRNPWFDGPEYITQCPIRPGANFTYRIIFSEEEGTVWWHAHSDFDRATVHGAIVVHPKRGKTYPYPKPHKEIPIILGEWWNVDVEQLLLETRRTGSDVNISDANTINGQPGDLFPCSKNGTFRTVVEHGKTYLLRIINAGLTNEMFFGVAGHNLTVVGTDGRYLKPFTVESIMISPGQTMNALLVAGRATNGSANSRYYMAARTFATNTNLPFDSTTATAILEYADAPPSAGPPDFPTNLPAITDIAAATAYTAQLRSLVTEDHPIDVPAHVDEHMLVTIAVNLLPCGPGNQTCKGPGGNRLAASLNNVSFTTQPTDILDAYYASMPGVYTPDFPNRPPFFFNFTDSLPLNLTFTKPGTRVKVVEYGTVLEVVFQDTGLLGAENHPMHLHGFSFYVVGRGFGNFDGSKDPATYNLVDPPYQNTVSVPKAGWAAIRFRAANPGEN >Dexi9A01G0034560.1:cds pep primary_assembly:Fonio_CM05836:9A:39343170:39343572:1 gene:Dexi9A01G0034560 transcript:Dexi9A01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSSLAPPTGTRAQATRTGRLIFFFPGEAIGGDGDMSDNAVTVVRIIVAIILPPLGVFLKHGCKIEFWICLLLSFLAYLPGIIYAVWVIVKE >Dexi1A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:1A:21908674:21909179:1 gene:Dexi1A01G0015030 transcript:Dexi1A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTTEEQFRAEGKKLAAHLAEPDVEWTNLRKNEVYKMDGILLSCR >Dexi1A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:1A:25497991:25499502:1 gene:Dexi1A01G0018210 transcript:Dexi1A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGIVPAVGGDKQQQHQPHAVCVPFPAQGHVTPMVKLAKILHCKGFHITFVNTEYNHRRLIRTRGPSAVAGLPSFRFATIPDGLPESDADATQDPASLCDSTMRTCAPHLKRLLDVLDAPDSGVPPVTCVVADNVMSFSLDAAKEAGVPCALFWTASACGFMGYRHFQFLMDEGLAPLRDEQQLTNGYLDTPVGWARGMSKHMRLRDFPSFIYTMQRGDVLLDFMKREVERADAADAVILNTFDELEPAALDAMRAILPPVYTIGPLSLVLERLAVAAPDAGAALGTIRASLWKEDLTCVRWLDDRPARSVVYVNYGCITTMTNEEMVEFAWGLAGSGYDFLWIIRPDLVKGETAVLPPEFVEATNGRCLMASWCEQEAVLRHEAVGVFLTHAGWNSTTESLSAGVPMLCWPFFAEQQTNCRYACTEDEWGVGMEVAGDVRREALVARIREAMAGEKGKEMRRRAEEWKEAAVRATQPGGRALTNLDDMIRDVLLLPSKSS >Dexi5B01G0032470.1:cds pep primary_assembly:Fonio_CM05836:5B:33122151:33123678:1 gene:Dexi5B01G0032470 transcript:Dexi5B01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSTPATAVAVAAAAAVAVASSALERRRRRLEMRRFRATDLDASAAEEDLRAGKRQRLARTTSGPCLDAASDFERPPASLPEVRLPRYGVTSVCGRRREMEDAVSIRPDFLPGASSKHHFFGVFDGHGCSHVATMCQDRMHEVVADEHSNAGSCQETAWKGVMERSFARLDQQALGWATSRSGDEPACRCEQQMPSRCDHVGSTAVVAVVNPTHVVVANAGDSRAVLSRGGVPVPLSVDHKPDRPDELARIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVSSEPEVTVTERTDDDECLILASDGLWDVVTNEMACDVVRACFRSNGPPGPAARTNGVAPADADAEDGSAVVKGVSKADSDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGI >Dexi5A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:5A:9692197:9693004:1 gene:Dexi5A01G0012960 transcript:Dexi5A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHKSREEHARSAAQKAADELVAASRRDDDQISPRSGGGGGILSGVQESARSVMGAVRGTFSGGASAADKTAASAVMESAKDYAAEKKEGARLALAGDAVGRKGETDESAGQDVRRRAAEKAQEERRRTHEPSEEEKGRSATENIYGKARGAMGAFGEKMVMPTDVVEHKRAEVAGAGREAAAPAAGDGGDEAEEDVMLRVKAADQMTGQAFNDVGPMGGEGTGMPRRR >Dexi9A01G0036610.1:cds pep primary_assembly:Fonio_CM05836:9A:40991240:40992190:1 gene:Dexi9A01G0036610 transcript:Dexi9A01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKMAVASSVRPTLQNPSSTMVVEKVERLLKPADVAEAADEAVVGADGGLAPDLPHGVEHLHGLGDAAALAEAGNDGRERGDAGAEPARQHLVERELGLVGGALAAEALHGGGEGVGVGGDGHGAEQRDGRGVVARACQRREDVVVVGDGERRRVGVVTLQEVEHLHPLLHGQLHDPPREVPGVEARRPPAPPVAVAVAGRSAGARVEDLRRWCWISVEGAVPVVVKGAEELGVVVWHARAEGAAAGRGRALPWWGKDCRVVEARLERGATREEGSGAWSWWGGGSGREEWW >Dexi7B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:7B:19155542:19156612:-1 gene:Dexi7B01G0012350 transcript:Dexi7B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLAASSASEARRKRLKRLGSLMADAHGKVFSCVSNRAWIVGVGGDHRDTIIFDASNNNSSKKKNKKKKVIQGPKLNSAKWSPVLTTVGDKVYAMSKTSSWVSGRDSPPWFEVLDLSKAKIVKFDGKLHLEDCSWIPLPHPPCMPWELSPTGYIRMTIVILMSCVVVRPYILISFNRPWGTYAFDTNTQEPFQWHKVHKDNLSFIGCATPLGSIFLASSRKGPINAYRINVAPSDDEDNAPKLSITVLPVKYMEREVDVRPCFTSLDSESFCSLSLSVDKNSITRKCENGELFPEKVHINLKTYQIDNPSLLENRDETLLAVNPEVAVSSQQERTLNIADSTHGFSPVGFSLVSM >DexiUA01G0026870.1:cds pep primary_assembly:Fonio_CM05836:UA:57466675:57467378:-1 gene:DexiUA01G0026870 transcript:DexiUA01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRFLFLCMMACLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYAAEPLNACSPLIIKTGEGLSRPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSSNLPSHSCLFLLHFLNAICIAALCSLFIALQTRADVCYLPADAYALNK >Dexi9A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:9A:3561298:3561564:1 gene:Dexi9A01G0006340 transcript:Dexi9A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTALAIVLLVAVSATTIAVSSAHGDRALETTERVAILPPTSFVASPPTEEDEVDFDVSQEEAAADGPTAAGPDADWDGKTPVYGP >Dexi9A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:9A:13026803:13040094:1 gene:Dexi9A01G0017980 transcript:Dexi9A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEMEFIRRGTSQRPNSPSAANEHAPKEMEMEMEMVAGECRNGAPEAEPVSVNILLDRGKLGALKRREFFDNLLKRIEDDNLHFLQRQKERIDRRVLSAAGGLAQVLLYNISEYGMGYIMFFGLKSEKVKINILEGVSGIIKPCRLTLLLGPPGCGKSTLLRALAGKLDKSLKVQIVCEIRTTSAATGHVDGGGARHRTCHIYWCYQCGRALRIISYPSTDVFCSHCFGRFLHEIDASPRPAFPPPHFLPHPFHSQHHQFDGHARRWVIYDSDPSSIVLGRAFRQPAPSLSRTGNFMQKSIGNCIQVSGDISYNGYRLDEFVPEKTAAYISQYDLHIPEITVRETLDFSAQCQGVGNRAGNCSCSFREKPTDRIRFEASMPGWLSWGFWISPLTYAEISTAINEFLAPRWQKLILMKNGGKIIYSGPIGQQSCKVIEYFEQFPKWWIWLYYLAPTSWALNSLMTSQYRNIDKEFQAFGERKTVAVFLNEYFGFHQDRLGIAVAVITAFPIVLIALYCLSVEKMNFQKR >Dexi3A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:3A:725349:725993:-1 gene:Dexi3A01G0000990 transcript:Dexi3A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARVDFADPSDPDDHRRRCADPIPPSAQRNKLVDVDNPGNDQPGQGRGGRRFRGVRQRQWGKFAAEIRDPGLKKRVWLGTFDTAEEAAAVYDAAAIRLRGRRAITNFPAAPSSAALSSSAVLPGVLSPVGSTKIPPTPASPPSNESSSVVVDAGEEVTGLRWFENEPFELTEFCMPPAKSTRSEFGELGDLGDLFSPESTRSNFFGEKFRL >Dexi6B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:6B:6953725:6956920:-1 gene:Dexi6B01G0006350 transcript:Dexi6B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAAISAGIPPAMVVSSGDISVHSYRLSTVVPSSVTGEEVNYELTDSDLLHKLHYLRAVHVFRAPAPGMAALTIRELKEPMFPWLDLYFPVSGRLRRREEAADGEVADKAAAETGRPYVRCNDCGVRIVEATCDATVDEWMEAEAARGGQCKVLAYDKVIGPELFFSPLLYVQVTSFKCGGMALGFTWAHLIGDIPCAATCFTTWANILSGKKVVPAPTLRDPLTSPPPPGPLPTGVAVAPPSAVVKATAAPVGDHWAVPTTHDMVPFSFHVTEQQLKSLQLQLGGEPRHVGTFELVAALTWRALAGIRGPDEEDATRTVTIVKTTGDTATGGRLGLTNEHRIGHVIATGGMSPAVTDVAKLAALLAGVSLDEAGTVAATADAGDADVVVYGANLTLVDAERVDVYGLELGAWRPAHVEYAVDGVGDGGAVVVHRDGGGGKGRTVAGVVRRGEADRLRDALRVAV >Dexi9B01G0035740.1:cds pep primary_assembly:Fonio_CM05836:9B:37352370:37354498:1 gene:Dexi9B01G0035740 transcript:Dexi9B01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGASSSWLAAAASRVPWSLLAYGLLALALLRQAGRLLHRMWLRPRRLERALRAQGLRGTPYSPLARDLRENARMNREAWSKPLPLRCHDIVPRVAPFLCGLVREHGHGGGPARACLAWFGPVPRVVVTDPGVARDVLSNKFGHLEKPNFPALTKLLADGVAGLDGEKWVKHRRILNPAFHLDKLKGMLPAFSACCEELVGRWSGSVGSDGGWYELDVWPELQNLTGDVISRTAFGSSFLEGRRIFQLQAEQADRVVATIQKIIIPGYLHLPTKNNRRMREINKEITSIIRGLIEKRMQAIKEGESGTKDDLLGLLLESNMRHTDEHGQSSLGMTTEDVVEECKLFYFGGMETTSVLLTWTMVLLSMHPEWQDRARQEVLGLFGRNKPEYDGLSRLKTVTMILHEVLRLYPPAIVINRKTYKEMEIGGVTYPAGVVFDLPVLLIHHDADIWGSDVHEFRPERFAEGVSKAPAAFFPFGWGPRTCIGQNFALLEAKVALTMILPRFEFELAPSYTHAPHTVITLHPMHGAQIKLKAI >DexiUA01G0015060.1:cds pep primary_assembly:Fonio_CM05836:UA:31538733:31539493:1 gene:DexiUA01G0015060 transcript:DexiUA01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAAKMEGFLKSSEMAHSTVEDELSVPPTMRSWHVHHVPRHEALPLAPPPLGVLVDDVVETVQPWDPVADVEHAIEQHQLVHHPLELGHRRHGTLRHRRAIIAGGVEEPLAKHHPGDDVHAERGHVVPAELHDAPRRGKEATHKGAHLVGADGLERREPAGAEELGGADAARVAPVRAVGRPRDVGVVVGGVLAGGRPGSVEEEEVVG >DexiUA01G0018810.1:cds pep primary_assembly:Fonio_CM05836:UA:39522928:39523209:1 gene:DexiUA01G0018810 transcript:DexiUA01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPQGFNMYGGGPTLNPAPISEQEAFRQIFMALEQSKQQALHQMVQAVTGGSSYPSQYPFYNYNAPATPSPNARYGPTNATNPAEPASFAY >Dexi5B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:5B:4375892:4376692:1 gene:Dexi5B01G0006530 transcript:Dexi5B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDTNAGRVIYAEAGKDVVDFLFSLLTLLVATVVKRLDAAYVNSAGAKTALAGRRLQASSSSLAAALAPVTAVKVYQCSDGCSDDCYNYVAVVKNTPCRLCHGPMNEPMEVVGSSDPSSGGHGEAAAEDGQGQAPDAVLAGMGFVQVVVTYTIMDDLAVAPMSTISGIAVLRGFGVTDLGSLQEKAVRCGDVESVAAV >Dexi7A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:7A:22402355:22402972:1 gene:Dexi7A01G0012110 transcript:Dexi7A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQEEPTRCGEVAIGDVICYVSLAFVVVLTVAAVTLVMKDCSRMSSSKEPEYTLAITGAAGLDDLAVRDRPTLSPVFNLTVHINNTGNKIRRECIGQFSTVAVSYGDAFLAKGSVPAFCAEIGQENGAAATVWGQDVLVPLFLRERLAGELGRGEGKVDVQVKTPRQDDNAVLVCKAKIGGGPSPCWRDHAYPRPAPAAGSSG >Dexi9A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:9A:4958480:4961518:-1 gene:Dexi9A01G0008410 transcript:Dexi9A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFKPRLLFCWDMSKCTFTGHKTLENRHKPLMLKELRKLWNKEEPDLPWEEGNYSASNTLLVDDSPYKALRNPVFPHTAIFPRSYSYLNCYDNSLGPGGDLRMYLQNLAASDDVECFVRNNPFGQPFITENDPHWDFYAQIAYEGSTPLTCCA >Dexi1A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:1A:21412579:21413186:1 gene:Dexi1A01G0014720 transcript:Dexi1A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTIRRKNVPSGSAFITLPPRSQHVPPSGPRGRPATCAAAATHDRIHARHTRVAWLEDDSCSADAYPGRTWAVAARSFRSSFHLYVRRAGACVPPRARAAPPSGGSEGLPSALPCAALFSAPPAAHLRPATED >Dexi3B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:3B:11196213:11200287:1 gene:Dexi3B01G0015420 transcript:Dexi3B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGHQVLTICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWAYRQQTGDEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPLGLANDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWSHADSIVTGQSKEALQYNGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Dexi9A01G0044500.1:cds pep primary_assembly:Fonio_CM05836:9A:48109612:48111653:-1 gene:Dexi9A01G0044500 transcript:Dexi9A01G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLQRLEGEGVFRCRRCRVDSASKDAIISRDFYGRTGRAYLFDHVVNICLGPNEDRHLVTGLHTVNDIYCSCCQQILGWRYEKAYNQSEKYKEGKYILERARMVKDG >Dexi2A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:2A:31670721:31671644:-1 gene:Dexi2A01G0019480 transcript:Dexi2A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSKEIAFDCSSFRLYMDGHVDRNAKRMETMPAGFDAATGVTSKDFVIDAATGVYVRVYLPPLQAATTAKLPILVFFHGGYFIVGSAAEPMYHHYVNSLVARAGAIAVSVNYRLAPEHKLPAAYEDSLTGLKWAVSGADPWLSDHGDLGRVFLVGVSAGGNIVDNMAISEPAHVEAVIQLHPSFSGEQRMGAEDEAFWRANNNRWAIIFPGARGGVEDPRINPTAAGAPSLTKLAGQRLLVCTASEDPRALRAQAYCDAVRASGWSGEAEWFESEGEGHGFFVLNPGSPRAAALMDRVIAFLAGH >Dexi8B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:8B:19252258:19257673:1 gene:Dexi8B01G0010680 transcript:Dexi8B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCVANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >Dexi2B01G0024270.1:cds pep primary_assembly:Fonio_CM05836:2B:33733920:33735307:-1 gene:Dexi2B01G0024270 transcript:Dexi2B01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAGLRKLLPAGTTLAFETMAPAFTRGGECTDHDVNFSFTWWLIGFLTLLCVLISFTDKDGNTHYGVATPKGFMLFNGHLEDLQLSEDELKALKNRMKWNRRDFLHAILRAAVFVSLAFCDAGLQRCLVPRESLHWRDFLNHLPLAVGFLAGFAEPIDAAANQRHAGVGDAASILHKRGGACSDHDVNFVFTWGLIAFLTALCALLRFTDTVADMHGNTHYVLATRDGFKLLGGHDPKDLKLSDDGRENRVKLKDLKRRVKRRPRDFVHAFFSSAVFMALAFCNAEVQSCLVPTETWQWQKFLTILPLGVGFLASFVFVIFPSTRKGIGGQEDGSWGTMKRGGGSEAAATAGAGGQSSPLESTSITRVVPSSTSYQLDPVV >Dexi7A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:7A:6928140:6941839:1 gene:Dexi7A01G0002010 transcript:Dexi7A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLTDTTVTVPPPEMRRRVIQVYANGARVLDGSFMTQELNFTMHTSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLIGGMGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGMHIENNGTVGDDLQKVYTVDEFEVRVMELEKPSGRWETRFTIPMQPFENALTVRIVTLQNTTTTENETLMAIGTAYVQGEDVAARGRVLLFSFSINENPQNLVMEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNVSLQRKLVDAVPHVCGLNPRSFRHFNSSGKAHRPGPDNIIDFELLSHYEMLSLEEQLDIAQQIGTTRLQILANFSDFSLSTSFL >Dexi4A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:4A:2262431:2263191:-1 gene:Dexi4A01G0003090 transcript:Dexi4A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVKAIRLHQLQLAVHMKFWMLALCTLSLHLLECSTLDKLGMLLVECVQLKKHALVIPFTRQRALLNHFLLAA >Dexi5B01G0028350.1:cds pep primary_assembly:Fonio_CM05836:5B:29746209:29747794:-1 gene:Dexi5B01G0028350 transcript:Dexi5B01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLRHLVVLFLVGLLPSAAWALAPPRFPVPQPCERSGANGVGDYEYETRYFRQRLDHFSFPGVGDEDEAAAFFQQRYLVGRRAGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEAIILPPPLHRYYGESMPFGSKAKAYNNSKSLAYLTAEQAIADFAVLLTDLKRNLSAEGSPVVLFGGSYGGSMQKY >Dexi8A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:8A:20111319:20112215:-1 gene:Dexi8A01G0011430 transcript:Dexi8A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWKSLVPALHLHAPATPSCFPQPPAPSPCPSPPREEEDTMAPPPTPPPSPPPEKQVVRLVGTDGRVRAYPPPVTARELMQEHPCHLVCRSDALLIGEKIPAVAPGDELEPGKAYFLLPAHLFHSVLSFVSLASSLLLMLTKAAEDAAAAAAAGGGGGGAAKAAAGKKPFELHRMESGALQIKFSDDFLAGWEEEAVPVAEAPAAVLLGGDKRLAKDYEELVGYSKSRRWAPKLETIEEVVAAAAATTAAATASPKSSPAERKKSRGALPFLGHLGSRRRHRDACGGGGGSAVACSG >Dexi5B01G0037860.1:cds pep primary_assembly:Fonio_CM05836:5B:37226016:37226156:-1 gene:Dexi5B01G0037860 transcript:Dexi5B01G0037860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPPGTQTVAHVCQDFKCTLRELLNKTVAAASSAV >Dexi4B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:4B:5464122:5467204:1 gene:Dexi4B01G0007590 transcript:Dexi4B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDIFSHAALSRWRRLTVSIHIPQARAHGRRCSTSILLTLPLSSAIPSRPHQPLLLISLLRSGPFTLQTSLRSLLHLRPTTATAIASSRSAGPAMTSTVAATVGCGGLPFRPSSASPRGRPRGRWVVRAQAAGADGSDDKSLEVMRKFSEQYARRSNTYFCTDKTVTAVVIKGLADHRDTLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQACPFCTLHRILSSYPLISSSMTSLAQILGLIPLVYLWQVISLEEIKEATSKF >Dexi4B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:4B:18551291:18552791:1 gene:Dexi4B01G0016500 transcript:Dexi4B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEGVNNLAITEQHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDESRSRPIQKAKIEIILGKTEKFDELMAAAEEEREAAKAEEQS >Dexi2B01G0025860.1:cds pep primary_assembly:Fonio_CM05836:2B:35039801:35041135:-1 gene:Dexi2B01G0025860 transcript:Dexi2B01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFLALLALLLLLATSVVANGYGVSHDDAPAGANGYGGGHDDASPEPSKGGGGGGEEEEGPYQEPAYEKPVEGLDAEYYSKTCPQMEAIVHNAVRKAISHDYTLASSLIRLFFHDFAVEGTDGSVLIDVPGQSEKYAEASRTLRGFDLIEEIKKELEAKCHATVSCADILTAAARDAATAVGVPYWSLKYGRKDGKDSIAAEADRLVPMNGQSVTELIRFFQSKGLTIFDLVVLSGAHTIGRATCGAVRPGMCARRKEGTLDRHYGDFLWRRCGAGGDGEYVELDCETPTRFDNKYYENLLHGKGLLDTDQSLVEDSRTKDLVKMFAKPGASDAFVHLFARSMRKLGEVQVLTGNEGEVRRKCSAVNY >Dexi8B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:8B:22787783:22789120:1 gene:Dexi8B01G0012970 transcript:Dexi8B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVATPTAAYDRLAELHALDATTSGISGLVATGLTEIPRIFRVPDPTPQHEAAAMAADDEESATLPVIDLAMADHAALVKAIGLAASEWGFFQVINHGVPAEVVSGTVDGTKAFHESTGGEGTEKARLYTRDLARKVKYNCNHDLYKSKVASWRDTLQLTMAPEPPAPAELPEQCRDILLEYSKEMTKLMHTLFGLLSEALGLNSSYLTDIECNKGQVITCHYYPPCPKPELAMGLGMHSDSSFMTVVFQDQVGGLQVLNNNKWIEAKPIPGAFIVNIGDLLQIVSNDKFQSVKHKVVLKKTTAPRVSIACFASHPTSKRKYGPIKELLSAENPGLYREITAGEYFALQHSSAIDSYKNKALEKLRCL >Dexi8B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:8B:5588751:5589323:1 gene:Dexi8B01G0005470 transcript:Dexi8B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVRNPEIMAKAQDEVREAFNGKSKIGEADIQGLSYLRLVIKEALRLHTPAPLLIPRVCHETCKIMGYDVPKGMVVFINMWAICRDPKYWDNPEEFKPERFENSNLDYKGTNYEFLPFGAGRRICPGINLGMGNIDLALASLLYHFDWKLPDGVKPKDVDVCEAAGIVGSKKTSLIVHPVTRIPPSNV >Dexi7A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:7A:20702937:20704322:1 gene:Dexi7A01G0009750 transcript:Dexi7A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSLIKVRAAGGGGGEGQARARRRRRHRRRPPVDEEARDKDDAAASSSSASSSAKIAPAQPHESDVGDHHHHHKGRRPPHAREEEREPQGGLAGHEHCDKCCSPLDGGDGDKEEAAAGTAAESDGEWAAEPEPGVVMTLVSRGDGTNRLRRIRFSEEYFGDAWAAQTWWADNCDRIVELYSVVVPPEQPSHGSDDDDDPAAPVTPCPSEDDEPQRLDGIGELEYSASCSASASGGSTSNFSGPSSGSGSGSANKVDSPILGLVTEADSFARATQMKHGHKTTREGQ >Dexi3B01G0025050.1:cds pep primary_assembly:Fonio_CM05836:3B:19834529:19836290:1 gene:Dexi3B01G0025050 transcript:Dexi3B01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLVASDSEDGVNEVAGDGGGAGRVRHHRERQRAPSPEALSFAEVAATSSTMEGALGTAVLPPPAKVPFDFAILPLSKAQVDALI >Dexi3B01G0029880.1:cds pep primary_assembly:Fonio_CM05836:3B:29159591:29161064:-1 gene:Dexi3B01G0029880 transcript:Dexi3B01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLTKLTALLGEDYKAQKGLSRAITFLRDELSSMNSLLEKLAGMETLDPQVREWRNQVREMAYDMEDYIDKAIWQIQELKARIIVASQRRDRYKLDEVVVGSGSKNVVAIDPRLPALYVEATNLVGVDGPSEDLIRLVTDEDLSNEDYAITEQSNEESLINALRDFLKDKRLCDPDGRFGEKVDS >Dexi9A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:9A:11938149:11944250:1 gene:Dexi9A01G0016830 transcript:Dexi9A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAVANGAAADDVAAPENKDSTNKEEAGKGKESAVVNKDAEEQNKGSENGTEGPPDGDVKMAETETAKEGDGDAAAAEQVDPEDVKMDTDAKEDTNDKTEEGEDAKMTEAEAEAENTEVKDKEEKEDKVDHTNVDKLDESKEQEKVGSAEQEENEGKETEENKQQDGTKQEDEKEEKDGDDEKQQEEEAEEKGSADKKDEDDKVEEDKETPKNKKARSARDRSQGKDKKQEGSRSREAKSLLETSSPYGTDRPQRERKTVERLVEVIEKEPNKNFVVEKGRGTPLKDIPSVAHRIARKKPSDLKFLHSILFGRKGKLPEFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPVPKSNVRKEDIISKLLDFIAEPHSATDSGLSDDQGSNSRKRKRGGESASKTPESTPSRSRKKFGDDSASGKRRKKALKYDSDEDEDGDDSMKSDSEADEQEDDYDSGKEKARKKFPEVNVSSGKKMTDRGRGHKAGPQKTISKSPVKKVSSKISEEKESPDDSAKVFSRKKKPTGKDEKDIKEKKSSGKKVTKGKGESTGVDLPSKDELRKTIIAILKKVDFNTATFSDILKKLDNHYKMDLTPKKEAIKVMIQDELTRLSEADEDEDASEDAGKKQQQPQAKEVEA >Dexi2B01G0026140.1:cds pep primary_assembly:Fonio_CM05836:2B:35268865:35269293:1 gene:Dexi2B01G0026140 transcript:Dexi2B01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPVGNHPWLAYQEQEDYHVDPTRLFLAGDNAGANIAHDMAVAAARRRAAVHRREVTLSWCRRERAMGSTSTGRSAQGPWSSWIAEFINGRAPSSLIADGNTETRSIFNALMRGRVRTRSCAWAPLVMGQIRKPSSSQQG >Dexi4B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:4B:8758104:8761764:1 gene:Dexi4B01G0011330 transcript:Dexi4B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARILHADGTRGLLPGVLQRFTTAAAAEEPISPPVQVNYTKLLINGNFVDSASGKSFPTLDPRTGEVIAHVAEGDAEDINRAVAAARKAFDVGPWPKMTAYERSRILLRFADLIEKHNDELAALETWDNGKPYEQAAHIEVPMVARLMRYYAGWADKIHGLIVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYVTKLLHEAGLPEGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPFVIMDDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFRKGVEQGPQIDDEQFNKILRYIRSGVDSGATLVTGGDRLGEKGFYIQPTIFSDVQDGMKIAQEEIFGPVQSILKFKDLNEVIERANASQYGLAAGVFTKSLDTANTLTRALKVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPLKNAAWL >Dexi8A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:8A:21699765:21700126:1 gene:Dexi8A01G0012400 transcript:Dexi8A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTKLVVVGFTLALLVASYNVAAVCEDSTRIMCTSDARCQSICLQKKGYTGGYCSTVYVVDGHASCVCRKTCGPAAVGGAGE >Dexi9A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:9A:5213389:5214709:1 gene:Dexi9A01G0008820 transcript:Dexi9A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRIRSWKLITVLFSLMPRCVPPSPTKCLAHPATFFGPPTKGTPLGCFAGTSPCSPVTMLEVISCTSLVSSPTQKGYRDAWREGVGDAGGPGLVRSGLADPLHKVGVPGGAEADVVGEDGGVVDVVVAMMGIPSRVLSAAFCIAVTIFCHTDAVAFSDGTLPPPLSTLPAHYFSMTSVVALALSICDICPIFSSRVMRLSRSSTRSLIGCFGSLYLTYSAAVAGDARKTAATRRHANAAAVGEQAIVEEMN >Dexi8A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:8A:2306581:2307715:1 gene:Dexi8A01G0003200 transcript:Dexi8A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDISIIFDHYSCSAPAVVSSRAAIGLLVAASSLAVALSSDGDATLFGYTEGTSTGPENWGKLSPAYKACGQGKQQSPIDIVTKQVVPNPHLDTLERTYAATNATVVNNGHDIAVKFDGNPGSITVNGKKFSLDTLHWHSPSDHTINGQRFPLELHLVHKAADGAVAVIGILYKLGAPDSFYYQLKSSLGEMAGDKCNFAGEEESREEAGLLHLRSLQKRTGSYFRYMGSLTVPPCTENVVWSVLGKVRQISKEQLQLLKAPLPGSDARPSQPLNGRTVQFYNPPNSTVSFPM >Dexi2B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:2B:7932507:7936815:-1 gene:Dexi2B01G0007730 transcript:Dexi2B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAPLATAGLLSPSPPRAGASTAPRRGRARASVAVSVSVSAAAATSCVLADAPRRIKVSRIRIAFAHEGPRGSEEQPEVEQADAGAQAAAARRDVSPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGGGFSGGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKCVENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKADRNGRITDFLEKPKGEDLKSMQVDMGLFGLSPEFSSAYKYMASMGIYVFKAEVLRKLLRGQYPAANDFGSEVIPMAAKDYDVQAYLFDGYWEDIGTIKSFFEANLALTDQVLNSIVSHGCFLTECSVEHSVIGIRSRLEPGVQLKDTMMMGADYYQTEEERFSELSDGKVPVGVGENTKIRNCIIDKNARIGKNVVIMNSDNVQEADRPAEGFYIRSGITVVLKNAVIPDGTTI >Dexi4A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:4A:1794407:1796104:1 gene:Dexi4A01G0002630 transcript:Dexi4A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPAVSGSSSVIPRVYGPRTLEDDFYLDEKKDEIQTVLAKIEGIYSRVKLDKELVMGNGFCFGLLDPVTNILVNSVISKAKAAAAPADAAPPPADGGGAGRKRPRADRDMNRRSHDGLVAFLTCLFPYLPDAEARVYLYVAEVDPLVAALLVVNHRQMRQFGFCSGITVAAVETALRCAAVAANHPDPSRLVLGWKLLSHGLQKFVSEISSKESDTTTVARHVLSTVNFTGASSDTELQLKEPWELAESRLHGNGNQIVRELPPAQGAMKRMLLATIHGFHLQALARLPTAELSSRYHRSLLEGGYCYGPLDPVSNIIVNTVWYDQNFPAGKQVTLDMISTACLWRVAARSLYGLVSFLCTRYQNMSPDQALQRLLVSRANLQVADPNLLDDRKAVEASTPSASVLEAYAAAATAAFHCNPLAQKEFLGSPDVVSKLRVASEALHLQDGHPLSCQDLEFLSMSLLKCSSSNSKSCQKEDLAPTKIKKSLYSYIAQCSYRFWGQHERVATMVKAALDKFNETLVGVSSFTHFYFS >Dexi7B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:7B:17154986:17156765:-1 gene:Dexi7B01G0009580 transcript:Dexi7B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLGGLSAILVVAVVVGVVATVTRSGKKAGDNFTVPGEASLATSGKSVKSLCAPTLYKDSCEKTLSQAGNGTENPKDVFHSVAKVAFESVKTAIEQSKTIGEAKSGDSMTESAREDCKALLEDAVDDLKGMLEMAGGDIKVLFTRSDDLETWLTGVMTFLDTCIDGFVDEKLKADMHSVLRNATELSSNALAITNSLGGLLKKLDLDMFKKDSRRRLLASEEQDEQGYPVWMRSPERKLLASGAQPKPNAVVAQDGSGQFKSIQQAVDAMPKAYQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFSIEASGFICKNMGFHNTAGAERHQAVALRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWNGDFGIKTLYYAEYNNRGPGAGTSKRVNWPGFRVITKNEATQFTAGPFIDGALWLKFTGTPHILGFKY >Dexi5A01G0031100.1:cds pep primary_assembly:Fonio_CM05836:5A:33940933:33941181:1 gene:Dexi5A01G0031100 transcript:Dexi5A01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQTGQQYGFGGQQSTFAQSGGGGGAASGSFGFSSGFDNPMGSYMSQHQQQQRQNDAMHHASRAKEEPREDMFFPQSMMYN >Dexi1B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:1B:22341767:22345512:-1 gene:Dexi1B01G0015800 transcript:Dexi1B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAALVTGWVLPACPPRVNHVNRRIRASRSLQFNQKRGRHPLPCSDTRHLFGCVTALGHLTVARAEGLTLLLFYPVKLLPSILHLNIQICWWQKKMETEFSELLDAAERTIGLHFSYDINLTSSAQRLHDLGDEYKSLPLWRQAEPRFLWNSYLLEPLIENKISGFQNIHAEVGSEKVTVTLIARRCTRRIGTRMWRRGADAEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPRVLERHFHDLQKKYGAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDLRYVHFDFHRVCGHIHFERLSQLYEQIKDYLKKHRYVAS >Dexi9A01G0036090.1:cds pep primary_assembly:Fonio_CM05836:9A:40648379:40652816:1 gene:Dexi9A01G0036090 transcript:Dexi9A01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPAFSSNRRKRHLDASPQPRHFHGPQSPIRLSQRLRRLLHFSPFDMGNFLSGLLGGRQHKDEGLGLYKSWVGGQSRDLTVATEVEAGAAPHLLERKVDSRKAVEAAKRPLWQKRPPFYTEARERARQGDPRLEEISINVRILEQSLAEIQKADKTSKKDLSELFKPLTDAEENEVYGCFTGGPSSKVLVLHEPSNIEITKEKFQCLRPRCWLNDEVINLYLELLKERETREPKKFLKCHFFNTFFYKKVRLYCMLLYPLTVASLAKNGYDYKSVKRWTTRRKLGYELIDCDKIFVPVHKDVHWCLAIINMKENTFQYLDSLGGMDHNVTNILARYITEEVKEKSSKAIDARLWREEIVDIPLQQNGWDCGMFMLKYIDFHSRGLSLDFSQNDT >Dexi6A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:6A:847222:853130:1 gene:Dexi6A01G0000990 transcript:Dexi6A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKPAGKKAAAPVAAAEESTSPDSTTSPSTEATNSTPDQAEEEEELAPPPPVTEAAAAVAAAPEPPQLLPPPPPSEPAHKPTAAAAAATPVNPPVDTYAKPKVGAVYGRVKLKFNSSKPATDLPPPQPQPQQQKGSSPAADPGKSESASVPEVTKEADAEKTAAAVADGPKTDGEPLEPSDAGKEKVARKVGGIKIKTVGLSSGGNTPDRKADSVDEPPPPSKQEAVSEKKEVEEATMEPMASQEPEEKQSTPERQRDDKELAAALEAIKKVMKMDAAEPFNVPVDPVALGIPDYFDIIDTPMDFGTICKNLEGGDKYMNSEDVFKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVPDSGGNDNTGDEDAKGQSKNKSKNKRRRPGNDRHKSDCICAVCQVTRRKKERDEILAVLDNETAAMDSNTSDQHDMEGNSGANNLGSHDTSSSLDQRPQTDVYKETAEAGDSGIRIEDVRRFSSGRPSSLQYPDSENEGSRQRFEGKEQVEHRDMNNNEEHTSTQPNEYSDVENHQQKGQVETSQEVEMEEDYPIQQENPSFLELCASLFPGTQRSSTFSIRRSLFRPRRRAPLKESPLHVAIAAMMKR >Dexi9B01G0047980.1:cds pep primary_assembly:Fonio_CM05836:9B:46960306:46963505:-1 gene:Dexi9B01G0047980 transcript:Dexi9B01G0047980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRLLAEAPSGHHHKQGGAPSDWSAGYLNGWLSQQTPVFGLRLWVLIGIAVGAAIVLVLLFIFVCLSRRRRRRDEVLAANLYPADTKLLKQHLQQQATPTKDIREIVRRQHQPQTPPPAPQPAVQLAKAEPPVTPPPLHRVQQAPVVPAPPARKTPGSGMSATTSGGSERDGATPRSTGSASGMPEVSHLGWGHWFTLRELEEATDGLAVENVIGEGGYGIVYRGTLHNSTMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEESYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAAGEVNLVEWLKTMVAERKAEEVVDPKMAEKPSPKALKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKAGREGSDRYSSKEDGSFSRREHQRYR >Dexi2B01G0023160.1:cds pep primary_assembly:Fonio_CM05836:2B:32719604:32723204:1 gene:Dexi2B01G0023160 transcript:Dexi2B01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERLEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGASLLHFASAEAEHPHPLSSSAHHLHHHHQPPPSPPPPPTPPPPPPLSPTTTNRSWTTNSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSRSVTEDADWDDAATTVVDTPTTAAPPVVTAAAAVAAPPSIVTATTTSTTPSELTVVAVPRAGAGKKDLAEIATELDEYFLKAADAGARVAALLEAPICEPPEPTTTTNSSSLPGRVLSYSKSFGWTWGGGGGYGKGSNGFTRFGRDGGMAMGSGGGGGMLSHSSTVEKLYAWEKKLFLEVKSYEGYKQDHDKKVSLLRKQEVKGVDYLKMEKNKMEIESLESKMLVANQSIETTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEFLNNARNTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSHRDYVYSLTGWLRLSLFCHHDPLTKAQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKLKKRSESAFKEFEKKAEELRSLESKYGPYIGAEGYREISRKSPVADKRAKVEALRSRADEEKSKYEKSIGVTRAMTLNNLQTGLPNVFQAMTGFASVCMEAFESVYNFKRSSDRILDVKRLLT >Dexi6B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:6B:5158328:5159252:1 gene:Dexi6B01G0005670 transcript:Dexi6B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDMASSTSFFLLTVLLALIASGAIASDPSPLQDFCVADKDSHVIVNGLPCKDVKDVKVDDFFLAAELDKPRDTTMSKVKSNVTLINVMKLAGLNTLGISMARIDYAPQGQNAPHTHPRATEILTVLEGSLYVGFVTSNPDNNFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITISNALFGSKPLIADDVLAKAFQVDKEVVDWLQAQFWEDNHN >Dexi3A01G0023350.1:cds pep primary_assembly:Fonio_CM05836:3A:19039045:19040496:-1 gene:Dexi3A01G0023350 transcript:Dexi3A01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQETPVVPVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQEYVKSH >Dexi1A01G0031150.1:cds pep primary_assembly:Fonio_CM05836:1A:36269879:36270607:1 gene:Dexi1A01G0031150 transcript:Dexi1A01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSLIAGKVHRLSGLGVGAFAGFFSATGVASAASDGGNNTNSACPAYPWPHDGARGGHKAFMQHDCAACHSMLPYPGLAAGAGGGRGAAAQEAKAAEIMVVHEAAQPEPETAATLHGPPDLSVITRTLEGLRHSNLYAADELKKRMALLPTPVWLHFLQPYYMRNTQAA >Dexi8B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:8B:4361478:4362128:-1 gene:Dexi8B01G0004700 transcript:Dexi8B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIDDSCRRPGSVPFKWEVCPGTPKHVRSASASSAPPSYNSVSSSNKVAVSPKLTPPPAMSPSPYHSPRISSSYYYAARSASISPSRRRPPPHRPMAFLDIAPRVAPAAYGADAEAEESAAAMARCFPLPVFRRRERDGKKGGGGLGRRSGASSTSSSSGSSFRSDGSSSQAARVSLRRSASSSSSSCLSLSSRRSSGKIAEAREVEAAGGWFY >Dexi1B01G0029130.1:cds pep primary_assembly:Fonio_CM05836:1B:33507350:33510920:1 gene:Dexi1B01G0029130 transcript:Dexi1B01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHATATPPETGAIQVGVGRPEKASSSAGRPQISAVACDRPPHGHLRDTGIFVFRQRTERGRRRLPGQAPSLPPSLGRASERAWQASGLAVDGRVRARRRCRTTDPPPNPSAPAPAPALAPHSAIPLETTIVIMASISLEDVRNETVDLETVPVQEVFQHLKCSKQGLSSTEGESRLKIFGPNKLEEKSESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWKEEDASILVPGDIISIKLGDIVPADARLLDGDPLKIDQAALTGESLPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIISIAVGMVIEVIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCGKGVDKDMVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGDWHRVSKGAPEQIIELCRMSKDAEKRIHGLIDSYADRGLRSLGVSYQQVPEKTKESSGEPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGSNMYPSTTLLGDGKAAGELGALNIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALVWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGTYMALTTALFFYLAHDTEFFTEAFGVKSIKENDRELMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYANWEFCKMQGIGWGWGAAIWAFSVVTYFPLDVLKFFIRYALSGRAWNNINNKTAFTNRTDYGKGEREAQWATAQRTLHGLNQGGGASSSELLGDTTGYRELSELAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Dexi6B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:6B:24558:28450:-1 gene:Dexi6B01G0000040 transcript:Dexi6B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGPLGAIIGRYPSAAASDEQDPGGGVIRHDRKCRDWPFLILFAAFWVAMLVNSSFGFNQGKPLRLTFGLDYNGNICGSKHAEPDLRELDVRYWMNPNQVHQSGLKSSHSDLPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVSIDENMLIDKTIHNAINSKSAVLKRYVADIGKSWPVLIVCGGLLPVFLSVIWLLMIRFFVAGMPWITIVVFNALVISVTMFFYIKAGWIGHDPLTVVIGESDPYVNIGGREINHLHAASVLMTVIMILSFLTSLAIARRILIATSVLKVAAKVIGEVHALIAFPAVPFLILAIFYIFWFSATLHLFSAGQVIRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHFLGFYWATQFFIGCSSTVIAGSVASYYWARGEISHDIPFRTVVSSLKRLLRYSLGSVALGSLIVSIVEWVRFILETLRRRLKFVDSAHDSWVGKTVSSSSQCCLGCIDWTLKSVNRNANIMIAITGKSFCKASELATGLIMKNILRIGKVNVIGDVILMLGKLCVSLFCALFAFIMLDKHKYRAGHNKISSPLIPVLVSWVLGYIVAKLFFAVVEMSIDTIILSFCQDSEEHQGNAQYAPPLLMETLDEESELQRLTQGP >Dexi5A01G0033230.1:cds pep primary_assembly:Fonio_CM05836:5A:35584868:35585655:-1 gene:Dexi5A01G0033230 transcript:Dexi5A01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPYLVEFLTTGSTCDTRSLVVTNPLPAACGAAGSPKNTLPALIDVHFAHALANPPTPALALYWHDGRTGPSRAPCPATRPGTGTVAQQPRLECSRQVVPVQAHAGLDVEVDAVEHGIAEGPGLGLAAEVVVPEVLGDVPRVGTGRQAVAADTPTDGEEHLDAHALARLDVRAHARAPVGGRVAVAGEVEHRRLALAERGEERYVDELVESGGACLFQRALVTVLAPVDGDVAGERSGG >Dexi5B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:5B:7129405:7138155:-1 gene:Dexi5B01G0010190 transcript:Dexi5B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLADGLAGASISDPADQGAATAAGAPSADYLVSVTRAVEGADATIRSQLEENNRLKEELMRKTQQLQRIREDATSQGPSSGVGLERNSVANKTDGSRHRKDGEYDSRLLPVSGMNSNLSWKQVKEGEEEIALLKKHLADYSVKAFDQQQQDLVDAASKALSYRQDIIEENIRLSYALQAAQQERSTFISSLLPLLSEYDNLQPPVLDAQSIVSNLKEQLIATESQNSPFKDLSRNDVLMDGSESTEAQNTQEPSTRWGPGDSPNLASGFEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLRITGDAFPGRELLASGYPTNGTTTCNFEWVRHLEDGSVNFIEGCGIPLF >Dexi5A01G0032660.1:cds pep primary_assembly:Fonio_CM05836:5A:35117484:35118059:-1 gene:Dexi5A01G0032660 transcript:Dexi5A01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVRSGGSVKGGLYRASTKGPFTAYPSFSSAALSPSAFSLTVFSVATPLNLTTPNFLSGTSRITTRSSIGRGASSGLVYLDGSRNCIVTLPDACWPSVVITGAVIATGSGSPPVVVMTGAVMAIFSDDSEEEVMTGAVMAIFSGLGADVVGEHGEAAVGVALPLLGVVYSAATQGGYLRIS >Dexi5B01G0027340.1:cds pep primary_assembly:Fonio_CM05836:5B:28939554:28940970:-1 gene:Dexi5B01G0027340 transcript:Dexi5B01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSPSRRVVSSAPATLLLRLLLLAGAADAAPPLVGVSPQDEAYFTTQVIACRDGSGSFPRSLLNDGYCDCADGTDEPDCCDGSDEYESGIHCQNTCRKRKDIAEADGGGGELSD >Dexi9A01G0037360.1:cds pep primary_assembly:Fonio_CM05836:9A:41630083:41630644:1 gene:Dexi9A01G0037360 transcript:Dexi9A01G0037360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRMLQPSLAATTAAVPSSFFFFSQRQRVVAACPVGGRPAGVAAVRCAPSGGGGGGGVAPEGDTKSKLKVGSPIVILEAPVMLKTAASVPSLRHNSGQVKAGDVGRIMARKPKDVWAVRLAVGTYLLDSKFFRPLDVVEDDEKSLDE >Dexi2A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:2A:28401663:28402085:1 gene:Dexi2A01G0016690 transcript:Dexi2A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHRHQSNTINKNSKKKVGAGEEDDHHHLRRQIEEDVRAWKARHREKAAAAARLVVTELDGERVGKNLAVASLRAATRELERERAARERVEEVCDELAAGVAVAEEALRRDGERSLVGGASSAGSRLDRWRVFLSGLVK >Dexi9A01G0038430.1:cds pep primary_assembly:Fonio_CM05836:9A:42682563:42682863:-1 gene:Dexi9A01G0038430 transcript:Dexi9A01G0038430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTTLRTAQLFQMSCPLISSAVGIRIGSTAAAWLEDSPPAPAPAADGSVEAISPSSSRTAASAWMALKVREAGGGGDNRTRLV >Dexi4B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:4B:9053503:9067785:-1 gene:Dexi4B01G0011620 transcript:Dexi4B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPHGYVQRHRAVAHALLPPPSPAMNYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWAHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQGALGSNVTVARVAFHEITEDSIKKALMSPRFIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREVEIEQFKAQEYWTVQLDFKTQLADCSNGTCIPSRIKLLNSKKLDQLSICSQEEAQAIEKRIHSSQFEVIGVKRSKIHKNPPMPYITSSLQQDAANKLHFTAGYTMKVAQKLYEGVNLSSEEATGLITYIRTDGFHVSNIQHFDDSIFLLISIFLRYGQEYASEDIRKYLKKVKNAQEAHEAIRPTSIRRLPSSLIGILDDDSLKLYTLIWKRTMACQMEASRTEMIQVDIGTPKGDMSFHSSASRLEFKGYQAVYEDTEASPSNDNSEVDAALGANFEPLSKLKVKDLVAPVDVHLGQHFTKPPPRYSEGALIKKLEELGIGRPSTYASIMKVLQVTFYFPTIFTMDRKYVTIKSRVLHPEFRGRMVSAFLLNHFSEVANYSFTADMEAELDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMLEEKFGPILFSDVDKDSRICPRYIARSLSQQEDETEPADEGPKSFEPRLLGVMPDSNEKVFLKQGPYGHYVQVGEDKRGLFPKRASLSEVKDIDTVTLEDAIELLQYPKNLGKHPDDDHPVLITHSKVGYNIKHRRSLAAVPKNMDPKKITLERALKLLSGKTVRQIGRPKGKAKKKEPIEWH >Dexi7B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:7B:15898916:15900054:-1 gene:Dexi7B01G0008090 transcript:Dexi7B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGTKRTKRGSSPGVDRLSALPDHLLHEIMSRMKARQVMRTRFLSRRWRHLWPSVPCLDVDQREFADTDYHKFGDFLHFLLLRVSVSDLDALRLHVSSTYDDSHVADASATIRRAVMSSAVHGEPTWRLKRLHLSGLRLDDLFAEHVRSRCPFLEHLELSRCVCGFHAIASGSLQCLALVDCEGKGFSEITSPSLKNLAIENGSTNDVTSPLVVAAPALACLSLVVTPFNFPGGVSFSEMPSLAKASIRLKVPEILVKSERLRGQIFKTLCSMCNTTSLELSKFDVVLGEESTAFPEFKNLRSLELNGCGENELQVSGHILRNSPNLEKLTLSPPCRQV >Dexi5A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:5A:5569787:5570160:1 gene:Dexi5A01G0007490 transcript:Dexi5A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTGSGAPWFLQLSRSYDEAGGGAPVQKVASSTATGCQFSAVPVMPGSELKGMGDYERLWTVPGDCAACNASGGQCRYETEVNAFSCLCRDGSTQPHES >Dexi2B01G0036350.1:cds pep primary_assembly:Fonio_CM05836:2B:43198618:43199984:-1 gene:Dexi2B01G0036350 transcript:Dexi2B01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGHKRKAAVEIRPDGPSYNSTCSKEPELVPSRQTPVCAQMALEHYNTTNQGDEHELVEAVESNAFVFNGVWIHANFLAKPIADSSCDVPNYFFAELKSDYTGFYCLSCVKMDLGEPRKLGGCGLCPRQIMHPVDGGYRGAKPFNDSPTTERCAFTF >Dexi4B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:4B:7326621:7327652:-1 gene:Dexi4B01G0010040 transcript:Dexi4B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHRGVHAPSLFLLLLLLADAATTDAKLAPDYYIKTCPRAERIVTDVVSQKQLSHPTTAAGVLRVLFHDCFVSGCDASVLVSPNAFARSERDAAQSQSLPGDAFEAVTRAKTALELECPGVVSCADVLALASRVLVTMTGGPFYPLRLGRKDALASSPTAPDAELPLANSTVPRLIAMFAAKGFTVQELVALSGAHTLGFAHCSEFADRIFRRPVKGGGGAVAHDPAMNPAYAKGLQDACRNYQRDPTIAVFNDIMTPGKFDNMYFVNLQRGLGLLSTDQELWTDPRTRPVVQRYATNQTAFFADFARAIVKLGVQGVKTGRDGEVRRRCDMFNGNPVVPGG >Dexi9B01G0022860.1:cds pep primary_assembly:Fonio_CM05836:9B:17746278:17747665:-1 gene:Dexi9B01G0022860 transcript:Dexi9B01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHAALLCALTFQFLARASPTAAAASPPVAEARALLRWKSTLTLPPPSSTTYPRPLSSWSSASSVCASWAGVTCGADGRITKVTLPGAGLAGDLAALDLAAFPALRELNLSGNRLAGAIPSTLSVLTSLVSLDLSDNALTGGVPGDALAALPALRVLALRNNSLGGAVPSSVGRMRTLERLDLQAAGLVSTLPPEIGGMASLTFLDLSSNNISGGLPPSFAGMRNMRELYLSRNKLSGTIPPEIFKNWPDLTLFYLHYNSFTGAIPPEIGEAKKLRKLSLLNNNLTGVIPAEIGGLGSLQMLHLGQNCLTGQIPHSLGNLTQLVILVLSFNNLTGNIPAEIGSLTALQDLDLNNNQLEGELPATLRCATFQEL >Dexi9B01G0043900.1:cds pep primary_assembly:Fonio_CM05836:9B:43816921:43817492:1 gene:Dexi9B01G0043900 transcript:Dexi9B01G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPMDLQCAANCAAAPWKPPPAPAAGDGELRWLRRCVEAAGKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLAVKETVRYGLFLGTFAGSYVSVDEYIAAVWGREN >Dexi9B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:9B:11133934:11138357:-1 gene:Dexi9B01G0016280 transcript:Dexi9B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPAAEATRSKGTQTPRAVLRRRTPIPEQPNANPRPHRLAGISPTAMAAATEKSAEDIRRELQELQRQHREITERLRDPRGLRRGAPGPGPGPGGPRPLRGFVRPAAGVELGNQPAQKRRLLSAVVKVDGAETNEEVEKAAEADGTEDGSGAAEGSERRGFSNGGFRRDGSSRMPRRVDYNSLPEPAPRELPKNEDPNLVRRNKRMLGQLLVGTLEKFQQEDKKLSNSEAYLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMMLRARVAAKAEEKRLELLYIQWTEHHKKLSNFLRTKAEPPIYYMPAKPIIDDPTIVEQNKEKVFEEWKSVRRAELTQFQKQVEEQYLSNVERQLERIQNARNARRANGPANMQEMDKELDTHRAEHGPKTRRVPEEGGNDEDEDAEDMAAEDELMDEVLGINDGINEDPSKPSDEAATDGGEPAPEEAQ >Dexi7A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:7A:19098684:19100130:1 gene:Dexi7A01G0007830 transcript:Dexi7A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRAAAGAVPEVALRSGSARPMPAVGMGTALPIPVPHEVTKDAVLAAIELGFCHLDTAAMYGTATEGPVGEAVADAVRRGLLRSREEVFVTSKLWCTTCHPDLVLPSLRGTLLKLQMEYVDLYLIHWPVCMKPGPITWPAKREDAVPFDFEAVWLAMEECHRLGLAKAIGVSNFTTKHLERVMSVATIPPAVNQVELNPVWQQRTLRAYCAEKGIHVAAYSPLGGQNWNGSGEANAVLESEVLAEIAQARGKTVAQISLRWIHEQGVTWIVKSYNKERLKQNLDIFGWELTDEDRLKISQIPQRKFVTAIALFSPEGEFTSVDLSEMDIVQE >DexiUA01G0009900.1:cds pep primary_assembly:Fonio_CM05836:UA:19464690:19465169:-1 gene:DexiUA01G0009900 transcript:DexiUA01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSPLLAPTLANAVTATGSPMLELGKSLLNAACRRHCWLPRRVGEGEASTAAARFHGGRRGFDAPWGLAGETAATDEGEVDTSAARSRSGRRGGGAPWGLSREATTGGEAGSGAAGGGAGQADLGSHRCIGRRTGAGGERMPDGASLPLAAGGWVGE >Dexi2B01G0029720.1:cds pep primary_assembly:Fonio_CM05836:2B:38075744:38076928:1 gene:Dexi2B01G0029720 transcript:Dexi2B01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLSTQSDPAPAEETAPILGWSSLPNDLIYRIGDCLLADEDVESYMDFRTVCKGWRDPTMGPKEMDRAKPGHFLRRKWALLDRHENVLTFVNVETGRFLAKNIPLLHNYYFVGATGGGMIILEEPIPPYQVRVLNPFTGLVLRFKASLPVMGWVREAAVVTTTPVMLFISGKDDNIMWADENSEHFCEFRAEYRNTPVTMAPFGSKVYLADRGGSILSSAVAAVGEGEHISNRSEQTILMAKTIRSHVAGGHPAWDCYLVKSGGELLLVTRPWYNVHGQPVVRKVDTENNKLDLLRSIGNRALFLSDVRCLSVDASKFHGIEGGCIYFVDPILTADNRQASLMTTFRVDEQVQVDIEFDPVAMAGGSQQPFTLSQVFANYCMSTSYSERGSN >Dexi3B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:3B:6020590:6020934:-1 gene:Dexi3B01G0008650 transcript:Dexi3B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEQKMAAARELGLPIGTAPGVGGAGALDPQRRQAAAAALLRRAAAHREWGERSAALASARSGNSKD >Dexi8A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:8A:692108:693205:-1 gene:Dexi8A01G0001040 transcript:Dexi8A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSSGGCVGDGGVSQRFMCSSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGMRGGDGRVGGEMNGRAFLASLSRGFGRITMFGGPAAAEKKRRKKSGGSQWSEGKSRRRHKAASFAYD >Dexi5A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:5A:593140:596132:1 gene:Dexi5A01G0000850 transcript:Dexi5A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYDREDGLAPPPPQQQHAPDAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAHARLSPLTAAHRAESWTNYCDLFGVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTEDELNQLKQFDKAWNVYGVLNYLQALVEKSMITQILEREKEGLEQFTATDGYDYEGGSNVLKVLGYYSMIGLLRIHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVEATREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLRLYSTIAIGKLAQYMEVDEATLRSILMTYKHKMHAVDSDGKIVSSADFDFYIVEDIIHIVESKPTKSHGDYFLRQILKFEEMIGELEKVQLD >Dexi3B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:3B:8255296:8258427:1 gene:Dexi3B01G0011800 transcript:Dexi3B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNTSSTYCAVKRARPDEAAKDKAASSRSACSHKDKAPSSPKLAAVGIVAAAYVMPEETNILDCGVCCFPLKAPVFQCDEGHAICSSCRDKLAPSGKCHVCSTPIVTYHRCRTMENLLESIRVPCPYADHGCAAMPAYCDAPDHGLFCPHAPLRCPGINCGFLAATIDELLDHFAGVHRRWPPTTRIRAGKAFAVVRLHDGFNLVLATTGDNADDAGRVYLFMMYVTRVSEGRAVTVHFIGRKLAEEGLTCSVMHTRHLYAAGNGGQRESRKRLGSHHRQTDINVECTADLSKGLPHPEDCIPFMVPDYVLGEDHKEDATVKVKVRVSITDAE >Dexi4A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:4A:904689:906323:1 gene:Dexi4A01G0001400 transcript:Dexi4A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLRRRWSLGARAFSTAPATRTTVPVAHLAPLPASLPESGYTVTPPVQPWPRRLTARSLSRLLLRAPTPDAAVLALRHALFHASPPLPPSLPVFAAALSRLSRAAAADADAAGRLLPPVLSLLRAARLPAFSDRPFLPLLRALRPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVSARRLRLAAALFRAARAKLYITPNLVSCNILLKGLVGIGDLDAALEVLDEMTGWGIVPDVVTYTTVLTAYCGKGDLEGAQKLFDDIIASGRRPDVTMYTVLIDGYCLRGKIQDAARIMDEMETAGVQPNEVTYSVVIEACCKEVKSVEARDLMREMLGAGYVPDTPLCAKVVDVLCQDGKAGEAYEMWRWMVKKNVPPDNAVTSTLIYWLCKDGMVEEAKKLFDELERGFIPSMLTYNSLILGLCENSELQEAGRVWDDMVERRYEPNAMTYEALIKGFCKMGKSNEGAALFKEMMAKGYSPSKFVYQVLVDSLSEPNHNDTFFTTVEAAILSGRDFLDGESWEIFIRKVVDTNESWKRHLDSTLTM >Dexi2B01G0023640.1:cds pep primary_assembly:Fonio_CM05836:2B:33203256:33208187:-1 gene:Dexi2B01G0023640 transcript:Dexi2B01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKENSSHCAGGILADDQGLGKTVSTIALIQKERSQQSKFMSVDSDRLKSEALNLDEDDEGEQTVNDEPKKDEGVSSSSTAAGASSVEPCVSQPNNVPDKIAERKRKAKACTSSVSTSQSMTRPAAVYHGGLRTKDPSELAKYDVVVTTYTIVANEVPKQIADDDADRKNGEDPSVGNKRKPPSAKSKSKKKKKKLKDSDFDLDSGPIARDTPEDAVVTICGHVFCYQCALRICISGESSSAGASSSADNESPSISQSSYISSKIQAAMDILNSIISTDALTESDTMELNRSQVAPAKAIVFSQWTGMLDLLELSLNADLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVEDLRYLFKK >Dexi9B01G0030670.1:cds pep primary_assembly:Fonio_CM05836:9B:33145078:33146740:1 gene:Dexi9B01G0030670 transcript:Dexi9B01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTPPSNQGTSSALHTCNSTDQPPPPLPKLNPRELRTRENDVTNMPLTKTLVKAFARLSAMPQHAPLASTKPLLEEARHSLKHHLSIPNKHLRPLGPATIAAPYQKGAPPTHHITRHMCTTRPDPTISRPHGSDLPPTTLASHDHHRPRPLLAALIRAHILVGLRHLRRNPAPAAVHPHTPTRSLDVQPPDPPGTTPDLVAPATAAVQSSPSTWRPRPHLATGEKGRGLAATFIAGSASFRQPARAAVRQEKGVQRVWRLGFGSCSYTTDRSCRHATNSTRVELPRNVGPTRLRHCPWPLAPLPPVYCRCSPRAMALHAPATPCAAAIYHVLPCCLRDMVVAAPVVLPIPISTAERHIGRLRLLAIFELD >Dexi8A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:8A:16917832:16918383:-1 gene:Dexi8A01G0010210 transcript:Dexi8A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRARVMLLLLVAAEAVCLVGRATAQQASGVVATYNLYNPEQNNWDLGAAGTFCATWDADMPLAWRQQYGWTAFCGPTGDQAEASCGRCLLVTNTATGAQAVARVVDQCDNGGLDLDAAVFTEIDTDGSGAASGDLVVDYQFVDC >Dexi4B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:4B:10746947:10747608:1 gene:Dexi4B01G0012760 transcript:Dexi4B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYLTASPDVIIILLRTVSSGYDAMLAPLVIIHPSPKLAKKLSCSLPRLAVHVEEPIELPLASALAGLVVVGEAGARVVEGVDEELRRGAGGAAGGDVPGEPAPVAVAVAAEGEEGLEVVLEGEVEGLGWEVADDVGVVAAPEGEEAFVADGAAEAVGDPGVGLGEASGPEELVLVLE >Dexi3B01G0025880.1:cds pep primary_assembly:Fonio_CM05836:3B:20819503:20821167:-1 gene:Dexi3B01G0025880 transcript:Dexi3B01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKVAAEAAAAEARRRMVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYLSCRQFHG >Dexi6A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:6A:18259155:18260471:-1 gene:Dexi6A01G0012050 transcript:Dexi6A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDYYEILNVDRGTTDDDLRRAYRRLAMRWHPDKNPAAKADAETRFKEITEAYNVLSDADKRAVYDEYGEEGLRGEVPQTGGGGNDDIFAEFFGSTPFTYCNTAGGGGGGSGSTRGSRQPPPPPAWDGGFGRTYRRNQSGGAASSKAPPPPPPAVETRLACTLEELYVGVTKKMRIGRNVMDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWNQVPADLVFVVDEKPHPVYRRDGNDLVADVRVTLAEALGGTVVVLAALDGRELAVDVGGGSGEDVEDAPVVCPGYEVVVPMEGMPIARERGRRGSLRIRFDVAFPERLTRRQRAQIKRLLEGAGGPARPAAAS >Dexi5B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:5B:5071840:5072604:-1 gene:Dexi5B01G0007500 transcript:Dexi5B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEFVWPATSCDPPPFLESSVSTVSHHQRPSLDFMSSDDVPEQWLLGDDDVLDHRRAVQPPPPPPLLVQTTTTTRGTRKLPGPRTDGHVTTSSHVEAERQRRVRLNRRFCDLRAAVPRVTRMDKASILADAVAYIAELRGRVEHLEKASLAPRHASFGIGGHGDHEERFALEARMIGPEAVALRLRTAERHAPARLMAALRALDLPVQHACVCRVGGGVTVQDVVVDVPAAGLRGDGRLRAALHGLLLQESC >Dexi2A01G0027630.1:cds pep primary_assembly:Fonio_CM05836:2A:38979404:38980852:1 gene:Dexi2A01G0027630 transcript:Dexi2A01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATIVFVPCWESGHFMSMVTAGTRMLDASDGALSLTVLVMRAPTAAKASEVDGHVRREAASGLAISFLNLPAVEPPTDYAASEEFNFRYIQRHAPHVEEAIAGLASPVAAVVVDLFCTTLLDVAGELAVPRYVYFASTAAFLALMLRLPVLREHLTANEKTGGTVDIPGLPPVPMSNMPKCLTGSKIGNYEWFEHYGRRFMDASDGIIINSSVELEGEVLAAITDGRCVPGRPAPTIHAIGPVLWFAAAREQPQPHVCVQWLDTQPPGSVVFLCFGSKGFVDTAQVAEVAAGLERSGHRFLWVLRGPPVAGSSLPTDADVDAMLPGGFVARTRGRGLVWPAWAPQKEVLAHRAVGGFVTHCGWNSTLESLRFGVPMVTWPLYGEQHLNAFEIVRVMGVAVQLKDDMDGGKAEPFVEAAELERAVRGVMGGTEEGRKAREKAAEMKAACREAVAEGGSSYVALRRLVSEVSPSGGGAPLSP >Dexi2A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:2A:1181992:1184950:1 gene:Dexi2A01G0001690 transcript:Dexi2A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHEHAAAPAPVLAIPQLGDQEFVLLGWSRGQHQRQPSMLFVGASMKPWWIHLWQKPRYHYYKGTSLILTSPDFWDIIEPIMAQMVASAVAGETLSRIISSLIDKDDDKSAENMERLKMAHIKMESVLHVTDKWQITDVPLLRWQSKLKRAAQQCGDALQSCKQRAIEEKNHIFVSASEPKLLLMR >Dexi4A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:4A:10630441:10638287:1 gene:Dexi4A01G0012110 transcript:Dexi4A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPTPSAPFELSMLFRQPPNPNQPTTAPTPTGVFPGAPGPAAGPPPTGPYSYPPATPPFHRGPYLHYPNDPHAFHHPAAAAFANSNPTANPVANPGPGPNPGVRLMQLLGNTAPIHLESAASMPPSSEFSAAAPTAVLPASSSAPPARMLSSKMPRGRLLGPGDRTAHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRSYIVYGLKLGNIRVLNINTALRSLLRGHTERVTDMAFFAEDVHLLASASVDGRVYVWKIDEGSDEENKSQITGKIEIAIQIIGDAETYHPRICWHSHKQEILYVGIGNCILRIDITKVGRGRDFHTEEPLRCPLDKLIDGVNIVGKHSGDITDLSISQWMTTRLASASKDGTVKVWDDRRAAPLSVLKPHDGQAVYSVSFLTAPERPNHINLVTAGLLNREIKIWASTNDEGWLLPSDSEAWKCAQTLELVSSLEHRSEDAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGQDPASTRLNYIADFTVAMPILSLTGTYEAQPDGEQVVQVYCVQTMAIQQYGLQLSLCLPPTADNTAAGKDPAISHLNERLQEMAPLESSAGTTNVDSSTAMNKPSSDSQSTAPKSKTSQVGSPVVLSRHPSGSDHDRDADQSSFGRKNSFGEEEPRGGKSDGVLSSAETVVRGSSQNVEAEAKYVDERKSNRTVEFDAGNETHILPEKQERPAKPSEQTVDTTSERTIVTDKYSVEDSQPPADRSVPTILKQSSGAGDEEAVRRATEASKGIDGPCASRDLPLTSAAKGAKVVHPQPQVSGQLSPSTSTFSSTDSLHEPRSNGNPPIDSSLQAAAIQETLQQLVATYSNLQKQLSTIVSAPIAKEGKRIEASLGRNMEKSIKANIDAMWARFQEENARHEKSERERMQQMATLITTSVSKDIPVMLEKSLKKEISSLGPAIARTTAPIIEKSLSSSVSDSLQKVLGDKVVNQLDKSISTKLEGSIARQIQAQFQASVKQTLQDAFRSSFESSVIPAFEQSCKTIFEQVDGAFQKGMSEHSAAIQQQVLAAHTPLAQTLREAITSASSMNQGLNSELLDGQRKLLSVFASGSPTSQNTHALQPSNGPVANLPEVDAPLDPIKELGRLIAERKFDEAFTMALQRSDVSIVSWLCSQVDLQALCGTVPIPLNQGVLLALLQQLACDIANDTSRKLQWMTNVAVAIQPTDPIIAMHVRPIFDQVYGALAHQRSLPTTNASDATNIRLIMHVITSVLMSHK >Dexi5B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:5B:2659112:2659603:1 gene:Dexi5B01G0003890 transcript:Dexi5B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMFGLETPLMAALQHLLDVPDGDAGAGSDKAGAAGSGPTRTYVRDARAMAATPANVKELPGAYSFVVDMPGLGTGDIKVQVEDERVLVISGERRREEREDAKYLRMERRMGKFMRKFVLPDNADMDKISAVCKDGVLTVTVEKLPPPEPKKPKTIEVKVA >Dexi3A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:3A:16628460:16629933:1 gene:Dexi3A01G0020990 transcript:Dexi3A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKNKLALEHAAAAAMAVAGALFLLLSLLAREAAASGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELRCDNAGRSCLPGSIVVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVRSVSIRGSRTGWQPMSRNWGQNWQSNAFLDGQALSFQVTASDGRTVTSNNAVPRGWQFGQTFEGGQF >Dexi9B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:9B:8462180:8464703:-1 gene:Dexi9B01G0012590 transcript:Dexi9B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLYRAALSPLPAAASSASRRLPALLRPLAGAASLLPSAAAPLPGAGVRCFATQPATSSLRDTSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDAGNPDITRDEIINGYIQTLAQVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANIRDRRNDRPRSFDRSRNFERRRDNMQNFQNRDVPPGQGYNTPPPPHGQNQMPPREGPPHHAQGNMPPPPPPPHAGGGPPNYQQGGAPGYQQPGYTPGGPPPPFQQGGAPGYQGGPPGYQGGNQGYQGNSGPAYQGGNPGYQGGAPGYQGGNPPPPPPPYQGGNRNTPPYQGGGNPGYSGGGPGYPGPGGNSNYQ >Dexi5B01G0028320.1:cds pep primary_assembly:Fonio_CM05836:5B:29716635:29718753:-1 gene:Dexi5B01G0028320 transcript:Dexi5B01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWWGREARGGSGGTSVVVKMESPDWAVPQSEAAAAGGKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDADAGAAPPGESPALRTRFYGFLRAFLVLSMLLLAVDVAAHIQGWHLAVDVPDLLAVEGLFAAGYASWVRIRLEYLAPALQFLANACVVLFLIQSADRLILCLGCLWIKLRGIKPVPNAGGKGSDDVEAGAGEFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGKEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVEVAA >Dexi1A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:1A:7110442:7110840:1 gene:Dexi1A01G0009050 transcript:Dexi1A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPECPCHPPESTAAPGRRQWRHPQAALHPAISQLRKPLAPAVGRSALPAGFRPPSGRRPHRLASTPSTRPGRRAYRCSSKLAGAAVECGEEEEEEEEEERVADTCDDRRRRRSVEAGACEEEGFAPVGGLR >Dexi3A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:3A:14199255:14200762:-1 gene:Dexi3A01G0018650 transcript:Dexi3A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVECAGGGRVKKEADLFLVDDLLDLPCDEEEEEVQEAVVEEAEGEGSKAGACGATAGSGGGGCEEGIAGNTSNDSSTVTALDSGSNSLSVLGDGDFSGGLCEPYDQLAELEWLSNYMGEDNFPTEDLKKLQLITGIPPAAAATTGTASAPAPAAAAAARQPVGAGVLPPEAPLPGKARSKRSRIAPCNWASRLLVPPSPPVSPPSPAAISPSESGTAAPAFPAKRPSKPSNKKKEAPTTPAQNAAAPAAAAGSSAGEGRRCLHCETDKTPQWRTGALGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVMELRRQKEVHPHPHHQYQPQQALGHVGPGGATAGGGLMHAPSPLLFDGPAAPLIGDDFLIHNRIGPDFRQLI >Dexi5B01G0033010.1:cds pep primary_assembly:Fonio_CM05836:5B:33518604:33520665:1 gene:Dexi5B01G0033010 transcript:Dexi5B01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLRSGEGDETDHAEDAHRTDLMTITRHVLNEQSRNPESRGDFTILLSHIVFGCKFVASAAGLAKLIGLAGETNVQAMSCMKFSPCHSSWSRLELNPSQTKNGYNYCTWFFSQNDSSEQGVQYLYLDAAKHVDTLALLALDLVSTKVHDRSPIFLGSYDDVEKIKASYAKQAKSSSD >Dexi9A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:9A:3180045:3182537:-1 gene:Dexi9A01G0005710 transcript:Dexi9A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >Dexi9A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:9A:5306191:5306803:-1 gene:Dexi9A01G0008970 transcript:Dexi9A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRALERRGVRAAKRQQALERGGGRAAKQHLYLIFDDWRRGYSIRKVDLSSDGFASGEGEPPAGWQMAARASGGWEMVCTGNERLPPAIFRVEAQRGLPTYFAAAFDSKILAMAPPMAPAEADALPSVLERHFPVFDVRTRGCLFVPWMETTGADPIYIPAGGKLFAIADGTFDQLDSVNPPPGLVVA >Dexi9A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:9A:15995907:15997394:-1 gene:Dexi9A01G0020970 transcript:Dexi9A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLTLSVSLPSLRPPECGSGTSDPNCTHQATRTQLGVFFLGLYILAVGTGGTKPNISTIGADQFDDNHPAERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDTVGWTIGYALPTLGLAVSIAIFTAGTPFYRHKPTSESPFAKMARVIVAAATKCAVAAPVDPRHLHELDDAHYAKKNSTPLPHTPNMAALSKAAVKTTNGGSAPASRWSLSTVTEVEETKRMLKMLPVLAITFVPSAMVAQINTLFVKQGTTLDRHVGPHFQIPPASLQAFVTISMLVSVVLYDRVFMPVARRATGNPRGISMLQRMGVGLVIHIAIMAIASVTERHRLAVAREHGILDSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSALLSTVSRVTESHGRAGWVLNNLNASRLDKYFAFFAILNCANLLVFFVVSRLYVYNAEDARVGDAAGSEEQKKREVAMQPANVAAVEATLF >Dexi8B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:8B:24349307:24352741:1 gene:Dexi8B01G0013990 transcript:Dexi8B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLAFRLLFLLTLLLLPLTIAEDGADAAALQKLKQSFTDPTGKLDSWSSPSPPCDAASPWHGVQCYKGLLVGLRLTHMNLSGTFDFAAVAKLSGLHYINLKHNNFSGDLPATIGGVRSLRALYLSFNSFSGEVPAAVFANMRWLKKLYLDHNNMSGPLPADAIAGAPRLIELHLDGNQIDGAVPFKLPATLKMFNVSHNRLTGSIPPEIAKRYDKSAFVGNPGLCGSPGSDAAVCVAAAPAPPPKMPPPTMADYMAVKEETSVFVVIGIILLVILLVSGAMTLMLRQDERNKTAPAWDYYAGAADTAATATAGGSSKPAASGEMVAVDVPGGGASSSNHGGGGGGRRMGEFMLRNDEVPAFGLPDLMKASAEVLGNGTLGSAYKAAMRNGFTVAVKRMRDMNRVGRDEFEQHVHMLGGLQHPNVLPPVGYHYRKEEKLIVSEYMPRGSLLFILHGNYIIYIHIYKYYICTYTSLSLPISKARSVSARFFVRRTDAGPTGDQSPNRVILDWQARVRIALGVVRGLAFLHEKLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLDADLEPRLVDYGFFPLVNTAQAPQAMFAFRSPEGATRGVVSARSDVYCLGVVLLELVTGRFPSQYLLTARGGTDVVHWAAAAVAEGGERGLVDPAIAVGGGDAAVRLLRVGVHCAKPEPECRPSMAEAAWMVEEIATGGGAS >Dexi1A01G0022460.1:cds pep primary_assembly:Fonio_CM05836:1A:29122602:29123425:1 gene:Dexi1A01G0022460 transcript:Dexi1A01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEGTNECFLLSLSSLRKIVLPPLLTPVEPLFGCAISSPTPPDCTIVFSTFRENYMVYWQPGDEDWWELPDEADGTYEFITGDIVSARGQMYVRTDMHTLIAIDVSMPSSDGIDIERRGIPHPSVMRWRCEESLVESDGDIFLLQFYIHGIYNSEVVDMDIHCLDTSAYIRNKVESIGDRTIFASDNNCVMLSSASTAGYAY >Dexi5A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:5A:7318544:7327344:1 gene:Dexi5A01G0009770 transcript:Dexi5A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGSGYAAAPPPQAGAAPPAQAQQTSAAATKDPKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKSFLDILNMYRKDNKSIQDVYQEVANLFAEHKDLLEEFQHFLPDTSVAPQAVASSRGGLVKREDKSSLVPPANRTLHSDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEKDDKDGEHDSRELEIGPRKRKPFPRKMEDTVGAETHQGGPSENHGIHSISASSYDDKDALKSVYTHEFHFCEKVKEKLEHEAYQEFLKCLHIYSQEIITRIELKNLVNDILQHYPDLMEGFNEFLEHCENIDGFLAGVFNKRPTARAIKIEDKEKDRERDREDRDRDREKEREKERDRLDKGSTFNSKEGASHKPSMFSSKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSIKPESPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFLEPILGVQTRTHGSDDPDLVKAKSRTTKSGLASIGESNAGAGSVAKHGNGDESEQGPSSRARLANGAATDTQNGIHDADRAVRRGEEPSNTGLNGRLHSTVSVADEIPSISAQNMAFTERSTENLAAVRIEQQKANLEFTPGLNASRGSHDGVETAGEARPGNETVTSAEGGETGRSGASLNGTIEGNKGRLNNEDSAAQNTSKVEREEGELSPNGDFEEDHFVTFEDGASKAKEASTSKPFQGRPGEVVPASEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDDEEEDMDHDDQDTKAESEGEAEGTTETHDVEGGISLSQLLSERLLLSILYERLLSAKTNSFTAEKKWRTSKDTNPPNLYAKFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSSGRFFDLVYHENARVLLHDESIYRFECNDVYFKWFSSPVRNKRKHSNNDEPSDSLKAMDGIKVVNGLECKISCRTSKVSYVLDTEDFLFRLRKRRKVMRGGNVPDRSQISKIYAAKVQRFNRFLSKP >Dexi1A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:1A:9557114:9557665:-1 gene:Dexi1A01G0010920 transcript:Dexi1A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSNTNSLPYSTDKGGYSTHDTLVLLGIGFCATAVSILIIVLCECLCCRRRRAGGTVVYVAARPFFLHGGGGGGGLSPSAVAALPSFVFHRGGLAVAGGGGHGHGEGSGSGHGWAQCAVCLSLVQEGEVVRRLPACMHLFHVCCIDMWLRSQSTCPLCRATVEPTKEASSKEQAPAPAPPV >Dexi2A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:2A:7167940:7169807:1 gene:Dexi2A01G0007340 transcript:Dexi2A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAAAAAATSTATAFASGRHRRLSSSSAPSPSFSQSALPRAVVAARRRRRRAALPASRAAAFTVRAEAKTKKSVLIVNTNSGGHAIIGFYLAKELLAAGHAVTVLTAGDEASDKMRKPPFSRFEELTSAGGRTVWGDPADVGAAVGGGASSFDVVLDNNGKDLDAVRPVVDWAKSSGDAVKESAGHVGVEKYIAEQQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRRRPVPVPGNGMQLTNISHVRDLSSMLALAVESPGAAAGKIFNCVSDRAVTLDGMARLCAAAAGVAVEIVHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEALGWVSTTNLPEDLKERYADYAGSGRGEKAMAFDLDDKILAAVGNATASVIA >Dexi9A01G0027410.1:cds pep primary_assembly:Fonio_CM05836:9A:32019234:32019767:1 gene:Dexi9A01G0027410 transcript:Dexi9A01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNLGCGSTYAFLPNEVLFDILVRLPGKDIGRLRTICQRWRDLTSDPIFIKAHAARHRDQFIAASFRGDREHIHVMDLSGRVVKRLPIRISESKHYLLYSFDLVGLADVDGGCSLIDPVAGATTHLPKMVLKGSTSGVLEEESSDDSEYYSSETGSSAMMMTRRSLSAAYSEQGV >Dexi4A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:4A:6759328:6761768:-1 gene:Dexi4A01G0008790 transcript:Dexi4A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVTVFLVKQQITAMSNAFAMKGRDLNGSDDMTLDPRMFVASWNVGGKTPTNRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVVEDNEPASRWLALIYQALNEPQEQTDEDDDPPPPEPPPDARRHHHHHHRRRDSSSLFFQTPSLKVLSNSYRVDSALVKTCNCSGEQSSMRRRASEIRASVYRAEAESDAAVPAGGETSTSGCIDAAADGADGSPTAAAQCDPGSGGGGGMSYCLIASKQMVGLFLSVWVKKELVEHIGHLRVDCVGRGIMGWLGNKGCIAISMTLHRTSLCFVCSHLASGEKEGDELRRNADVAEILRCAHFPRACKAPASHRIPEKILEHDSRMIWFGDLNYRVSLSYEETRTLLEENDWDALLEKDQLMIEREAGRVFRGWKEGKICFAPTYKYTQNSDAYAGETAKSKKKRRTPAWCDRILWHGDGIEQLQYLRGESRFSDHRPVCGVFAVQVDADDGSKIRRSYYSVNARIGQDRPA >Dexi3B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:3B:3340178:3343529:1 gene:Dexi3B01G0005010 transcript:Dexi3B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSASTAAAAHRRRSRRKAPPPRQPWCCSFGLDPTTAAAATASRSPLPAPRRAKPPHQLAPPLSRRIRSPGRVSPIDDPSFAAAAGSCVSARLSSVIECPPPALPPPPPSPSAAAVEEPRATLVMSLVEKGVVLEVDEVERVRRQSKVVRTVIGGRGGEVGLEGNVEVESFREAVEMMLEDEDETAVMRRLACGGVSRAIGVLEVSLSLMFDRGVNNCLKYLEAVPWNESEEDIIKKLLSQYPSYGAAFRNLLARLQPQRPTSSAELVVELIDSITKGTNNNARKELRNLVNGMLSKSSVYIKGDKELDKRSIYCICHSCLNSLVGLFEESSDLALADETSISSVGKGPLERIYKLVEDTNWLLQILIDRQMGEEFADLWANEKTLSSMHERVSPMVRHELSRISATIFIAMGSGKLHCTRDKRFSFFQAWFRPMLIDFGWLRKYPKGLNVTTLEEGIGQALLTLTLSQQQVLFMEWFEAFSGQGRECPNLMRAFQVWWRRSFVRSIGFP >Dexi4B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:4B:22636664:22640155:1 gene:Dexi4B01G0020430 transcript:Dexi4B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGSLIVEHTTGTAHGRMIQAVLSLSTSQQDGTGTGQRPFPALTDHVPITIQATSHVVPDPRSGTASRGTRNTGSFRVPGN >Dexi9A01G0041040.1:cds pep primary_assembly:Fonio_CM05836:9A:44697047:44700035:1 gene:Dexi9A01G0041040 transcript:Dexi9A01G0041040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGAPGHRRRSSGHGHGHHHQAPPPPPPQEAAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPPPPSVPVPLPAPYDHHHRPPTAAAGEFPPPPPAHPHHYPGWAGRYPAYGPHLPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEEFPGRFLVSFTFDATVAGSITVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFGESDLLKQGDMDVYPLAVKAETALPVDEPLEGEDQKMKTPNSQITQAVFEKKENGEYHVRVVCQILWVNGTRYELQEIYGIGNSMEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNKSEDQQQRPQSPPPLPPPTPQQEEVQA >Dexi9B01G0029620.1:cds pep primary_assembly:Fonio_CM05836:9B:32103142:32103983:-1 gene:Dexi9B01G0029620 transcript:Dexi9B01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGDELKLLSTWFSPFGSRVKLALQLKGLSYEYVEEDLSNKSQLLLESNPVHKKVPVLFHNGKALCESMIIVNYIEEAFPDAGPSLLPSDPYERAIARFWVAFIENKFVTPWCQLFEGAKTRAEKAEGLKQILEARMTMESALEERSKGKPFFGGDSVGCVDIALGGLLVWVRASEVLFGVKFFDAERTPLLSAWAESFAALDAAKAALPDFGRVLEYAMAVRGPAAGAVAANN >Dexi9B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:9B:6335416:6341441:1 gene:Dexi9B01G0010190 transcript:Dexi9B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLAIPAQAKRSTSQWPDAAKIQGGAGRTARAGRATARNSGTFPRASGRVRSEQPNRGARTRRWFHSSELLLLSPAAAGPAAPRGRQGWWSPTRPLSCPLRRHIQVVFSEPSRGGWRRRRRALGDSSVAVPRVRSELHTLAKGSRVAPRRQAPAPATSASTAAAGSAARGLCARRRNRGRGELGSASASSPIPSTPVTRSGHGGRRRRRRHREEEALEVMMRARGLWALRMLLVALVAAAAAPGAVLAQGNLTSRLDLRGLYALRGSLGLRARDWPRHADPCTAWAGVGCRGGRVVSLTLAGLRRTRLGRLNPRFEVDGLRNLTQLEAFNAAGFGLSGSIPAWLGDGLAPTFQSLDISACNVSGEIPASAFAGLARLRTLNLSGNVFSGALPDALWSLPGLSVLDVSRANLTGALPTTGLALPANAQVVDLSGNLFYGNVPDAFRRLFARVLLANISGNYYDGKLSVSDGGGGGNVSSELNCFLDVPGQRTQADCQQFYARLGLPYDGPVNPPAPQPAPAPARKKKHKNLKYILIGAIGGGFLLVAAIAAIVFCFVCSGRRRRIDQRESGASPSAPSGVSATGVVPATGGGGTQSPALPANTAKVGDSFAYDQLANATSDFGEERLIKHGHSGDLYHGVLQDGTAVVVKRITARVARKDAYLAELDLFAKGLHERLVPFLGHCLDEEEEKVLVYRFVRNGDLSSALHRKSREEDEGMQSLDWIKRLKIATGVAEALCYLHHECTPPMVHRTADQGSSGSPSATCSYDVYCFGKVLLELVTGRLGISASNDAATSEWLDTTLRYVNIYEKELMSKIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAALFGSWRQSSDIGPSRDDNILKRSGTIKSSGGSNGDHSSSRRRQSKEIFPEPSGSHDTED >Dexi2A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:2A:24932088:24936742:-1 gene:Dexi2A01G0014810 transcript:Dexi2A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREGSSDDDCASKRLKGIDTASETGCDVEASVSQAGAEARRTYQKESEVPSEKCVSDGKAAANSQVSGEQKMSLTAIEADAAEDKGCRHTMEDAWVLLPNACVGSPGSLRCAHFAIYDGHGGRLAADYAQKYLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTRVANAGDAKAVLARSISTDGEGVVDEAKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDHFIILGCDGLWGVFGPSDAVEFVQNQLKETSSASLAVRRLVKEAVRERRCKDNCTAVLIIFKH >Dexi2A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:2A:26088833:26089133:-1 gene:Dexi2A01G0015250 transcript:Dexi2A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCASTLPSLSFVSSSSSSNSPRRFRRSGVVAMASVGQKVYAPGVAVSEGNGGLPKIDLKSPHGR >Dexi3A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:3A:14125853:14126090:-1 gene:Dexi3A01G0018530 transcript:Dexi3A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSGWRETPGGAAAAASAPAPEDDVGASGGGLDAKTRMCRAAVGWVAGLRWHRPRWGMDFLRTTILPGGRGQRV >Dexi5A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:5A:3943300:3951344:1 gene:Dexi5A01G0005250 transcript:Dexi5A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGGASGIGFSSFSSTSPVSGQGQRRVDSALAPNMGDEAASTSADSQTQPQENTAAEHGLESVKRKLCRLLASSLSATVPGLDVDPILEVSKPGFGDYQCNNAMSLFSNLRGSGTNFQNPRAIGQAILNNLPSSDIFESISVAGPGYVNIVISSDWIAKRVEDMLICGIKTWAPTVPVNRVIVDFSFPNIAKEMHVGHVRSTIIGDTLARMLEFANVEVLRRNHVGDWGTQFGMLIEFLFEQFPNWEEVGNQAIEDLQSFYKASKIKFDDKNSCFKVKAQQAVVRLQQGEDRYRAAWEKICQISRNEFESVYKRLGVELEEKGESFYNPYIPPVLEELKNKGLIMESNGAQVISFEGQKSALIVVKKDGGFNYASTDLAALWYRLNVERAEWIVYVTDVGQKEHFHKCFSAASMAGWLPDENEHKYPKMSHVGFGLVLGSDGKRFRTRSSEVVRLVDLLDEAKSRCKNELTERLIENGKIADWTDDELDATSEAIGLGAVKYADLKNNRLTDYTFSFEKMLSDKGNTAVYLQYAHARICSIIQKADKDIEEMKTKASITFVHADERALGLHLIRFQEVVEQACADLFPHYLCDYLYSLSEAFSKFYASCQVVGSPEETSRLLLCHATAVVMRQCFNLLGITPVCKL >Dexi5B01G0023220.1:cds pep primary_assembly:Fonio_CM05836:5B:25452952:25453449:1 gene:Dexi5B01G0023220 transcript:Dexi5B01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPDADAAGFKLFGKVIQPPDAHRAAEEGGAPSPPPPQRQPTTTTAAVVPPAPPQSPPPPPPPLQPQAAGAGAGGTGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRACRRYWTAGGALRRVASASPGRRRPRPTARSAAAAAAIATVSSASAAAEEGGGER >Dexi6A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:6A:25438532:25439844:-1 gene:Dexi6A01G0017590 transcript:Dexi6A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTLPCLLLPLPSLQHRPNRVTSDHDRPGSSRSWPWRVKTGSGAGRKPGRFCAKGLFGGGGGDGFRTVMRMVKLNSAIQNRSVRELLELIGDECLYFLGNLPSIDVSQLGKDTFLLLHALMVRHHVSFVVKPTPDEAGFDLGVKWSLEWKGQKLPWDLDCNVSTTHVYRGLLLIRNKFFAHGINPALGARNLDAVIFTIVNKVLPEGTLDEKRTSTTISCVIIGLVVMVLFYALFKNL >Dexi9B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:9B:15467736:15469069:1 gene:Dexi9B01G0020790 transcript:Dexi9B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRIEHVQPSRCTEEFRLRKAKNDQLKADAKSRGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >Dexi8A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:8A:19876472:19877825:1 gene:Dexi8A01G0011280 transcript:Dexi8A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLRTSSKPLAGEVNEARQTYRAAVLGIGTANPANCVPQEEYADWYFRVTKSDHLTRLKAKMKKICYNSGIKKRYFHHTADTFRDNPELIDLSLPSLDARQAILAPAVPELAAAAASKAIAEWGRPASDITHLVLATYSGAHMPGADLRLASLLGLRPTTQRTMMYLGGCASGSAALRLANDLAENNPGARVLVACAELSLVLFRAPRVDHTGTLVMQALFGDGAGAVIVGAGEDGAGVERKLFEIMSASQTVIPGSEDAAAGYLGEGGLVFCPSPKMPSLVRQHLEECLLDAAASPRELGHGWNDMFWAVHPGGPAILDGVEGGLGLAPGKLAASRRVLREYGNMSGASVIFVLDELRRRHREELDGRLGVMLGLGPGISVETMVLRSASGMEKK >Dexi4A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:4A:3198950:3201395:-1 gene:Dexi4A01G0004510 transcript:Dexi4A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAYGRYLARTTAEAPPGHRGNTADLRLYTTPEQKDVLWVAVRNGNHVPWWLNGVSIDNDANTQSTMTHWTVEVIPPREEPPALPLPAPQHPEESRRIIVYVQADGLVNFDPNATRTCYFYGRSVANLRSTLANHLNEDCVDNITTCARAGFQGRLTPLVVDLPRNQEPMFIVLLTTGSPVS >Dexi3A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:3A:17015317:17019296:-1 gene:Dexi3A01G0021380 transcript:Dexi3A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRPGGLSATLSVRLRRSLSTAAAAASRPAWAMIHQAILDRSPDLRASFKLVEPPCPSHIFVPGHLVDPGPRPDPDSDTMSLLGGGVRAVSGDGLLLLHFSDGRATAPIIGRHGTSRARRLIGIDMDSDTTRFVCNPISGQLFRLPDIDGTKKTSSCKSLGILTHSRTPARAARQPFSDRPELRFVELPRGSLTEPMEGIRLLGRYRRMGVSEGRLHYAEVSQEEPFLLSSFVLENDGSWTLQHRVALTGLWEHPSDPCEEDKPRIGVIDPLNSSVMHLTLGNGTLSSNRAGVKSKTLSDILIRVERDKKN >Dexi1A01G0025450.1:cds pep primary_assembly:Fonio_CM05836:1A:31674034:31676932:-1 gene:Dexi1A01G0025450 transcript:Dexi1A01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESGRRGRVVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELLIGVGVRGGPAAHAAPFKGGRAALQKLLHAAFKRGETSVEVRVRRHAQRPQLVAGDNGELAAAAEAVTTAARMQACIVPQESVGGGGIGRSRQYVLRSLRDPNYAVGLVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVSYPWEKKMREVLPISNSSSFLSLLILPTALDRAGSRYNSVEDTLARANAWMLSSQASGVPIVFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEIVLEESDARLGFAISRTEEGFIYVSSVMENDGDLQVPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHVFMWEGKSDVPAPPAREPCPLPLPLPSSAVTELPRQNSFAYAEQRVQPEADPGVMHERDTAGDASFRFHNFSLPNNWV >Dexi2A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:2A:205855:208581:1 gene:Dexi2A01G0000370 transcript:Dexi2A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSFTTQQRSKAGFPPADVAIRATSGKDSQEQTAKSMTGSASPNQMTDENNRSAVSGHYATSPSSHQECCRSEDLNRYAFSDEGKEAGHLKKSQSLGNMLQKDRDNNCSEGTECDFTDHEQKCHHSSFKSSAAVGESTQVCSPKNDDAFDAASDLISHEFCEPSGGPAVDSDSCHHMSYAQNKFPRSQSAIFQNDSTSDREGSVDSVILGSRCRSYEGLCSLVDEKIDYLSGGEMHRCKSNLDLYCTPSSPDAYRKLNIEDNGSVGCSDAAEGGHRSTGSMEEKFIRDGILVGHEYWDGKYVCGDHSVDPVAPFCADPGDGFYHSGNDGGLSEAMNREREEKLCNVDSALHDSLVIEVPGSVNISDTKDISGEAEHNKTDIDEDRNELTPRTYNIKRIEDWINQIDINDITFDEQGESSISAPAKSSEPITDVPAVRPDAKSPLGMEIAYTYISKLTPASSSAQLANLGLVAIPRLSAFSGLRLLNLSGNSIGLASCSSLKELYLAGNKISEIDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDEHLKKYLLGFLPNLVVYNKHSIRATGSKEVSDRHTRRISSSHRSDRGGRLDRKSSRLVGASSSHKGQSSRHARSRYASGSVLKHSRARNMPTALLGSRATEHVGAVTVAKQAQLEGNLQ >Dexi9A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:9A:13733952:13734626:1 gene:Dexi9A01G0018670 transcript:Dexi9A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARGASLLLLLALSCWCGAATAARSAPSSAGAAASSDFVSSWCAGTKYPALCGATLSPYAARVGSSPARLSWAALTVAHDAAASVRAMAAAGHLAAEAAARDCVAKLGDAADKLRRSVDAVAARLGGEALKQEDVDGVRTWASAALADAGTCAEGFKGEVGTGAAREAVRGHVAGVARLTANALGIVDKAAMVAKPVVVDICRGSCRSVPVPPPSTSP >Dexi3B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:3B:9699033:9704391:-1 gene:Dexi3B01G0013570 transcript:Dexi3B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHHLLLSPPAPQPPRPALLHGALGRRCGGAGRAPTAVLSSTSNAARSPSVAADDATRRRAVLLVGVSVLPLLRLRDAAAAAAAVRAQRSTADLVTDRTDILKAGGSQQEEPQDELPQSVVKVQHARNPLSGLLNALAVIASGVFAGLLGTSQQEKKALQSTITSMENKLVENEATMSMLRENYEKRILGEQAELKKQARKFQEEEALLQDQLASSTRTVTSLTEEVQREKELVEQLNLEMDRLKRSIAEAEEDKHVSEGKLNENMKMLDILRNKVNLLSQEVNDNDEHIRELSSSLFAKENDYQNLSAIYNQAKDNLEQANSQIKQLKKDVLTYKDDLKSKASLIDSLNEKVQTLSTEKGEAEEKISALTSQYMDLKTAAEARASRDSELLFEKDDKLNQLEEKLSAALSDSNNDRTRIAELNNELDTTRTMLDDEVVARKSLSDLVHSTEEALIDSRNEVFKLSEDLDEVKRSNQDLMAQISKLTDEASEVRQALAKKVEEADSVSATLSDELASVREVLRRSQEELEVISNQLISVSEAHSDLNKELLDAYKKLESTTNELVKERKINATLNRELEALVKQSVIESEARKALQVDLDEATRSLNEVNQSTLSLSKQLETTNSKVSAIKEEKEVLSKALEEQKKSTVEAQKNMEDAQSTIKRLGTERESFEMRSKQLEDELAMAKGEILRLRRQTSTSGSEISTSTEAILETGVTPSMSQPQEQPVKNRVQNTNSDGAVARSPKRIYRRRKGRPPA >Dexi2A01G0034870.1:cds pep primary_assembly:Fonio_CM05836:2A:44692101:44693272:-1 gene:Dexi2A01G0034870 transcript:Dexi2A01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVAHKLAALTSSPFLPRHRCPASPHARRRRWRHGVVVAYMEPNPNSPAAIAGRLVGALPVVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKPGDPFYVLLCCWLAAVGAGLLKTEEILEGVARLRISNDIEFEEETFIDMMRAAKEKRAKLKAPAPQIPMETRAEKALEAIYVCCFGQDMVEEEDEKLLRTILNAVFPSVGRPAVERMVSSMAKQVASGERKRDERTFSKEVQQRQLKDLEFLKQNKLDSS >Dexi7B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:7B:15705164:15707683:-1 gene:Dexi7B01G0007890 transcript:Dexi7B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYMVLPSILLAGIFLLAGPYLAEAEYAVELGEAVLTLDASNFSEVVAKHQFIVVEFYAPWCGHCKQLAPEQYEKAAAILRKHDPLVALAKLDAYDERNKEIKDKYQVHSYPTIKIIENGGNNVRGYGGPRDADGIVEYLKKQVGPASIELKSAEEAAHIIGDKRVVLVGVFPKFAGVDYENFMAMAEKKRSDYDFFHTSDAGILPRGDQTIKGPVVRLFKPFDELFVDSQDFDKDALEKFIEVSGFPTVVTFDADPTNHKFLERYYSTPSAKAMLFLNFSDDRIEAFRSQIQEAAKQFSANNISFLIGDVEAADRAFQYFGLKEDDVPLLFVLAQGGKYLNPTIDPDQYGNLTPYVKSEPIPKVNDQPVKVVVADSIDDIVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVSMQDDEDVVIAKMDGTANDIPTDFAVEGYPTIYLYSTTGDLYSYNGGRSAEDIISFIKKHKGPKVSVVDEVAQTGAGAVEEVSTPWSPSELLKDEL >Dexi1A01G0022450.1:cds pep primary_assembly:Fonio_CM05836:1A:29116770:29120330:-1 gene:Dexi1A01G0022450 transcript:Dexi1A01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSNVPPCPLPCLPPPRAGHADRPQESKKLERGAASLPPSPLLTRRPPLLSLSSPSVCFSLPSPTNCNCARLASAPQGAQSLAMKTRRGACYPAAAGEAPEAPRRKRPRRTSAAAGSSAPAAAAGAPAGGLGDMFEELPDDLVVSILRDVASSAGSPADLAGAMLTTLIGLLSEEFFDACAAHPGERKNDKNHFCVDCAAVLCRHCLPHEPIHDVLQVRDPSHAPLRRLVIWKYASCFVVRVDDLRLFDCSGIQVHYVRYISSPTPPPIAAEPMSHTVSDHEVVFLNERTARKRSASAENPCAACARPLPPGHDFCSLFCKVKHLGESEHGLRRALRVSRRHEEAATPEGQSGKRRPSPSSDAAGPSCGGSLRKRSRNGRRSVDIPRAAVVGLPRFVVGLLRALPTKRARPFPTSSTSDFRSLRSLPSNPTPRLQAPTNCRNQAAAPILAGGIAGMPRPPPPGRGAPGARRPMRDFFAVWLATLRSPLLPLLRRALSSSSGSWDDPLSSAAAAVEAHFQAHWSALDAAARQDPARVVAAGDWRSPLELPFLWFGDVHPSLLTSLLRTLSPSPRLLAAADRVDRRIRAAVPNVSDRFRRAQEAFVAAEVAGTADVEAFLGELKTVALEANRLRRGVLSELVAAAGGYQAALYLEALSRFVLSMHDPEVLRRFDQCRPSPGS >Dexi9B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:9B:14187102:14188171:-1 gene:Dexi9B01G0019650 transcript:Dexi9B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGRIELDKLSVEQLKGLKEQTDLEVNLLQDSLTKIRTATTRLESASAALHDLSLRPHGKKMLVPLTASLYVPGSLDDAENVLVDVGTGYFIEKTMAQGKEYCERKINLLKSNFDELLEVHYMSLLDRSALVYITIPSHLEPGGANASFCVHCMGN >Dexi9B01G0041610.1:cds pep primary_assembly:Fonio_CM05836:9B:42065357:42066997:1 gene:Dexi9B01G0041610 transcript:Dexi9B01G0041610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAAAQRRRRQWTLALVTAAALLESADEALLPAVYREVGAALGASPTALGSLTLCRALVQAVCYPLATCAAARYDRARVVAAGAFLWAVATLLVGASATFLQMALARGFNGVGLALVVPAIYSLVADYSDDGTRGTAFGWVVMAQSMGHVAGNSLGVLLAATSFAGVPGWRLAFYVLALVSASLAALTWLLGADPRPRIVKATTAVATLAQLVGEAKDVVRVPTFQIIVAQGVAGSIPWSALSFSAMWLELVGFTHWQTTLITSLNSLANALGALFAGFVGDPLAVRFPNTGRIALAQVCTASTVPLAAVLLLALPNDPTAGAAYAAAFFVLGFAMPWCPVSTNNPIFAEIVPEKARTTVYAMDRCFETVFASFAPPLVGILAERVFGYQPGASGTSVDGDRENAAALGKAVFAEIAVPIAICCLTYSALYWTYPVDRERAQMAVLQGAADDQDCDCEASVVANATAVDGLNQALLTGNRAENSAD >Dexi8A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:8A:1280966:1282193:-1 gene:Dexi8A01G0001890 transcript:Dexi8A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILQIAAAYAAGGPYHGASAAIPIWKVHVEPNEFSKNYLLIASPHERRFVPIKGKRPPDILNQIAVGMAIYPSVLGDNNPRLYIYATNDGGDKSHCLNHECGFIQTNNQFALGTAFSNESRAGGELDFVKVSLYRQTGPAVWWLSINDVAIGYFNSGMFAVPFVESFYHEMGGRVLNSMPGGKHTSTQMGSGMFPSAGLRNAASIVGYLAFNNNGGDLVDDPVNIIATSPKCYDAKDFGRDLNYPGIDVAYGGPGGPECDR >Dexi2A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:2A:33727222:33727920:-1 gene:Dexi2A01G0021680 transcript:Dexi2A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDGGGVRHRLRRRTAAVCVTGSGGYVASWLVRLLLTRGYAVHGTVRDLGDEKTAHLKRLPSASDPEGGLSLFRADLLDYDAMAAAIAGCQGVFHVATPQFRKQAAELDFVAN >DexiUA01G0020210.1:cds pep primary_assembly:Fonio_CM05836:UA:42192763:42193006:1 gene:DexiUA01G0020210 transcript:DexiUA01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGASGPRHATPLGAHDDGGRGRADDTEVAFAADPLLRAPGIDCDGEDSGCDDR >Dexi3B01G0032300.1:cds pep primary_assembly:Fonio_CM05836:3B:34662427:34662730:-1 gene:Dexi3B01G0032300 transcript:Dexi3B01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRSSSQSQQQEQAEQLVCRQGIDSKNRQEPVRKAAAAEHMNQPYHASSHDELVLMVSLDSITKIM >Dexi8B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:8B:6925132:6925690:1 gene:Dexi8B01G0006080 transcript:Dexi8B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSEQAAVARNKKVVLRRHVTGFPSEEEMEVVPDTVALRVPAGLTAVPIKNLYLSCDPWMCGCMSKNDDGATRPTPDFILGETLVNFGVGKVIDSTHPDFKAGEPVWGMSGWEEYTLVTEPNSLFKINGTELPLSYYTGVLGQ >Dexi1B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:1B:9619712:9620383:-1 gene:Dexi1B01G0010270 transcript:Dexi1B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFIRVFGLAIANMLCVGGTGLLINSLVRKARMPHRTSEMVTLSLFFVFWISISACVYPVFCGLLFPWRALGRVLAPPLRAAAWLLCLPCRCARTASSVLRLRSSRGGPASGALPQFMVQASGGQGHGIHMLPREPPVRGGARVVAVDDIPAYEQRDAKRPDGALSECAVCLGEVESGEMVKRLPGCLHMFHQQCIDPWLRDHSTCPVCRYNVFAPMPEQVV >Dexi9A01G0040590.1:cds pep primary_assembly:Fonio_CM05836:9A:44319334:44322635:-1 gene:Dexi9A01G0040590 transcript:Dexi9A01G0040590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSDGGGGGDQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGALMRKSGPDVPPGYDPALAVDAKPKTKAAKRNERRKEKRQQIRLAEAQLQGDPEKLKSETQEKLKKIEGWHAELKLLEDKRAPTGS >Dexi4B01G0021380.1:cds pep primary_assembly:Fonio_CM05836:4B:23364525:23365759:1 gene:Dexi4B01G0021380 transcript:Dexi4B01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRLALFVAAATMCAALMPQSAVAQLRPYYYANICPNLELIIRSSVKQSMAQSPISAPAALRLFFHDCAVQGCDASIMIVNSNGDDEWRNPDNQSLKPEGFQVILNAKAAVDSDPQCKYKVSCADIMAIAARESVSGGPYYDVELGRYDGRVSTKASVVLPHANFTLDQLNAYFSSLGGFTQSEMIALSGGHTLGAADCPFFQYRIGTDPTMDPNFAAQLKSTCSSNPTSGFAFLDPSPVTFDNAYFKNLQGGKGLLGSDQVLYSDWRSRGTVDHYATYQNAFFGDFVAAMTKLGRVGVKTAATGEIRRDCRFPN >Dexi3B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:3B:11219241:11219905:-1 gene:Dexi3B01G0015460 transcript:Dexi3B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIHLRKERSASPMRRPLQFNRSTSSDATQKVGTKNQSGVKEFSTFHSFDNPKGRAIQKAPVGTKSMLAALFIKHKSAKMKKIAVR >Dexi9A01G0044730.1:cds pep primary_assembly:Fonio_CM05836:9A:48314426:48317202:1 gene:Dexi9A01G0044730 transcript:Dexi9A01G0044730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPESVSLHQHGNTSSAMALTRDLVVASLSAAAGAVAAAAALRFLSSSCRTSSVRPQNLSLATNGSATERRPRQSPFDPTKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKESANGDPLETKFPYVVHAEVNAILNTNHASVSGQKLYVTMFPCNECAKIIIQSGISEIIYFIEKRTGKSAHAYAASHRLLSMAGVKVRKQQPQIAQIPIRFQES >Dexi9B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:9B:2491679:2492223:-1 gene:Dexi9B01G0004360 transcript:Dexi9B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTAAATSSLPKDPSPSSLATSTPTPRSAMVGSRQWRLTPECHVDDGLGWLAVQPLEYPGTYTGEGTVVVTGEVGL >Dexi9B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:9B:7313617:7314860:1 gene:Dexi9B01G0011480 transcript:Dexi9B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLCCLRYPEDGSAAPPICCFCLPWPFAYHGVDSVCFYHFPSQGHAARHRGDTRVAPDRGRIPLAACTSAGQVDSMDTFCPPPRPLPYDDPRFSPPMVQHPIVSEQDKASTHFQKPGQLTEIKTSDTGSTCTAQKVESVKHHSGCSRIDGIQDSDSYDEDDCPICLEG >Dexi1A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:1A:5338455:5340847:-1 gene:Dexi1A01G0006960 transcript:Dexi1A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFIALAEPGRRKKKKDIAWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAVEVEILARVRHKSLLSLRGYCAEGQERLIVYDYMPNLSIHSQLHGQHAAECNLSWERRMEIAIDSAEGIAYLHHYATPHIIHRDVKASNVLLDSNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGIMLLELASGKKPVEKLNPTTKRTITEWALPLARDKNFKETADPKLNGNFVEDELKRMVLVGLACSQNKPEQRPIMSEVVELLKGESAEKLSNLENDELFKSETSSFQGSSGPDSSDCITEEKSSKADAKEAVDSSETVPSAR >Dexi1A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:1A:5829609:5833007:-1 gene:Dexi1A01G0007550 transcript:Dexi1A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAPLGGASPSGRVLGPALDRIIKNAAWRKHSALVAAAKAALDLLSSPSAYPSSDPTSPKSSPLLGLPPAAAEAALHALLLALESASPKVADPALDCVAKVLYHRLLSGDLGCAGGGGDDASSPASRLLNAVLACGALSDDAMELATLRVVVAAARCPTVAIRGEGLGQVLKTCYNIYLSSSSGANQLCAKLALAQVMVIVFARVEVDTMDVRVRTVSITDMMDMSDRSLNDSSIVQVAQGFINEAMEGSDVPEPGSPVEPSEADGKDDAGMSKIREDGLALFKNLCKLSMKFSTPDNPEDQMLLRGKVLSLELLKMVVDNAGPFWRTNEKAVNGLLKTALGVPPGSTTTLTTVQDQTFRIESVKCLATIIKSMGSWMDQQLRIEDGSAIDYELQSDSGSPDISGAPSLEQRRAFKIELQKGISLFNRKPSKGIDFLIKSKKIGHLPEDVASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEDTAYVLAYSVIMLNTDAHNTMVKDKMSKTDFIRNNRGIDDGKDLPEAYLGTLYDQIVKNEIKMSADSSVPQSKQPSSVMKLLGLDNIISFVNWKQAEDRAVGANDLLIKNIQEKFKLKSGKSE >Dexi5A01G0028390.1:cds pep primary_assembly:Fonio_CM05836:5A:31679277:31681207:1 gene:Dexi5A01G0028390 transcript:Dexi5A01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTVKAAACPVFAGGGDRERTETETERGEGGIIEEVGPVCSGGEGRDGGDGEVLGMEGMRKGRDGGGDCGGGKVKGRDDGEVEEMGAGEVEEMGRRAVGKAARRRRREATGGKEGHGGKAQRPDTRYTGRQLARAGPNISLSTYDWTPHVISLRCPSPTQTQTKLGFARKPGPFPTYKALFHHQTLSRSTIEIAPPPNPSSSPSMSSSSNLSMSMVRRIESPLIGPFSFSRSFVPCAPHHGCQPSWLVVGRLRLSRFDKNRQNTFPILQACSRALNHSQTEASSLGLASLQQPTTMTYTHQLIHNYPMLDQRRPHFL >Dexi4A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:4A:19831226:19831734:-1 gene:Dexi4A01G0016230 transcript:Dexi4A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAADAFAIDDGNIFESLGGTPQPFVDLSTNFYTRSAIAPLVYEDEEEWFREIFSGSKKEDAIQNQYEFLIQRMGGPPLFSERRAMTK >Dexi6B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:6B:18572263:18574683:-1 gene:Dexi6B01G0011350 transcript:Dexi6B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGSSSRMDAAEKKDLSTAILERKRAPNRLLVDNGEGEVAPDNSTVTLSTSAMEQLGIYVGDLVLLRGKRRRETVCYALPDESCPEGRVRVARGVRGNLRARLGDVVSVTRRLDVPNGTRVQVTPFEDSIDGISGDLFEAYLKPYFFNYQRPLCKGDCFLVRGNMRAVEFKVTAVEPADVVVVAADTVVFCDADAPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVKPPKGILLYGPPGTGKTLLARAIASESGANFVMVNGPEIMSKMAGESEENLRKVFEHAERSAPSIIFMDEIDAIAPNRDKTHGEVERRVVSQLLTLMDGLRPRAQVVVIGATNRPNSLDPALRRFDRFDRELDIGVPDEVGRLEILRIHSKDMPLADDVDLERIGKDTHGFVGADLAALCSEAAFQLIREKMDVIDVEDTIDVDVLNSLRVCNDHLKHAMEVTKPSALRETGLVEVPKVSWDDIGGLEDVKLELQETVQYPVEHPEMFEMFGMSPSRGVLFYGPPGCGKTMLAKAIAKECKANFISVKGPELLTMWYGESEANVRGLFDKARAAAPCVLFFDELDSIAVRRGESAGDAGGASDRLLNQILTEMDGISAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDEPSRLQIFRSCLRRSPVSRRVHLPALARITAGFSGADITEICQRACKLAVRDVIQRSLTAGKAAAMRGAQIGLGHFLGALKNARRSVSDIDVLKYEFFAHRFKGGGGGFGGGFEEEPMIAAPMGKEPMAITELEDDETAMNHESLYG >Dexi5B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:5B:8443327:8449342:-1 gene:Dexi5B01G0011890 transcript:Dexi5B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAVRGCSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDSPDAAQMDAVKNCPLRTIYFESPCAFPEINSFTYETVSTYTSIGSIHDTVPNALLSDSSSEKTFRPAYMLTDGRLERAGRTKKTSHKIEMNSAASNGMKNIEAEQMISRAASIIVVSDEILFGITEDKLGTALCKKLHAIGWRVSHVAVVRNEIDSVAEEVERCKSTDDMVFIFGALGPLHSDISLAGVAKAFAVRLAPDEEFEDYLSQLMGSNYTGDRNEMALLPEGITELLHHKALPLPLIKCRNVITLAATNLDELDIEWDCLLDTQESGLVQAKPFVSKHLRTTLSDVQIAPVVAKLCLEFSDVYIGCHRISRAGPLVVNLTGKDNQRVEAAAEKLTSSFEGQFSQD >Dexi9A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:9A:15817988:15833833:1 gene:Dexi9A01G0020760 transcript:Dexi9A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGARSGVSAAAAVLVFVLLVGGLASGAAGTEIRRQKNVQVALRAKWAGTPLLLEASIGGLVNQFYLSCSKRHLMGLMFASELLSKESKDLFWDFIDHWKELDRGSECLTAKCCAQKIVEDARTLLNEPLSSIFEFSLTLRSASPRLVLYRQLAEESLSSVSANDSLNRVSGHGTGENFDEASGSSSSGGTCCWVDTGKVPLFNSADLREWLEGSGNLAIDSTEQPELFDFDHVYPRANITASVAIFYGAVGTKCFKELHAQLAEASKQGKVRYALRPVLPSGCQATSSFCGSIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVPLEDPKTEDLSQEVRGFIFSKILERKPELNTEIMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSIVSSLSRMKLEDSIKNEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHGELSLADQFVRLKLPQSAARKILSAPPPAESNSFRVDFRSSHVHYLNNLEEDAMYRRWRSNLQELLMPVFPGQMRYIRKNLFHAVYVLDPASACGSEASLLLTFFKFLLFTIDMILSLYQDNVPIRFGIIMYSSRLINVIEESDGTPVNDGEDTSILITRLFLYIKETYSTQLAFEFLSNIHKSRNGEDDYNEDLVEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMYKEEAEESSRFVYKLGLYKLQCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSAGKKFVSLFASYHQQDSVFNDIKYLQAPGSVDDAKPVTHLLAVDLSSKVGTKLLHEAIRYLIDGSSRARVGLLLYVRGGSSAPILLLKDIIDRTILSFSHKEKVLVFLHELLKFYEAQPTPASSVAGDWTKTMMEKVYSLAAETALPVDDYKVWFTSFSADTVLKGMDKLSDFLFGQLGLVFGSNAVITNGRVFVMNEGEPFLANDLGLLESIEYDLRTKYIFEIIEEVEFIGVDPDDLTSQFYSDTAMLVSSSMSVRERPSERAHFEILHAEHRYFLICFCMENAIKLNNENSSIHIDAVIDPLSPTGQKLAPLLRILWKQIQPSMRIVLNPISSLADLPLKNFYRFVLPSMDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAIFELEALLLTGHCMEKDRDPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLVAIDSLRGKLMHIEVQKKKGKEREELLNAADDHHFQEKTDNKGWNNNLLKWASSLISGDASSKNKADKVTDRKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYEQLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVGLDSEARQFTARILGDGLESAEGTPPSSETPKPDDKDTDQNVKDEL >Dexi9A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:9A:7520556:7521682:-1 gene:Dexi9A01G0011850 transcript:Dexi9A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCAPLVPVSPAASAASSAAASSAEAGAPAMMPPPQPPPLPPPPPRSNSAPGGERRARAAGTSGGGGGGGSSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVVSRETERGAKVIGEDSLRRLNRPSTSSASHSNGHHQPRNPIGEIHLKVSTVRESRSDDRRFSIFSGTKTLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERISTW >Dexi3B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:3B:9733581:9734076:1 gene:Dexi3B01G0013630 transcript:Dexi3B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSSLVTSNAADDAHARSPVPEAATEHPPASLAARSLESMCGACLRFATVALVGYSLATTAWRARHDPGDLAFVAGAGALLAALLACLRRAERLTPESPAEERRRVQAAVWLISTVLSCAFAYRVAAIMPMAVAVLVCTNV >Dexi5A01G0037080.1:cds pep primary_assembly:Fonio_CM05836:5A:38402011:38407029:1 gene:Dexi5A01G0037080 transcript:Dexi5A01G0037080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSAAHGVLGPLLGKLNSLLANESNQIDDLKARVKEVKDLKSSYKLDDIACSAFEHPAVDPRLSALFVEEAHLVGIDGPRDDLVNWMVEEENCSTKHCKVLSIVGFGGLGKTTLAREVPCKDDFTKDIDTWDKKQFISKLRELLLKKRPQYLKFEEGTMPKLEKLDVPFFVSVAKAYGFDLGINNLPCLKHADIALNNRDVTSSESKAAAAAIRKEANAHPNHPRVFIFNEMEKDVEENDIDEESSCANEKES >Dexi3B01G0038690.1:cds pep primary_assembly:Fonio_CM05836:3B:41439852:41440310:1 gene:Dexi3B01G0038690 transcript:Dexi3B01G0038690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAASCCVAIRFELMLLELDSNADEKRRKLAGDAAATGRGGPRSNGNEPRFVSNGARFEEEGRFGVDSASTTWNRRRSSVHGGGAGSWWPSSFGGATSLVSSVRQEATGAPPCRRRRYLSLSVCLSCWDGAQAYGPYARWCVAPLRYRR >Dexi6B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:6B:24129032:24129445:1 gene:Dexi6B01G0016840 transcript:Dexi6B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIASLFSAASSLPTGSGARPSPPSARAATRHQQQQQPRRRLVVAAAGKRRYKGTARKEALLAELVERKVAEAMEVCAGHEAEPGCRVAWDEVEEVSQARADLRRRIAEAPDDPLEPFCALNPGADDCAVVYDD >Dexi5B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:5B:91047:94392:-1 gene:Dexi5B01G0000140 transcript:Dexi5B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPRVSFRDGRLASRKAEEAAWRRHQAASWLQTMVGSFGLSPCPSEQEFVASLRNGIVLCRAINKVQPGAVPKVITNAPCDSQPLTAFQYFENIRNFLVAVQGLKLPTFEASDLDKIQSDNVALRPSPSQKHLVLTQADADTLPFQNGDPKTKGNIRVFCRIRPPLHLESISSIEHVGNDSSVMVCDPVKPQNEVYNETQPLIRSVMDGYNCGPSGGLLKDFGINYMALNDLFNISTSREDVKYDIRVQMVEIYNEQVRDLLIPDIDFTLDIRTPSNNVG >Dexi6A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:6A:27124371:27130494:-1 gene:Dexi6A01G0019530 transcript:Dexi6A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHRLKSGRIGLAASRWIVRKESGVSSPRMERKAPKRGGKAPVPAKKKMVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Dexi7A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:7A:20252902:20253488:1 gene:Dexi7A01G0009160 transcript:Dexi7A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTDLVVEVKSPADKLWAALRDSTELFPKIFPQQYQSIETVEGDGKSAGTVRLLKYTEGVPMLTFAKEKLELADDENKVVSYSVVDGELVNFYKNFRITLKVSPGKEGAVVNWSMEFDKANEQVPDPDVIKETATKTFHDLDDYLLKNAAEAAPAAPAVAV >Dexi3A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:3A:14141387:14149368:-1 gene:Dexi3A01G0018580 transcript:Dexi3A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDMLAFESHQGLWNSGYYSQLFGIGGVMITVAILMLSTGYFGGIGAPFAPYFWPYLEQVPKKREHKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFKKYNIDYIIHGDDPCLLPDGTDAYELAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDADDIDEKVEVKPNDELKSQLSHFLPTSRRIMQFSNGQAPSPGARVVYLDGSFDLFHAGHVEILRSARQLGDFLLVGIHDDQSIRDRRGHRPIMHLHERTLSVLACRHVDEVIIGTPWEVSKDMITTFNISLVVHGTVTEGISAGEIDPYAVPKSMGIFKIITSPKSITSVSVATRIVDNHEAYMKRNLKKKASEDRYYTQKKFVSGD >Dexi6B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:6B:2958971:2959522:-1 gene:Dexi6B01G0003590 transcript:Dexi6B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGRKAAGPSGEEAEVEALLRAAQDAVLLKLQANSHLVSSSSASASAPIAPLDDAAAAAADPLDDDLARRFDALKSRPPAPKKKPAGAGASAGAGCGGSGMDELEARFAALKGAAGPEKDARVRLEDLGGESSEDETDEVDKVMRWAMDAARLDVATAGVAGDKAKRADDAEEEEEKD >Dexi7B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:7B:5066590:5074999:1 gene:Dexi7B01G0002620 transcript:Dexi7B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQDVPFNIPHCIARRIHPQEGEEPKFFVRDQMLNCHVTSSQNAERESAYDIIAALMKIPFLDEDMPSPNQPLPPKEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVSKPIDMLMLNKIKESYSQIKVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDNLYSSGNGGFGMWDSYPMLPTRLKKFDNIGLVEAIVSSVLSTGRVDLQRKLFCSIQLVGGAASTAGLAPVLEQRSVKCLFQGRL >Dexi6B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:6B:21667917:21668866:1 gene:Dexi6B01G0014010 transcript:Dexi6B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQHPGGAAPLREPLEDDDDDEGNAFAGGRGESTATPSTRHAIKSLTAQIKDMALKASGAYRHCKPCAGSSPASASRRHHAYGEYADSEVASASDRFHYAYRRAGSSAASTPRLQSGGGMYSGDVTPSVSARTDFLAGDEEGEDGDETAVGGSEEDESKEWVAQVEPGVLITFLSLPQGGNDLKRIRFR >Dexi9A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:9A:14642147:14642695:-1 gene:Dexi9A01G0019760 transcript:Dexi9A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVLIALSASQMAFSLRPGAALGVCRASGYLPGRSGNCEKSNDPDCCEDGKMYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDKEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQNVGMVDITWSEE >Dexi5A01G0025300.1:cds pep primary_assembly:Fonio_CM05836:5A:29176561:29179179:-1 gene:Dexi5A01G0025300 transcript:Dexi5A01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDLHLSSASTQHGQAKLNVEEYDKGSLLSGGNYQKENINDSNLDDYEKFEEGIMQYGYVFYWTFGVHITEGDAGYELLVAMRFLIAGTATMKSRYRVSFFELSVAQCRRNSIKVDAMKRHELPRHEVQQVICSLCGTEQEVQQVCINCGVCMGKYFCGLCKLLDDDVSKQQYHCNGCGICRYVYVNLSKRTLIGGRENFFHCSRCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELANLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQSCKSYNTRQI >Dexi5B01G0029470.1:cds pep primary_assembly:Fonio_CM05836:5B:30703605:30704452:1 gene:Dexi5B01G0029470 transcript:Dexi5B01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYSTYGHVGKLAEEVKKGASSVEGVEAKIWQVPETLPEEVLGKMGAPPKPDVPVITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTALTAVTQLTHHGMVFVPVGYTFGAKLFGMDQVQGGSPYGAGTFAADGSRWPSEVELEHAFHQGKYFAGIAKKLKGSA >Dexi8A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:8A:7078713:7080079:-1 gene:Dexi8A01G0006790 transcript:Dexi8A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSPPPPHLGTADGSEKQVCYYYDPHISYIDYGEGHSMVPNRVAMTHALLAAYGLLDDMDHLHVSPATKEDLKVAHTEEYLEILRNLTPTKYKHDATSRTSAERHHLGVVTDIRTGCTSHDNPVIDDLWDYCLRYAGGSRAAPRALTTGNYKVAINWSGGMHHAGDGKASGFCYVNDVVVAVKALLERFGCVLYVDVDAHHGDGVQDAFVEEARVMTVSFHQYDGKGFFPGTGGVDDVGVAGGAAVYRTLNVPLEAGTGDVRYHKLFEPIMERVMEVFRPDAVVLQCGADSLAGDRITGLELSVRGHARCVRFLRSYDLPLLLLGGGGYTIHHVACCWCYETAVAIGKEIPDEIPKHGYDRYYQTQGYKLHYYHEPHSSSSNVLTKKMGKVKQTVMEHLDKLSALMAARASIPMRS >DexiUA01G0009410.1:cds pep primary_assembly:Fonio_CM05836:UA:18445724:18446380:1 gene:DexiUA01G0009410 transcript:DexiUA01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACMATPAAAQPPLLPTPPRFAGSAASDRSVWSSKKPGRASASQSWTRDKLVARTSAAVPIPGRASLSDSWTKDKTERKEAAIVEEQRVGRAPSREESLIRAKRASSRALSEVVGRSEKKAKPEENAAANKLDGDVEKPEENAEAKKLDEDVVFYAGPAFIKSPDPSEVPLPKFVLLGQSPEPSDLPAPRFLMKKAPKATRWFVIKAPKALRRRSI >Dexi9B01G0038420.1:cds pep primary_assembly:Fonio_CM05836:9B:39489374:39492291:-1 gene:Dexi9B01G0038420 transcript:Dexi9B01G0038420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNWELQGCCDRNQRIFIAAVGVSTVVILLVSTYLPVADQAFSDALSLLLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFILALVIVLFVADNWFLRWLCIGFVVFIAVVWVIQEFTSFHILKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGFAWGVIWGIISFIFLCASIYLGLVILS >DexiUA01G0021360.1:cds pep primary_assembly:Fonio_CM05836:UA:44256558:44259289:1 gene:DexiUA01G0021360 transcript:DexiUA01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGCVERVAAGLLGGPLAGGGRWNTAVAVGVTAAAGLAVVAIVVSSRRGGLKSPWWRRRRKAPLTAQEWRALFTPEGKLEDGGVKLLKKVRSGGIEPSIRALVWPFLLGVYSLDSSEAERDAIKAKNRKGYLLLRKHCLRKYAYSMEESKQSSNKTAGINPEGSISSEKGEESGCVSSVESEEVPENPSVEEAISTEEGNPCPSTELELQDDTSGTKPEKMDENQSSSSSSNEEEIERSDVTHVEASHKDLASVCESSLEDGQESLPRYLHTGGNMDDIELSKAARPVKSARAVEDFETWQRIIRLDAVRANDEWVSYSPSKASVSREKAIESAKAVCLKDYEHLEPYRIHHASRLVAILEAYAIYDQEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEVGLLHLTR >Dexi5A01G0035430.1:cds pep primary_assembly:Fonio_CM05836:5A:37201448:37207321:-1 gene:Dexi5A01G0035430 transcript:Dexi5A01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRGRCVEEGRQGMKPRWRWRLGWQGRGGWRSGEDDGGGIASGEGRRQGRLGEERRMRWEVVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPERTGYSTSQFGLDERFGDGSSSHIGLDLEEELLLNKDHSIHLESDDGIIIQARPSVPATDMDIDDNQSKNETAERFSNMDDGPSSQGKLSPLNADDLGGSSIPNWTGYNVQTPDLNDMLLHNEGIAGPSASYYQPSPFPCDNEPASPEFVSAQAPATPGLMGETVPSRVHESPVLSPQRKASPSINDQTAKADTPAAPGSDFLHSATPIPNDVVGAEATEPVQVESSVIVQDDASMQQHTTGDLPSYGQTPNLEAASDKLTSPNDVGASVETGTINATIEDVPLDVNGSEACVNGSTEPSVMENPEQINEPSVDAQDFQHEVPVRPEVASSDRPDELTSGVAEPEKMLSAPDAEFDHTNDLGQITAEKGTTESDGSNKIGSLTSRKRHLEDSLPALESETTERLSTRPRGKRTTDFVPDDDDLLASILVGRRTPGLALGSTPLPPRASSLKRPRLGSKASTLKRKVQIDDAMVLHADTIRQQLINTEDIRRIRKKAPCTRSEIWMIEKGSLEDDIFHEPTFSCLSEELNNLHNRTYETIVHPTVQNMELQGQLDMSETIAEDSNIVGTSGAAATHDPLHIPDHSDAVLPNANDIDDATAAFGLQLPPDNQVNGVSNDFVADTLLQVVTEPLTDNDKEVAVADKEHAQCDTLDNYYLQDVPSDLQRSTDAKGSGPDVVLDRSGRTNAQAADGMTQEFNHFVHSDANVFENNEVPTSEITGVEYNQGVSGFLPTEDENAVSAMGDNSGFQENNMDSLMDLDMVNDYGLKECNDFGSAIHGVDTDFLNYDDDGDFDDANNDEPNPDELQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLVRGKTRKEASRMFFETLVLTTKDYISVDQPNPFDFVSIKPGSKLLKSEF >Dexi7B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:7B:25090360:25099041:1 gene:Dexi7B01G0019670 transcript:Dexi7B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLITEGASSSMEKRRRAKTPTNAGGCAASDSDGVDLISGLGDDVLVRILELLPDARDVVRTHALSRRWRGLWTRVTDLCFDSNRSLGSKESGEPERFVCFVDDALALRAAEKEPAGVEHLAIFFDISKHGQESEQLMPPCVQAAQGWIHYVVQQHPVKSLVFRLDLPWYYINDGGHEVFIKHPAMNLDGLASSAKLETMDLQLSDVKLQLPSSAVFASLTDLSLGAIEVEAGGGHLLARLVSSAYCPRLRSLQLVDLTVLGMEGPLLIDADALVELTLEIGDLRVLELRTPSLRVLRIRECYQLEGLTISAPRLHDLEFVIQHPLHIDKDVGLSSVERLKIQLMWSHGYLFDGRNDGTIRLLECCRLNRYLEVCLQVPKRDINDVDDIIKGRVPQLPHVTSLTIHVERSGRCSNNAAAIASLLSQCNNIRYLSLKLCYGASIAEFRHWVRGTSHLLARLLSTACCPSLEKVRLTEIGLHLGGVEEQLMLIEAAALLELSLEGMSLTMWLQLETPNLRVLSMDGCIMETLTISAPRLDELYLKSQPDYIYVNGGLPRVRSLKTELHSHGWFDDDEDNDDNDVLSSTTKLQDVAISFNPNYQLKNRRDAFDLMPKLKGGDWTHCSRCPYSSYKWRSYHVCE >Dexi3A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:3A:17113082:17116879:1 gene:Dexi3A01G0021510 transcript:Dexi3A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYYQYFSSGGYSEKEKRPPLKRGQLKRQIVRTICNLVVPSGGDPVKESLDPDGGGHGRRSRPPLPPTPPPVDLHGEDASGPRGHPGEGVRQQARAKAAAMTFTGLIRGELHRALLSPSLPTERAEQQQNEASKERESERRETRAMEFYYQYFSGGGYSGKEKRPPLKRGQLKRQIVRTISNLVVPSGGGGDGPAPNQAADRKF >Dexi2A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:2A:29014587:29019530:1 gene:Dexi2A01G0017180 transcript:Dexi2A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNPFRFGHLPETATGTYKFVKQGGSASKKALPLRDRNRKLLKKKKSSPSFLPSSASNPRARRRRRRRRRDEMKVSVKTLKGSSFQIEVDPADKVADVKKVIESTQGKNVYPADQQVLIHQGKVLKDETSLEENQVLEDNFLVIMLRQNKGSSSAAPAKASANQAPPTQTVPATPAPQTPASPAATAHTVPVSASAPTATASPAPSVAVSTEADSYGQAASNLVAGSNLEGTIQYILEMGGGMWDRDTVLRALRAAYNNPERAVEYLYSVRMILSLNYTFKILLRAPAMFMGQVPSPSLLRQPAVPDCHLALPARLAAAAQGSSCSAHRWLHRALVMRDDGDDPEQQLVGMLLPEPQPEPGTGGTTASCQLAPWPCCWPAGIPEQMDVPAPPLSSQASNPVQPSQPAPAAVPSSGPNANPLDLFPQALPNASANAGAGNLDVLRNNTQFQTLLSLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLDGDEENEEMNMLDQIADAAETIAVTPEENEAILRLEGMGFDRALVLEVFFACNKNEELAANYLLDHMHEFDNEDGLGGGPAL >Dexi6B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:6B:16719765:16720863:-1 gene:Dexi6B01G0010320 transcript:Dexi6B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFFSRLQTVTRTLLLAVAATASLLPQSSSQDRQIGLPGCPTSCGDVSVPYPFGIAPGCSLAGFNLTCDTTHTPPRLLVGNGTLHVTSVSLDDSTVRVLGPAMDFSSVLRLKEGWSTIGTWGGTPWGLSYAGPYVLSETHNEFILWGCNVFAEVRLAGAGQLITSCGSVCEDPDSNGVSECALHYNGSGHCDRCYGVSCCQMPVPIASMSYYVKLTSMLDSAEDFAGVIAEEGWLEPSVAAEAARSSGERKAMVPVVLAWAIVASSAQPGPNETRDGSATCPKDLGSTGCHSSYSSCTSTYNWFSTDAGIATKATLTFPMDAKVCWSTNIYSKQNYSLISKKQNYFTMTMVTHFTLLVR >Dexi1A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:1A:4457626:4461670:1 gene:Dexi1A01G0005970 transcript:Dexi1A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAADPPCVIQALPAHSMALSSPPPLVQALPAQQSPAKAHPPLAAAASPAGPKPRPPPPPPPSPRRTRSGGAPEWTAAETLALVAEVAAVDDGWSRSVSAFQKWAMVAENLAASTAPSRLRGSSKRAAAECRRRWEALAAEYGAVRKWEVRTGGRYWEMAPAARRKAGLPVEFDAEVYGAMDALIRVEEALLADAAGGGGGAEEVEGLVGGGTGVEVGEKDGGHEGEVGEEEVNEDGTVEEAEGEKEEGDEEEEEWENEEGDDEEVEEEEEEQEQEEMQVDGGNADASDDPEGHPIGTNSEPAKSQNNAWELANKLQENAQRIHTILREEADEAAGQNPSLAGAVSPDAMEITRQKADELIKSLGGLVSYLNQFTELVKENGFENMTLR >Dexi3A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:3A:113838:115023:-1 gene:Dexi3A01G0000110 transcript:Dexi3A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFACRWLPAGAAAGKKNPPPKALVFLCHGYAVECGVTMRGTGERLARSGYAVYGLDYEGHGRSDGLQGYVPDLDALVQDCDDYFTSVVRRQQQQQQQHVVQRFLLGESMGGAVALLLHRARPEFWSGAVLVAPMCKIADDMRPHPVVVNILRAMTSLVPTWKVVPTADVIDAAYRTQEKRDEIRGNPYCYKDRPRLKTAYELLRVSLDVEANILHQVSLPFLIVHGGADKVTDPSVSELLYRSAASQDKTLKLYPGMWHALTSGESPNNIHTVFQDIIAWLDQRSDDATTTLLSTEELLELEHKARHDDQHHPQHGNK >Dexi5A01G0025150.1:cds pep primary_assembly:Fonio_CM05836:5A:28985593:28987348:1 gene:Dexi5A01G0025150 transcript:Dexi5A01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAGHWRFPYGMELQGYAYILTHPGTPAVFYDHIFSHLQPEIAKFINIRRRQKIHCRSKIKILKAERSLYAAEIDEKLIMKIGSEHFEPSGPQNWVVAAEGQDYKIWELSS >Dexi2B01G0035600.1:cds pep primary_assembly:Fonio_CM05836:2B:42536510:42536917:1 gene:Dexi2B01G0035600 transcript:Dexi2B01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRRRGHDRAAALRELPRPHLLQRHQHQPGIREVAPGQLPGGERRRCQRAGAVRQRLHFNNLLSQKGLLHSDQELFNGASTDNIVRNFASSPSAFSSAFATAMVNMVTWATSGMQGQIRTTCSAAN >Dexi4A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:4A:23644586:23644831:1 gene:Dexi4A01G0019760 transcript:Dexi4A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELKAYTYPCPCGDLFQITLEDLRLGEEIARCPSCSLFLTVVYNAEDFAEAKEPPQKPGPTPVAVA >Dexi4B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:4B:22113547:22113975:-1 gene:Dexi4B01G0019960 transcript:Dexi4B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGGRPVTPEPAQEGCQRGEPASGAAAHNTRIRGSGKRTDGGQWRADGRRHGEEGAGRPKHRAATTSARLCRPGAIDVDSRRFRGASVAPFVCFTAGRRIGRGLHLLHGRHCTDDALVVCNRSRRCSPCWRLTADLVSLQ >Dexi7B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:7B:8637726:8638032:1 gene:Dexi7B01G0003630 transcript:Dexi7B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDLQRKLECANKEQEALIDIFSEERARRDLEEDGLRNKLKEASATIQDLLEQLNTAKKGRKV >Dexi9B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:9B:13727873:13730436:-1 gene:Dexi9B01G0019140 transcript:Dexi9B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAACPASAGLLLLLPLPSSPRCPPLSSTRSPFRGLALAPAPARRAAAVRARRESSPPSPPAPAPADSLDCLGTGSDVECFVDADAPQLPSRSPGAPKDDDGETKAAASASPAPAGKELWEWASLVSPFFFWGTAMVAMKGVIPRTGPFFVAALRLLPAGALLVAFAAARGRKQPSGWQAWLAVAAFGLIDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAILASLLFGESIDAIGAGGLVLGVVGLLLLEVPALSIEGNDTTIWGSGEWLMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNHDPALSGHFQDLTWSDILALGYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGYLYLGETFSPVQIGGALLTLVAIYMVNYKSIVGDK >Dexi6B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:6B:4682741:4684881:-1 gene:Dexi6B01G0005400 transcript:Dexi6B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEAAAAVALRDQQQSQVRSGEDNGGARGRRQLPVEEEKAVVMRLLGSRAQENPLENLENAAPHLHSLLLHLLPLLVASGAALGFSLTQMVSHSNDRG >DexiUA01G0025730.1:cds pep primary_assembly:Fonio_CM05836:UA:54074357:54077170:-1 gene:DexiUA01G0025730 transcript:DexiUA01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRVILVEDEEMATPTPSKQDKCCEYTLDGSVDIKGRAAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLGQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSVSSHLYLITPEGCGMEHAPCGPHTGKELGIFYMALYMIAFGNGGYQPNIATFGSDQFDEEDPAEAHSKISFFSYFYLALNLGSLFSNTFLSYLEDEGRWALGFWASTAAAATALLLFLSGTLRYRYFQPGGNPIGRVCQVAFAACRNRKAGTSPGVVTLYEGDEKSDTGGRKLLHTQGFSFLDRAAASVDDTDAKLGVRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTPFAGFSIPPSSMSAFDITTVAATIFLYRRAICSFLARLTGRPTGPTELQRMGLGLVVGAMAMATAGTVEHFRKAGATEAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGQMPDGLKSFGSALCMMSMSLGNYFSDVIVSAVTRVTTTRGRAGWIPADLNDGHLDKFYFLLAVLAVADFAVYLVCASRYGSGKVAGRSSDEEEGTASPSSSISGAEHMT >Dexi9A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:9A:2521622:2524001:-1 gene:Dexi9A01G0004640 transcript:Dexi9A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARGGKRSSSFRAIAAVAPLLLLLLAGAASGDFAADRAECADQLMGLATCLTFVQEKATARAPTPDCCAGLKQVVAASKKCMCVLVKDRDEPALGFKINVTRAMDLPSLCNDPATFSDCPKILGMSPDAPAAEIFKEYARKHESQNGTTTMPAAATGAGGGKSTSSSATGGAGDGRQPSTVVVYVASALLTFVSVLA >Dexi3A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:3A:11488618:11495087:-1 gene:Dexi3A01G0015450 transcript:Dexi3A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRGGGGRQPYAAPDGADAGTIPAASRKMVQSLKGILADRSEGEIYATLCDCGMDPDIAVERLINQGMLACSLCLFLDSLFELSSEDVVVRCSSALGNVAQNDAKNTTQPPSQVQHGWGGIPGRPSMAEIVKMGRPQAKVGSRSVAGSTAMPAVGDSVISNTPSHTPKEYNTTVFASEVGHGASDKLPNGAVEVHSVPRDASSVDMLPPTEGTDVVVPPSKFEDSSTLDVNEDDIEKDTNLEEGNTESLTMSGQFSASGKDKSEYTEGATHQDDVLIMKTDDLQSNDLSFEHNQIIIPDHLQVSNADCAHLTFGSFVSGTLDASVTTKPLESHGDVSIVPDDHSVDQTDVRVPIQLGCPTLNWLNLSQAPASRQGRLSLNIFSIPMLKQLFLLAAAAAVPNSSVKYPLPQYKTLASLPQPASLLSSYVGGFGTANNMPGNFPVNQSTASPTTTLGFDGSVPPHYKDGNQFISLQQQNENPAMWMHGAGSRGMPPLAASTLYGYQGQSHQGGLRQGQLASQFGTAIGQSQPGLGPEHRNPSDGNLSAAAQANPMWPNSY >Dexi8A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:8A:28017021:28019010:-1 gene:Dexi8A01G0016520 transcript:Dexi8A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSSRKQNAAGATWRRNSDESISPRCVLDGYTTPTPSFTGASSTTTTATLFPAATLTHTDPSTSSSSSSPQPPQWKAVAEAWRSRAKRQISIRRFPPLGPTMSSTLRRLSIRRSPENNNVVSEVHEFCVMKPSLRTFSLAELKKATRNFSKENVIGRGGFAKVYRGKLAGGELVAVKKLTVEHGGDRVEGFLSELGHVVNVSHPNIARLVGVGVDGGEHLVFPFSRLGCLSGMLHGGGGGAGETMTWDARYRVAVGTARGIEYLHERCARRIVHRDIKPANILLMDDYEPLICDFGLARWLPAKLTHLQVTVFEGTFGYVPPEYTTHGVFSEKTDVYALGVVLLELLTGRRAIDAAKLSLVAWAKQYLDDGEEEEIPKMADPAMGGRYDLGQLRNMAWAAKLCIQTSPDHRPLMSKVVHILAGERDRGTNQSGELRETNGYDATLGYLDDLSRHKALAFDFDGETTPRTYGGS >Dexi3B01G0027330.1:cds pep primary_assembly:Fonio_CM05836:3B:22864929:22868551:1 gene:Dexi3B01G0027330 transcript:Dexi3B01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDAATIAPSEPESVGVRMSSSEDAVATRPLLSSPSTSPSSASAAPERESIEELDRRYAPYARRDAYGPMGLGPVGAAEAFRLAFAAVVLVPLRVVAGMLVLLAYYLVCRVCTLRVQEEQEGGEGDGYARLEGWRREGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDEHVDQSKETERPGAVVSNHVSYVDILYHMSAFFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNASMMLLFPEGTTTNGDYLLPFKTGAFIAKAPVQPVILRYPYKRFNPAWESMSGVRHVFLLLCQFVNYLEVIHLPVYYPSEQEKNDPKLYANNVRKLMAVEGNLTLSDLGLAEKRVYHAALTGNSLPRALHQKDD >Dexi4A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:4A:4827723:4828199:1 gene:Dexi4A01G0006720 transcript:Dexi4A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLSSCGDDMALFRRCLTASFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >Dexi6A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:6A:24646326:24646622:1 gene:Dexi6A01G0016780 transcript:Dexi6A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACAVQEVAPCGICSLLSLSVASHGGVTSGSVGLQRRSEASGGGGTSLLASPPPAPGARLPGATGGWGSRRLAECGWGQRRAAEMGRSTGGGAADA >Dexi2A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:2A:6773928:6774891:-1 gene:Dexi2A01G0007050 transcript:Dexi2A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYVVYYSTYGHVARLAEEIKKGADSVDGVEATIWQVEETLPKEVLGKMQATTKKDHPVITGKQLTDADGILFGFPARFGMMAAQMKALFDSTGGLWQRQALAGKPAGFFFALGTQGGGQEETALTAVSQLTHHGMMFVPVGYTFGAKMFDMDEVRCCSPYGSGTFAGADGKSRKPSDAELQMAEHQGKYFADIAKKLKVGAAALV >Dexi1A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:1A:11624548:11627254:-1 gene:Dexi1A01G0012100 transcript:Dexi1A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMENHHGCATEGGVEDVSSVLIEAKKQLRLAAPLAAGFLLQKIILTISILFVGRLGELPLASASLATSFASVTGFSLLTGMASSLDTLCGQAFGAEQRHLLGVYKQRAMLVLAMVCVPVAVIWAYTGEILVLFSQDREIAAGAGSYIRCMIPALFVFGQLQCHVRFLQPQNVVVPVMASSAATAGLHVAVCWLLVRRLGLGANGAALANAVSNLVNLFVLGLYVRVSPSCKGTWTGFSREAFRGIPGFLRLAVPSAAMVCMEWWSFELLVLLSGLLPNPKLETAVMSICFNTYVFAFMLPQGLGAAVSIRVSNELGAGRPQMAHLATRVVMLLAFSLGVSEGLVMVLARNLLGYAYSNEEEVAVYTAKLMPILAVCTLFDCLQCVLSGVVRGCGRQKIGAFINLSAFYIVGIPAACIFAFVCHLRGMGLWFGILCGVAVQMLLLLCITLSTNWNKEALKAKDRVFSSTLPVDGMITSGSTEQTDNVSSVAGKDSQRTLEETKDYTATAE >Dexi1A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:1A:3801612:3803911:1 gene:Dexi1A01G0005180 transcript:Dexi1A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPVRLPPPSTAAAAAAATVGAVLAAVALRRYLSSSRRRPSASATMSALSSSTATTLVAYGRSPEERELLASAAGSVSLGEGGSGGELAVAVAYEGAAGFDAAAYMGELRARRFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRVKLCMVWHHEVKICSSPTNHAGRSKNVWESPPGCLMFSFTAQMQDVRKLPLMQYVICLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEAKVYNICTGIGLNVDNEKPSTCLNAALKEANAISPVLKKEDILAYFFNKFENLFEIFSNQGFQALEEQYYKSWLHSGQRVVVQDAHESQSAGSVVTIQGLTPTGYLYAIGEDDKSYELHPDGNRY >Dexi4B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:4B:22675825:22677746:1 gene:Dexi4B01G0020520 transcript:Dexi4B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLEAVDGSTSSTNRWTKMMSTDSWRWCLGLIYIVAVASIWIAASYIVQSVVDAGVSPFLITYICNSLFVVYIPIIEVARYFEDSVSNFWTKLKCKDAESQEHPADLESVNLLRSGGHENNAASDQDILVPGADIPSQMELSVADYSKRLDAKGRWTRARVAKVSMVVCPFWFLAQLTFNLSLRYTTVTLEPFHKLTWEQVGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLNYIGAAAVLIGFAGINIPAGESPQAAQQEQETPIVGMADDPLHLPASRNATDASS >Dexi6A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:6A:1433547:1434635:-1 gene:Dexi6A01G0001570 transcript:Dexi6A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVRWHLPVISSTSPCPLLVIPSHVLPVHGRHPTDRVTKAAAGSHLPGEASLLCCVRHKRDRHRRNVNGKAKQPLRRRLHHSSTQSHLQSQEAEDQGEEEEQEGMRALMTPERRKSRSPRLAAVRTGRSPAAATSPSRRRKGFRTAVHRAPPQGPSSRSSTRTSRREGKGKGRTLARSASEPALWFGARVHAAVPADLDQHSPPSPPPPPLERPHTCFDVFAPESPFGRSPSAAALTNPSPREEAKVVVSVTVEGSVGPVKAMVRLSASVGEAIAAVVERYAREGRRPRLDPAAAETFQLHHSHFSLQSKSLHIFLTT >Dexi3B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:3B:7391211:7392336:-1 gene:Dexi3B01G0010550 transcript:Dexi3B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATATGARAAPVAWRQLVAAAVVLVASALFVTSSEAQLQVGYYNYTCPDAESLIETIVHASVRKDAGNGPGLIRLFFHDCFVRGCDASVLLDDPTGTPGNATVEKTSPPNFPSLRGFSVINRAKRVVERRCPGTVSCADIVAFAARDAARIMGGIRFAMPSGRLDGRVSNASEAIANLPRASSNLTQLVARFATKNLTAADMVTLSGAHSIGRSHCSSFSGRLYPQLDASLNATTLGVALRAKCPAATGRRDRVVDLDFRTPLQLDNQYYRNVESHEAVFTSDQSLLDGNDTAALVALYAANRTLWSQQFAAAMVKMGNIEVLTGPPGEIRLKCNKVN >Dexi5B01G0021570.1:cds pep primary_assembly:Fonio_CM05836:5B:23869307:23870008:-1 gene:Dexi5B01G0021570 transcript:Dexi5B01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLRGMAGGGGRPGGGGGRDGGGSGGGALHRRSRAAGDPRRAGLAAGARKEWLGRAGKGPRRIVGGRPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGKKRVVRKGGEGAAADCGRTAGGEVAAGRGRWWRSGVAGREGHREEEEWKTTAGHSPERRKTTSPERRSGGWTAPTPAMVDGGGGIGDGGGEQARTRGLARVEKTMRRASG >Dexi3B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:3B:656620:657631:1 gene:Dexi3B01G0000750 transcript:Dexi3B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLLFTAACFVLLLLNGAHHVVEGRPHNDYMLFALGDSYADVGNRPQTSEKTVLSRAWFYPYGISDSAHGNRPTGRVSDGMDILAKMLGGDESPPPSRRHWRDSDKVDPSGVNFAVGGAGVLSDDRAAPSLGQQVDQLASLISSGAVEIADLDRSVALVAISTGADYRGRITHESSSREMTALTGQVTDELVAGVRRLRDLGVSKVLVNLLPALGCMPWQSVGSNYATCDSHANALASMHNAALRRRLHDSYDDVLLLDLYTIFSNSV >Dexi9B01G0046430.1:cds pep primary_assembly:Fonio_CM05836:9B:45664586:45666551:1 gene:Dexi9B01G0046430 transcript:Dexi9B01G0046430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLSSSPTTAAPSSSSPPPPAASWLHATAAAAADDCCAFCDLGRPAPQEGPEGIKHKGHIAGRVPEPDARGDERVHQAQRPPALEVKKCKTDHGPNANKTAVGLKAPMASSGVNEAALKPDVAGGASLVSEANAMPAVKPRPSIANGDAIVKEGNCKISLLSDANKPEVSGGDHLVDGSATKLEVLKGAHVANEAAHDPESKAATAPGVTSIAPDVTAAPSRLNESGTIFKVTRRTSLARTEGADGPEVTGAASIMHETTKLESAGDDYISGEAAARPEDSGRASSNVDDTSALDKPQLPSCNPNKGNAQLGNAGDASTVQLSGFDAAKVGDSVNSTSNGPVGAKAPTVEGAMPNDRSVTPSVSCVLDIVARSIDNSGRTDVICYARRRGKRKMALLEVKTENIELEDSAMFEKEALEGTDRCESVLSTAGPADVKLADIKKELMDNSAASKVKKTKRNKFECNIDYCRMTFKTKTELSVHKKNMCTVKSCSRLFRSHKYLRRHQSVHNDDMPYKCPWDGCGMSFKWSWDRAEHFKVHAGVKPYKCTTPGCNKIYKFVSDFTRHRRRCKPQR >Dexi2A01G0029270.1:cds pep primary_assembly:Fonio_CM05836:2A:40288997:40289676:1 gene:Dexi2A01G0029270 transcript:Dexi2A01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKSGGGSAKAAAGDED >Dexi9A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:9A:3796878:3799948:-1 gene:Dexi9A01G0006680 transcript:Dexi9A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERHLVSFNLATKAVTTLWRDGSKLGPLVSQATPLIAGLSVAAAAMSGRYMIRAWQAYRIRAAMPRMRRFYPGGFQGEMNRREAALILGVRERATMDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGSSVF >Dexi9B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:9B:6401759:6407086:1 gene:Dexi9B01G0010290 transcript:Dexi9B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVERLEAAVARLEAAVASGASLASAAPRDLDVPAALDPAIVAYDEFVAEAVGRLMAAAEKIGGKVLDATKVLAEAFAVAKDLLVQAKQLQKPASMADAQDFFKPLSDVIAKATAMTEGRRPDYFNHLKSVADSLPALAWVAFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKDLYMPGLRDYVKKHYPLGPAWGPAACAPASQPKAAAPTPKASASKAPPPPAPPSAPLFTTEKSPKSSKPKEGMSAVFQEISSKPVTAGLRKVTDDMKTKNRADRSGVVSNTAAAPATPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKTLAIDDCDSRQSVYVYGCKDSVLQVNGKVNNITVDKCTKFGIVFKDVVAAFEVVNCNGVEVQCQVEHALPQQYIHSFKDGQFITSPVSHSGA >Dexi9B01G0048450.1:cds pep primary_assembly:Fonio_CM05836:9B:47408826:47411605:-1 gene:Dexi9B01G0048450 transcript:Dexi9B01G0048450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEEQGRTLFGVSLTDRPRWQQFFICASGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGFVYLALIRLQGFTTKQMVNPWRMYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFQEYVSAVMLVIGLILFTLADAQTTPNFSMVGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELMTAWTSCSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHATGLLLITMGIVIKLLPENKEGGPRRNQAKKVERSDDDDKQQRGSRELEEETTALV >DexiUA01G0002590.1:cds pep primary_assembly:Fonio_CM05836:UA:5808262:5809211:-1 gene:DexiUA01G0002590 transcript:DexiUA01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGAKIIHRDINKAGLVDILGASGAENVQGEVQQKLDLFANEKLKAALRARDIVAGIASEEEDEIVVFEGCEHAKYVVLMDPLDGSSNIDVNVSVGTIFSIYRRVTPVGTPVTEEDFLQPGSNQVAAGYVVYGSSTMLVYTTGCGVHAFTYDPSLGVFCLSQERMRFPEKGNTYSINEGNYIRFPNGVKKYIKFCQEEDKATQRPYTSRYIGSLVADFHRNLLKGGIYLYPSTASHPDGKLRLLYECNPMAFLAEQAGGKASDGKERILDIVPESLHQRRSFFVGNTHMVDDVERFIREYPDA >Dexi5B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:5B:4480960:4482726:-1 gene:Dexi5B01G0006660 transcript:Dexi5B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATKALSMKLLVDRKAPRVLIAEASKDVVDFLFSLLALPIATAITLVGKDAMVGCVANLYTSVEKIDSTYVQPGTTKDALLRPTFVSTRPCSTSATATISLLGLPAPPTGQLKTFYRCSYNYASACYNYRRNLYRLHQQMTTVVEYVYVQPPRATGSGNYSYPKAKDLSAGAAIAKGFVQGVVTYTVMDNLTVTPLSTISSITLLNASAVSNLGDLEEKTVQLGYNESKTVLTDVFLGNKLLGLKGNLGQEL >Dexi2B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:2B:8754120:8755694:1 gene:Dexi2B01G0008550 transcript:Dexi2B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKAGNAYESCSMEHGDGPATVLAIGTANPSGAIVPNDEFADQVFRVTKSEHLTDLKEKLNRICHKTGIEKRHFHLTEEILRAHPEFLDSGIPSLDARMDIMETEVPKLAVSAAAKAITEWGRPATDITHLVFSTYSACRAPSADLQLASLLGLHHSVCRTILSLHGCYGGGRALHLAKDIAENNRGARVLVACSETTLPCFSMPDGSNLVGHALFGDGAGVMIVGAGPFTISERPMFEMVSATQRTIPGTEHALGMQVTGRGMDFHVGIQVPMLLGHNVERCLLDAFALAFGNDDHDGNNVTWNDLFWVVHPGGRPILDKIETVLKLEPDKLAASRHVLREYGNMSGASIVFVVDELRRRREEEADHDHQLPEWGAMLAFGPGITIETMVLHAPRNPKAN >Dexi9B01G0048060.1:cds pep primary_assembly:Fonio_CM05836:9B:46995791:46997725:1 gene:Dexi9B01G0048060 transcript:Dexi9B01G0048060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSGEEGEEGCAGGEATAMSSAASTGRGQEVVLHVYDVGRTGCDKTDRTVRNINRFFKDCIGVGGIFHTAVQVYGDEEWSFGFCYCGTGVFRCPTRQNPMYRYRESIVLGVTSFSEPEVNQILTELSFEWCGFSYDLLSRNCNHFTNEFCEKLGARKSPGWVNRFANVIYTANVFAGTTVLQAHSFDPKRELVPETAASISCFHQKGFLTRMWVPWMAFRSADATECTAADAILALICPRLGVCLAVLPPPLLHGAAEAAAAWLLIFFLSVEETISAQLCCCGFSVFVECLLWLREAR >Dexi3B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:3B:3995149:3996368:1 gene:Dexi3B01G0005850 transcript:Dexi3B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETKKLFAASEVALHVSRKDCWVVIGGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAISMMDTYLIGSIKGYVRPSASNTTDPWGLDAPPNSRTMQGNKGPPDPNTFLDFLLPLFTLGLAFAAWYYLTFVSKNQ >Dexi2B01G0032760.1:cds pep primary_assembly:Fonio_CM05836:2B:40572635:40575270:-1 gene:Dexi2B01G0032760 transcript:Dexi2B01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVESEKHIDFSLTSPLGGGPPGRVKRKNQKKASGGGHDGEEDDE >Dexi5A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:5A:20598982:20599230:-1 gene:Dexi5A01G0017390 transcript:Dexi5A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRPRLSCLRPDLRLPRRRTLSSSPTPGRTSPTSASPVEPSCDAGAGEEEQATLERPPRQAPRIARAREEEPTAAPAWRT >Dexi9A01G0037590.1:cds pep primary_assembly:Fonio_CM05836:9A:41855875:41856312:1 gene:Dexi9A01G0037590 transcript:Dexi9A01G0037590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNASASAAMSVVSSRSVGKDSLRQPGTSQPSILAFSARGGALEPCPVAAAEAAALWREGGVAGARRRARRTAWKRRRREARMARLQCVRPRAATASARSAASRTALPRVAPLPEEEEDPARTRRIASRSLDE >Dexi2B01G0021110.1:cds pep primary_assembly:Fonio_CM05836:2B:31031431:31031987:1 gene:Dexi2B01G0021110 transcript:Dexi2B01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFCVGDTEHDWRPGSEQHAFLERCFASAGSFAEPMGRTLQGLWQKHRVDLAIYGHVHNYERTCPVYENTCMDGKSKQDKGSYSGAMGGTIHVVTGTGGAKLRDYSAGPWPQWSVVRDKSFGYVKLTATDHSSLRVEFIHSDDGAAHDAFDITRDYKDVLACTVDSCAPHTMAN >Dexi9A01G0047960.1:cds pep primary_assembly:Fonio_CM05836:9A:50871061:50871512:1 gene:Dexi9A01G0047960 transcript:Dexi9A01G0047960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHITLRRTGTPSARSSSVGGNTVMSGYYKDATATEGGCARATSACGTPTGTSGSSSIEVEFVRFGHAAVVAWPDDDHHWGMTPCVFVTLKDGTKATETETLRVTSSSSAEHGCRKDGDVQRPPQDLDGEDAQKGEGGEKTA >Dexi2A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:2A:29312742:29313948:1 gene:Dexi2A01G0017460 transcript:Dexi2A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAGEGEDAGAAASEEGDIAGGRTGSGGGGVKRPAALNKGQWERRLQTDIHTARQALRDALSLDPSSSPAPAAKVAAAAPPPPATTPPGSTAYASSAENIARLLEGWLRPVGKRPEASGSTSTTATTTQCSGEGGAASASGGGGAAANAAVQTPEYSTETSKMTSSGGAAGSAAPAFSMLESWLLDDGMGHGDDEVGLMADVVPLGDPSEFF >Dexi1A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:1A:496079:497362:-1 gene:Dexi1A01G0000780 transcript:Dexi1A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPPPPSGTGFFGMLSFRRSATAVASFDPAQDDELLALDALQAHVADRLSALSAHAAAAAAASTSSALSLPFLAKLLDAVLSSDAAFRAVLAVAPVAAAISRPPSDRLASDLLDRAVKTLDVLNAASLTLASLRAAHRAALAAASCLLAPSLHRAHLARARRAIARLFPDDARVATAGGGGGGCAPSPSSRTMRALSFSVSKNWSAGRHMNAMAAHLAPPPTQATAAVAGAGSGLGLALYTMSSVLVFAMWALVAAVPCQDRASAAVSPPVAPPKQAQWAAPMSALQDRIAEEWRRREKKGSFSGSSAPTAGLLAEMQAVERAARDLNSLLEEIAEEQEEEEGHGIVGEDRAREVTERAEELAAACRALEEGLAPLERQVRAVFHRVVACRAEVVRCIDHSTRAATANPASSASGVPPQHQHSF >Dexi9A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:9A:924117:928516:1 gene:Dexi9A01G0001750 transcript:Dexi9A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGQSFEAERYLDRFLPPIAFRERSPLCMLLLVHVRIVRILSTVAAGGPSGAGMAALFANPMDYLLESAYSVRLHKTVARMHADQTRASMLWQKIQPGALDKIMDMVARCPELKGEVRVPRKRPLLWDAAPNGLRQCPSRCRNATKRAPSNVLIRTFLQKRLLLVQGKTHADVDEECKQALTSLNFSTEDAEKMLKKAFGWIHSPYWSEERNKEVPSAEVVTGVLNYIRSLGLSDEDLHKLLKKFPEVLGCDLDREVKLNVSKLDSDWGINGKTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVRF >Dexi7A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:7A:16358912:16361153:1 gene:Dexi7A01G0005230 transcript:Dexi7A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGEKMILVARKGRLRQRYDGEYRLVAGCVPYRVGAGGQPELLMVSTPNRDDLVFPKRTALGMWVFRSKSSPVSGDSPRGACKGYIFALEVTEELQQWPEQDTHGRQWVSPADAYRLCRYDWMREALSALLDRLAEPKPAAQEQEEECSGGVYMMVKAATGDHRAVALC >Dexi7B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:7B:16862804:16865663:1 gene:Dexi7B01G0009200 transcript:Dexi7B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVPSDGPATDYGGSLTLSVFMSCLVAASGGLIFGYDIGISGGVSEMEPFLKRFFPHVLKRMAEAKGNEYCLYDSQTLTAFTSSLYVAGLVASLVASRVTKAMGRQAVMLMGGALFFAGGAMTGAAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPSRWRGALTAGYQFFLALGVLVANLVNYATARHDWGWRVSLGLAGAPAVVLFVGALFLTDTPSSLVMRGHADRARAALLRVRGPDADVDAELRDIAKAVEVARQSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAIINGAVNLGALLLSTLVIDRYGRKVLFMAGGIQMVIAQVAIAWIMGAKIGKSGEGAMAHPYAVAVLVFTCLHTAGFGWSWGPLGWVIPSEIFPVDIRSAGQAMNVSILLGLTFVQTQSFLAMLCTFKYATFAYYAAWVFSMTVFIALFLPETKGIPLESMGAIWAKHWYWKRFVSDGKTGVALT >Dexi5B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:5B:8033173:8034616:1 gene:Dexi5B01G0011310 transcript:Dexi5B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTPAARKRHLQGTQHQRARALWYDSVRHQDQHGGGSPLLLPDGTLAKGVCHHFVRTIGLTSSINLYHSGPGPGASVQQSNFLGSQPNFVGYQAVEQNSFSGT >Dexi9B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:9B:1496948:1497443:1 gene:Dexi9B01G0002640 transcript:Dexi9B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEFVIIGSANINQRSMEGSRDTEIAMGAYQPHYNCAGQHLSSVEECFRRPETEECVRRGERDGGGELAEVHVAGHGGDDGAPAEVQVGKDGKVGTLPGHGCFPPDVGGKVLGAQSSLPNALTT >Dexi3A01G0025760.1:cds pep primary_assembly:Fonio_CM05836:3A:21579647:21587359:1 gene:Dexi3A01G0025760 transcript:Dexi3A01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVVLMNALLCGQYLNAGGSRRRDAPHHNLYISGYRSAAGRWWEFKGGSAIGAATQLAFTDSYEDMKGTAELPLERVTLGKEELEAAVKQLAAAPGGQPAGDCEISDAHGGDGLRGHQVKKWRSLSQRWIGAALYGQPFGQPLAVAGADGRQQGVPQHLLAPVKIDCQDHAMMALGVVLNRQGPLQDEHKQALDEHWRTVRAQARVESIGVSYGMSGDNLPPPSSVINMYKANGIPLMRIYAPDQAALQAASGTGIRVVVGAPNDVLSTLAASPAAAASWVRNNVEAYYPSVSFRCICVGNEVSGAAAGDLVPAMENIRAALAAAGLENIKVTTSVSQSILGGYKPPSAADFTDEAQGFMGPVLDFLARTGAPLMASVYPYFTYAYNPSAMDLSYALFTAPGTVMQDDSYGYQNLFDETVDSFYVAMGKHGGDGVTLVVSESGWPSAGGVAASPENARIYNQNLINHVGKGTPRHPGAIETILFSMFNENLKEDGVEQNWGLFYPNMQRVYPISFN >DexiUA01G0006120.1:cds pep primary_assembly:Fonio_CM05836:UA:11543701:11545862:1 gene:DexiUA01G0006120 transcript:DexiUA01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSASKESPPTGAWWSMETVAVVTGANRGIGHALAARLAEHGLTVVLTARDGERGEAAAAPLRARGLAVVFRRLDVSDPASVAEFAAWLRDALGGLDVLVGNQKAAVSSFFPGRNSAAPARFQQEKPSSSCRSLARPPLSIVLPPGSGAIPSYCRCTRQQTCIRIHCPVDTCRHRHPLIAQPQAFVLAPDQDAPNPSVPHDHHARSIIAVGPRASRSSDDVLRRPRPPGPGACTPATRRIKPSRRVRVRVRPAPKGKGQSVWCGGQRSDRGGPSGTSTTPPIDDLPTPAGRLPPLDSDGQCPLELSPPTAPLCSLELQWLNFRVALKSGFVFCSARCCVQVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRQSPATSRILNISSQLGLLNVSAPIFYCISSDDLAMLLVLCFVQK >Dexi1A01G0031920.1:cds pep primary_assembly:Fonio_CM05836:1A:36691427:36691761:1 gene:Dexi1A01G0031920 transcript:Dexi1A01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSPAAVLLVLAALAGVAAAGDIVHQDDEAPKIPGCSNDFVLVGPLPFLASLSPRFYGSP >Dexi2A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:2A:23117296:23122554:-1 gene:Dexi2A01G0014170 transcript:Dexi2A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGSAACNGVRIVSRRMVRPWTTATAGDGETTETVHLTPWDLQMLTVDYIQMGVLLPRPPTENLEDLLKHLERSLAHALARCYPYAGRLAVHEHGGGKGITVSLLCTGDGAEFIHAVADDVTIADVMASPRIPRVVWSFFPLNGMISRGGGSHEEQGTMAVLLERWFPDTCPVPVPLPFPTLEHAVRRFHGPPVEECFLTFSAESVRDLKKRANAEMMTTTTKKISSLQSLLAHVWLAVTRARRLPPETETSYTLAVGCRGRVPVVAQAYAGNAMVRCAARATAGEILRGGGLGRAAWLLNRAVASRDEAALVGSVASWHEGPRFAYLDGWWHPALLVTGNSPRFDAFGNDFGWGRPLAVRSGAGNKVDGRA >Dexi4A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:4A:7497546:7498974:-1 gene:Dexi4A01G0009490 transcript:Dexi4A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLHSSSQKPPPARSTNPGYFLPKTVVHWLAFGSISLALLHLICCSPRGAQEAAFTRVLQYANDAYLKLTSSGGGSLRCDYSDGRWVWAPGHARRYNATVCDVKEEQDCLRNGRPDTGYLDWRWQPAGCHLPAFDAAAFLTAARGKHVAFVGDSMARNQGESLICLLTAAAPHRLVDQDPMNYRRRFVRWEFPTHNVTVSVYWAPFLANATGRCDNYDMPYTFVHLDEPGHRWASHADTMDVVVLAAGHWLINPAVYHKGGKVVGVHGNPDLNHTEIGYATPLREVYRMSLERLSSGGRSRTVVVATLSPSHFENHRMDDPMACAKKQPYREGEKELNDMEKELRRIVVEEAEAAVERNGPNGAVRIEVLDVTKLAAMRPDGHPGPYMHRNPFANGVPEKLSTDCLHFCLPGPVDTFNEILQQIVMKRR >Dexi3A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:3A:12667138:12669622:1 gene:Dexi3A01G0016710 transcript:Dexi3A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQDAAEAAVGAVGCGYDLTRDLRLGRAKPPGRLVEIDAAPARDLSLPGGAVVAGVPAGIVADKGERTRFRSDVLSFAQMAEQVNQSQSLAGKIPTGAFNAMFDYRGCWHRDAGATRSLCFDGRFVELYSVEAVRAQLALQDRVKQDLPPSWDPPALAEFIDKYGTHVIVGVKMGGKDVVCVKQLKGSNLTQSDVQARLKKLADDKFSQDRAGNSTAGDDRLLAHELNGNFGPGSAAWQTFRSPVVSHKDDIVCIHIRRGGVDTGQDHGKWLSTITNYPDVISMSFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELQQFLEFQVPRHWAPEFGELPLCLQRRKNSLPSLQFTLMGPKLHVNTAKVDSGNRPVTGIRLFLEGKKNDQLGVHLQHLSATPSTITVIGEATSGDDVAVDERDYIEPVKSPLLSHVCTAPVQYNGARIDDCAAIVTSAWLEVRDTCCLKKVLFLRLGFSGVAAMKIRRSEWDGPSVLPRKSGSLSARLSAALSGGLSQAAQPAPAEEKVEVNSAIFPKGPPVPLPVQKMARHVDTTEVMRGPDDQPGYWVVTGAKLCIEGGKVALKVKYSLLIAVQEDTDV >Dexi5B01G0025100.1:cds pep primary_assembly:Fonio_CM05836:5B:27207601:27214773:1 gene:Dexi5B01G0025100 transcript:Dexi5B01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAGGRHQPLLKMKERSGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRVLRGLVIRRSCLGFWFGPMLAVAPDLCCDWSYAGLVVFWDARVYERTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSSSPGASGSKQPGKTANEKESPKVDPRGIFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARSGTSPAVKVEKAHSGDVHCVDWNPLDVNYILTGSADNSVRMWDRRNLGSGGTGSPIHKFEGHKAAVLCVQWSPDRASVFGSSAEDGFLNVWDHEQVGKKKNSNVPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEEEVLTELENFKSHLASCTPRN >Dexi6B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:6B:18698858:18699139:1 gene:Dexi6B01G0011490 transcript:Dexi6B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAQMKQEEAESLWRKKLVLQSRRVEVFMGYSENPMTPPQSKETGGFLRRAAPSGGPAGRPRTELQIEILWRGLTRRDGPMMELRWWMPLRW >Dexi9B01G0021990.1:cds pep primary_assembly:Fonio_CM05836:9B:16655674:16657562:1 gene:Dexi9B01G0021990 transcript:Dexi9B01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSPSNPASPQPPPLLLPPPESAPPPPLPEARPRPTVADGVRGLLRSGEALIRAVFRGNHSAHPRAHLQHHLHQHHQQHRPHDIMKRLQRETFSDVMKLKDKHDQIEHILSLYKSGKGLEFLHLPIQVKIALDAVGALFLVDGNEFEQAKETLDKAGKRTGLSSRFIFESKTRGKDTIAAELSTKLGAGAHFGDATGRPVELTRLQYNARINKWLSMILVPFGAQCNNFAHSSSMIQNLQSQASFDGPPSFLEHHNCAAGLRIKGSKFTASFAELIFGSGGLDSGGEGTRMTTFGQLSYKPSNDVKLSLSGLWQICSLSPRFNNLGTLAIPLGSLKGADKPTAGATEEQTELSVKFHRNAGATSHTVESSVAVHGASDPAAHLAQSVALMVDCELHETLKTEGWFQMERSNHGPVRWGFSLSDIPENELGWGVRVGGTAQEEAHQLQHLDLEGYLNFNLGKGARLQPGLVYAKMGEKMSPALFLRSSWFM >Dexi1B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:1B:1365103:1365963:-1 gene:Dexi1B01G0001740 transcript:Dexi1B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGMDYWVAPGSAAVPATQGKATAGSARGDQWDERELKKQKQKQSNRESVRMSRMRKQV >Dexi1A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:1A:18507125:18507883:1 gene:Dexi1A01G0013510 transcript:Dexi1A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGINFYTTDETVLTLTKSAFTNLPHPPAAKAAPLSGAVLARAPDGVDCISRLPAEILRNIVSRLPVKDAARTTVLSTRWRRVWHTTPLVLVDAHLLPRAGATATIRRASTSSVRLGAVPREVAPRGLADAVSSALAAHPGPFRCVYLTGTQMENHHDKLALWLQHLVAKGIQELFFINRIRTFDADVLLPAMIFRCTSLIKLYIGFWRFPETATLPRTAGFPHLRELGLCSLVMKERDLEFVLDRCPVL >Dexi4A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:4A:737299:742264:-1 gene:Dexi4A01G0001110 transcript:Dexi4A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRSPAGAPSSSGGGGGGGGPVIEMASLLRSDRTYAPLSTDDTSTSRGAVTVGLPPAWVDVSEEISANMQRAKMKMGELAKAHAKALMPSFGDGRDDQRAIEVLTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRRKQSSYLKQLRQQKEGQDGVDLEMNINGSKSTFEDDAFEDVGFSEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNFAASVEEGYKELQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >Dexi1B01G0030580.1:cds pep primary_assembly:Fonio_CM05836:1B:34497079:34501141:-1 gene:Dexi1B01G0030580 transcript:Dexi1B01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPQCDSKPPSAAPLIQVGDMAGEAPPPAPAPKLLYIAVTDGGGRRAFRYTRPVLQSTLHLMGCKPRHAFKVPPSSSPPLLFTPPCYCISKRVFNVMKSELLAASKLDGVTNQENCPALGDGIDTPKNLERSSSSIPFELYKNQTTVVISREQFVSVVCDALSSYKYVGPNQKADLLLACRIKERKESVTILLCGTSGCGKSTLSSLLLMEEYSVYGSEEEADDEPRDGETDEDLTDEERDNHEIDTGSVDEHSTKSDEEYEDLAMRDVMENGDWSDDEQVLSSTKNSLSQESIIRGTGTDEDDGMEGRYHHNLDLFLKMSKEVAGTRMPCAS >Dexi1B01G0022690.1:cds pep primary_assembly:Fonio_CM05836:1B:28378035:28378607:1 gene:Dexi1B01G0022690 transcript:Dexi1B01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSSVLFLLIVSPTCKSSTLEDACRSFAAGHPSIGYDYCIKTFRADKASAAAADARGLAAVAARIAEAKANATAARVAALSAMETDARRRDRLAVCEVVYSDAVDQLAQAADDLDHHGEGADADDAVTQLSAALDAPGTCEDAFGEADDTSPLAAEDAEFKKMATIALAVAASLMPPSPPTPVAGAKN >DexiUA01G0006280.1:cds pep primary_assembly:Fonio_CM05836:UA:12136557:12137244:1 gene:DexiUA01G0006280 transcript:DexiUA01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAIIGAAIGGWTTDRFGRRTSILVADFLFFAGAVVMASAMGPAQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTNAPGTWRWMLGVAALPALLQFFLMLFLPESPRWLYRKSLNQCRSGS >Dexi3B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:3B:2577237:2578688:1 gene:Dexi3B01G0003770 transcript:Dexi3B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSPLIPFPTQHEESSYLLWSPQLVIPLENGNMCDADAGTSPNQQQQEDHEFMDMMIQEANGLLLQDDLSSGDPLLACFDQRLAGQENARLLTIQEEFMEEKSSLSDLIVAGARAVEARDSISASAILSRLDGLIHGVPYRSCNHAAVSCSSSDHLACYFARGLRSRISGEVTECHPSAAPPAPASRTPAYRMLQELSPFIKFAHFTANQAILEATSDDPCVHVVDLNVGEGVQWAPLMSDLARHGGKTFHLTAADDADSDASAAAAAERWLSEFAESLGLPFQYSSLRLSSEDLHGFTASCNAGGGGSVIVSCDTTEKSYSSLIRLQKQLLGTVKILQPKLVILIEDELFRIDRNLAPFAEFCGELWQHFAAMLESLERCLCDGGYGAWLGLVEKETLGPSIEDAVGQYEPLTGGPCAELEGLRACEISCFNVAQGKMLAGLFSRGFGVVHEEGRLALCWNSRPLTSVSVWSPVSV >Dexi5A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:5A:6980759:6982002:-1 gene:Dexi5A01G0009270 transcript:Dexi5A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDAAAARPGFGPSSRQQAPLSILVSVCSVLFLLGKKITHTLARISHLTVFPAAAKITPPWMNHPSDTVSPPRTACSNSSSNAAGEPRSSNADARRVPVGVEADLLERKCAMHGRRGELHGHARRGSKRKPASLPCHNCSKLATARTLTTAHQRQNGGRQRASQPARSRTRTTHVAAVQFSPRSRTAGAGATEQGIVEKGSIGWGRDGYGLQEQASRDWGRTAGALPRWAPRAEPAVPAGGH >Dexi5B01G0030750.1:cds pep primary_assembly:Fonio_CM05836:5B:31625801:31626743:1 gene:Dexi5B01G0030750 transcript:Dexi5B01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTSQASCDAAAYAVEYLGAPGVWLVPTDEDLIVHYLERKLRGEPPPHRLLAKLGQGAAVEGAWYLFSPRERKFAGAMQPKRETDDGVGYWKAIGKEKLILGGADGMVVVGTKRALSYYEHIYEEDAEGSRRTVWNKYKPTLWRMDEFVASNTNRPVGDDTASDPMLLNDFVLCKITWKPPLKVKNTGPAPAQGSEEEPTSYGDGESQEKHRTEQQLPGGGVA >Dexi7A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:7A:26598071:26600214:-1 gene:Dexi7A01G0016770 transcript:Dexi7A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQGIKATNDQRHAAAAHPVVHENFKDHLNNTLDSSAMDMPEGARAPKARKPYTISKQREKWTEDEHKLFLEALQQHGRAWRRIQEHIGSKTAVQIRSHAQKFFSKVIRESSGDSNSIAAPPQIHIPPPRPKRKPAHPYPRKLGNSLGKDASAIKKPEKVRLKMPFLSEQENCSPKSVLGTAQIGSETLATEGSGSPTSSVYMEEKCLTPSTSVGESAVQVPLSKQHQPSQAADCNSTTVPETTQNSDSTESTRVNNNDDEVIPVPGSRKRLSTVPVHLQGFMPYKKCTAAQSKMLQSQAPGKDEDMTRLCL >Dexi9A01G0024210.1:cds pep primary_assembly:Fonio_CM05836:9A:20439558:20440192:1 gene:Dexi9A01G0024210 transcript:Dexi9A01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTPRLLLYGRCKAPPTPSSMAALRSYCLSSTTTIVQRRKSSRRMMIRASMDACSSSESKKIPTSVSFTGKVNKIYEDKNMGILCYTDENGELVCEGLDEGPRLTWQDMEKMNMEKKTKNQEEQRQRTLPFPGGIDWSSLQAAVSMGKN >Dexi2B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:2B:2669445:2669984:-1 gene:Dexi2B01G0003060 transcript:Dexi2B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKRAPPPAPDDDITAAAGGVVDGARLFPCLFCSKTFLKSQALGGHQNAHKKDRVAAATGSCWSNPYGTTSSYAAALELDALAVASGGALITAADTSRGLLLPPYCVGGARGGPSRDTYMDAAAAAAALRQGWSLSAAALHGGGTELNWRRGTQAAAAAARTSGGGGSEEPDLELRL >Dexi9B01G0025380.1:cds pep primary_assembly:Fonio_CM05836:9B:25578727:25579062:-1 gene:Dexi9B01G0025380 transcript:Dexi9B01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLMSSASATCSLTATLLLLAAGAAAQAAPAAGTACHNDIVSLRSTCYQYVQDNGPLVQPSPHCCATVKGITNTTCVCDYFSSLDHLNLDRVFYVAGQCGVAIPWSCGGE >Dexi9B01G0030370.1:cds pep primary_assembly:Fonio_CM05836:9B:32900177:32900412:-1 gene:Dexi9B01G0030370 transcript:Dexi9B01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTELVGGRNVPGLEEDLVELPAGGGAAGAEELDAGVDVEGHHSSSKEEERRRQISTAQRPLPTPVQFGYRGGV >Dexi2B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:2B:27474795:27481082:1 gene:Dexi2B01G0017060 transcript:Dexi2B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGAAHQLRPVGSAHSPGPSSFLRLRLVLFLLVCLVGAERGEGQAAAPAAGERRVVVDVGVILDRTTWVGNVSWACMELALEDFYADARYASYRTRVALHLRDTGPSAVDAASADSPCRSASQTPYFIRTAWNDSSQVEAIASLVQEFNWREVVPVIEDDDSNTRFIPDLVDALGHVGTRVSYRCKIHSSAGDDEIKGAISSLKGNWTSVFVVRMSYSLALKFFRLANEEGMMGQGFVWITAYGLTDILEVVGSPALDVMNGVVGVEPYVENTARLQDFKRRWHEKYKRENQGTKLNGPILPGLYAYDTVWALASAAEKARYVNSDFLPSETNNGSTDFDRIRTSKAGEKLHDAFLKTSFIGMTGTFRIQDWKLVSTSYKIINVVDQDRKVVGLWTPGFKISGKATGFCARVFEEVIHALPYEVPIHYEEFGDGKGESNGTYDSLVYKVYLNAFPRGSPLTPEISRGILELASNGTMAELEKELYGDTVCPDKNDSQTSSSLTLHSFLGLFIITGASSLLALVLHVGITLYSNRSHLIDAHRQGSWRGSFAILSKIFHEHDNSSNTQDKDETGMANPDPIVEIPWSMSSHTIENFDMDTDMGSPLEGEGTPGREVSNQDPGPPSFAYMHSDG >Dexi9B01G0047930.1:cds pep primary_assembly:Fonio_CM05836:9B:46938079:46941594:1 gene:Dexi9B01G0047930 transcript:Dexi9B01G0047930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLKLASPKPLAPAASALLPRGGAAAQARPLPARRGPTPALVAVQSPAAPPRVGSFDKVLEALIAGADFSEEDAEATLRLLLEERDEARIAAFLVLLRAKGETYEEIVGLAKAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKNLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGEKGSIADALVLNAAASLLVSGKVKNLHDGVALAQETQRSGKAIQTLESWIKISNSSK >Dexi1A01G0023750.1:cds pep primary_assembly:Fonio_CM05836:1A:30359890:30363152:1 gene:Dexi1A01G0023750 transcript:Dexi1A01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPAPLKDAVGGLERDGFVALLSKLIGESARLQNDPPTHRPQEDLVAQHVVDALRPVSTETGGGPLIVRKVSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPSEWDFDPFSLTFDSEDKDKLQGRGTTDCLGHVALVAQLMQRLGEVKPALKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMEMNMEALKEIQKRFYNDFPPHEKEKLYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSHVVEKLKEYVEDINERLETALDTRGPVSKYVLPDENLRGRLEITFDGDVMNGVACNLESRGFKALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFVSIISQLEEEV >Dexi3B01G0031640.1:cds pep primary_assembly:Fonio_CM05836:3B:33640581:33656222:-1 gene:Dexi3B01G0031640 transcript:Dexi3B01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRKLGGAAKQAAALVSGTLSGDAALPWDVVGEKLAELLRFLASIAQALAAELWERAASLAMLAHGALAVALPAAAAVAVLLLAAAWRGLDGEEVEGLCGGGPVVSYRRRGYKGGIFSMHPNKPMGATWRSTVRGEGQARKVTLNVSRLGPWRTSERFEPTDRDGEGYRAESRAARMVHGRARVHGEDGVGQVKKDGDGERVKELEGVVALGGGQTRIYTEGLTGGFDRFGPQNRGFITACGVGWKGLGGLATEPPRRQVFRFVPQNRGRVRCGRAASDRRTHGIIAKLASRRSEVVKASAAPVQACRRRPGDARHNVFLLLSLTPPSLPCCRHNEGTARVGVEHAAVSAPPNPLIYQPLEGSPPRRTLDDRRLVPFDNPTLTALPSGQQLAYPVLHPADLVPTLRHPTARRLELPGIERRRPAPRGSRHHAPAPCHEILVAGLSCYNVRRAVGSVLQHEPSSEETAKFRCMSTAVNARWLARTMSASHASERNEELELTCNAGHREAPQWAELGLARTMTRGSPWTRSLRLLVGRSVGLPVDKDRAQTSDMEEEDDLTLYGMHRCLAHGPAATLQPRPPSGHVVSDLELLQWRCMTETPVSARPRGPGLPIVFCAALHDNNGSPVAPSVFFCAALHDNNSSSCTIYEVGQSRALSHCATDDLAAGVGDGGAAVLLFMGRSARSHAPEDVGTWPNLVKKHRASVSFSFRVILRFGSVILGY >Dexi7A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:7A:16786634:16790152:1 gene:Dexi7A01G0005540 transcript:Dexi7A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMKLVVGGFLSVVVDGAVSKVSACLENNYNMPERVKELLRELETKFTMVKAICEAADNCLTTNTSLVQWLRLLHTTTQEAEDALDEFQVEEASITGKRKVSELIVSSLRSLKNLVIPDRDVIRLEQVVKTITQLCATSNTFLELLKLDDYKANQQQAGVAGETTSQFPIDVQVFGREEITEFILQMIIESSSHDHERSSGGTGKTKATRDNIIVLPIVGMSGVGKTTLAQVVYNHAEVKRHFQRRAWVYVSEHFSFKRTLQEILFSINGYEGNGLDSCDSMEATITKLRSKICGGYKFFLVLDNVWEEICQEWSTLLTVLSDEARQHGSVLLVTTQNQKVAQTIAILHPIELKALPWKSFWPLFQYYAFGGTEVAQQKDNHNMLSIGREIAMKLDGLPLAAKVIGNLLRCRFSEANWRRVVDSDWWNLSDALQEILPYLRVSYQHLSPQERQCFAFCSIFPRNYLFDKERIVQMWIAQDFIQRNKISDGIKPEDVGRQCFDALMDRSLFQATIVNNKYVMHDLVRCLAIAVSVDQCFLDDERARGTSSLALENVRHLSLQTGSLEQCRERQKYKNLRTLLLFGRFESDAFFPLLDGMLRNSPRLRVLDLSYVEAPGSGWPDNAMSLRKLRFLDMSFTRITKFKDLPVNLQVLHLRGYDAGSLPRNITKLSNLRHLFVDNSALSNIPGIGQLTELQGLDSFIARKGQGFTIRELKNMRELTGQLCIRGLENVRSKEETMEARMIDKKHLCSLVIEGRKVSKFVLEGLQPHPNIQELTIKFYQDQNFPHWVLQLDNLANLVHVNLENCRSLSTLPPLGHLPLLKLFSLRKLQSLKHIDGTSFGGFPSLEELEFHWLEKWEDWTEPEEATVAAHVYGSPLFLGCLKKLHLVNCFSLRQFPRLPHLSALKELKISNPGNYILELPNCLQVLACLTTLSIEYCQHSIVLSPHQLKSLENLELMRCEGLRLADGFQCFCKLRSARVEGCPQLLSDTASSVSANLGQNLHEKQQQQGANLLTHLRTDDSLMNGDYFRMMGNLSSLRNLTMFNVPNTTHFLDEQDQWFQHLTSLEVLVIDYSIMLQHIPSSLAVLPSIKELILNSLHNLHSLPDALPPKLQKLV >Dexi5A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:5A:24493623:24495126:1 gene:Dexi5A01G0020690 transcript:Dexi5A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGVCMMSTSWRDKQDPNLIGFIASFLAANLYRLNFLSVSPDFIFNNGGTSVAFVFETNWHAENEAAVFSRVNTLKRQFKHFYVIVVLPTGEQNESFNQSYFKYDMELGCPTFVPVCDPEMGFEKLVKIAHARGVCKQQDIITTMRNEREQAVQCMDAFLRVLTSIPGIDSHDANALSQAIGSIEAIAKASVKFILENTDLSTEKAERIVRFFRDPQYYLSPKIN >Dexi9A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:9A:974482:980634:-1 gene:Dexi9A01G0001890 transcript:Dexi9A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDARGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKITEDEIKFLRSVMPTCEDGFFEYLSSIDCSDVEVYAIHEGYVVFPKVPLMRIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMVSGDFFILLQGLDEITDKALISSDGSSKCEDFVSLVQNWLIKIKDSSSLGGTFGETNQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKLGGPDIKMCCAFIGEANSREELPSLYEIRSRCIQHLDWMRPDHMRRLNPTPYKVLFCTPDLDVIDVTKLDNVNLMEHTFYSFLLPEQVSVTAKLYDFIHFLWLNEAPVGELQ >DexiUA01G0006590.1:cds pep primary_assembly:Fonio_CM05836:UA:12678474:12680148:-1 gene:DexiUA01G0006590 transcript:DexiUA01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLKTILMVLLIQPAATGGDARRALHEPLFPIEWTPPPSTTAPPAPGFVSDPSTPVPPVDNGGPALLPAPPPPDTVTADASSSRTGPGPRPRGGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHPAQTHKPPGLAAAAPAHPGPASAVVLHADAVGSSAAGSSSGATPYRKMRSERARRGMCRDVDTVPSPELRPLPPLRRTGSSDEDAAYYTPGQRSAGSGGGEGAGTWSEASASSPRTTTPSRRSLPSLTSDFFPTTPATASAAATVAPHPPAPPAPRSRRTLPRTRFSAGSASDMIKQMVSPPSNPAQPPPPPPPPPPAPRCNEPTPKPPPPPPPPEPPTGLLSARQMLKPQQTEGPSVAIPRAPVMTVERDNDHMPIRTQDAAAVDEARPKLKPLHWDKVRACSDRDMVWDRLKLDEDMIEVLFTNNAANAPPRDIPKKAGMPQCRFEVKVLDPKKAQNIAILLRALNVTLEEVSAALLDGELK >Dexi5B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:5B:9306616:9312156:-1 gene:Dexi5B01G0013100 transcript:Dexi5B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCINGTCTKVGSIAKPSSSPVHSQEEEDARLDGEDEEDRNGPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLMWVIAPHELPTSAGYATATFIVNTTILALSEAGVLYQVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHRHYQSPHLILSRSPGTAMRPSPLSLAGSLFMMSEHGGLVEYHFSPQDGWEWVEHGTPHRDVILVGAPGPCFDGSQLFVVGSDGHVYRRHMEGRTWRWTSHGHPPSEPAVADDKICAMPGAGAGAHHTNGFSGSCDGKVAAVRPVPFSGDAVIFQLRDGRLAELRRPASAEECGGWEWARIIGTPASACMASYWTAVAT >Dexi3A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:3A:1398511:1399230:-1 gene:Dexi3A01G0002090 transcript:Dexi3A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEVESQIATRKA >Dexi5B01G0029420.1:cds pep primary_assembly:Fonio_CM05836:5B:30668260:30669462:1 gene:Dexi5B01G0029420 transcript:Dexi5B01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNLSDGQEIAVKRLAANSGQGLPEFKNEVLVIAKLQHRNLVGLLGCCIEGEELLLVYEYMPNKSLDFFLFGIFSVKSDVFSYGVLLLEIISGMKNAGTQQHGNSLSLIGYAWELWKERRCHEVIDKSLHGRCPENVALRCVHVSLLCVQEQAVDRPFMTEVISMITNENHPLPDPKQPGFLSLLVSNQTDINEEACSLNDLSFTNLDGR >Dexi1B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:1B:7619470:7619771:-1 gene:Dexi1B01G0008760 transcript:Dexi1B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSVLAGKGGGDAETWATGGLRRWPDDGEEDMLGSSKLNIVLCIKSRCNPDNRTCYCCQTIPKRVLGLLPQPAATTRRLPAPCAGAIIQH >Dexi7B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:7B:25016867:25018233:-1 gene:Dexi7B01G0019560 transcript:Dexi7B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYVQVWQIILSSMKFVKLYFYSARKVLGASYQLPDAIPSLQLEAFKKQLMKSLSEDNLLQLSETSQDHDAEDNLTARVPSWKDEVSSSHSSSDTSSRSTKTESTHGGGYQFSLTPYIPQKLTPGSTPIVSSSGGSPRAYSTGPSSPKFLSGPTSPTRSRSEGQSIYSSWQGSSSHQYSAPTSPPQRRSFTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGAEHKDLYISFQNMLNRNQS >Dexi4A01G0023920.1:cds pep primary_assembly:Fonio_CM05836:4A:26851937:26854711:1 gene:Dexi4A01G0023920 transcript:Dexi4A01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGGGGQDRLSALPDDVLVLILLRLDTTIAGRTSILSHRWRRIWALLPELRFPADADLRLVASALAAHEAPISYLDVRSLDAVPESVEACLALAAGRLSGSLVFQNRVSPGGNAGGGGDGGTLGFDLTCLHNATAVSLDLGLLGLAVPTTGVFARLTALSLERVRFRSWWELGDAVSSPRCPCLQKLSVIYAHGLANLAIHSESLLQLKLKDLYGLQQLTIVAQVLNELDLGHCFGAPGGVVAHISTPQLVSLFWVDLHDSTSVQLGNWPRLQKLTSFIFVYGPHDSLLNHGFLRLLKQFQFIKNLHIRLVYLKNIGNLHYALEDLTKLPCLTTLSITVNNKGHAFGASLFKVLRICSDLRMLVLALDDVSDSEVPYACPSGCVCEQPSDWKTEELTLNCLQGASIEMEGSDHQVAFVKRLFTWAVALKLMQINFDSSMSECKVMELRQTLSSFAGPETRVNFYMYENEGVKFMGTRYLLAP >Dexi7B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:7B:16337311:16341904:1 gene:Dexi7B01G0008510 transcript:Dexi7B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEREPLLLQQQQQQWSNAAGAKAPPPSLARTVLKFLMWAVFLTWAAGIFFYPIKPAQAVLRKSIGLTKDSMLGIAGGVFLVFSAPILIIALLAYVYISFFPSDHMEKRKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFIIYVVYSMTYYAVESMSLISEFRKPSSTDSELILHIIGLRLGSVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIEWSMEGRLIKTMLHWKEIGVANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYVVFIVFLAFHVGDFIFSFSAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPASLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGRYHMTVLIKVLGTWTEKLRSIITDVQEQNRGDSELQCGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEDGKQCMPKNVLVLWSVKKSTELSLLSAVDAQTITSLVSDKLHLDIQAFVTQESQAPLEDGILGDDQKVPGMFVKNGTTMSGLVGTGDNFWAAMYFLASTLGFFLAYLLVQVYYVKPHNVVAWWYLGLLFMLCMAAGVALPGGLVVLLWHLYEKRRLEDEKWDAAAAASQSSPLAEQTAPATGDGAGDDTVPSVSLTALRTTRYGCRPNFEAEFAAFAERAGDAADVGVMVCGPQGLQKSVARECRARNLRRGGGAEKSGGSRAVFHFNSHSFDL >Dexi2B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:2B:28210035:28210274:-1 gene:Dexi2B01G0017860 transcript:Dexi2B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTHRGEAAALGSPNLCLLPLPPTDCVAEVLLPGRCCRQAAATPGAPGSCRNDRALFLELDANARDLFLTLAPNARDQ >Dexi7A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:7A:21733511:21734493:-1 gene:Dexi7A01G0011110 transcript:Dexi7A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAATLTVLALGLALLCAAGPAAAQNCGCQPGYCCSQYGYCGTTDPYCGKGCQSGPCYSSGGSSGGGSSGGGSGVDVASVVTDSFFNSITSQAGSGCEGSNFYTRAAFLNAVGSYSGFAQGSSSDDGIREIAAFFANAAHETGHFCYISEIDKSDIYCASSTQWPCVAGQAYYGRGPLQITWNYNYGPAGQAIGFDGLGNPGAVAQDPVVSFKTALWFWMNNVHQVMPQGFGATIRAINGALECNGNNPAEMNDRVALYQQYCQQLGVSPGSNLTC >Dexi8B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:8B:27086495:27086736:1 gene:Dexi8B01G0016130 transcript:Dexi8B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTTEAPACTFWGQTTALQHKLKAHPEYQLMPAVAPPVAAWTNGSAIVPAGYHPAPARGAVYYV >Dexi3B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:3B:15299024:15300435:1 gene:Dexi3B01G0020290 transcript:Dexi3B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKKLRILLIPFFATSHIGPFTDLTVRLAAAARPSVVLEPTIAVTPANVPVVRSAVERHGPAASGLVKIVTYPFPRVDGLAPGVENLSAAGDDGWRIDAAAVDEALTRPAQEALIREQSPDAIISDVHFLIWNDAVAAELGVPCVTFSGLNVFSTLAMHNLADAVLRDGQEVTVPGLPGPEIRVPVPELPEFLRCQQEDGLKEVHKAMGRCLGVALNTFRDLEQPYCDLCVSTGFLKRAYFVGPLSLPLPLAGASASDSPCLRWLDTKPSCSVVYICFGTFAPISEDQLRELALGLEASGRPFLWGRRVDAAGRVGGARGGERDAGQGVGPADAILAHPATGAFLTHCGSSSLMEAASAGVPMLTWPLVFDQFIEERLVTEVLRIGERVWNGPRSTRFEEREVVPAAAVASFLEPGGAGEAARGRARELAAKAHTAVAEGGSSLCDLRRLVDDLVEARSAAPPRA >Dexi3A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:3A:4829024:4829725:-1 gene:Dexi3A01G0007120 transcript:Dexi3A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSNMESKAASGDDAGDQEEVAAPPPPAAGDEAAAASSPPAAPAAAASPKPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPAAAGRDSSPAAGFTSVSRNVECYNRYRHLGSSSYHPPPPSSSSTPIPVGAGTSFGMIYVSSGAAAAPTARMDAESGGSPSGVSPRELSLFDEASHDHDDDQDLHLGLGRHGRHVGGGSRTAAEGSGGSESGEPERELDLELRLGRRPRH >Dexi9B01G0032070.1:cds pep primary_assembly:Fonio_CM05836:9B:34360373:34362990:-1 gene:Dexi9B01G0032070 transcript:Dexi9B01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKPALGFPAHGEMDELELAPPAGSPSPPPPRKMHSLDFEHIGSLAAVAESLSPGSKWGRAATSVRVVIFQAKINVLLPFGPLAIMLHYLSGKHATCLLHWPNRDQVFNKEEEAIEDEEDEKEITQWEAICWLFILTIWISVLSGYLVDAIQGASESLNLPVAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPNA >Dexi6B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:6B:20603605:20606982:-1 gene:Dexi6B01G0012870 transcript:Dexi6B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLPIRVLSRPAPPLCFLPFLPRPFVPPRRSISVSAVSTSRRSRPLAPVISEGNDGEDAAVGRPVCPGCGVFMQDEDPNLPGFFKNPSRSSQDEMGESGEEEAGVAEDALISESDDELEGLDSDIDDLLEEVEGDEEDESAVKAGTDIDGFASDWDSDWEDIEEDEDEKWRKELDGFTPPGVGYGNITEETIQRMKKEKLSKSERKRRTREAKRAEAKEDLAVVCARCHSLRNYGLVKNDKAENLIPDFDFDRFISSRLMKRSASTPVIVMVVDCADFDGSFPKRAAKSLFKALEGRRNSKVSETPRLVLVGTKVDLLPWQQMGVRFDRWVRGRAKAFGAPKLDAVFLISVHRDLAVRNLISYIKDSAGPRSNVWVIGAQNAGKSTLINAIAKKQGVKITRLTEAAVPGTTLGILRVTGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMIEIRKELQPRSFRVKVGQSIHIGGLTRLDVLKSSAQTIYVTVWASSNVPLHLGKTENADELRDQHFGIRLQPPIGPERANELGHWTERHIDVSGASWDVNSMDIAVSGLGWYSLGLKGTATVSLWTFEAIGVTERDAMILHRAQFLERPGFWLPMAIANAIGEETRKNNERRKAEQRKKEEEELFLEEMV >Dexi4A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:4A:23831452:23834033:1 gene:Dexi4A01G0020070 transcript:Dexi4A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLCRLSRLFPLVSFASSSSAAAAVAAAPGLHGQLPFAVNPSPAPALPSSPASPSPALPALSRVFSSSAGASSMVVVGSADSFASILSKVQDEKLPAVFYYTAVWCGPCRAIAPFVSKLSGQYPNIPVYKVDIDMEGFGSKLGGLKVCSVPTFHFYHKGQKTTEIVGADVKKLEAAMDSLNKQQEP >Dexi9B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:9B:3654149:3655884:1 gene:Dexi9B01G0006140 transcript:Dexi9B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVARASAATSAQLRPCPRPRRNRSLPSSSVLRRPGRRRLACSASAADADVVDLFDAAKLTVDKFVKSGMVVGLGSGPASALAVQYLGTRLRRGSLTDIVAVTSSVLSASEADKAGIRANSYQEGIPVIIEEGTLAAVIGRRKTESGEPSFMLEKAMVKSADKLAFITGNDKYLTGVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSFGTAGPLGGDHPLVTKEGHHVLDVIFTTPIPDLGQVAEKLDQIAGVVDHGIICSDQSYAVIASKGEVQVIEEKSSVIP >Dexi8B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:8B:20658297:20661030:1 gene:Dexi8B01G0011570 transcript:Dexi8B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCFFLLCLCSQALVSPRGNNCTDQLALLSFKSMLSSGPSSLLASWNTSNHFCSWPGVVCGHQQPVRVIALRMGTFNLSGLISPFLGNLSFLKELDLHGNQLVGKIPPELGHLGGLQVLNVSLNYLQGSIPVTLQVCAKLTSLDLSNNYLQGEIPSEMGTLKKLVFLNLEKNGIDSTWDDFKAIVYDFMPNGSLESWLHQDANDHQAEQRYLNIYDRVTILLDVAYALDYLHCHGLKPVVHCDLKSSNVLLDADMVAHVGDFGLAKILVKRSSSLQQSTSSMGFRGTIGYAAPG >Dexi1B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:1B:23447724:23450523:-1 gene:Dexi1B01G0017060 transcript:Dexi1B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGELVASRPGEPTGLVPGGKEEAEALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCDEKGDITCEICHESYKPGYIAPPQVHHDETTIEISGGDWTISGTHLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSDDEDDASAIFSVSDYGLLFQFVHSMHQEEAMLLPTEVAIILHRNGRTMQFAVAPPESPTSPQPEPSQ >DexiUA01G0013110.1:cds pep primary_assembly:Fonio_CM05836:UA:27278634:27288985:-1 gene:DexiUA01G0013110 transcript:DexiUA01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSPAAALMALCVAHNTILLPSIFWSTWVAGSGHPLSLGTTSGAAVLSRLRRTSPRCVANLPPAAPPPDVPAAPTVATIPGYASSTTTSHVQAPSATVFTGKATTSPLAVPRVLPLFTTASFVPATTAHTHTHTHFFFTSFPHCHRSATPKVHATARVVAMGCHCLSPSHPHSKTNPRSRSLGLGLPPYHYSESATGILAPARPCRYLVHWPGHQGLYLLLKLARALGCLGSPHSVHVSPMVFIYAAFLAARGCHAYFGFAPSVMVVGSSWGCDATPKTEWPELVGSTIKEATEKIKAERPDLNVEPVPVGTIVTDEFDPNRVRLWVDTVAEAPARLANACHLVFPGMASIVLTSTHTTAMESSRGHGRALNPSWTPGHNFSLAGCFPWPHQRSSSSSCYTCGYCRREFRSAQALGGHMNVHRRDRARLRQCCPAPYVPSSSLPTPSLLASQQHRAPLPNLNYSPPHCAAAPEPPPVIYSFFSTTTSTSMVGVATKATLEVSLELGIGVCGRGGEAVEEEGLDLELRLGCA >Dexi4A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:4A:2575505:2578023:-1 gene:Dexi4A01G0003590 transcript:Dexi4A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAALLLAVALAAVLLHPATAAGQKKPATAARREDIPYIRCQVCERIAREISAQVAKKQQALPPAKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVEEDEEGHCNAECKTIERACQEVIGYADTDIAEFVYKNNPSADQLMKFLCKDLSKACAKDPPPVPKDRVPGEPFARKPSKDAEMEKILRSMEGMPGAPSMKMYSRDDLMKNNFGTVDDDDEDDEDEVDNFPKNLGKVLKDKGSQKKDLKQQVVQQFKDTSKKLKGQVNKVSKIVKKWWQGTKKPAKSSKSKTEL >Dexi9A01G0046420.1:cds pep primary_assembly:Fonio_CM05836:9A:49855874:49859332:1 gene:Dexi9A01G0046420 transcript:Dexi9A01G0046420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVNKWFNIRSKAHDFHADDVAAVGRTGGGDDEWRGSSFTRREPSTVKKSRTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRPPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNAPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNAPFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENTCRWGGSSDDENNTGESPSTVYSPMSYGYGNASSLEDSQRRAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEIIRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGCNVDEDPKFVGPKLQLLIVNLHIGHLQKRWDGMQDLSKRQGEGHVL >Dexi2B01G0036480.1:cds pep primary_assembly:Fonio_CM05836:2B:43294638:43295816:-1 gene:Dexi2B01G0036480 transcript:Dexi2B01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDSLNRNQWESMVCLVQSVVPRGQKTLQKFVNNGSLNVFTAHEYNATVEFYWAPFLVQSNSDDPQVHSVMDRVIAWRAIAKHANKWKGVDYLVFNTYIWWLNTFEMKVLKGSNKNKGGGGGGWSKYALVDRPVAYREVLKTWAKWVDRHIDPNRTTVFFMGMSPNHITPWAWGNDGGIKCAMETQPIVNRTAPLNIGTDWRLHGVARGVLARHLRRVPVHFVDITALSEFRKDAHTSVHTLRQGKLLTPDQQADPKTYADCIHWCLPGLPDTWNHFIYAQIISSPLPPLHH >Dexi6B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:6B:22553529:22554022:1 gene:Dexi6B01G0015160 transcript:Dexi6B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMCLLILLVLFFLQQKIVIKVTLQTNRSREKALALAARWDGVASLALTGDGRDQLEVVGDGIDAVALVSALRRKVGPAEILKVEKIKEGSKSQALKEPSQWVQAYPYYYYPSPQFQHYSPPSPLIKELSDDCSVM >Dexi5A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:5A:25123477:25128274:-1 gene:Dexi5A01G0021260 transcript:Dexi5A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNLSNGSEMDNVPVMDGTHANRVKENMSPPNDVAISLKSADSASVVDQQPHIPTCPPISGNICEESFNKRSSIHLVLSEESPSSNDGVKVKMSPPNVVAVSRKGGNNASAIDQQPYIPTFPPNSKNICDEESCNKRPSTHSMSSEEAPSPNGVQEKMSPPNVVAVSLKGADTASVADQQPHIPTCPPNSENICDEETFNKRSSTHSMSSEDAPSPNGVKEKMSPPNVVAVSLNGANNASVVDQQLHIPSCPSISENICEEESFNKRSSTHSMSSDEVPSPDYPFLRASDISLPAAPIKVQPPPMPPFKLLSKKGNKEHGDADVNPNSAAAAAMKEAMEFAEARLKAAKDLMERKGDSFKFRKRPAHHRSTKSTEIKECKTPEEVHLFEENLDMRRLPKEENRNIDIASLDKDRGGGAFKTGHCDHDKMGVLSPGKPQEMVQNGREQEQLGKWTSDAEFYELVSNDQRSRPNEAACQGNNDPVTNSFTKLDQSEKEKVESSAGEPKRSRKLWGINNTIGLRMEHVDEGKDGIASIAEQKAPRLPEVPFGAERVTYQEPTERDNCLLTNSFVKLDQSDKEKAGGFVGEPKRSRKLWSSNSTTGLRIEPVIQGKDGIPSVEAEEKAPGLPEVPFCDARVTYQEPTKGDNSLVTNSCAKLDRLHKEKAGSFAGEPKRSRKLWSDNNTPGMRIEPVNQGKDSIASVGAEQKAVRSPEVPFCDERVTYQEQTSSHMKQCSGFENSQGHSNDVLFEISCMNSLPTVHADPEMSCSFLEPCLSGLHSDGRAQETPLVGNCNHDDSNRESLELPCTDELPCTLAGTQILQDLPDVPITDEIKEGSMKVSQLEESAKPHEIFKKERLFDFVDEACLRNEDERANEVTSVSLNHEELTKYGIEEKDDVQEYFQEGDVDQVAGSPEDEGYVTSGSGIANESEYEEAEDDVFVGDSKLMESNVKTCGTFDKDPYQFQESQGSLGPQDLENNMDRVEELISHGDGKEAQKSLLENVDRILVEEVPNGVYPEVNVRCDINDYPFDSVNESITDDGSTYAMKMGTLPSTLQASFSEACTSMKHLPQHAESVSPEKADVLKNPEVNCREANREIPTENFATSEEGQNTGSKMEERDNFAEDTTSETVLKSREENLDVQRTKARNDIKDTEGKLEKELLIRLDEEKEKEFKLEKDKQQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRARAERKALERITSARQRASAEAHEKEEKTNAQAALEKASREARIKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSFKAPNQDNQHEAQFQKTASNNHGKSTDIEVVEVESALRHKAILERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYVGLLSEPLHLGYCRTSTGFYQNLA >Dexi2B01G0035810.1:cds pep primary_assembly:Fonio_CM05836:2B:42767707:42770175:1 gene:Dexi2B01G0035810 transcript:Dexi2B01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSYCSLATLFVVVFLPWLPDAAEATPWFWWPPSGGGDDSYCLSWRVMVEANNGKGWRTVPAPCVGYVRAYMAAWGGQYGRDVAAVAGQAAAYAAEIAPAAAADGLDAWVLDVDDTCLSNQPYYQVKQFGPYDPVAFRMWASRGICPGIPAMQWLFQTLKARGFRVFLVTGRDEETLGSSTVANLNAAGFSGYDRLIMRGAEQLGQSSVAFKSAARKRLAAEGYRLRGNVGDQWSDLQGGCVGDRVFKVPNPMYFVP >Dexi2B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:2B:29064585:29066478:1 gene:Dexi2B01G0018870 transcript:Dexi2B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFGGASNATGRHGHRGRPCSYLAIMAKVAVASFNHSGIWKTYNDMYRSGHVPAVMNWKAHRTGSCTEAQRNACSYPCISGNSVCVDSSVGQGYRCRCSKGYEGNPYVPNGCQDLLIILVFSITGATVVILIVVIIMATNNFDEVRKLGGGANGTVYKGLLCNNLVVAIKRSKDTAQQQIKDFINEIAILSQINHRNVVRLLGCCLEAQVPMLIYEFVSNGTLSQHLFTEGIQFLPWTKRLHIAAGVANALAYLHTSASTSVIHRDIKSDNILLDEHLVAKVADFGASRGIPTDQSGVITRVQGTRGYLDPEYFYSHRLTKSSDVYSFGAVLLELLTSQRPYNLISDVALVSHFPTVFSEGRLEDILDPRVLLEDAESATAVARMVVSCLSTRVEDRPTMLQSSYLGPGRHAKANYIVSCKQP >Dexi3B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:3B:1446440:1451183:-1 gene:Dexi3B01G0002030 transcript:Dexi3B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMETGGAAAAAGEVGWYVLGPNQESVGPYAVAELREAEAEVEALKGSAADGDVNQLDDERPATPPDGEEEFTDDDGTIYKWDRSLRAWVPQNDTSDKKDNYAVEEMTFAVEEEVFQAPDIPGPSALEEINTLAENINKEPGKAEKKGEKKRKSSEKPAEKKEANKPPESWFDLKVNTHVYVNGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKATGRNKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFIAKKNDKQKKRKTKKVEDKMLGWGGHDDKKLMIPTTIILRYMFTPAELRADEELLSELEEDVREECTKFGPMDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEESG >Dexi2A01G0031610.1:cds pep primary_assembly:Fonio_CM05836:2A:42354549:42357632:-1 gene:Dexi2A01G0031610 transcript:Dexi2A01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAATGAARRAALLVIPTLVLALALRGSGAAGAGGGDCHFPAVFNFGDSNSDTGGLSSLFGAAPPPNGRTFFGMPSGRYCDGRLVIDFIGGIYRELLPRAEYFSQALYTFDIGQNDITSSYFVYNTTEEVEATIPDLMERLTSVIQSVYMRGGRYFWIHNTGPIGCLPYALLHRPDLATPTDDAGCSVTYNKVAQLFNLRLKETVASLRKTHPDAAFTYVDVYTAKYKLISDAKKLGFDDPLLTCCGHGGGRYNFDLSIGCGGKKEVNGTSVVVGNSCADPSKRVSWDGVHFTEAANKFVFDQIVAGALSDPPVPLKRACQSKGR >DexiUA01G0022290.1:cds pep primary_assembly:Fonio_CM05836:UA:45437416:45437911:1 gene:DexiUA01G0022290 transcript:DexiUA01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSRGNISEDEINELISKLQALLPSSRRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >Dexi1A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:1A:5212194:5212508:1 gene:Dexi1A01G0006770 transcript:Dexi1A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPPPCSKAAATPASRYCLCAPTTHPGSFRCRLHRSPADADSSSAAADQEEAAAAAVARAFLERVARKPRRQSAPAGTLRPGPSRLGGAAAAAATAAMDD >DexiUA01G0021860.1:cds pep primary_assembly:Fonio_CM05836:UA:45083976:45085276:-1 gene:DexiUA01G0021860 transcript:DexiUA01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQSGGAGGGGTIVSNPRVWIVAGIAVAGVIVLAEAARRRRRWLRGKSGMPPDAGAFCDRFELSPSPQPPPPAAPHLLSGLNFAASDNFEIEGYVAGFGNPDWKRTHEAPRHTSVAVTMLQKQGGTCVGRTAPIQLVM >Dexi3A01G0023790.1:cds pep primary_assembly:Fonio_CM05836:3A:19431010:19432043:-1 gene:Dexi3A01G0023790 transcript:Dexi3A01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSASSMPPASTGSVITVASPPTTAISGGPAGGGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKILNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISILQHRLRQVQQELARASYELSKFQAAAAAEAAAAVGSTGAAAGGMADFVGNPVPNCTQNFINVGHSASASIAGAGGGGFMQHNHFASVQTMLARSYDGEAAAAARLGLNGGGYGGFGYSAAAMGAGGHHGVASGVLGQIGSGPFLKSGTAGGDEHPTAGQ >Dexi4A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:4A:505448:509703:1 gene:Dexi4A01G0000750 transcript:Dexi4A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGGVLRHSNSSRLSRMSYSGEDGRGAQAPAGDRPMVTFARRTHSGRYVSYSRDDLDSEIGGSGDFSPDHQEFLSYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAINGCDAKVMSDERGEDILPCECDFKICAECFGDAVKNGGGVCPGCKEPYKNTELEDLGVGATGARATLSLPPPPGAGGAAASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVENGGGGGGGLGGADGQPAEFTTKPWRPLTRKLSIPAGILSPYRLLILIRMAVLGLFLTWRIKHKNEDAIWLWGMSVVCELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPTGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFNLKKDPYKNKVRQDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAYHAREEIKAMKRQRETALDDAVEPVKIPKATWMADGTHWPGTWIQPSAEHTRGDHAGIIQVMLKPPSDDPLYGSPGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRVALYGFDPPRTKEHGGCCSCCFPQRRKVKTSAAASEETRALRMADFDEDEMNMSSFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVKTLNVTFLTYLLVITLTLCLLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWAGLLSITISLLWVAINPPSQNQQIGGSFTFP >Dexi2A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:2A:6448224:6453383:1 gene:Dexi2A01G0006860 transcript:Dexi2A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAATSTLAPAALAARRRRARPGAAPLPTTRRASVVRCSLDSNVSDMGVNGEDPSPPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDKQGNHIEMGLHVFFGCYSNLFRLLKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKLYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFMSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYEKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWREWEMFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTADADFSCFSDLALSSPANYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVVELFPSARGLEVTWSSVVKIGQSLYREAPGNDPFRPDQRTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTVAYICGAGEELLALRKKLVIGDSEKALGNVQVLQTS >Dexi9B01G0031260.1:cds pep primary_assembly:Fonio_CM05836:9B:33658088:33661437:1 gene:Dexi9B01G0031260 transcript:Dexi9B01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQLLHVLVAAIAVLAGFTSVAPFAEAYDPLDPTGNITIKWDIMQWTSDGYVVHDTGMLWGIKYYNDLLMVAGPDGNVQSELLYRKDPSTFTFEKGWAFPRRIYFNGDSCVMPPPDAYPWLPNSSPVLKASSSLVIPIAIWTAFLFVSLSMY >Dexi1B01G0028600.1:cds pep primary_assembly:Fonio_CM05836:1B:33089437:33090311:1 gene:Dexi1B01G0028600 transcript:Dexi1B01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLVLYWSTHHRSRPEQRSPGPRAGAPPNRLPPPAPEAHGFPSNPQQRLSGSLRPSSQAPICLPVQPPPRRPRIVAAARTPAASNSADYFFLRTGHTRIVRAK >Dexi3A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:3A:13318926:13320318:1 gene:Dexi3A01G0017470 transcript:Dexi3A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVSSGRWTRVRTLGRGASGAEVFLAADEASGELFAVKAAAAGGAEALRRERGVMAGLRSPHVVPCIGGRDARDGSYQLFLEFAPGGTLADAAARSPGGHLGERDAAAYAADVARGLAYVHGAGLVHGDVKPRNVVIGRDGRAKLADFGCAQSAAAGAAAIGGTPAFMAPEVARGEEQGPAADVWALGCTLLEMATGRAPWSGVVADVLAAVRLIGYTDASPEVPRWLSAEAKDFLAGCLARRPCDRPTAAQLLEHPFLASAAAGKGEWVSPKSTLDAAFWESDDSDDEDRHDVPSQSTDERIRALACPASALPDDWDSDEGWIDVLSTASEASDATAAPVADEVTCDDGGVGSEEEEEASAEAEPRDIDVDSSDGSHIVGETDYSSAEHERHHHLKV >Dexi4A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:4A:6825986:6827173:1 gene:Dexi4A01G0008850 transcript:Dexi4A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRHLLPLLRTATPLLPSPIRHRGCLLSSYATPFCLEDYLVAACGLAPEQARKTAQKAFDEAPRWSRKDSFENPYMSRLHSASNPDAVLALLSGVGLSRDSIAAVVSADPLLLCSSAEKIGPRLTDLRDRLGLSTPQIVRFLLVGSYALRKCDVVPRLEFFISLYGSFEELIWTLKKSDRVLLSDLETVLKPNIALFRQEGGLSVRDIAQLCSRWPWLLSYNPERVKELMPRAKELGVHGSSRMFCQAVGVAAHNTKEKVAARLDFLKSTLGCYESDVATAVSKMPSILGISEDCLRRKIEFLINEVRLEPRYIVQRPLLCTLSLEKRMIPRYCVMKVLMAKGFSSNIKFYSLLALGEKTFKLRYIDHHKDSVPELADVYAKARAGIVPTGV >Dexi2B01G0036610.1:cds pep primary_assembly:Fonio_CM05836:2B:43354184:43354733:-1 gene:Dexi2B01G0036610 transcript:Dexi2B01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYPTVSEDYLKAVDKAKRRLRGLIAEKNCAPLMLRLAWHSAGTFDVATRTGGPFGTMKNPAEQAHGANAGLDIAVRLLEPIKEQFPTLSYADFYQSWPLRSPADPMSPSTQGGR >Dexi7A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:7A:24930974:24936810:1 gene:Dexi7A01G0015070 transcript:Dexi7A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSATRSPFLSCFHGGGGSEVSRRLALRPRYPSMPRRPKEAAFAGGGGDLEAAAGVGSEGEEEKVAVFSVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEINITEAIEDAGFEAKLIDEEVKEKNILLCRLHIKGMACKYCTSTVEFALQVSPGVQRASVALATEEAEIRYDCRIVSPSQLIQAVEETGFEAILVMTGEDRSRIDLKIDGILDERLLMIVKSSIQALPGVENIKFNTELHKVTISYKPDQTGPRDLIEVIKSATSGHVNASIYLEADGSDQHRYGEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWVKDGLERKVFNMMSTGELLRWILSTPVQFVIGRKFYVGAYKAMCRGTPNMDVLIALGTNTAYFYSVYSVLRAATSENYMTTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMFDHEGNVVGEKEIDSRLIQKNDMIKVVPGGKVACDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISGVFVPLVILLSLLTWLAWFIAGRLHSYPNSWIPPSVDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYATAAEVNSEHPLAKAIVEHAKKLHPEENHIWPEVRDFISVTGHGVKAEVSDKCVLVGNKSFMLSSGIDIPVEASEILMEEEEKAWTGIIVAMDREVVGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEIVKELQLSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVVGIPIAAGVLFPFTGFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKIVGS >Dexi5A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:5A:2411272:2412801:-1 gene:Dexi5A01G0003260 transcript:Dexi5A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRVEAQPRPTNAYKKERSKPPPRARATTPLLLSFLVSVKNSKPSSPSSSSGGGSSQQRPQGGMGGEKRKARDRQRRSRTSSEPSITDPQLEVPDQMGNPEIVGGGDSDRADGDPPMQDVNPTGNVGDDLDEGIQGRSAYLVACHFDWASNPNPYAVYKVSVATASPSRMKRRRKRLHRITRLATVAGGKTFTSVRSVQRSWIIGVGGDAGDAVIFDTKTEEVIHGPSLNSAKWCPAMTAVGDKVYALSKTPSWVADPDFPPWFEVLDLSNAKVVTVADRSHLEGCSWSQLPHPPCFPWKIRPIGYTVPLIVILRSYVVIDRYILVSFNHPWGTYAFDTSSVDPYEWHKVGDERLPFIGNATPHGSLFLGLSKDDGPINAYRINVTASVKDQAPNLSITVLPVKYMGHELVAGPCFFSLEDGCFCSFSFSLDSRSITLDPNDLKLFPKVAHITITTYQTENPSSLGVSEETLLPLKPEVLVCSQWEQAFKISCSSHGFSPSAYTLLSI >Dexi9A01G0024230.1:cds pep primary_assembly:Fonio_CM05836:9A:20454855:20460484:-1 gene:Dexi9A01G0024230 transcript:Dexi9A01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYLIGRDESNGMWRLLKIDRLEPTSLSVVEDPTCYTAIERDDLLRRIHAGNKVTGGLKRIECCGIIGFIKFLGPYYMLLITESRKIGNILGHDIYSVHKSKIIPIPSSHVLPSVAESDDEKRTNMPSINLSGDTIHTCKQYPINNHSKALTPFCWDKVCEGNVMDDQPNFVNYRMFFKRGINEDGHVANDVETEQIVFEETQDEIPCEITSVVQRRGSIPLFWSQETTKCPIKPEILLKSDKDYKATILHFEKLVAYYENPIIVLNLIKTVDKKPNELLLRREYAKGIEHINKSLQKAKHILYVHLDMNNHSLR >Dexi4B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:4B:20568878:20571450:-1 gene:Dexi4B01G0018200 transcript:Dexi4B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGEDNKPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETVSQALMSSVDRDCLSGWGGYVLIV >Dexi9A01G0022880.1:cds pep primary_assembly:Fonio_CM05836:9A:18043941:18046096:-1 gene:Dexi9A01G0022880 transcript:Dexi9A01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGSGGADVDNPSSASAILAGAVTGHHILHIEGYSRTKEELPHGEGIKSRPFRVGGCSWCIWYYPNGDHSEYADCITIFLDLEESDTRPVKARAKFSLLDRAGKPVPSHSKYADLHEYAVRGDGWGLYDFITREFLEKSGHLQDDCFKIRCDVIIPKKLCTEDRAATPAFFDVSASDIFRQLGDLLSSKDGADVMFQIDDMLPQAFEALLDFIYTDSLPEMEGQEEAMMAQHLLEAADRSHFSRVLLENSGHLKDDCFKIRCDVIIPKILYTKDRAATPSFFDVPASDIFRQLGDLLRSKDGADVMFQVAGKTFWAHRFILGARSPVFKDELLGAMRERALQQGITYSHTD >Dexi4B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:4B:9330812:9331676:1 gene:Dexi4B01G0011800 transcript:Dexi4B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGAMTGGVAFILVSCAAVFLLAGSASATWHAQVFVVGGEPRGWAKPASPNEEETYNHWAARYRFHVGDFLHFKYDKNDSVLVVSSDDYKFCGADRPLQRFNGGDTRFRLDHSGFGYFISGAPGHCDAGQRMAVRVMPQEGSTRPAPVPQAPASAMSPGGEDEGGEFGPPWGAGSDNGGGGGSSSKPGHGSGGLGSASSASMAPPHGVLGANGNKTSGAAASSFDGCCCHVVWGIVLGAALLPLVVV >Dexi1A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:1A:25204111:25207714:-1 gene:Dexi1A01G0017900 transcript:Dexi1A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPHSFLDTPPGCGGGPVAPDECSPAPLLVPPSLYPLLPPPPPSSAASHGRSTFVTALIVAFSVVAFLALSLSAFFFVRRMRQQRRQREEALLEAAALAPPDAPPPGDDGPGEEVVHHAWHIRTVGLDEAAIESIALTRHHLGGGADSGDCTVCLGEFHDGELLRILPNCAHAFHAQCIDTWLRAHVTCPLCRATVMVPAIAADELPDPTPPAAGDDAEQIQDIVNPEHEQPVQHADEHQQQLAQIEQRDVDPQSTSPERTHGHPVLPRAQNFRRVASMDSPSPIVSAVEAGAEHEQGGGATKQLGTGASDHLNRAAMKRSLSAGSRWALLSWHRRTRTSLLPFCHCHPRSPETQIKPTAKSTTGLPHSNPSPRGGAMAAAAAVVFAATATASVAAAHPTVADVMSISVFLAVFFPVFIVLLAFACLNLFRRPPEDDGLPAPGSSAPASSSDWSRKGGGLDAAAIAALPLVFYREVRRHRLVDGREDALECSVCLLEFDDDDALRLLPTCPHAFHPECIGLWLERHATCPLCRASVLDAPPPPEQMELHPAPPPPLQSPESSPVHSTVLLIGEAAGAGEEGDEEEDWTTIQRLARNRRAAGRQALRRSNSTGHGGGSSDGGMERFALRLPEHVRMEILMSHRLRHVTSAVASVRVREGSAHDASTVGGSVRNAVARLVSLFAPGAGWKGDGDDRSGKADATGASSVRRRENSSRGAVAEEKRSV >Dexi6A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:6A:15949291:15955283:1 gene:Dexi6A01G0011220 transcript:Dexi6A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQFWYTTGQGWRRNSNVPQEGYGTTSVIPDQGIFLAASVYRPVFEFMNKIGSMLPQKYSQLGYSLHSNWNLGRPPKYSRMALSDGLLHFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRIQATSVYDSSVELGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLEPANISLQNSTSQPDNNVTDAEAVEVETELCDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPPTTNHSHNGQHASSIAIPKGLSSLATEYRRLAIDCVRVLRLEMQLEAIYHMQEMTKREYIEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAASASIKALAQMKSINLLGVQQICRNSIALEQSLLGFITEHEYLFSAKEYLSVLKVNVPGREIPMDAERRISQILGH >Dexi9B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:9B:5581413:5583039:-1 gene:Dexi9B01G0009050 transcript:Dexi9B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGSGVVRDVEALDGVRSIVLKPSESLDESRFTRIAGADFNDPGLGLEGLLGSFASTGFQASNLGDAIDVVNQMKPSEDCDDAELDPKYRESVKCKIFLGFTSNLVSSGIRDIVRFLAQHRMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTEPIEYFL >Dexi2B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:2B:170838:174206:1 gene:Dexi2B01G0000370 transcript:Dexi2B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVQYRLERMTDELDDLERRGLFTRAELADVVRRRRDFEFRIRRHSPLRQDYLDYIAYELRLDSLRNLRKRAIIRAAASESDASDDEDDDAGNKRKSKRGKKWKRSISDVAGVLRVLDIYRMATVRFKGDLDLWFRYLEFCRDKRHGRMKQVLAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPQSEDMWVEYLRMELTYLNKLKARKVALGEDVKTLQKSDNDAGQWKEENKELFMPLNEQDEGPKDSGSAADALEEKEDMFWRQGLLIIQTIYQGAVEALPSSLTLRKKFLEILNSVDLAHSEELKLEVLDDLKKDFSHCEDYWDWFARLQLTDLNNSNSLNGKDALSNKLNRSIQVYDEAVRRLPSSKMYSLYAKFWMDVLHPDREDSIALFQNSEFDASEFTSSILKVYGSAQACGCLTEDLACQYVSLCLKLERLEEAKNLAEKLCNSPLSDAANLWSLRASMEINSFATAGTSQFSKENLSCLFDLFSTVLSKLPITQSEGLWHMAMKFFSNEKVYFEKLVKCAMLSLGLAGGSDSGASVSSAIIGWYFQRDGMKQARKMYKRFLALPRPSLKLFQYCIELEANLASLGDHGALANARKLYNSAIDHYPQERELWRNYHNLELKVGTSETANAVYWRARKVLSDSTALTAPSS >Dexi3A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:3A:6481117:6482040:-1 gene:Dexi3A01G0009300 transcript:Dexi3A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPTMVIQDDYIDMDLTPAATPMPPSSPRFEFQSTATGGAKQILPAFASPADELFYKGKLLPLHLPPRLQLVQRLLQEQQPVQALQGVDKKRETESDAAAEEEDAAAGKACAAKKPSWAKKLKVVKRWASKEYIRSFFLARPTPSDLVVDGTANGHGIGSVSARGSVLQDQEEVCHHRKSFSGIIRRVRLVATKAPGTSPLCSSSSSSSSSTPSCGNANGFFFRSAAAAATMPVLKRSSSAGSEEGAIQGAIAHCKRSQLVQPGMVLSARRSVSDVMFYSVTNTPRASSVAAGEVSQERQEMCRG >Dexi8B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:8B:25009084:25010642:-1 gene:Dexi8B01G0014390 transcript:Dexi8B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTEQHHGAATADAAIAAPLLPSSVAMDPSPRNMFAFLCATLASMTTILMGYNLALMSGAELFMREDLGLTDEQVEVLSGSMNIFMLVSILAAGWFADAIGRRGTIVLANAFLMAGALAMSLGATYASLLAARFVTSVGVGFAVVVAPVYAAEIAPASSRGLLSSLVDFFITAGILLSYVSNYALAGLPLNLGWRVMFAMGVVPPLLLAAGVLAMPESPRWLAMRRRDAEARSVLERTSDTREEAHHRLDEIRRAIAASDAVVSGAGVWTELFVSPSPAVRRIVTNVLVLYSFQQASGIDAIVLYSPLVFKAAGISSNTTVLAATVGVGVVKTLSIFVATFLSDRLGRRPLLLASAAAIAVSLTSLGTTLCVAGDGASTAAAACVASVVAFVAAFSIGLGPVAPTYAAEILPLRLRAQGMSLGIAANRVTCGVLSMTFISLANSITMGGCFFLYASMAVAAWMFVYVRLPETKGRNLEDIGVLFAK >Dexi1A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:1A:12510056:12510749:1 gene:Dexi1A01G0012510 transcript:Dexi1A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATVLREDDRGIPRSLPLFAALVDAEARRYAAAASQPAKTSLVRAFRGGAAPKLPIRAFLERIHLQIRSAGMEAGILVEPATAHRLVAVALFLGAKFGGHPPRRWTAVFEASSGGAIRAAEMADLEGRFLRAIGFRLFVNGERFEWFCKAMERGPQAPNSGGGDCACKKRNADAATVEEDKRRRVRACLPPHAVVSH >Dexi9B01G0041770.1:cds pep primary_assembly:Fonio_CM05836:9B:42169340:42170184:-1 gene:Dexi9B01G0041770 transcript:Dexi9B01G0041770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPADPSLHTEAPQASVTKERRLNTDLQEQLPKPYLARAMAAVDPSHPKGTEGRDTRGMSQHVAFFDRNGDGIVYPWETFQDVQ >Dexi3A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:3A:6063350:6065951:1 gene:Dexi3A01G0008680 transcript:Dexi3A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGFSGSLRSPKIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLNRGDISKHKVEHALKKMCKEGAYWGTVAGVYVGMVYGVERVRGRSDWKNAMIGGALSGALISGASNNNKDKIIKDAITAGAVATAVEFVNYLT >Dexi5A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:5A:10449653:10450069:-1 gene:Dexi5A01G0013760 transcript:Dexi5A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLHRRRRLLQPALRMKCANTTLSARSASRNNASRQIISSEFRRRVEPSASTRNHENPWAKGLTGAGYKAPCRRRIRSLRVQISSMPLELDSLANTPEPPVRPEPEPAQKKTNGFLPHKFKQKNPPFGEGDNEFDA >Dexi4B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:4B:15314076:15314887:-1 gene:Dexi4B01G0014380 transcript:Dexi4B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWIHACVVVLFYREKKKQKDTTVETSILDDSKPPHLLGLLRSSMRRDAARLAKRAMFLSQIGVKITASRAEWFADMNVQEKLVSGELSLSPLVLGHFNACRLVNMAALEATGAFATSRAEPEWDGYVVSSYLSTMAMLMDREEDVHELRRRGVLSSNFSNAETLAFFKGIGQHLRPGNNFFSTLGEIDGYIRRRPVRIALHKFIYNNYRIIAAILSVVGVLASILKALYSLKRP >Dexi5A01G0034800.1:cds pep primary_assembly:Fonio_CM05836:5A:36779556:36782174:1 gene:Dexi5A01G0034800 transcript:Dexi5A01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLCLRLHARLPPAVPPPFPAPAALPASLRIRTGKDFFSAIARCFSVSLGAWLRQNAGPRAAVGVRIVASGSYAVTLRRRARRPAIRAAIADGAQVVTVKKRSVSVILLSGGQGKRMGHECFFNFSIRHGDVDTEPLHFVHSLKTFCQLKEVKEVVVVCEPDYIDVFEGSIEDLQIPIKFARPGKERQDSVFNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAAEHGAAVLGVPVKAIIKEASSDSFVVKTLDRKTLWEMQTPQVMKPNLLKDGFELVKRDGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDMLLAERLMSDA >Dexi3A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:3A:15210825:15211112:1 gene:Dexi3A01G0019360 transcript:Dexi3A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARAGSGGSAFATARAWPSLHATSAGHGASTSTPLLSPTGHADDSSLSLSGDPLSPARRQWFRWRACVGSAPREPLTQDDAGARNSASGTVR >Dexi2A01G0024790.1:cds pep primary_assembly:Fonio_CM05836:2A:36521167:36527482:1 gene:Dexi2A01G0024790 transcript:Dexi2A01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEAAESCGSHAAAAAAASGGGAVVPATSSSSAAAAAQARKQQQQQRHKLEVYTEVLRRLHDAGLSEARAPGFDDELWNHFNRLPARGFSCWRRSGLTPIRSGSCSYAMDVNVERAEDVLTHKRLLEQARDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNGRSLYRPMHEVTFSTIDKPKLLSEVNICTLGVLHMIAVYLSSYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTVRTWNFCGLGIQLEMLCSKITGKGKSFEGFCAVIPYEYLTPLQAAVGVVQKGLRPTIPKHAHAKLSELLQKCWQQDPAERPDFSEILETLQRIAEEVGDEHEGKHKDKILGGLFSALRGRGH >Dexi5A01G0026510.1:cds pep primary_assembly:Fonio_CM05836:5A:30131923:30135150:1 gene:Dexi5A01G0026510 transcript:Dexi5A01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRAYLHLPLALLLLPFCCLLLLRGAAAQPQQPAAGDAPQLLAIKAAWGDPPVLAAWNATAAAALCAWPYVGCDAAGRVANLTLANTNVTGPIPDAVGNLTGLAHLDVSNNNINGSFPTALYRCRSLQYLNLSQNYLAGVLPADIGSGLGENLTTLDLNGNYFNGTIPASLSGLRNLQFLALNGNRFTGTIPADLGELTSLQYLYLAYNPFDEGQLPGSFKNLTNMIGLYLTQCNLFGNFPNYVWSFKNLQMFSLYMNNITGGLVVDGSFAAYSLTLIDISVNNISGVIPEVFGRLDNLTALIIFTNNFHGDIPASIGKLPSLQVLRIYGNRLTGTLPPELGKHSPGLNRIEADFNELTGPIPEGLCAGGQFQWFTAKGNNLNGSIPAGLANCTTLYRLQLDSNNLTGEVPQALWTAAQLQLLQLPNNQLTGSLPATIGPNLTTLHIGSNQFSGNIPATAGALHLFTAENNQFSGPIPASLGDGMPEENVVGRGGSGRVYRVTYTNRLDGRAGAVAVKQIRTAGKLNEKLEREFESEAGILGNLRHINIVRLVCCLSNAESKLLVYDYMDNGSLDTWLHGEAAHVATGGHHPMARARSARREQMDWPTRLKVAVGAAQGLCYMHHECEPPIVHRDVKTSNILLDSEFRAKVADFGLARMLVQAGAPETMSAVAGSFGYMAPECAYTKKVNEKVDVYSFGVVLLELTTGKEANDGGEHGSLAEWARHHCQSEGSIADATDKSIRYAGYSNEIEVVFRLGVLCTADMPSKRPAMKGVLQILVECSEQTHHKSKTERVPEYDAAPLLLPHHGSRRKQLSNGSGIDIEEKSDFDSIV >Dexi8A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:8A:24964259:24964553:-1 gene:Dexi8A01G0014480 transcript:Dexi8A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATGPSWSDIPVDLAGKILGRLPALADRVRFAAVCPQWRAAALQGRLPPPMPLLLLPDATVYSLPGSEPFHFSSCVGHRDACGDWMASS >Dexi9A01G0024420.1:cds pep primary_assembly:Fonio_CM05836:9A:20698600:20702306:1 gene:Dexi9A01G0024420 transcript:Dexi9A01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGNCSSDASAEWVWVRRPAEAEAVAAAAGWPAESDEEVRPLKVVFASPATYFTDAAPIGNGRLGAMVWGGVESERLQLNHDTLWTGGPGNYTNPKAPAVLSEVRSLVDNGKYPEATAAAYNLSGDQTQVYQPLGDIDLIFGKHINYTNYKRELDLHTATVNVTYTVDEVVYSREHFSSNPHQLIATKISANKPGHVSFTVSLSTPLDHKIRVTDANEIIMEGSCPGERPQGDNSASDHPIGIKFCAVLYLQTIGANSKVEVLKDKMLKLDGADSVVLLLAAATSFEGPFIKPSESKLDPTVSALTTLSMAKNMSYSQLKAHHMDDYQSLFQRVSLQLSQGPNDQLGGDRLAQSAEAGSQDSSMSDFSVPIADCTRSTAHSDSVKPTVDRIITFKDNEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDPSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKVSELVNYEASGWVSHQVTDLWAKTSPDAGDPVWALWPMGGPWLATHLWEHYSFTLDKVSKLHGNRMQFFMDGTKRANC >Dexi8B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:8B:20689913:20697509:1 gene:Dexi8B01G0011590 transcript:Dexi8B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPRVLDSFLPFLVLSRLRIFVSWVSWAQTSDIRKWFMKTQDKGGGSGAAASKPSGAAAAEKKKPVLSIPEKKPAAPSLASCDHEPSARRKTSKYFGSKTEKDSDVEMADAAAGKSTDKGAAKRKLQKCNNELKDDSKPLPAKKMSKNDDDDEDDFVVPSKKKTPVKPPPSKKPKVESNAEAPGRTTDTDDGEEEDRMDEDAKTPSKGAGRGRGRGGRGGAAPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTSYLLADEDIGGVKSNKAKDLGVPFLTEDGLFDLIRKSKPAKAPVDKHQSNGSEKKQKSPAKSSPAKVEKQAEASAVGKSIASKSNVASASADNKKAKNIDRGSMQWTEKYRPKVPNEIVGNQSMVKQLHDWLKGWDAQFLHSSQKGKGKKQADSGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKVLISNATLNYSENRSKHPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLMLNFRKPTKQQMGKRLMEIAKKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRERLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERIDYGMSDPDLVPLIVQENYVNYRPNTIGKDESGVKRMNALARAADSIANGDLVNVQIRRYRQWQLSQAACFASSIVPAALMHGNREILEAGERNFNRFGGWLGKYSTTNKNIRLLEDVHSHVLASQQANLDRETLRLDYLTLLLKQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVEISKFKGHPSPMDGIQPAVKSALTKAYKQGSSSRVIRAADLINIPGMKKPLKKRVAAILEPLEGSLPEENGLASAEADGDDSSDTEDNDELKPGESTPKLDLKSDKKKGIHVQLDIKSNGNGSSGKKAPAARSRAPGSGGKAAVSSAGKRKR >Dexi8B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:8B:17317435:17317786:1 gene:Dexi8B01G0009710 transcript:Dexi8B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELGGLLASAVLKVVTQQLGAAITNQVMMQLGFSGDLEDMKMTLESVAAVLKDAERRSVTEESPRLWLERLQGAASDISDMIDELELEVDAKLPALKRGI >DexiUA01G0003050.1:cds pep primary_assembly:Fonio_CM05836:UA:6372626:6374719:-1 gene:DexiUA01G0003050 transcript:DexiUA01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHHLTLAAAKSHAALLKSGISSPTPWNQLLTAYSACGSGLAAARRVFDEIPLPDAVSWNSLLAAHVAAGAHPDAWRLVRAMHSQGLTARTFALGSALRSAAAVRRPALAAQLQSFAVKSGLADNVIPASALLDVYAKCGRMSDARRLFDGMPERNNVAWNALMAGYAESGSLAQVMALFIEMEREGLVPDEATFAALLVAVEGPSWCFLMQELHGKSVKYGSALGLVVANAAITAYSQCGALAESRRIFDGIENRDLISWNSMLGAYAYHGMDDEAMRFFVRMMQESGVRPDIGMLGDARKSFEEADKSSSVPWNSMMFGYAQHGQAQIVTNLFNEMLELKVPLDHVTFVGLITAYSHAGLVDEGSEILNTMETRYQIPLRMEHYACGVDLYGRAGQLNKAKELIESMPFRPDAMVWMTLLGACKIHGNMELATDVASHLLLEEPRQHSTYVLLSSMYSGLGMWSDRATVQKVMKNRGVSKVPGWSWIEVKNEVHSFNAEDRSHPRMDEIYEMLRMLLQVSQKLCSSEDEEILEAISSDT >Dexi1B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:1B:20819258:20820611:1 gene:Dexi1B01G0014490 transcript:Dexi1B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAQYGFPHDPRAITRPAALDSAMMSASAMFHGEPVNGGHPLLFPAAVGGGNAAFSDLTCINTTDDDTARLAPRKRARVGGDVIADLQGQRAALLPPVVVPMPQAFAAAGDVQGSRMRCSGAAASTSGRLAPAPSQGVLLSHLYRHGVETDALIRIES >Dexi2B01G0032170.1:cds pep primary_assembly:Fonio_CM05836:2B:40126820:40127113:-1 gene:Dexi2B01G0032170 transcript:Dexi2B01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVLHSYNYTGPIHDWICSCRTEEFAAERDRLSDQRQNGRREGVRTRRRKVLKVQRQTSQWADSWKGGGVSMGSASDAAADLVPSCAPAHLASPI >Dexi6B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:6B:9910081:9912253:1 gene:Dexi6B01G0008020 transcript:Dexi6B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADAAHATPAAAPPPPPMAAISHVIFDMDGLLLDTEGFYTEVQEKILARYGKTFDWSIKAKMMGKTTAESTRILFDECGLTGLLTPEQFLEERETMLKELLPSCVAMPGVLGLIHHLHSKGIPLAVATGTHKHHFALKTQNHQEIFSLMHHIVTGDDKEVKAGKPSPDIFLAAMRRFEGNVEPSNCLVFEDAPLGVTAGKNAGMHVVMVPDPRLDVSYHKEADKVLSSLLDFEPNEWGLPPFED >Dexi9A01G0047160.1:cds pep primary_assembly:Fonio_CM05836:9A:50359606:50361323:1 gene:Dexi9A01G0047160 transcript:Dexi9A01G0047160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAAFAEFISTLIFVFAGQGSGMAFSKLSGGGATTPAGLISAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACFLLRFSTGGLATGTFGLTGISVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWGYQWVYWVGPLIGGGLAGVIYEVLFISHTGHEQLPTTDY >Dexi9B01G0029890.1:cds pep primary_assembly:Fonio_CM05836:9B:32302107:32303594:-1 gene:Dexi9B01G0029890 transcript:Dexi9B01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGLPVVDLASPDLRAAAAAVRQACVEHGFFYVTNHGVDSTLMESLFAESKAFFDLPMEEKMKLQRSSNHRGYTPPYAEKLDASSKFGYWQKDTLSNCIGLGFGY >Dexi3B01G0021930.1:cds pep primary_assembly:Fonio_CM05836:3B:16829311:16830868:1 gene:Dexi3B01G0021930 transcript:Dexi3B01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAFVASLLAVFLLAASSSPAVAAAAAAAARQQHDIPAVFAFGDSTLDPGNNNGQLATMVRADHAPYGCDFPGGRATGRFSDGKIITDYIVESLGIKDLLPPFHGSGGLGVGELSTGVSFASSGSGIDDRTSEIALVSPFGAQINDFRVLLLEMHDQLGALRANEIANKSLYVISSGTNDVTVNYFILPTRTVNYPTFDQYSDYLIGQLQEYLQTLYNLGARKFMVAGLPPVGCLPVSKTIHLLSADCIADQNEAAERYNAALQKMLAKLESESPGATLAYVDGCCGNGLPSMGILCTSLLPQCRSPSQYMFFDSVHPTQAVYKALADQIVKSHIPKFK >Dexi6B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:6B:22233224:22236141:-1 gene:Dexi6B01G0014780 transcript:Dexi6B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLPTATSTLLPPPTPSVASRAAFVPSPASAVAASFPPAPASSPQIHRVRAPEAAPGMSSSASSAEGCDAAGGDFTEVVIVRHGETSWNASRIIQGHLDAELNDIGRQQAVAVVLDPALRERHIGDMQGLKFEDAATQRPEAYKAFLSHKRNQQIPGGGESLDQLSERCVTCLYNIVEKHKGERVIVVSHGGTIRELYRHASPTRPLHGKIHNTSVSVILVSATTGRCIVKMCGDISHLQKTGVLENAFGGDKTSA >Dexi9A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:9A:2111056:2111874:-1 gene:Dexi9A01G0004030 transcript:Dexi9A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKHRLEGKVALVTGGATGIGEAIVRLFRDHGAKVCIADIQDEAGQQLRDALGDQDVMFVHCDVTVEDDVSRAVDAVAERFGALDVMVNNAGITGNKVTDIRNVDFAEVRKVFEVNVHGVFLGMKHAARLMIPQKRGSIVSLASVASVIGGMGPHGYTASKHAVVGLTKSVAGELGRHGVRVNCVSPYAVPTALSMPHLPQGARADDALRDFLAFVGGEANLKGIDVMPKDVAEAVLFLASDEARYVSALNLTVDGGFTAVNHNLKAFED >Dexi9B01G0031860.1:cds pep primary_assembly:Fonio_CM05836:9B:34176752:34179911:-1 gene:Dexi9B01G0031860 transcript:Dexi9B01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAPPLCTWLVAACLSAACGDGEKEKQRRHRGSVGRLFGSRRLPGSGRRGGGRSGLSMAVALHPERGAVETKKTDVKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGISGISEIEAFDCSSYPTVFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPIIPIGKVHSDYLRRLGGFVACRALSQRNTDPAKASRPWDVDRDGFVMGEGSGVLVLEELEHAKKRGAEIYAEFLGGSFTCDAYHMTEPHPEGKGVILCIENALADSGVTKEDINYINAHATSTQMGDLKEFEALTRCFGKNPQAIFLRVNSTKSMTGHLLGAAGGIEAVAAIQVQEL >Dexi4B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:4B:9047282:9051594:1 gene:Dexi4B01G0011610 transcript:Dexi4B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLREKDMQKLRDAKIQQDMLDREASILRAKNQAALAEGISWGMTEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRMSQILEELDNLEETLNDSLRESLGARSGKAGRASHKGSLEEEDDVLSDDDEFYDRTKKKFSQKSNEQQSVETADSLLEKKDSITSDIENKKKLLEEETHKLAQSSTADLGDDLDAYMSGLSSQLVHDKIAQIQKDLSDLQAEMDRVVYLLKIADPMGEAAHKRDLKPREVRTSAPNDNPRPDSTKHSKAVKTTKAEKPKDSSDETTDKPSKVETNISENQEKGSKPAFSIPKPQWLGDKRIVEPEENFINEEKAAAEEPDNFVDYKDRKAILSNSGSGKELEEAAPGLILRKRKSTDQSAPSEGNSSSVESEASIADAVALLLKHKRGLQTADEMENEDESHTNKREEKKSKQKRVLGPARPDFLERGPDSETWVPPEGQTGDGRTALNDRLGY >Dexi9A01G0032720.1:cds pep primary_assembly:Fonio_CM05836:9A:37608956:37610620:-1 gene:Dexi9A01G0032720 transcript:Dexi9A01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVPADVHVPATKITMPALAAGAAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSSSGDLASFSGDMDAPLGEDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDTQVRDAAISEIARMSAHKLELEQTVNENEVCPKISSSS >Dexi6B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:6B:26024565:26025976:-1 gene:Dexi6B01G0019230 transcript:Dexi6B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIAGIGGKAKVPTALQMDKDESVVFFRELYKREKDKDVNLLEPMYSVEFEAIQATPLFPSLEIEANSSQKVFLRELPIPPRQAKPPTSRLLPKPEATKTSARSATPTSKPSSRKNTIKGVPAIPKEKKQPYIADQRPSHKVSVNGHHQKAAAAAATIPGTRVGGPPKKHSERCCAAQASGTSAINAVTEQEAPFKAPKNLITTTARSIFRRHTPSAENARTKDPGSLVDVKKGSKDPGSLVDVKKGNGKARSQWCPPVPVRGMTELQLQDRREALPPRGKSVTGRGGASGTGGRAGRATLVRGTGRGDGRAWMDMRA >DexiUA01G0012780.1:cds pep primary_assembly:Fonio_CM05836:UA:26504394:26507287:-1 gene:DexiUA01G0012780 transcript:DexiUA01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIKGLPRPLDGDEEPIKLLESYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRFDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDELPGFPRTQIGFLTFDSTLHFHNFKA >Dexi7A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:7A:4388450:4391070:1 gene:Dexi7A01G0001650 transcript:Dexi7A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRRLLLTFSVIAVGVSNATHGFHFEEATIASIHHGFNNGSLTSVALIRYYLDQIARLNPLLHAVIEVSPDALLDAARADAERASRHRRATAGGLHGIPVLLKDLIATRDRLNTTAGSFALLGSVVRRDAGVVARLRRAGAVVLGKTNLPEPLCRTVADAVHVLDAIVGYDALDAEATRAASKYIPTGGYKQFLNIDGLRGKRIGIPNGFFGLKNGTVKHRVCKQHIDTMRQHGAIVIENLDITNLSIIQNITKSGFQAAVPAEFKFNLNNYLSNLSYSPVRSLAEVIAFNNAHPVEEKLKQYGQQLLLLSENTTGIGPRERAAIHQMTELSANGVEKLMKKHQLDAIFTPDSSVTTVLAYNGLPGIEVPAGYDEKGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKPPMIKV >Dexi9A01G0034370.1:cds pep primary_assembly:Fonio_CM05836:9A:39138235:39140773:1 gene:Dexi9A01G0034370 transcript:Dexi9A01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQGNQTIEPLLQQHQRDEDGTTTVPGVGAAAGNQHHRGSSSKLTLLPLVFLVYFEVAGGPYGAEQAVRAAGPLFTLLGFLVFPFAWGVPESLVTAELSAALPGNGGFVRWAERAFGPLAGSLLGTWKYFSCVVNIAAYPALVADYLGRAAIPSVAGAGKVRTATVVAMTVLLSFVNYAGLSIVGWGAVALGLVSLAPFVLMTGMAAPKVRPWRWTVEVEGRRKDWRLFLNTLFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIAASYLLPLMAATGATNATPGAWTNGYLADAAGIIGGSWLKYWIDAGAVLSSIGMFEAQLSSGAFQLLGMADLGLLPAIFARRATRFRTPWVAIAASSAVTLAVSFLGFDDVVATANFLYSLGTLLEFAAFLCLRARMPELKRPYRVPLPLPALVAMCAVPSVFLVYVCAVAGWRVFALAGALTALGVGLHGAMRLCRSKNWLRFNTAVVAVAAEDRHGVQAAGHTV >Dexi9B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:9B:11581274:11587221:1 gene:Dexi9B01G0016860 transcript:Dexi9B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSASAAAAAEVSDTRGGEAPSDPDEETGNVIFVTTHSNFTHPGEDTYQHGQPVYFPEELVDNWVSFSRRGLYYCYNISLRGCLNTISPPTDIILAVKCDMGPEFLRNSFNLGGVQVTIQYLCIIPLNQEQVIFARRFQMTILSLLTGNDYSGVTDAIKYFHELQVSVGVAYLLLPSVSGKIDWCGIKFSTSSVYDEADSDIRHCHSCKDADLLQTMDGPCCRCRLQNSVVYIPRDRKFYCVTGFLDLNANDQLHLRDRCVGSSKRYGLPLTSERNPLLDASGLFTVQNFLDKCYEKGKEPSSRNAVKLPPELCRVVMAPVSTNTLCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMKQFNITALKILEALTTKECQEEFSQESLETLGDSFLNLCHVQRLEFLGDAVLDHILTDYFYKQYYPGCTPALLTDLRKASAHHMVGNLALVYLRLTAGIEENLIESIAGAIYLDSKYKKEVVWRAMRRLLEPLVTPKTVEVYPVSELKEICERRKYLKPLYSPTRDDVVGVTKVVANVKAAGTVYHGTGEGRNQKVAKILAAKALLKKLKASSLA >Dexi1A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:1A:5815387:5815689:-1 gene:Dexi1A01G0007510 transcript:Dexi1A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDDLQRHQVRLADNFYAQLGCAAIIAHPKLHLASGDLFALRRASQPTMIHNDFAIAENHHPRLMSAEVDTRRARPVPAAGGGAVEAGASELRGRRGC >Dexi9A01G0048100.1:cds pep primary_assembly:Fonio_CM05836:9A:50939213:50942301:-1 gene:Dexi9A01G0048100 transcript:Dexi9A01G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGSPDDAAVAAAKPPIPPAKGGPAPAWAKASDGGGAAAQEKGGGGGGPGRVLEAPRLREFTLAELRAATKGFKPEMVLGEGGFGRVYKGWVDERTLNPAKSSAGVIVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCGEDRELLLVYEFMIKGSLENHLFRRVSQEPLPWNTRLKIAIGAARGLAFLHSSEKQVIYRDFKASNILLDSDFTAKLSDFGLAKNGPSAGRSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDLNRPSHQQNLVDWARPFLAGRGKLTSLMDQRLGGQYPPKAALQAARLANRCLAGDPRSRPAMADVLAALEGIEAMQAPAGAKGSHRDLPPRPVSRPSPYRDSSMPR >Dexi9B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:9B:5002826:5003961:1 gene:Dexi9B01G0008120 transcript:Dexi9B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSIRQVFIEDKPSEGAKRFRRCRSTPSDPTDKKSSDTTDKKPSENVSALQAKELFKEIRPSFTLVGLLLFVYLLVGVIIFYLFMGQISGKRTNRVLDALYFVIVTMTSVGYGDLVPNSNTTKLFACAFVFTGMAIIALFISKAADYLVEKQEVLFFKALHMNMKGGEARLLRSMETNRIKYKFYTVALLLVMIIVSGTLFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSELGRVFAIFWIITSTILMAQFFLYLAELYTERRQKMLTKWVLNRRVTTMDLEAADLDGDRRVGAAEFVLYKLKELGKINQEEISSFLEEFDKLDVDQSGTLSTYDLTLAQTTGGV >Dexi9A01G0039650.1:cds pep primary_assembly:Fonio_CM05836:9A:43527060:43527933:1 gene:Dexi9A01G0039650 transcript:Dexi9A01G0039650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSAMRRCVLLVSLAMLPLAIMAADSIGSYCAGNSYASSNKAVANINSVLSNLVATASTGGYATSTAGKGNNVIYGLAQCRGDVSTSDCAACLADAAKQLPTTCSYSSDARIWYDFCFMRYENANFIGQVDTDAGVILVNVQALDNGKAFEKAVGKVVRKATAQATAAGSAGLGRDKDQYTPFVAIYGLAQCTRDLAPLSCAQCLSTAVSRFGDYCRAQQGCQINYSSCRVRYEIYPFYFPLAGNVPGGRATTDMTKNTKIAVHP >Dexi5A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:5A:3292721:3298857:-1 gene:Dexi5A01G0004350 transcript:Dexi5A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGDKPTATDATESVLGLDGEETLSERESVGARQGPGLPNGGGKEAPSSSSSAGSKRKRNALGSNQIELSDPVIRQPRGVLRLRKLPQNVSTESWTGGHNIPQSNGVPKSTQLQSRSKRGESIALKGNRVGGDDPVSCLRTENGACDHDTGAKFCSETEFSVEKQSHLSGEPPKAVHGHKDRCGRVKDDDGVNLEEDAARMLCSLSDNRCAGSPDRSSKRSFPQHSKHLKNSYKKMKDVPGSARLLRKRDDKVPFRKRRPRRHFYEVSPRDLDPLCIVKERIRVFWPLDETWYFGLVKEYDPVTRKHHVRYDDKDEEWINLQNERIKLLFLPAEVRNRSKCNNSRSVLKPKLEQGDREDMDGSNTESSESSPISSWLNRSNQAKSATLGNMSKQDHGHSGFPILFDQKQCHGSDAKQDGLLRNVPIPGSSPANRVALLKDRITPEDRSLNVICSSHKSSERHLSINIPQDKVIDEPNDKQLNGDEKDKQPVSDLVQELNELPIGRATPTAPRTTYHRNRFTSISRAFGDGSKLLPEDLVLTGFAGGSKKPRSQVSYSVSPRSEEFGIKHKGHFRKIQPHSNAKINDAKKLPDSSRSGHSSPESLTCVANVLVTMGDRGWREYDTQITMDSDGQSERRICVKLAEGAKYTHKVCQVLQPGATNRYTHAMMWKGGAEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVRNIPIPGVRLVEIHDDNDVVSFVRSEDYLSYIGTDVEIALDESRVVYDMDSGDEEWISSWRKFLVGDNITTDELAEDLFERVMDKLEKFAYSHNCNELSIDQMKELDIDSVPLDIIEVIHAYWQDKRKKKGMPLIRHFQSAMWKIYEQKLHEWESTKVKRGVSDHWNTVTHEWQNSKHLFPGASRVDIEELKLRDATSAAQHAAAMAKLKREKAHCLMHKADLALHKATVALMIADAIKSSSRDTSRDGRRDSRDEER >Dexi3A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:3A:10820917:10822048:-1 gene:Dexi3A01G0014750 transcript:Dexi3A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKQQGAGKPQQAAAAAAGQELDPKYEWQENDTTFVLRLHLSGFRKEDFRVQVDGSGRLTVRGQRADGGRHSSFNKIFQLPSTSNLDAIAGRFEAGVLTLTVPKKVVVDAKQPKAKEDARKVPPPPPPQGQAKPKEEDEAKKPQVEPKVAAADMAATKKPKDDAAKPKEDATTSKKPPADKKPVDAKVGKPEQEQRTAAAPPLATMRKEEAKPKAAEATAPPPAAEKEQQAAPRPPQQPDAERKAVDPESLAARRRAEEERAKQAAAAAAEEAERQRTTRRGLKERVQEELQGIAGSEWAEGLVETVKKNKEVIAVAVAAFSLGLFASSRLCSRN >Dexi4A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:4A:701197:703131:-1 gene:Dexi4A01G0001020 transcript:Dexi4A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVAALLLLLLPLAAAASEEAAAAPAEAGAGAATEAALLERHAAQLARLEELAESLARSVHALESALARSADADQPSPPGAASSTAAVGDRRAPQGVAVTKRRPVWSERFHFAAAARLGEGAYAAAAAALPYEDADGLTKYFAVGDSRGRVFIFSAAGDALLELEAAAAGESRVTALLAYLSPRRTDCLLFTGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGIDAAPVVHLEAHHAGRARYVLSCDAGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGTRVKAYSFDPSERFKAYGFTEAGDLVHVLLLGDVSSLKCRVRAVKKAEIDSPVAIQTIKGYLLVASNDKILVYNTSSQYYGRVGVPRPLFAATIKDIKTVFAASGGVLPSAPAGKPVIAADREKLVILGLGDGHIAIYRSNFPVYRPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGGLLNHPASDRAFADSAARTSDRGYVDGTARAGDRSYVDATTRTTDRGYADATRAVDMRGGALRSAPRRYVSPTRYAGTSGIQYRPATAESGIRGTPELKYRGPGMEPPGFPKKREALFSNNQAVVDDPVD >Dexi2A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:2A:30947125:30951018:1 gene:Dexi2A01G0018760 transcript:Dexi2A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAIADRKHTRTSSDLSDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSIRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSSQSSGLPDYRARRRLTGNSIHFRAIPNPKCSPAVPACRVR >Dexi6A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:6A:26641493:26642290:-1 gene:Dexi6A01G0019010 transcript:Dexi6A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHEKIAALKSQASRPFSSSSFMSSQKLLQDFTATGSPPITVLDEAVLVRPKVTRFPSLPSDLLTEITATIDAGSDTTCEEMEVDTEQANCCGHLRACHTVKKPTGSRLSFDGYNWRKYGQKKVKGSEFPRSYYKCTHPNCTAKRKVETTVNGQIVEVVYSDEHNHPKPHSTSTPPWKPLSSTSTEE >Dexi8B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:8B:5401013:5402311:1 gene:Dexi8B01G0005350 transcript:Dexi8B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAAMLAVLEAPGVKGREVLRLAPPRYAPNEKDAVTSLIRRIVAAPGNDHPPVTRAFNVLDIGKVAELFAPWRHGLKGVTPYYAVKCNPNPALLGALSALGSGFDCASPAEMDAVLALGNVSPDRIVYANPCKPESHITYAASLGVNVTTFDSVEEVGKMKRFHPTCKLLLRLKVSGAGGEAVLDLGTKYGAREEDVAPLLCAARDAGMQVCGVAFHVGCKVSRVDVYDDALKAAREVFDAAVVLGLPPMRILDIGGGFTAAAGGLFEEACGVINVALARHFGDIMPGDGVEVIGEPGHYFAETPFTLAARVFGKRTHGQEEREYWIDDGIYGTLSCVINVYKYKPRPVPMAAAAPADDGSGGETTHLSTVFGPTLDSLDVVVQGYPLPELRIGDWLVFHDVGAYTTVMSCNFNGFSASEMKTYLASSA >Dexi5B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:5B:10519112:10521973:-1 gene:Dexi5B01G0014140 transcript:Dexi5B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVCFLGLRVENVTRVSQATLPKHFLEDIGREGLEVVRGFKRVVGLSRMIPRVMVEDFLKEYIGLEMVVGREVKMVRGRYVGLLEMEGVTSLQEVYLVTPQQKRHWSTLPRYQYPRPLIFHDGRVAFRPTPQATLAMFMWLPLAVLLTMLRTLIFVNVPYSISLPIGSASGVTTRVINSPNHRALAQPNPKGRLYVCNHRTLLDPVYISVMLNKKVSAVTYSVSRVSELLSPIHTIRLTRNRDEDRRRMEHSLQKGDLVICPEGTTCREPYLLRFSPLFVELVDEVYPVALVNWSNMFHGTSTGRSKYMDHFYYFMNPHPAYIIEFMDRMPTHMVINGRRCESYEVANMVQGEIAKVLGFRPTKLTRKDKYMILAGNEGVVDIKQ >Dexi1B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:1B:1261101:1262867:-1 gene:Dexi1B01G0001570 transcript:Dexi1B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSSSSFAMPSLLPPIIPAGAGGSPGRRIVVAHRLPLLATPDKNSPFGFAFSLDADAIPLQLSRGFTSPVTYIGTLPSPAQSELVPSDELDAYLMDTFNCLPVHLAGDRHAMFYHGFCKHYLWPLLHYMQPPAFMASSSTTAAAAAFIAANRQFADRIIEVISPDDGDLVVVHDYHLMLLPTFLRRKCPHAGVGIFLHSPFPPDEIFTSAAGVGDELLRGLLNADLVGFHTVDYARNFISCCARLVGIRSAAAVHGGGGHLGFNYHGRNVIVKVFAVGIDLSHLRATLASPEAAAKAREIADEYRGRVLIVGVDDVDVFKGVKLKLLAMEKFFEKNRTFRGKVVLVQINNPARSHGADIDAIRDEMDKIAHRITRRFAGDEEGEGAAAAAAPEILVRIIDGPVPMHEKVAYYAAADCCVITSVRDGLNRIPYYYTACREEFAGVVPSSGDVVPGGAGRRRSNKTSAVVLSEFAGSSACLGDGVIRVNPWSTDAIADAMHGAITMAGEDKLARHRSNYRYLREHDAATWAPAFDGTLRFACRDHAVMTFVGLGFGMSFRAIAVRPEFQPLVHRREEDEQGGVLPGRA >Dexi9B01G0046850.1:cds pep primary_assembly:Fonio_CM05836:9B:46126176:46128104:1 gene:Dexi9B01G0046850 transcript:Dexi9B01G0046850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMAGVANDDASASSTAPSIAAAASDLSRLPTRRRIWRSSAPSAAVVGSPARAAPDSSTTRCLFSSISTASWWAPRSAAALAAAMSSAASTRTRSLSTSIDRSAAASTTCSGLATTVSSAVVTFTPPPAASRSIGLAVGCRRNRQEHQEAPLLVGVELAYGDRGGAATILLVDAALHLGCSPETLGDVGAAASDEGLHGSPCLTLPGVRHAQEPRHAVRTGGEGHHGEPVVSASFSPLMLPLTSSTVTRSRGARGASPASIIPGALTCTRTAKLSCDEPLATAEYSVCVVTAKDPPDAADCRWASSWPEGGGSTWSSSSNTSGSAAGWNGGCTWWCIGRCLIGDGWTWCMGCGGTTGCCGGGGNGCGGRSNGSSRHWELQSGQTRWPCATLAEMQWKWKVWEHSAVKMACPPPAPMLPRHMAQGLLWTQSK >Dexi4B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:4B:6957204:6965699:1 gene:Dexi4B01G0009600 transcript:Dexi4B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVNIIVGSHVWAEDPGICWVDGEVVKIKGEEAEIQATNGKKIVANLSKLYPKDIEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINENKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCSAPQEEVEKYKLGNPKAFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPEALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNSDEKVACKKILEKKGLAGFQARYRCHRAHSYHRKLKCAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRIQLEKRLRTDLEEAKAQELSKAQSSMEALQAKLEEANTMLVKEREAAKTMVEAPPVVQETQVIVQDTEKIDCLKTEVQELKTSLQSEKQRADDLEKKRSEEEQANEEKQKKLEETEIKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQRSSENVQVSSNDSKIALESNNTSSPKKEYDIDDKPQKSLNEKQQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQVFMMSDPENDRYFRGPKNIKSKLNERIFTFKHYYCCPTSFNCSLARDCEEPRKFLEHTESEQCQYKVSFFF >Dexi5A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:5A:2790166:2791938:-1 gene:Dexi5A01G0003640 transcript:Dexi5A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLITPTIIGALDVEAAAPPPSTGAAAGHGHEPAATPLPDAETKRVAKVVQTVCLFGASASLLLFINNPPRGGDGGGAMYSANLGFVCLGLFASLGLSMFSIVARPGEAAVARVQKWGMVMAMASVLVASTLRMVTMLPAASLESAWLAAFVLAGVVAVVYLSLAWKLCAADDGVQAEASMSACDHEAGVAADGV >Dexi4B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:4B:5759080:5768157:-1 gene:Dexi4B01G0007950 transcript:Dexi4B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEMWAPPPPTHITSRESAVATVFRSSRCEYVSVRVRACWLPPPGGPAPRPPLDTQRVVAFASPPIRPPRAAAADASPSSSPRKPGEDAPPPAGGTPPAAAAVAAGVAPFEQARKALCVRSPFDGDEAVGRDPWLPSRVARWAVVGDVRKKHKKGQSQLPQPQQQPEPAPPVEQNPRVPRGCKGFWEQLEPYFRDFTEEDFEELVTKHQFCPTQIDPCFLIPLVGSGKELGENTDPSHAPVADEGSDLHSNLGLHDEELASNKVQDMQESSDCIGASVELVTKDESSKENCDQDMQEVIVQQEEQPVEMRAFCAKHSAIRGISSISELDSRQVELHDGKVVTRKEQQVRFTRSNKDKFVNDAISTSSCSLNKSQAAEVAMSPSIVGSAENQEISQSTDMVVDQPTADGNLISNPEDVSGVLRKLVDPGKVGVGDLESQLGLHSESLEVAIKPETTAFSPGLKLKKVKWLHNLVRVPSVQKEGSLAPQGTLLGTESKSSTAAELQSIQEESISSIDHHCPKNGNANKGGLVQNGCHSCPDPDVNKVSGRRLHNMHGYCGYIHPFVERRVQDLWGNILEKTVQEQTVQENDHHVEELCCSHADDLAKLGQLANTAAVDQVREAKSSDILELSPDDEIEGEIVYLQSRLLNGVVSMKQKYECKMNCFVEFLFVQLSESIFQSSFYLAEDLILKVVQNISYELDSVSKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSIAPSSRVRKDSGNKVTFARQENMPRANVGSSRISQLSSSAQAKDIPLSNSKVSEETNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKVLCIYYRLSPNCTYQLLTLCDQQAAVHLDCYQSLKYPTGPWICELCQEMPLDSVISCNQSDCNGAKAFLVQCGLCHGTSGAFRKTLKGQWVHAFCAEWLLETTFRRGQHNAVDGMENVHKDKDTCSICHRGVGACLKAYRQQYGPEEVKSMKQMRVELELLRFLCERIVKREKVKKDLVVCAHDILAARRMTSVSSMRTSYYASGPGASSESATTSVNNKSYSGTMQRSDEITARSDDVTVDSTVTKKHTVRFSLHNRDTDRNTADSSTSTISYKRKLDDGESVAFKSLPEMPATALESEDVEKKPTDKKV >Dexi6A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:6A:25748324:25749264:-1 gene:Dexi6A01G0017930 transcript:Dexi6A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGAGGSGGAGGGGDDHLHGLTFGKKIYFEDAGGSSSGSGSASSGGSGSASAPPPPAPAAPPASSGGRRGRGGGGASGSSSSAAPPPRCQVEGCNVDLTGAKTYHCRHKVCAMHAKAPLVIVNGIEQRFCQQCSSLSTG >Dexi9B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:9B:9303851:9304598:1 gene:Dexi9B01G0013880 transcript:Dexi9B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERRTFEEYEPAVEWSHAADVDTELRVLVDNHLHMRVRGERPVAEGSAKWIRLQTTFDLPDNCDLDGIRSKFEKETLTVTLPKMSHPSPPDGSDPTTLDDQGNKEEEEKEKKGTVVEEEKPQEEEEASGKRPIRWLLVAVAGVLFVGITAYAVWRKLRSGGATGAGGHGPGELAGGAGSYVSEM >Dexi5B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:5B:4327272:4327682:-1 gene:Dexi5B01G0006390 transcript:Dexi5B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi2B01G0025270.1:cds pep primary_assembly:Fonio_CM05836:2B:34543691:34545014:-1 gene:Dexi2B01G0025270 transcript:Dexi2B01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAQSAVDALLGTLASVVRDEARLLGGVRGDVEFIKEEMEIMHGFLRDASDAAGETSNQVDALTRQIRLLASDSQNSIDRYVQTFGGGLPSEAGSLRRLPQLVRTMPDRHRIAKEIRKLKARAREVGERRRRYGIAVLSAATPRDHHHKQQEEPPSLQHAGGGEAAELQAARRRRVLARAMDLLDMDASAREVTAWLSTSGGDRQMQSRASFAEYLKDLVKHPAKLYDLMRSLQSDEAIGALIETVRETAKEERRVMHDWFTRLIGVSAAGRRRPEMNSTKPSSSGEDHHPHHQQHKSPSPVTGGLAAIVGKAVDPIGIFERELNPRMAASTAKLGSMPGFTASPWSGCGACSGRYAANKKQHQPQALLLKVMRQALGMSRG >Dexi1A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:1A:5214211:5215576:-1 gene:Dexi1A01G0006780 transcript:Dexi1A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVQCSSFVLHLYEPLLKRGLDNPELLDGNWSQLCITCKGSKVEEEQMGILYVPSPRSFGSVGDPSSPASFSGWLGYTAINHSWVVSFVIMDLLLFFGIIALTVIQASQIVAATVIILNILDVSFDLHTKTIKGPGGRFRNPYDHGVRKNCSDFFLKGYNEDIERVVQTLQPDEEMGPLQ >Dexi2A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:2A:28828946:28830890:-1 gene:Dexi2A01G0017070 transcript:Dexi2A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHFHTLIRIPVLPVPFSPGAAAPSLGVSVASPSRALRLPRAKASVEKREQAMEEQGGAAALGWAARDATGVLSPYNFSRRVPRDDDVTIKVLYCGICHTDLHIIRNDWGNAMYPVVPGHEIVGVVTDVAPGVTKFKAGDTVGVGYFVDSCRSCDTCGKGNENYCAGVVLTSNGVDRVHGGVATKGGFSDVIVVDERYVLRVPDGLQLERTAPLLCAGVTVYSPMMRHGLNEPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPSKRDEAIDHLGADEFLVSRDTEQMKAATGTMDGIIDTVSAWHPITPLLALLKPLGQMVVVGGPNKPLELPVYAIVPGGKGVAGNSVGSVGDCQAMLEFAGKHGIGAEVEVIKMDYVNTAIERLEKNDVRYRFVIDVAGSLGSAV >Dexi3A01G0036660.1:cds pep primary_assembly:Fonio_CM05836:3A:42250173:42251548:-1 gene:Dexi3A01G0036660 transcript:Dexi3A01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQSKTAELDPVLHSIGFEIEEVSPSQLTGRLPVTARCCQPFKVLHGGVSALVAEGLASMGAHMASGYRRVAGVSLSINHFRSAAVGDVVLARAAPVHLGRSTQVWEVKLWKQDPSVPGKKGPPISESRVTLLCNLPVPNHLNNAGDALKKYATASRL >Dexi8A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:8A:22218199:22218459:1 gene:Dexi8A01G0012620 transcript:Dexi8A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPEQKRLQEAVAGIGCRNRCCAWIERRLKFNAWPTPEQWHELDPVAQWAWIKAQYRPFLVAWRSHQQRLGPTFVRACEPREAR >Dexi3B01G0037130.1:cds pep primary_assembly:Fonio_CM05836:3B:39948683:39951848:-1 gene:Dexi3B01G0037130 transcript:Dexi3B01G0037130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSVSVTLDPDHLSATTTVAVSPSFSADRMWLNGKEISLSGGRFQSCLREIRRRARDFEDEKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLGTVSSEITMLFTATVFTLGKLMNVKEDYGELSSIARSVVLFTVVSPNLMPWIWKCMPQYLWWICKMVGNKDDGSDSIAVQLADEAHWKELVILIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRMLKMEEAIKNRDFELFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHSEGSPQVAYTFDAGPNAVLIARDRKTAALLLQKLLYYFPPQDKDLSSYLVGDKSILTDAGLHSMEDIEALPVPPEIKIHDQKFKGDVSYFICSRLGAGPKVVTDESKVLLNSITGLPKGM >Dexi2A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:2A:32743000:32745491:1 gene:Dexi2A01G0020520 transcript:Dexi2A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPTLPRLQARPPCPRASALPPAAGASSAAGARDPGQRAVGGTEVENDGQLLCRLASPSAALFRSLFSLSPSLPWPLPPEPPHHPRCGLRNRTRSPPLIASRVLSLISLLSLPAATTRWGLWTPLLRHAVVSPLLVPLTSSSSIERAQHQIILFSRTHIHGRKAAVAAQSRQKELLRASPSPHRGTSERERLHLRNAMIKSHGYSPLLQPSMPSPIDLLCRPASPSAALFRYLFSLSPSFPWPPPPEPPHHPRCGQGIALAPHLSSPPVFSLSVLSSPYPPPPLDGGCGHLCSGTSVVVSPLLIPLTSSSSIERARHQIILFSRTHIHGRKAAVAVQSRKKERSRAYVRAFFFVSLLPLE >Dexi2B01G0027270.1:cds pep primary_assembly:Fonio_CM05836:2B:36255079:36256554:-1 gene:Dexi2B01G0027270 transcript:Dexi2B01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGKVYATVVLIRLIYAGMHILTKASFNEGTSTTVFVFYRHAVAAIFLLPFALVEIRMAGTINLYCIGLKYASATSSSAIFNIVPVVAFILATLKLRSVHGIAKASGILLCVGGVIVLALYQGPELKSMNHHQLLQHHANAAAHAFSKKEWALGIFLMTTSVVIWSFWTVKQGPLLLEYPSKLLNTTLQCVFASVQSFVVALVLERDFSRWKLAGAVSLAGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGR >DexiUA01G0004140.1:cds pep primary_assembly:Fonio_CM05836:UA:8144425:8145773:-1 gene:DexiUA01G0004140 transcript:DexiUA01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSGGHSSFEFETQFTVPDSEDWQSTSSPSSSRQMLGDNNGSSRSAARSRRRFTPAAVAFVKKIDWASLWDMAKEWIRSPMNMALMAWIIAVVVSGAILFMVMTGMLNHVLTSKPRRDTWFEVNNQILNALFTLMCLYQHPKRFYHLALLCRWTAADMLRLRQVYCKGGTVKPGERKHMTVVVLLLHLNCFAQYALCGLNLGFRRQDRPVLGVALTVSVAIGAPAIAGLYNNLSPLGNDYEAQPTEEEGSWAV >Dexi5B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:5B:19891360:19891683:1 gene:Dexi5B01G0017980 transcript:Dexi5B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLYEGIRDVQDGAVAAEAWVRELEWDGLAAFRIAPRAVWRRSSGGGGGGEGSQLAGYVQRHGGLVHVAVYEAGHLVPASQGAAAQEMIEDWVLDKGMFGGAAVA >Dexi1B01G0030570.1:cds pep primary_assembly:Fonio_CM05836:1B:34491395:34496026:1 gene:Dexi1B01G0030570 transcript:Dexi1B01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLKETKGTAKAGAAAMAPSPAAAAGPTFEDLERDLQAVLMDQNHTSSADELSMFRSGSAPPTVEGSRTAIGALFSGPPLHVNSLGGGSSSGAGVDMLTEEELRSHPAYLSYYYSHEHLNPRLPPPMVSKEDWRAAQRFQVVSGGIGDRRRRPSETGSGNSLFALQPGAREGGGEKVLLNDRMGRGERNGLSRQQSSEWLGRSTDGLIGLSDVSGIGSRRKSFADALQENIGRPAAAASHLSRSNSRSGLESPNPIRASDSPKPQLQNRSESMNGLRSGSTSPSLVRVQSLGSSMSHTFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGSSEKKVEAAAVASLNHDGADIAATLSNLSLTGNKMSNVETEVQNHGYQNFGDQTDLLFNVTKEHRQFSPQNLTQNTDEDSLNAPEYAVFPNGGSNFSNLHVSKLASHSNSKFPMQSPHGNANKKGSLMSSAGPISHYQNLNGDSPGMDISGRHVNSHAGGFTSSLLNSQLNTDGDYGNVLSNHGGSGFQGQLTEAMYAQYLQTNPDSPLGATASMSPFQGRGFTATGHLDSPGYQKAYLGSLFAQQKLQYGMPYLGKSGALNPNIYGNDPAFGMGMTYLTSPPSSPYISSPQGHVRQGERLTRIQSVVRSTAGGSMGSWNSENGLMDNGYGSSLLEEFKTNKTRSFELLDIFFEYGTEVQTKQLASLLKGHVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGSIMRCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSMHPYGCRVIQRVLEHCDDESTQNAMMEEIMQSVVTLTEDQYGNYVIQHVLQHGKPEERYTIITQLAGQIVKMSQQKFASNVAEKCLTFGTPEQRQILINEMLGTTDENVPLQAMMKDQFGNYVVQKVLEICDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRIGAPSSC >Dexi2B01G0033520.1:cds pep primary_assembly:Fonio_CM05836:2B:41091130:41092817:1 gene:Dexi2B01G0033520 transcript:Dexi2B01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLLFLALLAVAAVSGSASPPPAAKYTPATPTSGGACWPRLFAFGDSLIDTGNFIHYSTAPGTVARPPYGETFFHHPTGRWSDGRLIVDFVVDRLGFPYWTPYLDGKTKEDFRYGANFAVASGTALNQLLFRKKHLSVAAITPYSLGVQIGWFKKVLAMLGATEHERREIMASSLFLVGEIGANDYNHPFFQNKSLEWVKPLVPRVIRAIGLSLEALIELGAKTLYVPGIFPLGCVPRYLFLFRNNTAAGDHDDVGCLRWLNGLTAHHNSLLKAKLAELRRAHPGVSLVYVDYYGEVAGILRAPARNGFAPATALDACCGGGGFHNANFTVHCTEPGAVQCPDPSKYVSWDGLHMTEAVYRIMARGILDGPFAMPPIMSRCKKN >Dexi5A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:5A:4413246:4414065:-1 gene:Dexi5A01G0005930 transcript:Dexi5A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTALSMKLLIDIKANRVLFAEADKNVVDFLFSLLALPVATIVQMLGKGSISGSFGNLYGSVENLDHTYVLPHMEKGRILNPTVMASPASTSRSSLLLPMPSSGQPESLFRCASYGWCSFNYVTDTKGERCPGCSATMCMERLIDASGYNVQKAPSQGFVQGVVTYTVKDDLTVTPMSTISTITMLNAAGGLAIVKASLQSKTVLTDVFLKRTYY >Dexi2B01G0027020.1:cds pep primary_assembly:Fonio_CM05836:2B:35999707:36001145:-1 gene:Dexi2B01G0027020 transcript:Dexi2B01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGYAMLARTMSRELLPDEVRGAVRWAATPTTGASNHLYSAARAYLATRIDPRAMDELRLAVRGARRSLSMVPGDSITDVFHGVEFRWTSTRRRRRSRRGRDDDSDDDDDDEPDDSLVLCFDAEQTDMALDKYVPFIMDKVQEDWRRYGAIQILMNTGSFWFPMTHHHPATFDTLAMDTELKRSIVADLDRFLKRNEYYRLIGKAWKRGYLLHGPPGTGKSSLVAAMANYLHFKLYDLDISEVLSNSALQKLLIGMDNRCILVIEDIDCCFSAKTREEKPDDSESDSSDSTEPPARVEGITLSGLLNFIDGLWSTCGEERIIVFTTNYKERLDPALLRPGWMDMQVYMGYCGWEAFKTLAHNYFLIGDHPLFQEIQGMLVEVEVTPAEVSEMLLRSEDADVALQGLVGFLQDKKEQGGKH >Dexi1A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:1A:12614323:12615757:-1 gene:Dexi1A01G0012530 transcript:Dexi1A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQGAALLAAVVFASVLVGLAAGGNFYEECDATWEPQNCWAYDDGNRLSLALVSSSSGSMIRSKKQFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNHDEIDFEFLGNVTGQPYTIHTNVYAAGVGNKEMQFKPWFDPTTDYHNYTISWAPCMIVWYIDGVPIRAFRNYAASHGHGVAFPTTQPLYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECYGGGCVAGCAAGLGDAAPRYCSLSTTQVGKMQWVQSNYRIYDYCADPKRWINGQKPVECDLAQY >Dexi9A01G0048860.1:cds pep primary_assembly:Fonio_CM05836:9A:51604327:51607145:-1 gene:Dexi9A01G0048860 transcript:Dexi9A01G0048860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDIKLAVDSSRSRVLFADAGSDFVDVLLSFLTLPLSAVQLCAGASSPGCLSSLRDSVSQLDDSNLLTADACHGMLLRPHVDELKYVMERVAHVYKQAAEDMFVEGKRRFVISDDLTIKPASTSSTLTLLQKMGSGRVGHDFEEIQVYVGWAECMKLKTSFINLQRLALIPFHSLELKIIVVQGKWELCAIHQFGR >Dexi1B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:1B:23126484:23128820:-1 gene:Dexi1B01G0016620 transcript:Dexi1B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNNLLAAWPVVGPGVAGAVFGAGWWFWTDAVVCSAAAVPFLHYLPGFFATFAALMFNCVNREDIGDGYYSPYDDSEWRVKLWLFISYVVSFVSLAGAVGFLVQDALTNTGPSAWTGTAGVLQCVCVLVSGLIYWTCHSED >Dexi7A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:7A:26811524:26812793:1 gene:Dexi7A01G0016990 transcript:Dexi7A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGEIQLQIAGIRGQEGGDDHGRSCPPTPAPRRALSKRLAWWALVLVNIVFVLAGQSVATLLGRIYYDQGGKSLWMQTVVQSCGTPLAIPLLIYFRTRKSSSSAAAAAAASSSRPPVVKLAAIYAGLGVLLAGDNLMYSYGLLYLPMSTYSIILASQVSFNAVFSYFLNKEKFRALVLNSVVLLTFSAALVGVSHGSDGSSGSDIPKGKFPAGFALTLAASALFSLILSLMQLTFEEVLKSDTLATVLEMQFWSNTAAALVSVAGLFASGEWRTIAGEMAAYQKGEVAYAMTLAWTAVSWQLCTMGLMGLVAAVSSLFTNVISTVGTPLSPVVAVVFLGDRMDGVKLIAMLIAVWGLLSYVYQHYLDDRHAKAKKILGEKSDQQLQAAKITEE >Dexi4A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:4A:7236935:7237603:-1 gene:Dexi4A01G0009180 transcript:Dexi4A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNPTTGAVSVDVRGGSPRSRSTARATPASPPPGVGRKRRAVARGVQSALSKTSMLANFLPTGTLLTFEMCLPAASGDGSCSAVSVAMIRALLALCAASCFLFHFTDSFRSPEDGKVYYGVVTPTGLSLFRTGGGLGVEPPREDRYRLAFVDVVHAAMSVLVFAAVALADYRVSGCLLPGHRKEMDEVMESFPLMVGAVCSGLFLVFPNTRYGIGCLAA >Dexi5A01G0030680.1:cds pep primary_assembly:Fonio_CM05836:5A:33560856:33563765:1 gene:Dexi5A01G0030680 transcript:Dexi5A01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDRAKASAAAADERGGGGEGLGGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRTLTEHQDSIAGMRFSNLYCDPLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAAALPNNAESEAEVDEEALTDKKPKSDRPLHENKAMSVHNADRISGMHHFDADHDQIGVMMYGSSDNGMRSSGNSDQMSFYENIMSNPRMDHFPGKVESSRSFSHLQHGEGFDMFG >Dexi9A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:9A:4839551:4842006:-1 gene:Dexi9A01G0008150 transcript:Dexi9A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRTRMTGTRRQPAVAAPFHGGRGSRGNLVPYRLCDGAGLSHRTPVDGWSTSSGAGAERDAPALDSAAGVVGVQPLPSDADKIVWGPSDSASDSAVLSTAAGDLCRWSA >Dexi2A01G0034980.1:cds pep primary_assembly:Fonio_CM05836:2A:44739835:44745865:-1 gene:Dexi2A01G0034980 transcript:Dexi2A01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPWRVRVQLAALKLVHRRDGSIRRLLFSLGDLKASASARPDAAGLRAVVVSVNYRLAPDHRFPAAYDDGVATLHYLDTNALPADMVTVPIDLSSCFLAGDSAGGNIAHHVAQRWASMSAARLRVAGAVLIQPFFGGEERTDAEVELDRVTALSVAVTDHYWREFLPEGATRDHPAARVCGDVVELADAFPPAMVVVGGLDLLKDWKARYAEALRGKGKPLVVVEYPDAFHGFHAFPELADAGKLVEEMKLFVQEHSSKRGV >Dexi3B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:3B:9438697:9440071:-1 gene:Dexi3B01G0013230 transcript:Dexi3B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVTTVRGTVGRIPPEYFMSGQASEKTDVFCFGLLLIELVTGRETLELHQNEYPKGGIIEWIALLCTMYKPEHRPRMSEVVTMLEGGDGVADKWEAMKNIEELNPDKLYQAIKYDEDQFSSTELQSIELSGPR >Dexi3A01G0030430.1:cds pep primary_assembly:Fonio_CM05836:3A:34447292:34448779:-1 gene:Dexi3A01G0030430 transcript:Dexi3A01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLDRYERYLLCEGGDVVEDQPEETQGNLSYDHIKLRSRIEALQKSQRNLMGKHLESLTFREVQQLEHQICSALRNIRSRKVHITCTLNVHEKEALDASLHATNNAASSTAAEPALPNLNVCAGDSDEPGQPPATIGLPWWILRPPDANQQLERH >Dexi4A01G0021860.1:cds pep primary_assembly:Fonio_CM05836:4A:25251373:25255122:-1 gene:Dexi4A01G0021860 transcript:Dexi4A01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRERRRGGGHARRAVALVLAVLPVVAMFVPAGGGGGSPAFMEEDMILAVATSGKVKQGGSANASGRGSRPSYYPAAEAGSWKEEIAAVAGRPEMAAWLRGVRRRIHERPELAYEEVETSRLVRDELAAMGVGFRHPLARTGVVATLGTGRPPVVALRADMDALPIQEAVEWEHKSRNPGKMHACGHDAHVAMLLGAARILKAREHHLKGTVKLLFQPAEESGCGAKRMIEDGALDGVEAIFAVHVSHQHPTSVIGSRTGALLAGCGFFKAVIRRRDDSHSNDDRHRHRLWRSSADPIVAASSTIISLQSLVSREADPLDSQVVSVAVVNGTSSYGDVDGEVVIGGTFRAFSNGSFYHLRRRIEEVIASQPRVHGCVAAVDFFEDESFYPPTVNDARMYGHVRRVAWELLGVGAYRDVPAMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERFLANHAELTGGSSGTSVHMAAEEQ >Dexi5B01G0032220.1:cds pep primary_assembly:Fonio_CM05836:5B:32832179:32833485:1 gene:Dexi5B01G0032220 transcript:Dexi5B01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKIGGRATVSLPSRQPSHVARAFGVDTCAARITCSLQSDIREVASKCVDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGVESFPFKPGKYQMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGQFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELVKENIKNAASSTGNITLSVTKSNPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLES >Dexi7A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:7A:26526383:26526697:-1 gene:Dexi7A01G0016640 transcript:Dexi7A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGDRRSGKKRRRDEAEGFEDVARYWARAKRDGKLALSYLDRQVFSWSVKDIFNRDLLRHKKLVSLI >Dexi7A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:7A:11755915:11758977:1 gene:Dexi7A01G0002890 transcript:Dexi7A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSAAKHAVLKPPLALGSPGAVFCGRLSPRTPGAQPCRAASSASVPAAGEHLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEDQHPIVLQIGGSNLEKLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEVGDAMAAIAANCDVPVSVKCRIGVDDRDSYDELCEFVDKIVSKSPTRHFIIHARKALLSGLSPAENRKVPPLKYEYYFALLRDFPEVKFTLNGGITTVDQVSASIIQGAHGVMVGRAAYNKYVR >Dexi1B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:1B:23794737:23795273:1 gene:Dexi1B01G0017480 transcript:Dexi1B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLMLTLLGLLASALLVASANNEQPQPNKEEENKAGVPQDYRGGGYPYGGYPGGGWRGGGYPGGGYPGRGGGYPGHGGGGYCRWGCCNRGYYGGCRCCSRPDQIPEPMYRPEFVEVHN >Dexi7B01G0022510.1:cds pep primary_assembly:Fonio_CM05836:7B:27409017:27412034:1 gene:Dexi7B01G0022510 transcript:Dexi7B01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAGKPPTPASFIFFCPPLGFAQFRFPLTKGLLRCRLGSFRGSSLNRLLNFAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNSYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPTAAGS >Dexi3B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:3B:3267107:3270536:-1 gene:Dexi3B01G0004890 transcript:Dexi3B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLHLHSTLLPSASALRRRAGAPAPSSSRRCFRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLSKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPAAQSKAASFSSGASSSPPAQETAAPEASAPPPPPPPPAPVAISAPAPPSPATQGGVRVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEAALAAPKKAAPVTAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMTALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINIAVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVGTKDGRIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >Dexi5A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:5A:24017233:24021753:-1 gene:Dexi5A01G0020180 transcript:Dexi5A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTLEVSEFAFHLPQDYFCSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRREVVNKDCNSCTALPSSIKRVFYMSSEGSNLLHEVFPEANHTVLEQLSSVDCIVYAMGSLFTSVCPSLVIMLLLVLRGIGETIASRSIPKHNDYVNAILVPEGGQVPLDVENLAAQGIFHVVSISLLLTMIVTVESVHDPKVGVIFDPRSLIEALTRLISEEMNMHLSESGYLTENVKLVR >Dexi5A01G0037770.1:cds pep primary_assembly:Fonio_CM05836:5A:38995955:38996202:-1 gene:Dexi5A01G0037770 transcript:Dexi5A01G0037770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLQHHALLPPQLLPLAGPRATVGPASNSNKELTCLCSPTNHPGSFRCNCHRNRVATPAAARPGPAAEGAAEMEGAS >Dexi3B01G0024020.1:cds pep primary_assembly:Fonio_CM05836:3B:18737839:18738253:-1 gene:Dexi3B01G0024020 transcript:Dexi3B01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPFQNQELIRQQPLNSQELKNNTKPIRNNIGHEQTQRETEGKGESGGVYHEGWEAQSVGGRRMEEGVAASLALAFSLSHQKNSTEEDATERSSSRARGGNGDGFSRGSANY >Dexi9B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:9B:10639591:10645255:-1 gene:Dexi9B01G0015560 transcript:Dexi9B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTGILVSMAKKEVEMLLGVPGEITKLETTLGDLSSILADAERKRIRDSTTQRWVRVLKDVMYDADDVLDLCQIMEGGEDPTAPTSAPKATSMCWDIPKMLFCFRNPIVAHDIGRKIQAVNQQLEDLAKRSSRFGFITQAINSSDSISRASYFSSSKTGSGIVKSDVVGEKIEANTKRLVDILVKKVDGAPVGLIGNDLIVDVAITGAGGIGKTTLARMIFSDSRVEEKFEDKIWLSVNQDVNEISLLQSLLASFGAKHEGFAGDRGLLERALKDTVHQKKFLLVMDDVWSEKVWNELLSVPLSYGASGSCVLVTTRNDGVARGMKAQHLHRVDKLEMEDAWILLKSQVIDLFGCKNVSKLPSSIMKLRKLRSLILTGTTITSIPRAFRKLEDLVHLLGFPTHSDDSSGSCWCSLEELGPLSKLKVLEICNVEKAPSGSMASKAMLSSKHHLRDLDLIFTSRLGENGVVEDDISEEEHEAIEDVLANLCPPTCTEELDIIGYFGRGLPQWMKTMSGFRGLRRLLLKDYACCTQLPNALGQLPHLDFFWIKRAPSVRFIGHQLILPSLGTEADSNGEAPVLTGTRNRRMQSHSRVAFPKLVSLGFEGMLGWTEWEWEQNVPAMPVLEELDIHNCKLQRLPAGLEHHARRLRKLNLRNVQSLVSVENFPSLVELSSHDNARLERIRNNPSLQWIGISRCPAIKELDGSPSLRSLEWWDLDAEALPEYLLEANLKKLRVDCSQNLLRLIVLQDESSESEWSKIQHVQQLKAYGHKTEEEVEVADESHSQEDERAEWWYIHYTKEPYSFDAYLGESTGDFIFYLVPTLFL >Dexi4A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:4A:19030910:19032541:1 gene:Dexi4A01G0015730 transcript:Dexi4A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGSPALGASMPSSSTTTLQVLLLMVMLVYLAQTLRPRRKSTCTVPLPPGPSPWPVVGNLPEILPNDKPAFRWIHHVMKETGTDIACVKLGGIHVIPIACPKIAREVLKRHDANFASHALTFASKTFSRGYMDAVMFPSGDHWRKMRRVLASEVVCPSRHAWLHDKRAEEADNLTRYVYNLAEEEGTVVDVRNVARHYCGSVIRRLVFSTRYFGEPQPDGGPGPPEVQHVEAVFASVGLLYSFHVIDYLPWLLGLDLDGHEKMVMEANETVCRLHGTFVDERWRQWKSGERLDGEHEDLLDVLITLKDAEGRPVLSIEEVKALLMDITFAAMDNPSNAVEWALAEMVNCPEMMKKAVEEIDAVLGRTRLVQKSDIPQLNYLKACIREAFRLHPVAPFNVPHVALEDANIAGYHIPKSSHVILSRIGLGRNPGVWDDPLCFNPDRHLAAADPMAEVTLAEYDLRFISFSTGRRGCIAASLGTAMSIMLFGRLLQGFSWSKLAGISAVDLSESRHDIFMANPLVLHAEPRLTVHLYTVLSAEFM >Dexi3B01G0033900.1:cds pep primary_assembly:Fonio_CM05836:3B:36675369:36679197:1 gene:Dexi3B01G0033900 transcript:Dexi3B01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTPSKAHAASHHHSAAAAAAVPATATARLHPSAPPGSASAAAAALSTPHVLAASSPASSSAACPPAHNPIFVSPGAPWVQQPQRAAGAAAALGPEFRRARTTRTISKRTRGGWGGAGAQDRGRVSSAAAGRCVEKLLRVAPEDRRALGAALSSFRGELVAPEDYCQVLRDLGDRDKSAYRAFEVFYAALPLVGGGAVDKGKLLTAAIGALGKIGRPDLARRAFDSGIAGGYGNTVFAHSALISAYARSGLATEAMGVLESMKAAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLQDGLCPDRKTFNSLLAACSRAGHLEDARTVFDEMIHLGSGRDIYTYNTFVDAICKCGNMELAMQVVLDMEANNVKPNVVTYSTLMDGFSKLEKYDDALKLRGKMKSLGIQLDRVCYNTLLAIYVKTGKYDEIATVCEEMESLGIDKDTVTYNSLINGYGKQGRLDMVAFLVQDMRAQGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVECALSLLDEMMKMGIKPNVVTYNTIIDAFGKSKILTEEDPEIGHMEIVGVYGGQIVRAANPVTRGGRSPIDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACRYAFIVSLDLSNANRQGAQQVVLEGVNRHVWENTWNEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGSSTLRRVIEALLLSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTINILLLRNERVQHANPSNLVPRLQALQL >Dexi5B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:5B:22571545:22573967:1 gene:Dexi5B01G0020350 transcript:Dexi5B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEQAPAPAEAEPLELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGALKVVSKGEECIIKLEDKNTGELYARAFLREGEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPEAYDFQAALHDHMKYLNKKKAAEEMVQHYEKQSSVDYSLKEGETLVLQLKNKETGTKTKSAFFEQGLNKLSVSEKTNSKEVPVSLKLPPPPPSPVSPTDSGVAASPFKAEFPPQEPAAEPASATSTLPSKAELSPGQPVAAEKAEQETVDDDFGDFQAAG >Dexi3A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:3A:9727565:9728131:1 gene:Dexi3A01G0013360 transcript:Dexi3A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSSATAPLAAACVSDDAIAHAKSPEQEAAEQAVSSLQSACAACLSVSAAVLVAYSFVATAWRARNDPGDLAFVAGAAALLAALLACLRRAERLTPDSPAEERRRVQAAVWLLSTVLSCAFAYRVAAIMPMAVAVLVWCMTALVVLVGFCLLVLCKDEQYQCLEEVEDAGDAKLLKNKIKPNDELV >Dexi1A01G0026550.1:cds pep primary_assembly:Fonio_CM05836:1A:32422336:32423242:-1 gene:Dexi1A01G0026550 transcript:Dexi1A01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRRTFDLERVRATHHHHLLGLCNPITGERLVLPPLECPDPGQCYDDIDSYAIITGADLNVEQKPTPSSAHFKFSQLIVTTTQINRNYVHLHSYPDATRSWSTPTVCLDGSRFSMIGARSAVVHQGAAHWLCIDHKPCPPRDDYLLYKLSAELGTATPRASLTNIPVRGGGSPYLSVTRDGELSVACVYRSHVTVWTQQGTLVTWLRTAMIRLPMAEPNLNFPPLCQSRGECTWLDFSRGSMLAFPRSGGVFVLDLEKKKMEKVMDSTLPLSSNERDSGARDGTSSCSN >Dexi8B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:8B:4542605:4544304:-1 gene:Dexi8B01G0004890 transcript:Dexi8B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGALQLPPGFRFHPTDDELVMYYLLRKCGGLPLAAPVIAEVDLYKFDPWSLPEMAVGGEKEWYFFSPRDRKYPNGSRPNRAAGAGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKTNNNALRLDDWVLCRIYNKKGVIERYDTVDDDDEVAVAPSDVKPAAKPSAAAKNARGAPASGRGGAAPMKVEMSDYGGYYDDYDLETPSAGMLCFDRPAGAAAPVVPAALAPAPAPAVSSPATEPDLDRDSSPMPWMHTTDNSSSGSEHVLSPSPELPDRDHAESQLLGGAGWWPAGGGDDWGGAVDDAFMVADDGVELFGPPSPGLFSRVDAAAAAAFGDMFAYLQKPF >Dexi6B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:6B:8096647:8097572:1 gene:Dexi6B01G0007000 transcript:Dexi6B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFRTVSAAFRAACSGTGAAAAAEPAIGSAVRGVHSTNRRLSRRVTDDSRFPIPVREPYVADEKDMESDEALWAFYERLCKHYNLERDHDEMAYRFDEFKRTVFIVHQVNNANLPYKL >Dexi9B01G0029420.1:cds pep primary_assembly:Fonio_CM05836:9B:31961679:31975582:1 gene:Dexi9B01G0029420 transcript:Dexi9B01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSSLISLSVRLASMWLSNALPTFLIATSSPVSELTAALQTQEGKSDAHKHNNSQNPGGRGAGGGDAPDNAVGAAADGADGRRVLGGDLEEHVPPAVRRHPLDLRATAAAAAPAAGGIHGFWLSFLAAREGEEEEEEGARVVALGLVLRDQRLVVDGLRDSGGRSFPLFDSGGDWDWGMGMDAERDEEGERRAARGAVTHAVEIGVPHARSLASQGRAEAGQGVAGLSFAGYGNEDAVHPLVSPRGLYKQDMRHYSSSFLCCNSASPSPALRAHHWREQVPPTPLPLRDPARDTGAIRFLGSVFTRLLAQNRCVFIDVRFTVLDTPPPSNNYTARVSFLYDSGARTALRQRISLHRICQSRNTMSSDGIPPAGNGATDASGKGLAPGYISIAFEAKDNLFRGCVLGVIAPHLINPFLKKKTGKEMWEALDAQYGVSDAGSELYLMEQFLDYRMVEDRPVVEQANELHVLAKDLGCCNKENPCVLPDKFVARGIISKLPPSWRDFATSLKHRRQKFTIDGLIGTLDVEEKARAKDTRNKGALVGGSANFVQKNINGRTNNKGKGKKPPQPQNPGKAKQTTGFKKKKGVCYVCGNLRHYSSSFLCCNSASPSPALRAHHQREQVPLTPLPLRDPARDTGAIRFLGSVFTRLLAQNRCVFTGVRFTVLDTPPPSNDYTAPRLLPVRLRRTDCTASTDLPASNLYDNFEQGQSRNTMSSNGIPPAGNGATDASGKAFPSEKGFVSSLGDGTAATTLGRLAGKLAHTFPPHRIFLCRIAASAHAATSISIPIPQTYTDRPISADRDALVLVSQAWPVETPPGGAKICNEDGGVGIHSDSKESICARECRKGRGRLRVNAEGILYVLDSEDANSDMEVDADGVRVATEDAATFNGVDLSMDLAPEVAARVKKVLANIDPFDHETDGLRAGGVLQETAAALAPVNTSLSSKGDGCHLASLPKLQPAWRIASRSDPRAAWLLEGRHRKVRGSGILECRGQIIETPGKDKGRRSRHDHISSRFATQNVNPLESWPKNTTEGGTAGRREFACAAVWLARLRGIRSYGHGLPPLSRHAGTDTLHLPVSFHDHGAARRHVLQRKGQK >Dexi9B01G0022900.1:cds pep primary_assembly:Fonio_CM05836:9B:17790031:17791999:1 gene:Dexi9B01G0022900 transcript:Dexi9B01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPGLGSQDFWRRFWPMLSYACGELCVIILLYVAALASYAATRVAHICGLKVPCILCTRLDHALHGKAWFSADLVCAAHRSEISSLAYCKSHDQLARSDGLCKTCLLACKVVGASEEVNSCSKSRSRRLCSCCSEIFKNTHNGRKHSETAYAVESWEATNPRSQVYASGNIVARAVPEQVPAKRQESHLERNYSVLEPSDGSLTNDVEGENSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEEQADHDHGAIQNLHDLLTEREKELLDMDSELANFRRLLESEPFDGTRFDATGRMNNTTDDRNLAFEFMNGPDFVRSTMSHFEDEKAYILDSLSRLEENLGISTNRLSENHTRADVQYKENFQLDGPDKSTSDKQHEGHEISLLNTRLRALEADQEFLKQW >Dexi9B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:9B:14531637:14533029:-1 gene:Dexi9B01G0019890 transcript:Dexi9B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPEIIRQEQQQREEHGGESSGKDYADPPPEPVLAASELRRWSLYRAAIAEFVATMLFLYVTVATVIGYKRQAESDPSGCSGVGALGIAWAFGGMIFLLVYCTAGISGGHINPAVTLALLLARKVSLPRAALYVAAQCLGAVCGAGVVRAIHSPGNSFARLGGGANVVGDGYGRGTGLAAEVVGTFVLVYTVFSATDAKRNARDSHIPVLAPLPIGFAVFVVPLATIPITGTGINPARSFGAAVVYNQARAWHDQWIFWVGPLVGAAMATLYHEHVLRASAIKAVGSFKAG >Dexi1A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:1A:27115887:27116756:1 gene:Dexi1A01G0020230 transcript:Dexi1A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMQHHVADGFSGLHFINSWADLCRGVPIAVAPYIDRSHLRARDPPSPTYPHVEYQPAPAMLSEPPPQAPLSAKPATPPTAVAIFKLTRGDLARLRSHLPAAAPRFSTYAVLAAHVWRCASLARGLPADQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPMADAGKVTSGVAEGAAVIQAALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKLIYDF >Dexi6A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:6A:5221247:5222299:1 gene:Dexi6A01G0005710 transcript:Dexi6A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNISDPSKEDASPEVSGNQKTGALNTVNTLLQQASVYGAAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLFFGQLKLIEHDDLNLRTIWKFLPAAVMFYISIFTNSELLLQANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSLIYVFTDNQFTMTAYIWAVAYLASISIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWVSFDVVLPVALSCLFGLSISFSGFSCRRTISATGFTVLGIVNKLLTVVINLLIWEKHASFVGTIGLLICMSGGVLYQQSTIKPKAPKVEPKQENDEEQQKLL >Dexi1B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:1B:5765001:5765290:-1 gene:Dexi1B01G0006960 transcript:Dexi1B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFLTFEVGWFDHDENSSGTLCSQIAKNANTVRSLVGDRISLIVQTISAVLIAYILSLPL >DexiUA01G0015230.1:cds pep primary_assembly:Fonio_CM05836:UA:31907365:31907674:1 gene:DexiUA01G0015230 transcript:DexiUA01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDLEEDHEQLLGSPLSIGPALLQLVVEVPLPCMTMVVAEVDDEGGEGTTDVVMSRWRLARPDEAKAT >Dexi4B01G0022950.1:cds pep primary_assembly:Fonio_CM05836:4B:24463890:24465860:1 gene:Dexi4B01G0022950 transcript:Dexi4B01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPPLLPLLCCLTFLLQGASAATFTITNSCDYTVWPGILSNAGAPPPSTTGFELPPGETLAVTVASAWSGRIWARTLCATDPTSGAFACATADCGSGSVECSGHGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMLVAPQSPAATSNGSCQATGCPADVNSVCPPELRVAAIAGGEGAVACRSACEAFAEAEYCCSGAYGSPATCAPTAYSRFFKGACPAAYSYAYDDATSTFTCAAAGGGYEVVFCPATNSLKSGGIPEAAGLPPSIPTMEFSGDADSLMTSRIRNVVAVLLQISVVFSAMSWQCWL >Dexi5B01G0023910.1:cds pep primary_assembly:Fonio_CM05836:5B:25996599:26006967:-1 gene:Dexi5B01G0023910 transcript:Dexi5B01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLSSSDASKSLSINSSELFLSSLASLPSPHAHPILTSASTPLPPVPASVPPTALIPGSRFLVDAFRHAGDFSVAYFLSHFHSDHYGGLGSSWRRGLIICSAPTARLVSSVLSVPPQLIVALDVGVRVTVDGWGVTAVDANHCPGAVQFLFSSPGPNIERYVHTGDFRYAESMTRDPNLLEFVSADAVFLDTTYCNPKFSFPPQEESVDYVVNAIKRVKEESSAAGERVLCLIAAYVVGKERILLEVARRCGCSIHVDSRKMEILTVLGFGGENGVFTEDAAVTDVHVIGWNVLGETWPYFRPNFVKMKEIMVERGYMKAVGFVPTGWMYETKKEGFAVRVKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGANSGKLDSKEAIAMQKHFSGLVDETANKQEFLMAFHRRSTDATHSCKDVAECSTQHDGEDAAFLPATTSASEQLDTLRENITEEMKKELSDFLPSWVSKEQIMDLLMNSGGDVVKAASDFFERERYFFEEANGSCSGTPKSEKNRTSDHGSSADVSSQHECPFFSQKPVEHSTKPVNLTPTRVKPNTPKKEKKRGSGASNKPKKKGRLTSSTESGGRKQSTITNYFIRASDTTSKSATGDKVIVEAHQNNLESDYQLTDIAKTQDQSVDQLLQIVDGGISREYAVSLLEKAKGDVTVAVDIFYSSSESDNVIVDKNIVLQNMQNETTDKCSNTDLACDSSQAIPKMPNLHAQTSLAQTDSANISLPIEKYLPIEHACWTEGQPAPYLHLAHTFDMVEKEKGKIKTTAIFCNMFRSLLALSPDDVLPAVYLCTNKISPEHENMVVLPKNAVRIKHYLLLLALSRFVIAISGSGSARRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVFDRRYAGDPVLSLEGVKSQLQGLSTKVSEAYNVIPNLDLLIPSLLREGAAFSASSLAMVSGTPIPPMLARITNGLNQSLKAFSGKSFTCEYKYDFLINITSRYDGQRAQIHRLLDGSVRIFSRQMKESTSRFPDLVNIIKDLCRPEMSSFILDAEVVGIDRKKGNKLMSFQELSSRERGNKHSSIAIENIKVDICVFVFDIMFCNGERLLDRPLRQRRKYIQDLFQEKPGYFELAQQLTVEASEASPDNSRTLDRMNTFFKKACEFSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDEFYKEMKEFYSGERILFKKPVYYKTDEQPEVWFSAEQVWEVRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSVPDRSPEDCSAAADIATMFKAQTRKMDVRSEDAS >Dexi9B01G0032530.1:cds pep primary_assembly:Fonio_CM05836:9B:34741957:34742594:1 gene:Dexi9B01G0032530 transcript:Dexi9B01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGDVPTTALLSSPPPPWSAIRRRLVSTPSGNDGAWRAHAGMAFVQLAYSGYHVLTKSVLNTGMNQIVFCVYRDLVALAVLAPVAFLHERGVRPPVTPQLLGSFALLGFTGLFVNPLLFLVGLRYTNASYAAAFEPSVPVFAFLLAVIAL >Dexi3B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:3B:14700895:14703093:1 gene:Dexi3B01G0019710 transcript:Dexi3B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQIMDFPVDPLMAYKYKAELLLKEYFLADSYVLYAAVLGGILMCKLSYDITHLISSIYFKGYTSLTKMRKIEWNNRGMSTVHAIFITIMSVYLVFLSGLFSDRLDGPVTFRSSHLSNFTLGVSVGYFIADLAMIFWFYPSLGGMEYVFHHILSLVCAVYAMLSGEGQLYTYMVLISETTTPGINLRWFLDVAGRKNSKAYLVNGVAMFATWLVARIILFIYLFYHILMNYEQVKQMDTFACLLISVAPTVLFIMNVMWFSKILRGLKKTLAKRHVE >Dexi7A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:7A:23120968:23125062:1 gene:Dexi7A01G0012930 transcript:Dexi7A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPDLPEPEEAPGGRESDRKTTVATPLLLLLFLIPSHAAAAEYEEELLRRAWAEREWMVGVRRAIHAHPELAFREHRTSALVREELERLGIGTRAVAGTGVVADVGSGAPPFVALRADMDALPLQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSQRKDQLKGTVRLLFQPAEEGGAGASYMIKEGALDGVEAIFAMHVDYRIPTGVIAAHPGPTQAAVCFFEAKIEGKTGMAETPHLNVDPIVAASFAIQSLQQLISREDDPLHSQVLSVTYIKAGKALDATPAIVEFGGTLRSLTTEGLYRLQKRVEEVVEGQAAVHRCKGAVDTKNDDYPMYPAVVNDLNLHRHVEDVGRRLLGPDKVRPGEKIMAGEDFAFYQQLVPGVMFGIGVRNEKAGSVHSVHNPHFFVDEDDIPIGAALHTVLAEQYLADRGLGSEQRRFA >Dexi8A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:8A:27770065:27772161:1 gene:Dexi8A01G0016370 transcript:Dexi8A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKHAWDVWGEWEIRVLVLTSLALQVFLLFAGGLRKRVAAWWLRMPLWLAYLLADYVAIYALGNLSQNQKLRDGLRDAEMRLLVFWAPFLILHLGGQDTITAFAVEDNELWLRHLLSLVSQVLLAGYVYWKSSSPAATNIYTTAPAIIMFVAGVTKYGERTLALRAASMGSLRSSMLTPPDPGPNYAKFVEECQSRTHAGLVAKIVIVPERPPDADARVEVRRVAYGDLVWSARRMFGTFQRLFVDLILSFQDRIDSLAFFRTLEMEQAFKVVEVELVLMYESLHSKAPVIHGWLGRGLRVFTLAAPVVSLVLFARAGDADLAAGDSDDDTRRVDVGISYVLLGGAVLLETYAIFLMVISPWTYADLRAGGERLRPAAEAVLWLIELFQPETRARWSDQMSQYNLISYCLHDEPRWYKGLMEWLEWRWNFRVKTMWDSWRYTNTIAVSTQLKQLVFEQLKGKAESTMDDPKSYRKLGEHRGQWALQRKGLYQQLGWSVDCEFDESILLWHIATDLCFYDANQQDGHGELPAISREVSSYMLFLLVRRPFMMTASIGQIRFGDTCAEAKGFFRRAGDDVVVAGDEAGCAERLRAVDTSIAEPRDVKGDRSKSVLFQACKLARQLLELPESGRWRLVAGVWVEMLCYAAGKCGGSAHARQLSQGGELLTVVWLLMAHFGVGDQYRVESGHARAKLVVDT >Dexi6A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:6A:28823788:28824420:-1 gene:Dexi6A01G0021590 transcript:Dexi6A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYDELVKLADQGKDAEAKDLPVDEDLPGMGQFYCLHCDRYFASESVKDEHYRSKRHKKR >Dexi1A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:1A:27842970:27845926:1 gene:Dexi1A01G0021010 transcript:Dexi1A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASGPEAGEFTAKDYTDPPPAPLIDAVELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDTAASGPDAACGGVGILGIAWAFGGHINPAVTFGLFLARKVSLVRAVLYIIAQCLGAICGVGLVKGFQSAYFVRYGGGANELSQGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDEAWDNHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSFRSNA >Dexi4B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:4B:5304835:5305185:1 gene:Dexi4B01G0007430 transcript:Dexi4B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPEAAMAAELPEMAMVALSIAVGCAEILKPLLGFLGEASARNPVLDAAAAVLVVTLPTAYLAGVVLLYLHVAPAGAAAPVPPAALGRFVILVSALLLFMAFIFFIAVGVREQ >DexiUA01G0013540.1:cds pep primary_assembly:Fonio_CM05836:UA:28458124:28464724:1 gene:DexiUA01G0013540 transcript:DexiUA01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVYAPAAFIPVRGEGSRLWDQQGKEYIDFAGGIAVNALGHAHPALRQALNDQAAKFWHTGNGFTNEPALRLAKKLIDATFAEKVFFCNSGAEANEAALKLARKYAHDKFGVHKSGIVAFKNAFHGRTLFTVSAGGQPSYSQDFAPLPPDIRHGVYNDLQSASELINDTTCAVIVEPMQGEGGVLPAQKAFLQGLRELCDRHHAVLIFDEVQTGVGRTGELYAYMHYGVTPDVLSTAKALGGGFPIGAMLTTDKFASVMTVGTHGTTYGGNPLATAVAGQVLDIINTPEVLKGVKQRHDWFVERLNAINSKTGLFKEIRGLGLLIGCELTPEFAGKAKLISQEAAKMGVMVLIAGANVVRFAPALIVSEEEPGDIAGLMQLAGKTGGGLTSLPADEKTLSARIERALQTWQGTLPKSEQGYVFVLEDTDTGTVAGICAIEVAVGLNDPWYNYRVGTMVHASKELNVYNALPTLFLCNDHTGASELCTLFLDPAWRKEGNGYLLSKSRFMFMAAFRDRFNEKVVAEMRGVIDDTGYSPFWESLGERFFSMEFSRADYLCGTGQKAFIAELMPKHPIYTHFLSPQAQAVIGEVHPQTAPARAVLEKEGFRYRNYVDIFDGGPTLECDIDRVRAIRKSRLVEVSEGQPAPGEWPACLVANEQYNNFRAMLVRTNPKSERLVLTAAQLDALKCNAGDTVRLPRIKTNPVGQEVLWKGNDASAGQVEQACHAARRAFPGWAKQSFSVRQGIVEKFASLLEANKAELTRVIAQETSKPRWEAATEVTAMINKIAISVKAYHTRTGEQHTDMPDGAATLRHRPHGVLAVFGPYNFPGHLPNGHIVPALLAGNSVIFKPSELTPLTGEVVVKLWEQAGLPHGVLNLVQGGRETGQALSALSDIDGLLFTGSAGTGYQLHRQLAGQPEKILALEMGGNNPLIVEDPDDIDAAVHLTLQSAFITAGQRCTCARRLLVKRGAQGDAFLARLVEISARLVPAQWDADPQPFIGGLISEQAALNVLKAWQDHVARGAKTLLEPKQIKPGTSLLTPGIVEMSGARNVPDEEVFGPLLCVWRYDDFDSAIEMANHTRYGLSSGLISPHREKFDQLLLEARAGIVNWNKPLTGAASASGNHRASAWYAADYCAWPMASLETPALTLPETLTREVNFDGLVGLTHHYAGLSFGNEASTKHRFQVSNPKLAAKQGLLKMKALSDAGFPQAVIPPQERPNVAVLRQLGFSGSDEQVIEKAGTQTPHLLSAASSASSMWVANAATVAPSADTLDGKVHLTVANLNNKFHRATEAETTERVLRAIFRDEAHFEVHQALPQVAMFGDEGAANHNRLGGDYGDPGVQLFIYGREEGGHSAPTLAASQAVARLNQVNPSQVIFAQQNPQVIDQGVFHNDVIAVSNRQVLFCHEQAFAHQEKLLATLHERVPGFMPIQVPTQAVSVQDADGSMMLVLPQESQDHKGVWRYLSELVKADNPIDELRVFDLRESMANGGVLTQNEMQAVNPAVMMNETLFNSLNDWADLVDPQLLREGREALDALTTILQLGTREGEGPSFHWRWLGRGVLELTPAAKSELSLLLSTGIHGNETAPVEIVDLLLHALYRGDITLQCRLLVVLGNPPALAQNKRYLESDLNRMFGGRWSQFVPGDETSRAQWLEYVVATFFAAAGPVRWHLDLHTAIRASYHVRFGVLPQRNQPWDEAFLSWLGDAGLEALVFHQSPGGTFTHFTCEHFGALACTLELGKALPFGQNDLARFAPTHLALRALLGGLTPEPTQQTVQITRRSEAFRLHMAAHTLNFTPFRKGVLLAEDGDERYEVQKTTEYVLFPNPSVAFGLRAGLMLEKVMAFAADTATTTTAAPVEGKMMMHHKGKPGMHHEMMMFKDLNLTDAQKQQPARPDETSVTGRTPRDA >Dexi7A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:7A:17964773:17966580:1 gene:Dexi7A01G0006600 transcript:Dexi7A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASTRRRHAAGVGEWAAVSGAGAWRVEAAGKHQLMRRTGLPARDIRALDPALSYPSSIMGRDRAVVVNLERVRAVITATEVLVPGPRDPAVEPLVLELHARLSTAASPSPPPQLMKEEGAALSPGVGRGGKDGQGLGRDKVLPFEFRALEGCLEFACKSLEQETCTLEEEAYPALDELSSKVSTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEVDMAAMHLSEKLAYQSADGYSSRFGVDNEPSELDDASDGQAKGEGGSSEVGYGNGTSAATGFTPKIDELEILLESYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGIMLSTGTLVVSCGIAATGVFGMNITIPLYTSATDGVFWQVTGGIAGATAAVYLVALLCYRRSGILQ >Dexi7A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:7A:16844814:16849802:1 gene:Dexi7A01G0005580 transcript:Dexi7A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEACCCSSSSAPSASILAAAPDASLRRRFSAPAGGRAAARPLRASAVTLATGAVPRRGQQEQRRRRRGAGVVRAVFERFTERAVKAVVFSQREARGMGDEAVAPHHLLLGLVAEDRSPTGFLGSGLRVDRAREACRDALGKLGPAQAATGLATDVPFSAASKRVFEAAVDFSRNMGCNFISPEHIALGLFNLEDPTTKSVLKSLGADPSHLTKQALARVQGELVKDGREPVALSSFKLREKSAAGAGKSAIVKYSNKRKGQRLSHFIGGKRILSLDVALLMAGAKERGELEARITSLLREVRKAGDVMLFIDEVHTLIGSGIAGRGSKGTGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVIVNEPSQEDAVKILLGLREKYETFHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKKKKEEQCSILSKSPDEYWQEIRAVQSMHEVGRRVSFKNTLIVMTSNVGSASISSGRRSIGFSTQKDTEDTTYAAIKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMMTILNIILQDVKSRLLGLGIGLEITDSMKNLISQQGYDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSGLNDQTVQFSDPAPTL >Dexi7B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:7B:24607079:24607639:-1 gene:Dexi7B01G0018970 transcript:Dexi7B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTGTSQGIRSTPSWWNPNSSSASRSSAAKARCLRWPLGGGGGEPDGVPSVKDSVLFRNFLALLSPALPPPPLPSPPAPAVGEPGCLGATESLRHFLSICCMRTISVILFDFLAPDCSISSAPSLGRRYETRSLGDGNYGGLWDVFNGFRCDAVVVELCGADGGGI >Dexi2B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:2B:14098091:14104032:1 gene:Dexi2B01G0012090 transcript:Dexi2B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCCLLAGARAAASPSLPSSSALLRRRHCPLAVAVGPLPHAQRLRRGLRLCCTATSSSSSPVPPEEPDDFELLEPTGTCDPLCSVDEVSSLDFEANSKNDLLKAVTIFGTALAGAAAINHSWVAANQDIAMVLVFALGYVGIVFEESLAFNKSGVGLLMAVCLWVVRSIGAPSPDVAVQELTQTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNSKTLLWVIGIVTFFLSAILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLKIMQGLFIPSAISLAVPLALMSLTSEANGSSPKPSSLLSSEQMAPRGQLVLAVGVGALVFVPVFKSLTGLPPFMGMLLGLGILWILTDAIHYGDSERQRLKVPQALSRIDTQGALFFLGILLSVGSLESAGILRQLANYLDANIPNGDLIASAIGVASAIIDNVPLVAATMGMYDLNSYPQDSEFWQLIAFCAGTGGSMLIIGSAAGVAFMGLEKVDFFWYLRKVIFCFFKYDVLSNRITKIPLYCQNLLFI >Dexi9A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:9A:3314669:3315806:-1 gene:Dexi9A01G0005890 transcript:Dexi9A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASTEAGRRCAACKNQRRRCSQDCVLAPYFPASDPQRYAAVQRVFGASNVARMLQSLPVHERGKAADTMAVEAQRRVQDPVYGCAGIVGRLQGEIRAVQCELARTQARIAVHAAAARAQPVEMGAARLMNAPAQAAPPPITCQ >Dexi1A01G0029990.1:cds pep primary_assembly:Fonio_CM05836:1A:35368986:35369434:1 gene:Dexi1A01G0029990 transcript:Dexi1A01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDHWAALQTEHSSSLSSATEALKASTLRLPVSGGAKADVLTVKNAVSSAVDIMQAMGSSICHLLSKVSSKSSFTSTAIVAFA >Dexi2B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:2B:12227026:12230802:1 gene:Dexi2B01G0010800 transcript:Dexi2B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPRAPRRFLFDLNVAQEEFEEEPEEAFQEVVPEEGVPVEQPEEAAAAVEDEAVEEVIEHEEEVVREEPADDVTMEEEEEAAAQRPVLDEEVMGEDEMGDGEPRGRKKRIEYEVVVGGLPQDAAEEDIAKALAEAGDVEEVRLMRDPADQRLNKGFALVRFAAAWQARWAANDLREAKLAENLKPFELENLDRINLIEHPERKGKNRGYAFLDFRTHVDAVAAFLKLQERDLYLGTDFRAHISFSNTLSQDDEIMEKVKSVFLDGLPPHWDEDKVREMFGKFGEIDNIQLARNMFTAKRKDFGFIGFMTRKSALDCIKMVNKEGVGEGSGKVLIKACLQRPRHTFKKHSWQGSSSTLGVRRGFIDKNSNGRGHHLDRYRHFERRVYSDNHDRRYRSMDIDERPVSPRGYREYYRRDSAAHECNLRGQNCDYPNGEEFSATSGCEQAYYKTDRDHTPSTSQVVSHCEDSCCKVDNKLISKGPCDCDDCYIEQESTPSPNDHTRTRSNLHRRSFKSSREHRRFVADEHSAFEVEYTARENRSRYSSSSRDAPSTHSKKHHRPAR >Dexi6A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:6A:19656788:19659879:-1 gene:Dexi6A01G0012870 transcript:Dexi6A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSNAAMHPRLLMAACIGDTQQLKDLLDEGSSVGTMHPGFVVRVDVPQPSTATTLLDVVTAVEGDSVLHVVAAGGDGDAFLESAEVIHDRARHLVAMPNRNGDTPLHRAARAGNARMVSHLIDLANKADEGLVVKELVRVENRLGETALHEAVRVGHRGMVIRLMEEDAELAGFPRDGASPLYLAILLDQAGIARSLHDMSDGNLSYGGPNGQNALHAAVLRSEGKSSYILVTVMLLRWNKTLTEQGDHDGCTPLHFAASQAEERNCRIASHSKFPWLRLRTSSTTNNIPLLLLQANPSSAYQPDDVGSFPIHVAAAVGASRTVSTLVEMFPGCAGLHDANGRTFLHVAVEKKRCNVVKQACGNPSLGWILNMQDKDGNTALHLGVKAAESDTFFHLFGNRQVRMDLTNNNGQTCRDLSLVDIPPGLSYKWNPKQMIHRALTRASASHGVRRWDQFEEEYILRPRREDEETESQKLNNSTQTLGISSVLIATVTFGAAFALPGGYVADDHTNGGAPTLAGRYTFDIFVVANALAFICSSLGTVGLMYSGITTVDLPIRQRHFLRSLFFVSSSLTSLVVAFAWGTYTVLAPVAHNTAVAICVISQVVVVYRSIGRFKRMIDLVRPLYVRAGIRPLLMLAKDVFTRMLRLYWPFVVIFSWAACATNHAKLA >Dexi3A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:3A:12830363:12832435:-1 gene:Dexi3A01G0016930 transcript:Dexi3A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSASGDSGQCSNGTGIQRTSSCGSVPSARPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQTLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESSSARFSEAAIAACAKMCAINLFRAFPPNYRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKIGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSVGLYLQQLTYCVTQFLEKDPKLASSVIIGLLRYWPIINCQKEVMFLSAIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVTCPDKSDLVAERALFVWNNDHIISLIAQNRQVIMPLVVPALEQNIQNHWNQAVLNLTMNVKKMFSEMDEDLFSSCLAKHKEDEEKRVSLEVKRKLTWEKLESAAAFQPVTGHTAVLVGHQPSANMIATLI >Dexi9A01G0046590.1:cds pep primary_assembly:Fonio_CM05836:9A:49953769:49959454:-1 gene:Dexi9A01G0046590 transcript:Dexi9A01G0046590.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLSIDSVSPCCLARFSALPHRLLPSTLAARLVVGGGQQHVLLADARGLLGDLDDIQLARRGGSSMDEPEGVKGREEVASGREAGEPEAEEAGDGFQLVTHGKKKKSASDQEGGGAVLGAGSVRALTKDKGAAPGTKPKVPFHDPSIPRPQDVYKIIVDNYKPFEHVWLERSEDGTRRVHPLEKLPVEQFFDRNVPESEPVKPSDLEDTPFTLVEDHKGLVELAKKLKSVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYICNLFDTGQGLTDGEKQPRAPIASLLYQNADWRSRPLPDEMIKYLSWVSFLLCLVLQEHELNAKQLAVVAALHEWRDRIARQEDESTGYVLPNKALIEIAKQMPTDTGHLKRIVKSKYPFVERSLDDIVYTIWNALEYSYAFEGIAEQLKKERLEQLALKSVQASDETALLDAVSDRSNTDPADPSSVAPSSVSVSNVNVASGSGAGLMNETALIDRIHLEDNIQTISSTKTFKTVSGLTRQVDKDVLSNNRYQQATQELKRPTLGALGNSAPGRETENFGGFSKEQFQGGNDLENFGSSVLPFQQQFSGGAKYSTAIGSIESFYPNTGMHNDNIWIQSTQMNEGMQLGNMTYYPHLPGYNTEVVGSHYEPESMQMSSYLSGFEPAFQSMSQSTGTGLPHKEGNFQNSMRRQSYPPSGNRYDRSYQ >Dexi4A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:4A:24244756:24245073:-1 gene:Dexi4A01G0020580 transcript:Dexi4A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGTTAGSSQLTARGKAPGQPPPPPPSGDEANGGHRRGRQRQRRILPRGRAVVVALVAMIPAAMFLLLDGTPAMPLPSAEWLLLAYFLWIIGLNLLVWLWLLN >Dexi4B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:4B:18490993:18491407:-1 gene:Dexi4B01G0016400 transcript:Dexi4B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLPLQLFADVVPKTAENFRALCTGEKGVGETTVSPLYYRGTRFHSIKKGLMAQ >Dexi7B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:7B:13242918:13248571:-1 gene:Dexi7B01G0005740 transcript:Dexi7B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDKYHDQLLKEVMENDLALRSIVDGVEMLMFPSTLLPKQFQTFQMKHYLWGVFKAREVEGKDDEPDHTTEATTFAANATTTAISSDAAHVACGSTRAVTDAPSIPNDDATNAPTEPNSSSIGATPSRMLAFVVKQTPRLEQLIREMQREGALVMQGEMINTIGSWPGLATMTQCGSDMSGSSSVHPRDQVSPQLDKRAPSQHGGGCYKQQAF >Dexi2A01G0025620.1:cds pep primary_assembly:Fonio_CM05836:2A:37204111:37204642:1 gene:Dexi2A01G0025620 transcript:Dexi2A01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLDCWAGRVAACKRAMPSREQVGMLDAGQPEREPAGVDSEVKKAKLPALKMTMPGIPSDKSNSRGSRFSQTSLPSTFSLSPAAPPRPREGTLYQQEATHNISTGRQP >DexiUA01G0004030.1:cds pep primary_assembly:Fonio_CM05836:UA:8039113:8039556:-1 gene:DexiUA01G0004030 transcript:DexiUA01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRRRTSGCSGTHPGEDNPARRGEDGRTRRRTGTRGHAAARGSPRRVSAPRLGVVARLGTAGLGWGLPPVLTSLAPARVIAGREVSGGGGAPLPATRCGRQRLKRGDRGKRGVDRRHRRTRCCIVPPHLAASFDSARRHGAARPL >Dexi6B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:6B:4394738:4395238:1 gene:Dexi6B01G0005090 transcript:Dexi6B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSPLPRQLLQSLPSSHAIGFYRPAPPTISLASVPRRGVHAATRRRGGPSPTGRPGSPAQGGGGKVHAAPPLAAATARVVTMRRPTPPSSPAQGSGGKVHAAPARVVTRGPTPPSSPVEGSGGKVHAAAAARVVMMRGPAPPGGGPAEGGGGRGGIVHAASS >DexiUA01G0004780.1:cds pep primary_assembly:Fonio_CM05836:UA:8856949:8858826:-1 gene:DexiUA01G0004780 transcript:DexiUA01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVELEEEQQQLGVMEATPPSPSVFLDLPPAPCIDGGRHSSPEDDDLVLPYISRMLMEEDIIDKFSDRYDDPDHTAILNAQQPFAEILSNAIQTCPCNLSQPLHTSAVGPYFDTQVPGIVFLNGTATGKVETNSLLTDENSVNEVSMAFFKGMEEANKFLPIRADSETIDSCSWKKRFGRVDEVGRSTKRMAAPLQTESEEEVDAREMLDRLMLNGYNPSLATIQEPPHVVKGTGKTPQPGRHTVDLHTMLIRCAEAVATDDRRGAADLLERIKCHSSPTGDSMQRLAYCFAKGLEARLAGTGSQIYRSLMAKRASMVCILKAYQFYMDSCCFLPVKHLFSNKTIYNAVAGRKKLHIVHYGIGHGLQWPDLLRWLAHREGGPPEVRLTGIDNPLPGFRPAQRIEETGQRLSECARQIGVPFNFHGIAKKLEAVHVDDLGIDPDEVLVINSMLHLQTLMDESVVVERPNPRDMVLSTIRKMRPSVFIHTVNNGSHSNAFFMPRFREALQRYAALFDMMDTIAPREDDKRLLVERDIFARCVTNIIACEGMDRVQRPQSYKKWQARSQRAGLKQLQLDPEILQMIKDKVKEYHMSFMINEDQRWLLLGWKGRVLYALSTWTANDNI >Dexi3B01G0023520.1:cds pep primary_assembly:Fonio_CM05836:3B:18325934:18327297:1 gene:Dexi3B01G0023520 transcript:Dexi3B01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMSTNQALLDAQLELWHTTFGYMKSMALKSALDLRIADAIHSNGGSATLPQIVSRVRLHPSKIPCLRRLLRVLTATGILSVQPLDVGSNELIYGLTPASQLLVGSSSQTPFISLMLHGIFVSPFFGLGTWLQDEHSDQSLFEMTHGKPVWDLTDHNPTFGALCDEGMISDNSFIMDIVIKECGNVFQGLSSLIDVAGGLGGAAQSISEAFPHVVCSVLDLPRVVAKAPTSSGVQYIAGDMFESIPPANAIFLKLYCIPI >Dexi1B01G0026510.1:cds pep primary_assembly:Fonio_CM05836:1B:31362654:31363880:1 gene:Dexi1B01G0026510 transcript:Dexi1B01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERKALGKRGRSRVLPRTPSMVTVASAAKQVRQERGAGVPSSSSLPAGGAGMGARGGRAAPRGYYSGGFLAGAETTAAFLKACGLCNRRLGPGHDTFIYRGEAAFCSHECREKQIEYDERMEQSCSSVSGASGSDQSGSGGDQTVAAA >Dexi1A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:1A:10386039:10390435:1 gene:Dexi1A01G0011390 transcript:Dexi1A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDDAPGSSGGAGGGGGLADWARHRKRRREELLKEKESTTPMSDQTNCNEVEAEECDAYEENQEEPVAMIEESPPDVEQDGDDGPGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPNLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADTTTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKRDECSRLFPSGRIYSQAFHLAGQGFFLSAHCNVEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >Dexi1A01G0029380.1:cds pep primary_assembly:Fonio_CM05836:1A:34884033:34884436:-1 gene:Dexi1A01G0029380 transcript:Dexi1A01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPRIPPRLTRAQALKAATKIPHLRSSAKPEAQLGIPVADLGRAVLVDPRFLCASVEKTLAPRIADLHGLGLSRDDLARLVSVAPYSFHTRFLAAVRSVDGDGRDLARSPVLPRLMSSTSLAQADAPS >Dexi4B01G0023740.1:cds pep primary_assembly:Fonio_CM05836:4B:25051671:25054053:-1 gene:Dexi4B01G0023740 transcript:Dexi4B01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVWVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLETQPAVEGLVVATSLIGATIITTFSGPVSDQLGRRPMLIASSLLYFAGGLIMLWSPNVLVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMFFSYCMIFYMTLAPAPSWRFMLGVLSLPSLAYLALTVLYLPESPRWLVSKGRMKEAREILRMLRSRDDVTGEMALLVEGLGSGGDTVIEEYVLGPAAGDREDEEHDTRDQVTLYGPEQGLSWVAQQVQGARSSVLGSAVELASRQGSMYEQMKDPVVTLLESVHEIKMPDAAAGGSARGSTLFPNLGSMLSVAERPGDWDEENVMMMPPNVDLDEDDDEEEYLSDDEDAAAAAGGGALKAPLLSRQSTDVEAGSKQEGGSSSMQRYSSMTAGETASTMGIGGGWQLAWKWTEKAGPDGVRRGAVKRMYLHEEGGGEGGGPASGGGGEYVHASALVSRSMLYTKGVMIGQSPTPAFENPPETVANKAAAASGPRWRELLEPGVRRALLCGVLIQLLQQFSGINGVLYYTPQILDQAGVSVLLASLGLSADSTSILISGLTTLLMLPSIGVAMRLMDVSGRRSLLLWTIPVLIASLLVLIVANVVPMATTLHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFGFYAVVCCIALVFVYMKVPETKGFPLEVIIEFFNIGAKALPSSSPADAHHHQSPQAQA >Dexi5B01G0030270.1:cds pep primary_assembly:Fonio_CM05836:5B:31234650:31235198:-1 gene:Dexi5B01G0030270 transcript:Dexi5B01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRQDQRHPNGATIDHGPQWLATAACGQQRPRPRPRPLAASNGRPRPVAARLRRAQPPPPPWPAAARHHQPQPRSSLSSCARTHAGSRNQRRLPPPTPARALAAPPPDPSWATPDLVTSAAAAAADEGAPGGAEATAPAPSHRGEGKRPHRHLHRGSRELSAACLVGDTMEGRRSGRSGG >Dexi9A01G0030130.1:cds pep primary_assembly:Fonio_CM05836:9A:35057154:35059764:1 gene:Dexi9A01G0030130 transcript:Dexi9A01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYTLSCFLLGTLALALAGRPDAGSLDAATIAVQELDRVLSLPGQPSYSPAFKQYSGYVTTDEYLGKALFYWFFEATDKPDEKPLVLWLNGGPGCSSIGFGQSQELGPLLVKKDVPELEVNPWFQRFPQHKAKEFYIAGESYAGHYVPQLANVILEENKKASKENYINFKGILIGNAYMDGDTDLLGIVDSAWHHAIISDNLYSDFQKNCNFSLVDLSPECSADIAQYTALYNIIDIYSLYTDRCEHGGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQVGGWTVVYDGLTFVTVRGAGHMVPSTQPEQALELFKHFLANTNLPSKPF >Dexi6A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:6A:7939484:7943130:-1 gene:Dexi6A01G0007870 transcript:Dexi6A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQHAQASADSVVSEAGLENNPSKSASSKEQIISGTENTAASNARGASSLKSPRGAPEKASSVGKGGDQPFLYPQNVYAPQPQALYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDNQSVMLSPGYGNNPQMMYGAYSPVSTVGDGQQYLPVHYPFSSSYYQPPASPSMGYSNSVTGISQGDPMLQPEYFLPDGLLYSPTPGYHQPFSSFDRVPTQPNNTPGLFGQGNMPLASGMHHGSMYGPGSYKTRQQGSKFGGTPSWSSGRRFGAFDLNGNQQRGGMPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSADEKSEKTVPIDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNKKLDSSYHAAKEKEEHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEQGLQMLAIFKNHEAETTILEDFDFYEQREKALQENRRQQQPGNTNPQKPADNKALVADMSDAFAKAVQLEAENSGKPLKAESASAENGSTTTANVEERDADVKAGPVEESG >Dexi3A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:3A:3591776:3593520:1 gene:Dexi3A01G0005560 transcript:Dexi3A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKATIERYKKAHAVGSSSGPPLLELNAQQYYQQESAKLRNQIQMLQNTNRHLVGDSVENLSLKELKQLESRLEKGISKIRARKSELLSAEINYMVKRETELQNDHMNLRTKIEEGEQQLQQVSVARSAAAAAASVELNPFLQMDTKCFFPAAGPFAALDMKCFFPGGLQMLEAHRQMLTTELNLGYQLAPQGPDTVVNNPHQF >Dexi7B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:7B:24755420:24756280:-1 gene:Dexi7B01G0019120 transcript:Dexi7B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKGDFLKPDRPLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIK >Dexi2A01G0029210.1:cds pep primary_assembly:Fonio_CM05836:2A:40260846:40263538:-1 gene:Dexi2A01G0029210 transcript:Dexi2A01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPEGTTWVFDYPLMDDLTTAAADDFAAPPAGGFFWSAAPPMQPLAVQAPMQAVAAASAPNPCPRSESTAQPSTKACREKIRRDKLNERFVELGAILEPGKTPKMDKSAILNDAIRVVGELRSEAQKLKDSNESLQEKIKELKAEKNELRDEKQRLKAEKESLEQQIKFLNSRPSLVPHPSMFPASAFVAPQGPAAAGHKLMMPMIGYPGFPMWQFMPPSDVDTSNDPKSCPPVA >Dexi9B01G0029180.1:cds pep primary_assembly:Fonio_CM05836:9B:31750843:31751631:-1 gene:Dexi9B01G0029180 transcript:Dexi9B01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVSDLVLSFFCCCFYAPGDNGVGAHHHHHHYGGGHYAHPTGRNAVYHHGGRSRHVPLQTVELRVGMCCQGCERVVRQAIQNLRGVESVEVNLRTEKVTVRGYVDRMKVLQEVRRSGKKAEFWPSGGGGGAARWFASSSSPRRGYFRDDGGGSYRRDSYNYRRHGYSDGNRRGRMREPARGGNMFNDDDDDAGCRIM >Dexi9B01G0022610.1:cds pep primary_assembly:Fonio_CM05836:9B:17317945:17327491:1 gene:Dexi9B01G0022610 transcript:Dexi9B01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIAKFLPTDFILSDLSSSSFEPVVEHKLAARFSALRRGGEGIAAPVVGGLVPPVAGWRAGLAAGEPFSQSAGCRGWGEEEGVWGGVATTTITDSGYHLLVINGYSRTKDDTPTSEYYPNGDISDYADYVSLFLFMDDDSVGGEIFAAHRCILASRSTVFKAELLGPMKEEGSAARVIRVDDMEARVFKILLGFIYSDSVPDMEEPEDDVTWQNLLVQFVVVPESDMYRHFTGLLKSGEGTDVTFEVSGETINAHRCVLAARSAVFRAELFGHMKEGTTTSAIHIDDMEAKDEDEEDNADDAEVMWQHLLVAADRYDIQRLRLMCEEKLCRYINVTTVAALLALAEQHHCKGLKEACLDFLDSPANLQEVFAAGGLNYLGSSCPSSSICCVALVCIIMEIESAKCECCGLREDCTREYIAGVKAGFGGRWLCGLCSEAVRDEVAAKKRGDLEGAVRDHMSFCAKFGKKGPAFRRHLRRRLLRGLMIAYRSATKKKRGCSGCDEEDEEGSGDYIDRSTLRRWRGETSFCGAGDP >Dexi9B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:9B:9390299:9393283:1 gene:Dexi9B01G0014040 transcript:Dexi9B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGLEGSQPVDLTKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQWYAVLPFYHVQISCA >Dexi8A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:8A:2402131:2403150:-1 gene:Dexi8A01G0003370 transcript:Dexi8A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVGAGLGATDAFAGATAARTTAIDDLPADVLSLVLRRLDGASLAAVGCVCSNLRHLAADPATWRDLCLAMWPSLNDIPYSSVAGGGVRYRSLFADAFPFPATSSSPPPPPPSPSASSPAGCNHPLLLERLVSAVDLHHGGALIMSRIVETDTASDWFLGSPFRVDALVQEGFSSPTPAIAAADLSLSWILIDPSTGRAINASSRRPVSVDRKWLTGDTVARFGVVLAGVALEAAVTCDERRGHVREVSLCVENGDGGGVNGRDGLVAVAAAMAGGRCGGGRGTEAAARVRYQEFVAGKKARKEWKARREGMVDLCCSGVGAAAFVGFLVMLTLR >Dexi3B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:3B:2822177:2825411:-1 gene:Dexi3B01G0004080 transcript:Dexi3B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTLESVRTMQVRNVLSQVISLGRHDCYLSVDHMEGIDSCDGERGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWITIVMTEKPIIKYLLMGALGLLVITSKD >Dexi8A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:8A:24986566:24987052:-1 gene:Dexi8A01G0014540 transcript:Dexi8A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPLSRLPLSWLDIPVELAGMVLGRLPAHVDRVRFAAVCPQWRLAAQHGGLPPPMPLLLLPDSTVYSLPGSGPFHFPSCAGYTDACGEDGCFLRDPFSNATVTLPPLSRFRVQYVGHESVLSADELHCASMEMGEELQ >Dexi2B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:2B:12440897:12445995:1 gene:Dexi2B01G0010940 transcript:Dexi2B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPATPHETRCPSLAPSPQAARGSPAVFLPESRGRIDGGRPASHHRAPERPSVPVRPTCGQSRRPRHIRPPEAMVAATFHPSFPPRPSSSSAPPCPVHPARLHGDAADLTDTRGPPLPDPAVSVSAASPARPRRFPPFQDITLATLPPTGGAIAAGPARSELPLHAPGGWAEEALAAGGSTHSCGAAAHRNLISHHSPGGLLLPPGRSALLELGAGNSVWHPPLPDLIRSPAAAAPPPPPLPLLPADPGAISTRTAGSLDDSDRSGTKRTKASSPHLGSCGGGSMAGEKAPRAFSIEELPGHLVGEVLTSGRLAAADLARLEATCRALRPIAEYAASKLCAARAALSAMGPAARAELLERCGGSWKKVLRFLQSVEQSSGTVETSSGSMQVATGRYHTLLVHDSFVYSCGSSLCGVLGHGPDTTQCAAFSRVSFPSLSRVINISASHNHAAFVTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGVSCKQVATGLSFTVILTRNGQVYTCGSNTHGQLGHGDTIDRATPKIIELFEGPTQVVQIAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGHVYTWGRGYCGALGHGDENDKTSPELICSLKNQVAVQVCARKRKTFVLTAEGSVYAFGWMGFGSLGFPDRGSSDKVMKPRVLESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGHEYIRGCLKPTEIMFQKSMEDIAIAAPSG >Dexi1B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:1B:3014169:3016953:1 gene:Dexi1B01G0003810 transcript:Dexi1B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSSDLSGDIGAVGRIIEAIMNDFIQLEPKSNLFEAETMMEDEEGDKIPESQPYQNDQNNEDDGQPKAKTKRKAEKQVGKATKKAKVAAKAPKKGTRKTQPAKRTRKAKK >Dexi1A01G0024050.1:cds pep primary_assembly:Fonio_CM05836:1A:30692046:30693700:-1 gene:Dexi1A01G0024050 transcript:Dexi1A01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPLPISAEIKVERDLHMSRGDGENSYASNSRLQAKAILKTRPVLHDAVAAAVHASSLSAAAGAKVVADLGCSSGPNTLRVVSEVLGAVVDRRDEKEQPVQHVQFFLNDLPGNDFNLVFQSLEPFRKQLDARDKGDSLLPQHYVAGLPGSYYTRLFPDRCVHLFHSSYCLMWRSKAHTHRFNKSSDVHVPEELVRGAVVNEGNMYIWETTPPSVVELYQKQFQEDFSLFLQLRHKELVSNGQMVLIFLGRKNEDVLRGEASYYIWGLLARALQSLVKEGRVEKERLDSFSLPFYAPSIDEVKDAIIKQSAAFDINHIQLLESNFDPDDLEDSDLVLDSVQSGVNVARSVRAVMEPLIANHFGEHVLDELFEIYGRNVTAHLQKVKLKHHVFVLSLKAS >Dexi3A01G0029500.1:cds pep primary_assembly:Fonio_CM05836:3A:31373495:31373776:-1 gene:Dexi3A01G0029500 transcript:Dexi3A01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEGEKSVVDLLPNISDADDAGLDPKAELGRAVAATEVEPDKEPVAQGNIELGLGAEPIGAEPACESAKLCEIPLFDFSEDLKLKLFPDKAF >Dexi9B01G0036080.1:cds pep primary_assembly:Fonio_CM05836:9B:37660501:37664166:1 gene:Dexi9B01G0036080 transcript:Dexi9B01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGSSVTTVKEKLLEPVRATLQTSSPVRRQAIHDLDDSSTFEAPPGTPPWDYFGLFQPVENHISFHDEKELGHEFENADDIRLLREKEGIPELEEEVEKSPAHPDFRTRRRLGEEKAPELKDVEKSPMNGGDDDLALSEDDFDNPTSESLVRMFKNRNDTPVGYTATGQSPVQHPMDELTLETIDSQTARPKDVMKVDSQTESPKDGMMVDSQIEIPEDNMGVDSQTERLKDGTRVDSQAKRSKDDTGVDSHIVRPKDDTGVDSQIVRPKDDKKGLDISMYESDETPVASPVKEVSSSTAALPISRKSKEPFRDVRNVARDLSSYMKEIEILFIKASDSGKEVPRMLEADKVNFRPLLPEEKAPGSTASGFFAKLFACCREEVPVPQPPPQADVKYLTWHRSMSSLSSSSRIPLETTAKDDIDGLTGNIFSGVYMNAGSHASTLDRLYAWERKLYDEVKASGAICRQYDEKCRQLRHQESRGESQMSIDKTRAVVKDLHARILVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWTTMFECHRNQHEIIKLVCNNGSMRISVRSESQLQATLLLQVELSTLCSNFQKWISSHREYLNSLNSWLLKCVKSLQRRRKGSRKKKVEADPITKYAVAPIFKTCESWIKLLDNLPTKDLEDAIKGLATDINRSMPHQEKRRGSSKLAFSLSHSGRLNGEMGEIHRSDHPMDLQTSLEIFLGKLEIFSEVSLQKYMVLKEEINEAKMKYEEWK >Dexi2A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:2A:33237516:33239937:-1 gene:Dexi2A01G0021070 transcript:Dexi2A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSNHGSLMEEWLPPPTPSPRTLMSSFLNDEFSSGPFSLFSEHGTNKPHDQCEKSRELVNLREEVPSQGVKDGFQNNVSLETNLFSANQKSNSHGGLAERRAARAGFSVSKIDTSRVASSAVIRSPVSIPPGLSPATLLESPVFLYNKMGAQPSPTTGTLPFLIATNDKSTIPPDAKITEDSAFDNDVFSFQPHLGSKQPSFSTAEKESQDAVDVSSTLSNEDERATHGTVSIECEGDEDETDSKRRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGQAGSGSANAPPASQNGSSHRRQEPAQASFAHFGATAPFGSFVLPPSGQLGPAAGNFRFGMVPPGMTIPMPSLGSLAPTKMVGNSSAMQGYPGLMMPGEPKAEPVSQPGFPVASAAPSGYQMMSRPPFRHQM >DexiUA01G0013460.1:cds pep primary_assembly:Fonio_CM05836:UA:28123117:28123811:1 gene:DexiUA01G0013460 transcript:DexiUA01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVMCAMAKVAVDRYGGQLEKFVGKDFGTDEILKYIGDRSPGLKTLCLILCTSISNEGFSQLVAKCPLLEDLALFGSEARGIAAMHELRSLTLLSCGVTNDDLAFVLDGCPHLEILDLRDCYNIFVDEALRARCAGIKSLMLPR >Dexi5A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:5A:3983282:3983532:-1 gene:Dexi5A01G0005310 transcript:Dexi5A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSEELKLFQPTLRYLRERRVKTCAIQTPVPQVKGSHTAVGVTAVHARPATAVCADLPRPERHGGVSGGGERAFEP >Dexi8A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:8A:23209751:23214877:1 gene:Dexi8A01G0013200 transcript:Dexi8A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPPTHLCDLPDDLLQHILDFTPSREAASTSVLSRRWRGLWPTPSGAVILDTRSYGHVGGLGVYNKRRDAFFRGAGEAIAAAHHGSSVRTLAVHVDGGDDPDAVEERFFAYRSDSDWTSTGCRFHSVHDVLRHPSTRGVVELTVAASSSDDLPASAAGGGRIFCDWKKPFEGEEGMYKLRVDAVPSQALRVLHVTNCSGLDGTHHPATAAVLFPCLEVLRLCRCDVSLDSLQDMVVASPRLTTLHLERVFIRTKFHRLVSNRYFYQLNWDGDEDEDEDDDDQHEDYDDGRVGTLCCPGVTTVVVLNCSHRDSVTIELDVPMVQVFRYKGYIHRLVLTSPPRDVRRADLHFLDRNVYYTRDLPELFWLFVKNFSNAKVLKLKLDFPIGDVAVGDKERYNELMGETLFCNLDILEMGGQQHRRKRKGAGVAIGNLLQCCPAVRDLRLYLNTVKDPPNKRRYSSHRADSERSRMYLDREVRLDFHRSVDHFIRRRDPVVSANKVKVSDIPGLTDKCFLFNCLRFYLRRVTLQFDMDKANCFGVQLVKFFFEKGMVLEEMYIDDGNKKLWDHMHRKISGSTSSQPCSEWRRHHGWRGSNHSTQRDTAAARRDERYRWVAMETAKALKHELEAAVQVHGRTRCRRRGKRRGETAR >Dexi5B01G0023750.1:cds pep primary_assembly:Fonio_CM05836:5B:25852444:25852836:-1 gene:Dexi5B01G0023750 transcript:Dexi5B01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEGRRSNSKNDAEESSRRVVVVVTSSSRRVEQTARAGRRPPAGGVPRAGGGVAPPATGTAVTVKLVMTRKDAVALAARLDHAQRQSARARKARMDELKGELRAGGASPASCRVACWSPQLASIKESR >Dexi8B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:8B:19337892:19339542:-1 gene:Dexi8B01G0010760 transcript:Dexi8B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLLCISSLLLVFITTYIFQPLMDARRRLPPGPRRLPIIGNLHNIAGYPPHRAFAALADRYGPLVSIRLGGVRAVVVSSGDVAMEVLHARNADLAGRAAMDAWHASGHHAHSIIALPPRRKWRALRKLCAEHVLAPRRLADLRAAREDEARDLARAVSGEDGGSPAVAVARVVFPRVAGVLWRSMFSEAMDATTARELGDVVREAVVVAGAPNPSDYFPALAAADLLGVRWRMEKLVAWTYMVIDQQIELRRRRRTAGEPRKNDLLDAALDMEGEVDEGEGWAMNQDAIRGMLMDLLVAGSGSTTSTIEWAMAELLQNPRCMAKVQDEIKGVLGTRRHVEERDISKLPYLQAVVKETLRLHPTVPIGLNKAEATVEIHGYKVPKGTTVYVNLWDICRRAKAWDEPEEFMPERFDKDDVSFLGTNFELIPFGAGRRICLGMPLAERMLHLMLASLLHRFEWTMLPEDGQQGGLDMAEQFGLVLSLATPLRAVAREMS >Dexi7A01G0023500.1:cds pep primary_assembly:Fonio_CM05836:7A:31463098:31463800:-1 gene:Dexi7A01G0023500 transcript:Dexi7A01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFNAFSHVQSLSINFRVETEMQGFVKNPSGMIYLRQVVLKIDIIGWPETSAGILRLAYLLELAPVLEELVLHMCCFGSAFYFWELREDDFLPCPHRHLKTVRMTGFHGFHDQIELALYILRNAACLERMIIDPMVSNTSFVPSLE >Dexi2A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:2A:1331582:1332630:1 gene:Dexi2A01G0001810 transcript:Dexi2A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAPPELNRDAVAEILLRVPPDEPSDLFRVSLVCKPWLRIASDPTFLRRYRAFHQGAPLLGFFYNVACWNYSCPFVPTTAASPLPLPAYDDDHDWWVLDCRHGRVLLEENFVVWDPVTGHREELPALGFRYSSYSALVLCPVAGCQHHDCHGGPFSVVFLGNDNKYAAIRACVYSSETRAWGTPDSAHLGGGHMSSLKRVALVGDEIYCLVDLGSRILKYDLAKHYFSLISLPCKFENGPVLMQNEDGSLGLAGSDGSTLHIWSRMANADGITEWELQRAIKLKVLRKADVIDYAEGLGVFIMSTRFGAFTFELKSGRVWL >Dexi9B01G0037500.1:cds pep primary_assembly:Fonio_CM05836:9B:38895155:38897689:-1 gene:Dexi9B01G0037500 transcript:Dexi9B01G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSHPQSSTPCMFESPTSLPAHSSQPPIDPSASAPVMQPSSGRKPSPECASGNWSDGETSALIDAWGNARQRRQPRPLLLTDWRAAASAVNAHRAAAGRRFNRTRAQCQTRIRTLKKRYREELSRRPPSGWPHLPRLRAFLANPDEPPRASRRPSRRSSGLDWRWRVPIPRRPRNAGAGSVGFCPAATVMKLAEVYERVELARIGAEKDKMEMEVQQAVLGAVKVEEEDRVLWHHLQPGRVAPEGSTMMLDANGTRSSPTLSHRHAAPENRAGRNETVPCDGDCSPPTGQASSRARTQHGTKPTGSRPSPIRDRTQTRPLTVRRTVSVADARIIHAPTYIYTRSNVTTRVKWGRHATAPHRSTDRSNGQQHKHHTRPLTAAPTRPPGLASPPRASASLLRPARPSAAGMSSTRRRPPPPPPVWTPEPWSDGETSELLDAWGPRHLRARGGALRPGDWRACAAAVTFRRAAEGRAPRTVDQCKNRVDYLKKRLRAERARPKGAPPPPPPVSAWLDRLRALLRLAPSAPHHRPGAATTEFNEEDDEDDKESGGAPLPRDWPPVPKRPRTAVLLLSPLTAASGEHAEGGGKRCTEVAAALDRLAGTYELVEAAKQREATRLEERRLETMRDLQIERMRLLVDVAVTTSVGLDSAAAAATAGGDF >Dexi5B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:5B:3116375:3116733:-1 gene:Dexi5B01G0004630 transcript:Dexi5B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGGGIWIRAAVAVAAGGAIAARAVRRKSVDFSAVYVGVPAMVAHTITGYRFAGLLLVFFFTSSRVTRIGEARKRALDPEFKEGGQRNW >Dexi2B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:2B:28946821:28950423:1 gene:Dexi2B01G0018740 transcript:Dexi2B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTPDMSGRDRRPAAARGAKVTPKSDSRVMERDPRKGATPAKGSANAGNRGAMNRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSSAKNTNLVPGGEAPVPVQLVPSTPVPNSVVSPTAVGQGSDHPPTRPSPQHNGVANGHGKQTPRKPVPDSASQDDRPGAGKENQSCSSNTSSASRNCLQTPLQHKTPKSRSTSAVAPDRRRAPTPAAQTTTPDRKRPVGGSAGNCDKATSQDDSSVPNELSEELLQCLLTIFSQMGSATVGGHGGDEDQQAPSPSVSGSSESSGSSSEDAYPQDPYGILELGARDIGPYKRLHVVDAASFDRKAGDGDAFHARRLKALLRRLSSVDLAGLSHQQKLAFWINIYNSCMMNAFLEEGIPTTPQMLVAMMPKATINVGGRAHSAMSIEHFILRLPYSVKQVTPEGTKCGDDVAARGAFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEVAKREYLQAAVGVSSPGKLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPAELRREAMRAVEDGRRASGAETRRRIQVLPYEFRFRYLLAS >Dexi5A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:5A:9707838:9711513:-1 gene:Dexi5A01G0012990 transcript:Dexi5A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTRAHVPSAFAPSSRAVTFCRGTSRPNHSAAAASASAPGWRRRDPAVSVAAGSAQSAPGALAVDPKVDTLLDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALAKTISTRKATFFSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGLKHNEDRQVTSTLYSLEDTISRRHEETVTEGSGKPSWTKKLLLDNQLLCSKIREEAGELIQTLLENEDQSRSASEMADLLYHAMVLLRVKDVKMEEVLEVLRKRFSQSGIEEKASRNKS >Dexi1A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:1A:2913869:2916666:1 gene:Dexi1A01G0003970 transcript:Dexi1A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAAASPGGAHSSPLRPAHSSPSSTLSEASNSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATRPPPARQLSGGLAAAFDTSSDLLPPLPVLGDSAFLLRDMPSPSPRSPSGGAKNCSSPAPVSSVFREFRDPAPSPASPDTVDVDELGEIDFDDDDGFDAESILDVDEATAGAAEGLDGIMGSLTVESNTAATATTTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGGARPNLNRALKRRDDDGAWWMWPAVPVKDLTVAPPTPLAAAPAASSETAMAAPPAPEKKKSKKKKVVKVEKIMAKGKEEMPNSKCKEEPDGSVEAANGDGDGDGDGLPTKAPKTGLGLKLDADEVLKAWSDKGSMFTEGGGPESPTSAADVRAKLADIDLFPENGAGSGIREARVLRYKEKRRTRLFSKKIRYQVRKGRFVRSPSLLQQALEEES >Dexi8A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:8A:21086551:21092548:1 gene:Dexi8A01G0012100 transcript:Dexi8A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRAPLLLAAATAGVALVAASPNGDNGRSVASTLRHGVARSSRAVYTIGFVVADYKYTLRGLDSGSADYRVKLSEVHLRSAKKLLKLCKVNGGFYVKAGQYVSSLRQVPKEYSSTLSCLQDQATPSKFQDIKLVIEKNFGKELHDIFLEFDEHPIAAASIAQVHRGRLHNNQDVAVKIQYPGLEQRMKIDIMTMSLLSKSVSWIFPDYKFETILAEFEKSMSMELDFTLEANNSERTANCFRKNAVVKVPYVFWQLTTREVLTMEFCYGHKVNDLDFLRRENINPTKVAKALIELFGEMVFVHGFVHGDPHPGNILVSPQGHGNFSLVLLDHGIYRELDQKFRLDYCKLWKALILLDTEKILELGEQFGVGKYAKYFPVIFTGRTLER >Dexi7B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:7B:18271240:18272658:1 gene:Dexi7B01G0011180 transcript:Dexi7B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRCSKKLFRRSSSKGSTASSSSDGGDAGGDRGEIEWEVRPGGMLVQKRDGRGDVEVITIRVATGFSWRDISIGATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDSDHLHMIGVRDRDKVLLLEDPALKDMKLRGALVAQTVQNPYQPFIKV >Dexi9B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:9B:9028513:9033234:1 gene:Dexi9B01G0013430 transcript:Dexi9B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMVISDRHAASRDWMELWVVHAEVGTIVSSAGHVLGGRVTWDLGGTRAPGKDEMEGLGLVAATATEIGTPKMMLALPFGGKCGPGRTPAHHLEMRPMMSPPLLSPFPCPLLRFLRLYHAPRRRNPIAIAAPPPPYTFRRRPPLLIPAAMSSSTSTTAPDSVVADPSSLARKVAAIRAAGPAKLQAGTPFVIADFDGTLTRYWYDGARGQSSHGLLRQGNEEYDAKREALYQHYHPIEICPDVPLPEKAKLMEEWWEKTHGLLIEGGLTYEAIKKSVSDASIAFRDGVVDLFEYLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVAFRGKTIHVLNKNEHALDMAAPVHDNLEDPNGSIDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLLVNSSLPCFIQSRNERQH >Dexi3B01G0026800.1:cds pep primary_assembly:Fonio_CM05836:3B:22211263:22212134:-1 gene:Dexi3B01G0026800 transcript:Dexi3B01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSEGPHQMGEFRESSEDVLIDNQAAEEGYQNFEVISHPTKRLRVSVKREAGAHSEDESVAPVVGVKKEEAQEEATTSFSLHGAFLKPCFTGKRQERKPKKQMKRRRGCPAAAAAALISWRSKRIRKKKQF >Dexi7A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:7A:28988656:28988988:1 gene:Dexi7A01G0020060 transcript:Dexi7A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTSASTSLLFLQDHLLVQESRPASWRTFEAVVPEATFLASHLVIPISPSAMPKPWGGLGGAGAWALDAKRAEEEEPRRGRSAGGGKSKEKNKGPRDPLAFDGAGRRA >Dexi5A01G0022420.1:cds pep primary_assembly:Fonio_CM05836:5A:26530718:26533053:1 gene:Dexi5A01G0022420 transcript:Dexi5A01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHEALALLLLLCIHGGAEAGGDGFVRVQGTHFVLNGNPFFANGFNAYWLMTLGADPSQRSKVTSALSQAAGAGLSVARTWAFSDGGSNALQYSPGRYNENTFQGLDFVLSEARKHGIKMILSLVNSYDSFGGRKQYVQWAREQGQSIGSNDEFFTNPVVKGFYKNHIKTVLTRVNTITGVAYKDDPTIMAWELMNEPRCQSDLSGRTIQSWITEMAAHVKSIDARHLLEAGLEGFYGGGASSSKNPSGYQVGTDFIANNQAPGIDFATVHAYPDQWLAPGVDDAASQLRFLGGWLDAHVADARAKLGKPLVVAEFGASRRSGGGGEEQRDAVFGEVYAKVYQSARARGPAAGALFWQLLAEGMDSYGDGYEVVLGQAPATDGVIATQSRRLQGLARAFKQARRRNGKAGKGAKGGSN >Dexi9B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:9B:13015587:13019932:-1 gene:Dexi9B01G0018330 transcript:Dexi9B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHNDIGLALQAQQRPTVATNPRHPSRSRWTTTLERGFPPRSRLSGLEGDFHPRASLSVSFEAWRARACGPSSSWLCDPSIQIMMTLLCGQKAGLTAPRHHLLSGEDIGQIHSRQPNPASSTPPSKAASKETMERRTQDTTLDAVNCHEKEGRYQSWKARFPLTHARNDKHMYSHPAPLVYKRGREAQAKGKQQDTQRTQGPRSSSPSPTLLVNPYHEQHATRCIAPLLDVRPRGRNQDKTPTAITCSCRCDQIRHRHAALLSFPMANFGEGRPPLGESNLLPAGQEIRFGSLRFQTCGDDYHMRILQKDPSNQPEPHHQPPAAPRRRSPRAARRAADVGDPRPTKEGDVLQSGSQERAAPFLPGPMSAPRATTVHSYPYRLRNSADAYASTIRTIMSAYGDQPGCHSVSEQDFADPLLGDSRTESDDGHAFMRGFPGWDYSGLRDPEAFIAFQTAADYCLGYSDDEYDPTRECFVIRQASEGSMSDDDGGGDDQGNNDDIDPIGAQPSDPSDHSLSEDERDPRHLPRASGDVSPPARSDHEPAKQGDEHGTDARHAGRVAQARILAEGKDDELAPRTSQKLIAAAALLRAMPEAATPEGRKLHLEARKLVEHAARQQAESSASRLQRSSASKGERGGESSVRSPRPNGRARAQSWGDSHRDMARRHVGEPRAPEAGTLSARVPAISRLRDTRGAINSGDARNTLNQIRQREGARTHQSGRTDVGWNRDAVSEPAGTRVFSRNIRTAPIPPRFRQPTTITKYSGETDPRVWLNDYRLACQLGGATDDAMIIRNLPLHLVDSARTWLEHLPPNRIRDWDDLVETFVGNFQGTYVRPGNTWDLRGCRQKPGESLRDFIRRFSKRCTELPNITDTQIIHFFLESTTSYNLICKLGRDPPPDANRLFEVASKYASGEEATNAIFNGKKGKRPEETPAEGSKPRKPSRKKATTANEVPAVDPGHKGPRGPPCGGESLMPTTCSSTWDDPPPTEILANACDIQQLMLAYASRLRQHLTPLRLMATSFRGTCIRHDVHRRDAFRVRFNHQGHPSRRHLRPGKDALPPSGQSCGHFAHATPEKARALPQEVAVTALTVIMTPHKEVEGTFNTPTLGRAAFVLLIRGSNLGPSKGFDKGPRSTESGIIDGTLEARALEETNRLCSRPGKVPRSRDPSRGSIEPSTSIE >Dexi1B01G0023700.1:cds pep primary_assembly:Fonio_CM05836:1B:29219102:29219732:-1 gene:Dexi1B01G0023700 transcript:Dexi1B01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPLLLKIAAAAAAGALALIVAARLHREDADGDGDGGESAKDDASPAPAPSVLITGFRAHGKSSLVNTACRALAAEDGPLLLRAEASPPGGGTDGPRRRRRVKAVVAGADGDGAGDGDVVELLDAPPLPEAARLSREDIDDAISGGDPECVVLVLRCDAPAKERNAAIKSLPAISAAVRNRGQSASRRF >Dexi2A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:2A:11853848:11854312:1 gene:Dexi2A01G0010670 transcript:Dexi2A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVCGRLHYLPPSRAALIFVNKARFPSPFRTPLVCGVSFPLFIPIPTHEPPSPPLASPHRRQLANHRLRQLASPHRRQLASTMPSIGCRIRAPRLHAAYAADSVSPTPWHRPVDLSGIPPWYPAVDPSEPDLAKAQEVVVDLALHRSIRCQH >Dexi5A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:5A:8869723:8871034:-1 gene:Dexi5A01G0011820 transcript:Dexi5A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNGYQDSHVRVTSRRLVRASDSTIKPHVVAVANLDLLPQAMPVSMISIYPNNKPPATDFDAVVAAFESGLPCFLNHFFLFAGRITTNSLSGLPEVSCNNQGAELVVGESRGVALASLDYGTTSQCLHSMGLPFAANVALSVQVVSFACGGFTVAWRTNHLLVDGSALRLLVTSWSELARTGTLSVSAMPNHDRSSVLLRPRSPPSYGASLDDMFTPLDDTERQVNVLTTQQSFVKRLYHIEASDVARLREAACRDEGGRRATRVEAALAGVVVGTADTRCRKTWNVDGRQRLTASSPELRAAATLRNYVGNVTTSVIREADVEEVLRMPLPDVAAMVRETIAAPDYDEHFQELVDWVEMHKTRRYVHTSSLGLGSPTLCVAALASFPLDTDFGFGHAALAGAWGSWQNPVVTGR >Dexi5B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:5B:9365906:9370851:-1 gene:Dexi5B01G0013160 transcript:Dexi5B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASHAGAPPPPPPSPPPSPAYGAQPPFAAADAAPPPKPHEEEAAEAEQKVDYLNLPCPVPYEEIQREAFMALKPDLFEGMRFDFTKMINQHFALSHSVYMGSVDVPSQGNEVIKIPTSNYEFGANFINQRMMLMGRVSHEGRENIRVKFDLTDNLSVKINAQLTSEPHYSQGMFNFDYKSVTKNLSLGTEAFWLGQQRKSGVGVVARYDTKKMVATGQIATTGMVALSYVQKVSEKVSLASDFMYNQMTKDVTASFGYDYILRQSRLRGKLDTNGVISALLEERLTPGFTFQLSAEIDHWKKDYKFGFGMAVGE >Dexi3A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:3A:8483988:8484952:1 gene:Dexi3A01G0011740 transcript:Dexi3A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMTVVDASVLDCGVCFLPLKPPIFQCDVGHVVCSACRDKLMATGRCYVCGVDMAGGYRRCHAMEFVVELVRGPCPNAPYGCSATPAYHCREEHVLACPHAPCHCPGETCAFAGHTAAMLDHIASAHGDWPCTPVRVRRSPFIARLRALLQVRFSVCLRTGFNFVVLTDEGVRKYLFLLNVTRHPFRSAMSVVWIRPRGEAEAVKEIRFVVSYRRLWSSDQLISHQQRTVFRVASSDLCDGLPDSNGCHQLTVPTTCVHGHDGGDMEVCDEISINQTPDQ >Dexi2B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:2B:2397382:2398130:1 gene:Dexi2B01G0002810 transcript:Dexi2B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSRRPARRHGAAAPSPSSSRGVVALLAQPVGTLPIRALAFPAQHLRIRIHAGAAFVTTAAGKDGTSSDRAEELKAYIAKELSKHALKNSRVLEKLFHDERQILTTIIIAVVMEVGIAMSKESETEKGVEACCSGGGAKVSRSGAEVAGAVEVEAAGSSVDKERSGTGAKEVPATGSGADKNTGVTKDPAATSV >Dexi3A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:3A:9080018:9081843:-1 gene:Dexi3A01G0012500 transcript:Dexi3A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRKVNLKRDFGFVEFSDPRDADDARHDLDGRKLDGSRIVVEFARGARELKVSISAWKGPRERLLKVSISSAWKGPRERLLKVSVSSSWKGAKLDSQFACAMHSSFRSPTPRDCSNTGGEELPSRSPQYSPNPRRNLPPREQAERNGSYHGGSPRRGEARWKDQ >Dexi5A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:5A:5757784:5762622:-1 gene:Dexi5A01G0007770 transcript:Dexi5A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITVPSTGEFLVVRQPRPPSPPEEEDYRRFVDSDLYDLPSAPLKPLAGEARSEVAIAAADSVAHLDLSRLDVSAALDQIFDQFGLPDGMRGEWRLLKYVEEAEFGPDAGVNTVFVIGSLEAKLDALPESCKWMANESALGLLTEVKPCSDRIGPNVYIGLLNLELSSNSTAVPVLPSQVPTVLLPSEYPPGINLVPMKSKTLPPFRTTNLVVVQATNGAGGSTCSDFFACGDALLLDPGCSSQVHAELADLVKSLPKKLLVLVTHHHHDHIEGMMRNVLFKTVGLSVVQRCNPDAILLTHQNTMNRIGKGNWQIEYTSITGGEKICIGDQELQVVFAPGHTDGHMGLLHVNTNALIVGDHCVGHGSATLDSRSGGNMKHDFIWHMQDYFETTYKFLDLSPHVLIPMHGRINLWPKHMLCGYLRNRRSREACILQSIENGAQTLFEIVSKTYSDVDRKLWIPASFNVRLHVDHLNSQNKLPK >Dexi7A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:7A:22828683:22829266:1 gene:Dexi7A01G0012650 transcript:Dexi7A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSRQSSRFGCWDLEGSAPGWAYPPRSNKTEHKHGSTAQVKSYTRHEYTTEEKGEKKQQGNAATVHRSQTKEPPEANKRRRLADDAVVLHAACVCAPESLESIQMDKTTGNTHTYATLQGRCGGD >Dexi9B01G0044530.1:cds pep primary_assembly:Fonio_CM05836:9B:44287687:44292060:1 gene:Dexi9B01G0044530 transcript:Dexi9B01G0044530.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKVRGEALADSPAENGGRRWERRAGVVSRSSEEYAVPAWLEEEAAPAWSEEEAAPAGSEEEAALAGSDEEAAPAESDEEAAPAQRTLRLACRSGKSDPDSRRAVRSSADPHPVRSSLHQRNTAVARRSAPPPETPNSSPPRRIPGSKLYRALVVGSTSASVGGARSFGSAPDYFRLLGWIRRATAMAAPAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLIENPSIHIHEMRTVQLSAISKISGALALLLKAAIQFVVLVWFLCLKIPRPDVFVVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKVYFWFEKYFGRMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMEKHGLFSRLGDTICSAMGNADCISVEKEAGDMNTTAFTSKGPDRKKYEDQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMVRI >Dexi5B01G0033000.1:cds pep primary_assembly:Fonio_CM05836:5B:33513132:33513785:1 gene:Dexi5B01G0033000 transcript:Dexi5B01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLANRTGRPQTTKRLLPQAGGSLNLRHRPTAATPQPRWHLTFARAVPQSQLGRARRPGRVQATTLSLSAILYSSSSRARLPLSYPSLLGAPDPSCRPERHRDLWPPISLCPVAGDASVGGASITRPEGAEHEPGLAGNKKLAPRPQGAAAARRGRRSGSVAWRVESPSSSSAVAGSDVGRRLVYTSLSARYMYVRIRTVVCGAPTPSPRGETSACM >Dexi6A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:6A:8624913:8625296:-1 gene:Dexi6A01G0008330 transcript:Dexi6A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVFRYGVERMKVMCESMLGKRLDIESVADTLALADQLHCSQLKDACIRFINSSYRIGDVVASTGYGHLKRACPLIIAEILEKSAKIRMV >Dexi6A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:6A:16542064:16546079:-1 gene:Dexi6A01G0011570 transcript:Dexi6A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSAYLVLTCAHAPLAHLPRPTRRPDIARITLAGITRGARASAPLFLSPGTCRLGGVRQHRGSAQICRDASLQGPPGGDSAAQEQEDKKSDAVAAAAARIASGGGGGGKLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPYRDRYFLQKLLNLKGDDGFRMNEVLVALWYIMGLWPLLYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDEIEQWPLKFFESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRESKFEKWFMASATCTDTFCGTLAVSSITSVTFFFAGSICFTI >Dexi5A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:5A:2442323:2446098:1 gene:Dexi5A01G0003310 transcript:Dexi5A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAVAPLSGGLLRRGVALHHRRRRLLAVAAVAPEAPSPAPAPAPSQPRAPPRKGYFPKRGETVELTCEALAFKGKGVCKVAGSTFVLLCDGALPGERLVARVRRLRRGAFAEAAKLKTLEPHDDAVEAPCPLAADCGGCKTQSLAYAAQIRHKHLQVRDLLVNVGKFDSKRMESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEKEEEVMKEDTNEVDGYALGLHAPGFFDKVLHVEKCFLQSEPADKVLAVVQETWMDPALGLTPYDVHKHVGFLKHLMMRTGRNISTGTPEVMVNFVTSCYKPDLLMPLVENITKIPEVADVLYKLIEDSAGLKGDGSEIVLDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADARKNAKLNGINNATFVQGDLNKINESFGKEFPKPDIIISGRERFERML >Dexi8B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:8B:6386755:6388032:-1 gene:Dexi8B01G0005910 transcript:Dexi8B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPSVPTCGDLPAAVSAFADAFVDFAVSGIFFPTSSSSSSSASPAPASPPPSPTTFLPSPSRLVAIGDLHGDLPKSLSAFRLAGLVPASSGSDSPSASTSWAAGPTLAVQLGDILDRGGDELRLLYLLRRLALSAEARGGALRPILGNHEVMNVAGDFRFATPQGFQEFSEWAGWYRAGLAIKRRCGEHLDPQPRNPFLGVPKSFPGVKPEFWDGMRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGEGGDNGRAPEYVRGRDAVVWLRRFSDGFDCDCQRLEGVLGMIPGAKRMVMGHTIQTVGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGAEVRVITTPPSEAWMYRKQEAEKAVLKEKRGEVKDGLALLVRESHVLKEVEAKA >Dexi8B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:8B:4152240:4153030:-1 gene:Dexi8B01G0004530 transcript:Dexi8B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLYYYLAFFVASMLCVLLGPWFFAYYFGLEMMAMAAFFGYILAVNARRKDILARDQPLTKDLELGDVAQESASVPTGENA >Dexi9B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:9B:3533009:3536447:1 gene:Dexi9B01G0005970 transcript:Dexi9B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDGPDVCDCRVPSSLVMAEDLISACDFLDSPPHRLDRRRRRKPAPGAAVSIRLVFPSTPIPSTSSVFALPPLASDTRDAPELNRALLMGSLRAPPAPLVESARVSFGSGLRFASTRVFKPSGLTTTSAMKSYRLSELSDAEVSGLKARPRIDFTSIFGTVNPIVEDVRVRGDAAVKDYTEKFDRVTLDDVVVRVRDLPDAQLDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHANLVHVAADLLSQAEHGPDSQVVLVIAGDDVELDAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPHVAKMAEVEGLEAHKRAVTLRLQEIEATVTV >Dexi5A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:5A:1719427:1720782:1 gene:Dexi5A01G0002450 transcript:Dexi5A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNTILMNRYELGRMLGQGTFAKVYHARNLASNQRLAIKVIDKEKVLRVGMIDQIKREISVMRLVRHPNIVHLHEVMASKSKIYFAMEYVKGGELFARVVRGRLKEDAARKYFHQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSALNECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFHDTNLMEMYRKISRCDVKYPHWFSSDLRRFMSKLLDPNPNTRITTERLVEHPWFKKGYKPAVMLAQSHGSNSLKDVQVAFSTDHKDNEANKVEQPDSSLKPTSLNAFDIISHSKGFDLSGLFGNDQEQKANSRFMTQKPASAIVSKLEQIAETERFMVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPAFYVVEVKKSAGDTLEYEKFCNKDLRPSLRDICWSSQSEEKFPSLAESSH >Dexi2A01G0026190.1:cds pep primary_assembly:Fonio_CM05836:2A:37736028:37736438:1 gene:Dexi2A01G0026190 transcript:Dexi2A01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEISGGLGDTSGGLGATSGGGLGYGAISGGLGVISGGGLGYGATSGGGLGTKAGVGTVAADGGGGASGVRTQLGEPGGGVPNTSP >Dexi4B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:4B:906622:907197:1 gene:Dexi4B01G0001530 transcript:Dexi4B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGTEMSVKRVIMAAVAATLVVMAVVTIVSVVLSPAHVSFAVTDTYSQITTDGMLRLHLAIVAENTSERMAVMYRSILVDVSNSTGPHLVNSVSADVATRLMPLFQRPESVLTVATVSLMGGSMAQVFTRNLTSEFSVTITATARFKVGIAWTRLYDIRVTCEPVSFFFSDDGHSKGAAGQRVDCSAA >Dexi6B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:6B:23750018:23751230:-1 gene:Dexi6B01G0016500 transcript:Dexi6B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKGAAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDETD >Dexi5B01G0033610.1:cds pep primary_assembly:Fonio_CM05836:5B:33991408:33996843:-1 gene:Dexi5B01G0033610 transcript:Dexi5B01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGNTVKVFTPVVHCEKVSKEVREYFQRELERTKKLTAQRAQEKLRKEKAAAEGNYPGGGEVYAAYDEEAELQSALNQSRAEEEFRRGVQQRGGAYEHGGGSSTRGEGTLQRMLRRATSARQTPGVTDYNLGSIDTGSWTQKGKNAKEAIGKAWSKFFHTAGDDDEGDTPLPSNIVVDKINPADLRKRKYHIAPSKVVPKRQRGQATGKGKQKEIEVLSGEDTDDGEGDKSPEYQESQDSSSGDDAVMVMMAMMVVAVVAAVAVLLAVAGAEACHLQTHLLDIDHGAPMSQRRTVGPTDYDSPQFSSSSSYRESSHGLPPETDCTGDLNSKFEFNRFPPVTGQTGPVYRYRRPAVRTTGPVWLTLVVSRGSWEGIFCVLIGLLTRSAAGVGASQPAQTNGKRRGKGLPNRREPVRFDRFPTKPPRTGSAASRVKKTVQTGPIFAVTGCTAPARRTGFFTVGNRSNRGKVNPGRVQDRRFFAAGRRNRHASRLTALVVPPFRFPTNRPANRSNRSANRSNRSKPATRGIWIQNLNLAGFPPNRPANRSNRSLVCSGWWAKSEHRIKQTWDLATARQQGVTWSWSHSLATKLDRGTGQKF >Dexi1B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:1B:21185192:21188623:1 gene:Dexi1B01G0014780 transcript:Dexi1B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGHTDTLPPFLAVYSTLLPVARFCSCRGGGITKRLPRLAVVLLVALVYRQLQAPPPKIPGTPSGPPVTSPRVKLQDGRHLAYYESGVPKDQAKYKIIFVHGFDSCRYDVLRVSPELGIYVLSFDRPGYGESDPHPGRTEKSIAFDIEQLADALELGPKFYLTGFSMGGEIMWSCLKYIPNRLAGVAILGPVGNYWWSGFPANVSLEAWNVQVPQDKWAVGVAHHAPWLTYWWNSQKLFPASSVIAFNPAIMSREDMAIIPMFAYRTYAHQARQQGEHESLHRDMMVGFGKWSWSPLELEEPFPGGEGKVHLWHGAEDLIVPVQLSRYISERLPWVRYHELPTAGHLFPIADGMADVIFKSLLLGDDE >Dexi5A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:5A:6224076:6225027:1 gene:Dexi5A01G0008350 transcript:Dexi5A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEAKCGSLAVAAGATVRRPLQPRDTNVAASTVVVTKAVSKPKVKPKAVRRLASPSPLPLPLPPPVVKGAECGVAVVPVAEVSLAEELERARERRGRLREARERTEREMDGRAVALDREAAEWERRAEEQRRLVAELMRLIGMPEGSTSPSTASTLPAEVGTESCSDQESGATGVTKETATTIEITS >Dexi5A01G0037700.1:cds pep primary_assembly:Fonio_CM05836:5A:38972964:38973710:1 gene:Dexi5A01G0037700 transcript:Dexi5A01G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAARPSGYEITAETTRIDVKPSKASELVLHLAVTETHTWLGIGGRNARLRRPRRLGRDVEMLLAARSGPDYLQKADECRRLMVRATAQELRKDKVFHRLPTADMDAAVPRDIEVLVAERAAALPDACRCEVSFSIQVDFIYNEAAALLRACGNAGGDMAGMQRSTGSGGAAPPCAICLEEMAPGTEETKTTWLPGCAHGFHGGCIGRWFDKAATCPVCRRDKLQYLPPAYRDVRDRILSDPEGEC >Dexi6B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:6B:2299427:2299648:1 gene:Dexi6B01G0002660 transcript:Dexi6B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRTKEEDVPPSNIMSTCSCLERRNAPPPALRPHICTNSFPHLLPGLEVLGLVENEERTEACGVLVVRCSS >Dexi9B01G0036400.1:cds pep primary_assembly:Fonio_CM05836:9B:37949750:37955062:1 gene:Dexi9B01G0036400 transcript:Dexi9B01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLAVAGSGPRKAEVQTLAPVLLMGPPPPPPIPPTTGMYLPGPPPPGVLLPRPIPLPLTREAIAHMDECRSRSLLKFISDAGIVPSPEDEQRRERVVRELGKIVMDWAKRVAYEQGRQHWITSAAVLTFGSYALGAYGPESDIDVLCVGPYIASLQHHFFVVLRHMLEGRPEVSELHSIEGAKVPLMRFKFNGISVDFPYVQLPVINAAEAIHAFDPRVLENVDGASWRCLSGVRVNRQIIQLVPNMKVHNNLTRFIHTLIFIFISFIPLCAYFRPNVDTRTTDFDWSWLFAPFPYSARYKSFLRIVLSAPTAEELRDWVGWVKSRFRNLLLKSVRAPMPIVSVGFICGYESSEKPTLRSFSTSGLRIFG >Dexi8B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:8B:25772024:25772669:-1 gene:Dexi8B01G0014990 transcript:Dexi8B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGRERWSLAGATALVTGGSKGIGHAIVEELARFGARVHTCSRNAAELEDCRRRWAEKGLVVTVSVCDVGDRADREKLMAVVKDTFDGKLDILVNNAAQVIFKPAVECTGEDYSRIMATNLESCFHLSQLAYPLLVNASIAGGGSIVNISSTAGCLGCPGVVVYCIAKGMNCS >Dexi3A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:3A:1128906:1129970:-1 gene:Dexi3A01G0001680 transcript:Dexi3A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKAKFASICEKTMVEKRHFYMSDDTLRSNPSITAYKSPSVTLRQELADEGVPRLGAAAALNAINDWGKQATDITHLIFCTSSSGCLPGADWELVHLLGLRPSTKRVMLYQAGCHGGAAALRLSKDLAENNPGARVLVVCSEVIPLSLRGPSPSHIGNLVGQAIFGDAAGAVVVGSDPDAGAGERGVFELVSTWQEIVPGTRDGIVTKLREEGLVFTLHRNVPLYVSGAVAGCDERAMHAAVAPEVNKEEEMFWGGEAGGVEERHETVRQHEELVRVAGHGGDAAAVGGDGDAHRW >Dexi6A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:6A:22457669:22462131:-1 gene:Dexi6A01G0015060 transcript:Dexi6A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGTASMGRAATPAPGARSSGWPRAVARLRLALGSSEAAAGSASGGWMACFRPAPAPAAAVAAGAAAVKEAKGKPPEVEVEPARGGGEDVWSADADAEVAQGGGFPEHLVIMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRSGVKKISFVAHSLGGLVARYAIGRLYEPNNRSKSSAGTSRDDVEHLEGLIAGLEPMNFITFASPHLGSSGNKQVRLISFALYITRVKPHRLLVRDEKYPHIVYVEKEVTNNNETEARSDLYDPEGQSHCLCLAHAHNSNPVLCQCQVKSYWLNSDGADVINHMMDHFIV >Dexi3A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:3A:15238730:15241246:1 gene:Dexi3A01G0019410 transcript:Dexi3A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPSPAAPPPATLEPRDLPTHAAVATEVEPCSMNQLAVAVTPDPKRSSRQKVEEAADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKDAYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAMVEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCDDCQNPFGKNEGIMAEDSKRYLYTGADLDHSEGEHDFVIERSPRLQSPISKESSFHQTPPHLRASSRDGHLFPHALSQWQALPRSWHCSNKRNSNDRVMDDSSNYKNSNNDWQLSKHEDSYSISKCVQILNGMVELSQVEKSVAPDVFLLPGNREIFISLGGDVRAMWLKRKIQHLS >Dexi1A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:1A:27706274:27710466:-1 gene:Dexi1A01G0020860 transcript:Dexi1A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEGVLLGMGNPLLDISAVVDDAFLTKYDIQLNNAILAEEKHLPMYDELASKSNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYVDYIFGNETEAKIFAKVRGWETENIEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIEECVRAGCYAANVIIQRPGCTYPDKPDFN >Dexi8A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:8A:12746430:12747848:-1 gene:Dexi8A01G0009500 transcript:Dexi8A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNPCSEWQVRLVSRRLVKASDTSIKPHVVTVSNLDRIARIIQVSMFCVYAKPVPPAGDFAAVVAAFETGIPSFLNHFFLLAGRIAAANTSSGAPEIHCCNQGAELVVAKAGVVLGSLDHSAMGALSERIQLPYGEDMALSVQVVSFACGGFTVAWRTNHVLLDGSLVTAWSEFTRSGGTLPASHRPNHDRSVFHPRTPPSYSSSLDEAFTLLDSRRLVNALTADQSSVLRFYYIEAADIARLREAASRGSERATRVEAVSAYLWKTLAGVVGAADPRCRMGWWLNGRRRLTSPDLRCAMRNYFGNFITFAGKEATVEEVVQMPLPEVSAMVREAITAPAYEEHFQELVDWVEGCKAEPFLSASIGLGSPTLIVTAFTSFRIDTDFGFGQAVMAVPTDVRTAVTMRLCNGFVQIVERPGGEGSWIVSAFVWPQLAAALEADEPRVFKPLTAEQLGLFAPHCPQSRL >Dexi1B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:1B:7900467:7900997:-1 gene:Dexi1B01G0008930 transcript:Dexi1B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFGGFGDPVFSAALQQLMDLPDELDRQLNAPTRAYVRDRRAMANTPMDVKELPSGAIVLAVDMPGVNPSDVKVQVEEGNVLTISGERKRPAEDGQQQQQKEADGEKQGVKYLRMERRMGKFMRRFPLPESADLDSIRAEYKDGVLTVTVDKKPPPEPKKPRVVQVTVGDQQGK >Dexi7A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:7A:7062432:7070328:1 gene:Dexi7A01G0002030 transcript:Dexi7A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARIALERRKFLKNASIAVERQSTWSILAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKAKLEGELWDERLSTSSGQNSHLVSKATRVWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDTSSSVSHSELLSARACETSPVLDKQEQISPLFQGKEEALSRSDDRNGRSQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQLSIEELSEEVNSVSNPMDKLNSRLQLSVQNTGLGRSEESSSEVSEINSKLSSTHLDKPGGSPALKLPPLFSLTPSSSGKGAQMQKRNAALTRQPSQEVTSEEKALTFPSTKEQMNGSEHENDEYFAHDIRRSVREAALSKPLMNTERPQDKNSEDGSEHFFIPLSASAARKETDAATNRRKHRLDLSSPQKRTSDLYYNADSPVNTSPVLLSEVIGHDPISALSFLDPDSGLTHQSFITDDALDQVFSPPLLMESSLFHDADEDLLGKVFSNSVEFALELQFSSDD >Dexi1B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:1B:8861380:8862752:-1 gene:Dexi1B01G0009610 transcript:Dexi1B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLVTSRVIGDVLDPFYTSIDLMVLFNGMPIVSGMELRSPTVCERPRVEIGGDDYRVAYTLVMADPDAPNPSNPTLREYLHWMVTDIPASTDDTYGREAMCYEAPNPATGIHRMVLVLFRQLGRETVYAPSRRHNFSTRSFARRYNLGAPVAAMYFNCQRQSGTGGRRFTGPYTGGRHGAA >Dexi3B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:3B:7566263:7567456:-1 gene:Dexi3B01G0010760 transcript:Dexi3B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQKLKVQWIEKNGPRNASFRKRRDTLIQKTRELSILCSVPAAVVVYGGGEAEPKYRDLPDSSKEAHSLDNVGFMRRRTEKMRRKVEGYRATSVGLEVNLVINDVALGRPREFDEMPRELAGAVVSTLDALRSVIADRVSFLLSAGADAQAAVAAAALPPPPPLPIDEAAALLALQEPLMVPPLAMAAPPVVAYPPQQYDLVADALLLLPAPEMEPELEQSELMVAPAPPLLLPAPEPAPLLLPELVLEPEDPRHPPVVIPPEIAEALLLLLAPEQELAPPPQEPEPEPELAPPPVQEPVIVEDVPPEAVPVPEPQATAAAGVGVGEEEPVDLDFEPRNGSFLLEVADAIIDDGSGRLATEEDVDRLLREYGLEHMKPPK >Dexi5A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:5A:6444950:6448362:1 gene:Dexi5A01G0008620 transcript:Dexi5A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDASPTSELLAPPPPPPESVKRRGKRGRDGPMLFAAPQVEEAILPSKSPEKQPPPAAIQVDKAKLYCSMCACALTPPIYQCAVGHLACCSCRVKLPARRCRTCRDRGGPYSAYTHCPGLDLFFGDLRVPCDFDEFGCRAIVPYFLSANHKGTCEHAPCHCPEPGCSLLLSPPTLTCHLAVNHEWAVYDVAYGTPLPLSVPVPAAAAAAAAAPSPAMTPARNVRLLRGEDASLFLMAVGPLGDGAAVSVVLVRATANPPALPRYTCTFYANPPPRAADLRGSYFFATVPVRSSALADGAGVAPEKELYFAVPREMLCGANRELLLSVRIDRSSATVEAINTSAPGGATAGLRYVGVEMRLLHCAVAECNRPLKPLIFKCEAGHRLCRGEGGCRRCGLDATFVHCGPDLDEYAAGFTASCPFEAYSCASAVAYHTAAAHRDACAYATCTCAVAGCAFAGSPPMLRDHLAAEHSWPVHALTGYGYGRAVQIRVPAASVSGPVDRLLVVDGDERRLFVMSVRPRGASCWSVTVACVRASGAADAGPRYWCFLSAYGPTASGVPAIKGDCRYLAMMANVASCSVPGGAAGEEGMALVVPPPMLHGPSKEIHLWLTIDVVNPHAAPASQLPSVC >Dexi3B01G0031990.1:cds pep primary_assembly:Fonio_CM05836:3B:34207809:34209376:-1 gene:Dexi3B01G0031990 transcript:Dexi3B01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCHGRLFLLLAVAASGAGAAPAAAPGPSGSSHVELDIMESLLPAAGASSSCPTSQELKHGIPAVGTRMPLVHRHGPCSPLADTERRRPSHTDILAADQRRVEHIHRRVFHTTTTTGPRRHRRKLMSTASALPTLSGNGRGTSNYLVTIGLGVPAACVTVALDTGSDTTWVQCNRCVDYCYPQDEPLFTPAKSSTYTNISCTSSYCSDLSYSSSSYCNRGGLCIYQIEYGDDGDGGRSFTVGYYAHDTLTLANDSFREFRFGCGEKNSGLFGHVSGVMGLGRGNTSLAMQAYYGMNGSVFAYCLPGKDSDTGFMVFGPPGSIDASLDETPMLTGDGPTFYYVRLTGIKVGGHLLPIPASVFSAAGALIDSGTTITRLPPSAYAPLRSAFAAGMARLGYRETSGFYSLDTCYNLTGLKGKVALPAVSLVFQGGASLDVHGSGILYVPDVSQACLAFQPNEDDADVAIVGSMQQKSYIVLYDIGRKVVGFANVGVGC >DexiUA01G0020880.1:cds pep primary_assembly:Fonio_CM05836:UA:43142565:43145741:-1 gene:DexiUA01G0020880 transcript:DexiUA01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAQSLSNPHRATGGAPKFIRKLVEGIEDTVGVGKGATKIYATIDLEKARVGRTRMMDEPVNPRWYESFHIYCAHLAADVIFTVKIDNPIGASLIGRAYLPVQDLLDGDEIDKWLEICDEHRNPIGDSKIHVKLQYFDVAKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGVYQPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDTNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGSDVHCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYCWKPEGIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYNDITQALQAKGIVANPKDYLTFFCLGNREVKQEGEYEPEEHPEPDTDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGAYQPYHLSTRQPARGQIHGFRMALWYEHLGMLDDVFQRPDSVECVQKVNKIAEKYWDMYSSDDLEQDLPGHLLSYPIGVDSEGNVTELPGMEFFPDTRARVLGGKSDYLPPILTT >Dexi8B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:8B:27990463:27992665:1 gene:Dexi8B01G0016850 transcript:Dexi8B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLFVDPEPPSPSVFLDLPPTPPPPLHDDDDDPGAFDDMVLPYVARLLMDEEATDEDSFFYQYPDHPALLQAQLPFAQILSDAAAIASGDTTTTTSSPSFSVDTDDTGSPGGGEDRRDISISDDSDMVTSAFLKGIEQATKFLPTITNNALFPIDHSSYDAHARGRKNSWHPDAAPEPETERATKIMAPDPYDEEATRQMFDEMMLNERDISMKGVEQQQVPAGDKKRRRGRPRRSSSSITDGDDTVDLHELLLRCAQAMSTDDHRTAHSLLAQIRRHSSPTGDATQRLAHCFAEGLEARLAGNGSRLYNSLMVRPTSTIDFLKAYQLFMSACCCKKVAFAFSNKTIFDAVAGHRRLHIVDYGLGYGFQWPGLLRGLAARDGGPPAVRITGIDLPQPGFRPAFHVEETGRRLGRCALELGVPFTFRGIAAAKREDLVDIAADPADDEVLVVSSLCHFRHLMDESVVVGRASPRDQVLGNIRRMRPDVFIHGVVNGGHGSGYFPTRFREALFFFGAQFELLDATVARDSPERMVVERDMFGAAAMNVIACEGGDRVERPETYRQWQARNQRAGLTQLPLRREVVKVVVDKVRDKYHADFAVDQDHEWLLHRWKGRVLYGLSTWTSRD >Dexi6B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:6B:15989792:15990882:-1 gene:Dexi6B01G0009890 transcript:Dexi6B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSAASFRPADPAAQGALASQQQQQQQQQQSLPHPHTLAAQGRLPIPSAAAFRPADPMAQGAIASKHQKMFRMREFDHFVVIDFEATCEKDSRIYPQEIIEFPAVLVDAATGALLSSFRTYVKPRHHPQLTAFCSELTGIQQEQVDGGVDLATALGMHDSWLSSAGAAKNRLAVVTWGDWDCKTMLESECSFKCLNKPRYFDQWVNLRIPFEAVFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTAHLLVELMRRGASITITGSLPQPPPPAPEPELQLQPQMAPAPLNHNISWCTGGAATTGCCCYCGRRHGDYTRANARTILLRLRQLDTNFRANMPLLPLGCLIDVTVEVS >Dexi5B01G0034920.1:cds pep primary_assembly:Fonio_CM05836:5B:35063579:35063956:-1 gene:Dexi5B01G0034920 transcript:Dexi5B01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFMAAPTPPVLTVPVAYVGGGAHEAAARRGSYGPVIVMMAVVAVLAAAALAVGRLCFGRRALGQQAAAGHYDLEAWVERTCGPCVGAAMPTQDKEEVVGGGGGEGSAAAETVVDVPPPPEGTE >Dexi7B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:7B:19241170:19242430:-1 gene:Dexi7B01G0012460 transcript:Dexi7B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVAAAVAGWAIPIAALVNSVVPEPYMDEIFHVPQAQQYCRGDLLTWDPMITTPPGLYFVSLAYVASLFPVAWMFRVAETFDVLCSTAALRSTNVIMAMVCAVLFHDLLLCIRPGIGEKKATIYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWISAMVSA >Dexi5A01G0030480.1:cds pep primary_assembly:Fonio_CM05836:5A:33393015:33396399:-1 gene:Dexi5A01G0030480 transcript:Dexi5A01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQGQSTETMVAVAVAVFAVTAGGAFLLLRSRKPKGCLDPENFKKFKLVEKKQISHNVAKFKFALPTPTSALGLPIGQHVSCRGQDATGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPIDSTKVHLIYANVTYEDILLKEELDNMAKTYPDRFKIYYVLNQPPENWNGGVGFVSKEMIQSHCPAPADDIQILRCGPPPMNKAMAAHLEELNYTKEMQFQF >Dexi3B01G0025830.1:cds pep primary_assembly:Fonio_CM05836:3B:20724401:20727560:-1 gene:Dexi3B01G0025830 transcript:Dexi3B01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQINIEGSPTWGSRGIDCFEKLEQIGEGTYGQVFMANEKETKEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVINLKEIVTSPVEGYKYKGSIYMVFEYMDHDLTGLSDRPGMRFTIPQIKCYMRQLLTGLHYCHINQVLHRDIKGSNLLIDNHGILKLADFGLARSYTTDPNARLTNRVITLWPPELLFGGTQYGPAVDMWSVGCIFAELLYGKPILPGKNEPEQLTKIFELCGTPDELSWPGITQMPWYNNFKPPHTMKRRVKEAFKTFDRHALDLLERMLTLDPSQLDQAITMQNPEGQTGIHREEAKVEDTQIVEGKAVATAAALILNKVEVRRPLSLVVG >DexiUA01G0007450.1:cds pep primary_assembly:Fonio_CM05836:UA:14082576:14082933:-1 gene:DexiUA01G0007450 transcript:DexiUA01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVAATVGCGGLPFRPSSASPRGRPRGRWVVRAQAAGADGSDDKSLEVMRKFSEQYARRSNTYFCTDKTVTAVVIKVSMI >Dexi6B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:6B:22786792:22787722:-1 gene:Dexi6B01G0015440 transcript:Dexi6B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGGSAKTATRRDNGKHQRRKRTDSVGSLPSREPRRRTRGGEKAARNSNQGGGFKLGFAAALKLGWRRKLGFGLRDKGVQAAAYKGQESSLRKGKRRGLGGVLLEDDATKKMTGRAHASEKEREKERKPAGPRRRAVSAHFRAVPVLVPRAEKAAQARHWARH >Dexi4B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:4B:6956883:6957176:1 gene:Dexi4B01G0009590 transcript:Dexi4B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKRAREPGRIAWRPAEIEAGAGHGRSTYSTSSPPPSPHRFLHIAIPLSNPPLLHRATSPAPETNEDDYGGRPVGRKRQRDMRRPAPSLAALSFD >Dexi7A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:7A:30056906:30058078:-1 gene:Dexi7A01G0021470 transcript:Dexi7A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGGDGGGSRRRRETSVAGEPGSTTGGGVETTEDVEMGSMSYFFEDFQKERPVRFSSQQLRAFTRNYAHKVGSGGFGVVYKGRFPNGATVGVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDATVKALVYEYMENGSLDGYLFDPSPEKKVTFEKLHEIAVGTAKALRYLHEECAQRIIHYDIKPENVLLGVGLAPKVSDFGLARLCDREDTHLTITGARGTPGFAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGLHGRGSQEWYPRWVWHRFEAGDTDAVLARAAANGEKERETVERVCKVALWCVQYRPEDRPSMSSVVRMLEGEDQIAAPGNPFAHLAPYDSTATLQSRDTTTTTTAGSAR >Dexi4A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:4A:4550144:4552513:-1 gene:Dexi4A01G0006320 transcript:Dexi4A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARLVLPTLAGDPGGALRRSYLRLIALSSTLRHLDEVLAVSLASGHYALDPAPATALLLRYASLRAPHAHLLRLFRAFPRPDRFLRNALLRSLPSLRPHLLFPCPDSFSFAFAATSLASSSCSSHGNGAAAARSLHALAVAAGYAADAFVASALAKLYFKLSRVDDARKVFDAVPSPDTVLWNTLLAGMSGAEALEAFVRMVEAGRVQTDSTTLASILRAAAEVANMAMGRCVHGYGVKCGLAEHEHVVTGLMSLYAKCGDMGCSRYLFERMEDPDLVAYNALISGYSVNGMVEASAELFKELAASGWRPNSSTLVAVIPVYSPFGHELLARCLHGFVVKARLDADALVSTALTTLYCRLNDMESARSMFDAMPEKTMESWNAMISGYAQNGLTEMAVALFQEMQALNVQPNPITISSTLSACAQLGAMSMGKWVHKIIAKEKLELNVYVMTALIDMYAKCGSIAEARSIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYNTMLDAHILPTSSTFLSVLYACSHGGLVDEGRTVFRLMTNEYRITPGIEHCTCMVDLLGRAGKLKEAFELISEFPKSAIGSGVWGALLGACMVHKDSDLAKLASQKLFELESENAGYYVLLSNLYTSKKRYSEAAVVRQEAKNRKLVKTPGCTLIEIGDKPHVFMAGDRVHPQSEAIYSYLEKLTAKMIEAGYQPVTEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >Dexi5B01G0033950.1:cds pep primary_assembly:Fonio_CM05836:5B:34228028:34229348:1 gene:Dexi5B01G0033950 transcript:Dexi5B01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLTVSAAASSPSFAHLPFFYVALYLLAVARAFHRPCAEALGADQFAPASDGEDPTSLASRSSYFNWYQFYSSCAYGIATAGLSYVEDNVSWTIGFGACWAMMVLYLAVFLLGTPTYRAERPLHDIRFAETVQAWAARVFRRPKNAGDTERLLHGEEPAEEGKEKGIVLKLLPIWVVSLVFAAITAQITTLFTKQGSTLDRRLGMGTGLVVPPAALQFFLGLTVVILVPVYDRLFVPLLRRVTGHHSGLTTLQRIGAGMATSGVAMAVAALVEARRLSVAREAGLVDRPDVVLPMSLWWMLPQYVLVGVASVLGEIGLREFFYDQVPNGLRSLGLALCSSIFGVGNYASSMLVSGTDWATRSTGESWFADNLNRAHIDYFYWLLAGLAALEVAVFLHFANRYIYSSKD >Dexi1A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:1A:3080097:3084608:-1 gene:Dexi1A01G0004210 transcript:Dexi1A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPMASDSRVCMRRWLLALRASRPGGGTTIDPAKCRLMSVEEKRELVRELSKNPESAPDRLQTWCRREIVEILCSDLGKERKYTEKYLALHGIVDTALKKLEAEVGPISGAPNMGRGIVSRLTVGAEVQKLCAQAIDAMESMYSGASPANSKNQRPCMVPPNFIKFEAMTQTSVTVVAFKNSIELGSWEVRMKTSCQKEDPRSSVPGGAGLEQNNGSPKANSDGQSDPSSEGVDSNNNTAVYADLNKSPESDVEYCENPEILDSNKASRHPSERMDNLQDIEMAAARVTEVTDMEEAPGLSASALDEEPNPCVQTVLLRESSNSVEHSQRTVVPRSQDKSNAPDGNELVIIAPRYTGSVPPTAPRGIENGKENGGRSFKPKPCDKIVQNGSSKPEREPGNSSNKRTSGKLDDIGHKDASSEASYEYCVKVVRWLECEGYIETNFRVKFLTWFSLRATPHERKIVSVFVNTLIEDPVSLSGQLVDSFSETIYSKKRSSMPSGFCMDLWH >Dexi7A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:7A:3700826:3702731:-1 gene:Dexi7A01G0001460 transcript:Dexi7A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRADGPATVLAIGTATPPNCVYQDDYADYYFRVTRSEHLTDLKEKFKRICRKSMIKKRYMHLTEDILQANPNMASYSEPSLNIRQDILVEEVPKLGAAAAEKALKEWGLPRSQITHIIFCTTSGVEMPGADSRVIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEDHLDSLVGQVLFGDGASSVIVGADPNERVERPLFQMVSAAETILPNSDGAIEGHLKEVGLTFHLQERVPDLISANIERLLEESFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKDRLRATRHVLAEYGNMSSACVLFILDEMRKSSVKDGRATTGDGMDWGVLFGFGPGLTVETIVLHSVPITNA >Dexi8A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:8A:12960789:12961266:1 gene:Dexi8A01G0009580 transcript:Dexi8A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPECATGGDVSCSGDVYSFGIVLLEILSRKRPTDDIFTDGLNIVKFVETNFPDRILQVVDPEVLNYHHDCSVSQEVSISTIERAMEECLYSLLRIGLHCTKSSPSERMDMRDVAANLHKLKDAYLEKI >Dexi3A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:3A:7627918:7629171:-1 gene:Dexi3A01G0010650 transcript:Dexi3A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACGPLVGQNRPADVILPRPRPRPRPHHLAAFLSLGWEATRGAVRPLGTGPVRRPLCLPHGLHWRRHQPNQTFKREPKRASTLCSPPRGGGPALPCRLLLFAPKRQQCDDSTITQAAALLPSQGRGPCPAVPCSAAMLTTLLNEDHDHVSRHWMIGGELPPPASCSCRPRSPDGELNARREAEEILLNVDRLIRSDSSHCSRLIFLHGSFRHPLTVPAPAQQGHRHCFCD >Dexi9A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:9A:15392685:15394348:1 gene:Dexi9A01G0020380 transcript:Dexi9A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Dexi6B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:6B:24595975:24599487:1 gene:Dexi6B01G0017410 transcript:Dexi6B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWCRHTALVWNKRSGDAVTYEASCRRAKQSHGRSTVPAAAYGSAADREHTAAGVAGRGRVVPVDFGLGFAFAACPCLCRRGCRRGLFRSHDATGLVWAGPQPTYRSDPYPVLKRFPFPWPAHGDVARDPPADLESSRPHSPPLQAPPVPPFPATRSDDDVEDEGEEVGMTGGGAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYLATHHRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPQLKYMKMDVKDMSDFESGSFDAVIDKGTLDSIMCGQNSQENATKMLGEVNRILKENGVYMLITYGDPSYRLRLLKDMENWTVKLHVIERWERSSNQNKWELTKPLPLDDDSTSVVSILGPKPDVHYIYVCVKGKDGARVDSEAGAVVN >Dexi7A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:7A:23670914:23672162:1 gene:Dexi7A01G0013690 transcript:Dexi7A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVVTGGNKGIGLEVCKQLASRGVRVILTARDEKRGSGAVEMLHDGKLVLTGCIKQLVGKSAVERLQWLVQRSTESYEEAEECLKINYFGTKYVTEALLPILLSSSDGRVINVSSNYGLLRYFSGADLKQELSDIDSLTIERLDEMSEMFLKDYSNVQLKSKGWPADSEYLAYKVSKALINGYTRIMSKKYPKLRINSVHPGYCKTDINFDTGEYTAEDGAGCIVAVALLPEGGPTGVFFFRTEESPFV >Dexi3B01G0031800.1:cds pep primary_assembly:Fonio_CM05836:3B:33850195:33850544:-1 gene:Dexi3B01G0031800 transcript:Dexi3B01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGDEAPKSAEKPAPVQKPTPLSSAEKLKDIPAGIQSSKSNNYMRAEGQNCGNFLTPMI >Dexi2A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:2A:14334837:14336175:-1 gene:Dexi2A01G0012350 transcript:Dexi2A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRVNGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Dexi7B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:7B:13016640:13018933:1 gene:Dexi7B01G0005560 transcript:Dexi7B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKAKEATVEEKQKGDNGEEKKQEALPPPPEEIEMRVYMHCKGCAKKVKKILMKFDGVEDVFADATERKVIVKGKKVAADPMKVVEHIKKKTNHIVELISPISPPPEEKKEEEKKEPEPPKPEMESPVIIVVLKMRIHCKACAQWIEKRILNIKGVQHADVDLKASEVKVTGVLEVAKLAQYVYKRTGKHVVIIKSKPLVPPESANCDKANEKEKAEGGEEIEGGGDTGAEENNNVEDTGAIAPANICTCYPQFAFPGGYYSPPPPPPGYFYHAAYPPPSYATYSPHNQMMAPQIFSDENPNTCSVM >Dexi6A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:6A:17400146:17400961:1 gene:Dexi6A01G0011690 transcript:Dexi6A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPRRDEAAGMAQGKAPAATPQEPIKYGDAFAVKGELAGQPIAPRDAAAMRSAEASVPGVQVPQESGGGFSAGAFMESAAQYNEAVGAVRPGQASDAAAKHGVNVTQDAVPGGRIVTEFVAGQVVGQYAVAEVPAQQDAAGGKVAGTEGGAGHGGDAGARGGPAGATAVKRD >DexiUA01G0012790.1:cds pep primary_assembly:Fonio_CM05836:UA:26625546:26628926:-1 gene:DexiUA01G0012790 transcript:DexiUA01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVINLIFWVEHKFLVALSGNQNTSEASADADEDAEPEKPSSPSVKKAEEKGIVVVHEARCKVYVKHDDATKGWKDIGVGQLSIRSKEGAEKGSKESTPTVVIRNDIGKILLNALIYKGIKMNVQKNTVASIFHTSDAQSDESTSGTVVARTYLFRLKNEEEATKLSTAIKENAPAE >Dexi7B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:7B:1889729:1892335:-1 gene:Dexi7B01G0001010 transcript:Dexi7B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGDGVTSGDGEVVVVGRAEIDTRAPFRSVKEAVALFGERVLAGELHAGRRLSSSINENRAAVTRSNHHAVSATTAGAVITATPRLAPPVTAELAVAKQELEKEREEKQKMAGCIQSLQEELTHAMRELKKLKARDADDAAAAAAKVIDLEVDEGLSEKQPPRRSADGIAGDARVGELQKKRYVTFADPPCRAPPLPDVMMELHRAPPQPQPHYYYREPRFQRQMSAGHEAAKAMAEEGRKKKKKPLIPLVGAFFMWRKKNSSSCHDDSF >Dexi3B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:3B:2170058:2171637:1 gene:Dexi3B01G0003310 transcript:Dexi3B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGYSSLSQLWVVTSVVAVCWTMVWKNLEHIRLQQYFARNFNRRARRLAAIVDPFLSVTFEEYEGGRIKSSDAYKEVRSYLTTASGVRGVRHLRAETGGERDNAADREKLVLSMVHGEEVADAFHGATVWWSAYSVAPPRDAIPWYPSSRSDRRSYKLEFHESDRDLVLGSYLQHVRRQGRAVMVQNRQRRLYTNISRSGYDDGWYQDVWTHVPFTHPKTFDKLAMDPAKKKEIIDDLNMFKNGKDYYNRIGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDLELTSVKTNTDLRKLLIETKSKSIMVFEDIDCSLDLTGKRKTKEEEEEEEDDEEDPRRRPNKQDTNKSKVTLSGLLNFIDGLWSACGEERLIVFTTNHVEKLDPALIRTGRMDKKIDMSYCDLDSLSFLAKLHLDEDVQGHQLFGAAKALLQEVDMVPVDVGEHLTRKTVDDDAGSCLARLVTALEKAKEEAAAKTTEAAKAEDDGKGDN >Dexi1B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:1B:15191285:15194652:-1 gene:Dexi1B01G0012360 transcript:Dexi1B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRTIPAALPASTVMLILLCASGVLAAAQNYSAIFNFGDSITDTGNLCINGRMSQITFTQPPYGETYFGTPTCRCSDGRVIVDFLSDQFGLPFLQPSKSMNADFKQGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQIATSVCGQSCKSYLANSLFVFGEFGGNDYNAMIFGGYTTEQARKYTPKIVNTISRGIDSLIAMGATDIVVPGVLPIGCFPIYLTIYQSSNSSDYDDLGCLNKFNDLSMYHNTLLQKRVDIIQSRHRKTARIMYADFYSAVYDMVRNPQNYGFSSVFETCCGSGGGKYNYQNSARCGMQGASACASPASHLSWDGIHLTEAAYKQITDRWLKGPYCRPPILHS >Dexi9A01G0045010.1:cds pep primary_assembly:Fonio_CM05836:9A:48608231:48615591:-1 gene:Dexi9A01G0045010 transcript:Dexi9A01G0045010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCVNLSKFFRITRIRYQSKQTRAKSFATSAPTSEPPHLEVLTVLLHSTCSLKCLRALHARLAVAGAIWDTSVIMGLVKRYLSLGKPSPAASLFAEAYRGRPTVYSLNLAVRCFSGHGFHRELLDLYRELCGFGSDNFTFPLVIKGCTAVGCLRLGREVHCRVLRTGHGGNVGVQTALLDMYAKAGQIDVSRRVFDGMAQRDLISWNAMVSGYSLNGCFQEAVEALQEMQQGGMRLNASTLVGIIGMCGGVKAKDLGSSLHALAMKYGTIADESLTSAFISMYSAFDDVLSSRLVFDLQPVKDLVSFNSMIAAYMQHSNWKEAFDIFRCGSISFAREVFDSSVEKDSITWGAMINAYSIHGNGEAALDLFSMMTDSGKLLIESEHGKSRSYVMLSNIYASAGKWSDSEQLRADMEAKGLRKDVAVSNKSVELQLERMTDKNIEEANSSLSVSPPKSLQSSVEPEPIEGSDEDYHNNSEKTVRANFEAPMHQDHPMILVLAEKKSNFQDISVDQKVSTGDPINLSPKVDCSKLPSTNEVHDGNPSSSSKDHDGSDTMKSDTASSMFGASMQQDHMRLTSTDEKGDLQEISVEQKVTVGDHVALLPKADSYELPSTNEVPGGFPTPSSDAYESKEAQDGCIKMEALEVNVCAASQSLLRLNEGVQDGAYCIESDKVTCGAPPAILKKVEEDKPRTVSRFHKRQMSLGHTQQKVPAPLSRSSTGKYLGMDHTVVDTTTPIESVKVAASKFGGSINWKTRRSQTAQESNHIILELDKLKNEISECKCQAEAAEETNLAVFSELERTKKIIDEMEHVLERQQAIEVDAKEDLEFFQFILQEMDAGVACDDSIMVEEKLNNIQERHKSLVAKVMLVKDDFRKVQEEYDSLLIETDISVRKAQRAFAMSIDAKKQVEELTIELQRLKELFDLAQATWHDAEEHKKGTLMARDEDCLAWEKDLRQAEKELNQISMYLSSVQELQSKIDASSSMLLNLKNELATCLEAKLIEEAREQEGGTHKSMQEEAIILSRNELEEHRKSIAKVTDELCSLKATAATLKSELNKEKAALAAIQQKEAMASITIQSLKVEIKLSQQELEAVRAKEKERRDKAFELPKVLQDAAKEADESKSVAAKAQEELKKTKEEVEQVKAALGTMQFRLEAVLREAEVVKESEKLTLNASRALLVDTKVSANTEEQVSSQMITIDLDDYTSLIQKVHHAEELARKRTAAAIAQIEAAKEPESHTLSALNETYKALEERKQALLAATEQANRATEGKLAMEQELRKWREEHGRRRKAGEQASKSEAKSSNNTEIIVGDTKCRSKEDSCAGSSVHPVSDVSGRSSPNDLALQVKRKKAKKLSFFPRVIMFLGRRRLKAAK >Dexi2A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:2A:11167507:11168001:-1 gene:Dexi2A01G0009990 transcript:Dexi2A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVFNILCLFILAVLLQLVMRHLGVLYMVVLFALPFLVFLYLNVVFVVATAVSVADTERRGVSALRQAWRLMTRVWRKQGFVLVVLIHLVAMVPSPLGMIARGYSKKSMPLGLALLFLFNFTAAMVYYYQAMESKVSMEHDYVKVPTGEATTV >Dexi3A01G0026390.1:cds pep primary_assembly:Fonio_CM05836:3A:22601257:22602056:-1 gene:Dexi3A01G0026390 transcript:Dexi3A01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGSSGGGGGTGIRWEPHGRLLTGCLVALNVFLVLLVYVYFWRFFSRARRGGGGGDGEASSSVASSPPASPKARDRQEVERAITALPVFVVSSSSAADEGGGAAAARECAICIAEFADGEEGRLLPRCGHRFHARCVDAWFRFHTTCPLCRATVLAADDATAAAPAEPTTTNALSHHTDADHHHASTEADSPH >Dexi4B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:4B:17844605:17849214:-1 gene:Dexi4B01G0015880 transcript:Dexi4B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYEVCNTEQDEDKENPDERHDADSDVEMNDAKPLEDSGRRSSIQNVRMKKEPAETEATDMDVNSVAAEHSRGSGPVADGVGSSKQTLPNDASPMAIEEPGAQKVETESSNKLQDQPTMHQKP >Dexi5A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:5A:9690051:9691457:-1 gene:Dexi5A01G0012950 transcript:Dexi5A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRARGGAHRAAPYHRRGWLNDDGGGLLVSDDDDGEPLPIPDDALSPVLARLPSGADVVRSAATCRRWARLVAKDGAVLSRALPQLPCLTLGFLHQEDAGTTARRRKASSGAAAHPCFVPTASAARLIGLQAPSSTALADAVLGLGDVLEHARPVASRNGWLVLELRQERYTDSLKLCVCNPTRGDMAMLPPLAGADKPGDYACALYTGHDLGTTPRPLSAFFRLLIVYNRRAFTALRSYSSDTGRWSTEGKRSRGPKIAFPRELGQSIVVDGVAYWHLRHSAFAVRVDTPEPTEVPMPTKGPGISNWPRGWRSLGVDPDGKLIFIDASPCMDEGTPVSHHLVVATRSVFCPGSGSGDSDCSGEWVKRFKRIKLKQLKVRYEGWFGGVKTPPPFQEKVNLRWFSEKSGTLLFTLGKGTGSPGAFVLNIATGHVEKVADGVDCDLWRNFVGYEIDGAAYLASILRR >Dexi9A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:9A:14456381:14458944:-1 gene:Dexi9A01G0019540 transcript:Dexi9A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACPASASLLLLLPLPSPPHCPPLSSTRSPHRSLALAPARRATAVRARRESSPPSPPAPAPADSLDCLGTGSDVECFVDADAPQLPSRSPAAPKDDDGETAASASPAAAGKELWEWASLVSPFFFWGTAMVAMKGVIPRTGPFFVAALRLLPAGALLVAFAAARGRKQPSGWQAWLAVAAFGLIDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAILASLLFGESIDAIGAGGLVLGVVGLLLLEVPALSIEGNDTTIWGSGEWLMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNHDPALSGHFQDLTWSDILALGYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGYLYLGETFSPVQIGGALLTLVAIYMVNYKSIVGDK >Dexi2B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:2B:11126121:11130906:-1 gene:Dexi2B01G0010160 transcript:Dexi2B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEMNSKKPYVVALIIQVINAGMFVILKAAFNRGLNTFVFNFYCQAAASVLLLPIAFFRERKNMSSMTFGLLFKIFLFALIGHQEFAGASPELDADELLLHAAIFPKQGASPSFSSFSFAPLLKLKVKNKQTSLLLALHQPATLVGVVHILEIETPGQGEGEYGPDPVVEEATFEQQGRHLFHIRVQAALLKEYPNKMLVTVTQCVFSTFQSLVVAAVAERDMSRWKLGLDVSLLAIIYTVIRTAFSFTVGFLVTGVSNYLQVWCLEVKGPVFVAAWFPLCFVFTLFCSSFFLGEIVRLGSILGGILLVGGLYSMLWGKSKETMIEPCSEVKTMFSAQDEKEETKPEENNNHRKKQEDEVSAYAVEQV >Dexi2B01G0022360.1:cds pep primary_assembly:Fonio_CM05836:2B:31984954:31988215:1 gene:Dexi2B01G0022360 transcript:Dexi2B01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSYWIVSHSTAIRARSPRARKPHLRTTFFRTLSLFAKMGAFPVFVVDGEPSPLKSQARAARFFRGSGMDLAALPSTEAEAESSASAAPVKARNAAFTRSVEECVELLEYLGMPVLWAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKTVIKVIKSNCKEPFECYNIADIEAGLGLKRKQMVAMALLIGSDHDLQGVPGFGLETALRFVKLFDEDEILDKLHEIGRGVYPFPEGFDNPHIDDLPSSSTKRSAVKSPHCSHCGHPGKKGAPLLIWNKPDVEALVDFLTYSQNWEPSYIRQTMLPMLSTIYLREVASSPSTPLLLYDQYEFDSIQRIKIRYGHPYYLVKWRRGTASINSNISSKKPVTEEETSSGGIVVLDEDDEEADTMVCESSELLDEPDVPQVLSDDGCCFLLTDEDIQLVGAAFPKETARFQKEQRLKETKSRSRKSKSSANSSLETPNGPRPSGVQLSIKDFYRLKKGLNIESDRKPVREGQAAKDGSRKASDRDLNKSLPKSVRRRILFD >Dexi3A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:3A:11436963:11440097:-1 gene:Dexi3A01G0015380 transcript:Dexi3A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSCPGVSMSKKAFELGQLASCRCRSPGTRAAPRRRRTPCVCSVASPAQPGLAAIDVPRQTIPTATTPSVPERISVSSLLEVVSDDLLNLNNNLKSVSFVYKPHQNPVLISAAEQIFGAGGKRLRPALVFLVSRATAELAALSELTMEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLIAASTRSAAIFSGVGATICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQEEPRLREIIDSEFSEPGSLGTAIDLVHRSGGIRRAQELAKEKGDLAIQSLQCLPRSEFRNTLEKVVHYNLQRID >Dexi9A01G0049840.1:cds pep primary_assembly:Fonio_CM05836:9A:52355988:52356275:-1 gene:Dexi9A01G0049840 transcript:Dexi9A01G0049840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALVESSAAAGYSGQVTSFVVLSCIVAGSGGILFGYDLGISGWFVVALAYLLPFFQRWNPISLALQSPKAGLCCGPWEEMQVLVGVAHALQL >Dexi3A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:3A:2262197:2267315:1 gene:Dexi3A01G0003470 transcript:Dexi3A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTAPPPSSHPDHAGAITTGGGGSFVSLRAYGRALAQTPRRVARRACAATAPGEEMSRVRERSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSNWRIPVPGLPEGFNQVDLVAVGESSVVNMVLTAVHVAFILFIIVVGFMHGDARNLTRPADPSQNPGGFFPHGAMGVFNGAAMVYLSYIGYDAVSTMAEEVQRPARDIPIGVSGSVVVATVLYCLMAASMSMLLPYDAIDPDAPFSGAFRGRKRMGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGLFTAALAVFTELDILLNLVCIGTLFVFYMVANAVVYRRYVVGAGADELGPRRARRAAAWPTLAFLAAFSLLALAFTLVWKLTPAEHGGVRAGLLAACAALAVATVAAFQALVPQAHTPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSVAALLVYVLYSVHASYDAEESGGAAAGLDAGGAKVQDEACTV >Dexi1A01G0024960.1:cds pep primary_assembly:Fonio_CM05836:1A:31330941:31331168:-1 gene:Dexi1A01G0024960 transcript:Dexi1A01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTSDSSTATSVEANQELTGAARTSAGGGVQLVCEKKRMTGGGVQLGAWGGEQNWGLGVASRSAPVAGE >Dexi2B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:2B:25905903:25907919:-1 gene:Dexi2B01G0015810 transcript:Dexi2B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDLFLRRVFASTWNVGGIAPSDDLDLENWLDTRANICDIYVLGFQEIVPLNARNVLGPTKSCISAKWNLLIGEALNKTERKVHHYSLASLSKQCAYGTPAFSFLLCSQVVLLGDLNYRISLEEAETRSLVQAKNWAILLENDQLLFEFSRGRHFEGWQEGLITFSPTYKYHPNSDQYYCCFDGARGEKKRAPAWCDRILWRGKGLKQIQYETCSY >Dexi9B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:9B:6641448:6642293:-1 gene:Dexi9B01G0010550 transcript:Dexi9B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIETGLTLNTLTTVPHGHTSLAAKLAPGAVRCTAPARTPSINGIRWRSHQPFRLDLYNPQSPSIRNPKPIWGFPRRNHRKAEERREKRSPPLRGGASQPPPKKSLAAAAEEEHAKRGKGIADDHRCRAPLRRGSSTTTAAATDRTTTRKAVLRTKVIPISLRPAWWVSPPMCLAFEPWIILHGPLRTAAFTHAPTSVRCGPADVALLRPWQSHGTRTRSLAHPLVSRPRGLTRHARGPAFRRRDTP >Dexi6B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:6B:4021933:4027689:1 gene:Dexi6B01G0004700 transcript:Dexi6B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAPGRFHTLQEAFKSSSHCILTSCSRELVRRSFPSFTDAERERLYRMLIRVSLLLHSSTHFSLVRVMKSMHANIEEEFDELCQTRQVAAALDKIDEFEEEQNLDVLASEKTSIEEVEEKVSRAKKDEIEHLKGLLKKAEESNNALKARIELLKKGEDSTASRDLLNKRLLVPSWQPNGSHLLPPAPHHHQLPPSSQPHAMAATLSSVAAPSITNRSSIVRAQLQAPPSVISASTKTGFHGVSLVDTRWAAGHRRSSGRRRLLQVNARSTAAKNIEVEVDKPLGLALGQKPGGGVVITSVESGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKSDSVYFVERATHICLDCGYIYFLPKPFEEQPDDYGCPQCNAAKKRFARYDVATGKPIGGTLPPITVIKLS >Dexi3B01G0032110.1:cds pep primary_assembly:Fonio_CM05836:3B:34533529:34534494:1 gene:Dexi3B01G0032110 transcript:Dexi3B01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLFCCAKGLAENRKVVLKSEANLAFRRKDYKLASEAYSMLIEDKPSATLYSNRSLCKLKMDDGEGALSDAYQCRMMRPDWAKACYRQAAAHILLKEYKQAHDALLDAKKLDPGNDEIERELR >Dexi6A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:6A:2632300:2636544:-1 gene:Dexi6A01G0002840 transcript:Dexi6A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMDNPEGPVPDCGEGRHDASLPLPAAFLEFLSENGLDPAVYSMAATIPRYIRLKPGMESQIAEIEGELKCGLQKVSWLPGFYAIPPETQIAGSKAYQQGKIYGIDAASGAAILALDVRPGDHVLDLCAAPGAKLCMLADILGGTGSLTGVDVAKHRLSACRTMLQKYSLGDRTRLFVADGTLFSILPVNSNLRRMEGPVDLKEDVSTFSEWSSRRSWKDRQKAKKANATGSQHPLASSEPELIYYGKHSGLVGLRKSDVLCPTADDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDNLLQLQLHLLTNGFRLLKTGGSLVYSTCSMTVAQNENVVRQFLSTQPSAELQKIDLSDSWPCRSGGIPKTLRFDPATSQTSGLFVAKFSKLPT >Dexi3B01G0032840.1:cds pep primary_assembly:Fonio_CM05836:3B:35280258:35283418:1 gene:Dexi3B01G0032840 transcript:Dexi3B01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLTDSFDLGKREQVPGNVDIELGLQSNLTSSAQPGFEGFFEQVKDIENLLNTLTKLLKDLQNSNEESKVVTKASAMKEVKKRMDKDANEVTKVARLAKSKNVANREKPGFGKGSGVDRSRTTTTVALTKRLRERILEFQVLDTLQEIQERHDAVKEIERKLLDLQQIFLDLAVLVESQGEMLDNIETQVSGAAEHIQKGTVLLQKAKTLQKNTRKWTCIGIIILLIIILVVILSLKPWSWGK >Dexi9A01G0024300.1:cds pep primary_assembly:Fonio_CM05836:9A:20570488:20574224:-1 gene:Dexi9A01G0024300 transcript:Dexi9A01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAAMLPASMILVQAIMVGMLLLSKLSLSAGMSPIVLTVYRNIVDAVAVAPFGLVFERELLKKVNWVVLAWITGNATFGVSLAMGLYYYGLRNTSADYSAIFLNLMPIVTLIIAVLIRSEKLALQKWYGRMKFLGALFCMGGTLLVSLLKGPVLHIWPTGLLKGYQHANAASATDAHHNIVVGTLFLCGELCSILDVARLAKIFPSKYWTTVLSCLIGSVQCVVVAICLSHERAEWALKWDLQLVTISYSGVFNTGVMFVLISWAISKRGPIYPPMFNSMFLIVSTILDSVLLGTNIFVGTVMGTVLIVLGLYGFLWGKDEELKIMVAARAQQEAAVAHEHQPGAV >Dexi5B01G0027940.1:cds pep primary_assembly:Fonio_CM05836:5B:29450365:29454148:-1 gene:Dexi5B01G0027940 transcript:Dexi5B01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIASEAPVGAFAIGPSTALGRAVALRVLFCGSAARLRYRLAAALRVAAAWLHPRDNTRGILLAVCAVALLLRGRRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLEREAASQRASDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMLAELAEMEIKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELALDECVSLLNHLRRLKRSAERASASQGHGPTIRLCPSRRIPSWNLIARENSTGSLDEEMLASPTRTNQQGHVGVAGLSNRNQYLQRITHDSDSESESIDLHSWTRNGGPLMRTTSANKFISFVQNLEIDTEFRTIPSREDEPDLMTPNSSSLAAQAVTRESIDRTLDNSGLDMHDTGTPRTTLGPSTSIVVSEGDLLQPEKIENGILFNVVRRDTLLGSSSGAESQGSPREPDVETIQPECLDGVSASEDDDMEPDDDEAADPMRRNNLQHQGSSLEENVCCPSSLNREDETNTNNPEASSIFDICTENSLPEASSVNTELETAKMECADDNSAGKMDGVL >Dexi5A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:5A:3779700:3781262:-1 gene:Dexi5A01G0004950 transcript:Dexi5A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRGPYCREEAEEREVAEVTLQQRRSWVALSAIPVVYGAEDVRAEAIAHGTDDLALELQNPPCASYLALPEGLLPDARLCGETDSLPYIIAAVPGVLLVRTTDLARGRGAINPVDFLSDVRSGTATRLPAVPAELSTRSLPPRRSLGLVADPRSPGHYVVAPLHRADGKAMGRHGALVCYSTANRQWAVKTLSSAPDHKRWGKHGAFAHGGLLCWVDIAYGMVICDPFEEHPNLRLVPRPPGSEKGDGPRSTTLMNQRRCIRRSQGVLRYMEIEGLSYSMWSLVNPAGPTHWKFEHKVPFSKIWEHQSYLNAGMPKDKIPSLALVDPDDHDVVYFFQDAWLFGWNMRAGEITAYEECCIVI >DexiUA01G0003410.1:cds pep primary_assembly:Fonio_CM05836:UA:6997940:6998453:-1 gene:DexiUA01G0003410 transcript:DexiUA01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMKLSLLVPAILLILVVHVQGARPAARGPKCTASSVTVEQANTGEKAGYDPVFEVTVRNGCGCAVRGVYLRSEGFSSSVAVDPRLFRRDGRDYLIGDGRRIEAASAVRFRYAWDRAFRMTPAAVHDDCS >Dexi4B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:4B:3558277:3560541:1 gene:Dexi4B01G0004950 transcript:Dexi4B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCGRGALPLVFVAISPALLTYNALLSSHSGDLLPLASSSFPTAVTASGSVYNTWQCRVMYHWFKEARRAPGGGDMGGFTRILHSGKPDEFVDEIPTFVADPLAQGDQGYIVLNRPWAFVQWLQKADIKEEYILMAEPDHIIVKPIPNLSRDGRPAAFLFFYIEPKKNENVLRKFFPEDMGPITNIDPIGNSPVIIDKESLARITPAWMNVSIAMKKDPETDKTFGWVLEMYGYAVASALHGVSHSLRKDFMIQPPWDLEVGDAFIIHYTYGCDFDREVHDFIF >Dexi7B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:7B:11591070:11592803:-1 gene:Dexi7B01G0004620 transcript:Dexi7B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIKVHELRGKTKADLQAQLKEFKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >DexiUA01G0019740.1:cds pep primary_assembly:Fonio_CM05836:UA:40976661:40981227:1 gene:DexiUA01G0019740 transcript:DexiUA01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLLEPPNPNKLHRTEEEDLPLFLLQDSCYFVPSTAMRRPWRQPAPAPAAAAARHGAADLCLREVGDLLPRRFARRAAGSEDLVKRLQIHQKLDRHTGCVNTVAFNEVGDTLISGSDDQMVMLWNWDTGNIKLEFHSGHVNNVFQARFMPCTDDRTIVTCAADGEVRLAKIKDGGDVSTTLLGEHEGSSHNLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSFSKSGFSSCVHLNTIAIDPRNPNLFAVGGHNAYARVYDIRKHTWDGSSDSGHPSDCYCPPHLVDDKRVGITGLAFSHLSELLASYNEENIYLFPKNGGLGSDPKSIKIGVNEWCNSTMGQDFAQPKPTPQVYVGHENRETVKRVTFIGPNDEYVASGSDCGRIFIWRKTDGCFLRAMEGDECVVNCIEPHPHDMTIASSGIDNDVKIWTPFAIERAPTILIKPEWVMSPNPGPILLSLSSLLSYNLYSPHGYSWRPRKRRVKFWNFEEMFLRNFVVSGRRQQSDEEDSSEDLLYSSGLLNLVAAADGSVSSADDE >Dexi8B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:8B:21513722:21513923:1 gene:Dexi8B01G0012220 transcript:Dexi8B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEGGGPWLRSANNFLGRQVWEFDTDAGTQDERAEVERIRREFTECRFEKRHKTSFCEFR >Dexi6B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:6B:4749438:4751604:-1 gene:Dexi6B01G0005490 transcript:Dexi6B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMTIRPATAATTGGGHGHGHGHGGDWWSTAVSCSPDHQLPGFGAGWSTTVADVNRSRSGANAASEESPGSNSLATGGSSITFQEPAAGVVPQPATGLAAGWNHPYYLDGSGFHGGYMSSSRGDHQGHLDLRSPPSNNSLMLQDPGHDPNHQFLSNLGLELLSSPATSPSGGFRSSSLLRSLTEPSAAAAKPSSLGFQQYHQQQQTMNQAPASSIREALQFTNNTPFWNPSTGFAAASEGAASLAAKSALEGAGDSSSIIVKKVNTDPTPLKKARAGTPSPQPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGSLSAPYLKNRQQVPHLKVSRDGGEAAKVKGDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >Dexi7A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:7A:23606472:23606685:-1 gene:Dexi7A01G0013600 transcript:Dexi7A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDNNSHNILRYRRSRECDATVLRSKEKIDKFVDFFQGCPEADVSHDAGR >Dexi3A01G0022800.1:cds pep primary_assembly:Fonio_CM05836:3A:18387326:18387788:-1 gene:Dexi3A01G0022800 transcript:Dexi3A01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRACRIAAFLAGAFYAEGAVWNFPVTRWNDTWCRVLNDGVFRRAALLSLAATVLSIASYVMLRARAPSSTPSTAPAPAAAAGTSGPKPDVPPPSGEAVAIPLAQWSASRGAGTDRSRFRRSLGTMWWEDMVEHHTGSSIRLLGVKRSRR >Dexi3A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:3A:14914455:14919366:-1 gene:Dexi3A01G0019080 transcript:Dexi3A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRRSASWLSSASRSSLGGAVGGEAKVTPEVDPAARGEEEEVQEVDEERWSRLLPELLTEIVRRVDAGAERWPLRRDVVVCACVCRRWRDAAFSVVRPPLEGGRITFPSSLKQPGPRDAPMRCFIKRDKKKSTFSLHLSLTQALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPGSTSYVGKLRSDFLGTKFIIYDSQAPYDGAKPSRSQSSRRFASKQISPQVSGGNYEVGQVTYKFNFLKSRGPRRMQCGIQCPVGHGTASDPSKEKTSTPNSLDLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >Dexi1B01G0023250.1:cds pep primary_assembly:Fonio_CM05836:1B:28910715:28911620:1 gene:Dexi1B01G0023250 transcript:Dexi1B01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAKRPFDAAAASPIPRRFQAAVSAVSTAQILRASGYSAAEAAALRALSDVAGRYIESLGRAAAAFAEAHGRTEPNVADVVLALEEHALDGFPGASDPARPVLCSGALAELARFVDAVTEVPFAKPLPRRAPGSGARKGWESFAAAEREPPLRHVPHWLPRFPEGWEERFHGRGEATAKDEDTGEVAVKGSESFAAAHREPQLRHDPHWRPRFAEGWEERLRLRGRDETAAKDEEDTGEVVTVMANGNLVENGRSSVPENREKVLFRLGDKWRRRRQALPPEKCGGALELFVKRTEKLV >Dexi5B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:5B:1676538:1679113:1 gene:Dexi5B01G0002670 transcript:Dexi5B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFCGVLNSFVKRTSSGKHVSSLPPKPTNSTAAATMSKPSPKLGAGNKSEKDNSMVVDKAAPVVKGPSIAVDASKNKAQNGKALPSNSGSLANMWGRASAKPKPPTFPNSTAIASVAATADAQICAKEEADADSSDDEQGIKYKRGSSNANNRKRRALLDFSDDEEDDNIVSIASPEPAKQHASDPVTETAEDTEANKKNLENKDDIPNNVKDCSRGLDSELTSECKTKSVNTTNHSGITLKEKSSDPPVNKNEQNSTAEPASTSPKRRKVLKTRIDERGREVTEVVWEGEASDKTEKNVTATAASRAPPPSKPQPAANTDKRPAPSKAAGNKKPAKAGAKQGSIMSFFKKV >Dexi4B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:4B:6318677:6322266:1 gene:Dexi4B01G0008780 transcript:Dexi4B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEHEVYGQEIPVDGEDVDMSAAGDDAAKVPLRTPSPRPPPPRRPNPSGGTDPCSRAQLQELDEMKRRLKEMEEEAAALREMQAKVAKEMQGVDPNATTSENKEEMDARSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNESELHGRQLKVAPKRTNVPGMKQPRGRGFNPFHGHPYMRPYGYSPYGGYG >Dexi8A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:8A:2826709:2831195:-1 gene:Dexi8A01G0003680 transcript:Dexi8A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALPQTMDALSRRAAMLRDSLRRSQGNTDGMVRTHAIRTAHENIDRTIKAADGILSQFDLARRAEATILRGPHEDLESYLEAVDVLKGIVRFFSSNKNFKSSETVLNHVNNLLAKSTLKIEEEFKQLMSTYSKPIEPDRLFDCLPKSLRPTKGDHEADGGSQSDHPSKGLETAIYRTPTLHQANNVVLFKQVKLLLAGERKICDQIFDGVNFNKGHCFAELTANSVITLFSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIEEIFEGKPCTEMREAAASLTKRLAQTAQETFADFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFDSGTEAESQLAAVTTRIMQALQNNLDGKSKQYKDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKSLATWQGIPSCSVELFFRHSPFKVQAALVTSAAVEFQELLSKNGDHLEASSCLHNLVENNKNPQKYVRYSPEAVDQLLGQFFEGQQWAEQKR >Dexi7B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:7B:13095026:13095969:1 gene:Dexi7B01G0005630 transcript:Dexi7B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDRISLLPDCLLGTIIHLLPPKSAACTMVLSRRWGRIWPSTPLDLDLDSPDSDVRYLSAGAISSILSSHRGPIRRFCVTSIDDVGTRAWLQALAGSHGRVDHIHSLALRWALDIDHPTVPRRLLGGAAGASLRHLDLHCCRLGRAGALCSPVPTMPRLDFLYLSNVLISEAALHRMVEACPILRELRLFMIDGLRRIGFRSHTLAVMDISMPRVPLDEFSAGETPNLESVTFSGHYLL >DexiUA01G0020320.1:cds pep primary_assembly:Fonio_CM05836:UA:42481097:42491727:-1 gene:DexiUA01G0020320 transcript:DexiUA01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSWMWPPDTFSIAIDTQIHLSTLHYHTCRPFEASSRHSKHQAVRNRTADNTNRRDLAKLHEARGANGDERATEGVLELALVRLPRQPAHKDPALLLPFHRRRSIDGFDVGWGGEERLGFGEKRTRQYEEEEVASGNRGAPGRTAREIEFAARTTDHHDLPSCPTSFPAIAVAEPATRITMNAETCACRRPPLSSPVTTPHAKNNPRFAASNRVPPEVVIVGFCGASVTGGLTGPYSGQATLRSSGEEISTTARSSAFVYLTVENHERFMALQKHIEAEFLKTFRKGREDLGRQQMDLQPVRPPARLVRLRQAVRPSSGQIDLTNAMVFVPEQPMPLATVPNSVSAGRAVCYRRLDRLRQAVRPSKMNMAKEQGVQKPKVTIPSPPRMNLDPVWDDNGVMWVQEDAYRTVLYTPDMTGRLSANLAVRPPVAGGQTARQGQLPRAPLKQVYRPKKKEEVVQTACRLEPAAQAVRPGDAEAPGVSSSSSSARDGKTVNRTPVKTQRFWASSRVETLPRYLIAPATVSEAPALTGDVRAAPTMGKLCSSRGGGGVVGSDLDLEGEDRMNLDGRESGRLNYGIIDCHNQPFQLPPKERPLPHVGLGTPHPRPGSGEASERARHLLEQEGGNGEGEAPDSDMDTEDNMPSLPAPPSPMGSEATANNLDDF >Dexi3B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:3B:6685884:6689385:-1 gene:Dexi3B01G0009650 transcript:Dexi3B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAASTATRFLPQLPSPWLRRGRVALLPTPTAPRPLAVTVPAASRRPGDGEGGRRERTRRRRARGAEQEEGVSLSSEKETVNSTPGAQTNKGTEPVPAGKGPAIRRVTLVVLAAVVFGISIALRDGGEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFQVPKEYQNRVLSYGIAGAVVFRTVMIVLGVATIQKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCQKFIPVSDELTLCFYLSDSYDDDRFFTNQDGFWKGINIRVPQIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPAIGIVLGFIGSKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGNK >Dexi3A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:3A:10569623:10574668:1 gene:Dexi3A01G0014520 transcript:Dexi3A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGARAQLLPAIALLLLAAAPLCSGAGDVAVSFAAAPRRVSGSPSAAFAFRTTLLTTGAGGPCGDCTVTCQLDGGRASACGGNNGTETTVRYAGLADGSHTLAVCASRRGSGGDQSPTCATYAWDVDTVPPTASVTAGPAFTSAPNVSALVSFSEPCLGGGGFVCNDTYCNLIVYGPGRVEPSTLHELRPGLLYSVAVSISPDTQYGRVILFMGKCFCTDAAGHPFTRTLNSTFTLHFDRREDSMSIAATVPEKMLEIQGVTRLVQATNDEKDLRIYLTFAQPVLNSSSEILKALTATDAVLTPTNRSTLGNRRFGYVVSSSFHEASKSIYTLRIQAAEKLVSVQVSENAAQDVTGNPSLASDSLQVRHYSVPVSSSSVAGITTIIFVATAVVSTLLTVSTSSLLASGAIPRPSSYMISEPSRNLLRMACHIQIFALSRWLSVNLPIEYYEFAKGIEWTIPYMRLPWEGPGADPFLGYSTMPAIALSELLDRTAVGATDISYPRAQGQPVMPATIPSDPVFPTELPGDGSPVMPMQTPGGVPPPVMPMQVPLDGTPLTAMEYRSFFENPDMKPEAQIIMKLQDLDGWKYFVRNMFWLGVIGGGLILLHFFTLLYFKLRYRGMEGRHGLGALVLPRLEIMLAILAAPCVAQAAAAAISGGAAGGLAAGVALTGVLTALLVGLLLFLSLGITMGKLLQYKEVVHQEERRREHHWCQELVRRTLGSGERGQWTWKHPRRGAASLAMLAPLFEDLLGPPPRYTPIGAGGKRGAAAGAGGGEGIIASDNEEAEAPLIEKVSSVLRVYYTPLESVKRVAVGLVAGAHVASSSSSSPSRAHAAAVLSIASLQLVFVALSKPFVRRRVQLVETLSVASEVLVLAACVVLTGDGEARGVGVAMLGAFAVGFAAQACSEWEALLRQVRQLSADRSSLLDGAKTACLGLLLLVLPSSVLGDRVAVNHRHHRQDPPPPDGGDGARESVSASTPDEGGKGESEGSRGSSNERWWLRQLREMAKASFSKEAGGGAGGEQASTSGTKARSGEWKSKSRGLYNDLEAISNR >Dexi6A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:6A:1371169:1371512:-1 gene:Dexi6A01G0001550 transcript:Dexi6A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQHHRCLKTLKIKGFHSAKSLVELTCYILKNTKSLECLTLDTTYGDPKCDTGYAAGQCAPMNEGFLTEARRGAAAIRTYIEDIVPSTVKLTVVEHCRRVE >Dexi1A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:1A:22967077:22969281:1 gene:Dexi1A01G0015960 transcript:Dexi1A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCSASARPLEAPGQPRRGARPPRTVAMAAGARTSGAEARASLVLALASQALAASQRRAADLAVEAAKYAFPSRRFEPRTLEEALMSVPDLETVPFRVLKREAEYEIREVESYFVAETTMPGRSGFDFNGSSQSFNVLASYLFGKNTTSEQMEMTTPVLTRKGEPGSETMDMTTPVITKKSAGENKWKMSFVMPAKYGSNLPRPKDPSVSIKEVPGKIVAVAVFSGLYLVCLCLVADDDISQRESKLRESLQRDTEFRVKDNSVVEVAQYNPPFTLPFTRRNEIALEVEKK >Dexi8A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:8A:5111940:5112298:1 gene:Dexi8A01G0005520 transcript:Dexi8A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSPPPQPQPQPQAASPVEGSRRRGPPLPPHIKAVAGSLGGLVEACVLQPTDVVKTRLQLDRGGAGAAYRGMAHCGATVWCGEGAAALWKGLTPFASHLTLKAVAGKVG >Dexi2A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:2A:22431050:22432746:1 gene:Dexi2A01G0013730 transcript:Dexi2A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKASWGHQRQWTLTLVTVAALLENADENLLPATYNEVGAALGASPTALGSITMCRSLAQALCYPLAMWAAARFDRARVVAAGTFLCALTTALGGASATFLQMAIARAFNGVGLALVLPAVYSLIADHSDDDTRGAAFGWVYMAQGVGSAMGTSLGVLLAPTTFFGVPGWRLAFHGLALAGVTISLLTWLLAADSTSRQRILRSSNSSPKAATVAEIAREARCVLGVPTFWIIVAQGAAAQVPWSALTFMPMWLELVGLTHWETTVVTTLNCLSNGLGALLAGFAGDLAARRFPDTGRVALAQASNASIVPMAALLLLLVRPGWPLASAVYAAGFLLLGVAMAWSTVSTSNPIFAEIVPEKARTTVYALDLCLENVVASFGAPVVGILAERVFGYRPGVSGGSGAQAAALGKAVFAEVAVPATICCLTYSAMYWTYPADRRRARMVAAAMPEASGGDEKNYDGETGGGAAVASSLADDEGLNQALLSVKVAQ >Dexi3B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:3B:12739703:12752086:-1 gene:Dexi3B01G0017320 transcript:Dexi3B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGLALKLRAAPPAATGRKAPRGRTTVSAAAYGGGGALQQRRCCGGCLSLEGGGFLRGAPLPAAAHQRARALPWAERAARRHEGVVASAAGVRSMSKIPGSSIGLYDPSFERDSCGVGFIAEISGEPSRKTIDDAIEMLERMSHRGACGCEKNTGDGAGILVTKDAGFELPPPGEYAVGMFFTPADEKRREKSKLVFREIANSLGHDVLGWRRVPTDNSDLGKSALDTEPVIEQVFVSKSTQSKADFEQQMYILRRLSIKSIQEALDLQLGGPKDFYMCSLSSRTVVYKGQLKPTQLKGYFFADLGDRSFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCKGLGLSRDEMSKLLPVVDASSSDSGAFDNVLELLVRSGRSLPEAVMMMIPEAWHNDVNMDPERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVVMASEVGVVDIPDDDVMRKGRLNPGMMLLVDFENHCIVDDDALKKQYSRAHPYEEWLERQKIQLVDIVESVPETERIAPRMGALLQKNESNEAVGIHGILAPLKAFGYTLETLEMLLLPMAKSGVEALGSMGNDTPLAVMSSREKLTYEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTEHQCHRLKLQGPLLHIDEMEAIKKMNFRGWRSKVLDITYPKEYGRRGLEHTLDKLCAQAHEAISEGYTILVLSDRGFSLDRVPVSPLLAVGAVHQHLVSNLERTRIGLLVDSAEPREVHHFCTLIGFGADAICPYLAIEAIWRLQNDGKITPKDDGQPYLQEELINKYFYASNYGIMKVLAKMGISTLASYKGAQIFEGLGLASEVVSKCFEGTPSRVEGATFENLAEDVLHLHDLAFPTRTLPPGSADASAIPNRGDYHWTQKGEVHLNDPFSIAKLQEAARLDSREAYREYSRRIEELNKLCTLRGMLKFREIPVRISLDEVEPASEIVKRFCTGAMSYGSISWEAHTTLAKALNILGGKSNTGEGGEQTSRMEPLPDGSMNPMMSAIKQVASGRFGVSIDYLTNAIELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHILISGHDGGTGASRWTGIKNAGLPWELGLADTHQTLVANGLRGRTVLQTDGQLKIGRDVVIACLLGAEEFGFSTAPLIVLGCVMMRKCHTNTCPVGIATQDPVLREKFAGKPEHVINFFFMLAEEVREIMSKLGFRTMNEMVGRSDMLEVDLDVIKGNEKLHNIDLSQILKPAAEINPEAVQYCTEKQDHGLDMALDNKLIASSRVALENGFHVFIETPVRNTNRAVGTMLSHEVTKRYRMPGLPPETIHVKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFIPQENIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGMFSTRCNHELVDLYSVVEEDDIVTLRMMIEQHRLNTESVLAKYILSNFKDLLPRFVKVFPRDYKRVLENIKVEKVAKEAEEKARKAGLDKKAGEVIKAPNGISVITKEVKDKKSSSRPTQVYNAEKPRGFVTYEREGISYRDANERVEDWNEVPNELVPGPLLNTQSARCMSCSTPFCHQNLLISRALSLSRDLHIPGRELSGIHFAMEFLHANTKSLLDSNLEDGNYISARGRKVVVLGGGDTGTDCIGTSIRHDCSKLVNLELLPKPPRERAPDNPWPQYPRIFRVDYGHQEAASKFGKDPRNYQILTKRFIGDENGRVKALEVVRVEWGKVDGRFQFKEVEGSQEIIEADLVLLAMGFLGPEVDIAKKLGLELDNRSNFKAQFGNFTTNVEGVFAAGDCRRGQSLVVWAIAEGREAAAAVDKYLSRENKCC >Dexi4B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:4B:22394280:22396615:1 gene:Dexi4B01G0020150 transcript:Dexi4B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDQDGSAHTTPGHNSRRPNLSLQIPARTLDTSIPTSSRINISSSPSSTRASLPPRPNSTRTKSSIKHIIPQQSFRARSSAQDGDRVILLNPGTTSEGQQDNPTTARSFSFRKVINSLSAKRTHSLPVTPVGTTDKVSSPANHLESLPTTSKNQEVEAKIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPVDATASNDVIEETNDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRMANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNQRLAQQAANAAQHPEARNNGANDNNGDRQQGHDPNSGNDAV >Dexi4B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:4B:21018818:21021452:1 gene:Dexi4B01G0018640 transcript:Dexi4B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRVLSLLLVAAAVLAGAGAAHGFYLPGVAPADFRKVVSPFLVFFLRASLLVRPRDPLAVKVNQLSSIKTQLPYSYYSLPFCRPGTIVDSAENLGEVLRGDRIENSLYVFEMMEPKLCQIVCKNTPNEDEAKDLKEKIDDEYRINMILDNLPLVVPIKRLDQEGPTVYQQGVHVGVKGQYSGSKEEKHFIHNHFTFLVKYHKDANTDLARIVAFEAKPYSIKHEYDGDWKGNATPLKTCDPHSRRLVIDSDSPQEVEANKDIIFTYDVKFEESPIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLEDQEDAQEETGWKLVHGDVFRPPVHADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGSEWKNVTIKTSLMFPGIVFIIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISMPLVFVGSYLGFKKPAIEDPVRTNKIPRAIPEQPWYMNPVVSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITVVLCYFQLCGEDYQWWWRSYLTAGSSALYLFLYATFYFFTKLEITKTVSGVLYFGYMLIASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Dexi5A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:5A:3165117:3165578:1 gene:Dexi5A01G0004200 transcript:Dexi5A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTTTVAMYLEQGFITGYVPRAGRRRWRKTAGVVSPPGRRPRREQDGATIGRTRRAAAATSDGGRRRRYGRDTGLAAAIRQKPLGGVRDDVRQRPATVGAGATSDGRWDGGGSDQRRWGGSGDAARTLAWLLRSGKNRWAACVTTCGGDQRQ >Dexi9B01G0032450.1:cds pep primary_assembly:Fonio_CM05836:9B:34685001:34686666:1 gene:Dexi9B01G0032450 transcript:Dexi9B01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPANTTWCARCGAYLSVAPGARSVRCPLCHGVTRVERRRPHGQLHRAAVGFIKGLMNAFTPPPQQTSSSPAAGGSPPGSSFSQLPASSSYPARGRGCQKRALLVGISYAGTKYELKGAVNDVNCMHYFLRERFCFPPDSILEDRDLSRVPTRANLMRALRWLVDGATGGDSLVFHFSGHGVQKLDNDGDEADGYDEALCPVDFEDPRGGVILDDEINATIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRLSRTGYWQWENQQTRFSSEQKCTSGGLAISISGCGDSQTSQDTTVSSETRLPTSPPVFRNPRPACSSSSICVDMQAFSGSTSTGAMTYSFIKAVESEPGTTYGRLLTAMRATIRDNGGESGIPGPIGTFFRRVITFSCAQEPQLCASETFDIYRKPFLL >Dexi3B01G0029810.1:cds pep primary_assembly:Fonio_CM05836:3B:29102671:29106013:1 gene:Dexi3B01G0029810 transcript:Dexi3B01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALSLWTPLTPSRCALSPFATTSPRRSFRSMTLERGSRISVTIELSPDPVYPSRSSLARMSVTIELSPDVPSSFSHDSRPHDRTLEAPHQARGGTYPRATFRHARGSAAKLEGALTLERRSGTLEAPQPSSRGALTLERRSVTLEASQPSSRGALTLEWRFRPFEARQARARGSSSKRRSAWSKCLVQARKLCPTPQTPTFNFTRFEVHAPGARCAGRSSAATWPASGAGGAELAKPRRTLALPSLRRRRLASRRGAPSYGRAPPWPHARSRRAERTAGGRVRSHRRAINGDRDSHPRARTLLRPHSLHRALPPLLSLAHLAISTSPRSLGLLFPRAARTEPSFSEKFTLHTPPFPSSSRTKLALYSSSISPHFPQAIVPSPARIRDFPQIAIFGHRSTRTSSPYSEPSPRSTEHAIGFLELHWCSRTPRTSANDPELTGVEAAAAAPPPPRRRRNSDHPRPPNRPQTTRGEPRKLFPHFPEPSSPPFGRRNSGESRGPSFIVFICLGVSVQKSRDSYASHVEGAIPDGNYHLIPADEEEVPEEGAGAGSTNPEANPQFEQEGKPRSIT >Dexi1B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:1B:23135410:23137014:-1 gene:Dexi1B01G0016630 transcript:Dexi1B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRNILLLVIAALLAGSSSAQLSTGFYSSSCPGVYDAVKSVMQSAIATEQRMGASILRLFFHDCFVQGCDASLLLDDTASFQGEKMATPNNGSVRGFEVIDAVKSAVEKVCPGVVSCADILAIAARDSVVILGGPSWDVKVGRRDSTAASFSGANNNIPPPTSGLSNLTSLFAAQGLSQKDMVALSGTFRAHVYNDTNIDGAFARTRQSACPRTSGSGDNNLAPLDLQTPTVFENNHYKNLACKKGLLHSDQELFNGGSTDAQVQSYVSSQSAFFADFVTGMIKMGDITPLTGSSGEIRKNCRRTN >Dexi6B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:6B:4665615:4669650:-1 gene:Dexi6B01G0005390 transcript:Dexi6B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEAAAAVAMRDQHQSQVRTGEDNGGAKGRRQQPVEEKAVVVRHRQLAAAMAYEAAAAVALGEHLRHGGEKGRARSHGKTKQVKKEERVVKGGGALWMVKRVLPLSGSGSGKK >Dexi8B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:8B:1891480:1894859:-1 gene:Dexi8B01G0002720 transcript:Dexi8B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDSSDMGSSDEDLSEEEIELRPIKKAPWIGVLKYNSDKFAKIWGWEMLLPYKGDPDWPDYCNYIGQYYERHHSVITEVTGSGLVDAAKTCITKEADLICKMLSVGAKPSNYTIFQSTNIRMCALSLTSHQLRDCVPAAAAMLGIMKETNKICDWIRRNDKAFNTSDKNLDHDFELGRQVRQGTLHFMTTLLEKSPFPVSSAAAEQKPVEEKYFSGNIPRNDENCIVPAEAANSTGCSTQDQENMTSTDSSKRRMEDADKEASDKAEFGSYPSGSKLSKRGGRYCNQNEICTDKDRMALDSPFEDLEECDDANTVPPVRIVKFATAIEEFYRVV >Dexi1B01G0026470.1:cds pep primary_assembly:Fonio_CM05836:1B:31335149:31336645:-1 gene:Dexi1B01G0026470 transcript:Dexi1B01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNDLIGVQDTVPAEGNHSCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAEFLNSLRPGSVVLDAGCGNGKYLGFNPDCFFIGCDISPPLTEICAGRGHEVLVADAVNLPYRDDFGDAAISIAVLHHLSTDDRRRRAIEELIRVVRRGGLVLITVWAREQEDKALLNKWTPLCEKYNEEWVDQSSPPVRSQSATLLESIAETDEDAGVMKQTDNQLKKCHDGMEDKTITACSNSNNDEKEKNQQEYFVPWHLPFHRAEIGGASAAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSGIKNAAIVDQFYDKSNWCIVLKKL >Dexi9B01G0048120.1:cds pep primary_assembly:Fonio_CM05836:9B:47113824:47116011:-1 gene:Dexi9B01G0048120 transcript:Dexi9B01G0048120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVKLQAKQYPALV >Dexi6B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:6B:3616495:3617477:-1 gene:Dexi6B01G0004340 transcript:Dexi6B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPLILVLQLLDLLRHVVLLCLSSLGLAAPPSADDHPAYAAPPPDLWALPPSSSPQHAAAAAAAVPPASTPAAIKARLPAVRYADLRRGSPAEPTPAVCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRALLLPGGEGEDDAAGELLLAASSSSSFYF >Dexi6B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:6B:19794820:19799326:-1 gene:Dexi6B01G0012200 transcript:Dexi6B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTAAAGDGAQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIVTFTRIFSPEEDCEVIESEKHSHVFYQAEPDIWMVLDDTLNLYTYAVLRLTPRALSSNASSWSYLRKGTSVNAGPTSSSSNGTTAGERPLLREKLSKGKDGFVAADFTATEVRGAVPLTPILWFQQAEERMYLCIYQHKSLTILLLIPASSLINGEEGITHVKKQMLENGSQKIVTVEQKLSRGWGGENAYHVGGYRYLLVDPDRRVSRASPPGKVTTLAKDSLLALNRLRQEVDLEKSRHKTSDPCHDKDFEASIRTKNNAWVIAKITRGRELYMALEKGGETLLYASTAVEKFSNR >Dexi9B01G0045190.1:cds pep primary_assembly:Fonio_CM05836:9B:44775390:44776104:1 gene:Dexi9B01G0045190 transcript:Dexi9B01G0045190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRGDRPAALAGLRLRCLISFLRRRRLYRTAHALERETGVFFDAEHLRRMLLRDRWAAASKYALSFVNARDCSREADLFNFRILVLRVIAAFAAGHGRFVGALFRRIYSYLDVHDDCNSIRKLLLSMRSDVTK >Dexi3A01G0033140.1:cds pep primary_assembly:Fonio_CM05836:3A:37933809:37934510:1 gene:Dexi3A01G0033140 transcript:Dexi3A01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDGHRLWDLSRRATSFLRMARLALTGAAAAPAHLLVAEEEVVGGGRGCVSCYRSVSTVEDDWESDELWLDEDETELLVDNDDDDHDDGSGTIRGVSENSTPQFIGPLSKKPQFVGRRPVITTSAAALHPEDDAAVAESSEPLVPRRAAKRANDAETVHHPFGCDRLGSESSSLLLVSSS >Dexi4B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:4B:4433061:4433846:1 gene:Dexi4B01G0006290 transcript:Dexi4B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVSPPWPRQCSPWLDLQPELLGLPIAFASAQFAVRAPALSAPLAHPPRWDGTFLSIPDGEVHRVPLPEGARRCHGSMGNWLFLEHIDGGCSLMNPFSKAAVVQLPGATTSGLPEVGATLVVAGWIRHQIHFSLSGSAFRVPKPKHFVDVAFFGGKLYALSQVELFVVEIDPSYNGTLKSHA >Dexi1A01G0028060.1:cds pep primary_assembly:Fonio_CM05836:1A:33787572:33790081:-1 gene:Dexi1A01G0028060 transcript:Dexi1A01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHQSGRPPRLTRRNAIRQSAYVPGLSPPPDQTDAAGSIGAYGSDLEEGDALGAAEEIGEMVETGDETAWSEPSDSSVLTVVKRRISNWRKLDLVGAGSFGRVYKAVSEDIKCANILLDLNGTVKVGDFGLAKQIKVWKQKRSCAGSVHWMAPEVIRGTPYGRSADIWSLGCTVLEMFIQRPPYPDENWVSAFYQIGRGQLPPVPSSLPPVAREFIQECLRVNPDDRPSADQLLEHPFVAPPDQAQRVA >Dexi9B01G0040090.1:cds pep primary_assembly:Fonio_CM05836:9B:40715337:40715822:1 gene:Dexi9B01G0040090 transcript:Dexi9B01G0040090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHGLATDPSRTLRCPVTSRVSTAPLGLVSSLSFNRGCKEKNKIFINVDRYSKYSTPFCYSQRNARITPLATASFGDMADSSTLTLRHGFLRNS >Dexi1A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:1A:3940202:3943178:1 gene:Dexi1A01G0005390 transcript:Dexi1A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCTTAHHSLLGSPTCLARPRRRACPVVRAAVAVEAGAQAKVSLIRIGTRGSPLALAQAHETREKLKAAHSELAEEGAIEIIIIKTTGDIVLDKPLADIGGKGLFTKEIDEALLNGTIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTANSLAELPAGSIIGSASLRRQSQILYKYPSLKVVNFRGNVQTRLKKLKGGDVHATLLALAGLKRLNMAENATSLLSVDEMLPAVAQGAIGIACRSNDDKMMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSKVYETRRSGSYSFEDMVAMGKDAGHELKAKAGPGFFDSLQ >Dexi2A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:2A:5450190:5450660:-1 gene:Dexi2A01G0005670 transcript:Dexi2A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSRCLRLRLALSAAHGHPFLTRPSCGSRRSWRWMSTLPTGVIAAMPLGRALNGLTHHPRLGSLRWVDLAPDDVRIGDAWDAPGRVQICGCRRRIRSLTEVLFICGRGAGGGGVWAGEEALPCWEGAWRRSPTGSTLEDALGGRGRGEGAIAP >Dexi6A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:6A:10791657:10801135:1 gene:Dexi6A01G0009390 transcript:Dexi6A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSSAAASPTPSEREAPRSPPLPAPPLVGALIESLSFRGCGFGRAAASAFEKEDLRSRAALPRRLRAAVHAAMRARDPAAGLFALDDRDGDGEGNPWFDAAAHDDAPESPLIAFVNPRSGGRLGPVLKTRLQELIGEDQMAGVAGYVELLSRCGEARAIARLQAALVTSGLLRRSAELHDALIRALSRSARPHLAHPLYAHLLRAGFLPTPHTLPSLLKSIALSPAAPGAAALALAVHAHAVKLGLERFHLVSNALIRVHAGLLGRLDDGLLLLRTAAAVDAASFNTLITAYARAGRVADARKLFDEMPARNAVSWSAMVNGYVQAGDGREALEMFARMQAEDVAPDDTVLVGVLAACAQHGALEQGKWVHGYLKANGTRITVFLGTALVDMYAKCGEVQLAMDVFEAMKDKNVLAWTTMIKGLAIHGRGSEALMLFSQMESSGVRPDDIAFIGALCACTHAGLVDKGRELFDSMVMKYGIKPKIEHYGCMVDLLARNGLLGEAKEMIQKMPMKPDALIWGALMAGCRFHKNVELAEYTVKHWILLEPEKSGAYVLLSNIYAASGRHNSAREIRNIMRERGVDKTPGCSTVEIKGVIHQFIVGDLSHPCIKDILTKWHEIDSRIKLEEGYIPDKKEVLLDIEEEEKEDALSRHSEKMAIAFALISTSDNMPIRIVKNLRVFDLTAVKPSEFVEYALACLEQLADSGDERARFVRHNLRVMGASFSFSWKAAAKRSLYKAIFGSVCCLDRKDPYTLVLPFQMAHIMLPLCSWHVVVSMPEDGEEEKEELDLPHSLRHLGECTFYDDGTAKGELAETVSCFDGMDAQVAYGFHHLRDEKPYLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIICLSIKRMDSSEWESIPVPSRQADNLSLVRAIVALNLHNYASGRNPWGNLKPDYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRIEIKGGQWRDAFMQMDGEPWKQPLSSDYSTFVDIKKVPYPSLIINGGDR >Dexi2A01G0033060.1:cds pep primary_assembly:Fonio_CM05836:2A:43366936:43370546:1 gene:Dexi2A01G0033060 transcript:Dexi2A01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKERSSASAWRSLLGGCFGDGGGAKGDRQRKVRPGGGGGGGRLSFTDLSGAADQDLSVSLVGTNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVADGVKKGLKPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFSNHSSRNAGCSDDVSEIDEADKSQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTSKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDFARPYLKDPLKLGRIMDPAMEGQYSTRAAQSAALVAYRCLSGSPKNRPDMSAVVEALEPLLSLKDDVPLGPVGPVGPVVLFVAAPTTEEEKERTPRKDVRRRRPMSPKASPRKRAGAGQKEEFWVWHLPAEQKAA >Dexi1B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:1B:4232911:4233348:-1 gene:Dexi1B01G0005170 transcript:Dexi1B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNRFYCPSVNVERLWSMVPADKAAEAGADKAPLVDVTQFGYFKVLGKGMLPPKPIVVKAKLISKVAEKKIKAAGGAVVLTA >Dexi9A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:9A:426926:427172:-1 gene:Dexi9A01G0000800 transcript:Dexi9A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIALCEGAAKSPCLSECSGSRIVAASRVRRGAISCRQEKPGTFCLLRASSNFHRNSRGCNAINVADT >Dexi5A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:5A:1483882:1484456:1 gene:Dexi5A01G0002120 transcript:Dexi5A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRTVSRGSSSRASQQANTVGKETGLPLIQCTSCGLARIIELRAWTDGNNGRVFFKCPRNIQGAPDKCGFFYWQREYLQELVGMRRITVHEEPQLGSSSEEAESPYIL >Dexi4B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:4B:22040905:22042237:-1 gene:Dexi4B01G0019910 transcript:Dexi4B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRSRPPSTSISPNSGETTPEQDGGRSTLPQLRRGVGCRREFSCCVHATTPAMEDEWEREEERPVRGGL >Dexi6B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:6B:21493952:21494361:1 gene:Dexi6B01G0013730 transcript:Dexi6B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHDKMSVQELPANSTVVDLMERVGAISPRWSPYSFPLKEELRPRINHKPISDPNRKLSMGDVVELTPALPHKSLTEYREEIQRMYERGGFTLAITPRS >Dexi3B01G0025940.1:cds pep primary_assembly:Fonio_CM05836:3B:20894148:20899603:-1 gene:Dexi3B01G0025940 transcript:Dexi3B01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQAPNCILRSAVLAVPSLPHLSPAVTNHRRLLVVVHGPLSLPKPHRARPPFTTPLPSNPDLPDTPGLAMAFPSPTSLSSSGYPAPIHLRLQPLPSIPPLHPTLLPFPRSLPLHLTSLRFSRPHLPPIPLASTGIGGGNDDDLPSGGGGGGGGGDEGGDGSADGAGDGDDDASVNRREALFVLAQLGRKLESLPADLAAAVQGGRVPAEILRRFVDLEASPVFRWLLQFGGFKERLLADDLFLTKVGIECGVGIFTKTAAEYEKRRENFIKELDFVVCDVVMAIVADFMLVWLPAPTVSLRPPIAGNSGAIAKFFYNCPDNAFQVALAGTSYSLLQRAGAIVRNGAKLFAVGTSASLIGTGVTNALIKARHAVNKDSAGEVENIPIVATSVAYGVYMAISSNLRYQLLAGVIEQRMLEPLLHRHKLALSAMCFAVRTGNTFVGSLLWVDYARWTGVQ >Dexi1A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:1A:11659491:11664571:1 gene:Dexi1A01G0012140 transcript:Dexi1A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPSSCKGEIPGLMFMCSELYIAAFEGRTQEVTRLLSGDSSTAVVAVANGHPSPRGRSQAIHQGLCCITREVTAQQSTLLHIAAGQGHCELIAELCLRDAALLSLANSSLDTPLHCAARAGHADAIDAIVRLAREDRVEEDLLRALLSSKNKAGDTALHVAARHGHGAAVETLMKLAPEMASEVNGVRVSPLYLAVMSRSVRAIAAIAGYRDASAAGPDSQNALHAAVLQSSGHTPFDLVENSTGFFSMASLVVKLFVSGSQFRPQRQDHIKQWNGQDIMKWREVTSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNGNRMYNTFLLLDTVAVTTAVMATILLIYGKASRSPRSRSWITFVLSLYFLWLSLLSMMLGFYTAIAAVVSDNKKPIRTAVARVIYCGLYILMALLTELAMPGSLVGVLRFVVGVCSGRQRHVKKQISRQYPFVIMYAINMFLFVVVNTIAISAVDTTASLH >Dexi3B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:3B:10698501:10699738:1 gene:Dexi3B01G0014870 transcript:Dexi3B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVTSLWESMIILLYNADRELISKSELKTKTVLESGTMDVVFTLDNGEKIILQAQFVLNDDDRKRIQEMRSSAMKRKQQELLGDGNELNFPDKFEILSDSPLSKRLTEKISNIQGKGDEQPKLQKSVSLDDLQERTTLSGIKVDPRMKASRDLLVQRGVKNTSRFENPGDSKNENGKPGSKSSGSVKTMISSFEGTSPQVFFSS >Dexi8A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:8A:11744559:11744882:1 gene:Dexi8A01G0009140 transcript:Dexi8A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIVAPVGDSVVESSVGMEEAIVVAVDGAADQRKKNAAAAAAGLMWKAPAAMVLVQLFITGLIMLSKVVISGGMFIFALHAYRSAFGTICILPFAMFYERCLQLRA >Dexi2B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:2B:4560124:4560436:-1 gene:Dexi2B01G0004850 transcript:Dexi2B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVIDFTASWCGPCRFIAPLFVEFAKKYPHVFFLKVDVDEVKEVAAEYKIEAMPTFHFIKDGVKVESIIGAKKDELATK >Dexi2B01G0027630.1:cds pep primary_assembly:Fonio_CM05836:2B:36480484:36481704:1 gene:Dexi2B01G0027630 transcript:Dexi2B01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLISLVAFAMLASSAAGVRVDLTRLHSSRFVRDAVRRDMHRHRARRLAASSGDTVSAPVSKDTAMGEYLMTLAIGTPPLIYPAIADTGSDLIWTQCAPCTDQCFPQPTPLYNPASSTTFSTNNQTYNQTYGTGWTAGIYGSETFTFGSSPADQTSVPGITFGCSLASSNNFNGSSGLVGLGRGSESLVTQLVTGKFSYCLTPFQDTSSSSTLLLGPSASLNDAGVSSTPFVTNPTMEPMNTYYYLDLTGISLGTTDLSIPADAFSLKADGTGGLIIDSGSTITSLVDVAYQQVRAAVLSLVTLPTADGSAATGLDLCFVPPSSTSAPQDMPSMTLHFNGADMVIPGDSYMILESGLWCLAMQNNTDADPSILGNYQQQNMHILYDIGQETLSFAPANCGTF >Dexi1A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:1A:57281:57514:-1 gene:Dexi1A01G0000060 transcript:Dexi1A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAGERSHTARATLTGERSPGPCRRGRGVTWGPHRWGDEQRRVLAMRRPRRWGRRAVKGRRGLAGGADAQRDSPA >Dexi2B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:2B:1716589:1717116:1 gene:Dexi2B01G0002170 transcript:Dexi2B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSAAKAACLALALAMAVATVIVTPCTAQNSPQDFVDLHNAARAEVGVGEVTWDGTVAAFAQSWAEHLAGDGGCGLQHSSGSGYGENLLGGSGGDWSAADAVGAWVAEKQWYDHDSNSCSAPEGDSCLHYTQVVWRDSTATGCGRAACDGDAGGFIISCNYNPPGNWVGESPY >Dexi4B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:4B:19063013:19068129:1 gene:Dexi4B01G0016920 transcript:Dexi4B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFGTRTRRGDAFAALEDAGSATRGRQASGGGGGGGSLRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGLPREISLDDLADEFFRARAESEGDEEEEDSVVVARGRSRFPAPAERWGGGGGGGGSGRRSSTARYARETESSRLRGRSVSRPPAERRGVVPNAANGGPAARRQRYASVDRRTSMDRHRWCDSDSHSSLTDDDSRSSHSFHIRNQKAACAVYGLNKKHPNGDEAGNVLYDVMRKEVRQAVEEIRTQLEKAVTKSEPSEKALSSDAQPTQVITELRRSYTSKLEESEKRKQELLAQLAAEEQHGHELTKIVRELLPTPKKTANLQRQPRHRRRSNDRSKVSKRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSTRKDMLLHPMTEIPVVLPKVAPPAEAEADGVVLPWLQWETSSDPLTSPCKTKVQGESTACSTSNQTVSSRGSWSPAEYATSTASKDKLLSRFEEVGICQSRCPNFAGTSSFQIDDYQHLRRSEELLFENWRQKQRIESGGLFLCSRSTVL >Dexi5A01G0030500.1:cds pep primary_assembly:Fonio_CM05836:5A:33411677:33415399:-1 gene:Dexi5A01G0030500 transcript:Dexi5A01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLPRAVSSLCSALWFVVVVVVMEYGSKKKALLVLMFACLLLPLVASAAPLSRSLSLTNHQLPDPAVVEVPLQGAERNPGEVAERMDIEVNDYPGSGANNRHEPRSPGRT >Dexi4B01G0021440.1:cds pep primary_assembly:Fonio_CM05836:4B:23414731:23415379:1 gene:Dexi4B01G0021440 transcript:Dexi4B01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYASALLLEQAPAAPRHPDLGSPGFWPDFLMGMLKPLTATGVVAIAVALTFTQRLGIEREMLVAIARSFVQLSAVGFVLQFIFSQKNAAPWILLAYLFIVTVGGYTAGQRANLVPRGKYIAFVSILVGTMITMILLITLKIFPFTPRYMIPAAGMMVGNAMTVTGVTMKKLREDIKIDKNLVS >Dexi4A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:4A:24872947:24873271:-1 gene:Dexi4A01G0021260 transcript:Dexi4A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLSRTMRTQNSISLSAPSPSKSPSASIPRRSSSDMAPRPSSGAFRRRL >DexiUA01G0023830.1:cds pep primary_assembly:Fonio_CM05836:UA:48644915:48646209:-1 gene:DexiUA01G0023830 transcript:DexiUA01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFISFMTYLAPLPTFYRVYKSKSTEGFQSVPYVVALFSAMLWIYYALLKSDEFLLITVNSAGCVIETLYVVMYLVYAPKKAKLFTAKILLLLNVGVFGLILLLTMLLSGGDKRVVLVGWVCVGFAVSVFVAPLSIIRQVLRTRSVEFMPFFLSLSLTVSAVVWFLYGLLIKDKYVALPNVIGFTFGVIQMCLYALYRNATPKVIAKDVSEDKEVTVANTVEVPEHVVTISKLGAQAMEVKTTQEVHPVESPSTEEANNKQDDDVPQAEELDTVVMTKGGSNNTEQV >Dexi8B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:8B:221157:221709:-1 gene:Dexi8B01G0000310 transcript:Dexi8B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKYGSKFKGLDGDSSTTDHGQLHDRRSSGSNSSTVELQLDDSSSSKKTPCASSSSVRPYVRSKNPRLRWTPDLHLCFLRAVDRLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQI >Dexi6B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:6B:8117180:8119782:1 gene:Dexi6B01G0007030 transcript:Dexi6B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMPRAEYLLAYICGNVPAPPVSPDARLAAHSAAAAGGDPDGADRISGLSDALLRDIVSRLPFKEAARTSVLASRWRRVWLAAPLAVVDSDLVDDCPPEPADEADVTAAVSGALAAHPGPFRCAHLTSTRMGEHQPLLKRWLRILAAKGVQELVHVNRPCARQVVVPLPDTLFQITTLTSLYIGVWRLPHATRLKGVSFPRLRELGICSVLVEDGDIDSLVMRCPVLEILNIQGSTKRLHLVSQTLRCVQISSSVVENIALVKTPCLERLILWQVKGSPFPASDMRTRIKIGIAPKLRVLGYLDPAHHLLEIGGTRITAGMEPTGSAILTTVKVLSLEVCLGANGAMMVPAFLKCFPNVEALHIKSAKCDEPAGKFNIEYWQKVGPIISVLMRIKVMTISEFRGEQHELAFLQFVYQNAQVLESAVVTAANRRFTGISDEQMTSILQQLDSSRWASDFGLAIMGSNGPEGGIPWMFQRGANFSNDDPFAPKRLY >Dexi9A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:9A:7652336:7653381:1 gene:Dexi9A01G0012080 transcript:Dexi9A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNKVGSGDAPYRDKYKTWTDDTTEFMLQWSESDSDDSLAAEHVENDSDTITHTSPSVEGSIAQSNGPAVVGFGSSMKRKNMKSPMKKHRKDKAKRAKALENDKIATSIVMLANSIASSGPTPKDPYANLWKRIEDIPFPPQDKVDIASFLSKPDQVYLRNYLNAASDQSFASWVTSYLGAKYAGGGGCTDE >DexiUA01G0020630.1:cds pep primary_assembly:Fonio_CM05836:UA:42889115:42890002:-1 gene:DexiUA01G0020630 transcript:DexiUA01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHADLASYPYDISFSDCLGWEGFPSILWSVLQALDYPTPPQYERTIILRLVMHRHPTCPSSPTWSVEVHGHHMEINCELAALNGISSFCAQNQDLVEHQLLGLFPPAQPDNAYWMRRYLSSPLRMSKNPVAAAALLMRWIQAYHRLQALLGRSQSEVLNLATDMSVRASDIGIERTSLSIEVSTRDAMIADLERQLTDLHIAHNNVHNDLIQTRDELENVQGVLEHANAMLAAHDAQHLLEEGGEHGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >DexiUA01G0017650.1:cds pep primary_assembly:Fonio_CM05836:UA:37278086:37278957:-1 gene:DexiUA01G0017650 transcript:DexiUA01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATGAMNTLLPKLVDLVMGEYRLQKGVSKEIKELEKELESMNAALRHLTEMPPDQLDELTKIWASDVRELSYDIEDNVDTFMLRCNKGYGEHTKLFSISGLIGKLTYLYSKAKTNHQIHNIIKDIMVQAKIISERRDSITQNPEIKKVFKKMLHQLDEKKYGFINDEWDEVDLINKIREFLGTRRYLSILHLLFLLK >Dexi8A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:8A:8404438:8405070:1 gene:Dexi8A01G0007450 transcript:Dexi8A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRRKASASAIFAMVAIMATALLLIQATPTAALVPYGRGLMWDLLDDPFRVLEHSPFSSPSPPARVTAGGEAGVTLARCDWKETPEAHIISVDVPGVRREDVKVEVEENSRVLRVSGERRPDGEDKDGERWHRAERAAGRFWRRFRMPAGADVERVTAKLERGVLTVTVPKVAGHRGREPRVISIAGGEEDGGAEKAAEVMASKAEM >Dexi3B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:3B:2193220:2195930:-1 gene:Dexi3B01G0003370 transcript:Dexi3B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERTQPATKRVRPSPPPRALAAGVEDRLSALDDATLHAILARVPLRDAAATAVLSRRWPRVFATLPRLTLRPATFNRRGFPDEGDDDLCEDPQRWMDGLRRVLDARAAPVAALEIDSRFMGVHCDWFNKIFRMLCGNKVLMELSVANTDYTECYTLPFPVYSCTTLTSLDLYNCRLQPAGCITGLLLLRSLRLRNVTATDADLCRMIRRCSAMEHLEIHDVHKARNINIHAPCLKKLVIYSYRPLCISMKKPPPLDMVRLSFSYGYPEHSWSLQDTTDTDKHCTIHEMEEMLDYKKMAEREHQQTNEIKNMTTFLRGLSSAKKLQLHLSTEYSEVVSMAKASMRKSLPQKSCLIGLQSLSLTLDHNHEVLATLVSCLLNSSPNLKELRIIELRHLGSPAPLAVGFWETQIKADGLLNHLSSVTFYTDSLFEGHPCGGICKFLVMNARVLKRMRIEYHHSQAQPEHAKKLEAARRELHHWPRASADVLLELTPVYRFPYF >Dexi1B01G0029160.1:cds pep primary_assembly:Fonio_CM05836:1B:33539622:33540875:1 gene:Dexi1B01G0029160 transcript:Dexi1B01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIAGMLPGVECARRRRVRQGGSAAAAGAAEAPCGTRRQSFCLHTGGHDHAHLGSAAASKVSCLLISVQLSLCLLFLHAVWWRRYGNLIGFLWEHQERSSSVCKETMMARAWTLDSNAREAKERLDQKLRGQRESSVIIKRHQSAGTVRPPTTAKPHATSASNGGNNLHHSATAAPPCAVQREVFSKAPPTTSAAPPRQRRFSWTRLGRCAPPPPPEAEAEAECAVCLDELRAGDVVAHLPCAHRFHWSCAEPWVRAASRCPVCRARVHLAAA >Dexi3B01G0021210.1:cds pep primary_assembly:Fonio_CM05836:3B:16114355:16117156:1 gene:Dexi3B01G0021210 transcript:Dexi3B01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPPRNATAQAAARGNISSAASVVCYSPMMVTAYGIWQGVNPLEFSLPLFIIQTAIIVATTRILVVLLKPIRQPRVIAEILAGVVLGPSVMGQVEVWATTVFPLRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLLAGVLFVVACFYVVRPLMWWIVRRVPEGETVSDAQVTLILAGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGQLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTRVRDPVTVGLLVLVFTMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNQSRNAGGATSSDHIFNAFESYEESVGGVSVQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILSSAPCSVGILVDRGLSAAAARMANVHHVALLFFGGPDDREGLAYAWRMVEHPGVCLTIVRYVPPGYKAPPLPQLQSPAAMAPPRDARAITIVPDAGGNKSERQMDEEYLGEFRTRNAGNEAVLYMEQVVANSEETLAAIRDLDSAHELYIVGRHPGEPGSPLTSALAEWMDSPELGPIGDLLVSSDFSKMVSVLVMQQYVITTPQPGVGPALPVTDDPVRQYLTNANQRTAVGRGGWGGAAADF >Dexi4B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:4B:2312775:2314115:-1 gene:Dexi4B01G0003320 transcript:Dexi4B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPHLSSTILFFFFFFFIVATAVTAAAAPPPTTTSFNVTVSDDDKYWSERAAEASETNRAAYVSDPITATNRFNAETLRATSRRSLRGRYRGPCMAANPIDRCWRCRSDWSTDRQRLAQCARGFGHGTTGGAAGKIYVVTDASDDEMVVPKKGTLRYGVIQGRPLWIVFARDMIVRLTQELIVSANKTIDGRGANVHIVGAQITLQSVSNVIVHGVHIHHSAPHSGGMIRDSKKHYGFRTKSDGDGISVLSSSNIWIDHVSMYNCSDGLIDVVNGSTAITVSNSHFTKHDHVLLFGASNDNPQDASMQVTVAFNHFGKGLVQRMPRCRYGFFHVVNNDYTHWQMYAIGGNKNPTIISQGNRFIAPDDPNAKEVTKREYTPAEEYREWVWKSQGDLMMNGAFFNESGGQNERKYDQLDFIPARHGSYVGQLTKFAGALKCRVGQPC >Dexi8A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:8A:1570324:1581352:1 gene:Dexi8A01G0002390 transcript:Dexi8A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLSRRKYSSWAGYVAKARSKSERKKKTEPNTNSPNRNQTKFFISVCIWSVPAQVLLPSSSSADSCGGGVAAAVGVPGETRGSAPMGKQKGRASSSGMAASLVPHAQGAVPTVGFGGYHGAVRVEPAAPSDSDDPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSILFAQKPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSENLKLTTQALSDKATPMDELEDMHQRSFLWLLENVSRYSGEDSSDDTPIKLITDILAKIVWRDYLLLSGDTTSGGVQLSHKNSGLAANTQYLVYYLQDLEKCIVEILDVIADTEDHLLNVSCELLVRGCLDIIQQGEKLPKFQDHVEQLVSFFLSLDQLVVNKGKTWPLERLARPLVEQSLPAIKFTVKDSVYADENFSVTVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVEVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSIIHTSNHSVPRLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Dexi9B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:9B:5952203:5954814:-1 gene:Dexi9B01G0009640 transcript:Dexi9B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEDGVKEARQWTNRLFPWGVLKVFLLLGVALSVAGMLMVRHGHAAVAVAVAPALFRPWLGASHPAAVAEGLEHSMTDEELLWRASFAPGLRRYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGHEGLYSIYVHALPSYQANFTSGSVFYRRQIPSKVAEWGKMTMCDAERRLLANALLDISNEWFVLLSESCIPVYDFNTTYQYFQNSSQSFVMAIDDPGPYGRGRYNLNMTPEVELDQWRKGSQWFEVDRDLAIQIVKDTVYHPKFKEFCRPSCYADEHYIQTMLSIEAPQALANRSVTWVDWSRIAAHPARFGRRDVTEEFLREVREGQTCLYNDQNTTLCFLFARKFAPSALEPLLELAPTILGF >Dexi9A01G0048110.1:cds pep primary_assembly:Fonio_CM05836:9A:50945546:50948946:-1 gene:Dexi9A01G0048110 transcript:Dexi9A01G0048110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCFAPEADEDVKPAKPDDSSSADARRKVAPDVANGYAHSFTFKDLLVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLAQDGVQGRNEFLVEVLMLTVLSHPNLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKKPLDWNTRVRIAVGVAEGLSYLHNVADPPVIYRDMKAANILLGEDFSPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALLGCYPSSALNQLVVISIMCLQDQPHVRPIIADVVVGLNHVASQPYALERSSVTMSSPGNSGSPQFVRTPSRRRGVRRTSQYA >Dexi9B01G0021320.1:cds pep primary_assembly:Fonio_CM05836:9B:16076842:16078272:1 gene:Dexi9B01G0021320 transcript:Dexi9B01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTITLSSVVGSLGLLSAILGFAGAADPLPGLGISGGIFLLIAQITVTAVGGCCGCCASRAFPSETKRIVGIVCAVGSWRPAFSEGVLAGAAVLTLFATALNITSVIMTQGQPAAADPEVVLAPDHNKQPVCVQTKPRETPIPVAQAVVPETTQNTAPSSPSAPLLQTNGQVPKSPQSPPPPTADPDNGSNPQIQQFSPEGRSAVDAAQPSPADASSARNEPVDQQSAPRSVTMSQGQPQVPAPTPQTTHAPLHANATPRHTGHQAPVHAVPSTPSSATASSGSNVPALRTTIRNELAKATIRFTEKAIEHALFSNNTATAPTTTTTGAADILLAMATDTGAGTTDCGDSAAAY >Dexi2A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:2A:30021630:30023757:-1 gene:Dexi2A01G0018060 transcript:Dexi2A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVRAAVEGYKPFAAAVAAQCIWAVSTLWVKAAFGRTTMNPMVLVVYRQGIATLVLVPVTVVAKRTRLAEINVGAKGFFLVFVRIFFGATVFMNLCYLGLHLGSSSLATAMMNLIPAITFLMALAGPICNSYMDPLTLSTLSCFFSTLQSATLAFFVLPDQSAWNIHSLFELSCYIFVGVVGSGVSFFLRSWCISVRGPLYWAMFMPLATVITTVLASIFLHEELHFG >Dexi7B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:7B:20835616:20837580:-1 gene:Dexi7B01G0014700 transcript:Dexi7B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVPEAAAFAMDQHLAPQQPAEQEQLCYVHCKCCDTILAVGVPCSSFFFKTVTVRCGHCANLLSVNLRSLLLPPAAAAGNNQQFPFDGQGQQALLSPTSPHGALLDEMAAFQAPASMTSAETASACVSTITSINNSCGGGNSGASVMSMPPPPPVKPAPQEPQQLSKSAASGNKAAEKRQRVPSAYNRFIKDEIQRIKASNPHITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTSMMQNQEGADCMLFKDGLYAAAAAAAASSMGIAPF >Dexi1B01G0031290.1:cds pep primary_assembly:Fonio_CM05836:1B:34965092:34966493:-1 gene:Dexi1B01G0031290 transcript:Dexi1B01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCRSPSLLSRRHLLLRLLSTQTHTQTPTTPADLSRLKASIRDAATTPDAIAALFLSALPHPAFLADRPLFALSVRRLASAGRRDLVASGFLIRLIGLYSAAAMPDHSLAAFRLVNPPSDRALSALLSAYHDNRLYDRVLQAFNTLPAELAIKPGLVSHNVLLKTLVATGDLAAARALFDEMPEKAGVHPDIVSCNEILKGYLSTGDDAAFDQLLKEITERRLKPSVGTYNLRMALLCARERSFEAQELVDAMGAKGVAPNRASFNTVIKGLCNEGEVGAAMALFRRMPQVPRQNGTGVSPNFETYIMLLEALVNKRLFDTASEVCKECLRNKWALPFQAVKALVQGLLKSRKAKQANEVLVAMRKAVKGDAKQEWIKVEAHFQSVLDANKA >Dexi9A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:9A:7249835:7251930:-1 gene:Dexi9A01G0011560 transcript:Dexi9A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSVVPLVLLCLLCTGGFLCVVADGAEAAKGNRKTKGPVIGIDLGTTYSCVGVYRNGHVDIIANDQGNRITPSWVAFTDDERLVGEAAKNQAPLNPQRTIFDIKRLIGRRFDDAEVQRDVRYLPYKVVNRDGKPYVEVPMKGGRETKVFSPEEISAMILSKMRDTAESFLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDKKGSDMMNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDQRVMEHFIKLVRRKHGRDISKDGRALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSETLTRAKFEELNMDLFKKTLGPVKKAIADAKLDKADIDEIVLVGGSTRIPKVQELLTEMFDGKEPNKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDENGILHVTAADKAGSGRSKSITITNDKGRLSQEEIDRMVREAEEFADEDRRVRERVEARNRLENYVYRMRAAVKDMGEGKIGEEDRERMEAALAEAMEWMEEQDGGGGRTAEKEDYEEKLREVEEVCGPIIKQVYEKNGGGSAGAEDEDDVNEL >Dexi1A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:1A:26434819:26436350:-1 gene:Dexi1A01G0019260 transcript:Dexi1A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQGAMVSSLIAAVLLGACLPVPAAAGVHLSTLTKALAVTASAKSGQVLHAGVDKLTVTWFLNTSEPAGTDAGYKNVKVNLCYAPASQKDRGWRKSNDDLSKDKACQFKLTQQAYAASGSSFEYTVARDIPTGSYYVRAYALGASGTQVAYGQTGADAAFDVAGITGIHGSIKVAAGVFSAFSVAALAFFFVIENRKKNK >Dexi9B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:9B:743173:744252:1 gene:Dexi9B01G0001270 transcript:Dexi9B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGGSIYQQPSCTTVPLGKSVRRALRAAMGIPKPKKRKDVKPRKKKKPPPPAGKDDGSSTPELMWMSLSKEEAAARKESVVRVVLSSGVVEVYPGVVLACTVIRKHPPGLCLALPDVFRNPHGALLRPLEPLFPGQKFLLIPWSTVEKLKQRIPESSIGAFADDDDDAGDGEEEDTTTGSDDEPTSSTETEVSEDHSISGAVEEEEEAAAVGDGVSSSFMPACSARDYFEARDRWSACRFRRLVEQGIAVEPSTDDDDQPAEDLHRNKGDIDKAHKKGKTKKKIKGPHRKTMKRGERRPAAATTPPAGLLRGFAMLRRTWEPSLPSVEEEENVVVSPIQSPARRPPEEEGANARGQ >Dexi9B01G0039690.1:cds pep primary_assembly:Fonio_CM05836:9B:40388833:40391970:1 gene:Dexi9B01G0039690 transcript:Dexi9B01G0039690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIPNPKRAAALAFGARSLPPPTASSAAPHELRPPPMGPPHAAQDHAPSPSGGSGSGSTRRRLRRLDRRNASKHIGYDASNFCEFPPPPQPPASAPASGPASLAHSAACSLDLVNSFRIGGSGDGGGDVQLLCQSLGLSGPDDFAIPLADWEAHKAVRSSASASTSPSSARHKPDPPARDSPLRHEGAEEEPTRPADAEPEIPAKEPAVARSRCAPIEALERPARRDPLVFTPPDVKRAVGEGGIKGLRPPPVLKPPPSMALAALPAVCGTESTWDILRSFAPDEKEHAPASRSGRGFGYQDAVEEEHEDAMVALTLEDLKLGESSEGFTGTSSLSTTYDDETSSTTTESIFFISPNGRFRKKIRSWARGVLLGSGSFGTVYEGISDEGGFFAVKEVSLFDQGINAKQCIFQLEQEIALLSQFEHENIVQYLGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLNYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFSAIKSCKGTVYWMAPEVCHYNFAVHCLHITY >Dexi7B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:7B:23420078:23420668:1 gene:Dexi7B01G0017470 transcript:Dexi7B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVVFARAKEAARFEEQEEDIGCASSSSSRSSSSDEVELADDASSSGSTTGHFEMASLLTQLPIKRGLSKFFDGKSQSFASLAAVGGLEDLPKPPAKRLKTSRSCGVGLKDGGRKKQAIRARLAPRRLVRARPLVSASPARPAAVTGQPLLFA >Dexi9B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:9B:103854:104520:-1 gene:Dexi9B01G0000070 transcript:Dexi9B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVAEEDPSTSEEEEELLLTPRWRRSSSAAEEEGTLGSLWTRTTPRRKAASSHTGDEAARKGNHWRRWSRATRSRERRAVHRCRRQSTAETGPAGKKKRRTSRKKVGERGDANSQRASGQTGGAMHH >Dexi7B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:7B:8437178:8437876:-1 gene:Dexi7B01G0003540 transcript:Dexi7B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLEHPHIVKLIGVCQESCSLVYEHLPNGTLQDRLANGLPWEDRVRILSEQRSALKYLHSRRPNAIIHADLKLTNILLDAGDVSRLGDFGTARAVHVKPLEEETIVRRTIPMGTIGYLDPVFYATGELTTESDVYAFGVVIMQLLTGMDGIKIAEKVRGAAKMQSVLDTSAGPWPVVEAERLLKLALRCCSMERKQRPAITSDADWKPLDILRAKAAPASKTWKWNCFAS >Dexi9A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:9A:5312133:5312198:1 gene:Dexi9A01G0008990 transcript:Dexi9A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLPQRVKSTEQKLKAAGGVN >DexiUA01G0001980.1:cds pep primary_assembly:Fonio_CM05836:UA:4867999:4871733:-1 gene:DexiUA01G0001980 transcript:DexiUA01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPPLLLLILLLAVSSCTAAAGSGARVVGEDYVRPPPARFHRKALLSLFPWSKKKASSASDPQQHLWDSFGALVEPLASTRPWMVTEGNHEKEHIPFLESGFQSYNARWKMPYEESGSTSNLYYSFEVAGAHIIMLGSYTDYDESSDQFAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMASMEPLLYSAHVDMERVYNGKLDPCGAVHITIGDGGNREGLARRYRNPKPAWSVFREASFGHGELKIMNSTHAHWTWHRNDDEEPVRTDDVWINSLSGSGCIQQGSHELRKILMSP >Dexi9A01G0045060.1:cds pep primary_assembly:Fonio_CM05836:9A:48654826:48655232:-1 gene:Dexi9A01G0045060 transcript:Dexi9A01G0045060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRGGEEELICARLAARTVLSRFEGREAGISAWPEHDKRPGGAPSSALGRFEGRQQEGICVRLAARVALGRFKGREEEGIGTPLPARFPMPPAAAVCVGRGGGGVGCSGSCGLWLWQRRMGSAAG >Dexi2A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:2A:33177822:33178127:-1 gene:Dexi2A01G0020960 transcript:Dexi2A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVESGTVLKDDLAVPLLGAAAAGFFAVAAGAGFFLGRSSLTRELCPQMKTANETTQTETTTMQRPKNHDDPKAADAAEPAAPALEASKTLAMEPSSGQAR >Dexi1B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:1B:9376784:9383305:-1 gene:Dexi1B01G0009990 transcript:Dexi1B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSSGKCQIIHWRQGHKETCQKWIGSGSSSFGGSGTEASEHIPFLTNSPLPGGDIHLRDMNFDTLSEPSFPTTDGYNLDTDPFPTDRINMNKSNQGLHTSENGAVGVSYEKSSYNADDEIRSSDVLSGNKVPNNYFGCTDAVSGNSDAAYPVKSNVQQPSSCAPEIRKRTKASITVFEPVMGVYLTSDMVSSCEGPYASGNEPLPRSLSSGRTIGKSNVVNKRPPCPSGKAVSSQKSQERVLTYQNDGHEKNPCNKNDQRSTQTTESTSSNLQGCNGISKFGASKVEVLKKPSKFLKTSLVGLINDNKRNKVLFPYEDLVKFFQYEARGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTVIQQMFGGRLKSKVKCLRCHHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQVQVVSVNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPVPTSVKRHTSKSSKGSKHERKQTELLFSANDQTYGVYDFRPDGDGYTQDQHTELRSRDFHQGDDAFADSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPSYAPEHPPGNFVSCTRFSPSNPQTRYFSESTDFVSDSSMPTHPHGNVHRGRYPDRACASSAKPLASAHQRSGYGRYPLSRDGFVQTSGFCQM >Dexi7B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:7B:20403364:20404398:1 gene:Dexi7B01G0013980 transcript:Dexi7B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVQTSPPVHAEPEAYTERQTNPELYQHFANLVSSLPSSKGLSNNQLHRHDQGWYSSQVPLVGAMVADACFAARPSDIIVATLPKSGTTWIKSLLYATVHRREHPVDAIDHPLTSFGPHGCVRFFEYQQYTRNKIPDLDKLPDPRLFATHVPFVSLPRAIVTSGCKIVYVCRDPKDTVVSLCYFINKLRFNDGLEPLSVEAAAEMFCDGLSPFGTYWDHVLGYWRAHLACPQQVLFFRYEEMQKDAANHVRRLAEFVGHPFGDGEEEDGVVDAIVRLCSFDHMSGMEVTKSGRTDLVVGTVENSSFFRRGAVGDWTNHLSPETARRIDAITTRKLKGSGLSV >Dexi3B01G0036860.1:cds pep primary_assembly:Fonio_CM05836:3B:39677823:39678444:-1 gene:Dexi3B01G0036860 transcript:Dexi3B01G0036860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTARRIAASPAAQPSLPDELLEDIFLRLDDGEDLVRAYASCFTFRRVVSGRRFLRRYHSLHPPPVLGFLAVASDGPSAPGYRHPTLRFHPAEPPHRSAPAAAAVARAADVALAFLSDPGRWSVRDARDGRVLISREAAAVSGDAFEELICE >Dexi9B01G0038040.1:cds pep primary_assembly:Fonio_CM05836:9B:39252569:39253278:-1 gene:Dexi9B01G0038040 transcript:Dexi9B01G0038040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPLAMLHAAASAGPRPAAAPVAPRPTPTCLQARGTVGGGVPFLYQRPVQRARSLLLPAAIGSAAEAASAEGLAQKLQGVEVLDLSGKAVPIVDLWRNRKAVCSVPEEGRSSRGETANTK >Dexi2A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:2A:13044154:13048221:-1 gene:Dexi2A01G0011230 transcript:Dexi2A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELLYQQVNYGNADGIRSLRAQGAGLEWIDKEGKTPLMVACMRHDLLDVAKVLIELGANVNAYRPGSFCGTPLHHAAKKGLEPTVHLLLTHGANPFIPNDDCHTALELAREKGHVNVVRAIEASKPRAVVKLWKSQIEEPKLNLADPSIIIFDKGTKSRYKILPAYEGDKQQLQRFYNACCGMPQGFNTAPAPPANLPMPNPVPANSSVAPSEAPSKEDLELAMAINASIQSAIAEGVPNVQPNAPTPNTNGWGTPASNSHNGWGPPAAPAPSKTSGQSQARVDTPSSSTYNGWDVPGTSSIQSSSKPSKTQTNLPVVIPQEALPALPTPTAPPFAEETFYSGPVQYPSIDSSPVDITMPATTEGGTAAASAGPGENEANASGSSNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVVRLYAV >Dexi9A01G0037400.1:cds pep primary_assembly:Fonio_CM05836:9A:41665920:41667446:-1 gene:Dexi9A01G0037400 transcript:Dexi9A01G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPQPQPEPANRRSSSSTTCSTAPRRTIGCMAGLLRLISPYHRSHHRKRLIAKLQNAAPQQASVPPSQPSSPPKKKAPPPAVPPLTAAAPSPVKTTTQQPQQQPPPPTAVRRRRSCDAPRSPTIAPEHRRSSCDSPRPPPPAIVARLMGLEESAPPSPAAAPAPPPRPVVVLPTRPPPPPPAAPATPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAVAASPDLVAPVAAKTPPAASKAGAKWTVARDEHSPSPSPLSSQQQQYPSPDSVLDAISSPRFPCRKRPSPCTTTDTDAVGKAGCGNGAVAPTVGSKIVKPSRTLVFSGGDYWRIRSGDELQLHIHCPVPVVVGMPRSAGAESWRHHRRRWEMEAAAAGRVIRRAMAESAGEATMWGAAAQQQGDDDEQSRERGRVVATLEGAIVQDLVAELLSDLLALSGHGGRAGCRKRLCF >Dexi9A01G0049660.1:cds pep primary_assembly:Fonio_CM05836:9A:52244124:52244620:1 gene:Dexi9A01G0049660 transcript:Dexi9A01G0049660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEGRMKALPQKLTGSRSKVTAKQEKVPVKKVIQNVATKKAPPPLTIVDSDDDSKGGASCVIFNSSQSGDKECHLMMEAAEESQQILRRARKRRRREMQAIVPAA >Dexi2B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:2B:25044109:25046656:-1 gene:Dexi2B01G0015030 transcript:Dexi2B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPPGTWRPLLCCLFLFLFLSLSTTALAARTTTRRHEWEISYQLKSLDCVRKLSITINGQTPGPTILAVQGDTIVVRVNNSLVTENVAIHWHGIRQHGTPWADGTEGITQCPILPGDVFTYTFVVDRPGMYMYHGHYGMQRSAGLNGLIVVAAMPGGLDAEPFAYDGEHNVLLNDWWHNSTYEQAAGLASVPIRWVGEPHSLLINGRGRFNCSAAGVEEGSCDAGLPECATPVFAVVPGKTYRFRIASITSLSALNFEIEGHPMTVVEADGHYVKPFVVKNLNIYSGETYSVLMKADQDPNRNYWLASNVVSRKPATPTATAILSYYGGHTSPRRAPPTPPPSGPLWNDTMYRFNQSVATVAHLADVEPPPRTADRTILLLNTQNKIDGHIKWALNNVSFTLPHTPYLVAMKSGHLGAYDQRPPPETYAHQSYDIYTKPTNPNTTASDGLYRLRFGSVVDVVLQNANMLDDNKSETQPWHLHGHDFWVLGYGIGRFDPAVHPGTYNLKDPVLKNTVALHPYGWTALRFKADNPGVWAFHCHIESHFFMGMGIVFEEGIESVPELPKEIMGCGETKGAGH >Dexi1A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:1A:545015:545713:-1 gene:Dexi1A01G0000830 transcript:Dexi1A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFHHPLMKSCSSEPIIAASTHAVVESMAGNNAAACDHRSLSSSVAAASSNANGHTIYARGMPPMLQPRDDEFHLGLDHVKPPSSPATGVVYIQDSPDDAAAMSVPEQASGQDTEAKTKQKEKRQEAKQRYKDKKKNRRFDKQIMYVSRKVRADTRNRIKGRFAKASSSSGGHGDDQSSYAQCGDDDQATDS >Dexi2B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:2B:4535820:4536255:1 gene:Dexi2B01G0004830 transcript:Dexi2B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSYMEKYTKQASALVFFSLLLLGYFTAYAQSQGIDEVGAPAPGPAEQGFEKLSGAQCAQGLLPCKDNKCWCCINGRSKQCFFTQAQCSHACF >Dexi7B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:7B:1995253:1995537:1 gene:Dexi7B01G0001070 transcript:Dexi7B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCLALVVVGATAGEEAVKPMCQVPNNVTACVRHIQDLKEKNQLGTKLLSPDCCRQLTEQIGCACVLRNALKDANLLDIQEPFCVKGTACE >Dexi7A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:7A:26594182:26596251:1 gene:Dexi7A01G0016740 transcript:Dexi7A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGKLFTWGDADRGKLGHADKKMKLVPTCVDSLADYDFVEVSCGTALTVVLSITGVVFTIGSSMHGQLGNPQADGKSVCVVEGLLKSEFVRHISSGSSHVAVLTADGKVFTWGNGKEGQLGSCSSNKVAKAALAPDKSRWYRVTLLAEQYQQKSLQVQLYKQKLDETWLIVRDEAAKCKAAKDIIKALTDQCKAMSEKLLVGYQSENPTITYDINQGQPLTADLQHCPREKLATGKFSQLNTPQNHQTSSRGDEKYTPPSNSDMLVDGPCNRQNGSQTFDVNGCITDVDAPVSPVMSNGMIEQIERGVYVTFDVSPSGKKDIRRVRFSRKHFGEKEAQQWWEENKSKVYANYGTEQMQNQFAVTTKTVQLQE >Dexi3B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:3B:6138221:6140044:-1 gene:Dexi3B01G0008890 transcript:Dexi3B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQATIGIARVSSSVKPDLDVAIVRATSHDDAPPDDRRAREVLRLAAGGSQRACVASLSRRLARTRDYVVAAKGLALLHRLAAADAEGGGDPHLLAELLRPATSGKRAGEPVLSLLLDFRDDAHAASWDHSAFVRAYAAYIDDRVRFLVSLLPPPRAVHFADDHHFAAPAPPPPAAAAVSDMDAEGLLLRARQLRHLLDRFLACRPAGLARTSRVVLATLYPLLRESFTLYRDVAGVLAAILDRFFDGMEYPECVKAFETYVATAKQIDALIAFYAWCHDAGVAPSSDFPEVKRVDDELLETLEQFLREQGQAARRSISPPVVEVQSLPDHQDEIAMDGVKALPFQTANRSMTTTKADDQPRKADLLVDLREPAATVDEQENKLALALFSAAPPPATTTTGSWVAFPSESEEAPAVTTSAWDTPAAEKGKADWELALVETASNLSRQTAALGGGMDTLLLGGMYDQGVVRQQVAAHQAAWAGSASSVALPVAHGAAAPVLMLPAPEGTTVEMVGGDPFAASLAVPPPSYVQMAEMERKQQLLLQEQQMWAQYRQGGMQGQPVGYNGLAAGGVFVPNAAVPMPSYGMPMAYNHVGGYY >Dexi9A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:9A:5181987:5182838:-1 gene:Dexi9A01G0008750 transcript:Dexi9A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKASTSSEGSEILRRKKEMLGVISNSVKKFLLAGKTKRMNVVKHRVPQNLIDYMLRTPNPILDEIPEHELAKRSQAFRDTYAGDKDINDKIRAYFLALLDQYKAQGYAEDESEVTDDEEETVS >Dexi9A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:9A:9748420:9748731:-1 gene:Dexi9A01G0014780 transcript:Dexi9A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEETLHMGGDHKKDDEHKKAEEHHKKAEEHHKKDGEHKEGIVEKIKDKITGEHGDKSGDHKDDKDHKEKKDKKKKKEKKHGEGYHDGHSSSSSDSD >DexiUA01G0000930.1:cds pep primary_assembly:Fonio_CM05836:UA:2957661:2958336:1 gene:DexiUA01G0000930 transcript:DexiUA01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEWLLPPEKQLLYSGHEWLLRLIDSVDDVVASRLVLILWRAWFIRNEITHSNRKLSITSSAKFLLNYWQTLCSIRHEDSHIDAKGKRPAFIDHVAKAKLPSEHVCWVPPEEGMIKINVDGAFVEGDSAGYGLVIRDDKGDVLLSAWGMVHYAASAEEVELIACREGRKLAAEWVPRPAILESDCLVAINFLRRPGDQRSQSCLQLCLAM >Dexi5A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:5A:11506942:11507643:1 gene:Dexi5A01G0014450 transcript:Dexi5A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVGNNFLGRDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRDCDIYGTIDFIFGNAAVVFQGCNLYARRPLPNQSNIFTAQGREDPNQNTGISVQRCKVAAASDLAGNETSTKTYLGRPWKQYSRTVFMQSELDSLIDPAGWLPWDGDFALDTLYYGEYMNMGAGAGTSARVTWKGYHVITSATEASAFTVGNFIDGDVWLAGTSIPFTTGL >Dexi3A01G0021880.1:cds pep primary_assembly:Fonio_CM05836:3A:17459562:17466199:1 gene:Dexi3A01G0021880 transcript:Dexi3A01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDDDSDVILLAHQLPVDMDEPAEGRLAHLLPPLHRSPPPPPPPPFRPPPPPKAVASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPKAVASAEHRVSFRGWLGAPRHWDLWVSKLRPLHGPLWRRLGIYDAVLASTYRFKPDHSLVLHXXXXXXXXXXXXXXXXXXXXXXXXXXXPKAVASAEHRVSFRGWLGAPRHWDLWVSKLRPLHGPLWRRLGIYDAVLASTYRFKPDHSLVLHLASFWSPATSTFAFPWGEATLTLHDVAHIVGLPATGSPVTAPLRPEWCPDEAGLNGVRLGFNRSACKKAHLSAWIKHFLTDQNDVVLEHAAFLALWLTRFVLPGHPDATMRQAIFPIAVRLAQGERVALAPAVLASLYRDLRDTKAFIGAAGAAVATGNADMLSSLSLYSPLYILHIWIWERFPALRPGKENPLGDGEPMAARWHDLSRKINPTRIREVLCSRDNFLWQLPYATSLKKYSGWVCSSDLTGNDQLRLLAHCLRPCELVGMDCIEQYLPHRVARQFGLDQDVPMDVRRANQNWFLAWQTYELDGKNVSLFIPQSEPGITSRYAQWWRQRLQPSDLHAGAPSIPMQSKPSKRKVKKTPAAMEAEAEKERRMKKARVSPSDKKRRLEDLYDPKLTGWPSAGRNGLSEAAGSYCKVGSLPNYDIGSDDALLPNIGATNDDVVLLVPRMQTTTPAVAVPKKNDIMNLAIGDVEIYSDELEVGATAMCEENPHNPVDRSFDITNKSEGDTVTMKSKEAMEISAARSLGITDRPQEGATAVMELEKEAMETHNIAEDDTTKVPQSEYEKLRDAAPIKEDTKEKPCAAGKDLAEKDVDESTEVDRVKKAQREGCDLLMEKDGDNTTDALRVEQTEEGHTIALKEKGIHDHIEDIAVVEQVDGQTKISTIIGMKDIPEEITQAHEKEPDNAMTKDSKNSTDGEMPCSSDTIQLNGSMMEKQCIQNVELNNQREASSDAAGMKVEGVFEHITMDTHEVDVLKVALTQKQDNKIMGENRALTVLEGSHILDSGVKYNLATLEVDEIHTAGGIQNQEISDLDKDVALKQDHIITLENKETMVSEGSHMLDSIVKCDLVTLGADETHTGGGIQNHEIFVLEKGVQEMAVKQKQDHIIICENKETMVSGGSHMLDSLVNSDSVILDTDETLGGGIQNQEILGLDKGVEEMALKQKQDHIIICDNMETAEQQGIHMLDSRGKSDLITLEIDRTAAEGNENQDILDFNKQQGMNEVHDLGTAVENNKINVKEDEDIPVSSGYQIGTAIENSKMNMSEDAGIPDCREHRIDPTSMEVKEVESTKKLHNQELLDNKEQLAMEERQHLGTTIENNKITLPNEADVLVSGECQINSTGTEDQVMEKRMESENAHGSGISLEEDYKLGGGLDTCAVDATEDKQCHEVEHVNEERILEDTIMIDSGELKSDATDVEVDMAGSKEGTLNQYASSVVTDAAVQEKQDQEMASEDINTDVADTDALECRLKPDGVVNMSLSGEMEAAAQDNQDQEMADVGIADESRSQEAIVQEKQDQEMAGEDTNRDVADMDALECGVKPDGAANISHETLLTTQSVDIAGSKIPSENKEKVAPFEEHNITEVAGFELNETTALLLEPENLVKVEQESLENETEGSILRKNDKASCKDQTSSCVMISPSNVDDQCEDDNGWAEESAKNYDKLASDSINTGCHHTVKFGKSSNEEVKRAQHIRSMYLKDIKESLGRIRAEPVNRVQTATFRHTVQESHSACKEIRVPLRDSGRDFGRDRSVELVVPSPAEDTSRWRQEQYALQILEDVHNARIAEKTRMEMEIRILKAQIASMERQVMNLDHFSEVKSRSKRH >Dexi6A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:6A:23025013:23025671:1 gene:Dexi6A01G0015530 transcript:Dexi6A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTAALAILVALALAAQSARGGNLACEELAPEVCAFAVSSGGLRCVLERTPEGAPKCQTSPVVAGGAAAGWVETDACVRACGVDRAGLGLPFVASADRRSLRALCSSDCQDGCPNVVDLYATLAAAEGMSLPALCEAQRTAGNRRMMMGGMSPLGAPVGAPVSPPVVVDAPVAAAAPCEEW >Dexi3B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:3B:4727351:4730482:1 gene:Dexi3B01G0006760 transcript:Dexi3B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDFDSLDGGDAWLLDAMATSLHFSAASPPLPPPWPCGDHPSVPLDAAAPHPQVDAPGAPAGEAFSDPAIDFAFPFFTTPCGKSEITKKGEPISGKCQVHLSMVDEYSDSSYFLKERLTLALSYNVHGTVALPVFDPYKKSCIAVVELIMTSKKVNYASEVGKVCRALEAVNLKSTEVVEQPYVQICNEGHQAALVEMLEILTVIVEELKLPLAQTWSTICRKDKEFLERHSSYTGLAFQKIMSYNNEAHLQATRDFSLAIAANQKV >Dexi4A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:4A:18826081:18826491:1 gene:Dexi4A01G0015690 transcript:Dexi4A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFLTNADEASGLCWDTCYKIIEGICYGLHYLHEEWQPNAPIIHMDLKPANILLDSNMEPKIADFGLSRFFSEEKTWTCTTSRDGTLGYMAPD >Dexi8B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:8B:25267732:25269099:-1 gene:Dexi8B01G0014630 transcript:Dexi8B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLERVVELLHSERMDEQFRLLGAATLFAELRSGVTGVHSNGTVSKGGNLRLMFCIQSLAAPLRELLDALLHAKIRSEGWHQVHGFVFRNGFSRSKTLRDLRQLADKFRALASYVRQVDRDYASTLYRSTPSSYSTGVHGPVDALRARMVGRSEMLEKMVGMVLAGGGLLVLPIVGGPGIGKTRLAMALMSDHRVRRKFGVRLALPFMRHRHYSLEKEVLKRMTSHIRVGDDRHRHAGSHIGHRLSIGGHYLIVLDDVWTDSERNCPEIGALMKILPSNCSLVVTTRTPDVVSYLGAIAKPLYLQPLEKGFYSSLVAEWIGAYRGDWPAELATEAGMAIADKCGGVPILLDHARERFRQPQGLMFWQEFAKKKATSPQDMYFWRELLACIHELPHDDFWRLFLGHPGELPDGNAVLESATISYQHLPSDMRSCLLYCSMFPLGHDFDVEELN >Dexi9B01G0042430.1:cds pep primary_assembly:Fonio_CM05836:9B:42687993:42692984:1 gene:Dexi9B01G0042430 transcript:Dexi9B01G0042430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSGPPGQRAMLPLLLLVVVVFVWLPGRCIAQGGGGGGGQLTRGSFPNGFVFGTAAAAYQYEGAVKVDGRGQTIWDTFAHTFGKISDFSNADVAVDQYHRFQEDVQLMADMGMDAYRFSIAWSRILPNGTGQVNQAGIDHYNKVINALLSKGIQPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAEICFKEFGDRVKHWITINEPHTVAVQGYDAGLHAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHATVADIYRSKYKATQNGQLGIAFDVIWYEPMTNSTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRKRVAERLPKFTADESALVKGALDFMGINHYTTFYTRNNNTNIIGKLLNDTLADTGTVSLPFDKNGKPIGDRANSIWLYIVPSGMRKLMNYVKERYNSPTVYITENGMDDSNNPFTSINDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYRDNLKRYPKNSVQWFKTLLSSS >Dexi2A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:2A:6338985:6351037:-1 gene:Dexi2A01G0006760 transcript:Dexi2A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGVDSSAKVNVRKFAVIGTHKPSVEVISLEPGEALGLLTTGTISVNNALGAPISGCIPENAAFRYRLPIDDDADPVLDSAYDCIVARWRRPPAGSRSRRHSPQGAHHADPEGARRVPLALLRGGYRSATPTKVASSALSNARAASNSARCCESSSAPNSEPPVKVRGIGVGAGTHIPKVKQYAAAIVHNNAKGKAYGHGPISLRVDLVPCRRSKRTRRRSSTPSCSAAISPGSTLTRARVAARLALDAQNVWSAIGDRISVIVQNSALLLLVVACTAGFVLQWRLALVLLGPGRVLVQHGVSDFSPPSVQLAGVVTRMTEKTTGLTEMLDSYNFTSLDHWMVNILS >DexiUA01G0005180.1:cds pep primary_assembly:Fonio_CM05836:UA:9328212:9329025:-1 gene:DexiUA01G0005180 transcript:DexiUA01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTASQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSDVNCKPTGQMDLTRIETLYHADKDKTEAYILELVLWLHHLISQSKTANGERSPIKSPVRSPTQRGVSITLSPNKTSSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKARHNKQSRLSKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDVIDRVDNLRIQ >Dexi5A01G0036170.1:cds pep primary_assembly:Fonio_CM05836:5A:37815808:37824039:-1 gene:Dexi5A01G0036170 transcript:Dexi5A01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGAGKTLIAVMLIKHFGKISKANNDRKIIVFLAPTVQLVTQVQCEVIKSYTDFDVEYYHGAKGRCPGCVLDSHHEKLQVVVMTPQVLLDALRQAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHRSEHKPNVFRVSSDLDCENQLSELESILDSKIHTVVDREEIEHCVPSAKEVHIFYGPRTVSFEDLSKELGILCTKYDGLIEQLHTRMTNHYKDADGITKESRRRLTNSLAKVCYCLEDIGLLCASEYDASMRFPDVSGIDVLLNSESGSVEATTMGYISPKLYELIQVFLSFSDVDNVRCLIFVDRKITARVIERTMKKIGRLSCLRVSFLTGGSSVDALTPKMQKETLDLFCSGKVNLLFTTDVAEEGIHVPDCSCVIRFDLPRTTRSYVQSRGRARQKDSQYILMIEQGNVKQNDLISAIIRSKNSMTEIASNREPEDSPPSFFPTEEINEYYISTTGAKITTDSSISVLNQYCDKLPKDKYYTPKPKFQCTPYGDGYECTVTLPSSAMFQLLVGPRARNMQKAKQLVCLDACKRLHQLGALDDHLCPSVEELPLEISSKAGICTSGAGLGTTKRKELHGTTKVLSMSGSWASNRSVTKLQGYKLSFICDQVGQKYSDFVLLIDASIAKEAAMLDTDLYLHDKMVKASVSPCGPFELDAHQVWSNPFMEKAKLFQALLFNGLFGKLFIGSKASKTPRKFILKKDDTLLWDSANMYNIFLRHPSQPLLVLKPTHNPHNLLSSKIRDEGPSLRVYVFSSCNRVENKNRTNSLVHMPPELLVPLDLPVDVLRVFYLFPSLMYRIESLMLASQLRTEIAYTDSDISSFLILEALTTLRCCEDFSMERLELLGDSVLKYAVSSYLFLTFPDKHEGQLSSRRQEIICNATLHRLGIERKIQGYIRDAAFDPRRWLAPGQLSIRPSPCECPVKTEVVTEDIHRIDDKSIIIGKACDKGHRWICSKTISDCVEALIGAYYVGGGLRAAFSVLKWLQIDIKIEEYLIMKAMSSACLRNYLPKVDDLELLETKLGYAFSVKGFLLEALTHPSQQESGATYCYQVLGDIVESIAGAILIDTKLDLDVVWGVFKPLLSPIVTPENLELPPFRELREWCDKSGYFLGIKCENRGDNIVAILNLQLKDLLLVRQGNGKNKVDAKAHAASLLLRDLEEKGLVIPKNASRMEPSEKKSGNPKHHNKLLDAMGTQNPSSVVDKPCMCSSLMAVKVKLSKGGPRISLYESCKKLQWPMPTFEYVKVEQSVCPSSGGSSQEVAPRKFAFASTITLHIPNGDVISLTGDGRADKKSSQDSAALLMLYELQRQGRFQVQEV >Dexi9B01G0041470.1:cds pep primary_assembly:Fonio_CM05836:9B:41938406:41940978:-1 gene:Dexi9B01G0041470 transcript:Dexi9B01G0041470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAERPAAWGKEEEEGEVKRGHATTARKRKRYGLVEYRALPGYLRDNEYIHRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLALTIYTATQVPNVVDIQSLQHLPDVLRNADIHKIQAEVLSCLPSLPHLSDLQKLKDELKSSWNSMEVLPSLSRWHLLELLSKCLPRGFTHSNETSSSVLQSMKEDIANMIAPQLIRPIARWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSVITILGLATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLTLFWHQPEALHTTGYEILMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLKWRDLQGC >Dexi5B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:5B:19182597:19182840:1 gene:Dexi5B01G0017490 transcript:Dexi5B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLEKYEEWRRKKDEEKHLHQDPEKLTDPYAYQARLLEKRWNMSFAGIYGHFEDNILHVSWV >Dexi3B01G0032080.1:cds pep primary_assembly:Fonio_CM05836:3B:34470206:34471087:1 gene:Dexi3B01G0032080 transcript:Dexi3B01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSHGPREWLKGVQKEWKILETNLPDTIYVRAFEDRMDLLRAVIVGVSGTPYQDALFFFDMKLPSSYPLTPPEVKYHSFGLRVNPNLYHSGTVCLSLLGTFGGEGPELWSPDTSSILQVVVSIQGLVLTAKPYYNEAGFEAQVGTPDGHRNELPYCENTYLVNLHTMLHLIRRPPVRFQAFIVDHFCRRGQHILRACEAYQKEGCPVSTLDSEGCATEASSEQPPCSMGFRLALANVVPRLVEALTEIGAQNAL >Dexi3B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:3B:12702845:12707353:-1 gene:Dexi3B01G0017260 transcript:Dexi3B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAMSDHPPPAPPTLRKRPISVDTSRPGADGAASREEAPCRHMPGQGAREEGEREAAGSVSMASAPGEDKPGEEAAARGREDDLELEAGEPMSPAGRLFRQTHFNCYIVAVIGLGAAVDVAAVRVGLEATLARHPRFSSVQVKDDVKRHAKPRWVRTTVNLDNHVIIPRLDPTATSANPDRAVEDYLSRLSTAPMDHSRPLWELHLLDFPTSETASTAVLRMHHSLGDGVSLLSLLIACTRSAADPARLPELPPAPRRAGPVHARPRPPLSAGPVALLLWAWSYAVLAWHTMVDVARFIATALFLRDPRTPFMAASEGVEFRRKRFVHRTLSLDDVKFVKHAMKCTVNDVLIGVTNAGLSRYYFRKTSDTNNERKKSQKNICVRSALLVNIRKAPGLHAAAALCYGMFTNTTMSFSSMVGPVEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYMNSIKLVLAVDDAQFPDSHQLLDDFAESLRLIRQAASTR >Dexi6B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:6B:24237647:24238436:-1 gene:Dexi6B01G0017030 transcript:Dexi6B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGSSAAWTGGRPGVPFGKAKQSEWTGVRPRQGGRWAAEIRVPKTRDKLWIGTFETDRLAALAYDAAVFCFYGDSLPKTRKYNFPFAPRPEIPDTVRARLHVANIKAIAEHHARSVDALLLPPPPPPVYGAAPVAVAPPPMVPLVAMPPAVAPPPMVPLAAVEPAGPFAGDPSAPVAAATEEYYNGVPTYMGDNDLFFAGGVDDSQFVPYCEGDADASVRAH >Dexi9B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:9B:4322105:4324288:1 gene:Dexi9B01G0007150 transcript:Dexi9B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQNLAASFGLRCTSAAAAGAGPAPGAGCRFIQDALCRPFCLFASSRRADSPHDAEDHNHPPKPPRPKALPAATAGGGHSLLLPRSSSTKPPVDEPPSSLAVGLLSVFASGMGSTGGIAGASSLAASPSISAGFNPAALLPFLQATKWLPCSDIVTAATASRGSGRPAVASTAPAPRAAAPSQRSAPRTVPSSGPAPVPSPAVAAPSKVGIQALVGGASIASGSAGIGSSAIASGAAGISSSAIASGAAGVVRKSGASLASGARVSRRTSWLSRWMSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTLDVGDRILAEKVSYIFREPEIFDIVIFRAPPVLQALGYSSSDVFIKRVVAKGGDIVEVRDGSLLVNGVVQDEEFVLEPAEYEMDPLTVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSMLRYWPLSKITDTIYEHDMAQFTVATP >Dexi7A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:7A:29630484:29631518:-1 gene:Dexi7A01G0020930 transcript:Dexi7A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAPPPCPHLAAHRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCSPCAAARPSPSPRLYACLSCAAVFCPSHAASHAASASSGPGHQIAVDVDRAELFCAACGDQVYDPDFDHAVFLAQSSSLLPSTSSASAPPSAPRKRRRVDYRAWAPDPAEFALMSSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRYLCPRRTPVRHRAAEADAAAKLACLACDLDEIYSAAFSGDRMPYSPAKFLYSAQRYRII >Dexi2B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:2B:7593879:7595947:-1 gene:Dexi2B01G0007240 transcript:Dexi2B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATYRGPSSSMAMAPAPVAVVSPQFCAPGTVPLTVTKKAMSFSGGDFTVTDGNGAVVLRFEGVYFSLRSRRVLLDAAGRPVLTMERKVFSMYDKWKVYRGDSTNSGDLLFTVKRTSFFQMKTSLGVFLARNTSEQVCDFKIKGSYFERSCAFYRGNTDIMIAQMKRKFTVSNVLLGKDTFLVTVFPHVDYVFIAALVVILDEIDRDRSQ >Dexi2B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:2B:7839620:7840189:1 gene:Dexi2B01G0007610 transcript:Dexi2B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSSRRAAARVALMPLPFQGHISPMLQLAGTLHARGLAVTVLHTAFNAPDPTRHPGLSFVSVPDAIADAVAATANSGVDRVAAMNAAVEAPGLAIGVRDALASLMRLEEDDGGEPRLACLVIDATQTAAQKAAAGLGLPTLVLHTCSAACFRLFGSYDMLFEKGYLRAQDCSFEC >Dexi5A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:5A:5154991:5155809:-1 gene:Dexi5A01G0006950 transcript:Dexi5A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVITRSMATRSASSSPSLVHALPHDMAVEIAGHVAATSPLPMEDLRSLRASCQAMRAACSNRDVGRRVALEREAAAMRWADHDRYLAVVGILSGAGNPKACFLAGIALIFVHRSAHQGAELLGRAAMAGHKVAAYVLGVLLYTYNTDNAVDDVARRHMRQVEGDEEVVSGDDDVAIAKISNSECVRCRAQAVEAVSQATWKMAPELPKTTTVSNMVTPEEDASHRCEVSGCGAREAWSDSAVFCSEECRIRYECAMFISQLPLTVANFAA >Dexi1B01G0031100.1:cds pep primary_assembly:Fonio_CM05836:1B:34828735:34833880:1 gene:Dexi1B01G0031100 transcript:Dexi1B01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPAPNPTTDLPNGSSAQDRKKSRESDRRRRRRKQKKNKAASNGAGAEPDEEAAPDSANENADPKPQVEVEVEYVPEKAELDDALLADFKDIFDKFTFKDSPAATEDGEKKDEAATDAVKKGDGSDSDDDAQEDQQKKEGGVSNKQKKLQRRMKIAELKQICARPDVVEFIFHISDFTSACYFFQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQHMHLSPMFRLNSNFLTPDTICYVQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLRETKPGVLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDDEPVDRSKHWGDLEEEEEEEEDEEEEEEEPMEDEDMEEGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQVLEQKEERIAPGTLYGSSHTYVLGAQDKVAPKRVDLLKNQKADKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQQEKDGKSKKKEFKF >Dexi9A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:9A:1614489:1615807:-1 gene:Dexi9A01G0003070 transcript:Dexi9A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPLQAAAPRLPLPLAASRCRLAPPPLVRLAVSSSSSVSARLTCRGSTPRWRRVRVRARAGPGDGGRRESPYEVLGVSPSAAPNEIKRAYRRLALKYHPDVNKEPNAQEKFLRIKHAYNTLMNSESRSKYASSSSDSSWSSSSSSSRESKSTTAEETFYGFAEFLKDLQTEFQNWEAGLNSDQKPKSLWEELAAIGEEFVEFLENELKIDDSGPKDDTVNDPYTPFGGQEKNAKDNKTGTNNFDDGVSEIEAALEKLKKELGLG >Dexi5B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:5B:5481799:5483262:-1 gene:Dexi5B01G0008190 transcript:Dexi5B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVQVLESSFVSPSEPTPTEGLWVSPLDLVLANRGHTPTVYFYSSNDVAAADFFDVARLKDAMAKALVAFYPLAGRLGVDSDGRIEISCNGEGALFVVARAAHLTIEDVKDLKPSPELRRQFVPRIEPSSVILAVQVTFFKCGGVALGTALHHVAIDASSAFHFFQTWSAFSRDDDRAAVELPYHDRTLLRARSPPTVHPDALTMFYPLITYIEPSGPLAGEVFTISRDQIASLKRLTCGGDGATTSTFCAVSALLWQCVCIARRLPPNSEARLDILANIRRRVKPPLPDRYFGNALVRLGVTGAAGDIVSEELASVAGRIRRAIGRMDDGDGDGDMVRSAIDYYEMAVAGKEEKDDDGARRSTCTLPETELQVVSWLGMPMYDADFGWGKPLVMSRAESTRGGIVHLMSDGTAGGGVRVLVCMEARNVKELERLLYAKL >Dexi1A01G0022090.1:cds pep primary_assembly:Fonio_CM05836:1A:28788770:28791446:-1 gene:Dexi1A01G0022090 transcript:Dexi1A01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRFRRFPSKAKKGKGEKRREGAAAPASPAAASFPSTGARSNLSEDSSAAARAAAASKSSGSVSASVSSARSIPELYEERGADNLREFGFRELRAATSDFSRLLKVGEGGFGSVYKGVVRLPGGPPGGAVVAIKKLNPNGHQGHKQWLAEVHFLGVVEHPNLVKLIGYCATQSERGPQRLLVYEFMSNKTLDDHLFNKAYTVLPWNIRLEIALGAAEGLMYLHEGLEVQVMGTLGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSVERNRPKSEQKLLEWVRQYPVESKQFRKIIDTRLEGNYSKQGTRKIAKLANSCLARQRRDRPTMREVVESLKQAMQHKDLNGVARASGESSPPDEVLGKPTTEDVAVASARRRMLHLAALGENANSIARRKFMLMRAAAAPTPT >Dexi5A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:5A:8222495:8223019:1 gene:Dexi5A01G0010970 transcript:Dexi5A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRTRWMSEGGRAVGSGRGDAGDDGGHTPTPPTPFNPPSKQLSFFHRFMASTFSAAGDGLSVHCAHLSTSRTTVMVSQWTK >Dexi4B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:4B:798890:799513:1 gene:Dexi4B01G0001290 transcript:Dexi4B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLLRQRRPWSGKKSILAALLAALVMVAVATGISISFAPAHISFSVAYADTTMVASGHQLKYWYYNLTLVAHDSSRRREVQYGSIAADIWWSETKSMPTDEAAGLPSGWQPPGSSRLVNLGVEKGQYDEKENGTAAAATPPPPLLPAAEKGNVSSRVEWPICRVVVEAKVLARMIPTLPYTITATCFPVNFYAGPVSVNCTA >Dexi2B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:2B:29332493:29334225:1 gene:Dexi2B01G0019160 transcript:Dexi2B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGRSKVQRLYDACDAVFSPGKQGGRKLPTLKQIRWLQDVLDGMEAANVGIDADAGGEQGESERSSSSSDDETSPRAAARRVLSTRGFTRITYVHIHECDDFSMGVFCFPAGATLPLHDHPHMVVLSKLLYGSMRVSSYDWVTAPRRCGPRKGGLAKVVAVDELREAPCKASALFPRSGGNIHTLTAVTPCALLDVLAPPYSEDLGRPSTYFSDTPVPAVPGLAVLEEADLPEGFRVVGAPYLGPDLVVDMDLYD >Dexi1A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:1A:22984137:22984778:-1 gene:Dexi1A01G0016000 transcript:Dexi1A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACAYGYQGIEPTPTLAPARSSPAEPFLVHFDDDAEAVTPAPPPPRQARAPPSFASRHIGICTEGLGSESSGDIDLSDLSDDVNGGEDAGAEVRQPCKRQHRDDGDEEEEPPGRARRSGRSPALFPPPVSVIGAGGKPWLYLRPHREGGRLVLREVKIPSRELLQGRREGGRFKLQFAQPQPEEEEPVGVGHHQCQDQPPDAVARQEGNG >Dexi4A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:4A:5451366:5454209:-1 gene:Dexi4A01G0007360 transcript:Dexi4A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAKAAAAAPVPPSGNAADHVLLIPPDHHPPPQPHASNNPQQHPAQNPEKPPPAAVSSPSRPPRSPALLRRRSSIAKPKSRFVEPPTPTHPDSTHPSPAHPSSAATPTHRAAAAGVVSTPHTPAEPDEEDDLFRNRDGSSTRASAARCRKRARLGLEVLVLVLFLALLIVSRLVPQLKGQMLWGLEIWKWCVMVTAVFSGHLLSHWIVTLIVFVVERNFLLRTKVLYFVFGLKKSFQVCLWLALVLIAWSQLFDRGGVGRSAKTARILNYVSMFLASVLIGSAIWLVKTFLMKLVASTFHRKTFFDRIQESVFHQYVLQTLSGPPVMELAENVGREGSGLGRVSFSRAKEEKGVPEVIDVVKLRRMSQEKVSAWTMRKLITAIRSSGLSTISNTIESFDDVDGMEQKDKEINSEWEAKVAAYAIFKNVARPGYKHIEEVDLLRFLTKEEVDLVIPLFEGASETGKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLISVIVIVIIIIVTLLLMGIATTKILLVISSQLVVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGTQMTVEEMNILTTVFLKNDNEKIYYPNSVLSTKPISNFYRSPNMYDTIDFAIDVSTSVESIGALKSKIKGYLESKPTHWNPVHTVNLKDILDVNKINMSLSVQHTMNFQNIREKNIRRSELVMELKKIFEEMSIQYHLLPQKVELSYVGQNPLPMAVAHTR >Dexi5B01G0035910.1:cds pep primary_assembly:Fonio_CM05836:5B:35766270:35768648:-1 gene:Dexi5B01G0035910 transcript:Dexi5B01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLIGGALKEEPEDAAVVEIDSEEEEEAEKVVKRRRRRKKACDPHKKRACVDCTNRCARIHGRGSSSSPLPSSSNARATPAVPSFFKVMMGYFSEDMDIPPPFARTILDLAGSNIYLEDAFGLRWRVRVCLSDGVMSFGHGWKNFVLDHAVSCGEFLVFRQIARSVFTVQMFAPSAVERLFLCERNKRQSRKRKPSQKVSSRGIQQTGKRSKKGVESCKKKQRTDRQNGIRPIDCKKPVQICIDDNDIPDSASELKCSEIPGVGAAESQEISEVPIRHHCQAQEVLDAEVELGDDCTVSQEKRSQCSARVSEHPTYDATEMEQDEGLNSLTNVDTSEPLALMDLNEVSIDFLSADIYEFETDGWNPEAFPVDLNMEEPITTGQDSGFNCREHAPQNHLCSMGDDQRFLIPETLSCMENKEMSDVLETGAGYACVATHDIDINALPANEPSVCGEENSSSPADPEVHSGEGALRRCDKDNDLLYCKDSQAEHKQVKQDGEGNKRESTWQNTAEVISSSAKLHEHPDLGQNLYRSENNSERIQNGNSESDGVLALAASNSKFCITVPAPCQTWLELPNRLPVIPRTKKQGRKVVVLKDPCMRLWPVLYQCTPRFSGFIAGWVDVCSENNLREGDTCEFKLSGNSELSFQVVVPNTE >Dexi3B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:3B:4946074:4949063:1 gene:Dexi3B01G0007060 transcript:Dexi3B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPFAAAAASASSLHLAASRLRVPAVSVARREGLFGGRVAGGAARAPARLPKRGLCAGADAGAVVTQEEAVEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYNIGAGFGHFGIAVEGVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAIHFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAISTDDVYKTAEVIRLNGGQITREPGPLPGINTKITACTDPDGWKTVGAFSCFSMANYLCTLLTPGIRFK >Dexi9A01G0028630.1:cds pep primary_assembly:Fonio_CM05836:9A:33411538:33415173:-1 gene:Dexi9A01G0028630 transcript:Dexi9A01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQHRAHPSDQTHAEFSSLPAAASLSVHDPGTTSHPHRRRDATRRRCFHGCSLARGWRGGGGXXXSSSSSSSTPTRPSPSPFTPPRRRKVPAPAAPAGAAAARHLLRCLHLRLRILLLISLPTLYFLSPTPAILPRSLLADFLSAAAFSCALLLLLCLSLPRLPFALPLPLPLRRARRSPILWSIGSSPSASATTPTTGHFVQVYSNGDVYEGQFHRGRCTGSGVYYYYMSGRYEGDWVDGKYDGFGVETWARGSRYRGQYRQGLRHGYGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYSFRNGETQAGHWQNGVLDTLSTQNFIPGSPVAVNHSKVLNAVQEARRAAEKAYDTPRVDDKVNRAVAAANKAANAARVAAVKAAQKRIPNNGDDLPLSVV >Dexi6A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:6A:10908986:10910115:-1 gene:Dexi6A01G0009450 transcript:Dexi6A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRDAQHRQSAAATSEMSTDNMNDGERALSASAMERIQLCMQLQELQNPLGAQHNPLVWPGCRTTTLSNNNSFNSNSSSVTVAEPGQSCSMNEHLMSGQLEGAAMDGLGSPSSAENSNMISMEAELEELLYGEGNQVMASTVDGGVQQGDVDWWSYEGKSPVVCWDFTPETTTVFQDYTSVYDI >Dexi1A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:1A:23683556:23684595:-1 gene:Dexi1A01G0016480 transcript:Dexi1A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSPEPAPLPEAAEAAIIVAALSHVIAHGRAATSTPTTRGHVGQAACHRGEPSAALAHIVSVHDRSAYEQSLTTPAPHEVAPMASAAWPHQEAQKQGTAAAQRIYRGVRRRPWGKWAAEIRDPKKAARVWLGTFATPEAAARAYDAAALRLRGSGAKLNFPEDAPELPQPSPALVGSLQPCNGWNITMDGSTCPEMVLRRSATDVGDNGRFLGPWIIGSSSRAPVLSRSASPIVAPLLSERHGTGSSGIEDEHHSFKKKKILQQGACSAAPEAAATAATAAAAASREAEQP >Dexi5B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:5B:13270073:13272820:-1 gene:Dexi5B01G0015830 transcript:Dexi5B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIADTMAYLQGEGRLLRGEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPLNKMWTQVEWQTAYLSDPTGLTNMFADSQFMWSIYHTVTFFEKALKRSGTKKSTTTPQAPTTTAAPGYLHPMSSHLAWILPPLLRLLRCIHALWADPFAQSQTGEIKAAKTMTVAEQASLLGETGKLTKGQIAPADGLLDVQRDGESKENNIKNWLRGIRDSGYNVLGLAATLGEAFFRCAEASSVTLALMENVQVMEFRHLRQLIHLVIIPFVKYCPADVWQVWLVNLLQPLFVHCQQALHYSWSSLLNEGRAKVPDSIGNLSGSELKVEVMEEKLLRDLTREVCSVLWALASPGLNSGLPSLEQHGPSNRMDSLKNLESFASSSLTG >Dexi5A01G0036210.1:cds pep primary_assembly:Fonio_CM05836:5A:37853524:37854945:-1 gene:Dexi5A01G0036210 transcript:Dexi5A01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVKCGNGGYGYGGYGYGNGKAQMNYHRQNTEYVTTVVTAINRMTVNDKQPAACGGGVQKQASCKEKEEAFVEHDKVSSYGGYHGGGGAVQKQAYKEKEEVFVEHDQKVSSHSGYHGGGAVHKTSSYKEEEHQTGAGGYAGGAHYGGAAAGVKKQAGYKQQQEACGETDAHRGGSVGAVQTCAFDKQAAYGGAIGAAVQQQQHGGYQKDAYGAAAKKNGYQQHEMYSYGEEAYGGGAVQTDSYKFKQQEKYGEAYGGGGAVQTNESYKYKQQEKYGNADGGYGAHYGGAGYGGDHYRGVHGNAMEHYAGYNQLYGQCDTAYGSHYGGAKASGGYPPYHGGAKAGKTECAGGGYNQQFTATPYCSESSESESDSDESDCEEEAFPPRGGYGYGKSNKQGVVYGYGVAGAYNHDKHGGGVKRYEAYEKHEELAGGRRYEAYHRTTQYTGGGGGYGYGGTCPPPNNTHLLRYGA >Dexi7A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:7A:29408416:29412079:1 gene:Dexi7A01G0020640 transcript:Dexi7A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSLALPRAAVPCPARSRAGLRAGFLGFAPPVALPAQQLRCCASSVNDGVVSAEASKPRLPRWDGTSLMNGNCRVVGMGSKLVGCGSAIPTLSISNDNLSKIVETSDEWIAARTGIRNRRVLSGDETLRGLSVQAAQNALEMAQVKAEDVDIVILCTSTPDDLFGGACQQVLTEVGCINAFGFDITAACSGFIVGLLTATHFIKGGGAQNVLVVGADALSKYVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFSVQSDGNGQKHLNAVTSNDESILSNTNGVAGFPPKKATYSCIQMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRSGKVKSGDIIAASGFGAGLTWGSAIVKWG >Dexi9B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:9B:2187440:2187853:-1 gene:Dexi9B01G0003810 transcript:Dexi9B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMRRAAAADEDMEFVDYDQDDEDAMEEDGRSTRALPVPHIVSPAVVRTRGRFVGRSPSVLTSTRDRFDSLTDDGDDGYGPQRLMLC >Dexi2A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:2A:3278841:3283194:1 gene:Dexi2A01G0003750 transcript:Dexi2A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSLLSPSKPLLRRRLPAARLAASAASRGQASSAAGAAAPATAAETRGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEHAAIPVIPGRKSRVETFAGANQTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVIIVPIWKKGDEKAAVLEAVDSVQKILKEAGIRVKVDDSELRTPGWKFNHYEMKGVPVRIEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMEPSILVNHIKGRLEDIQASLLQRAITFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCYPFEQPEGIKKCFMTGNPAEEVAIFAKSY >Dexi5B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:5B:9687340:9690020:1 gene:Dexi5B01G0013570 transcript:Dexi5B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLAAFVVVCLLFLSKPCARDMRLFLATLFQQFALSLLGFLAGLRLLGGVAAASAASETMPLMPSFKRKRAAATVENVEEATAAATEPSVLDLPELAIDCILERLPPAELRSMGAVCRSMRERCRGDHLWKRHMSEKWGRVLGRAARDEWRAHLASASESGGASSVSAGGSKRRRWLAALSCVCPVVSWMRPRPDSGKSSGPALDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDYHTDSFRARYPPHGRRTVVLEDGVQWDRIRAPPVDTLAHDLHASDCLHELRPGDHIEIQWRRNKEFPYDTVLLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDDISKWRQLWPTDILE >Dexi9B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:9B:12448821:12452773:-1 gene:Dexi9B01G0017710 transcript:Dexi9B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLPAEVNGAKSSREKWRVLILKRPFIFYGFSIRPSVPRLRQRPWDLVSVATAATPPPRPSAAPTSATPRRLSLPLPPPRRAFEVRRQGLDPGCGSGAPVDTPFAGDEREQDRARRLLAKPIKFYHEMEELFVGSSADGSLAMDQETCLNDDGNSSDNSESEWMNDTTCYAQHVDLAGDDSDTLPEIEGHKKGLFSTASGDDSSSSMPHAGKKRPRGKSPSKKPQKSRSRFAEATKEINTTMKAIVQALAEPPPPPPLPTPHPGGAHASLWKKIEALPITTEDKVSIGVYLARPEQEGMRDFLSASSDNTLETWVYKFLCTGDGH >Dexi5A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:5A:4086254:4086858:-1 gene:Dexi5A01G0005490 transcript:Dexi5A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKGTDDLPPSLAAAAAASFPLLVYDHGEPPDNSQTVLSVADGSMQTLELPEMRNLSLMCLETPQGLVLMVDTASLQCSLWNPQTGDKMALPAMDEEPPEHCRCIIADAGTFPPTPDRDPDSLVLVYDLTEPEVLICLIRGGAAWVRQSYDMPLRSPRERTNREASLETQSHA >Dexi8A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:8A:19049210:19051162:-1 gene:Dexi8A01G0010900 transcript:Dexi8A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRHGKLSSPALLSSLLLLVLQALVKPAAAACAVDTIYSFGDSIADTGNLLREGPMGFFASIGSYPYGETLGKPTGRCSDGLLIIDYFAMALNLSLVSPYMDKGADFASGVNFAVAGATALDRAVLLQSGVMMPPASVPLSTQLDWFKSHLNATCGSQEDCAKKLAGALFLVGEIGGNDYNYAFFQGLRSIESMKAYVPQVVNTVMDVAKASLLVWNAREVIELGATQIIIPGNFPIGCSPSYLSLFSGSSAADLDDRGCLKSYNAFAQHHNDQLQAAIDDLRKSNTDVTIIYADYYGAFMHLLDHASILGFDQGSLLQACCGAGGAYNFNMNLMCGAVGTSTCADPARYVSWDGIHLTQQAYKAIALSLLMEGYAQPDDAVQEIWSC >Dexi2A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:2A:27573383:27574062:1 gene:Dexi2A01G0016110 transcript:Dexi2A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKRERDSKNPMRRTTSLTEFAPPEALAVVVEDEEALQQLPIDNGQDWLSAFGGGTGGPAAQEEWLAAYRARAAPARAGLRRNSADYSVVETAAFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRERHITQEEWKDKCTVKSMSKDGAAGTAKVTGRRAGSGKPGAGGAVAAA >Dexi2A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:2A:14258390:14263151:1 gene:Dexi2A01G0012250 transcript:Dexi2A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSIDIQIGGLRFATGGGDQKVRIWSMKSVDKDNASDNSSPRLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGAGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMANGMCTAVLRGHSSLVKGVTWDPIGSFLASQSDDKTVIIWRTSDWSLAHKTDGHWTKSLGSTFFRRLSWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSMFRKNFSNGQDTKAVPAGWANGASKTSTKEQQPYNIIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSNSELDELKRSRYGDVYTKCGRRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNKTCILQDSLASLVASPDEQSGNHGGAVKVISAKFSRCGSPLVVLASRHAFLYDMSMKCWLRIADDCFPASNFASSFSSPQGGELGKLQIDIDKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMLGSAPSSDPKNPAWDPDVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKTDPVDATPIPQPAITEAIDKVITS >Dexi1A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:1A:12631462:12632073:-1 gene:Dexi1A01G0012540 transcript:Dexi1A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKKGLLLPGTVVVVAHRTRRARGLALSRRGSSPSVRQRVLCGRGSRTRPACAPAGRLGTTQGGGGRGGSMEEACEWEGREQRDADTDQGAGGVLRRRSLEGATGTAGGKAQATGMAAVEARRGRRVNRNIAAVTAWRGGREARSRSRTPVPMSRWIGRRAVAQRRGRSGRERAGERIGGADGRWVAVAKVVLYDYPIAFF >Dexi1B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:1B:3480120:3484279:-1 gene:Dexi1B01G0004330 transcript:Dexi1B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPVKGRAWCFARPLAPAAPAAGVGGGALPPPTLRDLWRGITSAERTAPEKAESVVDFVAGKMNRAWIGFGSAPEGSMKSRIHSFGLKLLSRVRPSEVLLKSVTKDVRALEIVHPASINSRLVRRRLRHIAIRGASVHKKFLYGSVCLLPVTSVFMVLPLPNIPFFWMLFRAYSHWRALQVCEAIHLILKRFILPSVIVVVSIDMSVVPVSSKLYLYTGSERLQLLVSDCSDQWKGLEKEIDSGKDGNPSEIARYSPWKLRPSKKLDAFLERRNLDEGLDCDTISSICKEYDLDKIDVLKYRDLP >Dexi5B01G0025460.1:cds pep primary_assembly:Fonio_CM05836:5B:27461348:27462235:1 gene:Dexi5B01G0025460 transcript:Dexi5B01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYEKPSETYAKKRPRYPKEWFSKLAGLTAGHQRAWDAGCGSGQAAISMAEHYESVVATDVSEGQLRNAIAHPRVRYVHTPEHLSEDELVAMVGGEGSLDLVVVATSIHWFDIPLFYAVVNRALKKPGGVLAVWGYNYEIHPFEDALQGQLYAALRPYQDPRARLATEDRYRSLPFPFEPVGVGAEGAPADVDIEVEMTLEDLAGFVMTGSVVTTAREKGAGEEMEAVVKDVVKRLEKEWGDAPTVPRKLVFKAFMLAGRPKC >Dexi8A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:8A:6464640:6465743:-1 gene:Dexi8A01G0006330 transcript:Dexi8A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLSMRMRCLRPSGGGRRRCPVLGGDTAAFCASLVEGLAHLESTLEVEDNGGGGGGVGSGSVSMRWCADAMRLVKRMQREMLEIFRKADVPAASVVFNSGGGVAAAAAGDWFEHYMQETAALLDFCNAFKTAVSRIHRYCMVVDFAAHLAAGDAAAAASLVVVESAAAAAACQLASMSPTAFVKNKIAGAKAAVTDAERLGRTIVSGGGGGGGAMVVVTLVAKITTSILSIFVLQALTTSPTSLDVDAGGSVGPTLITSDAVDDVPELRPWCESLSLIHARFPSKATVVEHEKVAMVVRDLINGKANGGGGHGGQQEGHVELLRARSGELREGVEMFDCVLDEVFDEVIRGRNEMLAILRDKALT >Dexi4A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:4A:2846505:2846777:1 gene:Dexi4A01G0003980 transcript:Dexi4A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSNTLKVLAFAVLLLSVAVSHGRCEPDDTRAAAAVIVVFAGRKMLAAETTSSAAAIGVPRLTRPAAAAAAYSESKRSSPGGPDPQHH >Dexi7B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:7B:16172400:16172987:1 gene:Dexi7B01G0008320 transcript:Dexi7B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISAHSLGESIRPSSNSSSLPTISTAAIPPSPSRSTSSWHRAAAAARSSVGSQRTRSAASAAAARTSPGRVVAGRGSQATHPGKLSCASRPRRRSAATSCATAAISADSKLPSQIRVLVPGCRISDTHLPHFRFRTPRYCCCCDDDDEYDELPPPLLVICSSQIGQECYECG >Dexi3B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:3B:8545707:8547566:-1 gene:Dexi3B01G0012210 transcript:Dexi3B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSEQFFLEGLIEHSQSVFLDVFSPNRGDRSEGCHHVPSDMMLPYISRMLMEDDDVDDELSDHPALLQVQQPFAQILSSPSDNGDTDGAKDLLQDAAADERTLSLTLSEGTYAVGAFLKGMEEANMLLPRANNGFRKDELVNKMVIRESNNHSGAKKRHARNDRVEEEEVIRRIRKSMMMIKEPSGICGHEMLDDMMSGGYETYIIRGMKNLRIAMANNEVEKTSKKSSRRASANVVDIHTILILCAQAVSENDQMRAGELLKQIKQHASKTGDVTQRLAQCFTKGLEVRLLGTGRQAWQLFMADRLSIVESIKAHNLYMAACSFNKVVLHFSTMTILQAMVGKTRLHIVDYGMRYGFHWAHLLRLLVSREGGPPKAVKITAIGQPQLRPCPVELIEETGRRLSKCAHGLGVQFSFYAIRKKLEEVCIEDLDTDPEEVLIVNDHFNFNTLMDENIFFDDPSPKDTVLHNIRKMRPDVFIQSILNSSYGTSYLSRFREALFYYTAMFDMFDATIPRQSKSRVMLEQELFGRSALNVIACEGADLMERPEKYKQWQARNQRAGLRQLPLEPDIVNYMKDKVRSCHHKDFLICEDGQWLLQGWMGRVLLAQSTWVAEDAS >Dexi4B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:4B:21665478:21669956:1 gene:Dexi4B01G0019490 transcript:Dexi4B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLAGVVAAAVQLLLIVAVQGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPEKKATGCTEFDTKFKSKSRRPVILLLDRGECYFALKAWNAQRAGAAALLIADTVDEQLLTMDSPEASPGTEYIDKINIPSALVNRAFGESLKRMAEKVAAGDGAGEEVIVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQMEFVRGFRGHAQLLERGGYARFTPHYITWYCPEAFRLTQQCKSQCINHGRYCAPDPEQDFGSGYDGKDVVVENLRQLCVHRVANESGHAWLWWDYVMDYKIRCSMKEKKYTKTCAEDVVTALGLDLKKVMDCMGDPDADAENAVLSKEQEDQVRKLERTAVLKAVCAGFKEGTEPRVCLSPDIETNQCLHRNGGCWRDEATNVTACRDTYRGRVCECPVVNGVRYEGDGYTDCQAVGPGRCAMNNGGCWSETRGQQTFSACSETALTGCRCPSGFHGDGHKCEDLDECREKLACTCPDCQCTNTWGNYECKCKGNQLYIRGEDVCIANSMSRLGWLITLVAVACVAGIGLAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALRH >Dexi2B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:2B:3612141:3618379:-1 gene:Dexi2B01G0004090 transcript:Dexi2B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPRVFPGRLFPTSGVRARLPRAVSRAPTPSLHLPIQVFPFCRRQLSLVCFPTFQIHGRHYRVLIGWLPPAWRSRRRLPTPSSVRARHGHLVIVDLASARYTTTSSSWTSRVASTVFLQNTRLRLHCWGALRDVRRLLPVDISTSPTQHHHPQRHADRIPLVVFSLQRRSYETMTEESAKKSKLSWSKSLVRKWFNIRPKAQDFHADSDGSQGRDGDGGSWRPSCSASEASASTAKKSRTDRSSSKRSAERARRGKNNFDVARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNKNPGASGCGGYHTPSPVLDPVVELDADFEGSARRQENFPFFHRRSFHNLSRSLRMDGDYMFPQPRLDRRFSVCDPVNLGGRPSDFDENLRCPGSPDEENIDMEVSDATQFSPFPHSYTASAPPEQNDEQSNRSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGAGDVKSPETILEHDKSSCGNAKLEAIIGERSGCDRSSSLPLMKQLRMQQRCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFLAEVDIVHQRRRNMGYFSSRIEVEELLPHSQSYREIKF >Dexi9B01G0034290.1:cds pep primary_assembly:Fonio_CM05836:9B:36285758:36288029:-1 gene:Dexi9B01G0034290 transcript:Dexi9B01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVTSLLSRNDPLASSDDIHRRPHRASCSAAAGLKDVADDGAAAKRRCLRIRLLAAAIREVCAGCEAAAAEVVAAAERWGRRERAVGFRPYARMGLFIVGPPLERESWRTIHPGSIDRLIGLDGELRLARPAVAIPLCEPLALRFFRSLHSGDTTHRRARTACTTSSPARKLPWRGDTISIRPSSSSKEGSLARSLVAACACRPLHLSINRVLAESTGKQKLFPLTPPQRIFHPYPSRNRKVSHRERSIRPPRVDPYPCVVFRPSSSF >Dexi6B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:6B:17390591:17393327:1 gene:Dexi6B01G0010710 transcript:Dexi6B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIMPVKVWVLMALMCVGVGLGADDHAAAAGSGLTSVRSLNYHGALAKSILFFEGQRSGRLPVNQRVKWRGDSALNDGQDQNVNLTGGYYDAGDNVKFVFPMAFSITLMSWSAMEYRDEVAAAGQLRHLRSAIQWGAEFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITENSPGSEAAGEAAAALAAAYLVFRDDRDKTFATQLLSASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELQWASAWLYRATRDRKYLDFLQNNQGGSSNMFSWDNKYPGAQMLATQEYLAGRTELESYKRGLDSFVCAVMPNSGNTQIRTTPGGLLFTSDSVNMQYTTTATLLLFIYSKTLSSSNSGGVQCSAATFSPDDISSFAASQVDYILGGNPMGMSYMAGFSSKFPRRIHHRGSSIPSIKALPRKVTCNEGFSSWFPTSNPNPNTHVGAIVGGPDGNDQFSDNRGDSTHSEPTTYINAAFVGACAAVLGENQVQGPVGDIASVISSN >Dexi7B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:7B:25449998:25458176:1 gene:Dexi7B01G0020000 transcript:Dexi7B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSAKLIIGIVRMPFGTFHDEDSNALTKLDDKILSFHNLGGLTHLQIRGCRNLSCISLKGFKQLISLKSLEIEFCRNLFSSDVLPEHVGEDMADAGSIALPSLERLNFESCGITGKWLSVMLQHAPALEELSVDHCEQLSGLLIEGTGRSLPNHTCTPHDSSAGNPDGTTSAALEGLLRVPSNLVSSLKKIIIKSCYVLTFQGNKEGFSGFTSLEELMVCQCPELIPSLVQKYQNNDQGNGRWLLPHSLCILEIDDSPEMLQPCFLKDGNCLEKLVIEDSPSLECLQLCFCTALEELIIDDCKLLAALEGNLTCLRKLVLSGNSGLESLGLYSCTALEELTVENCEALTALEGNFTSLRKLDMSRNPRLKSLPLRFCTALEHLRIYYCESLDTLEGNFTCIKNFHLHDNSGLESLELYSCTSLEELTVENCEALTALKGNFTSLQKLDLWENPRLKSLWLGFCTALEHLKIYDCEVLDTLEDLRSLRGLRYVDVLRCTRLPQERLLSQGYDLCAGLERLRTDDFSFLTTSFCKCLTSLQRLEIHECTTGEVRRLTDEQERALQLLMSLQELQFENYFHLEDLPVGLYSLPSLKKLEIDNCQRISSLSEKNLPPSLEELQIRYCSKELIDGSSAGNRLLALRLSRLKELLYEADDLVDELDYCRLQDQVDEGTFGCGTNGAEQVDGSRDNYMLQHQVEGGLLGIISTKARV >Dexi3B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:3B:2809962:2812649:1 gene:Dexi3B01G0004060 transcript:Dexi3B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSHEFVAHRRASGERARRPAKRCHRSSSPPRRRYRFASWRAPPAAHASPWRPPRPGAPPPPPRPRRRSTRLPSRAERLRLDAAARDAMASAAATAAEADPRAWKWAIRKRVWDTLEAEGVARDPRPVHHRIPNFDGAPAAADALGRLEVFQNSQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLDSQMIPIGCIPEACTSVGAAKYGRPIGLDERIKVDFIVIGSVVVDPSTGARLGKGEGFEELEYGMLRYMGAIDGSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVIFTKTKIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQETGTILPSGPSEKLPPTAQRTRRRRRR >Dexi9B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:9B:12901009:12901647:1 gene:Dexi9B01G0018160 transcript:Dexi9B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLLLLVPSCSWWCVVVSARPSPSSSASAVSFIRSWCAGTEYPALCDATLAPYAAAVGSSPARLSWAALTVTLGGARAATSAMKAMSVAAGHHLTPVGAEAARDCVSMLGDAEDLLEQAVDTMASLAEQKGKASGSSSSSSRDVRFQVDSVQTWASAALTNDDMCVEGFKGEDAGGGGVLEAVRGHVAGVAHLTANALGIVNAMAKQIS >Dexi3B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:3B:11397551:11398539:1 gene:Dexi3B01G0015750 transcript:Dexi3B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAYYSTTARAPVMAGAVAPQCPPPLDTTAMDSSRWNRVCFAMNASTVRRNSSASSMPQRHACASRGVTDDRLLPFSDSDRRSSGDTARLASRCCCPIFSDLLRLGARASLLTRTTGFSSSSNVTAACTACSGAAGAAANNTTPGAAMDAAAGAGGWIGVAKETAAAAASSNKQALEGVEAGVAKEGKLLAPAAAGGGGVGVVVAAALSELVGLLEEEEEAERSRLESARRRSTMALPRTKTKRALRRSEPARRSAAAAADGTREDDHERKATSARSSPTRSIRYRRRSRSAAPATAMAVSHRGGTGRPCSYHVW >Dexi1B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:1B:14984660:14987498:1 gene:Dexi1B01G0012300 transcript:Dexi1B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIVEHLEAMAAEPSTAAPPAAAAALPEPPYHHSPANRPVTPPPKPAAAAVAGAGLRTPSPSPSMQVSGYSLHELLLLSPPPASSRRHRSRARGVAGAGVDESLEMVAVAGTPTPPRRRRRGAVEQCAAPALASPRNTRRARRRLEKDVEAEEDAARRARRRKSTRAPPKAAAAADKAAAAGLAAGVNEEDTSLALVPACPYATSGTDFVEQSGWEGLWERTVELVMWRNVAKSALWFGFGSMFFSCSFSREITFRHVKFHTLLPEILDILHFSTLYSCNELWVMICSPISAFCHLGVMILGLAFFKDSVPQRPQVERGRSFQLTEGDVLRASRAVLPIANSMISTAQVIFSGEPSMTLKVLPVLLFGARYGSLITVWRLLAAGFFTSFTVPKLYSCYSSQIHKRVEILRDRALEAWKSCPRKKLVAATAVTMFWNMFSVKTRVMAAFLSLVLLRYNQKYRKADSNPGVELCQDDEGQQMETDE >Dexi3B01G0023370.1:cds pep primary_assembly:Fonio_CM05836:3B:18162463:18164550:-1 gene:Dexi3B01G0023370 transcript:Dexi3B01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWASTLAPNAHSGDLVIEVGEEEEEESDPSPDGSEIAVPAGRKRRERRKDGEADTASATSEAPTLQKAKVDPAELKSEPIYQSTHPIIIGQAQNPSISTAKIGRRKARNDRDEPTYKRGGVEPPDRRVRDAEEDERRGFPPAPPIPEHAPRCKTLPFLFFSRAAALPLPVLAPLLRPCGVGAASEERKEGHADQAAAGFSLVASPGRLPAAAGAAHSRQIGEWVPAEALYPMGPVPRLRGGSIMQDETRAATGRITQPYYTVGPSFPLHRIALGSDARRVASHEATNASDKAPLAQLNPVKPRDFIRSISPSRATQTRSNALLSPEEPDDPLGSSGTGGLALAKCAHQRALAQVLGITHCHRPASREALVRAAPGK >Dexi1B01G0023740.1:cds pep primary_assembly:Fonio_CM05836:1B:29272652:29273019:1 gene:Dexi1B01G0023740 transcript:Dexi1B01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIRRRCVPQPAPKDNPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPAQNYIVKEGSWWAACCCFGHLCDYIYVNRHK >Dexi2B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:2B:1455731:1455975:-1 gene:Dexi2B01G0001900 transcript:Dexi2B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDLNEPINWDEIEEFEGGTLDLSYDFVWDSANEEVVMTQRMVAAMTQRKMTSTQLM >Dexi3A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:3A:3344946:3345857:-1 gene:Dexi3A01G0005170 transcript:Dexi3A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASAAEEDTKGMATLLSLLRATTLEESAAAAVTAAGDEEEKVEWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRSLRYIEDYLINEVLPFYGNTHTEDSHVGSKTTRLVHKASRYVKRCMGAGASDALLFCGAGTTAAIKRLQEVMGVASPSAELRERLAAQLRTEERWVVFVGPYEHHSNLLSWRRSIADVVEIGVDADGLVDVAALRRALTSPEFANRPMLGSFSACSNVTGVMTDTRQLARVLHEHGAFACFDFAAR >Dexi9B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:9B:7561885:7564209:1 gene:Dexi9B01G0011620 transcript:Dexi9B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCGWNHKKPIQTFRPYNIAHRGSNGELPEETEAAYLRAIEDGADFIETDVLASKDGHLICSHDVILDTTTDVANHSEFANRKRSYVVQGFNVTGWFVVDFTLKELKSLRLKQRRYEIITFEEFILIALYANRVVGIYPEIKNPIFINQHSYYEITSDSYLAFIRGYVVGIGPSKDSIVPPKDNYLGQPTDLVARAHALNLQVHPYTFRNENSFLHFDFHQDPYAEYEYWLNEIGVDGLFTYFTGSLHKYQEWTMTYPKKEKKQKHSCMRSLKLTC >Dexi1A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:1A:8892505:8902514:1 gene:Dexi1A01G0010290 transcript:Dexi1A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSCCAAAAAVSSPPVVLIRPRIVESIISSFTGPASSEAPKIMESSDVVLVTGATGGVGRRVVDVLRKKGIPVRVLARNKDKARNMLGPDVDLIIGDVTKEDSLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYSQGIKFFEPEIKGPSPEMVEYIGMQNLINAIKNSVGLNEGKLLFGLKAQYSNFAVGNLSGNIVWGALDDVVMGGVSESTFQILPTGSETGGPTGLFKGTVSTSNNGGFTSIRTKNFSVAEDLSAYDGIELRVKGDGRRYKLIIRTSYEWETVGYTASFDTTKGEWQSVKLPFSSLRPVFRARTMTDAPPFDASNVTSLQLMFSKFEYDGKLNPTFAEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNEELGSILTYKLKGEDLIRESGIPYIIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALASPNAVGKTFEVKSTVPFSEAYVVDPSNPPPEKDYEMYFKELKEGITGKEALEATPV >Dexi5B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:5B:277517:280209:-1 gene:Dexi5B01G0000450 transcript:Dexi5B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMSPSSVSSHIHDASSSTDDMSLQEGLLFSDTLKDLRNLRSQLYSAAEYFEVFYRNNSHKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVSEKADEVNETEFLVSSVEQRVRICQQTIDQEGRSQQALLIKAPKYHRRYILPGNLALQSVWIYWNPPFTQFRNLHGIADNIQVAKCTSLNLRQTSMQLHTDWDQHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >Dexi5B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:5B:2025034:2031063:-1 gene:Dexi5B01G0003080 transcript:Dexi5B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSETIALVGLILLNIFLFYANLRQLIRGRPRPPRQHQQQKPLPQPPGPAAAPGDGEGEDRAEEDGREKQPQQQRRRRRARRKRQQEQGEGESGGGGDGDGDSTAAAPSGKAPDKEEEEEALLRRPQFPLASVAGALQRRINERYDDLARASEEGCLTIEQIMELSAMEMEAPEISFEELLAKEKEDTAFWQPNGKPRSASTK >Dexi2A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:2A:7274875:7278150:-1 gene:Dexi2A01G0007470 transcript:Dexi2A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTALLAAQMDRHLVFPLLEFLQERQLYSEPEILEAKIRLLSGTNMVDYAMDIHKSLHGTDDVPEDMVRRRAEVVSRLRSLEEAAAPLVTFLQNPQLVQELRPDKQYNIHMLQERYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSVSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYLATAVVVNKRRRNMLKELIKVIQQEQNSYKDPITEFLECLYVNYDFDGAQQKLMECEQVILNDPFLGKRIEEGNFVTVPLRDEFLENARLFIFETYCRIHRCIDISMLSQKLNMTYDEAELWIMNLVRSSKLDARIDSVSGTLIMTTNQVNVHEQIIESLKGLNMRTYMLAKNIVEPAQAAQQATR >Dexi9B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:9B:11498379:11499264:1 gene:Dexi9B01G0016750 transcript:Dexi9B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCCNGDAAAFSAAAAASSSSRVFRITAAWASSARAARRLFRISCHLFRISAAWASSCTRAAAASIASVSWWRDAFRFFPLSTSSTQVASAGAETADGRWGGRVVIDGFGLYESPKGVYLAAGVGNRLGYGWWGENRGRNGPGRKRRGGAGQVEFPELAVAKGDGVLERGDVVVEVVPAEGERRGGAGGEAVEGLAEAALLGRERGRDGLQLPHIHDRGRTVELRERQESGGEAAAAVMGALPFRESIAGPRGGSSLGTGQMCRAL >Dexi8B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:8B:23468432:23472520:-1 gene:Dexi8B01G0013330 transcript:Dexi8B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGWLQSSAAAEFQVQALVDMKMDLNDNNDVLGDWKDNQMSPCYWDHVYCEDNKVITILQKLVLIWVNRSLVTKHCKANNFTTVKMRYRPEIFIDVSGQDDHRLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFDIKLNEPALDWSTRMRIALGAAHGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIYGYGVTLLEIVTGERAIGFYPEAGEIMLIDRVKLLMERGQLQDIVDCNLGDLYNFEELEKITQIALLCTQMEPDHRPAMSEVVQMLEGELVPAERWEEWQLAELSRREQYEMRKQRQPFSFSEESLNIQEAIELSTGR >Dexi8A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:8A:582236:582752:1 gene:Dexi8A01G0000880 transcript:Dexi8A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQSQQQVVVLAVVAAVLLAAAASSADAAVTCGQVNSAIAPCLSYARGMGSAPSTGCCSGVKRLNSAASTTADRRAACSCLKSAAAGISGLKLGNAASIPSKCGVSIPYTISPSVDCSKVR >Dexi5B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:5B:9715181:9717008:-1 gene:Dexi5B01G0013610 transcript:Dexi5B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGGRHGRVVLPCLAVVVVALLAAAGPHGAEAYKNYTVGDDKGWYDDLTLPGVDYQAWADDIKNFSLGDFLIFNTDKNHSVVETRNGTLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGRTYFFSGNYDGEQCENGQRFAIDVAHGQGLPPDLRPPAADAPAPSAAADGGAAVLDFSHPKNVTTPSADDDLRGDATSGSGRTPASLGSGIAVMTALIAALFAV >Dexi9A01G0037440.1:cds pep primary_assembly:Fonio_CM05836:9A:41706116:41707151:1 gene:Dexi9A01G0037440 transcript:Dexi9A01G0037440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVSLDGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAALYIDNARWDGVPFLVKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDKYDTEVPDSYEHLLLDVLDGDSHLFMRSDELAAAWNVLTPIIHEIDQNRVTPELYEAGDKGPINAYYLAAKHGVRWDDDW >Dexi9A01G0025400.1:cds pep primary_assembly:Fonio_CM05836:9A:24617064:24617451:-1 gene:Dexi9A01G0025400 transcript:Dexi9A01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRPAVHPVEAPPPAPAAAAQAQAQAEGVAHPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAVMASTNDFPSVSAFRSDASPFFGSPHLRERCA >Dexi3A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:3A:3747354:3750470:-1 gene:Dexi3A01G0005800 transcript:Dexi3A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIFLANNSSNSTNNNSYAGSGCDALLHPFVFNLTASYADQRNEATMVFTSVVMFMLAALFFNLNLFSRFSDVSAILNPTVRLFLSSSLSLFLPVMSYLFSEAKNEGAAAAMAASSSSYRQQLGGSGASATTELSLRARTILMWMLLVELLRKKVEAILVNVGVQFYSSTIDRASRIAWLGYLVFYNLSSTGKKAFYGTLWVLAAAKLLQRVAINELLKRSLAYGKNAEQLSWYMATIEKQHQLQEGYHLEMNKNVVITVGDIWKLDMKHLLRHDPPSLKRLCLSFALYKLLRRRLEDHPITDDETRCCRSLIFRGLRMELQRSADKSLPNHKDERSKEELKSTVVAVHLFQVFYEEVQFLCEYYHSVLPVVLSNPFFFVANYVLFPIVVWALCLLTFILCGNGDVVFAYHSITTDNYILSRGTLRIFGCLLKGIVYHPDVLFTAIDLAVTFLLLLTFFYEQVWEFLVFTLSNWLMVSLLCEYTSKPQWRRSRIRTGLICRILWVRRTMSRPNLCFKQLSVLGFGRCLPSMTVPKKKAAPMEVKRSIMDYLVAYVDGHAPPLSNGWSTIKHSCHYSSHQKQILLGACESKNVAEVILTWHIATSLMEVKYPPQLDKKKTKKKITSAGGHRKVAMSLSGYAAYLVASYPELLPDSMEGTKRVYEEMKEELKEVLGGCWRYHVSLQRSRVDYRLLVDVDERLPEEEMKAVRKGAKLGKKLMAMAEEEGVDQVWELLAELWTELMVFMAPSSGELHVKAHKEALAMGGEFITVLWAMCMHTGITRPAVAPWEAEAEAAAVVASGGSCASHSEEIIRPAV >Dexi5B01G0026840.1:cds pep primary_assembly:Fonio_CM05836:5B:28503874:28508228:1 gene:Dexi5B01G0026840 transcript:Dexi5B01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAVLLQRSSSRAREYLQLQRTAGGTVALHLERLQCWWSGGSGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRLLQNVRQFEVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEDLLKDEFYIGLKQRRATGQEYSELMDEFMTAVRQNYGQKVLVQFEDFANHNAFTLLEKYRENHLVFNDDIQGTAAVVLGGLIAALKSVGGTLADHTFLFFGAGEAGTGIAELVALQISRQGNVSVEEARKKIWLVDSKGLVVTSRSETLQPFKKRYAHEHEPVKDLLGSVKAIRPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYTWSQGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAVRVTDDMVLAAAEGLADQVTPEHIDKGLIYPPFPVIRKISANIAARVAAKAYDLGLASQLPRPKDLVKYAESCMYTPVYRSYR >Dexi7B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:7B:17531726:17541430:-1 gene:Dexi7B01G0010150 transcript:Dexi7B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPVVRSLAPTPTTPASMQICNYAKVHPNLPAAVSKSRVAIITPASQDHDFTRDPGASSTATHASPLMRRPPGNHDERPYQSHVFQELPSNGNAKLNPETERQRQTCIQDYSYDKDVVEIKLPDTVLSADYGGHFIKDVCIDEGVLPDKKTSKENQVSSNDCHETGIGVALETNNIIHSDLPVESAAADFSVVIPEEVAIGTALDKGGSIQVNHYNPFIAYGSLDETWEPNYSLPTIVDAASIAPICPVEKTDSFSDLVNRTLEGFDPINIDEAIIEENRTDSVEASSATLDVQASEQLNDQRENPSDDVNTDVVHENGIATSLSTSNGEPNDVKSENGKKCEIDSARDINDFNPRDVEVGTKRSEDIMDSKSSPLVQTESVVQQNGPDSAKVTAQTVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPV >Dexi4A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:4A:20668380:20669419:1 gene:Dexi4A01G0016920 transcript:Dexi4A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNCVLAAALEHHAVVSSPSAAAAPPTRHGAAVLCRAMSEGDLEAQLAAIPAVMMRGKKKDEVGGHHGGRAPRLSLSASSSSSASIFLEEEEEDDEEEYEAEPEVAVAGADVPLPLRRLLTSTGLDLSAAAAREAAMALAEQGVGGGGGGGVKACGGHGGDAAAGDGGHRAAADAHYRQMIDADPGNPLLLVNYARFLKEVEGDAARAQEFCERAILASPGDAEALSLYAGLVWETSRDAGRAEDYYTRAVQAAPDDCYVLGSYAGFLWDAEEEEEENCNAEPVPAPPPPSPLLGVAQLPSIMAAS >Dexi6A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:6A:26627218:26627789:-1 gene:Dexi6A01G0018980 transcript:Dexi6A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGGGGGGAREVDTRGVDRVVKVVVRHGDTVDAVSVLYDRGGREEWTDLWGGPGGALAEICLRPDEHLRSVEGHCGEFEGNYVVRSLTFLSNRRAYGPYGRTEGVPFALPAAGGRILGFHARSGRHLDAIGTYVKVELQPPPHADDD >Dexi9A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:9A:7593250:7595911:1 gene:Dexi9A01G0011970 transcript:Dexi9A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGIAAAPAAAHCRRLQLLLLALALMPLAGHGLHHVRAVGADTGGLSRDAFPKGFVFGTATSAFQVEGAAASGGRGPSIWDPFVHTPGKIAEDANADVATDEYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGKVNEEGVQYYNNLIDYMCKQGLTPYVNLNHYDLPLALQKKYKGWDIFADYADFCFKTFGDRVKNWFTLNEPRIVAFLGFDTGIDPPNRCTQCAAGGNSATEPYIVVHNILLSHATAVARYRNKYQATQKGKVGIVLDFNWYESLTNSTEDQAAAQRARDFHVGW >Dexi9B01G0025030.1:cds pep primary_assembly:Fonio_CM05836:9B:24403808:24404200:1 gene:Dexi9B01G0025030 transcript:Dexi9B01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLARPPDGLTVACAVRELELRLALAGTPRGCVTGNLQLCSPARSCSARQLLLRSPTILVVATRGLQIRSPFTGEINGGSM >Dexi5B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:5B:5305882:5306348:-1 gene:Dexi5B01G0007850 transcript:Dexi5B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMLLFFVTSVWVASSSLPLMRVVAAGDGQRVKPCPPTSCGGVNISTPFGVLQEQASESSCGAIGFQVSCSNNTPYLAYNETDHQFQILKIFYGNSSLLVADSHKLQALDGSVEGSCLVPKDNSSARIGASVE >Dexi7A01G0023000.1:cds pep primary_assembly:Fonio_CM05836:7A:31140917:31146410:-1 gene:Dexi7A01G0023000 transcript:Dexi7A01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAPVNGAIPPLSSAAASVVRRCAKIAGVPVDQLLRRFDGEEQGSQPPLEYARSVVEHCSYIALRVETRRHDHLGDREFHSLTYDMMLAWEAADEETDAMFQKTAFSILRDDEDDDDGGSIFYSSPTQMAIQVDGRRTVGPEAFAKIAPACPAIAHPITVRNLFDVLTNSTGGRLHFLIYHKYLKNLQQVLSSAKSISEGHRAPDLQLSEGEVILDIYGTATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGEAVVYDLARDLKQSVKRESTGPWGAHLFDKAVMYKSSSTSEPVFFEFPQFKGHTRRDYWFAVIKEVLHAHKFIRKYKLASFQKAEALSVATLGVLRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEAMYGQLKQHGPRFRGSQDFGQSSSDELMLVDPFPLSAYTMVTMGLLTLKEEDNHEQRDFAVRDMQIGGTSSVQMALERSVGYSGRVEAARATLDQSVGAFEIITPPPRRTVEQLLALQEAISQLEAHVQAGNIFLLKLRSLMLAAFPQSTNKVASALVVAAMSFTFVPLRTIVLVILLEAYTRQMPVRKKNSEKLVRRIHPVVGSPQPSAPPEQAGGKKPSHENLQLPITAPPPYAPAPLPPPRRKRRRCCRCVCWTLLAVVILIVALGATAGILYLVFRPKIPNFHVDRLTVTKFNVNTTTMTVTDAFDVDVTATNPNSHIGIYYDGGEVTASFNGTQLCSGAFPTLYQGHRTTVQPRISLTGETRLDSAVAVQLIQQQQAGFVPLTVRARVPIRIKFGAIKLWKMTGKADCNLVVNNLHAGTQLHIQSNSCSFKLKI >Dexi1B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:1B:238068:239123:-1 gene:Dexi1B01G0000150 transcript:Dexi1B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVGDGDAASAGRRCPKHPSEPPFTGFCSACLLDRLQATNLIGVASPSQPPAPSLHLHQEDLEELPPPCSTEAAKQPGTGRITLLRLFQLEDQGEERPEEDTNSAPSTSGGGDCEDPPPHLQRKRSLRHSCSEWIACCDASAAANHSSCLPSRQSLDASSTTSAAAAAAAASVAPADPQSNHASASARSNGVAMVERRTGSLRWNQLWAIKGILAKPALSRSFSESSRSRYALHPGSGAMARSSSSQSQGIRLNGSRSVSSAGNGMDSSEISLPGDSVGHGHVHHCRPRLKDRLRWLRRSRSVHYSSPTSIGDAGLTPFRSRSSSTRSTAHKNHRRFAAGFFAAQRHRH >Dexi7B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:7B:24405348:24407210:1 gene:Dexi7B01G0018770 transcript:Dexi7B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVPVPESALRKQKREEQWAADKKEKALAEKKKSIESRKLIFTRTKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPNFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Dexi9A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:9A:5380380:5382048:-1 gene:Dexi9A01G0009080 transcript:Dexi9A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPPSGGAAARGRSRSFGGSTSNGAGGGGNGDMFVRAGDGNELYVRADKIDLKTLDLQLEKKRSQVWLDHQLSHRSASPMPDSPLLEWEIDLAKLDIHNQIAHGTFGVVYRGTYDGHDVAVKVLDWGSDGHDTSAKRREAFEKEVAVWQKLDHPNVTKFVGASMGTSQLKIPKKGSTSSGGGRSVPIECCVVVVEFQHGGTLKTLLYNHRDKKLSYKKVVHLALDLARGLSYLHSKKIMHRDVKAENMLLDRRRTLKIADFGVARVEAQSSEVTGQTGTLGYMAPEVLQGKPYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRALSEIMTRCWDGNPDNRPEMSEVVALLERIDTGSSKGGMTPVDDVAHGCSCFGFNRSSA >Dexi4B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:4B:85170:88551:1 gene:Dexi4B01G0000100 transcript:Dexi4B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLVRSVNASEVIEEEVQNRPDEVVIQGQASEVVNLSVNGICNVSDGADSQGDASLAVHSLEPSGGDHRSTEHVDEESTVGVAPHYGTSEHLGDAAVEVDTENRNTALPDAPHFDGPTLVSPSRQATLPVSGEVEIENNLVTQSAEQSLVSSQVSQGETEQADLSGVASDRSLQSERQQSIPVTNNLLERAQSYQSQPSHQTDAAPGSVQSAALFPVASMMFNHPPIDAEPLKNELHRLRLHMDTLNKTHELKVLIFVFFCQSLFVYADSHIPMFNQFHIGQKTQLRMECSQEIEKVKRKYDILIGQHDSNHLQQKKTLDDCYEKVLRNQSLAEDFRAKFISPSATQARAHAPRQTPQASQQVPMRPLGLGSSAPSFALSSTCLPPAPTRLRVQAPQMEQPSSSQSQLSRPSLPSSQVVPRAPLIPGNLLRTSSATLSQMPPPRGSFGVQSESAPRAPAPHLQFKSPRANPTPAGNKQQQVPTTSPRAAALAGNPSPSESDSRVATSGMPSLRPVLPATSLPSGSRTSHPGQRVPAAPNPALQVPAAGTQPSDSLDAWLTASLGLRATAPASNGPGVDVSCLCWLCSRQQLRRSCASTPCTPPPNSTLAPRSATPRCHHAALVSSEAPQPQQELKLLLDQQSSWGWAAAGPGSGMSLRKPRRCLVVTGNLIYY >Dexi3B01G0022060.1:cds pep primary_assembly:Fonio_CM05836:3B:16911768:16914480:1 gene:Dexi3B01G0022060 transcript:Dexi3B01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLETTVGAMEDRVQAMLKLIGADADSFGKKAELYFRSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATVFPDQVQFSMQEGDGEGFPKAIGAIDLSNFKFPALEGLPMGSRSASRGTSPVPKRGAQAHRRVASNMTKEKAHEEIDKLQKQILVLQTEKEFLKTSYDSSLGKYLDIEKQVAELQEEVCSLQDAFSTGAAIEDNEARALMAAQAIVSCEDTLVSLQDQQKRSTEETKAEFRRANEAIEKLKTFKNECGLPHAQMEEHDHHDTKLSHALPLEDADDSSLNEGRLDLQEICEKVKEIIERHPELSVAELADKVDRLVEKVINLELATTSQNAQIDRMRIEIDGLHERLHSLEQDKAALVVDSSKLADRLKKVEEMLQEVQQIWKSVQNGTENICKQMTEASHELTEFVETLHAPEPEISGVMDSLRDSEVNASLEDDSGLTSLSVKNDPSSSFHDTTSEIEKHDEILEGSVAQKQLASKEPEGEEKILLEDYASVLQSYKDTEQKISEIEKRNQENHLEAMSELKELKSANATKDEEINSLRRMLSSLQKKMSASITESIEKSEEISKIRTSPATEDKEIAEIEEYIKQCQVDDSLATSVAEEKFRGEIDRVLRENLDFWLRFSTSYHQIRNFQTSFDKLKTEMHKLTDEQEQGGTYGFAASYQVAKLESAVLEKKFRDLNTDLQVWIEKNVLLKGELENRFSSLCSIQEDISKIATLDKSDEVHFNPFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLEVSIARSHRAQQNFRNLSTKAGVPLRAFLFGSKPKKPSLFSCMGPGVHKQHGSKAGRR >DexiUA01G0022080.1:cds pep primary_assembly:Fonio_CM05836:UA:45268802:45270168:-1 gene:DexiUA01G0022080 transcript:DexiUA01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGHIATTLGRDADNSTTVPLGQSQDNSTEDPLPSNEQQTSPAVTPAVAGTAPPSSATTNLQKLLACSYPLLLLTVSTVFFIS >Dexi2B01G0029970.1:cds pep primary_assembly:Fonio_CM05836:2B:38280458:38282482:-1 gene:Dexi2B01G0029970 transcript:Dexi2B01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKIVSFVVLLLLIHGGSSSDGGQFVYQGFTTANLTLDGLAVVTPGGLLALTNATFQGKAHAFHPSPVHFLNASSSAAAPPAAARSFSTCFVFAIVSAYDALSDHGLAFVVAPTTNFSAAKAGQYLGLLAGINGTASHRVLAVELDTIMNPEFLDINSNHVGVDANSMISEQRHAAGYYDDAAGGAWRDLQLNSRKPMQVWIDYDGLAGQLNVTLAPVKVPKPNKPLLSVSVDPSTFMADTMYVGFSSATGVVLTHHYVLGWSFSLDGPAPPLDFTKLPVLPRVGPKPRSKVLDVLLPLATALLVAAVLAAVFSTVWWRCRYAEVREDWEDEFGPHRFSYKDLFHATSGFKDKNLLGVGGFGRVYKGVLPASSLEIAVKRVSHDSRQGVKEFVAEVVSIGRLRHRNLVQLLGYCRRQGELLLVYDYMANGSLDKYLYGQQMPTLSWNERYKIVKGVAASLLYLHEDWEQVVIHRDIKASNVLLDHEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELVRTGKATPLTDVFSFGVFLLEVACGRRPIDRDDGNNRVVLVDRVIEHHRAGSILDVVDPRLVGKYETEEATLVLKLALMCAHPMPNVRPSMRRVVQYLDSNQPVPDLSPSYVSYSMMSLMQNEGFDSYVMSSNPAVTSIGGSSMSVLSEGR >Dexi1A01G0026540.1:cds pep primary_assembly:Fonio_CM05836:1A:32408100:32409153:-1 gene:Dexi1A01G0026540 transcript:Dexi1A01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAVFALAILAHCSAVMGRAAPAIRSTGADTRSFTIPSAPPPSTTAKGPVTYVFGDSMSDVGNNNYFPMSLAKSNYPWYGIDYPNRKATGRFTNGKTIGDYMADKFGVPPPPPFLSLSRAGKDVLGGVNFASGGAGILNETGVYFVQYFSFDEQITCFETVKKAMIAKIGEEAAEAAVNAALFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLITTLDRQLKARPSIGSSTLPSPRTAPAFEIIS >Dexi4A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:4A:3459421:3459995:-1 gene:Dexi4A01G0004900 transcript:Dexi4A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVALANAQSYLSRGSSISTQDDTVAILASPNGAFAGGFYKVTTNAFTFSIWFARSAHKTIAWTANRDAPVNGKGSRLVFRKDGRLVLLDNNGTTVWSTNTGATRADRVMLLDSGNLVLMGLDGQNLWESFGYPSDTLLPLQPMTHKTKLRILA >Dexi3B01G0026420.1:cds pep primary_assembly:Fonio_CM05836:3B:21549116:21559080:-1 gene:Dexi3B01G0026420 transcript:Dexi3B01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVAPDPPRQNPSGPELPAAAAPMANPGLGLSFAPPGAEPSAGAPPPSRRTPRLAKRRHAPASSSRSRAPSQAPTGTWNPFGGGGAEGPRQDGVAGFGSGNGVGFGKGQTGGFVFGAAPAMSQQAPETTVAAASPSDAPFVFGSVRESLPQFEEGLSTSSKLPDKLEKLNLRTPGDVGVGFGQQKDQKDGSSVFGVDISGLVSNSEVNVLPEKLTQLHLGRGVFVQREKGGIASGVSKSFVSPGAHSSAPTSVQDADLTSATEHLIIDADHPMHGDEGVTEACADGYTYKTLHELSEPDVFQSSSSNFTGLNFSFGASSSTQISASAQRRITRRKLRTKGTPASKSSTTNSFAQPKSSQDAKAMQVFHETSRNEDSVKEQATKDSSSAALETCETWRNSGNNAYANGHFATAEDYYTRGIKSISHHGTSGHCSRALMLCYSNRAATRMSLGMMREALQDCLTATSIDPSFLKAKVRAANCYLALGDLEDASRSYISCLNSSTSSSEPKMFAEASDGLEKVKRVTEWVSQCKELLEKRASPAATTALELISNALHISPHSDSFKEMKAEALLTVCKLDEALDLLKKHDQVTPVKESDESSYQERFSSLSATIRQLLDLKAAGNESFQAGRYSDAVERYSAALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAIVLDANYPKAISRRATLYELIRDYGQAANDVRKLILLLEKKANVPGASPKVLNKHSDLKQARARLSSIENEVKKDTPLDLYLVLGVEPSCSAADIKKAYRKAALRHHPDKAAQLLVRNENADDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDLRKARKRVSKSRSMHKSPEHNNRGFNPRQWQSSRASRSRWYGYSEDYW >Dexi2A01G0021780.1:cds pep primary_assembly:Fonio_CM05836:2A:33770300:33773910:-1 gene:Dexi2A01G0021780 transcript:Dexi2A01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRVCVVGGGGFVASWLVKLLLSRGYAVHATVRDPSDPKNACLKQLDGASENLRLFKADVLDVDTLTSAFVGCEGVFHPATPVPGGKIVDPEEEIMVPTVKGTKNVLEACSATNVRKLIVVSSVAASCFDPNWPEGKLKDESCWTDKQLCKEAQHVTVNASSKVFLYIIKGGPDTLTNKFWPLVDVRDVTGAMLLIYEKAESSGRYICSLDQMDIKDIVALMRSIFPNYNYADKMVDVDYKVYTTSEKLKNLGWQPRKLEETLTDSIEYFEKVGLLKDDQGRS >Dexi6B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:6B:2195557:2197992:-1 gene:Dexi6B01G0002520 transcript:Dexi6B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATSNPPPSSPWSSSSQPPLPCLALPDGSFFPFPSSTSLTFPNAAGYHGSSSDYLIFTVSGDDVSGDGYYLLVNPFTGDTVRLPSLSRIRFVVNSGMALPWRNIADDQRAPYGETTTVRKVVMCPRGELIAAMVGDGKLGKIAMCRHRHGDEGSSSSSRWVMSGHDAWRWFDDIAFYDGKVYAVDDAGSLFAMDTGVDNLISEPEVAWAKIVIKVSDDSPPTRRRRRKEKAAPSMRYLLVSGGKLMMVHRAAKAMSDGGRTKTTTKFEVFKFKADLVSPRWVKMTSIGDDVAIFVGRWFSFALRVWKYKLPGNRIHFLDDDAFRRHCCPDDKFGSYDMADSKIYPLIVPPSLELCNGAGGSLATWLFPRPCPSQRGLPRLAVLHAATPSPATVGASTFSHHGGATVAHLALPNGMIFSYPELTSRPFHKNAAGGSYLAAACDDWLLFSDADGLFRLTSPFTGKTMLLPSFHNVHVDDRPVEIINEPSPSHESPSTTTTTTGELWRNDGDETMAVKKLVICPDGIVAAIFGREHFSKLVLCSSETFSWTHSVHDRWRRYDDLAFHGGKLYAVTGAGDLLAIDVGVDAETGEPSITRVERVIEGATILSSFHYLVLSGAGGELLMVRRRFPYDVLRRTMLVVFRADLASSRWVEMGNLGGGGRW >Dexi9A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:9A:13153711:13154776:1 gene:Dexi9A01G0018090 transcript:Dexi9A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPAGGLHPVVLLPGYGCSQLDARLTDEFELASSAPSCGGGVLRGKEGWFRLWQNQTALQEDPALAPCYAELLRLVYDPVAGDYRNVPGVETRVVSFGGTSGFGSDDPASK >Dexi3A01G0032490.1:cds pep primary_assembly:Fonio_CM05836:3A:37360567:37362051:-1 gene:Dexi3A01G0032490 transcript:Dexi3A01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNDCRSAPAVDPRVTVAVLAMLLASFVPVTTSNVQKASFTVSAVGKTASPSGGGGGQQASFNVGNNGGGQQASFVVGNNGGGGGNNKQQPPGAASFEEFIAENVESYNVNKAIYAVKVKNGTGGRAVDAALSAAEAGAARYVVSPDGKGKFRTITDAVKAVPEGNKKRVILDIRPGTYKEKVLVPYTKPFVTFLGDPKNPPVIMWNDTAATRGKDGNPVGTVGSHTVAIESDYFTASNIVFKNNAPLAAPGQKGGQAVALRVFGTKAAFYSCTIDGGQDTLYDHSGLHYFKSCVIRGSVDFIFGFGRSLYEDCSIVSVTKEVAVLTAQQRSRSIAGAGESGFSFLRCRISGAGQIYLGRAWGDSSRVVYAFTDMGKEVVPVGWDGWNVRAPEKSGVYYGEYRCSGPGAIAHKRIGWSLVLTDAQAKPFTGAHFVFGDSWILPPPPLLHR >Dexi6B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:6B:23684898:23685443:-1 gene:Dexi6B01G0016410 transcript:Dexi6B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGRDTSVSISHATPEKNGWARTSSAPPAFPSLVLWSLSSSRSMRSVSSGILRRLSPGNSSSLCRTFRKVSLRFAPLNGVRPNAISWTSTPTAHQSTANPCPSPRTISGATYSSDFPHAPPPELSKLPGFRLTGDTRADLVFFAIPPAPPSLPIRNLTRFWSFWRGDGAADDQC >Dexi3B01G0032910.1:cds pep primary_assembly:Fonio_CM05836:3B:35347417:35349936:1 gene:Dexi3B01G0032910 transcript:Dexi3B01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGAMSSLVPKLWEIIKDEYGLQKGVRKEARSLAQELESAHAALRKVAEVPPDLLDEQVRVWARELREASYDMEDVLDAFLVRVDGGGGGEGDQEKEKGSFVRRLREKMASLLSKSKARRGIAGAIDDIKKQLREVAERRGRYTVGDIAVVKPASAPPIDPRLAALYNDAAKLVGIEDAMDELVSMLSLSPQGEDGASAKEKKVVSVVGFGGLGKTTLAKAVYDRLKADFGCGAFVPVGRNPDLKKVFEDILLDLDRQTYMKYMKVKTLDERQLIDEIRNFLNNKSLLAIKDNIIEWQEVCDSIGSGVENNPDMNDMRKIAEDFIQHEKHGDNLFEIGERYFNELINRSMMEPALLDEEGMPQACRVHDIVLDLICSLSREENFVTIPDQVEQSTSWGISVKAHRLSLQKPTNTSTCSQVTTASMSQVRSFTVFSPAIKLPSLSCFQVLRVLDLEDFDLSEDGSLKLRHLGSLLHLRYLGLRGTSYSGELPAEIGQLQFLQTLDIQGTDIQELPSSTAKLTQLKCLCFDYNHRTRLRCSWLKQLTSLEELTTVRVDKDSAAELVEALVHLTHLRVLSIKIAAGHGELDETLSRALAESLGNLHEIRRLEITDFAGADLMKSPPPKLRKLAVSVVQMRSGGRAFSSLPPWLSPSSLPLLSSLHIAASRV >Dexi7A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:7A:28339588:28341013:1 gene:Dexi7A01G0019030 transcript:Dexi7A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLPATLFSSIFLFVLLNAVSHVESARRLTSGGAFHWYNGLFVFGDSFADTGNFPKSNLSEVTRQWYKPYGISHGFLGDPTGRFSNSYILGRSQAPQTFRDTKGSYSDHFGVNFAVGGAGVFEVPRKAPTQAMQIDSFKKMVDAGDIIRKWQLEQSVALVAVSGNDYARVAKMSNDTEILVFIGNVTDEMAKGVQRLQKLGVTKILVNTLHPLGCTPWQARPSNYTKCMGRGNMAAELHNGDLEQKLNATNSNSSVYLLDLSKAFTNIIDPSDPHDVPEVAKKFKEKLKPCCKSFDPNGYCGQVDEDGGDQYSVCSDPEDHFFWDDVHPTHAGWEAVMKQLEQGLKDFLHITY >Dexi9A01G0026980.1:cds pep primary_assembly:Fonio_CM05836:9A:31188670:31191937:1 gene:Dexi9A01G0026980 transcript:Dexi9A01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLAVAAAVLAVCAAAAEGFDILKILGKHDEFSQFCKLLNETHLAGDINRDRTITVLAVANGDMGQLTGGHYSLGTIRHILELHVVADYYDEKKLKQLSHAATAASTLFQRSGFAPGMAGYVNITQHRGGKVTFIVDDAADSVKPVSFVKEIESHRYDYSVLQVSSVLSSPEAEAPVAQPAPVNLTDLLSKKYCKSFAGLLAADAKAFDTINGTKDTALTLFCPVDAAVAAFMPKFKNLTAKAQTAILLYHAAPDYYSMQFLKSNKGKLNTLATTSDAKKDYTYEAQSEADTVTLDTKVTTATIQATVRDDDPLAVYAVSKFLQPKELFKAKKEDLAPAPAPEGPKKKTKKKPGSASAAASPSDDEAADGPGADGSSDDAADKAGAAPSLLARWVIGAATAAAALALAA >Dexi5A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:5A:20564838:20568213:-1 gene:Dexi5A01G0017350 transcript:Dexi5A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRALIAAGATAVCCLVCAFWTFRSSSSSKKQQSPSPNCCICASCGCRAAKSANGEMAVGGENKKKAQEPAPPEGGGGGGASMMEQLVPEITTHALSYLDYTSLCRLSMTNNAMRRAANDDGAWKALYHKDFTVEQGTFNPPNGWKAYYAATKAIMNLNAEFYNIIREGSLPAMSRFWLNADYGWGLLFNWGQDGGQGIAFQIRDVRVRVLGEVAWVNLKANVDVDPVLFHVTNVYELRNGRWYMVHHHSSLMAAHNMFG >Dexi5B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:5B:11468295:11469008:1 gene:Dexi5B01G0014630 transcript:Dexi5B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSIAGVHAVLSVFLVAAAASASPAPAPTTSKHYSLEEACKHTAGHHDLCVATLSADPSSKSADTAGLARVAIQAAQRNASETATYLSSIYDDNSLENKTAQLQQCLEDCGERYEAAVEQLSDATSAVESGAFSESEALVAASQAEVKLCQRGCQAMPDNRNILTARNRDVDRLCSIALTITKLIRGQIYKGCQASTI >Dexi7B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:7B:11635208:11637429:1 gene:Dexi7B01G0004670 transcript:Dexi7B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPCFSIRCTITKDIVTPQRTDLNRSWIKWQFLISSQASKEEAASFTSCPAADRAPLPHRRAPSRVHAEARRPHGGEDLMLLRLGMVPTLVASSPRAAQAVLRIHDMSMALRPRSIFFDIIGYGPSDVGFAPYGEGWRQAKRLMTTHLLNTKKVQSYRAAREEEVEMVIDKICSAATAGAAVDMTELLSSFTTDMVSRLVAGQSFRVDGLNKAFKDMVDDTTAALGGFNLENFYPGLAKVAGGVLMWPVRRKAERLRDRWDKVLDKVIDEHLSLAATSAPARHESDFTNVILSMKEEYGLSGDSIKGILNNIFAAGTGTTYLILEFTMAELMRHHDVMAKLQAEIIRSSIPAKCQDAITEDNLTVMPYLKAVIKETLRLHPPAPLLMPHLSLEDCNIGGYVVPADAKRSEGN >Dexi9A01G0022970.1:cds pep primary_assembly:Fonio_CM05836:9A:18140080:18141236:-1 gene:Dexi9A01G0022970 transcript:Dexi9A01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTALVFLAHCLLLLHRCMGSEAGGVFDHGRHGVSLARVDEARPPSRCGGGTKTTSPPPGTPPPPKPLLVAAPRDAGEYPVLVFLHGYLVVNSFYSQLFQHVASHGYIVVAPQLYTISGADTTDEINAAAAIIGWLAAGGLSSVLPPGVRADVTQVSISGHSRGGKVAFALALGHAKLAVPLAALVAVDPVDGMGVGQQTPPAILTGRAHSLRVSAPAMVIGTGLGELPRGPLLPPCAPRGVSHAAFYGELDVGAAGAACHLVAGEYGHTDMMDDETPGARGMLTRMICRSGGARAPMRRFVGGATVAFLNKWVGGDGAAMDGIRARPEEAPVALSVVEFLDDKAMAQTE >Dexi9B01G0039180.1:cds pep primary_assembly:Fonio_CM05836:9B:39963002:39964870:1 gene:Dexi9B01G0039180 transcript:Dexi9B01G0039180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTNGTSIKNRLVLERERISDDDNDRDVARIGDRRSPDSERRLSKSLRSPSNEGRNSTHDRCVFFHIFLSYMFPHINLGVERSHHLFVSFLKSSGKQLPSQDSTDTSGDELEGSRARENARKANSARRKTKEADRQLNKVRAGVSSPGEKSQQSYLSESTTLQHSVHSQQLYDIYIFLLLSSGKDVQKKHPDQLSESSEDDLASRRMKRRTDSPDERSPPRIEKDYSLSKEGLNSEHAMRGLREDSDDGTDTKKHFLKKVNTESQSEDGSPVRKPKKRTGISHIESGSSGSDEPDKHRSHSEKKRHKKAHRHKKQYDDSSESDSESDGKEAKRRRKEEKRLKKEEKRRRREERHRKRAERHASKQKSKHTDPVGPPSDSEKDRDSDSDFDRRKRGSPAGKEESDQKKLEIELREKALESLRAKKGIN >Dexi5B01G0036140.1:cds pep primary_assembly:Fonio_CM05836:5B:35951090:35957489:1 gene:Dexi5B01G0036140 transcript:Dexi5B01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHNMNLVSDDESNEEVPLAIPLFPIADDDKGKNTESGSGKDPRKLQTNTSGADDDDESYEDYVELEEGESNDDEDSSDDDNESSDEDDGENFPKHAKDKNRLAETPLKTPPVKKAKMTPPSKGNDTSSSTGTRRGYVHVATPYPSKRELVKKRPSFRQENAPSTGDDDSKSSDEDDGENIPKHAKDKNRLAETPLKTPPGKKAKMTPPSKGNNTGSSTGTRRSYVHVATPYPELVKNRPSFRQENTPSIGDSPEQTAGYACESCSRTFNSYIALKTHCKHRLLFYSSLPPPDRVAPVALLLLSSSGGATQSGGEQINSATKDHDGEVRFDGGEARFNGSEVRFGGEARSSLSDSGHGTAAELIGPRPWSRRRARRAQGHVRCGTATEQGLLLPLFLLGRLGGHDAAAMWGSASGAAAGGSSSTPANTAEDSDSEEEVPLAIPLYPNADDDRNKETESGAEKPAATQLCKAKNILEETKNPEKLKANVGGTKDDDSDENAVDSAEGESGADEDSRDEDHSESSDEVDGKDSQKNAMGNKRTAETSLRTPLEKKAKTATPSVSNKTGSGSTKKSSYVHVATPYPSSKQVKKTPSIIGSSKQATGYSCK >Dexi1A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:1A:2111832:2112272:-1 gene:Dexi1A01G0002930 transcript:Dexi1A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEVKKMLHNQQHRRHDTRVEDHSQEGAKVWVLGLSGIDGIALSPLQQLKPVKTGRRRHGDSGEEELDGGGEEGEEPVTPRGEGWRIPAEATTCPPAPKKPRTAVSIIRSGAGGPRRCNCDDDEFFRVPADLEAVFVSRAAKAN >Dexi5A01G0037690.1:cds pep primary_assembly:Fonio_CM05836:5A:38965346:38969971:-1 gene:Dexi5A01G0037690 transcript:Dexi5A01G0037690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKQLRERTSAPIKDIKASLVSCNWDIDAAQKDLRKRGVVLASKKSSRTAAEGLLAIAQDEKKAAVIELNCETDFVARNDVFQYLASSVAKMALSAQGPGELFLPFGPEYLENMSINLDHPKLSGETTVQNAVTEVAAMVGENVKLRRGFMLSTTGHGVVSSYLHTCPQPGLGRIAGLVTLEAEDSSALLDALKTVGASIAMHIVATKPLFLAKELVSAAALENEREILRTQAESSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYILNDSTNVKTVLNDLSKEAGSKVTIGNFIRMQVGEGIERLEAAEGSDPVACAA >Dexi1B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:1B:26561327:26563277:1 gene:Dexi1B01G0020460 transcript:Dexi1B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIISYLAAAAVVLFLHAGVVPSADAAPGTFVSAGCSPSRYAPNTAFESNLNSLLASMASAAASSGSTYNTFTSSAAAAVPTGRDAASPSAAYGLYQRRGDLRPGECVACVRDTVARLGAVCAHAYAASLQSEGCYVRYDDARDFGVADDTSSSSSVAYRRCSAGTSGDAGFLSARGAVLAELQQQGVAEGGGYKVSSSGPVHGVAQCLGGVTASTCAACVSQAVAQLGGGACAAALAADVYMVPCSVRYWANSNYFRPSQDNPGDDLGRTLAIIIGIMAGLALLVVFISFLRKACD >Dexi4A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:4A:7333868:7336623:1 gene:Dexi4A01G0009340 transcript:Dexi4A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAAPPCAAGRGLPSSSSAPPAGGRRSLSSAARPRGVAFAAPLRTRAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKQNNVNTQGLLFDAHAKTALAFVTLRSDGEREFMFYRNPSADMLLEEKELELDLIRKAKIFHHGSISLITEPCKTAHIAAAKAARDAGVLVSYDPNLRLPLWASAQDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHSNLKLLLVTEGPDGCRYYSKEFSGRVGGQKVTPVDTTGAGDAFVAGILSQLATDFSLLQV >Dexi3B01G0036620.1:cds pep primary_assembly:Fonio_CM05836:3B:39527097:39529242:-1 gene:Dexi3B01G0036620 transcript:Dexi3B01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLHLLGVGAVASLCAAAAGQDDDVLRPFAPSCSATGNYTDGSQYKKNLDQLLAALPAAAGDNGWFYKGSAGEGGGDEVFGLIMCFADRNATQCQDCLAGAAAGITTVCPGSRSANAAYDACVLRYSPAPIPATADIAAVFFVYVSDEPVYGLANAWVPLMSKLTAGVTAAPSRLANDTVPYSSSSSQEMSGLAQCTRDLNGTECSKCINNYVTQLERKFPNNTGGAIKGYSCYLIYQVGALNITLPPPATPAALPPSSLQPSPEASTSSKTGIMIGVSVGSVSFLIILGFSTWLLLRRRKRSKKQAEIFEQGREHELEKGDRDMDDDDEEEPETEDEFEKGAGPKRFRYRELTIATDNFSESNKLGEGGFGSPSNVMLDASFHAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRASAESDVYSFGVVLLEIACGRRPLVRRHGEEEVTHIVQWVWDFYGRGGILDAGDERLKGEFVAGEMETVMVVGLWCAHPDRSLRPSIRQAMNVLRGEAPQPSLPARMPVATFMPPPDAFYYTSSVATGGSSSTGTGTTLSSTC >Dexi7A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:7A:15863628:15865833:1 gene:Dexi7A01G0004860 transcript:Dexi7A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAARAADVIPAAMPELPDIAAELDALASAPCVRAAEATATATAAAAGDGSEGRRRAPLVVIGHRGKGMNALASPDARMRGDVRENTLRSFNGAAATGYPAVGYVEFDVQVTKDGCPVIFHDNFIYTEENGEISGKRVTDLRLDEFLSYGPQRSQEKAGKPLLRKLKDGRILKWEVQAEDTLCTLQEAFEGVDRRVGFNVELKFDDDLVYTEEALTGVLQAVLKVVFEHADGRPIIFSSFQPDAAQLIRKLQDKYPVYFLTNGGTQTYADPRRNSLEEAIKLCVDGGLQGSCRSNVPEVVYVQHLMGVDGVIVDLVREIADAVSAFSAAREAAGSEGCGEVERSEKKAAAAATGTPSFSAREMSFLFRLIPELVQ >Dexi7B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:7B:26034363:26038393:1 gene:Dexi7B01G0020780 transcript:Dexi7B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACSHVIILLSFFFLLSARAFTAVAADTFSKGRNITDNETLVSADGAFTMGFFSPGASTKRYLGIWFTVSLDAVCWVANRDSPISDNSGVLMVSDMGSLLLLDGSGQIVWSSNSTSNSPVEAQLLNSGDLIRSRVAPHVMAFVRDDPSPGTYRRVLDTTGGLPDNVVWQGDVKTFRTGPWNGLWFSGIPEVLTYSDLIEYQMEITPRQVTYGYVVKPGLPYTYVVLTDTGMVKRLVWDTKAGAWQTFYQGPRDVCDVYGKCGAFGLCNASAAATSFCGCLRGFSPASTSAWNVRDTSGGCRRNVELDCGSGSGSGRTTTTDGFLLVHAVKLPDTHNATVDRSITVEECRARCLANCSCLAYAAADIRGGGGGTGCVMWTDDIMDLRYVDQGQDMYLRLAQSELPPPPPLSPPQKKVTTAVTAGASAAAVVAIVIALLTLVVVAWKKRWRRHRSTVPAALGPAPAAVPSIGHHQPSPTLSAPSDEHQLSPAWSVPSVEQPSPASARTVQCVELSSLKEATGNFSKSNIIGTGGFGTVYEAWEAWDQGRINELLDRTVAEPKPEILFELERCVQIGLLCVQQSPEDRPTMAAVVTMLNNNSSQIPPPKGPVPNGRTESPLRGADRSSTQEEASGTSRDSHTVYLT >Dexi3A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:3A:1407273:1409354:1 gene:Dexi3A01G0002110 transcript:Dexi3A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAEPLGLGDLPKLSISRLGSFSQPSARRRAAADDRTTREYNSSCNGDAPMVFHGNSHGWQCRQANSACDAVELRDLPRKLADAKEVLKGIHQVLDVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRGVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVVDMLEAVISSVPVDKIAVHFHDTYGQALANILVSLQMGISIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKHLGRPLGSRTAAALRKLTS >Dexi5B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:5B:21298563:21299537:-1 gene:Dexi5B01G0018970 transcript:Dexi5B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSPSPAPPCRGLLPSLSKAQPVMPVAKSLASRQAQAQARARAQPVVLARSKNIDDALAAGFVRLLNASPGQGADGTTPAEGLYDPKPGDFVVGVVVSGTEARLDVAVGADNLATLLAKELLPLDRGGGDLAARVAPPRPGSVGVVAGPAVDEEAVRKHNRGSRALVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWYRARQT >Dexi8A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:8A:4606785:4607972:1 gene:Dexi8A01G0005160 transcript:Dexi8A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRFGFNLPPSYKFDPTDTDIVAHYLLPRAVGFPNPYAHAVIDADPCSCPPWELMRRHGHGDTDQALFFGPPRDPERRNKRADRTVAAGEDDGVGGTWDGQKSELTRLVLLRGGGGGGGGEIEITYKRHHLSYYHDDDGGGARKKRRRSSTSGWVMYDYQIVEPEHLSGIVLSRIKITDRTKKKKKKQGKRKKQRAGDGDGELQVVLPPGGPDQAGPSNYYLPPGPDEAGPSNYHAALGPEQVRPSNYYAPPGPDEAGPSKYYDAPPVTGGEEYGGFVTGDDTGGCYVGDGGSNYSSYEGYGCYSNQVDGSGGDCFAAGDETTGVAAGDSMGETTTGGSYVDGSDDYLNFDMDFGCNFDVDDDYCFNFGDNSSFGYPDGGNNGG >Dexi7B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:7B:16181855:16183289:1 gene:Dexi7B01G0008340 transcript:Dexi7B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSYVDSYISTIGVDFKIRTIEMEGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRAVETAVAQAYADEIGIPFLETSAKESINVEEAFLAMSAAIKKSKAGSQAALERKPSNIVQMKGQPIQQQQQQQKSRCCSS >Dexi2B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:2B:25131916:25132418:-1 gene:Dexi2B01G0015110 transcript:Dexi2B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGIMAIWCAVVLTAQPSRAVAVALRDAITAWPTLATTVTPEAGVPICNVTQAWLPGFTDCACAVCGRVLARKDILYEFDEGNSCVVVFTFRNVERCVD >Dexi9A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:9A:8607367:8610345:1 gene:Dexi9A01G0013370 transcript:Dexi9A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDSSSNEATNMGTSLTEQRSFGPLKDMRNEILMHLMDGAQGSGSHLIHNDANNTAQIEFGMLNNDNSTSVPSAPGQGLSLSLNTHILAPSYPYWSAKPDLLTPNSYQGDDNRMKNMQSEASRAIRNSKYLKAAQELLDEIVSVWKSVKNNADKGPGEAGKADGKETDGGIKSEGVSSNPQESGANAVAELSAAQKQELQNKMAKLMAMLDEVFRLWKPMIEDMYKEEIGDAELDSNSSSDNGARSKDKAPSSEEKEEIKTSTSQVCQSSQLDESEANVGGMMSLSGAPTSGFHDEANPEDSFMSLMLKAQRPGETDGSGLLHHSDDSTQFMAYHLAELGRYNNNNNVSLTLGLQHAENSLSSAPNTQPGFSGIRDEGMYNTTAPLNVTSTSSDYESTNQMDQQQRQQFEPLPLMHDFVA >Dexi8A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:8A:20951410:20953938:1 gene:Dexi8A01G0011940 transcript:Dexi8A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPTREESVYMAKLAEQAERYEEMVEFMERVARSAGGAGGGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEAHAASIRAYRSKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAAAPKESGEGQ >DexiUA01G0000890.1:cds pep primary_assembly:Fonio_CM05836:UA:2732249:2734265:1 gene:DexiUA01G0000890 transcript:DexiUA01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVFTSHRSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi6A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:6A:21572247:21572645:1 gene:Dexi6A01G0014220 transcript:Dexi6A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASTKPEYPVVDRNPAFTKVVGNFSALDYMRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKHKL >Dexi1B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:1B:4092014:4092361:1 gene:Dexi1B01G0005010 transcript:Dexi1B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRTVAAADDCGGEPQHRRAKQKKGKGAGVPEGHVPVCVGEEGGPIERFAVRAELLGSPPFAALLRRAAQKYGYAHPGALRIPCPAAEFRRLLLRLSDQDPSAAAAAF >Dexi3A01G0028090.2:cds pep primary_assembly:Fonio_CM05836:3A:28404134:28404879:-1 gene:Dexi3A01G0028090 transcript:Dexi3A01G0028090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATIPYSIITTSRAVPATAFTTTGAIADHPAATSYVSAATPPKPQRVTAIPAAKTRKAKSAARRAPHSSRQLRRSGEKPAVDSTTAAAPARNQKGDMRTAPTMGSAARSDAGSGRCGRRRSRETGSADKADEGGRHGTERGEEPDGAAEEARVRAGRRRGEGGEVEERGGEGEHRDRDGQRVPARIRPGLERDGGGSGQGGGGGEEGAGEGEEEQCEREQEERGEREEVAGVGGDEAAGGGRRAR >Dexi3A01G0028090.1:cds pep primary_assembly:Fonio_CM05836:3A:28403405:28404879:-1 gene:Dexi3A01G0028090 transcript:Dexi3A01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATIPYSIITTSRAVPATAFTTTGAIADHPAATSYVSAATPPKPQRVTAIPAAKTRKAKSAARRAPHSSRQLRRSGEKPAVDSTTAAAPARNQKGDMRTAPTMGSAARSDAGSGRCGRRRSRETGSAVRIRRMREAGTERSEARSLTGRPKKPACALVAAAAKAARSAAARASTGTGTGSGFRRGSGRAWSETEGAAGKAVEAGRKGQGRARRSSASGSRRSEESARKWREWAATRRRVEDAAPARRSGHGRVTGGSDGGVAGGESIGWCVAAEAGGRTGTVPCTEPGSGGLGC >Dexi2B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:2B:1834044:1835867:1 gene:Dexi2B01G0002290 transcript:Dexi2B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAGTSVFRPVLLKLQSSLLARGDELTPDLEERRCDIEFMISELEPVLSILEKTWEVDDLWTSEARKLPNQIKDRIDELVVDMMALGAGTSASINLLEGVKLEVQRLVRRFFQIFERIVHRIREEDIFSSSRQQQQIDDKEAATGALGPVLLKLNSLGSTLGVEETSRGDILLIISGLKPVHSFLESAWSREHDGREGFVEVFKDWMAEARELSLEIDAKIDEIVAHGVVGTSSLLEGIELQVQHLVCRFLERLAHDDDDTNTSSNSSSSSSCSETSERDEASTDGTAVTVATGALGPVLVKLQSLLVADEGTPAGLEEEESRSDIEFIISKLQPVHSLLERIWEREDDLDAACKDWTVKARDLSYIIWDSIDHLVLGSMAHGGRTTTSLITEIKLKVQGLVDSPCWEELVTLHQTITTTSNNRSSSEPAALVGARFLLHKRESESSELVGMEDKQAELTKLLQQRGAVCILGFAGMGKTTLADLVYQDMRERFECHAFVSISRRGNMTEVMAAILSQLITAQDHGPSASNEPPPPPAADKRY >Dexi5B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:5B:18430440:18438357:1 gene:Dexi5B01G0017280 transcript:Dexi5B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVPPEETNRCVRGCCRSTAIPLHLPPASFSLLSPIARGSESTVYEARLAGERVAAKKPVLATSEDLDKFHYQLQLLCELDHPGLAKLIAAHAHPPNYLMFFDFFEPPNLADKIHVEEWSPSVPQVVAIASDLGPTRALQYLHNLGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKRVSVENWKSSGKPTGGFHKKNMVGTLIYMAPEILRKHIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALPKSGSAAAEFSVRAIPGFLKQFGQGTSPIDALVEAFVRTDVAFREELILHQKSKRIIRKDWHPGCTAVTALVVRNKLFVANAGDCRAILSRAGKPFPMTKDHVASCLNERERVIKAGTEVKWQIDTWRVGTAALQVTRSIGDDDLKPAVTAQPEVIETELSDDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTTERIY >Dexi9A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:9A:2617050:2620098:-1 gene:Dexi9A01G0004780 transcript:Dexi9A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAYLCHVGSSVAGTLGRAPKLGASPFIFSPSFFSSFPLQRGARRRCRRPLWRRQPQPPPSAPSAAFFLKGARTAVRSSSRAATFSSQREKDDSESDEEEEQGHEEDEEDEEEEEHDLPDYDELGSSQLSGAPPATQPSQRPTRTRRPPPRHTPGTDALRKRCLLTPRYNYFDARSRVPATLDPTWQSPPVIPGQLRPQNLESLTIIIIQEFELTIISITLAAGAREISPNVATLTTESDEREQCIETCPTCAIEKPPCWLPAPRARNNAAKELNPRFVSTRQQGIDVASQAKEATQPKKPTQPPHHCRQPASTLMASSVITRLQPTRQKPLLFFSKNRKQLLFPGPGSTPRPRPFQMLPSSFHGSVPAVQLPLAPQREADTSRGHVSRSPQGRSLSIKARHEALSQTPSDHLLHLPLLPLRHRPPFSFQLLHACF >Dexi3B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:3B:4453030:4461850:-1 gene:Dexi3B01G0006430 transcript:Dexi3B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAADPAAALPLTLDLEDFKVSLSSLRLLPFRFWPSPPRRVLTRASRAVQGDFSFDALFGGLVDELLPEYRGEDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVAVQDTKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVASAVGSANASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADSSYIADGLSTLYKEIADTVRKEATTITAVFPSPNEVMAILVQRVLEQRVTTILDRILIKPSLASLPPLEEGGLLQYLRILAIAYDKTKELAKDLQSIGCGDLDIEGLIESIYVAHKDEYTEFEQASLRQLYQAKMAELKAEAKQHSESTGSIGRAKGASLTTSPQQLISVTVVTEFVRWNEEAIARCTLLFSQPTTVAANVRSIFACLLDQVSQYLTEGIDRARDSLNEAANMRERYSIGSSVSRRVAQAAANAAEAAATAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFNALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGDFVRSFNAPSIDEKFELLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNNITAE >Dexi4A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:4A:18441967:18442584:1 gene:Dexi4A01G0015440 transcript:Dexi4A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHIERSLRATLTEAEARALEPVVREHHTFPGHSSSPRAGTGLPTPATCTSLVAQRVSAPVRAVWPIVRSFGNPQLYKNFVRTCALASGDGASVGSVREVTVVSGLPASTSTERLEVLDDHRNVIGFRVVGGDHRLRNYRSVTSVTELAGGGGAAPYCVVVESYVVDVPEGNTEEDTRMFTDTVVRLNLQKLAAVAEESDADKS >Dexi7B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:7B:3352941:3354869:1 gene:Dexi7B01G0001940 transcript:Dexi7B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSGSSPSPAILRNKYWILRHGRSVPNERGLIVSSLENGTKPEFGLAPQGFEQARAAGELLRKELEEMGVPVDSVKIRYSPFSRTTETARAVAGVLGVPFEGPSCEAVVGLRERYFGPSYEI >Dexi9A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:9A:15507938:15509693:1 gene:Dexi9A01G0020490 transcript:Dexi9A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASSSRGRSNQGKNEKSSKVAAVPSTSAAQTAPPDSSPETEGKSSFKWRIDGFSSLLDKQQGWTSSRYFEIKGLKWYLQLNLKDRKSGDKKDYVSLILVLSKTSDLKSDIVVDASFKLLIYDQAYGNHREHEFSHHFQTEESRSSGASCMVPVETLNEPSSGFIAGDSCIFGVELIKLTTAKANRNSETVHVQKTNGFSARESYTWVIDDFLALKGRCYSPDFEIGGRKWYLTMYPSGIDNNGEFLSLYLHMAKPDASLQSSGVLVELNLSITDKVTSNRHTMTGRCQFLATEEGVGWGWTKFMAVKSVKDWYLVKGSCLIEADIAIVGSSKME >Dexi9B01G0031760.1:cds pep primary_assembly:Fonio_CM05836:9B:34059077:34062671:-1 gene:Dexi9B01G0031760 transcript:Dexi9B01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERATTRGGGIGAILATGDRDFLVRNSGEQVKISSIEASAVALYFSASWCPPCRRFTPKFIEVYEALTSQDKSLEVVFVSRDRDEESFNAYFAKMPWLAVPFSDSECLQRLISCYKVNVIPNVVILCRETGEIITKDGVKFISDYGIGVSPFTLDRINELKEEEKAAKDNQTIHSILGTPTRDYLISSTGDRVPISELQGKYVALLFTVRPIIEFTAVLTMIYEKLKEVGEKFEVVAVYFNNEESVFNESFSSMPWLAIPHGDKMCDKLVRYFELRALPTLVLVGPDGKTLNNNIADVIEEHGLEAWEGFPFSAEKLEILDENSKAKAASQTLESLLVKDDLNFVIGTDGEVPVSELVGKTVILYFSAQWCPPCRNFLPTLVKEYNKIKEKNSDFEIIFISLDKDQSTYDDFFSRMPWLALPFGDERKELLMKKFKIRSIPSLIVISPSGLTLTKDAKSHLVAHGADAFPFTEEKLQELEKNLDEEAKVWPEKLKHELHERHELVLTRSDTATYTCDGCQGLGASWSYRCDRCDFVLHPKCALVKGTKGEATDEDPVGYVCEGGVCIKA >DexiUA01G0000960.1:cds pep primary_assembly:Fonio_CM05836:UA:2999519:3000162:-1 gene:DexiUA01G0000960 transcript:DexiUA01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSAGRWLLPLLLLLLLCSFVPMSSAALVAAGEAGGGGDEAALLAFKTAAISGGAGDPLASWNASAATGDGASYCSWEGVRCGGSRRVVALSLYSYGLPGTLSPSIGNLTFLQVLNLSSNGFQGTIPASIGRLVRLEVLELSYNTFSGALPANLTSCASLLLLAVGDNQLHGSIPVELGHSLTSLQIHRGNPRIAWEHLIPEIP >Dexi1B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:1B:4033252:4036017:1 gene:Dexi1B01G0004910 transcript:Dexi1B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDASWYMPVENRNPWEEYQVAHIPGAVFFDIDGIVDRTTDHLHLPINRMFRVFGHNKVWVLDGGLPQWRAAGFDLGSASSDDAVLKSKAANKAVERVYNRELVKKMLLDLSQMFDGAPRLLSADELRQKFQQAGISLDQPIVVSCGSGVTACILALGLYRIGKHDVPVYDGSWTEWEAQSDSDYPKATTTAS >Dexi9A01G0027760.1:cds pep primary_assembly:Fonio_CM05836:9A:32415628:32419646:-1 gene:Dexi9A01G0027760 transcript:Dexi9A01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNSGSTRMAPHQGSGPGGKPPTAPTSSAPTVVFGRRTDSGRFISYSRDDLDSEISSVDLQDYHVTIPMTPDNQPIDDDDISATARAEERYVSGSLFTGGFNSVTRAHVMDDGVARRGGGAAGGKGAGACMVEGCDARAMRNARGDVVLPCECDFRICLDCFTDAVKAGGATCPGCKEPYKNTEWEDLAGGGVTRALSLPRGPAGANGHHKMERRLSLVKQSNANQNGGEFDHNRWLFETKGTYGYGNAIWPQDGDDDADGGAPAGPGHPKELLAKPWRPLTRKLRIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNQDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFEMPTPNNPTGKSDLPGMDIFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKPDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEPFEPVKIPKATWMADGTHWPGTWLQPSQDHAKGDHAGIIQVMLKPPSDMPMYGNINEKSPLDFAGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKEHSPGFCSCCLPRRRKASANANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGVRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKQVGDDVEDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVSITISLLWIAIKPPSQAANSQFGGSFSFP >Dexi7B01G0024760.1:cds pep primary_assembly:Fonio_CM05836:7B:29016118:29023003:-1 gene:Dexi7B01G0024760 transcript:Dexi7B01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDFCDDLDDDDIDEPAATVPAPAAAPTPNGLNDRLLRLARTCQDPNPNPSPNPIPPTETAGEEEARKVKLAGRRRLCKLAPTASQHLEEEAEDHRDAESIRDIMDDLTARLDTLSVQKPNPTGRPTGQQLTPLPCRITADPDDQSAEDVGVSSPLQISSSDEEATVIARRVEVKPEITSIASPFTDYHACAEVRGKGKSKGPKDVGRVSKASSFVGSDSDYDDADEQEGTAADYASKHVRRKTVARRPPKASTFRSNDDSSDDSLGQEKENRGVVEIDTEDVGWEKTEDFKMDPTGTAVTSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGMFHSRLIKRVLIVAPKTLLAHWTNELSVVGLKQKIRDYSGPSTNIRNYELQYAFKEGGVLLTTYDIVRNNYKLLRGNSYNNDDEDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCAHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTMYEMAILRGNDKNATAREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGSSEEKTLAKKNELIVWLKLTACQIKLYEAFLKSELVHLAIQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGMVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQEIRELFSLPQQGFDVSLTQQQLQEEHGQQVVMDESLRQHIQFLEKQGIAGVSHHSLLFSKTATLPTLSENDALDSKPRGMPMMPQHYYKGSSSDYVASGVAFALKPKDEKFTAPRYSPSNRSAESPEEIKARIDRLSQTLSNVALVSKLPDGGEKIRRQISELDEKLASAEKEKKDKGATEVICLDDLSAEHST >Dexi3A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:3A:9120654:9121457:-1 gene:Dexi3A01G0012560 transcript:Dexi3A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHLAALVMMLAAAALSATPVARGQDASASAPAPTPAAPKTITAILTKAGQFTKFLQLLQSTREAEQITNQLKGKSSSGGLTVFAPPDNAFTALPVGTLNSLSDQQKTSLVQFHVVSQVMPASQLETVSNPLRTQAGETTAGKYPLNITADGTNVNVSTGVVNATLDGTPLYASDRLVVYQVNKVLLPWALYGPPLPPAPAPAPAESKKKKKAAPDAVADAPAADAVEETTTSEAAARGIWGGGAGSSYVAVAVAAAAVWWWGV >Dexi1A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:1A:25022529:25024240:-1 gene:Dexi1A01G0017660 transcript:Dexi1A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGAEEDSYGPPANQAAPPPNANAPGNRGGPRGPGAPRPGGPAKPVSIDVPAIPFDELKKITNNFSDRALIGEGSYGRVYNATLSDGRACVIKKLDTSASQDSDSDFAAQIAMVSKLKNEYFLELVGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDDFASKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKAIQPLLNAPKPAAPAAPQS >Dexi2B01G0027170.1:cds pep primary_assembly:Fonio_CM05836:2B:36145446:36156120:1 gene:Dexi2B01G0027170 transcript:Dexi2B01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESDMAAEIVPSGSRRSWLSAASISRSLRMGDPDDPFRRSTASRDEGDDEENLRWAALEKLPTYDRMRRGIIRKALDEGGTKLTADEVDIANLDPRDGRELMERVFKAVEDDNERLLRRFRDRLDLVGIELPQIEVRYEHLSVEADVYVGARALPTLLNSAINLVEGLVSQFVSSNKRKLQILNDVSGIIKPSRMTLLLGPPSSGKSTLMRALTGKPAKNLKMSGKITYCGHEFSEFYPERTSAYVSQYDLHHAEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNIMTDITLKVLGLDICADIIVGDEMQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNNTVMISLLQPPPETYNLFDDIILLSEGYVVYHGPRENILEFFESAGFRCPARKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSGWESLKAVLSREWLLMKRNSFLYAFKFFSQFLAYFLTHQMAVAMFRLLGAVLKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWSYWISPMMYSNNAISINEFLATRWASLNTNANIDAPTIGKAILKSKGFFGGQWGYWLSIGAMIGFIILFNVLFILALTFLSPGGSSNAIVSDDDNKKKSTDQEQMRQVTHGTNEAANRRTQTGMVLPFQPLSLSFNHMNYYVDMPAAMKEQGFTESRLQLLSDISGTFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIRLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENTRKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLLKRGGRVIYAGSLGVQSRILVDYFEAIPGVPKITEGYNPATWMLEVSSPLAEARMNVDFAEIYASSALYQSNQELIKELSIPPPGYQDLSFPTKYAQNFLNQFMANTWKQFRSYWKNPPYNAMRYLMTLLYSLVFGTVFLQKGKKVHSEQDLQNLLGATYAAVFFLGAANLLSSLPVFSIERTVFYREKAAGMFSPLSYAFALTVVELVYNIAQGILYTVPIYALIGYDWKADKFFYFLFFITACFLYFSLFGAMLIACTPSPMLASILVSFTLTGWNIFAGFLVARPALPVWWRWFYWCDPVAWTIYGVVGSQFGDVVKPVDITGGGSTTVKAFLEDNLGMKHDFVGYVLLAHFGFILVFVFLFAYGTKTLNFQKR >Dexi9A01G0025190.1:cds pep primary_assembly:Fonio_CM05836:9A:23212663:23213034:1 gene:Dexi9A01G0025190 transcript:Dexi9A01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNAPRSPAATDSMAADAHHGAPRPPTTQHAALVMPAAAVETQHAPPRASAAPGTSAATSRNSTSARAATGSDRGRARSVAPKRQRRKRQPSSANFQAVVEAVPAPMCQRKGREEAPPPPSL >Dexi4A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:4A:5007990:5009996:1 gene:Dexi4A01G0006900 transcript:Dexi4A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEEDMKLVMEMKAEAMKTITQAGDPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMVDCLNRFHVALPKPRDNKERPVCIPPAVLEARANAAAAKEKKKLEKDIEQENGGAGVYSASLKKHYILANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEQAAQDAFEIDGNELTEEQKEILSQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTARMGRQLYSMGVDPSAAIARSRSRGRKRERSLSRAAADEDGMEIDGQQSNKKLRLRSRSRSKSRAPEEVIPGEGFKDSEQKKKAIKKAKDSTKNRNKEARRGEADRVIPTLKPKHLFSGKRTLGKTSRR >Dexi8B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:8B:927195:927701:-1 gene:Dexi8B01G0001360 transcript:Dexi8B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPSMSQKLDQIAEMGNRDGTHGSHTETGICVQSMPPGNMQMQPLRFLQYCGSCKCALGLDADIYIYKYVIWLSWGESAFCSIECREKGMRTGNA >Dexi2B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:2B:28199490:28200511:1 gene:Dexi2B01G0017840 transcript:Dexi2B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRHAVSFYKARVLKVSVRHIGNRLRIPDVPFASENLTKVEIAEARLTFDTLDFSRCPALEVLEFSMSRIDVGRILSPSFIFRRDCQFCTAFGNLKTLLLNEWCMSPDFSTLVYFLRYTPVLEKNLNIVMADLDFL >Dexi9A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:9A:12547186:12547735:-1 gene:Dexi9A01G0017470 transcript:Dexi9A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGATSRVSSRSSTAVAAAIRRDHGRDSSLRMLSSCLLTSWPPSPEVVVAGPEAAPRSRLCRPAASPPLIEI >Dexi7B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:7B:24158359:24158848:1 gene:Dexi7B01G0018330 transcript:Dexi7B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARSRISRRARSSASESGGRGRASCRALCIISRSLQWAVRSSSYCISASMPLTTFSRTSISRSKSAFWSSIRRTLYCPSSIFPATVSGQLIFLRPGAGSFGTCTDDTNISLCKIEPAIDDSRNGSRAADTTASPSRLGRGIFVSLLLRLYC >Dexi3A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:3A:14268290:14271203:-1 gene:Dexi3A01G0018740 transcript:Dexi3A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLGLRSSGSYGSLPQQQQLGGCHSSPTPSVSPPLAARKPAKMSLGGAGGGAGGPRVFARICKLAGRRQRMLLLLLVAVAVAFCFFFSSLVSKDEDASPGVETMLVFSDHVWSFVNPVWTSSGRPVAQNGLNSSQKQVQDLMRSFPPAVVLNHHPCENFSLSPPPIDRKRTGPRPCPVCYLPVEQALALRPAQPSASPVLQRLSYMSEKNLVSEESSGGSLFGGYPSLEERDKSYDIKDLMTVHCGFVRGKIPGLNTGFDIDEADRSEMQQCQRTVVASAIFGNYDILQQPENISDFSKDAVCFFMFLDEETEAALKNSTTIDHTRRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLER >Dexi1B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:1B:11246940:11251557:1 gene:Dexi1B01G0011180 transcript:Dexi1B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDALLTQLVNKHGIKNWQTIACAIPDRNAHACLSRWKYILDPAINKEAWSQQEELRLVRAHQIYGNKWCKMVKHFPGRTNNAIKEHWRGAMKRKLDSYLASGLLEQVPDLEENLSVPQSSQSDIPKDRKVLSDRVQFSSVLMTRSKLKQELRQLSENADTSVGESSDFIYAKALDAHSANVSEVIIAKPQKCARARKKLDLVSTPVKVCPCLLRKRNFIFFQEDEILTKMVTKHGLKNWQTIASAIPGRNAQQCRIRTNHALKEHWRGRMKGKLNYYLASGLLEQIPDLEEELSVPEINQLDTPKDGQDSSEINRPPSSLPTIPKSKSDLSELDEDADTSEEESSDSIYPKGIDAHPSEVSEKIIAKSKQRDRARRKLDFLSTPVELKVCTAAPSCQRPPPKLEQTPSADNICPSDVCQDIPQNVPSERVNVHSWETQDPCSLEFHEANASDLLDMSYCDGLMIDSPRYPYDSSFI >Dexi5A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:5A:24365047:24367657:1 gene:Dexi5A01G0020540 transcript:Dexi5A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPCGLLLALAAAVAVLVAAGAGVASAQETCSGTVPALPRRGARVSVASFGGAGDGRTLNTAAFARAVASIDRLRAPGGAELYVPLGVWLTGPFNLTSRMTLFLARGAVIRATQDTPSWPLIEPLPSYGRGRELPGERYISLIHGSGLQDVVITGENGTIDGQGSVWWDMWKKGTLPYTRPHLLELMSSSDIIVSNIVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDAIAIKSGWDEYGIAYGRPSSDITIRRITASSPFAGFAVGSETSGDVQNVLAEHLNFFSSGFGIHIKTNTGRGGFIRNVTVSDVTLDNVRYGLRIAGDVGDHPDDRYNRSALPVVDALTIKNVQGQNINEAGLIKGIVDSAFSRICLSNVKLSCGASLRPWKCEAVTGGALDVQPSPCTELTSTSGTSICTNSL >Dexi3A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:3A:742356:743452:-1 gene:Dexi3A01G0001020 transcript:Dexi3A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSSGGCVGDGGVSQRFMCSSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGMRGGDGRVGGEMNGRAFLASLSRGFGRITMFGGPAAAEKKRRKKSGGSQWSEGKSRRRHKAASFAYD >Dexi9A01G0044570.1:cds pep primary_assembly:Fonio_CM05836:9A:48176565:48178940:1 gene:Dexi9A01G0044570 transcript:Dexi9A01G0044570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKSKPSRSRKKPRTNADQALALDYVRAWAHPAPPLPEPSAADADADDFLPAQAARGGGGDVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAVLAASKFGIRIIPGVEISALYNPRPDELYNMLLGIRDGRYLRAKNMLEKLNILKVSIKWEHVTKIAGEGVAPGRLHVARAMVEAGYVENVRQAFNKYLGDDGPAYARGSEPFAEAVVQLISRTGGISALAHPWSLKNPDAIVRSLKGAGLNGMEVYRSDGKVDGFSELAEKYGLLKLGGSDFHGRGGKDESDIGTVKLAITTLCGFLKMARPIWCSAMKDILHKFAEEPSSTNLGNILKFGRLTNVDGFSPINTGIDVVNFCLSSWSSNDEMEDVELEEVRLKLAHYGAQR >Dexi9B01G0042070.1:cds pep primary_assembly:Fonio_CM05836:9B:42387646:42390335:-1 gene:Dexi9B01G0042070 transcript:Dexi9B01G0042070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTLPRRCPHDRKLTSFLSAVASLADSPSRSPSPPPAGAVPAAPTPAAYNALMSAYSRAGCPDEVIRLFVSLPFPPTAPLFTTLISSLANSGRHSDAYTAFSFLLDSGIRPTASTFTALLKSHGAVSLESVYRLFKTMADEGCAPDAAAYNCLIWMLCDSQRVEEAWGVLDWMIESGIYPTARSYTAILRGYCKQGRVAEAERLVDTMIQVGCLPDVITYSVLIDGLCRLGEFGKVEKILEESEVKGWTPNAVTYTIYMSALCRMGFSDEAFRQVDIMRSRGVSMTAETVNILFDCLCRDSRFSEAVCLLEYSEELGWDVDVFCYNTLMSRLSDVGEFARVLKLLVDLVKKGIGPDMFSFTIAIRSLCGAGKFQVMGEIVASKPLYVAHRFKESKQGYQDGRKDNIEYLVQVGT >Dexi1B01G0029700.1:cds pep primary_assembly:Fonio_CM05836:1B:33940512:33941504:1 gene:Dexi1B01G0029700 transcript:Dexi1B01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHCFIGGYAMLHKAASLGPPRVTCASTAAPGSQAMVRSTRLPRRLSRCPSVSLGLRRTLSRCSVLRWDCGAAAPCGLLASRRLTHAGEIAGLCGYAARQLALPTRQPLLSGLFLEQHLIKVPHPCVHIMSTVRNPHIH >Dexi4B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:4B:12596533:12597023:1 gene:Dexi4B01G0013630 transcript:Dexi4B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRGAPLPPSAAAKVHLELALLILSPLPFGPPRLKLHAHSHLAGAYAVMGAVASQRSSRQHSPSTETWSQRSPPSWWREPPPHIGSPQIKLSFAAPALCIHLLCCEDSAAVESSVAQATQLGDAFPVV >Dexi3B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:3B:11597271:11598863:1 gene:Dexi3B01G0015980 transcript:Dexi3B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFSKAEPPPPMVLVPPLFDYPPLAARTRMAVPAYELMFGKLSLHNLFEDYFDQAGNMTSRIMLKPLEDPHVDLIATVSFQTLPVISAKPCVSVPFEYHSTFQFIVSAAADQNSGTEVKGDALFRWQKELDDPHTFVDLLVSTSNPLLQLRACAYDTKYRIGAFGTLPLLMGNR >Dexi8A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:8A:23741280:23752181:1 gene:Dexi8A01G0013600 transcript:Dexi8A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPGHCGLLLRLLLLLILLAPPPRCAAESATCLAVYREGGAPAVFQSAHCPRWTLPPPGAGEGADDGGDRSSPMGCHVAADRGRRRSQEDRAVCALGIRIPFLGTCTSCSTEASQKHFESGSTATVVLIADGKIISANVGDSKAFLCSEGHDPHRRNTFVLAIWINTNKKACIASGKRRRKRNSIDHEEFALANYDGPLYRATELSKDHHPDREDERSRVEASGGYVIEWAGVYRVNGKLALSRAIGDLSFKRYGVIPTPELTGWKFLSENDTFLVASSDGIFEKMTMQDVCDLMLHAKLHVNQDLGSSAITQHNLADYVVRVALQKGTTDNVAAVVVPLGSPSSPGMTLEDWSQFEENLKTSISPSLNIPYQLKSGTDETSSAVIEMEYFRRSSAKFQRFLVEAKLKRLGCFYLSESLDEDMDYIFRVPEAYQREETHEFSHIPRETAIYSDGNVEKYKDRHFCLYLVHQDGEMGQCNGPEAVANFFGLLDSLPHNGSKPNGYHSFGNKIDFRSTTLLREHFNYCRTNFSSDVTLAAYPNEGTWYKLKKRFDRGSYGEVWLAFHWNCSEDIDVHKEPQHFTATPKSDSYNCTNSNTMPSNEDHVSDTVDGDLFILKRIMLMGLKACHDRNITHRDIKPENMIICFEDVETGKCLRDVPSEAKQNKLNMRLIDFGSAIDDHTLKHLYGSGPTRSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVVMLELIMGSPHVFQISDRTRVLMDQRLEGWSEQTKELAYK >Dexi3B01G0025480.1:cds pep primary_assembly:Fonio_CM05836:3B:20215026:20216244:-1 gene:Dexi3B01G0025480 transcript:Dexi3B01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYDLAEAAALRLPYDAFFSRANARSPSQDGRRLLPFTTRLATLTLPAVALSPTFPNAFPSTASVVTVALLLRNFPPRGCRNLPCRRLGHRVAVLGHRRPTPPPESSELRQPSRTPTLLGGGENGRR >Dexi1B01G0026480.1:cds pep primary_assembly:Fonio_CM05836:1B:31339605:31341771:-1 gene:Dexi1B01G0026480 transcript:Dexi1B01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGEGGRRRSGGEEMAGGKEPIEVRFRLFDGTDIGPTKYDPSTTVSALKEFILARWPQDKDIVPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPSQADKNSGIGDNFSPLFILFSCFL >Dexi6B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:6B:24909509:24910288:1 gene:Dexi6B01G0017860 transcript:Dexi6B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVMPLLVVALLLASPAATRLASAACASEKFSAGRGPYATCEDLPKLGASLHWTYDASKSSLSVAFVAAPSASGGWVAWGLNPSGEGMAGAQALAALAGGGTPTVKTYNISGYGLGAPAPLQFAATDLAADTGAGGKIRVYGKLQLSKGMKSVNQIWQVGSSVTKGVPDKHAFGADNLAAKGKLVLAGKKAAAAGAPGVSPVPAPEAGGPAASGDGGAASSVAPAAGKTPNGAVVVTGVSRLVVLVMALVGFLVTV >Dexi2B01G0023250.1:cds pep primary_assembly:Fonio_CM05836:2B:32774920:32776530:-1 gene:Dexi2B01G0023250 transcript:Dexi2B01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGPSLALLPLLLLVLSPWQVDAAWNATAVGGGKGGRPRVPAILVFGDSIVDTGNNNAVLTLTRSDFRPYGKDLNGGVPTGRFSNGRIPTDLLASRLGLKDLVPAYLGTDLTDHDLLTGVSFASAGTGYDPLTSTLVAVLPMQEELNMFAEYKEKLAGVVGDEAAAGIVAESLFLVCAGSDDIANNYYLAPVRPLRYDVSAYVDFLVQQACDFMKQLYQQGARRIAILGLPPIGCVPSQRTGLARDCDPARNLAARMFNSRLEAAMAGLQEELRCQRIGYVDIYDVLRDMITDPCKYGFDVSTRGCCGTGDFEVGLLCNQLTAPTCPDDRKYVFWDSFHPTERAYEIIVDYLFPRYVEKLL >Dexi5B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:5B:6161494:6162663:1 gene:Dexi5B01G0009050 transcript:Dexi5B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLSRSPSGESSKMTMVLPAPRCPASTAGAKEEPVIGEVTQGGGGSCAGAAVAGPAVDRLRIDISVDAQLLHCAVAECNRPLKPPIFKCEAGHLLCASCRGDRRDEGHCHRCNRATAFVHCGRELDMYVGDARVPCPFKAYGCGLSVVYHATAAHQDACAFAPCHCSVPGCPFTASPPRLRDHLAFDHAWPLDRLAGYGKTLPLRVPAATEPHRLLVVEGDDRRLFALSVRPRGAASFAVSVSCVRTTAAAMAGPRFTCTLWARAPAKEKETEEGAPDMLAGGAGAGRRLMMETDVASCAVPGGTAVEEEGMALYVPPPMLCGPSKEMNLRVRIDVVNQAAASLRAAKASSSRKD >Dexi5B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:5B:4602231:4602578:1 gene:Dexi5B01G0006860 transcript:Dexi5B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNLLAAARTAHPSRGGGIALQQQHALLWRGPIQQRSAARCRRGEAEARGTEPAEARASRIREFGASLPVDCRKRRAVGDGGAQDAGSPPQRRAGRETRGGFGSGRGGDRFVR >Dexi5A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:5A:3151786:3153328:-1 gene:Dexi5A01G0004180 transcript:Dexi5A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDDVKLEMGVASDGVGQPEMAAAGNGDGYASFTQTCLNGLNALSGVGLLSVPYALSEGGWVSLALLAAVAVVCWYTGILLQRCMAMDPTVRTFADIGERAFGRRGRVLVAGLFHAELFLIAIGFLIVDGDNLDKLFPGARVRIGALTLAGEKLFVVLVALVVTPTTWLRNLGVLAYVSATGVFASVLIVLSVLWVGAVDGVGFSAPGAKAVRPITKLPTALGLFTFCLCGHAVFPTLYTSMKEKSRFPKMLAICFVLCTLNYGSMAVIGYLMYGAGVQSQVTLNLPAGRVSSKIAIVTTIVSPLSKYALVVTPIATAMEERILRRRATGGSGAAVSVAVRTLLVLSTAAVALAVPFFGYLMALVGSLLSVGACVMLPCVCYLRVFRPPARARETVAIAVILVLSSVLLVTGTSSSLVNIIHELKA >Dexi7A01G0023850.1:cds pep primary_assembly:Fonio_CM05836:7A:31713805:31714930:-1 gene:Dexi7A01G0023850 transcript:Dexi7A01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDTTTTTEPPATVGGYELRERLGGRPPSTVVWRAVSLSTGAPVAVKQVRLAGLPARLRDSLDCEVRFLAAVSHPNIIRLIEVIQTQSCLYLVLELCEGGDLAAFIRRNGRVDERVARNFMKQIGAGLQVLRRHHVVHRDLKPQNILLSSPSSDAILKISDFGLARVIRPGEHADTACGSGLYMAPEVMLFQKYDDKVDMWSIGAILFEVLNGYPPFHGSNNVQVGA >Dexi5A01G0037460.1:cds pep primary_assembly:Fonio_CM05836:5A:38812331:38815083:1 gene:Dexi5A01G0037460 transcript:Dexi5A01G0037460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNPTKTQPLLAILLLLLALLILCAAPASSQPLHSEPMATQSPPPSPAPPETRIPRAQTGGAARLRRIALGVFFGSLSGFLLALAFLYAIRVAILHAKSVPAIVKGPVSFTPQISPRNLLAALPSAQPLAHGPHGQYYKLDLDNDLTVAVKRLEAANRPEASPSMSPSTSKSDMRRMQRQLEALARVRHQNVMTLKAYVREADRLSLVYDFIPGGSLEDVMKRVRSQQVSLNWDARSRIAVGVAKGLKHLHFEYTPRIVHCNLKPSNVMLDEGFEPILADCGIARLITAGTGDPELCSGLYAAPECYQSSRYTDKSDVYALGMILGVLLTGRDPTDPFFSGETGRGGLARWLRHMQQSADPKEALDSSILGDEGEEEEMLMAIRVAIVCLSDSPVDRPSSDELVAMLTQLHSL >Dexi2A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:2A:32784093:32792851:1 gene:Dexi2A01G0020610 transcript:Dexi2A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPIERGGDGEATRRGPEKCAAGDMATPPHHARAPAPTQLDPSACARQCNDGDANNPASRLLLVDHGVWARASSSDTTTTVRVLFEEAWSLPRAGNKAATHEHDFLSLYTAATAKDSPLQLQDSKSPPLSQGSFFLRTHDFLNPLEKPPAGAPPEPPSPSPFPAVSGADDSGHHHHQLVVANSSQRALPLPGGVGTFTICPAPVSVARPSSAAVVKAEPPAFVLWGQPAAALHPGARAGHQQQWALPFAGAGQARQQPPDRKGRGVGGGLMESGSRSSGGAGFDDDDGLAARREVSSSLKVFRVGLAELTVRKRTGSVEGMSSHAPSAPGAGAGAPQWQPAQVHQLHAHLLVSGRLRGSPAIAALALLRAACGVLVSPCLRPLARHLLDGILHPTPQLLHAAARLAFRLRLPSLALGHYLALRARHPAFLPPAPAIADVLKSAPGRAAHAHALRVAAHAVDARFLANTLIAMYFACGDAWSARQVFQGMCDRDVVSWTSLISGLVQNGCPLQGLHHFASMMHSEVCPDFVLLVSVLKAYMDLDDLPGATAAHSLVVKSGFDNEVDMAITLTSMYAKFGCIVAARALFDRVPAPRVNLILWNAMISGYSKNGLANEAVQLFKQMRKVAQSMSPDSVTIRSVILACAQLGSVKLAEWMENYVQGSEYRDDVLVNTALIDMYSKAGSITHAHRVFERMHVQERDVVVWSALIGGYGVHGHVKEAVVLFEDMKHSGVKPNDVTFLGLLSACNHAGAVEKGWSYFHSMKHDYGIEPRHQHYACVVDLLARAGHLDRAYKFIMDMPIKPEMSVWGALLHGCKMHGHSGMALAECAAQHIFELEHSNAGHYVQLANLYASAGMWSHVAGVRVTMREKGVSKATGCSSIDINGEMHSFHAGDHSHPRAAEIFASRSLLSPTPAGEMTVRVDRKGGSCSDGDTDQRPNTPRSKHSATEQRRRSKINDRFQILRGLLPHNDQKRDKATFLLEVIEYIRFLQEKVQKYEATFPEWNQENAKMLPWSKGQIPTGDSLPDPSHFIRNGSSPGSNFTGKLDDNHNMVTSAAASGAQDQVETDHMASLCYRSAETPANVTNNAISQSQPQWTGPSPVDDCAVNSGMLNNQRLAIDEGTISVSSQYSQELVNSLTHALQSSGVDLSQASISVQINLGKRAVKRPGAGLPSTV >Dexi7A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:7A:19226244:19226473:1 gene:Dexi7A01G0008050 transcript:Dexi7A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAAAAVSLSSVSAAMAEATYPLDALKTCLQLRRSPGGASGGGVLRVAAELARDGGYTGSSPPPSSDT >Dexi2A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:2A:30725278:30726231:1 gene:Dexi2A01G0018520 transcript:Dexi2A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSSVSAAAAAPPPATPPPVTVTRSITMLRPSALSVATSPRSESSSAPSSPASGGPDSPFSAATTPKGEGWKRLRRKGRMAADGADAPGTPRSPTVYDWVVISSLDR >Dexi9A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:9A:14123000:14128411:-1 gene:Dexi9A01G0019160 transcript:Dexi9A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAVREVGSKAELDAAVAGARAAAVHFWAGWCEASKQMDEVFAHLAVDFPHAAFLRVEAEEQPEISEAYGVSAVPYFVFCKEGKTVDTLEGANPASLANKVAKIAGPASVAESAVPASLGVAAGPAVLEKVQELAQRNGSSGAEGPNSDGLPDSLNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDILKKEGVDFGSFDILMDNDVREGMKKFSNWPTFPQLYCKGELVGGCDIVVAMHDTGELKDVFEEHNIPLKPQGSKSVEAVEPEAPTGKGGVVSEPVGLTDAQKARLDSLVKSSPVMVFIKGSPEEPKCGFSGKLVHILKQENIPFSSFDILSDDEVRQGLKIFSNWPSYPQLYINGELVGGSDIVMEMHKSGELKKVLSEKGIVPKETLEERLNALISSAPVMLFMKGTPDAPRCGFSSKVANALKKEGISFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >Dexi2B01G0026170.1:cds pep primary_assembly:Fonio_CM05836:2B:35282481:35289811:-1 gene:Dexi2B01G0026170 transcript:Dexi2B01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAAAAGSGAVMRAAVVGLVLAGIALAPPLAAALRPLRERVASVGAAASAGSWGDEHAFFKRDENDVGPYSWNITGTYKGSWAFAGATNGSSRFLEFVKSQGDSVLELLSTPTKISGVHYVQILPEKYCYHRKVEDSSNQPIDCVICMTTIDLTQRTSEYMLTGRTQAAGAGPRASSPDAGPHELREPRAAGVVPCQCHGNGEPTASEVRDLHKQQRVRRVEYSALRPRDGELIQQRRAGGRVEEPGVRQGNDPFKVLPARWGVPVHTSGKICVWSGLRQVMHAGKDAAAVLNAVR >Dexi2A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:2A:29177997:29180839:-1 gene:Dexi2A01G0017350 transcript:Dexi2A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASPSPSPARGSAVAAAAAVVLLPVLFPGMFSPLGHAFPSLFSEWNAPKPMHPSLLNEALRWAIPAEQKRELWSPLPYQGWKQCLNSSVSHALPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFEEIFDVDHFINSLKDYIVLHQQPYPSAVTASYGIAAIAPFSHRLAFDDLPVDLQHLRCKVNFQALVFRPHIISLGEALVKRLRFPVQGHSDESIHQVVGEGYQAGKYAVLHLRFDKDMAAHSSCDFGGGRAEKLALANWLTGHMRT >Dexi2A01G0034100.1:cds pep primary_assembly:Fonio_CM05836:2A:44186344:44190631:1 gene:Dexi2A01G0034100 transcript:Dexi2A01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEIGGALLFLLAAAAAVAAAVAVGAVDFSRPLTSAGAPLGFQEAVSWLIGVLEGTSSAAADVYGAWVAVRAGVIAPVLQVAVWACMVMSVMLVVEAVYNSVVSLGVKVIGWRPEWRFKWKPLDGADDEEKGSAHYPFVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPLIKNLVEVECENWANKGVNIKYATRTSRKGFKAGALKKGMECDYAMQSEYIAIFDADFQPEPDFLLRTVPFLVHNPEVALVQARWSFVNDTASLLTRVQKMFFDYHFKVEQEAGSATFSFFSFNGTAGVWRTVAIKEAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYRAYCRQQFRWSSGGAHLFRKMAKDVLVAKDVSLLKKSYMLYSFFLVRRVIAPTAACILYNIILPISVTIPELYLPVWGVAYIPMVLTIVTAIRHPKNLHIMPFWILFESVMTVRRMRAALTGLLELEGFNQWVVTKKVGNDLDSEVPLLQKSRKRLRDR >Dexi5A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:5A:9960019:9960394:-1 gene:Dexi5A01G0013230 transcript:Dexi5A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGSSAAAAAAMPLLAAAVVVEEGKTKQRRRREGCPGCRLEEENKANAGIPYLNFFYIWVVCLTASTPGRHPR >Dexi3B01G0028900.1:cds pep primary_assembly:Fonio_CM05836:3B:27510738:27512808:1 gene:Dexi3B01G0028900 transcript:Dexi3B01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWPAAAAASLLIAVLSCCEAAQAIRTHGGGGAYVSALGDPGMLRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLDTSVGEDGQPVYEVVHRVSDAENNLRAGDPFPGAAANATVTGDVDLYAAAKEVYLGDLCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFAQTSRFPCPGGAGCMNQPLVFHNRTALDAGSRWLRGGVFGTYELDAADDRLGLGSGGDVSYYSVTWEKEVASRNGWVFHHKLRTSKKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGKPCDGDTATDVTRYSEMIINPDTPAWCKPSRIDQCPPWHTFRNGTRVHRSDAARFPYAAYHVYCSPGNARRAEQPTTYCDAYSNPQPQEILQLLPHPVWGEFGYPTAKGQGWIGDPRAWELDVGALSQALYFYQDPGTTPARRRWTSLDVGTEIYVSENAEAEWTLSGFDILVPDTCAKSGQGTGNSCCW >Dexi5A01G0031010.1:cds pep primary_assembly:Fonio_CM05836:5A:33903655:33912216:-1 gene:Dexi5A01G0031010 transcript:Dexi5A01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTISNRVSALLLHLPVLAVLLHLHGQAAALSSAAYFPLGGQATVRLPPAPYQPRFAARAVVLDDAQRRAPGFVVAVSAEAGAGAYTCSLVLLLGGVKVWASDHLDKFVARALCRLELTEDGQLRLTDGAGKVGWLSGTAGQGVKALHLDSKTGNLILVDAQNHTRWQSSDDPTDKFLRGQHRRLPVYLITPMINVMSSPFYSFELDKGKIATYIHLGDTSYSYWELAAPTANSTMASARLDASGLKMLNAQGLTVAQISPPVKKPPLSFLALGGDGNLEMYYHDAQHQRFRVSYKALGFCELPLSCGIHEVCSAAGRCKDFAAYTDMPAAIAGDDPCYATAAGEGCMVHLRGVTTVLRAALSSPLANVTLRECVAQCASDLSCNAALYVKDSGVAVVDDDHGGVCWHYTLTVGAREVTGGYRRRYSYCVKFTAAVGGGGDGDGGDADDSSRGMLGKILMVGGAIDVVCAVVFTVLVVLHFRRLRRLAATVDSRVVELQQGEAEGAEEQNGSDHDSDETEHN >Dexi9B01G0036830.1:cds pep primary_assembly:Fonio_CM05836:9B:38321827:38329391:1 gene:Dexi9B01G0036830 transcript:Dexi9B01G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPHISKDHLVKLLKQLMVSSCHPHNLIGGVSPNAADVPTLLGSNSFSLLASTFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGMPVSVLKGHTGAVTAIAFSPRPGAVFQLLSYQIIFVGRSSDDGTCRIWDARYSQQPPRIYTPKPPDVAPGKSGDTSSSAAQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSNSEEHGQPNHEMDLLSGHENDVNYVQFSGCAVASRSFTSDSSHISKEENNLKFRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGSAIDQETQLIPHRRNMQDFLCDAGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQTIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGMDENSSEGEQEIMSGDSSGTSYSSAEIDADNPNSAAHRRRSRRKKKKSEARLNFQDHPIFLCAMF >Dexi6B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:6B:758718:759668:-1 gene:Dexi6B01G0000870 transcript:Dexi6B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGELENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRRKIYGRSASRRYHGRSRSPPPQRRGHRDRDDYPRDRDDYRGGGGGRGRGGRPDRYDDGGGRGGRPDRYDGGGRGGRPDRYDDGEGRGSRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNRDREAKQ >Dexi5B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:5B:6208880:6210051:-1 gene:Dexi5B01G0009130 transcript:Dexi5B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQGRKRGSAPADSELTNSGKKPREPPQATAPCAVVKQEREEEGDAGQGSSSSSNDARRAVVAALEPQAMEQPQLKLVIGMSVFHCQACFLPLKPPAFMCESGHIVCCTCRGKHGEACDPRRPAAATFAVCPGRDVVLGDAKMPCQNEEFGCKSLVVYYQAGDHHGECQWAPCFCPEPGCELFSSPAWLADHLNTHHRWPLTNVRYGEPCKLPVPSPEQGCHVLVGEGDRSPRVFLVSPSALGAATAVSLVCVSANAGGGGGQFMSTLRLEVPGNKEKLVLILPVVRSGDLSCGLPTADTDVFLAVPPVLQPEAPNLFVCIDKADAAAANSTPPKVE >Dexi5A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:5A:4307720:4308151:-1 gene:Dexi5A01G0005760 transcript:Dexi5A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGASTGEAQVEDAARAHFFGTMFTEVPPAALVAPPMNIVDPSAPSPRAPLRQSSRLLARPSSVPDQAIGNEAVAQYERTYYGPMPRKTVAALAGVTRVASGVVMAASAALAADAEASQVEVN >Dexi1B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:1B:4303126:4305260:1 gene:Dexi1B01G0005250 transcript:Dexi1B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSRLLWASRAAAYLRISTFPRAFSTGMFLSPSPPCRRVCALPSPALWFVDHTSLLLDHLGDVVYVELPEVGISVSQGKNFGAVESVKATSDINSPVSGEVIEVNEKLSEEPGLVNASPYDKGWIIKVKLSDSGELSSLMDEEKYSKFCEEEDNH >Dexi7A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:7A:18744877:18745620:1 gene:Dexi7A01G0007370 transcript:Dexi7A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLQPKAKAMKAKPIERKKKTGRTKLAGVPEGAVRPAALGRHIGVADARGPARRVQEHGLGHLSPQPGRVHQAQLELLVAPNDLHRRHPAVPVEVDKLVAPRRRCLMNHQKQRRVAAHTFGGLGGGGADVAGARGGRAGQPGDAPGEVELRVAATQAQRRHVVRHRRHLRRLEAPQPDARLGG >Dexi3B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:3B:2980257:2981068:1 gene:Dexi3B01G0004360 transcript:Dexi3B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYTLPLPCICVVAVVLLVSPSRALAGTGDPTKGFKAVSLNESDFVLQKPYDLPSAARYRFHGGVRQLWVLSSDKPHTPQSNTKPRTEFRMTGYDYSSGVWQFEGHGFVPSGTTGVSIMQIFGGGETATTLMLHVYDGALRYYSQQVVEDNIYDRWFRLNVIHDVDASSLAVFIDGVEKLRVPGRGGDSHYFKFGVYTQHNSSSCMESRWKRIRILRKD >Dexi8B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:8B:1525945:1529384:1 gene:Dexi8B01G0002220 transcript:Dexi8B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYKVNVGMIVRACMPLPSPPVGEAAAKMKKGLRSPRVLVLGGTGRVGWSTATALSKFRPDLNILIGGRNQEKGKSLASKLGKRSEFVQVDIHDASMLEEALYGVDLVVHAAGPFQREDKCTVLRRQYLPSLPAQTAYVDVCDGVEYSWRAKGLHEQAKASGVPAIITAGICPGVSNVMAAELVHAAIEKACKPERLRLGFFYYIAGSGGVGPMTLASSFYLLGEDVITYSKGEEIKLKPYSGVLNIDFGKGVGKKNVYLLNLPEVKSAFKILDVPTVSARFGSDPFFWNWGMHTFANFLPTESLRDKKKVSKLVEVIDPIVRTIDGIAGECVSMRVDLESVGYAATAFVMAILEGNTQPGVWFPEEVRARGNRNQSKEVASETCNSRSNQLYDEQVMFYCCNLKNLGWHVN >DexiUA01G0002340.1:cds pep primary_assembly:Fonio_CM05836:UA:5262018:5268673:1 gene:DexiUA01G0002340 transcript:DexiUA01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGLCSWLLLGVVLAFGVVASPAQASKAHHYDFFIKEKNVTRLCHEKTVLTVNGEFPGPTIYARKGDVAVVNVYNQGHKNITLHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRVIFSEEEGTVWWHAHSDYDRATVYGAIIIHPKRGSTYPYPKPHKEIPIILGEWWKADVEQVLKQALQTGSDFNYSDASTINGQPGDLFPCSKNGTIRAVVEHGKRYLLRIIHAGLTYEMFFAVAGHNLTVVGMDGSYLRPFTVESIMISPGQTFDVLLDATHGGGSANTSRYYMAARAFSTILNATVKFDDTTATAILEYKDAPPSSAAPVFPTNLPALRDVEGATAYLKKLRSLVTKDHPVDVPTHVDEHMFVAVAVNSLPCGANKTGGSVALHLSFLSPQPGREEDRGTKWHRVDASEPFDLLAAAAADESRRMEKKNTILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRVGMIDQIKREISVMRLVRHPNIVHLHEVMASKSKIYFAMEYVKGGELFARVVRGRLKEDAARKYFHQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSALNECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFHDTNLMEMYRKISRCDVKYPHWFSSDLRRFMSKLLDPNPNTRITIERLVEHPWFKKGYKPAVMLAQSHGSNSLKDVQGAFSTDHKDNEANKVEQPDSSLKPTSLNAFDIISHSKGFDLSGLFGNDQEQKANSRFMTQKPASAIVSKLEQIAETERFMVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPAFYVVEVKKSAGDTLEYEKFCNKDLRPSLRDICWSSQSEEKFPSLAESSH >Dexi3B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:3B:2967153:2967689:-1 gene:Dexi3B01G0004320 transcript:Dexi3B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSASPPILHRDVKSANILLGDLAAKVSDFGASRLAPAGEAPAVATLVQGTLGYLDPEYLLTSQLTSKSDVYSFAVVVLELLTGRKAFVLVEDEEGEEEGSLAFFFITAAQAGRHREVMDEQVREELGVEVVDEAAELLLRCLSMVGDKRPTMKEIADRLHRIRSRASSCRSNSADI >Dexi5B01G0030950.1:cds pep primary_assembly:Fonio_CM05836:5B:31785820:31788677:1 gene:Dexi5B01G0030950 transcript:Dexi5B01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLLEALATAAQGGTSGTSVLSMLKYAVLPIAKVFTVCFMGFLMASKYINILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFKMLAPPPGRTFDDSEDDELPVKASGENVVPQLSKYPIPTSTHASSVPEDEPLLSSQEVQKECATSVGSKIMGHVKCVIKFLKDKQLLQPPIIASAFAIVIGVVPFLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIVLLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGMIRHEGVLLITYHVLRVYRYL >Dexi9A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:9A:6024818:6025569:1 gene:Dexi9A01G0010010 transcript:Dexi9A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVPVEDAAAAVPGAGEEATTTYYSCHVTWLAWWNTVRCALASTFLPCPPATAANKPPFVRGTLFLPTTGSDRRIRLFLHQDHHHASSTTDPPPVTDDDVAHEHFLAVLDLPSGLSGADIAAAGRIVLEHQRQWAPVPSAASTPCDAPSDAEGWVLEKLRAVTAGAGRLPGGGVEYLRGRFERIVGSSDAESFHLLEPIGWPDVNGGGEGDGGLSIFFHRI >Dexi3A01G0026630.1:cds pep primary_assembly:Fonio_CM05836:3A:22949005:22952788:1 gene:Dexi3A01G0026630 transcript:Dexi3A01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNKVTEGCGARIAAKLEFLQPSFSLKDRAAIAMLEDAEKKGLITPGKTTLIEPTSWNMGIGLAFMAALKGYELVLTMPSYTSLERRVTMRAFGAKLVLTDPTKGMSGTVMKAAKLYEKHPNAYMLQQFDNPANFKIYGVEPAEANVLNGGKSGPHLITGNGVGYKPDILDMDIMEKVLEVKSVDAIKMARELALKEGLLA >Dexi1B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:1B:26625693:26629821:-1 gene:Dexi1B01G0020500 transcript:Dexi1B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDSAGAGSAGGGGGGRAGAELMLPQFMHKALHAILAVRTPRPHASAPAPPAAAFRRRDRWFHLPLHAPPPPPAAEHLPEPSPAEPLVVDVYLAPSDGGGGAEEVVVERWTVACEPWPSPAAAAAVGEGLAVNRAYKRCITLLRSLYAALRFLPAYRAFSTLCASGQLYNYEMGHRVGSFATPFTRDEEAAMRTNRFAPVETQLGRLVVSVQYRRTLADFNFLVTSLAPAAIITDYVGSPAAEPMRAFPPSLTEAAGSPFPQPSRRPNSWASPAPWPHTLGQQAKFSPPPTHYASPTPSPPTFGYLHSRLSGETAPMSIPQGGGGRGPVHHRNMSEPTRAFMFPPPSPKGVRGEAGMQESPTETNRSFKRVDGIRMGDLYANLPSGSKIKDSRDESGRFSGVAVGRTPGIRQVRHPINHKTLLSVILSTC >Dexi9B01G0033550.1:cds pep primary_assembly:Fonio_CM05836:9B:35704305:35713334:-1 gene:Dexi9B01G0033550 transcript:Dexi9B01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWLLVAHGSVTALVVMSFLCGQWPIFEGTFIQSINHFLTFGAYHYLLRLVQAVCGNGARDLVLGVEQYCCDRPNPLLQQGKTKRWPVTSGKTDVAQGRWSGGADSRRGGADSRQQHPRAADRDPDGGGLRRGGADSRQQHPRAAEEGSRRRRRGIPTAAEEEADGDGNRQQGRGIPAAEVAAGGGGHEGLGERRREEARWVSGRRRRGGRAARGGVVGERREEVWSASGARRRGGSTASVGIARGGSAIFYVAIIGVTYFMIVQTSFEYIPGYYVSGWHRYLSVVAVAVGALLFVLTSFSDPGTVTADNVSQYVSAYAYDNIIFVEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICVYGAVVLGFILAGELKERKVIYILTAYYGIDNSFWGLFPHVAQSFKWQDYIMWMKKENEEKANAAALKASISSANSDAQKAPPSKWRAFFVRSRRLAGEPVVKDNIYNRGMIRNLCEVIVPLSERKSFSRRKSD >Dexi1B01G0025890.1:cds pep primary_assembly:Fonio_CM05836:1B:30840053:30844259:1 gene:Dexi1B01G0025890 transcript:Dexi1B01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIPHHRSTSPAGQPLVLSRIVSFHSTTLRGASMRSPEPSLPAPARLVAVALLLAVLTGGVVGVDGYGRARRLRMKNKVLEMFYHAYDNYMTYGFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVILGNITEFERGILWLSENLTFDVDVRINLFEYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVFTGKWIGYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHAAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLVGDVAAANLSHREFYNVWQRFGVLPERYLLDYGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGETIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSET >Dexi9B01G0028850.1:cds pep primary_assembly:Fonio_CM05836:9B:31405110:31406374:-1 gene:Dexi9B01G0028850 transcript:Dexi9B01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQAAGVDWISALPDDMLHVILGRIGYAPAVSKTAVLSRRWRHVWTRAKSLTFKGTDRFLINKSDFAGFVDWVLAHRRNDMESLEICVKHGNVSPDKANEWLRYAAQHVVISVDIGIEPSPHVAGPAVVELPSHVITASISLHLPHYRLRLPAAARYEALTNLELSGPLDEEGGSTLGDFVASCCPRLRQLDVMGAKGLRQLVLRSDALEVLDISTATDLQTLEVVAPNLRVVRVSMCFLFQHVVPAGSGNDAVAMDDNKLVRIAAPKLEEIRSMDNFRAKPSDLDIHDLTGVRRLTDLSLRLHGKYHRDMDVGAWLLEKCPGVEHVDVWLEHDARCELAADEQLVDLTSSEGNAPFAKLRTMAVRAHFFPKHHFVASMSSLLSRCPNLSSLSVKTSSTEVASRSRQMGN >Dexi8B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:8B:9578986:9579440:1 gene:Dexi8B01G0007720 transcript:Dexi8B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLASAILKVVYQKISSAIQDKMKVQEDFVDHLERMKMTLESVAALLNDAERRSIEEDAVRLWLKRLKDAMYGIHDMIDECEAGTKPAASKVRNV >Dexi5B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:5B:924919:926599:-1 gene:Dexi5B01G0001420 transcript:Dexi5B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLVVCAASLVVLVVVFAAAAAATPGRRHRHRHRYDSIFSLGDSYADTGNGPVVFGWHAIANPVMRPPYGSTFFGHPTGRNCDGRLPIDFLAEGLGLPLVPPFLERRSFRRGANFAVGGATALDTAFFHRWDPPGGSTFPLNTSLAVQMHWFESLKPSLCTTTQDCREVFGASLFFVGAFGANDYLLSLGAKSIHQVRSFVPDVVRTISMAVQRLIQHGATTVVVPGVIPLGCAPPVLVTFADPDPAAYDTRTGCLKAINDLAAYHNALLQQALRQLQDRHPAGDVAIVYADFFGPVVEMVTSPAKFGFEEDVLTLCCGGPGRFNYNKTVFCGDPGTTRCRDPSARLFWDGVHLTEAAYRYIAAGWLSSIKSSSSPGSASGGGANGTTATTAAKDKEEELPC >Dexi9B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:9B:2796992:2797204:-1 gene:Dexi9B01G0004880 transcript:Dexi9B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLACAAAAPGAAGGGGAGAGGVRSAFAACLTCPLCGRLLRDAATITECLHTCECSPTRAGPT >Dexi1A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:1A:15332757:15336674:1 gene:Dexi1A01G0013130 transcript:Dexi1A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHNERTLWFSNLSVAFADLAAEGSCSNEAYSMMQEHIKIMRSAVNEIKKREMTRQSGAASTTGSVPVVMHAMDVGGPGRNAALSIAATTAITPAGNPAIIPSALAATTIGNPPRSKVKGRKKEKRLKKGMNAEPKRKNKCSVCKSTSHNAARCPQKKSELLYADSLMVDNLEVPSTKPRIAAWSRKLLNQVIKLDTNTDGSFGKLKVCKQNIFVL >Dexi1B01G0023560.1:cds pep primary_assembly:Fonio_CM05836:1B:29123473:29124879:-1 gene:Dexi1B01G0023560 transcript:Dexi1B01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCQQGTMESLCQGLLDLDDDKFGAMCSAFGYLQEWPDLTAMCGASLAAPGGVAPPVTGDGNESSSCSGSGGGGFRKRRPDAYLDAKGDGNDTSKRPKGKQQQPCGLGEIAAPGKGKQEKPKGGTKKKAEAASTAAGEKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCSKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAVSNPVVSFDIVEDLFGRQLKQACSPGALPAMALPGGQLEPSCLQMSPMQQVHPSAAACSNGFGLDMVVSNMYQPAGASCPVSAATPVTAAAGPSIEPCLNVNGAAAAWDIGSQNLFSGFDAQFQSVESE >DexiUA01G0004330.1:cds pep primary_assembly:Fonio_CM05836:UA:8429212:8430086:-1 gene:DexiUA01G0004330 transcript:DexiUA01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGPWTEQEDMQLVCTVRLFGDHRWDFIAQVSVLFILYVFYVYAGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEERLIIELHAQWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSISPSSSSSSLTYQSCLLDTSPIFGMGHTHNGSSCVTSTLESTQSSMDAYPMDQIWSEIEAPALLGTAEGKEKTCSSVPFRLASPAMWDYKCPEIFWKMEDEEIRMLAL >Dexi2A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:2A:13425948:13427333:1 gene:Dexi2A01G0011520 transcript:Dexi2A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRNDWGRNFMAKFNTGGAVSSEPDAKLTPAMIISSIHVESAEKSTKAANRCSSRRVRQETVDSISSEKSYMQNKEDWDMKIADDSSLGANIDITRILHSMKRRKRSARQRIKSSEPWPQRLWALNSRKNVAIVHQNAQGVGVGSKNMKRNRGGTKFWCVNNREAKGKKHVGGEDNDASNQSIPANSDEAMQCLTDTNATEHISVPNSDTPSLSKEQYSTYASEFAFKPSGASEISLEQTAILINNTFLGFTKSKDAVADDLMATTDVISLYQDREKCTKFDPAIIPGFDLNDGAENFDTTTAESALGSLCSLCAVSVPDSCVEFAVKVLKDETPLPAEVSKVYRFFMQMGYHQKSTIVGPSQSSQGSKG >Dexi2A01G0031280.1:cds pep primary_assembly:Fonio_CM05836:2A:42032797:42034078:-1 gene:Dexi2A01G0031280 transcript:Dexi2A01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFHPLAASRVRIAPLLPAAVAPSSSSVAAARHHRRRFSVVVATAAAASASATTEFDFKAYMVERAAAVNRALDAAIPAGEPPAALHEAMRYALLAGGKRVRPALCLAACGIAGGPEAWAMAPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVFGEPIAVLAGDALLSLAFHHMASVGSYPPDVDPEKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGTSEVVPLERLEYIHLHKTAALLEASVVIGAIIGGATDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDATEQLAGFDKEKAAPLLHLAYYIAHRQN >Dexi9A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:9A:4583623:4586313:1 gene:Dexi9A01G0007840 transcript:Dexi9A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAWEGPTAAELKAAGAEAIPGGVRVKGWVIKSHKGPILNAASLQRFEDELQTTHLPEMVFGESFLSLEHTQTGIRLHFNALDALKAWKKEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYCGSDVTALNSGTVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWKGYPSDSVAYADPNLIAQKLPVVMQKTQKLKIPN >Dexi3B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:3B:922855:923547:-1 gene:Dexi3B01G0001250 transcript:Dexi3B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNAVAPEAAIGGATSTRRTPPPPGEEAEVLTVWRKSLLFNCDGFTVFDARGNLAFRVDCYASSRRRAEVVLMDVAGKPLLTVRRRRLSLAEHWVIYDGDATSEDESKAKPLLSVRRHVSLVRASSNKKKALAHVTPLGSAAASSAAAYVVEGSYGHRACAVRDAGGDAVVAEVRRKEAVGDDVFRLVADPRLGAPLAMGLVIALDEMFAGTSSARSLLRRTWSAA >Dexi9A01G0034760.1:cds pep primary_assembly:Fonio_CM05836:9A:39501004:39503223:-1 gene:Dexi9A01G0034760 transcript:Dexi9A01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASLEYWRGFFSGTRASIVDTIDAAIRVAAADHPDAFRARRDAIAERLYTALLALPPPGAPGLPTPGHPGLILPEGAGSVPSLCSSDRAEVVTDDGAAAAPRNNSSDDAVVAEAFRVKAALSNAHEKSDAELLELLQRLRQLEFTVDAIRATEIGMAVKPLRKHASKQIRQLITRPNQWMHLAWIRKNGVYLPLPWTRLPYLRTDAKECNPPNQDSVKKQSPMGQWYDPEQNWKLDQSAMKRSQPNDPFNWQTRQQSNSVAQGKPSNAAFGPGRPQMMHLEPKHSEMRSKQKQDVSVPQRIPKPTMPKPSPRRDENSVRAKLELAKEAKLEATKPKKQRTVQMVDPQDLPKQGNRNLALNGKARNSNNIRNRLGIRR >Dexi2B01G0035010.1:cds pep primary_assembly:Fonio_CM05836:2B:42134899:42138364:-1 gene:Dexi2B01G0035010 transcript:Dexi2B01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPCSGSSGKEAKSLEALSPSPRPAAKSAPDGDQRLLVYEYMSLGSLENHLYDLRKDTSRVGEFEQDRTEGSGSSSSSGRNDGLDIPQLLAVPNGKTYSEVDSTQKSTVKSVVRGK >Dexi7A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:7A:23163007:23163805:1 gene:Dexi7A01G0012980 transcript:Dexi7A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTITLACCVIRNIWRSTPHPVVDNPERRCAILTLHRRRTSSATAMASRLAVQASSSAATPSRDVARRNSGQQPQQMKPSSSTTGRRRLAATAAAALLMAPAASSAAGTFDLRITLPEESSEEAEAVVRAHARNLVHVKRLADAGAWRELQAELRASASNLKQDLYAIIQAKPAAQRPELRRLYSNLFNSVTSLDYAARDKDEVQVQEYYGNMVNALQEIFSKIM >Dexi5B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:5B:18072885:18073386:-1 gene:Dexi5B01G0017060 transcript:Dexi5B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCHVCDYRGNYKAEGDGIRCLTGCGEPSQRFYHVPRAFLRSGEPNTLVLFEEAGGDPARAAFHTVAVGHACVAGAEVGDDVALSCGGHGRVVASVDVASFGVDRGSCGAYEGGCESKAALRAFAAACVGRESCTVKHTAAFAGAGCESGKLTVQVT >Dexi2B01G0029160.1:cds pep primary_assembly:Fonio_CM05836:2B:37652274:37653188:-1 gene:Dexi2B01G0029160 transcript:Dexi2B01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASLRSAHGRSHIDRIVANTPPALLSMSLSACIVHACSMEKLDRVHGVLLPAAHQEPPWRLLGEEVEEDGSEGTDVHRYMFRHDASRNVYPSAGRDRNPTVKKKNPATLTVSRHRFPTSSVESTSVHVPTMERPNPDTNRSAAYAHTLGANAVSTPATDMETREVRSIFRRPRRESASVVRRNPPARQPAKKDAAGRETSAGPAHLRAHSETTVVSTGRSHAHEPDGSPHGEAGGADGEHDAGDVPVQCHCGSASVKTEMNTCWASKAHAKASRTALNSCITPVVPM >Dexi1A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:1A:3015284:3016336:-1 gene:Dexi1A01G0004120 transcript:Dexi1A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSLPRCASELADPPSPFSSNPAHHPVSMPTTPAGVTSSSSSSFGCMAGKPSATDSPPATPGRSKLGNKPLTPGAAAVVAYYTSQWSPRRLMQRAARAFRTSRSRRVRVSSPVAAASSPSTGKASAIGGGVELTTREEEEEERHEHEHPDAVPEKIIHEMKRHSPAIVKEDGEECGMEKTQATEGKETDLTAGEVEQEEVVVESPKKGEAAMTPPTTEVVVPVAGGEVVAEEKLVAVVKEAIKKHEAAEHQKGAAERQKGAAVRNKFQSRVKTAMEARPEARGSSNDVIEEARSMLLEKRQLSKVRALVGAFETVMDNTSKDATAAATPRMLRNLSRRSA >Dexi5B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:5B:9116365:9116775:1 gene:Dexi5B01G0012870 transcript:Dexi5B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKITTTIALCLLLMTCGAEAFLCNRAKPADTAKGFRYLNTACALLSAMMAVAVVVAAAVVEHNQGSQCLHSQ >Dexi3A01G0028050.1:cds pep primary_assembly:Fonio_CM05836:3A:28260021:28263030:1 gene:Dexi3A01G0028050 transcript:Dexi3A01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLPNTAMSYLFKTRSEATQLKPASPEEQQQKIEDVRQLLGDLPTEMPSFLSDGTIRRFLWTKSWSTEQAAKALKEAVKWRRQFKPEKICWEDLPGIENEARRAYIPDYLDKNGHTVFVVMTSLKMVKHIIEPTLQEKLKFVYSDNSESQRIMADMFDMDKLESAFGGRNTASLDIIKYAERMRRRDQLRGASKKNINDNISSPNQE >Dexi1A01G0029910.1:cds pep primary_assembly:Fonio_CM05836:1A:35314357:35315112:-1 gene:Dexi1A01G0029910 transcript:Dexi1A01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRRQPRLHSAVNDVLSTLADASAALADVQHRLDLEFCAAYPDHANPAKLVARAKRVQEEVVALKELCRDLFTQKQELIDQIHVSLVAQRSMTQRLLAASGLPALSDADEAAHNSLNEVIDEWTAHGRATKRMRIKSSSQRSYRTA >Dexi9B01G0026370.1:cds pep primary_assembly:Fonio_CM05836:9B:28415731:28416633:-1 gene:Dexi9B01G0026370 transcript:Dexi9B01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAKSLADELLDQPTQEQQLQLDPHQQGTWPSPAGLSFLGDISKSYADGEPKQLSFSAREPEREISNGVSATAWGFGTPSPATMETKGSRRTTSILHEEHAISERKRREKMQIQFAALASIIPDIAKTDKVSLLGSTIDYVHHLRGRLKNLQEERYQSTGRNNTAESPPLDARCRIGGTDDDDKASTTIEVNVRGTTVLLRVVCQDKKGMLIMVLKELEKHGLSIISTNVLPLADTSSLNITVTAQVNHIYL >DexiUA01G0004090.1:cds pep primary_assembly:Fonio_CM05836:UA:8056845:8059941:-1 gene:DexiUA01G0004090 transcript:DexiUA01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDHGASPPCAELPADVLGEIAGLLHDAGDLVRFRAVCRPWREAAPPSRKPTSFLPWLVESWPSPDAPGVLVHSPLSTRKPRHLLMPLSALLYLDYTTKESLKDWQKEWFYAWNHQPQLPSRSGNPPYEARRSLYVSLPPLDPTNTEEAALLARCVDPGVRDQVRQHKQSATEESDELAAHVEQQASEGTHAKAEATSKGGERSKRPAPTEVQAPVPKRARTLPKPRARTIPEERTKIPPQPKTPSSVGISIGEIGTSRSQQGGEEEIIHNIFNPVSAPFVRTIPVVEEPCPAGPSTSEQEAEEEFTLGEPEIPMRPATMEEPPVDHAAVEPEATVPEEPRVMPETTLPVVQTAMPSNLPVPEGAQVEETAAEVLADIEHLVTQAVIEESELERRDQNSAEPPSVIETTQTRPEADEIARGVNRSQGNVQREE >Dexi6A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:6A:1913205:1913749:-1 gene:Dexi6A01G0002000 transcript:Dexi6A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLPSSPRTNEASIEDELQNLKVTVCSSSATIETMVDGLSTIGSIYSRINELICLASSQRGLRKAVEEELDHSLILLDLCSSMQESFAELKASVQEMQLALKRGDDVSVQAKVQSYTRLAKKAQKQCKKISTKASSDIEGCRVIKLLAEAREFALTMVESTLNLLSKQLF >Dexi7B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:7B:14824898:14825671:-1 gene:Dexi7B01G0007040 transcript:Dexi7B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHRDWILRRCCGAICACFLTLAALVGFIVLVIYLALHPSKPSFYLQDVQLRNIDLSDPAISLDVQVTVASRNPNDRVGVYYSTLDAFTTYRDEPVTVPVSLPAIYQGHKDSSVWSPVMSGDAVPVADYVAAAMKQDIAAGYVLLHVKLEGRVKWKVGSWVSGGYHLFVNCPALLATSGAAVGGAFASIAVAGVPAGVNTTVSLKFTHPTDCTVDV >Dexi5B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:5B:18236879:18240216:-1 gene:Dexi5B01G0017190 transcript:Dexi5B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLYLIVLATSSFATPATGDGETSPEIELEPFPICNATNPWHYYLPNSSFEANLAKISAAFPSVASANGGFAKGPVGAAPDTVYGLALCRGDTEGDSCRTCIEKAFQDAQSSCGYGKDVAVYHDRCHVRISDSDFLAPNTNEPTRDMWNPSNITEPSTFLGLEWDAEEDSESVALVIGGLVSAFLRETAKFAADNTPGRFATAAMDIGGLELYSMAQCTPDLLTPVCVQCLEDIIIRGTPASFKTRQGRRFLGVRCSFRYESYSFYEGKPMANCLTGRRLQLRDFAQIQVKVS >Dexi4A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:4A:9464544:9465962:-1 gene:Dexi4A01G0011410 transcript:Dexi4A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTASASGSPTGAPHVLVVPYPAQGHMQPLLHLASLLAARGLRLTVVATPATAHLLAPLLAAHPASSVRPLTFPSAADTSGPTSVGADFHAHAAALRDPLREWLLRSRSDSGESDRVVAVLSDFFCGWTQPLAAEAGVPRLVFAPSGALATAATHSLFRRMPRPPEGEAGRGYAVSFPSLPGAPAFLWRQISRMYRSFVEGGGDEHSKAIKDNFLWNLDSAAFVCNTCHPLEGKYLDAQPLDDLAGKRVWAVGPVAPPPAPEKTGQHDDVITAWLDAFPDSSVAYVSFGTMMVPPPPHAAALATALERSGTPFVWAAAGTTLPDGFEERATAGTKGMVLRGWAPQTAVLRHRAVGCFVTHCGWNSVLEAVAASVPMLAWPMAADQFFNARLVVEEARVAVAASWGGFGGVPDAEELVRALTEVVGEGGAGMRKRAKELSLMVAEAVDEGGSTRRELDGLVQELRGLGGWK >Dexi4A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:4A:24805403:24805660:-1 gene:Dexi4A01G0021130 transcript:Dexi4A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRGWRKEVMALSLRGYGYGNGEEDRPEKPRRYGVTEMRSPFYSLRPANQALQWVAPS >Dexi9B01G0023360.1:cds pep primary_assembly:Fonio_CM05836:9B:18473881:18477117:-1 gene:Dexi9B01G0023360 transcript:Dexi9B01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAPVQAALPRPVRFGILGCADIARKVSRALLMLPPGVATVAAVGSRSEEKARRFVAETGLPAARTHGSYESLLCDPGVEAVYLPLPTSLHVPWAMAAAARGKHLLLEKPTALCAADLDAILAACDASGVQFMDTTMWIHNPRTAKMREIIGDKDTFGDVRVVHSMCSFRASDDFLQNDIRVKPDLDALGVLGDIGWYCIRSILWAVDYELPEAVIAQRHPVKNQAGVLLACGATLYWADGKTATFNCSFLTNLAFDVSIYGTNGTIHVTDLVIPYEEKSGEFNVASKSNFVKPTIGWDPLPRKHVGFTDLPQEALMVQEFSRLVQNIRDAEGRPEGKWPTITRKTQVVLDAVNASIDKGYEPAEVAG >Dexi7A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:7A:23530899:23531660:-1 gene:Dexi7A01G0013450 transcript:Dexi7A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEYEETVGTCYLFSESEAEPKPPSDETTPSEGKMDKPDSSSKEAPSKEVNHLASVQKILKFRPINAEHPQHRAYQHDDLEI >Dexi5B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:5B:9985806:9989957:1 gene:Dexi5B01G0013760 transcript:Dexi5B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAMATAASAGMPPLIGPRRLRSHGFTVRCAAVAGGAAEAPAASTGARAMSADCVVVGGGISGLCTAQALATKHGVSDVLVTEARARPGGNITTVERPEEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGFGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPPRDPRLPKPKGQTVASFRKGLAMLPNAITSSLGSKVKLSWKLTSVTKSDGKGYVLVYETPEGVVSVEAKSVIMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKSESELVEAVDRDLRKMLINPRAADPLVLGVRVWPQAIPQFLVGHLDLLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDFLTKYAYK >Dexi6A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:6A:6069380:6070894:1 gene:Dexi6A01G0006330 transcript:Dexi6A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKWVGFGSAMAGFGLLWSRMPEHVHDEARYIISSLVPMLISYFNPYEQISIFEYGEERFRRNKMFDAVSTYLRSTCLDAASKLKAELGNRSRDDDPLISLDENQEVVDNFDGARIWWRLIPKSAKQRGPTVISFLPGDSNEQPRCYRLAFHKRHRKLVLDSYLPSVVRQWREVLTANRQRLLFTNYSREGKSYWIDVPYNPPATFNMIAMDHDKKAEIIEDLTAFREAKEYHSKVGKAWKRGYLLHGPPGTGKSTMIGAMANLLGYDVYDLDLTSIKDNAELRKLFLDTTDRSIIVIEDIDAIEVELTTNRKGGKEASEENNNNHQLVIELSDKNKDCGKVTLSGLLGFVDGLWSACGSERIFVFTTNHVDRLDPALTRRGRMDRHIEMSYCRFDAFKMLAKSYLDITEHSLFGEIGQLLNEVDTTPADVADNLMLRGSKRNCGEIDRLLDEMNGGPVDLMLRVKRRREADDCLAGLVENLKKAKMKSATPPMEDAKEE >Dexi2A01G0035190.1:cds pep primary_assembly:Fonio_CM05836:2A:44899025:44899570:-1 gene:Dexi2A01G0035190 transcript:Dexi2A01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGVVAGREAVAAAASWGRGLGKRVVAAARWVVGRAEVKEGAAGRAEGKDGVAGRPEGKDGVAGRAEGKDGVAGDGAVAAGVAAATPKVGKAEPGPRVEGPASRPKPDAAIGSARVAEHETLAAAALVAGVTERRGFEFEWEIEGRRSASRARVTDPWGLSGGEHLRGSAGIITRRYPH >Dexi1A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:1A:8808762:8814433:1 gene:Dexi1A01G0010210 transcript:Dexi1A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGEGEARGEDLMVMGPSRRGDLGGVRLVLLLLVGLACSNGGGRCSWAQATTLLPPQEVEALKGIANKLNKTDWDFSVDPCSGSGNWNKSDSDGLFISSVTCDCSFKNHTECHIIILELMRQNLTGVLPDEVVNLTYLQNLDLSRNFIQGTIPASWGDLPVYNLSLQGNRISGTLPKELGRMPMLKSIQLEGNQIEGPITPELGNISTLERFFISANNITGELPSTFARLTNMTDFRVDGNRISGKIPSFIKNWQSVNRIDMQGTLMSGPIPTEISLLKNLTELRVTDLNGPSMKFPPLQNALHLTEVVLRNCSIYGEIPSYLGLMQYLKVLDISFNKFTGQVPPTFEGMRALQYLYLTDNMLTGDLPAWMLKNEASNKVNMDISYNNFTGNPPSDCQQANVNMVSSFSTSNDNSLKSCLRKDLPCMGKSHYRSLFINCGGKSVEVNGTKYEDDSSQIGTSSFVLSDDRKWAYSSTGDFVGNENADYIARNTSKLNLAYPELYTEARLSPLSLKYYGLCMENGQYTVELHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLEDFNIKDEAGSVHRSIGKTFTTKITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTHILVQQTTTMDSLLEW >Dexi5A01G0023660.1:cds pep primary_assembly:Fonio_CM05836:5A:27625459:27629630:-1 gene:Dexi5A01G0023660 transcript:Dexi5A01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREGGEAKLPLLPPPAGDHSGDASKDSSWWRLWAREAGRAGYLALPMVVVSFAQYVVQVSSNMMVGHLPGVLPLSAAAMATSLANVTGFSLLIGMAGALETLCGQAYGAKQYHKLGVDTYRAVVTLLVVCIPCSLIWVFMDKILVLIGQDPLISHGAGRYMIWLIPGLFANALIQPVTKFLQTQSLIYPLLLTSLVTMVIHIPLCYAMVFKSGLGYTGAALAISISYWLNVAMLVGYIMFSSSCKETRTRPTIEAFRGVDAFLRLALPSALMICLEWWSFELLILLSGFLPNPELQTSVLSICLTSISLLFTIPYGIGAAGSTRVANELGAGNPDGARSAVRVVLSMAAMNAVIVSGALLAARRLVGLAYSNEEEVISFVTAMVPLICITVVTDCIQAVLSGVARGCGWQDLGAYVNLGSFYLLGIPMAILLGFVMDMGARGLWMGLVCGSLSQTTLLSAITFFTDWPKMAEKARERVFSEKAPEPGP >Dexi7B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:7B:10045388:10045671:1 gene:Dexi7B01G0004120 transcript:Dexi7B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNHGTAKAKDLRVLLPFTTDSLRIPDKLAKEVGAGSDGSAEVLIVGGHSKMWHVQVGSDGDGAFLV >Dexi8A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:8A:8647811:8652013:-1 gene:Dexi8A01G0007610 transcript:Dexi8A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLKPRFLRFPGGCFVQGGWLRNAFRWKETIGPWEERPGHFGDCWNYWTDDGLGYFEFLQLSEDLGAAPIWVFNSGMSFDNDEVDTAAIAPFVKDTLDSLEFARGSPNSSWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYNGNYLKFYNAIREAYPDIQLISNCDGSSGPLDHPADLYDFHVNFFLPCFVYADAKTLFSMKNTFDKAPRSGPKTVNIFLNMIWVQAFVSEYAVWKTDAGRGTLLASLAEAAFLTGLENNSDVVEMACHAPLFVNDDIEKKWNPDVIVFNTWQHYGTPSYWMHMLFRESSGAVLHPIAISSSSSGNNSLAASAITWQDSDNSSFLRVKASNFHACIGALYLKDYELTLISKAFVQIVNFESVAVRVTISTNGLEASIDVQRSTATVLTSSNVMDENSFSNPNKIVPVKSQLFEAAAHMQVTLAPHSFTSFDLALDSSKLGTLVGREDECLMSQL >Dexi7B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:7B:1908367:1910575:1 gene:Dexi7B01G0001020 transcript:Dexi7B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLPSFGSADSLEEQTAPVHGSKEATLKSGSNLACGTYDGASDHSCTLNLQSTGQSTLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSSSTEGYGDAEETEEYDEFDPYSFIKDLPDLSMVVPKFRPVLLPKQTRSCPRTTLVLDLDVAGTFVVLRSIHFVLLVDHICTIYVELAFLQLLAVLGGFGFESKIAFCLQAFGFQLDNGIPIESWFEDPNDTELLKLLPFLESLVGVEDVRPYIARKFNLRERVAAATSLTMDMQM >Dexi3B01G0037250.1:cds pep primary_assembly:Fonio_CM05836:3B:40052945:40058044:-1 gene:Dexi3B01G0037250 transcript:Dexi3B01G0037250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSKMPEPSPESDGEQRCLNSELWHACAGPLVSLPMVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLSPVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPMFSGGRTDEFARYSSLMWLRDGNRGAQSLNFQGLGVSPWLQPRIDYPLLGLKPDTYQQMAAAALEEIRGGDHLKQTSSLLPVQQAQNLNGGLDPLYGNPVLQQMQYQSQQSPLQAVQQGYGQNTGNSGFLQNQLQQLQLQKQELPPQQHQQTPLQQQSQQQMQQHLSSSCHGITNVASSMSESGSASQPDSSLLHGSSFYQQNMFEGNNGPGLHLHNSYNNFSSQETSNLLNLPQSGQLMASEGWPSKRLAVEPLAGVEAQSVHHKLEKASPQRNVSHISGTLPPLSAREGSSAQACGTNVQNHLLSSSFAIHDGMTAIRNGGVGSGTDAITIASLRYGDVDLLPENSLATSSCLGESGTFNSLDDVCGVNPSQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRGGDDLLSTPGAAMLQSNACDDYSASHNMQNLSGSIAPVVPLDY >Dexi9A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:9A:7534210:7539049:-1 gene:Dexi9A01G0011860 transcript:Dexi9A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDSFLRPPAPSYAAGASARKGTTTPTGGDTAASNLTSSSGSSTSRTLSPNDNFLKQVNAAIKRQRPLVLSNVPRATRVLVSRADNTNKAGPSPSETKNSEGKVMQPQRSLLGPSRPQNATPDEQKNAGTSKLGSSTTDELKHITFYTGGQIDDQNYQHKNEISGYASPVQRPESAVPESSKGVLGHGPQKERTGVTGIGDWNPLDQQDRLGNGATDKAVSSIGSLRSEVLPANDQSTSARDGGAPRPNKGEKERHKKNYDPNVFFKQMLEAVNTIHDERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFANYKSFWAKYKEVTDRNHKIIYEPVDNPWLIDLMQRCLAWDRNERWRIPQLLEHPFLNPLVPRELPAVDHDPCRLLVERIRVHWDNPVVQKLRSEIEKLDEYHC >Dexi9A01G0027710.1:cds pep primary_assembly:Fonio_CM05836:9A:32378837:32384314:1 gene:Dexi9A01G0027710 transcript:Dexi9A01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDLGVSAFINILGAFAFLLLFAALRIQPVNDRVYFPKLYLAGKRAHDHSSRSAVRRFVNLNLCTYLTFLSWVPGALRMTEPELIAHAGLDSAVYLRIYTLGLKIFLPITALALLVLIPVNVSGGTLLDLRKQVVFSDIDKLSISNVNPGSNRFFIHLLMAYVFTFWVCFMLYKEYSNVAFMRLHFLASQKRCADQFTVSTLTTGSIGFNILLQFQVIVRNIPRVSSHSTSETVDEFFRRNHPDHYLGQQVHPVYNANRFAKLVKKKERLQNWLDYYQLKFERHPEKRPTRRTGFLGFCGREVDQIDYYRARISELERKMAAERQKVLNDPKAIMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRRFLISVAVFALVFFYMIPIAFVQSLANLEGLEKVAPFLKPVIEVKVVKSFLQGFLPGLALKIFLYILPTVLLIMSKVEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYAFLHQPPTQIPRTIGVAIPMKATFFMTYIMVDGWAALPRLVYCWFQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTKEAAFSTPLLIFLPLLTIWFHNYCKSRFEPAFRKYPLEEAMEKDNMEHASEPNLNLKSFLANAYLHPIFHLFEEAPKEEEETVEVRIDKAQKEHQQHRRQEETHVRSSTQYHEESHFRSTHETHYHHEESQFSRSTQYHEGIHVRSDTDSPSPPHFVYHYDIQP >Dexi2A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:2A:1126272:1130548:-1 gene:Dexi2A01G0001650 transcript:Dexi2A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRPPPPLMGELVEEILLRFSPGDPASLVRAALVCRLWRRIVSGRRFLDFHRRAPPPEGAPLRRRRGRAPLRPLVSFPRGRAFRHTNRRAIDARHGRVLLRGMVWEGDDILDGELAVWDPVTGEERTLPPLPRNTFPDSWNAAVLCAAHPSGACDHVDCHRGPFLVVAVDTEAGDKFVHVYSSEARSWSEQIVPFPSGSVSWLWPSVLVGNWLCFMLRPRRSILKYNVGTGEMCVIDLPPAFYKPDVLITMEGGRLGFAVVHMSPKDRVMFGSGQIPSSPKIGSWPAMSLDCLGRSRPSVRSIDGQENAGEVA >Dexi1B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:1B:3001969:3003264:-1 gene:Dexi1B01G0003790 transcript:Dexi1B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCHPTRLWVGEAGDDDDQELISLAVLHQNTVVQDCDHVAVYGFSFSAGEKFPFSAEPSISTIPLLEVKSNC >Dexi5B01G0030590.1:cds pep primary_assembly:Fonio_CM05836:5B:31511854:31514592:1 gene:Dexi5B01G0030590 transcript:Dexi5B01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEQMDSPVADDGSSGGGSPHRGSGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAAHLSAVSISNLLGQSFASKNCKFMDQVDQAGILKQSGCVLPALSDTIDGVLSSVDQFSNDSEKLKQALVFDYLNEANASSKGIAPFGVALSGSHAFLNGNFSASRPINGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELVDPYLHSPVATPSPKSECASPRNSGLLEELLHEAQALRSGKNHLPSVRSSSSSAAQSPSMGSGEQAVEPKQDTAGSSLPENVRPDALISGNTADPSTFNNAIAILLGNDTNAKCKSVRGDGIAFGSSSWSNMPRASEMSEFK >Dexi5A01G0033290.1:cds pep primary_assembly:Fonio_CM05836:5A:35617091:35617860:1 gene:Dexi5A01G0033290 transcript:Dexi5A01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRLSSSSCSSTALQSHACTGKPAFRGARCWKKREKLPGCRARAAVIPPCAAPGKGGIVPAGDDDDDGVNLGTVKLPGNIDIARFESLLFQWGNSLCQGANLPLPVPLKVDKVEGGIRLGFIAVDDGATQTLVYIDCLVSQAPDGTGLVFRAIRNGPMKDKEPPGEPRIMRSLLQALQKSIQIAQV >Dexi4A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:4A:5257882:5258250:1 gene:Dexi4A01G0007130 transcript:Dexi4A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATWVCFRDGTRRPPRRIHPDKRQRLEPVSDCPEPRAQAHSDDPIHAKESPITQLTRMDRLWSRLSQGGRTCSPGLDRLDVDGKAGPGRNARKKNREHGRWLQLRLMTSPRRRRVKVNPSA >Dexi5A01G0029530.1:cds pep primary_assembly:Fonio_CM05836:5A:32603935:32612421:1 gene:Dexi5A01G0029530 transcript:Dexi5A01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPVPAPAPKAGPSLVDTLFQRSLDDLVKSLRADPSATGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFAPVASDQLAFPAIELLASPSLPHKRLAYLAASVSLHPASLSLLPLATHQLHKDLSPSASSAAAHRQVSALALQLLGSPPAAAAPDLAVHLAHDLVPHLSRGNPRAIAAAGRVIAASPSAAVPVLFKPLAACLTSPDPRASTAAVAAFCDLSAPPADAAPFLPLAPDLYNLLTTSRSNWALIKVLKLFARLAPLESRLAARIVDPVCQLLTRSAAMSLTFECIRTVLTALPAHEAAVRVAIGKVKELLAADDDPNLRYLGLLALGMLGPAHAMTMNGCRDVIAQSLGDADSNIRWEALHLMMGMIDENNIMDIAAMLVSHAAKSDPEFTNDILGAVLAACGRNVYELVADFDWYASLLVDMARTLHCTQGDEIGRQLVDVGLRVQDARPELVHSARTLLIDPALLGNHFLFPVLSAAAWISGEYVGLTKNPVEIVEALLQPRTSLLSMSVRAVYIHAVFKVLTFCFSVFVEKLGDPSKEVDVIFDESAIDQTVSGENIALGSAQEQGIRSNTLRKDPFSPESILYMINLVETTVGPLVECNEVEVQERARNLIGFVHLVRDIQELNKRKVTDDDKQSRAKLFVQTMRTVFCQELGPVSVIAQMKIAAPDGVDLNENLAELADIVSEDDTAPSTSIFFYPHSRDSVQTRDEPAVSIASSSLSEHRKRHGLFYLPTEKTEDELSDYPHANDTLPSCTNETVNDEKSKTVEPVFAGKKSKAMKSRPKVVKLEGEDFLSSMMATANIPKEDPLSGALHGVLLGRDAKISSLQKDLDVNSEGVLSKMGTYESSSERIENFGTHHPSSSRKNTQENHEEKAVTGTEKTHLSAKTVVIRCSKDDKEILKMVNTMVANGVSLKQINVTFYEDIAKKLMVEIIRSREEQEKEFSIFEKTLEENPEWVDDSSYAGSDSDSEWEDEDGEESDGEQ >Dexi5A01G0038700.1:cds pep primary_assembly:Fonio_CM05836:5A:39586085:39588827:-1 gene:Dexi5A01G0038700 transcript:Dexi5A01G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLKSLSMDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGASADQPGGALLLDHLSPRSPSGGASASSPRGSSSSAAAPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSSMSRRSPFLPAHHQHPHHHMAEASSGGDLAADDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVEGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDGHHHHHTAAAGGVNAAAPPPATMYGEPSGGGGAAVYNATGGTSSSMVNLSLDNRMVYLNLGMDAQFGKMNDGGDGDDGGGSRGPSSLFSPHGYH >Dexi1B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:1B:23478001:23479718:1 gene:Dexi1B01G0017090 transcript:Dexi1B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTSAPAAGEKPHAVCVPFPAQGHVTPMMKLAKILHTRGFHVTFVNSEYNHRRLVRSRGPSAVAGLPGFRFATIPDGLPESDADATQDTASLCYSTMTTCLPHFRALLADLNHRAADGVPPVSCVVADGCLTFSVDAAAELGVPCALLWTASACGALAYHHLCLFIDKGIVPLKDAEQLTNGFLDTRVEWVRGMSKHMRLRDYPSFLRTTDPGDKMLEYGLHEMEHSKDATAVVYNTFDELEQPALDALRAHFTPASYTIGPLNLLAAEHEQLVPSLDTLVSNLWKEDRACLQWLDAREPRSVVYVNYGSITVMTNQQLLEFAWGLAGSGHAFLWVIRPDLVVAASTADADADAVLPAEFVEETRERGLLASWCPQEAVLRHEAVGVFLTHSGWNSTLESLSAGVPMLSWPFFSEQLTNCLYKCAEWGVAMEVGDDVRRGVVERRIREAIGGEKGMEKRERALEWKEAAARATRPGASSFANLDRLIDDVLLLKSSYKFCNPQ >Dexi6A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:6A:11757136:11762434:1 gene:Dexi6A01G0009840 transcript:Dexi6A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTGAGPPVVNVYHEKSMILPDVSRVLTCLYEKNVKFETIKASYKDILGLQASRSVPVPFYDGPVFLQETYEHQGYPFLLGKDVLERASIEQWLRNEEHAFEPPSRALFCHIAFPLHDEEDNNNEDINREKRKLEEVLEVYEQRLGESDYLAGNKFTLADLVHLPGTHHVITSERFAYLYDSRKNVQKWWNRISARDSWQQVLKDMRTVEEEHRKEEDEKQQQWQTEHSPQFGVRDIYISHQQQEGTKSQTVLVAPPSTGAIITSMPPAPQEHEKNSDHKPSSPNQRNQGGSFTTTEKPQPLSRQTESTTQKPPSSVQSTKSSFLTQPFTPTTATTQQRTDAEKPSHKEAPDKPHLTDFFKVSDHKDEAGSLAKPSPQASSKIPGARQTSEAVAPDKTSSGSDKSPHRITEPDYSESDNKPLVVRPQVDKPDTQKPQTSYGKPPEQRLTDTSVGPEAGEKQKNALSIPYARGETTKQSTYMGAPAYAKRPAQANQDQAPSSRAKEVQSGGQDAKKQAKVLPADQKVPGTSPLQVESEESTQDGMHEDERFSTKRLRRMFNPDAPDSQDPNMEEEAPAIHITPSDKHDREKQTTTGPTNKMNSSPLTDTKAPYIPETADERGAISPPKGVTYNDRPTAGPEETPPIKRVPSAPPSTDKMAKTEDANMRAPQQTPTDTRSDSALVQGADPRARVTMGGKAPEETRKASDSQRDSTSIQEAVRDAPEKQAPVGQGIPGVQHTDYRDATKRSVIDKRTAVPTSGSQQITEPGGFSPTSARSPGDKSTRAATTDPNAALPAVVRAPASGGQNASAVLHEENLDANGKNEAAKPSPVDPRSMVPTTPGRIASGADTQFRDSSGQLSKPSPLVSSLSDTRNEKTGIADNNQTNVISSNDKLGGQATRNAGAAPSVPPPVNSPEDNNKTYKEEAAIQELQRDQSRAQIAENKRQSDDAAPTTRIGKRNDEDSLANASGSNTGRAQGTTNYASSKLQIQSHQNKPKPSNGARQTKDTTNSPSLSTSKEVLPSPLEKSKEEQQLKGDRSGMSLQDNVKQGYEAAPLGSGTVQQKKDLSTNADKNYEKTSEGNPEEKNAL >Dexi3A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:3A:1678252:1680606:-1 gene:Dexi3A01G0002480 transcript:Dexi3A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGGSVESHRLFLARRTALEMLRDRGYSVPEADLARTLPEFRAWWGDNPELERLAFSTTHATDPSNKITELLVKITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDSELTGNHVTYRCIF >Dexi9B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:9B:3133763:3135474:-1 gene:Dexi9B01G0005370 transcript:Dexi9B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATMKKQPSARSPMALVLLLSLCSSSLALMASDERSGSGSGRPYHYGEERFRQWTTRSRQGRFRVLERFTHELLEDAVGNYRVAELEAAPRAFLQPSHYDADEVMFVREGEGVVALLSRGKRESFCVREGDVLVIPAGAVVYSANTHADKWFRVVMLLNPVSTAGRFEEFFPIGGENPESFFRVFSDEVLQAAFNTRREDLEQVFEKQSKGEITTASEEQIRELSKSCSSGHRGGGGSSESGSSMWDIKPSSLTSKRPRHSNSHGRHHELTGDDCPQLRALDIEVGLANITRGSMMAPSYSTHANKLAVVVEGSGYFEMACPHLSSGSGRSSRSQRLGRGHGSREEEEEEAGPPGRKEEQEQEGGQKSRGYKQVRSRIKEGSVIVIPAGHPTTLVAGEDENLAVLCFGVNARHDEKVFLAGSNGVLRQMDDAAKALAFGAQKEKVDRVIGAQSDAVFFRGPNSRRISSV >Dexi9B01G0021560.1:cds pep primary_assembly:Fonio_CM05836:9B:16257975:16258287:1 gene:Dexi9B01G0021560 transcript:Dexi9B01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSGSLQRGTWSSVTAGSTPPTPGTMASAAAGDGGERGSMEMGGAGGRRDQVVNWRRRKRRRGAEGEARRGQAVEPAVDARRWTRTGRAGRVVYA >Dexi3B01G0024440.1:cds pep primary_assembly:Fonio_CM05836:3B:19075999:19083391:-1 gene:Dexi3B01G0024440 transcript:Dexi3B01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDCCPGSFDLGFRRAGHQVFDRLPDTKRNHFPPTPPPPHTPGARFAEMEGLIPLLYKAIKERRSNAAVGGGDRAYYHAAGDGLPAASAPVDLEDPEQRRRWLNQELRSPVHPAAAASSSSAPPAAEGPLLHHRRNLSLEELAGEVGLSHDRRLRVPLPKARSVRAFACIGAA >Dexi1B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:1B:26467860:26471944:-1 gene:Dexi1B01G0020370 transcript:Dexi1B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAKDEKEEGSSLGASTLEKRWELLKELRFEVVDVDLLPALQRRIASFDDEEPDGGVTFSFSVPDVKIHLDFIDIDAETTITYPAEVAESIYQVENIPVKHDDDENCPYAKDRYCLEIAGLGHGLIIPQLEISMNSWELDECPAKTAISNIFLSIAQNLNDEAQVRLPSLVSTDFLGSRDMDMLAFCEDAPHVEDKVDKPITAKDVAEMDLVRINDNILLDKKSALYPLKPDGTCSDLPCSVLLEEVEIINFPSDNAFKMLVQSEKAEMNTSDEIFKYDFDQARRFYESLISSELALVDDTFKSLPTPMLTDDKAVRSIIPPIEEVLCSLKPLPLSAADGIYLDWHLLLEGPCSRESCCTHANMVEEVKPCSLSPELQISCQQTPALGIDFLEDFHRSAKLQHEGKQNEIYVPEPTSHDPSAKLEIAQKYKQESNVTGHSHMEKSSERASSLFESMSQSNVLNYYLNVKNGTDKLRNNEDVSTSGIPHPKQQPVPFRIRQKVDKLIEIHPVSLSDLIRGLIKDIYVNYTSALQDSTYFRHSFSDGQGLSISKQKLLELITGEGSEDLYAHCKNEDKMELIVLYALKQVAYYLCFFGLHAAYLYIGNLAGSFENIPERLRNIQCSIGEAWLKYEKQLFESHPALSDIEAILRSNTQIGQKILIVSDRAFWLSLGRKLTAMKMTSVEVGSYPCATYSDPNIKTDSKTLMLQELWKSDCILLDNKNIPASFPFSEFCMILEYGGPNKSSTLLSLSPKLDGLPPLHFLYVTINGEGFPIALVEDKHTDKDLKSTLVSVTLLHLN >Dexi4A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:4A:10525055:10527980:-1 gene:Dexi4A01G0012090 transcript:Dexi4A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSPATASLLLRSLLLKPRGFSSSAAAAPAATKGADDGKLVASVLFERLPVVIPKIDPVVYAFQEFSFRWRQQYRRQYPEEVLGKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDNKLYLLLYGNAYGAPDGKPVWHFPEKVYENEETMRLCAESALKSILGGLDNTYFVGNAPMAHMAVEQTDSSVSSFKRFFFKSQVVGTTKYHIGKCKDYAWVTKDELLEYFPENKEFFNKMIIHIR >Dexi1A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:1A:766375:766886:-1 gene:Dexi1A01G0001210 transcript:Dexi1A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIIATAGDFMQDLKTSYLTLTSPRSMFIAQAIGTAIGCIINPIIFCVFYNCYKSTGTYPAPFARVYRVIALVGAGGFKELPKYSIALSIPFFVVAIAVSAIRDVAVHKNWRIQYYIPSIAAMSVGFLFNTGGVEQGRRRKCKASCTGCCIRADMW >Dexi2B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:2B:1493481:1495696:-1 gene:Dexi2B01G0001960 transcript:Dexi2B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEPVSPVERLMKDLYVVAAIGLATPLNAAVFRAGIQAQLARHPYFSSIQVTDKHGGTPRWTRTAVNVDDHIVVVSNLAGAEEDDDPDKAVEDYLSSLSTLPMDHTRPPWEFHFLDVKTSEAASTVALRVHHALADGMSLITLLVSASRSAADPALPATAPPPPARRKGAIYAPPSSASFVWSVWSYLVVAWHTVVDVVTFVATIFFLRDPDTLFKRDDHGEPHRQRMRFVHRSLSLDDVKFVKNAMNCMDVDKIKSGKSNAALTVHYQSYSNSIKVILAVDEAQFLNSRQLLDDFAESLNLTKEAAAKTPTKSIKDE >Dexi5B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:5B:21168609:21172451:-1 gene:Dexi5B01G0018780 transcript:Dexi5B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETETEQAADVPRPDLTSCRGNESLLIKTLPTTSAPRLRPHHLPDLICDDLPSPPFRSRGAPPPPLSEVFSSPGSEPEPAPAAKMYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCETLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQANEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGAMEKYPPYQSIFSRISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >Dexi6A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:6A:879140:879352:-1 gene:Dexi6A01G0001020 transcript:Dexi6A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDGKRELVTRMLGNARCEALCVDGTPRLCHIRGKMHKKV >Dexi3A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:3A:10991608:10996579:1 gene:Dexi3A01G0014940 transcript:Dexi3A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRPARTDHRGRQPIVCAKIKYALSPVRLLSFFPPSRHRRGRVPGGLGPCLPERVQHGGRRRLPPSSLPTPILAAPRCCAPEMAPSGEPGGEDLLKRAFETHSQDAVGFLILAKTNLGDQAYKQLIKTVQEIVKQSSCTEGGITVKECEEILSEVFAGQSHVLKGFQHLLEGRNPFHDHDSPQDLEAAQSFLVNVKRSCVCDEDYNDLLSALSQATVTKKVEVEEIYAKVKRAMHQCPEFIEMFETYLPDHLRMTLPNQQSCRSPETSSADKAVVCCTPDANHSWDGTGESFLAQEHDGDKTDPLPDWSPSRENELPLKCLTLKSSYRTELGRSIFNDTLVSATSGREDCFKFRTKNHYEENIFKCEDDMFESDMLLHRYKATADFIGNLLQDHVDSAMRIQEHLTPLHRRCIEQLYDEHGLDMLDALWEKIDTSTVLAILHSRLNQKIEELSEARLSLIKTCSNNISNNYHRSLDHRSSSFKQLDKRRMRPKALLAEARDINMAMLKSHDKHLSSACNNQSSLIPENASEDTNRGRYLVNMSSKPASIHDDCQTDIEDGEFIPDVGNVQLGSRIGPGNTAESCDVAVPNEDDCDHCDKSDVRHESREGNVEMGNLAYPKRTDELGDVKGIIPCCSLAVLLRLHQIVYERLLEAKNLSRESRTCGVYEGFMEELFSLLTGSTNSSNFENYCLTVLGPKSYVLFTLHEVIGRLIKQLCKICPGAEHNSLLQPHETVLEPDPSVDLSHHQNARRY >Dexi1A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:1A:27312313:27323285:1 gene:Dexi1A01G0020500 transcript:Dexi1A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSVRPLIIMANAGGATWRSTVHGEGQARKVMLMDRGYRAESWVARMVHGRARVHGEDGVGQVKKDGDGERVKELEGAVALEGGRIRIYTEGLTRVSRGFPQNHHGGRFERFGPQNRGFITACGVGWKGFGGLATKPPRQRLFRPLRTRGIIAKLVSRRSEVVKTPALGERSSTREKCSWPQARGTACRLARQQARRSVERSAHRGQGVRLGSWARSLGIARSQPTLKQQLDASSVRSAQQPCTRQPQASCVSRSASRPVQQADEEHGEVSRLPDDNTSTYMYELNSRSNLPMHTHADSTGTRVGTGLALTAHCGGQPIPGVTDAKALLLNYNHLSGPLPANLGLSKLSYLAVANNKLTGPIPSSIEHLQDSLFELLLLNNHLSGCLPHELGMLHKATVIDAGMNQLTGPIPASFSCLSSVEQLNLASNLLYGQVPDALCKLTGPAGRLANLTLSGNYFTSVGPACAALIKDGAMDVKNNCIPGLANQRRLAECAAFQSQQPKTCPAASTPVTCPAAAATSAVAPGERKAAREYSYSSYVTRVGMQVETEWRDGENSRRNIGPMPYATSIRDFLNDRGRGRHLGSPAGLGNKLSGNRVYDEHWFPAPDGTRFRYVANLSLSVCHAAGAHCSGPTWRHSCNSNAPGYHYKRIEHSSLSGCLKTRAVPHPTPPLPSPLLSSFLLLLPPLFFSSLVPVPLPLLFFLCHERGRFGNLYRLGTFRRMKRRSYGDKLWDPGADKHTVGSSGGFQLGPMARLAAAG >Dexi2B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:2B:25376766:25381331:-1 gene:Dexi2B01G0015400 transcript:Dexi2B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHLLLPSPKPLLPPAAAAAQRRAVAVRAAVSTASTPVKAAAGAEAVRSIRARQIVDSRGNPTVEVDLVSGDGRLHRSAVPSGASTGIYEALELRDGDKSVYGGKGVLQAVRNINEVIAPKLVGVDVRNQNDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQELAGIKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGAASFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLGDLYRDFVKDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIDKKACNALLLKVNQIGSVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >Dexi2B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:2B:593394:600441:1 gene:Dexi2B01G0000990 transcript:Dexi2B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGPPPPPATALMEELVEEVLLRFPPADPSRLVDAALVCRRWCALVAGPGFRRRFRELHRAPPLLGLLRNDVPGARFLPSSAFRPPGLPAGGLLRGWRALDARHGRHWECPREVRGQVRVPKAEKKSIRRIRKGESCPKVLSTYLPGEREPQMDFLLSAVLGELTSRSINFFISKLSKPSALDVEDRLLMVLLRARVIIEEAMGRQITNQAMLQQLDMLRDTMCRGYYLLDTFRYQSHKEEAKDQIVSRPFLLSKVNSVKDFCFPSTNGTQILEEMLEVFENLRSMILDANELVLFLPSYTRMYRQPYSMHLLLGNCIFGRQMEAQHVINFLLHTPPPGGEGLEVLPIVGPCGVGKSTLVAHVCKDERVCDYFSKIGFLSVRDFRDEKLTTLREGCAMKYRNSVSNKGGKVLVVIELAGDFKEGDWKRLCSASKPWLASGSKIIITSRSDEIKKLGTTQALTLKYLPYEAYWYYTRTLAFGGVDPEMYPRLAHLAMEIARTYKRTFIGANIIACVLRDNIDIHFWCKVATLLRGIVQKNVSRFGEHPNDRLRRLDQKNVSRFGEHPNDRLRRLDKSTPTALGRLATPSEDLMIYRQHECSSQEEVPNIKIQDVMFGSIKPHGKFEALAWKSPIPPYHSFVFTCEIQELKATATKRKRSVIDGPSALDVEDRLGMVLLRARVIIEEAMGRQITNQAMLQQLDILSDTMYRGYYLLDTFRYQSHKEEAKDQFVSHPSLLSKVNSVKGFFFPSGKGTQILEEMLDVFDNLRSMILDANELVLFLPSYTRMYRQPYSMHLLLGNCMFGCQMEAQYVINFLLHSPPPGDEGLEVLPIVGPFRVGKSTLVAHVCKDERVCDYFSKIGFLSDRDFRDEKITTLREGCAVKQRNSVSNKGGRVLIVIELAGDFNEGDWKRLYSASKSCLASGSKIIITSHSDEIKKLATTQALTLKFLPYEAYWYYTRTLAFGSVDPETYPRLAHLVMEIARTNERTFIGANVTACVLRDNFDIHFWCKVAALLRGIVQKNFSRFGEHPNDLLHQSRPTPLGILATPSEDLMIYSHRECSSQEEVPKMKIRDVMFGSIKPHGKFEALAWNSPIPPYHSSVFTCEIQELKATATKRKRSMIDGVRYC >Dexi6B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:6B:320957:322084:1 gene:Dexi6B01G0000450 transcript:Dexi6B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKRRRNDNQNQRAGGGDNKRRPHKHLYLVLNDWEKGFSIHKIDPDTFDSDDEDQHSGAASHLPDPPSVRLEIPIGDDDEDMSDTSFAAMGTKIFAFTNHRCGLVYDVETAALSIGAHAPDQMACGSGVFMAAGDVLYALTHRDFNDLEQPHSFEAMSWAPAAAAASSDPMRQQPTRGWSWKTLPSPAFHSHVHSYALHPDGHTIFMTSSDDSHRMCTYSFDTIDSAWRSHGEWALPFRGQGHFDSELDAWVGLHREGYVCTCQVISPDFHSTAPCFYPDCKMTKEKLFRNMSSKRHMSVSLTRMGTTEFCLVECVAAKKEEKRAKYNGCVIHLTIFGLKYNHRGELQIKDHQSSRSFVVPSDRFHFDPQAFWM >Dexi2A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:2A:29219229:29222494:1 gene:Dexi2A01G0017390 transcript:Dexi2A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLPFAALLLLWWHSSCSQGKGGEFISPSHRTPPPHLSTNRHTHNDIYWVTGCGDGRSNLRDSTVVALQSPLPGVPSQTRDATFSKFSICLPFAVSRRRQEQQRHALSSPLYPDSRDQRLASRPRRRSPSAPMATAVGSRRLHGLATLPFAIHALIAAAATVQHLRQIHGHLLTSGRIDSLGPALLRRIISLPSPHLHLPLAHRLLLSLPSPTLDLFNLLLPPLASSPDPSAAACLFVRLRRGGLRPDAHTLPHVLKALARLAPGSLPLVAATHVEAIKSGLARAVVYVPNALVSAYSACGHLGRAMQVFDEMSGRTVVSWNTALTACADNDRHERCAGMFVEMVEAGFEPDQTTFVVMLSAAAELGNLVLGKWAHGQVLNRRLDMTLQLGTAAVNMYAKCGAVIYASRLFERMTVRNVWTWSAMIVGFSQNGMAREALELFNKMRDASIAPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIKPMMTHYSAMVDVLGRNGRLQEAYDFVMDMPVKHDPVVWRTLLSACQLHSSKDCIDIVDKVQKKLLELEPRRSGNYVMVANIYSDIGSWDKAAMARRVMREGGMKKMAGESCVEIGGQIQRFISGDDSCPGFDGACRILHELNINMRQWEPVDKILFADADI >Dexi1A01G0028490.1:cds pep primary_assembly:Fonio_CM05836:1A:34150637:34151815:1 gene:Dexi1A01G0028490 transcript:Dexi1A01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWYVPNFQSPPRELGSLLSDSPLFLGFWSEIVVVSTLMVVVLNRAFNMQPAMELHHHHHQLEKLLLPLPVGGSVELP >Dexi9A01G0026420.1:cds pep primary_assembly:Fonio_CM05836:9A:29354409:29355442:1 gene:Dexi9A01G0026420 transcript:Dexi9A01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPRGLLAGICAVLAATVLVHAAEPEATSMVVGLAKCADCTRKNMKAEAAFDGLKVAVKCKNADGTFETKAVGKVDKSGAFSIPLTADLLREDGELKQDCFAQLHSATNQPCPGQEPSWIARPSTDTDKKTTFVAVAGKMHYASKECASAFLCDHLHKKHITIPLPHFHKKPVPEHKSPTPVPVYHAPVPETPVPVYHSPVPEYKTPTPEHAHPTPIYHPPADQKTQNPETDPEKFKKLLPFVKKFFPKFGKFPPAADQKTENPETDPEKFKKLLPFIKKFFPKFGKFPPAKKEIKA >Dexi5A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:5A:262512:263385:1 gene:Dexi5A01G0000380 transcript:Dexi5A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKLQLQPQKQQQVEARRSCVPENDVGIMGQHLAKASLAAGHPTALLVRPANAADPSKLKLLEALK >Dexi1A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:1A:1249906:1252634:1 gene:Dexi1A01G0001870 transcript:Dexi1A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAREAPPRPVADGFLRFLIPAPKPRPAAEPTAPARLVPPHRLVAPPPAIPVLRPEERLHIVPPTRPDWLPPPAPRPPPPPPRSHAPAAGAGVRPARPFPQAFDEPRPRNAGHFGGHVNGARGRSPGAFPRNNGGRSGSHKPKAAAAAAAAVRKEKKAWVAVEKKGEDAGDESQAAMSEGYSGGDEAGTEAEDQLEPEGEHDTGGHPHGLDHEDDAKDSLAMASNQECSDAGDVERPSEQVMSQSNQVQHQRGRTSRWQVECRHDIDTFTPGFLALCESLKPSEEHKAKQQQLVDSLTKSVSKEWPDARLHLYGSSANSFGTSHSDVDVCLEMEIGTESTVEILLKLADVLRTDSFDNVEAITSARVPIVRMSDPESGFSCDICINNLLAVANTKLLKDYAQIDQRLLQLAFLVKHWAKLRGVNETYRGTLSSYAYVLMCIHFLQLREPKILPCLQAMEHTYTMNVDGTECAYFDKVHQLQDFGAENKESIAELLWAFFHYWAFHHNYKRDIISVRIGNTIRKQEKNWTTRIGNDRHLMCIEDPFETDHDLGRGVDRQTIRILREELERAATMLQYDDDPCVTLFEPYDYEN >Dexi1B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:1B:4161243:4164993:1 gene:Dexi1B01G0005080 transcript:Dexi1B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGSSDPSGDLRDPLVRENRLGDAFLNAEKTCEKLDVEAAKRGGVDKELLREANFLKSCGTISQTPPEILEVSIPASSEDTKEDPLVWENCLGDAFLTDEKSEKLDVEVAKGGGVDEELLGEHTDTSTIVQVVKEAKLLEGGLSEVSKSDEPDTLIHEQNIDEGILMVESGSRTSSLPQDNPSLENSKDQKIDSSDSPYPTPLVLRGDIQTPGTVYTACMGSSKPGKRARASRQFIYPVLRPIENKLQRMELKAESPVVASNPPKRRNISADFSEKPQQIFAAATATQNELPKSESFPFYDNAEQGEVMSPEEKEPRGQNVKQQLFEGGELSNQDSECGKHGASSLSYWLKPSSADDESRSDNNTEDSFGKEPRYDKSVFDVPIFPVSGFYWDSDNPTPVLPKAWDGNGIPNTTTKYKEDQKVNWHATPFEERLMKVLSDEKPCHERYVAESFTTSAL >Dexi7A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:7A:24529166:24532950:-1 gene:Dexi7A01G0014540 transcript:Dexi7A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGRSSVRLLVGLLLLRSDWCLAVNSGGPAEVSGAFPLVVSTWPFREAVRAAWEVVSASDGGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMDHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWRNWRQNHCQPNFWKNVAPAGSCGPYRPISVAQALDSVKREVEGSQGGACQEWFQSDNLLEPINSHFKFIDRHNHDTISMAVIDKMGHIAVGTSTNGGPYTADDHNQSVLAPSVVGHLGKPQDLFLVKYAPSFLSILLSPLSLRRVGDGPIPGSSSYGDDEVGACGASGDGDIMMRFLPCYQVVESMRRGMEPQDAARDAISRIARKYPDFVGAIFAVNRKGVHAGACHGWTFQYSVRNSSMHDVEVITIYP >DexiUA01G0001350.1:cds pep primary_assembly:Fonio_CM05836:UA:3893364:3899292:-1 gene:DexiUA01G0001350 transcript:DexiUA01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAGAIVPTAGGGSAWGNGGPRFGDMVWGKVKSHPWWPGHIYSVFLTDDDEVLRGRREGLVLVAFFGDSSYGWFEPHELVPFEDHFAEKAAQGGSSRSSFAAAVAEAVDEVARRAALALLCPCRNPDAFRPNPGNGNFFSVDVPGFDTDADYHPDQIQAARDRFVPRMALDFLLDAGVKQRDAAEAAARTVPGMELAGLFMAYRRTVFAPRDNTYAEAFGVDPEKALEAEKQAAADRAQRARPLKGGLRKTPEQAAPTPGRRRGGAGGAAARLMEKIVPGASAMKAKTSKKDQYLLKRRDTPEPARRTPPPQLPDVPPPAPAPAPLALDEGPPGFRSGDPPTPPLPGSSLTEEEEFMLQRRAPLLDVTPAAQATEVGAAAPKKATKAKKPRKREREEAADADPAAVGDGEPKKKKKKKKLTGLEGEAPSPAAAAGSRKAAGFAAPNVTDPNGLDLTQVISDVRNLPLAPFHGAERRISDAARSFVLAFRSKYYKKSYENDPPEESKKTLVRPGATAAAAAADGQPVKKKKLPVVRPGAGNDPTKAGVKRAPSDRQEELAVKKKAKLDKIKTLSSEKKAAGLEQLRDSTASPAAQQQQARAGAKEKSELAPAKKKEPAPSPRIRTPSPTALMMKFPIKSTLPSVASLKARFARFGPLDIDGIRVYWKSHMCRVIYRFRSDAEAALRYAKANAMFGQVDTQYHLREVESAAGREPPAPEAAPPQRSELRLMETAPFRPGSSGNGAPLPMSRAMPARPATGQPPKSILKKSTDDGTAGSSRDAAPRVKFMLDGVDSKLEPPRVTGIGGNGADIASPGGKVTKSVGFAAQPPARTLQPAMRPAQPPSLQQPPRAAAVTQQLPPAPPLPFPTSGQQQQLPYPLRHSEAPPASFSTSQQLPSYPLPGPPPLPPYSPRTVGFPGQQQPAAPYPQQQQQQEEVPAWKRSKEEFKDEVWRLMTGIAKIVEPLTDRNGYFPYHLFRAQ >Dexi2A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:2A:14913697:14918544:-1 gene:Dexi2A01G0012770 transcript:Dexi2A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTSLGDHGGGGDLPTLWESLPTIAVVGGQSSGKSSVLESIVGTDFLPRGSGIVTRRPLVLQLHQTENGSQEYAEFLHMPKTRFSDFVLCFFFAVVNLTLIDLPGLTKVAVEGQPERIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQIPWVGIVNRSQADINRKVDMISAREKEKEYFESSPDYAHLASRMGSEYLAKLLSQHLESVIKARIPSITAVINKTIDELESELDTIGRAVAADPGAQLYTILDLCRAFDRVFKEHLDGGRSGGDKIYGVFDNKLPAAFKKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLIEAGLTYFRGPAEATIDAVHQVLKELVRKSIGETEQLRRFPTLQSAIATAANEALERFREDGRITALRLVEMEATYPTVDFFRTRPQDPPAADASGKAGGKPSAESSVPLDRYGDGHYRTIASNVSQYIRIVGDELLKTIPKAAVHCQVREAKRSLLNHFYVQIGKKEAGEFGHLLDEDPAMMERRQQCWKRLELYKSARDEIDSVAWSSSR >Dexi1B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:1B:22065733:22069042:-1 gene:Dexi1B01G0015480 transcript:Dexi1B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGGGMRRSASHTSLSESDDFELTRLLSKPRINVERQRSFDDRSLSDVSHSGGYGRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTVAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDSGFWWIILLRAYTKTTGDMTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQMLKHDNEGKEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSVAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMLKPVLKRSASWTN >Dexi9A01G0045590.1:cds pep primary_assembly:Fonio_CM05836:9A:49179972:49180864:-1 gene:Dexi9A01G0045590 transcript:Dexi9A01G0045590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASPSPLKKPLKDKGTPSKDLESKKDAARQPFGVKDMNNTACDAEGSSSSMFWFLKPCTFLVE >Dexi4B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:4B:21450288:21460733:-1 gene:Dexi4B01G0019190 transcript:Dexi4B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDEHGAAEPPRGQLVPCEASPPLPWRALPSPTPPSPSTSSRAKPKKLTPAEADDSHDPHLPRARAQRTEERASGEGEEGLLNGDPEDEEVALHPDTMERLQQLCCSDAVLLKGIEVLSLRSLKTSVILLLMFPPADAALPSSMPSIGDTVISGICVAVALCIVVQRGSKNFFLDYAFLRYTVSLAVIIIIMNWFQAAKGGGQPALQYVASGLIGFVAVHCLRNGEVMGDTIQPMSKVLDWNMYGTQLKKLHGWFLNTANKDGGGGEDNAKAILLDDCGDGPKDGSSSGSDDHDDHEDHATVWDDGYDEIESCARAVQLLAIRANFHEGMDLVLTGPYRILEAYGSLGIKVFTPDCEGSSNDDEGYSDDDVRSLTDPLFEMWDVTEPELVEECTNTIFGSFGRMVEITYLVIPDAVETHVEVRLNLEDLGSRSRDVFGSVKASAIAYGSKSVHLFSCERRRSLSVPCGSTFILPLSPSMIALPCGRHFKLQIEVDLVTTSENQEEDKNLKFCLDCSHRSRSEERLEFPLRIRSQKREVDGDQVEVNVAWRLEI >Dexi8A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:8A:6497109:6498867:1 gene:Dexi8A01G0006370 transcript:Dexi8A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGSTCVLARRWRDLWKSTPALCITETVERWENPEDTIEFVNHLLLLRDRSPLDICELNLYQDYTTDDETDRPFRYIQLWIRYALAHKARVLRVLTFNIIGDSETVHFDLDRARLVSRHLTVLELHTFIFKSDLTLCPTFSNLKTLLLGEWSVAVDLASVVCLLQHSPVLEKLTFRLNEVPEHLVEMEGSQNPAEQFTHLKTVEVACRDVEERVQKFLKCLISHGVPADVIKIKK >Dexi7B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:7B:8751368:8753672:-1 gene:Dexi7B01G0003670 transcript:Dexi7B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFFLVSCLATALAVSCCHCHELIQHAASLPQSLSTSSRWIVDEHGQLACVNWPSHLEPMLAEGLSHRPLDAIAADVAAMGFNCVRLTWPTFLATDASYSSLTVAESLRALNLTGALAGVAANNPGVLELGLLDAFAAVVRGLGASGVMVVLDNHVSRPGWCCRGGDGNGFFGDAEFDPDVWVEGLTRMATMFTGEGNVVAMSLRNELRGARHNIDDWYRIQTALPKRGVQVHAAPRVLIILSGLYNDNDLSFLRWRPIDLTFSNKVAFEVHWYSFSDPHQWTSANANHVCARVAASVWRRTLYLLDCGWPVFLSEFGVDNRGGNVADNRYWGCVSATAAGLDLDWALWALQGSYYLREGVPGHDEAYGVLGHDWLAPRNVTALQRVRALQRPSRGPGLADVEPYKVLFHPVTGLCVVRRRSSSMMPLDMEEQLELGPCNETEAWEYNEEHRLVLRDSWVRMCLRADGDGEPVRLGVGRAGCAGAQARSEMLCLDVGFDGRSLVTNRCRCLSGGGSRCDPERQWFKLVSSTREVANSSSIIS >Dexi2A01G0035170.1:cds pep primary_assembly:Fonio_CM05836:2A:44840958:44844724:-1 gene:Dexi2A01G0035170 transcript:Dexi2A01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLDGFDCLHPRRRRRHGGPGAAPSSKARVAVRRFGSSGKATPCSSNVSTDGAGAGGGGEREVTIRVATFNAAMFSMAPAVAGPAAVAAAGAERGGAGLPLPGSPGGGGGARRPKGILKAQASMARSPSKARVSINLQDNEISLERSRLWRGRRQGESPAPRRRSVEEVLREAGADIIGLQNVRAEEERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRATIEVPEAGEINFHCTHLDHLDEGWRMKQVDAIIRSGDGPHILAGGLNALDGTDYSAERWADIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVRVDVTIPHQVRETDNETSNRKQRVVRMNKKSSRKGIWGAK >Dexi9B01G0036460.1:cds pep primary_assembly:Fonio_CM05836:9B:37985535:37986256:1 gene:Dexi9B01G0036460 transcript:Dexi9B01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKALQLSWRPPIWAKAPHLAIALRSPSTSGRIAANIRLSGCPRVPTSGIDKAVQGAAHGKRCGRHARNGHTGAQSAQPKAYGSSVQTEEVGTSIFPMGERNGRVLPDPSPINPPVSCLPPSGRVRPAGLGAGPRMCARRGEGQANQAPFVTDPTVAAASHEPCHMRDASPFALSGKLARATWWGKLATSVYETRDDTPVASR >Dexi5A01G0038200.1:cds pep primary_assembly:Fonio_CM05836:5A:39262142:39267172:-1 gene:Dexi5A01G0038200 transcript:Dexi5A01G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAAPVVQRVAGKLGDIAWEKLQLLWNFKEDVQDIEGKMVDLQVTLSSADKHSRGTEDSPVQHWLKKYKFVAYDMEDALDELEADAMIWKHSPSKVKMFFSSINPLVVRITMSNKIRNIRVKLDKISEDQKKFTPLLLPTPTGQDNNKGQGETFIGERDEIEMVGREKEKNDILIKVSQKEGDQEISIIPVVGLGGMGKTTLAKSVYNDKQTLINFDVKAWVHVSEDFDLNKIVSDIICQVEGASPGNNVRLQYLKSRLDHILIDKIYLIVLDDLWEEGRSNLKDLMEMLQSGKKGSNVIVTTRNEKVASTLSNIHSSIFRTADPIKLEGMSIDECWSIMKPHNLGNAQLTELEDIGKEIAQLCHGVPLVAKALGYVMQKHCTRKEWLGIKNSNILDIKDGQKGILKGLLLSYYHMPPELKLCFMYCSMFPKGHDIDHDCLIQQWIALGFIQGTEEHLLQKIGTEYINELLGMSFLSILTSSTFGGAPPSEVWRRAHQYLSLIDILILSDMPGLRTLPEAARGFPSLRKLGIEDCDALDTLPEWLGDLTSLREIAIENCPKLSSLPESIQRLTDLNKLRIVKCPELSDKCRGEDKHKIAHIPEVEFELASVFVRFNRELVHGDDGTMLRTPLGSPGAACAGWDGARVLDERGPATPSPGKMAWHGIARTASVSLADMVKPVRSPVVRGALSWWPAARHMSDWHVGQTIGASVSLVLLLLPLHSGASEPAGQLPLVFRISKDADTSLYTFSINDDARGADEGRALAAEFMLV >DexiUA01G0024290.1:cds pep primary_assembly:Fonio_CM05836:UA:50245799:50255890:-1 gene:DexiUA01G0024290 transcript:DexiUA01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKVASRRPRPDESSGSESEEEIYLEDVRPDPPRRRIQFVEAVVGALGLAEVRGLVVMMREDLVVRPAEHTQVNANAEGNAHGSDTNDVGEKKSNNGVGPGRVQIPMAMAMGPKRILVVMMDKTHHRNPGLPLTKVMSNRLYLPLLLNKEGRKQPTSFNGGRLYISILLLPKSLSILHAVHAQLPPPSVRPGVRSTTDRPMAAAASAAYWLAEHPAIVGFRWSPTHLWCSTWAFLLGFLASYVALCLALDAFLGAALRRRKPLPLGPFPAAHALLMAAVSAAIFAGTLLSAVAEIRDMRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGVFAVLRRRRGASARVFAHAASVAMAFLWLEFSQSFQVLAILASTLTHTVAFVFRFWVGAGLPAARAARGAPVALACQLALLGCNLACHVGVVWMHFGAVGGGVMTTTYGLSAFYRSWSEAMSPTVYGLCWQS >Dexi9A01G0046350.1:cds pep primary_assembly:Fonio_CM05836:9A:49755139:49765607:-1 gene:Dexi9A01G0046350 transcript:Dexi9A01G0046350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDGSSSHRFDDGDCSRTRAPCPAAGDTPWRPFHRTGRGLAAAAATHTHVRVACRSSATGTLHRQRDCMAMRWGCRAAATKQPGSAAASQRVECVVVVAAFGRSAAAAVLCLASFHSWIGHARVGRRVREGEGKEQAILHTASDMEDQFQDGKEEVIQAWYMDDSEEDQRLPHHREPKEYIPLDKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKDFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGMYHRFTLDTNNYIKMMMLNHEAMSDLSTQPYSRFPYRTLNILQCVSLWESLSGRHTIVPMTISLLGRSMLRESSTKVEIMLLKLVEGGFRKIDPDRWEFANKGFLRGQRHLLKMIKRRRPPSYLPGSHQQQQQGLGSCLEVGQFGGGGLDEEMDRLKRDKNILLAEVVKLRQEQQSTRADMRAMEERLQHAEHKQVQMMGFLARAMQNPDFFQHLLQHHDQRKELDDAFSEKKRRRPIDAAPFEAAAGDGEAAAAPPMMFRADLSAALKR >Dexi9B01G0033120.1:cds pep primary_assembly:Fonio_CM05836:9B:35309641:35311580:1 gene:Dexi9B01G0033120 transcript:Dexi9B01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSMGRSYDYSPSPPRGYRRRDRSPSPRGRYGGCARDLPTSLLVRNLRRDCRQSTEMAYSSMIHLFNMSIYYKCRPDDLRRPFGKFGRVKDVYLPRDYYTGDPRGFGFIQYFDPDDAADAKYHMDGQMFLGREITVVFAEENRKKPSEMRSRERVSGRGRSYGRRSGSRSPGYSGSPRGRSRSRSRSYSPAPKRKHHSRSPSPRDRSLSRSPVASKSRSASPAISRSPRRERSRSVSQ >DexiUA01G0011830.1:cds pep primary_assembly:Fonio_CM05836:UA:23664840:23665286:-1 gene:DexiUA01G0011830 transcript:DexiUA01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEKTSKSGTWFFTMETSTATFSSFLSLHGGANGTTGVVSSVDDASAVGDGHHGGGARLPPMRGVWSETSSPAAKGKAVVAMTGTAAELGCAVVTVSWEEG >Dexi9A01G0047520.1:cds pep primary_assembly:Fonio_CM05836:9A:50614599:50615363:1 gene:Dexi9A01G0047520 transcript:Dexi9A01G0047520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSPLRRWKPFFPAFGIVDAAIEDSVVPALSRDKVRSARAEVVELLRGVPAGDVRKAEELCVLLDGFMAESLLTLRAVPGEAVPRVLASSADLAMAVGALRRHESERVRGLARDVIRGWAAAVEEDIARTSTAMKRLDDVCRATKPAVAASHPKQNKTAPVAVGHGPRRMNSRGIPAEKMEVTKRKLQQGYQEVEDAKRQRKIQVIQAPKVLEMKQKKVHPILRERNQATTVKKI >Dexi1A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:1A:28376875:28378953:-1 gene:Dexi1A01G0021640 transcript:Dexi1A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAEWCEAAAAAIAAYTGMAPAAFFTAVAVAAAALYVAVSGLLARPAQAASTRRQEAEEERETEPQQPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFESSDLTSDISDLGPFEAEALQEWEYKFKSKYVTVGTIKKTIPVTTVTTERDIDGSILESNHVPELKETGATNQGSVVGKATEMPVVDVETSSHEDIVENAKELLDSDTTNASSQADAGKPDETPNVAAKNSNAEETVETKETPDAVVTNSSSIEKAVEPKETHQLVDGKNICNPEDATEEPNEAADAVGLNTTTSHEDVSSDQDGEEKLKDTSDVEANNV >Dexi5A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:5A:14174984:14185503:1 gene:Dexi5A01G0016030 transcript:Dexi5A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVAPTVEEMLADAVAAAAAGCDLVEIRLDFLQGFRPREHLPILLRGCQLPALVTYRPSWEGGQYEGDDTTRFEALRLAMELGVDYVDIELKEIANLVARIQAVGADIVKVATTAKDIVDVSRMFQVMVHCQTMILCHGLMAYWHNFHICQVPMIGLVMSERGLMSRVLASKFGGYLTFGILNAAKTSAPGQPTVEELLDIYNIRRIGPDTKVLGLVANPVKQSKSPILHNKCLQSVRYNAVYLPLLGDNLASFLDTYSSPDFSGFRYCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISPLAGRLIVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVNLANAIGGQPLRLADLETFRPEEGMILANATSLGMYPNVDGTPIPKKALNFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFERFTGGIEAPESLMREIAAKYT >Dexi9B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:9B:6687693:6693998:-1 gene:Dexi9B01G0010630 transcript:Dexi9B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os03g0717700)] MGVGGGGEAEVSAPPAEEAAKEEDGGGGWHVKWVGLFVLLVALFCVVLHGNIRAAAMRKAEEGLVSMCEERARMLQDQFAVSVNHVHALAILVATFHYEKNPPALDQDTFADYTARTSFERPLLSGVAYAQRVVHADRENFERKQGWIIKTMTKKEPSPVQDEYAPVIYSQETISYIEGFDMMSGEVRGDEDRENILRSRELGKAVLTRPFRLMSNHLGVVLTFPVYLTDLPPDAKVEDRVSATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNISNPLVIYRNKPEVPWSAISTPSGVFVIFMLAGYIIYAAWSRYDNVKEDCRKMEELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVESISNGMNGHKDETTAVATSVSRNTLSGFEAADSRNSWENFKLLLSYEKNEMPYESVSDKVTLVVSVEDTGIGIPLEAQSKVFTPFMQADSSTSRTYGGTGIGLSISKCLVEIMGGQINFVSRPHVGSTFTFTAVLQRCDISAISESKPVMLQPLPSSFKGFSALLVDRRPVRATVTKYHLQRLGITSEVVATTELALGMLSGRNGHSLTSTKLPSMLLIESDSWNSKMDVSLQSRLLEVKQNGHTPVLPKIILLAAAESDKRKANYAVDSVITKPLKASTLAACLFQALGITQTQPSNEKRDNSGSLRGLLLGKNILVVDDNRVNLRVAAGTLKKFGAKVECVESGKDALALLQVPYKFDLCLMDIQMPEMDGFEATRQIRAMEAKVNEQADADGDSEADGATRAAKWHLPILAMTADVIQATHEECTKCGMDGYVTKPFEEKQLFQAVQKFLDHGMSS >Dexi1A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:1A:3937023:3938605:-1 gene:Dexi1A01G0005380 transcript:Dexi1A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMAVTVVAGAVPPPPKGKAVTVATTPPPTLTRRQLLAAGATASTLRTAASLAATPRFAEISGSGGVKALDLREGSGQVPTDGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTIGSGKVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELINIRQ >Dexi9A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:9A:5042156:5044864:-1 gene:Dexi9A01G0008520 transcript:Dexi9A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFNNFNPCQGPARAGMRRCPAPKPAPCNVVVIDEDDDGDDDASDQEVFIIDGASVAGEAAPTAPPSGRQARKGKGSSANVINIDDDEDVGTGWDKAGPSTSRASGSPASMTPGRGSPGNRYGLDSLSDSSESDFSEEWETDTEDGSSSDCEILDDVAGTAREMWETAASRKKMPHGVHEREDGRATAFASSMGSEPQPDKNSEGLFCAGRNLDETDFSAAWKDYSQNNSDGTKEGSEPAQSSANGPSVPNAEECSNGNVGKGTEDLQDMNSGAKDVPAGCHPNESMFQSSSGACEGGVQNSTDGSEDDHGPSSSPNVKECSNGEGVVPENTSEGFQSPCPYGPSVHNFVSSNRVFPGSSSGDRKDGSPPMSVSTPEKIDEKIPEGVYSQKDQSPQEAYNGTDMCSAQDDGSAGSQQKVPPFTSREQFASVQDASMIGDREKHKESIEFKRAAEEEWAARQRQLQIQAEEAKKLRKRKKAEAQRLLDMEKRQKQRLQEVRESQRKNEEAIQLKEQYRCVVRKELEDMERRYWDMTSILRALGIPVEGGEVKAYKQALLKFHPDRVSRNDIYQQVKAEETFKFISRLKEKLSRFS >Dexi6B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:6B:21497111:21499067:1 gene:Dexi6B01G0013740 transcript:Dexi6B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVEAAMAPPPPASACSSSSIGRDSDECPPQGKEEEGEVQSAFMGGGGGGLAGLEALEEALPIRRSISNFYNGKSKSFACLKEAITSSGSAKDISKADNSYSRKRKNLLAYNIMYGNSHDTAAAQVYETTPPKRLASLSRNSLATLASSSSRSSSSISIAENELPEQLHAPLSPDDFISTPRSGTRAPDESSAPMRSLSMMDLHRLHRSSSSFRLKDKED >Dexi2A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:2A:5346775:5350238:-1 gene:Dexi2A01G0005590 transcript:Dexi2A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAAGVGAAPRXXXXXXXXXXXXXXXXXXXXXXXAPPVRRNIGPGYIYTRAISRFPELPQRRPSLTSTMLRAINPSPLRARPACRASPRRRAWARPRAAAVPQQQPPVRRPSGDRLCAPLRGAVTVQAPAPGVSGAAGPRGELEAFLEVVPARMRRGLAQHPEVRELVEVVMDLGRRPIARFPTGDWVISDQPVTADDLRQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVVSGGSILVIGPPGVGKTTLIREIARILADEGKKRVVIVDTSNEIGGDGDVPHSGIGRARRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEIISKTECRVHHKLETTVDAILAGKPPKFEARKMHNKSTESDMPLVIPDRGYEIEALPLYQEQIVTKTISSEGNFRDDFSPSRQTKSKSTPSDDNFSDDFVYSRKTKSKKSVSGKSPVRVYTYQISEADILQVATVMGFDDELDVTDDIAAADVILASSSEMKQNPWIHNVAKYHKLPIFVVKSNTMAQIVKAVRMMVGRDNSPSHKQPMVMEGEIEIEDDAPKRKPSLEEIDALEVITLPHNCL >Dexi8B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:8B:24640134:24642999:-1 gene:Dexi8B01G0014240 transcript:Dexi8B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLRLRRHLASRRGSGVVGRTVDSNAAVGGQQRLPPPPASLLLVFCSLPGVFTALSGGGARVYGMAGLIRVRASGKGSLLPVRGSSAARPPPASQVAIRFTSPLFRAAKICSSRGLVAAALEVSKDSSSTVLANRQPSKGAIETLHNADAVCFDVDSTVILDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQVEECLEKRPPRISPGMADLIKKLKANNTNVFFVSGGFRQMIKPVAFELGVPAENIIANQLLFGASGEYAGFDPTEPTSRSGGKAQTVQQIKQNYGYKTVVMIGDGATDLEARQPGGADLFICYAGVQMREPVAAEADWVVFDFQELITKLTT >Dexi2A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:2A:32116019:32120069:-1 gene:Dexi2A01G0020070 transcript:Dexi2A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGTAAPPSSAACRLRLRRHLLLRPSHLRLRAPHSIADLSRYSNSSSSSNSAPTPAQPLGPGAENGGGRSRVVEKDPIKLWERYVEWLYQHKELGIFVDVSRMGFTEEFLQQMEPRMQRAFAAMRDLEKGAIANPDEGRMVGHYWLRDPALAPNSFLRNKIETTLDSILAFSQDVISGKIQSPSGRFTSILSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQVAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQEKSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAALMDEETRNTVVKENPAALLALCWYWASEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTILNEATCKDPAEPLTLDEIADRCHCPEDIEMIYKIIQHMAANDRAIIAEGSCGSPRSVKVYLGECNVDEDMQAA >Dexi7B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:7B:10341631:10348708:-1 gene:Dexi7B01G0004220 transcript:Dexi7B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRMVLYNHHVTTCGGTTSVRNCFGIQHIAPYPFLGWYSNMRSKHSPYSGLTELWGKILISPQNIKARKMELIGVSFILIMAACFLVELGSANPPAGEVIQGLFVPRLQGAYAMSDAIALFSALIVPYNLTVFIQATSTFFLIENAFALFLVLLVNVAIVSMTGTICADSQLVDNISICSGLTLKSTSVLLKVSTDENIVQASVVNFNNVEEYYLLQIEYVWEIKFKNIWLGIISFRSKLHSGHQLLWAIHYAGNLQRKGFSGMRKCIICIIAPCFTIVPSLIICSIGGVPHVRQLINISAIILAFVLPFALVPLLKFSSSCTMIGPYKNSTCIVRVTWILSMVIMGVNIYFFCTSFISWIVHGELPRIVNAIISILVFPFMAAYIAALIYLVFKKVTVSPSPSMSVSSETEVEEVRRQDDKADNTIH >Dexi9B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:9B:15025288:15028903:-1 gene:Dexi9B01G0020270 transcript:Dexi9B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHARSHSHVPSESSPAAGRRKKAASGCHMRASEMHGHSRANCVRRWSWAGENRGAVVDPALHGVAAIGGCAGPRAAARRPRLEVEARAAALDGSPLDAPRRQPPVRTGENVHGNGGDEGDWPPTLSQTRRMSSGGCRVWETRAVYADVASISPFTVVAIYYVAVDRLRGLGPTCQCPGHINGPPAPIFFPAVHPPDEVLVDRFVPFIDELTEIIKEKGWPSLWTTTTKALDGVPASERQVVTGEVAGGAILRERKSSNPSPVAKVAAASLASAGADADYYREALEGVETHLLRLAEPPQVTHLALRVSWPPGSALRGFPQQFGFVSSADRNLLVLCVGNAPVCFNASRGFYLVHDAMANSVAVVPWLPPRSVPTMFSHRGIGTGVAVLRRGDEMTGEPFLLAELFLRRDRGRRGRTSNKATLFIWFGSGPASGGWTEKEVTLPFPSGYRSSKVSEYSFTADTVLAVGGKSLCWVDRRWNGWRRERGMDGDGKKMGAGGVNMSGALTGGPQATRHVGLTGRHVSINRPAKMQYRFSAFLPAAAFAKRNATRQIQGAHRKSAMRRRGAGPHARAQQKREDAELVQVAVTAAAPRAQQRMVAAVEEEEMRRSRWAGAARRRCRGGEGEAEHHCRFRVVKDQSSFFFLESTSSSPKSTGSTTHGSCGRVSRRPLPPRTSICAQIPPPSLAAPPLRITAGHAAAATTPSSTRRRHGRVHGEKGKKSLAPEIGEVGGEGEPPHRRKARRRGDAPSPRRSLASAGPSPRRRELALLYDGGRSKAATGGRAREPERETERCDRGAVGRAPPSRGSLEREREHGEEDDMAEANGLEGKAEALWVPLVSCYGMGG >Dexi5B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:5B:10132786:10133554:1 gene:Dexi5B01G0013870 transcript:Dexi5B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSATSLSSVSGARHTSSAIAPPPPRPSKTDVLPSIMFPGPLRTANGFPHPKSFPYTSNRGELPVPTAATVSISALYASLGSRAHRVTELLTMASSSSSTNDATARFNSRAAAPSPAVTSPAVDHTLQGTTAFPTYPAAGTPFTLPRHPISSTYAVSCDGSSRLARHTARHRCARRACREETTTVVTSSSSRRRSVPRQMEEALVQWWVVVVASPDGELEPGEREPDRDEAGVVEPPLKH >Dexi6A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:6A:4611405:4612381:1 gene:Dexi6A01G0005020 transcript:Dexi6A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGFNSPWTLAIRAAADHGRPRRAVALYLSSLRASQLPCPFALAAVLKSVPRLPSHAALPAAACLHAHLLRVGLLSHPYPHAALSHVYSRLLPEAPDLLDDAPALRRESLLVSSNSLLASRLRAGDVLAARALFDAMPARDVVSWNTMVAGLAKAGHVDDAIDLFDQMPERNAASWNALVSGFIAQGQLARARELFERMPVRNNVSWITMISGHAKNGDVLAAADLFERMESKDLYAWNAMVACYAQNGCAREALLGDLRFGLWVESFMGSVGVELDDHLRTALIDLYTKEWADG >Dexi9B01G0025520.1:cds pep primary_assembly:Fonio_CM05836:9B:26111050:26114583:1 gene:Dexi9B01G0025520 transcript:Dexi9B01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPGTCVVFYSIHTDAKHASNESWPLKYDDYFPYADAKNTYWTGYYTSRPTFKRFVRVHSGYYLAARQIEFLLGRSFLGLFTASLEDAMGISQHHDAVSGTAKQHTTDDYSKRLVLGASKVQEGVNIALTCLTSSNGTCPSSVVKFNQCPLLNISYCPTTEETVSAVKSLVVVAYNPLGWERSDIIRVPVDLPIQQSFLWYASNEGDIMDSQESGAYIFRPNGTTPIVASSSVIASGA >Dexi4B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:4B:889135:891612:-1 gene:Dexi4B01G0001490 transcript:Dexi4B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLLDETKLCPQNISSYIGNLTQSYTDQSNEATIVSTSVIMFVLAGLFFNLNLFSGISDVSAILDPKVRLFLSSALSLFLPVMSYLFSEAKNAAYLSTSYSTSSTHRVADLSLTAGLILTWMLLVELLRKKVDEIRMRGYSGTIHRAGRVIWLGSLVFFNIRSAGRKAVFSVLWILCATKVAQRIAFTEVAKLSCSNDIGMMSIKKSPTDLLLLVTMVMWNMGGDALLKSCKYIVMGEKKLVKKATADGYKLNDADSNDSIITVGKVWELAETDPLFEAFDKNQRLKRLCLSFALFKLLRRRFEHLPAMTVEEARDCRKFILNGLYGKNKEAEALFQVMNDEVNFLSEYYHSVIPVVFASPFFLVSNYFLLPIVVTVICLMSIVVCGNGDAAFAFTSLRADNYALRTGVSKIIMCLVAKALKNNPPAFFALVDISITVFLFLIFFYEEIWEFLVFLLSNWFMVSLLCSYTAKSHWRDSPTFSGAFRRLLWLRSKMSHTDLRVKQFSALNLRWPRHIPLFAPFSLVIRTERVPNSLKRSIMDCLVEHDRRSTPLTKGTSALDKHGFSHQPLLREACMSGSVAEVMLTWHIATTILEVRCAPTSSSTSRKVAVKLSKYCAYLVAFHPELLPDNKHKAEDVLEEMKAELKGMLGCPAYFFASKTARVDRIMRAIEADDENKKLQQEDEGAARRQQREIPVDTPRQSDHHRGSQMVVDDDESSKVVVKGAKLGKFLVEEVGGETAWKVLADVWTELVVFAAPSSEEVRVKGHEEVLVQGGEFITVLWALTTHIGVARPPRPGA >Dexi9A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:9A:7324177:7325016:1 gene:Dexi9A01G0011690 transcript:Dexi9A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPAFLARPIAKPHSVSCAQAPRPPSAQNQPPPGEQPQQSAQAQAQAQAQQAAAPARPKRAGGADSTDWVASSLTRRFGIGAGLAWAGFLAVGVVSEQLKTRFEVAQQQANTRDVEQEQEVVLPNGIRYYEMRVGGGDVPRPGDLVVIDLQGRVSGSGEAFVDTFGEGKRPLALVMGSRPYTRGMCDGVEYVLRSMRAGGKRRVVVPAGLGFGDDGADFGEEHVQIPPGATLEYVVQVDKVSIAPA >Dexi7A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:7A:27198318:27198591:-1 gene:Dexi7A01G0017550 transcript:Dexi7A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPALTLASLRARGEGHRGSAMEATENIIRMLVGDAAGTENAPPVILESIVVPCRTNGGVRLRVGVT >Dexi9A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:9A:10772668:10773743:-1 gene:Dexi9A01G0015840 transcript:Dexi9A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSNAFSAAGTWNASSRSAWPPMRPPSWESTLSDRLNHGWLASSATARRVAGFGSSRRDTRRRAGGDTQLGTEYSRRWPARRTKRMTPQDHASALAPSYAFRRSTSGAVNAGVPQAVAAAVVFGGGVAGRAARRGGEESGEAEVGDLEVAGGVEEDVLGLHVAVEDAAGVGVDQRGHELGEHAARGVLGEAAGGEGGEAGEEVAAGGELHDEVHLGARGEHLVEAEHVGVAEAAHGGDLAEDARRHARGGELRLVEHLDGHRVAAGEAARAVNLGEGAPAKEGAELVLAEERRAGFAVARRPALGAGHGGRRQRQGNFLFGFGTSAAAIDGGFGL >Dexi7B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:7B:16082205:16084149:1 gene:Dexi7B01G0008220 transcript:Dexi7B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQNIPNSGWAAFDRKWRSADGRGHEGEADSFPALSDSGPPNPASSLITENNVLRPKPFASVVRPSVDFTAVSNGGESKRSANHVNHGASASSNNKIKLLKDAHSWADSNLIEDVLTAVNNDVIRASDLLKAMVSPDLQTGDTSCGQLAAEMNKTHSLPSEESRAGNTKLDSSHLSSVPSSIPLEPELEELDDDYLNYRKDALKMMRAATKHSQSASNAFLRGDHAAAKELSLRAQEERTAAEKLNNKAAEEIFRLRNRNNDIWKIDMHGLHASEAVAVLEKHLYTIEFQQSGNNSASTDDLANLEAAYSESTTGSNVELAAEKVVLRRPKQAILHVITGIGKHSKGQASLPIAVRSFLIENGYRFDELRPGVFTVRPKFRRR >Dexi2A01G0022240.1:cds pep primary_assembly:Fonio_CM05836:2A:34108970:34109421:1 gene:Dexi2A01G0022240 transcript:Dexi2A01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKKSDPHRQPTAPSLLLTGLPHSPTRRISDSLARSKSSEGRRSYLLGAAIMMAGVVLPLAYMIFRSKRSPSSASAASAAGPSSFSKQT >Dexi8B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:8B:18185384:18190403:1 gene:Dexi8B01G0009890 transcript:Dexi8B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSLLRLIFLALGAALVLIAVRSAFRLPPSFTNTSFSTASLLDDASSSTTSSSCTRFAPWGCPRRTKPKPKPKPKPRPASHSHESDVPRHPLDPLTITEINRARELLRAHPPFSSSPSSMVVHSLSLDEPEKSLVLRWRRGSGDPLPPRRAVAVVRFRGEAFVLAVDLAGAGAVTPLPVPASGYPTMTMDEQVSLCYAPFADPTFNATIRRRGVRLSDVACLPISLGWYGPTEENRRLVKVQCFSAQGTANFYMRPIEGLTVLVDMDTKEIIRISDRGAGIPIPSAANTDYRYSRHTHQQDDDHVVATSKNSHGHGHGFEKVHAPSIEPGPSGPGVEVVDGHTVRWGGWEMHVKADARAGMVVSRARVEDPSTGEHREVMYKGMASELFVPYMDPSEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHAAYIDGVFVAADGRPYVRENMICVFERYAGDVAWRHSESPITGMDIRESRPKVTLVARMVASVANYDYIMDWEFQMDGLIRIKVGLSGILMVKGTSYSHMNQARENEDMHGTLLSENVVGVIHDHFVTFRLDMDVDGADNSFVRVDMARQEMAPGESPRRSYLKATRHVAQTEKDAQVRLSLYQPAEFHVINPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNRSEEWAGGLFVYQSKGEDTLATWAERDRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSGFDLKPVNFFESNPILKQRPTGEDDLPICPATTA >Dexi9B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:9B:8108902:8109580:-1 gene:Dexi9B01G0012180 transcript:Dexi9B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAFKVLELIACSLNLRPDRLHGFFKHHTTFFRLNYFPPCPRPELALGVGRHKDPGALTIVYQDDVGGLDVQRRSDGEWVRVKCVPDSFVINVGDTIQVWSNDRYESAEHRVSVNSAKERFSMPYFFNPSLNAVVEPLGELVGEDDPPRYSAYCWEDFLSTKLGSNYKKLDVENLQIEHFRKSLEA >Dexi4A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:4A:15133997:15141940:-1 gene:Dexi4A01G0013940 transcript:Dexi4A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRSLQRHASRFTGVRWTLSYPPPISVAPPRPNPTTGDHRRAGGAPAFCLVVPVPGTTERKGPFFLTGTDAKEEGEELAASRVSASAAATATADPVGEGWYLATMPQSVLVERATSESLIGPDWSLNLEICDILNHDPSQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMQVAEKDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYAAYQEMLNHIMFFIASLTEIQNARGVMDVLSEMLNAIDPNNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTSDEDLLSQGLSLNDDLQRVLARHDAIAAGIAVRVEKPKAFPARADSSPTKPEGTNEADQRSSKDSSSVTPFEQLALPAPPSSSASKSHVEPAVNPIIDLLSGDDFFKPEPVHFQALVPVSNQPAASGSSSHGTLDLLDMFSDSNATSNTNQNHAIPPMPNTNPNPSAAQAYLAPQQPVPPQHPVPPQHPSPYSNGLNSNTLAPYDQRSNLTSASSWNGQFAHGVIPQQQSPNYGTNTVKMNKPASFRHHPGKLSLQRASNSKLTILVGCQFRHNLELVNPSLGSRL >Dexi3A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:3A:15082115:15088253:-1 gene:Dexi3A01G0019260 transcript:Dexi3A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDADVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAQEIKVHPWFRGVQWDKLYQMKAAFIPEVNGELDTQNFEKFEEVIYYFSRWFASEVPPEWQNVLSDLSFGETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVEGSFLSMLPPKEGQPSSHSSIPPEQYQPRRK >Dexi9B01G0037140.1:cds pep primary_assembly:Fonio_CM05836:9B:38643979:38645092:-1 gene:Dexi9B01G0037140 transcript:Dexi9B01G0037140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPILKVHLITPFFALSGKDVHHGRLIIGLKYWSILQRLGQHLASFNADRALWPHWRPVAQQEAAGVEPNPILVVVDHLVPAVHDEVVRPVALPRELECHVGEHGVGVHPPEELDLRVRQEQRPDERELGPEASHLGVEQRHVVEDLDAVDAAVVDLVLDGLEEVVVTDGVLAGLGGRARDEQHPRLDVVEEGRRLRVAAVPVGALLVPIGDLGAQRGGSLSVLGAGSGSSSRRPEEEEEEEDDMVVVAVVEPLDRASWCSASARCGWETKCWRSSMRSCCGVPKRPAPTVPRSTTTVRRRQTTVSLAFRAKRWICRIRRSPTTRSAHILPSLRSSSPSSSQPNPHQTQPNTGKGMQ >Dexi5B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:5B:22349022:22350084:-1 gene:Dexi5B01G0020080 transcript:Dexi5B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVRLEGESSTGPAEHVHQPNGACGNEFSAEINNHSVSCADKSENNANAFEDDITTDEVTCSDVNSDPSFFEELSGVNDRLIQEEDQPPATSDSTPSSQQMQDFEGTKDANKEPLVTSYHRKFMGTVDYIWASEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALACELAFTK >Dexi2A01G0028300.1:cds pep primary_assembly:Fonio_CM05836:2A:39545770:39547314:1 gene:Dexi2A01G0028300 transcript:Dexi2A01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAVALLVAFLLPLIIYWLTTRKTTTKKPLPANLPPGSLGLPVIGHSLGLLRAMRSNAGERWLRSRVDRYGPVSKLSLFGAPTVFVTGPAANKLVFGSDALAPKQPRCLPLILGRRNILELAGDDYRRVRGAMMQFLRPDVLRRYVGAMDAEVKLHLDAEWAGRGNVTVLPLMKRLTFDIIATLLFGLDRGGDVRARLATAFADMLEGMWSVPLDLPFTAFRRSLRASATARRVLETTLAEKKARLARGESSPADDLLSCLAGMRADGGEQLLTDEEIVDNAMVFLVAGHDTSSVLMTFMVRHLAGDPTTLAAMVQEHEEIAKNKGEGEALTWEDLNSMRFTWRVAQETLRMIPPIFGSFRRALEDIEFDGYIIPKGWQVFWASSVTHMDPGIFKDPEKFDPSRFEGPAPPYSFVAFGSGQRLCAGIEFARVETLVTMHHLVRRFRWKLCCKENTFVRDPMPSPLHGLPIELEHIGVASSLGKSAC >DexiUA01G0012610.1:cds pep primary_assembly:Fonio_CM05836:UA:25685948:25686457:1 gene:DexiUA01G0012610 transcript:DexiUA01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDSSYDRTAELHALDASLAGVRGLVASGVKHVPRIFHLPHPEEQLGGGDQQPPSATVPVIDLGGDRAAVVDAIGRATAEWGFFQVTGHGIPEEAMASAMAAVRAFHEAESGEGSDKARLYSREPGKAIKYHCNFDLYQSPVANWRDTLYLRMAPDPPASDELPESCR >Dexi6B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:6B:20365485:20367860:-1 gene:Dexi6B01G0012680 transcript:Dexi6B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTSPPPTWLLRVASAAAADQASSSTSSKCGGRVLTAGTTTMDTAATAAIASAADHQESSSSGQSRLAARGHWRPAEDAKLRDLVALHGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDSAAVAAMPPAAGDTAAAGHHHHHLLAAAVAAHDAAAYGFADPYGFSFRHYCTFPAEVDPPPPPPFCLFPGPGGAAAAHADRRLPWLSSPADATGGGRYGEPPLLLPVVPGGWIEGVSGAHHGEPHHQFVMDHGAGAAAFEGAAATRQGAGAHFDAAAAPPPPPPAFIDFLGVGAT >Dexi8A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:8A:22134873:22135247:1 gene:Dexi8A01G0012560 transcript:Dexi8A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKNLAVFAAIFTLMALLVASSYGDELPAGAFASTSSYGGAALCKQRTGVMCTSDAMCVTLCLHKNGGYTGGYCSTEFVVGDPSCVCTKPSC >Dexi9A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:9A:7261191:7262178:1 gene:Dexi9A01G0011580 transcript:Dexi9A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHFFFLLSSELTITMTLQQNRPLNSQNAADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYIARQDQFDIPNGEELEEMKKANAKLQGELADQKKAISEVESEARGLQTNLTLVEIKSKEAKLQSEVQEMEEKLNKLRTGVILVKPEDKKIIEDSFAEKVNQWKKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLASLNKRRKISR >Dexi5A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:5A:20911970:20916744:1 gene:Dexi5A01G0017630 transcript:Dexi5A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSLPVLTASRFLSPPPPSPLLTPGWPRRTVHFVRAAAQTLEAPEAPKPPRPSRRRNAVAEVKASPDPVAALIRFEDVLQTQDCNIILRHCGDTGRWEDLSKVFEWMQEREMTNAASYSSYFKYLGLSRDPAKALQVYGAIQDRPTRVQVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDPFTYSTLLSGCMKLKQGYTKAMGLINEMKSRGIQMDSVIYGTLLAICASHNYCEEAEVYFQEMKDEGHIPNLFHYSSLLNAYSENADYGKAEMLLKDLRSSGLTPNKVMLTTLLKVYSKGGIFEKAKELLTELEASGFAQDEMPYCILIDGLVKGGKIQEAKMLFNEMKEKGVKSDGYAFSIMISALHRGGHHEESKQLAKEFEAENASYDLVMLNTSLRTYCSTNDMESELCSHVMVKLGKAGFPSEAFSVYNMLRYSKRTVQKSLHEKALGILVSSELLKDAYIVVKDNAELISPSSLEKFARSFMVSGNINLINDVMKALNRSGWRISQVMDIFGRAIQRYIRKPDKKHLLLCLLDWMTGQGYSVDSSSRNLLLKNAQLFGQKQIIAEILSKQQTASRTIGQRHKK >Dexi6A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:6A:22261285:22262215:1 gene:Dexi6A01G0014870 transcript:Dexi6A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPAAAACTSSAPPVPRRRSTRLLIDPQRRDDQDHQPPLALLSSSKPTSTPAQPRRRSGRLIGIRRSGDQPPPSPRASTPPPPAAEAAVAHPPPGGAPTLRRSPRVHLRVRGLPSAASPSTPRRRRRSPTAPRPKSIEAKVEEWRKEKAALGVTEEECVLPFLLKGAPRKI >Dexi9A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:9A:4798839:4799154:-1 gene:Dexi9A01G0008100 transcript:Dexi9A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTSSDEERRPSEKEHFSAAESGDASAFASLTPADLSLRNEDSRSLLHVVAAAAGHPQARKEDFHSLESNRLRWCSCSWKLVVTPRMAARS >Dexi2A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:2A:28076778:28079751:1 gene:Dexi2A01G0016460 transcript:Dexi2A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLTVPTAALLLLLACCFAGVHCDDTPEVRRSLIAFLRELAGGDDQVARDLGWGVLVPPCGGGGVAGWKNVSCRPANDGRVRAVTLESNGARLNGTINATLLCAETAIRTLSLHGNALRGGIPADIWACKALTHLYVSGNQLSGSLPPSLAQLSQLQVLDVSGNDFSGEIPGDLSKLPGLVRFFANDNRLNGTIPDFNLDQLKSFTVSNNNLTGPVPKNAEKFGNQSFWPNAAGICGAPLFESCPPPPSKSPQQTGPLSPPPPSSGSGSGSGSGAEADDGHGNGKHKKRAVPKIVMYLGYVLLGVVILAFVLYKICSNKKKRSNKLGLKSKPSRGKGVYGSSRLTTTTTTTTSVTTPNKSAAYSIPTSAEHSVAATGAASAVAPPSTSLVVLRRSGTASPITSRAAAAAAKDLRFEDLLKSPAELLGRGRFGSSYKVVVPGGAALAVKRVKDAAVDEAAFRRRMERIGRAKHAAVLPPLAFYCAMQEKLVVYEFQSNGSLEKLLHGSIESSQGPLDWAARLHIAAKVADGMAFMHTTLSGSDGATSNNSPSSGDDAAATDGPIAHGNLKASNVLFTAGMDPCVSEYGVTSPAGGDAAALRGDVHAFGVLLLELLTGKATSARGDGAELARWVTSVIREEWTAEVFDRALLAGGGGDGSSEQRMVRLLQVAMRCVDASPGSPPPPTMREVAGMVNAIREEDDRSFSLEA >Dexi2B01G0027280.1:cds pep primary_assembly:Fonio_CM05836:2B:36271804:36273864:-1 gene:Dexi2B01G0027280 transcript:Dexi2B01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMGGGAKAYGAVVLIRLMYSGMHVMSKVALDQGMNPLVFVFYRHTTAALVLIPVTFLLERQKAKPVTFKIGWKMFIHALYGVTACGDLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMETLKMTRFHGKVKFAGILFCIAGVTILAFYEGPLFRSFNHHHLFQSGGGSSSGAAETHSKKQWVLGIFLMTLSNVLAGLWTVLQGPLIEDTSKLMNTTLQISCASVQAFLVAVAAERDFSKWKLGWNISLAAIIYSGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTIVISSFIIGDAVSLGRHAIDELVSIEERDDLTKISATSKPGLELPPPQQSKADPEAPQVWDDGAEAKV >Dexi2A01G0024280.1:cds pep primary_assembly:Fonio_CM05836:2A:36015441:36018324:1 gene:Dexi2A01G0024280 transcript:Dexi2A01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >Dexi3B01G0031420.1:cds pep primary_assembly:Fonio_CM05836:3B:32796871:32800633:1 gene:Dexi3B01G0031420 transcript:Dexi3B01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLGLLPMAGLAALELEGQGIMGALEGSEARVPHHGQCRWRPAVKTLKERLRYIPDSFHAIDFVSSAPTSVDDMDTTRAGISSLATSIPH >Dexi7B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:7B:19722827:19723190:1 gene:Dexi7B01G0013130 transcript:Dexi7B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSPLQLAEQSAVGKPGTHRNEHGKHMSGHKFGSQPAIIAVTIMVSTLGAQRVKETMTGLLDFGAAKSTPIPMADADSCLEAGATEPDPGT >Dexi6A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:6A:2473903:2475503:1 gene:Dexi6A01G0002650 transcript:Dexi6A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADLPSPSVAAGDRPPETAGDGSGGEGERAGSPPERCEALAAAIAGVLGGALREHEARAAATARSQDEVAAAVDRLNGGHSSPVQFQSLK >Dexi7A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:7A:22848629:22850106:-1 gene:Dexi7A01G0012690 transcript:Dexi7A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKVLVAHIQRFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDAIIRLHEELGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLVDPTKREESSPAASAKKKRRPAAPPATKRRRRAPPDAAAAPVSPERSAASSSLTESSVTETEQGNTGSSSPGLIPKEESFTSSPDAEEFQFDESFWSETLSIPLESFDVPMEPTDAFGVPATSSAAGAEDMDYWLKVFMESGDVQQELPQI >Dexi4A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:4A:3804966:3805309:1 gene:Dexi4A01G0005300 transcript:Dexi4A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Dexi4A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:4A:25106789:25107936:1 gene:Dexi4A01G0021700 transcript:Dexi4A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGAKLLVVHPSSNKSPGGGAGSPGAVLGARRRVCAAVFLACFACVSLATTLLSAARDPGAASGGASSRAFAVSSAAGGSGGGAAAAGDGLPGYVFDALVQYSSAGGNSTASMPGADVRAIAAVLKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVAYTTTVREFPDLLDAARAASAAECRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPRGYTASSPGRMSAIFTAGVLARTRAGEGVTTDVLVHDYEREVERACSREFLCEENRIAETSTRSLAHFVVRGGSAVRRDAFCSGGGAAAH >Dexi3B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:3B:6254431:6256494:1 gene:Dexi3B01G0009070 transcript:Dexi3B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKHRFLELKEQRTHRFIIYKIDEENKTVVVEKVGKRVLNYDDVVAALPENECRYAIFDYDVVTEESCQKSKIFFIAWSPDTARVKSKMIYASSKERFRRELDGIQVELQATDSVEIGLDVIQRHAN >Dexi8A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:8A:8119042:8119248:1 gene:Dexi8A01G0007270 transcript:Dexi8A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAASAATGGEEYGGRVTTFVVLSCVVACSGGFLFGYDLGVSGQFPTSIHTVYCCAQFGIAITGKQ >Dexi3B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:3B:176364:180566:1 gene:Dexi3B01G0000200 transcript:Dexi3B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPAAVAALDAAVASARRRAHAQTTSLHLISSLLAPTAAPLLRDALARARSAAYSPRLQLKALELCFAVSLDRLPSSSSQSQDGNENLEPPVANSLMAAIKRSQANQRRNPDTFHFYHQPSATSPNATVKVDLSHLVLAILDDPLVSRVFADAGFRSGDIKLAILRPAPPMPLLGRLPARARPPPLFLCSFAAADDAQVPSPAAALAGAVPGEDNRRRIAEILSRGRNPMLVGGGAASAAADFANTSPYRIIPVGPTPINQTDLAPNNSGSGIILSIGDLKDLVADDDADLQERGRRVVSEVTRLLEMHRAGQTLWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITALRDAAPAAAGVMHPATTATALSKPPTTSMVESFVPFGGFMCDTNEANIVTANSCPLALRCQQCNDRYEQEVATIIRGSGISAEAHQEGLPSLLQNGSMMGPSTGFDAIKVRGDQMVLNAKILNLQKKWNEYCLRLHQGCQRINRDHHQLFPRYIGVPADRERAPNPSQGSEAVVLQREVIKPSAVSASHSNTTTKSVSSPSISNQRNADLALNLQVRQSKSDEPLHNKVVQSLHSNPSNCDNRDDHVSPSSAAPVATDLVLGTPRGSSSKDSRNALCKHVEDAEGSVQLTPKKVDDLNLKPLQSSVQPYSCSRSSSNGGQKSTSALHSAVSGGTSAFGQWQRPSPLTGQNFDLSNYKLLMERLFKAVGRQEEALSAICASIVQCRSMERRRGANKKNDIWFSFHGPDSIAKRRVGVALAELMHGSSDNLIYLDLSLQDWGNSNFRAKLATDCIFEELRKKQRSVLFLENIDKADCLVQESLTHAIETGGYKDLHGGRVADLNDSIVVLSTRMIRGCQDGSRGMEQGHAFSEEKVLAARGHRLKIIVEPGTTNIGGYPGSKVVVSSRHSLGDIQASLHSSSFSKRKLSISDGREKVEEASGSSKRLHRPSSVPFDLNLPVDEAEADDGDDDSSSSHENSCGNTDGSIEKLLSSVDKSIDFKPVDFGKLWGELLQEFGNTMSNVVGSGCRLEIDAGAMEQILAAACASDSEEKRGVRTWVEQVFGRSLEQLKVKCKDVSLRLVACEEVLLKDEGFTFGGLLLPSRIILEDDVPV >Dexi7A01G0022000.1:cds pep primary_assembly:Fonio_CM05836:7A:30445930:30448227:-1 gene:Dexi7A01G0022000 transcript:Dexi7A01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKPLNHGGPGRTAYHFQPPKNWMNGPLYHNGIYHFFYQYNPHGPLFDTGELSWGHSVSGDLVNWAFLGTALDPTSPFDVGGCWSGSATVLPDGRVAILYTGRDAGGVQVQNVAFPKNPSDPLLREWHKPSYNPVVPQPLDVTRNNFRDPTTAWLGRDGLWRFAVAGELAGVGSTVVYRSADFVSWERNAAPLHAAMGVPCWECPDFFPVADGGATAGLDTSASGPGVRHVLKLSKAGDEDYYVVGMYDDEADTFSPVEEDGDDVRNWRRIDHGHVFGAKSFFDARKNRRVLWAWVDEMDSRDDDVAKGWTGIQSFPRALWLDSDGKQLVQWPVEEIETLRGKQVTLLGTELGSGGLHEIIGVEALRADVEVVFEIPNLEEAEQLDAKGLQDPRKLAAEKGGVGPFGLIVMASGDMDEQTTIFFRVFKNDDGYKVLMCTDLTRSSTKESVQKPVYAGFVDVDVEKDKSISLRTLIDHSVIESFGGEGRTCITARVYPEHIATDSSHLFVFNNGAGAVKVSKLEAWELATASVNVEDDGLVALLPPLAIPILSDSAE >Dexi4A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:4A:23339179:23344550:-1 gene:Dexi4A01G0019540 transcript:Dexi4A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEDSAAAAAAAEAPPVFRSKLPDIEIPRHLSLQAYCFERLPEVSSRPCLIDGQTGAIHTYADVDRLSRRAAAALRGLGVGKGGVVMSLLLNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAAAAGASVIVTEACAVDKVRAFAAERGVPVVGVDGAHEGCLQLGELMEAAEPLAADEEVDPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLYFSKEDVVLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFEIGALVQLVRAHGVTVAPFVPPIVVEIAKSPRVGAADLATIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQVRYLNDPGATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDELAGEVPVAFIIRTEGSQISEDEIKQFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPSGDNAQSKS >Dexi3A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:3A:3919647:3923745:-1 gene:Dexi3A01G0006050 transcript:Dexi3A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAQQGKKVVEAHLFGPKKSFHTQQPVHAFVVHTQQRRSSEVGCHNKRQVDAAIVAMERDRWNHAHMEVVRSKQQKQTVVVVHEIPIEIQQGTVRATDMIHPRAPASASVQNHKVLGPGAEVDATSTSRALDLSSQAETTSDDVVHRWPAGRPDLIEIQPEKKVPEGPPSHIDRHPLDPSPARMLDLLKSESECRGGAERLLWPLSHSLHVKLQWREPTDGTEATDRLPPRIHGWQLALGSRSLSWIGGAQQVGNHQPNRSQQQLMCARNSPHCNHGSCQRPPSQHQSLYSSSSSSSSNDAFAI >Dexi7B01G0020950.1:cds pep primary_assembly:Fonio_CM05836:7B:26121560:26124898:1 gene:Dexi7B01G0020950 transcript:Dexi7B01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKERMYSCWLRGWVREQRRPGIPWFAATVGHRVIGALRRRGGVRPIRPPAPGKILADQSVHACRSQFFLAAALIDRSLRRASGARKKGWLMAAVAVKVIGSKPPECFQFQEPNTWYVSVLYPLSLALFFPSSRWMYSCLINESTGDNNDDDDSKTCTLELNDIPGGPEAFQLAAMFCYDVKMELNAGNVVPLRCAAEHLAMTEDYAEGNLVEQAETFLSQVLSTWNDTVRALHACDAVLPDAEDLLIVPRCVDSLATKACADPSIFGRPMLEYYTAKSLEETAVWNGISTAGKPRSLGPDWWYKQASTFRLPVYKRLIATVQSMGMSPENVAGSLIHYARRHLSGLRRFSDNSDGSGASSGKTMSAVLSDGEQRTLLEEVVALLPAEKGVAPTRLLLGLLRTAKVLHASAACRDALEKMAGNQLEEATLEDLMIPTTGYSSETLYDVDSVQRMLERFMMTSTSAFAASPEITDEGQMVDDAPSAELMPVSSVAKLVDGYLAEVGTDANLKLSKFQTIAALVPDYARAIDDGLYRAIDIYLKAHPWLVGSEREQLCRQMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVARWFYVPYNSDQGSSSDNCVLPRTHDDDLVDFATAGSEETTDEGSFVAARHGDSSPPAMSVQEIRQRMSELEEECSSMKQEIHKLGKPKSALSRLFSKLGLGGRSSRERQNQKEALPLPGAGDKRRKSFGC >Dexi5B01G0040140.1:cds pep primary_assembly:Fonio_CM05836:5B:38758419:38760991:1 gene:Dexi5B01G0040140 transcript:Dexi5B01G0040140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVERAKLVRSLRQESRRLRMLVLVIGFFLVTLTFVVVTKPDALLFNLNGRLSVDQAPRSLLIRQRVDADADADAAARSADTLAAVDTKVVEDDNAAEEANANARAASEDEKRVLTSEPDQGKKAEQATASELLGGEDKDSTKDLQEGHQEHQEHKVTLPTVSNYTIHDATEDGDNGKQEDGKTEAEIKLAKDVDQSNGGDRSHQTALDNMDWNKPLCDFSNFRANVCEMRGNIRIHPNGSSVMYMEPPGSKRNEQWKVKPYPRKGDELCLGQITEVTVQSSNVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITNMAIWWTRKYGVVFEKLTKYPLIDFDKDNEVHCFKHAIVGLHAYMEFTIDPLKAPHNYSMVDFNRFMRRTYSLPRDAVTALGEIPKTKPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVNSNVAHFAKVVNSVDVMMGVHGAGLTNCAFLPHGAILIQIVPWGALDGICRIDFGYPAEQMGLRYKHYSIGVHESSLTDQYPLDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFRPVLLEALDQLNQ >Dexi1B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:1B:25676193:25678805:-1 gene:Dexi1B01G0019470 transcript:Dexi1B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPATRDMILALFATALLFTSRSPPATAATTATAMQPSTTCLRRCGDIDIPYPFGVGTGCHLETGDWTFVLTCNRTSDGRLRLYNYQIEVVDMSVALGQLRIYSVINAWCYNATTGAMNGQHNWWYNMSITNFRINDAQNRFTVIGCNSLAYIRSLNDTSDTSRYMTGCMAMCPGVSRLADGSCAGVGCCQTAIPGGLNGYQVSFEEKFNTSGISSFSPCSYAVLVEAAAFEFRSKYVTTNAYPCSVSGTCVNTIGSFYCACPAKTTGNAYNGTCEGNKTQIGWQIAIGVTSGAVVLIVTATCLYMIHEKRRLAKIKREYFKQHGGLLLFEEMKSRQGLSFTLFTQEELEAVTNSFDERHVIGKGGNGTVYRGTTKDGEAVAIKRCRLSNERQKKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYKYVPNGTLYRLIHHHGRRRRRDDDDAPPPQRIPFTLRLRIAHQTAEALAYLHSWASPPIIHGDVKTSNILLDEDYTAMVSDFGASTVAPTDEAQLVTFVQGTCGYLDPEYMRTCKLTDRSDVYSFGVVLLELLTCRKALNLEEMEEDKYLSSQFLLVMGEDRLEEILDEQVKGEHSLELLEQVAELAKQCLEMTSDRRPSMRQVAEELARLSRLAEHPWGRQNSEEILALLGGSPSTASEIELGSTRIISFTDTAYIGIRSPR >Dexi5B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:5B:8051581:8056945:-1 gene:Dexi5B01G0011350 transcript:Dexi5B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASHRRRSQHLRWPVLFVAILAVHSLAVYLFTRGFLLTRTELDLHSSRDDRPPQGDVSAGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFPEKHPWMDKLPVLQELAANEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNQILEGVIDTLRSLSNPGGTHENNLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPNTPPDAVLSVLDDSSCNADLNGEEVCISTMQQLDFAATISALLGIPFPFGSIGRVNPELYALSTGTWFNQKMGTDACTSQNDLEAWMSRYAEVLCVNCWQVKRYIDQYSATSVIGFPSEDLQHITNLYSRAQANSSASFRTTCSSEAGSQDKLEGKGSVLPQQIDAYTDFLQTFAKLSRSAWTEFDLWSMGIGLLLMILSVIIQACTLIKLNTICQPSDQKSHSSIIPKFSFAFALVVIRAASFLSNSYILAEGRVAHFLLATSCITGVWYSMVEGKFGVEVSIPGLEILYYFIYSLVQSSSDILYSIDLQNLVFLLLNIFARFGIEIGMSKQLPAPAITKDHSVSAICNMSEVLEVLCFVCNDGELSWCIIKSQQRHQRESELSVAEPVLVIQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIAMVWYNTTSKDNKLKDVILNNITQVLFMYGLITAIPATLTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLICLASLYYN >Dexi9A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:9A:10041624:10044945:1 gene:Dexi9A01G0015070 transcript:Dexi9A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVVRRAEGLPPPSPAPAAEPASPERDAASPRAVAAEVRWKGPRASALGSLRRAAVRRNRTRGEAAAAWEEEFESVVTLAAASQREGAAFQPWELAFCVFSDINLGPKNKPSILGTACLNLADYASAAEEVIEIIVPLSVPGGEPDSAPSLHLTLSMVELRAVETSDASQRPAATLPLSPSSGDSLPGGKDDVSVIKAGLRKVKILTDLVSTRRSKKPCQDDEGGEDKFCVNSDGAEYPCDTESLDDDLDDRRQEDEIGDSTIRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYFSHRKSDVGYQIEKPSTTEETVMPTAKRSILPWRKRKLSLRSLKAKGEPLLKKTYGEEGGDDIDYDRRLLTSSDESVSEGSRGEDGSANGMVSEFGDDNFVVGNWEFKEIISRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQANQNMMPIQSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLHAKIRPLTVSPSKSFIGFFQPEGDDDMSGFDFLNGAMSFDSIWDEITQAAELSSSDSPNLYIVSWNDHFFVLKVERDAYYIIDTLGERLHEGCSQAYILKFDNSTTIHKVPAEKKPSSPDSSGPLKDSSGPENSSTDQDSGNDIEENVLVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHQRLQIEFHYTQSSPKEVASTPQFLASDSPFEFSWPEPLPTMEVALTSAISVV >Dexi8B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:8B:1594296:1594958:1 gene:Dexi8B01G0002320 transcript:Dexi8B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAACDDDSASPTMAVDQLQAAEPPSIEPLPFTNMLRDFSHVLSHFTHSLPSSPSRRLPVHHQLRHGGGHLDDLVLVGFMRGGVWYNRDGEPVVDDDGAYSNGGFGAVPASEEAIAALPETTVGDGDGETKGKEAECAVCLEDYQVGDKLRTMPCSHGFHERCILPWLHVSRLCPLCRFALPAAAAEAESLVDEEEEDDDEGDTIEEDVNDGEIQFLFL >Dexi9A01G0043460.1:cds pep primary_assembly:Fonio_CM05836:9A:46908126:46908892:-1 gene:Dexi9A01G0043460 transcript:Dexi9A01G0043460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFIVLFRLDTLFCWILAYTCSVPAEDSYHWLDISTTVCDIGKDLSTHNILHFSLTATEESGFQSSSFFA >Dexi7B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:7B:15546413:15547390:-1 gene:Dexi7B01G0007790 transcript:Dexi7B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQLSLVPYEAAGVGGGGEGAAGGKYKECMRNHAAAMGGQAFDGCGEYMPSSPPDSLKCAACGCHRSFHRRAAASCGGSGPPVFFRQPPPPAPAPAPALLPSPHLNYHPHHHQAALQAFLPSVPAAAAPPHLALPYHAVVPSAAAAPWLGARSGSETPPRADDFGVAGLGLGGSGGGSGSGSFGRKRFRTKFTPEQKERMREFAEKQGWRIQRNDDGALERFCDEIGVKRQVLKVWMHNHKHQLASNNNSPASAAAAAAGGIGIGIKSSGAGVGMGINTAGAGVNTGTGVTGDGDGDDDDDEDDTDDSPPRAAVSSPSPSPISV >Dexi9B01G0024500.1:cds pep primary_assembly:Fonio_CM05836:9B:20683964:20689109:-1 gene:Dexi9B01G0024500 transcript:Dexi9B01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFCQASHGASLAGAGVAVARSKGIWPVPTRPPLVLAAAHSRRQKQQPSSLQQATAAAGEKPRKVVGSKLPAASPTRTLYVQGKLRLQTFLDSPNKQLRLSFQLVSTTVAGGDGRGVKGEEAVLEAILGGGEETELDVKLAWHEALGAPGAVVVKNHSGFPVYLTLLSCSDAAGLGNAVHFACNGWVYPVGKHPYRLFFTNDACVKENTPSALLGYREDELTVLRGEGAGASSADEQPFQEWDRVYDYALYNDLGNPDLRKDLARPVLGGSDEYPHPRRTKTGRPPTRTDPHTETRVRLDQQNYVPCDERVGIPTIAAPNLPNLGGHFKSMVEIYGLVGLDHVGQVATAVKQVINSGAAPPKLPVPLMVAGTNPVCIKRVTKFPLTSDLDRTIYGDQDSKIRKDHIEMNMSAMTVQQAVEEGRLFVVDHHDWVMPYLKRINELPGEEEKGEISQRKAYAARTLLFLNDDSTLRPLAIELSSPHPEEGQLGCVSTVYTPPETSSDDILSPPEKFTAWDLAKAHAAVNDTCKNNFAIHWINVHATMEPLVIATNRQLSVLHPVHKLLKPHFRNTLHVNAVARQIIFGSGDRRKNGDIFRGIQEVIYLPSKYGLEMSSKAYKNWNFTELALPADLVKRGVARGDPKNPEKLELLIKDYPYAVDGLEIWIAIKNWVTDYCAIYYTNDGAVTSDTELQAWWWEVRHVGHGDLRDAPWWPAMNCLDDLVETCTTIIWLGSAQHAAVGLGQYGYQGFVPNSPTLTSRPMPEAGAEVTESEFLGSITPKKETLALMGMAAKSLTRTGEVFLGQRPDSELWTSEQRADEAMARFQARLEVVADDIRRRNADPTLKNRAGTVEVPYTQLMPTREPGPVIRGIPNSITN >Dexi3B01G0032090.1:cds pep primary_assembly:Fonio_CM05836:3B:34498312:34499464:1 gene:Dexi3B01G0032090 transcript:Dexi3B01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFKHFDVVQSPQDHHYLDCNDKGSGGGRKWLKRVQKEWKILETSLPDTIYVRAFEDRMDLLRAVIVGANGTPYQDGLFFFDMLLPPPYPDTPPQVKYHSFGLRVNPILYPSGTVCLSLLGTFGGKGPELWSPETSSILQVVVSIQGLVLTSQPYYNEAGYTSQIGTPEGHRNELPYCEKTYLANLHTMLHLIRRPPVGFEAFVKEHFYRHGRQILQACQAYLQEACPVATLNGDSCPTDERREQSCSKGFRLALATVVPRLVEAFSGIGAQGCNEFDWIKQTGSTGM >Dexi4B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:4B:1925038:1926939:1 gene:Dexi4B01G0002920 transcript:Dexi4B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRNTTGCTPPICYSASSGPTIWYRRPRDWYFMFFIRMDRGGSFHMYPDLGGPFQSLEEVDAAIAHHLDKLKRQAMCNEQDDISFVERLDHAHELLDVVKSLWISEGARKYYHFNFTTRVKEAGDVYGCACNLFFAEVMQMEKDGDWVVTCCCMIGPNDNGIPCSSTCADCLWPFTRARWLRPLRPRPSRIALAVLIDCCAAPLAEGFVPFYLEAGDTPDAGVDDYGDFVPTMELKRLIPAVDYTK >Dexi2B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:2B:10373459:10374711:-1 gene:Dexi2B01G0009650 transcript:Dexi2B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDAESGKAAAVAAEPTPPVSGGRGGFSGADVALRALLFAVTLAGLVVLATAKQTVLFPVPLLGVAVPMPAKFKDSPAFILLTAVGKLVSGSSASTAKNIFLLLLLDVLYAAVMASATGSAGGVAWIGLKGNSHTRWNKICDAYGNFCRHIGSSVFLSLIASIILVLLAAINAHSLYRRSR >Dexi5B01G0020900.1:cds pep primary_assembly:Fonio_CM05836:5B:23125545:23130043:1 gene:Dexi5B01G0020900 transcript:Dexi5B01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLESKAKEAFVDDDFELAAELYTQAIYAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACIKLEEYQTAKAALELGSSYASGDSRFTRLLKECDERIAEEANQAPVKKVEAPVPASVEDKEGGANMENTQPVAEPPKPKYRHDFYSSATEVVLTIFAKGVPADSVVVDFGEQMLSVSIEVPGEEPYHFQPRLFAKIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKINTPAETAPRPSYPSSKAKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >Dexi2B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:2B:20780976:20791996:-1 gene:Dexi2B01G0013220 transcript:Dexi2B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLAVASPLPPAAAPARRRPRASSSGRNAPATEFAFALRVGVAGCAVVVEGQLIPLFVMLLSSSGWVSLKEVCTDIVRQICSDQLLAQSRDDSYTIALEEVFEKHMAAAKDLLDGEDLARFLSQLHSDVSNLRAMLSAIYIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGAPCSWMDTRDVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAETIIATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNISAPGTTICKQPANDNGDLDACVKSFATIDNLALVNVEGTGMAGVPGTSSAIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVRFREALAAGRLSKVEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQQDCVRALKAAHSRFFLSKTTLAVAIIGPGLIGGTLLNQLKDQAAVLKENLNIDLRVIGITGSRTMLLSHTGIDLTQWKELLRKEGEPADVANFIRHLSDNHVFPNKVLVDCTADTSVASHYYEWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVKSLVPEALASCSSADEFMQKLPSFDEEWARQRGDAEAAGEVLRYVGVVDAVNKKGQVELRRYKRDHPFAQLCGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >Dexi9A01G0036840.1:cds pep primary_assembly:Fonio_CM05836:9A:41159271:41168722:-1 gene:Dexi9A01G0036840 transcript:Dexi9A01G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSRILAAGHLLRGSRFESSQNAAAAAAVLRRLNGANRPGAPKPLISPILGGFGPNRGVPPGKFGRLGSFVPDAAYPSLGARLPRDTRGHAFSTSANAVTAGKPVNDKDQNDASKKDVDEQIADSQILKNLGKYLLLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAIDWLAALGGADASLASFTETNATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSRMVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVQKYDKYLRKYEDAALKTQSSLAYLNFGQNVIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGCIEFENVHFGYVPERKILDGATFTVPAGQSVAIVGTSGSGKSTILRLLFRFFDSASGSIRIDGQDIRGVTLASLRKCLGVVPQDTVLFNDSIKHNIQYGRLSAQDEEVYDAARRAAIHDTIMNFPDKYNTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKSLSVDRTSIFIAHRLTTAMQCDQIIVLENGKVIEQGPHDVLLSKGGRYAELWSQQNNSDAVDPAAVNLEV >Dexi1A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:1A:22074635:22078159:1 gene:Dexi1A01G0015170 transcript:Dexi1A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNISGSSELVDGIRWLQLSTTLIDGLIQPAFVKWIEEEQALENSQISEKLMKMINSKIKEDDKILKRFNRLGKSELYLDMLFFLRFGSARSDSYFDAKFLAEHGAKILEDLVISLADVIASIYLELMSVDGDMSTEVVSSSLALCSLSTRELQNLRNEVAINWWLHQYFKSVVSMYEDRFELYVLCRKECEKPADNQAEMTNWWRLPFGKPSVPTLLNYVNISPFSLPARRTKELRALTGWRYYFSLFLELSDIAMPFLRAAVSKVGAAVSYFWVSMIGRSLGLIFSGIRQSLGWR >Dexi2B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:2B:24498058:24501782:-1 gene:Dexi2B01G0014670 transcript:Dexi2B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSLRFSPSEIARMEKLASDIKEQVLDNKFCQKLAEEFNHSNGRTGSKALQATQVQGWFLNKFPALKPTCLPIASQEKASASEVNVPVSEKKSTVSEEKVLPLDTSISNNEDEVSPIFQTETRDKIPELEELEFEAKSAKDSAWYDIAMFLAHRRNRADEVEVRVRFEGFGADEDEWVNVKKCIRQRSIPLESSQCGCIVEGDLVLCFREANDEALHFDAHVLEVQRKQHDIRGCRCVFRVEYDHDRSQETVSLKRLSRRPKYF >Dexi3B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:3B:6917564:6917908:1 gene:Dexi3B01G0010000 transcript:Dexi3B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVIHANFQGRHTPGRARRPPPVVVVSSPPPPNLFPGNSAPGKPAGRRRNPRRRARPFAARERESLRRVLICSAHPPISETKGADRSPPRRRRNWAAKGGCGLWVWSASERI >Dexi9B01G0026030.1:cds pep primary_assembly:Fonio_CM05836:9B:27703733:27706287:1 gene:Dexi9B01G0026030 transcript:Dexi9B01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQAAMEAAADEERPLIPHLLSHVMFYDLTMLPPVRKSKNICCTEFVECFVFFGVAKNLVTYLTGDLHESNVDAARNVSTWIGTCFITPVIGAFLADTYWGRYWTLVIFLSIYTFGMLTLTVSASHPLLMDSLHNSGIRYVAVYLGLYLVALGEGGIKPCTSALGADQFDGADPVERVTKASFFNWYYFSINVGSLLSGTVLVWVQDNVGWGVGFLVPTVLMVFGLVAFVAGRRVYRYKKLVGSPLKRVTQVVVAAVRNYNLRLPEDCADLHEVPVTTEQNCKIQHTQQFRFFDKAAIVATSPDEKGPAASKSPWRLCTVSQVEELKMLPRLFPIWASMILFFTVTAQMSSTFIEQGAAMDNRVGLFTVPPASLATFDVLSVMFCIPLYDAVLVPLARRATGKDRGLLQMQRLGVGLALSVAAMVYAALVEARRLALVRTGTPMSIMWQAPAFAVLGSAEVFTAIGILEFFYDQTPGGMKSMGTALAQLAVAAGNYLNSAVLGAVAALTARGGKPGWIPDDLNEGHLDYFFWLMAALGVVNLDFLHCSIRYRGNNSTAS >Dexi2B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:2B:8286438:8291432:1 gene:Dexi2B01G0008160 transcript:Dexi2B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSKPLQQFTTSAAASAPPLIRRCYPDVSWRWRRSFRLQCSQSRQDSAARTRRDLDEYDRRLRQNAGIFHPSIWGDFFLGYSNPSASSQQQMAERADKLKVEVAGIIVSSTYCGLRERLHIIDTLERLCLDHLFEEEINAALPQIETADVSDCDLGTVALWFCLLRKHRYRVSPVTLLDDIYDSYATPEECELITRCIESWDAKVAYDLPECMKFALGKILDSFQTIANMLNQEEKYRMSYLRYFIEDLVRSYNMEREQLIPHVASTIDSYMEEHNVSTEVACENIHTLKEESWKEFNTEWLNPNNTYPKQLLEKIFNMTRTMEFMYNQGDNFTNCSNLKDIIHLLFVESFAKAI >Dexi1A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:1A:11154345:11168910:1 gene:Dexi1A01G0011920 transcript:Dexi1A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQIRVTMEVGADGVALITIANPPVNALHPIIIAGLKDKYAEAMRRDDVKAIVLTGDVSLMPDVSVELVSNLMEEGKKPSVAAIQGLALGGGLELAMGCHARISTPEAQLGLPELTLGIFPGFGGTQRLPRLVGLPKAIEMMLVPGVTDIQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGEKMIAGNLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFADIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVAMAVKDIYADAFGERNLDSNLVDLMIKDGRQGKINGKGYYIYEKGGKPKPDPSVQHVIEEYRKGAKAMPGGKPVSLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKYRGGLVFWADTVGAPYIHSKLSKWAEIYGPFFKPSSYLEQRAKSGVPLVRVLSLYKRTKHIPARFREVTHVRLAMASRRMMRVTMVVGADGVAIITMCNPPVNALHPAIFDGLTEKYGEAMARDDVKAIVLTGDMSLLPASVKLVSHMMEEGAKPSVAAIQGLALGGGLELTMACHARISTPQAQLGLPELALGIIPGSGGTQRLPRLIGLPKAIEMMLVPGVTNVQLKPRQIRKVAVIGGGLMGAGIATALLVSNISVVLKEVNAQFLQRGQNMIAANLEGLVKRGSLTKDRINKAMSLLKCALDYSEFKDVDMVIEAVIENISLKQSIFSDIEKICPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITLGKIVKKVPVVVGNCTGFAVNRAFFPYGQSAKLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVGLAAKHIFAAAFGERNFSCDLMDLMIQNGRQGKSNGKGYYIYEKGRKPKPDPSVQHVIEEYRKRARTTPGGKVFILM >Dexi8A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:8A:10874580:10875396:-1 gene:Dexi8A01G0008790 transcript:Dexi8A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCTWCFCFLLCLRFLVCNACLHKERSALVDIGNKIGAWTHPSDGNDCCRWDGVTCSSGTGRVTGLDLTDLGGVIYLLNATWFLPFEELQSLSLSNLAIQGCLPGAGFEIWSSLHKLEILDLSNNQLNDSAILFLDSLPSLRSLFLNGNVITSAQILKRLSKRKLEVLDLSWNVIVDNISTGTVFIPSYYY >Dexi2A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:2A:6048606:6049535:-1 gene:Dexi2A01G0006360 transcript:Dexi2A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHFSNSYYFGHGATAAAHAQCDTHYNNLQNYDGGAAMDASSSFFQSFSSYNPHPYSCGDYYHSSSSTAWPSSSFTTPSSHHPQHLHFGGGNGSMDEYYSYQLDGMGVAAMDQFSSLMGAASSSISATSTSSGNSSSHGSSYFLPPEAGAMADDTPAMIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGGAAVLNFPVEYVQESLRALALTAGAAAGEEEDSLVLALKRRHCIRKRLPKNKKAAAAKEESSSHHGHGKQQKQAAASNSCVLELEDLGADYLEQLLALSDQ >Dexi5A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:5A:6549519:6557468:1 gene:Dexi5A01G0008770 transcript:Dexi5A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATWDVCGEKAGQGRGSHGSERQCTGSAVGSDGASGGFTLASLSPAIHAHSRDADGWARARGKSQAGLAGSGWDRGGLLSSRSPGTGFPNVAEVRSLDWLNPRRHLPPLRAALRRLLERRGTFRIAEASSSASARAMEVRGLGQLLAALAAALFVRAIAGPGPALLPPAEDDDTDAEAGEEGGGGVPPVTIRWARITCALKNKRGEVARFLLSNVSGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASSSLDLSGYLYVNGRPISQGGYKIAFVRQEDLFFSQLTVRETLSLAAELQLPDTWAPERKELYVNDLLFRLGLINCADSIVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEIVYMGPAKEEPLTYFASLGLLCYTLPIPFVFFLMFSEAFRDGPTNKVRARMSVASAVIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERTIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPRISLIRWAFQGLCINEFNGLQFEHQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWSIYLLLKKNRPKYQALLPPIGRRSK >Dexi4B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:4B:7161405:7162775:-1 gene:Dexi4B01G0009830 transcript:Dexi4B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKWVWDESVTGPRYDSESCDMKSTEKCVINGKPDKGYLHWRWQPTGCNISALDPTSFLRLVRGKHLAFVGDSTARNQAEALVCYLSTVAKPVTVHRYEERLGRKFWQWFFPAPHSVNVSTYWSPLLVRAEGHSEDYAMTQETVILDALTEPWTADVDAMDVMVISVGHWFPRPAMYYEDGEVVGVYSRPDITNKTDIGYLGVYRKVLRRTLEYVDANSTRDKLVVVATIAPAHFDAKHGWNHRDACSRTKPFEDGEAEVAAADAELRNVVLEEVATAAAKRRKRWGVRFEVLDVTRMATMRPDGHPGPYLFAHSYDLRPVPETVANDCLHWCAPGIVDTFNDILTKMIVAGG >Dexi3B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:3B:2563382:2565780:-1 gene:Dexi3B01G0003750 transcript:Dexi3B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLRRLAAGLLKCCDLDIPNRPKGLEDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDIIEGIIDKVYLSASDTKHDGKIDKEEWRNLVMRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >Dexi2B01G0033840.1:cds pep primary_assembly:Fonio_CM05836:2B:41215775:41216115:-1 gene:Dexi2B01G0033840 transcript:Dexi2B01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPEHGGEARVGDERVGPRDAGPEGPEAEQRDDAEEQDPGDSEESRPQQRVSAPLRVVAEESAPAADAGRPGGRARGEERAQEGERHAGGVTGGGGGGHGLEGGKGVAEAG >Dexi6B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:6B:26127735:26128457:-1 gene:Dexi6B01G0019330 transcript:Dexi6B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFKSAAAEPPDSTVTLSEQESIRASSERPSPPKRPAGRTKFQETRHPVYRGVRRRGRAGRWVCEVRVPGSGGERLWVGTFDTAEAAARAHDAAMLALCGASAASLNFADSAWLLDVVAPASNAAYDLPAVQRAATEAVAAFLRRHGHGGDATGDAPQPPTNNAVEASGTPATTVGSSVVDNGGGGGMVELDAFGGMDVGSYSYYASLAQGLLIDPPPPSAVECPEEHDDDECEVELWS >Dexi5A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:5A:1281336:1281560:1 gene:Dexi5A01G0001810 transcript:Dexi5A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHRGKKEEGDEWRRETREDRRRISSGAWRSVWGAGPEGEPDSPQLPLLRLRLAAAGDVPPRAASRIHWWLA >Dexi5B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:5B:1057947:1060829:1 gene:Dexi5B01G0001650 transcript:Dexi5B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMAVLGLALALAAAAQVAGAGHDYGQALSKSILYFEAQRSGRLPGGQRIAWRANSGLLDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGDQMAAAGELGHAMEAVKWGTDYFVKAHPEPNVLYGEVGDGESDHDCWQRPEDMTTSRQAYRLDPQHPGSELAGETAAAMAAASLVFRRSNPGYANQLLQHSKQLFDFADKYRGRYDSSITVARKYYASSSGYGDELLWAAAWLYKATDDLRYLDYLANNADALGGTGWSINQFGWDVKYPGVQILAAMALLQGKAGAHADVLRRYKQKADLFACSCLGKAGSNNVRRTPGGMIYHQSWNNVQFVTSASFLLAAYGDHLAVARQVAQCPSGTAQPAELVAFAKSQVDYILGSNPRATSYMVGYGATYPRQAHHRGASIVSIKVDPSFVSCQGGFSSWYHRQGSNPNLLVGATVGGPDEYDNFADERDNYEQTEATTYNNAPLIGVLARLATGHGGGRFGHSLAADEVAATSIKSDNQTSLASLSLAAEEHTSPIEIEQDATASWTERGKIYHRYSVTVTNRSPKTVHELHIGISKLYGQVLGVNKARYGYVFPSWLGSLPAGQSAAFVYIQAAPPADVWVTGYKLL >Dexi7B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:7B:24896850:24898487:-1 gene:Dexi7B01G0019400 transcript:Dexi7B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEGSHPITRSEIVRTKPTAIADGKYEPAGEGLPDGWFKECRPRKNRYGSRIKSDMFYIDPINGYEFRSLKDVYRYLESGDIGQCVGLPNKRKIEDLHTAGDQPDNWLSVTTPTVDPCFEIQTGKPSDHTQQDTSNSNTLRNVQREAVQVEASESTSIQSGLIEHTPGKAESITRTGANVEQKPKEKKRKTKPVKGIATPLRSSPRLAALKISQEANNSAPRDEPVSTHSDITHQSEPKQVEKPRRKANSSVLPERKDGTPTASSSEKFQDKYTSVPNEVQGASVPYSTGDAVCHNAPAEAPVLPQQLGQGEASDNMPGSTLSSLFRHVWSDPCLVFAFRTLMGDIPVLNDTLPYRSAYDGNRTYFLPPQNINKVVAPNWSSSAYDGNRNHTQIDHAAGMSIPRPSDRFYGSGWFPPQ >Dexi4A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:4A:7301224:7305880:1 gene:Dexi4A01G0009280 transcript:Dexi4A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESFQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMVETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDKEVNMKS >Dexi3B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:3B:8183269:8185742:1 gene:Dexi3B01G0011660 transcript:Dexi3B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAADIRREVAAEVAALSSKHNFVPGLAVVIVGSRKDSQTYVSMKRKACAEVGICSVHVDLPEDISELALVAEVHRLNADPAVHGILVQLPLPKHINEEKILSEISIEKDVDGFHPLNIGKLAMKGREPLYVPCTPKIKGDWIKPGAAVIDVGTNSIDDPTRKSGYRLVGDVDFAEVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVE >Dexi3B01G0037240.1:cds pep primary_assembly:Fonio_CM05836:3B:40043375:40045077:1 gene:Dexi3B01G0037240 transcript:Dexi3B01G0037240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGSHGNTKDEPTRKSPRASPSRLEHVDDAADHPKNSLLPQELDEEYPKDRSDSSRSRSDASHGRAARSGDFEFYMEERSPATAPAAAAMSGPFFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKPAAAAASPHIPAAGGGRVAPESAASKGGGGSATVSAVTEVSSKSSSPSSVSGPPGSKPPPHKKLRFSSAAAMSVSMRDVGTEMTPIASQEQSRSGTPAGAATPSLSPLCSVPSSPRGGGSGSASASSSASEREIRLRTRREIAALGLQLGKMNIASWASKEEGLLAAQAAAAAAPEEGAGDIDEEMKRKEFEARAKAWEESKKCKLASRYQRKEAKLQEWESCQKSKFEAKLRQAEAEAEQMKARAKQELAKRLSSLSHKVEGKQARVEARRSRRAARLAREVERIRKAGREPCRLRRCCTWFLL >Dexi9B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:9B:4525021:4528347:1 gene:Dexi9B01G0007420 transcript:Dexi9B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPESKEGKSPEELLCAAAKSGDEEEVARLLASGADATHFDAGGLTPLMHASAGGHAAVARLLLDCGAPWNALSPSGLSAGDIASDPDTYDLLLDHALRSELILGTVARRHAAPANASDGTSAESYLESRVSFSEERVMDAESKAVMMAWERPLMEAHARAVCQGGGKVLNIGFGMGLVDEAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVRIVFGRWQDVMPQLGSYDGIFFDTYGEYYEDMREFHEHLPKLLKPGGVYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLPDEVWKGVKQKYWQLDTYHLPVCQSESESE >Dexi5A01G0031170.1:cds pep primary_assembly:Fonio_CM05836:5A:33994423:33995400:-1 gene:Dexi5A01G0031170 transcript:Dexi5A01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTALLLVLLLASPAISFSKRIQPKFSAIFYFGDSILDTGNNNRLPTLALANHVPYGRDFPGKKPTGRFSNGRLVPDLLNQRLQLKEFSPPYLDSKLSNNDLITGVNFASAGSGFDNQTSQLANTLPMSKQVNLFKDYLLRLKDIVGDKDASRIVANSLIFISSGTNDFSHYYRSSKKKKMDIDEYQDTVLQMAQAYVKELYDLGGRQFSLAGLPPFGCTPIQITLSRDPDRACVDEQNWDAQIYNSKLQELLRTMQGSLHGSKIVYLDAYRALMEILEDPAKYGNVFY >Dexi9A01G0032510.1:cds pep primary_assembly:Fonio_CM05836:9A:37415488:37416342:-1 gene:Dexi9A01G0032510 transcript:Dexi9A01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQDAQGKSNGAAPTSLHSFSQLPFIHLTGDKPPPPPIRLFGFDVPPEPNAAATASPSDAKKAGATAAEATHAPTMAPPPPGPGAAASGGTSNGRRFECRYCCRNFRTSQALGGHQNAHKEERQHAKRARLHTAAMAMARFPYCPLDPAAHLLYRPANYATALPPPPHYSAWTAAGAAYYVAPRPPHIPPAPHQNIGSPAVPNKLWRPHGGGGVGVAAATPPLAARRLSSLGWRQEAVPVGVAGSATFSRSTSSSAWPPSSPHELPTIPERKENNVSLDLSL >Dexi3A01G0033280.1:cds pep primary_assembly:Fonio_CM05836:3A:38063428:38064290:1 gene:Dexi3A01G0033280 transcript:Dexi3A01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQVGSMEKQKRLSERYLGNDAANLELTEECPRKDLVHNPHVGQTSRVWVMEEDIQTVRDELIKGFLEIDMGGRTIGIKEMGRLNEKAFKVACLAKVPPEEVGAASYELYSYWQQQLGDLSWYPFKTVTVDGNHQEIVDVEDDKLQELKRAWGSGAHNAVVNALLEMKEYGRLSDRSVAYELWNYKEGRKATMRECVTYMSNQVKQLKVTKRRKIAGIATLPWSDFLL >Dexi3A01G0029580.1:cds pep primary_assembly:Fonio_CM05836:3A:31757089:31757520:-1 gene:Dexi3A01G0029580 transcript:Dexi3A01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFDDADDDPPAAASASEKRKREDGPAEVAAGGGPLKARILAARGGEPEGSAVVGAERAEGSGRKAVETVFGGEADGISVRIDPDVLDCSICFEPLRPPLYQD >Dexi4A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:4A:1579347:1586449:-1 gene:Dexi4A01G0002320 transcript:Dexi4A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGAPADDPKVFRNICRDRILNDLLKPDKDKETKSSWKVLIMDKFTVKIMGFACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVTYIKNDSSIIPRIGALREMNLEFFAIDMQGFVTDHDTALNDLYGPSENNSKVFNDTVSTMATRIATTFASLKEFPSVRYRAPKGDASTSTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGTKYTYEVSKAGSEPEQKEAVLEDHDPLWLELRHIHIADASERLYEKMNNFVSKNKAAQLHSRDGGEISTRDLQKIVQALPQYSDQVEKLTLHIEIAGKINRFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLVIYAIVYPEKFEGDKGEKLMQVFHKIELIEKLGKGELPLKEYPSLSEPSSAPKGATQTAQTAAPPAQNPQPMSMRSRRTPTWAKSRNSGDSQSSDSSVLRHSSGDFKRLGNRIFVFMIGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFISKLKSIGSASN >Dexi6A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:6A:3510703:3513961:1 gene:Dexi6A01G0003770 transcript:Dexi6A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAGMRLFGVTIAPAPEADPPDRDPSPNPSVAVREDVMRKCKSMGNLAALGAAVDGGGAGADGGGAGDGYLSDGGLMQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPGELSPAPNCPILPPSMAKVHDVIAMTKQLQSSNLEGVSSSNAATLAPQIGRDLPPVPSFRATNTDSSFSKLNHMVKLI >Dexi7B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:7B:22591460:22594113:1 gene:Dexi7B01G0016550 transcript:Dexi7B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLLVGCRIEMEEETFFDSREELTPAPSPRPALPWSGSLDSVWQRRERFMRSMGLECSSSPGLADAVATVGDVEKVEELVPEFERSWSQSDENDCSMSSWSTEDTGSYGDGASDDNSVSGSSRDDASSKVGRSFSSLSFIQRLMSRSGKLSGVPKAIERRRNGWLRRLGLRTGALDHGGDEASTSSSETEQRGGRYERVKVRCYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLASGGEDGVVRVWGVMQSEDCKIPMDDPSCVYLKSHCKSGLAPADPDNEKKCKVKGVRQSADSACVVIPTMVFQISEEPLHEFRGHSGDVLDLSWSNNKHLLSASTDKTVRLWEIGSASCVTVFPHSNFVTCVQFHPVNENRFISGSIDGKIRVWDIPRCSVVDWVDIRDIVTAICYRPDGKGAVVGTITGTCRFYDASDNLLRFETQIALNGKKKSSLKRIAAFEFCPSNPSKLMVTSADSKIKILDGTIVTQNYSGLRSGSCQSLATFTPDGQHIVSASEDSNIYVWNHENQDEVSLKHAKTIWSSERFHSNNAAIAIPWNGQKPRNPVSLASQILPPQGDNFWRMSKAVKCSSTRSEDSTINNFVSRFAPGIFNYNQEFSTESTCRSSATWPEEILPSQSVRAILDESQYKFLRNCFKSTSNSWGQVIVTAGWDGKIRSFQNYGLPAHQ >Dexi3A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:3A:17046185:17050303:1 gene:Dexi3A01G0021430 transcript:Dexi3A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGKKKPHQARNGAAGGGGAGAGAGEKKRLSVLGEEGCDVGTGIEEKYALDRELGRGEFGVTYLCVDRGTREQLACKSISKRKLRTLVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVRNISSYENNLVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKNGIAKFGSHLAESEVHMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALVEDGGADSMDVVNDILNEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSLKLGNE >Dexi6A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:6A:7300807:7301194:1 gene:Dexi6A01G0007380 transcript:Dexi6A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLTESVGALGLLVVYVLGGTDWFGEPHEDPPRWSLASRWKFVSKEAPTVSVAIFGGHRISRSLCVSTNG >Dexi8A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:8A:3727757:3729721:-1 gene:Dexi8A01G0004340 transcript:Dexi8A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYMEMERDIAGVEAAGDGDHLQGSTGGGVTASKGKNALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGIVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNMGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLIATLYVLTLTLPSASAVYWAFGDNLLDHSNAFSLLPRSPFRDAAVILMLIHQFITFGFACTPLYFVWEKLIGVHNTKNLVIRAAARLPIVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPAMAHMATFAPAVARENSVERPPRGVGGWAGMYVANCFVVVWVFVVGFGFGGWASTVNFVRQVDTFGLFTKCYQCPPKH >Dexi5A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:5A:1416701:1418557:-1 gene:Dexi5A01G0002020 transcript:Dexi5A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRLPQLPLLPRGPAPRRLLSAAVSGAATAASPLPWPGLHAWRQAPPSDLRTWGPNGPCASDADEAAGAPPEADAAGSSLAEMGALVLSTADPLAKARLTHAAFCRWAAGLPVGHATAPDHPARPDKPLVVTQKEITTHKEMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFAPLRDTLGDGFFADFARVADDESRHFQWYSQRLAELGFSYGDMPVHNLLWRECAKSSSDVSARLAVIPMVQVPHQYCFSFCVMLEARGLDAGPRLVQRLFGFGDHRSADIVARVAEEELAHVSVGLYWFLKVCQMMGREPGDTFKDLIKEYGVVLKGPFNYPARDEAGMPREWYDEKFKQESAQKLAEVHDRLACIVEMEKENASAND >Dexi2A01G0024030.1:cds pep primary_assembly:Fonio_CM05836:2A:35706910:35709699:1 gene:Dexi2A01G0024030 transcript:Dexi2A01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVASLPPPISVHRLCVRILPVYLLAVAVAAPWLVPPCSASFSFGFPARHDYRDALAKSILFFDAQRSGRLPPGQRASWRGDSGVSDGAAAGVDLEGGYYDAGDNVKFGFPMAFTTTMLAWSVLEFGDSMPRDERRHATAAVRWATDYLLKTLAQPGVIFVGDPWKDHDCWERPEDMDTERTVYNVSAGRPGSEIAGETAAALAAASMVFREADPVYAETLLEHAREAFEFADTYKGAYSDDPDLRAGGCPFYCDFNGYQEFIEGEVLALQSYKEFADSFICTLIPESSSPHITYTPGGMLYKPGGSNMQHVTSISFLLLTYAKYLSKSSHTVNCGDISVGPVTLQQQAKKQVDYLLGDNPMKMSYMIGYGDRYPQRIHHRASSLPSIKDHPQRIACKEGTPYYNSSGSNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAFLVDNPNPGHIWH >Dexi5B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:5B:3347628:3349185:1 gene:Dexi5B01G0005010 transcript:Dexi5B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFLYEGFLSDMECDHLISMARDKKESSLVSEADTRNNSQNNIDTSIKVYLAETKFLNIQFVLTSYLLQLMKDTVVSKIEDRISMWTFLPKDFGESMKILKYEVNESDYNNYEPQSNSGHDRLVTVLMYLSDVKRGGETAFPRSELKGTQVEQGARSECAGYAVQPVKGSAILIFNLKPDGVIDHDSQYELCSVVEGDEWLAMKHIHLRKTDTPKSSLASEDECTDEDARCVSWAAGGECDRNPIFMIGSPDYYGTCRKSCRVC >Dexi3A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:3A:1596585:1598105:-1 gene:Dexi3A01G0002360 transcript:Dexi3A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFPEDTVSSATSSPASSLYTPSPHGYGSWVQELSHDQQGVQLIGLLYQCAAEVGAGAFDRANHSLEQITQLASLDAPHTLQRLAAVFADALARKLLNRVPGLSRALLSTANSPESHLIPAARRHMFDMLPFMKLAYLTTNHAIMEAMEGERFVHVVDLSGEASNPVQWIALFHAFRARRGGPPHLRITAVNESKEFLADMAGVLARDAEALDIPFQFASVEARLDDLDPDALRHVLRVRSGEALAISVVAQLHRLLAADDASRRHVPGSSCLTPVQIMARSSPSSFGDLLERELNTRLQLSPDTSSVISSLLPQSPAAAAAQQQRPAVAKLGSFLQAVRALSPKIMVVAEPEANHNAAAFMERFEEALNYYASLFDCLERASSSSSPAAGQGRWRAERARVERLVLREEVCGVVAREGAERKERHERLAQWGRRMEATGMEKVGMSYGGMMEARKLLQSLGWGGYDVVHDARGEAFFFCWHRKPLYSVSAWRPAACRHGRLAGA >Dexi3B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:3B:1248149:1250443:-1 gene:Dexi3B01G0001740 transcript:Dexi3B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDISLLSLSPRRRDHGRAGAAAAGEGTPTHTARCSVPPPSLLVRCHPAAMAVASTALPLLLARRPVSARPTSPHLHSRRLALAPLRPGTLSAAAATHPRKARRLEQLNAASCCGNSAPAAGTTGGSAKGWRVFLAWYLMSLDKNPIATKAITSAVLTLAGDLICQLVIDRVQELDFRRTFVFTFLGLALVGPTLHVWYLYLSKLVTVSGASGAIARLILDQFIFSPIFIGVFMSLLVTFEGKPSLVVPKLKQEWLSSVLANWQLWIPFQFLNFYFVPLKFQVLAANFVALAWNVILSFKAHKEVIAK >Dexi7B01G0022500.1:cds pep primary_assembly:Fonio_CM05836:7B:27405763:27406607:-1 gene:Dexi7B01G0022500 transcript:Dexi7B01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKVAMATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPPPVDAVYTTFGTERKINVLLPSLESYNVRQLYPDGPNIGVSDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFRNLHHLLNSLRPLQARATLIHMLESQIQRRKQAIEDIKQ >Dexi5B01G0022630.1:cds pep primary_assembly:Fonio_CM05836:5B:24893112:24895800:-1 gene:Dexi5B01G0022630 transcript:Dexi5B01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVAAHLVPSFPVTHGTTPPIGPTPTAASSSSSSSSSPAGDSYRRVHGDVSSEPPEWRAATDESGKPFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDMTIAADNAIFGQTGPKNPPFFVSLSHPPPLLQSVSQVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADKMGLVNTVVPLAELERETVKWCRQILRNSPMAIRVLKSALNAADDGYAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >DexiUA01G0003580.1:cds pep primary_assembly:Fonio_CM05836:UA:7278402:7280517:1 gene:DexiUA01G0003580 transcript:DexiUA01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGISLLDKRFLPAMDKVGRVCHVFLTPTHAMLLHNLIGATAAGPDGGGPQCVAQFAKDLLFREYNLASRNGGIAFSVEVALLHRALRSVLAVHAQPPAAGDAPGAPAIQVKLVNKLAAGSRSATPFLTFETKGARAAVVQDVPISRPLSRSDIERLQAALDAAKDLPQVCSVLATPYGSFSFIKPKYVGSEFRKLRVIGDRANAPVADQNLAASTRLDMAVERGEALSVQVNMKHLVKSLHCHLAKPDYTFYGIAPGGGCLTVVFQYFIPGTRLADKSISFYCRLPVLDPGSS >DexiUA01G0006800.1:cds pep primary_assembly:Fonio_CM05836:UA:13076301:13079027:-1 gene:DexiUA01G0006800 transcript:DexiUA01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGHCILGMGRPTKKLLRWLAPLDETGKGLRFISVVGPAGIGKTTLAMELCKQLSCEPSEGHYNFQCKVMAKASRRANRNELLLRDIISQISDPAPAHSDKPQSMKLELLVSHASELLQDKRYFILIDDMYLRRKSEWEKIKAAFPDNNFGSRILITTRFPSIAWWCCSNSGGFVYMMKPLNKKDSQKLLLLKAFDSVDVSLPDDVKPFVNEFLMRCEGIPLFIVGMADCLKKQLQKQQHHNEQLQQEEDAKDGENPRARICGEEQPPQLPEQIQKALASTFDDIPYELRPLSLYMSMFPYGYRFDKDQLIMKWLCEDLTDDWDEWRNVDHADAEKYFSQLVDRNVLTMVAPSYKSDQDETEACQWHVNYFMQQFLASKAAETGFAFTSATVKLGEGHGNKIRVGRRISIHHQDPCLPSPFDIIDLYQTRSLAVSGRVSRIPLYKFSFVVLDLEGCDSLNDDDLLQVCRSKMFFLQYLSIRNTGVSKLPDEIEELCSLMMLDIRGTKIRQLPKQIAGLRSTLRTLLLGSDKEMRNAVEPATILPLDMLLLHRLSTLATIDLSEYSASFLEALGAMENLRVLAITLFSQQCSDRAYREALLSSIRKLKWLKSLTIHCGLGCSMEYLKALHDPPQDLEILKVTLGRFASVPEWICKLKYLSFIQITIFKQGTDDLKILSDLPKLHFLILGLDFIPEEAIVIESVGFLELQRFSVDCPVPWLTFRTGAMPKLTFLQIKFYAENSQTSVPSGIGSLTSLSEVALCYANSPNIKVTVRTMREQIAKHGNQIELFINGDQDCKVQVDDEEVANTVGTHGGMDVKTTRTDIQSEMKIGMDFGIEVQSINVNMRTTTDIQSEIEVEAEAESEA >Dexi3A01G0032600.1:cds pep primary_assembly:Fonio_CM05836:3A:37460586:37461200:-1 gene:Dexi3A01G0032600 transcript:Dexi3A01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRRRPPEFPRYHPSPEPSTDPETPATSPLFSKLKPSGGARRGPAGGGLSESPCGGSCRWRKKRTGAEKGGDSNPPAQAQFYGSEKGPIRVIFMGRFIEPMGRSAPCPHLSLHTGYAYVRRLASRVRRAPPLGDSEGDYPAIPSPVALLRSFAVDSWWLGPEARSLSRGSFQLGRKASLGRGGSCPGAATFPSVARFQGRR >DexiUA01G0006040.1:cds pep primary_assembly:Fonio_CM05836:UA:11122439:11125233:1 gene:DexiUA01G0006040 transcript:DexiUA01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFDRHYCGKCGLTYVYNQKAQEFVIARSPRLFRRPQLRLRRHPAASAAALRYANLLELHAPAASTAQPGALRCPAPAALPCRLHSAPVSTATTAGRRATWRPLYSRKPQEPEFVFQQAGNGQADGDRGVEEPLNGHYGMLQKGKGSVTAETEQDQPEFEFQRGSLEALVRPVNVVRPLNGEGPKNDESDAFLELQDSMSVATNTETDEAGAHERWWKPSSPLGMSVGTPGAEFYDAFEDT >Dexi5A01G0025540.1:cds pep primary_assembly:Fonio_CM05836:5A:29369196:29377064:1 gene:Dexi5A01G0025540 transcript:Dexi5A01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIPVVGTSCPCELDAGGAAVEDAYGDGRAAEEQTITPWTQTVASGYNLMRDPRYNKGLAFTETERETHYLRGLLPPAIISQELQERKIMNNIRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFTRSQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQEYADFLQEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGLIAAQKLLGGTLADHTFLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVNSRKESLQHFKKPWAHEHETVGNLLDAVNAIKPTVLIGTSGKGQTFTKDVIEAISSFNERPIILALSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVSEENFENGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPIYRNYR >Dexi9B01G0043370.1:cds pep primary_assembly:Fonio_CM05836:9B:43330874:43332561:1 gene:Dexi9B01G0043370 transcript:Dexi9B01G0043370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSIDLAAAAGAVAFGIPRGAPAFGIERGAPWDYCFRYNHFVAAPFYLGTAAAINLGNTNSCIAGYDLAPGSTYYQFCIPSWVAVTDNGTLSGAAAMDHAALSPGTAISGFMRLTGQDGRAKEFSPADLAGILISELKHKAEAHLGREVAHAVIAVPIHPTYTARRALVAAGRFEYGFLGVKVIDQQISVAAAYQHHTKQGGGKAVLVFRLGGRTSDATIFKFINGTTRYIAARSDLFLGGKIVDYMWDIRQDKKALLRLRVACEHAKKALSDQEETLVQVDSLVDGVSFSAPLTRAKLEELNQDLFDRAMGLLEEVVMGTGKPRVDSRKDMVDEIVLVGGSARIPKVRQLVKEYFHGREPNSRQGVEPEEAVVLGTAILSRPEAARYIEECFDHSYGDG >Dexi4B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:4B:1774481:1774837:-1 gene:Dexi4B01G0002780 transcript:Dexi4B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAAAGLKQILRRCSSLGRRQQHNGGEEEQYEEEEAAGLPSDVPRGHFAVYVGERRRRFVVPIALLDRPEFRSLLRRAEEEFGFAAGGILVLPCEEVAFRSLTSALACAAAR >Dexi1B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:1B:750337:752213:1 gene:Dexi1B01G0000870 transcript:Dexi1B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARRLRPLRRRLLPRLCVFSLRANGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRNVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEATPKKSAAAAN >Dexi7B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:7B:18225142:18225819:-1 gene:Dexi7B01G0011090 transcript:Dexi7B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAVTTDGAAANPALEPDTDAAATGEGLEVAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHLDEPTYELVCAHGTGHAEVVRVHYDPETCTYAALLDAFWKKINTTTLNRQGKDVGTQYRTGIYYYTAEQERLARESLAEEQNKWEDPIVTEILPARRFYPAEEYHQRYLEKGGQSAEKGCTDPMRCYG >Dexi3A01G0022550.1:cds pep primary_assembly:Fonio_CM05836:3A:18164403:18168302:-1 gene:Dexi3A01G0022550 transcript:Dexi3A01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFKVVGTPNYMCPELLADIPYGFKSDIWSLGITFYLPQDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLLPYVNQYRPLYDASNPMRMPEKPSPTSRSTQRSMSDSQSSSISSSDIDSNQSSDRSTSGGTTSTDRKTVDTGNVRDADQVMLDEKCSTPEDFRCKDSSSIQFKRQDSSKSIHVDHPTRTESKQPKIIEKIMTTLREESRLREVNSPVRGGIKPISGLGNSNQVEQPLQVSRTTNSDMPRTLKSGNMLSHEEHVSQGEASSPMKQLSPIAEHSPKIKNASPLTPEPAKQIAENGAVASGKTKSKIPPATRRPSPQRQAGVGTPSPPATVTRRAHTKVTAEKEKTPERPSCGPDIALSNPRSSAPNSVSEEDDLSTITCSEISTDKIIVTNDGGLTLRSALEPSFLSSEQEFVCKDDVQSSKPKKSTTFERGEDKFTVQELLSSAPEAPSILSAPEVAPSISLALEVAPSISLAPEVAPIPATNGTLLEAPISLQSWKKHVVSHLNPPVEDITQTIRHSTLGVSDEQPAPESVKREAGSTVIIKLLSVVPEEADAKSSSSNTLPPAASPVTATSHVSEANAATKAPDTLDLVKLSAASSETSNVMKEEASPTKEALDVTSFRQRAEALEGLLELSAELLENQRLEELSIVLKPFGKNKKTNVSSPIFCNAAASPIAQLRLPGVLDPLSVALLFLENGCNSAKESQARGYVADEYEGSMEEIHMCIEISAKQE >Dexi8A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:8A:2215273:2220506:-1 gene:Dexi8A01G0003090 transcript:Dexi8A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGGRWMSAVAVRPLLHLLVGLVLYEVAEAMIVPVLVDKVTAALCPADARSCPEAIYLTGLQDSVGAIFKIIGFPLMGQLADEYGRKPLLLLTASTSIIPFAVLALKTSSTVVYVYLILRTFSFLIGQGTLFIISLAYTADVVEPSKRAAAFGFITGIASASHALGDIFTRFLPTGWIFQVSVIFLICSVLYMKIFLVETLQRAPSSPRHSSLSSLVIRVPQQRWESIKENINIFKNSESLRRIAYVDFFYKLGMSAIIDVRLYYLKSVFGFDKNQFSEILMVVDIGSIFSQILVLPLMSHVIGEKGILCVSILALIAYAFLYGVAWAWWVPYFSSLFGIIFVMARPAVASLYFAWMLNPKGRDKCTEVAVSDEPGEEALQAPLLA >Dexi9B01G0047510.1:cds pep primary_assembly:Fonio_CM05836:9B:46564729:46567227:-1 gene:Dexi9B01G0047510 transcript:Dexi9B01G0047510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGSPDDAVVAAAAKPPTHPAKGGPAPAAWGKASDGGGAAAQEKGGGPGRVLEAPRLREFTLAELRVATKGFKPEMVLGEGGFGRVYKGWVNERTLNPAKSSAGVIVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCGEDRELLLVYEFMIKGSLENHLFRRCSQEPLPWNTRLKIAIGAARGLAFLHSSEKQVIYRDFKASNILLDADFTAKLSDFGLAKNGPLAGRSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDLNRPSHQQNLVDWARPFLAGRGKLTSLMDQRLGGQYPPKAALHAARLANRCLAGDPRSRPAMADVLAALEGIEAMQAPAGAKGSHRDLPPRPVSRPSPYRDSSMPR >Dexi5A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:5A:12907988:12911418:-1 gene:Dexi5A01G0015330 transcript:Dexi5A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGGEDDIAISEYMAHLSEDDGKSVEDDTYENTDFDEIDDTSDIDLIPEHEVENKVVDSGGYIFDGDVGDDDLYSLRMRESTIQSKGKDDGMNRDEGKGKPQPDPIPMKNWYGFVRNTWLEHNLFTCGGTGMVGRLVGSLAAIHRAARRCLALSLRA >Dexi2B01G0029870.1:cds pep primary_assembly:Fonio_CM05836:2B:38218127:38219467:-1 gene:Dexi2B01G0029870 transcript:Dexi2B01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVAAVCAILLLLNVGHVESRRHGDSDSGRQYKLFVFGDEFVDTGNYPVADLAKNTRAWYYPYGSNDKDHGASPSGRFSNGLVLSDFFARILGQKESPPAERKREQDGVDPFGMNFAVGGAGVVEGTRDAPNLGRQVDKFRRLVRHGIIDKDLTDSVALIAFSGRRDYERFDDMSSTEVKAMAQQVTDNIADAVEQLMDLGVEKVVTTLPPIGCTPWLSRSDDGVYDAKCDSQKVVTIHNSYLEEKVFQEKGVFNLDLEAAFNHNAGPSPRSKHFKYRLEPCCESSEKSGYCGQVEDGEEQYTLGSKPDKFFYWDDINPTHAGWKAVVKEFEESIKNFLDI >Dexi3B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:3B:15287105:15293227:-1 gene:Dexi3B01G0020280 transcript:Dexi3B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHPSPFTLGQAHEHQSSDESRRFRFVMDKHKRCHVAPGASPELNSIQSLVKINGKKTEIRQGSDENNRFFGSARRCPLVWIFFVRCTEWLNERPKESGRLWLLRRRLLPAARWHVRTRTRLGMEQELRTAATATGGLKAHSSVCFSGALIDGPRIQQLLLNCAAALESNDVTLAQQAMWVLNNIASSQGDPNQRLTSWLLRALVARACRLCGPPGSTTTQAPAAAAARERAVSVTELAEYVDLTPWHRFGFTASNGAILRAVSGSAAVHVVDLGVTRCMQWPTLIDMLSKRPGGPPALRITVPSARPDVPPLLGVSDEELGVRLANFAKSKGVQLEFNVVDCSSKGITSTASAPDNKKQGPCQDLLASVLSDPASLAVRDGEALVVNCQGWMRHVAPGSRDAFLHAVRALNPCLVTVTDEDADLDSPSLASRIEGCFNFHWILFDALDTSAPRDSPRRAEHEAAVGRKIESVVGADGGESDGAERSESGARLAERMRRKGFAAVAFDEEAVGEVRRLLGEHATGWGVKREEDMLILTWKGHGAVYTSAPPTPSSPPAMDRRAQRLVTRVSVALAALATLSLIHLLRHASTTCFPASRPLALGPFPRTSCDAASRRVVPPDRRLAKLRASPRWRRRAAALRAYAFPPLRGLGFLAAPSSRVLCLAAGAGHAVDALRAAGVGDVVGIDLVDFPPLVRRADPHRLPFSGGAFDLVFSDDPSALSGSLFPSRRAAEAERTVRRGGGIALAIDREIETAAVAALFTRSRVVDVKDVTLDGSQARAATWLGLRLGRDSFLHAKKCCSGVLHRVHRASWERAAAGPRDRQQRHLLLRASSPALPPPQSHRSLLPARLLPALASSSLASSRFVSSPTTPPRPGVGAGLRRRLVVAAAAACARRYAPAATLLAKRAVGAGCLLCYSVLEANPRPPPCLPPCAPDPPASTLDPPPSTLDPHEGRPDPCGRLLESTCIAAARPVRRRLDILEKVSVGSRGRKRRRRPSPDQAATSDESSTARSTARSKRRPTLLYWYAPVPLNPNVCKMM >Dexi2A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:2A:15465633:15466179:-1 gene:Dexi2A01G0013000 transcript:Dexi2A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAPLLLPLLLLLLPVAAVASGGGDLPAHPGYAHGGEEASCGVAEAELVPAPERREEFDGGRIVDISHYYREDMPAWESEAGSGEFLKLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNDGTFM >Dexi6A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:6A:2088104:2088652:1 gene:Dexi6A01G0002210 transcript:Dexi6A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVPIVVALSLSLTVVVSGECPGARKNMTMEAACREACAAAPSPATRMYQLCMDTLGEVGSPAGSVEKAGEYAYHATWRALWAYLDTMAMAWHFLGNTAKIAAGEEKEAYAFCVGGRYPEAEAAMDRVRNWLPEHCGHDVDGEYKRALRDVEACRYRVAKLASSPLIAMVEADYNRTLMA >Dexi9A01G0037130.1:cds pep primary_assembly:Fonio_CM05836:9A:41469450:41471235:-1 gene:Dexi9A01G0037130 transcript:Dexi9A01G0037130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYVPGLIFDEILVYAADNKQREPGMDSLRVGVEVDVAECRIPPHLHRIFVSGSNPTHTNSHLNVGRSGFDPSQPAPTLYPNTWYNGHGVPIELDPEEGVYMPEMIFGDLSSYEEEIAGGRNSCGVKLANLFSTEFVIETVDSRLEKKYKQVFTENMGKKSEPEIADCLLGVNWTKITFKPDLAKFHMTHIDDDAIALMRRRVADMVESFPDYVVSFISTAYVDRNPPRRVSQRINYQFDVCVTKSEGNFQQVSYVNQFATTEGRTHVDYVSDQIAASIVRFSSKKGFQVEECEVKRHLWVFVNASMDKPTFDSPTRDALTNPQESFGSSFELSTHFLHVAYECISGEISR >Dexi8B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:8B:18942513:18945070:-1 gene:Dexi8B01G0010390 transcript:Dexi8B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHGIAIVGGGISGLATSLALHRKGIRSLVLEKSETLRAEGVAITIHANGWRALDSLGVGAELRELATLITTKDLIETLANSLPPGAIRFGCHIVAIDAADASSHGAQVLIGCDGANSVVAKFLGLSMPVQLPCLDILGLTRYPQGHPFGSEYLNILGKGYAFGQIPITENIVHFYVNMPNPSSTEATNKDAGRGKDYVLRKLQECQCPAEIVEKIRGIDPESMKILTKIWYMPPWRLVLGRFQRGTVTLAGDAMHVMGPFNGQGGSVALEDAVVLARSLSMAVPAGGGVDAAAMVTDNHGMEEEVIRAAIGRYVRERRPRVAKLSLECFVIGTLLGTKSLVKRLICVAVLAVLGNMSGFKANYDCGPL >Dexi1A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:1A:3354703:3357350:-1 gene:Dexi1A01G0004600 transcript:Dexi1A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTIIRATELAAAQDRLTDLERQKDDITRSCSPSALLHKLQTSMAKLDEESEEMHQKFLEKDMDLTTFVQKYKRLRTAYHKQALLHLAGLTSLR >Dexi1A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:1A:25606812:25607349:-1 gene:Dexi1A01G0018350 transcript:Dexi1A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREGGEPPRRRSTLSTNSRCSFTGLSLPHPIRRPVADFQSTRVRTASGAKDVLEDAFRHHRFIALAVFPWMHSVEQQEAIRDSEANGDGPNGPRKTEDFDGRPTHFAGGCLSFDAFEDFRLRLPRDDRTGTIPHDFCG >Dexi1B01G0021450.1:cds pep primary_assembly:Fonio_CM05836:1B:27359001:27359942:-1 gene:Dexi1B01G0021450 transcript:Dexi1B01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKSSPIPLELSRPLLIGFNGGEQGSRASMDPFDVEPRETILEIIDDMLQGRRCLACLEGEWLFMLEDTSQCFLLSLSSLRKIPLPPLLMPVELLSRCAISSPTPPECTIVFSTNEDYLVYCRPGDEEWCGLPDETDGTYVLIKGDIASARGRMYVPTEVSTFIAIDVSMPSSYGVAIERRGIPHPNPMRWRCEERLVQSDGDILLLQFYIHGFHNSEVVDMDIHRLDTSAYIWNKVESIGDRTIFVSDNNCVVLSSASRAGVRPGNVYLLHKHCRQGVRLYTIRLHDRTMSCALLPVSYDDMYWVVPSR >Dexi9A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:9A:7193233:7194681:-1 gene:Dexi9A01G0011460 transcript:Dexi9A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWEARDFLFCGVCGTLLTFDSIRSASCPLCGFKRKAKDIEGKETRYTVTAEDIRRELNIEAFVTLESAQMEEVVVKRPEVEKPCPKCNHTKAEYYTRQMRSADEGETAFYECTKCQHRFKE >Dexi5B01G0035580.1:cds pep primary_assembly:Fonio_CM05836:5B:35543788:35545119:1 gene:Dexi5B01G0035580 transcript:Dexi5B01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTTQPRCILHKATTSTVRTRQCRPRVVVPAATAVAVALTTSAAGERTPCAPPASSVGARRKTTLASMWRQVQGSHDWDGLVQPLHPVLRDEVARYGELVDACYKVLDMDPSSSRHMCCKYAKETVLQDAGVVKAGYEVTRYIYATPDVAAAPSATSAWVGYVAVSTDEMTRRLGRRDVLVSFRGTVTQAEWAANLRSSLEPARLDAHHPDVKVESGFLSLYTSSGDKSGGMGSCREQLLREVTRLVTSFSKDNPREDMSVTLAGHSMGSALATLLGYDLAEHGLNRDASGCRVPVTVFSYGGPRVGNSAFKDRCDELGVKVLRVANVRDPVTMLPGAILNEGTKGFLAGWGATGDCYTHVGVELALDFLSLRDPGSVHDLGAYVASVKAEACGKVPNAAEDGGILAMAMELVGGQSAAASAWKEIAALQMGGLVQSLGF >Dexi9B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:9B:12437286:12441371:1 gene:Dexi9B01G0017690 transcript:Dexi9B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRVPGSAGEEVGCAAGPAPVPPEEGVAAAGAAGALPEEGVVAAGAAGAAGAPPEEEVAAAGAAGRQPRRLQWLQQPGPPQQQRDLLQQIQTRRRLELMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKIQGELFGICNLFRDLSDKLFTSEIIEMHGEHSKSSAIEATGIREIVDTDLFGSQENRKSSTATTDTDNEKLVDLGVVYAHRNEDVVNMGTNGRVKDRTDETVESSSEELQSKHEIKHTVKAKCSSLEQKIKVEQKMKVTNSYSLEQKRKEFSQIASFMGMDDLEFSKWLLAASPLQRSEALQKYKRKKKRKCNYKK >Dexi5A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:5A:304193:305807:-1 gene:Dexi5A01G0000450 transcript:Dexi5A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAMSPSSVSSHIHEASSSTDDMSLQEGLLFSDTLKDLRNLRSQLYSAAEYFEVFYQNNSHKSTGYEYANRQLTRKEDLNKLFSSRRQSTTGVTYYQAI >Dexi9A01G0045410.1:cds pep primary_assembly:Fonio_CM05836:9A:48956052:48957980:-1 gene:Dexi9A01G0045410 transcript:Dexi9A01G0045410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNADPPQHHASMPPLLLAVRHIPFPGVHRPRVLPSPDVLTPIARRLEELAAAAAAHPLLKPLFAAHSHLSSFAQSRRRLVAARRDAMLSGEHCFAAVLGDSVAGVVVANGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPDSAAAAQHHCRSGASTSSCSAPLDLTANQRKWMQRMQSRKTQA >Dexi1B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:1B:22710753:22713527:1 gene:Dexi1B01G0016150 transcript:Dexi1B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKESVMDAPPAGPGPGPIPAQMLQKSQSQSLKELIALAAKEDDAPLVHAVISRTASNAKPTKPTSNNVVVTANNGEAAAPAATTKEAVEKTAPPVVVITSSSSLSKSYSIAGAPTHHRRATVDVNGGAADHGMQVISSVPQGFSGEHVIAGWPSWLTSVAGEIVEGWLPRRADTFERLDKIGQGTYSNVYKARDLQTGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAALSGQRFTEPQVKCFMAQILEGLRHCHARGVLHRDIKGSNLLIDDNGVLRIADFGLATFFDPAKRQPMTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRKIAETFKDFSPTALALLDTLLAIEPSARGTAASALDSEFFRTKPLPCDPASLPKYPPSKEYDAKLRGQEASRQNTTAVGGKGSASSKPGRDDTKATQAQDAIADYQRRHARTNQKSTSHHYSSQEDSVPGFRIEPPPAVTGRGPATMQTAGFGSTWYRNDQRGVPRTSSSVRASQLTSQRSYAHSRGTDLHPSSSAVRNANSRYNRLDVAEPATAVVRPGSSHQKDLGMRDTSAGFGAKNKRIHYSGPLVPPGGNMEDMLKEHERQIQQAVRKARVEKEKTNRHHY >Dexi9B01G0042080.1:cds pep primary_assembly:Fonio_CM05836:9B:42413990:42414550:-1 gene:Dexi9B01G0042080 transcript:Dexi9B01G0042080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFRTSAFSRNQRRASTAVSGLAAAAVLSTITASCSEGGSGKAASSAAATAASVGSHASSAEATEARRERRPDPARARTTPAARGLLGLTQRYLVLLLGPVPSRLESEGGAGSCGGESWADTWAATGEEVLLGWNEAEIGRREGGERHRGREAMGCCRAPRGVEWIGDGGVGSGQEADE >Dexi9A01G0021930.1:cds pep primary_assembly:Fonio_CM05836:9A:16895960:16897625:-1 gene:Dexi9A01G0021930 transcript:Dexi9A01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEANMKEQEVAEEDLTLKEMTNATAREELRKAKEHDKEKLCNISRALTFVSKGRQEFLSLVNKEIKLYNSMLENGGAAGEEAKKAYFAAREEPEHDAEVDAEEIVSSALIEKGP >Dexi2B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:2B:19805207:19806872:-1 gene:Dexi2B01G0012820 transcript:Dexi2B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGAERRRWTLWLVIAAGMLECADETLLPSMYKEVGAALGASPAALGSITLCRTLVQALCYPLATCAAARFDHALVVAAGTLVCAVTSMLVGASATFLQMAIARGLNGIGMALVLPPVNSLIADYSDDDTRGFAFGWVYMVISIGAAMGTSVSVLLAPTSFLGIPGWRLVFHAFAILGFALALSTWHLRRTDSTRPVTPPSTNKTIVVSAADLAREARSVLGVPSFWIIVAQDAASQVSWSALTFMAMWLELVGLTHWETTVVTTLNCLSNGLGALLAGFAGDLAARRLPDTGRIAVAQVSNASIVPLAAFVLLLARPGWPTLADAVYAGGFLLLGVAMGWSTVSTSNPIFAEIVPEKSRTTVYALDLCFQNVVGSFGAPIVGILAERVFGYRASGGTSARAADRENAAALGKAVFVGTAVPAIICCLTYTALYWTYPVDRRRARTMAVALPEKPGGDEKNCCERGGPGAAAAPSSAEDGLNQALLSVK >Dexi1A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:1A:2014673:2018120:1 gene:Dexi1A01G0002810 transcript:Dexi1A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFTTGGGGGGGGSSSARSYKPMATADTTELSYWLHWQVGLCALWVLGCMSVAAYLIWRHEGPGADRRPGDASSPSSAMAGGEVPPRGKGNRRPPGVLYDDEAWRPCLRDIHPAWLLAYRFISFFVLLSLLVVIVISDGGNIFYYYTQWTFILVTIYFGLGTALSIYGCSKFADENVAVAAADMELGTTYIARGAVAKSSFDNEHDGTREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRIAYFFLWTALYVIFQWIVHAATPTWWPYPFLDLSSNLAPLWYLAVAVMQLPCYVVFRLVIKLKHHLLAKWFPGSFVRG >Dexi1B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:1B:14909271:14909621:-1 gene:Dexi1B01G0012240 transcript:Dexi1B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMRCARRGWGGRFGPEGGGEGPRSHLRDSSAERAREEACMAPPAEEAAEGHQAGTRKRERGREETVVTKGVRAMVNPRDTKRTVAIRSPGAVVLRRWSRYGEEGDGRCVRGVRT >Dexi6B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:6B:23719299:23720503:1 gene:Dexi6B01G0016460 transcript:Dexi6B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERINDNEEASSSSTSSTHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGKPIPNEDLQRKLADELRAVVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQVPITVYMRDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALQIPAKIGSKRKL >Dexi3B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:3B:12468921:12472912:1 gene:Dexi3B01G0016990 transcript:Dexi3B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQLLGSPLSIGPALLQLVVEVPLPCMTMVVAEVDDEGGEGTTGVVMSRWRLARPDEAKASQSLKMMNQHREEDALRGQAVKNQKICLLSESLPFFVLCSLKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKTSFCNHDKEIEQAYEDLLDSSKQTLGSMMELQEALLESNQATKDANENPSASNGEDDEWLGVQRLQKRITTFRDTEIDKWQRKIQVTTGAAALKGKLNAFNQNISGQVAGYMRDPSRIINRMYLSKTTVGVFGEDTGEPGVAEEEGTVEGDPELIDDSEFYQQLLKEFLDSCDKGASESAFYDLQKQKVKKRKIVDRRASKSRKIRYHLHEKITNFMAPVPTALPPMAPKLFENLFGTSN >Dexi7B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:7B:13357719:13360189:-1 gene:Dexi7B01G0005830 transcript:Dexi7B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPLHSDRNTVSLVCRVWYEVERLSRRAVFVGNCYAVRPERVVQRFPNVRALTVKGKPHFADFNLVPPDWGGYAGPWIDAAARSCMGLEELRMKRMVVLDENLELLARSFPRFKALVLISCEGFSTDGLAAIASHCKLLRELDLQENNVDDHGPRWLSCFPDSCTSLVSLNFACIKGEVNPGALERLVARSPNLRSLRLNRSVSVDTLSKILVRTPNLEDLGTGNLTDESESYLRLSSALEKCKMLKSLSGFWDASPICVPFIYPLCHHLTGLNLSYTPTLDYSDLTKMISRCVKLQRLLVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAISSGCPKLRSLLYFCHQMTNEALITVSKNCPNFIRFRLCILEPKKPDAITNQPLDEGFGAIVRECKGLRRLSISGLLTDKVFMDIGKYGKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFSDVALLGNVAKYETMRSLWMSGCNVTLEGCQVLATKMPVLNVEIMNELDESSEMEENHGDPSRVDKLYVYRTTAGARNDAPDFVKIL >Dexi1A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:1A:6295926:6297281:-1 gene:Dexi1A01G0008190 transcript:Dexi1A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEEGCVVAGKGEEEPAAREIPEEITDEILLRLPSRSALARAAAAGRDLRALVSSPRFLRRHRSLHRRDPGALLGVFTFSLTRDGAGHDGDGTGFHPAEPPHPAAAAARAVAAAADFSFGFLPSDPSSAEEWLVRDHRDGRFLLDRAVAGTERGTVFTELAVCDPISRRYALLPPIPEDLANTVDGVLNVFGGRRGCEPFLGPADDEACDDADAEAAAPFTVFWTARCQLKVAAFAFSSRDGRWRELPSPECFIWRRHRSPFRCPMNTVWNRRHYAHGRFYWVDCLTNRWLVLDMRAMSLKLSIIPSPAGYWEDHVAVVEAPDGKVGVFAHDFHHPGGKADLHYYTIEDGGGGAPRWQLEKTIPLPWPAAHHRPFCVRGAANGCLILEVSEQKPAFRASNRVRDAELFKIDAKSFQLEKICQARCAGGAAGQCCWPYFGFPPSLSLPTV >Dexi1B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:1B:21418366:21421874:-1 gene:Dexi1B01G0015020 transcript:Dexi1B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAGAILVCLLFVLVQDVSAVAETEAGNVRLVQEAPHRKLEGAGRQDGGKVGRVSVSTVAWSTLVMAAATGLGAVPFFFMELEAQWAGLCNGLAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGIFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEEATPSQVASAGTLAVAFMETLSTVLLGFTDGNNSEDASGFLVSLVFGLGPLIGGIILVAFSLAFSMPHPLLTGVASGIALRLAAWRPVQLLMSSKMGLFTTLFLLSGGSLAYHSATSSILRVVNRKKSSVNVITSSSGLSLSALTLQCLLACGSVFLHAYTEGLALGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAALTGVAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFVRVFRRSLRLDMRKSIVGLLIGIAFASVCLMSNRFICLHTPYCNSAPEAVT >Dexi4A01G0022180.1:cds pep primary_assembly:Fonio_CM05836:4A:25468275:25469487:1 gene:Dexi4A01G0022180 transcript:Dexi4A01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRLALFVAAAALSASLIPQSAVAQLRPYYYANICPNLELIIRSSVKQSMAESPISAPAALRLFFHDCAVQGCDASIMIVNSNGDDEWRNPDNQSLKPEGFQVILNAKAAVDSDPQCTYKVSCADIMAIAARESVSGGPYYDVELGRYDGRVSTKASVVLPHANFTLDQLNAYFSSLGGFTQSEMIALSGGHTLGAADCPFFQYRIGTDPTMDPNFAAQLKSTCSSNPTSGFAFLDPSPVTFDNAYFKNLQGGKGLLGSDQVLYSDWRSRGTVDHYATYQNAFFGDFVAAMTKLGRVGVKTAATGEIRRDCRFPN >Dexi8B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:8B:13597409:13599539:-1 gene:Dexi8B01G0008720 transcript:Dexi8B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRLTVQRLLATLLPGVASVGRERRATNEALVGWGCGIDLGEGIRRTAEGCGAPWRDAGIGVGEGCGVGGGGILRRGRDTTGHGDGGMRASAVRGMRASAVRGRKGGGC >Dexi2B01G0032580.1:cds pep primary_assembly:Fonio_CM05836:2B:40466223:40470875:1 gene:Dexi2B01G0032580 transcript:Dexi2B01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGSSSCALCEGSNLPSCCAACVNARLVEYHTRLRMMRSLRDSLQARVAARLEAKSKADEQRIWRASKNQDIMELRDRLTEVKRKTAIEKTKVQLSSSDIKAQTALLNLAFVTVYICISQCLFETNSNTDTLRTCTYLASCCQLKKRRADAVTMHTNAMKAAQMNLMATTSERLKMQSKSVKQLCRLFPMRRVSFYKYICFLDPCSEVVALKFLIVSGTCYISSILQFVSYLRLLFMYQALRVHAHKFGRGVPTGVHDSPRGRIFGKAEALSPFELLLNRTLLQGTPSTLDNLLLNSNESLYTGKLVKHGGVPDSILDGWDLVEREVLPPPPSQVENVAQWERAMYAGTKKK >Dexi7B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:7B:22250086:22254000:-1 gene:Dexi7B01G0016310 transcript:Dexi7B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSYVAAGNVGREVLVVTGSSAAAQRRPAAAGAIHVQHRRCRRAVWRRRGEDKTIAFLKREGGSVENKTYVLSHLQMIIKTLTAILSLLLEPFGVYCEGEFNLRCGYPYFAAVLNFSQYWALYCLVAWYTATKDELAPIKPLAKFLSFKSIVFLTWWQGVVIAILYALGLLRSSLAQSLELKSSIQNFIICIEVFILLD >Dexi2B01G0027330.1:cds pep primary_assembly:Fonio_CM05836:2B:36317709:36319253:-1 gene:Dexi2B01G0027330 transcript:Dexi2B01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKAFANTGPTHMMAKTGAAGSSPTKTIEIDWDNEEHRRCIIACLVEGTYVLESERAKSSEADDDDDSDNDSDKPLAPAWWESFGFRLFRELKCACGCALCKIRRHIIEAKRPRFICGAIFEYAPPEGSPRHPSAPSYVAICGSLKMVLNTHHNCGRFSHARQEVGKFLYSTGSCAAVWLAGHSLGASIALDVGRAIIMGANREVNMLPTTFLFNPPQVSLSPAAVISIISDKLHMGEAVKNGLHTVSNILKHGLGEVLRTHKNNMDEQFEQLSPWVPNLYVHQRDIICKGFIDYFEQRERIKEKLPHVAASGAELSFRDMFRSVLGGQKQCSVGCSSQQSSAAVGQKLNASSNSTNDYRQHHYRQDLLPSATLWKNESPDGNAHALRQWWQPQGPELVLSHKSYKWSAPLNEDGLI >Dexi2A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:2A:33560824:33562707:-1 gene:Dexi2A01G0021500 transcript:Dexi2A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAEGFNPAAPSPSRAPASRPDVQAAIAKAVELRQLHAALLHRGARATRSPAVIRLPPLASPARSRTADEEYPVFTPAYDDEEEDGVAAATLNHICQDNRSRSENWAGVALDHEDAALSDYDGLNAFSCSNSEVLFPSSNDPLCPRNRGAAYKIHPTFMHSAPSADHRFLLSSVGRAGYTSELKLPPATCNNAIRPATISTSSRVPPPSSRMKNRAPHQILSWLFPKSRKKAKPPETEMTSSPTAIERGNVSQLLTEWGALSFESLKKELAEANAHRDAALREAAEVRSSLGDLATKLVSVEAYCTELKKALRHATTNSPSVSSSRRSTRSMEANQDRELPAMMPVSHDVMVEGFLQIASEARLSVKQLCKALIQQATEEDAVDNGLSDKLNLLLRPYQLTLTGSTSSKHGCSKAVLYHLEAIMNRDMYQDFENPAFHRNGSPRCLDPAEDRRQSFAAFVALRNLSWNEVLRKGTKYYSEDFSRFCDRKMSGIVATLGWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFGPPLTIMRIEDGRAFDQIYMEDILQDRQQVLSPCQVKIMVMPGFYVQDRVLKCRVLTTRSAS >Dexi3A01G0035130.1:cds pep primary_assembly:Fonio_CM05836:3A:40364028:40366289:-1 gene:Dexi3A01G0035130 transcript:Dexi3A01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSLFRRKKNSRSQIAQHDQDIPINGNVKIYSYKQLRKATRNFCPGSKLGQGSFGRVYLGKLNNGEKVAIKVLSSESRQGTKEFLNELSVISNITHHNLVKLHGCCVDGGQKMLIYNYVENNSLAHTLFGNSRSGIRLDWRTRVNICIGVADGLTYLHEGVRPPIVHRDIKASNILLDKNLTPKIADFGLAKFFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTEPRLPLDEQFLLEKVWTLYESEDLESIIDRTLKCDFDTEEARRLLKIGLMCTQDSPKIRPSMSMVANMLKGECAISDKIMRPGLITDVMDLKVRTSEPVQFSLSPSMSPALSSSLLSTLAVAGSTVVEESP >Dexi3B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:3B:13469589:13472712:-1 gene:Dexi3B01G0018160 transcript:Dexi3B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPSSSMRAAALARSPAASFLLAAAATAAVVGGLYFWVVVSSFRLPDSGAAGCRADGEGSWSVGMFYGSSPLELRSIELVSGVRRLSLRQRISCAGLTPEVRLLVRNFGHFGGQEGRSNGNSSAWPVANPVLTCATPTEAGYPSNFVADPFLYVEGDTLFLFFETKTISSMQGDIGVARSFDKGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLVNKPLIDASLVQFEGYWWLFASDFTRYGVEKNAELEIWYSNSPLGPWTEHKQNPIYKSDKSLGARNGGRLFVFEGSLYRPGQDCSGTYGRRVKLYRVEKLTMEEYKEVPVKIGIEEPKKGRNAWNGMRYHHMDAQQLASGEWIAVMDGDRVPSGDSTRRSLFGYLGLLLAVSLAVFVGFVKGAISCYIPPSFRAPLTRRTELSRIFPGHRFNQKVRRYSTNIGRYISAIKTRLNEKTWSNMMFFWVVALIGIVNVCIAVHFLCGGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNPPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVFELDDDIMMTCTDLEKGFRAWREHPERMVGFYPRMIDGNPLQYRNERYARGKGGYNLILTGAAFMDSEFAFKKYWSEEAREGRDYVHKNFNCEDLLMNFLYANGSTSRTVEYIHPAWAIDTSKLSSVAISRNTQKHYDIRTKCLAKFSSIYGPLPQKWEFGMREDSWDK >Dexi4A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:4A:6276120:6277010:1 gene:Dexi4A01G0008340 transcript:Dexi4A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding SISATAMASGHVASLTLAALLIVSCVSTATATKYTVGDSSGWTTSGDYATWASGKKFKVGDTLVFTYASGAHTVDEVSAADYAACSSSNALSSDSTGSTTVTLKTAGKHYFICGVAGHCSSGMKLAVDVAAAKAPTPAPAPAPAKAPSPSPAPAPAPAVAPSPDAADAIPDTTPAKSPSSSGKTPVSDLSPPGKKSTSGATGLSAAAWAGLGLAGLVAVHLGAF >Dexi1B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:1B:2609128:2609958:-1 gene:Dexi1B01G0003250 transcript:Dexi1B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMAASPPRLDSVLSFSDALPTTDHHHHHPSAAEEVATATTTPAFSDAGSDADADAATDDESFEFAFAPPLAGPDHGAATTPADDLFAHGRILPAYPLFDRHLLHLSDDELTSSSSTAAAPSADTYCAWAPRSAPGSPAREFPKSASTGDARRSWRLRDLVTAGGRSHSDGKEKFVFLHSTPPPSKTPAAASDKTSAPAAQQQKQSKTKKNGKAAATEMDLATAHRRFYGKQSGGGALAGERKQQHQSYLPYRQGIVGFFAAAHALGGRSHHPY >Dexi8A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:8A:3972442:3976852:-1 gene:Dexi8A01G0004570 transcript:Dexi8A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAGVALNRRTRSRPPSVASSRRSDDGTADAAAAAPANGNGKVVSKPPAPPDHAPGERTVKKLRLSKALTIPEGTTVKEACRRMAAWRVDAVLLTDANGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRNPLYVSSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQVATVSPSDPVYVATQKMRELRVNSVVITAGHSLQGIFTSKDVLMRIVAQNLSPELTLVEKVMTAHPEYATLDTTILDALHIMHDGKFLHIPVLDGDGRVAACLDVLQLTHAAISMVEGGPGTANDVANTIMQKFWDSALALEPPEEDFDSHSELSLVMPSEAGDGRSSIYPPAISNSFAFKLQDQKGRMHRFMCGSESLDELVSSVIKRLGIGGEKNAVQLLYEDDEGDKVLLTTDSDLAGAVFNAKSSGLKALRLHIDDSDSNREVAQKLPELASSHGTQLTHVHYGLMACTIALTGVAIMVYLRHSKV >Dexi1B01G0026090.1:cds pep primary_assembly:Fonio_CM05836:1B:31015987:31017043:1 gene:Dexi1B01G0026090 transcript:Dexi1B01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQAVLATVLFLPCLLPVALSRGHALPHPRGVGLGHRHAQPQPHGHAPLGGAGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCDAAAGGSHSCLQGSVVVTATNFCPPNYALSSDDGGWCNPPRAHFDMSQPVFQRIALYKAGIVPVSYRRVACNKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKGERSGWQALSRNWGQNWQSNALLDGQALSFRVTTSDGRSVVSNNAAPHGWSFGQTFSGAQFN >Dexi2A01G0027970.1:cds pep primary_assembly:Fonio_CM05836:2A:39271165:39272295:1 gene:Dexi2A01G0027970 transcript:Dexi2A01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPAPAAASTSSAPAPQSLVSRARTAIHSAAARVLTDIKADLRDADGSGGRSRAPSPTPRTSLDREADVGAMGREPDVKLPSPRDEVLETSPSGNVDCSTVPTETTSSANLTFPPASTVKQLVAAIE >Dexi8B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:8B:19236644:19237462:1 gene:Dexi8B01G0010650 transcript:Dexi8B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKRACASGKAGPMRAHSSTRSSDHWQFRRSSTPLLMPSHDFFLIFSGQPEREVHVGEDGVGVDPPEPLGVRVGQHGGAEDGELGPVASRGGVEEVAVVEEVDAVEAAVVELVLEEPEHEVVAGLAGAGLRLRPGDHHHLLLRRAPVEEAAGAEPVLALGVPPGDAAAVDGVGEVRVVNVGGPSSGPGTGEATRTLLLIPGARTEADEPRSTSTAANTPDANTSASRARPGASSLGGAAAPCSLLLAAGAAINP >Dexi8B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:8B:236103:241371:-1 gene:Dexi8B01G0000340 transcript:Dexi8B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRGVDAGEVQDLQNKAPRPAHQHKDDPAQMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISSITGDLAKEQLSNFQAVVFTDISMEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAVNEPGEFLMSDFSKFDRPPLLHLAFQALDKFRAELLRFPIAGSADDAQKLIDFAININESLGDNKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVVPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLERDKCETFQDCITWARLKFADYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAVIEELIAKLEAISKTLPTGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >Dexi2B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:2B:6401060:6402047:-1 gene:Dexi2B01G0006500 transcript:Dexi2B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYVVYYSTYGHVARLAEEIKKGADSVDGVEATIWQVEETLPKEVLGKMQATTKKEHPVITGKQLTDADGILFGFPARFGMMAAQMKALFDSTGGLWQRQALAGKPAGFFFALGTQGGGQEETALTAVSQLTHHGMMFVPVGYTFGAKMFDMDEVMCCSPYGSGTFAGADGKSRKPSDAELQMAAHQGKYFADIAKKLKVGAAALV >Dexi3A01G0030460.1:cds pep primary_assembly:Fonio_CM05836:3A:34460609:34462083:1 gene:Dexi3A01G0030460 transcript:Dexi3A01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding FETMALANKLGGLLKKATSSSPSIYQAIRCMSSSKLFVGGLSYGTDDQSLRESFSNYGQVIEARVIMDRESGRSRGFGFVTFTSSEEASAAITAMDGQELHGRSIRVNHATERTGGFRSSGGGGFGGGGGGYGGGGYGGGGGGYGGGYGGGGGGYGGNYGNRGGGGYGGGGVAGGAGGNFAASGSDNFAGSNFGGDSGFGGNPAGGFGGSTGGDEFSASFSSNKNDEIINDLDMLKDDEPDNYADKRA >Dexi9A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:9A:10078674:10081944:-1 gene:Dexi9A01G0015160 transcript:Dexi9A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGAHVDPFDIFSSFFGPSFGGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCSKCKGKGSKSGASMRCPGCQGSGMKVTIRQLGPSMIQQMQSACNECKGTGESINEKDRCPGCKGEKVVQEKKVLEVHVEKGMQHSQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPDQCKALEAVLPPKPTSKLTDMEIDECEETTMHDVNNIEEEMRRKQAHAAQEAYEEDDEMPGGAQRVQCAQQ >DexiUA01G0000900.1:cds pep primary_assembly:Fonio_CM05836:UA:2791019:2792880:1 gene:DexiUA01G0000900 transcript:DexiUA01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMVDATVAAPPLDVNARRHRHRAAALSNANSNNAAKTVASRYLSPFSKPATSSISSATASRPPRAVATNAMASCGSATTTTTTRTLAVAFQSPAYSLDTSSRARSASPVAVPPAPTPEKKKKKQSGAAGTAAGSAKVFDASQNTYRWQPSAPAAKHGPSRRASVDGGANEYLLALSSSSSDETDTSSSSGEGAIAPPRRSVGSGPPRPYPIRSVITGSSARFTMGTRSDRFGYPATPSPSPAPVKKKKKSLFNGLLSSPFVRSSLNKQPSPSKPVASAFRRTASSTPARGSTDGDAKLRPPAAINKAEEEHRLRILYTQHLQWRLVNAQAGAALSSQTNAAERTLSGAWISILRLRKSVAVRKMQLQLLRNNCKLFPVLRGQMKYLEEWSFLERDYAHSLAGTTQALNATILRLPVSNGAMVVFS >Dexi5A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:5A:8754922:8755923:-1 gene:Dexi5A01G0011640 transcript:Dexi5A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRGIPSFCHGVASTSTVHQLHGKQLVTGNEAGVGTDTASSSFLAAVSPSVVGSCVAETEVSGADDGGGGPEAVTLEQMILQLDLEEEAARKARRATGDRGREEEEEEEGWFPPRRMSCVDGGGGPADQVLRSARDALSQYPRFSLDGRDAMHRASFSGFYNGVGRDATAARASAACCAAGAGCSAGGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLEAVPVPVKGGLRRRNKAGGHGHGHAAAATTCGSGGVRKHRVRSRAGQEAAELMALNKEKLFMALHGYDIVGAAAGARHAGTRRSGVGPDDVSGMGDDDGNGWEFRFRR >Dexi6A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:6A:11586997:11587299:1 gene:Dexi6A01G0009750 transcript:Dexi6A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSHTVPPLPFSFPPAAPLRRPPDHRRAPPSLLPLFPVVFFLRRRCPSSPSCSSVAAAIPLQLRRIPLLVPPPPRIIPVFSSSGSITSPPDLYHIPT >Dexi1B01G0025710.1:cds pep primary_assembly:Fonio_CM05836:1B:30709928:30718003:-1 gene:Dexi1B01G0025710 transcript:Dexi1B01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAMEAIDELVQLSESMRQAASLLADDDPSDETATRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLARDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGSGRGRSDEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKEIDPDGTRTIGVLSKIDQAAADAKTVSCVQAILSNKGAPRAAADIEWVALIGQSVSIASAQSGSVGSDNSLETAWRAEAETLKSILTGAPQNKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQIVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNAAANATPGLGRYPPFKREVIAIASNALDAFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNSRSSKKGHDADQSKMNRASSPQTGSDEAGGSMKSMKDKSNQQDKDTKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSRRWFVLNEKSGKECNLEEIEEEEISKSSKDSKKANGQEKGPSLVFKITNRVAYKSVLKAHSAVVLKAESMADKIEWIKKIKGVIQSKGGSVKGPNTPDDGPMRQSRSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKSKEDMLNQLYSSISAQSNAKIEELLQEDHNAKRRREKCQKQSSLLSKLTRQLSIHDNRAASYTDDGSGAESSPQSPGHSGQDWKSAFDSASNGSVDRSSSHETRSRSADSRGRRYENGDANSGSRRTPNRLPPAPPSGGRY >Dexi1A01G0030690.1:cds pep primary_assembly:Fonio_CM05836:1A:35882706:35884586:1 gene:Dexi1A01G0030690 transcript:Dexi1A01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVIARPGGPEVLEAREVEDPPAPGEGEVLLEVAAAGVNRADTVQRMGRYPPPAGASPYPGLECSGTIVALGPNVPSRWAVGDKACALLSGGGYAEKVVVPAGQLLPIPEGVSLTDAAGLAEVACTVCCWTAHQKPSQ >Dexi3A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:3A:12428141:12429884:1 gene:Dexi3A01G0016510 transcript:Dexi3A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKGASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPTVFRFNPRNAEDIFAEFFGGSSPFGGMGGGMGGGGMGGGMPGMRTGGTRFSSSIFGDDMFGSAFAGGPDGHGMHTGGRAVKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIAADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTVHLTTLDGRSLTVPINSVINPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPSRLTADQKSGVKRLLGH >Dexi2B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:2B:22935835:22939430:-1 gene:Dexi2B01G0013850 transcript:Dexi2B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKSNETEAVET >Dexi3B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:3B:7523925:7525062:-1 gene:Dexi3B01G0010740 transcript:Dexi3B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDHAGGKALPPPPASADEEEAVADKALHLLGFEFTRISEREVAGRLPVTATCCQPFGVLNGGVSALMAESAASIGAYVASGGRRVAGVQLSVNHLRPARLGDLVHAQACPVQLGRTIQVWEVQIWRVDPSTEERKGLVSTARVTLLTANPTRPEEMSSHEASIKKRAKL >Dexi3A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:3A:9230610:9232389:-1 gene:Dexi3A01G0012690 transcript:Dexi3A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEWTDHELGKKIKRESAAAADRMTAARRSCSLPAAGAAAGAGPGSALNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFAAVHKVFGASNVSKMLLEVHESQRADTANSLVYEANLRLRDPVYGCMGAILTLQQQVQAMEAELAAVRAEIVRHRYRPATAAAASSPVATVLPSSHASQLLAASAAAASRGGRSIGTSRAATLAAASSSSSSAAYAAAASSSTDYSSITNENNVPYFG >Dexi9B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:9B:9200600:9201225:-1 gene:Dexi9B01G0013720 transcript:Dexi9B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRFSNEINAGGHQNSPIITYKSIYECSNFTITVFFLPQGAAMPLHDHPGMTVFSKLLVGSAHVVSYDWVCPRVCAVAGSSKSEMLAEKVLDREFTSASGAWVLFPETGGNLHRFVAVKDGPCAFLDVITPRYSPTSETQQQFAFYKDFPYELHPSKHSTVLKPRITREM >Dexi5B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:5B:2008868:2011734:1 gene:Dexi5B01G0003030 transcript:Dexi5B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSSASRRPYFPDSVLLDPFVHLAPPLKNATTASTTTSALSPIEVSFEVVDPPGLSRCFVNCSALDAGDLSAPAVVTGADGAFLLIRVAFPRRDDREMHTDVFIYKAAGPGKPSLHLLPRPYPAGLYSDHVGVLSCGDGHYLVVVPERRFDGGGRMRYDLQVFSSETNSWTTRTARVASDLEGCYRLLPQHEPSKVFSVGDSLAWVATYLGVLLCDVLGKDPEMRLIDLPPLMPTNRVDLGGGFVAPVRSMRAARDVICKDGWIRCIEIEYPLWSNKFNPMEFRWTAMISKRNLFGSDIWEAFTVDSASLSPTSSCFPDLFPEIWDSSRDRLTLNRVISTAPILDAYHDNVVFMKTKLHPGHPNGWVLAVDTRNKKLVRAVPFSARKVYLNHSYLQCDFSKYLCKTLEERLSQKRSCYLEAQSLLHSGFASILYANIQELARYATSNGLGEVATEAVTVFLLAYKEVDYLLSRDLSNDPSACDETMREKIGIAIGALDRRYHLKYCGLGEAP >Dexi9B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:9B:7478994:7480062:1 gene:Dexi9B01G0011560 transcript:Dexi9B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAQQRYKFPTIKEISLAPSGQAFTDWKTGCYNTDCPGFIPFRVDFGLPLPGMVFEGVSSYNQTDSSLSLQIVKDERPVIGGDWWLYYAEGPMTARLPLGFWPQRLFDGDSLGQYATEAAWYGAVGFGARGDEPAMGSGHGPGEGPMRAAYFASISLMGRDAFPVEAKLDGLRPMVNGGCYQVAMDAGGNNTFFYGGPVAPSCNFR >Dexi3A01G0036430.1:cds pep primary_assembly:Fonio_CM05836:3A:41845177:41848252:1 gene:Dexi3A01G0036430 transcript:Dexi3A01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTLCSLIQPLLCWFILFVVFLAAGDDGGVAAALDTQAAYLAKMKEQFPGPAMSRWDFTSSPAPDYCTFQGVACDRTGNVTGIDVTSWRLAGKLPPGICAALPSLRELRLAYNDVRGGFPATLLNCTALEVLNVSYSGVSGAVPDLSSMRSLRVLDMSNNQFTGAFPTSIANVTTLEVVNFNENPGFDIWRPPETFMALPRLRVLILSTTSMRGDIPPWLGNMTSLTDLELSGNFLVGRIPLSLARLSKLQYLELYYNELEGVVPDELGNLTELTDIDLSENKLTGGIPESLCSLRNLRVLQMYTNRLTGGIPAVLGNSTQLRILSVYRNLLTGEIPGDIGRYSPELNVIEVSENQLTGPLPPYACTGGKLQYILVLSNLLTGPIPPAYAACTPLLRFRVSNNHLEGDVPPGIFALPHASIVDLSYNHFTGPVPATVAAAANLTSLFASNNKISGELPPEIAAVSGLVKIDLSNNLIAGPIPDVLGRLSRLNQLSLQGNRLTGPIPATLAGIWSLNVLNLSDNALSGEIPESLCDLLPNSLDFSNNNLSGPVPAPLIKEGLLESVAGNPGLCVAFRLNLTSPALPLCPRPRLRRGLAGDVWVVGVCALVCVAAALAVARRCVVRARMDAEGQDGAPTSPASSSSYDVTSFHKLTFDQHEIVEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWARRRPANKLKEHDDSGGGGWLGDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWEALHGGGHGCFLLLDWPTRHRVAMGVAQGLAYLHHDLMFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQQVAGGDHRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGETRDIVHWVSGKVAAGGEADALDKRLATWGPNRYKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPRATTPKDKQDNHQQQQQQQPTNKLPPSP >Dexi1A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:1A:27742186:27742418:1 gene:Dexi1A01G0020910 transcript:Dexi1A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATPPEHMQALPARAQDLFYRII >Dexi4B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:4B:5507933:5509713:-1 gene:Dexi4B01G0007630 transcript:Dexi4B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAISLLMFLLTFSYLAADPTLFRTVSRGFYLGRMILAHLCDEPRGLKSGMFMSLVFLCFPVANALIAKINDGAPLVDEPVLLLLYCVYAGELMGLYLYLAVSVVHEIKDALGIYCFRITRKEA >Dexi1A01G0028620.1:cds pep primary_assembly:Fonio_CM05836:1A:34266877:34267374:-1 gene:Dexi1A01G0028620 transcript:Dexi1A01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLPAPARAASCGSWASVRRFPIEHPSSETCPASATCAPPLLLGVLDSLLMVSHHCDTDGVIGAGEVVVVRDWRKSRPYQRIDTGMWPCSTAPLWYVRLALTGGLYSSSRLLAPTERRAELRSSTESRASATWLVPCRTPMLLRGDAIFSV >Dexi5A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:5A:22320103:22320297:-1 gene:Dexi5A01G0018800 transcript:Dexi5A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKVYVLLFTAFFFSGLMQLSMAQDKPATMAATSRVIDGKAIAYLLMFAALFVTYFAH >Dexi9A01G0034240.1:cds pep primary_assembly:Fonio_CM05836:9A:38998483:39001474:1 gene:Dexi9A01G0034240 transcript:Dexi9A01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHYPAPRVSGVAKIMAGHRNSHGKRHSDYPENGGGKRRNPGDDSYAPGPDDTVYRYLCASKKIGSIIGRGGEIAKQLRNETQAKIRIGESVPGCEERVVTIFSSSRETNTVDDSEDKVCPAQDALFRVHERLSSDEGPGNEDSEEVLPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPACAISGDELLQISGDAVVVRKALRQVSSRLHDNPSKSQHLLASSLTHAGSSHLGSSSTASVVGITPVIPAFGGYKGDVAGDWPSVYQPRRDDSSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDTESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALVQIATRLKANFFEREGSLSAFPPVIPYHPLPAGISDEPKYLSRDTKPLGHYLYSSGFRASDDMIPSDSYGSYSSSQPGGGYEAYSGYSGRSTSSGLSGHSSLPYGKRHGY >Dexi6A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:6A:20794599:20795925:1 gene:Dexi6A01G0013540 transcript:Dexi6A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAEPKDNATMETCGTGSHVTSNLEEKTEAVCDNDVAGMDWEEGHVEHNAYSHELGDITVEFTDDVPSSTNKKTVRRASSEEKELAELVHKVHLLCLIARGRIVDRACDDPLMQASALSLVPSHLLLSVADVPNLKAVNLRNLLSWFDCIFCVTTQSTDRGSFVSNLAFTIQDRVGTAEEVLNISLY >Dexi3A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:3A:4604247:4606013:-1 gene:Dexi3A01G0006860 transcript:Dexi3A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVETLKDRRQEEKLKDVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWYVMHEMVPGKRFDRYHELGQHAFGPRLGLWIILPLQIIVMVGTDIVYMVTGGQSLRKFHDLICHGRTTGSCADIRLTFWIMIFASPHFVLSQLPNFNSISSISGAAAVMSLTYSMIAFVTSAVKGSSTTTSAAAVDYALRATTPAGRSFGMLSALGTVSFAYAAHNVVLEIQATIPSSPETPSKKPMWRGVVVAYAIVALCYFSVAFAGYYAFGSSVEPNVLISLEKPTWLIAAANLMVVVHVVGGYQVFAMPMFDMVETVLVKNLKYKPGFWLRLVSRSAYVAATMFVGLTFPFFDGLLGFFGGFGFAPTTYFICIVIGVLLTLVSSIGGLRQIILDAKNYKLYS >Dexi9B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:9B:9276038:9277723:1 gene:Dexi9B01G0013850 transcript:Dexi9B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPSSEVMVRVEPGRQAKGELTLRNAMHTMPVAFRLQPAVRGRFSVRPHTGILAPLAAVTVEVLYLGSTAPEGPISGSGGGGGSRGGEDAFLLHSVVAPGTAVKEPVTALDSVNPEWFSARRKQVFVDSGIRASFVGASVAARLVAAGAVEALREVLDRSEPEWHSADAADESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGATPAGCDALHVAAAAGHNDVLKLLLAKPPASASSPAGSSSSAASFSSSFTSIDAAGRDGKTPLRLAAEAGRRDAVRALLAAGARADARCGADGGTALHAAARRGDEAVARLLLTHGVAGTAAVRDADGKTAFEVAAEEGHGGRIMDALGLGEAILAAARKGEVRSVRRAADGGASVEGTDAHGWTPLMRAAFKGRADAARDLIDRGADVDAADADGYTALHCAAEAGRADVVELLLKNGANAKATTAKGRTATEVAVAAGKSKVVRLLEKAGGMGRKDVSEKAAVAKGGSMDRRRRVRKGSISGSIRFGGGKEGFEAAAVTVGWSH >Dexi2B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:2B:10247737:10249444:-1 gene:Dexi2B01G0009490 transcript:Dexi2B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTVPPPPHLVLVPFPAQGHVAPLLQLARLLHARGAHITFVHTHFNYRRLVLAKGEPAVHPSTSPSFRVEVIDDGIPLSSPQHDIPGLVDALRRNCPSPFRSLLARLAAEAETEGGAPPVTCVVADVVMPFAPAVAREAGVPEVQFFTASACGLMGYVHYGELVRRGLVPLKDESCFTNGYLDTPLEWIPAMKHMRLRDMPAFCHTTDPDDVMVAVTQHEYMASAAASSAIILNTLYELEKDVVDALAAFLPPIYTVGPLASVLSSSSSTPPPAMDISIWKEDTRCLPWLDGKPASSVVYVNFGSVAVMTAAEAREFAVGLARCGSPFLWVRRPDVVDGEEAAPLPEELADAVARGGGMVSAWCPQAAVLRHAAVGLFVSHCGWNSMLEAVAAGKPVLGWPMIAEQTTNCRQVCEVWGNGARLPEKKVESGAVAEMVREMMGGEMGKEKRAKAAEWKKAAEDGAMEGGSSWRNVERLVDDVLLLGMRSK >Dexi1A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:1A:6661996:6665204:1 gene:Dexi1A01G0008560 transcript:Dexi1A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATAATAAMVWFRKGLRVHDNPALDAARRGALRLYPVFVLDPRYLRPDPTAASPGSARAGVARVRFLLESLGDLDARLRRLGSRLLLLRARDDDVADAVCAALKDWNIGKLCFESDTEPYALARDKKVTDFAMASGIEVFTPVSHTLFDPAEIINKNGGRPPLTYQSFISISGEPPEPITEEYSELPPVGDTGEYELLPVPTVEELGYGDISQEEIPPFRGGETEALRRMKESLQNREWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSVRNHTKPPVSLTGQLLWRDFFYTVSYGTPNFDQMKGNKICKQIPWSENEELFIAWRDGQTGYPWIDAIMIQFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQHHRVYSPITFGKKYDPNGKYIRHFIPRLKDMPKEYIYEPWTAPISVQKKAKCIIGKDYPKPVVDHEVASKECRKRMGEAYALNRLDVDPTKGKPSKFSRRKMSHCEDDASKSPIAKLPKRSSRAK >Dexi4A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:4A:5925028:5926989:-1 gene:Dexi4A01G0007870 transcript:Dexi4A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRESKLGIDAAAAPWKEQQRSAAGRWLDTEAAAAMGFAPRTKRPPCCSVMSVVLAAFMLIVPTMVILLGVRTSAPAVRISSADVVHHAVSGERSYDRLLGGLLADGFDERTCRSRYQSVMYRRRPGKQPSSHLVSKLRQQEALQRRCGPGTMAYSHALEQLKSGKSSGNTIAAQDCKYLVSISYRGLGNRILATASAFLYAMLTGRVLLVDPSNEMGELFCEPFPDTSWLLPPGFPLESYTNFSISTAESYGNMLRNKVIRTDAAGDVPAASQQLPAFSYVHLDHDATEQDNLFFCDEDQRVLRNISWLVMRTDSYIVPGLFLDKGFQDELARLFPEPDTVFHHISRYLFHPNNHVWGLVTRYYDAYLATARQSVGIQVRVFGSQPNSPELLEQITKCTQKQGLLPELLAAGAEPVTQQAPSLKTKAILVTSLKSWYHEKLKGMYWEQAAATGEAVSVHQPSHEEFQRFGAKSHDAKAWAEIYLLSLTDTLVTTAWSTFGYVAQGLGGLRPWVMYRPDNETHVPDPPCGRDVSMDPCFHAPPFYDCRLKRGADTGKIVPQVQHCIDMSWGLKIIHHRRS >Dexi9B01G0038650.1:cds pep primary_assembly:Fonio_CM05836:9B:39615766:39619107:-1 gene:Dexi9B01G0038650 transcript:Dexi9B01G0038650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSNGEHISTRPPPTPSPLRFSKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGASGEPLTVQKPGTQTRSFCYVADMLINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKVVLRDGLVLMEDDFRERLAVPKKTKA >Dexi4B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:4B:10320678:10320960:-1 gene:Dexi4B01G0012530 transcript:Dexi4B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCLTFGIRNRGGILFLVLGWQVPSEDEAPYGLQLCVVISQPIDLVSVLHDPVLGHIDAEEAQPQGETKLGSSGDTSETTGRTFRGRPVSA >Dexi3A01G0023540.1:cds pep primary_assembly:Fonio_CM05836:3A:19230548:19232177:-1 gene:Dexi3A01G0023540 transcript:Dexi3A01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGVPAAAQSQELQLPPGFRFHPTDEELVVHYLCRRCAGLPISVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKNNHSLRLDDWVLCRIYNKKGAAERPPPPSVSSDVVVAMGSPPEQKPSVLPPPPPASLAMGGGGVGYAPLPFTELASYYEVRPSESMPPAHGGADSSSSGHGGGGERPEVQSQPKIAEWERMFAGGAGPGVSSAGSMLGLGGHQPLGPAAAGGGDPLLQDILTYWGKPY >Dexi1B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:1B:5906813:5907064:-1 gene:Dexi1B01G0007150 transcript:Dexi1B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSGTTAAEDGGYGGGYGAGEKRVRVWPSDEDGGRWPYYIGERDVDNKAAVFIANFHRHQSGVCDCPPDQTPAPATAAP >Dexi1B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:1B:891118:892707:-1 gene:Dexi1B01G0001080 transcript:Dexi1B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQFRPSSKHDATVTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTSLTCADFLSSPGTRVPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGVPTDYRHMEGFGVNTYTFVNAAGKSHYVKFHWKPTCGVRCILTDEEAALVGGRNHSHATQDLYDSIDAGSFPEWKLFVQVMDPDTEDRYDFDPLDDTKTWPEDLLPLIPVGRLVLDKNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRQAAPTPIPARPVVGKREKATIRKPNDFQQPGERYRSWDADRQERFVKRFAKELGHPKVSQELRSIWIDLLSKCDTSLGMKIANRLNVKPSM >Dexi6B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:6B:26355333:26357787:1 gene:Dexi6B01G0019720 transcript:Dexi6B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTHKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTYEDGLRELEEAELDEYVAEIEAEKAAAEAAKKGAQKET >DexiUA01G0012130.1:cds pep primary_assembly:Fonio_CM05836:UA:24346011:24347351:1 gene:DexiUA01G0012130 transcript:DexiUA01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGINTKAKAARARRGAAEAERRDREARAQEEAYWQAAEGPKSRLARRREEDAEKRAEAAARRAENRRLAELEQQKLAAAARRPDRKAGRVGGPAVPKVTEAELARRREEERLRLQREADAAKKRQARTADEEEYERVVLVANTNRDDSG >Dexi5B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:5B:3455082:3457879:1 gene:Dexi5B01G0005190 transcript:Dexi5B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEKKLPARSASAGTEKPARSADRRALYFAAFLVLADAALVALIIAFVPYTKIDWDAYMSQVDAFLEGERDYTKIEGDTGPLVYPAGFLYIYSAIKFLTGGQVFPAQILFGVLYIVNLSLVLLLYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTLLHAAMVLIIYHKWYLGLIVFSGAVSVKMNVLLFAPSLLLLMVKAMSIKGVFFALLGAAVVQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKLFVSKELAIALLIAHLTTLMLFAHYKWLKHEGGLFGFLHSRFKDAKSIQQLLSSQPRPSNLSKEHIVAVIFIGNFIGIVCARSLHYQFYSW >Dexi4A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:4A:2923676:2923999:1 gene:Dexi4A01G0004100 transcript:Dexi4A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRRLTSALSHASQPPPSPVLLLRLALSSSAPSATDPPAAPDAARKVEGEEAKGAADAGEGKEEQEDDGGVHVNKGTGEIGGPRGPEPTRYGDWERGGRCSDF >DexiUA01G0009250.1:cds pep primary_assembly:Fonio_CM05836:UA:18005192:18005452:1 gene:DexiUA01G0009250 transcript:DexiUA01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRGGPAPKGAGADSTARGPPLGGAAPLLRGATPATASGSGEVKRGESGELGPRRLGTGAERDGEVGEWVGCSVGPALGKGAVE >Dexi5A01G0036330.1:cds pep primary_assembly:Fonio_CM05836:5A:37926570:37931261:-1 gene:Dexi5A01G0036330 transcript:Dexi5A01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFSAHVSALRRGALCARWIPAALFSSGNLTGGKPILVRDFVRSALYDPNHGYFSKRTGPVGVLDASIRFNQLEGGRSAYMQHLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNDMKYISVEISSSLAEKQLETVGEVQSHLSKFSVEHRDATNRPGWGRKDPLPCWVLMLEKDGKTSDHRNYLDAQGDADIFFPTDFRLLEQIDHHCSGFSKEQKNPGAFKPVKKRRSIILDTAAFMEEFGLPLKTRTKDGYNPLLDDFKNTKFYLSVPTHNRK >Dexi2B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:2B:9516475:9517336:-1 gene:Dexi2B01G0008830 transcript:Dexi2B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSSGPIIDVPVDVQSNKKISRTPLPSKSLSDSDMPEFAKLVKSCSHGMVKLVDLLHERFPCISKAQLKNKVREIAEFTHNRWQVKEDILDQYSLCLSPDKSGSSNCATSHLPQQCQPPDESSKAGKSSPHSSLKPDVSRQHIGAQGSSGSAPHPDP >Dexi8B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:8B:23942500:23943087:1 gene:Dexi8B01G0013570 transcript:Dexi8B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRSSESENIAAVSTAYPAIEEKHAADVTPAAAAAAAADGTAIAKECIPGQKFRFPQKTIDWIMSQKRFVLDEDPDEYYNRMINDPDRHELYTQQFLEEERQLMRDMATLHQRTGDSLEKFQRWARYELETKGYVEVDEVYLDRRIRLQRFSKELYDAMMAVPGFIYKAQAEDLAKQVEKRLALQESNDSLRC >Dexi2B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:2B:1423175:1424819:1 gene:Dexi2B01G0001860 transcript:Dexi2B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELNGDAIAEILLRVPPDEPRDLFRASLVCKPWLRIASDPAFLRRYRAFHPGAPLLGFFYHVGVENHSLPFVPTTAASPFRRLAYGDGDDPNWWIRDCRHGRVLVKRSRNFVVWDPITGHREELPPLPLSIRSSFYSGALVVCAVAGCDHRDCHGGPFLVVYVGDNNEDEEVLSACVYSSEAGAWGTPDSTHLHLNGMIRMKRTLLIGDEIYCIVGLLGLRYQILKYNLAKHCFSLISVPCVYENVPVLMQNEDGSLGFAGVAGSSLYLWSRMANPEGITEWELRRVIKLRKTLHNADVVDFAEGVGVFVMSTCFGVFTFELKSGRVRKISKEINCLSFFPFSSFFTPVSFY >Dexi4A01G0023760.1:cds pep primary_assembly:Fonio_CM05836:4A:26748933:26751993:-1 gene:Dexi4A01G0023760 transcript:Dexi4A01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAEATDAAVGAPGRRAPRCARVWLRVRFSPPSFPGGVRSECLLLRVVVFVGQRPQGICHSTNGLLLDRHGEEKDQEAHRPRKPWSAAAAAPAMSPERKRMAGLWEREVGSLPPRHFSNAVMASKDFVQSLTIHKRLRKHRGSVNTISFNADGRLLLSGADDRTVVLWDWVEAVPTFSFHSGHSNNVMHAQFMPFSDDRSIVTCAVDGEVRHSQIREGGHVTVDKLVELDFAVHRLAVEPGSPYTFHCCCQDSSVWLFDLRAKDAMELFKCRASDHFTSDAIELFAIAIDPRKPCSFAVGGSDEYVRIYDTRKICLDGNSGGGHPTEHFCPPHLIGENKDGITGLAYSQTSELLASYSHENIYLFSREHGLHFNNIEVDERLLMDGTEPLPFCRDRLPIPKTFRGHQNQLTLKGVNFLGPNCDYVTSGSDCGRIFIWGKKDGELIRVMNGDRHIVNCVEQHPSGIVVASSGIDNDVKIWEPGEGEDPSIAPVDRVEEDMWLSSSSDSYSYLYDGDADNENDYFDHDMGLVDVTLYGNGDEHSSEEDEDASSEEDDSSDNSAKEDDDSDNGAEEGFDGENSAEDLSDG >Dexi6A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:6A:26503888:26504897:1 gene:Dexi6A01G0018870 transcript:Dexi6A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASKFEFDSSSFTHQFTINLEQTKNDAIKHFVSSGDISAGGHLWKIECYPRGLKKEDKGEYLSLYLNHESKTKDAKAIFEVFVMDKDGAPSLSHRRRLERRNDNSRGWAQFVKRSDLQSLLTNGSVIIMCGVKVVRDDPNPITVPPSDIKSHLGILLDSKDGSDVSFIIDGNEFPAHRAVLAARSPVFKEQLLSCCTVADAKMPSITLHDIAPATFMAMLRFIYTDDLLEDVKLSAETFQDLLAAADRYALHRLKLICVRKLWDDISITVSATLACAETHSCPELKKKCMDFFAVESNFKKAVLTDGYVQLVQKFPAIRAELRAKVGA >Dexi7A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:7A:25698889:25699189:1 gene:Dexi7A01G0015820 transcript:Dexi7A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYILILFFSWILVLSVFGCAPGTAWTLVNLGHFAARRLL >Dexi3A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:3A:521609:522886:1 gene:Dexi3A01G0000630 transcript:Dexi3A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVLFTAACFVLLLLNGAHHVVEGRPHRERRNDYMLFVLGDSYADVGNRPQTSEKTVLSRAWFYPYGISGSAHGNRPTGRVSDGMVQSDILAKILGGDESPPPSRRHWRDSDKVDPSGVNFAVGGAGVLSDDRAAPSLGQQVDQLASLISSGAVETADLDRSVALVAISTGADYNGRITHESSSRDMTALTGQVTDELVAAVRRLRDLGVTKVLVNLLPALGCMPWQSVASNYATCDSHSNALASMHNAALRRRLHDSYDDVLLLDLYSIFSNSVQPNVGPCCRNPDPNGYCGQDDSSGRPHYSVCDNPDQAFFWDYMHPTQAGWETIMQQLQGPIQDFLGIQSSW >Dexi8B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:8B:23074797:23075208:-1 gene:Dexi8B01G0013250 transcript:Dexi8B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPLSRLPLSWSDIPVELASMVLSRLPAHVDRVRFAAVCPQWRLAARQGGLPPPMPLLLLPDATVYSLPGSGPFHFPSCAGYTDACGDCLVFSGEDGCFLRDPFSNATPVHG >Dexi9A01G0026910.1:cds pep primary_assembly:Fonio_CM05836:9A:31059914:31061205:-1 gene:Dexi9A01G0026910 transcript:Dexi9A01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDADQRVWTATVVVPTLPLSSGKPMPRATFATATATFATAEGHTGVKEAVLCAIGAGYRHFDTAAVNLRMEYVDLYLIHHPVSQRAPKDDGNMVLVKEDLVEMDMKGVWEEMEECHRRGLAKAIGVSNFACKKLERLLSFATIPPAVNQVEVNPYCRQKKLREFCRARGIQLCGYSPLGANGATAWANSSVMESPVLKQIAQDRCKSVAQVCIRWVYEQGDVVIIKSFNESRMRENLDIFDWELTDDDRRKINLPESRGLYNFLVHESGPYKTGEELWDGEITAGHCNQTTFV >Dexi1A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:1A:3732708:3734557:1 gene:Dexi1A01G0005110 transcript:Dexi1A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPRFMVLQERLVVHLPGSHFSPGPEWPPIDCATKNAYGCGNLAAAMLDGLTLYLRRRRRRRNVGDPSDLNSSLSIGLTHLALESIQAELGVSSEFDGIHGCLPEIDGSIKIADDHGVLVLMALFTVTPIPVHRLAGGDGHHELVIMARKFWPQRRGVERGRLCVCSPATRTETNNNPDDVSTAGPWAAKEHSFPDDDVCQAFSADVTFSSDGKAFWGDLSQGVVYSDLRDSHDEAAVFVELPRGYKIDFAVVPRFDQVEPANMSRTMGCVQGSVKFVCICRRSGHGDRSGDEMAVKVWSLDMDHKLWKEDKGFPCLWKDLWKEACHMNVELRDVLLCPEPQYPVLTSDGALSFLLPSMLLRRSCGKEGDYICYFEIVRKRCLFFGQVRGYHSIGEFILPYNFFTYRHPDPRGSCPAFLQVVAVLHLQPLVAFGVESPLFDELFFMIRLSVTVWHNSKECDV >Dexi7B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:7B:14353745:14354605:-1 gene:Dexi7B01G0006620 transcript:Dexi7B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQFMTADHQYVSRKHEEDKVIIFERGNLVFVFNFHWSSSYFDYRVGCFKPGKYKDWPHDNRPCSFSVYAPSRTAVVYALAEDE >Dexi2A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:2A:8675583:8677603:1 gene:Dexi2A01G0008690 transcript:Dexi2A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWESRWVKSEWKKDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLTHVYTLIIHPDATYSILIDNEEKQTGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTMPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKTFAEETWGKQKEAEKAAFDEAEKKKEEELLSAVVVVHTGSC >Dexi6B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:6B:3972745:3978531:1 gene:Dexi6B01G0004650 transcript:Dexi6B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDKSFGDDEELLLGAHSLFHICKIRFVDATERVSEFAQTCTRWYGGKDLSELLEMELSLMYDILYTKAAVVHTWYGYTILVISPVLTAVALLLFHYSSDKHGDSKINVGITYAFLSGTLALESLLVARAIGSTWACTLMYCSGRERLLGAVTFLHRHIRVASNRRWSGSMGQYNLLHLCTRDRTGLGGRVAAKLGASKLVEQSPLRWHHVNLNNRYQGPNDVAVLLHPSQLTSILYWHIATDVYLSESKVEHEDKLVAAVQVLSNYMMFLMIAQPEMLTGPIQQGPCKIFGASALEDCSGRQARGDIGRWQDIEVSLAMSAEEVDGGARGYNGPAFFHGRGIASWLLAMDSDSDSTLDVIFRMWTKMLCYAAHHCSRDSHARQLNNGGEFLTIVWLLSHWQKGMTVTFGRLFSTLAGLLASRNI >Dexi2A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:2A:8445806:8451084:1 gene:Dexi2A01G0008230 transcript:Dexi2A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTGATAIADDRRRRVLFFPLPYQGHINPMFQLAGVLHSRGFAITVFHTHFNAPDASFHPDYHFVPVSGDVMSPTPADNSSDTVQATVEHILAVNHSCEAPFRHLLAALLARQTEEDDGDVVACLVADAHLLTLLDVARELGVPTMALRTGSAACFRFFTAFPLLCDKGYLPAHESSSELDAAVVELPPYRVGDLPSARSAAAHVQMGEVISRAVTAVTTSTGLILNTCLDWLDEQPQQSVLYVSFGSLASMSSSDLDETTWGIAGSGVRFLWVLRPGIVSGAGAGAPPPLPDVVDAAVAGGRGVVVRWAPQEEVLEHAAVGAFWTHYWWNSTVEAVCAGVPMLCSPCFGDQMGNARYVVDVWRNGLMLAGGEVERGKVAAAIAAVMGEGGEEVRRRAMELKSSAAESIGEGGSSSIMVDKLVSHIQSM >Dexi1B01G0024280.1:cds pep primary_assembly:Fonio_CM05836:1B:29654166:29656028:1 gene:Dexi1B01G0024280 transcript:Dexi1B01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSPMTKTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTLKMCGTYGATFATIGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGASVCGYRGKSIQSALIGGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >Dexi9B01G0039650.1:cds pep primary_assembly:Fonio_CM05836:9B:40337525:40338366:-1 gene:Dexi9B01G0039650 transcript:Dexi9B01G0039650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGDHHGNAGSWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVAPPTSPAATPAGVPPATPGAAYLSLNITLLFTAVNPNKVGIRYGATALDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAIRDSVELRIIGDVGAKILVLGFSSPKVQVSVACAIAISPRSQSLKYKQCGVDGLSV >Dexi3A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:3A:13735713:13736544:-1 gene:Dexi3A01G0018020 transcript:Dexi3A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHGLGSIPSTHESSHEEAVDLGIRPHKPGVEHLILEQDGIREPPVVNHQALDNDAVGRRGGRHRGPGPGEEAEVMERVVGEAARGERGEVEHVRGGGGRDGGLEREAVQREELLEAARAEERGEDGGAGRQLEWSAWRVRSAASWRSAWAPERSARIRLWKARREGARVGSGSERRTALGDGAGTGGVATNVSLRRSRRDAAAEAASACASGKSPGTRRRAEIGLERDISGGRGRRGRRTGRRVLVGVGVGVPEFRIGERTAGLLQLQQ >Dexi6A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:6A:25793997:25795003:1 gene:Dexi6A01G0017990 transcript:Dexi6A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNASQALCTAASRTEPPAATSSSTATARGPASAAEALISSQSEWRRSARRAAATTRQPARARSRQKSRPMPEEAPVTSTTWPSSLLQGGRGAPADAIGWFCQRRNPLLLNYTGT >Dexi9B01G0049550.1:cds pep primary_assembly:Fonio_CM05836:9B:48131409:48133704:1 gene:Dexi9B01G0049550 transcript:Dexi9B01G0049550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFFKVSLSSRVVAAALLWLLVAHGSSCAKHKSHKAAHAAPTPPPPPPSSDSSSSGSGGPGSGGYYYPTPSPPPPTSSSNGSSSSSGWLNARATWYGAPNGAGPDDNGGACGFKGVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCLAHPACSGIPETVIITDMNYYPVAPYHFDLSGTAFGAMAKDERNDELRHAGIIDIQFKSFHVERGSNPNYLAVLVEYENGDGDVVQVDLMESRAEDASPTGVWEPMRESWGSIWRMDSRRPLQGPFSLRVTNESGKTLVADQVIPADWQPDNVYSSIVQFD >Dexi1A01G0028950.1:cds pep primary_assembly:Fonio_CM05836:1A:34516289:34517516:-1 gene:Dexi1A01G0028950 transcript:Dexi1A01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERKALGKRGRSRVLPRTPSMVTVASAAKQVRQERGAGVPSSSSLPAGGAGMGAGGGRAAPRGYYSGGFLAGAETTAAFLKACGLCNRRLGPGHDTFIYRGEAAFCSHECREKQIEYDERMEQSCSSASGASGSDQSGSGGDQTVAAA >Dexi1A01G0027740.1:cds pep primary_assembly:Fonio_CM05836:1A:33466244:33475319:1 gene:Dexi1A01G0027740 transcript:Dexi1A01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRKAGSASAAAKSSHHQQPPQSGSPTSTTTTTTSSSRLTPELSLDGPASPMFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRHVYDVAAQHVVSGSMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESNEGEAVTFSQLVISKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQTKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDTEEDNIILWKQKVLFLLTSVFPTYEKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKETQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMMENESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLAGDNVSADLFSIGHGEFPSGSIHDEEIPLASRKTMDHVDLLREQFKILSGEVALHTSVLKRLTEEAGRSPQGEKIQMEMKKISDEIKGKKRQIASLEREIGRATLGSQGKADKLELSPSYTELLEQLNEKSFELEVKAADNRVIQDQLNEKIGECMELQAEVTRLKEQLSQALEAKESLSNSLMQNNREANHKVQCYADQENAVPREVSSEQLQKQQQSVEIDELKQKVSELIEIKAQLDDRNQKLMEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLATELASLRSPTPRRASNGTRGARRESMSRRHEPASRRDTNASHEREKALEAMLMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGYEHEDSEGKHNVS >Dexi9B01G0048750.1:cds pep primary_assembly:Fonio_CM05836:9B:47614539:47615730:1 gene:Dexi9B01G0048750 transcript:Dexi9B01G0048750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRACDEGAAVTLAEGESIMQVLTLRGSNVIEVTDREGVKSLALFPAKFQKSFWIKSGSFVVVDASGRDQALESGSKIACVVSQVLFHEQVRALQKSGNWPSLFKSTPSEGSEAGTQAQTAQIDEEPDSDEDDDLPPLEENTNRNRPFELYSDSDSGSDS >DexiUA01G0020790.1:cds pep primary_assembly:Fonio_CM05836:UA:43095953:43098701:-1 gene:DexiUA01G0020790 transcript:DexiUA01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPVAPMWMRWWVMVAAGLLSVILPPSTATLSPAGINYEVVALMAIKTELEDPYNALDNWDINAVDPCSWRMVTCSSDGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGPIPGTIGRLGMLKTLDLSDNQLTGSIPSSLGNLKNLNYLSLGVTRKLNNNSLSGVLPDSLATIDGLALVDLSFNNLSGPLPKISARTFIIAGNPMICGAKSGDNCSSVSLDPLSYPPDDLKTQPQQGIGKHRHIAAICGAIVGSVAFAAIVVDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLRG >Dexi1A01G0031100.1:cds pep primary_assembly:Fonio_CM05836:1A:36239485:36247019:-1 gene:Dexi1A01G0031100 transcript:Dexi1A01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNASHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKSEGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRSGLNGKDGQPAGTDGCISSPMQSSSPKVRPDQEYLMKQSSSQQPQEQLQQQNQQQQQQSQQQQIQQVRKVCAAYVFQVLFSDDLEQFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPAASKGFTFSEVNCWRTSNSKVVCCHFSSDGKILASAGHEKKAVLWNMENFQTQYTSEEHGGIITDVRFRPNSTHLATSSFDRTIKLWNAQDPGFSLHTFNGHGFQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLACLRAIKVADVLSKFPECSVLEYQHIMSLLFEKLPCYIFLHYNPAHEYLEFLNMLLGHNTDVQSVCWDNNGEYLASVSQDLVKSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDNSVKVWK >DexiUA01G0012660.1:cds pep primary_assembly:Fonio_CM05836:UA:26289229:26290259:-1 gene:DexiUA01G0012660 transcript:DexiUA01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQALELYPCARWRFVNADDCILTRIQEMFLNYHFAVEQEVGSACHTFFVCLAALADADDWKGRTTPLRGWRFVCAGDIGVRNELPSTFQADCYQQHRWSCGPANLFPKVLLEILHNDRVSPWKKLHLLYGFFFLRKVVAQLVTVLLYYIVIPACVLVQGDVHLPKYVAMYLLAAITLFNTA >Dexi1B01G0022980.1:cds pep primary_assembly:Fonio_CM05836:1B:28627676:28630610:-1 gene:Dexi1B01G0022980 transcript:Dexi1B01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSPPVAASDFGGTPDQSRLLRATHLLNLVSLQMSLVFKILVLDIQQMKLSDLGSKTLEKEKLYEGRVVQGPLRGTQAVFKVYPGARAGASEADVMALNELRTHAFLQSDASGICDNIQFLLGAFETSTGEQWLAFRDDGRYSAADYAKLTSERQLKEKSGDMIFWNPFNRAYKLELRRYFVLKLLNGAMCGLVHMHNHDRLHQSLGPSSVVLNTVMEREGLYLVPRLRDLAFSVDIGYSSVGAGALSDGLWRRASAAGASTPLEKRAFGIADDMQRLFESTFRLDIYAAREYCLADDRLSEAVNFLDLGDGAGWELLQAMLHPDYRKRPMAEAVLNHRFITGAVLRNY >Dexi2A01G0031540.2:cds pep primary_assembly:Fonio_CM05836:2A:42310821:42311707:1 gene:Dexi2A01G0031540 transcript:Dexi2A01G0031540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPQGYPPAGYPPPQQGYPPQGYPQQGYPPPYAQPPPQQQSSGPSFMEGWYRVGNFAWITGQIRSTPASPASPAVAAVLPPGL >Dexi2A01G0031540.1:cds pep primary_assembly:Fonio_CM05836:2A:42310821:42311527:1 gene:Dexi2A01G0031540 transcript:Dexi2A01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPQGYPPAGYPPPQQGYPPQGYPQQGYPPPYAQPPPQQQSSGPSFMEGWYEY >Dexi5A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:5A:1895652:1896498:1 gene:Dexi5A01G0002720 transcript:Dexi5A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFAELARGTPAADLGPLDLAAQDLPPMEVRLFRWWEDEVAAIKAAAVEEEEEEXXXXXXRFAELARGTPAADLGPLDLAAQDLPPMEVRLFRWWEDEVAAIKAAAVEEEEEEAAAPDVDEEEEEGAVGNGRTPKKRSLSDVIAEVAAVDAVAVPKAGEGAVDVSEPLCTMLQRSKEMRRRRRRLEEASAADVPESSAAAERRAAEGNFARKHRNR >DexiUA01G0027300.1:cds pep primary_assembly:Fonio_CM05836:UA:58422042:58424093:1 gene:DexiUA01G0027300 transcript:DexiUA01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNALLNVYLSNDDIAKLLVPLLKLRQACCHPQAGSSGLCSLQHNPLSMDEILQVLIAKAKIEGEEELRKIVFALNAAQKDFNASFTEVLNITKELQNEHMNWWLHALNCIEQNNVSADKLLEKIDNSSTKSTTGLGSRGMSSRSVPNLFQVKSIAGLKYAIQADIDSLQSSRQQLMDRLLEVDSTMDNPRDEDIEVVMHQSF >Dexi1A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:1A:6924060:6924308:-1 gene:Dexi1A01G0008770 transcript:Dexi1A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSRASAPPQASAPARAVGRMSSGEGAPSRHAGKGSSSRQGGELVTPRGGEAVAPGGGKVVAPWRRVEGKGRRAGEERPS >Dexi5B01G0023630.1:cds pep primary_assembly:Fonio_CM05836:5B:25747416:25749090:1 gene:Dexi5B01G0023630 transcript:Dexi5B01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGTPPSQPPAPHPAVAPLAFLLGKWRGEGEGSFPTISSFRYGEELLFSHHPSKPVISYTQKTWKAASGEPMHAESGYWRPRPDGSVEVVIAQSTGLAEVQKGSYDAEKKTVTLQSELVCNASKVKQITRAFQVADGELSYIVQMATITTSLQPHLKALLKKI >DexiUA01G0010760.1:cds pep primary_assembly:Fonio_CM05836:UA:21352602:21374187:-1 gene:DexiUA01G0010760 transcript:DexiUA01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFSLEELEKATDRFDQARVLGRGGHGMSADCHAPPWTPHAWPHLSYQELKPAPYSPPSHFTHTTLSLFLRRETQGPASPENDADDLHHLNTIHFFKLGPRGSFPELLSLFAHCSLPPCRSTPTCGPHWSRVNQRKTTENQAQARPAGHTPGHSVHPTDTERPCHGLDGPPSSNHRNYRARPAGPPPRQLGPIFEWHPSVPPPPDPLSPSPIRRRHHRIYRHHHQMYHHHHQIPRRHRRIHLLVAEEPAVEELAVVERERRPDPHQPPLAAWIRTSAQREREATPPRWPPPPPPLPPRRRPPREREAAPARRQPPPPPPGRQPPREREAASEPGSHRSCRLRPSRIEAAEEEGEERPSVREAAASREGGRQRKKGERSEPSSLATFPSRAGPSAYFGPVATQPSSGPAAPSPLPLSPTRRPDLSSLSSPLPRRTRLYLESVLRRATPSLGTHAKATSFALFNAPPPLLESLFFETLAPAAPPSSRRHHRPSIPLPLRGEHHYRAFSPFFLALSHPRCLTRVAELPLLAAGLPRRPNLDEISTVRLPVPYASSVAWNRTKPWPLAPVLSNSGEPPPWRRRLLVPSGKPILIRWPVVDPTLTEQTPSQQTAYVWRRGMDNITASRFGPAGNGGDGKTRRAESALQCVSGHATLPEACTVSGDMQRWHWSEQRLPAASAARAGAALATRERAVSGQKDFERLSNVGQIGLNFHVFLLNDLKGAIPDGNYHLIPADEEEVPEEGAGAGSTNPEANPQFEQEGKPRSIT >Dexi3B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:3B:3786151:3789997:1 gene:Dexi3B01G0005590 transcript:Dexi3B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCAARRLFLFPLVAPKLPPAYPPRGRRRGRGRGNGALSGAARCSPEANGGWGGIVEDDLAELLQILPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRDSEISQKELEDAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEVRKRDNKFEVVIERWATYDGDGL >Dexi1A01G0025350.1:cds pep primary_assembly:Fonio_CM05836:1A:31609294:31609650:1 gene:Dexi1A01G0025350 transcript:Dexi1A01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGEREGSALKTAVIVAGGLALAWVTVEAAFRPFLDRLRGAVSGSTDPARNPDQEEEEAPAPAATAAEAEEEKAPAPEEPSAPPAPEVVEEKVEEKVVELEEKVEEAAADDDKAE >Dexi3A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:3A:3860275:3861682:1 gene:Dexi3A01G0005960 transcript:Dexi3A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRADTGFVPDYVPGKVLDTCNACKVVLSGHPDFEPDDFLWGVTGWEEYSLIPYPMFCHKINHREFPLSYYIGVLGVPGLTAYAGLFEVAKAKKGEYVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDQKVNLLKTELGFDDAFNYKKEDDLSAALKRCFPEGIDVYFDNVGGATLEAVLRRMRPRGRVAVCGQVSQYNLERPDGVDLFQLVGKHIRMEGFLVSEYAGDYYRRFEEEMARHLREGKVVCVEDVVEGIDAAPAALVGLFAGRNVGKQVVAVTRESEN >Dexi2B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:2B:25914772:25919110:-1 gene:Dexi2B01G0015820 transcript:Dexi2B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPAASPAGARAAIPSLWPPPRAAAVRVLVALPVAARTQDQRPRRLCLAVPPLASEMASAAADGEEEEEVVVVVERETGKTKAVEMDAAVRRELAIRRLREEAEAEANEAGTGTARNRRDFAVLETARGDALFTQSWTPAAADRVNGRYSHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENHGLPCFLFGHSTGGAIVLKAALDPCVKLHVEGVVLTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHKRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSYLQKNLSKVTVPFLVLHGTADTITDPRASQRLYNTSMSTNKSIKLYDGYLHDLLFEPERDDIANDIIDWLSARLDVLQRR >Dexi2A01G0029380.1:cds pep primary_assembly:Fonio_CM05836:2A:40419365:40421943:1 gene:Dexi2A01G0029380 transcript:Dexi2A01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVLLPPPHSSTAGDILAHFCGKIRRLVVAGAESAKRPSRVALHGFPGGAEAFELVARFCYAGGGAVTAANACVLRCAAEFLDMAAADEAPEAAASTAAPSLVRMTEKALEEMPHWPWHAVVDAVKQCQRLLPLADATGAFDAAVSALASHVAVPPPAGDTTPTSSSPESSAFRFSVDTKSSSLSLRGSCISRTWWFEDLVSLRPGTVERVAAALVARGTDHAVVARFVFYYLKCRVAGAGAEEKKAMLDSSVAVMSGLDRSAVSCKGLFGILRIAAPLKLSGACQERLVAMIGRKLDHATLDNLLVPAPAGTGSLYDVSLVLRFLEAFLRGGGGAHDETARLKKVGRLIDLYLAEVHGRLTEEEKMKICRGLSYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLVLRDPAQLKPVSPSPPPSTGKRREACYDDGGGGIAGGENDGQVILYAGRLDLSLENQNLRSLLDGMHWRVMELEKVCSRMKTQMTKMKARRGGGRTARSLPRMCS >Dexi9A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:9A:624225:626584:1 gene:Dexi9A01G0001210 transcript:Dexi9A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLFLVLFAEGAVALLLMVKVGPLRELAMRAVDQVKTGKGPATVKTLACTLSVIFMSNVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLRKLMTLRKTSSTSREEVEKLQMENRSLREKEEKSSGEMKKLQREIAKLNESMKKLKLETEEHERKASAAEAHVNALQKQSEELLLEYDRLLEDNQILQTQLLSRG >Dexi3A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:3A:4375947:4376962:-1 gene:Dexi3A01G0006600 transcript:Dexi3A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPHGRQHHYLYLLVLSSHLLLSRSTTAAAGKVSAIIVFGDSTVDAGNNVYNPFVSRGSLPPYGRDFNGGVPTGRFSNGRIIPDFISEGVGLASTVPAYFDPTNTIDRLATGANFASSGAGLDDLTSEFFMAIPLRQQIESFREYKERLTLAMGESDAGEIIAEALYYFGIGNNDISVNYFFLPERRAQFTPPEYVAFLIDVAGAAVREVYELGGRKIQLTGVLPVGCVPAMRTVNTQRPGECVEELNQYAVMFNAELRVAVDRLNAELAGARLGYGDLYGLVSAIVAYPSEYGKIELKSS >Dexi2A01G0023570.1:cds pep primary_assembly:Fonio_CM05836:2A:35285297:35287970:1 gene:Dexi2A01G0023570 transcript:Dexi2A01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSQAAVRARAAARVADHGGGRPFAGIAAATSRIASLGRAGDAAAARAVFNAMPGRDAVAWNAMLTAYARAGRPRDALTLFAHAPAPDAFSLTAALAAAAALRCPYTGAQLHARLLRLGLRTPLPVGNALVAMYAKCTRADEAARAFREMQDRNALSWCSLLHAYVASGHLRLAQELFDEMPNRNNVAWNTLIMGYSRSGNARQCLLLFNEMRMAGLICDDATLCILVDACAELAHPSTGFAIHKIVVQSGWNAMSEVSNSLISLYTKFSLLEEAVRIFESMELRTIVSWNSLIDACMKLGYVEQAASLFRSVPETNLISWTSMIGGLARNGCADEALALFVDMLSLEHIHPDDFTFGAVLHACATSVSLASGRMVHGRVFQTGFASYLYVANSLMDMYARCGDVESASIVFNGIFVKDLVSWNTMLFGFAINGWANEALVVYASMKSHEVCPDEVTFTGLLTACSHSGLLEQGKIFFQSMVSIHGIQPKPEHLSCIIDMYARSGNMTEAVELLDRCSEAARTQKSDRHEALLNACSWEHLNSRVAMKVAEDMVTSEPARDAGYVTLSNLFCASGQWNEAEKVRRAMAERGVKKSPGCSWIEVKGAVKVFVSGAQDPDRTGTTMDPDASRGINFWKDPNAESCCICGEEAEAKHTELTCPYNYLAPASYVPCRARVAAWRESRSALSEHRWFLRRLVRVNSLPGSCRPVELARLFAEFGPLLMWHVAMDGTGACKGFACVVFERRQHADEAIDRLNCYSLGQNLPSNVSWRTKLSMAAKEERENESRKADEDDGEAKGVRGW >Dexi9A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:9A:16154723:16155710:-1 gene:Dexi9A01G0021210 transcript:Dexi9A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSICTLQDDISSPIAAHILDFCDDGRGGDLFAAVNVASDMFTAASSDDASSSSVTTTAQAPCSHGDNVSSGAAAAAAASATFSPMPSLDSTLSALLEEDDPSVPDTELLLPIDYHQFAAAVAVDEPHQPEQGQLPVVEQPPALQTQMSSNASELMQLASSAYSDECFAAAMAGAGGGGYVGLEEVLCQQQPPPQPGALLPAGVMESTVQGCLFTKDTAAVAVQGGGFFGTAGGCTGMVMSMMGMEEIGEYQRMMESASAALAATHSPDAADSSAAAAAQMAAFAGGNAGEVQVE >Dexi5A01G0029450.1:cds pep primary_assembly:Fonio_CM05836:5A:32506975:32508065:1 gene:Dexi5A01G0029450 transcript:Dexi5A01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASTYRNQSPCKLIRNHLKRSLHNKGPARREHGGGGEAPSGGAARERRPRRGLPVPHRRVPGHPVRARAPPPLPRVLRLRHRRLRLRQRRRRAPGLHAGVAPAAAWPAERRHRAGTGGPQPEHGHVRAPVPRRACAGPGSRGRPGLPDVPGTAMEGLLEDGVHAVLPGRPRGRQGVAGVGPGLRAQHALLRGVPERRARSRHGGARQVARLPRHHGPERGHAVHRRAVHPGRQLAQRQRRALHRWTL >Dexi8B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:8B:26486632:26488429:-1 gene:Dexi8B01G0015770 transcript:Dexi8B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPMYSKKDVALTADSVTAVVELKATSSAVVREGLDLVAVVDVSGSMGGHKIESVKRALQFVIMKLTPVDRLSIVTFASSAKRLNPLLSMTPAAQDKLKGHVGKLTAGGGTDIKAGLELGLAVVAGRVYKESRTANIFLMSDGKVEGGDDPTKTDPGEVLSAIAMKSIGGTYSSVPDGTNLSVPFAQLLGGLLTVVAQDVRLTLTPKTADGDLDKMVVVPGTDYTQTTDASGTITIKFGTLFSGETRKVAVNFTLKASSDTKPYNATLAVARHSYAAEETRQRAQNIVRKRTRNPSSPATAGIEEQWVHAELGRRSYVAFVSEANSMAEEATGMANQAAKTKDNKKMAEAWRKLEAAQQKLEDAQDAVENIMVDDDDKMVNTLRAELARLVEYMQSHELYDEFGRPYALATITSHCRQRAAGRGDMEILCLYVTPRMITYVQQAKKFEENPKEPVPCADEDVKKEVAENPLAGIAASLSFYLDNAIQALQAIEKIVSTASST >Dexi5A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:5A:4472821:4473697:-1 gene:Dexi5A01G0006010 transcript:Dexi5A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTNTTTLSMKLLIDRKAERLLFAEASKDVVDFLFSLLVLPIGAAVKLVGKDAMAGSLGNLYRSVENLECTYVQPGASKDALLRPAVLFSPDISSLLRLPPPCGGGQLRSSSRSLMQLYRCTSIFNSSCRAYITDVFGKACPTCGNRMVAAAQYLPAGGGQMVAAATGFVQGVVTYTVMDDLTVMPMSAISSFSLLNAFDVTDLAALQEKTVQLGYNEGLEILRASLQSKTVLTDVFLGRKGPGGR >Dexi7B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:7B:15594460:15600004:-1 gene:Dexi7B01G0007820 transcript:Dexi7B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAYYGGRGTEGTRWFVPGADRCLPWGRDGGASAGAGSSETLVAVMARRAPAPSVILQDAMRTAEAAACEVVLCVHPTKEAEQHRQDVIGYLKRLIGSTVGCEVFAFGSVPLRTYLPDGDVDITVLGNTWLNNTFIDDVRSVKLMKCVIENIVVDVSFNQIGGVSTFCFLELALYWFLEYFSKFDWDKYGISLNGLIELSSLPNLTVEPTTGHDELLLDQEFLQGFLDRLVVFPNESDGCDAQFRQKFLNIVDPLKGNNNLGRSDSKG >DexiUA01G0023820.1:cds pep primary_assembly:Fonio_CM05836:UA:48632516:48633447:-1 gene:DexiUA01G0023820 transcript:DexiUA01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVATQRDGGCSLLSAQVVGRAFTRQYYDILRTSPDKAYMFYHDDSILAWPSAYNGADIDSVTTIPAIKARILEMVRVVERMEVLTVDAHNSYTGGITVLVTGRINSSSSGGKLSFSPLTFVQSFFLAPQDTGYFVLNDTLRYVVGRHCSTTTPATTCDVAVAAGDEKARSSSSEPAVVAVPATKEKTCHSIFEEERMAHGAETDEENGFVLVDQ >Dexi2A01G0022760.1:cds pep primary_assembly:Fonio_CM05836:2A:34567786:34573832:-1 gene:Dexi2A01G0022760 transcript:Dexi2A01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGGNVVSGDWVWVRLPTQAEAVEAAATEQRRWPSAAATEAAEEERPLKVVFESPAEHFTDAAPIGNGSLGGMVWGGVTTEKLQLNHDTLWTGAPGSYTDPEAPAALVVVRELVNQGRFSDATAAATRLFGGQSEVYQPLGDINMEFGASSQAYDSYKRELDLHTATVLVTYNVGEVRYTREHFCSNPHQVMVTKISANIPGKLSCTLSLTSKLKSNVTVFNVNEVVMEGICPGQRTGLRKVNSSDVTGIKFAAVLGLQIGGNSAKVAVLNDQKLRLDNADWAVIVVAASSSFSGPFVNPAYSKLDPTSIALNTLNFARNLTYDQLKAAHLDDYQRLFYRLTIQLSRGLKDDTHGSLTENERLKEAFGEETSADRVKSFSIDEDPSLVELLFQYGRYLLISCSRPGTQISNLQGIWSQDVAPAWDAAPHLNINLQMNYWPALPCNLSECQEPLFDFLTSLAVNGSKTAKVNYNSSGWVTHHVTDIWAKSSAFVKNPKHAVWPMGGAWLCTHLWEHYQFSLDKVLEKSDTDLVKNVKKALPRLPPIQIARDRTIMEWALDFQDPEVHHRHLSHLFGLFPGHTITLEKNSDVCEAVANTLYKRGEDGPGWSTTWKMALWARLFNSENAYKMILKLITLVPPGEKVDFEGGLYNNLWTAHPPFQIDANFGY >Dexi5B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:5B:22391685:22393652:1 gene:Dexi5B01G0020150 transcript:Dexi5B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITDTTLVFMELDTLITATLFGLIVSLLIILASRSEPKKSRRRRPPGPWGLPFVGSIHHMLTSQPQAALRDLASKHGPVMYLRLGQVDTVVISSPAAAEEVLRANDLSFASRPSLLGGEVILYGNLDIAFAPYGAYWRALRKLCALELLSGFKVRQLAPTRDRETMSLVTVVREVAAVARRCSAGGGGGEPAVVNLGELLVSCASSITGLAAFGDRCSGELMEQFLSAISVVISNISGFCVSDLFPSLWLVDVVTGTRRRLQRAHRQLDDVLEKIIAESEARRKERKAKNAEGEEEDLLSVMLRIRDEGDFEFPFNTTNIKAIILDLFTGGTETVSSTAEWVMAELMKNPNTMVKAQTEVRQAFKNIGPHDHESQMNELYYTRLVIKETLRLHPPLPLLLPRMCRETCNVGPFEVAKGSRVIINSWAIARSPEHWDNAEKFKPQRFENSMADFKGTHFEYLPFGYGRRMCPGSGFGISVLELMVARLLYYFDWSLPDGMRPEELDMDMTVGASAKRTNQLHLVASDPLMKF >Dexi8A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:8A:30286721:30288754:-1 gene:Dexi8A01G0018010 transcript:Dexi8A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPLLTPYKMGEFNLAHSHEQVEAWKPVVDAVHAKGALFFCQLWHTGQSKPEPASPRLMETEKIPQMVMDFRVAARNAIRAGFDGVEIHAPNGLLINQFWWFLDIGRPRMCADGDHGKLVIPHRLASFTKAFRGTFIVNGGYDREEGDRVIRDGYADLVLYGRLFVANPDLPERFRKNADLNKYDRSTFDTSDPVAGYTDYPFLTQETQVA >Dexi2B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:2B:4151350:4151845:-1 gene:Dexi2B01G0004580 transcript:Dexi2B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTRRATAAAAAAAGPRPDSTALLPPAQPPCRASTRRGRGGGAPEGLVRRSDVAPCLTCGICGGLLRDATAISECLDAFANSGIS >Dexi8B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:8B:27801339:27802067:1 gene:Dexi8B01G0016660 transcript:Dexi8B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSKKKTLVVVQALMMATMSVAMLATAAGQPSPGTSTSTTTNLTLHNLCPYPVWPLVTANAGIPSIPTDADGDPVGRLAGHGEGLATLAFPRGTWSGRVVARTGCSTGDDDDDVVGRCATGDAPPVTVAQVSVGGPGGHAAYSVSLVDGFNVPVVVTPHGFPEGPRRCPSLGCAVDLAVDCPVGARAPGGGCGAGASEAAWFKARCPDTRTNATDVEVTPQDCVMPGEIKVVFCPAHL >Dexi5A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:5A:5445479:5449048:1 gene:Dexi5A01G0007310 transcript:Dexi5A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCQRGGVRDLRHVNVGTGEPTRRSLLLAGVAHTVSQPYEPRRHSAGGRSGCLRASGMRMLTNSDGRVHVYRPPARRFPVRRARLPSPLPRESRRPASGLRFSTPHYSAMDPSISSAASSPLRLSGNLPRSSGGATNGSSRPSLVAPARQPASAGRLRAVSPSPTPPPPVESFGFGALKETFSVDVAAAEARPLDVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAAGRACDALAGAPAAPLGAMLLDVAEVLPGHDFASVRNLPHLLLRLCTEYNLPSVTVITVHTFSVPCVFDCNCFPIKARAGVEMALIDAVANSIRIPLWRLFGGASDTVTTDITIPIVAPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTADQAIEVLDRLNEMGVTPVLLEQPVHRDDWEGLRDVSIAAMEKYKVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVYGGYEAFGPLYKFTNARGHGGFLHLDNDASK >Dexi6B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:6B:18666189:18667151:1 gene:Dexi6B01G0011460 transcript:Dexi6B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAAPRVVEDCRGVLQVLSDGTVLRHAPPAMDVPTDPSVEWKDVVYDAALGLGLRMYKPAAGVSKNKLPVVVIFHGGGFCINTYAAPHFHAASTRLAAGVAALVLSADYRLAPEHRLPAAIDDAAAVLLWLRAQVSSDPWLSDIADPSRVFVAGESAGGVLTHHLNVRFSGGELLHPLRIRGFVPMMPFFTGVEPTPSELACPDDAFLNRDMSDRYMRLCLPPGATADHPFLNPFGPAAPALDGVAIASTLVVVAGDDILRDRNVEFVRRMVEMGKPVEFPGQGHAFFSLRAWSESVDELIRVFKQFMDKACQLQA >Dexi6A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:6A:23220177:23221898:-1 gene:Dexi6A01G0015690 transcript:Dexi6A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKHGAKSMDNGKLKFCLQSFASFGVAHRTIMALWKARSLSTELKVQLAEEQYQTNTLQSEDSGIFVGIEDDKGLQMTEVFSSTISTNMASLMEVFEGGSLEMKLMEKVGCQKYSATQWESDKPNEYQRQIHYKFSRKLSPVGGEVTGTQQKSPIPNKKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQRASSVQVSLGIEWSKFTRHQKRIEKNVLSSSSARLKEMFNLASKELSHAK >Dexi5A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:5A:11642091:11643174:-1 gene:Dexi5A01G0014570 transcript:Dexi5A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRGANLEALRKEPLTVYGDGKQTRSFQYVSDLVEGLVKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPEARIEFRQNTADDPHKRKPDISRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEGSTETAGGLS >Dexi9A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:9A:1124592:1125111:-1 gene:Dexi9A01G0002120 transcript:Dexi9A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi9B01G0049570.1:cds pep primary_assembly:Fonio_CM05836:9B:48155068:48155833:1 gene:Dexi9B01G0049570 transcript:Dexi9B01G0049570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAAVVATLLALNLLFFAFADACGCRCGGACPSPGGGGDGGGGSGGGGGGGGGGTGGGGGGTGGGGSGGGGGGTGGGGSGGGSGGGGGGSGGGGNGGGGGGGGNGGGGGGGGGGGRARCPIDALKLGVCANVLNGLINVNLGTPPRTPCCTLIQGLADLEAAVCLCTALRANVLGITLNVPINLSLLVNYCGRRVPTGFQCS >Dexi3B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:3B:12009677:12011210:-1 gene:Dexi3B01G0016500 transcript:Dexi3B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCFMQVTSSKLQDNVSHDSDTYYILSMISLVALVAFVIAFSFGMGAIPWLMMSEVQYLVT >Dexi9B01G0039100.1:cds pep primary_assembly:Fonio_CM05836:9B:39934914:39936330:-1 gene:Dexi9B01G0039100 transcript:Dexi9B01G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLTSASRQGHYGRVLHLFFTHLQSGDGGALDPHPAAVPTALRACSRLGNAFSGRLIHALVLTRFPSLASDAVAATALLDMYGKCGLVASARRVFDEMPRGDDLIAWNALLAGYARHGLPEHALVLAIKMRGRGLRPDLVTWNAVVSGFALAGDDQMAEDLVRAMQDDGFRPDVVTWTSLVSGSVVNFHYDRARSLFRRMMATGILPSSATIASIMPAFANAADLKQGKEVHAYSVVTGVEQDLTVSSALVDMYAKCGLVLEAHQLFDKMAERSTVTWNSMIFGLANSGHCQEAISLFDRMLFEGARPDHLTFTAVLTACSYCGMR >Dexi5B01G0037490.1:cds pep primary_assembly:Fonio_CM05836:5B:36971351:36972034:1 gene:Dexi5B01G0037490 transcript:Dexi5B01G0037490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAMNRLFVESSSSSSSGSGGCREEEPAAAVLCAPRPRRVQVHPCSADLILGPPPFMLGTNKSKEAGGKAKAPESDEDGGWALFGGSPPARADNPLVHDPHFILNQRHPVAASPLELGFFDHQSRSNHSHRPTYISSHSSSNSFAPTFAPAVRIQGFDVAACRSSHSNGGGRVLSARA >Dexi5B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:5B:20013986:20014658:-1 gene:Dexi5B01G0018070 transcript:Dexi5B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKIKGKMQKPLPEFLKEYDLPIGLFPQDATNYELNEDTKLLTVYIASPCEVGYKDSSVLRFSTNVTGYLEKGKLTHVEGLKTKILIWTKVTEVRTEATKVHFAAGMNKTRNREAYEVVRDGVVVAKF >Dexi2B01G0033110.1:cds pep primary_assembly:Fonio_CM05836:2B:40793842:40795615:1 gene:Dexi2B01G0033110 transcript:Dexi2B01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAWMVAAAAAAVLASWLFNALVHLVWRPYTISRSLRAQGVSGPGYRFFTGNLAEIKRLRGEAAVAAALDVGDHDLVPQVQPHHRKWIELYGRTFVYWIGATPSLCVADVNVVRHVLSDRSGLYPKNIVNQHISRLLGKGLILTDGEEYMRHRKVVHPAFNMDKLKMMTVTMSDCVRSMLSDWEAKGAAGDDVEIELSRQFEELTADVISHTAFGSSYKEGRQVFLAQRELQFLAFSTAFNVQIPGFRYLPTEKNLRTWKLDKQVRSMLMDIIKTRLANKDTAGYGNDLLGLMLEACTPEHGEAPILTMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPAWQDKLREEVRRECGDGEEEEELTGDMLNKLKLVNMFLLETLRLYGPVPAFQRRTSSDLDLGGIHVPEGAILTIAITSIHRDKEVWGEDAGEFKPERFENGVTKAAKHPNALLSFSSGPRSCIGQNFAMMEAKAVVAMMVQKFSLELSPKYVHAPMNVITVRPRHGLPMILERLQA >Dexi2A01G0033200.1:cds pep primary_assembly:Fonio_CM05836:2A:43494340:43497283:-1 gene:Dexi2A01G0033200 transcript:Dexi2A01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVYVAPDVAERDRLRRRRRRGEDGAIRHRGATWSPRGVGSASVRECLGPGGEMYAMSDRRRMVLRCVVREYEERWREGCGGVTRRGRSREGGQLSVLDPARAVGMASWTRSISTCAAPWLELRGEWRSSGILRGGPKGRGQGRESRRGGEGGRDGS >Dexi9A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:9A:1787261:1787846:-1 gene:Dexi9A01G0003390 transcript:Dexi9A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Dexi2A01G0026740.1:cds pep primary_assembly:Fonio_CM05836:2A:38312751:38314977:1 gene:Dexi2A01G0026740 transcript:Dexi2A01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAGESPSPDPPPSPPPEGPPSADTSSSTAATGTQAPAPPGPGAREVAAAMEAVERDATAIAESYASLFASLRVALSNAK >Dexi7A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:7A:19330618:19332714:1 gene:Dexi7A01G0008180 transcript:Dexi7A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLARAAARAKRSGSGLPLRSVLAGHGPFFSSEAAATPAPAGAAAGEEDGDDLRGRIFRLGLAKRSATAALEKWAGEGRAAPAEELRRIARDLSRARRYKHALEGGYEDAHQLLRLWYDGRQVADWMKTHHESDLSESDYGMRIDLITRVFGVNAAEDFFEKLPPGAKSLEAYTALLHSYARSKMTDKAERLFGRMQDANLSMDVLVYNEMMTLYISVGELDKVQVVAEELKRQNVSPDLFTYNLRVSAAAASMDLEGFKGILDEMSKDPNSKEGWTLYRKLAAIYVDASQLVGSGNSLVEAEAKISQREWITYDFLVLLHAGLGNLERIKDIWKSMLMTSQRMTSRNYICVISSYLMCERLKDAGEIVDQWQRSKAPEFDISACNRLFDALLNAGLADTAESFRELMLQKSCILTSRATVPE >Dexi7B01G0022240.1:cds pep primary_assembly:Fonio_CM05836:7B:27226972:27228930:-1 gene:Dexi7B01G0022240 transcript:Dexi7B01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNVPGGKLNRGLSVIDSYMLLREETEVDDEDFYLACVLGWCVEWLQASALVFDDITDNAYTRRDSLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKIYFLNLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRMVKYKTSYYSFYLPDDYLDCFGDPEVIGKENYGKSDPQCVAAVKNVYKELDLQV >Dexi2B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:2B:2073870:2074478:-1 gene:Dexi2B01G0002480 transcript:Dexi2B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGAGTDSPPAERPRPRPSRYESQKRRDWQTFTRYLSLRRPPLHLRQCSGAHAVEFLRHLDRYGKTRVHEPPCPSYGGGASSLAPEAEPCQCPRRQAWGSLDALVGRLRAAFDERHGGGAAGSVATTTTAAAAAAAPQQQPEITAGAGENNPFATRAARARGISYCRKKKTGSNKETGSIPAGEGVSG >Dexi7A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:7A:22377704:22379863:-1 gene:Dexi7A01G0012070 transcript:Dexi7A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLGWGRQEVDGWRKGPWTSQEDKLLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRQEMQRSQKQQQQLLQMGQVVVAKDENEEDGGARAGTDDDDRGSAVVDDACAAPAVVAADEASGGHRHEDLIMQDDAMMDFMCPMSCALLLHGAGVGQGGAAGSCCGSTASEEYGSSEEDGATWGSLWNLEGVVVDDGAGACTLW >DexiUA01G0017810.1:cds pep primary_assembly:Fonio_CM05836:UA:37817294:37817702:1 gene:DexiUA01G0017810 transcript:DexiUA01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEQQGRCLKYSQKALSFAICTLIGYASAISLEPTDDNKGTKGNTTFKLAIAPFFVAISTDLFSLKTKAKLGNVLVYISSFHLWLVCHSFNKRYGLKGIDKSPMKNLVKT >Dexi5A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:5A:7228916:7231381:1 gene:Dexi5A01G0009650 transcript:Dexi5A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRGCQLLMALAALLVVLRGCAGQDVDGGGADSPEAAAGPTAPMEEKERAALYAAIESFVGKGWNGSGLYPDPCGWSPIQGVSCDLFNGLWYPTAISIGPVLDNSLQCAPDARFSPQLFDLRRLRTLSFYSCFPASNPTAIPTASWEKLSGSLETLEFRTNPGLTGAIPTSLGRLSALQSLVLVDNNLTGAIPAELGDLLKLRRLVASGNNWLSGPIPATLGNDHRHDELLILDLSKNLLIGSLPSSLGGLRGLLKMDLSDNLLHGSIPPELGELNNLTLLDLRNNSLTGGVPPFVQSMASLQDLLLSNNPLGGSLPSSGWEKMSSNLATLDLSNVGLSGAIPESMAALTGLRFMALDHNHLTGPVPSGLARMPRIAAMYLNGNNLTGALAFEAAFYERMGRRFASWDNPGLCYDVAAVDAAHAPAGVVVCKDLQEPARDGGEDEQERRKPEASSSLVASSACGLSDANAGGLWWPLLVVSSTVASTLLGSVLL >Dexi2B01G0023380.1:cds pep primary_assembly:Fonio_CM05836:2B:32865747:32868503:-1 gene:Dexi2B01G0023380 transcript:Dexi2B01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSPAAAAAAASDAPAPAQSTLHHLKEIASVIEAGSLSKEVRRISRAVRLTIALRRRLAARDVAAFLALALSPSSEAFARLSTLVPKEDGSEMDVDMAAPTAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKTCASASIARLKSLNRRTVDVLASRLYSYYSYVHELTNTLAEIRGTLLALHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQVINEPFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKAALTPYFELTNAVRVGDLELFRSVADKYSSTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Dexi4B01G0023770.1:cds pep primary_assembly:Fonio_CM05836:4B:25067347:25067752:1 gene:Dexi4B01G0023770 transcript:Dexi4B01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFISKEFHVTLLEEDDGSGVERREKTYKVVIKFAARADLRRLEQFIAGRQAEAPQEALQVLDIVLRELPTAR >Dexi7B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:7B:3820382:3821502:1 gene:Dexi7B01G0002220 transcript:Dexi7B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKAFLLAILGCVCLCISVLEARELSDAAMVERHERWMVEYGRVYKDTAEKAERFEVFKDNVAFIESFNAGNNKFWLAVNQFADLTNDEFKATKSNKGFKQMLTSAVTGFKYENVSVSALPASVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGNLISLSEQEVVDCDTHSMDEGCEGGWMDGAFEFVIKNGGITTESNYPYKAVDGKCKGGSKSAATIKGYEDVPVNNEAALMKAVANQPVSVAVDAGDRTFQFYSGGVMTGSCGTELDHGIAAIGYGVENDGTKYWILKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPTE >Dexi1A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:1A:4080379:4080957:-1 gene:Dexi1A01G0005570 transcript:Dexi1A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEVEGRERRRREKKDSKRSSRGDREEEEDKHKKRKKSKHSDRDKGKERDSKERHSKEKEKSKKKDKDA >Dexi5A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:5A:2906011:2907758:-1 gene:Dexi5A01G0003840 transcript:Dexi5A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIANIISTLPRLLIQKDSYGMRERRLVEYLKQCFPSNISLSTNKELAYALASLPPYQVPFSDVKVVHLHCEVSDLSHKVKLTSSLHCLFVPAGEIWRSLNATVVGLVVSGASEAARSIPYCVGLGIVRGIDVQRGLLYVITPVPLERLQNVDLLQQGLIEIPTSLLQVTIFSSGDRLDFLSIQVPGCVSPYMSTNVLHKISERDLYAADG >Dexi7A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:7A:12763665:12770536:1 gene:Dexi7A01G0003370 transcript:Dexi7A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVDTSPRPPPPAPARACGAGHLASHTLPTSAGGRVCLSCAAALLSSAGDASTLSHHVAHVLASLSLALADPAFLAPLRAAHPRLLAAPLAAALAGAAARRDAALASQASDLAADLAAAVGAPAASELIGRIARVLSSGGSLVKHVHTLLLYSDEIRGEILFVLYKLSILNATPWDNVCDNGDVDLTAIGRSLLQLSLEVLLKTQNDAVRINRVALLLTLAKKGLFDILLLSNQSSVNCTEAEHTQTDYMPLNAYLVLFAEAVKGSLLSTNLEVQTGTLDLIYHFLSSDASVCALHQTLIDENVADYIFEVIRLSDILKSPHAHDIEKLPPFIEEASKYAISSALSHENYSMILIPHSLLLLKEGLIFCLEGSKHNISSKKDLEDNIIETCGTILLHWLESAVVDEDFNLASCQCAILSILYACSFYNGRLATDNQVLASVEEYILLNGGIFPYEINGSVMLTLLVHLYAFVRASALTFVQEEEWLPLIVKVFLHTILEASIDWQDFLEHSDDVQSFSVIGIPCHDLCRLMHFGPPSIKIIASQCLFELLTRISDQRTCLNAQLRCSLKYLKSIIAVTEGLVFSEDSRVAGNCGACLSVILGWEKFGSQEKVAIRESKWFRLIMEEFAVALTAPGLTSKSFTNQQKIAANIAVSLLRLSQVPDWLTSLFDSHLISGILANLSARNVTAEIVNLFSELMARKYLNQEHVVALHNLFQVCRRQVYEGGSKAQMSEQSVKKVVRSTDDMVALLFGLMQNQCPGSDAVQSEQQRLRRAIDLFFQESSGREQR >Dexi6A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:6A:24545304:24550754:1 gene:Dexi6A01G0016660 transcript:Dexi6A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCRYSPYLGSTSRFRDEAVQDMAAADEHSSGNRGRGGAGGRIHRLELENFKSYKGKQTIGPFSDFTAVVGPNGAGKSNLMDAISFVLGVRSAHLRGARLKDLIYALDDGDKEAATDRRASVRLVYHQPDKEEELHFTRTITGAGGSEYRVDGRVVNWDDYNAKLISLGILVKARNFLVFQGDVESIASKNPKELSALLEQISGSDQLKREYDEFEEQKARAEERSALLYHQKRTIVMERKRMNAQKVEAENYLRLQQDLKLLKTQHSLWRLYTIQKDRDKMEAELQEDRQSLQQVQEEKQSLEQKLTTKRKEQSSFIKQVTLYEMRIAKKKLKLDVKQPELLRLKENIYWIQSKIKSCNKHIDEKKDDSRMHAEKMQKLQSDLVDITRAIEELNEKGRDKSAKLQFDDDQLQEYHRLSRYQALKQKMDKIDAELRDLKANKHESERDAQLSETVRNLRRLFPRVHGRMTNLCRPSQKKYNVAVTVAMGKFMDSVVVEDENTGKECIKVLLDNTLVFEKAVLYAVGNTLVCDTLDKAKTLSWSGERNRVVTIDGILLTKSGTMTGGTSGGMEVRSKKWDDSRIECMFLPICLKDMFPIR >Dexi3B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:3B:15424768:15429421:1 gene:Dexi3B01G0020440 transcript:Dexi3B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEIAAAARHFAAMARIVGLDPKAVKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPPLLDRICAAHGHAGDVALTAASLVEPFLVAEQRGNPGDVNMNFSPGWYRRHVLMCLLSMRSWGTLQMEIPDLRVGFQLDCLPWNNASVESMDPLMLAKSATRIAILGISTVNANVIDDQTARRINVSVMQDRGDPLLLVGSPFGLLSPFHFFNSISVGAVANCLPPCSVRHSLLMADIHCLPGMEGAPVFDKNSGLVGLLMNPLRQNGSSIEVQLVITWEAICTGWNNKEREEIGRDPRLCSSLSCGVVSKVVQVPSTQLSHPSSTVDADDMDIPVMLQTTAAVHPGASGGILVNPHGLMVGIVTRYNIRFLKHSFRNMHFSKSFFPPPLIFFVPISSNAKHGGGSTIPHLNFSIPCKSLETIFKYSDIGEPTILEQLDKPNEVLSSVWALAPSSSPFIDNPPEKAKEEKVLEFSKFLSDKQATLKSSTDLKELFKNKIPSKI >Dexi9A01G0047010.1:cds pep primary_assembly:Fonio_CM05836:9A:50223277:50225072:1 gene:Dexi9A01G0047010 transcript:Dexi9A01G0047010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEVAAETRGGVLRRYAPPVLMTLAQLCYTLMYFITEAAFNRGLNPYVYITYRHLLVAILIWPFAYYQEKGLRPKMTLMLFMEIFVLSLLGVSLTLNMYFASLKYTSPTFVTSMVNTIASMTFVIAIILSSADVVRLESRMEIVNVKSLRGLAKIAGTVVSFAGVTTMTMYKGAAISSLWMSPIHINGGGGGGVAHDSWVKGSVLAVASCICWSIWYIMQASSLKRYPAQLSLTAWMCTVGGIQSTIFTVFMQHKPEDWLIGFGLKFWCIVYSGIACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKENDQEQDASKENELELDCEKQAKKMRDVYAAQDGTEAARTIK >Dexi5A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:5A:9123860:9130487:-1 gene:Dexi5A01G0012210 transcript:Dexi5A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGATTAMGEPLLTKKGRAAYRPGCPGCRVDRLNAEREGVFPLKDLFLIWLVTITCTLPIQSLFPFLYFMIRDLGIAKQTQDIGFYAGFVGASYMFGRAFSSTVWGIVADKHGRKPVIVLTLVSIIIFNTLFGLSINYWMALVTRCLLGVLCGYLGPIKAYATEVCRKEYNHLALAVVSSSRGIGLIIGPAIGGYLAQPADKYPSLFSQTSIFGRFPYFLPCLSISILAVLALIACIWFPETLHKHNEDAVDNSVEAVEESLAGTDTEENESGGCLQLFKNWPLMSAITLYCIFSLQDVAYAEVFSLWAVSDRSYGGLSFTSTDVGNVLAASGLFLFLYQMLVYPFLAKAVDHITLVRAVAVTTITVFNILMNEAVTQDVRAAANGIAVTLMSISKAVAPAVAGIVFSWAQRRQTVAFLPGDHLVFFMLNVFTVTGLVFTFRPFFVRGSAKH >Dexi9A01G0036550.1:cds pep primary_assembly:Fonio_CM05836:9A:40955725:40957622:1 gene:Dexi9A01G0036550 transcript:Dexi9A01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGPEAAGNGGIEGSADPCSSGAAGGHRLSVHQIAGGGKVVQFIRVKVAELLNRQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLVLWLLSVIGNFCSSITLAYIGTIALVTVPALYNKYQGHVDRYAGMVHRNISRHYKIVDENVISRLPRSFITEKED >Dexi6B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:6B:16392587:16396397:1 gene:Dexi6B01G0010170 transcript:Dexi6B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSFRHLGKAEEEPSMEMTDMKPEEMSHPPMDQLLGMEYCIDSNPSWVLFVTGINTMLQTLFGTRLPTVIGGSYAFVIPIISIISDPSLARIADDHTRFKMTMRAIQGALIISSCIQIILGYSQLWGVCSRFFSPLGMVPVIALAGLGLFERGFPVIGKCVEIGLPMLILFVTLSQYLKHVQMRHFPILERFSVLISIALVWVYAHILTVSGAYRHSSQLTQVNCRTDRANLITTAPWISIPYPLQWGAPTFSADHSFGMMSAVLVSLIESTGAFMAAARLASATPPPPFVLSRGIGWQGVGLLFDGLFGTVSGSTVSVENVGLLGSTRIGSRRVIQISAGFMLFFSILGRFGALCASIPFTLFAAIYCVLFGYVGAVGLSFMQFTNMNSMRNLFIIGISLFLGISIPEYFFRFTFNDLINTIFSSPPTVGFIISVVLDNTLEVRNRARDRGMPWWARFRTFRGDSRNEEFYNLPFNLNRFFPPS >Dexi4B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:4B:11351189:11356161:-1 gene:Dexi4B01G0013140 transcript:Dexi4B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVTNLGSSGRPGLLPAAVARRAHLVTRISFTGFDGIRRWHYEPGRLCRCMVITNLIGEKSVQFSSRGSVSVKADDDNDMLLKPPQKPILPNGPPEGMKTASLPDRKPTGATLDDREKVRESLDAVLEKAEKLEASSSGNGNGGNTMSRQNDVSMRNGPGVTAADEGGNSRKTKTLKSVWRKGNPVPTVRKVIREQPRTESRNQSEPAAKPPVSSPSKPVPPLLSKPSVAPPPRRPVKTDTPKDKKGPILIDKFASKRATIDPIVPEELLDPLKPVRGPPAKVRVDRRKKPETQAGSRRRLSSDDGLVDEDTADVPISGVPVRKGRRWSKAKRRAARLEAMKAEEPVRVEILEVGEEGMQIEDLAYELAVSESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPMKVEDMAKKKDFLDEEDLDKLEVRPPIVTIMGHVDHGKTTLLDWMRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIIVVAADDGVRPQTSEAIAHARAAGVPIIIAINKIDKEGANPERVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETVMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADSIVCGEAFGKIRAMYDHCGELVDKAGPSNAVQVIGLNNVPLAGDEFEVVDNLDVARERANERAEALRLERISAKAGEGKVTLSSIAASVSSKQAGMDTHELNVILKVDFQGTIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYANKKGVEIRLYKVIYDLIDDLRNAMEGLLEPAEEEVPIGTAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGKEVYVGSLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKARTLEEASATVTAALKDAGVQL >Dexi4B01G0022640.1:cds pep primary_assembly:Fonio_CM05836:4B:24164715:24166604:1 gene:Dexi4B01G0022640 transcript:Dexi4B01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGGVRAQGVNPDCPNAANPFHRCAEYCPVPPPRGVTKPSSPRPAPRAAAQNGTRNGDGGKRVVPAAAADDLNLEEAGAERAINSPPPVPRHAALNGTARRDEVECEITAADDSDEEAGERVEEHADVGRARRSAPPPAKGEEKAGGESQWHGVNPDCPNAANPFHRCAEYCPVPPPRGVTKHPTPQQRGRDGSTHSDPGDLQPRPRRRDKGGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKMAEAGRSSDGGKSPLSLFTRHSRRSSSSSEEGSVKSAGSRKVDPKCPNAANPFHECGDHCAAKMQQVEPRKGASIMSPRKKGGKDLAVIQNWKVDPRCPNASNPFHMCAQYCFDHVNETTQTSATKSDKKKSKAVSKEVERGEVNPDCANASNPYHKCGEHCKRTR >Dexi3A01G0022440.1:cds pep primary_assembly:Fonio_CM05836:3A:18093187:18095724:1 gene:Dexi3A01G0022440 transcript:Dexi3A01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLVFSSVSSCQRPLGISSKNAGKARLSESARNFQRKKWFSGGSYRSSQFECSANSRRTGPRRTKDTLYDLHPEISLLYGEDNGAATVSSKDQGKDAAAERLVETSASYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRISPIEPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEENWRRQQPWSLRLVFSHFPGGRSSVADPGVPAEERAFRVSSSLKSEHVLE >Dexi8B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:8B:26432775:26436762:-1 gene:Dexi8B01G0015700 transcript:Dexi8B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRALLLLLLAMSSSSPTPASARPAFACAAGGASSSLPFCRRSLPARSRARDLVSRLTRAEKVRLLVNNAAGVPRLGVGGYEWWSEALHGVSDTGPGVRFGGEFPGATAFPQVIGTAASLNASLWDLIGRAVSDEARAMYNGGRAGLTFWSPNVNIFRDPRWGRGQETPGEDPTISSRYAVSYVHGLQQQQPTTTNLKLAACCKHFTAYDLDSWGPTDRYHFNAIVTRQDLEDTFNVPFRACVTHGRAAAVMCSYNQVNGVPTCADETFLRGTVRSRWGLDGYIVSDCDSVDVFFNDQHYTSTPEDAVAATMRAGLDLDCGPFLAVYGESAVAKRKIADADVDAALVNTVAVQMRLGMFDGEPAAGPYGHLGPRHVCTPANQELALDAARQSVVLLKNEPPRGKHRAAGVLPLRPAAHRVVAVVGPHGDATVAMVGNYAGKPCRYTTPAQGVARYAARVVRQAGCADVACAGSQQPIAAAVDAARNADATVVLAGLDQKVEAEGLDRSGLMLPGRQAELISAVAMAARGPVVLVLMSGGPIDISFAKNDPRIGAILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPATGYPGRTYRFYTGPTIYPFGHGLSYTKFTHSLAHAPASLTVQLAAGKASSSSSPATFLNATAATTVRVAHARCEGMRVPVHVDVRNVGERDGAHAVLVFHTAPPLAGGEVGSGGAPARQLVAFEKVHVAAGGVVRVEMGVDVCDGMSVADRAGVRRIHVGEHRLVIGELTHSVTIGVEQVGV >Dexi2B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:2B:11888777:11895433:1 gene:Dexi2B01G0010500 transcript:Dexi2B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os07g0438800)] MGPLGSNDFPPHAPSPRPASPPLPAVLVPIVDAETEPKRASPPPRATVNRPPRPAHCTPTHCYGGPTSTSCPNRPTLRPMDPAHPPARAPKLTESFFPHPPQRTARLHAAALRTLPRRPRARKGGAQMSVTRVWFPGRVRPAVHHAKGRPPFSPSPHISSPLSSLPDVEPTWCPDLVDSMLGYSGDVPGVNNLTGSTSIGASDDLTKQNEWWAELMNDDWKDIVDNPASAETHQVGPPAQSSIVVHQSATQQSVSSQSGEPLAVVVASPTAGSSTAKARMRWTPELHERFVDAVNQLGGSEKATPKGVLKLMKTDNLTIYHVKSHLQKYRTARYRPELSEGLTCSNSHMSFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMIEQQCIPGTEKANDASTSADGSKLPSEIPESSTVKEVPETSQNGITKQTGIQ >Dexi5B01G0030390.1:cds pep primary_assembly:Fonio_CM05836:5B:31298530:31301119:-1 gene:Dexi5B01G0030390 transcript:Dexi5B01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTDEVTMGRLYRIRRTVMQMLRDRGYLVVEHELATTRRDFLRKFGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMTHDNVSRAVLVLQQNLTPFAKSFLIELEPKIHLEVFQEAELLINIKEHVLVPEHQVLTNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >Dexi2B01G0034930.1:cds pep primary_assembly:Fonio_CM05836:2B:42103509:42104886:-1 gene:Dexi2B01G0034930 transcript:Dexi2B01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLVIQLLLFLTFSLPTTTSAAGGAPPMYSNCPNTPGGTYAPNSTYGSNLATLAAALIENATAYGSAAGWAGVAPPDVVYGVALCRGDSMGPICTERLTDAFNAAMNKSDTPICELHRNVTLYYDRHQLRFSDVDFLSGYSNEPEWVENNTNFVGTSVAAAFQGRVAGLLRTLTDAAASRPGRYAAGEAWSMEIDRPVYGLVQCTRDMTTQLCNSCLGGVLSEMPRRMNTSMEGGRIIGVRCVVRYELDAFFDIDNMTLHLDMPNGATKNKAWIWALGVALAGAALKKRRRRERARSELQKFSMAIQNVITLWRLEEGSSGFSLYDFSEIKAATDNFSGENMLGQGGFGSVYKAS >Dexi6B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:6B:7230125:7231524:1 gene:Dexi6B01G0006540 transcript:Dexi6B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGGDAGILHLLMFPWPAFGHISPFAPRKLVSIDSKIRVTFLTSAGTVPRVEAMLASSAKVTPLSLPHIPGLPSCASSTADLTADGAELLKLAVLYFVVFSASSFAYTTVPSRHPSSGHVELMAGPPGFPATSALATAPAHQARDLAYLYTSFHDMPTVYDRVLAGVNGSDGLVMKTCRDMEGSYIDYISAQYGNNKRVLLAGPTTATETFLPAAAATELLLGLEATRSPFLAVLNFPKQAAMDDAAATELEAIIPVGLEERLEGRGVVHTGWVQQQQILRHRSVGCFVNHAGFSSVVEGLVAGCRLVMLPMKVEQHFNARLFARELRVGVEVARRDEDGWFGRQDVRDAVEAAVASGGGEEDDARKWREFFTNEDVQSKFAVDFVRELKEVVKGQA >Dexi8B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:8B:20869180:20872180:-1 gene:Dexi8B01G0011690 transcript:Dexi8B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEGVQWWEDWQLRFLVLFSLFIQYFLFVAAILRKRRIPHWFRFLIWLAYLGCDAVVIYALATLFNLHKKNEVAMDSAHLDTLWAPILLIHLGGQDGITAYSIEDNENWRRHLLISVSQITVAIYVFHKSWWSDDRRLLRAAIVLFVPGILKCLAKPWALKNATLTTIMSSPDSRLEETLEKEDMGRKDILSLHKFVENAAKSVQDADAKDKKDAQDSRENTPIADDKKDAQEDSIHTLITNNADDRNGNAKDKKDAQDSRENTPIADDKKDAQEDSMHTLITNNADDRNGKYVQEALLTVRDLKKKVGDKPYHLFADLGHPYFVRLKNLQEVTAPHGAREATHGLHKRRTLAGLLRTAAVLLPFTVIGLLHESRRNMYNHDDVIVTNVLLCCTAVLELVSTFFFLGCGLPSLDDQIYQYNLMGYLARNKQYQSFWSLVSWMGCKDQLDRLWCTEPPEPSPGITKLVYDHVISGWTKYMDKAAYEPIMICDEHYGWDLDFVITSVGAYRRFNDSRGQRTLEWEKCQEMKAVKSSLHIPFDESVLLWHLATEFCYFEHVDTGSDATRHSRVMSNYMMYLLFVNPEMLVPGARRSLFTAAYRDLEPLGYVDEPKPMETVPGSPLKTRDDMARKIIQKVKSTIGSDDLVVHRAWELAHELMELARDAAEKKEKDLRQEKETKENADKWKLTGEQKAKAMELEKKMEKMKEKKLMIEAKKAGDDKMWAVIQGVWVEMLCFSAGRCRGGQTCRWKGTWEV >Dexi1A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:1A:24771323:24772543:-1 gene:Dexi1A01G0017440 transcript:Dexi1A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVAPFHLPGLAAAPFLHARSTAATYAHEGAPAAADDTDALLRRDAHAAVRPHTPASGDDQPMSSAEFLGLSRQDYHNAADFDLDAILHGIRSIRVVPPPGFSPVHVAAAVVSTPTTPVASLSAPRSYGGDDEPFTTTVDKPKPSEKQGETYDAGIDATYRAMEKDPMEHPSTDYLETTQAGGKILMADRAELVAWMHTFAESYGLAAGALHRAVSYVDRYLSARKITGGDRQLRVLGGAAVFAAAKYEDRSTTDVLDADAVARHAGGECARRDVLDAERDLVAALGYRLSGPTAYTFVEHFTRNIGDDDGDGEATRCLGILPSAVAASAIIMAKLTLNPAAAWREDLAAMGFVLEDLAECMDAIKEMHGLGLQGVWPGCAQMMGDFVLS >Dexi6A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:6A:3652172:3653767:-1 gene:Dexi6A01G0003980 transcript:Dexi6A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTLLCLLCSLLLRTRTRSKAHAGGEDSAVPPLPPGPSPLPVVGPLLFLARRDFDVEPILRRAARDHGPVFTFAPLGKSRPTIFVAGRAAAHRALVTRGAAFASRPPVNSPSSALLTSGGRNVSSSTYGATWRALRRNLAAGVLNPARLRSFSPARRWVLDVLSSRIRASAAGDGDGGAAVAVMEPFQYAMFCLLVYMCFGDRVGDERVREIEDTQRELLANFLSFQVFAFLPAITKLVFRRRWEKLVSLRRRQEELFVPLIEARRKVACAGVDGDGLCYVDSLVKMTIPEDGGRELTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQTRLRDEVAGVVGDDNSGEVREEDLQAMPYLKAVVLEALRRHPPGHYVLPHAVHEDTTLDGYRVPAGVPINFAVSDIGLDGEVWDAPEEFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFDWREVEGEEVDLTEKLEFTVVMKRPLKARAVPLRSPPPASRLLD >Dexi7B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:7B:1758152:1760311:1 gene:Dexi7B01G0000950 transcript:Dexi7B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRPSSPALAAPLPDNDDILREIFLRLPPFPSSLPRASLVCKRWRRLLSDPRFLRRFRAFHHRQAPLLGFFIAYSGGPYFIPTLEPPDRIPSARLSLDAPYHKAWTFLCCRHGLTLILNRTDLEITVCDPVTGDQRCSAIPPGFKRHDPRLVKCKGALLCDDHASRVPLEAFKVALLWTDGLQLDTDLQMFASFYESETGVWSNIISTSIKAHHWLGKPSILVKNSLYWLLHGFGNNGLIGVVELDLDSKNLAVIDTPAHALSSFHAQILRMEDSRLGLAISSELSIQAWERKANFEGGARWMLQKTIELDKLLPLGPDIVMVSWTSVKIYGYDEDGNAIIVSPGRGIGNEDSEGETLDNT >Dexi2B01G0026700.1:cds pep primary_assembly:Fonio_CM05836:2B:35810738:35811892:-1 gene:Dexi2B01G0026700 transcript:Dexi2B01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPEEFRGQGDTELFSPSVFLDLPPTPCPPERDDVENPPGSSDDLVLPFISRLLMEDDIDDELFHQCPDHLALLQVQQPYAQILSDASAAAPCCSNSTAAANGNGADTLLPSSGAPEFANATWPYDPVLLSQLLLSTPYPDMGIGHADITADDVNQVTMDMLNLAFLRGIEEAKKFLPTGNNLLVSLQATSEEHQPWDSRLLHGSAACQVRKDDEVDEMSLLQGVGSGGGRKNRRNWDDLQLQAEMGRNSKLMVPEPEETGETIDEIIINDFRLCINEMQGLSITMGSSEDEKNTGKGNGKPAQGKQSSHEAVDLRTLLIHCAQAVSMDDRHSATELLGQIKQHSSPTGDSNQRLAHCFAEGLEDG >Dexi9B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:9B:4993669:4995363:-1 gene:Dexi9B01G0008110 transcript:Dexi9B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTRLVIAALIVSTVCAAIAPRALAARELAAGEDAAAAAAMALRHEEWMAEHGRTYKDEAEKARRLEIFRANARLIDAFNAAGKHSHRLAINKFADLTDEEFRAARTGYRPAVRSSAGGRFRYENFSLADAPQSVDWRARGAVTGVKDQGDCGCCWAFSAVAAVEGLNKIKTGRLVSLSEQELVDCDIYGEDQGCEGGLMDDAFDFIKRRGGLASESAYPYDGEDGSCRSSAAASRAASIRGHEDVPRDDESALAAAVAHQPVSVAIDGGDPAFRFYGGGILDGGCSTDLDHAVTAVGYGTAGDGTRYWLMKNSWGTSWGEAGYVRIRRGVRGEGVCGLAKLASYPV >Dexi7B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:7B:24287733:24293249:-1 gene:Dexi7B01G0018610 transcript:Dexi7B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGSSGRQEDAAPPWAPGEATVFRRFAAATASGRSTEATPSASGNGAASRISSLHGVRRKSFVARLTAGIIQTYQQCDPKFKYTDDHNPKRYLTSPSIPAHNDGLDNANWDLILFIVKEMLGQGTFGQVVKCWDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQKFDPDDQHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNQLRGLKVKYVQAFSKQILEAMVVMRDAGIIHCDLKPENILLAPSVTTAAAVKVIDFGSACLEGKTVYSYIQVFFLELLRHHFLISYLSNVLHFDSETEKTDRLALVDFLKGLLEFDPNKRWSPLQALYHPFITGEPFTGPYEPVPETARIVSMPVARAAAIDHNPGGGHWLHSGLSPQVGSVNRWLPLNNAYPPRMPFSYGSSYGSFGSHGSYTGNAGFANSYGSIGDANTMNMYYSPLGSSGFTQIGSSPDIRLRPRVPHDRGIRLSPGSLGPMSLGASPSQFTPPNYQMQIPPNSTGKHVSGSPASGGIHGSPLGKAAAAGPYNMRRNFPMPPHDYASQHGQGRYGDGVSFSHSDGYVRGHTGHSQNAGPSSGHSSWRPQISSRSGFSLEASSSRGPSHVHHPQAPSHSFDFSPNTSAPSALDPADWDPNYSDESLLQEDSSLSADLSSSLHLGEPRQPSGSTRSANFQGHVFATSNPVSTNQRLCQFVSLFFVTEEIKYFIPRIKEGVLIPMYPSTMVATTLQVILNRILVMDSQFISRDTTRQILVLCGLWATITVGSLCGLVLMAWVMECPGVIYPLHHSLKRVKNHFLQ >Dexi3A01G0025950.1:cds pep primary_assembly:Fonio_CM05836:3A:21966631:21973833:1 gene:Dexi3A01G0025950 transcript:Dexi3A01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLDGTAGTPVPELLPDESPRTIFEIMTCHFIPGGYLLSPLTGNVLELREKPKPMIKHQTHELHDDEVEFLRDRGHTTSATLDIKDKSAKEIKSDEKKDRVSSFKSSKCRVSKTSALNKGTKPLLQDVSDDIGSNFLSTIIKTEHSVKESEKFTGEATDQMQGSKKGPFKGHINDKKKDSNEEPSLYQGFPCKVSYDSEEYNNQPLTSSSRLENIPKVVHVKEELSQYKCKEMGSLISAKSMDIMEGNVDRNSSGLIKGKKKNVSSSQAALSGKKLKFKARKQLNEDRDKNSYGEDEDYALDHRIDLANSYPKDKSVKLEKNTILSGGTGNKSGVGNGGDLKISPLFDNKSDPLPLVYRNGATESSTALTAPAPIVINEQWVCCDKCENWRLLPYGMNPDVLPKKWLCSMQSWLPGMNSCKITEDETTRALRALYMIPAPENNINDGGHDNGMLGIGAATAPSSKGNMQSISTLGKLKGSYDVDVANTFDLADMSKPSKKPHAPSSRKPDGVDCFLKLKEKWKIAELSDKGEIVEKGQSHTTRSVGVDHDNLRASKKMKKETTGPVMRHQSFEFGINKSSPPANVTPKSMKKRSGILPGMKKYGSSSSGKHCHGADKIISDGVIKMSDTENSGRPDSSIKKRKLKQRQSNEHDLGLGHRSTGTNANQNIIETNVLKKKPRPELKLSKTDRTATHSRGTVAGTDDDRITVDKEYLSEQHQENTHFQHPLLSGSSTRRNVCHAQTSAAATSSSSKVSDSHKCKADFQEMRASPVESVSSSPLRTSDKNPLDRQRSYSQAVAENVHPQVSGKKRASSVAETCILTCIPKRKYDLGSDSDQAKAQVSGLFNGDTVDHVQNDRDLLKDKQDLTNVCLINKGSGLSIRNAKLNPEHKIIPDTLSLHDNRDHKQPTGQQNGKTPPHFDSNRSDHAVISYGNIKPDKGNIPHNDQKINPFTVKGSKHHQSSLNNASNGDASFKAKQIGKSVNKKLETRKQVTIGGDASNLTNASVLMKEARSLKHLSKRLKGKVDDFESTSMCFEAGLKFLHVASLSEVESPSSSASDIDNLNSQSTVAKAVSARGVYSPQIAGNPISRNNQHLMGLLSYADDINNAFEGTRKSQNSFSAYLSGIGKNKSINHESVE >Dexi4A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:4A:7633361:7640419:-1 gene:Dexi4A01G0009660 transcript:Dexi4A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCASCGRTVYPVEELTADGRVYHRPCFRCHHCKSTLQFSNYSSVEGVLYCKPHYDQILKSTGSLDKSFEGVSRSTKPEKSNGLKGQQSSRFSSMFVGTQDKCVVCNKTVYPLEKVPLNGSSYHKSCFRCTHGGCTLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDNSGNAKVATEKQPETEEATKNPGQGDELTEKPSEAEFTAEKSPQNDVSAEMQPQSSTGAIKPSESITTMQTPAGDERATDSESKSNAVDKKPSENIVEKPLQNSVIDVKPSGSGAAMRKPWQRSLLPNSTTTEKPSLSSAAIEKPSSNNGVDEKQSENSTVVKSSWQRSVPTENPQQGILPSDKLSVTSEDDAKPSESSKLVKKPWQRIVGAEKQTQNSAPTEKSQNNVRTEKSSPPTDMKPSDNPTEVRSPWGRRMFNHKPQKSNIDTEKQSASSVVDVKPEETSTVTPVPQERTESVEKPSDTSADDMKIANDTKSTVAVNPSDTSADDTNNADDTKPTDDVKTSENTAAVVRKSWQRNVGAEKQSQSSAVDAKTADSSGSIKRLWQRNVATEKPSQSGTAVVAPSQSTVAVPKPLQSSTVAKRPWQRSVAPEKQKASDLTSNKQSQNKPLQSKTVIKSSLESKTVVKDTMQSITPAEETQKVTLATENILQISKDEKKNGDTSEKPPTSETAEAPSIDASILQRDVSPEKPLETDMPTMAPSQIPEFTELTESIAKTEKSTESVVKTEKSLQSKATEIPQSIISEKAESVSKPPQSDTDQNSERKLETENILESSTSVEKPPPSTLVTKNPSIEAVAEEPVQTNELSVQPLQDKEIVEKPYQSDKIAADMTGSKISCETTLETESDATPHKSNKDSSEHEGLSFGESSVDSQSNPNAEQPLEPQGAVVEKAAVQIAEAEAENDAVAELSLESQSVAPAEFPKEQPSEHHNDVAMEQPLEPQHEKDTENPLEPVSGTTAKESSEAESNIAAEKPVESQNDTDLSAKQSSEPQSDESTEKPEAHQSSTSSDMPSGLQSDATADKLLIQPSEAESNESVDKPSASQTDGTVSEPPEIQIDTAPDKATDQQLKPHDDASVEKPPGTESDSVCDKPTESSAPIETPSGSDTVIEGSTHDGSKPSEESIAFEKPTGEEEMSAKPSDDDATSEKSLKENKANAEPSEGNVSLGKQLVADEVSAKTSEDIVTPEEPMEEEEASVEPSENSGSPGKPSEVDEESAKPAEDSVTVEKPLEEGGASMEPSADNEALDEPKEEDKVIHEPSEDGVALEKPSEETTARGETKEEDEAIAKPSEASAALDKHKPLEEGDATTEPSDDNAATGKPLEKDEASNEPSEDSVTLGKPQEEGVDSVKPAEEDVIPEKSAADKPSEEVVDEKLSHVEPSSQDDTATEEPSDTSDTAETA >Dexi3A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:3A:15661679:15663126:-1 gene:Dexi3A01G0019780 transcript:Dexi3A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRERRLLVAAAMVVVVGALARAAEAALQVGFYQETCPIAEDVVLEEMRLILMEDATLAPSLLRMHYHDCFVQKGCDGSIMLRSRKGKTERDALPNRSMRGFDAIERIKARLETVCPLTVSCADIIAIAARDAVYLSHGPWYDVETGRRDGNVTVAEYVENDLPPPDSNIVDVKTFFSVKSLNSKDIAVLFGCHSIGTSHCGPIQKRLYNFTGNMDQDPSLSPAYAAELKKLCPPPRPGAGDEGGGEGKTKVPMDPGSNYTFDLSYYRHVLATGGLFQSDASLLDDPVTRGYVEKVAKASSPDEYYADFAAAMVKMGRTDVLVGDLGGIRPTCGIFVD >Dexi3A01G0033450.1:cds pep primary_assembly:Fonio_CM05836:3A:38218267:38221478:-1 gene:Dexi3A01G0033450 transcript:Dexi3A01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMRLLLCAAATWLAVCAAAHTGGGGEQPLSRIAVERTVHAVDEAAHVKASPLVLGLTGDTSEWVDVEFFHPNPSDDDWIGVFSPANFSAAICEPENQRQYPPVLCTAPIKYQFANFKNDNYNKTGKGSLKLQLINQREDFSFALFSGGLLEPKLIAVSNKVAFVNPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRFLAPAGTLTFDKTSMCGAPARTVGWRHPGYIHTSYLKDLWPDSPYTYRLGHRLMNGSRIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEYNNFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTMFYTPAENRAKFWYTTDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCAYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCVVNASNRYSGPFQATTHVVVGGAGASLSEFTTSKIQWSHFTDFDHGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDILACSIDNCPRTTLAS >Dexi9A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:9A:419673:422519:1 gene:Dexi9A01G0000780 transcript:Dexi9A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAPSASLAGKRPASGAREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLMDYVKVSKVSVEDMLYNENDITRSMEKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTYGIQQHQPRIIREKRFTEVIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSKHPELHKIPIYYASPLAKRCMAVYQTYINSMNDRIRNQYAQSNPFIFKHIESLNSIENFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNACVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFLQTSNFLDELRPPNIILVHGEANEMSRLKQKLITQFDGTNTKIVSPKNCQSVEMYFTCEKMAKTIGRLAENVPEGGESSGGLLVKKGFTYQIMAPEDLRVFTQLSTANITQRIAVPYSGSFEVIKYRLNQIYESVESVIEDSDVPALIVHERVTVRQESESYVTLQWSSDPISDMVSDSVVAVVLNVGREGPKVVPVEEAAKTKEDTERVALKVVYSLMASLFGDVKVGEEGKFVISVDGDVAQLDGRSGDVECENAALRERIKTAFRRIQGAVRPIPLSAS >Dexi5B01G0034870.1:cds pep primary_assembly:Fonio_CM05836:5B:34993918:34995284:-1 gene:Dexi5B01G0034870 transcript:Dexi5B01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLLRIARCPPLPASPALSHPRPLLRRHAPSSFRFVPFSLPASSVSTSSDAPSDGGGGRKWGEEEGGGYVDYLGMSDEELMDQCEMGTFKASGPGGQHRNKRESAVRLKHRPTGIIAQIDSVR >Dexi9B01G0028540.1:cds pep primary_assembly:Fonio_CM05836:9B:31157267:31161526:1 gene:Dexi9B01G0028540 transcript:Dexi9B01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSSAPAAEVVEDEIAFFDVETSVLPNRCALLEFGAVVVCPRRLVEVSCYSTLVRPAVPASTTARCNGITRDTVARAPPFRDVADDIYRVLHAGKLGKLFWSREAKAQEASPRKVHTIENLIEGAITRTQANGTPPELSKPEAYSRSPNSLKRKWTVSPVDGATIDGHNHDPARDRASGELVVFHGDKMMLETATQMDAGPSGYSGFLEPDDVSTWYIKISVRVAHQFGPRTVIQHKGAPLQLCCAGLRVRFGVVPRFFDTAGRPKLNIVVDIPENLTKILGFCDSFAQWSSPEGCSNSEWIPLIKSYGNSNCPTVRLNIPIIGYGENAAYTTHMYQKGRNGDISELIFRKVDAAELDSLLRGNKVDAFFSLEIFDYRQTAGIRLVAKRLDVHFKRD >Dexi1B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:1B:4255956:4257914:1 gene:Dexi1B01G0005210 transcript:Dexi1B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSASTDNGSPSSHSFRPDIICYNLLIDAYGRKRELSKAESIYMALLEAHCVPTEDTYALLLRAYCNAGQLHRAEGAKQPMSSLKVFNEMKAIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYRASYNILVDAYGRAGLHQEAEAVFQELKQVGMWPTMKSHMLLLSAHAKSGNVTRCEEVMAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLFAAMENAGDTSPDIGTYNVLVNVYGRAGYLDRMEAAFAAAVARGLAADVVTWTSRIGAYARKKEYGRCLEMFEEMVDAGCYPDAGTAKVLLAACSDERQVEQVTAIVRSMHKDAKTLFTL >Dexi9A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:9A:11261237:11264041:1 gene:Dexi9A01G0016330 transcript:Dexi9A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAGGTAAVRSLPAAAAAVRRMLHIGGRGGAGAEEPESVAYRMSMLRRPSSVGKRGLIWNWCSLIGRLDAPVQPYDGSSDEKPGAYTFLSVSHSSPASSSSSSKFTIGVDFALQYVPVSMKNLNRVTLQLKGQLANVSLKHLKLNDLVFIDVKELNYVLDQTKKLQDVEGPVHSSLMPSATSQMLKENKYIDRLRLWQVFFANPYEWWDNRQSKPSARISV >Dexi6B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:6B:19843033:19848684:1 gene:Dexi6B01G0012240 transcript:Dexi6B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRSQSASEAGPKPPPSAGPGAEAAPGGAGRRRASPAAKGKSPAKVEKGALHGNTRKKGGAKAETKDNASVETCGTGSHIKSDLEEQAEAVCDNNAADMDWEEGHVEHNAHSHELGDTITVEFADDLPSSTNKKSVRRATSEEKELAELVHKVHLLCLIARGRVVDRACDDPLIQASVLSLVPSHLLLSVADVRNLKAVNLQNLVSWFHRIFSVTAQSTDRGSFASNLAFTIQDRVGTAEEVCALSVALFRALNLTARFVTNLDVAGLKPDIKVMRTLNQDASRLCTRSLPYSSPVANLVNSHALLKDNTQDSVSMNKRKGSLGKSKQISACKRSLSKTLSSIKADNESSCISASSQLPSTSGGAELPKRKGDVEFELQLEMALSATAAETQNNNQVNHTSQSIGSLQDSTPPMKKLRQNTEATSSSSAVWSRSAGAPLYWAEVYCSGQATGRWVHVDVVNDLLDAERKLEASSAVCKKPLRYVVAFAGNGAKDVTRRYCGQWHRITQGRVNPEWWDDVLAPLKQMELTATNDSEDMELQTRALTEPLPTSQQAYRDHHLYALEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQIRENELPAKVVTRPKRTFNAQSAQSSTNEDALKPTLELYGEWQLEPLQLPRAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVARRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKHAILEAYAEEEEQRRAAERKQDEAQALSRWYQLLCSIVTTQRLKDSYKTPSNELGHERPPRNDNVQKNTLSSQRSEREPNPSKLQADHDHDHVHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >Dexi7B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:7B:3499738:3500521:-1 gene:Dexi7B01G0002050 transcript:Dexi7B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHQEQARNAVGLLLWLDPTHHQAIRHLPSLSPAAVSIVASEANSILDCLRQENLVLPPIPFVSALCQEGGIEVDAAFLAFNQDLVVRGVADILDAVGALIFDDHLYCLLRRYQTGLVGRLPELEAPYTCRPVTVPEDCRSMFVTFSKGQPIEREEIFDYFRQQWGDCIVRVLMEKTTRGKPPMYGRIIFKSEAFVSLVLNGQPLIKIAIGHRQIWLRKYIPRPHNM >Dexi6B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:6B:16733252:16736515:1 gene:Dexi6B01G0010340 transcript:Dexi6B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGGAEMEALARRLRLHRSPPSPYESSDAVAPAPGAGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEQLALFVASFASHWVSVGASDGNLRMYAMGWSSTVFSSDNSELGSGSPGIGLGFPAENWSGAKQEFLVLLAHLLVVVPVIGILSDIKAFKPILNAAEVDEIFDVPLEMFLKDENRTSDELEWMGQAFTIHHFNYEKGNEKYIIWGLTAGILIHAASVVYERPPDFAEKRAKFNLPKYSKECRSMPGSEPGH >Dexi1B01G0028330.1:cds pep primary_assembly:Fonio_CM05836:1B:32897695:32899800:-1 gene:Dexi1B01G0028330 transcript:Dexi1B01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAAAASSCEVDLGNLMAYDPSHHLTASAASREELRQECLQKGTELAQAVADALFALPPTEDREGPIVHLPAPTVRLPREKHLPRPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIIDAKSTDEPGVDPFAQRMQEKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAAKALPITGTKADLPKKSRKEDLESVAGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLARNSDEQLDVGKASSRAITMYKVKKEKQRRKDKGMSSKSDKLKAQKKPLKKSSKKA >Dexi9B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:9B:9450306:9457377:-1 gene:Dexi9B01G0014160 transcript:Dexi9B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKVHVVAMSLFIISGGLWASRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSVPDLKAAGEGSVHYPLSAKESFKAAVTYLFRKWYFRAVSFWRNIKQLSENTLQLMYTINIQVKKIWCQDILPAEFNEWIFSCLLERQVRSNWNDFLHIIKDLELPSMDHLHSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKLRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPQGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPVMEIFFWCFEIFKVCDESVFTAGLPNFYCADIYIDAILSTLFLAVFSGFPYLLLLIYLRLLISGFLCYGRIYAASDFIFLEPVPALQRFIRSRAHIQQQTGVQITSSTIYTSTLHIARVNVRDPGTINDGLGAVREADALLVQDESTRNQQEGQQNGIAEPAANNALQYQEQNPQQAGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDLVT >Dexi1A01G0026220.1:cds pep primary_assembly:Fonio_CM05836:1A:32203923:32204862:-1 gene:Dexi1A01G0026220 transcript:Dexi1A01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSASATLAPLAPPPPKATARSSPRRAPANASASVATAASSAALLALTPAAPAAALSKDDVTGSLTKVVDTVDLAIGVGGKVVEQVSGVLKLLAEAAKPALPVLKSATDEAVKLAAPVVSGASKQATEALQGAGVDPVPVLTAAKTAAEQSSKVIDAAMPVASATVETITSLSPEDYVVVAGAAFLAYLLVT >Dexi2A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:2A:4623169:4637726:1 gene:Dexi2A01G0004960 transcript:Dexi2A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILASMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHEVLKRKLPAILDVVADAEEQAAKHREGAKSWLEAVRKVAYKANDVLDEFKYEALCRKAKADGHYKAALDMDVIKLVPSHNRFVFRHRMSNKLRMILQEIDVLITEMNSFRFKFKPQPPMPMQWRQTDASTPMDCVDIAIKSRAQEKKDRVHRLLTQAGCEDHLMVLPIVGMGGLGKTTSAQLIYNEPEIQKHFELRLWVCVSDDFDVDSLADKIVKENGCGSSGSSALDKLQNAVSGKRYLLVLDDVWNRDEHKWEKLKACLRHGGSGSSVLTTTRDESVTRLMMGKRTTDVQNLKTLDEVYLKEIIGTRAFGSKQVDKWPCELAVMVGDMVKRCSGSPLAATALGSVLRTKTRKQEWKDVLSRSMICDEENGILPVLKLSYNGLPSHMRQCVAFCAVFPKDYEINVEKLIQLWMANGFIPEKQGEHSEIIDTGHHGGRATAVHGEGQGLQLPPGQYKVMEGMEKQHKLLKRKLPAILDVIADAEEQVAKHREGAKAWLEEVRKVAYKTNDVLDEFKYEALRRKAKADGHYKALGMDVIKLFPSHNRFVFRHRMANKLQMILQEIDVLIAEMNAFRFKFRPQPPMAMQWRQTDASTPTDCVEIASKSRDQEKRYLVDRLLAQARSKDLTVLPIFGMEGLGKTTLAQLIYNDPEIKRHFELRLWVCVSENFDVNSLADRIVKENGCEASGSSALDKLQNAVSGKRYLLVSDDVWNRDEPSKWEKLKSYLQHGGSGSSVLTTTRDEAVAKLMMENVIQALVSLRKLEISRCSKLTGRTQTSDEQSAPALELGALRPRLESLLIDACASLVEVPSLPVSLKTLDIDSCESLKSIIFSQQEAPSNFEVFRTSSWSMRARRSELLNFFASPKADSPVVIPETVKS >Dexi2A01G0024990.1:cds pep primary_assembly:Fonio_CM05836:2A:36795391:36799134:1 gene:Dexi2A01G0024990 transcript:Dexi2A01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAHDRKAPKFCARALPATPSQEPKRQRRARGLFAVRTTGLPPHLTPRPLVVPRHAALVRSLIRRRGRWSSPPGFGWPRARRSPMVPSPPAARGSAGERSGSAGPAAPKLSMPPAAAKRAVSAAVGGGHLLPRRVIVRSTGGGGGGFRGEDTEGDRSSPSDVPKGATAARNSVEDHPGGIRKELINLAVPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSLSALGNIPSDIGERKRLPSISSALLLAAAIGVIEASALILGSGILLSIMGVSQASSMHNPARLFLSARALGAPAVVVSLAIQGVFRGLKDTKTPLLYSGLGNISAVVLLPFFVYSLKLGLTGAALATVASQYLGMFLLLWSLSRRAVLLPPKIEDLEFVGHVKSGGMLLGRTLSVLITMTLGTAMAARQGALAMAAHQICLQVWLAVSLLSDAFAVSAQVQVLLALIASSLAKLDYEKVKEVTSYVLKTGVIVGIALAVLLSASFGRLAELFSKDPMVIQIVRSGVLFVSASQPINALAFIFDGLHYGVSDFSYSAFSMVYS >Dexi3A01G0033480.1:cds pep primary_assembly:Fonio_CM05836:3A:38239481:38244198:-1 gene:Dexi3A01G0033480 transcript:Dexi3A01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDASWSAVLAYVSEYWSVIIATVVFACVGAVTIYYTVNQLNKNISLSLVRAIKVRAKRYKKWKDRVPTASHVWRKEVVPRSKGLKCCVCLKSVSPPQYSGGTIHQCDICGAAAHAGCSGNAHKDCKCVSMAGLDHVLHQWAVQWIDTVDHSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITSGANGLASTVRETIRRKRYKKGNAPADSDSSGAIEVPSDVEGDSQEANSAAKRRDDRVNGELNEIHESSEPEKDKQSLPDNTAATSKSNGQRENPHAQNNQKYEIFNVPSDSRPLLVFVNKRSGAQSGDTLRQRLQILLNPVQVFELSKQQGPEVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDVEHAAVTVLDRWKITIKDKQGKLMAPPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQPKHPHQELGPAVGSTAPATRVLLDVASPSEPAPNLALRPAHRLQVPHELCLARRELTLIGPDNEESDAMRLVALALRRRKRSRTPCSFMNKVLYAKEGAKNIMDNTFDYFPWDVKLEIDGSKIDIPQDSEGVLVANIRSYMGGVDLWKNEDDVSDNYLPQSMHDKKLEVVSFTGMLHLGRLQAFMLKRVSEEPLGHAASVMADILENAENNGIISSLQKRTLLQEIASRLL >Dexi2B01G0033010.1:cds pep primary_assembly:Fonio_CM05836:2B:40722459:40725372:-1 gene:Dexi2B01G0033010 transcript:Dexi2B01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEAQPFRLFSSRAKTKSKLKPEPEPEVRAQSEPRSPDPAGVEAEHADPDPAPTIVTETGEADDAEAAAGAAAATFADLGLSQWLVDTCEALGMKCPTAVQRRCIPRALAGEDVLGIAQTGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGIRCLAAIGGFESLGQAKGLARRPHVVVATPGRIATLVKDDPDLAKVFARTKVPVLLATDVGSRGLDIQTVDLVINYDIPWEARDYIHRVGRTARASRGGLAISFLTQKDICLLHEIEDIVGKQLEPFECSDKEVTKDITKVFKAKRLAKMKMDDEGHQERVQARKEQKKRDLVRKRKHAE >Dexi8A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:8A:26755242:26755649:1 gene:Dexi8A01G0015630 transcript:Dexi8A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENTMNSIRIDDMEARVFRAMLHFIYTDSMPYIDKEEALVITEHLLVAADRYDLERLKLMCEDKLCDYIDTSTVATTLALAEQHGCPGLKKACFDFLESPSHLKEVMADEGFDHLMSSCPSVIKELLAKVAHCP >DexiUA01G0005390.1:cds pep primary_assembly:Fonio_CM05836:UA:9580271:9584752:-1 gene:DexiUA01G0005390 transcript:DexiUA01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGLEGQQQPPPPPPPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLATHPSIFSRADEPLEADTWIRAIESKFTILATPCTSNRKVVFAAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYAQAFNGLCPYAGHHVDSDEKKLERFRRGLNTKLKAQLATTRAAMYGDLVNLAIAQEDANMVHKAGKKRKTPAGPSSAQPRRFRLVPPAAPQGQSRAPHGGGWVARPPQPNAPRFPPPPQQQQALRQNAQQPARPGAGYQCFKCGSKDHFIKDCPQNKQQNQRPGNQQGKGKQQQRVQVRQGRLNYTTLADLPEGAPDMTGTFPICTQPAVILFDSGATHSFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTRKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMTQHKVVLDVVERVVEINSPTHGPSVLYLPQRTCANACAYAMVETQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIDLQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVMEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNEKCDEAFHTLRRHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVESYADTLCYEMAKLNLEIVPHGYFNHVAVEPTLHDQIVVAQLNDASIKILKRKLSKEKVKEKYKCFRLDGQGVMWFGHRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGFPRTPQGHDSIWVVVDRLTKTAHFIPVNTIYTAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERINQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFKALYGRRCRTPLCWSQAGERYTYGPDLVKEAEEKVRIIRENLKTAQSRQKSYFDQRRKPLQFEVGDHVYLNVSPTKGVQRFGLKGKLAPRYIGPYEIIQQCGPVAYQVKLPEKLSAVHNVFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKIQWSHHSEDEATWETEDYLRKNFPDILS >Dexi6A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:6A:23032846:23033743:-1 gene:Dexi6A01G0015550 transcript:Dexi6A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEPLLNGTDPEIKHVGDEDESLVCAEVKKQLSLAGPLVPGYLLQYVVQLLSLMFVGHLGELQLAGASVATSFATVTGFSVLGGMSTSLETLCGQAFGAKQHHLLGIYKQRAMLVLILVSIPVSAMWAYTGEILVWFGQDTEIAAAAASYIRGLIPALLVNGPLNCHIRFLQAQNAVVPVMLSSGVTAAAHVPVCWLLVRALGMGAAGAALAIAVSYAANLCFMAVYVRVSPRCRSTWTGFSREAFRGIPAFFRLAVPSAMMVW >Dexi5B01G0037430.1:cds pep primary_assembly:Fonio_CM05836:5B:36943500:36946295:-1 gene:Dexi5B01G0037430 transcript:Dexi5B01G0037430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYSTALTTLFSYGLLFAFGHLRDFFRRIIDSRKPTTNLKVRCQPLLPSCLPALPLLLCLTPAIPLPQDYAPICLGHEDFYTRRLFNRVQDCCNRPIASAPDAWIDVIERYSNDGNKTIQCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHIELEELVARFVGKPAAILLGMGYATNSTIIPALIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNNIAHLEEVLREQIAQGQPRTHRPWNKIIVIVEGIYSMEGELCKLPEVISVCKKYKAYTYLDEAHSIGAIGKSGRGVCDLLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIHHLKQTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPSFSRECLRLNVAAVVVAFPATPLLLSRARICISASHTREDLIKGLEVLSKAGDVSGIKYFPVEQEKTTAVEKLKKIQ >Dexi3B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:3B:4009432:4012797:-1 gene:Dexi3B01G0005870 transcript:Dexi3B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGPLLVTMAGLLDAPGLQFFGWLITAGSFGLAALIYALLRLQREASLYWTKAAAREKRAAWKSLRCPSSSHTWSEDYFHGGQPSTCCVCLSSLGSSQGVVGSRAAESDVVHRCSVCGVAAHSYCSRGADKDCKCVAQAGVSTLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPQSVKEISEGPAISGVLNSIKDGFSSRRSRDRRPRSKKRMNNHPGGKTSPTPTNSSILDSVLEGFAKLQGLDGKYALAKPNLSQNSVNQTYGSGIPSVGKRKYELVDLPQDSRPLLVFINGKSGGRNGASLRRRLNMLLNPVQIFELSASQGPEVGLQLFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVMHWGGGLSSVERQGGICALLSDVDHAAVTVLDRWNVAIKEKNGTEGQCTKQVKFMTNYLGIGCDAKVAYDFHTTREEKPDQFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVMNIPSYMGGVDLWQNDNDHDDDFSSQSIHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSDEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >Dexi9A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:9A:635865:637015:-1 gene:Dexi9A01G0001240 transcript:Dexi9A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFSFPEMSPAEIAEALHTFGIAPTANLRAEDIANPQPELLPSVLALFLTEIAGHDSDDQLGFDLLQVLDNPEHHMRAIGLRDLYRKARDFLDSIYFGGLTLRDLLRPHPRRVVDILSAIVNFLHFRHEKVALLDTIINEYPGWEDQLTDLRARIAEHEKKKADHAYKEQMQEPVAQQLEAEVNVLKQKIQEYNTQQLALRSRAKAMDEKKDEILAKDVADYFCS >Dexi9A01G0038760.1:cds pep primary_assembly:Fonio_CM05836:9A:42900626:42907909:-1 gene:Dexi9A01G0038760 transcript:Dexi9A01G0038760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPAKRRRRESSPPAAAAASGGRKLLAGEHVEVLSCDPGLCGSWHQAVVIGIFDNARTVRYTDFVDDNGLPLVENVQVSDAIDGKSRTAGELIRGRGNIMLVALEIVAISLSPDQKGFGFAAVDAQRSELRRLDFRGFYTILLHKGGDLVSVGTFRVCGKKFAELPLIGTRVPYRRQGMCRLLMNELEKLLLDLGVERLLLPAVPELLKTWTGSFGFTVMSNSDRIELSENSILSFDYEVEDSSIISETMESDHHEGPSVVREDMEQLEPKLVREIQNNSGEEGISAIDAMNIMPDPQVGLAAETELTLEIQNNSGEEGICSFGALSSTPDSRVGLTVEPDMVLEIRNNSSEEGSCSIDASTSGQVGLTVDMHGSAGADQISEKCTLTEEAQTVTQKTAPGLTYKFSGKCYERVKNGSRPRNVWLRVSTK >Dexi3A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:3A:16635738:16636331:-1 gene:Dexi3A01G0021020 transcript:Dexi3A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRKPPERFRRRV >Dexi9B01G0044620.1:cds pep primary_assembly:Fonio_CM05836:9B:44340938:44345395:-1 gene:Dexi9B01G0044620 transcript:Dexi9B01G0044620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCREFTAALRRAASPAPGRRGTSALCLAPFHDGAPRQQGRRQRRGVKAVAAISEDLPRLAAKGKKGAPPEGGGRPEKVLMRAALTVRRKQKEDLKEALAGHLDALWDMVGQNVALELISTKIHPGTKKPMQSGQASIKDWCQKRGVKGDHVVYTAEFMVDSDFGEPGAITVANRHHREFFLESIVVEGGLPCGPVHFACNSWVQSTMELQTKRVFFSNKPYLPSETPPGLRELRDKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHRIYVPRDEAFEELKQGAFSAGRLRAVLHTLIPSMIATISADTHSFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSRDKFAWLRDDEFARQTVAGINPVNIARLTVFPPVSKLDPAIYGSPESSITEADMACQLNGLTVQQAMDEAKLFILDYHDVYLPFLDRINAIEGRKAYATRTILFLTQAGTLKPIAIELSLPPSQPGEPRPSKVLTPPCDATTNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPIYKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSGEISAAYYRNHWRFDLEGLPSDLVRRHAIAPSINYAIS >Dexi8B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:8B:24298816:24299940:1 gene:Dexi8B01G0013920 transcript:Dexi8B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAASSSKFKFNLLKPNGKRVSMGIEYDYNKRIVQCDVDTSINGSKRRWGFPQYVRINDLSSRAVRHDSFQIKCDVTVIRQNRVETTTSRSSLAVPPPDLHRHLSDLLVTHVGADVTFEVGKEVFMAHKAVLATRSSVFMAELFGHMKEKEASRVRVDDVDPDVFRAMLHFVYTDSVPEVEDGGDVMVMAQHLLVAADKYNLERLKVICEDKLCGYIDTKTVVTMLLLADRHGCKCLQEACVGFLKSRGNLKSVLASGDFEYLMRSYPSLLKELLPKVAH >Dexi9A01G0028320.1:cds pep primary_assembly:Fonio_CM05836:9A:32972120:32980096:1 gene:Dexi9A01G0028320 transcript:Dexi9A01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTGHKDLAAAEGPADGRGGARAEGSDHCEPVAKMEVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLDKVPDGNMVNAKASIPSPRGLLSKQLSFNSTNSGPKVKQLAEGVASKLRPAKHSPRDTRDKGPIKKLIQSGSFKREGSVCLDAGSSKQKQKFHSYQDEKPGILKPVKEKSSVERRASFSIRKPNIPSSPRPDGCMKLGERKIDQDISRSGPSILKSSKRPGNVEKNQSSDLSRTDNDKQDVAVHPKQAGVVSGNDAHAVKISDPPALSQCIKKDSSCDFEDTDLFISAKNGNRVSESAEAVPTTFTVMTSESDMRDLPRASTPDDSAPKVVCFQQKLSESIGNDSCTSGDVLNDTPHGLQMSHNLCPPDNKLDKSDLKQETFVEQSSDLENPLRDLVIPEHSYIWQGSFEVSRHGNSHEMFDGFQAYLSTCASSKAREVGEQLPDKIQLAEVPRNSSWPLQFKEVNPTEDNIALFFFAKDVESYESAYGKLLENMVRGDLSLIANISGTELLIFPSDKLPERIQRWNGFLFFWGIFYARKASSPIELLQTGTNNFCPLEQITESVIQHDMGSPKALQSLGIDLNECPNDDISDPAISLGSESEKSGAAVDHNILLEPKHEDRKLDASGTHREGAAGTRQGVSGHPTAAPYGTHVSTILTGENHDVIWDYPIAAKGGGTGTSGRDKMEGDQNEALFCVSQQSGAIRSISDDIKSKKHGLLPSIELVQRHFNGSKICDGKSKTTTNSDMGSLDPDLTYKRQKTTYGKFSACSLEDEMPSSKCLSKIHPLPAGQHNPFDDLQYSFKGPSDPGSVKKSVPGDIIHVISSDDEESPEPNTSLNKASLKADEGSSSLLSLSLSTVATKNNLSGSDIVDDEPLSLSLGLPSAMEGNRALEIKQFLPEKPGINT >Dexi3A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:3A:13830552:13835550:-1 gene:Dexi3A01G0018150 transcript:Dexi3A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSTFLTCHHSFVAMDLSGSSTSTRGRGKNKRKWTVAEDDELVKVLYEISLDPRWKGDGGFKNGYCSLLETRLAEKLPASGLSAVPHIESRVRHFRTKYGAIEVMLNKSGFSWNDNTNMLQCEKTQYDTHCKYHPEAKGVYGIAFPYYNTLAAIYGSDIATGEGAEGLTEAVGNLGQELANEHGNHEEIEEDRMYRDTPVQSTDSASSSFKKRKINRKGKDYGSVSSDPLLDMLTEVQGDLKGVAKNVGKMAEAMEREAAIQEKIEEDRMYRDTPVQSTDSASSSFKKRKINRKGKDYGSVSSDPLLDMLTEVQGDLKGVAKNVGKMAEAMEREAAIQEK >Dexi1B01G0020990.1:cds pep primary_assembly:Fonio_CM05836:1B:26941503:26943435:-1 gene:Dexi1B01G0020990 transcript:Dexi1B01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSREEEAARAAAREHTKRCRERRRLMREAVRLRRHLAASHAAYLRSLAAVASALTRFAVGEPLPVSDHTPPAVIVHRPVAPSSPPPLLQAIEQRQQDAQQQQKEDGVFIDVAGAAAAAARTEGGGGGAEEELRMEVRHRSLAEVAAGLEEYFVKASVAGDAVSGLLEASTTTAEFKGGSHSFLGALCCLSAPAVDRVDSMSGRQRHSSTLQQLLAWEKKLYKDVKARERLQIRHDKKLAELRDQEYSRKIGVDIQKLKTAWDRARAQLEAASESVDTSASAIAELRDTHLAPQLLRLCHATADMWRSMRRHHEAQNLIAQQLRGLSSRTSTEPTTEIHHKATRALEAAMTAWCGAMAAVAKHQRDYVHAIHGWLKLTLAAPVNDGAAGASPVAAGELAAFVDRWGKVLDGVHCVDVLKAIRGFAGAAHGVHALQGDELRVARRVRKRSRELDRKSRMLRQVEKSYYDSYLPGGMMSLWHWGRPMWREEQMQAREARNEVAQRRDEIEARQKAVEDEMRRHAKAIDATRTATVTCVQEKLPAVFHAMAAFSASLANSLEAVGKAPQQNTNTQQ >Dexi2A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:2A:14602209:14603145:1 gene:Dexi2A01G0012560 transcript:Dexi2A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAACRDYGIFQLVGHGGEEEGRAAMLDMAWRFFDLPFGERARHMSGDIRAPVRYGTSFNQLNDGVLCWSPLLEGLPQARLRRPRRRRPLMAGRAPRPQAMAVVLHNREIRWSHSLQIP >Dexi1A01G0027110.1:cds pep primary_assembly:Fonio_CM05836:1A:32987006:32988299:1 gene:Dexi1A01G0027110 transcript:Dexi1A01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPLSLVLLTVIVFAVIYLAVVPDGLNLTEVFGAGPSSSCNGSSNAVGSAKNQLQAANEPVDLRVFIGIVTVPSAYERRALLRLAYSLQPRPVRTFVDVRFVLCTIDKEEDRILVSLEIIAHGDIVVVNCTENMNDGKTYAYFSAVPRLFAAAPYDYVGKADDDNYYRVAALVESVRAMARRDAYHGLLLPCHWEQEETYMSGMGYVVSWDVVEWIAATPELADDHHVWEDEDFGGWLRKGGKNKNVYDEQERMYDYWDREMDTDQNCQRRELRADTVAVHQLKDRLKWARTLHFFNSRSLGLDD >Dexi9B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:9B:9021921:9022958:-1 gene:Dexi9B01G0013410 transcript:Dexi9B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRSRILRTLQSLPNAAAQSNILLALPPGAAGPAPPPPATPCGHRHEPAPQEEAPADEAGAAPELLGGGGADGDDDKENASPGVTPRKAKKMKLSSDHNDESAAGAVCGFRRPDLASATLFDPDLLAAFRCAVDAYAQALEESKHRGAVDDDDDGDGVPGGGEGPCVADPLEAFERRCPPGGERAVVLYTTSLRGVRKTFEDCARVRRLLEGLRVAFLERDVSMHAPYREELRALLLRDDPASPAAFPVPPRLFVYGRYLGGADEVEALNERAQLRPVLRLATRRAAGEGPCAVCGGAWFVVCGGCSGSHWLHDAGGHTVDAAGRVPCSACNENGLVPCPLCS >Dexi6B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:6B:17564524:17565985:-1 gene:Dexi6B01G0010810 transcript:Dexi6B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSVGKFVEISFPWGDAEVAPAVVSKDIAFDDHAWTVHCYPRGAPEEPGAGAHLSIHFVNHSNARNAKVILEAVVLRRADAGDVAAIAPATNNFHASSVFEYPSEGSRRFPWPRVATARDLHEDCAVGGYVTVVCGLMVLRHNPIPAPPSTFASDLGGLVEARRKFGDPDASFSVGGRTFDVVRNVLAARSPVLTAELDGATAATLTVDEATTGVDTDTTTVQEEESAGGTVEETPPVVVVRPRHEFSASTFCAVLLYIYCDRLPRDNECGCPVTMDLVRDLLAAADWYKLERLKLLCARWLWSGLSVATVCRTLWCADRYKCPRLRSLCIDFLTAGDNIQKAYTYDFDWLILKSPSVNDEIKRRLDRQNNVAGGAGGRDGDCEEKRVKRRLEAQNADEKGIKRRLEMQNGRDDEEEKSGGSSSRVSF >Dexi6A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:6A:6647702:6649035:-1 gene:Dexi6A01G0006840 transcript:Dexi6A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMGHGAELRAMDLVRHHDDPTTDGHLLAGDSAIAGVEHGDDKVVDR >Dexi9B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:9B:7683501:7684967:-1 gene:Dexi9B01G0011780 transcript:Dexi9B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISEPRIAGWNSDRLPIYEPGLDDVVRQCRGRNLSFSTEVHRHVSDADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILSHNTHRRSHGVRYQILSNPEFLAEGTAVADLLSPDRVLIGGRTDTPAGLAAVAALRDVYARWVPPDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAEVAHSVGKDARIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVASYWREVIRINDYQKGRFVNRVVASMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAAVSIYDPQVAGEQVARDLAMNKFDWDHPRHLQPIIITGTDVARQVAVAADAYEAAAEAHAVCILTEWDEFRGLDYKRMFDAMHKPAFIFDGRNVVDVAKLREIGFVVYSIGKPLDEWLKDMPAVA >Dexi2A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:2A:8317785:8319486:-1 gene:Dexi2A01G0008060 transcript:Dexi2A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPEPTTGHVGGPTDAMDPLSALPDGLLHVIMSFLPAPQVVQTSLLSRRWRCLWRSTPCIEINDENFGISAQIRDGTLSERWARFEDFATNLLLFHDNTSSLGEFRLHSLNYNFRHVMLNKNYDKFPIFSNMRTLCLNTCFRNECEVNEKLEVLGSFLHNAPCLEKLTFEYCMFFSFSDSEWETERETIALHREHGRPFQCPKLKLVEVIYHYDHDHQLMEFVWSLASCLPDASIIVKKIKRYPRGMNWTEAFHTARY >Dexi1A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:1A:181001:181510:-1 gene:Dexi1A01G0000270 transcript:Dexi1A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERQQQQQPWTTATSYGSLMMGFTSSSSCWPPRSYPCSFCKRESAQALGGHMNVHRRDRARLRHGGGGGGGASPPPPPPPPPPPPPPPSRVMIPNLNYPPPPQYLLHRHGRTNTTVAAAASLELPGVGVPVCSCGGSTSTTIAPPTPGWEQDDGGLDLELRLGIS >Dexi5A01G0039980.1:cds pep primary_assembly:Fonio_CM05836:5A:40312528:40315676:1 gene:Dexi5A01G0039980 transcript:Dexi5A01G0039980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLRPWAFLLFLVLVSYDESGRLQSSRNGVAQATQRIFLYPQSPKVSSIVSTKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLELAIERTTPSDINGCWTGSATILKGDRPAIIYTGADTEMRQVQNIVFPKNVSDPYLREWIKPDNNLLIQPVGQGLNSTQFRDPTTGWIGPDGLWRIAVGAELNGYGAALLYKSEDFVNWTRVDHPLYSSNASTMWECPDFFAVLPGKKSGLDLSAAIPDGAKYVLKMSLDNCDKYMVGIYDLKSDTFVPDTVLDDRRLWSRIDYGNYYASKSFFDSKRGRRIIWGWTNETDSSSDDVAKGWAGIHADVEIDFELTSIDSADPFEPSWLLDIEKHCREADSSSMRPELYTPAYGGFFEFDLEKEKTISLRTLIDRSAVESFGGGGRLCITARVYPVTIINSDTRMYAFNNGTSTVKLRQMKAWNMRRAQVNVKKG >Dexi2A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:2A:6073357:6082483:1 gene:Dexi2A01G0006390 transcript:Dexi2A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLSWNKPADLSPSSMANGKLPADLLYEVLLRLPANELCRLRLACRSWRSLTSDPGFAKAHASRHPIVACIHDDDGHDLEVRFLDLSSNIVIKRIPLQYRSYNLSVQLDLVCVTPFSGKEPCSTLNPATSEATAMTGDTHGFETGPCVLGYISSTGEYKVLRIHTYTTRDDGETTFHLKQACEVMTLGDGRGGGTWRARPCPPVLVSPQSGQSVVVSGVAYFLLSQLDINCDPTEFKPDTIACFDMATEEWRQETLRGPINSDLITAVDKKLMLDLHYTNLELAVLDGCLVLVQHGFQDCTIDLWFLVDMDVDKGKKPSAPLADYGLLPADIMFDVLLRLPAKELCRLRVVCQAWSSITQSPLFAKAHSSRHPLVLGLRNRSEVQFIDLSGNTVKKLATGACDVISPLELSSQLDLVCVSEITGRTCVVVNSATGAVTVLPRDIGIEQDINMGATHWHTGIIGQVPSIGEYKVLRFYFYHHHHHQKKKNSGAIQACDVMTLHNKNGRWRARSPPRALFISTTSRDRVVVDGVAYFLLEHGVEPDAVASFDMATEEWRPMTLQGPVSRLTESSAVRLDSRESADGVECRSSNSGSATQDRAARNSDTEIRFRLGAATSDAPDGPTTAPTERPISGGLKRE >Dexi1B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:1B:10222792:10225850:1 gene:Dexi1B01G0010550 transcript:Dexi1B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDGAVGVGGGGGGQATPAQPHPRLWRLVDERSDLRAMESDYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLQNYSSILTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALLKCNLKSLAEVSENRVTGDQTEPLDR >Dexi7A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:7A:11514256:11515096:-1 gene:Dexi7A01G0002720 transcript:Dexi7A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIATSFTKISVHLVRLAFREYASSITYDYYILKDLDGVYFEIWKRVTKQQISFLVALKEVYQLHKFPLHRGRQKYSLEIDCSHYETEFRCCTAGITGKVADDKAFEWIAKNVKRMYQKPKGYEQYARKKLEIAELIGLISK >Dexi3B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:3B:7969021:7974138:-1 gene:Dexi3B01G0011360 transcript:Dexi3B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDLKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTPVVIGDEPDRDTLKMIARTTLRTKLYEGLADQLTDIVVNAVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSCQFLLCGERFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGGDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFADALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >Dexi6B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:6B:22629763:22630527:1 gene:Dexi6B01G0015290 transcript:Dexi6B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKNLRPATPTAFVHRQLPWAPAPNTPVHAVTASRGASAGPWKNPPPYGLVTAPASSVARLITTPSIPTSALGDTSGGACGPYAGWWNGHAHSPGHCSAAFPTHTYANLPRPRVPEAEEVAEAWRPQWERQKPSTMTSGAVTTTATDPRCGERRRAARAAREVSERRRPRESDGSSTWRPSRAVVAHHAATEGAADEEEDEGEEGAERERRKSATTARWAGVKRPYQRRLTE >Dexi9B01G0024460.1:cds pep primary_assembly:Fonio_CM05836:9B:20561326:20568685:1 gene:Dexi9B01G0024460 transcript:Dexi9B01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGSSRDPRCAAAVPSLPSASSPPPPGVSPVRSSRRLQRREEGAATGEKDENDEPELQRLRHGAEHADVWRRRTPRRQGQLHAGLAQVDDYHGQELGPNSATDADAVRSADYDTTSSSLLSDPHPLRPPLPQPLSLSTSPKAKWRHGVEEGPRRGAPWQLHAAVHGVEEGPSASEAAAHRAVALAGSGGAEERGSTKELWRSREWRSGEAGPAEEQGSAGPDPAA >Dexi2B01G0031950.1:cds pep primary_assembly:Fonio_CM05836:2B:39923343:39925898:1 gene:Dexi2B01G0031950 transcript:Dexi2B01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSGFLPNSRVVFRPIGHPHGSTRTCFPQRDGHVIIPDGTARPRPWRLNFSYALRLHKASPLRFFFHSTPPVEIPPLSSRISILYSTRMLQSSAGTPPVE >Dexi1B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:1B:352118:365771:1 gene:Dexi1B01G0000340 transcript:Dexi1B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASEGADKKEVPPPSYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITRGIRNIVEANNRIEARSDPSIYLRYTNVHVGTPSVQVDYKIEDITPHFCRLTDRTYSAPVRVDIEYTVGKQYELQQKDEAELARLGECPLDPGGYFIVKGTEKRVLVCSAARTLGLLLIVLLIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLFPSIQDYVGNKRLELSGQLISLLFEDLFKTMNSQAVELMNKHSVMTRSSPSDFSQLIKHESITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVMKDNYGDGVSDRIAKPQRDKDGVLLKQNMRVGDKFSSRHGQKGVCGKMIELIGGKAGVSSGRFHYGSAFGEPSGNADKVEDISNTLIKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVIVKPDSWRMSIFLADWVAAVYKVCSKKDGVAA >Dexi5B01G0034160.1:cds pep primary_assembly:Fonio_CM05836:5B:34417517:34424263:1 gene:Dexi5B01G0034160 transcript:Dexi5B01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASFAALPCLLLLLLLLPLLDAASNVTYDHRSLIIDGRRRLVISTAIHYPRSVPAMWPNLVAEAKDGGADCIETYVFWNGHEIAPGQYYFEDRFDLVRFVKVVRDAGLLLILRIGPFVAAEWNYGSAASFVHTSQLRGVPVWLHYVPGTVFRTDNEPFKSHMQNFTTYIVNMMKKEQLFASQGGNIILAQIENEYGDYYEQAYGPGGKAYAMWAASMAVAQNTGVPWTMCQESDAPDPVINTCNGFYCDTFQPNSPTKPKVWTENWPGWFQTFGESNPHRPPEDVAFAVARFFEKGGSVQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRFPKWAHLRELHKSIKLCEHTLLYGNTTFLSLGPKQEPIFFQADIYTDQSGGCVAFLANIDSDKDKVVTFRNKQYDLPAWSVSILPDCKNVVFNTAKVYLSLKIFYVICSFSVDGNSSKGSNAVLNIDSKGHGVHAFLNNELIGSAYGNGSKPSFTVGFPINLRTGKNELALLSMTVGLQIGLEGEYYSLFMPAQSSNQLWIPQSEPPKNQPLTWYKVNVDVPQGDDPVGIDMQSMGKGLAWLNGNAIGRSYQRGSCHHPNSLSVVEKACLNTNSCTVFLSDGAFGKDLCPGVTKTLAVEADCS >Dexi1A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:1A:23719949:23721044:1 gene:Dexi1A01G0016500 transcript:Dexi1A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQDSPRDHHKLTADEPDFAPPTILTFLGPAENHQGRSSVSSPDMENASNIGKEDIVQNFSQGGEGDSAHGGEANSERSTGKNPAMGEAAATNTRDGFLTGKGSLAAAAAADNDGDLKTHIVTERERRKRMKDLFSNLQALMPHVPEKVDKATLVGETIHFIRTLEQTKVQLEKRKHEQALAWQAAAAASMSSVSASQTAQGMAAMSNGWGHVPRQQQPATSAAAIPVVPAPLLTAAMGPIGFQTWSAPNVVLSVSNNKGIINLCLPKQPRLLTVAMSVLSKHGIDVITVQVAADGGWSLITIYACVSIAFSISPFYWFHTLGCR >Dexi3A01G0034350.1:cds pep primary_assembly:Fonio_CM05836:3A:39546473:39547335:1 gene:Dexi3A01G0034350 transcript:Dexi3A01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLPAGGSSRRSSQHGDAAHNLTEKRRRHKINEKLRTLQQLVPGCDDKASTLDQTIQYLKSLQHHIQAMSVVPSPVAPAIAMPMPPAAPMVLAPAAPAMGPFGGMLHLPGGHYPVDGLPVMMPAAAAAPLYPPAAPPRAAAPPPGGVRSSSTGHWQGSSSSCKGKGGSRSLRHQKH >Dexi2B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:2B:26493691:26494064:-1 gene:Dexi2B01G0016330 transcript:Dexi2B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRLVALLLLAALLALSFSQGMVEARKVRVMRAVRHDGRHLPALRGRLLPEEIVYTLMDYNPPSANTNTHGGMYPSPDTPSPPSH >Dexi7A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:7A:15152590:15152927:1 gene:Dexi7A01G0004370 transcript:Dexi7A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIVLVASAGLGMLAGLATSSQRGSSSGAGTNNLPLAPGGARWPSCAACGGTGEVAWLCARWSDGDVGCRPCAGSGRTACRRCRGSGRSGGRRVPVRAASCGC >Dexi4A01G0023940.1:cds pep primary_assembly:Fonio_CM05836:4A:26856779:26857238:-1 gene:Dexi4A01G0023940 transcript:Dexi4A01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYELATKALVLSLVLGAVAGTRPGELVRKVPAMYVFGDSTLDVGNNNYLQGEGVPRANKPFYGIDLPGSGKPTGRFSNGYNTADFRTIS >Dexi7A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:7A:26882269:26882523:1 gene:Dexi7A01G0017090 transcript:Dexi7A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPASEFTTVEAARQSLIAISQSVPEIEAAVVARPPSGGGMKSERDDGAEQRYRNKLISISNQSPDARPALCPPKNAAA >Dexi5A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:5A:2495857:2497139:1 gene:Dexi5A01G0003380 transcript:Dexi5A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGHSSRVVLENVSGGGGLRLFGVQLQVGSSSSPPMKKCFSMECLSSAAPAYYAAALAANSSSPSVSSSSSLVSVEETAEKVTNGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVFQVENAERSTSASERSRLKDAASSVMPVPDTELPALSLGISRPAKPEQILPPSLSSLLPRCSSAMSGGGSTSPSLAAPKRPSFLTAAAPKPQQAPDLELKISTADHQTGSSARTPFFGTIRVT >Dexi2A01G0032550.1:cds pep primary_assembly:Fonio_CM05836:2A:43011830:43015807:1 gene:Dexi2A01G0032550 transcript:Dexi2A01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVSCLSDPCPSASPPPQAKRRSSTSSRGRGGGGGGGGRDSAKATTMAIDEEALAAAAALVLGQRGAVGAFERSASVRYAAKRQSQQGPPLPRSCSTRPRSLADPELQPQQLLAKDVSLASVSMRPIPFAPILEKLVLTAKNYGSVRRFYVETSEDNAIPLPLQQSMCSANPPEKVLRLKGADHAPFFSKPQALHKTLVEIAAMPPVQAS >Dexi5A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:5A:4559825:4560713:-1 gene:Dexi5A01G0006140 transcript:Dexi5A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADEAALLAFKAAAINGGGQDDPLPSWNSTIAGGFCGWEGVRCEGSRLRRVVELSLPSYGLAGDLSPAIGNLTIPESIGRLSNLQKLNLSYNTFSGELPQNLTSCVSLLYLDLSSNRIHGLIPVELGHKLTGLRELLLVNNSLTGPIPASVSNMSELRYLGLGRNHLEGGIPDELGSIGSLQVLDLSGNNLSGVLPHSLYNLSMLKGFFVERNFLSGTIPADIGNRLPKIEFINFSSNRFGGTIPSSVSNLSALANLALSENILSGHVPPCLGRCEV >Dexi2B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:2B:13711319:13717089:1 gene:Dexi2B01G0011900 transcript:Dexi2B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNMGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTKWDAEGTSCSFVLEDNPLVDFVDLPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >DexiUA01G0015120.1:cds pep primary_assembly:Fonio_CM05836:UA:31683267:31687748:1 gene:DexiUA01G0015120 transcript:DexiUA01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLCLADSPSTLAKWRTSSLLPSASILILHRSAAEVLFAIAAALPFRLAPGILLPPVPCFFLLRLHPSPAYAADAPYLRRAHPAPVCLFPAAPILRLHPRRGAPSLRGFKILSKIRWTGRRGSGVGVDIGQIIVKIESGNTELRLDSQDYEERIKMPKRPGEELGGGRSAKLPTRQRKRLYLVLDDWELGYSIRRVDLLLGFDSDEGDDGSTEQRLPRAVFRLEAPRARPGQFTAFGTKIMFMKKFDNPWNTMPVYDVCTRALTSGPLRNWETTAVSCAYVQVDGKLFVMDEGVFEMLQPPPPPIDRVLVDVKFDWSWRELPSPPYQYVVSYALHPDEQTMVFSMTKHSPKRKLATFSFDIESSRWTRHGAWGLPFKGRGYFDHDLDAWVGLAGDPDTLGHLCACNVLPAGDDNRQPPACKLSKERLFCVDAAEKHTGATLVYVGDDRAMFCLVECFSVDDRQGGVWKESMPERRGHLLRVTTFSLKYDKNGDLRIAKQRQIGLYRLPEIASAYCYHLERPVAFWM >Dexi5B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:5B:1710592:1712153:1 gene:Dexi5B01G0002720 transcript:Dexi5B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAARRLSSTSSAAPVKLSEIFRVPKNRSPPPHPSSPFGYRKTPSRPAGSGSEPPPRRPKPREPWEGEAGALLRRLHEGRYLPGPDFSSAPHAISPDAVKAAAERFGNDNQAVAKWLSGSDLKKLALFGCPTVERRTVFASKRLRAFFNIQEDKICSSCKLRSSCKFVNQEVPRHNKVILSDTMRIISLFVLDAFPKELQVTAELKASICKLLKDTISLSS >Dexi9B01G0033670.1:cds pep primary_assembly:Fonio_CM05836:9B:35815763:35818408:1 gene:Dexi9B01G0033670 transcript:Dexi9B01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKKGGRGEAGGESNGADGTSQNQNGGVDAPPSIQLDIMELRGGGAGGQGGGGGGGRLNTARSGSFFEPWREQTPGSAGSGHGSSGSGGGREPPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASSLHIVDFWCVTVILVGEGARVFSRSHELEWQHHATQTSTAGGALRSSSRFFRHIVHAIANPATAIAGGGDDNDARARAALFQRQIVGFMKQRAWHAPEVSLLPYTGWVFVSRKIGRLLNWLQVLSALACVALSLMRLWKHDHFGGDDTGNMRPALLLFYTLALVEASLFLFEKAYWAWKVDVCKLLHQVSDECELGAYGLVSLTRFFYDAYSRCVAGSIFDGIKMDLVSFAEELILSDFLDEQLIGVRILQQLANSDRSAADTLRKVGTTPKSIERLLEMLNWKRPEEEEVRRCAAEVVSKLAGKRQNALRVSGIPGAIESIMSLLYTGRNAPVSGTHPQPSHGGDVAAVTEHHEASPAAVAAGRDYDHLPFNLLGLLILKKLARDHDNCGKIGTARGLLAKIIGFTQASPVLLRNQHASDSQVRAVKRALQLVKRLVSATGGTGVALRQGVAENVFTVSNLRDVLRYGHQHRELQKLATDVLTGLALDESGKKAIMATGGVVKELLSIFVGAVEKEELGAEAGEALAMLALESEDGCAAILKQRADVLDQLMSALHVGDARRLNAARVLRSLCAYSGEKERDRLHAAVTKALPEVLNATMVETDKILEVCVGLTTQICRFVDGERFAGELRGAGMVAGERAYVERLAAILRRYRYPEIRVPRMRRFVVQQVMWMMESSRGHVYVELLREVGMERLLESIADTTSELECYHVFSGSVGISKHRESFSAIVDSALELIAGGGGGARSS >Dexi9A01G0037490.1:cds pep primary_assembly:Fonio_CM05836:9A:41763732:41767950:1 gene:Dexi9A01G0037490 transcript:Dexi9A01G0037490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHALLCLSLSRRRFSATAASPIAAAARRLLSTTVDTGTSSSGEQYKPAPFDPFRAATLSPSAPPLESPPIGDEPPSSPPPPEEAVASEAAHEQATLACQEVELEGLKAGVEAVKSREESPEEKEAWWLLGRAVVNYCGSAVGTVAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGESDIVKDFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERALFYSALRCAREMIGVNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPVKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNT >DexiUA01G0012490.1:cds pep primary_assembly:Fonio_CM05836:UA:25301006:25301554:-1 gene:DexiUA01G0012490 transcript:DexiUA01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVMLCFKKYVERSPDLAELVDYHLGDLLHQCFNVESYDKVFHHYNFTVRMKMPNSVDWTVQLYFAEAKEIFMRRYYVCCPLEPNENGCCYACKSQGVNDLRHPAIDVFERGSRDSPCGLWYTDE >Dexi4B01G0021710.1:cds pep primary_assembly:Fonio_CM05836:4B:23623950:23625274:-1 gene:Dexi4B01G0021710 transcript:Dexi4B01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRLQNRASKLALSSVPSTLASRNVLSRCSEISLATAAILGLSNTTGSAVPSRATSASRHELAPVGERARRSARELAPRALVDRPRVLEHEEGPLAAGGEPAVLGEDAPDAGEVLRPVAVPDGLVGTTSPANHACLTSVVLPTFFSPTTASMLRNGARLVGERWRRKSTMRSFSTWRPNTHRSMYSVVRTGRSTFRGCSSSSTTVASLSVPSSSSPAPATPPRISLVTRERFALLNEEHSANDERLPAVAVVVTITTVVVVVVVVVLAGDGGVGDVVEVGDLEGEAAAVHGDGEGLEVGEELLVGDVAALGFLDNSAHVAQQLLESSPVLIPGAGGRALEAVEEEGEDPAEDLRQRRRNNDLPCEVHTETLY >Dexi4A01G0022890.1:cds pep primary_assembly:Fonio_CM05836:4A:26145465:26149286:-1 gene:Dexi4A01G0022890 transcript:Dexi4A01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGGGGKGGGGGGGGGGKGGGGGGRSGGGGGSGGGKGGGGGGGKGGGSGSAGKSGGGGGGYACHGGSCGGAGKASSGGGMMKAPGGDGAYISRPGFESNPQAYFQGLHQGAKSVDQRTMEGSFQLNPNASPFIPGSLSSFAVSDKAPENQAESSSKGGTSGDTFDPSEYEENDMDPVALATMLFSMFPNVSTDFIDELLKANDLDINLTVDMLHELSSQDMLHDDAEDINDLHDGQGLPGDSYHRAEVSESNSNLNEDLQNEKSATTYDVESVLPKFSNISLVDNDLGLPDDYKPAGTSVAK >Dexi5A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:5A:9801182:9801820:1 gene:Dexi5A01G0013120 transcript:Dexi5A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSWEAWMGSCYLTPGGASSESPRPTADDGVPAASHPSPTAGVRHGTYPPPAGSYPPPAGSYPPAVGGVPGGPYTPGYGVFPGGPFTPDVGGVPGGAFTPGVGGVPGVPYAPHGSGYPPSMAFGPTVTFNYAPPPLPHGVPPFDFFSQEGSSATADHRALGSDSPPTLSRLDLNADVVDPCRLHLQPYDDLPVGDLPPRSARNAGLGASV >Dexi4B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:4B:20513106:20517249:1 gene:Dexi4B01G0018110 transcript:Dexi4B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPWSLSLFLAFFTPLVLLLALKFRETRGKADQPRLPPGPWRLPVIGSLHHLVRNPLKHRALADLARRHNAPVMYLRLGELHAVVVSSPAAAREVMKTHDAAFAARPLSLAVRATVADGMGLAFCPYGERWRQLRKLCTQELLSAPRVRTFRPIREEEAACLERKDDAAAEDCLLGVLLGKQNEGGGLDWSLDMGSIKAVILNLFIAGTESTSTIILWAMAELMRNPNMMQKAQAELHCVLQGKSRVTEDDLASIHYLKLIIKETFRLHIPGPLLLPRECRESCKLLGYDIPKGAMVLVNAWAIGRDPKYWDEPDVFKHERFEGAAKSDFQGTDFRYILFGAGRRMCPGQGFSLAMVELVLATLLFHFDWQLPPRVVPSELDMAEDISIVASRKEDLCLHPTIRVPRHGTTQFYLKLIIKETLRLHTPGPLLLPRECQGSCKVLGYEVPKGTMVLANAYAINRDPKIRQGIGFALAMVELVLATLLFHFDWYLPLGMLPNELDMTEEISLQPTIRVPLHDTTQV >Dexi9A01G0039210.1:cds pep primary_assembly:Fonio_CM05836:9A:43265855:43267232:1 gene:Dexi9A01G0039210 transcript:Dexi9A01G0039210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGSASSVKETLPPALGSTSQPPPVFDGTTRLYICYLCPFAQRAWVTRNFKGLQDKIKLVAIDLQDKPAWYKEKVYPQGTVPSLEHNNEIMGESLELIKYIDGNFDGPALLPEDAEKRQFADGLIGYANAFTKALYSPLMAHAEVSDEVVAALDKLEVALSKFNDGPFFLGQFSLVDIAYVTILERVQIYYSHLRNYDIAKGRPNLEKFIQEMNKIDAYTDTKNDPLFLLDLAKNHLKVR >Dexi5B01G0030660.1:cds pep primary_assembly:Fonio_CM05836:5B:31588281:31590664:1 gene:Dexi5B01G0030660 transcript:Dexi5B01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Dexi2B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:2B:267117:267774:1 gene:Dexi2B01G0000600 transcript:Dexi2B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRVALALLLVAAAASLLPSAAEAANYTVGDEKGWNPDVDYTAWVKKHKPFYKGDWLIFEYQNGRSDVVQVDEVGYDKCDKANALTSYSKGHTYAFQLKEAKDYYFICSYGYCYSGMKVHVTAKSSSSSSGGSSSDSSSNDSSSDTPSPSSKKSKAKSSAAPPSLLGLAATPYAAIAAAGAALLLNRIML >Dexi2A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:2A:503873:504862:1 gene:Dexi2A01G0000850 transcript:Dexi2A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMVESISELQKKERSLQEENKALQKELAERQKAAASRQQQQQVQWDQQTQAQTSSSSTSFLMRQDQQALPPPQNICYPPVVAMGERGEEAAAAAQQQQQPAQAQPQLRIGSLPPWMISHLNV >Dexi7B01G0023230.1:cds pep primary_assembly:Fonio_CM05836:7B:27860382:27861788:1 gene:Dexi7B01G0023230 transcript:Dexi7B01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEEQARAAYELWLSRHGKVRSAPRDYEHRFRAFWDNLRFVDAHNARAGARGYRLGLNRFADLTNAEFRAAYLGASGIAGRNATATGERYRYDGIEALPEFVDWRQKGAVAPVKNQGQCGSCWAFSAVGAVEGINAIATGELVTLSEQELVGCSKNGQNSGCNGGMMDDAFAFIAKNGGIDTDDDYPYTARDDKCDLVKKARRVVSIDGFEDVPHNDEKSLQKAVAHQPVTVAIEAGGREFQLYESGVFTGRCGTSLDHGVVAVGYGSTEDGQDYWLVRNSWGSDWGEAGYIRMARNVSSPAGKCGIAMEASYPVKAGPNPDPSPSPPAPAPPVTCDRHSTCPAGTTCCCTYGVRNTCLAWGCCPATGATCCKDRATCCPADHPVCNTNTRTCAKSKSSSDIVDALLRFPAKRQRGSLIAEELVDSVFAI >Dexi9A01G0042040.1:cds pep primary_assembly:Fonio_CM05836:9A:45593587:45596146:1 gene:Dexi9A01G0042040 transcript:Dexi9A01G0042040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFGSSAPSDDTSDDSSGSSSPPSDTSSSPPPPPSSPSTSTSSVPPPNNSSGSGSPPSPTQSQSPPAPSLSPPTTTATPQNGSALPPPAAPADRASPAAPSRATPSPPGAKRGAGESDKSGHGKSGSSSNGSPPVAAIVAGVVIGVLAFGLLMCIAACVCCAKKKKKKPPHMTMPYYTDEHGNVFYANSMPKWQNSSAMTDHGGGWHAPFSPASGDTSGSHGPGQQQPSPGMPSLGFSKSSFTYEELASATGGFASANVLGQGGFGYVYRGVLPGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVTLVGYCISGSSQRLLVYEFVPNNTLEHHLHGKGVAVMNWPTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGKRPVDPSNYMEDSLVDWARPLLARALSEEGNFDELLDPRLENRVDRLELERMCASAAAAVRHSAKRRPKMKQVAFESSEYSNDYSAASESGETAAPTRRHQHH >Dexi5A01G0036690.1:cds pep primary_assembly:Fonio_CM05836:5A:38114894:38115386:1 gene:Dexi5A01G0036690 transcript:Dexi5A01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQPGPGLPRRHHHVLHLHLDPLHHHHVHIHLCHHHHHFPDAAPPACLHQQQQQLAPVFFPNTNAGAVPLRLEPPPAAVGEGVGELDPEPGLLHAEAAEDEEDEEPVFVLTDEWAEFFAKSDAKRRLDRSD >Dexi2A01G0023800.1:cds pep primary_assembly:Fonio_CM05836:2A:35500056:35501427:-1 gene:Dexi2A01G0023800 transcript:Dexi2A01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASSARGGRRGEETVLGDLPENCVAEVLLRLDPLEICRMARLSRTFRGAASGDGVWESKLPRNYSLLLAVAAAGDGGERLAAETEALPKKEVYARLCRRNRFDGGKKEFWLDKVGGGVCLSISSMALSITGIDDRRHWNFIPNDESSHMKI >Dexi8A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:8A:10909121:10913782:1 gene:Dexi8A01G0008800 transcript:Dexi8A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRGQGQALIDLDSDAEDVATMSDLWNGIDKDLWKQIDEDKKSSYAYFDSLWFNMYYRGNNVPNVLKWIKAKRIFSRQYVFIPIVCCGHWSLLVLCHFDEANCSDIKKGPRMIVLDSLNTTDPTRLQSAIRKFIVDIYESEEREESKQFINKIRLEFPKVPQQNGDECGIYVLYFIQCFLQNKKLAEVLQNKKLEEDFTQLLDDGWFNPEDLENFRKDIHSFQANVSPVMLIPCTITQYCSMYKLLSFIFPGGSLRKFEHCLSICCRAVLCMEGYLFLPIELP >Dexi9A01G0028480.1:cds pep primary_assembly:Fonio_CM05836:9A:33137625:33144632:1 gene:Dexi9A01G0028480 transcript:Dexi9A01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSETAASCSPRSFRIPIPSRIFCAPPSQHSASSSSSHAKMATMGNVIVLVNRIQRACTVLGDHGGGDGAASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGAQEYAEFLHMPKRRFTDFLVNLTLIDLPGLTKVAIAGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIIARRKEQEFFASSPDYSHLASRMGSEYLAKLLSQELEAVIRARIPSITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHFVLKELVRISIGETQELKRFPTLQAELAAACYEALERFREDGRKTTLRLVDMESAYLTVEFFRKLPPEVEKTGTGNPRTANPPTPLDDRYTDPHFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNHFYTQVGRKDAKQLAVLLDEDPALMERRLQCFKRLELYKSARDEIDAVAWTR >Dexi9B01G0027710.1:cds pep primary_assembly:Fonio_CM05836:9B:30175102:30177911:1 gene:Dexi9B01G0027710 transcript:Dexi9B01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRSQHAKHHHHHPATAPHTRFRTQAVLEPPVDPGSDPEYQDFQFRFVPEVFELQMGGLGVGGGGGGGGKIGNGKVTEKKVLAFDFDKVRLSIASSDDDDDEEGAPPRSSFSGASHPPEPVDEMDTVFVAVDGRGDKPAAVPAKPAISWDASPPPSGAASPHSSIDSSGAAATVTSVAPTSCTVTSRSAKTSVSSSAASDWSNGTGSGSGAGGGSAGKPHKGGDPRWKAILSARSRDGPLAMGSFRLLRRLGCGDIGTVYLSELSGGGGNGAARPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGRHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSLNPDPRNAQTCAQPTCIQPTCFMPKLFSHKSKKSSTSTTTKKQKGADAKQQQASAGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGQTNRATLFNVVGQQLKFPECPGTSNASRDLIKGLLAKEPQSRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPAAVAVPPMPAKPKPTPPPVERVDVNGDSKRMAGAGVESGGKFLDFEFF >Dexi5B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:5B:19537027:19541792:-1 gene:Dexi5B01G0017700 transcript:Dexi5B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSPPKPTPSRFLVDRVVPDAYLPRPNNPTKIPSSCVFSDSRLNPPPRARFDRDPPGSSLRRPPRSRGRMVEAKENEVYEEDLVDYDDEVENGADGAATNGSADVVKKYVLRGVDFFSHWGAAPTLFGSTSVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSKYLPELRVAVFYGGVHIKNHKDLLKNDCPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHEKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRASELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKQLPDQIETSTYSKYCALLPCIAILRIPSEQRCLVPAGDKGLLQMHHPEMAVR >Dexi8A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:8A:22076614:22079595:-1 gene:Dexi8A01G0012540 transcript:Dexi8A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKDGTGNGVAMAAAASAAAWNFAPNEALLGLTAHSVRGALGRVKAGMVADDGGGGGGARPVIPMGQGDPSLFPCFRTAPEAVDAVAGALRSGEHNCYSSCVGLEPARRSIAQHLSNDLPYELSPDDVYLTNGCAQAIEIICSVLARPGANILVPRPGYLFYEARAVFNGMEARYFDLLPEKDWEVDIDGVQAIADKNTVAMVIVNPGNPCGNVYSYEHLAKASSNRLVAETARNLGIFVITDEVYAHLTFGERKFVPMADTGRGCHSFKNMGRGVDMRMADKIAKLFVVDSIKSYLDISSDPPTFVQGAIPNLLKNTKDEFFNKTIKILRETADICWEKLKGINAITCPSKPEGSMFVMVKLDLTCLPDIKDDMDFCCRLAKEELVRHLILIRYDVTKQSSSISGNIYKLFIDSFPCFIL >Dexi6A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:6A:27499835:27500101:-1 gene:Dexi6A01G0019850 transcript:Dexi6A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRTKVEDVSQRNVRYRLIRGPDTKSAASIGQSSMTGETMSGIEEARRQRDKEKVEIIRLINSKDENLRVIAVWGSSGVMEKSIIK >Dexi2B01G0033470.1:cds pep primary_assembly:Fonio_CM05836:2B:41011671:41013503:-1 gene:Dexi2B01G0033470 transcript:Dexi2B01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAFEAELADSIPVVKTSIGGTRIIGRLCETEEFIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >Dexi9A01G0042340.1:cds pep primary_assembly:Fonio_CM05836:9A:45944581:45944952:-1 gene:Dexi9A01G0042340 transcript:Dexi9A01G0042340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRPLLIVAALALLALPLRSHAGGAAGNLHALVLVPGYESNQLEAKLTAAYVPPAPACVAGQKQGWFQLWPNHSATRDASQVPCFADQMRLVYDSGADDYRNSNGVATRVPFFGSTRSLVG >Dexi9B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:9B:10860225:10862772:-1 gene:Dexi9B01G0015870 transcript:Dexi9B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVMGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEYIQKNLHLYQFRNTIPLSTAATANFTRGELATALRKNPYMVNVILGGYDKDAGASLYYIDYIATLHKIDKGAFGYGSYFCLSLMDKLYHPDMTVEEAVDLIDKCIKEIRLRLVVAPQNFVIKIVDKNGAREYARRDLVGDNASVDAATTVTA >Dexi9A01G0021900.1:cds pep primary_assembly:Fonio_CM05836:9A:16886916:16887173:-1 gene:Dexi9A01G0021900 transcript:Dexi9A01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARGEWQVKQNASGGWLAGEMWVCGRASRASMEHEVRRCPSKLQSAQIESCGLWWSAAPADQRDGDRKMHSNDDCRAVGQHGR >Dexi1A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:1A:2031400:2031627:1 gene:Dexi1A01G0002830 transcript:Dexi1A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNVVGDDESKLTIIFCTVKCYCHDIHGDAICYCCQKPPGPVCYDKLADCQANCPICNPECPPVPPLGSSSLHE >Dexi9B01G0034010.1:cds pep primary_assembly:Fonio_CM05836:9B:36095374:36107309:1 gene:Dexi9B01G0034010 transcript:Dexi9B01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPVAGAAFERYQQQRGGGAAAAANGSTVRFQEWASLHIIQSVSGGRELMYPCFSGIGWKSWKKRWFILTRTSLVFFKNDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGAIPNWREKRPIKSLVTGRPILLALEDIDGSPSFLEKALCFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFSADEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDAKINAMRSAISETFPEPNRRLLQRILKMMHIVASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIITTLLEEYEGIFHDEHLRCSVSPESQIEDSATEASTDDGNLDAKGNGFHDAENDADQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAVNADESDAEQRPVEVLEGNVDLSKTRKSQQSESVDSSGEEELAIQRLEIAKNDLQNRIAKEVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSTVEANDRHHRLPGHFLQQNFVQQGFDMNLAFCNQEKQRNEESSMESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVAINVPKLAEVGGLRPFFGGLNMVPKF >Dexi2B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:2B:16098:20697:-1 gene:Dexi2B01G0000050 transcript:Dexi2B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAVAAVVLLLALSAALVSRKRDKNRRLPPSPMALPVIGHLHLIRPPPHRAFDRILARYGPLVYLRLGPSTHCVVAGTADAARDLLKFEASIPERPLTAVTRHLAYDDAGFAFAPYGPHWRFMKRLCMSELLGPRTVEQLRPVREAELGAATYALFRDDGLLKLPYCIAISGFICALFAFGIPYLSALRIWLGFSTLFSLIYIVIAFVLSLRDGITAPARDYSIPGSHGTRIFTTIGAVADLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSGYLLNNVKGPTWIKAIANLSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAFHNVVFRVLVRGGYLTVNTLVAAVLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSAIQKSWHWLNVIGFSGLAVAAAVAAIRLIMLDSSTYHFFADL >Dexi3A01G0023610.1:cds pep primary_assembly:Fonio_CM05836:3A:19299769:19300661:-1 gene:Dexi3A01G0023610 transcript:Dexi3A01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRKGQRWRLPPARSRVLGKLGPSFGAGTGCGIGVGIGLIGGSAGVGPGFHGLSLGFGVGTGCGIGIGFGYGFGIGAAYDENGKLRMMKMGNIQTLAD >Dexi2A01G0027390.1:cds pep primary_assembly:Fonio_CM05836:2A:38810867:38813901:1 gene:Dexi2A01G0027390 transcript:Dexi2A01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQVRRRLASGLPASAAIDPSRAARVILPRRGWVTGLDPIERARSSVGGLATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLRSGNASSSQAQAQAGGCSC >DexiUA01G0012120.1:cds pep primary_assembly:Fonio_CM05836:UA:24339682:24340385:1 gene:DexiUA01G0012120 transcript:DexiUA01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLGLFLALNLLFAGAAHGCASYDCAPTDPPPPAEPAPSTTYSPEPPTSPAEPTPSTYTPEPPTAPAEPAPSTYSPEPPTPTTPATNDHRPAERCPKDALKLKVCASVLGDLAKAILPEEEKACCELLDGVADIDAAACLSRRM >Dexi1A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:1A:8259860:8266680:-1 gene:Dexi1A01G0009910 transcript:Dexi1A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin [Source: Projected from Oryza sativa (Os02g0227200)] MAGRSFPIRSPKEEESNAAVREAVLLGAKNAAIAGTVVAVPTLVGCRVLPWAKANLNYTAQALIISADQDFKFSC >Dexi3A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:3A:17079088:17080323:1 gene:Dexi3A01G0021480 transcript:Dexi3A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMFSFYTNPPSLALTPQTHQRDSHPKAMFHPSSSSAHNYSDLSMHHAVSFPAAVPTAPTEIPHGGFFHDSGGVLALPNVAASAPPPYPSSLPSYYMHRNTSSHFLPLHLQLPELSSNATFSCSSPSACQLSLPHVPSSPSSSSGDLLEFSTGAMRRVFSTGDLQVMNVSPSPPPPPLSGDTHGQDSGGPFAQKVGRYSAEERKEKIERYRTKRNQRNFHKKITYACRKTLADSRPRVQGRFARNSETETEAAAGHEREASDNSYEHYRYNNIATNSSCCFDSSCRGSAKTTTFDDNKWWWETPVAATAATSGHHGHHHYEQEQQQLLDFEIDVDEEDLWASLADMCNGT >Dexi9A01G0037210.1:cds pep primary_assembly:Fonio_CM05836:9A:41530080:41530808:1 gene:Dexi9A01G0037210 transcript:Dexi9A01G0037210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARVCSVPAVRFSSRLQLATSFIPQPSTRHSGSTRRGGRGGWAAAPPAMTADVRVVIRRHLPVVGPCGTGTRVVVAEDIALRRRPTRGLRGPGRVARALAEEVLPLVAHPPDRAAVAAARREICAHVAAACTDARVATGGVRVLVLVDTFAVAVVLPRPRTTAMWGRSGGAAVKQNASVADDGDEPCKGLETESGLAAAAGKEEQPRPIGVIWDGRPEPTVEKRFEGWLPW >Dexi9A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:9A:6742462:6748184:1 gene:Dexi9A01G0010950 transcript:Dexi9A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNSFAKAEAALRGELTGRGDSNGPTTQRRVAEPKEDDEQEDSVGSNVGPKGTASVRSADSSREFIVKEIDVGALPNGSDGRKGSGIGQPQENNMGDLYPWTFSIANSTAEQLAELLVSEEVPRHKRGAMMAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDAAGTGRAETNEQGHSRDKNLVPEKEEILNGCTVKTVLPFPGENPSSSYNGTHHENERKEVKKSINADGVGKAVKGQLDEGNRQYYFEKSQDTDLVTDRCFDLQLVADSQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGAKQSSAADHAFMIGSYLDVPIGQEITSSGGRRTIGSSWLSVSQGIAEDTSDLVSGFATIGDDSLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGTDRHDRATKDEDDDQSFVEEDSYISGEQYFHGKNIAQVGTSVGPMVHGIPDNDMMAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSEQPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQETEKKRVGGKGAKHDQINYADMQKVNLPPAVTGGGFSFPPPLHSGKNHDSDAKALWSKKDEIYCVNDHDEFQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASYDETEDTTNVRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFNVVLNSVIAGRYHVSEYLGSAAFSKAIQAHDLHTGMDEHLLIVCELLKANLYEFQKFNREAGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCQYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVSGYYNVFMIHGSLLLIRGIGNIVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >Dexi4B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:4B:8818434:8824429:1 gene:Dexi4B01G0011410 transcript:Dexi4B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGPGHCRSSDGLDYEAPTSEQKYTVANSSSMMPPCQQSCGGVDIPYPFGIGNSCFRQGFEVACVKNTNGSAGRDILVLATTDQTIRVLELSVSPFPEARVLGVSLDNQTHSPNPHPCDWVGYGQASPYPSPNPHPCDWVGSLGAFVLSMLALLTSRAFHAPISALLVRIYGRRRLRRRRRRRLCRRRRRLTAGACFNSTGDVTGSYTGDVNFNPEGVYRISNTQNELFVLGCNTFIYAKGVRWHVGRFGYAYYAGCVAVTNGTNGTKDGACAGVGCCHVDIPPGFTDTTMSMSTGGYWSHANQEFCPCDYAFIVEKGNYSFKASDLLSTHIPSNQTMPLRVDWTMPLRLDWAIRDNGSMTAISCAQAPNKPEHEYACRSNNSECVDSTNGPGYFCNCKQGYQGNPYVVGGCTILEAQGITIYTKRELKKITNGYSKHLGGGYFGNVYEGKIDGSEPRKVAVKCSVATRVGHPWKKLIQPPHVPQQGLDEDGHHGSFINEIKFQFDVRHKNIVQLLGCCLETNIPILVFDFVTNGSLDDALHSSRRSGCLSLLERLDIAIGSAEAIPYMHSLDSQKHVHGDIKPSNILLDDSLNPKVSDFGSSKLLSVDSYYVRAVAGDTGYMDPFYIKTQHFTLECDVYSFGVVLLELITRKRARYQDQDGVEKILSMEFVTCLNDEGSGRTMYDEKIYSSGEDAQSQRNTQCLDKIGMLAVRCLKEDKRERPTMEKVVEELMQVKVIACSQAEFESKER >Dexi3A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:3A:9975276:9975888:-1 gene:Dexi3A01G0013700 transcript:Dexi3A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAASAITWKDTRNSFLRVKIVDFGPHAVNLTIRTNGLQAGVNTTWSRVTVLTSSNVMNENSFSNPNKVVPMNKGLPNAAQVTPTLLSPYSFTSFDLALDV >Dexi9A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:9A:8662320:8669367:1 gene:Dexi9A01G0013450 transcript:Dexi9A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDPRSRTQTGHNAELPTGSSDAWRWHAELPFHAILPVLASQSRGLPPKGATTPATTSATPRLMTRTRSRGQVSWSPTGKPAGRQLLGQPRLGPNQTHTAALRLIHDPTRDAQGNDRRIPESPAAVQQGLVDKRDPSTTTLAWQHSQTGCHATVNTTSRRPEYTMTGLAEGGTAAPAACPRRRGRSSRQSGGSGGGSTDYLPTPLPAPDTSFARALFGFLATKLLLFRRTSFFRSAAARRIDPHRLLRSAPLCSTGSLSREKARSIRQVATARLGQQRSAQLTKWFSTDWQERALLRGSPKSVDLSKEIPASSRKEIPILSLRLATKSRSPMPPTHPRESPRIPILSPKSTASADLAQNPPLESGPRAPHPQISSTATWPEEFDAESERGSTGATDAKKFGLDGGATDEGRRDEANNYAARVVRRGASPVKGYGNFAACLCGGRCVAMLPSVSTSQRFHLTGRPAPIPAKVDVDPGLPPCGPHALSPAPPHNPICSLSARGRQPLLSLPLASLRLRPRQCVAGSAGFLGYYPQTSVRGHNQGLRNRREPVVHCEKVSKEVREYFQRELERAKKVTAQRAQEKLRKEKVAAEGNYPGEDKAYHEEAELQRALNQSRAEEEFRRGVQQRGGAYEHRGGSGTRGEGTLQRMLRRATSSRQTPGVTDYNLAKD >Dexi9B01G0026930.1:cds pep primary_assembly:Fonio_CM05836:9B:29328138:29328450:-1 gene:Dexi9B01G0026930 transcript:Dexi9B01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQFKEGSFQPPAISSSVDLPEQVDIFGQKISLGPVQQALNPLQQAFASIAGSISGQPPLKIPIPGNNRGRSWLLTTYLDKDFRISRGDGALC >Dexi3A01G0024730.1:cds pep primary_assembly:Fonio_CM05836:3A:20344487:20347157:-1 gene:Dexi3A01G0024730 transcript:Dexi3A01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPAGGEGKVVDSFGGLKAYVAGSEDAKAAVVLVADEKGFEDAKPVIAALKEKGISAIGAAGYCWGAKVVVELAKAHDIQAAVLLHPSFVAVDDIKEVKCPISILGAEIDKMSPPELVKQFELVLSANSGVAHFVKIFPGVSHGWSVRYSLDDAAAVKSAEEALADMIDWFNKNLK >Dexi4A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:4A:8138203:8138859:-1 gene:Dexi4A01G0010200 transcript:Dexi4A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGLHHGTVRISRNKLLRVAATSAAALEETTTAMVGATAMAPPEKKPPTKAAASKTTGKRRQRDKAKGAHKLHACDVALNHRLVSWRVVDAAAGGGAGSSRIPDYKGTSASSLLAYLAGGNSWHEEEDDDDGGSAPPVNGGLSDLYDLIVGRRADVTDIEVEDTDAIEEQEQKDADDVEEDGEEDEEDMGFCMVGITIAVEFSDGEGEDWVVVQEI >Dexi5A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:5A:10777134:10779898:1 gene:Dexi5A01G0013960 transcript:Dexi5A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAISPMASASSFRQLPPFLAALLCAIAMATTSSAATVPAAGRRGPIGTNGKNYTKVCDPARFASLGLDMSRFRYCDASLPYGDRVRDLVGQLTLDEKVSNLGDRADGAPRVGLPHYRWWGEALHGVSDVGPWGTWFGDVVPGATSFPLVITTAASFNETLWRSVGAAVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFLVGRYAVNFVRGMQDIVVSGAGDGHSGEDPFSRPIKVSSCCKHFTAYDVDAWFDADRLTFDAEVQERDMVETFERPFEMCIREGDASCVMCSYNRVNGVPACADARLLSETVRNQWQLHGYIVSDCDSVRVMVRDAKWLNFTGVEATAASMKAGLDLDCGMFWEGVKDFFTTYGVDAVRQGKIKEADVDNALANVYTTLMRLGFFDGMPEFESLGAKDVCSEDHMELAADAARQGMVLLKNDAKRLPLDPKRINSVSLVGLLQHINATDVMLGDYRGTPCRVVTPYNAIRKMVNSTNVHACDNGACDTAGGLGRAAKTAKISDATIVIAGLNMSVEKESNDREDLLLPWNQTSWINAVAEASPYPIVLVIMSAGGVDVSFAQNNSKIGAIVWAGYPGEEGGTAIADVLFGKHNPGGRLPLTWYKNEYVNQIPMTSMALRPDAALGYPGRTYKFYSGPSVLYPFGHGLSYTTFTYTSTTTTGATVTIPIAAWEHCKLLTYKPGAAPSPSPACPALNVASHRCDESVSFAVTVRNSGGVAGDHVVPVYTSPPAEVGDAPIKQLVAFRRVFVPAGGAVEVPFTLNVCKDLAIVEETAYTVVPSGASTVIVGDDGLALSFKVTIHLAV >Dexi1A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:1A:552957:554972:-1 gene:Dexi1A01G0000870 transcript:Dexi1A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRQWTTRLENSIRLLSPTLDAPLVHAVISGAAAEGRADLALQFFRFAYRRAGFRPGSDTFALLVPALASRRMLNHARCLVLDTMPSFSLAPDEPTLAALIAAYGKAAIPQEAVKLFRMMPDLGIPRTALSYNAVLKAILCRGREAMARRIYNAMIAEGVAPDLSTYNTLIWGFGLCKKMETAVRVFGEMKGHGVTPDATTYNTLLNAWVRAGDLENARKVFDEMTGEGIERNSVSYNVMIKGYVEAKRVDEAVALFAEMGEKGLRLSEKTFAALMPGLCDDQGRVAEARKAVDDMAERRLTPKDKSVFLRLVRTLCTAGDLDGALEVHRKSSQFKHFLVDPRQYGVLMEGLCSGGKCGSAVEVLDELMEKGTLLSPKSPVLEPSAYNPVIEYLCNNGSTNKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRREVPTDPESHTLLVDSFLKKNDPAEAKTALDGMMQQGHLPSPALFKSVMEALFNDGRIQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCTPDLDKLLVGLCENDRVMEAQKLSDFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGIVNQKGCDTLMASLKSEGYSKQADILSRILAENAPSTSKRGKRVAMGA >Dexi1B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:1B:6567773:6569160:-1 gene:Dexi1B01G0007920 transcript:Dexi1B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRWKSGDGCRRLLLLLCCCILAFPCHAQQVITTTNVSHRSDQGIHSFVGTYGINYGRIADNLPPPSDVVRLLKLARIRNVKIYDADHTVLDAFRGSGLNLVVAIPNEFLKDMAANPSKAMDWLNENVQPYYPSTRIVGITVGNEVLGGGDTGLAEALVGAVVNVHDALRMLRLDAKIELSTPHSEAVFANSYPPSACVFKDDLMVYLRPLLDFFSKTGAPFYVNAYPFLAYMSDPSHIDINYALFKPNAGIVDPKTNLKYDNMFEAQLDAAYFALEKAGYPGMEVRVAETGWASAGDATEAGAGMPNAVTYNRNLRKRLFLRKGTPYRPDRVAKAYIFALFNEDLKPGPTTERHYGLFKPDGSVSINIGLKGLVPSSAAAAPAPSSLVRFKFVLSSLASSSSWA >Dexi9B01G0048640.1:cds pep primary_assembly:Fonio_CM05836:9B:47556068:47558515:1 gene:Dexi9B01G0048640 transcript:Dexi9B01G0048640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFDYETRQYDLEGLFSKYGPISRIDMKQGYAFIYFEDERDAEDAIKRLDNVSFGYSRRRLSVEWSRQVEPVPRSRERPTGDVKPTRTLFVINFDPIRTKTRDIEKHFEPYGKITNIRVRRNFAFVRYETQEEASAAVKNTDKSTILDRMVTVEYAFRDDDNERDDRYGSPKRVAHDRHRGSPYMRPPSPRYRRDYSPEYDRRGRYPGWLIPKNPVRS >DexiUA01G0001380.1:cds pep primary_assembly:Fonio_CM05836:UA:3909740:3911248:1 gene:DexiUA01G0001380 transcript:DexiUA01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLSQDGHVRAATRTRSPFLADDDGIDLAAFLAVAARKMGAECFDVFGDARSGSIPAEQLRQGDGQPRRPPHGGGEDDTIPAASTRSTSSDPPVATTDRSDQPIQNFKIHPARPSCLLPRPTERSTNTERQEERGTCSSGFSRLVPPRRAKQSRGLRSLYNKTLGTRPTHTFLGLLAKINGLPLGPSSAAQPRRPNKLAVLARSTAQLDVSMAASIALCHLSDGLGRRGQAAGAVQRQDSPPSPPCVSWKRFLSLSRLAVEARLSIFFTDKIAPQIGSIFEYTNQRPVFGIPADATACARSSVRRLQLNTM >Dexi8A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:8A:23108826:23118037:-1 gene:Dexi8A01G0013100 transcript:Dexi8A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTEIGYCLDSKPTAGDGSAAGVSMDSTRIDEPMKWSGISDGYEEGSSETRSNMVRCSPVNETHSSSPSADKGTAGSLMLESPDSEIVANQNLCDEDASAVLNSSGSCNFQRLCKRKQDDCTDSSHSRDPCNDKRQSKDNSLADNIPGADNQAAKHLPSTVDIHDEGNAMHEGRQQKYKKEATGVGKLGILVHESFQTANLDAIEIA >Dexi1B01G0029430.1:cds pep primary_assembly:Fonio_CM05836:1B:33740913:33746382:-1 gene:Dexi1B01G0029430 transcript:Dexi1B01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTLPFVPSNPSTSSGATAAAAFAPRRVHFAAAGRSGGGVLPLACAAPRHRGRAPRRRRGGSLVVRASADYYATLGVPRSATNKDIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEVLSDEKKRALYDQYGEAGVKSAVGGSGGAYTVLQLRRILNVISSCTSLFLNKLSSLTDLFSIQTNPFDLFETFFGASMGGFSGMDQSTFRTRRRTTTVQGDDISYPTSVISKLMQTCSVRYDVILGFTEAIFGTEKDIILSHLETCDTCGGSGSKAGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPACAGEGEVISEYCRKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGSVQLFFSDCFHGQVRTVDGNSALRIPPGTQPGDVIVLAKQGVPSLNKPSIRGDHLFTVTVTIPKRISGREKELLEELASLSNGGFARTTDKPKPAKPKPIHEEREVGTSEEDTSQSNEGEGDWLKKLTDFAG >Dexi8B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:8B:24360162:24360759:-1 gene:Dexi8B01G0014000 transcript:Dexi8B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTGALPSLLPKLAALATGEYNLQKGLKGEIKFLHAELESPMIRRKIATEIRGVKNHVIELHQLRMRYKIDSAPTSAIADVDPRLYAQSPETAELVGIDEARDELCQCSKER >Dexi2A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:2A:6806452:6808160:1 gene:Dexi2A01G0007070 transcript:Dexi2A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVLADVPPPWRRRLILAGRLPPEGKKLVSHKAGSQKRAHDGDLKGALEKFEVELEVESEDEAQLFATKRSVVARVDVDGPNATKKKNQFRGIRRRPWGKWAAEIRDPNKGVRVWLGTYNSPEEAAKAYDAEARKIRGKKAKVNFPDDASVASKKRPSNSNPESFMQNEEIPFASLVNDGASIQETLVNVSSEKGCNSMSSLDTSLQNGTKDTGSTSIVAPVRTLTAVDEPAFVQDTVNAVAALVIGDASVDHYELYMNFLMDRSNESINTFLGYDDEPEDVGSNMGLWNFDDMPMNGAVVI >Dexi3B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:3B:12765875:12767944:-1 gene:Dexi3B01G0017350 transcript:Dexi3B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSASGDSGQCSNGTGIQRTSSCGSVPSARPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQTLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESSSARFSEAAIAACAKMCAINLFRAFPPNYRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKIGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSVGLYLQQLTYCVTQFLEKDPKLASSVIIGLLRYWPIINCQKEVMFLSEIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHIISLIAQNRQVIMPLVVPALEQNIQNHWNQAVLNLTMNVKKMFSEMDEDLFSSCLAKHKEDEEKRVSLEVKRKLTWEKLESAAAFQPVTGHTAVLVGHQPSANMIATLI >Dexi5B01G0038960.1:cds pep primary_assembly:Fonio_CM05836:5B:38002990:38005496:1 gene:Dexi5B01G0038960 transcript:Dexi5B01G0038960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFPPSSTSLSAPSSSQPTPLHLRLKLPSQPPGLSLLPFSRALQLPLRLSIPRPILPHAVVTSLSGGGDDDVVFDDNNNNNSGGDEGHNNNSGGGGGDDEPNRGGDGSAPDDDHRGEALFVLAQLGRKLESLPADLAAAVENGRVTGEIVRRFNDLEANALFRWLLQFPGFRERLLADDLFLSKIAIEVGIGVLAKLGAEFQKRGEDIVNEIDFVISDVIMAIIADIMLVYIPAPTVSFQPPLARNAGAIASFFHNCPDNAFQIALGGRSFSLVQRLGAIVRNGAKLAGVGAGASLIGTSFSSVLIKARRAFDKGSEDKGEEIPVLATSLGYGTYMAISSNLRYQIVAGVLEQRMLEPLLHNHKVLLSAVCTVIRTGNTFLGALLWIDFARLVGIQKAHEHEEA >Dexi1B01G0029360.1:cds pep primary_assembly:Fonio_CM05836:1B:33679342:33687816:1 gene:Dexi1B01G0029360 transcript:Dexi1B01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSSSSSAAAAPPPPSIPTSSDQGVWADASPLLAAACHDLQDGELVHGENFSLFAAMSALEIMDPKMDSGIERSRYNSIEEAIEDGVAPIPLSTDRTLDVQCSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRILRATCNAIISVVSTARTHEEEDFFTMSFGLPLRDEGDDKCLSILNSVEETISRQLRACKAQTFSKKKTPEDLESLQNNPDMEEDYCRAILCRLRFRKVLTLFLFLILLKNGSHFYHVVMSMRKPHGRGLELARKHVASCLNELGLMLKSREFLNSQSNITLQDDENCTTASGCQPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLHDFDVICALSLDPVLENSLNSSTISHSMLLSCINNYPKISLTQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQVCELELALKREFGETRNVLHHENMCMRVSKQLLIWTQEQAYWRFMQHFDLLQKARVPEHISYYSFKESSSRAGITDLMKYNFFREIQKIIPSLRGSFSSQPEKLAELRQIEQVAEHNRIALNIISQVGPDDPSLRVSFEFTHHPHFAVAVVKRS >Dexi8A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:8A:6908722:6911223:1 gene:Dexi8A01G0006670 transcript:Dexi8A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEINWFELRAAASTTQPGSGRTKKVAAIHHRYEETRYFGEAVRVRQLPACQPFDGMHQRSHAGGVDWISALPDAVLQHVLGFLPADEAVRTSIVASRWRHLWSSLGRLRIVWPDRWSAPDFRRLVDRVLLGRDPACALDEVEFVAYIQ >Dexi2A01G0034460.1:cds pep primary_assembly:Fonio_CM05836:2A:44416718:44417555:1 gene:Dexi2A01G0034460 transcript:Dexi2A01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSKEQAKVHTESPDIGTCLTGDPEHREMTLRVVLQELALVDGPHPELALHRGDERRALEDGARERLERPRHAGRVGNGGVEARDADVLLPGALLRLDEARGAVDADDEVAGDLGVERAAVAGLLDAEEALDPGHHLVGGRVGWLVEVDDAVAEVLGEGALERRVAGGERGVVSGADVEAVVVLQEQRPCGGVEGRHQGLGLDDVAIGLLHGLAERRRVDGGGGVLRLAGDATAGGVDDMAAGRGIGETRGYLLDVSAREGEKRV >Dexi5B01G0032060.1:cds pep primary_assembly:Fonio_CM05836:5B:32730892:32734345:-1 gene:Dexi5B01G0032060 transcript:Dexi5B01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEDGGASGARPAKVMSVSGSGKRRRYVRQVTGRHNDTDIHVAARGGDAAALRRALDEAAAAVAPGEGPEELEAARRAVAAEPNEAGETPLVAAAERGHLEVVVELLRHLDTEELAAKNRSGYDALHVAAREGRHVKLRYRSIELPVACLVVSWEYFLSAVLQEMLLHDRMLAKTFGPGNTTPLISAAMRGHIEVVELLLEQDDFGLVEMARDNGKNALHFAARQGHVGIVKSLLEKDPQLARRNDKKGQTALHMAVKGTSCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVSVLLRLPDTHVNALTRDHKTAYDIAEGLPVCEESCEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGLAVVVQATSFKIFFIFNAVALFTSLAVVVVQITVVRGETKSERRVVEVINKLMWIASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSDTELNPVYAL >Dexi1B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:1B:5010348:5010967:-1 gene:Dexi1B01G0006140 transcript:Dexi1B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEERRRAVASAEAAEARLEATRSQRRETAAARTRVVAKPRRSRIWSSSPSTAEIADHLLATDVADYIRFRAVCQPWRRSSPDPRAAITPTVQPMPLITGSLLHSIVRETVY >Dexi3A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:3A:7152529:7154389:1 gene:Dexi3A01G0010080 transcript:Dexi3A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLAVAPVGAKPVRPSVGASRLVNPPPRRGRVVACLAPAAAPPPTAGAAAGARRELSAASLAVVDDEARYLVGTYKRSRVVLDAGRGCKLYDLDGREYLDMAAGIAVTAIGHGDPDLTATIARQAATLIHSSNVQYTRPQVALAKRLVEASFADRAFFANTGTEANEAAIKFSRKFQRAAHPDTDASPAEFLAFDNCFHGRTMGSVALTSKSQYREPFAPVMPGVTFVEYGNLQEAKKVIQTGKLAAVFVEPVQGEGGIHSATQEFLQGLREACDEAGALLVFDEVQCGLGRTGYLWAHEAYGVEPDIMTLAKPLANGLPIGVVLVKEKVAAAINYGDHGTTFGGGPLVCQAALTVLDKIQKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEIRGVGLIVGIELDVPAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISQKELELAADVIRDCLPALDDTSS >Dexi8A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:8A:868191:870510:-1 gene:Dexi8A01G0001250 transcript:Dexi8A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIEKAKDYTKLKNKNAAIQCLKKKKLYETQIEQISNFQLRVHDQIIMLESAKATTDTVDAMRSGSSAVKAIQQSLNIDDIENAIDEANEQTENMRQIQEALATPVGASADFDEDELEAELEDLEEQELDEEFPEPPPRISAPVEEPLARATSSSKQHNDLSELTKLQAEMAL >Dexi3A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:3A:2557609:2564238:-1 gene:Dexi3A01G0003830 transcript:Dexi3A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTAGSTRPRKEKRFTYVLNDADAKKHCAGINCLAYLNGSSASTSDYLFSGSRDGTLKRWELNNGDASFSATFESHVDWLIFIVQVNDAIIVGDKLVSCSSDTTLKVWNCYSEGACTKTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDAALAPVAKSVDAKEDEVPNGNSGPALTTLCNVNSSSNLASSNGQSHGYNPITAKGHKDSVYALAMNDTGTLLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFGHVYSGGRDQSVYLTDLSTRESVLLCTNEYPILQLSLQDDTIWVATTDSSVYGWPAEGHTPQKVFEKGGSFLAGNLSFSRARASLEGSAPVSMRIVPVYKEPSLVIPGVPAIIQHEIMNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVSGAQEDLKTILDTSIASIFDNLIAATYSRVKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLDKPLDG >Dexi1B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:1B:9103990:9105413:1 gene:Dexi1B01G0009760 transcript:Dexi1B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGHDYEGLSYRSVVATGGCGGGGGAPFAVVDVAALRERETTSYEKAKGWGEMYFRGNFRRLAMVKGEVDPEQVFWSEQSIPPLFGADAGERQSDEGSGLVSDS >Dexi5B01G0034070.1:cds pep primary_assembly:Fonio_CM05836:5B:34352375:34352984:-1 gene:Dexi5B01G0034070 transcript:Dexi5B01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVRCGCGESSCPEWAVVELQGVVQPQASFAGYTFTVGYHELAGTKVTLKKPLLVLRKKKVGGGGVGDQEPPAEVELEVIGIIRHKILFKDRPKALISKPATKEKKTVQPAED >Dexi2B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:2B:20395643:20400323:1 gene:Dexi2B01G0013060 transcript:Dexi2B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDLDRQIEQLKRCEPLTEAEVKALCLKAVEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPSKRPAPDYFL >Dexi3B01G0029510.1:cds pep primary_assembly:Fonio_CM05836:3B:28806263:28806913:1 gene:Dexi3B01G0029510 transcript:Dexi3B01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMATSATSVAPFQGLKSTAGLLLPVSRRVSSSGFVSNGGRIRCMQVWPVEGNKKFETLSYLPPLTTDELLKQIDYLIRSNWIPCLEFSKVGFVYRENARSPGYYDGRYWTMWKLPMFGCTDSIQVYNQLEECKKAYPDYYIRILGFDNVRQVQCVSFIAYKPPGSGN >Dexi9B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:9B:2178198:2183364:-1 gene:Dexi9B01G0003790 transcript:Dexi9B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSRTRDLLMEGLEGLVREGSFKWGLPRREDADGDEDNDGSLPGRRSSIPSLSFKANSVVARCSRILDVSLDDLQNDFDKQASDSVKNPRNYARNFLEYCCFMALAQTSQVAGYLADKSFRRLSFDMMIAWDVPSSSQHSVKVEVDSTVSLEAFTRIAPAIPTIADVVTCSNLFDVLSSSSGGRLPFSVYEKYLSELDRAVKKMKSQSESSLLSNLRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLILTDHALYFEALRVVTYDKPKAYELAEDVKQVVKPELTGPWGSRLFDKAVMYKSTTLLFVGEMVVGEISSLQKAVIDSMNNYKKVELAQATVDGVKVEGLDTNLAVMKELLSPVSELWRILLLLTSWDEPLKSMAFCLVFSYIIIRGWVVYFMVMVLLFSAAFMFLTRLTNQGKPMTEVKVVSPPPMNTMEQLLAVQNAISKIEELVQDANIVLLKIRALLLAFPSQ >Dexi1A01G0022260.1:cds pep primary_assembly:Fonio_CM05836:1A:28958281:28959276:1 gene:Dexi1A01G0022260 transcript:Dexi1A01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGEIDDFTLQLIREQLLGAEANCLPDATAAAAYSAAPASAHLAAQQAAFQQHPAAAFQQQVAYVDLANEYANAEAAAFRAPEPAVMIRFGGEQPSPVSDPTRRPMLTISLPPTSHAWGPAVPSSQALGAMVDVNDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPQTTTQNQNKRKLPAHDDDAEPAAKSVKAEAFGSPASSSSSLVTPSSLSPATTTASTVTATSSSVSPSSEAGGGGYEIFPMTPSSWTWEQLEGVFGSLSPLSPHPQLGFPEVAVN >Dexi5B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:5B:21660284:21663359:1 gene:Dexi5B01G0019380 transcript:Dexi5B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPAAMFSLIDVDGVRSAFPGGHFPGDHPTAPSLSSEVAETPAADGVDRISALPDELLRDIVSRLPVCDGARTAVLASRWRGFWRSAPLVLHDDDLLLAADGGCASPDAAVGRILADHPGPFRSVHLSHCSLTSRERELGDWGHLLAAKGIQDLVFLDNPPLPRRFGDAFRGASLRQLPADILRCASLRRLFLGTCRFPDTAGAPRGADVFPHLKEFSMLSAQMSEQDLEHMLACSPELETLALIINAMPKRIHLRSQSLKCMLLWTGVADELAVVDAPHLERLILWQTAGDYRPMKLKIDGAPELRVLGRLHPRLHQLQIGNTVINAQTKASPSCIVPSVKILALELCFGLSKDVNMAPSFLRCFPNVETLHIVSSRDGEATGRHNANFWQEVDPIKCVKSSVNKIFIHEFQGEQSEFGFVKFIAKRARKLQFLVIVLTKETFASACQFFLRDIVCRLPVRDAVLTAAAIVSRWRSAPLVVATRTTAFLLLVDLLRDVVSHLATSPFATARGPTRSPPAGAASLVLCDDDLRLQAPARRARARAPPLCAPSRPPTRAQVQDLAPARRPQQSDGASLDLPR >Dexi3B01G0029740.1:cds pep primary_assembly:Fonio_CM05836:3B:29005550:29005822:1 gene:Dexi3B01G0029740 transcript:Dexi3B01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVAKKYQCLDAWLLGLGSTGCWSVVTVQGADFRSDWPPGASALHGVVGVGVGRLAAGGVGAARRGVGGRRGVGVSGRSSSALRGSPVS >Dexi5B01G0029570.1:cds pep primary_assembly:Fonio_CM05836:5B:30772274:30774895:-1 gene:Dexi5B01G0029570 transcript:Dexi5B01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTRTTQPAPLLVAAIAVSFLSAAAASNTTTTSSHAAGRLQSLLAEVSRWRERHLADASSHPGAAPGVRPNTVAAWVLSFLAASVSSAGGVGGGSLFLPILNLVAGVSLKRATAYSSFMVTGGAASNVLYNLACTGGSGGRKLIDYDIALLFQPCLLLGVSIGVVCNVMFPKWLITVLFSLFLAFCTAKTCRAGVKIWRSETCGGGGGAGAGADETKVPLLLARGGSVAEGGGGNGEGFPWKDVALLVMVWLCFFALHVLIGDKHGKGVIRIKPCGVAYWLITLFQLPAAAAFTGYIIYAKRKKHVVHSQEDGKVDLVQGTMDTLPSLTFPLAAFVTGVLSGLFGIGGGLLLNPVLLQIGINPQTAAATSSFMVLFCASMSMVQFILLGMKGIGQASVYAGICFVASVVGVVGIERAIRKSGRVSLIVFLVTAIMALSTVIVTFFGAQDIWMQYTSGAYMGFKLPC >Dexi4B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:4B:2374917:2375435:-1 gene:Dexi4B01G0003410 transcript:Dexi4B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRRADTAAVPVLVLTGLVALTLTSGASLYRAAAAGDIVSAAFVAASYSALLLLFRRLGAYERLPPGGEEEAGGGRRARLRREVWALCTLLTVMFAWKVAAAMMPSWTAAAVVWPWRRSPRPAATVQ >Dexi6B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:6B:17061561:17063135:1 gene:Dexi6B01G0010620 transcript:Dexi6B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKGCAADVVVLITKPRAHLHRLSTTPSSPTLHTHYIDVRAPAVEQLWSLVHCVTTTTTTTATTTAIANLAIMIQQAPWLKELEKGLLLLENIAGEEAICLFVHIILFSESPQLPQRISKEPITTPFSKKGAIPIKGPQKVSLKGPKGPVSLKGAPKGTVTLKGPKGTVSLKGPPEGALALKGPQEGAFTFKRLSEKAWRSFQVTCRQWEP >Dexi9A01G0029200.1:cds pep primary_assembly:Fonio_CM05836:9A:34043873:34045854:-1 gene:Dexi9A01G0029200 transcript:Dexi9A01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSSIPAAAAALVLLALVAGAHCREAQLDTGAVDAGGLAENFNTSESAVYWGPWQKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPLFKDGKGCGSCYKIRCTKDKSCSGKAETVIITDMNYYPVSKYHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRMDTNHRMQAPFSIRIRNESGKTLVAKNVIPANWRPNTFYRSFVQYS >Dexi3A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:3A:7167370:7174615:-1 gene:Dexi3A01G0010110 transcript:Dexi3A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRAGGGAAAGAAASEASGVAGGAGSPPPPPPPHPPPPPPADLTELVKAQIAGHPRYPSLLSAYVECRKVGAPPEVATLLEEIGRERCAAAAAGGEVGMDPELDEFMEAYCGVLERYKEELSRPFDEAASFLSSVRTQLTTLCGAASLSGSSEDEPCSGDTDATDLGQEHSSRLADRELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGSSSGTTLYFDTGTIGP >Dexi2B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:2B:29494832:29497371:-1 gene:Dexi2B01G0019350 transcript:Dexi2B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGKAEKARRSPAARVKLWVARATTVLLWTCLVRLAANRELWAPSVLTRWPGCLTEHHVVQLPSEAVAESGQREAAHLVAPLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSDFQDIFDVDYFIASLRDEVRILRQLPPRLKRRVEMGFLRSMPPVSWSDISYYHHQILPLIRKYKVLHLNKTDSRLANNGLPVEIQRLRCRVNYNALRFTPEIEQLGRRLVQALRRNGPFVVLHLRYEMDMLAFSGCTHGCSDKEAEELTKMRYAYPWWKEKVIDSDAKRKDGFCPLTPEETALVLQALDIDRSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDVSLFQNHSSQMAALDYMVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYRNGTLSWPDFSSAVKASHMSRMGEPSRREAIPDKPKEEDYFYANPHECFMFAPT >Dexi2A01G0022960.1:cds pep primary_assembly:Fonio_CM05836:2A:34723372:34730070:-1 gene:Dexi2A01G0022960 transcript:Dexi2A01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALGSAAAVLVVVLLGAVAPAAPAEVVTRADFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHDGYSIDKATGDVTADQYHKYKVSCQSIMMLKQYFHMGKTSDGRGSVNMKGLEYYNNLINELLNYGIQPHVTIYHLDFPQALQDEYNGLLSPRFMTSTAYADVCFKNFGDRVKYWSTLNEPNAEPFSGYDLGILPPRRCSVPFGSGCDEGDSTTEPYIVAHHLLLAHASVVSLYRDKYQVEQGGRIGLTLLGWFMHPMVYGDYPSVMRKNVGSRLPSFTDEERKQVKGCFDFVGFNHYMVIYVKADLSRLDQKLRDYMFDAAVICDVTLGAAGHPDPCDTRTYNDEFRYGYNNALRGGTPASSTAASSGGRLVTCRTTSREETKIKGQNIVVTTPE >Dexi6A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:6A:659254:659976:1 gene:Dexi6A01G0000810 transcript:Dexi6A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGDNGGGAADGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQVIPQPTISPTAAAYIH >Dexi9A01G0046280.1:cds pep primary_assembly:Fonio_CM05836:9A:49647318:49653681:-1 gene:Dexi9A01G0046280 transcript:Dexi9A01G0046280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTIDPYGGELTIGTGPVDPQQMSFMYLLKLIRTDKGMHIDILATVSAVLLGFLALLGSRRRRGGSKAFLIPLWVAYTVSYTIVSYTIGLPDALGSSSGSADTISAFSRHDVEQCKGMQAQHTVQTLLVLWLLFTRRSSDFSYGRGSRRCAWSSDHGLVRSAKVVADYMQAAVVVRSDDGHGDDTSSSSRRERDMMTGCKYLVLGEDEDASPPSVPHYLTRVPVVDHGKVVTIDMIWKHDGDLLGSDDDKGARALKDTCLSFALFKLLKRRFCGLEIAEAGDPRTKDFVINGLLAGGYERAFRVVEVELSFLYDFFYTKYPALFPASRVLAVVRFFYLLGFLKMLRDFAQNAVWGAKRPADVSNYYMFTNFNDLLFVAMIMAIDIMQQLATGYSNWAVILRSREPDVRLGDEVKEAVLRSLKDSHGRLTNGWSSVRRTAKERDGDLLWACRLLTHTHTVLVWHIATTFCDVVEDDDPTSSDDDRLIATSLSGYCAYLLAFIPEMLPDHSYAAKQILDAVVLEARHHLGRAKDMPERCKEMLRLGLGAAAGGARLGARLGSLLVAVDKARRWKLLAEFWAELVLFLSPSDNADVHAENLARGGQFMTHLWALLTHAGILERDDIPAPVV >Dexi7A01G0019550.2:cds pep primary_assembly:Fonio_CM05836:7A:28703132:28703394:-1 gene:Dexi7A01G0019550 transcript:Dexi7A01G0019550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYILFFDGDQLPPFDTVATLANNFAPLASKIVHLAETGDVAIRRPTSDDDGVEFVRAESDADVRRLAGDEEHDV >Dexi7A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:7A:28702844:28703131:-1 gene:Dexi7A01G0019550 transcript:Dexi7A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERLVPELDMTVLPPARSGAGRHGGGVALGLTVHHAVADGRSLWRFVEARGAACRGDTLPQPPPCFDRSRVKLHGDCEEMWPVT >Dexi1A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:1A:6324406:6325976:-1 gene:Dexi1A01G0008240 transcript:Dexi1A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPRNVPRTPPACSNAHPLRCHHAFLLLLLLPSPLLLLLLAPSSASPRHWETTTALMAPPRWKSGDGRRRLLLLLCCCLLAFPCHAQQVTTNVSHRSDQGIHSFVGTYGINYGRIADNLPPPSDVVRLLKLARIRNVKIYDADHTVLDAFRGSGLNLVVAIPNEFLKDMAANPSKAMDWLNENVQPYYPSTRIVGITVGNEVLGGGDTGLAEALVGAVVNVHDALRMLRLDAKIELSTPHSEAVFANSYPPSACVFKDDLMVYLRPLLDFFSKTGAPFYVNAYPFLAYMSDPSHIDINYALFKPNAGIVDPKTNLKYDNMFEAQLDAAYFALEKAGYPGMEVRVAETGWASAGDATEAGAGMPNAVTYNRNLRKRLFLRKGTPYRPDRVAKAYIFALFNEDLKPGPTTERHYGLFKPDGSVSINIGLKGLVPSSATGAPTPPSLVRFKLGIGTISQ >Dexi5B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:5B:3547885:3551801:1 gene:Dexi5B01G0005360 transcript:Dexi5B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASPSGGGGSVDLSDLMIPIPQDDGEDHLRRRRSSSSANGIADDVLPSYDFQPIRTAAAAPAPAPQASWGSLDSKAPSVSASYNLKSAGILEPHVLKKVSHEEDRSNFATVTMADIDRTMKKYSDNLLHALEGVSSRLSQMESRTHQLENSVDDLKLTIGNYNGSTDGKLRHLENMLKEVQAGVQILRDKQEIVETQLQLAKLQTPKTDTQLSENIGSGQTASQQQPVSTSQVAIQPQHQVLTPSQPPALASLPAPNAPLPPSTLQSQPPSQFPSHIQHSQLPSVPSVAPAPSVPALPRDAYYASSAQPTETMHQQYQSPPVPQPQAPPAPLQQYQSPSQFSQYSQPPQASNVSPSTPLAPPAPQQPDETMAYAPPQNYAPNAPPQNYAPNTCPPSPYMQPPSGAPPPYYGQQNPSIYEPPAGRPNPGPPSSYGSGGYGPQGGGGFSDSYGYTGSPSHRGNTGMKPSSPFAPSSGGSGSYGSGRLPTAQILPQAVPISSSSTSASSGNRVPLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >Dexi3A01G0023480.1:cds pep primary_assembly:Fonio_CM05836:3A:19165570:19167391:-1 gene:Dexi3A01G0023480 transcript:Dexi3A01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGQNGVTAAAMEVTSVELGHTAASKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDECTGKRNYTYMDAICGFLQYANIVGVAIGYTIAASISMLAIKKANCYHVEGHSDPCSISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVTNKGIQGSLTGISVGAVTPLDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCMGYAAFGDGAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWANQRWPKSRYITGEVDVPLSFSGAGGRCYKLNLFRLTWRTAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQLLSLACLIITIASAAGSVAGIISDLKVYKPFVTTY >Dexi2B01G0023280.1:cds pep primary_assembly:Fonio_CM05836:2B:32793399:32793782:1 gene:Dexi2B01G0023280 transcript:Dexi2B01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVPGATWAGGGGVGGSDRRKKRMIKNRESAARSRARKQAYVRELEMEVKLLQEQNQSLRVKYEKLRVSMEVAMPVKKTLQRTPSAPF >Dexi6B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:6B:23010230:23013667:1 gene:Dexi6B01G0015710 transcript:Dexi6B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLGATTTARRKLLALVVVACVLAAPCHGVNEQGQALLRWKASMNSTTATRGGAFMDSWRAADATPCRWNGVSCDARGDVVSLTIKSVDLGGALPAAAVLLPLKASLKTLVLSGTNLTGAIPMELGDLAGLTTLDLSKNQLSGTIPDSLCRLRKLQSLALNTNSLRGAIPDDIGNLTSLTYLTLYDNELTGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGLSGSLPETIGNLKKIQTIAIYTAMLTGTIPASIGNCTELTSLYLYQNSLTGPIPPQLGNLRKLQTVLLWQNQLVGTIPPEIANCKELVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNELSGEIDIDFPRLRNLTLFYAWQNKLTGAVPASLSQCESLQSLDLSYNNLTGAIPRELFALQNLTKLLLLDNDLSGFIPPEIGNCTNLYRLRLNNNRLSGTIPAEIGRLKNLNFLDLGSNRLAGPLPTAMSGCDNLEFMDLHSNALSGSLPDELPRSLQFLSGSLEPLARLENLVMLNISYNAFSGELPDTPFFQKLPLADIAGNHLLVVGAGADDEASRHGALSALKIAMTILAVLSALLLLAATYVLARSRRRDGAIHGADETWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVVLPSGDSLAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYAYLRNGSLSGFLHRGGVKGAADWAARYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPHLADFGLARVLSGAVASGSAKIDSSKPTRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVEWVREHVKAKRATAELLDPRLRGKPEAEVEEMLQVFAVAMLCIAHRADDRPAMKDVVALLKEVRRPADGGGEDGGKGQAAASCNASGVAAAPLAVQAQRSPARSTMTPKGGSSSCSFAMSDYSS >Dexi3A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:3A:9612079:9614021:1 gene:Dexi3A01G0013210 transcript:Dexi3A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSRLIGRWPIGLLLHRRLLLLLLRHRGTASSCSSAAKALLIASSCSSAAEALLATCSSAVEALLTTCSRSAELEPDSMLVPSLFPTSTSTQQLALGRLGRPRSRVARARKMLDDVFLICDHVLNIHFEAIHIQQTNRGDASWPSGQQQQLAVQQGSYMLSRAEAIQNLESTANELAGIFTHLSTMVTQQGEVAIRIDEDLDYTLANVEGAQGQLLNHLNNLASNRWLMSKIFAVLIVFLLIFVTFIT >Dexi9B01G0047370.1:cds pep primary_assembly:Fonio_CM05836:9B:46489720:46490270:1 gene:Dexi9B01G0047370 transcript:Dexi9B01G0047370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNSAAAMWRRANGTARHGTARHGSGAPTQRCSRRRSWRGQTTTGGETPCAFVKLMDGASATEAEIISFCREMMPRYMAPKTVVFKDLPKTSTGKTQKFVLRDKARDMGSLTKTGNSKL >Dexi6B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:6B:3116978:3122860:-1 gene:Dexi6B01G0003820 transcript:Dexi6B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVIHSSFAIIHPFLWCAQVLRSVVHNYPSCANMIWEKIRDNVLDLLQVQNFEDQKSDANFGPPGPKEESSIKGRCLVAGIKVRTASLTCFAGMTSDVFFSLSENKRDYVTASSVHAALSDVVPSVRSAACRAIGIIACFPEILSSPSLPVKFIDAIEFNTRNSSAPVRVTASWALANLCSCIRFKALEGGTDTYSGVGILNKSSMSLLVEIALRLAKDGEKVKSNAVRALGYLSRFIRFNHQANTANDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSTLDYGRSFPDVVRGLVHALESLSSNNSSLPSNFKQKDNVEKQLTFTALHLLGFVSQNEDPSLKDFLIKKASFLEDWLKSLCASFSKDEHQPLPKEAINDEDGFSPNVTQKVMLSSAVQSLLGIYAGRNQQVITQKFEQLAASVS >Dexi5B01G0023380.1:cds pep primary_assembly:Fonio_CM05836:5B:25556550:25559336:-1 gene:Dexi5B01G0023380 transcript:Dexi5B01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREGSAGSVKDWTEFDPSPSPKLAYSQSYVAMRGLITSMASLDPILMSSSLKSLWAALSSHRHARSLERPKTKGVNWKKPMVHLLVCFLVGILIGFTPLFSVDLSNKIDSENEMLFFDGDVVDRQMLELKSTKLEPFAAETEAVEEQPVDESPPVPAMLDDEVDFIEASHVLTSVNDSGIVVRKQLALWYVASSTCWGQESRFIEKLVEDETQMEGLADNCSRVLVWNFDLEPPQLNYPTGWLLQKNLDVVVPIT >Dexi4B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:4B:6985188:6987458:1 gene:Dexi4B01G0009640 transcript:Dexi4B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQDDEAAPRQQAAAAGGAEVEEALAALLERCGGALPFLQAAIGVAHRRSGLFRDPSAVSKVTAMASAARAQVEAEERAAREAKRMAEEAERKKAAEAEKAAKAAAAAAAPPAAEQKPESSSGKDSMEVDKKEEGNVRQPNAGNGLDLEKYSWTQQLPEVNISVPVPEGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELYKPVKVDDSFWSIEDGKSLNILLTKHNQMEWWKSVIKGDPEVDTQKMGLPTSDEMQKQEILKKFMAEHPEMDFSGAKIA >Dexi9A01G0047190.1:cds pep primary_assembly:Fonio_CM05836:9A:50385671:50388415:-1 gene:Dexi9A01G0047190 transcript:Dexi9A01G0047190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARAPRQKLPPVSSRRLLGFGELGIAAAVYVGVDYLRYLSPAWHDRLQPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLAAFLCEAISVRFVSAVMGLQWHRSAAPLPDTGQWLLLSLNERLPQSIVNLLRAHVITLHHYLMLFIMLGFSVLFDCIKAPGLGIATRYMFTMAIGRLLRTITFIATILPSARPWCAEARYQMPQHPHPWAQKYYVPYASDSNAIRRVITHDMAYAAVQGYQDEYRLDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISIMIWLLVLHSAQREIRERHHYSVDCVVAIYVGILLWRMTGFIWSARDTSRARRLAKLDEVQSRLVHAAKDSDIDRIRGLLKEVELAGQEKQGFSQRAILAFAAGTIIFTLSCVLTAFIMTSDG >Dexi5B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:5B:8696815:8700149:-1 gene:Dexi5B01G0012290 transcript:Dexi5B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQMDPGIKLFGRVIPLASEPAPESTETQEPPCHEQPPEELQPRAPEDVSAAAVDEDQHNEKEEEEDSEMKVDTPQEKGDEMMVDSPREKSNKMKADSPQEKGNEMKMKIDTPQEKGNEIKFNASQKEKDGEMTVDAQQEKKDEQVKVDAPAMTENIQPDTLPTPDHKKEDQGRMNSTEDKAALDPKGESEKSSNEESGQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNASLHYRQLLMAPDCVLGSRVDISKTVLPEALVSPSSAPIQPTSRNETVLKFGPEVPLCESMVSALNIDEQNVNNSGSVPRGENMEDNSCTSSVTSYNGLPENVVPVDTNGAPVYCNGVAPVPQYYLGTPFMYPWSVGWNNLPVMVPGKSMPEPGSASESCSTSSAPWMTSPMMPGSRLPGPAFPYPVVPPALWGCLSGGWPATTWNIPWIRTNGCVSPSSSNSSCGSPTLGKHSRDSNPLKEEKKERSLWVPKTLRIDDPDEAAKSSIWATLGIKPGEPGSFKPFQPKVENKGQKSDAAQVLQANPAALSRSQSFQESS >Dexi9A01G0025610.1:cds pep primary_assembly:Fonio_CM05836:9A:26283333:26283563:1 gene:Dexi9A01G0025610 transcript:Dexi9A01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCVFVPPELEEAEADGEELRVRPLIRPGGSGGGRGAGEAAAAVAAAGQDRDRKVGGGTGAGVADGFGLGVKLP >Dexi3B01G0037560.1:cds pep primary_assembly:Fonio_CM05836:3B:40333162:40336531:-1 gene:Dexi3B01G0037560 transcript:Dexi3B01G0037560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKKEKGEALGRALIRQRNKAASAAKERGEALAFARRRAMPLESVIDVSDIDAVLQRAAEADQLAEAASVSSDSDLVIDLDATGETAEERRRLRKEQEALHAGSLRVPRRPPWHNQMTVEELDANERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAKEIDEHKRTMLLVNKADLLPLNIRKRWADYFKAHDILYVFWSAKAATATLEGKKLSGYSEEESASLDLDTKIYGRDELLMRLQAEAESIVAQRRTSTPEEDHDLSSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRNILEQIYKITLPKPKQYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPVVTDVDTEREAMAGSEGPTTSSAANESDADDFDEEDEDTVDPAEPDMRDVLDDLESFDLANEGSKTAAKKKKETSHKHHKKPQRKKDRSWRVGNDGGDGTAVVRVFQKPAVNLPTVTASSRV >Dexi9B01G0034350.1:cds pep primary_assembly:Fonio_CM05836:9B:36340781:36344528:-1 gene:Dexi9B01G0034350 transcript:Dexi9B01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKEKPVNVSGKPRHSNDVNRPNDKKGAGGGAGGGARSAATVRRLQMYKTRPKRDRAGKVLRNEFQSKELPNTRIEPDRRWFDAFEQKYASSKLPRDEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKDYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADAAEHIGEVLRRVKKEHLQRAYKIQDWNDDNDFLVQLCRTTGKLLRGGEPDLTTAAKMVLHDWQRGKIPFFVPPPQQSEDGASESAEPVERTEEDGVSSDRTAAAMKAIAGIISLQQNKNIPCHKEHDADNQDIESENEDIESEDDEDKEQSV >Dexi1A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:1A:15375341:15376045:-1 gene:Dexi1A01G0013150 transcript:Dexi1A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPMRGIKNDLLGRLACYKQDWTEGFRAGISDNLLVALNFVLGSKILKRSDFALFQDPGADHLHNFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSIIGGHPLLILGVAKPTVLMYTFMFNFAKDRADLGRNLFLAWTGC >Dexi7A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:7A:28588171:28591775:-1 gene:Dexi7A01G0019360 transcript:Dexi7A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRSAAATAVAPAPSALRTPRRLRRRPVKAASASASAPGGGRRSGPATPLLKWDVGGGGGGEGRKLVGAGGDAAAGGSAREKAREVSVRRLAAGVWRLRPPEAVAGAAAGADERRVRVGVEHIPRHLQVQLLKQNTSGHHQSLKNEVSSPISVLERKSGELHKVQLHATSTTMEKATKWEPEDIKGMESHDAYLIASQLNLLDEQRDTTYVANLQVELQQARDRVSELEAERRSAKKKLDHLFKKLAEEKAAWRNREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYETERKARELTEEVCNELAREVEEDKAEIEALKQDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLMLDAKYTQLSKLQQEVESFVAACSCANRDVKVVEEAENIIHAIKSVRAQDDAEFRYEPPAASEDIFSIFEELRPSEEPVIKEIEPCYKNSSVKCESEIQEASPMTDIFLEKKAKVYLNKSPQDESDTEDGSSWETISHEDMQGSSGSPDGSEPSVNNKICDGSISWKSRNDFEYRGNQKLKDDLTDAYLTNMNQPKKKESAISKLWKSSRPKNSEICKKDAVETVNARSSNVRLSVGTYSTVESGIQEIGLSPPSVGQWSSPDSMNIQFNRGFKGCIEYPRTSQKHSLKAKLMEARMESQKVQLRQVLKQKI >Dexi3B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:3B:3708107:3709350:-1 gene:Dexi3B01G0005480 transcript:Dexi3B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITRPRKRSRQRKHASLPLDILLEIAVRSDPATLVRCAATCKELRLHIAGTDLHGRSASASPTVDSQSKDLSLVDNANTTTRLLSAAACFPPGAAAAAANDNGDEVKLWRPVAARDGLVILEGISYRWPLVKLCVYCPATGYVQALPPGLGLGGRHVLLVGDGGPAGCRRPFQVINLTLSRVGSNSRVQKFSSEQGTWATSERIAFPLTNGDEMLSNNSVLVGDVVHWLCHQRLGMVDYYVVRLHVSADDDDGDYRISVTKLPKSFHRACSSFNGATSRMVLATAEAGRRPIVLVASGGVISVWAQSSELTGEWRKQPDLIVDCEAMHGICTLTSVRFLWFAERSGLVLLAAPDSSTFLLDLQSKKITKYCSSSSRIISKTSVPYEMDPSSWVPTFSKIF >Dexi5A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:5A:23212849:23213133:-1 gene:Dexi5A01G0019430 transcript:Dexi5A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAQSINDGGTAETTGPLGNVSVAISLARPCGWKEIGRRRRWRAVDDGRHRPRPCRQEEAWRRSDVAACSGGNWGGGRDLEGGAVHPDRGRQ >Dexi5A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:5A:7751834:7753855:-1 gene:Dexi5A01G0010170 transcript:Dexi5A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHHHGHRRDDDDDERRAPAYGGYGQPPSDPYGQPPADPYGRAPPTSAYGQPPADPYGRAPPTSGYGQPPADPYGRAPPTSGYGAGHQPAYGAGAGGGYGNVVHVAHEGGVGGGGYGGGGPEYGHGTRPHHGGGGYAGEYGHETRPHHGGGSEYGHETRPHHGGGGAAPANQQTYRIYCKAGEDKYSLACRDGKVCLVPSNRNDETQQWIKDMKYSTRVKDEEGYPAIVLINRATGEALKHSLGQSHPVRLTRYDSNTLDESVLWTESRDVGDGFRCIRMVNNIYLNFDALNGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVA >Dexi3B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:3B:12530865:12531922:1 gene:Dexi3B01G0017080 transcript:Dexi3B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACHIAKIHSEASVEKNAWELKQYIEELYWGSGRQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADKETRKIMELVGDMRALEDLTYAKRKDFISKHTLPVDELPIISFHSEASTAPTVLASLTRVAHAELLPWLPLPRFFLSASEFIESMLASLKVPVVAPVSAAMAVTALHLRLRYGEMSDGLVTRRDAEVPGSVVVRPRRRLDHAWMVYSTLKKGSAEADASEMCEALLVMLVEMGQNKSFC >Dexi1A01G0025120.1:cds pep primary_assembly:Fonio_CM05836:1A:31423815:31429468:1 gene:Dexi1A01G0025120 transcript:Dexi1A01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVVSARHTHAVTHRRGRRRRMVVASSGGAGPPPKLVTFLGKGGSGKTTAATVAAQMLLEPLDRLKKVDAQVNFTQGVLEGIVGEELGVLPGMDSISSVLALQKLLNFFSAGRSSSQPEFDVVVYDCNNTEEILRLIGATDRARSYLRYVRDLAEKTDIGRLASPSLLKLIYDAARPYGKTSEGRLSTELWNEIEQLLERVSVWFADPSNLACFLVMDPRRSTSVSSAIRYWGCTTQAGGQICGAFGYTEDPSEMHQEAAQKFLPLSLSFLPFVSNGSSADWSRALSSLSQSTKEQLMNTSTVYPSVSFDSVQKSVTFFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPTMQGKVGGAKFVDRNLIVSIR >Dexi9B01G0046460.1:cds pep primary_assembly:Fonio_CM05836:9B:45731826:45733222:1 gene:Dexi9B01G0046460 transcript:Dexi9B01G0046460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAWPGAIAAAFLLCAAVGVALAAKRVPIPDDLRDVVDDEEDDEWRNWGASRSRGGDGPPPDLSRMDPDALQAELLRGQTGPSFGFVKLRPGTRRSREDVVGIATRWSNVLRTGSVETKFVAVDFGTLMFTMEKGRDILELKEFILSQTEAYEFKIGDKIFRRPGHPPLDQVLEKLQKEKSHKSKEEL >Dexi1A01G0024420.1:cds pep primary_assembly:Fonio_CM05836:1A:30979576:30980414:1 gene:Dexi1A01G0024420 transcript:Dexi1A01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSRGMSCRGAICCIVALLSATAFSCSLAAEFHKVKEKDMKLDGSLCSLPRSSAFELGVSAIAFLFVAQLVGTTASVATAYAGGKPKKIAAAARGRIAFIALLALSWLSFAVAVILLATAASMNHGQRYGRGWMDGDCYVARNGVFGGAAALVVVTALITLGLTFATKPTTAAMASTCAAGSDTCSSRTHLEAAEADAEQPAGRSKQ >DexiUA01G0025800.1:cds pep primary_assembly:Fonio_CM05836:UA:54309778:54310479:-1 gene:DexiUA01G0025800 transcript:DexiUA01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIAHNLAQVRDKISAAATRCGLSKTKPASAIADAIEAGQHAFGENYVQEGVDKIRFFQEQGKTDLQWHFIGPLQSNKSRLVAEHFDWCHTIDRLRIASRLNDQRPEALPPLNVLIQVNISDENSKSGIALEELDALAADVAALPRLTLRGLMAIPAPESSYERQFAVAQQMAVAFEALKARYETVDTLSLGMSDDMEAAIAAGSTMVRIGTAIFGARDYTQ >Dexi1B01G0021620.1:cds pep primary_assembly:Fonio_CM05836:1B:27476203:27481528:1 gene:Dexi1B01G0021620 transcript:Dexi1B01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHETWEQLWSARASLATSSASSMADEQISQLADAVEDKVFVAVAEEVSDGRSAVLWAMHNLVGDGSKVVIAHVHSAARAIAKMRGHSSMRPEEIKEYRKLMRAKAEKSLDEYVLIAKCAREDLEVSCEKVIIEIDNVAQGLEELIVLHNITELVMGAAADQHFSKEMNTPKSKTALKLMETAAPSCKIWFTCKGHLICTREANESLPAIPPSPAKSISSQMDSMALTELEYEISSSKLYTSRSLVAAEMTDWDYLFGDWGMTVYGSSRIDDAANFSRTTALPPIIGDTNELVPVLHSPTQGSDNVYLLLASEHNQAYPLVILLKFAHHQMSLQIEESEYSYIKEVNQRKENEKTLDRQRLQIDEMRRQQCTLSDELQDSNKHNLMLEQRITQIKGVAKEHLEEITDYFIKQSCEEFKKRQKIKMDLLSTLQRVKELESLLQNEKTQREYMEEKAARQRTEIEETKRQRDKLYYDLQDVKEQRLRLEQMDASEETKRRRKAEKDLLTYLQRVAVLSRVRHPNLVTLIGACPDDFALVYEFLPNGSLENWLSCKKNMPPLTWKVRTRIIGEICSALAFIHSHKPYPIVHGDLNLANILLDVNFISKVANLGICHLLRQPDLPTTNLQRHPTKNHKGTPSYMDNGEFKSARELMLWSDVNSFGFIILRLLTGRSQQQIGEIVEQAVEKGNLHSIIDASAGDWPFAQANKMAHLGLRCITLSWGRQPDLAGEVWVVIEQLMKAAGLTTEPSRFASPSDAPAPSHFMCPIFQEVMTDPHMAADGFTYEAEAIRGWLHGANTSPMTNLTLANRKLIPNKALRSAILEWRQHQHQR >Dexi3B01G0027850.1:cds pep primary_assembly:Fonio_CM05836:3B:23570638:23574044:-1 gene:Dexi3B01G0027850 transcript:Dexi3B01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTPTPAPAAAPSGKGAKRSLMSSLIDATALLRSASFKEDSYVAAALPASELRALAELRALLATHADPISIWGVPLNPHPSPPPPADGAAAPAAAAAPADERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFVDGERLARFLRWRVQVMERGVRALTLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEESYTLCVEKTRMVMATAEEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >Dexi7A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:7A:23119035:23119489:-1 gene:Dexi7A01G0012920 transcript:Dexi7A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRPSTSSTGAGAASAASQPPLVRRPRGQDGGTGRFRRLDVVPKLLLHFPDHVLPLPVLLRLLAAVRHRLIVAGQGGGRVEPGEEGTDGGVGVAEGGHRGGGGGGGVGGRRWCGERGSCRCSAVDGGGKVGERREIELHGDAWR >Dexi3A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:3A:3680295:3682445:1 gene:Dexi3A01G0005670 transcript:Dexi3A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLCRQLFLWSTVNRTDARPEGPTEPGMENLGAGDKPAVEAQGGKGYDGRILGPRMAVGEGNQRSRPRRRLSIYLPQDRYKPSIFNECVIEISTSPALVIFSRFSSPSFSLSPSASPARFLSLSPAFLSDAALPPAVGDGEQGTPASCSFRLRP >Dexi4B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:4B:21757549:21759750:1 gene:Dexi4B01G0019590 transcript:Dexi4B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSRLFPLVSFASSSAAAAPGLHRQLPFAVNPSPAPALPSSPASPSPALPALSRVFSSSAGASSMVVVGSADSFASILSKVQDEKLPAVFYYTAVWCGPCRAIAPFISKLSGQYPNIPVYKVDIDLEGFGSKLGGLKVYSVPTFHFYHKGQKTTEIVGADVKKLEAAMDSLNKYVFFI >Dexi3B01G0026590.1:cds pep primary_assembly:Fonio_CM05836:3B:21967812:21970997:1 gene:Dexi3B01G0026590 transcript:Dexi3B01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNNGDGRAKKNKHHFVLVHGLCHGAWCWYKAATALRRAGHRVTAPDMAGCGAHPARLAEVRTFEEYSRPLLDAVAALPPGERAVLVGHSHGGCGVALAAERFPEKVAAAVFVAASMPAVGRSMGAATTDEFLKFVAAEPDFFLDTKVLDQENPDIPGHPVIFGPKFVAQRLYQLSPPEDLTLALSLIRPANRFNEDPLMKDENLLTEAGYGSVRRVFVVVEDDLGIPAEFQRRMIALSPAGVEVEAMDAGGADHMAMLSRPEELVERLIRIADNCVDGRV >Dexi6B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:6B:16289981:16294973:-1 gene:Dexi6B01G0010150 transcript:Dexi6B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEKMASIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGEDLREMVQECYEIAAEYERNHDSQKLDELGSMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRVKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIEERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRARADELHRSSKKDAKHYIEFWKKVPPSEPYRVILGDVRDKLYNTRERARQLLSNEYSDIPEESTLTNVEQFLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPTTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEGAPAALARLFSVDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSSELWPLGEKLRANYEETKRLLLQVAGHKDLLEGDLYLKQRLRLRDAYITTMNVCQAYTMKRIRDPDYHVTLRPHLSKEIMDWNKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >Dexi2B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:2B:5421902:5423247:1 gene:Dexi2B01G0005840 transcript:Dexi2B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWRTRTKVLLYLPADELCRLRLVCWSWWSLTSDPIFAKAHSSRHPLIVGLRHNRGFYDVHFVDPFSGNVVKQIPTGRSWYVHRVSTDHGRLCISGHDRYDPEKNLVLNPANGAIIMLPTTSIVTKYVKESTSYGWSTCLLGWVPSTGEYKVLRMRHRHGCRNLLDDVELDYHIATLGGDGNRPSCWRVMPHPPVTVAIGSLDRVVVKGVAYFLLDLWSGGIQRSGDRSLATKSMSRKKTEFADPSI >Dexi7A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:7A:27011068:27011681:-1 gene:Dexi7A01G0017270 transcript:Dexi7A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAGDTEEQERASPGTPADAKKMKRSAATDDGASPGAGLCDDVSSATSSPACRPAPRASTAASPRPHIAYLATAPIKRRPEQEPPGSWFLGFHVAGAGASGSTTTTTAPMRSLAGRRYVDMNYVNTCNGVVLLASEDAYSAPCSCVLWNPAVADVVKEVTVPDPNKPARDYLE >Dexi2A01G0022280.1:cds pep primary_assembly:Fonio_CM05836:2A:34142516:34143943:-1 gene:Dexi2A01G0022280 transcript:Dexi2A01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVLAPVLALLLVLLLLAPPQPAHAAGGGRCVGESFSANRAYASCTDLPRLGASVHWTYDAASGDLSVAFVAAPAAPGGWVAWAINPSGDGMAGAQALVAGPFSDAGGWELRTYNISGYALGEPGPIAFPASDLAAELGADGRARVYGRLKLGNSGVAGGGVLNQVWQVGAAVTGGAPAPHAMGVDNLAAKDNLDLLRGTTSAAGADSVTQKRNIHGILNVVSWGVLLPMGAIFARYLKTFHSADPAWFYLHVTCQLIGYGVGVSGWATGINLGNESQGVTYTGHRNIGIAVFALGTLQILALFLRPKKDHKYRVYWNMYHHSVGYTVIVLGVVNIFKGMSILGVEQKWRTAYIAAVCVLVVAAAALEAITWGVVVRRRKAEGKAYNGAANGHHLPH >Dexi1A01G0029700.1:cds pep primary_assembly:Fonio_CM05836:1A:35165680:35167362:-1 gene:Dexi1A01G0029700 transcript:Dexi1A01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATASPARASWRPALPYSVTFPGHVNSAGLQMTRTCPHQTTTRLTAARVKSGEAEGHPSTVSATTTAAGTADEDSLRRELETAIKDEDYARAAALRDALRVLQEDGRSAVLAANARFYAAFKDGDLVAMHQAWAKGDHVYVVHPSAGRISGYEMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGEVGYVTCLELVRTKGSSSWGKQVATNVFEKVDGKWFMCAHHASHFDE >Dexi9B01G0020870.1:cds pep primary_assembly:Fonio_CM05836:9B:15521646:15522149:-1 gene:Dexi9B01G0020870 transcript:Dexi9B01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVCSYSLRLATRAPRSLSSSSSTAHVGSPAIPGADHRTASFPLSSMRAASAWLPRSAAARAAAMSSVAVTRTRSRSTSMDTSASSPSATCHGLSTTASSPATASEHPVTTSSYKHHTFISRSSPTSTEAARRSVSTLFS >Dexi8B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:8B:23508499:23530679:-1 gene:Dexi8B01G0013380 transcript:Dexi8B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGISKTVVEALVNKVNNAIKEEKELWKIVERDTLFMKDEFEMMQSFLKTADWEQVKNTVGRTWVRQVRELSYDAEDSVDTIVHLDTKRSLWTIWRRFWASCNCNCGAPSELELAVDAIILLKGRVEEVSNRNKRYSFIYDSGSMTAMSQQLACVPAIGTTAAAVDILIEAWSTEQKLGGSVNFSMLINESCDSQRVIALWGTRGDLGVTSIIKEAYEGEVIRQKYRSRAWLKLAYPFNPREFIGSLAEQVYGNSGVEIIDIDVPTNAEQVAKYTDLVKNFVEQIKEHRYLVVLEGLSNMSEWQAVLTYLPDNRNGSLKRTQMRPSHFVRRWIAEGYSKCTNSKTMEDYAAELFNRLTKKTASMGEWRVNSFFHEYINSRLMEERAVFFPLVVSIWDKSRSLIAMEGLGQHLVIRSSWNRNEDFVFEDEDFSHLQSLTVCGTWKPYFIPYTMKSLRVLDLEGTSNIYISDNHDELQRMLLLLPRLRFLSLRGHMEIEHLPDSLYGLRHLQTLDIRRTSVVYIELQKLKKLQYLRAGTALSWMDDRGIAAKEESTASRNSSGFLASWLLNLRLPGILGRGPAGPCNGIKVRGGINQLQDLHTLGAVNINTADGMGILDEICHLRQLKKLELSGVNRENSKFLSKSILNQKNWESLTLQLEKDNHVVCWDDISPPSSIRILKLYGHVEELSARRYFIVIDDVWDESVLRVLDLEVCSGHKIEDICNLVHLRLTQLPEGIGSMPSLEEVSEVDITKYPNLMEGLGKLCKLRVLKMSLDTWDWRHREPLLKCLCNIKQLQAGVPKIGLWGGTRGRLHGINVAPHHLRSVIIRSGLIIDSLAFTYYDHDGQEAHGVVLVAIHLDSGEFLCKISGTIGAFDSLPNAVTSLKFTTNICSQWYVDAIGVYVGPRDQDEAGLAKFRPWGGDGEGVRTSMQHHTVLLGPSEFLTGISGTIDSYEDRSQIQLEPSESVVKLFGTIGTFNGIPNVVTSLTLVTSNAHRYGPYGREEGTAFHIPLQSNGSIVGFFAQADEYIRAIGVYVRTP >Dexi1B01G0022490.1:cds pep primary_assembly:Fonio_CM05836:1B:28238759:28247996:1 gene:Dexi1B01G0022490 transcript:Dexi1B01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRDKQNARPDLLDHRAAVLIECCDGLLPRVTGDLSLGEEDSGGSAVLVPDYTSACLKSAPLLPLRLVSLLFKHAQLKSLPFPLASATVLQALLLAFILSLRLSFCRFNAIFCSLPLLIKGGFGLRSGCCLPSFPSGAALRGGALQLQPEGLSRYATGIVLPQLPKADPHHRVGASHPGVAFYLSILGAANDVLSSRSRRHIFQLRASSVLAFRWRIAGPFLVSCTHLKAHLLVSSPSASSPTTTGGRGASLSAAHRLYGTSALLPLNEAELAHFQHRAALAGVLLPVTLCAAAAGGTALDPGVFEPVSDPSLCMRLLEVLLMSRRHRLLRVEERARQAPVVRGEPRHNGLRGETVGLREEVHDLLDLDAIARPLIPRAPPFCGTGKNSVSAVATLGDTLPPTLSTDEAGEPPRLPCSSEPTADGAGDLLPLVLFANTLGPNASAPDTVRAVVSTDVGDPSSWSRSGCIRGDGFFSCLSAGTCFLAAPPSTLRGYGWAGFLLGRGGGICIAGAVAPVEVLLLPEDSLTTCSTTQFRHQETAREKQDTTVALINRG >Dexi2B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:2B:1476287:1477654:-1 gene:Dexi2B01G0001920 transcript:Dexi2B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHANKNCVNKYVVGDDVDVDLYPFLLRYKDGRIERLLRSPFVLASDDPTTNRGVATRDVVVDHVTGVSARLFLPSLAAAMAGNRRLPVVVYIHGGSFCTESAFCRTYHRYATSLAAAAGALVVSVEYRLAPEHPIPAAYDDAWSALRWATSPLTTDPWLAAHADPRRTFLVGDSAGGNIAYHTAVRASLRQDDVTGVEGVVIVQPYFWGAERLPSEASSADGTAVLPVNGVDRLWPFVTAGKAGNEDPRINPTDEEIASLTMTCRRVMVAVAKKDTLRERGVRLFERVRDCYDLTGGGEVTLVESEGEDHGFHLYNPLRATSRSLMESIVQFINRPPAPEKNGGFHLHAWEGKSSSRTTNKTLTRTELVMLGVPSRPFRDVFDYGVDMKQHCSGTICMSAAYGGTSKIGRRGNDATSNMANYGLFKGPVRPNKAYKGPAGATAFPGVHGFTHFF >Dexi5B01G0023970.1:cds pep primary_assembly:Fonio_CM05836:5B:26067637:26069620:1 gene:Dexi5B01G0023970 transcript:Dexi5B01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQETLVLAGVMRGHNDMVTAIATPIDNSPFIVSSSRDKSVLVWDLTNPVQAAGDGTTTADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGLTTRRFVGHEKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDHGASEGHNGWVSCVRFSPNTLHPTIVSGSWDRSVKVWNLTNCKLRSTLQGHGGYVNAVAVSPDGSLCASGGKDHVTLLWDLTEGKRLYALDAGAIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLRPEVPAGKNQILYCTSLSWSADGSTLYAGYTDGTIRIFKISGFSYSV >Dexi8B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:8B:9385634:9386579:1 gene:Dexi8B01G0007610 transcript:Dexi8B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTATRPFTPLLHQHQPRHRRRVVVAAASTPDAEPTAAGAKKKTVDTRIHWSDPDEGWVGGKAKKEGDGGRGKNEPLGGRFADLINSASESHYQFLGIAPEADIEEIKAAYRRLSKEYHPDTTTLPLKTASEKFIRLREVYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIQNYESVPDMVDRLGGRNMKLSDQAMTALTIDIVIIIISACCIIYAVFFKEQY >Dexi2B01G0028410.1:cds pep primary_assembly:Fonio_CM05836:2B:37068204:37069096:-1 gene:Dexi2B01G0028410 transcript:Dexi2B01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQTTALTDDLLEEIFLRIASPTDLAHVSTACVSFRRLIADSTFLRRYRSLHPPLLLGFLDPGRRFRPAEAPHPNARAARALACAADFSFDYLPRGRSWWCPQDVRDGRVLLCGRPDEDAVFMDLVVCDPVSRRVCCVSKMVALVFSSASGHWDVGTSTSWNAENPSALSVTEGMFLGWPSYAYGCFFWKVISSSKLLKLDINRMKFSIVDLPPDHVDRNVIIVETGEGRLGMFTNWHPYTL >Dexi7A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:7A:10977650:10977999:-1 gene:Dexi7A01G0002550 transcript:Dexi7A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSVKEIRVLFCQSSPASAAAREFVKKNYGDIKARNPSLPFLVRECSGVQPQLWARYGTRPSSLLLLVRWLDF >Dexi9A01G0047800.1:cds pep primary_assembly:Fonio_CM05836:9A:50799098:50801765:-1 gene:Dexi9A01G0047800 transcript:Dexi9A01G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVPGGVLLGVRTRSRTLALQKAQRPLDKGEPDHTAAAGEYLELRSRRLEKPPHKDPAAPAATPKRGVGRKAAATAASAPALPDDDLEASFGDNVLDFDAMERSTRETTPCSLIRNSEMISTPGSTTKSKTSNSMTSRRRMEASVCRFVPSSLEMEEFFTAAEQQEQHTFREKYNFCPVNDCPLPGRYEWSRLDC >Dexi9B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:9B:11100340:11102673:-1 gene:Dexi9B01G0016240 transcript:Dexi9B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSHKKKKASALNPSINPKAAASDKKPEPPKPIEEQPEQAAAEKKPKKQKARDEIDEIFSAAKADKKRLQCPSPELQ >Dexi9A01G0027260.1:cds pep primary_assembly:Fonio_CM05836:9A:31706439:31706990:-1 gene:Dexi9A01G0027260 transcript:Dexi9A01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGEARVTPAGAECRINALGNDVLLRAISFLEARQLVQTCVLSRRWRNLWRSVPRINATHHEFDVMVDSEEERSVRIKKFTNALLMLRNPVALDAFRLSYFMTDYQEPADPHADREEDANLWIHHALQSNARSVEIGILNGSLH >Dexi1A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:1A:26671559:26672307:1 gene:Dexi1A01G0019590 transcript:Dexi1A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGLRRLRRCCDAGRTLLPLPLLRRSSSSSAPSPRPDQDSSGSALTAEEEAAFERIKSSLFKARKGSVEDLVRSLGAECSEIRLTSGVADSLLRRFGDDWKSALGFFRWAQSRGDGYAHTPYACDRMVDLLGKMKQIDWMWDLLSEMHCRGMVTVDTVAKSIRRLAGARRWKDAILLFDQLEDMGLERNTETMNVLLDALCKEKKVELAREVFVVLSPHIAPDAYTFNIFVHGNVDN >Dexi2B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:2B:8311815:8312769:-1 gene:Dexi2B01G0008200 transcript:Dexi2B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELFSRPSLPDLYPSSRVAMVVSRVPSRMKRIRMEIMAFLDGIIEEHEIMCRAESDDNKDEGLLDVLLRVQRDGDLEFPISMDNVKAVIADLFLAGSEISATTLQWAMSELVRNPRVMQRAQDEIRQVLKGQETVSEATLGKLEEHRLLGARRQGRGVAHYQGHAAVERWRKRGETRREREREVALTGGEREVSCGGEGDGTRQGRRGWCSPVEEKQVACGGGRGGGLPRRGRRG >Dexi3B01G0027880.1:cds pep primary_assembly:Fonio_CM05836:3B:23626814:23629075:1 gene:Dexi3B01G0027880 transcript:Dexi3B01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHQPESRAAAMADVEKAVPVAAAPAEGGDAAAGRGTGAVGAVLRRWRTQDLLDRSGSALRAGAWALSLLAFLVMACNEHGDWRQFDHYEEYRYIVAIGLLAFIYTTLQLLRQVVVLTGGQDLQPRTGLLVDFAGDQVTAYLMMSALSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALISGFKLAKQTYI >Dexi5B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:5B:13140165:13140998:-1 gene:Dexi5B01G0015740 transcript:Dexi5B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSMVVGPLLSLVKEKASSYLLDQYKVMEGMEEQHKILKRKLPAILDVITDAEEKATQREGVKAWLQEVKTVAYEANETFDEFNYEALRREAKEKGHIGELGILHAVKLFPTHNRVAFRNRMGNKLRRIVQTIETLVAEMNAFGFNYQNIQKQTPASKQWRETDSNIIIDSDNIVSKSREVDRKTIVKRLIDRANFAELTVLPIVGMGGLGKTTLAQLVYHDPDVQKHFELSKWVCVSDDFDVFKLANKICNSSEKNLEEAQKMLQQELKGKR >Dexi5A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:5A:25421287:25421628:-1 gene:Dexi5A01G0021630 transcript:Dexi5A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSCGYSGPFPGGYGGGGGGDGYTTGQSAPPHGEHPPHFTSPWTAAAAAAMETMIASARTGAATDDLLMVASASWWG >Dexi5A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:5A:7762229:7764147:-1 gene:Dexi5A01G0010190 transcript:Dexi5A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLGLAPASSSSGGGRGGGGGMANPCTALPPGFRFHPTDEELIVHYLRKRAAATPCPAPVIAEVDIYKFDPWDLPAKAIFGEAEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPITLSGGAAASSDSSSDGRAMIGVKKALVFYKGRPPKGLKTSWIMHEYRLAEAVAAANTYRPMRFKNSSMRLDDWVLCRIYKKNTPQLYNSSPSHDDPSMDGGLDLGRQLQDDSVSANDIVATYAPPAGRLPRPASISDYLVDYPAVSELFDTMPAPETTTTAQLGMDDAGRFYVTTNNSEMGASSSSTQQQQQQSSQYKRRFMEDYSNSELNMLHVSSNKRVMMSDQQASMAVNNNNLFSSVFEPGQSSRPDRM >Dexi4A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:4A:23649856:23651192:-1 gene:Dexi4A01G0019770 transcript:Dexi4A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPSRPSFSALRGARWRADLGVLPCSTAVSTDELRRAAADSRRRYANLRRRLLIDPHLSKDEEGAPDLVVENPLSQNPDKLMSVYAWTHIVSMEP >Dexi4B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:4B:19331402:19339718:1 gene:Dexi4B01G0017240 transcript:Dexi4B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVAGEEEEEFDWAMLYRSLKGRRGGGAAGPFLEEVSLRHYLSATAKMWASTHDATLAGNMAAVVDALYECQRATGSGYLSAFPAVFFDEFEALAYIMQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVITKYSIERHWTSLNEETGGMNDVLYQLYTITKDQKHLVLAHLFDKPCFLGLLAVQADSLSNFHANTHIPVVVGGQMRYEVTGDPLYKVKLTTVLNQEIATFFFDSVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKVSRHLSRWTKEVAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIVQFIPSTFNWRTAGLTVTQELKPLSSSDQYLQVSLSVSAKTNGQYATLNVRIPTYLNGAKATLNGKDLELASPDDRPEYNSIQAVLFGPFLLAGLTTGDWDAKTGGATATASDWITPIPPESNSQLLTLVQESDGKAFVLSAMNGSLRMQERPKDSGGSDEAVHATFRLIPHQGSVSGAGAATNATYSAMMLEPFDMPGMVVTDKLSVSADKSSGALLDVVPGLDGSPGSVSLELRAMPGCFLVAGGEEVQVGCGGGGGGGVVFRRAATFVRAEPMRRYHPMSFSARGVRRNFLLEPLFTLRDEFYTVYFNLGA >Dexi4A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:4A:20798305:20803391:-1 gene:Dexi4A01G0017040 transcript:Dexi4A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDDSDAGGASPSPSASGSPSPAARSPSGSPSRPAALMDVDEDEDGDPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVKFEVGTRAAATSTYASTDFLLGLAGNPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERQVSIKAVPMSLVLEGGKGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGAAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLIVGEQKVNVESKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKIDHIYTGPQDSSLVDAMKNCDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVKVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVAISKAPAGSWVLIEGVDASIMKTATICPVNIDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVNLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSVEVDKNLLNAIKDSIVQGFQWGAREGPLCDEPIRNVKFKILHANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSISKFFDEAMMHELAQQAADLHIQM >Dexi9B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:9B:2299619:2305138:1 gene:Dexi9B01G0003980 transcript:Dexi9B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRAIEQRLQPAPPRTRSPPVNDVAPARRERSVRTTHSRTALTCGCRQVGDAQSPRFAEARSRRWRPQLGRYSLGFPVNP >Dexi9B01G0027080.1:cds pep primary_assembly:Fonio_CM05836:9B:29550067:29552093:1 gene:Dexi9B01G0027080 transcript:Dexi9B01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRRSKPAPAGLTATKSPASPPIVIEIPSSPDPSVGIGCKGSSNSMSKARKRPVPPPPFDLDDEIEMWTPGQKRRLDEDCQILSEDPLSATTEVTPSPATAANDEISVVAERGKVACRDYPHPRSDCAKNPFGSTPHERHCDKCFCYVCDVAAPCVSWKGHCHASDKDKIWKSMRLMMKRATQVKPS >Dexi9A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:9A:7663078:7667848:-1 gene:Dexi9A01G0012100 transcript:Dexi9A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGETSVENIQRGSTLPNGDIYVGNFAGLVPHGFGKYTVGKITPVPLREVRSNDFGPRARIRMYFPCEGSQYTPPHCSVNFFWKDYCPMVFRITLKGGRKVRFVVMGNMFCTELRIHRQREYIAIEKLVAYLLNCFLPQPDSDLVQYEEKSSWKGFLLVAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGTGRFRVQLGVNMPARALKVREDMNTELENPDSIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNSYSKRFVKFLESVFPELY >Dexi7B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:7B:20466641:20471458:1 gene:Dexi7B01G0014130 transcript:Dexi7B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGGGGAGDGTTPRSAAAGQAMVELQANASAAAGGAMVVGLSPLSETLWRDSKALPPGAGPAALIGDVSARLTWKDLCVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIIEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFASAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLIASYSRSQYYYAARERVNDISRIKGTVLDSGGSQASFLMQAFILTKRSFINMSRDFGYYWLRLLIYVLVTVCIGTIYLDVGTKYTSILARASCSAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISATPFLILICFLSGTICYFMVRLHPGFIHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPFWRYPMQYISFHYWALQGQCQNDMKGLVFDNQYPDQPKIPGDFILKYIFEINVDRSKWIDLSVIFSMIFIYRILFFLMIKINEDVLPWIRGHVARKRMQNKVPSSTFGKTPSLRGYVVDPELGSSEG >Dexi1A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:1A:6972021:6972690:1 gene:Dexi1A01G0008810 transcript:Dexi1A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFPRLTVAGSVFLTANSFMAMYRSKGDMGAISFVAFSYVDLASLFVCLRMYEETPPESPRREYLKMTVWLLTTMLTAMFSYKVAALMPLHVAVLVWAMAAATGHAGYSVLFGLRGQTSSAPSGTQLV >Dexi3B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:3B:14266406:14266768:1 gene:Dexi3B01G0019240 transcript:Dexi3B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGADAPARRRASPSRAAASPRAPFGHLSRASSCFREPWQWQWSWWPSWSSSGHRTSASWRSSISMAATGAKHISDLSWKGRPS >Dexi2B01G0031930.1:cds pep primary_assembly:Fonio_CM05836:2B:39916600:39917707:1 gene:Dexi2B01G0031930 transcript:Dexi2B01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIINWMQNRLHGKQDKRRSEAGVVNKSARDVPVQESCRHEHAREDKNPNADWPQGLLSIGTLGDEPPPPAADGGPRSSQAAADVPDFTIEEVKKLQDALNKLLRRAKSKSSSSRGSGATDDDRASSQLPLDRFLNCPSSLEVDRRISLRHAAGDGGENGEFSPDTQIILSKARDLLVNSNGAAIKQKSFKFLLKKMFACRGGFAPAPSLKDPVESRMEKLFRTMLQKKMSARPSNANAASSRKYYLEDKPSGRMMIRDRRHDEEDDDKGSDSFKWDKTDTDCKN >Dexi4B01G0021700.1:cds pep primary_assembly:Fonio_CM05836:4B:23620603:23622624:-1 gene:Dexi4B01G0021700 transcript:Dexi4B01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLTALISLLPPCLLALLLLRLATVLDSDPDAALPRVKAAAPFPLRFRHDGAFKILQVADMHFGNGAATSCRDVAPDGGGARCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSATDAAESLLRAISPAIEYKVPWAAVLGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRATSVELQVLLITIDNQITSS >Dexi5B01G0030730.1:cds pep primary_assembly:Fonio_CM05836:5B:31617499:31618773:-1 gene:Dexi5B01G0030730 transcript:Dexi5B01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKRISEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGITQLSYYRGESRFSDHRPVCGTFVVEVDVLNKKTKRRSSNADMRIGAEELLPMGKGKGTA >Dexi3B01G0034830.1:cds pep primary_assembly:Fonio_CM05836:3B:37516454:37517533:-1 gene:Dexi3B01G0034830 transcript:Dexi3B01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSGGTGDEFGRAVARAAVAQALEAAGFDCAHRSAVDAVVDVLLRYITHLGRSAAFHANLAGRALANELDIIQALEEVGADTDGFAGASATGHCLVGSGVVRDLMAFVDKDEVPFVRPLPRFPVPRVQPQPSVSFAVAGKETGMRHVPEWLPVFPDPHTYVRTEAWVEPPPTKDRVDKVEQVRQRRKAEKSLLSLQQRLAQAGAEGFHPAAALAQDSAEKGKEIQAAGTKRNPFLEPALPPGEKDVSEVDAPPEKKKLSVLEAFAPAIQATAVREIDTETGLDQNQRSIVPKERAPVHLKIGFGRKPVAPVLNSGALDPRDDPSFLKEEAKDDKKRRAGMILRASMENPHELPQI >Dexi4B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:4B:14618904:14619232:-1 gene:Dexi4B01G0014100 transcript:Dexi4B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVNDKSFIVRAAAARCLKAFANIGGPGLGMAEFDTSMSYCVKGLEDSVSSVRDSFAEALGAILALSVNPDAQV >Dexi5A01G0029720.1:cds pep primary_assembly:Fonio_CM05836:5A:32788076:32791742:1 gene:Dexi5A01G0029720 transcript:Dexi5A01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGDHARSKEAAGMMALHEALRNVCLTSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFIKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDATIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPTPPFPLTKQPAPPARPPPQLFNWPGHQPPPPHQLSPATGASPLFPPGPAAAFHPSAGRPMPPFPGGKDEGAYMFHLPPAHHHGAKPPHMDEHHQPAMGPGGGEAAHDGDIRWPNGVSFFTALTGRADDAKLLFGGADDEKSAAAPGAAQTGGHGGAENVEEYLSLESHSNKARRVESAAAQSTKFKRSFTLPARMSSSGTTTSPSVSGSTAPAPPQQQQGMEYRGHHEGGGGGVYSDLMETFLE >Dexi5B01G0023540.1:cds pep primary_assembly:Fonio_CM05836:5B:25685095:25686189:-1 gene:Dexi5B01G0023540 transcript:Dexi5B01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEAPLITEAVAEEGRGPRGSASSGGAGSGVRGSRQYHRRADALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFEKAMRSRD >Dexi7B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:7B:18771412:18772667:-1 gene:Dexi7B01G0011770 transcript:Dexi7B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSFSTNHALNLLLPSFQPFSMESLNKAMAQAKRPRSLQDVLREQVAKIRGQGSGGNGGNKNRYGGRGGGSDGPEDESFKDSLYEMIQILIATIAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMLNWREFSESITKKDGAQEDYGSSVSSDSTWWQQPQQLARRLVELCRGYLHPHAQEA >Dexi3B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:3B:5150518:5150856:1 gene:Dexi3B01G0007260 transcript:Dexi3B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPFALAFAAALVFAVAMPAAATQAPAPAPTSDGTSIDQGIAYLLMIVALVLTYLIHPLDASSPYKLF >Dexi9A01G0044430.1:cds pep primary_assembly:Fonio_CM05836:9A:48064030:48068108:-1 gene:Dexi9A01G0044430 transcript:Dexi9A01G0044430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKPSSAAVIALAAVLLVAAQYASLAVAAPRVIIVGAGMSGISAGKRLWESGVRDLLILEATERVGGRMHKHNFGGLNVEIGANWVEGIGGDKVNPIWPMVNATLKLRNFYSDFDSVVANVYKEDGGLYDEDYVQERMDRAYEVQELGASLTKLMHPSGRDDISLLAMQRLFNHQPNGPATPVDMVLDYFIYDYEFGEPPRVTSLQNTVPTPTNADFGEDANFVADQRGFESIIHYVGASYLSTDGNDQISDTRVLLNKVVRNITYDDQGVVVSTEDGSSYGADFVIVSTSLGVLQSDLIHFTPQLPFWKTVAIYRFDMAAYTKIFLKFPRRFWPVGEGKQFFVYASRRRGYYGMWQSFEREYPGANVLLVTVTDDESRRIEQQPDDVTKAEAVAVLRKMFPDADVPDATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSELYNGYVHGAYLAGIDSADILINRIFKNEEYKVRGKYDDPAADTKNVGQVAEAK >Dexi5B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:5B:9485209:9486493:1 gene:Dexi5B01G0013380 transcript:Dexi5B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYQTLGLRRDATKAEVKAAFRRSALRDHPDRHASSTDTAAAADAARRFRQASDAYHVLSDDRRRAEYDLRLRGSTSSYARTSSGWTSSSSASYGYGYGYGHGGGSWRRTPPGAGAGASVGSIDWEFVLKAVARRGFLINLGFART >Dexi2B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:2B:1978527:1982643:1 gene:Dexi2B01G0002360 transcript:Dexi2B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLRRRLLLVGVMLLAAAAAVAQAQSRSDMAGLYSLRASLGLRARDWPLKSDPCTSWVGVACRGGRVVGVTVAGLRRTRLGALSPRLALDGLRNLTALERFNASGFVLPGAVPAWFGAALPPSLAVLDLTSAEVNGTLPADLGASGNLTEVRLAGNSISGSVPASLLVAGGGPRVLDLSRNNFTGGLPFPNGSVVVSGTGAGANASSLFNISGNSLYGLAGDAIAALGRRFQVVDVSSNYLDGALNGSSDGTVLATMNCFYGVPGQRSRSDCEEFYKKQGVGLVDGPAPSPLPSPQPSPETKKKNGISKNVLIGVLVAAGTLMVVFMAVLLLCLVKRRSRGRSVGRGIEPNEEGSGTRSVRRRDSTVNPVASSPSAVSPPRANGEPNKDASAIAGELSYEDLVHATGGFADDKFIRHGHSGDIYHGVLENGSHVVVKKVGSKGVNKHAAELDFYTRYSHDRIVPLLGYLSKDDEEFLAYKYMPKGDLTNALHKKSVDTPDSLPSLDWITRLKIATGVAEAMCFLHDECSPPLVHRSLDKHASGPPASCSYDVLCFGKVLLELVTGNFGISGSNDGGASEEWLSSTLSRINGGDKASIADIIDPLLVVDEDHQEEVWAVAIIAKTCLSTKPSRRPSARYVLRALENPLRVVRRQGSSRSNSARLRSSSSRSSWQSVFQGNNGHHLDRRHSMRSHGSGGGEASFSFSFKRAAMATPEVATEPAAAVVEEEDAVVV >Dexi2A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:2A:25749802:25750341:1 gene:Dexi2A01G0015130 transcript:Dexi2A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTASSQAATTRYSMVVLLPDADDGLWSLEDRVASNPGFLQEHLPVTRVRVREFMVPRFKVSFTSCGMREALQSIGIETMFSPRRAELPDILDEEDAGGEPLFVGDVLHKAVMEVNEQGTEAAAATAILLMGSCGDPPDRQRRGVGFVADHPFAFFLVEEVSGAILFAGHVLDPTQSE >Dexi6A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:6A:4675442:4680326:-1 gene:Dexi6A01G0005150 transcript:Dexi6A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSMLIGPLVSMVKDKVSSYLLDEYKVMEGMEEQRKILERKLPAILDIIEDAEEKATHRAGVRAWLKALKEVSYEANGVFDEFKYEALVREAKKKGHRLHHNMLGMDVVSLLPAHTPAIVFRHRMGKKLQKIVHDIDVLVGEMNAFGFSHKQQAPPLKSSRQTDPVMIESEKDIVKRSRKNDEEKTVKILLDHANNDDLLVLPIVGLGGLGKTTFVQLVYSNPKIEKHFQFRKWHCVSEDFDVGNIARSISNGTDKDIEKDYEIDVENLIQLWMANDYLPLEEDVSLETTGRRTFEELAWRSFFEDAKQTKLEDKNLTHFSSIKTWQMDALERLSIGDCNALQSLDSLGHLPSLTSLDIQRCKRLTSVPGAIGEWSAEAGQAAALADVGGD >DexiUA01G0018780.1:cds pep primary_assembly:Fonio_CM05836:UA:39511518:39512613:1 gene:DexiUA01G0018780 transcript:DexiUA01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFTIDSGDEPVHVTGDDVTFGGSKVVVPRGWAGLGTEQTGMGRRRRSFPKPPLSELLRAAAPSAWVLDLHTGLDEVPWTSKPWLRRTASPGSALRRSVQDPSEKESRPPAAPSRAGIRVRRVRGVCEEGEQARRSFPSPLLPEVHWWLCEDEDEEGGEEKFCNEEGLGWQQSVCNWRSERRMWWGPLRLGI >Dexi9A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:9A:16277557:16278827:-1 gene:Dexi9A01G0021380 transcript:Dexi9A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVCSYSLRLATRAPRSLSSSSSTEHVGSPAIPGADHHTASFPLSSMRAASACSPRSAAARAAAMSSVAVTRTRSRSTSMDTWASSPSATSHGLSTTASSPATASEHPVTTSSYKHHTFISREAPLLVGVELADVHRGSSAVRFDADDAGHGVPGPAVVEHPGGHAEALADVGAAARGEASTARLAEACPSGVMRASRTTRLASLEKATRLRRSVGPRLSMTKHTACFTRRSLLPRMLALRSIAVTRSSGARCVPATTPGATACTSTAKLPMDASLATAGRSQCVLRATTGWSGAST >Dexi2A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:2A:11239734:11254190:-1 gene:Dexi2A01G0010090 transcript:Dexi2A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAPPPRRRRPAPAAGAGSVGSSVRVLLALAALYAALSVLAYRAIHMLHVTPLGADAPPGEFSEGRVLGHLHRLAVDIPGRQEGSPGLEAAAQYIKGELEGLAARAGPEYRIEVQETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDSPSLLVNGHFDSPFGSPGAADCGSCVASMLELSRLIIDSGWVPPRPVIFLFNGAEELFLLDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTLENLLPGSIQARGENLFNLVKAFTNSMLLKENDMSNSKDGIEDLRAVFFDYLTWFMVFYSRDVSLILHSLPIAIFFLAPLFLKFPNITFMSWSVTLLDLIRGKLLKSTLKNVTPCIWCYPCNIYPCWGCSIKIVIHKECNELVCSPLFGILYVCPCFTGWSVTAKDYMGISLVITWAIGANTLLGCFWVVFCNNYDVPFIVQSFVLQVYTLVGLSGGFLTFFISMSMLLGRLFSSIIKRQWSQQSPKSLAAYVVPMIPCLLYCLYYGGFLIQFLIEKTGMMGSLPKPYGYFVADIIVGAAVGLVVGWCFGPLVPVASRWLSKTSILQGLLQITVVALAIASQLFPYSTGAPKRVILQHTYVTGSLFLESQNKMMYANDIVDSNYGFSVLDANSVEFLLNNAPEAAKWLKDNSEFSFDEKHHSDRSFWVASYPVSFLFSGSLKFPAQTDEIRKHYHNFPQLLVQKTSRNNGQRRVHLNLSLGSLSEIWTAVLNVTGPLSDWSFADNILPAPETISGGPPSYVCRLSGKSDMDWSFWLEANSSESLRIDVAVLDHYLVDSTKELKRLFPSWADVIAFTSYFSTYYL >Dexi2A01G0022680.1:cds pep primary_assembly:Fonio_CM05836:2A:34494981:34497171:-1 gene:Dexi2A01G0022680 transcript:Dexi2A01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRLNPNPASDGQGASNLARGILYRTTSPPPSATLRLPLPRFPRASPPPSPVRNAKLPSGEMAPKRGGKAPVPAKKKTVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Dexi4A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:4A:4173397:4175509:-1 gene:Dexi4A01G0005820 transcript:Dexi4A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEAMNGLFVDGLYLRLRSRVHGTYLHAERDGVGLSLLPRGAVGYPAEAAVWRVHRFLRDGVNYVLIHGAAYGRYLALSDEPATPGCVGMRAVQRGFDDPVLDAVMWKPAAVPDAPAGHVLMRHLLNGTLRANGRFRVWNNGVSIDMYFGNRSTMRQWIVEVVPPRPQGYVPVLPAPSETPRRHTFLFWRPRAPGVAPRRIIRYLLPEQPLNFGPGYKVPSFAFYSPSVYNLRTQMGIRVHEGEIGVTIMCVQAGLYGRLTPLITDLPHTDEPLHIVVYIAGTPGENFAVFLVVCAALVICLSLFQQ >Dexi1B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:1B:24500690:24503686:1 gene:Dexi1B01G0018220 transcript:Dexi1B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSCCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASVARSLLRECECRNHRPAGGGENTLLRAGYGGWVLYTAAAAGDVAFVRELLERDPLLVFGEGEYGVTDMFYAAARGGSADVLRLLLDHAMSPRCSTNCRDGEGGSGRGSVFRLEMMSRAVHAAARGGSVEMLRELLEEGRSSVSVYLDVRGSTVLHAAAGRGQLQVVQYLLASFNIINSTDNHGNTALHVAAYRGHQPVVEALVAASPSALSAVNNAGDTFLHSAVAGFRTPGFQRLDRQLELMRYLIRERTADIQKIINSRNDAGLTALHLAVLGCAHPDLVELLMTTPSIDLNAEDANGMTALALLKQQLRSATSDRLIKQIVSAGGVLNSSILRTRSAIASQIKMQGGIASSPGTTFKVSDAEIFLFSGIGAAESQRPSSCSSNGKDDTAHADANGAENHGSSEKRLSSATRAKDRLKMMLRWPRHKEKMPKTPKKSAESSPLESIKRLSEHGVETPAPLRQKFTKTTALNGKRTLAVKSSTPSSSATKKKLNTKLIHGIMEAMPQLAPSSATRSRSPSDTLPRSSMSSTPLPLAKLKDICLDDEITVVTPQVVRLRDMVLDSDDDPSCSNSSSVDDGCGVTEEGTARKHGCGNGRLINICFGAQGLTVEDTVSGQPTSKMFKQQCLRVS >Dexi3A01G0022000.1:cds pep primary_assembly:Fonio_CM05836:3A:17570386:17571546:1 gene:Dexi3A01G0022000 transcript:Dexi3A01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALRRAPWAAACGLLASRSSWRVHPPQGHRAEFSAPLSFLYGPQPGAAADAHLLRVINYEISCAQLDCKKRDWAKELGERFPFEIQDKEGTNRITLTRRDQKERIEVEVFLPSPADSVEQNGEQKDRVEDDNRQGHASNAAANQYYIPLVVKIHKGPASWLEISCRSYPDELAIESLAFGPREESVDSSDIEAKISNLPEEFEQAFHSYLKSRAISSDVTNFLHAYMINKECHEYLAWLRKLKGLIKR >Dexi5A01G0028700.1:cds pep primary_assembly:Fonio_CM05836:5A:31895305:31908870:-1 gene:Dexi5A01G0028700 transcript:Dexi5A01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSRDGSDTLHRAEIATSRYGATVVRAPLSTHALHLRPNCRGGGAARAHRRPAMAITAATCSHHRHRSSPPCIRMERERRWGDEPTRGAARPARSRGERGREEDDDHEIEHKSTKSPTKSPQIHEISNQINHKSEREEEEDDDELSWPLLATATDKDKAAGRAVAGPSREGEDGEQRRRRREREGQRERERRGWERARSREGGPPEEGASPPPQLRPGAALRRRQQAAADGRSCDFRQLLEHQDLMAGAVIIDDSAASSTGSGNEDHGVSCDSLSGRRSYGQVDNGVPSTSPPFWDIDDDDDDPGMMLRCGAGEIVLESVTIVQYILVYPQGCDVSNHLSLFLCVADHDKFLPGWGHFAQFTIAVGNLDPKKVKYSDTLHRFWKKEHDWGWKKFMELSKIHDGFLVDDVLEIIAQVQVIRRKYIRLIDDDMKWPSFRAFWSSMDPTTKHRMSREKSEIILKTAVKHFFLEKEVTSTLVMDALYTGLKALEGHSNGKKGTVTSMNLEELPAPMVHLDMDMFVLAGDFIALVKRAASESFGIDVAYKEAVALKRQEELIREEELLENEKGKRGSAIEKDKRAKKKQAKQKKNSRKVKDKEREEKSNSNFLEKGRDGSTTYEWEHEGLLHAKLENSGDCASDVSDNIDGSTETCEKETVDIESLVTSVSATKEIEGRELLKAHLEKKEKKAAAEAATGSSSASSSDSLEKTTQVRKGPEKSSVTINDGNVNAPPPKSLPVATNGDNNGAVPTTATSTINTKSVPIVTPTPDPILCEEHVSSSSQQIDTLVPSRSPLVDKAISTPPESPLPLIDTVGKATPAPPKSLLPQVNTDDKATTPATTETSASQLDKVAKAISAAPKKEWPSTK >Dexi3B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:3B:15250681:15251642:1 gene:Dexi3B01G0020250 transcript:Dexi3B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVIDVVCIHRSTKNCTGLFNRFYSTYGHVAKLAEEIKKGAASVEGVEVKIWQVPETLPEEVLGKMGAPPKPDAPIITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTALTAVTQLSHHGMVFVPVGYTFGAKMFDMESVHGGSPYGAGTFAGDGSRWPTELELEHAFHQGKYFAGIAKKLKGGSA >Dexi3B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:3B:13173547:13174857:-1 gene:Dexi3B01G0017820 transcript:Dexi3B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAATQRRRRRRPRKFLYKPKPPPEPHPFLLHLKSLPSPIAAAAALLSAPRHLQDHPFAACVLYRVARARLFPLLLPLLAALRSLRVPLQPTAFAALIDRLGAAARPNAAALVFRAVPAFCSHSNTTFHAILHSFVCNGRVDEARDMLPEAPKLGVRTNAVSYNIILKGVCHRDRFAGARVVLEEMLARGVRPTVVTFNTLVSSACREGELAAAERLVDEMERRGVPPNAVTYALLMQALCNEGRYDDAKKLMFDMEYRDCQPEAANYGVLMNACALHGDADGVRGLISEMRKRKLKPDDASYNVLIRCLCDAGRVEAAHRALVEMQLKDGTAPSAATYRTLLDGCCEARDFDLGLRVFNAMLASGHFPLARTFRQLVRGLGEDDKGEEACFVLEQMAQRGIRLDAEGWQSVAACVCSSSASEMMLIDDLVSSS >DexiUA01G0021550.1:cds pep primary_assembly:Fonio_CM05836:UA:44751726:44751974:1 gene:DexiUA01G0021550 transcript:DexiUA01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLWSCVADAEVCRLIEPATVSDAMWEVSASCPVRREKVHDGPLPPRLRSAARLVQPTPAKARERRRERGNEMMRERRKRH >Dexi6A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:6A:20107728:20110929:-1 gene:Dexi6A01G0013070 transcript:Dexi6A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRDKKRNQKRVLARRNAAPRSGEGKDLLPLEDGQGKRNRKQLQQPEEPDNTATVVYIGHIPHGFYEDQMHAFFKQFGDIKRLRISRNRKTGKSKHYGFIEFESPLVAKIVADEINNYLLFEHTLRITLVPPEKVHPKLWKGVRRGFIPIDRVAIERKRHNKEKTTEEHKKMVEGIIQRDEKRRKRIKAAGIDYECPALIGSVQPSAKRIKFDEEQ >Dexi9A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:9A:5176372:5176815:1 gene:Dexi9A01G0008740 transcript:Dexi9A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTESLRGRGIKRNQASATPGSDILRRKKEMLEVNSSGKLSAAGAGKAKRMKVVKRLLPQDFIDYMMETPSPILDEVPEDELAKRPKFFRDAYARCKVRNDKIKAYYDALIDQYKQLGYAEDESEVTDDEEMEEK >Dexi2B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:2B:9590795:9591343:1 gene:Dexi2B01G0008890 transcript:Dexi2B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSWSKRWIRPEVWPLFAATGVAVGICGMQLIRNITGNPEVRVLKEKRAAGVLENHDEGKRYSQHFFRRFIDGRKPEIMEKLNSWMADPPKE >Dexi2B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:2B:28199087:28199428:1 gene:Dexi2B01G0017830 transcript:Dexi2B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGETSAAGGEDRISALPDDVLHLVLSCLPSDDAVRTSVLARRWRHQWKSAPAIRVAFRRRCGRHTGSSIWTPRTLTMFVNHLLLLRGYSPVDECDIRCGDLQRRGRLLLG >Dexi1B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:1B:9780009:9784368:-1 gene:Dexi1B01G0010400 transcript:Dexi1B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQRKALTETWPELHKAIEAVLKSLSPGSSMVAADLGCSSGPNTLLVVSEVMNTIGAYARERADDSISAMEVQFFLNDLPGNDFNLVFRSLEPQLRSLVPCYVAGLPGSIYTRIFPCQSVHLFHSSQCLMWRSKGRIEKKKLSSFNMPYYAPSVDEVTQLIKQSNIFEIEDIRLFETNFDAHDDSDGDVVLDCSSSAENIAKIIRAGIEPLIINHFGEDILDELFRVYCSILAKNLEKGKAMCPVIVVMLKSKH >Dexi4A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:4A:1766798:1768285:-1 gene:Dexi4A01G0002570 transcript:Dexi4A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNVASSRRGGRRGLACLCSPALLNLLVLLSLFSTNLLALLAFLSPRTRTPIVPTSPTSSSSAAAISAQVAAIAREIDATRLVAAIAREIDATRLVPHRADLPPELLLFLSPHALPLGRDARTGLTHMPASVAHACFRSPSTLALLSSFASYAPHAVCPRNDTLPHRLISKGCEPLPRRRCLSRGPRTPLPSSNMGADSRRWVKPRHDHEFLIDDVLRLAASATKIRIGLDVAGGAANFAARMRERGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTTAMDEGGASALGQAGTEEALEFFMFDVDRVLRAGGLLWIDSYMCRSEERRQVVVKLIGRFGYKKLKWVTAEKAGAGSAKTAIYLSAVLQKPARI >Dexi4B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:4B:11201595:11206198:1 gene:Dexi4B01G0013040 transcript:Dexi4B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPHQRARKETHADKAIGMEMEGRSAKEAAEELEPGGGCWAEGAATAGEQGNVKPMIEEAVESLLDGATADLVADAFRIADLGCSSGPNALVLVSTAVDAVRRRCLQLQQPPPELCLHLNDLPGNDFNSVIKSLATYREAQEVISLVITSVFLAHFTGGSSVNGLIDKEKLDSFYIPSFGPSEKELREIIEAEASFAIVKMVVHEPTICVGKDATTPYTRARGFRAVMEPMILQHFGSSAAEVMDEFVTIAERLVKMSALDEYPNKPRAFVAASLVRRT >Dexi2A01G0025650.1:cds pep primary_assembly:Fonio_CM05836:2A:37233018:37235599:1 gene:Dexi2A01G0025650 transcript:Dexi2A01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKRASGGGGGKSGEHGGKPASLERSSSKVLDGDETIFMEMAQEHKEEGNKLFQRRDYDRALLNYDKAIKLLPRAHPDIAYLHSNIAACYMQMSPPDYYRAINECNVALEASPKYTKALLKRARCFEALDRLDLACRDVNKVLALEPNNLTALDVADRVKKAMEEKGMVLDDKDVMPTPEEVVVAAPKQKPRKKRGGRKFAAKAAAAAVEEVEEQKMTEAVKEDGEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDREGDLVTITNQDELKWAQELAEPGSSLRLYVTEANPEHEPYADDSNNGPLERNVNSASDNGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHELSMKLYTEAIEDTITTEEAQEVFQLAERNFQEMAALAFFHWGNVHMSRARKRLLLSGDSPRELVLEQVKEAYEWAREEYNKAGMTYEEAVKAKPDFFEGFLALAHQQFEQAKLSWYYAVGSNVDLEICSTEILELLNKAEDNIEKGIEMWEEMEEQRLRNRSKPTQENVVLEKMGLEEYIKDVSTDEAAEHASNLRSQINILWGMLLYERSVMEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALENI >Dexi4A01G0023710.1:cds pep primary_assembly:Fonio_CM05836:4A:26737064:26739099:1 gene:Dexi4A01G0023710 transcript:Dexi4A01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWASAVDRAAGMADSAKRFFLSLRCPPQQQQQQPPPPPPRQHPGPNPVDILKRLQRQAFYDIMQLRERQEKVERVLSLFKASKVGPFAEESTQVKGVISVAGLLSRDSSETESGITSRFVFHTTVRKRDSLFTELVTDNRYVSQENDHIGTPLVLSKVMYLSNINESLSVAAIPVGAKCDDFSADPNLREEHWLASLRSSLRPPLLIKSHKYAGGLLLRSKNFAVSLAELISVAGQPLNAGEANRVFTEFGQFSYQMSDDIKLTLSAAWHGPSVIPRKRKPTAGGCIDFELKIDEDSRIGAWVEINRKSNPRLLRWALALSDTPDDDLGWGLSLRRGTEANPQRFQVEGFLNLHLGKKAAVQPGIVFNMDGRRCTPALVFHSSWSL >Dexi3A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:3A:4106147:4109737:1 gene:Dexi3A01G0006270 transcript:Dexi3A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPGSSAPAPERRLAVLLSHLRPCHEPPRAAASAERHGTAAEAEAGLSASPCDGGGESSSGAGRCVFCNIVAGTAQAFKLYEDDVCLCILDARPLTAGHSLIIPKGHYPSLQTTPPTVLAAICSKLPLLGTAIMKATQCDAFNVLINNGEKAGQVVFHTHVHIIPRSKDDNLWSSETYPRNPISHGQETKDLVSSIKEVLSSSPEDHSTVTPSTPKGF >Dexi9B01G0039810.1:cds pep primary_assembly:Fonio_CM05836:9B:40500316:40500633:1 gene:Dexi9B01G0039810 transcript:Dexi9B01G0039810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELPLPLLALLFLVILPPLCSSWRPADDDDASVSRSVFPMDGDVAWVVQVSDLHISAYSPERSADLELLGTALRAIRPHLLLVTGDITGETSALIFLDLKFAA >Dexi8B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:8B:943306:943843:-1 gene:Dexi8B01G0001420 transcript:Dexi8B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQEPMEASKVPLLEPRADGGGSISKVEEEAWSSLPLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILEHRTKELHKSKTYSSHT >Dexi9B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:9B:171418:172543:-1 gene:Dexi9B01G0000210 transcript:Dexi9B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSSSVLAPANYTLFLETPALAPEVEIPLVGHRARLLGLLSEARGLHAVYLRDEDPVPSLTPPGTQLPDGDVAGRGEAALLTGVLIDDARWVDVPPVTGALAVNVGDLLELVSNDRFKSAEHRVVVVACHPPGSPVGARPPRLH >Dexi5B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:5B:9417480:9418334:1 gene:Dexi5B01G0013260 transcript:Dexi5B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPIDVLGNAYDDNWTESITANAKHPMELFVQGSKEELPALSLKANGKRQFLVDFHARLSALQAFSVCISLLHCSEALIASTLEKGKHKLYSSSLKLLLEEDVRHLTEAVTAEEKKQQKKSKRRQKAPSTIVLDPPFSPIGRV >Dexi8B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:8B:4141401:4141889:-1 gene:Dexi8B01G0004510 transcript:Dexi8B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKLSPSGPWICRLAPKVVTMVEQDLSHSGSFLACFVEAIHYYSALFDSLDASCGARSPERHVLEQLLLSREIRNVLAVGCERRLTGDVKFESWREKLAQSGFRVASLAGSAAALASLLLGTFPSDGYTLVEENGALKLGWKDFCLLTASAWRPIQTPCR >Dexi5B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:5B:1468487:1473073:-1 gene:Dexi5B01G0002290 transcript:Dexi5B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPARRRRRQAGKAAKAMLWWKSGGLGEEGRSWGFIQRPGGGRGRGLGGRVPCVAAMRRAGGGCRVGIAGSAHDPAAPAAWTPRFARFGQVVADRGWLRAEPRSGIDGDHRRIGLRVTRPREGGRPGGLCCHATRYATPGDVMLAMDAPMLRARVPSFVSCLQLLELLSLKAPRIHADYCVRDPNLQLARLFFFSRSSPLLDCSPPVFPSSPQLHSGGPCAALSSPPRAPAQLQLESSWCGSTHDFSVLSLGFGLGTTGRVTGPSPATNIRQGYACLFLSLPILLHEIKHPTPPTSADLPQPASAVAAHLLPSSPAPASSSAGSCLLHRPLLPPPAASDWNGVVSAPYLVGAALERRDVDAYVFPSLGRLRLGDALNSIFLQQLLGVHVYTHVLILGPPLPRR >Dexi2B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:2B:2451854:2456233:1 gene:Dexi2B01G0002860 transcript:Dexi2B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHELDGSTFKECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFSSVDRNTWLQEMIVSMAVAGAIIGAAIGGWTTDRFGRRTSILVADFLFFAGAVVMASAMGPAQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTNAPGTWRWMLGVAALPALLQRIYTAEEVEREIEELKESVAAEARDRGSSETTTKASLAAALRTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSIVSIYFIDRTGRKKLLVISLVGVIFSLAVLTAVFHETTSHSPPVSAAATSHFDSSLTCPDYSLRSSSSSPATLPSGGSYWDCTRCLKPGRSTECGFCAAAGDKLLAGACLVSNTTVRDACHGEGRLWYTRGCPSRYGWLALVGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGTAATANWVSNLAVAQSFLSLTEAIGTSWTFLIFGGLSVVALAFVLVCVPETKGLPIEEVEKMLERRELRLRFWAAAPPRAAGDEDGKESGKSAGV >Dexi5A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:5A:144708:146180:-1 gene:Dexi5A01G0000180 transcript:Dexi5A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPRVSFRDGRLASRKAEEAAWRRHQAASWLQTMVGSFGLSPCPSEQEFVASLRNGIVLCRAINKVQPGAVPKVITNAPCDSQPLTAFQYFENIRNFLVAVQGLKLPTFEASDLDKSYYEWKQARGANGPINYMKSPLAVRSSQIQSDNVALGPSPSQKHLVLTQADADTLPFQNGDPKTKASWENNR >Dexi5B01G0021510.1:cds pep primary_assembly:Fonio_CM05836:5B:23831746:23833659:1 gene:Dexi5B01G0021510 transcript:Dexi5B01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPHLAVGAAAPSSAFHAGPARRRSRHRGCRAAALACLLVAAGVATALLTFSLPSSPSAATRTDATGKLAVADHPPPASHLSSASAPPPPPPPSPPAVRPRKREPSYWRMAPEEALRYAKKEIRDAEPVIDDPDDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVTDATKAHLFYLPYSSQQLRLSLYVPDSHNLRPLAAYLRNFVRGLAHKYPFWNRTRGADHFLVACHDWGPYTAAGHRELRKNAIKALCNADSSEGIFTPGKDVSLPETTIRTPRRPLRYVGGLPVSRRRILAFFAGNVHGCVRPELLKHWGDGQDDDMRVYALLPNRVSRRMNYIQHMKNSRFCLCPMGHEVNSPRIVEAFYYEYVPVIIADNFVLPLSEVLDWSAFSVVVAEKDIPDVKRILQGITLRRYVAMHDCVKRLQRHFLWHAKPVKYDLFHMILHSIWLSRVNQVELQG >Dexi3A01G0033110.1:cds pep primary_assembly:Fonio_CM05836:3A:37919777:37920744:-1 gene:Dexi3A01G0033110 transcript:Dexi3A01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPDDGSYGSSDFPILSVQVTKFSCGGLVFGLTCNHAIADGTGIAQFLAAVGELARGSPSPSVVPARLDEAVSNFPYPSTNPMRDAIVMYPDSKEMDLIVPLDVTIPSALINRIKAKSHGCQPCTTFEAVLAVLWRCRVRASMSNDTESLVYLTFTTNVRKRVGDWDGYYGNCVTNEVLASATSGVVAKASVVELVRMIKRGKDQLRDKDDKMGNGKGGGGDGEEEEVMVMLGLGRRYDMMHVVSWRNMGLEQVDFGSGAPARVMFHARRMPFLVPTCVMYPPCKGKDGVNLLTVAVEEEHADVFLGELAKLEDAMTL >Dexi9B01G0038410.1:cds pep primary_assembly:Fonio_CM05836:9B:39478024:39483883:-1 gene:Dexi9B01G0038410 transcript:Dexi9B01G0038410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIERGRSRRREGDGSSEWNAARSTVPSPDHQGAVSSRKQATYSGMLSDSVFAAVNRQSKSRRASVVPMRILIDEEFSDDVNARHISPGAVGRLMGLDSLPSSGTHDQHRYTQSHAPNTSPGCFHDRNGLHEGIPHRRSADGIIDVFEVMEGTRTKMHRSPRSRNGNKTSRSDKLDSADVDFIRQKFIDAKRLSTDESLHMSEEFNETLDALVSNKDVLLEFLQKFDPVVKRDLHTRGSPSSTANCITILKPARRNQVTGTESNFNEQKEVKHSLKKPYSNVSTQSLKEASGSLRQKLSRSSHQENTGKRGCPTRIVVLKPNLEKPHDIEGAFRLHHEIPHSDYRKHKEYQDVGRWSPYTEDYWCQVPLGDSETVGRRGKGSREMAREITKQMRDARGGSRKHVVNSETIPFASDERSPLLPSVTKLNTPESIHRSSEICDVWASSSFNSSPTYSTETSVSKEAKKHLSNRWKKTRQCQDQITDGDGFGTLGDMLALSDPDASKAATHKMACRKCPKGEVQTDRMQGPCIHPLGLSSNDGWRDTAASKLTRSKSLPSSFIRGVQKSNNRKRAGSVRYNELSMLKDVLKVGPHYSEYACRGKQRQSPGRDSTIHGEESDLMSPDNEERRVVERDIHVNYEEPVSSTSVPDTSEQSLHPVNPDCELDALGVLGISSAIPGTGSNGTHLSSAGQNQQMLKQKPTALDDCFVDPNLDDLVTKDERIEYHQADDYPVVYDPRIGSDSPVGIDHHQGGDNQTLCIPPNGSGSPTSSNKDEQQSPVSVLGSSMDAEDVYSGDFEKISADLQELRMQLRLLKRETTDIGDDTELFILSDDETARQPLPEMEEAHAFRDEEERDFSYVLDMLTGLGIHTANQDELLENCYLLEFPAGPDLYNELENKYSNLILWPPADRKLLFDITSAVLGDIITSLMQSCAKGLLRMCLHCWDHEEFAEIVWQRVVQLRQDMEFNQESLLLSVEWAGSEDGTYLVGSDIGSFLQEELLEEIIADFLGVVESTKICG >Dexi1A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:1A:1374242:1374970:-1 gene:Dexi1A01G0002130 transcript:Dexi1A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTISRASALPLFLALLCSAATLPPSANASRHFPRKEDAISSSASSAVVYVSKFSLPSVRLPWWKSGNSSHGSGSGYGIGWTISHNESVSNIGFGGGLGGGLGTTRGGGSSAGGGVGLGVDVNINNGGVDVGVGIGGAGAISTHNGSANVGVSGGEGIGIHFGPDGLSVTHGGGAIVVGNGSGGESVSGSGVGHAGNAVGSGQSSGNASGGTGSGGGSGTGAGQGGYAGGGGGGTGTGHP >Dexi1B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:1B:3968094:3970263:1 gene:Dexi1B01G0004880 transcript:Dexi1B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFFTFCKKRRTSRQPSSHHNEDAPGGPNIKRYTYKELARATENFSKSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGAREFLNELMSISDISHDNLVKLFGYCSEGDQRILVYNHLQNNSLAQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGILLLEIVSGRSNNDTRLAYEDQMLLEKFPEITNGVLLLQTWIYYEQGDLEKIIDSSLGDDLDVAQACRFLKIGLLCTQDVTRHRPDMSKVVAMLTGEADVESERISKPAIISDFMDLKVRSMRKPANEFATSSTFLSSLMSHSSPLLSNETTQASMTSTVAASMTSTVVSDRE >Dexi9A01G0034890.1:cds pep primary_assembly:Fonio_CM05836:9A:39582227:39583442:1 gene:Dexi9A01G0034890 transcript:Dexi9A01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGWELTDDLIADVLRRLPPRSLAVSRCVCRSWRGLVDARRLLRADLLPRSVGGIYSSEFFALPATGPSISGHLEFIPGFFEVLDHCNGLLLLTETSDDHAMSATLERGSGHGCQMEEADDQIECLVYDPTVSPHYKVFLIPSHPDDQPKSMLDPDMKRSDSEWPPSSYALQVFSSTTGCWEERSFVLEGGCSEEGGPITDIKVYWREALYVLCENGFILRMSMKNAKYRVLPMPSDVEYRNCLSLGKSEKGVYCAFEHECHGLRIFLLNESCGQVRWELKHLVDLKSFAQQ >Dexi2B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:2B:29747682:29748158:1 gene:Dexi2B01G0019590 transcript:Dexi2B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAVPEVEDPAAPALVGGAGGEAPLVSARKETPPPVDGVPAVEVEGNGAAEPPLEGPPTVITVEREEEVEEMNKAEAGSADRVPAVEEEGKGAAAPLEGAPALIAVEGEEEEAHKAEEKNEAVLAVEGEEETHKTEDKEVEAATVAEEGDESEK >Dexi1A01G0023190.1:cds pep primary_assembly:Fonio_CM05836:1A:29799352:29805251:1 gene:Dexi1A01G0023190 transcript:Dexi1A01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSTKRPATCLRCRIIYTRRSELASEREEGRKRTKSSSIFYLPHRIWPPRPSAAAAATRMGLEMEAMAASIGVSVPVLRFLLCFVATIPTGLVWRAVPGATGRHLYAGLTGAALSYLSFGATSNLLFVVPMTLGYLAMLLFRRHAGLITFLGAFGFLIACFEKQGALMVLTLKVISCAINYSDGILKEEGLRDAQKKYRLTKRPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWASPTPSPLLPTLRALVQAGICMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFTGWSESSPPKAKWDRAKNVDVLGVELAGSAVQLPLVWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYIIFFVQSALMINGSRVIYRWQQAASNSVLRSILAFLNFAYTLLVLNYSCIGFQVLSFKETLASYQSVYYVGTIVPIVCILLGNVIKPARKPKAKKAE >Dexi6B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:6B:2214175:2218462:-1 gene:Dexi6B01G0002540 transcript:Dexi6B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSNFQEMDGVLVLAMCFLVFLPGWACGLGSMSSIAVSYGEDGPVFCGLSSDGSHLVTCFGADASVLYGAPPNIPFLGLTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPMIEGAKYSELSAGDNHLCALRAAAADGIHGANDGAPLIDCWGYNMTATHVLAEAVTTISAGSVFNCGLFARNRTVFCWGDETVSGVIGLTPRDLQFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQATPSSAIGDGNVNIVPMDAMVSVVGGRFHACGIKSLDHQVACWGFTLHNSTSPPKGLKMYVLVAGDYFTCGVPAETSLMPSHGYYEYVNHGEVSSSKDNMRIQPDVENLKVRRAQEFSYAELEQATCGFSEDSQVGKGSFSCVFKGTLRDGSVVAVKRAIKASDMKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLHQHLHGNNPSLKRQLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVFLLEILSGRKAIDMQFDEGNIVEWAVPLIKAGDIFSILDPVLSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPLLMRFFFH >Dexi8B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:8B:21966530:21968282:1 gene:Dexi8B01G0012600 transcript:Dexi8B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKLGVASDEVKNTATERVPETTRRRLPPPKHGDKITVLSIDGGGIRGIIPSVVLTRLEELLQEVEDEWEQKQREKQQEEDARIGDNSGINHGGGIHRFIPSVVLLLIYVFGEQKGEKERRDWRQREKQKESEFVNKDARIADYFDVIAGTSTGGLIAAMLNVPKASKDKPPKFKAEEITQFYFKKGPEIFSPKKKSLWERVLLGWADVFLRRWVPPGSVRMLLEPKYDSKALHEAIREKLENLKLGETVTRIFVPAYDTKRQSIQFFSSCDRQGGTTSPTVSGELQIGPKSIPLVHICAGTTAAPTYFPAHSFKSNVYVDGKEEVIDYNLVDGGVTTNNPTLEAIWLIKQQMEKRGDHLNQDFHSNPNEPGSFDFKKCFVLSVGTGAATQQYERPRWGIIGWLRKDGHSPLLDIFSRASTGSVHWNTWFLFRLHEVDKTNYLRINPRV >Dexi2A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:2A:14151373:14152556:1 gene:Dexi2A01G0012160 transcript:Dexi2A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSAASFRPADPAARGALASPQQQQSLPRPNTAAQGRLTIPSAAAFRPADPTAQGAMSSKQQKMFCMREFDHYVVIDFEATCERDARIYPQEIIEFPAVLVDAATGALLSSFRTYVKPRHHPQLTAFCSELTGIQQQQVDGGVDLATALGMHDAWLASAGAAKNRLAVVTWGDWDCKTMLESECSFKCISKPRYFDQWVNLRIPFEAVFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRRGTSISITGSLPQPPPPLPAPEPEIQLQAQMAPVNHNISWCTGGDATTGGCCYCGVPIRGDMVLLR >Dexi7B01G0022280.1:cds pep primary_assembly:Fonio_CM05836:7B:27236548:27240603:1 gene:Dexi7B01G0022280 transcript:Dexi7B01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGEGALSGNSPIKPAHPCLFHSSPSSSTALGMSASASSTAGDEKQEAAPVTHCKGVNDLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGDELLFVSNKAIFKSPKAIRGGIPICFPQFSNFGNLEQHGFARNRIWAIDTDPPAFPVPTSNTAYVDLILKPTEEDLKIWPHSFEYRLRVALSPGGDLMLTSRIRNTNADGKAFSFTFAYHTYFKVSDIRYVASTLIMNFMGLHVFFVTVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSIVPEDSIS >Dexi3B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:3B:7030375:7034278:1 gene:Dexi3B01G0010160 transcript:Dexi3B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVRALRHQLPIQDRFVKVKLIKNCFSGAELPTWWMPSRTISSAAGIRFTTTLRCCSSHKQKPQHRRMSSEAHAHFGCTILSQLKFIDMSSSSAPGFNTCSISRSSRPRRRGAEWATGSAAGARGPVRLRRVLAGPPLDTLDRSSPGSRVPASLNSLRHRWGAKSAPSHRKIAGNPQVLLVIKLHEAGAHRRPLSAFQPARPISYSSRRSTCLLLLLLSDPVVGLGGGGAGWVPSSWR >Dexi8B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:8B:26740437:26740819:-1 gene:Dexi8B01G0015900 transcript:Dexi8B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVADAIGLISSMISIAEQLVRLAERAQRNREMCAQLKNHVQMISRLLTELRSQWMPDPVTYSMLKNLSDALNDGKALVASCQEKRTWSLVFKTQKKAKKIAAVDARISKILEPFHIANMILIH >Dexi8B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:8B:19636860:19637333:-1 gene:Dexi8B01G0011020 transcript:Dexi8B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDRSAMTTSLSPPWSLPSSLLKPPWPVLPPPVKFAGHATPPTDSLDARDHKLVSSIHDQVLGAAELHPDGNRGSCGLRSASHFSHHVHDIHGVLAFRMLVAVTGVRGAAAAVADVRPWVDRGGGATWSRTTVGATTAYGHLGRRWGVGATGWNRI >Dexi6A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:6A:11877665:11878405:-1 gene:Dexi6A01G0009860 transcript:Dexi6A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHAPGAVVDETCWSDLDYCKNTKNWYCYAKTVAEQAAWELAKQRQLDLVVVNPSLVLGPLLQPSVNASTWHILKYLDGSVQTYTDAVQAYVHVKDVADAHACIYEEPTLCGRYLCAGRTLHRGEVCRILAKLFPEYPVPTECKGGTGERKKGCRFSSHRLAELGVGFTPASLCLYDTVTSLQVKGLLPRRAAAVVEPSVIS >Dexi4B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:4B:6790487:6791773:1 gene:Dexi4B01G0009430 transcript:Dexi4B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRSTIGRADREPIWSRRWDIEIGLVDRQGQPDKLSGDGRRASRSATDLESAEAKGASRLPGLFDGAPRDDVPLRTSDAWRRRRRRGEVKLYGAWGSAHAAMARNALALKGVAYEHVEEDLDSKSDTLLRLNPVHGGKVPVLLVDGQPLAESLVIIEYKHGYPPEPRARAAARFWARFFHDEVSPPSRAVVLADAEAERAELAREVKARMAVMEAGIAEDFFAGDGEGPFVCTGGAPGCLTLYLARAPWGPGVEIVEPGVMPRVHAAVAAFDEMAAGFGTTVPDELLLAKLLERKARSRAAAA >Dexi7A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:7A:24216063:24217294:1 gene:Dexi7A01G0014220 transcript:Dexi7A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEESTRLARDPTAIAAAAQRRRRKGTHHREIVPPPTPRPAVGAAAAAAAHRRPPASACAHRHLLPPKENALPSTLAVARAYTARRLAVGREHLTCADWAAVAAAAPSKTARQCRHKVEKLRRRLRSSRRRPCPLLDAIDLLDGPSAAPFFSKSQSRSSSLSPSPPAAVSPPSPPSPTSSPPRKRRREDAGDEDGLGDVVGALRAIGEGFLRAEERRMEAARETQRMRMEMALRKLDAQRRLMDAFVGSIVDALE >Dexi9B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:9B:10566759:10568179:-1 gene:Dexi9B01G0015480 transcript:Dexi9B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSRSSALPSHHPRHSPAPDPLASVWIRRLHLTPNPPPPPRPPPPPLPRRATPPSHQDAVSTDESRTPPPPPPPPPRRAGFGPFRWSPRPLRGAPVGAWDAAAAAVGVSVGGSGVGGGPPMLSPFFRLPAPPPVAGFGEVAPARPLIGLGSHSGSSGFPGLSPPMVGGGDPGATWLLGRAAGVLLILAMLWTWFP >Dexi6A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:6A:10687637:10688714:-1 gene:Dexi6A01G0009320 transcript:Dexi6A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPPPPPKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKQAAVALNPGGSLYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVLSRISVLGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDINRFDQ >Dexi9B01G0030410.1:cds pep primary_assembly:Fonio_CM05836:9B:32921812:32926768:1 gene:Dexi9B01G0030410 transcript:Dexi9B01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPAAMGSGKELANPPTDGISNLRFSNHSNNLLVSSWDKTVRLYDADANVLKGEFVHPGPVLDCCFHDDSSGFSAGADHTVRRLVFSSDKEDVLGRHDGPVRCVEYSYAAGYALSSVEGRVSMEFFDLSEAAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRTVNEVEVKPKPKALAAPQ >Dexi9B01G0036110.1:cds pep primary_assembly:Fonio_CM05836:9B:37686190:37688832:-1 gene:Dexi9B01G0036110 transcript:Dexi9B01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGIAEALQAYTGLTPAAAATILALMLATYLLVSSLFVAPAAPSPAAPPTQQREMENKEKKEEEDEPMPFVFPDPVEVGEVTLEQLRAYDGKDPAKQILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPNDLTGDLDGLSPDELEVLQDWEEKFKERYPRVGHLACQDAADSGRNAAQPDHEEGDA >Dexi2A01G0026370.1:cds pep primary_assembly:Fonio_CM05836:2A:37882383:37887711:1 gene:Dexi2A01G0026370 transcript:Dexi2A01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAPRTAPPRLGSSALAAADDERQTHARKLSDQAKTGVDTVLLMHVKSMARRPPMRCEGSSFVQSSIVSTKSNKILANIAIKINAMVGGRSSVFVDAQKSLPVVSNKPTIIFGVDVILSASLDASAPSIASVVVSQDWHEVLKYNGPPVHYAHKLAHRARLYLAQGSEAATTVSSGGATAPTGGSKQIPEIKDALKRSMFYC >Dexi4B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:4B:3010671:3011813:1 gene:Dexi4B01G0004170 transcript:Dexi4B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKARPVALDMAGGRNRDDPLVIGRVVGDVINPFVRTTNLRVTYGTRIISNGCELKPSMVTHYPRVEIGGLDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAALGQEVTLYENPRPTMGIHRFVFVLFQQMGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAVP >Dexi5A01G0027000.1:cds pep primary_assembly:Fonio_CM05836:5A:30558795:30563868:1 gene:Dexi5A01G0027000 transcript:Dexi5A01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAQPCAACGDDACAACRACSYALCRACLDEDTAEGRTTCARCGGEYAAAADPAHGSDGAEAEEMESHHATGGLRGRVTMGSHLSDRQDAVSHARTMSSLSGIGSELNDESGKPIWKNRVESWKEKKNEKKASAKKAAAKAQPPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLIVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSALAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYAIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAAREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTDYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFMSIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTTILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLLWVKIDPFVGGTEPVNSTNCNTVIC >Dexi7B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:7B:17145074:17145976:1 gene:Dexi7B01G0009560 transcript:Dexi7B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPFHYNLALFSGGGRGFHKVIWTVKEYVSGGHSPHITLYYHSFDGEQGFPGNLDAYVTYRLSSPYTLSVHMNATALDKATPVNLLLHTYWNLAGHGSGDVLGHTLRLFASRYAVLDDELLPSSGRLAPVAGTPFDFRSPTAIGARIRDVIIMGGKVVGYDANYIIDGDQGTMRPVCQVRDGASGRAVELWANQATMQLYTGNWLNHTKGKDGKVYDQYAGFTMETMGYVDAVNHPEFPSQTLLPGQEYKHDMVFKFSF >Dexi2B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:2B:9085933:9086728:1 gene:Dexi2B01G0008630 transcript:Dexi2B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDLVAKKLVNLNPIESRELTNNYSAFLQGLISFPLYIPGTTFYQCMQGRKNMQKIMSGLLRKRLSKPDTKHGDFLDLIVEELQSGTPTIDEKFATDALVALLFTSFVTLAPILTMAFKFLSNSPEVLKALEEEHEAIVRNRGDATYGFTWEEYKSLTFTNMVILH >Dexi2B01G0021140.1:cds pep primary_assembly:Fonio_CM05836:2B:31054514:31058536:1 gene:Dexi2B01G0021140 transcript:Dexi2B01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELDHDAVPPAIAAPPAAAASGIASAAAAASSAQRGTPASRAECSVDLKLGGLGEFGAAERMKEPPPASAAAPSPMKRPRSGAGSGGGAAQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPIVVVAGREMRFCQQCSRFHLLAEFDDAKRSCRKRLDGHNRRRRKPQPDPMNSGSFMTSQQGTRFTSFPAPRPEPSWSGVIKSEDSSYYTHHQVLSTRPHFAGSAYSSKEGRRFPFLQDGDQVSFGGAGAASLEVSSTAVCQPLLKTVAPPPPESSSSNKIFSDGLAPVLDSDCALSLLSSPANSSSVDVSRMVRPTEHIPMAQPLVPSLHHQQQHHQFGSSPGGWFAACSQAGSSGVVSGAGGGFACPASVESEQLNTVLVPSSDGHEMNYHGIFHVGGEGSSDGTSPSLPFSWQ >Dexi5B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:5B:11617605:11618044:-1 gene:Dexi5B01G0014750 transcript:Dexi5B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASRCVSLLAQSSSRRAYSVVAAMAKGAGRRTEEKQVVAAAAAKRVNTKAQEKTAWVPDPVTGYYRPAGGAKELDAAELRAKLLTRAAN >Dexi4A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:4A:8889637:8893406:-1 gene:Dexi4A01G0011010 transcript:Dexi4A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPPTPTPQPPARLGSLWSTLEDQRGAAVPLLSSAWTLPTTSAEEREQPKEGLFRRAVAAVAGWWDAACGAVAELWAFARADPRKPIFAGKVGLALALISFLVFLREPHDIVSHSIWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGACALAVAELSKNLGNLEEVILIMSILLVAFFTSLAKLHPKMKPYEYGFRVFLLTFCYVMVSGYTTGKFTDTATSRFILIAIGAAVSLGINIGIYPIWAGEDLHNLIAKNFTGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKMMNYPWRSFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFAAEIEKVGEEGAKVLRELGNRVKTMTKLSSSDILFEVHFAAENLQRKIDEKSYLLVNTERWDASKQAQGIKDALNRTSSVKRENRNDATNAVEKENKNEGVEPTIVDQTLVHQSKSFLGNSFLSRYDSTSTIDGLKLSWPARRSFHPNLPLEDEDSTTYESASALSLATFASLLIEFVARLQNVVNAFEELSENANFKDPVEEPTEVSTGGFFSKIRRLVGL >Dexi3B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:3B:6105551:6108103:1 gene:Dexi3B01G0008850 transcript:Dexi3B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGFSGSLRSPKIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLNRGDVSKHKVEHALKKMCKEGAYWGTVAGVYVGMVYGVERVRGRSDWKNAMIGGALSGALISGASNNNKDKIIKDAITAGAVATAVEFVNYLT >Dexi8B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:8B:18627090:18629190:-1 gene:Dexi8B01G0010190 transcript:Dexi8B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASHPNGEVTLACSSGHGNGHHAAAVPATSLTIGNHGNDSSAQAPLLQVDKLRREQRADGPACVLDVGTANPANCISQDEFADWYFRVTKSEHLTHLKEKMKKTSNEVLTSKHGRLNVSGEQVQVKKRYFQVTDELLSAHPELLDPSLPSIDARLQAVASALPELAAAAAAKAIAEWGRPASDITHLVVSTSSGAQIPGIDVRVASLLGLRPAVRRTMIYFQGCTGAAAALRVAKDAAENSRGARVLAVCADVLSAMAFHSPADDDDGEGAVAHAIFGDGAGAVVVGADPDALAGEERHVFEMVSASQATIPGTERLVTGDFGSAGLGYNLAPMEVPVLVAGNIEKVLAGAVAPLAGIVEEGTGWNSLFWVVHPGSPMILKSYEKVLGLEPGKLAASRTVLGEYGNMIGPTVIFVLDEVLRRRRKEGEDGGEGCEWGLLVGLGPGFTAEVMVLRAWK >Dexi3B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:3B:3733061:3735109:1 gene:Dexi3B01G0005520 transcript:Dexi3B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAIYHPHFLPAKTVSPPIPPTKPFPARCPPRAQLVGAASTPRTSQAELRPDSKNASSLSAEIRRLVRAGRLPSALSLLDHLSHRGVPATSSAFAALISACRSLKHARQVHAHLRIHGLDTNEFLLARLVELYLTLGAADDARGVLGAMPQREATAYSWNALLHGHVRRGLGEAAGPVADAFSEMRAAGADANEYTYGCVLKSISGSARPSMAMATATHAMLIKNAFAGAPGMLMTGLMDVYFKCGKVKLAVRVFEEMPKRDVVAWGAAIAGFAHKGMKREALEHFRWMVEDGVKVNCVVLTSIVPVIGELRARKLGREIHGFVVKKFGDRKDVAKVQAGLVDMYCKCGDMISGRRVFYSSKKRNAVSWTALMSGYASNGRPDQALRCIAWMQQEGIRPDLIAVGTVLPVCTKLKALREGKQLHAYALRRWFLPNVSLCTSLITMYGSCNCLKYSHRVFHDMDKKIVQAWTALVDSYLKNGDPLNAVDLFRSMLLTNCRPDAVAITRMLSACCDIGTLKLGKEVHGQVLKLRMEPLPLVAAELVNMYGTCGDLKAAQRVFNRTESKGSLTCTSIIKAYTINQKHKEALNLFAWMLYNNFVPTKATFDVVLRICAAAGLHDEALEIFNSMVQEYKLEASQENFDCIIRLLTDAGRISEAQRFADLKSTLYNLLTPTLDS >Dexi2A01G0025190.1:cds pep primary_assembly:Fonio_CM05836:2A:36912292:36913880:1 gene:Dexi2A01G0025190 transcript:Dexi2A01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITMPTGDNPHSISEKKAALRESLKQTKNVVNEPHRTSFSKDKVANTVGLKRPQPYGPLSPTNHHTLSNPGANGHLVYVRRRPDTYQSKGGTSARAESANSISTKKPDAGASQSHETNLKHQNNVPHTQSSPQFVSPAAATASPALQSTVLPAEHSFGKQSPGKVAVRPTNDVITSLTPSNVVSSTPGLQSSLAANLAASSVLATSVSSTLAPDRADPPRSSNQDWSDRFFQLQAFLRNNEQAGKEEYIRNKQSPGKFAVEPTTCLQPRNVVSSSPLLQSSIAGVSAANEASRTSMSTANLVSGSVSATNAASTDAKFATSLELNRAHQPRLSNQDQSGRFLRLQAFLRDNEQSGQEEYICMLRSLSSLGRSKHAIELEKRAANLLIEEGKELQKMKVLNVLGKLSPTDAPRFPAQPAAVNHLPFPAQPAAVKHLPFPARR >Dexi3B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:3B:2339466:2340161:-1 gene:Dexi3B01G0003530 transcript:Dexi3B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRKKPGRAGPGLDWTTDDAAGAMSRLFVVGHLLGCSQCSSCSPESAGTTPVRPCLCTHAVVGAPAPTPTTAVSKPKKRPALATI >Dexi5B01G0037010.1:cds pep primary_assembly:Fonio_CM05836:5B:36509207:36513719:1 gene:Dexi5B01G0037010 transcript:Dexi5B01G0037010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSAAHGVLGPLLGKLNSLLADECARLKGVRREIRSLRSELISMQAAVQMYTKLQDPNVQVKTWISLVRELTYDTEDVIDKFVHHLGNGSHHGGFKEFFRKTARRLKTLGSRHGIANQIDDLKARVKEVKDLKSSYKLDDVACGAFDHPAVDPRLSALFVEEKHLVGIDGPRDDLVSWMMEEENCSTKHHKVLSIVGFGGLGKTTLAREVYRKIQGHFHCWAFVSISQKPNVKEIMKDVILQVICNKKETTELAQKKEKEFTEGIDTWDEKKFIEKLRELLQDKRYLIVIDDIWSMSEWNTIKYAFPENDVPSRIIATTRIVDVARSCCLHAYLKFEEGALPELQKLEVPFFVCDIF >Dexi7A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:7A:20489350:20491473:-1 gene:Dexi7A01G0009500 transcript:Dexi7A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHQSLEVADGGDGGHHPPRRTGTAWTCAAHIITAVIGSGVLSLAWSVAQLGWVIGPACLFCFALVTYVSAALLADCYRRGDLEKGPRNRSYMDAVRVYLGKKHTWACGLLQFVSLYGCGVAYTITTATSIRAILKANCYHDHGHDAPCNYGGSYYMLVFGAAQLLLSFIPDFHDMAWLSVVAAVMSFSYAFIGLGLGVATTISNGIIKGSITGVQMRTPVQKIWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFVDRYFAEQFPDSGFVNDFHTVQLPCLPPWRVNLLRVCFRTLYVASTTAVAVAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSTRWLVLQSFSVVCLLVSAFALVGSIEGLITQKLG >Dexi4A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:4A:23814131:23816281:1 gene:Dexi4A01G0020060 transcript:Dexi4A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACALNSINNKSTLLMLTAPVKTIGRIPASWGRHAWSIGREDPRRAVHALKAGTALTLVSFLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTVLAGSLALLVELVAAGTGKVFRAFIVGASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSGYRQNDVLPLTRDRLSTIAIGCAICLFMSLLVLPNWSGEDLHNSTVHKFEGLATSIEACVNEYFRDQDKDDNVVDKQEARASIQIGYRAVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQAPPSVRLLFRDPCTKVAREVVKVLQELAVSIKHHRRCAPDVLSDHLHEALQDLNSSIRSQPRLFLGSKRACAANRHMLMELTNSGKHAAPRATLHSFKTDATAFAERNKTGTDQGQPPERSERGMLRPTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKNLEGAANFREFTGHDHWIVDLNEKTRKSNAAALHPVSAAAE >Dexi6B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:6B:22211855:22220369:-1 gene:Dexi6B01G0014720 transcript:Dexi6B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPYMLCVVGQPEYEVLDRHGAQHAAAHVDGRPRGQEMDPETDGDDARSPSWLLEAFICEKMASRHVSSSSETLFAEVDTSELCTCAGGLCRAGVHRSPPSGEYALFAMDSLPEIDDLLFEPDDLLLQDRDFGQKLQRLVRGQARQSAKRPRERSLQSSVDVLLVTQLLPQLDAFIATGAVFELGGLLWSFSIPYDLSRMEYQIGAALYRDGSNRAHLKRAGEKTQNAKNSRTHHHCTPWGLELKAASFPIRARQNAGKPKIPPRQPARQDGEGQGISSSRSGAQLNERRQLSRRRSPRKKERGAKRNETHGMAAAQGLLTFSFLGATLVAARAPRPTAAAAGLRALPPFIVSNGVCIRRRSPRRRRGGVERAAGTRAGAEEEVGRTMALLELGGGRRRTDDADRARGWEFGGGGGDGDGGVAGWVHDEAFLIWTGSIESLVCARAGIVGSSNIFTADHSAGWGGGSGRVGSARGTRLPRARQQRSALCYFLVELCGGLEAGGVARRRGAEESALSTPLARGPAASGWGRGREANDEHSVRSRLPPAANSYRGFIGAQPTNFTFCSLGGVATHRLAYHPSTPSGLRSIGACEIKTRLSPAGRPASRPRHNIAGIFTKGDRGEVCTTSPSLQGLQQADRDKVARGPQKVSQWPPQHRY >Dexi7A01G0022510.1:cds pep primary_assembly:Fonio_CM05836:7A:30737347:30738905:1 gene:Dexi7A01G0022510 transcript:Dexi7A01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLVNASPRLVSASQVATMAVAGRGLVAVALALLLLFASSPAFAAASTAGASRGMARTEEQARAAYELWLSRHGKVRSAPRDYEHRFRAFWDNLRFVDAHNARAGARGYRLGLNRFADLTNDEFRAAYLGASGISGRNATTTGERYRYDGVEALPEFVDWRQKGAVAPVKNQGQCGSCWAFSAVGAVEGINKIVTGELVTLSEQELVDCSKNGQNSGCNGGMMDDAFAFIANNGGIDTDDDYPYTARDGKCDLVKKARKVVSIDGFEDVPHNDEKSLQKAVAHQPVTVAIEAGGREFQLYESGVFTGRCGTSLDHGVVAVGYGSTEDGQDYWLVRNSWGADWGEAGYIRMARNVSSPAGKCGIAMEASYPVKAGPNPDPSPSPPAPAPPVTCDRHSTCPAGTTCCCTYGVRNTCLAWGCCPAAGATCCKDRATCCPADHPVCNTNTRTCAKSKGSSDIVDALLRFPAKRHRGSLIAEELIDSVFSI >Dexi7A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:7A:16942040:16942344:1 gene:Dexi7A01G0005690 transcript:Dexi7A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKTKMKAEEHRKRDQRWKAEKELEERKLLWEQEQKIIFCDTSVLDETQKAYVIAMRKHIASAKEALVKGGVSTNEQGSGGDAEEAESLM >Dexi5A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:5A:24774730:24775080:-1 gene:Dexi5A01G0020910 transcript:Dexi5A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGIKYPAGVSLLLPIVFIHHDPNIWGKDATKHQGAFLPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYSLITLHPQHGAQIRLKKL >Dexi5B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:5B:8286993:8295348:1 gene:Dexi5B01G0011720 transcript:Dexi5B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPRTTSRGAPARGPSKSKRTDDLAAMSDSDDDEIDAFHKQSDRVPFDGSESEDDDIEQPVFDLKGVSNSETDESEGEENGDMDEANYEGWDKGYIAKLKRAEKAVKQIAGGDDSMDEQDEDGKNKNVWGRSKGVYYDRREQSDDDEVDYEEAQRIHKERVDKLSMKDFGFEDGESDEENVATKQASNHETKMKEDFSALPGNEKMDGTYSANIFFRGGGVVDTGDGVGLAHAGGGNPGDSDRLSQAVGAWIDVGARSGGGVVDTGDEVAADLDNSNGKMQPLVVKKACLLAYSQAITFYLLLKAEGLSVEDHPVMSRLVETKDMVEKMKPVTMNLAGQKGSIDDHNMDSSTIQADKIVSLDKEENKHSNVIALDKAQQGVEVSESRKGESSNHDRRELNKEKEEHMGLQSLEMLKVRANLEERLKKRGLYNFTRSKPEKLSKTRSTSNQSDLQTLDDFDDEVQKNNQMTKPNKLVVAATKSNKSKFVSGDDDLPKRDNIGERRRKHELRVLSRVGANSLDHELPEDGDDSEDEFYQDVKRQRIEKLSKKNEKYLLTPGIQPVEEDMEGDGKRKISYQIEKNRGLTRSRNKKKKNPRKNYRDKHKNKLVKRKGQVRDIKKPSGPYGGEMSGINPNVSRSVRFKS >Dexi5B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:5B:7650769:7652370:1 gene:Dexi5B01G0010840 transcript:Dexi5B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSFGLKAAAMDDAAAIKQNKRRWALAIQFAVALAVVTLCVLLYAPRFLFSAAPAPYGSIPGFFSPASTSAASSSSSSVVAQHLDGLEHAAAGVADAAPEDDHQLVLDNQVGSPCSSLPSNTICCDRSDYHSDVCFMSGDVRTDAASLSLLLFPPPASSSGEASSFTAVEERIRPYTRKWDSYVTKNIHEVTLRTARPEEVSGDHHRCDVRHDAPVLVATAGGYSHNMFHVFNDGFLPMWLTSQHLRRRVVLAALSYNPRWAGTYGDLVSTLTGHRRHVIDLLADKRTHCFPGAIVGTRYHDYLSVNSSRLRDNKTIVDFHNFLADAYSDDIVTGDKPETKTTTPQGKPRLGIVSRKGRRVIENQEAVARLASSVGFEVDIMETATGLPMPAVYGTVSSYDALVGVHGADLTSFLFLRPGAAAFVQVAPLGITLLSRNLFGVPAARMGVHYEQYDVGAGESSLSRRYPAGHVVVADPARARREQGKGEWELVEHVYLRGQNVSLDLGRFRETLVRIHSWLKEQQGRKPGV >Dexi3A01G0026310.1:cds pep primary_assembly:Fonio_CM05836:3A:22420800:22432113:-1 gene:Dexi3A01G0026310 transcript:Dexi3A01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQAVEFMNKNSDKTRSSPSDFSQCYLKSPPLQLIKHEGITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLAHVTTDQEEGPLMNLCKSFGVEDLSLLPGEEIIASGSFLVMFNGLILGRHQQPQHCIHIASDGGRVCRPLIIADKGISRVKEHHMKELRDGIRSFDDFLRDGLIEYLDVNEENNALVCCNHFAYTCVCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVMKENYGDGVSDRIAKPQRAKDGALVNANMQALDEDGIAAPGQIIRNHDVYVNKQVPSVTTGRRGAGALLNDKDYKDSPAKYKGVDGETTVVDRVMLCSDTNDKLSIKCIIRHTRRPEVGDKFSSRHGQKGVVGTIVQQEDFPFSERGVCPDLIMNPHGFPRLIFLTWNLCKMIELLGGKAGVSCGRFHYGSAFGEPSGNADKVEDISNTLIKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNHKLKTSYCSMCKNGENMSKMRLPYACKLLFQ >Dexi9A01G0040750.1:cds pep primary_assembly:Fonio_CM05836:9A:44476132:44481355:1 gene:Dexi9A01G0040750 transcript:Dexi9A01G0040750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGPPDCYVGMSDYHGGDVEEMEDEYDMNEPVDDMDEEEEEDEDEDEEEEEYQEPMVRDSDVEEEDEDQSHKVPDTSAADARNGKDIQGIPWERMTITRETYRQARLEQYKNYENIPNSGEAAMKHTSLSPDGKLVIIVGDDTDALLIDANSGKQIIHSMKGHLDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSQSVHVLRGNVGAIRSVRFTSDGQFLSMAEAADFVHIFDIKRDYNKRQELDFFGDVSGMSFSPDTDTLYVGVSDRIYGSLLQFGRRYNYTYLDSLL >Dexi1A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:1A:22466005:22466487:1 gene:Dexi1A01G0015410 transcript:Dexi1A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRQLSKGKLWTTVRDLLPIFMPRKKKMPMLSVGSTVVAKCREFWESLTGCGFAVARQHADDYFKGSYEFSCTATPINVLAKSTNGRGRRGWRLMPPCICGKQAQEMLESIAPAKGWSPERALGDGAGNEIDGLAEEFIRRFHEQLRMQRMEELQVEH >Dexi2B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:2B:8078008:8078793:1 gene:Dexi2B01G0007890 transcript:Dexi2B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVALYRTLDGNNDIMFGDKANLKPALVVLYSLALLQGVLFYYWTLSASEEKSLVRRVAQAYNLGEKDKALESISDYLHEVRMGCEKDPSFAMGRNLLTYAVGLMESNSPANYVPFRGKNPGHAYPAVESSGGEAARADKQHGCVGISSWQCREKRYVHDGRKISEMRFCAMRIVAHFANEIRLDKIMYGIRNISSLLEDEGSDSDSDSDSDLEESDLKKYQVYFTGMKILSELAKDEDNLKHMSNTDGNMVSPRRSSR >Dexi2B01G0031150.1:cds pep primary_assembly:Fonio_CM05836:2B:39331366:39334199:1 gene:Dexi2B01G0031150 transcript:Dexi2B01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVVAVVLLLSSTLAASQWCVCRQDATQAAMQKTIDYACGAGADCNAIHENGQCYNPNNVPAHCSWAANSYYQNNKAKGATCDFTGTAALTTSDPSSSGCSYPTSASAAGTGTMTPTTAGTMGGTPGTLTPGTGTTGTGTTAGTAGTGFGLGPGTGAGMDTAAASLLPRSGVAAVLTVLLSSIAFA >Dexi3A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:3A:16051783:16052148:1 gene:Dexi3A01G0020230 transcript:Dexi3A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVVLVAKLQHKNLVRLLSCCIVEDEKLLVYEFLVNKSLDKILFDPTRQQELSWGQRYKIIEGIGRGLLYLHEDSRLTIIHQDLEAGT >Dexi6A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:6A:18419782:18422298:-1 gene:Dexi6A01G0012190 transcript:Dexi6A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVVLPAWAASPLPRASAPHPRRLHLPIAPSPARGGTSRGCSLAGAGASSRRAPTVRPNAAAEAVVPYVPGSGKYIAPDYLVKKVSAKEVEELVRGERKVPLIVDFYATWCGPCVQMAQDIEMLAVEYEDNALFVKVDTDDEYEFAKDMQVRGLPTLYFFSPDQNKDAIRTEGLIPIDMIRNIIDNEL >Dexi5A01G0040110.1:cds pep primary_assembly:Fonio_CM05836:5A:40379827:40382544:-1 gene:Dexi5A01G0040110 transcript:Dexi5A01G0040110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPARLDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETNHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENAKRLIHFSTCEVYGKTIGSFLPKDHPLRKETEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPNNEVTVRELAQMMTEVYANVSGEAPLDEPMVDVSSSQFYGEGYDDSDKRIPDMTIINNQLGWNPKTPLKDLLETTLTYQHKTYKEAVKRQMSQASASS >Dexi4A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:4A:4477641:4481297:-1 gene:Dexi4A01G0006230 transcript:Dexi4A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDDGSDARFVLSDLPSDIVIHVDDARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVVIQDIPGGVKIFEICAKFCYGMVVTLNAYNVIAVRCAAEYLGMTEDVEKSNLIFKMEVFLNSGIFRSWKDSIIALQTTDALLPWSEELKLVGRCIDSIATKATVNPSNVMWSYTYNRKSASSDEIVEARKSSQAVPKDWWVEDLCELDVDLYRRIMVAVKSRGRIPSDVIGEALNAYAARWLPECCDTLVDDVYSESYKHLLETIVWLLPSDKGSSGISCRFFLKLLKVTVLIGAGELLKEELMDRIVLQLHKASVHDLLIPSKPPAQTIYDIQLVQTLIGRYMRHAGVAEDGIFLNNLDQEMFETNVDNESLVALCKLVDRYLAEVASDPNLSVSSFVDLATSMPESARTTHDGLYTAIDVFLKLHPGLPKAEKRKISSLMDVKKLSKGACIHAAQNDRLPLRVVVQVLFFEQLRAASAAAGPNGSVARCMARLEEEDDEDDWRGEGRAVAAAEATTPGGALKKQLGSLKLVAPPDHQAGAGDDGRRLVARSSSVANQSSRLSLSSRSRRIFDKLWVGGGGGGKIAGEATGKGSSDTSGSSQSPRSSAKPLESKSSSSSSRNRRYSVS >Dexi1A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:1A:6619528:6619989:-1 gene:Dexi1A01G0008490 transcript:Dexi1A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAQTFRRSGSSGLVWDERFLTELTGDVAAGGGGARGPPRRQPELRHSRSVGSLLLRRGDEKRMALAKPKHSKDQQKQKKQQEEGEVVGPGRKAFRTRDVAPAAEPPSPRLPGCWGAPCAIFRSSGNGGAGSASVMARRPNNNKPRKR >Dexi2B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:2B:29996086:29996669:1 gene:Dexi2B01G0019810 transcript:Dexi2B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNSDGNDTGYMGQNYDEGASTSSKLKSKLRDIRRSTSSFALLDKNYLTPIFTSKNGDRNDDTPDNSPSADKELTISRGPSDLEGT >DexiUA01G0006610.1:cds pep primary_assembly:Fonio_CM05836:UA:12718884:12720320:-1 gene:DexiUA01G0006610 transcript:DexiUA01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPTSPAVGPKVLLPTMADIMAASRAQGLRVRLRTVGPFFRVTASRGDGGDAVEVGRAEGGVRPWPGGAVLHLDSMRMTRATLSISDRPLFGLGMFLGAVAIRHGFDAGCKRAELLAINDTLLYHDKLVRFYTRLGFKAVHEVDGSSITDLAHMLVWGGRGTRMDANIEELLIKWGKRFRPQD >Dexi2B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:2B:12375546:12378044:-1 gene:Dexi2B01G0010870 transcript:Dexi2B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARNLTDEEKKGHKELKWDDPDVCGPYMARFCPHDLFVNTKSNLGTCPRIHDPKLKESFEKSPRHDSYMRQFEAELAHQCEKLVIDLDRKIRRGRERLAQDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLISDDALERTQSHVTGKQHIGYGMSAKEKAKEEERLAREQKAEERRKQRGKEYDSGSRDGATRRERSGERDYDRDRHYERSRGRDRSFDHRDRGSEYRSNSYRNGRDSERGGHRYRSGDMISDRGRMRSRSRSPSRHGYGRSGSPDH >Dexi4B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:4B:275715:275957:-1 gene:Dexi4B01G0000450 transcript:Dexi4B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTTTPHPLDSSVPGVGGGKEEESRRMRLRQASAPTAVPVGDEDDEGARRVRKPYTITKSRESWTDPEHDKFLEALQL >Dexi3A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:3A:12909294:12911062:-1 gene:Dexi3A01G0017020 transcript:Dexi3A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKWALLLLLGLALLAPAALADDNGKDKDNGKGNSKDKDKDKDKDKDDKDNGKKSPPPPYHASPPPPHVPSPPPPYHASPPPPHVPSPPPPVVSSPPPPLPSSPPPPVVSSPPPPVPDQSPPPPIVSSXXXPPVPDQSPPPPVVSSPPPPVPDQSPPPPVVSSPPPPVPDQSPPPPVVSSPPPPVPDQSPPPPVVSSPPPPVPDQSPPPPVVSSPPPPVPDQSPPPPVVSSPPPPSPVPVPPAPSSNVVYCKNTTEYPTCTAPATCPKKCPQSCHMDCETCKPICHCNVPGAVCEDPRFIGGDGNTFYFHGRRNRDFCLLSDANLHINAHFIGSHVPGARRDPTWVQAIAVQFSGHRLYVGARKTAAWDDDTDRLAIVFDGAPVELQGVTNARWEAAPSSSSLSVTRTKAANGVVVELDGVFKITANVVPITEEDSRVHRYGLREGDCLTHLDLAFRFYSLSDDVHGVLGQTYRSSYVNRLDVAAKMPVMGGERDFAASGLFAADCPVARYTHGRHDAGVLALASDEMTGVSCSTGLDGVGVVCKK >Dexi5A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:5A:1421683:1423609:-1 gene:Dexi5A01G0002030 transcript:Dexi5A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPASPSGKPYSRKSHASSKAPSVPSFDAHSNGPLLPTVAFSLPSTPATRRELRRRLSAELAQVRAASKRLNTLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGGGAPHPQQHLSAEARRKLYAPVFKTCAALLSRLMKHKHSWVFNKPVDASALGLHDYHTIITKPMDLGTVKSKLAAGQYKSPREFAGDVRLTFQNAMTYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSRVLERSDSTVHAAGMEATPKPHTGRPPVLKKPKAREPNKRDMTFWEKQKLSNNLQDLPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSVTKNKRKAEVSAVRPDEADAEAEAEPEAEAEAEPEPEPDQELEKIEHVRQDEPDQDQIPAAQEAIPEPEAVDIDPPKENAADDNERYVGESSPGHLEDPKGDTAGRSSSSGSSSSDSGSSSSGKCSHIWHVQIRTQIVRQQMALMRHSHPERSICRPGIFYLMQQSVAFNVQ >Dexi4B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:4B:4879661:4880848:-1 gene:Dexi4B01G0007030 transcript:Dexi4B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYIKNPSHSSRTISLIVLLPLALLCFTFLLLPLSAYLRNPLSTATFTSGSACAGVGTAAGVAVDTQRRRAELSVLVGVHTMPGKHSRRHLIRMAYALQQQTAAALRPSAAAAVRVDVRFVLCARPMPPEHRAFVALEARAYGDVLVLDCAESAEQGKTYTYFSSLPAMLRFGSGSNSGGGSRPYDYVMKVDDDTFLQLDAMVETLRAAPREDMYWGVGLPFQNRESPPFMLGMGYLLSWDLVEWLATSDMVRREAMGVEDLTTGKWLNMGNKAKNRVNIFPRMYDYKSAKAEDFLENTIGVHQLKQDLRWAHTLDHFNLTRLEPSSKLHNF >Dexi9A01G0025630.1:cds pep primary_assembly:Fonio_CM05836:9A:26293095:26299966:-1 gene:Dexi9A01G0025630 transcript:Dexi9A01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRAAKPEDEEASSAKAAKLRDLQAQVLQNQHSGTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNIKELSEPEAIKHAGSRVQVRRSSDALPGDGAVRKDALGKSGRFPSGGGGGGREEWGGGGGGREE >Dexi2A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:2A:5568348:5574714:-1 gene:Dexi2A01G0005820 transcript:Dexi2A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSAAAGWTAEDDVLLKKAVEAGASLESLAKGAVCFSRKFTLEELQDRWYSLLYDSETSAQASARMANYEMELSVSDPAKAMKLFKPKAKFYMRVVEPKTIMLSDDQNMKTSVSDAHAFQILDQDLIPWDQEPIIQPEVSRFQYPEKRKDLIRLEKGACSYMNRSIMFNGAFAVLYGQHLKCYIRDPEVTLGRQTKEVNVDIDLGKEGNANKISRRQIRNMKFIFHVNHNAVKKYIARTRSGSSRGKFAAFDWNQNP >Dexi5A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:5A:10347016:10347297:-1 gene:Dexi5A01G0013710 transcript:Dexi5A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKKSSVLASLFGFKKQSAGGEKPPEEEAVGRPQQQPRHYQGTRRVRPSDDDGDYYYGRHWYADRDIDRRASEFIERVHRGMLTNGDQDGG >Dexi2A01G0025260.1:cds pep primary_assembly:Fonio_CM05836:2A:36938227:36938513:-1 gene:Dexi2A01G0025260 transcript:Dexi2A01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVPSLPRYTPQPKEETPASRPQKHAAGRPPPAGSRAEPMAKAAARHAMTRLTAMFPLSDRNWCSSSPPLMVAS >Dexi2A01G0036940.1:cds pep primary_assembly:Fonio_CM05836:2A:46442053:46445924:-1 gene:Dexi2A01G0036940 transcript:Dexi2A01G0036940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLWPPAWWRCGLLVLLLAHRAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKAANAKACKPFSDFGLSYKPKPGGLPVFLLVDRGDCYFTTKGWNAQNAGAAAVLVADDKVEPLITMDSPESSGKEHIENITIPSALVTKSFGDDLRKALQNGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRSFRGIAQALEKRGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSIGYDGKDVVLQNLIQICVFKVGNESRKPWMWWDYVHDFAVRCPMKEKKYTRECANDVIKSLGLEIEKINQCVGDPDADKENPVLKAEQDAQIGHGSRGDVTILPTLVVNNRQYRGKLEKRSVLKAVCSGFEETTEPDICLREDIETNECLEHNGGCWLDKATNVSACKDTFRGRVCECPNVNGVKFVGDGYTHCEASGLGRCQINNGGCWKETKNGKTVSACSNEEAKGCKCPPGFKGDGVNSCEGTALRNDLCVLPHWHNMLAHLYIFLIYSYMDSEIRAIMAQYMPLESQEMPNQQRPVEHADI >Dexi9B01G0037720.1:cds pep primary_assembly:Fonio_CM05836:9B:39025189:39028415:1 gene:Dexi9B01G0037720 transcript:Dexi9B01G0037720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADADADEAPLLAEEPLRPGACSRELELREFRDRYVIRSLDGGAAFAVLRSGGAILPLSQEEAAAGSDCKVSRIYGVAGIIRLLAGSYVLVITSRKDAGSYQGSPVYHVNSMKFLCCNEAIKHLTSQEKRDEAYFMSLLKIAETTCGLYYSYDRDLTLNLQRSSKLAAGRMHKPLWKQADPRFVWNKNLLEELIEAKLDEFVIPLIQGNIQKKKNRKFHDMLIFHIFIP >Dexi3A01G0030320.1:cds pep primary_assembly:Fonio_CM05836:3A:34281638:34284700:1 gene:Dexi3A01G0030320 transcript:Dexi3A01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPLAGVVRLAAASRVLVLALSLLSRLLFRPYDTSATLHPPCLSSSATPSTSAPSTNLSAAISSLAVWDGVHFARPAECGYEYEQSYAFLPLLPASIALLPRSLFAPLVPVLGYGAVLVLSGLVLNNVAFVASAAYFYRLSVLILKDRKAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGLFYLFSGANTVAVIMLAISSSARSNGALNAGYFCFQALLRAYDAAVNKKRPLGSWFLEILPSQYVLFIIKASLYVDPSDSIAKIPTSVPLMKVSTRFLSASPPIYWAAAHILASPNCTSKRWGYLICAYFIAYILLGSLLFSNFYPFT >Dexi2A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:2A:1499066:1500096:1 gene:Dexi2A01G0002010 transcript:Dexi2A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHANKNCVYKYVVGDEDVDLDLYPFLRRYKDGRIERVLKSPFVPASDNPTTNRGVATRDVVIDHSTGMSARLFLPSLAGDDDSPSAFCRTYHRYATSLAALAGALVVSVEYRLAPEHPIPAAYDDTWSALRWATSSLTTYPWLTNHADLRRTFLAGGNIAYHTAVRASRRRDDVVTGVEGVVMVQPYFWGTELLPSEAASDGARTMLPAYGVDWLWPLVTAVQAGNEDTRINPTDEEVASLTSTCRRVMVAVAEKDTLRERGVRLFDRVRECYDLTGGGEVTLVESEGEDHGFHLYKAHGEHRAVHQPHTTGAGEERRRLAFAACVVGG >Dexi1A01G0029170.1:cds pep primary_assembly:Fonio_CM05836:1A:34709350:34719564:-1 gene:Dexi1A01G0029170 transcript:Dexi1A01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGRRTSRFARPPLALALPSPSPSCSCLASAPLAAVRRRPSVTVRSPQPSVRFPLWRCPWSAGPSLAIRPKGSRQNVLIDGGSDDSLHKKKGRKDKGEKPRKGGHGSSKGPGKPQHGKDKKQRRGADGKKGEKRGKDHHSGTSVVMNPGNLKNQDSQPSSNTTKPMQNVLRKRVDPETAKYFMEISNLFDNKEIDLDERSTICANALEETRGKELELATDAVISHTLQVLVQGCDLEQLCTFLRNCIGSFPVIAMDKNGSHVAEAALKSLATHLQDETSRTMIEEILNKICKVIAADAANVMSSCYGSHVLRTMLCLCKGVPSESLQDFHTTKRSAVLAERLSSGKNQTGGHGPGNFEYGFSDMFKSLVREMLHNAKADIATLRVDKNSSLVLQTALKLSSGDDNELHHIISILLGYDEYDTVEKRYYNEKREEIVTLLEESAYSHLLEVIVEVAPEELRNGMLVGTLKGALFAISSHHCGNYVVQALISSAKTSDQINQIWEELGPKIKELLELGKTGVVASILAACQRLETYRLESSQALSAALSSDSESPDSIVAHILFLENYLRERSYWKWLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVAELLAVQSELSKTRHGFHLLKKLDVDRYARRPEQWRASLTSKETTHRQFEAEFCSNSKSVAQNFEGKFPSQSPVKKRKQKEKSDKLTDDDSNNNPGLSQNRNSKRSKSAKATSEKEYHKKLSSEGTSMTLLKESGKRKSPGFLSDKPSLKKQKHQRPDSGKPDGKRFVQGSSSSTPFVKITGRPKQSITELADLAGKEKLTAAEVRKLLKPEMSGKS >Dexi4A01G0022580.1:cds pep primary_assembly:Fonio_CM05836:4A:25863092:25869226:-1 gene:Dexi4A01G0022580 transcript:Dexi4A01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGNIVTRRLKVFSMALLIYFDYKAVQKRVQWVSNVKKSAIWMKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEQLLILALVSVVQVRGTIEKELGKSMSDLFADFVLDPLATASIAQVHRATLADGTEVVVKIQHDGIKEIILEDLKNAKSLVEWIAWAEPRYDFNPMIDEWCKEAPKELDFNHEAENTRAVSRNLSCKTDDGSGSISSAIDVLIPEVIQSTDKVLILEYMDGIRLNDNDSLEAYGVDKQKLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKAPPHKPILLDFGLTKRISNTMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPQQAMDIATIFFRQSTTASEAKENIKALNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFTRVLNLLRGLSASLNVRIVYMDIMRPFAESTLLGSLHGQTPHSQWIFDSPANSDVESKLRNYLLELGSDKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFSVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPNFGTNGKEPIKVPKHASGKKFQEVLEEAIVRPLHIEGELYIGIPPGVESRLAALTVDTEELQKLSGIRAGPGVPPELLSNVAQMASGVPVLFNTLNVRRAIIPAANGHCSARALARYYAALARGGSIPPPHSASSKPALGSHVHTPKFPTAPLKKKKGTGKKKCKGSTGNLRDASNTDQNGYSQLRTSDATEDEAEGAGSASRIFSSDKILDAFMGVGEYESMIHPNGKFGLGFRRYNNSSGKLRCFGHSGMGGSTGFCDVENDFAITVMVNKMSLGSVTRGIVRFVCEELGLPVPDEFSATGEKGPDMVLNVTPPQELR >Dexi2A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:2A:5406621:5407913:1 gene:Dexi2A01G0005630 transcript:Dexi2A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLRKDTKVLPAPLRRRSKFAVTTARREIHGSPLHRTTAVPPTLQGFFHGNGDLHNGEPQVLPNRKFGRFASLPWMSATPVIDPSFLFLRKALPLTDHIFLLRSCNGLLLFGQLPNAYYNTAELNLSFIVCNPATEQWVAVPGCGCIDDELSFILLNMHISLLFDPAVSSHFHLVLFWDNVDVSGIGTATIHTYSSKARAWSHSDTDWSEEEKQGPWEGWRVRQMVSENSSLHGRALVDGLLYMILGNNLILQIDEQGKTRRIIPAPKVRVDAANYVVFVGQSQGLLHCIVEQGHEVVPSLLAIDGSRVRRRRWRSRGLSVWVLQDSDTQEWIFKHRMSTRQLFGKRSCRRRDGYHVVTMHLDCNLIYFVRHRDAQMISYDIDRHEVHALQSFEDDYGPITPSNTITLIPYVPYLSELFVGVLGGHK >Dexi6A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:6A:25498908:25500470:-1 gene:Dexi6A01G0017620 transcript:Dexi6A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGAASPEPDDAGVVQLLLRNIDSRTAVVRARREDTVGEVLDRLGAGAAELRAVHGGRELPLGATVGELGLPRDATLHLSYRLSSSAPRAGAAWGLASEIAAAAAGAHPYAPPDASSFHNLVVRFLASASSAAAAHPRAIADHMDAFRRSGVIDVLAQLYHNSYADEERRSAAERAIRCFLYPDADDATTTPVKPWTAPVLVELCRCIGIYSPAGDDELYIALRATLATVLSDPKWTPEHWHVVPRRWLAEQLTWLAGDAANAIVQEIAGVYGSWSVPAAAIRGNLAEFKTFSSVLRQQVLELDVDTRLHPWRVGLSQMLVSLLMAINDSMARFEMTLTSPESTLPKWTATSLETVWIVLAELDEWPDLHGEMRAMLAAHRSAVSALVLSAGRDEFSESIRWITRHRDVLEFEARRHLAMAMLPELVSGSYALLPFEMLIDRARLLPDTFGYIAHATVQELRADLSVAFRHEQATGPGMLREWMCLVFQALFNPRLVLFSACPHDRRRFFINPGEFAF >Dexi5B01G0031420.1:cds pep primary_assembly:Fonio_CM05836:5B:32172127:32173119:-1 gene:Dexi5B01G0031420 transcript:Dexi5B01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRVIMAHTALLLVLLLASPTISFSKRIQPKFSAIFYFGDSILDTGNNNRLPTLALANHVPYGRDFPGKKPTGRFSNGRLVPDLLNQRLQLKEYSPPYLDSKLSNNDLMTGVNFASAGSGFDDQTSQLANTLPMSKQVNLFKDYLLRLKDIIGDKDASRIVANSLIFISSGTNDFSHYYRSSKKKKMDIGEYQDTVLQMAQAYVKELYDLGGRQFSLAGLPPFGCTPIQITLSRDPDRACVDEQNWDAQIYNSKLQELLRTLQGSLHGSKIVYLDAYRALTEILEDPAKYGNVFY >Dexi1A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:1A:1694300:1699995:1 gene:Dexi1A01G0002530 transcript:Dexi1A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSDSAAPAGDAERRPSKEDKKRRGRGGASPEGTAKAATPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRQSGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMDFDHAISNKCTYTLQPTLTLIYFCRLCEGGELLDRILAKLFMFLSTLFYFWLLVHGTQEHFPLDRKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPEELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPNPQAL >Dexi5B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:5B:2775955:2788218:1 gene:Dexi5B01G0004090 transcript:Dexi5B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSSLAFSFMSSMDRTALVSSFPSRSSKTRTITRSLASGSPPTCGRKDQDRVVVDVEVDVTEHRLRVPHALDIVAEEHACIWSSGVGSGAGEWFEAGGRAGAVERKEGFLSLPLRSFRVCWQALELPGMSASNEKWIDGLQFTSLFCPPPQDVEQKKAQILAYVEFFGQFTADSEQFPEDIAQKEYSEQWALACGEILRVLTHYNRPIFKVESQHNEAECSSTSDQATSNGFKDKKSDDSPGNESDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYEEVLLNGDVASTSGKVIASLQVEASDLANFLTMDRNGGHRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQADKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAAHLIIEWGDSGLSVADGLSNLLKACPLL >Dexi7B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:7B:23720442:23721380:1 gene:Dexi7B01G0017760 transcript:Dexi7B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPTTGHVVERQVEEGQPSSRRVRWEGPTTTAHTSCAIGATAGRLHLEPRWRSLLGLLLLQREAFVCHVGERRGDDDDWARGVERADDVAADDLALSSGEEDGEAGGPGWSRRREEGARQRQDLEPPVQRHHGARRRRRLAQRDVGDHAAAAEHAHAALSPASVRGDGLEHVAAAPDLEDVGPQRVGALPRDDHGGLGLVLGPRWAPAGPAGHHVPAVPAGARPIATGWAGGGGAAVVVAVGPAVVAVAAAAIIGVVVGGVRLLGVVVLELEVGVEVVELVDVARRGGAEVEACHASLLAHVLM >Dexi6A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:6A:25509640:25511179:-1 gene:Dexi6A01G0017650 transcript:Dexi6A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAAATSVPDDSTSGTVQLLLRNFDSRTTVIRAHREDTLDSVLHRLFGKVAGVAGLRLLHAGRDLPCGATIGELGLPRDATLHVSSRLRSTAHPQAWSLTTEIANVARLAPSSWSLENLVRKFLNLLVVIEPGSSGMAVLADHLEIFVHSGAPFVLVQQYLSPPRRTEAERAIRCIMAPDRIFKGFTAPVLLEVCRSMFAAGEPKDDHGHDMLYTDLRGVLARALSDPGWASSRWLDVSRQWVAELVNRFAVDMARAVMEDISGATNCGFPASTEVATTTRNLFRFKIFWSVLLELELGLDEKDTPQLPWRATLSETLVSLLRIVDECMARFETTSLPPMWTISPDSVWEILAVLDAWSSEQDARWLLRRALRATLAEHAAAVTALVLSAGREVMRMDGRWITRHRDLLPFEARRHLAMAVLPEIVTGVHAPPPYEMLVDRSQLLPESFGYIARVTPRELPAGMSVAFMHEEAAGPGVLREWFCLVCQALFNPSLGEGS >Dexi8B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:8B:26653755:26654456:-1 gene:Dexi8B01G0015850 transcript:Dexi8B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLGALPSLIPKLGELLADEYSLQKQVKGGIRFLQSELESMQGALEKISGTPADQLDNQDKIWANDVRDLSYDIEDSVDTFMVRCKGRKPANQHGFKKFIDRSLDLLMQPKIRRKIATEIRDIRARVEEVSRRRDRNKIDAIVAKPATTAVDPRLLAQYKKATEIIGIERARDELIKIITEENEVSMQQGKIVSIVGFGGLGKTTLANTGLRRSRHDLIVVLLFRCLKLLT >Dexi2B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:2B:27993412:27995487:1 gene:Dexi2B01G0017620 transcript:Dexi2B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTTRSPAARAYKKLELSGTTSPAQVDRHWTAATSSLPSPPASLVSSTQKKMELPPWVSFVGIVLATVMLLKAVLGRRSRRVYNLPPGPKPWPIIGNLDLMGALPHRSIHALSRKYGPLMQLRFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHAAASASGSGRAVMLKDYLSTVSLNVITRMVLGKKYLDKEDGSSGTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLGKMFDRFLEHVVEEHNQRRLREGKSFVAKDMVDVLLQIADDPTLEVEMDRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEIIAKATEELDRVIGRGRWVTEKDIPQLPYVDAIVKETMRLHPVAPMLVPRLSREDTTVAGYDIPAGTRVLVSVWSIGRDPELWDAPEEFMPERFLGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFEWGLPDGVTKEELSMEEIFGLSTPRKFPLEAVVQPKLPAHLYAAEA >Dexi2A01G0023240.1:cds pep primary_assembly:Fonio_CM05836:2A:34984173:34993936:-1 gene:Dexi2A01G0023240 transcript:Dexi2A01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAVGSPPSVAALGFLLPTCWEIEVTCAAAMILVALYAAYELLNPRPPQASAGGDPSAGHIIGEHDGVDKFKGGSSGPSAYVVKLELLAAKNLTAANLNGTSDPYALITCGAEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVTVPVESEGQSGPVWYTLDSTSGQVCLHIKAIKVHESSSRCPSKRCWGIGERAEGIGAADAQIGGSGASFVDVKPLSPPSPVQGSDTNLPAGAVARAPCRADVSWSWRDLRGRWPGGGDGGTPHGAAAEEEQEEVVIPLRDIDEIRRSQHAVINPAITIFLRMGAGGFGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQTMIEAEKQERAQSALRAHSSSRKNSKKEVNVPEDCAALTGQLQPFVKEEVLVPVFNGTFPCTAEQFFSILLSDDSSYITEYRTDRKDKDINLGQWHIADEYDGQVFETVQQVHDVPFGSFFEVHCRWSVKTISSDSCSLNISAGWLSLQILLHASFSGAHFKKWCIMQSKIKSGAVDELKKEVKEMLDFAQAYMLKVRSPNEENSDLTKQENMTLDEVP >Dexi3B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:3B:2058791:2059757:-1 gene:Dexi3B01G0003130 transcript:Dexi3B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQAAPHASAFGEHLAERKELRAREPECREHDVAGAAVAEVPVVEASVAGFSESTVVAQERLTEANVVTHDGAVEQRRRVHRHGLLALVEAEAVDGGPCLDEVRVAGAVSHGVVQGRADADAGAREHGDLDGENVLRFAAAVFTQRGEQRAEPRRVAGVVAGEFTEVVGADCHGELYGVAVREHDLRRRSFARRRQLPGERVEVVQRGGEGVLEPWQLAGGEVGGDDAGEVGKKRRCTGGKPQKTRSKNVSGSDGGCPAAGNGDGETSHCSRTVTGSYAIVVAVPGGQTLGVSGAW >Dexi9A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:9A:3068824:3071572:1 gene:Dexi9A01G0005470 transcript:Dexi9A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKIVAISDVTGAVKNINGLDIAQLVKHSAENKGIKGFSGGDAIDPNSLLTEDCDVLLPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNAELRTYMTRAFGDVKAMCRSHNCDLRMGAFTLGVNRVARATVLRGWEA >Dexi9A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:9A:13284103:13285684:1 gene:Dexi9A01G0018240 transcript:Dexi9A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENKVFVFEEVAKHSVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATADFEDIGHSDSAREMMEKYHIGQIDASTIPAKRTYVNPQQAPHNVEKDNDLVIKILQFLVPILILGLAFGIRQYTKSE >Dexi7B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:7B:22157214:22163660:1 gene:Dexi7B01G0016180 transcript:Dexi7B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRRCFAPRVAMGSLAAADEPLPQLKYPQPRRDDGIVDDYHGVLVPDPYRWMEQLDLEEVKEFVDAQASVADAVLSACGHRGRLRGQLTALFDHPRFRAPFKRGGNYFYFHNPGLRPHGALYVHHGLDGGDPSVLLDPNAFSEDGTVSLGMVGISDAGDHLAYGTSASGSDWVTIRVMRVSDREHLPDTLSWVKFSRIAWTCDGLGFFYSRFPAPRDGQVLDSGIKTDVNLNHEVYYHFLGTDQSQDVLCWRDPDHPKYIYIPEVTEDGKYVILSVSETSDPVNKLYYCDLSALPHGMEGMKGTHGMLPFVKLVDKFEACYSLIANDGTEFTFLTNKDAPRYKLSRVNVDEPESWTDVLPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHNIPIDIGTVNGITGRRVDSEVFIEFASFLTPGLIYRCDVSTEVPEMNVYREISVPGFDRNEFEAKQVFYPSKDGTKIPMFIICKKNLNLNGSHPALLFGYGGFGMSVTPQFSVARVVLMRHLGFVTCVANIRGGGEYGEEWHRAGSLANKHNCFDDFIAAGEFLLSAGYTNPARLCIEGASNGGLLVAACLNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEDFHWLIKYSPLHNVRRPWEKGDDDDPRHHRGWGAAGQYPPTMLLTADHDDRVVPSHTLKFLATMQHVLRAGAEGSPQTNPIIARIERKSGHGCGRPTQKIIDEAADRYAFAAKVMGVSWID >Dexi9A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:9A:6131635:6132343:-1 gene:Dexi9A01G0010100 transcript:Dexi9A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVASMEDVKEARQAAAAAGQGRVLPTGMLKVFLGFLLLGVGLSAVGMYMARHAVAAATPALFRPCLGASAAEEEPEGLERWTRPPARVEHAMTDEELLWRASFAPRVRGYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGHEGLGR >Dexi2A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:2A:28363135:28363335:1 gene:Dexi2A01G0016650 transcript:Dexi2A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPRAAPAKAMPCGTGRQWPPTAAASGALEGHALWHRPTLATGDGGEGRREVGWSRTACALRRR >Dexi9B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:9B:3713792:3716176:1 gene:Dexi9B01G0006270 transcript:Dexi9B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSDTGIPKVNVSLSAANDEEPDVDTLVLPCSGFAPDYIVDATSEEQSQMSSAVSVSVNRHGKICGLTKRGGAGLDPSVIFDMISVAKHVNQQFIGVLDSEIAAAEAEADE >Dexi2A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:2A:19138309:19144814:-1 gene:Dexi2A01G0013270 transcript:Dexi2A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGKGKGCGLLKVVVARGRNLAVRDFTSSDPYVIVRVADAEVFDWDRFKYDDKMGHAYLDLQPVTAATKLRRALQLTEGETKLRKVAPDVDNCLFSDSFVTYANGAVMLDAWLRLRNVESGELFITVKWIEAEDTK >Dexi5A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:5A:13395832:13399508:-1 gene:Dexi5A01G0015650 transcript:Dexi5A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRVKPGFNGVVGSGFDGSSRRAGGGCAMGGGGRGVPVDACGVAMRAFVVAATLVAAVVMGVDRQTRTIRITLADTLPPLEVPVTAKWSYSSAFVYFVVANAMVCLFSAAALASCRRRGAVVPVMVGDLLALALLFSAVGAAAEFGILGERGNSHVRWAKVCNVYGAFCERAMAAVIVSLLAAFANLVMLMLTILTIHKNSSFY >Dexi3A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:3A:15309044:15311992:-1 gene:Dexi3A01G0019490 transcript:Dexi3A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGRCGGVAEMASVLYLPLLLLAASAAAAAASPAAGDPSAHEALFDAWCAEHGKAYATPEERAARLAVFADNAAFVAAHNARANANANAAAGGPPPSYTLALNAYADLTHDEFRAARLGGLAVGKEGATLRSGAVPVYGGLDGGVAAVPDAVDWRKSGAVTKVKDQGSCGAMEGINKIKTGSLVSLSEQELIDCDRSYNSGCGGGLMDYAFKFVVKNGGIDTEEDYPFRGVDGTCNKNKLKRRVVTIDGYSDVPSNKEDLLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGESWGMKGYMYMHRNTGDSSGICGINMMPSFPTKTSPNPPPSPSPGPTKCSLLTYCPEGSTCCCSWRILGICLSWSCCGLDNAVCCKDNRYCCPNDYPICDTVRAQCLKANGNVSVIEGIKKKQSFSKVPSWNGLLELMDQ >Dexi3B01G0023510.1:cds pep primary_assembly:Fonio_CM05836:3B:18315237:18316059:-1 gene:Dexi3B01G0023510 transcript:Dexi3B01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVIVLSVVVLLFAAVSAVLGFIAETTKLTPDDIKYSGGVCVYPAKPAYVLGICAAALLAAAQIIASVAGCGCCHKPPQGGGASESLLIAAVLAVAGYVQGVVWNAATTRDAVTVGWLIECHYLKGAVFRRAALLGLAAAVLGICSYAMLRAPAASGAEHIKPDGQQPAAGGEAQNPQFPPQVQAHAPVV >Dexi7A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:7A:21778683:21779341:-1 gene:Dexi7A01G0011160 transcript:Dexi7A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAPVDPAASCSASTSASSSAAAPGDVAAMLPDAPPRRGAGHRRAQSEILLGGAALPEDLTFDADLGVVGEACGAGDEDEDDDEDDEDGAGGAGGSRMFEMFLENGGTLPGPPEPSAHPHPASTPPPRPRHHHSMSMDGSTSLLGSASAGTPGRAGADAKKAISDAKLAELALVDPKRAKSISGW >Dexi3B01G0022780.1:cds pep primary_assembly:Fonio_CM05836:3B:17503225:17504223:1 gene:Dexi3B01G0022780 transcript:Dexi3B01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKSAPAAAAAGAGAAPPPPPSGYFSSVFSSSPAGNAKDAKQTDLFAMLNKQSSRGQNGSSTTDSKSSGRPTYKDGKHVYSNESSESPYFGSSVHYGGREFYSSSPQKQPANEAPRNYKEDNPDGSATRDCTFRFALLLNNLLWTLLL >Dexi1A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:1A:6950370:6950731:-1 gene:Dexi1A01G0008790 transcript:Dexi1A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSQSEDRKLSAVEHVKKRHEEKGFLYACAFMFCCCFCCYETCEHCLECFCCCGKKDE >Dexi6A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:6A:23747947:23749917:1 gene:Dexi6A01G0015850 transcript:Dexi6A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRGFVRICLWFLRSGDSRGFAFVRYKYADEAQKAIDRLDGGEMWMGGTSWYSLPSMAPMLSQSGKEGLKRRLRSHGTGQEAVVQGQGTEKEIIGGEVAAGAEIGMAVIGTGIIDVRAEAKVEAEAEAEAEVQVQVLTTKVDVVQEMMLSTRAGARAEA >Dexi3A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:3A:2227529:2237626:1 gene:Dexi3A01G0003430 transcript:Dexi3A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQLRRRRHPPTPPPSSSPPPPSAMKNTRRRKTKITKKPQIADAPVRKGTPGGSISGSNNASFMLAGHRACWLDSDEPQSKRIKYDAGKEVDFKKDGPRYSESVLRVHKSVVSLVASTGGWQEFCSGTVVDYVDNKTWILTSATLVRKPDTQFEAYKPEEIKIEVVLYNGDTVEGLLEMCNLHYNIAIVAIVYKHSLVLLPAVRLSDLPLHYTLQPRPVMALGRDVDSKGFLVSCGKLVRENSELDCKELLICSCDISEMYDNCRTLPWLRIRGQPLHTVDLDILATMRCKFDMPHSGLLVDKVCDTSTKNYGGIEVGDIISELDGAALYSGAQFTAIFLDKFEAAMDTSNAGTLQAVVHRPTNKTTFVAKLKVQQVACDEPNKSFQNRWMEWKRYGFDEQAAHQTAGFDLEEQRPRLPLPTPPATATDAAAPPPHPPPPTPGSSLAAQPQSLDSDESRKGSVPVRP >Dexi4B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:4B:4047183:4048028:1 gene:Dexi4B01G0005700 transcript:Dexi4B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNSRHIPLSTRLRVALESALALAYLHSWASPPILHGDVKSSNILLDENYEAKVSDFGASILAPADKSQFMTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKLAFNLEGPENERSLSLRFLSAMKEGKLMDVIDDRIKSDSDAWLLEEVAELARQCLDMIGERRPAMRDVADKLDRLSKIMQHPWASAQHDPEEMESLLGEPSVASLEMISTGNFSMEKRIVQGLLESGR >Dexi6A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:6A:3201347:3206370:-1 gene:Dexi6A01G0003580 transcript:Dexi6A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAAAAVRAEESVSGGVDVWSDAVSSHAPDHLLVMVHGILGSTADWQYGANEFVKQLPDDVIVHCSEKNSSMLTLDGVDVMGERLADEVLDVVSRRPELTKISFLAHSVGGLASRYAIAKLYRHPTGTSDGETKGTICGLEPINFITVATPHLGSRGNKQVPLLFGSLAMEKVACRVVHWIFRRTDLLCEHLREEWLFFNARNQILLAGEHHPLEETPNCLRKASFTSDMQLAVSSSVKYPHIVHEEYSEEIDDEKCQDTTTDCNLDILEEKMVTGLRRVSWEKVDVSFHSSITSFAAHSIIQVKYAFMNDGADVIQHIIDHFQL >Dexi2A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:2A:31582493:31586607:1 gene:Dexi2A01G0019380 transcript:Dexi2A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAFPASIGTPAAFPGHEMPLNPSSAFSRSASALLRRSLRASLRSISPMASAAAPTSAPAAAKATEQRPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGFGVPELNSAIAERFLKDSGLQVDPDKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRAPDFAVPLEELKAAVSKNTKAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFSDEVYDKLAFEADHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGLRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYSAKKAILLEGLKSAGFIVHPSSGTYFIMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEEGKNLVRFTFCKDEDTLRAAVERMKTKLRKK >Dexi7B01G0024290.1:cds pep primary_assembly:Fonio_CM05836:7B:28655203:28656657:1 gene:Dexi7B01G0024290 transcript:Dexi7B01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLLPFVLLPLVAAAAVLAPTTASTTLPLYRHLPVVGESAQQHPLSRLAAASLARASHLRRPAHQKQKQQPPPASTAALYPHSYGGYAFTASLGTPAQALPVLLDTGSHLTWVPCTSNYQCRDCPAVTAAAVPTFHPNNSSTSRLIGCRNPSCLWVHSAAHLATNCTSSGATTLCPPYAVVYGSGSTAGLLVTDTLRAPGGRAVNNFVVGCSIVSVHQPPTGLAGFGRGAPSVPAQLGVHKFSYCLLSRRYDDNTAVSGSLVLGDAAKATGDRNRMQYIPLVKSAGKQPYAVYYYLALTGVSVGGKAVALPQRAFTANAAGSGGAIVDSGTTFTYLDPTVFKPVADAVVAAVGGRYKRSKEAEDGLGLRPCFALPQDAKSMALPELSLHFRGGAEMRLPLENYFVVAGRAPVPGADAAPSANAEAICLAVVTDMGGGSGGDVGRGPAIILGSFQQQNYLIEYDLGKERLGFRRQPCAASS >Dexi3A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:3A:3012891:3016100:1 gene:Dexi3A01G0004630 transcript:Dexi3A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGDGDKEAFFHCLDRVPSGLHLDADFPSDDDDDDDEDDVRVSFASATGDQNLQSFRPYQAAVVDDDEQDEEDDDPSKYDMWMSDEPMSIQERRRRLHQGLGMASSRDLALRRHSMKKRPADVPRTMSRTVSRQLPSPPSPSPTPPSAADVPTSTTAAKEAAVAAAPAPQPEKKKAITRRRSDSDLVVVRDGASVSGKPPSSSQPLRRVRSLPPRHDAGDVAQVILKLKAMASRDLPVVVVLPLAVPVDDKGRKGDGDDGSKKGDGGEGNKKPDDGSKNQNLPDTEKETAAAVVVPSPKEVSSNSGVPGLEEFEKFIGNTPIMKLMRRGTSQHHPAPPLAGGVPPKAASKKKGGWLKNIKSVAIGFMGDKQDTNAKSSSGASTTTTTTAAAVPKSQSTNASAGGAAAPGSSASSSERLKVHQYGKSSKELTGLYMCQEIVAHEGSIWSIKFSADGRRLASAGEDSVVRVWQVVETSAPPSSLAMDGKSGPLAPLPPAMADGSSSSTPALSKKSTTKAKSAVPEHVVIPDKVFALAEQPVCVLEGHKDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKACLKTFAHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDINEMVTAASYTPDGQGAIVGSHQGSCRLFKTTGCKLSAEAQIDIQNKKRKAQAKKITGFQFAPGSPSEVLATSADSQIRVFDGVTMVQKFRGFKNTSSQITAAYTSDGRYAVCPSEDSNVYLWRTTRVPPAAAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTHAPPPPGSPSSSGGSPASRDKLVCNEESCSVAAKPEGGGDPASSGKGDGGNAWGLVVVTASLGGEIRVYQNFGMPFRIKGQGNLFY >Dexi9B01G0033250.1:cds pep primary_assembly:Fonio_CM05836:9B:35453177:35454061:-1 gene:Dexi9B01G0033250 transcript:Dexi9B01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMEARVAAAKVDRGGRYGDDLLGLMLEAWSPERRQDAGSAGKTTLTTDEVIEECKTFFAEGQETTASLLVWAMFLLSTHPQWQEKVREEVLREFPGDVAPNTDVLSRLKLLHMVLLETLRLYPPIVYIQRTATTDAELRGIRVPRGTAISIPIGMLHRDREVWGPDADEFNPMRFENGVSRAARDPSALLSFSLGPRVCAGQSFSITEAQVVMAMILRKFSFCLSPAYVHKPKFVVFLTPKSGMPLVLRNLDG >Dexi9A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:9A:5137361:5139685:1 gene:Dexi9A01G0008680 transcript:Dexi9A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMEPGAEPLTESEQADLAAIAAIKESAAREFKEQGNQFVRMGRKHYGEAVSCYTKAIAQMEPLSSLDAAAAADASVLFANRAHVNLLLGNHRRALDDAEQAIRLSPSSVKAYYRAVKAALALELLPDAASFCRRGLVQDPANEELKKLLSQVEAKLSEQERQRAKVTQAIVAAKDLAAAMEKRGVKLGKAAFQELTGVKKPNLDEQGVLHWPVLLLYPEVMSTEGSSKWIKVKEGKTLQEVLQEKDFIIPAIPVFFVVSRKSTFYKDFKAGNWSLP >Dexi8A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:8A:1486949:1488310:1 gene:Dexi8A01G0002240 transcript:Dexi8A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCHPRSLSWLARSCVPAHPARHIAVPLPISAASPANPASDTDESPISALPDELLLECLTXXXXNPASDTDESPISALPDELLLECLTRVPRASLLPLPAVCRRFASLLASDGFLHLRRAHGRLRPCLLAVNVSAFARALLHLGASSRSDIEVAALPLPPQLLHCAGGSSSSSAFAHARAVALGPREVYLIGRGATMRIDALTGAARACAPTLFPRKKFAAAAVEARIYVAGGSARTAAVEEYDPAVDAWRVVAEAPRRRYGCAGAGAGGVFYVCGGVAVSGGEDGAPRVAAHACAGSVDALHVASGAWAWSARPRAVPAGGCVVGACGGGDGHLYVVASHAVELSFWRWSGGGGATRGGGGACGWVALEAPPVPRGSVGLGMAVRVAMAGVGDGRVAAVVNVAAVRGHNAADNSLEGMVLVYDIAGGKWSRAPDLPPGFRRAACAAVEC >Dexi7B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:7B:24859682:24861151:-1 gene:Dexi7B01G0019320 transcript:Dexi7B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPPCKLPPSPHLKSQLALPSHGGGKIHSSSSGAVQAAAPGHLSLLLLLSASQQAAVPSAKSTATKNRGKGGGDPERSDFYLNLGTAVRTLRDDLPAVFVREPNYDIYREDITFIDPLNTFHGIDNYKTIFWALRFHGRLLFSEIGLDVSRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRSVANVGSIADMVVATCPPSPNLTFWDVVGTGDGCSWTKLYEAVVEAVEGEGRSSRGIGIGGLITCS >Dexi2A01G0032860.1:cds pep primary_assembly:Fonio_CM05836:2A:43198845:43199729:-1 gene:Dexi2A01G0032860 transcript:Dexi2A01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVDHHQHHGLHSPTPAAATKISIPISSGEAALLGKGRYKTWALAAIALLALWSMFAASVTLRWSSGELAAPLGDSSDPLIDELDPLEMEQREKLVRRMWDVYTRTGDHVRLPRFWQEAFEAAYEELAGDDAHATDAAISEIARMSVHRPEVEQSWNKN >Dexi4A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:4A:10938078:10938571:-1 gene:Dexi4A01G0012390 transcript:Dexi4A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGKANAKASGGKRGVAAKDPVDAPLFSDKRRRERVGMGDSDHEFDSDMKEIVTLLRNIKDKAHKDGQKKTELAISR >Dexi5A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:5A:3833144:3833716:-1 gene:Dexi5A01G0005010 transcript:Dexi5A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKAFPFPAVQSVCLWALLTACVFAVSVVFGEAVHIPCGEGSWFTPCIEMTDAALATAEATYLGMRWCAVAQAAAAAVALLLPARRRRSRRALAYAALAGAAAGHYMYASLTGLLLDTDPGYLFLRISGATATLVFAAGDLVCLLALLLGEDD >Dexi2A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:2A:1775028:1775534:1 gene:Dexi2A01G0002280 transcript:Dexi2A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSSKLAFLALVAALVVVATPCAAQDSPQDYVDPHNAARSDVGVGGVTWDDTVAAYAASYAAQRQGDCALVHSGGPYGENLFWGSAGADWSAADAVGSWVSEKQWYDHDSNTCSAPAGDSCGHYTQVVWRDSTTIGCARVVCDNNGGVFIICSYNPPGNVIGQSPY >Dexi3B01G0032610.1:cds pep primary_assembly:Fonio_CM05836:3B:35041407:35044068:-1 gene:Dexi3B01G0032610 transcript:Dexi3B01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVEFSVDKATEDCKQSVVEIGDKTYVIGRSDDDLKSFIFVKLLDKRTQTWVVPTVLGTQPTLTKSQSAIPVNDDKILIIEKGVPFNESIWFLEVDTPFVKQQRKIKGTEVVSWSKGVIRIGQKPVVISGPSGVGKGTLIAKLMKDYPSMFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLQARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLETCYEKLKELLSLDGDQEDSADLFMIDGKQIGSYSIVSKNDSEILLKPETSEAKNGATSLLALDLSSLSGGAPGRTRGLKIRSINSF >Dexi1A01G0032540.1:cds pep primary_assembly:Fonio_CM05836:1A:37137342:37138095:-1 gene:Dexi1A01G0032540 transcript:Dexi1A01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACAVAAVVPSAAPFQAFEPNSTLPPRRGSFFRVSCRHSYPRNRGRTRSSRRDPAESDAGVGGILKDDSSYLLTLLLGSVGGAVAIKYGSILLPDITRPNIVQALLMVSLPVVAAVLLLLRASSKED >Dexi1B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:1B:6380909:6381396:-1 gene:Dexi1B01G0007730 transcript:Dexi1B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPRTRAFASRRLLSALAGGGGGAPHAGSVYGFGDNSHGAVGQPPPTADAYEPTPVPSLPPSVSAVAAGHYHSLAVSAAGEVWAWGRNDEGQLGRGLHSPSI >Dexi9A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:9A:3713491:3716175:-1 gene:Dexi9A01G0006530 transcript:Dexi9A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEDYEDEEEEEPVAE >Dexi9A01G0033910.1:cds pep primary_assembly:Fonio_CM05836:9A:38772885:38774651:1 gene:Dexi9A01G0033910 transcript:Dexi9A01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKRFINNVKDEDFAKELIVHREINHKNVVKLIGYCVEDNALMMITEYIHNGNLSDVLHHVNGHIPLDTRLRIATECADALAYMHSYMYTQVIHGDIKPANILLGHNFSAKISDFGISRVVNMEDTLYTDNIKGSIGYLDPLFARDGCLTAKSDVYSFGVVLLELITRKRATTKFGRVNIIDLITDPLSGGIREAFDEKIVNQDNMKILEGVAKLAGECLMMERDRRPEMIDVAERLRTLRKASLQGQKQHDLFSSVTKSIRPPSLPELYRKFSYAEMKTATKNFDKLLLVGKGEFGRVYRGMIDGGTNMVAIKCLKYRHTTRIRSMLRHRHLVPLIGYCDEDEMILVYDYMANGSLRDHLFETQKSPLTWKPPLSWKQRLEICIGAAQGLHYLHTCAEQAIIHRNVKLTNILLDNEWIAKIADNIETDPNNDTICNAHGNIYDPEYDSTGRLYEKSDVFSFGAVLFEVLCARPFLAKVCLLHWAVRCKEEGNLDQIVDYNLKGKINPHSLNKFVEMCENCLANRSIDRPSMVEVISDLEYALELPESSEVSQSPAGSMPGDAMSQDIERNKDSMIWYLSTSSGSS >Dexi2A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:2A:12778684:12779253:1 gene:Dexi2A01G0011130 transcript:Dexi2A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASGGDHDLVVPLLGTEAWVRSLGFSVVDDWRAWHLDGQSAGYVHDKLIKQHDICDCQGKMSMHARAIHGAGHTAPEYEPERCFAMFSRWILNRPL >Dexi5B01G0022110.1:cds pep primary_assembly:Fonio_CM05836:5B:24323670:24325020:-1 gene:Dexi5B01G0022110 transcript:Dexi5B01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFKLLFGPTAAIFLSAVVILSCFTNMPYLHLSYVIDDDDLARRSTSYLAAPAPPPPPKCDIFRGEWVPDPDAPHYTNETCSFIQEHQNCMFYGRPDLDFLKWRWKPHGCDLPRFDPHRFLAIVANKTIAFVGDSLARNHMQSLLCLLSKVALPKDVSVADKTDPNKILYYEGYNFTIYIFWSPFLVRSEEVVGGDHPGVFRLYLDEPDDRWLSASSRFDYVLLSGANWFTRETYFYERRQLVGGMYVSLNFTSSLTNTYSHRMAFRTALRALALARFRGKVIVRTLSPMSHFEGGPYDAGGDCRRTRPYGANETKAMEGAELGFYTSQLEEFREARGLDVALMDATAAMLMRPDGHPSRYGHWPDEKRTLYNDCIHWCLPGPIDAWNDMLLHMLSDSN >Dexi8B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:8B:2815607:2817187:-1 gene:Dexi8B01G0003660 transcript:Dexi8B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIGLKSMILDGCVLLEHVGPEGLPPLLESFSFDAGSDPSKISKISLAGCVHLKSFLLHGAFPGLEELDLSGTSVKTVDLSSKVVQVNGLNKVILIGCKQLRALLWSKQLKVLRIDTNERNEQTQQPCSDLTLSSQEKNYDSYVIASETRIIQSLWHWDRADPYLISNSLYLHLHNAPPSTRISERRSTRSDKDIIPKPCCYNKDVSLEGISSNDGGEILWPPPSDCHVEINLPSLEALHITHCGHLRHVFPWDDVHKPRPTVHRGQAAAGAAVNKFPNLKHIHLHELPSLQEICEDIRMLAPVLESVELRGCWALRRLPAVGRRSDGGPAAVVRIERDCWEKLEWDGRDVGHHPSLYEPRFSSRYYRKEHLLRGTVLR >Dexi6B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:6B:25478037:25480763:-1 gene:Dexi6B01G0018550 transcript:Dexi6B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKPARAGGGSAPDRLSALPDELLRHVLSFLRSRQAVQTTVLSKRWVDLWRSVPAIDLDVTDFPENNSSIYVNWGKMKDFSTKLLMFHSAQFLDAVRLRLGIFDSRNHLRDDVDVWVRCLIKHQPLVLDITVSRSFGLRFQIPLVDSPFRRLKTLELYGVFVDHGFKERLNSGCPVKPVVGPIELPMLENLRTLFLDSCVLCDNFRILRYFLQRSPNLEKLTVRYCRFPKGADGGKRMPNSTKTRFRFWNLVRFQCHKLKSTEIIYKKGGKIKELVSFLRTFQRTHQ >Dexi5B01G0028310.1:cds pep primary_assembly:Fonio_CM05836:5B:29712596:29714015:-1 gene:Dexi5B01G0028310 transcript:Dexi5B01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVPLASSSGGWDFTCNFEVDYGSEEHASIVYKTLAVDKELQPDKVKREMTLSGSKLAVHFAAVEARFLRASFSAFVDLMGLVTKLVEEYGVTKEGQS >Dexi6B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:6B:2164687:2171810:1 gene:Dexi6B01G0002460 transcript:Dexi6B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLDPVVGRQKQIDQVVQILSRKGKNNPCLTGEPGVGKTAAVEGLAQLIARGDVPETMQGKKVISVDMGRFLAGTKYRGEFEERLKNLMDEIKKCGNIILFLDEVHTLVGAAAAVEGGIDAANILKPALARGELQHLFAPIVHNLTASSVCSDRFLPDKAIDLIDEAGSLVRLRHAQRKLSKEVKDLETEVKKIMEEKNDAIRSQNFKRAKELRESELNLNSQIIAAIAKNKEMMTHQDEVNNPPATSLILMVTKQDIRHIVSLWTGVPVYVVSTDETNKLLNMEDTLHQRIVGQDEAVTAISRAIRRARVGLNDPHRPIASFIFAGPTGVGKSELAKALATYYYGSEDAMVRVDMSELMGEARRVQAHRLAAGLRRTRRGLDETIVFSQLSKAEVKEIAGIMVKEVAGRVREMGIEMEVTEKFVDRVVDEGFDTSYGARPLRRAVVRLLEDTLADKVLDGEIVEGDSVTVDADAAGNVNVVVLGRDCLVQLQQPVEFVI >Dexi9A01G0042590.1:cds pep primary_assembly:Fonio_CM05836:9A:46182106:46185326:-1 gene:Dexi9A01G0042590 transcript:Dexi9A01G0042590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPGVGLAILVLFAASLPALHADDLNSDAQALQALRSAVGRSALPSWNSTTPTCQWQGVTCENGRVVELRLPGAGLMGTLPSGVLGNLSALRTLSLRYNALTGPIPDDISRLSELRAIYFQHNSFSGEVPASLFGLKNLVRLDIADNKFTGEISPDFNKLIRLGTLFLDGNSFTGEIPKLDLPALEQFNVSYNNLNGSIPTKLRKMPKDSFLGNTGLCGGPLGLCPGETAPAPAGSPDAQPIAGGAADIGGGKKKKLSGGAIAGIAIACVFGVLLLLALLFFLCRKKKSSAGRPAAAVEKGRDLGMEPLEVEPKGQNGSANGHNGAAAAAAVAVPAAAVAGAAKAGGGSTTGSKKLIFFGPMAAAAPFDLEDLLRASAEVLGKGAFGTAYKAVMENGSAVAVKRLKDVDLPEPEFRERIAAIGGVQHELVVPLRAYYFSKDEKLLVYDYMSNGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPTMAEVATRIDEIRRSSLDRQGGDSAGDGEGDEPSL >Dexi6B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:6B:5399352:5407117:-1 gene:Dexi6B01G0005830 transcript:Dexi6B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAASARLLLRSLSSASVPGKSRLAAATSASSSARLALARSCGAWVGRAGLARTSAGPRFAGVARAQIGAAVPPVERFQRSMATQATEHAFNNILTSLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTTNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSVIEAYLRANKMFVDYNEPQTERVYSSYLELDLDEVEPSMSGPKRPHDRVPLKDMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGIEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHEPPYFKDMTMSPPGPHAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVARKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPTNLSDIRPGKFKVYFGLTRVYGFD >Dexi7B01G0024580.1:cds pep primary_assembly:Fonio_CM05836:7B:28890764:28891972:1 gene:Dexi7B01G0024580 transcript:Dexi7B01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNGLFVSFLLAYCAVLLCVELNMEELKLTMLPLLCFVLLLSGCAESARVFTIVNQCKTVIWPAVTPGQSFGGGGFALRPGQSMVFTAPVGWSGRIWGRTDCTFDASGNGSCATGSCGSSLRCGASGAPPASLAEFTLAAEDFYDVSLVDGFNLPIVIKPVNGQGNCTTAGCDGDLRLTCPSELAVKANGRTHK >Dexi2B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:2B:5003115:5003538:-1 gene:Dexi2B01G0005430 transcript:Dexi2B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLIRTCLPLLVLFAATLGGASETLGGDGGQVLFDRGEQVYIVYLGHLPKPDPSEPNETGFASAVEFAHHDLLNQVLDDGRL >Dexi7A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:7A:16063115:16064359:-1 gene:Dexi7A01G0005010 transcript:Dexi7A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSKIVHRDEITEDAFTDSAQIPEKYIRTDEVSAGAVVGEDEAFELPVIDMARLLDPELSASETAKLGSACRDWGFFQLKNHGVDEAVIQRMKDSTMQFFGLPLGRKNAVAVRADGFEGYGHHYSRMSKLDWAESVILITQPAEDRNMDLWPTDPPMFKPALEEYSAEVTKLMRQLLVSMALDLGVDEKALTGAFEGKRQSMAIHHYPPCQHPEKVIGNTAHTDGLGLTVLLHVDDTPGLQMLRGGRWFPVRPAAGALVVNVGDILHILTNGAYRSVEHRVVVGADRGRTTAVVFQDASVGGMVAPLPELLVVNGGGEKARYRSIPRFEYLKVRFSALAKRKGFLDSLKL >Dexi4B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:4B:9580272:9584551:-1 gene:Dexi4B01G0011950 transcript:Dexi4B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding YITDASVREAVSSVLRRPDPELADFIRAECSKAAYVPILQYYSGGLSIISYIYGSSECYFGINLRPLCDPSEVSYTNMACFEFLPMEDDNADATASQQQLVELAGVEAGREYELEVSNYAGFRRYRISDVLRVTGFHNAAPQFRYVCRRNVVLSVGVKKTGVAELQRLDTMATEALPQTLSALPDIQALKLHSQIGSSLETAKLNKPSTSVPRRLPELDNLSKPSSEVEPKQQKPNNLSNESVDHTTSNKVSAELIQNKVVSECPVDEEKKVMGHENTGRSLKPSSALGKEFSLSKANESGRLIKKSETGERGISSRYRPSNSSDISDESSCSSISSITKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELTGTKSYFAMKVMDKVSLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFLEQAVKFYVAEILLALEYLHMLGIIYRDLKPENILIREDGHIMLTDFDLSLRCAVSPTLIRSSNPDAESLRKNNHSAQQAFVEPSCMMQPSCTAPTTCFGPRLFSKSKKDRKPKPEVVNKVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFPARDLIRGLLAKEPQQRLGYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPFEIGRPPKQPGSTSETAASTGATQKGSDNYLEFGFF >Dexi2A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:2A:2235279:2235824:1 gene:Dexi2A01G0002780 transcript:Dexi2A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSPLFATAFVMVLLLAGITTSHAARHLADTTPAAAPAAVPAIPAMPKPPVPTVPTVPAVALPPMPTVPAVPQVPAIPAAATLPPMPAIPATPAAATMPPMPAVPAIPAVPAAMPNAAAALPPMPAGVPAVPKVTLPPIPAVPKVTLPPMPAGVPKVTLPPMPTIPGVPMPFLAPPPSA >Dexi6B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:6B:22697364:22703078:-1 gene:Dexi6B01G0015350 transcript:Dexi6B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTAKSMGRDPMAALARHEATASSLSLSPPTPTLPLPQPRRGGALNPRPAGGGAAMSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRGFAFVRYKYADEAQKAIDRLDGTEKEIIGGEVAAGAEKGMAVIGTGIIDVRAEAKVEAEAEAEAEAEVQVQVLTTKCFSITKASKCFSITKACRLPWLELVNELSLKFGLFRLASQAEPSRLYLKLSQAEPGSARLVSTFHP >Dexi4B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:4B:4883946:4889336:1 gene:Dexi4B01G0007040 transcript:Dexi4B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFYAGASPQKQQHYQRRRQQIRQERKSLPIASVEKRLVDEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQDGKVIGITQPRRVAAITVARRVAEECNDQLGKKVGYSIRFDDSTSSATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANGNKNGKTLPDVRGHSQNLTQKACQGTRCAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKLRLFKGVAVLDVRGPGSASDCSKKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCRENFINHRSLKHARDVHSQIQGHVQQMGLNLSSCGDDMALFRRCLTASFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >Dexi2B01G0020840.1:cds pep primary_assembly:Fonio_CM05836:2B:30832700:30834021:1 gene:Dexi2B01G0020840 transcript:Dexi2B01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRTVQYDRYGGGAQALKHAEVPIPSPGKGEVLIRMEATSLNVVDWRFQKGVARPVMPRKFPFVSGYDLTGEVVTVGTGVSDFKRGDKVVAFNFPNGGGLAEYAVVSASKTVLRPPEVSTAQAAGLPMAALTALLSLKGAGVSLDPRDGTGRKNVLITAASGGVGHYVVQLARLGGHHVTATCGARNLVLVGDLGADEVLDYKTPEGAALRSPSGKKYDVVVHCATTGFPWSEFRPVLAAKGMVLELTPGFVAFATTIFQMVTFSKKRLVPLIASPKKEDLEQLLGMVKLGKLKTVIDSRYPLSKAQDGWAKSMSGHATGKIIVEMGAAE >Dexi5A01G0022610.1:cds pep primary_assembly:Fonio_CM05836:5A:26743418:26744471:-1 gene:Dexi5A01G0022610 transcript:Dexi5A01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGRTRLFLLCASLVILSLPAPSNGAWNSTGTINQSHNSTGHLLEMTPKVSFQLKLHALFHWSSFGFLMPLGIIVVRMSSKSRNGGCIRLLFYCHVISQVAAVLLATGGAVLSLMNFENSFSNSHQRVGLALYGVMWLQPIIGFFRPERGVKVRSLWYFFHWFLGIAICATGIVNVYIGLRTYHERTTKSVRLWTGLLTVEMELHVESRARHR >Dexi6A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:6A:2637502:2638802:-1 gene:Dexi6A01G0002850 transcript:Dexi6A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding YISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLGIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCRYVENCAIAFVGLASSPWIIDSFVFPPVFREDILYNDMLKKSR >Dexi9A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:9A:15505100:15506662:1 gene:Dexi9A01G0020480 transcript:Dexi9A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVFLFRLQQIARSAIAGCTGIWGITMGNSSSRVSGRSKLRQGHGSKVAPSSPAGDQSEQKTFKWSIDGFSSLLDKGTGWTYSRVFEAMGHSWYLKLNPRDKKSGDSKEYVSLRLELANSSVKPDTVVDASFKLMIYDQSFGKHSEHEVSHSFQTASTSSGTSCMISLTKLKSQSSKFLPNNCCVFGVEFVNVTTSKANTTSETLFVQKTSIFNEAKSYTWDIDDFFALKNPGYSPEFEAGGYKWNIAMCPSRDGNHLSLYLKLKKTNDLPEGTANLVELTLSIKDQETGKHRKGTGRCQFAKNARTWGWSKFISMEDFKDSANGYLVKTKCCVVAEVTIVGSSKME >Dexi5B01G0032900.1:cds pep primary_assembly:Fonio_CM05836:5B:33426543:33433912:-1 gene:Dexi5B01G0032900 transcript:Dexi5B01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPGQLLPLTRPPPPPRAPPFLSSHRSRCPPPARAHARGSPPPLWRAHRLHDRFLLPARRFGAPARPPRAPPTPPGVSAAGGGEAQAAAVGEFVTSERVKVAAMLALALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGLVSDMLIRNGTNITLTRKIMQSIGFLGPGIALLGLNAAKSPIIASAWLTIAVGLKSFGHSGFLVNLQEIAPQHAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLIKRNLEMYYLPLCRNTRGAIGIAQRLNIAIDIVHAIAYLHGYTDHPIIHRDIKSSNILLTEQLRAKVADFGFARLAHENPEATHVSTLVKGTAGYVDPEYLRTNQLTDRSDVYSFGVLLVELVTGRRPIERGRGRRHHQSLTTEWVNHAHPNQTSSSDTKMYAREVFDEITVLEQALRKCKEGDVVVVMDTRMRRTSAVVAAVEKVMALASECTAPERAARPAMRRCAEVLWSVRRDLQQEQQRVAAAAASAGARRHGGSTSAPPSATSLRQERVENLR >Dexi9B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:9B:11118314:11123221:-1 gene:Dexi9B01G0016260 transcript:Dexi9B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESREGVELVQSSGDVAQLDTSQTTPPPPGECPESTAAELKPSRPQPGNLLSSTQQQQPAAGPSAPHPTLDPYSRPRPHTDLTRPATRSAASPELAVVDCLGTEAGRLKQSSARFAQRLRLSSAKDSWMDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAIVMTIAKVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKTFNLHAVFKEVMSFIKPIASIKRLSVSVMLAPDLPLSAIGDEKRLMQTILNISGNAVKFTKEGHITLVASIVKAESLREFRTPEFHPTASDDHFYLKVQVKDTGCGISPQDLPHVFTKFAHPQSGGNRGFNGSGLGLAICKRFVSLMGGHIWLDSEGTGRGCTATFIIKLGVCDNTNTYQQQLIPLVWPSSADSDSSGPKALPEGKGASSLKSRYQRSV >Dexi9B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:9B:3042667:3043194:1 gene:Dexi9B01G0005180 transcript:Dexi9B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESHCADPFRFIPCLPKSKDASRGAASALAPRPAAVAEEEEEAPPVQKIEVPVAGKEEEEEAAEEYEDGEEETVVATAAAPAKSCLKKANGGDDKRAAKGTTN >Dexi2B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:2B:28734:33749:1 gene:Dexi2B01G0000080 transcript:Dexi2B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTREEAQPESCRCPDADDPETSRPERDDPETSRPERGDPETSRSEHDDPSPGAPPPPLRQQIVGACRADERLRPLLTLNVSCTAADDRFIAHLAQHFEVSEVGMLARCLCIPLVSLRVGKVQRDGTLLCPTHIRGKLNLGLLPSSSICLTFVGDDGYSEQLALLSNGFEFLEVAIEEISADNSGRCFLVRISESKVFYYWCAEKSKEHGIELLTKVPTFTPLMSDPIVHIPVIDVCSAGQAYLVNKNVDAEPKDKGFSTSVFGNGIGGAELHSGDAFSSGDDSQEPFAEYDNTTTDCDVQHCQKI >Dexi3B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:3B:14178183:14180427:1 gene:Dexi3B01G0019120 transcript:Dexi3B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRSTAASRRGRSARQSPFFRDLASPIPSHRGVSRFASAASPAAAPSATPPPPPIFTLNDRYASADFSPDPTASDLLPVASSPSPRAAASGGSPAWDRSWSRVSPSAPGSPMDGVVEPARKEVLALPPPGSPGTPPPPAATMTEAQSPVTPSQAPVRAEPVANGGEAEREEWVTVFGFSIGNTNLVLREFEKCGTILRHHSGPRDGNWIHVLYQALQKNGIQLSSGLIVGVKPIDPVHRQQLDERLIGNNQGGFMVNLPSKSLALKSTDEATVR >Dexi8A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:8A:2793695:2794330:1 gene:Dexi8A01G0003640 transcript:Dexi8A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDGFKRSCRRLLAILLTLALIAGVIALIVYLVLRPTHPRFVLQDANLQHLDLTNTTSAPLLSTVTQVTIASRNPNARVGVHYDRLDFYASYKYQQVTLASRLPAPIYQGHGDVDVWSPVLAGPNVPFAPFLADAMRKDLANGYIMMEVRIDGRVRWKVGSWTSGHYHIFVTCPAYFISSGANRVVGAHGLRFQTPTYCRVEV >Dexi5B01G0037210.1:cds pep primary_assembly:Fonio_CM05836:5B:36772720:36774029:-1 gene:Dexi5B01G0037210 transcript:Dexi5B01G0037210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSPSRTRTPPPAPSPSPHERNSATSFSGSAAGPYAAGGPHPKSAARNGSLDHAVITSVDASDGRARSTADLMYLSVTASTAPRTSRTATGIAASSPAPHDALVSTMALPKYPAGSGGANLGRPSTANSSLLRRDGPIPSARVLALHTNPASASNVVHARRRPPSSSSSIGCAAIALRREIDAGVNRNERYRSGSPSSSWMSSVTGANSWCGNSSRGGHLEYVLGARDAVHELAEHGRIRLGDVADVSHGTVSFGFHEDGAFAGAVDGQLPLAADRQAAGERWTSTFASDSLSTSETLLLLLLLSATLKQYTVCTMTAMFWSRLER >Dexi1A01G0031350.1:cds pep primary_assembly:Fonio_CM05836:1A:36355525:36357021:-1 gene:Dexi1A01G0031350 transcript:Dexi1A01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLALLPVLCCLGALMPTTSHAARAFFVFGDSLVDNGNNNFLLTAARADSPPYGIDTPDHRATGRFSNGKNVPDIISEHLGAEPVLPYLSPELDGDKMLVGANFASAGVGILNDTGIQFANIIHISKQLLYFEQYQKRLSSLIGAQQTRRLVNGALVLIALGGNDFVNNYYLVPYSARSREFSLPDYINYLLSEYRQILTRLYELGARRVLVQGVGPIGCVPAELALHSLDGSCDMELQRAAEMYNPRLMSLLSELNGRYGNGVFVGVNTQRMHNDFIEDPKAYGFATSTQACCGQGRFNGMGLCTMVSSLCEDRDAFVFWDAFHPTERANRLIVQQFMSGSVDVIAPMNLSTVLAIDLQNEQMRT >Dexi4A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:4A:8526657:8539540:1 gene:Dexi4A01G0010650 transcript:Dexi4A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAVSLWVGLRNPRKKKSSPEFQAVNILVLPPHFGSSSLDPFLCSALLLCFGSSPARAEHTHPPRGAMSSAAASVAFVGADELGVELAASFLRSGARVSCFVPEVRRCCPPAPIVALPLFLLPHVASFVRDRHEWLISDLCAGGPVGIGGAGGVERRRPVRESSGSRARRGRVRIAATLQSALVIVLTDADGVDEVFFGLEGIVKGLCAGASVLIRSSLLPSQLEKLEQKIADEKKDVLLLDGYIFNGLSDELKQQIVVLASGRQDAAERAKQFFNGLDKTIYFAEGEFCTSRIFVELVPKLLSGDPLLIDFLNSSKKNSSYVMDMAKAVTFPLPLLGVAYQQLIHGMLKVFFTCPAYLFARVDMRRYCPDVINVIKGSRRFMFFSSNWTWICLTTEGMIAVWEVSFGVNIVDAASQQIYDASKLADQLVMESKAAKRIGFIGLGAMGFGMASHLLKLGFYVVAYDVYKPTMARFDDLGGSTKGSPEEVAKDVEILIIMVANEFQADSVLYGNAGAVPVLSAGASIILSSTVSPGFVIHLNRRLEAEWRDIKLVDAPVSGGVKRAADGTLTIMASGTDEALHGTGAVLSALSEKLYIIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFAAQLNLRTRRVFEIMQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSRESSNSRIPVHVSCIAHQLFISEIYLQAICLPWIPMIKCGDTIKFYMHFVIGSASGWGRYDDAAVVKVYETLTGVKVEGKPSMLSKEDILHSLPSEWPEDPMNEHISVASRSSKKILVVLDDDPTGTQTVHDIEVLTEWPVEALVEQFLKLPTCFFILTNSRSMTADKAMLLVQTICRNLKAAAKNVPGVSYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQGSVCIVNAASEKDMAVFASGMIQAELKGKKFLCRTAASFVSARIGIKPKPPICPNDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCGQSLRVIEVSVEMISMKSAEDRDQEISRVVELANAYIESRKDTLVVTSRQLITGKTPEESLEINCKVSSALVEIVRRIDCKPRYIIAKGGITSSDIATKALEAQRAKVMGQALAGVPLWQLGPESRFPGVPYIVFPGNVGDNSALAKVVKNWASPSRSSTKELLLNAEKGGYAIGAFNVYNIEGVEAVVAAAEAENSPAILQIHPSALKQGGVPLVASCIAAAEQSSVPITVHYDHGASKSGLLQALEMGFDSVMVDGSHLTLRENILYTKSISSLAHAKGLLVEAELGRLSGSEDGLTVEEYEARFTDVAQAEGFIEETSIDALAVCIGNVHGKYPPSGPNLRFDLLKQECINLGVRKFNVNTEVRNSYLESLKKPEKDLIQVMASAKEAMKAVVAEKLHLFGSAGKA >Dexi3B01G0030860.1:cds pep primary_assembly:Fonio_CM05836:3B:31075160:31077474:1 gene:Dexi3B01G0030860 transcript:Dexi3B01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIISFTTFLAPIPTFYRMYKSKSTEGFQSVPYVVALFSAMLWIFYALIKTNETLLITINAAGIVIESIYVVMYFIYADKKAKWFTAKIMLGLNVGFFGLILLVTLVLFKGDKRVVALGWICVGFSVSVFAAPLSIMKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMGLYMFYMNKTPLVPEGKAAGKLPVAGEEHVVNLHPVTEMAVPRTCKAEAMSHQSPVVNVV >Dexi9A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:9A:2037550:2048944:1 gene:Dexi9A01G0003880 transcript:Dexi9A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAALRLPLPRLLLLGPSASVLGAASRRRAAAAPAAALRFLASSNPRPAPFSSRSARPLRSRRRDRDGDERAAAAAGGGDGGDGGGGGVAVTERIVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLSEAMFLTDLELNTVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSVIIQNPEATLQELLECMPGPDFPTGGTILGNQGILEAYKSGRGRIVVRGKTDIETLDEKSKRTAIIIKEIPYQANKATLVQKIAELVEEKVLEGISDIRDESDRTGMRVVIELKRSADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFLDFRCSVIERRARFKLSQALERKHIVEGIVVGLDNLDAVIQIIRETSNQAVATEALVKAFDLSEKQAQALLDITLRKLTSLERKKIVDETKTLSEEISKLNELLSSKKLIFQTHDHVLYFSDKGIVYSARAYKIPECTRTATGTPLVQLLSLSDGERITSIIPVSEFGEDQHLVMLTVNGYIKKVPLNAFSSIRSSGIISIQLVPGDELKWVRRCGNDDLVALASQKGRVIVNSCDKIRPLGRNTRGGCAMRLKEGDKMAAMDIIPATVHKMPEIYNRRLRDPSPPWLLFIAENGLGKRVPLSAFRQSRFNVVGLTGYKLPADCRLAAVFVAGLSLGDDGESGEQVVLVSQSGTVNRIKVKDISIQSRHTRGVILMRLEHAGKIQSASLISAAAAAEATEVAED >Dexi9A01G0026460.1:cds pep primary_assembly:Fonio_CM05836:9A:29445625:29446101:-1 gene:Dexi9A01G0026460 transcript:Dexi9A01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLMSSPSASCSLTATLLLLLAAGTAAQAAPAAGAACHNDIVSLRSTCYQYVQDNGPMVQPSPHCCATVRGITNATCVCDYFSSLDHLNLDRVFYVAGQCGVAIPWSCGD >Dexi9A01G0031080.1:cds pep primary_assembly:Fonio_CM05836:9A:35985557:35985848:1 gene:Dexi9A01G0031080 transcript:Dexi9A01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMAAHPAWLPRDEQMRWNLSPRPSASARSSMSSAALLSDRRGSGSLSPYPARSNATRYTPSSSSNSCTPRVGSSICFRRHVRHGFHAGDR >DexiUA01G0012170.1:cds pep primary_assembly:Fonio_CM05836:UA:24444471:24446587:-1 gene:DexiUA01G0012170 transcript:DexiUA01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDLAMAGLAPEGSQFDGKQYDNKMQELLTEDFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNT >Dexi7A01G0022740.1:cds pep primary_assembly:Fonio_CM05836:7A:30922522:30923557:-1 gene:Dexi7A01G0022740 transcript:Dexi7A01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYSSLLPPHHHHSDAATAAPPKSSSSSRRRQQKQLVAGSKAACFDAALAARLRALLPLPASSSPLEALARLADLLALTLAEAAPALAGEGDAAAVAAHLDAGVALLDACNAITARIERLRRRRLLARFALHLLASPAAADEGRGRARAALADRGGSAAASPPPPPLPSLPFDQPRGRLSAAARVLVAVNAVSSFAAASAAAVLGGGGAPATAFPRISGVAEFPWAEPFNAVSTQLSVLAASNASEVDAIDAAVQRLASALDGSDEAALRAAAQDVEKRTEELTPRLDRLSDAVNGVFRAALGLRNAELGSFMAGAADKTFG >Dexi2A01G0031040.1:cds pep primary_assembly:Fonio_CM05836:2A:41840303:41840707:1 gene:Dexi2A01G0031040 transcript:Dexi2A01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYYDMVPAVACGDGEAGAFLHRGKPADGAKRDAGGLRAFLRANEFAFSSQIDSSAFVTLTVREEDGPGWYLPTVDHGDGVFLFNGRRVRGHHTPEKLGMVDGDEMDV >Dexi8B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:8B:20364425:20367415:-1 gene:Dexi8B01G0011290 transcript:Dexi8B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLSPEEEEPSSSGPGPSASPDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSESCFWKIYFVLLHPKLGKEDAEILSTPQILEARGKLSHDLQYQTKLQSNNEDTIPVPLSNVHDALASPVEVLDEAKGQDGSVMATSFSNIDYGILQPNSQEILSTEAISDAGAVSSDKINSSVPVQLVPVLKDSTVVSPATLEEITRDLSTEDVAAEQSMQMSETALVDNSPPKDDQQKQPPLADVSKQSRVDIQNTYHDEDEDDGDEWLEEETGGPESTTIPIVDDEDVSFSDLEDNEGAS >Dexi5A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:5A:8376135:8376916:-1 gene:Dexi5A01G0011200 transcript:Dexi5A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVVVPSRALMCWLLLACMLMTPLCTSDGAAAATFHSHKRDAVDIVGKALVCFNDRNIYSGCQGSFRLGPQGSLDVPPGSADAFCGGPCLAETELVLRCVDDIMANFRFYNGASAADVRFALDRGCSSGRSGLRGDFDVLRRIGADAAANYGDGYFYGSGSNSLPPVLLLQAGAAAAILVWV >Dexi9B01G0031040.1:cds pep primary_assembly:Fonio_CM05836:9B:33494956:33496314:1 gene:Dexi9B01G0031040 transcript:Dexi9B01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATAAEAEAALGRAMTWAEAAWFRYSATMPDYCLYYHTALILLVSYTLAPLPLALLELRATQTSPPAYKLQPGVRRTVADFLRCYRDAVSVLKVGIRAGLPLPSVGETVAQLVVYFLVEDYLTYWFHRLLHTPWGYEKIHRVHHEHAAPMGFAAAYAHWVELIILAFPAFAGPAIVPCHMTTFWIWFILGAIEAVDTHSGFIFPFNPAKFIPFYGGAEYHDYHHYVGRQSQSNFGLVFTFCDFIYGTDKGYRYHKASLTKVIFF >Dexi1B01G0023310.1:cds pep primary_assembly:Fonio_CM05836:1B:28939581:28941817:1 gene:Dexi1B01G0023310 transcript:Dexi1B01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGGAAAMGGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGADKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQSKKDTGLEASRGAFAAQGINFSAPVPPSIPSTAETPLADALKYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGAANLDATRSQLTDFNLALSGFMDNVSQVGDQNNGELAKAISDDNLRASNLGFQLYHGVHDGEDVKCTADEGLLLLDLNIRGGYDHRSTADFKMNQHMR >Dexi7A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:7A:14616789:14619927:-1 gene:Dexi7A01G0004240 transcript:Dexi7A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVDSFPRTHPSQVIEIPSDASLAETVEILSKNKILSAPIRNVEAPEDASWMDKYIGIVEFAGIAMWLLSQSDAAANGTAGSRIGSPVSNIVSRLGSLTFRRTSSGRVETTTDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVMHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVPLNDLCF >Dexi5B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:5B:7325501:7326010:1 gene:Dexi5B01G0010400 transcript:Dexi5B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLNSSDPRRRALGGGGGVELRVDHGGEEADEEVEEVDPEPVGDDVEALHHVDPQRVDGGGGERPEPPPRGVRRRAVEYWNARDASLRHLAAAAAAPAAPAAEESPESIGSDRVGSGWGRNPSLGQRRGEAGTGEVGDEEETRRLGSARIFPAGSRCRLLLDAW >Dexi5A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:5A:5443077:5443428:-1 gene:Dexi5A01G0007300 transcript:Dexi5A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHGSVRLFGRERTLHEALGGHRVADIILWRDKTASAAILAVATVAWWLFEVAEFHFLTLVCYVAMIGMLVFFIWTNASTFFNL >Dexi6A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:6A:21950507:21952985:-1 gene:Dexi6A01G0014530 transcript:Dexi6A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEELKRRLRPLSFDEDGDMPPAAGPGDGGESIILRIESFTHGIHYVLSLSFSFVKVLEGGTVNLLSRSSGEYKINDLGFCKRKTGQDETGSSEKAYRCSSCEMHIFGSIASGSSCVVHRAIQIPNHRIMALKKINVFEKCAAFIGTVTYMSPERIRNRSYSYAADIWSLGLTFLECATGRFPYCVNGGLSDLMLQVRL >Dexi9A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:9A:8099475:8099844:1 gene:Dexi9A01G0012680 transcript:Dexi9A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding FELNCVCASLFASALAVAAAVAPPAVTMQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYDGRFTTFALSGFVRAQVIILVAV >Dexi7B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:7B:21391110:21391910:-1 gene:Dexi7B01G0015360 transcript:Dexi7B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLDDLQLPRPPARREPLAVRVATVTEHPAERRRAVRERVDPRVVVEAGGRRRRGHDAPHPHQVLGHGGVALLGSHLAVAQEVGVDKNDAVDPGALGETLRTGAHGHMVRDVGPGALAGEEQAGEVSAVGEPCLLAVAGGMGGDPAERPPRVLVRGGDGVLGREAVLDGDDDGTGARREGVEVPVDDGVEGAEEAEAATVEVDHDGEPAASTGVLLLGCRRREVEADADVGGDGVVFGRDARARAGVG >Dexi5A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:5A:5109381:5113152:-1 gene:Dexi5A01G0006860 transcript:Dexi5A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQSSPGYSAALSPPISSNIYAMRASGQDTNVGHPSPPRCSLLSAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDLSNYPHIFMFDRECKG >Dexi4A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:4A:8713795:8714304:1 gene:Dexi4A01G0010820 transcript:Dexi4A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATATAPPPPAQPTECMTPLIGMMPCMDYLTNLTVLAPPAGCCDGLKSVIRDAPICLCHGMSGDMNSLMPHPVDPVRMIVLPLACGAMLPLQTLFSCNTQQVPPIMPPMPAPALADPPASP >Dexi5B01G0038150.1:cds pep primary_assembly:Fonio_CM05836:5B:37411528:37416652:1 gene:Dexi5B01G0038150 transcript:Dexi5B01G0038150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRNKSMEFLKRFEMPAKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSQVETQRRNIQEKLRVALYVQKAALQFIDVLTMFTTLEYSVAARKTEHPLPELARQCGFSISAEELATIVRNHDTKSLRHHKGVDGIARKINVSLADGIKSDDASVRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCALISVVIGLATEGWPGGMYDGLGIVLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDMQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHLSNANPFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVNKAQAPGGLLRWQGMDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMEERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWASGAAQTVSTAKGFDELKSSVSENFTKVLLEGVFHCSGSEVVTNKDGKTTIMGTPTETAILEFGLEVEKYTKVEHAIAKKLKVEPFNSVKKTMAVVVASPYAAGHPRAFLKGASEVVLRRCSSVIDAIGCVEKLTEAKAKRVASAIDAFACEALRTLCLAYQDVSSGGEVPNDGYTLIAVFGIKDPLRPGVREAVKTCHDAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRVMARSLPLDKHTLVTNLRGMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMQRSPVGRGDNFITKVMWRNIIGQSIYQLIVLGVLIFKGKSLLQLNGDRSDIQLNTFIFNTFVFCQVFNEVNSREMEKINVFKGIFSSWIFTAVAGATAAFQVIIVELLGTFASTVHLSGRLWLTSILIGSVSLVIGATLKLIPVDSSTDSSDRHDGYQPIPTGPNAV >Dexi7A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:7A:24755663:24757523:-1 gene:Dexi7A01G0014810 transcript:Dexi7A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALLLVLVVATVASILPPSSGQAAATKCEYPKHAGHGYKHPVGVRKVVVDASGSGDFTSIQQAVDSVPVNNTVRVLMQINAGTYREKVLVPASKPYITFHGAGRDVTVVEWHDRASDRGPDGQPLRTYNTATVTILSNYFTAKNISFKNTAPAPMPGADGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNARSLYKDCELHSTAQRYGSVAAHGRRDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAVHGVPWARELDYFAARPFLGKSFVNGYHWLTPDV >Dexi3B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:3B:5551700:5557169:1 gene:Dexi3B01G0007840 transcript:Dexi3B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPAASRTALEPLATLDPAALAGLPVSSPLTVRAAALSAHLLYLGTGGGKLLLFSLQDPSTPEFLRILPIGATLPVSAILPLPSVARLLVLADGLLLLADPLLSRPVRRLGSLRNVAAVAARRGCSADPGSPSCSIAVSVGKKLLRVDLTLQDSDELDVQTREIAAVEGVKALAWVDDSVFVATATGYSLFSSSDGEGVDIFTLPESSGHPRVRPLSGGDEVMLLVDNVGVVVDKFGQPAGSSLVFNTAPDCIAEVFPHVIVAGDSKVDVYRRRNGAHLQTIPVARTSQGVLIVAGDDDGIGTELVVVATAYKVFCYHKVSAVEQIKASLRRKNYKEAISLLEEFESDGEISKDMISFVHAQLGFLLFFDMRFEDAVNHFLLSETMQPSEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDGGLVTVQQALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRYLCSSRMKNLSSQEMEGVDTFLMYLYRALDLVHDMEKLASSQNSCVVDELESLLDDSGHLRTLAFLYGSKGMCSKALAIWRVLARNYSAGLWKDLSENGSCGTSVEKRSGEEIAATEAAKILKASSDEDLVLEHLGWVADIDQELAIAILTSDMRDNQLSPEKVVAAIDTEKVAIHQRYLQWLIEDQGCDDPHYHTSYALSLAKSAIEAVHMESKYRGKDDKEIDSDAQFIYLLREKLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLSLYLDPQNGKEPMFTAAVRLLHNHGKSLDPMQVLEVLLYYRINTGKFFENLVA >Dexi4A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:4A:161464:165504:1 gene:Dexi4A01G0000220 transcript:Dexi4A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWEDLQQQPLIMEEEEEDLATAGLHTREMRNKTTDLLNLKAAAADTAEEQGNKSVFFDPAKGLWKCRHCDWTHRLSGPCTDDILNHQGYCQIARNLESLVQSEPFYYSSNKVSVHATVEGAEEDLVVGQKENSNEISIEEGKETDKEENINEQETNHRSSNGKLEDGSQANGVHEISSGAETFTVASEEAQPLKLIAAIHESKIILPNWSGALDISNGSTSTTEVHEIEVEKDESVTKGKVSIEDYDLEKILDEQETHDLYCPNCKSCITRRVILKKRKRTVRQAKRDEPPKRPQLVSSSTQNPEESQEQQSPEVFRCLSCFAFFIPTDCGFDILSIFKRRDPSQQGPVQHPSAPQQTSEHCGSWLLSCFEIRDSPKKPTDADSLKEQLLSGSQSANDNTSAEGSAPSSQSNDTIGEAEQLDQPLLAGSSSTTAKNEERNKQQSSESHGSASSSITVHAQGKK >Dexi6B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:6B:26302925:26305665:1 gene:Dexi6B01G0019610 transcript:Dexi6B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSRRPVAAVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAVHALGIAVLIYKLTKEKTCAGLSLKTQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFKLYYVIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Dexi9B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:9B:8413363:8414406:-1 gene:Dexi9B01G0012520 transcript:Dexi9B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRFAVGNAEQYEEIRRLGEGAFGSVVKARHRTTGKFVAIKRLAGSSQAGGTEALLREARFLEDASGSGAGAANPFVVGFHGIVRVPGTFVDLRLVMEHVGPNLHDLLRRQNPIGETSPPLPEATVRAAMYQLLTGAKKMHARRIIHRDIKPSKILVAPDCSVLKICDFGLAMSTDDDPPPYEPAGTLGYMAPEMLLDKPDYDERVDAWSLGCVMAELINGWNPFQGLSEEGQLCAIFDVLGAPDDTTWPWFSSTAFATVVMPELDMQRSNLLREQFPETKLSEQGFEVLSGLLTCDPEKRLTAAAALELPWFSNKTDVLLELPKTEEIALPLPKRLRVRFVCAT >Dexi1A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:1A:22648461:22649482:1 gene:Dexi1A01G0015560 transcript:Dexi1A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCNDVYLGLPDDDYFGLLGDDDLLPPPLPMGFDLPRKPPVNAAVHFCETQTPGRSDNHAAAAAEAKHGGSGAVAEEHCDVGVEYCSPYLKSGSEEETDCCGVGAAHHYWKHSMEEWSDRGTSLLDKDTERFKYLNGGQSRGDDSRAGDQQAAHRQEVEDAKLNFRLLGPLMYPRWKRALLKIGGSVLAGSTSENVDPKMIMLIAREVQVASLRGVQVAIVVGSRNIYCGDTWAAETGIQRAATNPIG >Dexi9B01G0044680.1:cds pep primary_assembly:Fonio_CM05836:9B:44376741:44379852:1 gene:Dexi9B01G0044680 transcript:Dexi9B01G0044680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPPPHGAASTLLALLSLSLLLLRLLLRLRLAAFRDAALSLHLLARLRLRPVHLRLSATTTLRVWCPSSPSGKPPLLLLHGFGGDAKWTWARNLHPLSRHFHVYAPDLVFFGAHSRSSSPLRSVAFQARCAADAMRLLGVSRYDVAGISYGGFVAYRMAAAEARDAVGRLVVMTSGVAAAPGEMREMAAREERTVEEALLPKTAEGLRFLVRRSMHRPPPWMPDFVLDDFIQLMCVDQRKERAELLQELLKNGAGFDPLPVLTQETLIIWGDKDRVFPVDLGHRLQRHLGGNTRLEIVKDAGHALQLEGAEHVNKFIKSFLLDERRAGPGVAVAQK >Dexi9A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:9A:7299952:7300573:1 gene:Dexi9A01G0011640 transcript:Dexi9A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVAKARRKYKVFYPTMYAIESENKDAKLFNCIQRGHQNSLEVMPLFFAMLLLGGLRHPAVTAVLGALCTVARFFYFTGYATGDPRNRMKIGVRLSSLAGVGLIGCTASFGISLLVRETA >Dexi1B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:1B:23598903:23599734:1 gene:Dexi1B01G0017230 transcript:Dexi1B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRSKIEVELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGSERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQV >Dexi4A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:4A:21734771:21735198:-1 gene:Dexi4A01G0017810 transcript:Dexi4A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRSKRRARTVVERVRLELLDVGGGAEPPVLVAATAEPYGAAEAGSAEKQQQEQGQRPHHAGCLVRGERETGMGESKVTEPGTVGRTGGLVV >Dexi8B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:8B:1090608:1091003:1 gene:Dexi8B01G0001670 transcript:Dexi8B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRASPLDVDDLLADILVRLPTLLTSLPCASLVCARWRRLVTDPIFLRRFRARHWEPLGVFHTSFQSGHEHLSFSFISDPPPGSILPDRFSVPACCQDAGGGGGDDDYTWNVRGCRHGRVLLINTPR >Dexi9A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:9A:3989839:3993644:1 gene:Dexi9A01G0007020 transcript:Dexi9A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLLAAGALLCLVALLPRPGAAAASAQQGQQPAALARQDVAALYGLRASLGVRATDWPARADPCALWLGVTCRAGRVAELRLTGLRRTRAGARRAGFDVGPLRGLTALRTLNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPADLGASGNLTTLVLSGNSITGPIPASLFSIPGLRVLDLSSNNLTGPLPNVSFSGSNGAGVSFNASGNSLYGDIGQAIGSLTKRFWVVDVSANYFDQAVGTGFENITDGVVHLGTNCLSGAADQRSHGDCEAFYMRNGVRLAEAPQPSSPLSEPLPPQVLPAASTGKRGNRWKTILAGVLSGAAIVLILGFSVLVFCLTRTSGRRRPRARGLEQTEEGIRSGRRSSSVNPVTMSPIASPGASGSPKSIPVIIDDVTYEQLHHATGGFGDDNLVNHGHSGDIYHGVLESGFEVVVKKVDLKSSKRCQGELSFLSKHNHRRIVPLLGHLAKDEEQFLVYKYMAKGDLTTALHKKSLEVEGMRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRSLDKNTSGPPASCTYDVYCFGKVLLELITGNFGLSGSNEADSDEWLARTLGYIDAYDKEGVSGIVDPSLVVDEDHLEEVWAVAIVAKTCLNPKPSRRPLARYILKALENPLRVVREREDLHSHSSQLKSTSTRSSWRFAFHGNKYESWDVMPSGKTLAARKSKAKSQGTERSDEDEENSFSFKRASRENFPDPIELEDSVVV >Dexi5A01G0031120.1:cds pep primary_assembly:Fonio_CM05836:5A:33960465:33963826:-1 gene:Dexi5A01G0031120 transcript:Dexi5A01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEPLSRGKSSADEDLETLPFDSSSSSVAATTDPLLRPPPSPSSASSSPTAGASHSPFVDDEEGESDEFTPEPAFRAAAAATSREASPVFAEITVSDPRKHAEPATGTVGVISGSSSYVSYLVATRASDGGEFRVRRRFRDVVALADRLGEAHRGLFVPARPDKSIVEGQVMQRHDFVSQRCVTIQRYLRRLAAHPVVGRSPDLHAFLTEPSGIPTSDGESPRWSPTTSSATSVAATAPATPAKSGRDFFGVFKDLKQTVTNGWVAMRPPPVEEEIDRKYLAHKAKLEDLEQHLVTTSQQADALVKSYDDLRATTGLLGMSFIRLAKFEKEQAMCSSQKIRAADINNFANAVIRVSRSQTKLNAEIVKHLGIIHEYMETMAAVHNAFTDRSNALLRVQNLSADLYFLHTRAGKLESVSSRGMDQEGPRYQKIEELKETIRVTEDAKAHALKELELIKENNMNEIKRFNKERRHDLVDMLKGFVSDQAAYSDHIASVWTKVAEDTKGYVNRSS >Dexi3A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:3A:16464466:16465968:-1 gene:Dexi3A01G0020750 transcript:Dexi3A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLPRAAALLLTRRLLGATARLSAPGCLLRALLHDEQPTARTNPYMTVRHLQWCGSDNDDGEEAVEAFKRDCSTTTSNSASDASASAAYIEKLCRSGNLVDAIRVLRHLHDEQTHVNLDTFNMLLQQAAEANSFGLFAKVFRYLLLSKLAPDSTSYMNVAKALQKLDGCELILQFVREILEITQDRDPTVTNCIIFATAKYGDIDKSLIIFEELKKDQTRLDVVTFNTILDMLGKAGRVDQMLREVKLMEELGHYPDIVTYNTIINCSRRLGRLDLCKRFGGEMLERGIKPDLRTYTSLVDSFGRAGHITEAMEMLDKMKMSHKPSVYVYRALISDVKKAGQFELAQKLSEEMNSSASDLLGPEDFKQKNKGRRFRDKR >Dexi7B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:7B:20101961:20104194:1 gene:Dexi7B01G0013640 transcript:Dexi7B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAACSTTQVGKLPFADRMPPPVEEERCVNAQLWLACAGSMCTVPPVGAAVYYFPEGHAEQATGPVVDLAAAPPLVPCRVVDVRFLAEPHTDEVYVKIRLVPLLPGEPVADVGDAAAAAGDDDGQPPKPASFAKTLTQSDANNGGGFSVPRFCAETIFPALDYRAEPPVQTLSARDVHGEEWTFRHIYRGTPRRHLLTTGWSNFVNKKRLLPGDSLVFVRGEDGGIHVGLRRAKRAFCDDDGGSVAVRRALVRGNAAGGGVRSPADGGKVRPEEVAAAARLAAAGKPFEVTHYPRASSPEFCVRAAAVNAAMQVPWCPGTRFKMAFETEDSSRVSWFMGTVSGVTADANSRWPQSPWRLLQVSWDEPELLRNVKRVCPWLVEQVSQMPNLHLPNFSSPPPRKKPRIPEFPFDGSQPIFPPTHPLSLPPPHHHHHHGLIPFLPFFPDGGSAAAAGTQGVRHDQPQLAPLLSDLRISSSNLQSLLLYGVGGHADHHHAAPPAPPSSRQDAPPRFPSSPAGDAKKNKPVGIMLFGREILTEEQTVMKRKRSGGAPPTSPEAAGIAGSSPNNKPGGGCDADQRAPSTPDSGVTEGGSPTKNSLWCSEFGLEPGQCKVFMESDAVGRNLDLSELGSFEELCARLSAMFCIDDADLRSHVRYRTAAGEVKNVGDEPFSAFVKSARRITIPSDAGSDNTGSQ >Dexi2A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:2A:6741094:6743628:-1 gene:Dexi2A01G0007010 transcript:Dexi2A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCISQIWMRQHANILAHLHEYEAVNKVYVSVRVSSSQKRIIRLATRWSLHISSKSQPPQQAPDGVRPEASPQLYPESLAWRHDDVQVMTSAVGPADVNVDWSTVDIDQSTSHRALHVSMWAQAISGLWALLVCGLGAVWFGPLGFNRPWAKPNRRRSPRDPLWQRHNPMRPASVRPIVCGMGVCSCRSACQAFGEMPRRPGRTPAYAGVRVCVRVSCGCACGLARGVMCAGLCEAKAWPWPSSRPNSLLSFPLHAGPSSFSWPSAPPLSFSLPSWPSSPPSLRPNSAQRPSSRFSPSATNQRALGLRLESDAPPPHLLGVCTMIVLAKRPYKTRASSPYRLTLALPFPQHSAAANRAR >DexiUA01G0018920.1:cds pep primary_assembly:Fonio_CM05836:UA:39601553:39604223:1 gene:DexiUA01G0018920 transcript:DexiUA01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSALLSRSTTGSSTTTTARVSAAAAAAISGPAAPSSSPPAPRPSPRPRGTSAASPFASGLAGRLFGGHRAACRSASSATAVFERRFASAATRNTYDEILTGLGRPGGGDEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDDFQVTSKDVEKILDWEKSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAVSKLGSDPNKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFHRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQVRYSLTSKLNF >Dexi5A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:5A:7887989:7888702:1 gene:Dexi5A01G0010450 transcript:Dexi5A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQPCWAISPHNLRHHLVLLLFTVAAAAVTTTRADTTGTPAPSPSAALENQPSSSSAAASEFLRSRCATTLYPSLCYASLLPYASDYLHTGGAATLARVASGVAAAHLRALSAQVKGILLHRGDPGQPADAAAALRDCASTISAAANLAKQSAAELSRLVDAGESSREARWEVSNAKTWLSAAMTNEGTCADGLEEAAGGAKASSPDGKEVSDGVVSVRQYTSNALALVNGIQL >Dexi9A01G0049630.1:cds pep primary_assembly:Fonio_CM05836:9A:52237503:52244945:-1 gene:Dexi9A01G0049630 transcript:Dexi9A01G0049630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRDPCESCDCECSSDDPPPLLPPAPCEKDCCDSDCERGCCDPAREAAEEPDAPTPSVPPVLLQLQAAEVESQSNGETNGSSPSRSERGGEGRGGNWMSPRREDGDGAEVARLVDLKLHGLLLLLLPSRPMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >Dexi1B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:1B:14973954:14978450:1 gene:Dexi1B01G0012290 transcript:Dexi1B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVRYSVLLKKKATPPRNQKASSPEVGRSMEAGNGGAGATRWRISRPPANGAPLADAGSMSIRAVLGRVISSVDASGPRPVLALGSGDPTASACYRPPPEAEDAIVEALRSREHNGYSPTVGVLPARRAIAEYLSLDLPYQLSPDDIYLTSGCCQAIDVMISVLAQPGSNILLPKPGFPLYESRTMFSNLEARHFNLIPDRGWEADLESVESLADENTVAMVIINPSNPCGSVYSHDHLAKVSIYSFVDKSIENYINITNDPATFIQAAVPQIIANTNDDYFNKILNLLRNSADLCYGKIKEIRGIKCPHKPEGSMFVMVKLDLSCLDDIQDDLDFCCRYENIGSALGMKDWIRITFAMDVSTLENALERIKSFCQRHAKLEAQ >Dexi4B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:4B:19568597:19570192:1 gene:Dexi4B01G0017320 transcript:Dexi4B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYELAMKLLVLSLVLLVSAVAGGINPSEEIVQQVPAIYVFGDSTMDVGNNNYLPGKNVPRANQPYYGIDMPGSGKPTGRFSNGYNTADFVAKSMGFVSSPPPYLSLAPSSEKLVMTAMATGVSYASSGAGILDSTNAGNNIPLSRQVEYFNATWSKMVTSKGSDAVSALLAKSIFLVGIGGNDLTAFANTGQAPSNDASFYSSLLSNYKATITELHAMGARKFAIINVGLAGCLPVSRVLDPAGACSDRRNQLTGGFNDDLRSLLAGLATRLTGLVYSLADSYGIMVDIFADPQAYGFTDVTSACCGEGRLAAGGCMPTSHLCGDHDRYYFWDGIHPCQRAASLRVQAFYDGPAQYTTRINFKQLAVGQ >Dexi6B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:6B:21522023:21522982:-1 gene:Dexi6B01G0013770 transcript:Dexi6B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASMNEEAPPPDFPEGGELWHQRCVLDRPATDMADTGDAGGKGELLGAGEKGEVAAGPVVGAGVGDLTALAISRKCSVRLEALDLSFCRELTNEALGLIVESCPSLRILKLFGCTQITDFFLKGHSNTLVKIIGIEGNILDQMDHR >Dexi4B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:4B:1378351:1379730:1 gene:Dexi4B01G0002200 transcript:Dexi4B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAVPRPRAALVLLILFLAAASLAPPTAAEIRETLIRADPRSIIPLDEFGFSHSGVLELNVSGIAFDPPASAELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQSDLVKLAFTFDRLRPPANPAGVEVARSSSFSTAFSVSDPGQYTLVFANCLGGGLKVDMDVRSAMYNVDPVTRERQYLSAGASSLPTFYFLFCLAYAGLAAAWVAILLRKRAAVFRIHYFMLAVLVLKALNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALQTITSYRYLWTSVVASELATLAFYVFTGYRFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >Dexi9A01G0048020.1:cds pep primary_assembly:Fonio_CM05836:9A:50894840:50897147:-1 gene:Dexi9A01G0048020 transcript:Dexi9A01G0048020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAALAISFLPSPTRFAAPATPSSSSRIKKAARFRCCAGPSSREQDQEISAAPPTRLPEKPARTPPSSLLGISTSTWSAGLAGLGFLETGYLTYLKLTGSEAFCPISGAGCGDVLEGDYSVVFGIPLPLVGLVAYGLVAALSLQEDGKDLLPGLDDLDIQLTLLLIATSMATASAYFLYILSTKFVGVSCSYCLLSAFLSFSLLFIRVKDFGLERIQKFVGLQLSVAVIIALALTNSYSSATTQLKGTDDFVLEPYETEITTESSPFAIALARHLHSIGAKMYGAFWCSHCNEQKQMFGREAAKILDYVECFPNGAGKGKKMTQECQAAGLEGFPTWVINGKVLSGDQELEVLAEASGFVADGTEQPKEITPN >Dexi4A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:4A:4205926:4206300:-1 gene:Dexi4A01G0005860 transcript:Dexi4A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSLLVAAAIVLLLVMAKVEGIRLDSESHEAFSNQMVHKSKEMAVKNTGNEPSGEKMEGSVSEEKDRVGHMLPEIHVDYYGPRGHQSRHH >DexiUA01G0015440.1:cds pep primary_assembly:Fonio_CM05836:UA:32372607:32377857:-1 gene:DexiUA01G0015440 transcript:DexiUA01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLGRLHAQSRFTEPGLPLPPPAMAPPAAPPTSRPPPRHSLRKPLAPAFSSVPPVVEPTPPRLATLDPAPLPNSSKLAGHGALPDNLERPACLNPREGGGGLTSSPAVYHHPPELDEHESGDERKTTRLGLDGEATAVAVAVACGEESGEVESPPASVVRSGWRFDVAIGFLRLGNLAVGHDGGQVTISYAGVKLAEGAVPKFYVAGGHRKRVEATTAVASAGKDQAPLPQAFCDHIWVDQQLHGEAEFDIALTFTDLNITTGKTTHNYHYCKAGLALHGKSKPSNSARDAIWYRWVTPADTEWKQTTDGQTERTNQILEDMLRACALQYGSSWEKSLCYAEFSYNNSYQQSLKKSPFEALYGRRCRTPLFWNQTGEGKVFGPEVLKQAEEQVQVIRQNLRTAQTRQKSYADVRRRDLSFEIGDFVYLKVSPMRGVKRFNVKGKLAPRYIVPFKIWERRGELAYQLELPERLAGVHDVFHVSQLKKCLRVPEEQIPLEELNVQEDLTYEEYPVRILEESERPAHSFSPFFLPRGPTLFSPARPSFPLSSAQPSKPRGPAAPAQLDPPLPVAPPLSLSLRLTARAHMSASSSPRRSRAGLESDRAAAEPASNPNGILSLARTPRSPRAPIKPPPPPPRESPTLAPPPPPSHLLGAALPPRTSPGAPPRGEELAKRVFPRSLALYHARDLAGPPPSPEPRAQPPKRVRHPLLFLLAKRGELWSSVALLALDSGKAPPHAPPHRRVSGDPAPRSDLSRRIQNRRSPVHREPVNRGSWPVHGTVDRDVSRRQPSAVAMAFLQKRPCIVDEPFEFADDPVPEE >Dexi9A01G0033960.1:cds pep primary_assembly:Fonio_CM05836:9A:38796594:38797266:1 gene:Dexi9A01G0033960 transcript:Dexi9A01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPSAKKRRSAALDTGKSTTPATAETSDPEATRAKKTSSPSSQEPTPPSPPGAGEEEEANGGGGGVDHISGLPDAILGDIITLLPTKDGARTQALEHRWRHLWRAAPLNLDLRRRGLPEDDDDETLAAAISRILSAHQGPGRVFCFPAHLLHDRPAAVDAWLRSPALDSLQDIELCYPRRRPPLDHPPPLPASMFRFSATLRSAIFGQCQISDDGFGK >Dexi8B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:8B:6939811:6940101:-1 gene:Dexi8B01G0006100 transcript:Dexi8B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNTRDIRLHPQPRILGKISCSACIDSTVLGHGRELGACAVPSWTSHSAGSWEPPCIDFTDQEEKLIVHLQALLGNRYRASYLPKRMDNAIKNY >Dexi2B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:2B:8308601:8309102:-1 gene:Dexi2B01G0008190 transcript:Dexi2B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGRAKVTPNLAVDGEGTRTLNLTVLQRLDPAVEDILITAGHVTLYDFDTDINQWSRKDVEGSLFVVKRFVSSSGPRAMKPD >Dexi9B01G0046530.1:cds pep primary_assembly:Fonio_CM05836:9B:45786015:45788560:-1 gene:Dexi9B01G0046530 transcript:Dexi9B01G0046530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPVPAALRRSRAVVRASSSPSSSSAVSSSSSAPKARFVARRSESVSVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEANGCCIRLLSCKLEGSPLVEAQNDKFSVTAIESSGRQVLEQLLGVMLPRFLNQLVKDYQAWASGDSSRKPLGTGEI >Dexi9B01G0034390.1:cds pep primary_assembly:Fonio_CM05836:9B:36360273:36362349:-1 gene:Dexi9B01G0034390 transcript:Dexi9B01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTAEELAAQIEQQKLEENKTEDKKSMPAQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNMLFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGTSQEDNEEEEIDETGVEPKDIELVMTQASVSRPKAVRALKAANGDIVSAIMELTT >Dexi3A01G0026430.1:cds pep primary_assembly:Fonio_CM05836:3A:22665777:22668631:-1 gene:Dexi3A01G0026430 transcript:Dexi3A01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAHTPLGSSAAVRSTSNAPQRATLGPPALAFPTARLRRLPVLSQSPPTVRHRRPMNPPTATVASGGSFPELTCPADFAAVAAPGGRISVVGFGSLLSERSARSTFPDLDGFRVAALRGFRRVFAHAAPIFFERGIAVEATKVVPEGLDGVPFTNRAVVCARYSDEEYFQERCQGSKEIYYQRYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDQTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >Dexi9A01G0044900.1:cds pep primary_assembly:Fonio_CM05836:9A:48475691:48480577:-1 gene:Dexi9A01G0044900 transcript:Dexi9A01G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVKSRPPHASFAMSGRRHRASPAAPSCSSFTGGSLLALCCLTVVLLAFSVSAAAEDCAEESDGADGDGRCLGFRDACAEQSAFCFSSSVAQTLLASEDGIKAMDLDMSSDWGPSSAPLGFPMPGGAGVVSCSSVDTTLARGRHGLGRDGDAGVGYNVACCQAPLVPDNWMRASTGVPMELDGPAADIHPSALQSSSSMNVAINPPVLDWGRSDLYAASKATLTMVNLNNDSALRLYEPFSTDPQFYVYGYEDLELQPGDNATEVAVWMSSLESTRYSSHLVCKPGPFDGALELSSSSNNWAMKEHKRTEEVLTEKYSSSVIDSSTSTVDKNSPDEQLHTATTVSVSPANPVEDKTLREAPQTSENLTIRIARDKGRRRKRKVGGSGLAGKFEVSSSHSGNSTPSSPLSQCSTPKQVWSFSGTPSELKHENKLETGIDVEATTSSTGSNREKKTWSQIAKEQPRSRSASPGTTSPSAPALTTTAWRSPMLATSSPIAPQARAPGSNLVKDKAVKRGEGAKLKKDYTYDIWGDHFLANLLGIARNGAPCKMPAASEGAPYSLFAREPPTLMMKPSSAPPVSRGRGSPPSDVATGSGIK >Dexi1A01G0032370.2:cds pep primary_assembly:Fonio_CM05836:1A:36949203:36949457:-1 gene:Dexi1A01G0032370 transcript:Dexi1A01G0032370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPAVPRPSTRSAISPATSSRPRSICSTARASFIRPLLTSQRGDSGRYKTRRESSDSMPSIRRQSGCGASVMAKTMQ >Dexi1A01G0032370.1:cds pep primary_assembly:Fonio_CM05836:1A:36948609:36949193:-1 gene:Dexi1A01G0032370 transcript:Dexi1A01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPVNCGSVLRRPRISGGAVSEMYTGTSVTARPTAKPSTRRERSSTYTLGDHSMRSPARNEDAMSMGRRPTESETGPEKVVITVAPMSDVATTRPSTAGCASSSSGKKKTR >Dexi4A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:4A:24744048:24744943:-1 gene:Dexi4A01G0021030 transcript:Dexi4A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLGLGLACFAVVFAAASATQFRVGGQKGWTVPDAGFEPYNTWAGRLRFQIGDQLLFVYPKETDSVLLVEPAAYNACNTSSYVNKFDDGNTVFTFDHSGPFFFISGNESNCRANEKLIVVVLADRTPPGAPPTMSPPSPAPFPSPSSPPPAAAAPAMSPSSPPPSGGSPLQAPAATPTSPPSPATSAPAPATTPGSPPAPPMAPTATPGGTSQPPSASANAPGGGGSGSTTPPPPSAAAPVVTGLVGSLIAFVGYAMIAA >Dexi2B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:2B:5150129:5151621:-1 gene:Dexi2B01G0005620 transcript:Dexi2B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVKAAVPWRQQAFFNSSSVWFVLVPLASLLAAYAIFQTYFKFLRRSGRRLLNVLEPYATIDVSEPGSDNDSTYEEVKAYLSEACLRQEDARELRAEGAKDGDGLVISIRDGQDIADKFQGAPLWWSSVVEDNVRDGRQNKHRFQRLTFHLRHRRLVVDEYLPHVRRQGREILFSNRRRRLYTNNKSLETYSNDYKAWSYVELDHPTTFDTLAMVRAMKKNIMDDLDAFKSNKEFYRRTGKPWKRGYLLYGPSGTGKSTMVAAMANYLEYDIYDVELTVVNTNADLRKLLIETTSKSIILIEDIDCSLDLTGDRARPSTDDDDDSRTRTTVTLSGLLNFIDGLWPACGDGRIIVFTTNHVEKLDPALIRRGRMDMHIEMSYCGFEAFQTLAMNYLDVDDHELFGSVEEILKEVKLTPADVAEYLMTAKRAGSDEPTPCLEILIGKLRRRAEEESRGEGEVRGGGEGQG >Dexi9A01G0023900.1:cds pep primary_assembly:Fonio_CM05836:9A:19373543:19375789:-1 gene:Dexi9A01G0023900 transcript:Dexi9A01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWGIRLKVAIGAAKGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYIATGRLSVKADVYSFGVVLLELLTGRRALDKSKPSSEQNLVEWARPYLRDKRRLYRIMDSKLGGQYPKKGAHAVAGIALQCIGINSKERPAMSEVVEKLEQLQDSKYNVAAPQVDTRRSSSSGSVPRSSMKAQPSLRRLSGSASPLPAAVGSPAAVGSPLPACRTAQVH >Dexi3B01G0021850.1:cds pep primary_assembly:Fonio_CM05836:3B:16710964:16714648:1 gene:Dexi3B01G0021850 transcript:Dexi3B01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADPAADQNPNPKGAKPHEPNAEAEFAEEEYDEDGEEEEYEEEEEEELDGPAAAAAERERVQSVFQRLSSDPVAIRVHDVVIEGNTKTRDEVIEAEVADLLRAAPTVQDLLHAASVATSRLHRLEVFDAVKITLDAGPPELPGTTNVVIEVVEAANPLTGSAGVYSKPEARSWSLEGSLKLKNPFGYGDIWDASGAYGWDQTTEVGVGVYLPRFKSIPTPLMARASLSSQDWLKFSSYKEQLLGLSFGLLSTMKHDLSYNLTWRTLTDPSRASSMAIRRQLGHNLLSALKYTYKIDQRDSLLRPTKGYAFQSTSQIGGLWDNKGLRFFRQEFDVRGAVPLGFYNAALNVGVGAGVILPLGRGFMNSSSTVPDRFFLGGQSSPVCSVSGLSSLLGFRKRGVGPTEARRLDPNESGSGSAAPPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFLTAGNLAKLSEGEYKNFSVSQFQRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGKTGIQFSFSSPM >Dexi6A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:6A:18353649:18357364:1 gene:Dexi6A01G0012120 transcript:Dexi6A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEGPGADMEALARRLRLHRSAPSPYESSDAVAPAPGAGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEQLALFVASFASHWVSVGASDGNLRMYTMGWSSTGFSSDNSELGAGSPGEVALPGGKAEEGDADDAATALRESKEEIGLDPALHLLVVVPVIGILSDIKAFKPILNAAEVDEIFDVPLEMFLKDENRTSDELEWMGQAFTIHHFNYEKGNEKYIIWGLTAAILIHASSVVYERPPDFAEKRAKFNLPKYSKECRSMPGSEPGH >Dexi4A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:4A:1097796:1101483:1 gene:Dexi4A01G0001670 transcript:Dexi4A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGCGGLGERLAAAAREGDAGEVRRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIAALLLENGADVNARNIYGQTALMQACRFGHWEVVQTLLIFRCNVTKVDSLSSRTALHLAAAGGHVKCARLLLAAVAGDGDRFVNRAAGGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPSAASPMGSIGAASTPLHYAAAGGEILVSRGADRTAVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLASVLNLARDCGLVTSTSSEFSDSVDDVDACAVCLERPCNVAAEVCGHELCVKCALDLCSVIKCYDVPGIAGSIPCPLCRSAIASFRRRADDELEPDFNSACSGGSHCKSCCSAGDHQASSSPEKKRSTDSDQAILPLYSPPPVLS >Dexi6A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:6A:4192611:4195568:1 gene:Dexi6A01G0004640 transcript:Dexi6A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGVVDRSMRMEGGGGGGGGGAGVGGCLGLAGHGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGACGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMKHENVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTADHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSVGCIFGEIVTREPLFPGKDYVHQLRLITEVQLRFHNLTTCFHLLLFPQSPQQLVGSPDDTSLGFLRSDNARRYVRSLPQYPKQHFGARFPTMTSGAVDLLERMLVFDPSKRITVDEALCHPYLASLHQINDEPSCPAPFSFDFEQPSLTEEDIKELIWRESLKFNPDPIH >Dexi4B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:4B:17644621:17647848:1 gene:Dexi4B01G0015800 transcript:Dexi4B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRECKFLAEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVTLLVALKVFCLHGGLSPSLDTLDNIRALDRIQEVGNNFSMTSVN >Dexi5B01G0024450.1:cds pep primary_assembly:Fonio_CM05836:5B:26480026:26489366:1 gene:Dexi5B01G0024450 transcript:Dexi5B01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSEDGKPPPAKVPPLVMFRFADCTDAALMAVGTVAAMANGMAEPLMTLVFAAIIECFGDGDDATVLHRVSKVPPGQPYPLRLSLTNEPTSRSAVDAHGGTAENLVSSSTVRLGDEGQLWASCSTTMPVWSLEERRPVALQPAGGGAAELDEPAGCSPVEPCARAIGNASPSISAIAEGQAAAQRLFKIINRKPNIDITDTSGIVLEDIKGDVELKDVFFRYPARPEQVILDGFCLQVPSGTTVAIVGQSGSGKSTVISLVERFYDPQAGEVLVDGVNIKNLQLQWLRGKISLVSQEPLLFMTSIKDNITYGKADATFEEIKRAAELANVANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRNADCIAVVHQGKVVERGVHDELIKDPDGAYSQLIRLQQAHTKEMHEVPNTEVSAYGKQGEVSEDEIISAAKAANAHEFISSLPQGYSTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAEAERIVQEALDQVMVSRTTIVVAHRLSTIKGADIIAVIKDGKVAEKGKHESLVGIKGGVYASLVELHSKSA >Dexi3A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:3A:2659240:2661566:-1 gene:Dexi3A01G0004020 transcript:Dexi3A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPRGGMEFAAMKRRELLELCRQHGLGTRGSKADLAVSLAGAISGAAAATTAESVVEVVVGKGCLKRLGGSASGGTSSAAKKVRFSLDEEAEERARMRMSQMILQPVVTKTRGRRRARKIHPAAAVSGRGCGQKRDDVGGHSVDNNVVGEVNADAPVMKSAMEVVCLCAQIGAERLINPAEAEKEGEVVEAAIDSKRKQKTHENVDGIGANAGAGVPHGSTGKSSLPAAAVLLSAVVENKRGRKVGGDKDELGVQEQAAQVQDLAMTVSPVDVENERSRRKDVDCVPALQKSSRTTRSHSVAAAVILPIVTGNKVRKAQRVRPDGELPADLKVPRNDAPVTRSLRNRIFQVYNSVMEETRVDEKLEDKRKTDRPSTCRHQ >Dexi7A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:7A:17811631:17814573:1 gene:Dexi7A01G0006460 transcript:Dexi7A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRIMGKFMHENPMISHIEVNRRHYSLAAVVVGLGLGVAGLCKALHSGLSIPWVSPRNLFLGSGRVYYVGGLRNLGNNCFLNVILQTGGCSLVDCLKYFTVVEHLDNYRCDRCWHITASKYLSLNIGADEEKVSKLKTCVNYGTCNCRGMFSPEEVPCSSSSQATKQLIISQCPKILCVHLLRASVSLDGEPIKHQGHISFPLLLNLSPFAGVASSAGMGTGSLAMNVQRDGQQALHLYRQLNLQTSLNVIPDGGNSIHAANVDVACSSSSSRQPSPSSSIKLYGLSAVVEHYGKCGGGHYAVYRRVQSNPDPDDPGQPLAGLCKRWFYISDGHVSEVSEDDVLGAEATLLFYERL >Dexi9B01G0024430.1:cds pep primary_assembly:Fonio_CM05836:9B:20539705:20540574:1 gene:Dexi9B01G0024430 transcript:Dexi9B01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRRLSRYADGTVGPTVFALHLDAMYSASLTWGLDYYYLGLQLVEGVDENVVCHLEGLALLQHEVTTKWQPGSLRSLGKSADPSLTDSPGSSGGASTTGTVSFPAHACAYATVTAHACVKKAAQSTPWPCRRATRGTHGRSVSYTTARTGRPISDSAWMSSPRDTDPADSRRASLRITDLVVAAVVAAVGEGGDVDSAGLRLLAGAEHVAGHRQSAPDAAVGGEALPELEQRVDVALGRTRRMWMLWSGSEPAIAHWEY >Dexi2A01G0028840.1:cds pep primary_assembly:Fonio_CM05836:2A:39984709:39985935:-1 gene:Dexi2A01G0028840 transcript:Dexi2A01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLVSLFVCATTLSSSGAAGVRVELTRIHSVHGVNASQSVRDALRGDMHRHNARRLVTASGGATVSAPTQEWSPLGAYLMTLAIDTGAACFQQPTPLYNPANSTTFSDHPCDSPLSICAATSLPGCVCPSYSYKYVSGWTSGVQGSETFTFGSNQTVVPNIAIGCSNASSDNFSPSSTLLVGSSASLNDTGVVSRPCVASPAQALTSIPADAFSMNDTDGTGGLVIDSGTTFTTLVDAEVESVLTTTLPTIDGSDDSTGLDLCFTLPSTTTSAPPAMPSMTLHFDGADLVLPVDSYMISYVISGSGGPPCGAWRCGTRPTTACRAFSATTSNRTCTSSSTLAKRLW >Dexi7A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:7A:16107187:16107833:1 gene:Dexi7A01G0005040 transcript:Dexi7A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGNSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRKDQIERVTPILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVSLYCPVSPRHKNCCLTYMLV >Dexi1B01G0023330.1:cds pep primary_assembly:Fonio_CM05836:1B:28945446:28948074:-1 gene:Dexi1B01G0023330 transcript:Dexi1B01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARSSEVPLAVLQKRPASTAASPAPAPPPAAHGSDAGQQEKLAQNTASAASTAPTMGEFSTEYRGLPGSNGDDVKGVASSPASASTSVRKVSIVPLIFLIFYEVSGGPFGVEDSVGAAGPLLAIAGFLVLPVIWSIPEALITAELGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGPPRAFAVLGLTAVLTLLNFRGLTVVGWVAICLGVFSVLPFFVMGLISLPKLRPARWLAVDLKNVDWNLYLNTLFWNLNYWDSISTLSGEVENPGKTLPKALFYAVVFVVVGYLYPLLAGTGAVPLDRSQWTDGYFSDLAKLLGGAWLMWWVQAAAALSNMGMFVAEMSSDSYQLLGMAERGMLPSFFARRSRYGTPLVGILFSASGVVLLSSMSFQEIVAAENFLYCFGMVLEFVAFVLLRVRRPDAPRPYRVPLGTAGCVAMLVPPTALIVVVLALSTLKVAMVSLGAVAVGLVLQPMLRLVEKKGWLRFDVNSELPDIGVGHAPAAAAAEDEAPAP >Dexi9B01G0048830.1:cds pep primary_assembly:Fonio_CM05836:9B:47713092:47721994:-1 gene:Dexi9B01G0048830 transcript:Dexi9B01G0048830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQPSSGRIARMTVRIGLGVPQSRPKLLGIKAHDDINPAPQPSKGPPSLRVLGTHPARGKRPPTSGWFPSRSRLGGLDPHPRAGGFRLVRGSAASRVTPTLERVFSVSLRSKLEPGLVGNDAQRIATHRSHDDAVKKRESLLHTITYPPEKTSATSKETMERRTQDTTLDAVNCHEKEGRYVSPSHVVSRSWKARFPPARCAVWTNSAHNDKHMYSHPAPLVYKRGGKPMQRARHAFTHRHSTTTNTHHSLGLRSSSPSPTLLVNPYCKQHAIRCIAPLLDVRPRGRNQDKTPTAITRWCRCDQIRHRHAALLSFPMANFGEGRPPLGESNLLPAGQEIRFGSLRRIPATNRSLIISLRRHRDGGVIPDLAHDEHALQGAPPTSATPIPQGKGTPCDQGHRRGLLPSSQGPSRSCPYGLRNSADAYASSIRTTMSAYGDRPGCHPVFEQDFADPLPGDSRTESDDGHAFMRRHPGWDYSGLRDPEAFIAFQTAADYCFGYSDDEYDPTRECFVINDGQASEGSTSDDDGGGDDQGNDDGMDPIGAQPSDPSDHSPSEDERDPRHLPRVSGDVSPPARSDREPAKRGDEHATDARHAGRVAQARILAEGKDDELAPRTSQKLIAAAALLRAMPEAATPEGRKLHLEAQKLVEHAARQQAESSASRLRRSSASKGERGGESSVRSPRPNGRARAPSRGDSRRDMVRRHDSEPRTPEARTLPAREPVRSRLRDTHGTNDDGDARNTLNEIRWRERARTHQRGRTDVVRNRDAATEPAGTRVFSHNIRTAPIPPRFRQPTTITKYSGETDPRVWLNDYRLACQLGGATDDAMIIRNLPLHLADSARTWLEHLPPNQIRDWDDLVETFVGNFQGTYVRPGNTWDLRGCRQKPGESLRDFIRRFSKRCTELPNITDHQIIHSFLESTTCYSLVCKLGRSPPPDANRLFEIASKYASGEEAANAIFNDKKGKRPEEASAEDDKAKGPSKKRKHGKKGKKTRTPRGAGNVEDEALAVDPNHKGPRGPPRGGGVFDDLLKKSCPYHKTAVAHTLEQCEMLRKFYNRIPRKDGGPPKDGGAKDDDGYPEVEHVFFITGGPAANLKPRQRRREHREVMLVRPATPSYLNWSSETISFGREDHPDHIPNPGQYPLVVDPVIGNTRFSKVLMDGGSSLNILYAPTLELMGISTSELRPNKSSFHGVAPGKRVQTLGQIDLPVCFGTPANFRKKVLTFEVVGFKGAYHAILGRPCYAKFMAIPNYTYLKMKMPGPHGVITVGPTVEHAYVCDIESIELAEALALDETLVADLEAIVNTLPDANERQQGSFAPAEDTKTVPLDPDSPDGKEVVLVDCLRAHADIFAWSPSDMPGIPREVAEHSLDIRPHSKPVKQRLRHFDEVKRRAIGEEIKMKESDQLATSFITPFGMYCYVTMPFGLRNAGATYQRCMLHVFGDHIGLIVEAYVDDIVVKTRKADDLVRDLEVVFSCLRAHGVRLNPEKCVFGVPRGMLLGFIVSERGIEPNPEKVTAIQQMEPIRDLKGVQRVMGCLASLSHFISRLGEKGLPLYRLLRKSEHFTWTAEAQEALDRLKTALTNTPILTSPKEDEPLLLYVAATTQVVSTVIVVERTEEGHSLPVQRPVYYVSEVLSETKTRYPHIQKLIYAIVLARRKLRHYFEAHPVTVVSSFPLGEIIQNREVSGRISKWSTELMGETLAYAPRKAIKSQTLADFVADLDCWDMYFDGSVMKTGAGAGLLFISPRGEHLRYVVRLNFPASNNMAEYEALLAGLKIALELGIKRLDIRGDSQLVVDQVMKESSCHDEKMAAYCQAVRNLEDKFDGLELHHIARRYNEEADELAKIASGRATVPPNVFAKDIGVPSITLATSAQASTAPDAPTAELLADEDEPMGYEACSGDEDEAEAMEIDEVSAPRDWRSPYLDWLDGGVLPNDRTEARRVARKAKRFLIIEGELYRRGASGVLQRCIPIPEGKELILDIHAGVCGHHAAPRTLVGNAFPQGFYWPTAVADATEVVRTCEGCQFYARKTHLPAQALQTIPITWPFAVWGLDLVGPMAKVPGGFTHLLVAIDKFSKWIEARPISRIKSEQAVLFFTDIIHRFGVPNSIITDNGTQFTGKKFLRFCDDFHIRVDWSAVAHPQTNGQVERANGMILQGLKPRIHNKLKKFGHKWVQELPSVIWSLRTTPSRATGFSPYFLVFGAEAILPTDLEYGSPRLRAYQEQRNCQAREDSLDQVDEARDVALLHSARYQQSLRRQQARRIRHRDLCKGDLVLRLRQDNRGRHKLSPPWEGPYVVAEVLKPGTYKLADEDGQILTNAWNIQQLRRQGRLSRVFAYASRLRQHLSSLRLTAFPLEAQASD >Dexi9A01G0037080.1:cds pep primary_assembly:Fonio_CM05836:9A:41397994:41399019:-1 gene:Dexi9A01G0037080 transcript:Dexi9A01G0037080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESGAASRYYCHMCSLIIRPELGLGIEEVKCPHCHTGFVEEMAAGDLRGGADDAAIRGRRAASGEANVNAAAPDAALEREVSLWAPVLMDFLTASYGHHGHDAAAGGRGGGDLAAFARRQYRNIALLQLLNALQEGDADAGRERVVLVSPSDARAMLMGQAARGEGAGADTGGGAAMGPGGLTLGDLFLGPGLDLLLEYLAETDPSRQGTPPARKEAVAALPTVRVREAFTCPVCLDEVASGGEAREMPCKHRFHGPCIVPWLEMHSSCPVCRHQLPVEEETAEAAGGGRRVGDGDDVSSGNARGGAGDGGSGGRRHWFSWPFGGLFSQRSNGSSSSSS >Dexi3A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:3A:4405906:4408651:1 gene:Dexi3A01G0006670 transcript:Dexi3A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMEASNKKKRSVAIIGAGASGLAACKHAKARGFRPVVFEAGDGVGGVWRHTLASTRLQTPAQAYRFSDFPWRIAGGGEFPRHDKVAEYLAAYARRFGVLECVKLGAKVLAVEYVGVAEEEVAAWERWSGNGEAFGDGRGEWLLTVQRRGSDATLTYKFDFLILCIGKYGTPNIPTFPLNEGPEVFNGKVLHSMEYSRMHQADAAKLIQDKRVVVVGSGKSAFDTVTYCADTNGYPCTMIYRSTHWMVDAGPVYGVKLGSLCATRFAELSVHKPGEGLLLSLLATMLIPLRWLVSKLVEAWFKTRIPMKKHGMVPSYSFSRSIMACRLGILPDGFYDRVDRGKIVLKPCKSFRFCEDGVLLVDGGGCERVDADVVILATGYQADPHLSGLFFSPWFSKIVARQCIHPRIPQMAVIGYTESTANIHAYEMMAKWVAHLLDGEVCLPGVAEMEHSVAEWDRWGRRSTRARGSSVYSRKSCIGMVTTWYNDQLCRDMGRDPRRKKGALLAEWLQPYGPIDYADIQ >Dexi2B01G0030060.1:cds pep primary_assembly:Fonio_CM05836:2B:38331609:38334296:1 gene:Dexi2B01G0030060 transcript:Dexi2B01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTRSRPPSPSRRPAMSSSSSFPNSRFPFAAGAGAPGGSGGGSGRSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGTPYEGGIFFLDIVFPPDYPFKPPMVTFKTRIYHCNVDSTGKVHLDILRDGWSPAFTISKVLLAIKDIISNPDPYTPLVMSISRQYLTDRAKHDEIAAEWTMRFAR >Dexi1A01G0022150.1:cds pep primary_assembly:Fonio_CM05836:1A:28856024:28857213:-1 gene:Dexi1A01G0022150 transcript:Dexi1A01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAAVSGVVLPHSLLSHRSSPPHIVALASSFRRLSLCASPRRTTHLVARADASAEAGEPEAEAEPVSASADAEAGEAEGAVAVAEAEEQPPPSKLKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGVHTTIRVRRIIAGVGVEITFPV >Dexi5A01G0026610.1:cds pep primary_assembly:Fonio_CM05836:5A:30199556:30203380:1 gene:Dexi5A01G0026610 transcript:Dexi5A01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIVTFRCYRGFSGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRLLQNVRQFEVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQEYSELMDEFMTAVRQNYGQKVLVQFEDFANHNAFTLLEKYRENHLVFNDDIQAGTGIAELVALQISRQGNVSVEEARKKIWLVDSKGLVVTSRSETLKPFKKRYAHEHEPVKDLLGAVKAIRPTALIGSAGVGQSFTKEGRAIFGSGSPFDPVKYNDKLFVPAQVTPEHIDKGLIYPPFLIIRKISANIAARVAAKAYDLGLASQLPRPKDLVKYAESCMYTPVYRSYR >Dexi9B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:9B:13198689:13202043:1 gene:Dexi9B01G0018470 transcript:Dexi9B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAASAAGSGDAGGRAASQLDAVDSRPSPVCFSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSVEALIHFLPANLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKTIPPQIDLAVYCQ >Dexi2A01G0033790.1:cds pep primary_assembly:Fonio_CM05836:2A:43973372:43976620:-1 gene:Dexi2A01G0033790 transcript:Dexi2A01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIPWERLEYSRDDYRGMRLKGYKNFESLSWSHDLLDSECKQVEKEGTFYDFCFNTRLAKSTVGHFQLRDLVWATSKHDVYTAQHSSVRHWSSLLQRGKEICTMAVRDKLMVAGGFRGELVCKYIDRRRVAYCANFPGNGDNTTNSVDVYETPSGSTRVMVANNDHIEIATLKGHLDHSFSSAWHPDGRVLATGNQDTTCRLWDIRNLSQSFAVLKGRIGAIRNLKFSSDGRFLAASEVADFVHVYDVHADYSKEQEIDIFGEIAGMSFSPDDEALFVGVSDRMIGSLMEFRRRRRHDYLESFV >Dexi1A01G0022490.1:cds pep primary_assembly:Fonio_CM05836:1A:29166044:29166436:1 gene:Dexi1A01G0022490 transcript:Dexi1A01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFLLVALILVLSTATTTACGGGCPTPTPPTPTPPSPSPSTKGKCPKNALKLAACANVAGLVSAEVGHPPAEPILGGLADLEAAACLCTAIKANVLGITLDIPVKLSLIVNYCGKNLPSGFICA >Dexi1B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:1B:19749940:19750272:-1 gene:Dexi1B01G0013750 transcript:Dexi1B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRPARRARRLPAAGTTSDDATATVEWEHSDYPAPRMRRRRRHGGELCTGVTSEEATGTRCGGDERRGGHRDGGAEGGSGGGGVGGGAGSEAAAAEQREAALWKNAIE >Dexi9A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:9A:9066577:9067296:1 gene:Dexi9A01G0013870 transcript:Dexi9A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDTSTAAALLLLLLPEDVLADVLRRLAPRGVAACVCKAWRALVDAHALLRADLLPRSLAGILVNFHGLYATELFSHPSTASRFSDDLVARFVELDEDLSRHLGYRPTGVVEDHCNGLLLINNMVVNPATRWCFHLPPSPPSPADVDYTYDVGHLVHDPTISPHYEVFLVPRLCPLFDDSENDSPVGPVAEQYSEWPPSPCVLRVFSSKTERWEERSFVREGKAAATIADMRLDFC >Dexi2B01G0022500.1:cds pep primary_assembly:Fonio_CM05836:2B:32156110:32158001:-1 gene:Dexi2B01G0022500 transcript:Dexi2B01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAEAGNGGGGGGGGGGGAADDGRARRSVLVTGGAGFIGTHTVLRLLEQGYGVTVVDNFHNSVPEALDRVRLIAGPALSARLDFILGDLRSIDDLEKVFAAKRYDAVVHFAGLKAVGESVAHPDMYYENNLVGTINLYKTMKKHGCMKMVFSSSATVYGWPEVIPCVEDAKLQAANPYGRTKLILEDLARDCQRADPEWGIVLLRYFNPIGAHSSGEIGEDPKGIPNNLLPYIQQVAVGRLAELNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDTPDIGCVAYNLGTGRGTSVLEMVAAFKKASGKEIPTKFCPRRPGDATEVYASTEKAERELGW >Dexi1B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:1B:21638740:21640735:1 gene:Dexi1B01G0015250 transcript:Dexi1B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGGSERRPRLMRGFASKIEPKKLGIGLIAGCCLALLTYVSLAKLFAIYSPVFASTANTSALLQNSPPVSSKPSVPETEAIPPQETPAGGGDRGDAVDLPEGSEEPGLPEAAIRKDMAAAGSDEPGLPESLTRRKDDGENAAEPKPSEEGEQNPNGGVEGKMTCDENGVDEGFPYARPTVCELSGDIRVSPKQKTVYLVNPSGGGGFDASGEKKLRPYARKDDFLLPGVVEVSVKSTPSAAAAPACTKRHAVPAVVFSIAGYTDNFFHDMTDAMIPLFLTAGHLKGEVQLLITNYKPWWVQKYTPLLRKLSNYDPINFDEDDGVHCFASGFVGLYRDRDLILSPHPTRNPRNYTMVDFNRFVRGALALPRDAPAVLGEEPGMRPRMLIISRSGTRKLMNLDEVAKTAAELGFNVTVAEAGADVPAFAAQVNAADVLLGVHGAGLTNQIFLPTGAVVLQIVPWGKMDWMATNFYGQPARDMQLRYLEYYVGEEETSLKDKYPRDHTVFRDPAALHKQPIRWETFAEIIMKQDVSVNMTNFRPVLLQALDKLQQ >Dexi7B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:7B:16926324:16930756:1 gene:Dexi7B01G0009300 transcript:Dexi7B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSVARDYGGGLTFSVVATCLMAASCGLIFGYGSGITAPVYLSETAPAKWRGAFTSSYNAFVVFGVLFATITNYFTDHIRSWGWRISVGLAAIPGVIIFMGALFVSDTPSSLVMRGHPDRARAVLHHIRGRDVDIDTEFKDIVHVVDEACQNDEGAFRRLFSKQYRHYLVFGVAIPVFYEFTGMVVISTFSPVLFRTIGFNSQKAILGSVINTLMNLVATTLSSFIMDHTGRKFLLIIGGLGMMLCEVSISWIMAVHFGKHEGVTMPRNYATGVLVLICVCIFSFGVSWAPLKFVVLSEIYPVEVRSAGQAMSVSIGFFLSFLELQVFTELLCGMKYAVFLFYAAWLLVMTVFVALFLPETKGVPLEVMRSVWAQHWYWRRFAKG >Dexi4A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:4A:167888:170676:-1 gene:Dexi4A01G0000230 transcript:Dexi4A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKEPDGIPMPRLPEFQRMCVTLTIGVIGLCVTSYILGAWQGTSNSIKPSFISTKTRCDDLVRSSGAHLDFQAHHQVDFNESSLAPEKFPPCQLKYSEYTPCQDPRRARKFPKKMMQYRERHCPKKQDLLRCLIPAPPGYKNPFEWPKSRDYAWYNNIPHRELSIEKAVQNWIQVEGDLLRFPGGGTMFPLGADAYIDDINALIPLNDGNIRTALDTGCGVASWGAYLMKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVMGTERIPYPARAFDMAHCSRCLIPWNKLDGVYLIEVDRVLRPGGYWILSGPPIHWKRLFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKGDLAIWQKPINHIECVDSRKAYDAPQICKGNDVDSAWYKNMETCISPLPDVKSEDEVAGGALEQWPKRASVVPPRITRGTIPGLTPEKFQEDIKLWSERVDHYKKLIPPLGKKRYRNVMDMNAGMGGFAAALLKYPLWVMNVVPSGSPHDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADYIFSSYQDRCDITYILLEMDRILRPEGTVIIRDTVEVLGKVQAITEGMRWKSQIMDHESGS >Dexi5A01G0031960.1:cds pep primary_assembly:Fonio_CM05836:5A:34511124:34512579:-1 gene:Dexi5A01G0031960 transcript:Dexi5A01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSSSSSKRRRIGSEPSWASLSQDLVELIGWRVLAGDLLDYVRFRAVCTHWNSSTVSPRGRGLIDPRFHPRRWMLFPEDHGLYPGHPNLGGYVRFFNLSTGAFVRVYLPLFDDHVVLDSTDGLLVVLRHPNTAVRILHPFTGDIADLPPVFPLLPQINPHICRYMTEEMKLRELDAAFLKGVCAAVSVDAAGAITVMLGLDSKRRVAYATAGCERWSLSPWTLPRLRALHVSFEGKLYISAVNSADENNIYICQIDPPRVSDEGYQSLPEPRMLVKCPLDGPLGTAHLVQCGSELMLAGFSDTSLAHLAVYRVSDLIKGTVLPMKDIGDHAIFFEE >Dexi2A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:2A:5559620:5561958:1 gene:Dexi2A01G0005800 transcript:Dexi2A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMALQASSTPSGFRAVPATSHPSFRRPFHVRASAAAQSSTGGGNIAGADGKMMVPKDAASSSSTPWKVDFTGEKPSTPLLDTVNYPIHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESPHDAFGAGHSSTSISAALGMAVARDLLGRKNHVVSVIGDGAMTAGQAYEAMNNSGYLDANMIVVLNDNKQVSLPTATLDGPSKPVGALSRALTKLQSSTKFRRLREAAKAVTKQIGGPTHEVAAKVDEYARGMISASGSSLFEELGLYYIGPVDGHNVDDLVTIFEKVKSMPAPGPVLIHIVTEKGKGYPPAEAAADRMHGVVKFDPSTGKQFKSTSSTLSYTQYFAESLIREAEVDERVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVAHDVDLQRLPVRFALDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGVGAVLPVGNKGVALEVGKGRVLVGGDRVALLGYGSMVQACLKAAEALKEHDVYVTVADARFCKPLDTQLIRELAAEHEVLITAEEGAIGGFGSHVAHYLSLAGLLDGNLKLRSMFLPDRYIDHGAPQDQIEAAGLTPRHIAATVLSLLGRPLEAMQLK >Dexi5A01G0023410.1:cds pep primary_assembly:Fonio_CM05836:5A:27425207:27426642:1 gene:Dexi5A01G0023410 transcript:Dexi5A01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFNVNRQGFWLSKPKNS >Dexi1B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:1B:25515290:25516742:1 gene:Dexi1B01G0019300 transcript:Dexi1B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVAVAIATAAVLLLARVAHAEIKTTPIVSDPRSIILFEQFGFASGGKATISITRAAWQLRPAGSGLPGVDPNLMGFVLISGAQFPKVNNQTEYAAAGGGSPEGGAGLFCVLTSDYALPVLRLNDVAPGGATTTVTIDDPDEYAVVFSNCQDGVEVTMDVRTELYNVVRRRGEDEGVRDYLPVGLRPLPGIYAAVSAVYLAFLVAWAWACARQRATAERIHAVMAALLLFKALKTACAAEDAWFVQRTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKSVLMVVIPLQVIENLVLVVIGETGPTGKDWIVWNQVFLLVDVMCCCAVFFPIIWSIRGLREASKTDGKAARNLQKLTLFKRFYLVVVGYLYFTRIIVSAFLAVLNYKYQWGVNVAVEGASFAFYVFVFYNFKPVEKNPYLYIGDEEEEAAGGELEMDDGAF >Dexi5A01G0030740.1:cds pep primary_assembly:Fonio_CM05836:5A:33598930:33601157:1 gene:Dexi5A01G0030740 transcript:Dexi5A01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLTMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >Dexi5A01G0027860.1:cds pep primary_assembly:Fonio_CM05836:5A:31233753:31235134:-1 gene:Dexi5A01G0027860 transcript:Dexi5A01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKNQAFDRATVDGPPSANAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIIQQYIAATGGQAALQGVRSMYAVGKVRMCASEFHLGDQTVTAAQGRAEVGGFVLWQKTPEVWFFELIMAGHKMSAGSDGKIAWRQSAAEQSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKILNNEECFILKLEAGATTLRARSVPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRCIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDVDDQNT >Dexi3A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:3A:6911758:6912055:1 gene:Dexi3A01G0009810 transcript:Dexi3A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEDLQILAKMPNVRRLVLLEKSYDESQLTFNKTEFPKLNVLIVNCPGITQISFTAGSCPKLEKFVWTSTKMESLSSIGNLPRLKEIEFKVRR >Dexi3A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:3A:11485341:11486683:1 gene:Dexi3A01G0015440 transcript:Dexi3A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRPVQWLASLLAVLLFCHGRGSEQRPELGRAVDMPERDVMSPLATVPVVTPTVTTPTAMPTATPATQTPSLAGGGGGGGSWCVASPSASSTALQVALDYACGQGGADCSPIQQGGSCFNPDTVHDHASYAFNSYYQKNPVQTSCDFGGTAVLTSTNPSTSTCQYPATSTGASVLNTSTPLTPTYGSPPGGYGSSPPAGYGSNSPPLYGSMSPPEYGDNINAAVTVTSGKKTTILSLLIATLTLAG >Dexi9A01G0025590.1:cds pep primary_assembly:Fonio_CM05836:9A:26213937:26215068:-1 gene:Dexi9A01G0025590 transcript:Dexi9A01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFQDMRPVQKYKRNYWRFTWTNRTTNGAPVLTRNRNTPKDECSYYSVIGALESNMRLQRGFVGNLSIKYLKQKHAKVVHANVEMMKFGRIEQLLKISKEIGVPSEHIYNLILQRQRPVCPMHKISGYKKYDVSVPMHIRAALERHLKRGPMIAVFWISVNYDDCMKNGVVYRFLDLHPKRDKKNDISNEDRISHAVCVVNFGMEEDVPFLLFRLDIAGWPEFGRVEMQTVTELYGINM >Dexi5A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:5A:5238768:5241943:-1 gene:Dexi5A01G0007050 transcript:Dexi5A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGKEDMEEAPPLLPDEAARPRRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAQVISANRIRLWNKGVDSASFHPRFRCHEMRVRLRVMDRLPGVRIAFIGDGPYRTELENMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLDDCVGKVQLLLTNSEFRDSMALTARAEMEKCDWRAASKTIRNEFYNAAIYYWRKKRAELVHPLQWLAQMFLPAPEPRRITQC >Dexi6A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:6A:1358805:1359968:-1 gene:Dexi6A01G0001520 transcript:Dexi6A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSMELCFAAHAGTPAPTGRSPGARPKRRLPTVRCAATVPAPMGEKTEYRDGPLERTFMGLFARKMEKYATKRKQNPPESEKKEEKAVWDWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVIEVEVDGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPVSKQACYPNLCSMSTPSAPVCPKLQ >Dexi2B01G0030250.1:cds pep primary_assembly:Fonio_CM05836:2B:38500609:38502272:1 gene:Dexi2B01G0030250 transcript:Dexi2B01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALPDPEADASKKHGNVKYAFTCAICASMASIILGYDIGVMSGASLYIKKDLRITDVQLEILVGVMNVYSLIGAFAAGRTSDWIGRRFTVVVAATIFFVGALLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPASSRGLLTSFPDFFINLGILLGYVSNYAFARLPLYLGWRVMLGIGAAPSVLLALMVFVMPESPRWLVKNGRLADAMAVLEKTSATPEEAAERLADIKAAAGIPEDLDGDVVTVPDEDRNSKETQVWKELILSPTPAMRRILLSALGIHFFQQASGSDCVVLYSPRVFKSAGITDDNKLLGTTCAVGVSKTLFILVATFLLDRVGRRPLLLCSAGGMMVSLVGLGTGLTVVGHHPDTKIPWAVTLCIASTLAYVAFFSVGLGPITGVYTSEIFPLQVRALGFAVGVACNRLTSGVVSMTFLSLSKAITIGGSFFLYGGVAALGCVFFFTYLPETRGRTLEEMGRLFGMADTSMAEAERTPGEEKVVQMAAT >Dexi2A01G0028530.1:cds pep primary_assembly:Fonio_CM05836:2A:39811768:39812799:1 gene:Dexi2A01G0028530 transcript:Dexi2A01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRRSVERGQRRRRLHILTDHDGGQGMSIYKLNEDGFDSDDAYSEHSEDSGSIKNDSDSDSDDASSEYSKDSGSDDTSSEYSRDSSGSISSESSSKGSASVSYENERRRGYLDEQDRFDSEYDIDSRARRLRRRRLLGRLGRQPGCPYYVAAGTKIFALNSSSSHHRVLPTIVNLVLDAATRTVSATPPFQSPKKSAAFWSAGGAIYALGHRVTNDESMDDQQERCLFERLGHGDGEEWEALPPFSRNRYLDSHAVHPDGATVFLSFDKGGTFSFNGERREWARRGEWELPFDGEAFYVRDMDAWVGLCSHHRGCLAACRVDLVSCCCCCFYSRGSHACL >Dexi7A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:7A:11543437:11543705:-1 gene:Dexi7A01G0002730 transcript:Dexi7A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVTGGVPEEPAALSSARSARREARGHGVVAELWWVLGGVGVRGRDTVRDGGEAEPRHAVERVGSTGAEEAAVVELGVDEGDVEAT >Dexi5A01G0033660.1:cds pep primary_assembly:Fonio_CM05836:5A:35962078:35966570:-1 gene:Dexi5A01G0033660 transcript:Dexi5A01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEAEGPSPGTRAGGGASPEPRPQLTKSRTISGSASASILAADRAGGGGGSGGGSGAGVRDSILVRRSSTAPLPPPPASAAPASPAPRRLTVAVDDPSYAGPNGGVLDRDWCYPSFLGPHASRPRPPRQQQQTPTRADRPSANPTVPPRVAVSQREEEKSLASVVKRSALLEERRPLPPPLPPVRAPRFDLSPYLPLLLQLLAVTFTSSTLAIWQWVKVMGLQKSLTFCQEKIRSCSGGNAGDREDTEKVFWIDKDHGSAFINSGNWNLAPPSTIFALAVPIFLFKYIDQLRRRQTNSMRARGSEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRFVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHIVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQVHLSVILVVASRDGKILMNPDDEYVLREGDEVLVIAEDDDTYAPAPLPEVNKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREFKLTDGGLDICGLTNIKLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPFKELKSPHRYNGFCHSAWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRAREREEIVIGYRLANTDQAIINPEHKSEIRKWSLDDVFVVISKGD >Dexi6A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:6A:23931782:23932858:-1 gene:Dexi6A01G0016060 transcript:Dexi6A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSGAISGGGSPGVLPMVHSDDAFGGVGVDEADEDMVLCSGGGGGGEKKRRLSTDQVRALERSFETENKLEPERKARLAQDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRADHDALRRDKDTLLTEIKELKAKLGDEDAAASYSSVKEEPAASDVEPLAAAAMAAAQGSSDSDSSGVVNDAEMAPEKAHPPTTAAAVTEAAVVPCAAAAHQAALHHGEVFFHGHLLKVEDDEAAFLGDDDAACGGFFADLQPPPSLPWWTDPTEHWA >Dexi7A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:7A:27117949:27119460:-1 gene:Dexi7A01G0017430 transcript:Dexi7A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVKVSNVSLKAAQRDIKEFFSFSGDIVHVEVQSGDELSQVAYITFKDNQGAETAMLLTGATIVDMAVIVTPATDYELPAYVLADLEPKDAKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSAVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKNVEGGSAAQPIISEDPVTDRDLDGEFEKIHVSETPEDIPISTVATVPAISNEEPSEASPPTDAPKKPEPAQGLIL >Dexi1B01G0022000.1:cds pep primary_assembly:Fonio_CM05836:1B:27725021:27731337:1 gene:Dexi1B01G0022000 transcript:Dexi1B01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAALFGIRDAGDHQDQIKPLIAQHQHQLQQLPPAPLLNAASSSAGSGQAAAASPPVKKKRNLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPLQAQRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPGLTASHLYGATTAANMALSLSQVGSHLASTLAGDHHHDLLRLGGGRFDHHLLGPSSAAASAFRPPPPSSAFLMGAPPQEFGNVSDGTGPHGFLQGKPFHQGLMHLPDLQGNGAGGGGGGGGPAVSSSPGLFNLGYIANSANSSGTSSHGHASQGHLTSDQFSEGGGGGGSESSAAMLYTGGGGNFAGGGGGDHHHHHQVAHGGMYNDQQQGVTMLPQMSATALLQKASQMGSTTSSAHGGPSVFGGGLVGSSSGPTAAHGRAPTTMLDQSQMHLQSLMNSLAAGGMFGGGANSGMIDPRMYEMDQDVKFSNRQGGGHGGGAEMTRDFLGVGGGGVMRGMPVARGEHHEGAGDMSSLEAEMKSASSSFSTGGRMQ >Dexi2B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:2B:3635495:3640267:1 gene:Dexi2B01G0004110 transcript:Dexi2B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPAAPPVASRAEVNHPHPPRLPLFSSLCRARRAVIFLPTAPGVLPATLGPVRETDPLRRRRLDGSSGVRQVITQYFGAFLAQDSPVFSFINNLSPIEPLKSAYNANSLQGYQSINITSISSIFTSPHDNVHKEPRLPKSSLGEISESEVCAEGSNTNKPPKSSNAVSPDHNTTPCHGVRSDLKQDKCRKLDVVQTIKNTVEKRKCLFSTEVQLMDGGQPVNDTEEVLGCEWSDLISTTSAELLAFDSTMDDHQRGMHLAAKNAESCGYLLSKLTGDGEISDRAHPSASGQLYYQELMMGEDQTENAQVFQDGQQMISTEEIQDNIYEANGCVPLEYKVESQQQRGIRRRCLVFEAAGFSNSVVQKATVEDLSVSKCKGKGHVQIQPRGLRGIGLHLNALALTPKGKIACQDPMSSALLPSLASGQDAHGKLLSAGENFTHSGGELLEFPMDDCSAGGFPANDHVSSQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKLLPPYFVVLKFYNECRKILTIPQHQLVTREDAIARSQAVSRNTVNAIREVSDAPATADARVAKTLLAEEMKMYSPIKSFWTYYDTNKIYVPEENKTETEVTEEIKQEGEQTENCGTEKENDQQKANVQSEDHPLLEVVPITPPFDLSSCLLKLPNFSSAKPPRPSKARSGNSRSSASKANTTAESCKPSKVAGTVIDEEMPDILKEADSPSSGVKTTSPNGKRVSPPHSALSISPNRKGGRKLILKSIPSFPSLTGDSNSGSAMDSTDNTFSATPLALGKHCFLICR >Dexi5A01G0022830.1:cds pep primary_assembly:Fonio_CM05836:5A:26961116:26961865:1 gene:Dexi5A01G0022830 transcript:Dexi5A01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFLRKAVPLRNPSGSGEYFVMMIHGPKFKLVFARQRDARWVILPSPCQFDDAILYQGQFYTMTACGALLVWEPDGETFKSRVAVPEHDEGEEYVYFKKYLAESLDGDLVLIWREHRSSRGEDDSSASDDDDDYVEPDPTVRFQVFVLREGCQGSEWKELHDLGGAALFIGYNSAVFFPADGSSNLQADNIYFTDDNMIIAWHRKQEPRDIGVFNMKNKVATLMSSVDQHLNNWPPPIWVTPSIDIV >Dexi5B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:5B:10269863:10270421:1 gene:Dexi5B01G0013940 transcript:Dexi5B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGKNKWWRWRRRQHQQQQISERPVLLTFYVIIQPRRVAGGGIRATASSHQHQREHHHRHLNAVVACDERRRWNGGDKSQNNRRAELLEYSRQMRALAQQAIVTTTTAAAAPTPPRPRRQRQDTKNTLVVMRGGRQTLTGSIWSAR >Dexi5A01G0025720.1:cds pep primary_assembly:Fonio_CM05836:5A:29527848:29528294:-1 gene:Dexi5A01G0025720 transcript:Dexi5A01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTQLQDEEFQESDILWPDAADFPVSPRGHYAHVGTDDGGEYSGEPRLPMKLQLRQKASSPIDIPGRKKSCAPGGAKGADAAEPPAGRFSDFGVSLACFGGGAGSVVAGSNVFVPPHVTVDRRAKREKAKMMFVVPSGRARVRKMRD >Dexi9B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:9B:612699:613256:1 gene:Dexi9B01G0001060 transcript:Dexi9B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAVEELDAVVGRDRHVQEADIPRLSYGKACIREAFRLHPVAPFNVPHVALADATVAGYRVPAGSHVLLSRVGLGRNPRVWDHPLRFDPDRHLLTGDDVTLTENDLRFISFSTGRRGGVARHRHERHALGKAPSGVHLEQAARGGGHRPRRVQARHLHGHAAAAARRAAAAGAPLHTPSTRPKY >Dexi2A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:2A:4327930:4328436:-1 gene:Dexi2A01G0004780 transcript:Dexi2A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMASAASSLTFTGRLPAQLPAAMLASRRRTPLTVVRAQQAEDAAEVKKPAGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGDGLLAQAGNGAGLTWFAYTAVVLSAASLAPLLQGETVEGRSGGLFTADAEIWNGRLAMLGLVALAATEYLTGTPPVHA >Dexi4B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:4B:18173242:18174030:-1 gene:Dexi4B01G0016160 transcript:Dexi4B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLTETLDKEGPKATVLVNTCQELELGALAAVGAHDVLPVGPVLQSGEMSGIFKQDDVEYMEWLDAKPENSVVYVAFGSLATMGREQLDELLLGLEESGRPYLCVIRKDIKVALTDAEAEMPHECLKNGMVVEWCDQVRVLLHAAVGCFVTHCGWNSVMESVASGVPMVCVPGVSDQRMNAHLIVHDWRVGVYKGGVLRAAEVRRCIDEVMDDSEAAVEVRQMAGKWKQIVVEATGKGGSSYRNLMAFMDDGARSAV >Dexi9A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:9A:2912919:2916102:-1 gene:Dexi9A01G0005260 transcript:Dexi9A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARSLHIPTPPKPSNPSSHSHLHVPSQFRPLPQRHGRRRRRIAVSSSDLTSFPDPTPTPNGVYVPWAAAHPAAAATIDVDAATEAELRENGFRSTRRTKLVCTVGPATRGAAELEALAVGGMNVARVNMCHGDREWHREVIRAVRSLNEDKGFAVAVMMDTEGSEIHMGDLGGAPSVKAEDGEVWTFSVRSTDTSLPDRIIHVNYDGFAEDVKAGDELFVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTIWRDGSVVRERNAMLPTISSKDWIDIDFGIAEGVDFIAVSFVKSAEVINHLKSYITARSRGSDIGVIAKIESIDALKNLEEIIRASDGIMVARGDLGAQIPLEQVPSVQQKIVRMCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEALELQDVSSSFSDKISEEICNSAAKMANNLGVDAVFVYTKDGHMASLLSRCRPDCPIFAFTSSTSVRRRLNLQWGLIPFRLSDSGDMDSNLNRTFSLLKARGMVQSGDLVIALSDMLQSIQVMNVP >Dexi1A01G0022530.1:cds pep primary_assembly:Fonio_CM05836:1A:29198613:29199295:-1 gene:Dexi1A01G0022530 transcript:Dexi1A01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILYLSDSTAGALSTLFDLGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRISGVISLYWNIILMFITGMLVNGPYALITTAVSADLGTHSSLRGNSRALATVTAIIDGTGSAGAAIGPLLTGYISAKSWTAVFIMLMVAALVAGLLLSRLVMAEVSTKLESRRSAAATGLPASSVEEA >Dexi6A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:6A:9533772:9540957:1 gene:Dexi6A01G0008780 transcript:Dexi6A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQETSTCRGRTHGHHALHFPSSFPDRKKAAAMAGRSLAMLAIAMAILQVASAKSWLNQFTTDGRKVVMLNLDPSSGAAGLNSKQQAELAGTAKDLLAVVELGEGERNQGSQHLRSKLWCDPSSSSSIATQRRQRRVGGAAKPEDAKAAKLADSSVGVGPGSSGLNFGGGTGIGGGALAAGVSRCSASVTLQPVDGLPRLDNELSSPRQQIQLWSSPAALLAFTSPPRLHWPAMPDTAPRQANRARSLRGEASSRDKPRLGANSPRPSPTGGELGRRPWPPPSSTGHGVVRAAPPKHRTWTQFELPSLAQTTLHRVYKGGKLPLLHAPVPLSAVSAIGGRSLSSAFGPLPRPPGCPRKPPRPQPSPAELAGIRHRKQSTAAHRCQPSTSHLRPRDHLQSTRGEPLTVLPHFPPPPLAGVWPENPLPPPQGPFFIVSIKDQIVDGNYKLVPDIEEEVQEGEVNVLIAEQAPPSEPRAEQEGKHRSMA >Dexi2B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:2B:25080196:25080873:1 gene:Dexi2B01G0015060 transcript:Dexi2B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKRERDSKNPMRRTTSLTEFAPPEALAVVVEDEEAQQLPDDNGQDWLSAFGGGAGGPAAQEEWLAAYRARAAPARAGLRRNSADYSVVETAAFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRERHITQEEWKDKCTVKSMSKDGAAGTAKVTGRRAGSGKPGAGGTVAAA >Dexi4A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:4A:2247152:2249795:-1 gene:Dexi4A01G0003060 transcript:Dexi4A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNQTSLMPPFSFTLDEGSSRSKRPYRWQRVLLKITMAIAREVASVTKLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPRQNPNARLLETVSYNEVTTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKNQEQNGNAVSEVRRLVN >Dexi3B01G0023060.1:cds pep primary_assembly:Fonio_CM05836:3B:17822177:17827257:1 gene:Dexi3B01G0023060 transcript:Dexi3B01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGGARDGGQSEVIDYGRRSTTCGYCRSSRPTSISHGMWANSLKADDYQEKLAMTMERLGKLDGFEVKACNGHLNFYLSANPAMHNHTGVVPAQASDNSSRSKQSSVNKVSMKHPQKRKSLEIRMSTSHFDPEEFALYRRWVRYDLAKPLLDKSQYSILSDYATMQDETHQPQICGPSDDSSSKGDQHESHSDDDDEDFNDYESEMMVDEELVDSEKADTTESGSCINDIENITLHLNGSKVKYKDLQQMFGPIERRHLNALEGQLRRYAEVVGKELSERMVYCLS >Dexi3A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:3A:12528616:12528964:1 gene:Dexi3A01G0016570 transcript:Dexi3A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRGSSSSKSREPNTTGTAVAPENSQGVTISQFITQLDESATKRLHRMNERLRMLEQQMETLETDVAKARSHSASLEGCTE >Dexi5B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:5B:3796232:3798133:-1 gene:Dexi5B01G0005590 transcript:Dexi5B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSAATTTTRVALYLRRARLIDSLRLRLRSSPPSSPPPPPSPDDPVVVLHAIRAAPTPSSALSLFRALPSMPPPPLPLYHALASRLASLAALPDLRAHLASFPLPAPPLARLRLLATAGDRASALETFGSLPSAPRRPAEAHNVVIELHARDGGHSAAVEAFRAMVREGALPNARTYTVVIAHLAYAGFVDEALEVFRVLPSLRVRRTTRQYNVLAEALGAAERFDQLRWLVREMAAVDGVMPGQQTRAAIAALREAGHIDGTEDFVEELSPNARIGYAVDDVEGEGDSEEEDGDNGDVNQHDTSRGNSEKQTLKPWLDPRELARALDGWDPREVADLEAAGIIWTPRLVCKLLRAFKKAETAWDFFCWVACRPGGFAHDRHTVARMVAILARAGHVELVERLLAKVRADGIALPFATVRLVIDFYGLSKKADAATRVFREAEFICGPISAPNLALLCSSLLRTMAKCRRALDAMDFLDEMMARGVLPDLQTFSGLMEYLAGAGDLKGVNRMLGLVRQCELQPDGYMYSVLVRAYCKRERAALALRVFDEMRAAGVAPDAPTKALLVKSLWREGKLREAALVEERCEDMAGGLPEASPGHVWTASAADLKKVLDIYSGCFAELADQAGAG >Dexi4B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:4B:3281103:3286869:1 gene:Dexi4B01G0004610 transcript:Dexi4B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYVCVVACVLSFHLFAAYFYRRNRQRPPPRRADTITRAVTPPAKVAFRSLSRCHQLNRSRPTTQLQHAMPMVDARSLAQGESKRGACMHGAQRQAFVDEHLKHAHGRPAGWLAASKEERPGRWRPGFSQGVGRNIYLAPAKWREKRGPAAIIGQALIMDHWEERGRRKDAIDQMAMIITSCHTPYLPSHWSCEIRRVPHSGGHPAFYFVFVSPVRPAGLLVDQRPAGTAQRHPKHLRSNTQKGKETDTRAPVQKCFRLLGMWKARDERHPLTAVAASMSMASQSQPRPAGRPRGLSPLASISTQCRRGPARFPIPKQTLQPFPVCINGSHSASNSGRSLAQGLKWEATAQLHARPHGRRQLRPSVRWTTTGGARGGVGPGVSV >Dexi2A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:2A:15869425:15870677:-1 gene:Dexi2A01G0013110 transcript:Dexi2A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAATGGRRQREEEAMLVSSGKGKRPLTGEWLRREERSRRHPGSHAAHTGAHGEERRWEKTLSS >Dexi7A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:7A:2829477:2829683:-1 gene:Dexi7A01G0001100 transcript:Dexi7A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRACGQHQLPPCRRACSPPLPAVGIRMPAKPVDTPVPVPAVASSASASGRSCTCTARLEKDKHKGS >Dexi4B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:4B:2145175:2147600:-1 gene:Dexi4B01G0003170 transcript:Dexi4B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGAAAAAAAGSSGARPVDKEVDFANYFCTYGYLYHQKEMLCDRVRMDAYYASVVRNAPHFKDKVVLDVGTGSGILAIWSAMAGARKVYAVEATTMAEHARELARANGVGDKVEVIQGTVEDVELPEKVDVIISEWMGYFLLRESMFDSVIFARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKMEDLDIAMEDWSLFVQDTQSYYGVNMNALTKAYRAEHEKYYLKSSIWNNLHPNQVIGQPAIIKEIDCLTATVDEIREVRAQVTLPINLDGARLSALAGWFDVHFRGSVQNPAVEEVELNTAPDENGGTHWGQQVFLLTPPLNVSRGDNVNVSFSMVRSKENHRLMDMEFTYELHESSGRKHPAITTKMFLE >Dexi5A01G0030620.1:cds pep primary_assembly:Fonio_CM05836:5A:33531669:33535574:1 gene:Dexi5A01G0030620 transcript:Dexi5A01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLVMASSGFSWTLPDHPKLPKGKPVAVVVLDGWGEANADQYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGDGFNYIKESFENGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPKANDQYLPPFVIVDDSGKAVGPVLDGDAVVTINFRADRMVMLAKALEYADFDKFDRVRVPKIRETVKFGHVTFFWNGNRSGYFDETKEEYIEVPSDSGITFNVAPKMKALEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKAGKPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRTDIQTPGLANVAATVMNLHGFEAPADYEPTLIEVADN >Dexi5A01G0025980.1:cds pep primary_assembly:Fonio_CM05836:5A:29688827:29689039:-1 gene:Dexi5A01G0025980 transcript:Dexi5A01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAEKGKALADGSESAAESAARWAREWSTWAMKNAKVVAHYGFIPFVILVGMNSEPKPRLAQLLSPI >Dexi3B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:3B:13208654:13209598:1 gene:Dexi3B01G0017870 transcript:Dexi3B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTELLFCGDCNRATEVVLDHASGDTICTECALVLDARFIDEGSEWRNFADDGGGEDRDPSRVGGRSDPLLSNAPLVTRIAYNSGGPHKKAQADGGGLPRMRVAGGPDPEQSLVEAFGAIADMADRLGLVATIRDGAKEVYKKVDEAKACPRGKKRDVFYAACLFIACRNEGKPRTYKELATATRGGAGAKKDIGRMTTLIKKVLGEDAGGGGQQVLDLGVVRAADYLRRFCSRLGMGNTEMRAAEEAAGRLEARLDVRRNPESIAAAISYMLVQRAGNDKTVKDVSVATGVAEATIKEAHKELAPHVQMLFG >DexiUA01G0025170.1:cds pep primary_assembly:Fonio_CM05836:UA:52743322:52744318:-1 gene:DexiUA01G0025170 transcript:DexiUA01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSSSLGTTRTMLVVVLAVAVFSSPAAAAALCPQLEGIVRTEARAALQREIALAAGLLRIFFHDSFPQGCDASVLLKTSGKTEQTMGPNTTLQPRALQLIEDIRAKVHAACGATVSCADITALATREAVVASGGPTYAVSLGQLDSLAPASASDVNALPSPFTASVAQLLRAFRGRGLADAADLVALSGAHTIGRAICGFFQDRTTNPGNDAFVRKLKADCDTDPNRLQQLDVVTPDAFDNGYYRALNASQGAHQGPHHGPHRQAFAKSMAKLGSVPRKPAGNVGEIRRSCFRTNAGGETLLLQSAAAEGQAAASA >Dexi2A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:2A:5716849:5717718:-1 gene:Dexi2A01G0006020 transcript:Dexi2A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDGGGSGRDGGIGSEGGIEGSVVLHRRRRRRWGGCMGDGMAVAAAGWEMGGGGGSGSNPSTLGFNVTSMEGIVSVFPSRTQELLTTRLWDFLGFPQTIVVSALFLVSAISWDDHKIMASASINTLPVLRNAALVFPING >Dexi5B01G0021130.1:cds pep primary_assembly:Fonio_CM05836:5B:23425166:23427952:1 gene:Dexi5B01G0021130 transcript:Dexi5B01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGASPAAPPPWSLLCALVALATLGWAWRTLEQFWLRPPRLGRALRAQGLPGTAYSFPSGDMKEFARLAVAARSQPMPPASHAVAPRALPFDHSITRQHGEKWVTRRRIINHAFHLEKLKRMLPAFAACSSELIRRWEDSVGSGCMQEIDVWPEFQNLAGDVISRAAFGSSFSDGRRIFQLQLEQAQNASQMAQTMHIPAYRFLPTKLKQRMKANAREVEELLKGIITKRERAMEEGADNDDLLGLLLESNTKESQESGSAKPMMTTDDIIGELKLFYFAGMETTAVLLTWTMVILSMHPEWQDRAREEVLRIFGKNQPDYEGINQLKTTGGAGAGVVARNSEGKVLFTAWKELLRCYDAAEAEASACLMGLCLAAQWTPGRVVLRPYGPRFTAGD >DexiUA01G0009330.1:cds pep primary_assembly:Fonio_CM05836:UA:18196747:18197448:-1 gene:DexiUA01G0009330 transcript:DexiUA01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGTRERRPATGTEWKRKVSLFDVGNEDYEASVSALSAKLSRASINGAAAVAAANPPSINRWNRRPYSARYLKLLQKRRELPVWQQKDEFLRALRDNQTLILVGETGCGKTTQIPQFVLEAEDLATHPAVACTQPWRLAAMSVARQVAKEMDVAIGKEVGYSVRFEHCCSCRTVLK >Dexi5A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:5A:7915232:7918268:-1 gene:Dexi5A01G0010470 transcript:Dexi5A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAAAGGGSYRVILLVPTTAAVLFLLLLCGCGTPAAMAAAAGGTGGEGEQYGGARYKDPRQPLNRRIDDLLARMTLAEKIGQMSQIERENATADVVRGYFVGSVLSGGGSVPAPNATAEAWVDMVNGMQRAAMSTRLGIPMLYGIDAVHGHGNVYKATVFPHNVGLGCTRDPRWGRCYESFSEDPKVVQQMTSIIPGMQGEIPSNGRRGVPFVAEQRNVAACSKHYVGDGGTTKGINENNTVATFHDLLAIHMPPYYSAVIQGVSTVMVSFSSWNGVKMHANHFLVTDFLKNTLRFRGFVISDWRAFDKMTTPEHTDYINSIKLGILAGIDMVMIPYTYTEFVDDLTILVQNGTIPMTRIDDAVRRILRVKFTMGLFENPYGDPSLAGELGKPEHRELAREAVRKSVVLLKNGKDHGEKPLLPLPKNAGRILVAGSHAHNLGYQCGGWTITWLGVSGNNLTVGTTILDGIRHAVDKNTEVVYVESPNASFVEENNNGRSFDYAVVVVGEPPYAEGFGDSLNLTIMEPGPSVIGNVCGSVRCVVVLVSGRPMVVEPFVGDVDALVAAWLPGTEGEGVSDVLFGDHGFTGKLARTWFRSVEQLPMNVGDKHYDPLFPFGFGLETQPSMTA >DexiUA01G0017900.1:cds pep primary_assembly:Fonio_CM05836:UA:38108909:38113026:-1 gene:DexiUA01G0017900 transcript:DexiUA01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSLNQASQIVACTITSTQSSLLYETAMKCIQALPLWCDTSSVINHHMFKGYKGGNKRRRSNEFLAANIYVGYDFLDTSKRRFHVYVWYNSSFSRDNGHHTMTVLRVARLVNMASTAYLNLFGGQNVEMRLEYLKEMPTAAVPMRLNLTTLLDALFFTWTVELLLPRTLFGFAGLDIFRLNSYSIQSLFYFIYINLQIALAFLLASLFSSVKIATVIGYIYVFGSSLLGEALLKIFIEDANFPRLWLVAMELIPGFSLYRGIYELSEYAAAGRNMGKPGMRWADLNNPVNGMKDVMILMSIEWIILLLVAFLMDHRPAWRPLFVFGFLSTRHSSPSEKPNKMKTGSRRVHVDMTKPDVFLERKVVKQLLKHMDMRNMIICHNLKKVYPGKNGNPDKHAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLLKPTYGTAYIHGMDLRTDMNEIYANIGVCPQHDLLWETLTGTEHLMFYGRLKNLTGAALTQAVEQSLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDSRSRHDLWRIIKRAKKDCTIFLTTHSMEEAEELCDRIGIFIDGNFHCIGTPKELKARYGGTRTLTIMTSPEHEEEVEQLVSQVSPGYTRIYSVSGTQKFALPRKEVGLGQVFGAVEVARHAFPVLGWGVADTTLEEVFIRVAKEARAFDVLS >Dexi2A01G0031580.1:cds pep primary_assembly:Fonio_CM05836:2A:42330522:42330765:-1 gene:Dexi2A01G0031580 transcript:Dexi2A01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRSLPSLGRALLTPAPVRMLSAEASDALVEIKPGEIGMVSGIPEEHLRRKTPAS >Dexi5A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:5A:9397742:9400062:-1 gene:Dexi5A01G0012540 transcript:Dexi5A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAARKAAAAAGSGGMGRGPALVLALVAAGAFLISYNFFAMLLHGGAGAGAAAVARDPVVPMPAWMRETAGGEARRRPFHVALTATDAAYSRWQCRVMYYWYKRMQALPGGEAMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLQKAKIEEEYVLMAEPDHIFVKPLPNLAHDNDPAAFPFFYITPSEHETIIRKYYPKERGPVTDIDPIGNSPVIIKKTLLEKIAPTWMNVSIQMKEDEETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTQLGNTFIIHFTYGCDYSLKGELTYGKIGEWRFDKRSFSDGPPPRNLTLPPPGVPESVVTLVKKVNEASANLPRWDDGI >DexiUA01G0003470.1:cds pep primary_assembly:Fonio_CM05836:UA:7143737:7145426:1 gene:DexiUA01G0003470 transcript:DexiUA01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLVCGGKRSKGSDDDATGWAYQYVVDPVGTAGKLGEWLCRAALQPPPPPRVCGTPGGPPVTARRVRLSDGRHLAYEESGVPKESARYRIVFSHGFTGSRLDSLRASQEVAEELGVYMVAFDRAGYGESDPNPSRSVRSAATDMEELADALGLGDKFHAVGVSLGCHAVWGALRYIPHRLAGVAMLAPVVNYWWPGFPASMAAEVYARQARGDQWALRVSHHAPGILHWWMDQRWLPTSTVVDNTTPLPNKRDAEIRRTLTADGTLEKKKEMATQQGIHESYYRDMTVMFGKWEFDPMALPESPCPVHMWQGDEDGLVPVELQRHVAGSLGWVNYHELPGTGHFLSAVPGLGDTVLRTLFG >Dexi9A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:9A:197881:199341:1 gene:Dexi9A01G0000280 transcript:Dexi9A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSRKQQNPVAEEVRLQRGIALPLVGMNLTWFAKLAVTTAFLGRLGDLELAAGTLGYSFANVTGFAVLTGLCGAMEPICGQAHGAGNVALLRRTLVMATLMLLAASVPIALLWTRVDAVLLRFGQQPDIAATGRAYVVCLLPDLAVTSLLAPLKAYLSSQEVTLPTLFAAALGLAVHIPLTMRLSATMGVRGVAAAVWISDLAVAAMLAAYAIAHELRRAPSSSSSSGSGSSGSGWRIGGLLRLAVPCCLNTCLEWWSYEILVLLTGRLPDARRMVAVVAVTLNLDYLLFAGMLSLSVSASVRVSNELGAGDAAMARRAARVSVAGGALAGVAGGLAMLAARRPWARLYTRSPEVRDGAARAMRVMALLELLNFPLNVCGGIVRGTARPLLGMYAVVAGFYVVALPVGVALGFKARLGLEGLLAGFLVGAAASLAVLLTVILRMDWEAEADKATRRAGKGDCKSNGNQPMMMDPSICSLEIGT >Dexi1A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:1A:151236:154432:1 gene:Dexi1A01G0000230 transcript:Dexi1A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKFKYFCDKLSCGTGDRKAVISISVDKDNVRFFTDKSSAIVRLQTQNGDKPNEATRIVMKEKISLTFGLRYLKNFSKASTLSDQVTIKLWSNLLVVEYMGYIRYHVMPAEKEAETEGIEEEDQKN >Dexi3B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:3B:1220817:1222409:-1 gene:Dexi3B01G0001700 transcript:Dexi3B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNELNPPVNSETAHPSSAFRDNLNSSSDDDENVSEEDGDLSSDEDRAEENKGDGNNWMDDFMDPNSKNMEKNGNLESLMEHRKAKNNLKLELDRRLMDMQTADAIQKMEEASRFRVQLKKPEVSEKDASGDHSGKDSEQAWNNGKLFGSLEPHVGDEIKILSAAISDVCVLEVNHGTNEGNKSTDSIDGTDSFYIQKSISGTSEVNHSVSAGCEQLLFCSLSEEYSPDERIDEADSIGEVNSLFKCRMEDVLVQSISESGIDQPFAGKLKHGLNDTLSTESVMPVVEARSVEELNLQFAQLNGEELECAASDSSCDNEPIQYRSSESLHLENGHNPVAVMVQCKSEELFTEDSKLPVLEASSAEEMNSTFKQLEDEGPTQMLHSPELTVVEHNGETDSCVLIPDANSRE >Dexi7B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:7B:17272359:17272739:-1 gene:Dexi7B01G0009790 transcript:Dexi7B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCASSTEASAAALSEKLSVDVGDSSPCAAAAAEEDAGGRCGGAAETTDADAASARSLASRASRASASSRALSHCAVCAAALACCCVPAAPEPEPSASERGLCVTGSMPILASRFLRCVFQ >Dexi3B01G0024300.1:cds pep primary_assembly:Fonio_CM05836:3B:18958538:18958761:-1 gene:Dexi3B01G0024300 transcript:Dexi3B01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHFDTATSCQSSAVKKRPITREGAQKHADVVTTLRRPSTAERSSIVRDVAPRNATALYCRKTPDF >Dexi2A01G0032360.1:cds pep primary_assembly:Fonio_CM05836:2A:42860326:42863782:-1 gene:Dexi2A01G0032360 transcript:Dexi2A01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADPSRQVRVRFVTKLPPPLRAPPVAIAVPADLSRMGLSEIVNSLLAAAEPDYKAQPFDFLVDGELVRLPLQQFLLAKGISAERVLELEYVKAVAPKKQDEHCPHDDWVSAADGSNPSFILTGCYDGLARLWKDAAECTHILEGHSDAITSTRFISKGVETDGSLHIVTGSKDRSLRLYKCDTPVSMDYPKRIGAYKILHGHTSSVQSVAVDPSRDMLCSGSWDSTIKLWAVEGSEEDGDAVSLKKRRMNSDSSGPEESQLEGSATSTLLGHTQCVTAVTWPEQQTIYSASWDHSVRQWDVQSVKETWNVFCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPVASGFCGLTQRQ >Dexi1A01G0028730.1:cds pep primary_assembly:Fonio_CM05836:1A:34344140:34348448:1 gene:Dexi1A01G0028730 transcript:Dexi1A01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGASGIGGKPAGTEEAYYNPKEPSENGIGGGFGRGCGARKRHLAAAAVKIGVLVLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELTGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYERQCTRDGRVTCLVTPPRTYRIPLRWPSSKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGIRTVLDIECGFGTFGTHLFERDLLTMCIANYEPSGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWDKNGMLSLLLSYGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAEGLCWEMLSQQDETIVWKKTNKRDCYTSRKSGPELCSHDPESPYYQPLNPCISGTRSQRWIPIEHRTTWPSQARQNSTELDIHGVHSEVFADDTSSWDSTVQNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNHLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKSQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFLRK >Dexi5A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:5A:22086028:22090135:-1 gene:Dexi5A01G0018560 transcript:Dexi5A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQEGKRRGVEDVKRKGAGKPKAKAAAAAVAADDAAPVAGCWIRFPRLRGCMSSRAKVDSSTSGGGQPMLLLIIIMFISWVDHLTDTISTSAENKTVIDGCQDQSVPPASGSTTTSNTRSISPSSIVGEELKLAFQLHRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYKLVDPRLDGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLQNLKDMASSSYFFQSMQQERRTALTNSYGSQSMKAQSTFARNGQQPLRSLSYGPHASPYHQSPRPDGKRQ >Dexi3B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:3B:12493103:12494159:1 gene:Dexi3B01G0017020 transcript:Dexi3B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSASSSQYFTNLMTQNEDADLELLLQPDPTPSSVVKGASKRGSNYSHDEDIQLCISWMNISSDPVIGNEQPAGTYWERIAQDFHENKEGKFESKRTPNSLEHRCGVIVKECMKFQAFYEEVERRHPSGVPYQEHMLEAQARYARQAKGNACQFIHCWLQIRHSEKFVKAREQGNLPVQSNPTHDQAEGLQDSGQGQDSSLPTAKKARPPGRKKSKEQMKRDEGDDEYKIMMKNLMVMKTEEHKLKKKKWDMDKLLEQWRVDIEERRLQWEQEKEIMLCDLSTLDDYQRTYVLAKRAEIAKTTSLSSSAGGSVGESGDFSSV >Dexi8B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:8B:25534743:25537831:1 gene:Dexi8B01G0014830 transcript:Dexi8B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMTGALPSVITKLGCLLIGEYNLQKRVKGEIRFLQAELESMKGAMEKISNTAPEQLDIQDKIWARDLRDLSYDIEDSIDTFMVHGDGNESSDLLPGIRKFIDRSVNLFRKGKTRHEIAAKIRDIKNRVKEVHERRRRYDVNLGVHQTIAITVDPRLFAQYTEVKDLVGIDETRKELINILMEENGVTKQQCKVVSIFGFGGLGKTTLAKQVYEKIRALFDCCAFVSVSQTPDFKKVFKGILYQLDKKKYDSINEKPLDEEQLISEIYEFLQQKRVPGIGNLTSLEELTWLRIDELNSTDTIEELGLLTELRVLRIAVFTEWSDKLAECLLRLNKILVISVIIGHCSIRGFDDWVVPRLRCSLWGFLQPVVFERGAMPKLTRLEFTFWVRVTREITDGEGGGLELGLGYLASLQDICVCFRSEGAGVEEVDEAKVALWHTAEIHPNNPTIHIDQDSDDD >Dexi3A01G0035230.1:cds pep primary_assembly:Fonio_CM05836:3A:40561699:40565748:-1 gene:Dexi3A01G0035230 transcript:Dexi3A01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSVSVTLDPDHLSATTTVAVSPAFSADRMWLNGKEISLSGGRFQSCLREIRRRARDFEDEKKGIKIKKEDWEKLHTGIWKCMPQYLCSKQKETSSTSGMRDSVETSPLLQYRAQPCGEVEPLGRIPTEDVEALPAPPEIKIHDQKFKGDVSYFICSRLGAGPKVVTDESQVLLNSITGLPNGV >Dexi2A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:2A:31998110:32000861:-1 gene:Dexi2A01G0019920 transcript:Dexi2A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMETEWSPIQYRVRSNLQLQAGYSGSDQRALKAADKIEALPGQPEGIDFDQYGGYVTVDEKNGRALFYYFVEAPQDASSKPLLLWLNGGLKDITLVFCICDLHPILPYQGTYNACDYYPTVTYLNDPMVQEAFHAIKTEWGGCTGLQWKDAPDSMWAFRCHMPAHRHKVFDPRSEPLSHNTMASMTANKE >DexiUA01G0020810.1:cds pep primary_assembly:Fonio_CM05836:UA:43107837:43110095:-1 gene:DexiUA01G0020810 transcript:DexiUA01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSKYVIDQFSVQSSVMAVDTTEPEYWLNWRFLLCALWVYSCMVLACFLIWKYEGPRSHSNADEDGDREEALFQVGPGVVYLEDCWMPCLEEIHPGWLLAFRLVAFFILSSLLLVDIVVDGWSIFLYYTQWTFLLVTLYFGLGSLLSIYGCYQYAYKTGGDGSDLIGSGADCGTYIIAPTGESAYNHVIKSPCYSKMHGGQEIAGFWGYLFQIMFQILIGTHSINVIFLVGDAALNKLRFPWFRIAYFLLWTGIFVNVQWIIHANVSIWWPYPFLDLTFPGAPA >Dexi5B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:5B:9704596:9709613:-1 gene:Dexi5B01G0013600 transcript:Dexi5B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCSLLVHFDKGSAAMANEIKADLEGGDGPAKADAMRRAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDRRDPAGRALPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLSEPEVLEPLVPSVLTNLEHRHHFIRRHAVSAVSAIYRLPHGDQLIPDAPELVERLLASEQDASARRNAFLMLCSCAQERAVAYLLSNADRVSEWPDLLQMAVLDLIRKVCRSQNRANKGRYITIITSLLSAPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLILLDRLNELRTSHRDVMVGVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAADIEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAIATIKQCLGDLPFYTVSDEGETADSAKPAQAVVNSVTVSSRRPVVLADGTYATQSAATETISTPSVAPGSLSSTLNLRSLILSGDFFLAAVVSCTLTKLVLRLEEVQPSKVESNKACTGALLIMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVHDDLKAATGGFTKDADDANKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQQDGKLSGYIRIRSKTQGIALSLGDKITLRQKGGS >Dexi9B01G0044080.1:cds pep primary_assembly:Fonio_CM05836:9B:43954375:43955535:1 gene:Dexi9B01G0044080 transcript:Dexi9B01G0044080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQGISNAATPPPRYGALSPPVLLSACLVLLGAGGSLLIRLYFVHGGQRLWLSTMIQVSGWPLLLPPLLSVSLLLRSRSHGGATAADHLLLPNRLAGAVAVLGAFFAVACFAYSLGSQALPLSTSSLLQATQLTFTAVSAFVFAGLRFTPFSLNAVVLLTAGPAILGVLPSSSSSGKNPAAGEGSSVTANWWTGFFECMASAALFGLVLPLVEVAMCKYGRRRSGRGRAAATARAVSPSYATVMKIQVVMGAAGTVVCLVGMAMAEDFQAIPREAAMFGLGEINYYFLLISGAVLWQLSNLGIMGLIICSSPLLAGIMIALVLPLSELLAVVFLHEKFDGVKGIALVLSLWGFVSYLYGEGTHQKVPVGNEDLESISCPLIATC >Dexi7A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:7A:22363035:22367700:1 gene:Dexi7A01G0012030 transcript:Dexi7A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLARRPLSSPAMARASVSGSAAAAKALFPLNPARGGGLPSLLPARRLPAPGSGRVFRGASLRCYAAAAAVAEQGRIKVQNPIVEMDGDEMTRVIWSMIKDKLIFPYLEMDVKYYDLGILNRDATNDEVTVESAEATLKKAQDVPDGAQPVELDVFDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEENWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTQKLESACIETVESGKMTKDLALLIHGPKVTREFYLSTEEFIDAVAQELRGKIQAPAAV >Dexi7B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:7B:10185236:10186585:-1 gene:Dexi7B01G0004160 transcript:Dexi7B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSFLLSMCLLVLLQVCIAAQLPLISSSQPQIAHVLCQDPIRRALWMSRSHARDEFHGHSADSISDEDLTGDEYDFSNDGGMPMAGGKGFLPPDGDGDGGSSGGTNDSSSGGDVQCPECGKFFKNDKSMFGHLRSHPNRGYKGATPPVKKIKLSPETVIASSSSSQLGTNRLSPPQQHSSRDPQLTPLEKLCACVMLTLKYGRDNNGKAAQQAPPPPPTSPLFGKVEAVGQAEGGTRGLLATGSSSTTAEFKCNNNAGVEAGNLINSDGHSGFIMKIPKKKRNMPKEIIEARSRKKAKLVLTPKEKRPYACKHCKAEFPTNQALGGHVAGHHREKKMQRLNLNDPLGMAAESHNNGRLQRVIKGRGYDGDEDLSLPRGQQLPLQFPVGLNVPWHSSGMASGGQVRQLQSERRNLGLPSATPARTDHGDARRLLDLNIDLNVEAPEQE >Dexi9A01G0035330.1:cds pep primary_assembly:Fonio_CM05836:9A:39935020:39936658:1 gene:Dexi9A01G0035330 transcript:Dexi9A01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPLKIHGNSFEKKLSVLFGVRSEGGPKSSGDGGPTQRASALAALSSALNPSSQGKQSDERPTSSGDGGHTQRASAMAALSSALNPSSKSSSTQPQSHSGQGSQRAAAVAALSNVLTAEGSHSPRNSRPSPTADVERTELTPATPHSETEPDAPEDFRTEPDVSQEQTANENGGQTILSYERLISKSTDPVSGIDYKRREAEYLT >Dexi7A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:7A:8206763:8210074:1 gene:Dexi7A01G0002100 transcript:Dexi7A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLPENKTTTIELGVGVAAAAVLVLLLVAAIAVLLVRRRGKRPYDEEKLTTDGDDSLDDGDFEGSTGPRPIPYAELAAATKDFAADGKLGQGGSGYQIILGLSSAVLYLHQEWDQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTVVAGTPGYLDPECLITGKASTESDMYSFGVVLLEVACGRRPMAPPSADKGKDGQVFRLVEWAWDMYGRGAALDTADERLRVAFDPWEMERVVAVGLWCAHPDPKMRPGIRQAAEALRSRKFRMPLLPPKMPVAVYLQPFGASTMQFSDTTASVGSSVT >Dexi4B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:4B:289849:291757:-1 gene:Dexi4B01G0000480 transcript:Dexi4B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSASPLLLQLLRWVCVVLFLAASVVSGRHHSAASTGSGQSSMYLAPSCRSHTASLADFGGVGDGTTSNTAAFRSAVEHLSQYSGNGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQNISEWPVIAPLPSYGRGRDHAGGRYASLIGGSNLTDVVITGNNGTIDGQGAMWWSKFKSNKLKYTRGYLIELMWSDTVFVSNVTLLNSPAWNIHPVYSSNVVVQWVTILAPTHSPNTDGINPDSCTNVRIEDCYVVSGDDCVAIKSGWDEYGISYNMPSSHIVVRRLTCVSPTSATIAIGSEMSGGVSDVRAEDIVAVDTESAVRIKTAVGRGAYVKDVFARRMTLTNMKRVFWMTGDYKSHPDDGYDPNAIPVVENISYQDVVATGVYKEAARLEGIDGAPFKGICIANVTAELSKSKKYPWMCAAVEGVSAANVSPAPCEALQQNKDGACPFPTDTLPIDDIAVQQCAYDVPAPAAAAAGPKA >Dexi2A01G0029690.1:cds pep primary_assembly:Fonio_CM05836:2A:40725434:40725799:-1 gene:Dexi2A01G0029690 transcript:Dexi2A01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVFSSDGCGLPPAWRSHRRLPTPSGVRARHGRLVIVGLASARYMTTSSSWTSCSPSFYRTRACSSTAGEPSVTSDVCCRRTSVHHQPNITTHNVMLIGYAKRLLSDAELPICRGVVR >Dexi1A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:1A:5141144:5144218:-1 gene:Dexi1A01G0006680 transcript:Dexi1A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPPPPLPLLCSSAFVFLLLLLCRPLGANGRRSTPPAASPASPPGAPVGQPVQLTAAAVNGTVASANGAATGIPAAAAPPPLVVIEVERQPHLRRVLIAAIVLSSFAGVMIVLAALYAFLLWRRSRHALVDSKDTQSIDTARIAFVPMLNSFNSYKTTKKGAATMMDYTSLEAATGKFSESSVLGVGGFGCVYKASFDGGFTAAVKRFGGETHDCEKEFENELDLLRRFRHPNIVSLVGFCIHEGNRFIVYELMENGSLDSQLHDQRSFDIIPVVLYLGSSHGSALSWHIRMKIALDTARGLEYLHEHCNPPIIHRDLKSSNILLDSDFNAKISDFGLAVASGNHSKGNIKLSGTMGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVEKTAQSQCQSIVTWVCNVITFGIFEVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPMELGGTLRISPESPCTTQKHSPC >Dexi2B01G0035100.1:cds pep primary_assembly:Fonio_CM05836:2B:42206302:42207592:1 gene:Dexi2B01G0035100 transcript:Dexi2B01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLLLLQPAGTAAAASLWPCLPVPAPRRSFPNKVLITSLVTVLCSQVKLNQVCCMNQLRWKQTSSAAKLHRPRSLVGCSSSDSSAEVVAVSRPAGASTAQSDQPMERSMVSVPGALIVISGYWTGPDVDDGCGSVEALLQRIV >DexiUA01G0015330.1:cds pep primary_assembly:Fonio_CM05836:UA:32188940:32191222:1 gene:DexiUA01G0015330 transcript:DexiUA01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMEAAETPLLPPPASSLADDPAAAAAAPADRLGIGYLIFFTLGAGFLLPWNAFITAVDYFAFLYPGAPVDRVFSVAYMLSCFLPLVLIVLCFPKSSAPTRINAGLTLFTLALLVVPAMDAVYVKGTPGLYGAFDVTVGATALCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSAMRIFTKALYPQDAHGLRQSAILYFIVGIVVMIICIVCYNVADRLPVVIYYKNIKKRAQKAEVGGGMTGPAWRSTLWSIVGTVKWYGIGIVLIYAVSLSIFPGCITEDVHSEALKDWYPILLIGAYNVFDLVGKALPAVYLLQNANVAVAGSFARLLFYPLFYACLHGPSFFRTEIPVTILTCLLGLTNGYLTSILMILAPKAVPIHHSETAGIVIVLFLVVGLVIGSIVSWFWVI >Dexi9A01G0022640.1:cds pep primary_assembly:Fonio_CM05836:9A:17792855:17795568:-1 gene:Dexi9A01G0022640 transcript:Dexi9A01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVLVARVLPDAADTAFQIHCLERSAYAAVLRAFYAQSDLLSRAKEGCLTELRNELKILESEHRQCLGKARSNKQINSVSDGLHSKGNTCNTEVMKDTADLACVLPDAGDSLFQIHCLERSAYASVLRAFCAVANHLSWLQVKLLTKLRNELRISHIEHKEVLMKASSNEYIKSLRKFSLANLSVLTKTNPSFAARPVGHDKINSTGHASTSSTSCLSMSHQSPISEHSMSGTRDIGISDSLNGSKEGPYFEPHAMVSAKRLKSVNGHAQAYFKCTLYDQLPVAVSAVMVKGRTDNTLDSETISCEVKSGCTSSPIFEEKHSESNVGQVPSSVEARQESGKRKANQMGLSTSLGQRRRNKDSDLEYDSEIINLCLTANLVHK >Dexi1A01G0029860.1:cds pep primary_assembly:Fonio_CM05836:1A:35295750:35297495:1 gene:Dexi1A01G0029860 transcript:Dexi1A01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTMTPPTATVPLSPLFLLRFSSTAAAPRLRSPPATGTGAIRGVRWSRGAGRRCRAAVVEEAGAQEDGVLLPKEGEEATAAAVARYDWKEEWYPLYLSKEVPDDAALPLTVFDRQLVLWRDGDGVLRCHEDRCPHRLAKLSEGQIVDGKLECLYHGWQFNGEGKCVNIPQLPEGARIPRSACARSYEVRDSQGVVWVWMSGATPPDDGKLPWFEPYAREGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQALFFDVTERTGRGFAGYWGRTRTPQLRNLLRFEAPCVLTNTLEYTDKDGKEQCFSAHFLCRPAGQGKSMLIVRFGSTVRSPIAKLLPPWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTRELYLNLRSSDTWVAEYRKWMDRAGHGMPYYFGHSSLAPPPVPAVVEQAPAGAVAGISASFPAKGGVGTVHAPNPTNRYFRHVVHCKGCRDSVKKYTSLKNAFAVMAAAAVAASILAASRQWKAVLLAASAVLAAASYACDAVLSLITTNFIRTHRRL >Dexi5A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:5A:21414438:21415319:-1 gene:Dexi5A01G0017950 transcript:Dexi5A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHVLIVDDSCVDRLVASRVLLSRNIKVTVVEGPKEALKFLAMEHDVNLILTDYCMPEMTGYDLLVEVKNSPKISHLPVVIMCTDDVPERIKKCLDGGAKGYIIKPIKVIDVPILLRYI >Dexi9B01G0036230.1:cds pep primary_assembly:Fonio_CM05836:9B:37761669:37762301:-1 gene:Dexi9B01G0036230 transcript:Dexi9B01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDVAAMDGDGADVEDGGGDSSVRSSERSFPQYGSGGANHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQIM >Dexi4B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:4B:4368659:4369075:1 gene:Dexi4B01G0006200 transcript:Dexi4B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPPNLAAHLARAAALTLASYKECVVDCDDAVERGTELGGDSKLFAKALSRRASALLELAGCAGDHAPAIRDLHQLLEEYGSEEKLEEVDEAERWRESLEDQKRLALEAADRHRERGLGIAQNLRLPR >Dexi2A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:2A:13023686:13029758:-1 gene:Dexi2A01G0011200 transcript:Dexi2A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDSVVFQLTPTRTRFDLVLIANGRKEKFASGLLKPFLAHLKAAQDQIAKGGYSITLEPSSGFDAPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAVQSNDNLGLRSVEDHGRKLTESNEGCRANYDPDAATAIVVYKPGSHSTPPVQDETTAQEENSKKACSHFVELWKQKHETGQWIEVEPEAMSTRSEFPPFNASGIVFMGDNMRQNMESGSVNGEANGEDGAKSDQKSGQQIGSQPAYPPWAMHPPSGAVVYPPYPMQGMPFYPGVNPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKDSETLDVESDHSSSERESSHGHKSHRKGKRSGKKKPSIVVIKNVNVTSKKHGSSESESQSSSDVSEDSDNSHSKSRERKHGSSSKKKEGRKTTSDSGDEYSKDETSNGHDAEQGNWSAFQNFLMRAEEKTRSSDVDMFAGEKEPPSRRKKDVNTADPILLAERDSGNVHERNTVGFDSANGRTRAMRVMSNDELVMSGKGRSYIDGEVKEIEAGGGRYRRGTGDDFMIYGQEGQIDRSSLLDPLTEARYKNPAHQDKNRNGVADESFMIPLRSSSQDNFGSENRTTIDIDVELPANMHKTSDEKAGHQLFYEPDELVPERGVEDVSFGYDPAMDYDSQLMQTTVKVEDAKADDVLPVTDGDVKKAEKEKLRNAKDGSDKRRKDALLRRLSAPRAPLNDAQKRAQNLRAYKADLQKLKKEQEEEQIKRLERLKLERQKRIAARGNGKGPGSDSPKANGINGLSKSVPSLTGLKKEKSGTTESFGDRLKRLSEPKSIAGVEHSSNTRSNGADHSRRRSMA >Dexi8A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:8A:3318042:3320447:1 gene:Dexi8A01G0004250 transcript:Dexi8A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEEEARMSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPKKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDNDAGKKEIQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALENINLKFIDTSSKFGHGRFQTTDEKQKHFGKLKASS >Dexi6A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:6A:7428141:7428374:1 gene:Dexi6A01G0007510 transcript:Dexi6A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRKTVAWVAVVVLLLVVAAEELPAAAEARVCRRRSAGYRGVCVSDHNCAQVCLQEGWGGGNCDGFRRQCKCVRQC >Dexi2B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:2B:12079195:12080304:1 gene:Dexi2B01G0010660 transcript:Dexi2B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFTLLLAALVILSLLVNPIACTRNLTKPKHKAKAKPVSHRRPAPVAVAKPKPNPASYKPAPAAANKPPHRNHTSKHPPIVYTSGWLSGAGATYYGAPNGDGSDGGACGYQTAVGKQPFDSMIAAGSTPLYNGGEGCGACYEVKCTTNAACSGEPATIVITDQSPGGLFPGEYAHFDMSGTAMGAMARPGMADKLRAGGVLRILYRRVPCKYPGVNVAFRVDQGANPFYFDVLIEFEDDDGDLKAVDLMEAGSATWTPMSHNWGATWRLNNGRRLNGPFGLRLTSDSGRVLVVNHAIPAAWKPGKTYRSLVNYP >Dexi2B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:2B:8040770:8041467:1 gene:Dexi2B01G0007860 transcript:Dexi2B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSQDSSDWPVIDALPSYGRGRELPGKRHQSLIFGSNLTDVTITGANGTIDGQGAICQVLVQHLTILAPISSPNTDGIDPVSPVLTKRIIM >Dexi5A01G0034430.1:cds pep primary_assembly:Fonio_CM05836:5A:36480684:36482923:-1 gene:Dexi5A01G0034430 transcript:Dexi5A01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMKPLSGELNNSFNTLMVSGGIENRQAKSAGTETSLTGWKDLPMELLLRIMSVVGDDRMVIVASGVCTGWRDALGWGVANLSLSWCQDHMNDLVISLAHKFSKLQVLSLRQIKPQLEDSGVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALVFLTSQCKILKCLNLCGCVRAASDTALQAIACNCGQLQSLNLGWCDSITDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRSQGRGWDAAKGGGGGKDRDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRAILSNHAY >Dexi3A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:3A:15045975:15049040:1 gene:Dexi3A01G0019220 transcript:Dexi3A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDADADESESERASAGSAAGPGEQESSPRGRSPNGGPGAEEPEQPGTPSRGVKDDISELTETLTRRLWGILEAREQLLQSLQAQNKRGSKFPGESSDFAAPAEERVIQPSSIQDKAGTSEVSSFEEPSSDITPERESEKFSISTTDVEIVDKSVIEEELAVKNDSRTSAVESKLRFEADDDEVDEWPDDEPAEEVGAASHRTSLGREEDVSFSDLEDDEDDDANKRDG >Dexi3A01G0034810.1:cds pep primary_assembly:Fonio_CM05836:3A:40097160:40101448:1 gene:Dexi3A01G0034810 transcript:Dexi3A01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLAAVHRLAVAATTSRLPHTPTSSHNLPSPDCVTPNYPLLWQSSPSSCSAPSLLLELELQIQRDLGTGSSFRSICDPSLCPWRRRGPVECCAAPSNTAEAASLRQGRESEFLEVGGRSRAAMASSAGDGASAAAKNETYVRADKIDLESLDIQLEKQLAKTWEKHKGNTVQGPREDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVADSQMVYFFEQANMTIDRIKLLDWGEDGYATENETAALRASFKQEVAVWHELSHPNVTKFVGASMGTTDLKIPANSSNTGGRTELPPRACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQIALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLEGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAMANIMRKCWDVNPDKRPDMDEVVRLLEALDTSKGGGMIPEGQTGGCLCFFRARGP >Dexi5B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:5B:22955244:22955648:1 gene:Dexi5B01G0020740 transcript:Dexi5B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKHHDPEVARSRVTARDGSSKAVWDTGSSLYDSYELAAVHRLLDAAAGVLPLTTDDETQAAVAAERTDKSNKKQAVVAVRPRRKVTLRALFRAVATWAARPRQAPLACACAGMVHAQGGSAVDPDLASHGEL >Dexi2A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:2A:738275:739523:-1 gene:Dexi2A01G0001130 transcript:Dexi2A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPTELEEEILLRFPPHEPELLVRASLVCKRWRRLVSAPAFRRRLRDLHRAPPMLGFLCNIAEDPEGSVAAFTCEVKKVCEGRNIYSAIPYVSFHTPGFC >Dexi6B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:6B:25765085:25766443:-1 gene:Dexi6B01G0018810 transcript:Dexi6B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKTFLAEEEEVAGDPPAPPSPPRRKHMGPPVILYFFLFFLSFFLFPSLISFLRRPSPMAPPAAARLPLLRPPLSSVASEIRRLRRLSTQAPPPTPCCSDGKLRLLCFAAKLRLQPSIFLLPLPLVPRCLAIAPVRWKETVVDVGNPHLHGICPYGFDPTHIVLQPNVGSKGINPFQPIPTRMGVLQAVCSINEVIVLRLVGDLALI >Dexi3A01G0029510.1:cds pep primary_assembly:Fonio_CM05836:3A:31455378:31459086:1 gene:Dexi3A01G0029510 transcript:Dexi3A01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPAVAAPAVRSPRKTRSMTATVTRGAEAAPAKEVPPKKKEEEAAAVEPKGRKRAKKDDAAVTVTAEPKGRKRGKKDAGEAVPAAEEDGGDAAAEGKRIIVEACTQCRQFKIRAQKVKEDLESSVTGVSVIINPQKPRRGCLEIREEGGEVFLSLLNMPRPFTPMKKLDMDEVIKDIAKKIS >Dexi2B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:2B:729642:738803:-1 gene:Dexi2B01G0001150 transcript:Dexi2B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKPRPPAAARAGAKSRPKRPKSGKQGAELREKNPQLEEHDGETVDAESVQHEKKSNGRFQGIVQSDEDDTEDEHDASNGDAPGDMISKGNGSDETETSCSFHRHVSHVITNEEIKALVKQNSKFKWEMPATDIPRSKWVGTGEKMQGTCDDQLHDVKGKLRDHWQHMLSNHLNSRMSFFSLCNSYRDIMHCNKKPFYLKSNGMDSSTMDAYVMHALHHVHRTRDVVIRNDAKLRNDADRDISDDNTYLDQGFTRPKVLFLLPLKSFARRVVKRLIQLSPLPQKKIDGGEYGKEKDFDFLSSIEIVVVDHADVISMQNWAHLEAVFEQLNHLPSKEHGANVMRIRPWYLDQHAQYYRQTILLSSYLTPEINALFNGSCFNYEGKIKMVTEYTGVLPKIQLEVQQLYERFDASSIAEAADARFDYFCNKVYPKIEDSDEYITGWIVAIFLRQAATVSNPLPIRATSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKIRGTKHLLIYSLPERKEFYPELVNMLGESENRKCNVFFSRLDLLKVIRAHCWDIFCPKVDFLGQEHVCLLLI >Dexi1A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:1A:4174176:4174928:-1 gene:Dexi1A01G0005670 transcript:Dexi1A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATGGSQAYPVAAAAYAPPAMVPGAPAVVPPGSQPAASFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWNTQLDEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGMPPAMGAAGADSYPPYYYMPAQQMPPGGGMMYGGQQGHTVAYMWQQPQGQEEEPPEEQQQQRST >Dexi6A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:6A:8936152:8937217:-1 gene:Dexi6A01G0008440 transcript:Dexi6A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSSSCKCRVLAPALVVVLAMVARGAAAYLYDDIKVTWGSGCSFFYMDNDVDTLALCLDRSSGTGISSNGSYLFARYDMDIKLIANDSAGTVATLYLLPGDNVPWEYRDEIDFEFLGNATGEPYTVHTNIYVNGAGGREQQFKLWFDPTEDFHTYSIEWNPKYIIFLVDDTPIRAYKNDRARGVPFPTWQSLTAEGSLWDAEEWATQGGQVKTDWSQAPFYAYYRNFRVTPCVPSPGVAWCGDEPPESTWFDQRLDAAALQRVQAQNMIYDYCVDQKRFKDTGFPVECTTA >Dexi1A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:1A:23952767:23953789:1 gene:Dexi1A01G0016690 transcript:Dexi1A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRNSIAAMVSLAVAVAAVCARAASSWLRPGFLRLAALLPVVAFLVAAPLAFSSAILRGIAAFFLAWLGVFKVLLLAAGRGPLDPTLPLLPFVFTAALPVKLTTTGATAASKSKSKSTMPLVSCAVKIAAMAAILHAYKYASQLHLYIRLALYGVHIYCFLDLLLPCIAAAGAALGMEMEPQFDRPYMESSLRDFWGPRWNLMVTAILRPSVYDPVRARAGKPTAAMATFLVSGLMHEAMIYYLTLRSPTGEMTAFFVLHSACCVAEAFCARRWAVKGWAPPPRPVATLLVVVFVAGTAFWLFFPPICREGSEEALLEEWAAVAAFFEDAGSKLLWCV >Dexi5B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:5B:13481518:13481905:1 gene:Dexi5B01G0015980 transcript:Dexi5B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVRVSLNWN >Dexi7A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:7A:12400182:12407548:-1 gene:Dexi7A01G0003240 transcript:Dexi7A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDQGGAKAKHLRVLLPFTCDTLRIPDELAAEIGPEGALVVGRAGGKVKLWPVKVGKDGHGAFLGRGWPEFADACGAGAGWLLVLRHRGRGVLSAKAFDATFCFRELGAPASPAVQVTASNKDSTHKPQFIRVLQKDFMEKMLIPAKFVQQHITKEFLDMGTATVLGPVAAVFSIKLEMGQSGMFSAGGWSQFLKFQRITEANALLLRYEGDRAFTLKVFGPNGIQRMSKHKENRGRQIEHNINTMTDTEEQQEAPSASIQKQQEISNSSSKCKRKSNWPSTDREKRPKGSMTSSKMKSSVTNCAYELGPPAWLTKKINISMMRKHHLTVPCPFCNAIGLQKHCMITLQDLMGSNISWQVHGKFYKNGGCQLGSGWKKFCQDIGLKNGDILTVKCNLRYRHRRRHGACVRRRSLLTTAKFCPFSQRIPDELAAEIGAGQALVVLPTGTGGKVRVWPVEVGRDGDSAFLGSGWPEFAEACGVEAGWLLIIRHRGRGVLTVKAFDASRCLRVLGAPTLFAVEAAMSRKDAADKPQFVSVLATNSMEKMLLPTKFVEHHIPKELLNNLSAIVLGPIGKVHSVKLEMARSDVLFAGGWSQFLSFHDITEANALRLRYEGNMIFTVKMFGLRGCQRESEHKEVRVPQNIEEQQEAPSDSIQECCMNDLPIDDGEKKRQSSMTVLSTASFRRLCVYEIGPPSWIKKQINTNTLEKNLALPKDFCDGIGLQGPSTIMLKTSMDSTKYWEMLGMPCKNGSYLFVQGWQRFCQENCLKEGDICTFNVIESTMWQAIITRYIWKHKETSLSSSMKQNSSNGRSDSEDQKKRRGSMTSVKNAPLRVRCTYEIGPPAWIRKEMSIKAIKRYITFPAAFCNAIGFQEACMITFKTSLSSTRSWQVLLLRYKHTSHQVGSGWRRFCCENKITEGDVCTFNVIDPTLWHVTIVRR >Dexi6A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:6A:20306762:20309034:1 gene:Dexi6A01G0013250 transcript:Dexi6A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGMGSVVATVSGYQGDERHRLVKLISETGASYVGAMSRSITHLVCWRLEGKKYDIAKKLRTRVVSHRWFLECLREGRRLPEGPYLMQRVSHTIKLDDSDSDMDNQTWCESALLKENFGDGSDSEQNDSSDVKKRRKRLKRVKKSTDKSVLHLQDNVSSVMYSGPEL >Dexi7B01G0022770.1:cds pep primary_assembly:Fonio_CM05836:7B:27602602:27603047:1 gene:Dexi7B01G0022770 transcript:Dexi7B01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAASTSPTHHRASPGTSPPQPPYPSAARIADSACFPLYTASLKCLEANQDKSKCQQQFDDYKECKKKEWYTIDGFS >Dexi4A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:4A:11279367:11284101:1 gene:Dexi4A01G0012610 transcript:Dexi4A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFERAAREAAAAAAAMEEHSSSIIASAVGIGVGVGLGLASARFSAAGRSRGPTVGPTPAEVEAELRRLVVEGRDSGVTFDDFPYYISEEMRLALTTAAYPYLNQEALPKYINVHTDVSRTILLCSQSEYCLSSVVKAVAHQFNARLLELDVLELAKRIKHKYGCPENAGAVILGKSTTESTLVVTKRYNAGSSRRERGILDLRTTDCRSKNASSVRVQVSLLPGAKDHDDSESTEEYAIILHARDTNCSSSDAETVHPSWNVDEKILMRSLYKVIVSVSECSPVILYIRDVDMVLQNSERTYSMFQKMLNKLSGRVLIIGSYLLTGDTDNYVDKDVSDLFPYILETKPPKEKAQYEKWKTQMETDAAKIKTDIFIKLITDVLSANKLECDDLSSLSLDEMGPIQTHLFDIIAPSVSYHLMNQKDPEYKNGKLIISSKSLSHGLRIFQESNLGKDTVVKTDEPKKVKDNEYEKRIRETVIPAGEIGVTFDDIGALDDIKESIQELVMLPLQRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIADEAGASFINISFSSFASKWYGDAEKSIRALFSLAAKIAPAIIFLDEVDSMLGTRKQSNEHEVSRRIKTEFMTHWDGLLSKSSVKILVLAATNRPFDLDEAIIRRFEHRIMVGLPTLESRELILNKLLSKEKVENIDFKELARLTEGYSGSDLKNLCVTAAYRPMREILQKEIETKKDKDKQSQDKKEKQTQDKKEKQTQDKKDKQVAVKDEQAKSSQKGDKDAVKGEKSGKPSKQGTGKGKSEKGTKSDTEETIALRPLTMEDLKQAKEQVGPSLASEGIVMNEIKQWNELYGKGGSRKKEQLTYFL >DexiUA01G0005750.1:cds pep primary_assembly:Fonio_CM05836:UA:10481701:10486948:-1 gene:DexiUA01G0005750 transcript:DexiUA01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEDPGWYSRVPEFNSEPVVDIQMHTTWGNKFFSIAGHTKDPSEGVTVKEFDPHTCTWSIVRTYGKSPVCARFELKSVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYNGYDYLISFGGYNGRYSNEVYTLKLGLKSDTKSTTKEETVSDTASRVVEPEAEASQDGKIREIAMDSADSDLELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEKEVELLRRQRAASEQAAMDAKQRQSSGGMWGWLVGTPPDKAEA >Dexi7A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:7A:22460911:22474282:-1 gene:Dexi7A01G0012220 transcript:Dexi7A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDGEASSSGSAAPSATSTAAAGGPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLMYELGHLLRKPSREVCIFLAPTIPLVCQQAIVIADSTNFKVQYYHGNGTKNLRDHQAWEKEMAEYEEFYNVVDKPPRVFGMTASPIIGKVVLSNLTVFLPYGWLIIIPIIFMIGGCNKLIYTKCINSLEELLNAKVCSVDNVELESMVASPEIEVYFYGPVGHSNLTATYSKGLEDYKLQAARTFLSSSVYSLEGKGVDINDNQASFIQHYLNKAISLLICDILGDLFFGADADSVDLETLEEPFFSKKIAVLIEVLARFRNTVYAMNLETPTNTTSLAERLKNMSRNKMGSIIEKFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVSSFIQSRGRARMNKSKYIFLLERGNPSQEKLVNDYITGESIMDREINLRTSNDMFDCLEENIYRVNNTGAAISTACSVSLLHRYCDNLPRDMFFIPSPAFFYVDDVEGVVCRLILPPNAAFRQVNGQPCPSKDEAKRDACLKACIRLHELGAFTDFLLPDQGSRKTKVSTTDISESNKAEGCCITEGFNILPVASHQAEILWLSIKLSHPEQPFLKAKQVFNLRNLLHNRQLESTESEGRELMENFVELPPELCSLKIIGFSKDMGSSLSLLPSLMCRLENLLVAIELKDVMLSYFPEASQISASGILEALTTERCLERISLERFEVLGDAFLKYVVGRHSFIAYEGLDEGYKFKHKGLLLQAFVHPSFNKHSGGCYQRMEFLGDAVLEYLMTSYLYSAYPDLKPGQITDLKSLAVNNNSFAYVAIKKSIHKYLIKDSKYLMAAVNKFEKYVNLSNSEKDSSEEPACPKVLGDIVESCVGAVLLDSGFNLNHVWKLMLMLLKPILSFSDMHINPMRELRELCQCNGFELGLPKPTKADGEFHVKVEVNINGKMISSTAANRNSKDARKLAAQDTLSKLKAWKSKLNGGNNESTFMQNYGYKHKSKSLEEILRAATKKESELIGYDEEPIKVEDYISIEMKNPYVEGNILFQNNEASSTGRSETSIQSIGHNKVDKKDANNGRINKNNVVTPNGCLPTGAADTINKKEYHGDMLQKTARSFLYELCAANYWKPPEFELCKEEGPSHLRK >Dexi1B01G0024790.1:cds pep primary_assembly:Fonio_CM05836:1B:29980083:29983409:1 gene:Dexi1B01G0024790 transcript:Dexi1B01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTTALHPQFRPPLRAPGRHRPLRQSSYPSFARACPRTPIRASAASASAPAQREATAGVPWGCEIESMESSASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPALVITADSEWSRPEVGDVMKRNAVPDWPLIATYLISEASLEGSSRWSSYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVFNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQALKRNSLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAVAASNKNSTKPGFNYPELEEQALQFILDCCESNIAKYTKYLEVMSFSMTFLAERTI >DexiUA01G0026380.1:cds pep primary_assembly:Fonio_CM05836:UA:56431596:56434915:1 gene:DexiUA01G0026380 transcript:DexiUA01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRYTKLPLEFAWRTARIENVTVWEGKKSWLSGCLPAVAMAVATALASYAVRWRLHGGNSVHIYFITLSVLILSAASTFLGSSLSPANSAVDRASSTNSLHPQLRINFLHILLQLSSQHPCFPHLHPPSSTLAVGSCGQLSLEFPLGPVVLSVVSFDPSSSSLLAPPPAPPREGFRVVSEGEEGGRWAPSPWPRVLAAGEEPQSGRRRRLCRALCLKQREGARG >Dexi5B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:5B:13436658:13442243:1 gene:Dexi5B01G0015940 transcript:Dexi5B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRAAASSSPAAPRRKRGKERASPQPDAAEAAEAVSPSAAGGDGRPRRRLGGRRAAGPRQGCVPASAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWLRAAAKAKGEAKRTRPRDRSHRAGPAPEANAEIQANLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTTLDAKAAAYSKQLQKEKGGIRAR >Dexi6A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:6A:26769115:26770877:1 gene:Dexi6A01G0019120 transcript:Dexi6A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRSSGRKRSVRDRLGSAGGSRPRSDDAKRFRRDDGTWRRELYNDSVVTQTSSGPSSRNLQSSKNSQVEQRIEVVKKSSVPDLREKLSGVLSQRPQLSSTVQVPKPVRETVNNDRPVKKRDPPPTVAPPVIKKVSAPAPVPAPPAAVPAPPALQQSQEKVDASLESLLKSLDLEKYLINFQAEEACLVYVDMKAMAYMSEEDLKVLGIPMVLQDL >Dexi5A01G0032210.1:cds pep primary_assembly:Fonio_CM05836:5A:34786891:34788126:1 gene:Dexi5A01G0032210 transcript:Dexi5A01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding HWYTVVVDVPHCRYMAVTVLRRDDVARVQRRTPTGRRVGRLPPGHTLAPRSSVEGAWIARDHHVARELGGQAVLYKSPAGRANLRLSKNPGPRRPPPPPPLSILSPLSLVSGLFLARSLRLPPPSAPGSLAVSRRYAPLTSSRAPYAPLIPC >Dexi9A01G0044300.1:cds pep primary_assembly:Fonio_CM05836:9A:47903929:47907584:1 gene:Dexi9A01G0044300 transcript:Dexi9A01G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTTSSLPLLLLHRGTANPSPASLSFPSSLRASTLRSRAAAAPPAETLSDDGIPDAPPEGEGTGIPLPSSIGDDGEQLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >Dexi3B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:3B:1362402:1365198:1 gene:Dexi3B01G0001940 transcript:Dexi3B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYSIEIVRSSVTADFIVTILWIVPNHRPLTCRNTSQASFRLMYHFVPFPVQGTWLCTAFPVTGA >Dexi9B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:9B:10920081:10922278:1 gene:Dexi9B01G0015960 transcript:Dexi9B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLMYLDGNVYSCKHCKTHLGLAGDIISKTFHCKHGKAYLFHKVWVIYLQYSVSQRMYDSLLFVVPSVNVTPGVKEDRMMMTGMHTVCDVFCVGCGSIVGWKYVRFEAAHEKGQRYKEGKYVLER >Dexi4A01G0022410.1:cds pep primary_assembly:Fonio_CM05836:4A:25686169:25691832:-1 gene:Dexi4A01G0022410 transcript:Dexi4A01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFIDQVGVQRDCDKAFDAWLDQVIRDVAHEVEDIIDEYAYLTAQAPETSSFFKRKFHQIKNFASWQKLLAQISQVEARIQRLGEMRNRLFPEDYKIKRKLISKLWIAEGLVEERGDETTMEEVAETYLMELTQRSLLQVTERNGCGRARTFLMHDLVRELGSLSLMRGLGIMEVQQSYVAELWNSLTRMPNLSRLLLFASDMDEILSLKMLKPLPNLKLLWLAGKLDGGMVPSLFSKFEKLTQLKMDWSGLEEDPISSFSHMLNLVNLCLVGAYIGEQLTFRARWFPKLKSLQIVDMEHLNLIEIEDGTLMSLHILEFTGLRNLKAVPEGIKYIRTVDQMFLTDMSNEFTERLLGSDNHIVQHIIDIRNFGSSDSPAANNFISSEYLVKKYGAGAIKNSPVE >Dexi4A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:4A:852745:852996:-1 gene:Dexi4A01G0001300 transcript:Dexi4A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLLLLDDSSCASFVGSSFRRAPPSQGGVGCRCQHVHLLGCRRRVCSSFVGKMTEGGDEVRGGGCGDLGPVGCGVEGMRRQ >Dexi5A01G0022760.1:cds pep primary_assembly:Fonio_CM05836:5A:26913575:26914285:-1 gene:Dexi5A01G0022760 transcript:Dexi5A01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLFTPGRPRSEACATRPSLDTNTPSPSRTATLELLWPVDAGDVWAVVEEAQHVVVVEVEAELETSLFSLVSGCDGTSVFVGQSKLCHRVVDDGSEMTRLPVSRRDMAMAPLLLVLLSHTVVPLASARARSPSRRNVILEPLPFTGEPGLAVQMGVLLEAWVYQTEKEKAFSPASRKPQEKVVSVDTRKGREWSSTEREEPGATCAGGTGDDEQDSKRG >Dexi1A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:1A:1044370:1049296:-1 gene:Dexi1A01G0001550 transcript:Dexi1A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDPPAPAPAPTRQSEAGAGGRRTDKHGRRLEVYNEVLARLRSSAAAEISPAFEDALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPAMSVRVVQVSRLIDGDADDSFDPDMETAASNHSPSLLAHPPPAFGSSSNLEALGLETSEGDVRSTNDTDHSVHLISRPMHEITFATVDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLESTDQLQGKLLQKFHKIEDVAIKVVRPERISADMYRDFAQEVYIMRPYSYLTCHEICVHSLQAIRPTIPKDTHPKLVELLQKCWHRDPAERPEFSEILEILQRLPKEVGTDTEGRQKTKAGFLSALKRSH >Dexi3B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:3B:2256403:2257401:-1 gene:Dexi3B01G0003470 transcript:Dexi3B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRSMAFADEMFSSAAFASDADGAVGETTIEDLPVDVLGQVLRRLDGASLAALGCASTTFRDLAADPDAWRALCLALCPSLRDVPSADDHHKDHHRRLFADAFPFPAMSPASSPLVGGGDGLPTRLVSAVDLHHRGACIMSRVVETDAASGWFHGAPFRVDALVQEGFSSPAGPITPADLSLSWVLIDPDSGRAVNASSRRPVAVDRRWLTGETVARFAVVLAGGVALDAAVTCDERFGHVREVSLCIEDGEGGGVSGRGGLAVVAAAMAGARQGRGAEEEARVRYEEFVKGREARKERKARREGIVDLCCSGVGAAAFVGFLVMLTFR >Dexi3B01G0038170.1:cds pep primary_assembly:Fonio_CM05836:3B:40994178:40994429:-1 gene:Dexi3B01G0038170 transcript:Dexi3B01G0038170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARCVQLRVYLPGPSSPETAKAPASIPTSSAASAAPPLRAAVTPSYGRRLPAPPIRCSSSKPGAVEG >Dexi5A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:5A:4193124:4194933:1 gene:Dexi5A01G0005650 transcript:Dexi5A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKFAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRSPRKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKSFAAYGKLRLERMNKKHQGARQKKAAEAEKEEKK >Dexi9A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:9A:1406990:1408525:1 gene:Dexi9A01G0002600 transcript:Dexi9A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPDEVLADILGRLPPRSLASSRCVRKEWCAMIDRRRLLRADLLPLRLDGFFCLVDAFGDPPPSCVEGYETVFFSRPSTGRRISGDLDLLQDDDHDTHNPWLLDHCNGLLLLFNGVVVNPATGQLISSLPPYPKTPYVELGGCYYNHEYLAYDPFVSPCYYEVVLIPSTPYVPAKNNEEGSEWPPSPFTTHVFSSRKGVWEERSFVREGEAAGTVADMKFDYWKARRAVYLRGALYVPYPDNSVMRITLWNDRYKIIKLPAEKQAFEQFDPYLGKSQKGVYCALLSKGWSRFRVWLLNESCGEIEWVLKIDIFCLQAMVEKSPIYDYDDRYNAPWIVNYEKDMSEAGTEDESEWDFESGVVLHETNAKATTRYKEIYFLGFHPYIEIAFFLVSFRRVVSYNFNSSKIQELGILSKVVLESFPYTPCRMGVLSENN >Dexi4A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:4A:6076762:6078793:-1 gene:Dexi4A01G0008110 transcript:Dexi4A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARVLFLLLALVVGLSGASVPPDPVACAHGTSDCTVSNSYGAFPDRTICRAANATFPRTEQELVAAVAAAAKRKVKVATTYSHSFPKLACPGGRDGTIISTEKLNRTVSIDKGKKLLTVESGMLLRDLIKVAGDAGQSLPHSPYFYGVTIGGLLATGAHGSSLGVISQVTLELQHLFKRSVRFVTRDDSDMAEKLAVWGGLHEFGDVSWQPWLGKTIYREDDRVVEVSTPGNGLNGNLGLRAQPTAEIIIARAEADWLQENGTDIDRCEAAWRAVVEAERLAFGFTNDGVSFTGYPVVGFQHRIQASGMCIDGEEDGLRSACPWDPRVRGDFVYESAISVAMHKGRAWSDMRRLRDLDPSAFCGMDAMGVLMRYVRASSSAHLGKPEDSLDIELIYNRSYGDDGEPRAHADVYDEIEQMALRKYGGVPHWGKNRNFAFDGAIAKYPRAAESLEVKERYDPDGIFSSEWSDQVLGISGSPSVVRPGCAIEGLCVCSEDSHCAPEQGYFCRPGKVYTEARVCRFQSGRHTGEIALL >Dexi9A01G0026250.1:cds pep primary_assembly:Fonio_CM05836:9A:28555471:28555816:1 gene:Dexi9A01G0026250 transcript:Dexi9A01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELYAVINHYGGLGGGHYSAYAKLVEEDNWYHFDDSHVSSVNEEEIRTAAAYVLFYRRIGVSSTVENGVPVDIEMVDSLET >Dexi6B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:6B:17681487:17686521:1 gene:Dexi6B01G0010870 transcript:Dexi6B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSRGLARFFRQVQALFLKNLSFQRRNARTNAAITAFPALLCVLVFCIQQVVDSELARPPFQCGCRGTQCGVQYSTPIQAVSCAVLTPARWPALVQVPNAEVRARTHLHSGPCNASENCPVTVLLTGQNRQLAEGLGRLLFPPIPVEYLLTPGGGSNSSDYLEELSRVVAGSNTMPAHVLLVEPGFVPQETLYVLQPQCQLFSSRNISENFDGIQLESGYDFLDTSKRRFHASTAYLNLFGGQNVEMRLEYLKEMPTAAVPMRLNLTTLLDALFFTWTVELLLPVILTYLVYEKEQRLRLMMKMHGLKDAPYWLISYAYFLSLSTVYMIFFMMSGSIIGLDIFRLNSYSIQSLFYFIYINLQIALAFLLASLFSSVKIATVIGYIYVFGSSLLGEALLKIFIQDANFPRLWLVAMEFIPGFSLYRGIYELSEYAAAGRNMGKPGMRWADLNNPVNGMKDVMILMSIEWIILLLVAFLMDHRPAWRPLFVFGFLSTKHSSPSEKPNKLKTGSRRVHVDMTKPDVFLERKVVKKLLKDMDMRNMIICHNLKKVYPGKNGNPDKHAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLLKPTYGTAYIHGMDLRTDMNEIYANIGVCPQHDLLWETLTGTEHLMFYGRMKNLTGAALTQEAEELCDRIGIFIDGNFHCIGTPKELKARYGGTRTLTITTSPEHEEEVEQLVSQVSPRYTRIYSVSGTQKFALPRKEVGLGQVFGAVEVARRAFPVMGWGVADTTLEEVFIGVAKEARVFDVLS >Dexi9A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:9A:820106:821473:1 gene:Dexi9A01G0001540 transcript:Dexi9A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLVRLLSLLLLLLLPPALREYLLPSHNTTTTIHPVVLVPGMGCGDLEARLTEAYLTSTPRCSAMKGKGWFELWKNVSELAAHDYMDCFLEQMRLVYDPSTNEYRNLPGVETRVPNFGSPRGFRNKNPLHSKQCFDYVREGLERLGYHDGDTLFGAPYDWRYAPPLPGQPSKVYSSFFKEFKALVEAASTKHHSKKVILVGHSYGGFVTLEFVRNSPLAWRKQYIKHIVLAAPTLPQGFLNQLLRLVTGPPDLTYIGPTALSLRPMWRSFETSIVDLPSPEVFGHKPLVITQPRNYSAHDMEDLLTAVGFADGVEPFTRRMVPKMHYFQAPMVPMTCINGVGNRTPKQLVFWEGEYDWAPEMVYGDGDGYINLISMLAFDKHMRQQPGQKNQFKSIKIDGAQHSGIITEDWAVKRVIQEIMEANK >Dexi8A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:8A:8903859:8904114:-1 gene:Dexi8A01G0007780 transcript:Dexi8A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAISPPLLHSLGVRIAAAT >Dexi9A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:9A:2994250:2996785:1 gene:Dexi9A01G0005400 transcript:Dexi9A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGFLLSPPPLPLPSPTAAATAASYLVSAVYGRRHRPLERSCRCGRPPETALSGRGSYDGEEASPRPLGVGGSSASSSKRQMGEARRLSYRSCRAIRSCLQCLDAKQAYFKLPFHFHHVVYIGSAAARHHKTLQEGGTSTDADGFSKCKSNSGYFKAFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATIVDDKYSAALAIILGSLAVAVSDVKCWNFWFVFIIHLKNIQVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDIYGVRFVFGVTALLPLLTSTVAVLVNEEPLPLGERSVSLSVSGSELIESSKQRFMQIWNSVKQPSICLPTLFIFLWQATPQSDSAMFFFMYVPHTHLSA >Dexi3A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:3A:2604100:2604939:1 gene:Dexi3A01G0003910 transcript:Dexi3A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFLRSGSRHLRTLAGGAVAVRTAELLVGVAILCVVVVAPAPAVLAIDDGNLTAGFVQVDLPEGNFIVQSPYDVPENQRYTYDVATGVRTFWVYADDKPFNTVTATNPRTEVRLAGHDYSSGVWQFEAYGYVPSGTSGASVMQIHNEDAGVQATTLMLHVYNGTLRHYSGEALEDGVYDRWFRLNVVHDVGVSTVAVYVDGGAPRLVVAVAPTASHYFKFGVYVQHHDVSPRVESRWRNVTVYTKPN >Dexi1A01G0030570.1:cds pep primary_assembly:Fonio_CM05836:1A:35827657:35838042:-1 gene:Dexi1A01G0030570 transcript:Dexi1A01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRTIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDALAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLAKELIDYLNVADPDFVGDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVCHALIVVLSNASELQGYSVRSLYKALQAYGKQGSLVKVAVWCIGEYGEMLVNNVGMLDGEEPIKVTESAAVDAVEAALNRHSTDVTTGSMCLVALLKLSSRFPSTSERVKQTVAQNKENVVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEANYMVKRATATQATTSADKIAPAVAPGGLKIPNGVAKPTSAPLADLLDLSSDGAPASTTTSTTTPNDFLQDLLGIGGVSTSPTVVPSTASTDILMDLLSIGSSPSQNGAPVTDFPHPGQESKPVHAVPEAIDLLGSLSSSTSVSGLEKTAHPSITAFQSATLKVTFDFKRQPGNPRETAIHATFTNLTSSAFTDFIFQAAVPKFIQMRLDPASGNTVPANGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEERLEQGQVSNFPSGL >Dexi3A01G0022520.1:cds pep primary_assembly:Fonio_CM05836:3A:18155267:18156026:1 gene:Dexi3A01G0022520 transcript:Dexi3A01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPAEAACRLKEKLPPALLLSPGSSLAASVKDETREEELHAQAEAAAMETTRSTNAVTMAGCVSFPLAPRYHASIRSSHSQSPTPCADTISSMDALKSRYGSAESNSTATLSPNDDDASLLAATVARFFAAGAGGGFVSRMELEGACGSKRRRSSERRPRTMASAMSSAAASLLLEGSEYSVEWLLSSVDARRVVVVVRAEELAKEDESPESRKRDAMVLAVADGVLGGCLQEGHIHAGGVDGGGG >Dexi3A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:3A:2975809:2977227:-1 gene:Dexi3A01G0004560 transcript:Dexi3A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITATEVSLEAGNHANSGVLDDDGRPRRTGTVLTASAHIVTAVIGSGVLSLPWAVAQLGWEEGPPVMLLFCGVMYYTSTLLAECYRAGDPTTGRRSYTYTDAVRAILGGAKVTFCGVVQYVNLAAIAVGYTIAASISMQAIWRANCFHTNGHAAACKSSSVPYMIAFGVLQLVFSQIPNFDQIKWLSIVASAMSFTYSGIRLGLAVAQTVSNGAFHGTLTGVAVGAGGVTVAQKALGNIAFAYSFSNVLIEIQDTIKAPPPSEVSVMKKATAISIATTTAFYTLCGCMGYAAFGNAAPDNMLTGFGFYEPFWLVDVANAAIAVHLVGAYQVFCQPIFAFVEGRAAAAWPESAFVTRELRVGPFALSVLRLTWRSAFVCLATVIAMALPFFGSVVGLIGAFSFWPLTVYFPVEMYVKQRAVTRGSAKWICLKALAVACLVVSVAAAAGSIAGFVSAFKVFWPFSG >Dexi2A01G0028710.1:cds pep primary_assembly:Fonio_CM05836:2A:39906528:39907848:-1 gene:Dexi2A01G0028710 transcript:Dexi2A01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVSSRSWRLPSHPNSRHRHPGKYSAAARRDGRRLASFSGAGTRGARTSTTSSRGLLEEQVENGAGAHPRHRQRPHLDAVRAVHGVLRAADAALRPGGLLHILDSPLRELPVPGAFRSCNATGCVYDYRYTVGFTAEYLAADTVTVGDASFPGVAFGCSTANGGDMDNTSGIVGLGRSNLSLVSQLGVGRFSYCLRSDEDAGASPILFGSMANVTGDEVQSTPLIQNPVAGRRAPYYYVNLTGITVGASELAVTSGTFGFTAAGDGGVIVDSGTTFTYLAEAGYAMVRQAFLSQMAGLEKVSGEPYDFDLCFAAGAAAAVPRLVLRFDGGGAEYEVPRRSYFDAVDERGSVACLLGRVRTVIGNVLQMDLHVLYDLDGETFSFAPADCAGL >Dexi3A01G0035520.1:cds pep primary_assembly:Fonio_CM05836:3A:40759076:40759642:-1 gene:Dexi3A01G0035520 transcript:Dexi3A01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPWRLYVIFDDCSWGYSIYDLDLTSSSSPAISTAGSADLTSSSSSPPLWPPRLEYKYNRCVDVWSWEYLPAPPFQRSDVVSYAMDPRDGNTTFLVGTAMATFAFDTTAGARSQHGEVVQ >Dexi7B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:7B:25419772:25421785:1 gene:Dexi7B01G0019950 transcript:Dexi7B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMGAHNRVRVITSNSSSRTSPAKNTEASQAQNRLLVCDVLEEVSENSDGTKQPSATNKTEAVEKDSTSDVNKVQSTSKSSVPQPATSNSSMIHPVRPVEEDSTECDSGLDNASTSSFEFHGGEKAVTQNPAVGYFSRQASSKWNDAEKWIVNRQNVNQNISKGAAQNQTVHQINSAAARGVIVPKISGRPEQKMKRVNPTLSAPRSILERLSFASYQPKLVRHADVCPVSSSSGTPEYHKATDTGSLIEVKPCNDPKAIATVQSVSVRDVGTEMTPIPSQEPSRTGTPLGSMTPTQSPNCSIPSTPVGGRSVASPGEDNIDDGPYFNRKGGTHGNEMSDTEMRLKTRQEIAALGIQLGKMNIATWARKEELELVSAAPSIADLEQMKKEYATRAASYEEAENTKHTARFKKEEVKIEAWESRQRVKIEFEMRRIEEHAERMRSEAMAKMAEKLEMTRRIAEEKRASANAKMNQQAAIAVQKAEKIRQTGRIPRSNILCCSGCFCEP >Dexi1B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:1B:5055976:5058486:-1 gene:Dexi1B01G0006180 transcript:Dexi1B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPVPLRPSAPRASPLPPSRAANPNTDSATTPSAAAAANMGAAAWWRRALGQRFNPAGVAAVATVAASAPPLALPHVTVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPPLAAAFDQCRATFPPGALAIYSNSAGLKEYDPDGVDARAIETVIERVHTLRIMRLCILLLNADTKKPGGAAKEIESYFGCSASDLVMVGDRYFTDVVYGNRNGFLTVLTEPLSFTDESYIVKRVRKLEAYIVSYWYKKGHKPVRHPLLPDARRIVKFDPYDDSVTTTG >Dexi5B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:5B:745886:747988:1 gene:Dexi5B01G0001160 transcript:Dexi5B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPLLVRQLARRLLSNVPESTVYGGPRPQESSAARRVTLTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPITLDLMLEHCRAVARGAPRPLLVGDLPFGCYESSAAQAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKATCTSSPPQVTPKFCKQFGNVGDVINKALSQYKQEVETRTFPGPSHTPYKITPTDVDGFANALQKMGLSDAADAAAAAAEDSGTDGGPKENS >Dexi3A01G0030150.1:cds pep primary_assembly:Fonio_CM05836:3A:33504649:33515933:1 gene:Dexi3A01G0030150 transcript:Dexi3A01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEVRFTRRAGSDASVLRCPPPQQQQEDEEMLVPHQELPVAGPEPAPEPMEVVAQTETANTAESQPAEDPQTSRFTWTIESFSRLNTKKHYSDVFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAERHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKDQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEEAQSVGQLREVSNKAHNAELKLFLEVELGPELCPIRPPEKSKEDILLFFKLYNAEKEELRYVGRLFVKAVGKPSEILTKLNEMAGFSRNEEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRSLDKPKDDDFSLELSKLHTYDDVVEKVAQQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEILDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVVSARFQASGFFGMHTCTPSPVHYVRK >Dexi7A01G0023620.1:cds pep primary_assembly:Fonio_CM05836:7A:31551491:31552923:1 gene:Dexi7A01G0023620 transcript:Dexi7A01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAPAAATVIPIDDVAGHHGARDHAHGKAPAVATAPPAASSAAPAAAAPAVAPRKTGVPFFRRADRGSRCVALLDFVLRIVAFGPTLAAAIATATSDETLSVFTQFFQFRARFDDFPALLFFMVANAIAAGYLVLSLPFSAVVVLRPQAIGVRHLLLVCDTVMVAMLTAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCRRTSGAVVASFLAVLIFVFLVILAAFAIRKR >Dexi1A01G0027310.1:cds pep primary_assembly:Fonio_CM05836:1A:33145586:33148882:1 gene:Dexi1A01G0027310 transcript:Dexi1A01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHKPGLRVRLRITAARRRAWLSAGLHSACRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSAAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWNRTKIIEIVAAKDVIFALAQSGLCAAFNRTTNKRICYLNISPDEVIRSLFYNKNNDSLITVSVYESDRFSSLKCRTTPIEYIRRGHLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTNCHVPLTILSIEDGTPLKTFSQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVSHCILFPVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFEDHELWHPNCNTNNIYITADQDLIISYCKVSNQAVTDCIDTEAGEASSMGSINMSNIFTGKCVAKISPSDPTLTVAPRTRGDKSRSTIRSTVSEALEDITALFYDEDRNEIYTGNSKGLVHVWSN >Dexi9A01G0033640.1:cds pep primary_assembly:Fonio_CM05836:9A:38535708:38537783:-1 gene:Dexi9A01G0033640 transcript:Dexi9A01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRPLPTANSTRTTRAWCAHCGMGLAAPPPGSSGGSSVRCAFCHRVTTRTIARQRGVGDRSGMTTTMVASPPPRAPLLLSVQREIPASYPKICGKKRALLVGVTYTGTPYELKGTVNDVAEMRRLLVDMFGFPSSCILELTEKQSDPTRLPTRENLLLAMRWLTAGCTAGDSLVFQFAGHGVQRVDMKDDEVDGYDEALCPVDFERSGNVLDDEINEAIVRPLGTGVKLHAIMDTCHSGTSLDLPYLCRVSRTGYWQWEDHCRHGETGKRPNGGLVISISSCRDDQKSADASAFAESASIGAMMDSFIQAVEAEPGTTYGRLLGAMRARIRDGHGSCRIPGRLGSYEPQLCSSEMFDIYRKPFLL >Dexi9A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:9A:2118413:2119266:1 gene:Dexi9A01G0004040 transcript:Dexi9A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLEGKVALVTGGATGIVEAIVRLFREHGAKVCIADIQDEPGQQLRDAIGDQDVMFVHCDVTVEDDVSRAVDAVAERFGALDVMVNNAGITGNKVTDIRNVDFAEVRKVFEVNAHGVFLGMKHAARLMIPQKRGSIVSLASVASVIGGMGPHGYTASKHAVVGLTKSVAGELGRHGVRVNCVSPYAVPTALSMPHLPQGARADDALRDFLAFVGGEANLKGIDVMPKDVAEAVLFLASDEARYVSALNLTVDGGFTAVNHNLKAFED >Dexi4B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:4B:7245409:7245784:1 gene:Dexi4B01G0009950 transcript:Dexi4B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHPRDLANVAIDLAQRAVTDTDAKVDSVLRSGAAGNHNDNLHNDNHPRVPRHDRQQQARQLEEEVRETVRRVLLVAAMQKRCSAS >Dexi7B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:7B:1589253:1589836:-1 gene:Dexi7B01G0000790 transcript:Dexi7B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDQHLFRKNDSQHHQILQWETRYNVIKDVAAGLHYVHHEYERVVLHRDIKASNIMLDAAFHGRLGDFGLARVMAFEKTSFTDIGVAGTWGFIAPEYAVSHKATRHTDVYAFGVLILEIVTGKRSLGAADSTFPLLLDWVWWLHDEARLLEAVDDDLIADGEFDADDANRRPEPFAPAKHG >Dexi5A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:5A:21632479:21633046:-1 gene:Dexi5A01G0018110 transcript:Dexi5A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGRNWAGLIVDILECISGRLTDPTDFVRFCAVCLQWRDSIPVTHARFSPWILKSEEIGGSGDIHFYSLESGKLHKMLGKRTRLAGFGAGLLIGVDCDDELSAMLMNPLTGDSSTLPRLAEWCLNCHTNGFITDPKVTGEDDVFVVIYGSFWPAEVERHMPAAGPRYHPRGFGP >Dexi4A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:4A:2377119:2377466:1 gene:Dexi4A01G0003280 transcript:Dexi4A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDRHGVLTKLGFATLTCNSALAVYRSWDDPRAVAFVAGAFERGEGDRGRTKAAVWALTTLLTAMFASRVAPLMPPPVAALVWLMAVVTAGSGFWAFFLHR >Dexi9B01G0032020.1:cds pep primary_assembly:Fonio_CM05836:9B:34331159:34331586:-1 gene:Dexi9B01G0032020 transcript:Dexi9B01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSSVAPTGGTMDGAAAGVQLAAFLAATGGGAADAMDGVGGVEVDGDGESGGLEETEGLSWS >Dexi8B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:8B:20975297:20987447:-1 gene:Dexi8B01G0011790 transcript:Dexi8B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAPTAGQEDEEMLVPHEEVAAADADAAQPMEGVAQTEVASTVESQPEDDQQASRFTWTIENFTRFNGKKQYSEVFVIGGFNWRVLIFPKGNNVDQFSMYLDVADSANLPYGWSRYAQFSLAIVNQIQPNYTIQKEAQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVVEAQAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEVKMKGTVVEGTIEQLFEGHHINYIECINVHYKSNRKESFYDLQLDVKGCPDVYSSFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTNVKINDRYEFPLQLDLDRDGGKYLAPDADRSTRNLYALHRYKFDDERVTKEDAKKALEEQYGGEEELPHINPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRVRLKKEQEDKEHKKKEKAEAHLYTIIKVARDEDLKQQIGKDIYFDLVDHEKVRNFRIQKQLPFSSFKEEVAKEYGIPVQLQRFWLWAKRQNNSYRPNRPLTPLEETQSVGQLREITNKAHNAELKLFLEVELGLDLRPLPLPEKGKEDFLLFFKLYNPEKEELCFMGRLFVKGLGKPSEILEKLNEMAGFSPDQEIDLYEARRFNSSAHDDLMRLLDGDIICFQKSPRADHDTQVRYPDVPSFLEYVHNRQVWCPYLQLIMICTVVHFRSLEKPKDNDFSLELSKVHTYDDVVERVARQLGLDDPAKVRLTSHNCYSQQPKPQPIKYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHPTKDEVVIHSIRLPKNSTIADVINDLKTKVTLSSPNAELRVLEVFYHKIYKIFPPLEKIENINDQYWTLRAEEIPEEEKNIGPNDRLIHVYHFMKDINQAQQIQNFGDPFFLFVHEGETLAEVKKRIQSKLQVSAEEFSKWKFAFISTNRPDYLQDSDVITSRFQRREVYGAWEQYLGMEHTDTTPKRAYIVNQ >Dexi4A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:4A:9323955:9324369:1 gene:Dexi4A01G0011340 transcript:Dexi4A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSTAAQGFHPPATEVHSPSSVIMVNQAMVQQPELEGMTNLEEANGSRYTPIAMGREAETCPSAQQQRASDNGRELFNTTDVLAPASVAKSGSADEREKQPQAFWFLLD >Dexi6A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:6A:607158:609835:1 gene:Dexi6A01G0000720 transcript:Dexi6A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDLDEVLQSHTVYSNVSKGVLAKSKDLTKAFGTDDQTKICIEILEKGELQVSGKEREAQLSTQFRDIATIVMEKTINPDTRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLMENFPIKRAPLRVRFTAPKPSFAGLMEKVAEWNATFISKDESGTQPSIVCEIEPSILHSCEERLKDVQGRVEVLSVSMHAEGGPSVEQHDNVEVPQAMPAKESDVVAEISERMQKQNLSSESQDNAQGKQLRRCKECDVLVDDKLYREHCKSAWHKHNYTRHKNGLPPLSQEECMVEMELADSKKDLKDYDF >Dexi1A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:1A:25495587:25496832:-1 gene:Dexi1A01G0018200 transcript:Dexi1A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLAQPAVVGEDGDGGAQNGAIKHKTRAEGCGTTSSPAPLQKTPPSSPTSGPCPVQYKHILVPGIMKSRWFEITVLSGALVVVGFHILFQRLRHHAPDRLLDTETSPPLPLEILARSDPATVARCAGTCKLLRRHVTGPSFLRRLHATTTSYHRFLLAIFYHRSRSSWHGDIGTRLQPLFAAPKSSAGSLPNTVIASLSGRTIPSRRAAGYWPQAGE >Dexi9B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:9B:14331751:14332269:1 gene:Dexi9B01G0019720 transcript:Dexi9B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQLLAFLAAATAAVLLLLAPPLAEARRNHKEQPEDPCRDGAGLLRHKDHRCSSPAVHGGGGTPAVMTVNGFEKGQEGGGPAACDGHFHSNHEMITALSTGWFAGGKRCHKPIRITSVRTGKTVVARVVDECDSRHGCKNNIVDTSKAVWDALGLDTEVGEVPVTWSDA >Dexi6B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:6B:27145646:27146868:1 gene:Dexi6B01G0020810 transcript:Dexi6B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPKARNGPRPARPGKPGPPPQHAGRPSPARTVTSGPARAPAHQATTPCPPTHAHAHAHTHTSFVPPVNAGLGRRRSTVAGPAGPGPVGPPRARARPGRPFGHL >Dexi7B01G0024840.1:cds pep primary_assembly:Fonio_CM05836:7B:29059400:29061462:-1 gene:Dexi7B01G0024840 transcript:Dexi7B01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTIYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPATGKDRPVVYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEDDWNDAINMECPPVSPSVAPCAPESSQGGLNYAGQHLSVTYDGKVSLGIVSGSNDVHTDPDRPPDIPIDSIHIQLLAEIIRCSSTNLLCTDAEDGSLNDSNAGYDNEDGVSSDDIETIFCEVDELVSQSVCPQLTSTEPDPEPG >Dexi1A01G0024580.1:cds pep primary_assembly:Fonio_CM05836:1A:31080291:31083324:1 gene:Dexi1A01G0024580 transcript:Dexi1A01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAISGSGSHLVLSSPRLRQPRTLAPRTARPIAAAAASPVARRGVAAAAVSTPAVAAAAGKDAKQVPKDFLHINDFDKATIMNILNRAIEVKAAIKSGDRSYQPFKGKSMAMIFTKPSMRTRVSFETGFFLLGGHAVYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAPVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDAKTVEIARSAGISKIEITNDPREAVKGADVVYTDVWASMGQKEEADYRKQKFQGFTVDEAMMEIAGPQAYLMHCLPAERGVEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >Dexi5B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:5B:1072685:1072926:1 gene:Dexi5B01G0001680 transcript:Dexi5B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITQHISGGPEVVIPELDVMVVERKAQDEFLILGSGGLWGAVAPALACAFVRQRLGGFVGRAR >Dexi8A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:8A:25618349:25619542:1 gene:Dexi8A01G0014900 transcript:Dexi8A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRVLDLEDVTSGVTNGDVENMVKVLPRLKFLSLRRCKEITSLPDSLGDLKQLQTLDIRDTSVIKLPKSIIKLEKLQYIRAGTAVILDNDTGSSFRSLPVAEAASNSSTSATPMRRPRAILGSCLPMLNTHSRLYDDSHNGIKMPRGIAKLSSLHTLGVININNAGEEGILHELRNLTLLHKLGVSGINRNNSDKFFSAISCLPHLESLSLQFQLNQDHEAAVYMVGLLSPLVKLRSLKLYGLIDRLPACIMQAWLHLQRLEKLSLQMKMLPQQELDSIISLRSLRSLQLRLAEFEHGELCFGWSMDQGFGEWIIDFLEIDCNSKLRAVRFGSKLNVEILKIRCCSASPSLKFSGLQSMYSLQEVWLSGSYDKIFKQHLESELKESGNEPILKLE >Dexi7A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:7A:25854432:25855931:-1 gene:Dexi7A01G0015940 transcript:Dexi7A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLPPHRRGGALSGGWQWSFLDVVSAVFLLAVVVFLALVFTPRRGGPLPVARPGDAAVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGETPACLVPPPSGYRIPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKHEGSYFIFPGGGTMFPDGAEQYIEKLSQYVPLKTGILRTGLDMGCGVIL >Dexi3A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:3A:12500436:12501622:1 gene:Dexi3A01G0016550 transcript:Dexi3A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSMDQIPLMRCPKANNVDQAAIPCVDLSAPGAAAAVADACRSVGFFRAINHGVPAAITDALEAHAMAFFALPAQDKLDMSGAARPLGYGSKTIGSNGDVGWLEYLLLSLIAEGLGVDRGLLRGMVVGREEGSDELLRVNHYPPCPLRPPGECGVTGFGEHTDPQIISVLRSNCTAGLQIKLRDGRWVPVSPDPESFFVNVGDSLQVLTNGRLRSVKHRVVAPEAGAHSRLSVIYFGGPAPSQRIAPLPEVMREGEQSLYREFTWGEYKRAAYKTRLADHRLGAFELRAATQPPAASSADPQPHCSSSSCCMQPQQQQVAKVH >Dexi9A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:9A:3864203:3867864:-1 gene:Dexi9A01G0006810 transcript:Dexi9A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAQKLLKDLRRRRERLGFESAPSPAQRGTASVAAAAPAPRDVGSNSRKPFQVQKPQQAAPAPRVGRSEAATNRLYRQGNSSIAGPGKLRRHDAPAVAHSHAIVPFQGGGGGGRGDSKRTPPLANAGVDVQMALALALSNSGKLQNVQLVARQGTGGSMFFREPDRSTHLLAPGAHVGKVAITVQKLNEILMAYSSSGDAVRRGSVEIGKQLLRGAMDLEESLGMLMMLQDASDYMETAGNGKVMLLEGGKESWKSSTPRSTSFASAKLVEIFDDDSETEQVDDTKSPSYAFMQIVPHSMAQDGRPNQSSTLQLTTVTNNSKSNAARGEKDDSKVRKPSLIAKLMGLEDLPSAKAVAERKGTERFIKPEAVPRRATATNAMVGTLPIRIIASERMPSKGNYKNFQTREWNISLTKSEEPLLSNRFSNLTADQQARQTMRQMLSKQEGTERRVSLSQVVDEKIVHQDMKLTEDINQQKTTNSAGKKISFLQRFRKNAKNKPATEGKDIVQETQKLGKKQTTSIKQRDSEVKPRRTREKFNKENLATPEFKSQGKIGKSKSANTDQMRQQPQNKTTDKRTMEKKGHNYRRTQSETASKNYRPMRSEIARQNLEHKRSLKSEPTKTKEKFEYIKMAELKNGEDTNVNTGAHKPSDNRPCDDGIFKESTADIKYSSSTSGILADQSEKQFTEEVNDPMTTVEQTTADSIAKTNVDRVHHMSSETKQIPETISEGALQEQQHQMTEVNDQSRNGLDHTLKPDNLTDSTNHKKIVVSCDSFTENHLLLMEMLLKDPYLLETAKAITGFHFPVSVIHVDTGKWLDKGKKVLSDVGREVIRRKGKRTEAMVGVSMARAVNLKLQTLEDLIRELDRDIQSLSIPKKSQQQNDNSTAENLKMVLHSDIENRHSDANSVWDFGWNRIWDLPIEKNEVVKDLEKNILGAIITDVARDLIDVSIRSGCCACEA >Dexi5B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:5B:1903283:1903897:1 gene:Dexi5B01G0002950 transcript:Dexi5B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGDAAVAAAPAPSPAPAPKPARRQSKPRRISMEGLQRAMSDLALELSRDKKAADAARASAAAPPTPPATQLPAITEHQPQVEEARCECCGMNEECTPEYVRRVRERYCGRWVCGLCAEAVNGEADSGRHGGRTEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRSNSPRDHGPGGILARSSSCIPAITKDFNS >Dexi2B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:2B:11235487:11237921:-1 gene:Dexi2B01G0010200 transcript:Dexi2B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLIKATLNQGFSTFVLVFYCQAAACLPLVPIAALRERRNARSMSFMLLLKLFLCALLGNTFSINILNASLKYTSATVQSAISNSKPVVIFCLALVLRMEVVKIRSSYGIAKVTGVALCLAGVFIIAFFTGPSLSPVNHHHAFHGHSSSNLMSRGTWIKGTFLKLLGDIAWAMWIVFQAALLKEYPNRMLVTVTQCVFGTVQTGVVAAVAERDIARWKLRLDITLGFVVSGLSNYLQVWCMEMKGPVFLAIWFPLGFVFTMFCSSFFLGEVIHLGSILGGILLTGGLYSVLWAKSKETAIEPCSEVNAIETFEIAYDEKEEKKLEGDQKKDKEEHEGEASITICN >Dexi7A01G0023350.1:cds pep primary_assembly:Fonio_CM05836:7A:31362825:31368454:1 gene:Dexi7A01G0023350 transcript:Dexi7A01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYKAALIPRRIRETIHGWGKATRKKRRRRRGAGDDSTVRTETSTVCSLTDEDEDDFDDHHHHGPFEETPTAARAPPFLKIELQHHGQRGGGHGPVRAGTPCFHPASMPGSSSTHGGGSGHPMLTRQSSSASAPSSPSYRGGNVTRSASMPGIASLRTGAFTPTRMSHEGHDESTLDT >Dexi7B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:7B:16389289:16392425:-1 gene:Dexi7B01G0008620 transcript:Dexi7B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPAHTALGLRLPLPSSARRRARPRPCRRHAVCVAAAAATQAAPRRETDPRKRVVITGMGLVSVFGSDVGAFYDRLLQGESGVGPIDRFDAGSFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALENAGLAKGSDEHAKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYRKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADIIVAGGTEAAIIPIGLGGFVACRALSQRNDDPTTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFNNTSEIKINSTKSMIGHCLGAAGGLEAIATIQAITTGWVHPTINQFNPEPEVEFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >Dexi5B01G0038550.1:cds pep primary_assembly:Fonio_CM05836:5B:37725503:37726292:1 gene:Dexi5B01G0038550 transcript:Dexi5B01G0038550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVKLIGAFGSGFSHRAEVALRLKGVPYELVLEDLRNKSELLLKSNPIHKKVPVLIHGDRTVCESLVILEYIDEAFDGPPLLPVDPHERAMARFWARFLDDDKCSRSFWLSLWTEGEVQKGFVKETKENLKLLNGQLKGRRFFAGDAVGYLDVAASGLAHWLPMFEEIAGVRLVTEEEYPDLCRWAREYASDETVKQCLPDRAELLAHFTARKDFYVAAAKAMAPK >Dexi5B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:5B:19351350:19351516:1 gene:Dexi5B01G0017560 transcript:Dexi5B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPGLNCHGSDAFTEELSHIS >Dexi2B01G0034830.1:cds pep primary_assembly:Fonio_CM05836:2B:42036609:42040606:1 gene:Dexi2B01G0034830 transcript:Dexi2B01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLVAHLVRLGVLSSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNKIDVAVVLKFLGNFCYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAEVSLWLGQNENWIYFYKSSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPLEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPACSNAVISEPSSPKSLHSPLLTSMQGSDLETAAASIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSIHDGR >Dexi4A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:4A:1964450:1965148:-1 gene:Dexi4A01G0002820 transcript:Dexi4A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEQAPEHLKNTSFQGTGRPHPAFFRP >Dexi2B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:2B:406713:408101:1 gene:Dexi2B01G0000820 transcript:Dexi2B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQKSKMARERNAEKNKGSKGSQLEANKKAMNIQCKICMQTFICTTSEAKCKEHAEARHPKNELVQCFPHLKN >Dexi9B01G0047060.1:cds pep primary_assembly:Fonio_CM05836:9B:46277507:46279412:1 gene:Dexi9B01G0047060 transcript:Dexi9B01G0047060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYMDRRNGLHDEGTIPEFSGRSGEDQDPTIAVSMVQSDLGQINIKKALPSDEKKSKSCQSCHKSPCLCGGDTHHSDLFSALPAKMMILEFLIRSLRHPTRTHNVTDLDDLITDGVNQGSVNLGPSEKKVLDSLHALVNAKTRPKSPSPFLAGSKMRKTRSKSHIMTQSEILKLISPETWETSSPGTSSMQKDTSEARIHEKMSPSCSHMTSMNSSQPVLSHCPSSLSAGLLQCIWKDGLPHFELSLDNPILAYTANPIKVQDNDRTLDYVYMIHSGEQGRKDWMGHSSNVSRLVGKMKVSSSLVLNSEKSTILETEFVLYGSPDDYLRQMHNSYSVPKGKGLVKRVTEIMRTGNVSSSPKHPWRFGKSSSHQFDDLTEILEREMISARESGLTNLDADDLPTNQELAAIVVREQRQKRQKEPVVGGWGLKFLEKAGLNHSEGTDGSDVQNRNGAAKYITAIVPRGYHGGVVLKSSGPSSLIKRWRSGGSCDCGGWDLGCPIKVLNNDGCASLPEEESQDSRSVELSIKGAKEETIIRLVNITEDLYILYFDTSLSPLQCFSTGIAIVHSQAPQLCPKL >Dexi2A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:2A:11479010:11482260:-1 gene:Dexi2A01G0010320 transcript:Dexi2A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKEWECLKDILTGDYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDEIVEFQLPIEVSEAISQDTVPFGYGYIRFLDVSLAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDSIRNATKTCGGVYMYANQQGCDGSRLYYGISKCSAWILMLMAGVTDAIVCYVNHIHSRKLKVCFKSFSLDGCCCIAVNGDLVAQGSQFSLKDVEVMNALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCQSFRSGMIPTSPVQGLGHSSGDSTVIDQSPDCGVPANSSMVNHTSYITTDAFQKYFTVEPNWLVLNWAKIWANAWSSTGWNYTILG >Dexi5A01G0033250.1:cds pep primary_assembly:Fonio_CM05836:5A:35606851:35610401:1 gene:Dexi5A01G0033250 transcript:Dexi5A01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRRPTEPGSPPLDGTVSAPTVTVNSISVAPYALARSPSVSAAAVDAEDGAVVRVYGSDGCPVAWRLRVALLYKAAAPLHFTPSEAAPLGRPVLRLSAADPEVCGTADELLRLVDARFEGKPRVTPPERPRAASPVAAAAAEFAEIVRLQHRSAERHLEGVAAKVAEMVKKGKKSGKGRTVVEAAEVRRLGKWYGDAMEVMLEHARMEETLIFPDIQRASFPGVCDKVNEQHGRHLPMMNGIKEDIKALLTLELGSPLFHEVLVNLSVRLKALQDHTKEHFKEEESDLLPRLEGVRRMQREEGKVSDKSSSAWASEAVSTMEVTHSKLFPFFMTGLLPQEAMQYLDIICRCTKNTRHLVSVLRSLAERLEDANPSIIHNNPTKLYEHLLVKSP >Dexi4A01G0024400.1:cds pep primary_assembly:Fonio_CM05836:4A:27188235:27190102:-1 gene:Dexi4A01G0024400 transcript:Dexi4A01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGLDSYGLPIGKRLPLDAVKSPGMDDAMQPKEGMDGYPVKVRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNAIEIPPPRPKRKPLHPYPRKCADSSGVANPAVGQPKLAPVSSPSGSDRENGSPVSVLSAVQSDGFGSSVSNPSTGCTSPASSDDGNNVLVLVNEEETLLTQQIRDDDQARQEIKLDNSDGDLSEEDSSSGVQETSLKLFGKTVIIPDPKKACSSDGDGVCGDGEKNSQSSKQKVLKASSIGGVAAYPTHNGWLLPYHSFQFHMGESGDTRISPLHVWWPYYGFPVGHPRGFDMGLHTEGTCESDTGKSPSAESSSDSMGNVQTTSPTNCKVVKESLGAIQVPESAISFELKPSANSAFVRVKPGSSRGQSARGFVPYKRCKVE >Dexi4B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:4B:12248898:12250794:-1 gene:Dexi4B01G0013550 transcript:Dexi4B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGMAPRMTPRQAFGDAWSAACAVRASKLLVPASYVFLVGPITFTGDSCEPNMAFQVDGTILAHAAGSTAWNSGAVTQWLEFKNVRGLSIQGCGAIDGQGSEWWSGGSVTGDAKIAVRVFQGANVTVMGITIRNSPRFHLTLDTCRAVEVHDVAVSSPRDSPNTDGIHLAASVGVSIHHSIIACGDDCISIQDGCSDVFVRSVHCGPGHGISIGGLGKSGATAFVSDVTVQDITLNQTTTGVRIKTWQGGSGSVKNVRFTDVRVSAVKTPIVIDQYYCDHATCTNQTSAVAVTAVAYQGVTGTYTERPVYLACSDAAPCSGIQLADIQLSPVKDNSGHHLQGPFCWKAYGEVVHPVEPPVDCLRAVAP >DexiUA01G0013470.1:cds pep primary_assembly:Fonio_CM05836:UA:28181106:28181393:1 gene:DexiUA01G0013470 transcript:DexiUA01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHRGASGGGVCTAECRIEGAARRGTLAPPAREADNKRRPARRAYGAAERIVGGSVGVAIGGGWVWSRREGPPGSLNLDCAFRHPKSFMCRLC >Dexi9B01G0021690.1:cds pep primary_assembly:Fonio_CM05836:9B:16412529:16414924:1 gene:Dexi9B01G0021690 transcript:Dexi9B01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGCRTPAPAPATAPSLPPRRLHRASHPAVHLQRQELLHESTSPASSASDSPPCRSASFALTIAKATRSRSTDPPPPPESISHLTLAATSSAATTPLSPSYPSNATASPNSSSSTTRFILCSACTGSPSIGTPATTASSTEFHPQCVTNPPTDACASTSRCGAHDGTTSPLPLVRCRNPSGRSASTSSSGDRRSLFAVSSSSSLGGGARTTHRNRWPLASSPFASSVVCSALNRPMLPKQRNTTLPSGCLSSHGRHAAALLPLATNSDDLMSGPTQCTGGVGSPGGVQRPAAMASTARGSSQRKVLTMTPSQRQRHVEAWRKPSYARSSRSMTAWIACVAGIGGMPGTWRSSPSPPMSRKLAEAGGAGGEEEVGGHAELRGDVERGAGEHVEDDGGWRGGGGGEEEGSEVRVGDADGLHDERLVVPGSHGREVGRWEAVEGDGRVGGGDAGEERRRGARVVGRVDDDDGDGDATRRERPAELDHGEQVAHPRRRVQHHWPLHGGGRRCVRGAVH >Dexi9A01G0024570.1:cds pep primary_assembly:Fonio_CM05836:9A:20964987:20965283:1 gene:Dexi9A01G0024570 transcript:Dexi9A01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEARRSGCFRVRADSARSSPDPATPAVRRRSASVPSHNHQGSRRRRCLIFQLLQISSNVREWRRRRWDTASARQHADEASTRRGWGFKQRWRRGR >Dexi1A01G0022560.1:cds pep primary_assembly:Fonio_CM05836:1A:29223592:29225229:-1 gene:Dexi1A01G0022560 transcript:Dexi1A01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDVMGPIMDILGYKSNSASGMAVCDECKLKFQDLKAKRSFRFIVFKINEKVQQVVVDRLGEPGESYEDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKKELDGIQVEIQATDPSEMSMDIIKSRAL >Dexi5A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:5A:6790548:6790946:-1 gene:Dexi5A01G0009050 transcript:Dexi5A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVPAFIALQMITMALLFPAVVHGCEPSCSNPAPPPPSAVPTPSGATCPIGTADLSVCVDFLDSLLHIGLNIAPSQQCCSLLQPLASADAVLCVCGVIKVLNLAIPVDVTILLNKCGLPCPPGFKCPLY >Dexi1A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:1A:5298458:5300425:-1 gene:Dexi1A01G0006910 transcript:Dexi1A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEVLKTEFEAALSILRKKERDLREAEKKVSVDRSRLNQTKQDLDQREEDIIKAYGRQHEMEKALMKASRDLSLQVRQINKLKLLVEEQEKKIVNSQDALSNKVIEVDKLKQDILKKNEEAALMRSEIETKEQELRAANQALAQQESTIRELQSEVKRKETEIARSNELRKANEERLKVAEQELKKQNLGWIAAQQELKELAQMASKDKDNIKDTIDDFKRVRSLLDAVRSELMVSKEAFNFSRRQIEDQARQLSQQVKELTDQKTLLISYTQNLEAAQLEIQGKTNELSAVQSRCRELESQLLEEMKKVESLEAMLTKERESLGQKTKEVDLLQEELAQNENEYITSQKLVKTKESELLEARHEVEDMKLKVDSIQIAVQEKDLELLETQRRLDEVNNEVVELQQMINTKEDQLVQVRTELQDKEQRLQLMQDELDKMRLGRSQAESVVQKIVELTGNLIGSVEGEEFDIYSLLDDEILSTGTALESNLHKHNQLEADIDMLKESLQQKDMDLRAAYKALDAKDLEMKAVLRRLDVRDKELDKLEELSITKDNNVEQVELQKHEIESVEVEALAASTMLKKLANITKEFLTRGRTDSGTNSLASRNANVSDGASKIQPKEMDVILKAKKEIVGLFSLTEELVAGAGINNAEEP >Dexi2B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:2B:26298103:26302216:1 gene:Dexi2B01G0016120 transcript:Dexi2B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVKTLKGSSFQIEVDPADKNKGSSSAAPAKASANQAPPTQTVPATPAPQTPASPAATAPTVPVSASAPTATASPAPSVAVSTEADSYGQAASNLVAGSNLEGTIQYILEMGGGMWDRDTVLRALRAAYNNPERAVEYLYSGIPEQMDVPAPPLSSQASNPVQPSQPAPAAVPSSGPNANPLDLFPQALPNASANAGAGNLDVLRNNTQFQTLLSLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLDGDEENEEMNMLDQIADAAETIAVTPEENEAILRLEGMGFDRALVLEVFFACNKNEELAANYLLDHMHEFDNDDGLGGGPAL >Dexi1B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:1B:24413673:24413906:-1 gene:Dexi1B01G0018120 transcript:Dexi1B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRKSSFMIPSADTYARAAPRCTAYWPHSVMWYLISILPESLIDSMRLGMCIKIRKKGQAKDAKKKAL >Dexi3A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:3A:15758272:15760357:1 gene:Dexi3A01G0019850 transcript:Dexi3A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDQHVNDTSSWEGNVRRGRFFSPYPATSAPYPNGQSSTPASSFVRGVGALDLNSTAEDNLDNMAFMDLLGASSRDQVHGIEDDGGSSESGLPLSSRDGRGSRGGRGSRGRGAGAGASRVPAAGRGTGRGTGRGVPATGQAVPASRVAPSGRGGRAAAVALPPQAQPYRAPRPIGQSGRASASDAYAAGTEEGGDVEEVAGSGNQKDSFDKANWTSFNNNVVFCELCVEQVRAGNRNNGTMTNRGYENIAGPFYERTSLRHSVKQLRNRWDQLKSLYTFWTYCNKQSGLGKSGTGGIIASDAFWDQHCKKQPERKKLKYGPPECLEDLEVMFEGINVSSEDETSPSEDDTSSSEDNSLGSDSDDGSVKVFEQWRHIQQFGEVA >Dexi1A01G0028630.1:cds pep primary_assembly:Fonio_CM05836:1A:34267468:34267798:-1 gene:Dexi1A01G0028630 transcript:Dexi1A01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIGVVTRPRRAVHRQVAGEPWVRPAAIDPGAGGGGLQRRVLVTLNPFRNGLVTVPATMLAMLITIPSMPTSPLGGTSAGVCGPYSGWWNGHAHCPGHCVAELPTHA >Dexi2B01G0035180.1:cds pep primary_assembly:Fonio_CM05836:2B:42313600:42315309:1 gene:Dexi2B01G0035180 transcript:Dexi2B01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPNPQPQAEEREVVVEQQQLPQPEAKRAPKLRYLEFVHVAAAQAAVCLAGLYGLAKDHAGPLRSGVDSVESAVKGVVGPVYDRFHDVPLGLLAFVDRKVDDTVHEVDKHLPGALKAASTKAYAVARELAAEAQQSGVKGAARAALAKVEPVAKDAYGRVEPVAKDLYARYEPAAEHLAVSTWRSLNSLPVFPHVAQIVVPTAAYWADKYNKVIVAAADKGYTGAKYLPAIPTEHIAKVFSSPPPEAEPLAETQ >Dexi1A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:1A:26226386:26227251:-1 gene:Dexi1A01G0019040 transcript:Dexi1A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVGRGGSSPAIKPINKAVVHRICSGQVIFDLSSAVKELVENSLDAGATSVEVSLKAYGEEWFKVADNGCGISPANFQALALKHHTSKISDFSDLGSVVTFGFRGEALSSLCALGKLTVETRTKDEPIGTHLEFEHSGVVASERKAARQVGTTVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNITLH >DexiUA01G0008390.1:cds pep primary_assembly:Fonio_CM05836:UA:15599743:15603467:1 gene:DexiUA01G0008390 transcript:DexiUA01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMTWNGSEHYQQARIWPRVKCCLQLMFVVPPFEYSCSWLRLSVLSPLLPTLLSSVLTGEGARRAAAGFLGAGIEPGGASTISLHYIQFSKMACCFMCGTDMKQKVEGGGGTKVRVFSYSEMRRATHGFSGANKIGEGGFGSVFRGRLKDGTIVAVKVLSVNSRQGIREFVTELTAISNIVHENLVTLVGCCAEGSHRILVYNYLQNNSLAYTLLGSGRSNIRFNWRARVKIALGVAHGLAYLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQTWTHYEQGKLEEMIDIDVGDDLDVEEACRFLKVGLLCTQDAMKLRPNMTNIVHMLTGEKGVSMDRVTKPSVIGDSDLNGQSGQRQADADSTTMKSFASTEPLTSSEVNMETSL >Dexi9B01G0027750.1:cds pep primary_assembly:Fonio_CM05836:9B:30247978:30255723:-1 gene:Dexi9B01G0027750 transcript:Dexi9B01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRTCRAVRASSRVGSAGVEDAPAAELDWKALPDDTMLQLFARLNYRDRASMAAACRAWRALGSSPCLWSELDLRAHRCDREVASSLAARCGSLRRLRLRGHEAVEAVPGLRARGLRDVVADGCRGLTDATLAVLAARHEDLERLQIGPDPLERISSDALRHVALCCSQLRRLRLSGLREADAGAVGALARYCPLLEDVAFIDCGTVDEAALTDIRSIRFLSVAGCRSLKWATASTSWTQLPSLIAIDVSRTDVPPNAISRLISNSKTLKLICTLNCISVEEELVQDPSVFSNSKGKLVLTIKSPIVKSIATMFLGVDVKEHEVFNQCNWKHKDMIAVDTMTWLEWILSQSLLRIAESNPPGMDEFWLQQGTALLLRLLKSSQEDVQERAATALATFVVMDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGITILTSLAKSTNRLVAEEAAGGLWNLSVGEDHKAAIAVSGGIKALVDIIYRWPAGTDGVLERAAGALANLAADDKCSLELAKAGGVHALVTLARSCKLEGVLEQAARALANLAAHGDNNDNNAAVGQEAGALEALVQLTGSHNEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVSLVQQCLNGSEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYSGNALRIVEEGGVPVLVSICSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSMAATSSAPAALSQVAEVVFIHEAGHLRCSGAEIGRFVAMLRNPSPILRACAAFALLQVCFFYPFSISNAVYLKPLYPHFTIPGGRHAVHHAGLLQKAGAGRVLRAAAAATTASIEAKIFARIVLRNLEHHQSGTST >Dexi4B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:4B:8526252:8526967:1 gene:Dexi4B01G0011110 transcript:Dexi4B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLVALFLAFAMVASATVKPSEARVQGGLNAAGDQVLQPSTFHNAPPSPSSPAAAGAVAPPPHPSTAGSATATPPPPAQPTECMTPLIGMMPCMDYLTNLTVLSPPAGCCDGLKSVIRDAPICLCHGMSGDMNSLMPHPIDPVRMIVLPLACGAVLPLQTLFSCNSKATSTADNATDAGAGACRSSSVTIIR >Dexi7B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:7B:25762329:25763807:1 gene:Dexi7B01G0020450 transcript:Dexi7B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAAAPVGDRSPSSSPPEAAETPSTGPPPAPPELAMVARAVQRLVARNDAVAGDDGRGGGGMEAFEAARGAPAPRIGVAEYLERVHRYAALDPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLACLLVASKVLDDFHHSNAFFARVGGVSNAEMNKLELELLAVLDFAVAVDHRAYERYREHLEEEMRRAHHHHHGLQPKQMQRAASAPTIVNPLPPLPEKNPAELVAVGGREEHGKKPLSNGVPVARRRRRARRPEAAERRGAGVRDEVALRELWALHF >Dexi7A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:7A:14259064:14261065:-1 gene:Dexi7A01G0004030 transcript:Dexi7A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRHCANRVFVTGGSSILQSPKSWGRATGCRSAVRFRGCCVRANFWKSDHHLPLKIIEVMQASDVFSSIRRWSRLQLVTMTGVMACIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGEFPVPDPSALVKNFNLADFNGKWYISSGLNPTFDTFDCQLHEFHVEGDKLIANIAWRIRTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGSVLYTRSKTVPESIIPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEEGEKTIVREVKEIEDEVIELEKEEATLFQKLAEGLMEVKQDLMNFLQGLSKEEMELLDQMNMEATEVEKVFSRALPLRKLR >Dexi4B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:4B:3178483:3184370:1 gene:Dexi4B01G0004460 transcript:Dexi4B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAGAVGVFVLLAAAVVAASAASVEGEWRDEQARDRVPRVPGQGFNTSFAHYAGYVTVSEPRGAALFYWFFEAETDPGSKPLVLWLNGGTPGRVLVSFSISVYLSLNDRFVNSLVYFAVANLLFLDSPVGVGYSYSNTTDDITSNGDARTGHYVPQLAQAIKRYHEATGDKSINLKGYMSVGKMGEQYDPCTEKHSTVYFNLAEVQKALHVNPVVGKSKWETCRLVAGVKGTRDLPL >DexiUA01G0014830.1:cds pep primary_assembly:Fonio_CM05836:UA:31064570:31065708:-1 gene:DexiUA01G0014830 transcript:DexiUA01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKIDLRGVEPGGPGWEDARTAVTASMVAHGCVVVVVAHDVLGPELRRALFRCTVPELFALPLEAKQRSDSRWGPFKAYISQVPGMAMESIRVADANDAGRVRDFAGLLWPQGNQEYCDTIVTFATNMLKLERMVEKLTLEGLGVRDESIGDHLASLTHGVRLSRYGEPPDGETGVSMKEHRDDAMVAGIVQHEVEGLEVQAGDGRWHAVPPEPDTVTFVAGEQFRVVSNGRVPACLHRVRTPSGRERFSVLFGCRSKDNATVRAMDELVDGDQPLVYKPVRYEDYPSVQNRSSTDEPEKDEPAVA >Dexi7B01G0024020.1:cds pep primary_assembly:Fonio_CM05836:7B:28444658:28445362:-1 gene:Dexi7B01G0024020 transcript:Dexi7B01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWQELGQAAVVGLIFAFLVAKLISTVIAFKEDNLRITRSPPTSPATATATATARSPPRPDTPAPAAAPPRGGGDLSSDGGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKCCV >Dexi9B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:9B:15355767:15356047:-1 gene:Dexi9B01G0020650 transcript:Dexi9B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGTSTGRGSSQSGGRPTSTAAAAAPKQLLSKLRSTWRRGVARPRPVAAVRFGYDLHSYSQNFDDGLSSSGHRLSVSL >Dexi2B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:2B:7102951:7104558:1 gene:Dexi2B01G0007030 transcript:Dexi2B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASKPFFPAAPHSALLPSRSAAARASLDGSYWRAFRSSELVSGADFPITDLTFSPTAASPTLAAAWSTSLHLFSGDPLQSLRRISVAGDLAFSPSFRCDGALLAAGDKRGVVRVFRGDKPATGAALRTLRAHAAETRVVRYPVAGGDKLHLFTAGDDALLAYWDVPSETPVFTVPAAHRDYIRGGAASPADHNIFATGSYDRSVKLWDARTGNSGPSLSFCHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLVHSVESHVKTVMALALGKMANTGKTRLLSAGIDGYVKSFDFGKLKITNSLRHPQPLLSVACSPCGSVLVAGSAKGKIYMGTRKKKAVDEEDEGKKASSREIVWVSPEPEKPVLKPNYYRYFIRGQNEKAKEGDLVIEKPKKVKVAEHDKLLRKFRHKDALVSALAKNNPRSSVAVMEELVARRKLVRCIGNLDTEELGLLLEFLRRNATLPRYARFLLGVANKVLEMREEDIRSDKKLRMHIRNLKRMVAEEIQIQHTLQGIQGMISPMLALATR >DexiUA01G0021320.1:cds pep primary_assembly:Fonio_CM05836:UA:44231699:44234326:-1 gene:DexiUA01G0021320 transcript:DexiUA01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGYLALEGLYRDCGGSQEQIEENGNSDGADIFACDTWVQSSSYNVHVYDFHVVYSYSYKVPVLYFQAHRSDGQLLTLDQIKQDLPSDSLKVLSESKWTFITREEHPYLSRPWFTLHPCATSDWMKLLLEESKVAYEEQSLRYLPAWLSVVGQAVGLKIPLELHCRS >Dexi9A01G0023460.1:cds pep primary_assembly:Fonio_CM05836:9A:18805262:18807438:-1 gene:Dexi9A01G0023460 transcript:Dexi9A01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTTAFVTCAKFRCRSSLAAANLLLVSAASPSRARAPPRGLLLQHRHRNKSYLISLNNRIGKVSLCSIAMAATQASEAAADKGLPLGMDETMVDEYASQSKLLQEFVKIPSFGKAWIFNSKDENTSKAMVSIGQSDLLANKRRKFLLNSHISKTASKSVHFQWSPFPTEISGVSAVIPSPSGEKLLLVRSSEDDSPTKLEIWGPCQLENEIHIAKSVHGSLYTDEWFEGISWNQEETFIAYVAEEPPQLKPVFNDHGFQKEGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGEVRPVKGIPRSLSVGQVIWAPSSSYGLVFVAWSSENGFQATPRKLGVKYCYNRPCALYAAPDPFREEAGKPSPEGYKGETTSMIKLTSDLSSAFFPRFR >Dexi4A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:4A:24921902:24923740:-1 gene:Dexi4A01G0021350 transcript:Dexi4A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPGASSLKKRRVGGVAQEGEAKSVAEEDEEDRISSLPEDLRLRILALLPLTSAIRTGALSTRWRALWVRRWPAPSSLDLHHLPMDDPDRLLASLERRGRRRLERFALTLHFGRQPHRYLGDKDIHRCLDYAAACKVEELHVDISYHWVSISSSLNFPPGFSSLVRLSLLRVGDVSFGYSLGSDAFPALEIIHIHSARSVDLDDLLSASPRLRTLDLRYCEFVDDLGAIYVSPVRRCHLRSLTVAECNRITKIHAGRACGLRSLHLSSALFPTYKIPSTALLEDLYICLRGHNYNPLKHWIKEFPNLTNLKVLTICSIALRRVYALARFGAATCLTKLRSLPSLRELQLLMFVMASTNLAHIYMFLKTCRCPQLERLFVELPTSSHDTVAGNSSEAVEEDKPAEVSEEDDPDEVLSKEDEPDEELSEEDETDGDLSEEDETDGDLSEEDETEEELLKEERVHEYMLEERPYYEDVYDEDPLDENVPQEEQSEEDVPELLFSRNCY >Dexi7A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:7A:28949275:28951635:-1 gene:Dexi7A01G0020000 transcript:Dexi7A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGENPQTGEEWSLTSWRAPNDPSTGDYRLVLDTMGLPAAVMWQGTGSVKKKYSTGPWNGLRFSGVPEIASYSGDFSVEVVVFPDEVACVFNASAGAPLSRIVLTDQGVLERLAWDPANSVWDTWMQSPRDFCDSYAICGAFGPCDEGDVATQLCGCIDGFSPASPEQWSTRDTSGGCRRDVPLECGNNGTTTDGFKVLHGQCHGGHERHAGAVQGECLANCSCVAYAPADIRDGGSGCVMWNGDIVDVRYLDNGQELYVRLRGKTTLLKFLRGDVKKKAKLGFLSASNDLGDEDIDLPFVSFGDIVSATNNFSEDNLLGQGGFGKVYKGMMEDRSEVAIKRLDEKLLIYEYLPNKSLDFFIFDAANKNVLNWTTRFKIIKGISRGLLYLHQDSSGYMSPEYAMDGAFSVKSDTYSFGVILLEIPYGAST >Dexi8B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:8B:24151035:24154506:-1 gene:Dexi8B01G0013770 transcript:Dexi8B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSSCSYLQPASSTLPSSTRRSFPCSIDPLQNSFHGVGAAAATGGGRGSGGSVFGPTFSDPLRSLNLNETSELVVSSFPMATMARSSSSNGHGRTSHHEASTTTSSSSSSAQSRRAEPPPPHQVVPATPGRPLQFFAASPAHHHHLVAPARRSVPSKWEDAEKWLRQSSSDSDHGNGKTAFSRQRSTGLGQRCGGAFAAGEEEKRAAVRRRSVDALTIYAPPAEVLLKDKFTDNEEPSKETFVFRSAFDGGDSSPAKGTAAVDAGDEQRRDIGTEMTPLGSSCHTPVKSTSPARHNTPASRSGPLVPYAGGGMDISELADCHLAKLDLGARFDAMLVNWSSKEEEEEEVSKSLRHFEATVGATGGVPPCDNKRGGGGDCRWEDDDRAKSCIRYQREEAKIQAWVNLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLRRAAADSTRRIKATASHHHHRRHHLPGSDAAASCGCFPCSNNSNVISGNLLNYY >Dexi3A01G0026540.1:cds pep primary_assembly:Fonio_CM05836:3A:22783175:22785562:-1 gene:Dexi3A01G0026540 transcript:Dexi3A01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGNSSDAGAKVVCEQLTPERCAFAVSSTSRRCVLENTHCAGRPTAYQCRTSEVVVEDERLAGMVETDRCVRACGVDRATVGISSDSLLDPRVAGAICSTACLQGDGIQVKNLVHV >Dexi3A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:3A:11501198:11502176:1 gene:Dexi3A01G0015460 transcript:Dexi3A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLFDDGEDAVAPQCPPPPATTAMDSSRWNRVCFAMNASTVRRNSSASSMPQRHACASRAVTDDRRLLPFSDSDRRSSGDTSRRASGCCCPIFSDLLRLGARASLLTRTTGLSSSNVTAACTACSGAAGAAANNTTPGAAMDAAAGAGGWIGVAKETAAAAASSNKQALEGVGAGVAKEAKLLAPAAAGGGGVVVVVAAALSELVGLLEEEGEAERSRLESARRRSTMALPRTKTKRALRRSEPARRSAVAAADGTREDDQERKATSARSSPTRSIRYRRRSRSAAPAAAMAVWHRAAAAAIVVALQVAAPAGWLATT >Dexi1A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:1A:432337:434503:-1 gene:Dexi1A01G0000700 transcript:Dexi1A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVLAIVFFCVFLLASAAIASLLVGRCLAALRRCCRPDDADDLEARRPRAVAAPHQLLMASSKPQQQEPRWLVWREVEALTGGFDEAAVVGRGGSSTVYLARLSGDDVAVKVHRWCGGGERRLRAFRQELDLLRRLRHPHIVKLIAYSDDHEEGGALVLEYLAGGTLADRLHGGASATTPPLPWAHRMRVVHDVACALEHLHDASSSGTGGAPPVVHGDVSASNVLLDGELGGGARLCDLGSACEGFSAAVAPTRAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEAVTGLPAAGAPGEENLAARVLPRIRAHGVAGLVDARLGDDGYDEEEAADVARIAVECAAPQPGLRPAMAQVRAAIAEKAARSIAKAEYHGHQHIQLSKLLELT >Dexi2B01G0025020.1:cds pep primary_assembly:Fonio_CM05836:2B:34277032:34281057:1 gene:Dexi2B01G0025020 transcript:Dexi2B01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPQPPPSAFSSSASSAAAAAAAAWWRDNMHPAAGYGGGAASWPPPPARWPQTMAPAPQQQHHHHGRTTTTSSGDDLSASNATITSFTNTSTNHSGLSMDSSVVVPGADHHHHAAAAAVAAESHLWSQVLMGAAGGEVGRSMQQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYSSSSHGGGGGGWPDHHQQFTAAAAMEMKHLSSGGGYGATLSHHHHHAAAPERLTANLSDLVSNWSIAPPNPSLAGAAAACDKNEMGGGGHGGAMLHEAAGGGGGQEFLRPTAGYSSMLGLSSSSSRMYGGGAMEVPWGNNAGTARSLSDLISFGGAPPLGKTEQTAAATATKAQSEYNKKQGQEISSPGKTSSGGGSKGSSEGKKKRSEEQQGSDGNTKKSKNEASSPTSSLKASQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKVPY >Dexi9A01G0030620.1:cds pep primary_assembly:Fonio_CM05836:9A:35621584:35623800:1 gene:Dexi9A01G0030620 transcript:Dexi9A01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPNGHGLMHHHHHQAPTMPPTTTPHQKQRPAGLPPTPPGSGSHSLHHHHHPDVSMDDTAAGRAQAQGGLLPPPRKQQVTHRRSRSDVAFGYFQPLLPPPSPKMESSGGWGLPASGAAAAGDELFNAYMSMEGMDGLNNNSDGDSRGSSGMRTNGADSSENESEDYGGGGGGGGGADSQFLLWSDAGGASKKKRNAAGEPAAAPPPAARHARSLSMDSLMGKLSFSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGLATQNNELKFRLQAMEQQAQLRDVPICCACSEFPICSYCHSAGLDVIAALAFAACTLALRCQNQMMEMQKQQGEQIPFYQLEQREQNGAPRNHDPK >Dexi5A01G0036380.1:cds pep primary_assembly:Fonio_CM05836:5A:37946071:37947675:-1 gene:Dexi5A01G0036380 transcript:Dexi5A01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSHAVPLDLVARALAAHHPPPPPAVLHAHLLRLHAAVTAPVRVRSLLNDAISRLSKPRPRAALGLLLLMLRLPVSPDHFSLPFALNAAASLRLLPLGASLHAVAVRLALVPRRLPVANALVDLYAKCEDFPSAHAALAEIPAPDAVSFNSLLCSHARNGSVASAESLFTAMPSRTQVSWNAMVVVYVSAGDLESARRVFDEMPTRDTASWSVLIVGYCKRGLVQIARELFDKMPSKNLMAWTAMINGYAQTGRPKEALALFRGLEAAGIAPDAATMVGVISAAAQMGSTELAAWVGAYVDRNKIERNIKILTALVDMHAKCGNVEQALSAFREIAQPDAYPYTALISGLATHGHGDLALQVFERMQAQAVWPDPITFVGVLTACSHAGLVDKGLEYWEAMVRDYRIERRADHYACVVDMLGRAGRVEEAFEIIQTMPMGPHPGALGALLSACKTYENVEIAEIVANKLFELEPGNTGNYILLSNIYAGKELWEEAERVRSLMRTKLPFKKPGSTWVEDRPREHAKMSVTE >Dexi9B01G0046100.1:cds pep primary_assembly:Fonio_CM05836:9B:45460225:45466341:1 gene:Dexi9B01G0046100 transcript:Dexi9B01G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEASGAPVNGAAAPGSGEKDKDKERSSRRRSSGGDEERDDGERRSKRSRSEEGRDRDRERRRDRDRDRDRHRSSRERRDRDRDDKDKERERDKDKERRSRDREREEREREREKENEKERQRRSRSRSERRREDEERDRYRDRDVRDVRRRKEEAVEPEVDPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSTASSGAASGGARKLYVGNLHSNINEEQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFVQASFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQVGVQVSGATAGDLDDDEGGGLALNASSRALLMQKLDRSGITTSLTGATGMAGLNPPVAIPAVSVLGAAPATAPVLRPTVPGLVSIPGATLPISTPSIELAPPSECLLLKNMFDPAVETDPDFDLDIRDDVQEECSKFGQVKHIFVDKHTAGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMTPQQYEMKFPS >Dexi2B01G0021330.1:cds pep primary_assembly:Fonio_CM05836:2B:31156392:31156815:-1 gene:Dexi2B01G0021330 transcript:Dexi2B01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLHARFRTLLAYGVVHRPLAAAPSLLLPSGSTAKAAVMCLATRRATSSSLLSSSRPHKETVAIGDAMDSVSDGDSEEMSSEDERSNDEDTSSFSDDKKTSDDGVSSPPKETVAFDDGCDFKHWLFIAAR >Dexi7A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:7A:20940168:20940743:1 gene:Dexi7A01G0010030 transcript:Dexi7A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPSSRNASSLSGTVAHRALLIQKVPQSVRGGLEKEKRYFVPDLVSIGPYHHRADDHLLEMEKVKEAVAREFCNGASGQEGLAGGQHQAAAEQQFLEAVAAVRPLVEEAKRCYADSFDSLFTDSEFANMMVVDGCFLLAVMAVLTEDYPYEHGWWTHGRMLRIMKDILLFENQIPWVVTETRRQHWSPER >Dexi3A01G0028710.1:cds pep primary_assembly:Fonio_CM05836:3A:29595067:29596291:1 gene:Dexi3A01G0028710 transcript:Dexi3A01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVRNRKVVLKRYVTGYPTEDDMEVVDGTIHLAVPAGLAAPAVLVKNLYLSCDAWMRGRMSKRDDVTTVVNDFVLGEASVDELRSEKGGRGMVGWEEYTLITHPSWLVKIQHTELPLSYYTGVLGMSGLTAYAGFFDVCKPKPGEAVFVSAASGAVGQLVGQLAKLAGCHVVGSALLLKTKLGFHDAINYKSEPDLGASLRLRFPDGIDVYFDNTLDAALPLMRLRGRVAVCGMVSQYSLDDPGQGVRMRNLPWLVTRRVRMEGFNVDDYLADKDYYRRFEEAMAGYLRDGRVTYLEDVVEGLENAPAALVGIFRGRNVGRQLIVVARE >Dexi2A01G0026220.1:cds pep primary_assembly:Fonio_CM05836:2A:37745865:37746824:-1 gene:Dexi2A01G0026220 transcript:Dexi2A01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIEKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVIAWNNLGDAYELKKDLKLALKAFEEVLLFDPNNKVARPRVDDLRQRANMYKGVPIKSEKI >Dexi9A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:9A:15916923:15922153:1 gene:Dexi9A01G0020890 transcript:Dexi9A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKRRGRFRNSLRRMAMECLCSGEQLKGADETVRSSDSTITKDYSASGYSSRNGEIERYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKADRRKTHSQWDTPPMPLHAVSLLMEAIYLKARALHDLGKYKEEDDLSALNLLKRILKSGEDSDCLKELLLASKACVEKSAYTEDRASWQYEALEVLGNAEKKMHGRDSRVLYSLSLENAEQRKLESASLYAKKLVKLEAGSELRSWVLLARILSAQKQFADAETVVDAALDQVNIWKAPPWKP >Dexi9A01G0030560.1:cds pep primary_assembly:Fonio_CM05836:9A:35566974:35572109:1 gene:Dexi9A01G0030560 transcript:Dexi9A01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGANQPHEVERSHGRATSAKGSTAAIVGLAIMRSTNSSTVSKLASEDNIVESKISSLAVLHANENNNVGFRIASPGPFHANEVKEKIDGSKLVSPMVLHAIEDKKDECKLSVQAIPHAGEGNNPKSKLTSPVIPFAGENNIAKSHLASQVVLHASEDKDNNVRSNLTYPMSIHYDKDNTRGCPIADEDKNPRSNLTMSAGLHENESNIVVSKIAIHEVPRAGEDRNIESKLSTTTSIHASKGKKVVSNLSIQVAAHAGKDNINSRSKLSRPTILSTSKETSTKSKLNRLAMLYADKGENSMPKVIVQALPCVGSKLARPAVLRASKDINVASKLSIHRVSHASEDNINVRSKIPGLGEENSVASKIVVPVVSYVKEGNNTGSKLAKTTVLHASKENNTVPKLTIQVVPNTGERNSAKEGKNIIAREDTNKSISAASGSDGTPSNTFKNSTLNCGDIGAHNTTTDAPTTISHEDRGSGKGKGAMVVETEDALHMWTEKERRKKIKNLYSTLHALLPQLPEKVDKPTLVGKAVTHIKSLEGTLQRLEKLKQERMRAQVVVVITDSSSNSATPASSPARHPASEPADPVIREASLADMVQGLNAQEALVDKLKVAAAASVVAGAGGSSAAAAASRAPAVAAPAPGMQTWSAPNVVLSVADMDAVINLRTPRRPHMLNSLLDVLERHLIDVVSFSVSSDQSHNLISIQAHVSSMAVHSCDPIRSVRIYNRGLRRSDPFLQINGPAPATFLEKLRIEDRYKMVVAEMMYVVAN >Dexi8B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:8B:19112874:19115036:1 gene:Dexi8B01G0010490 transcript:Dexi8B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAAAAAGGRRAWVVEVEKTLDSADAAAESTRWQRHSIYRVPACIKDLNRKAYKPQVVSLGPFHHGDAELQPMEEHKQRALRHLLRRANRPLEEFAAAVEEVAEQLESAYLDIGDRWRGEEGRERFLEMMIVDGCFLLEVMRAADLDGRRTGDYAPNDPIFSHHGVLYVVPYIRRDMLMLENQVPLLLLQKLVAVESAKPPNEEVINKMVLRFMSPSSRMPQTSTGLCLHPLDVRRRSMLSGTYQESPRSRDIVPETDIIRSALELYEAGIRFKKGHSESLHDIRFRHGVLSMPAVTVDDSTEFMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSTSGIIQNAVGSDKAVAQLFNSISKDVVLEADSALDVVHQRVNAYCRKPWNLWRANLIHTYFRSPWAFMSLAAAVFLLVMTIMQTVYTVLPYYQQDQANNSSPEAPAPM >DexiUA01G0001000.1:cds pep primary_assembly:Fonio_CM05836:UA:3087708:3088659:-1 gene:DexiUA01G0001000 transcript:DexiUA01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVLAVAVFSSPAAAAALCPQLEGIVRTEARAALQREIALAAGLLRIFFHDSFPQGCDASVLLKTSGKTEQTMGPNTTLQPRALQLIEDIRAKVHAACGATVSCADITALATREAVVASGGPTYAVSLGQLDSLAPASASDVNALPSPFTASVAQLLRAFRGRGLADAADLVALSGAHTIGRAICGFFQDRTTNPGNDAFVRKLKADCDTDPNRLQQLDVFALSKDAFFAQFAKSMAKLGSVPRKPAGNVGEIRRSCFRTNAGGETLLLQSAAAEGQAAASA >Dexi5B01G0032640.1:cds pep primary_assembly:Fonio_CM05836:5B:33202817:33203222:-1 gene:Dexi5B01G0032640 transcript:Dexi5B01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIAVLRLLSMRKSQGVSDNFHRTLAAKMRPPITLLYALVAFVQLPHCFVGPTYWST >Dexi6A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:6A:7334297:7337547:1 gene:Dexi6A01G0007420 transcript:Dexi6A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLAIHPPILFLCGITISPWHFLPPPLSVKPRPSVPRELRAAIRRRWSPARLLIPGRIMVSSLGSHLAMARQTAAAHCRQSPPRPRPRPHRGSPPIASCHRCPNRITNPFIEFAMTQACSRILNRAKPCTLAPRRHGTAAILVTGHPSVSPFNVTIRSSLAPPVEPAPPSPVVVGQEEPQEEVPQESVEREEELKEEPAHTQSLLWSLQFWWPLQRRDVGSVRVFNQTGLYWMKNSSNEARCFPRLLEKVLMVLGIFVCPLYFTNRAVDPCCDDYYVSRVHSREKKEGEEGYYTRSMHDADTPLSTAARRALRSICYDYQEQLRYRVLLYELLREPEPSQARSVVPSVPES >Dexi3A01G0021890.1:cds pep primary_assembly:Fonio_CM05836:3A:17471864:17472369:-1 gene:Dexi3A01G0021890 transcript:Dexi3A01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPPTLQILRRRFSSLVSPPSKVLLYDEHGAPDQVLRAADVPPVPLGDRDVCVRMLAAPINPSDINRIEGVYPVRPPLPGAVGGYEGVGQVHAVGPAVTAPLCPGDWGRGRRT >Dexi2A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:2A:10736019:10749964:1 gene:Dexi2A01G0009740 transcript:Dexi2A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDNASSPLVERLVLALNGRRYEVAAAELEPSTMLLEFIRTRTPFRGPKLGCGEGYHLNDAKNTFSKEGWYQPRSIKQYYELINSTLFSESSIKVVIGNTSAGVYKDHDLYNKYIDIGGILELSSIVSKSEGIEIGAAITISRSIEILEKESKSMSSPNGSVVFRKLAEHMSKVASPFVRNTASLGGNIIFDESSGDHVLNNLHLAFGAYGTEHAIRARKVEKFLTETISGDEYKPIGEPVKKYGVELQASGEAVYVDDIPAPKNCLHGEFIYSTQPLSFVKNITFKSSLSSQKIIAVVSAKDIPKDGQNIGSMSMFGDEPLFGDPIAEFAGQALGVVIAETQKYADMAAKQAVVEYDTVDLNPPILTMEQAVQNNSYFNVPAVYYPKQVGDFSMGMDEADHKILSTKVKLASQYYFYMETQTALAIPDEDNNMVVYSSSQYPELAQTVIAKCLGIPLANVATAAALSAYKLQRPVKMYLNRNTDMIMVGSRHPMKALYSVGFKSDGKITALHLDLVIDAGISEDLSPVIPSGVISALKKYNWGALSFDIKLCKTNNTSKSAMRAPGDTQGSLIAEAVIEHVASIEGSFIQGVGFFIYEEHQTNSDGLVISNSTWDYKIPTVDTIPKQFNVEVLNTGYHKDRVLSSKASGEPAVVLASSKLSKEGSVLPIDENLHRKLLRLRVIVEEAEGRHIRNQAMLEQLKVMRQTMYRGYYMLDTFKCGAYEENSCKADHRRDHQVL >Dexi5B01G0035780.1:cds pep primary_assembly:Fonio_CM05836:5B:35680646:35683588:1 gene:Dexi5B01G0035780 transcript:Dexi5B01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVESNTLPGAADRRTSKKDRRLFWACVFILANNCFQYTAYFAVSTNLVNYLKDQLHVGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFLLISVVAYVVLTASAAAALESAAFYAGLYLLALGGALQPVLMAFGADQFDGADEVGRALQSSFFNWFYLSINVGSLVGGTVLVWVQSSVSWGLGYGIPAMCSVLAVAVFLAGTTAYRRHQPPGGSPLTRVAQVVVAAVGKCGVEAPEDASELHECEGDDGMSAAIQGSRRLAHTDQFSARYSSCTMFIISLLRFLDKAAVETAGDKPRPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTMDPYIGNFRVPAAVLSVFDTLSVMLWVPLYDRLIVPLARRATGHERGFTQLARMGVGLVVLAAAMVAAGTLEVERRRVIARHGMYDTNTGADGKYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDEINRGHLDNFFWLLAMMCMGNFGVYLLIARWYTYKKTVE >Dexi2A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:2A:31821663:31824093:1 gene:Dexi2A01G0019640 transcript:Dexi2A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSLLAGTLILLIGAADALSGYQISCGATSEKVAGNVTWVPDGPFINSGKAAELSSPGGEMMPMLSSLRYFPPDSSARKHCYVLPAEKHGRYLVRTTYYYGGFDGGRAPPVFDQIIDGTRWSAVDTAASYARGLATYYEAVVVAAGKEVSVCLARSAATAPGRSPFISALEVVPLEASVYGTVNFTAYALRTIARHTFGTNGSIVGYPSDRFNRYWEAYSDGRVPVVESQASVATDAFWNKPPEAAFRRGLTTSRGKSLELQWPPVILPAASYYLALYFQDNRAPSALSWRVFDVAVNGQLFFAGLNVSTAGSMVYGGEWPLSGETRITLTPAPDSPVGPVINAAELMMVVPLGGRTHPRDVIGMEALARGFRNPPSDWRGDPCLPKGNAWTGVTCNEDPLARVIAINLTNYRVGGSISDHIANLTAISSIWLVGNNLTGPIPDMSALHHLVSLHLEDNGLTGPLPESLGNLTKLEELFVQNNNLQGTIPRGIRSRAMVDISFRFKYTPGNSLS >Dexi5A01G0037710.1:cds pep primary_assembly:Fonio_CM05836:5A:38973833:38976867:-1 gene:Dexi5A01G0037710 transcript:Dexi5A01G0037710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKKVEGPHGDVVAEEDPGRRRGLVGEADGDEKAPRRSQRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPSFLFIVGMAIPLSLKRIPDRGQAVRRVVIRTLKLLFWGILLQGGYSHAPDKLTYGVDMKHVRWGGILQRIALAYLVVAVLEIVTKDVKIQDRSSSGFSIFRMYFSQWVVACCILVIYLSLVYGIYVPDWEFRVQNTDSTDYGKVLTVTCGTRGKLNPPCNAVGYIDRKILGINHMYQKPAWRRHRACTDGSPYEGPFKSDAPAWCVAPFEPEGILSSFSAVLSTIIGVHYGHVLVHMKSHTERLKQWVSMGIALLVLGIILHFSHAIPLNKQLYTFSYICVTAGAAGIVFSLLYFLVDILNLLYAFAPLQWIGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILLWALVSGVLHRAGLYWKL >DexiUA01G0007610.1:cds pep primary_assembly:Fonio_CM05836:UA:14199582:14200120:-1 gene:DexiUA01G0007610 transcript:DexiUA01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSDLPPEVLDLILVRLPLRDAARSSVLSVAWGQSWRQLSDLDFTSSPCDRAAIDAVLLSHSGSVRHVRLEVTVTDGHLPNVQTWVDALSKKMLQSLKLSFNAPSVPSVLMEASLSAIFVHIP >Dexi2A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:2A:8610314:8611598:1 gene:Dexi2A01G0008530 transcript:Dexi2A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLNQLQKEMYVGYHTLDTLRCRAPHGHDHYRAVAAGRSFTTSRFNPAKRLRPRGGSSSSQHERAIQVLGDLDTTIRDVRELVVFLSGCPRLCRQPYTVHLLVDKCMFNRQMEMEKIMEFLLRSGTEEDEEAPAVLPIIGPGRVGKTTMIEHACNDQRVRSHFSQILRFSQDGTTDTKAIAMLGDCSVIRLDGDDDRGGEKMTTLVIIEVAGDIDEGVWEKLYSDCRHQTGRGSKILVASRSDKIARFGRATQTQALTVRFFTEEAYWFFFKARTFGSTDMKGCFFGSVEEDDSGPRGEDPQLSIIDLFFGENDVRPCGRFNVLAYSSHRYSYMMTCEVQRQHHEFSRKKRIIQHVAS >Dexi2A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:2A:11953619:11964856:1 gene:Dexi2A01G0010710 transcript:Dexi2A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPRKQSSCHGSYKTEELITSGCSPVTSLTQSLRSEWSNYTSTARGGVGAGMTATPGDMVWLRVVEEGVFRFDASEAGRAAAGPSLSFADPRRREVPREGGDAPAIHPTCQAEGPVQKVVVKIDLRQESTIKLSASSAYPVITFGPFNTPSEVISSLSHAIGTVYMPPKWSLGYHQCRWSYDSSEKVLKVVKTFREKGIPCDVIWMDIDYMEGFRCFTFDRNRFPHPKSMTDDLHSVGCKAVWMLDPGIKNEEGYFVYESGSEKNVWVQKADGSPFIVIASVFISQVYGMLMARSTYEGMTMANASKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSIPMMLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFARGHSETGSFNHEPWSFGEECEEVCRLALLRRYRLLPHMYTLFYHSHTKGTPVAAPVFFAGIINIFFGSTSPNKRAHQCSHKFPKGIWLPFDFGDSHPDLPVLYLQGGAILPVGLPVKHVGEATLEDDLSLTIALDENGKAEGVLFEDAGDGYEFTHGDYLLTYYSAKLESMEVTIKVFKSEGSWKRPKRNLKINVLLGGGAMISALGVDGEDVHLAMPPESEVCNLVASSELARKKLFEIIRPIPDIDEPESQQEGAEFSKTLVNLKSGDWLLKVVPWIGGRIISMKHHPTDSQWLQSSIEINGYEEFSGTEYGSAGCTEEYNVLRRYLDQSGEEESICMEGDIGSDLILQRQISILKDKPNIVQIDSSIKASVAAGPERLSR >Dexi6A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:6A:28410829:28415110:-1 gene:Dexi6A01G0021040 transcript:Dexi6A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLEPSGPNPSSSPIRPVCRRLVCCLPRCVCPRVAVASLVETQHDLLNLAVSGSMDKQEPKADVEQKIGLTEKPNEQPVPNKDEKATVPPISVDSNTIDLPSEGQTQAGTSNIDGDHSSAYPNNFYASQAQPFYYQGSGYENPQQEWDTYPPYMSAEGLEVGPPVVYNEDPSLMFHGGYGYDPYAHYSPITTPVPTAVSGDGQLYPPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGETMMPIDPTQGGFIADTLSPNSFLFGPRPEWFRSSEGTGSFPSPVASPQPAGGAPGAFGQSNFPLASGMPSPHQKPFYGFGAPTDSYGRGFSHGGMFPQASNYGGSFPGFGLNGRSSIPIEKGRRRGRGNALICSCNGPLDFLNEQSRGPRATKPKKQPEADIKDEKPSTGAGRELYNRPDFVTEYTKARFFIIKSYSEDNVHKSVKYGVWASTANGNKKLDSAYREAMEKEERCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKNHDDDASILDDFDFYEEREKALQENKARLHQQHVSSSIAVEPKKPLTVPSELVGHITKSFAQAVKLGEAKTTSPSSAEKVSGSDSSVPVKPVEVKQTGLS >Dexi9B01G0030290.1:cds pep primary_assembly:Fonio_CM05836:9B:32794251:32795424:-1 gene:Dexi9B01G0030290 transcript:Dexi9B01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKKSAGAAADLTDDLIVDILSRLPAKSICRFKCVSWHWYGLITNAEHRKKIPQTLSGFFYPSDRWTQEDPAKMLPDFVGILGDEEPFSDASLTFLVGYRSIIPKICCNGLLFCLCWKVSPSDEADYVVCNPATEKWIVLPESGDENIGLADYFCFDPAISPHFYVFQLIDADENYRYIGDVNIYSSETGAWSHKESGWGDELQLAYRGAVFLNGMLHLLTNDFKILAVDTEGKKWRTIPMLETMTVSCFCRGARMC >Dexi9B01G0034500.1:cds pep primary_assembly:Fonio_CM05836:9B:36434330:36440405:-1 gene:Dexi9B01G0034500 transcript:Dexi9B01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLFFIEFSMQQCFSCPSSCAQSNSNPIQSNDASQEQEEHMKKTRKSKRKQSITSAPGAEHGTVDSLWQWRQWKTSPQQGARIRHLLRQAVRQTKAPSFSSLSHCVNPRTKRAYIPEAHPGEPAARLGVVLHGEGGRGRQGTRATRRRDLGGGAAQEQVRGGGFPANAQRAVVDWCGEGQARRKRQETGMEAADWVAAVKRAGKAFNATPCSEYQGYSQWSALPIAGQAQRINLELPPRAGKSKHPQTPGPCCCSRHLTAILLLLQLGDIFLYIFGENHLGNLQQQLHMKARSIPLHAPVPVCRISVALPIAPEEISGFVHLLALPTHAQRKAADDRAACKRTAYVPGSTSPCSAAAIHGAHANGAGLPFPISSSTSELLHAAAKLSGSATSMRSGGHRPHGGNVALRHAAAKLRHQQKNEEDRSSQSAEQRTCGVIGSSWPPI >Dexi1A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:1A:5418174:5421835:-1 gene:Dexi1A01G0007090 transcript:Dexi1A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASEERTVVGWAARDATGHLSPYTYTLRKTGPEDVVVKVLYCGICHTDIHQAKNQLGASKYPMVPGHEVVGEVVEVGPEVRKHSVGDVVGVGVIVGCCRECRPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASTMVVDQKFVVKIPAGLAPEQAAPLLCAGVTVYSPLKHFGLTSPGLRGGILGLGGVGHMGVKVAKAMGHHVTVISSSSKKRAEAMDHLGADAYLVSSDAEAMAAAADSLNYIIDTIPVHHPMEPYLSLLKLDGKHVLLGVIGEPLSFVSPMVMLGRKSITGSFIGSVDETAETLQFCVEKGLTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNIEEAAAGAPSS >Dexi5B01G0036720.1:cds pep primary_assembly:Fonio_CM05836:5B:36354600:36354836:-1 gene:Dexi5B01G0036720 transcript:Dexi5B01G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGELRMTLLGVALLGLLLLSQHAATVEATGSGAAPTGKKTNSFSFNSAGGRTLNSFSMNAGGSGDHGKKGGKGF >Dexi9A01G0041880.1:cds pep primary_assembly:Fonio_CM05836:9A:45451180:45454112:1 gene:Dexi9A01G0041880 transcript:Dexi9A01G0041880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALREKLPYEEPLLENSVERRSERVIDIKGVKQHTTDSWRACTFILVTECFEELAYYGIQFNLVTFLKNVLHENNVTAARNYTNWQGTCYIAPLTGAIIADSYLGRYLTTVAFFTVYLVGMAAMSISASFQACAGPDCLQYGSFKSFVFFLGLYMMAIGAGGIKPCVSSFGADQFDESIPAERLKKDSFFNWFFFAIYIGSFVSGTAVVWVQDHCGWVVGLGIPTLFIAGLSECRNDDSLLHVTADENASLVDGHKLQHTPQLRFLDKAAVISSEEELGDPWRLCTVTQVEELKVIVGMLPIWATGIVYFSVLAQFSSTFLEQGRTMNTQVVGGFAIPAASLASFDAVSVIFWVPVYDRFLVPAARRLTGNARGPSELQRFGAGLFLSVMVMAAAALVETRRLAVAHAVGEEGEVAMAMSILWQVPQYFLVGASVVFACVGQTEFFYNEAPRSMRSLCSALALLTVALGSYLSSLVVTAVAWLTTRGGEPGWIPDDLDDGHLNRFFWLLAAMSALNLAVFVGCARRYKRKNVY >Dexi5B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:5B:4945014:4946268:1 gene:Dexi5B01G0007360 transcript:Dexi5B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGALKLSPSRPALAGATPPAATPARSSVHFHLANAGAAALVAASLLVADPSLAFIGGGPYGKQVTRGQDLTGKDFSGQTLVKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLTKTNLTNANLEGALVTGNTSFKGANITGAGK >Dexi2A01G0023350.1:cds pep primary_assembly:Fonio_CM05836:2A:35057791:35059285:1 gene:Dexi2A01G0023350 transcript:Dexi2A01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDRSEQSIHVLLLPYPSQGHINPILQFGKRLAARRGVRCTLAATRFVLSQSHHSPGDAIRIAAISDGCDNGGFQEAGGVDAYLARLEATGSETVDALLRSEAALGRPVHALVYDAFMPWAQRVARRHGVASVAFFTQPCAVDVAYAHAFTGTIRPPIVEEQGPVELPGLPAGLRPGDLPSFLAVPDCPAYLDMLVNQFNGLDAADQVLVNSFHELEPQESDYMVSTWRAKTVGPTVPSAYLDNRLPDDTSYGFHLYTPLTATTKAWLDARPPRSVVYTSLGSLSAPTAMQMAELAEGLYNCGKAFLLVVRASETTKIPENFAEKAKERGLIVTWSPQLEWV >Dexi9B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:9B:9311058:9311733:1 gene:Dexi9B01G0013910 transcript:Dexi9B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQPPASLLPEDTLVDILSRLAPRGLAVSRAVCRAWHAAVDGRGLLRADLLPLAVAGIFINFWNHPSPEYFFRPSTGPRVSGHQMEYVPSAGKTWMRTCILGQCNGLLLLEVADDGGVHVDVVNPATRAWAPVPPAPPLADEAPAAGIFFYRSYLVFDPTSLSPYYEVISVPHIQWESRPPLPAAVAGAEWPLSRCVLRVFSSRGEPQAPF >DexiUA01G0022070.1:cds pep primary_assembly:Fonio_CM05836:UA:45267008:45267897:-1 gene:DexiUA01G0022070 transcript:DexiUA01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMRQLLGLLGAINGRPRERKKKMVLRRPLQVVELRVRMDCERCEREVKKALSGMRGVQHVEVSRLQQKVTVTGEVDPLEVLWRARSTGKKAEPWAHHQNAPGGYYYAPALYGIGAAQLPAHDAGRWANPAAAYGYHHPAARSVEAAIGAEHLTDLFSEDNPNACSVM >Dexi3A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:3A:12359725:12360537:-1 gene:Dexi3A01G0016430 transcript:Dexi3A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPRLAILLALLAATSALAAAQKTASKAGKAAAAAAGPAGAADAAPPTDVNKALKDDQFSEFKQLLHDTRVDTQINAQLTDSYNGLTIFAPTNAAFDKLEAGVLNGLFYSLSMLGTLNGKVNTQGSGHTGPYKYDIKRAGNNVNISTGVNSMLLGSPVSKDFPLAVYPVDKVPLPYELFGPKPPTPAPAPAPAPAKSKTKKHKKSKGIAEPPAADDSTASDQTKSSAAAPGARWVAVALGVLSAAVLGGGLF >Dexi4B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:4B:53709:55738:1 gene:Dexi4B01G0000070 transcript:Dexi4B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARVAAEIAALPEPRGPMRRLCGDLARRIRLLAPLFQQLQEDALPLADALAAARELLRAVHDGSKIYQAMRGDGLLARFVSVNRQVQVALDALPYHTFDMPEEVQEQVALVHSQFKRAATRTDPPDAQLSKDIASALADKTPDPLVFTRISEKLQLQTMADIKKESLALHEMVISSGGEPDGCVEEMSSLLKKLKDSVITEPPASETVGARSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSRDKKAAKSSDYDHAGLVSLMTRLRGGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKATIVDSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMTDEALTLLAILAGNPEARAVIAQSDPIPPLMEVIKTGSPRNRENAAAILWSLCCADVEQTKAAKAAGAEDALKELSESGTDRAKRKASSILELMLQTE >Dexi9B01G0029140.1:cds pep primary_assembly:Fonio_CM05836:9B:31699358:31702932:-1 gene:Dexi9B01G0029140 transcript:Dexi9B01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATVVTTFSGAVADSIGRRPMLIASAVLYFVSGLVMLWAPNVYILLLARLIDGLGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPKPDWRIMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMAEAKRVLQMLRGREDVSGEMALLVEGLGVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSAYGLISQHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEHQQIKADWDAESQREGDEYASDHGGDDIEDTLQSPLISRQATSVEGKEIAAPHGSLMGAVGRSSSLQGGEAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELLEQRAAGPAMVHPSEAVAKGPKWADLFEPGVKHALFVGIGIQILQQARRLFLYPIHIIYFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLTTIPILIVALLVLVVVNIVEVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVYMKVPETKGMPLEVITEFFSVGAKQAREARED >Dexi2A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:2A:3739854:3750213:1 gene:Dexi2A01G0004160 transcript:Dexi2A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARISLRSPELRPAPSPRLQGRSTRIHPGSTRRRRLPEPVNAAWADAVAGVHNAHSVPATILVKEMRMEQRGRRGISSTASFRDRDPRIRPRPPHESRFPQIPVRDLRYRPSPIVKKHCNLPSTRVTPQSASQSTPARPPVDRRPTSAPAAWSSCATTIPPFPSSFPFEPPNLDVGLHDAPSVPRRRPPFNLPPGIAASLATGCLTSSTPILPAHDPTDHDTRRRGHGSMSASSVVHHHRVSRVDPRASIRLHGMRLMPPERIHALSTLPGFPPPACARRTSSPVSTTHTQFPPPSSTCGSVHASPRRHGVRFGADAWRKSVVAGGGSVPSGRQPIVDGIFCAALGPSLCSAPFGDLAFHVVTISHVQVLGQGKAAQQKLRSLSAGFLLSPLSPSHPPLPLCFVVETHLPFFSLIPPSNSSWSLKKEIFWCKIRSAVAASQHRDLVQFLIQAQVAAVDDCSEAEVAALPQFAILMRSSSHCESNCAPPLCYLPCLLKSKDDAGGDLVSGSPSPAAVAEDRPPVVQKIEEAAAATGGDDDDKGCKVVAVASKSCLKRADCVDSSKDVVKGNVKWRDLLGKEDLTQVKEFEPRYGLSYSDDDEDGSACTCVIQ >Dexi2A01G0027460.1:cds pep primary_assembly:Fonio_CM05836:2A:38858564:38862870:1 gene:Dexi2A01G0027460 transcript:Dexi2A01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRPSALPTPPATPAGAYQQPPVSEAAARRLREAEERLREAIEELHRQQGGAGEGQEQREGEWGCGHEGESCAAHAAGNLCQTFLLSYGVRVGIGILLRAFKLARRRSYGSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRRRETPYNAILAGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSNNRFHFWGSHWRHGDSLLFSLASAQVMYAFVMRPESLPKSYQEFILKTGPVAEPVYKAVRECCRGGPVDLTALSDYLSNKRTSDLINLTTNPSIIPCSVIHPDRASCLAQNVNVVSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPGATCWRALVGAVRSTTFLSAFVTVFQAAICLHRKVASKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASRISNPSPPPPNRNTSYSYLQTLNALEQSRTQPGVDNGQQTSEQYNLESIPGL >Dexi1A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:1A:1299832:1302931:-1 gene:Dexi1A01G0001960 transcript:Dexi1A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTSLSPAPPRPSPSPATPRRRQQTPPPPHHRSSSCRGRALRAATAEAATPGADDYHATIRSLNSRGRHVPRKSLGQNYMLNSRVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLEAGATVFAVEKDKHMATLVKDRFGSTEQLKDIKMDADVIEEDITKFHIRSHFLPFMNEKYNAAKKHAKVVSNLPFNVSTEVVKLLLPMGDIFSAVVLMLQDETAVRLADASIQTPEYRPINLFVNFYSKPEYKFRVDRENFFPRPKVDGAIISFKLKNSEEYPPVGSSKSFFSMVNSAFNGKRKMLRKSLQHLCSSSEVEAALHNIGLPVTVSSCSLPLYRSSHCLGACAQCSAAGRLDLLI >Dexi4A01G0022500.1:cds pep primary_assembly:Fonio_CM05836:4A:25735914:25741920:1 gene:Dexi4A01G0022500 transcript:Dexi4A01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRLPAPPAAVRWAQLPPPPVPASSAAAARRVCPPRRLAARRAKGEEAPEPVEAQAPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWRDVFNRVYKDRAFDNIVISPGPGSPACPSDIGEITPSSVVCVCGYFWSVVTYLSWVSALATSEIEHDGCYLFNSVPSGRNSGFKVVRYHSLVVEACSLPDDLISIAWNASPNLLSYLESDRTNTSTFLGSLDNNFMAIPLEHYSGGKLSSISNGNDNEPDGSRVIMAIRHSSRPHYGVQFHPESVATHYGRQIFQNFKKMTRDFGLCSSWLQERKVHSIGKLEKSQVNSAAQFGSVSQDLSHAERLELWEPNGAHILAKRAIRKKCLRLRWKKIDNFLCSTVGSEDIFAVLFGQQSGEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQMTFHLSGQRANCGGTLVSRDAYGSTVNNFIKEGFLEFLNKELQSIEYNEKDYEGLPFDFHGGFVGYLGYGLKVECDASSNQAKSSTPDACFFFADNSVVVDHRLCFESQYSCGSFSLLHVLNFNSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKAVHTMVSTIRGTKKSNLSPVECIKAAFPGGSMTGAPKVRSMEILDSLEPSPRGIYSGSIGFFSYNHTFDLNIVIRTVILHNGEATVGAGGAVVALSNPEEEYKEMMLKARAPTKAVEDCAQTIYTSDRSDSMQATTS >Dexi5B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:5B:21928776:21929171:1 gene:Dexi5B01G0019610 transcript:Dexi5B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPVAADDDDWCSKARPAGAASTVVGKLSSQLLPDVLSMESCERQSRGGHTNNGFSRGTSGGDLTLLMTCNTSAMLGRSHGLGFVQANPSSSTSLASSATPSSPAARSKANINSIEQLPLPMKSPYPIL >Dexi9A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:9A:5557648:5558492:-1 gene:Dexi9A01G0009270 transcript:Dexi9A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAKAERKVIRVLARSVLKAAGGVELDEAGDGAEAVRRVREAAGAYDLILADRQMPVMNGHEATRQIRAMGVTTPIVGLSSDSLAADVDAFIKAGADDFTPKPLSKEKLNHILAKFNLA >Dexi9B01G0031730.1:cds pep primary_assembly:Fonio_CM05836:9B:34044327:34045702:1 gene:Dexi9B01G0031730 transcript:Dexi9B01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQSRLHGKKENRASDGAATISSSHGSGVHESQRTIYDSDKHLNAEQWPHQASLLSIGTLGNDEAPPPAQEEDLPEFTVEEVKKLQDALAMLLRRAKSKSSSRGSGASEDRPPLDRFLNCPSCLEVDRRVQTSKHGEGDGQGGDLSPDTKIILTRARDLLDNSSASGSIKQKSFKFLLKKMFVCNGGFPAPAWSLKDPVESRMEKFFRTMLGKKVNARPSNGTASSRKNYLLEDGAKGKKRRGDGCCGCEEEREESCRSKDTGDDIYT >Dexi3A01G0028230.1:cds pep primary_assembly:Fonio_CM05836:3A:28760851:28762743:-1 gene:Dexi3A01G0028230 transcript:Dexi3A01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAFPLVSHLPPWKPPPIRPRPLPARRYAASATATISSSTTSSPPSPPSLPPLSPSSAYVHLPFCRKRCHYCDFPIVAIGSSSGTAPSRVEVADDPRIVDYVRLLLREVAVTRPVSDDGVPLETVFFGGGTPSLVPPLLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAAKLRELVGVGVNRVSLGVQAFQENLLRSCGRAHGVEEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYTPGVFPLPSDTESANFYKIASKRLSEAGYNHYEISSYSKPGYGCKHNLTYWQNRPFYAFGLGSASYINGVRYSRPRRMKEYAEWVQKLEDGTWSHESRSSDMKDMAMDVVMLSLRTAWGLDLQSFSKSFGKSMALSLCNTFKPFVESGLIIAMDMERQALPHMDFGLDLQNEGDLGSKVAFIRLSDPDGFLLSNELISLAFGVISP >Dexi5B01G0038620.1:cds pep primary_assembly:Fonio_CM05836:5B:37740091:37741676:1 gene:Dexi5B01G0038620 transcript:Dexi5B01G0038620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVKLIGFFDSPFAHRAEVALRLKGVPYELILEEDLHNKSELLLKSNPIHKKVPVLLHGDRTICESLVILEYVDEAFDGPPLLPVDPYGRAMARFWARFLDDKCSKPFWLSLWTEGEAQKGFVKEIKENLKLLEGQLVKGRKRFFGGDAVGYLDVASCGFAHWLPVFEEVAGVRLVTEEEYPDLCRWAKEYASDETVKQCLPDRAELLAHFTARKDFFCAIKRPAT >Dexi9A01G0034390.1:cds pep primary_assembly:Fonio_CM05836:9A:39150653:39153067:1 gene:Dexi9A01G0034390 transcript:Dexi9A01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPADDYDPLDMEDAPPPPPPVLKALGSGKLLIEGSLSFKRAQATSALQVETKISIRTAGGDASPEPREVATARFAAEPAVESPKHEAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRAAKVGKGLLKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDSWLQCESKQPFFYWLDIGEGREINLEGKCSRSKLLSQCIKYLGPKEREDYEVVIEDGKFLHKKSGQILDTSCGPRDAKWIFVLSTSKTLYVGQKRKGTFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFKSFLMDNMVDLTDVKMSPAEEDEEFWGSLKRVTSESEKTGDKAAAPEETGPCQMQPEAVDTARAETDKCEEETAVAHSDSSEDNQEEAEEQAPVPREKILQRINSKKEMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQLQALEQVNLSPRCSGAAAASRFASPLRRSFNQPAVSTPREAFRSPLQHGALVVEAVAD >DexiUA01G0004210.1:cds pep primary_assembly:Fonio_CM05836:UA:8265618:8277369:1 gene:DexiUA01G0004210 transcript:DexiUA01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQYHEEYVRNPRGVQLFTCGWLPASSSPKALVFLCHGYGMECSDFMRERGIYILYEDVKSCQCEDVHVLWSILVESHGLPTPPVLGLKR >Dexi3A01G0030570.1:cds pep primary_assembly:Fonio_CM05836:3A:34581806:34585560:1 gene:Dexi3A01G0030570 transcript:Dexi3A01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVEDRGGTVALLLVSLFFHGTWPALITLLERRGRLPQHTYLDYSITNLLAAVIMALVLGQAGESTNGTAKFFSQLAQDNWPSVLFAMAGGIALGLANLLLQYSIPFLGLSVATVILTCLGAVIGSDMVEKRVVEDSTDVTSSGSGMVVADPAELEISQAKVGTAEFIIQVEERRSIKVFGSNKWLGIGLVFLTAVCASLSFVGSNLGTNDQWHTLSNGTPHLVVYTVFFYFTVSCFVLEVCLNVWFLYQPRAGVPASTIGAYTMDWKGRNWALVAGLLCGFGNGFKLMGAQAAGYAASDAVLALPLVSTVWAVVLFGEYRRSSRRTYLLLTAMLSMFAIGLAALIASAGHRKAS >Dexi6A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:6A:4671857:4674847:1 gene:Dexi6A01G0005140 transcript:Dexi6A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGNRLRGASFGTAADLVAPPDAHHHPSLQFAPSAAAAPKLTVVAHRASSSSSSGHARAHAIRALRNHHAAPALATPLPPPPPPSDPAALAPPELDMLDSDFEFGFESSLEAIVLLQRSMLEKQWELPFEDDISSTEGDGQEEDEGFAISKARVVVARSGVSARQRRMSGRRRGAGRKSVTISPELMQSRNRIYLRGTVSKELLTHKQVIQLSKKIKDGIWLQNQRSKLKEKLGNEPSYEQLAQSLRISAPELRARMRESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRSAKYALEDQGIAPTTENIAESLNISKKKVNNATEAVNKVLSLDQQAFPSLNGLPGDTLHSYIEDENVANDPWHGFEERYLKEEVNHLINSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKHLDALLEDY >Dexi9A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:9A:5530842:5532810:1 gene:Dexi9A01G0009240 transcript:Dexi9A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVDVGTELSLGLPGGGAEAAKAAKRGFEDTIDLKLKLPTAGMEEAAAGKPEPVAEKAKRPAEVAAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQSVKSKKEEEPEKQQPTANVGSNCSAFVGSNCSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALKKMFSTFTTGNNMNEGKLVDPVTGADVVTTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKSSEAIGLAPRGKDKCKNKS >Dexi1B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:1B:10093839:10094170:1 gene:Dexi1B01G0010460 transcript:Dexi1B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSLPDKNKQKNGLSAPNEVIVDDNAYLRNRIDSLQVQFDSEKIGTNSYRNTSIEDYKEYLLFDEKSFLDMHTDDQTSGFCLT >Dexi5A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:5A:3186239:3187591:-1 gene:Dexi5A01G0004250 transcript:Dexi5A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTTPTNNGQALLDAQLDLWGSTFAYINPPSTSASPTPSTTTAAPPTLHQIATAAAVHPAKIPILRRLMRALTATGVFTTAASYDDGEFVYALTPTSRLLLGRGVGSLVPITAMTLHPALVSSFFELGTWLRREMPEPSIFKLSHGQTFWEMADGDKTFDALVNDGMASDSRFVMDIAISECGEVFQGITSLIDVAGGLGGAAQDISKAFPHLECSVLDLDHVVAGAPKDTAVKYIAGDMFQSIPPANAVPCTV >Dexi7B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:7B:6947754:6948329:1 gene:Dexi7B01G0003070 transcript:Dexi7B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLFFFLAVIPLAFAALSPHASVAAVAARNNGSFTAGQPKVVTYQQQFLQAHNEARTAAGVPPLVWNSTLQLDSLRYANELRHRCSTTPLYAWGTDGVYGRNLFKGSRMDIPGTPTEAVASWVDEKRCYHRRSNTCAAPDGRTCGGYTQVVWRATTQVGCARRRCGSSFDDTVAVCEYYPPGNIEGQRPY >Dexi5B01G0033390.1:cds pep primary_assembly:Fonio_CM05836:5B:33798161:33799150:1 gene:Dexi5B01G0033390 transcript:Dexi5B01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRALNPIQLIATLFLAILATSHAGGIAIYWGQNTGEATLSATCASRKYQFVILAFVFQFGKGRTPKLDLSGHCDASSGRCAVLSSDIRSCQRHGVKVLLSIGGGVGNYGLSDLKDMGKNAGTEVLLSAAPQCPFPDEWDGAAINTGLFNYVWVQFYNNPECQFSSGRKAFLAAWKQWESVPAGKIFLGLPASKDAAGTGFVPAGELKSQVLPLIRDSPKYGGVMLWSKFYDDSTGYSSAIKSHV >Dexi5B01G0026180.1:cds pep primary_assembly:Fonio_CM05836:5B:27984928:27986684:-1 gene:Dexi5B01G0026180 transcript:Dexi5B01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLSPSPSASATSSRRGQILAAEWPPGSSSSSNPHSDGPPSRIVDIDDEEFGDRPAKRVRLESGCGDGVCAADRPPADGRDRISDLPDAVLLSILSVLPLRDAGRTAVLSRRWRGLFDQSLLDFNACQHFPPEEGRGCDWFIGAITGILAARPRICIRSFRFVMYGRAFHGHLGAVDDWFRVLARHGLRELDVDMFYAAHMPTLPWSLFKLVSLETLKVLYCRFPGSEAPPPWLPALKVLDLFNVNISQESLHAIMSHCTSLERVRLKNITGVDKISLRSKSLARLYGDFGDLKELIVEDAPNLEELVGIGLPSGKVKVKIIFAPKLQVLGYLGISVRPLLLHDTVFDGGIVQLRTLMYSVKTLAIQVPFSEKGYTVFVAQLLKCFPCLETLHIEPNKRSISRWVNVEEWDTTNSIQCIQHSINRVVFDFFGGEEIQWGLLTFLLRMARALKLVELYCWMDKDWDSDQIELLNPKNRASPDADIKCFRICKPISELYLCHCCPHRCQKENRVALI >DexiUA01G0010400.1:cds pep primary_assembly:Fonio_CM05836:UA:20774620:20775978:-1 gene:DexiUA01G0010400 transcript:DexiUA01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAELDSPLHALGFEIDELSPARVTGRLLVTAICCQPFKVLHGGVSALVAEALASMGAHMASGYKRVAGMQLSINHFRIANLGDTVLARAVPVHVGRSTQVRPLSPRLVACPAYPSNPILVAIAISMTPSHRPDGPHDQLVWEVKLWKMDPSTGEKGPQIAESRVTLLSNLPLPEEHKNAGAALRKYASKL >Dexi1B01G0029050.1:cds pep primary_assembly:Fonio_CM05836:1B:33455436:33457506:-1 gene:Dexi1B01G0029050 transcript:Dexi1B01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRSSLAVAGFLLASRTPNPNPFSSATAPTTARVLQDDLSRRLLRIRFRAPRGTAAAAVERWAGKRDHVSLPELRSAISLLRSARRYERALEVFSWMDSCNSLELSPLDHAERVGLIAKAHGSSQAEKYYMKLKSGAAKRAASFPLLHSYVTDKNVQKAETFMAELQSCGLPVDPHTFNEMMKLYVATCQYEKVLSVIVLMKRNNVPRNVLSYNLWMNACAQFSGVASVESVFKEMVNDDMVEIGWSTYCTLANIFMKHGLTTKVQACLSKAESKLSQKRRLGYCIVMTCYAALNDSDGVSRLWEASKSVPGRIPSGNYMTAMICSIKVGDISRAEWIFGSWETHCRKHDVRVSNVLLGAYMRNGWIEKAERLHLHMLEKGARPNYKTWEILTTGYVQSRQMDKATDAMKKGLSLLKNCHWRPPLELVEAIAKHLEEQGSAKDAYRYTKVLRRLSLTSLPIYKSLLRAYINAAIVPPNILAMIAKDQIIMDEEMDQLIILAGKIDITCSSTPRPVARDAEGKICFVR >Dexi6B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:6B:24124459:24128446:1 gene:Dexi6B01G0016830 transcript:Dexi6B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAGRSSQLGRGRASPRFSSSPEENPSKRRNQNEITEDPPTASALAHAMSHPGAAAAALATDPSPPSPSPPPPAPPPVVEWPEGGVLTRDWVAALESTLDWCSRHLPADRLPTLLPSALVQRLVLAAAAILHREPNLVRVDPRPGQSVVVVGDLHGQLHDVIFLLRDAGFPSEERIFVFNGDYVDRGAWGLESLLLLLAWKLIIRSHEGPDARDKRHDLLGMDKGYTIDHEVECGKLITLFSAPDYPQFQASEERYNNCGAYIVLSSPDFATPVFHSFEAVKPRPPAHPFYDFEDVIDSDEELNLDAMDSGTSSQ >Dexi5B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:5B:21047258:21050592:1 gene:Dexi5B01G0018660 transcript:Dexi5B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDEAAAGSPLVGNGAADVRRRRDQAKEMLSKQAVKIATKAEEHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHIVFQILKVCASTWNGGSFILEVMPRQVVQKQEKKKLNKKPIEQGNSTEHMEGDDAPDNHHEDTAK >Dexi3A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:3A:3127695:3129360:-1 gene:Dexi3A01G0004790 transcript:Dexi3A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTALFIKRRRVRSLLGPSRRWRSSLCHGEEKQRQRLGRPNPSPAPGAGGGGPPCGPDKRPPRRPPPPRPPPSRHPLGARHRDALSRRWAHLPRELDALDLRLADMLPPRYHRWVHLYNDACSKGTLRHAVRLQIVHSIRRYERRAMRAFASSTVTSFLQGPRRNVKELRLDFVVTGNTGCVNALVAEAMDAWGVEDLEVVAKSTFTRRDGIHLFPSHGLCEQPRLSCLRSLKLGGCTLPSLHEYSRLSVLVLKGIPKSTPAAAYEGIFTLCLQLRVFHLISCGCIALTLVADAPNSEIRELVLEDCIFGRLWLRALPCLERLASGCGVVFESSSSFPCLKQWNLTMHLGIEGQGQHLKLELDTFLEWTPDNITDLVLRLAGPYSGLELGEEIEWPPTKLGRHHHLKEFVVAGYEGTTRQVFLAKLVVGACTALQLVAMFKNGYAREDKGGRWGWEMATQQRHLWTSEEKEKVLKQVMDGVPSSTTPVQVVLG >Dexi9B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:9B:684264:687166:-1 gene:Dexi9B01G0001190 transcript:Dexi9B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSRSSAAIVGLHTCYCETDQLYKICTVLGTPDCTVWPEGMYLPRSNTFKFFQIPPRNLWELIPNASLEAIDLIQVCNWVPRPVHDASQTKTNEPKAHPRLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLTTHVPQRTEEEILLYSGFDNHPAKSGFWPLVPSDRPVGDVPTMPSWQQAYMVDSQASLPGFSGSPFGLSLQPSLLESHHHSLAPIRQVNFF >Dexi1A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:1A:12171023:12178012:-1 gene:Dexi1A01G0012370 transcript:Dexi1A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDAGGGEGEEGGGAKAVPMHRLFAFADRRDAAMMAVGGAAAVVNGLAMPFLTFLIGDLVDAFGAADRARVVHVVSKVAVRFVYVAIASGVSGFLRAMSLAVSKLSNRSQMAYAKAGKVVEQTIGSIRTVVSFTGEKRAIDRYNEFLKTSYRSTVHQGIAVGVGIGSLVLIVFCSYGLAVWYGARLIIEKGYTGGYIINVIMAIMTGAMALGNSSTCLSAFASGRIAAYKMFATIYQTPEIDVHNKKGLVLENFMGDVELRDVHFSYPTRPGQSIFSGFSITIRSGTTMALVGESGSGKSTIISLVERFYDPQSGEVLLDGVNLKLLNLSWIRQKIALVSQEPILFTTTIRQNIEYGKKGASEEEIRNAIMLANATKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQEALNNIMVNRTTIIVAHRLSTVKNADIISVLHRGQLVEQGSHSEMTENPNGAYSQLIRLQEVHAKKSGPCADNPNRIQTALYAANSTSENSIIKPSFDRSMSRHSPQDGSRRNSHTFSSNEHATIGDDDVKLGKKVLRRLLHLHKPETKILLLGCTAAAANGAILPVFGLMISSAIRTFYEPPHILQKDSVFWAEMYVTLGVASILIIPVQYTMFYMAGGKLIERIRALSFTRVVYQEIGWFDDPTNSSGAIGSRLSADAASIRGIAGDVLSLIVQNISTAIVGIVIAMVANWKLACIVICFVPCVFAQSYAQARFMRGFSADAKKIYEQASTIASDAIGNIRTVASFGIEDRIIENYRKKCEAHFIHNGTADFGQVFKVFFALTMMAVGVSQSSSLARDFSKVEDAAASIFGLIDRKSMIDASSKEGITLGTVQGDIELQHVSFKYPARNDVQIFRDLCLRIPSSKTIALVGESGSGKSTVLSLIERFYDPDSGTILLDGKDLKSLKLSWLRQQVGLVGQEPVLFNDTIRANIAYGKEDQVSEEEIVAAAEAANAHGFISALSSGYDTNVGERGVQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESEHTVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHEQLLRAFPGGAYASLVALQSNSSS >DexiUA01G0026150.1:cds pep primary_assembly:Fonio_CM05836:UA:55155518:55157334:1 gene:DexiUA01G0026150 transcript:DexiUA01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSDTMQPDLLDLHVLHQFRTRSPLTHCMTNDVVQTFTANVLLALGASPAMVIEAEEAEQFSALADALLINVGTLTAPRAQSMRRAIERAVAAGKPWTLDPVAVGALTFRTRFCHQILALKPAAIRGNASEILALAGMSAGGRGVDTTDTAAAALPAAQALARQTNAIVVVTGERDYVTDGQRTRTVVGGDPIMTRVVGTGCALSAVVAASCSLPGDRLDNIAAACGWMKRAGTAAVTRCSLPGDRLDNIAAACGWMKRAGTAAVTRSGGPGSFASAFLDALYTLEERADLKTFSALGTYGCSVITALVAQNTRGVQSVYRIEPDFVAAQLDSVFSDVRIDTTKIGMLAETDIVEAVAERLKRYQVQNVVLDTVMLAKSGDPLLSTSAVETLRNKLLPQVALITPNLPEAAALLDAPHAQNEREMKEQGNALLAMGCGAVLMKGGHLDDEESPDWLFTHDGALRFTAPRVQTKNTHGTGCTLSAALAALRPRRDNWGDTVRDAKIWLSEALAKADTLEVGHGIGPVHHFHAWW >Dexi5A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:5A:2181059:2187224:1 gene:Dexi5A01G0002990 transcript:Dexi5A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSSASRCPYFPDCVILDPFAHLAPLKNATTASAKTSALFHIEVSFEVVDPPGLSRCFVNCSGLDADDLSVPAVVTGADGAFLLIRVAFPRRDDREMHTDVFIYKAGPGKPLLHLLPRPYPAGLYSDHVGVLSCGDGHCLVVIPERRFDGGGPMRYDLQVFSSETKLWTTRIARVSSDLEGYHGLLLQHEPSKVFSVGDSLAWADTYLGVLLCDVLAKDPEMRLIDLPPLMPTNKVDLGGGFVAPVRSMRAARDVICKDGWIRKVYLNHSYLRCDFSKYLSKTLALSNDTSACDETMREKIGIAIGALDSLLQTVPSQVLRAGRGALAVACMDETPGEEVLVQPLLRLPCVHAPTLRASLLGVPYTADPLSPQRRDIVLPAFVLPADGGGRAPIRVTPGCDIVGMSYA >Dexi8B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:8B:2722776:2724533:-1 gene:Dexi8B01G0003580 transcript:Dexi8B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPVAPLKSRSFSAATTREDKLARNLSLGPIKLNDHIKEARVEKLAAGETPAVPEEVNEPDFAALSAEIDAFLAAHGAGEPLPAVSEVTFDRFATAVEQEIAPSDGSDDKWVPEVAGETPPVLAAIKRIAALASALTSPDSGESSKYAIGVHRVTGVLHRVMTFVEDEFHSLLEDPRVAKTAVVSVSGETPVKSMKRPPSFNHSSAAAEPDRCVVVTSSDGTGEPFQPETVEKLRAMAEAMLAAGYETECREVFAVARRTALDASLQSLGYERSSIDDVVKMPGEALESGIATWIKAFRHAVEVDLPDERDLCRRVLSSTSGDHLGRAIFADLAHSTMLQMLSFTEAVVLTKRAAEGKLFMVLDMYECIRYVAAPAVDAFAAGDDSMLADVKHELASVLSRLGESAVAIFCDVESSIREDARKQPLPGAVVNPVTRYMMNYLKYACGYKKTLEQIFTEHRRPENDDSEHAGVEGPFAAKVMEVMKLLHGNMEAKSRMYEDPLVSSIYLMNNGRYMLRMVRGSPEIDAVAGEEWTRKLSADLGQYHKKYQRERWRRVLNLPITRIKQV >Dexi1B01G0024700.1:cds pep primary_assembly:Fonio_CM05836:1B:29939955:29940194:1 gene:Dexi1B01G0024700 transcript:Dexi1B01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPERNEEQGAAGGGRHTSSPAVYHHPPELDELESTEREEKRYLLSTDGEGATMAAEIIPGGEGRGEERFGVWEKCSQ >Dexi6B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:6B:4244692:4245507:-1 gene:Dexi6B01G0004980 transcript:Dexi6B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTNTSDALTVKFRAILKRIVSAKDAMGDAMRGASLSLAEALYVAGAPLRHVVQQSVSGPARLRVRAHQDNIAGVRLPRFESFLADGDLAGRSPPATSLAGLAGGGQQVAACRAAHARALEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTIAYIRGELDEHEREEFFRLKKIQGYKQRELERQKEAARRYAEEKAAGEVMLKRGVSMGTAESMLENGDDRDEDIIF >Dexi5B01G0028930.1:cds pep primary_assembly:Fonio_CM05836:5B:30193526:30195844:-1 gene:Dexi5B01G0028930 transcript:Dexi5B01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAILTNRFGRVTEPPVIDVVGGREREEEAVKVENRIEKPVVPLLLVSDGGRVHEIQTFAHYVATQIGFEDMNECPHLCTLSYDYLKKTQGYEQNLLAFFHNKMNPDALLVQLIEELDKCILSYFSFHWKFATHIITQVLNHEQPRRKLRRMVMEATRKMRFERVSKDLKVTRLFTTLVEELKAIGISYHNPENRPGTDVMVPAAHSDRSPVLLLMGGGMGAGKSTVLKQIMKEVFWTDARANAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTMSWEPFVLQTIAMARSVHLQRYRVGVGYKVDADRTTTEKYWEPVEEEASGDERQKQGAPRARKPYRIEMVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAAAFRKYCDLVDNARLYSTNTIAGAKLIGWKDKDSRLLVDVEEIGLLDRVSQINEDANCVHELYPDGHPTGGAGTVWEDLVASPVRTSIQQELKVAIFDSEACFPSP >Dexi4B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:4B:22030239:22035192:1 gene:Dexi4B01G0019900 transcript:Dexi4B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDKCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGTGGDSVYKYLYGDQARFFDDEIRPELRHSKTGTVAMASAGDNCNASQFYITLRDEVDYLDDKHTVFGMVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLAELIPENSPTGKPRDEIAEERLEDSWVPLDETVAPAELEEMIRSKEAHTNAVILESLADLPDAEIKPPENVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQYRQSKRNAKKDGCFKCGAPDHLARDCDQDGDQKNKGQNYVLKDENTQRGGNHRRSYDLVFDEEDADYSDKKDHENGHRRKIQRNDDQRSELPPRGGHDKNSHERTNSDEKGSRRGKDDDRNRGGRKHNDDYHSYSRSGDRRSGRYDDRDYSQRHSRNRSEEDEDDYRRRDRSDGERRHRDGYEKSERHRREVDHRKRSPERRHREDDRHRERNQHSDDRSYKERRHKGGR >Dexi5B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:5B:6482875:6483627:1 gene:Dexi5B01G0009440 transcript:Dexi5B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDDYSYTTNGGRPQRKNNPASSRPKPTPSKWDDAQKWLVGGGRADGIHGGGMANKPRNSNADDRRLLGSSSQNGRVSCSSVDGALEYNSMVVVTPPLTPPQLGEGDDDMAAETKKMMVPPQHEHGHGHGSPVAVIRSVCLRDMGTEMTPIASKEPSRTATPLRASTPVARSPISSRSSTPARRRQAEVPPPVGVATTAVSSGATTTSEPVVEEGCAAGEESHVPSANSLESRAVAWDEAERAKFTAR >Dexi9A01G0035350.1:cds pep primary_assembly:Fonio_CM05836:9A:40017449:40032672:1 gene:Dexi9A01G0035350 transcript:Dexi9A01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPVAGAAFERYQQQRGGGAAAAAANGSTVFKSGPLFISSKGIKVEGILRQAADVEEVDRRLQEYEQVRGMGSDKKERRSCCMRELAFGAALKRNGKEWRPRTEFSADEDAHIVGDCVKLYLLERLCSMFFESYHHPLSLLLAVQHYWKLSWHGADDCLCITFFITVVNRGLETKDAKINAMRSAISETFPEPNRRLLQRILKMMHIIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIITTLLEEYEGIFHDEHLRCSLSPESQIEDSATEASTDDGNLDAKGNGFHDAENDADQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAVNADESDAEQRPVEVLEGNVDLSKTRKSQQSESVDSSGEEELAIQRLEIAKNDLQNRIAKEVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSTVEANDRHHRLPGHFLQQNFVQQGFDMNLAFCNQEKQRNEVCNGSVKMDQ >Dexi1A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:1A:6985807:6986982:-1 gene:Dexi1A01G0008840 transcript:Dexi1A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLIPCLPEDLARECLVRLSFDQLPTARRVSRRWKAELESPFHQRLRRHRPLLALAQALPPLASSGPARKYAASTGFSYRLVLHDLAAATWTPLPPLPSGERGGGGGGLPLFCQLAAVGDGPATKLVVVGGWDTETWAPTAAVNVYDFLAGVWRRGADMPPPRRSFFACAAVVVSGAGGGAMVFVAGGHDEEKNALRSAAAYDVAADEWVVLPDMARERGEPRGLAVAGDESDGRFVVLGGYATAAQGRFVGSAEAFDTATWAWGPVTERVIEDGVCPRTCCVVGSGMYMLDGGGSFVMARDAGDGDGGAWRTVVRVPEEDGRVVVSAAEVVAVGDGRVAVVGSACHGGGEQKVYVLSHGEGTAAPSWTRAAEPPEFTGHVQAACCVHI >Dexi5B01G0023230.1:cds pep primary_assembly:Fonio_CM05836:5B:25457507:25458278:-1 gene:Dexi5B01G0023230 transcript:Dexi5B01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVAASTRAVAVRPLRASAASGEAVPAPAAAASETAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATIKPRKYWWGKEDRDPVGNTDDFIWNKDFLPYMERVIANGAAGAEPTITRLTPCGGGLEQGASSTDQANLANPG >Dexi1B01G0024070.1:cds pep primary_assembly:Fonio_CM05836:1B:29545336:29549074:1 gene:Dexi1B01G0024070 transcript:Dexi1B01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQQQQQQHAGSASGSASASSSSSGLHPLSSPSSFADTTHTKVFVGGLAWETNSDRLHRFYERFGDILEAVVITDRHSGRSKGYGFVGASISLVTFREPESARKACGDPTPVIDGRRANCNLASLGRAQHPVPLGRPRSAGSYFGVSVPRGFYLGGYGQHRPLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYTGQQYLPIYGVSAAANNATSQPFSQLSPSISGGGNGYLSVHGYSMPGNQLSLSWSTDVPGNHEVAPMDGANGPRAMVTLAVPLGLNPMS >Dexi3A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:3A:4624294:4624956:-1 gene:Dexi3A01G0006900 transcript:Dexi3A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARELPPQYYAAARSGHRSSSSSSAAASCVVAILFLLLAAGGGAAALFILYRPRAPAIAVTSVQLPSFASANGTVAFTFQQLASVRNPNRSPLAHYDSSLHVAYGGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVAGPAASAAQPATISVPASGPSPHVTAALLEQPPVMEVDSLLVVKGKVTVLKVLTHHVEAAKVCRIGVSPADGRVLGFRC >Dexi7B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:7B:11594484:11598373:-1 gene:Dexi7B01G0004630 transcript:Dexi7B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAETERLFATEELGGRAVYRVHAATVLAGICLVLYYRATHVPGSAGSCRAATAAWVGMLAAELWFAFYWLLTQSVRWCPVRRRAFTDRLAARYGERLPCVDIFVCTADPQSEPPSLVMATILSLMAYNYPPENLNVYLSDDGCSILTFYALWETATFAKQWLPFCRRYNIEPSSPAAYFTLPDRPNDPQALEEWSFVKGLYQEMTERIDSAVRSGEVPEQIRVNHKGFSEWNMGCTSKDHQPIVQILIDGKDRGAIDSEGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNNNSIREALCFFLDEEMGDKIAFVQYPQNYNNMTKNNIYGNSFNVLNQVELRGTDSWGGPMYLGTGCFHRREALCGRSFNKDYKEDWNRGIKTQHHIDQTEGKAKSLATCTYEHNTQWGLEIGLKYGCAVEDNITGLAIHCRGWESVYNNPPRPAFIGVGPTTMAQTILQHKRWSEGMFSIFLSKCCPFFFAHGKTRLPHQMAYCIYGLWAPNSLPMLYYVVIPSLGLLKGTLFFPEIMSPWVIPFICVLVVKNIYSLYEALFSGDTLKGWWNGQRMWMIRRITSYLYGFIDNIRMLLGLSKMGFVVSPKVSGEDESKRYEQEIMEFGTSSPEYVIIATVALLNLVCLVGGLGQFLVSGQNMLLNGFSLQIFLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLTSVGFVMLALVVPIF >Dexi3B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:3B:5987393:5987851:1 gene:Dexi3B01G0008580 transcript:Dexi3B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVNAPPSGCCTVASARSAARPPSMMKARRPARLVAVAAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGKTLRANAARKDEAQIVCPNCNGLGKLGQIDK >Dexi9B01G0034910.1:cds pep primary_assembly:Fonio_CM05836:9B:36722977:36724303:1 gene:Dexi9B01G0034910 transcript:Dexi9B01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAGAKGSAAGGKKKGAVSFTIDCTKPVEDKIMEIATLEKFLQERIKVAGGKAGNLGEGVTVSRDKTKVTVASEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEED >Dexi9B01G0042780.1:cds pep primary_assembly:Fonio_CM05836:9B:42946020:42947981:-1 gene:Dexi9B01G0042780 transcript:Dexi9B01G0042780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNTRTKQSSNSKGKAKTMAKILEEEEEDEEETEDFFSSSNCGCFLCAIKQPDARLRRASLADFFRELPYCDDDGQSSSCAAAVAAVWRAAMAAPDDPELPSLGAIRAMSLLLARSLADATWRRRGDNVVVPYYAAHAIGSFTIRSAAHAELAVAAGALRPLVALLGGAMTWVEQRAAVRALGHLASYDATFPAVARLAGEAVPIAVRAASTCVADVYSSFVAVAPASRRPKYQRELMSCGGGGLSGEEEDRKAEEWARQLQCWSLYFLSCLASRDVSSHDMICQDAVFLRELSRMWGGLANGDSPAGVGLLRILCRSPVGRGAIAACRDALSSLCDLARSSDDWQYMAVDCLLLLLDDGETWHAVADATAACLVDLVDLRHLGPRRRLGDAIATALLRDDHHVGGRELGVEAKEAIRSLRKTKVERKEREEAMSRDELMKREIIAKEKKRLGNDSFLHGDVERAIDLYTQALELCPQSRRRERLVLHSNLAQCRLARRDADAAVSDATRALSLARPANAHARSLWRRAQAYDMKGMARESLLDCLAFAGAWLDRPRRRKHTAAARGASANPKLPYCVARMISKQMSVTGLFAGVTMNGADKVGVDDCMPGCSENGDGDDEDDDEDDRDYDDESEEEFGGNAGLVKICTSG >Dexi4B01G0020870.1:cds pep primary_assembly:Fonio_CM05836:4B:22971775:22975329:-1 gene:Dexi4B01G0020870 transcript:Dexi4B01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLLNTKFYNKCKLAIKCIRTRMAPIRRKKHAMIRFLKKDVADLLANGLDTHAFGRMDGLIVELNHASCYDIIEELCDYVRKQLGSLQKQRFIHCFCLQFIRKLESTEFTNEEKLQVMQSIAEELSVSFDARKLELKLWATPETENDILEKGSRKSAELAIPLSSKQQCNEDAPFKRQNEELEKGSRKEAEVVMPLSNKEKGIEETTCERNYGARPACRTDKVEMQLNQKDIQAVADGFSLIDDNSRKQQPDKSDEKEHLRKSVSPVDTNRRNAQKDVKKLGRPSEKELLEAVELDLDGLPKKGFGALKFPEMEGSKSVHPNVRPKEAVKEHCVEKENEEVVHHHHSSQPGGPDQSGRHADSGIRGQQPENQRRPVSPLNGNTRNKAPPYARLYGENMKNPTEKQAKNDFLYGKPQHLADLGTHMQKGQGVIKKTMQLQPPYVKPKSNMKSVTGDPEKRTPSDYMKQNISGQTDHLDNKVVLRPVSVRRRSAKPQAPVDGHGEVPDNEKNATNQTHSSHTSQSSRQNGANYDHDQKGNGTGDVSVDGRTTSSRPKHPGGRNGALNHNNDYDRLMQRRQPKVDDTAIDFGNLLPRNSNVQRRHKSRHDGDLDVEERMMDKLLMHYSKKGLNPANKADNDRGAQIDSQQKLSLHPPGRGISLPPESIGTGEEVKVPARSTSLQPDGPSCVRVHPKMPDFDELAARVNALRKA >Dexi3B01G0032040.1:cds pep primary_assembly:Fonio_CM05836:3B:34381653:34382941:1 gene:Dexi3B01G0032040 transcript:Dexi3B01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRITNNKRPRRAAAATSRMRPVPVVSGDVVLQEEETRDWTQLLPDVVRKVAGDLLADDVTEYIRLRAVCKPWRSSTTDPSILNPMFFPRKWLLMVAGEKLHVDKSPERFVNVVTGGELKIHLPNPKEYTHHGNAEGLLILHHSRTDTVCLLNPLTMAFVDLPAMAAVHDADVALRGLPSDVRLDEHSIEAAGVVAEGNIPATVVLSLKSGMHTAIVCAKPGDVVWRAVDTSCADEIECELPAIEGGLSLNGRFFVPTRAGDVLALELRPQPKLTLFVARHGSGGDDGEAHEGGLNERYYLAPSGKDDANAGMIMVRSWGPGYIYFDTFAVDVSNTSVSVHEMDGTDTTVFRPSITVRSSAFPHVEANTAYLEGCMDILFHGDDM >Dexi9B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:9B:13252243:13254448:1 gene:Dexi9B01G0018570 transcript:Dexi9B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSVFTPQALSTSAPSSRRRAATAAVCLPVLRRSRLASRGVRCSAGQSGAKVPAKLVELWEAAKGAPPLAVLAGVAAAVAIFKVGSSLVAPRPPPPRRLETQTAPPPPVPEPVQVGEITEEELRQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGSYALFAGKDASRALAKMSFEPQDLNGDISDLTPMELGSLNDWEYKFTSKYVKVGTIRSAAPTEEGYASISPETQEEVTVPISVLQPDLDPEPEPIDDEAP >Dexi1B01G0030320.1:cds pep primary_assembly:Fonio_CM05836:1B:34347226:34347519:-1 gene:Dexi1B01G0030320 transcript:Dexi1B01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARVRRADLGFPAASRGPAAGVRAAEAPPSCRCHSQRRIPAQERQESKAAASAPVSPDRSSRCAAGGTGDGRQGPTTPGSSARSWLQWISGVVLW >Dexi3B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:3B:15035167:15035668:-1 gene:Dexi3B01G0020050 transcript:Dexi3B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKQIHEIKDFLLTARRKDARSVRIKRSKDAVKFKVRCSKYLYTLCVFDTEKANKLKQSLPPGLSVQEV >Dexi3A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:3A:11880263:11883663:1 gene:Dexi3A01G0015970 transcript:Dexi3A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCGAVLRRHAAVAVLRAAAAAGDLSKGKALHARLITCAHFDIVLHNNLISFYAKCGQVGLARKVFDAMPFRNAVSGNLLMSGYASSGRHKDSLALLRFFDFVLNEYVLSAAVAASAHVRSYDVGRQCHGYAVKAGIAEQPYVQNAVLHMYCQCAHMEDAVKVFDNISGFDAFAFNSMVNGFLDRGQLDGSVRIVGGMIGEVENWDEVSYVAVLGHCASMKDLVLGGQVHAQALKRRLELNVFVGSALVDMYGKCDRARDAQYVFDVLPEKNVVSWTAVMTAYTQNELYEDALQLFLDMEMEGVPANEFTYAVVLNSCAGLAALRNGNALGACALKTGHWTHLAMRIMALQGKQWKHFIVCYLLEKAGRLDEAEQFIVNNCIGTDVVAWRSLLSSCQVRKQMRERGVRKEPGVSWTQVGSVVHVFTSEDKVHPQMDQITVKLEELIDQIKAIGYVPNFAVVLHDIEDEQKEEHLMYHRKLQPDRVTFIGVLCGCARSGLLDDCKKYFEQMRTMYKLKLTFTHYWCMANLYGSVGLPEEAEGLLRSVPEDLKSRALGGLLGLCRFRGEWGTGEQIALRLIELDPSNNGYYALLCSVYALQS >Dexi7B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:7B:17518287:17525600:-1 gene:Dexi7B01G0010130 transcript:Dexi7B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAGASSSSSKKPKQKQKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKTIVLPEQSLATERAGVAVNKRGLTLRELLQQTVHYNANVRRAAMSGIKDIVVKHPTELKLHKVAMIEKLQERICDTDKVVRESLYNMLQSMIFPALKEDNAISTRSTLFLLMANILNGMTHLSIDIQLMAFRFLELVVLNFPSSFSSYAEQVHNHSARELWKYTAEEDNSGARSFAIPNLLVKLQSLVQILVNSVEGDEKFFIFNLKIAEIFLCLSEWIDNTMFPAEEFCHFVSSLFSKAKTLRNKDIMEMYLSPLITCIPGLVFNAPYESKGYLLEEKKSIWAAENDPGMLSYHDALIHELPQILLESVDKAPSVTKVVLELLLRIGQYFPTMDFENLRPFIKLFGVECDALEPLILFRTVEILQSTYKAGNLQITEQLSFLALLIARFRVHPGHLITPGDPTKLSNWATFKSLNRLILTSLSEMGDGSLVLELMWNNLSNVIAQKPSMHNINGLFRIIVTLDAGTSKLINEDVVKLIAGYLVDASLDLSKTIEVGFQPDKTRLFQYFIKPCTIIFDKNDKVLCSTLEMLKSFVTGDDDLFSSLSNLNTPGELSCRVCVVTTILIFLCNDQKLHRKLSFGKSVIKGILDYIRHQLDSSVPNVTYEEKQKLKFAFEQLKTKALQLNCWDRSEIEGLSTTK >Dexi2B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:2B:639490:639696:-1 gene:Dexi2B01G0001050 transcript:Dexi2B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIFGPPSRTSSSTTNHDRSSATCRSRRWRPAHAREGTCPPSRSTQPPPQVPASPLSPAGTTACPR >Dexi7A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:7A:18653950:18655614:1 gene:Dexi7A01G0007260 transcript:Dexi7A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPDPPDTASNVAGIDTLPGDVLVGVLRRLRAHSLARCRSVCFLWRALVDGRGLLLPHALPPRAFPGFFANARRVIKPWRHRPAFLPPPASRAPTRDRLAFLRPHLPRNATVQHHCNGLVLCFADDCLASGGTPGAGIVCNPATQRWARLPPPPTWWPRGHDGLFLAFDPAVSLDYEVLLLPVPPPPRRSSGDTTTGLRGEAPGLRQGRVTLGMFVPESFGEKRETSEEKLPPLLVFSSAARQWTKRLLAPGRCAQTRLYDRVLRRRRRRSAEGGDTDTWVRTWRSAVYRRGTLYAHCEKRILVVLRFSEGSYDMVKLPPNANADAGAGHGGRYDAGHVLSSLPVDSIFLSTEDGVLLRYVNVDVSRVKVWSLHESANVGGEQMLEWKLTHDEDLAAQARMLDLLHHAPSNCVPLATEEETNGHGSGKCVWFSDEDGEEAGNSGGDVGDGFSRRWWNWDDASLLDLDVGEDELQLLDDVGDGAPPPFTVLGCHPEREVVFLAAGAFHVVAYHLGGGKKVQYLGRVMSMGDGDRLDGVFAYRPCIVDALPHDSW >Dexi2A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:2A:24978598:24983845:-1 gene:Dexi2A01G0014850 transcript:Dexi2A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGAKLERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLREMVQECYEVAAEYETKHDSQKLDKLGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGNFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVFTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRIRAEELHRSTKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSEIPEEATLTNVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWTEERRQQWLLSELNGKRPLFGPDLPKTEEIADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPNAPKPEWRALLDEMAVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYEETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVALRPHLSKEIMDSSKPAAELLKLNPASEYAPGLEDTLILTMKGIAAGLQNTG >Dexi7B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:7B:21799957:21800432:-1 gene:Dexi7B01G0015760 transcript:Dexi7B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTANCESSSGWWESLETKAVSFNIGRETFPVPRRCASSCKNEAHWPPTPRSKSMMRMHEAVVQAISSRSAWVVVKLANWSTGERSEKDFGELEDDVERGGDAGGTVTAFGERDEEGLLEVGGGAEERLPNWGKLLIEPRQYLLCAY >Dexi3B01G0022720.1:cds pep primary_assembly:Fonio_CM05836:3B:17412071:17415487:1 gene:Dexi3B01G0022720 transcript:Dexi3B01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPATAAAAAASAPLHRRARVRQHLHPASRCSLPSRALLNSACLTGLAPLPVHAPRLPPTAVRAVSSDAAGARSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSALAELGRLLIYEASRDWLPTITGEIETPVAVASVEFIDPREPVLVIPILRAGLALAEHASSVLPATKTYHLGLRRNEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVTALDLLIERGVTSKQIKVVAAVAAPPALQKLNNKFPGLHVYTGIIDPEVNEKGFIVPGLGDAGDRSFAT >Dexi9B01G0020960.1:cds pep primary_assembly:Fonio_CM05836:9B:15616031:15617359:-1 gene:Dexi9B01G0020960 transcript:Dexi9B01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSHDSSVRTLLATALAVLGLLARPSECAARAFFVFGDSLVDNGNNNYLMTTARADSPPYGIDFPTHRATGRFSNGKNIPDIISEHLGAEATLPYLSPELRGKKLLVGANFASAGVGILNDTGIQFVDILRMSRQLHFFGEYQRRLRALVGAARARQVVRRSLTLITLGGNDFVNNYYLVPFSLRSRQFSLPDYVRYLVSEYRKILIRLYAMGCRRVLVTGTGPLGCAPAILAQRSRGGECAGELMRAASLFNPQLASALVGLNRRFGAGTFIAANAFRVHFDFVSDPGAFGFATAKEACCGQGPHNGLGLCTAASNLCTDRGRYVFWDPYHPTERANRIIVSQFMAGSLEYVSPMNLSTALEIDARLGA >Dexi9A01G0034130.1:cds pep primary_assembly:Fonio_CM05836:9A:38924255:38924940:-1 gene:Dexi9A01G0034130 transcript:Dexi9A01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRAALRLHAPTTYAAAAASSSSCCCLRRLSTHRRAPPPPRSVATGDEEWNDAWETAWLPGDSPTSSPAPAAPWESPASASESSAVPAISDEVDPDTKAFVADMDERWAERRAASRRAPSQRASRSAEGGEGGAAAKKKAQADEYRTRKQRVHAALWVKEIEKMEEARLGGGGVGADDIDRLLDSRPFNDQ >Dexi9B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:9B:739303:742172:1 gene:Dexi9B01G0001260 transcript:Dexi9B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLKPGPSLAAFSPSARRSPAPSVSFPRVSQRRLLSAAATAEGTGVPARQGEASSSTTASPPIDESPLAQYGGADWEAARVEKELGNTLTLPVLRANSGGLIVKFNSLQGFVPNPLLSPAHWCKVKLADPKRPIQDVTKDLVGTSISVKVSEVNEEERKLVFSEKDASWSKYSSQIKIGDIYDGIVGSVFPYGAFVHLRFPDGLYHLSGLVHISEVSWDLVQDVQDFLNEGDAVKVLVISVDTAKSRIALSIRQLEEDPLLETLDKVIPLEADQSSDRIMSPSEVELLPGLDGICNELLQEDGITDVQFGRQALEKRVVSQDLELWLSSVPAKDNKFTLLARAGRQFHITANFQYVPFDSG >Dexi5B01G0033380.1:cds pep primary_assembly:Fonio_CM05836:5B:33796247:33797280:1 gene:Dexi5B01G0033380 transcript:Dexi5B01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPFQLIAALLVAFLVTCHGGGIAVYWGQNDGEASLSDTCASGNYEYVILAFVYKFGKGQTPQLDLASHCDPSSGGCTGLSDDIRSCQSSNVKVLLSIGGGDGSYGLTSQGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGAKYWDSLARDLKNMGKNQLGGKGVLLSAAPQCPFPDEWDNGAINTGLFDFVWVQFYNNPPCQVSAGRGAFMDTWKQWESMPAEKIFLGLPASKDAAGTGFVPADDLNNNVLPLIRDSPKYGGVMLWSKYYDDRTGYSSDIKSHV >Dexi4A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:4A:1778324:1781268:1 gene:Dexi4A01G0002590 transcript:Dexi4A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNKTLGLLEHHCAVSKMARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQKIEVRPGIVMKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >Dexi9B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:9B:6827835:6828830:-1 gene:Dexi9B01G0010820 transcript:Dexi9B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVRERRLPQLHISLDVPSCAFRHPNPPVAAAPASTSASRADCEFRISDFDRLAVLGRGNGGTVYKVAHRRTSALYALKVLHRGDPGAASEVDALRRADTLPHVVRCHSVLPAASGGDVALLLELVDGGSLDAVVSRRGAFPELALAEVAAQALAGLAHLHARRVVHRDVKPANLLVSEDGEVKITDFGIAKVILPGAGGDHCAVYEGTAAYMSPERFDTERHGHADPRAGDVWSLGVTILELLMGRYPLLPAGQKPSWAALMCAICFGELPSLPDGAASPELRAFVAACLEKDYTKRASVAQLLAHPFVSKRDVAASKDALRRMVAGA >Dexi1A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:1A:10655972:10656349:1 gene:Dexi1A01G0011570 transcript:Dexi1A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAMNPCMQYCMTQQPLAMNPCMQYCMKQQSFAMGSSAVNPCMQYCMMQQPFTMGSFASQTSMMLQQPWALPLQQYWTQMVTQQPIMFNPMSMAIPPMFSQQPLVGVSF >Dexi4A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:4A:1523775:1524140:-1 gene:Dexi4A01G0002210 transcript:Dexi4A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKPGYEGGVLEPQHRIRITLSSRNVKNLEKVCGDLVTGAKGKKLRLKGPVRIPTKVLHITTRKSPCGEGASPFRPMIVSRS >Dexi7A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:7A:20174567:20175189:-1 gene:Dexi7A01G0009030 transcript:Dexi7A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGASRGQPMLEKRASHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGIRTLN >Dexi2B01G0025920.1:cds pep primary_assembly:Fonio_CM05836:2B:35101038:35103891:1 gene:Dexi2B01G0025920 transcript:Dexi2B01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding YASDASVLKMKERSSLCDSAADGNWGSKYKRKRSKLTVSPSTENEATSPTADSLRSHGSTKKKLKHDTNVSPSAKKIRGHDGYFYECVVCDLGGNLLCCDSCPRVYHLECLNPPLKRPPPGKWQCPRCRPKKGSLKLLGNAEADISRHERTTRTLTSTTSDSPPSHTRLSFKTRSSTQEKNGSNEQGKQSSGGTSKGVDPSMKKNEGEKKKTLILHLKKRSTKELSEDVKPSKSEFAAEPSEEKIVKQGSVVKLKKYPPRMESSPNKSKSRRQNSLRDSKRSGTKKLKYSTSDDDSISSTEPSTSLDNSESPPKRKPSDGKTPSSSTKKGKKKVKFVDKKHPEEQGVLGEKTAVPQEDQQVGRSHPRLSASDG >Dexi8A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:8A:23339392:23340315:1 gene:Dexi8A01G0013350 transcript:Dexi8A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSSSRSPWWALLLVAGVLLAAAAATATAEDDVLSSAADVDTKDDPKWCKKGCQWQYGQDTRRRKECERECRHRQGGDMDDDVEASGGECRRKCVRRYQDQPWRVQECITRCHRRHDDDVEEEDSEGGRGGQRCRERCERRHGGEWQEKQRCLMECRRRQEQEGDDSDRRGGDRCEKKCQRYSDWPAKLRCMERCQQQQQREEEDDEQVGSYCSDRCEMRCQHRHYGDRESQRRCARRCEREEGCGRRGDAGDEEEEDNHHNCREKCEHHRDYERKQQCMRECRRHHGGGGRGWEAVADAVLQVV >Dexi1A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:1A:1534320:1535393:-1 gene:Dexi1A01G0002320 transcript:Dexi1A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKRSRGERDAPRTSKPTGQQHLYLVFDDWELGYSIRELNLSNASAEQRRLPPPFIRLEATRGSPEFFAAVGTKILATHPRSDDQDILPLIDVRSRGVNLAPGELYPRHPIYIPVGDDEIFALDTHTFKMLSMKPLCLPLLEDEFSNKISVWSWHNLPMPKFKRMDITSYAVDSDGRTILASTAAATFAFDTKCHEWKKRVEWSLPFSGRAYFVHGLDVFVGLTNDVDTFGHLCFCRWFGDDDKHDVWFSKENLSSKDPAESHVVGTTLVYLGETSFCLVECVRNEDDEAVQKWLEEWDEQNDTDEDPLSARCYLTTFSLSSEMNGGLMAAKTAVQCYKVPMEASFNVNPVAFWL >Dexi7B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:7B:17453829:17454848:1 gene:Dexi7B01G0010050 transcript:Dexi7B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALLRRSLLLSLALLAAASCAATAVAAAPRLSPNYYRHSCPRVERIVSDVIAAKQRANPSTAAGTLRLFFHDCFVNGCDASVLVSPLSSSAAAAPERAAEINLSLPGDAFDAVDRAKAALEAACPGVVSCADILALAARDLVGILGGPRFPVALGRRDSARSDARDVEGNLPRTNMSARAMVRLFASKGITPREMVALAGAHTVGFSHCGEFAHRIYGYRGAGAAGFDPRLNPEFARALQRSCAGYRSDPTVSIFNDIVTPRVFDEAYYKNLPRGLGLLASDAALWEYAPTRVFAERYAANRTAFFEDFAAAMQRLGAVGVKTGRQGVVRRRCDALD >Dexi8A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:8A:16230215:16236769:1 gene:Dexi8A01G0010050 transcript:Dexi8A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGLRLQDDSENNAPSTPGSPGFSTDRLPPNNTTSRGATDPSSYSDDDGEAEVDPYVLPEDDDPAAAAAPDEEDEEGEDLYNDNYLDDYRRMDDHDQYESVGLDDSLEDERNLDEIIADRRAAEAELDAREVRTGAAADRKLPRMLDDQDTDEDMNFRRPKRHRTSFRPPSGPRTPRSDDDGATPSSPGRSQRGYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGAILGPFFQNSYTEVKVGSCPECQSKGPFTVNVEQASYLNKQHLFTLLYSCYMIFVFEETIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIVIVLHLSFVIQYTTVDIELMFSAYHFQEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVDDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDALHFEEIVSGSTSRLTHVEIKVDDLKNKAQEYEIYDLKPFFSSAHFRDNSFVLDEGRGIIRHPLAA >Dexi5B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:5B:22008406:22009751:-1 gene:Dexi5B01G0019730 transcript:Dexi5B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDGSPTYPPPAKRQKKTSTTAAAPPLGLDVLLEIFMRLPSLATLVRAALTCRAWRRAVASSPDFRRRFRETHPAPLLLGLFFDPPDATSVQAPAIPIFPTFVPARGADDRDHTAAIRGGDFFFTTLQERPGGWDIHDCRGGYILDTTHHGNAGLPEAHGASCHLCYPPEMALDARLLCSEENPKSFRVVIIAHDKPSLQVRATVFSSDTGDWSILPWVDVLPIHPPMEYKPWLLNSNMRAKGLLYWVYSNRKHMLTLDTATMDFSVAELPKLVMSRECSFAAGETIDGRPCVVYALKLGVCLFFQRIDEDGVKRWLMDKPTSLGTQLDAIFGKLKDKYSELKVMAVSDGFAYLATSMRYHCAYATTPSWVLSLCLETMKLEKLFQRPYEFESCVHPYVMSWPLSLVGNYGRFALKDSP >Dexi9A01G0033480.1:cds pep primary_assembly:Fonio_CM05836:9A:38431745:38432215:-1 gene:Dexi9A01G0033480 transcript:Dexi9A01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPHEHIEKPEIADQFHTIPYDGIGEPGGESTASRLRRRRRRTQKPSPQRPKATPLQQTEAGKVTHQIEPRSEPGGSGEEAATEVARAEESTRARGDRRGEERARLGVGGEACGVSVGQARPRWLTRREEAAWGGEAGQGRRVEGGRGGLLCAGA >Dexi5A01G0034420.1:cds pep primary_assembly:Fonio_CM05836:5A:36472983:36474930:-1 gene:Dexi5A01G0034420 transcript:Dexi5A01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRRADSSTAYTDNLYIHKFAAPSSNFAARRFSSDTQLFRYGPEPYSGEYGHMGLTGSPSAAFQNSFCSQQASLTPYHVTSDGRSLSVEDTQSNSCSDAAKESPVVSNISLQNSQSVSDTQNSEIEVEFDEDEIRLKLQELEHALLDDGDEILFEISQAGSINDEWADPKKNVLLPNSPKESESSISCAVSNSGAAQTPKQMLFDCAAALSEYNIDEAQAIISGLRQMVSIQGDPSQRLAAYLVEGLAARIVASGKGIYKALTCKDPPTLYQLSAMQILFEICPCFRLGFMAANYAILEACKGEERLHIIDFDINQGSQYITLIQFLKNNANKPQHLRITGVDDPETVQRPIGGLKVIGQRLEQLAEDCGVSFEFRAVGANLGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKSLKPKLVTLVEQDANTNTAPFLTRFREVYEYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVSGKWRARMTMAGFTPCPFNSNVISGIKSILKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >Dexi9A01G0034350.1:cds pep primary_assembly:Fonio_CM05836:9A:39120005:39120901:1 gene:Dexi9A01G0034350 transcript:Dexi9A01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLLPSIFGRRAARFGTPWVAIAASSAVTLAVSFLGFDDVVATANFLYSLGTLLEFAAFLCLRARMPDLKRPYRVPLPLPALVAMCAVPSAFLAYVCVVAGWRVFALAGALTALGVGLHGVMKLCRSKEWLSFDTAVVAAAEDYRDAET >Dexi5B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:5B:6796518:6797232:-1 gene:Dexi5B01G0009730 transcript:Dexi5B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIFRVKHHSSLFPRKYVVAIALAVIVSCSVVINVDASEVTQLHVRTEGVRGLRRRRRGRAPCTAMLTRTPPRRFTSSTRAASRAAPEDRPELVGNADDGDRSMLLL >Dexi5B01G0023980.1:cds pep primary_assembly:Fonio_CM05836:5B:26074989:26076214:1 gene:Dexi5B01G0023980 transcript:Dexi5B01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding FASTSTDKRSKVGDGLKPGLACAVFGQELNFDMGKADMIKQAKEVQLR >Dexi2A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:2A:178460:183453:-1 gene:Dexi2A01G0000320 transcript:Dexi2A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGVRPGMLRQKENNPADAQAGKRLRTAVGAGSGRQPLSAAPAPPPPPEDEPMVFAGREDVESLLNEKMKGKNKMDYKGKSEQMMEYIKRLRGCIKWLLEREDANLIEICNISGRLEAEGKQHSETVAELKNAIDDARSINEELQKQYASLQQIQKEVEAEKMDALRNLADEKTARMAVESLRNELLEDAKKNKLEEKRLTDQIKMLQDTNKRLQEYNTSLQQYNSNLQADVIKNAETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSLQSEAAKQKNDLLKEVEGLRMELQHVREDRENKSAQVDSLMAEIGTYKEMSGKTAMELDGAMTRTSALEETCSSQRDTIKTLEIKLAVANERLKELKGNIRVFCRVRPLLPNESGAVSYPKSGENIGRGIELMHNAQAYSFTFDKVFDHSASQEHVFIEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPELQDQKGMIPRSLEQIFQASQALNSQGWKYKMQASMLEIYNETIRDLLAVNRTSAQDGGSSKYIIKHDASGNTHVSDLTVVDGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEASSTGESICSLRFAARVNSCEIGIPRRQTQMRSS >Dexi6B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:6B:2182761:2184568:-1 gene:Dexi6B01G0002480 transcript:Dexi6B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAMTLALRAPSPSPAAPYPCRVAGAGAALAFREPPRFPSLLAARRRGVLARAAAAGGEPEDDEWGPPEPAVTGAAAVAEAEAPASPEASEVASLKARLKEALYGTERGLRASSETRAEIVELITQLEARNPTPAPTEALTLLNGKWILALPELVKVDEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEIRSPKRVQIKFDEGVIGTPQLTDSIVLPEKFELFGQNIDLSPLKGIFSSIENAASSVAKTISGQPPLKIPIRTDNAESWLLTTYLDEDLRISRGDGSSIFVLFKEGSTLLY >Dexi7A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:7A:30023833:30027222:1 gene:Dexi7A01G0021410 transcript:Dexi7A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSASIARQTWELENNIPAATTDPDAMDAIYRYDDASQARAQQEKPWANDPHHFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDSIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFTEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTFVIYLIYYAADKLEQAEGQLAHSRFGSMLVPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSSKASSSAPDSSGPEPMVET >Dexi4B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:4B:4180662:4181048:-1 gene:Dexi4B01G0005930 transcript:Dexi4B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTSYKSPNRLQIQQKHHLGGGRQPLVVTLNCLEDPSLEQDALSGAAAVEHAPLSALSAGRVEAAAAVLVPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEGSRR >Dexi1B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:1B:20181628:20202457:1 gene:Dexi1B01G0014050 transcript:Dexi1B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRPAAGGGGGGGGGGGGNWRRGGSSAAKEQRLRLGAEELLESRLGFAPYTDAERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFRPYFYAATKDKMELEVEAYIRRRYEGEISDIEIIEKEDLDLFVDLVHHVRVERPQDYINYIIDLREYDVPYHVRFAIDNDVRCGQWYNVSVSSSDVLLQRREDLLQRAEVHCVGEDIEDLEYTPKPEFEGHFRVKNVPTEAVTKAKLGYDPLEVNPEDMVRFAMEQPQAFKANVICPNKHQADLEKFYSNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIGNLDRDLQYAISVEGKLDIGSVTNYDEVKDAIEQKLISLRDHPIREERPLIYHLDVAAIAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRECYSAVASVANRWLDLLDNQGIDIADSELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQAPVTEEFPGRRVKKILPHGRPCFNLIEVVTTEEQFRAEDKKLAAHLAEPDVEGIYETKIPPDLNAILQIGCVDYQTSIDAGSKYVQRMLLEYRQQHPGPVVGVIECPKLHAIKAAVRALDDFPCVTIPSNARDNNYQVVQPALTYPGAYRRVSVELKIHHLAVNSLLKSSQVDEMEGGSISSFGNDLPPGSHAAETDFDDPSLCLPAFQYNYGGIQAKTQNVDSSGGDNDIDIVSSWNIAEYLPKDTQISTYFADKLLRTVSDILHHFKGKSKSESDEPASRESDRHVHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDICRDSTLQGQEWRCAVPQCGQPYHREQLENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSHFLSKMRVFLNVAASQKFQLLQDCVEWILEVR >DexiUA01G0013430.1:cds pep primary_assembly:Fonio_CM05836:UA:28050965:28052321:-1 gene:DexiUA01G0013430 transcript:DexiUA01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDCFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >DexiUA01G0022580.1:cds pep primary_assembly:Fonio_CM05836:UA:45840483:45841156:-1 gene:DexiUA01G0022580 transcript:DexiUA01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSALTRARSRSPSWLSNTNVILASTSSALTNPCCHPTTSTPTPNSSTINTLFIFCSAYKGQQSIGTPAMIASCTEFHPQCCVEVWVTVSTERDRAAMGRGSHHPEEPVARSLEPNGDLLDLVLRMAPYASETEEHDTVLWLLVEPCEARVIVLLFCLTTGSLNKWPYSIHRRQRELVPGKEL >Dexi2A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:2A:8345883:8348600:1 gene:Dexi2A01G0008100 transcript:Dexi2A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQKIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVGAPSASVAQPASAGKVAQAEGAGAGNADSGIDADLQARLDNLRRM >Dexi3A01G0025170.1:cds pep primary_assembly:Fonio_CM05836:3A:20842051:20843370:-1 gene:Dexi3A01G0025170 transcript:Dexi3A01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASTATRLLVPRPAVPFRSLLLPRRGGRLQRAVHASAVAAGGGGGGISGNSSGGGGAAKEPPRTLFPGGFKRPEIQVPALVLRVGAEEALRSGDEVAAAVARGVGIVVLEAGKEGGGRAYEAAVALRAVVGDRAYLLIAERVDVASAVGASGVVLEDDVVVLVNR >Dexi4A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:4A:2324358:2324729:1 gene:Dexi4A01G0003200 transcript:Dexi4A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRCSTLLSKLGVVVLAFNSVLAVYRSWGDAASVAFVLAADVALLLLFLCLGEVERAQQDMAAGAGRNDISNKAAVWVLTTLLTGMFASRVAALVSPAVAAVVWAIAAATSAAGFWAFFLH >Dexi9A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:9A:1852269:1854478:-1 gene:Dexi9A01G0003460 transcript:Dexi9A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEERKGETVMVTGASGFIGSTLVRRLLDRGYTVHAGVLNPDDKAETDHLVALAGGEGRLSFFRCDLLDGAALLDAARGCSGVFHLASPCTVDANELIVPAVEGTLNVLRAAKEAGGVRRVVVTSSISAIVPSPGWPAGEVRDERCWTDIDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVAVVNPGTVLGPMIPPTINASMAMFRSLLEGCTEEYADFFMGTVHVEDVALAHILVFEDPLASGRHICVESICHWSDFAAKVAELYPNYNAPKGYPAGAEVGSKKLIALGLQITPMEKIIRDAVESLKSRGYIS >Dexi2A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:2A:27156405:27156821:1 gene:Dexi2A01G0015770 transcript:Dexi2A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFFQDTGASVGGVGRTGALFQYQRLELREDGAAAPRSSRWWWLPAAVAVKGKASSSSSPCLFHVKKLKWGSITSALIPRKVAELSAKIRRVGAATTEAADVCPAVIFMSPWGLPVLSRPLLGGHIRRGHQNGRDAL >Dexi2B01G0036460.1:cds pep primary_assembly:Fonio_CM05836:2B:43288130:43293918:1 gene:Dexi2B01G0036460 transcript:Dexi2B01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPYALHLAVAALVGASFAAASAYYMHRKTLDQLLRFARSLDRDQRRRTRPLLDGEAHDYELEDSDEDQDHPPPHRDHDRRTLPIPPGLPPLHTGREGRPVISPGSSKRVGAIVRPTTPKSPVPTVSAFERIEDSDEEEDLVPDSKNDADYLATNGAIVKTPSHDEMEAYKVLQKCLELRERYIFREEVAPWEKEIITDPSTPKPNPNPFNYVHQAKTEHHFEMVNGVVHVYPNKDSKERLYPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLYEVTIDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVLWKLSSCDLCEIARNSVYQSGFSHRLKCSNTHTLSLLSLIGSGETTVEGVLRAMTSTRQMFLTSGLNFGTRYIWKEEMELIHFGNVKLPEEIDR >Dexi4A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:4A:4761742:4762791:1 gene:Dexi4A01G0006630 transcript:Dexi4A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGFPTQPQQPGTPGRARRRPDLTLPMPQREVATSLAVPLPLPPPSSSAPGGPTPPSAGAGAGAQQQQQPPPLAELERVRRVGSGAGGTVWLVRHRGTGRSYALKVLYGNHDDAVRRQIAREIAILRAADHPAVVRCHGMYERGGELQILLEYMDGGSLDGRRIAAEPFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDAARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGNYDGYAGDIWSFGLSILEFYLGRFPFGENLGKQGDWAALMVAICYSDPPEPPPTASPEFRGFISCCLQKNPAKRLTAAQLLQHPFVAGPQPQPLAAPPPS >DexiUA01G0024430.1:cds pep primary_assembly:Fonio_CM05836:UA:50889931:50901456:-1 gene:DexiUA01G0024430 transcript:DexiUA01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGPRNIYTLVVRSLLSHVCVPRIYIRAVLQGCDASVLLDDVPGNFTGEKNAGPNANSLRGYDVIDSIKAQVEASCKSTVSCADILALAARDAVNLLGGPRWTVPLGRRDARNTSAGAANANLPPPDASLATLLSTFRAKGLDARDLTALSGAHTVGRARCGVFRSHIYNDTAINATFAAELRASGACPFTGGDGNLAPLELNAPDAFDNGYFRDLVTRRVLLRSDQALYGGGGNGTTDALVLAYAANATAFAADFAAAMVRMGSIAPADGSVGEVRLNCRRVN >Dexi9A01G0024510.1:cds pep primary_assembly:Fonio_CM05836:9A:20909578:20910158:1 gene:Dexi9A01G0024510 transcript:Dexi9A01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLLVLFALLDNAASGANNVVDDGDRRSQTRFARVFSFGDSLTDTGNALHILGDRATISRPPYGETFFGHPSGRASDGRIMIDFIAEALGVPQPTRYLDGKTAEDFRRGVNFAVGGGTALDPAFFQARGLKLFGKYI >Dexi7A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:7A:20716329:20716690:-1 gene:Dexi7A01G0009770 transcript:Dexi7A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPAHSPLRLPPIHGGARRRSAAAAVTITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAVLQRGSAASAAAAVEPKLRRLLKADMVAVFRELAAQGEALLALQK >Dexi3B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:3B:6008851:6010592:-1 gene:Dexi3B01G0008620 transcript:Dexi3B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEDIDERVGLHEGEAALHAAEKAFYDILDQKLRGKRQNSKEVVDLRSLLIHCAQAVAADDRVLATDLIKKIRQHSSSDGDCTQRLAFYLVDGLEARLSGMGIQMHHKLLAKRVSDEEVFKIYSLCLAASPLLRASYCFANRTIIEASRGQSKVHIVDFGICFGFQWPSLIQQFAEQGVPPKLRITGIDVARPGFSNLEITEQAGKRLADYANMFKVPFQYQGITSRYENIQIEDIGIEEDEVLIVNSLYRMKTLGDETVAMNSARDRVLKIMRRMNPKVFILGIVNGSYSSPFFITRFKELLFHYSSLFDMFDANVPRDNETRKLIEGKLLGREAMNIIACEGAERTERPETYKQWQARCLKAGFEQLDLQTMAS >Dexi9A01G0027360.1:cds pep primary_assembly:Fonio_CM05836:9A:31928848:31930439:-1 gene:Dexi9A01G0027360 transcript:Dexi9A01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAFFKGAKLAVVDVWRQGRSYVYSLVSEFKAHTAMNSSVNHQWLAELENDDLGELDFTDPLSMQRLAESLAGELLDQPT >Dexi7A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:7A:21895334:21895906:-1 gene:Dexi7A01G0011380 transcript:Dexi7A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLSLGKAFAVLLGASSPVIIYYGAYRTGLLAKGWRWLRVMTLGGVTTLERKLSYNCAICQDSMDVLEEVRTLSCNHVFHCRKTDKCKNVIDKWLLTQPKMFCPVCRKTPRVVLPWKAPPPASPAPAASTDEEQLEPSAAAAGQEQPESSAAAAGQEQPGSSSSRLEDTAPPQSSRDLEDPLPPPSQ >Dexi9B01G0033740.1:cds pep primary_assembly:Fonio_CM05836:9B:35861980:35862396:-1 gene:Dexi9B01G0033740 transcript:Dexi9B01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHIRRPEPNGNSSKSCPFTSKLLPTNLSGRNSSAACPHTAGSRPMAQTLTNTSVREARDAPLRDKAFTADDTIQLLGGLGESGWVPQELRHGPFNGH >Dexi7B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:7B:17193253:17194384:-1 gene:Dexi7B01G0009660 transcript:Dexi7B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAALLRRSISTQHRRLILPFLSHLHRPSPQPPFPWLPPQHRFFSSADTAGDPNRKPPPLDPKQLWSELSAWDPDAGSSRLPKATWDAVVALVRGFAKSPAMCDQALALYVPSSSFPTYVRRFRAFLLPRLSLDSAAHLLSLSAEDAHALLLPAFAEFCLANFADELKEHRSVMAAADLTAPHTWYPFARAMRRRIVYHCGPTNSGKTHNALTRYAAAKSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEVKEVPFANHVACTIEMVSTDELYEVAVVDEIQMMADPVRGFAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGRYLR >Dexi1A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:1A:5413540:5414457:1 gene:Dexi1A01G0007080 transcript:Dexi1A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTPEEDAAILAAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLVTTAAAEGGDAEERPCKRASPGPGSPTGSDRSELSHGSSGGGVAQVFRPVPRAGGFDAIAAVDARPPPPPPTRDEDPLTSLSLSLPGLEQASPPGFRHDSARSHFQELSPSRSPSPPSPSPSSSSSPSAYLFSGELVAAMQEMIRAEVCKYMSGAGLRAGCGVGAVGEAGMPQLVEGVMRAATERVGFVVSRP >Dexi4B01G0023500.1:cds pep primary_assembly:Fonio_CM05836:4B:24830911:24831834:1 gene:Dexi4B01G0023500 transcript:Dexi4B01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCARAEQCGTQANGAVCPNGLCCSKFGWCGTTSDYCTNGCQSQCGGSGSPSTPTSGGGSGVGSIISESLFNQMLLHRNDAACPANGFYTYSAFISAANAFPGFGTTGDLDTQKRELAAFLAQTSHETTGGWATAPDGPYAWGYCFKEEVNGQAGADYCQPSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAINQDLLNNPDLVASNSMVSFETAVWFWMTAQSPKPSCHAVATGQWSPSSTDQAAGRLPGYGVITNIINGGVECGHGTDSRVADRIGFYKRYCDLLGVSYGSNLDCYSQRPFGS >Dexi3A01G0025840.1:cds pep primary_assembly:Fonio_CM05836:3A:21801927:21803318:1 gene:Dexi3A01G0025840 transcript:Dexi3A01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMKQLAPKHHPCSHHLLAASVLLLLVLAAAFVQECAAREGSSSGGSDDHAPLVSPLAKDPATSLYTISIKDGSGPLVIDLAGPLVWSSCSCASGGHPTLPCGSAECDAASSFEQPDHGPGAIVADGGGEAGRHVDCTCTARPCDPVTPGRCAAGDLTSFAMSANATDGRNALHPVSFQAVGACVPPDSLLLRSGAAGVAGLGRAPLSLPSQLAAARRFGRRFALCLPGVAIFGETPIYLGYYPPDLMTTIASTPLSTNPRSGGYYLPVEAISVSWPSWSVATSRVALPPGALELDAATGRGGVALSTVRRYTVMRPDVYRAFVKAFSDAIGEPGYVRTVPGVPPFELCYDTWSLRHVRVVGWDVPSIHLELGAGASMNWTVDSGNSMVQVADRTLCLAVVEMVGQEEAASHDAAAAVVIGGYQVEDNLLVFDEDREVLHFSGLLWGSGATCSGFNFTAPR >Dexi3A01G0029040.1:cds pep primary_assembly:Fonio_CM05836:3A:30353131:30359555:1 gene:Dexi3A01G0029040 transcript:Dexi3A01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEPPRRRRRLPPTAKPQEPAATTIHDLGEDLLLDIFLRLPSLPSLVRAVLACRTFLAAIRSSPAFRRCFRALHPHPLVGFFFEVDGCDVPSFSPVRRRSDPDLAAAVRGADVFLTRLPYHEDADPGWTMADCHGGCLLLLNSNTDQITSYNPLTRALHLLPMPPEKISKGRRGKFNRLDFFLLLSDETPGSFRVVCMCHDKSWLRAVVYSSGTKEWQILPWSEAAPTQPSGRKYWLRQSMRANGNIYLAHAKQAYMIVLDTMALHFSFMDLPDYLKGQAQQYMIGETKDGKLCMVFTFGFILFIVFRGVDADGVEKWIADDGFLLEEEILRVTEGTRGYWPLFPLYPY >Dexi3A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:3A:14640488:14641953:1 gene:Dexi3A01G0018790 transcript:Dexi3A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESTAAGNEVGFNEEAERERPQGSSTTPPRRSFAGGSPELDAGELHHHHVVIFPKQEASPSSSFLPIAPLFKLKVKNKQASLLLVLHQPATLVGVVHITEIETPGQGEVEYGPDPVVEETTVKQQGRHLSLNYL >Dexi1A01G0023840.1:cds pep primary_assembly:Fonio_CM05836:1A:30457014:30459152:-1 gene:Dexi1A01G0023840 transcript:Dexi1A01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDRFPMWEAALLAAVAAVFAAALGGVYVSMPHSDYSFLKLPRNLQELQVLTDHLEGYTSDYTIQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLMFFQKQVGKRREKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATSIGLIPAAYVTVRAGIALSDLRSLNDLYDPKSIAVLFLIGLVSVTPTLLGKNETQSSASANMAASTS >Dexi5B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:5B:5689860:5691244:1 gene:Dexi5B01G0008410 transcript:Dexi5B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFFQLVFFVLTSPAHNPKPGILMEQDERPAAAAAAAADNAGCHVVAVSESDEEENVEVILDYSSGGASGSEFQDPPMIPFLGSSEQEALTEESSEGITPVSNAGQDDAFRCSQNEDYENMPKGNTATTLYELRGFRPARRAIAHAMPPSSYRRLPLRSRKQPIPTRFVVVVGDAASGVADAYHPGTLEEASCPVSPTSQPDGASAMVNASHGDNDEAIDSMVILSEMDAEASSGDRESGTDAKDAAEASSSGRLLSSRSRKQRRLDHFISDPEEAMSADRAKAHRSNTALDRFLTSSVAVGASPPEQRPGWVRKNMTADGVRHKGQPGNEEAFREASSGGGPEEQPDGSARVLAIVAILGASLALSVVCFVLIYIAGQQTASGACDTHQKKVSFLMIQ >DexiUA01G0016600.1:cds pep primary_assembly:Fonio_CM05836:UA:35331322:35335527:1 gene:DexiUA01G0016600 transcript:DexiUA01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGDSAFAPTAGCAIGHHHDVAYALWDLLFILQIFSLYVTGSINTIISLEHRREMLRYIYNHQIIGAYDWLGNNPIIPELWMVPYALPTHPGN >Dexi5A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:5A:24527779:24531197:-1 gene:Dexi5A01G0020720 transcript:Dexi5A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPTATATAVFLSAAPSSFSTRRRRSRLAAISASLSPSSSDEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQIVSRIKTECPHVPLVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGIGVQGNVDPACLFAPLPVITDEIHRVVKSAGPKGHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDTLFQGSVAEELQPVA >Dexi8B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:8B:5875822:5883085:-1 gene:Dexi8B01G0005620 transcript:Dexi8B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAGGAVEQCRKGMGRRLKMKEGDLAGGSVRRGSRSLPVDRHFFAAPSVLIAGPPVIGGLPHPLALRVSSRHRRYLSTAVPHSDAIRVASTPPSLLSPEEDQSTPPSRDGPVCCSLNLCDGHVVASGVESYDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWEAETTSNQSTAPADATA >Dexi6B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:6B:5086273:5092099:-1 gene:Dexi6B01G0005600 transcript:Dexi6B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAGGQVVERFRARLREEAGVGGSGEPGAAAVVRVYAEALRELTFNCKPVITELTIIAGQHTALAARGIADAVCARVAEVPSDQILPSLYLLDSIVKNIGREYVDHFAVRLQKVFVDAYCRVHPSQYASMRRLFSTWWPVFPSSVLRGIEDELQFSPSEDKRPVISTNPHQSESLSPRLSHGIHVNPKYLEAQQKLKQANMTHQPAVHGTRQIADAEEDQINGLALNGLRGRPSSVFQKSAVQYADDPDQQEAFRSLAGTIRATSPHLLSTHPSDVILEGPLATSRRNLSRSPPRDVFPRTLSPKRALERLPPSHSVLGHDPRRVPDRNGRSRWTFDDGAQRPTISMLDEEYRKQSARELIDAYGNCQGRDADERVPKMQRLDSNGMASKSTARNWLTSEEEEYSWEDMSPTLTDRIRSSVPSFPSGTDSVGVDFNVDLKVRNESVINALYQDLSRQCKTCGLRFKCQEEHSAHMDWHVTKNRNSKNRKQSSRKYFVTAEEWLRAAETVGNDGVPAFVPSDPVLDRKEEKEMAVPADEEQTACALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIDGLERSQLGPIVHAKCRSGPSNTS >Dexi2B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:2B:29257336:29257706:-1 gene:Dexi2B01G0019080 transcript:Dexi2B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKVSLACIRRGVRQSEEADGGNPHYRGSMRGRWLPFPIEGPVGLTAVTQTRPTTPGQSPESRDRPPVDPAYYPGAEPRDWPPDDPAYYPWVEPRDWPPVDTAYYPGAESRD >Dexi2B01G0024760.1:cds pep primary_assembly:Fonio_CM05836:2B:34100204:34102992:-1 gene:Dexi2B01G0024760 transcript:Dexi2B01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRRGSDLTRSFRKNRRDCKTDWVSGRLRDGDSNQDVCTKLSDWAESDFCKSVVSISLCNVCRGVSGELMARSLVLGGDLRISKDDEDLDSSSEVWSKSHTDLFNQEQLDLDSMGYPKLPSTILRDSVILVNTFEETFGNIYGKGVWRKLSGKASSSMVHNVVALASFSESGAGEKRFFACTGFFIEWNGSTVILTSASLIRNSGEENKIVEGLRVGAHYSPTCSFK >Dexi2B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:2B:22749443:22751476:1 gene:Dexi2B01G0013730 transcript:Dexi2B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSIELPWMEMAGELPSFFEMEEDAEDEARRSRRRERTTSWWTRREEEMKTLLEEELRNPPIGGEEEEEEEEDRDIVDEEEADLDTMRMYRRGWEQSFGGSYGSFQDKTSLGPMRYTDGAIPEYASCESAVQIFSVQVTELKDGLKWPLHAYGHVAIRDLLDHNRNLLFERKRDNCQILTRQDSYLLLTGPSRAAVIIDPVAFEVDLKVKGERESEDKVLSLKYFQHSTVTSYESHVPMIRRCCPSKRCMLEVKFAVLYQAVEATVVSTKVVRGSWMDHYRGQVVCRTASASEEDIVLLDSRDGSMHVNSDGAIELSRSVVSVELSGLLIFRVVASRVNDKMDVIAENSAILTPMVGGKSKSTCDLGFCEVEITVAWSLFSILEDLRRHNIL >Dexi5A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:5A:18378504:18380648:1 gene:Dexi5A01G0016550 transcript:Dexi5A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPDEETPLIQYLPPQLLNPEPASGTEIGESLAFSGIQKNLVTYLTSVLHESNVDAAKNVSTWLGSCFFTPLLGAFLADTYWGRYWTVVIFISIQALGMIALTLSAWLPLLMDSSFNSSGIHRPAVYVGLYLITIGSGGIKPCTSALGADQFDGADPVERVNKGSFFNWFFFSINLGSLLSSTVLVWVQDNVGWGVGFAIPMVLTVFGLAVFVAGRKVYRYKKLEGSPLTRLSQVVVAAVRNHNLTLPEDSSALHDEVPSPTEANRQTAHTCQFRFFDKAAIVAPPSSGEKGSASTMSPWRLCTVSQVEELKMLLRMFPVWASMVLFFAVTSQASSTFIEQGMAMDNRVGPFTVPPASLSIFHTISITIGIPVYDAVLVPLARRFTGDVRGLSQMRRIGVGLALSVAAMAIAALVEASRLAATSTSGAAATSIVWQAPSFAVLGAAEVFTTSGVLEFFYDQSPGGMKSMGTSLAHLAIAAGSYLSSALLGVVAWATARGGAPGWIPDDLNEGHLDYFFWLMAGLSVVNLLHFVHCSGRYRGSKAAA >Dexi4A01G0023590.1:cds pep primary_assembly:Fonio_CM05836:4A:26632173:26634516:1 gene:Dexi4A01G0023590 transcript:Dexi4A01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFLQPQQQVGSSSFPRERSSSNVSLSSLARSASGGGGRGATRGRRMMRRVCRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWQSRRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEVEATNGMPRASIDKLPESWITEEYKRDAVGDLSGCSVCLQDFQVGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >Dexi1A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:1A:661167:670820:-1 gene:Dexi1A01G0001040 transcript:Dexi1A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGGPSGSSRSSPASSPRPEQAQPGTPASAQQQQQLGFRSQGMMMHNHEQQQAYQSGAPHGMMGPGGGGNFPQSSSPMPPFQGQRNLPLSGVPQGMVGGQVHNQVAMQQQYLKLAMQQQQQKAAQGMLLQQQAKMNMPGSSSRDPDMVNNPAKMQEFMALHQAQMFKRQAEHIQQAEKQKEQGQPSSNEQRSGDMRPPMPPGQQLPSVGMMRPMQPMQGQVGMGSAGGGPLTPLQFQAIQAWAKENNFDLSNPANMSAISQLLPIWQSSRMAAMQKQNEASMAAQQQATPSQVNSDTPGHGNVPSQGAPSKPRQPLPPSSVSGGEEAKRGDRTLPPEVLELIVSGRAPDSQGQQISGPQATHNRERPGISSADEHGRHMESSDKAPEKPALSKGPCLPKVEVSASEDKASPSSGPGPMQVMKASPKESLKIGPVSVPEPSSATMIKSEQDLERGVQRTPGRIDCSAERGKSLPAESGSTDAEQAKRAGSTSSAPAPRDVPRKYHGPLFDFPSFTRRHDSLGPANYNSNLSLGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIRPDLVLRLQIEEKKLKLLEHQARLRDEVEHEQQEIMAMPDRIYRKFVRQCERQRLDLARQVQQMQKASREKQLKSIFQWRKKLLETHWSIRDARITRNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKSQQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKMSTEVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNFGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVLAMKFNVLVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFQDWFSKPFQREAQTHSEEEDDWLETEKKDSIVLRCRMSAIQGAIYDWIKSTGTIRVDPEDEKKRAQRNPMYQCKTYKNLNNKCMELRKVCNHPLLSYPFLNHGRDFMIRSCGKLWNLDRILVKLHRAGHRVLLFSTMTKLLDIMEDYLQWRRLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETVHDVPSLQEVNRMIARTEREVELFDQMDEDFDWTGDMMKHHQVPKWLRVSSSEVDAVVTSLSKKPSKNMSSGGIALDTNDTPEKRRGRPKSNGKYSIYREIDDEDLEESDEDSEERNTTTPLPDEGEIGEFEDEEENDDSVPDNKDESEEEEPINDDGYNFTDGLRSRKTNRMEDAGSTGSSSGSRRLPPPAPSSSSKKLRSLSALDARPGSLSRRTPDDLEEGEIAMSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRIRPRPNAEKQEDRSGGEGVFPQRGTYLAFQGDSDYNSQFKSNLDPRAFADPAARQQDAIHPVVKQKRNMPSRKVSPASRTGKLTYLSGAGEGSAERSKENWNSKPIDSATPEFRSTKMSDSMQRKVCTQTYAMCNTAFGVCKNVISKLWRRIDKEGHQIIPNISSWWRRNENSSFRGLAGSTLDLQKIEQRVDGFEYSAVTEFIADMQQMLKSVVQHFSFRHEVRIEAETLHNLFFNIMKIAFPDSDFSEAKNAMSFSNPGGAASGAAGPSTKHAALGHKRRASTSEAEQHGSGHSSRHSEVPSRPHSSRSERDSRHSGSGSRDQPPDGAGLLHPSDMFIVKKKRQERARSSIGSPSSSGRAGPLSPTNPGRPGPLPSPRGSRTPFQRDAHPSQQSMHSAVWGAHSDHGGGSLTPGIGDIQWAKPAKRQRTDTGKRRPSHL >Dexi5A01G0022080.1:cds pep primary_assembly:Fonio_CM05836:5A:25988079:25989529:1 gene:Dexi5A01G0022080 transcript:Dexi5A01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILVLRRCNAAGGGGEDEGGGDTRPRKRRRGDEFFPVELLGHVPASGIPYAAYGFRWNEEPEARAEAAQLPAAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPVRPPVPVKTEPLVHKNGVRHDRVAISDRSFALSELDDDDEEEAMVARHPPQRNFGGSRYLASCSTLTSVHDEPYNKYHRKKVMLRHYYEEDDYRKEVMLRHYYEEDDEENEDDEEEDEEHEEEKEEIFHCTEKFVYGDIVWAKLGKRQPMWPGVVVDPTHEASAEAMPPRPHGVSVVCVMLFGWCTEFSDEKKYVWVRQGLIFPFADYMEQFQGQTELSSCKPADFQRAVEEALLADQGFSEVLMDCSTKGQPVVSRSFPDDLYEVTGSNELECQPQIKAS >Dexi3A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:3A:1016781:1017242:1 gene:Dexi3A01G0001470 transcript:Dexi3A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYPVQLLHRPGGGGGQWRNLGAAYAAVTFLRPQGQSLVLYAAGPDGQPQQPQRIVLVYPILPGDAFERLDGATMSWAEPESGEEFALCFLDDAACAAVCGAIAPVVRSPAVDGIAETLAGLRVAREEDAPAPGGGDIAALLAQLSIGRR >Dexi9A01G0034440.1:cds pep primary_assembly:Fonio_CM05836:9A:39199884:39200295:-1 gene:Dexi9A01G0034440 transcript:Dexi9A01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRNLSVVHLLVVLLLISMAAGESASVGGFGCNNHLSGSFKGVCLGLIHDAACYHACIDESIDNIYGECDLLQCWCQTRCPFESVATASAPIPA >Dexi1A01G0030410.1:cds pep primary_assembly:Fonio_CM05836:1A:35744254:35746292:1 gene:Dexi1A01G0030410 transcript:Dexi1A01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRITTRRRPLLHVPRALFSSNSPYPPPPPPPPPPANDRDAAHSPSSSSPHPNPGAPRDSSFTLFADIRERIRSSPPSPPLPRRTPVNSPRPNSAPSKNVDDVRRALESFRGSGDASSPSAPGARPSTPGAAPSFIDLLKNQGPNNGQGMPGFSSIREKLKTSATLQRQPLQRQTPFMTPVSNGIFNKELAAKAEGPGKGEGKDSGIELMRNYTYEDLGKRLGELRPPGAAKDGKEWFSLEELQGRIAKLVELEKQEDQFRGQYNDLRRSIYNITKPAKQAQPVSMPMLLNLGGQLTQDYTRLPPQEELLERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTLKIKHLSAVLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSKPTTKAKAKKKKSKSKKRKMRA >Dexi5A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:5A:8490601:8492365:1 gene:Dexi5A01G0011320 transcript:Dexi5A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAQARRGKSQPLDGEETAPRGGRGVGGADLICLLPDEILGSIISFHPTEDGARTQILSSRWRHLWRSAPLNLDASGIGGRVTEEVVSRILAEHQGVARRFSVGPSTLLDDYPSLTLDGWRRSPTLDNLHELDITLSPLIVSIPRCALRFSSTLRVAKFNCCQIPNDWAHQHHLPSLQHLALDTVIISDDSVHALLAGCPALERFELRDTYEYSQRPQMLPSALHFSSILRTAEFGHCQFPAIIAHQIHFPNLQRLELQNVTISKGLHDVRMATEMRTVKVLALRLSSLRLNVTINLMRCFPCIEELYIETHKARENMKRHYSQDPIECLDHHLKKLHISSYSGKRSHVEFVKFFVLNASVLESLVLDVPFEMESGWCIETQRRQLQIEKRASIGAQIDFNFGGCFSYLSDD >Dexi2B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:2B:3336003:3339613:-1 gene:Dexi2B01G0003850 transcript:Dexi2B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADQATDLLQKLALDTTADAGDVLATKEKVPAKGAVAAVGNLQPGVEALQVQDYNDATMYYGAYPAYAYGAYGAWGDYSTYLSHDGAQTPTSGAYADMYYSYAPYGVATLGHDGQIYGSQNYQYPSTYNKQQNSTAKLSSNVKSEKLAPSPQGDVSSNGIDGVKSLKNSNSSLKSERPVSNGSYGRSSGRSSYQNETNWSAYPYYSSEMFSDKQQKFNSNRNSTTSNVKTKGQSRNQNTRQYPHLMTPTSPMGSPSVYSANGIYGYDGSSYGSGLCEDDVHKSIKYNVWASTPNGNKKLDAAYQDAKEKSSESPVFLLFSVNASGQFVGLAEMVGRVDFNKTVEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNSRDTHEVRLEKGLQVLKIFKDHVCKTSILDDFGFYDNREKMMQERKAKQQQSLKKVIDVKVANTDDAEKKSLNGEAGSTELTKVEVDVLNKESALDQAGEKIDVKENGVAPEVLKSATEKLAGTDGC >Dexi9B01G0037050.1:cds pep primary_assembly:Fonio_CM05836:9B:38530457:38533640:-1 gene:Dexi9B01G0037050 transcript:Dexi9B01G0037050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLAAHGLGSEVVILFRKMKSEEVVPDGVTFVALLTACSHCGMVEEARHYFKSMARDYSITPEVEHYGALVDTLSRAGLLDEAYNFVRSMNVKPDNVIWRALLSALLHGLSERAKVEGYAPLTELVTKDVSEEEREENLTFHSEKLAVAYSVLKTGPGTEIMVSKNLQTCSDCHEWMKTVSKLELEQLKSLHTYNAEEKISGVKTEWPATILVFDIETSGFSRRDDRIIEFAVRDLMGGKNSTFQTLINPDRDVRNTYVHGISNSMLCRPDVPRFGELIPILLQYVWSRQMDGKPVLWVAHNGRSFDVPFLIFEFRRCKVEMPGDWLFVDTLPIARQLVDSKGSKLSSVSLEKLRERYEIPLTGSAHRAMQDVTTLCYVLQKLTFELKLTVPQLLEKSFRPSDLPASRPEK >DexiUA01G0013070.1:cds pep primary_assembly:Fonio_CM05836:UA:27209440:27210190:-1 gene:DexiUA01G0013070 transcript:DexiUA01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAVLAILSKLQSVGFCADIRVPDAAAVSDPSELFNAVLAVFLREVSIGGREARPIPAALGDGRCVDLLRLFLAVRAVGGYALVTSSPGGWAPAAVSAGVDANLAAPVKLLYAKYLGALDRLIQRLEEAHGPFLDTDMRKRQKLFIGANGVDEEKALLRCDGMEQRHVMLKRKRGDMVGMLRWVREIAENAGDGGAVVAGSMDDYFSMALEVRKVVTRKKVHRGSMVNGSNFQANV >Dexi2A01G0022850.1:cds pep primary_assembly:Fonio_CM05836:2A:34631831:34633169:1 gene:Dexi2A01G0022850 transcript:Dexi2A01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGHIKRPQDEDIQSNVLEIIGTNVQSTYITCPADPSATLGIKLPFLAIIVKNLKKYFAFEIQVLDDKNSVTRVKPYICTMPLKLDERWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRVYFSDRLYSEEELPPEFKLYLPIQVSTCLLLYLVIWF >Dexi1B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:1B:12256482:12257298:-1 gene:Dexi1B01G0011790 transcript:Dexi1B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRRRTSKQIGKVKTLLGLALTRLAVAFRPHVARRSISSSDVGQLLALGHLDRALHRAEHVIEEDNILEAFNIVELYCNHLIENAKQLDKPHECGEDTREAVAGVMFAAGWCGDLPELLFARTILENKFGGDFAMMAKDGTGVVDPMLVWKFSGNKRNMELKKNVVKNHLDTWI >Dexi5A01G0025680.1:cds pep primary_assembly:Fonio_CM05836:5A:29497643:29498297:-1 gene:Dexi5A01G0025680 transcript:Dexi5A01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPCSSNNNVSLVLALGFAAFVVASAATSHGDVFYVGDKDGWVSKPAVSYDRWAASHRFKVTDTLVFKYKKGADSLVVDRRHYDACDSRDPIGELRDGDSAYVLGKTGPVYFISGGAVRCKHGQKLMVVVTAEPPVGSQAPSPSPSLAPSTSVAAPPAYYVAESPQTSPPFQGSP >Dexi2B01G0033250.1:cds pep primary_assembly:Fonio_CM05836:2B:40898542:40899007:-1 gene:Dexi2B01G0033250 transcript:Dexi2B01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYATVKTSVWWDIENCHVPRCSEPHLIAQNMSSALAAAGYTGPITISAYGDTNCVPNHVQYALSSTGIALNHVPAGTDSPPAVPYSPTGQPPLSLEA >Dexi2B01G0026970.1:cds pep primary_assembly:Fonio_CM05836:2B:35984999:35985386:1 gene:Dexi2B01G0026970 transcript:Dexi2B01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHSPQHAFSSLLPLAILVAELVGHGGHEAGLAGARRVVLRGSVRSASKPSASVHGGAPDPTGNRDQSPPGTKESTLVVVVAEGEAETGHVASQIEEAVLDVDEEGGG >Dexi2A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:2A:8576169:8576834:-1 gene:Dexi2A01G0008410 transcript:Dexi2A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWSNLANRHEEALRTLSAEHDIIKEELRVAVQQRKDADAQLIRIIEQQKKLAKDLEDAREEKSQLSEQLVQTRKNLADKKALDEKLEQATRRMSELEESLRLMKKSDDDLAEALDRISLLEKAANPVVKALVPEDPASPQSFLERLKAMPRQLKAYIKRSSKVCLVHVLAVIVIRSRYPEVDIGKLVEGAEPNCTEAAFRDLKQEAEPVAEAIAQSLRL >Dexi2B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:2B:25702987:25705919:-1 gene:Dexi2B01G0015620 transcript:Dexi2B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDASYLLCAEDSAAAFFGAAACNTDDDDEDGCCSSAGEEESAAASIAELIGGEADYSPRPDYPDRLRSRSIDPAARADSVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPQEDWWAMQLLAVTCLSLAAKMEETLVPSLLDLQVEGTSSYVFEPGTVGRMELLVLAALNWRLRSVTPFTFIDFFACKVDSSGRHTRCLTARATQVILAAMHGIEFLDHCPSSMAAAAVLCATGEIASLESVSPGAAVSWCIGLAEEAISSCYRLMQQLVIGNVQTREASITPSAAANLCCSDEALSSHSSSFSSPPPAKRRKRSPPGI >Dexi5A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:5A:14263318:14263716:1 gene:Dexi5A01G0016050 transcript:Dexi5A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGQRSSEPTCGGALPSPAASATTWAAAFLLQEATGDSECDDLAGVVAFDADDGDAESCSGGDEDDCSVERIDERRIVSWECWMMESAGVVVVGGEAARPASTEADSAATVAGDEDSDRLFWETCIAHGY >Dexi3B01G0037900.1:cds pep primary_assembly:Fonio_CM05836:3B:40754813:40757718:1 gene:Dexi3B01G0037900 transcript:Dexi3B01G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLEGRQPVDLTKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >Dexi6A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:6A:5605134:5605463:1 gene:Dexi6A01G0006020 transcript:Dexi6A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFLVMLFGASVGITFSDATGSLLSFAGVLTAANLIAAGVLVPDGPAAFEPFVRRHVTVVGLAMASCAVTAVSGEAGSVLCFGIFALLLFGLGLINIGVVVGQ >Dexi5A01G0036480.1:cds pep primary_assembly:Fonio_CM05836:5A:37982196:37983190:1 gene:Dexi5A01G0036480 transcript:Dexi5A01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDLGNQQSTRPSPRGSAVTPLKLKATAAEPQNLAHHLHHKAEVDSAAVDRKTARHAEMQDLEHAHHPTAPSPY >Dexi9B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:9B:8886827:8889257:1 gene:Dexi9B01G0013220 transcript:Dexi9B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLTAPALLDRCRCTPRSALAAKRDTSMVVLMLLDLPSSSISSPSLRRCSAAFRLRWTPRFATEPERVLHLLAVHPPELPRDELELVGVQRERLHLRERPDGARRRRQAHAGLGVERPRQQLLAAEVPFLEQAPVVEPRAPSVGGDALEAATDDEEHLVHRVALPDDVDVLRAQAGLEPLADGVEEALVHVGEEGDAADEAEAEVALDVAAEVLGEVLDERLLVDAVGVEPLVLVVAADALAELRREEAVVHPLLRVALLDAHLLEADGEGLEVGLDVADEHGHEDEAEDGERDGEEGLAGVDGGLETLPQGAQVGQAPPEAVEQLGGRVLGLDHFGLDGQRVDAVVEGGVAVPVRLAGLVDHLPGDGAPLQEPQLPVVAAVPEEVVGGAGVGGLGEGAAAALELRGDGVDAHDARDVLEEDDEEQAVLGVEHGGALGAVEEGPDDHLRERDHRQEVGGEEPPHVAPRDLRGVDDELAAAEHPRRGLDVGGAELQRDADEEEEVDEGAQDGGGDGEPPVDADAEVALVGDHREKNMGSTAMATMQEMRKARLRPTSIRSCGSKIRREVFLRSSWNTAAMVAPARIDLPIVRSCAEPCVITPRASDSDGMNRRRDGLTPAPAPTPA >Dexi3A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:3A:10162952:10165382:1 gene:Dexi3A01G0013900 transcript:Dexi3A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGFGRHVGGFFNASNALMAASLSTASCAEEMQKAEGGGCRDDAAALRLKEVAMAAILVAGVIGVGLPLVGRKRRALRTDSAAFMAAKAFAAGVILATGFVHMLHDAQNALSSPCLPADPWRQFPFPGFVAMAAALATLVLDFLATRFYETKHRDEAARVKAAAAAALAAVSSASDEDITVVTVVEDEHKAPLLQTHCHGHSHGHGHSHSHGQELVQVEAREGEVSEHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAVLMASFFAITTPAGIAAGIGVATFYNPNSPRALVIEGILDSVSAGILIYMSLVDLIAADFLGAKMTGSLRQQVMAYIALFLGALSMSSLAIWA >Dexi3B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:3B:8114128:8121127:1 gene:Dexi3B01G0011560 transcript:Dexi3B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARESMATLLNAGLFGSAQTLGCFLVSSAGASNDAGMSMKVESLVLHGDALYGEKEFRRALNAYKQAMQYSRSIPRQATSSIRSSVSATGRSPSPNSSNILSFNENEVKFKIALCHSALCEHREALQEMEGIPSKVRTLKMNLMLGKLYRISKNIRSAAACYKECLRQCPYVFEAITALAEMGLSTKEFSLLFSQAPNRGGKLPSDSVDAQRWWNRYVEAQCCIASHDYKGGLDIYLELMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKTKSDYIKLNKLVHDMLHIDPARPETCVALAAMWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALAKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVVEGRNREAVMLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALR >Dexi5A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:5A:11206958:11208911:-1 gene:Dexi5A01G0014280 transcript:Dexi5A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPSGLDLIFTSVSATTVSSMLAVEMESFSNSQLLSMTLLMLLGSEVFTSMLGLHFTYTKLKKTERASHQLDGNNGPPESSLLELSRPMGAPASVTQDQMEIGLNNNDQRDLTSIARLLTFIVLGYLVVVHLAGYTAVLVYLSAVAGAREVLLGKKINRSTFSIFTVVSTFANCGFVPTNEGMVTFKSFPGMLLLVMPHVLLGNTLFPVFLRLSIRALERVTRRRELSYLLNEEGEGAHVPAAASAIGYEHLLPSRRTWFMALTVAALLAVQLVLFCAMEWSSDGLRGLDAFQKLVAALFMSANSRYSGESVVDLGAVSSAVVVLYVVMMYLPPYTTFLPVPVEGHNKQRESQSDEKSKITANKSIWQMLLMSPLSCLAIFTIVICITERRQIADDPVNFSVLNIVVEVISAYGNVGFSTGYSCGRRVKPDGSCRDAWIGLSGKWSREGKLTLMAVMFYGRLKKFSLHGGQAWKMD >Dexi3A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:3A:5288053:5288796:1 gene:Dexi3A01G0007630 transcript:Dexi3A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSSPPSLPSSSPSSPATAAAAGGGGCLPADDQSCLVASRHDATPSGATTTTPTTTARACCTTTSYIAVLGISFGSLLGILLILCAIRWYLVRRSAAGQDAAAGGVTAAANEPEKKRSTGLDADAIAALPEFVYRKDDEAATGGEERECAVCLAAMAEGDAARLLPLCMHVFHRGCVDVWLKEHSTCPVCRAEAAVVMRHAGEGCADKEQEGGTSRASTSAAGQPPQDRLLLDDGERDLEAQL >Dexi1B01G0024920.1:cds pep primary_assembly:Fonio_CM05836:1B:30108311:30108750:-1 gene:Dexi1B01G0024920 transcript:Dexi1B01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAVYITTSFFGFLLFGDSTLDDVLANFDSNLGIPYSSFFNDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPLSGDNRRFAVITTALLAVIFLAANFIPNIWDAFQFTGATASVCVAYIFPAAITLSTT >Dexi4B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:4B:9166661:9167026:1 gene:Dexi4B01G0011700 transcript:Dexi4B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDNGDDGREGGDVMERCCLEMEEAMNSVYRQNRVADGSIRPLEIRVVRPGTFDELTDYAVAHGASMGQYKVPRCVKAPAIIQLLDSHVISRHFSPALPHWAPAQMFNPTDGNKCSSGSS >Dexi5A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:5A:14150988:14152391:1 gene:Dexi5A01G0016020 transcript:Dexi5A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSILLFAAVLLLNSPVGFCGCYKRIFTFGDSIIDTGNFAFTAGNNPTPIKQLPYGMTYFNRPTGRVSDGRVIIDFYSQALGQPLIPPSIPQEGSGQFPTGANFAVLGSTALSWDYYKTKYNFQMPAPSHLDLQLQSFKKSLLGESLVVMGEIGGNDYNFWFFNPSNPREMANQYMPDVVSRIGAAVQEVINLGTKAVLVPGNFPIGCVPQYLGMFQSNNPSDYDEHGCLVWFNDFSQKHNQLLRQEVARLRSQNPGAKIIFADYFGAAMEFVTNPSRYGIDDPLVACCGGDGRYHTSKGCDKTAKIWGNPSKFASWDGVHMTEKAYSVIANGVINGPYADTPLLKAC >Dexi5A01G0039510.1:cds pep primary_assembly:Fonio_CM05836:5A:40106237:40109199:1 gene:Dexi5A01G0039510 transcript:Dexi5A01G0039510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAVTSRARRGAAASSSSRRRADPAALCRERVALIRAAADRRYALSAAHAAYFRSLAAVGDALRRFAAAALAPATPAPGSSPVLRLPPSPAKPVAASAAATASSLPPSPSSSSTVSPLSHSLSDDDIVEALHDASHARDKASSSSTRHHHHYMRRSPTVPTVVYDDPNAQVQYTQADASYGYGYGYGYAYPYGPYGEAVAGETPAEAAPMPPGPPPSPPIAQVSPWDFFDPFTQYDQFMEDYSRGNLPTNSPNYAELKRMEGIPELEDEAELEAKTAAESSKPSTSGVADQNAKGKGPIADNAASTSNPSGGKLQRKESEPSPGVMLQSKGSETAPEANVEAGKPVSRNDSVPSNASSKNKEGGNNTASLKGTVSGDIGGSSTSGKTKAVALDEEQSIRAEGGGDRHGKSVHSVVSSEPFSPLHHGTRDVKEAMNEVKELFDEAVNCSTDVSRLLEVGKMPPQSTPRVLRYISSRVVDPLGLTMATSSCLPKPHGRRSRASSSNANASASSSAGQRNGIGHLSSTLEKLWVWEKKLYQEIKDEEKLRVQYEKNHRRLKSLDERGAESSTIDSTRQLVRLLRSKISINVRTANAFSSKIQQIRDEELYPQLVDLIQRFRRLWKGILECHEKQLLAIHDSKIHQLKAMTISQSGVASEASRELERELTKWYRCFNKWISSQQSCVEALNGWLKKWLPDPEAPEEDTADGVPPFSPGRLGAPPVFVISNDWFQAIEMVSKTDALRAIDHFSKLVHEFKKSLEEEQWQKRKADHASRDYNRKREVLQQELGLSTMENPHYSHDDRVMDLERLRKRSDEERTSHEKMLNHAHVAASATLPIGLVPVLQQMTSFFQKNQQVYMRIRIQGT >Dexi9A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:9A:6125104:6126917:-1 gene:Dexi9A01G0010090 transcript:Dexi9A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVKQAILPAAAPAPEQRRASPAGMLRLSLGFLILGVGVGLPAFGLFLARHSEAVAAAAPSLFRPCVVAPPEEEAAALELERWIRPPSRARHAMTDVELLWLASFAPRARGQQGGSSYPFRRVPKVAFMFLAHGPLPLAPLWERFFRGNEGRYSIYVHTMPLYRANFTSDSVFYRRQIPSKAVQWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPLFDFNTTYQYLQNSSQSFVMSIDDPGPDGRGRYNLNMAPEVEFEQWRKGWQWFEVNRELAVSIVRDTIYYPKFKQFCVPGCYADEHYIQTMLTIEATHSLANRTVTWVDWSRGGPHAAHPATFGRGDITDEFLRGIREGGTCMYNDQHSTMCFLFARKFAPSALEPLLELAPTVLGFG >Dexi2B01G0022690.1:cds pep primary_assembly:Fonio_CM05836:2B:32289129:32290728:1 gene:Dexi2B01G0022690 transcript:Dexi2B01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVLAAAGVLIVFLFAVKNRRRSKLPPSPPSLPLIGHLHLIGRRAHRSFHELHLRYGGRGGGLLFLQLGRRRTLVVSTAAAASDLFRNHDLAFASRPRTVAGDKLMYGCRNVSFSPYGEDWRRGKKIAVVHLLSPRRVESFAPVRAAEVAALVARARLAAVAGDAVELRELLYGYTNAVVTRAATGAAGATAEKLKQLLGNSSALVAGFQPEDVLPDAPARFVRWATGIDKKLDDMAEAWDKFLSEIVAAHEEKQRGGAGREDDEDFLDVLLRLREEGAGGLELTDDRIKATVEDMIAAATETSSQTLEWTMAELVANPRVMAKLHDEIARVATADQPAIVESDLNKMEYLRAVFKETLRLHPPAPLLVPHESTTPAVVQGYEVPAKTTLFINVWAIGRDPAAWGDAPEEFRPERFVLGGGDAPVDFRGADYQLIPFGAGRRMCPGINFALPVLELALASLLRHFDWELPVGMRAADLDMDEAPGLTTPRRVPLVLVPRCKTLA >Dexi7B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:7B:18098826:18102824:1 gene:Dexi7B01G0010900 transcript:Dexi7B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAANAAATSGDGAPSSPRELYTIPASSGWFRWDSIHDTERRALPEFFGGAGGAGFGTATRNPRIYREYRDFIITKYREDPARRLTFTEVRRALVGDVTLLRKVFAFLDASGLINFSASSSSGPASRQQEVGVVVEAPVGLQVTPRPPAFYFAEEKRRGAGGDKENGFRLPPLTSYSDVFGEWAPGKAPICGFCGEECSGEKVKTLQIDGNEDGADKSAEEHRTKRKRLFSSMDATTSLMEQLALLTTATSPDVVAAAADAAIRALGNENPQARKAFLLSEREFKNKALVSNHVQQIDRKVGNNNVEMHGQTGSDKKFMANAYQVRAAVATAIGVAAARAKMLADQEEREMELLMASIIETQLRKMQYKIKHFEELESVMEQEYTIIQQMKLSLLNEWLKVLEQAYRSGMSLPRDELLIKLFLNQHAP >Dexi4A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:4A:630106:633213:1 gene:Dexi4A01G0000880 transcript:Dexi4A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTRREVAIPDRPSKKTCHASSSTSSFVSCTDVWADLTDGLLLQIIALLSSFDDLLTLIGTCHSWRAALSSLPPALSFNFPPLHLQADDYDRHPHHNYFKLSLLSSIKWQLVNPAKKMSPRRCSVPQNIRVRMRFFGCSYGYLIFFNMEECLLVDVYSGAVVKPPKLKSTGNHDIYCGFLAAPFNSSNSHLLFCSKSSMFLWQVGSNSWSEHPLDVQDILQIVLFKGEMIAMDLLGRFHRMRLVPQLSVQQVAVMWEEDMVVGQSYKQWFPGLEAVSINSKAFGWYPVLFMALGPGALPAGHVDLLAGRQLGFSAARRPNHLPLNKMEEKASRIRDPSRVRRLLERDAQVTPALPWPAAAAAIPDNKHGRCFRLRHQKNHHRWNPPTSGRLLLLRKPAMPHQALHLLPWLALMFGQIFWTTCFTK >Dexi2A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:2A:31853168:31853800:1 gene:Dexi2A01G0019680 transcript:Dexi2A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFLRPEQEWTRGGHVAARAGLVPEWTDGRAAGQGLVLAPTPSRAVKHPHMTPHQSLTIRPSLVVPLSHLASRVQTPEAIDRGHRGGHGSGRPRRHTGRSRIIGRDTRDALRPSRRSLVVRSLVWSPRLINGGG >Dexi4A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:4A:20052337:20054027:1 gene:Dexi4A01G0016400 transcript:Dexi4A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVTKLSEGPVRPSAATPSETLPLTWVDRYPTHRGLVESAHIYRGVANMLPSPATVGDALQLLEAPEKKKTRSSPAAVADALVHYYPFAGRIVEDVPGRPAVVCTGEGVYFVEAAANCTLADVNFLERPLLLAKEQLVPCPTPEQWPVEPRNCPAMIQVTTFTCGGFVVGLRTNHAVADGTGVAQFLNAVGDIARGLPEPRVKPVWARDRFPDPDIKPGPLPELPVLALEYIAFDFPATYIDKLKSQYAAFTGGNICSGFDILIAKLWQCRTRAIIVDAAPSADVRLCFFSGVRHVLKLEHGYYGNAIFPVKVSASAEKVAGSSLVELVTMVRDAKRRMAEECLSWAEGRTGGRDPFQMAFDYESVYVSDRSKLGSSDVDYGYGTPMSTCPLVTCDLIASGASAARRHAAPG >Dexi9A01G0036640.1:cds pep primary_assembly:Fonio_CM05836:9A:41016989:41029537:-1 gene:Dexi9A01G0036640 transcript:Dexi9A01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAWRALVLLVLALALADAAAGRFVVEKNSIQVTSPDELKGKYECAIGNFGVPQYGGTLHGWVEYPKSNRKGCQSFDQFDISFKPKKAGGRPNFVLVDRGGLDIEKVNKCVGDPDANEENEILKAEQDAQIGHGKRGDVTILPTLVINNRQYRGTLDKVAVLKAICSGFEETTEPAICLSEDIQTNECLDSNGGCWLDTANNVTACKDTFRGRVCECPIVKGVKFVGDGYTHCEGKQGTTATVGWSFLWVIFFGLVFAGVGAMNSKRRSRSPVEYKEGRDKDYESSGRKDNSRDLEESSDTRLRRGHESGRHTDRHSYGTSRESKRHDDYRRYHDKHSDDYGRSHPRASRSDRESRYGEKSVNRDQRSTNEGKKSSPHRNQRNDGGENNKYTDARKQEYRGYGGDRDHYKVTCNNKETIKEEEVLKKRNGKEIDKEALVETRQKRRSLFSSTGPNVDNPGDAKPSSVTNEALDTSAATLDDGVNAAKVAAMKAAELVNRNIAAFGAGTGRLSTDQKKKLLWGNKKSNPSEETNNRWDLNLFSDRERQEKFNKLMGVKSGAPVPENKVENKDGSSDEAKKLEELDTNLEKHYIAGLRRRDGRTVGLGL >Dexi7B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:7B:25629530:25630579:1 gene:Dexi7B01G0020240 transcript:Dexi7B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSTCAPKHAHQRPSKRIKTSSRCVAGSVITATHEFVVTSFSLFDGMGTGKFVSSATFSVGGRDWSILLFPDGSKAEAKGYISVSLNFIRGAVGARVRFRLSFCLMDKGDQVSLTDTNTFDSIDLYRGWSKFIKKSKLKELLFPKDGSFTIKCDLTLMDDPHTEDVNVTTVAVPETNLHQDLTRMLKSGEGADVTFSVGGQLFPAHKWMLAARSMVFKAELFGSMKEKDAQCIEIDDVEPAIFEALLHFVYTDSLPDDCNGESGNVAMQHLLVAADRYGLDRLRVMCEAKLCQEIDVQTVATTLVLADQHHCTQLKDACLGFIAASRSMLGEVMKTDGFNHLLTTCP >Dexi4B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:4B:10971843:10974610:1 gene:Dexi4B01G0012900 transcript:Dexi4B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPIRVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYAPSTSTPGVTPPAVVSATVGVALLGAVAGNLLFGALGDRAGRRRVYGASLLLMVCSALASGFSVCRTRGCALASLCLFRFLLGVAIGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILASSAVTMAVAAAFDRYTGRRAPLDATPEAADLAWRVILMIGAVPAGVTFYWRMAMPETARFTALVEHDVVKATNDIGRVLTDLDLISSITEEEAAAFRRTTPPAPSSSPSPSYGLFSRRFLRRHGRDLFACATAWFLLDIPYYSSTLFQSQIYRPWFPPASRVNAFQEAFNVAKFQAIIAVASTIPGYFVAVMAGFLLMAAFLFALAGPYDRYWRGHATDAWYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISGAAGKLGALIGAIGFLWASQDRDKREVLAGYEPGIGMMYALIILGGICLLGLAVTYLFTPETMRRSLEENESEDGQSGHGGQGDVVVQRFPEELAELPKSPASVVSSHV >Dexi5B01G0039460.1:cds pep primary_assembly:Fonio_CM05836:5B:38279113:38280739:-1 gene:Dexi5B01G0039460 transcript:Dexi5B01G0039460.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Dexi4B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:4B:21410510:21419715:-1 gene:Dexi4B01G0019140 transcript:Dexi4B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSAIKTTTLKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSSFKPCIIPEEGGVASGFRHTEINEREHKTRLFVCRGKHTVHVKEELLREGNRPKSHIIRLMEGFETVIFRSKFDKWPKKADAVVSDESRGKVAALLKRQGFNFKGPAKAAPVKQEPLPQIDCTGNLQVREIFNFAQDDLMTEDIFILDCHSCIFVWVGQRVDTKIRAQALNIGEKFLELDILMENVSRETPLYVITEGSEPQYFTRFFTWDFSKSAMHGNSFERKLSIVRDGVKPRADKPKRRPTTSAHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKQPLKPVSPDSSKPPPRTSSIAAISASFERPKATLIPKSIKASPDSNKPQTESSKPKPETNAKEINPTEDSQIATPAVQEDVKESQVEGEEGLPVYPYDRLRTSSTNPATDIDVTKREIYLSSSEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >Dexi8B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:8B:10744951:10748611:-1 gene:Dexi8B01G0008210 transcript:Dexi8B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRPTGACPHAWRQRHQQPHRGRMWATSPAFRRQLVLLRSLLPPHPSSSSSVAGRFAPASYSSGSSSSLRASHAMAASAATVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRNDERASVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMKHARQANIPIVVDGDGLFLITNNLGLVEGNPLAILTPNVYEYKRLVQKVLNCYVNEETASEQLTALCQKIGGVTIMRKGKADVISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWAQQFLLSNEQPTEKRVNPMTLGCIAGSLLLRKAASLAFEKNKRSTVTTDIIEFLGKSLEDICPAEH >Dexi3A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:3A:3562197:3564655:-1 gene:Dexi3A01G0005530 transcript:Dexi3A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSATAAARRLLLLRRSHLLPRRLLSSSSAADGLDDSGGGGRSKIFDRDLKRRHRDRAAWAMREADGFVDAVAENLLDRLEDCRKAFPSALCLGGSADAVRRLLRGRGGIEKLIMMDMSADMVRKWRGSENATDDGPETHFVVGDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDQYTVKYNSGLYKKRSLELVEHLRAMGETNALFHRNPVLKRDTALATAAIYQSMFGLEDGSIPATFQVIYMTGWREHPSQQQAKRRGSATISFSDIQKQFGPNEN >Dexi2B01G0022240.1:cds pep primary_assembly:Fonio_CM05836:2B:31904574:31907679:1 gene:Dexi2B01G0022240 transcript:Dexi2B01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRSASDPPRRARLLSPPGGQPQAPRAPSLPMDLSSQYQRRFSTPLFLPPPMAPRGLAPPGSAFSAFSNYQGPPAAPGGSHLARPLTKTPFFSTDSLAPLPYSADPAAGAVAAVPRSPPSLGGSEQQGASPSGLPPRGAGHRRSLSDFLIGFSLQNKLPLALPMLPPAEGYSKSADAAALEELFRSYRDPRALAVLGSPNERNSHLLGNQVMSGQRAWSPADSSDNETESWATASGGGGTSHPRRHCRSLSVDSIMGSLNFGALSPTVLPRPPSLASGSGAGASVSHTGSGPSGAAVAVATSELANGEFTESEMKKIMANDRLAELALADPRRVKRILANRISAAKSKERKVRYMGELERRVHVLQMETSTLSSKVSSSQRECETLKAQNNEMKIRLQAMGQQAHLKDALNQALGAEVQRLKQAAGETSDAHAWTGSLHHLNRQILEQQLLQLQQPAEDQKVHQQQ >Dexi5B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:5B:13091003:13091465:-1 gene:Dexi5B01G0015720 transcript:Dexi5B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDVARRTHAAAHQSTEHNAANVRADRAQGTSKVEVAAAASTRIDGGGGESAHLDLGLGGGQHTAMLGLLPNTTAAGGLCPAALASRERAHGRTERRGELSGRQAQRMERRRGETGVGRRAGKLAGGVRVTASSTARR >Dexi5B01G0023940.1:cds pep primary_assembly:Fonio_CM05836:5B:26020897:26024373:1 gene:Dexi5B01G0023940 transcript:Dexi5B01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVKDQLQQMTPCDSLLELQVIWDEVGEPQTARDRVLMEIEQECLEVWRRKVDLANRCRAQLRQAIAEAEAELARICSAMGEPPIHVRQSNQKLHGLREELNTIVPYLEEMRKKKVERWDQFVDVIDKIKKVASEIRPADFVPFKVPLDQSDLSLRKLEELTKELQSLQKEKSDRLKQVMEHLNTLHSLCEVLGIDFKQTVCEVHPSLGDADGSKNLSNSTIERLASAVNGLREMKVQRMQKLQDLASSMLVLWDLMETPLEEQQMFHDVTCNIAASEHEITEPNTLSIDFLSYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLIGEEGYAAEFSTEAIEAGLVDPALVLDQIEAHIATVKEEAFSRKDILEKLERWLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGMVDVLTTKILAWEKEKEKEFTYDGVRLLSMLEEYMIVRQEKELEKKRQRDQKKIQDQIKAEQEALYGSKPSPSKPQSTKKAPRNSMGGANRRLSLGGATMQAPKTDILHSKTARAAKKTEVLGTLSPSSRGLDIAGLPVKKLSFNASTLREAETPRKPFAQITPGNNVPSTPARSISNDTEEENKTPKTFAGLNAKTPMTVTAPMQLATTPAVANKIVATPVSLFPEKPEPTTLPEEIEYSFEERRLAVYLARQMA >Dexi4A01G0022730.1:cds pep primary_assembly:Fonio_CM05836:4A:26040671:26042149:-1 gene:Dexi4A01G0022730 transcript:Dexi4A01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVLPLVRRMHRWILPSCGDTRPSSRPSSRRRDASPASPPKLRKVVSEGTLAVPKDVEEFRTMLAYGCRLKLFTYNDLRIATADFDPARIVGEGGFGVVYRAFIDVGAAAGKGFPPAPTEVAVKELNPAGLQGDREWLTEVSCLGQYSHPNLVELIGYCCEGEHRLLVYEFMAKGSLEHHLFRRACNLSWTTRVGIALDVARGLAFLHGAERPIIYRDFKTSNILLDAMLVGRRAMEPSRAGAREGSLVDWARPILIRPKKLERILDRRMGGGPEPGLGRVARLAYDCLSQNPKVRPAMARVVLTLEAVLAAGADDGEEQEGIVAAHAPAG >Dexi3A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:3A:6998486:7009055:-1 gene:Dexi3A01G0009960 transcript:Dexi3A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVDLECERCKKEIKRVLDKIQDKMNIKMTISFDEKCDKVTISGPFDAAKVRRKLCCMAGRIIKDMRDEEEEEEEEEDDDDDDDDEEEEEEEEEEDDDDDDDEEEEEERKPVVVPTVNEIKLRPLLEKMLERPKAGTQPDHPCTCKCGCSCGKPAQGVAVSVTASSVWPAPASSVSGHVYEAPSSYYGVPAATGDGRLPHYHGHHHHRCCEEDPNGDVIVSLGSYAEEDMETESSYGDSPARLARPVRRAAALPLRCSMRARSRAVLASSSRPFPASWAGSTPLPWCRRSTVGHPARTPHAAANSDHPRPRNTPPPFPVHTHAGQRMRSQVDPNSVILGCEQEPMGRMQTISSSMRWCYRAATANCPVAPGVFWSDRVRKAAMASSSMRWCCRSRPLVYCAQGRGHRPLPPLRRRASEAGRKSGLEFRELYHAVVPVVAEIDARSLYDQDVMAGITDDACFLVMYMLQKALSDFFYSNKDSIAHDIMLLENQIPWPVVEAVMNKFESFPLESMVKFIARWKEKWLQDRALAELPDVVWDEGYKPPHLLGLLRFYMVGEISSIGSSEFKEMDLLGRALHGAAVPQRFAALELCTTPDFFDKEAQFEDSAVCSYLLLLSMLMHREADVHELRTKGILQGSGLTDNQTLDLFTSLHSLRQGRCYANVMVRIAVYRATRPIKVYKFIHKKKKTCTRAQPKIQTELRSTQNSDRDELDFFPGAYSRDNHVRQPAMISGAFGRPRSAATVRRTDSPPCFTKAIGEDCDGETNAATWTCSE >Dexi1A01G0028240.1:cds pep primary_assembly:Fonio_CM05836:1A:33935489:33939985:1 gene:Dexi1A01G0028240 transcript:Dexi1A01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEPALGFPSAAAGVHDRRRKKQPPPRRRRPTGAAQKQPVGPRGAADPDPSLVSVLLPLPSSGSGFATRGTAAPEEEEGRDGGSLAVSEEEAETTSDSFSYSLRGCQKERRLKSEGSGLVRPPASHELNGGGGIELLVLSPRCLIGGNVGGMSKSSTASSRSRSGTGTGTFPSPGTPNYNRHCAGSMQYSKGWSSERVPLGTSSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGVGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAASNFAAHSPFSAGVLIPEHGRIGDFSSGRGRSGDEGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANSISEENTQGLVLWFSLFLLVHFLMS >Dexi5B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:5B:5255112:5256645:-1 gene:Dexi5B01G0007720 transcript:Dexi5B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPDLSRVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVSGVHTLLDSFEPIHGVLLCEGEDIDPSLYEPTSNDADAGGGALSPEQLEAVRRLHPSDAAVDHEKDSIELRLARRCLERNIPYLGICRGSQVLNVACGGTLYQDVDHELSSAAVAVQHINYDDYDGHRHPVRVLPGTPLHDWFADDLAAGDELMVNSYHHQGVRRLAQRFVPMAFAPDGLVEGFYDPDAYNPSEGKFIMGLQFHPERMRKPGSDEFDYPGCARAYQEFVRAVVAYQEKQLAAAATNVPASPKLNKEMERRRKVIFRSFSLAKDMYLSGGRTTTKPAAEQQDLEPGAEFLESNTAALSVQQEKRLKQMGATVRNASGYLNSLKLNEGREAAARALMAEMTAEQLSDLASFYQNMGRICGEVLDRKLQALHQLHE >Dexi9A01G0026150.1:cds pep primary_assembly:Fonio_CM05836:9A:27700159:27700389:1 gene:Dexi9A01G0026150 transcript:Dexi9A01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRQQGAMDGDKGCEQRRGQRCEAGAWTLALQAGSVETREEPGNAKTAEARNLGIDSVAGQRDGNESDVDQHED >Dexi2B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:2B:10621813:10624458:-1 gene:Dexi2B01G0009890 transcript:Dexi2B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVEEAPGKLENAGKDEAEAEYHSHDFEWKDLKAEVESDPAFCYHLTPFHSPAASSSSPPQPSSEAWRSFHRRHASGKFFKERRYLLKEFPELTNSKACAKILEVGCGNGSTAVSILRSSGGITVFACDCSEDTLERANEIFTLSAIPFAIMPSTIQQCVSVLKPGGLLLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTLSYFFTLDTVRELFNAAGLIELELEYCCVKSVNRKKGKEMKRVWVHGKFQKPQS >Dexi5A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:5A:8350960:8351166:-1 gene:Dexi5A01G0011150 transcript:Dexi5A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGPTTVAMPAVTHDDLSIRKAQERRTARSSSQVAVALVALSVICGLVAFILCLAAEGSRSEARRRR >Dexi4B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:4B:12697044:12699867:-1 gene:Dexi4B01G0013660 transcript:Dexi4B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGERSKLVRGLRQESRRFRLLVIVVGFFLVSLTFVVLSKPEAILFSLNGKLPVNSAPATSGKTSTDALRGDPRVVDDEADEEESHVLSEPDPTSGMAELTPNKDGGGRQSDKETLGGGGDGEGKGKMGEERANAAEKHRVTLPTVSNYTIHDSEDTENGKQDDGGSKPLCDFSNFRANVCEMRGDVRVHPNATSIMFMEPAGSQRDELWKIKPYPRKGDEFCLSHITELTVKSGKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYHTVLQKLSKYPVIDFSKDDQVHCFKHVIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRGAYSLGRDTVTALGEYPKVKPRLLIIKRHRTRMFLNLDEIVAMAEELGFEVVIDEANVSSDISKFARLVNTVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRADFGNPAELMGLHYKQYSISVDESSLTEQYPRDHEIFKNPIAFHKHGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >Dexi6B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:6B:25007126:25007923:-1 gene:Dexi6B01G0017930 transcript:Dexi6B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHEKIAALKSQASRPFSSSSFMSSQKLLQDFTATGSPPITVLDEAVLVRPKVTRFPSLPSDLLTEITATIDAGSDTTCEEMEVDTEQANCCGHLTACHTVKKPTGSRLSFDGYNWRKYGQKKVKGSEFPRSYYKCTHPSCTAKRKVETTVNGQIVEVVYSDEHNHPKPHSTSTPPWKPLSSTSTEE >Dexi6A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:6A:2048093:2049422:1 gene:Dexi6A01G0002180 transcript:Dexi6A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATVAAVSSSHPSPLLRSSSNPTSVSFPRRPLPATTTLALSAPSQLPLRGLALVPPAANPKYHNAKVDAGDEDVNGEELLRRFTWQVSRAGVMEEVRRRRRHEDARDKRKRKARSAARRFRRRRFKGPYPFDGEQGSKEHDTDDEKNDNWELPGGELPSYR >Dexi5B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:5B:2793275:2795279:1 gene:Dexi5B01G0004130 transcript:Dexi5B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLNWVAGAVHWKTMKAHKQRCNAPDTGATTKSSGNKLPSLKAGAHINKSSGNIAAKRRKGSGFSPESYTESKIASKTGGLVESLSDYSIKISARPPNHSGKIKLQLFPIDETIQKIMQHEKHNPYLELILAPRKKISSVVQHLNTKWGSSQCTKGELMLFPNDARLDTIASSAKWTIKDSCTAADVHIAVGSPSTFRLRYGWFGPNLKESSGPSLASVHSADKIIGTKPEDDVFSEQKLMAGSGEFPSNFVVPSILDNTNAVQRVDNQSKVTPLSWLDSISNISFGELLSEAAPSQDSKQLPSQNNLSLQQIPATCDSFDAAIASLIARQQVTSQPRVSNPSLWEAEETCHAFAFQNHGLRRATSSVPDNNGAAMTSSVLGSIPETGTDDQQCSTNGEGKEARTEPSPSNSDLNAKPEISMHESTGIPGHGSSLSGFLSGTDSLGLSGLIANSLDAFHNFSVS >Dexi2A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:2A:30486953:30489448:-1 gene:Dexi2A01G0018440 transcript:Dexi2A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVKTNQILYHCHISPVFQKAAFRARLGAIKRKATGYPRALGVGGPLGGHGGGGGQGRAAVLEDAWGRRRQGGDLSGSTVEEEAGAAVMRGGAVAKKDAEGGGGGSRGGGVAAEEAEESGSGRRCVGKMRVAGWGCVGDRKARVLATLQRGVGGPVMDFDGDVIGMNFYDKKGTPFLPSFIVLKCLQHFKDFKKVIRPLHGLQVQNLYDAELTLLQQKIQCGLPEVYGVIVEKVKDSSVEHSEIKVGDVITHVNGVPFSNAAELGGILLDKCHKHMLERQELNLSEDCNQMAPVMNLKFRAITFRGCKSEVTTQTIIVDKFTPSSCGLNRWPLPKPIIIQRYAYGELIQVERYITDG >Dexi5B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:5B:4924408:4928174:-1 gene:Dexi5B01G0007330 transcript:Dexi5B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQSSPGYSAALPPPISSNIYAMRASGQDTNAGHPSPPRCSLLSAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDLSNYPHIFMFDGECKG >Dexi7B01G0024120.1:cds pep primary_assembly:Fonio_CM05836:7B:28511596:28513403:-1 gene:Dexi7B01G0024120 transcript:Dexi7B01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKGSTLEGCVRSTFKATRSDLQLHHLPSSLSINFRVKTEMQGFVKNPTRMIYLRQVVLKIDISGWPETSAGILRLAYLLELAPVLEELVLHMCCFGSAFYFWELREDDFLPCPHRHLKTVRMTGFHGFHGQIELALYILRNAACLERMIIDPVVSSTSFVPSLESQKEDIVLGRRLAMKHLLGKGFQKVLRIL >Dexi2A01G0027830.1:cds pep primary_assembly:Fonio_CM05836:2A:39160402:39168377:-1 gene:Dexi2A01G0027830 transcript:Dexi2A01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTVGGRRLRKTESAEMRWVVPGGACEEDEIESSDDGGADTPAAASGSRGGGGSDDDDDGYEEDEMLRQRLVRTGPRADSFDVEALDVPGVYRHQEFTLGRSIVLTLQTLGVVFGDVGTSPLYTFDVMFNKYPITSKEDVLGALSLVIYTLILIPFLKYTLIVLWGNDDGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFNLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGFKVGISSVNEGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMENLAENQQIFFLSIPSIAELGVMMMTTVLFWEVQQMEVGFFWYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDHNDETDSEEEIASSSSRVLVGPNGSIYSLGVPLVESAGADNSALGSSMSLDGSLEETMDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFLKKLVINYFYAFLRKNCRRGIATLSVPHTRLMQVAMQYMV >Dexi2A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:2A:26701003:26705236:1 gene:Dexi2A01G0015560 transcript:Dexi2A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRRPDGSYGPEYGPLPPEHEYGLYRHLSSRRRAPWPLHHIEEDYPGRILEQRLRRGPSGLPRHPLQLYAPFRIRHANVSGGSTIPRQRPEDPVLTDEQFREAMDQLRRQEYRPPNPHQKIDHQTRSARAEAPPAVTEEERACTICLETFLAGEQVVVTPCNHIFHQGCIAPWVKGHGTCPVCRSAFCKRRNTVAGNINSSSNGEDGDVDLDLLGMIRAMEAFSRVRLFDFMSYHQ >Dexi1A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:1A:2747529:2752147:-1 gene:Dexi1A01G0003750 transcript:Dexi1A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDADIARTQEERRKLEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEDDTEAAPAPRRLAAYTGHAIAAADLPRSADDDDGLPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPSVRTYADVMRDAALQKKKEDLLREIAKKKKEEEEKEKERKAAAPEQPAAATKRRNRWDQSQDGDAAAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGATPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGGENLATPTPSQIARGPMTPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELGGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMVLRFQAAEEFRRI >Dexi9B01G0041670.1:cds pep primary_assembly:Fonio_CM05836:9B:42128011:42131736:1 gene:Dexi9B01G0041670 transcript:Dexi9B01G0041670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGPLFVAGLSRLARPKNGPQTRAWAVASARRLARPGPKTACRPGLGPTPSRSSPRVVSMMHDFLGGGIFNADGESWRAQRKVASHEFNTRSLRVFVARCVHDELHGRLLPLLRRRATASGASLDLQDVLERFGFDNICRVAFDHDPRQLPVDNDCTAANADSSSFADAFRDAANLSAGRFRYAVPGFWRIKKALNVGSERRLRESVATVHGFADRIIQSRREEMRRDGFEKHDLLSRFMASHHGESSNEALRDVVTSFLLAGRESTSSALTWFFWLLSSRPDVQRRIRDEIAAVRARRPRGDDLAVVGFDVDELREMHYVHAAITESMRLYPPVPWNSMYAQADDVLPDGTAVKAGWFVGYNSYAMGRMESVWGDDVLEYRPERWLNPADGAFQPGSPFRFVAFHAGPRMCLAKEMAYIQMKSVVAGVLEELDVAVDDAYRPQQFASLTLRMAGGLPVTQAYNIARGPSGPGSGIIHPSMADLWFLLLLAVSAVWALRTWRARRFSNKAQKAAAYTYPPGFEPYPLIGHLPQFLANRHRILDWMTEALERQPTSTFVLRRPGGVRGAITANPANVEHFLRSSFDNYPKGPRFASLLHDFLGRGIFNADGDAWRAQRKVASHEFNTRSLRAFVARCVHAELHGRLLPLLRRHAAAPGSCLDLQDVLERFAFDNICRVAFDHDPRQLPDAGDADDATAANAGSSFADAFRDAANLSAGRFRYAVPGFWRIKKALNVGSERRLRESIAMVHGFADGIIRSRREEMAKDVFEKHDLLSRFMASQDEAYSESEVPLRDVVISFLLAGRETTSSALTWFFWLLSSRPDVQRRIRDEVAAVRARQDDKVVGFDLDELREMHYVHAAITESMRLYPPVPLNTLRAEADDVLPDGTAVQAGWFVAYNSYAMGRMESVWGHDAREFRPERWLSPGVGTFRPDSPYRFVAFHAGPRVCLGKEMAYIQMKSIVACVLEELDVVVHGAYQPRQVTSLTLRMADGLPVTVTPRREYENAE >Dexi3A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:3A:4786027:4789295:-1 gene:Dexi3A01G0007060 transcript:Dexi3A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHCLSPGHLAVVAAAAFFLLASPALTLAQEKPPPWLLCGPYPSSGNYTANSTYQSNIHSLSLSLPTNTSSTPALFATGVAGAVPDAVYALALCRGDVANASACESCVAMAFVDAQARCPLVKDVLIFYDLCQLRFSNRDFFPDDDNVVTVYDLIGGSLAVGEPAAPFDAAVRRLANATADYAAKKNSSSSRRFATGEVSLSFDDRRSNNSKIYAVSQCTPEKTAEFCRSCLGSAIDQLPTLFSGRNGGGVFGTWCTFRYEVYPFFSGQPLLQLEASMVAAPAPAPALLATSSQDKSVNKTGAVLAILMPTFAALLATAVVCFWFWERKRRSAARSFRTLILIMVLDLVQVWEHWTRGNVVGLIDPSLSDHPPIEQVLKCLHIGLLCVQRNPAARPIMSWVNVVLNSSTVRLPSLSWAAFCMQEVTSSASSDAYQLAEWPGASECTDGSGSPVMSCNEVSITEFMPK >DexiUA01G0010320.1:cds pep primary_assembly:Fonio_CM05836:UA:20528735:20530531:1 gene:DexiUA01G0010320 transcript:DexiUA01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHDGRLRALKTMECKGKSKFFLEHQALLEEGKKGYDPSVHSWRFAQQVPLPEGKWPPLWKYSKTPLDEHEKEERAKQNREEKEQLDEELWRHRRIETELQRRKKQFPPGKAPSDKQLRKEVVREYRLARAQERHVKNVQMAVRIINRRYPDKKYDLREITAKSSIYELGSAYCHYNFTVYSPTDGPEFFFAETDIDPECERQVYQCCKIGSGSHGMDMLILSTYTNPSCCCMGCLKEGVALIHPSSDKFIAGHESFDGFCTDSDSDDCY >Dexi4A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:4A:22905320:22906569:-1 gene:Dexi4A01G0019050 transcript:Dexi4A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWIGGGQPSLSLDLNVGLPTARPVTAATTKVLVEENFLSSVKKERPTAVKKDREVEELEAELRRVGDENKRLSEMLRAVVAKYTELQGHVNDMVAAAGNHSATAAAANHHSSTSEGGSAASPSRKRIRSGDSLDRKAASPPFAVPVSDQMECSSAVVFNEPGRRIREECKPKVSRRYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCQVKKKVQRSAEDRTILVATYEGEHNHAQPPQHDGKGAKAAATASDAAVRPVAAVPLPLLQQQIRHEAVAAAGPSEVVRKNLAEHMAATLTRDPGFKAALASALSGRILELSPSRD >Dexi9B01G0027310.1:cds pep primary_assembly:Fonio_CM05836:9B:29794782:29797026:-1 gene:Dexi9B01G0027310 transcript:Dexi9B01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARWLPPPPAPPAAGAAASPSARPLARRRIPRRAATVISPRAFGRADFDGFVRRAWQGANAGAERLAFETRQAAQRLDVRFSISRRLAEASRAARERAVEIDAELGIGRRWRTFSVDFSRNWPRYRRELSDFMATPIGRALATLFFLWLALSGWLFRIFIFGTFVLPFAAPLLGTFANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNSSSGGARSSRRAEPDVIDVEYEEK >Dexi2B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:2B:24550078:24559251:-1 gene:Dexi2B01G0014730 transcript:Dexi2B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGIDEANDIGVWSGASSGGSSSDAEGNLSIDCGLDDEYGGYTDKFTGFVYVSDSGYIDAGENREVAYEPLGSFNTRQRTLRSFPSGVRNCYALPTVAGTKYLARMTFWYGNYDGKNMSTVEFDLHLGANPWDTVTVYGNDTSGVTYEALFVAWASWAPACLVNTGRGTPFVSVVELRPLPASLYATVSPGKSMSMYNRWNVGANGTFLRYPDDPYDRYWWSYDVPGPQWANLSTALHIDEDPSFVEPLRVLQTAVTLAGNSTTFTLTWPEYREVYSFMVFLHFADFQFAQLRQFDIYFNGNRLGQSGKQFSPPYLEASCVYNSEWYKAPDNQYNITLAATATSVLPPMLNAFEIYTEISNDNPTTLPADFDAIMAIKLEYGVKKNWMGDPCSPTKYAWDGVKCINTSDNTMRITALDLSNSNLNGAISQKFTLLTALENLYYQTGRLTESSDVYSFGVVLLEVATGEPPIVPGHGHIIQHVKQVIATGDISLVADVRLRGAYDVSSMWKVVDIAMMCVVDAAAQRPTMAAVVMQLKESLALEEAREKEYSGIRSPGSDIASLVSTFGPVAR >DexiUA01G0008220.1:cds pep primary_assembly:Fonio_CM05836:UA:15383496:15386917:1 gene:DexiUA01G0008220 transcript:DexiUA01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIADGAAEDDHSRNMDLDRGALSARQHHELDETQQSWLLGPPEAKKKDKYVDLGCIVVKRKVLWWAFWCVVAAFVLIGLPIIIAKAIPHKKPRPPPPDQYTEALHKALLFFNAQKSGRLPKNNGIPWRGNSGLSDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKIYAQVGAAKINGTQPDDHHCWNRPEDMAYPRPTIAVSSGPDLGGEIAAALAAASIVFRDNAAYSKKLTQGAATVYKFARQSGHRTPYSLRQPDIEYYYNSTSYWDEYMWSAAWMYYATGNTSYISFATDPRLPKNAKAFFNILDFSVFSWDNKLPGAQLLLSRLRMFLNPGYPYEESLIGYHNATSLNMCMYFPRFNSFNFTKGGMALFNHGNGQPLQYVVANSFVAALYADYMEAVNVPGWYCGPNFMTTNDLRDFAKSQLNYILGDNPRKMSYVVGFGKKYPRHLHHRGASTPHNGVKYSCTGGYKWRDSKKADPNLLNGAMVGGPDKNDGFKDSRNTFGQNEPTLVGNAGLVAALVAITSSGKGAGVTAVDKNTMFSAVPPMFPAAPPPPSSWKP >Dexi9B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:9B:8882019:8882915:1 gene:Dexi9B01G0013190 transcript:Dexi9B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICNARACRHEVVGVVTGVCPGATKFKPGDTVGVGYFVDSCRSCHSCVAGHENYCPDVVLTSNGVDTTTTRGGFSDAVVVDHRYVVHVPPSLPLPPTAPLLCAGVTVYSPMVRYGLNAPGKRLGVVGLGGLGHMAVKFGKAFGMEVTVVSSSPAKREEALGRLGADEFLVSRDAGQMKAAAGTLDGIIDTVSAGHPIVPLLELLRPLGTMVVVGAPSEPLQLPAYAIIQGGKRVVGNVVGSVGDCQAMLDFAGEHGITADVEVVGMGYVNTAMERLERNDVRYRFVVDVAGSLGAAA >Dexi9B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:9B:4469624:4470070:-1 gene:Dexi9B01G0007320 transcript:Dexi9B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGLAARLGLRRKTGLRKLKKEVRTCEYRDVHVMWEMLRSTDGPVPLAEKEAAAAAAVAAAASARKRKNAWRRFIYYCCAF >Dexi3A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:3A:9990633:9991130:-1 gene:Dexi3A01G0013730 transcript:Dexi3A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVVLVMALMAAAAVSPSCGAWELNIRMPTARAVEEAASRLAAESSDAAAPLIHALRPLVGSGGELGRRGGVRCDSWRLAVEAHNKRDWKTVPADCEDYVGHYMLGDHYRRDSRVVVDEAIAYAEGLKLAGNGKEVWVFDIDETSLSNLPYYAEHGFGYTLI >Dexi3A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:3A:1429987:1431327:1 gene:Dexi3A01G0002140 transcript:Dexi3A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLIPMMRTGIVTANPADVEHILRANYPKGEHAISMLRDFLGDGLFNSDGKQWLWQRKNASLEFTKRSLRKFFVDVVQAEVAGRLLPLLRRNASTAGDGGGVLDLQDVLERFAFDTICMVAFGHDPSCLTDGGVVAEARSDFMHKFGEAQDLIVGRFLEPIEVSWKIKKWLNVGTERRLKKAIADVHAFDMGIVRARRSQSASSLDDSRDDVLSRFVASDEHSDEVLRDIVLSFLIAGRETTSSALTWFFWLVSSRPGVVSRIADEVRAVRESTGTNAGEPFGLDAIRDMHYLHAALTESMRLYPPVPIDSQSCAADDTLPGGTHVGAGWQVTYSAYAMGRLEAIWGEDCAEYRPERWLGDDGAFRPDSPFRYTVFHAGPRMCLGKEMAYMKSIVASVLEEFVVDVDKDVAGGGVPEHVLSVTLRMKGGLPVQVRRKDGAAAK >Dexi7B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:7B:2650200:2653403:1 gene:Dexi7B01G0001550 transcript:Dexi7B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEALPDDMEMNMGCIYGSISSPDQICSSQSRDELNPNSSEKDRSLYIQHVILCGIIQKRANMKPSFLQRNLRYKIQDKQKKRIQITISLSGSSNPEMRAQNIFPLYALFAKPISDVLHEGHSPVYQFSRACLLTSFDESGRNSHNEAVFIIQDLKTLANIILVSCGHVGQARDENNCSKNNLENSSVQKLQGKCFWGTIPNDFLRLSLENCVDLMLGRTKQFAFSMTMSPGYVEVCI >Dexi7B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:7B:538709:539237:1 gene:Dexi7B01G0000100 transcript:Dexi7B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERCKRNKISFYLYFQLLNRHCYSIAINYQKNELEEKMLLNLHKKKWTDGLILMMFDTRSKTNEQTKEMLNLAVKYKAVDEEDELPPEKLIKHSSDSRDDARHNCHLV >Dexi8A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:8A:6981120:6981359:-1 gene:Dexi8A01G0006720 transcript:Dexi8A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSATASSRTAEQGGGTEQNCGYSPSGSRRSGRGWEAAKRRHAGPRRLMLDVDGGSRFCEPRLRATAAPAWVVVAAL >Dexi1B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:1B:3658879:3659659:1 gene:Dexi1B01G0004540 transcript:Dexi1B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFNDKPVNESAVGPIGKDLFEREQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLEDEFAKVQREYHLPAGDFPDVEHFKQVLAGYSIDKFEKLKPKMVQAVDDMLAYDIPELLKNFRNPYE >Dexi9A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:9A:7120299:7123152:1 gene:Dexi9A01G0011400 transcript:Dexi9A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPQPPPWRPRRLHSGLALLLLVVAAMAAGAGADDIASDGRALLAFRDAVGRRLAWNASDPAGACSWTGVTCQSGRVAVLRLPGATLSGAIPAGTLGNLTALTTLSLRLNGLSGALPGDLANAAALRNVFLNGNRLSGGFPQAILGLPGLVRLALDGNDLSGPIPPALANLTRLRALLLENNRFSGEIPDLKQQLQQFNVSFNQLNGSIPAALRSQPRSAFLGTGLCGGPLGPCPGEVSPSPAPAGQTPSPTPVPSGSGGGSGSNGGSNGGNGGGSGHKSKKLSGGAIAGIAIGSALGAALLFLLVCLCRKSGGTKTRSLEMPPPSSTPAVAAAGRKPPEMTSGAAVAPLTTIGHPNAPIGQSTSGKKLVFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLTEPEFRDRISEIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRSSGRTPLNWELRSNIALAAARGVEYIHSTSSTASHGNIKSANVLLGKSYRARVSDNGLATLVGQSSSPSRTTGYRAPEVIDSRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVNRSEWASEVFDMELTRNQNGDEQMAQLLQLSIDCVAQVPEARPSMAHVVRRIEEIKKSSTASNVEGDDQSSKAESEVPTNPFA >Dexi4B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:4B:3606025:3606312:1 gene:Dexi4B01G0005060 transcript:Dexi4B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNFAVDPEEVVLLEDGLGVEVDLPGVDEGLGAVDEGEEGVAVSKFLLKTWMRTWTRMSMQT >Dexi9A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:9A:10057759:10058823:1 gene:Dexi9A01G0015100 transcript:Dexi9A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRSRILRTLQSLPNAAAQSNILLALPPGAAGPALPPPATPCGHRHEPAPQEEAPADEAGAAPELLGCGGADGDDDKENASPGVTPRKAKKMKLSSDHNDESAAGATCGFRRPDLASATLFDPDLLAAFRCAVDAYAQALEVSKRRGAVDDDDDGDGVPGGGEGGGAGVADPLEAFERRCPPGGERAVVLYTTSLRGVRKTFEDCARVRRLLEGLRVAFLERDVSMHAPYREELRALLLLRDEGGVGGDPASPATFPVPPRLFVYGRYLGGADEVEALNERSQLRPVLRRATRRAAGEGPCAVCGGAWFVVCGGCSGSHWLHDAGGDTVDAAGRVTCSACNENGLVPCPLCS >Dexi9A01G0026560.1:cds pep primary_assembly:Fonio_CM05836:9A:29831430:29832773:-1 gene:Dexi9A01G0026560 transcript:Dexi9A01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGWLSRRRHRMRQEEGSNGTTNLPDDALAAVFARFSDAADVARCYATCRRWARVAAAALARAQPPEIARGCALLGLFHQEDPGVTAPRKRKRTPFSGTTVASGQPCFVPTAAAIARGVVLRGVAYWPLRRSALAVRLDGPEPAEVSMPKDGIPSDRPQHCRLLGVTPDTKQRLCFVDARFHSDRGLQRAGLPFLSFILVTTILNAGDGDDDYDIAAGRWVMRRGCIRFPEIMVSDFHSINLRWFCEKSGAIFFTVGEDSRSSGAYAVNVATGEVERVAHGPGCDNWRNVVGYEMDAGGYLAYISCY >Dexi8B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:8B:10650743:10651700:-1 gene:Dexi8B01G0008180 transcript:Dexi8B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRTPGRRPCVVFEESKWTEYFNEYQPFPCSHQPKGRIEDRRDELVRKVSHMIQECISKKENLFEVMKTVDVLERLGVGYHFEEDIASFLDVLNRNPSVAHDLYASSLQFRLLRQHHYDAPCDCITIIIYMQKFSKISWMRMGISRTNVDALLSLYEAAHLRKCDEDILKRAIVFTTNSLSSLANGGDHLPKPIRDKVLHALASPSHRRIKRLEARNFISIYEDDKETNEDILELAKLGLSHPSADAP >Dexi6A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:6A:21186386:21191474:-1 gene:Dexi6A01G0013840 transcript:Dexi6A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLAARRRQQLQQHLLPRVAPASAAAAAYISSAAAAVHGGAVPASASASVLPDTLDRGSEAYARNAAAVAGLLSDLRARVSQVLRGGGPEAMKRNAARGKLLPRDRIDRLLDPGASFLELSQAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLAMGRNIVKNLHLAAKGTHVQNSACDYQEPLYDVEELRSIAPADLKQSFDIRAVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGVIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLAQIERNNKKRQGVEWTKDEEEAFKAKVAEAYDREASPYYATARLWDDGVIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >Dexi9A01G0048360.1:cds pep primary_assembly:Fonio_CM05836:9A:51137912:51152814:1 gene:Dexi9A01G0048360 transcript:Dexi9A01G0048360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRAGTMQQPGSSRRILRTQTAVNLGEPMFDSEVVPSSLVEIAPILRVANEVEAANPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLKGRGQKSDAREIQSFYQQYYKKYIQALQNASDQVDRAKLTKAYQTAAVLFEVLKAVTQQHAVEVDHEMAFEMYGMLAGNVSALTGEYVKPAYGGLLTVSGWVGLCELTLISFINLQNYLMKEMKYTMFWIALLLTKFAFSYYVEIKPLIEPTMDIMKTPIHTFQWHEFFPREKSNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEMEHADKENIAARFAQMWNEIVTSFREEDLINNREKELLLVPYVSDQGLGVVQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRIDNDYYFSCAIEECYASFKNIINDLVQGEPEKRVINKIFVEVDKCIAEDKVITDLNMRALPDLYNKFVELEKNDEKDRSAVIKIFQDMLEVVTRDIFEDQLSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEEELKESEDLTEELRLWASYRGQTLARTVRGMMYYRKALTLEAFLDMAKREDLMEGYKAAESVTDEQWKIQQRSLIAQCEAVADMKFTYVVSCQQYGNDKRAALSSAQDILQLMRNYSSLRVAYIDEVEDRIQYKILIRVRFHYGHPDVFDRLFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVYLISWLVIVVVLLVMKTVSVGRRRFSADFQLFFRLIKWHKLASLLLDG >Dexi5A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:5A:19330849:19331543:1 gene:Dexi5A01G0016700 transcript:Dexi5A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNNGACGASTPARAANQAVSLREEASGRTPVDEASLLRVQHLQRLAVWAGPEAGVGPVGALLGRRLAASAEAIGVPLGAATFLCQSR >Dexi1A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:1A:20875871:20892949:-1 gene:Dexi1A01G0014310 transcript:Dexi1A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYKQDGAIKVLLDYNADAGADVKGVGTITPLILAVKKGLTDFYKCLLEAGADPNVRDDFGYLPIEIAANKNRRKDVEILLPVTSHVPYVHDWSVDGILSYVESMPSMEDDPMYRMNPADMKLEGSKAYKRKDYVTAAKLFFLAARHYPDDLTMFSNRSLCWLKLGEGDRALMDAQNPIVVLQPFYLQDYEKATDAFLDGLKLDPANVEIDRALWYCIFIPLFLKRLKRPGTPERRLLQAAADGDLRLFKGIASALDGGKGRSAEAVEAVRDCGAGALHVAAGRGRMAVCRYLVEELHVDVGAVDESGDTPLDYAVRSGLVETVRFLLDHGADPNKAGEHGCTALHVAAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPASDAASSLKPLHAAIIGKQDAAVKILLDNHADVSIFVLRLCNKAMSIHYTPLIAALHVRSLKCVKLLIKAGADVKGVGQLTPLIVAANEGLTDFYKCLLDAGADPDVRDDAIKLDPSDVTLHSNKSLCWINLGDGEKALLDAEFCRMMRPDWPKACYRQGAAHMLLKDYEKACDAFLDGLKLDPTNVEIETALRYPLTLWGGGASADLDCQEGEFGAARRPTVVADEAPPEPGGGRSRRGFSVGVVEMAAADPAVGGGGGGGEHWGRFSAGVVETAVVDPAVKEAGASTGDDGEGESREKWRSVRWSEEEDK >Dexi5B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:5B:900554:915359:1 gene:Dexi5B01G0001380 transcript:Dexi5B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETTPALTRQPPRLTARPAPGDVTARAANLAQRTCGRVELLPHASPEKTHPLLPRNHEIFVAGHHDPRRTRTDAFKAPTLEGRASKLEGSRMPIRGTERDPWNQRSRLPPRSEEAIVLLIRGSNLGPSKGFDKGPRSTESGIINGTLEARTLEEPTDYVRGQAKCLDRGTPRGGASSPRPLLNEPTLIERGMDVRSNNPLPAQLGIPRVASSSAPSNREREARGKLEISPYLRRKSSERSAHQVETLRECQPLSQGLGGYTRGCASTPPRIPRTREDTKASKAWTNTNLRRIEPHSCEGSGATVGHLQKGYPNLGPKLLGIKAHNNVNLAPQPNKGPPALRILGTRLARGGRPPSSRIFRLVRGSAASREIPTLERVVSVSLEARRARACGSSSSRPRWEQLIMTPLCGQKAGITAPRNHLRPGEDTGQIHSQQPNPASSTVPTTPLTLPPSGAPPSSKATSKEATEARTGCRTQVATLDAASRHQKEGHYPELEGAIPARTVRGMDEQYTQQRTHGLRSSSPSPTLLVNPYYEQYVNRCIAPLLDVRPRGRNQDKTPFILSSHPRAMNRKPSSPLRQRFLSPLLLLLPLLQICLPIAGAARTPPARAGASDTIASLRSCSGYRAIRFLGSVSTRLLAQNCYVFTGVRFTVLETPPPSNDYTVPRLLPARLRRTDCTASTDLPASNLYDYFEQGQSRNIMSSDDIPPAGNGATDAPGRDEQALAERRAARRRLPRDRVGRRLGGAVVSAGSSSRRYYYDSIISFGDSYTDTGNNPVVFAWYNIADTAMRAPYGSSFFGRPTGRNCDGRLPIDFIAEGLGLPLVVPFLAHDVSLRRGANFAVAVATTIDALFYHARGIPSSGSKVPINTTLNVQLDRFQALEPSLCATPQDCKELFGRSLFFVGEFGVNDYHLSLQKLSVPEVRSLIIPHVIDTISKAIEVSLHLVVPGVIPSGCSPQILTLFSDYDSKTGCLKQVNQLGKRHNSLLKAALHDLRARHPHVRIVYADLFGPIMEMIQSPRKFGQYCTAPGTGRYNYNDSVACGDPDATTCTNPSASLHWDGVHLTEAAYRHEHQTEDVAAVKGAKRACRLPCITKGKEAPGRSKMQIAICAILLLLLLLLSSPPSSSSSPVHRQYHSTFSFGDSFADTGNNPAVFAWYSVFDPVMRPPYGSTFFGRPTGRNSDGRLILDLIAKGLELPYVPPYLGPPFAFPSPATGAHFFQGASFAVGAATALDVDFFRERDIPGVHSKFPLNTSLGVQLQWFESMKPSLCRTTGGTLRHHFSFLRKRVREVRSSYVPRVGREISMAIERLINLGATTMVVPGVIPSGCSPPVLAMFPDAGPEEYDPRTGCLKAYNELGRHHNALLQASLDKLRAKHPQATIIYADFFNPVMEMAESSRKFGFREDVLTVCCGGPGRNNFNATVPCGDPATTTCNDPSASLYWDGVHFTEAANRYIAAGWLGSINAGAWRDKFAPDSHRNAQDHQTKPTLPLSVSSTRAPDELQSRIHGRMKAPPFHDYVFQSFSRAVTLGPVLVRPCHRTPSGTLRRNHIMSWRSPCAAAILALLHLASLGSQRYDSIFSFGDSFADTGNKPIAFAMHSVPVTVMRPPYGETFFGGRPTGRTTDGRVILDLLDLGLPLVPPSLLVPHNGSSFFRHGANFAVAGATTLAAEFYHARGIPSGTSKLPINTSLNVQLEWFETLVPSLATSQDCKELFGRSLFFLGEFGVNDYHLSLKKLSVPEVRSLIPHVIETISMAIQRLIVKHGATSLVVPGVIPSGCSPPILTLFADRASPDDYDSRTGCLKQINQLGKRHNSLLKAALHDLRVRHPDVRIIYADFFGPIMEMIESPRKFGPGRYNYNDSVACGDPDATPCTNPSGSLYWDGVHLTEAGYRHVADGWLRSILSSDRGERVHPWITTMTRTRRLPACIDHEKTKHDASSWPEQDADRVGNLRPPPLPVSSPSSSSAVHRRYHSIFSFGDSFADTGNNPAVFAWYSVFDHVMRRPYGETFFGRNSDGRLILDFIVDEAVLVPHNQRYATSLECNEFFRGSLFFVGEFGVNDYHFAFERIKSVREVRSYVPRVVREISIAIERLIELGATTLVVPGVIPSGCSPPVFAMFPDAGPEEHDPCTGCLKAHNELGRHHNALLQASLKTLRAKHRQARIIYADFFSPVMEMVDTTTVPSIRSVWLAIMAAWMKVIELVCCGGPGRNNFNATVLDPSASLYWDGVHFTEAANRYIAAGWLSSIRA >Dexi2B01G0029630.1:cds pep primary_assembly:Fonio_CM05836:2B:37990529:37990806:-1 gene:Dexi2B01G0029630 transcript:Dexi2B01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAARMALAMAAVAMLAGVASAAVYEVGDKTGWTIMGSPNYTLWASSKMFHVGDTVDVCEL >Dexi7A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:7A:29645231:29648487:-1 gene:Dexi7A01G0020940 transcript:Dexi7A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEEVYVAAIDQGTTSTRFIVYDRHAKPVASHQLEFTQHYPEAGWVEHDPMEIIETVKVCMKEAVEKAKDGNLNVVSGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPVCRRLESELSGGRTHFVETCGLPISTYFSALKLLWLMENVHAVKDAVRTGDALFGTIDTWLIWNLTGGIHGGQHVTDCSNASRTMLMNLKTLDWDKPTLEALGVPGKILPKIISNSEKIGVVAKEFPLAGVPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIIQTAAEIEKLAETVPDSGGVYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLNSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTKGQVFAGLHKENTTVFRPKLDEAHRKKRGDSWYKAVSRSFDLADLSL >Dexi4A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:4A:871003:873426:-1 gene:Dexi4A01G0001330 transcript:Dexi4A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLLDETKLCPQNISSYIGNLTQSYTDQSNEATIVSTSVIMFVLAGLFFNLNLFSGISDVSAILDPKVRLFLSSALSLFLPVMSYLFSEAKNAAYLSTSYSSASSTHRVADLSLTAGLILTWMLLVELLRKKVDEIRMRGYSGTIHRAGRVIWLGSLVFFNIRSAGRKAVFSVLWILCATKVAQRIAFTEVAKLSYAHGRNAAVITSYMAQMLQRHRHDEHQEEPHRPAAAAADHDDVEHGPTRQQGGDALLKRCKYIVMGEKKLVKKATADGYKLNDAESSDSIITVGKVWELAEKDPLFETFDKNQRLKRLCLSFALFKLLRRRFEHLPAMNVEEARDCRKFILNGLYGENKEAEALFQVMNDEVNFLSEYYHSVIPVVFASPFFLVSNYFLLPIVVTVICLMSIVVCGNGDAAFAFTSLRADNYALRTGVSKIVMCLLAKALKNNPPAFFALVDISITVFLFLIFFYEEIWEFLVFLLSNWFMVSLLCSYTAKSHWRVSPTFSGAFRRLLWLRSKMSHTDLRVKQFSALNLRWPRHIPLFAPFSLVIRTERVPNSLKRSIMDCLVEHDRRSTPLTNGTSALDKHGFSNQPLLREACVSDSVAEVMLTWHIAPTILEVRCAPTSSSTSRKVAVKLSKYCAYLVAFHPELLPDNKQKAEDVLEEMKGELKGILGCPAYFFSSKTARVDKIMEAADENKIPVETPRQSDHHRGSQMVDDDDESSKKIVVKGAKLGKFLVEEVGGETAWKVLADVWTELVVFAAPSSEEVRVKGHEEVLVQGGEFITVLWALTTHIGVARPPSTKH >Dexi7A01G0024030.1:cds pep primary_assembly:Fonio_CM05836:7A:31862971:31864179:1 gene:Dexi7A01G0024030 transcript:Dexi7A01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNGLFVSFLLAYCAVLLCVELNMEELKLTMLPLLCFVLLLSGCAESARVFTIVNQCKTVIWPAVTPGQSFGGGGFALRPGQSMVFTAPVGWSGRIWGRTDCTFDASGNGSCATGSCGSSLRCGASGAPPASLAEFTLAAEDFYDVSLVDGFNLPIVIKPVNGQGNCTTAGCDGDLRLTCPSELAVKANGRTHK >Dexi9B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:9B:1742814:1743395:-1 gene:Dexi9B01G0003050 transcript:Dexi9B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKRQREQQQEEVVSLALSLSTTTAAADSSATSDSTTGSPATAASSISNKRPRRRGPVVATSGEGDFVCKTCGRAFTSFQALGGHRTSHLRGRHGLELGVGARALKHHKAAVAAEDAGDKQRRPQHECHICGLGFEMGQALGGHMRRHREEMGAAEAADAWVWSNVARLPAEELQVRSAAAVEPPVLLELFA >Dexi9B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:9B:863345:865344:-1 gene:Dexi9B01G0001480 transcript:Dexi9B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASATLAAPPTPPPPHDAAGEDESTCRGVFIEFMTKVAQFDEQAESGNRLLVRFRQELVRSCEDGLKDHINKAKALLEDLECLVEDVYGITLSASFSALEVSDSPSIDSKLTTEPSIMEEEGDKNVEQLDSDVSLVTVMIIVRNMLKLDYTMQEKIVGALSLKTPSSELEGYCLMWGLRPFIDDNVMRLAWKMCP >Dexi7A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:7A:19413332:19414658:-1 gene:Dexi7A01G0008280 transcript:Dexi7A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIFSSTASRRTSWPISIPTPHSMHLYRQLVCCSAKKGQQSIGTPPQRLSMVEFHPECVRNTPTASCRSTTSCGHHVARWPRPLIASMNSGGSTAASPLTRSGRMIHRKSWPLLASPHANSTSSSLFTYTTDRGALASSQSKQDTSSFQRLDPSVSSGPPRGTTSSARKASGPTVWMDAEAGIMARIASSASCSSSSNVLRITASAPAICSVTLSAKWTMNSSGSVERRKLGRSLSLVCLLIPAAQSTGVSRNPFALRDGGEAEARDAVERVGPAGAEEAAVVELGVDEGDVEAPAVEDLG >Dexi9B01G0027550.1:cds pep primary_assembly:Fonio_CM05836:9B:30039143:30041655:-1 gene:Dexi9B01G0027550 transcript:Dexi9B01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSTAKRQHPGYEDPVHLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNQRKENLFANRIFDLFDVKKKGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKDGKIDRTEWENFVTRNPSLMKIMTLPYLKDITTTFPSFVFNSEVDDLVT >Dexi2B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:2B:8295358:8297873:1 gene:Dexi2B01G0008170 transcript:Dexi2B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHSSLLRGAAMAPPPSLLAATSLLLFLFLLLLLSSRLSPALGGDDLLGAARAPGFAAWLRGVRRRIHEHPELGFEEHRTSELVRAELDAIGVTYAWPVAQTGVVATIAGGGGGDGAVVALRADMDALPLQELVDWEHKSKESGKMHACGHDAHTTMLLGAAKLLHSRKDDLKGTVKLVFQPAEEGYGGAFHVLREGVLDDVSAIFGLHVDPSLPVGSVSSKPGPFLAGSGRFLVTVTGKGGHAAGPQDAVDPIVAASSTIVNLQLLVAREIDPLQSAVVSVTFMKGGHAHNVIPESVSFGGTFRSLTTEGFLYLKKRIKEIVEAHAMVHRCTATVDFMEDKLKPYPATVNDEGMYNHAREVAETMLGQGNVNRGVPIMGAEDFAFYAQRFAGAFFFIGVHNKTMEAMHPLHSPHFVIDEDVLPVGAALHAGVAIEYLNKNAATAN >Dexi9B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:9B:9645784:9646957:1 gene:Dexi9B01G0014430 transcript:Dexi9B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNAISRQELAVAAMEGDEQSRDYCRGNWSLPETMLLIEAKRKVHEERHPGDHGLSLWRWVEDYCWRAGCRRSHNQCNDRWDNLMRDYKKVRAYEMAGGGGGGGGAPSYWAMSRSERKERGLPSNLLREIYDAMGEVLERTMRMSIVSMGGAGAGVASSTLLDLPMQASPLAQVLPRPLPLEQETRHQGHGAAHFSSESPERKRMRPSVDELRRPGGTGSSTPPTPAGTRGHHRQEQGHHHHHHREDNDDHGGDEGESSECSGDEDGGGVLSGAIGQFAAIMSGALESREAAEERRHREVMAVEERRGRARQARREAGEQCVAGLAAAVNRLAGSMLALAAANKRRGKGGGPAAPK >Dexi6A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:6A:1080344:1082188:1 gene:Dexi6A01G0001230 transcript:Dexi6A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRLSNLQRLRIIRPNYDEAAAQTMDVDDSFLLMESTSLEILDLSGSNRLMGRDLAESISKAKRLQVLILDGCDGFGDVVLPNNSTLRSFSLDGYGPASSHRTSTVELPPEISRPKQPQADASNRRKKGAAKTSIISLRGCGRLDKLFLRGLPNLVELDLSGCAIKVLDFGSMVLDVPMLKRLFLIGCERLCAIKWGPDWQMALKLQMIFIDTRPGSARVLGCVRPPSLDAQQKFFRLQIHAITTDTRFARSLGPPITYASNPYYFNIKIISSDAHNVTTTGAVLQHAARASKETMMVGSNSDRQVHYCVAAGGLLMYGDIFTKIGDGLAPMQAFPEAPMEQSDRHIEIDGGSRSMQSEAEDPDDYNLASLMGFYTETLHVHNVATCSSAMPAKSWNYLRWCRVERCPSLHAVFPPGAVDVGDSLDTIWASDLLMARCVWSKADFAYHANLHLKRLRQLHLRCCPSLRFALAMSHRPTFPNLETLHIIHCGDLRHVFVPMDQKSQHTGSVQFPKLTTIHLHDLAALQQICEGNEALAPALETIRIRGCCSLRRLPALKGRQAGVKKPAVEVEKDVWDALEWDGMDAGHHPSLYETPLHSRYYKRRMLRRTVLR >Dexi6B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:6B:3157797:3163403:1 gene:Dexi6B01G0003850 transcript:Dexi6B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLSAMVLGKHQGTIPPIPNIPHESVASTGLEQLTAAEVEQRMKMLRENSELQKLHMKFVLGKILQESEFWATRKNLLNDEANNASKQRPAFKSAMLDLEPSVDGQRNKVTYRITTEKIHQIFVEKPAVHRAFLDSVPKKMSEAHFWSKYCEAETLLRTRNTALAAAPAAVDELLAVFLKSDDILAKEAKFKIKQVDPTLDMEPDAGDYYSRLLDHRILRDGKPSSCYNTDDANHERLVNVAHVTCMEDLQAPRNIVYAPLCIKNPREYFDSQQANALRSLGGSNDGKKACNCSLSTDDAFFHLIDQVSSIKANRLNCDVVDSNVALKASCAMGDDATKESSFTSNSKAEEEHRQMMAALLTKFDVLIGQAFRNCCVIFGYHIQSQVQPSVIRFFPPYLSL >Dexi9B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:9B:7818209:7818844:-1 gene:Dexi9B01G0011900 transcript:Dexi9B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNDASAAGDPAACISPPSAGAEGRALLRPSSSVSAISDDDEAGFEERAFEPAEKVVVSVSGDADEERRFYASGGGRAPPFSWRKLWLFMGPGYLMSIAFVDPGNIEGDLQAGATAGGSLLWLLLWSTAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVALVSADIQEVIGSAIAIKILSNGLLPIWAGVVITALDW >Dexi5B01G0029410.1:cds pep primary_assembly:Fonio_CM05836:5B:30654655:30656293:1 gene:Dexi5B01G0029410 transcript:Dexi5B01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLLMGLTAGRRLRSRTRQLFWPANLPEATTSRSLAAAATAAQQSGGLPAALLFSSRTISSTRPATQSAGDAPGPSALDHKLVMPEDEFHKLADETIHDLLEKLEEYGDSIQMDGFDIDYGNQVLTLRLGDLGTYVVNKQTPNRQIWLSSPVSGPSRFDWDASTDGWIYKRTGANLVQLLEKEIGELCGTPVELS >Dexi9B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:9B:12487674:12494998:1 gene:Dexi9B01G0017750 transcript:Dexi9B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAQLLLVVIFVGSLAVAAAAAGLHPVVLLPAYTCSQLDARLTDEFEPAASAAPSCGGGVLLKKKGWFRLWNNHTALLEDPALVPCYAELLRLVFDPVAGDYRNVPGVETRVVSFGSTRGFASNDPAMKKACMEKLVEALEAVGYKQGENLFGAPYAFRYAPAPPGQASREFSRFLSRLTLLVEQASERNGGMPVLVTHSFGGFNAHVFLRRSPLAWRRRYIKHFVMASAGAGGAVLLLRFGSSSSSPSPSQPPPTDPLAFVNTSRSFAGKFLVLPSPKVFGHAPLVVTRAKNYSAHDIPEYLKANGFSGEEVARYVARVLPVTLDFAAPPAVPMTCVNAIGVPTPEMLYT >Dexi5A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:5A:6807466:6809709:1 gene:Dexi5A01G0009080 transcript:Dexi5A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAKPGRGLKSWAQRHLNAGFAAGFILVLVTYLVVSQQFAITAPNAVTTKGSQIADKQKQVIRSAGDSAERMDERGCVLLPNAEPKKEREEEWQPKAAAEEEGDNKKDTSGAVPTEESPKRDEADAKPFETTDRDKVVCNTDGPISDTCDLDGDIRINGSALSVTFVPSSPSERREWKIRPYSRRNMAGVEKVTVTQLESPHDPSAAPPCAVTHADVPAVVFALGGLTGNYWHDFSDVLVPLFAASRRYNGEVLFLVSNIQPWWLGKYEAIIRRLSKHDAIDLDHDTRVQCFKHVAVGLRLHKELSIVPELSPEGHRLTMADFTAFLRETYALPRGAPVSLRNFPDRKPRLLLIHRAHYRRFTNVPEIKKAAEAAGFEVTVASPRADVPLAETARMVNSHDVLLGVHGAGLTNAVFMPANAVVIQVVPYGKLERMARTDFGEPVADMGLRYIEYSVAAEESTLLEMLGPDHPVIKDPEAVHRSGWDKVAEYYLGKQDVTIDVKRFAPALAQAIDHLRQR >Dexi5B01G0029660.1:cds pep primary_assembly:Fonio_CM05836:5B:30833720:30837665:1 gene:Dexi5B01G0029660 transcript:Dexi5B01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding NETSEKNSSNQSEELHAKNGHGESPNDGASRINEADSSTLASKKNGRQESPGDGQDGEQPKKRRLHRLTSRQSEILEGSFFSACAHPDENQRSELAESTGLGLHQVKFWFQNKRTHVKHLSGKEENYRLKVENEMLKEENNRFKQMRINNETPMTMHSPTRVFHLESSSENVFVMQDDVQGLIEVAKTAAHELFVLADSSGPLWLAVPGGSFEVLNKMAYAQTFPGQISVGTIGLKTEATRASAVVMLDPKSIVEYLMDAESYGAFFPGLVTGAMTTKVYNWPQSREESYDGAMQLLTVQMVFPSPLVPARKSMFLRYCKRLEHGAMAVIDVSLDDSAKCRKMPSGVLIQPIRHNSCKVTAIEHVRLDDSGIHDLFQPCMNGLLFGARRWVMSMARQCARLRDVFHVTNCTLNVTSKGRKAIMKLADNLLANFTGSIAGLPADAWNVQCGDGTEEDIRIVYRRNADSSNTAIVCASATFLLQLPMRRVFDLLKNNLLRVKWDVLVNGGCVKEEVRVSNGVGREDAVSILHVKHGSGAKKETMMILQNSCYDASGSFLVYSSLDKHVMELITSPGGEQAMSNISLFPAGFSLVPLPDPVKGGSAIGESGGTVMTAGFQILMKLARGTGLCPRSVSSAVKIMSDNIATIKDTLMNSHPVFYKRIQSTN >Dexi7B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:7B:20590200:20590811:-1 gene:Dexi7B01G0014290 transcript:Dexi7B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQNGSPRGVRGDEEEEEARSGSKSVETDREGRSKGSRDRGHRGKSKRREEEEEGSESSGERRKRRRKEKERRRRRSRSESSASSSESEKSSYSGSSAESESDPDLDSEEERRRRRRKRRKEREEEERRRRRKEKERRKRKEKEREKEKERERERERRRRRKEKKKKRKEEKKDLGKKGAVTNSWGKYGIIREVDMW >Dexi3A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:3A:6150428:6151042:-1 gene:Dexi3A01G0008870 transcript:Dexi3A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFTVGGGGGGWTEILPDPPYPVQMWQTAVTVKGFLFWHLDNKSYREQQQPPCGVLRLSLEDELFSVNGLPVEASKDYSEWERRYSIHVSDVCRPVFILPRRRIMLWKGYTLYRYDLSTSKLSVACKMDSMSYQGRRVRSWKNLSMFNLKPYTESLVRPTTG >Dexi3B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:3B:1395320:1400823:-1 gene:Dexi3B01G0001980 transcript:Dexi3B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGHAATEQQSSTPLVEKTIAGCPGCAMDRRKAANPGIPYGRFIYVWIVTLCTASIMVGEEGTSPLLEEEEYHPGCPGCAYARRKDLLRGMPYREFLYVWMISLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVVFGIFSVVVFNTLFGLSVSYWMAIATRFFLGALNGLLGPIKAYAIEICRPEHEALALSLVSTAWGIGLIIGPALGGYLAMPAENFPDVFSPDSLFGRFPYFLPCLCTSIFAAAVLISCIWMPETLHKHKVRENENQSIEALEAPLIDPKEKVEESGSLDTKKSLFKNWPLMSSIIVYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFVYPRINKVLGPIKSSRIAAVTIITGTFILQNNAVPQNQRGAANGLSMTAMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGK >Dexi3B01G0027530.1:cds pep primary_assembly:Fonio_CM05836:3B:23091534:23095719:1 gene:Dexi3B01G0027530 transcript:Dexi3B01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGAGPLAVGVPSRRVQVAAVFALAVLLGVSVLYDSAHIAASLRRHGGGPRAYAKLSSDDTAAAAATVSSAAREEAVEAVSALAPPATGEESAPVEGTDRTDPPPQQPQEEKAEAEAAIKPGATAGSSVQDAPLIEEVVQGGGGGHGDGGAQEEQPASCDLYKGHWVYDEAHAPLYKESGCGFLTEQVTCMRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQAEAPWDKKSLVKNGSLNVFRLQEYNATVEFYWAPFLVESNSDDPDIHSISDRMIKPSSIAKHAANWEGVDYLIFNTYIWWMNTPQMKTVHGGSFSRKHVKYDEIERVVAYRKVLKTWSRWVEAHINPKRTMVLFMSVSPVHMQSEGWGSPNAVKCFSETQPAINYTKKLEVGTDWDLFATAQRVTRSMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTAEQKANPRKFADCIHWCLPGVPDTWNEFVYGHIMSSPPQRQMTEDQPHR >Dexi4B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:4B:10775542:10785456:-1 gene:Dexi4B01G0012800 transcript:Dexi4B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYRGSAISLLQHRVLRPSALQSRAFCGSTRDFSKVYRAPAARRTPSIHGRVSASSPERVQESSPPMTPWVERWLPAAARPSIAMAAEKAELPDMKMPALFGFGSVILRGVACTVNDLLDRDIDKKVERTKSRPLASGALTPAHGFYFLVFEVLLWLGFLLQLNNHSLALTGYNAHLAWQYYPFLAAAAGHLTWQGSAVDLSNKSDCNSKFVSNKWFGAFIFGGILCGVLAS >Dexi6A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:6A:28075831:28078571:1 gene:Dexi6A01G0020620 transcript:Dexi6A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSRRPVAAVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAVHALGIAVLIYKLTKEKTCAGLSLKTQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFKLYYVIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Dexi5B01G0024510.1:cds pep primary_assembly:Fonio_CM05836:5B:26544116:26544702:-1 gene:Dexi5B01G0024510 transcript:Dexi5B01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGHHNPTVEALDDDLIGRIQDLDAVRRHVDLVRAQIAENDALYAEAATQFAQSRRRLEEAEAAAAATAASLALPEPKSDKEVTELALKLGGCARRRALGGRCPRPGDSSPRDASVRCPAVSLLVARAISFAVNRVHLLPGVLLTVAAAYALAYVVSWGAVVPGPASLSRITILLLCFLFGVPVVGNLA >Dexi6A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:6A:28031681:28032489:1 gene:Dexi6A01G0020540 transcript:Dexi6A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKGIAGAGADKPSPDHDQNASPNVPAAAATAATAAAAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTAHHGGGEYESSDPGSYDYDEGEEGSDYEDELGARGALDGDEEVADAADEDDDPAMYEDDEAFARALQDAEERDVTGRLMALAGIGDWRAMEQDDDEEVEEEEDDGDDPQN >Dexi5A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:5A:374155:379313:1 gene:Dexi5A01G0000520 transcript:Dexi5A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSTEDDNYLQEDRGEQSARADATEVLATVDLKIAFGFEKLLNLEMLVMEIARRAADIEPLMRDPQSLSADSVDKAFEFDVLYGIVDSETNELEKLVASVRMDISSAEKQVSEEGPGSGVMYRLHNAADSLTKMQELISAIRRESATFEKAIPPSHDKQGTGKAMGYENDHLSVHTTMQAEDQRNLLQMLQQSIASKLDLEMKLCDSHSVVEDLKMKLRHAEQESDLLEDFIEALYERMFAAENASQFFLGTSKELIGKINTIQFDLSASVCREDDLKSKLEESKLNANQSTREIVPGDSDTNTSQEALQIQALSPPEFLTMRNKVQQLEGWLRDSGFQPQRSLQSREATEQEQSTTQSGTSIISDIKLAISNAESRAQKAEARCTQLAQTNVQLNGELNSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEQMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVENLENSLHQANQLKVSTAKDIGSKTKTISDLVAKLALERERLHVQVLILLSTKIVTLTKKNRVLAEKCKEHDNGATSLSKEFTATALKPVQVMEEASLNSSTAKPKAASTGNNQREEVEADELTPPEDESGAMRTLETVRSIEPLLLNWKHISVAVVVLLATVIAYQLYQSDDRGPQGGVWQSNASFGPNVYHANFLASTDAAAAAGNAMTLSHERTLFFAEFWEAPSPEDVRSKPSFCCPISDYGVSVGRCSLCEKEANKIIHPPSGGHSGVSDGINDLYFCAAGGVGLEDLLDSDFIYFDPDRDVELAKAINDYSHCGYERNDMPMMTTQRVKPSFAWEWSGDNPFGPLLEIHEALFEK >Dexi5B01G0029890.1:cds pep primary_assembly:Fonio_CM05836:5B:30990506:30994102:-1 gene:Dexi5B01G0029890 transcript:Dexi5B01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRHPSPSSKPRDHVAAPGSDAAAGGGAGEGRRGRPPLPSGGAAKRRLTEPKPQRGLEDDGELDGGIEAALPARLSLSRCACTVSPFMQVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDAMIAVLYPDIDKYEEEELAFNEQEKTRNKKIQETIEETFRRQSEAIGKKRSTAKATATAFARKYRRNMRTRGRGRTITPDIAPTGSDDEDIEEENATEDTKEPSSADDHSPDLRQKRCRKRSSSQASPAGTIGSSDHSFEENDEVVGGKELFATSPLRGEMLAWGKNGTRSQNRHGSANSNGRIGRSGRIAKLVDHLRTTDEMDKEFIAPQLSRKDEELEMYIRMDRYNESAGSKASSTGVLERLRGDKLLSELHPSFASSNGDLELLYALKNSRVDQFCPAAINL >Dexi3A01G0032110.1:cds pep primary_assembly:Fonio_CM05836:3A:36807582:36811518:-1 gene:Dexi3A01G0032110 transcript:Dexi3A01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPAGSQVTVTVSPTRGKAESPGGTDAVVKRGAGGIGITSPAPRHSLGGAGSPATATVQLSPVRRSGGGSRYASRDTGVVDDSAEFVHYTVHIPPTPERAVAASADSIDAPRASAAAAAAEEEVRGAQRSYISGTIFTGGLNQATRGHVLNTSASGGAASVAVSANMSCKMRGCDMPAFITSGGAGGGPCECGFVICRECYVDCVNGTGNCPGCKEPFSTGSDTDDTDAEDDDDDEAVSSSEERDQLPLTSMAKRFSLVHSMKIPPSGNGGCAGTATGGKPAEFDHARWLFETKGTYGYGNALWPKDGHAGAGATGFAGFEEPPNFGSRCRRPLTRKTSVSQAILSPYRLLIAIRLVALGFFLTWRIQHPNPEAVWLWAMSVTCEVWFAFSWLLDSLPKLCPVHRAVDLDVLAERFELPTARNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRTRRRQQEEAMAAGTLPAALPEAAATVKATWMSDGSHWPGTWLAAASDHSRGDHAGIIQAMLAPPTSEPVLGGEPAESGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSSALREGMCFMLDRGGDRVCYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRRKPTMGKKTDRETDNDKEMMLPPIEDDGFNQLDDIESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHHGRPAGALAVPREPLDAATVAEAISVISCFYEEKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNVGMYPFTSVFLLVYCILPAVSLFSGKFIVQSLNVTFLAFLLIITVTLCLLAVLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGSGDGNEEDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSLWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLICMTVSLLWVYINPPAGGRERIGGGGFSFP >Dexi2A01G0033260.2:cds pep primary_assembly:Fonio_CM05836:2A:43525781:43526350:-1 gene:Dexi2A01G0033260 transcript:Dexi2A01G0033260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHMQILSLFLLLLAASSPGAVLAAGDYGGDDHSLTRIHLYVHETLAPAANVTFAFVAPSPLGASSSFGSIIVVDHELRAGRDRYQSLMVGTSLGTTGGKYLTSIALVFTAGEHAGSTLSVAGLLVASKGVAFERAVVGGTGKFRLARGYSLTTIIGNPTPETGLFEVELFVLMHHGKKY >Dexi2A01G0033260.1:cds pep primary_assembly:Fonio_CM05836:2A:43525497:43526350:-1 gene:Dexi2A01G0033260 transcript:Dexi2A01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHMQILSLFLLLLAASSPGAVLAAGDYGGDDHSLTRIHLYVHETLAPAANVTFAFVAPSPLGASSSFGSIIVVDHELRAGRDRSSQLLGRYQSLMVGTSLGTTGGKYLTSIALVFTAGEHAGSTLSVAGLLVASKGVAFERAVVGGTGKFRLARGYSLTTIIGNPTPETGLFEVELHSKAVGEPKSVLKG >Dexi9B01G0047970.1:cds pep primary_assembly:Fonio_CM05836:9B:46954539:46957736:1 gene:Dexi9B01G0047970 transcript:Dexi9B01G0047970.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKKNANHQLKTKRGEHRRSRSRRQRGDSGSASHQGSKTSGAEMGSPLGGWPSYNPHNFSQLVPADPSAQPSNVTPATYIATHRTDPPPNQVITTESRNILLRHFYQKSEEKLRPKRAAPDNLAPENSNKQPRGAVADVGSQSNARS >Dexi1B01G0021500.1:cds pep primary_assembly:Fonio_CM05836:1B:27388563:27391914:1 gene:Dexi1B01G0021500 transcript:Dexi1B01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAADLEARQLRILGRIADLELAAQQRRLGALSIDATPSEDGEAAAGTTEARLSSLLAARGVRDFVFRRVPADYYDRPLEERRGLLRADSVAQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNAENIKNFLYELNEKQIPKKRFNMRLAPEEESHKLTGFVHNAVTCIGMETNIPVIIDEAITKLDEDFFWLGGGEVDLKFGMRTSQFTSAFSPFVVKCS >Dexi7B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:7B:3307832:3308241:-1 gene:Dexi7B01G0001880 transcript:Dexi7B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKTPVIVVSCKSDLVDEVRAAIIVAFPEIEACIKCSTLRKIKMDVVSYYAQEALLHPIAPLFDHEAQSLKPRYVRALKRIFILCDIDKDGALSDVEFNEL >Dexi9B01G0032730.1:cds pep primary_assembly:Fonio_CM05836:9B:34922570:34948043:1 gene:Dexi9B01G0032730 transcript:Dexi9B01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNYRTCLGRGGFGEVYEGILEDGSMVAVKRFICRVNCDVKENFAKELIVHREINHKNVVRLIGRCEEEKALMLITEYVANGNLSDVLHHDNHPIPLDLRLRIAMECAEALAYMHSHMYTHVIHGDIKPDDILLDSNLHAKLSDFGISRLANTDKTLCTENVIGSIDYMDPLFRSDGRLTVKYDVYSFGVVLLELITRKKPTTVVDNANIVYAFTSALARGVRGARRMFDAEIASKDNMKIVEGFAKIAGECLIMERDKRPQMIDVVERLRVLKKAAHQDHGQQEDRFSWVRKSKPGARSAALPTPVNTSPPDKLRQFSPAEIKAATDNFSSLWIGDNVACLIEIDGVATKVSVTCLYDRWFFNSIEVVSTLRHDHLVPLIGYCHHENSIFLVYEYMARGSQLENIKGTQKPPLSWKQRLETCIGVARAPHYLHELNIIHVPMTTGSILLDEQWLAKIGDIIYFESTGYIPTGFYFGDCHPNYAITARFLRNKEAWYRGHGDARRATMPSCSHPSIHLAAVAALRSPPAIVDPLAVGEQQSLPIVGPSAVLSADGCSSSRRRFVAVVIHPSSSVLVARHRKKATTVVNSVNIVSAFTNALARGPRGARGMFDPDIANRDNMKIVEGVAKIAGWALQSKEGNLDQTIDPYLKGRINPWSLNKFAETVEKCAADHGTDRPSMADVVSDLEYALHLQANDLEYALELQESSEASQSPAGSMPGDAMSQDIERNKDSMICPPALLRNNVMELLQEADRSKWMVHSNHSTKCFTKGDIDRMTNYYRTSLGSGAFGEVYEGVLEDGRMVAVKRFIHNVKENFAKELIVHREINHKNVVKLIGYCIEENSLMLVTKYVANGNLSDALHHDSCPIPLYIRLRIAIECAEALAYMHSQMYTHVIHGDIKPANILLDGNFHAKLADFGISRLVNTDKTLYTKNVIGSIGYMDPLFARDGLLTSNSDVYSFGVVLFELITRKKATAVVNSVNIVSAFTNALARGARGARDMFDAEIANKVNMKIVEGVAKIAGECLIMERDKRPNMIDVVERLRVLIKTLHKDQGQHRVDLFSLAEMKAATKDFHRSLLVGEGAFGRVYHGKINGGTNVVIKRRESSSILGEHEFYKEIEKSSKLMHHNVLPLVGYCNEMGEMILVYSYMAHGCLGDHLYGTKHHLYRTKPPPLTWNRRLEICIGAARGLHGLHSSQIIHGNVQTKKILLDEEWVVKIADLSFSKTGPSTENTTVTGTTGYGDPEYVMTGRITEKSDVYSFGAVLFQVLCARSFIDASLPAEQMWLAGWVLQNKKEGNLDQIIDPYLIGTINMCSLNKFVETAEKCVADHGTDRPSMADVVSDLKYALQLQASAELAGSSRADEGVSSKLPSVNSLKREVAEDTRGAKPAKMDLHKDKDPIQDAHGSKWTVHSNHDIRCFTGSEIERITNNYETVLGKGAYGEVFRGVLEDESEVAVKRFIRNVTENFQQELTVHREINHRNVVRLIGYCKDENALMMVTEYIPKGNLCDALHRGKIPIPLDIRLRIAKDCAEALAYMHSHMNAQVIHGDIKPANILLDDSFNAKISDFGISRLVGIDKTLVAEYPWGSIGYMDVRFARDGRLTVKSDVYSFGVVLFELITRKKATEIDGEVNFLDRFTRALASGIKGVREMFDAEIITSQSNMKILERVAKLAGECLRMDRDMRPDMVNLVERLETLRKASLREQRWSDPLSWVWTKVKKAPAIIGTNSASASLPPPCHRFTFAEMEAATNSFDELLILSECASFGRLYYGEIDGGATKLAVKRCRTWAVHRACQFFAEKDTMSKIGRHRHIVPLIGYCYEKDEMMLVYEYMSRNSLHHNMCNIQKAFLTWKRRLEICIGVARAIHHLHSSGFIHCNIATADILLDAAWGAKISNLSMCKAGPSTDEIPVGGCRGMADPEYRRTCRITEKSDVYCFGLVLFEVLSGQQPMVDQHGRVYSPLQM >DexiUA01G0013390.1:cds pep primary_assembly:Fonio_CM05836:UA:27866723:27869301:-1 gene:DexiUA01G0013390 transcript:DexiUA01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPSSNRADVEEQIQSLAATISSASVTIETIVDGLSKLGSIYSCIDELICFPSNQRQQRKTLEEELERSLVLLDLCNIMQESFSELRASVQDMQLALKRGDVVAVQAKAQSYARSVKKAQKQFKKISNKIVLDTDTCKVVRLLSEAREIALSMMESALHLLSKEIVMPSASKWSLVSKAFQKKRVACKEEQLQVLELEIVDLESELQIHGLPPEICELALKPSFHLKAVISSPSATIKTIVDGLSKLGSMYSCIDELICFPRSQRKAVEEELERSLILLDLSNIMQESFAELRTSVQEMQLALKRGDNVAVQAKAQSYARSVKKTQKQFKKISNKVVLDSDTCKVVRLLSEATEIALSMMESALHLLSKGIVMPSASKWSLVSKALQKKRVACKEEQLQVLELEIVDLESELQIVFRRLIQCRPVERSNNIQLRFLRLASAF >Dexi9A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:9A:5195071:5195494:-1 gene:Dexi9A01G0008780 transcript:Dexi9A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHKITLVLIFCLVPLSGRAEYLKYKDPKQPVAARVKDLLARMTIAEKIARPDDPTFLLHEHQLRPGLQWWTRCRRAPSRPG >Dexi9B01G0043080.1:cds pep primary_assembly:Fonio_CM05836:9B:43153300:43156213:1 gene:Dexi9B01G0043080 transcript:Dexi9B01G0043080.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQSEEALEAEAEGEKAMTTRETHEASRREGRDSHGRRHHSSSRSRRDDPSPRRRRDDRRHESARHRSRDEESAKVSDRDQKRNRDAEKRDDPPNAESKSVSDAKNGPSSRHERSPRGTKRFSESREPWRPQSFFKVTLPQFRHVASAKRSSPQVRRNQGPPQQRSSIRPTQLAKCVALDSGSTFMTHAFKCQVFVTMLDCLCLYVQHNERGSAGQGGQLYDRQASGYGRQRDQKEHLADRDKQKAAGHSLQGKIEQEDGDSTWRHDGFFQLEEAPLAKKRPPFQEMKMQDSAATVTDLDSESRIPDQPGPTSAVREERRSYHPRGFGNHRQFIRPDGQGFRRGFRDHRSEGQRNGYDSRGRFPGTGRMDRDTFTNSNGGRGNAYHQTPGDQGEKWKHDLYDQSNSNPPPKTEEEQIAKVEALLAL >Dexi9B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:9B:2976489:2979789:-1 gene:Dexi9B01G0005050 transcript:Dexi9B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHTRHSSRVLDFAGSRRHSQSHRHAAAAAALTSLAVADAAMATAARSLHLPALPKPSNPSSRNHLPVPSQFRPLPRRHGRRRLAASSSDLTSFPDSTPTPNGVFVPSAAAHPAAAATIDVDAATEAELRENGFRSTRRTKLVCTVGPATRGAAELEALAVGGMNVARVNMCHGDREWHREVIRAVRSLNEDKGFAVAVMMDTEGSEIHMGDLGGAPSVKAEDGEVWTFSVRSTDTSLPDRIIHVNYDGFAEDVKAGDELFVDGGMARFEVMEKLGPDVKCRCTDPGLLLPRANLTIWRYGSVVRERNAMLPTISSKDWIDIDFGIAEGVDFIAVSFVKSAEVINHLKSYITARSRGSDIGVIAKIESIDALKNLEEIIRASDGIMVARGDLGAQIPLEQVPSVQQKIVRMCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHETLELQDVSSSFSDKISEEICNSAAKMANNLGVDAVFVYTKDGHMASLLSRCRPDCPVFAFTSSTSVRRRLNLQWGLIPFRLSDSGDMESNLNRTFSLLKARGMVQSGDLVIALSDMLQSIQVMNVP >Dexi5A01G0023510.1:cds pep primary_assembly:Fonio_CM05836:5A:27494124:27498461:-1 gene:Dexi5A01G0023510 transcript:Dexi5A01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLRKKRKNLEPIEEAEKQYSEVSSEMKYLETKSKQIDELEERLVFCFFTGNKSAWLMFRFGPANVLFSTQYDEAMTWFLKCLQEFVEFAVGLDKEKNIPPDKSLKLSYKIDNDKVGGYRVVLGDFNTRENSTKALKNMLCNLKWVLYWFIGTTGFAPPSGALHMQS >Dexi3A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:3A:12056688:12059726:-1 gene:Dexi3A01G0016150 transcript:Dexi3A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTAGRWLLGPASGVEAAPAAALAAAAARRGYHERVVDHYNNPRNVGSFDKDDADVGTGIVGAPACGDVMKLQIRVDEGSGRIVDARFKTSGCGSAIASSSVVKLHCSMLAEDAIKAAVKDYEAKKGKLVKADE >Dexi7A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:7A:25484600:25487199:-1 gene:Dexi7A01G0015620 transcript:Dexi7A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSEEAELEAVKNVGCHGGQPPQAPARAAAHPMAAPERDPSMSSSSGHPSSSSRSRGSSSMSSIATTRSCSSGSGLAAAYPEGRILEAPNLRVFTFGELRSATRNFKPDTVLGEGGFGRVYKGWVDAKTMNPTRSGIGMVVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCVEERELLLVYEFMPKGSLENHLFRKGAAFEPISWNLRLRIAIGAARGLAFLHSSEKQIIYRDFKASNILLDTNYNAKLSDFGLAKNGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTGRPAQQHNLVEWSKPYLADRRKLARLVDPRLEGQYPSKAALQAAQLTLRCLEGDPRSRPSMAEVVAALEEIEQIKVRPKGPQRGDEAAAPSAQHHRSPRPRYGSGRTTGSGGGHRQSPTSTR >Dexi1B01G0022930.1:cds pep primary_assembly:Fonio_CM05836:1B:28598569:28599375:-1 gene:Dexi1B01G0022930 transcript:Dexi1B01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRTLRYPGAMATKHVIDGDINLDEVLLAIEKPQTKPREATLRELLTLVGNVRSLLLSPWCIEQFARAEEWSKVRLDRVRRLSCIIERREEGALSIASLLSNCLNVEQLRVSVVPSQGKWRRCSDGQCHGVLRNKGVALKRLKGVRMQYIDESKSGLELVKVLLKNAPALETMNIVPSMDGLEQAKFRRRVLRFRKSSRTASIQFCPAG >Dexi2B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:2B:29185436:29186291:-1 gene:Dexi2B01G0018990 transcript:Dexi2B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEARNKDTSPARGKLARLLLVALNCGMLALGTTAGPLLTRLYYDKGGSREWLSAWLESAGWPLLLLPVAASYASRRARHGRGAPLLLTPPRVLLAAAGLGVATGADNFVYAYSLRYLPVSTSAILISTQLAFTVLFAFLLVRQRLTAPTVNAVALLTAGAAVLGLHVSGDRPGGVSRGQYWMGFALTLGAAVLYGLILPLVELAYRRAAAAGGGGRAVTYALVMEMQLVMGFFATVFCTIGMVVNKDFQRSTCG >Dexi5A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:5A:8042177:8044665:-1 gene:Dexi5A01G0010720 transcript:Dexi5A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIPLGLGLPRGSTGICSLSVLLKSSPKPNLSCFGRVPGKLALFPPRAVSEDRADATPQWQLDFLGAGAGSLDALEEEEEDEDLLPAEANDWCVRARRSALRSIEARGLAPSLQRMVSTPKKKKKKKTAKKKDLKKAAAELKRRKKQLDVAEGDDDDEDEEDDDEVVDDLRDMDDLELRVAQFADGMFDEKRQRNREAFLQTLSSFSAAPSNRSKEVSLNRSIVQAQTADEVLALAAEVMAEVAKGLSPSPLTPLNIATALHRIAKNIEAVSMMQTHRLAFARQRDMSMLVGLAMVALPECSPQGVSNIAWALSKIGGDLLYLSEMDRIADVAITKVEEFNAQNVANIAGAFASMRQSAPGLFSALAKRAAQILQTFKEQELAQFLWGCASLNECPHPLLDALDAAFQNDARFQCHVCDVTSSVHQEMDRPLNFSRDQIGNIAWSYAVIGEMNRPFFLHTWRTLSQFEEQRVSDQYRQDMMFASQVYLANQSLKLEYPTLGLCLRSDLEEKITRAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYAIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGTPLGHTAFKRRYITASGWKLVSLALQEWDELQGEFEQLEYLRRILDIEPEV >Dexi9B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:9B:5994177:5994600:-1 gene:Dexi9B01G0009710 transcript:Dexi9B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELLCCSPEDAAAVSLCCRISSPASSSSFRGRRSPARRRWYVGVEEETGTELFYYFVESERSPRTDAVLLWLTGGPRCSAFSGLGFEVGW >Dexi3B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:3B:7374490:7375303:1 gene:Dexi3B01G0010510 transcript:Dexi3B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGFTFAMPGGRRDGLGSDLSDIPANIPSPSMQVQDLTKSFGAKGLSTLDLVALSGAHSLGQTHCSFVTPRLYPTLDKTMDRAFGDALQKVCPRRGGGGTVLDNNRVTDPNALSNQYYKNVIARQVMFTSDQTLMSDAGTAKMVQDNADDPVAWMARFAGAMVKMGSIKL >Dexi1A01G0030840.1:cds pep primary_assembly:Fonio_CM05836:1A:36020638:36021015:-1 gene:Dexi1A01G0030840 transcript:Dexi1A01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAARKREAAAPEPRSSWKGRRRGCSHRRSDYEARRLHACLLRACCLAYRIIVVRLLPIRAGPPADHLGLGLEARPALDRTERRRKEAEERVASFGGERASERWCCAGLAAQLYRRIWGLTGRD >Dexi9B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:9B:853751:855123:1 gene:Dexi9B01G0001450 transcript:Dexi9B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTPLVRLLSLLLLLLLPPALREYLLPSHNTTTTVHPVVLVPGMGCGDLEARLTEAYLTSTPRCSAMKGKGWFELWKNVSELAAHDYMDCFLEQMRLVYDPSINEYRNLAGVETRVPNFGSPRGFRNKNPLHSKQCFDYVREGLERLGYRDGDTLFGAPYDWRYAPPLPGQPSKVYSSFFKEFKALVEAASTKHHSKKVILVGHSYGGFVALEFVRNSPLAWRKQYIKHLVLVAPTLPQGFLNQLLRLVTGPSDLTYIGATALALRPMWRSFETGITDLPSPEVFGHKPLVITQPRNYSAQDMEDLLAAIGFVDGIEPFTRRMVPKMHYFQAPMVPMTCINGVGNRTPKQLVFWEGDYDRAPEMVYGNGDGYINLISMLVFDKHMRQQPGQKNQFKSIKIDGAQHSGIITEEWAVKRVIQEIMEANK >DexiUA01G0025270.1:cds pep primary_assembly:Fonio_CM05836:UA:53154981:53155175:-1 gene:DexiUA01G0025270 transcript:DexiUA01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRPVRFFPNSPSSCRVQLTVEYEIPELFGLVGS >Dexi3B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:3B:6895198:6899138:-1 gene:Dexi3B01G0009940 transcript:Dexi3B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWIPAILRRAAPAASGYGGAARLFASSSLLFDDTQEQFKESVHKFAQEAIAPHAGAIDASNHFPKGVNLWRLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGNPEQKQKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDLAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDAVLPYVRQREQFGRPIGEFQFIQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >Dexi1B01G0031250.1:cds pep primary_assembly:Fonio_CM05836:1B:34954354:34958044:1 gene:Dexi1B01G0031250 transcript:Dexi1B01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRRKKSPVNLPPPSEERERAERGGRRRHIALAGDGPAAARRLPLAAGVGAAPRRLRLLLPHLPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNTLLSFPADHPLRDHLLTTVVSAFASALAAPVSRDHDAPPLAALADALLVAANRPNHAPDRAARALACDALRALDAALPGLLADALGHLYALAAAERSPAAQSYLLLLASAARHAVRLGRLASTASILAVAGPPTPFSVPAHLLSAPQHASAAPPSEVNLRDIRKVLALLMDRPQVLTPAAAMEMTSILAEIASAVLEWAPAIAAHIKVQFGGMAYSSSPMLLHSLLVLFVQFPDAFGAQDERTMASRLALAAREAHRPLPVRLLALHWLLGTGRFTNLVPGLARWFYPSIFDPLALKAKKLDCLAYVTAGIDGEKAAGGRDSEQLTGLLDDGLSCVSAFRWLPARSTETGVAFRALHKVFVGTAPHSTDDTRCGGAGELLNSTIFLHVQAILVEMASDHRGLVPVISEFTNRLLACNLHQWAGEQLLRTLDERLLPRLEPGYQLASYYPLFEKIAQNETVPQLRLIELLTKQMVSLTKDHGPDTELKSWSQGSKVVGICRVMLKHHHSSHIFLPLSHLLVLTIESFPDLEVRDHARICLRMLSCVPGKKLRHLMGVGDQPSGVSPSLPGSLFDIPSQRPAQDSKSMTDLASYIHLERIVPLVVKQSWALTLPNFSVQSRPSGYILSIQEVSSTPSEQEKPTGPTIERIGYTQETLRVMDAKGAETLQILRRYFACVPDYLHSSGLKIRIPCTFRFDSEPFKHVWGSDLPVSGSDGADELPALYAVVIAFSSSAQFGKIPSCHVPFILGEPPGSGMDIIPVDNQNREESSYCASVVIELEPREPSPGLIDVSVAANTENCQVLSGSLKPITVGIEDMFMKASVPPDTPKEGVAMYYQDLFHALWEACDSSSNIGRETFLLGRGKGSAAINGTRSVKLMEVTPKVLIGAVERYLASFLVSVAGDSLVTILRRNGVIKNIVWEASVSDASVGADALVPYSPDSNLQLQLIDDDDIRVGSGRYGSENKRDMGCMQVMIFLPPRYHLLFSMEVGCASTLVRIRTDHWPCLAYVDEYLEALIS >Dexi6B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:6B:315358:319532:-1 gene:Dexi6B01G0000440 transcript:Dexi6B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNPRRRPGARPTPPSSSLLQSIPSPPPPLKSIAPPPATSPADSIRRSAAPLAPPSSSSRAPAPGRPSSRILGGDRSKKWGEPSERGCDFTADPGIEPHVLVLLRHSYHLLWTLHRANLSLSGRFSEPNFVVYYTGSNLDVRMVGPLHLVEFTKARADMDYRKLAACMDKFFAVRGAVPHHVRAWLDLIAQGYNGMEYATRYHTALMEPREVFTTTMALRRILERLRRTNSSQYSLIMYWLSGYDGWDPRRRCNNSHMDSSRRHTLRYGRFHHDVRGILKLARDCGAHPGKEMQELMLFIMEQDFPGLAANLHQAMFRGGQLPLLHLETKMA >Dexi5B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:5B:10759675:10763446:-1 gene:Dexi5B01G0014370 transcript:Dexi5B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGIDEEAPAPKHPQQRYRSVESHDHAVASMEPGSSAAAPRPMKPGTNLSIDPRTKMTSSNGHAVPSASQSDSKLELFGFDSLVNILGLKRIVGMGGVWQSLVLVAFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAIMK >Dexi9A01G0025470.1:cds pep primary_assembly:Fonio_CM05836:9A:25946458:25946722:1 gene:Dexi9A01G0025470 transcript:Dexi9A01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQPWVKSTVFRMSLWYERLGLLHDDFKNPGSLERVQRVNNMASKFWELYASDNSTATSLGICSANQWKWPRMVL >Dexi2B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:2B:12994470:12998044:1 gene:Dexi2B01G0011370 transcript:Dexi2B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGCYLLESGMAMMLMARSLCSRFRPTLAVAAFSSAPPAASMSAAAAEAERTIRDGPRNDWSRPEIQAVYDSPLLDILFHGAQVHRHVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKRNFNQILEYVKEIRSMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMIRMIGTARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGNEEAATPADTERSEQAVSM >Dexi2A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:2A:676909:679443:1 gene:Dexi2A01G0001040 transcript:Dexi2A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCCHALTGICNCLPFDSYMQQNGNFELHPVGHPLEAVGDYTSAGSMLPSATFTDLQCFREREIEKPIFVHASSISHRVESQMHLQTPKVEASHLIGSGLGSYKAYEMNGRFVPRKNTYSYSDSSKKANFVKGQWTPEEDRKLVKLVEQFGLSKWSYIAKMLPGRVGKQCRERWHNHLRPNIKKDTWSDEEDTILIKAHKEVGNKWSEIAKLLPGRTENSIKNHWNATKRRQFARRRSCTSSKPGPNKSGTLLQNYIKGLGIVPSKNIVAPLAQPTLSLSSPTTPGAGSAQLPNSNGILSIHDQNYGDTQASEELVARICDDISVGMCNELFDTKEQSCFQAIHTWDDYMDLDYIFNHIDHEMIKVDSEIHMDMMWNDTTALGYLHEAYGSSEIKTVLVKEEVDLIVMVAATQKYGEAEKN >Dexi7B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:7B:25392802:25404484:-1 gene:Dexi7B01G0019940 transcript:Dexi7B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLLDWYCQPVKDGAWSHVVENAFGAYTPCGTDSLVVCISYLALFGVCFYRIWRTTTDHTVQRYTLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQPGLAPFEFLFGILMVVYLPSVDPYPGYTPIRNEVLVDNTDYEPLPGGEQICPERHANIFSRIFFSWMTPLMQQGFRRPITDKDIWKLDSWDDTETLYSQFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKSMQKGDPSWSGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPIQTTIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPELPAISIKNGYFSWESQAERPTLSNVNVDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQLPRYEKAIDVTSMRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNNGELFKKLMENAGKMEEQAEEKQDESIPQDVAKQTENGDIVIVDGGSQKNQDNDSDKTKPGKSVLIKQEERETGVVSAKVLSRYKNALGGMWVVSILFFCYALTEVLRVSSSTWLSVWTDQGSLKIHGAGYYNLIYGILSFGQATSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSANRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNSVERVGTYIELPSEAPPIIEDHRPPPGWPSSGVIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEESAFSKMVQSTGPSNAEYLKSLVFASGEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQSVLEGKHNTEINESLTQYEVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPSYNFENNGSIDWDQM >Dexi1B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:1B:15172344:15181800:-1 gene:Dexi1B01G0012350 transcript:Dexi1B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAASEAALLAVALLPLRLLSISLHTRFSRPAAALRRARSAAALLAVAALVSAICAVPDAGARPGIPISGDADDAALRSEIEALRLKVAQLESLLEENTNMLNSKSSILEEDNKLIEAMERDIQLLVDVSESTEDSKSKSFSAESIKSMEDEVQQLQQEVSKISKNSGTIELLARDTERRVETLSSEVKKIEDIIAEQWIQIRQFEQAFVLTKMMASKVHERSRASGIVYKWPGKETILKYARDIDVNDIFHRGASYVRSCFSQTYEQSGGLIQQINRYYHEAYRFRKAICRQYIPDTDRLDVFFLGGSVSRSSISIPYNKFKSFISSTQKFHNKVQVFLHDALESNRYSRVLANDPVTFILAYLLVVSPMWIAWFFYSMRFGSRK >Dexi9A01G0036200.1:cds pep primary_assembly:Fonio_CM05836:9A:40735845:40740183:-1 gene:Dexi9A01G0036200 transcript:Dexi9A01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPAMRRGKPDRGPDKKRRHGPRLPRAMRQALDAMGNGTSRGSDDEEVSDAGAEDLYEYEEGVPEEEARKNGRYDDVAKYEYEFDSDASGADDNVTSEEEEDENDDGEDEEKQIRILEETTGMPKEAFSAKKRKQPLELPSQPGDGPVTIHDLLDNIQGKPGYSKVRKRLQQQEKKTMVVAAPLPKVEREKLERAVTYKEANKELTKWERIVKPNREASTLFFENDVNLGVNTIAAIANKFEPRNRFEKRMNEITQSNEIMEAHRYDGAKILELNKIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKAASADFEADPEAAKDYAMKQELKRAEERMTLKHKNTSKWAKRILKRGLSVQDEGTRAAIAAQLQQNALLTRKMNSMKDDSSSEESSDDDEDENDSEAKILNRGKERILKVLEEDKEIPNSGVFSLPFMERAMKKQQEAAYEEARQAVEEYDDSLRKLEDDSTERNEDSIKVTGKRTFGPAKNLPKDVNKKQKLEESENSDSEYDSDPAQHFGNNEATTKKDVQLGTALLDDEQNGLHKSFDDIMKNPGPKTTFEVGMLAGDSWKTVKSSKGNGNSSVSGITNKSKLLAPTVVPNPKQRDNNPDSDSDSDEEMVEGFLTISDTKENYELPSQAELIRQAFAGDDVEAEFEKDKMEVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMIKEHDVAKRNREEALKRRKDSKLKHVIISEHVDKKVEKYLARNLPFPFTSKDVYEQSIRMPIGPDFNPAISVSALNRPAIVKKPGVVIKPIQYEEVNPHQKPDEPKRVIQRAIPHPNAKKVSAKQSKGATSHKRK >Dexi5B01G0036520.1:cds pep primary_assembly:Fonio_CM05836:5B:36222242:36222863:-1 gene:Dexi5B01G0036520 transcript:Dexi5B01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGVNSFNSMAPQALAVQGYPASYQRPGTQPTHHWGTEAVVARSGQAEKPTDTRLQHQSSEHSRQLGSSHGSESRNRSRSGSESERRDHGRSGRASDDHYEDQSSRKRTRDSSPMYSEQSSRRSRHRTRSMSREQDASDDERNFKRRWGRRSSVVVDTRH >Dexi1B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:1B:23031292:23033120:-1 gene:Dexi1B01G0016520 transcript:Dexi1B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSPAPARGASTPVLTPTHAVKREDHELVLELGIGTAKRTEQDNHKTPTQPEDVQDREEDDEACFHSESPVELSLGCPLLPASAEIGSVNSEECRRGFDMNTVLVDGDAVQGRSLSTLSFPMEVSVRQATDQEGAEDEENIGVGGAARKKLRLSKEQSAFLEDSFKEHSTLTPKQKSDLAKRLNLRPRQVEVWTKLKQTEVDCEYLKRCCETLAQENRRLQREVAELRGLRTSPYPFYGRLPAAGFISTARPCPSWLVRYQGLN >DexiUA01G0008730.1:cds pep primary_assembly:Fonio_CM05836:UA:16329895:16330122:1 gene:DexiUA01G0008730 transcript:DexiUA01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSIAALLRRCSAASRLPASREREFLPSSASAPFSSGTVAATVPAASSPATRASPARSVLKVSLLVSPSSGL >Dexi2B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:2B:3441280:3442936:1 gene:Dexi2B01G0003870 transcript:Dexi2B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAHQVGANQPPLLSSPSRLPHGSSNAKLLSVSSSLGGRGVRLLLLPVPRGARRSSAAAGVVVRASSETKAETKSGEGEEEEERPYEEYEVTIEKPYGLKFAKGRDGGTYIQAIFPDGAADRTGQFTVGDKVLATSSVFGDEIWPAAGYGQTMYCIRQRIGPLYMKMERRFGKWDGAGDLTEKEIIRAERNSGVVSGRVREIQLQNYQRKMEQKMQREEDLRTGLRLYKDGKYEEALEKFESVLGSKPESNEASIASYNVACCYSKLGRIEAGLSALEDALKAGYEDFKRIRTDSDLENLRKSEQFDTLLKNYDESFINENALNALKSLFKFGEK >Dexi2A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:2A:27787534:27788632:-1 gene:Dexi2A01G0016190 transcript:Dexi2A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSFRKPGAVLMNTISSPYSSVSTSSATASAAPLSCPTSLASSPSVPLLDMDSPFAGAERHRISSSAFGGGAVSCSNGSWPPSSTMSHLENTLAAAALPPAPPPCSSASPLTSSDSVVESTTAPWRDDPKQSALWRRLRSAPPRKGSASRLMPRVSSHPTFFLRPCIRSISSTTVIQLLAPSSPRFFVAASEPGFAFLGRPPTLIWPTHVTLGDRGSSSSSSALCFALEPRNIGLLPRGFPPPAAELAPGSWSWRRRAAAALSMVVRDGLQMGLGISASPSAPCLPSHHHHHHILQPPPPSSWLRSAPEASGGSTSAGEEVDGD >Dexi6A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:6A:16467636:16472092:-1 gene:Dexi6A01G0011520 transcript:Dexi6A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHAGRGGRSDAAAAEDTPRGGGLGLHGHGSGPSVVLTADPKPRLRWTADLHDRFVDAVTQLGGPDKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGRQSGKELAEQSKDASYLMEAQSGTTLSPRGSTPDVKESQELKEALRAQMEVQRRLHEQVEAQKHMQIRMEANQKYIDTILDKAFKIVSDQLSGFGISDQDLPDLASAGLMFSPADPLSPSVFHQLSVSSVSLHSPGGGKALPHVAIDISQKLPELKRKSR >Dexi5A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:5A:8658376:8660114:-1 gene:Dexi5A01G0011540 transcript:Dexi5A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAGKGGGADCSTGLEESMRRMGLGGDGEAGDAKLPERPGEVDCAYYLRTGACGYGEQCRYNHPRDRDRAAPVNGVERTAGTVEYPERPGQPLCEYYAKNGTCKFGSNCKFNHPKEGGFVPVALNSSGFPLRQGEKECSYYLKTGH >Dexi6A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:6A:18405630:18405854:-1 gene:Dexi6A01G0012160 transcript:Dexi6A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVVLLIQAPMSEPSAMEREARLVDAEMLLETTLSASAVSSVDAAVAMRRTQAALLCTR >Dexi5A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:5A:25411811:25413483:-1 gene:Dexi5A01G0021610 transcript:Dexi5A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVDPFFSFGPNAFSRSFSSFSMAFFLFSSLEVLASAGLALATRNVVNMADDMNDKASLRVIWFLNLLKAAIRSLVLAQPFLATRRRGIEGTCRDAMAVVDC >Dexi1B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:1B:9325328:9325804:1 gene:Dexi1B01G0009950 transcript:Dexi1B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAAHHRTGSLEDVEATLRDSVVVTKRGRAGSGRLLLAVLLTVDGGSRFLRQARGVSCSRFALDRRQLAFLHCPGSRHRLPLCALSWTASSRMALSARLKVVPVLLLEDGVDVVVLVARASRMVEWMREKSRCFGGGFTRADDTGNGSLSRWTCCD >Dexi2A01G0023330.1:cds pep primary_assembly:Fonio_CM05836:2A:35049286:35052891:-1 gene:Dexi2A01G0023330 transcript:Dexi2A01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding TATTLRNEGRIDYTRGVSREQRAINRRNNLGWADAAKRRRLKWLREQKARETAVANYEKNLCALEEERFGEVFYDGEVLDFVETPFGFTVKPGDPGQTNDDFDRVLPSIVVSLALFDGNKMIFACSGIPLPRGKDGQVLLTRFVTSAHLVKAFKVYRNRDDNFRVEVRLPNNKTTDGFLGLYDDDIAIVTCLGRLDVCPIDLNFKEKLAAPSCPGDSLLAAGRAFMSGSLMAMHGSPCKLCNSTWIPDDQRKFKAVLGGPLIQKDAGFIGLIYDFYYDHGDAIVRYSFLSLELLCVRLDRFEILNPKQLHFRGYSLPEGVSSIVPSGFMKTVNRIRSCGYPMPPPLVLEFNGRLLNQFEERFGDLLAWKGYPYGNPSSPFNKERVWRRLPKQVVTDISRRVVSLASFDGYVRSFACTGLLIKWHGSKTMHTVILTSASLVRNRHNEDNIDKNLRIEVFLPPNQRGSGTLEFYNLNYNIAIISVKKNFNVVRAEDIFSKTVGKPSDEELVSIGRDTIHGPLMASTGEVKRSKKGSKLKCKDIKVSTCKIKKAGIGGPLINFDGSFVGMNFYDGSGVTPFLPKHKIVQVLRGAIDFPLPSESGHDYPMPIGVGGDTKENRWPVPKPFWYHGALDVDRYHVPMLPGRHLD >Dexi4A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:4A:23052484:23062546:1 gene:Dexi4A01G0019240 transcript:Dexi4A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTLHRKIASSFKDQFLLQIFQISLSSLNQLKSEAPDDFGHVPIDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKELAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGEAPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQSSSLYIINIMEPLLQAYTERSRLPAPGDSDELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQEFIDAELSARVLQLISMTDTGAHTQCEDVIDHTLSLFMELASGYMTGKLLIKLESVAFNLEASPDAAFWTDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAITLWTDEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYRTLCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDILAFRKCASAIDSLAAFYFNNITAGDAPPSPAAVNLARHIGELPNLFPQILKTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQVRVVLCLNFNIPY >Dexi9A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:9A:1942581:1943307:1 gene:Dexi9A01G0003650 transcript:Dexi9A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTALPAPAPATVVVDKRFCAPEATAFAVVKTISYTGRDFTVTDAGGAAVMQVEAAVFALLKKFLLLDAPPRPVVTMQDSGYFMNTRWAVFRGDSTSRRNLLFTVVKSSAIQIGRTKIYVFLASNSGEEAPDFVIRGSYYDGACAVSPGNSDATIAQASITRQNTVQLIGFGRNKYTTRINPGVDQAFILALTVILDEMH >Dexi8B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:8B:4014021:4014335:-1 gene:Dexi8B01G0004460 transcript:Dexi8B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFDVGFTSDLNDLLRASAEVLRKDTYGTVYKAELENGTIVFVRRFGVEMTKDQKNFKAEIAVLGKIRHPNLLAPIACHVGRDEKLIIMDYMPRGSLSDFLHG >Dexi5A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:5A:16585623:16590517:1 gene:Dexi5A01G0016360 transcript:Dexi5A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGALRSHPQPQLHPGGVLISQSRPLPRPRNLISAAAAGAQQESCRRLRCAAVNGDGGPREVGPPPEREKSPSSGPGASVEDSVAGPPVTVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >Dexi1B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:1B:2615889:2620546:1 gene:Dexi1B01G0003270 transcript:Dexi1B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLLHSVPATTTTTAPPAAAPTLDSLGPAGAPSPQVQKAGREGPTGANAKIRLKAGMANLTSGFASIKCSGVMHVDKGHMQASGLPFLSFRRGAQLDISSLGSVPRFVGARSTCASQQHVRHKISAIRASTLSCLQNDTTKFFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMEDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQKNGEIYCYGVDTIDIETQKIVRIISKVTLLASGGAGHIYPTTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDDGLPIKPTTKRENAFLITEAVRGDGGILYNQSMERFMPMYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPRDKILAHFPNIAAECLRYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADDDAGASLAAARWARPTLPWPTLGGGALSDIAERTRRTRAELQSVMWEYVGIVRSTGRLKQAEWRIGDLESEWEEFLFRRGWAPTMVGVDACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESRRKPTVIFPDAVQELTWSSKPLQRQLQCK >Dexi9B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:9B:11907421:11911770:1 gene:Dexi9B01G0017130 transcript:Dexi9B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAGDGGSLEIVPHSSDLEQDLPLGNSLRQDSLFRDANRPAHADHHGQESWARTLRLAFQCVGIMYADLGTSPLYVYSNTFKKGVGHPDDLLGVLSIIIYSFILFTMIKIVFIALHANDEGDGGTFALYSLISRYAKICLIPNQQAEDELVLRHKHQPRLSATRRRAQWMKNLLETSKAAKITLFFLTIFATALAISDCMLNPPISDAEVWITVGILVVFFSVQRFGTDKIGYTFAPVVTLWLLLISGIGIYNVIKYDTGTLRAFDPKHIIGYFRRNKKKGWVSLGEILLCVTGTEALFADLGYFSIRSIQLSSTFILLPSVLLTYIGQAAYLRNNMDNISNAFFSSVPKRFLFRQVEPKELMVFRCVARYGYRDTLEMANGFVEVLVEYLQYYVRDLSLYELGEPLRLSDYSARIDSFSTEKPSGHAIYAEEMITPIQSFSELTMHPVGMSNILTHFQTGKMNVEEMLKIEEDQKIIQREVNNGVIYILGETEVVAKPHSNLLKKIIVNYVYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >Dexi3A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:3A:10359703:10360736:-1 gene:Dexi3A01G0014210 transcript:Dexi3A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPEASKATVTTTRTRLMMMTKTRELDASCSCRVIMSGKDAIDMDLIGKDSIGMDRIMPGKDEIDMDWSMLRKDVAAIDMDHAGEDEADQQRWCSPAPKGMEAARNRGKRES >Dexi9B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:9B:10584680:10584956:-1 gene:Dexi9B01G0015510 transcript:Dexi9B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPWPLISGGAKDLVRRMLEPDRTRRPTALQLLDALQFFTPALRHFASVTEHPWLKAVVIASEAPASRGL >Dexi5B01G0024300.1:cds pep primary_assembly:Fonio_CM05836:5B:26355843:26356546:-1 gene:Dexi5B01G0024300 transcript:Dexi5B01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLLMRLLLLAAVASAATAAGDGCRTGCDLALGSYYIAPNQNLSYIASLFGISDYRELESYNNLLVPNMDYTEASTRLTVDFVCSCLALPTSLFSTYLAGSFPYKVSPGDTFSSIAANYQNLTTAAWLQATNGYPSNHTLDSGTVINVTVNCSCGYPGVPPEYKLFLTFPLSDGENIDSLQEQYSLPLQFDNDYRIAYIPLTVFFY >Dexi5B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:5B:16256927:16257665:-1 gene:Dexi5B01G0016630 transcript:Dexi5B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLKGKEVQGEVSATGEGDKAEDWKEGTKGWEPPREGWIKVNVDGSFVEQTGEAGVGVIARNWQGEVIFSAWRVIFRCASALEAEALSCVEGLRLATQWAQEPVVLEMDCKCVVEAMKSGEGRSEVSFLIMEVKELTELIVNWEVVQVKRECNVIAHELAHLARRNCHSAVWLGREPACVIDQVKFECNSLLMPS >Dexi5B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:5B:2994671:3000851:-1 gene:Dexi5B01G0004440 transcript:Dexi5B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGDKPTATDATESVLGLDGEETLSERESVGARQGPGLPNGGGKETPSSSPSAGSKRKRNDLGSNQMELSDPDYLSYIGTDVEIALDESRVVYDMDSDDEEWISSWRKFLVGDNITTDELAEDLFERVMDKLEKFAYSHNCNELSIDQMKELDIDNVPLDIIEVIHAYWQDKRKKKGMPLIRHFQSAMWKIYEQKLHEWESTGPKQRSHKRLMSTGCHSFSRENDGFYRQVSGRKYNECIGDGRISESYDSGSLCSPTGYSPRFSTRTDSPRAFDGSERSSTPRYFRTNSVKRTASFAFSDDYQPSPSFRYQKVKRGVSDHWNTVTHEWQNSKHLFPGASRVDIEELKLRDATSAAQHAAAMAKLKREKAHCLMHKADLALHKATVALMIADAIKSSSRDTSRDGRRDSRDEER >Dexi2B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:2B:27617170:27617481:1 gene:Dexi2B01G0017160 transcript:Dexi2B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi1A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:1A:19684044:19689263:-1 gene:Dexi1A01G0013940 transcript:Dexi1A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRGSSFSRVLLLVLALSVALLAPVPAAATSVFRVRRKFPRHGGAEEAEEHLAGLRRHDGRRHGRLLGAVDLPLGGVGLPTETGLYYTRIELGAPAKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGNGSTVGCDQEFCVANNGGVPPTCSSDSPCQFRITYGDGSSTTGFYVTDFLQYDQVSGNGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQSNSSVLSQLADAGKVPKIFAHCLDTVHGGGIFAIGNVVQPKVKTTPLVPNMYATLDFPISYSLLLPPHYNVNLKGIAVGGATLQLPTNTFNSGANQGTIIDSGTTLAYLPESVYKTLMAAVFDKYQDISIHNINDFLCFQFSGSVDDGFPMITFSFEGDLTLDVYPHDYLFQNGNDIYCIGFLNGGLQTKDGKDMVLLGGKINLLCSSSIKIEDDKTGSTYTVDAHNISSGWKFQWHNSWILLFVITIWRYIIF >Dexi5A01G0026770.1:cds pep primary_assembly:Fonio_CM05836:5A:30336590:30338605:-1 gene:Dexi5A01G0026770 transcript:Dexi5A01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLALYHVLGVASCVALLYFTLGGVDLRHISLPSLPGSGLDSVSVSWPSSRPAALTAAFVERRGAQLFLNGQPFYPNGWNSYWLMDQAVEPRSRNRVSRMFQAAAEMGLTVCRSWAFNDGAYNALQVSPGHFDERVFKALDRVVVEAGRHGVRLILSLANNLEAYGGKTQYVRWAWEEGVGLSASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGVEYRDDPTILAWELMNEPRCTTDPSGDTLQRWIEEMAAYVKSIDKKHLLTVGLEGFYGPSSPQSKLNVNPGVWKDNNYGSDFIRNAKSPDIDFASIHLYPDTWLLKQHATVDEKLKFVKQWVASHFEDGDKELGKPVLATEFGLSRRAQGFDPSHRDVFYKAIYDIVYRSAVRGGAGAAAFVWQLAPEGMEEFHDDYSVVPSEHPSLRRLIKAQSCRLAKLHPDAGEEAKRALKACSAAGSS >Dexi3A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:3A:16433765:16434404:-1 gene:Dexi3A01G0020700 transcript:Dexi3A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSVITGTSLGAPAPEEGRLAEHLVERQHDGHGQRHLRPRLHHQAEHVGSHHRLLGPHLRHGPPPLVVHRAAPPQVRPLHLVPSAAAGDLPAGAARRDVRHGLPAAAADVLRRPLHGVERRKLAGLLAGEDEPLVGAHQAADHLPAAEGEHGAARGVHEHDLAAGVGGVSTRYTRSPLASNTVNPLQLNSSDLRHTVSVTGSSSAAAAG >Dexi9B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:9B:6728462:6731401:1 gene:Dexi9B01G0010670 transcript:Dexi9B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRRALRRLDLRRSPPPRPSPQAAAAAKEEARPWPRSSSSTSSSSSSASAPTKHSAALPAAAPRGGSAVRVYPLRDFPGSDATALGGAFRDNVRWLLKQWGSAAPGSGTACRALLSDERTGAVVPIVAVEELAEASPAPLCDLCRCAGWSHHWVSKHKYHFIIPAAVDWDQPLRADALLGRSDHLLHGLIHSNGFAHLVTLRGRHGGSPLLAGCQIMDIWDQLCAALRVRAVSVVDLTHKHSMDLRLLLGVAHGETWFTRWGYGLAKGCFSVSTSTYAAALEALAALPVDNLRSRHVRRVLTIYRRLSNKPLATVREFLHCLLDWKHREAPLSPPSVKTSPRLTFLLPKSCVVKRLRQPCKRFEDVVDLLECRWSKKRLLNAAEVVVDKLREHANGRKISRQAVRDAARGAIGDTGLLDFVIKSLNNTVVGNHIVRRVPDPENRLLHFSLEEYVEPDSDPEPAPQLEPELDPELVEIDAESTPPAVRWPSTSEVERDLRAVCRAMAEARSEAAQAVLDCKHWVKWWGLGDESDDQLRFLVEWRPQQWEATELTRPMPPGDIVVVPLHASIGELIVEAERALRDTYCLFEGFQAESLDGIAGEKWDPVMLGGAESGDTIRVHGHGADMETGLRCQGGIDAWEVQCVCGAQDDDGERMVACDACNVWHHTRCVGIVDGAPVPPLFLCMSCGGALIAAGPILDEALTVPKVE >Dexi4B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:4B:776242:783084:1 gene:Dexi4B01G0001240 transcript:Dexi4B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRRDAARSGELQRPPAPSGMSRSGELPTRGASAGPGPGPAPAAVRHEGWLVRHGRRKIGRSFFHMRYFVLDNKLLAYYKKKPRDGMIPLKSIIIDGNCRVEDRGLKTHHGQMGAYDIEDALVWKRKLEILIDQVQPEDEEEPRPTLLRRTTIGNGPPDSVLDWTKEPDIGLSRLRIFEELVEIEYLARSCSRAMRAVGVVEASCEAIFGLVMGMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWLVWPRDLCYVRYWRRNDDGSYGLREYFAQTDEVHPVPRIPVMHAMVNAVPMKEDEKLQELDMKTKQTDNKILDMVDEESEDDDDYQVPGANLEEEPTKTDSDAKSSGYAGKFTMILQIPGPSHYSLVLYFVSSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGPEYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAVNPELDNIS >Dexi1A01G0025930.1:cds pep primary_assembly:Fonio_CM05836:1A:31988401:31989857:1 gene:Dexi1A01G0025930 transcript:Dexi1A01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLKLLPLFLFCSSYHYLTAHAGDDQSYKVLSLDSLKSDAVCSGPTAPSSDGASTVPLHHRHGPCSPLATKKTLTLEEILRGDKLRAFYIRRKFSKGGAGDVEQSDAATVPTTLGTSLDTLEYVITVKLGSQAATQTMLIDTGSDVSWVQCKSCSQCHSQADPLFNPSSSRTYSPFSCSSSTCTQLGQEGNGCSTSSQCQYIVTYGDGSSTTGTYSSDTLALGSNTVKNFQFGCSQVESGFNDQTDGLMGLGGGPQSLASQTAGTFGTAFSYCLPPTSSSSGFLKLGAGTSGFVKTPMLRSSQVPTFYGVRLQAIRVGGRQLSIPASVFAAGAVMDSGTVITRLPRTAYSALSSAFKAGMRQYPRAPPNGILDTCFDFSGQRSVTIPSVVLVYSGGAVVNLVANGVILDSCLAFAGNSDDSSLGIIGNVQQRTFEVLYDVGGGAVGFRTGAC >Dexi5A01G0029840.1:cds pep primary_assembly:Fonio_CM05836:5A:32893606:32895771:-1 gene:Dexi5A01G0029840 transcript:Dexi5A01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAPPPAAGAASSRISSVLRLLSSSSSTGGSGGGDEWGASSIPSGGGGGDEWGSTWSTGLTKDHFDGSSPSVGRPVPVPSPSAPVSRELAAVRAMDEKDKLMRDLEQDNRQSKAYVDSWGNRMRDTCALLKQVREPGARGSYLKDSEKQEMYRLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGQPLDDSIEILLDSCPEEFHVATLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLEFNKKKVAGEVKCHKYSRRKPDDGWSYMVEKLGPQGKRGSGGGWKFISLPDGSSRPLNNMEKMYVKRETPRRRRRIIAPYK >Dexi1A01G0029400.1:cds pep primary_assembly:Fonio_CM05836:1A:34909942:34912155:-1 gene:Dexi1A01G0029400 transcript:Dexi1A01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALTPPMARPGRRWPSTSVPRRGRTVAALPRRCCCGRRHLIGASSAAALLPLLAPPSRAAPPIEPEVMLERVHPSRPDWYEEFYAVAMDQGMKSYEAELEQLDVLKPHSADWWLHECTLQIAGYKAKLFSRLSTTRKNILELGVGTGPNFKYYASNNGCIVIGVDPNKHMENYARKTALSAGLPSSSFTFRRGVVVAEALPVEDNSMDVVIGTLVLCSVNNIDMSLREIKRVLKPGGLYLFIEHVAAPDGSLLRLVQGAFDPLQQFVADGCHLTRKTGENIRDVGFSSLSLDSVRLSNAYIISPHVYGVASK >Dexi8A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:8A:10675701:10681161:-1 gene:Dexi8A01G0008720 transcript:Dexi8A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASHMLLEEPLRLASVLAPAKPKVFPSLTKIVGTLGPKSHSVEVIQECLTAGMSVARFDFSWMDAAYHQETLDNLRKAAQNVKKLCPVMLDTLGPEIQVHNSTGEPIELKAGNHVIITPDMSKAPSAEILPIKFGDLAKVVKKGDTLFMGQYLFTGSETTSVWLEVVETSGENVNCLVKNAATLAGPIFTLHASQVHISLPTLSEYDKQLKAFIQSHDLPDTQIYAKIENSEGLDHFDEILKEADGIIISRGDLGIDLPPENVFMFQKTAIHKCNLVGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVDAAETVYNQPLQFKKVMSFVGDPMPHEESVASAAVGSAIKVKAAAIVVFTFSGRAARLVAKYRPTMPILAVIFPREGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGQSVGIVKPFDRVIIFEKIGDSSVVKIVECEG >Dexi4B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:4B:15700475:15700972:1 gene:Dexi4B01G0014590 transcript:Dexi4B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLTMIVFPPLCVTCVVLRLTGVSWETTAQIAAALIVFVVVIGLCDRLRQRTSPWQQPAAAEFQDAPPPESVLGLGASAIASLPVYKYKEKKGGASDECSVCLGEVKTKETVKQLPVCSHLFHEGCIDAWLRSHRTCPVCRTAVNAATAVATSVEVSVHTPAN >Dexi3B01G0024000.1:cds pep primary_assembly:Fonio_CM05836:3B:18713650:18714470:-1 gene:Dexi3B01G0024000 transcript:Dexi3B01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGQRSRPWVGMAGVGTASEPAGDSVARDDATAASSMRGAAGADASTNSSAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSRRSSGDDSREDAGDGRGLPLARLHHHPMSDAAAPYKLSHSPQVEEPVAGAADLTVLMPGQRYPTFLAQPAPLAPWRREGVRWPAHEHGPSYLPP >Dexi6B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:6B:18558892:18560224:1 gene:Dexi6B01G0011340 transcript:Dexi6B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCCFCRRISDVEQRPFHAGDIDQQIEIVYTSTTKKFDLGEALHLNATHRQSRQLGDLLSLADTASAVGKWYSMFFLVIEASVARREKMECSASYEVMLEQRWEMVNENGVGSKLASKRALVGGVMEAKQESLMNSQQGDAHYNSGSRRGR >DexiUA01G0011900.1:cds pep primary_assembly:Fonio_CM05836:UA:23696606:23698871:-1 gene:DexiUA01G0011900 transcript:DexiUA01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPVEVPASQPPFRSPADASYSPRRSGAVRAAAEYEEKKTMTPAAPSPAAARNGNGKSNGGGGLGRDGEGPTQVCVGPHTQIILNAGSNAASPRQNPDGARPMKQHDDHYYSPAHSTMISSSPRRKEDRPRPNMLSQHDTQEQVHYQQAVSSRSREQQPASSFSSSRSSSSPQDDVNPTVCVHEVAELTNLEGKGGVLKGQGWLYFGEILIFRRRDVMTCEEIFGEGFRTFQLCSPFRWAEDLQASSPEGVNDANHQRRFRTHDGQIDFLLLSKAQQGRDIGHADCDVL >Dexi3B01G0026240.1:cds pep primary_assembly:Fonio_CM05836:3B:21219081:21219453:1 gene:Dexi3B01G0026240 transcript:Dexi3B01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVSSHHSSADSSRRQRRTHSPPFIFIVAPGGASTLATGATTSNLNLRSTAAATVFICTYAISFPRHTLGPAWNTGYLAASTGTNSPPSPSHRSGTNSMQSSPHTFSILPMAYAE >Dexi9A01G0043300.1:cds pep primary_assembly:Fonio_CM05836:9A:46798021:46799361:-1 gene:Dexi9A01G0043300 transcript:Dexi9A01G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGPEPWQRGLAPSREEAFRFGQQSRYAYRAEPSSLSDAIHRNQGITLLLINLSNTTGYNITLQNDINVSLRKSPDLEKRSSFTHRLRKAVSWLGSMPSSDTKKREEYHLTAKDGDLQSKTMLLNGVPLELGNDGSVPVMNPVLVAVDLPVYMAPTSIAFVVLPNFEAKACS >Dexi3A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:3A:1645111:1645560:1 gene:Dexi3A01G0002430 transcript:Dexi3A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGDTSPVSNGERVQPTPVSPLPSEGGDMTTMAILDRTIELTRLILDAVGRRGLDPDLLRLSDGRLGAVIPASSEAMAHLRETTAAETREDECAVCWESYEEGDKMCAMPCSHAFHDGCIRRLLAISSICPLCRFVLQAQAGPED >Dexi8B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:8B:10159014:10159240:-1 gene:Dexi8B01G0007900 transcript:Dexi8B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKTTKKIVLKLQCQSCKHYSQHPIKVYILLSFRCKHFEIGGDKKGKGTSLF >Dexi2A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:2A:7468413:7469978:1 gene:Dexi2A01G0007550 transcript:Dexi2A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESLAKKYEEKYKQAAELASKLAVEEAAFRDVQEIKVELHDALVKMVQGGSVDGLLQETACLLITYLYLLGWNLDHKREPLSGMKTRTNLKTKDLVFVKDNGTIHENPVSAKNTEVPSLWDDVDDMSPVASSKMVISKMKGVTVVVIKWLRVK >Dexi8A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:8A:23313138:23315344:-1 gene:Dexi8A01G0013320 transcript:Dexi8A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAPVAAPLATDAQLLAAAVEAAIASRSARLGRAAHARALRLVAPAVPPFLRAHLVNLYSKLDLPGPAAAALASDPSPTVVSYTAFISGAAQHGRPAPALSAFAAMLRLGLRPNDFTFPSAFKAAAAIAAPRSAAAIGPQVHALALRLGYLPADAFVACAALDMYFKTGRLALARRLFEEMPNRNVVAWNAVMTNAVLDGRPLETVEAYFGLRKAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGFEMDVSVNNSMVDFYGKCRCVEKARAVFDGMGVRNSVSWCCMVVAYAQNSGEEEAFSVYLGARRAGEEPTDFMVSSVLTTCAGLLGLELGRALHAVAVRSCIDANIFVASALVDMYGKCGGIEDAEQVFFEMPQRNLVTWNAMIGSYAHIGDARNALAVFDDMIKCGETAPNYITLVNVLAACSRGGLTREGYELFKTMNDRFGIKPRIEHYACVVDLLGRAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDVRKEMKNVGIKKEPGRSWITWKNVVHVFQAKDTKHEMNNEIQALLAKLRSQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICADCHRAFKFISGIAGREIIVRDNNRFHHFKNYECSCKDYW >Dexi1B01G0023190.1:cds pep primary_assembly:Fonio_CM05836:1B:28855385:28858817:1 gene:Dexi1B01G0023190 transcript:Dexi1B01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGSSHHQAGDLTDVVRAGGAMPDLSSTTTAGWHLPATEPAGAGPGLFPPPPQPSSSDGPGPSGDGFGDAFAGLAADFFDFEAPPVAGGGALVDSGGGGGGAMARGTTMQMMPALSPREIRPYSLMMAGGGDAVKLGVPAMMPGGGLAVGPLPCAFDAAVAGMMQMPSPHGGGIKRRKSQARKVVCIPAPAASAGGRTTGEVVPSDLWAWRKYGQKPIKGSPYPSKNNSSHNLQKPTVKSDPDQTAPAASSASTATAANSTTTTTTTSTASNSTPTTTMAVKEEAMAGSEMEKGMDHHVTSVEMDHGDLMQQMFNQSYRPMIPEGGHHVDDFFADLAELESDPMSLIFPGGGDPGKEKATSKNLGADSLFNMLDWGTGQ >Dexi6A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:6A:8844734:8845442:-1 gene:Dexi6A01G0008420 transcript:Dexi6A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEASSSSSPSPAEAGDGYWAAREEAAARLEAMAARALGEDNLSAEQLETNNQLQADEVCS >Dexi8B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:8B:5327611:5328468:-1 gene:Dexi8B01G0005300 transcript:Dexi8B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASDRAEVDTARPFRSVKEAVAVFGDRILVGQSHSIHSSSASAIATPNANTSARANAMPTPSANHEASSSSSTMTFYPTPMAETEEEEIMPATVPMYSAPSSPTSLTSSPSPIKARSEHHDDHRDGHEQGIMVVHSIQKLEAEVAETRQEVSQLRKRGNEMEMAVASLNAQLHRGLSKLAEMEAERAARRSIGGDTDVASALRWGDKLAASEYLPSFSHALSLGEIEDAAAELMGSRRRKAQKVKPIVPLIGDILFFKRKSTKEKVDDGFYSGDLYSAID >Dexi8A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:8A:1831064:1841165:-1 gene:Dexi8A01G0002800 transcript:Dexi8A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSRLRAVSAHLEPPPPDAAARPTASAAEYAHGAHLPLFSHLLSFIAQMPSVRLIVVVGGEDVKIPPTPANTGVQIVTYTKLHNQGKTSPQLFRPPKPDDVATICYTSGTTGTPKDNLKLMDDLAVLRPTVFASVPRLYNRIYAGISNAVKEAGGLKERLFRAAYNAKRQAILNGQNPSPMWDKLVFNNIKARLGGRVRLMTSGASPLSSDVMEFLRICFGGEILEGYGMTETSCVISTMDIGDRDIGHVGSPNPSCEMNYTSEDQPYPRGEICVRGPIIFRGYYKDEVQTREVIDEDGWLHTGDIGLWLAGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSSLVAIVAVEPEVLKAWAASEGIQYENLSQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAKLQEAESARAKL >Dexi9B01G0033400.1:cds pep primary_assembly:Fonio_CM05836:9B:35563516:35564794:1 gene:Dexi9B01G0033400 transcript:Dexi9B01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPFITSGSKQKEQRPCAKMAAALRLAVAVSCALVLASACHGLEVGYYKKTCPRVEQIVRAEVKKFVYKNAGMGAGLIRLLFHDCFVQGCDGSVLLDPTPANPKPEKLSPPNFPSLRGFEAIDAAKDAVEAACPGKVSCADIIAFAARDAVYFLSRLHVKIDMPAGRLDGRASDATEALDNLPPPTSNLTELAGVFAAKGLGVEDMVVLSGAHTVGRAHCSSFVSDRLSAGEGSDIDGGFARSLRKRCAAADRIAGDEPTVNQDVVTPNAFDNRYYKDVLARMVLFTSDAALLTSPETAKMVRDSANIRGWWEDKFKKAFVKMSRVGVKSGKHGEIRKNCRVVNHGYPHGHY >Dexi9B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:9B:3020388:3021982:-1 gene:Dexi9B01G0005130 transcript:Dexi9B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANTTAAGTATAAPAPAAPAAPVQVPRGQVDLVDFIDWTGVECLNQDPSHSIVNALKQTLRDDEGLHLASDSDEQLLIYIPFMQVVKLHSALFKGPEEEGQSKWK >Dexi2B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:2B:991261:992555:1 gene:Dexi2B01G0001530 transcript:Dexi2B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPLPELNDDVVREILARIPPDESAHLARAALVCKTWRRVVLSGSGGFLRRYRELHRTPPLIGFLHKTGSGRLFFPTAAACPFARPPEASDLWWHLDYRDLRPLDCRHGRVLFRHLDTRNLIVWDPVAGDWQEVPDLSIRYLFSFAMVLCAVAGCDHCACAGGPFLVVFVCNIAGTVHGCVYSSEAHLSGPCFMIEVKTGQARKQ >Dexi2A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:2A:2151184:2158964:1 gene:Dexi2A01G0002640 transcript:Dexi2A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPDRTNADLRRRLAVDATPPPQIARVIAGAEFVFGLGHWCGARFFRCILVVAEKQGLDTEIPLSPQWLMKVGENKDPISQGVRSDVPKTSGSGEDPGYSAKKKDVFRASALDGETGRRDRWRDDEREPNSTHRWSRWRETDKEHGDPRKVERWSDDTSKYSVDSRRAPQERWGDSNNKEGSYDQRRENKWTGRWVSSDKESENWRDRWGDSGKEGDTSREKGFSPYAHNKDVNNYEKDTERDDNVSRSWKSSYPVGRGRGDLSHYPSQTPQKSSAAYGYGRGKPDNDFANFPSRGKFTSGSSAVSSGSSRPFHLGLLSDRPGGASGDRSAFKYSRMKLLDIYRSCDVTDFKIPVDCFEEVSVFLEENALEPLALSAPIAEEAAILKAIDKGDIVNSGVHQASKDGSVGKASREDQPGGMEDYKGETFGSLKGVPGNTDLPARGESLRPGTSTYVVPQRSQFIGEHRLGPSSEFGHQIPNFLNHETKSVGMPGVDDFVSLVQPHPNPESLSLFYKDPQGQIQGPFSGADIIGWFEAGYFGIDLLVRVVNAPPDIPFLMLGDVMPHLRAKARPPPGFATSKSSDMLVPETQPTGKFSSPSMQAGTAGTGIFDSGPGRKDTAVEAQNRFLESLMSNNVRNPPADTFSITGGMNELGNSSFGNISVGGGESGINMNYLLAQKGLLERQNSLQNPVPPMADPSRQSLQSQNVDLLGMLQSKEKPQIPTGNSGLPLWSNYPEGRNVNPNMHGVDLTQGSLNTRQDLQNPQNIGIGVQQHSFMPQNRPTLAHLPPEKLAEISQDPQLLNMLQQQYLLSQLQVQSQTSLTPQPQLSMLDKMLLIKQQQQQQQQLQQLQLEQQQKLLLQQQLLSHMVPHGHPNQQIDDSYGLKHSSVTSGDAMNLGLRRMQEAIEVDRKLPAHGMQVGQQPSQSNMNMREMQVGQQPSQSNMNMRDMQVGQQPTLPLPHETAVIALSKEHYSRSQMLEGFANNDAQLKSNVVKMNEEVKSHEMDTGAAKTKMSEKVLDSGSTRAPGSASNEAKDSHAPPLDPKSENVLSNISRQVQEMKLSSENTSSDIATTVKTEVKVADAQETKKSEKKKKQKKKQAAADAGKVASKTVSAQQPRQETEVDSSDLGGNKHDLPDDTEELFWGAPASVQNEILPHKSLHEEYDTNTAETQFSSLSDPHSAASQRAWKQPAQGVRPKSLLEIQAEEQLRAQKGQAMESAKPVASVPSIPWNGMATSSEQHYGGSSKSLGGIETTGERNKRSQLHDLLAEEVLARASIADNENTGNASDAFFPPLSPAALQPDAPALDDNDFIEAKDKKNKKKATKTKASVKAPSPVGSFDQSTVSMPTEKGKAAKQALQESEILPAPPSGPSFGDFVLWRSDQASSVPAPAWSNDSAKVQRPLSLRDIQREQERRSAAMQQQAPLPTPTKVATNQKNHGNASSWQASGSSPSKAVAPVQMSNNTPSRSKSSAEDDLFWGPSEQSKQDKRQSEFPTLTSQSRSSMMKDQSPANRQKSQAGKLPVSSAAPANQSGKGKSEASNKQTEAMDFRDWCEGEWVRLTGTNDVSFLEFCIKQSTVEAETLLRENIGSLDRNHQFIDKFLNYKAFLSSEVIDMAFQAPSTCGARGDSAARANPATAARGGTGADMELDGGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNAD >Dexi4A01G0023600.1:cds pep primary_assembly:Fonio_CM05836:4A:26639675:26640148:-1 gene:Dexi4A01G0023600 transcript:Dexi4A01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCARKLIRAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTNRGPALSLRGGGATMRSNTLTPT >Dexi6B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:6B:8802755:8804868:1 gene:Dexi6B01G0007560 transcript:Dexi6B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQFRFCLAVLLAGMVAIARADTPQCIDHAPVFPHPIREAGVLVKGLPGGYRAYVTGPASSCRAIVIATDVYGFEAPLLRQIADKVGEAGYYVVVPDFFNGDYADKTNKTLVEWLEAHSPVNAAEDAKPLFAALKKEGKSIGVGGYCWGGQ >Dexi3B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:3B:11352557:11352858:1 gene:Dexi3B01G0015670 transcript:Dexi3B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLECHRLPPERRIESVLVERPAAVTDSRWVDADDDERRGLRPPCRPRRPFRPLPRPLAPRAVHTTHHLPRRRHDHIYRGLPIRSWDDGVLCSPV >Dexi2B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:2B:7617488:7619932:1 gene:Dexi2B01G0007290 transcript:Dexi2B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRRKPPSRAVPVVGPPAAPGMAATAPLLAQEGGGSTIRGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRAAGWVAGSVGVAAAGFATLYCMLLLVDCRDKLEEDETEECYHGHYTYGDLGERCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHSIFSQLMSPAGFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAMVIKEDLQLFNHPFASRSAFNGLWAVPFSFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVAAIITVYVCFGVCGYLAYGDATKDIITLNLPNNWSSVAVKVGLCIALAFTFPVMMHPIHEIVETRFRSSGCFQKLSSNIRGAEWLGLHSSRILVVAILTVVASCIPAFGSFISFVGSTMCALLSFVLPAIFHLSIVGSSMSLGRRVVDYGILLFGLAFAGYGLVSAFSSH >Dexi8A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:8A:7346561:7347025:1 gene:Dexi8A01G0006860 transcript:Dexi8A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTMYKEGRVATAVRSLLDRRRFGGGRRSGAAVGCFSVYVGAGRERFAVPVERANHPLFRRLLDDAERDYRYAAQGPLALPGCDVAAFLAVMWKMEHDNDEEDGEERIYTLSSSPMCALIPRRRCGVNNGGRVEGEYRMLSPTPRSSRRWRI >Dexi3A01G0032330.1:cds pep primary_assembly:Fonio_CM05836:3A:37084017:37085929:1 gene:Dexi3A01G0032330 transcript:Dexi3A01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEDVLESLKNDGSIDALRMKIIAQLKANEDMKKNTMMMVEQSKVLNTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDNGGLGKEITETVEKVFCRLSGMDMMPPPPSAAGADQEKDDMAIDEGEKSKEMDSFEPSSSRKRPFSDINRKGAGAVPNGSATEQHDESDDSDQKM >Dexi6B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:6B:4701143:4710888:1 gene:Dexi6B01G0005430 transcript:Dexi6B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRLKRRPTKLEGKSPYGPPTSSGGDPSLSLSPPRELPSAPATLPDPGAASAPTPLTPPWRANSPPAMDAGGQIFSVDPLERQAARGHGVVTSMAAGSDVIVLGTSRGWLVRHDYAFEDAHGKSPLPHFAPGRSGDHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQSITEASTKEVILGTESGQIFEMAVDEADKREKYVKPLFELTEQREGIKALQMETAVVGNSTRYYVMAVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGSGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSGNKPRSFALSEFHFLLLIGDKIKVVNRISQQMVEELVVDNTPETSRGIIGLCSDPSTGLFYAYDESSIFQVSTSDEGRDMWQVYLDMNHYAAALSHCRNPFQRDQVYLVQADAAFAAKEYYIAASFYAKMNYVLSFEEISLKFISIGEQDALRTFLLRRLDNLTKDDKMQITMISTWATELYLDKINRLLLEDSTGSTTNSVAEPNSSEYCSIVNEFRAFLSDSKDVLDEATTMKLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRCNVPVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLENEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTSGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALKVDVELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREQDCGVCRRKILTVGGLHQVGRSYTSAGHMAPFYVFPCGHAFHANCLIGHVTRCSSQEQAERILDLQKRLSLMDRKAAKDNGAGANGESIMSTTPVDKLRSQLDDAVASECPFCGDLMIKEISQPFILPGEADEKASWEIKPQPATQKILPMTMSI >Dexi4A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:4A:21209838:21210611:-1 gene:Dexi4A01G0017500 transcript:Dexi4A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTKKKRVARGSKKKKGAVRDVVADLTDDVLVDILSRVPVKSLCLCKSVCRRWRDLISDPDHGKKLPQTLAGFFYGSYDTKRFLTLAGHFISVSGDPVINPSLSFLPKYESIDFVDGCNGLLLCRGWKPTHPVTLDYLVCNPATEKWVVVPDSGWSRKAARYEQYEQTITRLGFDPARIARLGFDPAVVATYSSNTGIWSLKEEHFNWNGDGGF >Dexi4A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:4A:11833507:11835747:1 gene:Dexi4A01G0012970 transcript:Dexi4A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGRNRAAETPGDGQGQEGEDARVFRSTDYSLPRTTLALALWLGGIHFNVLLVLASLFLLSRRTAAIVVAFQLFFMFVPVNDRDKWGRGIARFIFKHAMGYFPISLHVEDYKAFNPSRAYVFGYEPHSVLPIGLSALADLVGFIPLAKVKVLASSAVFYTPFLRQIWTWLGLVAFLKTRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPGGKLFVKIARAIKFTPIIFWGRFGTPFPFPRPMHVVVGKPIEVDKNLQPTIDEINEVHERFIIALRELFEKYKGKAGYPGLHLRVL >Dexi9A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:9A:10919038:10919386:1 gene:Dexi9A01G0016000 transcript:Dexi9A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKAEARQSGGRPESKRPPPARPRRCRGDVNTPHARGRRGGEVAEEAHVGLRLASSRGGVSRTHSVERPACASRKFPGGWDWRWREDVGGG >Dexi2B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:2B:12187167:12189721:-1 gene:Dexi2B01G0010770 transcript:Dexi2B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGKQPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYSRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMFFLNTVAVFVLVVAKLPNMHKVRIFGINADI >Dexi2A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:2A:16077020:16082266:-1 gene:Dexi2A01G0013140 transcript:Dexi2A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAKEAPPLPQPAAEEDGMLSATAAMAKEASVSFQGRRYSECALVLAKLLEMKEGDPKVHHNMAITESFLDGCPDPERLLKILGDVKKRSEELACASREQVDSVNGVGSNASSGSRGSGIVLPYSAAHNASTYGDEFDTTIITFNTAVILYHLHDYESALSVLDPLYRNIEPIDEDVIQYLERSFGVPNTTNPNENASIAQQQSAPPKPPAKSNTPPDSDSTVYGGGCENLSAGNFSDEPIEFESLYSSFDGHQNLGRPILNDVSRASADLAATAADLKVRLQIYKEHLVTASDIEDGNQGAVQGHKNSGQKSNVSTDSKIASGPTLANVNGEQKGTSLNATLQSSLALYDEICRKENLKIRQAILGSLAFVELCLENPLRALSYAKSLQQLTDCSRMYVFLSHVYTAEALCALNKPKDAAEQLSVYMKEGNDIELPYKVENCEKTLVEKDSDGEDTVAPTVTKLTSEESQDSESLKPEEARAILYIDLGMTAAMQGEFEQADYMVNRGLAMLPNNPRAVLASIYVDLLQGKSQEAVVKLRHSRSVRFRPSSVAASS >Dexi3B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:3B:14384554:14385132:-1 gene:Dexi3B01G0019360 transcript:Dexi3B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATRAPGRAGAAPGRSISNSKGGFSVPSHVRLARRAGENRRAALLRVAASSGRRDGWGADSSSSSSSDDGQLVDANMATLRRRIREARAWEEDGIDVDDYEVAEADAGGDLPLPEGWTELERRHHGDYVASVRGVVAVLEVLLLSARPGLGAGVLAILLLGVPASLFFVVCAQLMQTLESVSSRLPIGR >Dexi7B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:7B:24029194:24030281:-1 gene:Dexi7B01G0018200 transcript:Dexi7B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGSADDNPFSNGGGGGAKQQYGFRSTEPVGFGGAGRGDAVVDVPLDTMGDSKGKARELSSWESDLKRREADIKRREEALKNAGVPMEEKNWPPFFPLIHHDIANEIPANDVR >Dexi3A01G0026120.1:cds pep primary_assembly:Fonio_CM05836:3A:22186594:22191072:-1 gene:Dexi3A01G0026120 transcript:Dexi3A01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCAAAAAAVPARRRIFALRRRKQRGREREPRRLGERLYMEVAAEFKMENDNGAVDLEVDIIGPGGGAACTKLNTVEDPDATECSSSFGDTLSGSEDDGRPSEISDIEVDSPFCRYPHNRDAAALLDAAASDNMDRLFKKTMKRRRRKRNEDKMNASYISNHTILSYFEKTEADGHSIEDNDNLVDDNTKGNNDADWLLGIEGGDTTVEQILLSIQAAQDRVFSLRSNLKQAMAKNKGITLKVKTWVNGTQSSNCSPGKGKVLGLRERSPQDTSDCDIDDSAMPDSALSSYGEASNMDIFESTMTLLSEGPHQMGEFRESSEDVLIDNQAAEEGYQNFEVISHPTKRLRVSVKREAGAHSEDESVAPVVGVKKEEAQEEATTSFSLHGAFLKPCFTGKRQERKPKKQMKRRRGCPAAAAAALISWRSKRIRKKKQF >Dexi6B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:6B:20135304:20135686:1 gene:Dexi6B01G0012490 transcript:Dexi6B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRMNIDCNGCYQRIRRALLNMQGLESHLIDRKQHRVSVCGEFVPQDVAIKLRKRTNRRVEILEIKEVDAGGGGDPPPEGGGGGQQP >Dexi2B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:2B:13144068:13146926:1 gene:Dexi2B01G0011510 transcript:Dexi2B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEDPAAAAAAAAPDSWETADIDGPMSRLILTARRVSSSPDLAEEQQDPPPPPPGPQPLGAAPSPARDDSVAQVDQFLREALEKPRERLSVLRMEQDILKFIRDPRQAQFEFTGLPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGTGSRIILRKTSSECRLPAVRLADIPVNLPQEESSTVAKVAIKQRPQKNFHSINSSSAHSSRDNLQKSVEERKEEYNKARARIFNNSSSSNAADGRPDEEVTLPNTLHRSTSLELNSSNRMGQGAEINHITLERSLTTTSASSRSNRSKMEKEPAASRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFNGGPYTIQPLYAPAVNYNTEFPQLGSAHRSPVAVEQQPHPIAQHMPGSWSAGQAPNAIGYGPPDGVMAPYSPGPGHTGAPVRSSVFMHASQQYAIPSRPGVPYVHPQESMGPFAQTHQQQPEASLRFARPR >Dexi3B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:3B:13255277:13259451:-1 gene:Dexi3B01G0017950 transcript:Dexi3B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMACAGFEGFVHNDERKESKSDADNSEGDKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRDSIRDVRDVKELQDVETFRKCLIDEDLLPQQHDDYHMMLRFLKARKFDVEKAKNMWSDMLRWRKDFGTDKIEEFDYTELDEVTKYYPQFYHGVDKEGRPVYIELIGKVDANKLVQVTSIDRYVRYHVKGFERCFQMRFPACSIAAKKHIDSCTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINADQGFKMLWSTIKSFLDPKTASKIHVLGNKYQHKLLEIIDECELPEFLGGKCKCDEHGGCQKSDKGPWKDPEIIKRVLNGEANYERQIVTISSTDGKIIGYARPEYPARKGSDASAESGSEVEDSKLPAHASASVAHASIEESIPVVDKVVDDGWGSPRASLQASSSGSLSFRNLPVTFEGLRAQIITWLTVLIMTLFAMLCSIPSKMARRISNQSIKHDEYRVEYPQEQEYKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDKQELVKFRKKKFCF >Dexi8B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:8B:16135043:16137733:-1 gene:Dexi8B01G0009240 transcript:Dexi8B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLSPPRRTLSMGSGGAMGRRAAAAAATASDSPKPALSRSMTMGGERTVKRLRLSRALTVPESTTVLEVCRRMAARRADAALLTDSNALLCGILTDKDIATRVIARELKIDETPVWKVMTRHPIFVLSDTLAVEALQKMVQGKFRHLPVVDNGEVVAMLDIAKCPTPSPGWRGPPRRARRPSPAVVMVSPGDSVLTATKKMVEGHASSAVVAVGSKAQGILTSRDILMRVIAKNLSSDSTPVEKVMTPDPECATVDMPILDALRTMQEHKFLHLPVIDRDGSIISILDVIDITHAAISIVESSGDGNEDAAASMIQRFWDSAMALSPLDDETDTQSQMSEASRSQTMSDVHHYSMGGSEAAYPSLFSFKLQDRRGRMHRFSCEVQGLTPLVTCILRRLGADIDPDRLPQILYEDEDQDKVVLASDDDLAAAGLKLYLDYSGTTGRRKAAASSGGAMAVGMSTRDAWAAAYSGVAAGAALVTGIGVMAYLRRSG >Dexi4B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:4B:11872089:11876769:-1 gene:Dexi4B01G0013360 transcript:Dexi4B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKEAFVDYWQLKKDVKKLQAAAGESVAVPSAVSSPWLRQTLPTAHWVHKKLMIDRSVDDAIVGEVYETGVADGAGFVDDEAAKVFFQRLDQQLNKVNRFYERKEGEFLERGESLRRQLQILVELKAAITQQQQARHGGGSSKGSTDTDDPPVSCSIQLGDQSLRQIAEQEEDGDTKLTNDETAKSTDEGLGDQLSISQGVVESGKLGRPDEEVARKLRTHSGRVVTCQGRNVRINIPVTTPSRTVTAIRELLFEDMLSQSKKTSTHGTDGNEKLSINKRKLRQAEKMIRGALVELYKGLGYLKTYRSLNMMAFVKILKKFDKVTDKEVRQIYLKVVESSYFNSSDKAVRLMDDVEELFVRHFTEGDKRKAKIPVKLPGLFTGGFLALFIGYCIMAHIAGMFTQQSDKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDIFLICTTSMTIVVGVMFAHLTSIVKGNSSRAVQAIPGSLLLVFLSILVCPFNIIYRSSRYHFLRVIRNIILTPFYKVVMVDFFMADQLCSQCARRWFDEGDINHIVNLGKYVSAMLAAGTKLAYENNDSAGWLSLVVIVSSIATIYQLYWDFVKDWGLLQFNSKNTWLRNDLILKQKYIYFLSMGLNLVLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKIVPLPFHEVGDD >Dexi3A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:3A:936890:939833:1 gene:Dexi3A01G0001330 transcript:Dexi3A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTAALLLLLLLALASPYPITATAPEGSNNAALGRKGGYVVDEPPASPGRYAVIFDAGSTGSRVHVFKFDKKLDLVQIGDDIEFFAKVKPGLSSYAGRPQEAATSILPLLEEAKKIVPLRLQKNTPLKLGATAGLRLIGDDKAEEILEAVRDLVHTKSKFQYNPKWITVLEGSQEGSYLWVALNYLLGKLGGDYSKTVGVIDMGGGSVQMAYAISTNAAANAPTAPAGMDPYITKEYLKGKDYNVYVHSYLYYGTLAARVEILKAKNGPFSHCVLRGFSGNYTYNGKEYDATASPEGAVYDKCREEIIKALNLNAPCETKNCTFNGVWNGGGGAGQDDLYVASFFFDKAAQFGFIDSEAPSAKSTPAAYKDAADKVCSLSAQEAKAAYPNVLDVPYICMDLVYQYTLLVDGFGLDPSKDITLVARVKYGEYFIEAAWPLGTAIEAVAPKKMNQDS >Dexi2A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:2A:25029796:25030225:-1 gene:Dexi2A01G0014870 transcript:Dexi2A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGCDGVGAGDVGEEAARGGRGAEGEVEREEGVGEAEVGGEVAGGDGEGVERAGGGEGARVAAVVLGGGEEQEREVVAREEAEKGREAVAGDVREEGVEEAGGEGGVGGGEAGGEDERQGLEEEAAWRGRPGGGSETR >Dexi5A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:5A:12065587:12068287:1 gene:Dexi5A01G0014860 transcript:Dexi5A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGLAGLVALVAAPGSAKVGFYNTSCPNVEALVRQAVTAAFAKNSGVAPGLIRLHFHDCFVLGCDASVLLSVNPGGGRTERDAAPNNPSLRGFDVIDAAKAAVERSCPRTVSCADILAFAARDSVNLTGNLFYQVPSGRRDGRVSTENDALSNLLGPNSTAQVLIDGFAKKSLTVEDMVVLSGSHTIGRSHCASFLFRNLQRLASGTISPAYQALLEALCPPNPGQFAPNTTEIDVSTPAVLDNNYYKLLPLDLGLHFSDDQLIRNATLAPFARAFAADEAMWKAKFAAAMVKMGNIEVKTGAQGEIRLNCSVFNPGSSSSAAGIEMLFPSGTSSSDVAAEEVATS >Dexi9A01G0033350.1:cds pep primary_assembly:Fonio_CM05836:9A:38254773:38255394:1 gene:Dexi9A01G0033350 transcript:Dexi9A01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRAGVLEYLRGGYKRLRRRDATPNPWAEAPRRGDDEKDKHKALTRTHSYSPPRKEHAHTAARHQRKKRGGDSQRSGDEDPNRQRKRRLLRSLRLQGIRLPAQPPG >Dexi1B01G0031280.1:cds pep primary_assembly:Fonio_CM05836:1B:34963965:34964383:1 gene:Dexi1B01G0031280 transcript:Dexi1B01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGDNSPSSGGLCCQTAGAGAEEGARGLESAADYSGVRCGEVEGGGAVAGEGLGDGVDGVGVEAPSKGAKSSRRTLARGLLFRLVLEKVDMGQSVHWWVRS >DexiUA01G0000050.1:cds pep primary_assembly:Fonio_CM05836:UA:612503:622465:-1 gene:DexiUA01G0000050 transcript:DexiUA01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVAAPRGGSAPEPARAGGVTLKLHHGGQALKKQKARESSLHTQSQAQLNVEVDLKVLVDIWPNRNSRLEIETILEDIRVLSRDMQSFKLVHANRSFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEVQSCGGNEHQMDLAVYAGRKCMIILIFPDRLYSTMVDIGMVLELHHLDSESICFCGAGGIHAFPSSILLSVFREMKKYQKDFPSWCGECQRGKRERQSQCVKATKMAFLRGSGGAMI >Dexi3A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:3A:5097876:5099440:-1 gene:Dexi3A01G0007420 transcript:Dexi3A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRRRADQSSPAPAPPPPLRTPKRQPVVVFAHGAGGPSSSDWMVHWKEMVQDALDTVEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGIVKDAASKYPGHPLILMGKSMGSRVSCMVASSDEIDVSAVVCLGYPLKGVNGAVRDEILLQMKVPTMFVQGSKDALCPLDRLEATRQKMSCKNELHIIDGGDHSFKIGKKYLEARSLNQHDVEMEAVKVISQFVQNSFTESCA >Dexi2B01G0024060.1:cds pep primary_assembly:Fonio_CM05836:2B:33537229:33537419:1 gene:Dexi2B01G0024060 transcript:Dexi2B01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLAAPTASVGAPSPKFPIEPEIFPNLELLSRKL >Dexi4B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:4B:611973:612384:1 gene:Dexi4B01G0000970 transcript:Dexi4B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRGHAPDSLSYLALIRAYTEGRRYTEAEEAIQMILNSNITPSCPHFSHLIFSFTKEGNISDAQRIFNRMKEVGVAPDLACCRTMMRVYLAQGLVEEGISLFETTRSLKLGMY >Dexi1A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:1A:6066976:6074334:1 gene:Dexi1A01G0007870 transcript:Dexi1A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLCASENNKRSMMRDSEQCLHEGVASKRRKVPGNSLCNLPMLPSDFKGFLNLKSLTLVDVRIIDDDVQHAASSSSTPTAASSYAPTVVASSAQAAAQAEALRAPLNPLTSIVGLLGRTVQPPPLTPAVAAAYHAELTALATATGLADPAGLADLAATTGLRGFAGSLPLDGGMRVFDTTSSMWTGLMCRERPYCKEDGELRTGLPHEHAHLKSVRICGFFGYKDQLELALHILHSSTVLEKMEITPKIRDK >DexiUA01G0027570.1:cds pep primary_assembly:Fonio_CM05836:UA:58821921:58824128:-1 gene:DexiUA01G0027570 transcript:DexiUA01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSTFTGLVFFLSVIFYHLPFAAALVFNYTSFTTSNYTSIKVEGDAKINDTGGWIEVTGRKDSRIGDSRGRASYAASPVPLWDAVTGEVASFTTRFTFVIDPQSKYGGIDNKGAGMAFFLAAGSMPPDSYAYDLSLTGQNPAAIAAGDARFVAVEFDTFNDTEALDPNTTYDHVGIDVNSIRSVATKVLQSFSLMGDMTAEIKFDNISSLLELKLWLGSDTTPYKLSHKVDLKNALPENVTVGFSASTSTSVELHQVHSWYFSSSLEPKPAPIIAPPPPPPPPPPSPTPSMSSARRGGGVIEGASVGAALFIVLLFATAAALLARRLRRSKRRMEEMLEDFGELDGSDNGDEPIMEIEMGAGPRRFPYHELPSNVMLDQHFNAKLGDFGLARFIDHAGGMQTMTAVSGTPGYVDLESLITGKASAESDVYSFGVVVLEVMSGRRPMSLLPDAGGNVFRLVEWAWDMYGRGAAVAAADERLGGEYDAAEVERAVAVGLWCAHPDPRARPSIRAAMAALQPGGGGQLPALPAKMPVPTYAPPPVVISPEGLFSQSTVLSAVVTSSGLTKSSATTNTSCVSDTSSGSTGLKDSSSLLKHQY >Dexi8A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:8A:19036751:19039586:1 gene:Dexi8A01G0010890 transcript:Dexi8A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSADVLWPTSSSSPPAPTWFLLMVTLGFLVVVRSAATFLAWLHRAFLRPGKDLAMSYGRWAVVTGATDGIGRAVALELAHRGLHLVLVGRNPHKLARVAKEAMATTPPSHTCKVRTVVFDLASAGDNEQRHGVARVVAAVEGKDVGVLVNNAGATYPCAAYFHEVGGDVWEDVVRVNVEAATRITRAVVPLMVAKGRGAVVNVGSGSSVVVPAFPLYAVYAATKAYVDQFSRSLSVEYKQYGVDVQCQIPLYVATKMSPVKGDSPFIPSPEEYAKAALRCIGYEARCVPYWRHSVQWFFASLVPAAALNQWRLQIGIRKRNEMKALLREKVCS >Dexi3B01G0034570.1:cds pep primary_assembly:Fonio_CM05836:3B:37307451:37307774:-1 gene:Dexi3B01G0034570 transcript:Dexi3B01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHATVEDRGVVQGLPESEGFQPGSRATAPPPAMGTTTTASRRWLCRVCQVECGEREVFREHCGSDEHFDGLQAFGLSPDLFLESIKQMIVRPSMQKLHLRHNRE >Dexi4B01G0022990.1:cds pep primary_assembly:Fonio_CM05836:4B:24488542:24492282:-1 gene:Dexi4B01G0022990 transcript:Dexi4B01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPLPLFLLALLLLLLFPPAASASPERDAYALAKLKSSLLPPSSSSTSLADWDPTATPPAHCSFSGVTCDAATSRVVAINLTAVGPFHAGSLPPEIALLDALTNLTLAACSLPGHIPHTLSAMPSLRHLNLSNNNLTGAFPSSSSSDANTSLYFPVLELLDMYNNNLSGALPPFDHRHVSLRYLHLGGNYFNGSIPDTFADLASLQYLGLNGNGLTGRIPPSLSRLASLREMYIGYFNQYTGGVPPEFGDLRSLVRLDISNCNLTGPVPPELGRLTNLDTLFLSINQLTGEIPPQLGDLTSLESLDLSINNLTGEIPPTFVNLSDSLKLLNLFKNHLRGDLPGFLGDFPHLEVLQVWDNNLTGQLPANLGSNGCLKKLDVTGNHLTGTIPPDLCKGKKLELLVLMENGFFGGIPESLGDCKTLKRVRLGKNFLTGAVPAGLFDLPMADMLELSDNLLTGELPEVIAGDKMANLLLGNNGIGGRISASIGNLPALQTLSMESNNFSGPLPPEIGKLRNLTRLNVSGNALTGDIPAELMGCGSIGAIDLSRNALTGEIPDAITSLKILCTLNVSRNMLSGELPPAMPNMTSLTTLDVSYNQLSGPVPMRGQFLAFNESSFVGNPGLCGAPFADVACPPSSTAAGGNPNSRKKTLVTWLVVVVALLIIALFLAGGKKGLEAWREASRRRSGAWKMTAFQKLDFTADDVVECLKEDNIIGKGGAGIVYHGVTGHGGGTELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGVGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDTSDDAAAVLAVADRRLSPEPVALLVDLYKVAMACVEEASTDRPTMREVVHMLSNSTAAQLQPVADDLLHTGAGGF >Dexi9A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:9A:10247942:10248421:1 gene:Dexi9A01G0015400 transcript:Dexi9A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADDEVPLVEPPPRAAIRSRPSSWSSSGSSGGVEYTSLRDVLSEAGGGPGSGGGSFGEGGGGVHEFDASNINIRNQLLKHAASAYLQSAIVVPPRERGLWRRLLHRRRCRILLRPCPGCCGGGGGAGDPPASVAGSARRLVAFLSVCVARMCT >Dexi1B01G0030340.1:cds pep primary_assembly:Fonio_CM05836:1B:34361934:34363002:1 gene:Dexi1B01G0030340 transcript:Dexi1B01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKDKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKEANEQGVEIGEAESTITEVEAVVKPTEE >Dexi6B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:6B:20435639:20436086:-1 gene:Dexi6B01G0012700 transcript:Dexi6B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTCILPLSFSVSTQALELLRRLPPPCRSPPPCLAYRGQRQGTATAEESDSTWHEGGRHDTGKGTVGAEAQVRVPGPILCRFDALFSALINKGGAGDPHGLSYVAAKKMGRKTRKMQCLAAFIAPLCQKLGVLAELE >Dexi1B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:1B:4178950:4181556:-1 gene:Dexi1B01G0005100 transcript:Dexi1B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQERHTPRVNGKIPDFNNATIDHESLLERLGTYGLAEYQIEGDGNCQLKEFRKQYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLVEIVPRDGTPTRELWLSFWCEVHYNSLYAIEVYLASCSITYSIFSDLPTRKTKKKHWLF >Dexi5B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:5B:4526621:4526860:-1 gene:Dexi5B01G0006760 transcript:Dexi5B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLNKEPPDEEEGLLDLNQQPDDEEGLADEQPDDEEPAAEQGDIPELNDLYGAETGEQEGAETGEQEVISAGNYLMT >Dexi4A01G0024560.1:cds pep primary_assembly:Fonio_CM05836:4A:27284229:27286257:1 gene:Dexi4A01G0024560 transcript:Dexi4A01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEYDALRGAVLSPERTPPSSPPPPSPDHHCLEHEVSRMDTLAGIAIKYGVEISDIKRANCLVTDSQMFALKILLIPLPGRPMPASVRLNGSGQRVKRAWAPNYQQNREATGSLDSSKSGQQGPSPAMSTLQRYYGISSQKENTMDCSTEMSVYHKSSFQSSLGETLLSSSAALDRSWEFEEQVNGFSSANGAHGNRSNGVSKPKQDASMRRRQKLEAESNSTNAQDDFLADPIKAIKSLLPRPISSIRVNMDTGGPDSSQNSNISFLSGFKYVRKSPSIPSLSDAENGASSWSGSKWTFNHESFTRPLLDGLPKPVSARRMKTALD >Dexi5B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:5B:7694936:7697051:1 gene:Dexi5B01G0010890 transcript:Dexi5B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLVGPGGGGSLGGEASLLCAAVAAAAAAVVVAWCAARAVEWGWLRPRRLARALRAQGLRGTPYRPLAGDEPLAQRLAREARSRPPLPLGCHAVVPRAMPFFHRAMEEHGKTSMTWYGPVPRVTIGEPELARQVLSNKFGHFEKVGFGQLGRLLHYGLSTHEGEKWARHRRIVTPAFHLDKLKRMLPAFASCCADLVGRWEDMAAGGGGGESCEVDVWPEMQRLTGDVISRAAFGSSYLEGRRIFQLQEEQVQLAMRVAGKLHIPGYMMLPTRINRRMKRIAWEIEGILGGMIAKREAALRAGDDLLGLMLESNNMEQSSGVGGMSTDDIIGECKLFYFAGMETTSVLLTWTMVVLCMHPEWQHRAREEVLRVFPGDGAAPDYDGLSRLKIVTMVLYEVLRLYTPVAAVRRRTYKPMELGGVRYPAGVMLVLPFLCIHHDRDVWGEDADEFRPERFAEGIARAASGPGGGGHPAALFAFGGGPRTCIGQSFALLEAKMGLAMILRSFELELSPSYSHAPFPLPLLRPEHGAQVKLTKRKLP >Dexi1A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:1A:11531631:11535691:1 gene:Dexi1A01G0012000 transcript:Dexi1A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTTSSASPCQAPSPAGLGLPLLASPGARAGILAVGRRRRRRRLRLRGATAAAPTGHLFLGLLKKQCSSIAAVGDMAAVSDDYVESSPSSSGYPNGSLGSSHEDNQSESVAKMNQKSRDNNKMIKICDKLIGVFMVDKPTPMDWRKLLAFSREWDNIRPHFFKRCQERADAEPNPEMKHKYLRLGRKLKEIDEDVQRHNELLQVVKSTPSDKIGAIVAKRRKDFTVEFFNHLYYVAESYHDEPEKQTELARLGNDCVDALQAHDDATGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEALHTWARAIVDAYYNSREGTLLGQARDLMNPKIIKRVEEIVKIIKDKYL >DexiUA01G0024180.1:cds pep primary_assembly:Fonio_CM05836:UA:49935604:49936329:-1 gene:DexiUA01G0024180 transcript:DexiUA01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYNRQIVLRGFDFDGQEALKAARVLVVGLGGLGCAAAQYLAAAGVGNMTLLDFDTVSVSNLQRQTLHSDATLGQPKVESARAALARINPNVKFTLIDALLDDEALSAQIARHDLVLDCTDNVTIRNQLNAGCFAHKTPLVSGAAIRMEGQISVFTYAGGEPCYRCLSRLFGENALTCVEAGVMAPLVGVIGSLQAMEAIKVLAHYGTPAAGKIVMYDAMTCQFREMKLMRNPGCEVCGR >Dexi4A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:4A:19932297:19934318:-1 gene:Dexi4A01G0016360 transcript:Dexi4A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALKAREIAIKKLENKDFAGAKRIALKAQRIFPEIENIPQLLTVCEVHCAAEAKMNGMLDFYGILQVEGTADEMTIKKQYRKLVLSLHPDKNNYVGAESAFKFVAEAYATLSDRAKRYAYDIKWWVASKSAPKQATQPTKAAEPNRATQSNQAAQPKESTKPKQTAKPKQAAKPKQATEEPSQATEPKQTNQPKQATAPKQTNQPKQATEPKQTNQPKQAMEPKQTNQPKQATEPMKTTEPINKNDPNRSSGAGYGPSSSPATDGWTFWTLCVHCKTKYKYYGDILNRQIRCQNCRQHFFAYNVSKDDVPPVFSSKAANGAGKQGFLPTQQGCSTYMGNHTETSGKGGVEFSAKNSSKASAPNGNVMADGKVVSGTTVPESGDGQNLGSGIGTSAEPGAAGIPSPRRSSRRKACADANNTLNPPKKKGRTLKDWFSNAALSSNKVFDDNFTHADGQASEPHASSKTNNLEKGCAVNEGNERNSKEAHDTTAENPSNAGSFTYPDPEFYNFDRCRDANLFVIDQIWALYDTHDAMPRFYARIRHIDTNNFRVKFTWLEHDAANDEEDKWTDNKLPVACGNFSLGNTEVSEDPLMFSHIWASDADNHRSYEYEVVEVLSNFTMEAGVTVIPLVKVKGF >Dexi9B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:9B:3103725:3105164:1 gene:Dexi9B01G0005270 transcript:Dexi9B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARSSPRFMAMQERLVVHLPGDAPRQEWVSVECATKEAYCCGKRGDIMLEGLTLYVRRLGSSDLNSSLAIGLSHLALESIQGELGVPIQFHGISGCLPEVDGSIRIVDQEVLVLLVIFRFRNHSKLVYYLVYDAKDASMYMIPYIPEDLQAIFTVTPVPARPAGGHGHELVLMARKFWPQRAERGRLCLCTPSTRANPDSTGPWAIKEHRFPDLLQAFSADVMFSFEDKVFWADLSQGVAYSNLRKGDSATFIKLPRGYLIDFSVVPMYAETEPASRSRTMGCIQGSVKFVCINRSVHHHPGYLMVRVWTLDLDHKQWKEEKGFPCLWKDLWKKVCDINSDMRYVVPPQPEYPILTPDGALSVVLPKTLQRRGGMEADRICSFDIVSKRCICLGEVSNYHSIEPVILPSNFFNRYPAPLEQKLATPKRQLPSTVRDLKLPTKETKLPTPKRKLNSIVRQEPMRRPAFVQVVAILRRL >Dexi9A01G0033190.1:cds pep primary_assembly:Fonio_CM05836:9A:38031656:38032816:1 gene:Dexi9A01G0033190 transcript:Dexi9A01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKLAGRGEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNLSDCERLCKAFLQEINTFELPLLKSKVVVDANIREKESFNELRVEIERQILQAQTDIEDLKKQLVQSKIERQHKEECEAIRKLISLQPPRSETERLIADLEKEIADLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAVAEEQKMSIEESSGGASDAMAVD >Dexi7B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:7B:3259429:3260688:1 gene:Dexi7B01G0001860 transcript:Dexi7B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVTKSLPFLVAPLEATPAGTVRLTSMDTALASLPMAALFVFEHPVDKPAETIRRALSRALVPYHPIAGRLTGGEHGLRIACTCEGVAFVAASARCTLHDARLTDRRPAIPVEDLTVTYAGQYNQKDSPLLLMQVTEFSCGGFVVGVTWNHVITDGVGMAQFLQTVGGFGRGLSSPSSVEPVRVDRALPELPPPIITMTKEMVSRKHNEFPNSYITVPMSFINRIKDEFRRTNGESCTAFDVFTATIWKCRARATIGATNQDAPTAFVFTANVRKQSGAKDGYYGNVFTFGLAVSTVGAAANGDILDLVRLIRDAKERVPYTFADGTANIADEMGGRLQGLDGYNTLYVTSWWNLGLDDVDFGSGGPARIMGNMERKVVPACILCGRKDKADGVAAMAFCVKQGHAEAFHSELGMLR >Dexi2B01G0033820.1:cds pep primary_assembly:Fonio_CM05836:2B:41203329:41207085:1 gene:Dexi2B01G0033820 transcript:Dexi2B01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQISTSNLRRRRGVRADGSPDAMPPSFQTLATATVLLLLFTASAVSCASLPPEDGIRIVSAEKRIDLTGPIVKVYLTLKVENVAAASDASQVLLAFTPTEVQHLAIVKATRAEGKRKKKTYVPLAVEASDLATSAPNGANLYSVLLDAPLKPGETITLEVLYVLTHSLEPFPAEISQSESQLVYYRDSAVLLSPYHVLEQVTYIKMPSNRIESFTRVDPTSRAGTEVKYGTYSNQAPNSYLPILVHYENNRPFAVVEELVRKVEISHWGNVQITEHYKLRHGGARHKGVFSRLEFQSRPSISGASSFKNLLARLPPRSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRFINLTFGCPLLDTVVDDLTIKVVLPEGSKNPQPIVPFATEKHLETSYSYLDVVGRTTVVLKKNNVVGEHNVPFQVYYEFNPIFMLAEPMMLTSAVLLFFVACIAYLHMDLSIGKSS >Dexi3A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:3A:2971729:2974546:1 gene:Dexi3A01G0004550 transcript:Dexi3A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWALPAGLVLLVLLLSPAVRGDKPLRGGPSGVGAEAQASSAVFPLYGDVYPHGMYYVAMNIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCNKVPHPLYRPTKNKLVPCVDQLCASLHGGGRHKCDSPHQQCDYEIKYADQGSSIGVLINDSFTLRLANASVVHPSLAFGCGYDQQVGSSGEVSPTDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSLRGGGFLFFGDDLVPYSRATWAPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALVTALKGDLSKTLKEVSDHSLPLCWKGKKPFKSVLDVKKEFKSLVLSFANGKKAFMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNILGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSALL >Dexi9A01G0037870.1:cds pep primary_assembly:Fonio_CM05836:9A:42125447:42126019:1 gene:Dexi9A01G0037870 transcript:Dexi9A01G0037870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHILGAAAVSSCTSPATQAAPAWPSKQLSFPAPLTDPPSSVAPRRIHRCIAHSHPAMALRLLALLREHGFLPRVRRRVLLAASVVASRPAVSLQLLACSGSTTSSPTSRPTRTYSLPEQEHEPYSLPLRREHELTSPPRACLSPSRP >Dexi1A01G0030160.1:cds pep primary_assembly:Fonio_CM05836:1A:35484804:35488511:1 gene:Dexi1A01G0030160 transcript:Dexi1A01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRPGPRAHSPTIRSHLWRTPPGHYPISSPANPDQVERREAREPMAAPEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAREFEDEKKGIKINKEDWEKLHVHIASYNNFPTAAGLASSAAGLACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKKDDGSDSIAVQLADETHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRVLKMEEAIKNQNFESFAKLTCADSNQFHAVCLDTTPPIFYMNDTSHRIISLVEKWNHSEGTPQVFSIVAYTFDAGPNAVLIARSRKTAALLLQKLLYCFPPQDRDLSSYLVGDKSILGDAGLHSIEDVDALPAPPEMKIPDQKFKGDVSYFICSRLGAGPKVVADENQALIDSVTGLPKGV >Dexi6B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:6B:27024329:27024561:-1 gene:Dexi6B01G0020580 transcript:Dexi6B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLATRVTAASAATVFLGIGPCLVTDGLANQVAHEIWVCANQAVTRWEGDIMDFKEHLRRKAGLSD >Dexi2A01G0025860.1:cds pep primary_assembly:Fonio_CM05836:2A:37506753:37508063:1 gene:Dexi2A01G0025860 transcript:Dexi2A01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARPKIGDQATSDVVVRLRTPEGRDEWLYCHSAVLAAGSTYFADRLSDAWPTCQILGSRYCVEVYCQDLDLSSHVTALRLLYTGEPCSRFGVRGALGVLQAAVNLGCAQIAAACRGYIEAAPWEEADEEEILRTVPSLGAQYECILARLRPIDPAPVTSIFLSAFRHATRSSAAAPARELKSAAQEQLEYMLTEDDDAPLIAPDNVDVKAQVKDCVTGLLSRFSDFLSSILMKQKETPLVGDDRKLHQELHTFVCDVCWVCQVLSKLEMMKCIVLYWVGVSSDVVEAVEIVGPGYDCLKTSLKVVEVSAKVLEAVAFGNIVLPTEKRRHMVNIWIAFARTTKTLIAQADHDDEDGDAETSKLNLDNEVWQGLESAIVSIVLTLPSDSQAEILSEWLQSKHARYPDLTEAFEAWCYRSKVAKRRLSFLSDIDRVS >Dexi6A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:6A:15814638:15816223:1 gene:Dexi6A01G0011090 transcript:Dexi6A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIPDSDRIMPGNSIEQSNMAYKEEDPRVATTKGKYWGIFICWLLGNGCLFGFNSMLIILDYYIYLFPEYHPAKVITVAYQPFVLGTTAIFTYYEAKINTRLRILAGYMLFFFNSLAVILLDVSTSGGGGIQPFVGTCIIAACFGVANGHVQGGMTGDLSFMCPEFIQSFFAGVAASGAITSALRFMTKAAFESSQNGLRRGAMIFFSMSCFFELLCLILYAFIFPRLPIVIFYRSKAASDGSLTVKADLAAGGIKSPLNSMSIDPAYIERLSTKQLLLQNIDYVMDLFLTYVVTISIVPGFLAEDTGSHSMGSWYYN >Dexi6A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:6A:20187888:20188733:1 gene:Dexi6A01G0013150 transcript:Dexi6A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSLFKSHPEAAAEPPPEGRNAGYLIVKKARDDEDGETTCLGPTGRVLGLPFPQNRMLSVESGENYDDIMFVPVPDHPLASNRYYIVAASGNYKGLVLACSREEDMTMCCFCQCIPNVEPRPFDPADVYQQIEIVQSQHERGLFTARAIADDGFPPSTYRSKYWSIYDRESKKKIFLGEAPGLDAALRSRRLADGVPGAATVAVGKWYCPFFLIREHGVARRDQVGRNAFYEVVLEQRWEPVHGDAVRHVDDNSKLASKKVLVGGSAEAKLEVGNT >Dexi4A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:4A:24705453:24710244:1 gene:Dexi4A01G0020970 transcript:Dexi4A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQVMLPLLAVLAAAATGVGAAGARPSEVAVGALFTYDSTIGRAAQLAIELAVDDVNADRTVLAGTQLKLITQDTNCSGFVGIIDALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVDYYQWKEVTAIFVDDDYGRGGVTALGDALAAKRARISYKAAIPPNSNTDMINDVLFKANMMESRVMVVHVNPDTGMRIFSIANKLQMMASGYVWIVTDWLAAVLDSSASGDLKFASHIQGLIVLRQHTPESEAKNKFISKWNNVARNRSITSGLNSYGFYAYDSVWAVARGIDQFLSSGQQINFSTDPKLHDSNGSTLQLSTLKIFDGGEQMLQQLLRTNFTGLTGLSVTAPEILYQKPPNTSSIAQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKELVSGGRGPDNVTGYCIDIFNAAIKLLPYPVPCQFITIGDGRKNPNYDDIIKMVAANSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLQPFTLEMWFTFSTMFFSHIQQLATGITGIDDLIASALPIGYQAGKFTRNYLIEELNIPESRLVPLNTIQEYADALKRGPKDGGVAAIVDEMPYVEIFLSYHCNFRVVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWFTRPSCSSDDSEVGATRLGLGSFWGLFLVCALICLFALVVFFIRVCWQYSQYSNSEATGEPITAAAVVAAAADAAERQRRPSRLGSFKELIQFVDKKEEEVRKTMKRRSSEKDNQAAGSSDAQSGASV >Dexi9B01G0022920.1:cds pep primary_assembly:Fonio_CM05836:9B:17794914:17795220:1 gene:Dexi9B01G0022920 transcript:Dexi9B01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLICAAMPFFGDFVSICGAVGFTPLDFVLPALALLKTSKLPDSIGLRYAVKVLGSAVAILFSIIGALACIGAIRSITLDVKTYSIK >Dexi9B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:9B:1227304:1228210:1 gene:Dexi9B01G0002170 transcript:Dexi9B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRLDGKVAIVTGGASGIGEAAARLFASSGATVVIADIQDALGEAVAASAPGCTYMRCDVTDESQVEATVAAVVAAHGRLDVMLSNAGVLLPTGSVMDMDLSELDRVMAVNFRGAAACVKHAARAMVTAGGGAIVCTASVASLQGGFGPASYTASKHALLGLVRAAAGELGRHGVRVNCVSPSGVATPMSCTLMGVGPEELEAMTVPHNVLRGKVLRAEDVAEAALFLASDQGAFISGHNLVVDGATTAVNPDVLHSIGL >Dexi1A01G0028400.1:cds pep primary_assembly:Fonio_CM05836:1A:34048972:34050982:-1 gene:Dexi1A01G0028400 transcript:Dexi1A01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLLPLYSMNEIIDKYSTHSKNLGKAEQPSLDLNLEHSKYANLNEQLAEASLRLRQMRGEELEGMSVEELQQLEKNLEAGLHRVLQTKDQQFLEQINELQRKVSQIPPAGKQTVADTENVIAEDGQSSESVMTALNSGSSQDNDDGSDVSLKLG >Dexi1B01G0028360.1:cds pep primary_assembly:Fonio_CM05836:1B:32910594:32911559:-1 gene:Dexi1B01G0028360 transcript:Dexi1B01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQPLSLVLLTVIVFAVIYLAVVPDGLNLTEVFGAGPSSSCDNNSNGAGSAKNQLQAANKPVDLRVFIGIVTVPSAYERRALLRLAYSLQPRPVRTFVDVRFVLCTIDKEEDRILVSLEVIAHDDIIVVNCTENMNDGKTYAYFSAVPRLFAAAPYDYVGKADDDNYYRVAALVESVREMARREAYHGLLLPCHWEQEETYMSGMGYVVSWDVVVWIAATPELADDHHVWEDEDFGGWLRKGGKNKNVHDEQERMYDYWDREMDTDQNCQRRELRADTVAVHQLKDRLKWARTLHFFNVTQGLRPSKMYDIDLENNIYKV >Dexi4B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:4B:4325005:4328462:1 gene:Dexi4B01G0006140 transcript:Dexi4B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLRSAAAIAIATAVPAASAVLPLRRHRAFLIVRLRGSSCRIPPLRGAAAAMSSSAKAEHEAGAWYAVPGLSLRDHRFAVPLDHSSPDGGAAITVFAREVVAAGKEETSLPYLLYLQGGPGFESPRPMEAGGWLKKACEDHRVVLLDQRGTGLSTPLTPSSLSQITSPAKQVEYLKHFRADNIVKDAEFIRLRLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGEPCTAQTVYRACFKQVQQQNEKYYKRYPQDIQVVHEVVKYLSESEGGGVVLPSGGRLTPRMLQCLGLSSLGSGGGFERLHYLLERVWDPVLVPGAKRSISYYFLKEFDMWLGFDQNPLYALLHESIYCEGSSSKWSAEKIRAEYESLFDPVKATEEGRAVYFTGEMVFPCMFDEIHALRDLKEAAHLLAEKEDWPPLYDVSKLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGIRDGGSHVFEHLMGLLNGKKPLF >Dexi5B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:5B:15319121:15327341:-1 gene:Dexi5B01G0016520 transcript:Dexi5B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSEPVSYAGPQFAHASVVVGRGDGLSPAWEAGWRRICLQRVQHLKKKFYFHFQDYVDLIIWKVPIACSILLLHSHCPTLPFSEWHLLGGVVAAVDAVAGGRVAGFCATAECVARRQSNAMPAYSSSSVSRSTDQNLAFFAVYNMETTDIISLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFVSSHSNDVHALDQLNIIKNKASSASQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKVCSATCLKYTLLVRYDSSMVAFSLGNYLISAVDRHRHCTENPIKFISVRTPNVVKFKIKP >Dexi5B01G0026510.1:cds pep primary_assembly:Fonio_CM05836:5B:28262170:28268344:1 gene:Dexi5B01G0026510 transcript:Dexi5B01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPQAAVTVSVEYAKSSRSTCKGCSGSIASGALRLGASARDPRGFDATKWYHVACFPCASHPLGPVESIKGFDSIKDYDREELREIEKVLWIFFLPNLNNENHSFQNRKTDQTTVSPLEESSPKKVKISMSSAVEGLLDEASLFVEYAKSGRSVCKGCNENIVKGALRVGSSFHDPRGFDQTKWYHVQCFPASSYPVFLVENLKGFDLIKDDDHQKLRELEEAHSMGGSKEGTDKNVDEVKKRTSNKTAVDDEPSPKKVKAYESSPAKGVSVKASISVEYAKSGRSICKGCNENIAKGVLRLGASAHDPRGFDSTKWFHVACFPASSYPIFPLENLKGFDSIENNDREKLRYLEENHKSHDSAADHSNEPNLKKEMVHSSGDSKHGAENDLGEVKLPAGNNITDPLVPFSVSDIKKNYKDATLPVHWKVFETVIFRDQQDGLHASAKVAAFDFDGCLAKTSVRSIGADKWSLQHKSIPEKLQSLYTDGYKLVIFTNESNIERWKNKRQEAVDSKVGRLDNFIECVKVPIQVFIACGLGKGKATPDDPYRKPNPGMWWLMAQHFNSGIKIDMDQ >Dexi3A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:3A:3047978:3049921:-1 gene:Dexi3A01G0004690 transcript:Dexi3A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSRAKDASDNGAPPPRELVTDGPASNTRGGRVRMRQPAATDPPGGGASTPAAIPAPRQAPVLTNQHSNAPRNRGLDYAIAYMDNLELPSVERGTHQINYNIPRICNITNADFDFVMQVDRNKLSLVELYGKLPVFSPSFLPLPMLPFKLMKSHFQLWKKSQLLKRKLMLSAP >Dexi9A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:9A:2815349:2823853:-1 gene:Dexi9A01G0005120 transcript:Dexi9A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLPPTAWRSSSAGIRTPLSSRNRDAMVFALDDDAVEEAWKRMALDVDALTAALHILREKGAWTVSRRKREHDQQVARSAERLRDERDRMAESVGRFREALATAQQGRTNAIVLAAEMAKEVQAAEAGIGALRTAVAKERNLKETAKAESADLAKELRRSKAENAKLRGGLKGVGWNEIKTGETANRSVPQSTRRAVAVAAEEIVSCEREARDSRREAQESPPTSPDSPRARALQPAGVMEGAVLACAAPGAAGGGGAGAGDVVRLKRSALAACLTCPLCGRLLRDAATITECLHTCECSPFANLPVTGVRIIDHSIQYVRSKVFPKKQKVEALEVASPITSPIKRKERSLSLLSIHAPQVSVQKCLTKRRTKASGLRNISLHSKMRSSNITKKVGGWRPLGSHFKGAKNKRHLRSKSEDAKTTENKSDAPVDGTPTSQRKAKRQFTRRGNLEKRTGSKKLLVLKGKQKKMKPKANKKRKLQALWFYLVAAFDQKGQPPLPQVESKFLRIKDVDLPASFIQKYLLQKLNLSSEAEVDILCGGKPVSPGMTLHDLADCWLDKGQKGRVRSSVGTPAAGFVAKVFYGRSGVPVPETEGNQGLSRT >Dexi5B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:5B:9398399:9399837:1 gene:Dexi5B01G0013220 transcript:Dexi5B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVALRRLIATDWQARCRADITAAWSISSATRRSRERPCYRYVAVGGFLGEVAVAEGGRRLHAAQRLVVLAEGGHFAPAAHLTKTRFCTVCGLSFCHYSCPDHSRHQHPLPPPESMTVVRFDGWAAVPAGQLPAAYVENVQV >Dexi5B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:5B:7826990:7827422:1 gene:Dexi5B01G0011080 transcript:Dexi5B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVYITCALLLIGLVLLGQGQEGIDGAVVACPQICLDVDYVTCPSSGDEKLPARCNCCMTPKNCTLHLTDGTQTTC >Dexi7B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:7B:3817530:3819012:-1 gene:Dexi7B01G0002210 transcript:Dexi7B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLQATGSTTPLPDVVARDLGDGVPPPVGQPGWWSGSLPQVTPRGTMSPNCGMDYYPPGGFMSYFQAGQQPFPPLHVLFPAPWPPVSKEFQHAPPSSDLGAQPDEARSKGKTKQTRKKGGKTIINIDDGNDVRTAKRLVFEPDEDLRLVLRDEPKWLAILEDQDKSNKMSADDESNKRSLDDGDLLRDISEKERPMGTKEAKKQRNGKGGVKNVDAGLHEELKKYMDIQAGAKQRHEDFIETQRRISSEKVEAAKLRREAALLESYQKLMSMDTKEMTEDMRAEHAIGLKFIREKLVGNTN >Dexi9B01G0039530.1:cds pep primary_assembly:Fonio_CM05836:9B:40191046:40192306:1 gene:Dexi9B01G0039530 transcript:Dexi9B01G0039530.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGTVKQLNVGGKLFSLEASSLSLSSLSLDSPPPTPTFVDRDPSLLSAVLAAIRAPSSAAPAFPARVLLDEAHFYGLHAQLLAALSPPPLRGFSVXXXXXXXXXXXXXXXXPPTPTFVDRDPSLLSAVLAAIRAPSSAAPAFPARVLLDEAHFYGLHAQLLAALSPPPLRGFSVSLASTLSPASEPFPTALAPHHDGSLCLAHGAGQVTYYSPTLDHISTFRTHLHRITSLRQLPPSLAILGSGSAPGLHVYDFLEGRHVASVQWSDPTDTRVSKAKVVAIAARPPADAADMDSAILASFECPHRENCILAVDPVTLKPMQEIGRQSGSAAKSSTPGRVVHLQELGLVFASSVSSGAFGYSGYMRLWDIRSGNVVWETSEPGGSGRSSRFGDPFADADVDLKQQAIYKQWQT >Dexi8B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:8B:2736995:2737618:-1 gene:Dexi8B01G0003600 transcript:Dexi8B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPERRGPEQASAAEAGGGGAGGFSWREVDREEIPAALVIPCLCLSVVSARFGGIANEHGSGQETVKASRSAVAQLAAGN >Dexi9B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:9B:11740191:11750428:1 gene:Dexi9B01G0017020 transcript:Dexi9B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCKSTTTIAACGILLLCVSFLAELVHGGQVPALYVLGDSQADVGNNNNLELSPLRANFPHNGIDYPNQQATGRFSNGKNFVDYLAGGLELASPPPYHSISDSAAERESTFLKGVNFASGGAGVLDLTNKGLCFSFDHQIELDYLNMYSELVRKLGQAQAMAHLSKSIFAVAIGGNDIILRSLPPGAPTVTVELPAVELQLQVLTPQQFVELMVQTLERQLQRLYELGMRRLFLVGAGPIGCLPVMREVNLLTKECHAAANDMSVQYNTAVASLLAGMSSRHGNFRYSFFDSYTALMQLIDDPRPNGYAEVKAACCGLGENKAMYRCGRVSSVCPDRTDHIFWDLVHPTEVTSRKLTGVAFGGSKPLVSPRNGGLVPALYVLGDSQADVGNNYLVTPTRANFPHSGVDYPEHLATGSLGVATPPAYHSICDATGSSSMFLNGVNFASGGAGVSDLTNKGLCISFDEQIERDYSNVYSALAQLLGQAQASTHLANSIFAVAVGGNDIIDRVLLAGPFNVSSGQQFVDSLAQSLKRHLQRMYGMGMRKVFFVGTPPLGCCPILRRRSLNGEDMGCHVEANSLSTMYNAAVASLLRDVSAQHPDFQYSFFDTSTALLPYIHEPQTNGFAEAKAACCGLGDGNAMFGCTPVSSLCANRTGYVFWDLVHPTEATARKLTRVAFDGSAPLVSPVNVRQLCAS >Dexi7A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:7A:17778006:17779313:-1 gene:Dexi7A01G0006410 transcript:Dexi7A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPNAKPDRQTAAALAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRQAAALHSDPKRSPSGKFGGLGGGGGESAQWRRMSLPSMLLGETVLEIVQASQFARDIVAVAGSNHEPPRTPKPAPRVRKAVAAEQTPLRARRAREKQSHRGGAAARGADAATPPSRGRVRSRIQFKPPSPVALGRPSVSANRVSPKNRPWAKKAVIFPNPTFHASTSATADACATPSPSKKQKRSYKARSPVATLQTPHKFLVKTPPNVLGSKLRTHRKALTPTAVSPPPRAQASPAKARRCSFSPSRLATRLVLPIKARLSLGRSRDSGVGAGGGPISGLKQRPVVSMTVQTVSSKISSR >Dexi9B01G0021540.1:cds pep primary_assembly:Fonio_CM05836:9B:16249180:16250754:-1 gene:Dexi9B01G0021540 transcript:Dexi9B01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQLNVLTTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDTSSPNPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGHTPKGVISTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAAFRNSFPAPAYEANPAASLVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIAKNAKQAAADMSKVLNSEITEDNAECEAGVGPSSNEWGLFSAQFVRRHGLHLVATTTTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDVIGRFAIQIMGFFMMTVFMLGLAVPYHHWTTAGHHTGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEAGYSPGIGIRNALFVLAGTNFLGTVMTLLVPESKGMSLEEISKEAVDDEEAAA >Dexi1A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:1A:17895561:17904553:-1 gene:Dexi1A01G0013420 transcript:Dexi1A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLWPWRSQACARVEKQGPGTRMADYGAGGGSVERSRESRQSRITDIVMFLSDMSGRSPLYKKAYVFFSSPVHKELVAQIKKDSSVLPRIGALSEMNLEFFAIDSQEFPRVHYRVAKTIDACTMTTLRDLVPTKLAASVSNCLEKYKTTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYILIDFSMAKNKVPSKNGPATEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTNFISKNKAAQLHQARFTDLMQGVSRENKLRLLMIYAALNPEKFESDKGAKLMQVNL >Dexi3B01G0025490.1:cds pep primary_assembly:Fonio_CM05836:3B:20216691:20220588:-1 gene:Dexi3B01G0025490 transcript:Dexi3B01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAMMSAAATSSLPSRGRVAPFGSRALPPPSRRRRAPPRLSRVLALGGGGEGEAGRILDPRATPFQILGLDATACYSAAQLKAAFRTRLA >DexiUA01G0009750.1:cds pep primary_assembly:Fonio_CM05836:UA:19162120:19163660:1 gene:DexiUA01G0009750 transcript:DexiUA01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRQRHRRVNIWAVKHWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRRFVEKCLATASQRLSARELLEDPFLRVDDMAFSSEDGDYNVITRYIRQPSSLGHTYSNGSMMSNGFSDSIDEDASTEDRWDCEDDDMKGQDGIDLFNEHEDEPLGNVDITIKGRKSEDGGIFLRLRITDDDGRVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIADMIDGELVEVANVQSYMGGLRRSHSKPMESSVICRNLQEALMMEAARQNIMTKARIPLI >Dexi6A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:6A:26681350:26682396:-1 gene:Dexi6A01G0019070 transcript:Dexi6A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTFLLFVVAAGATMAHGHPTANTPAAQFWEQALPGSSMPDAIADGIQRGIDHSPLVEHYTAASPDISACTLFDSTCSPQAVAETGTFFHETQLRPGSTMTLSFPEQATPAILPHDVAEKVPFTDVDDVLAAFNIAPGSAEAAQVRNTLRRCAAPPIAGETKSCTTSLEATVQSAMSMFGIDAGVWATASEIPRGGMPRQTYAVAVVTPVRGDQYVSCHTLPFPYAVYQCHTAREGYSSYMVSLRGLRDGSAVDMLAFCHLDTAGWNPAFEVLHTKPGGAPVCHFTPYGNLAFVKTATITA >Dexi3B01G0024320.1:cds pep primary_assembly:Fonio_CM05836:3B:18975314:18978159:-1 gene:Dexi3B01G0024320 transcript:Dexi3B01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAALLLLVALGGAAAQVFPPWNGTFPVPGFGGGSSGGAGAPAATGVPAMFVFGDSLTDNGNNNDLQSLAKANYPPYGIDFAGGPTGRFSNGYTMVDEIAQLLGLPLLPSHPDASSADAALHGVNFASAAAGILDNTGQNFVGRIPFNQQIKNFEQTLGQLRTKLGGAGMARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYAKQLNTLYGLGARRFVIAGVGSMACIPNMRARSPRNVCSPDVDDLIIPFNNKVKAMVNNLNANRHDAKFIYVDNYAMISEILRNPWSYGFSVIDRGCCGIGRNRGMITCLPFLRPCLNRNTYIFWDAFHPTERVNVLLGRAAFNGGTDVVYPMNIQQLAAWQP >Dexi4B01G0022910.1:cds pep primary_assembly:Fonio_CM05836:4B:24433850:24436561:-1 gene:Dexi4B01G0022910 transcript:Dexi4B01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVSDNAKGLALAVASSAFVGTSFILKKIGLRRAAKCGASAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFVALQNSPAPV >Dexi1B01G0025550.1:cds pep primary_assembly:Fonio_CM05836:1B:30563459:30565245:1 gene:Dexi1B01G0025550 transcript:Dexi1B01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRGREPAKPRGPAVARAVSSAWYAVARAGVSGGRCRGRCRSHSGATRGGGGGGCACRGGSGGCCSAWRGGGAWRGATGCWRGGGGCCCSWRRGGGGCAPPAPAAAAAAARAAATAAAGSASADRDEEATGNTPTAAPTPAAGSAAALAAAAAGEAPSPTAAERQEYFTNYIVNESLGIIASAHVVFADKEHLKAESAPCIELAKLFSVAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESTGVIGKLYREIKKHTPHIKHFTRDEARRSYDTDLIVDGYKDYISEAIDFKEDYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRMAVRSLRKEARLWFNEMSTGEDGIGQDATEAKASAWYHVTYHPQYWGSYNEGYDRPHLISFPWCVYDKLLCIKQRTNFLRTMEPDLASLMSNMNQNLRFH >Dexi4B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:4B:21574305:21577476:-1 gene:Dexi4B01G0019310 transcript:Dexi4B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHFLSSSPASSPLCPRFLLHPNPPASLRFLAMSAAASTSSSRPVRGATVPVPSLGADEASEVADEAFQRHTSPSLRRGGAGVAVVWFRNDLRVLDNEALVRAWAASEAVLPVFCVDPRVLEGTTHYFGFPKTGALRAQFLIECLGDLKQNLRKKGLDLLVRHGKPEEILPSIAKAVSAHTVVDSCSEPKNKSVVLYLQIYAHKETCSEELLVERLVRKGLEQVQITQGGAPGQKKPLNPRLQLIWGATMYHIDDLPFTVNNLPDVYTQFRKAGTFFANSSLFSRCDGTVFSSLQSEKGMHFTGGENAALGRVHEYFWKKDQLRVYKETRNGMLGPDYSTKFSPWLASGSLSPRYVCEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMRELSSTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETSLLDYDPASNYGNWTYGAGVGNDPREDRYSSIPKQAKTYDPEGEYVAYWLPELRSLAKERRNFPGTSYIRQIVPLKFDGGNQKNNQQFNRQRRSNNMYRRQVK >Dexi3B01G0035980.1:cds pep primary_assembly:Fonio_CM05836:3B:38936245:38936484:-1 gene:Dexi3B01G0035980 transcript:Dexi3B01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEAAVGICGGMGEHRDRDNLASSAPSFSGRWSRRWSLGHRRDESWRWDPEAACRAPGEEVGEPAALVALGRCIFGF >Dexi5B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:5B:23027894:23031595:-1 gene:Dexi5B01G0020800 transcript:Dexi5B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPTATATAVFLSAAPSSFSTRRRRSRLAAISASLSPSSSSDEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELVPIDLDMLHFVGESLRILRNEIVSRIKTECPHVPLVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGIGVQGNVDPACLFAPLPVITDEIHRVVKSAGPKGHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDTLFQGSVAEELQPVA >Dexi9A01G0034190.1:cds pep primary_assembly:Fonio_CM05836:9A:38966886:38971874:-1 gene:Dexi9A01G0034190 transcript:Dexi9A01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLDKSLNPNKLLKEQFVSNLTGSSMLEIAALSTIVPALVVLRKWSSGDNARRDAAKKSDDKVLPVRKDWMQYFSTLVVDYVTVVLPVLLVFTVFAEWAYICAISLVILIFFYIIFKRPQSHLKAGLSKLPSLRADVSSYRVSVVLVTCLCVLAVDFKIFPRRYAKAETYGSGIVCQQNIVYQIHITYITAFLLVFLRSFRTALSSISPLVFLGFARIISTAGVDYQVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGLVGLLILAGYQIWLSSGLNEYLISDKRGADIISQNKEGVYSIFGYWGMFLIGVSLGYYLFVDNSLKGKNRNTQVVTVWVLAASFWILAIILDSCIERVSRRMCNFAYVMLVFGQNFQVLSILTLAGFVSYEKNLVLEDAVNQNMLGSFLL >Dexi3B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:3B:3632670:3634318:1 gene:Dexi3B01G0005440 transcript:Dexi3B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSSLYYCSCLLLLLAARLLYSLAKCYYSNPSSRSGHRGGLRLPPRPWQLPVVGSLHHLLGDLPHRSLRRLSRRYGCPHLMLLRFGELPVVVVSSGEAAREVMRTHDAAFATRPQTATVRILTKQGQAIALTPHGDHWRWLRKLCAVELLSAARVRSFPPVREEEAARLVGAVAASGGGSGNNKLVNLSEMMAAYVADTAVHAIMGRRLDDRDGFLRYVDEAIRVASGVTLADLFPSSWIAGALSWRQAHRAEVYRQGLFQFLDAVITEHMERKSQDQGKLQEDLIDVLLRIQSQGSSQFLTMGTIKAVVFDLFSAGTETAATTLQWAMAELMRNPDVMSRAQAEVRGAFMSRSKVLEEGLSNLTYLHWVIKETLRLHTPGPLLIPRECRETCKVLGYDVPKGAMVLVNAWAISRDPQSWEEPEQFKPERFQSDTRDFRGNDFEFTPFGAGRRICPGMSFGLANVELALANLLFYFDWSLPDGILPNQVDMTEAMGITARRKKDL >Dexi5B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:5B:9970006:9970707:-1 gene:Dexi5B01G0013740 transcript:Dexi5B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMGSGLCIPPMLLPPTMQHLQIPPIAHFPHLGMGLGYGMGVFDMNSTAAVPFPSMPGAHFPCSMIPGTTPQGLGMPGRNTMPMFGLPGQAIHPSASSVQPFPSMAGLPVRPNLAPQVSAAMRNMVQEQQQGVASQQQQNMNNEAQNGANTGDPELHTILQVENQHFGVPSSAQTESEQFLDSGGNRTDTAGRNGAET >Dexi6B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:6B:26860468:26862404:1 gene:Dexi6B01G0020400 transcript:Dexi6B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAAPMAIVDLGSSAQQSAAGDCCKEDEELSSNSSSLIMKGVRHLSDSGITMLPDSYVLPAHDRPGGLLVANSRVKLPVIDLATLHDPSRRAAALETLDAACRSYGFFQVVNHGVDGDVIAGMLDVARRFFELPLAERARYMSSDVRAAVRYGTSFNQAKDAVLCWRDFLKLVCHEPLQEAWPQEPAELREVASTYAAASHGLFMEIMEAALQALHLGGGNGGILEELAVGSSHMMTINCYPACPQPELTLGMPPHSDYGLFSLVLQDHVEGLQVMHDARWLTVDPIPGSFVVNVGDHLEIYSNGRYKSVLHRVRVNSTRPRISVASFHSLPAERVVGPAPELLVAGGEPRRYMDTDFATFLAYLASADGKDKTFLHSRMLQQPL >Dexi4A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:4A:24904078:24904707:-1 gene:Dexi4A01G0021310 transcript:Dexi4A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLGASSSKKRRAGEGGEEIAAAAAAEEKDRISGLPEDLRLRILTLLPLKSAIRTGALSTRWRALWERRWPAPSSIDLLLRPGDDTEEVLLSLERRGVRRLDRFSLAIERSRSPAEPPFRDPQRFIDYAAACGVEDLHVDVANHFVSLISTLIFPRGCSHLARFFVRHLAGVSFGFTLCSNAFPALEIVHLDLVRVDINDLLGMPSS >DexiUA01G0007310.1:cds pep primary_assembly:Fonio_CM05836:UA:13904635:13908817:-1 gene:DexiUA01G0007310 transcript:DexiUA01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAGMDEAEERLRSDEEEEEEEGEEAWDDWCSDGDDAAGRLLCLFCSSWFDTDSSLFEHCAAEHCFDFHRIVKELGLDFYGCIRLINFIRSKVAENKCWSCGQSFSSNTELCSHLHGVDIIEGKVQWEDDVYLKPFMEDDSLLHSLSMDDDEGDEECRISVERGQCSGGNGVLAEPLGNKLSTLTEGTVSDISAQFERECTIGSAKGEDRESLAHETNDSQLKVARASVNARAVKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDALLANPSLLSRATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLYDENVKAEQKQGAQVISVVHTKAEELNQKIQVPQNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVVASQDIVTETAVLHSFDLATMKESEMDFTSSFELRLSESGTVVPGVTWCYGIVLWFDTGFTDRFCKEKPVLLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTIASSALVGTDECPATVLRSRISIVRASEHRSIDISVETTAFSSNGRKRSWPIQIFNL >Dexi1A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:1A:2337234:2339653:1 gene:Dexi1A01G0003250 transcript:Dexi1A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPGQKERSALQSVVSQPSDMDDRTKRVNNFALPPEESVMRRLLHQPTTPPTKHCTEPSTRRRVGIGVVETFPHSATEPSSSQEGVEATAKPMKHASLSGIELTIDLVVMGLTEYGGGAGGAGAVRDSSGAWMLGDGGRAPGALGPFGSQGRQGRCRRCRRCDTGTPVAEAGKDVVDERRTSPRHGDGQRHYRRRRPTSSPSPSPLALMGCFDPALSPLCTRRRKCSRYGDCNFVSRTILSVANESNGTADRQAATTLAPPVGRVIRCSRYGDCNFVSRTILSVANESNGTADRQAATTLAPPGRAEPGLSRCLSAVPFDSSATFRIHDPIWYDPSTVCPDGNSVSVVLVPGPHGHGTIMGWHDVGPIRPVSSPSIPYKTSQTLIPLQPFPLPTTSRCLSPSSKLPPATASHPDVAAPHPPSALATGDRALRSCALHRCRGMATAGAVRSRHGIRVWRHQEPRLAPLPPGAAPGEDPQGAADGAAPAGSRAWRRQELRAVPSRRRF >Dexi2A01G0022290.1:cds pep primary_assembly:Fonio_CM05836:2A:34148297:34149109:-1 gene:Dexi2A01G0022290 transcript:Dexi2A01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFFATTQRHHGAAASLLLPAVVLLLATNLAAAAAAAGSCADDNLPSNRTYAHCAALGPLGATLHWTYDAKTALLSLAFVASTPGDNGTGGWVSWALNPTGSGMKGAQALVAFKRGNPPAYVVNTYNLTGHRALGGDSTPIAYKATDLAADESGGKVRLYGTLQLQQGMEVVNHIWNVGSTVTDGAPVKHALDQENLDAKGRLVLSGSVLGPAPEPAPAPGQGGSSPKSSSSSGGAVPSGSTTPTGAAAARVSAPVLMLLAFAGFLAIV >Dexi1A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:1A:6678392:6681040:-1 gene:Dexi1A01G0008570 transcript:Dexi1A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETTMDAGWLTWRAAAVAVAAWVALHVLARVADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMVALMAEASSKPMSPPTSHNALPRVLAFYHYWRKIYGSTFLIWFGPTPRLTVAEPELVKEIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFFPDNLNRLVPHVGRSVAKLAEEWRAMAAAGESGEVEVDVAEWFQEVTEEAITRATFGRSYDDGRVVFAMQGRLMAFASEAFRKVLVPGYRFLPTKKNWQSWKLDTEIRRSLTRLIARRSDEADAENTCGGEDGGGAFRDLLGAMINAGERRRPSAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLDVCGADEVPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKMDVQLSDGCMIPRDMELLVPIMAIHHDTRFWGQDAAQFNPGRFADGTARAAKHPLAFIPFGLGSRMCIGQNLARLEAKITMAILLQRFEMRTSPKYIHAPTVLMLLHPQYGAPVIFRPRSPLPSYPISS >Dexi9B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:9B:9083644:9084312:-1 gene:Dexi9B01G0013500 transcript:Dexi9B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQSTRAHNHHHHPHHHNRRRAEASSPATSMAVAAARAEDAPRHRPRASPVQAVQEHDATQQQQLRRSAAFLPRRQPLRRPPQRCDSDLSLREHRSCSEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRRAARVRRGRRRSASAGQATEIYEILVDDGGVVEVDAAGAALEGLKLPLKPALEESTELEKEVWARFYGAGFWRSPSNLSEEDNR >Dexi5B01G0038110.1:cds pep primary_assembly:Fonio_CM05836:5B:37377490:37382778:-1 gene:Dexi5B01G0038110 transcript:Dexi5B01G0038110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREARSRGTARRSRGATADSVSLARGSSSFRRLGLGSCCCRSSAVACETSASELLLHDLRRGGFRFGVVGEASMAGGLRGLLDSIPGGDWVRVSGSVSSGRAPWTAGLKERLAAAVDTTAWSPEEADDNRREGPTAGASGFLG >Dexi9B01G0032360.1:cds pep primary_assembly:Fonio_CM05836:9B:34597112:34598228:1 gene:Dexi9B01G0032360 transcript:Dexi9B01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKEVQTRADPKVEIQEKGDIFFFYRPKVDKDEAHGPDDVQRMYIVLRPDSAAARAAVEEKQAPSSGKEGSKRRRRDGEGDQGGGASEEQGHHQGGHGKEEVNVEERPLLRLVVMGKKSLPDPAKHSRPYWGYVELVTTNVDDIKDALKEEEYSTATRGKRRRPAARALGEGVYRILKHEPGGRRAAHTHLVYKLELPTRGDGEPQEAMNVEPEASFLVQVKNPNPPPTGGRRGGDGGGGFRGLQSKRRAAFPEHLQGVFGGRRYAAADPPDMLNYEGCELLLIAASDDVEEELGLELEGEVGEEEEVEEQQRVAGCSDLVKMFGEVADVKPLLSGSWD >Dexi1B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:1B:12117525:12120560:1 gene:Dexi1B01G0011690 transcript:Dexi1B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNSSSSNSVGGPPGHEWCNRTLYLSVYNLTSSYTDKRNEATIVTTSALMFILAAIFFNLNLYSRFTQVSAILNPSIRIFLSTSLSLFLPVMSYLFSEAKNEGAATGETTELSQRARTILMWMLLVELLRKKVEAIGMQAYSGTIERAARIGWLGYLVFFNLRSAGKKALYATLWVFAAAKLVQRFVIMELAKRSFAYGRNPQLLDSYMAQITVPLQDDVAEHQRSGRDLLKQCDYIVTGEEDLEKTAGPDGYVFPEAIKNGDAVGITHSQKQSTLVLTKTRVVTIGEIWSLPETDDLLGGGEDDGPELRRLCLSFALYKLLRRRLEDFPITDEEARNCHDLIFKGLLCSEEVGDTNAAADALFQVFNDEVQFLCEYYHSIHPVVLASPFFFLVNYILFPLVVWALCVLTIILCSNGDVRYAFDSFNSDNYVVAVGIVRIAICIMLKIRESRSPMALYSTVDIFITILLFLAFLYEQIWEFIVFLLSNWFLVSLLCSYTRNRRWGQSLMTSRAIRCILWVRSKLSYPNICFKQLSVLWFRRWPSSWLPTVAVPEEAKKMIMERLASNVDRVIPRSNGTLALQSHQLSDDVSSACQGIGVGGLAEAIVTWHIATALLEARHPQQKEQKMQKATGGPHSSREVATSLSRYCAYLVAFRPELLPDEKDATERVFKETCEELKKEMGCWRYYLSQKAARCDKLLEMADWAPTSPLRRGARLGKALITQHGGAAADDDAADARERVWKMVADVWTEMVVCAAPTGSEVHVKAHREGLAQGMEFITVLWAVTTHTGISRGPAPPPVPAPPTTWNV >Dexi1A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:1A:22836754:22837176:1 gene:Dexi1A01G0015820 transcript:Dexi1A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGREEDGSKKHQGFDCPPLLRINRLNRRGGQTGGDECGWGEVDRWNLGVAAAGLQVCPWPPASATRRLPPALMQRISGSVRREGHEAWGMWPCRGDAKSRMQMDWELRSGGERSETRGSCEPERRRNECPAPNLNLKR >Dexi9B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:9B:8411464:8412875:1 gene:Dexi9B01G0012510 transcript:Dexi9B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSPSPPLRIVIFPWAVARLAQRLASRGHRVSFVSTPGNLARLPPLRAAVDLVALPLPHVDGLAGGAESTNTVPHGKMGLLFAAFDGLAAPFAEFLAAACADDRRRPHWVILYCLHHWAAAAAGEHKVIISIATTQRLLASLLSVVVIRPGSQILQVPLAMLLPAAAAMAETPAAGVVPRYELERKAQFHIDHGGGMSISQRFAFVFERCAIAAMRSCDEWEPEFFPHVAARLRKHLVVPLGLLPPPSLSVAAGDVDDAMMMRWLDAQTPGSVLYVALGSEVPLPVAQVHELAHGLELAGTRFLWALRKPSAVVVSDAGDILPPGFHERDPHPVGLHHHGLGSTDQHAAVGAFLTHCWQSSLIEHAPHGKKVGLQVARDEDDGSFDRHGVASAEETRGVFVENAMKMQRIAADKELHERYVDEFVKQLRSHTANGTE >Dexi7A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:7A:22030218:22032587:1 gene:Dexi7A01G0011640 transcript:Dexi7A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGARGRLSPASGGAGDSEPRSGGSRTRSVSATRGRKPSPRPGRDVPAAGAATEEKKPAGGPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGAKKKKPLSQTEYKAVSMLERDGWASQTDATGVKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRAMFREVFMDFDPLLVSKLSEKKIIAPGSPSSSLLSEQKLRGVIENARQILKIVEEFGSFDKYCWSFVNYKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECASAPAAAKPTDGSEANSSDSNHAITEQKMNGTNGLAADI >Dexi3A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:3A:1150657:1156098:1 gene:Dexi3A01G0001710 transcript:Dexi3A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKEVPSAIIFCLLLLFCLGCRCLASELVATQTATLKVDASPQLARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSQNIVALRMEVLCDDCPTGGVGIYNPGFWGMNIEDGKAYNLVMYVNSPETTDLTISLTSSDGLQNLASATVTVSGASNWTKLEQKLVAKGTNRTSRLQITCNKKGVVWFDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSLEFARGSANSTWGSVRASMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSKPLDHPADLYDFHVYTDSKTLFNMRTTFDRTSRSGPKVVNFGSDAVSLTISTSGLEASVNALGSTTTVLTSANVMDENSFSNPTKVAPVKSELSNAAEQMQVTLAPHSFNTFDLALAQSKLVAEM >Dexi4A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:4A:229451:229866:1 gene:Dexi4A01G0000320 transcript:Dexi4A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKKAVVLFIMVVVVAPEPAAACGGHPCPKPAGKCPVNTVKLGACVDRRRRSRPASCPLISGLANLDAAVCVCLAINANVLGVIDLGDVAVDLSLRRLLVYVNV >Dexi9B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:9B:6810490:6817530:-1 gene:Dexi9B01G0010800 transcript:Dexi9B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGTAEEREKLSSISMRTKAAKAKIKVLSHSEEPLTIVSPAQHPSCSTKQEDYRPLFHDKYGSPIATVAVNGGFNREYGLEGTLELFQFFSEENCDYPSKPPEVGGEGAANNKQPGPLPFLGRGVRACFPAGAVGRFHQAMLLVLLARGGGRMAVGRWRSSRRRG >Dexi5B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:5B:21044056:21044338:1 gene:Dexi5B01G0018650 transcript:Dexi5B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYQSLLSPADWIGESEFADDDRSAVSSYLSFDAACEECCHHNPLEAAFHAEQQQAPPLFDTLRAEYYCESGMAGSSSEGEPGRHSQADK >Dexi8B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:8B:15568084:15569744:-1 gene:Dexi8B01G0009110 transcript:Dexi8B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTTGSRLSRRRGHLADGTVLPDDVLAAVFVRLTDAADVLRCAATCKRWCRVVAKDAAIHARLLPPELARRRAFFGVFSQQALPDPVPGVADAAYHRKRKRVSGSSSVGHISSPCGLIPASAAAYRLFGFRSPYSIPLSNGGRHSALLEHSRPMMVCSSDDFKLRWFCEKSGVILFTIGEESNSPGLYTLDVATHKIQKVVDGTGYLASVACY >DexiUA01G0025190.1:cds pep primary_assembly:Fonio_CM05836:UA:52768811:52777539:1 gene:DexiUA01G0025190 transcript:DexiUA01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIRWQTTANPSRSRAPISTKQTIGRRAEERNVSKRMAPPADGHRQASWREEATGAGPSTERVFEGEPVPAASEMITARSVAVSVVLGATLSVMAMRLSLSSGYLPVLTVPAGLMSLFLSRSWVRLLDGCGVAQLPFTRQENALIQTFVVACSDIAYSGGFGSYILAMSRSLMEEGDADAGGRNNVEEPHMGRLVAFLFLTSFAGMFAVMPFRNSLIIRHHLTFPTGTATAHLINSMHTPHGAKQAIKQASVMFKSFGGTLAWSLWQWLFAGGDGCGFQSFPIFGLAAARNGFFFDFSMTNIGVGLFSPYNITISMLAGSLLANAVLMPYVKTKEGIWYPRGNHFAYGMFIGISMVLADGLFHLLCILLRTLRAIHKHGHSQLAAQPFMCLGVDDRPPPARSFDDRRRAQVFLRDRVFDPAAVAGYVALSASAVVIIPRLYPQLRSGDVAFAYLVAPVFAFCNAYGTGVTDVTVAPTYGRIAVVAFGSWVGLDNGGLVAGLAAGVVLVSAVSTASDLMQVFRTGYLTLTSPHAVFVSQIAGTALGCVINPLIFWMLYGYGVYNGGGPVASYAKMYRGMVELALSQQVLPRHSVMLCKVSFAMVLAVGVLREVSARRGWRVGRYLPCTIAVAVSFFLPPEIPVGIFVGSVVVYLWRRIDGDGARARLPAVAAGLICGDGIWSLLRTMLLVSNAQAPMCIMFLNITLVVVVWGLGIMAGQGGARAATLASASKARASPSANGHDGDQRRPREEEYSAAAAAGPSTEQVFEGEPVPTPSEMITARSVGVGVVLGAMLSIVAMKLSLTSVYLPFLTIPAGLMSFFLSRWWVRLLHGCGVAQLPFTRQENTVIQTFAVSCTNIAYTGGFGSYILAMSKSSADDEGGIANSGRNVEEPQIGRLVAFLFLTNFIGIFAVMPFRNSLIIRHYLTFPTGTATAHLINNIHTPQGWLFEGGAHCGFRSFPIFGLAAARLGFTFDFSMTDIGIGLLSPYKVTISMLAGSILSWGIMLPYIVSKEGCWYPSGIGGLNAYRWFIGISMILADALFQLLCILVRTLRAMHNRRQSRLSGQPSICLGADDHRRPARSFDDRRRAQVFLRDRVYDPAAVVGYVALSAVSIVAIPRLYPQLRSGYVAIAYLAAPLFAFCNAYGTGMTGVNLGPTYGKIAVLAFGSWVGLHNGGVVAGLAGGVIVLSAVVTASDLMQVFRTGYLTLTSPHAVLISTVAGTTLGCVINPLIFWMLYGAYGGGDGAPVTPYAKVYRGMAILSVSQQDLPRHSILLRKVFFAVALAISVLREVSERRKWRAVARYLPCNVAVAVAFFMPPKVPIGLFVGSVVMYLWKRRDGEGARMRSPAVAAGMICGDGLGSLLRSMLMLSRARPPVCMMFLSRGANKRLDDIFAERMMTTSS >Dexi5B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:5B:12267707:12271014:-1 gene:Dexi5B01G0015280 transcript:Dexi5B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSYAVNLRWRPLFAILVALSLLPSAALAAARSEQEHDRVRFLPGQPASPPLSQFAGYVTVNEHNGRALFYWFFEAQTSPAKKPLLLWLNGGPGCSSVGYGAASEMGPLLYKSHDFYIAGESYAVEI >Dexi3B01G0023380.1:cds pep primary_assembly:Fonio_CM05836:3B:18171960:18172830:-1 gene:Dexi3B01G0023380 transcript:Dexi3B01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSPPQPAGAGAGSSSDLARFRSGSGIGSMNMDDIIRNIYGPEAVNASAAAGGGAVVPSPAPPAAAARRTSEEVWKEISAAGGLSVPALPLPPPPAPAAGARPRGAGAGAAEMTLEDFLARDSGAARAAAVEANMALGFPDGDAAGVGGARGSRKRAMVDPEDRAVMQRQKRMIKNRESAARSRDRKQAYVAELESQVTQLEEEQAELLAEQG >Dexi5A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:5A:12309862:12312009:1 gene:Dexi5A01G0014970 transcript:Dexi5A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREPLLRPADDLYRRGLATAEAKRLVRLAGPMIASCLLQNVVNMVSLMFVGHLGELPLAGASLANSVASVTGLSIITGMATALDTLCGQAFGARQYVLLGVYKQRAMVVLGLTCVPIALAWAHAGRILVLLGQDERIAGEAGEYARWLIPSLAVSVPLQCHVRFLQAQGLVLPVMASSAATALCHLAVCWALVFKAGMGSKGAALSNAVSYAANTAMLAMYVRLSRACRETWSGFSMEAFRELRRFADLAAPSALMICLEWWAFEILVLLSGLLPNPQLETSVLSICLNTGILLFMIPLGLSSAVSTRVSNELGAGQPQAAKLATRVVIYIALTAGFVLTLAMTLVRGVWGYMYSNEQEVVAYIARMLPVLGISFFIDGLHGSLSGVLTGCGKQKIGAAVNLGAFYLAGLPMAVLLAFVLNLNGMGLWLGIVCGSLTKLLLLASVAWFIDWNKEASREHCHYAALGSRGS >Dexi9A01G0023730.1:cds pep primary_assembly:Fonio_CM05836:9A:19157468:19158739:-1 gene:Dexi9A01G0023730 transcript:Dexi9A01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCMQEKGLSHLSSFLLGTLLPTLLLLFLASDRLSERLSSISSFGSEHLLGSPSAHQADHLPSNLTSSGHAAAPASSQQQEEEERFPELAELLPRVATEDRTVIITSVNEAWARPGSLLDLFRESFRIGEGIAPLLNHTLVVALDPGGLRHCRAVHPHCYLVEVKGMNVSAANRFNSRGYLGVVWSKLSIQQRILELGYNYLFTDVDVMWFRNPFRHINLYADLTISCDRYFGKPEDLYNSPNTGFYYAKSNNRTVQMLRHWQAARSRFLPANKHDQQIFGAIKTELAGQLGVRIHFVDTALFGSFCAFPGEVSGSVSTMHANCCMGLDNKMRDLTNIIADWKNYTSMTPADRKSGKAKWTYPINCRHSMGRN >Dexi9A01G0036780.1:cds pep primary_assembly:Fonio_CM05836:9A:41117742:41121757:1 gene:Dexi9A01G0036780 transcript:Dexi9A01G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKRNKKKKGNQGKNAADVMPNAEEAAPQNPNHESAPGNHYKGSDADDAMSSVGEGVPQYQNHGRTLQSDHNGTDAHDTTSSTGEGTPSYQNNEPTLTQENYKASNAVPAYQRSIGMSESSVELDMHRLYEAKLDKLHETIKLLEEEKSLWLQKVSTMESELEKLHSKVGFHAQNEVLLEEKLNSLQIGYDVLIKKEEVLGNKVKCIDDINDTLTHQEALLKERLSELEETNKTLAAQNITEIVMQVSDNKVIDQMDFTSAVLHQHTIGLTEVMSKGNEPIAGGGLSSLVEITPDNSYGHINNIPSNAYAGNHPEETLIQLPQIDTGNSIAQAHIDVNERRFDGSGTSEEIVPVPLDDIQIHEDDPRQPGADVEIDEVPFSDAPIIGAPLRLISFVARYVSGADLVSQK >Dexi9A01G0022290.1:cds pep primary_assembly:Fonio_CM05836:9A:17501790:17502044:1 gene:Dexi9A01G0022290 transcript:Dexi9A01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASLPLGFIDTALAEAEAAKTVLAERVPTLEPKDPHTPPASDT >Dexi6B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:6B:22018216:22018974:1 gene:Dexi6B01G0014520 transcript:Dexi6B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMLNSHPEAQLELMNAMLQLEQLTSFPDHHNAMMMVPSTPPSPPCLQQAPHRHFSSVPHHMSAGGNSNGRATFYQDHHQSYSTVVTAASSCNGSTARSEYVAAAQDDLITSGGGNGGESGGAAAAVGSSAMREMIFRVAALQPVNIDPEMVRPPKRRNVRISTDPQSVAARMRRERIGERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAASGRRPAGSPAHSGAAAAYPGRINGQW >Dexi6A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:6A:7450482:7454020:1 gene:Dexi6A01G0007550 transcript:Dexi6A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMVVAARAPAPAAGRWGAAPPQELLERLKDYGQEGAFAFWDELGPEERDHLIRDIESLDLPRIDRIVRCSLRSQGAPVLTVEPVPESSVSAVDDRTPEDKERWWRKGLRAISEGKLAVVLLAGGQGTRLGSTDPKGCFSIGLPSRKSLFQLQAERILCIQKLAAQCTDELCSILFLFFQVTFFQQGTVPCVSPDGRFIMETPYKVAKAPDGNGGVYAALKSKRLLDDMAAKGVKYVDCYGVDNVLVRVADPTFLGYFIDRGVSAAAKVVRKVCLHMFTLDFLNQVTNSLEKDSIYHLAEKRIPSVHGYTSGLKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLCSYAGENLEAICRGRTFHAPSEISF >Dexi1B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:1B:301171:302481:1 gene:Dexi1B01G0000230 transcript:Dexi1B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQQVQVQASIAHQLMMLLMMSTATAAAAPATSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIQCGGGDTPLTVRESNTNWFGGFSIRMDGSPDMNRCTARVVQGTGHCGAATAGAPRELALAFRMLGLALYTVPPLLSQPEEAMDFCPGRDATRRRSGSAPAESQPPAPASPTVPPFFWRRRPIWRNKPPTMPQQQPQPQVPPPAPATPAVPQASSACTYDMWASPEHRCHWRVVTPNTTVAMAFGPLAAQRYGPELTLREALEGRGDMYRTLLREATAALLNAYYNAPGGAFLYPTTASVIDHMNGALLSSAQRVLIEGARFRRANAGGGGPAGRTRLACDFTPCAAPPPSY >Dexi2A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:2A:28641928:28646063:-1 gene:Dexi2A01G0016850 transcript:Dexi2A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPAASPAGARAAIPSLRPPPRAAAVRVLVTLPVAARTQDLRPRRLCLAVPPPASETASAAADGEEVVVVVERETGKAKAVEMDAAMRRELAIRRLREEAEADEAGTGTARSRRDFAVLETARGDALFTQSWTPAAADRVKYSQITPNFPTPTAPRICSCFYRCTENLQQTYGASLICSGRYSHFAKMLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDIVLEENHGLPCFLFGHSTGGAIVLKAALDPCVKHHVEGVVLTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHKRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSYLQKNLSKVTVPFLVLHGTADTITDPRASQRLYSTSMSTNKSIKLYDGYLHDLLFEPERDDIANDIINWLSARLDVLQRR >Dexi3A01G0028170.1:cds pep primary_assembly:Fonio_CM05836:3A:28603755:28604099:-1 gene:Dexi3A01G0028170 transcript:Dexi3A01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSRVLVVGGTGYIGRRIVRASLARGHPTLVLMRPEIGLDVDKLQMLLSFKRQGARLVEASLDDHPGLVAAVAQADVVISAMSGAHIRSHNLLLQHKLVEAIKEAGNVKVSA >Dexi6B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:6B:3401528:3407513:-1 gene:Dexi6B01G0004170 transcript:Dexi6B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSVRPSLLSTAGVAPPSRSAAAVVAKAARGFQLFRIDGYSLTTRLPGGERISSEPFAVGGRRWCVDYYPNGRDGSTDSDSDAIAVYLRLLHGGHGSSRRKKERVRADYKLSLLDAAGNAAYELPAETGIFVPAAGYGSSSDDDEEEEEEDSPRRRKKKKPSSRGYDAFVTKEDLRRRRESLLREDCLAIRCDVAVAEVETVAVGRLGQRRRRSFSYSSESSDSSESDEGASRGGRRGQTPADDKEYIRRCLASACASASSKRRKKERVRADYKFSLLDAAGNAAYELPAERGIFVAPSSHIYGQVAADDDDEGPTGKNPASCGHAAFITKEDLGRRRESLLREDSLAIRCDVGVAEVTAMAVGPTQQRNRRQQRICGGYSSDEGYEFDDEDGGGGGGGSSRGGRRGQPPPDDKEFIRRCLVTHRRKY >Dexi4A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:4A:19154902:19158813:-1 gene:Dexi4A01G0015790 transcript:Dexi4A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSALPAGGGAAAAAAAAGGLLAAAALAGRAGLVGPRKNRSDAPPEQKDETLKLFYFFHAAVPGLPLLGNLHQLKEKKPHQTFTKWAEIYGPIYTIKTGASSAVVLNSTQVAKEAMIAKFSSISTRKLSKALSILTHEKTMVATSDYGDFHKMVKRFVMTSMLGTSGQKQFRDTRNMMIDNMLGTFHTLVNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFEKVISKEEIYHATVVDMMIPVQARISYLDFLLEENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMYEIAKHPEKQDRLYQEIQEVCGNDIVTEDHLSRLPYLNAVFHETLRRHSPVPLVPPRFVHENTNLAGYEIPAGTEMIINLYGCNMNKNDWEEPEEWKPERFLDGRFETADMYKTMAFGAGRRACAGSMQAMNISCTAIARFVQEFAWRLKEGDEDKADTIQLTTNRLYPLHVYLTPRARK >Dexi4B01G0022430.1:cds pep primary_assembly:Fonio_CM05836:4B:24028288:24030989:-1 gene:Dexi4B01G0022430 transcript:Dexi4B01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGAGAGAAGSSASSPLLAPRAQTSPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVAASFANVTGFSVLNPEISTEAGLYAQWLIPGLFAYGLLQCLTRFLQSQNIVHILVVCSGLTLLLHVMLCWFLVQVFGLGHKGAALATSISYWFNVALLAIYVKVSEAGRRSWNGWSREALESKDVMVYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPDPKLETSILSISLNTMWMVYTIPSGLSSAIRSEENRRGISSLPFFLIGGKGLWLGIICAMAVQIFALVMMMLRTSWDEEVHP >Dexi9B01G0033280.1:cds pep primary_assembly:Fonio_CM05836:9B:35469540:35481207:-1 gene:Dexi9B01G0033280 transcript:Dexi9B01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALLLVALLVLVISWLWDYAILRLIWRPYITANKLKEHGIHGPPYKFFKGCNENIKRMKEKADSSVLDVHDHNYLPRIAPHYLKWRHQYGIGSSPVFPSVLMMTKTMVTCAQNMVKELEDQASKNKNGETQVELDKQFQELTADIISHTAFGTSYKLGIEAFHAQKELQEIAVETLLNVQIPGSNFSLFLPMAMAFLVPLALVALLAAAITWLWDYTFMRLVWRPYAIGKDLRRQGIHGPTYKLVKGCKEDIKTMKEETDGLVLDVHDHNYLPRISPHYLKWRAQYGEPFLYWFGPKPRICIFDYELARQILSSKSGHFVKNDPPATLLDVLGNGLALLDGIDWVRHHRIIKPAFAMDKLKVPSY >Dexi6B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:6B:24753108:24754118:-1 gene:Dexi6B01G0017610 transcript:Dexi6B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAQTTIVDPASAVVEFNVNYEETKYLAAGKAVQSDAISACGLMWRINYYPNGFREGLNRNYIDDYLFISLELLSKSSSVDAIFQVLLVDKDGQPVLFDASRASQFPTMLFRHMGRRTDLLQKYAKNGQIRFQCIIKASHDNSIPVPPSDIVKHLGTLLDSADGKDVSFGIGDETFHAHRAVLAARSPVFKAELLGSMAESTMSSITLHDIAPATFKAMLRFMYTDALPGDDELIEDSPLEKFAPLLAAADRYALERLKLLCAQKLWENLSVDTVGTTLACAERYSCLELKHKCIGFFAVEKNFKKAVLTEGFVRLVQEFPSIIKELRDGAGT >Dexi3B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:3B:848074:852915:-1 gene:Dexi3B01G0001140 transcript:Dexi3B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITQWVSSQEIPADLTIRIGDSIFPLHKAVMVPKCGYIRRAVAAATKDPFATVELDLSALPGGADAFEKAARYCYGTNFEITASNAAALRCTAAFLGMHADDLPRRVDDFLSQSALRSLPTAVTVLRSCEPLLPAADDLLRRAADAVALRICNEALFPTRSPPGWWTAELAALSPASFQKVETALRCRHADPHLLATAASAYAELALAEVLADPAGAGDDDHRQKVESVVEVLPSGADAPIPAAFLCRLLHAAVAIEASHKTCRDLELRVAAVLDQATAPDLLAVALDAAGERVTNTDTVRRVIAAFVERHAAPESGGGRSRRASMSGGRSASELDAAGAMERVAKTVDEVAAEMATEETLAISRFVGVAGAVPKEARASHDCLYRAVDIYLKTHPELDEIEREKVCSVMDPLRLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSAATEEEDDGSSAAGKARAQARADASLARENEALRSELARMRAYVSGMQQQPSKGSGSSSPAPAAKKASFLGMVSRTLSRLNPFKGHHGWGKDTASSIAADGRRHSNTTHVVKPKRRRMAQVQGLPPWSDLPPELLGLVFLRLPTRADRAFFPAVCRTWCSAARQHRLPLPSPVPWLVLPRGDIISFPHGETFQLPPGVRCHNSCGEWLLLSRDDDNCFLMNPFTKATMPIPSLSSYSYYEEPVEIAEDCLAPENEMQGNWSHIKDTDEMSVLTLVVCSTHLIAAIVAVGDLGTIALCRPGASAWSVSAHEDCRWLSHMVFFQGKLYALDSNTDTEDLISIDIVDEHDSDKPRVSRIERVIEGRSLPSQVYSMCLCYLLESHGTLLMIRRKLSHKSERKSGNRDDGILVASSSGIDELQAAGEHLQELVEPEQEDSRTLQRGGACAAGASTAQGNC >Dexi7A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:7A:28687168:28689380:-1 gene:Dexi7A01G0019540 transcript:Dexi7A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLALGCSSAPLIPACSPTSRYWTDNRVPLRLRRRKCITTRQKTIRCSLNEETPLSKHGVVSKQLISCLAAASLVFISQPSQAIPAETFVQPGLCQIATLAAIDSASVPLKFDNPSDDGSAGLMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIRGKCFLRFPTLPFIPKEPYDVLATDYDNYAIVSGAKDTSFIQVYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEYMSSDQLALMMSMPGMNEALTNQFPDLKLKAPVALDPFTSVFDTLKKLLELYFK >Dexi9B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:9B:3429490:3436892:-1 gene:Dexi9B01G0005810 transcript:Dexi9B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQAASKRPFTSTTASPSPTSPPAPPVMKKAKHPAASSSSSGTTEKNGIHLDAAVAAAAARVGRTNGEEDAEMVLADQDELAAPTAPAPAGVAANLFRKKATLPQPSTSTRKPLRIKIGQPKLPKNFEEDTWAILKDAITAIFLKRKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEIHIAEKISALVGQSPDLVVFLSLVQRTWQDFCNQMLIIRGIALLLDVKYVKNVANICSVWDMGLQLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYMQQSDIPDYLKHVESRLQEEHERCILYLEANTRKPLIATTEKQLLERHTSAIIEKGFTMLMDANRINDLSRMYNLFQRVNAVELLKLALSAYIRATGQGIIMDEEKDKELVPFLLEFKASLDKILEESFAKNEAFSNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFRQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQSVVLMLFNDAQKLSFLDIKDSTGIEDKELRRTLQSLACGKVRVLQKIPKGRDVDDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLIAELYQQLKFPVKPADIKKRIESLIDREYLERDRSNPQIYNYLA >Dexi3A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:3A:13525698:13531899:-1 gene:Dexi3A01G0017710 transcript:Dexi3A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPFSSSQEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKVRVVNGAPPTDDYQFAKYNKKVEVLKYTDEEYEKYLIDPKVEVLKYTDEEYEKYLIDPVARSSERIIWSAFQAWSREETDQLFELCERFDLRFIVIADRFPTARSVEDLKNRYYAASRSLLIHRAKSFDDVSTNPLVKDSYDVVHETERKRALLALLSQSKQQERKDAETLSEAKRIMEARAASKTVDEAGMPFSSDNAMVPVDGVSPLSSTHPPLTHPNTAANSSIPNSLRTLRVYLRTYALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFTEAPTTPKRSNRDMDRPFVPDIAGFTGERAGKRDHKRKTTGRFLEAPPSPPQSKRPRKLKGSD >Dexi2A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:2A:31622952:31625006:1 gene:Dexi2A01G0019440 transcript:Dexi2A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQQHQQPPLLPSFLYAPPSGKVVAAAPSEPFGKIEMFSPAYYAAGAVGGAAACGFTHAAVTPLDVIKCNIQIDPAKYKSTSSAFGVVMREQGIRGFYRGWAPTFLGYSAQGAFKYGLYEIFKKEYSDLAGPEYAAKYKTLIYLAGSATAEVFADVALCPMEAVKVRVQTQPGYARGLRDGFPKIVRSEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMTYKHLIPTPKDQCSKPLQLGVSFGSGYIAGVFCAAAVKNLGLMGLFTRGLPLRILMVGTLTGAQWVIYDSFKVMIGL >Dexi2B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:2B:8236666:8237307:1 gene:Dexi2B01G0008070 transcript:Dexi2B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVVHPQNTILFFVILIVILVAAFGFVRHADIAVDDASLTHLALNTTGPTTTLAYNLTLALTIHNRNWAMAMTNTKPLDATYSFDGQMFDRVRLAGEGDEHAAGKTRAHVWFRRCGGGARAGEVEFRKENATGVFEVEVMVKASSCAAGTGGGGGDGVSESKLAKAEKGC >Dexi2B01G0024220.1:cds pep primary_assembly:Fonio_CM05836:2B:33638596:33642582:-1 gene:Dexi2B01G0024220 transcript:Dexi2B01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPFFGLGDTQMQPQGSSLQQNSAAAAAGAAAPPKKKRNQPGNPSKSPNPSTHSSLVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALARESAQLPPMGAGLYVGPGGMSLGLPGGAAHMHPFADAAAAAGQSSSAAAAQFDSIMPSPSGPTSMFRSQATSGSSSPFFLGGGAPSPAAHQDFSEDGSEHSHGGQGGPSLLHGKSPFHGLMQMQLPEQNQQHQPGSSNANSNGGNLLNLGFFSGNGGGGQQDARLSIQEQLNGSGGVMASMGSHLSASGFPSSLYNNSSAAPPAPGLPQNSATALLMKAAQMGSTTSSSHNGGGPTALLRAAGFSQGTTTSSRSAGEGTSSSHEAHFHDLIMNSLAAGGAGFGGVDDGKLSTRDFLGVGRGSMAPPGLHIGALDPAAQMK >Dexi4A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:4A:19664374:19666811:1 gene:Dexi4A01G0016120 transcript:Dexi4A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDQSAVSGSAARASKLRYPLRSASRGKAAASPAADAPPTISGPRRTKPSSDVSKSMCLDLPVKDKSAKPPRRHSIQTKPGASPRPTPSGTVTPVSGVRSRRSDSQGRFDTPTSEVSMSTTRRKFSTLSSISYWMTQIRVAEAASKHSVSLAFFKLALESECEPLDRMREELKSYVARHGLATELADPVKEVLQVYDIVEDFEKLKISAEPSQQPKRSDKAARTATNVSPNGNLKPRSLNSEANENKEAGKKENIQKVKSDARVRCSYNRNPAKNTTAKEVVAKTAGKKTKKQAKGQQEVSNGDSEALAVGPDQDPADVVKEITHEDKENMGETEMAMDAGIPQEA >DexiUA01G0026710.1:cds pep primary_assembly:Fonio_CM05836:UA:57115484:57117109:1 gene:DexiUA01G0026710 transcript:DexiUA01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILRSTSLPSSPRSEEINVEEQLQTLKATISSDITEKVVDGIMRLGGLYNDIEEIMSSPNGQISLCRPQQRNTREQELEKSLILLDLCTTMQENFSELKTIIQEMQLAIRIRDDSSLQAKIQSYIRLAKKAQKQFKKISKKPTTAAQDSCNVRGPDPIVRHHHLFFPLSPPPLGRHGYWWALDSRHGRMLIYSHPLGVANHLVVWDPISGDTKRLGMPPYALNAYAGAVLCAVDGCDHLDCHAGPFLVVFVGTPSGLETWVSIYSSETGVWGPSVSIDTGFNQVDGKRSLLIGDALYFSLGYGVSILKYDLGRHELSEIKPLPVFGPVIFMEVEDGALGFVSELNNCIYMWVRQADANGTRRWEEHMVMELETVLPRPATQTTYEVVGFVEGTDTIFISGSHVGVFMLDLKSRKVKKVGESGAYFFILPYMSFYTPGIKLCFFL >Dexi6B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:6B:21911492:21915093:-1 gene:Dexi6B01G0014360 transcript:Dexi6B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEPAQLSRLDLTSTQMQALINSTRSSYHRAPSHIPRRSNHGGIIPSHHACDDEDDAEHEDWRDLYGSQLQLQLEPAVHDARDEGTTDAWIKRNPSLIRLTGKHPLNCEPPLPHLMRHGFLTPAPLHYVRNHGGVPRGDWSTWTVEVSGLVARPARLTMDDLAGGLFPAVEVPVTLVCSSNRRKEQNMARQTLGFHWGPAAVSTSVWRGARLRDVLRRCGVVVPGAGGAALNVCFEGADELPGGGARTTYGTSIRYEWAMDPTMDVMLAYMQNGEPLLPDHGFPVRVIVPGCTAGRMVKWLRRIVVTTAESDNYYHYRDNRFLPSHVDAELADAEGWWYKPEYVINEMNINSVITTPGHDEMLPVNGIATQGGYTMKGYAYSGGGKKVTRVEVTLDGGETWLQCELNHPEKPTKYGKYWCWCFWSVKVEVLDLVTAKEIAVRAWDQSLNTQPEKLVWNLMGMMNNCWFKVKVNVWQPHKAEIGLVFEHPTQPGNNPGGWMSRQKQSHLDAGEVAMSGHKRTPPNPTTNTTVTTDAEKQFTMSEVQEHASRDSTWIVVHGHVYDCTNYLKDHPGGADSILINAGTDITDEFDAIHSDKAKTLLDAYRIGKLVTSSTSSGHNSDDSVHCASNLSHLDPIPMPIKPSSPMALSNPNKKISCRLVSKKELSHDVRLFRFSLPSPDQLLGLPVGKHIMVCATIDGKLRMRAYTPTSSPEEVGHFDLLVKIYFKNVHPKFPNGGLMTQHLDSLPIGSCIDVKGPLGHVVYAGCGGFVIDGTAHKVRRVAMIAGGSGIAPVYQVIQAVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAEEFPERLKVWYVVDQVKRPEEGWEYSVGFVTEDILREHVPEGGGDDTVALACGPPAMIELAISPSLEKMNYRRSSNFFVF >Dexi4A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:4A:3084314:3084724:-1 gene:Dexi4A01G0004310 transcript:Dexi4A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi3A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:3A:10248251:10254856:-1 gene:Dexi3A01G0014000 transcript:Dexi3A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDSVVRSVDRAGAAPGDDGSAAPLPETIQIGNSPTYRLDRKLGKGGFGQVYVGRRISSASVSDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYKWKDGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQVGHKRGRLMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFSSQEWIMEQWEKNFYITALAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAVVMSRNAGFTAQVVELDFLYPSEGIHRRWDNGFRITSTAATWDQAAFILSIPKRKPADETQETLRTSAFPCQHVKGN >Dexi8B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:8B:884562:885011:-1 gene:Dexi8B01G0001280 transcript:Dexi8B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTRPAAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLLLKAIEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Dexi8A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:8A:18843994:18844808:-1 gene:Dexi8A01G0010780 transcript:Dexi8A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFPTVEAAEASLGRTMTWAEAAWFQYSRSTPDYCLCFHNFVFLLVNYTLAPLPLVLLELCAPAKLTTQYKLQPRVRLSPATFLRCYTETARVLVLLTIGPYLLAPLPVFKMGGIRMGLPLPSFGEVVAQLIVYILMEDYMGYWFHRIMHIEWAYNNIHYVHHEFSAPIGFASAHSHWAENLIFGFTFFIGMVIVPCHMTTCWLWFIIRGILAIDFHCG >DexiUA01G0015020.1:cds pep primary_assembly:Fonio_CM05836:UA:31474020:31476525:-1 gene:DexiUA01G0015020 transcript:DexiUA01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQHQRHVLFLLQFQQQLDDLRLDSHVQCRCRFIRNQQFWPTGNRHGDHHTLAHPTGELVRTGHWVLEDHRHFRTDQLAALLFGDTLQVLTIEEFVVPETRKFKLFIPGLPDVSKPTVDIGENAPGKYRLYEPGFFNISLPFVLSFAFRKTNDSSKGPILNAFEIYKYIHIDLGSPDAPVMASLASRYPSADWAMEGGDPCLPSPWSWVKCTSEPQPRVVSINLSGKNLTGSVPPEVGALPCLAEIGLANNMLTGPIPDLSGSSNLSIIHLENNQLIGSVPSYFGSLPKLSELYLQNNKLSGTIPRALLSRSIIFNYSGNMYLGIGKQEKKHVIIIISALLGASFLLVAAMCCYMLTRKTIKKDSSPEGPDNEDGDFTNKKQVLPAHKKKLQNPSTTHQSREIIATETAHPYRLSDLEVATNNFASRIGSG >Dexi9A01G0036500.1:cds pep primary_assembly:Fonio_CM05836:9A:40917121:40919671:-1 gene:Dexi9A01G0036500 transcript:Dexi9A01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGILLCMTGLAVITVMLVTTFLTSLVIVLCWHRPPLLAFAFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLIWHYTTIKKYEFDLHNKVTLEWLLALGDRLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVETLATFIKLDASFRCSEASALEQEQELEHSSERERRLTVIASNPLRHRASYDLQGSTRHSSASVTGTGDGEAPEISPARKQVRFFIDCDVSSPDAGESCKQVAEELEALVAARESGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Dexi5B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:5B:669419:673153:-1 gene:Dexi5B01G0001040 transcript:Dexi5B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGTPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCDQADAGRGDFQELDQIAATKPFVKLAVKATTIADIPRLVFQALAATVSGRPGGCYLDIPSDVLHQTLTESEAADLIAAATAASNPSPPKHKSLDEGIEKAADLLRRAERPLVVIGKGAAYARAEEAIRKLVDTTGIPFLPTPMGKGVVPDSHLLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVIELINREIKDNPFCLASSHPWVEAITKKAKDNVLKMEAQLAKDVVPFNFLTPLRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRGPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFHARKPAVINCIIDPYAGAESGRMQHKN >Dexi7A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:7A:28668103:28669143:1 gene:Dexi7A01G0019490 transcript:Dexi7A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPETSSSCVTEGFTAAHNFKVSNFPLLQGMGIGQFVSSRNFSVGGCSWNIRLYPDGDAAEEAKGHVSAFLSPQGGQGEEGVRVKFTLTALGKDGNVASQKSTDHTIDESIGGWGWLKFMDEPTLQSLLRSNNKGFTIRCVVTVIKAPRIEDVRSIVVPESNVLQHFAGMLEDMETADVTFTVGGEVFAAHGCVLAARSPVFRAEIFGPAMKEKATRRVEVEDMEPSIFRALLHFVYTDSLPEDAGDGNVPMQHLLVAADRYGLDRLRLLCEVKMCDGIDVETVSTTLALAEQHRCVQLKKACLQFIASGGVLAAVKGSNGFKHLAASCPSVVLEILDEISSLGV >Dexi9B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:9B:1858851:1862348:-1 gene:Dexi9B01G0003250 transcript:Dexi9B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVISLRDSNPPLLGHAPGASPAAAPAPAREEGGLLAHHPRAAAGASTAHPAFAAILERLEARDRDIQELLVDNQRFAATHVALQQQLIAAQHELRAVSVAATRARAEREVEVRALADQAAHIEAEARAVAAARAEADQVHADVQVLAAARNELVNRLQVLREQLVRKQADASKTASVRAQIETMRREIQKGRSAVDFEKKAHSDNLEQSKAMEKNMIAVASEIERLRGELANAEKGATTVNPAAPVANSGYATAYGNPEATYTAMYGNPEATYAAHSYPDAYSTNQAHMHTDGNSHYMSQPVPYGQGQYDSQHTNVQR >Dexi3A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:3A:12373240:12377639:-1 gene:Dexi3A01G0016460 transcript:Dexi3A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDLNDTVEEDEEEAEAVNTFSQQSRSSSAATGTPPPPTPHPRPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEHLGDAAGGGAMPPPGVPPHVFCRVVDVTLHADASTDEVYAQLALVAENEEIARRLRGGSEDGSGGDGEEGDAVKQRFSRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGPGLYADRKMSKMGDDGELRLGVRRAAQLKNASAFPALYNQCSNLGSLANVAHAVATKGVFHIYYNPRLSQSEFIIPYSKFLKSFTQPFSVGLRFKMRYESDDATERRYTGIIAGIGDADPMWRGSKWKCLMVRWDDDVDFRRPNRISPWEIELSSSVSGSHLSAPNAKRLKPCLPHVNPDYLVPNGIGGCPDFAESAQFHKVLQGQELLGYRTHDNAAVATSQPCEARNMQYIGERSCSNDVSENIRGFPRLGVRTPVGNPGFSYHCSGFGESQRFQKVLQGQEVFRPYRGTLVDACLRNDGFHQQDGSDVPSLGNKWHTQLHGCAFRGPPAPVLPSQSSSPPSVLMFQRGYSNMTQFEFGHGPLDKNEDDGPAMFGHAGGIGGTEHPLMLQTRHVSGEMRNGHAAVEKFHSTVAIAKEGPDNREVNTNSCKIFGISLTEKVPASKGKDCGDANYPSSFLSLKQQVPKSLGNSCATVHEQRPVVGRVIDVSTMDMMI >Dexi3A01G0027850.1:cds pep primary_assembly:Fonio_CM05836:3A:27691915:27694165:1 gene:Dexi3A01G0027850 transcript:Dexi3A01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGCCCRRLRPLYFLRRSIHSSAPAPADAGTDATLLGRLTRLLLLHRFSAAARLLSSSGPLTPALLNAALSRVRLDPDAALHLFRLAPSRPSLLAHAQLLHILARARRSSDARALLASLLSARSPPPPLFPHLVEVYKDFTFSAAAFDLLLRALANAGHLDGALQVFDEMRKLGCRPTVRSCNSLLNRLTQVGDLGTVVVVFEQMQRAGALPDEFTVAVMAKAYCRDRGVAHAVEFVEGMKKMGVEVNLVAYHTLMNGYCEVGQAEDAKRVLESLPSRGLSPNLVTYTLLVKGYCKEEKMEEAEGVIKEIRKNKHLEVDEVTYGVVINGYCQRGRMDDASRLCNEMINAGLQDLSM >Dexi5A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:5A:11615385:11615811:-1 gene:Dexi5A01G0014540 transcript:Dexi5A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASRCVSLLAQSRRAYSVAAAMAEGAGRRADEKKVVAAAAAKRVNTKAQEKTAWVPDPVTGYYRPAGGAKEVDAAELRAKLLTRAAN >DexiUA01G0022710.1:cds pep primary_assembly:Fonio_CM05836:UA:46177498:46178537:1 gene:DexiUA01G0022710 transcript:DexiUA01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCVVGAGFAGLASARELRREGHDVTVMEQNAGVGGQWLYDPATDAGDPLGVAGVHSSIYASLRINFPRETSSFSDFPFFLRTDNGAGDPRRYPGHGEFRYSRDFCDAFQLMDAVRLNTKVLRVAPRGDGGDDGVMRWTVRSAAKKQGEVVTTTEEEEEVFDAVVVAVGQFTQPRLPNIDGMDTWTRRHLHSHSYRVPESFHGQVVVIVGFNESGKDIAMELRGVARDVHISVKSIDDDDGAIGAGMRKALSRHHNLHLHPQARTDAHTYYDTSSSIMLI >Dexi2A01G0035400.1:cds pep primary_assembly:Fonio_CM05836:2A:45045248:45045547:1 gene:Dexi2A01G0035400 transcript:Dexi2A01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGWSSRCRCRRAAGAPRSPCCRSPSFPPPLPEPDLPCSSSGEPKPRGSPTPSPDLPSATSGELVHPFTAAKIHADFASVERWGSGWRDEEEELEVQA >Dexi7B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:7B:18150160:18153862:-1 gene:Dexi7B01G0011000 transcript:Dexi7B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKLVTMAPRAPCYTLSLPSPPSYKNISGCYGCRLARRAMSVEASQSQPHLGTAVTPTTPPPQRQQQMTARLERGSLLVGGRELLAGAPPNVTLRPADADAAPGAAFLGARAAAPSSRHVFPVGTLASGWRWLSLFRFKIWWMVPATGAGAAAVPAETQMLLLESRDETGSATAEGSTVYALMLPVLDGNFRASLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDKPFKLMKESMKLVSKIKGTFRHIDDKEIPANLDWFGWCTWDAFYKAVNATGIEEGLQRFAQRLTDLKENHKFRGETCKNLGDLVKKIKEKYGVKYVYMWHALLGYWGGVQATSDLMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIVDPDKIYEFYNDQHSYLSSVGVDGVKVDVQNVLETLGHGFGGRVVVTQKYQQALEESIAQNFKRNNLICCMSHNSDSIFSALKSAVARASEDFMPREPALQTLHVASVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGIYVSDKPGVHDFNVLKKLVLPDGSILRARYAGRPTRDCLFKDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGQWVWPVKDIAYVPTSINITGHLSPSDVESIEEIAGHNWNGESAVYAYSSCSLSRLQKHQNLEVSLSTMTCEIYTISPVKKCSVSHTRFSVKPFSLHLWDSSTCSTLVAHSTMSPALLILQLQQFTSDAEDQGDSGRIQPPGRSFVEFADSKWSSIMRRMAC >Dexi5B01G0039540.1:cds pep primary_assembly:Fonio_CM05836:5B:38320067:38326341:1 gene:Dexi5B01G0039540 transcript:Dexi5B01G0039540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATTTESGGDLGDAALWGRAMWHHGGGSRSSVENGAMAAWTASEMLMTKRGGDGLTRWSDEMNRVCYGGEESTESLISGDVHSGSNEYCHDPIREVASNLCRTVVSIALSDAGFVDYKLAKSLSLIQKAGIGGPLIDLSGKFMGMNFYEKNFGTPFLWCTQILSVLASFKKERYGYSSVCHEKYLGFYNILRTLPKYV >Dexi3B01G0035460.1:cds pep primary_assembly:Fonio_CM05836:3B:38452616:38455113:-1 gene:Dexi3B01G0035460 transcript:Dexi3B01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTVASAAAAAAAGEGPLAMEMPIMHDGDRYEHVRDIGSGNFGVARLMRNRATGELVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFTRICNAGRFSEDEARYFFQQLISGVSYCHSMLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTTKEYNGKISDVWSCGVTLYVMLVGGYPFEDPEDPKNFRKTIQKILGVQYAVPDYVHISPECQDLISRIFVLNPDQVSCHFVFLLCHLIIQVAIRYYNIGSYSLQRITMPQIKNHPWFLKNLPIDIMDDGTMSYEEPDQPIQSMNEIMQILAEATIPAAGSRGRAQLLSDGLDMDDDMEDLESDLDLDIESSGELVYAM >Dexi2A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:2A:11728837:11729855:1 gene:Dexi2A01G0010580 transcript:Dexi2A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRNLKLSSSGELTTHRVVPEEPVVAAEVRTQREDLAPAGRAAGVDGGRGERDEAVPVRRRVRALVPRGGIQHAAEGPPRARALVGEGDDGEAEAGAWEEEGVIGGAGQAPAAERIGAAEEERGEVGEEDEEGSEREE >Dexi3B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:3B:1280364:1284754:-1 gene:Dexi3B01G0001780 transcript:Dexi3B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADALSDDRAKEAYGDVLGMVFSPIPFQPGTLPPIRDPPAAEQPEPRERVPAASVTSNIPEFFKRMIFPPQEPNLLQEFDTQKVSWNPHKHCLAFVSGKNQVMIHDFEDPDAKEPCILTSDHVKAIEWRPNSGKMIAVACKGGIFLWSASYPGNVPFMKAGVTSICAFPRGSGGLGTPIRRGLSNISLVRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKQPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRSPLVSLSLVGFIRGPGEGAKPLAFAFHNKFKQGPLLSV >Dexi7A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:7A:27224590:27226994:-1 gene:Dexi7A01G0017610 transcript:Dexi7A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSKGRAIAGSFVSRVLAGKAAASPRRAVHASAYDKNLEDQVRPAFVPDDVIGGVANPDKYWGPHPKTGVFGPAAVDVVAGAPDAAANAASSVLDQKVWFRPLEDVEKPPPTA >Dexi3B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:3B:5120823:5121245:1 gene:Dexi3B01G0007230 transcript:Dexi3B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIVLAFVALMASGASAQGCEDPSYNGSLHPCGEFLRQRCPAVTGWPLPWSQPWQPSSCTAIRQQCCLRLRHVEPLHRCQEVCSLVQGVLHLMVLQGSEYYELQQAALGAKNLPAMCGISLPSYCTTPCSISGGGACC >Dexi9A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:9A:16187189:16193521:1 gene:Dexi9A01G0021240 transcript:Dexi9A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDSHAGHPSIYRGRTVRPYRTGRDGPSAVHFIFTHNGQRSDMSEHLPVDRPPTKDGPPSLTVKSTRKHTILCNFLYGGGPSAKPGRTVRLNPQGIRPHNQRACNPTRSPVPRQTANGPRLTAAPARGRCYIIRLSLQPPFPDLSLLPSSSPPPRRRGESEQLFEVCPLLLPIFLLPCAALSPPHRRRISPLCCEALCVDGQIGYRMN >Dexi3B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:3B:13067832:13070081:-1 gene:Dexi3B01G0017710 transcript:Dexi3B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSARALALALAVLLACSDVAVVTAQDTERIEGSAGDVLEDNPVGRLKVYIYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSVVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQTHLIPADTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIGVFVAEEDVPRLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGDNVFLKPGERILNWTAGPPGDLKPW >Dexi5B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:5B:7471451:7472664:-1 gene:Dexi5B01G0010550 transcript:Dexi5B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLHRLKQCLLHLANLYAATTGPAQPHAASSADSAALADRLALVYVHSPSSRLSSSCSSSWPNPEMYH >Dexi5B01G0031360.1:cds pep primary_assembly:Fonio_CM05836:5B:32143915:32148434:-1 gene:Dexi5B01G0031360 transcript:Dexi5B01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHAPSRAPATFRFKPHLPVKPPLLTSTPASACSRGSLCVTADATRRGLLVLVPSLVAASTVLQSLPLASSAAEGDDKPAPPPPAPAPPTPAPPPPPADEPALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEIVRKLAAGCAEAGRSISLAFEAFPCDLQEQLNRFMDGRIDGNTLKLYTSHWAPERWQEYEPLLNYCRDNGIKLVACGTPLEVVRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSTRGSPFGPSSYLSAQARVVDDYTMSQTIMKEITIGDPSGMLVVVTGASHVMYGPRGIGVPARISKKMQKKKQVVILLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEQYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGESVELLKGLLGTLPDNAFQKGIVGQNWSINQRFASVLMGGIKLAGVGFISSIGAGVASDVLYGARRILKPSASMEVGRKRTPIWKAATVYSCFLGTSANLRYQVIAGLIEHRLGEHLIAYYNLPLLANLLSFVSRIINSYWGTQQWIDLARATGVQSTKKELPSPEVSNSTEMPLLECGTTDVQNVDDSSNNQSNDLT >Dexi8B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:8B:17572683:17574143:-1 gene:Dexi8B01G0009780 transcript:Dexi8B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAIPHGEHVTVMVFVRHGETEWNASGTVQGRLDHELNERGRQQSAKLVIDQRLTERDMGMFQGMNKHDATKTDAYQAYSSNNDRNEQLPGGGESLNQLSERCVSCLNEIAEKHMGERVVVVSHEEVVQEICRLADPTSSDRRKIPNTSITIVHISGSDGQWTLEKVGDISHLAEDSFPEHASVMNQEIDSAGGKKRGQHLKADESEETKEGSNSEEQDISATRAVRSKF >Dexi2A01G0033360.1:cds pep primary_assembly:Fonio_CM05836:2A:43601336:43603367:1 gene:Dexi2A01G0033360 transcript:Dexi2A01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTDGTPATAGNAAPRRAAKRRAPHAAPRWDARTSATDCYRRPWLASETSKPARKLPPPAATYSEASKAVPTTVPRRLVLLRFYRWLGRAGTGAADVAGYASRPLPQPKQGARDGVGDRDWRRPSIDRSLGLGPHELLKKLKEIEKDIGRTGGIRYGPRPIDLDILLYGSNLIDSETLIVPHERIQERPFVLAPLVDLLGTSTDDVVETSWHSLSKCSGGFFELWNKLGGESIIGTEGIKRVLPVGNRLLDWHERTLVMGILNVTPDTFSDGGKFQEVEAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPKEELERLIPILDEITKIPEMEGKLLSVDTFYAEVAAEAVKRGVHIINDVSGGKLDPRILKVAAESGVPYVILHMRGDPSTMQSEKNLQYGDVCKEVASELYAQVRG >Dexi4B01G0022770.1:cds pep primary_assembly:Fonio_CM05836:4B:24310091:24314000:-1 gene:Dexi4B01G0022770 transcript:Dexi4B01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRSPAMVAGGGGAATAATPVGMPSQAATPVRRRCEGTAMGAITLDLRPGLGVGSFTLGMPISDAFAQIELHPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAAVPALPAGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEAEGTYQPGNVSKNCITPRTKWEQVKEVLGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Dexi6B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:6B:26485414:26487092:-1 gene:Dexi6B01G0019880 transcript:Dexi6B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGEIESSLELLDLCNTTQEIFVELKAIIQELQMALRKGDNATVQARIHSYIRMVKKARQHFKASKKATSDKTDCAMIRLLSKARETTISLLESTLQLLSKQIEVPKQSLGAPSLYLCVGDLALFFEKVEWWRTGAACWRRPSPPPFMPRPWLPGAGLWLGAPYPSRRSSAVPGRQTQTHAAHER >Dexi4A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:4A:5530052:5530796:1 gene:Dexi4A01G0007430 transcript:Dexi4A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHDVHGVDAFAQQLPPPFIRGAAPMPSTTTRDATIRLFGRDFSNDHHQETAAPLLRKELAPTGDGLDAGGDAAAGDQRKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEATFAAAHCGAAYLPHLYGLFGYASGGHAALPPPPPPHYHPAAMWAGTTVYGGVGPMPARPPPPVYGAAVPAMWRPSPAGSGAPGGLEGTEPMGYAEMAGKPDDKVAMSVVTSLPAAMPASRRR >Dexi3B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:3B:8210076:8210654:1 gene:Dexi3B01G0011720 transcript:Dexi3B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQEGLTHRVGTRDDDNTAAAVGGVASRDPGSRKPGVSGSGRSRRGLRSLAAAVSLAVALTALSFLASGSGGVPAVAATTVAMVRAGSVAAEAVLALAAWMAWAEGGLHARPAATLLPYAAQLGCALAWAPLVLGHGAARAGIACCAAMAAAAVACARGFGAVNPVAGDLAKPAVAWAVLLAVVNYKML >Dexi7B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:7B:17041488:17043868:-1 gene:Dexi7B01G0009400 transcript:Dexi7B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRHLAASLARALTQSPSRSISSTPSLFQTLDSSVPSPPSAPPSAGRLAELRRRLQAEGPSLGDFAYSVEVGTRQRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRNVRDYRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKEPTASSSA >Dexi1A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:1A:9069138:9071326:1 gene:Dexi1A01G0010490 transcript:Dexi1A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYSKMVAREEQVMREHKDKGVLVEILEDDEERSIDNKLLDLNEGFDAESEEGEVGDDEEDEGEEGDDDGGSTTDVGGSGSSSNNSSTNNNSESKKGDINKSEGSEQRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGSISSVYSPMDFHFMRGDRRFHEMSFFQRAAALSSSKPEHGSFFASRNCSAPELSRLYGLLHHRPTTQTFDFRNSRSHEWASSQRDQVIISRKDVTPPSIAPHQTHPLASACAALRSERRWWPFTDAGAAAATAGERKVVDHQTAGGGREVDFANPIGSSSSRPHHLWAMAAVSGDPRLPFRWRSGVTGRDVVGYPSNSAKITKRSSDPVVIDEALDPQKIERQKHLEPRDDSAGMPADEACRKRSSPVEVQEETADLQLTLSPTTPVMEAKKRRTTALSEQEIMDSSELSISLSLSPPAAASYMQKQQQQEKTTRRSNEGSSGSGEAVLGQSTLDLTMSIRALE >Dexi1B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:1B:5328892:5330737:-1 gene:Dexi1B01G0006480 transcript:Dexi1B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATFYYLCLSLALLLPLLLKLLKNHDGSSGVRLPPGPWRLPVIGSLHHLVGKPLVHRALADLARRLDDAPLMYLKLGEVPVVVATSRDAACQIMRAHDVTFATRPWSTTIRIMMEDGQGLVFAPYGDLWRQLRRISVMEMLSARRVQSFRHVREDEVARLVADVAATPPGEAVNVSRRIGVLIADSTVRSMIGDRFTRRDEFLVSLEEGFRIVSGFNLGDFFPSFPFVNFLSGTAHRAHAIHRKNFELMECVIKQHEERRGEAAANGADGQEMEEDLVDVLLRVQKEGALDVPLTMGIIKAVILWAMAELMKHPEVMKKAQAELRGNLNGKPKVTEDDLAHMKYMKLVIKETLRLHLAAPLLLPREAGESCKILGYDVPKGTTIFVNAWAIGRDPRYWDDPEEFKPERFECATIDFKGTDFEYIPFGAGRRICPGIMFAQSNIELTLAALLYHFDWELVDGLKPSELDMAEGTGLT >Dexi2B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:2B:12625417:12626136:-1 gene:Dexi2B01G0011060 transcript:Dexi2B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNKYFLILLLVAFAVIAPNLPPSSATRAKAPAPSANAKVLHPEAEFSIPDLPIPALLPCPPLFPKIPLISCYKAPSPPPPPPSPEVKECRSSLKKLMPCASFLTDKSVFAPPSECCAALDSFYEDGAVPTCLCHLTNGGIGQLLPAPLNRRRTGPLLIVCDFQISPNRRLYSTHGPSQSSTIGVGGISE >Dexi9A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:9A:835091:840579:1 gene:Dexi9A01G0001580 transcript:Dexi9A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGQRHGGVGSSSRPGNGFKGPASSVEFLGREMLEMQLRDAKADADDERDMGSGSDVTDSSSNEAGHRKVTIIHGHNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPSIIGLKHYFFSTTERDELYLNLVLEFVPETVNRIARQYNRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNVLVNPHTHQLKICAFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPETVDLVSRFLQYSPNLRCTALEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELKGVPPEVVERLVPEHARRQSLFMALRT >Dexi5A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:5A:21281364:21286225:-1 gene:Dexi5A01G0017890 transcript:Dexi5A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATVVNLMQIFILHIVSDEYFLIFCHTEYHLGQLKAKLAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVWTCALSLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSSDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLSHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >Dexi9B01G0025040.1:cds pep primary_assembly:Fonio_CM05836:9B:24416226:24420314:-1 gene:Dexi9B01G0025040 transcript:Dexi9B01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATHALRVRPLLATARSTPLRATAAVCRGPAPAFVVVRCSSAGAPSAAQAFKVKVFQQENYLANWIQALFNSLPPEDFVGGTLVLGGDGRYFNKDAAQIIIKIAAGNGVGKILVGRNGLLSTPAVSAVIRKRAANGGFIMSASHNPGGPDNDWGIKISEIKTADIPDVDLSSIGVASYGDFTIEVIDPVSDYLDLMENVFDFQLIKNLLSRPDFSYAKELVFTMFGTRAPDFGAASDVPLWAHTRRAAGSAVANK >Dexi9B01G0022450.1:cds pep primary_assembly:Fonio_CM05836:9B:17123929:17124643:-1 gene:Dexi9B01G0022450 transcript:Dexi9B01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRSWAVPSLLLFATLIAGAAFAEDDDDQHHKSICDDADCGRGTCVERLGWIPWRASYKCECDPRWKRAITMVASSPCNVPESCVAVNCGSGECKKDKGFHYHCECEPGFANMLNNTKFPCVDHNCKASSRSRPVNDR >Dexi5B01G0029910.1:cds pep primary_assembly:Fonio_CM05836:5B:31011970:31012695:1 gene:Dexi5B01G0029910 transcript:Dexi5B01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAADKSPAPPATGLGLGVGGGVGGVGMGAHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQCPVTAGAGSPSSNSTVDSSGGGSGCGVQAPMQAMPLPPALDLDLFHRAAAVNAVAAGGMRFPFKGYPVARPTPHPYFYYEQAAAAAAASAGYRMLKVAPPPVTVAAVAQSDSDSSSVVDHTPSPPAVTTKKEVSFDLDLNWPPPVES >Dexi7B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:7B:21912366:21913931:-1 gene:Dexi7B01G0015910 transcript:Dexi7B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYEYETNGYHRAVEDEYYDQDEYEEDGSGAGDEYVEEEEPSEGQKEILELRERLKEQIRRKAKAAAASAAGRSSSFHDRILPTSDNNKEQGTDKSTSSTAVKWI >Dexi2B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:2B:22442223:22453655:-1 gene:Dexi2B01G0013630 transcript:Dexi2B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLALDAVGEEGRGSWETRRRYLAEHGSDSTSRRQRTSPHRERNRREQKMEAERRFHGKRRHGMASSDDDILEHPWGEVVDSHDDLPEQQWGEVVDSNDEYMEYDKPEAMHHGSTEKCGFGLIAEDDIKKGEFVVEYVGEVIDDRACEKRLWQMKRLDDTNFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYKFVQFGDDQDCHCGSSNCRKMLGTAKSVNSIIFRNGLSGTSRNQHVKKKKRKTMCENCLGQILRLWHPRQRKYVGCWVFDFDQETKIHTLQFPDLHLEKFNLKEEEWHFLSVRHS >Dexi1A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:1A:21523915:21527486:1 gene:Dexi1A01G0014820 transcript:Dexi1A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTPDHAIRIAVLLRAKLEPKVTAPRPGSVQGCGVASCQGSPRCPLPGLVSKVVARIRASSSARFRRRRLPPPPLRFHRWRLPPPPPRFHRRLRSPFAPRPCRIGLPCSAFLKFARFILGKKVEFFNLVVHRCPVPAGRQ >Dexi1B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:1B:20606963:20607139:-1 gene:Dexi1B01G0014320 transcript:Dexi1B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVHEPPRLILPLLDGQIVQPPHSALRLIKMRFTNEDSTVPVATQHDQIS >Dexi4A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:4A:17361340:17362550:-1 gene:Dexi4A01G0014880 transcript:Dexi4A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRWLLTVAVVVAPLLAGAAAGGPLSTSFYNKKCPNVQGIVRAGMASAVAAERRMGASILRMFFHDCFVNGCDASILLDDTATFTGEKNAGPNANSVRGYEVIDAIKAQVEASCNATVSCADILALAARDAVNLLGGPTWTVYLGRRDALTASQSDANANLPGPGSSLATLLSMFGSKGLSARDMTALSGAHTIGQARCTTFRSRIYSDANINATFASLRQQTCPQSGGDTTLAPIDEQTPEAFDNAYYQNLMSKQGLFHSDQELFNGGSQDALVKKYSGNAGMFAADFAKAMVRMGAISPLTGAQGEVRLNCRKVN >Dexi6A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:6A:22581567:22582443:1 gene:Dexi6A01G0015190 transcript:Dexi6A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRQSIFHLGEEGGAAAAVHHRVGVVGAAMAGGANARRARERERLVVGLQILVHHHAHSHGRHAHAANVVLKQMVRPRAAAAASRHGGHHGHAVSCSFLKACSLCRRDLSPSKDVYMYRGDQGFCSEECRWEQIMVDEGRERQEAMVSKERQRRGQAHHHSPHRTPIRDRPPPRKSLAVA >Dexi6B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:6B:25418526:25422475:1 gene:Dexi6B01G0018460 transcript:Dexi6B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGSRVRGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKSSLGYNQRQVAGLGVAKDLGDSVGFLAGTLCAVLPLWAALLIGAAQNLVGYGWVWLAVTRRVPVPPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIYAIINTPDDAALIFMVAVGPTMVVIALMFIVRPVGGHRQIRPSDGTSFTFVYSVCLLLAAYLMGVMLLEDLVELSQEYTYPRAIALAIAQVLMAIGHFNFAMAWPGTMYVGTLLVGVGYGAHWAIVPAAASELFGVKYFGALYNFLTVANPAGSLVFSGIIASGIYDAEAAKQAQQRHNSTLLAMPARVATMISEAAPALKCEGAICFFLSSLIMSGFCIIAVVLSLILVYRTKIVYTSLYGKQRT >Dexi1B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:1B:2462709:2463149:-1 gene:Dexi1B01G0003030 transcript:Dexi1B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEVKKMLHNQQHHRHDTRVEDHSQEGAKVWVLGLSGIDGIALSPLQQLKPVKTGRRRHGDSGEDERGEEEGEEEEEPVTPRGEGWRIPAEATTCPPAPKKPRTAVSVIRSGATGRRCNCDDDEFFRVPADLEAVFVSRAAKAN >Dexi4A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:4A:21662709:21663218:-1 gene:Dexi4A01G0017780 transcript:Dexi4A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQGFVLRHFFPFLAVAHLLLPMAVARTNLTVGDILTPPDYTSPAHPAPSPSASAPTTPTQPSSSSPRGCNSSSRAPPPPQSVVWFAKDSSSSRGATANATARSFLSITTDGQLTLDDGSNNHVLWTTSSTSTWRGSVLTLTDSGNALFSLAAQQDGNVVLCADLFT >Dexi9A01G0048500.1:cds pep primary_assembly:Fonio_CM05836:9A:51284974:51289226:1 gene:Dexi9A01G0048500 transcript:Dexi9A01G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPAPSGGGGQQQQQPLVVSLNCLDDPSLEQEVLAGVAEVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAAAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSGPAAVTAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYAANGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALSNDTMHILNMDCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITMLQSFFFDGVLPTSAISDEDEEISEAGNEDDHLDTQAKGSQSQIFDAEIDESHSTLEYEKKRAISHHKEPQVSRKSVNIGSRSEGRRSRSGKKGKKRPAHRRSQQKPDDLSAVESDSNYSSRRDDDTAMSSRDQAVSSSSRFASPEDLKYKQRSLAESPMEITSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHVARQRLVGGGGWILDVLSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRKMEFVFASHSFDVWESWMLDGSLLEGCKLINCRNSSAVLDVCIEILAAASEEDGVTRWLD >Dexi9B01G0024130.1:cds pep primary_assembly:Fonio_CM05836:9B:19846195:19847088:-1 gene:Dexi9B01G0024130 transcript:Dexi9B01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCFILWLAPWLQQKERGFTSLWTDEMDAALLAVLVEHHNNGDHAQNGWKPHVYNAAIRNVREKCNVEITKDNIASRCKTFDKNYEIISKMLSQSGFGWDWENDKLLIDSDDVWNRYVEANKAAACYKTKIVKNWDAICTIYSKDHATGDGAQTGVESSQVAPEQGDDASPELPQKRQRTCEAILTILEDMRTSFSDVFKSTEPIPLPQVTPPAEILAKLQMIPDLARCDMLKSYGKLILNERLFQALMELPMDMRKEWILMLNEN >Dexi7B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:7B:10462082:10465923:-1 gene:Dexi7B01G0004300 transcript:Dexi7B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPFLPSHPPPPPPPPPPPPPPDLSSAIARLRSLLSAASSALAALPSPLQPHPTCPRTSNPSPQRPTPAATPPLPPPSTAVTLTLPARPDPYSDCPAVVRTTPTSIPAVSTLPAFXXXXRTSNPSPQRPTPAATPPLPPPSTAVTLTLPARPDPYSDCPAVVRTTPTSIPAVSTLPAFIAAEFADFSSSSTGRSTSPPPRILPSELSLLRREVDSWGAGGHHLPGSYSYAVARVVAPFRFGVSLRWEAELRRWVLGSSPRYGVKVDEAEADHIWVLLWLCLKVIAAEARCSLEGIHSGDAKEGSGFDPRAMRFECPRLVEGVSWLGAQLGVLYGESNGRLFALATVKEAVLQMANCLAVGVGDGVAGGGDGEIGAGGGAGEKGSNARDVAGPVFLSQVATAIMALHEKFSFEKTKSLQAQRLPKYQLLLEYSQALERGNLERSNRPNYRAILEYDGILSRRVENQESARSKTREELLAEERDYKRRRASYRGKKVNRNPTEVRTLSFLCDIRLNIGNTTTFHYKYESDGFLTLMKVLRDIIDDHMEEIRQAGGIGCLVETPADIAQNVLKSNSHGSTDQGTYGFSSSSSHDKAALGSQSPGCDNSPHADSSRRFSSRSHGTRDSYKTSRYISENQNRWSVGSKSEIDQSYPYDQENHIGQKSSNDSRNYGYKYKKGVSDQRPESSDCTVWSARSQRSSVTEYDYISGEGYSDKSRASQKRNRSLSATQDQFSDRYDPQSAYSDGDPSTRVLDAEDRKREIYHDEVHRRRHHERKRDCHH >Dexi9A01G0042280.1:cds pep primary_assembly:Fonio_CM05836:9A:45902534:45902973:1 gene:Dexi9A01G0042280 transcript:Dexi9A01G0042280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRQLQATTLLLVAALLFLVCTTRGQAARPEPGSKDHIMPQLAVSATVIGDEKSGSVTGMKMHHEQPEATKECEGEAEGEECLMRRTLVAHTDYIYTQEKHN >Dexi2B01G0034280.1:cds pep primary_assembly:Fonio_CM05836:2B:41554558:41556550:1 gene:Dexi2B01G0034280 transcript:Dexi2B01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSNSAGPSSEHLVADRWAPTTIVEVPVGDQAHLSVAVFPAPRSSPPGRDRVHHHHQSPPSCVRCSPLSSPLRPPGSTIRRGLDLLGFAQAPRSAVQTWISAGASSVRASCEAMAAADSSDNAVAASTCAHCQREIPSSNIALHSAHCARNLQKCEHCGDMVPRKLMDEHYEENHAPDVCGNRTEYCQTCRKYIRLREWIGHELQFHTGSNAGAGLSSDGAPAAAGQPVPTPARPAAAHGSQRKQILLTIAVAGFAVLIGSILYQRKGQ >Dexi1A01G0023120.1:cds pep primary_assembly:Fonio_CM05836:1A:29721159:29725888:1 gene:Dexi1A01G0023120 transcript:Dexi1A01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALGALCRAGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVVEKMVNQVHVVGEGDVVQEVGTTGSTSYACELHELPNEIWGEAAGATKPVKVDNENHGFLQNTPDPVMNEWWDDTALIAGNTSYFGATGTNFVAELASSDQLSVEDRGVFSESIFEELLGFDGNVSSVMASTDPLAGCVSGCRLPRYNLKDSFSVHNAQGPTLILPSFDCASENVPVGASKATPISLQNLSMDDCGSLNSANSKVSQGKKPEGAKVVKKRARPGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKDSSAVLNDNSSGVVLKDDPSAGSTGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDDKIMARFLVEANKNVTRLDIFLSLVQLLQQNSLNRSSDQLIKVINNGVPSFAEHQQSPISIPVGLAGR >Dexi7A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:7A:1203526:1206348:1 gene:Dexi7A01G0000420 transcript:Dexi7A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDEILTEILVRLPPRPSSFLCASLVCKRWRRFIADPYFLRRLRAHHREMRPIGLFAQEGGVIRFTPLLEPPDCIPRERLSMSTNYDEGWRLVECRNGLALLLSRKLMEVAVWDPVTGEKRCLAVPPEFKGQYSRKIIWSAALLCDDSKQALSVIVLGHGDLRKDDQPQVFASVFESGAGIWGDLIFGSMKAPLWLAKPSTLVGNSLCWWLCGNRHKGILEFDRQRKNLAVIDTPVDAHVILYSTFQIIRMEDNGLGLAILSDVRMQLWERKTDSGGFSIWMLHKAIELDKLLSLPVESTLLMIQGYYEDGEMKLRRGIVPDEWKEGTRNTNESGGLKIDRKKTSAIASTMTN >Dexi9B01G0036640.1:cds pep primary_assembly:Fonio_CM05836:9B:38144139:38147132:1 gene:Dexi9B01G0036640 transcript:Dexi9B01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLVVLAAAAVLLAASTAHEHHGEAPTCSGGSGHVLAEFRPGEITVDGHSNDWDGVEASEFALLPALDPDEDKAYSGGKVAVKAVHDGVNIFFMMQVDGAYAYSKGESSKCPSVALMFQVGDKATYYNMGGCKDLQGSCTSKSCKGYEVDIMHFEIGNAIPGRLYGGNHIDNAVGNGGDRFGHLVDIYAWNPHCRFLDGVGPKENNSNAQNDWHGAWWHSSLTVHSGFVDDDNPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGQPSNMAVAFWYPTDGKEWSDSEHYSASCNWLILDIQPSSEVAYYHPAPNRSWDAATAFALLLSVAAICLSIFVGYQVNKNRSTAQFTPLEQIQFAEERR >Dexi7A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:7A:23601565:23602694:1 gene:Dexi7A01G0013570 transcript:Dexi7A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRFVSLNPSVAWCRSLYANLCGPNVASQSSLTSAYMRRRRRSANTTAPTANTSAADAIGSTISSVLERGFGVFGEGGGVERRGGGAVHVEAPTEHVVAAEEDAGRRREADVGGVAVDDGGEVDGGGEEWLVGATGHLDGRHGDIDLHGLAVEVDPHLHRLAAHKAHVRERAGGVVGVVAGVVGDDGVEAVDVDPDVVAAHVVELGVEDGVELDGEEVVGGVAVVHH >Dexi1B01G0024080.1:cds pep primary_assembly:Fonio_CM05836:1B:29550045:29552086:-1 gene:Dexi1B01G0024080 transcript:Dexi1B01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTTNGLANGAAAAKGERAEDGTAVFRGTAYSLLRTTAALALWLGAIHFNAFLVLASLFLFPRRVAALVLATQLFFMFVPVNDRSRLGRKIARFISRYVIGYFPVTLHVEDYDAFDPNRAYVFGYEPHSVLPIAVGILGDLVGFMPLPKMKILASSAVFYTPFLRQIWTWLGLAPASRKSFYSYLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGCPVVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFSPIIFWGKLGTPIPFATPMHVVVGKPIEVVKNPQPTADEINEIHKQFVVAMQELFDKYKTRTGYPDLQLRVL >Dexi4A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:4A:5486557:5494799:1 gene:Dexi4A01G0007410 transcript:Dexi4A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEDQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKDIGWSHGFIYAIPFISLPLVFYAGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKVPQSRLTWPALLEHPFVKDDSMESAADIRTTPFEVKGSEDTRKTEQTQTSRNQPSLADPESRITATNRENASDNPKGNRRLDGPMKTNDDHHGSSTGAVPESCSLSPSGKELNIDGANQSLRIIKNLLDAGSCQSYAAIDISMLLEFTNLIINTKLSDAYGLVVKCLAISRKLLDTNDEVILSSYDRHWSSLYELYSQILVSTVDPSGRISPYLKGLSAECSSPNMPSASASINSGFLLNVASPGGRIGTENKEMLKTIEQHMPQYIQVLLEVGIPGCMLRCLDYVDMEDLARPLAIVAKMAGYRPLALQLLKEGLLDPSRVAGLLEGPIAKEALLDFLMIVSDLARMSKDFYVPIDKAGLIGFLKNFLSNEDPDIRAKACSAIGNMCRHSSYFYSPLAANKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDILYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALSESPLRIVLFALRKMCDHAVCRNFIRSSELLPVIVHLRQSPDPTISEYASAIASRACQA >Dexi9B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:9B:15393266:15395951:-1 gene:Dexi9B01G0020680 transcript:Dexi9B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLASRNPAGAIQGAGHASTRQRDGTAAARRCSCGLARAGWPRLVSPARWDGSRQSSVPDYLHKYLKALIHVICCCCLIFLSLRCRTSTGDITSLSLITAAKTPYLPDGRFDLEAYDSLINMQIESGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSIEGMISHFEAVLPMGPTIIYNVPSRTAQDIPPEVIMAISGYPNMAGVKECVGHERVKHYTDKGIAIWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSLMYEGENATLNEKLLPLMKWLFCQPNPTALNTALAQLGVSRSVFRLPYVPLPLEKRIEFIRIVEAIGRENFVGQKDARILDDDDFVLISRY >Dexi7B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:7B:19517038:19521107:1 gene:Dexi7B01G0012800 transcript:Dexi7B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGAALLILLVVLGTALALSLFPRCASSPGAFSRVRVAMPGRIVVWLAFSRWDRGGGAAGMACVPSSPAATRNFKLNNYLFCLAFFIQIIVPFDSQAPLVLTQCIGITEKGIVLSHHLHRHHQKDKLDLGVAPYQLFPRIDELEIEVAAGLPSLVPIPGSLGPISSNEDPFSANIHNRSRHKINSKMIAIISLSAVVFVLTCFAIGIIWRFKGLKESHATGPISSSSITRKGGMRSSFSGTSSSTASFISTIGTCPSTVKTFTITELEKATENFNFSKIIGEGGYGRVYRGIIEDGAEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKVALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTREGLQRLVDPSLPSGYDFEKVAKAAAIASMCVHVEASQRPFMGEVVQALKLIHTGAGDETCSGSFAGGATEESPWNNNDGGSRSTWNDDPATPGPAAFPLGYGGSDPTGAVDERRPRSASSAVLDKIESLAMYDWSGPLRAKGRLSFYRLRGSMSEHGRPSDDGSVEGDWI >Dexi2A01G0029450.1:cds pep primary_assembly:Fonio_CM05836:2A:40500125:40501073:1 gene:Dexi2A01G0029450 transcript:Dexi2A01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNGKKNRGYLTWTDGMDQALLDVLVEHHNNGDRTANGWKPHVYTAAVRNVRDKCNVDITKDHVVSRCKTFDKHCNVLGKILAHSGFEWDQDRNKLVIHNEDAWSRYIEKNKAAASYQHKVIKNWDAISLIFSRDHAATSEDVSAGAENGQDVAMKVAEDFHGHTSSSPSTSGPNSQYHPAPPMLTQSNKQGRMKRFRTKDALFCMSGDIKNSFRISMKSNETQEEPKSACPKEIFAVLQSIPNLARDDLLRAYCILTSSDRKFECLLALPMDMRKDWLLMEIGKK >Dexi7B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:7B:16558564:16559922:1 gene:Dexi7B01G0008830 transcript:Dexi7B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLARLLLLVAVVAAAAARCAVSATPPGHPKGLRVHLTHVDAHGNYSRLQLLQRAARRSHHRMSRLVARATGVHVASSSKAVASSGGGDLQVPVHAGNGEFLMDLAIGTPSLSYSAIVDTGSDLVWTQCKPCNECFKQSTPVFDPSSSSTYAAVPCSSTLCSDLPTSSCTTSASRCGYTYTYGDASSTQGVLATETFTLAKSKLPGVAFGCGDTNEGDGFTQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDGMGKSPLHLGSVAEISSSAATSPVQSTPLVKNPSQPSFYYVTLTGLTVGSQHITLPTSAFAIQDDGTGGVIVDSGTSITYLEVQGYRALKKAFVAQMSLPVVDGSEIGLDLCFQAPSKGVDQVQVPKLVLHFDGGADLDLPAENYMILDSASGALCMTVMASRGLSIIGNFQQQNFQFVYDVAGDTLSFAPVQCAKL >DexiUA01G0015030.1:cds pep primary_assembly:Fonio_CM05836:UA:31501521:31503648:-1 gene:DexiUA01G0015030 transcript:DexiUA01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQSILVLAAVAACLLLLPAATAATSVEYCNKGKQYPVKVSGVEISPDPVQPGKAATFKISASTDKSIEKGKLTIDVKYFFFYVHSETRDICGETTCPASGEFVLSHEQTLPSFTPPVRFSTSPGLLHA >Dexi3B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:3B:7206064:7210918:1 gene:Dexi3B01G0010330 transcript:Dexi3B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQATPNANNPFAPKPFGSPTTTFGAQTGSSLFGNTSTGAFGQQQSTPTFGTTPTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPTPTFGATSSTFGSGMYDAFFISSVLQGHHARFLRNFLDCSQTAAPTFGQAQFGNQAGGTRIKPYAQTPDVDSGTSGTQPVAKLDSISAMPEYKDKSHEELRWEDYQHGDKGFDVLTSSCFLLIKPTLQTSAPPQTSNMFFQPPPQSASTGGFPGFSNTATQAFIGQQTPSQSNMVMQPAPISNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVADKPLPSRTLSMAVPRHLSQRRIKLLPRKYNPISGGKVPFFADDEEAPATPKADAFFIPRENPRNLIIRPIEQWPPRSGINKQSLLKDSTDLEKYEDASTESGLDKTVKYLSSNPVVENGKQHEPSHHGNGKVTSVERLLPKLPEADYFTEPSLEELAAKERGEPGYCGRVKDFVVGRHGYGSIKFLGETDVRGLNLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIRCVNKKTGEQYDEGPRVERYREMLMKKAKEQGAEFVSFDAAKGEWKFRVKHFSAYGLW >Dexi7A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:7A:29732018:29738217:1 gene:Dexi7A01G0020990 transcript:Dexi7A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEEGGGGGGGGGDESADPSRSEPAAAAACVPLVLFLTSCLLRRADANARTRFCWEKAEAEALVLKAQLDDTLDEKTAMEQRICQLDEALNVAMVERDTLIKETTQMLSYEQGKVQKLEENLMEKINIITSLDAENDKLSEILSVKENLISELIESKQVIESNFKDLTIKLESSERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADVAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRNEVETLGSDAATTRVRKLNPARSQNPYVSVQNSHDASHGNSPLLARLHAIEDENKAIKESLSRKDGELQFSRTMLARTTSKLSQVEDQLEELSRGRTAAELVKVSPMVVENPLSSISEDCCNKDNVSCSGSWASALLSELEHFKKGKLTAHSSKSTGLSDMSFMDDFAEIEQLASEDKPVEPYGSKREAVESSGKELVPIDVPTGTTDQIRQPKIEKAVFKLIELIEGVIQRSLKDCSSTAVLSGSDEDNGQGTLSGYVARAFLWNTSELTSVLQNFLFVCNELLHGSTDVESFVHDLQHTLDWIVNHCFSLRDVSDMKETIMKHLELKNNDGLEIVAVTRHTGIHAEDEPRMPENFQTSLLADSNSINIGSNADFRTQKTGNEVADSKFQGITEKTSHLRAELNELKESGKIMAHADGESTMNDSSTYKAISKSGLNKGKQEVVGCLETEHQLECCPTKDGSKNVAENEEKHKQMQLEISTASEKLIECRETILNLGKQLKALASPKDAILFDQVLQTAARSDQKPRSQSLSEMLTMEDGGFYDPSSPKTKEIISTEPKVLSERDCSADEGDEGSLACSSLHPMPVVQPIKRACRANGTCKGEADVKVVTLAVVPRKQKGSSSLLKRILTGRRAMAKPQVVLSSELTTV >Dexi1A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:1A:24668465:24668974:-1 gene:Dexi1A01G0017330 transcript:Dexi1A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATGGEMRLVDRCIDAAAHSAATVEVWRRQRRSMERLPAQLADALLQRLAARRLLFPSLLEVFQRSVEEVDLSGFVAVDAEWLAYLGSFRYLRVLKLADCKNVTNHAVWALSGIIF >Dexi5A01G0029660.1:cds pep primary_assembly:Fonio_CM05836:5A:32683264:32691531:1 gene:Dexi5A01G0029660 transcript:Dexi5A01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVYLGDVKHGHPDDVVASHHDLLSNVLGSKEDSLASMLHNYKHGFSGFAAMLTEDQASQLAGAKCPTKQKVHGEDHTKLGLNHQMPSELLHSSKYGEDIIIGVIDTGIWPESRSFNDEGYGPVPARWKGVCQVGQGWDRSNCSRKIIGARFYSAGIDEAILKDEYLSARDFDGHGTHTASTTAGSVVEAASFHGLAAGAARGGAPRARIAVYKSLWGPGGHGSSATVLAAIDDAIHDGVDVLSLSLAAPGENSFGALHAVQKGITVVYAAGNDGPIPQTVENTAPWVITVAASKVDRSFPTAITLGNKQQIVVRDNHYTTKGRTHPGAFSQAFKTEARTCTADALNGTDVKGKIVLCLPLERPLVQMPLTFFSVALQNVLDAGGSGFIFAQYELDALDATADCEEGFPCVLVDINTGKLIGKYIDGTSSPMAKIEPARTITGDEVLAPKVASFSSRGPSTDFADIIKPDIAAPGSNIIAAKKNSYAILSRTSMATPHVAGIAALLKALHPKWSPAAIKSAIVTTASVTDERGMPILAEGLPRKVADPFDYGGGHISPNRAAYPGLIYDIDPRYYNYFFGCIITTSASCNSTWVPAYLLNLPSISVPDLRYPVTISRTVTNVGEVDAVYHAAIESPAGVKVEVEPSVLIFNAANKVHTFKVKLSPVWRLQGSFTFGSLTWYNGQKSVRIPIAARITVHDLFAEVA >Dexi5A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:5A:21870434:21875168:1 gene:Dexi5A01G0018350 transcript:Dexi5A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSISAEPLREVPGEPLREEKTKARTAPAAEGDKAPEPVPWLLVPAAKGKTDAKIATRAKAPRRACGWLPRPFFSSSFPRPDGYKRLNKDPFRSALPGREERLAFHRNIVKDCLDFYNTLHPMNYFFLTVSPMNQLLKPTISLASLLGGVLITLATGRAEQQDDLVEPEAAEAEHGVARLVERWRHHPALLQHVAASMHRWLWGRATSALAPTASHEDESIDRDETEG >Dexi6A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:6A:27539447:27540921:-1 gene:Dexi6A01G0019920 transcript:Dexi6A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIELVDWIYFVAFACTAAGIIIYSYKGSKEAEETAQVAGASDEHGKEGGEEAGAENPA >Dexi3B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:3B:717120:717371:-1 gene:Dexi3B01G0000870 transcript:Dexi3B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALLDHVTYPYHADEDAEADAEEECSICLGPFEQDELCSIMPVCRHEFHKPCIAGWAQLQWFTVAENMV >Dexi4A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:4A:7752583:7753005:1 gene:Dexi4A01G0009830 transcript:Dexi4A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSFGTSWADQWDTGGDPSPRATRDDGRGGGKGNGGGVGEKTKAAAATGLRKVKEGTANGFQWIKDKCQRKSGGGGGKKQQGSEVAGY >Dexi9B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:9B:4936433:4939771:-1 gene:Dexi9B01G0008010 transcript:Dexi9B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAVQEAKLLRQVNALIVAHLRGQSLGQAAAAVAAATMTPLSAADSVPDNHLLRLVAKGLAAERAGGAVSAFDSAGFGGVVPTLGSSAVDFSVQDARGSSKSFPKHEARHVSDHKNIARCAKFSSDGKYFATGSADTSIKFFEVAKIKQTMAGDSKDGSARPVIRTFYDHMQVRYSCTGSLYVTASKDGSLRIWDGVSAECVRPIIGAHGSAEATSAVFTKDERYLLSCGKDSSVKLWEVGTGRLVKQYTGSVRRQFRCQAVFNETEEFVLSVDEQSNEVVVLDALTAEKVARLPSGGTGAPRWLDHSPTEPAFVTCGSDRSIRFWKQTV >Dexi2A01G0028850.1:cds pep primary_assembly:Fonio_CM05836:2A:39987175:39988023:1 gene:Dexi2A01G0028850 transcript:Dexi2A01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPNLLNIAILLLSATPAPSAGDRSFCTDATYERNSTYKANLRSLAGALVSDAARLHSATGAAGEGSDRVYGAVLCRADSTGADCARRLRDALGVVIDGDSTSGNGDASCARRRDVAVYSELYQLRFSDGDFLANFSNAPEWANVTNLDTVSHAVAARFDEGVTELLSKLADDVARRPERWAVGVAPWSGEKDRAVYGLAQCTRDMPPDRCRACLDGVVGEWPRKIGCGGKMGGAVFGARCTLRYEMDVQFFNVTGDSRMMSLREFLLNMYGIQNENMKV >Dexi5A01G0037610.1:cds pep primary_assembly:Fonio_CM05836:5A:38907507:38909260:1 gene:Dexi5A01G0037610 transcript:Dexi5A01G0037610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEGKSVRAAGRQETSASSSYSPQVGLSLYWQVIVGKMSWEVLGREDGGGERPQENEQQKQRNWIGKPVRLKMGDGPRGAKPMIAGGEKNMMGAQQAGRQTGGRTDRHRQELLLACPSDKKDLSFSSFLPQWCSLRDHISSGDSIAVLAFSQPLMSAAQLVILSSCRRPPRRTSGRCLVAGAEPPDTWPPPLAEARFVAGWLERVAIALHRLDE >Dexi2B01G0023620.1:cds pep primary_assembly:Fonio_CM05836:2B:33174309:33176341:1 gene:Dexi2B01G0023620 transcript:Dexi2B01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAESGGGGEGKQGPSSSRFRRVCVFCGSSSGKRSSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVSEAVHKGGGHVIGVIPTTLMGKEITGETVGEVRAVAGMHQRKAEMARNSDAFIALPGGYGTLDELLEVIAWAQLGIHSKPVGLLNVDGYYNFLLAFIDKAVDDGFIKPAQRHIFVSAPDARALVQKLEEYEAVADDDPATPKLRWEIEQVGYNASLLAEIAR >Dexi3A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:3A:16194064:16196855:1 gene:Dexi3A01G0020390 transcript:Dexi3A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRVGAPSAPGTRGAKAETEEEAVVVWRELRGRAVALAAAAEERAALARRIEDALEFRCGVALVVEQVRREALRQEEELGELRRRLDLQHARAEEALVGRRRTAEAVERGKERLQEQIERVLPLSRALTAAHRRVQEAKEALTGDKARLEDLQRLLRTRQQCMVGQVAALYPVRVFHDLPQHGDNSNDDRTLSGESRTVSEETRAFSGAHGTHVPSAIKSPQGRALTIFGWQIMNTKRKQKNYSEKELQRSATVLGYAAHAVLLIASYLDVPLRYPLHFGGSRSYVSDRLPSAETTSAASAEHPSTNNTESELTEYPLFLECQEDDSTRASYAIYLLHKDTEQLLNYIGAESSGRCVFGNLRELLRIVLSDEYVYR >Dexi3A01G0022590.1:cds pep primary_assembly:Fonio_CM05836:3A:18187518:18188779:1 gene:Dexi3A01G0022590 transcript:Dexi3A01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGGYDRQRELQAFDDTKAGVKGLVDAGVTAIPAIFHHTPDSLPVLHPPEPDGITNTATTTIPVIDLSCARRREDLVHTVKTAAETVGFFQVVNHGVSGELLAETLAAVRRFNESPAEAKRPYYFRGNARKVRFSSNFDLFQSPAANWRDTLFCDLAPSPPQPEELPGPVRHVMVEFGDAVRKLAERLLELLSEGLGLAREHLGEMGCVEGLGVASNYYPPCPEPHFTLGSTRHTDASFLTVLLQDDMGGLQVLVGDDGRRGWLDVPPLPGALLICCYIWLVACTMQLVSNGKYRSVEHRVLANKSRDTARVSVAAFCSTDVIGSTRVFGPIETLTSSDGVSNPPLYRTITIHEYLAHFLEKGLDGRHTLDHFLLQQPIPNTT >DexiUA01G0013010.1:cds pep primary_assembly:Fonio_CM05836:UA:27033974:27038377:-1 gene:DexiUA01G0013010 transcript:DexiUA01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPAPAPAPVPAPAPAPKVDELQPHPPKEQLPGVSFCITSPPPWPSITYVKKFLRTMRGTQGALLIASTVQIILGFSGLWRNVLRLLSPLSAVPLVALVGFGLYELGFPGVAKCVEVGLPELLLLVASSQYLPQVLHFGKKVFGQFSVLFTVAIVWLYAYILTISGAYKNSPSKTQVHCRVDRSGLISGAPWIRVPYPFQWGPPTFDAGEAFAMMMTSFIALVEYNLINYLPVVHWCLHCCFKVCKRYYDSSINNKSGDWVAGKEPDIGIGILLDSFFGTASGTSVLAENIGLLALTRAGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAAMYCVFFAYVGAVGLSYLQFCNLNSFRTIFILGFSFFMGLSVPQYFNEFTAAAGHGPIHTGARWFNDMINIPFSSKPFVGGLVAYVLDNTIQTKDGAVRKDRGYHWWDKSRSFKKDPRSEEYYSLPLNKFFHAIRSQ >Dexi2B01G0033790.1:cds pep primary_assembly:Fonio_CM05836:2B:41181170:41183694:1 gene:Dexi2B01G0033790 transcript:Dexi2B01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTLLAPAAPDPFADFPFPEFQPPLDGESFSFEDFDLDDLDLDVDFDLGIFASDGQLSQRPTLATSSSSAGSPDGGSSSFGAGGDGVLRNEESSESSSRSASATDGSGKGKGEDDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCITAENAALKQQMGGAAGAVPPPLPLPMYPAMCPLPMPWMHPAYAMRGSQVPLVPIPRLKSQQPAPAAAEPPAKKARKTKKVASVSLLGFLCLAMLCGCLIPAVNRMYGSVDAGEGARFGPSHHGRVLAVDGPRDSMPDSIDPKPPQSARETLPALLYLPKNGKHVKINGNLVIKSIVASEKASTRISGYDGKTPQNHGKEETSLAIPGYVAPLEAGEVMKSTTGMKNELMALAPTDGNMYREDDGLLPQWFSEAMSGPLLNSGMCTEVFQFDVSASSAHANGIVPVYSNAMSNSSQNFTENLPSARPHTVKNRRISYSEAIPLRGSTSNDTDHLKTPPKNESFGSTKPVSSVVVSVLADPKEAGDGNGEGRISSKSLSRIFVVVLIDSVKYVTYSCVLPFKNHSPHL >Dexi2B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:2B:175788:176243:1 gene:Dexi2B01G0000390 transcript:Dexi2B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIVGGPGQTAVLLVRGSGPENPSMHPGNYFGDTVAVDDLLTEGLAVDSAPVGRAQGTYMTGSMARPVFVVSVTLHLAAGPYNGSTLVVAGRDDTSEPVRELAVVGGTGALRRAEGHVLWSTAKVVSPLHAVLELDVHAYVPVPTTVSEM >Dexi5A01G0038580.1:cds pep primary_assembly:Fonio_CM05836:5A:39527144:39530350:1 gene:Dexi5A01G0038580 transcript:Dexi5A01G0038580.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYDELSMPDKDTAFADEPILAMPPRQPNEKFLEAYEVVFILDDREKFGSRSRKVADNIRSQIHVPVEVRHLPVGDAIWIARNRKDRTEYVLDFIVERKEVLDLNGSIEDNRYRDQKLRMKRCGLRKMIYLVEGDPNNPDVRERTKTACFTTEILDGFDVQRTSGFADTQRRYCHLTRSIIECYDANVSTLAETRRVCPTYDEFKRKCELEKTTVSQIFALQLMQVPQVTEEAALAVIRLYPTPFFLAQAYYALDGDPPAQQAMLESKNKKINAGASRNVFELFCGDGRNTQN >Dexi5A01G0040010.1:cds pep primary_assembly:Fonio_CM05836:5A:40331703:40334622:1 gene:Dexi5A01G0040010 transcript:Dexi5A01G0040010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLPRPPPLPAHPNFRSPLASSSSISSWLQPTRLPSASSRLLCSSHPASPLDDASSAATPSIVAGLLDYLNESWTHFHATAEAKRQLLDAGFKLLSESDDWDLQPGGRYFFTRNMSCLVAFAIGENTVNSDGFKPNLETHLVPLLATKHEEATMNSDDKSSSSTKVAHHPLLLQILSEEIGCESDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLVDSSKAAEQLSNEKAIRMVAMFDNEEVGSDSMQGAGAPTMFQAMRRIIDSLMHQSMGEGALERAIHSSFLVSADMAHALHPNYPDKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSIREMCGKEDVDTTYRHFKAFFEMFSDIDRKLNVDF >Dexi1A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:1A:27892687:27896423:1 gene:Dexi1A01G0021060 transcript:Dexi1A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLRDPATRERSGVEGQPSPKRHAAPKPLLSSPLSLPSPSHSGRVQRQAGAGKPAPARVPTPITTSPPSDPARRGSARRSMASSPPTAAAADEVAPAPAPAEAAEAAKGKAKRGGVLGRMWRALFGGREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEVLAVVYAIVMTRNEDLTWQMRAIRVLPIFVLPAVSSLIYSTVVNFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEGPKLDAALARSNDAEISRSDGLRNRKQPNARGSRSGSPATHSPEQGIESTPTANAGLETAPAPMVVEHHQGTGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHVTYYCPHCHALNTSKQSMGQYSGSNSGHSTPGVPADGISSSSSVQEGESSNLTTLQELPKEGSAEKRMEAS >Dexi3B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:3B:13216184:13217131:1 gene:Dexi3B01G0017890 transcript:Dexi3B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSTPTNMPAARPRMRGAVVAPPQTTMEGGESGLQRLRGTVTLSLKPPEEPEVLPPPSMGVSVKASSPETEEEGEEKPATTPAMRGAVQHPRATKAAAAAAGSVGCQAIADMAGRLGVAPGVADQAMGVFRRLEQAKGKWHHYYTKGPGRSGDALYAACIYVACRSAGAPRTFKELAAATRDGAAARKDIGKLIGLIKTKLGDDAAAAGGEAMNIGVVRPVDFMERFGSLLGMGEDEVRAVQEAARRMNDKLDVRRNPDSTAAAIIYMAIERRGDRRSSIRDVSAATGVADNTIKQAYKELYPHAQLLFDQSAC >Dexi7B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:7B:15699547:15700245:1 gene:Dexi7B01G0007870 transcript:Dexi7B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTAFPAAATAADEEALPTAAACPSSSAASACSQLPLPRFVAAGKHLQLPPSPPAAAALASPATDVVAEQEPRRQEEETVEGEGGGDAAPASPSAAEEVEDRMDHLWEDFNEELAVAHQQQAAARRRRARSARRGDGSSWRGDGVLLPAAAEGPWSPSPPSEDDAGRGGCAPVLRPSSRAGGGARHYRRRAGTWVLLMRIFRRLFVVEKTISSASAAAAVARQRSSSTRAR >Dexi2B01G0030350.1:cds pep primary_assembly:Fonio_CM05836:2B:38628325:38629877:1 gene:Dexi2B01G0030350 transcript:Dexi2B01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQSLRGPKVFKCKHCKVVSASSDAIVSKDFRGRHGRAYLFDSVVNVSFGPNEDRHLMTGWHTVNDIYCICCQQLLGWRYVS >Dexi9A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:9A:16149610:16152334:1 gene:Dexi9A01G0021190 transcript:Dexi9A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Dexi9B01G0037030.1:cds pep primary_assembly:Fonio_CM05836:9B:38517021:38518811:1 gene:Dexi9B01G0037030 transcript:Dexi9B01G0037030.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSASSSAVAFRPDIFGARHGAVSAVSREAAASVGPRREAAMAGAATEGKLSPALPLATLIGRELRGDGSERPLVRYGHSGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEKLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGETSGTTATLVVVDGFTVTVASVGDSRCILDTQGGDVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLIIASDGIWDALSSETAAQACRGLPAELAAKLVVKQALKTTGLKDDTTCVVVDIIPSDHCSTPPALSPKKNQNKLKSIIFGRRSHSSVGKLSKSASLSSVEEIFEEGSAMLEERHVLISPAVHVFLHVFCSSMHIAGHTAF >DexiUA01G0010680.1:cds pep primary_assembly:Fonio_CM05836:UA:21230790:21231215:-1 gene:DexiUA01G0010680 transcript:DexiUA01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADGPREWPVGDNAGWGLGVLGWPNYKPFKVGDCFEYQKGTHNVVQVNNLQYSLCEVPENAPVWNSGDDRITLARDVSFYISGVNDDCQKGIKIAVNAR >Dexi7A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:7A:14022967:14027021:1 gene:Dexi7A01G0003900 transcript:Dexi7A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDQISTGRKRSIHDRLDGDLPAGAGAGGRARHTASKRQRQIDEKWKHDLYREDDEPASKSIDPRDLRLKLQRRSSQQGFTSIKTSGVRDLREKLSGTMHPQPSNADPPKPKPVSEVVRISRRPGADEMPARQSKKASKQTASKKASQPKAESPLDSFLSSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIVLALESRA >Dexi1B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:1B:26138160:26141031:-1 gene:Dexi1B01G0019930 transcript:Dexi1B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSGGGALINEVLTDDELRAVLTHLGPEAERDAFGLVCRRWLRIQSSERRRLRARAGPDMLRRLAARFSGALELDLSQSPSRSFYPGVIDDDLDVIAGSFRNLRVLSLQNCKGISDVGVAKLGDGLLSLHTLDVSRCIKLSDKGCNSITDAGVSALADGCHHIKLLDISKCNKVGDPGVCKIAEASSSRLLSIKLLDCSKVGDESIFSLAKWCRNLDTLVIGGCRNISDASIKALALACYNSLRSLRMDWCLKLTDTSLRSLLSNCKHLVAIDVGCCGQITDIAFLDVEGNGFQSELKVLKISSCVQLTVAGVSSALKSFKALEYLDVRSCPLVTRDSCEQAGVQFPVGCKVNFYGSLLESDPSAERFF >Dexi8A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:8A:29260601:29263211:1 gene:Dexi8A01G0017620 transcript:Dexi8A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGSIAFFGTYRPPVPLDIFSCPADPPPSSSKDEHLLTDDKSYNQNGQPIPAAALMELLTFLSKNNPKLASKSGATPEDADKGHVTGMVFVSERDCGLETLHVALRRRRRSISSGEVVVKVLSLGDIYGADTFGGVRMEDSGCIAGGFKVGDVTVGHSLVYVSTKEQAKARRTPWTAVYRTDLATGETERLTPPGQYDLSPAVSPSGKTVAVARFQLNKWNGEIENLKTDIVIMNVDKRKQGGLGRRRLIKDGGWPTWGSDKVIFFHRGFDTMPPSNTAKWAVFRYDMDTGKQERVTPEGIDAMTPAAISETKVAVATIRQKSLQVQMEVEREVSQYRHIEIFDTAMPGKPPVQITQRIRAERDHYNPFVLDGGKNIGYHCGRSDKLLMVQRKFDKVRAPESHADVGLFRVTGVFPSISKNGKKLAFVDNEFKAVWLADSKGLRIVYEVRSSKSVFSTSWNQNDDLDTLYVCEGPAFTIDQPVQIMSLPNVSRDDVDEIQVFPLTEEDYNCAFPSSNAEGTKLVFRSSTDRVKGGERKHKNLFVIDAVEGETAGVHQLTDGPWTDTHCSWSPREGCDWIVFSSSGRPEKDIVKRAGEPELDHGLDPGYFAVYLVNAKDIEKGKIPVPVRVIHSAPTMAGHVNHPVFSPDMRSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVDLRDNSGDITKNKDIQEFHRITHSRYEYSTPTWAAIADGEEDPDAKWKMLASVPDFTPRCPYMQGEAGKKESWHMTGHLVIKKRCC >Dexi4A01G0022390.1:cds pep primary_assembly:Fonio_CM05836:4A:25678584:25679163:1 gene:Dexi4A01G0022390 transcript:Dexi4A01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAVGPGAPVASYGQMCGGGGGGARKRKDVVQDPEAEVDAGGVARRHPGAGLFVLETVEEAVDEEKSSIGAASEDEVEDGDEADSGGAMPPSARKGGGALASMDALDDALPVKRGLSNFFSGKSRSFANLQDAATAVSSARDLAKPENPFNKRR >Dexi4B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:4B:21551937:21555529:1 gene:Dexi4B01G0019260 transcript:Dexi4B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAVGALKDSTKVGLANFNSEYKELDIAIVKATNHVECPPKERHIRRILYATSAHRPRADVAYSICTLARRLAKTKSWIVALKTLIVVHRLLREGDGTFREDFLTYSYRGNILQIPQFKDDSSPLAWDSSAWVRTYALYLDERVECFRVLKYDVEADRLLKLPQASGKAHSRTRTLPLADLLDQLPALQKLLLRLIYCQPEGTACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFEMPKYEAIKALEIYKRAGQQPPPSFLVTMEEYIREAPRANIESKSLENEENHSCDNEEAAPQETEKLVEEEKQEPEPEEEPEPVAEPAEEAVETQPLATTGDLLNLDAEINPLIADIEESNALALAIVTPGNENKMSTSRDLFALDKSGWELALVTAPSNHTNQQMDNQLAGGFDKLLLDSLYEDEARRQQIASVTYTGSLAANPFDSNDPFAMSNSFAPPSNVQLAMMAEQQQYYHAQQQQYFQIQQQQQMVILPPQTYQQQSQYPAPSSQAALSNPFGDPFSSLVAMANPPKQSNSNLV >Dexi6B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:6B:5616311:5620481:1 gene:Dexi6B01G0006020 transcript:Dexi6B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIGSQSPLSFPSSLCKAKVSSGLPIYNLKIKSNHRLEVVCHGMLATRKFMQRKKKEEVFKDAADEAEQKNWRRMMREIEETGSAVSILKTQRSGKEPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFTEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYQPSVISQTGLMEAYGRGKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLSEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKYGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVEEANTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMNGAEKFFRRIKNDGLKPNVVVYGTLMKGYSKLNNVEKVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPPDQKAKNILLSLAKTPEEEQEANELVGNGAIQLEVKLDNEEVDGAGVGEIRQSDSGNHGLLDDTRTRNHVNGRIRAGNYTFDEEDEDDDDDYEEEDDEEFNFVSFKDKRELNFAS >Dexi5B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:5B:2200084:2203243:1 gene:Dexi5B01G0003300 transcript:Dexi5B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGAKPPAGARRGGGVRVGPARLEGLPAAWSPGAAAVKVKWPAPGGALAHMLTGRWARGVTAVEPVAAAGTVRWDPCDANRFTLHLDPAGAGAAARGRSERGVFFSVLYGFQEQGRGKDLVRLEEIGTAMISLEECCWEMQLQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDMNARSDIERAVSFREKPRTNMPPPPSMRDHRKSLEAAAYHDVLDLKQLLELAEKEGRVAVYGNKRNSDTSSVSSISSSISSSSSSASTSGGASPEPGSTSKRRLLPWMRRSRDFDKRSTESLSQELPMKCMDEDPAGSWETREFTSRDSETKLRTPVFFASFDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDDAHMAQFPNRHFDLETVLAARTRPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGEREHGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLHEGCDRAYMLRFDGSSEMSTPAENKEEVIVTGKECCREFIKRFLAAIPLREELEIEKNGAGGIDGVGAPHRRLQIEFHFTVLQDDER >Dexi5B01G0028890.1:cds pep primary_assembly:Fonio_CM05836:5B:30161704:30171521:-1 gene:Dexi5B01G0028890 transcript:Dexi5B01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRDTSDPKKTLHLSALDGARDRLHFFQASLLEEGSFDAAVDGCETVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIKKVVVTSSIAAVAYNGKPRTPEVIVDETWFSDPQICEKNQQWYVVSKTLAEEAAWKFSRDNGFEIVTINPAMVIGPLLQPTLNSSAEAILKLINGSSSTYPNFSFGWVNVKDVALAHILAYEVPSSNGRYCVVERVAHYSEVVNIIRKLYPTIPLPAKCADDKPFVPTYQVSKEKIRSLGMELIPLETSIKENIESLKEKGFVSFDSSNL >Dexi9A01G0038910.1:cds pep primary_assembly:Fonio_CM05836:9A:43029789:43032008:1 gene:Dexi9A01G0038910 transcript:Dexi9A01G0038910.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRPTQCKSSTSSSPTRQTFFFVPPSLSSPVTVRATVRAMDPVSPRRGRLPIHLLVVSLTVLVALTVRSGAEVITLTEETFSDKIKEKDTVWFVQFCVPWCKHCKNLGTLWEDLGKVMEGEDEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRDVESLKNFVLIEAEKAGEGKLQAD >Dexi9B01G0042570.1:cds pep primary_assembly:Fonio_CM05836:9B:42808069:42810263:-1 gene:Dexi9B01G0042570 transcript:Dexi9B01G0042570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALAPAEVDRKYEHGGSRLHFLEERDEETLSRRLINLGQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRSSLADAMRMFKFMKGERMATGHTYTLILKAVASNEGYASALEMFNEIEDEEDSKENVDVIEVAPDSSIYNTVIHGLCLRGKIGLANKVYAKMRSIGLVPDGKTRAFMLQHIATED >Dexi4B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:4B:22936364:22940290:1 gene:Dexi4B01G0020820 transcript:Dexi4B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEQPSPTRKRDREEDEEEHADGGAAEKRPRAEGESLLGLASYEDDDEEEVARGQANGSRAEEEGEEGVDDDDDDEEDDVRRAPERKSRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTERAYCLPDGYEINDPSLEDIRHVLNPRFTKEQVLNLDKNKQWSRALDGSNYLPGMLICLSVLAVMTAMVIITALQVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASNKRFQIGVQSDPVEFMSWLLNTLHAKLKSSKKKNRSIIHDCFQGELEVVKEVQRKYIMEKREDGDELNGDSSSVVGTADGTVTETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENHKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >Dexi5B01G0033320.1:cds pep primary_assembly:Fonio_CM05836:5B:33757018:33758427:1 gene:Dexi5B01G0033320 transcript:Dexi5B01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSKVAMVSAVALLGWAWAYQATRPPPPAILGAPGGLPVTSPRVQLKDGRHLAYMEAGVRKENARYKVIFVHGYASTKESGFPVSQEFVEELGIYMLFFDRAGYGDSDANPKRCLKSDATDVEELADALQLGDKFYLVGTSMGGYVAWSCLNYIPHRLAGVALVVPAVNYWWPLPDNMLRSVYGKLDSRDQSTFWIAHHAPSLFHAWLTQKWFPVSPIVRGERDAFTAMDWEILTELWRKQRESGQLDPSKATQQGIYESLCRDVTILFSTWEFDPTEIKNPFPGGDGVVSIWQGYEDKMVQVEVQRHVARKLPWVRYHEHPEAGHALSDMDGVGDEIIRELLLGEALRGLPLQSVALQDE >Dexi1A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:1A:14119271:14119814:-1 gene:Dexi1A01G0012800 transcript:Dexi1A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVSVSLQPFTATPASILIPRSTALRNSLDFAELRRAGMSSGNRAMNSIPNPTLVLEISRKDFTVGDKDAIVIVDHGSRRQESNLMLNHFVEMFRTRTGYNIVEPAHM >Dexi6B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:6B:245346:245981:1 gene:Dexi6B01G0000360 transcript:Dexi6B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPPEEHRHHQANDDDDDFTFPTPPPLLAGGGKGVHRRAPPCSASASSSPPVWLLSSSSSSSPIRRSFSAADCAASPWRARARHHRLNGACSPALSDYTAGVFFCDDEEEEEEERMDSLWEDLNDDDPRNDDDLFKLGPLDVSRRRSVAGMGAAERARRVKDSREPLAAMLAASGSSRRRPPGLVVMMRALKKMFVAHKGKSRVHRADE >Dexi5B01G0026300.1:cds pep primary_assembly:Fonio_CM05836:5B:28083045:28084901:1 gene:Dexi5B01G0026300 transcript:Dexi5B01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVAAERPARSGPPGPGPRPPDTHPRELRPPIRKVDTDRWEFAHESFLRGQAHLLPLIVRKTKKAGGGRELCEEGEEVRGTIGDVRRLREEQRGMEEELQAMERRLRAAESRPGQMMAFLAKLADDPGVVLRAMLTKKEELAAAGKGSPPPLEAPAGKRRRIGGAEAAELAQGGGAVPFPFSVLGQVFY >Dexi3B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:3B:8155935:8163083:-1 gene:Dexi3B01G0011630 transcript:Dexi3B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPRSAVSSPLRWPLLCRTVVGEHSSGEKIYEPFIELNPRLVDACEELKFKYHEKRISMLIEILFAGRQLKLVTLRHHVSQSWLTNQELLPTRDSATKTVLKAAKVIMGLSSYVDGRLLTRTTGFLIAWNAESKVGTLLTSAFLFRSKSSSVEEWMGADEYVSEAEVLVHLLDRHDTTVAAELLHYDRNYNLALLNVTMNLSAEVEVLSLRSELKFGQEVFVLGRDKDLYLSIDHGNVQYAGPDEYERRHFMFVNCALRECGYGAPVIDLDGEVMGMVNSSSTGFIPSATILKCIHMWEKFDCIPRQHIGMKFSAIKFLDPIQIEAIYRKCNIDDGLIVEQVSKESSAERQGIRTGDIFQSLNGLENLMLEMCEAHLDKGNEINSTLEVDVGIFHTRSGLHCIKKLAVKVSDDIEVIPRARLGNVFCISSAMLCCSTSTRDLTPPPLPRPLQPPPLVRPPSPRLSTAQAQRPPAWLAQPRSRRCSRLCRRRRYSRPCRTARPAQGLARPEQGLARPALLLPRPVRLLPSSSPRP >Dexi9B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:9B:9750377:9751677:1 gene:Dexi9B01G0014610 transcript:Dexi9B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDHQDEAAEAEAEKKTMGPLARLPDDVFADILRRVPPRGLAACRCVSEAWRAFVDGRRLLRANLLPLSVGGIFINFNNYYISELFSCPASTTAGAGSMISGKHDYLPDPESLSWKQVRDHCNGLVLIRGYGADDIWYVLNPATRWVDSFHSPPPAMGKMDTYEDMYLVYDPAVSPDYDVVSVSRHMHRSDYSCDIDNDIDDYLSDDIDNDPVERDPAVEQSEWPPSVCILQVFSSRTRQWEERSFAREGRAIGTVADMRDWHKQRNAVYWRGALYIHCQTDFVMRISLESDKYHVIEPPTGIEVLNFFPQIYLGKSAKGVYCASINNGRWKVRVWNLVESGATMEWVLKHDKDLLKWLLKNKLQYSRPFKNYGQKVLGPWTMDHGGH >Dexi7A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:7A:21991241:21993714:1 gene:Dexi7A01G0011550 transcript:Dexi7A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTGAPPQREELHRRKTKPLAARSDSPLQPAAGANECHCDLRNTEPEPSRAPPNTAFQFSSVPRESMMTPGSCPAAATTVHAPVCPAGAAGTCCCILLPSSMRELLMRLGPRAEIFPSFLRYDFRAVGSQGLVRPRVVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLIQHVFPLARHLHPAYCNCCHSLKLFYILMYTVTLMQDCSNSMVIMLITPPPIDEEGRERFARSLYGENARKLPERTNEMAGVYAGQCIELAREMHIPCVNIWSKMQETEGWQTLYLR >Dexi5A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:5A:21086440:21087434:1 gene:Dexi5A01G0017770 transcript:Dexi5A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQRMLGAKLSVLLSPVVLFTTYFHERLFGTSILNWTLAFFGSEVTFAQCVVNYYPKPMYQGVVIAIVSAISTTKSHFGKYVPTSF >Dexi9A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:9A:8649629:8652144:-1 gene:Dexi9A01G0013430 transcript:Dexi9A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKEYQRIKACEIIHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRTGLPKIRKEWIDRREKLGSPRYTQMYYAKQGIITEEMIYCAKRENLSPEFVRTEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEDEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPLHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVKQGMNAMSAEFLAATKTISGEQHGEAGGEIYVPESYAAHK >Dexi6B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:6B:24504504:24508071:1 gene:Dexi6B01G0017320 transcript:Dexi6B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRPLAAALVLLLIAVAGVAAEEPVAVEADSVAGAVEATARARAEEAKEAAALRAELDQLRAKISTLESGIAERSQELKNKDVGIAKLEKAIEEKSQNIASLQAEIASLQAKGSIAAEEQAGKANARAVELEKQIEKLQTDIEAQSSQRTALEARANDAEKKVEELTAKLSSIQKESDERKRKIKKTERALKVAEEELMRLQLEASAKAKQLTEVHGAWLPPWLVAQYAHYMEVVSGHWSQHGKPAMQNFLQKASEKSAQAKKWAEPHIETAKAKWVPVKEKLVVLKKNAEPYIQKVSTRSVELYETSRDAVAPHVVKAKEFAHPYYQEAKKFSKPYIDQVAEITKPHVEKVRTALKPYTKRVVHAYGSFLESATTYHRQALALNRTLM >Dexi1B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:1B:13958055:13968706:-1 gene:Dexi1B01G0012110 transcript:Dexi1B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWRRRCQIEGGVVAGTGLRQQVGRDDGGGERQPWWEGPMVGCSVAPLPAVAGAFWWTRACTLAMHPVSVSLQPFTATPASILIPRSTALRNSFDFAELRRAGMSSGNRAMNSVPNPTLVLETSRKDFTVGDKDAIVIVDHGSRRHESNLMLNHFVEMFRTRTGYNIVEPAHMVFFHKMQELAEPTIKDAFRKCVQQGASRIIVSPYFLSPGRHWKQDIPALAAEASKEHSNIPYIVTAPLGLHELMVVCAH >Dexi5A01G0026000.1:cds pep primary_assembly:Fonio_CM05836:5A:29727874:29730224:1 gene:Dexi5A01G0026000 transcript:Dexi5A01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPADYPIYPSKQQFVAYLESYAARFGISPMYNRTVVHAEYDEELLLWRVRAQISGTMGEEVQYVSRWLIVATGENAEAVQPDIVGLQEFPGTVMHTSAYKSGSAFAGKRVLVVGCGNSGMEVHILPREMLGYSTFGLSMRLLKWFPVHFVDRILLWAARTIFGDTARLGLKRPAFGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIRQISGRDVEFADGQLEGFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGERGLYSVGFTRRGLMGTSVDARRIAYDIEQQWKAKGTHPDVFL >Dexi3B01G0026980.1:cds pep primary_assembly:Fonio_CM05836:3B:22431158:22431618:1 gene:Dexi3B01G0026980 transcript:Dexi3B01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAPRCAALLAQRRGLSAAVTVAEESAKKVGEKAVKLGTVAKDIASAMATTTEEKTAFWEPDPDTGYYRPVTGTKEVDAADLRAEMLKQRMLPD >Dexi9A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:9A:8497386:8498174:-1 gene:Dexi9A01G0013260 transcript:Dexi9A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAQQPIYCFDLVTIRPANGAHDERGLVIKSTVHAGKKLSVLVADGTVVSASKRGLVVADRSYFCPGHVVAAASDPGGQIGVVTELPRRSTYSGTDRTQAVAVARGVSASGLRRVTELSVGRYVVSGPWLGRVLEVSHDVDVLFDDDDGALCRVTGAEHKLEAAGINNWTRYTDCLFYPGQRVTGGSSVFKASRWIRGYWKPTRRTSTVARVDMAGVVVCWVASMELGTSKPLVQASAPPARARTGWKSSLLSR >Dexi3B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:3B:2881647:2882837:-1 gene:Dexi3B01G0004170 transcript:Dexi3B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTIPEIEEMRLAHYVTIGSECTTSLAKYLDNLKKSEIGWDARVALSVYGSFSSRAYLNAQRLRNRQMYFHKEIFKTADVIVTPMTGVTAYTLQDDAFDTGELDYINGAALVRYSISGNFLGLPAITVKVGYDKGGLPIGLQFIGRPWSEATLLHIAFAMQARGVRQGLQEAGGVLRSLNKE >Dexi6A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:6A:25385828:25390240:-1 gene:Dexi6A01G0017530 transcript:Dexi6A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIAFEDFTENGQFVFQRAWDDLLPNNRILIYCLKHEMDPKHRTPARNHIKFPEDPAAFKKSTAVNKPLNYVGMKKKILKMRRIDELPSAPLPSAKVSSGIVKHSSSSNLMNKRRKVPVSGERSVVVEKPVFMSNIPFSSFPEIDRYTEMRQAYHASAVKAALHMLENGACIEEAKSVCAPSDLYQIVKWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLQLIVDKLQWYIQSGDTVVDFCCGSNDFSLLLKEKLEASGKNCFYKNYDLIQPKNDFSYERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKQTERLDKKYPPYELIWQDSEQLAGKSFYLPGSLDADNKVMEQWNVSPPPLSLWSRSDWAKRHSEIAKSVGHLPSGNGDWQREVADGLSVATTGHVEMDDAEVAGMPPSVLGKLLSDTFHDPTSSPGDYWNDTNGRSRQPCNYETPGRSDPTYAHHEEMSAGSDMSISLSDCEMQDKASSTSKHGGTNSQACNAVGSALAEEPAAAAECDEVTSAAGPYNLPEGSSQIHAAGVQYWMMEDSPLLEEGELSNVSPECRPAAGTHHQQTEGTVPAVITEVNSQCGQPDKSRPAARHNAKTLPPRNTFPGLRFWQGCNITSRQFMSQSIGNPAVYQGPSNGWLDDDDY >Dexi8A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:8A:24594895:24599155:1 gene:Dexi8A01G0014180 transcript:Dexi8A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAASAPASTLERRLCLGGRRGGMGLGGLGLLAPPDVAVDDPTPPEMPGLLRLRCAVQHYDWGRRGADSLVARLSRRGVVGDADDRPFAELWMGTHPAAPSSLAPDVSLRDWIARNPAALGRAVAARWGGDLPFLFKVLSVAKALSIQAHPDRELAAALHARRPSTYRDGNHKPEMAVAVTEFHALCGFAVTKELKEVLRTVPEVQELVGKEESRKLLSVKEQDGGIGVRTYLKSAFTKLMVASDEEVSEAISKLKSRLSGESKVRTLTKKEQLVLSLEKQYPGDVGVLAAFFLNYVKLSPGEALYVGSNEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYNQTFPEVLHGVPVQPYVTRYTPSTDEFEVDRYLLPPGKSVTMSPVPGPSIFLVMTGEGEIQAGSMHDSTKAKEGDIFFVPAHTEVKLLTSGPRSLQLYRSGVNSRFLS >Dexi2A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:2A:7389770:7392657:1 gene:Dexi2A01G0007510 transcript:Dexi2A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARLAAHLDRHLVFPLLEFLQERGIYPEEEILAAKLRLLAGTNMVDYAMAIHRSLHGAGDGEDDGVVPADMAARRAEVVDRLVALQATAPNLPLHAFLRDPQRVQLLRPDKQFNIHILQERFQIGPDQIEALYDDAKFQFECGYYSDVTAYLHHYRVLSTNSERSVRALWGMLASEILTRNWNAALEDLNRLKEIIDLMNSSSPLNQLQNRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIKTNAQHLLRYLAIAVVVNKRRRNMLKELIKVIQQERHSYKDPVTEFLECLYVNYDFDGAQQKLMECEQVILNDPFLGKRIEVGNSITVPIRDEFFENARLFIFETYCRIRRCIDMSILAEKMNMKYADAEFWIMNLVKSSKLDAKIDSVSGTLIMTVSRVDV >DexiUA01G0003810.1:cds pep primary_assembly:Fonio_CM05836:UA:7837287:7837664:-1 gene:DexiUA01G0003810 transcript:DexiUA01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRISGHARSRRARRRREEAAAARDWADGLGTDMLLAILSRVDHVDILMSGDRVCRTWRRAARDEPTLWRRVVMRGGHAGTMARRLIRCGAACEALRRSAGLCEAFCVEYYADDGFLIYLSEM >Dexi9B01G0037610.1:cds pep primary_assembly:Fonio_CM05836:9B:38964865:38967338:-1 gene:Dexi9B01G0037610 transcript:Dexi9B01G0037610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSSSPPSPPPPLPQGAASPAEEPRGGGGRLPVPAYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRSGAYRGIAHCGTTVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAHGRLASGFGAGVIEALLIVVKIRLQQQKGLSPDLLRYKGPVHCAKTIVREEGLFGLWSGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGQVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYTGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERTYLQPAHV >Dexi2A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:2A:6863273:6863701:1 gene:Dexi2A01G0007110 transcript:Dexi2A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRIRCCLLQLLLLFSMAANTAEASTTGHEGDQGALLALKAKISSHSGVLASWNQITSYCSWEGVICGTRHQQRVVALDLVFQGLAGTISPAIGNLTFLRLLNLSFNTLHGEIPPSIGSLRRLQRIDLNTNNLTGVTKQH >Dexi3B01G0027480.1:cds pep primary_assembly:Fonio_CM05836:3B:23014935:23025171:1 gene:Dexi3B01G0027480 transcript:Dexi3B01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPVTPPPPPPTDVTSHRLLPGRLWGLVGVRASETLDLTVIGFGVFLQRPRRMENGGIEEADDALPVPAPPNGRRYRPVGSSDSAVIQMTSMEPGSSSSASIDAVVKPQPPRNLKPDANLTIDPSMREGSPDDHATSGGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQKSVTVVNNTLVNGTETAGTSTISTPSLHDLQVYGVIVTVLLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPSGSIYWDFKVIPPLPVILCSSCLLHHFLLQYCVAASVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSTVAQQDDSHEAYTSAQQRIRTYLDGMKETAQRERHPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >Dexi3B01G0028840.1:cds pep primary_assembly:Fonio_CM05836:3B:27433688:27437050:-1 gene:Dexi3B01G0028840 transcript:Dexi3B01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDFTPEGGKLPELKLDARQAQGFISFFRRLPQDPRAVRLFDRRCLNVVQDYYTAHGENATFIAKTYYHTMSALRQLGSSSDGISSASVSKAMFETITRNILLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVIVALFPMFRESQLYVGLSFLDMTNRKLGLAEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLNPLQDAITNCSVLLTVKKKADFKSRDLAQDLGRIIRGSVVPVGDLLSQFDSALGALGALLSYAELLADDTNYGNYTIEKYNLNRYMRLDSAAVRALNIAEGKTDVNKNFSLFGLLNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAIVEDPELHQGLRQQLRRISDIDRLTHSLRKKSANLQPVVKLYQSCTRIPFIQSILQEYNGQFSTLIRTKFLDPLEEWMTENRFGRFASLVETAIDLSQVDNGEYRISPLYSSDLGVLKDELSVVEDHINSLHMHTASDLDLSVDKHLKLEKGPFGHVFRISKKEEQKIRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLSEYTGCQKKVVDDVVRVSGTFSEVFENFAAVVSELDVLQSFADLATSCPVPYVRPDITASDEGDIILQGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVRFVLS >Dexi1A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:1A:21889438:21890557:1 gene:Dexi1A01G0015000 transcript:Dexi1A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNAALPVLVATLLAVATLSAAGGGKQPLKVGYYNGKHGGVEPIVRDEVYKTLNVDRSKGAALVRLFFHDCWVKGCDASVLLNPSSKNPYPEKVAGSNIGLRAFDVIDKIKARLEAVYPGVVSCADILAFAARDATRYLSDGHIDYAVPSGRLDSVVSRAKDADDTLPSSTGSFPDLKKNFGNKGFDVEELVVLSGAHSIGVAHYPSFKDRLAAPQSEIDAKYQTALRNAAKNKSRTVANDIRDESYSFKRDAGYYTVVSGRKDYLNNTYYHNAMDNRVLFKSDWVLRTDAFALSKLKEYRDKPKEWDSDFADAMVKLGKLLPAEGEGLLEIRKKCSAVNTY >Dexi2A01G0023220.1:cds pep primary_assembly:Fonio_CM05836:2A:34974209:34976534:1 gene:Dexi2A01G0023220 transcript:Dexi2A01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAEKANLSAEIRARSGLFAAAVLRLAVGGRKEEEGNPGEPGPPAGRAPSAGVPTRGTITAQLRPAANRKAQQPLSALERGHDGAGPGQKREKGALLLRLSQGFSPAPEPPPLPRSRCLWLPGPLRWPRLPSCPSETNLSLPLSLCAGAGVEWRLERLQCGGKRNGGASERAVVAVAPASGRVVHCAALRAARSSSRQSPVSRGLRVVRRGRHGTVVVGGWVVVTVARYKERGGDHGSTHEVELNTHPTDTQTLDRSRGSTTTSTAVPGQSLSTTQGFLIYSDRSCPGDGDDGRTDGDAASDWHPVTTARNAAPAALHSTCRHPAFPFSLFFNHPAVLQRWSHVRGVGSRAALQQPSGSA >Dexi7B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:7B:2357747:2358046:1 gene:Dexi7B01G0001440 transcript:Dexi7B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSSSPSPSSSSFALLCSTSFAAAPPQPPWLALHHCERYQEGRSNLEGTPMPVFASLAGEDHEHHVLDGDERMGWVEQLRRESRWGWLELNHRRVMP >Dexi8B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:8B:4078215:4083035:-1 gene:Dexi8B01G0004480 transcript:Dexi8B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMMDLPRSAHPYQRSPPAQPPCRCKHTYRWGPPLPVRIHQAVSLSPSSPPLAVPGHRVRHFPLLLLLLLLPHNPISRRGRAPSLPLRVGSTAPSTSXXXXPRRRRRRLHPQVKSPLPSPTATQNSNPTTPPPPPPPQPLLPSPPLFIQTASSATTTPTTPPAVSPTSSPSPPTIHASKRRRRGPAATGNSPLRGGGGGSGPHRSPTTPSLTPRPPAAASDVGFDLLNLDASPLPRKLTPDQVKHCKKALKALEKKLGKPAALSKEFGTLPDLRTVHPTSQKSAREAVNRGRNRYTDVLPFDETRVRLQPPTDNDYINASFIKIGGKDQTKFISTQGPLASTIGDFWQMVYENHCPVIVMVTKFDGYKCDEYLPLTKGQEVFGKFNIKITKTRNDGHLVLRGVEVQKVESDSVHSLLHIEYSEWPDHGVPSDSNAVRQILKRLYRIPREHPLVAHCSAGIGRTGAYITIHNAIERILLGEQGAVDLVETVKIFRSQRPGMVQTEVCSLFICVSRVLFQNPLRDK >Dexi2A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:2A:11765089:11768164:1 gene:Dexi2A01G0010610 transcript:Dexi2A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGHQSDKNEDHLDLNVGTDPSHQEPKHDGDVVVDPLGDEDDAHTDPEDEDYIPRRKRSKRMKRHTVEQTKELMDAYDQCTHPDRKTQQALGTKLGLKADVVKFWFQNKRTQMKKRSQVEQNEQMYQENASLLAENTALRKALLTKSCITCGGERLPTHPPSEKQHLLEYNSKLKDEYLRASCDRSKTVHASTFRNSTSLAIKGRAEYEAVIRLAEMSMEHFMVLATKGEPLWLPATDGEMLNAGEYTRIHPCVYGLRHEGYVVEATRDAANVWGSADHLVHHLMNTALWSEMFPGIVASMVAGDDIASISAPYDGKIQLMNAELCVQSPRVPNRTMNILRFSKLIAERQWAVVDVSVDSIFGQQVMPARYMGCRLLPSGCLIEDMNNGYCKVTWIVHAEYDESTVPMLFKPLFLTGQALGARRWLAAFQRQLQYMVVLYSSSGIQSNNTAAAGGILKLAEKMTASFYRAISGTVTPTQTSSSINEWIGSKGAGVDKFDVAVRMATWMKTGSVAGDVEAGLVLSATTTLWLPSVQPQRVFDYLCDGQLRGQWDVLANGAAVKQLTSIATGPLGNAVSVLCPNNIKTGVKAELVLPV >Dexi8B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:8B:2737347:2740308:1 gene:Dexi8B01G0003610 transcript:Dexi8B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMKKARFSLLRPNEEAHAEAGDHQCCRDLLSIHLPPTESAGATSARLGRRGLLGPAALRHCHERMTPAQDPFYIVKDEIQDSIDKVQETFHQWKQTPDNTGEYVHLTKELLTSCESIQWQVDELDKAISVAERNPAYYGLTDAEIGRRRSWTSTARNQVVSVRRNVEVGRQKSVFGHSTNPSESIRSKKHISQDNDEFIASESDKQMLLIKRQDEELDALSASVQRIGGVGLTIHDELVGQEKLLGELSLDMETTSNRLDFVQKRVAMVMKKASWKGQIMMIAFLVILFIILFVLVFLT >Dexi1B01G0025440.1:cds pep primary_assembly:Fonio_CM05836:1B:30470537:30471580:1 gene:Dexi1B01G0025440 transcript:Dexi1B01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQKHVAFFDRDHDGIVTFDETYQGLKEVGIGAVAAKASATLINAALGPKTRPDNANSSSSRMDIYIQNIQKGKHGSDTGAYDVEGRFVPAKLDEMFAKHAKTVPNALTEDELGEMLKANRESNDVSGWLGAKAEWEMLYKLAKDKDGRLPKDTVRAVYDGSLFYQLAAKKG >Dexi4B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:4B:2680805:2685330:1 gene:Dexi4B01G0003850 transcript:Dexi4B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCAACDEEVHAANKLAGKHQRVPLLSDAAAAAAAAAATPAVPKCDICQEASGYFFCLEDRALLCRDCDVAIHTANSFVSAHQRFLLTGVQVGLDPADPVPPIADKHVNSTGGSVYQPAKHVPRRSPTVQISGEGSVSVPSKNVINGDYSRQNSVPTARSGMVDWTMHNSAIQLVESPPKYMSEESPTLMQSSQTAAAFSNQINSDCDRAYNLPFSGGNGSNSLPDWPVDEFFNSSEYGPSFGFAEHCSSKSDNAKLGSAGGSPQCRLAEGFVADELLSQVPGLVNDEYMSRVPENSWAVPEVPSPPTASGLNWHGLHFHAYDSTMFVPEISSLQSSQDQFAVHSVFKRRRTQY >Dexi2A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:2A:13980282:13981318:1 gene:Dexi2A01G0012020 transcript:Dexi2A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSAASFRPADPAARGALASPQQQQSRPRPNTAAQGRLPIPSAAAFRPADPTAQGAMASKQQKMLRVREFDHFVVIDFEATCEKDSRIYPQEIIEFPAVLVDAATGALLSSFRTYVKPRHHPQLTSFCSELTGIQQQQVDGGVDLATALGMHDSWLASALTRTALLFKCISKPRYFDQWVNLRIPFEAVFGAGRRNLQEAVREAGLQWDGRLHCGLDDACNTARLLVELMRRGASISITGSLPPPPLPAPEPELQFQAQMAPVNRNISWCTGGVATTGVCCYCGLPIRGDIVTTPGPMQGRFFFSCGNWSPILGPMCNFFLWAA >Dexi2A01G0022380.1:cds pep primary_assembly:Fonio_CM05836:2A:34218543:34219870:1 gene:Dexi2A01G0022380 transcript:Dexi2A01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAQALKHMEVPIPSPGKGEVLIRMEATSLNVADWMFQKGAARPVMPRKFPFVSGYDLAGEVVEVGTGVSDFKPGDKVIAINFPNGGGLAEYAVASASLTARRPPEVSAAKGAGLPIAAATALRSLQRAGVSLDPADVTGRKNVLVTAASGGVGHYAVQLARLGGHHVTATCGARNLGLVGDLGADEALDYKTPEGAALRSPSGKKYDVVVHCGTTRIPWSVFQPVLAANGMVLDLTPGFVSVSITILQMATFSKKRLVPLIVWPKKVDLELLVGMVKQGKLKTVVDSRYPLSKAQDGWAKSMSGHATGKIIVEIGAAE >Dexi2A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:2A:31948488:31949390:1 gene:Dexi2A01G0019810 transcript:Dexi2A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEGEAGSGTAAEAQIEGAVLLHPGFGGREPIDGETPEWMETMEKIWGFACPEATDGADDPRMNPLAVAAPGLEKLPCKRVLVCAAERDTLRPRNRAYYEALAASRWGGTVEWLESMGQPHVFFLFKPDCDEAVALMDRLLHA >Dexi9B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:9B:8702570:8703907:1 gene:Dexi9B01G0012900 transcript:Dexi9B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYAAAASPPTLAMGVEKLSYEIFSLLESKFLFGAGCLSSVPGTPAKAFLDGGRVRVLSIDGCGAGAEDALLAAAALARIETQLREQTGDPDARVAEFFDLAAGAGAGGVLAAMVFLRGPDGRPRYSAQGALALVASSVGRKEKGWVSSRRGRWAKMFRGGSRSGGNRVFQHVFGDATLRDTVAPLLVPCYDLAKAAPFVFSRADAVESDAFDFRLTDVCAATCAAGGSVASVRSVDGCTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAAGVEDILVLSIGTGSSATISSGLNTPIPTQRDLARLTAEGVADMVDESVAMAFGHACGSNYVRIQAGKASTPVEAETAVAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDAAAVELVKEQERRRRSPLPNVAIKQVGTPRLSSATTSSSGSATARTASTMPSPASWDSRR >Dexi2B01G0032030.1:cds pep primary_assembly:Fonio_CM05836:2B:40010211:40018773:1 gene:Dexi2B01G0032030 transcript:Dexi2B01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVATARAAAACKTIMVLSFSSNCKIEEVASSCDAVRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMIAPRLSNLEGLMSFDDDLDSVDSLSSYDRQEGGSKLERFAHETLDPSLSWKDVEWLKSITSLPILLKGIVTGEDARKAVEAGAAGVIVSNHGARQLDYAPPTILALEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGAKAVLVGRPVFYGLAARGEAGARHVIEMLNKELELAMALCGCRSVAEVTRRHVVTEGDRIRALLLRPRVLVDVSKIDMSINLLGYDMPSPIIVAPTGAHKLANPEGEVATARAAAACKTIMVTTGFVFTESSDDVMPSVDSLARRAESLGFKAIVLTVDTPVLGRREADIRNRMVFPLSPNFEGLMSFDDEPGTEGGSKMERFARETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVDAGAAGVIVSNHGARQLDYAPPTISVLEEVTTQLTSHRRSIHLVTDMDLRAVALQVVKAVAGAVPVMVDGGVRRGTDVFKALALGAKAVMVGRPVFYGLAARGEAGARHVIEMMNRELEVAMALCGCRSVAEVTRRHVLTEGDRIRALL >Dexi5B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:5B:21647923:21648709:1 gene:Dexi5B01G0019350 transcript:Dexi5B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQAVAQNDRERLERGAGSEHVVEVAVLDAGVEEDEFPEAGEDANAVGEAAGVRELPESEVEAAELGAAEYVGGEGHVDGRRRVDEEELPNAPISEESRPPGELTLAVEAPEEAEGEAYGAEGAGVGGEEVVHGGNGLAHSGDGEFRVVDGERHGAPDAAPPGGERGEAAGVLGGEAGGDHAEKRGGERAGPGDGAIGEAAKGTLASQVHGCRRRHETRVLVGGGAVEEAWTVETGEETTTALGSGHGSEHVER >Dexi4B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:4B:15901255:15902609:-1 gene:Dexi4B01G0014720 transcript:Dexi4B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPVDPRPFVPLGFTLVPREVVREPSRLRSFLAFSLEKSNEDLAIVITEPWISKDDFWPFARELRAFLHAHQVQDPEIQQCPMGEAYVRFDSPMQRESFVLGGQGDEEPIPVDGPTHGLPHPAPGWLGPVGMQLGGNADEEASVVGGNVHVAADGHGAAEDNEAIGINDEMPIAADGHRAGANVVLVPEDNGSELEDPMPLNSVSPFSGAAADFEASDHCGNELDIIPVEATAPVSALDALPMRFGPAPPLVPYGVDEDEASDVFVLEEPVALPPQEREGPER >Dexi1A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:1A:5695173:5696615:-1 gene:Dexi1A01G0007370 transcript:Dexi1A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRGVSLLLLAIVGYCALGCCGSKIPARMDGFVYGGKPPALGETVVVEAFLDPLCPDSRDTWPPLKEVVDHYGGRVSVVVHLFPLPSHSYAFNVCQAIHTVNKLNPSYVYPLLEKVFKYQEGYYNQPTYKKSGATVVDEITKNLVVPIIGETNLPAYTTAFNDSQSDQAARISFKIGSLRAVTGTPSFVNAIPIDGSGSPVDYKRWISILDPLVSKM >Dexi5B01G0032460.1:cds pep primary_assembly:Fonio_CM05836:5B:33120773:33121461:1 gene:Dexi5B01G0032460 transcript:Dexi5B01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGRGPTGRVVAGDVGVRAPPELSPPHAEPTSGDSAYPPPLSARGRGRMHAPASAPASRQAHAACATPHRTRPAPRARRPRRQISPPRRGVAWRLLSPCASSLFPRFTSPRRLAPAPITNVATPATNHRPRPPSPHASHLHVSTRAATDHPPTDCDRPNHVSLQIDNTRPLTLLAPLTVPARWGYTWMRSTCQWMIVPVGSGGEWWG >Dexi3A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:3A:8907959:8908273:1 gene:Dexi3A01G0012300 transcript:Dexi3A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRRSSASSAAAAAVDPRRPSPPTNEGAACGKARKKQASSRRGDTETEVRRKMEALRRLVPSGGSGDEVDELLLRAAGYIARLQAQVTVMQLMVDVLEQTRD >Dexi5B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:5B:9657341:9659671:1 gene:Dexi5B01G0013530 transcript:Dexi5B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPGQGALAVASDSSDRSKDKHGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVTAHASDSDLRSVVEKIMSHYDEIFRLKGNAAKADVFHLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQAARALLVISDYSSRLRALSSLWLARPKE >Dexi1A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:1A:27469701:27470872:1 gene:Dexi1A01G0020620 transcript:Dexi1A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHLRRSKLVTAGPFPPSLPPSPTSSPPLARTDARPPQSTPRGRAVKPQPAALLQRDAASLKSYQVSNRPSLPCQALYKTPASPLDRAPAVPIRTPSTQTRAREHLHRRATAQPEPPAIPPLLASLAGRERAPPAASRLEPMAAASGTGSRVLPALALIGVVFVVLLAPGVAADEAAAAPAAAGLEFRVGGPRGWRVPDANTSYGWWAMNNRFHVGDHLYFKYAHDSVLVVDREAFDACNTIEPLAAFTDGATTVRLDRPGFFCFISGEPGHCEEGQRLIVRVMVHPVLAAAPGPGPVAASGPGSSTQPGHGGGGGASSSGAATAVAAATGVAIAAAMAMLLGLLLMQQ >Dexi9B01G0024970.1:cds pep primary_assembly:Fonio_CM05836:9B:24144753:24145945:-1 gene:Dexi9B01G0024970 transcript:Dexi9B01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTGSSPPPKIGAPVAAAAEAEEDEELQAAVEGAEEEEDAFDIPSENASYDRLRRWRQAALVLNASRRFRYTLDLEREEEKENLKRMIRGHAQVIRAVFLFKEAGQKNLGESYTSLELEALSQRFPVDPKKLMMLNKDHDIIIFQEVGGVRGLSDLLKSNLDEGVCPDEDELLQRRDIFGANTYPRKKRRSIW >Dexi7B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:7B:19960250:19961307:1 gene:Dexi7B01G0013480 transcript:Dexi7B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRALGSLLTRRFAASGIPRAQAESSAGIGIKKGPGSAAGRPGRSLHTLRDLAFGDGSYGPADEVTSDEARKEELGQVLKEGDLDEEAIKAKFVDKDGKVRWLEYLDYLNAQKYGGATPYDKEVSGKQAVNKDIGREAAELDDLKVDEETMHARFEDWMKKYGRSYKSEEEKARRYEIVKESALDCDRRNKRNASKPNGAHFDTGEFADWSTEEWNSKTSGRSGDFRWEEFFAERKALVAQGRERSLEDFLAMLSAKDTKDVSDKA >Dexi3B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:3B:1050665:1051957:1 gene:Dexi3B01G0001470 transcript:Dexi3B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSRLPNLPAGFRFHPTDEELIVHYLMNQASSLPCPVPIIAEVNIYQCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYGGKPPKGVKTDWIMHEYRLTGSANNKRTKRIGSSMRLDDWVLCRIYKKSNNFQFSDQEQEGSTVEEEISLNNNNMNGTSAASPKSEANDHDQFYPTSMSKSFSITDLLNTIDCSALSQLLDSPVEAEPPLIYPTTTQTHESFNCNNNVNNTHFNLPQVEACSDYVAANCNGLKRKRVMTMDGAESFDDGSSNFSTKLKLPSDSRSSHSHFGSTSSYCNQQQLVDTSGFQYSSLLSYPFLQMQ >Dexi6A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:6A:23994033:23995626:-1 gene:Dexi6A01G0016140 transcript:Dexi6A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGLFGSWAMASAPGGGGWSWGHGENEHAGAMEGMMDLEGGSAAAAASYWEVGPSSSLVMQAAADHQEPHGSCAAPPPPGNGGNSAAVADFSLEVVAMAAAAPPQQPAAGRRKRRRTSSVKNREEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINYVKELEQLLQSLEARRHARRRHDLSPGDHDDDAAAPFASFFTFPQYSMSAGVGAPTAANTPSADDDGEGPKNAGDADVNGNGAGDEDDAADSSGRSRPSSVADIEVTMVESHANLKLLSRRRPRQLLRLVAGLHGHRLTVLHLNATSDDAGDTALYSLSLKVEDDCALSSVDDIAAAVHRIVEAIDQEEGLRRAHQTVVAG >Dexi3B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:3B:2147111:2148440:1 gene:Dexi3B01G0003290 transcript:Dexi3B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGGVRGLSKDGAFHFPVFHRDHPCVDPSPPAVHAASVSDAGVVMGNDKIHKGKYFMGISLGTPTVFNLVTIDTGSTLSWVNSESCQMSCHDQAAEAGPKLDPRRSATYRQTGCSDEACVDVHQDNGIPYGCIDETGTCLYSVRYGSQCSAGKLGRDRLALGDNFTVVDDFTFGCSVDDMFYGLEAGVIGFGNKSYSFFNQMVRQTSYSAFAYCFPSDHTAEGFMIIGPYPQRLELVTPLIRGYGRRCYVYSLLLLDMAVDGKRLQVDPTIDPRQILVVDSGTDDTFMSSPVFYALAEAVASAMLNRGYYREYGRDETACFRPASGEPVNWRGLPAVEMQFLRATLRLPPENVFHQQSAGRICLAFQPDTSGVRDVRILGNRALRSFRVVYDLQKMTFGFQARAC >Dexi8B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:8B:25990536:25991729:-1 gene:Dexi8B01G0015210 transcript:Dexi8B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGAAPIGTAVPTPGTSNKNLLQQLPAGPVLAFQALAATFTNQGKCLSSNWWLTVGLVTFLSSTCIFFSFTDTVKDESGKLHKGVALPGRLHLVDLTRKEQKAMASELKKRGLKPVDWVHAFMSLLVFLTIAGGDVGLQNCFFPYATDDTRQLLRNLPLGMAVMSSFLFMIFPTTRAGIKFDNNTTPESDDDTGKTEGKTTDKVLSSSANLLQLLPTGPVLAFQTLAASFTNQGKCYHSNLILTAGLVTFLGATCIFSSFTDCVTDRNGKVRKGVALPSQLHIIGLSKKEERELFDHSLLREKKLKVIDWVHAFFTAVVFLTIAFSDVGLQNCFFPKADDDARQLLKNLPLGMAVMSSFVFIIFPTTRKGIGFDDSEYTVIPSGDPAPSTKPAP >Dexi2A01G0034390.1:cds pep primary_assembly:Fonio_CM05836:2A:44360313:44361749:1 gene:Dexi2A01G0034390 transcript:Dexi2A01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTSGPKKKLRRGLWSPEEDEKLMNHIAKCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLAEANGIRGAAAAVSSRTAVFSDAELILSSSSPAARHHMPPPPVTAAAERFVYSRSISADDGSGASDGGGSLQSLSAGYNTQTGDLPAASYLHDMAVVLPSVSSSSTLTSMAAGLSPAATTATDEQCNNNNNNNNGGGGSFEMSTTQQSCSNLPWLELGTSGGGAAVDQYGAVLDELKWSDYVFDGYGSGGGQYQTGQSIYSGDSKDAVQFGDGSS >Dexi1B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:1B:22550042:22555313:-1 gene:Dexi1B01G0016020 transcript:Dexi1B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASATVADGDAAGPPDPAAATRKEKRRERKKERRRKARREAAARARAAAEADALPADPEEERRLREQEEAEAAAESERARRAFEDAERRWLEAAAARAAEKAAAAAAAEEARAAEASAREKVSSLPPFPCDPVISLLWLLQSKDDQGNEPEEDDDEWEYIEDGPAEIIWQGNEIIVKKKKVKVPKGVKEKPPVQEDKAHCPFHLKTGACRFGVRCSRVHFYPDNSSTLLMKNMYNGPGLALEQDEGLEFTDEEIEQSYEEFYEDVHTEFLKFGELVNFKITCEFVAVTRWKAAICGEYMRSRYKTCSHGVACNFIHCFRNPGGDYEWADWDNPPPRYWVRKMAALFGPAVDTSKASDTPDFGRPQPSDRKRLKNSSDRYVSRRSMGEGAHTRYSSHDYSHSKHEHSSQRLNYGYSRHRRGSSAADRHQAQDTVDPNGRQLSTTGSENQPHKHNREERHRSDHGDGGKYDDKIRSSKHRSDWRGSCEPGSSDWPSDLSDTEVRKGPSGSKSSNRYDEHKKSRRKSSKDHNFERHYTVDKLAGKKHRMDSKRGRVDDYHDEKDGRRGKSRKHKDDHNYSNDRWVATYSDVDSDDGSYQRSSSEGTEFGRKGDAHSDADARHRRSSSRTKDDKTRRKSHSGNKQRSTTEEDTTDSDSRDLSSDSWSRRSRSSEENFSRHRSKRKRHQIKDKSSS >Dexi9A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:9A:9391842:9394259:-1 gene:Dexi9A01G0014330 transcript:Dexi9A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVQWRTSFSDREACKSKKSRTERLPRRNVDRDSRLGNGFDGAYITNTQDYRVFVATWNVGGSRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRFGRVFAGVTGFYGMDEA >Dexi2B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:2B:10045561:10046764:-1 gene:Dexi2B01G0009270 transcript:Dexi2B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSSVNMEMGAAAQRRRLEIPDGGEGRGGGGGVDRISGLPDAVLGDIISLLPTNDGVRTQILARRWRHLWRSAPLNLDCQGFRNNQADIAGIVTQILSAHQGPGRCFRVPAQLIHRVPDTMDAWLRSPALDNLQEIDFYHTSFEARVVVQDPLPPPASVFRFSGSLRVATIGKCHILDGLAETLRFPELAHLGIESVRISEAALQSLISSCAALECLFLNKSFGFSCLWINSSCLRSIGLGYEHYRGPLPWFKELIIEDAPRLEKLLYAESIAGLNLSVISAPKLATLGCLSNFGISSRVLFGDTAFQGLRAESLMTVVRNVKILAVNLRVFDLDKVIDLMKCFPCLEKLYVKVQIL >Dexi9B01G0047030.1:cds pep primary_assembly:Fonio_CM05836:9B:46253511:46255040:-1 gene:Dexi9B01G0047030 transcript:Dexi9B01G0047030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWVSFLELSLSLLCFVVFYYFHIRSKRKNPVIPLDWPLVGMLPALLGNLHRLHEWITSLLTAGHLNFLFTGPPRSGMQFFVTADPANVRHVFTSNFSNYPKGPDFDDIFDILGGGIFTADGESWRRQRAKAQLLMSSSSFRGFVSRYSRDKVESSLLPVLSNFAMTGKAFDLQDVFLRLTFDTTTTLVFGVDPGCLAAGMPEVPFARAMDDAMSVLLLRHVVPMTWWKLARRFGIGYERKMVTAWRTIDQFVADTIAKRRAEKARRGIEGSADLLSSYINDDEDEVDAFLRDTTVNLMLAGRDTTGSALSWFFYLLTQNPRVVAKILHELETVKQGTCTGPDGMVSFDPDELGKLTYLHAALCESLRLYPPVPQELKEAVAGDVLPSGHEVRGGDKVLVWLYAMGRMEEVWGNDCREFRPERWVAEDGQRVRYVPSYRFMSFNSGPRTCLGKDMAFLQLKAAAAAVVWNFEVEAVTGHVVEPKLSIILHMKNGFMATVRRRQQQAAA >Dexi7A01G0023150.1:cds pep primary_assembly:Fonio_CM05836:7A:31234502:31236573:1 gene:Dexi7A01G0023150 transcript:Dexi7A01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPWTRDLNWTLQGLPNVDLSKLDVPFTEEEILCAIKQSPQDKAPGPDGFTGLFFKKTKSSALLLKLDISKAFDSVRWDYLLTTLQRRGFPRRRSDYQPIIDKAAAKLSTWYGKNLTQAGRPDLPPVQVCDGNSPPLARGVSTNKANLDPCFCLDRSA >Dexi2A01G0025710.1:cds pep primary_assembly:Fonio_CM05836:2A:37388216:37393917:-1 gene:Dexi2A01G0025710 transcript:Dexi2A01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVVARRAAGLLPLLSSPSSARIPLRRALSLTPLPRSYRLLSHPARPFSSSAFSASASASASASASNGVAAERARELHLYNTKSRKKEHFRPRAPDGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMANLQCLPPSVEPRVSDHIDQIVNMIKQILDNNCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKDGEPWWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLHDCEESCQQQEKNSGDSLPANTLNYIEKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLAALEEKIKVVLSVLGLLPSSYHEALLQLREKALRRASITEEHVLQKIEERTAARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSAPLSEEEGVVVKTT >Dexi3B01G0021720.1:cds pep primary_assembly:Fonio_CM05836:3B:16593010:16597077:-1 gene:Dexi3B01G0021720 transcript:Dexi3B01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAPSQCPAASFKQRLSSPRSRLGASCKPLAATAASSARRSVSACAASRRGFLLLIPSLAAASTVLRTLPSAATESDDASTPSTPAPPPDELPSPQPTAEAKEEVEAQPEPEESAMSRVYDATVLGEPEALAGDARGRVWEKLAAARVVYLGEVESKPDPDDRVLELEIVRGLAGRCIDAGRGLALALEAFPCDLQQQLDQFMDGRIDGRILKLYTSHWPQKLWEQYEPLLNYCRDTGIKLIACGTPLEVKRTVQAAGIRALTKAEREAYAPPAGSGFISGFMFSSGRSLIDKISSMDDSMFGTASYLLEQTRVVDDYTISQIITKELNDGDLSRLLIVVTGASHVMYGPRGSGVPGRISKKVPKKDQAVVLLDPETQVIRREGEVPIADFLWYSAAKPCTRNCFDRAEIARVMNAAGRRPNALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQVRLFHHLTSLLIHCLSY >Dexi2B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:2B:30537778:30538544:-1 gene:Dexi2B01G0020500 transcript:Dexi2B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAASGLLFPRIRTASSRPPRLATQSRVRLLPAATSAARAHLRFVAHRTASAPSGISNDTTGLDDGVAEDGDDIDEPELPWWAPSIEELIEFDKTDFSPRAIEERFVRESKEAAATVKGAAAGLLLRPLRDLFDDVRKFKSVFDIEEFHIGLPLSALMACVAAYHVWKAAPSACIDFVLHYGFYRLCVMAAGIRRRGFATDMIIRLKLCQLFISFW >Dexi1B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:1B:2831988:2834060:-1 gene:Dexi1B01G0003570 transcript:Dexi1B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRAAAAAALLLVLAMLHPPPSSAQQEGDVPEADAATFLSRFAAPPSWPFPNPRLRAAYAALQAWKRTAIFSDPSNFTSNWSGPNVCSYNGIFCAPLPTTTTSSYTHAPSTNSGDLVVAGIDLNHADIAGYLPSSLPLGVPDLALFHINSNRFCGIIPSTFRHLHLLHELDLSNNRFVGGFPEVVLNLPSLKYLDLRFNEFEGSIPPPLFDRDLDAIFLNSNRLRNPIPANFGNSPASVVVLAHNKLGGCIPPSIGKMANTLNEIVLIADELVGCVPPQVGLLKEVTVFDVSDNHLQGQIPASIAGMVAVVELDFARNRFEGAVPAGVCGLASLKNFTYTDNFIVSRPSCAKATADGAWNCIPGAAAQRPPAQCAAAAAHPFDCSKAQCQAPPASYTPTPAAGGGSHGGGQGGHGRRGGGRQPPTPVGSPPRRSRSAGYPPPSSTPSYPSPPSSTPPSYPSPPSSATTPSYHHSPPPHGSTTPSYPPPPASATTPSYHNSPPPQGTPTTPSYPSPPSSSSTPSYHSPPHGGASTPSHSHPSPPKGSSHPTPSVTHAPPPPTSADEPDERYAPPPPTGSHGGPTPSSHHGGSPSSPPSTSHQPPSSGHGHPTTPSPPPTEHPGYVLPPHTPSSPPSHPSAPSTTPGASPPQGGSPGTGGDHGHGHGGKLPFPPVYGVSYASPPPPGKPYY >Dexi4A01G0023090.1:cds pep primary_assembly:Fonio_CM05836:4A:26237381:26239606:1 gene:Dexi4A01G0023090 transcript:Dexi4A01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQGDEAGMEDDPFPEEGLVDPVEVSEAELGSRSDDEEDVDGLASFLESEILSGSSGEDPTDKQPEEEDGDVAKNKRKQDSGSDGDGGNGSGSEGEQNKRMRMEEKRRQAKGKAVAPQIDTGMFSSIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRECAWKNLYIQRDKEDMVDFVRNTPTEFREYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSTWKSSRGLTDESVKGHSCSGNTCSYTQIGDAYICEKTGRIHVCDEACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDTDQQQGAVADEPEPFMGSGRFGMR >Dexi1A01G0029780.1:cds pep primary_assembly:Fonio_CM05836:1A:35206482:35209113:1 gene:Dexi1A01G0029780 transcript:Dexi1A01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDIDLTSSDEEEDYDEDYDARSPISEPAASAGQRDDDLLRTATPASLEAIDAKLRSLDLKYQRQTAAKLYRHVGGASPSAHWVLDDRRATYAFVDKAGDDDDDASPRWFLEVGSGPRVSARVDSALQLKTNPAQRRADFAAGGSVWALRLPTDAEFRRFRVDYERCLFENTYGVEATDEGRKEVFGADFTAWARPDEADDAVWADAEESLTAPIAAPARDLLEEFEEEAGDGDSIQSLALGALDNSFLVGGAGIQVVRNFRHGLHGKGASVRISGGRGGIGSAYSTPKKALLMRGETNMLLMSPGEALHSNGVHHLDIETGKVVAEWRFEKDGTDIAMRAIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLNWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTVFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSILAGTDNKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQQGLKSCYCYKVVLKDESIVDSRFMHEKFATSDSPEAPLVVATPMKVSSFSIANRR >Dexi1B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:1B:18848047:18851542:-1 gene:Dexi1B01G0013320 transcript:Dexi1B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPVASAEEAAALRRRLRRLVAAVAAGSADAEAFDEAAEALVKLRDAELGPRKDRAAAAADGVNKGGTEAAAVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMISQWCTDNGITLPPVENQEEDLVTNNERKTFSKIFQRIASSSNLSEQREAIKDLRLLTKCNSSLRAAIGEKPESISQMISSVSNPELENNAEVLEDMVTTILNLSIHESNKKIIGDDPLAIPFLIRALQSGTMEARSNAAAAIFSLSALNSNKAKIGELGVMRPLVDLLEQGSMIAKKDAASAIFNLCMLHENKSRATKSGVIDVTLKAIADDSLVDESLAILALLSGDHETVEEIGETGGVTSMLHIIKEDQCKRNKENAAAVLFAVCMYDRTKLREVAEDESLNGSLAWLAQNGTSRARRKATGILDKMKRAVHHTHYSC >Dexi7A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:7A:28100055:28100979:1 gene:Dexi7A01G0018810 transcript:Dexi7A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQEHRAPISLLILLFVSVSGVSSTRTLTITNHCGHTVWPGILSSSGSPQLETTGFALEPRQSRSLPAPHGWSGRLWGRTHCTVDDSSGKFSCVTGNCGSGQLDCAGHGAKPPATLAEFTFDGHGGLDFYDVSLVDGYNLPMLVEPQHHGDGGPNCVLTGCMMDLNAACPAELRVAAGHGGAAAVACRSACEAFGSAEHCCHGEHGNPNTCWPTTYSQFFKKSCPRAYSYAYDDATSTFTCGGGATSYTITFCPTTAR >Dexi1A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:1A:9579834:9597882:-1 gene:Dexi1A01G0010960 transcript:Dexi1A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMALESKASPVGDKERAVSLDGGTATSSGGSIAGSGSLGRSRGNLKRWRHLSQHGVVRRPCGGVAGALRRRRSDSSRRRARTPPPAPPRSRSTTPPLHRCTAPPPVQPLPAAAALWEWDGEEVEGGDGEVPFRLPMLLEAYQMKMHPWLTPKRPPGSMTGDKGLPAAGARRRRSPAPPAPLDNDDLLTDILLCLSPLPSSLPRASLVCKRWHRLVSALAFVRRFRARHRRSAPLEGTCGILNCRHGLVLMFSRLELNGLHLQFRVWEPVTGDLSRVAFPPEFQFGNVGNMLVFQDAAVLRAPGVVHADEDNSIPFLVALVGSDLASIRTCACVYSSETGVWSNLISTACPDFPIYTPTTLVGSSLYWLLGPEMAILEFDLDKQVLAVIDVPLSNCPYRPYHQWILPAEGGLSFLRLSGYSAELWMRKMDSDGIAGWVLGRTIELDKLLSLNSEEGFPIEIIGIAEDDNMIILLSSVSVFMVQLHSGLVKKAFRLANLDYNHPFASVYTAGMGLGDDHEGAELLLNT >Dexi2B01G0031240.1:cds pep primary_assembly:Fonio_CM05836:2B:39385621:39387689:-1 gene:Dexi2B01G0031240 transcript:Dexi2B01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFITSRIGNALRRTSVPSTSLLLQAVRCMSASKLFVGGLSYATDESALKDEFSKYGDVLEARIITDRESGRSRGFGFVTYTSSEEASAAITALDGKELHGRHVKVDHANDRAGGIRGGGGFGSVGGYGGGGGYATGGYGGGGGNGYGGGYSSGSYGGNSGAYAGSGGYGGGSGYGSNYNNASGGGYSGNGGFSDGPSGGGYGSSFNSASGGGYASGGSYSTTGNPGGNATGYSSPNTYNAGSYNSGAPGGGSFGEYGGGLGSGGFGPGPNNGNNFAGNATSGGYGDRISTGGFSSGGAAGYGSNKPQYNGQDDLLGEDFFDAKEASENR >Dexi2A01G0028450.1:cds pep primary_assembly:Fonio_CM05836:2A:39739318:39741383:-1 gene:Dexi2A01G0028450 transcript:Dexi2A01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMGGGAKAYGAVVLIRLMYSGMHVMSKVALDQGMNPLVFVFYRHTTAALVLIPVTFLLERQKAKPVTFKIGWKMFIHALYGMETLKMTRFHGKVKFAGILFCIAGVTILAFYEGPLFRSFNHHHLFQSGGGSSSGAAETHSKKQWVLGIFLMTLSNVLAGLWTVLQGPLIEDTSKLMNTTLQISCASVQAFLVAVAAERDFSKWKLGWNISLAAIIYSGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTIVISSFIIGDAVSLGRHATDKLSIEERDDLTKISAAGKPGLELPPPPPPQQSKEDPEAPQVWDDDDDADAKV >Dexi3B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:3B:7235121:7237112:1 gene:Dexi3B01G0010380 transcript:Dexi3B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAIFLIPPSPAHSNGPHPRAMCSIECRFLLRRLCCRHSRRRLSTAAEISTLPRRKDAEELSRGAGDAARVHEAAVRRLAAAGDVDGVQLALQEMRLRGMPCTEGALVAAIGAFACAGAPDRALKTFYRAVHDLGCARPTAPRLYNHLIDALLRENMVAAVVPVYENMKKDGVQPNVFTYNLLVKALCQNDRVGAARKMLDEMARKGCPPDEVTHATIVSALCKLGRVDEAREVLAAEPAVCASYNAVVLALCREFRMLEVFLIVEEMVQRGLQANVVTYTTIVDALCKARELRMACAILARMVVTGCTPNVATFTALVKGLFDCGSVHDALSMWKWMVAEGWAPSTVSYNVLIRGLCSIGDLEGALSVLKGMEQHGCFPNVRTYSTLIDGFSKAGDFDGAMSIWNDMTAAGCKSNVVVYTNMVDVFCKKLMFNQAENLMDKMLLEHCPPNTVTFNTLIRSLCDCGRVGRALSVFHDMGRHGCQPNDRTYNELLRGLFREGNHEGALQMVIEMLNHGIELSLVTYNTVVSGFCQMRMSSEAMLFIGRMIVQGIQPDAFTFNAIIHAYCKEGNVKMAAWMLGGMNVVNCPRNIVAYTVLMTELCNQHRLGDAMVYLLKMLYEGIYPNTATWNVLVRGAFRNAGCTGVGPIDLMQHITDLSAGA >Dexi8A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:8A:8248729:8249337:1 gene:Dexi8A01G0007330 transcript:Dexi8A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAHRRICGGQIAAGLGAGRFHEGREQGGGGSRQKLGVRGGRIEGHRGQIEGEGGWIVVRGRRIRRAGLRINSKGEVFVPDSEDEDAMEDGVFVPDSEEEDAMEDEALEGAAGMEVAADGARDMEVAAEGARGVEVAADGDPGVELPTTEAPTMDGTPDMELPPEVQARLKKVLAGMDPLYHNVFISLYKVMVPAFFKCN >Dexi3B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:3B:10140247:10141340:1 gene:Dexi3B01G0014090 transcript:Dexi3B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDQDAEEATSAALRRQMSSACTCGRDEEPTIHGHGHERSLSAASTSFSSPDASPALSSTSTNYMPASNKLSSESIPFAVPDFGKLSSFSSTSSYESFFHIEASSSDLVAGGDFLDFEPPPTTTAPGVQTMMMAPKPEGGGGYDPKRLPSSMFRTRSTTGRGGGGEWSVSSNDSLFSIQLSNSADHLNAVYADLYYDAAGFPRFPSMGRDATAVLMKKMASMSESSVRSGGLCVKHDCARCSGSGGKTRKSVRFAAAATESVSTEGKHSLVVSTLEVAMEEKVTATAAKDPAAGWCELGCCWPSPSTAWWPRCCVCCGCGCQCKWWL >Dexi4B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:4B:19451863:19460765:-1 gene:Dexi4B01G0017290 transcript:Dexi4B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTNGTLTLLALRVLLCCALASTITIFLPLALRPCAHSLSRTILATTGLDPLLISCTSHAATKAPGDRGAAVNKASNGGGRPIVTDDLLRCGEPSLPPHALPPFHCCPPTPEAAVVNFTFPDAAEPLRTRRPAHDAGDDMAKLARAVALMKALPASDPRSFYQQANVHCAYCTGAHRQAGTELPLQIHYSWLFFPFHRAYLYFFERVAARLLDDPSFAVPFWSWDLPEGMRAPPEFFFFSDESSSLYDALRNPRHVPPRLVDLDFSYVEKNITEQEQVDLNLRIMYKAMVTNAKLPSLFHGQPYRAGDRAMPGAGTVELALHNVVHRWTGDLSRPNNENMGAYYSSARDPIFYPHHANSDRLWEVWRGGDIAGGDRRRPRHADFTDPDWLDSSFLFYDEEARLVRVTVRDMLDVEKLRYTYAGVRTPWLDARPPVTGGVSRRRGGPPPLESVRFPVFLDTAVSAAVTRPPRRHDDEVEVLVVEGIEADGAEFVRFDVYVKAMELEKVAGSFVSLKQPGMEAVKTSMSVALDEVMEDLGAEGDDSVTVTLVPVMGRPDLEDESVEAEEEEEEDDEEEDAREVEGEEVEGEYEPEEEEDEEDDEGAEDVPKVWLRGPSTLPPRPPPHLRPEHFPGMVQHAGKYEPAFHFEHYYSTPDQRDTLGRAYNNKAERVKAELWDFYRCEEGTEVRAERNAHRACQKLLHDIHYEARLQAIVHYHAHYEHRKVTKRQAVTMTLEREDFLKKMVDKWCSPEWQERHNIHRDRRLKMAGPSHHQGSRDLTRYAKTWSAAHGNRDCPQFKAWCLAHMGKATDDIDYSEDTPDSAFTNPTIPPRNFDGRVVMEVGGGKKHGQYWMGDSTPRDSAKGAERKRHNLSTHDTGAAADIVSTERTERESLQANLTQMYAWMQSVGTQVFVPPLQLQFQPPPRQSTPGLSAGSNDPAGMVNMSPGVSPAPRVSDWSPWETQQDGQGSQDRDLSNPSQAYNPLSAKVPFFAVSTGPSSRQNYHFFPGPAPASPGVGPMGSLPRAWHVTLGFAESLARGSRQTQLRAPQRRRNAVFAERLHALGKYLRCTRQRLCQPALGKAFAERMILFAESARLTTKSLDPVVDVIPLFCHQTQGRPCASAKAGGKGNGTKGGTGSGEVTLTRRGGAAPLVAAPMAMAGTNGTQAVFGILLCCALVSTVTIFLPLALRPCAHSLSRTILATTGLDPQLISCTGATATKASLPGAIGATVNRAINGGRPIVTDVLRCGEPSLPPHALPPFHCCPPTPASEAVVVNFTFPNPAEPIRTRRPAHDAGDDMAKLERAVALMKALPASDPRSFYQQANVHCAYCTGAHRQAGWSPEMPLQIHYSWLFFPFHRAYLYFFERVAARLLGDPSFAVPFWGWDVPEGMQAPPEFFSDESSSLYDALRNPRHAPPRVVDLDFWCVEKNITCVEKNVTDAEQVELNLRIMYKAMVTNAPLPSLFHGQPYRAGDREMPGAGTVELALHNVVHEWTGDLERPNYEDMGAYYSSARDPIFYPHHANSDRLWEVWRGDAGDQRRPRHADFTDPDWLDSSFLFYDEEARLVRVTVRDVLDVEKLRYTYADVGTPWLGARPPVTPGLRRRRGGPPLESVRFPVFLDAAVSAAVMRPWLPGNVRGQRGDAVEVLVVEGIEADGADFVRFDVYVNAMEHEKISPGAREVAGSFVSLKQPGIELVQTSMRVVLDEVMEDLGAQGDDSMIVTLVPVMGKVRIGGLRVVYMAE >Dexi1A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:1A:4715043:4715630:-1 gene:Dexi1A01G0006300 transcript:Dexi1A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAGGEYPMAMGHSPAAAAAASPSSSTRPPAVAHSPWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLSGNLLAAVETTGGDAERQGSRRDDDGAGKALVAGEAGLAGDQWRDHVVVIMAGDQRPTFLATPASGRGGGVDADDVAVAVSCGGGGEQGRCVECGARSWTAGDELMSRSEQQSGNSSGSSVISEG >Dexi1A01G0023530.1:cds pep primary_assembly:Fonio_CM05836:1A:30169382:30172958:-1 gene:Dexi1A01G0023530 transcript:Dexi1A01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAWRVSQRAVTSPLLGNGRSQIRSPFPTMASLSPAAASSPNWLRVYSSAAADGDGGASGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFSEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVYRLYIAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENTAFQQAMILAGGEFMEDERSQSWRVQAVAVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARVALKC >DexiUA01G0002280.1:cds pep primary_assembly:Fonio_CM05836:UA:5203347:5205070:-1 gene:DexiUA01G0002280 transcript:DexiUA01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRAPTIRAKASSRRSKLRPRPTAPRKKTSNQEDTSTQDSSSSRDWSDLSDGPTNLIASHILTNGDVADYIRFRVICRSWRVSCPSPLTHPILDDCRLHPRQWIMLVGDDDEKLTAAGAPHRTRRRFLNVSTGQCVHVDIPELHDHGVLRSSTDGLILLVSKDDATVRLLNPLTRQVAELPPINGLGLDFSHAHIGQVSPNNAGLVDDDGGGGGRNKVMLYHYDNEHDCNMVAFAKPGDERWVVVKLNSDHHRRRHHLFTMRTMPFAGRFYGIVSDSVVVALVAGTF >Dexi4A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:4A:7822056:7824156:1 gene:Dexi4A01G0009890 transcript:Dexi4A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKAEASQLAAMPASIERDLHMATGDGEHSYTKNSRIQVGNIFSICMKQEKAMLQIKPVLEEATREVYTSVLPRTMVVADLGCSSGPNTLRFVSEVIGIIAHYCKELGLPHNHRELQFFLNDLPGNDFNNLFELVDQFKKLTTRNLQGEELPPCYISGLPGSFYTRLFPCQSVHLFHSLFCLQWRSQGLLKKEKLDSFYLPIYSPSLGEVVTIVEQSGLFNINYVRLFETNWDPYDDSESDVVHDSVRSGVNVAKCIRAVMEPLVASHFGETILDRLFKEYAQRVAKHLDEEKTKHAVIVLSIKKVT >Dexi1A01G0025220.1:cds pep primary_assembly:Fonio_CM05836:1A:31535429:31537481:-1 gene:Dexi1A01G0025220 transcript:Dexi1A01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTTNGLANGAAAARGERAEDGTAVFRGTAYSPLRTTAALALWLGAIHFNAFLVLASLFIFPRRVAALVLATQLFFMFVPVNDRSRLGRKIARFISRYVIGYFPVTLHVEDYDAFDPNRAYVFGYEPHSVLPIAVGILGDLVGFMPLPKMKILASSAVFYTPFLRQIWTWLGLAPASRKSFYSYLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGCPVVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFSPIIFWGKLGTPIPFATPMHVVVGKPIEVVKNPQPTADEINEIHKQFVVAMQELFDKYKTRTGYPDLQLRVL >Dexi2A01G0026100.1:cds pep primary_assembly:Fonio_CM05836:2A:37668731:37669593:1 gene:Dexi2A01G0026100 transcript:Dexi2A01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRLCTAPPAVMLTTLWGRQIAHLPRWANSGVAAAPPFVAVKQRHPQFSLVHRASSFSSQHNQLTRGTAGPLMAERELIGKDKLVLRGLQFHGFHGVKQEEKTLGQKFAVDVDAWMDLSTAGESDSISDTVSYTDIYRIVKDVVEGPSRNLLESVAHQIASATLLKFPQISAVRVEVKKPHVAIQGIIDYLGVEIVRYRKDVAGSSPEAPVH >Dexi8A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:8A:428308:429719:-1 gene:Dexi8A01G0000650 transcript:Dexi8A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPMATMYRLPRNAICAPCYEGAKAIISFLNKDEQEDDHGSVNSRVSTKLNGSTKGMRDAWEQVKEMRDREDDTNQRAAFLEQGFALAWKEEIHTDIVVKPGTGPPIPAHKAILAARSEVFRHMLSGDSQCKAAASESFSLPELSHDELSLLLSFLYTGALEQNLPERHLHALLVAADKYDVPFLRRACEARLAAAVEPRNVLRTLEVADLSSSEVLRERAMDTVLEHAKQVVFSPEYDEFAVRNAGLCVEITRALLAKQMSTTTSTK >Dexi2A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:2A:28316657:28318303:-1 gene:Dexi2A01G0016590 transcript:Dexi2A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWAAATAALNPPLLTRRSCSRAPSFSPARRSAATGLRMRSRRPRPAKFECRRAKNAGYEDYKFPDPIPEFAEQETSKFREHMAWRLEQKKEDYFGEHVEEIVDICTQAFKACTGNIFFGVVYIMGSFLENDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDNDWKAWTGEY >Dexi1A01G0031360.1:cds pep primary_assembly:Fonio_CM05836:1A:36363336:36371414:1 gene:Dexi1A01G0031360 transcript:Dexi1A01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVARLRELAPAPGADELDAPGAAALAECCAGLLRPGGGDGEAAREALEALCAAGGGGAMRRHAEGLAPLVVARLGDADAAVREAARRFFVLLMEMKEMNARTESTQPNSCTSNSDDQHCTTIKMESSATSQLRTSSKEKISSRDISILAGEGDITRTLVEPIKVFSEKDLLREIEKVISILQPDNEWSIRIPAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQLLDRRSSVVKQACHLLNFLSKELLRDFEPYAELLIPVLLKNVVITILVIAESADNCIKEMLRNCKVARILPRIIESAKNDRSAILRARCCEYAILMLEYWVDTPEIQRSANLYEDLVKCCIADATSEMINDEDAETHQRQLSPIQNVELRQPQPSSCIPAVMDKVVKVDSETSFSSGDLQSSQRLHLLYDDMTSKVQDQGSKADISAKGSSFEDKITLGMEENTSRGTDKCDSDNNAGVNSSLCDLPSATPVETGAPSEMPLTDAAVVTIVQDKAECISNPEERSSQQVQRPEEFSELTSLTPTINLRESGNLLKQNPVEVISDVGSGGKLGPQQEKKHSFSTPKKSAVSKEPHISLEVQGRHTERTEFESSNESYGHKSEMIDKKSSTMRPRNGLPRRIDFSVMADNIVQSASRDSQHMKIFDEPNAVGCVLMSTHFQNLHQMSSSLLEMLDDPDVPTRELALSLLVEILEKHRKVMESCVEILIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLRCLGAISSQLACQDEKILIIIINSLSKLVVRLSQESLMAHLSTFLPALLDAFENHSPYVRKAVMVCVVDTYLKLGPSLLPYLEGLDGAQLQLVTTYASRLSQARFIAADG >Dexi5A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:5A:6364656:6365815:-1 gene:Dexi5A01G0008560 transcript:Dexi5A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQHKKRGSQLDNGENAESSSNKKPRTPTSVIKHKQLDEGRRGEEAPEEGGKLAKASLAALWRPRKSSLMCKDGHVVCGTCRVSHGQACGSAATHAACAEVDAFVRDAKLPCKFQGHGCGSYAVYCQAGDHERACPWAPCCCQFLTSPPRLVEHTRTAHPAWPISSVSYGKLHKIPMPRPAAEEGQCVFLVTSSAAGPATTTLVSVVCARANGDAAAGVAQFKCTLWADAPRGSEIAATLTFPVESSDLSGGFSPEEQSAFLAVTPKMMAHVDASGEAAGLVVRIDRVGRVAASSSPAPRSSGELLRQAN >Dexi9B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:9B:8648742:8651345:-1 gene:Dexi9B01G0012830 transcript:Dexi9B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSSARFGHGMAAAGPAHLGGGGAQQVMPGAGMIPGGMAPLLFGVGMPVPGGNMAQPGRYLAPAGYGAMRAGWNGGGAAAGGGGGGEQQEAPGGGVVTRVRPPSHRGLWTKEEDETLKAMVKVHGERKWAAIAQHLPGRIGKQCRERWTNHLRPGVDKLDWGYGHRRSHPYSRVGQLPQLPTELQGATTELIHGDLGNGSGSWRSRQRERGVGSSELGVADLAKNIWTEEDDMILIAAHKLYGNRWSLIAKELEGRSENAVKNHWNATRRSLKAKRRLKKKKTEELPLGQQWTALEDYILNLPPAMADDLAATPDGSPPSSYNTVTTEYADVGSPPGFDYPAAMERYLINAASNRSSPPAVAAANLGAMMNNSNVAAVAPPYLGLGMNAYYRAAAAQMMVQNQQAAAAASYVNNMITYPFVEHLAGWHSSVQADAHASNANDAGHHRYYYGDAGAGPSSAAATGAHQDDVVVVQMASREFLMNPSEDEVTLNLARFM >Dexi3B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:3B:1655889:1662591:1 gene:Dexi3B01G0002420 transcript:Dexi3B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVGNKMQSQMRLHSEPEDDADLPLPALFDRASRLHGLASSSALDQEGLRKGVDLLRRCDEMVSKLGLFSANETKEDVSTANLKYLLVPYYLGEMTEKIAQEDRIPILKASQNHLKEFIALCEVLELIPEDELELSKQKQPDTMANRRAQKIARFKRQKAAETKLQEIKERKERRRRSLRASALSAPIEAGEEDTFEDDGEQEREAFDLIDMLKKEEEMLVAVKERQEKDGKAFARDMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVLEGRASVSQAHEHKHQPLIFGPASLGGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTATMIKEANSAWHKDGTSSAHEDEDAEEAKARAWDDWKDDNPRARLRPSVRPSVVLKVRCWWCAGREVALPAGEQPSPARRCRARQARPLRQHHQRRRRMHATASFPDELPPELASRHEEVPDAILRQPAPIQALDLRLSVRRDTVRDGGDNNRLTGRRWCLST >Dexi6B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:6B:5653405:5654700:-1 gene:Dexi6B01G0006070 transcript:Dexi6B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKLSDTLSKLICGISPPTTAAEDRYRAGLRSLLLLPPSPERSSSSSSPSASVRSKAAPPEHDDGGGDEIATSPPAMSLADSPRTEEAVASTLPCVAFASEHGYKIFSLAGADAGEVVTTPMAPVVGRRLIPSPYGGNVLATDVSYNHPCHVINPFTGERAAALPDLPIPFSEKEPIRFHPDDHPPFSSRVATNDGLAWDWSPHGVMVARGDTAFFFDSGGDAGSGEWTPVHQSAFGSPMTVNYRGGSFFLLELRSLVTTVIDACTLRVRAEIPAPAGVLHRHVDAAFLAPSSSAAGDEAILLVHRAGDVHGVVFTEAYRWRDKGGRSSPRWSRTRDIGDQAVFVDGAHAFTVDAGGTTTGVAANRVYVVLTNAVARPCGSLAVVYDVGVADVARPERFRRLGIDVGEVEPMWGKPHWIIRTEGSDRRRG >Dexi8A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:8A:10705248:10705870:-1 gene:Dexi8A01G0008750 transcript:Dexi8A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATGFDGRRLALCGGARSGPEQLVIFFLGVGRGTEAKAFGELPWVRQGLDPGKGEKGGACGFKDLELEDCEGEGGWPTAPGQPPSGLGGGGEGGGPLSLIPAFHSDLHAGEIQSVKQHILTQRKSIDEQMGTHHFGGCGARTAARDLPAGGGAGLAATMRSTRQ >Dexi2A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:2A:14307763:14310346:1 gene:Dexi2A01G0012290 transcript:Dexi2A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEDPAAAAAAAAPDSWETADIDGPMSRLILTARRVSSSPDLADEQHDPPPAAQPAPQPQGGAAPSPARDDSVAQVDQFLREALEKPRERLSVLRMEQDILKFIRDPRQTQFEFTGLPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGTGSRIILRKTSSECRLPAVRLADIPVNLPQEESSAVAKVAIKQRPQKNFHSLNSSSAHSSRDNLQKSVEERKEEYNKARARIFNNSSSSNAADGRPAEEVTLPNTLHRSTSLELNSSNRMGQGAEINHITLERSLTTTSASGRSNRSKMEKEPGVSRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFNGGPYTIQPLYAPAVNYNTEFPQLGSAHRSPVAVEQQPHPIAQHMPGSWSAGQQPHPGPNAIGYGPPDGVMAPYSPGHTGAPVRPSVFMHASQQYAIPSRPGVPFVHPQESLGPFAQVCIYICDPVISLMP >Dexi2B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:2B:319580:332466:-1 gene:Dexi2B01G0000740 transcript:Dexi2B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAGGAARAGFERACRLPNTVHSEIAPTVPLPNLPPAFGFDDLRDDEPLAAPDRPDMIMQAAEIARILADTDVSHLGFTEADNVDVDPTQCSWLWREVLKHNPDAFRVKPPAPPPTWQGPHEGPQYQNQEPEKYFEHLTPNNMNIGRKEPVYEINSHREQLRNELTPDSVASKKPKNRKKEIDNSVSSSGPSIPNSQEVITNFCEMVEDFCGRVEIPDDADGGDWLSIPLNDVKVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAKKTEQIVDMLRKMPNHQPLITVIKRNLTLDFLPQSTKAAGINSSMVASLRKRCELICKRLLERILQVEEGAANAMEIHALPYIVALQAFCIVDPTLCIPATDPSKFVVTLQPYLKIQIIGLLQRKYLLRDDFNLKVRALQTMGYILIAKPEFMLQKEIMNLIEATLSSGVDHRLKIQGLQNLYEYLRDAESQLTADSTEKPPVRSTISGGSVVPVAAGAGDTNICGGIIQLYWSSILESTSDVDMAKVQEDCQDAIALQLLLKLKRHLKIVYGLTDARCQAFSLKEPPKSGETLSKQNVPFNIGNNNMSLPNCLQDVASVYQDFKTVLREDSMDFSVYTPSVQKKRPTPRTTSRARKTAATSVPRARGDVRGDDDDTDDDDWTGGPRVLDFSAQASNGGRVTRQRVQV >Dexi7A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:7A:150636:154036:1 gene:Dexi7A01G0000070 transcript:Dexi7A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHMMSSSLSAMGPLLGKLHTQLFSPEHELPGSLKDGILHLKQDLEELNSFMVDMSGLGRPNAMVNRWMTEVRELSYDMEDFIDSVISSSMMASRERNKEIISMVKKFRNLVKQARERHERYELSRWPSNPYPICINSRVNGTELFGISESKGELVRWLKPVFSNVDEDRGRLKVASILGPAGVGKSTLAQEVYREIGAQFERRAFVRASRVPDARRLLRSMISQVRRHQRPPCGLPVQELVDNLRTHLQQKRYFIVIDGLWETATWDVIRNAFPEGTHCSRVLITTDIEEVALECCDYLSNASVNSAPDVQEGIFKVEPLSTEDSMELFYNRVFGSKPEFSDQLKKYSEEIIRKCSGLPLATIIIASVFAGQTDNSELWHHIKEYLSSRNILSSEDLLKEVIGLSYYSLSQHLKTCLLYFSLYPEGYTFLKSDLVKQWTAEGLISEVAGKGASEIAECYFDELVSRGLVQTNHINLADEEVMFYTVHSTIFEVIRHRSVEENFTTVIDYSETIPKLSAKVRRLSLGFSNAKYATKPEGFTPLPVRSLTFYGLVECLPSIMEFKLLRVLIFEFWGDREVFDLSGINILLQLRYIKITTDSIIKLPVKMQGLTYLETLEIFARVLTVPLDIVLLPKLLHLRLLGDIKLPNYVGQLRSLRELQSFDLSSNSEDNVLSLGEMTNLHDLHITCSTAVPDRLERNLIALASSIGKLDNLKSLTLAPGVSCRSIIYTDFSSIVSAPPVSLEKLELLPPICIFSRLPEWIGHLQKLCILKIVVRELNMDDVNRISGLQELTLLLLYVRQPTAQSIVFNSASFPTLKYLKFRCGVLRLAFQAGAIPNLRRLKLEFNAHSGEQYSDMISGIEHLLKLQEIAVRIGAAPGAEDSDRTAVESIFRNTISKHSRHLSIGIRWADSVDEVYVPIFS >Dexi8B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:8B:21355754:21357866:-1 gene:Dexi8B01G0012100 transcript:Dexi8B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVHHHHLRQLRAALLRRGHPIPPPPAAHPEPERAHLAAIRAAAASTPRLALAACACLRRAGLPPPGPRALPALLRSAARCDGAGAYVGGAHAVAFRVGAVEDGFVGTALVGVYVASGRVEDARRVFDEMPARDVVAWGVMLDSCTEMDIAEKIYNGMPRKDLVSSTAMVFGYAKNGKVELARSIFDRMPEKDVVSWSAMIAGYAESSLDKAISIHSFTGKNGLSKILRICNALIDMFAKCGGITVALNIFNGMSHKNVITWTSMIAAFAMHGDGKSALVLFEKMKNEGVEPNKVTFLNLLYACCQAGLLHEGRLLFRYMIQEYRIEPNHEHYGCMVDLLGRAKLLQEAVDLIESKHLRPNVAIWGSLLAACWMHGDLKLGAFAAKKVLELDPYHDGAFLVLSKIYAKFGNWNDAEEVRGVMKLHGVSKETGSSWMELNDPSHEFAVGGEKHPESNEILLKLNGKVS >Dexi9B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:9B:4713839:4714114:1 gene:Dexi9B01G0007690 transcript:Dexi9B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFIPAAQQLYSLPRLHLQSSPSSETALSSASTSLQHLNGGDELRRRTSYSPRVPSMMSSPQTPSSVPPSISVEMAGLLDDMVPHGVRHG >Dexi9B01G0022430.1:cds pep primary_assembly:Fonio_CM05836:9B:17102343:17104229:-1 gene:Dexi9B01G0022430 transcript:Dexi9B01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAWIVGEKGEDLQGPKEFLPLSKLEDIGVLYWQLDPKKSESEVELAKIRKERGYSYMDLIEICPDKLENYEEKLKNFFTEHMHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFTLDSANYVKLMRLFIGEPVWTALNRPQEGHPARQEYVKNVTGNTGFALAAH >Dexi3A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:3A:9879793:9882034:-1 gene:Dexi3A01G0013580 transcript:Dexi3A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAFRRQIVSMKKSLFDQGYLDEQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALDKYPQDFYRLDSLVHQFKGSGSSIGALRMKNECSMFKAHCNGRNLEGCRRSFQKMKREHATLKQKLETYFQLLRQVGPRERAVNSWK >Dexi5A01G0022670.1:cds pep primary_assembly:Fonio_CM05836:5A:26791236:26794604:-1 gene:Dexi5A01G0022670 transcript:Dexi5A01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFNNRHWPSMFRSKHAAEPWQAHPDVSSSPPPNLLSGAAGNNTAATGSCLKHTSSGYAGTLSLLSPIDRSSCAEYGHVGDANVFYWFQNRKSRSKNKLRSSASYAAARPCPARARASSTAAPPPVTPPPPPQQQLLNQQQFHLLAAASPVQAAAVAPTSSSSSSSSDRSSGSSKPAAKRAAAQAMSSPTAAAMDLLGPLAAACPTQMYYHQGHQPMAPPAHKAQQDLVVASEIFQQWPQGYCLSAGEVAAILGGGHGQYMHQHVPVVQQQQPPALPTAGASFLGLCNEEQKLMIGCVVKDRNYCIMKRKIKNLFHGLAAADGALGVTTTTTGAAPVAAAPTAQEEAGGVAALCITDSLTGRSVAYSVAAARLDVRAQFGEAALLFRCAGERGLDLEPVVVDASSGCTVHPLQHGAFYYVLV >Dexi9B01G0027250.1:cds pep primary_assembly:Fonio_CM05836:9B:29723744:29724101:1 gene:Dexi9B01G0027250 transcript:Dexi9B01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDIAYDWNRSWSSGTLSMRLIWSYREKKKAAVYLREGRRLSRAPPETGSLRSDFTSCGSAPSAFWVRAAPAIVSVPLRRPVGRSF >Dexi9B01G0038170.1:cds pep primary_assembly:Fonio_CM05836:9B:39314011:39315410:1 gene:Dexi9B01G0038170 transcript:Dexi9B01G0038170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGSASSVKETLPPALGSTSQPPPVFDGTTRLYICYFCPFAQRAWVTRNFKGLQDKIKLVAIDLQDKPAWYKEKVYPQGTVPSLEHNNEILGESLELIKYIDGNFDGPALLPEDAEKRQFADALIGYANAFTKALYSPLMAHAEVSDEAVAALDKLEVALSKFNDGPFFLGQFSLVDIAYVTILERVQIYYSHLRNYDIAKGRPNLEKFIQEMNKIDAYTDTKNDPLFLLDLAKNHLKVR >DexiUA01G0021820.1:cds pep primary_assembly:Fonio_CM05836:UA:45042993:45048114:-1 gene:DexiUA01G0021820 transcript:DexiUA01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSGAGGSSPAHAPPGGGGAGGSGGGGPYHHRSRFGDTTLTKVFVGGLAWETPSDGLRQHFEVYGEILEAVVITDRETGRSKGYGFVIFRDPESAAQAVQNPNPVIAGRRANCNIAAFGPPRPAQGGRGRGGGGARGPPVQDQPPLGAPYRVPSQMTPPHGAPVFYHPQFGFWYPPDYQYQQASNPITISSPPLQTHFHCFYSHFKHASFSLALYNPQVLQHYYPPMYGPTSPSGPPYLMGRYMPGGPSPRTGFSLMQQPARPPFFQPQMDGSFPPGPSLPPNFRLQLPPHAVSRESDDPSGSQSTQPTTSAAPTSTNQEASGPLTSNSDPNTSN >Dexi7B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:7B:18638435:18639508:-1 gene:Dexi7B01G0011640 transcript:Dexi7B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDHLQSCLSRFLWLHSETERLSCVRSWKKHRKAVLSEALLKYSPKQIASIYDRFIVPFLRRRTGYASGITHLQRIGIGFASMILASVIAAVVERKRKEAAVQMSLFWLTPQFFLLGVADVTSFPGLLEFFNSEAPRGMKSIATALFWCDTGLASLLATLLVQAVNSATRHGQKEGWLEGTSLNNSHLDRFYWVVAAVGLLGFLNYLYWAKRYVYHQDPLIVDEPSVDQDSP >Dexi1A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:1A:9655645:9656883:1 gene:Dexi1A01G0010980 transcript:Dexi1A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAMLAVVAVVLAAASAAGGAAAESREAAAKGRYHALFNFGDSLADAGNLIQNGTPEILATARLPYGQTYFGRATGRCSDGRLVIDHLAQEFGLPLLPPSKATNASFTYGANFAITGATALDTPYFVARGLGDVIWNSGALMTQIQWFRDLKPFFCNTTQECKKFFAKALFVVGEFGGNDYNAPLFAGKGIKAAYKFMPDVIQGISDGIEALIAEGAVDMIVPGVMPTGCFPVYLNMLEVPKGGYGERSGCVREFNTFSWVHNAHLKAMLEKLRAKHPNVRIIYGDYYTPVIQFMLQPEKFGESLNS >Dexi1B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:1B:662533:663478:1 gene:Dexi1B01G0000740 transcript:Dexi1B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQTRVSIALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELMTGRPPIHKSSTTRADESLVIWATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPDARPTMTEVVQILSTIAPVLHGAKRRNLPIAAAFNLTVSALPSQVGSGAARQP >Dexi3B01G0030490.1:cds pep primary_assembly:Fonio_CM05836:3B:29984785:29985267:-1 gene:Dexi3B01G0030490 transcript:Dexi3B01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVSSGTNTGSLLSSAALSSNRRSTALATNFICKNAISFPRHVLGPPWNTGNSNADTPSPASPPCAAAGDVTLSPGPTTVPSGSTSPSAACFESHATGGYSLIVSHSAAWSARILRDASSPTPATLPSPARS >Dexi8B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:8B:2433192:2433695:1 gene:Dexi8B01G0003300 transcript:Dexi8B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEELERSLALIDLSNTMQQSLAELRMSIQDLRLVLKRRDDVAVQLKIESFVRLAKKKAQKPLKKTTSKATAEGPSCRVVRLLAEARETAVSLLESTFQILPKQIGSPIASKWSLVSKKFQKTKVFCEERQLQALERSMGNLEDGVEFLFRRLIQSRVAILNILSS >Dexi1B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:1B:12411197:12413568:-1 gene:Dexi1B01G0011950 transcript:Dexi1B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFNLLANWQRDYTMENILTQLKKEMAASHNRKLVQPPEGTFF >Dexi8A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:8A:4652810:4653885:1 gene:Dexi8A01G0005210 transcript:Dexi8A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLQVPLMGQTITLLGPMDGSQPIKTDVQTHCSLPPKSERKGESNITRGFCFSQPRHLVESSPLTHRRRRSPFAAALAGRSAGAFDNRAGPAGLPE >Dexi3A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:3A:13738203:13741624:-1 gene:Dexi3A01G0018030 transcript:Dexi3A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAATRRLLASRARAFAAAKWTPPSSHYPRSAAAAPSRPRFPTPKEIRRGLDEFVVGQEKAKKVLSVAVHNHYKRIYNESSNKCSAKSLVHGAVGKGGGGDDEIELEKSNILLIGPTGTGKTLLAKTLARYVNVPFVIADATTITQAGYSGEDVESVIYKLLMAADFNVEAAERGIVYIDEVDKLTKKAECREDHRDVSGEGVQQALLKIFEGTVINVPRKRSRDDAPNGYVEVIGCTGQSYIFLKVLLYCTRNQHCPVGFGIPVCHEVRNCSWTTLQESCSIDAVENDDLIAYGLIPEFIGRLPITVGLANLSEEQLVQVLREPKNAIGKQYKKLFKMNNVKLHFTENALHLIAKKAAAKETGARGLRCIMEDILTEAMFEIPDAREGKDKIIAVIVDEESVGPLRHRGCGAKIFRDDGALEMYAYQNNIKLPGLIQRKPSRSRVFQLCLLVALSATKLWIYQTFPCFSSVYEWIVLMLCKANIFTQ >Dexi8B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:8B:26891695:26893459:-1 gene:Dexi8B01G0016020 transcript:Dexi8B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLQQSATASILVGNSAGRGGCRPCPRPASMAVAAKREPEPDDQKEDGEAEAELRRGPWTVDEDLTLINYIAEHGEGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSKRFKDAMRFLWMPRLAERAAVAHHHHQSSSSSPASSLPAAGDLTGCVEKMMMMTAMNDVSSNSDDRSPSSGLTTTTSSSSGGSFTSESNAVVPVAGEEWAAMQRQQQEEENEFWSTATALQQLTGGEDQLCVFQQDMQQDLISGWVQGFSDGVSPEVAQLWSLDDIWRMQ >Dexi6A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:6A:6036860:6043873:1 gene:Dexi6A01G0006300 transcript:Dexi6A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPPALPTTKQTHKQLVSQLQIASANTTAQGKAMATSYFLLAVFLALVSSQAIASDPSPLQDFCVVDKDSPVKVNGFVCKDPMRVNADDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLHKVHASASCCGLRLYKRIHSPASPKHQQNKHKQLVSQLQRLRGNTKAQQGKTMATSYFLLTVFLALASSQAIASDPSPLQDFCVADKDSPVKVNGFVCKDPTHVTADDFFKAAKLDEPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKGAIDWLQAHIIR >Dexi7B01G0022140.1:cds pep primary_assembly:Fonio_CM05836:7B:27106698:27107218:-1 gene:Dexi7B01G0022140 transcript:Dexi7B01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQLTCKAYEGVEQDTTLEDDYFFAALPVVQKRIAQGGVKLAAILNTIFSGNRMLQRS >Dexi9B01G0024490.1:cds pep primary_assembly:Fonio_CM05836:9B:20628316:20629874:-1 gene:Dexi9B01G0024490 transcript:Dexi9B01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRTRRATAVALPTGVDHEPLPAPGHRVLLSPFNVYWAALPPVRRVFLFAPPPPPANFADVASALRSSLAAVLPAFHPFAGELVYNPAEPRAVSVVCGDGAGVAFVEAETELDFARLVEEGAEHDVDALQQLVPDIRREELPAPVMATQVTEFIGAVGGIAVGVTIHHTAADGRGLWRFLEMWTAAAAAIAGFKTMPVAGEPASPLHDRRLVSFEGDEELARIFLRQVAPDLPRTVPKQDPSPGPKNQLSRRTFTLSSSVIQRLKQQLTPAPSTFVAVVAHGWVSIARASGFTDGAPVLAVFLADLRAHMSPRVPEAYVGNCLALCTVAMGGAELTGQDGPARACLAIREAVAEVRRDPLADRGRWFSKFAAIPRGRAVIMAGSPWFPAYGVDFGLGCPVRAELASMNHDGEMVLVAGREAGSVQASVALAPDKMPAFRKFFVIDT >DexiUA01G0006820.1:cds pep primary_assembly:Fonio_CM05836:UA:13096768:13098904:1 gene:DexiUA01G0006820 transcript:DexiUA01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWGLDLGGGWRCPKGLSSQLVAYDEEMVPWLEELAKTASAVFPNVLDQADPQPIVSRLRLPPVGLEQSPHPSYVRWGAVTNEAANSRISDADEAVAWQWNISRLEHQFLGSKSAEMSCDSDYCEALLSSIKKWHYLKFLTIHCGLGCSMEFLGSLSSPPRWLEKFKATTGRFACVPQWFHGLECLSFVQITVCKLEAHDLEILRDLYSLKCLVLGLDFIPKEAIVIKNEGFRALQRFSIECPVPWLTFESRAMPMLKYLQLDFHACPTSPASVPMGISNLCSLAEVALWYNVRYANSSSIKSTVKAVRDEVAECHSATATQMLRLLVNGIEQDDIQAVDEETQGATGPPTGTSAGVEDAVQEADEIMEA >Dexi3A01G0034410.1:cds pep primary_assembly:Fonio_CM05836:3A:39588353:39589651:1 gene:Dexi3A01G0034410 transcript:Dexi3A01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRSRRCHSTTRKSPLRPATRGELELRRLSEGDHRLQKAEPGAGHVEAELAGHALRREAQPRRGAAGEGAVVVGEGDGEAVEGRGQVVGEGAVYGVRRRDRRLVQVELGQVGPNAAPAAREHAGARGGAGAAEDDEDAVQKLIREAADEVLLAGAVAVVVVINHVRELAAFDGSAAYRQRRQRREQAGRPEPEVPFDTEEERDPPRPAATRGEELELRRLCSHGDHRLHQAKPGAGHVDAELAGHALHREAQPRRGAPGEGVVVGEGDGEAVEGRGQVAGEGAVYGVRRRDRRLVQVELGQVGPNAAPAAREHAGARGSAGAAEADEDAVQKLVREAADEVLLAGAVAVVVVLDHVRRRGKGIGSQ >Dexi8A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:8A:27750731:27758329:1 gene:Dexi8A01G0016340 transcript:Dexi8A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRLKSTIFDREEKKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDSSLPIKTDKDTLREGYRFILSEEDDMDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKHGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKREKEKEKEKEKEKEKEPSGEKEIELRDRDKRKREYAESDETSEEEAEKDTRKKKVHHVSTTSLALAWPDSTLYWSPTPKCAMSSHTTSRKAQPNISVLYLPHPSSALPPKSTTSGDRRQAVARSSQAVQRSAREQLAARKTMALTGEAPARSGSKPKAKTAATTGFS >Dexi9A01G0046200.1:cds pep primary_assembly:Fonio_CM05836:9A:49582708:49583091:1 gene:Dexi9A01G0046200 transcript:Dexi9A01G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANQQWKKAMGRQRRRDDVYIGGSGVALIRGSERLVPVPDLSWTPRRSDDRASDLRRCQTFPSLRRSGDRARAAEQFAYWASLRRGARPRAADEQNANRSERGESARVAIRVPMVPCERKGLELR >Dexi5A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:5A:2873171:2878709:-1 gene:Dexi5A01G0003750 transcript:Dexi5A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPGQFKVHSGGLAWKRQGGGKTIEIDKADVTSVTWMKVPRSYQLGVRIKDGLFYRFIGFREQDVSNLTNFIQKNMGVTPDEKQLSVSGHNWGGIDIDGNMLTFMVGSKQAFEVALPDVAQTQMQGKTDVLLELHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDETRPPAHILWETILKFADVGSSEEPVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVERDLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFINGKNMKIMNLGGDGPGTSGVVTDVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGDEESDASDSGGEKEKLSKKEASSSKPVQKRKPKNRDEDGSEKKKAKKKKDPNAPKRAMTPFMYFSMAERGNMKNSNPDLPTTEIAKKLGEMWQKMSSEEKQPYIQQAQVDKKRYEKESAVYRGQAPADVDSGNESD >Dexi1A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:1A:28149779:28150323:1 gene:Dexi1A01G0021370 transcript:Dexi1A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIALEGGCFVLSANQFCRRKDYPPPPEYEFAGLGEEPSADTVVCPGGSVIISPSGEVLAGPNYDGEALITADLDLAEIVRAKFDFDVVGHYARPEVLSLVVNDKPQLPVSFTSAAEKTPAAKSDSNAKSY >Dexi1B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:1B:3519676:3519942:-1 gene:Dexi1B01G0004370 transcript:Dexi1B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQCASLPRLAMQEFVGRERMELMAHGPGRRWWCRVVDVGAALKFGDMETTSACGCPSALCSRRAGVRAASPLALREENGRLEEEEE >Dexi8B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:8B:18496593:18497222:1 gene:Dexi8B01G0010050 transcript:Dexi8B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHQLLRPPPLPGPSLPFGHDHDVLAFSGEVLGVDILVPLEALAGNEHDDHLEAAGEDVQVVRCSHAAESCLPVCVRPGRRARQPMGRPSRAPPGSANVMAGVPACRRTGLPVRRRGRANVMAGVLMPSRAVEVVAGARGWSSSPSSSWPELMAAPPSEVVAVDLVARDHGQAAARREVREREGEEERHGRLGERSKRSHERAHGREQ >Dexi2B01G0024040.1:cds pep primary_assembly:Fonio_CM05836:2B:33521704:33532948:1 gene:Dexi2B01G0024040 transcript:Dexi2B01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELHRRDQDVGMLRREKEELKKKMDQLAKEKAELMQNNLQLSKEKKILVDEVMAGSLRQHELEEEMEEQNKTFKIHLDDLTRRKRRALAARNRAFEKLQTYREVTDEEIRELIAELQGLC >Dexi5A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:5A:10643317:10643816:-1 gene:Dexi5A01G0013860 transcript:Dexi5A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFAFAARDSVSKSAGFTCPRRLGQQLVDSFRRQEPHSPWTTWWGPARTSSIGTARSSAFTNRLRPAADPSLDPAYAAQLNATCPPSYQAVNNSPVAPNTLSNQYYRNAGCCILTSDAALLTRSERHGGEGEHERVGRLDVVVVDGAVQGRGW >Dexi7B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:7B:16517716:16520417:1 gene:Dexi7B01G0008760 transcript:Dexi7B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADAGNAELARKRSVQAAAPAPAMASPAGKVSTACPQIPEIPAAAAVRPVPALGLGTASFPFVEEDVRAAVLAALELGYRHLDTASLYRSERAVGEAVAEAARRGIVKSREEVFATTKLWCSQSHPDMVLPSLRESLQNLQMEYVDLYLVHWPVAAKPGEPQFPIKREDIMPLDLSGVWRAMEECHRLGLARMIGVSNFTTKNLQELLAIAEIPPAVNQVEMNPSWQQKKLIKFCKDNGIHVTAYSPLGGQSKSNAVLHSEVLEDISKATGKSVAQVRTIYLNESWWIYEQGASMVVKSLKRERLKENMEIFDWELSDEDRLKISQIPQHKRVRLTGIVSPEGASSVDLAELDIVEL >Dexi9A01G0044170.1:cds pep primary_assembly:Fonio_CM05836:9A:47791673:47792788:-1 gene:Dexi9A01G0044170 transcript:Dexi9A01G0044170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTRHAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNESWEMFEEMIQNSQDFYKENRCVDKSEGHDQSKPRKDEQQFVHMLNSTLTATERTICCILETYQREDGVEVPRALQPYMGGIDFLPFVQNL >Dexi5B01G0029200.1:cds pep primary_assembly:Fonio_CM05836:5B:30449913:30451021:1 gene:Dexi5B01G0029200 transcript:Dexi5B01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNREINHHINTHTQLVARLARCRRGRDRHYGVTELPSSGTWPPRLRYAPWCLRPPARTAGGASTRSRHGATCTGTTPGAPWASTRSSAAAAPGAATATTRQPAAAPAAWPPRSPAESAADPDGTCSRPSKTASREAREDTSERSPCCVAYKEAEASEWNGTCYSGVLRVVVVAAAVVRGWFRDAVTWRSGRGAVAAWGAEGVARPGGWGSRRLWRGCVGVAPPHADPVIPLLRFRCPRSSSSSLFGEQNRTGKPAQERPHPPVASLRRMEVACPEAN >Dexi2B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:2B:122459:123162:1 gene:Dexi2B01G0000260 transcript:Dexi2B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSAILVVAAMAALAVQLAAAADHPVGGTGSWDTSNGAGFYNKWASKTVFKLGDTLTFKYPAGHDVTEVGKPEYDACSGAKPIKTFSDGDTTVKLPTAGKRYFICSVPGHCAAGMKLEVTVVAAAATKPGGRHQRSVAPTPAPAPEPSSTETSTPAPAPKASDAASIMLGGNKQAVLVGLAAGVAMAALAM >Dexi9A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:9A:1979843:1982958:1 gene:Dexi9A01G0003750 transcript:Dexi9A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSCKLADPDSFVSSAAAAAARRVRPDTMSCGSDGCRDGGSSGSDEFRRPRPSKVAADDSVAPARNSDAAAPARSSDAAAPASWIDRKLLVDPKMLFVGDKIGEGAHGKVYKGKYGDQIVAIKVLNRGSTPEEKATLEAHNLLLTADRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFQKRPSLPEETPQELVFIVQSCWVEDPTMRPSFSQIIRMLETFLMTIPPPPSEPNEDEESEETRSSLSSRSSSGSSLVSRATSKLSVVRHLFASKKASNGKA >Dexi7A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:7A:25120028:25121954:1 gene:Dexi7A01G0015270 transcript:Dexi7A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTCDDGSELDARSQSDYADFDDDLVDRPPRGHRHHHRREPSSDVSSECSGEPGSPYYASSPHPRWPVSSLPARAPPPLLKRRITTRRAGVREGKGGDGDLQLIKERFSKLLLGEDMSGSGKGVSTSVAISNAITNLYATVFGSCHRLEPLPAEKKSMWRREMDCLLSVCDYIVEFFPSKEMLPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVTDKGQKDDDSVASPCRPVSQRGDGKWWLPVPCVTKPGLTENARRDLQQKRDCASQIHKAAMAINNGVLAEIRIPDMYKQALPKCGRASVGDLIYRHMSFPGKFSPEYLLDCLEISSEHEALEAADRVEAAMHVWRRKASQSHSRSPWSAIKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKVIAHTSNPQRSSICITMGTTSEVSYPNCDMNGSLQDVGQAILESYSRVLESLAFNILTCIDDVLFADEAARRIA >Dexi5A01G0025110.1:cds pep primary_assembly:Fonio_CM05836:5A:28963648:28966933:-1 gene:Dexi5A01G0025110 transcript:Dexi5A01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGSAHCSLSFPFPLHRLLGSARAWIPGWVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGSDCCVLVYDVNSNKSFDTLNTWHDEFLNQVSEKKAMEWCASKGNIPYFETSAKEDYNVDDAFLSVAKLALEHECDQDM >Dexi8B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:8B:24627621:24629708:-1 gene:Dexi8B01G0014200 transcript:Dexi8B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYESYSGTNVRLRYILKVTIGRNYVGNIVESRDFCVINYSPVPTINNSIKNKDKEHGA >Dexi5B01G0037830.1:cds pep primary_assembly:Fonio_CM05836:5B:37212204:37213528:-1 gene:Dexi5B01G0037830 transcript:Dexi5B01G0037830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEIKIGQVDDVQELHRTGLDTVPDRYIRDGDDRPGCGNVSDLAHIPVIDVGELPRCDELIKLRLACEEWGFFQVVNHGIEEELLDEMENLSREFFMLPLEEKEKYPMAPGGIQGYGHAFVFSEDQKLDWCNMLALGVEPSFIRQPKLWPTTPARFKETLEKYSMEIRSLCQRLLAHIAETLALAPGTFADMFGECVQAVRMNFYPPCPRPELVLGLSSHSDGSAVTVLQQDMSCAGLQVLKDGAWVTVHPIPHALVVNLGDSLEASAMVRVLTNGRYKSVEHRAVTNAERDRLSVVTFYAPAYDVELGPLPEFVSDEVPCRYRRFKHGEYSRHYVTSRLEGKKTLDFAKINQATTMAAPEETTCSCCT >Dexi9B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:9B:1119193:1119393:1 gene:Dexi9B01G0001940 transcript:Dexi9B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGACLRALVVVLAVSISLWGATAEVNVKETCKFTAHPNWCEKAMGKLVGPGGPAPAPASSSD >Dexi8A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:8A:11667787:11668362:-1 gene:Dexi8A01G0009100 transcript:Dexi8A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGPTDARPTTGILALFQRCRALVLSIRASVAHRRGRHQERWEGRKIEAQIEEDSKHKTNRNTNHCGFKANRVQIDADCRSYQEQRRREGKKEEGTSSANRRPSEQGRSAAAVRSVLRTGAPSDATRPAPERATTSTPASRVPQFCATLAPLPASTGLPDWLEGAARRGGRRRRGWRRRGRGGGLGEKEM >Dexi6B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:6B:20000885:20003338:-1 gene:Dexi6B01G0012380 transcript:Dexi6B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVVVILVLALPLLFLLTRAAWITVSCYCLTPMRIRRTLAGQGVHGPPPRLLLGNLRDVSALLAKATAADMASLSHDIVSRLLPHYVLWSKMYGKVFVYWYGSEPRVCVTDAGMVRELLSSRHAHVTGKSWMQRQGAKHFIGRGLIMANGATWSHQRHVVAPAFMADRLRGRVGHMVECARQAMRALRDAVARGGNEVEVGAHMARLAGDIIARTEFDTSYDTGKRIFPLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEQLLKESIQRSREIADEGRTPSSACGMGLLGMLLAEMEKKKKTNKSGGELGYDTQTIVDECKTFFFAGHETSALLLTWAIMLLATNPSWQDKARAEVASVCGASPPTADHLPKLTVLQMVINETLRLYPPATLLPRMAFEDITLGGGELRVPKGASVWIPVLAIHHDEAVWGPDAHEFRPERFAPGRPRPWAGRFLPFASGPRNCVGQAYAMVEAKLVLAMLLSSFRFGISDEYRHAPVTVLTLRRRHGVPVRLLPLTRQ >Dexi6A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:6A:18732591:18735304:1 gene:Dexi6A01G0012390 transcript:Dexi6A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVVAAHGGGAWSQWKQAYNGIVEAGSGRPLIVGSSVLQPAPSLQLHCQDVTSEGSEKWNFKLASSKETQPFEVASSKGSQDDRNPGNNLYVTGLSTRVTEDDLEKFFSKEGKVKHCHVVLDPRTKESRGFAFVTMDTVDDARRCIKYLHRTVLEGRLVTVEKVRY >Dexi9A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:9A:7039417:7043688:-1 gene:Dexi9A01G0011280 transcript:Dexi9A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPEMLDALAGWFAQSLSPDAAARRAAEQSISSAAASPGFALALLGLSASPRHDLQARLAASVHFKNLLRRRWPKPDADADDAADHLPASDCAIIKTHLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTAVNAGDVAATNSLLAAAASLYSRFRNAFDNNALRLDLKYCLEIFAAPLLEVFLFASRRLQAASTTATPLELRPVFECLRLCCEIFYSLNSIDLPEFFEDNMRQWMTEFRTFLTTSYPPPVEADGAPDALRAAVCDDLQLYMEKYEEEFRGYLKEFVEAVWGLLMAQTASPSRAQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRVRDEDEELFEGNWVEYVRRDSEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLSAYAADRANNWKEKDAAIYLVIALMQKPGATGGGTSVVDMESFFTSVIVPELQAPDWQSEPMLKATVLRFLKEFRDQIPKATALALLPGVVRFLTHESNVVHSYAATFIENLLIIKDAVPVPGVTTVTRSPRYVAADINPFAPQIIQNLSTALSYPDSYENPYLMKCLMRVLGIANIGGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALSAVIGRTGEQDPALLPAFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNTTWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPSILAISRSLLSRSSTEDSAFYMLNTLVENVGLDIMNPYISEIWSALFTRLQTRQAVKFVNSLVVFMSLVLVKYGSGVLVSSIDTIQPNLFTQILNRFWIPNVKLIRGALEVKLTAVSSTKLLCESAVLLDAAAAQWWGKLLDSIVTLLSRTNQDGAPQEQNDGSDVVDIQKTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPIIEQHVDPANKSVLLQLCAAYNATIV >Dexi9A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:9A:9559435:9559758:-1 gene:Dexi9A01G0014460 transcript:Dexi9A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKSRKSSGAGSRSPGRCAGGDEEAEKVPRGHVPMLAGGGGGEDGGERVLVPVRLLSDPCIAELLEMAAQRYGYCQPGVLRVPCDAGQFRRVVDGAMQRCRIITSA >Dexi2A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:2A:9849984:9850556:-1 gene:Dexi2A01G0009350 transcript:Dexi2A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYHNTQILLPVLHHYHWSVYCINFAQSRIDVLDSMDYDSNNYHSWDMFHSDMGAKIMNRLSDALSEAAPHKFKSFKNWRHVQVKVPIHKNPSDSLFFAMKFLEYYDGEGHGSLKTNLDTAGSKELRAEMLYYITFHSENNVATLPDDLIQFRQTDLQPFFY >Dexi3A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:3A:1029617:1030111:-1 gene:Dexi3A01G0001520 transcript:Dexi3A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVSIRVVGVADSSSLLVAEDVHSSGLDDALLTNLCAAKSAGSPLAVSAIPEP >Dexi1B01G0024780.1:cds pep primary_assembly:Fonio_CM05836:1B:29979981:29980294:-1 gene:Dexi1B01G0024780 transcript:Dexi1B01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISQPQGTPAVASRCAGADADAAEARMGRAVTAGSAQRRPELRVERGGGGGGHGGNAKLAWSVCGCWAGLIRLRLAWLIPPWVVVG >Dexi9B01G0042540.1:cds pep primary_assembly:Fonio_CM05836:9B:42778491:42781761:1 gene:Dexi9B01G0042540 transcript:Dexi9B01G0042540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLARAVSLLAAAVLLLAGAAQGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFPSLRNLYINNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVHIPRLIYLYLDNNNFIGRIPEGLYKHPFLKELYIEGNHFRPGSRSKGTHKVLELPDADILV >Dexi8B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:8B:3553806:3558385:-1 gene:Dexi8B01G0004070 transcript:Dexi8B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRTALGGTAAGSPPPELQVTRENVGPLQHAAHARREVRSRRCRSALRSRPASLLLRLLRFLLWYFSSQAYLASCYCCSGVHTGRLARAGHRKASMVVFETAAIGAARSIVGKALRPLLDGFVESWAATSKLGPTISALKMELLYAHGMLSNARGVDMSNESLEELLLRLQDLAYGASDALDELDYFRIQDLVDETYETADRGCFPDFIRDTRHTTKAAVSKLSSCLSSCCGNNYKVEDSDDDDDLAAEEKGAPCGVLACCCFRGRRHAGGGADCERVKKDGNNANDKEDSEDDDELEVKNHGPAPGHQLAAKAGVADSEVHDQRVNGCVGKLVSGATNAVCTVSKNLPLCCSTAPDRNNKAEDGNAYAGHYKNGDDKDYEDGNVDGADCERVKKDGNASDKEDSKDDNELEVKIHGPAPQGRQLAAKTGGADGEVHDQMVNRCISKLVSSATNSVRTVSKRLPLCCSTAPDGNNDAEDGIAGQYESDDDEDYEHLLQTLGWSACSEPPSEEDGNAVSQALVSQWPWKKLVYGACSGGKLPWYKKRPMKTPKLELNRVDLSKRMKDIVDELQPLCAKVATILNLELLGSNRNTIKPSMAGRLKTAPLTEDPQLYGRDDEKAFILEYLENCAEKELVVVPIVGQGGIGKTTFAQHVYEKAKAESWFEATIWICVSASYNVNHLIQEIKDITPAVEG >Dexi9A01G0045350.1:cds pep primary_assembly:Fonio_CM05836:9A:48918378:48922911:-1 gene:Dexi9A01G0045350 transcript:Dexi9A01G0045350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGWGSLFGCFGSTSHGGSKSSRKKTKKKKKGNKQQQKVAAGGSSGSSGRPRLQSRLSFTELSGMVSPEDLSLSLVGSNLHVFTIAELRAITRDFSMTNFIGEGGFGPVYKGYVDDKTKPGLRAQPVAVKLLDLEGGQGHTEWLTEVIFLGQLRHPNLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGTYTQHNNTCSHLTAKSDVYGFGVVLLELLSGRRSVDKSRPPREQSLVEWARPYLTDARRLDRVMDPNLAGQYSGRAAHKAAAVAHQCVSLNPKSRPHMSAVVEALEPLLALDDCLVGPFVYVALPEEDKDGDAGNKGGSSGRRGRRRSRDGAAAAVVRPET >Dexi3A01G0028640.1:cds pep primary_assembly:Fonio_CM05836:3A:29501743:29502393:1 gene:Dexi3A01G0028640 transcript:Dexi3A01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQRGRRRHIPIPVFGEWNQLYCEELPMTQYFESAMQAGLVRPGYHCCYHDGAGGEPVLSRSPSGSPPPHKPAKKVRSAMMEERREGHQQAAHAVSRRRQQGALVVADGGARAPRRPRAVVRSVDEDLYKVHPDLLPKKGKGVCR >Dexi4A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:4A:4850942:4852960:1 gene:Dexi4A01G0006750 transcript:Dexi4A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGAVRSLLDRLRPPPRGWKKNPSPAMPPPPSAAVNRGAWLCRFSHSVAHSEGRPGPMLPGKMGFLDLAGGRRFAPSGALSLKGCLGWQDDGGGGGFRRRVDGEAAGIKAQVLTRQRQLTRDPEVLPLEEVAASAKSVNANGACRRGKPLGFPEQAVASKMVVAVDVDEVLGSFLAALNKFIADRYSWNHTVSEYHVYEFFRIWNCSRERANYLVHEFFTTHYFQDGIQPIPGARDALQNLSSFCSLSIVTSRQDAIKSHTLEWIEKYYPGLFEQIHFGNHFALEGQSRPKSEICRSFGAQVLIDDNPRYAMECANDGMRVLLFDYDNSYPWCKTGVDESHPLVTKVHNWEEVEEKLLSWVAPES >Dexi9B01G0029840.1:cds pep primary_assembly:Fonio_CM05836:9B:32224245:32229576:1 gene:Dexi9B01G0029840 transcript:Dexi9B01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGDHGGYPPHYPPYHPPYPQPQQYPYGYQYPPPPSSAAPPYLTPSPSFPGYAAPPPPQPPQQYHSGPLQAYPPPPGQHQAYPPPGQHQAYPPPGHPTPYAQGYDPYPSSSSPYPSSGYPSTNPSPALSPSSSFHYQHASSAPEPPSPAPSAPSYPIEDILATMRLSERYDYSPSPSLPPPSTPLSGSHGGGMQMVPYGAPAGGSQHGGGMQMVPYGAAAGGSQHGGSFRASLKVVLLHGTLDIWVHDARHLPNKDMFSKRVGDLLGPRITGAVGAKMSSANMTSDPYVTIQVSYATVARTYVIPNCENPVWSQNFIVPVGHEAAEVQFVVKDSDVFGAQIIGAVAIPAEKLLTGERIQGVYPVLEPNGKPCAPGAVLNLSIQFIPVARLETYHHGVIAGPDSHGVPHTYFPLRRGMKVTLYQDAHVPDGCLPDIWLGNGMRYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLVRDGTEAPSLGDLLKMKSQEGVRVLLLVWDDPTSRSILGYKTAVDARGPREPWHDLHSKLDGPAAYDVLQNFQERWLKAAKRHGIKKLARSYDDALLSTERIPEIINISDAAYFSDNDPETWHVQVFRSIDSNSAKGFPKDPRAATMKNLVCGKNVLIDMSIHMAYVHAIRAAQHYIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGNPTGAATQRILYWQNKTMQMMYETIYRALKEAGLDDVYEPQDYLNFFCLGNREVNDSTSTSNAAHAANNPQEQARKNRRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKVSAPRGQIYGYRMSLWAEHIGGIEEDFNYPESLECVRRVRHHGEENWKQFIADEVTEMRGHLMKYPVSIDRKGKVKPLPGCTTFPDLGGNICGSFTAIQENLTI >DexiUA01G0016780.1:cds pep primary_assembly:Fonio_CM05836:UA:35863379:35863681:-1 gene:DexiUA01G0016780 transcript:DexiUA01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEAVAAAAGWPAETDEEARPLKVVFASPATYFTDAAPIGNGRLGAMVWGGVESERLQLNQMR >Dexi9A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:9A:1533093:1534661:-1 gene:Dexi9A01G0002850 transcript:Dexi9A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPAPAMAAETNGASPVGGEPRRRHVLLFPVPYQGHINPMFQLAGVLHAHGFAITVFHTHFNAPDPARRHPKYRFVPVPDGMSGVPAPVAIEDVVAHIISIGAACQAAFQDRLAAVLDEYSRDAGVAFLVADAHLLEVFQVASKLAVPTLALRTGSAISFACFAAYPMLCEKGYLPLDMTVEELPPYRVRDLMHVGKDGHESMSKMMARAVEAVNLSSGLVLNTFDALERRELDGLRRDLAVPVFDVGPLHKLSPAAGDNSSSLLCQDRSCLEWLDAWPPASVLYVSFGSLACMTRQDMVETAWGIAGSGVPFIWVVRPGLVRGCAHDADQLPEGFEAATRQRGMVVTWAPQEEVLRHRGVGGFWTHNGWNSTMESVCEGVPMLSRPIFGDQMGNARYVEHVWRIGFEVDGELERGKVEAAIRRLMTDRDGAEMRARAGELKQAALECTGKGGTSCLAVDKMVSHMMSLCK >Dexi1A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:1A:10550484:10552336:-1 gene:Dexi1A01G0011520 transcript:Dexi1A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDIAVGDITIRYNRSIVIWLLELLGNNKHVHGPIPQQALQKGSPIIGDISQAILNITGGDTIIQIEKKWIGDENNCQNVGTISGTGSLTVDSFAGLIIATGVASTTSPIVALIIYFCKTKQVGPQNGDSEQILPQEENKDGGDEESQCQEAAGARGKHEINKIMCNGSLVIAE >Dexi5B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:5B:17398724:17405080:1 gene:Dexi5B01G0016760 transcript:Dexi5B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKDGVSSFNEFIHELSVDSDTESVADSVTGDDENEEFDLHLAIEIFIESVFDIVHKGTHYVLSPSEVWQKLFCWIHGSGRDSSPVVHVPTANVGSDDPVPTERKTVYRHSLNTDSRTCEDVITELGYPYEAIKVVTSDGYVLLLERIPRRDSRKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKNISSYKYWKYSVNEHGTKDMPAIIEEIHKVKASELGKCLPLSGKETEDQNDKIKNLEVQASQEDSAEDQPYKLCAVCHSLGGAVMLMYVVTSRISQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILLVGPVLAPLIPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKKFQMYDYGSPAANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKSGVEVSYNEFEYAHLDFTFSHREELLSHVMSHLLLVSDPGKGRIKQTSMRLRKPKKIQSEIEESMECRAKEESDELAGRTA >Dexi8A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:8A:17357027:17357835:1 gene:Dexi8A01G0010370 transcript:Dexi8A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLVLPNFRGAAFIYDKFVREQLRKHGLTAGGSSKIKKDDKSPSSSPKDKPKSKFLAFVTPKKDHEAY >Dexi7B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:7B:15667366:15668266:-1 gene:Dexi7B01G0007850 transcript:Dexi7B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTSKNMNVDFFRMNPSAKLPVFQNSAHVIYRAIEIIQYIDRLAVHLSGEIPPVNTEVHQWMQKVDAWNPKMFTLTHTPVKYRVFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKVKDPEIMKQSEEELRKLLDDVEAQLGKTKYLAGDEFSPADSMFIPILARITLLDLEEEYISCRPKIQEYYNLVKHRPSYKIAIGKYFNGWKKYRTLFKTSFFLCVRTLFRRY >Dexi5B01G0024790.1:cds pep primary_assembly:Fonio_CM05836:5B:26805116:26805743:-1 gene:Dexi5B01G0024790 transcript:Dexi5B01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPSDKDGHGTHVASTAAGSEVRNIGIGMFARGTARGVAPKARIATYMVASDTPITDVVAAVDAAVKDGVDIISLSIGFYDLSPFHNDSFAIAVFGADRKGVFVVLAGVNTGPAASSVINVAPWMTTVGAGTVDRLFPVGLKLGDGTVITGQSLYNKTTKSTMTPLLSVSCSQPLTPDKIRGRMPAKWRFAMLEELGRS >Dexi8A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:8A:5042411:5046166:1 gene:Dexi8A01G0005480 transcript:Dexi8A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTDVAYFSYVQYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLKNVDKGPICTIELDAQKVQQFSDAIENSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRGLVFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRSIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGAVGYLGSTLFVRRIYRNIKCD >Dexi7B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:7B:24527103:24529772:-1 gene:Dexi7B01G0018910 transcript:Dexi7B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKAPIAAAVLCLFFVRAQCNHSPEQEQEIQMLKSKVASLEDEISRRKEETSQLESVVRERTAQMVALVGELELLQKVNVADDESVIKANTNVDMLEEQIDRLGNDLEDQVRKGESLEAQATEAEKRLHEFSRKLDHAESINVEQRKKIRDLDDKLQDAQDKISELENEAKLRAEELAMVSISELFMARGFHIGLQYVSFAEVASAKWQVHGKPVFDPLVQKAAGKWTYAQQLVEPRLQTAHNKWVPVAKEHLQFLRNTTTLYASAVSKRSSAVYRVCRDATQPYTVKAGEFAVHCWQEWKTFTQPYVSQIVAASEPHQSRATMVIQPYMKPVTSGWRRFVSLTSEYHHKVNSL >Dexi3B01G0035590.1:cds pep primary_assembly:Fonio_CM05836:3B:38641855:38644979:1 gene:Dexi3B01G0035590 transcript:Dexi3B01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding DCGRGDRMKKSHTVCKECIAHHYWHHMDGRKRFVKFMVGDFKNGVTIPRKFVANIRYHISEEVKLEAPDGKTHTVQVAVEQNELVLRSGWADFATAYDLKVGDLLVFRNNEHAPSSESIQEPVNSGGFQKPTKSCIVLPTGCNLTSEQRAKIVALEQKIQPKIPFYITAMHRKSVASGILDMSLQQKTKSPRHGVPNPPYMLPRYTALNDQQKTEVEKKVEAIQSKIPIYVAIMNNTNTNSGPCLFEFGLDYATKYLPKENQTMRLRRQGPSKEDRWEAIFRVKNRRYSLGQGWGKFVVDNKLKTGDICLFSLMSNTMALTMNVHIVRKRSV >Dexi9A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:9A:6273544:6278435:1 gene:Dexi9A01G0010350 transcript:Dexi9A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTAISRLGARLRPRPRPDPRAHHPSPASPHLRALSTRRGKRSSPTPSPADMDDEGPLRGLFVLPRDPECPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYEGEFGAKGAPPHLVVQNPASRGRSHAGISYLSLHFQCLARVLQGRCFEWHIEILQELHAIQFVMPDTYFGPGTVDNVKCYLRASDSEVAWGKPVLDRVGLIIEIFHAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGLSGEAEVVSARGRGSGGRGFMSGAGETELQLQRRRIQERRVSLLAQIEDVRRTRAIQRSSRKRHGGSYGQELVTVAIVGYTNAGKSTLVSALSEADLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPIQLVEAFHATLEEVVEADMLVHVLDSSAPNLEEHRSTVLQVLQQIGVSQEKITNMIEVWNKIDLVDENAASDGVEDEIFLTEGEEQDDIFSEDDVPSEQSSFDSLDNGAESEYLSEENFEDNKDEVVSKESSAEPSEMKAMNPELSPKECFGELRVPDANGCTLTQQVPTCHVKTSAVTGIGLQELLALIDRKLTEQQNIVQRSYGPFDRKWRPSCSMDGEKAAEQ >Dexi3B01G0033040.1:cds pep primary_assembly:Fonio_CM05836:3B:35413317:35423002:1 gene:Dexi3B01G0033040 transcript:Dexi3B01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDAGHRAAADMDGSAASALPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYAAFISASEALSFVRAQLEGFDSHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVVQGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFGESELRLQFLRCREAWLSGILEDLDQRNVYDYLKGMVTCHRVHLFDVVNQYRAIFNNDKSGNEENYDGGLLFSWAMQQVSNHLTTLQVMLPNISEGGSLSNILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKDVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESALFLSLCQAFIEVVYPYCAACFGRCYPNGATLITECQSTFNAVSQLLTVPARSNSSIIDRKQSGGIERRQSGGIERRQSGGIERKQSGNIERRQSGGIERKQSIESPGSTATDNGLQADGPGPELVIKAVGPSSADSPFPLWTNKTREKSGGRLLPPLPIALFYREPPECHRYLHPRHPHAPTPSPSPPLPPPPGMGGGHFPGDKDAAEVEAFCVGEVDLRDVGKMEHGCEHYRRRCKIVAPCCKQVFPCRHCHNEATVSQVCVSCGVNMGEYFCDVCKFYDDDTEKGQYHCHDCGICRYTCPICSKTALDMTYHWEMLDREVEATTMPPVYRYKIWVLCNDCNKVSEVNFHVVGHKCSHCNSYNTRSTSRPADSSGSSSPDSSENNL >Dexi5A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:5A:14012486:14014476:1 gene:Dexi5A01G0015990 transcript:Dexi5A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGSAALLVCLEEVGAEYEVIDVDLHAKEHKGPEHLPRNVAIGESVGKPRKVLGVYEARLGKRRYLAGDAISLADLRHFPHTHYVMGMSYVAVFDELPGVRAWWQDLMAHLAVRKVTTMMDGCSG >DexiUA01G0013220.1:cds pep primary_assembly:Fonio_CM05836:UA:27393927:27394704:1 gene:DexiUA01G0013220 transcript:DexiUA01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADSSLARFLVTSLKRAARLRCGEQLHALAAKSGLLVSNPFVRNSVLAFYSRLPSSPGSAQQLFDETPRPLRDAAARNILLAALARGGQLDRAQRFLEEMPHGYRDAVSYTTVVTALARAGAGHAGRAVDVFRRMLAGHVVPNEVTLAGVVTAFACHGAPATVGVAHGVALRRGLDVFVIVATNLVHAYAGVSELCSARAVFDQMLDRNTVTWNAMLNGYVKAGVMEMAAEVFWRIPEKDEIS >Dexi8B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:8B:7476929:7476955:-1 gene:Dexi8B01G0006410 transcript:Dexi8B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHACHR >Dexi6A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:6A:28739721:28748141:-1 gene:Dexi6A01G0021520 transcript:Dexi6A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCIVCRKRIVAINYYCVWCKTLPSQCLPSNFPPFWQHQSLPSCSSKRTSSVKNCFSYRANSRKEGSRWIRSEPFLSVNSAKFVGKRTSCSVATEPPPAATQEPEMDAPKEIFLKDYKKPDYLFDKVDLQFQLGEEKTIVTSKIVVSPGVEGISAPLVLHGSDLKLLSIKVNGTELKGEEYAVDSRHLTISTPPAGVFILEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCSGRNVTLRIWTPAQDLPKTAHAMYSLKAAMQWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQVFLIFTLMLGLWHILFAPIPISRWTTSIPVSFFVYKVYEKGAEVVRMYKTMFGASGFRKLLNVCYCYVNLIYLPICLLLQGMDLYFQRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTVKATSSYDPSSQTFSLKLSQEVPPTPGQPVKEPMFIPIAVGLVDCTGKDMPLTSVYSDGTLQTLSSDGRPVFTTVLQFKKLWCLFFIHLTRRFFLILEHASIVQKEEEFVFKNVPERPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFQEQKALVLNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRNFTKKELALQLKDDLLAAVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDVTELALHEYKTATNMTEQFAALAALSQNPGQDRDDALLDFYNKWQDEYLVVSKWFALQATSDIPGNVVNVQKLLSHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIVSANGLSENVYEIASKSLAD >Dexi1B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:1B:6196476:6201201:-1 gene:Dexi1B01G0007470 transcript:Dexi1B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRMANEATTLGGVRWLQMQSASDLDLRSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVEGGEPLPEGLLWLLLTGKVPTKEQVNSLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLSKAKFWEPTYEDVLNLIARLPPVASYVYRSDHEGGNVSAHTGHLEVLLWIKSVIEETGSGVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >Dexi6A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:6A:13396003:13397410:-1 gene:Dexi6A01G0010760 transcript:Dexi6A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMLKAERDEIDRMIERERVRMDAEKMQLRVEMGRKLHQDSNNVDSKVPLEEQSVGMKFRVHVGGTFSINPNRYVGGRTICMAFAKIEWDLMVEKLSNHGYNGIADLYYLDPAREALDIDGLVLMEGPEQVHQLLQDHEGRHICDLYIVKYSAISSDDYDESDSDDGSYKYDGSAEKEDDVHNLFDIEELKAFIPADPALVTTKKGHMHENQLKSGGSTVVSSEKGALQTKHRFMGSQDFDKEGPLQPKRQQTIEHDGNPVTGGGRDGD >Dexi9B01G0027890.1:cds pep primary_assembly:Fonio_CM05836:9B:30431330:30433075:1 gene:Dexi9B01G0027890 transcript:Dexi9B01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTTKEKLLLFHKLESDLFHRLVHDLAQDPATMRWVIALWLWLESAGHHDFIRRVAALPGPVVLRFVEEAVACLRRLANNPGQGAMAGTNNGGDTHLPCTNAFLAEPIDDVGYFQGRREVLDGVSYKYRSVCLAVCNVGSSTTTAMEPSNMAGVKTAPPMVRSPVCGAQRVEPLALNSEATYFSLNPEATPWIPMQSPLPDDHRSLFITFSKGHPISKEDIVEFFDLMFGPCVETVMVEKVAPGETPVYGRMILRSAAMIPVVLDGEQTAKFLIKGRHLWARIYVPSSSLSGDA >Dexi7A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:7A:8708504:8709928:1 gene:Dexi7A01G0002180 transcript:Dexi7A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHISMGQEQDAKVIVNSLHPGGVVTNIARYWGFLDGLLSTLGKFVLKGVEQGSATVCYLALHPQVAGVTGNYFVDCNTVQLKSHARTRSWQEGSGISV >Dexi2A01G0028980.1:cds pep primary_assembly:Fonio_CM05836:2A:40099335:40100430:1 gene:Dexi2A01G0028980 transcript:Dexi2A01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKKVDISTYQSNLALVATEIPQNASSSASLFAKGHAGATPDMQLCAYSKDATIYYDLCYLRFSNWDFLAGDENDERYLPKVENVSAPAAAFDAAVGALLNATAERAAEDASRRFATGEEASGGSVPAIYALAQCTPDMSPAGCRSCLANVIQMAHRFFSGSPTGRFIGVRCNYQYGLYQFFSGAPLLHLPAPASPPVAPPPAQMLAKSTPPATAGGGVR >Dexi1A01G0022990.1:cds pep primary_assembly:Fonio_CM05836:1A:29604769:29607278:-1 gene:Dexi1A01G0022990 transcript:Dexi1A01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHASGSVHLQYFMPQKHQPQEATDLVQADYYSSSSSAACRRSSSSSTSPASSSSAMWEYQQAAAHAASSFPSWSSYGGATTAAALLGAGSAFAAADAASSSPNLRLPSAAGEYGHGHAWSQHSEQNNRTGYRENFLDLLASKNVTQEMFEDVPAGHHYAAAHQTLSGVRLGGSDVAPIKYEATGGSPLFFGSTTTPPGIHHQGMDMVGCRTPCYSYGDHHQIKEGSNQQQELAAPAMSPFLQQLSSNNASVGMHASLDYSGIGLDKICQEGGATEGSSSFGMRSLLPDLSSFSGYRSSNAESPSSVQPYLRSSNLSDSTKQEQDIVPARSSSSGSGAASDRKKRPSDERTSTGKKSKQEGSKASPPKQQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNSSRNKGNLPWAVDHHAEGSKQLGDQAEHDLRDRGLCLVPVSWTPEVYRDGTAMDYWTPAYRGGLYR >Dexi2B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:2B:26706734:26709040:1 gene:Dexi2B01G0016510 transcript:Dexi2B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASSVDNRTRSTVSICIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHHSKAGSSSSALVSPVKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIADLLCWEKVSEKGEMAIWRKRLNTESCPSRQDESTVQMCESTNPDNVWYKKMKACVTPLPDVKDESEVAGGAIKPFPGRLNAVPPRIANGLIPGVSTQTFQKDNKMWKKHVKAYSTVNKCSMEDILLEMDRILRPEGAVILRDDFDVLTKVNNLAQGMRWDTKLVDHEDGPLVREKILYAVKQYWVGGNQTALA >Dexi1A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:1A:23872510:23874782:-1 gene:Dexi1A01G0016630 transcript:Dexi1A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLLPLVLLFALLPPSCVGSGAGGGEPAEFEIPRDGTVVELDESNFDAAVRAVDYLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIIIAKVNADKYRKLGSKYEVDGFPTLMLFDHGVPSEYMGPRKADLLIENLKKFVAPDVSVLDSDSSIKGFVEAAGVNFPFFIGFGVDESLIAEYGAKYKKKAWFSTAKDFSEDMMVVYDFDKFPALVSVNPKYNEQGVFYGPFEGIFLEDFIRQSLLPLTVPINKDTVKLLKDDGRKVVLTILEDELDENSPQLIKVLRSAANANHDLVFGYVGVNQWEEFTETFDVKISQLPKILIWDTKEEYEVVEGSESLEEGDYGSQVSRFLEGYRSASTIKKKVGRGSPTLLGLNAIYILIFLVVILVALMYFSGQGEEEHRPRRAHED >Dexi5B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:5B:2968764:2970285:-1 gene:Dexi5B01G0004400 transcript:Dexi5B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDAVEEVVMRIEQDLWVAMGRGNSAAAAVMRIEQDLWVAMGQGNTEAAAAAVDVLVCMVAVGAEWGKVGNVERARVAVGGEQAREEAVNAAVVIVGEMAAVVTVTVAVEMAAEGKAAVMAAVVTVTVAVEMAAEGKAAVVMEEEETAMVEVAMVEAAREAVVMVELEAEAVVVEEDGVERPVEEARRHGALEVVESEVEEAERGEVEDDVGERADEAVVAEVELVEEAEAAERVREDAAEAVGVEVEQCEVGEEAELRREEPRDVAVV >Dexi9A01G0037860.1:cds pep primary_assembly:Fonio_CM05836:9A:42116389:42122719:-1 gene:Dexi9A01G0037860 transcript:Dexi9A01G0037860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTILHRACLRLALLPLPPLRAHATALRPPPPPRRHLHLPRRSAMSSVASRLSHIAAATGAAGESSEPPPAGSSAAREDDGLSSGDMGYRLPPKEIQDIVDALPLPALSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGLRIDANSNTRSRMSFYTGIGIHNLLDDGTLGPEKEVHGYPVGARINFVTWSQDGRHISFTVRVDEEDNKSGKLRVWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPATRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEYDAALFDYYATSQLVLASLDGTVKPIGPPAVYTSIDPSPDDKYLMVSTIHRPYSYIVPCGRFPKKVELWTVDGKFIRELCDLPLAEDIPITMSSVRKGKRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPINGESPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVLSPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTDMGTYVIAKINKQDGSTYVLLNGMGATPEGNVPFLDLFDINTGSKERIWESDKEKYYETVVALMSDKTDGELSLDRLKILTSKESKTENTQYYLQTWPEKKQVKITNFPHPYPQLASLYKEMIRYQRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEDDEEANDRYVEQLVASAEAAVEEVVKRGVAHPDKIAVGGHSYGAFMTANLLAHASHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQNYCVNAANKADSDSVADSENKTLSASGSSAAREDLSPEESSYLPRSLLW >Dexi2A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:2A:2602967:2609049:-1 gene:Dexi2A01G0003050 transcript:Dexi2A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKWSGGAWSWSWSWSGSSHQSITRRGTHTGLACLLSLLSSVLDRPDPTRPPPTPSAAAMREEVRSSSAAPPDPPQARSASPPPTPVARYAASLPREASPSAAATRLVLPPADGSGARRPRGAPGSADFGFPRPIPSSFLSLSLSRCSSAGASSPPAQNNVASIDWLGSDQVSKAGSSHVAPPATQPALSTNADGTAADFFQSSCRPWERGDLLRRLATFKHSTWASKPKAASSLACAQRGWVNIDVDKIECESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHENDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFASLPLIASSAIESMKLTRSVQMDHVLSQSVTILSGELGYRTDSTTGIDFSHQDETCCYSQAQKLISICGWEPRWLPNVQDWEENSTRSARNAGSAEREGQFHSQFPEHHQSSYSASVKKEKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFKSVPRPSHFSLNNVDMPDTARKPVLTRGISATSGINGLVAEGAEKENAEGRDEAGTDEHKSVSNAQVDLNLTMAGGLPSNHSALPPMPGHSNYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPVSRLQPADSIEGTVIDRDGDEVDDAAQDSGARSKKPRGFNLFDINRPSSSGAGPSRNLSFDLDIDVNRFDTSNAEGPSALHNPFPKDSMRASSVIAMDTVHSGEENSMESVEYHPCDGDDVNKPSSALRSGGMSEALDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPASARDQLSLGVSGGSVGMGASHEAEIHGADISEHKTGSVVGDADPIPEVTETMGHTGESAPGPVLMMDEFAPEEVGREDPHGDSQDMASRLAVRADSGSKICGSTKADSVDSGEKMSHAVGHENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDPGNGLGTCTSNSSLTTALAGWQLTVDAIETLQSLGQAQNQTMQSDSAASLYKDDHATPSRKLLKRANHSKS >Dexi7A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:7A:21391050:21395197:-1 gene:Dexi7A01G0010660 transcript:Dexi7A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSLKSLNAFPHAEEHLLKKTYSGAVVTILGLIIMFTLFVHELQFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKGHGAHHDHDHGHEHHDEQKKHEHTFDEDAEKMVKSVKQALESGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHVIHDLSFGPKYPGIHNPLDETSRILHDTSGTFKYYIKVVPTEYRYLSKKVLPTNQFSVTEYFLPIRPTERAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSVLR >Dexi5B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:5B:11674518:11675759:-1 gene:Dexi5B01G0014810 transcript:Dexi5B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTESVEAATAGRRFDYDVIVVGAGIMGSCAAHAAASRGARVLLLDRFDLLHHLGSSHGESRTIRDAYPKPFYPPMVRLARRLWADAEAESGYRVLTPAPQLSIGPRTSPSLLASIKSSGAEEVDLAQRWGGAFRVPDGDGWVAAVSEHGGGVLNATKAVAMFQALAARHGAVLRDNSEVLSVEKGPEGGVAVATSTAGELFHAAKCVLTVGAWTSKLLRSVAGVELPIQPLHTMVLYWRAKPGRERDLAADSGFPTFSSYGDPHVYGTPSLELPGLIKINYDGGPRCDPDGRDWASGGGDVAGRVARWIEEFMPDHVETAGGPVVRQPCMYSMTPDKDFVIDFLGGEFGEDVVVGAGFSGHGFKMGPAVGRILAELAIDGNASTAAEAGVELGHFRISRFEGNPMGNAKN >Dexi9A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:9A:8476072:8476401:1 gene:Dexi9A01G0013230 transcript:Dexi9A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYALLFCFQHQTAIPGRHRGVEKADAAAAGGDQRTCEEAGRARTLPPAASIAGDGSTRGQGKGMPDAAVYGSRRGDRRARVAEGPERDHCEPATRRKWTASPAQPNP >Dexi9B01G0042500.1:cds pep primary_assembly:Fonio_CM05836:9B:42719071:42720862:-1 gene:Dexi9B01G0042500 transcript:Dexi9B01G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQENSEPFVRHHSEQLACCNMRGADNGRPNFLPEKCQIAVCQRDEKIGLFDEEVVHGVLANATVQSPMVASGVKEAPTVDHNKRPASSDMTDIVECKRQKQEDQIIPKDNYTSADDVVPRYPTFTSYERGFNSQIYESEESEDEGVDSPVHFSLAHTYVEDDLWPASFHQPVGPCPTRKPVPIGPNHQAELSECRPFCEKTEDDESNKWIRNIVMPMPGTRALSLMLSPVHCKASCDCLDEDSIDCVRKRVREAREKLKISIGADTFRELGFYDMGEEVASRWTEEEEHLFQEVVSSNPASLRRNFWDELPLAFPSKSSKELVSYYFNVFMLRKRAEQNRFDPMNVDSDDDEWQAGGDGEFAVTGRADEYLPTESLTDQDDVACNQVLPQGDLYEDSEDELDTASGDRHGDVQRAGMFSECLPAMSFMEHNHQAVKLDADAQDDSCTSFEAHQVGVEGGTPTDIAEDHYRTDGFGGVAEHGFFGDHCDTKGWEFGFTTGWDKHDFLSTNNVIEEVFGKGSSEDGSDTASGQDLI >Dexi5B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:5B:2046308:2051730:-1 gene:Dexi5B01G0003120 transcript:Dexi5B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPACVAAVALWTTLLVAAAFVLWTLLFRGRLRRGASKETTARLPPGSFGWPLVGETLDFVSCAYSSRPEAFVDKRRLLHGSAVFRSHLFGSATVVTSDAEVSRAVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLVGAFFKSPQLKAQVTADMQRRLSPALDAWREQGPGALIRIQDHAKAIVFEILVKGLIGLEAGPETQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARMIQRIIQDKRRRRGTLNGGEVPPPRDAIDVLISGGGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKYLSECPLALQQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRMGNIINGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDKLYDDPYKFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKD >Dexi3A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:3A:4060539:4061681:-1 gene:Dexi3A01G0006220 transcript:Dexi3A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLSSCCLLLAVLLVAVAAATAFDEAAAAAGVGLGHGARFMRRAATEKPQPEPHPKPEPMPHPEPKPEPKPEPEPKPMPHPEPKHEPLPHPEPKPHPEPMPKPEPMPKPEPKPEPKPEPKDEPKPEPKPEPKHKPEPKPEPKPKPELGPKPEPKKPEPKPEPKPQPKKPEPEPKPEPKPEPKKPEPEPKPEPKPEPKKPEPEPKPEPKKPEPKPEPKPEPKKPKPEPKPKPMPEPKKPEPEXXXXKKPKPEPKPKPMPEPKKPEPEPMPEPKKPEPKPKPKKPEPEPKPEPMPEPKKPEPEPMPEPKKPEPKPEPKKPEPEPKLEPKPKPKKPEPKPKPEPKPEPKKPKPEPKPKPKPHEPKPKKPDPPHMPPAADN >Dexi2A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:2A:30446942:30451260:-1 gene:Dexi2A01G0018420 transcript:Dexi2A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLGTMPTLVVSSPAAAREVMKAHDVDCCSRPVTPGARRLSYGHKDVAFSPYGEYWREAETMAVLDYCSRLPHQQWQTTILLVVVVPLVFLLLATRRRIRSRSSRRLPPGPPRLPILGNLHQLGALPHQSLRDLARRHGPTMLLRLGTMPTLVVSSPVAAREVMKAHDVDCCSRPVTPGARRLSYGHKDVAFSPYGEYWREVRKVVVVELLSMRRVQSATYAREAQVDRLVARLTSAAEVDKPVHLEDHVFGLVDGVMGTVALGNIYGTEQFKHKKHFHDVLDEVMSAKAAFSAEDCYPNALGRLVDRLTGVAARRERVFRDLDGFLDLVIDQHLDPSRPTPEHGPDLVDAFVALMKERSHLQEGSPLRFTRDHIKGLVSNVFTASIDTSSVTMVWAMAELIRRPAIMKKVQEEIRAAVGDNKERVHPDDMPKLRYLKMVVKETLRLHPAAPLLLPRETLRDTSICGYDVPAKTRPERFEAPGAVDFNGTHFELVPFGAGRRVCPGMAMATATVEFTLANLLYCFDWALPEGMAPEDVSMEEAGGLTVHKKTPLLLVPTRYYSHCQQ >Dexi2A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:2A:31863705:31872349:1 gene:Dexi2A01G0019700 transcript:Dexi2A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGGSGIGYAGLVTAFWPTKTFLSVLRLSASGDVVVATAAIRASGYGNTAIGGGRNPEVEAREEIIADKHEFVSSPFVCLLGKALCIALARKGVFVTVVDFSEENGTQVVSIVQKENKLVHEYARVPSAIFIKCDVTNGDALAGAFRKHVDTFGGLDICINCAGFVNKSLVYNDKSDGFSTWKRAVNVNLVAVIDGTRIATQVMRSQKKPGVIINIGSVAGLYPMSYEPVYSGTKGLFIILKADTILVLVELAGEQFEHETSGVVMLTRSLAPLKRHGIRVNVLCPEFVQTNMGEQVNRVLVDALGGFLKVEDVVKGAFELIEDESKAGACLWISKRKGMVNFSSGRYFSGNAKEAAAHLPFDAGFEAVGIVASVGDSVKHIEVGTPVALMAFGSYAEFMLAGQMMSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGEAKSMLLASLGVDRVVNYRNENVKDVLKKEFPRGVDIIYESVGGETFDVCLNALAVYGRLIVIGMISQYQGEEGWKPQNYTGLCEKILGKSQTVAGFFLIQYAHLWQDHLEKLFNLYASGKLKVFLDPKKFLGVSSVADAVEYLHSGRSVGKVVVCMDPSYSQTLAKL >Dexi7B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:7B:1683991:1684686:1 gene:Dexi7B01G0000860 transcript:Dexi7B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAARRPLLLCALAAAAALSFLLVAPPPPLLSSLLLVFPASPYAGSPKLLFLLAGQSNMAGRGIAPSPLPPPFRPHPRVLRLAASRRWVVAAPPLHADIDTHKACGLGPAMPFAHRLLQDQEEVGSGEHPLVLGLVPCAVGGTRIWMWAKGEPLYEAAVARTRAALAAGGGTLGAVLWFQGESDTIELDDATAYGGRMERLVNDLRTDLGIPDLLVIQVTTPLPCVSFVA >Dexi5A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:5A:5937322:5939850:1 gene:Dexi5A01G0008000 transcript:Dexi5A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDLAPSPSGARSSGGARSTGSTSLSSLSARRGPSRSSGGARTGKLPLSPLRVLDGPLFGGLELGSLLSLTVAAAPSVLEWQRARFGRGFWREPRAANPSFWREPRAANPSFPRAQGIPAAVESLQRLLQRAQSRRLVLGARAPIVDERDADQASSTARRSRGGVSAKVPCMATAIDGPLYVPLAVPLLARLGGQHKRWQGNCFDTTDSTVNGRPCLLACGGLAAVCVDGTTGDLFRKPSVVAVDLRKEMVDYIVQRSETFIADALIESEANQAPENEMPDDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFAQEMEMTKFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLADHKEQCSFRPVTCPNDGCRAKVSVRCMDDHDAACPFKVLQCEQNCEKRLLRRDMDRHCVTVCSMRPMKCPFSCDSSFPERDLEKHCSEFLQAHLLKSP >Dexi4B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:4B:12184664:12185000:-1 gene:Dexi4B01G0013500 transcript:Dexi4B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMRSAAARPCSLAALLLRRLSSNSSSSLYSVRCHASSSALSSRPSASYPTTCPPCPLSISRRTRGFTAWASAPGPAGAESPATKALEAKLHT >Dexi3A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:3A:13913280:13916375:1 gene:Dexi3A01G0018210 transcript:Dexi3A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVTRYLDLFTDYISLYNTVMKVVFITSSAAIVWYMRRHPQVRRTYDKEQDTFRHAVLVAAAFLLALIFNERFTIREVMSSLYQICPRSLIALAYRAFYILNWIYRYFTEGHHSRWIPWLAGLVQTALYADFFYYYFLSWKNNVKLELPA >Dexi6B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:6B:18460752:18461819:1 gene:Dexi6B01G0011270 transcript:Dexi6B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRATTVTWKLLVLAAAAAAVMALASPSQLPVAGQAPPVGLQANCTTICGDVIVPYPFGITAGCYLPSYNLTCDTRHTPPRLFLGNGVLQVVGISLENSTVRVVGPNIPMDPYTANGTWGGHGWGLSDDGPYFLSEEYNELVLVGCQLSAELSVILDWDYQVINTCGSFCGEGINFNKECQAPAKKQSRRCQRCSGIGCCQVPVSIGRSSYKVRLQSLMTPGAVNMPNSVFISEEGWFQEPYDHSNMTSSGIPAILAWVIVSEVVPYVSDPRDGNTTCPKNLGRTSCHSSYSTCRNTDSRYDNNYSYISGYTCSCWDGYEGNPYIPHGCQGISLSPSRSELYGSILFALNFTS >Dexi9A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:9A:4540815:4544267:1 gene:Dexi9A01G0007770 transcript:Dexi9A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPESKEGKSPEELLCAAAKSGDEEEVAKLIAAGADATHFDAAGLTPLMHASAGGHAAVARLLLDCGAPWNALSPSGLSAGDLVSDPDTYDLLLDHALRSELILGTVARRHAAPANASDGTSAESYLESRVSFSEERVMDAESKAVMMAWERPLMEAHARALCQGGGKVLNIGFGMGLVDEAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVRIVFGRWQDVMPQLGSYDGIFFDTYGEYYEDMREFHEHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLPDEVWKGVKQKYWQLDTYHLPVCQSESESE >Dexi5A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:5A:638359:640035:1 gene:Dexi5A01G0000940 transcript:Dexi5A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLAAAAAALLLTVAVVLPLSYLLLLASNNNGNVNGRRPLPPSPAGLPVVGHLHLLGSLPHRALRSLARAHGPVMLLRLGRVPTVVVSSAAGAEEVMRERDLAFASRPRSAMADRLLYGRDVAFAPYGEYWRQARRVCVVHLLAAHRTRSFRRVREQEAAALAERVRARGAMAAVDMSELLSGYANAVVLTDFQQLLGTAPIGELLPWLGWADAVRGLEGKIRRTFEALDGLLDKVIDDHRCRRPRNGVDGGDHRDFVDVLLDVHVHEEHGIQLETNEIKAIILDMFAAGTDTVSTTMEWAMAELVTHPRAMRRLQDEVRAAAITCSTVDEDHITDLPYLKAVVKETLRLHAPIPLLVPREPPADAEIMGYHVPARTRVVINAWAIGRDPAAWEDAEEFTPERFLGSDVDFKGQHFELVPFGAGRRGCPGLGFAEASVEMALASLVYHFDWEGVGGGGTGQSSLDMSEMSGLSVHIKAGLPLVPKPWLP >Dexi2B01G0032790.1:cds pep primary_assembly:Fonio_CM05836:2B:40581355:40583906:-1 gene:Dexi2B01G0032790 transcript:Dexi2B01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISAIAARLGLSGSRPVVRKAGELRRLCDVNFDSSVLGIGEVCKVIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQRGLSLYKERFLAVLPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKIKLIDLCGTSSAEFTTVSTSMADLCFDVFGIAKEKKDPKSIKGNRELFDVLPSKRKHEDDSDSSDESSEDDQDELDLPTHKRQKRMDKQAYNDWKSSVLSSNKQTKTDPAKPRRQAQLNFKKPTDVAVEVPSAAN >Dexi6A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:6A:5717009:5719335:-1 gene:Dexi6A01G0006080 transcript:Dexi6A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPLGNGEPYWGSDGDGSSRNRLPRRLAGPLPPHTNSGDGHPPRSSAGTSASKKRRSTFVAPTRPPAPRPGGVLPCRDLNAGVDPVGVRQQQAPDDALAPGAFLRRQLFEAPPPGPRLPSPLRHEPPRGSIFTDARKKYQTPSFSEPARLPSHQVQKTSMEAAATATASDTPRTTSTTSIQDDDFSSSPMPYYISAQKSCDKTKGFPYLHCWTEVRHTEKFQTVYEAMKQAQGKRQKPKETTPSQEAHEDDRVPSKRCPGQKQSKQKSKKHDGEDEYAVQFATFIEMKAEEHRKCDQRWKAEKELEERKLLWEQEQKIMFCDTSVLDETQKAYVIAMRKHIASAKEASIKGGLSTSEQGNGGDAEEAESLM >Dexi5A01G0036580.1:cds pep primary_assembly:Fonio_CM05836:5A:38019228:38021372:-1 gene:Dexi5A01G0036580 transcript:Dexi5A01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRPPDQQPPASAPSCFLNLNWNQSMAAAAGDHLDPALSSMVSSPASNSTAAAATDGLALHGISPQPQYGGTPLSSPPKLNLSMMGQFHHYQPPHVGGAGPGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDGHTGGNGYGGAVPGQFGLPDAGPVGALKELELGNGRDESSVSDPASASAEMALKGPSDGNAKKRKASGKGKGKDGPGSTAAKDLAKDESSGKRCKSADESNGAEDNSSKGKAAQSNSENGGKKQGKDTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLPPKDIHQSCGPLQNSHFPLETSGAPLPYLNQAHQGSPLGCSLTNGMDNQSNMHPLDPAFCRQMNSQHHFLNGASDAASQVGTFWQDDLQSVVHMDIGQNQEIPATSSNSYNGSLQTVHMKMEL >Dexi5B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:5B:2094254:2097529:-1 gene:Dexi5B01G0003180 transcript:Dexi5B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAARLLAGSARSFSLSHHHHHARHHRRRLFLVPSSRSNTSSSSSSSKLPRPLPGREPGFSLFHEISDLVGPADSGAALRHETNDEACRHDHGAAASARRAEGARGIAPESGTAASSSVFCGISDTDALGGLPGDGSQAPGTVESAVNPDIDNISEAVHRVTQVLRSQPPGSSMEQRLESLGVTYTPQLVSMVLKRCFKVRQLGFWFFHWVKRVPGFRHTTETYNTMLYITGEARNFAIMEELVGEMDREMCPKDLKTWTIILSSYGKAGYIGKMLSTFEAMRKSGSVRIDSKVYRTVLHALCSAEKPELAFEFYKDMPRNMEVGTDILRLLMCCVAKTDNAAEAVCSIRDDMIKGMKHPEEYCYMEALRSFCISGKLEEAWKVFQHMKSKSMANSCAFENLLRGLCKAGRMDEALKVVEYMKGTLGINSTAFSFLINGYLRNGEHTKALDLLRVMREHGCVPLVSSYTQLMQHLFAIDQYEDACGLFEEMLKNGVQPDIVTLTALIGGHVRSGHISEAWDAFRNINKSGQGPTLKTYTVFIQELCKASRPLEAVELLKEMLESDFRPSEGTFYRIISSLRDKFYLEEASNVERMLASFDWRNPRDDLEFKRLDGIPVDKFLRPHNSSPEEKEQGLELIGYPSVQNVEISSFKLSDDTMKKEQEQDYDDRDVEEICQILSSYDDWGSMEQALEKRSVHFSPNLVDAILKRCKMNSRAALQFFSWVGKRSYYMPTTKTYNAAMKLAGSAKDFKHMRYLYREMLRTGCSPTVDTWNIMVCQYGNAGLSEKALETFRDMKQHGFLPDKTTYQHMIMYLTCSKGRKVDLAVIIFKEMCHAGHIPDNDIVFKYLLALCECRKIADARNSVVFLCERGFSGQVAYSIFLRSLCRADSMEEALSLFNCIEKYGCSRDQYMYGSLIHALLRRDQFDDAVAKLTEMKESGIPQSTHMYTSFVVYYFRKRDVVKALDVLKEMKENGCEPTVVTYSALIRGYMAMSMVSEAWDVFQQMKLKGPAPDFGTYSMFIKCLCKAGRSEHGLQLIHDMMECGFIPSTVNFMTVVHGLNMEGKHALAESALRSKWHLQRQRIISY >DexiUA01G0022420.1:cds pep primary_assembly:Fonio_CM05836:UA:45586092:45586448:-1 gene:DexiUA01G0022420 transcript:DexiUA01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPAEEVLTASSSSPEPAAASSASSPNERYTAYYHEACQIGCCYEDGDGSSYQDDDAAKCDSEDAGVVYEAPPETTTVTNPFGWKGEVVRPLPLSPTAEAELGLGPRQYRSTIDLY >Dexi2A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:2A:2375599:2377779:-1 gene:Dexi2A01G0002920 transcript:Dexi2A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWIRFAAEISAATSSDREERSGAIPAGSIVRCAVGSATVRVKRNEVLDLDDDDGVGSSQQHAAAPTAGRDDEALAHLLHEEETERSVGVANTTVIWKRDEANKLKMSVAPEADRVLVVVGNTSAPTTDTERREVLIPAQLPPLFLAQLSNPPAHLYLSRGPTRPLSPPFLSLTGGPALSYPSSSSIMPKQDSTESMPRPRRPPLARTPRLEPLEPHKTPSFPSPLVSRPALPLLPPRAAKLVEPPPQSEPSRLSSPLRVRLQAPRRGEQPAEPLIPLSLALCCACDPAVDPEPPWLAASRLRWPTLDLESKKSVNPSVGLLNAGEVPVVAPPRAADSGDLSAQLDSSR >DexiUA01G0004310.1:cds pep primary_assembly:Fonio_CM05836:UA:8403041:8404306:-1 gene:DexiUA01G0004310 transcript:DexiUA01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWSPLMTPYKMGDSNLAHRSYENMAQGHNAVYYEQRAAPGVLLIAEASVVSSETATGYPNVPGLWSQEQVEAWKPVVDAVHAKGALFFCQLWHTGHSKPGPASPRLMETEEIPQMVMDFRVAARNAIRAGFDGVEIHAANGFLINQFWWFLDIGRVDSQPLRLDKGSSSSRDNRCIRLATDVVAAVVDEVGAHRVGVRLSPFASYTNCTDDADAEAKALHLVQFMDKLGVLYCHVVEPRMCADGEQGKLVIPHRLSSFRKAFRGTFIVNGGYDREEGDRVIRDGYADLVSYGRLFLAIPDLPERFRKNADLNKYERSTFYASDPVAGYTDYPFLTLETQVA >Dexi4B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:4B:21065866:21066228:1 gene:Dexi4B01G0018750 transcript:Dexi4B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGRARKQEAPPPAASKAAAAGVSVNEWMQHVKASFLGLIRKVTARSEQEAAEADMMAAKAQVEATNEAEARKKQLADH >Dexi7A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:7A:29246053:29247920:1 gene:Dexi7A01G0020460 transcript:Dexi7A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAVASVIAAVGVVAVIGTIAAVTASKKGADDGGNGLSAGVKLSTVCSSTLYPEKCEQSLKPVVNDTSNPEDVLRAALNVALDEVAAAFERSAHIGKDAKDNLTKNAMDVCKKLLDDATEDLRDMARIRPVEVVGHVKDLRTWLSGVMTYIYTCADGFEEPKLKEAMDKVLQNSTELSSNALAIITRLGDILKEHEGKSNPGGGHGRRLLSFSLHGDPETHMPDPYHRVLTTDLVGTFDEIADGRNGVPPGDVPEWMPANQRRLLLQAGGAQKPNAVVAQDGSGAFKTINDAINAVPKTYAGRYVIYVKAGTYKEYVTIPKNMPNVFMYGDGPTKTVNMGFANTAGPEGHQAVAMHVQGDMSVFFNCRFEGYQDTLYVHANRQFFRNCEVLGTVDYIFGNSAALFQNCLMTVRKPGASQSNMVTAQGRTDPNMPTGIVLQGCRIVPEQALFPDRLTIPSYLGRPWKEYARTVIMETTIGDLIKPEGWSEWMGDIGLKTLYYAEYGNTGPGAGTSKRVNWPGYRGVIGQAEATQFTAGVFIDGMTWLKATGTPNVMGFTH >Dexi2A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:2A:33572850:33578185:-1 gene:Dexi2A01G0021520 transcript:Dexi2A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPDHHHHQQAAMEELAGSRRLQQEHHHLQHQPFAAASAEPAAAGMIKDVKPLTKKDHRRGGVSTAERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGAFFPNSGLLADQGVAGKGIPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMVGIKEGAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLSHVEPLTEQQIVGVYGLQQSALETEEALSQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQAENLRHQTLHRLHQILTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRAAQEQQQQGHS >Dexi3A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:3A:9912209:9915045:-1 gene:Dexi3A01G0013620 transcript:Dexi3A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAERVVAELREACAAPAARLNEVAAAMEAEMRAGLREEGGSKIKMIISYVDNLPTGNEEGFFYALDLGGTNFRVLRVQLAGKETRVAKRESKEVSIPPHLMSGNASELFGFIASALAAFVADEGRNQLFQDKQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQTAMEKHGVDMRVSTLINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKFEGELPKSGNMVINTEWGNFSSSCLPITEYDQALDDESLNPGEQIFEKLISGMYLGEIVRRVLLKIASQSTLFGKVNHTKLKTRFILRTPDISAMHHDETPDLKIVAEKLAENLKIKDTSLETRKMVVEICDIVTSRSARLAAAGIVGILRKIGRAVPGDERRSVIAIDGGLFEHYAEFRQCLESTLVELLGEEASRSVAVKLTKDGSGLGAALIAAAHSQYQH >Dexi2B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:2B:28797950:28799786:1 gene:Dexi2B01G0018490 transcript:Dexi2B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVSFKMETEVDFDPSGPEPVLLDATAVSSDKILLLDSYFTVVIFHGVTIAQWRKAGYQDQEAHEAFAQLLKGPHEEANSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >Dexi1B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:1B:22193816:22203259:1 gene:Dexi1B01G0015600 transcript:Dexi1B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPCRDWHQHSMGGAAGDTAAPEKATAARRSSSSSSFASMFMHADGVDVALMVLGVVGAMGDGMSTPAMLLITSRITNDFGRGPDHVHDFTSRINAECMISGTKLDLLEQNARNIVFLACAAWVMAFLEGYCWVRTAERQASRMRARYLQALLRQDVEYFDLSSGTTSTSEVVTSVSNDSLVVQDALAEKLPNFVMNAAMFVSSYAAGFAVLWRLTLVALPSVLLLLVPGVMYGRVLLGLARRVKAQYALPAAIAEQAVSSARTVYAFVAEQSTIERFSSALEESVRLGLKQGLAKGVTLGSNGVTFAIWALNVWYGSRLVMYHGYQGGTVFAVSSIIVHGGLALGNALSNLKYLSEASSAAERMLEVIRRVPKIDSSSDAGEELNGFTGEVEFKSVHFCYPSRPESPVLVDFDLHVPAGRTVALVGHSGSGKSTVIALLERFYDPTAGEVVMDGVDIRRLRLGWLRAQMGLVSQEPALFAMSIRENLLFGKEDATEEEVTAAAKAANAHGFISQLPEGYDTQVGERDVQLSGGQKQRIAIARAILKSPTILLLDEATSALDTQAEHAVQEALDLASVGRTTIVVAHRLSTVRNADLIAVMQSGKVKEKGSHDDLIADENGLYSTLVRLQKTKDSEEANEIRRISTASTAIGQSNGHSMSRRFSWGLGSSSARSVGDARHGGNIHKPILSAPSFKRLLMLNAPEWKQALMGSSSAVLFGSIQPIYAYVMGSMFSVYFLTDHAEIRDRTGVYALVFVALAVLSFLLNVLQHYNFGAMGEYLTKRIREHMLTKILTFEIEWFDSDENSTGAICSRLAKDANVVRSLVGDRIALVAQFESSKLAAEAVSNLRTITAFSSQRRILRLFDQTQDGPRKESVRQSWFAGLGLGTSVSLMACTWALDFWYGGKLMAEHHITAKELFQTFMILVSTGRVIAQAGSMTTDLVKGADAASSVFAILDRKTKIDPDNPEGYKPEELEGRVEIVGVDFAYPSRPNVIIFKGLSLTIDQGKSTALVGKSGSGPSRLAHIRSMACVAAFPPGILFAPEDEVAVEHYLLPRLLGLPLPIDGLILDDDPLSAPPQELLERNGRREEAFFFAEGQPRCGKGTRQKRTCAGGGWWEGQKTCAEGTKLRLPSGGGEEAAWRKKALNFHCGGGGGGGKKGSTGWVMHEYAVTAPEDLAQSPLRLYHIRLSSYGRKQSGAMEVPRELGLPPGFLFAPEDADAVAHYLLPRLIGQPLPLDGLIVDDDPLSAPPWELLDRNGRKEDAFFFALGQVQNSKGSRQKRTCAGGGFWNGERTCVDGEQLHVPCLGGGAEAMTWRKKALSFQDGSEKGSTGWVMHEYAITAPDHLAESQLMLYRIRFSGHGKKRKRGEADPCAGEAAPLAARQRVAEDALLSMPISDDPICSSMVQADGNCVNGAEEHAATVTVPAEQNNTPTIDDMSWDLSFLDNLDIDELLRSIELPSPDPDLLPADPAMHLGCMEPADSSLPMPNQSYAAC >Dexi2B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:2B:3802563:3803090:1 gene:Dexi2B01G0004350 transcript:Dexi2B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPCCHAVKIPAGNIVKRWTVDAKGVLPAHIIEHENDKAAESSLSSRQSDMFVLILEFSKTCSRSERLLKQGWQAWFSLRRNFRNTNKLRMFLCCLRRAAILLHKVLMCKACLLQLKMILPQRPRNRGLK >Dexi2A01G0022880.1:cds pep primary_assembly:Fonio_CM05836:2A:34661585:34662541:1 gene:Dexi2A01G0022880 transcript:Dexi2A01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVAVSGEGGDERTWFTRVQAAAAAAGAARGGMHGERHAPRRGGEEDERHGGGGFLGHSYYSPARAKYDVAVVAAALTHVVCATHPPPPTTTTRGAEAPGSAPLPASSPWQGVHGGGGGVEGPPPRAAQSSYRGVRRRPWGKWAAEIRDPAKAARVWLGTYATPEEAARAYDAAARRFKGAKAKLNFPTPTPSRPHHQEQATSSSATFAATAVDEFPGLRQYAHILQSSGEGLRAVVTSPGGLPPPPPVDVHGHVGRSTR >Dexi3A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:3A:1847769:1849221:-1 gene:Dexi3A01G0002740 transcript:Dexi3A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEIRDGSHGVPDVSPAAAAAAVPADGVKMEAADGRDAVEEPSAARAAASEAEVGRDVGASVSEVDAAAEDHSTAPGADAVDAGGTAGQGRSAVSAANEGTVPEEARHVAPLVSEAKMEVDEPAAASKEDSAASAVSEVVDAGCIREQEFTAAGDVKMEEGDDRVANQGPATSAGCLQGKEVAGQCLVGRYIGRSALGHARILIGKVASYDSTTGVYSVVFEDGHGEDLGFPQLQEFLMSNENGALGMKVSCRKRKLDLLVSSGSTSEVKEPASTRQRVDGCETAAKDGAPQHSGSGSDMSEDVESSSNSSDFTKEEPSQPCPPVQAVELPPSSGDITVPENSISYLFSVYNFLRSFSVQLFLSIWIGRY >Dexi4A01G0022270.1:cds pep primary_assembly:Fonio_CM05836:4A:25547193:25549372:1 gene:Dexi4A01G0022270 transcript:Dexi4A01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKAGEAVFKLTEVSPSETSVGDGGLLDANGIDLRREELELPGLPGGWFRGTLGSVKGTFGVGFLIVASRSRQQIGLCRSRFRDLGDKDYSPLSRLQNALVLGRGAGVYPTTACRKERARAKKEFETNVAIDPAALESELQTHGARACVATPQPSFNCWATHVLTTPMASPGRDEEGLAMASCRPAAPDETELPRIPDEQHVHGTHMPPRPAGSRHERGRQAAPHCKAKQARLAAAACLCATMARHGKGKGTHAKKLHALSSPFADAAGSDARGQVKAPPRPPPAASWIQASASHPRRALAVYPRRPKSTQRAHIYFALARPLVLSVSAPPTQPSWSWLPRPSMAAPALPLYKYTSPLSTTHIHSPASFPPITLIQPITTRAPSSFLFSHHTAHSLHSLA >Dexi2B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:2B:28857463:28858609:-1 gene:Dexi2B01G0018620 transcript:Dexi2B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTYGHVTCRSPSVSYCSISPSHPLSRSAAAYARSRGRKSSSPDDVTATREHASFRSSDAGSDSGFTSGSSMPYRPPQMNDHVASYCRRVELSVVSPATGFLPQKYGSRSRSPVMAAPPSTSSVPARIAMLCAMLAPALSPARKRRERLPRSRSHGSGPDAAFLATQLSACHESLYAQEDAQQGGGGEEEEQGE >Dexi9A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:9A:3052689:3057176:-1 gene:Dexi9A01G0005440 transcript:Dexi9A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLQARENNEERNKVQRENSEEDQYVDKVKLGEPGYRERYYAEKFKEEAESKPIDQVRRDVVQKYVEGLCWVMRYYYQGVCSWQCSNALPKYYGDLMTDPNSPLKSFYPKDFEIDMNGKRFAWQGVAKLPFIDEMRLLAETRKLEDTLTEEERFRNRTMFDIIYVRETHPLTAQIAFLYRMYNHLPRTDPYVIPIDPAVSGGMNGFLCLSERNWYNITVTSPVRGFNGIAQNRVLNATYLNPQYHKHIPEPPAGAIVPAKILKPSDFKPFPVLWHEDNSRRRERYD >Dexi9A01G0030240.1:cds pep primary_assembly:Fonio_CM05836:9A:35157578:35164171:1 gene:Dexi9A01G0030240 transcript:Dexi9A01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPEISDELIREILLPIFPAVSLSMVDVVMSYRMLGAPPDGELFYCVVFSQQRASSWVAGFLHGDIDQISQAILTSYLLWSFSTNEMGLLEDLDHPHTSIVIAPYQCGWWTCYKRTPGVAVSRSSRESPAVNSDFRYQLHHEPDLPPPMATPVTCSPARAFGAPAPPHPAPRRPARLLSRPWACSVTAKTTGGKGGRRGEIEQRKRRCRGAAWARSLGLCRLGWVGEWEPRRFACRARRPIHPDLHLHGPPKPQPPCGILCRRRFGWRLNGMNAVVPLPTRKLHPDACPSVINHPNLQDRGPPLSVLSPLRFFYEKTPHPPGAGNAPAIAAVSSSRDSGGAVPDPNPNRIPGIPPADPPAGVNSDVVVILAALLCALICVVGLAAVARCARSRRNRGAGADGGGGGPSSPSSNPGDAAGHFGGGGGHHGTGASTATTTTTTTKGLKKKALKALPKLAYADAVAAAAAARGAAPAAEGEKAEELLAECAICLAEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPAPPKRCRKCEAVVLEAVLASSSSSSSAAAAGGSGSGSAGRGRGGGGGFLPPDPVVELPQSARGPHCQ >Dexi9A01G0031070.1:cds pep primary_assembly:Fonio_CM05836:9A:35984837:35985786:-1 gene:Dexi9A01G0031070 transcript:Dexi9A01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTRGVQELLEELGVYLVAFDRAGYGESDPDPRRSLRSAAEDIEDLADALGLGDRFHLICSSLGSHAGWAAIRYIPHRLAGLAMMAPVINYRWRGLPRGLARQLYRRQTVGDQWSLRVAYYAPWLLHWWMSQPWLPTSTVIDGSAPFPNELDEKNRVMALSNGMFHQRARQATQQGVRESFYRDMAVMFGRWPEFEPTDLAEPPFPVHVFQGDEDGVVPVQLQRHIMRKLGWVNYHELPGVGHFLSAVPGLGDRIVRTLLPAPVPAAA >Dexi5A01G0027230.1:cds pep primary_assembly:Fonio_CM05836:5A:30731466:30736176:-1 gene:Dexi5A01G0027230 transcript:Dexi5A01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNMVDDEGEERRPAAVCRELWHACAGPVVALPRRGSLVVYLPQGHLAAAGGGDVAADLPPHVLCRVADVELCADAATDEVYARLALVAEGEAFGRNLRCSGAEGEDDTEDLDAERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNLLRPSQELVAKDLHGAKWKFRHIYRGEISFTSQGVKNAVFTICFHVNSFVCRATASEYVIPYQKFLKSLNHSVCIGAKINFQCQNEDVSERRSGMVVGISEVDSIKWPGSKWRSLLVRWEDGSDCNGQDRVSPWEIEVVGGPVSVSHSLSTSSSKRTKLCPQGNLDVPTMWNGCTDSVETGKLPRVLQGQELMGFRTHRVTCAPQTAEVANFRSPDASRFLTNARSCMLSGPTGNLTVQNSGFTYQSVGFNESLGFSEVLQGQEISRAVPMFQGIMSEACSVKGGYGLRGYTRTPAAVNGLSAAAQECSLTLSTPAAKVPSPHPNHMFNQSVASQLGLASKTGGEGANGCQPRPFGVAWEAQTRLPHETPGQISLDQFESRRALASGDAAKTGSGGREVRKTSCRLFGFSLTEKILPADDDSVKEVSYEAERQNPQMLDLFGYNRSTPNAALPALCAAPFGM >DexiUA01G0006020.1:cds pep primary_assembly:Fonio_CM05836:UA:11100438:11102501:1 gene:DexiUA01G0006020 transcript:DexiUA01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPRPLPLPLQLALAGGKRASDPAHLLRGNLRFTYNWLFLQDRFGLGRLLAARVYYFTFPAAPYLLAVGYSAVKHDQEIVGGSADDAEAEFNSATLFYLSADEMLPYYKRLYKKRANMGLVWYNKNNPEDSYEFKSMVLEDVYNFMDGGVCYMHMNFKAMNVTTRSEELFFAELALNNSVFDANGGYSTTACSIVDDSCAGGKKDLLAVEDGLPEERYDENNCYACAEKIKHPTGTTYKGGHYAEDYCVGCDSD >Dexi1B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:1B:24366861:24369559:-1 gene:Dexi1B01G0018050 transcript:Dexi1B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLDQLNLGDCTIRGNLEAFSCKHTSTDRRLSISLEHEILDYLGKSSDSDPSSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFQEEEMESFKQMIDTYLSDSSRQWATTNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDGDPVQEKGAIWSFYYFFYNRKLKRVVSFRCYCTSKLSGDDFLTGAASDGEEEDALIDMDI >Dexi1B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:1B:3634779:3635628:-1 gene:Dexi1B01G0004490 transcript:Dexi1B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVSVLLILLYFASLATSCPEQERSSLLEFITELSYDGGLISSLENITDCCKWEGITCSLDRTVTGVILASRRLQGHISASLGNLNGLLCLNLSHNLLSGTIPLELMSSKSIVVLDVSFNQLNGDLQELQPSALLPLQVLNISSNKFTERFPSSPWEVMKSLVVLNASNNSFTGQIPTKICFNAPSLVVLELSYNHFSGSIPPDLGNCYTLTSLKAGHNNLSGTLPYALFNISSLEHLSLHDNQLEGSLNGISKLTYLVTLDLGRNGLSGNIPDSIAD >Dexi1A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:1A:5946612:5947893:-1 gene:Dexi1A01G0007720 transcript:Dexi1A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARWSTGTADRAHSITYYYFGAQPLAAADRSRSASSEDPPAAAAAC >Dexi5B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:5B:3475658:3480020:1 gene:Dexi5B01G0005220 transcript:Dexi5B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPVALMWMRWWLVVIAGLLAVILPPSTATLSPAGINYEVVALMAIKTELEDPYNALDNWDINAVDPCSWRMVTCSSDGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGPIPGTIGRLGMLKTLDLSDNQLTGSIPSSLGNLKNLNYLKLNNNSLSGVLPDSLATIDGLALVDLSFNNLSGPLPKISARTFIIAGNPMICGSKSGDNCSSVSLDPLSYPPDDLKTQPQQGIGKRRHIAAICGAIVGMVIEPDTFFNVPDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLRVELITGQKALDFGRVADQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVKVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKYMDFAADESSLGLEAMELSGPR >Dexi7B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:7B:20095259:20095860:1 gene:Dexi7B01G0013630 transcript:Dexi7B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACACTCLPRRTSPSSAQARTVLPSANWSGAVPVLSMDEKRPSASAQRLLCACAAMTAAQETGFLSGIPSNTAQDGVAGEPGAIRGGGSEDVAVDEAGVREGAKAGAGRDEGGVGGGGERGGGGGWERLEGAQGGGEPARVVELDDGGVGVLHLRAAAALAAGVGGGDGGRRGGNEAVGSLM >Dexi7A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:7A:27303156:27303362:-1 gene:Dexi7A01G0017730 transcript:Dexi7A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHGERIAEAVRDTAADAASRIPVYCVPLEIKSGLLLLGEVPGAGGGGRTREVEEEGGEGEREKDKR >Dexi4A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:4A:4994267:4995438:1 gene:Dexi4A01G0006870 transcript:Dexi4A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPATACCKPSLLLAPRASSSSSRARAQPLLCTPSTSTFRGLRAPPVTAPRWRRPATSTAIVCGKVSKGSVPPNFTLKDQNGKPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDTASHKSFAQKYKLPFTLLSDEGNKVRKEWGVPGDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQGL >Dexi4A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:4A:6332953:6333995:-1 gene:Dexi4A01G0008420 transcript:Dexi4A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMKLFFDNSCQKEVKVVMLGLDAAGKTTILYRLHIGEVLSTVPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYLSNSDALIYVVDSMDRDRIGVAREEFQAILKDPLMLNSVILVLANKQDTKGAMKPPEVAQRLGLYDLKNRTSRVVGACALTGEGLHEGLGWLAATLKDAHAWGSSVRF >Dexi4B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:4B:142056:148769:1 gene:Dexi4B01G0000200 transcript:Dexi4B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWEDLQQQPLIMEEEEEEEDLAAGGLHTREMRNKTTDLLNLKAAAADTAEEQGNKSVFFDPAKVSVHATVEGAEEDLVVGQKENSNEISIEEGKETDKEENINEQETNHRSSNGKLEDGSQSNGVHEISSGAETFTVASEEAQPLKLIAAIHESKIILPNWSGALDISNGSTSTTEVHEIEVEKDESVTKGKVSIEDYDLEKILDEQETHDLYCPNCKSCITRRVILKKRKRTVRQAKRDEPPKRPQLVSSSTQNPEESQEQQSPEVFRCLSCFAFFIPTDCGFDILSIFKRRGPSQQGPVLHPSAPQQTSEHCGSWLLSCFEIRDSPKKPTDAGVNNQMAEKPTTLVTPGPGQHIVEVPEATILDTPARPVPPAQRDEWDILKAIVYGGLVESITSLSVVSAAAASGAKTLDILILGMANLIGGLPVIYHNIADLRNTGDVAESSEQVGHYWLELGRRSKYRLHMVIAILSYILFGLLPPTIYGLSFRTSNNRENKMMVVAAASLVCIALLAIGKAHVKRPRTYITTLLYYLSIGFSSSGLSYVAGVLITRLLAHFGLVDQGGASALLFAQATGADAAAWASYSAWTAHLHGDALFDSLSLS >DexiUA01G0007980.1:cds pep primary_assembly:Fonio_CM05836:UA:14904409:14908549:1 gene:DexiUA01G0007980 transcript:DexiUA01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAVAAATAVSDSEGAVELLVAAAAAESVREAAVDVLVLDHKGYLDAALARARDLMLANQGSAVVHRLLGELNYATAVRAACAAEAKDGGAVAGGGMGAMRSAAGPYLAVARDALASARRLAPNCVDVAAVLGDAFAASRMYAEAESEYRRALSIPDPSDPALHNATYGMFDGYEHERDPAFAAERVEEARAQARASYTRMTAEELVPMAVHKVLEAGILLGAAEGRRRGKLVAETFPNLARARYLEAYTDLEFVRGLDAAIDERPFLRRTLATVARAAAAFPKSAVLAAFHARLLFVLGQYDAAERECRRALDMEEPDDPRLDCVPVGSIRGENRGERLVSLACVFHELLTKILMCASDYWDSMSSERQREGFLQVRFDVLEHKYRKVDLSPDAFAMSDVRSFVEEHKCWRFWDCPICDRKKFMDSGVLLSHMCIKHPRAVLPRLQYLVDPTLSEEALEDGDFLGGVTFCEDPAKQDTICFNKTSDVFKWLFYAPSSGVGAKPVSEMREKKRKKGLMFLESIKEKMKTLPTDKSSTEFSEALPRIQELWHDFLKASAFDYLEAILGIARPFLWRELKKRMIGDPKQAAKWISATDIDAIFTKEVGPSHAEEDHETGDNEQPSQANDGALMVSEDLEESVAKSENDLGAELDKLYIDPKIIDNNQESDAQVKGERSDATVTNTELTDPAINMAESGDDPGANNSI >DexiUA01G0015090.1:cds pep primary_assembly:Fonio_CM05836:UA:31597937:31598813:1 gene:DexiUA01G0015090 transcript:DexiUA01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIDEAREAEAAPAHPRGGRKGRVAAALPLSVAALVACGVLLLLLAGGGSAARRGGRFLDADPGSLAGDDGRGDLHHARPRDGTSTTV >Dexi5A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:5A:3348001:3358421:1 gene:Dexi5A01G0004420 transcript:Dexi5A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDAWLCNHGWPVRTIDIMSPPTPKYLHCHSNSPTPPGRRRQPPLSRYDHMPHLRPNALSSVTATTFPPPPPPFSHLSLPLHSQWPTKLAAAIRPQLHRHPSFLPSALSPLLTPLWSVCPPPPPLPSPTSLYLSILHCQLSIPPPFDPASIVALLVSGRFTTESTITLSTAALDSIAHLRVYCHPPPLPHRLNRQHCEPPGYPFHQQAIGQTLLARGRFVDQSAPWSPCQHSSMETHLPRMYSLVLCCFSTALHRPARPPPLPTCFFRRRRRLLAEHTERGTDARIDSIADCCRPSQHMPARPATGRHDARSAWPGTHTHAAARAHSRLANRTRTRMHILVYSSSSSSGTPRSRPYHLTVPPRPHVRARWLAMVDEDEDDASGRVPSRPAKPAAKQTSCLSPRSTTPEATGNAMPWPGRQNDRERARERDAIDRGKPRPGEAKRQTEREEWNGRASWIGERYLGSRRNWPGLRFWPLPPPRQLPSTRLSFPPGPRLASSRRRFCRNPRRWDDDEANDPGRRGKEEAKGQSEAPDRIGSSIFVACALRSVHWLCSFYEHILGMTIRMLGVVATHAGHWIDEGAEEMTIVGLAIDYARFGAVSVSLLPRAIGYPP >Dexi6B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:6B:22932530:22938015:1 gene:Dexi6B01G0015640 transcript:Dexi6B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDIKDVLKLDVYDEDILKMDDFLGQLRVPLEDVLAADDLSLGAKWYQLLPKGKPDKAVDCGEICVSISLESAGATRSWSDDLAVEAKIFEFDAMDDPPSVMTVHAYDFDGPFDEVTSLGHAEINFVKSNLSELADVWVPLKGNLAQSWQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVDDIEVSSDQYCIPGALLSASRRISHQQFYLLFEKKIAHTGRGMDAKHGAKSMDNGKLKFCLQSFASFGVAHRTIMALWKARSLSTELKVQLAEEQYQTNTLQSEDSGIFVGIEDDKGLQMTEVFSSTISNNMASLMEVFEGGSLEMKLHIKYQIEDLAPKQRASSVQVSLGIEWSKFTRHQKRIEKNVLSSSSARLKEMFNLASKELSHAK >Dexi3B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:3B:13037276:13039376:1 gene:Dexi3B01G0017690 transcript:Dexi3B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPPIRLGPPHPYLKTHGSKVARLHLFDWIILALLVAVDVGLNLIEPFHRFVGKDMMTDLRYPMKNNTVPLWAVPIYAVIGPIIIIMGIYMKRRNVLCLGSDMWLLWLTERAGLLFSVLITGVLTDAIKDGVGRPRPDFFWRCFPDGLPNYDNLTTQVICHGDPAAIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCVVILPLLLAAMVAVSRVDDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGEQGFWPHAYFEHILNPDGEGQVQSTNNSNHHQSVSGGPVAIEMRSTSQELDSMEEGRRAQ >Dexi4A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:4A:3981235:3983803:1 gene:Dexi4A01G0005500 transcript:Dexi4A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFKRTWFCKPLMEGEFRGAVQNFSTKNKFSFGLSHQQVAKLLHLFSSRNRLRLCQNPRLNDDLPRELETSQAKVTEVQSSPNSSSCGSFRSPCQTCSSSTLGDKLTDSVPLVNRGLQSDILDVAKSKSSQLTLHTGADIASVTISSNQEALHDQAADDYIPLPQEEDSLEGVDDLFGLLKDDSHSSESEGSSDSEGHTTFHQVCVRKEKEDGCYPPMLHSKLRSDSEGRTSVFSRLVKTHKTHNQEKGSKTEAFPPKSAQSFDPLSQRKKKWKAQRCKSFPCHNYGMLDMPSGDWLNRAPASNHSFVWRRSTKYSWGRQSEIRTGDASNKQPVRYDSCKRSFVPKGCSKLIDSFGNELNMPAVFAGEHDSIEVNVKKEMRTSSMNFKKRANDSYVGGDQDFYSEDVLGTGRKKRQATASFHQEYAGDTALVPKSTKTLDMAISDENFKERSIVLSSKDEHTQLATPDLETKVLLQDEQQQSFQGSFEYVEAIACDSSLILESSRTINTLGEHTFGDKKTSLNDETQSHVTAVHLGTEISLQQKDCQSTRNCHRVVNGDKILLLGKFETMDILPNHDEDCENKSLPSDGSDRLVTSYHLETEMAMLEKQTSNIQSCSEVVHDDVVLAPEISKVVFPKSDAECGNKGTSFDQEDDETMYLVTDSKSHTNTANVYSSDGSHNSTRTDDPECCKPMLLEEEQYRNFQGNSNSLDSFAVLSEGCGSKSGLSVDRTSGHLVADLLGMNSESRTSFINDSSSGSVEAFSASALNGDNADHNVNRSEAYAEPPILQHDPGEATQQL >DexiUA01G0013180.1:cds pep primary_assembly:Fonio_CM05836:UA:27371405:27380335:-1 gene:DexiUA01G0013180 transcript:DexiUA01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVDAAPETEKKVSGGQGRAPRDSRAASTTTREARKETTPVNWPPRAAVPSLAVASRLPSIHPLRAGAGRVTQGLTKSVREQRGAAMEPTHTDLIVMEVSNNNLGTAMAMEAHPMHEQSRSASGDDPSTVLTYLTFLEHKIGHLRGIICAAPRPPRQIVSAELSCIAVQLVSISKTLAAVSGGASTAADEATRSPPLREETTPNEGDSDSSDHAEEEDDDDDERSPPIGSYEIIELDREEILAPHVHSCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPIVKDAPPPPPPSTTTTRCFYSCPFVGCKRNREHRSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAAFDGHAPALPPEEDDAAAHSAAANHGVGSGSASDQLLDMDTEAVSRMANVAYFSDGMFDGLSCSDDIKGFDLTDGQCVDDGRGSFSPMGLDSCDFDGFDLFGAAEIVGFL >Dexi3A01G0028060.1:cds pep primary_assembly:Fonio_CM05836:3A:28361142:28362862:1 gene:Dexi3A01G0028060 transcript:Dexi3A01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCANIDREHGLDTVLEVPVPESQHEPPSGRGGRRRRGTVKAWVRLHMDQRHRRDGAPPSRADVQLMLGVIGAPMVPQPVEARKAMAGKDIKDEPIEVSKAKYIVEQYVAAAGGEPALSAATSMYAIGKVRMKTNKGHKAKTGMGVVNGGSEVSGGFVVWQKPEMWCVEMVVAGGTKMSAGSDGKVAWRQTPWQQAQASRGPPRPLRRCVQGLDPKSTANLFSTATWVGEKCVDGDDCFVLRIDADTSALRARSSADVEVVRHAMWGYFSQRTGLLARLEDSHLLRIRVHGEAAETTYWETSVESSIGDYRHVDGINVAHAGRTVVSLSRFGSGVITDEDVGSDADAHGAKRTCTRMEETWSIEEVDFNIMGLSNECFLPPRDMVPACNSKPVEKEHGSKKYAATGPEKSPVGGCDTCAVEVKSKNTDGGVRPVTARKALVPATTGLGWFGPAKVVAVETVDAAE >Dexi2A01G0034470.1:cds pep primary_assembly:Fonio_CM05836:2A:44423673:44432948:1 gene:Dexi2A01G0034470 transcript:Dexi2A01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGGGWGYDDDDDDDWGLSAEQLDQIERDAIRQLAERKASSAAASTAPSPASALGAISPLPSRATAPAAAATSPLGANHPATRASLEARFGKIEAVSPSRPYDPNTSRNAVNNSQGSSPKISVHISLHSSGVIAAKFPYNQLLVDAFHKIPKASWNAKERVWMFPPSSLSIAEEVLGSVPGLAVEVRKLDPLVQRALLASLSTKDLRDLYDRIPADVESKLMPFQREGIRFVLQHGGRALIADEMGLGKTLQTIQNWLNIPMEDILIVIADESHFMKNCQAKRTIASLPVLQKAQYAVLLSGTPALSRPIELFTQLQALYPAVYKNVNEYGNRYCKGGFFGLYQGASNREELHNLMKATVMIRRLKKDVLTQLPVKRRQQVFLDLSEKDVKHVRAIFRELYTDSATAKTSAVLDFLGTIVELSIKAGGYGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNVYYLLANETVDDLMWDVVQGKLENLGQVLDGQEKTLDVSQIDSRPSPSKQQKTLDGFLKRCNTSTEDQPSTKHLRF >Dexi9B01G0027760.1:cds pep primary_assembly:Fonio_CM05836:9B:30256817:30260485:-1 gene:Dexi9B01G0027760 transcript:Dexi9B01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGPCCFSPDARFLAVAVDYRLVVRDVVSLKVVQLFSCVDKISSLEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNQACVHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMSVFAVDTIDLAGVEWSPNDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKSVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHAASIRSPCNAAIFKEVDDPWQLDMSELCLSEGFSRNMQDNGAENGTEGGGSRVKYAVMDVPVTLPSTKPATDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLELAAVLVQKDPIRAAAWDPTCTRLVLCTESSHLYMWTPSGTCCVNIPLPNFRIVDLRWNSDGSCLLLKDRESFCCAAIISALPEEEPDQSDESSEDE >Dexi6B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:6B:5514619:5517087:1 gene:Dexi6B01G0005920 transcript:Dexi6B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVAVATTPAATAKSPACPSKKTSTTTLRARAVSCRATGRSSDDLLWLPRREILTGLGGVAAGLVGYQSFVSSVANAAEECTRPDPVTDTLIECMDPTIPCPPTPKTPIVDFTPESTVKRIRRPVHLLEREYQEKYKEAVAKMKVLPASNPLSFTAQAAIHQAYCDHHYHYDPDKKNVDFDVHNSWLFAPWHRMYIYFYEKALGQLIGDDTFGLPFWNWDTPAGMVVPALFKDSFANPLYDSNRNPDHLDMVANLNFLNDKSTPVAFNGPHDKAYQEAIYKNLATVHQQQMRGAGCARSFLGEKLCTDNISRQGQGSLESMAHTALHVWVGRGGGTSCTGGVVDFQGKTQCANDMGFLGSAGRDPLFYSHHANVDRMWHIWATKLGGEGFKDPEWLDASFVFYDDVDNPHPVRIKFRDVIDTKNLGYTYDAEADKDLPWKDCQLTSLVPHTKGAGGAMSKLRRAVTKAAVFPVTVTKNNVIEVPSVVVPAKKEGQPRVLVIQGIEYDPNVANKFDVALNVPKDSALDVGPQNFEFAGSFAVVPASGAAGEKVKGGVTFSVEACLEDIKAADDSTVDVIIVYLSSI >Dexi5B01G0028070.1:cds pep primary_assembly:Fonio_CM05836:5B:29548938:29549296:1 gene:Dexi5B01G0028070 transcript:Dexi5B01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISYSAPASSSATAHLVTFHDMVAPYSFIGAITSTRLSPGAPSPDSDPVPQLRQLPIGERGVGRVAVEFEWSGNG >Dexi7B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:7B:10672701:10672925:-1 gene:Dexi7B01G0004420 transcript:Dexi7B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIWMPPSSNHPSALNGLAADAAVRTFTAWIRNTSSRASLAILIIAIVVVVVTTISVAGRRETEKERPSSYV >Dexi6A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:6A:3940712:3947239:1 gene:Dexi6A01G0004290 transcript:Dexi6A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMSSRGGGGSGRGYGIHHHQHHSALCCLSAAPPMPGDATPTMPLTPDPAAAAAAASGAAVAVEGVLQKWTNYGRGWRERWFTLRDGVLYYSKIRDDAGAAAAVEDGEVRLIGSRIGGSRRREKPAGVVSLKVRAQPLPFSCDPASRILAFIPSFSLSVQCHDKSFNPVHFVVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLGSLSGRVTFVQCDVSISTARLRDRMHQEGLNENLIQDCEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHHFEEGKDGSITQGTLTRNEFSSSRHGNFSEYSTTESDEFEKQDGGELTCEEDSTFFDSVDYFIEPDNKSLTMLSGQEVVDNQTQDSSNNLPQIKRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGLMHIKGNRQYSCKLKFKEPSLLDRNPHLEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPQWFEQDTKDGTYRYKGGYWETREKGRWDGCLDIFGEFNET >Dexi9A01G0024810.1:cds pep primary_assembly:Fonio_CM05836:9A:21522417:21522972:-1 gene:Dexi9A01G0024810 transcript:Dexi9A01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQQRGAESRGAEATTAPATATKLTAATEDAAALFSVAAGAAAGGMTEAPVGVIASPTPAPMGLKAGPPAAGAETGDIGGAGGEDAGALAGGGGSGAILGGAGAGACLGDEAGACAVAAAANRARSATMTAARRAAIISFLSMCVMTRSVGGWWLPEFDG >Dexi3B01G0034390.1:cds pep primary_assembly:Fonio_CM05836:3B:37160921:37163851:1 gene:Dexi3B01G0034390 transcript:Dexi3B01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAAPAAATCFFSSPTTVSPRRFSSAMPPASLSAGRCVRLRRLRAFPSSELPLEELNPSVDLLRKTAEAVGDFRKTPIYIVGTDCTAKRNIGKLLANSIIYRYLCSEELLEDVLGGKDALRAFKESDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAANDMLKSTGTQAATDPDSFSQAMSKLRQQYDDLKERYGISDITVSVKNVASQLGYSSVDSVTLEDMVLEIVRQIERLIRTKAMMEAAGKPF >Dexi2A01G0024830.1:cds pep primary_assembly:Fonio_CM05836:2A:36565710:36566653:-1 gene:Dexi2A01G0024830 transcript:Dexi2A01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAAAKDSTPAKPGASAPQLKLLVDKHSRRVLYAEARKDAVDFLIGLLRVPAGLAARALAAHGEPAPGSLGTLYAGARALDDAFFTSTAPAPDRDALLSPALPSAALTPLLGGEGALPPPPPPPPPRRYFRCSAYSVPCRGNPMNVTDVSGVLCPACRQPMTVEMRWAPGGGDAHGGRQAQEARPGEGGGGYVKEVVTYLVMDDLTVVPMSTISAIMLLKKFNVKDCSALEEMTVDVGTKQAVMLLKAALQSSTVLTDVFSGGVSIDTIDG >Dexi4B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:4B:505106:505715:-1 gene:Dexi4B01G0000760 transcript:Dexi4B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSELEGPGGGIKPKVEPGEEQEHSPTLQPPAFDDDWEVTPLTGDNPFFTTIISKTHVQRFLLTIPGRVQCHLPETRVPATLVCRGRSWPASYCGDLKVKKIDVAAWKDFAVDNGLRVGDACVIELITPAAEAVTEGDGKVVEFRVQVLRGGLPEEITSKGATSDEPLVIVD >Dexi8B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:8B:21146535:21149114:-1 gene:Dexi8B01G0011900 transcript:Dexi8B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSRGIRIMDRVEEEERKRPTTTPWTFLAVDGEEDSSSSSAAAMARARARAPAPRECDVYVGHGGDARRLAAWLRAELEMLGIPCIASNRRQCGDAPAHAAARGAMDGALVGVVLVTPASLSNPYAVEEVCHFLDRGALVPVFAGGVRRSDLAAGPDVVVERRGGELWEKHGGRLWMAYDGEEQQWRQAVEGLAGAEPAVEVRGGDLRDRVLDVVELVGARLGRRTVGPTVRAWRAEAQLEIPFPWNKGFVGREKELLDVDAMLRGGAAHHRALLDNDKASGKRPMYLDGVVCITGGSGAGKTELALEFVHRHCHEYKKVLWVHGEARYLRQSFLKLTDHLGIAVGDTTFFQSKSRSATTTRSLHDIEGDAIAKIKKELTRDIPYLLVIDNLESETDWWDRRSIGELLPRGCKRTRIIITTKLAGGVHGVRTLSLGSLDASNAMRLMKGARTFGVEDTAILRGIQETVGDVTLGLALVGGVLTELPGVGPGELRSAMRHAPHRSPVWETKDDAALRDNPGLVQLLDACFALLRREEEGWPAARGRLAAAAERLVEASSYFAPVPVSSAMLVDAAAAAAGAVTSSSSWKKQWFKRTMRLSCASPPPTITGGRAEHQAALAMLLRLGIARRSTHHGSVSVHGVFRLFARKVGGASGTRVARAAVDTIASGHGAEAAENTDFHQVAACLSLFFKFESSAMAVKLPEPELTRFVTGAVIPLAARYVVGHSAYGAALEILREATDAVFAAEERYTTTDDGGSSRWRRGVAGGGGGGHVERLDPKVYRELARARAELLVMRARVMMRAGERDIAEDHCVSAVSILEVVNGDWHPDTVAILAPAMNGSESITVV >Dexi9B01G0042290.1:cds pep primary_assembly:Fonio_CM05836:9B:42517554:42520986:-1 gene:Dexi9B01G0042290 transcript:Dexi9B01G0042290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDRAHRVADEPAAAGRQRSERKKRKWDQPAEDLVSAAMTAAAVAGLPVINLGALPGVALPGVTAYGAAALPSAASVPFSLPPHIAPSVLQNASAALQKLSQAKIPDETIAREIVINDADPSVRYKLTKRQTQDEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQDQYINHIMKETGVTVVLRGKDSENLSSCLGEASQQPLHLYLTSMHLKSLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLAGVETPGPRSDVHSTLGTNALAGASHSFASTGAIAPIVAPAVTLQYGGPTYSGVPPPSNMICPIPPVNGGTFYSGYGDIYPQATPLQQVAFTLKHASSSTTQVAPMASTLTSRVIEADSSLDVGTDKRSRRKFQELPVSQGPTTESQVKLLVLILLLVST >Dexi5B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:5B:8029798:8032358:1 gene:Dexi5B01G0011300 transcript:Dexi5B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVAPSGYKNSSSTSIGADKLQDHMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKPNELKGVPADIVAKLIPEHAKKQCSYVGL >Dexi9A01G0029840.1:cds pep primary_assembly:Fonio_CM05836:9A:34718653:34720494:1 gene:Dexi9A01G0029840 transcript:Dexi9A01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPPPKDRRMRTERTSYRDAPYRRDSRHGPSRHIAAECSSKDICWNCKEPGHMANSCPNEGICRNCGKSGHIARDCTAPPLPPGEVILCSNCYKPGHFREECTNEKACNNCRQSGHLARNCTNDPICNLCNVAGHLARQCPKSDTLGERGGPPPFRGAGGPFRGGGPPPFRGGFSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSVSLMDRFHPRRF >Dexi7A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:7A:24770343:24770937:1 gene:Dexi7A01G0014840 transcript:Dexi7A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAASWHQSVHEHVDPEDSEPSVSSSSAGSLTFSSSSSSSTGSGEAAAAARRAQLWKVSSRTAKRASASARYSGRAPPPPWHSASATRSDRRKSVLALISATSRLSALGPLCLVDDSASPRQALSSSSFRSGTVLTRRPCVVAGGGMSIGLERDGNGNAAIEQCGRGSGGRFLLWLCERPRVRF >Dexi9A01G0039840.1:cds pep primary_assembly:Fonio_CM05836:9A:43627121:43628596:1 gene:Dexi9A01G0039840 transcript:Dexi9A01G0039840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPSWNNQQQGAQQEPRASGGGDAAPLRPPVGPAPSATAAVAPDASGLPSSSSGAAAAAAVASLARPNSMAERARLARMPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGTLRNVPVGGGCRRNKRSSKSSAASSSSKPSSTTTARQLGGQSSSTPSTAVTGAIIPPGLGSFSHHHHLPFLGSAVHQPNLGLAFSAGLPPLGMQLMGTVDQFPVASGGGATIGASLEQWRVQQQPQHQQQFPFLTGGGILELPPPPMYQLGLDANNSRGGGGGGGGSGGGSAAAAVGTFTLGQNTSATTAARQEGSMKPAVEDSSKGQAAEMRQYMAALRHGSQGVVWDGNNGGSSGGGDGGNGGSSWPMSIPGFHASSAGGGNGSSLL >Dexi9B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:9B:5325698:5327145:-1 gene:Dexi9B01G0008740 transcript:Dexi9B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLNETASFVRPGSFWDLKPSEKSASVLHCKLGCSVVYHSVEGHHIQKPHIAHSYKVNFTRVSHHLCKSLNERTTRHWLHRFHVCASSDDDFRSSHNIAISLFKRYKNVIDRGGGGDNLKEFISAGVNAYALGCTDEGLRKELMVIKDSGLEIEGLGYYGGTNLKFGVHSSEPTVIRWSPNPPVSADVLHQWKGFCALIANAYYVKGMAWLPVKTLQMEQMAVTGNSEEPSVVASRMQLVFSTLEVVSPQWPRV >Dexi5B01G0032580.1:cds pep primary_assembly:Fonio_CM05836:5B:33178522:33182811:1 gene:Dexi5B01G0032580 transcript:Dexi5B01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVLNTGAKIPSVGLGTWQADPGVVGDAVYAAVKIGLALKKLFEEGVVKREDLFITSKLWNDHHAPEDVPEALNASLSDLQLDYLDLMLCAISILYNVDSGNMIHWPFRVKKGTSTSPENFVTPDIPATWGAMEKLYDAGKVRAIGVSNFSSKKLGDLIAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLTAYSPLGSPGTSWMNGNVLKEPVIISMAEKLGKTPAQVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPDDLLAKFSEIKQIQRPFQIKKGSEISPENFVFDMPKTWQAMEKLYDSGKAYVVGVSNFTTKKLADLLALARVPPAVDPVECHPGWQQAKLRAFCHSSGVHF >Dexi9A01G0036900.1:cds pep primary_assembly:Fonio_CM05836:9A:41226228:41228953:-1 gene:Dexi9A01G0036900 transcript:Dexi9A01G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPSSPTSVAAAGHAPYLQLPRQNSGRGGAPSPLSVSSPSSWAQAPAFSRSNSSGNGAADDAPGSGEDQQPLPSPVNGGAAPFFPQGGGDALLDDLQLQEQLAFLNDNPAHQLHGFPGFDGGECWSPGAGDGGGMPPFGGLGWHNGGSVHRRSASVNELCLGGGGGDGFGWKPCLYYARGFCKNGSSCRFVHGGLPDDAAALAATKMEAAADQQQQQYQDFLIRSKSQRLGAAAFPYSPTGSLPGSPSAASKCLSFLLQQQQQDRAAAAAALMLSGGDEAHKFMGRPRLDRADFASMMNPSSRQIYLTFPADSTFREDDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQQQGDFSGCTTPTGLDARDPFDLHQLGARMLQHSNSANELLLRRKLEEQQQAAELQQAIELQNRRLMSLQLLDLKASTAATATASPMPTPIANAFASSQPLSTTAVESPPESGEQLKLSSYAPEGKVNAVDKEESAGEASPNAADSDQSGEHNLPDSPFASTAKSAAFGHDSFTATETDSAVSRTVVDAGSITDSGGSHLRPPALDIPSPKPYFFPMHRCIKPLLSVAS >Dexi9A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:9A:2324663:2326204:-1 gene:Dexi9A01G0004340 transcript:Dexi9A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGSDRGGFGRGFGRGGRGDRGGRRGGRRGQRQEEEKWVPVTKLGRLVQEKKFATIEEIYLHSLPVKEHQIVEALVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWRETKFSKTPYQEFTDLLAKPTKGLMLEAPIETVEA >Dexi9B01G0042560.1:cds pep primary_assembly:Fonio_CM05836:9B:42803043:42808009:-1 gene:Dexi9B01G0042560 transcript:Dexi9B01G0042560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTYQKGKKRNMEVRSLLLALICLYATPCWALAQQPEAVMVIVKGSTKTAETDENYVCATIDWWPPEKCNHNQCPWGQSSILNLDLDHPFLAQAIQGNELSGHGIGAKVDAKLYGKDVVEFKSVLRQLYKAPLYLDQLGMASKYNTKVFCRQTLIGGNYVLCYGIGLWAVEFFRLISLHHVGCVLMLIVEDSSLIAMQGITLLLINLSNTTGYNITLQNDINVSLRKSPELEKRSSFTHRLRKAVSWLGSKPSNDTKKREEYHLTAKDGDLQSKTMLLNGVSLELGNDGSVPAMNPVLVAVDSPVYLAPTSIAFVVLPNFEAKACS >Dexi3A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:3A:6918742:6919993:1 gene:Dexi3A01G0009830 transcript:Dexi3A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWYVYFGNPDIRLGKGGLRRFLLWAPWFLNKTVAQHRAAVELRVLKERAREVGKRRQRYDVRVPEEHHHPEEAGLTSPLPWAEADVADAAGDDEEDSVVGNDQFGVALALAAPDRRPDSRRRAAILKPRTLDDYFQGKVTKWVKDAGDDVPVFSAAIMAAPGAEQEAIDLAREALAALEGRPTIVVVDIPRTSSTTSCESSNPTSAPRSRSSTRVHQRRSCTGS >Dexi5B01G0035560.1:cds pep primary_assembly:Fonio_CM05836:5B:35535023:35536417:1 gene:Dexi5B01G0035560 transcript:Dexi5B01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATTTRAAAAAVSPMAALHPQCGGASSTARRVRCRAASRTIAAAAATATSTACSPVEAAAPAPRRAPARRSTAATVAGMWRQVQGCNDWEGLIDPVAGEVHPVLRAEVARYGDLVSPCYKAFDLDPSSRRHLNCKYGRERMLDEVGMPGAGYVITRYIYAAADVTFPTMEPSTAGRGRWIGYVAVSTDDMTRRLGRRDVLVSFRGTVTPAEWVANLMSSLEPARFDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVDACGKDRPGEDVSVTLAGHSMGSALALLFAYDLAELGLNRGAPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVHDPITKLPGIFLNEATTGVLRPWRGSCYTHVGVELPLEFIKVGDLASVHDLGTYVALLRSGGERPLQVADSPRRRDGGVVAKVMEFVGRQRAGAVQWQDAALQMGGLVQTLGLI >Dexi2B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:2B:10156025:10158121:1 gene:Dexi2B01G0009360 transcript:Dexi2B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAALSPRPPPPNPSAAAGDDDSINSLLASLSDASALRLLPAPLLAFSRLRLLLPSAAATSRFLLRPIASLLHLHRSDLRIGLQLHALSFSLGLSRHGLLLPRLVSFYAHHPSLLPAASSLAAGSTCAQPYNVLISSCLSHGLPRHALAAYQEMVGKDAVAPDTFTYPSVLRACAEAGDLALGRAVHVRAADAGMDGHLFFQNALVSMYAKCGDLVAARRVFDGMGHRDVVSWNSMISGYAASGLWREAVDLFSRMRAEGAEVNSVTWNTVAGGYIQMRDYKAAIGLIHDMVRGGAGIDFVTLVIGSNACSRAGWLRLGKEIHGLAVRMHCDGIESVSNAVITMYARCKDMERALMLFKMLRCPGLVTWNTMVAGFALSDDAEEASRLFREMICSDVEPNYVTVVTYLALCARVANLQHGRELHTHIVKHGFKGYRLLWNSLIDMYSKSGRLPVAQNVFDTMDDRDMISYTSMIAGYGMQGKGAVALRIFNQMVDSGIVPDAIIMVTVLSACSHSGLVDEGEELFDKMVNTFGIKPQMEHYSCMVDLYARAGLLEKAEELLSKTPFPPTSTMWAALVGACHERGNIIIGERSARRLLEMKTENAGHYVLVANMYAAAGCWNELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELTEQMRDMDDCSDLDIISTEELME >Dexi1A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:1A:23093969:23094149:1 gene:Dexi1A01G0016090 transcript:Dexi1A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGAYSPIGFRGELDRIRFRCRCRSRPDEVASLLPEASSQRTAETRNQEE >Dexi3A01G0034400.1:cds pep primary_assembly:Fonio_CM05836:3A:39568623:39582003:-1 gene:Dexi3A01G0034400 transcript:Dexi3A01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADMIGSARPIHGALQAPSATSKLQNFIKATAGRCFNCLARGHKAASCRDPVHCLRCRRSGHRERFCKQRPSASAKPSTSRTLPQRPAQPPRSATPPRSAPPPPSVAAQEMAKGDPHTRPETETVFIPNSFALMQDAREWEDCTLVPWAMHLPRGAGGRNIAELLVKELGLQRNQVSVTQWHQGARFEVFIHMPQLEDYSAARKYLEDGIDIPVGFAPTTRRYEWRYGVLDGAPQGTRPRFPARLPKPPHKMQQEPSNRGGNRQGSGDRVRRDDRGRPTSKREGRGSCNDRPFSWPSRDETDDDEDDYTHPGLGDDKYSDAYWGVAAVRRERMRSPPRRGYNSNQRRHGTGTMDAGGPINLNALMPDAKSLSTGQLQALFAVRAHDLKMRLQDEVLMPPPSRTVSVSQVSKETWLGDANDYINKACFLAEQLGIGAPNAGEEACITPDAVRPPTAGTTTTTSSPPKGSAPSPPVAVAADGLAGNDDSDNLLPGGVEALFKELPPAALPHPPQPLARANRMGGGSTMQRRSARLAKKPKMPIMAAATTTASICSSDTEKASHRFEIRGYNQHCSGLGIGKYICSTRFTIGGHDWRIRYYPDGATEEFKDYVAVHLELMSELTNVRLLYDFKLRNNQQQQQAAISSSDHHHQQLSSSQPAAAYTSISSSTAVLTSEGPSTTCGTHKFIHKNELANSTSSYLWGDCLEIECEVTVIKDSRIREIAVRSCTWNVQVPPSDLSLHLLQWFSSGQEADITFKVEQVTFRAHTLMLAMRSPIFKQQLYGQGQGQTPRDRVQRAEINIEGISPAVFKALLHFIYTDELLAEHEGEDMAKRLFAAAETYAMGRLKLLCGNILSKGLTVQSVVPILELAHKHQCTELKDACIEFIISSKRRMADVVSSAEYQVFKHACPVVALEVLEKSSDTYKTAMASRVTEEEQLAYDYHEGKL >Dexi8A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:8A:7943752:7948230:1 gene:Dexi8A01G0007180 transcript:Dexi8A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLDAAISGDASGILELASRQPSLLFETTPQGNTCFHIASIHGNEGFCKDILALSKSQSLLLLGTINADGETPLIAAVTRSRAAFASVLLRWCNDRQLSEAILKQDKHGCNALHHAIRRGYRTLALELIETEPALSKAVNKRDESPMFIAGMRNFMDVFDKLLEVPDSAHSGACGYNVLHAAVRNGNSGIVKRVMETRPWLVKQENELLKTPVHQAAIEDKVDVLTVLLEHNRSLGYQISTDGLPLLWVAAYWGHVGVARELLKHCPDAPYSTADGSTCLHIAVQRGNIEFVGFVLESQQLQHLVNMANDSGDTALHLADKIPDDSNKDMLIAPSAPPAMDSRLLEAATTGDAKTMQNLAMHDTGVLLGTTPQGNTCLHIACIHGHERLCKDALALNKSLLTTVNLQGETPLLTAVISGRVSLAYFLLECCHDEKLREAILKQDDRGCNALHHAILCGHRELALKLIEAETDLSHAVNKYGESAMFMAAMRNYADVFEKLLEVPGSAHGGARCYNALHAAVRNGNSAIAKRIMETRPGLAKEKTNVRKCNPKMVAALLHHHDVDVTMQNTEGAIANWKLFNASHHAKTLNWNEVSMLMLKADPEDATSLLNLHKEAKDKVKESQRNDIKSLTQTYTGNTSLVAILIATITFAAAFTLPGGYSTDPGNEGLPILSRKYAFQAFLICDTLAMCSSLAVAFICVIAKWEDLEFLLYYRSFTKKLMWFAYVATTTAFSTGLYTVLAPHLLWLAITVCVLTSLLPILTKLLGEWPILKLRFRLGRTFKSELLDMV >Dexi5A01G0038950.1:cds pep primary_assembly:Fonio_CM05836:5A:39702986:39706405:1 gene:Dexi5A01G0038950 transcript:Dexi5A01G0038950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSSPPPGPLRAAGNGINKATSYRPTAAAGCLAFHSPPPQSQTPRPAAAAGKTLAMDTAALETLILDLHAIEAVKLGSFVLKSGITSPIYLDLRALVSHPRLLSAIASLLHALPATRPYGLVCGVPYTALPIAAVLSVDRNIPMLMRRKEVKAHGTAKSIEGSYRAGDTVLIIEDLVTSGASVLETAAPLRAEGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTQEKAEEVKQFLDANRKVAVPGVPVKPTVVRKSFGERAKLATNPMGRRLFEVMEAKQSNLCVAADVGTAKELLELADTIAERHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVPGPGIVDGLKQKGLPKGKGLLLLAEMSSAGNLAHGDYTAAAVKIAEQHPDFVMGFISVNPASWSVAPSPGLIHATPGVQFGAGGDALGQQYNTPQAVSTAMPILLVRVISDRGSDILIVGRGIIKASDPAKTALEYRLQGWQAYQMSLL >Dexi2A01G0023360.1:cds pep primary_assembly:Fonio_CM05836:2A:35062434:35063108:-1 gene:Dexi2A01G0023360 transcript:Dexi2A01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVEVSELVHQQVRAVVALVGQERWKIAESEPSRQPVELDRRHHIADERRLKKPGPRVTARHVHRARLREKRRARHAVPRRHALRPRQERVVHQRAHGPAQIGLGPEERAHGFRLGCLQAGRVGHDPAGLVEATGVAAVRDGGDVDATAGAASRGGGVLAGAALSEDEPGHGERAPDTVVRGEALAELEDRVDVALTRVQEQEDVDALLCAWLCHGLATD >Dexi6A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:6A:5250881:5252801:1 gene:Dexi6A01G0005740 transcript:Dexi6A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFHTSTQQLIIDRRARPFNPKEAEDVLALLDMCVRALQDSRHVTREKALTAIAGALEQEVPAPLDDLADDTRCFNIFALCGVCVTEGPPKEQRLAFRAVGLLALSLGGSSSEILAHTFQPLAKTISREQDDAVAVTVVAAAIDCLAAVTFAGARGRDEVERSLKVIWDLISGIGDAGASKTSPQVLITAVSTWAFLLTTVVSETDTFCRKKPDNTVSNATVASLAGLLDHNDRAVRMAAGEALAVSELASELPSRGSNNTLLPEQWDLFTQIAAFLDRGEHPEKLLPTSMEGCVALRVSSWAKLVQLNFLTRFLGNGFVKHVQCNELFKEAFRYGAGEGKVLSISKKKQGKNTNTPAEKDSKALH >Dexi9A01G0022230.1:cds pep primary_assembly:Fonio_CM05836:9A:17293179:17297348:-1 gene:Dexi9A01G0022230 transcript:Dexi9A01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSASASDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDVFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVAKEVDLNALAKYTQGFSGADITEICQRAVKYAIRENIEKDIERERRRKDNPEAMEEDEVDEMAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFEQSSTAGATAAADPFASAGAAADDDDLYS >Dexi3A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:3A:5205651:5209441:-1 gene:Dexi3A01G0007540 transcript:Dexi3A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLVLLVLPVAAIVLPSLAHATVAPRFDSIFSFGNSYADTGNFVLQSAGLPSIPFNHSPYGETFFHRPTGRASDGRLIIDFIAEALELPLVAPFLQPRQDLSHGANFAIVGGTALDVGFFLRHNAASVPPFRSSLRAQIGWFRRFRGSLCNGTAAAGCREHHLARSLFVVGELGVNDYGYLLAGGKSVAEAKSFVPKVVKAICRGIESLMEEGARYVVVSGTLPAGCLPMALAKYGGAPGNATEYNRRTGCLRRLNGLSQYHNWMLREAIGHMRAKYPDTKLVYADFYRPVARLIRRPTKFGFTEDPLRACCGGGGPYNYNPEAACGSPGATVCGDPSAYVHWDGIHLTEAAYKYIANGWLSGLYAHPSILDLAQ >Dexi3B01G0032190.1:cds pep primary_assembly:Fonio_CM05836:3B:34576372:34576602:-1 gene:Dexi3B01G0032190 transcript:Dexi3B01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDRSVHDGARRLERGVAAVLLCGGVHKRAQAVAALASATPVEVLPAAANAVQGSTQVISTLIGGPSPWGPALR >Dexi8B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:8B:20481403:20482777:-1 gene:Dexi8B01G0011450 transcript:Dexi8B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPMQALITLLLYVTPLIGSAAPSPSGYRFTLTHIDSKGGFTRSELMRRAANRSRRCHRAMTMSPSYSTTSSSSDTRPTRLFSGEAEYLMELAVGTPPIPFIALADTGSDLTWTQCQPCKLCFAQDTPIYDPTMSSTFSPATCASDTCLGLPVWSTNCSAPGATSPCRSSDVVSIAGITFGCGVDNGSLSYNSNGTVGLGRGSLSLVSQLGIGKFSYCLTDFFDTNISSPFLFGSLAKLSATGGAAVQSTPLIQNLERPARYFVSLEGISLGDTLLLIPNGTFDLRADGSGGMFIDSGTTFTILAEPGFGVVVEHVAGVLGQPATAVNGSSLCIRPCFPAPAGARKLPEMPDMVFRFAGGADMRLRRENYMSFDEEVLAFCLNIVGTKPTWISVLGNFQQQNIQMLYDITVGQLSFVATDCGML >Dexi5B01G0039020.1:cds pep primary_assembly:Fonio_CM05836:5B:38058315:38060532:-1 gene:Dexi5B01G0039020 transcript:Dexi5B01G0039020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHVLPFLSPAGTASSVRAIPRRRAAHLRCAAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDELEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKAALKRCILELLTSKGGNSELNLGFRKPSVIMIVGVNGGGKTTSLGKLAHRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPAGLHTNYGLMEELVTCKKVLAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVEAIFP >Dexi4B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:4B:21947042:21948834:-1 gene:Dexi4B01G0019810 transcript:Dexi4B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVYGSEILATMSALSLMAWMVGLHGSLIKLQILSKYFYRPGLIFLILLGLDITSHWFQMYRSFLSKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIILFLFADEKSTSLLSVCRGILNQSPLIILVFISTLVGWAVKQVTNVIQMKTATDACVVYDLKRSK >Dexi5A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:5A:12761128:12763142:1 gene:Dexi5A01G0015220 transcript:Dexi5A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLANFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >Dexi7B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:7B:20175776:20176122:1 gene:Dexi7B01G0013730 transcript:Dexi7B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSRRMVASALFVVLLLLVASEMGPTRLAEARHCVSQSHKFVGSCMSFRNCEGVCKTEGFPWGECRWHGLARKCYCKRLC >Dexi3B01G0037590.1:cds pep primary_assembly:Fonio_CM05836:3B:40363128:40368590:-1 gene:Dexi3B01G0037590 transcript:Dexi3B01G0037590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPPKLASPSPPRPATAKSGRGRGGGSPPLGGIQRVTQTQERSKWFGVSISPPRRKEGGGRRPASGGATSLTLEFWATVLQVLAVELAEESGVMGISAKWIKSLVGIRKHEKGRNAECSAEEDWCGSIGSVEDMKAKALKRQEAAAKRERAMGYALTHQWQAGSRKQKAASLQDQGLAGDENQWGRNWLERWMAARPWENRLLDSSAKESVTVGDDKPAEEEKAKAPNKPKGKATISTTQSNGSRQKKGAGHKKSQSDVSGSSSGQSASVQPTASMESSKIKGKPSDEITDEVSSQPSNLASRSTSNPKERPAQINTPAKKRLSLPNNGKSSVSLSTGNGVVGKRPANSSRTAQAIRSKNAAKGISKSESRDQTKPSSTTMKPVETQA >Dexi5B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:5B:4319240:4320283:-1 gene:Dexi5B01G0006380 transcript:Dexi5B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKPAIATLSPFLLLLVLLLSASGATASRPTRQLTSAGDDDDDAGATATAAAAAPAATSPAVAPAAADAPAAAGAIPAAAGAAVAASGGAAAAAAAAPVAASTIPAVGAGVADGHGMVFFMHDILGGTNPSARIVAGIVDNAAVTAQLPFARPNGAVLPLNSGVNVNSGAASAVDNNNIPFLTGLGGATNAAKSFNSNNNGNNGNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPELGSAAVGRAQGFYIASSEEGVSQTVAVTAMFKEGGFDDTISFFGVHRTADSESHLAIVGGTGKFVGAKGFAKVAVVRPGGVAASGALLETDGVETVLQFTVFLV >Dexi3A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:3A:588659:593550:-1 gene:Dexi3A01G0000780 transcript:Dexi3A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSCCLGAADHAHAGASSFPSAGSWLSMAPWIVIDCSNLSWLLFRVFTYDDNHSFANKPPGSSMSGPRRCGSRRPPPAVVGDNGNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRSPDAVQRMYSEVQLLSTLRHDAIIGFHSSWVDVHRRTFNFITELFSSGTLRSYRLRYPCVSLCAIKSWARQVLGGLAYLHGHDPPVIHRDLKCDNLFVNGHQGQVKIGDLGLAAVLRDQQAAHSVIGTPEFMAPEMYDEEYDERVDIYSFGMCMLEMLTLEYPYSECSNPVQIYKKVTAGKLPDALYYRVKDDDARRFIGRCLVAATKRPSAAELLLDPFLLDHHHHHSTAMMPALPPAPSSACSSFDVSSSLGDDHQDMEQEEDAQAQTPAPKMGMTITGKLNAEEDTIFLKVHISDDETGHSGRNIYFPFDMVSDTAAEVAEEMVKELEITDREPSEIAAMIEQEIERLLPNREQQHEYSVYAAHDDDENEEERPPPLYYLSSSPASSQSSLCGVAPYSSGGFSGPHVGVWSKDHGYYDACLSDDDDMSSIHSSGKYSALHYDTTGSNEQEEEAQQLPGDVVGPSSNKNTTRFGRAGGESSSRSPAWTAQQQSSLAHELQRQCSVSPHAGRPRRREDDEDGRHGHGRERRRMTRRNRSMVDMRSQLLHRTLVDELNRRLFFNTVGAVENIGFRAPTTTTSSSSSSARARRSSTRDDNGKHHHQYVML >Dexi3B01G0034980.1:cds pep primary_assembly:Fonio_CM05836:3B:37613133:37615182:-1 gene:Dexi3B01G0034980 transcript:Dexi3B01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFVVLVAEASVAAALLFKTPLRKLAVLGLDRLKRGRRAPIAVKTVAGVVLALLASTLYSMAEISGRATDPDSGGGLTPTDQVLFSRHLLEASLMGYSLFLVLVIDRLHQYIRDLRGFKKNLEAVSKHNKVLEEAKLGISKETEKYQEEIATLNKEMKKLKIQVQEKTEEIHVAEDKALAIQKQSEGLLIEYDHLLEDNQHLRIQLQSIDLRLSSSS >Dexi9B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:9B:2785587:2794287:-1 gene:Dexi9B01G0004870 transcript:Dexi9B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFALDDDAVEETWKRMALDVDALTAALGTLREKGAWDRLAEEARMRGRLTEQLADARREVAVLERSRAAWKQERDQQLARSAERLRDERDWLAESVGRSREALATVQQGRTNAIVLAAEMAKQVQAAEAAIGAHHVPQSTRRAVAVAAEEIGSCEREARESRREAQESPPTSPDSPRARALRPAGVMEGAVLACAAPGAPGGGGAGAGDVVRLKRSALAACLTCPLCGCLLRDAATITECLHTCECSPFANLPVTGVRIIDHSIQYVRSKVFPKKQKVEALEVASPITSPIKRKERSLSSLSIHAPQVSVQKCLTKRRTKASGLRNISLHSKMRSSNITKKVGGWRPLGSHFKGAKNKRHLRSKSEDAKTTENKSDAPVDGTPTSQRKAKRQFTRRGNLEKRIGSKKLLVLKGKQKKMKPKANKKRKLQALWFYLVAAFDQKGQPPLPQVESKFLRIKDVDLPASFIQKYLVQKLNLSSEAEVDILCGGKPVSPGMTLHDLADCWLDKGQKGRVRSSVGTPAAGFVAKVFYGRSGVPVPETEGNQGLSRA >Dexi8B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:8B:16247589:16248203:-1 gene:Dexi8B01G0009310 transcript:Dexi8B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPVVSAATVQPGIRFKPSGQDIVSHYLRPRSVNEPLTSDIIIDADILRYNPWELVTEGCTEKYFFTQRVRRWRQGNQWNRAAGDGHYKTSGKVEPIFSSSINGGETEKIGLKRTLVFYRGRTAVGQNTEWVMKEYSLVQAGLKPYRVMKPSGNK >Dexi6B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:6B:18139195:18140908:1 gene:Dexi6B01G0011130 transcript:Dexi6B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGVVVPAPAETAVSVPPNAAAPLFPYPPPRAAAPPGAAVRKKYLQMDAGARAAAGGWVVESMRASSPTHARAAAALAAGVDEERHAAWMVEHPSALSKFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHTKAAKAKGVLFQPASQFLPMIEQVHDSLVEMTKAIPGAKVENNKFCVSVHFRCVDEKRWGELAEMVKSVLKEYLKLKLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCADVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKDTSASYSLQEPAEASTRSCDAETVMEFLLRLVEWERLSKARPKW >Dexi1A01G0025230.1:cds pep primary_assembly:Fonio_CM05836:1A:31537185:31537566:1 gene:Dexi1A01G0025230 transcript:Dexi1A01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAAPIDVSAREGDEGGGAHRAATRRGKMKSEARTRKALKWMAPSQSASAAVVRSGEYAVPRNTAVPSSARSPLAAAAPLARPLVVVPAPIDPPADGSPEEESSGRETEKGQLVPGGA >Dexi3A01G0036300.1:cds pep primary_assembly:Fonio_CM05836:3A:41705070:41708133:1 gene:Dexi3A01G0036300 transcript:Dexi3A01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGGNKIRNAKLVSNPTSRALEFHSALIPPPQLARQVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAEAKAYAQENGLFFMETSAKTAINVNDVFYEIAKKLLQGQQVQNPQGGMVLNQRPPERTVSSSSCCA >Dexi3A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:3A:13338571:13343364:-1 gene:Dexi3A01G0017510 transcript:Dexi3A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPSSIFLCFDLDMEIEMASAGFEGFVHNDERKESKSDADNSEGDKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNELKDSIRDVRDVKELQDVETFRKCLIDEDLLPQQHDDYHMMLRFLKARKFDVEKAKNMWSDMLRWRKDFGTDKIGEFDYTELDEVTKYYPQFYHGVDKEGRPVYIELIGKVDANKLVQGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTASKIHVLGNKYQHKLLEIIDEFELPEFLGGKCKCDEHGGCQKSDKGPWKDPEMIKRVLNGEANYERQIVTISSTDGKIIGYARPEYPARKGSDASAESGSEVEDSKLPAHASASVAHASIEESIPVVDKVVDDGWGSPRASLQASSSGSLSFRNLPVTFEGLRAQIITWLTVLIMTLFAMLCSIPSKMARRISNQSIKHDEYRVEYPQEQEYKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDKQELVKFRKKKFCF >Dexi6B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:6B:21972230:21972544:1 gene:Dexi6B01G0014450 transcript:Dexi6B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVQGGVYTLALPRARRGSTTPTLSSLSSRRSAIRLMSSSSSCRNGSWPSPTSYRTPITAALFSALSCTRWSSMSYRRPSTVCSVGECQCICLR >Dexi9B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:9B:8434259:8437733:-1 gene:Dexi9B01G0012550 transcript:Dexi9B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVASTLKTLNPSPADHRCRRLSSSLLRLPPPVPRGRSLRCSAQYGEAAAPPTVTTTPRPAEIAWSRELCNSVRLIGTVGTEVELRQLPSGSTVAKGRLAVWKSATETTWVTLQFWDDLALVASEHVKKGDRIFVSGRLVSDTVDEGPEKRHVYYKVAVQQLNFIESMQPVRLYDPEASDDTPAEELWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAVLDSRMGSLQGNERKPVSYMYADEFMTLDGNR >Dexi2A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:2A:22993468:22994252:1 gene:Dexi2A01G0014080 transcript:Dexi2A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRGAPLPPSTAAKVHLELALLILSPLPSGPPRLKLHAHSHLRCLRRHGSRRVAVARPPPWIGAACVPFGLRPPPAGPTLLWTTISRRSSRQHSPSTETWAQRSPPSWSREPTPHIGSPQIKLSFAAPALCIHLVCCEDSAAVESSGAQATQLGDAFPVVICDCKAASQRVDQLNIAVKSELQRDRQIKELAKDLRIVEMALGSLV >Dexi9A01G0040370.1:cds pep primary_assembly:Fonio_CM05836:9A:44141287:44142462:1 gene:Dexi9A01G0040370 transcript:Dexi9A01G0040370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRGSRSVESSDAENPGNNLYVTGLSARVTDQDLEKHFSTEGEVIDASVVHDPWTRESRDFADSNRVDVGSCSATNSYNLTPNHATSIKGLA >Dexi9A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:9A:2078517:2079856:-1 gene:Dexi9A01G0003970 transcript:Dexi9A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAEVSAALAAAATGAAARQCDGGGVLPMLPPFFMGSIWPDGGGADSEEDEAAAAAAAAHDRALAASRNHREAEKRRRERIKSHLDRLRNIDKASLLAKAVERVRDLKQRVAGVGEAAPAHLFPTEHDEIVVLASGGGAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDVVDDDVVVAGDDDGYGGGRTDIDGNGGGDFLKEALRALVERPGAGGGGDRPKRRRVSDTTNMQPAA >Dexi4B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:4B:9823037:9825056:1 gene:Dexi4B01G0012130 transcript:Dexi4B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKRASRSHAYHRRGLWAIKAKHGGTFPKAEKPAAAAEPKFYPADDVKPRAPSTRKPKPTKLRSTITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVSGPFKINGVPIRRVNQTYVIATSTKVDISGVDVAKFDDKYFAREKKQRAKKTEGELFETEKEASKSLPDFKKDDQKAVDAALIKAIEAVPELKSYLGARFSLRDGDKPHEMVF >Dexi3B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:3B:8534960:8535902:-1 gene:Dexi3B01G0012200 transcript:Dexi3B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSLGSVLIRRLSPRGIPRAQAQTRGPARSLHSLRHLSVGDGAYVSIAVAALTGLVGTLYFVKNRDESAGDVTRKEAWKTEEAKNTGEETRKKMDMEEASVKEDNDNDFSLEKYLIEMTELAAAQKKARYEREMSGKKAAVKEVANSEAMTDEDMKEEAAMKARFEEWMKEHGRRYKDKEEKAQRYELFKDFAKMVDKANAQGGGAMFVTNHTADWTEEECQCLYDGDVDWDDYLDHIQSLIDKKNAKAKKAISE >Dexi6A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:6A:24766033:24767130:1 gene:Dexi6A01G0016920 transcript:Dexi6A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRATTVTRKLLLAATMSVVALASPSQLPAVAQGPPVGLQGNCTTICGDVIVPYPFGITAGCYLPGYNLTCDTSHTPPRLFLGDGTLQVVGISLENSTVRVVGPNIPLVESYRDGYEAHGTWGGRRWGLLNGPYILSEKYNELIVLGCQLSVKLKTYQSISGMREQVINTCESICVAGIRMHEECQLPEKKQSRRCQRCSGLGCCQVMVPVARAWYGVYLRSIILPDRTVNRPRWAFISEEGWFQQPYNYSNMPSSGIPAILAWAILSEVLPFRFDTRDGNATCPTDLNSTSCHSRYSTCTNDRWYAKTTTDTRPLQTFSTSYTCRCWDGYEGNPYLPDGCQGTSHYLVLNYWSILFAFVRLP >Dexi7A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:7A:10983989:10986015:-1 gene:Dexi7A01G0002560 transcript:Dexi7A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPNLTCTPLVLAPHKNCVLAATTSSMPSPSSRHHSPPRPPLLLSTNGKAAAPFLLLATALTTKTQESTATTNTQHHQPQLRMGDASISVVHDDEDEHIFRSRFPPVAVPDDVTVPEFVLAGAEAYADKVALVEAAPGGRSYTYGEVARDVARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRAIAAEIKKQVEDSEAKLVVANEVAYDKVKDAGVPVIAIGDAVERMMPGAISWDDLLAAADRTGAPVVELDPVQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVGDELLGHVVTLGLMPFFHIYGITGICCSTLRHKGTVVVMDRFDLRTFLGALVTHRVMFAPVVPPVMLAMVKSPVADEFDLSGLALRSVMTAAAPLAPDLLAAFQDKFPGVQVEEAYGLTEHSCITLTHAGGGDPEKGPVQIAKKNSVGFILPNLEVKFVDPDTGRSLPKNTPGEVCVRSQAVMQGYYKKKEETERTIDAKGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGVPDEEAGEVPASCVVRRRGAPESEADVMAYVAARVASYKKIRLLRFVDAIPKSVSGKILRRQLRDDFIERSKAAAA >Dexi1A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:1A:874183:874713:-1 gene:Dexi1A01G0001390 transcript:Dexi1A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASNPRVFFDITIGDIPSGRIVMELYANEVPKTAENFRALCTGEKGVGKSGRPLHYKGSIFHRVIPEFMCQGGDFTAGNGTGGESIYGAKFPDEKFVRNHTGAGVLSMANSGPDTNGSQFFICTVECDWLDGKHVVFGQVVEGLDVVRAIERVGSDSGETARPVKIADCGQLA >Dexi8B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:8B:941452:942413:-1 gene:Dexi8B01G0001410 transcript:Dexi8B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQSYGAKQYHMLGIYLQRSWIILFLCSVVLLPVYIFTEPMLVALGQDPKISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLALHVSLSWLMTVKFQLGLAGVMGSMVIAMWIPH >Dexi4B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:4B:22147332:22148363:-1 gene:Dexi4B01G0020000 transcript:Dexi4B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFCLMFHTIKLMAIKPEQFIPKSQLRASKILFSIAVGALVLTCISIVYSLVPKAYYFLPMALLPSLLVAGFHFLYGANTDDGNEEISSAQIKALKKALKRATQLTMSLVTTSFTGFIGLLLANYKAASLGAAAYSGVKVSVNLLLAGGIAGTFALLLCRLLSSNGGGGRRRLGTTWQRAILTTANIIMTFMVVPAILIIAETILHGLLVGAMFPVLVGAAAWLLVAFCTEAVSSGTEDGDKSGQGTAYAIAVAVASVAFGTIIAIFAGMLGGVVDKEQVKACTFLLASAFVAAVSLGVVTSGTAWMDKVKPSTEFAVTVLVCCGLGTLLLAALALFYEIAA >DexiUA01G0001990.1:cds pep primary_assembly:Fonio_CM05836:UA:4883440:4883773:-1 gene:DexiUA01G0001990 transcript:DexiUA01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSYNVNGAWVILTVGFILFFLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKAQRGAPAANVPSPAPPIYDEL >Dexi1B01G0027130.1:cds pep primary_assembly:Fonio_CM05836:1B:31921357:31929664:-1 gene:Dexi1B01G0027130 transcript:Dexi1B01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSKRNRLGLDGEEEEDEIVAISSDSDESESEAERGAEEDDDDGEYVGESSDAGGGGDEGEEQGSSDSGGKGGDGDGRGDGGRPLPGGRRGVMAPDRERKSQNVDALVREGVQFMFDCVSGSLSDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDDKPMVKKVVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQLLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKQSKILAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGATSISKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDNKMQGSSLSTEDLRDLFTFHEQVRLNLFLWIYSSLFLFCSSCYQSEIHENLKCSRCNKDGNSLLDGNGFGLAATELSSKLSVQDYIDIGGFGEISGCLQKMNNSHRQIGKPSEEDLGSWGHHCDPSTVPDTILQSSAGDEVSFVFTNQVDGKLVPVESMARSACHQPNGIAASANRDEGPGKQPFLGKNLKIMGSNLKNSPLKCPTRSRTASPNCLQGLKKTSPSLDRHPQTKRLHVASDMSDDDFV >Dexi6B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:6B:17088920:17092643:1 gene:Dexi6B01G0010670 transcript:Dexi6B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNFKCFQILSDFKCSPSCTRGLILGEPVCPPDCFQYKGTLDVFLKVVRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEDFTRSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLVGVLSPLASSSQTVQNYRVLWTGVGAQLARDVPFSGICWSTLEPIRRKLLGLVGEEGNAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLTEIWRSGGMKGLFTGVGPRVARAGPSVGIVVSFYEVVKYALHQRQAS >Dexi1B01G0026210.1:cds pep primary_assembly:Fonio_CM05836:1B:31088085:31089660:1 gene:Dexi1B01G0026210 transcript:Dexi1B01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRAPAWRRAALAAVTTLILLASVSFLLSATSTASSAAANSPASRLAVVQRHAEDHAAVLAAYTAHARHLSSVSASQTEAFLSISARLSALASRLSVSTVGALEKEVKAQAKRARSLAGGAKEAFDTQSKIQKLSDTVFAVGQHLLRARRAGVLNARIAAWSTPKSLHCLAMRLLEARLANASAIPDDPPVPPPELADPTLYHYAVFSDNVLAVSVVVASAARAAAEPSRHVFHVVTAPMYLPAFRVWFARRPPPLGAHVQLLSVSDFPWLNASYSPVLRQIEEGNRDVALLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRIDMGAAVNAALHTCFGGFRRYGKYLNFSDPFVQGSFSPRVCAWSYGVNVFDLQAWRREQCTQQFHRFMEMNENGTLWDPTSVLPVGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDISGAAVIHFDGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >Dexi3B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:3B:6908705:6909069:1 gene:Dexi3B01G0009980 transcript:Dexi3B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCTGSQLPLDHPPCDAESAGAGRNSIPEYYSNRFEDTDSSDAWSLVQLY >Dexi3A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:3A:497278:497843:1 gene:Dexi3A01G0000600 transcript:Dexi3A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTSAAMPPGPSSAIKRQRRAAAAPLSDVTNLLLPETPTPIKPARTRRAILPTPSAASEASSTCSSTASVTPAPNPSSAADPDKDRSVLKSPISTVYARRGTTETQGRRTRNPATTTTTNKGKEPVAAAGTASCPLGRATRKTTR >Dexi1A01G0030040.1:cds pep primary_assembly:Fonio_CM05836:1A:35407689:35411242:-1 gene:Dexi1A01G0030040 transcript:Dexi1A01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSAAAAVLASRLIRRSPRLLRRLSLSPPAALSSSSRPLAPIARQPLGHRARMGHTSAAAAAAGPALGLTKPNAIEPPQVTFSAKDIEFSEWKGDILAVAVTEKDLSKDSDSKFENAVLKKLDSQLGGLLSEAAAEEDFSGKAGQSVVLRLAGQGFKRVGLIGLGQNAPSTAGASRGLGESVASVAKSAQASSVAIVIASPSGIQEEFKLTAAAAIASGTVLGSYEDSRYKSESKKVQLKQVDIIGLGSGPEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASMYSDVFTATILDEEQCKELKMGSYLGVAAASANPPHFIHLCYKPTDGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEIHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVTAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSS >Dexi5B01G0037880.1:cds pep primary_assembly:Fonio_CM05836:5B:37234411:37236062:1 gene:Dexi5B01G0037880 transcript:Dexi5B01G0037880.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAIAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSIFKSRKLVLDVVN >Dexi3B01G0031600.1:cds pep primary_assembly:Fonio_CM05836:3B:33584558:33587303:-1 gene:Dexi3B01G0031600 transcript:Dexi3B01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRIASPPPALSALVPATPSSSRPSHSTTPRLRLRSIRSLRAAADAGAGAAASEGAAAAVAEVEDAGTDVAGGAATSTRPPYSLISADNVQKALRGLAITEADHYGRLGVTRLASTDEVKAAFESKCEELNSKELEEEELNKEYDLLKESFTILSTEEERRLYDWSLSRSGQPERYVWPFQVDPLEMAPDPPKEPEDEFPTKLVGYFFLASFILSCVLSVTINR >Dexi5B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:5B:343080:343386:-1 gene:Dexi5B01G0000520 transcript:Dexi5B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVPLLLVALLLPAVRGAETPQYTTVHTESDFEVRLYRDTVWMSAPTPDIPSFHVATKLGFHRNG >Dexi7A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:7A:21367816:21374464:1 gene:Dexi7A01G0010640 transcript:Dexi7A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKALLPIRGPTTPPPLGLLLRRLLPRRRAPSSPPPARRRTAAMAPRAGDPAAAAAAVASFRVGMVRVVSFLVGGINLAVLLLGLYLINAVLPSGCAGGLAFAAAPALAGVRVLAMIGAARAQHATADAIARRHLHEDDASVAADAVARHEIRELLLTYEIATFILPNRTVLDEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKHGRLPELQNDLVEAPHDLMQEAASLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWARRRRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSRREAAYFVVVLHDKQTLVIGVRGTETPEDLITDGLCRECAFTMEDLDGLIKYPLNSVSYVLTYSLFFSEKLPATTREKVISTFPHYGHGGIVESARELFMQLNECTGENTSSGRLGFLSTVLGEGSECHGYKVRLVGHSLGGAVATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEACSDFVTTIICNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGTHGPGDDTIEGCSDTTGTAGMPVPNEREISHQDPLCNTEPELRNIQNGFAGYSGSNASIDEHLSYEGLNNGHDVQIIPIDEPGSGFKEHPTSCREIPVEPPEMFLPGLIVHIVRQKRSLFPLWQCWSIQETEPPYKAVLAKRENFRDIVVTPSMFTDHLPWR >Dexi3B01G0029310.1:cds pep primary_assembly:Fonio_CM05836:3B:28501686:28503940:-1 gene:Dexi3B01G0029310 transcript:Dexi3B01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSSKSGAMPPRNADAAAAHPKPPVRPPVPPPRRSQVTLPPLETSQLPPPQGFRAVQPVSISLPASPGSLGLPTPTDSGDLRRHAMMASAARGPHRLAAQDKGSNSVRFTQPDRDEEAMMFRSQPIPGAPPPQRLASRAGRRRARPAGAAAMNWDRRYDSFKTWSGKLERQITHLAGGPDGGLGYGVDDGEDVSDDVVGISHRTCATSIPEVDRFYAALEGPELDQLKPSEDLVLPSDTTWPFLLRFPISAFGIPMGVSSQAILWKVIALSVPTTFLHVTSKVNLVLWCVSAALMLAVSATYACKVALYFEAVRREYYHPIRVNFFFAPWITCLYLAISVPHALTWAARLPHWLWYLLMAPLLVLALKIYGQWMSGGQRRLSKVANPSNHLSLLGNFVGAQLGATMGLREGPTFFFAVGLAHYVVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVSSVAWARISGEFGHVSRVAFFVGMFLYASLGVRINFFRGFRFSLAWWAYTSPMASAAAAAIRYSTEVDNALTKALCVALSAVSTLTVAALIATTVVHAFVLRNLFPNDICIAITEHKVKPIMELQETDGNDIEEATAEPAAA >Dexi1A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:1A:811029:814670:1 gene:Dexi1A01G0001280 transcript:Dexi1A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARLRRPVLSVVAAGHSHRPVLSAVAQPHPMRRHILSDLAAAPSPNSPFASPLCTNNDASSPPSLECLHGNGDGAGNIRTEKRIMSTIEEDVREIIYLEGDSQEEEASRDEMPKRPMGQKQAKMAALAAKGKNKESGDGSGNSKESPIDLDKFAKYSKFQEDNHEKRLQILQVQQKLLSEKIEASKIAHLTAQENKEVKKLEKESKMMEAYLSISSQDTSSMSDVEKAERVAVMKKATTHVGSELQKLRAEMASHAKLHRAVLLVAYPVVGYLGFSIYTQTCEIERLTKEEEEALNANAA >Dexi2A01G0034010.1:cds pep primary_assembly:Fonio_CM05836:2A:44135199:44137828:-1 gene:Dexi2A01G0034010 transcript:Dexi2A01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVESEKHIDFSLTSPLGGGPPGRVKRKNQKKASGGGDDGEEDDE >Dexi2B01G0021880.1:cds pep primary_assembly:Fonio_CM05836:2B:31535896:31537110:-1 gene:Dexi2B01G0021880 transcript:Dexi2B01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLCVFDAEKANKLKQSLPP >Dexi7A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:7A:29230638:29230894:1 gene:Dexi7A01G0020430 transcript:Dexi7A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGHLSFMLMDRADTSGFVSGGLHYMNIHVYFHFVYTMCFLSGEVVNLGS >Dexi9A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:9A:957423:957825:1 gene:Dexi9A01G0001830 transcript:Dexi9A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLPLHVLCENLSYATNNHGPPPHCEFVNLSFESESVKGMGSRHESMTPYQPSPVPVVRSDEATTDKNFVFLVSTPDFESGDLGSNPGGTSFSVISSL >Dexi1B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:1B:8421587:8421812:-1 gene:Dexi1B01G0009390 transcript:Dexi1B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGPFRVGLLAFLRLSNTGGGDERLLLKLDAAYCRGAAATKGSREKKAAESGEGEAAAQWGGGWGRS >Dexi7B01G0021480.1:cds pep primary_assembly:Fonio_CM05836:7B:26494889:26499046:1 gene:Dexi7B01G0021480 transcript:Dexi7B01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEAPAVAAERIRVVGKWVGILEVDLDAWTVPQLRAEVARRVGDGVEPECVGLIFGGRVLKDDPPTSLREAGLKGNSKVLSSLSSPDRAKEIAAEAAKAKVEEEHTAKLVRLWEAAKALSQRHTDGSFLQDEDYNLDLEDQSGQKVMFGSVDDMKALKMALMLHQKGKTQMKRKMFKEALDVLNMAEEAFALCDSKLIEKVDNVPMLQLDIVWCYFVLRDVSCLEVAGTRLEKARVGFERSHGKDSSRFRVLQAGRQADLAIYVRLELLEGVVAYHNGLSEKARGSLSSAQAKYLQLQVPDEAISMLMDMGYEARSAKRALKMTGYDIQSSVDLLCEEREKKIRRREEDRERQREIMEQMRYGKTPMNKAVDLQKLKGLTTIGFEKYLAAEALRINENNAEKALDLLTNPEENCILQSKIQARRNRASRGISRAAAARSALAIHNSQASLSAPPHAADGNAPSHAADGNPPEGNDADGNPPEGNDADGNPPVGNDADENLPEGNPAGPNDAQILDSEEAMLNEETGDEDAVMTDEVDVNDEGANSHPVPARDVTMESELAHDLSGDAMDDYDIDVSDEGQAIAEYLSLLESAATASS >Dexi5B01G0031280.1:cds pep primary_assembly:Fonio_CM05836:5B:32093205:32094356:-1 gene:Dexi5B01G0031280 transcript:Dexi5B01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSIWLQTINGPNADFPVYSSQLKDLKHISQLNFLAFASDAGKLFGWFSGVAALYLPLWLVAFVGAAFGLVGYGVQYLFLDTPGLRYWHLFLLTSLAGNGICWINTVCYLLCINNFGSSSRVAVSLATSYLGLSAKVYTSLADSIPGLADSKAKSYLLLNAVVPMLVTLVVAPSLRVVDLTGEATTDAAFLAMFAVTLATGACAVVGSIGSTSSNGLSSREHMLSLGVLLATPILIPMALRIRESLNKIRETKRENRIHDLGADDAIERVVAIDIADVESKEGDDGGSGVDQKPQEEVGGLRLLRRVDFWLYFFSYMFSATLGLVFLNNLGQIAESRRFAQTSTLVSLSSSFGFFGRLLPSFLDYYSAK >Dexi8B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:8B:1942559:1943755:1 gene:Dexi8B01G0002770 transcript:Dexi8B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWPPIAIRDKPHSGAMKLRHLPPALFLLFFTLSLPSLTYRHRRHLLLPRAPSSSQQQRAGEDALLRRLATIDAGGDQVLADAAALLANASISSFSHSPGGHNGHRLLLIRLPCSSSYTGNNDTTTTTTCRRRRQITTVSTLRVPSDMLPDDSSLLAAFRSSLRSFLHAHHRITDIAGVMLDLPTLLGNRHRFPTCAVVGNSGILLNSNRGAQIDAHDFVIRLNNAPASAAFVSDVGAKTSLTLGNSFVLRRCSVPSASTTPGCNCHPYGRSVPLTMYVSQPVHLLDAIACAATATATSPFLLRLTDPRLDVLCARIAKYYSLRRFVAADTGEGWEGWRRGDGRGGRMHFHYSSGLEAVVMALGACEEVSMFGFGKKLGGGEAPLPYWSEEGDGGA >Dexi2A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:2A:28538965:28542395:-1 gene:Dexi2A01G0016810 transcript:Dexi2A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHTPKSLAVLLRARMHPDALPSPPPQPPSPPPPPPTDPAASPTAAAAVRYWLHTSASAASPPPAALDSFSDGYRSLDRGGRREVLRSLAADYDVPRARVRDLMRQYMSVASASAATGGDDAEAEEGKEGAAAALYRMERGLRDALRPRYAGFLEAMNAQPGGLKLLAVLRADLLALLGEENVPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALHKDMAASIQEVLWDDPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLRAKLASQIKLAETESQDGDSLEGTSSTFRESILLPDEEKMIHDAMEHAHGKQGIELLQDVLKTSQWVKSEKLSAALKSPLMRLCARYLAREKIRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLEKIEEYALSYSGTGLIHSSPSLSQYLEVTAINE >Dexi7A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:7A:24741282:24743358:-1 gene:Dexi7A01G0014790 transcript:Dexi7A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLRQVTGLSPCLRAGDGITFSSGDHGRHRVVSLTGRARSGRVVTAAARSKYRRHRGAAAIAVPETSRELLDQHVRPSNTASNQLTTISRKDKFFEIEMNVRDDELDEYGVVNNAIYASYLHSGRDVVLEKLGISVDYWTSTGNAMALSELNLKYFAPLRSGDRFVVKVKPVQIKGVRMIVEHKIETLPDRKLVLEGRATVVCLNKDFRPTRVFPELSARAMEVFACKVA >Dexi8B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:8B:2366266:2370260:1 gene:Dexi8B01G0003200 transcript:Dexi8B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEVMRERAYAFDLVLTEVVMPTLSGIQLLARIVAADECKNIPVIMMSSQDSIGTVLKCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNGSENNAASNHISANVANGSKAGENSDEESDAQSFGSKREAEIQSVQKLPDIHVDQGAGPSRKMNKSFDGVNTKLHASKDADAAPSGSEKNVRSKGLNGITSAKVAEQIMDNALRIADAIYYPQAPLMHCDSAAIQHASAQSNYHENPTKPSQVDEHKQLEENQQLHHSRQILRESGEPIDLVRAHVEHINQSASCSQDIRKGSGCTGSGETGINTNTVVALESGNESGVQNCYNNGLDSDRSRREVALMKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKVKSATTTDAETDS >Dexi3B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:3B:1682657:1685099:1 gene:Dexi3B01G0002460 transcript:Dexi3B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPAPSPGGAARATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPITTTTNTPRSPFLTHHYLPINGGAPSSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQNCSRDVVKALLELGAADVNSRAGPAGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVATRDEGGGASGEAGGSDGGGNFGRSDADDSLVSLTMNSTLMYQGQEMAAAIAGGEARKGNNGGRGSPSNLYFPSGFP >Dexi5B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:5B:2172661:2173769:-1 gene:Dexi5B01G0003270 transcript:Dexi5B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKRKARDRLRRSRASSEPSIRDPQLESDQIQMGNPEIAGSGDSDQADVDLPMQDVNPTGNVGDDLDEGSQGRSAYLVACHFDWSSNPKPYAVYKVSVATSFPSRVKRRRKRLHLITRITTVAGDKTFTSVRSVHRSWIIGVGGDAGDTVVFDTKTEEVIHGPSLNSAKWCPALTAVGDKVYALSKTPSWVADPDFPPWFEVLDLSNAKVVMVADRSHLEGCSWIQLPHPPCFPWKIRPIGYTVPPIVILRSYVVVDRYILVSFNHPWGTYAFDTSSVDPYEWHKVGDERLPFIGHATPHGSLFLGLSKDDGPINAYRINVTASAKDQAPNLSITVLPFFA >Dexi7B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:7B:290865:294273:1 gene:Dexi7B01G0000030 transcript:Dexi7B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELWCVCEDELRILRAMEISIKSESTPLLDEYDSESDEWSMPGGFLLQELQMDMSEVAAFKRKLCFSRKGGKKKGKVQFEEDEPEDLFEDNSDSDSPHGSPLYAESQDSSSASSDNEDDDDDVEGDKGDGGAAYLTKEHGAGASGSTVRGSSRISKPHMNALVVNGDAIEAPMEKIWSCSVVQVP >Dexi5B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:5B:22637125:22640503:1 gene:Dexi5B01G0020420 transcript:Dexi5B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRVRLRAAALALQDDGVRDKPDAKANVFADLGSPVSPLRPRASVATSSSSSSGSVKSPVPSNAGMAGGRRHSGELVAECNPPRLTGHRRCGSGPLIFSGGSSGGSGGGGGDRGSTASSPMLNALPAGNICPSGRVPAAAAAPPPPRSRPDVLGSGTGHYGHGSIMRGAGMAPARSSIDAPSFLGHSTRSPASSPASGGSLQEVTRLGNEWYKKGKYAEALRHYERAVSLCPESAACRGNRAAALIGLGRLADALRECEEAVRLDPASGRAHSRLAGVCLRLGMIDKAWRNFTQAGHLQQSDPAEWQKLQEVEMHLGRSTDARKIGDWKSALREADAAIAAGADSSQLLLALRSEALLRLHKLEEAESTLASLVKLNGALPSSLTAVKLSGMLAESYVYIVQAQVDMALGRFDTAVAAAEKARDLDPGNAEVGMILNNVRLVAKARAQGNDLFKAAKFSDASIAYGEGLKYDPSNSVLHCNRAACWSKLEKWEKAVDDCNEALRIQPNYTKALLRRAASYAKLERWADCVRDYEVLRKELPSDKEVAEALFHAQIALKATRGEDVSNMKFGGEVEIVTNVEQLRAAIGSPGVSVVYFMSAMNQQCTQITPSVNTLCTECPSVNFLKVNVDSSPLVAKAENVRIVPTFKIYKDGAKVKEMICPTLHVLRYSVRHYSVSSS >DexiUA01G0009720.1:cds pep primary_assembly:Fonio_CM05836:UA:19116269:19118946:-1 gene:DexiUA01G0009720 transcript:DexiUA01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEHQKLGPFMQVLPICSRLLNQVSKSSLAENSYQTNDQRLGFPPPGTSMDWQGAPPSPGSYIVKKIIRLEVPVDSYPNVLPPTTCAVYPSAVNDVSVLICSSLSFSPVQFCGAHSWSKG >Dexi3B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:3B:12014727:12015573:-1 gene:Dexi3B01G0016520 transcript:Dexi3B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASEWNAGRDGEAAKVGVSEEAITAESRGSATWRWRSAAAISPGEERAGSTGGRGAGAGGGTAAAARGLAGGVLTILRERKEILVERSSVADGGGTVAGDRERAGRPIKVSQGELAAAKYGAAGVGAWNAVVFVEVVMDLGGGGRRWERGTASMKLIDAT >Dexi2B01G0026500.1:cds pep primary_assembly:Fonio_CM05836:2B:35643253:35644846:1 gene:Dexi2B01G0026500 transcript:Dexi2B01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRGGSGRCLLIPLLLLSLLSLHCSPVRVSAAGGGEPYVGVTIGTAVTNLLSPSDLADFLRAQHITRVRLYDADPRPLSALASSGGVRAIVGVPNDELLALGSSPATATAWVARRVLPFAGVNSTTPNIISAIAVGDEVPTALPSALPVLLPAIRSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFVAPLLAHLANTSAPLMLNLYPYYSLMQSNGVIPLDNALFRPLPPSLEMVDPNTLLHYTNVFDAMLDAVRVAVRNLNVTGGGGVPILVTETGWPSYGDRRAEPYATKDNADAYNSNLIKHVLEDKPGTPMAPGAAAQSSAYIYELFNEDLRPGPVSEANWGLFYGNGTPVYLLHVSGADGFLANDTTDRTFCVAADDADEKAVQAAMDWACGPGRADCTAIQPGQGCYMPNDVRSHASYAFDAYYQSQGRASGSCYFQGAGMVTTTDPSESPFSQLPSFQIVSISL >Dexi9A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:9A:7562:14623:1 gene:Dexi9A01G0000020 transcript:Dexi9A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQSQGRYLKQAHRAWLMACIPSCLEQHARHAADSSETKQAKSHSTGLASTAIAAWLDWLTTPKAEVSCVGGFQLNGTEVLAAIWADLVEGIRSLPTAPPSHHGSPQLAAAVADRSGYFLSSSMEEEDDQQKGGWLLGGWVGQTAAASCLPPPSLCPANARARQHVGEQIRRPRPARKLARTGMPMRVRQSKLTPKSHGHANDWQGSHRRQSKREMDEHNIAKEVMPDAEIIVQELLHHHGAPTGN >Dexi3B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:3B:5451375:5451659:-1 gene:Dexi3B01G0007730 transcript:Dexi3B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIFFFALLASSSPCLARARMMILTEQQVVQGVAVSSPAVTTAAGEVDHPESTGWMPDGSVPSPGVGHHP >Dexi9B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:9B:5280153:5280720:-1 gene:Dexi9B01G0008670 transcript:Dexi9B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRAAIRRALRSLKRRHLAEEGAHSPAIEALTRPFAAHALEWKEKAEKHELELQQCYKAQSRLSEQLAELEKTRY >Dexi9A01G0036080.1:cds pep primary_assembly:Fonio_CM05836:9A:40645684:40647850:-1 gene:Dexi9A01G0036080 transcript:Dexi9A01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFPQGDYIELHQKRHGRRPDHDERKRKRAAREVHKRSEQARKLLGAKGKRFAKKRYAEKAQMKKTQLRGTRLRMFRKELSHRIYLIVMRQNVLSNTIKQKRKEKAGKWDVPLPKKKRCSKSCGLSC >Dexi2A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:2A:28657769:28658422:-1 gene:Dexi2A01G0016870 transcript:Dexi2A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVDDGAASSSSSSSSLLSGAEEDAFEDPLRGSGARAPPPADADDAPPPIPQRLWAAVRGGGGGAPTRLRHAFENAAPDLVAWTRRGGAPRALLVVSVGSVALAALSGLLIVVVFVAAAATNAVAFSVVVSTTAAGGFLAELLALLAAAYACALSAAVVVISATTIATAIAITIAT >Dexi4A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:4A:6202145:6203428:1 gene:Dexi4A01G0008270 transcript:Dexi4A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRDGHTAVASSSNSLALNTSKIVYSSHADLVPKMAAPDQDEDKEATTARTRAVMKVIIASTLSAVHPATSPTKFVKYKPAARQSSAFNSGAEERILRLGHAQEDPILPPKHRRRRVPRPSGSGSPLATVTHSPPRRPVSRKDMESWKIPPSVSDWKNAKGYCAPLDKRVASSDARRMRQDDVQVSHGFAGLSEALYVAEQKARDAIEMRDKVRRELRIKEQQQQQEQKLREIANEARAAAAAAPAPSVDEKDAKRERDMVREELRREASRGKRSRVTRERDRDVSERIALGMASTGGGAGEVTYDERLFNQETGMGSGFAADDVYNVYSGRLFAAQPAALSALYRPNKNADSDAYGGDADEQLEKISKTERFKPDRVFSGAAGLTDGKRERPVEFDASEESAEAYDPFVELDRYMSRVKEGKKD >Dexi8A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:8A:9923603:9924102:-1 gene:Dexi8A01G0008400 transcript:Dexi8A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGTGNSGFIFDSGTMLTYLTEPAYTEAKAAVLKQTDLAMVPDGDGYEACYEAPRDDRSLEKAVPSMVLHIDGADMVLPVTNYFVDMGSGVLVE >Dexi5A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:5A:10022179:10022541:-1 gene:Dexi5A01G0013320 transcript:Dexi5A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRDPCSLLLAPPAATAVLAPPPSSSSWRERRPSRLPELTPPARRPAEAEREGEGESSFRTRSHTASSLEPEQRQALGIEMGMGAQKQGRGAVAEQGRDAVAAERARDAGDRDSEKGNI >Dexi1A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:1A:22698365:22704915:-1 gene:Dexi1A01G0015590 transcript:Dexi1A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKWPHAAAAAASGRRAGAGASYALLASSPPPTSNDGCSPQHYPPAPASDDDDDVGPSSSSFDASVSRPPFQQQQPAQLGVADWLLLQRQSSGSSVGGGDDAEGSSTASTLANAAAVFRDDKGDAAADRPPSSSGGGKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSAADHLHHIPSPQSLSHRFWVNGSFSYSDKVPDGFYLIQGMDPFIWTLCNDVHDGGGRVPSIESLKAVNPTDSAIEAVIVDKVADYELRQLINMAIDVSRNRADSKEIATRLAGVVSAKMGGSVAATEEHELVPRWRDTVGFLKISSGSVVLPIGKLSIGFCCHRALLFKVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDRKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDELSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSTVDPKVAALIESCWVRL >Dexi5B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:5B:19044804:19049073:1 gene:Dexi5B01G0017430 transcript:Dexi5B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRGPTNGPYGGGNLRSLVRNLRPGRLVVYDSGDPAAAHFQRRRPSGRAQAKLPKKQACLPSALDQMDGRVKVVGQVVRVDGASLTYAEFVDRFMAPNRPVVLTGLTASWRACEDWTLPGPGDLRRPNLSFFARNFPSPLVQVADCSSREFTDQKRLEMSMQEFIDHWVGGPHGGSAGERENSLLYLKDWHFVKEYPDYIAYSTPTLFVDDWLNMYLDRTWTPLHADVFRSYSWSANVCGRKQWLFLPPSQSHRIFDRYMRSSVYSLNDDISEKQFPEFNKTEWLECIQEQNEIIFVPSGWYHQVQNLEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFIVRFALANIIELYHRQQPEVATFLRESAHHFVYNLKSIRNVASTMTTTEAFTTENIRSISEDNCSAFSNVKRILEEENFRRLLMTLSKSYEHIHRRQINCLKSCTSYLKGCLSVICLKSDCNIVDHIISLIYEVCVPADLVILIDSALADASF >Dexi5B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:5B:1696203:1696580:1 gene:Dexi5B01G0002700 transcript:Dexi5B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLPPSSSSLQILNMTTAIMSLGFFLFLLALASTASPAMGAPWSGGDHRRSAVLIMAPAGGSDALPPAALPTGDDVVLAPPPAPADPLSPSHGAPPPTDGVATPTKPRRLVPLPPSGPSIRGHV >DexiUA01G0023570.1:cds pep primary_assembly:Fonio_CM05836:UA:48271323:48275087:1 gene:DexiUA01G0023570 transcript:DexiUA01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVAVDALTWPTQVPPGWHIEWVPSSAEEREEPHEQNYPTHDLELAAVIHALKIWRHYLMGVPCNVYTDHKSLKYVFTQAHLNTRQRRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVESYADTLCNEVAKLNLEIVPHGYFNHISVEPTLHDQIVEAQLNDAKIKILKRKLAKEKVKEKYKCFRLDGQGVMWFGHRIVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTWVMIVPPLCTAAIPLTTDAQSHIPPSAVPVVTFLFEPNGRFTCPQLVTVANQYEATVCHKPHHSPMLPYPQLGVTYHTTKQPR >Dexi4A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:4A:984154:986345:-1 gene:Dexi4A01G0001550 transcript:Dexi4A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGCVGGGDVKYNSYKAPGLRGAFLEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDLVTGEALACKSIAKDRLVSADDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEDSVHLVMELCAGGELFHRLEERGFFSEHEAAVLFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGFPPFWGKTKSKIFECIRSTELRFPSDPWDRVSDSAKELVTGMLRRDPRQRLTAKQVLEHSWMQEHADQSQDSCSHCHGIGLRREDLGSCSFSTLLATQSRDVSFNTGGPITWQGMSEDEACSPTFACRSSFSAFVNYTAPSCSVSGFSFGEACEPSGAVFPTPMASMPSFSFFCAQEESGEPEPSVSPGENAPGDAAVAAAPFSSVRTAEDTRTARGSASRGAGINSRRNHTIGAGKREHLDVAVAESVIRWASCTNFSTTHSLRASLVC >Dexi8A01G0015830.2:cds pep primary_assembly:Fonio_CM05836:8A:26895072:26898753:-1 gene:Dexi8A01G0015830 transcript:Dexi8A01G0015830.2 gene_biotype:protein_coding transcript_biotype:protein_coding IEPIVSGNLPPGFDTRKWLGNRQIRCNWATKGANADEEKQSVDMKATNNDVHLFFHSLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQMGNGQLFGGRLIRCSWGKKPTPPGTASSPLPPPTPAPFPSGVSATDFLAYQRLALSKIAANSALVGQHGLKQAVLGMDAGASQAIYDGGFQGVNPAAAAAAAQQQHQQLMYF >Dexi8A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:8A:26895072:26898703:-1 gene:Dexi8A01G0015830 transcript:Dexi8A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRATNNDVHLFFHSLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQMGNGQLFGGRLIRCSWGKKPTPPGTASSPLPPPTPAPFPSGVSATDFLAYQRLALSKIAANSALVGQHGLKQAVLGMDAGASQAIYDGGFQGVNPAAAAAAAQQQHQQLMYF >Dexi2A01G0030300.1:cds pep primary_assembly:Fonio_CM05836:2A:41281110:41284754:-1 gene:Dexi2A01G0030300 transcript:Dexi2A01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSNPFEEEEVNPFSEQARGKTGGQSNFGGGAFYMPNPRNVSPASNSRLSPLPPEPADFSATVDIPLDSSKDLKRREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLVCCLFWNVIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISVNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >Dexi9A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:9A:3906711:3913019:-1 gene:Dexi9A01G0006910 transcript:Dexi9A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLLVLPRGGLFLPRLPCRSSALSPLLLSIPSTKRPGTFLSPAIRDFSCYSGMAAGSPEQQQRSLVVRETVELTEKEELIFRRLLDVVRHFDLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQHFCEKVNEYSGMMGEKQKGIGVIQCNPDQSKHLETARMLIFDVWIDFVNLRSEKYAENSRIPTMVCIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDEQVKSELGSKISKERIGHEIDLMMSDQHPVKAMCDIRDLGLFYVVFSFPENPNPPVSDKCDWQCVSHIEAAWNLANSIGSSLFSSAKLQVPVSSYIIKQSLKLKASDAETVANIHAASEKFAELVLLLEHNADVGTLKENLEDEYLEIPTDSVKRVFAGLLLREIKDFWRVTLLISILSHPEAENAADILNKQDELHQRKDKYIKVELAITDLDLDGVWKLKPLLDGKSIMGIMQVKSGGPLIGKWQQRALKWQLAHPSGTMDECIEWIKQSQSKRQKVESST >Dexi7B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:7B:6810342:6815914:1 gene:Dexi7B01G0003020 transcript:Dexi7B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLVLIALKEQNSSPAACCALLLPGRVVSARTWCHLPLLQLFNPDDLRPEDDAQVTGGRIELLGDEFADRARGRAWHKDPVQLWDGATGKAASFTANFTFMIQSVPPGKGAPSVGHGMAFFLAPYTPVLPQESYDGCLGLFDESQAANYATVNASGDARFVAVEFDTHKDVWDPSDRHIGVDVNSMNSHGDYTVLPDGSLVDAGVLSANVAYDNGTRSLTVTLIVGTDNNYTAAATVDLPSVLPEQVAVGFSAATGHTYAGNHTVLSFSFLSTLPTKNGTSLPATSSSNKTTTIELGVGVAAAAVLVLLLVAAIAVLLVRRRGKRPYDEEKLTTDGDDSLDDGDFEGSTGPRPIPYAELAAATKDFAAEGKLGQGGSGTHVRTFKQRKSGLEKKAFELAELCSVDVAVVALDKRTKPKNPNCLNVELGKQELMLVKERQEGPKVLASPGLVLNNVNLEELLGYQIILGLSSAVLYLHQDWDQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASTESDMYSFGVVLLEVACGRRPMAPPSADKGTGRCSGWWSGRGTCTAAARRSTQPTSGSG >Dexi4B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:4B:19166487:19169114:-1 gene:Dexi4B01G0017060 transcript:Dexi4B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALCPAPPPPFLAVPFLVVLLLQQAHLLPLAAMAQATNLTAGATMSPPGYITSPSGTFRFGFRSLNSDPTQFLLATWFGSGSGDDGDSQSVVWFAKQSPPSGPTPNATAQSVLIVTADGKLALADGNSNQVLWAPPASTERGSVLVLRDTGNLQFLGDDSGDNNQVVVVWESFAYPTDTLLPGQSLAYDDTATSRGKVFAKRGDGEFTTGRFSMGVQSDGNVVLYVDLLYGNNPENAYWQAYTNSFDGNTTVTFDAQGRLNYTLHNGTTGSFIKPAASFAAGDYLQFARMDPDGIVRTYVRSKTKRGGNNGNNTWSSSWAVSGAYPDYGGRLGCQGMCGRGSYCAASSSAQTTGSSTERINCVCPDGYVYTDKQHRDTGCTPSFEPQSCDDDGGSSSSSDEFALEELINTTWEASIGYKKLPSVTEEQCRDYCLGDCSCAAALMVGGSDCVVMAMLTDGWRADDVTTKALVKASRARHAALAYKVVAICLAFLLLFTVGGGLVAYKVITRNRERQRLPGFEPFSWKELYQATNGFDKKQLLGKGSFGEVYQGTIRSPQPHLIAVKKLVDSNEYSEQEFANEVRSIGQIHHRSLVRMIGYCKQGKHRMLVFEFMPGGSLRSFLFIAQKRRPPWRWRAEAALAISRGIEYLHDGCSAPIIHCDIKPDNILLDEHGVPRITDFGISKLLGNHQVHTTVTHVRGTRGYIAPEWFRGDARVDTKADVYSFGVVLLEMVCCRRCQELLTPDGMPCGGGGDDETVTLFGWALVGARRTEVMVDGDLDVDTVEDMERVERFARVALWCMEPNPLARPTMHLLVQTLETSRRTQLEAPPDPADCYLESSPLIPQLRI >Dexi4B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:4B:22083507:22086125:-1 gene:Dexi4B01G0019950 transcript:Dexi4B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPIKALLPTPLPLPFTRTGHSAVSALRLPKPHRLAGTLAAPRRSPPQPAAMREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEEYEEEEDVEAA >Dexi5B01G0028100.1:cds pep primary_assembly:Fonio_CM05836:5B:29559309:29562938:-1 gene:Dexi5B01G0028100 transcript:Dexi5B01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKVATKAAAAASPVAARRGGALAGGAQGPIRVSFFGPARAPTRARALRVANNSVPPVTKEGRVDRSEILTLDSIRQTLIRLEDSIIFGLLERAQYRHNTATYDKNSFHMDGFEGSLVEYMVRETEKLHAQVGRYKSPDEHPFFPEDLPEPRLPPMQYPKALSKRIHYGKFVAEAKFQEAPEVYKPAIKAQDHHQLMQLLTYETVERAIEHRVEAKAKIFGQEVNIGAGNKQKVNIDAENNGQQVNIGAQDNGTPPGFKIRPSLVAELYSHRIMPLTKEVQVAYLLRRLD >Dexi2B01G0033180.1:cds pep primary_assembly:Fonio_CM05836:2B:40861515:40863618:-1 gene:Dexi2B01G0033180 transcript:Dexi2B01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCGAEAPQQANKRRARVLELSRRLKHRGPDWSGLRQVDDDCYLSHQRLAIIDPASGDQPLYNEDQSVVVAVNGEIYNHKLLRDRLSAAGHSFRTGSDCEVIAHLYEEHGEGFVDMLDGVFSFVLVDTRHGSSSFMAARDAIGVTPLYIGWGIDGTVWISSEMKALHDECEHFDIFPPGHLYSSTSGFTFRQWYNPPWYDETIPSTPYNPAALREAFEKAVTKRLMTDVPFGVLLSGGLDSSLVAAVAARHMSATTTKNAGGNDHGWGTKLHSFCVGLVGSPDLKAAREVADYLGTHHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPDKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMDIDPEWKMVRPDLGRIEKWVLRKAFEVEGHPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVTDKMLSNAKFIFPHNTPTTKEAYYYRMIFERLFPEKPAILTVPGGPSVACSTAKAIEWDAQWSANPDPSGRAALGVHLSAYQSESESGEQHVLPDTMAAGAKKPRTIKVTTTTPPGVAIEG >Dexi6B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:6B:7811035:7813151:-1 gene:Dexi6B01G0006860 transcript:Dexi6B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSTVSTCTPVTEKGSHVFEISGYSELKTMGIGKPIRSSAFSVGGYEWAIVFCPNGEFEESSYLKDDHLAIECVVTVKQPRVSATKFLYGVQVPPSNINEQLAAMSLDSEECDKDVTFSVGGETFTARKKVLALRSPVFRAELYGPMSEARTKHLTIENMQPAVFKALLHFIDTDSLPADVDGHGGE >Dexi5B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:5B:220937:223969:-1 gene:Dexi5B01G0000310 transcript:Dexi5B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPARPQHHLPLLWVRELRPQGTQRPVKIREAGGAKRRPYDPSSSARAARRRKEAPPPPPPPPREMGVDYYKVLGVDRGAGDDDLKKAYRKLAMRWHPDKNSTNKNEAEAKFKQISEAYEVLSDPQKRTIYDQLGEEGLKGQPPPGAGGPGASPYYPGGAHSSSFHFNPRSADDIFKEFFGFSGMGGMGGIRGEPGFQRPMFGNDFFHSRFGGEGSTSMQQPVHKAAPIENCLPVSLSDLYKGVTKKMKISRETVDANGRVSHVEEILTIDVKPGWKKGTKITFPEKGNEAPNMRPADIVFIIDEKPHDVFTRDGNDLVMTEKISLVEALTGYTSHITTLDGRNLSFTINSIIHPSYEEVIPGEGMPIPKDPTKKGNLRIKFNIKFPSRLTSDQKVGIKRLLGS >Dexi9A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:9A:1250711:1252842:-1 gene:Dexi9A01G0002360 transcript:Dexi9A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDEKAAAAAGGAAAEGDAAVDSKDLQQQSKALDKLTDHVEDRQLDSSRAMAAIASSKVADWNAMKLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >Dexi5B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:5B:7805397:7806459:-1 gene:Dexi5B01G0011060 transcript:Dexi5B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVGGDKYRSFIHGESEKDTVWRTGAPPNYDVVNKLFEDERTHVWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKTVNSDKYCASTNGMKALSRAEVMAIGGYNHFLRTKLPPELRIFDPDKETLESAMATFLTAFPRGFAIEVLDVYSGPPKIAFKFRHWGYMEGPFKGHPPHGQRVEFVGVCIFHVDEKMKVEKSEYFYERGNFLAGFLSPPAADAASSGSGCPVMRGT >Dexi2B01G0027980.1:cds pep primary_assembly:Fonio_CM05836:2B:36719650:36720275:-1 gene:Dexi2B01G0027980 transcript:Dexi2B01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYALHGSVSPKIDIFSFGVLVLEIVWDHWTKGTISQMFHPSLNEFARSQALRCIHIGLLCVQPEPADRPDISAVVFMLTRDDMELQPPAQPAFFFGRESPSASRSDGQRSYRYDRSGFILEQGISVNEITLTELSPR >Dexi9B01G0044350.1:cds pep primary_assembly:Fonio_CM05836:9B:44133527:44138734:-1 gene:Dexi9B01G0044350 transcript:Dexi9B01G0044350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSGGGSGAVGVAWLVLLLGCCFSSSIWPAGRIFAAADTDPGDLNVLNTLFTSLNSPGQLKGWQANGGDPCGESWQGVTCSGSGVTKIILSNLGLTGNLAYNMNNLGSLTELDMSQNSLGGGNQIQYNLPNMKLEKLNLAGNQFGGNLPYSISTMPNLKYLNLNHNQLQGNITDVFSSLYSLTDLDLSFNSLTGDLPQSFTGLSSLKNLYLQNNQFTGYINVLANLPLDTLNVANNRFTGWIPSQLKKINSIQTSATAASIYSSSSSKPSEWPRRKRKASVEEHFEQHQPFTSFSNEVKDMKPIDESTAVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYYEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHLSDAGLASFIPDAEFQAGEQSVGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRRPDDQDQDFI >Dexi9B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:9B:599368:601039:-1 gene:Dexi9B01G0001020 transcript:Dexi9B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDYYCSLPQQWQVTVLLVVVPLVFLLFATRRRIRSWRRLRLPPGPPRLPILGNLHQLGALPHQSLRDLARRHGPAMLLRLGSVPTLVVSSSAAAREVMKAHDADCCSRPDTPGARRLSYDHKDVAFAPYSEYWREMRKLFVVEFLSMRRVQAASYAREAEVDKLVARLAAMAGGEPVQLEDHAFGLMDGVIGTVAFGNIYGTEQFKHKKTFHEVLDEAMSAKAGFSAEDYYPNALGRIVDRLTGAAARREKVFRDLDAFFDVIIDQHLDPSRPTPEHGPDLIDAFVALMKERRHHQEGSSLRFTRDHIKGLLSNVFTASVDTSSVTMVWAMAELIRRPAMMKKVQEEIRAAVGDKAERVHHDDLPKLRYLKMVVKETLRLHPAVPLLLPRETLRHVGICGYDVPAKTRVLVNAWAIGRDPAIWGNNPEVFDPDRFDGSDTDFNGAHFELLPFGAGRRMCPGMAMGLATVTFTLANLLYCFDWALPEGMAPEDVDMEEAGGLTVHKKTPLLLVPTRYTRHC >Dexi6A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:6A:7631196:7635325:-1 gene:Dexi6A01G0007700 transcript:Dexi6A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDSRGRRLVHRHPTLAPHQQEQHHKRRRLQGHDDLAADVSPTRESPPAPAAATRVSLRGFDELFSARELFNHLESVAGTVWRCRVKTSITPPGSFPDFHLPLPSASASPYAGDVPAHAFAHFARPEAAGRAARHLPRPVALRRRRHCRRRPHLHLRARLVVDPSTARCRLLFARDVAFVTSSSSGAASTVAATMCCDVKIEFAVGDVAEVMAFHDDDSLLLRLSSAPLLYYRTSGDDVHASVPFDMIGGDDDDRWIRTTDITRSGAIGRCRAYKVSFKTWFWPTMRVALAYMKEQGVLVEVLDSRWRGLTVRDEPEFGLPMRDMFFCVQRAEGLTFPELYLVNALIHNGIVNQHQLTREFFGLLKREREEVNVAALTKLLGGKFEEFDVCPRLKDAQDWAARKPKVLSRRRKVVYGADYNAETRRLVITPTRAYCMPPQVERSNRVIRHYHHVADRFLRVTFADEGMQRLNTNAMISYVAPIVKDVAVQHKTTIYRRVEEIMTKGFHMCGRKYSFLAFLPNQLRNKSAWFFAEDGNTTAASIKEWMGQFPSKNVAKHAARMGQCFTSSYPTVTIQPYEEEFIEDVNHNGYNFSDGIGKITPTLALEVAEKLPLIDNFVPSAFQIRFAGFKGVVAIWLGQYEGARSRSSRGSSFLEDAWQCKCSYEEQLNALLNQYGVRTEAELVTGVWSLARDNKRQQYETQEKLNYAHLHLHREFRSIFECNDEISIDKKNLAYEAKASAWYQ >Dexi1A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:1A:26744234:26745616:-1 gene:Dexi1A01G0019720 transcript:Dexi1A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAPSPPQQLSLSDLRALSVLGQGARGVVFHVVPVAEAAAAAGESSAAGEHMSMAFKAMSRAAARHKGAVTEGACAGGDDGHRRIWFERDVLLALRHPLLPSLRGVVATDAVVGFAIDRCPGGDLKSLRRQWRSETTFPESVIRFYAAELVLVLEHLHGLGVVHRDLKPENVLIQDTGHIMLVDFDLSTTLPPPPPPPPPDTAAAQRVSSPSLSRPSSSRHRSRRKNRNAAVAMVLACFSISRHAASPETSSSSHSPLSTSMASSSSSCCSSPAAKSNSFVGTEDYVAPEVVAGAGHDHAVDWWGLGVVLYEMAYGRTPFRGRSRRETFRRVLTAPPPELTGDGDGDGEPTTTLRDLIAGLLEKDPRKRLGAHGVKRHAFFRGVDWGRVLDVARPPFIPPAPDGEDGGDDAGVEAEAEALDVEKVVHEVFVGTSGNGEMPPEDVDSDEGRDYDFSMFF >Dexi7A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:7A:23583119:23584162:1 gene:Dexi7A01G0013530 transcript:Dexi7A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAVVLLAAACAAAAAASGGGGSGELPEFREAPAFRNGAACADAPTIHIAMTLDGTYLRGSLAGVLSVLRHAACPESIAFHFVASSTSPARRLAALRRALAAAFPTLPATVHRFDARLVRGKISSSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWRHPEYATVFANRTRVPCYFNTGVMVIDLDRWRAGGYTAKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVRAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >Dexi5A01G0032280.1:cds pep primary_assembly:Fonio_CM05836:5A:34834244:34836545:1 gene:Dexi5A01G0032280 transcript:Dexi5A01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARHGLRRGHAAASSACPFLAFAVVLLALPSLAAGDTHYYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLTVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPSYITQCPIQGGQSYTYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKEVPIHFGEWFNGDTETIINQALLTGGGPNISDAYTFNGLPGPTYNCSAQDTYKLKVKPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVQTLVISPGQTMNVLLTTPPNPSSPSYAMAISPYTNTQGTFDNTTAAAILEYAPTPASRMSLPLPSLPLYNDTGAVTNFSRNFRSLASAEYPAVVPQAPDRHVLFTVGLGTDPCNLSNQTCQGPNGTKFAASINNNSFFRPRTALLEAHYQRRYAGVLLANFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLAFNTSVELVLQGTSIQGAESHPLHLHGYDFYVVGQGFGNFDPVNDPPKYNLVDPVERNTISVPTAGWVALRFFADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPNQKMLPPPSDLPKC >Dexi9A01G0045240.1:cds pep primary_assembly:Fonio_CM05836:9A:48825575:48827401:-1 gene:Dexi9A01G0045240 transcript:Dexi9A01G0045240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEEARHSLKHHHGATSTHQPATNPTTKAALDQRRRWGRDRGSTSHLPSKPVARHCHGHRQLQPRLAAGSPGRTATDTGSCSHGRPAPVSHSRLAAATASHGRPAAATASHRRLPPWPPRPQQANHGHEQLRPRPAAAGHDHHRRRAGHSRLPAPATDAAAGPRRPQPSPAPSALATAAVVATLTTHRGAPPSRGHRIRPGQRQIRGQTPPQPRRAAAPTKHLQRTRRPRTPTSHWREGGEPRRLRPHEPHELLAVRSGGGAAREVAGGGGRLGFGGHLRRERHLTGRV >Dexi9A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:9A:15893599:15893895:1 gene:Dexi9A01G0020870 transcript:Dexi9A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAPGPDARKRKARDAAPAPAPAAAAAASPGDRGNLLLAGLLAHEFLSSGTVLGERRGAEAAAATGGGGAVLVQRGAARVPGVVNPAQLAAWARGR >Dexi7B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:7B:16702969:16706648:1 gene:Dexi7B01G0008990 transcript:Dexi7B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAMSDRQQSEEPEEQVDLDGDDDGMDDDNGYRRRGSRDDSEEPEEEEDNDDRHAEPDDIGMAPEPAVGGGGGDDMDKEGDGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDKETKENKGFAFVTFTDKEAAQRAVEDVQDREYKVKTIYVKNLPENVSKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRSGGGPSYPLPPYGGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGGDRRDGGRGGEGSRRYRPY >Dexi2B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:2B:29539984:29546268:1 gene:Dexi2B01G0019400 transcript:Dexi2B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFMQAFERREWLAAQMQQQVDSYSQTLANSLLAAGHTPPEWLLPSTALPQELNGKPIFLTGRHITTPAANRSIFMPLAVPSTLSINSEVPNGCTYPDSNCTALGNDIHEEEHQDQTSLSHGISEACTADKMFSRIQRSRSRQRHIEDRLNGKDQAAQSGSHDGKEQVTPHAEGDAQINADSCRSEDIERRKSEFTLESREKSSRHQEDRGSAPMRSGSGEFMSLKSETTNHKMSVASDVQQNDNSSHKLRNRSCLSDMSLCNSSSAKALSPYFDSGISSKIAVEEMDLNNYQAQLQNVFDVETTSPLPSSSNIALDNMELCKQQVSAPIAVVHGKLSYGSSIEVDRKFRSEDLTGVLLSDDTIPRQKDDESVDFNDTMPHFESFDFSVPFDSPTAEERTFEILHDSRQFATFSPDVSKKYQMNTLSGTRQLLGTMSGKAANCSFDYGEKQLSESIDGRITGIFGSSGLGRNGSFFTSDVVAPCSSNVSDKQESSENPLTPAVEKYNLGKLSGKNGSVSEYMGSIPELSCFRIDEDTDAEENEYQDIIPGSVGSPRQSGRKVLEDITVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLEREKLKHEKELKRKHEEEQKKKRDVDVATRKRQRDDEERKEKERKRKCVEEARKQQRQPMERRHANSEKDARPKPSDKKELQKNLAEAVKGQVKHDEMKSLGDEATKSNSEKVVVADERPAVIGSQSQENIPTSLEESYMMTPYKDSDDEDEDFELKEESRRRRKLIPSWARC >Dexi3A01G0023190.1:cds pep primary_assembly:Fonio_CM05836:3A:18794031:18795909:1 gene:Dexi3A01G0023190 transcript:Dexi3A01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTERQPQPEKKAPRSRPMPGKAVVMLCATSFFVGLLLSGRMALLTPPSGEPSGHGSRIPLFADDCDQTRRNLEEGKPNDIMKEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGVSAPSRGVLPKAFVVIGINTAFSSKKRRDSLRDTWVPRGDKLRRLEKDKGVVVRFVIGHSATPGGALDRAVDVEASATGDFLRLADHVEGYHELSAKTRAYFATAVATWDAEFYVKVDDDVHVNLGMLAARLAKYRARPRVYVGCMKSGPVLSQKGVKYYEPEYWKFGDEGNKYFRHATGQIYAVSRDLASYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSLCCATPRDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWSAAAAAI >Dexi7A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:7A:15651399:15668064:1 gene:Dexi7A01G0004710 transcript:Dexi7A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGSRFRGSGSHLLLSGYIPGGFGSYMLAMDQRTYELIGADYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELADPLPYPPLSHPSSRRRRDEPRAAAQVSSGSCSGGSWCSYDGAEDCLRLGPTPASPAPATVPRARCSAWPWVFISVSVILGDGLYNLIKIVYATIKEIMNAQAKQGRLPLVRVQDDDEGLKLSAEEKHLNDTFIKDSIPPWLAGSGYVGLAAISIATVPMIFPQIKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWSAFDIGNPDGAFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFIASLVINLLRDITPKNVSEFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERINRKECEDFSGAVASGCSASYIFAMDKKTYELVGPHYPGNRAEDVRDPSLGWMISFLFLIALLGPFFIVILRKVMVIDYKLAFPGGTATAVMINSLHGKTEGDLAGKKVNCLVKYMSISFGWSFFKWFFSGVGDSCGFDNFPTFGLVPFQNTAQAGHWYPDNLGSSDFRGLYGYKVFIAISIILGDGLYNLVKIFVIIAREFCNVQSKQHDLPVEVLEDDESSEQLEDEKLQTEVFLKDSISPWFAASGYIVLAAISTATVPTIFPQLKWYLVLLCYFLAPAVAFCNSYGMGLTNLNLAPTYGKISLFTFASLVGSDGGGVIAGLAACGIIMSIACSTADLMQDFKSGYLTLSSPRSMFIAQLIGIALGCVIAPLTLWLFWTAFDIGNPDGEYKAPFAIMFREMAILGIEGFSALPMHCLEICCVTFFLALAISLLKDVTPAHVSKFIPIPIAMATPFYIGAYFGVDMFIGTVILFAWQKLSREEADGYAVAVASGLICGDGIWSIPSAVLSILGINPPICMSFKPSSAARQGGHHARLCCSIPSLRLPMAYRERQNSAEAISGDDGGSRRWAAMEMLSMLKIGYTILRSETPATDLVNTFMDWAARRSLLLLGVFLPPYYVYKLTKSAFAVVAPEDVAGKVVLITGASSGIGEQIAYQYAKKGARLALVARREASLHDVAAKAKDVGSPEVFVVAGDVANPEDCKRFVQATVEHFGQLDHLVNNAGVASVCWFEEVPDVADFKQVLAVNFWGAVHPTHCALPHLKKSGGKIFVNSSAAAMLAMPRMSFYNASKAAVLNFFETLRIELRDDVGITIATPGWIESEMTKGKHLSKHGTVEVDQDMRDAQVGVLPVVHAERCAEAIVDAVCRGRRHLTVPLWYRALFLWRALAPEVGELSQRVFYRRTAGGHDGDRQAKARRFLEATGAKGLLQPASLQSSDIKRE >Dexi5A01G0033340.1:cds pep primary_assembly:Fonio_CM05836:5A:35641477:35644665:-1 gene:Dexi5A01G0033340 transcript:Dexi5A01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGTRRRRLVERGSDRLAFITGQTRSLSCDPIPGSPSSFESDPTHQSEPQQIEGDFGGEKFNDRTELQKSVPRASIRSEARARTLSYDNLVPEFKRADIPQEIKAKPLSYEDELFRKFKTGSAVPEIQPVNETPLHSPNQETFSKKTTHDETPSVQPRREVEMRPRYVSPSQSNQADEAGWSMETLKELMNFTPQEITKAISATESNRFLASIAIAFLVVLSNWGLDIGGVITRVLVGTRPLLFLIITNITIVMTLLMENRDTNARGRPVGPNLGSADSLGQMLEIGLLLQKALGALLIDCSVCAVIMICFLGF >Dexi2A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:2A:25662733:25664524:-1 gene:Dexi2A01G0015070 transcript:Dexi2A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGAARWSSPSRLQLLTRRRLPFSASSSSRAPPAAAAGFGWADALCVAGDGGRGDESDLTGYFRKVDICNRGMDKKGQFVEFLVEDQVVGYIHKGLGQ >Dexi3B01G0037410.1:cds pep primary_assembly:Fonio_CM05836:3B:40138993:40140385:-1 gene:Dexi3B01G0037410 transcript:Dexi3B01G0037410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTYAPPGGAAGALASGRGASPRVRSPAGLGLNPTRPGLLHRPLALTRRSPIAPAPSARLMRCAAASSSSAAASARPVTAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPVDLYSRHLKVVDVGGGTGFTTLGIVKHVNPENVTLLDQSPHQLEKARQKEALKGVTIMEGDAEDLPFPTGTFDRYVSAGSIEYWPDPQRGIKEAYRVLRFGGTACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFQDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRAHGDSPLELGPKAEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >Dexi1A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:1A:3954192:3957129:-1 gene:Dexi1A01G0005400 transcript:Dexi1A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRPRRRSTSICRPSRSERSSARKRNLPYPTILFHSSERLPHPICPYDEGICGHSQIYMELKLCLYLLFASFLKSGVYLVLEKLKLQVYQRLVKKMQKEPAKAHQIKLDVVVKALKWLEIDMDVDEVECIMSCLIYKNLIKGYFPKLNEKPVYSQN >Dexi5A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:5A:25146330:25147561:1 gene:Dexi5A01G0021290 transcript:Dexi5A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGIFSWATLISRIQSESPTVIIPHIGLENITVSEILKAKGEAEAGAVYWCDTSNLVHEAVKHMTAHNVGALVVLKSGDMKQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEDKLITVSSSTNILRAMEVMTDKHIRHVPVYDEKVVGMISVGDVVRAIVDQQHQEVKQLKKYIRGDYY >Dexi2A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:2A:5755109:5766116:1 gene:Dexi2A01G0006060 transcript:Dexi2A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSLLSPHAPRRIIPLLLPLRLSSSSAAVSAPALAMPRRHDGTKPPPRKWKPKATEASFSPSSSSASAAAGIAEPVARMTLASQEPPRAGAAQMWVPRGYSTSAAEAPGVASASASTSSAAVTAEQGGVASGKLSSIFKAAPDFEVDNNTFTGAQIRATFYPKFENEKSDQEDSPVIGRMVLNSDTLMLVDFSYSGLIVVTLKHSGSLFMYAGHHGGAYAKNSFGNVYTAVGVFVLGRLFREAWGKEAPKKQAEFNDFLERNRISISMELVTAVLGDHGQRPKDDYAVVTAVTELGHGKPKFYSTPEVIAFCRKWRLPTNHIWLFSTRKSASSFFAAYDALCEEGTATPVCKALDEIADISVPGSKDHVKAQGEILEGLVARIVTRDSSAQMEEVLRNFPQPPFDGVDLDLGPTLREICAANRSDEKQQIKALLENVGASMCPDHSDWFGNNGLDAQSRNADRSVVTKFLQAHPTDYATKKLQEMIRLMKERHFSAAFKCFFVDVNLFKATNKKAAELAKDGDTLLKNINGALDSNSSAVDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYRTYYLRAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPSNQALIGAAGNLVQTENFLAILDAEKDVEGDLRSEHGTTPSSPASTSADVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDNRPLHSLMGDLIKGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKGSPNAGYVLLMFYHLYEGKSRREFENELYERFGSLVKMPLLKLDRAPLPGAVKAVLDEGISLFRMHQSRHGRAEPSKGSFAKDWAQWEQRLRVTLFGNAEHLNAIQVPFEFAVKEVLEQLKAVAKGDLKTPDTGKRKFGNIIFAAVRLTPSDIVGLLRKVSEKDDAVNTFLNETRLEDSLTKAHVTLAHKRGHGVAAVASYGVYQHQEVPVSFNALYYTDKMAALEAQLGAVNGEQINSRNEWPHATLWTAPGVAPKEANTLPQLAAEGKAKRVPIEPPITITGVLDFY >Dexi3B01G0023260.1:cds pep primary_assembly:Fonio_CM05836:3B:18057060:18060262:1 gene:Dexi3B01G0023260 transcript:Dexi3B01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSPPAAEASPQGPGDKLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFLSWHSGRPAHASELLSFHSSEYIDELVQANATGAKKLCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPDCADGYCFLNNAGLAVHLALDSGRSKVAVVDIDVHYGNGTAQGFYHMDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVLVAGQDSSAFDPNGRQCLTMGGYRKIGEIMRSMANRHSNGQILIVQEGGYHISYSAYCLHATLEGVLDLEAPLLDDPIAYYPEDERYTMKVVDMIKNSWKESVPFLKGT >Dexi2A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:2A:7933980:7939085:1 gene:Dexi2A01G0007760 transcript:Dexi2A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELAMEATALSVGKSVLDGALGYAKSAIAEEVALQLGVQRDHAFIRDELEMMQAFLRAAHNERNREVLMALVKQVRDVAYDAEDCLQDFSIHLKKPSKWHLRRTLQQRRRIAKKMKELRARVEDVSQRNLRYQLMKSPGSRPETADELSSNTAAAIFGIDEARRAAKSDKPKEDLVDLINKEGEDLRVIAVWGTNGDLGLTSIINAAYENPDIKRKFPCRAWVRISHPFNPNHFIQSLVKQFRPAVGVDILLEIEKTGKELVEEFTGCINENSYLIVLNGLSTLEEWNGIKPFLPNRKKGSRIIVCSSQVEVASLCAGQESQVLELKQYSGDQIIYAFYEKDCQNQLNLPVLGSSSNEATDNTNEISQNQSKGRDETKLSLGKSLTIKTMVSASEESQLIGREKEKVDIIKLISDPPSSEEKYVFSVWGMGGLGKTTLVKDVYESKKLIGMFERRAFVTVMRPFILEEFLKSLIIKLSLQSFEKKGEMDFGMGKRSILAAMKVEELIKKLAELLEGKKSLIVLDDFSSTAEWDTIIGRFPKLDSSCKIVVTTREERIAKHCSGKQENIYKLKILEYKDALDLFTKKNIFHLPDSFGNLRQLETLDLYGTGVHKLPKTITKLRKLQYLRASDVDAFDSDAHDVCTLACCIMFPFLIRGSFFLGVQIPRGIGNLKALRTMGLVNLTWSRGIIEEIKRLTKLRKLAVDGINKNNGGEFCSVLAELSNLESLLVQSSGEPGLQDCLDGLSSPPKKLQSLKLYGHLVKLPEWINGFHNLVKLTLRNSMILELDATIEVFGKLRNLASLRLWAKSFQGNDIRFNFYPETFLSLTVLELNSIDGLKSVKFEKGAMLKLELLDYCGSSEESNTGMFSGLPFITSLKVFRLDSKTDYKDDFMGNLQAQLAENRNGPVLRRY >Dexi5A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:5A:681681:685853:-1 gene:Dexi5A01G0001010 transcript:Dexi5A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGQIVASWAAPFFVGSFNLSRQNFGNPLLPCQEKEIEKQKEAKSSTQIYPTTFLRLPSAAGILSPMTASSGEPRRVVVLLDLDPLLPSPGSSAPASAPVASSYLAAVLPAATSLLAASPSPPSLSAGRLFFSSLSPILSSSLLPRTLPAAPTPLSFDLHAATLAALAPLRRLALRAPTHPRVPASSSIAKSLLQLEHDYSWDPDPQHARRRGFDPPPNLVVLFTAAAEFDEFGGSASYAEKFRNVFGPVRDRLLANGLHVCWVAVASAGEEIRAAVTKLGWWFTTADAVALGSAVAPPALVWGGVGLGIAEGGRRGEVVLEIADVKGKPLLCKGCQVEVVGSARWQASGNGVSRIHVKSVCEVGNWEHLTGGDGDVAMVRGLLRDGGKCDGEEAVDKEYFPHQILELVLGDENDRLGGAKPIWQLILVFLSRRNYCAVVSVSDGDGNSVDGILMPFSLNCALLHFDKNDSGLGQVAAKGPETPGSHVSDAAKVQGARRKRSRLVNKLLEANTWNTFCEVLLKHADGSMPVVDFEELYFSRYGTKSKKLRFLKCWMKQVKQSYLTTLLSLHTESEKCLSSKDESETRVLVSEEDVLASRVNFSMDDADSNKVETPLNEADFSRVDTPVDEPVCSGVDRPVGEESSMFSSMEDLEAFIASVPHKIEQALCSEDADLGNLAERLVGLSVHALLVKHGKIEVRYFEHKEAEDASGAKIACELSNVLLRKPKELVAKYKGSDSSSVASEQTTKHSTCYKIREHELQILLRMEVIKSELGSAMEEGSKQKMIKEICSLLQFIDINLQGDSFQSDSILEYAEKNIKSRYINSMEDVIKKIYTQMEFDLFDDEDEFDCSDSIPSSSNHEDGRVDRSRGHWNSAGASTSASALHLLQRDARSSRQRDDDRHNELMVRAQERRDRQRRLSSFTSWVPDLRRVWALKHPGKEPSVPVPRSRSSSKRRKRRRAACTDMVLETPMTAKRQESGSESPPESDRGGEGSRAAALETVSKTLFDEEEIETDVSSSSM >Dexi2B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:2B:11666668:11669531:1 gene:Dexi2B01G0010400 transcript:Dexi2B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKDDVRSAAQSSVRGSSSSACTTYPVPEYPAAGTVKPVLNYSIQTGEEFSLEFMRAIPKKHPVPGMPHNQNVTSSTGHKDSRVSFGAHRPGPETRFDASIFLTSDSHQADEVERKAFSEIENRRRNMSGTSVPRVPSGGGSSLRGYASSEASETSRTIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHIIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGDGSQKLRIFLVSSIEYDDMSFSLGSMDSDSGIQYVAAINGMDGIAPNSSSGQGLVNPPINEFDQFFNLNIDTRLVNSSRDGSSLHAVNASAFVPTEMLSRPMPSAVSGDNTANLHSYYSHGMQNLQGNYANSATSERYYDIEGQTSIPLSTPSDYKYTSQYAPFSGTASQRSFDQQAYLDAQKEISTKEEKRVSGNMAHQNNEPDYFQSLENLSGHTVHHDSSASGVPPAPVQEGVTSSLQPSDSMKSLETCTAPRARSTTQGSDISEDDRQSGGAFASGCSDFQVDMIDHSYKNPPPHPGRVFHSERIPREQAGFLNRLSKSEDSLNPEFLIHQSQSGVASEHIAENIDSAFEGTEKTNIVSVPIDLNDPAVDDSLIQFEKEFSNTVQRTSPFSEQLLGEKRSSDDTSSTNAEKVTHAAEKVVDKAKLIEENSQVVETPNQLGSHSTHISRDAPKPALPTDAESHHVVPSTSSADVSHKEPIISSTQIRDFAGGTEMTSPDILSDFFANANSAAQSSGAFIDPVHSLNMANYEPQRWSFFRNLAQNEFPQKDETQDLPKIEEGAYPFDPLEHDTTNVKNLAPQNDIHVEVPPEASRPNADSNTSPPGFISSQIDNPTTTKNVKGFQVDNPFTNMREMMPSLPGFEEQKPEEARAVGPVMDASFKDTDFEYLQV >Dexi2B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:2B:26757899:26760936:-1 gene:Dexi2B01G0016550 transcript:Dexi2B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEEASDSADQALLREQAAINRSTGQMDNVISQAQATLGSLMTQRSTFGGITSKISDVSSRLPTINHVLSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >Dexi2B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:2B:28170191:28170591:1 gene:Dexi2B01G0017800 transcript:Dexi2B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAKAEGGSAAAPVAATGATWRRVLRTTAGYSAAETAEKSPAPRTKKRALSSRSQRMSSGSCRPWEPSSFSAAASGGGGVESRRSMASPPCRRGERAAPSGGGGGG >Dexi5B01G0029690.1:cds pep primary_assembly:Fonio_CM05836:5B:30843942:30844982:-1 gene:Dexi5B01G0029690 transcript:Dexi5B01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVMLEKQLLECSVFDNLETLEIGDWCLTDNFNIVLRFLQLSPRLKKLILVQRQLPKEPNGERIEAMPNNGVTLHCPLLEVVIRCSKDDKEIPKMVNTMVANGVSLKQISVTFYEDIAMKLMVEIIRSREEQEKELSIFEKTLEENPEWVDDSSYAGSDSNSEWEDEDGEESDGEQ >Dexi7A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:7A:5314848:5317074:1 gene:Dexi7A01G0001950 transcript:Dexi7A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPAIMSGGKCSSAVVSLTILLCIEAAVLAVAARPILDAPRAAANGTCQSRVAPFGYNCEEHTVTTADGYILSMQRIPGGRGDSGQSAAGKIPVLLQHGLLMDGVTWLVNSPAESLGYILADGGYDVWIANSRGTVYSRGHIALSSSDPEYWDWSWDELASDDLSAVVQYVYARSGQQKMHYVGHSLGTLIAFAALSEQRQLGMLRSAGLLSPIAYLERVSSPLARAAADVFLGEALYWLGLDEFDPTGETVHKLVTDVCSLPGINCYNLMSVFTGDNCCLDNSSVHVFLAHEPQASATKNMIHLAQMIRWGTIAKYDYGNAADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGKDSLSDPQDVAHLLHSLQSHDSDKLTLQYLDDYAHADFVMAGNANERVYAPLMAFFKLQEK >Dexi7A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:7A:28801197:28802603:-1 gene:Dexi7A01G0019720 transcript:Dexi7A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEDRPFSVCESSYISSKIRATIDILNSIINTGDDHDAIGSVPSEIAPTKAIVFTQWTGMLDLLEHSLGSNHIEFRRLDGSMPLDVRERGVKEFNTDPEVRVMIMSLKAGNLGLNMVAACHVIMLDPWWNPSAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILSLQEGKRKMIQSALGEDQSGDNAATRLTVEDLRYLFKI >Dexi7B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:7B:8489677:8491651:1 gene:Dexi7B01G0003550 transcript:Dexi7B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPAAPKRTCPRQLNLPLHSSSSVAMNMPAQARMAAGPQAASPSLAPAPQATPGSIPPTATWLPPAFVPNSSSQMVHTSDLNATDAAIQEGCELGSYPPGGFLSYFQNPSDYSLPEEIRRQTPMSSNFFYASCPAPYAPFEAPQPWLAKDKSSPSAPKQDTNSSLGSQGTPVVDVENIDDNVVKSSILSKKDAGGSRAGRRMIWTSDETIRLRLRASGESDEQVMDKAMAFYEADLEEVNNLPRPGGCKKAKEEERGGKGKGKGSSSTMDEIDKLREVQAKSKEDHIEVLERHQQIAAAKKESAKLNHLAAQEKKEAKLLEKEGKMHDKESKLLETYKSLLTFDTSQMSEDLRAKHMIAVKTMRERIFATRAS >Dexi2A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:2A:27381625:27385871:1 gene:Dexi2A01G0015980 transcript:Dexi2A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAPMAATPPTPAPPRILLAGDAHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPEGDAEGAPGDVAEYLEGRAAVPIPTYFIGDYGPAAPRLLSKAAAGARGFTPGGIEICPNLFWLRGSNGFTLHGLSVVYLSGKKGPGGPGCYSQDDVDALRALAEEPGIVDLFLTYPFLLFSSFYCMLFNIEYHIAGTKGVFYSREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADIHAKPPNATLSPYAAPAKSVSMEEASKRPADNTDGQYWRYDVKRQRQGEAEGGRLCFKFTSSGSCPRGSKCHYRHDEEAVEHYQRNVCFDFLNKGKCERGPECKFAHSLSGDTSVGDAKPCSERRRVESSCWFCLSSPDVESHLVISIGEAYYCALAKGPIVPNHVLMIPVEHCPSTLMMPPEAEVELGRYKNALVKYFEKQGKTAVFFEWVSQHSRHANLQAVPVPFSKADAVNKIFHLAAKRLGFEFSVVNPDGAKAARESLISQSESKSSIFYVELPEGKILLHMIDGNEKFPAQFGREVLAGLLSMADRADWRNCKLTKEEEIKMVDDFKQGFHEFDPAE >Dexi9B01G0025120.1:cds pep primary_assembly:Fonio_CM05836:9B:24899568:24899915:1 gene:Dexi9B01G0025120 transcript:Dexi9B01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELYAVINHYGGLGGGHYSAYAKLVEEDNWYHFDDSHVSSVNEEEIRTAAAYVLFYRRIGVSSTVENGVPVDIEMVDSLET >Dexi6B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:6B:21199073:21213579:1 gene:Dexi6B01G0013500 transcript:Dexi6B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKPAVAIQIPTTVSSSRNDVLASEPPYVLLLNRPRSIERTQSLLDCFLTRMAAGSSSSAGLVAVVDDFYISVLAHGRNDDGHAGDDELFPISDEKYAAELQLQEVIMSSSAVATTAESSAAASPRLCITAAAAAAPAASVHGKGECSYASSSSSHPSPPLAVASAAATLVFCKICMDAVSSTDAHRASRGCAHAFCGGCLSRYVGGKIQDRIADVRCPEERCAAALDTELCQGILPREVFERWGAALCESMMMGANTTHCPYKDCSATMLVDDDVGDVGVGGVAESECPSCWRLFCARCGVAPWHAGVTCAEYERLGKGDRGKEDLMLVEMAKGRRWKRCPKCQYFVEKSDGCLHITCSRADSSPLLPSAAHRKMAASAGARRRRRNNPCSICMEPMAPSEAHRGGAACDHAFCRACLSGHVRAKLETGAAVVRCPDPSCGGALDPELCRAALPSEVFERWCRVLCESLFLGARRTYCPFPDCSEMMVADDDGGGESVTQCECQVCRRLFCARCHVAPWHAGVTCDEYQRLAVGDRGREDMLLLEMAKGNQWKRCPNCQFVLVIKSIRGDEEAIGEGLLREDADDAGNRTDVARILLIFSTSSSPVPLPLPSAAHRKMAASAGARRRRHNPCSICMEPMAPAEAHRGGAACEHAFCRACLSGHVRAKLESGGGGGGGATVRCPDASCAGTLDPELCRGALPSEVFERWCRALCESLFLGARRTYCPFPDCSEMMVADDDGGGDCVTQSECQGCRRLFCARCEVPWRAGVSCAEFARLGEGERAREDLLLVEAAREGNWKRCPRCRFYVEKSSAWTCSVRATYLADTIHVHEAIAPRESVVELFRHVTHKILAPMSHARRRTTTATSVMHERSPNSKRTRLHLPCRDLPQMLRESSSRCFLPWRRRGSCMILAVLAQRSMNIYTSARDSWYSGTGSATLVQSTVAASKIQASHMTKGQAGLVQAGFGRRGKANVGAVQRARRAAAAPGLQFVFLRWPHGPEPTATEARAYRVENGIMACGLVPASCLQLQLAVFLTRDCTSAPPQDRSAYARRPLAPARTYKQAKPVDRSPHTPWPTPVPQSGHIHGRAASPASPPSSPRIGFPLPPLRAALQSAALPIRHCAMSLLMRPRCFYYPILLLLLVAAAAADAGGRGAERTYIVRVDDDAKPSVYPTHAHWYEAAVMSASAASDDGGAGWPEGGPLIHTYSAAFHGFSARMTPSAAAALASAPGVATVLPERVRRLATTRSPRFLGLLSSPPSALLADSDFGADLVIAIVDTGISPSHHSFHDRGLGPVPSRWRGVCASGPSFPPTSCNRKLVGARFFSKGYEATSGRMNESAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVKLGDGQVLDGVSVYGGPALESGKMYELVYAGASAGGGGGASSSASDGYSASMCLDGSLDPSAVRGKIVVCDRGVNSRAAKGDVVHRAGAVGMVLANGAFDGEGLVADCHVLPATAVGAAAGEKLRKYIASSTKQRPATGTIVFEGTHLGVHPAPFVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDTRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYVRDNSNGTMVDESTGAVAGAFDFGAGHVDPMRAMDPGLVYDIAPGDYVNFLCNLNYTEQNIRAITRRQADCRGARRAGHAGNLNYPSMSTTFVAATEDDDAGAVRRTMRTHFIRRATNVGGGRAVYRASISAPEGCNVTVQPQQLAFRRDGQRLSFTVRVEATVAPGKRMEPGSSEVRSGALTWSDGRHVVRSPIVVTVQAPLHAQELGELIRAKGTHPRVLESYRSVEGPRVPIRESLELWLRVLYFSGRPSSPLWDLLLSIRFADSPLKFRKEMEGKWDEWPGALSKSNAKHATVEIVEEYGTSLCH >Dexi2B01G0026520.1:cds pep primary_assembly:Fonio_CM05836:2B:35648842:35649450:-1 gene:Dexi2B01G0026520 transcript:Dexi2B01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSKFPLNNFASHKFADSPTGRGYLEADVTPGDPAATKPIHVATTQLERPAPPAPMRCAERHAQARHAVAALGGTANVVLGGDMSWGDDADGPFPLPAGWADAWAAMKKPLISLPSDDWTHDAVWNEEPAAFLCKLRDYRLSSMIGDQSVGLWYVRESLIAERWTNINLKPSCHRGVVLTIVPK >Dexi8B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:8B:20128300:20128732:1 gene:Dexi8B01G0011230 transcript:Dexi8B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKKLVVAGFALALLLASCRAQETRALCEERISWFMCSGDWMCKPICFGEGMTGGHCSKKLHAGPNSLVLTSVSTCVCMKPCHGEDDPRSGKQTMPSIRKMGMLH >Dexi2B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:2B:3571858:3575774:1 gene:Dexi2B01G0004030 transcript:Dexi2B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCFGPPATGGGSSSSGLADGHHAAAATAAFRALLPSLGGGRGGGGHRRPRLRRCIVSPYDPRYRLWEHSLVTLVFYSAWVSPFEFGFVPEPGGALAVADNAVNAAFAADIALTFFVAYVDARTFLLQDDPRRIAWRYATSWLALDVASTVPTELSRRILPPPARSYNFFGMLRLWRLHRVGTLFTQLEKDRKFSYFWVTLFAVHCAGCFYYLLADRYPDPTDTWLSSSMPDFHDASMWRRYAASMYWSITTLTTVGYGDMHAVNTGEMVFTTLYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDAIKAATGFAVRHQLPARLREQMVSHLSLKFRTDSEGLQQQETMDSLPKAIRSGISHHLFFALVQRVYLFQGVSNDLIFQLVSEMNAEYFAPREDFILQNEAPSDFYILVTGSVLAGMARAGDVVGEIGVLCYRPQLFTARTRSLSQLLRMERTAFLRIVQANYLKEKSDSFAIAGVAEEIEYMLARGQLELPVTTLCYAASKGDDFLMHQLLKRGVDPNESDNYWHTALHVAASGGHEKCVRLLLDHGADPNARDAQGRVPLWEALSRRHHAAARLLADAGGDLASGDASLYARTAVEASDVALLDDVARHGGGDVAAACCGEDGATALHLAVAHGNAGMARSLLERGADPDREDVAGRTPRTVADELGHRDVQQLFDRLSQRDEVVESSTQQGTHAATDHGGGRMAAAQPPVARFKSAPAARFPILQRDSGGSSPVLSRQSTPRRMVSFRNSLFGVLSSSHVNRQHDGGGGGGLSRHERHSHGSSRVRVTISCPERGGGARKLVFMPETVAQLVELGRSTFGFAPTRAVTTDGAEVDDPRLVRDGDHLLLVTDQWVIDMSDIGIVGRDQ >Dexi9A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:9A:4790112:4793018:-1 gene:Dexi9A01G0008070 transcript:Dexi9A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVDASGGGERRLSEKELFRAAESGDASAFASLTPADLSLRNEDSRSLLHVVLALVEAGGEAAASLLNAKDEEGWAPIHSAASTGNAQIIDILLERGADVELTTDAGRTALHYAASKGRLNIAEKLIEHGANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGAEVDAVDRTGQTPLMHAVVCENQGVALLLIRHGADVDVEDKEGYTVLGRASSSFRPALIDAAKAMLEG >Dexi9A01G0047900.1:cds pep primary_assembly:Fonio_CM05836:9A:50845999:50847905:1 gene:Dexi9A01G0047900 transcript:Dexi9A01G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVESWWVLPMALIPAISGEQHENIAAIATSFAYLAIFAFLAWAGASLLYWSHPGGPAWGKYWTKAKGPKPSTIPGPRGLPVVGSLGLMSGLAHRSLAHEASRRPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPVNHAAYGLMFHRSIGFAEHGPYWRSLRRIAAGHLFGPRQVDAFAPYRATVGEGIVAALKVAAGDGVQVRGVLRRASLYYIMRFVFGKEYDVVSPASGKKEEGEVEGLLEMVQEGYELLGMENWCDYFPGLAALDPQGVGVRCAELMPRVNRFVHGIIQEHRRRSAKAIAGGGEARDFVDILLSLQGSEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARLVLHRDVQAKAHRELDDAVGRDKPVTESTATSLPYLQAVLKEALRIHPPGPLLSWRHRAMSDTFVDGHLVPAGTTAMVNQWAISRDPEVWDAPLEFQPERFLPGGKGHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWLPVADAVDMSEVLRLSCEMAVPLEVRVRPRRGV >Dexi7B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:7B:14707805:14709848:1 gene:Dexi7B01G0006930 transcript:Dexi7B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAALRGASRCLASVGSAATARSILLSPSRGITYKLFIGGLSQFATEDSLAEAFSRYGQVIEATIVSDKVTNRPKGFGFVKFASQEEANSAREEMNGKARF >Dexi8B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:8B:5840057:5841114:1 gene:Dexi8B01G0005590 transcript:Dexi8B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSPQEEQISTPPASNDKKQYVVVDSGDELPRTEKRILWTQHEDVKMMSSWLHNSTDPSMGADRRNEQYWYDVAETYNETTPNSRKRNAKQIKDRFNKVNGLTDLFHSAWVKARRIFTSDCNDQMWIDKAHVFYIEDNKDKEQKLGPFVLMDIWHAVGNEAMWITYNIGLKEACKKKSSVNGKEGTDVQQPGRPMGHKIAKKAKLEKHSKAEAKDSDIEELDKFGKIQIEEHANQLKVLEVQKKLSTEKIEQEKLAHLSAKEQKEAAEKQREARKLELEAKMLETYNRLLSLDKTLMSDEEKEDHANTIKCLKKKLFSDYP >Dexi4A01G0023320.1:cds pep primary_assembly:Fonio_CM05836:4A:26439913:26440514:-1 gene:Dexi4A01G0023320 transcript:Dexi4A01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGGEAGAPTPPAAAATGAGGVVKGRSCKGCLFYSSALRSRARGPVCVGVTRALPQGVTQS >Dexi9B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:9B:4875031:4876299:-1 gene:Dexi9B01G0007900 transcript:Dexi9B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGSKESQNYDNNNQKVHPQPIDENMNQNGDSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTKLSELKHAYREKNPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDSAYQSMHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQRMFSGFQDESFSIKDSNISVSNEAFFHQFLAVRGMDPLDVLSQNPDSIFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSEFSDIHMESVVKNIILDEGAERPKVGLMVMPGFLIGTSIIQSRVYLSDVKCAD >Dexi8A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:8A:21293009:21294982:1 gene:Dexi8A01G0012260 transcript:Dexi8A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRDGFLGAAAGASSPPAPRSCSFLGSATTTGSGGAQMLSFSSSGAAGLGLSSGASKMQGVLSRVRGPFTPTQWMELEHQALIYKHFAVNAPVPPSLLLPIRRSINPWGSVGTGSLGWAQIRPGSGDAEPGRCRRTDGKKWRCSRDAIGDQKYCERHIKRNCHRSRKHVESRKVTPTIAEPSMAVSGGPSVHSYAVPWQQQGKSSAANMTDPFASESNR >Dexi6B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:6B:22752631:22757131:-1 gene:Dexi6B01G0015390 transcript:Dexi6B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSGTLGASSVAALRPCAGRRAQPAASSVAPRGSGAVRCSRGVRWEAERGRGRLFRVRCDAAVAEKPTEEEATGEEFDDTGVGMTKDELKDCLGTIAQSGTSKFLKALKVEGEEETKEGEEATEGEKQKKKKTITEKYWDWELANETKPIWMRNPKEIEKTEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFYSSKNEADAISLDQYVENMPESQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEEEENKETKQEFTLLCDWVKQQLGDKVAKAACKNEPESTEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMAIALGGRWGRSDTGEAEATGEASLVADSSEDTVTEVIEPSEVRPESDPWRD >Dexi3B01G0025570.1:cds pep primary_assembly:Fonio_CM05836:3B:20376874:20383678:1 gene:Dexi3B01G0025570 transcript:Dexi3B01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDDFSLNPSLGAPPRERCQAPSTHPPVTWNVVKPFAPGQQGCNLQAPATAAAAAAAAIRCRRRSHPHFLMRSPSRAIACLLHLRRLSTSPRDHLLLLRFAAVAKELSEQPPTPPLPPRPRSPHPYDYNRLMSAHASSGDGDGPGAGAARALHLLDEMRSLLGRRPDTACFTTAAAALSSASEPGAAIAVLNAMANDGVAPDAVACTVLVGVYACRLQWFDEAYEVVRWMADNGVALDVVTYSTLISGLCSAGRVAEALGVLDLMLEQGCQPNAHTYTPIVHAYCSSKLEGAPLSLRELHRGSKELRRGLCRPSDELEGAMEICVGAVGLRRGPTAGEASSSSRQLHRGYYATGRSSTRELRWPNKLECSMVLRNGVAPPVRVFEGASLRARVINGAPQRGHCTPPSYKLEGASIRGSTVDS >Dexi9B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:9B:12253992:12259666:1 gene:Dexi9B01G0017430 transcript:Dexi9B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSLAKIHNLLAAVVTDRGPEDNSKGKKSISKKAKKKPPMVDELVLVPYNARKRRAAGADDEDPFRALVVHHEFAGELVPRWTSVEFVRVKQPRGLRLVKGITPAIEAAYGELMQLEETCRGRDLPDDVPESPQLDEKRRVLEARVKRFMEQARRIIGDRKFSMWKGSVVTSVVGTFLTQNVTDQLSSNAFMNLAAEFPLSKNGSNVKQSANVPLIKDACDLGESERADAGSTDEGGNCCDKGIEELIAALRTGELANWGKDRIREVLYDSFEKPTAAKIFSDIASMGDTSHWNSLLREAYDNGYRKGNTDDTVDWEALLNSPFAKIAKCIQDRGSQFQMAFRILSFLIRIKRDHGSIDLEWLRYVPRAKAKRYLRSINGLGAKSVDCIRLLSLRHRAFPVDTNVARIVTRLGWVELEPLPDSQEFHLVSAYPVMADIQKYLEPLLCKVPANEVYELHCQQITFGKAPMALERWRRATTPAARRKASGGMRRHRGGQTTRAERRDGVAAARRGRAAAWRSGRTNQTSGGLATPTSSETVPDDCNPYLLIIQSSDEHIVNATILIPCRTANENVFPLNGTYFQDNEVFADHSSSLSPIEIKRRQFDFFNEQCVVHFGTSIHSVSK >Dexi9A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:9A:11034863:11035453:1 gene:Dexi9A01G0016090 transcript:Dexi9A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANKKNMEAAGKGLKSFVAVVLVLGLLLGQQQIQVEAKIRCPSSQARDLYFMCIYLGKPRPLCETISGCKVVHRKCNDYPYSNSADDAMALEFCKLGCAASSLCDNNIKQAVGNEETSDAVNSCDEACYRFCTKHDHTAALLLKQVHPRNAREFHVTAS >Dexi2A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:2A:3064343:3066293:-1 gene:Dexi2A01G0003490 transcript:Dexi2A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAARMEEHSSAAAFVEGGVQDACDDACSICLEAFSDTDPSAVTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPMSQELLEAVEEERNVQENHARTTTIFRHPLLGDFEVPINADDAEIEERIIQHLAAAAAIRRSHRHARREGRRSRSTAHGHPQTLFFSTAEATSGGSMPSNSRQEGDSGHVPATISVGPLSTIASTDETAADTSVHGTTLANNGSVVSSNRVSENQSSPVIQDEAGPSDVQSFSDSLKSRLQSVSTKYKDSLTNSTRGWKERWFTQKNTISNLGMEVRREVHAGIAAVSRMMERLETKDGTGPSSTPTTDIHSASDTNNQGASPPKVATVVNDASSSTI >Dexi2A01G0029500.1:cds pep primary_assembly:Fonio_CM05836:2A:40538850:40539104:-1 gene:Dexi2A01G0029500 transcript:Dexi2A01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVRAARPALRGAPDGVAFAAHAAFLAAGYSLCAVGPDALTDPPPSVWSPGAGVP >Dexi6A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:6A:20801000:20801212:1 gene:Dexi6A01G0013560 transcript:Dexi6A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDGEEELDAAKGSDEELASLFGQLEIKSQPDMELSSSQLLSMLAGEDMETPSEPSLEAEAGDQADEP >Dexi5B01G0036970.1:cds pep primary_assembly:Fonio_CM05836:5B:36492074:36495124:1 gene:Dexi5B01G0036970 transcript:Dexi5B01G0036970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRAVALGAAFLLLLVGLPSAFLYLTSSAAPAAASRAALLNLKPFSARCPPAAANPPPLRVFMYDLPPHFHVAMMTADAANATAGGGSFPAWPPSAGGIRRQHSVEYWMMASLQDWGGGGGVGSERREAVRVRDPEAAEAFFVPFFSSLSFNVHGRNMTDPETEADRLLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLQNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVGSFIDDDPPDPFEARHTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFENSFATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYTEFSIFFSVEEALRPDYLLSQLRQIPKKKWVDMWLKLKNVSHHYEFQYPPKKGDAVNMIWRQVRHKIPAVNLAIHRNRRLKIPDWWG >Dexi7B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:7B:13035484:13057000:1 gene:Dexi7B01G0005580 transcript:Dexi7B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPSRDGSDKLHRAEIATSRYGATVVRAPLSTHALHLCPNCRGGGAARAHRRPAMAITAATCSHHRHRSSPPCIRMEREEVGRRADKRRVRVYDLILFQDIVSEALITLSKIDITSVFGGFGSYMLAMDQRTYELIGADYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELAVIGPLLRFVTALRPELSSGGGVLLPPPPPPPSPSPPLSPPPPAAAVRLLLPPAASLPDFRRRRAFCTPVGCLFLRRVVSVDPSVKSPPSLSSSSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNARAKQGRLPLVRVQDGDEVLKLSTEEKHLNDTFVKDSIPPWLAGSGYVGLAAISIATVPMIFPQIKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCIIAPLTFWLYWSAFDIGNPDGAFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFIASLVINLLRDVTPKNVSRFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERVNRKECEDFSGAVASGCSASYIFAMDKKTYELVGPHYPGNRAEDVRDPSLGWMISFLFLIALLGPFFIVILRKVMVIDYKLAFPGGTATAVMINSLHGKTEGDLAGKKVNCLVKYMSISFAWSFFKWFFSGVGDSCGFDNFPTFGLVAFRNTAQAGHWYPDNLGSSDFRGLYGYKVFIAISIILGDGLYNLVKIFVVIAREFCNVQSKQHDLPVEVLEDDESSEQLADEKLQTEVFLKDSISPWFAASGYIVLAAISTATVPTIFPQLKWYLVLLCYFLAPAVAFCNSYGMGLTNLNLAPTYGKIGLFTFASLVGSDGGVIAGLAACGIIMSIACSTADLMQDFKSGYLTLSSPRSMFIAQLIGIALGCVIAPLTLWLFWTAFDIGNPDGEYKSPFAIMFREMAILGIEGFSALPMHCLEICCVTFFLALAISLLKDVTPAYVSKFIPIPIAMATPFYIGAYFGVDMFIGTVILFAWQKLSREEADGYAVAVASGLICGDGIWSIPSAVLSILGINPPICMSFKPSSAAREDTMHASAAVSLPSSLPMAYRKRQNSAEAISGDDGCSRRWAAMEMLSMLKIGYTILRSETPATDLVNTFMDWAARRSLLLLAVFLPPYYVYKLTKSAFAVVAPEDVAGKVVLITGASSGIGEQIAYQYAKKGARLSLVARREASLHDVAAKAKDIGSPDILVVAGDVANPEDCKRFVQATVEHFGQLDHLVNNAGVASVCWFEEVPDVADFKQVLAVNFWGAVHPTHCALPHLKKSGGKIFVNSSAAAVLAMPRMSFYNASKAAVLNFFETLRIELLDEVGITIATPGWIESEMTKGKHLSKHGTVEVDQDMRDAQVGVLPVVRAERCAEAIVDAVCRGRRHLTVPLWYRALFLWRALAPEVGELSQRVFYRRTAGGHDSDRQAKAKRFLEATGTKGLLQPASLQSSDIKRE >Dexi1B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:1B:24789342:24792759:-1 gene:Dexi1B01G0018640 transcript:Dexi1B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASMDCVSSKDKETTDPPKAPWWTGETVAVVTGANRGIGHALAARLAEQGLSVVVTARDEARGEAAAAALRARGGGAVRFRRLDVADPASVAAFAAWVRDELGGLDILVNNAGVSFNEVDTNSVEHAETVLRTNFFGAKMLTEALLPLFRRAPTTSRILNISSQLGLLNKLKDPSLRRMLLDESSLTERDIEGMAWRFLAEVRDGTWRGRGWPEVWTDYAVSKLALNAYSRLLAARLAGRGVSVNCFCPGFTRTGMTRGVGKRTADEAGRVAAGLALLPPRDLPTGEFFKWRTPQLYSKL >Dexi2B01G0031990.1:cds pep primary_assembly:Fonio_CM05836:2B:39964912:39965361:1 gene:Dexi2B01G0031990 transcript:Dexi2B01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWQGGDAMMHMLVAPDGGVGELQPYGAPPVEQELELLRDNADDGLEGHVPAVRDIWQCDAPYAAWP >Dexi6B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:6B:19554053:19556479:1 gene:Dexi6B01G0012000 transcript:Dexi6B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDGSAIDSFLLTIDKRSLRERIEQIDYIANEARKSHLLNQQNGSSKLTVKHNDKESTSKQKDIDDLHRSIEQKVFGREKELKHICGILRSGKSTLAQYICDYEEAEGNHFHPVMFIHVSKTFRLDDVFRDMLEKITQSRPSYTKGLKSLYKELKDKLKGKCFLLVLDDVWVNCGNQKEWHILLDAVNAGQRGSRILVTAQTKDAATALGAQEHIPIPDLGKDHYLSLFLHHALQGTVDDDGEYERIGRRIVEKLHRSPIAAVTVAKRLLRNSSIDFWETTANLDVLNETMGALWWSYQQLGADIRRCFEYCSMFPRGYMLKREMLVHLWIAQGFVKTSDAAEEMEDLGKRYFDELLTFSFLQAQRTIIGTEQFTIHDLVHELAERVAGTDFLRIDANGLPKDIPTEVRHLFIETYDKAEITEKLLGLGHLHTLILEELGGSVAKMVAAPLKYNESDIIKDEAFGSMLMSLRKLRVLIVKVRGHHKLVFSIPASIDQLKHLRYIRFRFTNILKLIIPSTVSKLYHLQILDTPDLRLSCAEDVADLIHLRHCYSSLNFPNIGRLTSLQTVPHFRVGKEQGYELKQLKHMNKLRGTLRISSLGTVGSKEEALEAQLARKIRLRVLVLDFNEKTRSPDVETEIIEGLCPPEHLVQLCIWYYCGSRYPSWMLSRQHPDAPKHLDKLELCECRRLVSIPEDCEFFARLRLLRIVSCIWDALPDNMEGLRSLQKLVIRSCKNIELLPTLPRSLEEITFVDVGKLKASCKERGHRNWLNIQHIPNKKLYD >Dexi5A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:5A:20767915:20774219:-1 gene:Dexi5A01G0017520 transcript:Dexi5A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSSTIRCFTMLASAALVILVSRSSCLQFSYPIFDASNEADFGFSPGSGIANGALQITPSTGNMSHRSGRVFYTRETLKLWNSKRTALTSFATEFVLNILPRNGTGEGMAFFLTNNPQLPGNSSGPWLGVTNNQTDGSPANRVVAVEFDTRKSSMDDLDGNHVGLDINSVESLFTYPLSNVSIVLSSGSDARVGIQYDGASTVLSIGAVQEGHVFTHELLVDLSQFLLEDISFGFAASTGEFTQLNQIKSWNFVTIGDDRGGHRKKVGLLVALMTLLTLAIAGGSCLAFYVWRRVTWQRRQAYRNLEKMIDARGPIRFKLRELRSATANFSPDRKLGRGGFGTVYLGYLPKMNMEVAVKRVSATSTAAAGNNNSSSNRGEKEFVAEVNTISRLSHRNLVKLMGWCHERGELLLVYEYFPMGSLDKLLYSSDAKNNARTEQQQELNWERRFKIICGVASALEYLHHGSSQRVLHRDVKASNVMLDAEYAPRLGDFGLARVVHLDGATHHSTQAVAGTRGYMAYETFFTGRASLDTDVYAFGVFAMEVLTGRSPSAPVLHCDGGGDDDDHHHGSRRGKPMYIVDWVWKLYGEGRAPRAVDAAMKDDGEVDPAQVECVVRLALACCHPNPRERPSMRTAVQVLIGGAEAPEPPVQKPAFVWPPVGNGQEMELPQVGVLFTEIDPSESGIATTARCFQILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPDDLASLKEKLDQEATENLGMAMVYTLVASAKEWLSEKYGQNGGDEEPEETEEQEEEVIVPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTAPKEKKLTGRQYFESGRHAVKGASTVADEDEEDEEDIEFDDDFEDDEEDMLEHYLAEQSGKSAA >DexiUA01G0020860.1:cds pep primary_assembly:Fonio_CM05836:UA:43132745:43137068:-1 gene:DexiUA01G0020860 transcript:DexiUA01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAAASKGPRYAPPDPTLPKPWRALIDGNTGYLYFWNPETKVTQYDRPVGPPPAPPSQPPGYSRAEERGRGSGPSEPRYAAGANVSQSAQFTNQVPQAGNGSQMSTEAYRAKHEITIVGNEAPAPFMTFQSTGFPSEILRECLYGGAPKGPQLRELERGVDIVVATPGRLNDILEMNRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKQIPPRRQTLMYTATWPKEVRKIASDLLVNPIQVNIGNTDQLVANKSITQVRKYCFLFFKQDIILSVLEVVYYTLQHVEVIPHMEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARSLSRQYGASAIHGDKSQAERDSVLSDFRSGRCPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGSAYTFFGDKDSKYASDLVKILEGANQSVPPQLKEMAQRGGYGVGRSRRWASSDDSYGYDSRRSNDNFNNSSFGNQDGGGSSFHSSFHNSMSGSQFGDTPSFHGSSGNNQSGDGLSFHERFYGSRGGDQNRTSNDGFRARSRSPPSKAVGVSNW >Dexi4A01G0024240.1:cds pep primary_assembly:Fonio_CM05836:4A:27035539:27037947:1 gene:Dexi4A01G0024240 transcript:Dexi4A01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWSIFPRSASGADTELEAEAEASEPSGRQLSDEALVEELLATVASARSFQEFRRSQRKDCFGLLRWLQLVLPLIQEIREAAPPLTDDAYRRLALLGRTFQAARRLLRCCHDGSKIFLALESEAVLGRFRAVYEKMNLALDGMPYSEIDISDEVKEQVELINTQLKRSKKRTDTQDMELSMDFMMILQKEDGNADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQPESTKHIIELLNKFKEIAGIDEKNILGDVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLILQWCEKNKVEIQMGESEPPAEQEERKEDIPSLVKDLSSVHLDAQRKAAKKIRILSKENPENRALILENGGLPALISLVSYPDKKIQENTVTALLNLSIDETNKILIAKGGAIPLIIEVLKNGSVEGQENSAAALFSLSMIDENKAAIGILGGIAPLVGLLKDGTIRGKKDAATAIFNLILNHPNKLRAIEAGIVTALLKILGNKKLGMIDEALSIFLLLASHSSCRDEVGSPSFVEILVEIVKEGTPKNKECALSVLLELGLHNNSLMVHAFGLGLNEHLSDIAKTGTSRAQRKANSLIQLSRKCS >Dexi5B01G0032630.1:cds pep primary_assembly:Fonio_CM05836:5B:33197647:33200265:-1 gene:Dexi5B01G0032630 transcript:Dexi5B01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVLLVAMAVLAVFAALPLGRAGEWPCCDTCGACTRSFPPQCLCRDTSLDGCHPKCVKSSEDIGEHGKDDATTAAGAWPCCDTCGTCTRSLPPRCTCMDTAPSGCNAACKSCVKTSLGGSNIFRCADRVANLCKRRCTPAA >Dexi3A01G0032940.1:cds pep primary_assembly:Fonio_CM05836:3A:37762170:37763345:-1 gene:Dexi3A01G0032940 transcript:Dexi3A01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLLRLALIILCASLTVVNTCAGLRLELTHVDAKEGCTVGERLRRATEHTHRRLASSVAAGVTAPIHWAGTTSPQSIAEYLIGEPPQQAEAIIDTGSNLIWTQCVACDDTSNNCFNQNLRLYDPSQSSSFQAVACNDSACSLGSETQPCALGDGNMLCPVNTRYGSGNVSGFLDTDTFTFGSENASSLAFGCIDASDITRGSLNNASGIIGLGRGKLSLVSQLGESNFSYCLTPYFSDNTTSHLFVGGSAGLSGGGNNATVTSVSFVESPGDYPFSSFYYLPLSGIVVGNVTLDDVPAAAFDLRQVATGQWAGTVIDSGSPFTSLVDVAYKALKAELTQQLNASLVPLPTDADVESEMCVASGDTSLVPPLVLHFGGGGDVVVPPENY >Dexi8A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:8A:5831004:5831335:1 gene:Dexi8A01G0005920 transcript:Dexi8A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCRLFPRHRGVKSLPLSSSLLLFIKQKSRAGRQQLALSSPRLVRAAPPMAPRRVQLRALRQCPVRTAPSPARGWRESSSTMPPHSARTPRMKRTALWHARA >Dexi5A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:5A:10171904:10172700:-1 gene:Dexi5A01G0013570 transcript:Dexi5A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHLCIAAACAVVLALAAPALAGDPDMLQDVCVADMASPIKINGFPCKANITADDFFFAGLKNPGNTNNPAGSLVTAANVEKFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKVVTKGDVFVFPRGLVHFQQNRGYGPAAVIAAFNSQLQGTQAIAMTLFGAAPPVPSDILAKAFRIDNGEVDHIKAKFAPK >Dexi4A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:4A:2730486:2732594:1 gene:Dexi4A01G0003860 transcript:Dexi4A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAGSPILDLPEPLLLHILSFLDDPRSRHRASLACHRLLAAERATRAALALRGDPRSPTFLLLGPGFCFPALERLDLSLVSPWGHPFLSAAAPAADAGAPATTEEEVAQQNAFIAEHLANCFPAVSSLAVYCRDPTTLASLTPQWRGRLRTVKLVRWHQRPPGIDAGADLEPLLRDCPVLRALDLSEFYCWTEDIAPALAAYPAAAAALTELDLGLAGATDGFHAAELGAIAGSCPGLRKLVAPCVFNPRYVDFVGDDALLTVAAKCPKLTVLRLREPFEPASTSQGEDAVITVAGLVSFFAALPALEDFALDLRHTVLETAPAMEALARRCPRIKFLTVGGFQGLCKASWLHLDGVAVCGSLQSLCIKGCLDLTDASLAAIGRGCARLAKLSIHGCNLVTSAGIRRLAMALRPTIKEVSILHCRLLDTATCLTALSPIRDCIESLEINCVWVEAEQPESVANGTTGDDHEEDLGDVSYESAAKKCRYMELDDLVSWEMLRSLSLWFPAGEVLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPGPRVFFGLSDLAGFPVLAKMKLDLSEAVGYALTAPAGQMDLSLWERFYLQGIDSLMTLYELDYWPPQDKEVNQRSLTLPAVGLLQHCIGLRKLFVHGTTHAHFLSFFLTMPNLRDMQLREDYYPAPESDMMITEMRAESWLRFEMQLNSQPIED >Dexi6A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:6A:12159553:12159843:-1 gene:Dexi6A01G0010020 transcript:Dexi6A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATKKEMEAERKQDKEIKWSFVKAIEERKVAIEEEKMKLHGEEVQAKKMEQECKIMFMDVSVLDETQKAYVEHMRMQILASKMSGSASENGNGSK >Dexi8A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:8A:640389:641530:-1 gene:Dexi8A01G0000950 transcript:Dexi8A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHSNGYLPQSAPSDHPSSLSDHRSAMKEIARGQSLVTQLRAIVLPALQADERCELVAQMFQDILDCSSKAITELQLHHQSESRADDALVDDKKRVRRISAAESIKEGATANPHHQHKRRSYYRCTYRQEQDCKATKTVQQQDGSTVVYHGQHTCKDNNGVDSSTDGSETNTQSSSDSRSTISTTCTDPYDHQPSIDDNKLSEKSADLVTKSMYESFNTTPFAPLDLDSWELDALLRFGSW >Dexi5B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:5B:1151100:1155467:-1 gene:Dexi5B01G0001810 transcript:Dexi5B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGKMKYVVVTGGVVSGLGNGVAASSIAVVLKACGLRVTFIKIGKFHAWFTHPYLNTDAGTMSPDEHGEVFVLDDGSKYVIDKERRGDYLGKTVQVIPHITDAIQEWIERVAMMPVDGREGAPDVCLVELGGTIGDIELTPFIEALSQFSYRAEENSFCLVHVSLVPVIDIVGEQKTKPTQSSVQSLREHGLTPNLLACRSAAPLNKTVKNKLSQFCHIPDQKAHEAILGILGLARLSVEPKLDTWMNIAGTYDQLHVPVRIAVVGKDTNVSNAYHSILEALLHASVACQRRLVVDWVPASDLDEETANQVSRVLLYSLLSPSLKVAVIEFARSVLGLKDANSTEFDGGTRSPCLIQMPEMCVGSRRTFFRTMECESAKLYGNVKYVDERRRHRYEVNPEMVGELESGGARFVGSDETGDRMEILELPWHRYFVGVQFHPEFKSRPRKPSPLFTGLIAAASGQVSGGGSTTQRSPAEMEKRPALMKKKVVAAGGAAVASGGLEDGILVGLHASGAALAH >Dexi5A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:5A:274454:276343:1 gene:Dexi5A01G0000400 transcript:Dexi5A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEKETGVAAIVCPAAPIVCPLVAALEGEMGSSSLPTRSSPTTVFSPPLPTPGRARPPRLCGRATGKPRDFSVIATHSGLSDVSVQSRPDNEFPVTGAAYSFTGSTTSLTSKILSSSKKITLVRHGLSSWNAENRVQGSSNLSVLTETGAKQAEKCRHALANMKFDVCFSSPISRAKSTAEIIWQGKEEPLIFLDSLKEAHLFFLESMTNADARKQYPELYTKWREDPANLHVNGIYPIRELWGTARQAWEQILLTPVSTFPTSFLHGMPLPLIKFGVLHFFFFGQGENFLVVTHKSILRALICTALGLPPERQVSFYAFRAIDVNNGGMCVFTVNKQGEAMLQALNMTAHMYSDHTYQY >Dexi7B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:7B:12036378:12036666:1 gene:Dexi7B01G0004970 transcript:Dexi7B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGIHPIDQDLKLITKDTLTTKLTVNLLHEDTLMLSEKGSSCWVCFTDAAKQPIKAKEQIDWRGIFRGAWPAYSRKEQDEAEWL >Dexi8A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:8A:29572455:29573556:-1 gene:Dexi8A01G0017710 transcript:Dexi8A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAEAIGLISSMISIAEQLVRLAERAQRNREMCAQLKNHVRMISRLLTELRSQWMPDPVTYSMLKNLSDALNDGKALVASCLEKRTWSLVFKTQKKAKKIARRRRTHLKEPRASQKEELKSSIKNLTNMDNMSTDAKRRPCTRYGGGAIAAGSSSSRRIVAVGRQR >Dexi3A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:3A:452594:460821:-1 gene:Dexi3A01G0000540 transcript:Dexi3A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVYSSPSPSPSPAAPSAPLPNHHHHSRVTDGLPVANGPEPRNGLEAAEVERPAPVAYLPQVVVLCEQRHEGLDEAAAATGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHTQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSVEFPADVFTACLTTPIKMALHWFCKRSLLRDSMDNSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSATELRQILSCQVDLVKDGGHAYFVRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEVCINAGLIDVCLRHLQPENPHDAQSEPLLLQWLCLCLGKLWEDFPEAQLLGLHSNAPEILIYLLSEPQPEVRAAAVFALGNLLDMGSTSVNGVDDDSDDDEKLRAEINVVRSLLQISSDASPLVRSEVAIALTRFALGHNKHLKSVAAEYWKPQTNSLLKSRPSLANISSPNHVYSHNNIIQGSSGLASHIGPVLRVGSDSSATGRDGRISSSSPIATNSVMHGSPQSDDSSQHSDSGILLKESSSVMGSNGGLSYTRSRPVDVGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNTRFSSGGAHLGETSTPPSNFGMARSSSWFDMNSACKMTSQIASWDTRFESGTKATLLLPFSPIVVAADENEQIRVWNYEDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRVWKNFTQKGGQKLVTAFSSIQGHRAAGRSIVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRPGHFAAGFADGSVRIFDVRSPDRLIYMAKPHAPKTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAADPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi8A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:8A:30736031:30737425:-1 gene:Dexi8A01G0018460 transcript:Dexi8A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCFVLGDNMRRKQNQHGMSRRNSRSGLSAAAAMNNSSKQQAELDESKQTEQDRWRGLAYDTSDDQQDITRGKGLVDYLFQAPMGDGTHEAVLSSYEYISQGLRQYSLDNTMDGYYISPAFMDKLVIHIAKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVSDEDVVKLVDAFPGQSIDFFGALRARVYDDEVRRWVAEVGVENIGRRLVNSKEGPPVLEQPEMTLEKLMEYGHMLVAEQENVKRVQLADKYIREAALGDANDDGHMMATAGDDFYGKAAQQVGLKVPEGCTDPNARNFDPTARSDDGSCVYN >Dexi8A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:8A:3936406:3937749:-1 gene:Dexi8A01G0004530 transcript:Dexi8A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCEGTHGGGAKKTNAPSASPVAALSDDLLRHILLRLPDMASLANAALAEKRWYAVASDPAVFRRFDSLRRPLLLGFILTDRGDQYFPGRCSNLLFVPATRGYPNLASVAAAADFFFEDLSDDDSDGEEERYSSDVDWRLRGCAGGRLLLSRGYNGLLLAVYDPIARTAVFLHPSTVFHSIHRVRYAISVDEADGSFLVIGVVDFKAAAFSSRSGKWVKFEGDDFIKRSGTMDDDWDWSDLDEDDIYEFPGGGIMSRRRFEEQDTIDSIYKIQADGMAAGRFAYWRSDTKKCSYSKPVERILLLDTTTMKWSVIAAPFPPGESYCVADMPEHGGLCLFSSKEQCLQLWVRNSSGKWILKKEFSLLNGQMKKLCREEWMKRVRILAARATYVYMEYWSIRKSHSYLLVFHLTTRKLTLFRNNADEPYRGPAFPVFMRLPPLLGPHDN >Dexi3B01G0036190.1:cds pep primary_assembly:Fonio_CM05836:3B:39076728:39077083:1 gene:Dexi3B01G0036190 transcript:Dexi3B01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKLSDYNVARRAAIDGDLRVLKAMAKEVNLREAKDSEGRSALQLAAGKGHLEVCRFLAEELGLDVNSTTPDGARRACPC >Dexi5A01G0026630.1:cds pep primary_assembly:Fonio_CM05836:5A:30211137:30217445:1 gene:Dexi5A01G0026630 transcript:Dexi5A01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPSPPPPVGTSPRRRTATASTNPPNWQTSRPPAAAVAVVMRICAPLGNSTNHSTPCRHYPGHLDEQFCQVEDLQDEASPNFAEEVVTLFFKDSARLISNIEQALEKYPNDFNKWDAYMQQLKGSCSSCMNSFKKVKREHGVLRQKLEAYFQRSCYDKLVLLELLPGLVCKNGALACYKLQQNGVWRKKIYWTQISSCHNKLTLLLKSRGEINERSGPGDDDAGGDGGRRRRTDGARCPLPDVRATNISATNPTGTHRATRAATFV >Dexi8A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:8A:8083346:8085211:1 gene:Dexi8A01G0007240 transcript:Dexi8A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAMPRPSSSASRPRKPSPSRSASPATAPKPEATGSSARRRSPLSDLNSRDPSATCERPGCFRFLRPSSSSSSAAASGARSASTPRTPKRPDPKPRLGGRRHDHRLPDQESRTRAERCAAEKPRRRGPEPIGGGRIKKAADQGAAAGGKKPQWPAARRVEELEALTPEKKAGSGSTPSSSTGVTPPVHASISPEVVAACGSATPACFAAGHHVLPGVGDRRKCRPRGILAIAGEEEGFASEDLEGAEPSRASIRWLSSPSGPEAGTCSTKCGNGEEASVNWLVSPRDGGVVDPLEDEIFVPRRSSDDAFWRFSPDCTGLLGSPLLGGLLDFGTPLSDMSGTTPSSGFLPVEKTPSSGDSISPFSLIVKRASESSARLCGLGSSYQSGSGSNSAADATRVSGEAWSGSVSNGTRSGLTRTGSRPMKMMDPVLECLEMMSLSPMPGDDDYNGSSVLPAPVPELSFQFAGAPMLLESVDLTSFKRSPRDIEFKGKETGFQKPVMTETRISWREGLVSRMFDIGDLDCCKWLSDDEDSPVLSHNDEALPHGTNSQPGGDQLQTCGFGSVEFSCFGDGLSNDRSKALPNPVSVAESMRAEGFELISSDDSDWTLFYKNNLFES >Dexi9A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:9A:1920066:1921431:-1 gene:Dexi9A01G0003580 transcript:Dexi9A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKSPLLEGRRGGATPAQTIGNIVVSIVGTGVLGLPYAFRTAGWLAGALCVAGAGAATFYCMLLLLECRDKLREQEAEEHGGHQQHRCCNYTYGDLGEKCFGKFGRHFTEATIILSQTGGTVAYLVFIGQNVSSVFSGDGSDPLSPAFAVLALLLPLQAGLSFIRSLSSLAPFSILADACTVVAVATVVKQDVQLLAARGGDPLADRSAVAGPWGVAFACGFAVFCFEGFCMTLALEASMADRSKFRRVLLQAIAGVTVVYVCFGAFGYLAYGDATMDIVTLNLPTNWSTAAVKVALCIALALTFPIMMHPIHEIVEARLFSLAGGWLRTRGGVLERAALHASRVAVVAALSAMACFVPAFGSFASFVGSTVCALLSFVLPALFHLRIVVTAGTTWRAVDWGILLFGLAFAAHGVYAVVSRR >Dexi3B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:3B:12090254:12094746:1 gene:Dexi3B01G0016620 transcript:Dexi3B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGAPAQAEQRKKNSPEMDFFSEYGDANRYKIQEIIGKGSYGVVCSAIDQHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTEGPTSNLSLTLCTKMTCRTMLLPDGTGLLSCVGLSLLRSFSLVQYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSGDTISLIRNEKARRYLGSMRRKQPIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGIAKVEREPSCQPISKMEFEFERRKFTKEDVKELIFQEILEYHPQLLKDYKNGSEKASFLYPSAVDNFRRQFANLEENGGRNATSDRKHVSLPRTTTVHSTPIPAKEGPAATSQAPQRFPTARPGRVVGPVLPFENSSVADQHITRRVARNPAVPPATNNSSACCYHLKSDSSDRQEHQLELEKDRMQYRPGQHSMEAKVAPDMTRDMRPSLYYVSRGVPKADLTDRVALQRSMLHTAAPFNGMTAVGGAYSKAGVLHYGVTSLY >Dexi7B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:7B:16537747:16539328:1 gene:Dexi7B01G0008810 transcript:Dexi7B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDTPSPSPTSATAEPGSVSTPLLRRRGSYTRSMSHARDELRSFRSCLRWMCIDHSSSDASSASSAASSYLVFASLAVAVPCAALAALPTRRAYDTQILRMTGFARDFGQCADVAAVLRQHRRIRVQLRRISHRYRRFILYCLILVTVSQFTALLAATRPHAQVNLAIAGELALCSMSLVTGLLICLHSAAKITHKTQSITSVAAAWHADATINSLERDQENPRTPSKAFLQHQHAPASPFPVASASSGEESDDDESRSEDSVDTSRFASFHVTNISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >Dexi9B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:9B:3323240:3323695:-1 gene:Dexi9B01G0005610 transcript:Dexi9B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLSPPLRAAAPSVPASRPALAVAAVANRLETDPQRAGGGRLAARPTQGGDRLGQTDSIIPIHPARIAITLRVTSGDPFVSVGARFALFLLLF >Dexi2A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:2A:1094339:1098720:1 gene:Dexi2A01G0001560 transcript:Dexi2A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARERVETDGTQREYDNAQRGRTHRIPTQSARSDQTLAPPPPPPPPGDSNQPSSMAPPPQLLDELVEEVLIRFPPDDPASLLRAALVCKRWSRLVSARRFRARFRSFHRELPMLGAVVNTGAFVKNDGFVSTSSFRCQVPADLRDGSMVLDARHGRVLFHSVRRGSQPWIPTLTLWDPITGERRELPRLPDPYGGSSNFAVLCAAAGCNHLDCSHGGPFLVVAVVVDNSMTFARVYSSQDREWGDPTFAAHINESLGRTDHLDLVPGALAGNAVYFQFHTTDAVVKFDLATREMVLIRLPAIASKRPISLVTIEDGDLGFAVTKDSMLYLWSRVAGTGEEARWEQSRVIELKEILPATSLRHPPIMIGIAWDPPDHPAPTGSLVPPSRGLLPSTGINGDRSLARDPSSSSRTSFHLPQTDRPGKASTMAAPQWQELYEVLLEEVLVRLPPDDPASLLHAALVCKRWARVVSGRGFRARFRGFHGGSGGGGGVPMLGFLCDLRGDRDDGAVSRFVPTSSFRPRPTELRGWRAADARHGRVLIYPLRKRFAAGSRTPDLTVCVPATGELRRLPAASPRPRPSSWSAALLDGHHDHRPGCHDDGDGPFRVVLVGTTTDMDGIFSSVYSSVDDAWSEAAASTAAHPDSSPTCLESVPGVHVGNALHFLFQGSASILRYDLDSREVSLIQRPPACTYYQGRPLLMTMEDGKLGFAAVNHSTLSLWPREMGAEQSHWA >DexiUA01G0007960.1:cds pep primary_assembly:Fonio_CM05836:UA:14869291:14871050:1 gene:DexiUA01G0007960 transcript:DexiUA01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCYEILKVAVEPSGAIGLAAVMSDEFKQSSTWHESSKIGIIVSGGNVDLGVLWDHSSSITHTAQSGTCKEEEEMSWFGHHHNQQAAPPASGPNQVFKIFCKANENYCLAVRDGAVVLAPANPKDEHQHWYKDMRFSTRVKDQERMPAFALVNKATGLAVKHSLGQSHPVKLVPFNPAEEDASVLWTESKDVGKGFRCIRMVNNTRLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAAHASPGNAATHTVRISCKASDDYSLTARNGTVCLAPSNPRDEYQHWIKDMRHSTRVRDEEGYPAFALVNKVTGEAIKHSTGEGHPVKLVPYNPDYQDESVLWTESRDVGHGFRCVRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWKWCEGDNQRWKIRRRRRTGLEGAAT >DexiUA01G0025780.1:cds pep primary_assembly:Fonio_CM05836:UA:54298808:54300737:-1 gene:DexiUA01G0025780 transcript:DexiUA01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENATPEPAVVPRLTRAATKRRAAEAAVSAGGGRGGGAPAKRKRVALGELPANAGALLRAPPPSRPVKPARGGSGGGKPVPEEALRTLAPGPAAVAEEDDDDAERCASSSPPRVVAVAGDGEPDRADDDSSASTSPPRAVVPPEADRDSSASSSPQPRAAATGDPQLCGSYASDIYTYLRALEVEPVRRPRSDYIQAVQSDVTANMRTILVDWLVEVAEEYKLVADTLYLAISYIDRFLSYNVLGRDKLQLLGVAAMLIAAKYEEISPPHAEDFCYITDNTYTKQELLKMEGDILKLLKFELGNPTIKTFLRRFARSAHEEKKSSILLMEFLGSYLAELSLLDYGCLRFLPSVVAASVMFVARLTIDPNANPWNNKMQKVTGYKVSELKDCIVAIHGLQLNRKCSSVLAVRDKYKQHKFKFVSTLLPPVVIPSSYFEDLAE >Dexi3A01G0028800.1:cds pep primary_assembly:Fonio_CM05836:3A:29720636:29730525:-1 gene:Dexi3A01G0028800 transcript:Dexi3A01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAVPREAAPPRHHLLPLGAREGAAPPLPHRRAEAESSFFFLESTFFFPKSTATTSSAPCRAAARLAAQPQLVLPRPLIPMEEGSLSSRGGPQTPGGGGGGGEESGSKMIYDVNSPLFRSFLSQKGGASDKRKMEEQKPKEQRPKANENKPVMNE >Dexi1A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:1A:4026324:4030219:-1 gene:Dexi1A01G0005530 transcript:Dexi1A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRRGGGRSPETWGGSWEGKILEIIYGYYKKALDALPLEEMPSLAPRLLAAGVCFGFADPVTNIIANTLSFRESEPDLSFREPEPDDAKRRKHKRKRKTNVREGVFSKIVAGDSPSPPELRTVAERSLQGLVSFLTSYFRYLPTWDALRYLSQARADLLVAVHLIEEDRCYHRKHKFRVCLPAVKSALKCAAWSAGQTNFAVFLDGCFAVVSHVSSFTNTLSAEGCCCFTVDDISWLSELLATPLKLREYDEPMKLVRNRFNDPVIDVENVPGHLTEALRGTLMDRVHGHYLKAMSRFPMKDLQSHHHRGLLKAGYCFGPLDLVSNIIVNTLWYGISFSPTEEFEVDMISTVRHVEARSVNGLIAFVLAWFPEISEHQAMMYLLNSNLDVCNTVQMTKPKVCDDSGYMAAAKAASHPNPEAYSEFVSRYFSGVRSGVISLLQGSQVISSAKISEVCTLLAIPTVELSEPVVELTEDAMKRITQYKEEFFSQQSLVRRKVETALRNYEQSKGSYYDLGIICGLNDCVGEVTGVFDTKYKYTHVNFWASQVDGANRLFFAEFSNDEDDCEPFCYPVSALPEQDVRCCYCEYHGVRIVHPVEDCWEGANGFQKIAYGEQYFTNEQIVSCARRIDNQMIGVFVQDYIYLDVAHDAKLIQAVNHAEWVSNLNMDEEMRRIKSVPGAGHYPQQV >Dexi4A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:4A:8037861:8038118:-1 gene:Dexi4A01G0010110 transcript:Dexi4A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSGSWTCRPAAAARPLLGDDEGRRIEQAPASADSVIVLMPSAIWRLWDERPLLQMKQAGPGLSCKGSTWDPNNGCPTPPTNP >Dexi7A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:7A:26940826:26942196:1 gene:Dexi7A01G0017190 transcript:Dexi7A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALCAPSSDVLVYDTFNAAAAAAAACAASAGSFLLGNAAPQPTLQAPAHASPGAEVEENRVQQQGRRKRRRRQRSVKSAAEAECQRMTHIAVERNRRRQMNEFLAVLRSLMPDSYVHRSDQASIVSGAIDFVKELEQQLQSLEAQKLALQQQRNTAAANRDAAPSPPSLPVPTPEDALARQEAPPPPFARFFRYPQYAWRHAPPPREDTGGAAAVGAEEASRASAVGDVEVGVVVDAHASLRVMAPRRPGQLVKMVAGMQALGLAMLHLNVTAAPGELALYTLSLKYHTK >Dexi9B01G0031600.1:cds pep primary_assembly:Fonio_CM05836:9B:33933468:33935990:1 gene:Dexi9B01G0031600 transcript:Dexi9B01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHLLPRLSLSPPPLAARDALPSPGRRRRTRAALPGVVRAKGKDEASFTDRILDYIEGGPKLRRWYGAPELLPKDGVAEDVEDESPDIEEPRDAVLVTDGDSEIGQVAFYPLFFLLLQNCQCTDVNRLLTYLKGKKILKGMFQVSFLVFQMVILALILKRARIKAVVKDKRSTEEAFGTYVEVCMVGDMEDKSFSKKALKGVRAVICPADDGFFSEPVDLKGVEHIILLSQLAVYRSSGGLQAIMSSKLKKLAERDEEVVLASGIPSTIIRTGSLQSTPGGERGFDFTEVSV >Dexi5A01G0024050.1:cds pep primary_assembly:Fonio_CM05836:5A:27937526:27938314:-1 gene:Dexi5A01G0024050 transcript:Dexi5A01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSKLLCISLLFFARAAAATSGAIGNISVYWGQNSNEGGLAAACETGLYAFVVLAFLSTFGNGQTPVLNLAGHCDPSSGGCFGLSNDIGLCQTRGVKVLLSIGGAGGSYGLSSASDAQSVATYLWDNFLGGDSGSRPFGAAVLDGIDLDIENGNSSHYDDLAKNLISLYKVDKGGGRGTFLLTAAPQCPYPSGERLPRPRPGARHGPLRPRVGAVLQQPALPVRVRRRREQSGERVEDVDAQLVVGDRVSWAAGVAGCRW >Dexi6A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:6A:5764736:5767893:-1 gene:Dexi6A01G0006090 transcript:Dexi6A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVSAVLNTLAPKIFSFLQENHNLRKDLERDLKYIRKELRMIAAAIEEHDRRSWHDGATISDVQTFWAEDVRELAYCIEDSIDRFLHRVTGEPDAPPSSLHRRGHRMKTMALRTKFAAEIRELRKRSEDASRLRERYTSGFKMGASSAAGSTFFPASSDTDTTPAAELVGMDVPRDELLDLIREAEDHPKQLKVISIVGFGGLGKTALARQVYNSIAAGEQLYEPRVWVRAADKDARDVLKEILHQIESGMQIRDGYCCDLITSIRNFLLSKRFFIVIDDMRTEIWNSIKNAFPAAVGVSSRLMVTTAIQSVANACSSLNGHVYKMGTLDEEHSRRLFFREASLDYYEPAAEPVLKKCGGLPLALGTTAQLLQSKRQLTSKGCADLCRRLGEHVEKEETLARMKHVLLHNYISLPGQVLKACLLYFAIFPNGHPVKRKCLIRRWLAEGFLEADCRRSALDVAIDNFEDLMNRSIIQPIDMCNNTVVKTCQTHGMMLEFIQHRSICDNFITLLYGQAHLPEKIRRLSIHRNSKIKVRTSSSVVDLSLVRSLTVFGKADKHVLKFRKYELLRVLDLEECEALNDEYVKKICNLVLLRYLSLGGHITKLPRGISKLKFLETLDVRRTKTESLPLPIEVIKLPCLLHLFGVFTFPDAVQQMSKLCTFLSEKSNMETLAGVLAGNSLAFPEVISHMNSLKKLKVRCQSTGGNNDFTHLSRSIQEFIQRGTDVNDARSLSLDFEEGSQDFLEFPLKDDPCYISSLKLQGKLRSLPPFVTKLSSITELCLSSSNEIGNEILAALSNVFSLHCLKLIASQVDKFVIEQGALRSLRHLCIVVGSITCLENKEGALPHLESLWLLCKGLNGLCGTRIQWLTRLKDISLDDEVSDNTRKEWNEAAKNHPKGPRVSLQKVSKLADRMQIGGEPGEICEIPVASTSQAADSQMRDIIKDPESSPPLYERPPGKLASLSRKANEFFSGKRKANDLSSNKRKANESTSTDISCAANGYLNMTRKMRGGRSW >Dexi3A01G0024610.1:cds pep primary_assembly:Fonio_CM05836:3A:20225932:20229518:-1 gene:Dexi3A01G0024610 transcript:Dexi3A01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCFENPPALNPSCGVGDVVDDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPYDMNASNPTLWLPAHDPKKAFEEAKPVIAAIKEKGVSKIGAAGYCWGAKVAVELAKVHEIQAAVLLHPSLLTVDDIKEVKCPISILGAEIDRSTPPELLKQFEQILSANSGIDHIVKIFPGVAHGWAVRYSDDDAAAVTSAEEALKDMSHWFHKYLN >Dexi6B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:6B:20282212:20285512:-1 gene:Dexi6B01G0012570 transcript:Dexi6B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGWVQGFTKSLAMTVLSEIGDKTFFAAAVRIFLLLLLLMSHASSPVSACSPSCALSLLLAVPASACVPVPGARCSTILAMRHPRKLVLAGCLTALIISRKWTHHVTTLLFFVFGIWSLWEGFKEDGDSEELAEVEKELDDAFKTTKGDSKSKSKANEDTKKQQRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMVELSSGVLFLLFGIMSLLSGPEGEL >Dexi2A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:2A:33258504:33259933:1 gene:Dexi2A01G0021110 transcript:Dexi2A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAVAALASPFGRLVAVAVAESGAPDWHVVSLSSLRPSTVCTAAEGTVTGPTPYTARSPTLTDATNAAQQASKGSMHYLPAHWATASLGTNNYVVTVGLGTPARYFTVELDTGSDLSWVQCSPCTRSRCYKQKDPLFNPSTSATYSTVPCGARECREIDDSQGRRRCSSGSSSSSRCPFEAVYADGSRIDGVLARDTLTLTTSPSDTIPGFVFGCGHNNSGFFGTEDGLIGLGRKSLSLSSQAASARSSSYGPSFSYCLPSLSSGAGYLALGGRRRVGEDPSGEHDGRLGDTADEPPRRDLRRGVGGVFVFAGGATVELDFSGVMVGMGSDEASVACLAFTSTGDDKPFGILGSQQQKTFAVVYDVANQRMGFGAKGCP >Dexi5A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:5A:3382439:3384966:1 gene:Dexi5A01G0004470 transcript:Dexi5A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKSTKSNSGGGGGAGAGSPPAKPPPPLPSPRENGAAGKPSASPTSPAAGAGAEVRSREDAFVQKVDICCAVYDFSDRGKDSPEKERKRQMLMSLVDCISAAEEPLTETMIAACVRMFAANLFRVFPPKVRSGTTASETEEDEPFFDPSWYHLQVVYEFLLRFVTSPLVDAKVARKYVDSSFVSKLLDLFDSDDPRERDSLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLVGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELIDMAEFQKCMVPLFRRIASCLNSSHFQVAERALFLWNNEHLFDMISKNREVILPIIYPALERNTRWHWNQNVLNVTMNVRKMFHDMDERLLLACQNNFEEEEEKRAATEERRRHMWEQLELSAARGYHQPVISVDVSFPPPPSSARLVAPTVT >Dexi9B01G0040530.1:cds pep primary_assembly:Fonio_CM05836:9B:41054035:41055604:1 gene:Dexi9B01G0040530 transcript:Dexi9B01G0040530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGTSTLGGGGGPSGSGGSGGPGGSGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAQKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLPAPPQMPMPGPFSISDLPSATNVPTTVDLSALFDPPPQQPPPQWAVQQQHHQHQFRQPTPYGAPARGGPGIAESSGGGGGGDLQALARELLDRHRSGGVKLEHPPPQPPPHSR >Dexi9B01G0042130.1:cds pep primary_assembly:Fonio_CM05836:9B:42437624:42437855:1 gene:Dexi9B01G0042130 transcript:Dexi9B01G0042130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAALPSSAAVSPSVLGLLSPTPAAAREGGAAAAEVPSGGGGGRVAVPRSGPRCSHRTAALLTVVAARLAR >Dexi9A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:9A:7565046:7566356:-1 gene:Dexi9A01G0011920 transcript:Dexi9A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEDAAKEQRQTKRPAEDDEEGSAKRPKTPPSPTKWWEDEATVSLLRVRQEQATLYDPKLGSYLCCRGFDSFVCEPAVFDHQEESTDRIARPLHTIPDSELDYLRLALGVIHLKVLASDVGFPVSVYGTVLMRDDLDFKCIYLFQRDRDNCQVINAPDEMFALTGPNRGPFEADVFYFEINLKIRGEEQIMDRIFSRSLISQDYPLSQWTKKQEVSSWLSTLELAYRSVHYAVEATVGIDILRGPREFYGSLTAWTSEDSNEMVLYDSERWGTTTTNAGDGSVPLTRSLVVLREDEDLILKISVFSHGRKAKPETRVLTVEHRDRLFDIKQGRYQLQVTISWSGILYSD >Dexi1A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:1A:28257532:28261030:-1 gene:Dexi1A01G0021520 transcript:Dexi1A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPPMLSVPEKRTAAAELFRDRHFFGAAAFSDIRDARAAVAVPNPQAQPPASRRALLLRYHRLLFAARDDPCAFDETLSFTWNDAFRPHLKHAAASLRFEKAALVFNIGAAASRIAAAHSRATEEGVRAACWEFQRAAGAFRAVGQMMEEEAATTVDMSSQASAMLERLMLGQAQECCFERALAGGKSPSVCSKVARQAALYYEEAYAALVAPPLQNHFERSWVSQVQLKAALFSAEACYRHAIDLHEKTEIGEEIARLQVGINAIVDAKRTARGAPGTLYDYVSRLEEDMNKSLETAKEENCRIYLFRIPVATSLVPLPAASLVRSAPLNEILEAKPENGTQSP >Dexi3B01G0030930.1:cds pep primary_assembly:Fonio_CM05836:3B:31235049:31246097:-1 gene:Dexi3B01G0030930 transcript:Dexi3B01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSAAAMAEAKAHVEKIRRERFFIGREERNPLAEDIHQAVSYLSEELYSKDVHFLMELVQNAEDNEYPLDVSPALEFVITEKDITITGAESTLRVFNNERGFSSANIESICRIGRSTKKGNRHLGYIGEKERLKDLRWLKTDIGFRAPHEVFLVDDDWKCLLSVVDKVPLLDLEFYGDEIKLYKEELIFGVKRYPTVSDYCKLWSMWQGSNSALTQNDCGAFWEFFGKNWTTDMDDLLLEDLFRQQAQQPLFVWYPSASLTCLSPSKINDIYSSTGVQKISKAVARNESEHLKMEYVNIIHTSTVIKPGLLRVVLAFLADPIVDISAEKRHEMVSGLINVVVYETSTPLTVSYQVGLSSGRSMIVTSVGYFRWERENSRLFVTKTDVPGSMTNVKKMEYAACFAEEISKGLLFENAEQV >Dexi9B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:9B:4197277:4197528:-1 gene:Dexi9B01G0006960 transcript:Dexi9B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRWGRREHLSSAAGRRSLVTGNFAFSVAASRCCLGARFRSVLCSGRRVGPGRGGGVAWHGVGRVGQAGVAQEGKGGQRKG >Dexi2A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:2A:148645:152023:1 gene:Dexi2A01G0000250 transcript:Dexi2A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDSNPPLMATYKHLLEPPPHRLDVRTTTTMNKDDDVSRCVVVLPVIDLQQLNEEQCRASMVRAASEWGFFQALLDKLHEAQVALFRRPFERKVRQPLLHLSPESYRWGTPTATCLEHLSWSEAYHIPMTTSSLITPPAGDDKLTTRLVMIEEVSTAMWKLAQQLAGILVAADQLRDGIEDDDDSMVARCTRSTCFLRLNRYPACDAAAASGAYGLCPHTDSDVLTIVHLQDAVAGLQLLQVRGSRRWVAVEPNPGALMVNVGDLLQAWSNDRYRSVEHRVMASAARERFSVAFFLCPGDDTLIRPRSSSSSSRTRRYRSFTFGEYRNQVREDVRLTGRKLGLQRFRRLQQEQEQDLQ >Dexi9A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:9A:1856593:1856770:1 gene:Dexi9A01G0003490 transcript:Dexi9A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGNRRIDVSAYRVPAYNSVLTLSALATLYPLCESNPVKKPDSLELNPAD >Dexi4A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:4A:5810324:5811031:1 gene:Dexi4A01G0007720 transcript:Dexi4A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAATSNDTSPASAKHGANKRTYKGVRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGSAAAADLNFPLRLPFDLPPAAMSPKAIQRVAAAAAAATTTTAADFAACAGNVVDDSACSDTPAWSSSSPSDASSAVSSPESTVSSESELPANFGDGDCDVDYSSLADIDAFFQSPKCMEYAMMDPCSAFFAPAPMAMAMDDACWEEEEGDIALWSFSAALDC >Dexi9A01G0035830.1:cds pep primary_assembly:Fonio_CM05836:9A:40398092:40398376:-1 gene:Dexi9A01G0035830 transcript:Dexi9A01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVSLLSPASQSLGRAKVSAHLRCSPCSLGMSSAAPGRTRLTAGLAGAEIELAQAWAARLAGAVFLLMQHRLRQIAQHRLRRGDAAFGLRPA >Dexi7A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:7A:220910:221506:1 gene:Dexi7A01G0000140 transcript:Dexi7A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRRRNPEVPVKALEGIVSANTFFTVAVFIGITGTITPSTTIHPACVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLAADDFFNDGEQKPPPSDDCEEMPAWRAAAPRERRRAVLRFAQPMMLLAAACSIMGTFFLLLSMVDAIQLKFGIVSCGTPLAVGATLALSALVVGGLLFYGCTVAYALTHYLP >Dexi7B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:7B:18459978:18461951:-1 gene:Dexi7B01G0011430 transcript:Dexi7B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEGETNRLWRIRRTLMQMLRDRGYLITDADIRFSREEFIARYGDPVSRDDLVINRTKKDDPADQIYVFFPNEPKPGVKTIRNYVEKMKQESVFAGILVVQQALSAFARSAVQEVTQKYHLEVFQEAELLVNIKEHVLVPEHELLTPDQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >Dexi1A01G0024110.1:cds pep primary_assembly:Fonio_CM05836:1A:30746652:30751251:1 gene:Dexi1A01G0024110 transcript:Dexi1A01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAKAAVAAISAGAAVALCSEKAHAAEGGPTFRFPGFSTPSPAPAAPPPAPHQQPPAPAGGRGEDAAEEAPRVSTQHPRTSAAGFDPAPLERGVEAINKLKQAKDPKKLFELMKKQEETRQQEFIAKKAEEQKALAQLELEKKRLDYEETKKLDQQRATINSQMAKYEDELKRKRMQAEHEAQRVRNQELVKMQEESAIRLEQIRRATEEQIQEQRRQTEKERAAIMQETIKKKSMAEAEARAVESRLTEDVKRRLLLDEINADREKWIQVINTTFEHIGGGLRTILTDQNKLVVAVGGLTALAAGIYTTREGARVVWGYVDRILGQPSLVRESSRGKYPWSGSISRATSTLTSKLKNGSNQGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKIYMSEAQRSALNALLFRTGDQSKDVVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERFKILKLYLDKYIVKAGDKHEKSWLRFFRRQPQKIEVKGITDDLIREAAAKTQGFSGREIAKMMASVQAAVYGSKDCELTPGLFREVVDYKVAEHQQRRRLAGEEPKQNA >Dexi2B01G0032870.1:cds pep primary_assembly:Fonio_CM05836:2B:40636118:40636699:1 gene:Dexi2B01G0032870 transcript:Dexi2B01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANDGDRAAAGILRLLLGMAAAAPPAALTRGGGGPGLVVQFIVDGDGDLFSGGVGGGVPPASKAAIAALKEVKAGEAEGGAPLGDCAICLDAVEDAGKEMPCGHRFHGECLERWLGVHGNCPTCRHELPPAKEEDSAAPAEGGEERRRPRTAVLVSYLVVGGQREEAQAQQQQEPEREEPWNIRIEDVD >Dexi7B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:7B:15107976:15114396:-1 gene:Dexi7B01G0007170 transcript:Dexi7B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREEDEELQMALRMSLQGSPPAQPEPKRSKPPPPVAESPEAEARRKQRELMAAAAEKRLRATALPAAVSVARSSPPPPPPQPAVVEPPPAAEVSKEEKVEPEPEPEPTGVSMEEDREGEEEEEGEELPPDVADKLWAMVFGGGVSKAVLAQWTNQGIRFSSDRETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDDLSNPEISSPLYTLGQRRFYQSSFSAGDDFSSLTDDRKTRALVHAMVEILFLCGTGKRAVVASIACINRGKTDAVLEGLSVESAMDLQKVLRTSTFTSRKDAFDILLANIPLFQSRLGAMLFLISALLSRGLEYIQEDRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNNVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESRIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIFHFNGIAKSVLNGNASAGGSVPIQRPRLCKLNVTVPPRWTQDEYLADVVNASTSGSKDDNILSLAPPVQTSQHAPLVDCIRTRWPRAVCSWAGDVPSIV >Dexi4B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:4B:1917252:1917907:-1 gene:Dexi4B01G0002890 transcript:Dexi4B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLELGNVGPVALQSYEEDIENAVREEVVAPLCRDIETDLRLHVHSTHLKGAVVVNPTKI >Dexi1A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:1A:28059304:28061430:1 gene:Dexi1A01G0021220 transcript:Dexi1A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYKHRFFFDFSPTYVGVGMICPSIVNVSVLLGGIISWGVMWPLIAKKKGSWYPADVGDSSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFISMVQQNSKSMLPVSDNGSSMSTAEAMSFDEERRTELFLKDQIPKSVAYGGYVAVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLAHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCIFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFGSLPKNCLTLCYIFFAVAIAINLMRDLTPNRVSRFIPLPMAMAIPFYIGSYFAIDMFLGCVILFVWERLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVQPPICMKFLSRATNAKVDNFLGVS >Dexi9B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:9B:1297194:1299190:1 gene:Dexi9B01G0002290 transcript:Dexi9B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQPAVAATILLIFLLASSAVAYYNAAESPAGDGPPPPSFVGVDGTQFVTTSSGGGSGNDDRAATTVYFSGFNAYWLMLLASDAARRPQVVAAFRQAAAHGLNLARTWAFSDGGDTPLQSSPGVYDEAMFQGLDFVIAEARRHGVYLLLCLTNNFHDFGGKHQYVAWARDAGHHNLTSDDDFFNSTVVKGYYKDHVKTVLTRVNTFTGVAYRDDPTILAWELMNEPRCDADPTGAMVQAWVEEMAPYVKSIDGNNHLVTPGLEGFYGDGTHESKDLNPWSIYYGTNFIATHLAAGVDFATIHLYPDLWLWGSGPAAQLAFLRNWTRSHAMDAELYLAKPLLVTEYGKFLWDGVANSTQRDYFLDVVLDSIYASAADGGPLVGGAFWQLLDPGMDALRDGYEIILAEDRRAASIIGNHSRQLAQLNGQDVEEVRRRRRRRRKWGAVRKVHVGSSSLGRSSRDTSQLHVVVLVRRFMSLVSRTISSLFVSSGV >Dexi5A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:5A:13535417:13537279:-1 gene:Dexi5A01G0015750 transcript:Dexi5A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASADSSSPATGVSDATGVRALSLETIGHSDLLIVGPGVLGRIVAEMWRQEHPGSKVYGQTTTTDHHSELTKLGIVPSLKGSIPGPKFPYVIFCAPPYRSEDYAGDLR >Dexi6B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:6B:20551362:20554343:-1 gene:Dexi6B01G0012820 transcript:Dexi6B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTLIFSQDKREQILNELGTLSEACCYPGLVEFHGAFYKPNSGAIYFVLEYMDGGSLADIIRVKKFIPEPTLAHMLENVLRCAAFVGTVTYMSPERTRNRSYSYAADIWSLGLTFLECATGRFPYCVNGGLSDLMLQILDDPPPTPEKYVYSPEFCSFISACLQKDPGARPSCEQIFVLKFMCIAVTMFTLIAKHSIWRYMKPFYREESVFSFLGEEHIGQSDIFGTLSILRKTLKGNRPRGKIVHVIEKVRCRAHGEEGVAIRVSGSLIVGNELLVCEDGLRAEGMPSTDEIPFEIRSKRVGHFREEFFMKPGNAMGCFMISRQKLNILET >Dexi9A01G0038310.1:cds pep primary_assembly:Fonio_CM05836:9A:42610037:42612171:-1 gene:Dexi9A01G0038310 transcript:Dexi9A01G0038310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDAAATTAAMEKPPDAEKPDYASYNGASAADGGAVARTRGGSGGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFIALVLVASNQHGDWMQFGRYQEYKYLLAIAALAVLYSLAQATRHIFRIRRGVDPVPEPTGRAVAYLLMSALSAAVPITNRMRSAVVNTFTDATAAAISMAFFAFVALALSAVVSGYKLSKQTYM >Dexi6A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:6A:637810:638262:1 gene:Dexi6A01G0000760 transcript:Dexi6A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVLQGRVEVLSVSTHAEGGLSLEQHDNVEVPQAMPAKEYVAVAEISETMQNQSISSESQGNAQGKQQRRCKECDVLVEDKLYREHCKSAWHKHNYTLHKNGLPPLSQEECMVEMELADSKKDLKDYDF >Dexi2A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:2A:2244385:2245470:1 gene:Dexi2A01G0002820 transcript:Dexi2A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRYLGGPGSKKWILAMDGGERPSAGQKTPFPPEGELVELLWQDGAVVAHSQAQRAFGGDTGASGITDEAPAPWLPAGGGGGMGGDVYSQLWQSIAQADGRVGADVRVRPPAMSRNSGAGSSRTAGGEVGSSFCGSNLVAAALHLDDDIDDVAALPPPPEEPGPSTSSGWNSNALLKRSRDEFDSRSEDADFDTVDETPPSRRQASKRRTRAAEVHNMSERYC >Dexi5A01G0032910.1:cds pep primary_assembly:Fonio_CM05836:5A:35306176:35309458:-1 gene:Dexi5A01G0032910 transcript:Dexi5A01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAACRRFKPIEECCSEGRSEQTVAADLDGTLLISRSAFPYYLLVALEAGSVLRAVLLLLSVPFVYITYIFFSESLAISTLVYISVAGLKVRSIEMVARSVLPKFYAGDVHPESWRVFNSFGKRYIITASPRIMVEPFARAFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKKQAVVKELGDAVPDVGMGDRETDFDFMSICKEAYLVTSRKYSPVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALMRVYINLPLPERIVYYTYKIMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPIEVAVALRRKVSCVTYSISKFSELISPIKAVALSRERDKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEVTFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTTITRKEKYGILAGTDGRVPSKNKEKEKN >Dexi9A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:9A:1460844:1461078:-1 gene:Dexi9A01G0002700 transcript:Dexi9A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASAPEDRIDFDLATEMHDDLYRKRVIHHTSHVKWKAELSSLGGAPICMTSHRGGLWSMSDLEKQRRH >Dexi3B01G0034350.1:cds pep primary_assembly:Fonio_CM05836:3B:37124730:37125602:-1 gene:Dexi3B01G0034350 transcript:Dexi3B01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSGASNYLCIAPIFNSKHQSSIDAAAGKNRLSFSFPDNLAGGGGGGKDRRQQKPPPPPQQQTPEEEQNSESIIDPAASIITRKDGRHCTVIVGTIFGRRTGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMASGLLRIALECHRRPGPTAPAMGGGGGSSSRNEWKATCNGRDAGYAVRRRPTECDRRVLESMKTMTTGVGVLPPAVAPPPPPPEGVKEGDQKNGGYDGGGGCGEVLYMRATYERVVGSRDAVSYHLISPGTGGGSPPQELSVFLLRTRGD >Dexi3A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:3A:16827007:16828715:-1 gene:Dexi3A01G0021180 transcript:Dexi3A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPRLTAALCYLLLGVSQLPDLAAAAAGCDCSGDAAGRDKAWALRLKVVAIFCILAGGAFGAAVPTLGRRFPALRPGTDLFLAAKAFAGGVILATGLVHILPDAFDALGSPCLAGPWKEFPFAGLVAMLAAIATLVVDTVATGYFRRTVARKASAVVDEPTELGRCEADGDLEEATEGDGHHHGHAHGMSVLAPAPAPGDELVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTIRPLVPALTFHQFFEGIGLGGCIVQAKFRLKSVVAMALFFSLTTPVGVAIGIWISSVYDETSPTALIVQGLLEAAAAGILIYMALVDILAEDFMSTRVQSRARLQLALNASLLLGASLMSLLAIWA >Dexi1A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:1A:23450564:23450920:1 gene:Dexi1A01G0016350 transcript:Dexi1A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSDSHCHLLRATARRNPVPGVWRHPPRPPQGHQVPPVRGSRAVDAIRPLKRGVLTPGGAFAIMSIDNDDEEAVEEEGVTAAHHYDDDVAAAGFILPPPALDDPAAADANSINYDK >Dexi3B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:3B:14132300:14134391:1 gene:Dexi3B01G0019060 transcript:Dexi3B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLDEQFCQIEDLQDEATPNFTEEVVSLFFKDSARLMTNIEQAMEKNPRDFIRWDAHMQQLKGSCSRLLASYTCFYLCLIAYDVIGASRMKNECASFRNSCGDENAEGCMRSFQKVKREHGVLRQKLESYFQLLKRAGSAETATRRGGS >Dexi4A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:4A:3999563:4004143:-1 gene:Dexi4A01G0005530 transcript:Dexi4A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPSKEKYIREIFLSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREEIINYGRSRSHMLNMAYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRTRDLDTVALLDHLPPLQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDIYKRATNQSERLSEFYEVCKSIHVGRGEKFLKIEQPPASFLQTMEEYVRDAPTMAKEKAVLAIEYKKEPEEEEKPASPPPAPEPEPEQQPEPEPEPVIEEPPAAEPDFLGLNEPSPAATAIEEQNALALAIVPIDDVPKAAPTFENGVTGWELALVTAPSSNETAVTSTKKLAGGLDLLTLDSLYDDANRRASQPAASYNPWDATPAAPMLQTMAPAMQDPFYASGGYAAPHAVQMAAMAQQQQAFMLQQQMMTMAAAAAPVAHPMQMQQNPANPFGNPFAAAGAHPYGGAGVPLHAGPGNAYTGLI >Dexi1B01G0021650.1:cds pep primary_assembly:Fonio_CM05836:1B:27516656:27522755:-1 gene:Dexi1B01G0021650 transcript:Dexi1B01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKEVKKTAKENLIDTFQRLISPNEQKGSRKSRGSRRHGKDTAGDKGCWSTAQSRSTSPSKEVSRCQSFAVERPHAQPLPLPGSRARVTRTTSDISNSKSTLQKRGKGQLLPLPRPQKRPETTEPVAEEAAGSVSSNCSIDSDDAGYSRLHSPVGNEVENGTRVTATNSSRYSLRNDKGEMCAMKEVTLFSDDPKSKESAKQLCQEISLLSRLQHPNIVRYYGSETVDNKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVVGHARNMSSLGLEGQSIFQRRAAKFSFPNSELHIRSNISCPVSPCGSPLLRSRSPQHQNGRMSPSPISSPRTTSGASTPLTGGSGAIPLNHVRQPAYRNESFKVTSRGLDDHLPSRPADPVYGRNVQVQQLSAGLQERVVSEADILSPQFGRMRHANVWDSHNRPLPSERSSQQSFGDHVQLKPSLDLRSGSSYRGRNHGQIL >Dexi1A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:1A:26815441:26819459:-1 gene:Dexi1A01G0019850 transcript:Dexi1A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVLEVSRKYYDRDVCRLYLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGTDNYDRELEENIERLIVECERKIQRALKRLEEEDAKAAIAISVTEVTQTKEVMELSKLIRQKMNDIDAFDLEGNTEGKIRTTEELDKLKEQRAEEQAKMLLEAFNKDRASLINSLQNATQTTVPVPPAAPDERTQEMINEKLKKAEELGESGMIDEAQKLLDEAEALKKLSARPQAVPDSSKMTAHVQITDQKLRLCDICGAFLSVYDRRHDRGERY >Dexi2A01G0030950.1:cds pep primary_assembly:Fonio_CM05836:2A:41781421:41784919:1 gene:Dexi2A01G0030950 transcript:Dexi2A01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPFLGALVVAAALFLEGAAAAAGGNAGVASPRQRRRQLLRQRLVRSHLKRLNKAPVATIESPDGDFIDCVHISNQPAFDHPFLKNHTIQMRPAYHPEGLYDESKVASQQQTQIIPQLWHQNGKCPENTIPIRRTKKEDVLRASSVRRYGKKRHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGNNYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGGHTTTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKPPKGVGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >Dexi6A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:6A:25484636:25491188:-1 gene:Dexi6A01G0017610 transcript:Dexi6A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLARTEGAIITGICPSWRSPPEEDHATKRVASAVDPLHLEYFKFAGRMIILALMHKIHVGDFFDRTLFLQLAGRPITLDDIADTDPSLHASCKKILEMDPSLVDSNALELTFVREDEVLGFRTVTELFPGGKEIAVSSENRCKYIDLLIQDRFMNCTRRQLACFTRGFSTMFKEWKQWTEFFASLDIEDFDKMLGGSKGTIDVNEWRAHTDYRGYKENDCQIKWFWKVVENMTAEQQGRLLFFWTSVKCLPSDGFLGLGYRLLIYKASNSHYHLPTSQTCFYHLHLPAYTSSSMMQSRLHVIVEEHVSSSFGAS >Dexi7A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:7A:25906006:25907530:1 gene:Dexi7A01G0016000 transcript:Dexi7A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARRSTTGKEDAPVCYMNAPLPYAIEENHGGCFFDDDDDLAEVLQDQEILYQLIKGNNGSSSSRTHSTPSSSYGHGRSTNERKPAGFVNYDYELQLAVDEALARELQEMEGQLAKTTLSDNNGRKPPSSSASDRGNCYASRPPQVVEEDGIDPDNMTYEVQY >Dexi2A01G0034170.1:cds pep primary_assembly:Fonio_CM05836:2A:44248188:44251672:-1 gene:Dexi2A01G0034170 transcript:Dexi2A01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEAAAGSGGGSSGAGSSSGGGSGGAKRRFDDKNVVARTSLILWHTHQNDVGAVRKLLEEDATLVNARDYDSRTPLHVAALHGWQDVAECLIANGADVNAQDRWQNTPLADAEGAKRQTMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVVIGKGDLHQYLKEKGALAPLVAINFALDIASLVEVCWSGDISLRPSFLEILKRLEKLKEQFTQDTHWHLFQ >Dexi5B01G0022800.1:cds pep primary_assembly:Fonio_CM05836:5B:25108287:25110738:1 gene:Dexi5B01G0022800 transcript:Dexi5B01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDNLTLRIGNPESHKALKAQQRHGLLALPAHERSSVVGSSSPSPSPSPSRAALPRPPFLPPSLCRSRAPNLRKSHHAARREEGIFYFCLCQMKTRSQTAPKPLKTVALGPRPGSPKLRQRPKPAGKGDPRAPKKPPTAFFYFMEDFRKTYKLEKPSVKSMQEIGKACGEKWNSMAFEEKVKYYDMATEKRAEFDKAMIEYNKKKETGEISEESDLD >Dexi2B01G0036290.1:cds pep primary_assembly:Fonio_CM05836:2B:43158328:43159458:1 gene:Dexi2B01G0036290 transcript:Dexi2B01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSANSSAAMGEEGFVEKKYGRMAPKKPLISKNHERAYFDSADWVLGKQGANSSSSAGAAAIESLKPKLKRTPHHQLPPRKPTCASS >Dexi9B01G0025150.1:cds pep primary_assembly:Fonio_CM05836:9B:24979167:24979632:1 gene:Dexi9B01G0025150 transcript:Dexi9B01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVIREMTDGGVDYSFECTGINAGWGLTVVLGIHATPKMMPLHPMELFDGRLITGCVFGDFKGKSQLPELVD >Dexi5B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:5B:5695099:5697176:1 gene:Dexi5B01G0008440 transcript:Dexi5B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLAPPFITARFTPSQAAAPRRLIRARFISPSADARAPCFRRPYTSVLIVPTGVGAAVGGFAGDALPVARALASVAHCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEDDLRLRHLQVADAARASLGLPVVEYIVTDGPLEIKTWFDPKCGKSTGSVGNSDSLLRAVDTLVKHADVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLPPPLSPLLCPRSAAEEVYMSFCTRAIGYTFLPCVLAGLSNAPQYVMRRQRTLDSGCIVAGDVDSVILPNDSCGGDGTLAFARAVRKQKVWLPR >Dexi4B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:4B:12662617:12664436:1 gene:Dexi4B01G0013650 transcript:Dexi4B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLRNVALLTLMLCSVVALSLIRGRFAPIVTSAGDVMKPEDAAAAAGSQAAVNIDAGDVADEAAAEAAAEERDKKEVQPKPAEASGGATKPVCYETSRRSDTCEAAGDVRLMGRSQTVYVDTLDREWKTKPYCRKHDNFALSHVKEWTLKPLPSAAAPQCTVNSSATAFVLSTGDFTGNSFHDYTDVLIPAFITAHPFGGEVQFLVSSYKSWWVNRYIQIFQQMSRYEVVDIDADDEVRCYRNVVVGPTFHRELGVDASKTASGHSTADFRKMLRDAFGLERATATPSGDRWDIRRRPRLLIISRRPGRGRAFMNERAMADMAASRFDVRIGEPESSTDTSKFARLVNSCDVLVGVHGAGLTNMVFLPAGAVVVQVVPYGLLEWLARNTFAEPSAGMEVHYLEYAVQLDETTLSEQYPSDHPVLKDPMAIHKQGWNALKKTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD >Dexi1A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:1A:13343955:13344221:-1 gene:Dexi1A01G0012720 transcript:Dexi1A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPQAGVRRAKTHRDCAPASPSGRHRRWALVRRPALPCPLEGAGVPAACRRRQGRGKDQASRTGRGPSDQEVAPAGEGRRAYDCAC >Dexi1A01G0022130.1:cds pep primary_assembly:Fonio_CM05836:1A:28839307:28842395:-1 gene:Dexi1A01G0022130 transcript:Dexi1A01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSFVAVRRLSGSDRAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRIEVQYDSSNREHQEALQALWCASFPGTELQGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNYPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNVCPFPLNVYILQIASLLS >Dexi9A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:9A:5156874:5157166:1 gene:Dexi9A01G0008730 transcript:Dexi9A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRLSKKPPQSYQKGRADGKKTKKQGEEEVVSKSLPCPLLPGECALYNGASKRAGAGASQEWLEQRPRRPPWRLQWEPLLPPEKKYRP >Dexi5A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:5A:9262514:9262842:1 gene:Dexi5A01G0012350 transcript:Dexi5A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSILVLAAHYTTFDPAPRVALAALAAVVSSTEGVLCILLGKLGDVIGSKYTLVCGVHEEIQELKDELESMNSCLRDLAAGSDDNHSEQVMSRPLPHT >Dexi9A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:9A:8562579:8563605:-1 gene:Dexi9A01G0013340 transcript:Dexi9A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPEVDTAVRSLTVMWDGSIVVAANNHGTCYVWRLLKVTQIFKLTKSITLKITCFEPLHKLQAHDGYILKCLLSPKFCDPNRYLATASSDSTLHLILPQDCGQCRPERPSGCIRDITRQTSVVPSMMGLNQLLDKNHMAFGSST >Dexi3B01G0025310.1:cds pep primary_assembly:Fonio_CM05836:3B:20095063:20095824:1 gene:Dexi3B01G0025310 transcript:Dexi3B01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLASSAPRGLDATAFLDRRRGVVAAVAPAACGVMARDPVRRVGAVVSSAAPTKKRARDGFDGNIGERDLGLAAGKVSVSVISAQRQQRLQERMRAELDAIRVLHRKALLLSGAAAAAAAKDEARFSVAGSRPEAPMEEAAAKRRKTSLLKQSTTKPVKQQQQQRATPAPAKGSVAKPVDKAREIEKRRRLEEIAVAREKCRQEVLEIERTALPDETIHPRDLEELGIVFQYAVTRTWKQAHGPAV >Dexi1A01G0029420.1:cds pep primary_assembly:Fonio_CM05836:1A:34918772:34919612:1 gene:Dexi1A01G0029420 transcript:Dexi1A01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKAPVSEHGETSKAAMLEVAVAKATGGEGRAASRGLAVLDLIIRFVAVVATVGSAIAMGTTNQTLPFFTQFLRFKAQYDDLPTLTYAMLALVTSAASAAAAIVYLAHKGNARANWFAICQQFDAFCERISSSLIGSFVAMALLVVLIVLSAAGLARR >Dexi1B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:1B:21302931:21304827:-1 gene:Dexi1B01G0014890 transcript:Dexi1B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKVENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKDSGLCLSLLLHLSIST >Dexi4A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:4A:8461005:8462024:-1 gene:Dexi4A01G0010570 transcript:Dexi4A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLMPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSADARHWDQTLSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRSKLDEARVREIVADAVDIEREFVCDALPVALVGMNGALMSQYIEFVADRLLMALGCRKMYNVTNPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGNAANHVFSIDEDF >Dexi9A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:9A:9648123:9649723:-1 gene:Dexi9A01G0014600 transcript:Dexi9A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVRVVGVSHVLPATGADGAAAGSPLLPDDHLLKLSFVDCLFVAVVPMQRLFFYQGPSVPAFPCVVNSLRSSLAAALRHFLPLAGKIAYYPPSSTAAGSGLVVDCSPGAVSPGVSFVEAQFAGTIADMRRVASGEEHDPEALARLGPELEAGRLPAPVLAVQVTRPADDHRGVVVGVSIHHAVADGHSVWQFMRAWSAVSRSSQGLVPRPTFDRAVIRHPEADELASKFLRTFAPALPMRVIIARVSTKLYSQVRSSRPTLDLDQRRMMEQSVAIGKQLDKHPSTYVAVSSPSTCWTSAAAANDDDDDTCYFLVPVDNRRSLPGVGEGYFGNCLSLSFARAAARDLMRPDAGMAHAAAAIREVARETVASPLRGAERWAEAYAGMPRESFTPSGSSNRFMAYETDMGWGAPTQVELGSLSGKRMVLLLGAPNGAVQVTVALDHAHMDRFAANFMQT >Dexi2B01G0030140.1:cds pep primary_assembly:Fonio_CM05836:2B:38384595:38384992:1 gene:Dexi2B01G0030140 transcript:Dexi2B01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIAVVTILVVVSLVFAGHVKGERQLGGSNVEGSPERVAVGGGASPLSECHEKRLYKGPCLEVFCTVGCLLLQMRQGGHCRGSVLKGRCYCFACS >Dexi7B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:7B:16883262:16883457:1 gene:Dexi7B01G0009240 transcript:Dexi7B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASVTPAAGARDYAGGLTFSVMTTCLMAASCGLIWGYDSGVSAAERSILCLSPDYAIR >Dexi7B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:7B:21180137:21180578:-1 gene:Dexi7B01G0015120 transcript:Dexi7B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQEMNEVRDRSGPRMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPVAGGAFSYLRLR >Dexi3B01G0034910.1:cds pep primary_assembly:Fonio_CM05836:3B:37558877:37561943:-1 gene:Dexi3B01G0034910 transcript:Dexi3B01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLCAAATWLAVCAAAHTGGGGEQPLSRIAVERTVHAVDDAAHVKASPLVLGLTGDTSEWVDVEFFHPNPSDDDWIGVFSPANFSATICEPENQRQYPPVLCTAPIKYQFANFKNDNYNKSGKGSLKLQLINQREDFSFALFSGGLLEPKLIAVSNKVAFVNPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRFLAPAGTLTFDKNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSPYTYRLGHRLMNGTRVWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEYNNFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTMFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCAYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCVVNASNHYSGPFQATTHVVVGGAGASLSEFTTSKIQWSHFTDFDHGFVKLTAFNHSSLLFEYKKSRDGN >Dexi5B01G0029170.1:cds pep primary_assembly:Fonio_CM05836:5B:30425450:30425763:-1 gene:Dexi5B01G0029170 transcript:Dexi5B01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSVLSPLRRLWCRVNAVQRKKRGIYILYEDVKSCQCEDVHVLWSILVESHGLPTPPVLGLKR >Dexi9A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:9A:7708393:7713207:1 gene:Dexi9A01G0012200 transcript:Dexi9A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTEEADNSRDYEQLECQTVRLHALPERLCSCATPAPVAAHADASLSAPTRAGRFAAQRQWPASSPELHVDLGSARRKTETRTSEREGVRRCTADVGGEDARQADPTHESVLAASRRESLHALLLPLDPVALPACTRTLLPPQLTGCTPPRNLPARAGIAGGEREAEKQDVELWKRGQVGPCGRWCGLQRSPVTQRKMLTPRRRPLQLLFFDGGCGPCSSSEIKAKHPKVPDVRTFMLNFAGEGLAAGLEALELDSPPPELEHLAPTGGESRASPGGLEERHGGDLHGAAVRAHGVLLRAMEGAADADSAAMLDSMAPLLDSTTMLLDSTTGRASVGLRWLLSSSLSSGCCSLTPDCISVNSVDSALSRAALKAPPSKLLIPVEAKEAMARTWREGSASEMGCGGEETQLCVAPRRRRKMGLVDGSSVGAGFGEQNTVDDAKWVWLLLPCHPAAGLPNALLGLPPQATQ >Dexi7A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:7A:13768047:13770819:1 gene:Dexi7A01G0003680 transcript:Dexi7A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPSEEEPSQWEYSLRKYLLLLATVVASVTYSAGFNPPGGVWQEADAAAGHLAGDPILRATSYPRYLVFFYCNAIAFALSLVVIVLFLLLCILHEHKNVWIRPKLLRAFMVLDLLSLIGAYTAGASQDMLSSMYCLIQVSVTYASGLSTPGGFWDTTEGGHRPGHAILQDQHKARLKAFFFCNTSSFFASSLILVLLLSKKIRLSVASRPIYVCIIVQLLGLVGAYAAGSSRSAGATTWWERRFASHSNDWAVDQIQTKIVPPARGLLLSLATFATAITFQAGLHPPGGLWQNSRDGHLAGDPILLTTNATRYMTFFYFNSVAFMASLTIIVLVLENWLFRSNMVEAAVMLELFGLIGAYAAGSCRDVSTSIDVMAMAAAGMVYVVIHVIFFQPVPDPRNDELEIHKVVYKIRKMLYLLATLATTLTYQTGLNPPSGSWSSSGNHAGEPVLLYNYPRRYKVFFYCNSITFTLSIAIMILLVNPHLYTPAIWSHALSVCMVAGLFAVMGAYAAGSSQHLTTSICVVVVMGLVLVILVPFVLKATCMVRKSIPAVSRRGTDVEQKQVKTKRKYLMLLGVLVASITYQAGLDPPGGMWQYGGDGHVAGTPVMHDSRRKQYLVFFYSNSTSFGASLTFILVLLYQYWFQKDVGGPRLMLTIVELDLLALLVAYATGSTFTDYKPCLYTAIGMISILAYYAIMSLMYRLRRHRQPEGRHEEILQSRSQSR >Dexi1A01G0026470.1:cds pep primary_assembly:Fonio_CM05836:1A:32372631:32376944:1 gene:Dexi1A01G0026470 transcript:Dexi1A01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEEAAEIVREIAAVGAADLAAAPEPLRADCLRLARKVSLLSHLVAEVAEAGEGAADALAAAWVRELVRALEAARRFVALGRAPPAPAAAAGASDQDATCNNTALQFQYVTWQLEAALANLPHSCFQISDEVQEEVDLVRAQLRREMEKKGALDVTVFTKVHDILAQIDDAGPQSQQPHQQPEQLQVEKNSEDYLELQNAVLLVSEISGLSKSNMMKITSELIEGLENVGTPDSPKPANVDSQSSDETKSSSEEVKKPDSVTIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLIMQWCEEKGIEPPSRSKSDGSSHEVGGNRLAIEALVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESSAIPALVKLLSSKDQKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRTGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIESLVELLQSGSSRGKKDAATALFNLCIYQANKVRAVRAGILVPLMRMLQDSSRSGAIDEALTILSVLVSHHECKSAISKAHAIPFLIDLLRSGQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELAKTGTDRAKRKATSLLEHLSKLQVL >Dexi1A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:1A:1246027:1248291:-1 gene:Dexi1A01G0001860 transcript:Dexi1A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGDMPMRPARPGPPMQHRGPPPMARRPEPIDREKTCPLLLRVFTRVAGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVRQVGSTFAYGHGRGDDAKTLAELGFQIGDYLSVAIM >Dexi3B01G0025600.1:cds pep primary_assembly:Fonio_CM05836:3B:20415328:20420641:-1 gene:Dexi3B01G0025600 transcript:Dexi3B01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRINQNELRFFLSCDINLPVSFRIVHADNTFSVERTSPELFVECKLYNDGMPFGLPVKTRLQSSGPPHTWNELITLTTKYRDLTSLSQLAFTVWDVSSGGHNIVGGATISIFNSKRQLRTGRQKLRLWTKKEADGRVPNTTPGKIPKNERGEIERLDRLINKYERGQIQRVDWLDRLAFRAVEKANIKEKECKRNDYYPSLVVDFCDFAPTDYRVVFQESGGNFHVPTTVSSSNELGTIWDPELGRTNPSEHKQSKVSRSLTDGIINRYIKPNPDERKLLQKIVKFPPTRPLMVDEKQLVWEFRFFLMSDKKALTKFVRSVDWGDIQDAKQAIELIRKWETIDVADALELLSPDFSSEEVISGNLFLMIRDYAVSVLERADDDELQCYLLQLVQALRFKKLDMSRLALFLVKRDLACTSISRLLTVVLNDLNYKPTRSPLAPNVLLTGVVPEQSSIFKSTLHPLRLTSGWIS >Dexi1A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:1A:25696508:25706469:1 gene:Dexi1A01G0018510 transcript:Dexi1A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERNTPPIRSARSLSDPPPHLPTWRRRVIRHPRPPRRHVTPAADVAPPHWPRSPTRDKAPRPAAKKLPTEHDCTSPSTSTPGGNDSRPASAAQPMASLTMMASFAAVAAAAAPSRRGSSFAVARAAKVERCEQEPARLVAAAESQPAEGRRAVMLAAAAAAVAAIGGAGAAMADPKPKNGSPEAKKKYAPICVTMPTAKLFVELSSMAFSCLLLGAGHALRALNRTLCLAFAPTTLNESSVDLAAPKISIDPDDETTIEREIRYQQAPVIRDQHQSPDHTTQPAWPEEGTFFQLRPTPKAPRRTKQRRTKLYDLLLGSFFLCGASLFSLKRAGGRDRSGGTYKKQRPGNHAPLLQCMASAPRPRLLINHVISPYFHIGDLGRPLPQDDPPPACIHHLVPTWSSPSYKSTCPLASTQSPPLAVLLLCIVRPPRRDLSRRGGRQGLDAMGGKSFFCPIFSFLRKSRRYDDDMSDWDGRTGYVRKVRSSDEDYGGWWIGERDVDRKASDFINNFHQKKAMVFLPVQSKLQPPHALQASMYHTAELGKRKRRVVLPLHTDVVVSLARLYRLASAAAAYRTQKEASHQKADDDAKRPFLLSCMAGLRPRTRALLSAFLTGEEEPYPSCGGHLRPARLGTL >Dexi2A01G0036170.1:cds pep primary_assembly:Fonio_CM05836:2A:45760778:45763072:1 gene:Dexi2A01G0036170 transcript:Dexi2A01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSNMVQEMKGEIGAISRRCLPRPRGTGRVERVEPDEAALRESSWANVPPELMREVLKKVEVGEARWPGRAAVVASAGVCRGWRRAVKEMVLVPEASGKLTFPISVKQPGPRDAPLKCFIRRNRTTQSYFLCIGATDALSDDGKVLLAARKYRRPSCTEYLISLGSKGNGTYIGKLRAPRKMDCVMDSIPVSAIKEGGTAPTQTGFPSSASSSFASVPFFKAKSGQVDSSGTQLNSQNESKVALKNKSPSWNQQLRCWCLNFHGRVTAASVKNFQLVASDESAPTPSNQEGDDVILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFETKIGCE >Dexi6B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:6B:629085:631310:-1 gene:Dexi6B01G0000720 transcript:Dexi6B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVFWEPGRPLTLEEFRMPRPKAGEVLIKTKGKPVYMYSMGGLAEYCVVPANALAVLPESLPYTESAILGCAIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVVGEVDITHLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKIEEANGAYEDLNQGKIIGRAVVEIME >Dexi5A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:5A:10815639:10815901:-1 gene:Dexi5A01G0014000 transcript:Dexi5A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFLGLRVENVTRVSRATLPKHFLEDIGREGFEVVRGFKRVDDPAGYGGRFPEGIHRSGDGGGKGGEDGERALCWPAGNGG >Dexi8B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:8B:25777751:25778103:-1 gene:Dexi8B01G0015010 transcript:Dexi8B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGERWSLAGATALVTGGSKGIGHAIVEELAGLGARVHTCSRNAAELEESRRRWADKGLVVTVSVCDVGDRTDREKLMAVVNDTFAGKLDILE >Dexi5B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:5B:5576791:5577212:-1 gene:Dexi5B01G0008270 transcript:Dexi5B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLDRNNLTASQWRKGAQWFEVDRAAALEVVSDTAYFPTFQERCVGGGCYMDEHYVPTLLNALRWLPRNANRTLTFADWDRARRTGPHPHTHRGEEVTAELVGEIRSGERSGANCSAFEDDAKGVCFVFALHAGYA >Dexi2A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:2A:28695338:28697720:-1 gene:Dexi2A01G0016890 transcript:Dexi2A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASSPLLLLPLAAVLLLLLLLVAPRGGEARPPRPLHGVRPLAFDEGYAQIFGSGNLALLRDGRRVRLALDESTGSGFASQDLFLHGFFSAAIKLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTHAGREERYDLPFDPTDDFHHYSILWTSRRIVVRTAAMGAAFPSKPMSLYATIWDGSSWATLGGRYRVNYKYAPFVAEFADLVIRGCAVDPTAVADSTSCDASASLESLAVADEQRVAMAAFRRAHTSYSYCHDRRRYPAALPECGAGDGHGLLPGRMFGPDGMKQQHRRHRAARGRRGGGGAQDDDVM >Dexi3B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:3B:13982920:13984295:-1 gene:Dexi3B01G0018810 transcript:Dexi3B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFQGDGDGQGLATDAFDGRGVEELIVDSTYPIRFFSSPPLRSVELINCNWLPTEPPVLPPVFAKLKEVSIRAVNFSMAAVYALLEQCVELESLLLSSLHRVQAGMESILQVRSQSLRRLFLEVLGLKEVVIVDAPKLERLLGEVLYKHSHCKVTLGNAPKLQIVGFLTMELLPQPPVPPEMMGMSLEPSSRIHSVKILGLCVNLCEMDQVKRMLQMLSYFPCVETLNIKIFTSLSIIRTLVRHKIPYSTDLLELAGRADCLRDRVKTIVVGDLWLHTDTLGLDFAKILLESAKKLQLIMKIFHIPVGKRKESRSYRQKLGLKSNPSIKARVVFPRDYISSRQVSDVLMDASSLAIPDPMFYQRTFRSWYIRKEWHCYMQAMEG >Dexi7B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:7B:17184736:17185371:-1 gene:Dexi7B01G0009640 transcript:Dexi7B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTMTGCWAALEVQRRREIEVVLRCRSGADAARGGRREVVEGGDEGAAKQFVGLDSAHTARPLPALPLLLAPAGSGG >Dexi6A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:6A:4851053:4855820:-1 gene:Dexi6A01G0005360 transcript:Dexi6A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGQKKRGPRIEPFRHRVETDPKFFDKSWKKLHDAIREIYNHNASGLSFEELYRTAYNMVLHKFGPKLYEKLTENMKEHLEEMRTCVEAAQGGLFLEELERKWNDHNKALTMIRDILMYMDRTYIPTNKKTPVFDLGLELWRDTIVRSPMIHGRLLDTLLELIHRERTGEVINRCLMRTTTKMLMTLGSSVYQDDFERPFLEVSASFYSGESQQFIDCYSCGDYLKLAERRLSEESERVSQYMDVKTNEKITAVVVKEMLSNHMQRLILMENSGLVNMLVEDRYEDLTRMYTLFNYVPDGLTTIRSVMMSHIKDTGKSLVTDPERLKDPVDFVQRLLNEKDKFDNIINVSFNSDKSFLNALNSSFEHFINLNNRSPEFISLFVDDKLRKGVKEANEDVLETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLISGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSQDTTQGFYASASDLADYPTISVQILTTGSWPTQPCSTCNLPPEILAVSERFRSYYLGTHNGRRLTWQTNMGNADIKTTFGNGSKHELNVSTYQMCILMLFNSSDVLTYREIEQATAIPAVDLKRCLQSLALMKGKQVLRKEPMSRDIADDDSFSVNDKFTSKLFKVKIGTVSAQKETDPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIMTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKTDRKMYRYLA >Dexi5B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:5B:9007315:9015710:1 gene:Dexi5B01G0012680 transcript:Dexi5B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVLCILLGKLGDVIASNRSATALTVLRSGLSLGRALRAANQLNHGDIQAESLREPRQVRRRRPRSCHHPFPLPLFSTAVTPSSGSANSSARLPGLAAAALTIAARSSLASRPRLPPLPLTVGSSMRPLVGDGGSVVVASSLV >Dexi8B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:8B:2112700:2113847:-1 gene:Dexi8B01G0002970 transcript:Dexi8B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGSGSNNSSSSNPLLPPGYRFYPTEEELLGFYIRHRLAGTKPQVEHLIPVVDIYSYHPSVLQAMAGAANVGDREQWFFFCPRAEREVHGGRPARTTPSGYWKATGSPSYVYSAASANPRVIGEKRTMVFYEGRAPTGNKTQWKMNEYKAVVDDDGDAPPPPPGAPVRQLRNEFSVCRVYISNGTLRSFDRRPLNHAGGLDQAAVNGNRRQQVLPPPLGATAAASQLAPVVDVAGDGQAAENSHGSSSSGSRGGVVVDGGEDGGGAAIDWDSLIAPGDLRFSGDDLSHVIWPPNN >Dexi5A01G0026300.1:cds pep primary_assembly:Fonio_CM05836:5A:29950484:29950872:1 gene:Dexi5A01G0026300 transcript:Dexi5A01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGARRALAALRSSSPSNLSARLSHQAASRSPELAAASLPRASRRRPAISRVPVAALGGVQGSLMPMHSATASALLTSMLGLKPGSWGWLSEGKF >Dexi4A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:4A:1388064:1396070:-1 gene:Dexi4A01G0002040 transcript:Dexi4A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRNIADPKKTLHLRALDGAKDRLQFFQASLLEEGSFDAAVDGCETVFHTASPFYHNVKDPKVELLDPAVQGTLNVLGSCKKASIRKVVVTSSVAAVAYNRKPKTPEVIVDETWFSDSQILEKNQQWYALSKTLAEEAAWKFSRDNGFEIVRINPAMVIGPLLQPTLNTSAEAILKLITGSSSMYPNLSFGWANVKDVALAHILAYEVPSANGRYCVVERVVHYSEIVNIIRKMYPAIPFPAKCANDKPFDPTYQAELLDPAVKGTLNVLGSCKKASIRKVIVTSSNAAVAYNEKPKTPEVIVGSSSTYPNVSSGWVNVKDVALAHILAYEVPSANGRYFMVERVAHYSELVNIIRKMYPTIPFPAK >Dexi5B01G0036340.1:cds pep primary_assembly:Fonio_CM05836:5B:36091525:36092102:1 gene:Dexi5B01G0036340 transcript:Dexi5B01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQETWVLVGMAVKRDSAHGSVAGVRAEKRVEGDRVGGGDFRERGVRRGKVLALGVEVDERVGDGEAERDEREADGDGVEGGAEREEAERRGGVEREGEREVVGGDGQARHEEEQAERGARAGLGEAADGVVEERADANGRGDRGVQAEEVGVQHGGRRWRVVGGERAGDEVEGHRVAGLGRHGVACVGV >Dexi2B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:2B:3598845:3599387:1 gene:Dexi2B01G0004080 transcript:Dexi2B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSVATLAAAALMAAVFLAGGASAQSSSPSSSCTSALVSLSPCLNYISGNVSTPPASCCTQLGNVVKSNPACLCVALNANPASLGITVNRTLALGLPAACNVATPDVSNCSKGGGAGAPASSPESGTTTTPAGATGSKATPATGSVAGAAAALNLGYGGLKVASFVAVAVLAVVAV >DexiUA01G0008670.1:cds pep primary_assembly:Fonio_CM05836:UA:16301931:16305298:1 gene:DexiUA01G0008670 transcript:DexiUA01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKARELREFVEACKKDPSLLADPNLGFFRDYLESLGAKIPAAAKAAPFDKPKRSSMDDIDDDDDDDDEDLDMRDATTEPDELDQEIVESDLELEGDIVQSDHDDTPQKMGDPSVEVTEENRDASQEAKGKAMEAMSEGNLSDAIEHLTNAIVLNPLSAIMYGTRASVFIKMKKPAAAIRDANAALEVEPNVHKIVEHRRKYERLRKEREEKRAERDRLCRRAQAQAAYEKAKRKEQSSSHSSGGTSPRGFPGGMPGGGFPGGMPGGFPGGAMPGGFPGAGGAMPGGFPGAGGAMPGGFPGGGPGNIDMSKILSDPDLMASFSDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFGGSQ >Dexi1A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:1A:4198843:4201827:-1 gene:Dexi1A01G0005720 transcript:Dexi1A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFRKPVKDSANTNIFEHAGRVFAITENHLPYEINIDNLETLGPYDINGDWEQPFTSHPKKVCSSGELVIMGINPEKPHYLVGVISSGGQRLLHKVDLKFEKAKFIHDIGVTTKYNIIIDFPLRFGISRTLLQKPGITTEAYITTEDVAMDFPVINENFTGLFKYKMIAKLHFDEPDKKNGRDEDDGWVITYVHDEGTNISQVYIIDAKRFSDEPIAKITLPQRVPYGFHGNFFYK >Dexi7A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:7A:12042706:12044963:1 gene:Dexi7A01G0003090 transcript:Dexi7A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRAFLTKFLLLALLVLQTGEVRADSRLESFVQDELQFGQGLGLPSITSFHGDSVDDMMNGVDAVVQAWYIDDTTEEDQRLPHHRQPDEPVPLAKLLDLGIVAVRLDADNHENDENLTIIRGQRGYMHMDIVTLTPEKMANYEAMIKRFFEEHLHTDEEVRYCLEGSGYFDVRDEEDRWIRVSVRKGGLIVVPAGIYHRFTLDTNNYIKVLSFSECDWVLGILLGYYRRCDSFPEAQTGQHIIVLMIISLQGTLAQ >Dexi3B01G0033800.1:cds pep primary_assembly:Fonio_CM05836:3B:36617124:36618446:-1 gene:Dexi3B01G0033800 transcript:Dexi3B01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRCSSMLGIPPGTCTFTEAHLKCANPNDPCPTYPENPITGECAITDATTFTLSANATDGKNPLFPVTFMLLGACSIEVLFTDSLPVNTWGVAGMSRLPQSLPTQVALMFKVAKQFALCLPRSGGTGAAIFGGGPFHLMASPATSIDLTEDLRQNQIPFLKYFNNGGYYLHVTGINVNGEPVPFPPGTFDLNAGTGEGGVMLSTTLTQKYAGEVATYTTLRSDIYHALFNAFDAATSGIPRAPAVHPFEMCYQSSALGVTRLGYAVANIELLLDNGRTWLIPGGSSLVQVNDQTVCFAFLEMTTVSRVPGSPAVLFGGYQFEDYLLFFDLDKETFSFSGPLAGIRTSCSNFNFTM >Dexi2A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:2A:27297236:27306361:1 gene:Dexi2A01G0015880 transcript:Dexi2A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVASSMATRAAGSGAVLLLLVFVSVLPGASGGGGGRKMGFGGEARGVVVRYLNKDANVVEAAAPPPPRRAPAPAPPVAAAGSPNQFRGKAMLPRFAAPRRYDLRLRPDLVACTFTGAAAVTVAVSAPTRFLVLNAADLSVDRASIRFRVPNSLRFFSFRMLFSSLGARPLNVISDAGPGRWLLQDLAPKEVVFFADDEILVLGFSNDLPLGEGLLSMKFNGTLNDQMRGFYRSKYQYKGKMKNMAVTQFESVDARRCFPCWDEPAFKAKFKITLEVSSGMVALSNMPIANQTVTGTIKTISYQESPLMSTYLVAIVVGLLEYIEGVTPEGTKVRVYTQVGKSSQGKFALDVGVKSLHLYKDYFGTPYPLPKLDMVAIPDFAAGAMENYGLVTFREVALLFDEESSAESSKQSIAITVAHELAHQWFGNLVTLEWWTHLWLNEGFATWMSHLAVDSFFPQWNIWTQFLDDTTAGLKLDSLEESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQNYLGAERFQKALASYIRKFAYSNAKTEDLWAVLEEKSGEPVKNLMTTWTKQQGYPVINAKLNGNYLELEQVSLNVAKISVDATPGLVGDIKQLLIKLLLPPAIKLGWDPKNSESHLDALLRPVLLVALVKLGHDKTISEGVRRFGIFVHDRNTSLLPPDTRKAAYLAAMQNVTSSYRSAYNDLLKVYRESDEAEEKGRVLSTLCYCKDKNIVLESLNLLFTNEVRSQDAYYVLQGLSVETRETAWLWLKANWDRITKKYGNTQEGGFIRYVTTLFSSNEKAAEFSSFFATRKKPEFERTLKQSLENVRISARWIQGIRSEPRLAQTVQELLRMP >Dexi1B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:1B:3112129:3115709:1 gene:Dexi1B01G0003900 transcript:Dexi1B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGHGAAAAAAALVLVSWLCAAVVGVGAIGANWGTQASHPLPPDTVVRMLKDNGFQKVKLFDAEDGTMSALKKSGLQVMVGIPNDMLSMLASSMKTAEKWVDTNVSSYLNDGVDIRYVAVGNEPFLETYNGSFLQTTYPAIKNIQSALIKVGLGNQVKVTCPLNADVYTSSSTKPSDGDFRTDIHDLMIAIVKLLSDNGGAFTVNIYPFISLYGDSNFPVDYAFFEGAASPVVDGSLTYTNMFDANHDTLVWALKKNGFGNLPIIVGEIGWPTDGDKNANAQMAQRFNQGFMTHIASGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIYTYDGLPKYQLNLGTANSGGLVRAKGVKYLEKKWCVLKPSVSLNDPKLADNVGYACSMADCTSLGYGTSCGMLDVRGNISYAFNNYYQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSWRLQRLGGNFLLMLLLVLLQLCLLFS >Dexi3B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:3B:8098728:8104560:-1 gene:Dexi3B01G0011540 transcript:Dexi3B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVQRWSNHKVMVRWLSRFFHYLDRYFISRRSLTPLKEVGLTCFRDLIYQEIKGQVKDAVIALIDRALLKNVLDIFVEIGLGQMECYENDFEDFLLKDTTEYYSVKAQSWILEDSCPDYMIKAEECLKREKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCSALLRDDKVEDLSRMYRLFSKINRGLEPISNMFKMHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVTGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDAKPIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPSNRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >DexiUA01G0011330.1:cds pep primary_assembly:Fonio_CM05836:UA:22823049:22828374:-1 gene:DexiUA01G0011330 transcript:DexiUA01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWLQQRKIIAPEFFLEKVKGMVDLMVDSAQPLLKLWEERVDRNGGITDIKIDDDIRAYSADVISRTCFGSSYIKGKEIFMKIRELQQAVSKPNVLAEMTGLRL >Dexi6A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:6A:21805822:21806956:1 gene:Dexi6A01G0014440 transcript:Dexi6A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGGGQQHQQQGEARPRLRWTRQLHDRFVLAVAQLGGPDKATPMSVLRAMAVPGLTLYHLKSHLQKYRLAVSRGLITTSACDNGEGSNDRSSSSENQQDEYEEDTVAELRSAFAADDGADTKEALCDSSSSSRNMVRMQREVQRKLQEQIEVQRHLQMRIEAQGRYLQSVLRRAQEVLADHGLGLPAAKAELSELASAVETGCLSSSSSSLSLSPPRHRSTDSCVTSSSEAESQAAAAAGSKRPCTCTVVEQPVQGSKRSFLQQSHEAEEAEAEDGSSPVIDLNR >Dexi7B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:7B:875442:886108:1 gene:Dexi7B01G0000250 transcript:Dexi7B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGSGSSGILLSSLTTTTAAASSSSSSSSSSPSSSAARVIKVRGRRRRANPLPLRCASSSSSSSSGMEPIAGTALYPLHRCKTIYLVRHAQGIHNVEGEKDFNAYMSQDLFDAQLTPLGWSQVDGLREHVKKSGLAKKIELVITSPLLRTMQTAVGVFGGENYIDGVNAPTLMVENAGHSGRPAVSSSNCPPFLAVETCREHLIENDEDVLWEPDVREPNESVALRGMKFFDWLWTREEKEIAIVSHSGFLYHTLSMYSKECHPTIRDEVGKHFANCELRSMVLVDRSNYVFGDSVRHAQGVHNVEGEKDHSAYMNPDFFDARVTPLGWNQIENDEDVLWVPDVRETFESLAERGMKFIDCFSNCELRSLVLVDRSMLGSDTSGYNYPGKIPAGLDVPSDVIDKKHLEDEAKE >Dexi7B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:7B:14273762:14275240:1 gene:Dexi7B01G0006550 transcript:Dexi7B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFGLLGDDAHQPAAPPPQPTTAAQQPAPPPQPAQAFCFADAAVAATAAAGAAASFAQVQEESNHHAERVKAPHSAKRARERADELSSDGGEYCSYINSGGSGGGGKKGRGGGGSSGGSDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKAAGGKSVEQCKNKIDNLKKRFKVECQRLASSGSGAVSHWPWFKKMEQIVGNSASPASSKALVAAEDEKPRQLQQHGSKRHPACTTGPLAVVSSSRANPLSNPRWKRVLLKIGGTALAGEAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIG >Dexi5A01G0027040.1:cds pep primary_assembly:Fonio_CM05836:5A:30614469:30617225:-1 gene:Dexi5A01G0027040 transcript:Dexi5A01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSHVSLAPPPPPQSPGTSASAASNKSPSGINGTAVGIGVAVAAVVVLGLAAGLIYFFVGRKRRRRPPPSQGYPAEFYDPRRPVTPQHMSHAQSSTPSSTPPLMHSWQSSRGPSEPPMPPLNPSPAMAGGTYAYDDLAAATDGFSDANLLGQGGFGHVYRGTLGGHEVAIKKLRAGSGQGDREFRAEVEIISRVHHKNLVSLVGYCLYGEQRLLVYEYVPNKTLEFHLHGKDAASCSVAQCTPRMVIDLLPLEPDKFEVGSGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLAKYQAAEVTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGRKPIMRSSGDQPETLVSWARPLLTRAVEEENIDELIDPRLETNYDAYDMARLIACAAAAVRQTARSRPRMSQYASSEFSDPTSEYGLNPSSEYTASSGADTGEMASGAQGGVHRRVPADTERMSRRTTGRRAQT >DexiUA01G0015930.1:cds pep primary_assembly:Fonio_CM05836:UA:34123184:34123685:-1 gene:DexiUA01G0015930 transcript:DexiUA01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAAVPQVHGLRGYASPRSAVALPPVRAGGRRRAQGIRCDYIGSATNQIMVLSTTLMLFAGRFGLAPSANRKATAGLKLESRDSGLQTGDPAGFTLADMLACGAVGHIIGVGIVLGLKNTGVLDQIIG >Dexi9B01G0038750.1:cds pep primary_assembly:Fonio_CM05836:9B:39690720:39691154:-1 gene:Dexi9B01G0038750 transcript:Dexi9B01G0038750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIPRNATIPTKKEGVFTTNTDNQSSVYEGEMAMTKDNNLLGKFELTGIPQAPRRVPKINEAFEIDLNGVLHVSAKDQTSGRTNSIAITNHSCPLRTEEIERMAQEAERYKAKEAERYKAKEMGLRNVKQAKKIKHEK >Dexi5B01G0038390.1:cds pep primary_assembly:Fonio_CM05836:5B:37622390:37623444:1 gene:Dexi5B01G0038390 transcript:Dexi5B01G0038390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDDAQEEGAAAVAAVSVTTTPHADVAGIVPSLLPLETRWPPFNLRHYAGFWLAEVTLMEGFRAVHSGVFKPRPTDVLLASFPKSGTTWLKALAFATLRRSDHHPLAVDHPLRHRNPHDCVKFLELEIGTGEELEALPSPRLLATHLPYTLLPESGCRVVYICRDPKDALVSYWHFTKKASPAVGVDARSFTIQDAFELFSQGRCLGGPQWQHALQYWEESLKRPDRVLFLRYEEMLLDPESHLKRLAEFIGCGFSGEEEEEEESGVVSAIVELCSLGKMKDVEVNRNGSANWLGVKNEYFLRKGAVGDWSNHLTPEMAERLDKIVEDALQGNSN >Dexi3B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:3B:14340324:14344308:1 gene:Dexi3B01G0019330 transcript:Dexi3B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGSGSYIAASYVKFVESAGARVVPLVYDEPEERLLEVYVSTVHADRYPITCTQWHPEKAIFEWGEPMTPHSEMQYNQARKSLNRPTGDKD >Dexi6A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:6A:25773772:25774075:-1 gene:Dexi6A01G0017940 transcript:Dexi6A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGKSKKAIEATSNDDEDGSGGEEVPPTPRRRGRRPQKLVKDDDADEAEHKDTAEVDAADATKPAVVRPGKDSKKRRRRRLKQSSGESMMRRVM >Dexi2B01G0025250.1:cds pep primary_assembly:Fonio_CM05836:2B:34523144:34523482:-1 gene:Dexi2B01G0025250 transcript:Dexi2B01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRKASCATPFAFFLVAVLVASSGISTGTSTDPKSTKYNAMFSFGDSVAETGNICVVSSVNATELDALTCTHRPYGITYFGRPSCRWCDGRIVVDFIVT >Dexi4A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:4A:16783973:16784728:1 gene:Dexi4A01G0014520 transcript:Dexi4A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVSASTTAQYAGIGVFVAIVLFVVLYYSRSFNSVFLTRRHGAAAGTGGDDAVTTVLPGPVQALGLEPDDVAVLPTFTYHTPSPGRGSAAKATPAMAADCCAVCLDELREGALVRMLPSCKHYFHAGCVDVWLLSHATCPVCRGSPGPEKVRLGVASLSPPLPQLRRFGFGAAAASPEGGETSEAKEGVVSSRSPSPVRWSPRHFELMVAMGNGSLAVSPSPTRPRTPDSRMCRTRSPSPGTLEPHDVGV >Dexi9B01G0035920.1:cds pep primary_assembly:Fonio_CM05836:9B:37499794:37500819:-1 gene:Dexi9B01G0035920 transcript:Dexi9B01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESGAASRYYCHMCSLIIRPELGLGIEEVKCPHCHTGFVEEMAAGDLRGGADDAAIRGRRAASGEANVNAAAPDAALEREVSLWAPVLMDFLTASYGHHGHDAAAGGRGGGDLAAFARRQYRNIALLQLLNALQEGDADAGRERVVLVSPSDARAMLMGQAARGEGAGADTGGGAAMGPGGLTLGDLFLGPGLDLLLEYLAETDPSRQGTPPARKEAVAALPTVRVREAFTCPVCLDEVASGGEAREMPCKHRFHGPCIVPWLEMHSSCPVCRHQLPVEEETAEAAGGGRRVGDGDDVSSGNARGGAGDGGSGGRRHWFSWPFGGLFSQRSNGSSSSSS >Dexi1B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:1B:1464574:1472492:-1 gene:Dexi1B01G0001840 transcript:Dexi1B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAHLTPTPAPTPASLHCHRLRLPATAAAAPTARLRLLLAARPEESSRAYRVARSRCAGSAVARVGEEGGGEEAAAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALGPGTVFCDYLSYIFMFLSVATSNMVATSLAKKDKELAQHQVSMLLFIALACGIGMFLFTKVFGTQVLTAFTGSGNYEIISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGAGDILLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNNKGFRAFSFTIPSARELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMINILCMCTVWGEPLSQTAQSFMPELIYGANRNLTKARMLLKSLVIIGAIAGTVLGAVGTLVPWLFPSLFTNDRMVVQQMHRVLAPYFTALVVTPSVHSLEGTLLAGRDLRYLSQSMSVCFGIGTLLLMVLRNKFGSLPGCWWILVLFQWSRFASGLQRLLSPTGMLYNENFNQVEYVKTKAV >Dexi8A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:8A:24150070:24151075:1 gene:Dexi8A01G0013860 transcript:Dexi8A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANINDLPQDLLELVLLHARSSVCLIRAAATCKLWRRVLAAGDFLRRFRRLHPPDVLGHWHYHEGRRRHRPVFFQSPDGPVVDVRGRDSLYFLDHHHYRGSRNMELSDGGCSSSLLAFVKDCSTVVVCDPWTRHHRELTPMCPWKGDSNRGFHSKIIIGVFLLAADDDDAGGGVNMSCFRLLCVSLARKHYGNTTAWATMYSARDDRWVSLGSRDVGNIMPDGSYSFKACSKFLGRAGGSLCWSGHVSNGVLHLDESSGVFSTFTLPPDVRHDHQSSYHRGNLRVVGGGSGGSVRLARILDDDLEVLRWSRDGGRKCMYACLGWLGLGQ >Dexi7B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:7B:25021851:25024700:-1 gene:Dexi7B01G0019580 transcript:Dexi7B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVERAHELYRGGRHREALELYSAALAAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKEYQSALFDVNRLIEINPSSEVYRNLQARLKTQLSLAPIPECEEESLYLEEEKEELPPKGDQNIETSMAKPDKPETELVPENKPANVPILDRKPAPESQKVEVLVPSKPQGWEAIPKPKGHSGLDYSKWDMVEDDSSEDEDDEEEELPQYKFKVRTVGVRPVK >Dexi9B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:9B:11672690:11673484:-1 gene:Dexi9B01G0016960 transcript:Dexi9B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNASKAALIRFYETLRAELGSEVGITILTPGYVESEMTKGKGIQKGGEVAVDEETRDAQIGVFPVGRVETLCEIALHGIRSGDWYVTWPSLYRPLQLIACLAPEVLSWVSYTMYKEDTKGSGRPLGQRIQEATGAKRLYPSSLLHPVVKMD >Dexi3B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:3B:4968024:4975988:-1 gene:Dexi3B01G0007080 transcript:Dexi3B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRRLLLVLLAGLLLVASLATLAEAIYEDQVGLADWHQKYIGKVKQAVYHNQKSGRRRVVVLTEENVLSSLDLRSGDIFWRHVIDKNDPLDQLSLSLGKYVITLSSGGTILRAWNLPDGQMIWETNLQTSTASDPQLLIMSNNKVAKDNLVLVSAGRWIYAVSSIDGTISWEKEFSLDGLEIKQVFQSSENDVVYAVGISGSSKLALYHLSAKTGEILKDVQESIPGELCGEIVLGSDNVLVALNKARSSLFLIEFKSEKISYNKVHLSDLVQDLSGTFKLQSLSNGVIALQTSSTVSLLKLKGTDGLEVVQRFDQPAALSDALTITEKDEAFAIVQHVGSQVEFLVKFTSDVSSETIREKVIIDQNRGNVEKVFLNSYIRTDKSHGFRALVVMEDHSLLLIQQGEVVWSREDGLASIVDVTTSELPVEKDGVSVADVEHNLFEWLKGHMLKLKGTLMLANADEVAAIQALRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRVVWSNLLPSLRASRFGGIPSALRIYQWQVPHHSVMRENPSVLVVGKSGAKSSAPGVFSILDSYSGEELNSMKLDHSVVQIIPLTLKDSSEQRLHLIVDSSSNAHLYPKSPDALDVFLHEMSNLYFYSVDIQTNVIRGYSLQKSCDNKGDEYCFGTKELWSIIFPSDSERIAISETRKMNEVVHTQAKTIGDHDVMYKYLSKNLVFVATMSPKAAGDIGSALPEEASLVAYLIDAVTGRILHRVTHHGAQGPVHAVLSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVVVLALDKRYLDPRRSANPTQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSIPAKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAVLVAAIIVTWIWSEKKELRDKWR >Dexi8B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:8B:18350733:18356488:-1 gene:Dexi8B01G0010010 transcript:Dexi8B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYARTELPSIAPNVVSLTLSSHTETVNTPMLPGKFLNLKYLDILLSGKMIFSPSYVKQDVLRHDSIVGESDGDPEDTKKVDCPHNHLKKQPALLPVSSAAAAAAEDEPRPTAAAASSSAAAASPAGFIFICSGATKAECYRHRVLGLPRGRMEAVSRIRRGTAVFLYDFDARRLYGPYRADSDGGADLVPGAFGGRFPAQVKFMINGDFMPLPESSLKSAIKENYLNGKFSPELTSTQVEKLRALFQPINLPPESSPPHDVDNWPPAAFLPPSAHTTQPSADAHHPTTYAAPATSMVSQPSPPTPTSSLPRKRPHSLTVAEGDSAHPRASSSTAAASPAGFIFMCSSATKPDCYRHRVLGLPRGGLEAVSRIRRGAAVFLYDFDIKLLYGPYQADSDGGADLVPGAFHGRFPAQVKFMINGDFMPVPESSLRSAIKENYFKGKFCPELTSAQLPH >Dexi6A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:6A:28462323:28463137:-1 gene:Dexi6A01G0021100 transcript:Dexi6A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTGWCATAFLSAFSSLAGTSSYPSMVTIGDVDFLQLACRALYGYASSPATPPCLAMYWNAPPLHPALAFVSQSTSCCSDSEVRFLVLMALTPSTAAIVENAQHEPHWPWSLTSVTAPFWRQSTDAGRSRGGGGWPAVAAERAVVGDPGAGVVAAELVGGHVGEAVEAELVRLVALVELVDEPRVLLEHVEAAGLLGEVARHRVHAAPALVEIPQGVLRGKVIGAKVERGGWRRSGEDDEGRRHKEHGDGRQRRSRHR >Dexi3A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:3A:8357188:8359758:1 gene:Dexi3A01G0011570 transcript:Dexi3A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAADIRREVAAEVAALSSKHNFVPGLAVVIVGSRKDSQTYVSMKRKACAEVGICSVHVDLPEDISELALVAEVHRLNADPAVHGILVQLPLPKHINEEKILSEISIEKDVDGFHPLNIGKLAMKGREPLYVPCTPKGCMELLSRSGVTVKGKQAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPDPESIVRKADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSIDDPTRKSGYRLVGDVDFAEVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVE >Dexi5A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:5A:5290716:5291801:1 gene:Dexi5A01G0007160 transcript:Dexi5A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPSELESKARDAFVDDDFALAAALYTQAIAAAGSPSAALYADRSQAYIKMGDFAAAATDAALATELNPAMARAHLRRAHACVKLEQYDAARAAAVAGAALAPGDARFAQLMKEIDAAAPKPMEAEIVDAMETEASAAGVVPVPSPAPAGKPKYRHDYYNSAAEVVVTVFAKGVAAEHVAVEFGEQMLSVSVEVPGEAPYHLQPRLFGKIVPDKCRFAVLSTKIEVRLAKAQPGTTWTSLEFTNKPTFIAAAPPSGSSSSTGGAQRPCYPSSKGRKDWDKIEAEVKKAEKEEKLDGDAAANKLFWDIYSNADDEMRRAMTKSFQESNGTVLSTSWKDVGSKKIEPSPPEGMDLRKWEY >Dexi6A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:6A:25666020:25667364:1 gene:Dexi6A01G0017800 transcript:Dexi6A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERINDNEEASSSSTSSTHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGKPIPNEDLQRKLADELRAMVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQVPITVYMRDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALQIPEKIGSKRKL >Dexi4A01G0022590.1:cds pep primary_assembly:Fonio_CM05836:4A:25908697:25909947:1 gene:Dexi4A01G0022590 transcript:Dexi4A01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRILVVAVAALSLLLVTDASRSYPWIDQKLDAPTNETNLMMLRGLIGHISPQDDGGSAADPTYFAYHVAEASSDGYYGFIATLDVYGFTLKHGQGTAGAVWVVDAGDGAQSSVKTIIVGWNVLPAEYGDSRTHFFTIWTDDGFIKTGCFNKKCPGFQPEKGASIAPGDAIAHVSNPKGDKQKLKLKIVKDGGASGDWLLHLGLNREPELIGRFPRSLFTGGFADRAPVIRFGGMVTAPVADPAPMGSGYLPAEEGAASVSDIKLIGRDGRATPVTVDLPKFESKPDAYAVSSVVTGHFFYGGPR >Dexi9B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:9B:1250881:1253031:1 gene:Dexi9B01G0002200 transcript:Dexi9B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCPLAVTFFSCCILLETIFCSGAFVELSYDSTRVNILSSSVITEYRVMVTEKQHAYLFLKPFECRGKSCRPEHLAGTFVNEVLDPNRHLNISNIVAMATKRQLGALHRTLQSVHASLGTAGLTQSVKVSPELMLSSVRIMAKDRAHRKHWSKIMEFVRRSGSFVQVKMEAETHGELAVAAEIQKAIADLTALSGTDIDLVLHLKSRAAPSEAAMAKLVGDINREKRLLGVLLDVSSPRRELAASEARATAHDEFSPVTNPAAMPVTNPAATPVTTMPATNPVSANPMSPGFVTVPSTNPGNGFTATNPNLPPLYPEPTTPATMPDPTTTTMPPATVPTPFTSPVTNPAGPVTNPATTPTQFPGSSPVTNPANTFPYPQQGGGGGMPATPVYQTPATMPGGTVQPATAGQTWCVAKSGTSELGLQAGIDYACGMGGADCSAIQPMGACYNPNTLEAHASYAFNSYFQRNPSPTSCDFGGAGMLVNVNPSSGTCMYQTSSGYGAGYSPGATGGVPTGYTPGMSGAVPAGYGPGMGGGSGSTVLNANNPGGNSMYGGSDNPTGLTAGSASLSCGWVLCVIWMVTFAFVKGKV >Dexi3B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:3B:14329670:14330689:1 gene:Dexi3B01G0019300 transcript:Dexi3B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSGVSSFYVLVKIRKYPTASFHFHQSCGVLQTVLWLGSDGTDAPLLALLHTPSFLGHREVTKLDHPVLSSSHDFISGGKFPAKQKTCEESNSSLCSPLSIFSAAADDGHSSLVAVRLPWLTLIRSYQANLLDTIEQQGASMEAAGTEKAAASATVFTEEQEALVLNAWNAMKGDSASLALKFFLR >Dexi2B01G0022140.1:cds pep primary_assembly:Fonio_CM05836:2B:31827204:31827560:-1 gene:Dexi2B01G0022140 transcript:Dexi2B01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPLVSGAIKRRRRAKKAVDYELLSSAGAPAPTWGHQERSTGAAYHSRSQSCRFPARSPDDELCSSRDEGDRALPEGLRDEPFTPAGGDGLRGLSSRSWRFSSMRLFGCE >Dexi7B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:7B:24838234:24838661:-1 gene:Dexi7B01G0019290 transcript:Dexi7B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAESSAGEDRCPPGVKSRRAIIVQHAPGRHYWLPHEHSGFSSPRWCPCCLYRSHVVPSGLLSSSLCASFSGLFLPLVPRP >Dexi1A01G0027870.1:cds pep primary_assembly:Fonio_CM05836:1A:33569804:33571668:1 gene:Dexi1A01G0027870 transcript:Dexi1A01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTYYSYDCCVIPPLDSWDWDWNELHTLGAAGAGAGSAAVHQEPNCFFPATRVESPGSSEASSGYLQDAVAHWSGRCRNKRQRMVATTPSPPPRPATVVSEDLHCLVESFWDSSADGGDEDGGNLRHDLNATIPEEAEIRCSFVSGEDDGAGASRREEQRTRGPSAPAAPCGGEEDEEEAGADGAAPPPRRLRFPAAPLQQPQLLQKATAVADHAAAARRDDRSRPGEASTATEATGSVCPSLLEGGFAGR >Dexi9A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:9A:9783227:9787193:1 gene:Dexi9A01G0014870 transcript:Dexi9A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYYLIHVSFVNVTGPRSANSFKKKESFLDLHPEVSLLRGEKNVEVVDPLKGAKDGSPLEGLGVPPDRSDYSEARIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDMQAIRMSPVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALDGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGMDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDLSLSGQVSITLIATGFKRQDEPEGRTSKGGQQIQGENGRRPSSAEGSMVEIPEFLQRRGPSRFPRA >Dexi3A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:3A:12393999:12401154:1 gene:Dexi3A01G0016470 transcript:Dexi3A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLGLRGGASPSAGGDAGIEAAGPARPLRLVYCDETGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPSVEEAECRRAYDFAVETYNSCFDPKKQIEEDSLREAHEDATRKAISAFNSSAVGAGSARSKFEKLLQSSLRKTFEDYKRNAFLEADLQCSNRVQSMESKVRAACNHPDAKLNDVVRLLDGLLTEYESSAYGPGKWKKLATFIHQCLAGPVLDLFRRQLEHIDAERNALRLKCNSSDDKLALLRKQLEASEGHRAEYLRRYEEVINDKQKISKDYSGRITELQTKSSKLEERCVSLSASLENAKRESNVWKSKYDHSILQQKEDASKLKSQIASLESRVSISEGRLSATREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELANQLSEKEEEISRLSAKVSQTEIHATTLMSRLEATEAKLKSHESDSLTLKEEIRLLTDNLESIRSEVLSREKEVRILEQEKNHLQEKYLAECKKFDETDIRCKEAEREARRATELADVARAEAAAAQKDKGEAQRLAMERIALIERMERQVETLERDKTKMVEEIERLHQSEKDAVSKVSLLEKSVDEREKEIDEMLKRNNQQRSSTVQVLESLLATEREACAEANKRAEALSLQLQATQGKLDMLQQELTSVQLNETALDSKLKTSARRLRGEATESVHDMDIDDDNNGRRRKRSKSTSPFKNNHTEDGGSVFIGEDSYTGSQQGTETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >Dexi5B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:5B:13301972:13308418:1 gene:Dexi5B01G0015880 transcript:Dexi5B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAALHPLPAVVGPTGRSGVRRQARLGLRASSLESASSALTVPNGVAAGAAAVERKEKVKEDKDDVAVKEREEKRGLEALYDDGFGSVTVKDYFAAAKAVSRDDGGPPRWFCPVECGRPAVDDAPLLLFLPGTDGVGMGLILHHKSLGKVFEVRCLHIPVNDRTPFEGLLQTVENTIRHEHNLSPNRPIYLVGDSFGGCLAVAVAARNPQIDLVLILVNPGSYIICKDSIAADIASFGIHAKRISCYCSISSQFCYGLFHLALSPVMMSTLKNGKIVRDLSGIPDQGPVLFVGYHALLGIELSPLYEEFLREKKTIGEEYKLFWPDQPEFVRMAARFGVTVIPFGCVGEDDVLELVLDYNDQKNIPGLREWIESINKETDRVRDSVKGEDGNQDMYLPGLLPKVPGRFYYLFGKPFEMKGMNNLVRDRKSANEVYLRIKSEVEEIMSYLKRKREEDPYRSIAQRALYQATWGISAQVPTFEP >Dexi1A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:1A:8140865:8141216:1 gene:Dexi1A01G0009830 transcript:Dexi1A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAEHHCEGRRGAVVGARSAARRRLGLQISELESTAKPTSSLLLLPRHGLRYEELELETFTGDM >Dexi5B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:5B:10200658:10201741:-1 gene:Dexi5B01G0013910 transcript:Dexi5B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGVGVEFMLGLGKAGGFVDGKRRRRWEGTLASWIVDGGHVGIWEVGTAGTYSKPQDRDRVVANSGDVGPAASWTAAFWAWGGDVGQQHQRFQERPGRKGHRLLERLRNTRGGSADGRRRAPWVKEEEVSRMAIADADDNCGIADGIAGGGYRGTRTAWLERSRRVMRRDY >Dexi4B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:4B:10177500:10179131:1 gene:Dexi4B01G0012370 transcript:Dexi4B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEPERQSWFFLLLALIGGVYATAFSFRFLAYLALCVRRPMDPRRRYGAWAVVTGPTSGIGRSVAKELARRGLNLVLLDHDAANLKETSDMIRSRYAIKTRTVVFDLSLVGTPQGDEPMRRLRAAIEGLEVGVLVNNAGVARPCTVFFHEADVEAWVRMIKVNLWAPTEVTAAVLPGMVERGRGAVVNTGSASSEAIPSFPLNTIYAATKRYTAKFSKSLYVEYRSRGIDLQCQAPLFVGTRMIPSLVRRKWFLSPLFSTADDYARAAVRWIGHGALCTPTVSHQLQWCFAGVLPESVFDWLLLRLVLGLRAESRRVESN >Dexi8B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:8B:22984056:22986242:-1 gene:Dexi8B01G0013100 transcript:Dexi8B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSNPKSVLYLGGAVPFRIPPVAAVAGDEGFRQLLPRSRRRRPLHSPAFSSGWSLLPPKQEAEPASLGQSMDPAKAKRKKARRHRRGAKPEPDRLSALPDCLLHVIMSSLKARQVVQTCVLSRRWRDLWRTVPCLDVDLDEFKAKKTPAAPGGDHNAASNSNVRTAQSDEDISASDPESSDSDSSDSCSSFSSSDDDDDSKYRYGGNKDKEWKDFEDFTVNLMHRCNISQLDSFRLHSRRLRAPRYGDRQVGGWLRRAMKYCTPYPAGQRKGLSPSPWHLKRLHLCFVALNNCFADHVTSVLRTLEDLELDDCRCEIQSVTSHSLKSLVLKNCRWFSLSEITSRTLKTLVIDGGSNTDDCLLVILTPAVAYLHLAVSVSGFDAGISLNDMPSLVKASIHLRDHAGSIFASHKLCGDQSKLICSISNATSLELKGVGRKVLRKEPTLQEFMNLRNLLLSKCNLRHNFRTLRFFLQGSPNLEKLTLRHCKFPNYAEKKKGKTKLSKTTSSEFLGLNFMSENLKIEIIYKYGNGHQLVKLLCYGNLSKKCIKLIKVN >Dexi2A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:2A:29829318:29830914:-1 gene:Dexi2A01G0017810 transcript:Dexi2A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVKSELVQPSEPTPRGALWLSNLDLAARNGYSPTVYFFRRPDDDVDGQPPAGFFSADAMRAALADALVLFYPFAGRLGVGRDDGRTQIDCSGEGALFVVARSAAALDDLFEGFAPSKAMRDVFVPAYESAGPGAPLLLLQVTFFRCGGVALGTAMHHFVIDGRSAFNFIRTWAAIARGDPAAAAVTPSLDRTPLRARPVPTVLFDHTHEYGGGDGRRRTTTTTTGHITNKASSEYASAILHVTSSQVSALRARAGGAVSTFRAVAAHVWRCACAARALAPDAESRLYTMVDMRGRLSPPLPDAFFGNAVARTSASARVGDLLSNTLGLAARRLRGATGHGDEYARSLVDYLEVMADDMVPRGGLPGTDLRVISWLGMPSYDADFGWGEPALLAPALMYYTGFVYLMNRQGKEGGVAVAVALEPECMERFKELFFEELATLV >Dexi9A01G0040080.1:cds pep primary_assembly:Fonio_CM05836:9A:43937929:43938750:-1 gene:Dexi9A01G0040080 transcript:Dexi9A01G0040080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGHSLPAHHGYALSHAADSNNNIDDEDDASPPPASSAGGTGSGPRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVDAIAGFSRRRQRGVSVLSGTGAVTSVTLRQPAGGGGPAAVALRGRFEILSLSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQDAEEGAVLSGSSEGGATAATAQQQQSSGGNVVPPSMYAVPQATTTTTHPHDMFGQWGAHAAAVTRPPPTSF >Dexi6A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:6A:21728319:21729279:1 gene:Dexi6A01G0014390 transcript:Dexi6A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRSAGALGAARPLMRLVTMSGVPILRQLHLEERLLRRTADNWCVINDGTAPPTIVMGVSGRVSELVEIEPVLRDRVPVVRRYSGGGTVIVDQGTVFVTFICNKSAVAGLQPFPRDIMSWSGQLYDKVFDRFGEFHLRENDYAFSHHKFGGNAQSITKNRWVHHTSFLWDYDVKNMDYLKMPKRAPEYRLVRF >Dexi3A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:3A:6140988:6142166:-1 gene:Dexi3A01G0008850 transcript:Dexi3A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGIAKRWRELHGAQSWKGLLDPLDIDLRKSIISYGELAEATYDGFNTERRSPHAGACMYGHDELLSKSGVAAAGHYRVTKFIYATSGVPLPDAFLLLPLPALKDVWSRDSNFMGYVAVATDDGAAALGRRDVVVAWRGTVQTLEWVNNLSFTPVPAAGVLGHAASAHPFAVVHGGFLSLYTSSHAESKFTQTSARDQVLEEVRRLMEMYKDEERSITVTGHSLGGALSTLNAVDMVAAGVNASCPVTAVVFASPHVGDRFFRAAFGSFEGLRALHVKNLGDVVHAYPPLGYVDVAVALHINTSRSPYLAWPGTVLTMHNLECYLHGVAGEQGSAGGFRLEVERDVALVNKGADALKEEYPVPASWWVAQHKGMVKNDQGKWELRDFVHV >Dexi4A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:4A:21198969:21201299:-1 gene:Dexi4A01G0017460 transcript:Dexi4A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAEASRTGLPWSSASTRPSLGKDALVEDWFFHQHGIMVENGVQLTLTSTLKNTGKARINGDGLNNGSHVKEKLERNGTLQPSEGQNKQLCTTCVKGHSCQTVVNRTRQMRALIDIKKPYQAHSVFKHLVDEGHKPSLVSYTTLLTALTNQRMFESIPSLIAQVESARLRPDSIFFNALINAFVEAKRMGEAINTFWKMKHVGCHPTTSTFNTLIKGYGIVGKPEESQRVFDMMAIEGSVRPNLTTYNILVKAWCDQRNLEEAWSIVGKMRASGVEPDIITYNTIASAYANNDETWRAEELILEIQTRARTSERTWGIIIGGYCREGRLEEALRCVRQMKDAGVIPNVVIFNTLLKGFLDANDMAAVKNILGLMEQFGIKPDIVTYSHQLNTFSSLGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGHVRAQQPEKAEELLLQMNQLGVRPNVVTFTTVISGWCSVADMESAMRVYDKMRKSGVHPNLRTFETLIWGYSEQKQPWKAEEVLRMMQETGVKPKQSTYGLIADAWKAVGLIENANQANGSSNGCLAIDNSGDSSDLQISEDNNKLQSYEE >Dexi5B01G0035120.1:cds pep primary_assembly:Fonio_CM05836:5B:35188524:35188966:-1 gene:Dexi5B01G0035120 transcript:Dexi5B01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELRSPLLPPGPQRRRGLALAVAAAAPLLALLLAVVVFSRSLLTVSSPEPVDLTLVAGAREKGAVCLDGSPPGYHLRRGFGSGAHNWLIYLEFA >Dexi7B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:7B:729546:732735:-1 gene:Dexi7B01G0000130 transcript:Dexi7B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSYLIYQWCNQVCTIPLDTAKVRLQLQRKAPPPTLPSAAAATGVGWATGAGGTLATILSIAREEGVSALWKGIIPGLQRQFLYGGLRISLYEPVKAFFVGGSAVGDVSLLSKILAALTTGVIAIVVANPTDLVKVRLQADGKANTAKRNYSGALNAYATIIRQEGIRALWTGLGPNVARNAIINAAELASYDQFKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYRSTLDCFAKTLKNDGPGAFYKGFIANFCRIGSWNVIMFLTLEQVRRLFL >Dexi7A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:7A:22006228:22006579:1 gene:Dexi7A01G0011570 transcript:Dexi7A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANGDGSLFIAISLQAEHMEKFRKLIYETRV >Dexi6A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:6A:27881902:27884206:-1 gene:Dexi6A01G0020370 transcript:Dexi6A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEAKAAGYYQYPASYGGGGGGGYGAGDDERRWWPWLVPTVLVACIAVFAAEMFVNDCPRHGSALGGGAGCVATGFLRRFAFQPIRENPLLGPSSATLEKMGALNWAKVVHEHQGWRLISCIWLHAGLVHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLISGFGGSVLSALFLRTNYISVGASGALFGLLGSMLSELIMNWTIYSNKICYHLGDAVQG >Dexi5A01G0025170.1:cds pep primary_assembly:Fonio_CM05836:5A:29003468:29004458:-1 gene:Dexi5A01G0025170 transcript:Dexi5A01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYPMSCFKDEAELGTPGPIQERHEEGQAKGVSARCYSAFCFLLVVGRKLVINPNMYACLIGLIWALISFRWRIHLPSIISNSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKKMLLSLGIRFFLGPALMVISSYAIGMRGTLLKVAIMQIQQAALPQGIVPFVFAKEYNVHADILSTAIIVGMMIAVPVALGYYIVIDHPRL >Dexi9A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:9A:2404472:2407438:-1 gene:Dexi9A01G0004460 transcript:Dexi9A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAAAAATAVAVAVAFLAAAASASAAGAGAGAGTCARRDAPPFLDAIGSRCPFIRIEPSPPLEVGVLSSSHRLFGQSGRPPLAASFPSKSLLHVNAGITLSTMYPQIQHLAVEESSATPSCFPAVGLDPIADLDIVLQESTGSLRSIMPWDQSLREMTKDEPFLLLAVLFIILKVAAYFVPAVLSHLRAFLVVRVQNMNLGIRRGSNQLLDRALNVLDVRRLWSKLRLSNKATDLRKGASNARAWASSFTSVSLGESSSSRQA >Dexi2B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:2B:2856786:2858329:-1 gene:Dexi2B01G0003160 transcript:Dexi2B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAATFRSMYQPTASGVPAPLPSVRFKGLPRRRVGLGLCLFASPRGCLVLRPPSAAAAEGEAFSSDGEEFDGEEEDEEYFDEGETEPEEQVEAPRAYSSPRSRPPRGDDPGRLFVGNLPYTFTSEELNEAFSEAGRVDDAQIIYDKVTNRSRGFAFVTMATAEEAAKAIQMFDGALLGGRTARVNYPEVPRGGERRTVTMAGRRRDDGTYKIYAGNLGWGVRADALRAVFEGQSGLLDARVIFERETGRSRGFGFVSFGTAEDAQAAMEALDGVELEGRPLRLSLAEQNPPSGSSPSTVQAQQEETASDISDSEADSSSESSETELGESNLQTTATY >Dexi1A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:1A:1667750:1671116:1 gene:Dexi1A01G0002480 transcript:Dexi1A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCAEPPPKLKIPIPSEITEMTEDANHDSPKLEKRTRIHHIERHRSCVVTLSDMELNDSDPRRLLQTLEVSKSPGGGSQCSLHEETPTDTNSSHRHAITDAAWEALKRSIVYFRGQPIGTVAAIDKSQGAALNYDQFVHALSCILFTKLLTESLQGIYGYPIEIQALFFMAMRCALSMLKQDSDADFVSHITKRIKALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPGRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHLARRAMELMERRLAKDDFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSHLRIIALEDDGHSRFRAPCLKRSNSCP >Dexi9A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:9A:2836595:2837383:1 gene:Dexi9A01G0005160 transcript:Dexi9A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIDAELRLGPPGSDINVVVQPARTAAKRSSSAVKSEASGTGGGNDDDAAPASKVQVVGWPPVRAYRKNACHAAAEAASARRTTKKQGEQGGGLYVKVSMDGAPYLRKVDLKTYGGYRELRDALDALFGCFSSSLPDLAIAYEDKDGDLMLAGDVPWDMFICSCKKLRIMRGSEAR >Dexi8A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:8A:1829891:1833821:1 gene:Dexi8A01G0002790 transcript:Dexi8A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRMLTEAIRSDRRQEYHAPTRVVDRVWKARARTYAGGEKRRERARLTLVDGLEALLLLVYPVGLHELELPALVRVPGRRHRRESALLRCDRKPEEKRRREGVGAAARTCGGRGGGRLAAVEEKEAERRRDERDWVGVVVSCRVPRWWGVERAGLSKLWLDGATTQVPIPDPSTRRHPLPIRSSQFRFRFGDGGRLTMAIRRATSLSDGDELACCTAL >Dexi9A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:9A:5916499:5916968:-1 gene:Dexi9A01G0009750 transcript:Dexi9A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMACIFRDTHECGQWLSNFKIKDEDPNILMFGDKEVTILRIKEPDKIPWSKTRADYIVTACADESDADYYKNTGFI >Dexi3B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:3B:5935422:5937137:-1 gene:Dexi3B01G0008460 transcript:Dexi3B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGELAASTSVPAAEHDYDAEAEEEAEWLVSFCYDEAELLAERERWMRQEQEKARQEEHRRAQHELWRQAFAAAIDRIRDFDPKQGGVYYTRCCFGVDFTKLDLDDESPLGPMRFTDRVYEPGDYWELCEAFNFLSVKIVSSDVGFPIDVCGTVIARDSLDHKCVYLFRCDRDHSQLINSEDESLVLTGPKRGIVSIDATYVEIDLKIKADGEHKDKELSKGYVDIRGARRATKRYELESRSLDTRLSTVEVIYGVVGGAVEATIEAKVLRGEFYGEITASPVSIENNSLMLPDSKMTSVVHGKCTGAVQLSRPVVSVCLKDRLPVTIVSRIGDAKTEGTIHFAPRMNGRDEKEISLGDIKMQIKVSWSLHDP >Dexi1A01G0023030.1:cds pep primary_assembly:Fonio_CM05836:1A:29649383:29649631:-1 gene:Dexi1A01G0023030 transcript:Dexi1A01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPEAKPEAVPPSPSREEPPETEPAAPEAAGIQELQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPISASPLPL >Dexi3B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:3B:11301768:11303108:1 gene:Dexi3B01G0015590 transcript:Dexi3B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPLLVLVCFLAITAQLSAAAGPALVFPEEALPTKSGYLPIPPANASLFFAFYKATHPLTPPATTPLLLWLQGGPGCSGLLGNFFELGPYLVSPDAETLTPNPFAWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVVAAHILAALQSFFALDPSLRARPFFLTGESYAGKYIPAAGAHILDVNPTLPEALRVNLRGVAIGNGLTHPVAQVATHADSAYFTGLVDARQKRELEALQAEAVALTRAERWREAADARGRVLSRLQNMTGLATLYDAARQRPYQTDPVAAFLNRAEAKAALGARGDVAWEECSDAVGAAMHADVMKSVRPGVESLLRRRTRVLLYQGIRDLRDGVVSTEAWLAEVDWDGLRAFLDAERAVWRTRGGDGELAGYVQRSGALSHVVVYGAGHLVPADNGRAAQEMIEGWVLEAGLFGRGGDGLWSAA >Dexi3A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:3A:9712712:9713086:-1 gene:Dexi3A01G0013320 transcript:Dexi3A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLTAIRPLGPSQSPNLQAIDTTRPSQLVTAPPALPRTTPAPSLLHPAAAPSLLHAGPSLSPPAEEQGLRELVRRWQVRAGAALAPCSGGRCEQRLRKLRAAVCCGRRQRRDQGGVLLALVL >Dexi5A01G0031490.1:cds pep primary_assembly:Fonio_CM05836:5A:34142752:34145408:1 gene:Dexi5A01G0031490 transcript:Dexi5A01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRSAGRDAEGEWEVRPGGMLVQRRDGEAAGPAIRIRVSHGATFREVVVPAQANFGELKRILVQTTGVEPERQRLFFRGKEKSDNEFLHASGVKDGAKLLLLEKPAPATMEQKAEPVIMDESMIRACEAVARVRAEVDKLSAKVCDLEKSVLGGRKVEDKEFVVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRVQSLVETLDKLKARNANPFSDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQANTDWEQFD >Dexi2B01G0028200.1:cds pep primary_assembly:Fonio_CM05836:2B:36850205:36852687:1 gene:Dexi2B01G0028200 transcript:Dexi2B01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSPRRQPIPTLAPFSSAVHTLRSPHALGSRQRSSPLSLAAATRESEAGMAAAAGDDAGMDAVQRRLMFEDECILVDEQDKVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQRKLLDELGIPAEDAPVDQFTPVGRMLYKAPSDGKWGEHELDSILIIVRDVKLQPNPDEVADVKYVTREQLKELIQKADAGEDGVKLSPWFRLIVDNFLMRWWDHVEKGTLSEVVDMETIHKLKE >Dexi1B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:1B:371431:372048:-1 gene:Dexi1B01G0000360 transcript:Dexi1B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRTTLVLVSSLCLVLLVAQAAEMEVELVPAAMMTDDEEMMDPATSCASPVSVEDACRGASDTHFGVGYEHCVASLASDPRSKEAGDMHGLAVLATKQAIDHAASTESKIDGLAELEESPHARARFNHCLELYGGAADLLRDALDNLKARIYGKAMEQLAAALGASESCEDAWKGEDRIPVAAHDREYGKMAHIALGFTHAAA >Dexi9A01G0030660.1:cds pep primary_assembly:Fonio_CM05836:9A:35648866:35649678:-1 gene:Dexi9A01G0030660 transcript:Dexi9A01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEDLKLLSLLVSPFAVRVRMALNMKGVSYEYIEEDMFNKSELLLKMNPVHKMVPVLIHSGKPICESLVIVQYVDELFTGPSILPTDPYERATARFWAAYVDDKLCSAWIGILKCKTEDERAEKVTETFAAIGQIEEALAKFFEGKAFFGGDSIGYLDIVLGSCLFWFEAMRRLFGVEIINSSKAPLLAAWAERFGESAEAKEVVPEADEAVQYANKLTAAAAK >Dexi4A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:4A:12749946:12753220:-1 gene:Dexi4A01G0013360 transcript:Dexi4A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAARHLVDRAISSRAAHTSAGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRSWLESQGHHYIVTDDKEGPNCELEKHIEDMHVLITTPFHPAYVTAERIKRAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELLRILILLRNFLPGYQQVVQGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQIDPQLEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTKGMFNKERIAKMKKGVIVVNNARGAIMDTQAVAEACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >DexiUA01G0020530.1:cds pep primary_assembly:Fonio_CM05836:UA:42825083:42829430:-1 gene:DexiUA01G0020530 transcript:DexiUA01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATVVNLMQIFILHIVSDEYFLIFCHTEYHLGQLKAKLAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVWTCALSLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSS >Dexi6B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:6B:22672603:22674967:1 gene:Dexi6B01G0015320 transcript:Dexi6B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLPVVDLRACSQSDLDALAAASAYALPPPSCPDADPLPPLKIDRAVFNESAGSRKQTFSRLRLGTAASSSSSPSARPTSAQPSSTARYDPDSDIVADYLRCLFVLDYPSLPPPPESQTLDLTEPRSSPSPPPDPDRETTNSKGISVDLVRLAGMVDPYDAELQRRTAGMASATELQGFIDSVAGQWVSPRQRRKYVDASFFGDHLPRGWKLQLGIKRKDRTAWVHCFSYVSPKGNQFSTCKEVSAYLMSLLGYPEVKSVTDNGADNVLRDAGLENLASR >Dexi6B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:6B:10604285:10605342:1 gene:Dexi6B01G0008460 transcript:Dexi6B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTCDPSFLTTNSIPAASTITSAPPSIRSVSSGTFTSSWPPAAAPNCCVNSARTARYTDGASRVITVPESMSTLLAPNPAADTGRRFAAEGERARNLAGGGRRKAVGEGAAVPGSRLRDERQLGAAQAHEPSRAAEQAAVAEPAPEHEAVELVRAERERVRGEHAAAERPVAVGQRVVALVGGGGGGAGSWHAGGGLEGGRARRGVAPDGGVGGGAGGVEERVALGVARRAGLALHPREVAARVHDDGEVGGGGGAAAETDGGDVVERLEADAGGQRDLGGAGLCERCCCPVVVAELK >Dexi3A01G0035880.1:cds pep primary_assembly:Fonio_CM05836:3A:41250117:41254650:1 gene:Dexi3A01G0035880 transcript:Dexi3A01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVESALNGDSLIGRQAGVPRMKRKTPSELRGEQLKRRTSEKVANDQLPSAAAFDSGNGDSAKLDSSVPSTSDAAKPGFKKVEKCSENALRSVSELHVGDEKQTGSNKFDMEKVLKGFGARDASVVTGLSASAVHVGDAALKSSDICPSKITIPGKRVPLDFTVKTSLQFVSSSSVKWWVCHKLNTSFGRSSITGAIGQTCPRGCQNLECPKPESKKEFLFSKALQSWVYPQSLLPSSIISALLSSTARGENDYLLKRHQDWEDSFQNLYYMLRKNMVNIFYGTFLHHRTHYFMFHCAYSLSNLINHTEHHFLVTVYTAQFVALFIGGSHLEKKQSCNAYLSQSTRGLRSLLRKHGVCFSMPLCNTEVEQATEDDLIELSEIQRRNLGQALHLDALSDVDNTTQSLLAFTGNESVHGLYDILLNYKSLLNSLSAADVPALYSPQPFQNGCLHIPEVKCREMRRADMGLLSSGGFDTEPGSAFASTPGNICYSMEIKDVVLPPWVVSGVCAAMSADTRSFDLTIATEPSSMGLNAALKSMTKAPQPETAPPTDGGALVGSIPGAVLVPSLHSASLRRLSYTDGDYVAYTTV >Dexi7B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:7B:2056778:2057884:1 gene:Dexi7B01G0001130 transcript:Dexi7B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDQVKETVSRSTTETATGVHTFEIIGLSLKKVIGAGRSFESGTFTIGGYKWMLRFSPDGLTKNSKTSWLHIILLTMNAVVPVWHEVRLVKRLSGKLGRGWISPSEQHQTLNTTGQSTGPQSISIKMDMSHEDLVTSGYIRDDRLTIRCELTVVKETTLSPTTLAAAELIDVPPPDIASHLGKLLEDKEGANVTFSVRGETILAHRVVLFMRSPVLKGRLLGPMRDKGTDMVMTIDDMQPEVFKALLHFIYTDSLPDLTDLEGDDYREMIMHLLVAADMYAMERLKMMCQRILAKNLDLETVATTLGLADQHNCQALKDICIELLNSSDPDEMDVVMKTQVYQNLKRSCPSVIIEVYERSRKRPKT >Dexi3A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:3A:8656988:8658404:-1 gene:Dexi3A01G0011940 transcript:Dexi3A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARLPTSSSLAIGSITARSRKSAMAGIVCVPSVSRSSHRTSVGLPMCRSSTAGAAGGGGRRMEDYNTAMKQMMRNPYEYHHDLAGQGHRILGH >Dexi5A01G0036300.1:cds pep primary_assembly:Fonio_CM05836:5A:37899740:37904016:-1 gene:Dexi5A01G0036300 transcript:Dexi5A01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAMLLLGSAPGSPSLSLALAPRRAPPLRVARRRTLALRPTRIRAAAAIGGEFGGLGRRRVVVGEFVERLRNVLPGGSWWRLEDGEEAGDGGGRAEGSGTTALSALSRMWSLVAADRWVIYAGFASLIGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDISFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGAVFYRYAKWLDKLYDVSFRQTVAYGGWSLSLNYLYHCTQVLGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGARLKLDKLEGRIQYADVSFSYPSRPTVPILGRLNLTLNPNEVVAIVGLSGSGKSTIINLLLRLYEPTNGQILVDGVPLTELDTRWFRERIGFVGQEPRLFRMDISSNIKYGCPREVSHDEVVWAAKQAYAHDFIMALPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILLLDEATSALDAESEHYVKSVITKVSRDSSTKRTVVIIAHRLSTIQTADRIIVMENGNIVEDGKHSELIEKNGLYSRLARRQNDDLE >Dexi6B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:6B:8569444:8571308:1 gene:Dexi6B01G0007380 transcript:Dexi6B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAKAAVVPESVLRKSKREEQWAAEKKEKALADRKKALESRKVIFSRAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Dexi5A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:5A:4828083:4828609:1 gene:Dexi5A01G0006560 transcript:Dexi5A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELDDKPFQNACRETYGNDDYHVRAAELVSYWQEELKKPSWHPFKIVEVNGETKEFLDDDDPKLKLLSTEYGDDVCSAMKTALMEINEYNPSGRFVVPELWNFKEGRKATMVEVGEEEHHTKRRRDWSSIPS >Dexi5B01G0036950.1:cds pep primary_assembly:Fonio_CM05836:5B:36479693:36481240:1 gene:Dexi5B01G0036950 transcript:Dexi5B01G0036950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKLQQQGGSSHVVSFLLGAALPTALLFFLASDRLGDGLSTISASWRGMNNGTTAATVLQADVARPAPSTLAADDRAAPTQDHQVEFAGLAELLQRVATEDRTVILTSVNEIWTRPNSLLDIFLDGFRSGEDTAHLVDHVLIVTVDAGAFRGCKTVHPHCYLLEVKSMDMNRAKTFGSREYVEMTWLKLSIQLRVLELGYNFLYTDADILWLRNPFQRISVYADMSCSLDNSKAKPAVLLDNEFNGGFYYMKSTSRSVAVVKYWRAARARFDNTIDQVVLNTIKHELVSEIGARIQALETEYISGFCDFQERLDKVCTVHANCCMGLENKVFDLKNVAADWKNYTSLTPEERKKGDIKVTPPSKCWKSMGWT >DexiUA01G0015100.1:cds pep primary_assembly:Fonio_CM05836:UA:31650076:31652352:1 gene:DexiUA01G0015100 transcript:DexiUA01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWKPWDGLLLCTLPSYSDEILIHLPFICSYDYSGYGQSSGKGTADEVVDCSHGRALWELAKVKYEPLWIKGGNHCNLELYPEYIKHLKKFVSAIERSPPPPPIDESTESSGPSDSAATEPECTTEESRKSTDCRDKTRPSVDHRHSTDRRDKPRGSTDRRDKSRKSVDHPDKPRASVDQSDRPRKSIDRFGGMMKSVRLCNIDCFKVTATSGS >Dexi2B01G0035820.1:cds pep primary_assembly:Fonio_CM05836:2B:42770429:42770577:1 gene:Dexi2B01G0035820 transcript:Dexi2B01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAACSDRSGYISFGARNGTLPAPARDHNHVRTHGTLFLR >Dexi9B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:9B:13190163:13193010:1 gene:Dexi9B01G0018440 transcript:Dexi9B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAAKVARALAVSAAVLVLLWCVHFRGGLSLGSPTNKGLIFNVHPVLMLIGFIVLGSEAIMSYKILPWSHDTNKMVHMLLHSVALFLGSVGIYAAFKFHNESGVDNLYSLHSWVGLGTISLYVATWHYNLLLPWCFANSPAQNASMARSLWACRLRAGTARSRVGFLEKLTFLQASGLGRYSSEALLVNFTALLVIVLGASVVLYVTAPMHNEHTHGYSAVHKP >Dexi4A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:4A:24357641:24357961:-1 gene:Dexi4A01G0020620 transcript:Dexi4A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPAPAGFVQQQRARLVMINSGTLLISAAWSVIVIIIIHNSQDTDDDLFLTAACAVVAFFAFLVGVSLVLLALVADRFPRASRIGVAVATKLSRYLVGPGW >Dexi9B01G0033530.1:cds pep primary_assembly:Fonio_CM05836:9B:35684232:35685812:-1 gene:Dexi9B01G0033530 transcript:Dexi9B01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPWRPVLATATKCCAAEDAAVAPDGLARCRPQQSELSRRLASFRRLSSLANSPASSITTPTSGSKDAAAGEMAGPLQLHAFGLGELRGVTHDFSASFLLGEGGFGAVYKGFVDAGMRPGLDPQPVAVKQLNAGGFQGHREWLAEVIFLGQFRHPHLVRLLGYCCEDEERLLVYEFMPRGSLENHLFRRISATLPWGTRIKVAIGAAKGLAFLHAASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLNVKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQQVKLVDWTRPYLTGGSRRLRCIMDQRLAGHYSVKGARAVAQLAVQCTAPQPRDRPRMAAVVEVLEKLQGLKDMAVSVGLWPANAPVAGRNAISAKIRAEVKGAAGAGSRRRSASSKLP >DexiUA01G0023040.1:cds pep primary_assembly:Fonio_CM05836:UA:46981056:46982388:1 gene:DexiUA01G0023040 transcript:DexiUA01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGLTSQSTPSSQRLLMSSPPRPGHATTLTLPATGASACLLRPRARRLLLRGMPRAAAATRHVAVAVAAGASRGLACSHHSSVAAAAATSTSTSTSQQRVGGGGELVALTLAASALLSYVGCSICVLDILFSNSLYAGMHVREAEFLEKYFDSAREKLPETMASLRLIGREAGGLAADLSHLR >Dexi9B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:9B:9888768:9892689:-1 gene:Dexi9B01G0014800 transcript:Dexi9B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALDSFLFFLEEGRWLPSLVHEGALRRGATRRYLVPATSDDAETGPLPPPGDSSDLQYQAKSPLIRKAVDKLEGMVKKAKTAMTSTADTTTQALVAEFLHGFQDVLQDLTEIDTGPHIDATASHHAPELLLEAEQNIDANQEDQQEEDEINTVEHASLTLESMDEENNLSNNVLSDHPSLGLDENCDSGAPATENYDTTTAVADLIQPSEDLQQDEHLEDHPEMEQTIFMMEPKCEEDDGSNFVLPSSPPEMMLEEQDNSANPDEDLAAQGTDSCTVQQNLEDTAEHDGMMVEHRENKNCSGVSSS >Dexi3A01G0024860.1:cds pep primary_assembly:Fonio_CM05836:3A:20455871:20456128:-1 gene:Dexi3A01G0024860 transcript:Dexi3A01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASETYWCGHCGAARRLRVEGEFASCSSCGKVLLELRGGGVAAPPAPRRRRRTQDDGAAGRGNVDAGVGAGEISDAESRVAAGA >Dexi8A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:8A:25016944:25020345:1 gene:Dexi8A01G0014550 transcript:Dexi8A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPSATTPLRTSNNTDLAALLAFKSHLKDPFGFLANWTDHTSFCDWTGVSCSRQRWPQRVTMIELPGLTLQGELTPYLGNLSFLRVLDLMSTGLTGSLSKLTNVGLGDNSLVGTIPSELGNLTKLNGTIPNYLVNFTYLSNLNLSFNNLEGQVLHVMQRFDAECKALRMARHHNLIRILGTCSNLDFKALLIQYMPNGSLEEHLHSGSRPYMGFLTRLSIMLDISMAMEYLHHDHHEVVLHCDLKPSNVLLDDEMTAHVADFGIAKLLSGDDNSLISVSIPGTIGYMAPEYASMGKVSRKSDVFSFGIMLLEVFTGKRPTDPMFIEGLSLRQWVSLAFPARLIDVIDATLLQDEEICHICFDHQNGTSLGSSSPTSTSNNVLASVFELGLMCSSESDGHRMAMDGVVTKLEDIEKDYHSTLVQAMQRPPHY >Dexi9B01G0048310.1:cds pep primary_assembly:Fonio_CM05836:9B:47294031:47300476:-1 gene:Dexi9B01G0048310 transcript:Dexi9B01G0048310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHAAHAVEEELPNVSGTAAPVTGSIPSRHTPSQLTSSRDALRATMAAACSCCLQAALLWTLLSPWTVALAAAAAVPAKIKAAPAPVVAGPVSRVEDARMFQIYYGQSFKVLKNSGDGKSYLLMQNTSKMASKTKYCTGRIKSFVIPLANFSSHEEERDIST >Dexi2A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:2A:29467481:29468749:1 gene:Dexi2A01G0017610 transcript:Dexi2A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHTSADFIVRDERPFNPKEAEDTLELLDLCVEALQDSRHATREKALAELAGALEQQLPALDELEGRCFSIFTLCGVCIKEGSLKEVRLAYRAVGLLALTLRSGSSELLFHSFQPLARAFREQDDAPPVTTVAAIDCLAAVTFAGARGRDDVERSLKALWDHLITPSAAVSSSRSASKISGGGARRKTITPQVLVAAVSAWAFLVTTMVSETDAHIRKADGAAWNAVVATLSGLLDHDDRGVRMAAGEALAVCVELNLTRHALRKDMDAVAAKVSELASEFPGRGSNNTTLPEQRDLFGHIAAFLDQGERPEKSLPTSVDGCVALRVSSWAKLVQLNFLGRFLGDGFEKHVQGNELLKEAFSYGAREGKVLSISKKKQGKKTPKKDSNALR >DexiUA01G0021510.1:cds pep primary_assembly:Fonio_CM05836:UA:44698224:44699467:1 gene:DexiUA01G0021510 transcript:DexiUA01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVELVVITMTTLLLLACRGSHGHAASAADIEEELAPAWAVPHLRRLLARHKVDAVVDVSTRGGHHYGSIAEALAAAPPPPGRYTVHVRAGIYREPINITRSDVTLIGDGMGRTVISGNQSMHTGHGMLQSAILTVSGNGFMARDLTLQNTAGASAGPAVALMTMSDQSVYYRCELDGYQDTLNADCNRQFFHSCRIMGTVDFIFGYAKAVFQECQVLVRRSVDGKDNVITAQGRDGPDNQSGFVFQRCAVKALPGDHLQKNTRTFLGRPWKKHSRVVFMRCALDSIVNPEGWLQWNATTPVPDTVYYAEYRNTGPGANTQGRVKWDQLHLLKEPAEAANFSVHNFIQGDDWLPRFGITYDQE >Dexi1B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:1B:794169:795317:-1 gene:Dexi1B01G0000940 transcript:Dexi1B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSGPAAAVDQPCCRVLPVIDEEPEPEGEGQGSPEMTTRLAERRKAIVARMRELLGRAAAAQSAHSKLRRSTLATAKKWKRAVGRIQNKGRNHQQVTGLHEDGSMLSRSSSSISSQSSFTWDAAAMAATESCCSASACACSSPTSLSPLLWPAAFVSTWRAETAADQRQQLASSPAASVQRLSCGSSWSEDDDDARMAHWVTTDSDYL >Dexi9A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:9A:5068787:5072990:-1 gene:Dexi9A01G0008560 transcript:Dexi9A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSNNRGTCSRSSSARSKHSARVVAQTPVDAQLHAEFEGSQRQFDYSSSVGAANRLSASTSTVSAYLQNMQRGRYIQPFGCLLAVHPDTFALLAYSENAPEMLDLTPHAVPTIDQRDALAIGADVRTLFRSQSAVALHKAAIFGEVSLLNPILVHARTSGKPFYAIMHRVDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEIIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSAVSVKIIQDDSLPQPLSLCGSTLRAPHGCHAQYMANMGSVASLVMSVTINEDGEEDGDTGSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGVQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWALGSTPSEAEIKSIVAWLQENHDSSTGLSTDSLVEAGYPGAAALREVVCGMAAIKITSKDFIFWFRAHTAKEIKWGGAKHEPVDADDENGRRMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNVRSIVKAPSDDTKKIQGLLELRTVTDEMVRLIETATAPILAVDIAGNINGWNNKAAELTGLPVMEAIGKPLVDLVLSDSVEVVRQILDSALQGIEEQNLEIKLKTFNQQECNGPVILMVNSCCSRDLSEKVVGVCFVAQDLTGQNMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGMKREDAIDKLLIGEVFTLHDYGCRVKDHATLTKLSILMNTVISGQDPGKLPFGFFDTDGSYMEMNTVEFKLEEALNTVLMQGMFLGKEKRISIERDWPVEVSCMYLYGDNLRLQQVLADYLACTLQFTQPAEGPIVLQVIPKKENIGSGMQIAHLEFRIIHPAPGVPEALIQEMFRHNSEVSREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLIEFPVAQLSSKRSKPSTSKF >Dexi6A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:6A:20961951:20962684:1 gene:Dexi6A01G0013690 transcript:Dexi6A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYGAATAGEVMRSNPGHYVALVVLRVSGVGANKPESGGGGGGAKITKVKLLKAKDTLMLGQVYRLITSQEVAKAIQARRQDKTRRCEEALDDDRRPGHDSAAAGGDAQGQSGADQNLKRAEKERSRGRSGGGVRGRHWRPSLQSITESAS >Dexi6A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:6A:2413055:2417825:-1 gene:Dexi6A01G0002560 transcript:Dexi6A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFEPALEIYNMAAADAATKEMEALHVGQNDEKKENLTKDDKAANSNSAVRTAQSSSPEDDDDEAHADGPSQDGAPEAVKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKSMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDMCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >Dexi2B01G0032520.1:cds pep primary_assembly:Fonio_CM05836:2B:40383274:40385463:1 gene:Dexi2B01G0032520 transcript:Dexi2B01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHAAFAAEDAVAAPAPAQQPGRRFTSYPPLRARGGGGCRTAAAQAIDTGVVAAAVARATTGAWLDAAARRGDHDEWMEKHPSALAEFEAVVAAAMGKQVVMFLDYDGTLSPIVKDPDTAVMTEEMRDAVRGVAEHFPTAIVSGRCRDKVFNFVKLAELYYAGSHGMDIKGPTAQSKHAKAKAEAVLCQPASEFLPVIDEVCRALTAKTAAIPGATVENNKFCLSVHFRCVPEEVRIITKWRALEDQVRSVLKEYPDLRLTKGRKVLEIRPSIKWDKGNALQFLLEALDSNNVLRNMGQGIGILVSKIPKETSASYSLQEPSEVVD >Dexi8B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:8B:7448369:7450816:1 gene:Dexi8B01G0006370 transcript:Dexi8B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASQLVTLPLAASAMARLILLLLVASSYPIVVHSHADAGVLGRKVSVADEPAAANRPGGPGRYAVILDAGSTGSRVHVFRFDRRMELAPIGDDIEFFAKVMPGLSSFAGKPKEAAKSILPLLEKAKSVVPSRLTKKTPLKLGATAGLRLIGHQKAEQILDAVRDLVHKKSKFQCKHNWINVLEGSQEGSYMWVALNYLLDKLGGDYSQTVGVIDMGGGSVQMAYAVSGNAAARAPVDNGDDPYITKEYLKGKDYHVYAHSYLRYGAFAARAEILKSKNGPFSSCMLRGFSGKYTYNGKQYDATARADGAVYEKCREEIINALKLNAPCHTKNCTFDGVWNGGGGAGQNNIYATSTFYYLASHVGFIDSKAPSGQAAPTGFMVAAKKACQLDVKKAKVAYPNIGDMNVPYLCMDLIYTYTILVDGFGLQPMKKITFVSKVKHGEYYIEAAWPLGTAIEALTPKKKPGN >Dexi1A01G0027080.1:cds pep primary_assembly:Fonio_CM05836:1A:32962920:32963454:-1 gene:Dexi1A01G0027080 transcript:Dexi1A01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYCGKRMAYIYKAKTNSSGSRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Dexi5A01G0030490.1:cds pep primary_assembly:Fonio_CM05836:5A:33401724:33402386:-1 gene:Dexi5A01G0030490 transcript:Dexi5A01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRSLIEFLRAFEHHRKAAADSSSPTSACSRSRRASTAPRAAAGSFSDSTAMAVVDAVMLLAVVAALGFLVAPYLKLLLVEVGALLHPAASCLSAAAFFGAAVAVAAAVVAWELLGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGRPGTLARSALLAAAGARPVELGDEQRELEAELRKMAPPNGRTVLIFRAPCGCPKGRMEVWGAKKVRRIKK >Dexi1A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:1A:9800306:9800710:-1 gene:Dexi1A01G0011030 transcript:Dexi1A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVKVTYIETSFVTSDVAGFKHLVQRLTGRSPTVPAPATVAPHRPRPRSCHANAGDSGRSATAAAAGPQGWYHVSPPAAAHEARVGDGRIAPPCPEVDETFRVADFPDVLLYGGASQSAQCGHGGYYSDFFTC >Dexi5A01G0032100.1:cds pep primary_assembly:Fonio_CM05836:5A:34697660:34698278:-1 gene:Dexi5A01G0032100 transcript:Dexi5A01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVRPSIPLRESKKNPPAWPAKTASKTPPLKDMVASITKYARPIFTTCIPVLSRLHEMLEESRLRRREERPWDAAESTSTSAASRATTRRHRTYMPTPSRSPGQLEKSTCARWPQKKAMCAIAGMSIGGDPMPPICIGGDGVAIELSWRLQP >Dexi2B01G0032750.1:cds pep primary_assembly:Fonio_CM05836:2B:40571372:40572068:1 gene:Dexi2B01G0032750 transcript:Dexi2B01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNNATPAVAKLSIASLRGHCRGPPRPPLQQCLPDSPPLPNAPTALQIGMASLVVPNKRHAPSSAFQDAARVIYALIPGVHKKPSSSSPRELVARRVRRDLASLSDCSTPWRQGAAPSLSAPEQAPPGPRAHDLLQRPRLETQAEVEGELGSQGGEVDGRGRLMDCWEGERGGAAEAMAMASPAGETESVGCEHTVDETGHNVL >Dexi3A01G0023570.1:cds pep primary_assembly:Fonio_CM05836:3A:19273228:19275400:-1 gene:Dexi3A01G0023570 transcript:Dexi3A01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNNAKAGGPCLTPRRPAPPSLASPSAAGRRVWRRGPARAPLVAVRASGGARKDGPVGGEGNGEEVESKASSSGHDDVSDSAGDTSAGLNKPHDESKSTNPVNVSSSNYWRDVRANLVRREQELFVDPSAPTDSKTSSGDPVQLPQKWAHTITMPEAGCVLVATEALDDDSIFERTVIFLLRLGSRGTIDGPFGVILNRPLYTKIKHVNPSFQDQPTPFGDSPLFFGGPVDMSMFLVRTDDSSRLKGFEEVIPGICFGFRTDLEKAAVLMKSGAIRTQDLRFYVGHAAWDYEQLLGEIKAGYWSVASCSTELISNALAGDPSCLWTEILQLMGEGSTRS >Dexi9B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:9B:6636361:6640052:-1 gene:Dexi9B01G0010540 transcript:Dexi9B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDLWHGFAEHGFASAEALDYSLDNAKDRRLLKQRLLLKLSPPLKMSPPFKLSPLVKVMRIKVMQLSPLVKVMRIKVMQRSLLLKGYKDTLTEVQVLRVNYSAESKKREALESHITDHITRGKVSGRSSEPEGRAGKWQVRSSRLLSMKEVTNDLLTPKLPVRIMRVKQAQQQRDSISIQHVETLKQSDEAPEGERVPEAEAGEL >Dexi9B01G0048490.1:cds pep primary_assembly:Fonio_CM05836:9B:47433917:47446397:1 gene:Dexi9B01G0048490 transcript:Dexi9B01G0048490.1 gene_biotype:protein_coding transcript_biotype:protein_coding GELQTVSWFQFLPIEPDPSTTKERSSRAEQKDALNNIVLSAYLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGRHSSVSEIAQTAVSKLRVSSNGLWVAPGNSEEVAAALSQALRNSLERRAQPTVEFIFAATEEAIFVHVIISARYMRNLCSDDIEKVLTHSPRSVGEGLPVVVAPSGMLGRLVGCCPSDLVRQVYQSKSSAPNLPGFTQPTVCQLRGQSYYVEVALGFPAASADKVSESEHIQIKKEMDSVKDSQSGADGLRKAESPDSLPVLERTYIYPPEAVLVPMVHQAFVRFSSKSSLLVSSRGLGVNSNFLRLRRKKNKFNSTASSISSVSSTSDGSERAVATEGDLLADADSMACRQSDMPPDQDNAGSKMVSKQPRSEIEEVSFHAGKDVSENVQGANGQVGRPWGWDDEGVEMDINILLSEFGDFSEFFQPEELDFGEPPGTAESHALVIPASDCGDVTFTDSPSTAMDIPEQRLSPVGFTSLDAFEPQIMAPAQDAISKVQEPQKDIATPAQSQSLVLSSGRFDYLSKAEAMLTFAPEYAAVEISVAEVPASLFTNPYLPRSKRPGSSSFSSRVYSYDVTQSSQIESVGDKAKKSSKLTSGNSLRDVDSSNLYTLVQGGKKESDKSLNSTDIQPSKGETSPPVSGVNSFCSSLVSQKKNDSMFNAGYFLLSMKTALATEIECITFQAAMCRIRHTLLSLRSKASAEFDNTTSSFMQTDVSNKSDIAPIRKKEIMPIRLSGDVEHETHDRSLMESVGVWRPVVTPKGANSLESLSAKTLTGASPSLSMQRQPVVDLLFAMALLVQQSTSFVDISLDMNDGDGSFFWLSMDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLCAEVSESSMFSLLQSDIRTALKAAFANLDGPLSVIDWCRGRSNPAESGAIGDAYSFQYSSGDIRELPSSMSIGGDSMSPPQPTSSNRGTSELEHQKGYHRVRPTIAVLPSPSMLVGYQDDWLKASVNSLKTWEKAPFEPYASPKPVTYYALCPDIDLLTSAATDFFSQLGTVYEVCKLGTHSPQNSGGQMELSPGKYLPSGLVLVECPDQVKKVGSGHLSPISSINDCLQVFSKHWSVKSFVTSVSRILRDIKLTSSISTNQKESSSGPCTIVSIETILRIDKPSNELAVLKDIAFTVYNKAREGNQGSGGPSNYLEGFTPVKSIGSMAASHAYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGNAIPLAMGYVVSKAVPPVRRDSAQLTREDRPSVLSVSIIDHYGGSIGTAQEKISRVTGGSSMNKQARSFTQETSTRDHETEMHNVLETVAAELHSLSWLTVSPVYTERRTALPFHCDMVIRLRRLLHYADRHLTQPTEKVETA >Dexi4B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:4B:21042456:21044022:-1 gene:Dexi4B01G0018690 transcript:Dexi4B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKSSGDGVRVCVTGGAGFIGSWLVKKLLQSGYTVHATLRNIGDEEKAALLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPMRHDATSTKVLRSDLPTHPQKSIEMLIRTKTSQTDRVLNLAPGWHAQYKSTAEAARDAARVILRECAASGTVKRVIHTGSMSTCSPLKQDSTGFKDAVDESCWTSLDVDYPLRSAKYDEYMLSKLVSEQELLGYNAGESPAFEVVTVPCSVVAGDTLQGGSTVSMDGVMSPVTRDEHHFGVLRMLQQLLGSVPLTHVDDVCDALVFCMEQPSMAGRFLCAAAYPTVADIVDHFAAKYPHLDILRVKEALPSVQAHSNKLGELGFRYKYRMEEILDGTIDCAVRFGFLDASKLIVQG >DexiUA01G0001290.1:cds pep primary_assembly:Fonio_CM05836:UA:3711186:3713162:-1 gene:DexiUA01G0001290 transcript:DexiUA01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVCSQLHESEVSAFRQAETQAMHRAMAKYRAMCAKVKVKAVWQVLTVGGDGDVAQGILSLVAQHGVRRLVVGAAADKRYSSKMRAPSSRTAASVQQQAHPLCSVWFVCKGKLVCTRTAAEIVQLQVQGPAPAPGGDDIFAEAEKRDDLQEVVQALEAQLLSSTRVIQDLQEKLSEAHCLLFSLERDQDELRRQRDAALREASALRDRVRHLEENTMPVPAFVELSHGDLLEATHNLDDSLRLQGRSGGGYGDVYRAQLHLHNANGEGRREVAVKVLSSSNPRPPEEFRRQAEELSKLRHPYVVPLLGACSAPDASALVYEYLPGSSLEARLPDLPWPERTRIAAEVRAALVFLHRNGVVHGDLKPANVLLLPDLSSSKLADSGLCRLLEPDAGVLLRCTLSASTVAYMDPEFLASGELRPTSDAYAFGVLLLQLLTGRPAMGLARQVQAAMAEGRLPEVLDAAPGEWPHTLDRAEQLARLALRCCELTSDNRPDLAGDMVDQALEFFQ >Dexi6B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:6B:4627239:4632557:-1 gene:Dexi6B01G0005370 transcript:Dexi6B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGQLEHEMELYPGYLEDHFNIHKLSRLQPLATSGGGAGRYVTPTTAAGGGGGGAMGIYERQRHMQLAGAGLWGDPFVRSDAGDVLVAPPLAAAVAPTVTVVTPAAVDVAETGVKLFTNRMAAQDEVVPVMEVTTPSSDSFGHDDARPRDKVQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAQLEQELTMARRHQLPPTATGGIILSPPVDPRITAFEMEYARWVEDQKKQAGELRAALQSESTPEFHLRFLVDAGLSHYDALFEAKARAARADAFFVLSGVWRSPAERCFFLWIGGFRPSEVLKVLSPQLDDTLTDGQASGVRALQNTARQLEDALSQGMNKLQQTLVDALLTIDDDPAGIGAGGGGGGGIAAAEQMASAVGKLDDLVSFVEQADLIRQHTLRNMNKILKLPQAARGLLALAEYSQRLRALSSLWAARPREPA >Dexi5A01G0029420.1:cds pep primary_assembly:Fonio_CM05836:5A:32496875:32499410:1 gene:Dexi5A01G0029420 transcript:Dexi5A01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARGEADRGAAGAAQEFVALDIRGEAESPPGAEAGLMMDMDTSFAGKALERERSGDGNSASIGVTGVYEKQGVPVHVDGSPREQYHPSTPKRRRVGRRVPGWRDPRKILFAFAALSSVGTLILLYFTLSMGKMTGGQADGQ >Dexi1B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:1B:20562795:20563113:1 gene:Dexi1B01G0014300 transcript:Dexi1B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKHEGENRGKLKDSFGNTQGQTTQLVFRAAGMLCKHENCSKQAQENSIYCRPAWYGAVQEVHMEAHLYALAMEEGSGALSLDVQTQHVAKAVVTAV >Dexi1B01G0014300.2:cds pep primary_assembly:Fonio_CM05836:1B:20563117:20563359:1 gene:Dexi1B01G0014300 transcript:Dexi1B01G0014300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGDANLKGVQRVHKGTQITASGMVGEGVHKDGQISALSMVGAVDASSKDVVRVRNGGQITALSTGRIY >DexiUA01G0012940.1:cds pep primary_assembly:Fonio_CM05836:UA:26829000:26829714:-1 gene:DexiUA01G0012940 transcript:DexiUA01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEPWEKPLLFCGGGFHSPAQTTYPRTPSLYWQNASKEERIAKAPTGRPAPFLCPPAA >Dexi4B01G0023040.1:cds pep primary_assembly:Fonio_CM05836:4B:24544299:24546299:1 gene:Dexi4B01G0023040 transcript:Dexi4B01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARHSNHRDLLVAVAVVSAFLAVGDVSGVVFDLHHRSSPVVRRWAEARGHPALAAEWPPQGSPEYYSKLSGHDRALHARRGLAAADGLLTFADGNETIQSLGSLYYAEVALGTPNTTFMVALDTGSDLFWVPCECKQCAQLSGNATAQLQTYTPSLSSTSNTVTCNNSLCGEPSGCTVATNGSCPYTVQYVSANTSSSGVLVEDVLHLTKESPASSAAATEAVNASVVFGCGQVQTGDFLDGAGFDGLMGLGRGKTSVPSELAAAGVVASDSFSMCFSSDGVGRISFGDTGSSGGQSETAFIASPVYYNVSFTSINVGSQSAAAEFAAVVDSGTSYTYLNDPEYTQLATNYNSQIREERANYNSSPFEYCYGLSSNQTEVFLPDVSLTASGGAVFPVTWPIIPVVGEINGQARTVGYCLALLKSDISTNIIGQNFMTGLKVVFNRERSVLGWQKFDCYKNTPVAGGPEASPSPGAAGPSPTKITPQQNDGGNSKPGAAPLPRSAGSLDALGGRLLLLLPLLAAAALV >Dexi1B01G0023480.1:cds pep primary_assembly:Fonio_CM05836:1B:29067869:29070066:-1 gene:Dexi1B01G0023480 transcript:Dexi1B01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAVSVSAALLAGVLLCLALPQAAAGSTVRTTTLSVLSFGAAADGVTDDTKALVAAWRVACRVPRATMLLPSGHRFLVSPVTLQGPCSTKLTLQIDGTVLAPGDMGSWTKPRRPLQWLNFKWLDDFTIQGAGTVDGQSITSLQSASPANSPQTSTGHWYSSGTKPTLVRFYSSYNVTLRNIRISNSPQCHLKFDSSGGIKVKNITISSPGNSPNTDGIHLQNTRDVEIRSSSIACGDDCVSIQTGCSNVHIKNIVCNPGHGISVGGLGKDNSLACVSDVVAENINVQNALYGVRIKTWQGGVGSVRNITFSNVRVSNVATPIAIDQFYCDRGGARCANRTGAVAITGVAYRRVVGTYTFQPARLACSDARPCTGVTMVDVRLSPAAATAPGTTVAPLCWNSYGEASGAMEPLSVGGCLQRSNGYAMPLTQPFNYTC >Dexi7B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:7B:20706102:20707186:-1 gene:Dexi7B01G0014500 transcript:Dexi7B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGGKSSDKGELARAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFAQLFMEKGSYSHMKKKSTSQEVMPDVAAEEQPPQPAPPQEEKEEDN >Dexi2A01G0025200.1:cds pep primary_assembly:Fonio_CM05836:2A:36919128:36923453:1 gene:Dexi2A01G0025200 transcript:Dexi2A01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGGGDPQPSVSLQARTPSEGAAAVDLDLLEQLLSGDNGWFEVVSRSPNSLASPPPAAFFSSADVTTTATTTQAANTSWWIQTGGASPSSVRDRFSQALSYIRETQSDGDVLVQLWVPINRGDGKLVLSTSGQPFTLDQRSDSLIRFREVSTKYQFSADVKSGDSPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDVHGTMGLPVFEKGSYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSSIPRIQFSAASYKDALPEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPKMKDFHDACSEHHLQRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLAHHAKIFDLKGAVAIRLRCTRTGIADFVLEFFLPTDCEALEDQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFTPQGKNKAEELSFGGNSADRSRGGASWTSLAETSQQESELAALRMHGMFSPGGQGTSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGDS >Dexi5B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:5B:7630299:7632183:1 gene:Dexi5B01G0010800 transcript:Dexi5B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSRPVVSQVVGKTLRLARRSPSLDSQVSGCRACCVPGRAYSSQLRRHSLKAAAGISILRPRRRPDGRRLRAAPAASAASHPQLSSIVSHQSVSRIYSYGDSVQFDSKKKSESELDCGNALQQSVVLDIEGTTSPISFVTDVLFPYARDNVRKHLDATYGTGETNDDIALLRAQIEQDLAEGVAGAVPIPPDDAGKEEVIDALVANVEAMIKADRKITSLKQLQGEEIKGVVFDDVPPALEKWHASGIKTYIYSSGSREAQRLIFGNTIYGDLRKYLCGFFDTTVGTKREPRSYYEIWQSVGVDRPSQILFLTDVYQEATAAKAAGLEVLISVRPGNTPLPENHGFQTITTFAEVFT >Dexi4A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:4A:19837638:19838894:1 gene:Dexi4A01G0016240 transcript:Dexi4A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFADLVERHADELATLESLDAGKHPAVTKAVDIGNAAGSLRYFAGAADKIHGETLKMQAQFQAYLDMAVELAVSATFFNKGEACVAASRVYVQESIYDRFEKKLAERMKSWVVGDPLNDPRVNQGPQVDKAQYERVLGYIDHGKREGATLLTGGKPCGQKGYYIEPTVFSNVKEDMIIAKEEIFGPVMCLMKFKTVEEAIGLANGSRYGLGAGVVTRDLDVANQVVRSVRAGLVWVNCYFAVSSDCPFGGRGMSGFGKDEGMHALDKFLAVKCVVTPLRGSPWM >Dexi3B01G0032660.1:cds pep primary_assembly:Fonio_CM05836:3B:35097496:35098844:-1 gene:Dexi3B01G0032660 transcript:Dexi3B01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICEEAPTPPDAQTVAMDAKLMVATDHGDVNKLKDLLNKEDAMAMVVVTTTSKKPSEKDDQTPAGRINPLLLASAHHGSWKALHFLLEREDAGRPPMATPTQEFLALLRVGKGAIEVPLPATGDVEDQRVKDHPQLDEALPASGRILKGVTPDGDTALHAVAMNGNGEDFLKYADTADIICGRDMELLFAKNHRGDTPLHCAARAGAHKMVSHLIALAGREGGADRKLELLRMANERRETALHDAVRVEDGAMLKDRASLEAVLTDEDSKQAPEEKSMVKLLMDADPGLANYPANGISPLS >Dexi3B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:3B:10213077:10213520:-1 gene:Dexi3B01G0014170 transcript:Dexi3B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAEVAALVGKVAERLYAEEDDGEHEGITEASVLEVMNWLELEIKLAAGATSLPLPPATAPPLYATVGAGNESCGSSLSGPASTVMASVDSRAGAPPPPEVPWPWPFPDPAAAIKQEYDDADDEWVLELLTDGPALEGPWGGSQ >Dexi9A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:9A:11271099:11273146:1 gene:Dexi9A01G0016350 transcript:Dexi9A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQYSIPDYVHISPECQDLISRIFVANPATRITIPEIRNHPWFLKNLPADLMDDSTMSNQYEEPEQPMQSMDEIMQILAEATIPAAGSSGINQFLNDGLDLDDDMEDLDSDADLDLESSGEIVYAM >Dexi3A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:3A:2066849:2070208:-1 gene:Dexi3A01G0003180 transcript:Dexi3A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPYCKSQAPVAAVAAISSLRFSSSSSPALIPPPSQPLASPSPALVEENLFAALLASDPPPPEPLRLVLAAGDVHSALRGLPGLARQLFRWAEDTPRGFPRTASAFAAVLVPLAQANHIRAAYPVSLRALHLGLLLPLVSFLLSTDISPATKSLLSLLLRLSTKFSEECEARDATPTTCSTLCLSAFREMTRHGVAPDVKDCNRVLRVLRDAARWDDICVVHAEMLQLGIEPSIVTYNTLLDSFLKEGREDKAAMVLKEMETQGIGCLPNDVTYNVVISWLTRKGEMGEAVELVDWMRLSKKASSFTYNMLITGFFAKGFLKKVEALQLVMENEGDLDEARRLKEEMVEQGCLPDVCTYTVLMNGSHKVRNLAMAREFFDEMLSKGLQPDCFAYNTRICAELALGSASKAFQLREVMMLEGISSDIVTYNILIDGLCKTGNLKDAEDLQMKMVNDDY >Dexi1A01G0026790.1:cds pep primary_assembly:Fonio_CM05836:1A:32633166:32634231:1 gene:Dexi1A01G0026790 transcript:Dexi1A01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSFVLPAIMAFLVFSAVAVCAARPLAGEELSGEAGAGKSIVRRPICPCWISFTGNGDGNEEQP >Dexi9A01G0023360.1:cds pep primary_assembly:Fonio_CM05836:9A:18683759:18685327:1 gene:Dexi9A01G0023360 transcript:Dexi9A01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYAAKNLCFDHAYPFLDTNRGAVQLFKAGPGSQSGCHLRPHADKTATSDATPSYSPRRLPSPASPPFFKTGPLLLVPFPPENSHPKNSTRKKTKKPQPFAAHGNVSGDRRRTRLLPLLIKPAPGRSDRSVHAACAGELLRISPAERLPAAGEKDEAEPSSLCLDGMVRSFIEDGVGTGAEKAGHGGRYCNCFHGGDNSDDEEDEEAAAAASDVAETIKGLVHCATLRERNLLADVAGHVERHRTAGARRRELLRLVASSLRAAGYDAAVCVSRWDKSTSHPAGEHAYIDVLLPAASDRGARERVLVDVDFRSAFEVARPTKAYRSLLQRLPPAFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKLEYMRAKWLSPYEREAPPAEEAAAAAGEVDGEGTAAA >Dexi7A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:7A:10760353:10761723:-1 gene:Dexi7A01G0002510 transcript:Dexi7A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPNGIGPSNGTPKSSSEGVKLVFSAVIGALSGYLFVISFPEVVNITNVEDPSAGITSETLLKHAWMSGNSHEDNSDSKYDEISKIYVSTKPKGAERLPPGIVVPETDLYLRRSWGEPSEDLTSQPRYLVTFTVGIPQKANIDAAVKKFSDNFTIMLFHYDGHTTEWDEFEWSRRAIHVSVGKQTKWWYAKRFLHPDVVSRYEYIFIWDEDLGVEHFNAEA >Dexi3B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:3B:1974097:1975421:1 gene:Dexi3B01G0002990 transcript:Dexi3B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPLQVSRYQHDYSSPASASGDALYTGRPPRSTTSGEINDDAFLFLAVPAGWLIRVVAFLGELVASAILRLVFPVAVLIGALRALPAVVAANLRRAALGLFAAACTFAALVAMLFVSVLLGFVLVRHWVDEPVTVRQPLYFDYTEAQPSAAVSLAGARGVALPAGHSVRVSMELLLPDSYHNREVGMFQIKAEALSVTGMTMASDTQPYMLRYKSTPVRLAQSALMSVPLTLGMRGETQTANLKVLQYREGHGRHKRTGHIRVLLQPRAATVQLPQVYKAELVVQTTLPWIKSLACGLKWTLCVWVSFSVYIVLVVLALCWVRTLAVSARNRRLSEIQGNGKMASDLGTGHNGESPRKELSEDLTVKMRARRRKRKAQLQTQLQGGSMELEFTEGSASGVAVRGDWSGPE >Dexi5B01G0025210.1:cds pep primary_assembly:Fonio_CM05836:5B:27314103:27317337:-1 gene:Dexi5B01G0025210 transcript:Dexi5B01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPRPRLLLALRRPQPKRLRACAAAPCARRGPVPPQAARPRRVFLGLGATVVDQVARMASGGTSSRSFVAGARPRQGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDTVFYSVPRFVTHIDDQAIRALTEYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVVMGMNEDELRRNSVLTDYVVQDLNVNSKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPAGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFEPSQAVDISPNPGRSDPMYVVYSRKKIG >Dexi6A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:6A:4260360:4261383:1 gene:Dexi6A01G0004690 transcript:Dexi6A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQHPNLWAVLILPHDRVDPSPPPPGLLRGLHRWSDSIDAVNEHLGFLQFTPEDTLAKLGLLQESIVHLACDLRLLHTTARVVARDSYRSHHVVASGVDDSQQGG >Dexi9A01G0027210.1:cds pep primary_assembly:Fonio_CM05836:9A:31680449:31683124:-1 gene:Dexi9A01G0027210 transcript:Dexi9A01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSVGRKIKGMQYGGYLHSEHELAAVSTDCISAGALRVPQLLHHRTRKLQHPHYPLHAHKKDSQEPNQQQPESERSAAQVVSGGAEAGRVALPSFARPAAAGSPKPAACSSGEEDEQRLARRKRSSGRRGEG >Dexi7B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:7B:13468235:13475362:-1 gene:Dexi7B01G0005900 transcript:Dexi7B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTTTNTSCPATVLSGPLPVPVPFKDFVVVGNGDDDAGQHDAEMEAMVSALPSNTKLFLRRYQGTWVLEPWVPGIVAIQRGGLAPRHGDVVLASAPKCGTTWLKALAFATMARAAHPSSAGGGEHPLLRANPHDCVPFMEKLFAAGLGRKVMDALPSPRIMATHMHHSILPASIADNPHCKIVYAACEGSCLSGPIWDHVLGYWNASKASPERVLFLRYEEMLRDPVGNVPFSPAEEEAGVAMDVVRLCSFDNLKDLKVNKEASDLGSSSPLGGVRESAFVNSSYFRRGQAGDWVNHMTPEMAQRLDAAMEERLRGSGFSFSG >Dexi5A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:5A:10748219:10750112:1 gene:Dexi5A01G0013950 transcript:Dexi5A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAKRTAIALLLAFAVASGNLCGGADGRHHHHTPKHTKHNSAAHPPSQAPGPASSRHHASPQAPGPASSRRHASPPHAQPVSPPAPPPSSGSYPAPGGDGPAPAPEAGVYDVVKDFGAVGDGVTDDTDAIKTAWDTACQDDGESVVLARAGYTFLVHTTVFTGPCQGSVTIQLDGTIVAPSDPDKWPANSKRNWLVFYQAHGTTLRGAGLIDGKGQKWWDLPCKPHKNGSGGSSTHGGSCDSPVALRFFTSNNVTVQGLKVQNSPEFHFRFDSCRGVLVRGLSISSPQLSPNTDGIHVENTQDVLITDTAVSNGDDCVSIGAGTLNVHIENVTCSLGHGISIGSLGKQGTRACVANVTVRNAVMRHSDNGVRIKTWQGGSGSVSSVSFENVRMDAVRNPIIIDQYYCLTKSCENSTAAVLVSGVSYAGIRGTYDVRSPAIHFGCSDAVPCTNITLADVELLPASGQTVDEPFCWNVYGNATTPTVPPVACLMDGSPGNWADKSSLKCY >Dexi4B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:4B:16235035:16236139:-1 gene:Dexi4B01G0015010 transcript:Dexi4B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRGAVTGQHHRRWGPALAARRAMASWFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGQPCVREAERRIAGSMNMEYLPMGGSVKMIDESLKLAYGEDSDFIKDKRTAAVQALSGTGACRLFADFQKRFLPDTQIYIPTPTWAK >Dexi7A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:7A:28806514:28806831:-1 gene:Dexi7A01G0019730 transcript:Dexi7A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPPGAHALDAKGESMHEFRSAAAPRRTAAGTDSRRGRCSSRSRNRGRGVAEENIAKGSHGRGGDAVAGRAPVSPSERLPATHRGVEGGSGGG >Dexi6A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:6A:25514734:25519397:1 gene:Dexi6A01G0017660 transcript:Dexi6A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRYPVKLFDISSFYSRDSDKVIFERLQKEFEAARAAQTEEISIDDDEWNDGLLATIREKVHMEADRKAMANQVNVPQDLPFQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTVIEHTLPFFLPLRELESDLLSSNAIKFIDHLEEILQAFIDRREQVRLIKELYGNQIGELFHNLPYNVIEFILEDFECSKVTVSIRYSDLLQTLPSQARVLAWPLRSSKRISTRSSSASAAQAVPFRLSYAEEALKTLCLAEAYADIVLELPHALKRAFFSQESD >Dexi9A01G0041640.1:cds pep primary_assembly:Fonio_CM05836:9A:45256152:45258254:1 gene:Dexi9A01G0041640 transcript:Dexi9A01G0041640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAVEAAVAALVTLPAPRAVRGQIVALTSLLLQPLASVIPFAAFQLLDIYWKKEHRLMCNSDVCTAEERMFKAQRNVILCVSACLLYWCIYRIVKYSKDIKALEETEKRLKEE >Dexi5A01G0024100.1:cds pep primary_assembly:Fonio_CM05836:5A:28007835:28011131:1 gene:Dexi5A01G0024100 transcript:Dexi5A01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSIRIVFPFLLSAICATTLTAASNTTADLAALLAFKAQLKDPFGILANNWTATASFCSWVGVSCDGRQRVTGLEFSDVPLQGSIAPQLGDLSLLSTLVLSNTSIVGTVPNELGSLPWLQNLDLSYNSLSGAGSFGKVFKGELDDGSVIAIKVLNMQYELASKSFDTECRALRMARHRNLVRIISTCSNLDFRALILEYMPHGSLDDWLYSNDGRKLSFLQRVSIMLDVAMAMEYLHHQHFEAVLHCDLKPRNILLDNDMIANVSDFGISKLLVGDENSITLTSMPGTVGYMAPEFGSTGKASRASDIYSYGIVLLEVFTGKKPTDEMFVGELTLRQWVSQAFPHQLENAVDSSIGEVHKNGIQDGSRLEDNFSILNTYLASIIELALLCSRVAPEERIPMKDVVVKLNKIKSDYSSQLGK >Dexi1B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:1B:1012559:1016309:-1 gene:Dexi1B01G0001330 transcript:Dexi1B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLTQISDNEKSGFLSLVSRYLSGDEELIEWAKIHTPTDEVVVPYDTLESPPEDIEATKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYTNSSIEIHTFNQSQYPRVIADEFLPWPSKGKTDKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEGRVQVNEFKSIDKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNSVRTNPSNPSIELGPEFKKVGSFLGRFKSIPSIVELDSLKVSGDVWFGSNIVLKGKVTIAAKSGVKLEIPDGAVIENKDINGPEDL >Dexi5B01G0029370.1:cds pep primary_assembly:Fonio_CM05836:5B:30620249:30621952:1 gene:Dexi5B01G0029370 transcript:Dexi5B01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIVTDCLTRTTCNFSFLPLGLRNIACLAKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVRFEFLRGATDHAYMRMDGEPWKQPLPTDDGKVVVEISHAGQVKMLATKDCIAKGIHESWPAISSVHPESSSSDDTDDDFEEERRNFGAALSFRYTGDVNKQ >Dexi8A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:8A:2893801:2894507:-1 gene:Dexi8A01G0003790 transcript:Dexi8A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNIIGYKQYRRSARDMYYFLQSNVCVKQLAYAMLEQVIVTIFPELQQLVDDIHEKGRKEQASFTYQL >Dexi1A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:1A:402336:404360:-1 gene:Dexi1A01G0000640 transcript:Dexi1A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGPQVVDHHDTQIGGNKPCRIGSKVVVVNRETQIGPKITVELTRDFSVPPGTSPPPPRPLGPEEQEYIAHATFWEVVLGVHPDRCNPYRDTDKFSEPYRYLAEMAFNNVAKYAKDNDDYHDTIRKSRYENPEDRFSIVNIMPRNPYPGFDPHESTFANSLSFFGEQALYGALCTWICIVGSSSILSIRFAGDFYHGDKISVYGFIAVRDAVDQLRNYIFHRSSDHAQDITQDARDLLLNPPVRGIWAPYSIIVEYCLKVKSNGGDVAEEQDSVLMDGCFDFRQSPMAPDVQLHRVRLFGPLGPLDIRFALLRFAVEATIDVKIKRAMAGYSLRTVAAYTCGYSDKIVLYDVSAPSLPSAEEKVRKTAACHRLWWLWHLQWWPWN >Dexi1A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:1A:8636076:8637206:1 gene:Dexi1A01G0010140 transcript:Dexi1A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYKPKNILITGAAGFIASHVTIRIIKNYPDYKIVVLDKLDYCSNLKNLLPVCSSPNFKFVKGDIASADLVNYLLVTENIDTIMHFAAQTHVDNSFYNSFEFTMNNVYGTHVLLEACRVTGQIRRFIHVSTDEVYGETDADAVVGNHEAAQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKLILLATRGKPLPIYGDGSNVRSFLYCEDVAEAFGVILHQGEVGHVYNIGTKRERTVLDVTRDVCKHFNLEAEKTIQFVVDRPFNDRRYFLDDAKLKNLGWVERTSWEEGLRKTIEWYVANSDFWGDVSGTLVPHPRTSMMPSYVESEEIKGILSQFTIYDQKNMVLSQTSEDAS >Dexi8A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:8A:24726224:24730173:1 gene:Dexi8A01G0014280 transcript:Dexi8A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSSSGARTSPLSLVIAMALCCFFYLLGAWQRSGYGKGDTIAAAVNLHTTCDPAAAAIAAAGLTFETHHGGDGAASSNTSSPSFSSPAPEEFAPCAAALADHTPCHDQDRAMKFPRKNMVYRERHCPSTGDGDARLRCLVPAPAGYVTPFPWPKSRDYVPFANAPYKSLTVEKAVQNWVQYEGAVFRFPGGGTQFPQGADKYIDRLATVVPFAGGHVRTVLDTGCGVASLGAYLDSRGVITMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWGANGGMYMMEIDRVLRPGGYWVLSGPPINWKANHRAWERSEADLSGEQQRVEEFAAMLCWEKVTEINEIGIWRKRLDPAAACPDRPPVRPCDDANPDDVWYKNMETCITPPVAAGAGELKPFPERLTAVPPRISSGAVPGFTAESYEEENQRWERHVAAYKKVNYKLSTERYRNIMDMNAGVGGFAAAIFSPKAWVMNVVPTAAELSTLGVVYERGLIGMYHDWCEAFSTYPRTYDLIHANGIFTLYKDRCKMEDILLEMDRILRPEGTVIFRDDVDVLLKVQRTVKGMRWKTLMANHEDSPHLREKVLFAVKRYWTAANEGSSEEEKKMDSSSEGKGSEE >Dexi5A01G0023280.1:cds pep primary_assembly:Fonio_CM05836:5A:27310769:27314260:1 gene:Dexi5A01G0023280 transcript:Dexi5A01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSISPLFSLSSLSASLPSPTRLPISLSLRALSPRARLSVSLPFASPLGGYGTWAATSTSSAGKLRRRGLEVVCEATTGRRPDSVAKRERQNEKHRIRNHARKAEMRTRMKKVFRALEKLRKKADAQPEEIIEIEKMISEAYKAIDKTVQVGALHRNTGNHRKSRLARRKKAIEILRGWYVPNAEPVAAA >DexiUA01G0012590.1:cds pep primary_assembly:Fonio_CM05836:UA:25570888:25572671:1 gene:DexiUA01G0012590 transcript:DexiUA01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRAGREGPGKCFRLFQESEFDKLVDSTIPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCRENFINHRSLKHARDVHSQIQGHVQQMGLNLSSCGDDMALFRRCLTASFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >Dexi2B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:2B:13338881:13339727:-1 gene:Dexi2B01G0011750 transcript:Dexi2B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSTPSPNGSPVAGVAQVTEAVDVEDDDPIQPANSNARSNASATSIDPTDARSDRRLNWSNEEDIRLVSAWLHNSIDPVDGNDKKSDRYWSAVTSTYNSTIKCNPHVVNPEDAPKKRPIGRDKAKEERNGKRKGPEAIVAIGEKLDKFMEATTKAGKIAEVQ >Dexi5A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:5A:7458668:7464820:-1 gene:Dexi5A01G0009900 transcript:Dexi5A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLADGLAAASISDPADQGAAAPAGAPSADYLVSVMRAVEGADATIRSQMEENNRLKEELMRKTQQLQRIREDATSQGSSSGVGLERNSVANKPDGSRSFDNGSSINLQYPQNGSFGNGEHLTQESMKQKYIDSPQTNGAFKNLLGEQTAVDNSGPSQFSSPSSRSISPNRHRKDGEYDSRLLPVSGMNSNISWKQDLAVKVKEGEEEIALLKKHLADYSVKEAQILSDKCILEKRIAYMRSAFDQQQQDLVDAASKALSYRQDIIEENIRLSYALQAAQQERSTFISSLLPLLSEYDNLQPPVLDAQSIVSNLKVLFKHLQEQLIVTELPCMQTWMVQEKLRESQYQITPWHTESSNNTSHPVPTDAPAGKVLVTTHILTYNPQCLPLLKPEVLGVHSVAKTIKNQFRTDVPAQVSQGDSHAVRFHENQSQNPPFKGLSRNDVLMDGSESTEAQNTQEPSTRWGPGDSPNLASGFEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLRITGDAFPGRELLASGYPTNGTTTCNFEWVRHLEDGSVNFIEGARQPSYIVTADDVDTLLAIEGEFIKVFANEQKKISCGEF >Dexi2B01G0023100.1:cds pep primary_assembly:Fonio_CM05836:2B:32669485:32670561:-1 gene:Dexi2B01G0023100 transcript:Dexi2B01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTILLCLSLGLLAAANAVPFDFYYLVLKWPGSICSDPCCMPKYGYPSEDFYIDSFQAFDVSINKPVARCRNSESFDIKTLDPIENSLKHYWSNINCPPTDGVDTWKSAWNSYGVCSGLKQLDYFEAALELMKKAGVLVALSEQGINADMDMYSTEKIKWAVKQRIGVEPGVQCKDGPFVKRLDKIYLCVDTDAKTFIECPKLPATTCTEAACFQPFHNWMLNSTAAAAAFDSKILLPTDI >Dexi7A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:7A:17623615:17627453:-1 gene:Dexi7A01G0006230 transcript:Dexi7A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHVSPSLPSPPKPNPPITTPRPLDTSATTAAAAAAPSAATPGPTIAAAPSRKAKTKRTNAIAPPRDAPRGPGATATTAARPINSSGAIAFTPMVYRHPAVASSAAAPAKPLGVGPAAAPARPVLYTPRMGQEFGSEQEAYEFYRYYGWMVGFNVRKEYANRSKKTGEITSRKFACSREGNRPAGHISKGPVLDSRTGCNAHLIDLEATPNMVPVGNQVPAYKACRGLDLSNPMEPINYEGIYPGLNPALTQELGFVTYHSSLASSNSPQNQ >Dexi1A01G0023050.1:cds pep primary_assembly:Fonio_CM05836:1A:29655236:29658763:1 gene:Dexi1A01G0023050 transcript:Dexi1A01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQEFSREWTYIKNEYEKLVDRIENTEVSSLVQSDNELADFFSADRKNHPTIIKVMWDNSKSKTGEEFPSLIYVSREKSPKFHHHFKAGAMNVLTRVSAVLTNAPIMLNVDCDMFANNPQVILHAICLLLGFDDEVHSGFVQAPQIFYNALKDDPFGNQTEVMYKKLGYGFAGIQGIYYNGTGCFHRRKVIYAVPPDCTTDKPSKAKGSPSWEELKVKLGSQKELIESARSIISGDMLSVPIADLSSRIEVANEVSACSYEASTCWGQEIGCVYGSTTEDILTGQRIHAAGWKSALMNTNPPAFLGSAPTGGPASLTQYKRWATGLFEILLSRNNPILLSLRKRLQFRQCLAYLVIDVWPLRAPFELCYSLLGLYCLLANHSFLPKPHRVPDRKSYSPLPAHSLDLLLGLSETVFEVTRKDKSASNGDGSTNEADPGRFTFDSSPVFIPAAALAILNVVAIAVGAWRVVASAVEGRPGGPGVGEFVCCSWLVLCLWPFVTGLVGKGSYGIPWSVKLKAGLLVAAFVHFCR >Dexi5B01G0021770.1:cds pep primary_assembly:Fonio_CM05836:5B:24078445:24079979:1 gene:Dexi5B01G0021770 transcript:Dexi5B01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHPLAGEHHPSPASSAATALGPLLLLPSELLHEILLRLAVPELLRVRSVARPLSSLISSPDFRRLYHLTSASSGPGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSSILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLTARAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSNRFRFLFAELVNNTPFLFEYRSETDTWRQSEAVLGDDGASPPVSPDGTYLCASHAGPDCVMVYSGPDDHHPVFFRPRFPNAAAGQGGDRLHVYGDGSAAVVRSAAVDDPATAAASRTRVKVVTGVDLYGFGAAVGGDWELVASVPGELLEGFRRKPYAVMTGLLAEREGVVRLVLISNCRGAWDLVWLSYDRARREWRWVPVPDWGGSKGLNMAGIAVSSTFSRLWPPATSTPSSSSSHQ >Dexi4A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:4A:22450221:22453009:-1 gene:Dexi4A01G0018450 transcript:Dexi4A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPSFDEKFHIPLIEGLRELNVTVWNSNTLTHDDFIGSGRVYLHKVLTNGYDDSSWSLQTRHMRSAGEVKLIMHVDVSAMRNKMGKSIAASSTHSVPPHPMPAPIPAPSQGPAAPAPVLASAVPYTGAPPSYPPASAYPAATAYPAYPTPSPPYTTPEYPPPPQQPYPPMPMGYPPPSYPPQPYEQQPYPPPTAQSPYPPGKIQLNRDKCILIHDISRSNNMTSLCLDRSYAAPYPGTYPPRPY >Dexi7A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:7A:22252062:22252595:1 gene:Dexi7A01G0011900 transcript:Dexi7A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASRLSAADPPGASHAAPRVRAIAAAFLLISSPVAVSPITVRTASATFSFSTAELSMLPLATSTQTPHSTTSFALKNCSAPSGHPISGTPWERLSRMEFHPQWLRNAPVDGTRTCGAHPRTSSPDLARAARSSKPSGSHSGLNPSLGSNPSGGRSAQRKGRPLASSPSASSFI >Dexi2B01G0031270.1:cds pep primary_assembly:Fonio_CM05836:2B:39432514:39432884:-1 gene:Dexi2B01G0031270 transcript:Dexi2B01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRFQSGRGGYHGDSYRGQGGFRNNGYYNDGGMRNDFRNQDSGRGRGPQGNGYPQNGNGYHQNRNGYHQNGNVNGYPQNGNQQRRPSSNGNGNGNGGKVERSNGPKQQPPVAS >Dexi5B01G0025710.1:cds pep primary_assembly:Fonio_CM05836:5B:27680979:27682508:1 gene:Dexi5B01G0025710 transcript:Dexi5B01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSAIISDLLSRALSMVIQRYKRTRAEKAAEHKLQQLHRVLLRIDATVEAADQRHITNQAMLRQLEMLRRAMYGGHYMLDTLKYRSGHGDDDEQMSADLPVALPRFISAKRLPVSMNSNQNLQNTMLDTESLNKLEKTIDDLEALMADTMEFTVFLDGYPRICRQPYSTYLILGNVMFGRQMEMEMVINFLLRPESLAGNGNPGVLPIVGVARIGKSTLVEHICRDERVRGHFSSIVVFTGEDLDAVDIAAFRGSAVIKHQDVTAASPSHGGRSLAVIELAGDMDEEAWRRLYYSAASSMGHGSKIVITSRSEKIAALGTTKALILKALPQEAYWYFFKALAFGSTNADDRPKLASLGMEISEFLEGSFLAGNVVGSLMRANQNTEFWLRVLKRLRNCTRKHILRFGEHPTRLLQQGRPVYPWRMARAQDTVTIICNIYQKPYHQEDVPEVTFGDILSGRSTHQGKFSALAWRSTIPPYYTYLANCASQIPVCSTVNKKRPRQARV >Dexi9B01G0023170.1:cds pep primary_assembly:Fonio_CM05836:9B:18176628:18178531:-1 gene:Dexi9B01G0023170 transcript:Dexi9B01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGGAATSWIGVTGALGLSVTSSVAIVICNKHLISSLGFFFATTLTSWHLGVTFITLHVAQRLRFFEPKPVDVATVVSFGMLNGIFIGLLNLCLGFNSMTKLAIIPFTMLLETIFLDKKFSQSIKASVVVLLLGVGISSVTDLQLNLVGSIISALSIVATCVGQIRRLEVSSTQLLYQPSPYQSAVLLVAGPFVDKFLTKRDVFAFHYTFPVVAFIVLSCSIAVCVNLCTFLVIGTTSPVTFQVLGHLKTCLVLSFGYVLLRDPFTARNVVGIVIAVFGMGLTPTTPSLRMSEKDSAPLLSAEEGSPWPESTGEESFDYVPRTAKSAFAGV >Dexi4B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:4B:3955366:3955660:-1 gene:Dexi4B01G0005550 transcript:Dexi4B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Dexi5B01G0024410.1:cds pep primary_assembly:Fonio_CM05836:5B:26455491:26459455:1 gene:Dexi5B01G0024410 transcript:Dexi5B01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding NARLAVMDADTAVSANGGSSEVEERKAQRSYWEEHSRDLTIEAMMLDSRAAELDREERPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVFAMDFIESVIKKNESINGHYKNTSFMCADVTSQDLMIEENSVDLIFSNWLLMYLSDEEIDKLVERMVKWLKVGGYIFFRESCFHQSGDLERKVNPTHYRDPRFYTKIFKECQSFNQDSTSFKLSLVTFKCIGAYVDIKKEQNQICWLWKKVDSSEDGGFQSFLDSVQYKANSILRYERIFGDGYVSTGGSETTKEFVGRLDLKPGQKVLDVGCGIGGGDFYMAEEYDTHVVGIDLSINMILFALERAVGRKCSVEFEVADCTTKTYPDHTFDVIYSRDTILHIQDKPSLFRSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKNAGFSHVIAEDRSDQFLGILQKELDKFEKNKDDFLSDFSQEDYEDIVNGWKSKVQRSSAGEQRWGLFIATK >Dexi5B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:5B:2979260:2981814:1 gene:Dexi5B01G0004420 transcript:Dexi5B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSAVVVGGVADMEAGRGAAATSSPEEARCAGRFVEEWCCACVGLFTGPNPMMARYLYALIFLVTNLLAWTLRDYGNSALAELQRLKVCQGARYCLGAEGVLRVSLGCFLFFFVMFLSTVNTRKVHDCRNSWHSEWWPVKVVLWLGLTAVTFLSPSPLVQLYGKVAHFGAGRAFLVIQLVSVTRFIMWLNDCCQSETTRKRCHLQIQVVSIVTYVGSLLGIVLMYVWYAPSPSCKLNILFITVTLVLVQLMTFVSMSSKVKAGYLAPGLMGIYVVFLCWSAIRSEPHTEICNKKAEVATSADWLNIASFVIAVIVIVAATFSTGIDSKCLQFKKAEAEEEDDIPYGFGFFHLVFAMGAMYFAMIFVGWNASHPMEKWTIDVGWASTWVRIGNEWLAAIVYIWMMIAPVIWKTRQVGSSAET >Dexi2B01G0020950.1:cds pep primary_assembly:Fonio_CM05836:2B:30936445:30936948:-1 gene:Dexi2B01G0020950 transcript:Dexi2B01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRPEASSKTEDGEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLGAGLAEVLNAVFPVLACRKPANTILAD >Dexi3B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:3B:560237:564265:1 gene:Dexi3B01G0000640 transcript:Dexi3B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQQQQHSSPLLLPPKRRCTTLAAAVPALVVCSILLPLVFLLGLHRPGYGSEERAAVVITTELAGVGARNKQHLENGGAMKHKLLKDTAVNGKENHGQETVHEGNPKSCEHEYGSYCIWSTEHREVMKDAIKDLSYLWNLDMDGKVIGAIQFCGVTLGQLRSYIAEHSFNSDACVWLSGLNVIELEKWRDLRVTSMYDQSLQKLQMERLASKRLKALPVGILAFQDLIYPLEESWVQSGLGHNYGISQYDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMATGEKFMTECNIH >Dexi6B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:6B:13944784:13946184:-1 gene:Dexi6B01G0009550 transcript:Dexi6B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWGNPREDTPARKNLLAMTIGYNERANVNATVHKFSANFDVVLFHYDGRTTEWDEFEWSKKAVHVSASGQTKWWYAKRFLHPSVVAPYDYIFLWDEDLGVEAFDAEEYLKIARKHGLEISQPGLDITRGPKPFFDITVRRNGSEMHKSTLSSPEAKCTRRPCSGFVEVMAPVFSREAWTCVWHLIQNDLVHGHGLDLDFWRCVDDPDEQIGVVDAQYVAHHAVVTLGTKGGSRANVTARQKAEFFTYKTRMRMADKARAAALLAASKS >Dexi9A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:9A:4852913:4854437:-1 gene:Dexi9A01G0008210 transcript:Dexi9A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSPHPRVLMLPFPAQGHVMPFMELSHRLTEHGVQVYFVNTDFNHARITRAMDAAGETAGAVVPAEIHMVSFPDGMDPDADRSDIGKLGGGLRAAMLGHLEDLVRSKEIAWMVVDVPMVWALELAATVGVRVALFLTFAAAAFALRLHAPKMVEDGIVDQDGNVKRSERIQLSERMPAVDVHELPWSSVGKTPEARRFVFHTVVNTSAAIEIADCIVCNTFEEIESEALALIPKTALAVGPLETPKDDTPAASHFWPDDPACLPWLDAQAPCSVVYVAFGSLAVFDATQLQELADGLALTGRPFLWVIRPNLTGDGCWLDEFRRRVGGRGLVVGWAPQQRVLEHPAVACFVTHCGWNSVMEGVRHGVPFLCWPHFGDQFCNRSYVCDVWRTGVRLCCCGGGGDGRGGGVVTKEEVRDKLELLLGDEGIRERAVLLQSAARASVVDGGSSHRNLLRFVNMLRER >Dexi9A01G0029230.1:cds pep primary_assembly:Fonio_CM05836:9A:34085854:34091965:-1 gene:Dexi9A01G0029230 transcript:Dexi9A01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGHAAGLFIGRGKNRAAIAITVVAPGLALVGVTWLGSISWEREASGHEDALLFYSSRGGQLYNVVLPIYPRRPDAPKVRLGDPFLDRRVSFTFPPAASCYLFPSAGLQISFFSWQAGSCTPSPSQASFDLGRVSGTPPFFRCACSSAGGTPRGGVVGRERRACPTPSTQVGRDGGLYLPASRIPGRSGETEPSDQSKLALPGSSSVRAPFAGALTVGPLIHYPHSSPRPCRGVKDSFGNASTAMPGAQHVTEVKTFFAKRQPMSFSMGPGSRQIAGIQLGPRIAAAYPPIECDATRRSGSGLDLAVVVGPTRRAEPLDPNRGLRTLGRAPPRCGRLPPRRPYIYGDAGVTGYALRIPSQFPSPYSRSPFITSSTTVEMASKGSSSSPQLRPWARSTATMAALESLVFRGLLCPRTAQEERISPHPSHKTPSPPAGYVVSFMAYHVRGFAVPAHRFVREVLYHFGVELHALSTNGVQQMANFVALCEGYLGIEPDFNLFLLFFKAPLVRPHGVLVPWGYYSLQAKQSRVDKFTRSELRGSNKDWNKGCPSSVRPRELAAGQGAGALVKLAPHLDCLAKLRSCGLTGIGIAEAFHRRRVAPLMARPLCLFEMLPTTSEAELCASLVSRVVPSEDEVRARLVWGSWIRGPTVSTPANDALAGEDPGNSGDEQVGGFRLARPAEGPRARGRELPASPGLSLLRTIRRLEGSGTSVSPDPRPSSWIGRPPRRVLPVNKHSRRRRGGPSKTLPRSNQTRKGEGVRLTLSPDRHPTPLARLPSAKADLQPRAGEKKEDTAKLLMGGKRTRDDQEEARNRRGHLTLGWGLEPAFIVIRRQESNTIRRSFHWTIILFVLRLLNHSPSRHACSVPRVPPGPPAPPSSVGAGVSYVLASSPVAGEAVESAEAMDVDAPAGAAAGEAIPSAGTPVEGVMVDVAAEAPSIPLPAPTTSEPLSVNPGKAVEVIRWSMEDLFGEAEAQARAEAGPPTAPSALTLVSVLAPPLAAAPTGASGSGDGQGAAVDPEHHVQVDAWLEALQQMRTFPATLLQMALTLRSMVNPSSESILLSLSRARTIERESIDQARRSWNAANAARNEAAGSAHRASELERELASQATAHQEELATLRTDLDRLHSDASDVRSGSFHLLTTRPFECSNQLGDDLAEERAAAILACAEALRGRDQALNAKEDGEEACAELSQLVANLRVKSLEASLATARKSLEEKDSEVEGTLPISSHVSDGITHRTTLLSRFGMLHDAAGAVLTRLGFPLPEDIERLPEDLQRAADCYGELRAIALGMLDALEVPVIGDPARLPAELDLAPAWIGALSMQSLARGVQEAFMLVRSHYDGIRFDRMATGFPNEFTSEALDAMAEELRAPAEQFANGLAPGIDAEGNPVDGAAPGQAP >Dexi2A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:2A:939083:939499:-1 gene:Dexi2A01G0001430 transcript:Dexi2A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESYRSGAEVVTGDAICRKKSMELLEELGLPKGLLPMEDIKEFGYNRATGFMWLVQGKKVEHTFKKIKQTVSYASEVTAFVEKGKLGKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDSFDTAAFD >Dexi9A01G0037850.1:cds pep primary_assembly:Fonio_CM05836:9A:42113053:42114041:1 gene:Dexi9A01G0037850 transcript:Dexi9A01G0037850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFVAISSLFCIASASTLQHLHGGLTTGTAPRASAAVDHLVVRVVHGEHIEPAAADVLEIDDGVQKPSRPVRAADDERRSVRHVPSQVRDHLGLVLGRHAHERGEEDDVVHAELVGREVGDVGRVERHARVQVRVRTDQLSCTVVGRGAPVLSNAVPGRWRDASTSELNGKGPDPTNATRDGAVRDVADEEAVLELAQVDVVAVVGEEAEVVKRVVEGGEHVGVVSLEVALGVGAEADELLPHLLGVRGELGHVDGARRDAGRDELGEERVDGGGRAQRRQLGDGGVEAGDLLDQGSNLHVLGLH >Dexi4A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:4A:6220561:6228626:-1 gene:Dexi4A01G0008290 transcript:Dexi4A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGWLRQASRSLALRSGEVFQQDKNMRANDIKVELNLSFNEAANGCIKQVSFSAKNVCDSCGIDSGDTIHVAGAGDSGGLGTQHGSLYIKIQVANDPMFTRDGADIHIDKKISFTQAMLGGKVEVPTLNGKIEVKIPKGVQPGQVVVLRGKGLRNLAGFFGDQYVRFQIHFPSVVTERQRALLEEFAVEEATKEQNTSIVGNW >Dexi2A01G0028920.1:cds pep primary_assembly:Fonio_CM05836:2A:40053458:40054416:-1 gene:Dexi2A01G0028920 transcript:Dexi2A01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQANIAGACPGGGGVDRISGLPDHLLHNILLLIPGGTAADAARTSVWAHLPELALRYREASYARAHHHIDAALAAYAAPTVRRLEITVPYGSPHLTAGRLSSWLRFAWRAAASGSRRRTTLNFLFSSRTLRFRPLPTGAAAFTSVASLKIRNARVDGRELEHVLSSRCPGLKELVLERVSLREGDDDMVLSIRSASLQRLQMDSGDFAGLLRVATPELQVLTLHCIHGDAYIAAPKLSELYWGELCYDPSRHRFAETTARHLRRMMVATGSPTMALMDQFDIVDELDMTLYVRQV >Dexi9B01G0027330.1:cds pep primary_assembly:Fonio_CM05836:9B:29804106:29805791:1 gene:Dexi9B01G0027330 transcript:Dexi9B01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSALTKLAPLEAILFDIDGTLSDSDPIHFCAFRELLQEIGFNEGVPITEEFYSANISGAHNDDLARSLFPDMDHEKAMQFMDDKEAMFRKLAPGHLKAVDGLHDLCRWIEGHNLKRAAVTNAPRANAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALLLIDASPDHTFIFEDSASGIRAGVAAGVPVVGLTTRNPGKALKDAGASLLIKDFQDPKLLSVLEEVKPATENGQA >Dexi1B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:1B:5860438:5862622:-1 gene:Dexi1B01G0007100 transcript:Dexi1B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSSSDVLLTSQKAVQDETTPLLPVKAEEDAIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGETFGQWGRIALQASVVINNIGVLVVYMIIIGDVLSGTSTTGVHHSGIFEGWFGPHMWNSRLVVLLATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGVAILRLVQGTVEIPKLFPEIDGISSVWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQIKPIVQTSLGLCSTVYIATSFFAYLLFGEATLADVLSNFDSDLHIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISHDNRRFAIITISLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYDVSTKRDKVLAVTMIVLAVVSNSVALYCDTLNIFYRKQEA >Dexi5B01G0037740.1:cds pep primary_assembly:Fonio_CM05836:5B:37129473:37130137:-1 gene:Dexi5B01G0037740 transcript:Dexi5B01G0037740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCLLDRGTLVSLWQHLGPLDPVGSSMIEAFGGPKDVGEVVLRRIARTRRSPDINATLIDAALREDAEKVKYYKLEFRVESPSFRRHSVAVCCARNGKLYTMNAQAPESAWKAVQEQYFAMADSFSLVNDV >Dexi3A01G0034970.1:cds pep primary_assembly:Fonio_CM05836:3A:40260547:40266178:-1 gene:Dexi3A01G0034970 transcript:Dexi3A01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRDRGGKAAPVGGSARMAGAGAAVVGEEALSSATKQKVAAAKQYIENHYKAQMKSLQDRKERRWMLERKLADADVSEEEQNSILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSPEAKDLIGRLLCNVDQRLGTKGAHEIKAHPWFGSVEWEKLYQMEAAFIPEVNDELDTQNFEKFEEMLSSKDVNFVGYTYKNFEIVNDPELPGIAELKKKNKPKRPTIKSLFETVDSEDQTSEGSFLNLLPTQLELPESLEPSPHSSISSEDSQARHR >Dexi5A01G0022840.1:cds pep primary_assembly:Fonio_CM05836:5A:26964610:26964873:-1 gene:Dexi5A01G0022840 transcript:Dexi5A01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQPAEELLAGTGVRGGGGGEAGYYELHGREEARSGELGDDEVVAARNGAGDGVKEVVESRKRRSAAVGSGSRRRAAARW >Dexi2A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:2A:29886712:29891212:1 gene:Dexi2A01G0017880 transcript:Dexi2A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDGATDSDHLVGEEPGSPAQPPRDEWALAAAVLEPVRWVRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQFLSVFFFVPWVLKPLWGVMTDVFPIHGYRRRPYFLFSATIVTVAAGLPLSSAVLCFMGISTAVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMAIPPATVVFLGFFIYEMKTYQHNAKEKVLNKVGGAVKGMVRTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKTPPNPGFSQEFVGLVHAIGAVASMVGVLIYHKCLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLSLGVPDAAFVTLEECVSRVVGRVRLMPMMVLSTKLCPPGVEGTFFALLMCIDSLGMLAAKAGGAAVLRALHVTRTDFSRLWLAVLLRNVLRLATLGAIFLVPTADQTDVLVPRELLASSPAIVADEEEESLQLSMLTSHTDDV >Dexi2B01G0032360.1:cds pep primary_assembly:Fonio_CM05836:2B:40275520:40277720:-1 gene:Dexi2B01G0032360 transcript:Dexi2B01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTAKPIRCRAAVCKAPGQPLEMEEVEVAPPRAHEVRLKIVCTSLCHTDITFWRMKDFPAMYPCILGHEAVGVVESVGEHVEEVSVGDTVVPVFLPQCGGCADCLSARSNICSAVAHRHGFMPRDGTTRFSLASTGEPVHTFLSVSSFAEFTVVDVANVVGLAAAALPLPPEMACLLSCGVSTGVGAAWKVAAVEPGSTVAVFGLGTVGLAVAQGSKMRGAKRIIGVDLNPDKFEIGKRLGITDFVNPNDTGEKTVRQVIKEMTGGGADHCFECIGSTSVMAEAFESSRAGWGKTIILGTDAGLAPVSISSSTIKWGRSVTGALLGGIKPKDDIPVLAQNYLDKELELDEFITHRMGFDDINSAFDLLDRGKCLRCIIWMDDGAKK >Dexi9A01G0037730.1:cds pep primary_assembly:Fonio_CM05836:9A:42026034:42030982:1 gene:Dexi9A01G0037730 transcript:Dexi9A01G0037730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLSPSAPPPHLPSPGPKPPPSAAAALCSSSSSYFSLRLRRARAAAAAGAAAAVGPERDGGRFEGGAMGGAFDRGLAEIAKKVPLFEPATDGELAAAAGERPLPINLELWLYRVKVHTRKFEFSEAEKLLDKCIAFWPEDGRPYVALGKLYCKQSRYDKARAVYERGCQATQGENPYIWQAWAQVEMRAGNNTMARNLFEAWGWMEWKEGNARTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRIEVVDDASWVMGFLDIIDPALDSVKKLLNLDQTSGPTRKDTVKAKTEPSPTTRSSTVEESSETSPAKSSDTPGLSSNDGDNSVSEATETPGSDFDLDGFIKKRLGLDAAELDAVLEGSDPRGVVSQRRKRRLPRKPLPLLPVP >Dexi8B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:8B:734129:734803:-1 gene:Dexi8B01G0001060 transcript:Dexi8B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGSVLPTHAAPSAPAWPSSSKTDTKDPRRRRRCLCVCLLVTLGVLLALAITLLVLFLTVLKVRDPTTRLVSTRLAGVAPRLSFPAMSVQLNVTLLLTVAVHNPNPASFDYDAGGHSDLTYRGAHVGDAQIDPGRIPSKGDGEVKLALTLQADRFADDLMQLLADVETGSIAMEASTRIPGRVTILGIFKRHAVAYSDCSFVFGVAEMGVRSQQCHDRTKL >Dexi4A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:4A:11826148:11826680:1 gene:Dexi4A01G0012950 transcript:Dexi4A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASLAARLLRRAATASSSSSPLSALARRAFHLGRAPVPLPRRLPAAAAASSPAAAARRFLASQSPASSAKASADENLRRVIESEIECVVQSDESLADRLEARRNWVPVNAESR >Dexi3A01G0024440.1:cds pep primary_assembly:Fonio_CM05836:3A:20083786:20085117:-1 gene:Dexi3A01G0024440 transcript:Dexi3A01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPGGTPLAALLFLSLLSACRAAAGSGGNPTAVVLPVSKDAAATQQYVTAFRQRTPLVPVKAVLDLAGATLWVDCDAGHYASSTYRRVPCGSKPCRLSRSAACATSCSGPPSPSCLNDTCGGFPENTATHLSTSGNIITDVLALPTTFRPAPGPLATAPAFLFTCGATSLTRGLAAGASGMASLSRARFALPTQLAATFRFSRKFALCLPPDGAAGVVVFGDAAPYGFQPGFTLSNASLIYTPLLVNPVSTAGVSTKGDKSDEYFVGVTGIKVNGRAVPGLNATLLAIDRKSGVGGAKLSTVAPYTVLESSIHKAVTDAFAAETAMIPRAAPVAPFKLCYDGSKVGSTRVGPAVPTIELVFGGNDATSWVVFGANSMVATKGGALCLGVVDGGKEPRTSVVIGGHMMEDNLLEFDLEASRLGFSSSLLFRQTNCNNFRLG >Dexi2A01G0031560.1:cds pep primary_assembly:Fonio_CM05836:2A:42323000:42323215:1 gene:Dexi2A01G0031560 transcript:Dexi2A01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLPRLPPSPLFPLEPTQPKPKRAPREAATHLLLRVGRVPARSPTYPAPLSHVPLPLRASDWPPHPS >Dexi9A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:9A:7246609:7247816:1 gene:Dexi9A01G0011540 transcript:Dexi9A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTPFGAAAARRRAAPLPLFPLSDRAALHVAFARRGGVSSRTQRRLEERGGKKRRGGVATPDVDEESLEAGVVEWEGEPLGFEVSTEPMPNLPDPETPDFWEGQQWEPLGFFVQYMWAFGVVFGLIACGVAVATYNDGATDFRDTPAYKESQTQEFPEESESSGADVFEGNPTEVAPALE >Dexi6B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:6B:2967582:2971429:1 gene:Dexi6B01G0003620 transcript:Dexi6B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAALRRKAAEMASAAAAAQCFSSYSTSPATWALRQRGSGAARVLQLSSRRSFSVSAAADGFDNQNREYVIVGGGNAAGYAARTFVDHGMADGRLCIVSKEPVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVLVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEDLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLKDGSVIVGIGAKPVVGPFEAVGVNPKVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFSLLPQLAKSQPIVDKSKLQAATSVEDALEIARSSLQAGASV >Dexi4A01G0024650.1:cds pep primary_assembly:Fonio_CM05836:4A:27363972:27364553:1 gene:Dexi4A01G0024650 transcript:Dexi4A01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEEDGRELPLKMVVSGGRLTSKRRHQCSSSSSHSGSGTNTTSMSQQQEKEEEDMVARCLILLAQHQQGPAVSSSKCTAAYECKTCNRCFPSFQALGGHRTSHSNNGNKRPRRPEITTRLSPRTSAHECSACGAAFSSGQALGGHMRRHRPLPTADSTGSGLQGLDLNLLPGPSMEQEVTSPAKRVHHFN >Dexi4B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:4B:5871805:5873292:1 gene:Dexi4B01G0008130 transcript:Dexi4B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGQAATSDHGEAVAHFVFIPLMAQGHLIPAVDTALLLATHGAICTIAGTPATAARVRPTIDTARASGLPVRLVEFPLDYAKSGLPEGADNMDNVPAQHMQSYFDAVALLREPIESYLRAHAPYPTCVVADFCHPWTTVLASNLGVPRLTFFSMCAFCLLCHHNVERFNAFEGVTRDDEPVVVPGLEGKQIMVTRTQAPGFFRGIPVPFWEEFGDYVERARAEADGVVMNTFEAMEPEYLAGYSEARKMKVWSVGPVSLYHETATLAARGMNATAIDAGECLRWLDGKEAGSVVYVSFGSIAYSNPKQVMELGLGLEASGHPFIWVVRNAHEYDEPVRDFFDELEARVSGRGLLIRGWAPQVLILSHPAVGGFVTHCGWNSTLEAVTAGLPVVTWPHFTDQFLNEKLAVEVLGIGVSVGVTEPLMYAPATKEVAVGREVVEEAVRSVMGDGEEAEERRRRARELAEKARAAMKEGGSSHGNILDLVTRFKPAS >Dexi5B01G0022140.1:cds pep primary_assembly:Fonio_CM05836:5B:24377764:24378998:-1 gene:Dexi5B01G0022140 transcript:Dexi5B01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSGYIISIMDTMIFIMSTILSIAFHEFGHAIAAASEGVEIEYVAIFVAVLFPGAFVALNYDQLQNLPLFSMLRIYCAGIWHNVMLCAVCVLMTLLLPVVLYPLYVSGDGLM >Dexi3B01G0030460.1:cds pep primary_assembly:Fonio_CM05836:3B:29964488:29967250:1 gene:Dexi3B01G0030460 transcript:Dexi3B01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNNTSGCPNHCGTMSIQYPFGIGSGCFRNGFEITCDDSTNTPFLAGTTRPVPVNLLSITTAEARVMLPVAYECFVSGETVSDSSDGDVHFNSHDVYRISNAHNQLVVLGCNTLGYTQSQHSEGNGYYPYGYYTGCMSFCNGSRSAMDGACAGVGCCHVDIPPGLTNNNMAFEKYNHTAALGFSPCDYAFLVDRDHYTFHVSDLKMGKDTMMPVWLDWAIREDDLSCDEARKTKGYACVSTNSLCRDSSNGPEYVCNCSDGYQGNPYIAGGCTDINECELSKDPKYPCQGICENTPGSYECKCPSGTHSANPRNIPCNPNFPLAAKVITGAIGGLFIVAIVVFIFLLGKEKRKMKEFFRKNGGPIIERVNKIKLFKKEELEPILKTSNRIGQGGFGEVYKGYLRDEIRPVAVKKPKFDSRLTDQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHGRNRMPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMITIEENYTSTIIGNWGYMDPEYVQTGLYTSKSDVYSFGVLLELITRKKVLDPVISNLLGNFYDTCTTKKGVIELVDPDISAQGTIGIFHSLAEIIVQCISLDVDLRPEMVDVAQRLQLLLK >Dexi6B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:6B:27119405:27119978:1 gene:Dexi6B01G0020730 transcript:Dexi6B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDSPNPIVLGCGAASVDYLATVASFPNPDDKIRILALKVQGGGNTGNALTAAARLGLRPRIISKVADDAQGRNVLKELQNDGVDTSYMLVAEDGNSPSTYIIVDNQT >Dexi8A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:8A:5834113:5834949:1 gene:Dexi8A01G0005930 transcript:Dexi8A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISYASHPSYARMPICYASHPSYARFSYCPLDTTDCALVDLPAKPVSRARPRA >Dexi4A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:4A:4991988:4993531:-1 gene:Dexi4A01G0006860 transcript:Dexi4A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRRGGRQRNILATVTSRLSGGGGGDEVDLHRRCVLFDCAPSRVRIDAVRAARAPSSGRRALRSALRGPAQRSCHVVPPLDTGIEIPGIGVAVALCGYFFAAVVVFRTAAAAESALRGPARRSCHAVPPLDVGIEIPGIGPTDVKIIPDLPVPEPCAPPTSPEEEDDEPTAKPLQWFTFRDPWAEANGPVLPPGTRRFHPSFESLAHGPTRGADGSLWMHGEIAILDERGREVGVEHDASLRVRRTDPPPKDKRRPLIPDTAIWFEPF >Dexi1B01G0025770.1:cds pep primary_assembly:Fonio_CM05836:1B:30763974:30767401:-1 gene:Dexi1B01G0025770 transcript:Dexi1B01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRIPAARAPATALMAARSISDSTAALTIETSVPFTSHLVDPPSRDVTTTPAELMTFFRDMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRNDSIITAYRDHCTYLARGGDLISAFSELMGREAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYKKEDTATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATPAELKDIEKEIRKRVDDAIAKAKESSMPDTSELFTNVYKKGFGVEVSCQICSN >Dexi2B01G0028170.1:cds pep primary_assembly:Fonio_CM05836:2B:36837121:36838500:1 gene:Dexi2B01G0028170 transcript:Dexi2B01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHALREIETVLMAPDADDAATSAKQEFEEHKPAQLMRQRSRTWSHESRQPSPGVVRTQFTSGYPTSSYEFRPEKRQRELREDPQNMVKQLLTKCAEALSEERIEEFLKLVQQARGVVSITGEPMQRLGAYLLEGLVARHGNSGTNIYRALKCREPESNELLSYMKILYNICPYFKFGYMAANGAIAEALRNEDKIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLDLVGKMLKSMSEEFRIPLEFTPLPGVYATQVTKEMLDIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFSETMDYYSAMFESIDTNLARDSKERINVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFKPYPLSSYVNSVIRKLLACYSDKYTLEEKDGAMLLGWKNRKLISASAWH >Dexi2A01G0022440.1:cds pep primary_assembly:Fonio_CM05836:2A:34312207:34312728:-1 gene:Dexi2A01G0022440 transcript:Dexi2A01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPEAGSKTEDGEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLGAGLAEVLNVVFPVLACRKPANTILAD >Dexi7B01G0024450.1:cds pep primary_assembly:Fonio_CM05836:7B:28775488:28776922:-1 gene:Dexi7B01G0024450 transcript:Dexi7B01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSDPAATADPQPLPPIHHLPPDALHNVLLRLHLRDAVVCRPVSRLFNDALSAQFLALLPTLRLLLLRHPRPDGGGCLHAFDPDRRYWLRLPFSHFLPYQAFSPVASSTSLLYLWVETSSSTTTTTTTTLAALSYTPTGSAKWMKHPLSLPSKPRSPILASAAAAVFALCDVGTPWRSQWKLFSCPLAMLTGGWAPVDRSAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAMDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAASQGNPMPTAAAAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDETGGTGGKWDWVDGVPGYADGVYRGFVFDGGFTAIP >Dexi9B01G0048510.1:cds pep primary_assembly:Fonio_CM05836:9B:47460425:47461703:1 gene:Dexi9B01G0048510 transcript:Dexi9B01G0048510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDVTRAELGLLVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDKSTTLARKVFRLLKWLNDLHALISPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVGRISLYCWMASSACASLVELGELKRLSKSMRKLARELRDTDKYENEQYQNKMKQSDERLLALVKAAMDVVVAIGLLQLAPKKITPRVTGALGFITSLISCYQQLPSRAPVAKIKA >Dexi2A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:2A:21855693:21857622:-1 gene:Dexi2A01G0013340 transcript:Dexi2A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGGGGGQGRAAVAEEAVTAVGALRRRRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLRSSNPSADNQKSNPAYCVQPVCIEAACIQPSCVTTATCFSPRFFSKSKKEKKEKKAKADLANQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFTARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASSSQKATSAAEKGSDYLELEFF >Dexi8A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:8A:26625482:26627495:-1 gene:Dexi8A01G0015510 transcript:Dexi8A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKQELVMDEKSIDGDLRSHYTVANSLSRYCAYLIVAKPDLLPDTILVPKLILQKTVSHAREMLKDCDSLQSMYNKLLAVAQEEPSPQDIQDRKLSINLVQRGAILGKKLIEDEHHWELLAKVWVNLLLHIAPSSNAQAHAKYLEFGGEFITLVWALFCHCGIEKSELWQENTTSRISPPGSYQNNNGVAAVAPTPTTQDHVGDGSELLDQRGSWRTLLSSVAYCPIPLLPVALLHMKESTEDGRRTQNETFKAVL >Dexi7B01G0022920.1:cds pep primary_assembly:Fonio_CM05836:7B:27693695:27696883:-1 gene:Dexi7B01G0022920 transcript:Dexi7B01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASADAGAAAAAAAARTLRWAGRAGHLGGFPRAAVFAAVGAFAKVYASLLNTTTVHNADALLRHVSARAPGTPLLTVSNHMSTVDDPLMWGFKGFPTSDAKLGRWVLTAEDICFRNVVMSYMFRLGKIAQDDQPIRRLKWGTASLIVRAPITPIVLPIVHSGFEKVMPEELFFGRRPPVPLCGKKIDIIVGEPIEFDMARLKQAASVIPHDSSCERKGWPTFTPDGLDESAQRWLYQKMSDKIQSVMERLRQKLLNLKQH >Dexi2A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:2A:769517:770387:1 gene:Dexi2A01G0001150 transcript:Dexi2A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPETSPTPTPMTTITMLPEEMIEEVLVRVAPEDPATLASAAMANKKWCRLVTGKPFRAKYLAHHRRSPPPLLSFLCDVREADARHYGLKFTARHVKPSSSSFRRPPLAGDHIGDDLCPHDARYGRVLLRGTQDRWTSFDLLVWDPITGKRTKIHRPPRYAITWKAAVFCAAAGAVTGDGGAAVCDHRGCHCGPFKVVYIADHRMGILTCVYSSATREWGEPSFHGGGLGGFLSRECAAFVDEALHFMMDNQNKIFKLDVKTWETS >Dexi9A01G0049930.1:cds pep primary_assembly:Fonio_CM05836:9A:52392012:52398179:-1 gene:Dexi9A01G0049930 transcript:Dexi9A01G0049930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQLPAVAHPDPPLDRSDAAASYAKQALPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKGLPCFVLLYQLIKQLVFPSCSAYGEPGYGNDYINHYKMMTRKPLSILTTNDTDYNMQTDMDYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLGGDLKKAMKDGKPIIIEGPAGGLEQDGASRSSAWRRTARGARAGRSSLPPPASSICAAASSAPPPNPHLSISALSRPWRRGEGEAVPRAGLPADREESWRGYASSAPCAGEPPPFCFGAKWLGQAAMGCKALLEEWIATRAFRDNCLPQDLRKLINNLKLIQDYLCSFESQGLTIVDMSANSFPQTLDWLHSYLLQCIERGLLAACSESCNEEGN >Dexi8B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:8B:25964342:25964769:1 gene:Dexi8B01G0015170 transcript:Dexi8B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKPICSLLAAASASTSAAANSLSPLLRTDPTCRRRLPQVPRRLPGLRRRAGLQPPRRPDIWVASGPTLAAAVRTRAPPPPTPRRR >Dexi4B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:4B:19075132:19076570:-1 gene:Dexi4B01G0016950 transcript:Dexi4B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAPVARRSAARASTAPTAAVAVLAVSLSLPLMLIAVLFGAAAQELDDEADFSYVWDAGNGPARWGAIRREWAACSVGRLQSPIGLTDTVAGLVDSPGHLGRSYRPAAASVVNRGHDIMVRFNSNPGGVVIDGVAYRLRQMHWHSPSEHTINGRRYALELHMLHQSGESNRFAVVAQLYKISPTHRDRTIHRLERYIRRIARRRNHEELIDEVVDPRLALGRSTVYYRYTGSFTSPPCTEGVTWVVGHQVRRVTRRQVRLLRNAVDDGARRNARPLQEANGRSIAFYYASPAHGRGSTGN >Dexi3A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:3A:17309275:17310646:1 gene:Dexi3A01G0021690 transcript:Dexi3A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKAIKINKTDPSTDAQPTQRSACCGS >Dexi9A01G0040620.1:cds pep primary_assembly:Fonio_CM05836:9A:44374390:44379518:-1 gene:Dexi9A01G0040620 transcript:Dexi9A01G0040620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVDEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQQQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKGRKGEIKPPKLKTEDPNKSYVQRPVKPK >Dexi9A01G0024280.1:cds pep primary_assembly:Fonio_CM05836:9A:20560811:20561613:-1 gene:Dexi9A01G0024280 transcript:Dexi9A01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTQCQALKKGAPRKVKGRLCGQRTNAGRIIKESYGIAKQQHTFTDTNRGLPFIRSSSRAEIFTRIRP >Dexi1A01G0025820.1:cds pep primary_assembly:Fonio_CM05836:1A:31939880:31941529:1 gene:Dexi1A01G0025820 transcript:Dexi1A01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQKKVSTQKAVTLAVVSLGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSSLQQSGNWTALALMWKTTPITIFFLLTLMPLLDPPGLLLFSWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIVLSGYLIFGSDPGVTSICGAVIALSGMSFYTYLGLKKDSATSGKKAPSRQNSFMTKPKVTADNNDADSEQEDNV >Dexi2A01G0034860.1:cds pep primary_assembly:Fonio_CM05836:2A:44686331:44690668:1 gene:Dexi2A01G0034860 transcript:Dexi2A01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLGGGGGSGGGAGDDDEPYLLGFIVTKIVGMRHYPRARIAGRENVGLVREPLNRYDGNAIAVRNSRGEQVGHLPASPVARTLAPLLDSGLLHALHGIVPRSNSKANFTPYSLPCQVHLFARPDDAAVVEAALEEAGIDLIHNDHPEFALSQSAAVMEQTKKTDREVDKLFSLVGGKKGKAPIQPMEAPRDVVLSELFEHQKEALGWMAHREESDDLPPFWEETEDGGFKNVLTNQSTEERPPPLKGGIFADDMGLGKTLTLLSLIGRTKARNVGVKMAKGAKRRKVKDAGEGPRPTLVVCPPSVFSSWVTQLEEHIELGSLKVYMYHGERTRDKKELLKYDIILTTYSILGTEFEQEDSTVKHIEWFRVILDEAHVIKNSTARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLKFQPFSIKSYWQNLIQRPLENGNKTGLSRLQNLLGAISLRRIKEVDIGTKSMIELPPKTVLECYIDLSEEEREIYDQMELAGKDKMQEFGDRDSILRNYSTVLYFILRLRQLCVDVSLCPLDVKSWIPSNSLEDVSKNPELLKKLASLVDDGDDFDCPICLSPPTKTVITSCTHIYCQTCIMKILKSSSSRCPICRRSLSKEDLFLAPEVKPSDEDGSGKQESDRPLSSKVQALLKLLKTSQNEDPSSKSVVFSQFMKMLILLEAPLKDAGFNILRLDGSMSMRKRLQVIREFAHGGPDSPTVLLASLKAAGAGVNLTAASTVYLLDPWWNPGVEEQAMDRVHRIGQKKEVKVVRLIVKDSIEERILSLQEKKKRLISSAFGKKGGKDDKEMRVEDLRMMLGIDGGGRPVAGGRGSRLLG >Dexi5B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:5B:3339081:3342440:-1 gene:Dexi5B01G0004980 transcript:Dexi5B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFILTDVQYYYYYLHITARLTDACKCTTCVCAAATLAVVRTCRKDAMDGRDSIGEDVTGQAAAPGRAAPCAPRATACRDSSPTAREGSSCVYVTGRPAWDHGKHTTSEHDRAPPRTAMQAVACCCHQLISALQFEPAKLHRSGRAMAVVAGPASGGGTGKRDKTRVRKGAMRADRHGAHGPPQARPCRAPPLRPPATMPPCPSHVCSCNRTLSWGDIYGTLPTYILTPMSSRTNVVELQYVHFH >Dexi3B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:3B:256268:259106:-1 gene:Dexi3B01G0000310 transcript:Dexi3B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDTTHPPPPSTCHDDDLDDEEFQDDDGDDLDDEADEEEDEDDGEPSPSPSEEARLEAVLRRLTADEVRIRVHQVAIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAVAGDRLRRLGAFDTVSITLDAAPPGTPGSAVVVLVDVAEARGRAAAEFGVFANTQEFDLRVALPLGVLNSALNAGVNAGVIHPLEKGVSSLSER >Dexi2A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:2A:30779854:30780398:-1 gene:Dexi2A01G0018610 transcript:Dexi2A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLAVLVEHHNNCDHAQNGWKPHVYNAAICNVREKCGVEITKDNISSRCKTFDKHYEIISKILSQSGFGWDWENNKLLIDSDDVWNRYVEANKAAACYKTKVVKNWEAISTIYSKDHANGEGARTGAESAKAAAEQVEEASQDIP >Dexi7A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:7A:15285976:15287001:-1 gene:Dexi7A01G0004480 transcript:Dexi7A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAGKIGEPDAGAPNRAADPRPASEAPPCAADGATQQPRIMPLLGKPYFTCIMCKSHVRPPFQVVVPRSLAPFLPEATAPAAVTWRGRSWGMRFTGGRQIQRLEAGWRGFALDNGLRLGDGCVLELVDGRPERVVFSAQVLRADIPEAIRERAGGYTSSSPILIDDD >Dexi6B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:6B:24858815:24859298:-1 gene:Dexi6B01G0017760 transcript:Dexi6B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPASRMRPPLTPELAIPTRRDRVSVISTVPASDNGRHLGVLLDSKDDCDVSFIVDGEAFSAHRAVLAARSPMFKELLGSMA >Dexi6B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:6B:26343078:26348534:1 gene:Dexi6B01G0019690 transcript:Dexi6B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSVADSIGSTNCAHRGTWHHRPARLWVTVAALVAGTIWLWFFFSVGLLGTYRAQDFVVNDLWKAADSDGWRASSAPRTYWPSPPTESDSNGYLRIQLNGGLIQQHIAICNAVVVARIMNATLVLPELDTNSFWHDERRSFLVAVYLLSSFLASMYGTSGFGDIYDVPHFIKTLKSDVRIVMSIPEITAQGNIKKLRAYKMRPPRDASVTWYKTTALEKIREYGAIYLTPFSHRLAEEIDDPELQRLRCRVNYHALRFKPDIMKTSSDIVNKLRSEGHFMSVHLRFDLDMLAYAGCSDIFTPKDRIILMKYWKERFPIKGIDYRERRLIGKCPSTPEEVGLVLHAMGFDNSTRIYLASGNPFGGKDFMEPFKAMFPRIENRNMIRMEESALGLAGSAVDYMVCLLSDIFIPTPDGPSSFANNVLGHRLYNGFQSTITPNREALAPIFMDREEGKASGFEERVRQVMLNTQFGAPHERIHPESFYTNSWPECFCQTKARNHADQCPPDNVNYVLESQFQDKEDTEVEAKLTPPEKAKSWLVDLS >DexiUA01G0028110.1:cds pep primary_assembly:Fonio_CM05836:UA:60418369:60428261:1 gene:DexiUA01G0028110 transcript:DexiUA01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPACADARGARAHECVPASGGQLAMLYAALYTIAAGAGGLKANVSGFGSDQFDGRDPREERAMVFFFNRFYFCVSLGSLFAVTVLVYVQDHVGRGWGYGVSAVAMVLAVAVFVAGTPKYRYRRPQGSPLTVIGRVLATAWRKRRLTLPADAAELHGFHAAKVAHTDRLRCLDKAAIVEADLSSTAEKQQPAAAAAASTVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRTLRHGGGFAIPAGSLSVFLFLSILLFTSLNERVLGEVRFRLVVQ >Dexi7B01G0021010.1:cds pep primary_assembly:Fonio_CM05836:7B:26169147:26169737:1 gene:Dexi7B01G0021010 transcript:Dexi7B01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAPAARAHRVLLAMAVVLLLAAVSDGIRPAPDAASANLEHGTTTTEMAIPAVQGSGGGDNKHRQEPVLLREEEVRATGSSLPDCSHACGACSPCSRVMVSFKCSVAEPLPCPMVYRCMCRGKCYPVPSS >Dexi7B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:7B:13351573:13353544:1 gene:Dexi7B01G0005810 transcript:Dexi7B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRSILEPPEPLYVLRAPRLSFLNFWLFFSPSVHNQYPDAQPGLYPPPPPANPPEGYQYQDYFGGAEQPPYSHHGRWPGQAAPSEAGPLHYHDDADCITFLRGCLAGLCCCCLLEQCCI >Dexi8A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:8A:10520738:10521482:-1 gene:Dexi8A01G0008630 transcript:Dexi8A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSSSLGAMGPVLRKLDLLLYHLRKALRDGIELLKEDLQEISSALVELSTLETPTLRAKCWMAEARELSYLIEDFVDDMMWTRSDADSKVRSVCRHRHRVGRVKIAQLPQKQRRGTRIARITQLRDLVRQASERHERYQLDAGCTSSRYLNTGHMRAPTDAANLFGIENSRIKLIEMLTVEEEQQLKVVSIVGPAGVGFLVAFSPSFGRTTS >Dexi2B01G0032290.1:cds pep primary_assembly:Fonio_CM05836:2B:40220375:40222138:1 gene:Dexi2B01G0032290 transcript:Dexi2B01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCFGASSALLCEEDRNSVLGLGDCDGGGDEELVEVGSGVDFSVAAGAVFGTDEFVRELLEKETDHLPLEGYAERLEHGGLESTWRREVMDWICKAHSFYNFGPLSLYLAVNYLDRFLSSCIPHDQPWTKRLLSVACLSIAVKMEETVAPHPEDLQVCDVKPVFDGKIIGRMELLVMKTLNYRMQAVTPFAFLSYFLDKFSEGKPPSFALASQCAEIIVGTLKGSTFLSFKPSEIAAAAVLAAVSANQVVGFGSVLSASDIPVNKEMIARCSELMEEWALVKKRGHITGSSSVPQSPIGVLDAACFSFRSEEPTIESSESNTSGNNSNQVSTQATKRRRLSISPI >Dexi9A01G0040710.1:cds pep primary_assembly:Fonio_CM05836:9A:44445372:44446693:-1 gene:Dexi9A01G0040710 transcript:Dexi9A01G0040710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQAGGWKPALCVVLSEVFSTGTILLSKVAIDWGTFVFSLLFYRSILGAVFTLPFALFFERYSSPMSLYYYGLRDTDASYAVIFASLTPLVTFVLSTLLG >Dexi2B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:2B:13434040:13437492:-1 gene:Dexi2B01G0011780 transcript:Dexi2B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSDEVVQIRHADAAGDPAVVTISCPDKTGLGCDLCRVVLLFGLSVVKGDMSTDGRWCYIVLWVLPRRERPVPWDILKDRLLQLCPVAAPFGFDAAYLAAAGLQDAAPPEPQLFLLKLCCFDRMGLLHDVTRVLCELEFTIRRVKVSTTPDGSVLDLFFITDARSLISSYILSNDFRELLHTKSRREEAYDKLDSVLGDSLASREIDPATEDMLSCLQTCASLTPAVMEQMFNTDLIIEEQSINTRGDTTISVTMDNSLSSVHTLIQIQCGDRKGLLYDIMRTVKDCNIQISYGRFYASQNGRCDVDLFVVQSDGKKILDQQMERTLCCRLRMELLRPLRVAMVKRGPDIELLVANPVEVSGKGRPLVFYDMTLALKNLQKRIFLAEIGRHVVEDREWEVYRVHFGEEHDFSPSLRSKIVDGVTSMLMGLD >Dexi1A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:1A:6714989:6716560:-1 gene:Dexi1A01G0008610 transcript:Dexi1A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPAPPTNAAPAAAAPEPSPSPSRRLPDFQQSVRLKYVKLGYHHLITHGMYLLLTPLMVLVAVHLSTLSPRDVADLWAHLRLNLISVLACSTLLVFLATAYFLTRPRPVYLVDFACYKPGPELKCTRDTFMRCSKLTGSFTDASLEFQRKILERSGLGEETYLPPAVTRVPPNPSMDAARDEAREVMFGAVDQLLAKTGVKPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLLSVDLAKDLLQTHPGSYALVISTENITLNWYSGNDRSKLVSNCLFRMGAAAVLLSNRRSDRRRAKYELVHTVRTHKGADDRCFGCVTQEEDGEGVLGVSLSRDLMAVAGDALKTNITTLGPLVLPMSEQLLFLGTLFAKKVLKMKKVKPYIPDFKLAFEHFCIHAGGRAVLDELESNLALTDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRRRDRVWQIAFGSGFKCNSAVWRALRSVNPAEETNPWMDEIDRFPVDVPKVSKVSSD >Dexi1B01G0030220.1:cds pep primary_assembly:Fonio_CM05836:1B:34248393:34249721:1 gene:Dexi1B01G0030220 transcript:Dexi1B01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCLSTAARAALRLPCPMFSGDATGPQLQVGQQCAPVFPRQARAHHRLVAAADHVAVPVARSRGLVVTAMASQEEAAATAVEEEEDVAEEQLLEQDEVAEEEQEQERDGVIEASSDSGGSSKAPSTTATKLYFGNLPYNCDSAQLAGIVQEYATPEMVEVLYDRVTGRSRGFAFVTMTTVQDCELVIKNLDGNLYGGRTMKVNFADRPKPKQPLYPETEHKLFVGNLSWTVTSEMLTEAFQRCGNVVGARVLYDGETGRSRGYGFVCYSTKEELDEALSSLNGMVCHF >Dexi9A01G0034630.1:cds pep primary_assembly:Fonio_CM05836:9A:39378640:39385260:-1 gene:Dexi9A01G0034630 transcript:Dexi9A01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSSNMPVLAALTTLLLLAVSSCHASPYYPLELGYYRYKSPQAESIVKAVMEKAIGENPGNGAAVIRMLFHDCFGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFEVIDAIKHALEAACPGIVSCADIIAFAARDASFFLSAGKVGHFDMPAGRRDGTFSNASEPLKFLVPPTSNLTTLVASFAAKGMSPEDLVVLSGAHTVGRSHCSSFVSDRLDVPSDINAGPAGFLKRRCPAKPTKEDDGVGDRRSTMASKVAALLSCALLVVAAAGYYTPPNPATCGLKVGYYHDKCPAAEEIVKHVVGDAIFHNPGIGAGLIRMLFHDCFVEGCDGSVLLDPTPANPQPEKLSPPNNPSLRGFEVIDAAKAAVEHACPGIVSCADIVAFAARDASFFLSSGRVGFDMPGGRLDGRFSNASRALAFLPPPVFNLSELVSSFSTKGLAVEDMVVLSGAHTVGRSHCSSFVPDRLAVPSDIDPSFAASLRRKCPASPSSGDDPTVALDVVTPDAMDSQYYRNVMARRVLFTSDASLLTSPATAKMVSDNANIPGWWEEKFKAAMVKMASVEVKTGNQGEVRRNCRVAN >Dexi9A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:9A:2069678:2071081:1 gene:Dexi9A01G0003960 transcript:Dexi9A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGVGVYDDSTDDALKKKKLSKVSKNKSTPYEVDEDFTMSRFGKDGRKKLQLGDGNESEQKEKLSEVEIQAKKAEAAQRRKMQLEKAEKEQQAEAMRKILGIDSEKKKEEKKLKEREEKRVWGFQAYLTPSLSAWHATGLSRDIQSRRVELAKEVLLREVRRPREMLLPRKAMRPREVPLLREVMRPREVPLLREVTQPREVLGTREVSLPREVLGSKHDEPSSLT >Dexi1B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:1B:15303532:15304919:-1 gene:Dexi1B01G0012430 transcript:Dexi1B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHQYHSTSSAASTYRRYADSNDAFFDHDDGDVKPAVVEHWRRDEAAYGFPGVADDVKPVKPAKQPRPQGGGGAAGGRRVHDGDDGTALSWPAAEPFRSTLRTQESLAGLRARYGIPEGFGLIPAGASQAACDPPPQPRGRGGAAASAVPICVYSQAFAAGMRLPLHPFVAGALAHYGIAPSQLAPNGWRVLVAFAVLCHFRGAGAPSLPVFRHFFALAPLPKAKGWYSFRGRESVPALFTGLPNSTKTWKEEFLLVSPPPGSPWRCPVRWGTPSKEATSDPVLTEAEAGVARRLAHGHGVVDLKTYLSESNLVAAKISRVPACSGTEASRVQPSPPAKKKKAVATAPVACGGGPSGEALRSELQAKERALAQAKGKISKLEEELGKAKARELAEARQALAYERQLGKQVIKAEGSKGALAGKRRRGAQ >Dexi7B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:7B:460475:461204:1 gene:Dexi7B01G0000070 transcript:Dexi7B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSESAPTLDEDVDSEPGEPNNPSEFVVEELGMDEDEVAAFKEDIFGKKSGKKRKQPSEKEDSANDSESDSMQRSPPYAESGDSSSDDGGDGEFDV >Dexi7A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:7A:30260605:30263111:-1 gene:Dexi7A01G0021720 transcript:Dexi7A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQKTSADGEYYPIRERAQDGRIYVTSLIYSAVEMVDNFVHADMHPGNILVRVVQPKNSNNTLIKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKRQNCPNPKAFIEEVERSFSFWGTAEGDAVHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEVTCMSCCYFTEFYSEITIF >Dexi4B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:4B:18402753:18405531:1 gene:Dexi4B01G0016330 transcript:Dexi4B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDVSQPSALPAAAAEAAAVKGSSVGEGLGRYYKQQIHDLDLGLQQKINDLSRLEAQRNVLNSQVKLCRNELNLLQEPASHVGEVVKVMSKSKVLVKVHPEGKYVVDVDKSIDITKLTPSTRVALRSGSYMLHVILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVILYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARSGGGGDSEVQRTMLELLNQLDGFESTNKIKVLMATNRIDILDPALLRPGRIDRKIEFPNPNESSRFDILKIHSRRMNLMRGIDLTKIAAKMNGASGAELKAICTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKSMSLRKLWK >Dexi3A01G0030880.1:cds pep primary_assembly:Fonio_CM05836:3A:35180132:35181667:-1 gene:Dexi3A01G0030880 transcript:Dexi3A01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMIHSTPSHTSLPDCFVFPPDQIPQATSAVVSLPIIDMSCSRNEVCRAILEAGKEFGFFQVINHGIPEQVMQDVEEVGKEFFQMPAVDKVDFCSDDVNKATRLFSGTTYETGGERYWRDCLRFAYDFPDGSSTKDWPDKPQRLREVVENFTLLTRGLAMELLQLLCEGIGLPLDYFEGDLSGGYVTLDINQYPPCPDPSKTLGLPPHCDRDLLAILLPCQVPGLEVAYNGDWIKVKHVPHAFVVNFGQQLEVVTNGMLKSIEHRVMTNSALPRTSVAMFVAPTEDSVIGPAKEFINKENPPLYHTLKFSKFKRIYNVVMLGSSINLRTNLRNAQKEI >DexiUA01G0007080.1:cds pep primary_assembly:Fonio_CM05836:UA:13574586:13574945:-1 gene:DexiUA01G0007080 transcript:DexiUA01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRGGLKGVYRQRKKAKPAPRKKQPSKDVQQQQQQQGGVAGGATGYGAEEEALRQFDMDASYGPCIGVARLRRWDRAAAMGLRPPPHVRDLIILRRHHHHGDECLWAGKV >Dexi9B01G0027460.1:cds pep primary_assembly:Fonio_CM05836:9B:29901016:29902122:1 gene:Dexi9B01G0027460 transcript:Dexi9B01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARMSAPALMVTLAVLALVTGGRAQLQYGFYKGKCNASDVEAVVQNIVKARFATEAPLVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTAPPNLSVKAYDLIAAIKAQLEKKCPGVVSCSDIEILATRDAVALAGGPAYPVRTGRRDRRRSSASDVKLPGADYTAAQTTTYFSRLGLTPYETVVLLGAHTVGATHCSVIKSRLYSYGGKPGATDPSMDPALASVYKKYVCPNVTSSDGNAVFLDDQWTAVKVDNHYYRNLQLHRGVLSCDQNLYTDGSTRSFVDQLANDGSTFLTQFAKVLVKLSEVNVLTGTQGEIRKVCNKFN >Dexi9B01G0032640.1:cds pep primary_assembly:Fonio_CM05836:9B:34808437:34812167:-1 gene:Dexi9B01G0032640 transcript:Dexi9B01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLRRPQGAAAPATPSPPRRPGQPSEGIRKVVFGGQVTAEEADSLTKRKPCSAPKWKEMSGSGIFADGSNGDSAEAAAAAKPARTTSRQAISTVSHISFAEDGTDPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHDIFADTPESRANRARNSSNGSSASHTPVSTFSFGEANIDSAPKTSKKITGKKANDLSGNDIFKGDAPPASAEKHLSTAKLKEITGSNIFADGKEPTRERVGGNRKPPGGVSSIALV >Dexi9A01G0034780.1:cds pep primary_assembly:Fonio_CM05836:9A:39525790:39527370:-1 gene:Dexi9A01G0034780 transcript:Dexi9A01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPWRPVLATATKCCAAEDAAVAPDGLARCRPQQSELSRRLASFRRLSSLANSPASSITTPTSGSKDAAAGEMAGPLQLHAFGLGELRGVTHDFSASFLLGEGGFGAVYKGFVDAGMRPGLDPQPVAVKQLNAGGFQGHREWLAEVIFLGQFRHPHLVRLLGYCCEDEERLLVYEFMPRGSLENHLFRRISATLPWGTRIKVAIGAAKGLAFLHAASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLNVKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQQVKLVDWTRPYLTGGSRRLRCIMDQRLAGHYSVKGARAVAQLAVQCTAPQPRDRPRMAAVVEVLEKLQGLKDMAVSVGLWPANAPVAGRNAISAKIRAEVKGAAGAGSRRRSASSKLP >Dexi2A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:2A:8159733:8163427:-1 gene:Dexi2A01G0007850 transcript:Dexi2A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATTTFLPPIPTPRRRLAAAVRRPPPIFTGAADSVPPLEDEDSSDDDDAGGGEAAPRRSGRKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTSMAAHVAAKKADLEVSMLLRDDLVCRSINHSHINCKYLAEHRLPENIVATTSAADALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLASAVQQLLASPNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDQIMSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVMELMNLPQVNICFSIPNVEEV >Dexi3B01G0022320.1:cds pep primary_assembly:Fonio_CM05836:3B:17156589:17159194:1 gene:Dexi3B01G0022320 transcript:Dexi3B01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQQSSSGVNSIGFAVIRKGCLKLRCFAIGDELGGPDQETGDFFVSDAEGDPDKPTDGFSSIDQAINALQEGKFVIAVDDENGDNEGDLILAATLVNPESIAFMIRYCSGIISVGMKEEDLTRLMIPMMSPITEIEDISAAASTVTVDARVGISTGVSAADRARTILTLASPDSKPSDLRRPGHIFPLKYRNGGVLKRVGHTEASVDLVALAGLRPVSVLSTVMDPKDGSMAGITVLQQMAMEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHVAAVKGDIEDGEDVLVRVHSECLTGDILGSARCDCGEQLALAMQLIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDEGHDTVEANVELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFIGLKGYGLAVVGRVPVISPITKENQKYLETKRTKMGHVYGSDLPGSLPGEFVSPEDINASNQEDNQN >Dexi3A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:3A:13728272:13729646:-1 gene:Dexi3A01G0018000 transcript:Dexi3A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSSQFGPRAVGHGDPAVLASDTSFTVNEVEALYELYRRMSCSIIKDGLIHKAEFQLAMFRNSKKANFFADRVFDLFDLKRNGVIDFEEFVRSLSVFHPRAPASEKTACMNRPPLAFKLYDLRGTGYIEKEELREMVLALLDESDLCLSDSVVEAIVDNTFNQADSNGDGKIDPKEWEEFVKKNPAALRNMSLPYLQDITLAFPSFIMRSEAND >DexiUA01G0004890.1:cds pep primary_assembly:Fonio_CM05836:UA:9051144:9052435:-1 gene:DexiUA01G0004890 transcript:DexiUA01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISEPRIAGWNSDHLPIYEPGLDDVVRQCRGRNLSFSTEVHRHVSDADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPEMDRLKRDKNILLAEVVKLRQEQQSTRADMRAMEERLQHAEHKQVQMMGFLARAMQNPDFFQHLLQHHDRRRELEDAFSEKKRRRPIDAAPFEAAAGDGEAAAAPPMMFRAGGLFGELGSSEPGTSELENLALNIQGLGKRKPQDEKQSVGETAELTDDFWEELLSEGMRGGVAGTTATPVTPEMEWRQRPGRYVDALAQRRMSSMSNRTPE >Dexi3A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:3A:8371855:8373913:-1 gene:Dexi3A01G0011600 transcript:Dexi3A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >Dexi7A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:7A:17185661:17186200:-1 gene:Dexi7A01G0005910 transcript:Dexi7A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEQIGHRTRPFSLHSSHFVVSLRSASSTLRLPLQSRHMTKRTPAQPAHQTRARGRPSSSALSLPSSSSLATSAAPPTCLLRTNTAGTRTSRPPSSSRSSSRTTASAPPGGHLPSATIAGGAAFGCLGFSDPTIRLM >Dexi6A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:6A:24553915:24557251:1 gene:Dexi6A01G0016670 transcript:Dexi6A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGQLAQLKSCQSEIIEISELEQLNLPTVDNAMDTESSTEEPVIDYSQLSKIYLKDMKPSERDKLEAEFKQKISAKVANIESTAPNLKALDQYEALQGKEKDITEKFEASRKEEEDISGKYNSVKRRSFRPSPFFILDEVDAALDNLNVSKVAGFIRSKSCKRVVEEQSSDEECGFQSIVMSLKDSFYDKAEALVGVYRDSNISCSRTLTFDMTKFRKA >Dexi3A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:3A:1632007:1634061:1 gene:Dexi3A01G0002400 transcript:Dexi3A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEVLALVALVAAAALLSSLDSLSDVSRLELGDGDLELVPLDGAVGPETIIFGDGGEGPFTGVSDGRVLRWRPEERRWEEHSCSVPDLGSQDPGREHECGRPLGLKFNDRTGELYVADAYHGLRVVGPQGNVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSSRFQRREFLNIVITGDKTGRLLKYDPKTNKVEVLVEGLAFANGLAMSTDGNYLLIAETTSGKILRMSPRGGFWVGLHAKRGKIAEWSITYPWLKRLILKVPMRYVQRASWFLNQLGRQVIALRLSHDGKIIEAISVHGTLQKVFRSVSEVEERNGILWIGSVMSPFLGAYKL >Dexi6B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:6B:13909680:13914543:1 gene:Dexi6B01G0009510 transcript:Dexi6B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAFRPCPAGPLVSRNPGRPFFPSRPLHVSSAVAAPAAAAAATTRCSAVGTRGLGLGLLPLAPDWEGKGKARQRQVACGAAGKAVAGKADEESGGFAKTLQLGVFFGLWYLFNIYFNIYNKQVLKVFPYPINITEIQFAVGAVVALFMWVTGILKRPKISGAQLVAILPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAIFLGELPTVWVGLSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKVMVKKEGQEPLDNINLFSIITVMSFLLLAPVTFFTEGVKLTPTYLQSAGLDATQVLTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKTA >Dexi5A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:5A:2219207:2219902:-1 gene:Dexi5A01G0003040 transcript:Dexi5A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATEEPAASSEGARRRRPRVLLAASGSVAAIKFEGLCRSVAEWADVRAVATASALSFIDAASFPTVVPLYTDDDEWSRWRRVGDEVLHIELRRWADALVIAPLSANTLAKIAGGLCDNLLTCVVRAWDYGKPVFVAPAMNTFMWDNPFTARHLGVVRELGISLIPPVTKRLACGDYGNGAMAEPSEICRTLLLFFGPQHL >Dexi5A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:5A:3760695:3761616:-1 gene:Dexi5A01G0004900 transcript:Dexi5A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRKQAWKKLLKIPNPSSWSREELQQEPHRSRSPRRDSRDERRSMSPRDSRSPRRSPRDSRSPRRSPRDSRSPRRSPPPSKGRDSSPSRSPAPREHNGSARSMSPRRADSGPADHEPRDVSPAANGRSPSPGDYKENGNERLSPRGSASP >Dexi9A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:9A:1121802:1122137:-1 gene:Dexi9A01G0002110 transcript:Dexi9A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSPDGGVRPIAANCSRCRDGRDTERHSQSGLPTIRPEGSGFAGRSLCADVGCPGWERIAESWRHGGEDRPSSATDRRLMTTSPCGTTVSGRTTTILGRPGAGSLGGAR >Dexi5A01G0035110.1:cds pep primary_assembly:Fonio_CM05836:5A:36961647:36963325:-1 gene:Dexi5A01G0035110 transcript:Dexi5A01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYLLGMRRAKNGGKVHEVHLQWSRSPSGFRKKFVDDLIVARYKRDWGLFIDSCFNHCQTPFRISWHSRISLRLGNKTIAEAVAYWYFGGGHGVKEIDCEYPCINPTCSSQLDL >Dexi3B01G0037980.1:cds pep primary_assembly:Fonio_CM05836:3B:40816577:40820729:-1 gene:Dexi3B01G0037980 transcript:Dexi3B01G0037980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWRPILQFTAQHSLLRPVRWSASTSSSSAPFLPRNRLGFPGKPPPPHSAPRHRRGRARVPGAFASVADLKRLIAATGRHGTGRTRGRGPRDGIALCDPRTGEGEALHLEVDMTVDSWVIIMVITISSMLSSRGGGLSNGTGNLASSNSSNLDGKNSGHHEKLTCSGLLSKTEATEKTSVKKTIVVAGAMKTAPEPICQKQSPPDGVTIVSGKLERKVLKTKSSKKKKKAANSGNGDTNYAEFDCNIPFEQSCYNSSFGLGGLPWGADPYSMYVMSSMASSCYPMGLYDNNVNGVSNLPRHTPGIQGYPASYYSSGFDPRLFQDHEAPAHARLSDSCKGARPRSHKPERYHSGTSTQKGGSRSGGRSVQIQSAPEMQESSIEYHDYYEECHSRKKAGTHPASSPRDRGQHRCAKDSSSFESHDYDEEFDGRRMAQARSRSQDGDQHRRAVDSSSFDSHDYDQELGDRRKGRARSRSRFGDKHRHAVDISSSESHGYDEESHGRRKARRRSRSQKSSSKHSYKRHAYEGSTSSEDGRPQFKQLFCFL >Dexi6B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:6B:24297157:24303692:1 gene:Dexi6B01G0017100 transcript:Dexi6B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLPCVSAARPLLAAPAGLGLWRRAARGRRANVARGERRGGAGLWAAGATARPVASLAVEPEADEDEEEAEEEVERYAFGGACRVLAGMPAPLGATALDGGVNFAVYSSGATAASLCLFSPDDLKAETVTEEVPLDPVRNRTGNVWHVFIQGEKVHAMLYGYRFDGVFAPERGQYYDASNIVVDPYAKAVVSRGEYGVPAPGEDCWPQMAGMIPLPHSTFDWQGDLPLQLHQKDLVIYEMHLRGFTKHDSSNTKHPGTYIGAVSKLDYLKELGVNCIELMPCHEFNELEYFSSSSKYVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRACSLWDPVNVYGGPMDGDMITTGTPLVTPPLVDMISNDPILGGVKLIAEAWDAGGLYQVGHFPHWNIWSEWNGKYRDTVRQFIKGTDGFAGAFAECLCGSPQLYQAGGRKPWHSVNFVCAHDGFTLADLVTYNNKYNMSNGENNRDGENHNLSWNCGEEGEFASLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEEQSSDLYRFCRLMTKFRKECESLGLEDFPTSEQLHWHGHQPGKPDWSEGSRFVAFSMKDETKGEIYVAFNTSHLPVVVGLPERPGFRWEPVVDTGKAAPYDFLTDDLPDRALTIKQFSHFLNSNLYPMLSYSSIILVLHPDI >Dexi2B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:2B:29315735:29316803:1 gene:Dexi2B01G0019140 transcript:Dexi2B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRGGGGPSLPPVANPDDDDGYGVGGGMEAEGDAEEDMMACGGGEKKRRLSAEQVRALEQSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRHDHDALRRDKDALAAEIKELKAKLGDEEAAASFTSVKAEPAASDGPVPAGVGSSESDSSAVLNDADAPVTEEAPVPEVQGGTPLLPTPPPVAASHGGIFFHGNFLKVEEDETGFLDDEETCGGFFAVEQPPPMPWWTEPTEHWN >Dexi2A01G0022820.1:cds pep primary_assembly:Fonio_CM05836:2A:34613230:34614080:-1 gene:Dexi2A01G0022820 transcript:Dexi2A01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRLSTTLVTNPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPLVTGVLSSTGVHIRGLAHPFMFDKNTEQLMPDSAQFDLHRIMGAVPVTGANFYKLLADKEFVLLYPGGAREALHRKQRSYHLELWEKMIYAM >Dexi2B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:2B:29980968:29983419:1 gene:Dexi2B01G0019790 transcript:Dexi2B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRRAAVSRGVCGLAAVLALVALACPAFPGASADESSVAPDWHVVSVSSLLPSAAVCSSARAPNSSALSVVHRHGPCSPLLARGAAPSHTEILDRDQDRVDSIHRRVSGAAAIATSSATNPARGSKGVSLPAQSGLSLGVGNYIVSVGLGTPRKDFSVVFDTGSDLSWVQCKPCNDCYDQQDPLFDPSRSSTYAPVPCGDPQCRGLDSWSCSASGNRCRYEVVYGDQSQTDGNLARDTLSLGPSDSLKSFVFGCGDDDSGLFGKADGLFGLGRNKVSLASQASAKYGAGFSYCLPSSSSSTGYLSLGPASPANAQFTAMATRTDTPSFYYLDLVGIKVAGKTIRVSAAVFKAAGTVIDSGTVITRLPSSAYRALRSAFARPMRRYKRAPALSILDTCYDFTGHTTVQIPAVELVFSGGATVGLDFSGVLYVSKVSQACLAFASNGDDTSLGILGNTQQRTFAVVYDVANQKIGFGAKGCT >Dexi8A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:8A:6370835:6371744:-1 gene:Dexi8A01G0006250 transcript:Dexi8A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKEARSKMEKQDGDRLNEGGAGLSPYDNDSRDGACNELILQGLRIFERLVSSHHNRREICSAPGVLSKITAPLYHATLVQDIKISCDWADVVDASFKVLCQLVVAPGKASRRLRREISSDKQALSNLESIILDQGDHQARRDLKMNANEILTQLALDPCISFPLETKENVISRQLSTFLENEGGEEDSMHRFHRSLAGRTVALLSSNSETNSAFILNRHDGIVARLTEKLGTADGIFALRILKNLCVHCTLNKDIVKETLLPKELKEC >Dexi1B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:1B:23786845:23787292:1 gene:Dexi1B01G0017460 transcript:Dexi1B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALFLLALLAAATHIHDDDTKEKQATNDAGVNDWHGGGDHHGGGGGGGYHCKYRCCYYGRHHGCERCCATPDEVPEQQLNN >Dexi5B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:5B:9261199:9265323:1 gene:Dexi5B01G0013040 transcript:Dexi5B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPRHGAPGRLQSTSSVCNIRRLIQNGSLAVPGSSAEDVAHALRIHRPELRRKKLKPFIEVVRRVLSTIPSPSSFDCDDEDGSTCRRRRCRQDAQATTSSTTSLSDESTHPPPSTDLDVTKTMLRSQYASQTTTQNPSTNQQVEIEATADKARRVITSDGGDGGDAKPEAPVSEGDVTGDKGPTFADLGGMEEVIEKLLLEVVVPLCCPELPRNLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEIVSGISGGSEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNNCGDYSDADSFENNPGYVIVIGATNRPDAVDQALRRPGRFDQEIYLGVPDENARNQILRMLAWKIQLEGKLDFFKIARATPGFVGADLKALVNNAGYLAMKRIVNERRVRCRCEHEEAIKFVQPSLRREGFTSIPDVTWDDVGGLDSLRKEFERYIVRCIKRPEDCDVDALATKRGKEGGWVVERLLNQLLIELDGAGQRKGVYVIGATNRIDVIDDAILRPGRFGKKHYVPLPGADERVSILKAHARSRPMSSAVDLDALARREECSNLTGADLALLVIYVISSNRPLFEYAQRVNEAAIAAMEEKWKLQANRTSSMSSSPHLIELTHFEQALSQLKPSVSEQQRKYYDALSKLYSST >Dexi4A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:4A:9037874:9041544:1 gene:Dexi4A01G0011180 transcript:Dexi4A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAVAAAARSGFRPAMGSDTETENKRAPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVMTKPAKGELEGEVKEKLKTDYDQVMSDVAEVTSAMF >Dexi5B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:5B:10577492:10579623:-1 gene:Dexi5B01G0014220 transcript:Dexi5B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMKGAKSGGELESAQAKNQALKEAGAIVPTSYEALESAIKETFDKLLEEGKISPVAETTPPLIPEDLKTAIKSGKDQEQGQQG >Dexi2A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:2A:8470819:8472788:1 gene:Dexi2A01G0008240 transcript:Dexi2A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLEQHLGQQGAGPDEDADPEDKADPEEDRADPEEDRADPEELKIQQKPAKPNKSAAAEIPNIQQHSKKKKQQTRKGGSWWTKENPKFRYGQPMLTNAELESTGPTTTALHSYYLKCCVEKMYHFYAASPSWRSAELFY >Dexi5A01G0024370.1:cds pep primary_assembly:Fonio_CM05836:5A:28232305:28233694:1 gene:Dexi5A01G0024370 transcript:Dexi5A01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYNISCFAAGLAGNVFALALFLSPRVLKAKSTERFDGLPYLLSLLNCCICLWYGLPWVSDGGRTLVATVNGTGALFQLAYISLFIFYADSRSTRVKIMGLLVLEAFVFALIAHASIAFFDQPLRQLFVGSVSMASLICMSASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFAMYGLLLHDFFIYFPNGIGVILGAMQLVLYAYYSRKWKASESSAPLLA >Dexi2A01G0026170.1:cds pep primary_assembly:Fonio_CM05836:2A:37735293:37736500:-1 gene:Dexi2A01G0026170 transcript:Dexi2A01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEELRLHHHHHNTPVMPPYGDVFGTPPPGSPNCVLTPDAPPPPSAATVPTPAFVYSSPPPPQSDYYYPPPYISPSPPDIGPSPSPPDTPPLPPIVYPSPPEVTPSPPPEVAPYPSPPPDITPSPPEIAPYPSPPPEVAPSPPEVSPSPPEISPPIIYPSPPXXXXPEPPTYEPSPPIYAPYPPGIIPSPPEYAPEPPGVVPSPPEFAPEPPGLVPSPPIYAPYPPPENAPEAPGAVPSPPGGSFMPPVVFPPPFAGTPTPGTAGSEWCVAKPSVPGPIVQQAMDYACGSGADCDSIQPSGPCFRPDTMLAHASFAFNSYWQRTKASGATCDFGGTAMLITKDPSYDGCRYILM >Dexi5B01G0030710.1:cds pep primary_assembly:Fonio_CM05836:5B:31614837:31616125:1 gene:Dexi5B01G0030710 transcript:Dexi5B01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPARSSTALVAAVAVALAFAMAAAQGPAAAPGPAPGISDECLNAVLNMSDCLSYVTNGSTVRHPDKPCCPELAGLLESHPVCLCQLLAGGAESYGVSVDYKRALALPGICRLTAPPVSACAAFGSPIPAGLVPTAAPSPMSSSGLSPSISPEVPANTPAGSAAKSTNHAPGRVTAGGFVTLAALPLAVAAGMML >Dexi8A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:8A:3023438:3024790:1 gene:Dexi8A01G0003940 transcript:Dexi8A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEESSYLQWSPRVAHLENSLADPFPYLQEDCEFFDTIAIDPSNTNGQNAFDVDVLTHCHESLLHQESGNLAAIQEELMEENSLSDLLLTGAEAVDAGDSSLASVVFSKLDHLLHATTENAATSSFDRLAYHFAQGLQSRMSGACSPCYPPEPVQSGTMSVLQMIQELSPFIKFTHFTANQAILDATTGNMDVHVIDFNLGEGVQWPSLMSDLARQGGMSFHLTAIILDADYGDDSGHTAARHLTEFAESLDLPFQYSSLCIHSKEDLEDFSRNCEGPVVVSCDTTNLCYKLRNKLQVLLHGCVKKLQPTLVVLIEEELFRIGKEVSLSQASFVEIFFEALHHFTMVFESLACCFSSDNNRVCLRLVEKGMVGPKIQDFVGQYGSVTLEAPPPKDLEGFMSCELSARNIAQARMLVGLFNRSFGVAHEKGRLQLCWKSRPLISVSVWTPL >Dexi8B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:8B:7651678:7653279:-1 gene:Dexi8B01G0006540 transcript:Dexi8B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSISDTLHKFWKKEYEWGWKKFMERSRLPDGFLVDDILTIRAQVQVIRDRVDRPFRCLDEPYRKEVSRVYLTNVEQNVRRFIDGRRKEISKSTNDESKWSRLTAFWLRTDPNTRQHLTSEKTDTILRPLVKYFFVEKEVTSTLMMDSLYTALKVMKQVCKNREEMADIQWPGQDDDSRSTPVVIINKDID >Dexi3B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:3B:1070699:1074209:1 gene:Dexi3B01G0001520 transcript:Dexi3B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGALPSLLPKLADLLIGEYNLRKEVKGGIIFLQAELETMKAALEEISETPPDKLSKVDKIWARDVKELSYDIEDKIDAFMVRCKGQDSRLAEEQHGLRKIITRSHNLLIQPNIRRKIATDIRDIKSRVMEVHERRQRYDVNNNQGIDKPVKVDPRALVRYEDVSKLVGIDETRDEVIKILIQGNNQQVVSRQQDKIVSIVGFGGLGKTTLANAVYENLRKQFDCSAFVPVSRTPDMDKLFNNLLYQLAKRNNACTTDNAIYELREFLGSKRYFIVIDDIWDISHWEAIRRIYGHDGKDKCPNEELEEVSDKILTKCAGVPLAIITVASLLASKGRSKLDWYEVYNSIGTGELQNMLAESLNKLQNIQNLSIGIFGRSGSLKGWTGPPSLRSLKVTPYCFPKLPAWINPSHVQNLSLLVICVAEIQQEDLKTLGKFPALRYLLMQQLGKRSPDAPTRELVICGGSFPCLLRCKLLGHYERVVFQQGAMPSLTSLELHIYRRVWHAWEPTGIPNLGFENLPSLLELRVGLREGHGDPSKEEARTALRNMAEIHPNHPNILADDK >Dexi1A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:1A:24699863:24707201:1 gene:Dexi1A01G0017350 transcript:Dexi1A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEFISLETACEADAKSEEGGDLQAEAPDVSGAEPLASELQPEGEACTVGSNSKPSDGNIDLEEGQVEDLQAEAPDVNGVEPPASELQPEEEAYTVGSNPKPSDGNIDLEEGQVEDMDLEDDDVVVVKDQLLDASVQPEVSVPAVQTLIGFEVNLDKVDGAENAPIHESNIISVEESRILSNLLLFLDMGFLAARGVKRARVESKEPSVRVIYSDLTRESKRKLMELMQQWSQWQARRQPSVKEAVEEILESGEETYYPALHVGSENSCAVVCMPFIEIELHNFEKQYRADKDDSRCFNCGSYSHALKDCPKPRDNVAISNARKQHNLKRNQSNVNRMQNRYYQKTPGKFDDLKPGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDGDVKLEFEEGELPEQGEPSPPRKRMTVEFPGINAPIPENGDLWLWGSAPPQSSVRHHSSDSRDYRDRGPPGTDHYSSRYHSHDYGQMSPSLGRSHSDRGWRSPSRYENQPADDGAWAPHSYPSRQYSSNHSSSLEMSRHSSSRDRDRDRDRDRHDSRRYHHRR >Dexi5B01G0034260.1:cds pep primary_assembly:Fonio_CM05836:5B:34487085:34497010:1 gene:Dexi5B01G0034260 transcript:Dexi5B01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPQRKRRADSEADDADADLVVLRSGGGASAAGRSPVFDRRMVLYDRPTALVPAGAPGEPFEDMVCTYHCRQMVKSEFMVALDTAEKQVQEYRTKTDALEEQLSKSEDERMQFLDKLNYVEQELAATKGRESALQERLLKELADYQERYRDQVQLNKEIDSRIRAESSASSAKESIKDLEGKLQRLSESSEREKKTLRKELSYMKEDLTLSASKLNTELEKTRLRADNHEDEAKLLNEQLVELKRQLDEEKEVHEAKRLKSSHTNAELLKEKLLEEQGRRERAEQELSKLQEIEAKAHKLELELASCTALLSNIPDVSSYADIPQKFAEFQKEALTNLNKVGEVTSRLKELEVALEFADLSKQRAEGEATLAKERAENAAKEVKRLEFMLAAISEERDKLRKEHPMESDQSGMEKIIRELESTIQELKELISHKDAELNIMNERLSLEARKVKSLEREGDQLRSQMALLESKLGHGDYSSSSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDANIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQQEIAQQAVTERQPQMEKTKALRARAPLSRKAVVALCVTSFVAGLLLSGKVSLTPASSAADMGRGHHDGAKGSGGGLRVPGCGGNKRKLGESHPKDLLNEVSRTHQAIQSLDKAVSTLEMELAVERARTTGAGTAVASKPPQKAFVVIGINTAFTSRKRRDSLRDTWVPRGIYTYIHICPPAAAVADERDAGEKLRKLETEKGIVIRFVIGHSGGGSGGAAMDAALDAEEAETRDFMRLDHAEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHLNLGMLTSRLAKHRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAISKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMRHIHKACGEGEGAVWNVAV >Dexi2B01G0029680.1:cds pep primary_assembly:Fonio_CM05836:2B:38049026:38049310:-1 gene:Dexi2B01G0029680 transcript:Dexi2B01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRLSGGHRADVDRPYDDEARSPGGRALTRQADQWMGRPREGSMSSAVAVAAGRDSTTSQLLSSAYIVPSSPRASVLDSPNVGVVPSRGTDG >Dexi6A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:6A:28113706:28115015:1 gene:Dexi6A01G0020690 transcript:Dexi6A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSNTIGDDTGASLPEDQVLDVLTRVPLDDLAACRVVSTRWRSITYDPAFAPLHCRRTATVSGYFLQGMDHNRHRVEFVSMHASPAISLDFLPSSNARIEAVAAHRGLACCVGFFDTPRRRPPCYYVCKPATRQWRALPSPRTRFHTAAMAMAAKPCSDAAVKAVFKIVRFSIPKVHNYLHCEVFDSRRFAWRRAPDVHCEVSLPLTPAVGAHGAMHWLRWYGQDVFAFDLESEAWRVIALPREVDERRDPRARKQLSAMAGRLCLLVTTTTEAGAGEEVLDVWEMANYAEGRWERKMTVSLKSLRAEEGAMMLRHLYSSDVGFLDSLIYKAMWYDFRRGKKVAEVKVDQACVEHVFKFESDMIPCKLR >Dexi9A01G0024920.1:cds pep primary_assembly:Fonio_CM05836:9A:21734262:21736481:1 gene:Dexi9A01G0024920 transcript:Dexi9A01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALEPFFFTPPPAPRHLAELRIEPAHTAVAFSAPCAADAGRKRRCLLPASSVRKRMLLELAPFDPAPGTPPPAPTPPPSPVAPRAGSSTAAEFSFAPGLRPIQPTPAAGNMFAFAENAPTTPGGSEASSAGNMFAFLAAPERPNTPTGPTSRGGFVFAAASPEAALTPTSRGSSTSGLSFLAFPKQPLMPSGSGGAASLLSPKTARTGATNSGGFALVPSTAPACAHMGSTSSAAAKDTTLPAVGTATPAFVFSASQSPPPPRGGSRKRPRPNLRIKTTQRRMSPRLWAVDTPTPPQELTPPPQKLAKTNSSDNGEGPRSSLMSGPCCLFVTSPAKAAKQEAKKASSEESRSPAGSPCKSPVRPSSQEKPSSPEKPSKLEKPSSPEKPSKLDREMEVSSVACSGADVVVRITCKCGVHKEFSFDHRL >Dexi6A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:6A:21967472:21967705:-1 gene:Dexi6A01G0014570 transcript:Dexi6A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSACGVAQLGKRSSEWTATRRQPARALQLQQCSQLKPRRRRKRPRPRPRPMKSRTRARIPRRTARSRRRWRRAP >Dexi2B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:2B:27844072:27849007:-1 gene:Dexi2B01G0017430 transcript:Dexi2B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNRRLSAKHSPSPATAPVPRPKTTAPPFPGDCDDDDFQPPRARLLKPCNAAAAARRPRKKLKPSSSTGKENSSVGAVLAVTAAAIPPKGAGTLGAGSRVSGDVPEAKEPMAGESCGISRYGSDAAELGRRGKIGLDGYGYCKGDSNSLLNSMKSMVLPLGAVCDLGGGSCEGAQVLDSSTSVSEEWHSTEKKQKNSEVLEGCCNSRLVVPGILESDANCDFVTAGSCYSKKLGSGILCSPTDEKNMEEESVGASGCGAGLHKRIDDLYSLEPKLPKSNANHDSSGGDCSKAQEPDSLACNLDSQERKVDAGHCSTPENETIENRSSGSEACKGHCFSNSSESKLPESQGIHDFETDGYDNFEIGTQLNELINLCMKDSIEGQSNCASPIKQSTFDSKRFKSDLQVKCPLCGLDISDLSEELRQLHTNNCLDEPVKDLLGMGITALGPRKKITHALGELRKKHDGANDMEAGVSSSENTKKAKLPMNGNKLITEYFRCSPFDQRQSSRACKVNRPSNLNEQKKSSATAATRISRGGKGKVKDTPLWCFVPGTPFRVDAFRYLRGDCCHWFLTHFHVDPNLVCTYLVYLCFLASHVDVQYLTRICVSSTDYQGLTRSFCHGKIYCSSITASLVHHKIGISWDKLHVLPLNKKITISGVNLTCFDANHCPGSIIILFEPPNGKAVLHTGDFRFSSEMVDNPVLQSSHIHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFMEVARLLQKKIYVGAAKLQILKHLELPQEIMHWFTANEAESHIHVVPMWTLASFKRMKFLSNQYAVRPSLLYIQGRFDLIVAFCPTGWAFGKGKKKTPGKRWQQGSIIRYHAGCVPLLFPPKSLYEVPYSEHSSFTELQEFVKFISPEHIIPSVNNDGPESADAMLAQLLNE >Dexi1B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:1B:6518392:6519747:-1 gene:Dexi1B01G0007870 transcript:Dexi1B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEEGCVVAGKGEEEPAAREIPEEITDEILLRLPSRSALARAAAAGRDLRALVSSPRFLRRHRSLHRRDPGALLGVFTFSLTRDGAGDDGDGTGFHPAEPPHPAAAAARAVAAAADFSFGFLPSDPSSSKEWLVRDHRDGRFLLDRAVAGTERGTVFTELAVCDPISRRYALLPPIPEDLADTVDGVINVFGGRRGCEPFLGPAADEACDDADADAPPPFTVFWTARCQRKVAVFAFSSRDGRWRELPSPECFIWRRHRSPFSCPMNTVWNRRHYAHGRFYWVDCLTNRWLVLDMRAMSLKLSIIPSPAGYWEDHVAVVEAPDGKVGVFAHDFHHPGGKADLHYYTIEDGSGGAPRWQLEKTIPLPWPTAHHRPFCVRGAANGCLILEVSEQKPAFRASNRVRDAELFKIDVKSFQLEKICQARCAGGAAGQCCWPYFGFPPSLSLPTI >Dexi2A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:2A:32784694:32785727:-1 gene:Dexi2A01G0020620 transcript:Dexi2A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQRPLRHGRRLSSSPPQFSSVIAPEPPPSSAVHRQKKPLRSECFLSREYVISLSLSLNDLCFGLASPSLFPGSSTSSYLWLGCARRRFIRLGARQLTTRTRAGFPSFTRRARERRAETETAVSPGAHVPPRVNHPSHRASLRVNPEAGTRPTWTGRRSWSRDGWGRVWGPARRELRASRGWRRPPGHVLQIRLGHDATRSILFLGSGLSTPSGSRKEGRRMIVIVRARTMTVLEHFDARPRL >Dexi2A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:2A:860344:861069:-1 gene:Dexi2A01G0001290 transcript:Dexi2A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWKRSKSAFHRSSVTPSSAPGSPARASTSRVQPGGRRSGASDADGGGGEGDFLAVVPPKLTRQRKLRHVDGIDVDCLADLAATSAAEPGRRASSSPPLQRGRAAAEAVGIPGSIPISRSASSREAVVQPPRSASSPVLHPLPLPSPRLPNLELQDPPGVADGWGLSERTPYAPRY >Dexi3B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:3B:13900981:13902423:1 gene:Dexi3B01G0018700 transcript:Dexi3B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLAVSHFVPMVQLADVLLEEGYAVVVAFIDPIVKGDIALAAVVDRVAASKPSVAFHKLPRIRDLPAFVHDANFVVRYFDLVGRYHQHLHDFLLSMPPGTVHSLILDMMSIEVLDVTSKLGIPAYTFFPSNASALATSIQVSSIREQGQPSFRELGYVPLNLFGVPPMPASHLNAELLEDPESEAYKATTKMMRRIQESQGILVNTFGSLDARAVGALGDRHLFPKMPQIYCVGPLVAGSGEDKEKHECLAWLDKQPDHSVVFLCFGGTGAGNHSEEQLKEMATGLEMSGHRFLWVVRAPPHHDEPEKPFDPIADPDLDALLPTGFLERTCGRGLVVKLWVPQVEVLRHRATGAFVTHCGWNSVLEGVTAGVPMLCWPLYAEQKMNKVFMVEEYGIGVEVVGWEQGMMVKAEELEAKVKMVMEGEEGKRLTARVCQHKEAAAMAWKDGGSSRAAFGQFLSDAGCLRERLTGP >Dexi9A01G0032800.1:cds pep primary_assembly:Fonio_CM05836:9A:37655316:37656655:1 gene:Dexi9A01G0032800 transcript:Dexi9A01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEAVETTAAKLISRERVTDAAATLFSDADDSGQIPERFVRIGADDGEAAGEVVVGEDEAFELPVVDMAKLQDPELSALETAKLGAACRDWGFFQLTSHGVDEEVIRHMKGSTAEFFRLPLETKNAIAFGGDDTFNGFGHHFNRGGPSEGGKLDWAECVLLITQPVEGRKMEMWPANPPSFRNALDKYSLEITDLTRRLLRFMAADLGVSEEALVGAFFYSNNGAAVKGQSVAIHHYPPCRQPEKVLGITPHTDGLGLTVLLHADDTPGLQVKREGRWFPVRPMPEALVVNVGDILEVLTNGAYGSVEHRVVPDAENGRTTVVMFQDASIDGMVAPLPELLDGDDARARYISTGKLEYTKGHFVALAEGARFLDNLKK >Dexi1A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:1A:21543944:21544225:-1 gene:Dexi1A01G0014840 transcript:Dexi1A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAISPRQRQVVQGREDADAEGGQRAVELRTSSSGPTMESTTNAGLALSISPAILLVLPSEQLTSSSSRYCGGDELEARGLRSRGAGCAYG >Dexi2B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:2B:17113085:17126062:1 gene:Dexi2B01G0012360 transcript:Dexi2B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSGGPSGGGDRSGGRFQRGPARWSGGGGGGGAGSPPHRYSRGGGDSGGGGGGRFHPYRGSSDYSSCGGGGGAYRGGGSGDDFGEQRHRYGGGNRGGGRGDFQEVACGGSELRALEAPVAVGEAKTQTLSNIDVALGIFVQIMIVEVIMSNFLLGLFQGQQLKKISAQKKLDASNLSFSKVRPLFEEHGDVLEIALIKDRKTGEQQGCCFVKYATSEEADRAIRGLHNQYTLPGAMGPVQVRYADGERERHGNALIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVAAMNALNGTYIMRGCEQPLVIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWRPSSPRSMAPNQYNNFGSDNPLALSGGAVTSSDAAAFRPQMFPGNGSLSTQTAVPTSSHMEINYSQLQTAGSIDTDRIQQGIQAAQERAWKS >Dexi6B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:6B:10228815:10230030:-1 gene:Dexi6B01G0008230 transcript:Dexi6B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDDGSLSPTHPFDKCLDETVQAEAILTALNGVASSSSSHSKDDGWASSDDEAVAMEQEDDPEADAARLSFKEHRRVHYDEYRKVKELMRTGSLVEDEADENNRVDSIGDGKGVGKG >Dexi4A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:4A:24546676:24549271:1 gene:Dexi4A01G0020760 transcript:Dexi4A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVTPIHLRPPSHRRVWPVSGYFYPADGGLYANLQAAIGVKIPAAGYWERIGTLAVAPVTPKSGGIAMIATRERGTARDPPRLPSPLPPTFGASSACSAVLPLADAAAPWPQEQRRAGEGKKDAEQGEQQIEERAERDNKDAAASLTTSMEDETTNRQGGAPRRDNPTQIPQIEHKSTPYRSTGEPSTIPARSCRRRKGPAKGRLFGASPPRRESLAREAGEKERGEELETPRFLVELEASRGTPLPPLLHLRRKVEEDLEEEPMAGSTRSSRRRRPWWPRRPAPPPRQIEPKSTFLVPQSSSSVLFFLPLHLRYRRRSSASSARRHSPRRAASPRRSRKGRGCWGQWLVGGEKRERAAMAATAWEIERELREAMGEREKGAAGGVDEEGKKREGKKK >Dexi3B01G0036710.1:cds pep primary_assembly:Fonio_CM05836:3B:39570813:39572123:-1 gene:Dexi3B01G0036710 transcript:Dexi3B01G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDPAARLSRRRPRLAGRGKLDFTGHGELNAAGHGELDPAARLTHRWWCLAVLRVGRGKLNFTGHEELDLADVGACHEQGDRQSNETLGPTTTSVFLFASRPRETQLCLSLRWGRKMAARYKNMWSEVMEAHVMDLGASHSSTLEKLYTWK >Dexi5B01G0031610.1:cds pep primary_assembly:Fonio_CM05836:5B:32299656:32305365:-1 gene:Dexi5B01G0031610 transcript:Dexi5B01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFTWAVVDVFSDQHTKALDCAIVEFSSPELLDAAGQTACNRGSTKGYDHNLGRDPRRVPVRAVPLEGSKRPRVYAVRPAGPCSRSGNVSGGLTACPQNNPRFAASDGVPPEVMIVGIRGASAIGGLAGSYRRSDWLRPEVPVRRIRRPRDLALSCVDQKPTTSWRQRLEDLSADDHERFMALQKHLETEFLKTFRKGREDRARVWSAIGGQIGCARQPDRLSPWTPKNADSEARSDRPSRSTAKGSSEVGLSTREEGGSTEHGCGLRVYY >Dexi9A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:9A:2900453:2901873:-1 gene:Dexi9A01G0005250 transcript:Dexi9A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQLKSSHHHHHGAVMEGKPPPPLPSTMQQPAATPRVSMFRRLLVKVSASEKFVADGKEKDKDDKSQPPASGEADAAGSVGLDRMVLSFMEEAATVERPPRSRCNCFNGSNQEESDDEDLDFFLPSEHTAKPATAGAGDALESLKGLVQSASVAERNLLADASRIADKCGKNCKGKAECRRVVADGLRALGYDAAVCTSRWEKTSSYPAGEHEYIDAVVGKEEVRLIVEVDFRSQFELARSTKAYRAALQALPAMFVGTPDRLGQIVAVVGEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCGDGEKAVVPGPAAAAATPVQAASFSGAFELVFDRKPNNAAAAAVEGGGSVGEKITVVVSPWRPTEEASKMQQQQVPKAKVVTGLAAVL >Dexi9B01G0048930.1:cds pep primary_assembly:Fonio_CM05836:9B:47797480:47799602:-1 gene:Dexi9B01G0048930 transcript:Dexi9B01G0048930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANLQGPSSIAPPPPAPSTSHQDDVTPRQQSSSYKASEIASRFIDPNKSHVDTAAPIDSVKGAVSKFGGSLDWREKRKQGPEDESDMVSAVQEEVAEYQKRAVSAEAARSQALVELGRTASAADKLRLSLQRAQVEDAQARQEADLAEESQRAAGERAAAKAELDAVGARRAAALADLRAARAELESMAKVRAAAVAEADAARKRAREAAAESQETGNAVEGLVADLIALKGELESSHAAHVAAEEKRLRLAVAFEEDKSQWQMELEEAQQEAKRLRWELVAACEVETKAEAASKLLANLKAELFACAAVQGGNDKPAAVSSEPRPKPMLVEKMHKELEDVKASVERAKDEAKCLRVAAASMRDVLEKEKAELAVVRRREGLSSASIHSLREELSRATSELAVAEAAAKADSGEGSKMAEQVGEARREVEEAKAKARSAREAVAKAREEAGVAKAAVATVEARLEAVAREILAATSSEEMAMATAAALVQQDGKPSKKSSSQQSNKAAADGGVTLTMEEYSELSRRARETEEVAGKRVMEAVKLIKEAKDAEVRSLEKLAKAGRQTEQRRQALEASTLEAEEAEFERMSAERELRQWHGSPRAGLAEISVLGDRTAGGNNPHILSPRGGYMPRTTDLMMAAADADAAARQRKTTFFPRMVMFLARRRAQAWK >Dexi7A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:7A:26759299:26764598:-1 gene:Dexi7A01G0016960 transcript:Dexi7A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFEEPRISSAKINEARGLPNWAHLVVVVGVRGGLVVDRRVGLALLVPEPPTLHVTPFCRLPHFPTINARPLTQDYLALLLFRSSFPALSEAKAQHSTASPLAKLPPRTMAASVACAFFFDAEPLGEPGRHALDACALCSKPLSRNSDIFMYKGDTPFCSEECRYEQMHHDAAFARRASGGSQRRRQQQTQRGTGRHDGGAAATVSAKADVLLAEKNLAEPDGKRKEEACNLQEIKSNHGGASSLAGAPRHDGSRRREDTKAERIMDLKNEEDAFIGESVPPHSQSSRRLASLRRRRLRLGPEKVKGSRAEVGRARRSRTCRDSPEQRRTDVMAAVRHDTGPHFCARIPPTSGHQLCGWERTSSVLDVLSPCRSATFCDCELIQLPSFSLAPGGYADPLACCSPARDRAWLTDGISSSSQLHQVAFAKRAPWTPAEAQTILSTHAMAILNPVPTAQPAHHSQIESSSSCIYDLRPPASSSPAHERCLVACMHAPHSPKESPVRENEPNKVAGASALVYARARPTPNHVGVWRTPRGGAGRAAHQATDNGCRHLAESAEHRSTSPWPATEQTRNRTGARYSPTRGRSRPCPIAVCPSRSLSSAQRSKPSCFPSLPSTHCNARSLATRDSMAVASVACAGFFFDAEQLGEPRLPALDACALCAKPLARDSDIFMYRGDTPFCSEGCRCEQMQLDAIRARQASARPVGIGLSLTCHKEPGWRLARSLIRCKKIRWTAGIAIQFAVTIWSGLSKAMMPVGAGKGSQLASVWGGFTI >Dexi5A01G0038360.1:cds pep primary_assembly:Fonio_CM05836:5A:39391579:39392340:1 gene:Dexi5A01G0038360 transcript:Dexi5A01G0038360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAALSFPARLGASATLSHAGRPVGATCARPLGGRGRRRRGLMVVRAGGPPSTNALILAFVLPLSLFVGTLVTAARVGDDLDERFLREMEINKAILEENEAESDEDSEFGEREEEEEDDEQAPIPAEENVPVVAGAAAATRTRNRPRRQV >Dexi4A01G0023100.1:cds pep primary_assembly:Fonio_CM05836:4A:26244436:26244759:-1 gene:Dexi4A01G0023100 transcript:Dexi4A01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGKLVLVAGLAFLVLAAAEDHVKHGPAEADAAAGVFITAAAATSPAPERPPIPPSGPSDQFNGEVNGVVNGEKPSSGSIDGQSLAEAP >Dexi2B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:2B:25631108:25633950:1 gene:Dexi2B01G0015580 transcript:Dexi2B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAATFLARPHPRLLRVGRRRADGVALVRGGIVALPTRLRGPRCSMSLSIGGGSGASEDRGFTYEHVAVFPRYRLRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPSMDIISRRFFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >Dexi9B01G0046770.1:cds pep primary_assembly:Fonio_CM05836:9B:45980755:45985995:1 gene:Dexi9B01G0046770 transcript:Dexi9B01G0046770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQVGSRLAWSRNYAAKDIKFGIQARALMLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRAKNVGASLVKQVANATNNTAGDGTTCATVLTKAIFTEGCKSVAAGMNGMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELNDPLILIHDKKISNGHAVAKVMELAMKKQKPLLIVAEDLESEVLGTLILNRLRGGIKVCAVKAPGFGDTKKANLQDLAILTGAEVVTQELGMNIEDFEPQMFGTCKKVTVSKDDTVILDGAGDKKAIEERADQLRSSIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGERKDRVTDALNATKAAVEEVQIIASNAGVEGAVIVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALIDAASVSSLMTTTESIIVESPKEESSATATAGMEMEY >Dexi6A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:6A:12470420:12474285:-1 gene:Dexi6A01G0010200 transcript:Dexi6A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPAMALLSLLCIFLMFHGAAAISAGTRDGMQRWGYVTPRPKVNMFWWFFKSPNRVSSTCAPWPTILWLQGGPGGSATGRGNFLEIGPRDLNLDPRKFTWLRIADIIFVDSPVGVGFSYADDPSALVKTDSQAVADLVGVIKVLLKKLPTLRSGPFFLVGESYGGKMAAMVGVQLSRAIRNGTITNLMLGGVVIGDGWISPEDFSFSYAQLLHAVSRLNDNAVEDVNKMAVTVKEQMMAGQLAAAQKTWTDQLDLIDSRSDSVARVGYLQNMDNFLLDTGMNPVLENTKSLKSSQLMYRNSQRSHIAPKDIDDLVNKVIKPWLKIIPKSLVWQEATLAVYEELADYFMKPAINEVDELLAAGVNVTVYNGQLDVICPTIGVEAWVSKLKWDGLSHFLSLPRRPLHYCDSAIYCSKQIRAYVRSYKNFAFYWILQAGHMVPVDQPYPAFRMIASATQSPGNTSS >Dexi3B01G0027710.1:cds pep primary_assembly:Fonio_CM05836:3B:23383457:23389772:-1 gene:Dexi3B01G0027710 transcript:Dexi3B01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSTSMAMEDDYEPASRRHPAATIPAVVVVGRRRRGFPLLPPLSSSRGSPSPLLPPGAFAAVDVVNSRRHEIAAAVDFVAAVELCRETEEIITPSKHMEEESPGAGGARRRCDGCRGARDRARATCDGEDEPCWRGRGGVFRSNGHR >Dexi9A01G0027250.1:cds pep primary_assembly:Fonio_CM05836:9A:31699501:31701777:-1 gene:Dexi9A01G0027250 transcript:Dexi9A01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPYDHDPMAEASSNARVTPAVAECRINALGNDVLLRAISFLEARQLVQTCVLSRRWRNLWRSVPRINATHHEFDGMAESDEERSVLFKKFTNAFLKLRDPVTLDAFRLNYCMPGYQESVDPHAESEDANLWIQHALQSNARSVEVEIWNGDLLLNAAVFASNCFLTSLQLSCVILRRGFFRNLQTGCTALERLLLSGCAIYDIEISSETVKLKMQKNSQWCPKFNNLTALTLGDWCLSADYVLIVFLQNCPNLVKLTVKTEKCNTSQAIISKLKGKEGSLTCQNMEMLEIVCSEGDRNVLEKLLVQGDITSWNVCVRRQ >Dexi3A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:3A:11359900:11361095:-1 gene:Dexi3A01G0015280 transcript:Dexi3A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNDWDLQAVVRSCAGAPGEAAPAPPPPQEKEARREIVNVVGRRAAAAAATPEFVGRPVRPAAALIRDLDYLDLDHELPRAPFSITPSSERGQPLDHEVLFSFPAASTSGQQQLIHPRKQPGRKPGVRTPRAKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKLVERSPANPGMLVVTYIAEHCHAVPTMLNALAGTTRHRPASPSDEAASAGGRREEDSSADASSTMTVDGGGAGGGGETADDENEPWQQVDMSLEGYPLDLDDLLGGFDEDFDRFFEGDDDGVLERRVSL >Dexi8A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:8A:218568:221539:-1 gene:Dexi8A01G0000280 transcript:Dexi8A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAANTTHPPPPSTPDDHDLDDQEFQDDDDDDDLDDETDEEDDDDDEDDGEPSPSPSEEARLEAVLRRLTADEVRIRVHQVAIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAVAGDRLRRLGAFDTVSITLDAAPPGTPGSAVVVLVDVAEARCRAAAEFGVFANTQTRSCSMEGSLKLKNLFGYCETWDAAGAMELDKTTELGVGVEMPRIGAIPTPLVARMSFLYEDWLKSSLKEHLMSVSVGLLSTMKHNLSYNLTWRKLTDPAPMSSNSVQEQLGHRLLSSVKYAYKVDQRDSSIRPTHGYAFMSSSQVGGLAPGSKYSRFLRQEFDLRVALPLGVLNSALNAGVTAGVIHPLEKGVSPLSERSSAGFGVVMPTRLFRIEINYCHILKQFEHDKGKTGIQFNFASP >Dexi4B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:4B:21320105:21321507:-1 gene:Dexi4B01G0019060 transcript:Dexi4B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEDSAAAAAAAEAPPVFRSKLPDIEIPRHLSLQAYCFERLPEVSSRPCLIDGQTGAIHTYADVDRLSRRAAAALRGLGVGKGGVVMSLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAAAAGASVIVTEACAVDKVRAFAAERGVPVVTVDGAHEGCIQLGELMDAAVPLAADEEVDPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLYFSKEDVVLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFEIGALVQLVRAHGVTVAPFVPPIVVEIAKSPRVGAADLATIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQVRPVALTNCLMLLLHD >Dexi1B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:1B:25608911:25609311:1 gene:Dexi1B01G0019400 transcript:Dexi1B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALNQFVVACLLALIVAAGAARLVPEDDMNPDMMSTRPCHSNNGWSSHLCKDVCKASGFSRYDFTVPNMVMGEMATCCCCPKGYKGVCPMVNE >Dexi2B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:2B:12463608:12465165:1 gene:Dexi2B01G0010950 transcript:Dexi2B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACPGGETMERFIVGAQKSTNQLKAELAKLLPAAGSAHAGTETSGRVVDALLSNITDSLSQALACLRLGVLDTQQPQGQSSTAAAGGSGGARRSRQRIRTDGACRVIVLLQNEVQDSYRWRKYGQKDILGARFPRSYFKCGHNSSCPAKKQVQNSDADPSKVEVAYFETHTCGDDPSPLSLSSHNAPGAVIISGTQGSTARLVPVAMVPSDQHCIAGQLPPLMYPVPDLTTAGSNVPRTAGDIQGDAAVVPEAAVSSTRYDPVPDDMPFTPSMEDEQAELFIIPSPACSQSDLLPTEVAKVELHGPPVWMEHGVAHGDERSAISDFTVPEL >Dexi6B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:6B:176591:182718:-1 gene:Dexi6B01G0000290 transcript:Dexi6B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDMDPHHHHATRDICPLASSSLFLPNREAASEVLDANGDHRSLHAAHHLGQNGDTNHSHTKNHPSTDDNFSSNGHRHGGMSRRPSTSSVDDRSVKSGDDSDGAESTNGAKGSDTEISCVLNDTIWIPPEAADKEDEAVTFDDDDDYSDGIKWGQSSFPSPGKEHEASPSNPREEREKAMLEAMNGQLKILVSRFLASAGISSSDGEDSNSWLDIVTSLSWEAALLIKPDGTMGKDMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSFHSPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAIGKMMELCSPNVVMVEKTVSRNIQELLLKEGVTLILDMKLNRLQRIARCTGSPIISFSEVLDKPKLKQCDYFHIEKFTEEHNTTSEGGKMPSKTLMFLEGFPCPLGCTVHARGTTLYSKVATLLQKLKNEHPDAITVAIDVGLSLPIKDFSELEELLIKEKASLEGSMDKAIDQNGRPSSSVHELLNINWSYQDLLLRLYIWDRRLHQLFYCKSVVLETAVNCNNPADTVNGISDDNSEIGKKINGVTYDESMTTFVSASSTESARNKLDHQSGETGAPLFDESPEAGHSELSCNGGSKDEESSIVPGEVDSTTEAPKGPCLEISDDKGVQGNVKVADPTPVEQEPSSSPQQFKYPYWDGRERWIWNPIHESQLAYRNDIQDGYLDKFEIINHYKPSYLPPLFEQQDEAYPPQFTVGPGSNILCVQEDEISSIIARALAMSDERHHLKDSLFENETENSRGEHARTMEKSFSFMSASSYSSSQWSSIGSQESEASLSSLSSISSDDFSGYDSSSLLSSSHPEMTVNGKITFRGKYSVTIIYANEFYELRRKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPHYFQHVFHSLDTGSQTCLAKILGIYQVKQIRHGKEVKIDLMVMENLLFGHNISRTYDLKGATFSRRVADSNDHDTVYLDQNYVEDMGVSPIYIGGRTKHLLQRAIWNDTAFLTSVNVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPKEYKKRFRKFMGKYFLTVPDTWSPDSPSKKCKSIGHSNHKSVEVQDGDSLLQHPNQAEACA >DexiUA01G0019780.1:cds pep primary_assembly:Fonio_CM05836:UA:41003764:41008318:1 gene:DexiUA01G0019780 transcript:DexiUA01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPRASNAASTLVSSGTTPPEIAIPATMHVFAVEFDAFRIKRLNETNNIQVGVDLNSIVSNASEPAGYFTDVMFGPSPVATVPQVHRRTSMCSRNSPAASTAMSPSSIALVSLAALSLLPHRLLCSHAAIDFVYNGFQHAANLSLDGSASVLRGGALQLTSDGNHLMGHAFFDSPAFVFDIVKSASHSGGHGLALVISGSKVLPGVSYGFYLGLLEDNTTGNSSSNHIFAVEFDTMQIKRPDETKSNLVGVDLNSIVPNVSEPAGYFTADGKKTSVLLESAQPIQAWVDYHGRTKPLISRAIDLMPIFKQDMYVGFTSSTGEKLASSHYILAWSFSTKGAAKAIELSRLPKVPKRAAPSPSLSTTIKITALSCVATLVVIVLAAIVLALWIQRRRALAEMLEDWELAHPHRLPYKELHAAANGFEGSQILGAGGFGKVYKGVLRRSGDVVAIKRISSNGTQGMREFVAEVASLGRMRHRNLVELRGWCKRGQDLLLVYEFMPNGSLDTHLFGRARDGSIMPPKPLLNWEQRVRILRGVASGLVYLHEEWEQVVVHRDVKAGNVLLGDDMGPRLGDFGLARVYEPAGSGPATTRVVGTVGYMAPELTVTGKASTATDAFAFGVLLLEVACGHRPVDPVTGELLVRRVRDHGAGGDLVGAVDERLDGCYDEEEAKLVLWLGLMCSQSRPEVRPSMPQVCQYLDGELEMQEDAVLVFSGDVDYPVDVGLLASLTWSSCNTMSVGSLLLGR >Dexi3B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:3B:14716412:14722752:-1 gene:Dexi3B01G0019730 transcript:Dexi3B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPMDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDADVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKVHPWFRGVQWDKLYQMKAAFIPEVNGELDTQNFEKFEEVIYYFSRWFSSEGEYSPCDDSFCLETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFLSMLPPKEGQPSSHSSIPPEQYQPRRK >Dexi1B01G0031110.1:cds pep primary_assembly:Fonio_CM05836:1B:34835558:34837524:1 gene:Dexi1B01G0031110 transcript:Dexi1B01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNLEVFLEAATPQLPWRSAPMDYFQGPDNVWQMEKKDMVDYFTLEDLWEHYSESSAYGLSVPVRLESGKFITQHFVPYLSAIQIYTSKTLAIPRSVGSDETDSWSDDSTAEKLSRSWDDVSDDFDSAPARQGGYLNFQYSEWDPPYERIPLAHKVAELAQDYPYLTSLKSAELSPCSWLSVAWVTTVQCDESSRTTASTVALSPFGMATYRMEGKLWTAGSRSQDDLYGAASSWLKQVGAHHPDFNFFTTHTPAAAIRFRY >Dexi5B01G0021330.1:cds pep primary_assembly:Fonio_CM05836:5B:23618458:23619963:1 gene:Dexi5B01G0021330 transcript:Dexi5B01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAPVKGETMTGGAAAKGPVVVTGASGFLGSWLVMKLLQAGYTVRATVRDPENVVKTKPLLDLPGAAERLSIWKADLAEEGSFDDAIRGCTGVFHIATPMDFESKDPENEVIKPTVEGMMSIMRACKEAGTVRRIVFTSSAGTVSIEERTRPVYDQDNWSDIEFCRRVKMTGWMYFVSKSLAEKAAMAYASEHGLDLISIIPTLVVGPFLSAAMPPSLVTALALLTGNEAHYSILKQVQFVHLDDLCDAEIFLFESPAAAGRYVCSSHDVTIHGLAALLRERYPEYYVPERFPGIDDDLQLVHFSSKKLLDHGFTFRYTVEDMFDAAIRTCREKGLIPLATVVGADGSGSVRAPGDEKGATLGAEGPAVGA >Dexi9A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:9A:8552643:8557239:1 gene:Dexi9A01G0013330 transcript:Dexi9A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKRGNRKGTRGDSAEPAARPAAPSSSSGGGGGGAGGAAPVTVNHASRATAATPSSPTSPHVAPSALATNQAAGAASPPLLEPLPLLRDVAAADRPGLLIRKLRIVAAIFDLSDSLKHPREKEAKRQALLELVDYVQAPAQAGANAPVRLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDDEEEPFLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLHLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHISHWNQAVHGLTANVRKMFLDMDSELFEECQQQYLEKQARAKEMQEQRESAWRQLEAVVAAKAAGDDMVLVN >Dexi4A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:4A:21571338:21572636:1 gene:Dexi4A01G0017700 transcript:Dexi4A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVEFEQDFSTPISSKNNETNAADSSEEDVVKKLRRESFGLKKKMSGCGWCGALQWSTDVDGLMSSTDVEL >Dexi7B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:7B:21029695:21033232:-1 gene:Dexi7B01G0014960 transcript:Dexi7B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMADAAAAVGETVRELREAYEGGRTRSLAWRQAQLRGLLRMLKEKEVEAFQALHVDLGKHRAEAYRDEVGVLTKSANGALQQLGRWMAPERVWVPLIAWPATAQVVPEPLGVVLIFSCWNFPLGLSLEPLIGAIAAGNAVALKPSELSPCTARFLADNIGKYMDASAVVEGGPDVGEQLMEQRWDKVLFTGSPRIARSVMAAASRHLTPVALELGGKCPCIFEPMGNARELQISVNRIIAGKWSSCAGQACIAIDYVLVEERFAPILIKMLKATLKRFFQETGHMARIVSERHFERLCNLLKDRAVAPSILHGGSMDANNLYIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIDIIKAMPKPLAIYAFTRDATLRRRIVDETSSGSVTFNDAIVQYAIDGLPFGGVGQSGFGQYHGKYSFDMFSHKKAVMKRGFLIELRLRYPPWEESNVTLMRYLYRFNYVAFVFYFLLLGLKR >Dexi4B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:4B:12326291:12328633:1 gene:Dexi4B01G0013570 transcript:Dexi4B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGQEERSRVLVIGGTGYIGRFIVAASAREGHPTSILVRDPGPAYPAKAAVLQGFRDTGVTLIKGDLYNHESLVAAIKSADVVISAVGYALLPDQTRIISAIKEAGNVKRFLPSEYGNDVDHVHAVEPAKSVFAVKARIRRAIEAEGIPYTYVSSNFFAGRFLPSLGQAGVTGLPTDKVTIFGDGNVKAIFAAEEDVATYTIKAVDDQRTLNKILYLRPPNNTLSHNELVSLWEKKVGKTCERVYIPEDEVLKKIQESPVPQNIALSISHSVWVKGDHTNFEIDPSFGVEATELYPDVKYITVDEYLNKFI >Dexi2B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:2B:2188963:2190751:-1 gene:Dexi2B01G0002660 transcript:Dexi2B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGGFSGAKDDDAASRLTYEIFSLLEAKFLFGAGDVARRHLPGLTSSVPSGKVCVLSIDGGARASDGLLAAAALVRLEASLRRRSGNPAARLPDFFDVAAGSGAGGVLAALLFARGPLGLPLYSTDDALAFLLRRLRHRRSTWSSRAAGSLVLRRCSVAAAFAKAFGELTLRDTVRPLLVPCYDMATRAPFVFSRADAGVSAAHDFRLRDVCAATTASGAAVEVVSVDGGGTTRIRAVGGGGVALGNPTAAAITHVLNNRREFPDAVTIDDLLVLSIGAGEVGGGGRRGDKAPEVARIAAEGVSDMVDQAVAMAFGHSRTSNYIRIQGTGSRRGGGGGGRAPRACGGETREQAVWKAEAMLLQKSVESVLFQGRKLAGETNADKLERFARELTKEHARRKQPPPLPPGGGQGRTSPADAAAVVSSPAKQTSTPTPPRKAVATAATPAPTSTDAAAPHEDALPRRRRRAAAKWAPPPEEGGRSRDGAR >Dexi9A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:9A:8178382:8179834:-1 gene:Dexi9A01G0012840 transcript:Dexi9A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQQDDEALVKLENTGIERSKAVDSAVLGKYSIWRRENENEKADSKELLARLKESQRSLGEATADAELPKSALERIKAMSQVLSKARDLLYDCKAITERLRTMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPVDATIHVENVDDFKWLNSSYCPVLKQLESAAMREYYFRADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKRKDITGIYHKWQTMVSWRYG >Dexi5B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:5B:5693199:5694838:-1 gene:Dexi5B01G0008420 transcript:Dexi5B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPLCAASCSTALRAPPPLLRFRRPAAPVSASVARAAPAVSDDLVLRIAEQLEDSVTSSSPLLDPLRSASSLSLLSTPWPTRRSGDAFRFTDISYLRSFPISLPSRAPDLAPPSSPYPSHVLFSDGLLVSASGAHVSSLADLPPGRARDRAAAALAASVEFADNDLFYDFNAVGARDVVVVHVPEGVKVADDPVHIMFTYTDCGAESMLMSNPRVLVVAEKEAEVAIVEEHFGAGEEGGCYWANPVVEILIDAGARVVHSYVQRQSFAAAHTKWTVVQQDVSSKYEFVEVSTGAKLNRHNLHIQQLGPETETELSSIHLTSQNKQIHDLHSKLILDHPRGVSRQLHKCIACAAGNSIFDGNIKVNRIALY >Dexi9A01G0049440.1:cds pep primary_assembly:Fonio_CM05836:9A:52081856:52083794:-1 gene:Dexi9A01G0049440 transcript:Dexi9A01G0049440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIASRPSCVLLHQRSLRNLNRYRRWLGTGKKTILSTRGVLESSNGAPSGGLVKKRINLNYELQFGICGILDTGRIEEPNCEKFTHAVFMRFQQKEDIAEFQSCAYYSKILDEHVKPVSYGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLETASREAMEDASSSLQRLISQCSSFIVQATCGKTTWYPYSCSCRRCLNLENGYSHAAVIRFPSFDDFKLFRESNEYKDMWASKFHPIVEKSLQLHFTVDPVGNQLM >Dexi7A01G0022420.1:cds pep primary_assembly:Fonio_CM05836:7A:30695211:30700341:-1 gene:Dexi7A01G0022420 transcript:Dexi7A01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSSAPAPAAAFRRSSASSSPSFVARHSQSAFVQKLASPLAEYVSLPVSHYSVHITLHPWRGEGSSDRRRHVGRRASGGAAEPSSPPDAAPSGGQQTSASAGKAVVPDDEFSLAKVSFGVIGLGVGISLLSYGFGSYFNLLPGSEWSALLLTYGFPLTIIGMALKARTLSLFCTENLRYAELKPVPCTTYADAFALREKCATPILKQVRSDVTRYRYGDEQHLDEALQRIFQYGLGGGIPRRSAPILQNIREEVGSLSVTEDGKYSLALVFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKGGDNLYEVRLISETT >Dexi9A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:9A:2732610:2734463:-1 gene:Dexi9A01G0004970 transcript:Dexi9A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSGAGDIAGGPQVLTLRFARQVILGRWFMVFACLLILSASGATYIFSIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVLSGLINEVTPPWVVLAMGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICIGANSQSFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIFTQLYLAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPHPRGPTRRRGEGSSPSAATSNDSFFCFLYISIALATYLLVMIVVQKQVDFSHAAYAVSAAALLIVLFLPLGVVIKQEYKIQKELLESLREPPTVTVDKPSSPEPSPSPAAKTQTTTTTTTSTTQTPSSSSSCLGSCLRHMFSPPAQGEDYTILQALVSIDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPSKSINTFVSLISIWNYAGRVTSGFASEMFLSRYRFPRPLMLTLVLLLSCVGHLLIAFGVPQSLYAASVVIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPIGAYVLNVRVAGALYDAEAARQHGGSLAGDGDKTCIGVECFRKAFLIITAATVAGALVSLVLVWRTRNFYKGDIYAKFRDNAADADELEEEDLKRHKETEVNGRKG >Dexi1A01G0026900.1:cds pep primary_assembly:Fonio_CM05836:1A:32767332:32768579:1 gene:Dexi1A01G0026900 transcript:Dexi1A01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVGGLEEDADAPPFGGGGGGPPFFPPGGGGGGGDGPLGGGGGGGPFEGGSGGPPAFGGGGGGGDGPLGGGGGGGDAAAGFGGAGGDGTAEAAAMGGAGGGLALPGGGGRPGDALAGAAGAGGGDGRGTELTAIAGDPAAATEKEELEPAYIASSPFSSTIPNPPPPPPPRGGRLGSLSGSFPAAKSWRPSEYPFPPAATRSFFFPWRRKRKKTSPETAKLLSAVLTTATAMSLRLADDDDDEGGAVEDDDPGGEGGAVAVGDGDGDTIGGGGGVAMAGVRTEGNVVWMFLCGCAAASAWSATAATMRRRSGRGDIAAMRWRR >Dexi2A01G0030670.1:cds pep primary_assembly:Fonio_CM05836:2A:41534197:41535251:-1 gene:Dexi2A01G0030670 transcript:Dexi2A01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQTAASSSSGGGVEHHQDRSIVVVEEERRPQPVVEDDVEEEDEPIFRDIHPLSSPPPSQPPSSYRRGRGESWGSAAGGTSRHTSIRSVGSDTAPSEPFPTMSREFSAMVAAANAAANANDAERDLDAMGRIGEGEEEMEETNPLAIVPDSNPIPSPRRGPPTPGGADMALAANGAHGHGHGGEGGVSVGLVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSTKRSFF >Dexi2B01G0030750.1:cds pep primary_assembly:Fonio_CM05836:2B:39000940:39005782:-1 gene:Dexi2B01G0030750 transcript:Dexi2B01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDAGTAETAQEKAPSFLRSFASVFGHADATDVALMLLGLVGAMGDGMSTPVMLAITSRVFDDTGSGPDHLQQFSSKMNENVRNTLFLAAAHWIAAFLEAYCWTRTAERQSSRMRARYLRAVLRQDVEYFDLNAGSTSSEAVTTISGDAVAVQDALSDKVPNLVMNASLFVSSYAVAFALLWRLTLVSLPSVLLLVVPGFLYGRVQIGLARQMREQFTLPGAIAEQALSSVRTVYSFVAERHTAARFSAALEESVRLGLRQGLAKGLAVGSAGIRIAIFAFNVWYGSRLVMYHGYKGGTVYIVSVIIVVGGGALGSALSNIKNLAEATSAAERIMEMIRRVPKIDSESDAGEVLDHVAGEVEFRNVEFSYPSRPKSPVLVSFSLRVLAGRTVALVGASGSGKSTVIALLERFYDPTAGEVTLDGIDIRRLRLKWLRAQMGLVSQEPALFATSIRENIKFGKEDATEEEIVASAKAANAHGFISQLPQGYDTQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDTSSEHVVQEALELASMGRTTIVVAHRLSTIRNADMIVVMQSGEVKELGSHHELNENEAGLYSSLVRLQQTRDSVEMTEEVGKTESIDISQQSSIQSMSKGFSAVSRSSSTCSIGDAKDCGNTKYPKLPVPSFRRLLMLNVPESKQALMGSFSAIVSGSIQPVFAYAMGSVIWIFFSTDHQEIKKETRTYALISVGLAVLTFLVSVGQHYNFAAMGEYLTKRIREQMLAKFLTFEIGWFDRDENSSGCICSQLTNDANIRMSKKSTHAQSECSKLAAEAVSNLRTISAFSSQNRIMHLFDKAQDGPRKESIRQSWFAGFGLGTSMSLLRYAGSVTADLAKGADAVASVFAVLDRKTGIDPDNPEGYTPEKLKGEVSIREVDFAYPSRPDLIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPISGVVEIDGKDIKTYNLRALRQHIGLVSQEPTLFAGTIRENIVYGTETASEEEIENAARSANAHDFICNLNDGYNTRCGEQGVLLSGGQKQRIAIARAILKNPAILLLDEATSALDSHSEKVVQEALDRLLIGRTSVVVAHRLSTVQNCDMTIVLQKGIVVEKGTHASLLAKGPAGTYFGLVNMQQGSNHTSSSIILQ >Dexi8B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:8B:10391647:10391904:-1 gene:Dexi8B01G0007990 transcript:Dexi8B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSEQGRSAAAARSVLLTGAPSDATRPAPERATTSTPASRTRSSAPHQLLCLPPLGWPAGWREQLAAAGEDAEDGGRGAEEEA >Dexi5B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:5B:2122062:2122649:1 gene:Dexi5B01G0003210 transcript:Dexi5B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVEEVKEAEDAGLRKRKRGAGAGVGSGGEEGDEEDEAGVEAAEGEGKDLLGEEEEEGARYEGIAEEDVMRWLELEIAGAAAPGGAAAGSVAVSGIDGESCGPSFSAAASTVMASFDTRAGAPPPPRVPWPWPERIVVKDVGGAAGTTTQQQQAAGDAVEMEMGPGGGEGDEEWLARLLTCGGPLLEGVL >Dexi6B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:6B:5446889:5449895:1 gene:Dexi6B01G0005860 transcript:Dexi6B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVKNLHMHQSFSFGGSEIKVWHGLVEDLRVYFLEPQNGYDILHCHDWSSAPVAWLYKEHYTLSSLGNARVVFTIHNLEFGAHYIGKAMRYCDKATTVSNAYSREVSGHGAITPHLGKFYGILNGIDPDIWDPYNDNLIPVHYTSENVVEGKSAAKKALQQKLGLEQNDVPLVGIVTRLTGQKGIHLIKHAIHRTLERNGQVVLLGSAPDPRIQGDFVHLANTLLGVNYGRARLCLTYDEPLSHLVMS >Dexi9A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:9A:3710153:3711526:-1 gene:Dexi9A01G0006520 transcript:Dexi9A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCYLFVKSILMGHTIQEVVLMALFGIFIWTLIEYTLHRFLFHIETKTYWSNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCFPRYHLNHHFRIQDKGFGITSTLWDTVFGTLPPLMAAGKKN >Dexi9B01G0032590.1:cds pep primary_assembly:Fonio_CM05836:9B:34782993:34784265:1 gene:Dexi9B01G0032590 transcript:Dexi9B01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVATTTRVPLPLHAARRSILLSAVTRRRGGFASISAPSSSSGGGAGGRFSAGGGGGGGGDDDSGAGAAAAAAAVAALGEAEPSDGDADAIVLHVGGMSCGGCAAKVNRILENQPEVAAATVHVETATAVVWMTPEAKATKDWQKQLGDKLANHLTACGFQSHLQGLDKF >Dexi2B01G0022980.1:cds pep primary_assembly:Fonio_CM05836:2B:32565790:32569316:-1 gene:Dexi2B01G0022980 transcript:Dexi2B01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSSLASSHLSRLADLRRAAAPANLAVPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSVSKLVSELNAATLENDVDVVVAPPFVYIDQVKNSLTERIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHIIGENDEFIGKKAAYALSKNVKVIACIGELLEEREAGKTFDVCFEQMKAFADNITNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPDVASSVRIIYGGSVNAGNCAELAKKEDIDGFLVGGASLKAPDFTTIINSVTSKKVAA >Dexi5A01G0024120.1:cds pep primary_assembly:Fonio_CM05836:5A:28015788:28016759:-1 gene:Dexi5A01G0024120 transcript:Dexi5A01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTPPPPPPPPAPPPLSALPSPLAAAACFALLLPSSHLLFLSAHPSPASPAVHLRAYSLAAAPAFPRFAPASLSFKRHASASGLPLQGLPFGLGVRLAAGVNAVALLSLAAGQIWVLAPKLAADGRTVELHKCAVVELEPARPVYAMEVAMGRLLLGEASGLRVFPLRGLMKGGKEEGKKEGAGFAGRKSLQKKNGMVNGLVVPVRRGSHGERGEADVVSTCEYFTLFFLL >Dexi4B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:4B:6942569:6944625:1 gene:Dexi4B01G0009570 transcript:Dexi4B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQGDIYGGSRQGRGPVAEYPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVITVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDQHEWNPEVDVHLQSDGYTNYSLQTLDTGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWLAGQDVQLVMLGAGRADLERMLQHLERSHSDKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVTPFDPFGDAGLGWTFDRAEANKLIEALGHCLDTYRKYKESWKGIQARGMSQDLSWDHAAELYEEVLVKAKYQW >DexiUA01G0021470.1:cds pep primary_assembly:Fonio_CM05836:UA:44611083:44611355:-1 gene:DexiUA01G0021470 transcript:DexiUA01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFEAAVLLLCAAVSVLLVLQPILDYLLAISPLASAIAGAITRLALPAAYFTGVILVYLQIAPVPVPPAALRLMAATACALLLLLAIM >Dexi1A01G0029870.1:cds pep primary_assembly:Fonio_CM05836:1A:35297951:35300005:-1 gene:Dexi1A01G0029870 transcript:Dexi1A01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPSHFFSAGINHFHKTPDREWFETDAVLRVSLGNFLFFTILAVIMAGIKDQKDPRDKIHHGGWMAKIFSWAFIVFLMFFVPNGVVSVYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIATFSFSGLLFHWFTPSGQDCGLNLFFIVFTLILVFAFAIVALHPKINGSLLPASVIGLYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSAAVLSPPDSPRGTDKPLLPFSKADEQEDKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSIGESGKLVDVGWPSVWVRIATQWATAGLFIWSLVAPILFPDREF >Dexi8B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:8B:24538895:24540506:-1 gene:Dexi8B01G0014180 transcript:Dexi8B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRILVTTRTEDAAKALGAQNLVAISDLDEEQFFSMFMHYALEGAKVSDQNLLRSYQSIGRKIAEKLGRSPLAARTVAGQLKLRLDIDFWTSTMNNDMLNNGTAATLWWSYQQLEEHLKQCFTYCSIFPRTYKLQRDELVHLWMAEGFVKTTKETEDMEDMGHYYFNLLLSTSFLQLKVNEFSKEYFTMHDLFHDLAASAAGSDFFRIEEGMVGNIPKDVRHLFILSYDERVFQEEILNLKSLRTLIMSSSSNKSMNIEDFRSMLKILKKLRVALVEVENFPTISPCVGQQKHLRYLGLFGKIPMMTLPRQFTELYHLQKFAVRCTTSVDFHFKNEIANLVNLRYMICRVFNSPDIRRLTLLRTLPVFRVKKTRGYEIQQLEHLDNLRGNLSILGLENVESKEEACQAKLANKVHLSYLVLQWNSDQKSDSEEVIEALRPPFLISSLKIVNYNGSTYPCWFSREKDALKNLQHLELSD >Dexi7B01G0021260.1:cds pep primary_assembly:Fonio_CM05836:7B:26359496:26361720:-1 gene:Dexi7B01G0021260 transcript:Dexi7B01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGYTVQVTNLSSRVSESDLHEFFSFSGPIEHIELIRSGEYGATAYVTFRERYALETAVLLSGATIVDQPVCITYWGQPEETFNFWDRPNWEVEEEIEYRVWNYQACQLNTTPQEALTVAQDVVKTMLSRGYVLSKDALAKARAFDESRGLTAAAAAKAAELSKRIGLTDRVNAGVGAINAGVGAMRSVDETYRVTETTKTVATATGRTAAKLMNTIVTSSYFSAGAMLVSDALTRAAKAAEDLAAHGRQS >Dexi2B01G0032770.1:cds pep primary_assembly:Fonio_CM05836:2B:40575582:40577243:1 gene:Dexi2B01G0032770 transcript:Dexi2B01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGKTLTALLKSATRPGHLLQLHALMLKSSHFPHHAFPTARLLASPLAPLPYALSLFAAVPRPTLFHHTALLRALSACPSAASLAASLSVLASARARVPALDEFAFQPLLALCAKMPGDAEAASIGEQLHALVLRYGFLDVVSLRNVLCHFYCNCGSMVDARKVFEEMTERDAISWNTLIGGYARAEDVHRAVEMFTAMRWSEMDVNVTAVITLIGCGWRGDSVHGFCVKAGLSSDVKVAAAMVRMYVREGNVECSSKVFHETARRDLVLCNCMVDGYAKAGRIQDAMDLIDRMRQSGMRPSSGTLVGVLSACGSSGELPAGRRIHELAEEAGLELDTTLGTALMDMYFKVHGNVKLGRMVQAQLDAMGHYHPSDVIQLSNTFASEGRWEEIARLRDLEEQKVSVENKEAGCSSIVVSC >Dexi2B01G0027050.1:cds pep primary_assembly:Fonio_CM05836:2B:36013393:36013666:-1 gene:Dexi2B01G0027050 transcript:Dexi2B01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCSWEAVKTLACSYFLIDDRPLFPEIQGLLAEVELTPAEVSEMLLRSEDVDVGMWHSGCSRSSSCRRGVISERKGQNKMQQKTRQR >Dexi3A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:3A:5481173:5482573:-1 gene:Dexi3A01G0007850 transcript:Dexi3A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLNAYSSAQPKPGPLFTGGTRQARSAPPPKTYWIAAAAAAAGDRGDRALGRRRHGGVDLIIAVGSGGYRTSELLMAGFGSLAPKTRNLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDAGNSSNAGS >Dexi7B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:7B:16971534:16972300:-1 gene:Dexi7B01G0009350 transcript:Dexi7B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNASGAWTSGLFDCFDDISGCCLTLFCPCVTFGRIAAIVDQGATSCCASGTLYFLLSAWTGGQPSVLTGGLGCLYSCCYRARLRTQYAIPEGPCNCPDCCVHLWCEPCALCQEYRELKARGFDMSAGWEGNMERVGKGGAATAAPQMHPGMSR >Dexi4B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:4B:8306016:8306531:1 gene:Dexi4B01G0010950 transcript:Dexi4B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGDIVLCFFYLLSGAVLLVLMLYAICSDQPASAEGDVEGRQQQRFPIAVWPPGTVPASPPPPPALAAPVPPVAALPYFPYAARGGIIGGGRQASETVVVCAICLDPLRHGQPCSEVPACRHTFHRDCVGVWVRSSNSCPLCRVKIVPRSGAAAVARRM >DexiUA01G0022720.1:cds pep primary_assembly:Fonio_CM05836:UA:46361783:46363698:1 gene:DexiUA01G0022720 transcript:DexiUA01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLVSLVLVRFLKAKADMEALAVSAVASPVTGDDDVNSLKVRPAMESIVYSFWVAGLVIYNIFFGKGGTSLVIILPVLPLWALGGCRMVLRFFAFHRATHSFAHGRNVQLIHGYMVQLQEAADCPDVPRLIVTGERKQDIEETSVGYRVKQSALEEEPSSSLVTLDRIWRFAGYPLAEEGSGWAFRFVSDGLLSPQDDHKRIFRVIATELTFASDFYYSPLRVASLGSLYAGLYFLSSALIFSWLCLLVLLLIALIFMFADALNDDTLDEKLVPRLPIVLGVVIAWMEMSEMLTNMRSNWTKISIVGHYIRCHDHRLLQRIFTWLLRRNKTPKKQCKDEMGQVDLLANLGNHRSSCAHFFMKYLYIGRQNPVISIKVPLEAKAAILSSFRSSGGQLSAGTAAVQRRRQTFSHDITWACLGGEAVTNTTDTILIWCVATGLFENRCSSRKPPLLSSSKQTTTKKEVAVCLSRYCMYLVAEVPGLLPDNSAWTKLRYQEVMESVKAAALPRSCCGGGDIETGAYGQLVDSFGGEGSHEVLKRGSELANQLLDEAEKQRSSEEGAAGDGGEDAVWEMLLEFWSEMLLYVAPSDNVKVHIEVLQHGGELITLLWALL >Dexi5A01G0033870.1:cds pep primary_assembly:Fonio_CM05836:5A:36077061:36084150:-1 gene:Dexi5A01G0033870 transcript:Dexi5A01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPGRVVDHHDRLVVKEETKAVVVEVAAKRTQEEHHHQQQQQLQPPPTVSMSQTVVPGGSADASAPPWDGVPHLARLPSQRSGMGVAKATAKASDNLHILKQEVKSQRKHVKSLRKKSLWSKNLEEVMGKLVDIVHFLHLEIHNAFGRSDSEESQEPTKRRNRLGPAGLALHYANIISQIDTLLTASQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSDVNSKPTGQMDLTRIETLYHADKDKTEAYILELVLWLHHLISQSKTANGERSPIKSPVRSPTQRGVSITLSPNKTSSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKARHNKQSRLSKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDVIDRVDNLRIQ >Dexi9A01G0032160.1:cds pep primary_assembly:Fonio_CM05836:9A:37001100:37001791:1 gene:Dexi9A01G0032160 transcript:Dexi9A01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARATTESLPVASDVQALAEACSRGVDDQQQVLEKYFKEDPDEEVVVGCPIPVNDFRKLQDPWLCSSECAKLASACLDWVKKECAQQPDSVQGYGQAFFESEDERMEWVDKLYLHVHPCGE >Dexi4B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:4B:4819457:4819698:1 gene:Dexi4B01G0006880 transcript:Dexi4B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVELPMAGEIELFPMAGVELPMADEVELPTAGTTRGEARPPAGEPRSGVELPKVGLSHGPIRSSFPWLASSSSFP >Dexi7B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:7B:21721637:21723710:-1 gene:Dexi7B01G0015630 transcript:Dexi7B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMGGLLRQVTGLPPCLRAGDGITFSFGDHRRHRVVALTGRTRSGRVVTAAAARSKYRRHRGAAAIAVPETSRELLNQHVRPSNTASNQLTTISRKDKFFEIEMNVRDDELDEYGVVNNAIYASYLHSGRDVVLEKLGISVDYWTSTGNAMALSELNLKYFAPLRSGDRFVVKVKPVQIKGVRMIVEHKIETLPDRKLVLEGRATVVCLNKDFRPTRVFPELSARAMEVFACKVA >Dexi9B01G0025680.1:cds pep primary_assembly:Fonio_CM05836:9B:26903340:26903786:-1 gene:Dexi9B01G0025680 transcript:Dexi9B01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSHSLALVGASLDATLVSASAAGVAASSSVVVAMAVVAAMDVVVATVTSMVVVVTSPFLVTASATTTLVMEKEGYHLHQAQASGLDLHDRQHRHQAAPLVPPPSALARRRHHTPTALFSLDREPGHRLVFQRQLHQSQCLFAVL >Dexi8A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:8A:11104374:11105103:1 gene:Dexi8A01G0008920 transcript:Dexi8A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVDWLYDVLASLGRFWQKDAKILFLGLDNSGKTTLLHMLKDERLVQHAPTQQPTSEELSIGRINFKAFDLGGHQMARRVWKDYYAAKVDAVVYMVDAADGARFAESRAELAALLSDDALAGTPFLVLGNKIDLPWAAPEHELAYHLGVAGYTTGKGGVDLDGTGVRPVEVFMCSVVRKMGYGEGLRWMSQYIK >Dexi2B01G0024770.1:cds pep primary_assembly:Fonio_CM05836:2B:34106281:34109519:1 gene:Dexi2B01G0024770 transcript:Dexi2B01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCHRANARIRRQRRSTRLSGFSSHPHLTPSQLDSQPAQSTSSTCHRPAYRGEDKAMAMEKAASFLSSLIGGARRRRGAGGDGEVHPDIPHQVLQGHRRFRWDRQWVVVNAKGRAYTQRVEPTLALVQVELPPEAFTEDWEPTVDDHMVITAPGMDPLKIPLATEHATVDDVSVWEWSGSAYDEGTDAAEWFSAYFGKPSRLVRFKAESETRPTDPDYAQGYKIMFADLFPFLVASQVPTINQDNGTFGTEPTETLLTFRSDEVLRPSHKNTRQVYFGQNLVCKESLSGKGNGKIIKVYFGQTLCKESLSGKGKGKIIKVGDPVYVLRHSLLMKRQPESGIFGFQCARDY >Dexi3B01G0036780.1:cds pep primary_assembly:Fonio_CM05836:3B:39649046:39649429:-1 gene:Dexi3B01G0036780 transcript:Dexi3B01G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEKSSRAGIASNRPRASATRPWSEYAAITAFQETAFLPGIPSKTARASSTRPCRASPESMALRRAAASCPDRARRVSSWLLRNTTEVAAAAVTLAAPAAVMRRDGIERRRR >Dexi8A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:8A:3029463:3035440:1 gene:Dexi8A01G0003950 transcript:Dexi8A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGDGGAEEGVGESSSPPRSPAPVPVPVPAASGGSAGRVGGGGGGAGGRDICREVFERLVADGHVEAAGASGPELRARLEAHFARLPTSYMLDVNLDKAEDVLIHQKILAEAKDPDKRPAFAVHFLRLEETNVDETTNSDAHEKGADIDEALSTRYSCPVLVWSKRYTHIHEILFSTTDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWAVEDTDGLHKALEASILRNEGSWSGSDSSASGRSLPFLAQDCESDIDTRLLKIVKKAASGSCGDMFLGIYGGEEVAVKILNPENVDENAWNEFKQEIYMLRGMSYLHQKGIIHRDLKSANLLLGKDHVVRVADFGLARFQDEGGAMTAETGTYRWMAPEIPYTGMSPLQAAVGVRQGLRPQLSENAHPRLSLLMQRCWEALPTNRPSFADIITELEDIQVQAQGTSGESSQKQKDGDSDN >Dexi9A01G0040380.1:cds pep primary_assembly:Fonio_CM05836:9A:44144981:44147242:-1 gene:Dexi9A01G0040380 transcript:Dexi9A01G0040380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAEDGERRLGRVLSFGIPDTALGLVMGYVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPERLFRRFPCLESLKLKAKPRAAMFNLISDDWGGSASPWIRQLSATFHFLKKLHLRRMIVSDDDISVLVRAKAHMLVSLKLDRCSGFSTPSLALVARSCKRLETLFLEESAISEKENDEWICELATNNSVLETLNFFLTDLRASPEYLTLLVRNCQRLKTLKISECFMPDLTNLFRTAQTLQEFAGGSFEEPDQQVVNRNYENYYFPPSLHRLSLLYMGTNEMQILFPYSAALKKLDLQFTFLNTEDHCQIVQRCPNLETLEVRDVIGDRGLQVVAQTCKKLQRLRVERGDDDHGGLEDEQGRISQVGVMAVAQGCPELTYWAIHVSDITNAALEAVGTFSRNLNDFRLVLLDREVHITELPLDNGVRALLRGCTKLQRFAFYVRPGALTDVGLGYVGEFSKSIRYMLLGNVGESDNGIQQLSRGCPSLQKLELRGCLFSEHALAMAALQLKSLRYLWVQGYRASPTGTDLMAMVRPFWNIEYIVPDQDGPCPDFRKQILAYYSLAGRRTDCPPSVIPLYPAF >Dexi3A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:3A:16386271:16387737:-1 gene:Dexi3A01G0020650 transcript:Dexi3A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLTLMQFHDPYLYSGTAGAANLPLMPHSFLHHHQTFVHTGERCPSNKEFVDHAAAEVISAGEQELALASHKEVSYGRGDGNAVEEQNKGSATTDRGEQDDEEAHGVRMIALLMESAVAVSVGNLADANGMLLELSQMASPYASSCGERLVAYFTKAMAARLMGSWVGVCAPLAPPWHAVHAAFRAFYNVSPLARSAYLACNQAILDAFHGKRLAHVVDLDVVPGGALQWLSLLPALAARPGGPPVLRVTGFGLSASALHDTGNQLAALASKLGVPFEFYAVEKRPGDVADVLPVARRPGEAVAVHWMRHAMYDAVGDDGATMRLVRWLEPKVVTLVEQERGGGGGVHDDGHGQFLDRFVSALHHYSAMFDALGASRPGEEDASRHLVERGVLGREIGNVLAVGGPSRSGARRGGRFACWQAELARHGFLRLGGAGRAQMVAGACPAGLGYTVADDHDGTVRLGWKGTPLYAVSTWTWCPSPHAQR >Dexi6A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:6A:26673508:26674878:1 gene:Dexi6A01G0019060 transcript:Dexi6A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAVVSRLGIRFRRLSSLPEFPAAAAQPQHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPSAPLHASRFLAWLRAKPSFAASAEHFDALLLPLARARLFPHLWSLASDMRGLGIPLSLETFSAVISSYGHSRLPDQAVEVFNRLPRFGCPQTTEVYNALLDALCANGNFAGAYKLLRRMARKGVPPDRATFSTLVDSWCAAGKLQEAQAFLDDMASRGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGILPDVATFNSLAEALCNAGDVQFAVALLADASACGLCPDISTYKVMLPAVAKAGLIEEAFRLFYAAIEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKTKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFTPRAPTFNAVVDGLRHCGKHDLAQRLEQLEMSLKGN >Dexi9A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:9A:4264314:4264480:-1 gene:Dexi9A01G0007350 transcript:Dexi9A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSTLTPTGERRDDVSRAGEELCRPRGKNNTRMGLTSTEHDH >Dexi9A01G0031520.1:cds pep primary_assembly:Fonio_CM05836:9A:36523496:36524014:-1 gene:Dexi9A01G0031520 transcript:Dexi9A01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSVASNRILHGHFVQQVTGRVKIPSSSDASYHRIPGEGVPIRHRAEHTESPTRVSRFKEGADEARNVPRLEEDLVELPAGGGAAGAEELDAGVDVEGHHSSSKEEERRRQISTAQRPLPTPVQFGYRGGV >Dexi9B01G0031420.1:cds pep primary_assembly:Fonio_CM05836:9B:33808079:33808930:1 gene:Dexi9B01G0031420 transcript:Dexi9B01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEARTTTALLFSFSAVVLLLLLVALQPPPPPPHTRRRGADLVLAALDRFVVLDGRGILRLATRTNMVLLCHAILLLILRDAGVLATPARRRAAAPPATATVVAAAADPDTETDASITAASKPSAKSIVLWRRPGQRESARDADGGETGRRAVKRHQPRRSTRPAAASALVTQEPGQVERQPLFSSRDIVTVDRAPMTTDQLPVANDRATGGNSDELYERLVVVSGHRRKASVAAEETAAAGVELADDRRIEEFIANQWSMMRQESLQLVRAGSQQAITTC >Dexi1B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:1B:715290:719809:-1 gene:Dexi1B01G0000840 transcript:Dexi1B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAKRGGDDLTTRFRKNRRARNKNESSVGPFQSALEIPHGETLVAIGRGVSGEIVTKSVELDDDSRVSEDDFDLDCKISKAWEGGHVLSMDGKQKKTGLAQSRTLKVNRFGPIVEKSNSHPEATRLSASKFADLFFSCTTNNYSTMHISPAGMILVNTFEDAFGDIHGEGVWRKFSTRASNINSNVVALASFNGEKRFFACTGFIIEWNGSKIILTSASLVRNSGDENKIVENLRIDVLLNNQCIEGTLQHYSLHYNVALAGIGGPLVNLDGDVIGMNFYDKRIGTPLLLWADICKILTSFETKSKFGEVGNGAHFWKMYKDRNIKLNSWPVPMPRWCHPGTMAEDKSDDDDEFGFEPRSGRKRRYSYFKGKKIELY >Dexi2A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:2A:1321725:1322778:1 gene:Dexi2A01G0001790 transcript:Dexi2A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHYSGRALDCRHGRVLIHDTGRVLVHDAAPLAGLLVWDPITGDRHQVSFPPSHHDQDFCSFAGAVLCARHGCGGCDHLDCHDGPFLVVYVGIMVHVAHTWTTVYSSETGAWSSAQVFSSSSNNDNSYWKFNSLKPSILIGDAIYFGIMCPRLRILKYDLGGHGWLSMVDAPKLLGKVAPIDIDGRLGLVEYHRNCIYTWSRQADGVGGGWVWHNVAELETLIPTRRYSLYRDRLHDVIRFREGTDTVIFSLDNNIDRGVFTLDLKSRRVRKVSKRWDYDILPYMSFYTPVYISTK >Dexi2A01G0037650.1:cds pep primary_assembly:Fonio_CM05836:2A:47150593:47152178:-1 gene:Dexi2A01G0037650 transcript:Dexi2A01G0037650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAADAFLVLEFIAGNRRIPNAVLDALLASLPSYSPTSTSTSLRLRKALVLRALHAALHAGDASCSFTLLRKARRVFADPAAAAFFPHQLSLADNEENDGATAAAAVADLKRLLDHEWANLPPSKLELSADRLAGDWPLETWAAADHTKRTKLRLLGESMEREILTKLMEDAPVSHPNIPPEATDSIANEAAGAQRNNDKADPSKQEGMAGHQNASIKGVHGVQLAEKSVPTSNKRSLMERHPNASTYEWDGLGDSDDDKLVGKRELPPFERKPNPSPACAHKIRKKWSEIEEKTLLDGVGKYGKGNWKDIKVAYPDVFEERSTVYLKDKFRNMERHHESA >DexiUA01G0014960.1:cds pep primary_assembly:Fonio_CM05836:UA:31241332:31245997:1 gene:DexiUA01G0014960 transcript:DexiUA01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSDLNEPINWDEIDDFEGNVHDLDYDYVWESGNEGDGNTTDEEDEIVPEDVLVEPEAGGDAHTVQQVEEASMHHVEEADAVPQADAGDEAVFVAFDSGTPANIKRRRYYPPDIKRIFSSCSDTSFFLHVLK >DexiUA01G0006580.1:cds pep primary_assembly:Fonio_CM05836:UA:12675528:12676235:-1 gene:DexiUA01G0006580 transcript:DexiUA01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGTNRGQAKAFKLDSLLKLADVKGADGKTTLLHFVVQEIVRSEDAKSEKAPESQTRNITKDKQFHKQGLKVVSELSIELGNVKKAASMDFDVLHGYVSKLEAGLGKIKSVLLLEKQCTQGQNFFATMHTFLKVAEMEIEQVRCQEKRSLGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDQVCREVSQQDRTVVGSARSFRMSATTMAMLNMHSQHGGESNSDDESSS >Dexi2B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:2B:4183003:4183822:-1 gene:Dexi2B01G0004590 transcript:Dexi2B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDADNLSATELRLGLPGTSSSSDGVTRKPSPSVGAKRALDDTRSETSGTSPAAGADDDHDAAAAPATKAQVVGWPPVRAYRKNTFQAAAAAAKRKAEQQGGLYVKVSMDGAPYLRKVDLRMYKGYRELREALDALFTNSVSAAAAAEGGGDHQHALAYEDKDGDLMLVGDVPWE >Dexi6A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:6A:4037445:4045443:-1 gene:Dexi6A01G0004440 transcript:Dexi6A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVIQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQDQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHLESESGFITPGDTMVRIERGELLSGTLCKKSLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQHGFSIGIGDTIADAATMNKITETINKAKDDVKELIKLAHDKQLEAEPGRTMMESFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIERTLPHFTKNDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKAEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGTEIATTGDNSWPMPVNLKRIIWNAQKTFKIDFRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINREFDDDLSCIFNDDNADKLILRIRITNDDAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKKGKVNKFEQDEGFKADNEWMLDTEGVNLLAVMCHDDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEVLLLVPHLIPCLISAAPSIPLGDLALTTVQRLQITGNPSGSYSPTAPGYSPSSTGQANDKDDESAR >Dexi9B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:9B:14712306:14715991:-1 gene:Dexi9B01G0019980 transcript:Dexi9B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSVDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINPSARRIIVLAPTAQELMDKLEEYVPYHDRVASTLNWEIAHLDY >Dexi2A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:2A:11194186:11197001:-1 gene:Dexi2A01G0010010 transcript:Dexi2A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLAAFMDVTGCGYDDAFHRLASCGGHLGNAINRFYSIDAGPSRTPASDSDSDDDVAPAPRAPVPSVRSGGARGRSLPSGPSSSPRASRWDDSEARGGGGRASKSSRRRHRACDRREKEKKASGSQIGRGGEQNGQQQIGRRRFREDDGDVDKSSRQRRRPPSQDAEEVKKEEGSNSTTSRFRRLVVISDDDTEVGGGRGKEKKKKEDDSSEQPPESKKMKADKDELFRVPHGLRSNSATFHGAKVEAGRRARWLLANVQSMELAMLLPAATSGRPHVLKPYARSGEEAAKVLCNYKVPRDRLPVVLVVDPVTGQAIDRLHGTDPYDFLVSMAPYTDMKPALPFAVRAAAAAATASTIAAAAQSNQKPATATSPASRQEPAPTVRKPDEPVAAAGHQPALVGKVCKMRVRLHDGRVVEKEFGSQCAVAALFAYCRSELGAGGAAARPFRLLRFAGAVREEIGDEKASFESLRLNMSTVCVELG >Dexi5B01G0036310.1:cds pep primary_assembly:Fonio_CM05836:5B:36079246:36083661:1 gene:Dexi5B01G0036310 transcript:Dexi5B01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDGLESSAAGERQGVPFARGGPVFVPFMVGPISTAPGFMSSALQELQSLEAELGDPGDEFDDELCVDELKVLSLEELVERALQEAMEEDSDSGNASQPEEQTLDEGMSADPAPRNEALTSSPSAERESPALLADDTTVILHEPESSNGKPRGKKAKTRRKKGKTGTIALDSSVERESHGSPVDMAIVPYEPEGSEGNGTATTNLSAGNGVSELPIDNLSIVAHDPEEINGQTTCEKGKKRGRHFDREVRAKILQGSYLTKADKWVQIKAKQDEDKFAARLHSFSGDSVKFKGSKSSSEKIEPARSLKLTDAPLKNKALRSDEHRPVVRPEVILCVEIYEKTVKDTFYNDTRHRSATDYSKPILDWLENSSDEVAEKWDAITTGVLKKRQKDLLRGLNVSNVPEFKSERMQATRFSDLHFRPGAGYLYCHQGNCKHTIVIRDMRLIHEEDSHDQVDYPLQAFQLQKRLQKCSVCQIYLATKMTVDDKWALNNPCYFCIKCYYLLHYKEDNTPLYQHTVYDFIQE >Dexi7B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:7B:8003044:8004686:1 gene:Dexi7B01G0003290 transcript:Dexi7B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLLACIVLPVLITIVFQHGYMLSHRKISGASSLPAPPGPAGNPLLGNLLYVIGPLRNNPHRGLASLAETYGPILSLRLGLTRTLLVVSSAAAAHEALSKNDGALAARLVPDNVCALSYGTTSMLQPAVEAAPLVIGAGFSSARGLDVIRPILERRAGQLAGYLRASSGKPVNIREAVNGTVLNIISNVLFSEDVVDLSVQGTQMFKGLIVPVLEEWSKPSVCDAFPSFAPLEHFLGSRHRISTHLAKLYKFFDQGIIERRLASSENHNDLLDVLLARYAASKLSRQEITTFLTLLRHPEKMKKVTAELATQLGSSDFVMESDLNKLPYLQAVVKETLRLHPAVPLIPREVIADDVSLGGFDVPNGTGVVVNLWAIGRDKNVWPHAEEFIPERFLAGQEVHTMLGKLDFVYRPFGAGRRVCPGMEYTARSVPLLLASVLHKVEWRLPDGMAPEDMDLSDRYGTVLNLATPLRAVPVSPV >Dexi9B01G0029940.1:cds pep primary_assembly:Fonio_CM05836:9B:32431877:32433569:-1 gene:Dexi9B01G0029940 transcript:Dexi9B01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARYDVEKGGEGFYPPPPPQREGEREWVPWFVPVVVAVNIAVFAVAMYVNNCPAHAAATLRRGGGGACVARGFLHRFAFQPLRENPLLGPSSATLQRLGALVWDKVVHEHQGWRLLTCIWLHAGVVHLLANMLSLVLIGLRLEQHFGYVRIGIIYIVSGVGGSMLSSLFIRNNISVGASGALFGLLGAMLSELFTNWTIYSNKAAALVTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFILLMRPHYGWMQRYVRPSEVKYTTKKYLPYQWALLAVASVLAVGLTQFELMFMLPNLHRFAVGMGLLFKGVNANDHCRWCHYLSCVPTSRWKCGN >Dexi9A01G0047660.1:cds pep primary_assembly:Fonio_CM05836:9A:50707299:50708870:-1 gene:Dexi9A01G0047660 transcript:Dexi9A01G0047660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLLFVELFPVLCFLTLYYRHLQSKKTSPLEPTEWPLVGHLPGLVANIHHFHDWATGVLAGASSNFEARGGRTGLRYFITSDPSNVRHIFTSNFSNYPKGDEFAVIFDVLGGGIFNADGESWRRQRVKAQMLMAGPRFRSFTARCSRDKVEKSLLPFLAHAADQGIPCDLHDAFLRLTFDMTCNLVFGVDPGCLAISLPVVPFARAMDDALETLFLRHIIPMPCWKLMSWLEIGPEKKMAAARRTIDGFVSATVAQRRADKLKHGISDSDDMLSSFIRHDEDDGNDDVFLRDTTVNLLLAGRDTTGAALSWFFYLISKNPRVEQKLLDELAPVVASRKQKGDLTTCNDMVTFDVSELGNMVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGKEMKAGDKVLVFSYSMGRMPGVWGKDCMEFRPERWLVGDGEGGTKQKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKVVAAAVLWNFAAELVPGHVVEPKLSIILHMKNGLAVRVRRRRDDGVTRRHG >DexiUA01G0008710.1:cds pep primary_assembly:Fonio_CM05836:UA:16329039:16329586:-1 gene:DexiUA01G0008710 transcript:DexiUA01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQQHASESDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEYELCTLGNLCPDTSGEATALVPSLKSGGRFVGDAGDEKIEKMLNDLSLIKKFE >Dexi3B01G0026840.1:cds pep primary_assembly:Fonio_CM05836:3B:22243731:22244080:-1 gene:Dexi3B01G0026840 transcript:Dexi3B01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPILLLLPWLPLLLLLVSHGNYVMAFRFTVDDFPDGFAFGAATAAFQYEGAVAEDGKSPSIWNTYAHSGSQLLF >Dexi7B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:7B:16736770:16737105:-1 gene:Dexi7B01G0009030 transcript:Dexi7B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRELLACARACRGLREAVAWDPLLWRRLVVEPPLSNRITDEALLALADRARGTLRSLHLLGCPRVSDAGLLRVVQRNPGVTEVPRRPPLPVHEVSWLDCSWSHARVVRG >Dexi5B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:5B:6016823:6021294:-1 gene:Dexi5B01G0008900 transcript:Dexi5B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEHNQENEESMDVSEPEKVPDIFIYREDTVSLKSKEDARGLVLEVAGEYDSEGSITDDDDTDTEEHEHKAARGAENSVTDGGNATNGSEVDSQSSLPDNKVRVLWIDGSEKTEDIDDVVVVDRSFLHGDLVASASDPTGQMGLVVDVNLVVDLQGASGDMIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVTVLFDDGSVCKVNRADPMRLKSVSSPIHPDTACPFYPGQRVKAVSSSVFKTSRWLSGLWKASRLEGTVTKVESVAVIVYWIASAHFADQQAPSEEQNPKDLTLLSCFSYSNWQLTDWCRPYQYTSCADDGVTENSETKELNSVEHTGNNCTCSEISALMSHIPESQADCHTEQDERTDAYANHRQTDVDSSADVLSMSDGDNSCVAKESESGTSVSAIPKESSQDYRKKFRKVFLKKDKRTKKRDDSFERALLISNTCTKVDVIWQDGTKECGINSTLLIPIHSPNEHEFFPEQYVVDKVTNDVDDSSEPKRMGLVRSVNAKDRTAFVSWFKPLLHPDDPKEIECNEIVSVYELDGHPDYDYCYGDVVVRLPSVSPLIESTSSEEKMQLDKKVDSSEGLDASNVALPDASGDEQVSQKESCPQFTSLSWAGNIVGFEDGEIAVIWGDGSTSKVGPHEIYVVGREDDGASLEDGTVSDGASWETVDDNEMDLLDDSAKDDSQNIHENAIERENGSFSSQDGSSVATGPLSVAFGFMTRLASDLFARGRRHLDGSNSDAMDEVESHQSNDVSEMGDDIDKENHVETVKHTTDTTNDSSAEKSVDVDMADNTAGSECFKHFDVQQCPPDHHYLENTAQGTGGRKWVKKVQQEWGILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNAVPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFRKRGHYILKACEAYLQGNVVGTLTEDACTTDGSKEHSSSVGFKLALTKILPRLITALKETGADCDQYEHLGKTETVRES >Dexi9B01G0026210.1:cds pep primary_assembly:Fonio_CM05836:9B:28021013:28022372:-1 gene:Dexi9B01G0026210 transcript:Dexi9B01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVMVSVATGVMNSLLDKLSALLGKEFKLHSRVKHDIAFLKDELSCMNALLEKLGNMEVLDLQVKEWRKQRVKELVGHHGVAQQINELKDRVVEAKHRRKRYKVANEVDPGTNNVLSIDPRLSALYVESSDLVGIDIPRDHLINMLDDGEQSLKAISIVGLGGSGKTTLANEAYKRISWQFDCKAFVSVSQKPDVLYRN >Dexi6B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:6B:4417621:4418943:-1 gene:Dexi6B01G0005120 transcript:Dexi6B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPERNGYLGNESVDILEPQPLEVIAPEVKTKKRNPTPGVRVVGGRIYDPENGKTCHQCRQKTTDFAAACKQVKKKGPCPIKYCRKCLLNRYGENAEEVAGKEDWICPKCRGICNCSFCRKKKGEMPTGIMAHIAKASGCTSVHDLLEKGSDVVSAAQAILKVNAGDKGTKGSREADAADEVAADRDEIVGIKLNTVPGDEGDENIGIDLSALPSVRVKKRRKHQHSVKNNPADERSHGGDSREPLVMDKSPDVRNNNIALPRGTLATNIAGVELDHEDIGAAIQFLEFCRAFAEVVRGKKA >Dexi9A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:9A:2983329:2983880:-1 gene:Dexi9A01G0005360 transcript:Dexi9A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYWTLGRQRSCSGKGPESWLLLTSISWRRWRERRDWGREPEKRLELRWKTARSDRRPSSSGRVPARSPWLRSTPATVRWHESSGAGAQYTPKATYAFSSRELFVAAAGGEGGGMLAAGWAEHSSGQATARTKSTAAAIELIFDMAGPTRSRMQR >Dexi3B01G0025170.1:cds pep primary_assembly:Fonio_CM05836:3B:20004513:20005623:1 gene:Dexi3B01G0025170 transcript:Dexi3B01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSTLFTPLRGTTRRPAAKSTTISCAALKKPLSASSSSSHGEYSGGAGRMSWMSFLHHGLAAAALSLAISLAPAPSPALASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEARKNIRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGNNKGIIVLVTSQKEGAVTGGPAFIKAVGDQILDATVSENLPVLATDEKYNEAIFSTAKRLAAAIDGLPDTGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Dexi6B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:6B:26722420:26724877:1 gene:Dexi6B01G0020190 transcript:Dexi6B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSMDAARIISYLKGKSILITGSTGFLGKILVEKILRVQPHVHKVYLLVRAIDAPSAKHRIHQEVIGTELFGFLRDKYGEAFDSFIQEKIVPLAGDITSEDLGLDAPTLHGLAKDMDVIVNIAATTNFYERYDVSLDVNVLGVKHLCQFGKRCANLKMFMHVSTAFVSGDSAGVILEKPIRPGETLREGTRLDIDAELRLVSDAKKGLTAAGAGDSEERKAMKELGLRRARHFGWSNTYVFTKAMGEMALELHRGADMAVVIMRPSIITSVMSDPVPGWMQGTRTIDTFIIGYAKQTLSSFLADLGMVMDVIPGDMVVNAVLAAAVAHSEEKDAGGGAAVYQVTSSLRNPVTYGVLYRSGCRYFIDNPRVRDDGQVIPNRHMRFFTTIPRFRLYMILTFKLPLEMLHLANVLLCGLFSKLYNDYSRKYKLVMHLVDVYGPFAFFKGCFDDTNLERLRSTMVMQSPEDDMFNFDPKTIDWDDYFYRIHIPGVLKYLCK >Dexi3A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:3A:5523629:5528616:1 gene:Dexi3A01G0007920 transcript:Dexi3A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTSGAFQFQHVVVFLLAVTSLLLTTTTTAAGEKRCHSDDKAALQGISAALGNYLASEMDIPCCEWFSVDCDPSTGRVLSNLSLLIISWTAVSGLIPSFLGELTQLTFLDLSFNSLTGSIPASLAAIPNLSGINLSRNRLTGAIPPLLFSESPREAYLWLSHNNLTGDIPPEFAAVGFSEIDLSRNALTGDASALFGQGKPVHQIDMDLSRNGFSFNLSGVEPPAQLSGMDLSHNAIYGGIPAQVANLSNLVLFNVSYNRLCGEVPTGGNMGRFDAYSYQHNKCLCGAPLANPCQ >Dexi5A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:5A:21725725:21726081:1 gene:Dexi5A01G0018210 transcript:Dexi5A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDQTYLVVERVLGLMSEDAEGKEAADSTDSWTYATERERGADALATAASSTGERREAALPTGARVRGDESYAARRRGAEREPYRDLAAARRAAARMIHQRRRSAALALDFFSSPLM >Dexi6B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:6B:22166159:22167509:1 gene:Dexi6B01G0014670 transcript:Dexi6B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPLPAAYDDAWAVASCAAGSAPEEPWLAEHGDAARLFVAGDSTGGNIAHNVAMRAGRTGGGLPGGARIEGMVLLHPYFRGGELVPSERTTEPGSLERAERWWAFVCAGRYGIDHPFVNPLAMAAPEWASLGCRRAMVTVAELDKMRDRGRRYVGALRASGWAGDEAVLYEDRGERHVFFLRKSNESDRARKDMIAAVASFMASSSSAEAGFSPSVRSLCSYDAKL >DexiUA01G0021350.1:cds pep primary_assembly:Fonio_CM05836:UA:44254226:44254786:-1 gene:DexiUA01G0021350 transcript:DexiUA01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTVSTAAATGAASAASKQREGAEIITGAEACFAHSKEMLKALGFPGGVMPLRGLEECGWVRETGFVWMRQRAPYEHYFRGTGTRVRYDAEVTAYVEDGRMKRMTGVRSKQVMLWVPIVEMSLDGEKKDKIYFKSNVGIGRSFPAAAFADDEEEKEEDGEKPAADAAKEEEGSEKKAADAANK >DexiUA01G0024370.1:cds pep primary_assembly:Fonio_CM05836:UA:50447248:50447958:1 gene:DexiUA01G0024370 transcript:DexiUA01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWLDSYFRNCPDSLKPCIFYLSIFPLNHTIRRRRLVRRWIAEGYFRNNKERTAEENGDMSFSKLVNLSMIQAPGTEVNYDGMPLCQVNGFLREYIISRLTEENLVFALEDHCRMDTQHTGRHLAIDNSWDRDRNVFESIDLSLLRSLTVFGVRNRDVEQMVKQLPRLKFLSLRRCKEVTRVPDSLGHLKQLQTLDTRETSVTELPKSPS >Dexi1A01G0028970.1:cds pep primary_assembly:Fonio_CM05836:1A:34523230:34524596:-1 gene:Dexi1A01G0028970 transcript:Dexi1A01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGHIATTLGRDADNSTTVPLGQSQDNSTEDPLPSNEQQTSPAVTPAVAGTAPPSSATTNLQKLLACSYPLLLLTVSTVFFIS >Dexi6A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:6A:23657122:23659180:-1 gene:Dexi6A01G0015770 transcript:Dexi6A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAHSRQHHHAFEKSPSSHLKNLDRKLQQAMNHATSKYMQRIYPLAGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLEMRREDDDKATHHDVEGGEEDFDCSEPGSQHRCSWITKNSDEAYVQFHDECWGVPVYSDNRLFELLSLSGMLIDHNWTEILKRRDMYREVFADFDPSTVARMDDDTIAEISANKELKLAECRVRCIVENAKCIQKAMQVGKEFGSFSGYMWGHVNHRPVVGKYRHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMAIDHLVDCFRFKDCVRLAERSWGITNVAA >Dexi2A01G0023970.1:cds pep primary_assembly:Fonio_CM05836:2A:35659416:35661491:1 gene:Dexi2A01G0023970 transcript:Dexi2A01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYDLNSSEEERNTVKIKKRKEYEKLRRQCQQILNGCKGNGLKAITEVNNEECSSLEGTAEGSESPCFEDAHAVVAPVSLEELKSEHNEAEQPDNMPCAVEECMEEDADDLNYAYPCIAESESSDSESSDEDDPGRMSVSGDDNCDPDPKFTRSTSFKADFFRSSRTSEDFTTWQRIIRLDAIRSNSEWILFSRNQAEVSKEKAMQLAAAVGLKDYDHLEPSMIYHAARLVGLLEAYAVYDPEIGYCQVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >Dexi2A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:2A:31548164:31551280:-1 gene:Dexi2A01G0019310 transcript:Dexi2A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGTAASERRLLSRRILLLCFASFFLGMLITDRFGSVPSPVLVQRRRIDRELQSLSEEFVARPKPADDRDIMGEVSKTHEAIQYLEKSIDTLQMELAAKRSSNELHGESAGGISKQRKRAFVVIGINTAFSSRKRRDSVRETWMPQGEKLKKLEEEKGIIIRFTIGHSATSNNVLDKAIDAEDEIHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDVHLNLGMLVATLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPAKEKTQFGAPHSDKMLSRVCRLKRVNARFH >Dexi7B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:7B:15183297:15183992:-1 gene:Dexi7B01G0007270 transcript:Dexi7B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHGLFHHSSKEESTAEVDYEKKEKHHKHLEQLGGLGAIAAGAYAIHEKHKAKKDPENEHGHRIKEEVAAVAALGSAGFAFHEHHEKKDAKKHGHN >Dexi8B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:8B:21897318:21897827:-1 gene:Dexi8B01G0012540 transcript:Dexi8B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFNGGKVTVLVVLEETSVFTSTPMWLSFGSHEFWNVSNSTATKAVEAGIIFDGKPVRKNAMPSPGVDPPSPIAKVKLVLKLAMAPEASWKGTGWAYDTRPAQLLLTTPLESAAQVRSMRWMVAVALPSRRRSPDLRKLDGLESEKLKLKENGG >Dexi5A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:5A:2821798:2822217:-1 gene:Dexi5A01G0003680 transcript:Dexi5A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAAGVLTELEGGGGSRLASRDGGSIRRRRQRRPRRGAVAAPAAVQRLFEACREVFNGVTPGAVPPLAGIERVKSVLVHRWVGT >Dexi3B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:3B:11252992:11257838:1 gene:Dexi3B01G0015500 transcript:Dexi3B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNLLVSALGVGVGVGLGIASARWAAPAHGEGGGAGGGAGAGAAEVEAELRRLVLDGRESEVTFDEFHNFHYYLSDQTREVLISAAFVHLKNAELSKHIRNLSAASRAILLSGPTEPYLQSLAKALSHYFKARLLILDATDFSLRIQSKYGGSSKVMVRNQSVAETTFGKMSGLIGSFMTYPKKEEPRESLRRQTSNTDLRARADGSSSEPSLKKNASVSSNMSDLASQCSAHSDTVVLSNYIEEIIVSAVSYHLIHNKDPEYKNGKLILSSKSLSHGLSIFQGGHGGKDTLKLEETKDGLKGALGSKKTETDKSATVPVGDGPSPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGILSKSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELERREKEAKEKGTSAENPKAPESKENLESKKNSESKEENSDGKKDSSDATVGEKEATIDLRPLTMEDLKQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >Dexi5B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:5B:2951444:2953113:1 gene:Dexi5B01G0004360 transcript:Dexi5B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMVSDPTPDSTGGGDARPRAGAGAAALFAVPRLFVGFATKRPYDGESSRSPTSPLDPKALLLRSPRSPRTWGAPGLVDALAGDTTTTNCLLSPRLRLKSYSSLPKDCGAGGEGGGYSQPELGKTMSCPAQDTTAAAAAGMSVPCSRFHHGDLKSGPDATQSDGNGKRHSFDLGKLPCPGSLPASIAAGAQRFIGSVSASEIEQSEDYTRIIARGPNPKTTHIFGDCILEPHIVVGDSDEAAMEVEEGAAGCYFVVKCAAEAAPAADDFLSSCFTCKKKLEGNDIYIYRGEKAFCSANCRDQEIQLEEEAENNTASISPRSSCSSIHEDIFMAGMFVAT >Dexi2B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:2B:3199387:3200793:1 gene:Dexi2B01G0003660 transcript:Dexi2B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLILKTHDLSFIDRPRWAVGQYTGYGYSDMLWSPYGAYWLQARRLVKTELFSTARLREHESVRDGEVRAMLRGLYSSSASGERRAARLMDHLFTMNINVISLMLFSRKYISDDGGGGGGGSSSTTTTTFEEFKWMVEELFVLSGALNLGDMIPWLSWLDPHGYVRRMKKLRDMFDRFLEHVVDEHDERRRREGQAFVARDMVDLLLELADDPNLEAPIERNGVKAFTLNLLVGLPDTTSVTVEWAMAELLRRPDALAKVTEELDRVVGVDRHVTEGDIASLPYLEAVVKETMRLHPVSPLLSPRQSREDVATGDGHDIPAGTLVFVNVWAIGRDPAVWGEDAAAFRPERFAGSRVDVKGQDLELLPFGSGRRMCPGVSLGLRMVQVILANLLHSYAWRLPAGVEEPNMEERYGLSMPRLVPLEAVPEPRLRAHLYAGP >Dexi9B01G0023420.1:cds pep primary_assembly:Fonio_CM05836:9B:18681944:18688221:-1 gene:Dexi9B01G0023420 transcript:Dexi9B01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNQMQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLVAPTADRILYWKIAPSIPSGPPNSDPVNDGPVLSVRYSLDHKVIGIQRSRHEIEFRNRETGETCSKKCRADSETILGFFWTDCPTCDVILVKTSGLDLLAYEPQSHAFRLVESKKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKSEANNKPVLAADDVHIVTVYGRIYCLQLDRVSTSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDARVVILYDVYLDSYAPVSAPLPLLVIGLPSNCRQVSQTADSQSSAYGGTIYGEGWNFLIPDLICDAENGLLWKLHLDLEAIAASSSDAPSVLEFLQRRKSDPSMVKTICLAIVRTIILERRPVSTVAKAMDVVLDSYSRLMKMGGGLPGVRRTHEQNQQLGGQPVEGSPVISQETSPGTTVSSVNPDRAGGVANRSAQSNSGVDEGTDRVTLNTSSDSDEITNISGASSQGTSGYQTSDAVNKRQHVVGEDSRPLSSGTLMQHGQHAGSVAISPVEMFQSVFAVVEDEMMVDPAYLAAVIMEFLRSASKAGLKAPSNLYVMMATLLARSNRYAEIALYVSNKIMEPSKELAMQLMVIGQQHPPTRKLGLDMLRERGLHHDYVAALLQDGYFLEALRYARKYKVITVQPALFLEKAVANNSAQNLAAVLSFFCEFTPSFKSTSDFGRYRHILSEMV >Dexi7A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:7A:18770439:18771866:-1 gene:Dexi7A01G0007430 transcript:Dexi7A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPLLTPYKMGRFQLSHRVVLAPLTRCRSYGYVPQPHAAVYYAQRATKGGLLIAEATGVSPSAQGYPDTPGIWSREQVEAWKPIVDAVHAKGAPNGQAPVSSTDRPVAPKQSEYSDSVLTYPAPRRLATEEIPAIVDDFRLAARNAIEAGFDGVEIHAAHGYLIDQFLKDGVNDRCDAYGGSLADRCRFALEVVGAVSREVGPERVGVRVSPYTDHMDAVDSDPDALGVHVARALGDMGVLYLHAVEPRMVHPYERGETRHSLRPMREAFGTRGTFVVAGGYGREDGSRVVADGYADLVAYGRVFLANPDLPRRFELDAPLNKYDRKTFYTPDPVVGYTDYPFLDDDDELPK >Dexi4A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:4A:352479:352922:-1 gene:Dexi4A01G0000520 transcript:Dexi4A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFPVGYSDVPKLLLHLLFLLGHLRRLSSCLLRLAGVDDAADVHHPPRRPYYGDGAAAERLEEHSPAVRFDSLRSSSPVPEGCCVCLGDFDGAAEVRRARGCRHVFHRGCLDRWAAHGQRACPLCRSPLLPPPLLLPLPLPLPPS >Dexi6A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:6A:2055666:2057990:-1 gene:Dexi6A01G0002190 transcript:Dexi6A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAKERKLSRLGSCKGGAGVGGSGNGGGSPAARGHRSPAAAGPQRRLFAALFVFLCAGLVVLGGVHVIGASFRPVLRTAWPSATLNAISSDAGAQQAGGGADTVLPSVHIRHAVSLPDRVLLILKAGSSLPAPEQFDCLYSPANSSELHHRALLAVSLPDGSSLVHCPAEPSGVDVSLSLSLSPPVAPLQWDRLVYTALIDGKDNSTIVFAKGMNLRPGRLGVASRYQCVFGRDLSKAKHVLTSPVISAAQEIFRCVTPVRIRRYLRMSIDAKGNGDSDEKPMLVSIRTKGQRDSTLPSIAEPEPLPRYNRHRRQKAHSMCVCTMLRNQARFLREWIIYHSHIGVERWFIYDNNSDDDIQQVLNTMDPSRYNVTHHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLPDIIRNYSNRPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGVRYVNIGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDTGLKDFVHEVFTDPDTGNLPW >Dexi1A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:1A:26263982:26266739:1 gene:Dexi1A01G0019080 transcript:Dexi1A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPFFSIARAHAGAGGRAAATALLLRHPVVQLPPSICSPRCFPSVRVTHANTLRSHLSLPRATLSSFADADDDSATKAGDAEARKENNRESELSEMAKAFHISPRMAMSISMMIAFAALTVPLTMRSLVCHGTFKMSVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAALLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLARVSSSWVISPLMGAAVSFLVYKCIRRGPWLQLCPFFKA >Dexi5B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:5B:1338650:1340333:1 gene:Dexi5B01G0002080 transcript:Dexi5B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHCWSPVAAPKQAGDLDPRHHHRVPQGGDGSGGGDGGGGGGTQQQEADEQQHNHRLLQLHQEVQDQADPPPVPVFQLQQLQAAAAVRQRGFSAEYALLAPMGDAGHSHHHHAGFQPQLLSFGGVGAQHHHVHQFTAQAPAQTASHSRSRGGTAASAGGEIVAATPASHSRVRGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWQPTATNAAANAPPSSSTHPADSGENSDDQAQAITVAHTAFDFPGGAGGGGGSGGGGFLPASLDSDSIADTIKSFFPMGGTAAGGGEASSSTAAAHSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDPNMFHHQQQQNRSSHGHGGGNGSAAQQAIFPGYSFAGGGAMWGGEQAGQRMVPWNVPDPGGGSTGGYLLQQAAHMQAAALAGGQSQFYFQRGPLQSSNNQPSDRGWPETVEADNPMQQQQGGLSSIGFAPGIGFSGFRIPTRIQGDEEHNGGGNGDKQQPPPVSSASHH >Dexi2B01G0026530.1:cds pep primary_assembly:Fonio_CM05836:2B:35650292:35651453:1 gene:Dexi2B01G0026530 transcript:Dexi2B01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSCAAADRHDGYARKRATCPPAKLSSYVPRLKWYPDLFPSQRLTFASASPAAVPFARIPYRRRPRSTHLCCRWRRPHLPCHRPRCPRLACRRHPRCPRICCHRRSGIIHASLPSSTRPYRPCFPPVVLLKIRCRPPSCLSLPPAHTKAPPL >Dexi9B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:9B:12707013:12707411:1 gene:Dexi9B01G0017920 transcript:Dexi9B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLELPSVERGTHQINYNIPRICNITNADFDFVMEVDRNKLSLVELYGKLPFRPFSATPYAAIQADEEPLPAVEEVPAVEEEVNVVSSLDQWL >Dexi9A01G0045000.1:cds pep primary_assembly:Fonio_CM05836:9A:48586267:48589822:-1 gene:Dexi9A01G0045000 transcript:Dexi9A01G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTAGDAAKSPWRASVIVKLNASFLACFLFAYMALLLHPKYSYLLDRGATSLVRCTFRDAAACPPSSTQLSRKLGGVPANKKVVSERVVNPGRAPAMFDELRGRLRMGLVNIGHDDELLLELGVEGEAVSVDMERVSDVFKWSDLFPEWIDEEEDDEGPSCPELPMPDWPRYASGGDVDVVVASLPCNRTGSSWNNRDVFRLQVHLAAAQMAARMGRRDGEGAVRVALRSECGEPMMDLFRCDEVVGREGNWWMYKVDVERLEEKLRLPVGSCNLALPLWGSGAGIHEVLNVSAAPSPVTSRRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLILLHDHTVSKPALRALSAAGWTPRKIKRIRNPRAARGTYNEYNYSKFRLWQLTDYDRVVFVDADILVLRSLDALFAFPQLAAVGNDGSLFNSGVMVIEPSSCTFEALIRRRRTVRSYNGGDQGFLNEVFVWWHRLPRRANYLKNFWANTTGERALKERLFRADPAEVWSIHYLGMKPWTCYRDYDCNWNVADQRVYASDEAHRRWWQVYDQMGEEVMSGPWCGLSERRKVEIAWARHVAEEAGFADQHWRINITDPRKWE >Dexi2A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:2A:26223974:26235972:-1 gene:Dexi2A01G0015310 transcript:Dexi2A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLERADASTEWKEFTTAEGRKYYFNKVTKQSKWNIPDELKAARELAEKASNQQSDRETGTTATALVGSTASEPSTVPANQSSTAVGLMASGTHDASANSVPPSAGSSHNVDNTTSSVAGMQNGGPSTAVPVTTEVQLVATDAGSSRNNKENLSVGTAADTEDVTSAEDLEEAKKTMPVAGKINVTPLEEKTSEEEPVVYATKMDAKNAFKSLLESVNVESDWTWDQTMRVIINDKRYGALKTLGEKKQAFNEYLNQRKKFEAEEKRTKQRKARDDFLAMLEECKELTSSTRWSKAILMFEDDERFKALERPREREDIFESYLIELHKKEKAKAIEEHRRHVAEYRAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLDIFQEYIRHLEKEEEEHKRMQKEQVRRQERKNRDAFRKMLEEHVTDGTLTARTRWRDYCSQIKESQAYLAVASNTSGSTPKELFDDVIEELDKQYQDDKTRIKEVVKSGKIPMTTSWTLEEFQTTVLEDDALKGISTINIKLIYDDQIERLKEKEQKDAKKRQRLGENFSDLLYSITEISASSTWDDCKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKHTHASDSDSENRHKRHKKDRDSSRRNGAHELEDGELGEDGEVH >Dexi2A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:2A:8602154:8602498:1 gene:Dexi2A01G0008510 transcript:Dexi2A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTVAPAVASPAGGRIILGRRAAARTVVPAATKVVAAKQQQQEEQKGLFNTIFGALYKEEQLLETDPILNKVESSKAKKATTGKKAAAAEGSAGGGGFSFGGLFSSSKE >Dexi1B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:1B:10718076:10718534:-1 gene:Dexi1B01G0010900 transcript:Dexi1B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYAQGYGSRTAALSTVLFNDGASCGQCYKIACDRKLEPTWCKPGVTVTVTATNFCPPNLELPDGGWCNSQRPHFDMAQPAWEKIGVASRGIIPVMYQR >DexiUA01G0026050.1:cds pep primary_assembly:Fonio_CM05836:UA:54841964:54842942:-1 gene:DexiUA01G0026050 transcript:DexiUA01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGGFGALCALPQKYREPVLVSGTDGVGTKLRLAMDLKRHDTIGIDLVAMCVNDLVVQGAEPLFFLDYYATGKLDVDTAASVINGIAEGCLQSGCALVGGETAEMPGMYHGEDYDVAGFCVGVVEKSEIIDGSKVADGDVLIALASSGPHSNGYSLVRKILDVSGSDPLTTELDGKPLADHLLAPTRIYVKNVLELIEKVDVHAIAHLTGGGFWENIPRVLPDNTQAVIDESSWQWPSVFNWLQTAGNVSSHEMYRTFNCGVGMVIALPASEADKAITLLTDKGDN >Dexi6B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:6B:3257806:3264783:1 gene:Dexi6B01G0004000 transcript:Dexi6B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMSSRGGGGSGRGYGIHHHHHHHSALCCLSAAPPMPGDATPTMPLTPDPAAAAAAASGAAVAVEGVLQKWTNYGRGWRERWFTLHDGVLSYSKIRDDAGAAAAVEDGEVRLIGSRIGGSRRREKPAGVVSLKVRAQPLPFSCDPASRILAFIPSFSLSVQCHDKSFNPVHFVVHIIIVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLGSLSGRVTFVQCDVSISTARLRDRMHQEGLNENLIQDCEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHHFEEGKDGSITQGAWTRNEFSSSRHGNFSEYSTTESDEFEKQDGGELTCEEDSTFFDSVDYFIEPDNKSLTMLSGQEVVDNQTQDSSNNLPQIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGLMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPQWFEQDTKDGTYRYKGGYWETREKGRWDGCLDIFGEFNET >Dexi1A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:1A:379478:380536:1 gene:Dexi1A01G0000610 transcript:Dexi1A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLCELGFDANGASSGFFRPVSDDATPTSHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDISITRGSDLAIVTAGARQIPGETRLNLLQRNVSLFRKIVPALAEHSPDAILLIVSNPVDILTYVAWKLSGFPVSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAIWSTVSVAGMPVLRSLQESHSSFDEEALESIRRAVVNSAYEVISLKGYTSWAIGYSVANLVSSILRDQRRIHPVSVLATGFHGIADDHEVFLSLPARLGRGGVLGVADMELTEEEARRLRQSAKTLWENSQLLGL >Dexi9B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:9B:5059285:5059922:-1 gene:Dexi9B01G0008210 transcript:Dexi9B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVAPTDPMLWHKVAAVSGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPNVFGGLLTAGIVFFSGT >Dexi6A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:6A:4869901:4870731:1 gene:Dexi6A01G0005410 transcript:Dexi6A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFQSEPAQPAPPSPQMDDVQGDPVWVCLSDDEDIPDSVSPTANVEGNPDGIGNEGDEVYEDEKDDEEEPKVEMITSINELGAFPLLLEDVLEALGNYTRPLYITTYSSAANYQEYYHTHVHVRAQMENASRFRTWSIHESSLLHTSYEAAVSDAARRAVTSISHQFQKELSHTEYRHLPRRRPGTEQTVVVGGGPTADPRLNVLAQVTAALNTDLEGVINELAQAQERIVELEGQLFQQPSQEDVEERMANVRSPPRKKCRYGAPSSVTQFRE >Dexi2B01G0021350.1:cds pep primary_assembly:Fonio_CM05836:2B:31166005:31166565:-1 gene:Dexi2B01G0021350 transcript:Dexi2B01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASRALLLARFRTLPANNGVVRPLAAAGSLFLLPAGTTAKAVAMCLAARRATSSYSSSLRESSRRLSSSRPPKETVAGDGTDSDSGSDCDDDSEETDLEDERSDAENTTSDSDSDVEKKTSHGGSSSHKTFSGWPPKKLPLVVMDPPPGDPSNPDVSRDELIDTYVDLGLGWV >Dexi3A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:3A:4951138:4951560:1 gene:Dexi3A01G0007290 transcript:Dexi3A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIVLAFVALMASGVSAQGCEDPSYYGSLHPCGEFLRQRCPAVTGWPLPWSQPWQPSSCTAIRQQCCLRLRHVEPLHRCQEVCSLVQGVLHLMVLQGSEYYELQQAALGAKNLPAMCGISLPSYCTTPCSISGGGACC >Dexi7A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:7A:22172817:22174081:-1 gene:Dexi7A01G0011780 transcript:Dexi7A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVAAAVAGWAIPIAALVNSVVPEPYMDEIFHVPQAQQYCRGDLLTWDPMITTPPGLYFVSLAYVASLFPVAWMFRVAETFDVLCSTAALRSTNVIMAMVCAVLFHDLLLCIRPGIGEKKATIYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWISAMVSA >Dexi3B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:3B:7782516:7783972:-1 gene:Dexi3B01G0011070 transcript:Dexi3B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSLLAVAAASPVVLQPSKELHGVLPFQGKRPQDAVAAVQLCAPLQQHPQHPLEVMPAQVMVPGGHLAQPVPAAYQAFTMPDAATLIDVQDSHPDSVQISLGIAEQCARQEKILKFLMSGSDVKELDESLLAEFTGQQTLPINLGSHPYVPDDKLSICEFRLDEPQPYLPEKQLVIPDPLLDFVKFHGFALTIDQNGQIIFAGHGDEMRDLLSLVLDFNMSKRETSGCKTAFLVPYFQR >Dexi2B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:2B:820317:824067:-1 gene:Dexi2B01G0001300 transcript:Dexi2B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELGRRPEELFNHYVSLWTATRNIVGALGFLVLFWSTVVLLGGFVGLLRVKEFWVLTALSFLMAFSFILLVNISPLVIVGISVWRLVQRDYGDADGDPGNRAKLTAALDIFYALTLFQGLFSLYHVALIWKWGRYLLSKSSVAKYCELGVEEWGYEVARMYLRETKRKFSKEGVVPDNWNLIAYGVEFLQSASGDDRLWGARVLDLDLVYGKDKSVVTQNLLFSRLSIMNLIGMIGLRGKDDTEKRERAARIVAHLASDLSIKHFPGTLQCICSLLESSKQSCDQKLPDEKDQNGSLNTSQQHQDDAVMLMVNDEHEQDSSLCDWLLANIKRTTLYVIQQDMEDSVKVRRYSYTSKGAKDLISQGLLILEKLTEDEGNCTEISRHQRLMSKITSPLSSHDFLSIVQDSTMFQMISSLLTVVSRILTSYGDGTTRLRQELASNTEVVSNLMGILDTDSEGAQELHGRALEILTELAFNDYFTKLTFGDPEPKFMLNKLFETVQCIFLDRERAIRLRGQAGEALARLLTLRKATARGANVSDILSKKDAINLFNKVLDHILSSKMGTAAGGSNSNVAENISDTEQCEERKLISALLSVAVVMCNENVISKKDFAHANTEDTALVKKLKEVLESNKHSTAECLRVVKLTCQLVIAMIQAKPSCIQLFDEQNFKEALTEALDTMSEIDSCMLFAGNGYDREGIKPARSLAPLVKEAQQLLQS >Dexi4A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:4A:23942172:23942540:1 gene:Dexi4A01G0020150 transcript:Dexi4A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSNTLRVVKSKDTWEGLAFQQLQTRTTTGGNVAHFICQSGLLDGSNRVSLTSVPAANFSNSKTPIGPFQMTVLVVSNV >Dexi6B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:6B:2590167:2594215:1 gene:Dexi6B01G0003150 transcript:Dexi6B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPPAPAASDTAAPAAAAVDGADAADNSSSEPEKNLNYFVRVLATGELVGNALGTLASLWATFVLLGGYRSSLKHLDFWIATAMVFLEAFRYVVRTQPTRGSFAMPLIGNLQIPATVAQVILSSWRLANSYGDNKNMVASIRVFYALVLCQGLLYILACTLALFSVFPRRSLARRLGFEGEWGARAVDLYYERAYTKRIEIGVFAEDTISLASFVVDSLNSASSISRELHFSASKARELQLAGVRVLHSLLQQKGSSNSNEELISVITRSEKAVPTLISMLDWTFKQDRDIRLFAAKATADLAGYLRIARVAGAVKSVSSLLDAAENQPPSENDERPPQPAESNQRWWSWVYKSWQRMEANFLRYMEMKSINSPQDYSVLPVLGMKILERLACDPDNCAEIMKNTNLISKIIGLISYTSNDGSSNDNALIIISSLNFVRMIATTNEKVGATIWQDLWESPLLLSNLTCVLQDCRSSLEVWKPAIDIIATLALDEVARHELGRVQVIIHNLLHIFIIEQDGPTNYDQLLRVAAGAALANLAMETPENCLAMLEERQVCGYDLVKDLEGMLGNNEYRCVAASLLLNLCECSRMRDALPETFAECSRIKEPVSDPETFDECSRIKGWLSDTGVRTQLSSALRLVLQNIMAAENKQLEALIGLASQICYVLPPRRFVQGLESHVIEPTIVQKLVNTLNSNKKPSHEYPRMRRAIVDMVISVLRHSPSDAIIFRTEGGMVDALSKVETTPSKVEKYRVFLSKEGVVLEQGLPLRDLVATAKGLIHHAAPT >Dexi4B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:4B:2860777:2865947:-1 gene:Dexi4B01G0003990 transcript:Dexi4B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAQPPDTEMTDAGAGGGGQPPQQPAGGGGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETAEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENLIGTPNESDLDFVNENARRYIRQLPRHARQSLPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >Dexi9A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:9A:6937425:6940362:1 gene:Dexi9A01G0011130 transcript:Dexi9A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRRALRRLDLRRSPPPRPSPQAAAAKEEASPWPRSSSSTSSSSSSASAPTKHSAALPAAARRGGSAVRVYPLRDFPGSDATALGGAFRDNVRWLLKQWGSAAPGSGTACHALLSDERTGAMVPIVAVEELAEASPAPLCDLCRCAGWSHHWVSKHKYHFIIPAAVDWDQPLRADALLGRSDHLLHGLIHSNGFGHLVTLRGCHGGSPFLTGCQIMDIWDQLCSALRVRAVSVVDLTEKHSVDLRLLLGVAHGETWFTRWGYCLAKGCFSVSTSTYAAALEALAALPVDYLRSRHVRRVVTMYRRLSNKPLATVREFLCCLLDWKHRESPPAVKTSPRLKFLLPKSCVVKRLSQPCKRFADVVDLLECRWSKKRLLNAAEVVVDTLREHANGRKISRQAVRDAARGAIGDTGLLDFVIKSLNDTVVGNHIVRRVPDPENRLLHFSLEEYVEPEPDSEPAPQLEPELDPESVEIDAERTPPAVRWPSTSEVERDLRAVCRAMAEARSEAAQAVLDCKHWVKWWGLGDADDQLRFLVEWRPQQWEATELTRPMPPGDIVVVPLHASLGELLVEAERALRDTYCFFEGFQAESLDGIAGEKWDPVMLGGAESGDTIGVHGHGADMETELRCQGGIDAWEVQCLCGAQDDDGERMVACDACNVWHHTRCVGIVDGAPVPPLFLCMSCGGALIAAGPILDEALTVPKVK >DexiUA01G0000150.1:cds pep primary_assembly:Fonio_CM05836:UA:1305860:1306090:-1 gene:DexiUA01G0000150 transcript:DexiUA01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRESLTYGSFEKGVIPPPIRPDERSTELHPYSPGLCTSLFLGGWPPILDLPISMS >Dexi4A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:4A:1482326:1484017:-1 gene:Dexi4A01G0002180 transcript:Dexi4A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETPRQKTLSQNVREMTRCSDDMYDKKFCISETPVKTPVKSVGPGNSSKEANGNSSYHVPYKSRDSSTGGKLPHYGPRRVIKPGSLFQGEYETSKSKIPVSSSQLKNYQAICKLATSQYSNEDAVCIGKVRCTFWSLGESLKPDGFVNPFVISAFCYGLYLNPSGNPDVSKSHYFFANIGVPTFQYYWDKYVRIDMNFDEYEYLYPDVPLQPLDNNVDSGIYTMMFLEHWKSPRTVLRNKFQPSDIPNIRVVLANSLLFLPGNSGNKDRVIAYAGDASRQAAMKINRR >Dexi5A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:5A:5128897:5130243:1 gene:Dexi5A01G0006870 transcript:Dexi5A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGRLLPLLLLLLLVPAALRDYLSPPARTNRRPDHHDDASSVGVSGDVVLHPLVLVPGMTCSELEVRLTDAYHPSLPRCGAMKGKGWFGLWANCSDISTHHYLPCFMEQMSLVYDHAADDYRNIAGVETRVLNFGSSKGFQKNPNHTDWCFEVLRHELEKAGYVDGDTLFGAPYDLRHAPPVPGHKSAVFSGYFRRLSRLIEDVSKSKNNQKVILFGHSLGGMVALEFVRSTAMAWREKYIKHLVLVAPVPAEGFVKPVEYFVSGSDLLFVPGVEQLELILRPMWRTFESSIVNFPSPAVFGRSKPVVITRERNFTAGEMEELIAAVGYAAGVEPFRRRAVPKMNYFEAPMVPTTCINGVGNDTPEQLVYWDGDFDAEPEIVYGDGDEDINLVSMLAFDEKMRRQMEQNGVFYKSIKIPGARHGTVITEDWALKRVMQEILEANRI >Dexi1A01G0030710.1:cds pep primary_assembly:Fonio_CM05836:1A:35890390:35890955:1 gene:Dexi1A01G0030710 transcript:Dexi1A01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWTISEDPAGLRGRSSASKARIVSEVEKNVWPAVAAGKVKPVIYKTFPLSDAAEAHRLIETSTHIGKILLLS >Dexi5B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:5B:20317042:20320461:1 gene:Dexi5B01G0018240 transcript:Dexi5B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQQHRQQQQQELLQDQQAEAADQDSSMSNLTSSASGGPNAPPPPPASGNNKRKRSLPGNPATSKNPTDQSPHRLFLIFFFLMGMCGRRRNQINKKDPDAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAQRKKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESSKAISLNAAMAAAPPPQHHHHPMLFSPPPQMMQHQVQADLAALHDHHHHHQEVMQPPPPPHCNYAMKPEMPPWPSMTYDHPLLQPLCNATAAAAQSSATSAPPQMAAASAHLSATALLQKAAQMGATMSGAGGAHYTTQMAGLNVQQQQPGTDGVMGGGLARTASSHGRGGEDGGAGGGGGGDGMTRDFLGLRALSHRDILGLAGAFDSSCMGAAVTGNATMSGGFEPQQHAQAQAQQQQSSNEPWHGMGSHS >Dexi5A01G0037050.1:cds pep primary_assembly:Fonio_CM05836:5A:38390334:38390626:-1 gene:Dexi5A01G0037050 transcript:Dexi5A01G0037050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSFSDDLFPIAIASPKLSSCRTHPLAASAKHSSSAFGGKDLAADAALLSEKAPDNDLERLDPFLHLAIKHAPLHPLYLLQAHLVDPKHGEALH >Dexi2B01G0027990.1:cds pep primary_assembly:Fonio_CM05836:2B:36721773:36722856:-1 gene:Dexi2B01G0027990 transcript:Dexi2B01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNRAAVGPWRLERKAPLLRPLPMLTGGYAIVAVALAFTLPPPAVAVPTPGPDCDASSSYAANSTFQANLNLLAEALPVSASASPAGFATATVGTAPNQANGLALCRGDTNASTCAACVAAAFRDAQQACPLDMGVTDYRDACVLRFAGSQFLDFLREDQWDISELFEMRGLQIWRLARSPAVATTFGSDNASDAWFNAAVTGIFTALVDRAAATTNATRKYFATAEMDVNPKLYGLAQCTPDLTPGQCRDCLGDLQNVVTQYLSGQPISNSAFVVWCSLIYSVSPVYDGRAMLQLAAPPEPPPPAMLTLPSSGLKNAGL >Dexi2B01G0033290.1:cds pep primary_assembly:Fonio_CM05836:2B:40920680:40932378:-1 gene:Dexi2B01G0033290 transcript:Dexi2B01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGAGAGGRRASASRPRRASAAAAAESNENDDLAAAPSSSSSSALAHPAASVPHFSLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRAACVAGTGARDRTSSVGAARRVFDLRDVAAPEVPLEVPHFELDEDPAFWKDRNVQVLIRIRPISDAENATHGQKRCLLQDSSKTLSWTGPPETMFTFDHVACETISQEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELTKLGNELSKDAGLTPRIFEYLFARINEEEERRREEGLEYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECQVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWESDSMTHLRFGRLNLVDLAGSERQKNSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGRNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSTSETLSTLKFAQRAKLIQNNAKVNEDASGDVLALQRQIEELKDQLTCLKKQQNCPGSPGLQLLDSDFPNEFKTLCGVYDQPDCDLNVLKQKINHLEDVLVGSLRREKSAETKIGKLEAEILHLNRLVNLMESDAQRLRRRLELREEKQRLHSVDGNAAMYQEIQLLQEQINENPQLTHFALENKKLIEELTMLQNFYKQGEREMLLTEISLLRNHFLHILEQKYKTTPKSVETQGDEIIKELDNCRKELDACLENNVLLAREVNKLRCELIQYQKACKDQVSMTLSWSPIVQRLDAHILSSYFQVAPEAMESAVVTSNNAIPNSKLDSVIHLVEDKSNKVLNLFSNFEAAQETMEEAELMLSALLKANEELKLERDDCRQAMGLLLSEKTFLISELKELEKSTSYTSQRYDKLHQQIDDCVLEMANLAATMRGSFDQVQRVSTVELFALCSEIITFGRDLKRYIRESRSCMVNMVSLIEEKGSSTEQFKHLNADTSGSACQQVELRSCQCGSSKPDFSQSDNSTDYASLRKEFDRKSNIAEGLSFDLKLLQESTSNAKDMKDKADEISTALSKVQRELDIKTNAMENMLKKQKALEEELAENCAVITTLRSELEQSQILSSALLRENKDLRVILEEETVKHTEIKVLLEDKINVIEGLESQILLLNRSEVGQLLSDIEELNNSIKLISNDRENLQAEILTLRDRLEMAMALSEENEAAAVEARQTAEISKIYAEEKEEEVKILERSVEELEGTVTVLEEEVCNLKEEVRTYQLHKQSEDQLQAVGDMLSVEKASTCDAAEELCQGKCHIEKRLHQDARKRIERLTLDVKRKDDEIRQYKKHIAELILHSEAQSLLFQEKYHEMEDMVSRQKSQESSSEIVHAKNEKPSGRARRSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLVSGKQKEICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLLDQEELQKLLIVSQQQIEQSKAKDTELETLREELGQVILERDSLLDDMDQRKTDLLETQLLVEQLEQREQMLETQIEILQLEKDSLQQKIMEMDETMELLIGPNQPDANQRMGDHQHHGSSSEFSRRLAQSDMLLSHARHEHSRNHASRSSRTHHGRHR >Dexi8A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:8A:23220038:23221691:-1 gene:Dexi8A01G0013210 transcript:Dexi8A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKVVKPAAYAGVDAAATTTVIPLTAFDLISYDNYVSSIHAFHPPSPTNAALEDALSRVLAVYREWAGRLCVDPSARRRGILLNDAGVRFVEASSDAAFESVVMPRRGRITPEVRSLHPRAASGGGAAAAEDEELLLLQVTRFACGSLVVGYTMHHAVGDGFAAGQFMAAWGQTARGVPIDPVPVHDRTSFFLPRSPPRVEFDHRASEFRHRDDVDNDNHHAAELKDDQVVIQQVRFSREFISELRSRASGNGTKQQHHHRPYSTAQCLVAHIWRCMTKARGVNGGRATTLHLAVNGRPRMSGPRVPEGYTGNLVLWAHPTATAGELLAERLGHAAELIRGAVARVDNAYFRSFIDFVSSGAVEEEGLVPAAAAEPDAAVLCPDVAVYCLLRAPFYDIDLGGGRQFFYTPGYYPDEGVVYILPPSPLGDGSVEVHVSLFSRAMDVFKGCCYELGQPEEIILQ >Dexi5A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:5A:10073575:10073979:1 gene:Dexi5A01G0013410 transcript:Dexi5A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGTPFLRGGAFAFAIDGAIATVSAHDTAVEDLARRRDRGAGKRVLARQEEADPARGWSSAVAERGLALRRRGEGSTSCSSRPSGGLVVEEGTRRREEVAAASAALGVGGAACSEEPLVDPGVAFFCLAVL >Dexi9B01G0027570.1:cds pep primary_assembly:Fonio_CM05836:9B:30048339:30054489:1 gene:Dexi9B01G0027570 transcript:Dexi9B01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAPAPPAPAHAPRPLFPRTSPRRGRTTTARAAATAAGAGEWAPGSWRARPARQIPEYPDPAALEAAERALEAFPPLVFAGEARKLEERLGEAAMGRAFLLQGGDCAESFREFSADNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMGGQFAKPRSSPTETRNGITLPSYRGDIINGDAFDEKSRVPDPERLIKAYGQSASTMNLLRGFAHGGLTCMYAIQVSDKLDPSELVKLCEILNPHNRPGRLTIITRMGAENMRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRPFDAIRAELRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGAKAVTFDDLGDRYHTHCDPRLNASQSLEMAFAIAERLRNKRNRTWTNLMSRVVA >Dexi6A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:6A:7558120:7558599:-1 gene:Dexi6A01G0007640 transcript:Dexi6A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTELHLSKYPCSDIEADVAADIDVLVEVCQKLSRYMMYLLLTLPSLLPLNASAVATLNKWQADMSENDVMTELKGFDPQPGKEALEEIRDIWVRLTIYAAAKSQPEMHAAQLARGGEPLTFVWLQLAHYNCGEFGYSRIELTRDRSKHSIFYVLQLHG >Dexi3B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:3B:14157797:14158441:-1 gene:Dexi3B01G0019090 transcript:Dexi3B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLTRQTETPTRWGSSEGGDTSAAVDGGGGGVTSSEVRSVGCECCGMAEECTPTYIGRVRERFEGKLVCGLCAEAVDERRAREPGLTVARAVEAHAAMCERFNSTVRLNPKLSLASSMRDIARKSGQHRRRSISGATAAATRDACGGDRLPRAASCALPYV >Dexi1A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:1A:12635781:12637419:1 gene:Dexi1A01G0012550 transcript:Dexi1A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDIDLRTTRLSLDKAFPKDEDGGIPAKDDRRLRRLAETRENKEELRADHRRIRQAEIVSTVDEQNERQEADVDEEDEEAQEERRRRIRERQLLREQEELLPQEEEEPVEDEVSEESEYETDSEDEQMGIAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRKIVVEEIKKEEHIEKALNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLHQNKQKWKFMQKYYHKGAFFQESADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKLKDWDAK >Dexi3B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:3B:5366403:5366777:-1 gene:Dexi3B01G0007550 transcript:Dexi3B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGGDGEDGECHPLGWLLGLPFALLAILVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >Dexi1A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:1A:5584139:5588994:-1 gene:Dexi1A01G0007280 transcript:Dexi1A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTVAARFAFFPPSPPSYGLEQPSPPAASAAAPAAEEGEGKEKEKGVGGGRVVELTGVPRKGNVEARRLRTKRGTEVVAMHVRQPGAKLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKGTADEVVDCSHGRALWELAKVKYEPLWIKGGNHCNLELYPEYIKHLKKFVSAIERSPPPIDESTESSGPSDSATTEPECTAEESRKSTDCRDKTRPSVDHRHSTDRRDKPRGSTDRRDKSRKSVDHPDKPRASVDQSDRPRKSIDRFGGMMKSVRLCNIDCFKVTATSGS >DexiUA01G0005590.1:cds pep primary_assembly:Fonio_CM05836:UA:10100394:10107334:-1 gene:DexiUA01G0005590 transcript:DexiUA01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGADIAQISLALATRIRNWRKEKKLSLDELSRRASVSKGMLVEIEKGAANPSIAILCKLAAALGVSVADIVNVSSEPRVHVIEEAAIPVLWQGPQGGYARLLAGTSGPDMIELWQWGSQGGTTDLTEEGPSFDSTNSTNGKGLARMETMVAAFKEAILIGPDNEKSKILKMISNEDNKQFEVISICGMGGVGKTTLVKCVYQSQELNAMFNKRACVTIKRPFNAITPRALAISPPDDPVADAHRTPDACTAMPWMEFPRPPVTCTTTSSWTELLAA >DexiUA01G0009940.1:cds pep primary_assembly:Fonio_CM05836:UA:19491486:19493015:1 gene:DexiUA01G0009940 transcript:DexiUA01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEETMDEIPPTYRFRPTQRELVEFYLLPRARRQDPFPGVIIEDDTAGSSLPWDLFERHGLGTEDEAYFFVRASDATKKRGARQDRGCDGGVGSWKMQNSRVKGLRVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYTIAAPPCPSPVKICHIAFTGHGRKRERVPGAQEDCQTGQALPQVDAAAAGGGCSGGMPDDRDSGALVHASADEEGSQPVLTKDNIFSQNPVLGGSEFLGFPSTASANAEQYHYQELEQEVPSNLWSSTWLESNNVVPHISDHVVQQLNRVQEDYQTGQASQVDAAAAAGGCSGAMLDCDSGTVVNVSADEECSQPVLNEDIFSLSPLLDSSEFLGSPSPSSANADQYQELEQVVPSTEEEQAMVPQLMVEQSVSSLEEQQYAGALEFWSSTGVDLQTSNLGGNLWSPTGVDVQGSNGFAEQDILRSAVVESDSLVPHIGDMAGHQDPNQLDDFWSSSWAHGQSNCAMPDMAAGAAAANCHWGGYCITC >DexiUA01G0023550.1:cds pep primary_assembly:Fonio_CM05836:UA:48248578:48249265:1 gene:DexiUA01G0023550 transcript:DexiUA01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVILQISLHAPNQKLRETIVPSAKSYPLGALMDDCKSYFLETGRRVSFEYTLLAGINDEKEHAEELAEVLRTCGGGYHVNLIPYNPIEGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSEPSLVHA >Dexi8B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:8B:17053454:17055151:1 gene:Dexi8B01G0009570 transcript:Dexi8B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLELLDLSGNNSIKVLPSLSGATNLKALVLDGCVGLEHVGPQGLPPSLESFCFDKGLGKDDENKAKITRISLAGCARLADFRLHGSLPNLEELDLSHTAVKMLDLKHEAAVQSLQKIFLQGCEQLHSISWPETWLQRYRKVGHQIRLLCIDTRAGREVSKKPSSCNALMVCQGDGEEYCRAFVATSDMRFLQSLEFLYETTKLKLNLYFSSTIKEDRTSCSTGRLVAGLPLPKSMPYHDVNANQQIMTLTDGSSGASVTMPFQPLEVHAEIAEGISDVPNTVSAQGRRAITFVMNRVESLRVHDSSSITNIGPAHVFMSRGVGNAMLSNQLETLHILFCGDLRQVFPVEDEFQEKIVASNEKPWAPWKSRKGMLAFPYLRHLYLHELPNLQLICEAKMFAPDLEAIYIRGCWSLRRLPATDAHRRHDGRPVAVDCEKEWWHNLEWDGMEHGHHPSLFQLRHSKYSKKRNLRGTVLR >Dexi7B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:7B:10565875:10567008:-1 gene:Dexi7B01G0004360 transcript:Dexi7B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFPGAGDGDFPYGLAAVSFGASSAYAPPPGLHGVDAAMMMQGFGLPWPDLQLPDSSASATATAHFDSALSSLVSSPAAYAGAGDDDVAIGDLIGRLGSICAAATAAASATNSCYSTPLSSPPRGGAPSPASAAAAALAFHGGRLSRVASSKSLGAAAATTPSALGSPDEAGGAAVMRDDLAPPPVAKGGAARKRKASGKGKATTSAATAAANAASPPKRSKVAAEGEGEGAGSAPAAVAPETEAEAVKDYIHVRARRGQATDSHSLAERVRRERISERMKMLESLVPGCNKD >Dexi6B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:6B:16615960:16616858:1 gene:Dexi6B01G0010260 transcript:Dexi6B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLTALLVLFVVGQQGHAFYYRYSPLARRTAEDAVDTKMAVFFREEALRVGQSLPFRFPAAVTAPLGLLPRHVADAIPFSSSALPGVLALLGVAEGSDQAMRMQDTLGMCEDPGLEWEAKFCATSLEALVEGAQGVLGTKDVREMISRVPPTGAPLQPYAVRAVRPIGGDVFVGCHQKEYPYTVYMCHSTGPARAYEVEMEGAAGGGRVTLFAVCHTETSEWDKDHVAFRFLGTKPGGPPVCHVLPYGHILWAKKDAAAHSSA >Dexi4B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:4B:214303:214718:1 gene:Dexi4B01G0000330 transcript:Dexi4B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKKAVVLFIMVVVVAPEPAAACGGHPCPKPAGKCPVNTVKLGACVDRRRRSRPASCPLISGLANLDAAVCVCLAINANVLGVIDLGDVAVDLSLRRLLVYVNV >Dexi2A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:2A:2705721:2706557:1 gene:Dexi2A01G0003130 transcript:Dexi2A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGEVTCVDFWANGFGMRARIALRELGVAFRYVEEDLRSGDRSELVRRMNPVHRSIPILIHGGRPVCGSVNILEYVDETWGNDGGARLLPRDPLQRAHARFWADFVDQKVFSTQTRFLKSKGAAEKEAAKEELLDQLRRLEEVLGDKTFFAGDEFGFLDAVLIPFSSMFHGYEQHGGFSLENECPSLMRWVRRCKERDSVKSVLPDEDEMYELHKKWYGITE >Dexi9A01G0027070.1:cds pep primary_assembly:Fonio_CM05836:9A:31399042:31400489:-1 gene:Dexi9A01G0027070 transcript:Dexi9A01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDSMLMDISALRSATGDFAESNKLGEGGFGAVYKGTLPDGSDIAVKRLSNSSTQGVEQLKNELALVAKLKHRNLYLHEDSHLKVVHRDLKASNILLDANMNPKISDFGLARIFDQDQIQSVTKHVVGTYGYMAPEYVTRGNYSVKSDVFSFGVMVLEILTGRKNKRSSKPRQSEDLLATVWEHWKAGRASETIDPAMNGIFSEDDARRCVQVALLCVQDNPVDRPVMSSVVMMLGRNTVSLQVPSKPEFFVRNGGAAKPGIASDASTVSVQLE >Dexi3A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:3A:9977757:9981842:-1 gene:Dexi3A01G0013710 transcript:Dexi3A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRHEIRDRRKTRAHGDLLGGDTVVSSSMSCASWRLGVEANNIRDWYSIGYMSNYMFGDQLRQDCAVLAREAVAYAEGLELADDGRDVWSTLTNLPYYADTGFGYVRDRGVRSFACDRASSERVQTDMSIFNYRHESLDICDCMAEPYNVAYYFDEHVANATAPPREAAGYGHQSREATIKNLRSAGYHTWDWEMLVLKCAVVAGFFGVVWCRTSPASGRTKLVDAGYRIVGNMGDQWSDLVDALGGRPHLQGALPDPMYYSRFTVAGVHWTFLIAASVSPRRRCSCLHPTAALPLHSDQIPSRRPWPCQFLIPRVGLTTRRAKLDARVRPCMLPPAALSPVAAGLMTRM >Dexi9A01G0024740.1:cds pep primary_assembly:Fonio_CM05836:9A:21415848:21417488:1 gene:Dexi9A01G0024740 transcript:Dexi9A01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRSPPPSPDELKWACGACAVLNSLYSNSCGACETRRPLERPVEVDADSPVVVAATPAPAPRRRRRKKRDRAPAVAEAERPVEVDADSPIVAADASASPPLKACGRKRECDPAVSVADRPVEVDADSPVVVATTPASAPRRRRRKKKDRAPAVAEEERPVEVDASASPHLRVRGGKRKRAASLDVVEICDSAGREGGGEGKAPAAKKGNLGIHFGKTFKIMTYNIWFREDMELSRRMDAIVDLIKHHNPDLICFQVPILNFVSFSIPLLSAI >Dexi5A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:5A:6516412:6518968:-1 gene:Dexi5A01G0008720 transcript:Dexi5A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPASAREKQRGRIINGAVLPRYAAPAASAPTSDARVSGHLQLLRRVRLRGRAFRLQADCDSMPRRFFGHPSSQQHGRSDWGGWPARCSYGSSSDGDGAAAANFDASGEEFVDSSIIEAVELRSVSDGFVIKMRDGKDLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIPRPTIYNVLIEMTKRMGYENGNEEDTISFDLKPSDAINIAFRCKRIAYNNGLKVVQPKRALAESFVGSDDIQITRLDRPDDQPCGEAQEFDLVRNMLIAAVEERYKDAAQYRDQLFMLRSKKKSAI >Dexi5B01G0038470.1:cds pep primary_assembly:Fonio_CM05836:5B:37686601:37693048:-1 gene:Dexi5B01G0038470 transcript:Dexi5B01G0038470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGRSPHMLELECSIVRLPRQDKTCVLREWRTDSYVQQKDSRGPAQPEAGAAPAAVAEAKAAWQREKLPGELPRSPTIPFQPKVANTVRLVGNVAAPLHMQQTPDGRFYAVSVLVQDRRLDIPKFWVPIVFQDDLAQVLAHGLQFVDSKVVETGAILDEEEGCMEVVKAEKKLEEKRVTSKYPPSAGSGYKNKWDKFSNLWNDVITRPQDWIDNRPQKKDGSRSARYPDFKNKVSGEALWLDSAPPTVLDKLDGMVFGTGHGEDKAFSSYTQKGTVANWSKFRKSPDASALSKQKPEEEELWRDLLDNPVNWWDNRTDKRTPKSPDFKHKESGEALWIGSKSPQWAVDALPSLKFKGGSNSKGTRRQETLLSGEPLPVLCTTPLLNIEVASV >Dexi5A01G0036410.1:cds pep primary_assembly:Fonio_CM05836:5A:37959472:37966705:-1 gene:Dexi5A01G0036410 transcript:Dexi5A01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAHSSTRTGPLALCDTAITAPLEAGMTPPRAPLLSRLRVGHHLRRFPSAAAAPPPGEGGASRLFVAGTLLSRIRLSAYYVSDSQVVVVRGRALPHGRLLLIQHDSTVTEDGALLDNMTWRQRAIPSIRPRELFNEMPVSDVPAPACPAAIYFYARSGSFHQSVGLFAAMMRKGVCPNSSISIGAGRPPAPLLSFPTQQQPRRASADLGFIRTLLTPTRYAISLAPLILHRPIHFPAAAAHHLHAATAHHLHTAAAAVVKPGARGLVFTTAAPTGNFVMTPAQLTAVVLNLSHQLDGIHDLLLQRPSEPPPPLPSPTAALAKLAATAVDQDGSTAPSQPVPIHMMSFPPSPSPFPSWPTSGATFTMAPSRTTTYGEHRSLPAATTNRYASPMHGVRIIDEEGVVFPSAAMNRPGDQPPPRYDAPPHGSANGGSQPAAPPRFYKLEFPMFDGSVDPLNWLTHCEQFFHGQRTQASDCTWLASYHLTGTAQTWYYCLEQDEGMPSWDRFKELCNERFGPPVHGSRLAELARLQFTTSVQEYADRFNTVLCHARNLDAAQKVELFVGGLPDHIRVDVELHAPPDLSTAVRLARGFELRAQALWSASPSGVSHPPRVQQRAPPPPPRALPLPQPPTPPHPATSGGTSSPPPPSTLPPIRPFRRLTPAEQLERRRQGLCYNCDEPFVRGHQCKRLFYLESADYLDDDVPVQVAAAAAFAPPPGDPPVTSPEEAPTVSLYAIAGVRNEDAMILEVDLCGHRVVALVDSGSSANFVSADVMRRLLTNATPHPTLRVLVANGDRVPCQGVAKDVPFTIGNEDFSISCYGIGLGAFDLILGFKFLKSLGPVLWDVNALTMKFDRGSRTVLWTGLSHVRDAAHTPAAHAARIDHDHPLLDQLLQQFGCVFAEPRGLPPARPYDHRIHLLPGTAPIAVRPSRYPQLQKDELERQVETMLAQGIIRPSTSPFSAPVLLVRKPDNTWRFCIDYRALNASTSKDKFPIPVVEELLDELFGARFFTKLDLRLGYHQVLMHPDDVAKTAFRTHHGHYEFLALMNDVLRPYLLRFVLVFFDDILIYSPTWAEHLQHLSIVLNTLQANKLHVKRSKCSFGASSVAYLGHVISEGGVVMDSDKVKAVSTWPTPRSARGLRGFLGLVGYYRKFIKDFGVIAAPLTRLLRRDAFTWDAEAEAAFQALKGALTTGPVLQMPDFSSQFVVDCDASGAGFGAVLHQGAGPLAFFSRLFAARHLKLAAYERELIGLVQAVRHWRPYLWGRHFLVRTDHYSLKFLLDQRLSTVPQHQWISKLFGFDFEVTYRPSRLNTVADALSRRDVEDVAAPAADMEAVCALSTPSFASINDIRAAVRVAPDAQQLLQAGELETPWRHEEGLLHHCRRIFVPDHNNLRGQAFRLAHNAGHEGTQKTLLRLRADFYIPGDRALVQEGVRSCVVCQQNKTTTQRPIGLLQPLEVPSQVWADISMDFIEGTWEYAHFIALIHPYTASIVARAFFDGIVRLHGFPVSIVSDRDPVFTGRVWRDLFQMAGVKLRMSTAFHPQTDGQSEVVNKVIAMYLRCVPGDRPRAWVDWLPWTEYCYNTSFHTALKATPFEVVYGRPPPSLVPYTPGAASTEEADALLRSRDEILAEAQQLSKKYYDAGHRDVEFAVSLEPRARGKLGPRYAGPFQVLERIGQGTRLHDFFHVGLLKPHQGATPQAPGPLPPVKEGRLLPAPARAGSSQLQLGRFVHNVVRGCGKSSSSGKAFLRRRQPLYPDFQLEDELFAQAGRDVITYERRKKKPIAGRPPALLLSFPTQQQPRRASADLGFIRTLLTPTRTLSSPEA >Dexi4A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:4A:221849:228605:1 gene:Dexi4A01G0000310 transcript:Dexi4A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKRKAPDGPATADNASPLKAPRADATEPPSLTTIAAAEPVACVHDVSYPEGYDASTSASRVLAGGVEGSEPAKKFPFQLDPFQAEAILCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDSDKKKENGKWQKGIVAGKSSEGSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKTNIETIFWSAMDLLSDDDKKLPQACSCFAHFLILVSDIPYRLMHMFVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNTLLNQMRSEDGDPEKLLRYSFYQFQADRALPDLEKQIKELESDRNSMVIEEEESLKDYYELLQQYKSLKKDIRDIVLSPKHVLPFLQPGRLVRLQYSTDEPATFSIDENVTWGIIINFEKVKSHGEDRRPEDSDYTVDVLTRCSVSKDSSGKKVMKIVPLKGRGEPVVISLPLSQIDGLSSIRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMKVQSKSYRKATRRIEALESLFERHDIRSSPHIQQKLKVLHDKQELSAKIKSIKKTMRASTALAFKDELKARKRVLRRLGYVTSDDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECRIQIDVESFVNSFRPDIMEAVYSWARGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLYL >Dexi5A01G0023060.1:cds pep primary_assembly:Fonio_CM05836:5A:27139795:27142479:1 gene:Dexi5A01G0023060 transcript:Dexi5A01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFLRRGHSLDRLLSRRRRAVSPSPSFSSSPSTSSSSSSPSSPRGSSVRSRMAAAEEDDDAATTTVPPLQKRMLSRSHGSRAISGGRVHDLPPVPAKIVRDSGPPSASIFGEQRRLVPMSADRRARWNKEIDWLLSVTDHIVEFAPSQQVSEDGTNMEVMGTQQRGDLLVNIPALRKLDAMLLEYLDSFHEAQEFWYVAKGADGGEDDDSCDKWWIPTVRVPPEGLSDASKKWLQHQKDLVGQVLKAAMAINADVLTEMEIPEEYIESLPKNGRSILGDSMYKIITGDIFDPNELLNSVDLSTEHKIVDLKDQIEASVVIWQRKICNKLSWGGGVSLEKREEFEERAQTVLLILKHKFPGTAQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAVARDPKRTKSRRRTSLESPLLDDATTELAESVHKNTVHWQDQDFEDGERNPTEASDANAGKLKKVHRIATRKFLHTQKIDSVASGLRSFSHR >Dexi1A01G0026510.1:cds pep primary_assembly:Fonio_CM05836:1A:32390886:32391840:-1 gene:Dexi1A01G0026510 transcript:Dexi1A01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDQKLVTFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEALVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHRPLSPSQPLLTQQQHDPPRVREGLDETKQTLSPEQGLAGAEEDDEDEAAPASAQPEGETSSPATSTVSPSCSSSSASASVATPGADVAAWPDAIDLFQVDSIMDMDWAGILSGCGEDGAGAIDVELFDHHYPGDGFDDQQVWM >Dexi8A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:8A:1073140:1076575:-1 gene:Dexi8A01G0001520 transcript:Dexi8A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQPVEASKVPLLEPRADGGGSMSKVEEEEEEAWSSLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFLCSVVLLPVYIFTEPMLVALGQDPKISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLALHVSLSWLMTVKFQLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMQNAEVALDALSICININGWEMMISVGFLAATGVRVANELGAGSARKAKFAIYNVVLTSSLIGFVLFVFFLVFRGSLAYIFTESGEVAAAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAVLGYVVGFQVKGIWIGMLLGTLVQTIVLLIITLKTDWDKQVATAQERLKRWYMEENRRLQGSRDNP >Dexi9B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:9B:7739819:7741762:-1 gene:Dexi9B01G0011820 transcript:Dexi9B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQGFFAALREEVARGLSPARARRKAEAAADLAAAFRFTGGGGGEMLAPLMEGPDPESGDGEGGGGGGRGGARGGRKEGWGHWVRGQLARAPSSAAGAGAPRNDLRMLLGVMGAPLAPVHVCTADPLPHLSVKDTPIETSSAQYILQQYLAASGGHKLLASVRNTYTMGKVRMVATEIEKGSRLTKNRNAGRGGDPGRFVLWQMAPQMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRQLRRALQGLDPLITASMFAGARCVGEKKVNGDDCFILKLSTDAETLKARSEGLAEMIRHVMFGHFSQRTGLLVHIEDSHLTRIQSNTGGDAIYWETTISSFMEDYRPVDGIMIAHSGRSTVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIIKSGSVDETMELTQGERSRAGPPPGHRAKVAALEKTEGNKVAWGGGTILENHN >Dexi8B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:8B:21135196:21136113:1 gene:Dexi8B01G0011870 transcript:Dexi8B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIAANPATAAIVLATATAATVPPDPTTCTLKLQPPRDASSSTTTASPWKSHADSTCPCACDPSILLLVVSGDVGVRVQHAPPPGKVLDARRRCRHCRPADAVEVERKLWAAVEGEETPVALEVARRDALGVLVDEPKQNRSASADVACLSPSVGQPTSPIITGRCPNPIFLRAETSVSKLASNTFVYTTFPSTTGFATFAAVVLPPPESGKKA >Dexi1B01G0021010.1:cds pep primary_assembly:Fonio_CM05836:1B:26958497:26961229:1 gene:Dexi1B01G0021010 transcript:Dexi1B01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTVEADGQQGISSYLRPSPFTSGDLAAAMSMDRWTGVVHIPLSPGGPFFRVAASLVLSPAKTLAVPRANAILFTGDRVRGTGEPAIERLSDAGHIAQVLAGKLCGETNAWVVDAACFAGPFAVYRELVPSVDASGDPKGYDCTGFPAATGVANILAHGIAEVQNKLMGCSAKDSSVNQHPAASLSSYCPPRTIILGFSKGGVVVNQLVTELSCWASESMKNSVDVSQLNQSYSTHNLLVPTSSSNVLSSVSEFHYVDVGLNCAGAYITDQVMIKKIGDYVLHTGQNLRFVRHGTPRQWSDPKRSWVRKEKDIMLQLLRDEARRCDGKLLLSEKVYFEGRPRSLLMHFEILEAMDIS >Dexi3A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:3A:5536014:5538349:-1 gene:Dexi3A01G0007940 transcript:Dexi3A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGYGVAQQAELRRIEGNACFKKARLGAAIDCYTEASIPHFPSPRPSFIPPWLYKANRLDATSLAVASNSERFLSDESLIGNRALPRCSRLLDQSGTMPFQAQGHYLLGCSLLEKEEFSLAIKEFEKVPDYLCCQITFEIFRDPVITPSGVTYERAVLLEHLDKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHCWAYRLNC >Dexi1B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:1B:17901570:17922535:1 gene:Dexi1B01G0013000 transcript:Dexi1B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCQRSSNLLALPFLLLLLAQVTPDLGRCPIRWLDLIIPRVPDGVGLSIPLALPSQQVPSPEAAESTPGTRTRKIGGSGPSSVFSLFNLKAKSKFWTESVIRTEYDDLEGSASRDSSKGMLNFTRAGNIANYMSLAEVDSIYLPIPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEYTRIPPVGEVLTPFYKTSVKKLRQYELPLVSHVNHNFSVHAIHMGEDVLTVFELAIKVLSRREDLADSRKGFSESEINLLREGTCCLADIEKGVNRKPLYESHPLSSFSWASTDSMDMCFTHLVQGDWSKKCKEALSKFEVLKEGKSKDDIVYDKAVQILHGPKDEMHDILENALKSSGFKGLHAECLTDIWVGRERFAFVDLSAGPFAWGPSVGGDGVRTELSLPNIAKTVGAVAEVTEEEAEEKLQGTIRERFSSFGEDYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKGTFWLSLSEEPALMMAFSLARRAAAVPLLLVNGGHSNHRSTLEVPIFWFIHSEPLLLDKHYQAKALSNMVVVVQSDDDSWESHLQCNGRPILWDLRKPVKAAIAASAEYISGLLPSHLVYSHAHETAVEDWTWSVGCNPLSITSNGWQLSEFQQDVIGRNYIITSVEESIQTVNSAIQRLVTERASILDF >Dexi7A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:7A:18982837:18983441:-1 gene:Dexi7A01G0007700 transcript:Dexi7A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLTIASADPQSISANKPVHFSKSVTKWFTKEGVLVEGVFWKDVEKLIDDYNSERKSK >Dexi9B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:9B:440558:442111:-1 gene:Dexi9B01G0000700 transcript:Dexi9B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGGETNHVDAGDPSSRAVVFSDGSQYVDPDPGHSIQAIYEQVYGTPFVDATSTPITRPGVAMSGFAQNAEKEKPGMSETVMSGFRPDAVPVYRELVSQFAVCDRWFASVPASTQPNRLFVHSATSHGLVSNDTKQLVLGLPQRTIFDNLHDHGLSFGIYYQYPPSTLFYRSLRQLKYVGNFHQLDLEFRRHCREGKLPNYVVVEQRYFDLEILPGNDDHPSHDVSEGQRFVKEVYEALRSSPQWHETLLVITYDEHGGFYDHVPTPVAGVPSPDGVVSDAPFFFNFDRLDVRVPAILVSPWIEPGTVLHRPESGPYPTSKFEHSSIPATVKKIFNLGDFLTKRDAWAGTFDTVLTRDTPRGDCPITLPEPVKIRQTPAAEHAPLSEFQQELVHLAAALNGDHHAKDMKLVVEDMKVAHAATYCVDAFKSFLEECERCNKCGEDGSHIPAVKPPSAAKNKSSSFGSKVLACLACGRHSSSSSS >Dexi4B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:4B:14928848:14931503:-1 gene:Dexi4B01G0014210 transcript:Dexi4B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHESTKQHGSAGRRVLLWLLLTPLVVLVVLKTDFLPQAAHSREAGFTRFKDEMVHKVSSLGLDSARWQQQSLDTEKPESAKGHNQQNDILTTNVAKDASLINSDVGAPKISTFTCNFSHRHSDNCRMEGDLRIHGRSASVYVVSSSTFNPKNSTIPIKPYTRKWEPETMARIREVNIRTSPPAPYSVVIPPRCTVKHDVPAVIFSTGGCGKNFFHAMSDLIVPLYTTAHEYNGHVQLLITDYEPEWVAKFRPILSALSMYPVIDFDSDTAVRCFPAAHVGLESHRILGIDPALSRNGYTMMGFNDFLRSVFSLDRAWTTPVSRSSGQKPRLVFVLRHHSRAVTNEADAIAALADVGFEVVAAGPEDVSDMVKIAAVVNTCDVMVGVHGAGLTNMVFLPHNGTIVQIIPWGNLKYPCRYDFGDPVPDMGLHYEEYEVTAEETTLKDKYPRDHPVFADPISIERSGKLWEVFLEGQNVTLDIDRFRGAMQQVYPTE >Dexi5A01G0037160.1:cds pep primary_assembly:Fonio_CM05836:5A:38519260:38520256:1 gene:Dexi5A01G0037160 transcript:Dexi5A01G0037160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWRWSWRSGHTAEAEGEEDENKRIAKAQVKPGPLAPGEFIFWPSTTEKYFGLERLGKKLMYQSFKKKKLMYLTLLQEEKEWRARRFLTRQRDGERHQPAAEGDAALYIYIYRHQAARRGDKPRVFSGRRHITDDLTDARDPRPPRLG >Dexi2A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:2A:29448241:29449842:1 gene:Dexi2A01G0017590 transcript:Dexi2A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHVDAGWFRLWPIFSAGALRRKVLEVLTCGGGGVAGDGGGGSCRGRTAYRSPQRMPRPQPRPRSDRLAELLRAEEPSDCGDGDDEGEADAAAARKVEALEELKGVVGALQAGGDEACMSRVEAAMAVRRKAKDDAAAREMLAMLGAIPPLVSMLDEDGDDGEEVTAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGGGGASSAALTEAVVANFLCLSALDANKLVIGASGAAPFLVRAFQGAATEQARHDALRALLNLSIAAANAPHLLAAGLAPALVAAVGDGDDATVADRSLAALCNLVAACPEGRRAVSRAPDAVPCLVDVLNWADEPGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVAGDDTASGGVVATVSAPQERGCRGGEEEAVDGEPADACMSAEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPASAESLKALTASSTSKSLPF >Dexi2A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:2A:14613656:14614253:1 gene:Dexi2A01G0012590 transcript:Dexi2A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGAGKRGRRRRGYGGVGGAAARLAGVGAGVVLADGEDGVGAGVGVAGDGEAAVGESESERARQAMSQDADGGSPGTGRPKRAKWTAGKASMALRIMRWNRRGSAQSSGELGSQLCSWGFWSARVGAACGEMEMGRRRSVTRRTSRRRSAVAAGTEGERKEPRPSSGRLGSIAATNAPRRRFPRGRERR >Dexi2A01G0037090.1:cds pep primary_assembly:Fonio_CM05836:2A:46563903:46568900:1 gene:Dexi2A01G0037090 transcript:Dexi2A01G0037090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGPSSPMDALWPPRLTRPHAAAAPWPPLPKLHRRRGLRLAIPLSAHPAPPRASERASAAASSTQPRRSSSSSSSSRSSTDRRAPRRGALDPTAEKQEAVKPSPPPPGRIRYRTPSSPDLLLPTDAKMRSRYSALANGGRQETLADRVHRYRGVLLVVLAPLALVSLVLLLMPRSPASSSSSSAASRRTGPLDAAAQGNKYAVIFDAGSSGSRVHVFRFDANLDLVRIGNEIELFVQKKPGLSAYANDPREAAESLVSLLEEAKRVATAGLRNLGTEKSEQILQAVRDLLREKSSFKNQADWVTVLDGSQEGAYEWVTINYLLGNLGKTYADTVGVVDLGGGSVQMAYAIAEKDADKAPRPSEGEDSYVKKLVLKGVTYNLYVHSYLHYGLLAARAEVLKAGNGNGYSNCQYKYGSETFEASASPSGASYSKCKDDAVKALKVDEACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKADVAKVRPSEFEQAAKRACVDANHKMTLVKKVPYNGASVEAAWPLGSAIEVASS >Dexi9B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:9B:4915630:4916379:-1 gene:Dexi9B01G0007980 transcript:Dexi9B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENVASVVNIVMRDFKPRLLFCWDMSKCTFTGHKTLENRHKPLMLKELRKLWNKEEPDLPWEEGDYSASNTLLVDDSPYKALRNPPHTAIFPRSYSYLNCYDNSLAGPGGDLRMHLQNLAASDDVECFVRNNPFGQPFITENDPHWDFYAQIAYEGSTPLTCCA >Dexi5A01G0039640.1:cds pep primary_assembly:Fonio_CM05836:5A:40171676:40172998:-1 gene:Dexi5A01G0039640 transcript:Dexi5A01G0039640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKKDYNLAKHPKLDVPNLEVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRTFLNLPSEVVPNTLKKSSKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGLRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGAPGSSLE >Dexi5A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:5A:7505225:7506867:1 gene:Dexi5A01G0009950 transcript:Dexi5A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDFSDPTDGSGESESFDNLVIQAPQPMREDYIQNAVTFLSHPKVKGSPVFHRRSFLEKKGLTNEEIDEAFRRVPDPNPNGADAAAAGSQKAHNHNQSAALQPYTEAQRQAATGSVTARPIAPHTKAQFSWVNTLLGAGLFLGLGASAAITLKKFFIPSLKSWTHRAVTEENENEKDELTCKLYEEIREAIKDSAAAYSDIARTNQEVLASKDEDRKVLMKLTEAFESQADVFKSLNETLNHIRENRFSQYNLLEEHVQPAPWNG >Dexi4B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:4B:9981480:10000017:1 gene:Dexi4B01G0012250 transcript:Dexi4B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPSSWGRRKKPHTYKCAAPAAPSSPSKPPKTYHRPIHFRLGWLHPRSSDSETEAVREERGHPLRAEDSRMHLYNAWLPPPVAAAASGEAAAFAGAVRSAAAAWRPDDPDSAYATLKWISVFDLFIKAKSDIAPEDVQALVELGMEIFHASQNKFVVQIKWGGLLVRFLKKHGKRLSLGVQWRPLYDTLIKTHFKRNMGPEGWKVRKQHFETVTSLVRASRNFFPEGAAAEIWSEFRPLLDNPWHNAAFEGVGFLRLFLPANSRNQEHFTSDWIKQCLDIWDSVTNCNFWDIQWASIIARCIKNFRSVNWDDFLPLLFTRYLNMFEDRNAYYILGEMRQVPISSGNGSYPFPVEVPGNTRFLFSSKTRTLAKAVAKSIVYLLKPKSLAFEYFEKLINFLEQFYHPSNGGRWTYSLERFLRHLVLYFEKRLQHEQFDMTVEEHDQPCLGKEERAVFIKVILKLLDRGQYSKDNSLAETVSVATSILSYVEPTLILPFVATNFQLALETTTATHQLKNAVTSVAFSARALLLSSLCSSQSDDSSVADSFSDLIVISLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGVNDDVPAFLQSTTLSIWLDEFFSRLFSVLQNLESSSPINEGYQTSFMSGTFLVEDSSYYFCMLEILLGKLSEPLFNQSLKRIAKFVNANILPGATSEVGLLCCACIYSYPEAASVYLVKPILLTIMSSFEGTPTTGYVGRAAPDKSSKKDALSPALETALDYYLRVLAIAISYAGPVLLKYKEELNHIITSAFLAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFNCQPIGNIIEPWGCSKAHQDKEAEMLNFPPKWHDPSQDELSFANELLQFHFQSALEDLLTICQTKVHSETAGDEKEHLKVTLLRINSALHGVMSCLPEMRPSYKDGRSKEVDPTFFIAGSAGRTVGTSEMREKAGEFVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYLEWSSHVQAWKLESASIIERPCNFIVPFHTQGKKRPRWALVDKANLHSTWRCSQSSYHRYRTNADVSPSALMTDLMNDLLYLSLHNYETVRSYAGRSLTKLLKCWPSLISNCVLTLTGHLRDLKAPEHVVLGSCSILSSQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELCNFRYNLMANRVLLLLILASRSESGIYSKMLAETTAEKQYHVQILDELLDNMRHSSAQVREAIGVAMCVTCSNLRLSGSFDTSCSPEEPCGDVSMTEQTGNEYWSKCLTDRANESAVSIMNSIQCKQLESTTDSAAENSMDHREEDNAKRMETVLILCLSPNLRFLFVEASSAHVLNFVFFVLYIQVREHAAGVLASLMKGIDEDLSRDFRDRSYAQAQGIVVVRRRNSKSGHPVATVHGAVLALTASVLSVPYDMPSWLPAHVTLLARFIHEPSPIRSTVTKAVAEFKRTHADTWSIQKNAFTEDELEVLRDTSSSSSYFA >Dexi9B01G0039990.1:cds pep primary_assembly:Fonio_CM05836:9B:40646923:40647207:-1 gene:Dexi9B01G0039990 transcript:Dexi9B01G0039990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPTRAEALSLFRSLLRTAKQFSDYNIREYTRRRAADAFRENRALADAPAAAAAFAEGKKQLEVAKRQAVVYSLYAPKSKSVMELKVQ >DexiUA01G0011960.1:cds pep primary_assembly:Fonio_CM05836:UA:23834337:23835117:-1 gene:DexiUA01G0011960 transcript:DexiUA01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIAIPFCIPALTLGMYTDPLTLGISPHHARSPPLLLARRLFSASACISGDELPRGSPPLLSVGSHLRTTPVTSSRARDKLPVERRIVLTGGRVEQRGEESYTSKPRKGKVMPLSPAAVDSSSKHQRFSTRQRAGSSAAVCRWFRGGAAARQGRRGSERREPGDGDMTATRRDRRER >Dexi2A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:2A:26716965:26724831:1 gene:Dexi2A01G0015580 transcript:Dexi2A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRLPPCPSAWSLVFFLGSLSLLAEAVHGAGTPPTPSLPPAEVRVLSRIKAKLGVSNWDFAAGLCDYGSSGVHCDCSVSNGTVCHVTEIFLKEQNFSSELPPDFAEFPNLLQLDLSRNLFHGGVPDQWARMKLQGLSLMGNRLSGPFPMVITKITTLTNLSIEGNEFHGPIPPEIGGLIRMEKLILSANEFTGPLPTALSLFSNLTDLRISGTNFSGRMPDFLGKLKRLEKLQIEGSLLEGPIPLSLSELTNLFDLRISDLRGTGSAFPDLSGMQSMKTMILRKCSISGSLPSYIGSWTTLKHLDLSFNKLNGEIPPSFANMQGVDYIYLTGNSLTGNIPGWLLRRNKIANFDAANKIGEGGFGSVYKGSLSDGTIIAVKQLSSSGYMAPEYAMRGYLTDKADVYSFGVVALEVVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGTNYSTEEALLMLNVALLCTTAAPTLRPKMSKVVSLLEGHSPLQPLLSDLSLATNSLSSSGVRRNFWQNPSENQSLKGQASYSDTNKSSTIGIDGIMIPLVSQT >Dexi4A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:4A:25158522:25160009:1 gene:Dexi4A01G0021750 transcript:Dexi4A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSAALLALTVALLAAAYVAVAAALERPDSEVRRLYEAWRSEHRRPSCNCGEGGGDRLRLEVFRANLRYIDAHNAEADAGLHTFRLGLTPFTDLTLEEFISRALGFRNATAPRPASTRYLPRAVDDLPGAVDWRLKGAVTPVKNQKQCGGCWAFSAVAAMEGINKIVTGNLVSLSEQELIDCDNKDSGCNGGDMGNAFQFVINNGGIDTEADYPFIGRDGVCDAIKENRKVVSIDSYEMVPANDEKALQKAVANQPVSVAINADSLAFQHYVSGIFNGMCGLKLDHGVTAVGYGSEGGQDFWIVKNSWGPEWGEGGYIRMARNVFLPMGKCGIAMDASYPVKNGPNHHSTTAKQAGNIKMALA >Dexi9B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:9B:1864367:1864927:1 gene:Dexi9B01G0003260 transcript:Dexi9B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQHGGNGGSRGGSRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLRRPTPRHRTQIISRRPLHGHGNKAEHHHAAAAAAAQLPFAVGAAPAGCDVGQGEWVYDEVARPWYQEEECPYIQPQLTCQAHGRPDKAYQHWRWQPRGCSLPR >Dexi2B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:2B:10029233:10029538:1 gene:Dexi2B01G0009250 transcript:Dexi2B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSQLLLVAALLFLAVVAAAEAVCNMSNEQFMSCQPAAAKTTEPPAAPTQACCDALGGADLDCLCGYKDSPWMSIYNIDPKRAMELPAKCGLRTPDNC >Dexi6B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:6B:1927128:1928031:1 gene:Dexi6B01G0002200 transcript:Dexi6B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHEKHREELLQDQEQDHGDCLPISSSNVTSKADAEEVKRAGNEEYKKGNFEEALRLYDSALAMWPDNAACRGNRAAALTGLRRFGEAVDDCHEALRIDPSYGRAHQRLTSLHIRLGHIEDALKHLSLASPQPDPIELDKLQTVQKHLGKCLDARKAGDWKTVLREADAAIASGADSSALLLATKAEALLPLNLLDEADSAISGASKLDYPFSRSSDTKFCGFHANAYILYVHAQVDMALGR >Dexi2A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:2A:14257875:14258137:-1 gene:Dexi2A01G0012240 transcript:Dexi2A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHRRSSAAPTRYGAEWAMEEAGAGGGGGRWAATAEAGRGRRRQRRSEARRRRRRRRAAEAAPSGEEEEGRG >Dexi8B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:8B:751565:752254:-1 gene:Dexi8B01G0001090 transcript:Dexi8B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNAVAPEAAIGGATSTRRTPPPPGEEAEVLTVWRKSLLFNCDGFTVFDAKGNLAFRVDCYASARRRAEVVLMDVAGKPLLTVRRRRLSLAEHWVIYDGDATSEDESKAKPLLSVRRHVSLRGSSKKKALAHVTPLASSAAASSAAAYVVEGSYGHRACAVRDARGDAVVAEVRRKEAVGDDVFRLVADPRLGAPLAMGLVIALDEMFAGTSSARSLLRRTWSAA >Dexi1A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:1A:25241677:25242849:-1 gene:Dexi1A01G0017970 transcript:Dexi1A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTSHLVAGAAGGRSSTVASRGATAPPPLPMPGGEPSLLGAAGGADGDPRVALLRLAALGDRMAAVRGRIAASISGESRPLSYADIQSVSSEISSAAQLVVLNAASLLASSVPFPTPPPPPTTAAAAPSPGPIREAPAVAASAQEQHLEAAKGDGGYEVVELDAAELLAEHVHFCEICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGHGKPKPPAGDREVRFSCPFAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCDGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHAPAVTEPNKNVAPGPTEPILDVMEDGGMEEGNCDGEEDEEGGYDPEFFKEWMEELKSGASGSNWPGSEAAGH >Dexi9A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:9A:1295792:1301026:-1 gene:Dexi9A01G0002440 transcript:Dexi9A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLGAQSGHLYGGGMGELDLNRRENRLFGWDLNDWSWDSERFVATPVPTAATHGSGSNSSPSSSEEAEAEVTRNGLTGDSDKRKRVVVIDDDEREDQGTIVNGGGSLSLRIGAGAVSVGAMENGDVNEDERNGKKIRVQGGSSSGPACQVEGCGADLTAAKDYHRRHKVCEMHAKASTAMVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNRRRRKTRPDIAVGGTASIEDKVSNYLLLSLIGICANLNRRYMTLRIVLAADSVQHSNNQELLSTLLKNLGSVAKSLEPKELCKLLEAYQSLQNGSNAGTSGTANAAEEAAGPSNSKLPSVNGSHRGQASSSAGPVQSKATMVVAPEPASCKFKDFDLNDTCNDMEGFEDGQEGSPTPAFKAADSPNCASWMQQDSTQSPPQTSGNSDTTSTQSLSSSNGDARCRTDKIVFKLFNKVPSDLPPVLRSQILGWLSSSPTDIESHIRPGCVILTVYLRLVESAWRELSDNMSLRLEKLLNSSTDDFWASGLVFVMVRRQLAFMHNGQIMLDRPLVPSSHHYCKILRVRPVAAPYSATVNFRVEGFNLLSTSSRLICSFEGHCVFQEDTDTIADDAEYEDRDIECLSFCCSIPGRRGRGFIEVEDSGFSNGFFPFIIAEKDVCSEVSELESIFESSSYRHADVDDNARDQALEFLNELGWLLHRANRMSKQDETDTPLASFSMLRFRNLGIFAMEREWCAVIKMLLDFLFIGLVDVGSRSPEEVVLSENLLHAAVRMKSVQMVRFLLRYKPNKNSKGTAQTYLFRPDALGPSTITPLHIAAATSDAEDVLDVLTDDPGLVGISAWSNARDETGFTPEDYARQRGNDAYLNLVQMKIDKHLSKGHVVLGVPSSMCSGVKPGNVSLEICRPMSASVPSCLICTQQARVNPSPGPRTFLYRPAMLTVMGVAVVCVCVGILLHTFPRVYAAPTFRWELLERGPM >Dexi9B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:9B:1049944:1053179:1 gene:Dexi9B01G0001830 transcript:Dexi9B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPVARSRRGGDGGGGGKVKVTPNLAWDREGTRALNLSVLRRLDPAVTDILITAAHVVSYSFDEDVEEWSRKLVEGSLFVVKRTENLVEDLLTNFKVEVHVPYVMYRNAADEIIGIWFHSPQECEAVAHLFKRIQYAYSRASPEANLSSKSVFEEQEAASESSAPPAVEDVLEQPASPTMVEDDVEEFLLTPSKARKLPFYS >Dexi1A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:1A:25229098:25229608:1 gene:Dexi1A01G0017940 transcript:Dexi1A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DexiUA01G0026550.1:cds pep primary_assembly:Fonio_CM05836:UA:56844634:56846780:1 gene:DexiUA01G0026550 transcript:DexiUA01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKRRFKNRVALTLSMATMAFGLFWLVWILFSTVVRGIDGMSLSLFTEMTPPPNTAGGGLANALAGSGLLILWATVVGTPLGIMAGIYLAEYGRKSWLAEVIRFINDILLSAPSIVVGLFVYTIVVAQMEHFSGWAGVIALALLQVPIVIRTTENMLKLVPDSLREAAYALGTPKWKMISAITLKASVSGIMTGILLAIARIAGETAPLLFTALSNQFWSTDMMQPIANLPVRDLNFYYGKFHALKNINLDIARNQVTAFIGPSGCGKSTLLRTFNKMYSLYPEQRAEGEIILDGDNILTNSQDIALLRAKVGMVFQKPTPFPMSIYDNIAFGVRLFEKLSRTDMDERVQWALTKAALWNETKDKLHQSGYSLSGGQQQRLCIARGIAIRPEVLLLDEPCSALDPISTGRIEELITELKQDYTVVIVTHNMQQAARCSDYTAFMYLGELIEFINKTIESRVIKLLTFDVNGLAHP >Dexi6B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:6B:24417800:24418969:1 gene:Dexi6B01G0017200 transcript:Dexi6B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLLAPWRVLPPATSPLPRLPPSSARQVPTRAKTSPRRAAAAPRLRATAPDANDAADLMVARAEAGDFAEARSIWAQLLHSSAAPCLPAAAPRLLPAYARLGRSDEILLAVRELCARDPAAARALYPLAVSCLGAAGELARMEDAVLEMGRLGLRIDSATGDAFVRAYAAAGTIPQMEAACRRHKRTGLLISRAAIRAVASAYISQQKYYKLGAFVTDAGLGRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLSAEHMRRDGVAPDLVTHGCFVDAYLERRLARNLEFAFDRLDGNAEPVVATDAIIFEAFGKGGFHASSEALLETTAGKRRWTYYKLLGVYLRKQHRRNQVFWNY >Dexi4A01G0024610.1:cds pep primary_assembly:Fonio_CM05836:4A:27309569:27310280:-1 gene:Dexi4A01G0024610 transcript:Dexi4A01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVAKKVPRELVDHGDVRLDNYYWLRDDSRSDPDVLAYLRAENDYTAAVMSEEELRKMT >Dexi1A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:1A:2019740:2021010:1 gene:Dexi1A01G0002820 transcript:Dexi1A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQWILLARTNLLEETQLTNELDRLRATLPKARMLIFRREWGMFKDRELTKLLSHLKDTTYDAEDLLRAVDDQALRQRIEDADRNQAGQLLSYSLNIAKSLFHRTKTRIQETQDKLDKVVAEIEGALKFMGLMRVEPSQIMPETSSVISAPEIVGRDGERDALIEMLGVTIGREAQRDQAMKQLGVPLTGGRRSAGSNGKRAAMSNGVASTSRVKQPKGNSGRAGRAETNCTNNVSVSSIVGIGGVGKTTLAQFIYNDLRVEHHFGVMIWVCVSDFFDKRRITKEIIESVPPIPGKKFNPSCSLNALQIELMERLKICPKFLLVLDDIWPNANADWEAFCAPLRVLSSPARYWSENIRDVVWVSFGC >Dexi4B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:4B:6827075:6830598:-1 gene:Dexi4B01G0009450 transcript:Dexi4B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTPPRANSRVNYSNEIHDLSTVQGGSVAPTMYYPEKSLADIFPPHLLKKDDDDICVHVYIWQVISEVVATFLLVFVTCGAASIYGEDMKRVSQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQVTGAMCAAFVLKAVLHPIEVIGVTTPSGPHWQALVVEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWVYTYIRFEENPTKDGPQRLSSFKLRRMQSQSALAADEFDHV >Dexi9A01G0041790.1:cds pep primary_assembly:Fonio_CM05836:9A:45383066:45383551:1 gene:Dexi9A01G0041790 transcript:Dexi9A01G0041790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTTSLPPSAPQNDCIKLNKFSPTRSATRSARCSSGGGGSAAASSTATPSLAGPTAGSGSSPASGSGKLAEGRVGPRAPRNAKGRLAAAWNAAAAAGSRKTTAERGAAASASVERSRSQELGATCAKAVASSGKVWSGKTTPNMAAADS >Dexi5B01G0037400.1:cds pep primary_assembly:Fonio_CM05836:5B:36910599:36916715:-1 gene:Dexi5B01G0037400 transcript:Dexi5B01G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGISNVRRTQNVAARAAAARLAQVMASQNAAAATGDDDDEDDYGADHPPPAPVRFGGGRTAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSSSAGRPAVASRPTTTVVPPIKTNTTLRTPSPIPPVAVEPPADRPRPKRFDAGLHNSRDSGLKRESSTLQDELDMLQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKNGKEEVTTLRQELESAKEEVASAVDQLKEAESEMKALRSMTQRMVLTQEEMECILRLRYQSMNIGHHWLLFLLRWFYLLVKRLRRNLENKASLHSYNVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQASLAMEN >Dexi5B01G0022130.1:cds pep primary_assembly:Fonio_CM05836:5B:24368347:24374544:1 gene:Dexi5B01G0022130 transcript:Dexi5B01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQSSRAKKLTARTTMKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGDKIFSPHNDNGILDAGDIESMPFIEALGQFSYRVGHGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEEHVKVKLSQFCHVLISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLIVDWVPSCDLEDSSAKETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFQVIGCKSAKLYGNAASVEERHRHRYEVNPDMVPDFERAGLQFVGKDESGRRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCNNPMKTPMPGKVPKRKLYPTPVKNPLNGLVNGYYPNGTGIHT >Dexi7B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:7B:16608292:16612138:-1 gene:Dexi7B01G0008940 transcript:Dexi7B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRGATRRRAGSVALGDLLRREASAERAEGGERPTVAAGQAGRAKKGEDLALLKPACERRPGAPSTSFSAFALFDGHNGSGAAVYAKEHLLGNVLSCVPTDLSRDDWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGSVVTVASVGDSRCVLEAEGSIFYLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQMKLSNSGGRLIIASDGVWDALTAEMAFSCARGLSPEPAADQIVKVRLNSEYPVRDMFKLFACAICQVDLESGQGISIHEGLSKPGKLRPWDGPFLCHSCQEKKEAMEGKRHSRGINLSQFYSMNFIKSSIISNPIIDITSVDSSSRNSGSSE >Dexi2A01G0023820.1:cds pep primary_assembly:Fonio_CM05836:2A:35516739:35520416:-1 gene:Dexi2A01G0023820 transcript:Dexi2A01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGDLNRAINSHFSEGDSTLNVINQNTISASRDDMMDLDGPLDNTFQRSLLPGNFHDPFALMDPDFQQHFFDRVGSTDNVSRGPLVSQPREVREIPIEVKDGDIQTGPSGQAPVIEDITGNESSRGPEEVHETIIIDDEDDILPSAPSAPHANIPSSTSVPTAPPLVHVNDYDDIEEEMIRAAIEASKKDAEGLENIVEQGGDQHPEGVNLGEHSSDEANMGTADGTFERQGLASGKAGPSRQPIDEDGLQEETEDVEEQPLVRRRSRRVPSENTGLAQMVEQGPSPVLNNRQSNGDDFPSEWGGISSEEHDEAVMLEAAMFGGVPEGPTYPFSMPSHRSSTYYPPLVHSPSPALTEQRLLREQQDDEYLASLQADQEKELKATQEAELRRLEETAAREAALEKQKQEDEERRKKQLEEEELESNLASKQASLPSEPPPDIEGAVTVVVRMPDGSRQGRRFLKTDKLQFLFDFLDIGRTCKPGTYRLVIVYQYFLIKFCIYTYKKNVQILYGALSNSSNLIVSPFCIMQVRTYPRRTFTTSDGDVSLSDLGLTSKQEALFLEHIKE >Dexi1B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:1B:22384686:22387636:-1 gene:Dexi1B01G0015860 transcript:Dexi1B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEYYDVLGVCPAASDDEIRKAYYVKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKNSISRDNILDGTVVFTLLFGSELFEDYIGHLAMATMASTELTNDNDNPEKLQDRLKNVQREREEKLARFLKEFLSQYVRGDKEGFANRAEAEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFVAEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSNKDGIATEQDVDLHMRMNKDLMMNSLWKLNVVDIEMTLLHVCEMVLYENNVKKEDLKARATALKILGKVFQGIGRLFRCLCNPAYDVDDDFEPRK >Dexi7A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:7A:27248044:27248713:-1 gene:Dexi7A01G0017660 transcript:Dexi7A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLLNGSSGYSGGCASFCSANNNIVNGLCSGVACCQAPVPKGLKKLDLEFSIISNKGNNTMQCGKAFIVEQNSYMFSSNDLSNTNSTKPQYRPVVLEWSIDGGSCGEAKQTTSYACKDNTYCYNSTNGIGYRCNCSQGFEGNPYLQDAQQG >Dexi6B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:6B:25683572:25684956:1 gene:Dexi6B01G0018730 transcript:Dexi6B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAWCAQHRLRFLLPALFLAPVLYFLLSPPPAPPFVGIPASGELLPSGSRLIWAQRRLVEWRPCRWWQTAPMPAPLRRNGYVRIDCYGGLNQLRRDGASSDPNVIVLQLCDGIGIARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEIVKEIPAEIASKEPFKVDCSKRKAHFDYVETVLPDLLEHQYISLTPSINQRRDSKLETEPADVGREKGRVTE >Dexi4A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:4A:8793214:8793659:-1 gene:Dexi4A01G0010930 transcript:Dexi4A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAVVSFLVLALLLLAVAFPVEVAAGGYGKGNGNGNGNGNGGGNLNPWDCSPKCAQRCSKTQYHKACITLCNKCCAKCLCVPPGFYGNKGVCPCYNNWKTKEGGPKCP >Dexi6B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:6B:1199403:1201342:-1 gene:Dexi6B01G0001400 transcript:Dexi6B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQAPRCLARAHFTVLLFLLPILLTGASPPPDPVTCTHGTSDCTVTNTYGSFPDRTICRAANATFPTTEQELVAAIAAAAAAKRKVKVATSHSHSFPKLACPGGRDGTIISTERLNKTVSVDKARRLLTVEAGMLLRDLVNVAANAGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVKIRIVTPAPASQGFAFVRELPQFKRSVTFVTRDDKDMAEKLAVWGGLHEFGDVSWLPRQGKAIYREDDRVDVSTPGNGLNNYIGFRAQPAVGLLAARVAEERLEENGTDIARCLAARLPAATFELQAYGFTNDGVFFTGYPVVGFQHRIQASGTCINSHEDNLLTTCTWDPRIRGPFFYQSGFSVAVSKAPAFVADVQKLRDLNPRAFCGMDAKMGVWMRYVKASSAYLGKPEDSLDFDFTYYRSYTEGTPRAHADVYDEVEQMALRKYGGVPHWGKGRNFAFDGAIAKYPKAKDFLKVKERYDPDGIFSSEWSDQVLGINGSSPNLVAPGCAIEGLCVCSDDSHCAPEQGYFCRPGKVYKEARVCAFETTRLVDEL >DexiUA01G0008870.1:cds pep primary_assembly:Fonio_CM05836:UA:16925072:16927387:1 gene:DexiUA01G0008870 transcript:DexiUA01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMEAAAAAETPLLPPPASSLADDPAAAAAPPDRLGVGYLIFFTLGAGFLLPWNAFITAVDYFAFLYPGAPVDRVFSVAYMLSCFLPLVLIVLCFPKSSAPARINAGLTLFTLALLVVPAMDAVYVKGSPGLYGAFDVTVGATALCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSAMRIFTKALYPQDAHGLRQSAILYFIVGIVVMIICIVCYNVADRLPVVIYYKNIKKRAQKAEVGGGMTGPAWRSTLWSIVGTVKWYGIGIVLIYAVTLSIFPGCITEDVHSEALKDWYPILLIGAYNVFDLVGKALPAVYLLQNANVAVAGSFARLLFYPLFYACLHGPSFFRTEIPVTILTCLLGLTNGYLTSILMILAPKAVPIHHSETAGIVIVLFLVVGLVIGSIVSWFWVI >Dexi4A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:4A:7711746:7712018:-1 gene:Dexi4A01G0009780 transcript:Dexi4A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVHCQFATLKGDSSYVSSLAIDGDSLYVASSDGHIRLSPLDIAMDDVQRPEQSSFMVTVANSPINCIISTTRHDLVITSHQVGETRV >Dexi3B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:3B:187369:187669:-1 gene:Dexi3B01G0000230 transcript:Dexi3B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSARELRTGGIARCVIVGVRLRWGERKGVRTGEASRFDSPLSLF >Dexi2A01G0023880.1:cds pep primary_assembly:Fonio_CM05836:2A:35571354:35571559:-1 gene:Dexi2A01G0023880 transcript:Dexi2A01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGEDLPCRESDTPERPDPSVASQPGRLTATTRSRNRKTTVRLASLLPETAKTLSV >Dexi8B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:8B:8449511:8449867:1 gene:Dexi8B01G0007200 transcript:Dexi8B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGAEIGLQPGSPEPLSAPVQAISSDITPPHAGASSGEFTINTLPLERLSDGYNWRKYGQKQVKGSEIPCSYYKCTFVGCPTKKKVGRSQDGQTIDVVYKGAHNHARPRNTLDSMRW >Dexi9A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:9A:15876271:15879442:-1 gene:Dexi9A01G0020850 transcript:Dexi9A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFFCVNSVYHMPFTPISLVTWMLNMSFAAEDEQVLMTEEDFPEVKVDFQETFLGHNSSISQCRFSASGSNIASSSIDGTVRIWTYDSSTPSSKNATIYCGAEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLNTSRDFPSILDLKCSPVEPVFVSAAASRRRGSTIFERTGFANLTVWHMKTWKPLTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGMQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCNPESFNKQMHEIALDSNGKRLLVTSGLVRAPIYQVYKAMKVD >Dexi1A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:1A:24018558:24024474:1 gene:Dexi1A01G0016730 transcript:Dexi1A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAGASYQRFPRVKIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFNLSARATDSDQTLEVTSNDLRSADPKVCPVDQARAYQQALSSGDYDPNAGDQRQIALGVALGIMGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMDTLTLDEKRSWVESSPTKVFELDPVTQQVVLLRTIKENIIYGTETASEEEIESAAKSANAHDFICSLKDGYNTLCGERGFQLSGGQKQRVAIARAILKNLAILLLDEATSALDSASEKLVQKALDQVMVGRTSVVVAHRLSTIQNCDKITVLEAGGVVEDGTHASLMAKGPSGTYFEMLKMQQGGNRH >Dexi5B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:5B:21660233:21661228:-1 gene:Dexi5B01G0019370 transcript:Dexi5B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKHTQLRCTINLEFHRPIITSRLPEDEPLQVRCIHHCELIGHTRPEEHALEALAAEVDALGHCVDDEREGLQLGAAGKHVLKVLLTHLGAQHAELLEVGKDVSTTGSAGGIREPAGSKEESAKRGASEDVGGELAERGASEGVAEAARQGWIVEEDEVLDTLGGEERAVGEVDTAEGARVVGEDPADCCVGARAPAVGCQEEVVVVEDERGGTPEAAPAGGEHGGARTVADGEAGDDVAEELVREGADAVHAVGGRGLGHLGGERGGGGVVAGEVAAGERASDSIDVDEAEHGGGERLHFGEFFLLLDEAERTAQ >Dexi7B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:7B:13541282:13546854:-1 gene:Dexi7B01G0005960 transcript:Dexi7B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVAGQISRPRGSASKGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGNSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDEALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVGEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEINLQVTEKFRDRIVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPVEPALSI >Dexi4B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:4B:7088460:7089746:-1 gene:Dexi4B01G0009760 transcript:Dexi4B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASSSSPGSFAPRRLHGAGIVRDALPYGTFAAAPPPPPQQQFPPAVQQTQGAGGGGGGGGGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRVLMKKQHRRGGRGGAASAAAAAARGSGAEAGGGADAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAVDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFVPGLMGEDNPMFDFEERLEEGRISEDCDDGFGLPGQKASGLAQTPVAEKRVFPVRLGKFKNVGTQGAVQGGNADANASVLSRDQGESSSSSLDGRRCFSMGTYQYVLGTSELRVALQPGRIRNGAGAMRGRPAGLSSINADIMEGKKICARNKGESFSVSKIWQWSNLKGKLPAGSDECSDAGSLPWMKRGGAAADTSNI >DexiUA01G0014550.1:cds pep primary_assembly:Fonio_CM05836:UA:30613033:30616445:1 gene:DexiUA01G0014550 transcript:DexiUA01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVFGDHIGRIVEAFVNDIIVKTRKADDLVRDLEVVFSCFRAHGVKLNPEKCVFGVPRGILLGFIVSERGIEPNPEKVTAIQQMEPIRDLKGVQRVMGCLASLSRFISRLGEKGLPLSVSTTEAQEALDRLKTALTNTPILTSPKEGEPLLLFVAATTQVVSAVIVAERTKDILCREVLTEAKMRYPHVQKLIYAIVLARRKLRHYFEAHPVTVVSSFPLGEIIQNREVSGRISKWSTELMGETLTYAPRKAIKSQILADFIAEWTDTQLPPSKSSLDCWEMYFDGSVMKTGAGAGLLFISPHGEHLQYAVRLNFPASNNMAEYEALLAGLKIALELGIKRLDIRGDSRLVVDQVMKESSCHDEKMAAYCQAVRDLEDKFDGLELHHEANELAKIASGRATVSPNVFAKDIDKPSIAITPSARASTAVDPQGAALSLMSAELLADEDEPMGYEACSEEEDEAEAMEIDEVPAPRDWRSPYLDWLDQGVLPNDRTEARRVGRKAKWFFIIEGELYRQGTSGVLQRCILIPEGKELILDIHVGVCGHHAAPRTLVVRTCEGCQFYARKTHLPAQALQTIPITWPFAVWGLDLVGPMAKAPGGFTHLLVAVDKFSKWIEARPINRIKSEQAVLFFTDIIHRFGVPNSIITDNGTQFTGKKLLEFCDNFHIRVDWSAVAHPQTNGQVERANGMILQGLKPRIHNKLKKFGHRWVQELPSVIWSLRMIPSRATGFSPYFLVYGAEAILPTDLEYGSPRLRAYQEQRNCRAREDSLDQVDEARDVALLHSARYQQSLHASAINWQMPDMAKLNLNGRSVSPRGSYGL >Dexi8B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:8B:4497993:4505880:1 gene:Dexi8B01G0004850 transcript:Dexi8B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLISTLRIGSSAAKVNAAALLSALCKEEDLRVRVLLGGCIPPLISLLKSESAEAKKAAAEAIYEVSSGGLSDDHIGRKIFVTEGVVPTLWDLLNPRSRQDRVVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARFISAFGDSIPKIIDAGAVKALLHLLNRDNVISVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGETCHSLQSHAVHALSNICGGTTSLLLYLGELCQAPRSPVPLADILGALAYSLMVFDGTDSKSFDPTEIENTLVVLLKSHDSKLDRILEALASLYGNDCLSDRLDQSNTKKVLVGLITMAPADVQEHLVRALTSLCCDGVGIWDALGKREGVQLLISLLGLSSEQQQEYAVSLLAILSDEVGDSKWAITAAGGIPPLVQLLETGSQKAKEDAAYIMWNMCSDSDDIRACIESAGAVLALIWLLKSGSPRGQEAAVKALKKLIRSADSATINQLLALLLSDSLSSKVHVITVLGHVLVLAPQRALIQNGAPANKGLRSLVLVLESSNKETQEIAATVLADIFTMRQDICDILDIDEIVQPCMKLLTSGNQVIATQSARALGALSCSASAMSKSKMSCLTEGDVRPLIEMAKTSSIDVAETAFAALANLLSDAQIAKEALDDNIVMALTRVLKEGSLEGKISASRSLHQLLNQFPLSEVLPDYSQCCFVIHALLVCFSGITLDNVTKLEPLDVLALMARTKEGCNSCSMLQEQYEESENIWTGALLLATLFQDSVVVQSSEIMQTVPSLASLLKSDHTIDKYFAAQALASLVSTGSRGIQLAIANSGAVLGAVALIGHVESDMPNLVTMAEEFKLAENPSQIILRNLFELEDVCTGAIARRSIPLLVDLLKPMPDKPGAPLIALHLLTQLAEGNEMNKVAMAEAGALDALTKYLSLSPQDSTETTITNLLGILYSNPDLLYHESSRNTSNQLVAVLRLGSRSSRLSAVRTLQKLFDSENIRDTEVARQAIQPLLDMFESGTEIEQQAALGALIKLSAGNISKGSAMFDVEGNTLENLYKILSFSSSLELKKDAAQLCYILFENSTIRASPIATECLQPLISLMTSGSSLVVEPAVCALNRLLDEEYNAEVAATGEVIDLLVSFVPGTNYQLSEACIGALIKLGKDRPNCKLDMVKSGIIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDFTMWDQHSALQALVNILEKPQSLAALKLTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADADGIFELSKVIVQDDPQPSQALWESAALVLCNVLRYNSDNYVKVSMAVLVRLLNSTMESTVTIALSALLVQEKSSSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNSRVRETKVAKYAIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVVNHSICPAWNEGFTWLFDVAPKGQKLYIVCKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGAP >Dexi1B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:1B:4195535:4203768:1 gene:Dexi1B01G0005130 transcript:Dexi1B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNSRAGTVSGRPEMVSLRCTTAHHSLFGSTTCLARPRRRACPVVRAAAAVEAGTQAKVSLIRIGTRESLFCKPSSLSCPASLVRRRAAAIAPPRRRGPGPRPATTFEYNLRRVPSTNSLPSLARDILVSDCSGLVSMAVDGAVSADLEESIDEMGKTLSDLAGKLSDLTNQFAALRPLIPLAKKLDGIPEKVTALEASAFEQNEQLRALGLAVSRLEQRRRDGKQPSDQDTSPEASKSADHDRFIRLAQTQARETREKLRAAHSELAEEGAIEIIVIKTTGDIVLDKPLADIGGKGLFTKEIDEALLNGATDIAVVNFRGNIETRLKKLKGGDVHATLLALAGLKRLNMAENVTSLLSVDEMLPAVAQGALGIACRSNDEKMRILAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSKVYRTTRSGLYSFEDMVAMGKDAGHELKAKAGSGFFDRLQ >DexiUA01G0022660.1:cds pep primary_assembly:Fonio_CM05836:UA:46085541:46087236:-1 gene:DexiUA01G0022660 transcript:DexiUA01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPGGRASYPPAAAAMATATPRRRHPSTMAAAAGGEWAAVSASSAGEGTWRVEALGKQQLMRRTGLRVRDLRALLDPAAQLSHPTSVMGRDRAVVFNLHRVRAVITASEVLVPAPRDPSVAPLVGELHARLAAAAAAAAAAAASPPEEDGKALPFEFRALETSMLEKEAYPALDALTFKVTTLNLEHVRQLKSRLLAISGGVHKARDELEHLLDDDADMTAMHLSEKLAFFQAAAGQSSRFDIEEEPGEFDHQSEDDQAAEREEDDEGEGSVPFSPKIDELEKLLEAYFVQIDGTLNKLSTLREYVEDTEDYINLMLDDKQNQLLQMGVVLSTAALVLTAAIAATGVFAINIHFALYRTTNTSTFWVATAGILGAAAVLFVAAIVYYRKKGILR >Dexi5A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:5A:5531126:5532308:-1 gene:Dexi5A01G0007440 transcript:Dexi5A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMLLFFVTTSVWVASPPLTLMRVVGAGDGQRVKPCPPTSCGGVNISTPFGVLQEQAWESSCGAIGFQVRCSNNISYLAYSEQDHQFQILSIFYDNSSLLVADSHKLQALDGSVDESCRVPKNNGSARIGFPFSISPTNNILVLYNCTKAPASLEGLVETRCGTTTTFARVVGSYDDDGGNSNYSDTAADGCKPLPGDSPEDVMTIPKCCSGKEGGRVFGRSCSVRFEVYRFYKGCRGGHVASVTAGAGRWFSQRQ >Dexi2B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:2B:35235:35909:-1 gene:Dexi2B01G0000090 transcript:Dexi2B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSGYLLNNVKGPTWIKAIANLSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAFHNVVFRVLVRGGYLTVNTLVAAVLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSAIQKSWHWLNVIGFSGLAVAAAVAAIRLIMLDSSTYHFFADL >Dexi6B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:6B:25353192:25354784:-1 gene:Dexi6B01G0018360 transcript:Dexi6B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPAPAAAVPAAAPATPPNPNPTPPQPQTPAAPPSSSSTRPPHPWEIAARAWLDPCHAPEPEPDPSPTPNPRRAAFSFPDGRPPAEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLDMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATTQPGAAPVVPEESIVPLRKTAPPARGLFSISSCIFLY >Dexi7B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:7B:20975617:20976433:1 gene:Dexi7B01G0014890 transcript:Dexi7B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFLPPAKLALQGAPRFSGAAKTAPGRVVRLPPARAQPEQQVKEAEAEAAASAPPAPEQKARKGDAQSLPRQPLAESKNMSREYGGQWLSSATRHVRIYAAYIDPETNAFDQTQMDKLTLMLDPQDEFAWTDEICQMVFNEFQDLVDHYEGAELSEYTLRLIGSDLEHYIRKLLYDGVLKYNMRSRVLNFSMGKPRVKFNSSQIPEAT >Dexi1A01G0029010.1:cds pep primary_assembly:Fonio_CM05836:1A:34546346:34548432:-1 gene:Dexi1A01G0029010 transcript:Dexi1A01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYSSTSKPWPQRHPPSPSQGAGFARHVARAGGSKRRGAGAAVAEGVDETAEAAERMRSLQLPSPSQGTGAARHVARAPGSKRRGSGAVAAAEGVDEAAEAAELVRSLLRRTAGGKERLVPVLDRNVRVVRTEHCFLLFEELGRRDAWLQCLEVDILFKDLDESIVSPDIYTYNGVIDAYGKNDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLRGKAESVLRKMEEMGFKPNYVTQECLIMMYAHCDCVSKARQIFDELVSLQNKMHLSSLNAMLDAYCMNGLHIEADRLLDTVLQKGVVPSGSTYKLLYKAYTKANDKVLVQKLLKRMNKQGIVPNKKFFLDALEAFGTSERKPRASSATNSASNRSSDSAGDSETVTSNKPE >Dexi8A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:8A:27807429:27810007:-1 gene:Dexi8A01G0016420 transcript:Dexi8A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPLLGSNADDLGAGKGGEEQLSCWSRWLGRVVDVEEAREQVGFAVPMVVTNMAYYGIPLVSVMFSGHLGNVHLAGATLGNSWATVTGYAFVMGLSGALETLCGKAYGARLYRMLGLYLQSSFIMSAAASTLISVFWYFTEPLLLFLRQDPDVSHAAAVFVRAQIPALFAFGFIHCLLRYLQTQSVVLPLLFCSVVPFVLQVGLAHLLVNVLGLGLAGAAAAASITFWISCLMLFGYVLLSEKFSETWRGFSSEAFTYVVVNVKLATPSAIMVCFELWAFELLVLIAGLLPNPTVSTPLIAMCASTEAITCMVAVGFSATVSTRVSNEIGAGNVDRARNAVSVTLKLSVFLAIFFVLLLGFGHNLWARLFSGSSTIVSEFADITPLMMVSIVLDSAQGVLSGVSRGCGWQHLAAMTNLVAYYVIGMPLAILFAFKLKFYAKGLWMGLICGVAWQACSMLVITVRTKWSELAEAMQEEKATYVA >Dexi4B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:4B:796469:797834:-1 gene:Dexi4B01G0001280 transcript:Dexi4B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGGEERPARRFQCLAVLRYMVATVVTVLALVVIAKVIAVGVRPKDIVLSVVHGHVWTSALWKEVTTPPKQQRGGDNNNNNNNCTGGDDGDCSSDSGGLPATKATYQPVAILHLKFVMSVDNPSGRGSVHCDSISVAFIGGGGGGGDDDTMTIGTIPLPYSFQVRPQSTHKFFRLVTIYNTTVLAHMASEYAGMTSFTGRLQVTMNTYDTRLGRRADSRTAVGFECSPVVFSLADASDTDASIQCLPAGGTRSSPGFFNISIY >Dexi5B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:5B:20219230:20225754:-1 gene:Dexi5B01G0018160 transcript:Dexi5B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSLLVFLVTAVLLSLLTATAENDAKGLTVKKELFFYKENNDGLIQIDRPEGSKNAFHHALQPLLLVILTAMLFFSTAGAIGCYNHENMTTRVKPLYYDCGCLNAANDSNYGNRMGLASKLMENISASQDNFFASYTVGSVFGFVLCRGDYNGSNCADRLNQTIKDYISVTDTNQMICPSSMDVTIYYDQHMVSFFSGGGDGEEYKGLGSNMPAWVASNMNYVMNSTGAAGFYGERVPEKFTTPAGDHCVGGRILGVWCNLRFETELFFEVTQETRKLHKPKNRKLQRELGEWHNEVMREIDSRFSLYHFTMIRDATRNFSEENELGLGSFSFVHRILQAEAH >Dexi7B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:7B:1377489:1377746:-1 gene:Dexi7B01G0000610 transcript:Dexi7B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPTMKAVFMACMLFMVAAVTIAQQQTEELCVTQKLTEFQSRDACVCSRNCACAGKCLFEDDPPRCFTNCALKNGCVCGKGE >Dexi2A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:2A:4382901:4385576:1 gene:Dexi2A01G0004850 transcript:Dexi2A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLCIGRPRFGSIPDERVPMPGRVSAYEQTLRNYAEKKSGVVIDPAVGTSFDSRAEAYEFYNLYSWEVGFGIRWGKNIKNAAKSVIGQYIICSCMGNPDKANRSTQRTGCKAMIKLLRSNDNDWCHEMELAIQEQYNQLQSDLESKESFEENRSKKKSRVMSKGVPIEEHAAKEKGKAYVARHIRDDRQETWSQVEFEVTIRAENGTVVCECGLGEHMGMPCCHAVRVMTHLGMQEIPAGNIAKRWTRSAKDISPVHLTGYPKDVTPGMQQAYRYSALYVSAMELVDLGALNDDTFAIATAALAQAKQRLLEAGKAKDGARLGKQPTRSAGGVAQGSVAQDVSVVTMDDTASTATGHKRKLLHHLLAEQVSQPNPQLQLTCEQACHFGVVGTSVAICTSGNGNPAK >Dexi1A01G0021880.1:cds pep primary_assembly:Fonio_CM05836:1A:28581582:28585999:-1 gene:Dexi1A01G0021880 transcript:Dexi1A01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDSAGAGGGGGGGGGRAGAELMVPQFMHKALHAILAVRTPRPHASAPAPPAAAFRRRDRWFHLPLHAPPPPPAAEHLPEPSPAEPLVVDVYLAPSGGGGGAEEVVVERWTVACEPWPSPAAAAAVGEGLAVNRAYKRCITLLRSLYAALRFLPAYRAFRTLCASGQVYNYEMGHRVGSFATPFTRDEEATMRTSRFAPVETQLGRLVVSVQYRRTLADFNFEVTSLAPAAIITDYVGSPAAEPMRAFPPSLTEAAGSPFPQPSRRPNSWASPAPWPHTLGQQPKFSPPPTHYASPTPSPPTFGYLHSRFSGETAPMSIPQGGGGRGPVHHRNMSEPTRAFMFPPPSPKGLRGEAGMQESPTETNRSFKRADGIRMGDLYANLPSGSKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDADFPFAVDDVYPDSRPGSSGGKDAGDQAGSSSHKSQDAAVGYLVHLLKSARPLRDSAYSPHTSRAESIEAGSTSSFMSRRTCDALEELESFREIKENLLARSRSRLQDSLDKL >Dexi7B01G0023680.1:cds pep primary_assembly:Fonio_CM05836:7B:28202016:28202585:1 gene:Dexi7B01G0023680 transcript:Dexi7B01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPGSAYDNRSGSDLSGLEHESPADRGDLRRRVRHVRRRHGAKRSRFRRKTSGEMREGNDGQETPRFHGHGGRASEATMEKEMTSRCGALWIS >Dexi1A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:1A:2895303:2898606:1 gene:Dexi1A01G0003950 transcript:Dexi1A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding APSTAPVMLSSGSDSDASPEASPARTTPSREQGDGEKQASSDHAGDRDNPAQNKGKTLTFTRRKTLSSKKAGPTTDGKQDTTTRCSTPKKDMVTLSSGSDASPGNSPSRAGDANHEEDSLTTPRRKGVQQTNKKKAKVSQRLPLIFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGSQDLLLDLKGTIYKTTIVPSRTFCVVSVGQSEAKIEAIMNDFIQLEPKSNLFEAETMMEGTLDGFTFDSDEEGDKLPESQPYQNDQNNEDDGQPKAKTKRKAEKQEGKVTKKAKVAAKAPKKGTRKTQPAKRTRKAKK >Dexi1A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:1A:20987664:20990135:-1 gene:Dexi1A01G0014390 transcript:Dexi1A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIPSRARHHHRAGHAKKGPSAYCRQGRTRTNEQAKSVGSSRDLFSIGVFLSSSPRQLRPSSFSLTFYCCTRETDGAGEGDRVWCAFFLLDLSWALGSLLHPPPSWSWIPFPSFTAAKRPNPFRRPSLFFPFSLSLSLGADDCTSGAAFARPGLHRPLRRRRVTRRYARPSYTLPAARS >Dexi5B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:5B:543564:546653:1 gene:Dexi5B01G0000840 transcript:Dexi5B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYDREDGLAPAPPQHAPDAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAHARLSPLTAAHRAESWTNYCDLFTVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTEDELNQLQQFDKAWNVYGVLNYLQALVEKSMITQILEREKEGLEQFTATDGYDYEGGSNVLKVLGYYSMIGLLRIHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVEATREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAQYMEVDEATLRSILMTYKHKMHSVDSDGKIVSSADFDFYIVEDIIHIVESKPTKSHGDYFLRQILKFEEMIGELEKVQFD >Dexi3A01G0028440.1:cds pep primary_assembly:Fonio_CM05836:3A:29135017:29141809:-1 gene:Dexi3A01G0028440 transcript:Dexi3A01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLEHAADHLHQHPPRILAAAPPLPQQQPPPAAAQPAVAGVLHKWVNYGKGWRSRYFVLEDGVLSYYKLRGGGAGGGGGSGAGEAAASPAFAAARVIGEGSALRRAREEAAAAGKRWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEGRNTWIDALLAAKDRFPRSLTSNDLGPVADILLSTEKLRVRLLQEGLSEAVVKECESIMMSELVELHNQIKSQQQQQSILIDRLRQLELIFTICADFYSVLSGGTTSESDHDTASQVAEVETEEDEVTYFDTRDFLSAESLRSASCRRKELMANGCSGSEYVGDSVANTVKTTRYPFVLRRDKLPEPKEKEKPIGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRILHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKARRLQEKGWKPRWFKKDDDDSYRYVGGYWEAREKGNWDGIQDIFGQNCVSPTLP >Dexi3B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:3B:3410890:3413761:-1 gene:Dexi3B01G0005130 transcript:Dexi3B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLESWRNRLKTSSAVDTVLGQIRVCFEISTDCIEHNPEKRPNTKRIIELLEQTECMDEFNESDVQVWFTLIVPAFNDDEKPPSFSASAGTKGLVTMESVKYLKEDAALTADTVRAEIEINATSSATLLRKRLDLVAVLDVSGGMEGRKMESIKKAAKFVIMKLTPEDRLSIVTFSDDGATRLNPLRLITEAAQKELCALVDGLQAATGGGGTNIRAGLKTGLAVIADRVNTRARVANVFLMSGGHQSSASGDARHLDPGKAATIYTFGFGSDTDPRLMADIAAKTPGGSFTYLSDGSNLSLAFAQLLPGLLTVVAQDVRLIITPNTEDGDVDAVHVQGPRGVVFKQSTDAVTGPITVNLGTLNAGESHRVVVEFLLKDVSAAASEAYEAVLGDIQLIFNARGKWLVRQTPEEIQIMRTPTPSQASDDEHDVRR >Dexi2A01G0024380.1:cds pep primary_assembly:Fonio_CM05836:2A:36099895:36105880:1 gene:Dexi2A01G0024380 transcript:Dexi2A01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDRGRDSGGGDRDGQEEAGEPPSGWWDVDVARLSKDGIGPRDDTDEIENLRYLGFAMLRKVVVAFLACAAIYFSFAAYSRRQGIAEVQLPAVTHRVYLDVDIDGQHIGRIVIGLYGEVVPKTVENFRALCTGEKGVGSNGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGIFPDENFTVKHTHPGVVAMANSGLDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDTVYAIEGGAGTYNGKPRKKAVITDSGEIPKEKWGDQET >Dexi1A01G0029300.1:cds pep primary_assembly:Fonio_CM05836:1A:34836410:34839347:1 gene:Dexi1A01G0029300 transcript:Dexi1A01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATASPGLQAAARRLTLAAPAAAQARAFVRLPRRLPGRSASLRAAASAAAPPAKEGAEELGFQEMTSGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGTLVQVEGPDAPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGVLAEQLGELKLGELLDTPPPGLDEALAISKVMQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRNKIASATSAIKSVFGQEVQQQDAANKLEQLRERMLKVRELFRDTESTEFIIVTIPTVMAISESSRLHSSLQKESVPVRRLIVNQVLPPSTSDCKFCAIKRKDQTRALDMIRSDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >Dexi9B01G0043170.1:cds pep primary_assembly:Fonio_CM05836:9B:43198149:43199323:-1 gene:Dexi9B01G0043170 transcript:Dexi9B01G0043170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGHPSPILFLCDRVNIRKYTDEPEQIGWKKMECASKRSYGCGQHGKEAVDGLTLYACPGDESPLTSSLAIRMTDEASRRFDSEIQLTCKFKDMLVHYRMIAGGIVLMADEQQGLTVILLSFSRPSCQDLAYYLVYDKPTASLSLIHYVPVPDLFEYVCTAKPVAKRHKDSDGFELFVVGREVSPVPRRILCACTPETRVAASDNTTGPWLIKKPFEHEEFIKEPFIVDVAFTFQSKYGIWGDLSRGLMYCGLLDTKNDFGFIGLPTECLVDSEDEFDEKVKTTRTMSCVGDSIWFVCIDRHATDPKDDLVKMWTLKGGNLFQNHPRWEKMVEVCASELWGFDGFDEARLPRGLPLEYPLLTEDEQNPAKQISSDSGGD >Dexi2B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:2B:2503160:2504667:-1 gene:Dexi2B01G0002910 transcript:Dexi2B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPTIVLLPVWGAGHLMPMLEAGKRLLASSSGGHAFSVTQASEVDAHIRRAEEEVAAGGGGLDVRFHRLPAVDPPTDHQGPVEFISRVVELHAPNVRAAISGLPPASPVAAVVLDLFCTPLIDVARDLAVPAYVYFTCNAAALSFFLRLPALCDEVAGEFEDMDGVAAVDIPGLPPVPPLSLPTPVMEKTKPECAWYAYHGRRFADADGIVVNTAAELEPGVLSAIAAGRCTHGNAPPPLYPIGPVISFPPPTSPAHPCVRWLEKQPPASVVFLCFGSAGFLTAAQAHEVAHGLQRSGHQFLWVLRGPPAPGTRSPADADLAGGELLPEGFLDAVAGDDGGRGMVWPTTAPQKEILAHAAVGGFVTHCGWNSVMESLWCGVPMAPWPMYAEQHLNAFAMVDAMGVAVRMEVDRKRGNFVEAAELERAVREMMGGGEEGRKVRERVAAMKVACRNAVEEGGSSAAALRRLAGDVVSRCAMNGPTKQVFV >Dexi1B01G0024320.1:cds pep primary_assembly:Fonio_CM05836:1B:29671461:29673816:1 gene:Dexi1B01G0024320 transcript:Dexi1B01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPFLGAAAGGAADEEEMRALCATVSASLRDTGALLVKDPRCSAADNDRFLDVVGVTPEGVEVPRSLVDKDMQEKIRSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGYKMISAIEVVAEMAAIGFGLPKDAFTTLMKEGPHLLAPTGSDLQRHGSEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVSKRTLDAIALAKEQNRSLWRVSSTLFSHIASDAILKPLGHFAETPNADSYPPICAGDYVEQELSVINLKGKDGL >Dexi7B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:7B:15427369:15427569:1 gene:Dexi7B01G0007640 transcript:Dexi7B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPVLSKQKAGKKSGGCLDERRASVERRPAGGEAADDGTRMVAAWRRGGVGETESRRGRTPA >Dexi9B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:9B:2982286:2984694:1 gene:Dexi9B01G0005060 transcript:Dexi9B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVKALEGDMATDSAGAPLPDVLRAPIRPDIVRFVHKLLSCNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNIHLRRVAIASALAATAVPSLVLARGHRVETVPELPLVVSDSAESIEKTAQAIKILKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGTFETPSAKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKVVKRREARKNPLKNMDAVLKLNPYLGTARKMATLAEAARVKARKEKLDSKRTKLSPEEAAKVKDAGKAWYKTMVSDSDYTEFENFTKWLGVTQ >Dexi6A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:6A:24311798:24312774:-1 gene:Dexi6A01G0016440 transcript:Dexi6A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSSSEGQSLVPTLHAAGLAARLFVPSDVLGTPRRLPLLVYFHGGGFALHWAFAAAHSRLLNALVSAARVVAVSVDYRLAPEHPLPAAYDDAWAALVWAVSSCCAAGPVTEEPWLSAHADVARLAALFLTGDRTLASAIDAPPRRSAVVGADAHHRRVF >Dexi9B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:9B:5088225:5089155:1 gene:Dexi9B01G0008290 transcript:Dexi9B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMEPGAEPLTESEQADLAAIAAIKESAAREFKEQGNQFVRMGRKHYGEAVSCYTKAIAQMEPLSSLDAAAAADASVLFANRAHVNLLLGNHRRALDDAEQAIRLSPSSVKAYYRAVKAALALDLLQDAASFCRKGLEQDPANEEFKKLLSQVEAKLSEQERQRAKAAQAIAAAKDLAAAMEKRGVKLGKAAFQELTGVKKPNLDEQGVLHWPVLLLYPEVMSSDFIEDFPETDTFSPHLDVISF >Dexi4A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:4A:10127819:10132016:-1 gene:Dexi4A01G0011880 transcript:Dexi4A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQATVLDPAGRTGVRLAFDEMPEQFAGPAAIAPNATTTTSGAINNEIENSNGHGENSTDISMANSIGGGGRTSGSGPSGSGQDTMEHSSKRFFLVDSTKDDFEFLWKLRKYLVLLGILAVGVTYNSGLTPPGGFWNNIKDGHEAGDPALRVEFFQRSIKPESSHGSNGKDSTHESVAPAEDSACELKFQTTGNQQASSTTEVESSLEYPPEGNQNNANIESLLNCQHPSDNSPQSTNSEDLLSDLECESTDCKLVGNSMESLSSTEHPSSSCQQRDGMSADSQPVSGTKEQSSADNIRANDISQEGSSEQNMSAGDTIDDGRLSLPVEASGNVESTKEHTFGYTNGDIENGGVNNSAEHENGHIDHNKEEKDQNYHGDSTEEHLDKTRTYLLLLAILAVSLTYQSGLNPPGGFWSRNENNHSAGDRILEDSDHPRFIAFFYLNAVAFVSSIVIILMLLNKSMSKKQFM >Dexi3B01G0033270.1:cds pep primary_assembly:Fonio_CM05836:3B:35699502:35699795:-1 gene:Dexi3B01G0033270 transcript:Dexi3B01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSPAVATKEFVPCSLHTGICHKRALEMRQGEHRRCAEDGDSGVTPEREGCLGAAVSRVRAAPLARSPASGLLFRATPQPRRRGVAGGSEELDLD >Dexi8B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:8B:10203107:10203936:-1 gene:Dexi8B01G0007930 transcript:Dexi8B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAALGNLLPKLGTLLTDEYKLQKGVSGEIRFLQAEMESMQAALERVSKLPAHQIDELNKIWVRDLKELVYDIEDSVDAFMVRVYGAHDKPHSFRKFFNRTIDFVVQPDPIDIDPRMPSLYEDAAKLVGIDGPSEKIVGLLTRGEGVQKQKLRVVSIVGVGGLGKTTIANSVYERLRRQFDCGAFVSVSLKPDMKHILSSILRQVSQDKCSNAGEKDRDELLRSIKDFLMGKRYVSFA >Dexi6B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:6B:3891193:3893158:1 gene:Dexi6B01G0004590 transcript:Dexi6B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRLLPALLKTLAPAGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGAAEGVGLGHPIEYICLDLEAPNVCKYCGLRYVQVHHH >Dexi3B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:3B:4356628:4357294:1 gene:Dexi3B01G0006280 transcript:Dexi3B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQSLSVPVSINGRDLSPASLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDILALKYAELVYAGRWFDTLRQSIDAFVETITATTTGSVTLKLYKGSVNVASRKSPNSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >Dexi7B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:7B:20771594:20772931:1 gene:Dexi7B01G0014600 transcript:Dexi7B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAKAAAPCLAMNGGVYAFFAALVAGALVSACWMSASARVAPITPVATPTIAHYASTGPEQAPGPPRFTGVGTSRNQTPSTAVPANLAPPPLDNQLPPPAPSPSGAASPSSRDCPAYFQWIHEDLRPWHHTGITLDAVEGARRRYAPKFRVTVVSGRLYVARYGRRCFQTRDVFTQWGHPPAAPPIPGTRPGPRPHVRLRGSARLVRAADSHHHAQQPPSPLFRYCGSETTLDIAFPDWPELSIKPWDAVRRETEEGNAMENWTDGEPERRRRTPAPPQVQRVRRA >Dexi6A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:6A:3647646:3648164:-1 gene:Dexi6A01G0003970 transcript:Dexi6A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVMKRSSHGLFLLLSFVLLASSLIPAPISGQSIVDGGRKSKMTISATKAAIIHDDDDVFNGCTPHDASASRFLCTKDGLYWPSLSECAINCPCTVRCD >Dexi9A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:9A:11037979:11038487:1 gene:Dexi9A01G0016100 transcript:Dexi9A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKGLKSFIAVVLVLGLLLGQQHILVESKICCPSTQARDLYNICLIRHKPRSFCEAISGCKIVHGKCNDYPYLDSADDAKALEFCKLGCAASSLCDNNIKETSDAVDRCDEACYRFCTKHVHTAAGAATAAS >Dexi9A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:9A:413829:414065:1 gene:Dexi9A01G0000770 transcript:Dexi9A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKAPYVIKYVRTWEVSDLTGSLQRAAASPSSLTHAMQASIFLGSSLQLQATTHLACLLLSSSFLPWTD >Dexi7A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:7A:93961:97172:1 gene:Dexi7A01G0000060 transcript:Dexi7A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARAAVGEDDDDGILFEVLPRDDYLRGLDRAIYSSLASTTSGKISVEIGELEYYYHQGDFAAYVSIVPARTPANATPAKYTVWIDYDAKRHNISVFVHDEGAAKPEQPMLHAPLNISAFTETYYQYNFGLFASKNRSSPSSQPVVYSWNLTVDRLSFAFTGGRPRIRWYLVRVLPVVIAAVAAAAMAFVAVCCMASRYRAVMMKLKLSKAMRRLPGIPREFRYADVKKATRSFHESMRLGSGGFGAVYKGAMIANCDGDDGRRRLQYVEVAVKKFTRKEDRSYDDFLAEVAVINRLRHKNIVPLLGWCYENGELLLVYHYMPNGSLDQHLFRKNDDPQHHSILRWETRYNIIKDVATGLRYVHHEYERVVLHRDIKASNIMLDAAFHGRLGDFGLARVMAFEKSSFTDIVVAGTWGFIAPEYAVSHKATRQTDVYAFGVLVLEIVTGKRSLGAADSTFPLVLDWVWWLHGEGRLLEAVDDELVATCEFDADDATRLLLLGLACSDPNPSHRLSMAEVLQVIAKSMPPPDVPLTKPSFMWPPEGDQLALSDDSDDDFVQTDHRDSDRSLWEEVQSSDGLATMGIRSSEITKRKTRNVDEVNVTREIENGVS >Dexi9A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:9A:4485397:4485824:-1 gene:Dexi9A01G0007670 transcript:Dexi9A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDGAAVPVKRVWLGLAARLGLRRKTGLRKLKKEVRTCEYRDVHVMWEMLRSTDGPVPLAEKEAAAAVAVAAAASARKRKNAWRRFIYYCCAF >Dexi3B01G0023070.1:cds pep primary_assembly:Fonio_CM05836:3B:17838053:17844816:1 gene:Dexi3B01G0023070 transcript:Dexi3B01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRGGMSKGQAWSVKAMRVAVVWILSQLGSDRLVKSRANGTLACRRRTAQPFHGGGHAADPYAVCPTRPTRAISHDHTSNTDPYVMPVGTDTICNTPSPKAALPSPSVGADTPRLSAILRMRKDRLMSLVRPPAGNQTRPTKLLLDDHLSLSLWEPNAARTKARRSQDAGQRRQPFQAAPVQALAKCAATLLSRPSFPLASTLQVKPPGRPFTFLFVLFILYMLHDCPEYYAGEFCSTSCSVKSYEQRRSTTWPPLHPAILQYACGADDRKEFQAAVSTIS >Dexi6B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:6B:23288451:23289323:-1 gene:Dexi6B01G0016010 transcript:Dexi6B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKAPESVQESESKEDTVETTGEVSTTDASAEVDEDIADVSVHISSEEHIATATLQRSNTLREVDEIVPVDEPPILKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILPGDKRSTSWRKRW >Dexi5B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:5B:4911456:4913011:1 gene:Dexi5B01G0007290 transcript:Dexi5B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKYYLNLQSRTFVQYYRCLPTFLLDRENLDEDGNWVIMPPSALDRLGRFNLPSPMLFQIQNPTTGRFTHSGVFEFVAEEGFIHMPSRMMAHLGVQEHDLVLIRSTKLPTATLVKLQPHTKDFLDLPHPKELLEYNFKKFQCLTVGETITVMEGERRYYLDVLEAQPAGAVCTIDTDCEVDFAPALNYVEPPPAPAPVAGKGNVDVEAPIFTGFAARMDGKPVPAGRQGNQPRQPAAQFIGFAARMDDKPVELPPSPAVAIAAARGAQKRKIQFGASTAAGSGVSKGKQGGGGGDDEKDKRFSGTPYSLKD >Dexi3A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:3A:5813448:5817472:-1 gene:Dexi3A01G0008290 transcript:Dexi3A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRPSSSSPLLRLPRRLFSLTATPYPLYYDLIVHRPADPKPPKSSSDAGADRQPQSPPDEQPLDRAKRRYLRKRSSRLLPDPDAATKPSSSSSSEFVELRPEVVDFPRLHAREEALYFHDTFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVPADAGPTSDADRGLVFFDDEKKEDDGVDGVSNKKDGGNDKGEVLERKVEDFFRSLKKGPGEAETRAKRPAAAGADPRQVKREVPREEERPQPYLVTRTTELPPRWDGPGGTVVLIDKPKDISSVLAIFSDVTQVGHAGTLDPMATGLLIVIQREPWEHIKDEDIRKAAASFKGEIWQVPPMFSAIKIGGEKMYDKARRGETVELLPRRISIYQFDIERSLEDRQNLIFRVTCSKGTYVRSLCADLGKALGSCAHLTALRRDSIGEYSVNDAWNFDELEEQITKGYL >Dexi2B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:2B:30634613:30638635:1 gene:Dexi2B01G0020620 transcript:Dexi2B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPTPAGAGASAAASSSSSSSTPSPSTKRPTTTLRLLCPSSRATALRHSRDLHVDHPPVGDEAVLVISGPDAPAAAVRAWEHVVGHRVGGDEAMGGEEEEREVTGAVGCRMLAGSGQVGCVLGKGGKTVERMRQESGAQIRVFRNREQLPPCAGPADELIHISGSFSQVRKGLLAVSTCLQDYPRPDTSNVPMGRPFGPPGSSPGCPPGMDPHSQRNYLPPQMPDYHTRNYPSIAGPTGPRFFFEQEIVFRMIILNDMVGSIIGKGGSTIRALQSETGASIKILEPVPDSEERIVAISAREVTGSFQSIHDALIHITGRIRDVIIPKPHPSGGMPPYPPPGNITVGPPPPHPHHSGAMPPYPMHAPMGPFETGAHRPPPAHSMEHMGADRMPYPYGCEQGGPRPFLEQPSPRTWAPEAPNTNSEAARNMPDAVPSTDFGKGPVASENQVVTPTSATTEVVIPYKYIGFVCGTNGSDLAQIKKD >Dexi9A01G0036180.1:cds pep primary_assembly:Fonio_CM05836:9A:40729454:40730684:1 gene:Dexi9A01G0036180 transcript:Dexi9A01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAGAKGGAAGGKKKGAVSFTIDCTKPVEDKIMEIATLEKFLQERIKVAGGKAGNLGEGVTVSRDKTKVTVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEED >Dexi3B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:3B:10521001:10523867:-1 gene:Dexi3B01G0014630 transcript:Dexi3B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGFGFAAAYSPALASSQHQPPFDFAFSSAAAPPAVSMDAVASLQELPAAHLGNMVQPSMVSEYDLGGEGDLFKAPEVIIEEPLLSLDPVAAAISMMSGSESAMDQTIKDADIGTIQNDPLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEIPRQAEQMLMQAELPTMEKEKPVISECSLQKSVSSGCLNSADWMNGPVRPNFLDFQGLDFEAAFGLRRAYSEGDIQNLGANTPRPAASASNLQTSCERLGTISDLKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEECDLLKPSK >Dexi7A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:7A:25918644:25919105:-1 gene:Dexi7A01G0016030 transcript:Dexi7A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGGEEAEQHLHGAGVGVADGVVGGEAAPGEAHACSSMWSLPGRCCGGRRTPAAPVPEAGKGTACLAPRVAHQLVVLAVLRASVAHGHDAVGGGPARCRTVR >DexiUA01G0011790.1:cds pep primary_assembly:Fonio_CM05836:UA:23540047:23540617:1 gene:DexiUA01G0011790 transcript:DexiUA01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETWPGLDSVGGRAWLTPHRPFSSITPRRNGALYLPRSSGSKNLSALSPGRTDEEDEALGGGRGEAGRHGVHAVHDGGLEVDVEDAQHVHGVERDAEDDQPPLAPPRGGGRRVRLRLRARAAGHRGGRVAGRAVEAEAVDLGFFGGGRKELSAKASLD >Dexi4B01G0022780.1:cds pep primary_assembly:Fonio_CM05836:4B:24316351:24316964:-1 gene:Dexi4B01G0022780 transcript:Dexi4B01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGYLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRHVDNLDVIDRLIDDAEAQYRNFQHPDPYIDD >Dexi6A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:6A:12799700:12803208:-1 gene:Dexi6A01G0010390 transcript:Dexi6A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAAGTKWIHHIQRLSAAKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKAVMEEVGLRSITKEGRDQGKRFGVEQYEMRTFSKDRETLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >DexiUA01G0009500.1:cds pep primary_assembly:Fonio_CM05836:UA:18707076:18708281:-1 gene:DexiUA01G0009500 transcript:DexiUA01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTASSDSPRDCEWRDWASLGAGPAELIAEHALANDYVDFLRFRAACKAWRECAGAAPRSRGALDPRFHARRWIMLPPHHHASGDDGAADDSDRRRFFLNVATGGRIRLSLPGLHNCYVFGRTVEGLLVLCRKDTYVVQLLNSLTGQIAELPNATTLLGSTHWDPGTVLSNLKLHGAGLVDDHSTVMLHYGYFSLAIAKPGDERWTRLTFHHKIFAALPFEGRIYCVTTKNISVVETVADMPPELTVAVDDERDSGEYLRDRTCLVNNDGELVLAYRAWSIDEPSAHQGRYRAYRVKLGARKLVPLARMINGQAFFSGTCRSLLVSTGVSRSIVADTMYVCYNDNQRTGQRQTKVICIDLRGGCLAPNFGKEDPAGCLSSYVCASQD >Dexi5B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:5B:4901377:4901805:-1 gene:Dexi5B01G0007260 transcript:Dexi5B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATRRIANNNSDDNNNNDVAIDIPGAEEMLQQVADHGLPANPKQLARSIFWVATCTLVTVLNRVIYKLPPGPIFEGNETAYYLTLFLVFLAGVAEVYAAILLSSAAGRVDAWAAPLLCASVVMLAAVITLGGSPVLVMG >Dexi9A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:9A:3735646:3735867:1 gene:Dexi9A01G0006570 transcript:Dexi9A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFTILLGFGCYFLGKHRGREEMRAGVGAQIYGTPLPPPGVLGGSSPAPEPFHMKKEGAENV >Dexi5B01G0026590.1:cds pep primary_assembly:Fonio_CM05836:5B:28323938:28327153:-1 gene:Dexi5B01G0026590 transcript:Dexi5B01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALFQNGYKGFYSSNYLTMTAKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIDRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVRITDRMWARLLSSTNQPSFLSKEDIDAAREADKAANSRKNGDNAKKKPASVLANGEK >Dexi9A01G0025100.1:cds pep primary_assembly:Fonio_CM05836:9A:22369033:22370124:-1 gene:Dexi9A01G0025100 transcript:Dexi9A01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRDWDGDELGGPPPTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGRGLITNQGMKASLSNAGSSAKFHHVLVEKTERER >Dexi9B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:9B:3908593:3912767:1 gene:Dexi9B01G0006510 transcript:Dexi9B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPTATSGSATSSHLALLVLLSSTSLFFLYKSIRLRRKPSPATGPARTTTPTLLYASATGTSKTLAARLSARLTADAEAGVPVRATDASAFDPDDLPSVPLLLLVVPTHDGGAPPPSAAFLARWLEESAADFRAGALLLSGLRFAIFGVGSRAYGETFNAAARSFSRWLRALGAVEVVPLGEGDVDGGDLDSMFEDWSGKVLRVVKGEELDEGLLGESNGLNELELEGEESDDDDEEEAVAGEIDMEDIAGKAPARRQNGKVESSLANGGQNGVKEMVTPIIRTSLEKQGYKILGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDEPLDIVNAAIDQHTKMVKQMKGVPDHFLQDLLDKIVLALSGVKPEKLEEGLSPRHCALSLVGEPIMYPEINALVDELHRRHISTFLVTNAQFPEKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHEKDQRTVYRLTLVKGWNAEEIDAYAKLLNLGLPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKEFSEVLAAKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFKSQDYMSMTPSWAVYGAEEGGFDPDQSRFKKERRHGTAALQA >Dexi4A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:4A:5580723:5581139:1 gene:Dexi4A01G0007500 transcript:Dexi4A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAEEPVTTTSNGALQLTVPTTPAVAVKEEEKASSNGNGGGGAKKRRGPAVLLEGSRRVNGRGWRCSQPTLVGYLLCEHHLGKGRMRSAAAAAAAARGRLGRTEHVARIPTVAVAAAVAPPSPKAEAPASLPPC >Dexi5A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:5A:22453819:22459488:-1 gene:Dexi5A01G0018990 transcript:Dexi5A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPAPDAQPEQPPAATIAAAAPSPASVADADVAPAEEPPAAPLTSETDAAAGADEVREEDEEYVSDPDDALLPTMRRREASDDEGSEDGRPRARIGPDQDDDGQGAPEAYDDEVDEEDEEYYDEEEEDVGEGFEEYEGRATPPMEDGGGGQESRGEDGVAGEEGLAEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNFQDDRYEDQRMSRGRFRGCGSRGKTRGGGRGFPRGGKNRTYHEEGNTQNRPPKVVRGRGPRRYEAVARNSREVVGSQRKQAARFREPAPSSAAARDSGPVSHIQPEAAPPKKNVISSSLNSASPPFYPSGASNQDFPVAAQRRDIQTGGSNKVLSASMKMDDNSKLQSGPMVRGRTAMEYGGRDRFHADGPVRSSPGRGAPTASLNSGFTSSSINPGQSPILRASGGNSNIGISSNNQPTSSLPQMPRISTQMQSHAPVMHPKSGQVPNQSAARIPPQSLNHRTSSSSPAAQHQPVKSTESGDNGSYPSQNNQKAPSAVVKANNQETGMGSFMYGGAQVIGAPGLSQGDQNFPGTPALLPVMQFGGQHPGGPGVPTIGMALPGYVAQQQMGMGNNEMAWLPLLAGAAGAFGGSYPPYIALDPSFYSRPSGQTSSSVPSREPTANRGSKSPPRNDIGNEELDQRHQNKPRRYSEMNFSQ >Dexi3B01G0029840.1:cds pep primary_assembly:Fonio_CM05836:3B:29115488:29124906:1 gene:Dexi3B01G0029840 transcript:Dexi3B01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPPRPFPDQTDLIPPATAVDPPIGRHEKPAADPQIVSRDIRSLHPPPPPPQQTLAAFLRTSESSGGSAPGVSYPSCGRLPAIRSFARCAVPYLDPQAATVPGRNRRSPLADPGSFDALTLRVDALERPPVSAPATLPQGFSYGMLGYGTTTFSSSSASAGALSSGAASTMASVSTMAPLRITDIPFPRSPSKIPGFEGSSSIMSFEDKAIASRVASPSPKAMVSDSDPSRMMMVSSNMEHSQTNGPANAVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRMHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNHPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSLARRLIDPPCNVNGNMGQFPDNQNEEEAIVYTGTSRNEYGGRPVVNQMYCYNSFQTPREPSKAFYTVADGNCGTSSRTHNLSYGLSESSETDQGLTDERSWWVRPGDLQGLKGQLIRLFELSGGSVPLVRIPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKMICLRNSGDRNLKNYPSTPIILKKEKRENSALEEGNIAACQQLGSSSDDLSEDERNINPDIDGAYVFDDHLDSFRREIQELLVCYSCPVPLGNFEDLYEQRYKKTIDYESFGVTGLEEIVEKFKDVVYLHEDPASKSKVLIANYTTG >Dexi2B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:2B:7907890:7913546:1 gene:Dexi2B01G0007680 transcript:Dexi2B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVPKSTETRWSRVAPSLPVRNVQDLACPEGLTEETLRYIRLDIQEDEVLAEQYSELVNHGIPEEIIASIRTDIERFFKLPLEHLNPKLLPAAASPALIRHSLSLSLSQIRLWPEETARWSAVVVLQILEMAATDDLGGDTDGETQALMAVNAGFGNSAKALVYWDDGRDYCIWRGVACDRAFFSVIGLDLKWNKLSSQIPSEDCVSLKYLYAPKSKSSFIVLTPFAA >Dexi9B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:9B:7509775:7513044:1 gene:Dexi9B01G0011570 transcript:Dexi9B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLVVAVLAAAVLASSLLLRWNEVRYSRRRGLPPGTMGWPQFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRRMLASEGAGFVPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALTRPTMIRAALLPKIDAFMRAHLHGWAGRLVDIQEMTKEMALLSVLRQIAGISAGPLSDALKAELYTLVLGTFSLPINIPGTNYSKGLQARKKLVAMLRQMITDRRSSGCAQDDMLDALLSGNEGTRAKLSDDQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKGKSPGDALDWNDYKSMTFTRAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPYLYPEPMIFNPWRWLEKGLESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTRYRWEEEGNNTISKFPRVAAPNGLHIRVQDY >Dexi4B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:4B:6941017:6942047:1 gene:Dexi4B01G0009560 transcript:Dexi4B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAATSSAFILALTPGARRRAPLFPICGGASLNFPLWAPPPRARRDAVVVRAEVGPGGKDAAPPERRTDAAAADAEASSSRQPRTRRKTRDPVQPVGRYVSRDAAAVSSQNGALAGGEIKSIVAAPPVSIVKFEGPDYTVILPTEDTELRTPPASIPKPDTDGNAEVAEKKRAQVGVEEVPNPIAAPTQPEPSVQEATWDFKKYIGFDDPADTEDDGAGVHADASGSFEHYEDNDPGPLAGENVMNVIVVAAECSPWCKTGKDNISVVLEMLLELFPRLWQEEAIVLW >Dexi5B01G0032530.1:cds pep primary_assembly:Fonio_CM05836:5B:33154664:33155115:1 gene:Dexi5B01G0032530 transcript:Dexi5B01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSYVTAGKHEETPEAFPLPSGVAIVRADAGWAHCVAITGEGDVYTWGWKECVPTGRVVGDQASVGTMEKDERQIAMANDQGGGAYFLTCLIPA >Dexi9B01G0036950.1:cds pep primary_assembly:Fonio_CM05836:9B:38426320:38426911:-1 gene:Dexi9B01G0036950 transcript:Dexi9B01G0036950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LACYLTSIGFILSCSGQEGDASGKSKQSRSEKKSRKAMLKLGMKSITGVSRVTVKKSKNMLFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGVSQEDNEEEDVDETGVEPKDIELVMTQASVSRPKAVRALRAANGDIVSAIMELTT >Dexi5A01G0036460.1:cds pep primary_assembly:Fonio_CM05836:5A:37970848:37971743:-1 gene:Dexi5A01G0036460 transcript:Dexi5A01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQDDCKWRQIPAFGDWNLWEDMPVTQYFQAGPFFFTAPVDKVDDDEDLFKVPQFPATPYSYKKCVVRLKGEKASAAPARKKGGRRQYVNEQQKWKSKGAVDEDLYKISPQLLCKVKKASTILYPKKLLRNLLGGCLGLSCIA >Dexi1A01G0027270.1:cds pep primary_assembly:Fonio_CM05836:1A:33095750:33102109:1 gene:Dexi1A01G0027270 transcript:Dexi1A01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPSSGVQQPGGSISGRFTSNNLPVAMSQIPHAHSGVNGRGMNVGAGNSSDYAMELHHKEQLHENVPVMQTQQYPMARSVGFNLGGSYPPNRQQHQQGANSVHNSGTQNIGLRPLSHTPSLASYDQLLQQYQQPQTQNAFFQQMPSAAHSFRDHQIQEIQGGPSPPDPYGLLGLIGVMRLNDAEISTLALGIDLTTLGLNLNSTDNLYKTFGSPWSNEPAKGDPDFHIPACYFSEQTAPLLPMLFPKFHIITLFYIFYSMPKDEAQLYAAHELCNRGWFYHKELGLWLTRIPNVEPLVKTPLYERGSYGCFDPHNWDTVRKDNFVIHYEMVEKRPALPSVPQNIR >Dexi7B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:7B:1445805:1446151:-1 gene:Dexi7B01G0000670 transcript:Dexi7B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWSYNKGEPLLIYKYMTNGSLDQHLFRRHGNEEQQDDTSSSIRQWGTRYSIATDIATGLHYVHHEHEPLVLHRDIKASNIMLDSNFRARLGDFGIACAVAADRTS >Dexi5A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:5A:2334259:2337520:-1 gene:Dexi5A01G0003170 transcript:Dexi5A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAARLLAGSARSFSLSHHHHHAHHHRRPLFLVPSSRSNTSSSKLPRPSPGREPGSSLFHEISDLVGPADSGAALRHETNDEACRHDHGAAASARRAEGARGIAPESGTAASSSVFCGISDTDALGGLPGDGSQAPGTVESAVNPDIDTISEAVHRVTQVLRSQPPGSSMEQRLESLGVTYTPQLVSMVLKRCFKVRQLGFWFFHWVKRVPRFSHTTETYNTMLYITGEARNFAIMEELVGEMDREMCPKDIKTWTIILSSYGKAGHIGKMLSTFEAMRKSGSVRIDSKVYRTVLHALCSAEKPELAFEFYKDMPRNMEVGTDILRLLMCCVAKTDNAAEAVCSIRDDMIKGMKHPEEYCYMEALRSFCISGKLEEAWKVFQHMKSKSMANSCAFENLLRGLCKAGRMDEALKVVEYMKGTLGINSTAFSFLINGYLRNGEHTKALDLLRRNVKDWMLPNCGYMEYHGLPVWQCWDQYMYGSLIHALLRRYQFDDAVAKLTEMKESGIPQSTHIYTSFVVYYFRKRDVVRALDVLKEMKENGCEPTVVTYSALIRGYMAMSMVSEAWDVFQQMKLKGPAPDFGTYSMFIKCLCKAGRSEHGLQLIHDMMECGFIPSTVNFMTVVHGLNMEGKHALAESALRSKWHLQRQRIISY >Dexi1B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:1B:5821737:5823349:-1 gene:Dexi1B01G0007040 transcript:Dexi1B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRAWDEGAAAALADGESIMQVLTLRGSNVIEVMDGEGVKSLALFPAKFQKSFWIKSGSFVVVDATGRDQALESGSKIACVVSQVLFHEQVRALQKSGDWPAVFKSTPNEGSEMGTQAQTAQVDEEPDSDEDDDLPPLEANTNRNRPYELYSDSDSGTES >Dexi5A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:5A:849559:855105:1 gene:Dexi5A01G0001260 transcript:Dexi5A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSSKKKSKRKKKASPPSAAAAAAAPAPPPADSEAGSPGPETLTLAAVSEAESSSSGEASTSTSVFTASCSGTASFSPFSSSASSSAGEERRDFAWLLDAFASATIDQVDSAYREAGGDPFLAAGILASTQDTQPPQPPQPAPQPPPPPDLSLRSGSGGRKAGRRPKRVAVAATGMVADVIGKDYTRPATPVSAPNAWKSRDGEKGGGPGGHKYSVEEAEQFLCSMLGDNSDLGMGVVRDVLGQYGYDVEKAFDTLLDISGLSSVQNMETHHPNAGRNGTCHLNLFPGNGLPRDNLPAGNKRSPRQVSNTTLQSHSGLGHGLWDEPQISYAKVVMEVPRSSTLPSRSDDVKADPQQVLDSLFKIPKMHTYEPSSMDWKKVVKKLESFNSTATPNNQEKPKGDGYRELRGVAARHYDKMKEYYQKAALAYSKGDKSYAAYLAEEGKHYRELARMEDEKASRNIFEARNKHITNTVTIDLHGQHVQQAMKLLKVHMMICTCMPSVLLRVITGCGSEGTGKGKIKRSVIELAENEHIEWREENSGTIALRLGGPREYRFLEHENDSD >Dexi5A01G0039030.1:cds pep primary_assembly:Fonio_CM05836:5A:39760040:39767289:-1 gene:Dexi5A01G0039030 transcript:Dexi5A01G0039030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGIRAGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIIPGQRTDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPRGFFPSDSNAGSVHSMSSGHSDNTNGHPRGVPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSGSKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVSQPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVNGPLEDRESISVPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGHVYTMGSAVYGQLGNTQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQLKGMAERLPGGAAKNTKLPPLPGISIPSDITSMGTESSLGSPSSSGEQITNGHNGLLAPNGPSSIKNKMGHAEVGKNGSRIPDAESCPEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIASN >Dexi1B01G0028750.1:cds pep primary_assembly:Fonio_CM05836:1B:33217926:33218138:-1 gene:Dexi1B01G0028750 transcript:Dexi1B01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLGGTASCLNVEPLLVLLVRPERSRRHAALAPPSTRCCPCSWLHPAGHWDERKSSWLHPAGDGRERI >Dexi5A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:5A:21623213:21624553:-1 gene:Dexi5A01G0018100 transcript:Dexi5A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESTVDWNLLPSRALRYVSDRLDDPPDFVSLRAVSPAWRQAVPEDSHRRFRPWIVETEPNDDSGSGNVLFYSPISGEYYVIHVAALEGMRTAGYGAGLLLGIDTEDDLSAVLVNPLSGVSTRLPRLPQIFHGTATYGFATDPHIITGENNNNEVVVVVYNRPAGGVPASAAMWRRGGGGGWAVMPSKTFWMRMPQIRARLLTHGPQVVEAEETAIAGMNGHAHQGHVEWLPGMHGAYVIEHEGHTRPMFGFDFISCIVHL >Dexi9A01G0038100.1:cds pep primary_assembly:Fonio_CM05836:9A:42406369:42408704:-1 gene:Dexi9A01G0038100 transcript:Dexi9A01G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRALDVEEEVVDGDEEELATCPDAKRRRTFLNSSMQEAIGAQYMQRHLPKLEPFFRRVVQEEVYNVLIRHIDSAHRLPQLKTSNKRYKLQFQGNLPQTLFTGNRVEAESKQPLRIVLTDAATNQTVTSGPLSSMKVELLVLDGDFNADERLEHTEKEFSESVVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCIHEVVGVIVGANCFTLSALTPTQKALVGKLQQDAYKFPDRIAEFKVQQQPPQDAAADDQHPPASAAVHHAPALVPPASAQAAAVLGLPHLPAAHDGGGLMLSPLLLQQQQQQTISGEAALEDVLQSAGAAAATQQLVGGEPWFVPSFVGAGVGGFDARDPFDVQFSGSQPCGLLLSSTGARL >Dexi1A01G0028520.1:cds pep primary_assembly:Fonio_CM05836:1A:34162064:34163116:-1 gene:Dexi1A01G0028520 transcript:Dexi1A01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGPDENGNKKVIEHRFDNAGNKVKVITTTTITRKLVRVRLGSSRSVIERRSWPKFGDATNDTTGSRFTMRSPDEILLERPPRAPPGRPSNADVVPGCRICGNSGDHWTAKCPRRCPAPQEYPLQTPPITPRRKKLDPLQEAHPLSFMMGTSDRIRDRLMKLIGDDRCVRVTNISEETYEVNLIDLFSFFGFILWVYVHVDTETGLGRGVGFVKFGQRREAEAAIERLNGRHTNNGVVLQVEWAAPWLKGALPVSPIPTRATDEYRVRVTNLSEDIHEYDLFELFGRFGMVTYAHVAVDKKSGLNKGFGFVNFAQRHEAENAIRVVNGHTYNLVLGVGWEHQDQENLN >Dexi3B01G0028510.1:cds pep primary_assembly:Fonio_CM05836:3B:25465216:25465725:1 gene:Dexi3B01G0028510 transcript:Dexi3B01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQNPDAPAGPASHPSPTAEPPEDLAPNPRIAPGGPPSPEMEATAEALTREEVVRRRRRRAARLAGVYRRLYWAMAEEVRARHRQYVWDLARSPLEAEQPPPPTGAPVPTVGEPPRPAPVPRRKKCGFTGCKVRAMATTRFCHSHILSDPKQLLYKPCAYITKRFVT >Dexi2A01G0024780.1:cds pep primary_assembly:Fonio_CM05836:2A:36504218:36507061:-1 gene:Dexi2A01G0024780 transcript:Dexi2A01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPDTCPGHSDLPRYDGRRPFHGARGRAARDRGALQETKARLAPELTYASDGATRSHSRSISSLFHVLPKTERRATAYRLAVPSAPRKETADVPLWKPWLAGRWVPTRSFAITSPRRPWMDPFRSMERRTSRADVDGAVAWRPVAIRLGCAVPCTAAQAVLLLIGNRHRPSRSFVAADMTCRYICRFLRPAASLGCGLATWSTIYRNARAGAVALPRHLIIGDDGMQRELTLLTWPGGNIPQPDLRIRHARRPIILPQRAGSRGPSLFAGYRRRSPFIKGIASEQARNVLSLNTTRHLPRLLEIPAKLVAAGDRTRTERMDSATKNALEWNIANLYKVEAAEEGLLLGADAEYTASQAHRPTPAPTTQPSNPTPATRAKLGFPP >Dexi2B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:2B:22675521:22675999:-1 gene:Dexi2B01G0013660 transcript:Dexi2B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGITGKMYLLFFPYPFVADALWPHAYMVKLAEERSSRNNANSYSMRPTEIETVNVPGHGGITLRDTLNDVESGRSP >Dexi5B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:5B:4111667:4114684:1 gene:Dexi5B01G0006080 transcript:Dexi5B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding CALLFFLSYQCSARAPMAASAFLAATMLALSCHAAPATAATPTAAAKLDRVVTLPGQPRVNFSMYSGYVTVDAAAGRALFYWLVEASGVPAAAAPLVLWLNGGPGCSSIGYGASEEVGAFTINADGKTLSLNTYAWNKVANMLFLDSPAGVGYSYSNTTSDLYNAGDNKTAHDSYIFLVNWLKRFPQYKHRDFYIAGESYAGGHYVPQLSQLIYRNTKGIKNPVLNFKGFMVGNAVIDDHNDYIGTFEYWWTHGLISDKTYEKLRLACESDVAQHPSKACQEILEVASSEEGNIDTYSIYAPICKKTSLNKRWLVRGRMVCLLLPKHDILVIDNATQFTNIGKIRQGPCFLFSVNSSQQEKEYGSSGTLNFCGDADAVVPLPGTRYSIDALSLPTITNWYPWYDNEEVGGWCQVYKGLTLVTIRGAGHEVPLHRPRQGLKLFEHFLRDEPMPKLLDGIQMF >Dexi2A01G0031010.1:cds pep primary_assembly:Fonio_CM05836:2A:41832668:41836482:1 gene:Dexi2A01G0031010 transcript:Dexi2A01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTSRRKRDAAEPSGEAPSSSSPRSSQPHGYSFRISSSSDPYTQPQSSPCNRALASAITAAVTSSSSGRDLGNGCGSGCAGGGFLSWIFSARAARADEGKPAGGNWDAHGLPVTRTPVPLARLDGRKRYKVSELNFLDRRARDSASAAEKNPLFDEMSTLRPGGVYTRKQLLGELQTMNSSGMFQQVSLQGKPQPDGTLALTVTYAETVWPGAPKRLKCVNVGLMAPPGAGPDEDMTEREKMDYFRREDRDYVQRIRNAKPCILPESVRQEVLGIVKKQGKLTAGVLGRIRDHVEKWYHDEGFVFAQVQNFGGLDTDEVVCEVVEGDITKVEYQFQDNLNNIVEGNTHIAVIDRELPQQLQPGYIYNVGAAKQALKHINALGLFSNIEVSHRPDEAKEGGLVVEIKLKEQKPKSAEVTTEWSIVPAHQGRPTLASIQPGGTISFEHRNISGFNRSLVGSVTSSNLLNPNDDLSFKLEYVHPYLDGVEDRSKNRTFKASRFNARKLSPVFVAGPNMDEAPPIWVDRVGFKATITESFTRQSKFIYGLVVEEITTRDQDYNICTHGSRVLPSGALSMDGPPTTFSGTGVDQMAFLQANITRDNTEFVNGATVGDRFIFQLDQGLGIGSKNPFFNRHQLSVTKFINLNKQDKGAGKPPPAVLAVHGRYAGCVGDLPSYDAFAIGGPHSVRGYGMGELGASRNLLEIATELRVPITVKNRQTQVYAFAEHGTDLGSSKDVKGNPTEFFRRAGNGSSYGLGVKLGTVRAEYAVDHNAGTGAFFLRFGERF >Dexi2B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:2B:53587:54673:-1 gene:Dexi2B01G0000110 transcript:Dexi2B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGRLLAGLLRDSGAAFAHPHLPLHRIVRAGENDAAAAAGGLMPQLPPSGALMPMPDLSPTASPEPFLPFLAPAPLAPFFNNSTPKLSGKCTLNFTAVDKLMTTTAVDCFTSFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTVANYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTVDAFESVIDSSKLLEACRKIDPVNECCSQTCQSAINEAAQKISSKDGDLTTYTGSPKIDSCRNMAVKQA >Dexi4A01G0022810.1:cds pep primary_assembly:Fonio_CM05836:4A:26098205:26101372:1 gene:Dexi4A01G0022810 transcript:Dexi4A01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQELCRNFQRGSCKYGAQCRFVHATSSQQQQQQQGKPNPFGFGSASRQQQPFGAQFQQQQQQQQQKPNPFGFGVQGASKPFQNKWVRDPSAPAKQPEPAQPASAAHTSCTDPESCRQQIAEDFKNETPLWKLTCYAHLRSGPCDIKGDISFEELRAKAYEESRQGHPLQSIVEGERNLQNAKLMEFTNFLNNPRVSVSQTPSFPTVASFPEVKNNSSFGVSQTSGPPVFSSFSQVGAATTVGPGSRPAPGVPTTSLFGQSSQLSNPAFAAPTFGRSDMKFGVSGSLGSQIPQQQSGSFQGSSSSSVGNFPKPSAGFQFQQSPASSSHHRDIDRQSQDLLSGIGAPTSAINQAPVEENKNENQDDSIWLKEKWSIGEVRNTFIVGQLDVAASI >Dexi8B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:8B:2744535:2749336:1 gene:Dexi8B01G0003630 transcript:Dexi8B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPLPVLSLSPRTASQPEPPRGTGSCQPAAAGGPREVRFFFVFVVESPFLPPFLLDSARVREIWGADGLGGGGAGTGLPGSNKAVVDLARMDRYHRVEKPRNETPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISIKAMGRAINKTVMVVELIKRRVGGLHQNTVTESVDITDTWEPLEEGLLPLETTRHVSMITVTLSKKPLDTSSPGYQPPIPAKEVKPAFDYDHEESYPPGRGRGRGGGRRGRGRGMSNGPPPPAYGYNEEWEEEGDYYNRGRGRGRSRGRGGRGRGYYGGGRRGGGGGYDYGYGGRGGYYEEQDEYYDEPEEYGPPPGRGRGRGRRGAAPWRGRGGRGPPRGGRGGYY >Dexi9A01G0022480.1:cds pep primary_assembly:Fonio_CM05836:9A:17660653:17661036:-1 gene:Dexi9A01G0022480 transcript:Dexi9A01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMKTYPAVINDEGMYAHANSVAQSLLGEVNVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTATHSPHFVIDEDAFIVE >Dexi6B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:6B:23447537:23447884:-1 gene:Dexi6B01G0016170 transcript:Dexi6B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVDPTYYYTGKLTDKSDVFSFGVLLIELLTRKKPSVFTCNDGSLVAQFHLLLMEGNLIIDPQITEEEDGRVHEVAELAARCTRLKRQERPTMRQVEMELEYASTSYRSKEV >Dexi1A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:1A:4475316:4476783:1 gene:Dexi1A01G0006000 transcript:Dexi1A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSHEPAATEQKAASPTRRSSITKLTTASSSLANLLSVFLGANNSHEPRPKRRSFDAGGGVGLSIVADMSRSCLTGGAEPIAIVGPAARRRPREEDESYTCVIKHVAGSVRKRRVYFGFGDGSGWLVEADDEVPEKAADFLSRCCLCGKRLDGLDIYMYRGEKAFCSTECRCHQMLMDDRAENCGSEALRASDYSVSPHSAPMAFSPTVAAA >Dexi9A01G0034400.1:cds pep primary_assembly:Fonio_CM05836:9A:39163625:39164147:-1 gene:Dexi9A01G0034400 transcript:Dexi9A01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEAFIYIYSGRLIRTLANMKYGNYKMTPVEITYNVISFIIAIVLTIAFTKVSAKTKSQAQVHGLVHVT >Dexi9A01G0023410.1:cds pep primary_assembly:Fonio_CM05836:9A:18741332:18742783:1 gene:Dexi9A01G0023410 transcript:Dexi9A01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQDEKLVLPDDVLAAILSRLAPRSLATSRCVCIAWRDLIDARRLLPDDLLPCSLQGLFINYVAPEYNFAEFLSRPLAKSVNYPPYMCVRDHCNGLLLLDDGVQNPVTGRRTPFPDCPSPGTGMKYFIQEKYLVFDPTVSSHYEVFSIPRVPYKEYPDDDPGGIKIYGRHAEERIGRALLRSSWPLSPCVLNVFSSRTGSWHKRFFDRDGEAAGSVADMQLDERYWMRRRNSVYCHGALYVHCENDYIMRISLSANKYRMVKPPRVTCDNLEFNLGKSGKDVYYALLDDDDLLRVWILAEPSACGELEWILKHDSGCSLFLPSLNCVPKAQGPWNLHDVTSGKGGESGAVQKEHKYEWNSDDESILHNEDSGPSRCCDGYLEILGFHPYKEIIFMHWSTRRVLAYHLNSSKLEDLGHLRPNNMTVDDIGTTFPFTPCLIAGELSEQNNN >Dexi9A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:9A:5215893:5217382:-1 gene:Dexi9A01G0008830 transcript:Dexi9A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPDWLDKGDNAWQLAAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAATFVCWCLWAFRMSFGDRLLPFVGRPDLAALDQGFLTQQGFAGAYPAATLLFFQFVFAAITLILVAGSLLGRVNFRAWMLFVPLWLTFSYTIGAFSLWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAYWVGPRTAKDRETFPPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHLCTATSLLVWLCLDCLVFGRPSVIGAVQGMITGLVCITPAAGLVQAWAAMLMGVVSGSVPWLTMMVLHRRCGLLRRVDDTLAVLHTHGVAGSIGGVMTGVLAEPRLCRLFFGDDPKYVGLAYAVKDGRAEAGLRQVGVQVAGVVFVVVLNVVVTSAVCLVVRMIVPLRLGEEQLAAGDDAIHGEDAYAVWGDGETYEQSVHGNNHAMMSNPMASKADEMI >Dexi1A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:1A:6105360:6106154:-1 gene:Dexi1A01G0007940 transcript:Dexi1A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSDVRFVSSGVKLPSASAPSPAPAPAPQLLSAALPFAYVGRAVEAAARRLGACLPRVPAARADPAPPPPPARRHGKDAGGGAEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEEKSLTSDIWIK >Dexi4A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:4A:23423720:23426080:1 gene:Dexi4A01G0019590 transcript:Dexi4A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGRGLFPNKPTLPAGPRKRGPLLPTAPPPPSPSSLPLDSLLLHLTAAPAPAPVPRRSHPTPTPTQSFLSPAAQALVLAVSSHPLPTLPSFLASRRDELLRSDIPSLLKALELSGHWEWALALLRWAGAEGAVDAAALEMVFRALGREGQHDAVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERALELFAELRRQGVAPTLVTYNVVLDVYGRMGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACCRDGLVDEAVAFFEDLKARGHTPCVVTYNALLQVFGKAGNYTEALRVLKEMEESGCQPDAVTYNELAGTYARAGFYEEAAKCLDTMTGKGLLPNTFTYNTVMAAYGNVGKVEEALALFRRMKKSGCVPNVNTYNFILGMLGKKSRFDEMLEMLAEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLKGMKSCGVELCRDTYNTLIAAYGRCGSRTNAFKMYNEMTSAGFAPCLTTYNALLNVLSRQGDWSTAQSIISKIRTKGFKPNEQSYSLLLQCYAKGGNIAGIDAIEKEIYGGAVFPSWVILRTLVIANFKCRRLEGIEKAFEEIKARGYKPDLVIFNSMLSMYAKNGLYSKATEIFDSIRQSGLTPDLITYNSLMDMYAKCSESWEAEKILNQLKSSEVKPDVVSYNTVINGFCKQGLIREAQRILSEMIADGMAPCVVTYHTMVGGYASLDMFSEAREVISYMIQHNLKPMELTYRRVVDSYCKAKRFEEARDFLSVVSVTDPNFDKKLLRTLADRIEDAQFGR >Dexi9B01G0042280.1:cds pep primary_assembly:Fonio_CM05836:9B:42515364:42515703:-1 gene:Dexi9B01G0042280 transcript:Dexi9B01G0042280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRSMPPPPPKFPSNEILSRNESKTSASKEPMAPPRYTRSVSPSQLPPKEPMESQKGTPVSDTLLKLMDYGDDDDDEDI >Dexi7A01G0022840.1:cds pep primary_assembly:Fonio_CM05836:7A:30989687:30990766:1 gene:Dexi7A01G0022840 transcript:Dexi7A01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDRNMDGTKLKKLHEWFLNTINEDGDRGEDGDGGVDGDGGGEDGDGSEDDATFASEDYNDDEVVSCVRAVQLLAIRANFHISAIVASDWCQRRSIYTVDEGGVQEEGMDLVLNGPSRILEAYGGLGLRVHTDDEVSSTDDGGSCTRPIRGSWDAESDVVEEFTQTIDGGLGRKLELTYVVFPNAVETHVEVRLNLMDLCSRSRLVYGSVKASAIDYGGKSVHLFSRERGRSLSLPCGSLCILPLKPYMIALEDGQHFKLHIEVDLSVITSRDSQEEDKNFKFYVDCSRRNSSEERLEPPFRIRSHKREFNDDQIEVNIMWRLRRS >Dexi9A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:9A:5200474:5203303:-1 gene:Dexi9A01G0008790 transcript:Dexi9A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHKITLVLMFCLLALGRAEYLKYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERVNATADALAKYFIGSVLSGGGSVPGDQASAQEWASMVTEMQKGALSTRLGIPMIYGIDAVHGHNNAYKATIFPHNVGLGATRDPDLVKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGDVPANDVGRPYVAGSKNVAACAKHYVGDGGTFMGINENNTIINTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLITDFLKNKLKFRGFVISDWQGIDRITSPPHANYSYSIEAGIGAGIDMIMVPYGYIEFINDLTTQVQNKVIPMSRIDDAVYRILRVKFTMGLFENPYPDSSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGESGNNLTAGTTILEGIKATIDPSTQVVYSESPDSTVLGDKYDYAVVVVGEPPYAETQGDNLNLTIPAPGPSVIQSVCKVTKCVVVLVSGRPLVVEPYLSDMDAFVAAWLPGTEGKGVADVLFGDYGFTGKLGRTWFKSVDQLPMNVGDAHYDPLFPFGFGLTTKGTK >Dexi3B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:3B:1493676:1511637:-1 gene:Dexi3B01G0002130 transcript:Dexi3B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPAPQPAAAAPEQTDAERLDALDRMLTRLALADDARLAPVLARVLPYVITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESTSSTIVRNFSVVYIEMAFERLPTEEKGNIAPDLLTNISNAPAQHQGIILRLVTKAIGECNKHRVDDTIASKYRAITESNDGQVFSDFCFHTLLYQTPPQGIACPSGLSIVQSDRVTGKIPLQGDILASRKLGILNVIEAMNFAPETVYPLYLCAASDSQESVSKKGEELVKRKASAVNLEDPNLMKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGIPLLQYLTSQFCMLVHPSYLSLYFFACRKWNYFKAEAVRNGIYCLGVQTCMCTLHAVAGQLKLIGPVILTGILRSLDGSSTTETGLSIPPPPPPSDTEMLESDKTDMAIRLFTALKLEDQSLRLTIQEAATSLATAYKGASMILLKDLEVLLLENCEAEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDGRQSSTGSVDFNYPDVTEMINYICHQRPQLLDSDEQRNGKLIFPSKTFLSMIKFLMKCFESSGSPDLVQDPSHSPVAKMCVVLEHAMSYEGSSELHALALKSLVDLSSREPKVSLVSLRYADRIQWLKALLGHVDSDAREAASRLLGIASSALSRSAALLLLSEFTSTLSQNRPSRFENYHGLLCVIGYLTAGCLKQSYIPEVIVKNVAEILVKVVDSEASALASVAMESLGHIGLRCALPSITRNSSTDALLTILHEKLSKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLVFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERGGCEEAHAMAREEIIKKLFETLIYSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDVSMKEQLVHALVNTLTGTARKKKAIKLMEDSEVFQDGAIGNNPTRGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNTLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGQRYSQASDHLFMVSKHLRKIWIAAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLTQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTPLLLKLLYSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVIPVIFVSRFDDDKDASAVYEELWEDIPSSERVTLTLYLPETLSLLCDCMSSSSWAGKRKSAKATKKLCDVLGDSLSAHHHNILESLLKELPGRFWEGKDAILDALASLCSCCHAAITAEDSSLPSVILNAVCAACNKKSKLYREAAFLCLQKVISSILIYWTIFVITGFRDPGFFNNVFPMLYNVSKQSVICKTKGSSSATSSADAEQDESEGASVSLDKVLNCATSCISIAFPQDVISQKENVLEVILNSLSPEQSWQVKLPSFSCVKELCHKFQKSDDIDTWPQDTASLVQELFHLVSAKVLDSIRLIKIAQVI >Dexi4B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:4B:7068299:7073557:-1 gene:Dexi4B01G0009740 transcript:Dexi4B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGACPPPGLAFGGEYYSLVNGVCSRDGSFFGGKPVLAEAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGRAAHAVFLVFCLTTNVIVTAMLLLGGSAVANALTGINVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVVFVFLVYTSSHRLGSPRIVHDHLSAVASAARDCSAPLSHSDQACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAEEAAKGLVPPATATALMGKFGSVLLLTMLFMAVTSAGSAELVAVSTLCTYDIYRTYINPDATGKQILRVSRAVVLVFGCLMGVLAVILNLVGVSLGWMYLAMGVIIGSAVIPIALLLLWSKANAIGAILGSITGCVLGITVWLTVAKVQYGRVDLDSTGRNAPMLAGNLVSILLGGAVHAACSVAWPQGYDWESSRQITTVESVAADDELAEELKEERLVHAKRWIVKWGVAFTAVIVVLWPVLSLPAGKYSAGYFTLWAAIAIAWGTVGSAVIIFMPLVESWDTICTVCQGMFTNDAVYDRLDDMNLRLKAIMGGMPEAEERYQEMHKEKKGLGADMEMVRPASGAHPFTVVANNDDDLSTRA >Dexi9A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:9A:8564787:8569901:1 gene:Dexi9A01G0013350 transcript:Dexi9A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKKKMTGLLHLLVLGRILPFLSPLGAASRCRCLCGAARYLSSPLAFLAPSASAGTQRPGRRGARAMTSSSSPSRKPRARSPPDSIDLSVSLFVWVILAALSKIAASRLQKELAEWQVNPPAGFKHRVTDNLQRCYSPSLAALSAPLLTEQIPSRGHIRLLTFVHSFPCDSTLRHFVFLHIRLLTDPHCVALCCVALRTLYTGETYQLQVDFPEHYPMEAPQVTDNDRYVRNCRNGRSPKETSVMGKMAFPSCQQPLRDGTNMSGSTVFSHSPFFLFPLCSHRHGEG >Dexi3B01G0029640.1:cds pep primary_assembly:Fonio_CM05836:3B:28913016:28913617:-1 gene:Dexi3B01G0029640 transcript:Dexi3B01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPVPLASSTVPARSRSGSLCSSFESTHSSSRDFGGRSGGLATGPGRSLQIRAGGRLAQFSFSAGLCNLFANAGSLRGSGHPNRSLPAGVVSLPARPLAAASPSVPALVPSAVVVEEATRPGTPLEHQGAGGRGRGRGHGEQTEQHFGGSSEDFYGGNLGFDPGYGGGRDHGLHRGGSCCRRWK >Dexi9B01G0030440.1:cds pep primary_assembly:Fonio_CM05836:9B:32940461:32941148:-1 gene:Dexi9B01G0030440 transcript:Dexi9B01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHLKQYEKEHMKMAMMKQEETFKQQVQELHRLYRVQKLLMTDAANVPVPMADTTRCNLEDERRAAEKDAGSSKSWGDAYSEQGKAAPPQLVALQESELELTLSLGCFGTPPGKKTAARNKETSSSVDSRTSVSSSSTESGSPHRRVVLPAPSSLIRPAAAKPSVVGQRLEQEGLQQPPWLHKCLNLAR >Dexi5A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:5A:25057414:25059288:-1 gene:Dexi5A01G0021170 transcript:Dexi5A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRRSCLLLAVVVAALLSAGFGLLGSSARAQETCSGMVPAPPRRGARVSLASSGGVGDGRTLNTAAFARAVASIERLRAPGGAELYVPPGDTSSWPLMEPLPSYGRGRELPGGRYISLIHGNGLKDVGTLPFTSPHLLELMSSSDIIVSNVVFQDSPLWNIHPVYCSNVVIRNLTILAPHDSPYTDGVDPDSCSNVCIEDCYISTGDDAIAIKSGWDEYGIAYGRPSSNIIVRRITSSTPFAGFAIGSETSGGVENILAEHLNFFSSGVGINIKTNVGRGGFIRNVAVTDITLENVRYGLSIAGDVGGHPDEHYNQTALLVVDFITIKNIQGQNIKQAGLIKGIVNSSFSRICLSNITLSGDSARIRPWICEAASGSAIQVQPFPCSELSSTPGTSVCTGSL >Dexi2B01G0023920.1:cds pep primary_assembly:Fonio_CM05836:2B:33402356:33403726:1 gene:Dexi2B01G0023920 transcript:Dexi2B01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEMKSRARSSGADSRLSVRRGKAEKDPNKPKRPPSAFFVFMEEFRKDYKEKHPNVKQVSVIGKAGGDKWKSLSDAEKAPYVSKAEKLKAEYNKKMDAYNNKQAEPTASGDSDKSKSEVNDEDEEGDE >Dexi6B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:6B:26213563:26215266:-1 gene:Dexi6B01G0019490 transcript:Dexi6B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSTLSLLSLLLISILILVSSWSKKSRKRRWPPGPWGLPFLGSIHHLLTSQPHAALRDLADKYGPVMYLRLGQIDTVVISSPAAAQEVLQTNDLSFASRPSMLVTEIICYNNLDVGFSPYGPYWRALRKLCTVELLSTRRVRQLAPIRDRETMALLGEIGAAAGDGQAVNLSSLLISCANNITGMATFGDRCSDERKRQFLSAMDVTIQYGSGFCVSDLFPSLRFLDSISVFRLQRVHRHLDDLLDKIITECEARQKVGDVGGEDDLLSVMLRIRDEQELEFPINTTNIKAVVVDLFMAGTETTSAATEWVMSEFMKNPTVMEKAQMEVRQAFNNTSPREHEVHMDKLPYTRMMIKETMRLYPPVPLLLPRICRETCEVGGFEIAKGTRVIINAWAMARNPNYWEDAGEFMPERFENSMIDYRGTQFQYLPFGSGRRMCPGSGFAITTLEFIVARLLYYFDWSLPSGMQPEELDMDMVVGASARRKNQLRLMASPYNVSMNV >Dexi1B01G0021490.1:cds pep primary_assembly:Fonio_CM05836:1B:27384483:27384875:1 gene:Dexi1B01G0021490 transcript:Dexi1B01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKAFLLVALILVLSTVTTTACGGGCPTPTPPTPTPPSPSPSTKGKCPKNALKLAACANVAGLVGHPPAEPCCSILGGLADLEAAACLCTAIKANVLGITLDIPVKLSLIVNYCGKNLPSGFICA >Dexi9A01G0024930.1:cds pep primary_assembly:Fonio_CM05836:9A:21774680:21775777:1 gene:Dexi9A01G0024930 transcript:Dexi9A01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKEMVKVFRVHEHGGPEVLRWEEVEIEEPGQGEIRMRNTAIGVNFLDVYMRKGVYPTPLPFTPGVESVGVVTAVGPEVTGIVVGDVVGLAGSPMVTGRTYAEEQIIPAAVAIPIPDWMDHKVAASVLVKGITARILVRQAFKVEAGQTVLVHAAAGGVGSLVCQWASALGATVIGAVSSRAKAEQAAANGCHHVVVYTEEEDFVARVRDGITAGRGVDVVYDAVGKDTSRGSIACLANRGCLVAFGEASGAPEPFVLGELQPRSLSVTCPALPGYTGTREALLESAAEVFAGLASGVLRAHVGGVYPLAEAPRAHADLEGRRTSGSIVLVPGNE >Dexi9B01G0030700.1:cds pep primary_assembly:Fonio_CM05836:9B:33164978:33166642:1 gene:Dexi9B01G0030700 transcript:Dexi9B01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWPWLASLPPPTAGGLDAPPPRSLPLASSPDGASIVLQANAAATNTGGDPSRVATTVSVAINGAGGAARAKWTSDAFTESSSPIPLRLQLLVQLINEVLALSPYAPCLGASAVNLADSSFDAAMVDASVVYAAVGEASTDASSALFTLALLLRLFWLCALDAPADAGYLFFRDLGAAIERELGASQPALAVFLRSVGPDVEERFMRSLGYMLAKWCLLREMQAPPQEVPPRRRAFPAACVSYATEAHGLWVLKGYAPVLAMARVTGAAASTSIAHESPEEETALRYGLAHQQLEAVAQVEYAVRVRDRRFLTVSVHVDNLRVRVSRLSFRKDDDDEAEDAAGADVAMDGERHFPSRIRLWVGPRLGASYATGPSLGRSTGNPERDVETTRTVKGAFFATKLGVAPGMKAKARTSVRTRSRSWRWEQEAEGSAGVFEGVLCDPVTGTEVSAWRPGVTGATDPRNGMRRRYEGHGRAFSKMRGLVVAGDELPEEVTWRVGREEEGRTLRWRIGLKVWVSYMPNEVRTRHFETRCVEWAHEVELPLIAINGDES >Dexi9A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:9A:1616301:1618950:-1 gene:Dexi9A01G0003080 transcript:Dexi9A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGGPDWNGLLKWSLAHGDGTNPPRALSEEDRKWFMEAMQANTIDVVKRMKEITQVMKTPEDVLESQGVTPENIEALNLIQYLLHNDKTDRSIAAELGLPKLMMHLASSDDSLVREAALSGLLELAQDKTSGNNLPDQDKLKDILKTRIEGISVMDKDDLHAAREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVAGKMFEPPLRAWAAARPAPKDDSDAGSEKKDAPPLLLGPGPSSNPNS >Dexi4A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:4A:2264131:2264680:-1 gene:Dexi4A01G0003100 transcript:Dexi4A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVLRRSARRVARHVAAAHSLSRSALQQPERLFSSQASPEHGPRGAVSGSELALYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQIFILHCN >Dexi2A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:2A:5538298:5539027:1 gene:Dexi2A01G0005780 transcript:Dexi2A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLPLLTLLLLATAAAAAVGVGPSPPPATTTPWPEKFHAVVVTNLTGSGGRLQKIDIYYDWPRGSALNVIRNQLAGDPLRDVQWKKKAGRDGGGGAAYLGRKHVDGFDCHVWSNFVFERYFEDVVTGFPVAWIAADGMERHVLSFEPGAVLEDCSKWQAPAYCFNGSNADAPASTP >Dexi5A01G0028920.1:cds pep primary_assembly:Fonio_CM05836:5A:32111158:32111471:-1 gene:Dexi5A01G0028920 transcript:Dexi5A01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSVLSPLRRLWCRVNAVQRKKRGIYILYEDVKSCQCEDVHVLWSILVESHGLPTPPVLGLKR >Dexi5A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:5A:5450554:5452084:-1 gene:Dexi5A01G0007320 transcript:Dexi5A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPDLSRVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVSGVHTLLDSFEPIHGVLLCEGEDIDPSLYEPTSTDADAGGGALSPEQLEAVRRLHPSDAAIDHEKDSIELRLARRCLERNIPYLGICRGSQVLNVACGGTLYQDVDHELSSSATAVQHINYDDYDGHRHPVRVLPGTPLHEWFADDELMVNSYHHQGVRRLAQRFVPMAFAPDGLVEGFYDPDAYNPSEGKFIMGLQFHPERMRKPGSDEFDYPGCARAYQEFVRAVVAYQEKQLAAAATNVPASPKLNKEMERRRKVIFRSFSLAKDMYLSGGRATTKPAAEQQDLEPGAEFLEVITSPRRLFLEAKSDSDSPSPVTNKVCERVCVQSNTASLSVQQEKRLKQMGATVRNASGYLNSLKLNEGREAAARALMAEMTAEQLSDLASFYHNMGRICGEVLDRKLQALHQLHE >DexiUA01G0006360.1:cds pep primary_assembly:Fonio_CM05836:UA:12286103:12288394:-1 gene:DexiUA01G0006360 transcript:DexiUA01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRASHAHRSLPLLLLLIHACVHLSTPVAVLAERTGYIVHMDKSAMPSHHSDHRAWYAATVASVTDASEDYRAEPQLLYAYDEALHGFAATLSASELRTLRGTPGFVSAYPDRRATTLHDTTHSMQFLGLNSVRGLWPESKFGEGVIIGMIDTGVWPESASFNDAGMPPVPSRWRGTCEPGEDFPPSLCNRKLIGARYFNKGLVAANPNITLSMNSTRDMEGHGTHTSSTAGGSEVPCASFFGYGRGTARGVAPRAYVAMYKVIWPEGRYASDVLAGMDAAISDGVDVISISSGFDGVPLYEDPVAIAAFAAMERGVLVSASAGNNGPGLGTLHNGIPWLLTVAAGTVDRQMYAGTVYYDDTRGRNSIVGVTTYPENAWIVDTKLVYNDLVSSCDSTEALANSTADIVVCRDTMSLNGQLATLTEAGVAGAILISDEGDDFEDNMPLPALIISPKDAPRLLSYINSSWLPTATMKFQQTILGTQPAPVVTAYSSRGPSQSYAGVLKPDILAPGDKILASVAPISPSAAIGETQLISNFLVESGTSMACPHASGVAALLRAVHPDWTPAMIKSAMMTTATTTDNTNSPITAAEIHDTIVASPLAMGSGHVDPNAAMDPGLVFDAGPEDFVALLCAANYTNAQIMAITRSSKAYNCSVASSDVNYPSLVAIFGTNATSGDLHFKRTVTNVGASSSVYHASWVSPVNVDVSVSPGRLEFRSVGDTATFEVTIKLTASTGGEHAFGEIVWADVSGKYRVRTPYVVL >Dexi6B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:6B:1780061:1780992:1 gene:Dexi6B01G0002040 transcript:Dexi6B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYKPPHLLGHLWFVLRCNLMPPHSKGESMQYFGDVRQAGPISAVELMHAGFKLTASAGWFAYMKCRKRKLPFSGELSLSPLLLDETMACRLVNLAALESVQAASSVRLKFGLPTGVALMVSEEDVHELRKSGILISNFSDAQTLSFFKGLSQHLRLGYKYLNTLGEIDQCSREKKVSIAIRKFFCNNYKAIAAVLSIAGALIGVLKALYSLKKP >Dexi9A01G0045110.1:cds pep primary_assembly:Fonio_CM05836:9A:48718388:48719323:1 gene:Dexi9A01G0045110 transcript:Dexi9A01G0045110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPARRVTAGHLWPAAGGKGKQRKADDFEAAFREFDSDSDEEEEVESKPFVFTAPPAATKPRRRQQEQPSTRRRKAAQYKGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDAAARDIRGPRAKLNFPSPADAQAAGRKRARAVEVVDLVADEDIKHEATATESEGSDCGGALPDFSWQGVSAYDEVAPVARPALEVAVEDATSKRLRTEAESTDEASPRASDSDESSDDALFDDAFLFGDQQFASYFNGGAYESLDSLFSADAVQGTAAVDEGMGLWSFDDGCLVEDNLSF >Dexi1B01G0021150.1:cds pep primary_assembly:Fonio_CM05836:1B:27083790:27087561:1 gene:Dexi1B01G0021150 transcript:Dexi1B01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPRRTRNTPPPSDPGALRCSAASRPPRVVVLAPLPRAGARRRSIRAWTDFFRCRYHPLSAMFGRMPRKTSNNTKYYEVLGVPKTASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDIFEQLFPGSGSFGGISLLPVSCTSRKGSKSGASGTCHGCRGAGMRTITRQIGLGMIQQMNTICTECKGSGEIISEKDKCPSCKGSKITQEKKVLEVHVEKGMQHGQKIVFQGQADEAPDTVTGDIVFVLQLKDHAKFKRKYDDLYVEHKISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFKVEFPEPGALSPSQCRSLEKILPPKSGNQLSEMDLDQCEETTLHDVNIEEEMRRRQQQKRQEAYDEEDEEESGPRVQCAQQ >Dexi3A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:3A:5576021:5577127:-1 gene:Dexi3A01G0007980 transcript:Dexi3A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPLLTPYKMGDFNLSHRVVLAPLTRQRSYGNVPQPHAAIYYSQRATNGGLMITEATGVSDTAQGYTDTPGIWTAEQVSAWRPIVDAVHAKGAVFFCQLWHVGRVSTTALQPGGAPPISSTDVAVTPQMSFDGHMESFSPPRRLETHEIPAIVGDFRAAARNAIAAGFDGVEIHGANGYLIEQFLKDGVNDRADEYGGSLANRCRFALDVVRAVAGEVGPGRVGVRLSPFTDYMDCHDSDPEALARHLVGELSDAGVLYCHMIEPRMALVDGRRKIPHRLLPFRKAFKGTFIAAGGYDREEGNKVVEEGYTDLVSYGRLFLANPDLPKRFEVADAPLNKYDRTTFYTSDPVVGYTDYPFLADVKAA >Dexi9A01G0045760.1:cds pep primary_assembly:Fonio_CM05836:9A:49301499:49302903:1 gene:Dexi9A01G0045760 transcript:Dexi9A01G0045760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPAAWPEFKVGHHHQRSPSVGSTFLAAAPMPPLPPPQPSWIDEFLDFSAAKRGAHRRSVSDSMAFLDPACPDDAVGAHDFDRLDDDQLLSMFSDDIPPSPAQHASQAAPAPVASSSLSDHNSINDDKMADRGETEEAQSKCHVDGVASAATPGQPASTAAVDPKRVKRILANRQSAQRSRVRKLHYISELERSVTSLQTEVSALSPRVAFLDHERSLLTMGNSHLKQRIAALAQDKIFKDAHQDALKKEIERLRQIYHQQSLKNAESPTPDTAPIRSDNDLITGEGAVAYPSS >Dexi5A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:5A:4860761:4861887:-1 gene:Dexi5A01G0006600 transcript:Dexi5A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAITTPAGVVDGRSGYCAATKTFRSLRPRVPLPPPDAPLSFTAFAFSLLPPALPAHPAILDAATGEAVSFPALRSRVRALSVALRSPSRLGLRHGDVAFVLAPASLDVPALYLALFSIGAVVSPANPALTSAEIARLVSLSGASVAFAVSSTAAKLPPDLPTVLLDSDHFRSFLLHTDADETTAAVVVRQSDTAAIQYSSGTTGRVKAAALSHRNFIAMAAGSHALTNKPPRHGGESSRMLLAAPMFHSLGFYFALKGVALGQTVVVVTDAVARRGVRAVVEAAERWAVTEMTASPPVVTWMGKEKEGCGGGLKALERVVCGGAPLAPTAAQRFRRRFPNVDLCMSSVAIF >Dexi1B01G0023540.1:cds pep primary_assembly:Fonio_CM05836:1B:29115471:29117845:1 gene:Dexi1B01G0023540 transcript:Dexi1B01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVEQLKEMRDGIGSMSRRAGGFDGRASHGRMGSRHSWPGLWAEQPLRHGQGQEAAQQNQGRWANLPPELLLDVIQRVEGSEATWPARRQVVACAHVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREQPVQCFVRRDRATSTYLLYLGLSPSLNVENDKLLLAARKVRRATRTSFVISLRSDDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPPDAVVLPNNKPSKRQSKQVSPRLPLGNYNVATVTYELTVLRNRGPRRMQCTMHSIPAQCIQEGGKAPTPTGTIQSLDEPVSTIPSTKGKEPAVEFSSTSLSADLSGLACTGEAPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLNVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Dexi2B01G0025240.1:cds pep primary_assembly:Fonio_CM05836:2B:34520564:34521662:-1 gene:Dexi2B01G0025240 transcript:Dexi2B01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGATALNYSFYQSLGVEDPVWNHGSLSFGGFGGNDYNVQLLELGLTIEQAKKNTPMIVNATVNGIEVRLIALGALHIVVPGILPTGCLPLFLTLFTDDELDQYGCLKRFNRLTEYHNSMLRKQLQILQGKHRSTRIMYADYYSQVYHMVQQPKKFGLSNPFEACCGAGGGKYNFDLSARCGMTGATTACRNRSARLSWDGVHPTEAANKMIADAWLNGPYCTPPILS >Dexi9B01G0022500.1:cds pep primary_assembly:Fonio_CM05836:9B:17191232:17192415:-1 gene:Dexi9B01G0022500 transcript:Dexi9B01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMDVLIHDILSPHQDGPAYYPVVAIISLASPVVIDFTPHQRLKEQEDTDLQNLQTDELSGPTKMESNGSGAHETGGTNESDATSSSLMLMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVANLLQCPELNNLNSESVQGSLDEQHGTFRRTATRVSLTCRLVMKVHKKLFKI >Dexi3B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:3B:7929228:7930104:-1 gene:Dexi3B01G0011280 transcript:Dexi3B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERDEAEVDSSMAVPWLRRSAGDDVTAQRVRDDDGWRRLLWARRGRWGQMRAPAAMQLRATGSSGRLALPTATSKRLPAAMDDATSMLM >Dexi8A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:8A:8905185:8905492:1 gene:Dexi8A01G0007790 transcript:Dexi8A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLPYFYLLLDVLLVSVMVQESEALKEKDSCGNGTAPSTATLAGCPKRCGSITFDYPFGIGSGCYRNSDFELICDDTTQPHKLFLCFPKYYYHR >Dexi4B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:4B:6293179:6296692:1 gene:Dexi4B01G0008740 transcript:Dexi4B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKVRPAGAAARRAAMRWWLLSLAATGAAVTAAAALLAVALHLSGSAAASASSGAHYRLSQPREAEELRWEQELAPPQLASPQSRKLDGAAEKSLWLPAPSQRFAPCLAPSPEYKGPVASRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWHDRSNFSDVFDEEHFINSLASDVKIERKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWDHRQVIRVAKSDSRLANNYLPSDIQKLRCRAFFQALRFAPPIEALGKLLVERMRSFGPYIALHLRYEKDMLAFSGKALVRLFDKVNSGLLKEGKRLSERILDIHRKRQGSPRKRKGPVSGTKGKDRFRSEEAFYENPLPDCLCQPGSPDSDDTLVSI >Dexi6A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:6A:15690462:15693461:1 gene:Dexi6A01G0010960 transcript:Dexi6A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRQLLVAAAVVLLCTAEWHLAQAYKKASEMIEQLNALRFRIQLPSYIVYLGAHAYGRDASAKEHARATESHHDLLGSVLGSNEMAQDSIFYSYTKNINGFAAHVEEEIANQIAKHPDVVTVLESKMLKLHTTRSWDFMDLERDGQILPDSIWKHAKFGQDVIIANLDSGVWPESKSFTDDGMGEVPQRWKGSCQDTVKYSVPCNKKLIGATFFNKDMLLNNPAVVDANWTRDTEGHGTHTLSTAGGSFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPLADVQSLFHEPITLGSLHATSQGISVVCSAGNSGPYDDTVVNAAPWVTTVAASTVDRDFPNVLTLGNRVHMKGMSLESTTLHSSQLYPMVDARHAGHADTTPYAAADCGMGTLDPAKVKGKIVVCVRGGDIPRVTKGMAVLNAGGVGMILANDRMDGDDIVADPHVLPATMITYTEAVALHNYLTSTDNPVANISPSKTEVGVKNSPSVAGFSSRGPSGTLPSVLKPDIAAPGVDILAAFTEYVSPTELASDKRRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGTEATAFAYGAGNVHPNRAVDPGLVYDAAPEDYYTFLCSMGFSTVDMKRLSAGKFACPAKAPPMEDLNYPSIVVPSLRGTQTVTRRLRNVGRPAKYLASWRAPIGITMEVKPTVLEFSKVGEEKAFNVTVTSQKDKVGMGYVFGRLVWTDGIHYVRSPVVVNALA >Dexi9A01G0038140.1:cds pep primary_assembly:Fonio_CM05836:9A:42433878:42437081:-1 gene:Dexi9A01G0038140 transcript:Dexi9A01G0038140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLTGMEYFDARRKPHNVGKIIAALVLTTLCIFVLKQSPGFGGNSVDNLSRGNMGAVKVLKELFPQPGRLQFIFADLGDQKSVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPGKVGIYNVGTGRGRSVNEFVDACKKATGVDIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYTDLTESLSVAWRWQKLHPRGYGTN >Dexi5A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:5A:1409325:1410993:-1 gene:Dexi5A01G0002000 transcript:Dexi5A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASVLIVFLLLLSVAGHVCSQSYNAMFSFGDSISDTGNLCTGPAGCPSTLDIVHSPYGSTHFGHPTGRCTDGRVVVDFLAERLGLPLLPPSKASGVDFKKGANMAIIGATAMDSEFFNSRGLGRGVWSGGSLGIQIQWFRQLMPSICGTDAAGCKSYLSKSLFIVGEFGGNDYNAALFHPGSGIAEARSYVPQVVDRIASAVETLIGLGATEVVVPGVLPIGCFPVYLTLSPSSNKGDYDEAGCLRGLNDLSSYHNELLKRAVSSLRSKHAGVRLMYADFYAQVVDMVRSPETFGLRYGLRVCCGAGGQGSYNYNNDARCGMAGASACGDPENYLVWDGIHLTDAAYRSIAGGWLSGTYCSPGILH >Dexi5B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:5B:7541795:7542860:-1 gene:Dexi5B01G0010690 transcript:Dexi5B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPCPPPMAPRLLSSVAPLALAASLVFAAGPAAQAVPFVRPPPLQGKPFASSTPYAQSQKHQLGLDKLGKIRPCPSTNPGCVSTNPLGSSGSFASPLLIPESSAGDKAVASLRQAIEKTQSNVDFKVDQDTPYGHYIEAEMDGGVGRDVMEFLVKKDAGVVAYRCMATKVTFVYPFTTAVGDSKGQKQRIAAISQELGWYAPDIQSSMDSDDVGYPP >Dexi3B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:3B:2089262:2089522:1 gene:Dexi3B01G0003180 transcript:Dexi3B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNSSLCLENLRMMHENERLRRKAQQLDQENKALLAEIKRKQQLVQQHASASSPSQQLQQGGPSGAAASAATAANRKAAHHVNK >Dexi9B01G0047340.1:cds pep primary_assembly:Fonio_CM05836:9B:46475948:46478021:1 gene:Dexi9B01G0047340 transcript:Dexi9B01G0047340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAAAAARGRAVKVAPGAGSGCGSCAGVWRSRSEAIFPIYVMGSSRASTVAAARGIVDSAEDPIWETVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDICRSIRLDAQAFKDRDPACAQYSWALLYLKVFAVDIHPGISSMNSED >Dexi2B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:2B:8396165:8399123:1 gene:Dexi2B01G0008340 transcript:Dexi2B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLLFLRLPSPTLDAASAPHTDLFLHAIPACSSTGAGGEADAVRVPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQLASDLLVLAEKYEVKHLKAYCEKFITSKVNNDNAIAHYAFAHRHGAKQLLEASLSELMNNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKDTDCGCRK >Dexi3B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:3B:11336887:11344759:1 gene:Dexi3B01G0015650 transcript:Dexi3B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRQRQRSMFVIVGDKSRDQIVNLNYMLSKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYRDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKACVVMDDELNILPISSHMKFIPPVTNNEDSEGLSKRERELKDIKDQLREDFPVGPLIAKCCTLDQGNAVINFLDSILDKSLRNTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSSDPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSMLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSHSSAQSNGSNSSRLFKKIELNESIRYASGDPIETWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVRIHMLEFVLTYSISKFAPDSSSHWYYEGQMTLFADDDEETEEPEVKITEAVEKASLLEENIKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQISSAVTGEHTCMVLKPLNSDDIEVSESNKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDYSNHEPSDYDSNASSKLLRDVLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVSLHGAQAAVLFCMGLQDKDVGAVKEELGIEREQVLSNFIKTMKKLYSYLHNIAGKEIEATLPRLKEIEMLPLNKSMDEDLAEAAKEVEEKRRAADEAPVDPKFLQKYAIDDGDNEIEKALKSAKVSASGVISIKSNKTKADKKEKHKETGKSKRKGTDGGRSESKKKRS >Dexi1A01G0032010.1:cds pep primary_assembly:Fonio_CM05836:1A:36714420:36718883:1 gene:Dexi1A01G0032010 transcript:Dexi1A01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPATPSASVRVLSRTTPPPASPSPSSAPSHDGVVAVGFVGAAGAARLADRILDAHVFSPGGSAGSLAGSVRYHRDADRRMVFLHLTPPPAPMEAGGTGGPELPEMLFMFSDDAIDGSSAAASLDDTGDTFSSNQVSASDGLSKQSSTSKGSGSVVMLARAGNKSESSPGKKLHSSLEGQIRVLLKKCRLLAGMEPGHIGPRGASNMSHHVPLFSLDTSRIVALLERSMNKKREPLDFIAGLFEDSLSSKSPLDVLSLENNYHPANHDDFQLIKDFIFRQSDALRGKGGYSSNPTAGSVAGVGMVAAAAAAAAVSAAAGKPVNAPDLPSFDKWLSISTSILTALLTGRSELSCLSENKTHTNPSEKNDQLPAGGSNAVDITLSCLESSNGLNMKFSSSWCQRVLPAAKEMYLKGPAVRVFSKKLEDECRTIWESGRQQCDAVLMMQVTPDFPVLLASCPLVQFEASMQ >Dexi2A01G0036490.1:cds pep primary_assembly:Fonio_CM05836:2A:46017687:46018160:1 gene:Dexi2A01G0036490 transcript:Dexi2A01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLITSRTWLPYFWRLYKSSTQRIRFHAVARHFVEQLAGVGDAAGLAEPVDDHRRGERRHRVGTGCERPPKDVGGGGELAGAAEGEEGGRERARVEAAEAGDGEVERLGRPVGGARVGARKSMSWKAATACSGAARGREAAARSANGTARRRG >Dexi5B01G0022570.1:cds pep primary_assembly:Fonio_CM05836:5B:24837984:24839764:1 gene:Dexi5B01G0022570 transcript:Dexi5B01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTARSAASVPTAPSSPLSSSSSAPPPPPRVAFPSHRRRDVLLLPAGLSLLLAPSAAAPAPASARGLFRMPPQRLANRYFLVRAGESVYEGQGLLRTNPVAKTSVDNGLSPVGLRQTARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANGVNRSRIVPEYSFLDARGLGAFEGKSLDTLPEVYASDNISPDLKPPPISDGTPNESVEDVFVRVTQLMSILETQYSGETVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPSSIPEYKQPASTVFKCTNPPSCK >Dexi1B01G0021770.1:cds pep primary_assembly:Fonio_CM05836:1B:27602900:27603501:-1 gene:Dexi1B01G0021770 transcript:Dexi1B01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTAPYVLAALCMLVVLVASGADAINPPPRLVPAVFVFGDSTVDVGNNNHLNVTAAARANYPQYGVDFHRSSPTGRFSNGLNTADLLGSLAR >Dexi9B01G0024280.1:cds pep primary_assembly:Fonio_CM05836:9B:20169912:20170900:-1 gene:Dexi9B01G0024280 transcript:Dexi9B01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLTAHIIRISTSAAGASAAVRHFHRHPARTSNVVALARSCGAPRRRSRSVATSRGVKKKQRCARSSRDVTVSSVPSGSSYSRCATLGRGGSRAISSSRSHIGVTVGAGAPRASSAMAAPNCTMPSPSAIVWFTRTARMKPPHASRIEEGGGYLEEHEGCVDYGGRSGDVEQELVEAREGREKRLTQLLEVDVSIGLDEKHPLAGAVDGEFSPLDLPEPPGERVVVHQRPGDSIPDNRHGVAAGHGLVAPVQEDARDVDSYRLLVIDVGQELQLTRRRGCRSHQHCRPPAHGELQRRRRCQARH >DexiUA01G0026470.1:cds pep primary_assembly:Fonio_CM05836:UA:56496861:56498417:1 gene:DexiUA01G0026470 transcript:DexiUA01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHVNSAAAVVAAFLVFAAHLYFPANAHLNSATTPLLGVLSDLTVSSFPFCPLTVSSTTTDHQYGCKYNPLCDDFPPDFPPPDTPAVSVFCVDPNGCCDFTTVQAAVDAIPNHSRKRNVVWINKGIYFEKVTIPASKPNLTFQGQGFDLTAIAWNDTAKSANGTFYSASNVAPIPRPGAVDAQAVAIRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPSGQRSITGSVTAHARVSENDNTGYSFVNCSIGGTGWIWLGRAWRPYSRVVFAYTSMSDIIASEGWNDWNDPSRDQTVFYGEYKCTGEGANLAKRVPYAQKLSDVQVLPYLNTSFIDGDQWLQPYCDALISA >Dexi5B01G0038030.1:cds pep primary_assembly:Fonio_CM05836:5B:37308772:37310021:-1 gene:Dexi5B01G0038030 transcript:Dexi5B01G0038030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPLLWSPCSTPYPTVPCSSGDCAAVASGSGTPKSCTGQRPCTVRPTNPVTGEQAAGNLTFTDISTNATDGKTPTAVVTVHGVLSSCAPVSLLRSFPGAAAGDAGLGRELYAKLSLKRQLAICLPSVTDAPGVAFLGSGPYGFLPFALLDASDLAYTDLVKNSPSSSSSSASYGIRLSGIAVNQEAVPLAAGVVSVTFDTALPYTVLRRDVYRAFVDTYRSAMAGVPRVPGVAPFEACFNSSGLGVTRVGYAVPFVDLMTEGGGGNWTVWGSNLVTQVATDVACLAFVYGGWAAPSAMVLGGFQMENNLLVFDEANSRFGFTGTLLFIRTTCSNFNFTRG >Dexi1B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:1B:24774616:24781177:-1 gene:Dexi1B01G0018620 transcript:Dexi1B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGQGEVAGGDLNRTLLRRSRRPRRTLARRTHRRRPAQCDGDGDHEQRKRRSSSGKEGEGEIGLSLPTSSPRKANPAMGAFNSRQTSTTGGENVRIKYAVSSVKGRQAKMEDAYAIAPDLDHTTSFFGLYDGHAGAEVALLCARLFHIELRIHPNYQANLNNAMRSVFSRMDEVLQQSNQWMELVTPAGDFVFKQNKNLPPEAQMVICNPDIRSGETDLCVICARLVHHVQPTRPDVTAILIQFKRGAPDDDDAEGSQDDSDGQEMSDAGADQEIKSGAGDEEQPSALDRETISRALEFVRDKQRELLVEEIIRNTVQSPF >Dexi1A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:1A:3619338:3620346:1 gene:Dexi1A01G0004970 transcript:Dexi1A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSYRLWLASFAVAVAIAGAGATQFTVGGANGWSVPAAGAEPFNTWSVRTRFQVGDTLVFVYPKDQDSVLLVEPPEYNACNTSAYVQRFDDGDTVYSLDRPGALFFISGVEANCRNNEKLIVMVLAAGNNGTGGATAPTTPAPPSAPPASPSPPPATSAAPPSPPAASPPPPPPTSASAPPPASAPTTPASTPVTPSPPAPSGASPTPPPSAPAGASPAPPARAPAPSAHGATANSTGTPPSHPAGGSQHKNGAGVAVATGLASSLGACVLGYAMLAL >Dexi3B01G0029530.1:cds pep primary_assembly:Fonio_CM05836:3B:28815254:28820640:1 gene:Dexi3B01G0029530 transcript:Dexi3B01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVDDPDLRCRGGRRGPPAALLLRPCCRGGSRASVWSVNLHLVIFLLSGRPCDLCDVLKDLVAGALPLAYKQQRTLDVTGISHPIPVAVEEPALRQALSNLIEGALLRTQHGGRVQIYAGEAPAGGTLVVIDDDGPDMQYMTQMRSLAPFGSDLLADNMLEDNMTWNFIAGLTVAREILENYGCVLRVISPRRPDAVIGAGGSRIEIWLPSFQTEIADIAEEA >Dexi7B01G0022220.1:cds pep primary_assembly:Fonio_CM05836:7B:27218342:27221411:-1 gene:Dexi7B01G0022220 transcript:Dexi7B01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQLKTEACGGEQQRGLEHELEEKLLLHDDDAGGSAPPPEKKHYGGWRRRHDGHEGVGGDDDYRERFVRAYDRLRDELLADDSCELTDEARRWLAQVRRGRPRRRHCSQKMTTWALLRNEERMIDYNVPGGKLNRGLSVIDSYLLLRQGSEVTEDEFFLACVIGWCVEWFQACALLLDDIMDDSHTRRDHICWYRRPEVGLRGINDGILLKCHIARLIKKYFREKSYYIDISELWNEVRLTFKTPQNNLTNSIYDIFGDILLSECLVQVALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGIYFQAQKNYGKKDSTSVSKVKSTYSTLDLKVGS >Dexi1B01G0022590.1:cds pep primary_assembly:Fonio_CM05836:1B:28293217:28293782:-1 gene:Dexi1B01G0022590 transcript:Dexi1B01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSSYFHAFGNPDFAAVFSGGGGGGGGSAQLQRPRRSTDGAARASRAAEEGGSPASASSRRAPSMFCVPDTEAEEPNCFLDECTLCHKALCGDIFMYRGDTPFCSDECRREQIETDRVRHRRKKQHLLMAQQQAAAAAQRTERPQRMLQPQH >Dexi5A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:5A:9639291:9639648:-1 gene:Dexi5A01G0012880 transcript:Dexi5A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSNSISAGGHADGGGDVGIQRTTGSSGGVGLGHADGRRPGGGNVAGRAALSGGATDDRRYLANNADALGGAGWDVKYPGVQILAAMALLQGKAGAHADVLRRYKQKADLIAC >Dexi2B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:2B:30727663:30728047:-1 gene:Dexi2B01G0020690 transcript:Dexi2B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLVSRLLGMFQSRTQVGVDKAGNRYFSRVEEVDGAMKERRWVEFKGAEQDSTTVPGYLTSSPGPFVR >Dexi8A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:8A:307379:309750:1 gene:Dexi8A01G0000430 transcript:Dexi8A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMSSSSSMPSHCSSLPLIALLLLCSQLLQVATAREQYHEFVIQEASVTRLCRQRSIMTVNGQFPGPALEVNEGDSLIVRVINRGGYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRAGVAAYPFNTASKPPAREIPVILGEWWDMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYRCSSSKDTATFTVKSGETNLLRFINAALNTELFVSIAGHTMTVVAADASYTKPYSTSVVMIAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAVFEYDDGSTSSAPMMPTLPAYNDTAAATTFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCSTGQSCGGPNNTRFAASINNVSFVLPSTVSILQAHYHANTADEVFTDDFPANPPVQFDYTAQNVSRALWQPVPGTKVYRLKYGAAVQVVLQGTNIFAGENHPIHIHGYDFYILGEGFGNFDAVTDTAKLNMDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLQAPPPDLPIC >Dexi2A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:2A:1474528:1476113:1 gene:Dexi2A01G0001980 transcript:Dexi2A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLATVHEIRRALRADGPAARRFFHHTEQMLNANPSFFLDVTPSSSSSSLVARLDIVAKAAPELAATAAAKAIAMWGLPATDITDLIVATSSEDRSPGTDLSLATLLGLRADVLRTSLQLAGCSGGCAALRLAKDLAENNRGARVLVACVELTLTSFRGPGDDDTFETLIPQALFGVVIAADPELPAGERAVFEMVAASQTTIPGTERMLNMRLGEGGIKGDVSPALPSFAAEHLERCPPLAGVAGVEKGKRKWNEMFWVVHPGSRGILDHIDVALGLEAEKLAASRAVVREYGNMMSATVIFVLDEEEEGDEAETEWGVMVVQDSLLLSSKQ >Dexi9B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:9B:11115951:11117265:-1 gene:Dexi9B01G0016250 transcript:Dexi9B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASAAHKIPLEVAHTLVEIAEVARYAYHHRPGYHAAQDGESTTLPPGDDGGGGAGEKAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLMAAVNNASFLAHLEKLQDESAHQHAELSSGNMTVIIAGATPKGYVYPPCCN >Dexi3B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:3B:3276202:3276489:-1 gene:Dexi3B01G0004910 transcript:Dexi3B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPFSPSAASDSCSPSTPSRTGRPLTSSLSPSYRGARFAGAIVLLPCDSWRKMSRADASTAQTPRCSGCRLRWNTRGGGCVPGPNGVRSAGGGV >Dexi1B01G0022370.1:cds pep primary_assembly:Fonio_CM05836:1B:28093527:28093811:1 gene:Dexi1B01G0022370 transcript:Dexi1B01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSVHNALKRRRPHRRRGRQQKRRHGGADMAGASPRGWAHWARARWEHGAAGAARGEAGTSARRTVATANREFVPGRPTTSGDLILTVGSHT >Dexi3A01G0033670.1:cds pep primary_assembly:Fonio_CM05836:3A:38364904:38366098:-1 gene:Dexi3A01G0033670 transcript:Dexi3A01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDDGLLLRRDAPPQYLGGRRHPGRPLISEVLVRLPIKSIARCRCVCRSWRAAISSAAFVRRHRDLSRARPPAPPSSSSSMLSILFEIAGYRTHISFYHLPLLPPPGPTTTVFVESDLDTGHVNLSHCDGLVTITTTSTDRVFVCNPATQEFIKLPRGTHNAEVDYYARRRRILPLVAIGFDRWRNSYVVARYFYRKYGGATTFDDEDDDTGESASSSPEDYDIGHEVFTLGSGDDGGSWEVTDDPPGAIGIQAPICTRRGFYWHSGMPNPRLLCFGLKDRSFEVVARPPTAGEWSPLDGMAVMDDGKLCYLHTATEASSLHVWTANDDDDDDGNGVLKWSLLCRIDFPDDELDSDLYELFLPTVITDGDTLVAVVEEMVQHARRENGGSV >Dexi8B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:8B:23812284:23813575:-1 gene:Dexi8B01G0013510 transcript:Dexi8B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFMGHVLPGTLLLLVGLWRVWSTVARFVAAPSSAFRARAWSPPPAPAPPLLEMYVVAGGAFLDMCVELFYVDPLRVLSGEGVDPANLNGLEHAGMLLMFFLVGALAILSDKTRYLPLSDATLSLVFATAFTSEFLLFHYHSTTHKGLEGYYHHLLLILLGLCIISIVSGALVPTSFPSDLAAGVLIAVQGMWFYQTAFTLYGPMLPTGCDRLFDSPDADARIECHAGAALERAEMLADFQLFGIMFVVFVYVLGCYAIAAARFGHLELTTAHDEMHMCVIECRGDVAMANVEEECST >Dexi6B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:6B:13976586:13976810:1 gene:Dexi6B01G0009560 transcript:Dexi6B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAWRGTGQEGGGFREQGGRSLVVARMTIVAVAVCTPMGRNRDEMGRSRIRHDGKEADLLYTVIGWRPMSCTP >Dexi2A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:2A:11290718:11292960:1 gene:Dexi2A01G0010130 transcript:Dexi2A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAPRAAARLTSGQLVFGKPEEAKGGRSLLWSCDSGRCVGVPMKAVNGSVKLNGAAVAGVRQVHAPPQPTSSMDDDGGAFRLGKFVEGRLVYRQQFVIRSYEIGPDRTATMETIMNLLQETALNHVMCSGLAGDGFGATRQMTLRKLIWVVTRINIQVDKYSRWGDVVEIDTWVASSGKNGMRRDWIIRDRNTKNMIARATSNWVMMNRETRKLSKIPEEVRQEVLPFYLDRSIIAADASGGGGSKIEKLTDSTAEHIRSGLAPRWSDMDVNQHVNNVKYIGWILESVPLDVLEDYHLTSITLDYRRECRQSQLLESLTSMTSSAASEPPLPASSRCSSDLQSTHLIRQQDDRAEIDIS >Dexi5B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:5B:20621111:20621958:1 gene:Dexi5B01G0018440 transcript:Dexi5B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASCGDVVVLYASIYNDVPMVVNLSGRFYLEKGIEERLGKEFIDRVNKEGHIDVTNKSGKFWYRVTKESLMERLNTDMRAASLSISKECRFFTIHGSADEVIPVEDAYEFAKLIPNHKLRVIEGANHCYTAHRKELCDAVVESITSIEV >Dexi2A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:2A:32418795:32422010:1 gene:Dexi2A01G0020310 transcript:Dexi2A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPSTSSPAMADGEVGAYREFKALVEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGAAAGAEGGGGSRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEETKAAYPKTNFKEWKQVLQELGRFLKADGAYKASRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQMAGKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPANPQKAIIYHPTVSHLLAVLATICEELSQDSILLIYISASGLEHNNAIQKYASTSSHATAASAFPIDKPNSHTSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAGLSELNLGL >Dexi5B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:5B:3171234:3172917:1 gene:Dexi5B01G0004710 transcript:Dexi5B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPAVWRLEDAVIARLRACATFRDVLGAHGHAVRLCLSESSYVATQIIHLCNAHGRAATHAARVFAQVPAPNRHLHNAMIKAYAQNHLHRDAVGVYVGMLRRLPLPWSGGGLSFTGGDRFTYPFLLKACGGMADVELGRQVHAHVVRSGCEAHAIVQNSLIEMYTRCGDLMFARKVFDGMREKDVVSWNTLISAYARLGQMRKARMLFDTMPDKTVVSWTALVSGYTAVGDFAGAVEVFRLMQMEGFEPDDVSIVAVLPACAQLGAAGLCPTGGPGAWTHGMLRKTYICNALMEMYAKCGCIDQALQLFHGMPEKDVISWSTAIGGLAAHGRAHEAVRLFELMDRDGAVKPNGVTFVGLLSACSHAGLLDEGQCYFDRMKDSYGIEPGVEHYGCLVDLLGRSGRVQRALDTVRGMPVPADAKIWGSLLSACRSHGNVEIAVVAAEQLVKLEPGDVGNLVMLANVYAAAGRWDDVLSIRKEIRSKSTRKTPGCSMIEVDNVVREFIAGKDLGPELGGLAALLDILASQLADDEEFGDSDYWVDGSMFAGD >DexiUA01G0026270.1:cds pep primary_assembly:Fonio_CM05836:UA:55732252:55732959:1 gene:DexiUA01G0026270 transcript:DexiUA01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGIQYRGHGQDVVETLAQYAGVPVWNGLTNEFHPTQLLADLLTMQEHLPGKAFNEMTLVYAGDAPLTGLDLRLVAPKACWPEERLVAECSALAEKNGGKITLTEEVAAGVKGADFIYTDVWVSMGEAKEKWAERIALLRGYQVNAQMMALTGNPDVKFLHCLPAFHDDQTTLGKQMAKEFDLHGGMEVTDEVFESAASIVFDQAENRMHTIKAVMVATLGE >Dexi5A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:5A:8646229:8646552:-1 gene:Dexi5A01G0011530 transcript:Dexi5A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENGAAAGGEAVRRRKALVHTPSGQVVRSYAELESELRALGWERYYEDPTLYQFHKRGCLDLISLPADFARFSSVHMYDIVIKNREAFRVVDI >Dexi2A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:2A:10858717:10859271:1 gene:Dexi2A01G0009800 transcript:Dexi2A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALRFAPTLCDAKFSCCDFPSVMVPELNFPHLKKLSLHSVTISEDALHSLLSGCSVLENLLLEYIVGIGSLCISSQTLKSIGFNAGWKSSVAIKIQELVLEDNPCLERLIMLHSEHVPATIRVMQAPKLEIVGVLK >Dexi5B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:5B:18439898:18441711:-1 gene:Dexi5B01G0017290 transcript:Dexi5B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWTATRPPVAIIATSMIGPKQSQRGYPHNEFPMCCSPALTRTPIDKETETDDTTRAEQNKSVHPSRAERAVQYSRLQSTMDWGAPALMSSVADPSMRDLCCFGAGIAGNVFAFVLFISPLPTFRRIVRNRSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAAFQLAYTAVFIAFADAKERLKVSSLLAAVFVVFGLIMYVSLALLDHQTRQMFVGYLSVASLIFMFASPLSIIIPNGIGTILGIIQLLLYAYFRKGSREEAKLPLLVTHT >Dexi4A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:4A:22374183:22384050:-1 gene:Dexi4A01G0018380 transcript:Dexi4A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDASERTAVGWAARDAGGHLSPYSYTLRNTGPEDVVVKVLYCGICHTDIHQAKNHLGMSRYPMVPGHEVVGEVSEVGVEVTKFTAGDIVGVGLIVGCCRQCHPCTSSNEQYCSKKIWFVVRIPAGMSPEQAAPLLCAGVTVYSPLKRFGLATPGLHGGILGLGGVGHMGVMIAKAMGHHVTPYLALLRLDGKLLVMGVINQPMSFVSPMLMLGRKSIAGSFVGSVEEAEETLKFCEAKGVTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNLDAA >Dexi6A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:6A:27219084:27221459:1 gene:Dexi6A01G0019600 transcript:Dexi6A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAPVLVKHAGGGVAALRQLPPGFRFRPTDEEVVVQYLRRKAFGVPLPAAVIPVVRHLYSLDPWDIPITSADDDASEEGDKYFFAVRPATGGARATASGRWKPAGKEKAVVLPRPCGGGSLLVGVKRVMTFVPRRNKKAESLAAGWVMHEYRLAASLHKNGCSLAQAEGEWVVCRVFKKSNRPRRQAAPAGHAASSSSSSSADSCVTDGSDQEEVSS >Dexi2B01G0025160.1:cds pep primary_assembly:Fonio_CM05836:2B:34482176:34485324:-1 gene:Dexi2B01G0025160 transcript:Dexi2B01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKNLLKPRPTPQQQLREWQRRLRNECRVLDRQIRGSTPQPSPASPSFPSIPLHFSFPALLEGTRDQIGNVKGVPQIRGWVLPDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQRIQQPSTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRS >Dexi4B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:4B:2309440:2309883:-1 gene:Dexi4B01G0003310 transcript:Dexi4B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTKSHNSPLGTPAEQETIDLDGEAPPPVLPTQNGEGSGSNAKGEQQGLGKRKRVVLDDEGAFFIGLTDAINGFAKAVMDTNTPKAAPGIYSAVMGCPNFSREALMFCLNYLMKEKETAMGFLDMEPDDRELWLRDHLGRNNFYG >Dexi6B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:6B:8166773:8167853:-1 gene:Dexi6B01G0007070 transcript:Dexi6B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRPTRRTMSRSKQETEDCTHVFEISQYSLLEGFETGLFIQSADFTVGGHDWRILFYPSGSGSEEKEGYVCIFLKLMSEATDVSASFDFRLLDPTTGVSSSMHYGGHFRQFKKMSELKASYVLDDCLVVECDVVVKMGIPHSKPEIIRDSIQVPPSVFLDNLGNLLESGEGADVKFKVKGEVICAHKVVVAAQSPVFKAQLYGPMSNKKKTIIAIVDMEPSVFKSLLHFIYKDSLPSMEDLDDGDETEVVKHILEAADRYGVERMKVMCESILGKRIDIESVADTLVPADQLHCSQLKDACIRFINSSNRTGDVVASPGYGHLKRACPAVIAEIWEKSAKICMV >Dexi1B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:1B:337113:337724:-1 gene:Dexi1B01G0000310 transcript:Dexi1B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARVMTSCFFITRSKLHMLI >Dexi7B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:7B:21896987:21902034:-1 gene:Dexi7B01G0015880 transcript:Dexi7B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVVAVPFPAQGHLNQLLHLSLRLASRGLPVHYAAPAAQARARVHGWGEAALGSVVFHELAIPEHASPPPDPAAASPFPTHLMPLFEAYTAGARAPLAPLLAALSASHRRVVVVHDPLNAYAAEEAARLPNAEAYGLHCLAASPRRAPGSGSSASGAAWRSSPPRRARPRSSPSSKGISPGAGILVNSCRALEGEFIDVVADHLAADGKKLFAIGPLNPLLHHTTSKPTRHECLDWLDKQPPASVLYVSFGTTSTLPGEQIGELAAALRDSKQRFIWVLRDADRGNEFAEGHGKSRHGGRHAELLAEFTEQIEGRGMVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPMLAWPMHGDQPWDAELVCNYFKAGILVRPWEKHNEVIPAEAIREVIVNAMVSDEGVAVQQRAKVLGEAVSASLADAVVAGLLADVKQECASRGSAMAERRGLTHHARARASLTAAVARCEPQFPACVNITTPPSMCAVLSGVLKCVSVTEKKKRSPGAVHAVATEAAPHTIPIPRSMESVTVVVVPFPAQGHLNQLLHLSLQLASRGLPVHFAAPPEHVRQARARVHGWADDVLRRVEFHELAISDYASPPPDPAAASPYPSHLMPLFDAFVADAPAALAALLRELSAASSPRRVVVVYDVLTAFGAEEASRLPNGEGYAFHCTAASLIARDLDGGLQLLRDAHGLDDLPPLAYSTEEHLEFVAKRARTHQTIPSSAGILMNTSRALEGELIDFVATTFAGYGKKVFSIGPLNPMLLELDGSLPDGKGVTPRHECLEWLDKQPAASVLYVSFGSLSSLRGEQIEELAAALRDSKQRFIWVLRDADRGNVFADDSGESRHAKFMSEFKKQTESTGLLITEWAPQLEILAHPATAAFLSHCGWNSTMESMSYGKPILAWPMHSDQPWDAELICKHFKAGFLVRPCQKHGEPAEVTPAATIQRMIEKMMVSEEGRAVQQRAMELGKAIRASMAADGSSHKDLMDFIAHIIR >Dexi8A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:8A:29227577:29230133:1 gene:Dexi8A01G0017570 transcript:Dexi8A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSATVFLETTLGTRLVVSFPARATTVADLKRQVSAEHAAGFPRAGPIAVTSLQVELDGSWFQLTDSMAVRAAFEWVKGPWRLRAEVHQLCSHPISRKDAKCGTGDAEPNAGNPVISENSSQYMLLPAVSHGGYGSLASCDGVSDTDTAQMGQQNKPQKGFENASVQCRDGITILQESSDIDLGACDGDTLLPNQDKLRECVEDGSDQLEDGINRPKENSEFDLGAGDSDAPPLNLQDKSHEGAEHASGQSEDRITMPEESSDLGIAAEKGNSPVGGQQKDIIAEPRGKKRFREGDKTVAHELRSHQLARKDAKCGTGDTEPNGGPPVISENYSQYTLRPASSQGGGSFASGDGVSDTDTPQTSQKNKPQEGVENASVQCRDGTTMPEESSGIELATGESDTPLPNQEDKPRECVERGSDQPEDGTTMPQESSDIEVATGDSDAPLPNQDDKPLECVEHGSDQPKDGIAMPEESSEFDFAAGDCDTPPMNKQDKSHEGVEHASGQSQYRITMFEESSDLGIAADKGNSSVGGQQKDIIVEPRGKKCFREGDKTNERIVANCGDNLSSLASSTLNAELSQKKSCVTVQAKSNSVPLLYDLEGCEHDLGEKPSGGQDPSISGVHNGESSSNGSDLPPCVDSMERKKSSDKEVRIQRGDEDGPCMAGRAGKSICKRTDAPHCVETMKEDVKRPTFNSHYIDRGQNEGSTSTVNREHEPCFRKSHRRIVVRKIPISRAMKVYSFR >Dexi2B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:2B:4386430:4388346:-1 gene:Dexi2B01G0004730 transcript:Dexi2B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSSRYRAFDELRGMEVAWNRVQLHDFLRSSGELDRLYGEIHLLKTLRHRSIMHLHASWVDAPRRAICFVTELFTSGTLRQYRHRHPRVSAAAVRHWCRQILDGLAYLHAHGIIHRDLKCDNIFVNGSQGQVKIGDLGLASVRRRRAAYAAHCVGTPEFMAPEVYAEDYDELADVYSFGMCVLEMVTLEYPYSECSHPVQIYKKVTSGIKPAALYKVKDPLVRRFIEKCLSPASRRPAAIELLNDPFLKVEDDVFCVPGNEDYSSMYNYLHQPACLDHHHRHGGSSGSTASNGGGDGRWDDTEDEDDDGSMFHGIDQLFNEHEDDDEHVAGVNITIKGKRMEDGSIFLRLRIADKDGTGRVRNIYFPFDAEADTALSVATEMVAELDITDHEVTHIAEMIDGEVGALLPHWRPGPGMEDDGDLAADDAPDASSTTPRCKNCRRRSSGEASSGGSLDDYLSAAARHGCRCVELHGRFEEITFQADDEEEQVQFQSSGCSSDDGDKKLGDINGEGDQS >Dexi9A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:9A:262446:262804:1 gene:Dexi9A01G0000390 transcript:Dexi9A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTPDTPCVSCWLSRLPSLGGNAPPRLLGSTKPNKLPSSSSRPSLLPVVRRRRVVRLRRRRRLGSTEQQQAQIDEVVDSNILPYCSIDKKQKKTLGEMEQEFLQALQACPLLF >Dexi3A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:3A:578337:579230:-1 gene:Dexi3A01G0000740 transcript:Dexi3A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSSLPLPHWTVVVSEVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHECATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWVWIALRVLETVEAHSGYHFPWSPSNFLPLYGGLFGTDKDYRKAKAVGENEGKNL >Dexi4A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:4A:19035469:19037537:1 gene:Dexi4A01G0015740 transcript:Dexi4A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDFFLGILHQQWRLVTATLLVAFALPLLSILLSRSRRRSRCSNPALHLPPGPRKLPFLGNLHQIGPLPHRSLRELARQYGPVMLLRLGSVPTVMVSSPEAAREVMSTHDAHCCSRPSMPGPRRLTYEYKDVAFAPYGDHFRERRKLLILELLSMRRVQAAWDAREAQDTFIGGINTSAVTMVWALSEMIRHPTVLKSVQDEIRAVVGNKQRVSRDDVAKFRRLKMVVKETFRLHPPLPLLLPRETIQQVNIIGYDVPANTRIIVNAWAISRDPSIWEDPEVFNPERFKGSKTDFNGTHFEFTPFGSGHRICPGMAKAVANMEFTLANLLYCFDWELPHGVRKEDISMQEAGSLAFQKKMQLVLVPRRVQ >Dexi3B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:3B:2762997:2763686:-1 gene:Dexi3B01G0003970 transcript:Dexi3B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLDGGGFCLGLVDPVSNVIANTLATYGRAGLVPGDCDDELVYVPEDKLRDLERRSLDGMVTFLTRLFPYLADCEAVRFLLFTDTDLLVAAHIVALDLDLTRFGSCKLAFKEAFVMALKCAALAAKHSNPGCLLAISTRVDETGGAPPLPASSLAKLANLLDGFPLPTDGDHKDLRGLRHLIPTRLPPPRSVPYRYNPTMKGTLQDAIHGYYLKAITRLPAG >Dexi1B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:1B:22089333:22093759:1 gene:Dexi1B01G0015510 transcript:Dexi1B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPLRSSAVPVERSTSPASSTSRSQSGRASPASPSPRDSTATPPTTATPSTPYIVAANDAGLLLHVAPHPHVGFNLGPNPEGDLVVAWDFIPDDGTGRGGELGATTVRVAELSVFSGVTSVGLLPLSDSSSAGFVVAELQVAQRHGRRVGDLVWFRSDEASWDEAELACPDVTPGASPDWIPHDDMLCWVDLTRGAGLLVCNPLDANPHLTFVALPDLVALQDRHEGLQGIDSFRIVRVSGGRLRFVDVARRHGEPPGATRVVVWTLESLFDPSIGRARWEHHQCTTTLAAIWNHDKYVDSGMPREVPALAFLHPHKHAVVYFFLDEYLFSVNVNDSAVVQFAGEPRGDVVEVPGGPQPINWRYVLAWVPPYKMVRREGYA >Dexi5B01G0027910.1:cds pep primary_assembly:Fonio_CM05836:5B:29415876:29422911:1 gene:Dexi5B01G0027910 transcript:Dexi5B01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQAWGRGAVARLMRPFNRRTAHASSAHLLRPCPSSSYSTVHSRLRRHFASSSSSSPAYNAKQNTHTFMEVFQEVLEHGSANGVRTAIRADKRSYSLLQLIAASLDMAQNGIQDSSFKGINGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSVEFIPKFSVPTMYTRLLQGYDNMDPDQQSASCYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIMMDDGTETTTGVGELCIRSPSLFKEYWKRPEVTAESFIDGGFFKTGDTVTLDEEGYFIILGRTNADIIKVGGYKLSALEIEAVLLGHDALLECAVLGLPDEAYGEVVCAIIVPKEDAKKTAEEDSKPALTLEALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGA >Dexi5A01G0022460.1:cds pep primary_assembly:Fonio_CM05836:5A:26560362:26565048:1 gene:Dexi5A01G0022460 transcript:Dexi5A01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSYAPPAPQSRASPGPGCGPRSPPCALSFPDALRAAAAAAAVSLSLLTGDAVGAVAAAAAQPTEVCRDGVAAVVEEVRAEAVTNEQLVEEAWEVVNESFLPDAGSRPWSPEMWMAAHCLSIRLIVDFDPLQQRKQDVLQGTIKSRARAHDIIQKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSFKLMVLGLLLDGPAYSAGVRQGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQMVARSPVFYRLEKKENDNSSVGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIVAESGPMIATPLMLLVNNRTASASEIVASALHDNCKAVLVGERTFGKVGIT >Dexi6A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:6A:25340716:25340923:1 gene:Dexi6A01G0017500 transcript:Dexi6A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKARARRGCEGSEQGEQVETAAVAARARRQRRRRRRERGGGEGGGVASEETETKWRRGKRRPT >Dexi7B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:7B:20129899:20137175:-1 gene:Dexi7B01G0013670 transcript:Dexi7B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPLGLTLRLLAWRSQEALRDPRCRSGAALGAELLWWPVLMPRRRRLIELISHSVLWTEMADDDPAAAAPPGLHIQEGFIRQVKLSVASSEEILKAQPVDELGKPFPITHCSQLQDNPSLGLPLQVGSCESCGATQINKCEGHFGLIELPVPIYHPSHIAELGKILNNICLCCLRLKKPSKKGTGMERKFTSCSYCQDIPPLFVTQVKKSNGACSLELKAPLKEEVADGFWSFLDQFGFHTRRTSHRRPLHPKEVQNITQKISKETKTRLAARGYNLQDGFVMSYMCVPPNCLHISNLLDDNTAMCPPDTSKGLLQKVLRIIEQIKSSSINQPNFEAREVGEDDLQLAVADYVNMGGTTKGTQSVTFTRQPAPKQWQQRMKDLFISKSSSFTCRAVITGDQYIGLDVVGVPDEVAGRISVEEHVTSYNIARLQVMMDKGLCLTYTDVNSSTYDLIEEKGSKKRTTLKVGETVERRVIDGDLVFLNRSPSTDKHSVEALYVHLHDDHTIKINPLICGPLGADFDGDCVHIFFPRSVSARVEAKELFAVENQMMNSHNAKLNFQIKNDNLLALKIMCDRRYSREEANQIAMFSPGMIPPGDHYWTIPQILQVTGALTTLPSHTNKESVGALVTAAISSTLSEKGPREGMELLNLLQPLLMESLLMDGFSMNLSDFDGPSAMRKAMECTVLDLNEFRQSTVDFIAHSSALGLLVDLKSDSAQRKLVEQIGFLGRQLQNSGRLYSGNLVEDCYRFLDKCSGSTKCYDPLKAHDVVKSSFYNGLNPHEELLHSISVREKIERSSSKALAEPGNLFKNMMAILRDVIVCYDGTIRTSCSNVIVQFSSTKVARSVTPGDPVGILASTAIANAAYKAVLDPNQNNMSSWDLMKEILLTKASSMTYTTDQKAILYLEKCFCGLEFCMERTALKVQSCLKRIKLEDCATEVSINEYCLCDQDIGDEKTLQVSCLQFFLDASTTTGLSETNVVQLMTDTICPILLDTIIKGDPRVQEARIIWVEPELTCWVQNSSAEQKGELALEITVEKVAAAESGGTWGVVMDSCIPVMDLIDTTRSTPYNIQEVHKVFGISCAFDRVRQHLSKAVGMVTKSILIEHLTTVASSMTCTGSLHGFNRPGYKATFQSLKIQAPFTEATLFSPMQCFRKSAEKIDSDQLASVVSTCSWGNRAAIGTGSAFEIHWKDENQFASNEILGGYGLYDFLVTVGTIGAAEHKTVTHQNPCLYDVDDIPEDEVVCLGGNLPLSWTDKPKVNSLLHDFKGSTNTSGWNKRRFTGELFERKQSKHSWGSAVTHQGDKPSWCSKNDAGKQKYGVAESSSSGGWKRKNGVFGQGGGRGMGKSEGSHRGGSNSRRVQNNSSARQGGHTSYSFTPVEQQIYAQIEPIMKNVKRIIRESRHGMKLSRDDEMFIVNNVLMYHPEKEKKISGQGNYIM >Dexi4B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:4B:11961692:11962048:-1 gene:Dexi4B01G0013420 transcript:Dexi4B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMPATPTTPTTPTMPMPMPNYQVSGAGAATRHGASAGSSIGAFFGVLAAVLVLIALSCVFGRVCAAKAEGPDESYDCTRLAHWRRRRAPRRRPVLAAEAKQKPAAEGPPLPLPEP >Dexi1A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:1A:15629758:15635070:1 gene:Dexi1A01G0013240 transcript:Dexi1A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSGDGGGAAGDLLETGLLAASAVKEDEDAGKTTTSGRLADEQGTATTSSRVRRGARHVAAQLQEVFLGTRLFPLFAAVPLAVAAQHLRLGRQNFSFVLGIHPQFGTILITAGGILNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQADVSIGLLMLGVLCHILPLLSKYTNGTGDGISSSGSVLELSRLSAIVMLTAYFGGLIFQLKTHRQIFEQEV >Dexi4B01G0023540.1:cds pep primary_assembly:Fonio_CM05836:4B:24842935:24843634:1 gene:Dexi4B01G0023540 transcript:Dexi4B01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHRNDAACPGRCFYTYSAFIAAANAFPGFGTTDDLDTQKRELAAFLAQTSHETTGGWDTAPDGPYAWGYCFKEEVNGQAGADYCQRSAQWPCVPGKKYYGRGPIQISWNYNYGQAGEAEPIATDLQDGRVSPKPSCHDVMTGQWTPTPNDIAAGRLPGYGVTTNIINGGLGGPDARVENRIGFYKRYCDLLGVSYGDNLDCYNQRNFAAAAALASATSSYHADA >Dexi5B01G0034640.1:cds pep primary_assembly:Fonio_CM05836:5B:34858387:34860443:-1 gene:Dexi5B01G0034640 transcript:Dexi5B01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQDLQLPPGFRFHPTDEELVMHYLCRRCAGMPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPPVAVDRKPAFAPPAGSPPEQKPFVAAPGGLPPAFADQLAAYYDRPSDSMPRLHADSSCSEQVLSPENHQFASCDREVQSQPKITEWERTFASDPVNPAGSTLDPVGGGHGGIGAGDPLLQDILMYWGKPF >Dexi6A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:6A:27876237:27880916:1 gene:Dexi6A01G0020350 transcript:Dexi6A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLAPVMLTKVSIASCGGRAMAELASAPVPARGPAAATARSRRRGEERRREAAEAPVDVVLSYCSSLAGRGPWAVMGRA >Dexi5B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:5B:19871939:19875654:-1 gene:Dexi5B01G0017960 transcript:Dexi5B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGSCAAAPVAGLPSLRTPPPNNLRLGGFLSCSSASRSPGGAVVGWVRKAKKQTPTPPAVVRLNRFLCGYMRRDGHEGDVRNQGREGAIMFGPDDDGVKIPTQVETLVKGTAMVAEPEYKQIPDLDYLQELLAIQQQGPRAIGFFGTRNMGYMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >Dexi4B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:4B:5063516:5070687:-1 gene:Dexi4B01G0007160 transcript:Dexi4B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSELNVDEDSTVHSQSGYLDRLKILDEDHRSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRQIGDIFGHPVYQVTKTAMIELSNSKTRPKLTDSKDENRLSHIIVAWYAEDKLAICGKDIMLTLIARRSRHYAGTRYLKRGVNDEGRVANDVETEQIVYEDVLGARQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRKRYGNPIIILNLIKVSTLKSTNALQVLLKVAFEALNLTEYFYYQASPAQITENFLNLSATLKNGFGPHVCDDNNNCGNADYVDDFDDISQDDTCGSSDPGNGIAGDNSEIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFEPQVGKPALWEPESGDEHVLDDETRSKAISTPDVNISTVNALSDGDVSSGTMDNQTIEFQAQGFSKDFVQWVKQGEAFWY >Dexi2B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:2B:25323146:25323431:-1 gene:Dexi2B01G0015320 transcript:Dexi2B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQERGWSSLPKDLLRLVLDRLPWSTHPSFAGACRHWRSVLTPFYPTWLTPLLVSAADVGSTNLRFYSPYHHKMPPGGT >Dexi2A01G0032870.1:cds pep primary_assembly:Fonio_CM05836:2A:43204763:43207638:1 gene:Dexi2A01G0032870 transcript:Dexi2A01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAWERAVEAALHAGGEGSSSPARSLTLDGAVKCMHGRLPAAEILERHQSLEHLSIAGVGVTSLEGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLSSLRDLDLSNNRIQDVDDLAPLADLRLVSLDLYECPVTRVKDYRSRVFGMIRTLKYLDKMDADENERPESDDDDDDGDADGDGEGDGDEDDDDEEDEDDPGSGEVANGGVSHSRGGVASHPVEVNGVIDVDEEESDADEVVPNGGVEHHHGANGFRVAAAGEAPDEEDEDVDDEDDDDDEDFDEDDDLGEDIDEDGDDEDAVVEVHDVASSDEEEDGVEEDDDDEDDEEEVEDEGEEAEPSGRVAMMTGEDGGEEIDGHEHGEGDDEDENGEIGEEDDEALEGDRGVYEGNDDEDADDEDEDTEYLVQPIAQPQAMAIGSDFDAADPDDADEDREEVDDDDEGATDQPSSSQGTKRKRDDDQSGSGDDSEDDGVEDLRPFKHH >Dexi2B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:2B:9254899:9255405:1 gene:Dexi2B01G0008670 transcript:Dexi2B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGVGVPFVMAALMASIFALDSRDATEFSMELTGFHGFNATTLGHTVSPGFILRVRAENRHAVVKPWCYRGGDAIVSYSGVALAWGYVPRFCIERKKVPVELTVIPWGREVGLSEDLRRRLASDWKMGNAEVKVEMKVNYDEKGVSSPERKDGPVLKSFQVMLTGH >Dexi6B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:6B:20497666:20500384:1 gene:Dexi6B01G0012780 transcript:Dexi6B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQPLLLRPRPPACLCRCPCRSGSPYLHLSKKGLQALRPPRRCRQPVAALVSRPLGTGRCAVVAGTFVCRCSYDTKNGLPTPPSDKEKSLAKWPVLRRWDVPWEWPTISLTMVACAVSFLLTGMIEQSILEQLGFQVGEATLDEKAEVLFLGQLYGSKLLPLYYRIHYIHRNLTFSLLIICINISLVFPVFCKHSSTTVVALGVIFGITNTFRPFSDDIFRYKFEEPFKLQNGWLLWAGIGLIVAIIAIALAGATMTFLNGETPQREDGLSAGHHWGLAPILEETVFRGFLMVSLTMWFSTPYAVLITAAVFAFAHLTPGEFPQLFALGVVLGFSYAQTRNLLTPITIHAVWNSGVILLLTFLQLQGYDIKELLQVS >Dexi2B01G0036410.1:cds pep primary_assembly:Fonio_CM05836:2B:43259750:43263292:1 gene:Dexi2B01G0036410 transcript:Dexi2B01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDKDEFEEPWKEMDYALASLALLEQKQMPDSQATHESNTDLGKREYCNHDCILDEQLGLTCRLCNVVCTEAKDIFPPMFTCKDHERPGRSEFCQDDHVLDSSFLEICAPEFSQFKGSGNVWASITDIEPKLHAHQRKAFEFIWENLAGSLQLEEMDDSTASRGGCVVAHTPGAGKTLLLISFLISYLKVHPRSRPLVLTPKGAIHTWRREFEKWGVSLPLHVLHCSNRRKAMGSLSPKMQSILKNFHQPSDKMMRMMYSLDKLCKWHEDPSILLMTYPSFLALTKEDSKLQHQAFMAKVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEYRILLSGTVFQNNFEEYFNTLYLARPRFANDAMTVLVPEAERETRHSGKHQEALVRRIFVEKVGQKIESSSIYDRVDGISLLNKLTRGFIDSFEGTERSNLPGIHVYTLFMKPTDIQEEVLSKVTMPVPGNARYPLEVELLVTIASIHPWLIKTTKCASTYFTQEEIARVEKCKRKYAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPINFLVKLIEIVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDREGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTMQAMARAFRPGQERMVYVYLLVASGSWEEDKYNSNRRKAWIAKMVFFGRHVDYPTQNHVTEIDDEVLKELADEDETKTFHKIVKQD >Dexi1A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:1A:1546398:1546613:1 gene:Dexi1A01G0002340 transcript:Dexi1A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLKTSWPEVVGWPATAAATQINSDRPDVAIEVIPAGATVSPGYNAKRVRVFFNAGNSLGPVVYTPMVG >Dexi4A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:4A:13295013:13296065:-1 gene:Dexi4A01G0013580 transcript:Dexi4A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEPAGSQRDELWKIKPYPRKGDEFCLSHITELTVKSGKVAPECIKYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYHTVLQKLSKYPVHCFKHVIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRGAYSLGRDTVTALGEYPKVKPRLLIIKRHRTRMFLNLDEIVAMAEDLGFEVVIDEANVSSDISKFARLVNTVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRADFGNPAELMGLHYKQYSISVDESSLTEQYPRDHEIFKNPIAFHKHGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >Dexi3B01G0029600.1:cds pep primary_assembly:Fonio_CM05836:3B:28864371:28871178:1 gene:Dexi3B01G0029600 transcript:Dexi3B01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAAEEEARAVEEFRQALVTRDLLPPQFDDKYTMRSKVLLLTGLCYCRFLKARGFDIDKTIDMWSEMLKWRKEFGADSILTDFVFDELEDVLLYYPHGFHGVDRDGRPIYIEILGKVDPTKLLNVTTVERFLKYHVQSLERLFAEKYPACSVASKKHVDTITTILDVKGVNWMKVSKLAREVVLHINKIDGDNYPEILHRMFIVNAGSGFRLLWGALRGLIDPNTAEKIEVLGEAYQGRLLEQIDKSQLPDFLGGSCSCSGEGGCLRLNKGPWNELMTSDNLSESALMETGHLSDENLACQDIESDVQVTLEKSQLSGSSLVPLKMLSSPNTPVNKKDHVITPRLPTVSSTVACFQLYLSVWNLQLLKLLVEVIKVVFVFLWRLLSVAQLFSALRRVASRCINTSSTDEHVHTPGIKSNGPIDKDCTAPCLERLRRLEQAVMELNQRSPRIPPEKEDLIEESMRRLRSIESDIKKTQRALNRTSLKQLKLEQKVENWKESMLTNSCRFSYCKTL >Dexi1B01G0022270.1:cds pep primary_assembly:Fonio_CM05836:1B:28011269:28013634:1 gene:Dexi1B01G0022270 transcript:Dexi1B01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGALRKSFMLCSSSGTTGVREAVPEEDGSAAAAGMQIGGPTDVRHVSHVTFDRFVGFLGLPADLEPEVPRPVPSASVSVFGVSPTSMQCSYDKRGNSVPTILLTMQRKLYSLGGLQAEGIFRINADNSQELYVRDQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECGHLASTLPPVEAALLEWAINLMADVVENESFNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLIMKTVNEREEAAMVARAFPSNFGSPNDKDDPQALNCLNVPSICSSQQNVESPTIDGAKLDQFLFKVEEALHHETQGCIGGAKNHDNSRDNEKDYGEISPLDTDLSSQNQFNNTNEEGLFDRFKFRKGVGRLCRHPVFQFSRSMKKADEAEQACV >Dexi4A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:4A:1279557:1284582:1 gene:Dexi4A01G0001940 transcript:Dexi4A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIERPRRRPPPDPVAVLRGHRAAVNDACFHPSLPLLFSGAADGELRAWDTASHRTASSVWAHAGTAGVYSVAASDGLGNKIISQGRDGTCKCWVIEEAGLSRRPILTVKTSTYHFCKMSLVKSPTSTHSTISGSNCLTSDVEPQRITIEENTESHVVNPSEAPQEHGEGITSSSGHNMMAIAGQESSQVELWDITSARKIISLPQSSNAKTKDHPTKQKGLCMAVQAFIPYESAGYVNILSRGAALSIAIDGSCNGGISGGADDKVVLFTLDHLKGAFALRKEIELGRPGIAGTAIRPDNKIAATAGWDHRIRVYNYNKGNALAVLKYHSDSCNAVTFSSDCKLMASCSTDTTVALWELYPPKPESKVDITKTDEVSC >Dexi7B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:7B:18743768:18745587:1 gene:Dexi7B01G0011730 transcript:Dexi7B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLWRNGPADKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRVSKLKPPTLKMKSQKKKTNHIIMENRPFSDQNFRKMGDADPSNGSSSASAMSYSESFTPGAVDASEMSGPAQSHAWESLVPSRKRSCVTRLKPSPVEKLAEDLNSIMHEEPFYYLSGSSEEDLLYHSETPVGSLEIGSGSVLLRHPNSKSSEEESEASSIPADDKSYITSESFSGSASFVVHSGNKETVNLKAATVTPKSSPLHIEDNARRDTFQFGNQHILERIDSSLVSVDLVVYIISSHFHIFWVNAYIDLQACLYMDNYVFLLVKEKEIKEIGGAENFSESIGFANSTMKPLTKIP >Dexi8A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:8A:4595018:4601067:-1 gene:Dexi8A01G0005140 transcript:Dexi8A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAENTSKRKASELAAEEEPSPPVPAPAAQSQAEAGSAAKRRNTARTCIHEVAVPNGYEAAKDEAVHGTLASPEFNGEMAKQYPFKLDPFQSVSIACLERNESVLVSAHTSAGKTVVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIVFLPPAIKMVFLSATMLNATEFAEWICSLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGHFREDNFGKLQDSFTKQNNQLDGRKGGGPKASGRIAKGGSASGNSDIYKIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEDEKECIEQVFSNAIGCLVPEDRSLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKVKSHVTFAFQALPEIVQKITRLEDEASLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASGTLPPSLSASRSNNYIVDTLLHCSSSSNENGSRSKPCPPRPGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPSEARQNILFAVQELGKRYPQGLPKLHPINDMGIQEPELVDLVHKLEDLEQKQCSHRLHKSGQSEQELSWYQRKADLNSEIQQLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFVPCDKSSEQIRLRNELSGPMMQLQEAARKIAEVQRECKLEINVEEYVESTCRPYLMDVIYCWSRGATFAEVMEMTDIFEGSVIRLARRLDEFLNQLRAAAEAVGEVNLKEKFEKATESLRRGIMFSNSLYL >Dexi9B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:9B:12361667:12364251:1 gene:Dexi9B01G0017600 transcript:Dexi9B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLVLSPPAARLAPLLRRLNPTPSLLRHLALPQRARASTSPSRAMSPVPNLRLPSSWDSADDPLDFSAVAGVFFPLRRRTTKRKRAASPEFVEVRAAADKRAGGAAEVGEKAPAAKKGNLNSHLDKKTVKIMTYNVWFREDLELTRRMNAIGALIQQHSPDLICFQMSKLPVKSFDRKLFSDTYMGRELCTADVIVGGLINLVVATSHLESPCPGPPTWDQMFSKERVSQADEALRTLGAFRNVVFCGDMNWDDKGDGPFPLPDGWIDPWTELKPGEDGLTYDTKANVMLSANRKLQKRLDRFVCKLSDFKVESIEMIGKEAIPGITYIKEKKVRQETRQLVLPVFPSDHFGLVLTISNQV >Dexi2A01G0025110.1:cds pep primary_assembly:Fonio_CM05836:2A:36882503:36883169:-1 gene:Dexi2A01G0025110 transcript:Dexi2A01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRRYSVSLPATSPIFSVSINSPTHTKHFAHPPSLNGQRARLEAARATSDTGSVHRLQLATGSKAHSCLPI >Dexi7B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:7B:20120969:20126037:-1 gene:Dexi7B01G0013660 transcript:Dexi7B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLNLSLNQTQRVRLEAALHELQSLVPAAASAAAVTVADTIPVNQEDNILKYISLSQENTIKEDTISLFVCADGLVWCRGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFVENDVICVSTILALSVEVDNYADTLRVINHQAEVRGFQHDGSLHLQARSEKYGKLERGQLLTVPPYLVKRKKQHFHHLAQYDVDLILGCNGFIWVGEHVLVGENVKTTEDQQKYEADNFTPLETRKHICRLANAIRVLSALGFTLTVELIIETAEASVSSNVEVNDMLGAEFYVQTAEREAKRRAALLRKKNGGR >Dexi9B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:9B:1401425:1403968:-1 gene:Dexi9B01G0002430 transcript:Dexi9B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAREGRRGGPLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLRRTRPDIDGLTGKESNHLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMAQNVMSGFKPDAIPVYASLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEEDGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYVVIEQRYFDCELFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALLITYDEHGGFYDHVPTPVVGVPQPDGIIGPDPYYFKFERLGVRVPTFLISPWIEKGTVIHEPNGPQETSQYEHSSIPATVKKLFNLRPNFLTKRDAWAGTFENYFKIRKTPRTDCPETLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASMSSGLSSEL >Dexi3B01G0032820.1:cds pep primary_assembly:Fonio_CM05836:3B:35264322:35264832:1 gene:Dexi3B01G0032820 transcript:Dexi3B01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVTYGLGHRQRATPSATAASRQTQSPQLETDSGGQRTAGRPSAGNEPERASERGEEGGLPGIPGASPPDDAIPAAGRGPARRGHGSSGCAAAAEGRKGGGWRREGSPDPRLLRVTGRETGPQRQSGCACVPKVPAAAGGDATPTILLEDRLLELWELR >DexiUA01G0023240.1:cds pep primary_assembly:Fonio_CM05836:UA:47431592:47434140:-1 gene:DexiUA01G0023240 transcript:DexiUA01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLHAAVSPRLPPPSLRRALPTVTPRAARRSIVTLLITCFSFVIVGGLLFHKFRKNQHSLEDCFWEAWACLCSSSTHLRQKTRIERVIGFFLAIWGILFYSRLLGAMTEQFRTQMHKVREGAQLQVIEDDHIIICGINSHLMSILNQLNKSHESAIRLGLATARKQRVLLLSDLPRKQVDKVADSMARDLNHIDVITK >Dexi9B01G0027830.1:cds pep primary_assembly:Fonio_CM05836:9B:30327022:30329367:-1 gene:Dexi9B01G0027830 transcript:Dexi9B01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDAALAAGGVEYGGRATPFLILSCVVACCGGFLFGYDLGISGGVTSMNSFLKRFFPMVYHQKQDSKVSNYCQFNSELLTLFTSSLYIAGLVATLVASSITRRYGRRASMLIGGTFFIAGSVFGGAAVNIPMLLLNRILLGIGLGFTNQAIPLYLSEMAPPQYRGAINNGFELCLSLGILCANILNYFVVKIKAGWGWRISLSMAVLPAVFLTMGAIFLPETPSFIIHRYGDTNKARVLLQKLRGTDSVQKELDDLVSASNHSRTIRYPFGNIFKRKYRPQFVIAVLIPFFGQVTGINVINFYAPVMFRTLGLKENASLLSSVVTRLCATFANIVAMILVDKSGRRKLLLVGNIQMILSQFTVGAILATKFKDHGEMDKDYAYLVLIIMCVFVAGYGWSWGPVTFLVPAEVCPLEIRSAGQSIVVAVTFLMTFVISQTFLATLCHIKSATFFVFGAWIGLMTIFVYLFLPETKKVPMEQIKHLWGKHWFWKKIVREEQEEKKQAGTILQ >Dexi1A01G0031570.1:cds pep primary_assembly:Fonio_CM05836:1A:36479852:36481672:1 gene:Dexi1A01G0031570 transcript:Dexi1A01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNRGGGGGGGGGGSGGQPQKPAEPTEEGEEQTVAADNDSASGEATAEDNKDKGKGQDNKEKGKGQDGKDKGKKTPPPPPLVTAVLKVDMHCDGCAKRIRASGVAMEVDKGTMTVVGRFDAKKLRDRVAKKTRKKVDLVANNNAGNKQGGGGGGNNNNKGGGGGGNQHKQGAGEADGKPEKEHDHGGQGDKGTNKDDHQEDKGSKDNKGGGGGDGGAKGKGGGKDNKKPAVVCVEQVKMDMAKNQVTVTGTMDAKALPEKLRKKLRRPVEVVTAKDGKDKDGKQQEGGGGGKDKDGKEKDAATKP >Dexi3A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:3A:565418:566380:1 gene:Dexi3A01G0000710 transcript:Dexi3A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADDQDSSDSGSPRAPVPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVAQPAKSESEKAR >Dexi3A01G0030940.1:cds pep primary_assembly:Fonio_CM05836:3A:35244825:35247668:1 gene:Dexi3A01G0030940 transcript:Dexi3A01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPVATAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYARYRAGVVTRDMLALPKRRFIAIGLLEALGVASGMSAAAMLPGPAIPILSQVCPLIRLSFLLWQLIFSALLLGRTYSVRRIIGCLLVTSGVILAVASGANEGQFLSKVQLIWPALMITSSAFQAGASILKVYLLKISHSHGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPGYLNGGAECFLNVGESPIDCGGAPFLPLLFIVVNMAFNISLLNLVKRSSALVASLTSTSAVPISIYILSLPLPYIPHGAELSTSFIIGAVVLLMGLILYNLPQSWSRRLKAD >Dexi2B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:2B:368167:369217:-1 gene:Dexi2B01G0000790 transcript:Dexi2B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKLVFKRPHCDDFLRFCIRNFQLAIWSSRFKANTDAVVDILMKDVKQHLLFSWDASKCTATGHNTLENKNKPLVLKELKKVWNKEEPGLPWQQGEFSPSNTLLVDDSPHKALRNPPHTAIFPCSYSNKNDRDDSLGPGGDLRLYLEELAGADNVQRFVEEHPFGQLPITERNPNWTFYAQIRSEMGRRKA >Dexi2A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:2A:11895952:11903246:-1 gene:Dexi2A01G0010680 transcript:Dexi2A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPRSRAGSMTLQQMDEHLERCRSGQEKQKIRPPAAASSACRPLPVRCPPPPVPPSAAASSAAGHLQYAHLQGIGHNSGTKVSKEAKQELDNMPKKGTRSKKEVDNMPDQQENKLPDYLERQRTRVVCNADAPIHTQGFQYSGAFAAMGVDNSVSVDKFCKNFKIDIKRLTEDDMEFDMIGVDASIANAFRRILIAEVPTMAIEKIFMADNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNTIVYKLHVSCEKGSQRITVKSGELEWLPEGSQLSMTSPGQSGGKQKTFTSFSQSQKDILDKPLGVKFKDITIARLGPGQAIELEAHAVKGIGKVHAKWSPVATAWYRMLPEVVFLKQIEGDEAEELVKKCPVKVFDIEDLGNETLILPAAALIVYGEHRYV >Dexi9A01G0041160.1:cds pep primary_assembly:Fonio_CM05836:9A:44815103:44815525:1 gene:Dexi9A01G0041160 transcript:Dexi9A01G0041160.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRLARRQEEKEEGSIMSWSKSENKRFERALATYDRDTPGRWERVAAAVGGGKTADDVRRHYDLLKDDLGDIENGGYDDYAAGTSGDARNGDRNNNRGGRANRSQT >Dexi9A01G0034730.1:cds pep primary_assembly:Fonio_CM05836:9A:39476315:39482034:-1 gene:Dexi9A01G0034730 transcript:Dexi9A01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLGKSAAVQEPAAEEETQVAAAAVPPAAGHDEVAASPARAPRTPKQTKFSFYLASPLPPSSYKGSPANSSVASTPARKRSFPFPPPSPAKHIRALLARRHGSVKPNEASIPEGAEPDLGLDKSFGYSKHFAAKYDLGREVGRGHFGYTCAAKAKKGELKGEDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEENVYVVMELCKGGELLDRILARGGKYSEENAKVVIHQILSVASFCHLQGVVHRDLKPENFLFLSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPNFDETPWPNLSAEAKDFVKRLLNKDYRKRMTAAQALCHPWIRGTQEVTINLDMIIYRLVRAYISSSSLRKSALRALAKTLTIDQLFYLREQFTLLGPNKSGYVSLQNMKTALMKNSSGAMNDSRVVDFVNSICNIQYGKFDFEEFSASAISVYQMEGLETWEQHARQAYELFDKEGNRPIVIEELVSV >Dexi9B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:9B:1077711:1081414:-1 gene:Dexi9B01G0001870 transcript:Dexi9B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPSSKGHGFFGLFDWGKKSKKRLFVGTANSSPDPKNAGDGKDVDDSTPSTRSNSILEDAPSLKESSEHSCSSSVVDEEAQTRRCPTVVARLMGLDSMPAASSSESNPMPSIAHQPIQANNYDDLTGRSYGGSPHKMPGSPIDRFKMEALPPRLAKRTLPVAQYKLLSPMKNPNHISSRNAADIMEAASRIIRPGVENINSYIVHDVGHTNAVRAYNPGEIIGVQQRSQKLNEELRRRDGPASFRPPSGKPLDFIEKLNAVSSSSNTSNSKLDVIDGDYLGLLLEQKLRELTSGVRSPYSDPAKGVKVYGTSTALEDTASACETSSIASTDFDRESLQSFNDGKATIHQADLAAKSGQSFQPVKYDHDVTDRADLEQLRLSPHSTWEASISTETCSSSESWMSTNGKLLAGEEGKGYRLRRRLLFDGVHELLNVKCAYYFNAGYGSWFMGMAVLKNLSAEEVHREMTSLKVAEEWMVDELVYREMSSPLGSWVDFKMDSYQAGGDIAADLLGSLIDEVVADLLTGSFL >Dexi9B01G0030050.1:cds pep primary_assembly:Fonio_CM05836:9B:32528198:32530308:1 gene:Dexi9B01G0030050 transcript:Dexi9B01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVCNCVLPESLKIDAVRHDPDGQAEDSEKRRLTAATSSIWKRSSAGEAELLLLRLQIHAGSELEQGAGEETALLGLLLLRLQLHAGTELEQAAGEEAALLGLLLLRCSSAREASSSTEQGRRQRCSASVVEEIESKPRGPLLLAMGGGGEDGGSRFDAVELDSAGRFDAVEHDSTGRFNVVELDSGAEPLSVRWSSTRHEEPVRRRRLQCRFAVVVRRVASPSLSMVHGAGCSLRRAPASRRTC >Dexi5B01G0032710.1:cds pep primary_assembly:Fonio_CM05836:5B:33290582:33291199:-1 gene:Dexi5B01G0032710 transcript:Dexi5B01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRIGVAMDFSPSSKKALRWTADNLVRKGDTLVLLHVRHHGREEAKNVLWSHTGSPLIPLEELMEPPVRQRYDMPEDPEVYDMLNALARQKEMYWGDPREKVCDAVGELNLESLVMGSRGLGQIQRYSILQQ >Dexi7A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:7A:22835059:22837296:1 gene:Dexi7A01G0012670 transcript:Dexi7A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADVVVPATTTHAAATATAETALPSSASTTSSAAIVCVAVSAACVSKLVAYYGTLAVSLVFFARAAWPDRVDAFLLRPLAAHARSAALLARADLEADLRLITDAHPAAEVVFAAAEASARVAIAAVAGLAGAARRKVVAKWSEHKDAAVAAWSSLLGLAGDGEGEEEAVGFELGDVVSLVEIGFCLLCTVRLVSRLIRVGGMPLSTACFAATCGLLVLLDDWIDPTEDDDDDANETDGAAAVDDVPDDTPSQLVDVELEVRKSWQFVRVLILVAYCADALYFHVVLGPQPVALALLALCNLGVLNVGRRVELSPDDVDGEGEAAAVDKWRRGAISVFVASSVKVFAFVVVYLMRDFYLAPPPLSLLLLGVMGDLLLDEEDYLVDLVVSGDDEDEEEEDGAAAGSSQDIAGEVQEEGADGEAKAAEHSNSNASSSEDEEEANVRSSEDEGEANASSLDAEEESRVLEEKCEISEDHFIPKDVSEDHEHPKEQISRDDEDEHDNGAAGSSEVIAGRVKEESDEAKPAEHSNGSSPEDEEEAIASSPEGEEESRALEEHCDISEDHFIQKDIPEDHEHTEEQVISRDEEDEVDNGAAGSSEEITGRVEEGSDEAKAAEHSNGSSSDDEEEANASSSEDEEESRALDGHCDVSEDHFIQKEISDDHEHPKEQQEGHCDISEDHFVHKDISEDHEHPKEQQQEEPDYSSSGSTDDSWDLVEVDPEMQGKDICEANPKPSTLFPWKQAA >Dexi2B01G0029370.1:cds pep primary_assembly:Fonio_CM05836:2B:37791767:37792313:-1 gene:Dexi2B01G0029370 transcript:Dexi2B01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPAMAPAAGPGAVAAAAAEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAIFEHFLRPPAHAAGPGHAPPRGIVRRLRLVLGRGGGDAAPTLARISRRRGSSKATRTSSLLL >Dexi1A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:1A:10513864:10514403:1 gene:Dexi1A01G0011490 transcript:Dexi1A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDCCFSNWGLDAVVRLGCRRRLSPPRREDTNNNPFAAFLPPPQKPAPEPAKEPEADASWRFPDFFAGGGQDAGDELLRALLASSHPPMSSQPTPPPLPLPPSPQQQQPVVAAAVDVPPPQARAAPASAPARAQPSGRQMPGGVPRSKRRYACVPCLFASPHRTEMAAVLCFFGFIKN >DexiUA01G0014570.1:cds pep primary_assembly:Fonio_CM05836:UA:30622115:30622791:-1 gene:DexiUA01G0014570 transcript:DexiUA01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGAHYGAHITRVPWTHGGAHLWDPHHACPVGPTAGPLDCSGRSVVDPMTETMWVMWRDEVRTSHESRRLHRCTMSLPRVVELGDYSIRFLPVHFMVFRLKNP >Dexi9B01G0020980.1:cds pep primary_assembly:Fonio_CM05836:9B:15619052:15623290:-1 gene:Dexi9B01G0020980 transcript:Dexi9B01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNSKTQKCELEDPLILIHDKKVSNMHTVVKILEMALKKQKPLLIVAEDVESEALGTLILNKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENVEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEDRAEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQGNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEAPAPAMGGMGGMEY >Dexi5B01G0023530.1:cds pep primary_assembly:Fonio_CM05836:5B:25660854:25661108:1 gene:Dexi5B01G0023530 transcript:Dexi5B01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEQVSESIWSMDVQSLVRAWPASTMLLCVFLVLQMLHDKTNPEAPRAMSMTMLVALFLGCVVMDLVLSHWVFLLVTFVYLFS >Dexi7A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:7A:19384731:19390749:1 gene:Dexi7A01G0008230 transcript:Dexi7A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLELERVQRVLSLMSSRGLCDRGSSGGGAAADRFLAHADALAEAFGCDKGLCAVEAVQPSDSLTMEMKFQLVSELLGKATPDTLDEVQHATRLEDSRTLSSAADQVISSGALLQPNKNFKMQAEKSTIQAAPMVGFDAMMRAKSTLEDFLDASNEDSLGLTPDNSSSSKVRLGHLELERKKEALDEKSLSQMIEPLDSLLQSQGLMTDRLDWNYAMYFWLCKIFPDTSSSSLTLFSPVSRLRTELKSGIQYWSLERKLCQALIRNEKISIDDVMKAIHLKSFDYRVLNLLMYQLTGQQVNELHMDFLSISEFLVEISDDLYDYEAKCIGEAEEQYESLSKKLDPSLSGSYWRRCEEATKEGGKLSGHAYGTWNIPPVICDEESFRRERLS >Dexi2B01G0028610.1:cds pep primary_assembly:Fonio_CM05836:2B:37187720:37188048:-1 gene:Dexi2B01G0028610 transcript:Dexi2B01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPATGGDGAGLAEPLLANGDGGAAGQAFCSGGKDKWQWVPADEGEEEQGRPLLYRTFKVRGILIHPYSGLN >Dexi2A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:2A:30748974:30749730:1 gene:Dexi2A01G0018560 transcript:Dexi2A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLEAAHENDVELEGACEGSLACSTCHVIVTDVNYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDGHVAKSH >Dexi1B01G0022820.1:cds pep primary_assembly:Fonio_CM05836:1B:28495131:28498920:-1 gene:Dexi1B01G0022820 transcript:Dexi1B01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSSPPAAILGPQPTLVPYEPTRDCSQGLCSMYCPQWCYFVFPPPPPAFDLGGPDTDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFTSLWNMVFGSHRRHGHGHGRGGGGGGGGSRSQDPWSAAPSDGLDETLINKITVCKYKRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNIAFVTVGVVSPEPERRRGPPEDDRRDRPPEVVLTIDDYSEQARDDEPQNQNAAGTRSEEAAKDCPGRSEEGSGIGEIKEDGGAPAVRASSSLPHVHRRRAAGQPGGRADDGQGEWPPGRLVGVVKTPWGAKQQGRRRRAQRARIAGSEEIADGGKVMLQQQEWWQGKGFSSSDDVGAVDVDPQPFIGGWCVCAQRAAHGVWGRKQRRDRAFVLDLTRGVHTVVADWWYFIFCGVEVELSFGSDLRTATHLAQKNSHKRIGPRPQWDPAVYMIGEYHVHQTLLLSSIAGDAVGRSARGRGGLILQHALGGEHLLLLLLGGLGVDDAEHVVDCGGDVVHRRQAASFLHLQNTARFG >Dexi1B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:1B:26795372:26799881:1 gene:Dexi1B01G0020780 transcript:Dexi1B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRTKPRETVIIELDDDEEEEEDGGGGGGGGGQAGRRALGEGAAAIAGGGEPLRPVKPEPVEDVRLNAAGPRAPQGFAVVPIPPRKENPRALPPVAAVRPASRPRPEVIDISDEDDEDSAFRGVLPLRMIDGSGGRGVRPIKDEPFLESSSDWARSAEAERSLVPVLPPGSISAERKRKREGTSTSRGKPDARGDSQSLNRNSSASGAGPGTMEMADKARSSRKGNNVKNKEASRGSASDRSLLAKKAFAPSEESRGPQVKARRGGRARSGERSSTAAPASWVGTTVGSRVRSRSRQQSRVQHATYSARVSSEDTEEGDDEEVEQDQEQKTGEDVKVMEVDDYDDSGNEVAQERDQEETVEEIGQDGHGDSEDEYREGRDSAAVADNDEEIGEKELLVEEDEYGNQEDSHSIYDDEEEEEEEDGESEDNGQELGETGEVQPFTTSNATAGGSVLSGCDGKRVFRWKIFEGIYLPENPRQTDGKGVHGRTRSKRKCKDKKLLRRGTFSKPYNIDVSDSTSDSEEDIPPAPPPGPMSWSSSDDNTRIFGKRKRRRRNKRRGKRLSTSSDESEEYRAHARDAGGPYRRLKKGLSSPQICKDGSNLGRAKYNGPNGGNPMDMGNAQDDISFKRKTRMIRVKKRGRIAKAAYDELLNSLFAGWENHVDVPGHAETGNSLPLVFSFGDEDEPCEKTENDKYHEDLWRECDIAFESINIGSHGCEEDGQEVPQVEQTSCKNGKHEFIIDEQVGVRCKHCHIVDLEIRHVLPTMGKFSAERESAVEPELDSMLKEMLDLFEENDVLVSNGHEVPCSFGGHKAGSVWDLIPGVKENMFPHQQDAFEFIWTKLAGGKTRLAITFVQAYLEVFPQCSPVIIAPRGMLATWEKEFKKWKKEVIASMEKTIAMGLEAEYKISLASIHPSLVASAKLSEKEESIVDRPKLESLRSNPSEGVKTKFVLEIVSLCEVLNERVLVFSQYLEPLSLIMEQLKARFSWAEGKEILLMSGNVLVKNRQTMMEAFNNMKSKAKVMLASTKACCEGITLTGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLIAEGTAEKSKYDRQAEKEEMSKLLFSKEPDHGGCNLPSEGTINDRILEEMTAREDLKNLFVKIV >Dexi7A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:7A:28812538:28812845:-1 gene:Dexi7A01G0019750 transcript:Dexi7A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEVKAEPLNKQDDSTRSHVSSSLQLCDRQSVAATDSAEQRKKTRMRSSVSTLRSKTRPAAGTLVVSPASVLRQWANELSVKD >Dexi4B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:4B:6628884:6632741:-1 gene:Dexi4B01G0009230 transcript:Dexi4B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENKDEKTLAVTEECANPGENCEDEGDLSRKTEMLNVEEGTNSSTVDLSNELGMHTQEGGNSENDLNGEMNESTSSDAMETSDSNQTTKEILAEDKSEEPVFDGTEVPEMEEVRRSSNQSVELDPEAQGSVLNERAEAIKNFVKEKSAIAATFMRRLSGKKDENEFKVEADNSDGSAENLKNAIEADNSDAEPKPKEVQQKTDERTAWNPLNLIKIGRDFDTFITGEARHDDVSALLEQPTVKGRIIIYTKLACEDCKMVRLFLHQKRLKYVEINIDIFPSRKLELEKNTGSFTVPKVYFNDLLIGGLTELKKMEDAGILDESIDVLFKEEPPSSAPLPPLPGEDDKSGSGKMDDLATIVRKMRELVIPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVELGRKLASKYFFRHVLDENVFEDGNHLYRFLDHDPVVMTQCYNIPRGIIDVAPKPIVEVASRLRLLSYAIFEAYVSVDGRHVDYRSIQGCEEFKRYIRTIEELQRVEIDELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDRRSKVALPYHEPLVHFALV >Dexi2B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:2B:10475657:10476679:-1 gene:Dexi2B01G0009740 transcript:Dexi2B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGLGIRNWGFYETAKGNLGLQLMSSVPADRDTKSLLPNGAFFQHHGHHNAPHQLHSHHSCESGDGGASSVMPTEPHSIHMDFSRNEAWLHPSHHQHPREQKVLHTRPVGPAGNVGHPGHGGHPGHGAPVVRHQPTVYGMMPDAPHTLQMMQPQLQPQLQEPPPCKEEPVPPPLVEDHSMVRTEPPVKKRQQRRQPKSPKLKKPKKTAVPRGDGAVNGHAPRRRGPRKTVGMVINGIELDLSNIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMNAKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKHGTNKFVTIR >Dexi3A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:3A:8211147:8216036:1 gene:Dexi3A01G0011470 transcript:Dexi3A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGADAGGDGSSSAAAASAGRRIPPASSMPWVRNLRRFVGSGAALGSEALMELETKRILLEIFKERQRKSAEAGSIPSFYKKKPEEGSISSRVQRLAKYRFLKKQAELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPTQFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDAPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRISLSDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >Dexi3B01G0035570.1:cds pep primary_assembly:Fonio_CM05836:3B:38619045:38619842:-1 gene:Dexi3B01G0035570 transcript:Dexi3B01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASMQRLTSLHFLNLSTCDALTQLPQSLGELPALQKFWIKGCLGLTSLPCSIKRLTALEELKISYCPELVRPRSAYRMFFVGRRDSPEHVLYGKHGHRSRSVFGQQIEGTDMAYMILRHARSVTKSLRRRTACS >Dexi9A01G0047080.1:cds pep primary_assembly:Fonio_CM05836:9A:50296451:50298129:-1 gene:Dexi9A01G0047080 transcript:Dexi9A01G0047080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYNLLWSIAKRNVTTVLLGITISDRCVNIISVTGESMHPTFTAADRVLQGIFVFSFVLTRVLEPGDFVLAERRCLEKYEFSHGDVILFKCPSNHKELFVKRLIALPGEWVQLPGSPKVTKIPEGHCWVEGDNAARSLDSRSFGPIPLGLVQGRVTHIIWPPSKIGHVERKMPEGRISPI >DexiUA01G0015340.1:cds pep primary_assembly:Fonio_CM05836:UA:32192854:32195050:1 gene:DexiUA01G0015340 transcript:DexiUA01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQKPAKRLGGMAEALAIAADLGFPAPPPQEDQSNTDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGVSLMYLL >Dexi7A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:7A:24034253:24038108:-1 gene:Dexi7A01G0014060 transcript:Dexi7A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVARQGVFKQFGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVEIGSSFLLSVSQMEVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVVEVSRKQNRVRESPGNGDMISKLEAAEYKLEELKSSMVGLGKEAIAAMSAVEAQQQRLTLQRLIALVEAERAYHQRVLEILDQLEEEMVSERQKIEAPPPPAAENYMPPPPPSYDEVNGAFASTSVNESVQTVDFFLGEALDSFKAESDFELTLSAGDIVIVRKISSNGWAEGECKGKAGWFPHAYIERRERVLASKVPHIF >Dexi7B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:7B:20067905:20070542:-1 gene:Dexi7B01G0013590 transcript:Dexi7B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHLYNVTIGLYMLDCRGVALASRPCVQRRGKERRRVRWRLGAGPAMLEVVISIPAIFLVILLALGCYMLGRNRGRAEAQQQFAPPAPPPGLSPK >Dexi2B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:2B:30194668:30196572:-1 gene:Dexi2B01G0020070 transcript:Dexi2B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAAAEGFNPEAPSPSRAPASRPDVQAAIAKAVELRQLHAAILHRGAPANARATRSPAVIRLPPLASPARSRTADEEYPVFTPAYDDEEEDGVAAAALNHICQDNRSRSENWAGVALDHEDAALSDYDGLNAFSCSNSEVLFPSSNDPLCPRNRGAAYKIHPTFMHSAPSADHRFLLSSVGRAGYTSELKLPPATCNNAIRPATISSSRVPPPSSRTKNRAPHQILSWLFPKSRKKAKPPETEMTMTSSPTAIERGNVSQLLTEWGALSLESLKKELAEANVHRDAALREAAEVRSSLGELATKLVNVEAYCTELKKALRHAATTSPSVSSSRRSTRSMEATARREHPMMPVSHDVMVEGFLQIASEARLSVKQLCKALIQQATEEDAVDNGLSDKLNLLLRPYQLALTGSTSSKHGCSKAVLYHLEAIMNRDMYQDFENPAFQRNGSPRCLDPAEHRRQSFAAFVALRNLSWNEVLRKGTKYYSDDFSRFCDRKMSGIVATLGWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFGPPLTIMRIEDGRAFDQMYMEDILQDRQQVLSPCQVKIMVMPGFYVQDRVLKCRVITTRSTS >Dexi1A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:1A:10996239:11001776:1 gene:Dexi1A01G0011840 transcript:Dexi1A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATRARSSRRRRACGPVLPDELVLWEILVHLPAKALLRCRAVCRSWRRLTSAPDFLLAHHRLQPSLSLPLVFLQDKICDSRGRTRVAATLEALDLWPTPATATDDRRRLPTLRFRDYKHDRALKVYASCDGLLLFSLWYRRKLSYYICNPATRQWIRLPMCLDGHYVAGLYRHSPSGEYRVLYRMGASYPAVDAPYYVLTVGSDAKPRCVGLLSASASIKQDVAVWLWHVNQCPPVMLHGCLHWLFYSSSSHENVLLVFDTVAESFRTMCPPTNENSSRGSRRHLLEMDDGTLGVSYIDERKMTVKLWVLKDYEAEVWSLKYEIELRTVEMRSVDQKCSFMIG >Dexi2A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:2A:143513:145524:-1 gene:Dexi2A01G0000230 transcript:Dexi2A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGSSLSLSLSLSLSLSQLLIDRADELVGSSARDNEHTRATPLEIDPTRSTSKRKKTDADRSLLFFSISRALSLLSLSKSIQRLVGLYPLFHLATQAGLHEQSGLHSQRSRAGAGDAEAKVAAAAADTGSESGRGCGVHALQKSRKKRSRLVRARQLAAGGASAGGRSGQPAQVDLEALDACDKLTVLTVITV >Dexi6B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:6B:1303351:1303707:-1 gene:Dexi6B01G0001450 transcript:Dexi6B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTILLAAAAMAALFAVGSCAALTFKAGPGCSDKKLVLIPSIAISEVEVKEKGADDFTELKESPAGTWTLDSKTPLKTPLSVRFAAKSGGYRVVDDAIPAGFKSGASYKTTLQL >Dexi6B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:6B:21075756:21077816:-1 gene:Dexi6B01G0013390 transcript:Dexi6B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSEPRAETVLFRSTLPDIAIPDHLPLHDYVFERLSHRRDRPCLIDGATGETLTFADVNRLSRHVAAGMRASPLNVRPGGTVMLLLPNSVEFALAFLACSRLGAAATTANPLHTPPEIAKQAIASGATVIITEPAFVGKVRDLAGVSTAVVVTGDGAEGCVSFSDLLAAGDDSSELPEVAIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQIVDGDNPNLHLREEDVVLCVLPMFHIYSLHSILLCGMRAGAALVIMKRFDTARMFELVERHGITIAPLVPPIVVEMAKSDAIDRHDLSSVRMVISGAAPMGKELQDILRAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPSPVKSGACGTVVRNAELKIIDPETGVSLPRNQPGEICIRGKQIMKGYLNNPDATAKTIDVEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLITHPGIADAAVVPMKDDSCGEIPVAFVVTSNGSEITEDEIKQYVAKQVVFYKRLHKIFFVETIPKAPSGKILRKDLRAKLAAC >Dexi3B01G0035860.1:cds pep primary_assembly:Fonio_CM05836:3B:38844798:38846691:1 gene:Dexi3B01G0035860 transcript:Dexi3B01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAVSMDLAPFKLDIDELVADYAQGKCTSLAEFKRVWMAKKFSYIYEGRPKTNSGFFMQSLFLHCIGHLTSQSSLPRRLAGLYCLYCLYECQPYKPQIKIYLSLALIKRMLDKGMFLFGYMNLLGDDGEKQVEELAALQNKRVKFACDKLFENTQAESYMHLDLRAEFELDSIKKLSKEYAEAKELALAEASQTVDIEDAKHILQSDKLLGDKIDEVVKEWDAQKEEFYERTGVQHHEPDDFDENEITQLLD >Dexi4B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:4B:6439059:6439577:-1 gene:Dexi4B01G0009000 transcript:Dexi4B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPDRRRRSRASTASMQWLTSVTSRPQLYSHAVFILFALPCPASTRSSWWNGSNELSSSWISISRSRRAALPSSSTRFSIGRMWSRRHGGGSSLAPASVTWGGPATALALRLRSSASTAASRDDMALPLAEGLPGCALSAPG >Dexi9A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:9A:10072235:10076936:-1 gene:Dexi9A01G0015140 transcript:Dexi9A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWDPKKIRRVPDHAYSASIARAQGTEGNAHNARGAGFGLGEHEELYRICWTGQEGQDASCTRVPPPAHTQRNESSSMGLDATTAAEVAAVVTLAVLIVAIVAASAGACGGVGWWRAGAGAAAVHDVELALGSDTLVTYDQATEALKSIIRRKASSSPAKSATEEKKEPEEAEAPPCCALCLSEYAGGGGGELVRVVPACGHFFHAECGVDWWLKKRGTCPLCRGDVRPPPPPLPTPARPECPTLPARAGKIAPGVLNGCRKAKQRGFGNMTRSSSLTFDRSGLFPPPVVVGDCDSLRLITLASIRVTGELRFRMPNWPSTRGNRGFVPIWAQRLFGLQTAAPCVLDTYGRCRWSVPLPLVSAYPGDTDYTEPNRKVLSLLLAAPRGKPPAGDRGSTDSRLADAVSPTEVATGLRAKAEPTHWHSLGFALTKSHKTARRRTAPRGQRLSMTPDTAFALEIAVVAVLAALIVAIVVVASSGACDDREAAAAVHDVESALGADTLLTYDQAKAAFLMKSTTKASSSAPPPSCCAICLSEYGSGGGDERVRVVPACGHFFHAECGIDWWLRTRGTCPYCRAELRPLPRPPMPGCSPMPPRAGGAPQVGRQTVVGEF >Dexi8B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:8B:20421911:20423340:-1 gene:Dexi8B01G0011380 transcript:Dexi8B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKSAQRLLLIVTAGIVAIICRLSPATAAAASPHHSQQSRQNNVGTSCIPHERDALLTLKQGITKDPYGLLDSWRGGDKDCCHWRGVRCSNRTGHVLKLELRNVHAARDDDYDQVKSTALVGQISHALLFLDRLFHLDLSMNYLNGSSGRIPEFLSSMVSLRYLNLSGIPYSGRVPPHLGNLSKLQNLDLSGVFYERSMYSTDISWNIDAMSTSLKKLCNLTVLDLSWCFLNGRVGQLASLSTLDLSGNLFSGHVPSAID >Dexi2B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:2B:7190156:7190575:-1 gene:Dexi2B01G0007050 transcript:Dexi2B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPTKKASVIITLVTIMLLLLASCSQGDGVPVTVWCNANSYYIDDPYSVSVVYMLQMLLNYTPWVSGHDIYKSFTHNGATAYGHATCSPAVDTSVCEACLSFVFHQATTICDRKVGARVVYVDNCTVRYENYAFTD >Dexi9A01G0023280.1:cds pep primary_assembly:Fonio_CM05836:9A:18507448:18508521:1 gene:Dexi9A01G0023280 transcript:Dexi9A01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSPAAGDGAPSRSASAIVAGAATGYHVLKIAGYSRTKEALPNGQRIDSSHFLVGGRTWFVKYFPNGDAAEDADFISLFLALHDKVAEAVKAQFTVSLLDQDGKPVPFYSRATEFVNFSEKPGGWGYPRFMETESLEKSKYICGDCFTVRFDVTVLKDVQTVETPFLVVPPSDMHKHFGDLLSSKEGADVKFRVGKKTFSAHRLVLSTRSRVFKAELYGKMKESATSNIIHINDMEAEVFDALLTFMYTDSLPEMMEQEESAMAQHLLVAADRYNLERLKLICEGKLCNHIDSDSVATILALAEQHNCPVLKDACFAFLSSSGTLNAVIETDGFEHLTVSCPRVLKELVSKVVPH >Dexi2B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:2B:11326031:11327933:-1 gene:Dexi2B01G0010260 transcript:Dexi2B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWLCCNCHFDDEEDDRDKEQYKAQSNKIDSKQKSSKPPASQPEPEMSPPTIDVPQLSLDDMKEKTDNFGSSALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPE >Dexi2A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:2A:10342157:10342593:-1 gene:Dexi2A01G0009500 transcript:Dexi2A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLKIASLVIATTIFLLATLQAQVPMTGPVEEVMVAVAGGLGTLAGADLCTDCLCCARKNPANCLRYKCCGKSKLNCNGTCTIVQDCGCRCQSTAA >Dexi1B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:1B:2670317:2670556:-1 gene:Dexi1B01G0003380 transcript:Dexi1B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRAMVLYAAGHAALLAAPAGSAAAGSSLNAFASRASCGFLTLRSPPASPATTARLPPPSSLLSLLSSSHD >Dexi9A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:9A:2008174:2014122:1 gene:Dexi9A01G0003810 transcript:Dexi9A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQKAAADGRTVFSFEYFPPKTDDGVDNLLERMDRMVAYGPTFCDITWGAGGSTADATLKIAKSMQNLVCVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALNLVKHIKDKYDGYFGITVAGYPEAHPDEIQADGSATPEAYNRDLDYLKRKVDAGADFIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYNGFKRMTTFCKTKIPAEIIAALDPIKENDEAVKAYGIQLGTDMCKKILASGIKTLHLYTLNMDKSALEILKNLGLIEESKISRTLPWRPPTNIFRVKEDVRPIFWANRPKSYITRTAHWNQYPHGRWGDSGNKYAQLTDDMFTRPSGRSKKLNDEWVAPLKSAEDIKERFTNFYQGKLTSFPWSESYGLQQKIIDDQLVKINQKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYLEFFCTEEKLGQLIKKIKEAFPSLTYMALGKNGKSFTNIPANAVNAVTWGVFPGKEIIQPTVVESASFKFWMKEAFEIWAHLFGKDDSSALKTALDNYYLVSLVDNDYVRGNLFAAFKDI >Dexi3A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:3A:11911167:11912271:-1 gene:Dexi3A01G0016000 transcript:Dexi3A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDGEKRALAAQLALVLAMVRELESRMDQDLPAAARELCGELASSVDRSIRIARSVDGCPRGDGSQHPGGSNAQSKRRQVQPPIFCFIVDTRKGTPCARRQVRVASVQDMAPLDDGLSWRKYGQKDILGAKYPRAYFKCTHRHSQGCLATKHVQRADGDPLLYDVVYHGAHICAQAAHPTAEQLSRQLQPGGLADAGQEQSSPLEPENEGLQAGLEPMTPYSFAPATGAAAADFGGGFPLLSPTGLEWQLRSNHAAGGLEVGMEYEPQLAEFFTNAADPFQWEYQDDHLNLYSTN >Dexi7B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:7B:8300594:8300839:-1 gene:Dexi7B01G0003420 transcript:Dexi7B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVLFPHQRRFPTVTVARRRRRNLPPRRQRRPYVRMAPRHGIALPIHQRGSRALPWPHACPKRSPPPPSPSHAGPTAR >Dexi9A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:9A:10381996:10385493:1 gene:Dexi9A01G0015560 transcript:Dexi9A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVAPSPPAAAFQVPPPPSYPAAASSAAGVGGEGLEDDLYGRLKSLQRALEFVEIQEDCVKDEVRNLRRELVRVKEEVNRCRATPLEIGQFMEMVDADHGIVGPTTGGSYYVRVLSTISRELLKPSASVALDRHSHALVDVLPPEADSSISLLGSSEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLFGPPGTGKTMLAKAVAHHTTASFIRVNGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDFISRPDKISAADITAICQEAGMHAVRKNRYVILQKDFEKGYRTNVQKPEANFDFYK >Dexi5B01G0032170.1:cds pep primary_assembly:Fonio_CM05836:5B:32782880:32784098:1 gene:Dexi5B01G0032170 transcript:Dexi5B01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKRVNFLNLSFVFFSLYIGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDDKRVKLQIWDTAGQERFRTITTDIGNWILNIEQHASDNVNKILVGNKADMDENKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRVVNIKQDQGAEASSSQKSACCGS >Dexi9A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:9A:10754148:10763549:1 gene:Dexi9A01G0015830 transcript:Dexi9A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPLADHAPAALLSAAARPTTPASCRRRPPPPPAARAATAASRQPEPPRLLSRHRRLPPARAAPPAAALPLDAAVEETTVEEEKRKRMGGLSATREPIDTDTTTDSLRGKDIPRPLPPLRLLASPTHSRFLCPAGSHAPAHRMAMQVGGAAAGGGDAADLRGRHRIQAELKKLEQEARFLERGGVKRRMAMAPLHGCPSPSPTPTTAAVPPLLPSSASISAFIASDPALTLLHTRCASMAHLRQLHAALVKSGLAKDPIAASRAVSFCCAGRDVAYAERIVRHHPRPNSFMWNTVIRALSDGARPEAAVALFAEMLASPTPPERRTLPSVFAAYARLGRARAGAALHGMALKLGLAGDAYVRNASIAMYASCALADEAVALFDQCPEFDVVACNSVIVALARAGRVDDARAVFDGMPERTVATWSAMASAYARASRCGEALVLFSSMQERGVEPNTNVLVTVLGCCASLGALEQGAWVHAYIDDHGISMNALVVTALIDMYCKCGAIDKAREVFDSARSQGLAKLSSWNSMMQGLAVHGRWEEAIALFSELRSHGLRPDNVTFIAVLTAYGHSGMPDEAKAAFASMASEHGVEPGIEHYGCLVDALARARRLQEAEDAILAMPMAPDAAIWGALLSGCRLHGDADLGARAAREAVRCDPKDSGAYVLAASVAAVRGQDDDAGRAAAGVRGRMREAGVGKVPGCSMIEVNGVVHEFVS >Dexi2B01G0028920.1:cds pep primary_assembly:Fonio_CM05836:2B:37434494:37438172:1 gene:Dexi2B01G0028920 transcript:Dexi2B01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGKGRGRGKPRAKAAEPEPEPVEEAVESEVEAEDAKMEEVAGGDAESGDSKEEESGSDAESMDAEAKDEAGENGAEEMSAEPEAKAGEAKAEAPEAEKEAKADASDASDDEGEESGSEREADAKGADPKAKEEGSDGEDEEEGAETDGESEGETAEPGGENEDAGEDSDSEGDAAEESPPPSPPSRGRRRKRAATPEPAPEDDEAEETPTPSRRRRRRKSGERGDSPPPLPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYTKANKSKKLPADGEILAVALQRQKNKRKGRKSINPPASPQATTSNRQTRDLPNGLMTISPGSSGAAALSSPVTTKVGVEIPAPIRRCYRSKNAEPLPVGPVKVVPRGMSMTKYSEISRKDIKTRCPVCRGLCDCKKCALGQTKGTMRKESPTGEGKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISDVRVQVAENGQSESLYW >Dexi9A01G0043630.1:cds pep primary_assembly:Fonio_CM05836:9A:47024956:47025319:1 gene:Dexi9A01G0043630 transcript:Dexi9A01G0043630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEGRPHKTTAKIKIIIKKPGKIPTSLLPCLSSASNCKHCLTSSLLLLVRAGASIRRAPSPLPAGAEARAGAGAEAANLEPRGPALPRGGRA >DexiUA01G0022960.1:cds pep primary_assembly:Fonio_CM05836:UA:46831436:46834500:1 gene:DexiUA01G0022960 transcript:DexiUA01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSQPAENVTQFKICRCGEGNTSENGDSPPTSCPSCQVLKSGHLLLSSKDLPFRASEEGSPSTACRRSSSSATRRRRAVVTHAAVSPSSSLPHARILPPPRNPAVARAPLLRALSPPVSTPGPPPSRFRRAETRRRQHPLLRSPCSSLPPPPPPPGLRGAVLVVLL >Dexi9B01G0045030.1:cds pep primary_assembly:Fonio_CM05836:9B:44695989:44697408:1 gene:Dexi9B01G0045030 transcript:Dexi9B01G0045030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPAAWPEFKVGHHHHHHQRSPSVGSTFLAAAPMPPLPPPQPSWIDEFLDFSAAKRGAHRRSVSDSMAFLDPAACPDDAVGAHDFDRLDDDQLLSMFSDDIPPSPAQHASQAAPAPVASSSLSDHNSINDEKMDRGETEEAQSKCHVDGVASAAAPGQPASTAAVDPKRVKRILANRQSAQRSRVRKLHYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTMGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNAESPTPDTAPIRSDNDLIAGEGAVAAAVAYPPS >Dexi4B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:4B:6765493:6770189:-1 gene:Dexi4B01G0009410 transcript:Dexi4B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPNGVAKATAASARAAAGPSKSNPSTPGSIKTSKFKKRKVKANREKAAAAAGSIDEVATVAAGTAGGDASASADLPQPSHVAEASPVAQMAKPDTVAEASPVAQMPKPETVAEASPVPQTPKPATDDKGSGPASVPATDDKGSGPASVPATAEASVPKPKPAASKGNGMGAANSSGDGRVKRRGRTRRGKGKEVEEDGGSREKGKKPLGRKEERRGDNKGAGFIFMCNAKTKQECYQSRLFGLPGGKVEMVKKIRPGAKLFLYDFDLKLLYGVYKAASNGGLNLVREAFDGKFPAQVKFKIDKDCLPLPESSIKQAIKENYSARSKFDPELTARQVHRLLALFKPVNVPQSAPDNRLEGRRHYEERRQPYRLEERRSSLTIDEVHRPRFDEERRPAVIHVPREDPYRVSRYAPLPVESQLGHSLASVRDDHRRYYQPAAAPEPRHIPLALDHYHVPSVPELRHVPAAYHTLAPSSDSYSRSVENLAPERYADRTVADITARDTIIPRDYARLPVEISARAERLDDLYRTGGIAARGAHVEELYPPGEIAARADRVGIATRADYFEDLYHSDRLVSRAVDPLPRSTYHTAAYETNPAYAETSTRPVSARVNGPSVPVSSLYSFSGAPVYR >Dexi3A01G0031270.1:cds pep primary_assembly:Fonio_CM05836:3A:35700775:35703130:1 gene:Dexi3A01G0031270 transcript:Dexi3A01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGPHFGGAGRALLLAAAFLAGAAWFLPVAEPSCPRDNSLVKDIGQMQEGSFGIGGLSHITVAGALAHGMKEVEVWLETIAPGKRTPIHRHSCEEVFVVLKGKGTLLLGSSALKYPGQPQEIPFSHNSTFLVPVNDPHQVWNSDEHEDLHILVVISRPPVKIFLYDDWSMPHTAAKLKFPFVWDEDCLPTPKDEL >Dexi1A01G0029530.1:cds pep primary_assembly:Fonio_CM05836:1A:34995841:35000490:1 gene:Dexi1A01G0029530 transcript:Dexi1A01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSASNKRKRKRGRKPKASSPSPDRASPNPAPAPAAAGRRGRKPRRHEAPADADAARPASPPRRGEPKPVANGGDAVAVAVSEAGPASWDEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVITHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKSMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGVDIASDGTVPFRHGERIGFSYLVSQKYTGEKALVKYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPCAMSDDLKA >Dexi3B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:3B:14857345:14858166:-1 gene:Dexi3B01G0019840 transcript:Dexi3B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVYFYPRRDVPIMVGGHLQDPAPVIRDAIARALVHYYPLAGRLRELQGRKLVVDCTGQGVLFVEADADVSLEHFGKALQPPFPCMDELLFDVPGSSETLNSPLLFFQVTRLACGGFILATRMQHAVMDAQGFVQFLGAVAELARGAPAPKVRPVWTRELLEARHPPRPSFAHREYDNDVRVRDARGHRHGRRAPRQPREPRRGAGEEGQGGGRGRRVHTVRG >Dexi3A01G0027160.1:cds pep primary_assembly:Fonio_CM05836:3A:23929406:23929724:1 gene:Dexi3A01G0027160 transcript:Dexi3A01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDRALERGDVDAVRRRLNLDVDWWFHGPRAHQHLVLLRLLTGAGDGAGLPFKIRSLDAFGPTVLAEGTDATGALYWVHAWTVGATVCYASPPRHSKVATAM >Dexi5A01G0037470.1:cds pep primary_assembly:Fonio_CM05836:5A:38822632:38826940:1 gene:Dexi5A01G0037470 transcript:Dexi5A01G0037470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAMAPPSTPQPPSNSGDPLFPELWRACAGPLVTVPKTGDLVFYFPQGHIEQVEASMNQVAQNQMRVYDLPSKLLCRVLNVELKAETETDEVFAQIMLMPEPEQNEVAAEKASSGSPAAPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAIKTKSMFTVYYKPRTSPSEFIIPYDQYMESLKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENHDPLWPDSSWRYLKVRWDEPSTIPRAAKVDIDSAQTQQHQNSVLQGQEQMTLRNNVTESTDSDATVQKPMMWSPSPSGKTHSGFRQRASMDNWMPLGRRETDFKDTRSAFKDAPGTATENEKSMQQAPQSSKDIQSKSQGASTRSCTKVHKQGVVLGRSVDLSKFTDYDELKAELDKMFEFEGELVSATKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSSAPRKEEPLPAGEVCVGTNE >Dexi2A01G0035330.1:cds pep primary_assembly:Fonio_CM05836:2A:45004421:45006834:1 gene:Dexi2A01G0035330 transcript:Dexi2A01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCLVKECSTVWPAYLKYFLSSLEDPLLVVEDLEVLEIILVADGPELDIFIGLSSEISKIVPGDFNRELDDDHIKDKFVKKLVEALNANAEPSAQCPGIRRVVLEQAITMMEHDSRYTNCFIDRRMEDALSMVEETASEAENYGLFLDYVGLMEAREPLSSLVARAKQQLAAYRSSH >Dexi1A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:1A:10555944:10565145:-1 gene:Dexi1A01G0011530 transcript:Dexi1A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGISKTTVEALVKKVNSAIKDEAEKLKIVERDIVFIMDEFEMMQSFLDITSVGRMNNRVVTTWIRQVRDLSYETEDCIDFVLHLDTKRSFLKGSFLWSFWQYLSRDYRVRTILPLYQAVEDIGKLKNRAEQVNQRNVRYSLVNNSEEQVLRTSAASQRILDFLMKPRDAFDDQNEILNLTELIKTEDKGLQVISVCGTGGDIGTVSIIKEAFNDQKIRKMFEFRAWVKLMHPFNPQDFIRSLLVGFYANSPSDGKGIFSSVVKFAKWLARKETSGEQEPDVGASQKEEADVGAVLATMDATQDSIITNFMDIIKKKPYLIVLEDLSSIVDWNAIRVFMKDMNNGSRIIVSTQQPEIASMCTEPPSHVWLLRKFSSDHSVYAFFRKLPEKRQDINPKPSCLSRRDRNRGLSNPGVGKSFLVEAFYNHFTGIFDSHATHLEEKQCLVIIDDLRSKEDWDLIEDNAVCRVKGLEADATHSLIDKVYQKETSRDGGATSNNWDTNMMNEAGLIIDKCGRLPKLSVALVKYLVSKKNDIREARRLNANFMSALKNIKELDTFRAIFIWMYSNFLASPQLLKKCVFYLSIFTQSSRIRQSRLVRWWIAEGYSEGNDSNSNVEYAEKLIHDLANLGMMEYSQHTPTTMPGEASCQINSFFLEYVISQETEENIFLPLEISVLKGEADQNLRHARQHLVIGSTWRRDEFVFAHLDFSRLRSLTVAREWRSFLISDRMKVLRILDLEDTNVTNEDIEQVVKILPRLKFLGLRRCIKVSDLPDSLGNLRQLQTLDIRHTSVTKLPDSIRKLQELQYIRAGARVAFVRFPDSRRRQYQGATMYGGTVDVLMLVMASWLRELKNLNQLNKLAVSGIKRKNFKELVSAIE >Dexi2B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:2B:2930041:2935672:1 gene:Dexi2B01G0003250 transcript:Dexi2B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASHPASASTSGRDALAAASSSTAAVCLVPFRWWARVREEEAAGGVRYAATAAASPSYYGLRLLHSFLHPDLVLRLERGDGRAGAGGGCGGGAGGRSYALVPADELSRALARQNSGFGFQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNVDSQPVHVWDFSGQTNLILMNEWNRLHHDCCNADQEMYLLTLFHLHVQNLLEVQVYAMSDSLTSKIGGSNKENSGDVNDLNADLSYRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPKLVDYFLGDYARNINQTNPLGLNGELALAFGELLRSLWAIDRKPVAPHHFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVSVPKFGTLSDLIQALSIACSLGDDEILLVTEVYNNCIIRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVVFTHKHFDEHPSDDNMTPQKKEFEAPLLAALPDTVNGLSLQNIYMKLLNPFRVSMEASSLSGSAGSNGDSVDLMDAVHSDSDSSFQKIQLDDDPESSNCRTNECEITKAAIELYDGSTVDSNKEANVEDFEFYLKNERGDVQQQKIEINELDLLETIPSRLQVNVHWQQNASRQYDTTMLNDLPEIHKLELITKGTEDSVALHGCLEAFLKEEPLGPEDMW >Dexi4A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:4A:18639393:18641354:1 gene:Dexi4A01G0015580 transcript:Dexi4A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSAVKGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSSKSAKSGGGCEKEEELEKLRREREALARELTRLRREQQAARAQLRDVARRVRSTERRQEQCTAFLARAVGAPAAPPN >Dexi5A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:5A:1087634:1087897:-1 gene:Dexi5A01G0001510 transcript:Dexi5A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAARYGGVGKSICREQQQQHGGEGQCYFQMPLHYPRYSREDYEAMPEWQLDRLLSEYGLSATGTLHHKRAFAMGTFLWGAANHH >Dexi4A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:4A:14260053:14260539:-1 gene:Dexi4A01G0013750 transcript:Dexi4A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPSSGGWLATVRMVFKSSKNVRHGKKQRGGEAEGAAVGGGEAADIVSVDHFPTAETSPEVTNEGSSDAVVWREKGEHEVAGAARRDRQGIAAAVTAASRVARSGAARGKAGSREERAAMRIQAFYRGYL >Dexi7A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:7A:19048530:19048820:-1 gene:Dexi7A01G0007780 transcript:Dexi7A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFPLAPNVLFSRSNSIRLFSIWYAPKMIAGAFAAADCSGDIISKAKHSVAPSTCTSEIPPGSVQFPPPSSIRSMLATPGPTLV >Dexi7A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:7A:20726998:20730590:1 gene:Dexi7A01G0009810 transcript:Dexi7A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRKRSGNGSRGRRIATTPRVPNSARGKRSTRKKKDDMRAFDLLATVAETLLDDQDNSANAPNASGAAKAKNKKAVKEEHYDEILPLKNMVTEKDSRSGCAVGSDGSKLNLCSKKIPATRQKMQRTIFKKKKLAHRATSFAKEMLNEVKLRIKSFNIPELFIDVPENATIGSLKRTVMDVVNSIIQGGLRVGVLLQGKDIQDDNKTLRQAGICHDKKLNNIDFTLECEGGQDSPSGIVIPEHMDLLGADVVEPLARVKCEEPFPETGGDDNQQRTPPYRSRSLSDLYSDVHPVEMASQDASASSQAIVPVAPSDDGALAIVPHCRPRRTEIGQRRTRTPFHVDEVEALVDAVELIGTGRWRAVKMHAFDHADHRTYVDLKDKWKTLVHTASIAPHQRRGEPVPQELLDRVLAAQAYWTEHQQDKPPRGKAALPAICPA >Dexi8B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:8B:6576066:6576714:1 gene:Dexi8B01G0006000 transcript:Dexi8B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSCRESSHPVRGSSGAWELAAAICLKSARCPPHAAVLLPLAEARACLRLAALLCRPPPPSAVTKVHLELALLILSPLPSGPPRLKLHAHSHLAGAYAIMGAAQLAPALTVDGDMGSALSAVLVEGAAAAYREPADKTLLRRRCTLHPRIHLLCCEDSAAVESSVAQATQVGDAFPVL >Dexi9A01G0049290.1:cds pep primary_assembly:Fonio_CM05836:9A:51932108:51941752:-1 gene:Dexi9A01G0049290 transcript:Dexi9A01G0049290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGSMRRSSLPAPAAAPAFTASPADYRLLEEVGYGANAVVYRAVFIPTNRTVAVKCLDLDRVNIAPIYCVQDDVRKEAQTMSLIDHPNVIRSYCSFVVDHNLWVVMPFMSEGSCLHLMKVAYPEGFDEPVIASILKETLKALEYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGSGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKFSCDQAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSVLTDLPPIWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPLELKEDDDSARITDSYKDTSYSHRGNPTQLNGNNFSERTAAANRGQNGPEASEALPSNNGSAHSESKADEPRNLGSENDPLPSTSPNGKNCTSEVRQTKRTYSGPILQSGLHNSSMTERGHIVESTWQLGYFMILFIVIVTHDRDVGAQSVSDKQKNGTRRTNNLSGPLSLPTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEIPMSSASRKLQEGPSLRKSASVGDWSVNAKTMSTSHQRKELCNSSVSSSILIPHLQNLVKQTALQQDLITNLLSSLQQNERVDAAQSRVHSMGSDTVVEGSTAEGEQSLLVKIFELQSRMISLTDELIASKLKHVQLQEELNALYCQEEIIDMREDENEEA >Dexi3A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:3A:14250616:14253263:1 gene:Dexi3A01G0018720 transcript:Dexi3A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGDAVFVGDSPVLLSAVGNRVASTDLAASSSLTLPFESSSNVTRLAVSPSGDFLLATDDNGRALYANLRRRAVLHRVSFKSAPSAVCFSPDGQLIAVAVGKVVQIWRSPGFRKEFFPFHLLRTFPGFAAGVTSFDWSPDSAFLLVSCKDLTARILPVKKGLGGKPFLFLGHRAAVVGAFFATDKKTGRVKGAYTVSKDGAIFTWNLVEGNEENDTSPPPSPGTPEQESEQNDAMELDSGSRKRKNLGELGKSDTTPLHLAEWELQEKHFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPEFVCLHLLSISRQKITTAIFNKLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPVNAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPSDGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNTKYICMYDVGEQVSKSFL >Dexi3B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:3B:14202753:14203191:-1 gene:Dexi3B01G0019160 transcript:Dexi3B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHVVGAHRQVDSDAYRAARAHGEVRHRELSEVPEPCSLVSRLTGLAPWSPITDNANTGVLALGDGRVICTSEVVSSWILVDPDTLDTVGKFRSGTRTSSVDWSTRRTRS >Dexi6B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:6B:22283269:22285339:-1 gene:Dexi6B01G0014840 transcript:Dexi6B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSAEGAQHTNPEKVKLVTVLSIDGGGVRGIIPAVILAFLEEKLQELDGPDARIADYFDVVSGTSTGGLLTAMLTAPGKNGRPLFDAKDLAQFYIDHSPKIFPQKNWILSKIFNTLRMVRGPKYDGKYLHALLRQHLGDTKLDKTLTNVVIPTFDIAFLQPTIFSSFELKHRPLKNALLSDITIGTSAAPTFFPAHYFETKDDQGNTRAFNLVDGGLATLLAMSQVSKDIILGDEDFFPVTPVDYGKFMVISLGCGSTRRSRGAYSAKEAAKWGIFNWLIKDGTAPVIDMFNAASGDMVDIHLCVLFRALHCKQNYLRIQARLAGSMDDCSKGNMDNLVKIGKELLSKNVSRVDLETGRNVDVPGEGTNAEQLARFAKKLSDERRRRQKLPKD >Dexi6A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:6A:5207142:5218244:-1 gene:Dexi6A01G0005700 transcript:Dexi6A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPDGNKHMRRRWLFAQTSSPCAYGGEIKELTVTRQPRKPDPIPREIWRCCRAPALNSELFGSVLGGIALPPPRVPPPPAVHSSSGPPPSSPAQVHALRSFSLCSQEADQGGRWPPPPQLPPPPPLRNVNMDRRLYQLLGEEGEADGRAHTEQTLVKTEEDRVCNNQTQQPTDPSVASTATDGGRGPSPRGSSPRPAPLADEISKRTAGGEREGMTLRRRMEGQQGDAASRGRADGRGDGRLWPPRRRRRRPSWACWRSSGGPTATGVETSGRLGN >Dexi2A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:2A:24716043:24716378:-1 gene:Dexi2A01G0014700 transcript:Dexi2A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIACVTNSGKIGQTKVKRYWPGKALEWADDADEDIDLRTARISLDRAFPKDDDSDIPLKDDRRLRRLSQTRENKEELRAYHRRIQQAEIVSTVEEENEAGS >Dexi6A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:6A:21719446:21724641:1 gene:Dexi6A01G0014370 transcript:Dexi6A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFVFSAFGFVHKIATFEKAAGFQVRRLYNILMPQLLLKLKIHWMEEASQITSQQSYSVYYNLRPPFDTICWLNRDYTNPYLPVNQTAIEGIVQPTVGPDGKIKEPESNRGVGVREGIKWASATFRSYKAHDERSRDYTLSDPNAQLQAAAQAPAQSTPGVAWQNTAPAAPFYGSTTASAPAGAGQVPAWNPASAPAGTGQVPAWNPNMQGGAFASASTPYPSQPMMANSMPHYPAVGTSSGAPPVPFHASQQMPQYGIPPGAPPHAPPAGQPMYFPK >Dexi1B01G0027890.1:cds pep primary_assembly:Fonio_CM05836:1B:32534834:32542104:1 gene:Dexi1B01G0027890 transcript:Dexi1B01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAARDTNQNPEPIKPRPASVLANATAAASPSARGSTPAMARLLLRALAALLLLAAVAVADDGATLLEIKKSFRNGGNALYDWSGDGASPGYCSWRGVLCDNVTFAVAALNLSGLNLEGEISPAIGSLKRVISIDLKSNGLSGQIPDEIGDCSLLQTLDLSSNNLEGDIPFSISKLKHLENLILKNNQLVGVIPSTLSQLPNLKILDLAQNKLSGEIPNLIYWNEVLQYLSLQGNKFTGPIPSVIGLMQALAVLDLSFNELSGPIPSILGNLTYTEKLELNDNLLTGFIPPDLGKLTELFDLNIANNNLGGPIPENISSCINLISFNAYGNKLNGTIPHNLAAASSNVPPKLVILHMNMALYVYDDIMRMTENLSEKYIIGYGASSTVYRCDLKSCKPVAIKKLHAHYPHSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYMENGSLWDALHAADNTVMEMVDPDITDTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDSLICPDPLRKQTQPPGSEQSATAPSYISEYVSLRGGSALSCANSSSASDAELFMKFGEAISRNTE >Dexi7A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:7A:24825333:24825637:-1 gene:Dexi7A01G0014970 transcript:Dexi7A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKAPWHAVVGTGDPAAAAAGAGFGGGRGCRAWSGGASAEAGDLAGEGSCMRKECAGDGWCWLRSRLADGTPRSGGFMSAAWWKGMVVAGGG >Dexi8A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:8A:894037:894640:1 gene:Dexi8A01G0001280 transcript:Dexi8A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAEAEVTPAEARRLVRLVGVEALKRRLRDGQDEVVGYADLLDACVEAGAARTQAEAEALARAMDDAGVVLLFRDKAYLHPEKLPED >Dexi2B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:2B:3681848:3687129:1 gene:Dexi2B01G0004180 transcript:Dexi2B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPPGEWVPHVEAYVDVSRPAAQHSASVDALATLVNKDKLTLFDLVAKMDMYLTTTDHILRSRGILLLGELLSRISDKWLDVNTITTLSDFFISRLSDWPAIRGALVGCLALLHRKQGGGCIVIADVKRLVESFLQNVQVQSLAAADRKLCFQILSCILDRYPEVIKRMDGEQLYWICEAIDEEKDPECLKLSFHVVEVVMKLFPDPSGLAAQFASEFFEILSKYFPVYFTHGVADDLNATRDDLSKALMVLYLLVMLVVKGLLTLATFPEQCSPLPANAYEDILYMLTSVITSKFENIDLWRLSLKALTSIGSSIVEFNASQKEVIYCRTVVDNVVSLVESYDGSMPLSLRLEASYEVGTAGLNYMLRVARSLEGAVVTNISKV >Dexi5A01G0024240.1:cds pep primary_assembly:Fonio_CM05836:5A:28125176:28125544:-1 gene:Dexi5A01G0024240 transcript:Dexi5A01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRSSSRSRPNVGGARSAPTPLDAARTTANRGGFGPGRLLHPASVSGGRSGGATGSGATAKGIISQVFTTTGGRWWARGRKLAIAISSRSWVAPLRPGSGNPAFT >Dexi1B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:1B:5379066:5379751:-1 gene:Dexi1B01G0006600 transcript:Dexi1B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARASSTGHTEVEVAVLQVDGAEDELLQVGKADAAWEGGGVDEPPRAKIEAAEGGAAEERGGEAQVLDEPGRLTKMSSWTPLVARRWNQRASVVRSPSPAPMKPHERLTKGNGPGCEPRAAETARRTARETGPGRGGRPSAPPLGRNWVSSTTSGAERQRPCQRVESTAVRAPSLAGRRAMTRRRRSSGRALMRSTPSLSSETVAAAAQERVAAAETGGSGIEP >Dexi3A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:3A:7727922:7729456:-1 gene:Dexi3A01G0010830 transcript:Dexi3A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTFAVRRHDPELIGPAAPTPRETKRLSDIDHQGTRMHMPMAFFYRGGHRGSEDPAAVIRRALGEALVPYYPLAGRIRDVAGKLVVDCTGEGVLFVEADADVRLAELEAETGGVKEPLPCMDELLFDVEGSSGFFNCPVMLIQVTRLLCGGFVFALRIDHALCDAAGLAQFISAVAELARGLPSPTIAPAWSRELLDARSPPRPAVPNPAYDPVAVAPTPEPPSGDGGSDMVSRAFTLTRADIAAIKQALPTHLREKATTFEALAAAIWRARVVALDPPAGDDMMRLGFTVSVRRFPELGLPAGYYGNAIVFVMATATAGALRDGTLGDAVELVREAKALVTAEYVRSVANLLVLRRRPSVSPAAARGVLPRRGLPPLADLLIVSDARHAGFHTVDFGWGGPVYGGTVHTHAHQPISAVFSAVKNGDGEDVMVVVPLTLTRPAMDRFASEIEMLVKASRVAPASRSNLLLDMAMLMPRVTSI >Dexi8B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:8B:11904577:11906524:-1 gene:Dexi8B01G0008630 transcript:Dexi8B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSCSNTPLFPCQVLYICILQSFKDHESQPLLIFQMALIVLVAALLALFVHLIIKNHRFYCIYNLPPGDFGIPVVGQTFSLLHSLRSNTDDQWFRTRIKKYGPVSKMSVLGSPTVLLAGPAANHFIFTNESLVLTQTRALRSLLGRSILTLNGDELKQVRSALQGYLRPEMVRRYVGTMDKEVRRQIKLNWVGRETVSVLPMARRLTLAVVCSVVFGQEAATIVEALAADFQLLGDAILSFPVNIPFTRFGKGMSSSAKIRKAIKKIAQEKEELLLQKRHAVPTTDFITYMLILRSQGAHSLTLEDIVDNVMGIIIGAHGTTSALITFMIRHLANEPDVLAKITEEQDEIADNKGTADVLTWEDVSRMKYTWKAAMETLRTVPPVFGSFRTAAKDIKYQGYDIPKGWKVFAAQSITHLDSRFFNDPTKFDPARFDNRSSIPPYSFLPFGGGPRMCPGTEFSRVETMVAMHYLVTQFRWKLCFKEEIYKKDPKPTPVFGLPVELEWRRPPSTADAWNALYIHSG >Dexi6A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:6A:28600082:28601590:1 gene:Dexi6A01G0021320 transcript:Dexi6A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALTSLPARFLRAPSAPAAAATGGRRLLSDGKGRVLSEEERAKESIYIQKMERERQEKLKKKLEQEKVDNTKPADSDKKPEGSS >Dexi2A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:2A:26280557:26281497:1 gene:Dexi2A01G0015370 transcript:Dexi2A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNRTTHRNLCPLASSPAASSAVCTVDSVPPLPKHTYRTEPSGCSSSHRMQPCSADVSENGRTNGPTAVSCSMASKVVEAEVDQEVVDRVGTVLDGLYEVGSAAREDDGLREVAAVAGGGSSELRGVEQRAQRHEARGVEHVSWQAEVLGHGQRRRAEHVGEEDDGGGGGGEEGNEQGAEVRERAVDDGEDEGDDMGVGGEVVEGHLRERGGGDDRREGGQGGGVGGRGEVGVGDDGGGEAVERGEARGELGHRGDVPHAGAGEHHDVRGMMHLCCCHLG >Dexi1A01G0030970.1:cds pep primary_assembly:Fonio_CM05836:1A:36133457:36137658:1 gene:Dexi1A01G0030970 transcript:Dexi1A01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVKDRGGGSKATAAASRPLTPKPFSISSSARRTTAVAAGKENSASKPAKPTSAVRWSTSSLPRASRIQSSVESSKLAPTLRASVLPGRASVGKDPAVDAGLRRSVSGGIRSSSVEKGRRSVSVVGSRASEARRGSGAAGSDDISRRREGFDLRAKGLDGVSRKRDDLDAKAKQTGEIDRKRESFDAKAKQIGGEVDRKRESFDAKAKQIGGKRDSFGVSASKQCDEIKGKTEISGANMKKQSEGIGGRREGFDSKAKASEEIRRKEGLSTKMVKEIHEKIADSGEASSSASDFCATQKDAEEGNGSVIPVFTVHVVDSTDVPGTMKDQQKNNEECKKQEQKGKLADKIRVFENAAAGGAKPVSAVNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEINAIEKAISHVKDDNKVQLGTADSSECETSHSESAAKCTAVKPSGLKHASKGLNTDELEARFFPHHKLLRDRKSSTSTHQESSGDTKKVCPGKTGTACPDPDDDENSIAMEFLASLDGEENEFFKDRRAKKLEKNKICELADATSKTSSQGSSKNTVDPSHKEEIELLATEKLEEFDEQENKPTMMVQEETEESTNDQLSGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANQEFKSEYKPPSMVSNNTWGDCWLIRAPGVDGCSGRYVVAASAGHALEPGFCSWDYYTREVKAFHIEEEAFHDPAPPRAVLGPLSNLGSSISSSALSNGDTQQWWYKPCGPLLLSTASKQRMVTAYDIRDGDVVMKWEVSNPVMGMEYSSPLQWRSRGKVVIAGSESIGLWDVNSLNPQPLLSVASSGKKVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFSTHESVNVFDFRIPAGIGLKIARHGGTANSIFSRGDSVFIGSTEGRLQIKGGLRSRVQQYSLRKGKLVATYELPEFNAHFHHSAITQVWGNSNLVLAACGMGLFAFDTYKEDMQPAYSFDRGNTIGVREAIGPDDLYCPTFDYSSSRVLLVSRDRPAQWRYLS >Dexi9B01G0035730.1:cds pep primary_assembly:Fonio_CM05836:9B:37320967:37326046:1 gene:Dexi9B01G0035730 transcript:Dexi9B01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGTRPSHADTLEPPQQEAVKPSPTNGRIRYRTPSAADLLPADDLAAAPSAADAAAAARMRRYSGAGSRQQQQQTETVADRVHRYRVVLLVLLAPVLLISLVLLLMPRAPASATGGGLLAAGGRRWGPRAVEDGPNKYAVIFDAGSSGSRVHVYCFDENLDLVPIGKEIELFKQVRELLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLGKLGKPYSNTVGVVDLGGGSVQMAYAISEKDAVKAPEVADGEDSYVKKLLLKGTTYYLYVHSLICAQAGFVNPKAATARVKPSDFEENARRVCKLNVKDAQATYPDVAEENIPYLCIDLVYQYTLLVDGFGVDPYQDITLVKKVSYGDSYVEAAWPLGSAIEVASSS >Dexi6A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:6A:12984929:12987608:-1 gene:Dexi6A01G0010540 transcript:Dexi6A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEINPPPHREPQDTTATAEQNPAASDHRPRPHLSIDIPAASSLPDPLLTLTPTDITPTPTGSSSTTRRGAAAGSIPITPVSSTSSRAQKLRSPSFMLRQTVKSLLPVGSFKSSVKGYEASLSKFFSSKPSKTMARTSSLPLDDVAAGVDALSSRQAVADKSSAASTAATGPALHICRSQSLPMSMKKFNAKSFKRMDSLGGMYRVVPSTPRTPAASNVVPDIVPSEPGVGEDDGEDIPEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKDCAMKWFSLKGTRTCEVCKQDVQNLPVTLLRVQSVQREPNRVSNGGSRSRYDRYSVWHGTPILVIISILAYFCFLEQLLMAHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLFVVGFTHLFYRYLHLQAVISIILATFAGFGLGMTGNSIIVEILRWRARQAAPPIQARRDRRARAAQQQAPASDQPSGQSPAAPAGEQHGTVVAGDVENQAAPQV >Dexi4A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:4A:3222064:3222261:1 gene:Dexi4A01G0004550 transcript:Dexi4A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFREGIHVRLRSRARGMYLHADEDGAGVSLSPRRDSLNAAWLVHLVGGMGFVLLCGAAYGR >Dexi2A01G0033490.1:cds pep primary_assembly:Fonio_CM05836:2A:43667205:43671654:-1 gene:Dexi2A01G0033490 transcript:Dexi2A01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKPLTQEADSSPPRAAPATPPPGCATPSTPGKKHWAVSPFFPFSTPSPSPAHHLFGGSAASPRKSSPAHPGAGSAPTTPARRLLRLPFPPPSPAKHIRAALARRHGPPRHSIPEEGGGEGEGGGGGGGGRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAATVKKGARKGESVAVKVIPKAKMTTSIAIEDVRREVKILKALAGNKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVAFCHIQGVVHRDLKPENFLFTSKDENSHLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNETPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKAQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYEHFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >Dexi3B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:3B:8515273:8518509:-1 gene:Dexi3B01G0012180 transcript:Dexi3B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNEREQMRKGNYKQSVDADESRRRREGQMVDLRKAKREESLQKRRHVGLGFAAGAAPPAGHSSALQQKLEGLPALVQAVLSNDPNVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFIEFLTREDHPQLQFEAAWALTNIASGTSENTKVVVESGAVPIFVKLLNSHSEDVREQAVWALGNVAGDSPKCRDLVLGHGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFDQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDLQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQMIDDAEGLDKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPASDNPQNGFNFGNQPSVPSGGFNFG >Dexi7B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:7B:21294127:21294875:-1 gene:Dexi7B01G0015300 transcript:Dexi7B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTQRYGYGSAGFFEVVQGFHPRGIPKSEARGGAGVAVNKVKKYLAKMDRAVDYDFYDDDDLRYVRFKSPFNRRPLIGRRPPVGKNAGKRTLRLLGSSSMEYLRQCEEAAFGDFDDSDDWEEV >Dexi4A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:4A:24217739:24218009:1 gene:Dexi4A01G0020540 transcript:Dexi4A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLGLSELVEVAEELEHVRAAAARELQRWAVVAQSRRFCDSYRLGRGASPPGLSAAATPPPPCMSTCCLRSATSSTASVK >Dexi5A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:5A:11734006:11734686:-1 gene:Dexi5A01G0014630 transcript:Dexi5A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPPCPLPNADASPPPAPMTPRASQLRHHPPHLLLAEAVASWHPFHKKPCLSDRSTAPGSSAHLPDAETPTPALSGGGSGGSFRWLGLRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHFTCGAGGGGATDSSGEMWASDVGEMRMRDVPMATEFGPAPVGGAAAGTGGVGAVAEAAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFWGGVIGGDERP >Dexi1B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:1B:7066801:7067976:1 gene:Dexi1B01G0008460 transcript:Dexi1B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLIPGLPEDLARECLVRLSFDQLPMARRVSRRWKAELESPFHHRLRHHRPLLALAQALPPLASSGPARKYAASTGFSYRLVLHDLAAATWTPLPPLPSGERGGGGGGLPLFCQLAAVGDGPATKLVVVGGWDTETWAPTAAVHVYDFLAGVWRRGADMPPPRRSFFACAAVVVSGAGGGAMVFVAGGHDEEKNALRSAFAYDVEADAWVALPDMARERDEPRGLAVSGGGGGRFVVLGGYATAAQGRFVGSAEAFDTAKWAWGPLTERVIDDGVCPRTCCVVGSGMYYMLDAGGRVVMARDAGDGDGGAWRTVARVPEEDGRVVASAAEVVAIGDGRVAVVGSACHGGGEQNVYVLSHGEGTAAPSWTRAAAPPEFTGHI >Dexi9B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:9B:11436168:11438790:1 gene:Dexi9B01G0016590 transcript:Dexi9B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLLFFIITALLRFDKVTDFAGSTNFVIIAILTLVLKGAWHFRQIVLTVLVTIWGLRLGLFLLMRILQWGEDRRFDKMRDNLGKLAFFWTFQSSADKRYGQLEEYRVYKNTTSPLIPLPPAVYGALPAWFKAAFLLELPLYNPGPGGDPIS >Dexi5B01G0028010.1:cds pep primary_assembly:Fonio_CM05836:5B:29499362:29502639:1 gene:Dexi5B01G0028010 transcript:Dexi5B01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLLQFPSLLAQHALRGAAPKAQLQPHHHHRVLHSPTAPSSAPLSPSPSAELLWSSLAAGAVASLLPRTAAAARTAANRWLAAARGGGSLDLFSLQRGRRTGGSVWQFVSSTYLKPWAYWLRMRPDGMVLTLIGANVTVFMLWRVADQGFMRRHFTNSLDNFKSGRLHTLLTSAFTHIGTSQLFSNMFGLYFFGTSIANTFGSAFLLKLYVAGALTGSAFFLLEKAFLTAQKHGARAAVNAAILLDIFLNPKAVVYLYFLIPIPAALLGAVLIGTDLYMVKMAL >Dexi5A01G0022800.1:cds pep primary_assembly:Fonio_CM05836:5A:26936801:26939440:-1 gene:Dexi5A01G0022800 transcript:Dexi5A01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSHVNLIRTCPSCGHRAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTNGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGSSATMAKDVVPLASSLATDHHHHHHHDGGNGGSSNSMLKDAAGIVDFYSSAALIGYNQAAPNSRAAASAHLMPNFEVHTAGAAGFGP >Dexi4B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:4B:8529842:8530298:1 gene:Dexi4B01G0011120 transcript:Dexi4B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGKKLTPCLPSSRAVRLRVNAAKLPPGVEVPRVQPKLSEPFLGFTQTAEVWNSRACMIGLIGTFIVELVLNKGILQIIGVEVGKGLDLPL >Dexi3B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:3B:7767996:7768226:-1 gene:Dexi3B01G0011040 transcript:Dexi3B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGNARASMSTSNELPVEGVVRVKKVERIEAYNVATKPSQMATAKVTRSLTVNVVRVGDVDEKPNSFVSVPILHN >Dexi8A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:8A:388351:388720:-1 gene:Dexi8A01G0000580 transcript:Dexi8A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSEGGGDYDHIILPGGDRFDIPEGEDKQEWIQFFDQARRATREVIARHGDGRPADGINRAAILPNSTHHDGSIYTITNGWHKQYRISDPNENCIS >Dexi6A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:6A:7348863:7354025:-1 gene:Dexi6A01G0007440 transcript:Dexi6A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGLLRSNAAASQVMCLTLVVSASPRFLAQFSRLVKYVASAPSLQGTHNFAEAPARYYSSEPSLQETEENGFKGHSMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLIKAATEQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFRLSDETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPKTYFEKVQAVLKKYDILLIADEVITAFGRLGTMFGCDMYKIKPDLVSIAKALSSAYLPIGAILVSPEITDVIYSQSNKLGSFSHGFTYSGHPVPCAVALETLKIYRERNIIEHVNKIAPRFQEGIKALSGSPIVGEIRGQGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPEEVEEIISKFGHALKATEERIAELKSKKN >Dexi9A01G0032790.1:cds pep primary_assembly:Fonio_CM05836:9A:37649944:37651284:1 gene:Dexi9A01G0032790 transcript:Dexi9A01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEEAAETAAPKLIGRDRVTDAAATLFSAGDDSGQIPDKFVRVRTDEVEATGEDEAFELPVVDMAKLLDPELSASETAKLGSACRDKGFFQLTSPGVDEEVMRRMKESAAEFFRLPLETKNAIAFRGDDIFHGFGHHFNRGPSVGNKLDWAECVLLATQPVEGRKMDMWPANPPSFRDALDKYSLEVMDLTKRLLGFMAVDLGVIEEALVGAFFSDDGAVKGQNVAIHHYPPCRQPEKVLGIAPHTDFLGLTVLLHAVDTPGLQVRRGGRWLPVGPLPGALVVNVGDILHVLTNGVYGSIEHRVVPDAERGRTTVVMFQDASADGLVGPLPELLGGDDARARYRSIGRDEYTKGHFVAVAQGTPFLHSLKK >Dexi4A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:4A:7430837:7433161:-1 gene:Dexi4A01G0009410 transcript:Dexi4A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLGPVAVTHLLQHTLRSLCTGGDSPQWVYAVFWRILPRNYPPPKWDLPGAAYDRTRGNRRNWILAWEDGFCNFAAAAAATPAASGQEAVAYAGDCEAAAQEAVVKQQHQQQPQGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPHEHEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKPRCTLLAIRYDADTRRLACVWDELRFDDGKLSRMQVAEDLSYVVMLRRKFGYLESIPGVLLPHPSSAGVVFPAGVGCPPDIAAAAWPPGLVPSPPVGPPLDLYDPYGAAAGPAASMHIMPSMSSLEALLSKLPSVVPPPQQTTTQLPTAGGSSVAPPAANKEETGDEYVACHGMDDVASNGAGGESTSASAAAAATAPVSSYFVNVGSSSNPGEGF >Dexi8A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:8A:25791433:25792308:-1 gene:Dexi8A01G0015020 transcript:Dexi8A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGKLQDLALNEATALVAVNGDIRSLRDRLMWMQAFLRHADQRRRNTHDELIRGQELDSVHH >Dexi6B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:6B:16130772:16131425:-1 gene:Dexi6B01G0010000 transcript:Dexi6B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNVMACLTEFMKAGSRAVAWQTHELSRPIRRRQHAVSRGSSSPPSLCRCWCWCWCRCRDDRLLPPLPLSSSSSSLLRFGH >Dexi5A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:5A:18181773:18192796:1 gene:Dexi5A01G0016470 transcript:Dexi5A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKEKDWWGCWCSFAVEEQMLVVLAVEWSRGDAHCLHGGEARREAAGCSTLARRSCRDRGSPNARLASDFRLAALALPDDDGMLQSSRAGRTPPTGRRTRGGRRRGRPWRLPLTATAPPCRPPHSPAPGSPVTTVLASRNPSIPAEKDEKGKEMGRDGKENKRRRHKRSRDASPSSDSYDSDSPTSASSPSSSPERRSRSSKRKRSSSSSHRHRHSHKSSGRSRSSRDEDRRRRRRQDDERRRRRRDEGGSSGSESEEDEDRAAAAEEAREIVRDILGEFPAVAGELRQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPSKNVPTLDIVGPSLLASSKLADNKNEKSVSPNREELPSSNFDVQKKDKYDTTSEGPKIVGVGESPKRRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEELSSLACRWREEGDRGVARWRRREEQLAGLKGGGDRRGVAGLGDGGERPGSGGGLRDGGERPGCGGGGLRDGEEPCGPGFLGEGGFAGGTMPAGIRVTRILAADTNSPYDVLGVNWKMSTDNMKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDEKIKKKEEMEQFEIEHKAMREAAEWRRLQGISLEGDEELLAGPKEAQAPKRDEWMTTLPPERKAGAVPMHSTTSFSMNGKEGRGDTSAWTDTPLDRAQKAQQSYLEAYNKTKAIAEGDDIKSKNLDASIVDKYNTSKRSVSLVQKHRESKKEKKKQKQHEKEEWEGNHPWKPWDREKDLSAGRQKVALDPENMSQGLSSRFASGAVQRNFL >Dexi5B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:5B:2605953:2611917:1 gene:Dexi5B01G0003760 transcript:Dexi5B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGDGEKAAPALPLETLLALGLDQRTAENALVNSKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLIKYVLSTKIKNPAQLDAALSFLTNTGPDSLDVEKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIKEQRYHINVGTLCGQVRKKLPWGDAKATKEEIDKRLAEILGPKTEADNIKPVKKKKEKSAKVEEKKVVVATSAPPSEEELNPYTIFPQPEENFKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLMAEGTATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSFENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRAMVVLRPLKVVITNLEEGKVLDLDGKMWPDASDTDASSHYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPDDIVEIRAEYDPLKTSKLKGVLHWVAEPTPGVEPLKVEVRLFEKLFMSENPAELENWLGDLNPHSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >Dexi9B01G0042680.1:cds pep primary_assembly:Fonio_CM05836:9B:42882996:42883355:1 gene:Dexi9B01G0042680 transcript:Dexi9B01G0042680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLLLVAIAVAAAVVFAAAATPVTEILGGWGVIPDVDDAHVQEIGAWAVAEHIKRANDGLRFGRVSGGEEQVVSGVNYRLRIVAVNLAGQNVTYSAVVYEQIWTNTRRLLSFDRAK >Dexi3A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:3A:5113471:5115042:-1 gene:Dexi3A01G0007440 transcript:Dexi3A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPGTFCTDAADGVFQPLNADDVRSYLHKSIDFICDYYNNVESFPVLPDVQPGYLRRHLQSAPPTTSAPFDVAMKEIRDAVVPGMTHWASPNFFAFFPATNSAASIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLMRLPESFIMNRTAATGRRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSSGVAGLSRLTVYAADQTHSTFNKMCHLAGFDPVNVRSIATGPETDYGIDPAKLLEVMQADVDAGLVPTYVCATVGTTSSNAVDPVAAVAGVAARFNAWVHVDAAYAGSACICPEFRHHIDGVERVDSISMSPHKWLMTCLDCTCLWVRDAHRLTDALETNPEYLKNDASDSGVVTDLKDMQVGTGRRFRGLKLWMVMRTYGATKLQEHIRSDVAMAKTFEGLVRCDDRFEVVVPRNFALVCFRIKRRGSMTEEDAEEVNRELMDRLNKTGKAYVAHTVVGGKFVLRFAVGSSTQEERHVRSAWELIQKITTEISKGERKRTICVEY >Dexi5A01G0030360.1:cds pep primary_assembly:Fonio_CM05836:5A:33340081:33340728:-1 gene:Dexi5A01G0030360 transcript:Dexi5A01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAADWLPSASVTASGRPVLSAGEVERNLLPLVDLEPEENPRLGALRGCLLALTSHRLIFLHESSRSARGLPLATVVHAYPPHRRHSHNPLRSLFSSSSSSSSSQHHRIRLQISLPPARSEVVAIVVTCKADVDVFFGRLLEAIRARAWEVSPAAAPSTGAPVTEGAAPAEDIAIRMPVVGVSGILRMEQESWESAGQNLQDAFQDLNALMV >Dexi8B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:8B:24678258:24678764:-1 gene:Dexi8B01G0014270 transcript:Dexi8B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIRVRAAGKGSLLPVRGSSAAPPPPATQVAVRFTSPLFRAAKICSSRGLVAAALEVSKDGSSTVLASRQPSIGAIETLCNADAVCFDVDSTVILDEGIDELADFCGAGKAVAEWTAE >Dexi5A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:5A:12936531:12938528:1 gene:Dexi5A01G0015370 transcript:Dexi5A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADRSEIDGIVVAEKGARSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDHKQQQQRQQHNGEEKTEVKDSSCNSSSSGSSNLQAVQKRRLLMGVEEAALLLMTLSSSPTSTLLHG >DexiUA01G0009970.1:cds pep primary_assembly:Fonio_CM05836:UA:19519203:19522753:1 gene:DexiUA01G0009970 transcript:DexiUA01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATFLLHLHLLLLLSCRAPSPAFSLPPRPPVRCHSGNGCVLSNAYGAWSSDRDDCPVAAVAYPSSEPEVLAAVARASATGARVKVVSAFAHTIPKLACPSNGGGNGTTTTLLISTARLASAVEVDAAARTVTADAGAPLRAVIDAAEARGLSLAAAPYWEGVSVGGVVSTGSHGSSWWGRGGAVHDHVVGLRLVVPAEEGDGWARVLSLRRGDDLFPAALVSLGLLGVVTKITLSLEPRFKRSITYEYRDDSTIQDDFAAHASRHEFADITWYPSQHTAVYRVDDRAPLDASGDGVNDFIGFQATPIAATAALRALETSLERSKNVKGKCAMASAEAAAKRLAGNGLKNNGVLFTGYPVVGYQGKMQTSGSCAHSSAYDLLSACGWDPRFHGLFFYESTAIFSPPARFREFVLDVKRLRDVAGADALCGVDVYNGFLVRFVKASVAHLGQHEDSVVVDFNYYRATDPETPRLSEDVWEEVEQLALVKHGARPHWAKNRLVAFDGVRGKYPRWAQFAAAKRRLDPRGMFDSKWSDEVVGGEVGRSKGDGCALDGRCVCSEDRHCSPEQGYYCRPGLVFTEARVCRYSVSQNQ >Dexi9A01G0041550.1:cds pep primary_assembly:Fonio_CM05836:9A:45203068:45206379:-1 gene:Dexi9A01G0041550 transcript:Dexi9A01G0041550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGSRSSSGSGRDQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCTVGVKHITYGSPGSSSNNISDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKSKTNLNKWAVEVAETGTFLAPLGSGGPGGLPVPYLAAKQARYDKEAVIKFFRMLIRRRYFSNEPPAPSPWSLTPREDTILPVETLGDGSDTFLRKSLSGEGFMYNGVVPLPAQRNLAPPPTLNPQQPVSSLDNFRYHRFSSSSLPDVSSNRTSREDMNA >Dexi9B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:9B:11662883:11664788:-1 gene:Dexi9B01G0016950 transcript:Dexi9B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAASSSSSSPLARLIARRGLAGAADGHGSTKVPMWKDPLSPSKWKEEHFVLVSLSGWGAAIFGGYKFFTGGKKEEKPEVASQAA >Dexi2A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:2A:33383564:33383980:1 gene:Dexi2A01G0021250 transcript:Dexi2A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVQSFVEDEGDFNSCVDARFAALVADRDGRLSYAEMAGELMALRVREAHFGADAPAPAAELAELYGAPFARFDRDGDGAVGPDEFRAEMREVMLAVASGLGVLPVQMVVEEGSLLRRAVDRELAAAMAA >Dexi6B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:6B:21575700:21576386:-1 gene:Dexi6B01G0013840 transcript:Dexi6B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMRGQGTLFGDGQRGDLETAERAGVTADDFYYRGLNTTGPTIDPFSIRLSSAFVTRFPGVNGLDISAARVDFAPGGVVPLHSHPG >Dexi6B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:6B:21934562:21946134:-1 gene:Dexi6B01G0014390 transcript:Dexi6B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEAGHSSGNRGRGGSSGGRIHRLELENFKSYKGKQTIGPFSDFTAVVGPNGAGKSNLMDAISFVLGVRSAHLRGARLKDLIYALDDGDKDAATDRRASVRLVYHQPDKEEELHFTRTITGAGGSEYRVDGRVVNWDDYNAKLISLGILVKARNFLVFQGDVESIASKNPKELSALLEQISGSDQLKREYDELGEQKARAEERSAPLYHQKRTIVMERKRMNAQKVEAENYLRLQQDLKLLKTQHSLCRLYTIQKDRDKMEAELQEDRQSLQQVQEEKQSLEQKLTTKRKEQSSFIKQVTLYEMRIAKKKLKLDVKQPELLRLKENISWIKSKIKSCNKHIDEKKDDSRMHVEKMQELQSDLVDITRAIEELNEKGRDKSAKLQFDDDQLQEYHRLSRYQALKQKMDKIDAELRDLKANKHETFEKAVLYAVGNTLVCDTLDEAKTLSWSGERNRVVTIDGILLTKSGTMTGGTSGGMEVRSKKWDDSRIESLKEEKTRLESQMSELGSPREFQRKETATSEKMTGLKKTLCYLNAQQLTTRINEKKGEVTKLEQEINELVDKVFRNFGISVGVKNIREYEERHLEDAQVLDERKLRLSNQMSKLKYQLEYEEKVDMQAPITKLNETHQCLEKELKGLQERKSAAKATVKQILEQIDELKAEAEVLKSKLDECEMFIDGLEKQDGSFAAALAKLHRQVKSKEGQLAQLKSCQFEIIEISELEQLNLPTVDNAMDTESSTEEPVIDYSQLSKIYLKDMQPSERDKLEAEFKQKISAKVANIESTAPNLKALDQYEALQGKEKDITEKFEASRKEEEDISGKYNSVKRRSFRPSPFFILDEVDAALDNLNVSKVAGFIRSKSCKRVAEEQSSNEEFGFQSIVMSLKDSFYDKAEALVGVYRDSNLSCSRTLTFDMTKFVNAETGARSVK >Dexi7A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:7A:17091373:17092523:-1 gene:Dexi7A01G0005830 transcript:Dexi7A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVMGKVIGEVIDNFNPTVKMTVTYNSNKQVFNGHEFFPSVIVSKPRVEVQGDDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGRELMMYESPKPYIGIHRFAFVLFKQKSRQGVRAPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >Dexi5A01G0027430.1:cds pep primary_assembly:Fonio_CM05836:5A:30929251:30932471:1 gene:Dexi5A01G0027430 transcript:Dexi5A01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLKGFWESRFGGKKEPEQNGQANGSAPKRTADLAVYEEFEQQARQTQVRGAAIRDGNADVIQKPLLPPFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARQAIFEELLPATSSKLEVPYNVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMTVLEASDELVPEEELPEVGPLKPEDIELALRNTRPSAHLHAHRYEKFNQDYGSQVLCSEHA >Dexi3A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:3A:3899591:3902288:1 gene:Dexi3A01G0006010 transcript:Dexi3A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVARPSSSSFNKQRRPAAAAGNNRGGRLGGANLRSYSTLSSVTDARAQRSSSPLTVLGHGLAADVTAEELLRRYKLGEELGRGEFGVTRRCDDTATGETLACKSISKRKLRSSVDVEDVRREVAIMRSLPEHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVSRGHYTERAAAAVIRTIMEVVQHCHKHGVMHRDLKPENFLYANTSESSPLKVIDFGLSVCFKPGDRFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRANIDFNREPWPKVSENAKDLVRKMLDPSAYSRLTAQQVLDHPWIQNASAAPNIPLGEAVRFRLKQFTVMNKFKKKALLVVAEYLPAEEIEAIKELFHMLDTNKDGHLTIEELRKGLQSIGHNVNDSDVDMLMEAADIDGNGTLDCKEFVTVSIHLKKIRSEDHLPKVFSYFDKNGSGYIEIDELKEALSPRGDQKAIDDIILDVDKDKDGKISYEEFELMMKAGMDWRNTSRQYSRAVYNTLSRKMFKDVSLKLDINNGPLVAVAKEQEAVD >Dexi3A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:3A:9100660:9103617:-1 gene:Dexi3A01G0012520 transcript:Dexi3A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGRKKINQGDASADDGRRPEPRYHHRLHKTNEARAVAAFRPVAPCLFRGDALRLADAFRLASRGGLLPTSPAKNLHTPLPLRRSPPRRPPRPPPHLGREDGVQGQVQAAAAFRPRRHRSWRRRRRRHRSWRRLRRRHQRRLRWRRRLAEDLFATRMPFFAA >Dexi6A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:6A:6698012:6698752:-1 gene:Dexi6A01G0006890 transcript:Dexi6A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSQHPYPSPATWDQVPFDPAMVARRKVGIKFLDHISPGLAYNWAEHAYFLHKRGGGVEVARPLLRTALTRCADYATVYSMWVTIEEEHWAEAEAAAGGEPRAVREVFEEWRARSEADDGEAEADRELLWSRYISFEEEHGGGGERVRAVAAAAAATCPRNAEVRAECVMAEIRLGDDARARAEFDRALGELGDDDAEGRRQLTDTVRRRGAYLSKQWFGNGSGCLSFCRGWWFRPHRWWEQI >Dexi3B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:3B:8085660:8086757:-1 gene:Dexi3B01G0011510 transcript:Dexi3B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAQRAETMAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSECYRVREAGFKSSEPVRTLDALVEAERRGEAVVPVDDMDWEDIFYIHDGNQWPSDPPAFKETMREYRAELRKLAERVMAAMDENLGLEKGAIERAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDQVGGLEVLKDGEWIDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVSSVCGEYPKYVFGDYMDVYAKQKFQPKEPRFEAVKAPKSSPAA >Dexi2A01G0037340.1:cds pep primary_assembly:Fonio_CM05836:2A:46900363:46901748:1 gene:Dexi2A01G0037340 transcript:Dexi2A01G0037340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKKTRMDCVFNWATQRVKGYRILPPVTQQPIDNFCGAHAAVEVFEARARLLNARGGQRRIGVFELLRINGVVSKQDYDAAPAGEGFTRNVQGLKHYKIEGHKVCYIKPNKLQERHLKEMSTSPDPWQIDPVKYTLKEISCNGPVYGWLILDILFINAKHRIYRAPAATQGALTHALMLFGFGSRGRTSAMLYQNNWGRGHHVNGRGLMETNSIIAVIIPTVVRNWD >Dexi9A01G0041480.1:cds pep primary_assembly:Fonio_CM05836:9A:45157158:45159692:-1 gene:Dexi9A01G0041480 transcript:Dexi9A01G0041480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSTSKKGWRAIFPLVIILVHDSRYRREDSLELNDMEASNRYNIDTTGLVCCWPSEEVLAYYCINHSDIFRAKKVLELGSGYGLAGLVIAACTDVAEVVISDGNPQVVEYIQKNISINAETFGETKVKSMILHWDKEQTSEIVNTFDIIVASDCTFFKQFHESLARTVKSLLKHSESSQAIFLGPKRGDSLDKFVGVIKENGLNCELIENYDPTVWNLHKKYAAGDDRTWPSYDKEHCYPLLVRISSFCD >Dexi5B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:5B:2225714:2226451:-1 gene:Dexi5B01G0003380 transcript:Dexi5B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCAAEMDAASQAAAAEGRSLAVVAAAAGGRGGGSQREPEGLPCPRCESVNTKFCYYNNYNLSQPRYFCRTCRRYWTRGGALRNVPVGGGTRKATPATTRRRRSTGGSQQPPAVHAPSSPAAALPPLAVPGGGGAGSYAALLRQYAGSMPSFPAQPLAAVDPDRRMLDLGGGSFTSLIAAGDVGGGGHFSAGFLVGGLAPGMAHTPAAVAAASSLPPPPQQQQQVSQALPEGLIWSMGWPDLSI >Dexi5B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:5B:8388355:8388679:-1 gene:Dexi5B01G0011830 transcript:Dexi5B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEQHRSYVTKEHTVTKVEKSACQAAIKELSNDDSGLTTVTLDISGDHFFICTVDNHCASGMKLSVTVAAPSTGGRLLPPAMNSIIAAVVGALIKLALL >Dexi8A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:8A:19812955:19817751:1 gene:Dexi8A01G0011230 transcript:Dexi8A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTTSMTTTTTSTSPAPDHDDHGHHHLRPSSSPAMPPPPPSLPPTIPAFDPHDGPASLQLIEDLTTHAGAIQRRVLSEILAMNAGTDYLRGFLGSGAEGRNADELAAAFKERVPVVEYEDVKPFIERIANGAPSSLISSKTITELLTSSGTSGGQPKLMPSTEDELDRKTFLYNLLVPVMNKFVDGLDEGRCMYLLFVKPEMATPSGLVARPVLTSYYKSRHFRDRRDSPYTRYTSPNEAILCPDSAQSMYAQLLCGLARRGEVLRVGAVFASAFLRALKFLELHWRSLCDDLRAGRLVDANRVTDTACLDAVSRVLASCPGDTALVADVVAGECSKPSWRGIVRRLWPRAKYIDVIVTGSMAQYVPLLEFYGDGLPLVSTMYASSECYFGINLRPLDKPEDVAYTLLPNMCYYEFIKVEKDGEEVRDGEVVGLVDVEIGGYYELVVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKRLLSPLGGGAIVLSEYTAYADTSSIPGHYVLFWELTPPPPPLAAGADDIAGVMAACCAEVEAKLDAVYRRCRSRDRSVGPLEIRVVGPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDAVPHWEPPFQVDAGAGAGDDDATVASSSSNGEGSSPA >Dexi1B01G0030680.1:cds pep primary_assembly:Fonio_CM05836:1B:34579117:34580793:-1 gene:Dexi1B01G0030680 transcript:Dexi1B01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISPVRPHKFIYLRDLRLELNIFGASEDRKTDVLDYAYLLDCAPSLENLELHMWMQCDHEIYHAGRGGLRSLPLHQHTCLKSVYMTGFFGHKDQVELALYILRCSTALKAMKIDSRVKIIPGGTYAAPAIYRRRHYLDGYMVATVFVRGADRNNNIVQVSGAI >Dexi6B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:6B:24176106:24177098:-1 gene:Dexi6B01G0016900 transcript:Dexi6B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSETKPSDPEGEEESLPPPIPPKREAWLVASSLSQFQGCWLSEDFSKSAALVQAHFQPRPDDIILATYPKCGTTWLKALAFAITNRSCHPITCKNHPLLTHLPHDLVEDLEFPLRYLHHPVSELENLPSPRLLCTHLPLPLLPTSVSTLGCRIVYLCREPKDVLVSMWHYMNKVYRDCFTEFDRAFELFCEGVSLYGPIWDHYLGYWKQSVAEPNRVLFLKYDEMMADTGNHVKRLAEFFGDPFTVEEESSGIVQDIVKLCSFENLKKMPVNCSGVTNPIDGLAIENSVFFRTGKVRDWENHMTEETAKKLDRIIEEKLGGCGLTF >Dexi3A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:3A:17156133:17160236:-1 gene:Dexi3A01G0021540 transcript:Dexi3A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRVPRTIECELTEDLVDCCIPGEIVTVTGIVEVLNNYMDVGGGKSRSKNQGLYYLYLEAISVRNLKSCADSGEEIRASGICDIQTITESHRDFAAAYKNQHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKNSMDQNKVPVRGDIHVVVVGDPGLGKSQLLQAAAAVSPRGIYALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKVSAALLSRFDLVFILLDKPDELLDKRVSDHIIAVSGCQTYFTIHISQIHTNEGDNFKSNKRIRTVSQNSDLGLGVGGNSVASRLRLHPTKDKNFTPLDGQFLRKYITYSREHVFPRMSKEAAAILKEFYLGLRNRSTCADGTPITARQLESLVRLSEARARVDLREEVTAEDAQVKKRICSSSAETV >Dexi1A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:1A:323588:326407:-1 gene:Dexi1A01G0000540 transcript:Dexi1A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQFIMDGAFAMSAPPPPPPQQQPPQHAAPQELGGPFRYQPLHHHALPPQHHHHHHAPPHMPPHFAHFAAAPAAPFTQQLFHQAAAAGHHLQLFHEQQQHNHHHKPQPQHSAPARWAPQHHHQQQPQSQAHHHQQQAQTHHHHLGFDVEAAVPESSGAGAGSGGASGGGAPPGVPPFLAAAMNFKLAVDAGGGSGATGGTDDALNDGAGGGGAGSGMMLHVGGGGDDEAATESRLRRWPGDEETSIKEPTWRPLDIDYLHSTSSSKRAAPGKEKVATPESPAPTAAAANYFKKASDDNAAAAAAAAASAGGGNNYKLFSELEAIYKPGSSGAAQTGSGSGLTGDDNAILDPAMADLPGSAMAATDAPHLNTSETSGGEDAAHNTVVQPPPQQPPAAADMAARRKRKRRRQEQHQQQLSASSSFFERLVQRLMEHQESLHRQFLDAMERRERERAARDEAWRRQEADKFAHEAAARAQDRASAAAREAAIIAYLEKISGESITLPPPASSAGDVVIDTTSLQQDGVGKELVPYDGGGETTALAQRESGVMSSSSRWPKHEVEALIRVRTGLEGRFQEPGLKGPLWEEVSSRMAAAGYGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSSGSNNNNGGGDDASKAASSELLDAVVVKHPHDGHAGGPPGFMFDREEEEEHHQNEVEDGIGIGNKEEGRGGDHQDDDEVESQGGGQDQDDE >Dexi9B01G0043120.1:cds pep primary_assembly:Fonio_CM05836:9B:43175487:43178052:1 gene:Dexi9B01G0043120 transcript:Dexi9B01G0043120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGKKAKDLLTRDYTYDQKLTVSTVSASGVGLTSTAVKKGGLYTLDVSSVYKYKNTVVDVKVDTESNISTTLTVLDALPSTKLVTSVKLPDYNSGKVELHYFHENASFATVVGTKPSPVVELSGTVGAQGVVFGAEAGYDTATGKFTKYTAAIGVTKPDYHGAFILADKGDTIKVSGVYHLDEKQKTSAVAELTRRLSTNENTLTVGGLYTVDPQTAVKARLNNNGTLAALLQHELKPKSLLTISGEFDTKALDRAPKFGLALALKP >Dexi8B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:8B:7739846:7741442:1 gene:Dexi8B01G0006600 transcript:Dexi8B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITTTAASSSFLRRRPGARPLAAAGSGSLRACHGHCHRRYRLACRATEVSGAETSAAPPAETTGGGGGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFTYRVKTDEQNIYISISGADSVGSAEIIFSGKAQPGVTASDVNVQEVRMIVDEDVGGFGFTSANELINGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYAVSGAFN >Dexi7A01G0022370.1:cds pep primary_assembly:Fonio_CM05836:7A:30680144:30680815:1 gene:Dexi7A01G0022370 transcript:Dexi7A01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPADAAAVVLPAAVLALASALTAEEQEVLAYLLSCGGAAGGRPRRRRGPHPPEMGCGCFGCYKSFWARWDASPNRHLIHRIIDAVEEGGGGGGGGAPRRPPRRRRRGRRGSDAADDLENTTDAGEVDAGGDHQHHPCCDGGMVDHRGEYEGDGDDEEGSSMDGDKDDASVASESDCNSSVDGFGGGSAEKSTVGRLVRFIGEKVWAAWN >Dexi9A01G0049670.1:cds pep primary_assembly:Fonio_CM05836:9A:52246352:52247734:1 gene:Dexi9A01G0049670 transcript:Dexi9A01G0049670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSQRRFTRRQDTNIGCMTGLIHMFHSRHDAKLLLDRKQGSRRRHTFGGFPGRGHSRNNSRGLDEIDVDVHP >Dexi1B01G0020940.1:cds pep primary_assembly:Fonio_CM05836:1B:26917967:26921312:1 gene:Dexi1B01G0020940 transcript:Dexi1B01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVAYGPARHGVSWLYRTGPVANCSLVPCATSASDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQDSFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKAFADEMGIPFMETSAKNAINVEQAFMAMAASIKDRMASQPSAANARPATVQIRGQPVNQKTSCCSS >Dexi4A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:4A:2202856:2210751:1 gene:Dexi4A01G0003040 transcript:Dexi4A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSAPCRSRISNPFAGFPRAQFATYAQRSNQCCFLLVFAAAAQVGDQGAPARPHWISRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQAQAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEEKKSIRPTFSAERIFGGVLLAMCSSDFICFYDWADCRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLEAGKPVDEEGVEDAFELLHEINERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLDVAKAIAIEAQSESKWKQLGELAMSTGKLEMAEECLRQATDLSGLLLLYSSLGDAEGIEKLASLSKGHGKDNVAFICLFMLGKLEDCIQLLVESNRIPEAALMACSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASQRGHYPPANEFLDHAERSYTTLVEAFKRMQVIEDEEPIDQAEENGEPDQEALEVNEMESTDEAVPVDTDEHEEAVLENGNEGEEQLGTNNEGAASA >Dexi3B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:3B:3257200:3258213:-1 gene:Dexi3B01G0004840 transcript:Dexi3B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTALFLKRRRAREPGASARYSVRRAGGAGPSVMARRRVRRPLGAGTSLVARSRAGQILLRRRPLAACREDRMSALPDDLLRLVLDRLDTRSALGTRMLSRRWAHLPRELAALDLRAVDMLPPRYHRLLGLYMDIRNNATVLHYRSGTLPKLAADIRRYERRAMRAFTSAMESFLEGRPRRRISRLSLGFFTIGNAGSCMNRLVAEAIDAWGVEDLEAVAKPSFNRQGPHGRDGIHSFPSHGLCKEPRASRLGSLKLGGCVLPPLHEYGALTTLILQGIPDTTPAAAYEGVFTFVPPVADAAPHLLWVLHQQGRILDRGGGQPQLTNKRARGGQV >Dexi1B01G0025940.1:cds pep primary_assembly:Fonio_CM05836:1B:30906869:30908658:-1 gene:Dexi1B01G0025940 transcript:Dexi1B01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLMDHPGLVPVTTAQGEELRRQIGAMYYIECSSKTQQNVKAVFDAAIK >Dexi1B01G0021050.1:cds pep primary_assembly:Fonio_CM05836:1B:27014782:27015894:-1 gene:Dexi1B01G0021050 transcript:Dexi1B01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRRFLYLLLDNISQEQNTFALHRINVSGFFYPVRPPPSRSRGTERTVVGSSVNNQATIEDTRLPRPVITFQPPSSYFEFGKMQFMRFSSTQSGKDQIIGTDQRGNTLLYNMDSHTIRVMPILNQPKRMCISLTVGDSLYVMDKFPRTSDTKCFEALTHGHTSSDPFSKLDWHWHSLPSPPYLFETGYMLPNSYVRSYTVVGHSNIWISAKDIGTYSFDTVSRMWSKIGDWVLPFYGHTEYIPEYNMWFGLSDASNNLLCTSDLSTASEFKPPKLRHAWDDDLKLPEDWVRGMAYVVHLGSGKFCVARFFQTLDEEPCEGGFICRECERFLVLTVVEVERCGKACRGLRIITHRSKRYRLENKLLDLVL >Dexi3A01G0024300.1:cds pep primary_assembly:Fonio_CM05836:3A:19928971:19944692:1 gene:Dexi3A01G0024300 transcript:Dexi3A01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSISVNGWSDAGHAVNHLYDILYMMGRDDILVGVGGDGGISNSGTINPNVGGYLPLIDQGMTTVGGCRYRQAIPLEGGGRLDKNTNFGIRRGFLPQVFHSGIPITLVPLDATNTIPINEEFFYEFQRHQNTYEAQYCFKALKMARDTWFDDQFYTSYFMWDSFTSGIAISSMRNEKNGKFGNDFAQLEYMNVTVITSNKPYGIHDGSNPLFDDRTTPKFGLKKGGVHSGHVQTGVTDSFCRVKGSNKGRCEDGYTKEVSSPEAAHIRLATKAKPNMDKYSPLDRKFFKSFLEALNIHDNSGHFNIKAQFPFNGDVFYKPDFMNKRTGRPVIIDMDMSPGDFVSLIYLLKAPIEVMDLKGVLVSGNGWANVASIDIVYDILHMMGRDDIPVGRGNTTALGTPTLGCNYFSIIPQGSGGFIDSDTLYGLARSLPRSPRRYTAENSIKHGAPRNTDNPELRQPLAFEVWLSIKEQLDPSEKITILSTGPLTNLANIVLSDKNASSFIKEAYIVGGNIRDENDSKGNVFTVPSNRYAEFNMLLDPLAAKTVLESSLDITLIPLISQRKAASFQSVLHALKHVDHTPESSFVHRLMLRLHDLQQKHQLYKHMDMFLGEVLGAVYLVEGMNIKPSLQPKPISIVANSTISMDGQIVVNKESANSVKIVQCFIDWHKHNRGAKQLVPASEESSSPARSLSGLCCYQPPPLSLARPPARASSRPSSAARLRSMMSARAVAVAVLMFLAAAAAAAAPRRILVDTDMDTDDLFALLYILKHNRSEFDVKAITINANEWSDAGHAVNHLYDILFMMGRDDIPVGVGGDGGISDSGDIHPNVGGYLPLIDQGMSTAGGCRYRQAIPAGRGGGRLDIDTNSGLRKGFLPQGSRGYRPLRQPTAQRVMEETLTAGPTTVLLLGAHTNLALLLMSRPHLRRHVERVYVSGGAVRVPGNLFTAADANPVAEFNFFGDPFAAYTVLHSGVPVTMVPLDATNTIPVTEEFYAEFQRRQSTYEAQYCFLSLDEALARLRRGSSSHSNTASTGYYMWDSFAAGVAISSMRHGETGGDNEFAELEYMNITVVTSNRPYGVGAHDGSNPFFDGRATPKFGLKKGGVHSGHVQTGIRDAFCLVPGSNRGRCEDGYTKQASGPEAVRVYVATSAKPNTDKSSSLDREFFKSFLEVLNLPENTGRFNISTQFPYYTEVLYKPNFRNVSRGKPVIFDMDMSPGDFISLIYLLKVPRQEIDLKAVLVSGNGWANIASIDIVYDVLHMMGRDDIPVGLGDTTALGHPTLGCKNSYAIPHGSGGFVDSDTLYGWARSLPRSPRRYMSENLDHPERRQPHPYDVWQSVRKQLRPGEKITFLTSGPLTNLANISLSDMDASSVIERVYVVGGNIRDRGNEKGNVFTVPSNRYAELNMFLDPLAAKKVLESSLNITLIPLNVQRKVASFEGVLAALEQPTQHTPESRFVHGLISQLQELQRKQKEYHHMDIFLGEVLGAVYMVQGSDLEPSVKVKPVSIVANNTESTDGQILVRRKSANLLKILYNLNYGVYYNHLANSLANSKQSAIVGSFDEQKAIWSRPQKQFMAT >Dexi9B01G0028270.1:cds pep primary_assembly:Fonio_CM05836:9B:30910778:30912738:1 gene:Dexi9B01G0028270 transcript:Dexi9B01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTSLNSPVVADLPTLALPAAVMAFTTPTSFQCPGLCLNTTKKIPLPGKIEEVRATGWLDLMMASSPTRKRQIKDVVNDIQTDDLDLQYRNWLVNYPSALTSFETITDLAGSKRLALFLDYDGTLSPIVDNPENALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELYYAGSHGMDIMGPVRKTADTNGVECIRSTDSQGKEVNLFQPASEFLPMISEVYEKLSESVKDIDGARMEDNKFCVSVHYRNVAEDDYKMVFQRVTAVLEGYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLRKLAAWKELST >Dexi2A01G0034060.1:cds pep primary_assembly:Fonio_CM05836:2A:44168904:44169909:1 gene:Dexi2A01G0034060 transcript:Dexi2A01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVIAFVLLCSLLVVSSTAEQFDFFYLVQQWPGSFCDTRQGCCFPETGKPAADFGIHGLWPNYAKCHSRSSYDDLTRAALGDVDVVFFLSRRRGKKKCWPEYCDDGNTLSPWEIRDLVASMETSWPTLSCKSSRSFEFWSYEWKKHGTCSNLGQHDYFARALALKAAHNLTAILAAAGIVPSATATYSMTGVSDAIAKGTGFTANLECNRDADGESQLFQVYQCVNRDGTQLIDCPLQMPTKCRGDRVKLPLF >Dexi3B01G0026950.1:cds pep primary_assembly:Fonio_CM05836:3B:22374320:22377951:-1 gene:Dexi3B01G0026950 transcript:Dexi3B01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEYHEEHVRNPRGVQLFTCRWLPASSSPKALVFLCHECGIKMATAGYGVFGIDYEGHGKSMGIRCYIQKFDNLGYRNRSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKIRKNKLIYQDKPRLKSALELLRTSRDVEDNLQEVRMPFLVLHGEDDKVTDPEVSRALYQRSASTDKTIKLYQGMWHGLTAGEPDENVELVFSDILVWLDQRSRHWKPEERVRTPLEPENKQQLSKKIIHATSSNGAESPVVPVSSHGRSKRGCSFLCGLVDT >Dexi9A01G0036040.1:cds pep primary_assembly:Fonio_CM05836:9A:40583640:40588030:1 gene:Dexi9A01G0036040 transcript:Dexi9A01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSFFSLRLPTPSPSHATSSPSFSLPLLRQARGGAASSALVARAAPGAPSPLFNPRGDPFLSTLAAASPEDLATAAGGERRGDDHLPFLEIFQNAKLMASPAQVSEGSRLVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKAEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEILWRGQEKYMSDMLSPDEWDKVAGVRRPDLM >Dexi5B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:5B:13288099:13288407:-1 gene:Dexi5B01G0015860 transcript:Dexi5B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLFSAAALASCRRRGAVVLVMVGDLLALALLFSAVGAAAEFGILGERGNSHVCNVYGAFCERAMAAVIVSLLAAFANLVMLMLTILTIHKNSSFY >Dexi8A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:8A:2337536:2338237:-1 gene:Dexi8A01G0003250 transcript:Dexi8A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPGLLPTPPKCMMLPLLRTPPCAAAVVLPSSAPKPSRADAAGRWDAHKNKINKQTGSPASTSSSFSDALDGKNHLTTRTSSASSSNSRAYSDERWDAHKKPPAASPGASSSSSARRSSSSSSKTKTCRQISKRLPNNGRASTSSAAERWDAHKNKKPPVAELDDGESSSTGSNDVELLDMLMPQQPTPRSLYYAGPGFIAVPEPSMLPLPSFLISVA >Dexi2B01G0029310.1:cds pep primary_assembly:Fonio_CM05836:2B:37749714:37751967:1 gene:Dexi2B01G0029310 transcript:Dexi2B01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARPDGSIPHAAPRRRPQLPAAAHESRASQSRVRPTMEEQQQRLKEERPRFGVDLPEPLLRTRLGFLSDLTERNWTRRHLLWRQHDSYWPTWLHLGGGMNPVDQPRLQLFDGEGGGGGLGDVEVDPDLSSQRAGYPNLGPKLLGIKAHDDIDLVQQPSKGPPSLRVLGPIQLEVNDHPRADGFRLDRGSAASTLTLERGFRLDRGSAASTLTLEQGFPSRSRLSGLEGDPYPRAGGFRLLATPTLEGVFSLELAIQARARLDGNSAQPTAVHQSRHDAVKKQGSLPHAITYSSDRTSWTLSVMLHARPNPASSTVPTTLLTLPPAGHAATLEGNLEGGHGGSNWTRTQVTTLDAVNCHEKEGHHVSHCHVVSRVGRRDSRSRTELISFSRLACKPYYEQHATRCIAPLLDVRPRGRNQDKPPSLTLAIGKTSG >Dexi5B01G0031580.1:cds pep primary_assembly:Fonio_CM05836:5B:32251800:32253068:1 gene:Dexi5B01G0031580 transcript:Dexi5B01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLDQFLLLAFIFVNFAGVAGVAGVDDEKPSRSLPPLLSALTPGLPLSAAPSPMESLLRAFRASVQYFLLVSSNDDTPHRRPCCKSSRPLFFSPPRGCGNSPPPMASLSSFITSRSVVVVVVVTILLLRERGDGASSASTRVVVVAAWCAAMAPPPLNPHTAGFALQGKNTMSPHALGVISSASASILIRCINCIGTLSFSSLGVVVHRWSSSWPRRAERSVEDRSLAKLVARPRKMSSNRLDVTRSNEEPLFVARHGCCGRPGGGLGLGGGGIFLCMSLPHALFLGTPGELSQEKGTCVRSGDDSRRHRGWRDDASTETHERRGVGSGESSSGAGGCPSALRSSLSVPARLVMMIWRSMENDATRRETGL >Dexi9A01G0048740.1:cds pep primary_assembly:Fonio_CM05836:9A:51466095:51468224:-1 gene:Dexi9A01G0048740 transcript:Dexi9A01G0048740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWRHSHALPPTNLALRVLPSSAVLLSPLSPGPPAAVVAESSSSQKRSRLDAPLLAPVGFGSSRRKKKQQGLLASPCLLAPRRQGQASCGKTSCGKRDRPQALAQVCKAEKCAAAAREVPSSPSPPPHASSRARIAGYDQGVNAVGDLGTTREVPVEGAEEGEEDAGSTTAAGDLGVAREVLVEVTEEGDKEASEEDERDLREASW >Dexi3B01G0035480.1:cds pep primary_assembly:Fonio_CM05836:3B:38469743:38471639:1 gene:Dexi3B01G0035480 transcript:Dexi3B01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARKEDHHHHLRALAARVVTDSLRAAGAERPTAADRAARFEDCVRSLEAEKAKMEVFRRELPISVHLVADVIEWLKEELAQHRRAPVPEPELFAPVASSPAAKRKAAPVKGEADANDKRSWMSSAQLWTCGEHDDGSGTTTTTNGATADAAKPPHKVSNAFMSLSVLPATLARSSDDTAEKPVAAPVPDLTLSSPAIDAAACPAAPSATSSAVTDGVASQRQHQQQQQRKARRCWSPELHRRFVAALQRLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASSSDVVGDHHAAAAGMWSAPEQQYTTSQQSTSQTGSPQGPLQQLTVSSRAMSATAGADSCDGDESEGGRSESYSFGMQKASSS >Dexi1B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:1B:519174:521342:-1 gene:Dexi1B01G0000600 transcript:Dexi1B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVLAIAFFCVFLLASAAIASLLVGRCLAALRRCCRPDDADDLEARRPRAVAAPHQLLMASSKPQQQEPRWLVWREVEALTGGFDEAAVVGRGGSSTVYLARLSGDDVAVKVHRWCGGGERRLRAFRQELDLLRRLRHPHIVKLIAYSDDHEEGGALVLEYLAGGTLADRLHGGASATTPLLPWAHRMRVVHDVACALEHLHDASSSGTGGAPPVVHGDVSASNVLLDGELGGGARLCDLGSACEGFSAAVAPTRAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEAVTGLPAAGAPGEENLAARVLPRIRAHGVAGLVDARLGDDGYDEEEAADVARIAVECAAPQPGLRPAMAQVRAAIAEKAARSIAKAEYHGHQHIQLSKLLELT >Dexi7B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:7B:21237278:21244000:-1 gene:Dexi7B01G0015200 transcript:Dexi7B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKSAPASSGGWLAGWGWDIMLGSIAAFYAVMTPYTKVEESFNVQAMHDVLYHTHHIEKYDHLEFPGVVPRTFIGALVISIISSPAVFVMRLLHVPKFYSLLTVRLVLSCVTLLSLRLLRVQVKKKFGHHAEAFFVVLTAIQFHLLFYSSRPLPNIFALALAPSVRVNKGSKLFMQLHYTKLCAIHIFLQIVAAVVFRCDIILLLGPIGFALLLFHSYFNIFSKLYGVGIVIQKNLLRPIQFIGTLPRHFLAPCLWRTLFACLIVYFQVGALLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIGSIPMFNVSAALAASRLYNNRKKSGWNLLYILMLGAFLVSLGYSAVTFMASYNNYPGGYALKALHEADSSGKEKMVHIDAFTAMSGVSRFCENEYPWRYSKEEGLSVEEFQERNFTYLLNEHRSISGYKCLFAVDGFSRVKRQPQIPPLSLVQEPKVFAHGNMRDPDILSRNWSGCP >Dexi2B01G0027520.1:cds pep primary_assembly:Fonio_CM05836:2B:36429573:36430863:-1 gene:Dexi2B01G0027520 transcript:Dexi2B01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKPSSLLALAAILIGLLTSATSPHGHSGFRATLTRVHRRPGNYSAAARRDGRRLASFSGAGTGTTSSRGLLEAQVENGAGAYHMTLSIGTPPLTFPAILDTGSDLTWTQCSPCTACFSQPTPLYDPAASSTSSTLPCASSPCQALPSAFRSCNATGCVYDYRYTVGFTAGYLAADTVAVGDASFPGVAFGTANGGDMDNTSGIVGLGRSDLSLVSQLGVGRFSYCLRSDEDAGASPILFDSLANVAGDEVQSTPLIQNPVARRRAPYYYVNLTGITVGASELAVTSGTFGFTPAGDGGVIVDSGTTFTYLAEAGYAMVRQAFVSQMAGLETVSGEPYDFDLCFAAGAAAAVPRLVLRFDGGAEYDVPRRSYFDAVDESGSVACLLVLPTSGVSVIGNVLQMDLHVLYDLDGGTFSFAPADCASL >Dexi3A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:3A:8346872:8348370:1 gene:Dexi3A01G0011560 transcript:Dexi3A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEGSEPLRYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDMQQHKVVVIGNVSADELVKKLLKTGKHAEPWPEPAPPPAAAADAPPGGSPGSGGKKKKKKSKSKNTANNNNNKPADPAPAAEGGTGPCPRPAPVEGGGGGGSCDEASDGEHDKPEGGGGSNGPAGAGDALDGGAGAPQPIAPAANGNGGGGGGKKKGKKGGGHGNGNANANANGDVGGAGAGAMVEVHPPPEAPTKPGAGNSGPLAVVDAGPYPPPPPGAAAMMSYPPGYYPGGVVHPPPAYVMSYNTVHPSPALRSSAYYHPMAGAAYTTAGGGGYFYSTAPVSAAPGSYYMFSEENANACRVM >Dexi3A01G0021990.1:cds pep primary_assembly:Fonio_CM05836:3A:17568395:17569707:1 gene:Dexi3A01G0021990 transcript:Dexi3A01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAGYRHIDCSPQYGNQKEPLRFVWQVGFSLKKIFEEGTLKREDIFITSKLWCTYHDPEDVPEAIDTTLQDLQLEYLNLYLVHGPVRAKKGTGLSVQNIIKPDIPATWKAMEKLYNSGKARAIGVSNFSCKKLEDLLSVASVLPAVNQVECHPVWQQDKLRALCQSKGIHLSAYAPLGSPGSPGNDGPNVLSHPTVISIAGKLQKTPVQVALRWGIQMGHSVLPKSDNEAWTRENIDLFGWCIPDELMAKFSDIEQACSHIFSIFLISW >Dexi1A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:1A:22598784:22605161:1 gene:Dexi1A01G0015500 transcript:Dexi1A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANGEQPAAGASSDKLRHVESMSQLPSGAGKISGINAVVLGESLAAEENDLIFPSPEFSANALVSSPNQYREMYERSINDPAGFWSEIAETFFWKEKWNPSEVCSENLDVTKGPVEISWFKGGKTNICYNAVDRNIESGNGDKIAMYWEGNETGQDGKLTYSELLEKVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPIFLKDIVDAALVESEKNGVSVGLCLTYENQSAMKRDDTKWKAERDVWWQDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMLYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWHQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLIITVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDISTLADPGVVDQLIALSDC >Dexi2A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:2A:32488102:32488786:1 gene:Dexi2A01G0020370 transcript:Dexi2A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMPKAAASVALAMVLGLAMVSLVAGTSGLATFYTPSYLPSACYGFQDQGTMIAAASDVFWNGGGACGDIYTVTCTGATNEGVPHPCTGRSVTIKIVDYCPAGCRGTIDLSQEAFAIIANPDAGKVQIEYRRI >Dexi8A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:8A:20076247:20077473:1 gene:Dexi8A01G0011390 transcript:Dexi8A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLTCHLALAFSLLAASLSHLLVAAVSHLSPHHRLLRLLRHPLVGLLPPLLALPFAFLPLAPATPLLPLLLLPPLLPLLPLPFLPPHLPLLLSLPLLLLARAAGLLAASFPASDLQQHALAVARILLLAAAAASLASSLSAASAQRGSAAAGAHFVAEVGLACAGAVGGLWAAQSGLILYVDACVPAGCHRLLDAGGGAAPATRCEVEEARLRGVAIMDLALSVHCVVVAAVAVGVLLAVARWFGVDISSGVGRRHNGSSYDALPTVPSAGSTAEMEHLQGKGIVGKSVAQE >Dexi9B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:9B:8051566:8056326:-1 gene:Dexi9B01G0012110 transcript:Dexi9B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTXXXXIALALAPPPSDAASASDAPPPSPPPTPRGPAVVLHLLLTHPSHPPRWDDLIRPLELLHGRLALLATADPPLAAHAAACFELAWRANAPGREALVAQTLPYLVALALTSGATARPVIRRLFALRDALPLLDYDDDQSISDFKMLLLRCFVSPLFLKAEEGRKFLALVLGVSEGIAREGLELIRAQVGIKGAKRAALVAYGEVVFRAWKDGGWVRAEVGEAFLQGMMEAAVHAGSKEVAKAARKIISAFVEQRAVAGVEKLVFRLAEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLIEKQFFLIEKLLMDDCPEIRTVAVEGICRILNQYWEIVPAPTISKFLSKIVDDMSKDSCNEVRLSTLNGLIYLLDNPQSHEVLKVLFPRLSDMISDSALSVRTAVVDLLLAIRDLRSFQYNKVVGLSPLLSSLANDHPRIAQKITRLLIPSYFPSKLSTKEACARCIALIKRSPVAGARFCEFALSEGSSPRSIVDLVKFSITLALSQTGLNSEQIDGLIIASANLIKSLSEERSSLATLREFFANAKLRLVLQTVVSEGARAALLSIAPDVLPDDLSVLHEECMDIVVNAARISKQEECQETALAAHKLMVLSGWSDELFEALANILQSKASDFAEIYGFEPPPCPIANLRRKKGKSLKKTPARDVGKGSSKSKVSNEDLAVAAGAAWQINQLVKAEDLRDAFLQSSYSEIAFSSLKVISQVFIEQCLYLDSLDLAPVLAYLSLATYNLPDIDTRSCSESSTANLSLDHLLNCFDKLLNTPIKNPPPPSKSKASRSKGQQKGASEGNTVKGTLNALMLGTSILKFIVDTTTIKLVNDDKIRCLKFASSYTKYAISSIKKHQEQSSSFTGDDLKDALVLIRSSFTYAAKIIHLVLSSSTEESSPPEVFFLANDLLDLVPSVESFAGSRFALSTVSVLKQWLPVLILGLACRWLMGPQNEMAANFCPFGDSCLPLWLTAVAKNELLDGKEPGHDEQSGQAAEGEDSPSSRKLAEMMAILLKKGSPRILDCVGGVLLSTLQLMLQRSEYGVVLGITRFVCSRLLGNSTSALENLQLTEDSLRDNFFKIDRYVGEELVVDDDRQILESTKLLIRSVLPED >Dexi1A01G0031140.1:cds pep primary_assembly:Fonio_CM05836:1A:36267290:36269851:-1 gene:Dexi1A01G0031140 transcript:Dexi1A01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRQVPVLWCTTGLVLTWTRCQRHRVIHGESAKRRADEVRSPQPMQGPFCDSSVLRAVFGLCSSWEHVEWESLSRDPSRVIAQYIFFLLQLTPSSPPARAAAMSLRLPSSSRSLARRIGREVGERPVARSSSVGRWLRPVGDGGPAVMVDQAAAESAAAEGVSPDGQGWNPGEAAGAAGSRVVAGGGRNGWSSGRLPVASRALTVPLGRSRIKPAMRNWGSRTIATVNLELMRNRAHPGCRSLAAAASTMGATPHRHTASQSMQAYLLLAMPCMALQRRLGATACLPIKISGHRIGGEARDEASGHDLTAMMHRSTRREADVRPLRGPTSSHRQGAT >Dexi8A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:8A:21663828:21666127:-1 gene:Dexi8A01G0012370 transcript:Dexi8A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLASNGAVAVSAAAATATAAWNFTPNEALLGLTAFSVRGVLARVKAGMEAGGGGGGERKVIPMGQGDPSTFKSFQTAPETVDAVAGALRSGEHNSYPTYVGLEPARRSIAQYLSHDLPYELSPDDVYVTNGCAQAIEIMCSVLARPGANILLPRPGYLFYQAHAVFNSMEARYYDLLPDKDWEVDIDGVQALADENTIAMVIVNPGNPCGNIAETAQNLGIFVIADEVYAHLTFGERKFVPMGVFGAVAPVFTLGSISKRWLVPGWRIGWIVTNDPNGVFQKTKVVDSIKSYLEICSDPTTFVQGAVPNLLDNTTDEFFNKTIKVLRETAGICWEKLKGINAITCPSKPEGSL >Dexi2B01G0031040.1:cds pep primary_assembly:Fonio_CM05836:2B:39264845:39268410:1 gene:Dexi2B01G0031040 transcript:Dexi2B01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDCPPLAVELPPRESSPPAPAPADASPVGVTVITGYLGAGKSTLVNYILNEQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCSVKHSLVQALEQLVQRKDRMDHILLETTGLADPAPLVSVLWLDDQLESSIRLDSIITVIDAKNFRVQIDEHKHSSSFPEAFHQIAFAVTYHLCDVVILNKIDLVNDNLDDLEKHIHDVNALVTVVRSVRCQVDLNELFNRQAYGAKNSSHLQELLDYSKSIPPNRRHDNSISTLCIYEQDPVNLAKVESWLEDLLWEKKSSMDIYRCKGILHIHDSDQVHTLQAVREVYEVVPARKWSETESRINKIVVIGRNLDINVLQDSFSGCKS >Dexi5B01G0022950.1:cds pep primary_assembly:Fonio_CM05836:5B:25217970:25219541:1 gene:Dexi5B01G0022950 transcript:Dexi5B01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKPKRRRGGASARSRKKQKRLDAIRDVAPPPPPPAPLGGGGGGDGEDSDAEGIRRSTRVRRAPAVLDTSPLPSPRGKRPRRGGGVGASGSSRRGSRGRARDEADARGMEEEEEDDDEEGSVVWRSRLRDRVKRKAKREGRASSLWFEDEDSGEEEENAEEEEEEDDDDRMVVVDVRERAEDDELSEESGELQSQGRELTDREINLTIDLNVDTREGVEGVNVVEKEDGEKGEKVGEEAASAEHEEEDEGQTVGARNDLEEGKGEEMVVEEGLQQQEKPEELELPLLGGEGSGKFPRDASNEEVRASNSDRTEQLDVQNEQISEESNHSAEQQMELDLSGPAEQDEGVQQDEQMGQVPDEVLAEHGPKERMRKSPISDEKRGVKLVKEGRRCGLCGGGTDGRPPKIALHDSVDSENEAYEGALPSEEPNYDMWDGFGDDPGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEITTD >Dexi6A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:6A:26317081:26319540:1 gene:Dexi6A01G0018570 transcript:Dexi6A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGTAASSILPVRHPASRLTPHSLALRASRCGPLRAAGGGKDEAQGAPAANGSPVLKLKSDASQNGVLPIAADKAQKASSTNPGADSSGTRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSGMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQEWAHKEYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYETIQPDKVAVDGAKQSLKELNAIFSKPLREFLSSEGEVDDAAVISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKKALHKTIKTISQ >Dexi5A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:5A:20332206:20332755:-1 gene:Dexi5A01G0017150 transcript:Dexi5A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPVKAEAKAKRETETTDMELGNRASRLADFEKVGWSKEDVKVDLAMGSSKKGRDRSRKGKEARKAAMAEKRQKELEEFEEWCRKKDEEEGLDQDPEELADPYAYQARLFEKRWNMTYGDFGRYEDNSE >Dexi6A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:6A:1868789:1870021:1 gene:Dexi6A01G0001960 transcript:Dexi6A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNNKQQPGRPSSSVSANAAAAAANDAANASAAAAASGGSGSGDGNAYTSFQGLLALARITGSNSDETRGACKRCGRVGHLTFQCRNFLSVKDLDLDDADAAAAAQAAAQAKFDEIKKKAAAGGDVDEFSDDEEEEEDSDSSDSDVDPEMEKIIAERQRARNGGRRSREEEKSSRHHRSSSSKRKSKHTKSRKSDDSEDEEEEGRRGRDKKKRASRSKRHETSDEDGSDSESDKRHRKSRKDRKRRRSHRRSDDSSDEEGMSGGEERRRRRHRRRERRHHKRDASASDTDGSESADDKKRSSKRRRHRGSESNGLDEDERHGHRGAKRSEEKSRHRGSESNGLDEDERHGHRGAKRSEEKSRHRKKTREEH >Dexi9A01G0041030.1:cds pep primary_assembly:Fonio_CM05836:9A:44689373:44694832:1 gene:Dexi9A01G0041030 transcript:Dexi9A01G0041030.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKVFRNYDPGLRSQEKAVEYTRALNAAKLEKIFAKPFVGAMDGHIDAVSCMAKNANHLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLTTSTDGDLLVSCGVDCTVRLWKVPMLKMPSAVYTWKHAFWGADHQWDGNLFATVGAQVDIWDPSRSEPINSFEWGKDTVLSVRFDPGDCNILITSASDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDARRLDEAKVVHKGHVSAVMDIDYSPTGREFVSGSYDRTVRIFNYLGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERKKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAGILRRTMIEAENRKEEKRRKHSAPGSMPVQPFRKRRIIKEVE >Dexi5B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:5B:3159544:3160992:1 gene:Dexi5B01G0004680 transcript:Dexi5B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLNNPLYFDFRAARRVPESHAWTGLDDHPVVDGGAPGSPDAVPVVDLSDGDLAGAAASVASAAEQWGAFLLTGHGVPEELLARVEDRIACMFALPAADKMRAVRRPGDACGYGSPPISSFFTKCMCDVMEEFHKEMRVLADKLLELFLRALRLTHEQVAAVEAERRIAETMTATMHLNWYPRCPDPRRAVGLIAHTDSGFFTFVLQSLVPGLQLFRHGPDRWVSVPAVPGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDMDRISLGYFLGPPPHAKVAPLPEAVTPGRAPAYRAVTWPEYMGFRKKAFATGGSALKMVAAGEPDDAGAAVVHQSPPPLVVSS >Dexi4B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:4B:14313274:14319030:-1 gene:Dexi4B01G0013990 transcript:Dexi4B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVERATSESLIGPDWSLNLEICDILNHDPSQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMQVAEKDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYAAYQEMLNHIMFFIASLTEIQNARGVMDVLSEMLNAIDPNNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTSDEDLLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKAFPARADSSPTKPEGTNETDQRSSKDSSSVTPFEQLALPAPPSSSASKSHVEPAVNPNIDLLSGDDFFKPEPVHSQALVPVSNKPAASGSSSHGTLDLLDNATSNTNQNHAIPPMPNTNPNPSAAQAYLAPQQPVPPQHPVPPQHPSPYSNGLNSNTLAPYDQRSNLTSASSWNGQFAHGVIPQQQSPNYGTNTVKMNKPASFRHHPGKLSLQRASNSKLTILVGCQFRHNLELVNPSLGSRL >Dexi2A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:2A:2238527:2241676:1 gene:Dexi2A01G0002810 transcript:Dexi2A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQVAGGAGCVLPPLLARRRAFRPPRASSAAAAAAAGTAKEEDGKVALGGSGVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEQVEVAIATKFAALPWRFGRGSVISALKASLDRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPDNPPKGPRGRIYTPEFLTKLQPLINRIKEIGGNYGRTPTQVVLNWLVCQGNVVPIPGAKNAEQAKEFAGALGWSLTGEEVEELRSMAREVKPVIGFPVEKL >Dexi9B01G0028530.1:cds pep primary_assembly:Fonio_CM05836:9B:31140734:31154508:1 gene:Dexi9B01G0028530 transcript:Dexi9B01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNAGEVVGKAKHADKSVEGMGLVPLFRPPGVPSWCGANFLFSQVLSCKFRTPSLRLLSPRSGNPLHRRRLGRATSSATCPKPYSTLSLSHSPSILASPEYLTLSSPPSQASEADLRRQLEQTLAADPSSPLHHYNLGVFLWGRSEAEEGDGDEAQRLRAAAAEHFLSAAKLNPNDGAPFRFLGHHYARGGDTQRAAKCYQRAVALNADDSEAGGALCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQGRFIRGNGQMPYKTFSMRYEVIQHAQIYGRWALGLAYHRLGMFTAAVKSYGRTIELDSSRVFALIESGNIQLMLGDFRKGVEQFRAALEMAPRNHSAYFGLASALLAWARNCVTTGAFGWAASLLKEASEASKICASLTGNFSCVWKLHGDVQLALARCFPWVDGKINGHMDAEMFKYSVQEWRNVCRSAANGAKHSYQRALHLTPWEANAHNDTAICLDLIYSMDDNNIHNDNVWELTEKMSLGALILEPINKDFWVTLGSMSSDLALKQHSFIRALNLDMSMSEAWAYLGKIYRQSGNKQLAKEAFDRARSIDPSLALPWAGMSAENYHQSGGGAVNESFESCLRAAQILPLPEFQIGLGTIAAFTGNLLSPQVLMAVRQAVHRAPHYPESHNINGLVSEVRSDFQSAIKFYQQARFALGMTHHSKSDNKNAFADVSLNLARSLCKADLATDAVRECEELRSQGLLSMDGLQIYALALWKTGRNEEALSVSRDLAGNLSGMKPETATAALGFICTLTYAISGKDSAAAVIHKLPGQLNYSSQLKFIISALDALHPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGKAIGEKLDTPLRFDASLSYLKKVLHMYPDCSLVRNQLGSLLLWSGDWMASHKAIRVPSLKRGHTSSVGLRSAHQIQACAMVCCYATCTSYPKFSFPTCENQYLSGDDAIHHLQSAEKALAQACAEGDTDSCIFFLNGATCMEIARRFAAPQFISRAASSLRKAQQKSHASLPLVSLLLAQAEGSLGSKTKWEKNLRLEWFSWPPELRPAEVYFQMHLLARQSAGAASQQNQLVETMQSPEMWLLRAIHLSPSCSRYWKALLQLMDESRASPRGTPPLAGPPRRATRPPLPRRAAPFVFNFAALPSRRRLLRSPPLPASSQASKPTHEQGTRISPRSHTRSTATPPSYSSHARPAMSGGVGPTAGGGITLPSMGAPPPPLHPTPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPLSEIAFAVLLLPYLLFLAWVAFPQRPGKPDPAASVFPTGLGVRLPLAVHTALGFVLGAALPALYILDGLRSGDKAGVAAAAPHAFLLSSQVFTEGIAAAWPGTFSLPVRAAVPVMYSARRMFAASEWLREELVQERDDLGVGPTVAPRRVVAGRVLAAANLVFWGLNLFGFLLPFYLPKALRRYYLGTDREDDDGEEDRSRAKEQQKKQLQEGEGKKDS >Dexi3B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:3B:3351330:3353187:1 gene:Dexi3B01G0005030 transcript:Dexi3B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQTGSGPIGGALFSCLLPSWLIYSLHKFPRRGAPATSSLQAPQQPEEQQPVPQNSNQSGQNSAPTTGVPVSTSGNGDATSNNSLNCAPSTSAPTSSSVVGLLQGSINCRQDNPTSSGNGMYNGGNNASVARANSTNSMQSNPQASFPSPVPSASNGSMMPAPQHSSQMNSPTMSSNLPPMQTPTSRSQEPEPNDSQSSVQRILQEMMLQSQMNVVGPVGNDMKRANTITPGLNGVNSLIGNSVTNNSGINGMGFGAMGGMSIGQSVRTAMGNNAMAMNGRTGMNHSAHDLTQMSHQQQQRDIGNQLLGGLRAANSFNNLQYDWKSSQ >Dexi1B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:1B:21473795:21475723:-1 gene:Dexi1B01G0015070 transcript:Dexi1B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETQSSAAKTATMMADAWDYKGRAAVRSSSGGWSSAAMILGVELNERLTTLGIAVNLVTYLTGTMHLSSAASANAVTNFLGASFMLCLLGGFVADTYLGRYLTIAIFTAVEASGMAVLTVSTAAPGLRPPPCDDPPSAAAVCVAPNGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDAGERRRMARFFSWFFFFISIGSLLAVTALVYAQDHLGRRWGYGACVVAILLGLAVFLAGTTRYHFKKLVGSPLTQIAAVTAAAWRKRALPLPPDPAQLYDVDGAGEDVKQGKKKMPHTKQCRFLERAAIVEAPPSPAPCTLTDVEEVKQVVRMLPTWATTIPFWTVYAQMTTFSVTQAEAMDRRLGGFEIPAGSLTVFLVGSFLLTVPVYDRLVVPLARRLTGNPHGLSPLQRISVGLLLSTLAMAAAALAETRRRRQGAPSSVFLLVPQFFLVGAGEAFTYVGQLDFFLRECPRGMKTMSTGLFLSTLSLGCFLSTAIVSIVHAATSSGGRRPWLTDDLDKGRLDSFYWLLAAMSAANLVAFLGVARGYVYNENRLAAADVPIKLLAHDDDDVVLVHA >Dexi1B01G0029420.1:cds pep primary_assembly:Fonio_CM05836:1B:33735708:33738204:1 gene:Dexi1B01G0029420 transcript:Dexi1B01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEFQAPPDVFYNESEARKYTTSSRIFEIQSRISERALELLALPNDGVPKLLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTFAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSINTSLPKAKGENGEMCSDDDNDDGSSDEDGDRTVGIYERNRSKKRQKTKKNGKGKDWLLKKKEQMRRKGHDVPADTKYTGRKRKTYF >Dexi2A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:2A:12394985:12395932:-1 gene:Dexi2A01G0010960 transcript:Dexi2A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPPLAADAAVGQGSTGLLPEGNCTTMCGDVAVPYPFGTTAGCYLPGFNLTCDTNHEPPRLSLGDGTLQVVGISLENSTVRVVGPDIPMVESVWKDYVANGTWGGQGWGLSDEGPYILSEEHNEFVLLGCALFAELGISGLFGNKVINTCGSICSGEVLDNGHDFSEQPNSRRCQKCSGLGCCQTLQVYGHINVPYSVFISEEGWFQPYNSSMSLSAIPAVLVWAIVSNVLPHVSDNTRDGNATCPKDLGSTACHSSYSSCRNMNRPYGSNHTASYTCSCWEGYQGNPYLPDGCQGT >Dexi3B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:3B:8367796:8370436:1 gene:Dexi3B01G0012000 transcript:Dexi3B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSDGDAEVEAYGASTFELLVSGNLKVMSDEGVYQCPFCSDEEQEYSLDDLLQHALEVGTAFDQQAKEKADHRALAKHLKNKPAESSVDSLLQPMLTDPQIPQNSRDEQFAWPWMGILVNMPNEFFGKSANRLKEHLSSFHPVKVHPVYSRGSPTRDAIVEFGKDWNGFRNARAFESHFTMKGYIKKCWKEMKCRGTEPVGWMARADDYSSQGAIVKEKEMHLEKLESEYNKSSTTLDELMQKKEQLLQSYTQEILKMRQLSQQNTHRVVEENRKLQSDLKGMMDELDRRNKQIEELSAQSECNIRELELEKQMNALKANNLRLAALEQQKASENVNKIMERQKREKEAVLENLMRLSIQSEKKHNLELDIKHLMRQLQVMELKPEDEDSGLGKKIDELKEELSEKITELNDAESFNQTLIARESKNSDELREAREVLIDALQGLIRTTNSQTHIGIRRIGELDSKVFLKTCKRKFPSEDAKAESIILCSKWQSEISNPEWNPFRVTMVDGHEVEVIREDDKKLHELKEYGEEAYAAVTKALTELKDVNGRRRDPFPELWNYKEDSKAHMTEAVRYAMKLWNESKVKKAKRRS >DexiUA01G0016290.1:cds pep primary_assembly:Fonio_CM05836:UA:34692536:34692865:1 gene:DexiUA01G0016290 transcript:DexiUA01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAPPMPAVPTLPAASAVPPMPAVPTLPAAGAVPQIPAVPTVTGAMPPMPVVPAIPAATLPPMPAVPKVTLPPMPAAVTLPPMPSIPSVGVPMPFLAPPPSA >Dexi1A01G0022700.1:cds pep primary_assembly:Fonio_CM05836:1A:29326522:29335401:-1 gene:Dexi1A01G0022700 transcript:Dexi1A01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRAGGDRDRGDHRPPFGHSGGGGGGRSGSFVWPPPATTPRPVPAQYPVRPMGFRAPMVLPHQVAYEPSVAVYRAPAPAKPSVSFSPAPPAAQDTIRAPPPAPSSSAPSPRQLVALASSSAPSAAALANEVEKKLFVSETALAPSAAAASAAVAAAQGAPASDAEGAADVDLAPVSKKGLAHPARPGFGTVGSSVMIRANHVLVDVADNNLYHYDVFINPESKSRATNREVLNELIKLHGRTALGGKLPAYDGRKSLYTAGALPFESEEFVVTLVDSEEKERAEREYKITVPIAGRTDMYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWKYINYISATSFFKPVTVIKFVEEFLNIRDSSWPLSNRDRVKIKKALRRVRIETRHQPDQIRRYKITGITPISTSQLIFPIDEMGTRQTVVQYFWDKYNYRLKYGSWPCLQAGRLVYLPMEVCKIVEGQRYSKKLNDRQVTNILRATCKRPQEREQSIRDMVLHNKYAEDKFAQEFGIRVNNDLVSVPARMLPPPMLRYHDSAREKTSAPSVGQWNMINKKMINGGTINNWTCLNFSRMRPEEIQMFCMDLTHMCNATGMGTGNQLQLLLVILPDVSGSYGKIKRICMKSIDLPEITKYRGLVSAQEITEDPFTVSKDPQKGHNVNGGIIRELLIAFRRKTNRRPERIIFYGDGVSEGQFSHVLLHEMDAIRKAYASLEEGYLPPVTFVVVQKRHHMRLFPEVHGRRDVTDESGNILPGTVVYFYFCSLAGIQQTSRPTHLHVLYDHNHFTADAVQSLTNNLCYKYSHCTHAVSVALQEIMEDLSVAPESSLGGVPKEQSSDCGPTPGKSQHRLRGGCSDTELVPLRKLVAKLIDEDNWLELITIDPDSQLLPAHKGVSEVVGLAEGARTVLRMLNDSLEWKHECGESFDGTFEVDDIWMVS >Dexi9A01G0033830.1:cds pep primary_assembly:Fonio_CM05836:9A:38638919:38642885:-1 gene:Dexi9A01G0033830 transcript:Dexi9A01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVLSFLPAKLVKVQWQPPLKRPLSCRTPAPALIGGAGVVGWGSKLMLLRLLPCSSWRQQRVWIQATGAGAATSSTAQAQRQQHMDDEGNHMSRNVSNFEPSIWGDFFLTYSGPLASSTQQYLFSQQRKMMEQAERLKERVSKNISDSSNCSLYQRMQLIDVLERLCLDHLFKEEINVILTDINNADVSGCDLQTVALWFFLLRKHGYRVSPDVFANFIDEQGRFAANSPMDLLNLYNAASLRANGEIILDEAVSFTKRHLESILTSIEGPFGHEVKCALEIPTPRRVRIYEAKHNISGHGEGYEVIMDLAKLNSDLMQLQHQQELRIITRWWKDIELQSRLSFARDRIVECYFWVVGVYYEPSYARSRIILTKVLAIVSILDDTYDVYGTSQECELFTKCVESWDLSVADSLPEKMKFIFGKILDTCQSIEDELSPNEKYRMSYLKNFREKMNSHVASTIESCMKEHGVTVQVAREKIQDMIEETWKDFNQEWLDINSRRLVPKELLERIFNLTRTMVFMYNQDDAYTNSHVIKDTINSLFVEPISMI >Dexi4B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:4B:2142935:2144348:-1 gene:Dexi4B01G0003160 transcript:Dexi4B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVITVASPAGSSGGGSYSRIYGPRNFEDEFVIAKNQDEINRVLAKIERIYDQVHLDDELVANNGGFCFGLLSPTTNILINSVISAASTPPLHGGRVAHGGRDMNQRSLDGLITFLTCLFPYLPDGEAMAYLDAADADPLVAALLVINRRGLGRFGFSSDATAAAVEAALRCAAVAADHPDPRRLVLGWKLLSRDLNNVVDMKPDSIIRRVVDAMRESSDTDLQLKKPWELAEARRLDLDGNIPIGGKDLRLPPARGAIKRVLLATIHGFYLQALARLPTAELRSRYHQSMLEGGYCYGPLDPVSNIIVNTVWYDQSFLSSSNQVSLDMISTKSLRRAAARSLYGLVSFLCTRYQSLSPDQALQRLLVAGADLRVADPKLFDDCHIVDTSVDEAYAAAATAAFHRSPLAQRELLGSPDAVSKLKVALLYR >Dexi4B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:4B:16430088:16434052:-1 gene:Dexi4B01G0015130 transcript:Dexi4B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRLYPPIEPYDLEAPQVPAAGDGEEKARACDGPVMWDEELDASPTPDEVPDIFEPGAKDHPPRYFKDGSLVVVNAYEFSDEMTTMKESRGNANDGYPLCDQTDIWVPASVPPMTKHDQEEWKKGFGYNSGCFPEEEYQWDIDEEHLELTMWDVLSEMVVAGKDKMLSIASFDLGRYGMSMVSDFFLEEALKDKRQTLEDISAGSEHALLETEPTKWLPDSAAPSCMLCGTRFHPIICTRHHCRFCGGIFCGGCSKGRSLMPPKFMTPDPQRVCDVCGVRLECIQPYLMNRFSRACQLPTQDLTDLSTLRSWINIPWAIKMEYEIYKAANSIYGYCKVGELKAEKSIPDSILREAKGLAIITEVKVGMMLAYKIGTGLVVARRADGSWSPPSAISTCGLGYGVQAGGELADYIIVLRNTDAIKTFSGNAHMSIGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGIV >Dexi8A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:8A:28346345:28346715:-1 gene:Dexi8A01G0016760 transcript:Dexi8A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSSGERWSLAGATALVTGGSKGIGHAIVEELAGLGARVHTCARNAAELEECRRRWADKGFTVTVSICDVGVRADREKLMAVVNDTFAGKLDILK >Dexi9B01G0046080.1:cds pep primary_assembly:Fonio_CM05836:9B:45448052:45451697:-1 gene:Dexi9B01G0046080 transcript:Dexi9B01G0046080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMTSALRAPSRTPSSKLNTERTLHLQLLIGCDGINSVVAKWLGLAESSYWGRMAARGLAHFPDGHGLEPMFLQFIGRGFRSGMRPCNETDVYWFFTWTPSDNGQKLKQFVLANLTALKVPPEALAVIEGSETSDVFAVPLRFRAPLSLITASISKGGVCVAGDALHPMTPDLGQDGCAALEDSVVLARCLGEAILNDDGQGGGARSRGRIEQGLQEYASGFRRWRSVELLARGKHIHLHFCTRRYQTFHGLHTPLYYLPLATPSAVTMEPKDAAAEDIVIAGAGLAGLATALGLHRYEDASSTNIPTGRRRRNFWVRSLVLESSPSLRASGFAFATWKNAFRALDALGVGDKIRSQHLQAQTYERFQWSCWCWMLCRLRVMSSATGEIVREADLTQQGKREPHEFCCVRRDLLLKVLEEELPKGTIRYSSKIVSIEEDGNIKILQLADGSVLIGCDGINSVVAKWLDLAKPSHSGRSAARGLAHYPNGHGFEPNFLQFIGNGFRYGMVHCNETDIYWFFTWTPSENDKGVDESAAKMKQFVLDKLRGSRNVPEDALAVIDKSEMGDVLAAPLRFRPPLSLIAASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGEALAGEEDAVENERIEAALREYARIRRWRSVELIATAYTVGFIQQSNNVIVSFLRDKFLSGVLAGKLLKMAEHDCGTLSD >Dexi6A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:6A:23284780:23286870:-1 gene:Dexi6A01G0015730 transcript:Dexi6A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMSVEAAKQNVPGHQVKQGADSVPAKENKKDVDGTPEPMAKEILEEQKPSHRRQESSASMLDKGPSSVCSDSGVLDEPLTPQGDSGELKDIQSLNCNGNQEKNTSQKSSISESFVSAKASDGTNSLRKTSGSAKISDRADFPESGKSSMCRPSQSSNISDESSCSSMSSSTTKPHKGNDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVSPTVVKSSNPGPDALQRNNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKQKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPAKPAPANDKAAPAANQKGTDNFLEFEFF >Dexi5B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:5B:13579024:13579970:-1 gene:Dexi5B01G0016080 transcript:Dexi5B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSAVFANAARVMACLEEVGVEYEVVEVDYMAKEHKGLEHLARNPFGQIPAFQDGDIMLFESRAISKYVLRKYARSAQDDLLREGNPEEAAMVDVWTEVEAHHYFPAMAPIFYECVVFPARLGTTPNQEVVKESLEKLSKVLDVYEAHLSKTKSTYLAGDFFSFADLCHFPFTFHVMSTPHASLFDSYPHVKGWWERVMARPSLKKLSAHMEIKP >Dexi3B01G0034710.1:cds pep primary_assembly:Fonio_CM05836:3B:37406131:37407608:1 gene:Dexi3B01G0034710 transcript:Dexi3B01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKERQRWRPEEDAVLLAYVRQYGPREWHLISQRMNVGLERDAKSCLERWRNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRYDWLLENFAEKLVGERPPPPPPSVFMAGAPVLPPWLSSSSSSNSGSATAVVTQQPGPPPRPPSPSVTLCLASAAAVAPPPPPPGQWIPAERGAAEAAAAAYGFTSPPQHAGPPGGGAPAPVGMAVVDGSALAELAECCRELEEGQRAWAAHRREAAWRLKRVEQQLMMEREMRRREVWEEYEAKMHAMRVEQAAAAERVEREHREKVAELRRDAQLKEEKMAEQWAAKHARVAKFLEQVGCSRPWPSAGADMN >Dexi5A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:5A:4493687:4494148:-1 gene:Dexi5A01G0006050 transcript:Dexi5A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi1B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:1B:3830838:3832330:-1 gene:Dexi1B01G0004800 transcript:Dexi1B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNGNTAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAINEEQ >Dexi2A01G0036010.1:cds pep primary_assembly:Fonio_CM05836:2A:45655688:45657774:1 gene:Dexi2A01G0036010 transcript:Dexi2A01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAERDHQFTDEEEDEFLLDEELDDQDLGSEEGVGAGGKRKRLGKSLGGPGKRGVCYLSRVPPHMNPSHIRQMLSKYGEVLRIYLVPEGQGHRKHTIVKAKAYSEGWIEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGETAEKTHIREQKLTLEIAAAKKQRDHYLSNVQKSRALKHIQERRKKVFGGSS >Dexi3A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:3A:4248171:4249624:1 gene:Dexi3A01G0006440 transcript:Dexi3A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLSNLTPVHAPSIPDCFLLPADHLHRATGATAAASLPVIDMSLPRDEVRAAILGAGKEHGFFQASSISIDHPVVNHGVPEKVLRDMEAVCEEFFQMPAADKAEFYSDDKSKKNRLFSGSSFETLGEKEVVGNYTVLVRGLAMEILRLLCESLGLRPDYFVGDISEGRIVLDINRYPPSPNPSRTLGLPPHCDRDLITVLLPGAVPGLEVAYNGDWIRVQPVPNSFVVNFGLQLEVVTNGLLRSVEHRAATNSSETRTSVATFIVPADDCVVGPDERFIGEDNPARYRAMSVGEFKRTHNVVNLGSSFQSTNLKNNQKCT >Dexi2A01G0029630.1:cds pep primary_assembly:Fonio_CM05836:2A:40692990:40696343:1 gene:Dexi2A01G0029630 transcript:Dexi2A01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGDAGHRRLPAGADRISALPDELIQGILAWLPSTGAAARTSALSRRWRRVWTNVPALTFHVEQQRPLGPNSSTPAAVDAALQAYSATAATLSRLDIDVHDASSSASRAAPWLTFASMRLAGELRLSLSGGAAACRQQQAMGVQMFVVHPIQIISNRPPPPHLDLPICERATRIDLARINCELRLPSAGAFAALRVLRIHEAKLVQGDVGRLVSTQCPRLVQLEMCDVSLSVASLAITSKSLERLVLRRVKLGMNGPINVAAPRMYCLTLDGCGDRSAAVTIATTMLADLTWNHAYEPNRHKLDGADRQIYRLVATYGSNVGLFKRFDLVDELCLHLSIPLKTQEYKKFLQDMDELPKTNILEVKGLSTKRHLETTMSHLLGKHTRLTKIKVDLFVKTPKECSPDCNCVTSESWTTDDVDMDSLEEVEISSFTGHLDDIELLKLLFRCKIKIRRLVIHTMPGISLSQEMQKYIWGLVRPHCINLEFETTQFSR >Dexi8A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:8A:24389775:24390354:-1 gene:Dexi8A01G0014090 transcript:Dexi8A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi7B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:7B:19889147:19891677:1 gene:Dexi7B01G0013390 transcript:Dexi7B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASREGMGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSSAGPAAAASRAVVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHSPPKEDWVLCRVFQKGKDGGEQDNAAGDYSSSSPTTTLAGGGSSSQTVALLPDDQQTHMVDSSYVVDQMTTGSSVVGFAPLQESFDPSLLNAVMWQYNSSVLDHFPATQEVTSSPMVGMGSRGVGDSGCSSFFYDTGFEDMVNIGGGGMGFPQGWMG >Dexi7A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:7A:19168685:19172172:1 gene:Dexi7A01G0007990 transcript:Dexi7A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSESSRNALLPGFLYAAPAASPFAAAGGVAGLGVSAPSAAGPAVWARAPSEPGRRIEMYSPAFYAACTAGGVASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVTLCPMEAVKVRVQTQPGFARDTMMKFASFEPVVEMIYKYAITAPKSECSKNLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAAPTPAAAKA >Dexi7B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:7B:5344395:5349584:1 gene:Dexi7B01G0002770 transcript:Dexi7B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKVKKRASPASSPIRISSSSSSGSLCSLSLKRHHDDPAASAPPSTPPVLPPGLIDIVAGCSRLLLTMLSLDHKLDYIIYEAAIQQLDDLTVNGVKKVMKELRLDAIVAPDSSSATVLVIAGFPGIAVPAGYDEEGAPFAITFCGLKGYEPRLIEIAYGFEQATKVRKPPMFKQ >Dexi1A01G0029720.1:cds pep primary_assembly:Fonio_CM05836:1A:35181545:35182813:-1 gene:Dexi1A01G0029720 transcript:Dexi1A01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETSCSIILRTALVASTVCIAFLLPFFGLVMALIGSLLSILVAVIMPAICFLRIVQNKATRSQVIACVGIIIVGVISAILGTYSSVKRIVESYD >Dexi6A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:6A:15503670:15503921:-1 gene:Dexi6A01G0010900 transcript:Dexi6A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGSHDDAGNQGRRDLGARRGGRQGGEPVAAERPDPEEADGERGGRPEGAPRRRRDLGERRYMEVRPCPVSAMATEGEDRKS >Dexi7A01G0023340.1:cds pep primary_assembly:Fonio_CM05836:7A:31359108:31359770:1 gene:Dexi7A01G0023340 transcript:Dexi7A01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGAAAAAVTGQGEMRSLALTPTWSVATVLTLLVAASLIIERSIHRLSNWLKKTHRNPLYKAMEKMKEGIHTFFVVFFY >Dexi8A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:8A:17342805:17344806:1 gene:Dexi8A01G0010350 transcript:Dexi8A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQRTGGQPGDKLSVIVVDENLWHANTARHMLSKHGFQGIEGNPHNACCLGYENSAYECGDGIKQKTNLMWTPYLESKFVCALDLLGEGATPKKIQMVMNIKSIKRKNISTHLQKHRKKIEKKLCNKNRKMRNRDSSSSQPLRTCETSPNTLESDDTNMEPIVITDNEMTSDQTESFTEETEGNKIYYEAMQRALRLGAVFDELQHCNDQTESYTEVIDHTFGGINVVVSEESLNTVDDAREVMSKVTNSYEQVSDDSGETGVVKLVAYSDSEDDEIDP >Dexi5B01G0031660.1:cds pep primary_assembly:Fonio_CM05836:5B:32350491:32353614:1 gene:Dexi5B01G0031660 transcript:Dexi5B01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDTGGYDVVCVAPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTGVDPDAHMIDCETVTDGEKDTLEPWKFKVAYDKLVFACGAEASTFGIHGVTEHAIFLREVHHAQEIRRRLLLNLMLSDVPGLSEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLIKSGVRLVQGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGASPFVKSLRFPKSPGGRIGIDEWLRVPSVRDVYAIGDCSGFLESTGKDVLPALAQVAERQGKYLASQLNRVIKAGGGHADSEAEADPGPPFVYKHLGSMATVGRYKALVDLRQSKESKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >Dexi9B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:9B:8799418:8803645:-1 gene:Dexi9B01G0013030 transcript:Dexi9B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLGSKPDAFKRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLARSIEKNSDQEECVIKLNDIPGGAKSFELVARFCYGVKIELSPANVVYLRCASEHLEMTEEVAEDNLIAQSEIFLNQVVLRNWKDSLIALETCDELLPRAEDLQIVKRCIESLASKATTDPNLFGWPIREQGIMQSPGGSVLWNGISTGARPRNFNADWWYDDASSLSFPMYKRLISTMESRGIRPEIIAGSLMYYAKKYLPGLNRRHSMGTVPLTATLSEAHSWLSEAEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWSTTVRENQVLKVGMDNMRMRLAELEKECSNMRQEIEKLGGRSSKGGGGGWASRVVPRRIGLKVRSQMCSAQEGSVSEQQKSMSAKLEKLQAKVTKQKKQLAADA >DexiUA01G0014690.1:cds pep primary_assembly:Fonio_CM05836:UA:30695163:30696788:-1 gene:DexiUA01G0014690 transcript:DexiUA01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLPPPPDPLLPRPEAAWGEPVLRLPGRPWCSGSALPSPQPLHFVQVRLCAYIPSPDPAQCGSLRHWEEIEFPCSNLGLYSVKRLKLQMRKKEPAHHSVAGTRPTTRSRTLLERQTEAGTSQMPSSGEPGTSQMPPPNPTANMTFEQTYDYFQGGSGQYTGTMPGYGQDPGYYYSGGMGSYGPQVGPSTSARYDYENPFTREISHINTRLSELYQQQQQMNQDMAHNTDLT >Dexi3A01G0028870.1:cds pep primary_assembly:Fonio_CM05836:3A:29807738:29810038:1 gene:Dexi3A01G0028870 transcript:Dexi3A01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLKSSLLLPSPISDFSGAAVSVSAQKRRKSWQPRGARMQVSAVADSKNILVMGGTRFIGVFLSRILVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVQHMKGDRQDFEFVKTSLTAKGFDVVYDINGREAVEVEPIIDALPNLEQYIYCSSAGVYLKSDLLPHCENDAVDPKSRHKGKLETESLLTSRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGAGNQITQLGHVKDLAKAFNLVLGNPKASQQIFNISGAKYVTFDGLARACAMAGGFPEPELVHYNPKDFDFGKKKAFPFRDQHFFASIEKATRELGWTPEFDLVGGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLATV >Dexi6B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:6B:22811845:22812627:-1 gene:Dexi6B01G0015470 transcript:Dexi6B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPMIHEASLRVLILSTTFLKEAAAHGLCLSEIGDMMSRQFTGKEEEPSALEVLCMEARNWVKERELLLPEPDFEEEDDDDDDCDAEDFTQFDLDSGDDAATCEGSFFNKYGTIGVSCRNPLSKLTEDIEEEHINDVRQDDVDACTSWSANEMMPPSSSFVKLSDMSGIEWSAFLGKFQELLLSMFRDRKQTAARGPWLMQRLGTSCQF >Dexi4B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:4B:6093337:6095109:-1 gene:Dexi4B01G0008450 transcript:Dexi4B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRAARVLFLLLALVVGLSGASVPPDPVACAHGTSDCTVSNSYGAFPDRTVCRVANATFPRTEQELVAAVAAAAAAKRKVKVATTYSHSFPKLACPGGRHGTIISTERLNRTVTIDKGKRLLTVESGMLLRDLIEVAGDAGLSLPHSPYFYGVTIGGLLATGAHGSSLWGKGSAVHEYVVGVRIVTPAPASQGFAVVRELGTADPDLAAAKVSLSVLGVISQVTLVLQPLFKRSVRFVARDDSDMAEKLAVWGGLHEFGDVSWQPWLGKAIYREDGRVDVSTPGDGLNSNLGLRAQPTAEIISARAEAERLQENGTDIDRCEAARRAVVEAERMAFGFTNDGVSFTGYPVVGFQHRIQASGMCIDGEEDGLRSACPWDPRVRGDFVYESAISVALRKVPALVADMRRLRDLDPSAFCGMDAMGVLMRYVRASSSAHLGKPEDSLDIELIYYRSYGDDGEPRAHADVYDEVEQMALRKYGGVPHWGKNRNFAFDGAIAKYPRAAEFLEVKERYDPDGIFSSEWSDQVLGISGSPSVVRPGCAIEGLCVCSEDSHCAPEQGYFCRPGKVYTDARVCRFQSGRHTDEIASL >Dexi9A01G0047260.1:cds pep primary_assembly:Fonio_CM05836:9A:50433756:50435388:-1 gene:Dexi9A01G0047260 transcript:Dexi9A01G0047260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAASAPPPSVFPKDSHPLPCLLLASLLLLLLLHFSSSSSPAALPHPPPPPPRLAPLPATAAAVASAGPAPPTLAFLLAGSAGDAGRLLRLLRATYHPRNSYLLLLDRAAPDADRARLAREARPAPGHDNVHVVGDPGFANPRGASALAATLHGAALLLRLGQGWDWFVHLDAADYPLVTPDDLLHVFSYLPKDLNFIQHSSYIGWKE >Dexi3A01G0027290.1:cds pep primary_assembly:Fonio_CM05836:3A:24176600:24178096:-1 gene:Dexi3A01G0027290 transcript:Dexi3A01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLLCNMQAFVLFTLTAALPSLRPPHCATPSAGCPHGTPGQLTVLYAAVCLLAIGTGGTRFSVATLGADQFGSARDQDTFFNWYFVFLYASFLVGDTAIVYLQDGVSWVIGFSVCLGATAASLVMLVLGARYYRMPAPKGSPYTELARVVVAAIRKARDDVSGPLNYYVGDGTVADSDSDGAPSKSLGFLNRAAMITANDATPETTVSDHRPSGWRLCTVQQVEDLKSLIVVLPLWSSGILISVYIGVMIGMVILQALAMDRSLGPRFNIPAGSITVCSLAAFIAATPVFERALFPLWRRATGALPTPLQRVGLGHVVNIAGMVAAALVERRRLGVVSVHHGADEAPGWVTPMSVLWLVIPLGVVGAGEALHFPGNMAFYYQEFPKTLRSTATAMAPLLIALGFYLSTVFVDVVRRVTAWLPGNINQGRLDNLYWALAVMATVNFGYFLICVSLYKSRK >Dexi5B01G0025020.1:cds pep primary_assembly:Fonio_CM05836:5B:27110621:27112638:-1 gene:Dexi5B01G0025020 transcript:Dexi5B01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLVGLRPAAERERPGGGGAGKGRKWSRLWRSSSSQRGSSAPPSEAPSEADAPSAAADALSSSVVAAVVRAPPRDFRVIRQEWAAVRIQSAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMNALVRVQERARDRRARISADGRDSQNTLDERTGRADPVKEAEAGWCSSRGTVDDLKSKIHMRHEGAVKRERAISYALSHQVLYLAAKIWICNKFIDFLHTNSNVLFLQRSSSHSGRPSSPAVPLRNHGTNRSNNNWGYLEGWTATKPWESRLMEQTHTELSTNSRCSESIEEMNAVSSKLSDVSSVKIRRNNVTTRVAARPPSTISASSSDFVCDASSPSTSSVTPVSATNFLTSERRSDCGHGGGPNYMNWTKSAKAKLNGSGTQKPLFQRQRSSDLHSNSRTALSSVDVQSTAGSEISVTSKRLSSLTLQGRGTRRSVDKENDGRPVALF >Dexi2B01G0023140.1:cds pep primary_assembly:Fonio_CM05836:2B:32692871:32696539:1 gene:Dexi2B01G0023140 transcript:Dexi2B01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTKKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKDT >Dexi1B01G0021790.1:cds pep primary_assembly:Fonio_CM05836:1B:27606215:27607358:-1 gene:Dexi1B01G0021790 transcript:Dexi1B01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPQLRVLLLLLAVAAACAAAAETVASGGSTSRVPALYVFGDSTADVGNNNYLPGSAVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLAY >Dexi5A01G0034900.1:cds pep primary_assembly:Fonio_CM05836:5A:36856082:36857046:1 gene:Dexi5A01G0034900 transcript:Dexi5A01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASRETASVDPKVTENEAECIIRGSSTSSRRFKWSKDRTKFLTNYLMQRASDAKGKDALFREDTLREAAEAVSQWFHRECSVADVQRRLTALRDKWRRIQNMKALGSASWDHVTRTINMPEADCKQYSVDHPKDSGMLNRPIEDYNELSFIFSDEGDPSPDGIHLLKKGQNAHSDDSKISEDPMEQKIASEDIRYLVLKIGELIDAIKSLQPRDFADDLWKAVTACGYNDRMSITAFEYFLKNEVEGKIFMVRSPELRKEWLAKFFSSLL >Dexi3A01G0026820.1:cds pep primary_assembly:Fonio_CM05836:3A:23378358:23378680:1 gene:Dexi3A01G0026820 transcript:Dexi3A01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPGPDDATSGPSTFAPCSCLLTSSQIICRITGSSNGQSCSSLARILAIPSMLAAALCVSAGASPPPPPPPPPGRLRVSDVTGSGGAGGVGAG >Dexi3B01G0037710.1:cds pep primary_assembly:Fonio_CM05836:3B:40474611:40481949:1 gene:Dexi3B01G0037710 transcript:Dexi3B01G0037710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFETTAARARLEKMLCTTDMDPTKLPLSLLKEITNNFSDDQQIGSGGFATVYKGLLKNGTIAVKKLKNVIMDDTNFNTEVVDNWKTRIEASDGDTKWLEQVRLCVEIGIKCSDLDADKRPDARQIIEWLDETEHSIGFVANEIPTLATQVRSLGLSENSKLLDIEPLELRFPLEQEQHSSIPVSLTNRTDHYVGVWITPTDHSALPVLLEHKPLRGPVFQMVEPRSTLALGITLEKQRSIRLPTDIILIRKRKQATKKGTLEVVMAVMGSKERLEMLKSFIDSMPHVNRYMLRIVDVVMVVMESTECLKKLKSYFAPRNWKALLQTMEDKMVAIGSRERVDSLRLYVCRMFNMQGDEVLKRMELLGGRVHRETLTVVATASDDDDDDCQQAAAVIHDMDTKFISTSVFGEASGMDVHPTKPWY >Dexi5A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:5A:20660969:20663166:-1 gene:Dexi5A01G0017450 transcript:Dexi5A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAVSVSAALLAGVLLCLALPQAAAGSTVRTTTLSVLSFGAAADGVTDDTKALVAAWRVACRVPRATMLLPSGHRFLVSPVTLQGPCSTKLTLQIDGTVLAPGDMGSWTKPRRPLQWLNFKWLDDFTIQGAGTVDGQSITSLQSASPANSPQTSTGHWYSSGTKPTLVRFYSSYNVTLRNIRISNSPQCHLKFDSSGGIKVKNITISSPGNSPNTDGIHLQNTRDVEIRSSSIACGDDCVSIQTGCSNVHIKNIVCNPGHGISVGGLGKDNSLACVSDVVAENINVQNALYGVRIKTWQGGVGSVRNITFSNVRVSNVATPIAIDQFYCDRGGARCANRTGAVAITGVAYRRVVGTYTFQPARLACSDARPCTGVTMVDVRLSPAAATAPGTTVAPLCWNSYGEASGAMEPLSVGGCLQRSNGYAMPLTQPFNYTC >Dexi7B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:7B:22135094:22138102:-1 gene:Dexi7B01G0016120 transcript:Dexi7B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPALLAHLLLLCAHGDTASAAATPPPLPILPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGHADPSVFAPSALDAGQWARVAARAGFGRVVLTAKHHDGFCLWPSALTNYSVAASPWRGGAGDVVAEVAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYMGQMTELLTRYGDVEEVWLDGAKGDTKKMDYLFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSTFNKSAVTIGHTTAEYSGSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISVEDMQVLQEFTEIRHTIFSQNLAANATVTANSVRGGQDNLQFAPSNVLEGSIYSYWAPQEGQTSWEMLFDLGLSTSFNVLQLQEPIQLGQRVIGFHVDILVDELWQTIAEGTTIGYKRLLKFPVTESRYLKLCIDSARGDPLISFFGVFMDPFSDRYNLENLDERTNSSRVIMLRKSSEAGNSSTVTM >Dexi6A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:6A:5576225:5577659:-1 gene:Dexi6A01G0005980 transcript:Dexi6A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAEERSTVCVTGAGGFLASWLVKLLLSTGRYNVRGTVRDPGMSRNRPPCFDSLPPWRAAGMLVKADILDYSSVESAIAGCEGVFHVASPVPYGKPSDPEADLIAPAVTGTQNVLKACKEAKVKRVVVVSSVAAVFNNPNWPKGKAFTEDSWSDEEYCRKNEVDIS >Dexi3B01G0030270.1:cds pep primary_assembly:Fonio_CM05836:3B:29840771:29841164:1 gene:Dexi3B01G0030270 transcript:Dexi3B01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNKKILFEEGLLPVLRCSEGSKVIITTNNRRVATIGTVEPIILKALPFPEYWFFFKAHAFAGRDLEENCRLVAFDKAIARKLNGSLFGAKIVGGILRDHPVPNLWSKI >Dexi1A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:1A:23114184:23114729:1 gene:Dexi1A01G0016130 transcript:Dexi1A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTAPIARLLAAAAVALGCLAVGASATVVTTAAADSDARVDYSFCVAELGNHRESPDADTWGLAKVAALTGVNNADDAVYDAKALVAKQPGGPTRAALEECSKLYDSMGFAFAEAEDEINNRRYGSGKEKAAEAASFAHQCDDALAKAGAVPSPMAKHSSYSVQIAIVCTAITNLIK >Dexi3B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:3B:2843216:2848235:1 gene:Dexi3B01G0004120 transcript:Dexi3B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPVAFSLLIHKRRKAERKRGVRVEVGGEPGYAVRNYRFEQPVETHWEGVFTLAELFEQSCKQYVYLPLLGTRSLISRETESASGGRSFEKLHLGEYEWKCYAEAFKSVCDFSSGLIRLGHQKNERVAIFAETRAEWQVALQTEVTTVICGQKELKKLIDISGQLDTVKRVVYINEEGISDEVSLARNSTSWVIEAFDVVGRLGTEAPVEANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPALGCKDIYLAYLPLAHILELAAEALMAAVGACIGYGSPLTLTDTSSKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDAKGGVAKKLFDIGYNRRLAAINGSWLGAWGLEKLLWDTLVFGKVRAILGGKIRFVLSGGAPLSGDTQRFINVCLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMVHADPFHNYCVALVVVAHNELESWASQQGIKYSDFSDLCQKQEAVKEVLGSLAKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIRKTYENDLAQLYA >Dexi1B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:1B:22427428:22429221:-1 gene:Dexi1B01G0015900 transcript:Dexi1B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSSVQEPQAAASTTNTSSSCPQSQTSSASGRSLSSVSRIWARLEFDWGYTYYIRLDHQGSFHTYPDLGGPYQSLKEAHKAIDRHLDEVRDPKMYKFLNSCQHCNGCTTPGNYDMRHPDTSIKLAAGYSDPRCQFGGYIQVECSDDSEDEDTYLREREAELRRMYKVNGMFCAVL >Dexi1A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:1A:1877482:1878852:1 gene:Dexi1A01G0002700 transcript:Dexi1A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISPPDILEEYVDKLSERKNSESHSSSRLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWSAGTVVIVGSFGITLYTLWQMVEMHEMVLGKRFDRYHELGQHAFGDKLGLWIIVPQQLIVEVGTDIVYMVTGGQSLKKVHDLLCNGRCKDIRLTFWIMMFAAVQLPLSQFPNFNSISAVSAAAAVMSLTYSMIAFFASAAKGAHAGAAADYALRAPTMTGKVFGVLNALGAVAFAYAGHNVVLEIQATIPSTPEAPSKKPMWRGVVVAYAIVALCYFGVAFAGYYAFGNAVDPNVLISLEKPRWLVAAANLMVAVHVVGSYQVFAMPVFDMMETVLVKKLKFTPGTALRLVARSAYVLATMFVGMTFPFFDGLLGFFGGFGFAPTTYFIPCIIWLMLKKPKKYGPTWLFNIFCIVIGVLLMLASPIGGMRQIIHDARNFKLYS >Dexi3A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:3A:1427424:1428068:-1 gene:Dexi3A01G0002130 transcript:Dexi3A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYTANHVSHLKARAQNKYTTFETLSAHLWRKITVARRRVAGDAADDYRTALNVAVNGRARLGTDSTPKGLFGNAVLTASSGKTSARALVEEGTLADAAAMVRAGSFIDFGELHGDEEMEPMVGDEDNMLLPDVAVDSWLHLELHRLDFGCGGRLVGILPAHSPLDGVVVLIPGLGKEGGVDVFVALYDKHADVLRDIAYTMD >Dexi9B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:9B:8674040:8680814:-1 gene:Dexi9B01G0012860 transcript:Dexi9B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHACRTVLLYPQGAPSVCCAVCQAVTTVPPPGLEMAQLICGGCRILLMYTRTADTVNTVAPTPSARPVSNGSSYSTSSTSAPKAQPQNVTVVVENPMTVDDKGKLANSRGKISLISQNSQKRRLEKNGADDGNDEHIGSPVAMDGKVGKGGNHNKERKKKRTKVQEAQQNREEEEMRQLESSLFGTLHAPLEFGNEVEPAVAASDRDAPLFFTDRYAADGVDDLPIYKEDLVHGDEEDGVVIKGRKPVWVDEEEERTEVNIVKVARLRKLRKEAEEHLISGKEYEARLRGQHAKLNPFTGWADMDRKTPLPGASDAMSDDEGGVDDILQNNDELVVKDSVKLLPGLLEFSRLVNANIQDPSNGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVLKASFLPDGTEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAMDDGSLAGVSLCTSRDSSLFAAGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDVGEMKFNHDAQILAITSRKERNGMRLVHVPSFSVFQNWPGPGFSLRYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYENA >Dexi9B01G0026590.1:cds pep primary_assembly:Fonio_CM05836:9B:28779332:28780704:1 gene:Dexi9B01G0026590 transcript:Dexi9B01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWCAAGGLGRRVLSSSSAVASHVRPLPPPLIPKLPSFTVPFYSSCRRHHSLHAPLPHGLFHPAIASSLRPPSAHQQQVRHYAKERSRAPLTPTKSKVKKYKMKAPSSMKFRFRTMNDGQIRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCG >Dexi2A01G0033390.1:cds pep primary_assembly:Fonio_CM05836:2A:43617100:43618106:-1 gene:Dexi2A01G0033390 transcript:Dexi2A01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIYSILWEKTLVPRVAELHEVGLSWDEIARLAPNAPCSSFLLSNLEFWVGELGSVDKLLPLFRRCSSLLVTDLDKVTRPNLVFLRQCGLSVSEIAAIDIYSPSIFTMKLENPKEAVQRVEELGIQRGVGMFRYALSLVAITKKEVVARRIQLLHDLGFSKDDALAIARKQPLDVGMEVLYIVTRPVLLIYSVEWRLMPRRCLLKVLKEKGLLKGELDYYTTASMAEKAFVEKFVHPFKNHAAGLADDYASRCLGKVTDGIA >Dexi6B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:6B:24394018:24402767:1 gene:Dexi6B01G0017180 transcript:Dexi6B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPGTSSGGAGGEGGGGGTKVNQELWYACAGPLVSLPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSQLICILHSVTMHADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTATHAAANNSQFTVFYNPRASPSEFVIPFAKYQKAAYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDMDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWEIEPVIAPLSLSNLRSLFRLSLNNLKSSSRLISRNNNLWFQITPFYKVVFNKYNFCGNSNRICRSSSHNRFGMDPKLEKGMKPYESPQQMVMIPKMAEQRPTARQDYTNNKMDYLDTSSSATSVCLSQADGSLQQNFPPSSFNQHQLLREEVPDSDFEVTDPGNNFLFGVNTDGHLESLNADALLANNFETEKYMDQMPGHGIANYISSKDSQQELSSSMISHSFGVPDIAFNAIDSSINDTPFLNRNSWPPAAAHQRIRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLSDQSRGGWKLVYEDHEKDVLLVGDDPWEATEKASELSSWKERARARRRKRHLLEAGSSTAAAGRLALHSLFRQATTSLFVARDHLSNSEKKSSRRDRSCRCGAATAWDQRAMAVSPELEGLRRIAPSRFVSFSFPNPFLGHASNPYGEGSGGGGDGDAGECLRVAVLDSPIPSPPIPRTAAMLVPAGRHRDWIFSTRAGHLHLLLSTQFSRLILVGPELSVPSPRVIPCVARPDPDPAHARLLPLLLALCPMAAFRDNTVPDVPLLTFHDDHLLLAPVKFVTGPVVGEMVIEDVAIDCAPGPAELRRRLRFKRMPCLVQTQVRLCQSPAAAAASSSSSLLQVMEGSGGLLQPEVGGSLVQPYLQAMVAGLAVIAPSIEESIQSGVRPRCLCAGVGGGSLPMSIRVGLQFNVLGVEADGAVLDVARNHFGLVEDEFLHVHVGDAIQTIEDFSRRREPDVNFRAVMVDLDSSDAMCAVSAPPLEMIRESVLVAARTVLDKHGVLILNVIPPPADGSFYKGLIDLLQQVFSELYEIDVGNGENFVLAATVSPETALTGNSGHFLTELRKLAGDFLEHIRKL >Dexi3B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:3B:6906387:6907720:-1 gene:Dexi3B01G0009960 transcript:Dexi3B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALQRALPPLSSPAVALLRHAPHPLSPPPAPLRILDRIGLRPFAATAATASQAPPMGATLFGGLMDTRFPKRRPGFANRRKRASLRPRGPYYWVKCNPGEPIPTSQPNKGSVQGRKEKKRIKQRKDFIMAEKKKRRAQYSAAVKRKEAERTERKMAAVARERAWAERLIELKQLEEEKKASMA >Dexi8A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:8A:392155:393099:-1 gene:Dexi8A01G0000600 transcript:Dexi8A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPEALLLVTLARHRRFAAAATLFSTARCSTTGALNSLLAALCSPTSSSPASFLRIAPSVLLRAAPHAAPDAATFRILTSALCRAQRPTAAADLLRCMPGLLLDPEPRHCRAVLASLCRFAPAPDALAFLDDMRRWGVPPSRSDHGAVLDALLREGMVAEAYELVSKQMDSYDGVAPGLPEFERVLRAFRESGSFDAVEEVFDEMLLRGLVPGARVYDIYVGALCDKGDLAGARRMLGCMDRAGCPPDVATFGVVVAGCVAAGDVDAAREVAREAVRRGLRWDAPALTELVGALREGGHLAPARGAAAGHPA >Dexi8A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:8A:5648623:5650532:-1 gene:Dexi8A01G0005850 transcript:Dexi8A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLDMIKSASVEQLFIDTELVPSSKPKRTDRTPLISGVTVGGAILVAVVVAFVIIIVRRKRRPVQTEERSQPFGQSSGYSWHIKSTSSSVPQLHGARVFTFVELKKITSNFSEANDIGNGSYGKVYRGTLPGGQLVAVKRSQQGSMQGSLEFRTEIELLSRVHHKNVVTLVGFCVDQGEQMLVYEYVPNGTLRDSLTGKSGVRLDWRRRLRVVLGAAKGVAYLHEHADPPIVHRDIKSSNVLLDDRLNAKVSDFGLSKPLGVDGRGNVTTQVKGTMHLTQKSDVYSFGVLMLEVLTARKPLEHGRYVVREVKKAVADRASKDLCGLQELLDPVLAASPSAPAGLEQYVDLALQCVEEAGNDRPSMSDVVGEIERFVKMAGVGTGADSASGSLVSYGSRSPRHPYSGDSPFEHSAGALPSVRVEPH >Dexi5A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:5A:4667083:4667661:-1 gene:Dexi5A01G0006320 transcript:Dexi5A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVISLLPAAISFMIAVASLGAHARVGDEARALLAFKAELAGSSSGVLASWNNGSTGVCGWEGVACSSGGKVVALSLSSYGLAGTLSPDIGNLTIGRLVSLKTLELSYNTFSGALPANLSSCTSLSLLGLGHNQFHGNVPVELGHRLTGLRRGNPRITWEHLIPETP >Dexi9A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:9A:15656889:15660142:-1 gene:Dexi9A01G0020590 transcript:Dexi9A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREMDSALPPGFRFYPSDEELVCFYLRHKVANQRVASGTLIEVDLHAREPWELPDVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRVVREPGTRASVGMRKTLVFYRGRAPNGQKTGWVMHEFRLETPNSAPKEDWVLCRVFNKMKPSSESEEAASITHHGHSHAAAAAEPSSPPAFLGSLPDPTASTPADKLFYQQGQVVTTGVNQCGSATSSSSGMDALLMNLQAMLQQHGSLDYFSPVVHHGAAVGATHNAGCGDDDAAAMAMAMGLEEHGMGEIEMEYAQAQGGCGYRDDGLYY >Dexi5A01G0029160.1:cds pep primary_assembly:Fonio_CM05836:5A:32318561:32320254:1 gene:Dexi5A01G0029160 transcript:Dexi5A01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNIACLAKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVRFEFLRGATDHAYMRMDGEPWKQPLPTDDGKVVVEISHAGQVKMLATKDCIAKGIHESWPAISSVHPESSSSDDTDDDFEEERRNFGAALSFRYTGDVNKQ >Dexi4A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:4A:2221645:2226599:-1 gene:Dexi4A01G0003050 transcript:Dexi4A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNKVDSLSYDVEAPPAAGANSSAAAPPPPPPPVPPVASVMELHKVSVPERRTTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFQWGSEYNPRLLRSDLVAGLTIASLAIPQARAHAPCSALPCFFFFLLPPFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDDQPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSHMGFVDVMRSVFKRHDEWEWQTITMGTAFLAILLLTRQISARNPKLFWISAGAPLTSVIISTVLSFIWKSHSISVIGILPRGVNPPSANLLTFSGSYVALTIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAAKLWKVDKLDFLACMSAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGLIPGTQSYRSVVQYREAVRVPAFLVVGVESAIYFANSMYLVERVMRFLRDEEERALKANQSSIRSVVLDMSAVAAIDTSGLDALTELKKVLDKRNIELVLANPVGSVAERMFNSAVGETFGSDRLFFSVAEAVAAGACKVQL >Dexi2B01G0026370.1:cds pep primary_assembly:Fonio_CM05836:2B:35488121:35494261:1 gene:Dexi2B01G0026370 transcript:Dexi2B01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMNVSKTRLCGKILKKLMDNKGGWLFHKPVDPVLYGIPDYFDVICNPMDLGTVKKKLTNKQYVTTSEFAADEDDRISRIQSNENSILSNEIESPSCNSTSPLASSEQGVESYLHNEPLSPSKALRIAMLKSRFAGTIVKAQQNALLDHGKEVDLAKLQLEKERLEKRQQEERARIEAQVKAAEAAAQLKLEEEMRTKREKERNAARLALHMMKKTVDIDNSDFLKDLENLCQKWQLNPPSKLIVDFVHGFELPQGLGSPLEALGLFMKQDLEEEVEHEMEESMSTSLNADVEEGEINCCQ >Dexi6A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:6A:2190826:2191861:1 gene:Dexi6A01G0002310 transcript:Dexi6A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEKQHPEALLQDDEDHHGDCLPISSSNVTSKAEAEEVKRAGNEEYKKGHFEEALRLYDRALAMWPDNAACRGNRAAALTGLRRFGEAVDDCQEALRIDPSYGRAHQRLTSLHIRLGHIEDALKHLSLASPQPDPIELDKLQTVKKHLGKCLDARKAGDWKTVLKEADAAITSGADSSALLLATKAEAFLPLNLLDEADSAISSASKLDYPFSCSSGTKFCGFHANAYLVCSTMLSPP >Dexi1B01G0021930.1:cds pep primary_assembly:Fonio_CM05836:1B:27672743:27675911:-1 gene:Dexi1B01G0021930 transcript:Dexi1B01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQRRRYHGGCAAPGVAPGATACLAAALLLLMLAFHCVLSPPLGDGDPPRPQSPTSVGGGSKMGEELWGSGAVHDFYACSNASNEFAGAEVNTESNRYLMIEASGGLNQQRTGIIDAVVVAHILKATLVIPKLDQKSFWEDSSDFTDIFDADYFISSLSKDVKIIQKLPDMISKTSFPYKIRVPRKCTPLCYENRVLPALLRKNVVQLTKFDYRVSNRFEADMLAFSGCYFGGGETERRELGTIRKRWKTLHEANPDRERRHGKCPLTPEEVGLMLRALGFGRGVHINAEILNKSVCISFFRIYFGHKRTIRPNTRKLYSLLLNRTSMSWDTFASKVQTFQKGFMGEPNEVEPGRGGFYEYPLDCICQKTKVRAGHTIDHLRKNANIMENHLTDREGSDVDFVENTALPTGSSNETESEYSHGNGLDIPEIDDMISD >Dexi9A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:9A:10722380:10727985:1 gene:Dexi9A01G0015790 transcript:Dexi9A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRARPSAVVAAELRPPEPPLDPLEFLSRSWSASGRAFAPPPPTPPPAAALVSPIAEDAACELEDCGFAAAASGSSFSFASAATSQLIMERILAQSHEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQAYRPGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTVGGGHHQKLGAPPKQQHRKLESNGSNISDDVSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGMVMVKMKSRHVAGTITKKKKSVVIDVCRDVPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSLAGDRKRLA >Dexi9A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:9A:16038286:16039054:1 gene:Dexi9A01G0021020 transcript:Dexi9A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGKIPPELGKATKLGILYLFTNNLTGSIPLELGELVNLKEMDLSMNSLTGPIPSSFGNLKQLTRLSLFFNRLTGNIPTEIGNMTALQVFDVNTNQLEGELPSTISSLRNLQYLALFDNNLSGTVPPDLGAGLALTDVSFANNSFSGELPRRLCDGLALQNFTANHNNFSGMLPPCLKNCSELYRVQLEQNNFTGDISEAFDCLL >Dexi1A01G0025070.1:cds pep primary_assembly:Fonio_CM05836:1A:31392777:31395817:1 gene:Dexi1A01G0025070 transcript:Dexi1A01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGCRFRNALGSRLFQWCSRENHTSVRKLLELDGTSERSKLLNKVSVLMGYSNAQDLVEQQRARRESATELISVFKEIDFPEISAKFPCIKIGDASPIELYEDCTDMKCKEAVLSENLTNFIRDSGGNLEIAYEFPNGSHLLSQTPTTADDISISEESSLVAEYISQEPAVDMEARPESSSDAITSDSSILDKSIRCLPGTTSRQYRLLEDGGFHTVRKLLQHFPRTYADLQNPQGPIEDGQYIMLFGTVISSRGIKVKSTLGFLEVVVGCSIVEPKSSVKSSHSGAEQKTIHLHLKKFFSGTRFSSQYFLNCMSAKHKEGDLVYVSGKIKKALANGHYELKEYTIDGLEGEGEQGSMLDRKPHPIYPSKAGLKPSLLGLSISRSVRDVCFFFLVLNLGPRGISF >Dexi5A01G0037380.1:cds pep primary_assembly:Fonio_CM05836:5A:38760330:38763373:-1 gene:Dexi5A01G0037380 transcript:Dexi5A01G0037380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERTRWEAAAVAVMLLVSCVAAAPLQQHKHARISGDAGGVLDDNPVGKLKVFVYEMPRKYNQMLLSKDSRCLHHMFAAEIFMHQFLLKSEVRTLDPEEADWFYTPVYTTCDLTQQGFPLPFRAPRIMRSAIKYIATTWPYWNRTEGANHFFLTPHDFGACFHYQEERAMERGILPLLRRSTLVQTFGQRNHVCLQDGSITIPPYANPHKMQAHLINPGTPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPSTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEQISVFVAERDVPQLDSILTSIPLEDILRKQRLLAGESVKQALLFHQPARPGDAFDQVLNGLARKLPHGKGVFLEPGEKVLDWNAGLESDLKPW >Dexi1A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:1A:2744728:2745688:-1 gene:Dexi1A01G0003740 transcript:Dexi1A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPSPATALLTGERLVVFLFAARVALEAPAGLAAPLVILAAAALAVELAVDGSDPASSPLRRFRTRDTSWRHYSAQRHALSANPAVKDLIS >DexiUA01G0002220.1:cds pep primary_assembly:Fonio_CM05836:UA:5039740:5042732:1 gene:DexiUA01G0002220 transcript:DexiUA01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFSSRNVYQVDQPSYDDRLRYFNILFESLLAFQTEESRNKSKKQKSAIDLPKAPKEVEGPKLSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEDEVPDYRSVIHKPMDMATVLQRVDSGEYLTRAAFMKDIDLIVSNAKTYNGNDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIASQGGPQQVVDDEDSSILQAAPVAQLVSGTRISARLRNVQPEVNLSQSYEVLKRQKKSTENEQGMSKDVAASDEMSPEDVDLSKPSPEEAPKEPDSNGTLKEMDSSLAETPEVPVPARPEPMETDSSEVATNVTTGDHLLGQLEGLKQRFMELTAGYGIPQLERLYSQIMKGAIELTSKESNEDRRRLVVRYLLTFVENSNNF >Dexi7A01G0023700.1:cds pep primary_assembly:Fonio_CM05836:7A:31638762:31639076:1 gene:Dexi7A01G0023700 transcript:Dexi7A01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPGRRPGLGGGGGGEGDFFAGRSIGPTCERKPAVTTASRTHQWKGGWRMAAAAASQSGQGGRGDQSGGSSRPGGSGSSRSCAS >Dexi6B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:6B:25176463:25179315:1 gene:Dexi6B01G0018120 transcript:Dexi6B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMGKLRAIGAQIRQSLIAKRFLSQKKTKSGIWVPQGYESKPSLKDFLTEKWTKVKSLEEDLAGTKLKVQLHEEDLARVKGSIEETNVKLDTENNARKHGISANRRDLWKIAGSFKAMLLLNEAWMFKVKVKAIDPKVVSMVKVENFNEIEEVLKEQSEAADFRAIFANIKALSPLYNIQGNISIEEVDMLMRLLGKKLKKSFFEDMVNEGFVDESGRLQFSELVEILSLWNEKAFEEGPQEDTKANEVKQMTGDGQKQ >Dexi5A01G0032620.1:cds pep primary_assembly:Fonio_CM05836:5A:35091613:35093038:-1 gene:Dexi5A01G0032620 transcript:Dexi5A01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPEPAVSPPPPSPSTPPAIADAAHSNLSPMEFETQTLTEPQPQPQPQLDQPSPPPSGEDDDVVIVSGASVGGDATASAAAAASATMEEKERVRGPWSPEEDAVLSNMVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAIHGNKWACIAKLLDGRTDNAIKNHWNSTLRRRYCNNGRCKHGVSVERSILEVPGAVPEEPWPVKDLSSFTAMDVRDAPMQTVPETSAGAWYITDNYYSTQAVDPPYLSRPAAKISAFRPYNLGHVEPTQQEAPSSVFKIDSTPYASTPESEVFKFADPTYFAAEVPNKCGHGCCSAHERPRKNSVLGPEFNEFEDQPPILNSSFASLVSEISSIAWMRSGMQSGDANSLLQSAPPA >DexiUA01G0028130.1:cds pep primary_assembly:Fonio_CM05836:UA:60443112:60443615:1 gene:DexiUA01G0028130 transcript:DexiUA01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLDPADKLTSQRRIAEGDTVVVYERHDSMRAVAVRAGGVLQNRFGVFRHDDWIGRPFGSKVFGTGGGGGRDGGGKGGGKAGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVHTFDFHEQRAASAR >Dexi6A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:6A:25037909:25038853:-1 gene:Dexi6A01G0017170 transcript:Dexi6A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTCNGLICLHDSGQFDRSTITVANPITGEALALPQLRRSSGSIRSFRNYCFGYHPTTGQYKVVYVPSCLLDSCRLENVHVLTLDGSSSSWREVVSTFKHVGYYSHYGGGGVCVDGSAYWFDSFGDRIMALDLKDERLTSFPGPPGVRCMDMGMVVHDATWKLTSVNARLGVVFSSYKSAATRVDVWVLDGDAVEQPRWSRMYTLVVDRNVMNRSIVMTPHLTHGECILSMSWDGKRLYRCKVDDCSDVDDGVTKQLLLSEGTELIMSEKTDSIRTFAYAETREPLPRVWESRRQRRRKKSGKFQSIGFNRIK >DexiUA01G0013720.1:cds pep primary_assembly:Fonio_CM05836:UA:28812845:28817653:1 gene:DexiUA01G0013720 transcript:DexiUA01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESETTALSTVTSATFDDGGSAHENKRDELGNGAASAVTSVGKGEEEEMIGPGPAPAKQRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPAGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVHREGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHGGPVKVMKYNHVHDIVISADAKGLLEYWFPSTLEFPEQDVKFRLKSDTNLFEIAKCKTSVSAIEVSNDGSQFAVTSPDRRIRVFWFKTGKLRRVYDESLEIVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRHSIILDGFSLAGFMFACRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLTGDPLGDGTGGQSIWGSEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >Dexi3B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:3B:6368243:6369067:-1 gene:Dexi3B01G0009240 transcript:Dexi3B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKISLKLLVDTKTKKVLFAEAGKEFVDFVFSLLTLPVGAVAKLVSAGTMHGSVGRLYQSVEHIGVSYLQPGTDKKDLLQPKVLHPDARELLLLQGGVSGAGDGEPPLARFRLYTCAGYCATATMEAKATCPQCKLVMATEVAFVLPSAATPAAAGSSSDESGGYVKGVVTYMVTDGLEVTPMSAISSITMINKFAGKDVELAEKFVTVGTDEGLALLKAALRSDTVLSDVFMARKK >Dexi5A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:5A:25275219:25276057:1 gene:Dexi5A01G0021430 transcript:Dexi5A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFACSDSRVCPSVTLGLQPGEAFAVRNIASMVPPYDKTKYAGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLSLQEGEADKFHFVEEWVRIGAPAKAKVQADHASAAFEDQCSILEKAAVNVSLENLKSYPFVKEGLEKGTLKIVGGHYDFVSGKFETWAP >Dexi5A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:5A:5582746:5587699:1 gene:Dexi5A01G0007520 transcript:Dexi5A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLLLLLHLLATFFLLQPAAAQPSSSCWPKACGDLHITYPFWLEEPGKPVCGPPGFQVKCNSSGAFLSRTPYQAYRVAAIFAENQSLHVVDINLPLATGCPAPTFNVSLAPKPLVFSGANSDLLVLGKCTVASPEVPAGFRSLSCDNSSFVRLGDGRNFTRDHIDGGVPAGCLFTVVPILGASYGNVEDYLRSMKNGFLLEWTEVPAGDCPGCIARGGECTYGDSGMVFACNCPKCGEKKIGKVVGIASCVSESLLLLHISKAVILLWAVFGTIGGLFVAGVLFLLWHKRRRKKQVGAPNVFMRSESSMQSFSKDLELGGSPHIFTYEELEEATDGFSDSKELGDGGFGTVYKGNLRDGRVVAVKRLYKNNYRRVEQFINEVDILSRLLHQNLVILYGCTSRSSRDLMLVYEYIPNGTVADHLHGPRASERGLTWPVRMNIAIETAGALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLYPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHNEINLANMALNRIQNHEVEQLVDPELGYGTDEETKRMIDLVAELAFQCLQLERDLRPSIKEVVEALNCIKNGESPGKKVDVNSSPKEDTHLLRNGIQYSPDSVIHRFHSQSTTHSIASNASG >Dexi5A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:5A:13147850:13148206:-1 gene:Dexi5A01G0015520 transcript:Dexi5A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPIMGNEQDMRTFLTTPVAEFLNMDEQVRLSSMEPPSITSLLQGDKTAILHAHLDIIGALDSGPIFHDPVLQIPKEKEMHKPFAYLSTQ >Dexi8B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:8B:776206:776694:-1 gene:Dexi8B01G0001140 transcript:Dexi8B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLRGSITSLAVLSLALLFTSLSLLQDQAGASGEQKRQPEYVPVRSVVYRSLPAAAATTTTEAVGYEPFVVCEGCRCCSTSNASSCVDTNCCYTIDCNLPGKPYGTCAFTPQTCGCASTSNCTQPS >Dexi1A01G0028660.1:cds pep primary_assembly:Fonio_CM05836:1A:34299861:34300309:1 gene:Dexi1A01G0028660 transcript:Dexi1A01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSPRRALLAAVFLGFVLGAATSIRTVTFSSSQNLLAEDKSRLGSTPPSCHNRCSACNPCTPVQVTTVPGLGRSAHVTDDTVAGFSRYSNYKPLGWKCRCDGHLYDP >Dexi9B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:9B:8336718:8341085:1 gene:Dexi9B01G0012430 transcript:Dexi9B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLDGVRHLCGVLLKCCDIDLKQPKGLEDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPPDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLK >Dexi3A01G0024340.1:cds pep primary_assembly:Fonio_CM05836:3A:19993733:19994077:1 gene:Dexi3A01G0024340 transcript:Dexi3A01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSALLLAALLAAAPYVLRLLHSFLWVPLRLERRLRRQGIRGPPRSLLSGNAGDYRDLLAAARSAPLPSFRHDDVVARATPQYTVWPARYAGRSCTGSGPARGCS >Dexi5A01G0029800.1:cds pep primary_assembly:Fonio_CM05836:5A:32851006:32854178:1 gene:Dexi5A01G0029800 transcript:Dexi5A01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGTQAGDCDNDLKDLARECQQYVMEPPNPKTPPSSACCGVVQKVNVPCACSKVTKETEKLVCMENVVYVANECKRPFPPGYQCGTGQPEEVPSQACYDTYQKLDMSFMCSKVHKGIEQIISMPKVTMSIAGIQAEECGNDLQDLARECKQYVMNPPNPKTPPSKACCDVVKKVNIPCMCSKVTKETEHMVCMEKVVYVAHECKRPFAHGYKCGSYKVPA >Dexi3B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:3B:14819332:14819598:1 gene:Dexi3B01G0019810 transcript:Dexi3B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADFWRAGNTGPCGPTVEILFDLTDSKANPTSVVNVSDDRFIEIWRFVFVQVNPLALLMVF >Dexi2B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:2B:9646833:9648335:1 gene:Dexi2B01G0008950 transcript:Dexi2B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWWICANAPSWIFRLTNSTSLTYPFVDTNGGFAARRRAFQAKVFQADYFLFRAHEYNYSISKHMKRYVLKMECQVLNDMRFHLSAPTTKTSLRIKLLSTTPLTKALIFSYVYALRTAA >Dexi5A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:5A:8342294:8342824:1 gene:Dexi5A01G0011120 transcript:Dexi5A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRALVLLVAVAAAAASCSLLTPAAANPATVPDICRGTAFPALCTDTAGTQAARYTVVDSLTVLEMQVDAFAKRTEAARAHVAEASRTASPGARKALDLCSSLYLDVLDNLGACRRAIGFKDAVTIRATMGMAAQDMQNCDEQFKQIGEPSPMKLFDDTLVEMSENCRSLSNMI >Dexi3B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:3B:180958:183394:-1 gene:Dexi3B01G0000210 transcript:Dexi3B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMREEAAAKATEDDDTRFDAGKPPPFRIGDVRAAVPPHCWRKSPWRSLSYVARDVAVVAALAVAAASSSLDAWPVVIWPLYWAAQGTMFWALFVLGHDCGHGSFSDNATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPQITEKLYRKLEPRTKKLRFTVPFPLLAFPVYLLYRSPGKSGSHFLPSSELFSPKERGDVMVSTTCWSIMLASLLAMACTFGPVQVLKLYGMPYIVFVMWLDLVTYLHHHGSKDQLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPQRSGPLPLHLLGVLLRSLRVDHFVSDHGDVVYYQTDHTLSTFLE >Dexi9B01G0032540.1:cds pep primary_assembly:Fonio_CM05836:9B:34753121:34753929:1 gene:Dexi9B01G0032540 transcript:Dexi9B01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDGQKATLLQWCSSARWSASRRRLLSAAGNTEGRAHAGMVLVMLAYSGYHVLTKSVLNVGMNQVVFCVYRDLLAFLVLAPVAFLCERRQRPPVTPQLLASFALLGFTGLYANPLLVVVGLHNTNASYAAAFQPSIPVFTFALAAIVG >Dexi5A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:5A:9848031:9853378:-1 gene:Dexi5A01G0013150 transcript:Dexi5A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGMVETDPEGALAGFDQVVKMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKEDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYNAIDKWNTQLKTIYQTVSNRVG >Dexi1A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:1A:1200108:1202917:1 gene:Dexi1A01G0001790 transcript:Dexi1A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGALARIFSFSYVEPLLLQASPAAAYGRRRRHRGGSIRSSPASKTLLMPSSDFGLQEGLTNVQEIMQQQRRRQKSGREMMATIDNLKHDVLRRFTDNTGEFKLALSKDIRGLLSLHDISQLDMGQEASLCKAKEFSSKNLASAIRYLEPGLARYVRQSLDNPYHLSLMPYKARHHLSYLQSLPSRDTAAMEELAIAEFQLNKLLHQREMQEVKRWWMDLGLAQEVPVARDQVPKWYMWPMAILQGSSFSRYRIEIAKIISLVYVVDDIFDLVGTLEELSLFTEAVKMWNTEAPDSLPSCMRSCYNTLYTITNEIADMAEMEHGFNPINHLKKAWAVLFDGFMVESKWLATHQAPAAEDYLRNGVVTSGVPLTFAHLFFLLGQDHTSCYNENAANKLSDHIIPPAISCPAKILRLWDDMGSAKDEAQEGLDGSYRDFYLMENPSCTLADAEEHMKKLIVQEWEELNRECFSRRSFSSSFSQASLNAARMVSVMYSYDEKQRLLVLEEYMRMLLL >Dexi4A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:4A:5954279:5956243:1 gene:Dexi4A01G0007920 transcript:Dexi4A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITTPRHRLLAAVLLLLLAPSTKAAETPVSFSFPSFSLRNLTLLGGASLRADSVSLPPPSSHALFPLPLRFLPNTSFSTSFLFAAPASARPTSRLSFLLIPDPLTAAATAKNRSLPLEVSLDASRGRVSASSAGAELAGNSTGAVNLRNGNGVGSWVVYDAHRARLEVFLSHASVRPRTPTLASDTVGLGARFAEFMFVGLEVSSDNASSDGGFVVESWTFLTSGLPSGDTVPGPAHSAPDSVRSVPALPGVTVHKDGRRRKLAMVLRLAIPLPIAFLVAVMVFVVMSLKRWRWDTTTVNEGVGAKTTGKPRQFMYQDLFSATKGFDPSMVVGSGGFGTVYKAVCPHSGVTYAVKRSKQSRESYNEFSAELSIIADLKHPNLVQLQGWCTERDELLLVYEFMSNGSLDEALHPCSSGGKLYFTLNWSRRYNVAVGIASAVAYLHEEHDKQVIHRDVKSSNILLDSCFSPRLGDFGLARLKDHDASPRSTMAAGTIGYLAPEYLQMGKATDKSDIYSYGVVLLEICTGKRPIEREAPCSMNMLNVVDWVWNLHSKGRILDAADPYLSGQYDNEQMKRLLLLGLSCVNPFSEERPVMRTVLGILKGKNELLPVPRKKPLLVFVSNAPIDLNGIVSECNQSTLSSDLHELKIDVN >Dexi4A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:4A:7914852:7919607:1 gene:Dexi4A01G0009980 transcript:Dexi4A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLTTNRGLSSHACCQIAMLLAVVLLPPVAVVHGSSGSASAEYEGISAVFMFGDSIVDPGNNNHRLTEAKANFPPYGQDFPGGVATGRFSNGLVPGDLLASKLGVKELLPPYLSDNLQQNDLLTGVAFASGGSGYDPLTSTLSTARSSAEQLELFHDYKEKLATLVGEEEMTRVISHAVFFTIMGANDIVNNYFAVPLRRHEYDLDSYMDFLVSSAINFTTVIVNRANYLICFKEVSEGCCGSTVLSAAIFIAYHNACPNANDYIFWDGFHPTERAYNIVVDKLIKQNKKYLV >Dexi5A01G0037980.1:cds pep primary_assembly:Fonio_CM05836:5A:39129348:39132672:1 gene:Dexi5A01G0037980 transcript:Dexi5A01G0037980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHLSFEEGWKVLEQGIVKCSKILECTSTRPTVSEYMNYYEMAVQKEHYCLEMYNGFKTTLADCVRAMVLPRLIHKQNDSFFSELVKMWSNYCIMVRCVTGFFGYLDRCYVEQCKLPSLSDTAATSFFGQVFSYFGDEARTAILTLIRQERDGSKMTSGLQDIMHGICRSEVKALMHNAVLQDTYAYYSMRSSEWIAHYSLPDYLSKVQESMENETNRLAYYLNIAREDGIELCLQAVNAPLMETYNSYAREKQIGGQLLLQTYKLVLRSDIPVDLVRLSKSVGISYT >Dexi3A01G0032960.1:cds pep primary_assembly:Fonio_CM05836:3A:37769349:37770683:-1 gene:Dexi3A01G0032960 transcript:Dexi3A01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLLWFALLCASLTFTASAGLRLELIHVDAKANRTVSERMRLATARTHRRLASMSGGVVTAPIHWSESQYIAEYLIGVPPQRAEAIIDTGSNLIWTQCSACRLVAGCFAQNLTSYDPSLSTTAAVPMSCNDTTCSVGSETRCARDGQTCAVLTAYGAGAIAGVLGTEVFTFGSQNVSLAFGCITATRLTPGSLDGSSGLIGLGRGNLSLVSQLGETKFSYCLTPYFSDAVNTSHLLVGDSASLTAGDAPVATVPFVKNPSDFLFGTFYFLPLSGISVGQVKLDVPAAAFELRQVLPGQWAGTLIDSGSPFTSLVDVAYQALRAELARQLGASNSSIVPPPEGFDLCVAVAQGDAGKVAPPLVLHFGGGAGAGGDVVVPPENYWGPVDEKTACMVVYSSAQGNATLPINETTIIGNYMQQNMHLLYDLGNGVLSFQPADCSSV >Dexi8A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:8A:13308330:13308648:1 gene:Dexi8A01G0009700 transcript:Dexi8A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERFLIHPLLVLLALVVPAPAAAATAIMADVGTGNDVCGGATFKGIKCDGAGRVTGINLGKLQLSGTPSHHSLTSPPPRQHA >Dexi8A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:8A:664810:667951:-1 gene:Dexi8A01G0001000 transcript:Dexi8A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVESHKALIEELERSLSFSSDDDYFSDEGESNGLSDALRKQMGSRRFMLGNKMHDPSRNDPHSRLIEELEMSFSDAEEPMEQHALVADRVHRNAHGMDSQTQSAESAHRHEESLLSCDDGHIISEQISHQENSLLGNANQVKEYVEDDNKIASYVDEGEHIVISSEEIPQRFHEKEHSKGRQSLDMEHAYPYEGSASSVDDGSIKIKQSFQRNDLMGNITQEMEEVCTEDDRMINCVHGNDNPVLADEDIAEGVSGNKDLMAGDTQEMEEGCKEDGNIANRVHVNDNHVLADESIAERIYGKEEQTAAATQEMEEGCMEDDNTTNHVDVDNSVVLADEDIAERVDGNEETSGGTRENEESCMENENENVANADEDIAKNIHGKEQGLYEEAISLFHGGHIKPKQSFQQDEPMADGTKEKREAYIEDGNMTSCLKENSAALATSVWST >Dexi5A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:5A:25093054:25093692:-1 gene:Dexi5A01G0021210 transcript:Dexi5A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSTSFLPTPAAARAASRTLRSVVPSQGMRCSMRKKGLHPQIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSALVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFEPEGDN >Dexi1A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:1A:23367432:23380162:1 gene:Dexi1A01G0016340 transcript:Dexi1A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKGGRSSPSVIFFFASLLPPFCSLSFLSHAAAAAAAVMVALASLSSLCPCGLARRSATSVFSSASVSISCCAVATPSSGKGPQESRTPRKRLRRTEGATKSLEDSVKRKMEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMEQLIKKRLKEFGIFLSSRLKVLRIKKRFQAGPFEVEPIRVTHSIPDCCGLVLRCGDGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLVFVGMSLRTYLEAAFKDGKAPMDPSTLVKVEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIKHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKEDFQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSIIVPLNKVLHIMSLEPYRPHMSLEPSRLVCKEDVLTRHYLVTEILPEAVSTTPDDATTGSNGEAFFSSDSHQPKTLEHFWESFKSPTAVKIARIVNGGSKQNIGKIGIMSKDSIQSAAAPVKSSKKNKWKPEEIKSLIQIRGEMNDKFQSVKGRMVLWEEISGSLLNQGISRTPAQCKSLWTSLIQKYEESKKDEESMKTWPYFSAMDRILSREGEMATK >Dexi9B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:9B:13576652:13578296:1 gene:Dexi9B01G0019050 transcript:Dexi9B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASLGEPGLHPAVPSRRHSRRRSLASVLAALLLFLAAELSFPSHPRLALLRNDDDDAISSSSPSFSPPRRRDGQRVAVCLVGGARRFELTGPSIARHVVALLLATGAATDVFLNRPLDADAHRLSVLARAAPPGASLAAVRVFRPERIAVTPARARALTAEHSPKGVQGLLQYFKLVEGCLDLIRERESRGNFTYAWVLRTRVDGFCALDPNDAFHPSAYVVPEGSRFGGLNDRLGAGARAASEAALARLSSLPRLAAAGYRDLNSEAAFRAQLRLAAVPAREHRFPFCVLSDRTYSFPPWGRSAVPVASVGSSRGPLSGAKCRPCRRPACRGGCVARYVGRLHRWWSWTEWRDGAVELCDASGPWERGWEEVFDEVAGDEAAKVRRSVERMGVEECVAEVEALRARAAVGRAWRGRDMPAPVWRPVAAGGESSDGQFFGRW >Dexi1B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:1B:25117941:25118825:1 gene:Dexi1B01G0018960 transcript:Dexi1B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSYVTLGEGPDGGLCICLGEIALPCPGVALRFSAVIDCSPSKDRHAPITVDFLNSLPPPPPPSSSSSPPPPQQQKQGHGGGAGAQLAGRRFTMDSGDFVLLNQLPRVEAMVAAAGLPLECAPSVKCFVRKAASNATNAYYKAVGVTVEAGSPGSIVADDDEGEGEELEEVPPGADAGECAICYAEYLVGGATSASLPCGHTFHRRCIDRWTSVKRSCPYCRGPVDEEQNAYWDEDEDEEEEEEEEEEGDYGYGSEHEGVDVSGGEEEQETSGSDWPDGLTHVVSLLGSWEI >Dexi5B01G0024220.1:cds pep primary_assembly:Fonio_CM05836:5B:26304667:26305095:-1 gene:Dexi5B01G0024220 transcript:Dexi5B01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPPEAAPARRKKKAAAATKKRPGGSDARGPPGHGASPAAPPADPTGSGAAMAECATACCVLCACLPVAILCCVAGAPVRVARRCCGRWRRRPRRRLAPGGSSSFSDAEVGEFLRGSSSRRAMGREESQAPARGRGGRTT >Dexi9B01G0025220.1:cds pep primary_assembly:Fonio_CM05836:9B:25211662:25222669:-1 gene:Dexi9B01G0025220 transcript:Dexi9B01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSGRSGRVLDCRSFWKAGAYEVPATPTGDFHDALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEISNGATFIKVDKSINLKDNSPMLVFQDGNGFKTSTMRLGADAIVFTRAIRGSDVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGNNVPLVYGSQGDWESSLKIILDWSPFSSKEELLQQFQDIGSHGTKVAVYNLWMNDDGLLELDFEDDDEASIHAYTSILYLKKFDNFQIVLRGRPVEQIRIADELKFKKVVTYKPQVAHDSHVVSVTVDVGFAKEAPILGIFGMNVYHKNRLIMSSGQVQENNMESEGLDEDLVEIGSSGVLTLNFIEKLSEENIALFSRREELRQRDTQLKQTIGDLENELEETKRKCGQLAAELKARKNQQRRPYM >DexiUA01G0017320.1:cds pep primary_assembly:Fonio_CM05836:UA:36613976:36614239:1 gene:DexiUA01G0017320 transcript:DexiUA01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYMAPEYASEGLYSTKSDVFSFGVLILEIITGKRNSGFHQYEDFLNLLGYVSMAPVE >Dexi9B01G0034130.1:cds pep primary_assembly:Fonio_CM05836:9B:36187253:36188531:1 gene:Dexi9B01G0034130 transcript:Dexi9B01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLFRTLTDNIIVGVSKGFEKKLQLVGVGYRAAVEGNDLVMNLGFSHPVRMAVPEGLKVKVEENTRITVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >Dexi9A01G0036770.1:cds pep primary_assembly:Fonio_CM05836:9A:41114699:41116312:-1 gene:Dexi9A01G0036770 transcript:Dexi9A01G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGAAGDDAGGEQKNPKQQRPSVYKPGQQRLSNGDFMCQLWTAHLVKAGGYSSWRYV >Dexi9A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:9A:1547932:1548150:-1 gene:Dexi9A01G0002910 transcript:Dexi9A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETAVVISTSDDASIALLRRLRAGATVHFVHDVDLCSAAPEDLVAGLQPVPGTDLAEDGYNSVWYLYCPK >Dexi4B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:4B:1147387:1151306:1 gene:Dexi4B01G0001840 transcript:Dexi4B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTPTPLVTKLDHCILYVNVFRYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKQRAILQEQPDISGIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLAELQERQGSYLSIYDQPASALKDPIIDMINSSVDKLTRSTNFLRFLGQHAMIADEESPSTAGEEEIEDKKYHFMSLMLNLANTMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAAAWLCYLVWLAISFKEPNCATEVNDGTQNPASGQRVDIGQMENGLAQPLLTDSENKKNEDEDDEIDDSEEASEDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFSWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQIALLVGIIFSFKVTSTYSAVQYVASALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGVGKLLNVTLLPSLVICATSIACTFLTYNSLF >Dexi5A01G0021980.1:cds pep primary_assembly:Fonio_CM05836:5A:25813384:25817012:1 gene:Dexi5A01G0021980 transcript:Dexi5A01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSPTYLAIARKTLNPAASSGAPGAAAASVSFPAAQAPCVLAASAGRRRAVAAKVSSPSVIGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSGSDLVLLLISDSAQADNYEKIFAHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGMVEALFRRYTEQGLDEDSAYKNTVESITGIISKTVSKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKKAPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSS >Dexi9B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:9B:2219981:2220541:1 gene:Dexi9B01G0003860 transcript:Dexi9B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLLLVAIILAAAVSLNSGADTTELLGAAEKTTHLHFFLHDTLSGKDPSAVLVAHGARRASNHADPTPFGSVYATDDVLTEGPERESKVVGNAQGLYISSGRRQLSLVLGMDFELTAGPFNGSAFVVYSRNNVAEYPVGRELAIVGGRGKFRMARGYALLRTHYLDTNNGDAIIEYNVTLRHH >Dexi2A01G0024220.1:cds pep primary_assembly:Fonio_CM05836:2A:35932442:35939400:-1 gene:Dexi2A01G0024220 transcript:Dexi2A01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPVELPSRLAILPFRNKVLLPGAIVRIRCTNPSSGLLLLRAGRFRVQFSTFRVKLVEQELWQKEEKGLIGVLPVRDSETAAVGSLLSPGVGSDSGEGGSKAGGSAGESSKQDAKNGKEPIHWHSKGVAARALHLSRGVEKPSGRVTYSVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLDTVPVYRLADIFVASFEISFEEQLLMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDAAALERKMQNAGMPANIWKHAQRELSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDATVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQVNTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGHDISNTYENPSPLIVDEGMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIRRVILPERNLKDLTEVPSPILSGMEILLVKRIEEVLDHAFEGGCPLRSRSKL >Dexi8B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:8B:18703249:18703554:1 gene:Dexi8B01G0010260 transcript:Dexi8B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGGMLAAGAMKLAAGKVAEAAGARFMLQWRFSDDLEAMKTTTEFIEAVLKDAERQSVTDNTVQVWLQHLTQASYEISDMFDEFELDATKKSALRKVSV >Dexi3B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:3B:1615930:1626912:1 gene:Dexi3B01G0002330 transcript:Dexi3B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRASSSGMAASLVPHAQGAVPTVGFGGYHGAVRVDPAAPSDPSDPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALCALSILFAQKPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSENLKLTTQALSDKATPMDELEDMHQRLSLFFYRYSGEVSSDDTPIKLITDILAKIVWRDYLLLSGDTTSGGVPLSHKNSGLAANTQYPVYYLQDLEKCIVEILDVIADTEDHLLNVSCELLVRDCLDIIQQGEKLPKFQDHVEQLVSFFLCLDQLVVHKGITWPLERLARPLVEQSLPAIKFTDTPSLVKLLSVLVEIFGPIPLFLKNYLKYDKESDVKSYLELFNDDLLPWCFNGKYSTYKSKIDLLLSLFQDESFFEQWCSIIKYTRAEQNHSIDDKTSNIKDRLELLTLVLQKVRERIARGKLRNLQKNGYLPEHWRHDLLDSTAASVLCDLPASDLHVSFVCAALGGSDQEDQICFLSAETVHKVLRSILKDLASGSFFSLGRLEEDSAFPSILAALFFIEWECSMSLAIDDGNDLEDIEDWMQVALSCFPLSVTGGAQRLVVAVGREISDAEISLMLTLFHKYQIFYNSMASSLSTNKTVISRIVELLGVKLTAIMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEITDGINDAVINQISSEDALEKLKLVVSTTDKFTLSLAESALVALCHLSHLVNLQEAENSQSLQLIRSGDYVESTNKMVESTLRLFLATGVSEAIAKSLGEEASSIISSSRHAYLHFWELVASFIKNASPQIRRSALESMELWGLTKGPVSGLYSILFSSQPIFHLQFAAFSLLLSEPFCQLSLLKDSSLGDIGSSAQQSDISQSAELVPDSEKTLYLRDELSVLVEFPTSELLKTDLTAQDRVDVFIAWALLLSHLQSLPSSSIIRENVLQYVQEKVSPCILDCIFQHIPLKTAAPSGKKKDNELMPEAEAAAKASKDAIVTCSLLPYVESLSTVRTWQMASLAGSLYGMMIRLLPSFVRTWFTNLRDRSLSHSIELFTRQWCSPPLLLDEFSQVKDSVYADENFSVTVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVEVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSVPRLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Dexi7B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:7B:15383353:15386323:1 gene:Dexi7B01G0007550 transcript:Dexi7B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAQQQQGVSLPAPRSQLHAPRMQYQHGGSRSRMPPFPRGGGGAYNRGQKQFYPPPPPAPPLPATVLPPPPPPLSKYEVLMEAGRLAAEYLVAKGVLPPASLQQRGGAVGAGGWGQLPPPPPLSAGLEALAYYNARNGRRQVDDECSTRNARSRRNRGGDYSSGNSSNYNGRGKRKFGADNRYSDLGRDRGRKGYSDTRSYDEDDEDGAPGFKRERRSSGGIDEVGSSVSGVAGEGPSSKAEAMGESELEDTGSKASSNSNVQQKDDAPQEVEDENEANKMQEDSVVSNSEVVEQTLNCEGNSNNDSSAIVQKEETIHLPASSGEKVSEERPEDSGIQNEKVEGDTALAEDDMESDEVSIMENNLPNDSINLLNYCSFARVPTRPRSVLANRNARPAQREFGVPEQVNLVPTEEVSQTAVDEEANANSITSIQEDSKDNLVRQEHAEPSTTCNHVAESVTFHGKGTQGETEEMEEQSEDKNIAQHCGLEDNKEPNELSPAFTSRQNNFTLQVEKGIQIYNLDTPPQDEVLIDPPDKGKTVDSELLPNIKAEIAVTEEEEKLGQSSSFKIRDLNLVGSPEVADMRADPRLGQSSTAGCSVELQHNQQVDFGATNGNNSINTDTFLLGNKAVQVIDIEDDPPIEADACDTSKAKGEMVYSNMGNMMNPPTNTDALHGIQDGYTLAISDYLGADMPCYQSIQTDLQAGMDLNGSEVRV >Dexi1B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:1B:23915438:23916282:1 gene:Dexi1B01G0017560 transcript:Dexi1B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKVTMATSSAYPPPPPFYRLYKDYEQDPLSAPEPPPPPSVDAVYTTFGTERKINVLLPSLESYNVHQLYPDGPNIGVSDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFRNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQ >Dexi3B01G0029580.1:cds pep primary_assembly:Fonio_CM05836:3B:28853384:28853647:1 gene:Dexi3B01G0029580 transcript:Dexi3B01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYGEATAAAMEVEAEARPCAGLSSPVPSDSASPNSVSSRRLGLKNSIQTNFGDDYVFQIASRLI >Dexi6B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:6B:26387134:26391202:1 gene:Dexi6B01G0019750 transcript:Dexi6B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGIMPGLRAAALAVAVAFAAALALMVPGAAAAWELTKKGTVVSYDHRSLMIDGRREIFFSGSIHYPRSPPDMWPDLIAKAKEGGLNVVESYVFWNVHEPEKGVFNFEGRYDMIKFFKLIQEHDMYAVVRIGPFVQAEWNHGGLPYWLREVPDIVFRTNNEPYKKLMEEFVNRIVKMLKDANLFASQGGPIILAQIENEYQHMEAAFKEEGTKYINWAAKMAEATGTGVPWIMCKQTKAPGEVIPTCNGRNCGDTWPGPLNKTKPLLWTENWTAQYRVFGDPPSQRSAEDIAFAVARFFSVGGTLTNYYMYHGGTNFGRTAAAFVMPKYYDEAPLDEFGLYKEPKWGHLRDLHQALKLCKKALLWGTPSTQKLGKQFEARVFEIKDQNVCVAFLSNHNTKDDVTLTFRDKPYFVLRHSISILADCKTVVFSTQHVNAQHNQRTFHFTDQTVQNNVWQMFDEEKIPKFKQAKIRTRKAEELYNLTKDKTDYLWYTTSFKLEDDDMPFRRDVRPVLEVNSHGHSSVAFVNNVFVGCGHGTKMNKAFMLQKPMDLKKGINHIAVLATTMGMMDSGAYLEHREAGIDRVQIQGLNAGTLDLTNNGWGHIVGLVGEQKEIYTEKGMGSVTWKPAVNDKPLTWYKRHFDMPSGEDPVVLDLDPMGKGMIFVNGQGVGRYWISYKHALGRSSQQLYHVPRSFMREKDNVLVLFEEEGGRPDAVMILTVKRDNICTFISEKNPAHIRSWQRKDSQITAKDGGNLKPQATLTCPPKKVIQQVVFASYGNPEGICGNYTVGSCHSPRAKEVAEKTCLGQQTCTLPVSADVYGGDIKCPGTTATLAVQAKCSKRSPPGVPGAAAAQ >Dexi1A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:1A:22896559:22898344:1 gene:Dexi1A01G0015890 transcript:Dexi1A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRSFHTDGLHRIAVVVTSRRGITKRLPRLAVVLLVALVYRQLQAPPPKIPGTPGGPPVTSPRIKLQDGRHLAYYESGVPKDQSKYKIIFVHGFDSCRYDVLRVSPELAQELGIYVLSFDRPGYGESDPHPGRTEKSIAFDIEQLADALELGPKFYLTGFSMGGEIMWSCLKYIPNRLAGVAILGPVGNYWWSGFPANVSLEAWNVQVPQDKWAVGVAHHAPWLTYWWNTQKLFPASSVISFNPAILSREDMAIIPMFAYRTYAHQARQQGEHESLHRDMMVGFGKWSWSPLELEDPFPGGEGKVHLWHGAEDLIVPVQLSRYISERLPWVRYHELPTAGHLFPIADGMADVIFKSLLLGDDE >Dexi3B01G0031820.1:cds pep primary_assembly:Fonio_CM05836:3B:33857704:33859232:1 gene:Dexi3B01G0031820 transcript:Dexi3B01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding FETMALANKLGGLLKKATSSSPSIYQAIRCMSSSKLFVGGLSYGTDDQSLRESFSNYGQVIEARVIMDRESGRSRGFGFVTFTSSEEASAAITAMDGQELHGRSIRVNHATERTGGFRSGGGGGFGGGGYGGGGYGGGGGGYGGGYGGGGGGYGGNYGNRGGGGYGGGGVAGGAGGNFAASGSDNFAGSNFGGDSGFGGNPAGGFGGSTGGDEFSASFRSNKNDEIMNDLDMLKDDEPDNYADKRA >Dexi5B01G0032140.1:cds pep primary_assembly:Fonio_CM05836:5B:32760205:32763885:-1 gene:Dexi5B01G0032140 transcript:Dexi5B01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPEGGEGEELFLLSAVEAGNGGVGGAPAAAEDSWRLNFEGLRPPEAHQERPPTGALHHCLGVLAQRPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKLAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHAMSHEK >Dexi3A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:3A:15854033:15854819:-1 gene:Dexi3A01G0019960 transcript:Dexi3A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDVKHVRLTVRGASQKKIQDAIHFDLVPDYHRYSKGPDGSSFTVEVGGRVDVGRLHECLKKLASNVKIEAVVPAKMAKQEQDLSNMKKRKDELKSKLVRAGEENYRLQAKLRSVEEENKKLHKKIKDRGSNSKMLGTGQLEGHVGYRQTHISIHELEVDAKAKLKISQDGHRRIK >Dexi3B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:3B:9881200:9882769:1 gene:Dexi3B01G0013760 transcript:Dexi3B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLARLPSPQPSFAAADDPELSSILAALLAMHDDDVREPPPRADEYLAADSATAFPSFSVHQHDQPTAMPFPPVASARPLPPPDKAATATADASRPTAKRKRGRKQQPTSSPSGSSTTRASRRVWVRARSTEWWDRLNGPTCTDAEFRRAFRMSRATFGALCDALGGAVAREDTPLRAAIPVRRRVAACVWRLATAEPLREVSRRFGLGISTCHTIVLQVCHALAAVLLPVAIRWPDAAAAAANVARFEAVSGGLPGVVGAVYTTRVPIVAPNKGNVAAYYDHRLTERSHKASYSVAVQAVSDADGAFTDVCIGFPGSLSDAAVLARSALCQLRGETGLLGEHGHRLVGGASYPLTDDK >Dexi9A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:9A:2274836:2280002:1 gene:Dexi9A01G0004240 transcript:Dexi9A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDAASSGKHGAGQVCQICGDGVGTAADGDVFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPVHGEENEDVDADDVSDYNYPASGNQDQKQKIAERMLTWRTNSRGSDVGLAKYDSGEIGHAKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHQFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLLRTSTNNFVDLDCRNDETRQPLSRKVPIPSSRINPYRMVIVIRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFAFSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPVKKKKPGLFSSLCGGRKKTSKSKKKSSEKKKSHKHADSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAVCLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIYKCGINC >Dexi7B01G0024770.1:cds pep primary_assembly:Fonio_CM05836:7B:29026987:29027389:1 gene:Dexi7B01G0024770 transcript:Dexi7B01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKARAGCVVRRMPRKFASSSQIALNPARGGNPLR >Dexi2B01G0031960.1:cds pep primary_assembly:Fonio_CM05836:2B:39925929:39928545:1 gene:Dexi2B01G0031960 transcript:Dexi2B01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMASSRLDLAVVALLWTSCALLFADASVHDYSGEWFVGSGNAFVLHGGSEGVYASAKAGAFIRFEKVAFRRTPESAAAAEEDGNRTATVTAVIFEAGRRDAIGSTDVVSVERALCCTPEMAKLGACTEGAVIHRAWRNDTGWPKVLSASFLPGTLEAAFPDETITISRTGMYTLLFAHCDASLAGGEVAGTGKTIWKNSHGYLPGRMAPLVTFYGAMSLAFAALAAYWFTQCARFWREVVPIQSCATVVIALGMVEAATWYLDLAEFNESGVRPRGATFWAATAGALRGAAARVLVLAVAMGHGVVRPALAGLKSARVVGLGAAFFVAAEALEVTENVGTVSDHSPSPAKRLFLVLPVAVLNTVFVYWIFSSLSKTLNKLKARRMTEKLEMYRRLTNALLITVAMSLGWITFEIHFKSTDEYNERWRAAWVIPAVWQLISFSLLCAICLIWAPSQTSTRYAYSEEEEGEDVDRDLEDTRPLIRPGPLSYVDTWAISVSQDATKIILRTDSGVYAKAAGDGGKRV >Dexi9B01G0030100.1:cds pep primary_assembly:Fonio_CM05836:9B:32601073:32602428:-1 gene:Dexi9B01G0030100 transcript:Dexi9B01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKIVNRDEITGAAMSMFAGDYIDIPESYIRTDEVLADEVIGKDEAYELPVVDMARLFDPDFSTMEIQKLGYACRQWGFFQLTNHGVDEAVAQLIKDNTVEFFSLPLDIKNKVAVLGKGAGLEGYGHHYSRAPGDKKDWAESLILITQPVHERNMKLWPTSPPTFRDALATYAVEMTKLARRLLGFMAADLGDEDEELVEAFTGKRQSMAMHYYPPCRHEGKVLGITPHTDGLGLTLLLHVDDTPGLQIKKDGRWYPVRPLPGAMLVNIGDVLDILTNGAYKSVKHRVIPDAERGRTTVVFVEEGTVEEGMVTPLPGLLKQQEPRYKSIHLDDYIKGILKAVPEGIRFANTLKIQNDDGST >Dexi8B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:8B:20948452:20949351:1 gene:Dexi8B01G0011760 transcript:Dexi8B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEVFSAFRQLSDNSLHLTSFFFPYAPFPSSWRRDKAHAKLKNIFAEIVRSRKSSNRCEEDVLQSLIDSKYKDGRPTTEAEVTGLIMVILFAGKHTSSASSTWTGARLLSHPQCLEAAIKEQQEILKKYKGEIDYNTLLDMSVLHRCIKEALRMHPPAPTFFRKVHKNFTVRTREGHEFEIPRGHTILSPLVINNNIPYIYKDPGVYDPDRFGPGREEDKVKVIWSHLLRNFELQLVSPFPETDWLKIALEPKERVMVRYKRHPPCGLYTEN >Dexi7B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:7B:22332423:22334635:1 gene:Dexi7B01G0016350 transcript:Dexi7B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACTHLPLLEALHALGVELLDGDDHADAGSRGVHGLLVDPPLVHPPEPALAEHRVGLEVAGRGPELTEGEDAQAAARLLPLLQLRVVAMLLMDDEPRDLLLLLLLLGCPDDELIDGSRSGAAMGSAALAGAAAGKQGQIDREQKRNLNLKALRPNEIGVAKPLASKALRKCKGKQEGVVVYLGVAGHHGSQRSSRPPIRLPPSRGSSPWQQQQRGARSVDASLTASPPGRSLSSSGSLLCLFRLWWKLQRPHYPSPRSLPWWAAPATRTYPCDRRGSRVIGDLSMGLVPSSWRGARRCEGATEISSTAAVKRRQGLCVYFGQGQMLTQLAQQRVSAPFQSSAGRPGGVAKKGHLRLFLGEGAQLRASDRLRRTQRRQSLPPLSIRVLQQQQHYWHLMH >Dexi4B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:4B:15754310:15754926:1 gene:Dexi4B01G0014630 transcript:Dexi4B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSRTTTVTSPEHHPPTKATVIFSFVSAGLTGIAILAVVVLFCQYRVRGRSPVTAAVAGDDNDPERRRAGVDIAKLPEFAYTQSARRDGGGGDDGAQCSVCLGAVQHGEMVRRLPLCKHLYHVECIDMWLASHTTCPLCRADVEPPGEDEQAAAAGAAGVAGRIC >Dexi7B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:7B:3800797:3802730:1 gene:Dexi7B01G0002180 transcript:Dexi7B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHSASTTNPRHSSPPLIAHPTDKTLAVSCPSLRRTAAMPNQPPAPFVHVDAATAADMRRRGMDPHELEQSARGVLKFVYMCLPDAPAYAAPVLSAHPVPSDGSEDRISALPFALLRNIVSRLPAKDAARTTALSRRWRPVWRCAPLALADAHLLPGALEGLREPLRADTPGLAATVSRALAAHPGPFRAVHLVGGYYGDAARQRELARWVETFAAKGVQELVLVNRPWPLDVPLPAALLAVDTLTRLYLGVWKFPNTSALPQRVAGAAFPHLRELVLCAMEMESRDLDFLLAGSPVLENLGIVGSRNKVNRLRLVGQHLRCVQICLCAVDGVAVVDTPCLERLVLWASRTNGGSCIRLKIGKAPKLRVLGYLNPGNHMLEIRNTVINAGIKASPSTMVPGIKILGLNVCFADHNDAKMLPIFLRCFPNVETLHFVSEKNDKVSGKVNLKFWLEAGPIESIQSCVKMMTFREFRLERSEVAFLKFFFQSAQILKNAVIVVSNGSFTSVPTVLSKLKSLIPETGPCKSCHVLVYESSDPEGGAVWSLQKGFDFSVTDPLYYR >Dexi9B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:9B:4730606:4732075:-1 gene:Dexi9B01G0007720 transcript:Dexi9B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPPRPSVMVLPFPAQGHVMPLMELSHRLVDHGFEVDFVNTDYNQARVDAALAAGGESAEAVHAGIHFISLPDGLGPDGDRTDIIKLGQSLPAAMLGGLEELIRAKNIRWVVADVSMSWVLDLAATVGVRVALFLTYSAAVFVLRLHIPKLIEDGIIDESGNARMNERIQLSPKMPAIAATELPWINVGKTPESKRALIQSAIKNNQSFALADAIVCNTFHEIESEALAFFPKEPLLIGPLVASKPTSAAHFWREDLTSLAWLDAQAPSSVVYVAFGSFTVFDTTRLQELADGLVLTGRPFLWVVRPNFANGIDEGWLNDFRCRVGDKGLVVGWAPQQRVLSHTSVACFISHCGWNSTMEGVWHGVPFLCWPYFADQFLNQSYICDMWGTGLRICADDRGIVTKEEIRDKVAWLLGDEGIKARALSLKSRACTCVADGGSSHQDLLKFVNLLQEQ >Dexi5B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:5B:19052243:19054642:-1 gene:Dexi5B01G0017440 transcript:Dexi5B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTNVLALLATTLLLLLAGRAAAAANETQRFRPGDELRRYRRVQALLRRLNKPALRTIQAPELSETNGHTQSPDGDLIDCVAAHLQPAFDHPRLRGQRPLDPPPARPKGHHRRPDNPNGTTADAGVQLWAAATGESCPDGSVPIRRTTEADVLRASSVRRFGRAPPSRVRRDSVAGGHEHAVGYVAGDEYYGAKASINVWAPKVSTASEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYNAGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSLLFSHLASHASMVQFGGEVVNTRESGSHTATQMGSGHFAGEGFGRASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >Dexi1B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:1B:3648972:3654527:1 gene:Dexi1B01G0004520 transcript:Dexi1B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARLWICSSTSALLELGLEGRLADLRWQAMLGMVSTFMAGGNTTLVDCLTEGEVAFQNSMVRQLLRTSALILVNVVMAGVIVGIGAFGKRYRYHPFTRFIFFGATTLFLPIISSVISTVGTMGTLGFLWRLFLKDERKKKVKIPEAVKICIIRALGSTRNGGLSPGTTSLRQTQAGERLLWACNIKGTSDTILVWHIATCIFEYQQTTCHKQASTSNLDSHYKIAAIHLSRYCAYLMVSLPELLPDDDAWSKDLCNAVKKDADRVLAGRAAVGSSSTPEDKCRQLVELLGEGSKNEELKNGVKLGKQLIELAQGDEETWKLLAGFWSEMILYVAPSDNLKGHSEAIARGGELITLLWALLFHVGIFSRPDETDGAATSAAGDGV >Dexi9B01G0035190.1:cds pep primary_assembly:Fonio_CM05836:9B:36927719:36930156:1 gene:Dexi9B01G0035190 transcript:Dexi9B01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGGAQTPCVTDGERQGRTATCSHGKGVVSLQISPCPDDPWRNEDEFFFYPTNGLLLIYSSWMSQWRDEEPPPKDESEFQGRCCVREHARRYFILIGDGGGLTKERAQAGPFGPWSACAGAPPEDKRFLPLWIIR >Dexi5A01G0032460.1:cds pep primary_assembly:Fonio_CM05836:5A:34986590:34987043:-1 gene:Dexi5A01G0032460 transcript:Dexi5A01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVLLVALAALAVLAALPLAQSKGEGFFLFVEQFIWHEIGEHGKDDATTAAGAWPCCDTCGTCTRSLPPRCTCMDTAPSGCNAACKSCVKTSLGGSNVFRCADRVANLCKRRCTPAA >Dexi2A01G0035950.1:cds pep primary_assembly:Fonio_CM05836:2A:45638924:45642512:-1 gene:Dexi2A01G0035950 transcript:Dexi2A01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTTATSASSVPPFTEARLGSGGGRSAARIEWERRRLGFGRRFWAAGAGEVVGIWMVAAAARCCSACASPRLCPWEDSLLTILFVKCSASEFRRDYDGACLQMRMAYCPAAHFFLFLVQWTDCSLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICVERYRKRDEDPKMVISEIDDNIEEECGICMEINTKVVLPTCSHAMCIKCYRDWYKFALPLLMRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRRENLRRLFMYIDKLPTVIPESVFDVYDSHVK >Dexi3B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:3B:2481790:2483452:-1 gene:Dexi3B01G0003670 transcript:Dexi3B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCFPPEADDLHRRWLPREIFADIGIVDTDAVVPDATPDAAVVVGVEELAAQLAGILGGGTKACQLAPPRKPTPPPAVAAPRHGAQVSQWWFFAPREPAAPHRRFLAVLALTKTALWLQACGLERSVVAACGGTNAAGAAAAVAWPFVPYPPMQWQGGSNLVNLGGVLDYCYSSSAFPLATYCAVPLPQPANIRGGTGVFIPRTACNSPPAKARAGRS >Dexi9A01G0029400.1:cds pep primary_assembly:Fonio_CM05836:9A:34267697:34274129:-1 gene:Dexi9A01G0029400 transcript:Dexi9A01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWEMHPLCCLAGEWPGSGGGGLAAGDRSPPPPPAAEGEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPHPAASEAAGGVRLIGVARGAGGAGERPIGFVHLKVVNSVDTTLTEKPQLELFKHDCSSSGKCSEYSNTESSDDVGKQEISELSDGDEFHFYDTRQSFSDWATNPDLSQRCLSNASEHHKSVASLTVDKANEYLLPNSKRRTKLPDPAEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDRAYECGLKGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQVDPDGVLTLEFDDGETFRWSKVTTTINNLILGRLYCHHHGTMHISGNRRYSCKLTFKEQSFLDRNPRQVQGVVKDLDGTKVATLAGKWDESVHCIIGYDASKVKCHGPHQSAGATLLWEKNEPSANPTRYNLSSFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMVARKMQESGWKPRWFQRGSEDGTFRYVGGYWEAREERKWDGCNDIFVHKDAFIFGADVVMLVDVHVSGDASMLALWWIYELLE >Dexi9A01G0040330.1:cds pep primary_assembly:Fonio_CM05836:9A:44123688:44126444:-1 gene:Dexi9A01G0040330 transcript:Dexi9A01G0040330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRPSNATLLARLREGTAKFEVLEDPVPAPAPVWPRLHCFARIAPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKNKGIPLIPREEVQDAEILVLTLIFKLTPNSIDDLRMAVKEIICDSETERQKYEEAVIAITVEQSLKRYCQRIRRPDFWGGESEFLVLSRLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFTKNSKNWKKKTPVRLLYSGRNHYDLLV >Dexi3B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:3B:1765365:1765586:1 gene:Dexi3B01G0002630 transcript:Dexi3B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEVHDGMGLELYYN >Dexi4B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:4B:20524840:20527527:1 gene:Dexi4B01G0018120 transcript:Dexi4B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSDSQATDAFEYMLLEKDPDLYRMVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPIKEDQLQLFSARFDEIFSKCQGLGNVCFLHGISTQNGRICIATKFYEGSVGDKMARLKSGRLPLSDVLRYGADLARGILDLHTRGILILNLKPCNFLIDEHDSAVLGDFGIPSLLFGLSLPHPDLIQRLGTPNYMAPEQWQPNIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEIYQLVVLKKEKPVFPYSLPPDVENVLSGCFEYDFRNRPLMKDILHAFESAKDADHDSISWDNSENVVMDRPTMANNTNWLLFKDKLKVGDKVRSRKLKNSCSPETMEIPDGTVVGMEDDEERDGYILVRVHGLHDPLKVRCSMVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDREGTVTVGLIGMDTLWKGNYSDLQMAETYCAGQFVRLKANISSPRFEWQRKRGGGLATGRISHIYPNGCLAVKFPGKFSLGEVCSCLADPSEVEVVSFDRCEGIVKKYEHLEDFHWAVRPLFIAIGFFTALKLGVFAGKSIARPRSRKVASISDHNDDYHQQQVVQNNANAAWLPPPVANMFFGDGVAPSG >Dexi9A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:9A:9221842:9222380:-1 gene:Dexi9A01G0014010 transcript:Dexi9A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPADVLAGILRRLPPRGLAACRCVSEAWRGVVDGHRLLRADLLPLTLGGIFINFHHYDYSELLSCPASAAAGRPFVSGKRRYLPEAAGCHSWGEIQDDCNGLVLVEDYDHGVWYVLNPATRWVTRLPPCPPPAMDMDTWDVKYLAYDPAMSPDYEF >Dexi4B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:4B:10965806:10968409:1 gene:Dexi4B01G0012890 transcript:Dexi4B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLQGDNRDSEHENTAPSIYTNDGTVDFRGNPAVKVTTGGKRTTAILLGKMNFFLFALNYALMNLAFGGVAVDMVVFLQKVLHQQNAEAANSVSKWTGTVYIFALFGAFLSDSYIGRYITCILFQIVYLLGLVMLSLSSSFMLVEPSGCGDGVGLKPCRRPSHHGMVMFYVSTYTTAFGMGGYQPSVATFGADQFDDSDTTERSSKLAFFSYFYVALNIGSLFSNTFLAFYEDRGKWVMGFWASTIAVALGLVLFLLGTPYYRHYRPTGNPLTRMAQVFTAAFHKRHLQVPPGEDLHEVEEDDSEFRGSRKLLHRDQLRYLDKAAIVTEEDCNNAANGNNPWRLCTVTQVEEMKSVLAMIPIWVCTIIYSLEFTQMGSTFVEQGTAMDTNLFGRFRVPAASMSAFDICSVLLSVLAYGFVFAPMASRFTKNPHGITDLQRMGVGLIIALVGMVAAAVVEINRRHRVVKMDQPSHMSVLWQAPQYALIGASEVFMYIGQLDFFSGQMPDGMKCLGSSLCMASISLGNFMSMLTVSAVTGITRKRSKTRGWITRNLNHGHLERFFLLLVLLSVFDFIVFVTLAIIYKGTEFNKGGRDVSHL >Dexi7B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:7B:1116578:1118204:1 gene:Dexi7B01G0000450 transcript:Dexi7B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMLVGAALTLLILPPNKIIRDDGSMATNFTFSSVTKEGKEILKLFINWKMLLVLPAAWTSNFFYTYQFNNVNGVLFTLRTQGFNNIFYWSARMMGSTGIGCFLDFGFASCRKRGITGVLVVFVLGTAIWGGGLANQLRYVGFYNGVQSAGAAVAWQLDMHKTPLITQLIVNWALMMVSCPLLVLLLFLAVKD >Dexi1B01G0024910.1:cds pep primary_assembly:Fonio_CM05836:1B:30052317:30055100:-1 gene:Dexi1B01G0024910 transcript:Dexi1B01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEKPAHDFLSLHTGGGSSSPVQHSTQGYDVGLHNSLKPLKLGKRRRGGGAGEGALGMAPSGMEADSDEHVLPGGVGTFSIRQAPSTSQTRGQGVVVRGGPFTPALHGSRTEGAHGAESGARVHSGPATMWQDSGTDQRSRGPWLLFCMFSNERRGEKQRQQRRSGAQHSKIEALCDRAAEEDQDKREASSLRLEILRELLPHGDQKRDKASFLLEVIEYIRFLQEKVQKYESAHPQKINHEDSSMPWNISQVQGGGLSPPTQDMNKEQYCSKKSTVAPTDLLSTQSAGETSIDDISSQKATSTPQIWADDSTPSKQPPWLSMSTSDSGNRPLSKNDKQTLPEDTSSLSNAYSQGLLNTLTQALKRSGVDPSQASISVEINMDKRATEPSNIHGNLKDNECEETIHVTKKLRC >Dexi3B01G0037730.1:cds pep primary_assembly:Fonio_CM05836:3B:40488541:40494549:1 gene:Dexi3B01G0037730 transcript:Dexi3B01G0037730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPDPPPQLADAPDPDPAPPPPPPPPPPPPPVAPADEEDDRVFLVPRRWWKEAQEGAVIDAPGLPYAAAPAGPSSYGMRVLSMFISDQTYTLRRADELLQQEQQDAAQGRSYALLAADLFTKARDWHIDSAQSVGKNPSLTEDGSVNIYPVMLRVSVTRDNALTVKIGKKDNSAENFKRATKILTADSEMVHIWDFSGRTTYILMNSWNRMPHDSRSADHEMPLQIQIYDLSEPMANGDDGKRDGLALTLGRSGFSNGSITDMDLDSTSGIIKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDFCKEINTHNPLGMKGELASAFGDLLRRLWAIDRTPVAPRIFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDDEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPSTTMRTMTITVLGTDGTIVPSPYTVSVPKFGDTRTLINALSNACSLRDDERLLVAEVYNNSILRYLDEPSEVISLIRDGDRLVAYRLPKDSEDAPIVIFRNQRMESSLSSFGRKSWKGFGTPLVSSLPDTVTGSTIYNLFLKVMSPFRVSNDDISDGDQTIDESSLANETTDIDMNTDGSERTSLDNNALEDETETEDAMQFFLTNERTPDQRMKIEMDQALTVKGPQKRLQVVVCWQDKGLEQYNLGSLDSLPEVYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPDDMW >Dexi9B01G0044870.1:cds pep primary_assembly:Fonio_CM05836:9B:44552110:44554762:1 gene:Dexi9B01G0044870 transcript:Dexi9B01G0044870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLTHPAAAAATAAGSGKSLSPGAPAAKSVVRPPRTRPLAPAAASAATAAVHADFAEDRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCDGRILVSDRAHLLFDLHQAVDGLREAELENSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFQGFQYSKNMLKEEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGAEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGMSEIKVGVSYNQADGQKLQSFPGDLDILEQVQVNYEVLPGWQSDISSIRSYNELPQAARRYVERIEELVGVPVHYIGVGPGRDALIYK >Dexi9A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:9A:8739587:8740102:-1 gene:Dexi9A01G0013590 transcript:Dexi9A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGAVAASRSGGGTVAFSWEQEPGVSKQQSAGDGKKPAAVARRAEAVSRRTPATAKNVLVPAPEPEHAAASAAARPHRLRVPPPPGGPAVLPPGKTKKKSRPRDDPFLAAYLSCTGGAGRGGGSKGSAKLFGWTGLGLGLPGLGISCKSSCGAVDECVVRLARIPELDED >Dexi1B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:1B:733037:735062:1 gene:Dexi1B01G0000850 transcript:Dexi1B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRRPRAAGSPPGDAGSPPSPEKAGRTRPWAALGSDRRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRSYLHPLIFAALYKILALLHLDTPWFMMKSKCRFVFLEVIPVGAIVLAVTTLLDWWMYGQQVIVPLNFLKFNLFSSGGDYYGTHVFHCLGNGGFQV >Dexi5A01G0029390.1:cds pep primary_assembly:Fonio_CM05836:5A:32466232:32470720:-1 gene:Dexi5A01G0029390 transcript:Dexi5A01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAQAPRGGGGFFSARSLSNYMRIVSSGASTAASTLRSAGASLVNSIANHEDDGSRDQVQWAGFDKLECGGGMLRQVLLLAYKSGFQVWDVEHADDVRQLESRHDGAVSFIQLLKDPIFTTKSEDRFADARPLLALACEGVYTGNGNNHDTNVPIFDGTNGAFHIGSENLPTVIRFYSLRTHEYVHTLRFRSAVYSIRCSPRVIAVSQATQIHCFDAATMEKGYTVLTSPTVAQISGHGPLGLGPRWIAYSGIPVPVPNTGRVSPQLLSLSPFVPPPGSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYCADFIPNGNGIVKQRSSGNKANGATNGHLIDSEYAGTVIVRDIVSKSLIVQFRAHTSPISALCFDPSGTLLVTASVHGQNINVFRIIPPPNGTPEAGQIGSYVHLYRLQRGITNAMHFQVMEGETLETDNVDTTSGEAEVEKIQTRNIESRSKNLIPVFDSLHTSRFQHTRYVRSLNFFTSSC >Dexi2B01G0021000.1:cds pep primary_assembly:Fonio_CM05836:2B:30973498:30975175:-1 gene:Dexi2B01G0021000 transcript:Dexi2B01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVARNPNVYAGVLGVAWACVTNRWHIETPSIIEGCVLVMSKTGVALAMFSMGLFMALQKKIIACGAGPTMLGMALRFVAGPAATTAGAVALGLRGDVLRLAIIQSALPQAITTFVFAKEYSLHADVLSTAVIFGTLASLPVLIVYYIVLGLIRCYSLLVRELALPF >Dexi1A01G0022540.1:cds pep primary_assembly:Fonio_CM05836:1A:29203605:29203810:-1 gene:Dexi1A01G0022540 transcript:Dexi1A01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDGARTIETAFGHLAPVTCLALASTIYADNT >Dexi7B01G0022800.1:cds pep primary_assembly:Fonio_CM05836:7B:27609385:27611854:1 gene:Dexi7B01G0022800 transcript:Dexi7B01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRGSAGGAGEASLAAVLQQAQANLGVDLSHKAQFIRDQMDLFFGPRLQPQPPPKPQAAPLPQAVPPAPAVQQPQPQPQVLPQAQAQQMQPQKQQQQMAALQPQLIFQAMPQLPAAATIPAIPAVSSPPPVPAMAFYPPPPLAFRYTTGLGGAATGGTVSFQQPAPGLMGTASPTTAGQVTGDNKESSASKRKRGGPGGLNKVCAISPELQTIVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDDVKRMKAPTVTHQPAPPVNQPSVVISDALAKFIGTDGTFPQDDALKYLWDYIKANQLEVGPTFLFAD >Dexi7A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:7A:21736652:21751274:1 gene:Dexi7A01G0011120 transcript:Dexi7A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSPGPMGPTAGPLVGPTLAGPTCGVACPRIARADLNHRRGRSDAKLFVDGLIRPPLSLSEKKTPDQGIPVRIEEHDQQIKSRHGQLSTAPARPLPSLIDERGEKKLIADEDLADLPPITMPERPAAATYAAPLAGEGDRGILSKLQRPCRMPRRRRPRDHTRLREGQRGHFRPPPHQIEPELEPGRGVAMGHDVLSCARRRPGAFRGARSEGLFRGSGRRLDALESGRFAYNSVPGKHPLEKKSSIVSKLPRLWEMAIDKRRRSAALLVVLLVGAAAWSVSAVGDGEGPLMNGNFEYPPNQSQMSGSTVTGQNAIPYWTTSGFVEYIGSGQQQGDMILTVPEGAHAVRLGNEASIQQQISVTPGLYYCITFRASRTCAQDEKLSVTAIPVTGYPTQSGILPIQTVYTSCGWDSYSWAFKADAGIVSFTIHNIGQEEDPACGPIIDAIAIKTLNMPQPTGNNLLTNGDFEEGPYIPPDSKSGVMVPPMDEDDVSPLPGWKIMSYKKVVKYVDSAHFAVPRGARAVEMVSGVEAALVQEVYTTVEGSWYRLEFSVGDAANQCVASSDGYSTSGMKVKASAGASDTTVEVNFGGNGGSVRGKLEFQAPASPTRVVFESLGYYTKADKSGTLCGPVLDDVSLVAIAQPSARRTGAGTASIEHALAGTHQGHNMAEYANYRSKQDGHVITSGISPAVPSHHPATKADRPFLSHAARASGIRRGPPTMPWPTTSIVEAPADPALVPPLGRPDESKTHLANLIGCLCMIGYSNGEILFYAARLLFIGAIPPAICPSGLRVESSESEMAGNVRRAAVFLAVCLAAQAASAIQGGLLPNGNFELAPPKSQLNGSRVMGRYAIPHWEISGYVEYICSGQKQGDMLLPVPEGAYAVRLGNEASILQRIPLTRGAHYSVTFSAARTCAQAEQLNVTVAPESDILPIQTVYTSSGWDSYSWAFRATSSVVSFIVHNPGVSEDPACGPLIDQFAIKTLPPPQSTKNNLLKNGDFEEGPYIFRNTPWGVLLPPMDEDDYSPLSPWMVLSSTKSVKLVDAPHFVVPHGGRAVELVSGMETALVQDVRTAPGSTYKLEFSAGDAGDGVRVPYQSQGKGGYKRAALEFTAVTNETRVVFVSMAYNLKPDGTLCGPVVDDVSLVCTRKHVVRSMGRIHSLVDANGCRQTQEARASRAIPSHHPSGEPTTAPHRTSGRRMMPWRPGHHLDPARVPLSRLETHRACNLDMIYPASPRETDASRAHRGSVRVHIQPEKKTMARHGRLAALFLLTCFVSARAATAILDGKSSHRTRYVTLVHANESSEVASMLCTLCSSPGLLPNGNFEEAPARSELNGTRVTGRFAIPHWEVSGFVEFIGPGQKQGDMILPVPEGAYAVRLGNEASIQQRLANLARRTYYSITFSAARTCAQAEQLNVTVAPESDVLPIQTVYTSSGWDSYSWAFKARCSAVTLIVHNPGVTDDPACGPLLDSFAIKTLQPPQRPKNNMLKNGDFEEGPYIFPDAPWGVLVPPLDEDDYSPLSPWMILSSTKSVKYVDAAHGYAVPHGTRAVELVSGMETALAQDVRTVPGRGYRLEFSAGDAGDGCVGSLAVQAYAARGSVRVPYESQGKGGYQRGVLDFTAIANQTRVVFVSMAYTMKGDGTLCGPVIDDASLVGLPGRGARRPLPTPPLPSSSTRRPHAGSPIDLSVLGPAHTTGLGVTAARLPGDRNPRDLTMRRRTGAECSCYSRDPKKLPRRAILQPQPQPVGHIIPRHTLTHGDLITPEGLPALLSARTAPLLVLRDSRGPAISGATRRHWSATLFRHRSITGRELRRAHEKAKEPGMAENTSARCLLLLVLVGAAARVASGINDGPLPNGNFEQGPDPSQLNGTRVMGQHAIPNWEISGFVEYIQSGQNQDGMVLAVPEGAHAVRLGNDASIRQQLTILARRTYYSITFSAARTCAQAEQLNVSVAPESGVLPIQTVYTSSGWDSYSYAFRARHTTAWLTVHNPGLEEDPACGPLIDAFDIKTLDPPHREKGNLLKNGDFEDGPYIPPDSPWGMLVPPQDEDDVSPLPGWMIMSDTKSIKYVDAAHHAVPHGSYAVELVAGSECALLQEARTVSGRAYRLSFSVGDTGKECIQQLAVKAAAGYSSVVVTYDSQGTGGSKRAELDFTAMDNVTRVVFQSMNHYMKPDGTLCGPVIDDVSLVTVRKPAARRLFM >Dexi1A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:1A:15250009:15251404:-1 gene:Dexi1A01G0013080 transcript:Dexi1A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHLVGLVKVRVVRGVNLAIRDLRSSDPYVIVRIGKQEVYDKDTFVDDPMGNAELDIRPLVEIVKMKLQDVADNTIVKKLVPNRQNCLAEESSIYISEGKVKQDLVVRLKNVECGEIELQLQWVDLPGSKGV >Dexi5A01G0027260.1:cds pep primary_assembly:Fonio_CM05836:5A:30747618:30749215:-1 gene:Dexi5A01G0027260 transcript:Dexi5A01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAKRKPVFVKVEQLKPGTTGHTLVAKVLSSKTVLQKGRPGAVAAGPASRPTKIAECLIGDETGCILFTARNEQGSMRLAVDKWGRIEITEPASFNVKEDNNLSLVEYELVNVAEE >Dexi3B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:3B:6467591:6475415:1 gene:Dexi3B01G0009390 transcript:Dexi3B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFDLLHTTSGKEYITSDHLKHEIKMEIKKQGRASLVDLSDTLGVDLYHVERQSQKVVADDPTLMLINGEIMSQAYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELTISILEPRLGSIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLQDMHGASGVSVEGSFFQSIFNGLLKDGAVLGSIRAGGQWTPAVFAHAQKESVDAFFSQNSYIGYDVLQKLAIPQPKQYLEARYPDGIALDAVFVHPSVVDMLDTAVGDAIENGQWIDSLSVLPSYITGPDATKILSICPSLQKAIKSSKAVVFGESCVFSSVFIKGIFNRLEKDMDSFGIRHSVGQGRPVNMNLGSEHKTGSGQYSDTKDLGDNETSSTGVSSDRGSKKKRGKGTGSTKGGSLEKDDDNEESIPVKGKKAHRKNKDAGSSGDVKHGGKKAPEKKKDESSNIFPDELIEQKVLAVVPELEELSGSDDSNAPLKLLSSHLRPMLVDSWMKKRNTMLSGNAERRRRLLDNLQKQIDEAVLDMQLYEKALDVFEDDPATSGILNKHLLKSMGTPIVDKVLITLVIFIPLFMHTFFSKFDKDRKLKNGVEVEDSEEEHVQLTTADRTSLVKDLPGALSSKAQALIEALEGKRFDSFMDALRDLLEESSGLTFKKLDKRLERTMLHSYRKELTAQVSSENDPASFLPKVVALLFLQAYNKALQAPGRAIGAVITLLKDKLPESTYKVLADYHSTTVKLLALQAAATDDEEDCTSDRMREKKEDLEERLMPELKSLVLGTSKE >Dexi2B01G0028520.1:cds pep primary_assembly:Fonio_CM05836:2B:37123570:37124575:1 gene:Dexi2B01G0028520 transcript:Dexi2B01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGGGGGGGVHSCQDDATTGDRISGLPNDLLHIILLRLDSTPEAARTSILARRWRRVWAAVPELSFRYHGHESRRTAPVCLRVNQALAACSVPTVDRLHIAMPRTSRCSFYLHHATATAIHFDLYGYILRFEQAAGAFTALSTRRIRHGYVDSHELEAWKKSCLCIRSDSLEHLEIFGYTEFHGQLEVNAPKLRFFSPRCRLSDDARIVAPMLSEVRWYGYPYNPMRHHLVGVGRHLRRLDVHTNSPSAAPMKLFDTIDDLNLTINIKKV >Dexi7A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:7A:28791126:28795585:-1 gene:Dexi7A01G0019690 transcript:Dexi7A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFESKSSGGAAARSRRTCSKKLRLAPTNRMGGIGASPCDLDREFAPQIAQLLATPTLQSAQEYYDELIRTKKHDGVRLSHSGKHGKGIIDCIVCSYCFRFIGSIEFQIGRRLYLQSIGGSMGSTSERHCHGSDVGSSTGCSGSTNLNGSDVPQEVLMSLMDGVTSLPFSDQFCLPSVVTCPGGCEGELYCSQSCADSDWDSYHSLLCTGSKTEPSRRSALQKFVEHANGTNDIFLVAAKAITFTLLRFKKLKRQPASHESNFSLLMEAWKPLGMGFKKRWWECVALPEDIDSSEEDSFRQQIRDLAFASLQLLKDAIFDPELFSLDVYGHIIGMFELNNLDLVVASPIEDYFIHIDDLPESEKEEAEKVTRPFLDALGEDYSVPCEGTAFFPLQSCMNHSCCPNAKAFKRDEDKDGHAVILAIKPISKGEEITISYIDEDLSYEERQAQLADYGFTCECSKCQEQRPV >Dexi5B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:5B:22199468:22200232:1 gene:Dexi5B01G0019930 transcript:Dexi5B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSSGGDAHIAIHSGVATTPDRIRESSTTPSPHHGDAGATTQRALKVPALPAGDTAPPPATAMDKTLASVANLAKLLPTGTALAFQSLSPSFTNRGACLPSNRYLTAALLYLCVLSCVFFSFTDSFVGGDDKLYYGVATAKGFLVFNYSGDTGDDAADAERRGRAFGNLRRLRIRWVDYVHAVFSAVVFLTVAFSDTAVQSCFFPGSGRNVNQMLTNLPLGAGFFSTMVFLVFPTTRKGIDYTGPAFTHV >Dexi9B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:9B:938712:939140:1 gene:Dexi9B01G0001620 transcript:Dexi9B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDESFKRPGCVPFKWEVQPGIPKQLEDSPAGDGGDDNSTAAATPRQLALPPAARASALASSTSCRRSSVSRSSVSSVLLSPPPLDETPPPPAHHRRSMSARFATSLALPFTRRPRRGQAVAKDDAGVDFCVLYSEKIAQI >DexiUA01G0021410.1:cds pep primary_assembly:Fonio_CM05836:UA:44361439:44363084:-1 gene:DexiUA01G0021410 transcript:DexiUA01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHVLFNEFGTDGGPAAKALQPKFNVFVKNISAHLGVAVPHIEMKHVIGATIALKGLGGLLFILSSSLGAYLLLLYLAIITPIVHDFYNYDMEKAEFAQIFGKFAQDLALIGALLFFLGMKNSIPKRQAKKKASKAKTN >Dexi8B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:8B:9423800:9424336:-1 gene:Dexi8B01G0007660 transcript:Dexi8B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKPTVQLLLALTAITAIAHGETTTTHLHFYMHDTQTPSPGSPATAVLVARGPTPSPVDPTNRFGDAYVIDDPLTEGPDLTSSRTVGRAQGFYLAASQSLDALLLSVNMVFTAGRHNGSSVTVMGRDAIFNEVRELPVLGGTGVFRGAGGYIQIRTHSFNISNGNAVLKVDVFISV >Dexi6A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:6A:11903808:11905202:-1 gene:Dexi6A01G0009890 transcript:Dexi6A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNGADCASGHGHTVCITGASGFIASWLVKLLLEKGYTVRGTVRNPDDDAKNVHLRALDGAAERLNLVPVDLLDKESLAAAFRGCEGVFHTACPVTDDPEKMIEPAVNGTKNVINAAADVGSVQRVVFTSSIGAVYMDPRHGPGDEVDETCWSDLEYCKNTKNWYCYAKTVAEQAAWELAKERRLDLVVVNPSLVLGPLLQQAMNASTWHILKYLDGSVQTYVDAAQAYVHVRDVADAHARVYEEPRACGRYLCAGRTLRRGEVCRILAKLFPEYPVPTECKGGAGETVKGCRFSSRRLAELGVGVTPASLCLYDTVTSLQVKDLLPRRTSSAAFDPVVMP >Dexi3B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:3B:3193707:3196457:-1 gene:Dexi3B01G0004730 transcript:Dexi3B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTYTCNGTQIISGLSGALQAASRGNNRLMVVTGAGMSTESGIPDYRSPNGAYSTGFKPLTHQWAQAIDSLEVAQPGSDKSFGMQQRPDGDIEIDEKFWEQDFEIPNCHRCGGVLKPDVVMFGDNVPPERSESAKEAARKCDALLVVGSALMTMSAFRLARLAHEANAPIAAVSIGETRADSILSLKINARCGEILPRILQTGSLAVPNVS >Dexi5B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:5B:9970931:9972520:-1 gene:Dexi5B01G0013750 transcript:Dexi5B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIGGSYVGIDRCCRSDGNDFAELLWENGQAVVHGRISRKQQQPHTTTSFPPFTYGSGSSSRAQEKQQQPGGGDPVALFKTGAGGGLFGAGGLAPSLHDFASGLDATRDNNNNGDLDDTVPWIHYPIIEEEEEEPAAPALADTYSPDFFSELHAAAAAAANLGSLPPAVQHTPNSNRSNPTMATTTSREPEHSKESHRIPIPGPATRAEPPQPELFTATKQQPRLGGGSSGEGLMNFALFSRPAAMARASLQMSAQQRPPPSQAAAAGTDKASNVTTSTRVESTVLQSSSGARTAPAPVFADQIRTAAWSQQPKEVRFSTCTAAAPPPASGNNLQQEMPRDRLGSNMSLQKKVETRKAPEATSSVCSGNGAGIGNNDESWRQHKRKSAQAECSASQDDDIEDESAGMRRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKARLAHSIILLPHLSLGP >Dexi7A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:7A:25308435:25309491:1 gene:Dexi7A01G0015480 transcript:Dexi7A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVWDSNMCGNKPNKSDGCVAETTNEDEDGGEGCDATAVPGDCEGGRENGNNSGVDSDAVALEDHNGGGEDDSTDLSTGRGVQVLAIRANFPISTIFGYDWNTTRCIYVRREGEVQEEGMVDLVPIGPRLMLMAYAGFGLKVTGDEGPPISQGWDAADPDDDPEEYTKTIWAGPGRKLEVTYLVIPSALEMNVEVRLKLNDLGSRSRAVCGTIKVRAPDYGNHSVHLFNCPRWRKWSVPSGSTSVLPLSPPVIALPYSWELELHVDVDLTVITTCDNQEEDKHLKFSLEFTRGIRSQEREFDDGQVEVVTWHTLCLI >Dexi1A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:1A:26451569:26454498:-1 gene:Dexi1A01G0019290 transcript:Dexi1A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVAEMPRAPLDSRPRKRQRLGWDVGPAEMHQIQIGLCGQEVVNAISAVALGLSSGGVPRDGSPPLREDDKDGHYVFAVGDNLTPRYKINAKMGEGTFGQVLECWDKERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYDESRSSCVQIRNWFDYRNHICIVSSRSPTEGSYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGIYLDLDGVTHVISGVLVVFLLSFARRHSDKYIRKGRLNWPEGCTSRESMKAVMKLPRLQNLVMQNVDQSAGDFIDLLQGLLKYDPADRLTAQEALKHPFFTEGFERRR >Dexi9B01G0049180.1:cds pep primary_assembly:Fonio_CM05836:9B:47946472:47946971:1 gene:Dexi9B01G0049180 transcript:Dexi9B01G0049180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEGRMKALPQKLTGSRSKVTPKQEKVPATKFIQNVATKKAPPPLIIIDSDDDSKGGASCVIFNSSQSGEACCAGDKECHLMMEAAEESQQILRRARKRRRREMQAIVPAA >Dexi8A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:8A:14374451:14374837:1 gene:Dexi8A01G0009770 transcript:Dexi8A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRRCATSTPPSCRSSGLPRPWRRSTPCIRLFGHEFSNDHHKQQQQAQPQQAKLEAAAESPDAANGSTRREEVLVPCCCNLPTSQALGVQADAAC >Dexi1B01G0027110.1:cds pep primary_assembly:Fonio_CM05836:1B:31904458:31905619:1 gene:Dexi1B01G0027110 transcript:Dexi1B01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKCRGAAGEDVAGVEVTQVVGVRTRSRTAAVPAAAGGGVAKVAAAPRRKKPAALTPPNVAAEEPAAGGEGGGCYIKLRSRTLFMAPPQQQPPAPRAPGTAEAAGAAGQVAAIAPGLSRCSSTASSVDAGAQERSLACRSDAAEAGGDHILEVSATNSGSGPDRERRETTPSSKAHGEVSDLGSDLAGQKNDRSSPATTSAAQLIMPPADEIREFFAAAEKAEADRFAAKYNFDVVRGVPLAGGRFEWTQVVSI >Dexi6B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:6B:13682831:13683175:-1 gene:Dexi6B01G0009370 transcript:Dexi6B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTRVRCPSEAAGSASRDGAATARLEKGLTNPLPIAEPGKKQRSATAMRAVAGVYERWRRVWSYGDGDARSCFKLLRRSGDGYLRALGVGDPLGGHGFLGGDGGQGRAAAAE >Dexi5B01G0031200.1:cds pep primary_assembly:Fonio_CM05836:5B:32002094:32002547:1 gene:Dexi5B01G0031200 transcript:Dexi5B01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTIGKQIQEWSNGQFKTAVGEVLFEMTDEPDQFISLELVYSPDDRHVSEVGRQASCIDRPKIVSFRDQILVALVLLFLFYLFR >Dexi5B01G0033880.1:cds pep primary_assembly:Fonio_CM05836:5B:34180410:34185010:1 gene:Dexi5B01G0033880 transcript:Dexi5B01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAATVASSSSPSVFTLAKPTSAFSAPNPVCFVRACVGRKPTARARMAVVRAEAVDTSIIPRVKALRLSKTMAITDQATALREAGVPVISLSAGEPDFDTPSAIVEAGMNAIRDGCTPNAGTLELKKAICAKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDDEVLIPAPYWVSYPEMARLADATPVILPTEISEKFLLKPEFLAEKINEKSRLLILCSPSNPTGSVYPKNLLQEIADIVRKHPRLLAFAMTGWRLGYLAACRKIQSQVNNSFHMFFSPQERRDYLVKNFRELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCVFLLEKAQVAVVPGDAFGDDKCIRISYSSSLSTLQIAMVKIKEAVALLKPCITAY >Dexi7B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:7B:23444580:23444813:-1 gene:Dexi7B01G0017510 transcript:Dexi7B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGCKEARCRWFGGHPRRRGTWPRRAAPWRPTPCRSGVPGRAPALAPSGTLHAEDRRTGRHDHARARACDASLWL >Dexi3A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:3A:3286455:3288147:-1 gene:Dexi3A01G0005080 transcript:Dexi3A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQVLIVGAGPAGLAVAACLSQFSIPYCIVEREDCSASLWRKRTYDRLSLHLAKEFSELPHMSYPSDTPTYIAKEEFVRYVDAYVDHFNIFPKYSTSVESCKYDEVNNYWDVMAHDKVHGLVIEYTTRFLVVATGENSEGIIPEIPGLQDFPGEVIHSSSYKSWNTYAGNDVLVVGCGNSGMEIAYDLASHGVETSIVIRSPVQFMTKWLMNFGMKLIKWRLPVKFVDFILVTSANILYGDLSKYGIVRPNMGPFLLKAKTGRTPLIDVGTIELIKKGVIKVLSHSISCIRGHFVEFEDGEKCSYDSIVLATGYKSTANRWLKNGEGLLNDKGMPRREFPNHWKGERGLYCVGLGMKGLTGICHDAKCVAADIKSVLDSVGPF >Dexi5A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:5A:22667054:22668604:1 gene:Dexi5A01G0019160 transcript:Dexi5A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDNPLDEPLLAPGKDEEHHGGGGGDDVASMEAQLLHHGTGASFSRSCLNLSNVISGVGMLSVPYALAQGGWLSLALFALVGAVCYYTGELVARCMRADAFVRSYPDIGELAFGRGGRKAIGAVMYAELYLIAISFLILEGDNLAKLLPNTSVALPGGYYILEGKQLYILVAAVAVLPTTWLRDLSVLAYVSALGLVASVALTASLVWAGVAEHGFHAKDGNVFSLAGLPTSLSLYFVCFSGHGVFPTVYTSMRNKKDFSKVLLTSSVLCSLNYALTAVLGYLIYGDDVKSLVTLNLPSGKVYTRVAILTTLVTPLAKYALVIQPITTGIEEKLSLAGGQGGGLPRAAISTAVLASTVVAACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLRIYMPRGVVRRGEVAAIVGILVAGVCVAVVGTYTSLHQIASTF >Dexi3B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:3B:5977131:5977343:-1 gene:Dexi3B01G0008550 transcript:Dexi3B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDWAGGAWWRRRAAGSFAWADGARGAHRRAAATSHGELRPALRQRGPPLSRRMRGVATMRLTRSWSE >Dexi6B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:6B:9056549:9057904:1 gene:Dexi6B01G0007690 transcript:Dexi6B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIQSSKTVKPAYGGSRSWDTQAMEVVPLTAFDELVNEYMSSIHAFYPPAPSNAAMEEGLAKALAEHREWAGKLTADRSTGRRAILLNDAGARFIEATADVALEAVMPLLTPSSALVQRLHPSISDGTAEELMLVQITRFACGSLVLGHTMHHAVGDGFAICQCLLAWGQATRGVAIDPLPVHDRESFFVPRDPPKVEFEHRGVELTVPEEDKNPSNINDDDASNNDVLVTHKLHFSREFISDLKSAASAGMPRPYSLMQCLMAHLWRCITMARGLTGDEATRLRICVNGRGRMSRPRVPEGYTGNVLLWAHPETTARQLLACPLGHASELIRREVARVDDAYFRSFIDFVSSGALEREGLVSLIDTPEFQDEVYWIQRIPFYDLDFGGGRQFLYMPSYRPVDGLIYVLPSSPFGDGSVEAQVSLPSKVMEAFKDCCYTLALPYIQSKI >Dexi9B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:9B:11459047:11460159:-1 gene:Dexi9B01G0016640 transcript:Dexi9B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQALPVLSPVPPNPNPTAPADPTPPPAGARKLPIKRRSPRPSSSPPSSSSPDDSSDPLRAPAAGGGGAGSDHNQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKFRSVSARVAGGLDPSRLAPHDRDVLHLCSRLWDPANAATSPFAATAGSSGNKRRRANPRGTPLPPPDASGDSNSHDYNGIGSSAPGLFPDGSNGEDMFFLEQEGGHLGDHEGAALVPDSKFGVIVQGQPEAVAALPNNGVGNEINGDHKIVVPCSNEHRMAIAVLDVFEECLREAKANGIINGVNVAGSTGESELAKRWRVQRMDELDVLSRRLRLLVEDAAAAGH >Dexi1B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:1B:2912464:2917188:-1 gene:Dexi1B01G0003680 transcript:Dexi1B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSALTYVILRLLGEGPDGGDGAMEKGRNWILEHGGATFITSWGKFWLSVLGVFEWSGNNPVPPERFVGRITPLVLELRKELFKDPYSEIDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPVMMHWPGSKLREKSLETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIQEFGPTLKLAHDYIKNSQVRDDCPGNLDDWYRHTSKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGESLDANRFYDAVSCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFRKLYPGHRRKEVDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLIAAGRTFENSPAIRKACKFLLSKELPSGGWGESYLSSQDQVYTNLKGKRAHAVNTGWAMLALIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAGKQ >Dexi7A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:7A:26443358:26444100:1 gene:Dexi7A01G0016570 transcript:Dexi7A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDADAVLYLSILKFFNREIVSQYCREVRQLGLRLLGMISLSLGLEEDYIEKALGEQEQHMAVNYYPQCPEPDLTYGLPKHTDPNALTILLQDPNVAGLQVLKDGDHWIAVNPRPNALIVNLGDQLQALSNGAYKSVWHRAVVNAARERMSVASFLCPCNSAVISPAAGLVGDGDDTPVYRSYTYDEYYKKFWSRNLDQEHCLELFRS >Dexi2B01G0021050.1:cds pep primary_assembly:Fonio_CM05836:2B:31006233:31010687:-1 gene:Dexi2B01G0021050 transcript:Dexi2B01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAVDDVMEAAVGAHFSGLRLEALRLSSPSAPSSPSSARASPGSAAAPHSNGTVYANGTAAAALELASPSAVRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESARAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKKHRRCSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADVRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLVYHKLPMDIAERHVLLLDPVLGTGNSANQAIDLLIRKGVPEDRIIFLNLISAPEGIQCVCKRFPLLKIVTSEIDYGLNEEFRVIPGLGEYGDRYFGTDN >Dexi3B01G0022750.1:cds pep primary_assembly:Fonio_CM05836:3B:17430708:17432263:-1 gene:Dexi3B01G0022750 transcript:Dexi3B01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGQAGGWLLDYGLVEEEIHQGSDFIYMVDDPAVSRSRPESSAAPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVTILSDAARLLTQLRAEAQKLKQSNESLQESIKSLKAEKSELRDEKTRLKAERERLEQMLKGVSAAAAPVPLVPHPHAAVPSFHPAAFAQAGKFVPYPSYPPPAAFWQWIPPMSLDTSKDPAHWPPVA >Dexi9B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:9B:10345284:10346811:-1 gene:Dexi9B01G0015240 transcript:Dexi9B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEELTLPLHLLPDDVLAGVLARLSPRGLAASRCVCKAWRAVVDARRLLRKELLPFSVGGIFLVPCAIGFPPLFTPPSPTMRHADEDDLGYLEGARDLPYVAELEPYLDELNPRTEEPYYEVLSAPEQSDLDKLDPAIEKLEWPPSPCAMRVFSSRTGHWDERLFIREGPTLGTVAGMRYIPYNFGYHSSVYWQGALYVQCHRNNFVMRINTSTGRYQLIAPPASRICGCDGFHLGKSEKGVYYAKITYQCELLVWFLDDSDGQAQWVLRCDRIVCPMEARRNHAEINGPWFLQFYGNKDDYPEELLTITGDDDVYDNSYLVDEYMAGLDEVALEGETRPFYMPYSGTLTFLGFHPYKEIVFLNFMDTRGVAYHLSTSKMQDLGSLELKRRVNYSKEMSIVYTPCWM >Dexi5B01G0039140.1:cds pep primary_assembly:Fonio_CM05836:5B:38136815:38138220:-1 gene:Dexi5B01G0039140 transcript:Dexi5B01G0039140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRPNAAAADPLPSRFARFRRRLRLHRRSRSRGGGDDDSSSAKAIAADEFAGIARIHIVKFKDKFFACLSLGERTYRTETSDKYTNRFSKNTLVGYCEVDLFEMLTKRLMFFSV >Dexi8A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:8A:30153262:30156866:1 gene:Dexi8A01G0017980 transcript:Dexi8A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTGALGNLAPKLLQLLGDEYRLHKGLREKVESLSKELKHVHATLRKVAEVPWDQLDEHVKIWADELREKSYDMEDVLDTFLVRVEGPVPSGKKDGKIKRKLKKKMDSLFTLFSKGKARHDIDGAIEDIIKQLKEVAERRAMYKLDDVMAKPTATSKIDPRLVAMNNEVNKLIGIDKSSGELSRMLSPVGNDSNGKMKIVSVVGVGGLGKTTLAQAVYEDYLIEKDPLIWKWIAEGLVEIKTGTSLFQRGEEYFNQLINSSLIQAVESSDGVIDRCRVHDMVLDLIREMSKKVNFVTISNDDGEGTLQRNKQLTQLLCLHGDRFTKAPDGLLKKVTSLEELRLNIDNLDDESKRQFMKDLGNLSQVRVLSIYGELRGGGMALQSELVQSLDNLHKLQHLRLPRASPEPLPAIDEAGLRALGGLPELRELGLLARRSSIASTATVASINISGEGFFQKLRRCILIGGWIVQLVLNKEEEEDSKAGVVSVSFWNGNGAAPFGSRTKQQAGCRRSVEAVPPPVMPNLQYLLFEVPVRALYMDGNGGCDNLGLEFLPPLANVHVIVNCMGATADDVDKAEADLRNAAQFHPNKFIPDIQRVDEHRMIAQSIDKGDEEDGTELTCMVPDTGCRTGGNTFYLT >Dexi5A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:5A:4675093:4675512:1 gene:Dexi5A01G0006350 transcript:Dexi5A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFPFPSLGGLLARRGLLAYAATWTAVAVTAVAVAAFAPELAFVWAVGPSTPLSMACGGGAGGGDGFSVGLPLDGPPWDVVCVPAGMFGRAKPDVIVPLVFAVVVVTAAVWFTTAVGVWEDDHDDEELSSPASMEQA >Dexi5B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:5B:3920013:3924381:-1 gene:Dexi5B01G0005800 transcript:Dexi5B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRGSMRSRRDLPPPEKTIEKLEAMVDGGNFYEAQQMYKSTSARYIAAQKYSEALDILQSGALVQLKHGQVTCGGELGVLFVDTLVTGELPYSEEFFGRIRKIYDAFPRISVPHFLGDDYDDEGHQLSEAISAAKARAESCSSFLRAAIRWSAEFGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDEMKEQLKSANSEFPKTDLIQFIKYLLPT >Dexi3A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:3A:17153208:17153788:1 gene:Dexi3A01G0021530 transcript:Dexi3A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Dexi3B01G0022530.1:cds pep primary_assembly:Fonio_CM05836:3B:17331914:17332370:-1 gene:Dexi3B01G0022530 transcript:Dexi3B01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRLLPPTLQILRRRFSSLVSPPSKVLLYDEHGAPDQVLRAADVPPVPLGDRDVCVRMLAAPINPSDINRIEGVYPVRPPIPGAVGGYEGVGQVHALGPAVTAPLNPGDWCIF >Dexi3A01G0035410.1:cds pep primary_assembly:Fonio_CM05836:3A:40714956:40718132:1 gene:Dexi3A01G0035410 transcript:Dexi3A01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAMQEPMVLVLILCIFFLAEVMPDGASSGPSMSKPGCPDKCGDVSIPYPFGIGEQCSAASLNSYFNLTCNNTLYPPQLTVGDSTSGYYEITNISLEQGEVRVLTPVSYICFTSNTTSTQSSNGFYADVTPFLPSPTRNRFTVIGCNTLGLIGGYKDAASQYVTGCYSYCEDINSTSDSAQCAGMGCCEANIPANLTSFSLGFEMNQSRVWGFNPCFYAMIAEVEWYSFRQQDLVGSHGFIDARAKSGAPLIADWAIRNGSCPEEGKEPPSGYACASTNSNCTAANNGPGYLCQCSKGYEGNPYILNGCQDIDECLLRTQDPKYEELSEIPLDLRLKIATQSAEALAYLHSSISCTILHGDVKSANILLDDQHNAKIADFGASAQKSMDESEFIMLVQGTLGYLDPESFISHQLTEKSDVYSFGVVLLELITRKRAMFVDNDEKKSLSHMFLLMFRQNKHRAMMDFEIIDEAVMEMLEKLAELAAQCLCPSGDDRPTMKEVVERLQMLRRLHMDATTDYEDSNYAHNNHGGSSPLTKTLDDMTYSSMETSMMIQV >Dexi2A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:2A:30049103:30054313:1 gene:Dexi2A01G0018090 transcript:Dexi2A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGEHSDMGSAILASWPWDNLGFYKYVLYGPLVGKAAASRAWEAASPDRWILLLLVLFGLRALTYQLWSSYSNMLFATRRRRIVRDGVDFEQIDREWDWDNFLILQVLMAATAFYAFPTLRHLPLWDARGLAVAALVHVVATEPLFYAAHRAFHASGLLYARYHSLHHSSKVPQPFTAGLATPLESIVLGALMALPLAAACAAGCGSVALAFGYVLAFDFLRAMGHCNVEVFPSSLFQAIPVLRYLIYTPTYHTIHHTKREANFCLFMPLFDLLGGTLDDHSWEMQKQTSAGVDEVPDFVFLAHVVDVMQSLHVPFVLRTFAATPFSVQLFLLPMWPFAFLVMLAMWVWSKTFVISCYNLRGRLHQMWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFMTGATSKLGRAIALYLCKKRVRVMMMTLSTERFQKIQKEAPAEFQNYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLDRGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALKHGLRPA >Dexi2B01G0036330.1:cds pep primary_assembly:Fonio_CM05836:2B:43189403:43195212:-1 gene:Dexi2B01G0036330 transcript:Dexi2B01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAYKPRNACGAHADPPDPYGTVARKALTPIPFSSEPERGARMRLMGLDRSISSVSNVEELSGANPSSSERRTDGAPMGTSAANIGEAPTGAARGDEAAHREERETRRGAPAAGSRFGLIQPDQVALHAHRPHLTWLRAHTTPYSPLTSPVHLNLRALSLRSPFLSRRWILGSGGLELDRRLQPPLLLSARTQPHGAYAGELRLGGEVGVACHRGAPRLLRLRQAIDLGLHACGVVACLVASTVRPGMSPAQARLTATEDRPSIRSLEVSRDATPHDPRERSAPTRCCNQEEAGRPKP >Dexi1A01G0024130.1:cds pep primary_assembly:Fonio_CM05836:1A:30755356:30756520:1 gene:Dexi1A01G0024130 transcript:Dexi1A01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGADDNPFSVTPDRPGSDLSPSPPPIQRGFGTSANGGGGGAAGARGGGGKSQFGFGFGFGGGKGDAAVDIPHDTVNDSKGKAKELSQWESDLRRREADIRRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIF >Dexi2B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:2B:10301029:10304417:-1 gene:Dexi2B01G0009570 transcript:Dexi2B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLLAAASVAARATVASPCSRPVSLRSDVARAAVRCRSAATKGSVLLTLDDELLSSAEVIQWESGKSINAIAAAQGIRIRRRCRPRYPSEGVGADRAVPGSILEQIVWDKEVEVSQRKAKAPLRKVEDSAARAPPPRDFAAALHAASQRNDGLPALIAEVKKASPTRGLLREEFNPVEIAHTYEKNGAACLSILTDEKHFLGSFENLETVRNSGLNCPLLCKDFIIDIWQIYYARSKGADAVLLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDLRELDRVLKIDGAQLIGINNRSLGTFEVDTANTNMLLEKRGDIIRKKKIMVVSESGLFTPDDVAYVQNAGVSAVLVGESLLTQEDPGQAIAGLFGKELLH >Dexi1B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:1B:24036784:24039833:1 gene:Dexi1B01G0017710 transcript:Dexi1B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPFFSIARAHAGAGGRAAATALLLRHPVVQLPPSICSPRCFPSVRVTHANTLRSHLSLPRATLSSFADADDDSATKAGDAEARKEKKRESELSEMAKAFHISPRMAMSISMMIAFAALTVPLAMRSLVCHGTFKMSVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAALLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLARVSSSWVISPLMGAAVSFLVYKCIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFVAFPLSKVFPIALLQALSCGAIGAIIVTRVIQKQLGELLSSEAEKIASSEKSNVQQIGFLSDVAGPTGAQLQIVYSVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMCGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLCIFYTMILTKIVAYFM >Dexi7A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:7A:17184750:17185633:-1 gene:Dexi7A01G0005900 transcript:Dexi7A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSGSNSGLRLRLDDDMPPPPPVSAALPGLRTIRALPGENFLDATDSLELSMELYGRSTLSLSTSPGFSLLVALLFPEQRWSGLFSFPGVFFRLAADLLGDGRAMAMTLAGDQRPGLDGFFAGDPAAEASASSSSPAMISQAFITSRPESGLLLPAPAAGFLSAVGTTSGGGRMLARFHSLLIGLSCGGGGCSFSGWCGGGAVLGVEVEDAVGGGGEGDDVVDAAAHRGAPAAGGAAAGPRREELRGDAPHRLAAAGTRACVCSLPLFLSACLTLPRYSLLL >Dexi3B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:3B:12109710:12112146:-1 gene:Dexi3B01G0016640 transcript:Dexi3B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARHDHAGGGHHQHHLSAGDFQFHDELASLFTPRPDAPTPMMQQPWFTDYLQASMPTTQLDEYDDAFPGDFDVAGDVLDDAVKRELVVVDTAAAVAGGGVGTAAAAPLTPNSMSMSSTSSEACGAGAGAGEESAAGKCKKEEGEESKDGSAAAKGDGEGEEKNKKGLLGHHPHFRMPPPPALGGVGAGGLAFRPGVGGGAFDALGLLQQQGHHHAMQQQQQQQQQQQQLVMSGASVSGLQQQVNAGMSSQGGLPEHHGLASVVGTAGATTTTATATVATSSAPLRMQHFMAQDYAGLLQDMFPSFVHHNNDDGDNHHHH >Dexi1B01G0029330.1:cds pep primary_assembly:Fonio_CM05836:1B:33676572:33678598:1 gene:Dexi1B01G0029330 transcript:Dexi1B01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRITTRRRPLLHVPRALFSSNSPFPPPPPLPPPANDRDAAHSPSSSPHPNPGAPRDSSFTLFADIRERIRSSPPSPPLPRRTPVNSPRPNSAPSKNVDDVRRALESFRGSGDATSPSAPGARPSTPGAAPSFIDLLKNQGPNTGQGMPGFSSIREKLKTSATLQRQPLQRQTPFMTPVSSSSGIFNKELAAKAEGPGKGEGKDSGIELMRNYTYEDLGKRLGELRPPGAAKDGKEWFSLEELQGRIAKLVELEKQEDQFRGQYNDLRRSIYNITKPAKQAPPVSMPMLLNLGGQLTQDYTRLPPQEELLERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTLKIKHLSAVLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSKPTTKAKAKKKKSKSKKRKMRA >Dexi7B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:7B:25959567:25963329:1 gene:Dexi7B01G0020680 transcript:Dexi7B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHLRYNNHRHVLAQSSLFLIFLGTFAAAQAASDTLINGSNLTYGEALVSANGTFTLGFFTRGAPARRYLGIWFTVTNSSTDAVCWVANRDQPLADTSGVLVVRDAGDLVLLDGSGHVAWSSNTAGTASSSSPTLQLLDTGNLVLHDDSSDVKLWQSFDHPTNTLLPSAKIGMNLWTGGGWSLTSWNASDDPSPGLFRYVMFMRGGLPEILMLDSSDTIMYRTGVWNGLWFTGIPEMQSYSDKVAFQVTVSPSEVSYSYSIKPGGPLTRLVLMDTPLVQRFVWDSRSHSWTVIFKGPRDGICDAYAKCGPSGMCDESADGATSSSFCSCVHQGFSVVSMPDWNMGDTSRGCRRDAPLDCGNKSTDWFAALEGVKLPDTVNASLDMSITLDECRARCLGNCSCVAYAAADMSGGGDGSGCIMWPENLVDLQYLGGGQTLYLRLANSKSVRPIEDTSVFSIALATVKDATRNFSTRNVIGEGTFGIVYEGKLPRGHPLLQGVAGRTIAVKRLRRTSDIPETIVSYFTREMQVISGLKQHQNVVRLLAYCNEDNEQILVYEYMHRRSLDAYIFGKPKERALLDWQRRLHIVLGIAEGVKHLHEGEGSAGNVIHRDLKPGNVLLDGGWNAKVADFGTAKLLLAEATGTRTRIGTPGYMAPEYDQSDGGETSLKCDVYSFGVTLLETLSGRRNSDRPTSLVSEAWRLWVERSVTVLLDPAVTPAPSRAELPLLRRCIQVGLLCVQERPDDRPAMSEVVEMLRSSTLELVEPTVPMLSSSRTLATLLEEADLSRTIDFT >Dexi6A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:6A:27885597:27887136:-1 gene:Dexi6A01G0020380 transcript:Dexi6A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFKSLIFFFVVATLLHAGHARRRKDNAASVVHDVTEYGAAPSNRDNRDAFLAAWRAACSSSAAGNNSTTLLVPKGTFAVGAVQFEGPCTDNGGAPVVVIDGVLQPCSGDGGGCHISHDAWITFSGLNNLLVTGDGTLDGQGHHQSGKAKPMTTVTRAITLVFDGVTNSTLRGLRFVNSRGFHVNLRRCTHVVAEGLDIHAPATSRNTDGVHVGVSSHVRILDSVIGTGDDCVSIGPGSTDVVVSGVTCGPGHGLSVGSLGKDAGERDVRGLIVKNCTVKGTTNGVRIKTWPGSPPSRASNITFQDIAMDDVTNPIIIDQRYCPHDHCSDAGEPSLVQISDVTFRRIEGTSSGPMAVRLLCSEERPCTGVSLDGIDLTCGDAPCRFEFSNVQGPVAPVVVEQAPGPAPALVSGGRREEDADVASGTEQLGWLTWWLPFTVRG >Dexi2B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:2B:28598132:28598678:-1 gene:Dexi2B01G0018290 transcript:Dexi2B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPWLTDHGDLGRVFLSGVSAGANIAHNMAIAAGTNTLHKHTRIEGVILLHPSFAGEKKMEEEDDEFWRSNKDRWAVIFPGAAANGGLDDPRINPMAAGAPRLANLAGQRMLVSMASEDPRAPRGWAYCDAVRASWWRGELRCFESEGAHAFFVPEHGSPEAVKLMDRVADFLGGH >Dexi5A01G0038790.1:cds pep primary_assembly:Fonio_CM05836:5A:39656093:39656878:1 gene:Dexi5A01G0038790 transcript:Dexi5A01G0038790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVKLIGAFGSGFTHRAEVALRLKGVRYELVEEDLRNKSELLLKSNPIHKKVPVLIHGDRTVCESLVILEYIDEAFDGPPLLPVDPYDRAMARFWARFLDDDKCSRAFWLSLWTEGEVQKGFVKETKENLKLLDGQLKGRRFFAGDAVGYLDVTASGLAHWLPVFEEIAGVRLVTEEKFPDLCRWARDYAADETVKQCLPDRAELLAHFTARKDFYVAAAKAMAPK >Dexi3A01G0029750.1:cds pep primary_assembly:Fonio_CM05836:3A:32075993:32079959:1 gene:Dexi3A01G0029750 transcript:Dexi3A01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEGRMPEGAEEKKKAGVDARKDGVAREVIRMEREAVIPILKPKLVMRLAYLIEHEFDRNEFLKLCKKVEYTIRAWYLLQFEDLMASHLFQLYSLFDPVSGAKRLEQQNLTPEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHDNLPEFADKYVIFRRGIGIDRTTDYFFMEKIDVMISRAWRSLLRITRIDRLFPKKKHLEPKKDIKKTDEINEDDEEPELFVERIRLEKIELSVRNLMSKMTIEEPTFDRMIVVYRRAGTKTNPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVVGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEV >Dexi9B01G0036540.1:cds pep primary_assembly:Fonio_CM05836:9B:38026265:38027023:1 gene:Dexi9B01G0036540 transcript:Dexi9B01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRPASIPMDKAARRNTSRGCQRSHIDGESARGKQRATARAQKESDASSIYELLSRANEPSRGKTSQPLELDRANRDLQGEGHGSGQRPKRWRPDRPSSKSAAEGDKSATPATEDDESALATAEGDESTAAAEEGKRRRRIPAGAQNTTAAATEESDGRAQTAATAA >Dexi7B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:7B:19439113:19440149:-1 gene:Dexi7B01G0012680 transcript:Dexi7B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVEFSVCLVNICAMALCGMPASDFGTCKWIEGDALYLPFTDCYFDAVTVGYGLRNVVDKSRAMQEIFRVLKPGSRASILDFNKSSSLFTASLQSWAIDNVVVPLASGYGLTEEYKYLKSSISQYLTGEELEKLAKEAGFSVAKHYELGGGLMGNLVATR >Dexi2B01G0022970.1:cds pep primary_assembly:Fonio_CM05836:2B:32561617:32564483:1 gene:Dexi2B01G0022970 transcript:Dexi2B01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >Dexi3A01G0027600.1:cds pep primary_assembly:Fonio_CM05836:3A:26440724:26441427:1 gene:Dexi3A01G0027600 transcript:Dexi3A01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNKSLLSAGTLYCFLLLPLAAQAKDTTIVVIGGQARCKSDPSRIISDTTLKLVIDKDEIPGGTVMTTSTGQVAMAVKLRSQEEVTSVTKGKAYLVAPPHACGAPSIPQGTVMAARVIVTAEQTIISPATNISDAARPRINGDTSSELKPTIKLYISTLECLVCHTI >Dexi6A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:6A:1639895:1641519:-1 gene:Dexi6A01G0001730 transcript:Dexi6A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGVLLVLLVTFLSAHAPFESEALNVRGHRLLKSKTFLSPPFLLTPGSVSNKFYYDIDFPRGHLALKSFSAEVVDENEAPVPLHETYLHHWIVEPYYTKTQQSAPRKTMTLKRNSGVCKDTLGQYYGLGSESRHTATWVPDPYGIEVGNPPQGYDEKWLLNVHAIDTRGVTDKLGCTECRCDLYNVTVDEYGRAIGKNYSGGLYCCYDQTQCRMKGGFSNGGEPRQLFLRYTVTWLGWSDAVVPVEIYIFDVTDTALLEGKSETACKVEYQVQECSSEKRARNDCVDVMDGRLLCASMPTYGTGTEAGNEAGYIVGMSSCYPAPGTATVRDGEALTVVSNYSSERQHNGVMGLFYILVAKQQQQQQPGSKKPAWCFSFPVSWCLSAWLSGYL >Dexi1A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:1A:25287157:25289253:1 gene:Dexi1A01G0018010 transcript:Dexi1A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGHQEGEPEKIIRGVRRSPSMAVAAKPHLVFLPFPAQGHITPAFQLASLLHRCHGFNVTFVHTEHNRRRLLRARGPDALAEAPPGFRFASVPDGLPTSDEDATQDMDALFYSLPAMVPHFKKLVVELSELPTASCCCLVSDIDPILRAAEDIGLPRVAFWITSASSFMALQQFPRLVAKGLVPLKDAEQLRNGYLDGTVIDWAPGLPKDMRLRDFPSFVRTTEPDDAALALTLSLMECHRTVPSAVIFHTLEELETQVIGAMSGILPPIYAVGPLPLLLGQEAVGSGVNTLGSSLSKEDHACLDWLDGKRPSSVVFVSFGSVVKLADEQLVELAWGLANSGHEFLWVIRSDQQEAVLPPELVAETEGRGLVTSWCPQEAVLRHEAVGAFVTHCGWNSMLESLSAGVPMLCWPCVGDQQTNSRMACTEWRVGMEIGEDPSREEVEAVIRQVMGGERGEELRRSAAEWKEKAALATRWPGGSAWVNLEKVVNEVFAPLMDRL >Dexi1B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:1B:9399268:9401622:-1 gene:Dexi1B01G0010010 transcript:Dexi1B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAAFEERVRQMEDSRNHRLALLHAEKEIQASKSRILAAKLAAARRLERRRVLLERRAADLASRALAARADIDAARLRRLAVARDISSVKDEIEEAERREEDWDRFYEAKRKEMEEFRGVSQRFEAETREEVQRLRDLVSQLKSTLQELQSGEMYSNNAEIAAAEARKSDLVAKKAKLDEGLASARQFRALLQQQLQKAFQSQVGDSKTGQATV >Dexi4B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:4B:5539247:5542090:-1 gene:Dexi4B01G0007690 transcript:Dexi4B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAKAAAAAPVPPSGNAADHVLLIPPDHHPPPQPHASNNPQQHPAQNPEKPPPAAVSSPSRPPRSPALLRRRSSIAKPKSRFVEPPTPTHPDSTHPSPAHPSSAATPTHRAAAAGVVSTPHTPAEPDEEDDLFRNRDGSSTRASAARCRKRARLGLEVLVLVLFLALLIVSRLVPQLKGQMLWGLEIWKWCVMVTAVFSGHLLSHWIVTLIVFVVERNFLLRTKVLYFVFGLKKSFQVCLWLALVLIAWSQLFDRGGVGRSAKTARILNYVSMFLASVLIGSAIWLVKTFLMKLVASTFHRKTFFDRIQESVFHQYVLQTLSGPPVMELAENVGREGSGLGRVSFSRAKEEKGVPEVIDVVKLRRMSQEKVSAWTMRKLITAIRSSGLSTISNTIESFDDVDGMEQKDKEINSEWEAKVAAYAIFKNVARPGYKHIEEVDLLRFLTKEEVDLVIPLFEGASETGKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLISVIVIVIIIIVTLLLMGIATTKILLVISSQLVVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGTQMTVEEMNILTTVFLKNDNEKIYYPNSVLSTKPISNFYRSPNMYDTIDFAIDVSTSVESIGALKSKIKGYLESKPTHWNPVHTVNLKDILDVNKINMSLSVQHTMNFQNIREKNIRRSELVMELKKIFEEMSIQYHLLPQKVELSYVGQNPLPMAVAHTR >Dexi1B01G0027380.1:cds pep primary_assembly:Fonio_CM05836:1B:32120621:32120977:1 gene:Dexi1B01G0027380 transcript:Dexi1B01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGEEKKGKVKKGWLAVRVGAEGDERGYQRFVIPIAYLYHPLFRRLLEAARDAYGYDYSGGPLRLPCSVDEFLRLRALVERETQAAAAPSASSSHRVHAGQGHYGFPSPCTRAKVSS >Dexi3A01G0027910.1:cds pep primary_assembly:Fonio_CM05836:3A:27925052:27925468:1 gene:Dexi3A01G0027910 transcript:Dexi3A01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTALLLVVVLRAAATVSTAYATGATIPGLKARRSRFLLLTNGAAYSTPLPAYDCSKKTAAVCLAPGSPGAACCDGRCVDTGGSADHCGGCNKICKHDRVCCGGHCVDLMADKDNCGKCFNQCNKKCSYGFCDYAQ >Dexi8B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:8B:1588482:1593431:1 gene:Dexi8B01G0002310 transcript:Dexi8B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSSAKPFSLATKPPTPFATRSQSQSPTLSPRAAAPRFTYGLSTAAAALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPFATRSQSQSPTLSPRAAAPRFTYGLSTAAAALTARLCRALAHPIRASQQQPQPPQRRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGDDGHVPVICGLSRCNKKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCHDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYNLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLASKMVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEINDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLSDVQATCGTLGLSTATVKLIGPDGEEKIACSIGTGPVDAAYKAVDQIIQIPTVLREYGLTSVTEGIDAIATTRVVITGDVSINSKHALTGQSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSEVPESASVGSKE >Dexi2A01G0033480.1:cds pep primary_assembly:Fonio_CM05836:2A:43664578:43665850:-1 gene:Dexi2A01G0033480 transcript:Dexi2A01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRTAAASVVVEVEEEEERGATIDISSDSEAEPESRSEEDSESEEEEDTSDEDFVNISDSDSEAGDWEVSGKDSEEESGEEAEAEADQLGADRSEVACNKIADLLQRGFT >Dexi2B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:2B:30481414:30483632:-1 gene:Dexi2B01G0020420 transcript:Dexi2B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPRRWPPGFRFSPTDEELVLYFLKRRIISGRPSSYVADVDVYKSHPSHLPERSALQTGDRQWFFFSRMDRKYPNGSRASRTTGDGYWKATGKDRFICGGGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAAQGRESYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDEEGVTADATANSLPNTNNYPATVVEDAIADRELPVEDLDELLSQIGNHQEEFGEAQLDFSTPVTVTSDDQGQGWLHDGNDRAADVDGSVSNGAVVVADNTGTDLPLGDIELLLMQMSDDQQNAELFSDLSTSVPQLQLQCDNHQVWLDSLRGQEVCATNPTASGGDVVAAECTDTELPVGDLEGLLLQIANDQDMVEPLSDLSASIPRHIFNQVGIGDFHESHGAPDGNLSCIVQESEFDPQTELGSLISYNSQDADEEFLEINDFFDLEDVEQSANCTAIEHLISTTDGMFDNLEYSDAPTFIPGPFDTAGVAAENQFFDFGNSGIQNQGYQYMTEAL >Dexi5B01G0039000.1:cds pep primary_assembly:Fonio_CM05836:5B:38052102:38053331:1 gene:Dexi5B01G0039000 transcript:Dexi5B01G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSPQLFLEREPPHPSELREWSHLPDLPLRQVLQLLVPCLRSLSSFAATCRPWRRFLLASVSDLLLPRIPPLLLKHEEGYRGRGAKFLLHAWSPLMIRRPVTSPLDAGAAASASPSLLSSTRGHLIFLVRPRGLLVLVDAITGAERLSVALPSPHAPYHYATLTSTHLVLFVTKHDFASLPFPPAGRPAAAAAAELRHHRLPRAASFVASVADFRGRVLGITDRAQLLEFRCLGAAPDDHQEAVRMLPATGLPDAATFESWHFGPRLVVAGDRLLLLLLMTDPGAGAAGQPAKVRRVSVHALHETADGGAMRWEEVDNLGGYSVFLDCAGKSAVACAHAAGCGDVTGDCIYFAEMTFYRDNSQRYWRSLTPWWKWEHATAAAGDNKEVTINRKCPSQTWVYPRLFFQT >DexiUA01G0006110.1:cds pep primary_assembly:Fonio_CM05836:UA:11536120:11537809:-1 gene:DexiUA01G0006110 transcript:DexiUA01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSAPFQVDGDRVAQDGQESLSITASPEVTEDLVAGFEYGKVSSTELVQAVHDMLSAAGVRMDAEKETLLQTTLSLQDQLKESQVSLLVEQEKAEAAVREADVAKAAWSCRICLNAEVNMTIVPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >Dexi5B01G0031620.1:cds pep primary_assembly:Fonio_CM05836:5B:32314785:32315493:-1 gene:Dexi5B01G0031620 transcript:Dexi5B01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSALSFLSDRKRPIAIAVTLFVVLSSLFILFNPAPSPLQFYSSPSSHISSSETSIPVSSNASPPEAPTTLASNGPTESAAVLLLIPFPDAEAGDSETAVEVSGERDGEGRGGGGGVVELCEVGKGVVAAYYIPCLDNVKAIKSLKSMRHMENWERHCPEPRARCLVPLPERYRRPVP >Dexi1B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:1B:8329060:8332951:1 gene:Dexi1B01G0009280 transcript:Dexi1B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCFGVTAGAYILTLFASKYRERVLGLILVSPLCKGPTWTEWLYSKVTSNLLYYYGMCGLVKECLLQRYFSKEVRGFSDLPESDIVQACKSLLDQRQSMNVWRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTDAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPTQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRVRLDV >Dexi9B01G0029370.1:cds pep primary_assembly:Fonio_CM05836:9B:31942862:31943642:-1 gene:Dexi9B01G0029370 transcript:Dexi9B01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVSALPWHQYSDAHDALDVDRRAGVAAAASEDLDDRVAEENTLAGARRSALALRHSGARNRRYLSSSLRVAIRGGLASPGRRCEELKLITEEAGVLRAVWNAGDDPADSSSGMPLGSSQGRRRLSSEKTSPALARSGEHAPAAHGGARSKPPSSDDVHRIEKSSSGSMSMKDAVELLNDSPRPAAWTSRRSKDRGAHRGALLLGHPPREKLSLREGEASMEERAGEGSFGEARRAGREWRDEDDIGGR >Dexi8A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:8A:6389579:6390025:1 gene:Dexi8A01G0006280 transcript:Dexi8A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTFPILHAGGPCKQLVPSAFSHQLGLVAGGSPPPWFLATTGGEEGEDEEKMDMLWEDFNEELASVPPLCPLSPVINKQGRLETKEEAWLDDELIVVNLDKSMKHLQHPQDGKVVRRRRWSVRLMLRLLKKLFLVKKSRNPRTAPI >Dexi9A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:9A:15730591:15732080:1 gene:Dexi9A01G0020640 transcript:Dexi9A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATKILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVATGLQSASKAMGALNKQMEPAKQMKIMQEFQKQSAQMDMTNEMMSDSIDDALDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKVQVDERYALSLIQNFI >Dexi5B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:5B:3594919:3595704:1 gene:Dexi5B01G0005390 transcript:Dexi5B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSTSNNSALSPGTTTTTTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACCGAGDVGGGGIPTALPVVTATAPRLSTAMPSPGHGQFAAGMYGGGRRLGIVDGIAPPPPPPPASAGCYFVRSSNHNNAISSSADVAPVLPYASMANWAVNAMSATTTATSGSESIGLDHKEGGDSSM >Dexi9B01G0042320.1:cds pep primary_assembly:Fonio_CM05836:9B:42534733:42535023:-1 gene:Dexi9B01G0042320 transcript:Dexi9B01G0042320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGTGPTVTSLHAGVPEGCAEEHQVAEIEEPAAAGRVGEYRRGSTPPTVLGSSVVLPRRGALPVGLATGRVRAALPCRTTDELWSTRIETMGEGG >Dexi4A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:4A:17074157:17076980:1 gene:Dexi4A01G0014710 transcript:Dexi4A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSADPLTSPDPDMPPRKALSGGGGGKLKKPLTEKQRAAAEQRLAHLRAHLLLRPLEPPAAGARALPPPHEAALRALGLLEFARLDLHSDAPRPDLVAPLVAYYDPAFKRSFVRGIRVAVSRHDLARALSLPPKPASAAPAPPDVDPAAVGPAVMQLLQDCVLLPFQGDDMCILPPEVAAAEQAVREGSAHRVDWAGLIWGLVEKELLELPKRDDGVCYFGPHLQRLILMQKPSLFEPVDDSERGEAVPEASVDVEMDEEDGDRDADADVKSKSLEELELGDAEADADMDVRGKSLGESQLGNAGVRSEGLDDLELGDAVTRKKVLDELGLGDVDSRNNMEGLDMVDEDAVSKSLDKSEAADGDVRSKSLDEPEVVDDDVRTKSLDELEVADEDVKGMSLDESGMVDGHANSTNLDGFVMGFVEAEAVPAEVIPDDKEDAGDGESAGCDDVAVATEEDGEETLVETVVVTQEEVVAVAEEEEVVAVAEEVGDEEGDGDGEGEGDGEGEEEKDAMGLSLGFNSTNGYDSMDVEEEMHVENLDEGDSDNEEAEESEDDAFEDDNGGDDMNWRIGDGNGDEGMTHSLQRCNTFGGMEFENLNKGEAEMRDELGFDDFSARGSLERMTSSNLLQAMSSIPSSYNITDNVHGLSGEFLSMGADAHKSGVDLEPGSSYLFGNNGKRQIGDIDGYNGNMQAQEQFSQCNQQKRMRHNNNSSISPGSAIFNANFSVPMQNLMVEASRLYEQKEQELQSLQFEKQHWSHMMQEKEAIIQSLNSARFEQQNKYQAELRRFEHDLNVMAQLATAYKKALKQTRASFDEYRKKFPCNKPLYGDVTGGGGLVVTVRELEKMRFDEEQKKLAVANEMIEKFQYEWFSKFEEWNLSVNSLCSRMEGLYKAIDLLKENRRAKFANPTTEE >Dexi9A01G0029640.1:cds pep primary_assembly:Fonio_CM05836:9A:34545653:34546417:-1 gene:Dexi9A01G0029640 transcript:Dexi9A01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVPIVVVAIAAVLAALAAVGSCDGSVPKVPPGPNITTDYNARWLAAKATWYGSPVGAGPDDNGGACGIKNVDLPPYSGMTSCGNAPIFKDGRGCGSCYRIRCKAPAECSNKPVTVFVTDMNYDPISAYHFDLSGSAFGSMAKPGLGDKLRHRGIIDLEFRRSLIIINSSLDEINK >Dexi3A01G0023370.1:cds pep primary_assembly:Fonio_CM05836:3A:19064395:19065215:-1 gene:Dexi3A01G0023370 transcript:Dexi3A01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASAAGSSRGAPARVASRGVAGAGTGSPRASAAAAAFAALRRRWRWAPSGASPLERAAHAFLLASVALVLSCALYLYVFRYLGRGGRAVDAAGFVGDAGLNLGLSPGAGGEPCDVFDGAWVPDDTGRHPLYNSSECPFAERGFDCLANGRNDTGYLRWRWKPRRCEVPRFAARAALERLRGKRVVFVGDSMSRTQWESFICMLMAGVEDPRTVFEANGNEITKTIRHLAVRFASHGLTVEFFRSVFLVQQHPAPRHAPKRDG >Dexi9A01G0034230.1:cds pep primary_assembly:Fonio_CM05836:9A:38987726:38993231:-1 gene:Dexi9A01G0034230 transcript:Dexi9A01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAGGGPQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTIEGVQASDVTIDVIHMVFSAFGYVHKIATFEKAAGFQALIQYTDAATASAARDALDGRSIPRDYNNPYLPINYSAMDGTLQPAVGADGRQVEAPGNVLLASIENMQYDVTVDVLHTVFSAFGTVQKIAIFMKNGGTQALIQYPDVTTAAAAKGALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIQPGVIQGVPQPPGVAATSTGWQGNIQGAVAYVPPGVPAQSHSTNGQVSNWNSGNSGYPPAPGTYPGQMYSSPRPYAASGAYSNTPPGAPIQYAASGGFPTPPAAPPHTPQQMPPQHGNQSGPAGAPGTGQPPPPPSYYH >Dexi9B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:9B:7249950:7258135:-1 gene:Dexi9B01G0011410 transcript:Dexi9B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAVANGAAADDVAAPENKDSTNKEEAGKDKESAVVNKDAEEQNKGSENGTEGPPDGDVKMAETETAKEGDGDAAAAEQVDSEDVKMDTDAMGDTNDKTEEGEDAKMTEAEAENAEVKDKEEKEDKVENTNVDKLDESKEQEKDGSEEQEENEGKETEENKQQDGTKQEDAKEENDGADEKQQEEEAEEKGSADKKDEDDKVEEDKETPKNKKARSARDRSQGKDKKQEGSRSREAKSLLETSSPYGTDRPQRERKTVERLVEVIEKEPNKNFVVEKGRGTPLKDIPSVAHRIARKKPSDLKFLHSILFGRKGKLPEFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPVPKSNVRKEDIISKLLDFIAEPHSATDSGLSDDQGSNSRKRKRGGESASKTPEGTPSRSRKKFGDDSASGKRRKKALKYDSDEDEDGDDSMKSDSEADEQEDDYDSGKEKARKKFPEVNVSSGKKKTDRGSGHKAGPQKTISKSPVKKVSSKISEEKESPDDSAKVFSRKKKPTGKDEKDIKEKKSSGKKVTKGKGESTGVDLPSKDELRKTITAILKKVDFNTATFSDILKKLDNHYKMDLTPKKEAIKVMIQDELTRLSEADEDEDASEDAGKKQQQPQAKEASIMLIIAADLRNPAFDRSDMASTVAFTSASAQAGLIQKPRNHGAPSYSGLKASSSVGFGLESSFLGSSASLRASMTPRIVPKAKSGSHISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDITNVKGVAADLSHCNTPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDFFNINASIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKRKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDDEIEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLHALAGDPDVYECTFIQSELTDLPFFASRVKLGKNGVESVVSADLEGVTEYEAKALEALKAELKGSIEKGITFANKDQEAAASV >Dexi7A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:7A:27296395:27302099:1 gene:Dexi7A01G0017720 transcript:Dexi7A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLLPRAARTASATTTSATAIARAPLDSFSRCFRTLAPPRLRPPPPPSAEFLRGLSDAAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHAMKHLDEVKARWVVNEAVEQVAYADRIILNKIDLVDDAELEALNNKIKFINGMAQMKKAKFGDVDMDFVLGIGGYDLDRIEAEVQLQESKETGHCHHGDEHGHHHDHVHDSAVTSVSIVSEGILDLDEVNDWLERLVDEKGEDLYRLKGVISVNDSTGRFVFQGVHSMLEGCPAKPWEPDEKRINKLVSTIAPHKVTRDCRSAAGSNSISSRKSASRRAAAPRPPLQEAGSRPYMPPLSTGPRNPSAKCYGDRFIPERSAMDMDFAHYLLTEPRKDKENPAAAASPGKEAYRKLLAEKLLNNRTRILSFRNKPPEPANMLADLRSDAVQAKPAKQRRHIPQSSERTLDAPDLTDDYYLNLLDWGSSNVLSIALGSTVYLWDASSGSTSELVTVDEDYGPVTSVSWAPDGRHIAVGLNSSDVQLWDTTSNRLLRTLRGMHELRVGSLAWNNSILTTGGMDGKIVNNDVRIRNNVVQTYHGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDASMSSSVQSAGRTQWLHRLQDHLAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNEKELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEAAPKAAAKASHTGIFNSFNHIR >Dexi3A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:3A:9408151:9410034:-1 gene:Dexi3A01G0012920 transcript:Dexi3A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETIRGTKRYLEKEHVNGKPALDWSRRKRIALGVAQGLLYLHEQCDPKIIHRDIKASNTLLDEHLEAVIADFGLAKFVDLGVSHVITEARGTLGRIPPESLMTGHSSDKTDVFAFGFLLIELITGRETLELYGNEYENGGILDWAKELLEQDQLSSFVDMKIRNNYDSVELEEMVQIALLCTMYKPENRPRMSEVVRMLDGRDGVAEKWEAMKNVEEMDPDCPGYLFPVLNYDTDRSSSIELKAVELSGPR >Dexi6A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:6A:26463205:26464791:-1 gene:Dexi6A01G0018810 transcript:Dexi6A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLLLLVAFCILSIQTPSSSASISSDVDGFLSCLSINIPPSLIHTPSSNSYSPLLMSTVQNRRYALPGPTRPLVIVAANETAHVQTTVVCGRRHGVHVRTRSGGHDYEGLSYTSVNHKHFAVLDLAKLRAIHVDATRAEAWVDYAKARVWGEKYFKGNFKRLAAVKSKVDPDDFFRNEQSIPPLLPLKYLPVADI >Dexi3A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:3A:9851001:9854964:1 gene:Dexi3A01G0013550 transcript:Dexi3A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRRLFPPRRRLPFPNSLTEEWKANKPSGAEAEPSPNPSSDRPPRRRRRSAAAAAALIPAISVHPTRGAGALMRRAPWPPTFPFPATGFLLILLGLFAVASGGPSRSAGGFNRAEVDGDEAYSILTFHDYTPPPPPALPPPPAAPAATCAGDLRGVGDLNTQCVVKESVSLGGGVYISGNGSLIILGGVAVTCQRPGCVISANLSGGILLGNKARVVAGWVSLAAANVTLGTGAVVDTTALAGAPPDQTSGVPTGTYGDGGGHGGRGASCFVKKGQAQEDSWGGDTYAWSALKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFATEILLNGTVLADGGDGGTKGGGGSGGSIYLKAATMRGGGKISACGGNGLAGGGGGRVSIDVFSRHDDAQIFVHGAVHPTLYDLTSHLSFYKAQGQILLAGATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSIMTIDGGRESGVATSLLEGSNLIVLKEASMIHSNANLGIHGQGVLNLSGQGDTIEAQRLILSLFYSIVVH >Dexi2A01G0027340.1:cds pep primary_assembly:Fonio_CM05836:2A:38743473:38744443:-1 gene:Dexi2A01G0027340 transcript:Dexi2A01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSWCRKPYYPNSDTRGTETGACEYGMFGAMLNNGDVSASASLYRNGVGCGACYQPEHTLDGTGQGKDAGATWLNLGYVRIEYRSDMGKNIIFKIAESSNFPYYLEFEIWYQQGNQDIIAVQLCETEPDMSASGPNSWGSMGCRLPAK >Dexi1A01G0031180.1:cds pep primary_assembly:Fonio_CM05836:1A:36277619:36278268:1 gene:Dexi1A01G0031180 transcript:Dexi1A01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTQRGTATDLLEEERPAEGLLDLIGAPSAATGEDEDVHESPAPGRAPPPWPPPPPPAFLPHCFQDVEAR >Dexi4B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:4B:17147551:17151667:1 gene:Dexi4B01G0015380 transcript:Dexi4B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAFDHLERISQKFEFSADNIEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLPPIDMLTAVKAQQVKESNPHCGIECNDVGTNDMKEQNVFETLIGKQQQILLATEVVKMILKIDDVISPSEY >Dexi9B01G0034960.1:cds pep primary_assembly:Fonio_CM05836:9B:36750036:36751124:-1 gene:Dexi9B01G0034960 transcript:Dexi9B01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGMFSTYAVDVGAKLGIPVYAFFASGAATLAVVAQIPALLAGRRAGLKELGGAPLEFLGVRPFPASHLVGELLEHPEEDEMCKAMVDVWCRNTGDATSGVLVNTFESLESAAVQALRDPRCVPGRTLPPIYCVGPVVGGDGTTSSERHECLAWLDAQPERSVVFLCFGSRGTHPPEQLREIAVGLDMSGHRFLWAVRTLAGAGNSENPGVFLPEGFMERTKDRGLVVGPWVPQVEVLRHTSTGAFVTHCGWNSTLEAITRGVPMLCWPLYAEQLMNKVFITEDMGVGVGMEGYREGFVKAEEVEAKVRLVMESEEGRVVRARAVARKREAMAALEDGGSSQTSFARFLLDAENHAGQFGK >Dexi9B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:9B:10702455:10703283:-1 gene:Dexi9B01G0015650 transcript:Dexi9B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSHHPAGADVPDTMKLLCSHGERLTPRGRAGGETRVLVVPRDVSFRELTRRLREMTGSGAAAVLAVRHRLADYYEGLEDDDVLVSVACDEELAHMRAEYDRLLRVFVTTAAPAASVVACSGGGGGVVRRGRLAAAGLPPLAPKKMRRVRSEQVPQVHHRAAYPVAPVRRVQNAQEFTGRLHAQQQQSFHRHHHQQCCCCCQRRDLCAPAPRPASPMYVVPIMSKILLASPSMPAAEATGRVVFTDAAREKARSRDSHAAMEARRTIWGFG >Dexi2A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:2A:24184408:24189714:-1 gene:Dexi2A01G0014540 transcript:Dexi2A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEWRTKTVPSEEIWLPRVRLEGLLGLLMAGYMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKVWVLSGSSRAGAGAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRNKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKKRRKEAEEDDGEIYDEFGNLKKKFRAKAQHTESVQTLPGSGRAGWEVEQLGSSERESRERSRDRGRDDYDEKESRNRDRGDHGRDRRRSRSRSRDRDRERGRDRGRDHDYERSRDRDRDRRHR >Dexi5B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:5B:1235025:1235324:-1 gene:Dexi5B01G0001940 transcript:Dexi5B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAVAVLVLVPWLLPGAHAANHSHKRPFASIFSFGDSYADTGNFVSLAAQLIPLIPFNNLPYGETFFGYPTGRASNGRLILDFIDHIPKL >Dexi9A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:9A:13730032:13731379:-1 gene:Dexi9A01G0018660 transcript:Dexi9A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEAAIKVNDERQAGYKHGLRSLEARATRLGRLPPAKINFQPSGPAVGGDLSLDFVALLGDESKILCGDSSGGTSLYDADSHSILTMHGLKAPKGLDAISISITRAANGYARPYDSLFVMNRTPDSKNAEYLLEELKYGSEDYSFSNYPLIHPWESHLPPRFIYHPAYKVPSDIGAYAVVGSTIYVSSVVSGIGTYSFDTMKWAWRHAGEWMFCTAKTFLGTLPPMVVGFSDNEDDDDEAIDLTDAIASEFVVFTGLEVVRSCDGESLEGVRMIKHKSRFYTCEDHDIKWVL >Dexi9B01G0038680.1:cds pep primary_assembly:Fonio_CM05836:9B:39642797:39645120:1 gene:Dexi9B01G0038680 transcript:Dexi9B01G0038680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFGKFSHSEPWGIIPVLRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEEVKRKVEARNALENYAYNMRNTVRDEKIASKLPAEDRKKIEDTVEDAIKWLDGNQLAEAEEFEDKMKELEGICNPIISKMYQGAGGAAGMDEDVPSGGAGTGGGSGAGPKIEEVD >Dexi4A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:4A:1507801:1511328:-1 gene:Dexi4A01G0002190 transcript:Dexi4A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHAIIAARAGPAPGATASAPAERLGFRRLSSVAGRGLRSPAQPRRAPSAAASRRHRVVRAAAVEALEGKAATGELLDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVTTRFEALGWHTIWVKNGNNGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGSKEVEATRQNLGWPYEPFFVPEDVKSHWSRHTAEGAALEAEWNAKFALYEKKYAEDAATLKSIITGEFPAGWADALPKYTPESPGDATRNLSQQCLNALAKVVPGLIGGSADLASSNMTLLKMYGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKVAVENRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDIIVMSTGSELEIAAKAADELRKEGKTVRVVSLVSWELFEDQSDEYKESVLPAAVSARISIEAGSTLGWQKYVGAQGKAIGIDKFGASAPAGKIYQEYGITVESVIAAAKSF >DexiUA01G0012740.1:cds pep primary_assembly:Fonio_CM05836:UA:26402817:26403677:-1 gene:DexiUA01G0012740 transcript:DexiUA01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSATCCRASSSSPSCGLRIPATTTSSSPARIRLIFPSSTPRRRVSSRAAASPEPAAVEDEDDEDLWSAADDIGFEIQVSKVGGKRNRRLVRARVRVDAPLEAVWATLTDYEGLADFIPGLSECRLLEQADGFARLYQVMPPIPLSFLASSNPIPTVSFISAQQVGEQDLALGFKFNAKGTIDCYEGDMESLPAAGVRRRREIAFNMIQGDFKVFQGKWTVEEADHDGGIGGDPERKQTTLLSYLVELEPKLWVPVRLLQGRICSEIKNNLVSIRDQAHSVAVSE >Dexi5B01G0035980.1:cds pep primary_assembly:Fonio_CM05836:5B:35834932:35836530:1 gene:Dexi5B01G0035980 transcript:Dexi5B01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLRGASGGVGRHGRGGGSEVARRGRRRPAGGRQSAGRANGGGERLLHLANGGVEGGFWVARPAAPEMSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQHREESSQISQEELHEGGSSEIPTDPNNELTEVDKVGEEEGNPHPDYDHLTPAERRYMEQKQKIDMQKMAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >Dexi5A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:5A:3893509:3893777:1 gene:Dexi5A01G0005130 transcript:Dexi5A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVPAEVDSTPAVLDFSAGKAQASMLIRGSLIGVADEVQQLHESRQLRRLLEGPAACELPVRLWPPAARKARGLLLVRYGS >Dexi8B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:8B:3691567:3693444:1 gene:Dexi8B01G0004190 transcript:Dexi8B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPFLLLAVVLLALGLLGPTHSIPFTDSDLASEESLHGLYERWLTHYMVPRGGLLDNYDKPHRFNVFKENVRYIHEANKLKDRPFKLALNKFADMTSEEFRLTYAGSKVRHHRALNGGRRAEGSFMYKDAVNLPQAVDWRQRGAVTGIKDQGQCVAAVEGINKIRTGRLVSLSEQELVDCDNGDNQGCNGGLMDYAFQYIMKNGGITTESNYPYLAEQRSCIKVKERSHDVTIDGYEDVPANNEDALQKAVANQPVAVAIDASGQDFQFYSEGVFTGSCGTDLDHGVAAVGYGTTQDGTKYWIAKNSWGQDWGERGYIRMQRGFSDSSGLCGIAMEPSYPTKSESHATIMEGNLRHKL >Dexi1B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:1B:24226472:24227111:-1 gene:Dexi1B01G0017940 transcript:Dexi1B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRDHEQKSQIVERRIRDDAAIEEAKRKEQAIKEDKLRQERARQEEEARQKEAAKLAAEARKTAFEAVQKEAAEKEAAEKEAAKLREAAASESVQNSQNNIAVH >DexiUA01G0024230.1:cds pep primary_assembly:Fonio_CM05836:UA:50048968:50051373:1 gene:DexiUA01G0024230 transcript:DexiUA01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADVLKQAQQELCDWNGLGTSVMEISHRGKEFIQVAEEAEKDFRDLLNIPSNYKVLFCHGGGRGQFAGVPLNILGDKTTADYVDAGYWAASAVKEAKKYCSPNVIDAKITVDGLRAVKPMSEWQLSDNAAYLHYCPNETIDGIAIDETPNFGKDVVVAADFSSTILSAPIDVSRYGVIYAGAQKNIGPAGLTIVIVREDLLGKAHQSCPSILDYTVLNDNDSMFNTPPTFAWYLSGLVFKWLKQNGGVAQMDKINQQKADLLYGVIDKSDFYRNDVSKANRSRMNVPFQLADSNLDKVFLEESFAAGLHALKGHRVVGGMRASIYNAMPLEGVKTLTDFMIDFEPLANGTTVLTNLLDSDDVRHMLNALKALGVHYTLSDDRTRCEVTGNGGALRSAEERELFLGNAGTAMRPLAAALCLGSNNIVLTGEPRMKERPIGHLVDALRQGGAQIEYLEQENYPPLRLRGGFNGGNVDVDGSVSSQFLTALLMTAPLAPQDTAITIKGDLVSKPYIDITLHLMKTFGVEVENRAYQQFVVRGAQQYQSPGHYLVEGDASSASYFLAAGAIKGGTVKVTGIGRNSVQGDIHFADVLEKMGATITWGDDFISCTHGELNAIDMDMNHIPDAAMTIATAALFAKGTTTLRNIYNWRVKETDRLFAMATELRKVGAEVEEGEDYIRVTPPAKLQFAEIGTYNDHRMAMCFSLVALSDTPVTILDPKCTAKTFPDYFEQLARISTPA >Dexi7A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:7A:23709066:23710277:1 gene:Dexi7A01G0013730 transcript:Dexi7A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDSKGIKKGPWAPEEDKLLVDYVQANGPGNWRMLPKLAGLNRCGKSCRLRWANYLRPDIKRGPFTPEEHNSILQLHAIVGNKWSMIAAQMPGRTDNEIKNYWNTHMKKQLRQDSLAGDAAAASQQLTAMAAAASLPFPAARHMAQWETARLEAEARLSLLSSSSATTTTVTSATASSSSCAAAADLAAAAGPDIFLRLWNSEVGDSFRGSSALGVVATAAHGRPATASPAAAVMPPAMTGGDDDSAASTNEGTEAAADDYQAFLEMAVEEFALLQGRLGGAFSAFPPVADVLAEASCLFSPFE >Dexi3B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:3B:1326953:1330787:-1 gene:Dexi3B01G0001880 transcript:Dexi3B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMVDNGLVQVAVSKPQGHITAVRYNGDRNILQYAAGQENSGGLDSTEFKVVSSSPEQVELSFMSTYNPSHQDSVRLNVDKSKSSLKFAKLKLLFFRLVMLKGSSGFYCYAIFEHTCDYPAMNISEARLAFKLNTDKFNYMAISDDIQRYMPSAVDRDEPRGTPLAYKEAVLLVNPKEPQFKGQVDDKYQYSLDNKDNVVHGWISSSHPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGDDIVLNIGDGEYWKKVMGPVFIYLNSSPKRGDLRALWDNAKIQAQAEVSKWPYSFPRSPDFAKAGERGSVTGKLMVRDRFMSNDDIPAETAYIGLAAPGQPGSWATESKGYQFWTMTGSCGNFTIGNVQAGVYNLYAWVPGVLGDYMYTSHVTVTPGCVLDLGDLVFQPPRSGPTLWEIGVPDRTAAEFFVPDTDPRYTNPLFLHKDKYRQYGLWERYAELYPDSDPVFTVGQSNHSKDWFFAHVTRKVGNGYVPTTRQIRFNLDRVVTDGTYTLRVALAAAQMSRLQVQVNGGGAGWRRGVFTTPEFGGGNAIARHGIHGVQLSFEFPIRGCLLQQGENSISITQTRAFGEFLGVMYDYIRLEGPPGSWRDPTRRA >Dexi9B01G0033200.1:cds pep primary_assembly:Fonio_CM05836:9B:35410546:35413401:-1 gene:Dexi9B01G0033200 transcript:Dexi9B01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDNNEYTVPGFADEDSFDPSLSDPTADAGHLHSASGPLPEHVRREILELGLPDDGYNYLSHLRELRPSAAAAASSFVPSSTARPEPLPLDVKAYDASRVRVGPTEVELDEGRTMCKVAAKTAPVRRIEKAVDPDVARLLDESDVSHAGSEDEGLEEDFVIVANRAEGEESEEEEEDEEVEDGNGVFSDVEEEFDFEDDPKPRERRLLDEQFDLLALEEYGDSDEDDKGVKDGEYELPSEVIDELKLFHSQNICVDEEYRTPADFVRRKLESSTTDEVDESVHVIKKCAEYAEKYLNETAEEEEVVLVSESSDESEVWDCETIVSTFSNLDNHPGKIETPGIPKRRLPRVFPGETATINDIIKLHGKERLPVEYLPQRKRGGEKEKKVKPAEATIGDKFKKGAEKETKEEKKARKAAVKEEKREARKAKKELKGLYKSETQKAQKVAAVTGPSSIRLM >Dexi2A01G0030230.1:cds pep primary_assembly:Fonio_CM05836:2A:41212124:41219338:1 gene:Dexi2A01G0030230 transcript:Dexi2A01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPQQAPPAQAPPPPPPAPPPTAAPAPVPGAPVSVQPPLIQPKPPPPPPPQQQPQQAGPVSGPQPPTPAHLVNLGPQPPLYRGPICWNAYCKDPDPNSFGRRGWKVRSGPPFSVYADLCGRCYSQFEQGIYCETFHSEEGGWRNCETCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMAYFPPISQPEGLPLKVQDASGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLIMGFRKATNISSEQEQTTKPANGAPSPSEASGKVSAPDSSPNAAVSRQNKVNTETKSSSPVEPATASNKIEKDGLTQKEVPGTASSSPGPVKRKATNLNQKNKRLRIENDESMELKITWEEAQELLRPPPKAPSIVIIDGHEFEEYEEPPVLGRKTYFVTDQSGSSCEAAQEISTEGLAELIPIKSGLGGVKKPKTKTEGEAIDASDGLDTLANLAILGEGESLPSQQTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRATTDRKKDTGSSLASTSASGAGSLKKEEKADRPESMAVDRKVTSSPVKNHIDLNSQPERDDEQSPKSGAAGALSRDNPT >Dexi3A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:3A:16046929:16047732:-1 gene:Dexi3A01G0020220 transcript:Dexi3A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLPILLCASSLLLLAATANADNLTFYMRIKCPDDINYTPGSAFQANLDVLLSSLPNAAAASPAGFATNAIGSSPDQAYGLAQCRGDISASDCRACLNDSAHRVANECPGKRSSVLIYEGCFLRYSSSNFSGEVDMSTPFYIPGNATQPPQFNTLLGELMSNLTEKAYGSPRMFAAGTAKYTAFETIYGMAQCTRDLARDDCHACLANAVSNIQTQENCNGRQSGRLFSWSCYIRFQVTPFYDAQAAEAAMSPAPGGGPPINGK >Dexi8B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:8B:9420743:9421303:-1 gene:Dexi8B01G0007650 transcript:Dexi8B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSSRTTGHQLQLALVISMALVGTAAATTKHFHFYMHDTVTASPGNPSTVVQVTTGTTPLPGAPTTRFGDIYVVDDLLTKGPSPASEAVGRAQGFYLFAAQHELAVMHSLNFVLTKGKHNGSYVVIQARDAIADKVRELPVIGGAGRFRGATGYILLRTHLFNSTTNNAVLEIDMYLTNLSVV >Dexi9B01G0048210.1:cds pep primary_assembly:Fonio_CM05836:9B:47212474:47223806:1 gene:Dexi9B01G0048210 transcript:Dexi9B01G0048210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGDAGGGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDCGADDGFVAEIDRILESINAESAPAPPPPPPPAPAPVAPPQLQLQEAVVAVAHNAVAVVDAPQRTQAVEARKEPRRESPLAAANGGAEWRDGKRQRTIAGATGGSRHDCRRRPMPLPPPPSRAWEDRRGRREYDRPRKRDRDGHYAHDHHRREARGFWERDRGGKMVFRHGMWEAEADRQGKRARTQDGSPAENKVEVERTAASQKEKPVTEEQARQYQLEVLEQAKRRNTIAFLETGAGKTLIAVLLIKSICDKMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDSRKWQREFESKQVTVLHRFDVGVYIVHVVTVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPVVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDCVVSTIKDRKELEKHVPMPSEVIVHYDKAATLLSFHEQIKQMEVAVEEAALSSSKRTKWQFMGARDAGSRDEMRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSENNDIEMHSAESQKPNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLGFIRCASLIGHNNNQEMRSGQMQDAIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLKHARSSEETLRKEAIERTDLSHLDGTSVICPVDTSPDSMYQAHPEFPAPRYSILRPEFIMQKHEKEGGSTEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKTEQNDEGDPLPGTARHREFYPEGVAEILWDPFVTQLSNFALIFGSELDAEVLSTTMDLFVARTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDALREIDWTLVNSIVNSDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIADFDVVKASGLVPARDRGHSNDYQNQGKLFMADSCWDAKDLEGMVVTAAHSGKRFYVDNICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEDRFAPSRWAAPGVLPVFDEETRDSEPSIFDEETTPSSELEKGSYDDYADSMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMRWIGIHAELDPQEIPPSKPYNIPESIMKNINFDTLEGALGIKFQNKGLLVEAVTHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLDPMVTPDTLPMHPVRELQERCQQQAEGLEYKASRTGNVATVEVFVDGIQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDAEKDSEKKNGSQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAILLLELLNRNYRDKPDGK >Dexi1B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:1B:24725096:24729813:-1 gene:Dexi1B01G0018520 transcript:Dexi1B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADVSAHARVEWSVAQATPLPALGYAGGRAEHQRRPCGLWPLASVVTPAFFGALDAFLDVAGSALARRWAILQNPCWWAERIGPAKISMSRPSSSIRQPYYVILIHAREPPRRRKESSASVPRRRPRSPPRHYPLLESDWHGVGIRGRFGLIPLVGLTLVNIQEVGKMSYFQATTCKPHSGVIVNRPIAGLGNTSQYPLRSPALRFHKLQHKVYPRLVLIAASQKRLAPVCALSGKGNPDNADDPLMESLKKAMADAKKPRPIQDLLKEQMAKLREQVSGGGGNGNRRGGSGGSGSGGPEDESFKETLDEIVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQKEDSEEDRYEKQSASKPTWWQQPQKFVHLMQELCRGNWRPHAQES >Dexi5B01G0026140.1:cds pep primary_assembly:Fonio_CM05836:5B:27968530:27970690:1 gene:Dexi5B01G0026140 transcript:Dexi5B01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDLADRLAQGFTGLLQAPPQFPWPPSPHKRMPFDIDLPVVPFGAGRGAAPGKDFPFPAAAVSSVIDIGGRLGQAGAELGASVGGAVQHAVKHLPVPLRNGQIRRRKQRPLPQAPLPPAASVGEGGVGLSVGRAAVDRCPLEAAAAAAAAATGSAAASSVSGHIGGYDLDEDDEGFGCEIGTFGNFKKSKNGVHSLCPAIWSKHRRWLMMSMMCLNPVACVSLILIEIY >Dexi3B01G0020870.1:cds pep primary_assembly:Fonio_CM05836:3B:15798293:15799114:1 gene:Dexi3B01G0020870 transcript:Dexi3B01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLALFLAFAVAAAALQPSEAARVVQSHQRCNCNPPVAPGSHEPEKVALQADAGGVPSQTTTPPAGVPTGAGQLPPGLLPAILGLLFPPLGGIIGLLQPLIPAPGSTTPPQQQQQQPTECMTPLQSMAACTGYLTNLTVAAPPSECCDGVRAVVRDAPICLCHGINGGMSQFLPSPVDPLRMAVLPLACGTVLPIQTLLMCNSQQVPPIMPPAPATPATPPPVSP >Dexi3A01G0022760.1:cds pep primary_assembly:Fonio_CM05836:3A:18370009:18370494:-1 gene:Dexi3A01G0022760 transcript:Dexi3A01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAGWLRLPMSLMDSPVLSSALAVVTIADRTMAGLHSGCTALSSAATPVACGHDMDVPEMMLNRLYSCRDQTLATLMFSAHEASISEPGAITSGLSTVGQLPEGPRDVYVATIGAGLVPRMVISNFIAALGARGPCCGLRMTPGEEKCS >Dexi5B01G0032520.1:cds pep primary_assembly:Fonio_CM05836:5B:33152969:33154137:1 gene:Dexi5B01G0032520 transcript:Dexi5B01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPLVKSRPATSPRHRPSQSPHPASPGSDPRDVAAPQDRHVCPQGPRLLTSRTFLLLPGHECPSRSSGPGRATCRGPGHVGVRCGALAPEETDTAQTSRLSASRLEKQVAAAYHSAATNTTTNALLARSLASLPSAARPASQPSKIAEKSAADSDRQGFLEEMNGRGNGGGGGGEEEMEEDGGGAGCAQGAGPGPGNKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAAAAGGDGWRDVCGGGCGFAMAISGDLKG >Dexi1B01G0027350.1:cds pep primary_assembly:Fonio_CM05836:1B:32080157:32082495:-1 gene:Dexi1B01G0027350 transcript:Dexi1B01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKVLNDVKPYLAMILLQVGFAGMYIVAVASLKRGMSHFVLVVYRNLVATAVMAPFALWFERSVRPKMTLTIFLKIMGLAFLEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALIMRIETVRLRSVHSQAKIVGTVLTVAGAVLMILYHGPVVQFPWSKAQHHAAAAQGSSGAFLTGTLTVIVACVCWSGFFVLQSNTLQSYPAELSLTALICFMGSLMSGAVALVAERRNTHAWVIGFDTRLFTAVYAGIVCSGVAYYVQGLVSRQRGPVFVTAFNPLCMIVTSVMGSIILKEEITLGSVIGAVIIVLGLYALIWGKSKDHENQVTDVSASGGSKGSAPGGELPITSNGNGKHELGNPRGHVFDVETPATNGRHY >Dexi2B01G0034870.1:cds pep primary_assembly:Fonio_CM05836:2B:42065415:42065967:-1 gene:Dexi2B01G0034870 transcript:Dexi2B01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTASAARLRLLTVPALLLLLSSAALLVFLVLPSLSPSSSATSGHLCACSPPSTHTTTTVTTTTTTASPAPVTTSPADVAWLKAQLAANSLLADGAAASHDAWHRLRKGINPRTREQQLFDINRDRNKSNLTF >Dexi6A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:6A:19159396:19161605:1 gene:Dexi6A01G0012570 transcript:Dexi6A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRFVLFVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >Dexi3A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:3A:13933626:13934196:1 gene:Dexi3A01G0018250 transcript:Dexi3A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKESIQLNRKVLSELSMHEPYSFKALVDVSRNAFPGNRPVPAKEGLASIL >Dexi5B01G0032100.1:cds pep primary_assembly:Fonio_CM05836:5B:32746435:32748024:1 gene:Dexi5B01G0032100 transcript:Dexi5B01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLHWEPKVSLKEGLPLMVTDFRQRISEE >Dexi6A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:6A:28442238:28451362:-1 gene:Dexi6A01G0021090 transcript:Dexi6A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRFCRFLALKDPRPRRSGQGVKESMDDFLLEDEEVIAQGHGVILLNNREVGTLSVTNFRLLFVSQAKKCVVQLGTIPLTTIEKLNDDVKLQPLPHLSDKNRPRELLQVIVFPPLLMITLATTILQRLLKDLALIFVFYLIYFCSGKDMRIIVFAFVPKTKQKNEVFDALRRYTKPANLWDLYAFSCDPSTIKNDSEPKRRLLREYFRLFRRSLPQLRGVEEVSLCNDWWRLTRVNSSYSLCSTYPSELIVPRGISDEDLFQASTFRSGKRLPVISWCDPGSGAVLARSSQPMVGLMMNFRNNADEKLVCALSSRTTDDKGSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTSSAVSVVGDRDMMSFLINFWQRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQIAEEAASVLVHCSDGWDRTTQLVALACLLLDPYYRTFSGFQWLDCISQLLRLYPSAFQFSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGVTSSCRCMWSYLADLRAAGGSFHEHYNPFYDPVKHNSALLPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYAEAMKAKDIAEWRAKDIKMKMESMKQDLQRERRASNTTLATAQRAQRENAAIKKAIESIGCTIKFSMSENQEDRTEVLSCSLRRGTDGRDQEDENGDFSVSISAIEDSLVSEAPGDHFCESLCPFRTREGCRWPDAPCAQLGSQFVGLKANFDAFDRLSIQDCYFGPE >Dexi3A01G0028290.1:cds pep primary_assembly:Fonio_CM05836:3A:28822815:28825599:-1 gene:Dexi3A01G0028290 transcript:Dexi3A01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGKCDAWAATGPDGVLSPYKINRRALQSDDVSLRIKYCGVCYADVIWTQNKHNDSIYPVVPGHEIVGQVTEVGSEVKGFTVGDHVGVGIYVNSCGDCENCSSFLENHCSKIVVTFNGIDTDGTVTMGGYSSHIIVRERFCFKIPDGYPLAKAAPLLCAGITVYTPMIRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKRNEAIDLLGADNFVLSSDRQQMESLKSSLHFIVDTAAGDHPFDPYLSLLKAEGVMALVGFPSEIKVHPGSLIFGSCSRTLSGSGAGGTKGIQEMLNFSAANKIYPETEVIKMDYINKALERLINRDVKYRFVIDIVNSFN >Dexi9A01G0038450.1:cds pep primary_assembly:Fonio_CM05836:9A:42685692:42686836:-1 gene:Dexi9A01G0038450 transcript:Dexi9A01G0038450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQGETMAQSRAHQEEVVATGAAGVGGGEPANDGGFLSAMASKIGATMSGTNGSGGEAIDGTAASDGEAGKRDGDGEPDEEGGFLSAMASKIGAAMSGADGGSDGGGNAAVATDDDGKEKDDAAGGIFHKLLSSSPPDSSPASGTVETEEDKGLNGAGEQAGILSAMASKIGMSMSSANGHGNHCTEEDSKTNSGYSVDGSNDGEKAAETNGGGILNTMASKIGMAMSGANGDEDHGGGGVNAKAGNGDAVGVSKDEEKRDETNVGSGILSAVASKIGMTVSGANGNGKHSTEDDGKTNNGGAVDHSKGEEKEKGHDANGAGIVEQIISNLPSG >Dexi4B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:4B:677311:677752:1 gene:Dexi4B01G0001060 transcript:Dexi4B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVHETSPIYANELETPRAQTHPPNCSETRQHVLTGFAKSSNHGSNWQLLERGAELGEERGVTSNSGGGAGEQDLGLGIHLAGLEPAGGGEDKAGGLERVGGLGTEQKRRDEVINTRQGLGNTPEIMAIC >DexiUA01G0018820.1:cds pep primary_assembly:Fonio_CM05836:UA:39526621:39527384:1 gene:DexiUA01G0018820 transcript:DexiUA01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGAMGNLATKLLQLLPDEYKLQKGLRDEVKSLVQELESTHVALCKVAQVPPDQLDPQVKLWARDVREASYDMEDVLDTFLGRVDGGGDDHTDKGKFERLREKMGMLFSLSKLKALCLD >Dexi5B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:5B:21537270:21539603:-1 gene:Dexi5B01G0019180 transcript:Dexi5B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEGASASAPAEAPPGAAAGAMAPEEAAARKRYESLVHVRAKAVKGKGAWYWAHLEPVLAPPPASGLPPKTARLRCVLCSATFSASNPSRTASEHLKRGACPNFSSPPPLPPASPSPFSTPPPPPHQLQALAITGASPVLPIASLPPSSSSQRRHSTGGAGGGGGRKRHALAAAYAAVEAAAAAASSQHVVVVGEPAAAYSPPAPVASSPRPMLPRRQAEVAVELLSDWFLESSGAVPLAAAEHPKMRAFLHQVGLPSADLTAADITGARLDARFAEASDAAAARVRDARFFQLAADGWHGPVVTLAANLPNGTSVFHRAVPIPAPPPSDYAEEVLLDAAASVAAGDLRRCAGVVADRFGSTALRDLETNHPWMVNIPCQIRCLTRLARDMARELPLFHSATTNCAKMAAFFNNTAAARASLRRHQSEELGGHAAAGLIRVASNACAGDGDDGEFTAAFAMLDDVLTSARPLQLSVLEQPFKLLCIDDSAAREIDDMVHSTAFWVEVEAAHSLVKLITDMAKEMETERPLVGQCLPLWEDLRGKVRGWCRKFNVDQEGIAMSVLERRFRRSYHPAWSAAFMLDPLYLIKDSSGRYLPPSKYLTPEQEKDMERLITRLVSPGEAHLAMMELMKWRSDGLDPLYAQAVQVRQPDPATGKMKVANKQSSRLVWETCLSELKTLGKVAVRVIFLHATARVFRCTPAMARWLTKSPGGGGRAQRLVFVVANSKLERKDFSNDEDDERDVLEMLTEGDDDMLTDPATAATVGGSTSLKHTC >Dexi1B01G0030720.1:cds pep primary_assembly:Fonio_CM05836:1B:34598533:34599558:1 gene:Dexi1B01G0030720 transcript:Dexi1B01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNIAALDYITTGFPSTLQHLETLKLSNDEYKRTTSPVRLVDFIYLRHLRLELNIFGGWETDALDYAYLLDCAPSLEKLELHMWMQQCGHVPYREEHGELRSLPWHPHTHLKSIHITGFFGHKDQVELALHILRCSTMLEAMKIDCRVTIMPEDNHSVIYKTREYLDGYLVATAFVQAADQNNVVEVLGARKVFFTATDGSERFYFVS >DexiUA01G0012770.1:cds pep primary_assembly:Fonio_CM05836:UA:26474688:26476150:-1 gene:DexiUA01G0012770 transcript:DexiUA01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGLGPQPIPVDQFSLEKLVDAIKFMMEPAVKEKAVELAKAMESEDGVSGAVKAFLKHLPTKTEEPSPPQTSSFVEFLGPLSKCLGCS >Dexi2A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:2A:35789:44141:1 gene:Dexi2A01G0000080 transcript:Dexi2A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPPLAAVVTRQPHQLPGSELKASTLPAYRALVRDLASAGRLDDVDAALASARSHLAPDSLQKLCVASIQAYARAGRLRAAVDTFERMDLFGCPPAAPAYSAIMDALVNAAYHDQAHKVYLRMLAAGVPPDTRTHTVRLKSFCLTGRPHVALRLLHALPELGCDAKPIAYCMVVRGLYANGHSHDARHLFDEMLGKDVFPDVATFNNVLHPLCQKGDTVESGALLSKVLKRGMVVNNFTYNIWIRGLCQGGRLGEAVALVERMDSYILPDVVTYNTLMRGLCKDSNVWEAAQYLRRMINRGCMPDDFTYNTIIDGYCKMGMLQEATELLKDAVFKGFVPDRVTYCSLINGLCTEGDVERALELFSEAQAKSLKPDLVVYNSLVKGLCRQGLILHALQIMNEMAEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAIVKGYLPDVFTFNTLIDGYCKKLKLDSALQIVERMWTYGIAPDAVTYNSVLNGLCKAGKAKEVNETFKEMILKGCQPNAITYNILIENFCKINQLEAASGVIVRMSQEGLVPDAVSFNTLIHGFCRNGDLDGAYLLFQKLDEKGYSATAETFNILIGAYSSKLDMEMAEKIFNEMISKSYKPDLYTYRVLIDGSCKAANIDHAIVGKVALTGQHCWISANELCSRSMHKYHEDWQLQFAAGIKTVLLVPVVPYGVLQLGSLDMSVSEKAEKIQNILENKESYCETKKQLESFPLRVKEFDQPGHLLIEMLCEDYEVFLEMAHVLKGLEVSILKGVLEPRSDKLWARFVIEEPRLLAGIGRFQPDADSVPTDASPAQDMELA >Dexi7B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:7B:19230251:19230694:-1 gene:Dexi7B01G0012450 transcript:Dexi7B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLVHADDLYSARFSKSGSFKWLLHTLPRSNSADVHRKTQGSAPGRCPSLVELCVAKVCEDMNRYSDLSLLPRDLTQQIFNELVECGCLTGASLGAFRDCDLQVMILLLRHSG >Dexi3A01G0034040.1:cds pep primary_assembly:Fonio_CM05836:3A:39361173:39365293:1 gene:Dexi3A01G0034040 transcript:Dexi3A01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPANSLHLRTAPSENGPKPVENSNDNLLDMVCESPLAGKVKFMCSFGGKILPRPSDGKLRYVGGETRLISINRNFSWKELMQKTLTIYSQPHIIKYQLPDEDLDALISLSCDEDYQNMMEEYDSLEKANGSVRLRIFLVSLTESEDPSLDSKSLESEPEYNFVVAVNNLAKLDRSISGNNLMSQSNHQLDSSPALYRDSLLCQTNTETGSQDPVGAAHNESSQFFPAPYTQQMVAESSTTSSPSLGIPSSSSVVPRIVVAAEERQEVIMSSLKNDDTMSNGPEFANEDHDDGAVDGAVSDAAVAELEASMYGLQQHVIDIDNQIIKNGDLEELRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRLSEQEKLTSDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRRKLTIAMDAAFGMEYLHSRSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHCGAIIGMSLPHISCGIVNNTLRPPIPENCDPDWRKLMEQCWSANPDARPSFTEVTDRLRAMPPVLQSKGQAPGNR >Dexi4A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:4A:24082996:24089411:1 gene:Dexi4A01G0020440 transcript:Dexi4A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKEEFLEQFGGDYGYPDAPQGIDDLRAADFKRLEGMVYLDHAGATLYSEGQMADVSEDLMSNVYGNPHSQSDSSMATSDLVTSARHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRDSCYMYTMENHNSVLGIREYALSKGATVSAVDVEELVEPSKNHGSDSLFKVSKRSNQRRGDDAFLHNYQNGNLTGISAGNTLNLFAFPSECNFSGHKFNLSLVNLIKEGKFVGTSQEQGRWMVLIDAAKGCTTEPPNLTMYPADFVVCSFYKIFGYPTGIGALIVKNEAASLLNKTYFGGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSISSLQYGFKIIDMLTISAIARHTASLATYVRKKMMNLKHSNEKNVCIIYGQQASKVKDLKMGPIITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTYEDAEGFLKFLRSSFVSKAVGLNNGYMDTLNFGLKYDREWLLQGSGGEILTQKKVPELSSICTLIDLELGKLFLESPKCKDKLQISVLENWNHLTAEVDVYGQRYEVQTYGEKVNTWFSDAIGRPCTFMRCSSSKYRSCAIKGRRDRLCRDSRSKLNFVNEGQLLLVSEESIFDLNSRLSSSNGNGKQQVFVDAMRFRPNIVVSGSIPYNEDNWKRLNIGEAYFTSMGGCNRCQMINLSQSSGQVIKSKEPLATLASYRRQKGKILFGVLLNYEDGMDEEDDTVVERWIEVGQEVYPSTE >Dexi9B01G0022050.1:cds pep primary_assembly:Fonio_CM05836:9B:16705098:16705964:-1 gene:Dexi9B01G0022050 transcript:Dexi9B01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAVDDSDFSRHALAWVLDHLFPAAAADEKAEVETLRPALVLVHALEPLRHVMYPVGPGSAVYGAPSMMESVRAAQTENARNLLDRAKLMCHQRGVSAEAVVVEGEPREALCRAAADMGAGLLVVGSRGLGAIKRAFLGSVSDYCAHHASCPIMVVKPPRDDDDHAAHRTTS >Dexi6A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:6A:15923381:15925314:1 gene:Dexi6A01G0011180 transcript:Dexi6A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGDSPNGEVINPVWYGGGVEGFYNREVELNDVVCALCGDGGELLCCEGPCLRSYHTTRTTGHPSGCRSLGFTTAQVQNIGIGHADAEGGGVLVQQQPGVVAAKATWDGGKLLGGQDLVDSSSRTVE >DexiUA01G0002620.1:cds pep primary_assembly:Fonio_CM05836:UA:5852128:5852793:-1 gene:DexiUA01G0002620 transcript:DexiUA01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGSSLRRCTAESLETAAGTAGPQGSLKSCSSKRKAKKEPAASSGGRVLRPRRPTGRKAKEHAASATAAEAETEVAAGAAVQNRLSAKEIRWILCQKAQPPPPPYQALKRSNPELTPRPGEEDDEEKMTMYVLARAFYELEERLPKMQEKVRSELKNKGYVEVDDEYHKCKAEAQAVIDREWPKLVARFKDLGLSEASESSDEEEEEVEEGVAVDLLD >Dexi2B01G0031470.1:cds pep primary_assembly:Fonio_CM05836:2B:39602695:39604413:1 gene:Dexi2B01G0031470 transcript:Dexi2B01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGDQCSSEDDCGSGLYCYNCWLEFAGRKCVRTTVANPFQIADKSLPFNRYAFLTTHNSFSIRGEPSRTGVPRVTLYNQDDSVTNQLNNGVRALMLDVYDFRDDIWLCHSKGGRCFDFTAFVPAIETLREVEAFLSSNPLEVVTLILEDYVKADRGLSKLFRDAGLTKYWFPVSRMPRRGGDWPRVRDMVARNHRLLVFTSARWKQDTEGIAYQWDYMVENQYGDDGMRSGACYNRSESPALDDKARSLVLVNYFHTVPLGVTACLEHSRGLVPMLRTCHDAAGGRWANFVAVDYYRRSDGGGVFEATDMLNGMLICGRDDVRRCRKRTLKDAVHDLLGNLQQMWQWYA >Dexi2B01G0033060.1:cds pep primary_assembly:Fonio_CM05836:2B:40747192:40748372:-1 gene:Dexi2B01G0033060 transcript:Dexi2B01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVTTYKALGYDFDNDDFHACVHGKLPYEKLKPDPVLRQLLLSLPQKKIIFTNSDKNHAATVLKKLGLDGCFDAIICFETLNPPTEQDDMDSRRGILCKPSLESMEAAIEIAKLDAKRTVFFDDSARNIAAGKAAGFHTVVVGRSTLVPGADVALESIHNIKEALPELWVEADGEHVDAVLRAAAAETTVLA >Dexi9A01G0045030.1:cds pep primary_assembly:Fonio_CM05836:9A:48631718:48635682:-1 gene:Dexi9A01G0045030 transcript:Dexi9A01G0045030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILSNLGLTGNLAYNMNNLGSLTELDMSQNSLGGGNQIQYNLPNMKLEKLNLAGNQFGGNLPYSISTMPNLKYLNLNHNQLQGNITDVFSSLYSLTDLDLSFNSLTGDLPQSFTGLSSLKNLYLQNNQFTGYINVLANLPLDTLNVANNRFTGWIPSQLKKINNIQTDGNSWSTGPAPPPPPYTAPPRPNRQNGPGQNGDGLSSSGGKSGIGGGGIAGIIISLLVVGAVVAFFLIKRRKRKASVEEHFEQHQPFTSFSNKVKDMKPIDESTTVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHKNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHLSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRRPDDQDQDFI >Dexi7B01G0023470.1:cds pep primary_assembly:Fonio_CM05836:7B:28069704:28070903:1 gene:Dexi7B01G0023470 transcript:Dexi7B01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQASSAFSRAASAASPADASNSAAPRRCSRSCAARPAVPTWPNTAALPATEAATLGPAPQRPRHPQEPTSTRGGARPASAARTSRAPCRAHVRLVQTRTSTAPEARGGAGRRQRCCDRLGAEARQQRRWAAVPPNRASDSEVAPPPRPSLPALARSPPAAGQPQARSHRRGLAADAEGKEGRDASARAWLRELRDVLYLLRDGFDDFRRAAALRNQQGRRSVRASSLPSLRIP >Dexi5B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:5B:6905780:6906524:-1 gene:Dexi5B01G0009860 transcript:Dexi5B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDFKHWTEVYQEGSWKLPFDNSANWSSDYNMLDVVKKAPNAMDNTIKKVEEIFGPRDWVLSSAEKSMIDSLHSYLEPFFKTTTNLCNCKLPTVGLVFFFMDHVFELIDMYHDKKTASGMSKTADNFISKAYNIYTFTAAILDPRIKGELIPETLNSTSNLEDARNHFFRDHSNTFQAVGNGHSAQGTTEEAGAFSFAEEIIRKRRRVSMTTAVDELS >Dexi4B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:4B:21504252:21507619:1 gene:Dexi4B01G0019210 transcript:Dexi4B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMQYRFLAADSLQKLVILAALAVWHNLLSPYYHRRHGGAAGSAAGASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGAKVLISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGSDGRVHVVIRRSASASTTGHGAARSGVGYGRPYGGMSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGGAGARAPGLDEQVANKFASGKGGGDAPAYPAPNPSMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAGIPPVPIDGATPKGMSGTVTPSKKVDMAAANGGDVEIEDGLKSPATGLAAKFPVSGSPYVAPRKKGGDAPPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLVGLVWALVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >Dexi4B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:4B:18492308:18492701:1 gene:Dexi4B01G0016410 transcript:Dexi4B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKPYKPKTLARSQPATSNPHSPRTIKPNPTPRIKSHEHAPRNHTEHIEVHGRTDRGSDGTPQLRTRSIHDDAATDSDLTSLRRGRESDAARSKQRRRR >Dexi1B01G0030370.1:cds pep primary_assembly:Fonio_CM05836:1B:34371350:34375113:1 gene:Dexi1B01G0030370 transcript:Dexi1B01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAWRRHLLDHHLSPSTSAAITAFRSASQTGLTPQGLAGADGARYMSSARAPAVKGSGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKIMGDLGQATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVNGGSSKIQPVYVIDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYVNVPLPVARAIASPREMLLNKVPFPLPTPSIFNLDQINAFSVDNLVSEDALTFEDLSIMPQKLKGYPVEYLVSYRKGGPSFGSTVSEKMRSSEM >Dexi1B01G0028770.1:cds pep primary_assembly:Fonio_CM05836:1B:33224538:33233197:1 gene:Dexi1B01G0028770 transcript:Dexi1B01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEERLDVLNAAGEKTGVSKPRHAPSSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLFSAQRELEEELGIKLPVDAFELIFVFLQECVINNGTYTNNEYNDVYLVTTLSPIPLEAFTLQESEVSAVKYMRCEEYKSCLAKESGEYVPYDVNGKYGQLFNIIEERYKDNTESRSLTLQKQINRYAPIHLEPDLTNLSEGDREALGYILKAAIVIDDIFHEQVWDSNRMLRDWLKGHSESSSLDKLKWAYYSINKSPWSCLDENRAFLSTADSAVKLLTDATKPVSRWKGVEYRAAFPLDKPPGANFYPSDMDKMEFELWKSRLTSKEQKDATGFFTVIKRHDSLSALSSTQSDELDQAKTSDDLFIVPYSKEYRSSLEKAAELLEKASVCSDSPSLKNLLRTKANAFLSNDYYESDITWMELDSNLDVTIGPYETYEDGLFSYKATFEAFIGIRDNIATSQVKLFGDQLQDLESNLPMDNVFKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIRDEQKDYVDFEPYYTHIVCHECCHGIGPHSITLPSGKKSTVRLELQECHSALEEAKADIVGLWALNFLIKKGLLPKNLSQSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFVLHSDGKFSVDFTRVEDAVESLSRKILTIQAKGDKAAAWSLLQSRATLTQPLRVALEKIEHMQVPVDIAPKFGTANKLLGKV >Dexi4A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:4A:20279369:20281673:1 gene:Dexi4A01G0016650 transcript:Dexi4A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDQTTRSSSLPSSSDRSSSSAPQTEDAREGTHTATTTLPLVRMESDDEIGTVPEVGLELAAGRPSTSGREAAGGGTGGGAAAAGTSSAAAQAASAARRRGRSPADKEHRRLKRLLRNRVSAQQARERKKAYLSELEVRVKDLEKRNSELEERLSTLQNENQMLRQILKNTTVNRRGPGGGSSASGDSK >Dexi9B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:9B:9068369:9068601:-1 gene:Dexi9B01G0013480 transcript:Dexi9B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRERDGVTHTCSGAGAICADIPPGHFASSQELIGGEELAKMRKIRVKQSSRTICKQRAKD >Dexi7A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:7A:30166731:30171092:-1 gene:Dexi7A01G0021600 transcript:Dexi7A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLASSSSSPRPLLFRGRWNHGSAFRASSRGRLPPIRCSSPQPATGGEAEGSERRRLSEQSSWEAKDADGDDYLYRLGKEAENMDIAVGARAGVVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNFIAHHLNIKVPLILGIWGGKGQGKTFQTELIFRTMGVEPVIMSAGELESERAGEPGRLIRDRYRTASQWVDQIGGHEKLGEKLLKQKKGDKLPTFIPPKPTLDALIESGHSLVREQELVMNSKLSKEYMKNLED >Dexi4B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:4B:19742961:19748569:-1 gene:Dexi4B01G0017420 transcript:Dexi4B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKGMVAGSHNRNEFVMIRHDGDAPAAAKPAKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRQKGSPRVHGDDEEEDVDDLDNEFNYKQGNGKAPEWDDADLSSSARHEPHHRIPRLTSGQQISGEIPDASPDRQSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWKVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPLPSNQLNLYRIVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWHPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVIKSCCGRRKKKSKSYMDSQNRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >Dexi1A01G0027480.1:cds pep primary_assembly:Fonio_CM05836:1A:33243747:33245743:1 gene:Dexi1A01G0027480 transcript:Dexi1A01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQGLNPAPLPGGLITIVAFAGSDRRHVSAGDNKIDRIGSPWNRERSSIVACHFTNITGKGAPLISPSFSFLPFPSGNVTLVDSYNGLLLCRCFESDPYEGDPPFHYAVCNPATKKWVMLPDGSGEARVAYLGFDLAVSSHFHVVEFVSEPEEDRRDPTWGRENRGERRERASRDAPTLRRRNARAQQAIG >Dexi7A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:7A:2832328:2833234:-1 gene:Dexi7A01G0001110 transcript:Dexi7A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHLTIRDVLYFYSDARNVYERFIAMGSHQEQARNAVALLLWLDPTHHQAIRHLPSLSPAAVSIVASEANSILDCLRQEGLILPPIPFVSAFCQEGGIEVDAAFLAFNQDLVVRGVADILDAVGALIFDDHLYRLLRRYQTGLVGHLPELEAPYTCRPVTVPEDCRSMFITFSKGQPIEREEIFDYFRQKWGDCIVRVLMEKTRGKPPMYGRIIFKSEAFVSLVLNGEPLVKIAIGHRQIWLRKYTPRPHNM >Dexi9A01G0030680.1:cds pep primary_assembly:Fonio_CM05836:9A:35653889:35654722:-1 gene:Dexi9A01G0030680 transcript:Dexi9A01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDDELKLLSTWFSPFGSRVKLALHLKGLSYEYVEEDLTNKTRLLLESNSVHKKVPVLLHRGKALCESMVIVDYIDEAFAGAGPPLLPSDLYERAIARFWVAFIENKLVEPWFRMLDGTRTRAEMTEGVKQMLAAVASLEVALGQCSKGKPFFGGDSVGYVDVALGGLLVWVRASEALLGVKFLDGDRTPLLAAWAERFASLDVAKAALPDFGRVIKHAKMRRGAAAGALAGNN >Dexi8A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:8A:27986977:27987282:-1 gene:Dexi8A01G0016500 transcript:Dexi8A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSRAPPGSANVMAGVLVPARAVEVVAGARGWSSSPSSSWPEVMATPPSEVVAVELVVRVHGQAAARREVRERDGEEERHGRLGERSKRSHERAHGRGQ >Dexi4B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:4B:424808:425884:-1 gene:Dexi4B01G0000610 transcript:Dexi4B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMAVAAAPRPSSNCHPAALPAVAAAAPPRRARRLTMRWRCCDDDTLGGVPRRRAQQLLQDQHFPELALRRVPENNNGRQQMSLPPPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTAQGWRDAEDCGRRLRDLFSNSGDSDWKVYFYVSPYRRSLETLRGIGRAFEPHRFAGVREEPRLREQDFGNFQDRDQMRVDKELRLKYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFHPPQRRTDDMNIVLVSHGLTLRVFLMRWYKWTVRQFEGLNNLGNGGTLVMQTGEGGRYSLLVHHTEDQLREFGLTDEMLKDQMWHKTARLGDINYSFITNGQSFFDERTDLYL >Dexi9B01G0027910.1:cds pep primary_assembly:Fonio_CM05836:9B:30459634:30461124:-1 gene:Dexi9B01G0027910 transcript:Dexi9B01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGERFANRVLSVHRFINRSLVARLIHYMLPGGKATMVAAAPPSPMVRQLSKNTLAVDADALLLKPSPDAAFPAYFLVAVEAGGYVRGLVLLALYPILRMLSREAHVKAMAMVSFCGLRRDEAARIGRAVLPKLFSREAPHMHAMEALDALPKEVKVVAVSRTFPTVMVEAFLKEYVGFEAVAGRELKGGTRYLTGAMAELDMGRLARVLKQTEKKTLCPYYPKPVVFHDGRLAFTPTPAAALAMYIYFPLAILLAIVRIAIYVLLPWRVSSVVAGLTGVRVRVIGALPAAGDRDTEGASSKPHGGRLYACNHRTLLDPVGIACALKRPVAAVTYSLSRLSEVLSPIPLRRLTRNREEDRRRMSSMLARGDVVVCPEGTTCREPYLLRFSPLFAELASEVTPVAVDARTTMFYATSTSPVAKSFDSVYFLMNPRPEYIVQFLEPVNTESGKSSIEVANDVQHALASALGFEGTALTRKDKYLLLAGNEGVVKTK >Dexi5B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:5B:3446768:3450906:1 gene:Dexi5B01G0005160 transcript:Dexi5B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGETVWVEVRVGMASSAPATASKGPRYAPPDPTLPKPWRALIDGNTGYLYFWNPETKVTQYDRPVGPAPAPPSQPPGSSRTEERGRGSGPSEVTIPPCSLFLLPSSSRNVASLALRLPWAARSMRLPLITGQGVITQSNDAAKSMIVVICTLLAPLITCYPVFQPRYAAGANVSQSAQFTNQVPQAGNGSQMSTEAYRAKHEITIVGNEAPAPFMTFQSTGFPSEILRECLYGGAPKGPQLRELERGVDIVVATPGRLNDILEMNRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKQIPPRRQTLMYTATWPKEVRKIASDLLVNPIQVNIGNTDQLVANKSITQHVEVIPHMEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLSRQYGASAIHGDKSQAERDSVLSDFRSGRCPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGSAYTFFGDKDSKYASDLVKILEGANQSVPPQLKEMAQRGGYGGGRSRRWASSDDSYGYDSRRSNDNFNNSSFGNQDGGGSSFHSSFHNSMSGSQFGDTPSFHGSYNNSSRNNQTNDICLSLATINLGMVSAFMKGSMDHEGTKTEQAMMDSALGAGVHRARLWEFPTGNHT >Dexi1A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:1A:27579526:27582764:-1 gene:Dexi1A01G0020770 transcript:Dexi1A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEKRGHPLLRGLGARKEDRYTHGFSASQMAALTALCGTLVPSLPPEQHRNGHHTQDDGGRGGVASNKVIDEFLLASAADPPVPNEVAELMSRRCLPEALALVRTVLWLLGTRLGSLALCGSRCLSWRFPFVLRFAELPLEQREGAVRRWSRQTLLPPLRMFFLITKTDENSENPHWRAIGYSPPLSGDGDEPDAAAAKEDPPETKRPLDDGVVETINETDSSLPASLAAKGLAVTHDATRIRVDCDVVVIGSGCGGGVSASVLAAAGYKVVVLEKGNYFTSRDYTAVEAPSMSELYESGGFVSTLSGSALLLAGSTVGGGTAVNWSACIKTPDDVRAEWAHDQGLPLFATGEYADAMDRVFERLGVTHGCTEEGLQNKVLRKGCETLGYKVEPVSRNSSEGHYCGSCGFGCRAGDKRGTDTTWLVDAVRHGAVVVTGCKAEKLLLEQEHRDGRAKRCVGVVARSTNPAVTKILEVRTRATISACGSLQTPVLLRRSGLSNRHIGKNLHLHPTALVWGYFPDTTPDLKGKTYEGGIITSLHKVEGRRAILETPAMGLAAAGTQFPWVSGRDMKERMLRYCRTVHLFSMVRDRGSGAVHGDRRVAYHLDAADGEDMREGMRRALRVLAAAGAAEIGTHRSDGQ >Dexi9B01G0037580.1:cds pep primary_assembly:Fonio_CM05836:9B:38943480:38947042:-1 gene:Dexi9B01G0037580 transcript:Dexi9B01G0037580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVRSLLVASLVLSSVAVLVAAAKTIDPYKVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPGFGGGNFGNSQGAYFTGGGPKTSYFTSGDGWQTMGGQGNGKTFSFSFGGNPGSSGGNPFGGGFDLGDVFSNFFGGGSTGGSQHGKSAGSAKAKTGTSGQHSGAVKIQDVTTQIFSKEIADQGITWLLLFYTPMSKDQFVLESVMQDVVQSLDGALRAGKINCDNERSLCKKAGVSLGKSARLFIYSYATTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRVDIGQFSFPSNLLPNLPQVLLLSSKKDTPAMWRALSGMFRNRLIFYDAEVHDVSHPLLKNLGVKNLPALLGRTVNGEELLLKDGISVKDLRSGIRELKTLLESFEKKNKKLVLNQANKKPSSQREENKVPLLTPSNFEEICGDKTAVCIIGVFGSIKAKAQLEAVLSEISKKTLIRGQNYNSGSALSYALLDKNKQSSFLSSFDKSGFKSSDMLLIAYKPRRLRFAVYNDEVTLEEAERFVGSVLNGDVQLSPTKQKPVLR >Dexi8A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:8A:23051778:23053157:1 gene:Dexi8A01G0013080 transcript:Dexi8A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRWRSRARRDPDLDEEDSSPPSRQPRRGRGGDDDDDDNEGNEDLSLDIVARARRKRRGSPAPGFADLLVVSSGDEDAGEDAVVELGEAGEPRRKQSKKQRRKQKKKQQRKEAAEAAATTAAAAAGEEENEVCGTQEGPSGTAESVLTEDGPDVPASDNMVLRKLLRIPRYFDPGETLLETCFNCSEEGHVAANCLMEKRKKPCFVCGLFGHNAKQCTQCFCY >Dexi5B01G0036740.1:cds pep primary_assembly:Fonio_CM05836:5B:36378242:36378860:1 gene:Dexi5B01G0036740 transcript:Dexi5B01G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPEPVKEVPKEPKFTCPICMNELTEAASTVCGHIFCQKCIKAAILAQKKCPTCRKTLNKNQQHRVYLPTTE >Dexi2B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:2B:26516900:26520822:-1 gene:Dexi2B01G0016370 transcript:Dexi2B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASTSGEWLKGALQDLRERKGSELDLDADLISGLVSFCELASPPDAASYLENFIGTEASQDIIQEYLQRRGHIGSSNGTESMQSSNLQPYVKPSADPPTTQTKKQARIQKDAASSSSQGSKSQSETAEPQPASKRSSKKKGAKAISLAEAAKGSIVFKQGKPCSCQARQHSLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLSDVGLPLSEAEAAAEAYAKRLVDYDRNAAARTKVYDDQSDYFEMEGNSWLSSKEKSVLQKQQEEAQEAAESLKGKVIVTFDLVGRKVILNKDGTTELEVEHPIMRPPEERDQSHRIQPNPTIREQPQFVETGPVKPKTDRAKQSKRLAKNGLCLEVTGRLQHDDKDLQSILSGKVKKGDHLAYSSFGKTREGDDIECSQDFD >Dexi7A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:7A:27775708:27776982:-1 gene:Dexi7A01G0018340 transcript:Dexi7A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFVSLDHDETSFLDHFKSMPWLALPFNTSLRQKLCNHFGIERIPALIPLSETPSCGLGFEEDAVKLVEEYGADAYPFSTKRRRELESMDDARRQGGKLQELLGGKDRDYVISADGIKISIADLTGKTVGLYFGAYWCPPCRAFTKQLTEVYNELKILRPGSFEVIFISVDRSKEEFQASLSAMPWLAIPYSDTARQELTRIFAIKGIPALLLLGLDGKVLKTDGRRAISIYGVTAFPFTESRVSEMDEALRKEGDNLPRRVSNPRHSHELELDMAKAYICDECQQKGRYWVFSCKQCNFDLHPSCVRESNAESI >Dexi5B01G0020950.1:cds pep primary_assembly:Fonio_CM05836:5B:23192520:23192942:1 gene:Dexi5B01G0020950 transcript:Dexi5B01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSTAAITYASMHHIRALEESYREHCPGVEICHYPWLAGLELQLPRATHLDVITLILWHIWKARNAVIFDQHTMTLQMVLRRILNDMDSWKTRYKQYDQEWEAWRLFLSSYG >Dexi3B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:3B:9926621:9927111:1 gene:Dexi3B01G0013840 transcript:Dexi3B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTSCVQEEKRFMRGDPAEYLATEKAHGVMSCSSASSTPPPAVSISDDISVRLASAPPPPSSGRSGMVAGGDRRWPHAAGVSQTRFATACEREERSEVWDANSKRQGKNWAREETFV >Dexi2A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:2A:24248210:24248508:1 gene:Dexi2A01G0014570 transcript:Dexi2A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTGAPPPELALVVFHNHGPNSAFAVQRSGWTNDDDAFLSWLSGIPFSGGGFSEAPTCEGLAEALMVLTFF >Dexi1A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:1A:27804847:27807881:1 gene:Dexi1A01G0020970 transcript:Dexi1A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVDKEAPAVPSRFRRICVFCGSSHGKKKSYQDAAIELGKEMVARNIDLVYGGGSVGLMGLVSRAVYNGGRHVIGVIPKTLMPREISGETVGEVKAVVDMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLTFVDKAVEEGFIKPTARSIILLAPTPKELIQKLEEYSPQHEEIVPKMKWDTDMEQASYPQNYEIPRPNEGKIIVEDQRGTKQSVDVVPGY >DexiUA01G0015240.1:cds pep primary_assembly:Fonio_CM05836:UA:31908195:31911414:1 gene:DexiUA01G0015240 transcript:DexiUA01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQHREEDALRGQAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKTSFCNHDKEIEQAYVDLLDSSKQTLGSMMELQEALLESNQATKDANEIPSASNGEDDEWLGVQRLQKRITAFQDTEIDKWQRKIQVTTGAAALKGKLNAFNQNISGQVAGYMRDPSRMINRMYLTKTTVGVFGEDTGEPGAAEEEGTVEGDPELIDDSEFYQQLLKEFLDSCDKGESESAFYDLQKQKVSSS >DexiUA01G0013640.1:cds pep primary_assembly:Fonio_CM05836:UA:28581265:28582296:-1 gene:DexiUA01G0013640 transcript:DexiUA01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTRLRSIEPGDIPTPLMGEYYRQRASSGLIITEATQISAQAKGYAGAPGLHSPEQIAAWKKITAGVHAEEGRIAVQLWHTGRISHSSIQPGGQAPVSASALSANTRTSLRDENGNAIRVDTSMPRALELDEIPGIVNDFRQAVANAREAGFDLVELHSAHGYLLHQFLSPSSNHRTDQYGGSVENRARLVLEVVDAVCQEWSPDRIGIRVSPIGSFQNVDNGPDEEADALYLIEELAKRGIAYLHMSEPDWAGGQPYTEAFRQKVRERFHGVIIGAGAYTPEKAEDLISKGLIDAVAFGRDFIANPDLVARLQQNAALNPQRPESFYGGGAEGYTDYPTL >Dexi3B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:3B:5355617:5356030:-1 gene:Dexi3B01G0007530 transcript:Dexi3B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRCHLLLSAAVLLAAVLAAAAAKSPSSCVEGQAIPRRPLPGCRWYAASQTCGGAPRLLPAVMKQICCRQLEAVPAECRCKALRVMMEDTPQGAELRGKVCWHAQAEFAPAIVTEAECGLTTVHGRPFCDALSDE >Dexi4A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:4A:23131002:23138849:1 gene:Dexi4A01G0019320 transcript:Dexi4A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLYPFSACVPPPRALLRRLSPPPPMAAVAPPSTAVRILPSVGTLDLPPLLPPATDDFHWLDLFAFLNSPAETYHQIPSRGEEVEELEAGLELELELELERHAEVERQRERARRAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPALPYVKSLFVGWFEPLRDAIARDQDVQRRKRVKHVYAKYLLLLPADKIAVIVMHKMMGLLMSSKDGTGGVRVVQAAHCIGEAVEREVKVQTFFQKSRKKKDHSENDPALEKEQAKCRKRVKSLLRRQKMTEAQKLIQQELELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQTPDSSPDIRPAFRHVLRQPIIENGKLKKKHWVIECDHLVHEGFESTARHVDIPYLPMLVPPKKWKGYDKGGHLFLPSYIMRTHGVKDQKDAIKSVHRKQLRKVFEVINHPSMSQHAFIALNVVVLDIDTSHWFFVAQVNVSLRLSINPKILACFQALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKENIPLPERPESEDPDEMQRWKWSLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLCWLKIHLANKYGGGVEKLSHEGKLAFVENQLLEIFDSAANPVDGNCWWTNAEDPFQCLAACMDLSDALKSSSPYRAVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIAARVLDVVREDSMKDPATNPNASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGTITDDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKIRTSLQCLALRREGDAIAIQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAGVHDSFWVHACDVDQMNQILREQFVELYSMPILENLLEEFQRSFPTLEFPPCPPQGNFDVREVLTSTYFFN >Dexi5B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:5B:7054005:7055167:-1 gene:Dexi5B01G0010120 transcript:Dexi5B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPAPLRAPLILQRKIDCTLELPFPYRARAAPSPSVLNSPLFSPTIRRSWVLHSSSCSCYCSSFLSRIESWWWASAPWPAGLEERLAAAAADRVWRGEMAWGPRAADDNRREGPTAGTRGGLWGHFGSPNLDCARRCPKSCICSSVLRR >Dexi5A01G0031310.1:cds pep primary_assembly:Fonio_CM05836:5A:34053829:34054127:1 gene:Dexi5A01G0031310 transcript:Dexi5A01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGNGQMHGGSSGPSRECTCGQRFSEHLHAVPWSTVVPSGQSAGRPGTITAQAQGTDPLRLSPPRARPFSI >Dexi2A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:2A:33223561:33224290:1 gene:Dexi2A01G0021000 transcript:Dexi2A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGGVALRAAVLAAVLGLAAAGFISKDALREHERDTTTGRSLLQAKKDCPVSFEGANYTIITSRCKGPLYGPTLCCDALKDFACPYSTYINDVTTNCAATMFSYINLYGKYPPGLFANTCHDGDKGLDCPEDTPQVQPGDKASGAAVPAPAAAAALAAALAVSALIMYC >Dexi6B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:6B:18971133:18971455:1 gene:Dexi6B01G0011710 transcript:Dexi6B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTASRTPSPPPRCTSLVATLGFVWSCHHRAKEATPDEHHHTRGEHGRRQPDLLGLLHRPPLADEPSAPRQRSPWCYYSWSGTRGRRRRASKVGKKQ >Dexi5A01G0024980.1:cds pep primary_assembly:Fonio_CM05836:5A:28860131:28863710:-1 gene:Dexi5A01G0024980 transcript:Dexi5A01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPRPRLLLPLRRPRARAAAPCARRGLVPPQAARPRRVFLGLGATVVDQVARMASGGTSSRSFVAGARPRQGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDTLFYSVPRFVTHIDDQAIRALTEYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELRKNSVLTDYVVQDLNVNSKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPAGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFEPPQAVDISPNPGRSDPMYVVYSRKKIG >Dexi7A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:7A:4866513:4879873:1 gene:Dexi7A01G0001840 transcript:Dexi7A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLASLTSQMRQLRQWIFHTSEHNGNPFLLSFCSGSEDPRGPSEPYQMNGIINEAHNGRHASVSKVVEFCAALGGKTPIHSILVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERIGVSAVWPGWGHASENPELPDALTAKGIIFLGPPASSMNALGDKVGSALIAQAAGVPTLSWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQEVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLASQIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMDYGGGYDIWRKTAASATPFNFDEVDSQWPKGHCVAVRITSENPDDGFKPTGGKVKEISFKSKPNVWGYFSVKSGGGIHEFADSQFGHVFAYGLSRSAAITNMALALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANAATVSDYVSYLTKGQIPPKVRFCGTPDFFFPAEEWLANNSFMQHISLVNSTVNLNIEGSKYTIETVRTGHGSYRLRMNDSAIEANVQSLCDGGLLMQLDGNSHVIYAEEEASGTRLQIDGKTCLLQNDYDPSKLLAETPCKLLRFLVADGAHVDADIPYAEVEVMKMCMPLLSPASGVIHVMMSEGQALQAGDLIARLDLDDPSAVKKAEPFDGIFPQMSLPVAASSQVHKRYASSLNAARMVLAGYEHNINEVVLDLICCLDDPELPFLQWDELMSVLATRLPRNLKSELEDKYKEFKLNFYHGKNKDFPSKLLKDIIEANLAHGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLSVEELFSDGIQSDVIETLRHQYSKDLQKVVDIVLSHQGVRNKAKLVTALMEKLVYPNPAAYRDLLVRFSSLNHKRYYKLALKASELLEQTKLSELRASIARSLSDLGMHKGEMTIKDSMEELVSAPLPVEDALISLFDYSDPTVQRKVVETYISRLYQSISFSSDDQAQHRMEKITKIFKDSGVVNDLRSAGLKVISCIVQRDEVRMPMRHTFLWSDEKSCYEEEQILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQLNADNKFSSAQVSNTEVGGLEESLSSTSNSILRSLMTAIEELELHAIRTGHSHMYLCILKEQKLLDLIPFSGSTIVDVGQDEATACSLLKSMALKIHELVGAQMHHLSVCQWEVKLKLDCDGPASGTWRVVTTNVTSHTCTVDIYREVEDTESKKLVYHSASSSASPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALHKSWELSGSSVSKGSESSKPYVKATELVFAEKHGSWGTPIVPMERPAGLNDIGMVAWILEMSTPEFPNGRQIIVVANDITFRAGSFGPREDAFFEAVTNMACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTEEDYSRISSSVIAHKLQLDSGEIRWIIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILQWLSYVPANIGGPLPITKPLDPPDRPVTYIPENTCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELINLKAKLQGAKNGNGSLPDIESLQKSIEARTKQLLPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEVRRIAGDHFTHQSAVELIKEWYMAAQPTTGSTEWDDDDAFVAWKENPENYKGYIQELRAQKVSQSLSDLANSTSDLEAFSQGLSALLDKMEPSQRANFVQEVKKVLG >Dexi2A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:2A:30731023:30731518:-1 gene:Dexi2A01G0018540 transcript:Dexi2A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLDLPVVDLVSPDLRAAANSIRKACVEYGFFYVINHGIESDLLERVFAESRKFFEQPMEEKMALRKNSSHRGYTAPYSEKVDDYPDSRDLIP >DexiUA01G0023500.1:cds pep primary_assembly:Fonio_CM05836:UA:47980841:47981410:-1 gene:DexiUA01G0023500 transcript:DexiUA01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAMDQESERIPKKSDQENPLSHQNANLNSSGSRFARRRTELHSITAKSGGDAVGKVPETVEPTDSAKNRTKPSTSEEADSEPQIQRLTTANKTAQGRRKMGRRSGQTSNSCSLSPLLLGGGEEEGRRERSLRPGVLTGDKQAMQAVHHLCGKSPKKSCPAVNSSPAATVHRSTDIPMGHGREAKAL >Dexi3B01G0025340.1:cds pep primary_assembly:Fonio_CM05836:3B:20130034:20134463:-1 gene:Dexi3B01G0025340 transcript:Dexi3B01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPTGGEGKVVDSFGGLKAYLAGSNDAKEAVILISDVFGFEAPNLRTIADKVALSGYFVVVPDFLHGDPYVLENAERPLPVWMKSHPPKMGFQEAKKVIAALKEKGMSSIGAAGYCWGGIVVVELAKTDEIQAVVALHPGPVTVEDIKEVKCPISILGAEIDQMSPPELVKQFDQVLSANSGVAHFVKIFPGVAHGWSVRYNYDDAAAVKSAKEALEDTINWFNKNLK >Dexi6B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:6B:10716274:10716597:1 gene:Dexi6B01G0008500 transcript:Dexi6B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSNAAKSCASLPHDELRKKICDVAVEKCIHFALPSKRTQCNIDEAGNSRCCCGEAKKRGY >Dexi3A01G0036110.1:cds pep primary_assembly:Fonio_CM05836:3A:41507698:41508066:-1 gene:Dexi3A01G0036110 transcript:Dexi3A01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSKPVVEGLRVVGEGLQLQGAVSAVVEGPGPAHVEPRVGGLSERGGDLASTSHVKKRRRGARRCCGCGREEAGVAVGEGERREETGAGEGERREEPCAGAAAVRGRGKGKEEKWEEEKP >Dexi7B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:7B:19916617:19918852:-1 gene:Dexi7B01G0013420 transcript:Dexi7B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAVDLRSDTVTKPSDAMRAAMAAAEVDDDVLGADPTARRFEAEMAALMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPRTVANNPDGTMDVDRIVAAIRNPGGELLYPTTRLICLENTHANCGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCISKGLGAPVGSVIVGSKAFIHKAKILRKALGGGMRQIGVLCAAAHLAVRDNVGKLADDHRRAKALAEGLKKIKQFKVDSASVETNMVFFDIMDPRISPDKLCQILEQRNVLTMKASSKSIRFVLHYQISDSDVQHALTCVEKAVEELLKGSTKFEHLTNGTTKSSYGH >Dexi9A01G0024130.1:cds pep primary_assembly:Fonio_CM05836:9A:20311526:20316587:-1 gene:Dexi9A01G0024130 transcript:Dexi9A01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAWAAWLLVLLCCGAWTPRRILASAATDASDVTALNTLFTSMNSPGQLQGWKASGGDPCSESWQGITCSGSSVTAIKLSGLGLSGNLAYNMNSMDSLVELDMSQNNLGGGQQIPYNLPNKKLERLNLAGNQFSGAMPYSISTMSKLQYLNLNHNQLSGDITDAFSNLPSLTTVDLSSNSLTGNLPQSFTSLTSLKTLYLQNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPHSPSNRKKSPGQHSNGSNSSSSSGSSGIGAGAIAGIIISVLVVGAVVAFFLIKRKQRNGAIPEHYEQRQPFNSFPSNEVKEVKPVQETTTVEVLAVKKLNSTVLPSQSSDDFYELVSNISKLHHPNLSELVGYCMEHGQYLLVYDFHRNGSLHDMLHLSDEYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGLSSFVPDAEFQASDQGSGYGAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDRYAYQQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMLDGEEASRRPDDQDHDFV >Dexi7B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:7B:6955452:6956026:1 gene:Dexi7B01G0003080 transcript:Dexi7B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITYLAVLSLLAATASAASAAVAPTPHGAPRGPATATEFLGAINAARADARVLQLSWNATVAQRAKLHLSWLRTSAGCHLDKKDQYPIHDHMAGTFYRSGGSGRPAPVDVVAMWLDERRWYDRGANACVTGKQCGDYINVVNPEWRQLGCAMVACSSGQVVAACKYSSGAKVT >Dexi1A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:1A:7627447:7628127:1 gene:Dexi1A01G0009390 transcript:Dexi1A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLLALICAVPIIASGIWFASAQGDECARLARWPVAILGGLLLLAALAGFVGAYWNRRRLLAFYLFAMAALVVLLIVLLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIKACLAVSDTCKKLARQNAFVNAEQFYQSHLSPLQR >Dexi9B01G0041070.1:cds pep primary_assembly:Fonio_CM05836:9B:41544294:41545631:1 gene:Dexi9B01G0041070 transcript:Dexi9B01G0041070.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTAVIDMEHNCKCRHGIMGYLALLAALCLGAATVARGQLTDNFYNGCCPQAANIVRARVSAAMQAEARMGASLLRLHFHDCFVNGCDGSILLDGSNSEKLAAPNLNSVRGFDVVDAIKADLEKACPGVVSCADVLALAAKYGVLLSGGPDYDVLLGRRDGLVANQSGANSNLPSPFDPITKIIKKFSDVGLNTNDVVILSGGHTIGRARCALFSNRLSNFSATSSVDPTLDSSLASSLQSLCQGGDGNQTAALDVGSPDTFDNHYFQNLLGQKGLLSSDQGLFSSADGANTTKALVQLYSYNSERFRCDFGRSMVKMGNISPLTGSAGQIRTNCHAVN >Dexi1B01G0026590.1:cds pep primary_assembly:Fonio_CM05836:1B:31445651:31448059:1 gene:Dexi1B01G0026590 transcript:Dexi1B01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSAEEDDDHAAGAGPELSESGESSAEEAGSDGDETSAPAKPASKPRRRPNPKGGDAGGGEGDSSLPSALEAFADVSGPPEFLRHRVADPEEGTEALGVLDRRGKGGSKHPPPGAVVVAKPQLVAIRERVTTTSSNTPGSVTSGSSDGKRIIGAANPGPEDAADLLSDLNCKFASNFRMCLQCGVPKTYSHAKGMVCPLCGDRPAQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMVLRQQFD >Dexi1A01G0026250.1:cds pep primary_assembly:Fonio_CM05836:1A:32216138:32217477:1 gene:Dexi1A01G0026250 transcript:Dexi1A01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFNATGSGQMLFLDCGAGGGATGGLFHRGGRPMLGLEEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDVLLQDNHRLRSQVASLTEKLQEKDVTEGGASGAADAAVDVKASLAAEDMEEPAVAEAAAFEAQQVKSEDRLSTGSGGSAVVDADALLCGGQFAAVDSSVESYFPGGGEDHHYHGCGMGGGAMNHGVGGGIQSDDDGAGSDEGCSYYPEEDEAAAAAAFFAGQAHHHADDDEDAAGQMSWPWMWS >Dexi2B01G0024100.1:cds pep primary_assembly:Fonio_CM05836:2B:33565132:33565541:1 gene:Dexi2B01G0024100 transcript:Dexi2B01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQEEVELRAKIEALGLEVTKVPEQAPKHLDELEIAAELDKLSSRLDNVDKMISSAMASDPEVKSLLSSTADIWMPVITASADERRGFAGSSSEGSQDEKENSKQ >Dexi9A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:9A:15742238:15742573:-1 gene:Dexi9A01G0020660 transcript:Dexi9A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRAGRDAPVRNQPAAARLEVRPCGSSGSARRASPSPSKRAGHPWRGRGLCWSRAPRDGQKPRTSGGQATSHPRCPSKLHVSPECVPLQWTGPSCRGGAARALRVQAPNT >Dexi1B01G0028270.1:cds pep primary_assembly:Fonio_CM05836:1B:32847249:32857032:1 gene:Dexi1B01G0028270 transcript:Dexi1B01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRSKKEEEEELRGAKRAFKEAQAEGCREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSIKYLPQRHLLPSCQSLGEVHLLLGHFSEALTYQKKHLQLAKESDDLVEQQRASTQLGRTYYEILSKSENDHSAMRNAKKYFKSSMKLARILKEKSPSQKSDFLKELIDAYNNMGMLELELDNFEEAEKLLIQGLKICDDEEVGLYEDTRTRLHHNLGNVYIELRKWNKAKEHIEKDVAICRKICHPQGEAKGFINLGELQSRVQKYREAKLCYNRALTIASSLEDEDALVEQIKQNIETVTKANEVLEELKKDVQKLKKLVRDTSNARETSKERKLLLEQHAWLDNLIEKARMICAWQQYKEFSKGKKRVATELHDKEKLSDSLLLIAESYQKLRNFSKARKWYMKSWNMYRTIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEGYRIAVEGDLPNVQISALENMHYSHMVRFDNLEEAKNMQEKIDNLKKLLNQHEAKDTVSDYCSETDTEGGCTSDNMLDPEDDNDQVGNKYPGEPDDDVTIASLVHRSKDSSKIKAPKVQSASKKVDELCDVAEDTRTVLSRSCTNHSVGRKRIRVVLSDDESEESPEIVQLKKASTSLANSISDHGANSSRNQDGLQPNEITDAPCVAEESICSFKSSSPIGHAFEGVELGASNVGKGSASKSAASGSKFSAPGSNSRHESQNVVGFQSTDADNDFSYVQHFWAFRIGEHLVYLDARAYVHEGSFSIDSLKAEVACVYYLQISDEKRVKGLLPVIGEIKYCGKVLDDAAPIYYDDQLSSEQKCVDVLIDDWVPKLLMKLYVDCCTKFSEAPNKKLLTKLYNLEVSEDEVIVSDCGLQDLSITPFLDALKSHKTIAVLDLSHNSLGNQTIERLQHIFASSSQTYGGLTLDLHCNRFGPTALFQICECAVMTDRLEVLNLSGNRLTDACSSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGKNKPISGNTMVNLLSKLASLTRFSELSLTGIKLNKLMVDKLCLLAQSSCLSGFLLGGTSIGPVETIRLTHTLSCTSQDLLRLELSNCGLTAPDFTQICANLTLINILDLNLGGNSINLEGCDAIQAMLVNPQCSIRSLTLDRCNLGLAGILCIIQALSGNDQLEELHLAENTNLALMQYEDMQEVSTGTEKQCNNPETSNAIAQGSLDLENMQVADSEDEAENENHCAVSGPHRSCASSSQKNSYSSCQIIQELAEALISAKQLKALDLSRNGLSDEAIQSLYSAWASVPRGDGMARKHVNKDVVHFSVDAMRCCGVKPCCRRDLQM >Dexi2A01G0022030.1:cds pep primary_assembly:Fonio_CM05836:2A:33951082:33955462:1 gene:Dexi2A01G0022030 transcript:Dexi2A01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIREVDGMFNYLDPEYYGILMKRLRLKYRNQEE >Dexi7A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:7A:2024602:2025205:1 gene:Dexi7A01G0000730 transcript:Dexi7A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAFAWPYAKFCIVGGLFGRTFADWCASVASVDGESMSPTLDGHQAERMLVEKRCLYTYDFTRGDVVVLLSPRNHREVLVKRLIALPGDWIQVPENQEIRQIPQGHCWVEGDNAANSSDSRSYGPK >Dexi4A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:4A:20574463:20574991:1 gene:Dexi4A01G0016830 transcript:Dexi4A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSASAGASHAGLAIAATAMALSGTLVLYSLCRTNKQPDLVPAASDTDAAGSPSSPRLRPCLSSSEKRKKREKARQGSKKRVRFAADVVDNGAASVSSSPRTAAAAARREEAEPSCAVAAMPANREALYRGMLRGRSMLRVACSY >Dexi1B01G0021080.1:cds pep primary_assembly:Fonio_CM05836:1B:27041373:27044529:1 gene:Dexi1B01G0021080 transcript:Dexi1B01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTREPTSLIPVWARTPTNRRPSKSRRHQLPISTGAMEEADNHRPASSAGRPFFSGLCAAALRRKPIGAHATASGEGLVRQLGVLELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVIAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNEITAFLVFTQLMVDYHISAASIARSLASYFIQLLELLPFLKGHIPNWIGHGEEFFGGVVSINILAPVLLILLTAILCYGVNESSAVNTFMTTLKIVIVIVVVIAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKRPQRDLPIGILASLLACVLLYIAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLINIGAVAGLTTTLLVGLYVQVYVAPPGFSCPGVPLVPIISVFFNMILFAQLHEEAWYRFVILSLIAVVIYAGYGQYNAAPSTSEQSSMGYQGVPSEAP >DexiUA01G0015470.1:cds pep primary_assembly:Fonio_CM05836:UA:32383225:32383811:-1 gene:DexiUA01G0015470 transcript:DexiUA01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLGLMYPPPFRRPPQLLSTPQAHNAPPFVDKFACNNDLPLDLDPEPAGLSPPADLPESRAAVPTSRSSIEPARSASFSTSQSSRGIDVQLQC >Dexi9A01G0029300.1:cds pep primary_assembly:Fonio_CM05836:9A:34134268:34135451:1 gene:Dexi9A01G0029300 transcript:Dexi9A01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMIISSSKVAAALVALLAVLATCAEAAKSGGGWLPAKATWYGAPNGAGPDDNGGACGFKHTNQYPYMSMTSCGNEPLFKDGKGCGACYQIRCLNSTHDACSGRAKRVIITDMNYYPVSKYHFDLSGSAFGAMARSGLNDKLRHAGIIDIQFRRVPCNYKGLNVNFRVQVGSNPNYFAVLVQYAGKDGAVVQLDLMETDKATGKPTGVWTPMRVSWGAVWRLDTKRPLQAPFSLRARSDSGKTVVAENVIPADWKPMTDYPSNVQFP >Dexi6B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:6B:25267294:25268714:-1 gene:Dexi6B01G0018240 transcript:Dexi6B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVFLRASAADLTAGKPPLRGVPATAPLSLAAAAIPASQEADVAVWRDGASPLAPAAATVIGLLSSFDVVAFLASHPAGTAAALSTPAGDVVAHEPALIVELMKQGARRILVRKNITEPCTVDKKPFAPFYKAVLKITGTPRAAAAAKQTLNRSTSSSSPTPTFGCDRYCCLTREDIVRFLINCLGALAPTPLQSISSLGAVNRGYAHVEASSPAIEASWSVHSTEPRAVAVVKTNRDGSHKVLADVSAHRLWRRDYVAAADAMASLSSLNFAAGVDAHGMAAPPPEDDDTSAKLGSSSSRRDEFEASFVGQMMMASHGGNAALRCRSSSSLAAVMAQMLSYRTTHIWVTDGEDDVLVGVVGYMEILNAVTRGVVAPPSA >DexiUA01G0007700.1:cds pep primary_assembly:Fonio_CM05836:UA:14412736:14413391:-1 gene:DexiUA01G0007700 transcript:DexiUA01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLSYAYSSIDAAAADDDVTTVRRASPPEVLTVSTVAAATVGVGHHMFKVEGYSRLKCTHGVTVGSYLKSGEFEAGGHAWRILCHLNGARAEDAGFVSFFLVRVDDEDAGSVAIAEVEVELLHHAGEVVRWPSRVGRFPARRFRVGSGWGWPRFIAVEELERSSWFLKDDGFAVRCTITVVEEELVEEEEEDVKEEDLERMGMVCACEDDSSHS >Dexi7A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:7A:22740317:22742302:-1 gene:Dexi7A01G0012570 transcript:Dexi7A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKGKWEKSSWGKKLIVQKTRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAADA >Dexi2A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:2A:28738173:28741151:1 gene:Dexi2A01G0016910 transcript:Dexi2A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGGSGGEPLPSLGRRRIPRVVTASGIVPDLDVSDDDAASAASDHSSHAPRERVIIVANQLPVRAARRGEGAGGGWDFEWDEDSLLLQVRDSLRAHHGRADVEFVYVGGLRDDVPPADHDEVAHELLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRLLWQAYVSVNKIFADKILEVISPDEDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLQSVLNLPETGVKVAELLKQFYHRNRLLLLGVDDMDIFKGISLKLLAFEQLLLQHPEWRGRVVLVQIANPARGKGKDVKEVQEESDAMVKRINDAFGQPDYQPVILIDKPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARQGNEMIDRILGLGPSSRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEMPEGEKVLRHEKHHRYVSTHDVGYWANSFLQDLERTCLDHNRRRCWGIGFGLKFRVVALDPNFKKLAVEHLVSAYRRTTKRVILLDYDGTLRRDAEWQTCVPVIDCSWKLIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLSNEPVSVKAGLNHVEVKPQGVSKGLVAKRILSTMQERGDLPDFILCVGDDRSDEDMFEVITTAAARGPSLHPEAEVFACTVGRKPSKAKYYLDDPADIVRLVQGLASVSDDQAHDGDAAAAADTILR >Dexi5A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:5A:6227258:6229285:-1 gene:Dexi5A01G0008360 transcript:Dexi5A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIGAELGALVLIFAVAAGVAAGFRPGPPPVSEGTLQKVAASLEMYVDVLPQMPKLLGYSLKYGRPAPARLTIGMYEKKWKFHRDLPATTVFVFGTSAESATFPGPTIEALQGVPLWVTWENHLPDRHILPWDPTVPTAIPRSGVGVPTVVHLHGGIDPPQSDGHTYAWFTAGFRDRGPTWTTPTYVYPNVQSPGGVLWYHDHTLGLTRANLLAGLLGAYVIRNPATEAPLGLPSGDELDRVLILADRSFYADGSIYMNSTGDNPDVHPQWQPEYFGEAITVNGKAWPFLPVARRRYRFRIINTSNARFFNLSLSNGLPFHVIGSDTSYLSRPVVTTHLFIAVAESFDVVVDFSGCSETAELVNTAPYPFPDGDAPNSLNGKVMKFIVAPPEKVSEDDHSRVPARLPEYAEVVAEEEAVKRRYIVLYEYDDEATGNPTHLYINGKRLEDPATETPETGTTEVWEVINLTPDNHPLHIHLATFQAVRVRGLVDLEEFTACMEKLKDATKCDVGRHAVGEEVAVPEHEKTWKNVVKIAPGFMTTVVVKFLMVDTGRPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >Dexi2A01G0036780.1:cds pep primary_assembly:Fonio_CM05836:2A:46236864:46238013:1 gene:Dexi2A01G0036780 transcript:Dexi2A01G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRERKKAAGLHEKLQILRSITHSRAMNDTSIVLDASEYIKELKNKVVSLKQEIAFEEESGALKQDSSPTVTVETLGHGFLVNVFSDKSCPGLLVSILEAFDELGLSVLEATASCADTFRLEAVGEENQVENVDEHVIKQAVLQAIRTCSEGDCQQEHVSTQQA >Dexi1B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:1B:23723618:23727568:-1 gene:Dexi1B01G0017390 transcript:Dexi1B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALADPTHLSTLDLASSPRLLPPPPGRKKKPDADAAADDSDSDHPYLHHELPGGSPYLRHLGAVAVDPPVHDPRDAGTADAWVERSPSLTRLTGKHPFNGEPPTSELMRHGFITPAPLHYVRNHGAVPRGDWSTWAVEVAGLVRRPARFTMDELVREFRPLELPVTLACSSGRRKEQNMTRQTLGFHWGPGAVSTSLWRGARLRDVLGRCGVERGAKYVCFEGGDDLPGGGGCRYGTSITCVRAMDPTMDVMLAYMQNGGPILPDHGFPVRLIVPGYTAGRMVKWLRRIVVTTAESDNYYHHRDNRLFPSHVDAKLAEAEGWWYKPEYVINEMNINSVITTPANGDVLPINATTAESSYTVKGFAFSGAGKKVTRVELTLDGGETWLFCSVNHPERPTKYGKYWCWCFWSVDVEVADLLASKEIAVRAWDQSLNTQPEKLTWNLMGMMTNCWFRVKINVCRPRKGEIGMAFEHPVQPGPGNQSGGWMARQKHLDIPDPTATPATTTTTTSKETVVNSNATTSTKKFTMSEVHEHASRDSAWIVVHGHVYDCTPYLKDHPGGADSILLNAGTDCTDEFDAIHSDKATSLLSSYLIGDLVITTSGTGDSPDTNTTGDDKAITAPPVALSNPREKVSCRLVGKKELSRDVRLLRFALPSPDQVLGLPVGKHLFLCATIDGKLCMRAYTPTSSVDERGHFDLLVKVYFKDEHPKFPSGGRMTQYLDALHVGSYVDVKGPLGHVEYAGRGVFLVAGEERRKPVRRLAMVAGGSGITPIYQVIQAVLRDQPEDTTVMHLVYANRTEDDILLRDELDRWAAEFPDRLKVWYVISQVRRPETTRWRYSVGTVKEDVLREHLPEGDDDTLALACGPPLMIQHAVSPNLEKMKYKSDSVIVF >Dexi2B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:2B:5646587:5649212:1 gene:Dexi2B01G0006040 transcript:Dexi2B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRPPGGLRSDEATSMVVLMEELVEEILLRFPPTEPASLVRAALVCKPWCRLISGRRFSEVHRSPPMLGYFCNLYPDAGNPNRNKDAGPVWRPPWLAILLAPPLGVTDQALPALVGNTLYFMADMDDTILRYDLITQEASMVDNKAAKLIIGLSSAPMTIQDGGMAVGVSNSKMFLLSMVTNSPKGDISWKKIREIDLNNLSPVKDRLVNPDFFAFVPALGILVATLQELFCIDLKSDEVEVVCEGPLMGSIVPYRSFYVPALGSFAAAEGPGGDA >Dexi3B01G0035040.1:cds pep primary_assembly:Fonio_CM05836:3B:37678966:37681406:-1 gene:Dexi3B01G0035040 transcript:Dexi3B01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRGGAVSSPAYDISSASYVVSRCASASASAELGSSSAVSIWSRRPVRLDAFDVAAAGDEEERERRRRRRSGMAAAVAPDTTTTTRLGNIRRCVEGEQAAAGWPSWLSSVAAEAVQGWVPLRAESFEKLEKVGQGTYSSVFRARELATGRLVALKKVRFDSLEPESVRFMAREILILRRLRGHPNVVGLDGLITSRSSSSIYLVFEYLEHDLAGLTSSPDVSFSEPQGLAHCHAKGVMHRDIKCANLLVSNGGELKVADFGLANLYAPSSTTTAPLTSRVVTLWYRPPELLLGSTAYDPSVDLWSAGCVFAEMYARRPVLQGRTEVEQIHKIFKLCGSPPEEFWRRSGLAHAAVFRPQHPYPSRLREAFTAGESAMPDHAFRLLATLLALDPAGRGTAAAALDADYFTTAPYACEPASLPRYAAPNKEMDSKFREDSRRRSNVRSHGGGEAAVKRLSRGHKSMQLQDTNSSHVHAEESLPVVVAGDAAARNDGESRLFVDLQPVPAISKRHDGGGDRNVSSSFKEEPRLTDRLPLSGPVQLAASTGFAWAKKPRPDTTAAVTKRSGPKGAGSNNNVGGDAVRTTTTATAAAPYEAEKKEMIKQWAHVADAFSASEAYNNRFRQTMDEKQLKAGKKYKGKVDRVDFSGPLLSQPRRIEELLQNHEQQIRRAGRRSWFKKGAYS >Dexi2B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:2B:4709562:4713500:1 gene:Dexi2B01G0005030 transcript:Dexi2B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGEASAGGGGKVACAAWIRRRDEKAAAARVFAAYGRAGAAGSPPAVEVLGFDSKECYLSPEPLARAVLGEGGPGDAPRGIAVHPAGDELVCATAKGCRLFKLIFEEFVVRIIPRDAPPLESVGPQKCLAFSTDGAKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGAPLVNLTRSSDEKIKCCRFSRDGMKPFLFCTVAKGSKVVTVVWNISDWKRIGYKRLLGKPISTLSVSLDGKYLALGSHDGDFCAVDVKKMEVSHWSKKAHLGSPVTSIEFCPTERIVLSTSNQWGAELTKLNVPADWKEWQVWLVLVALFLASAVLFYMFYERSDSFWNFPMGRNQPAKPWSVLKESPPVPEDQTPW >Dexi4B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:4B:22609303:22614087:1 gene:Dexi4B01G0020400 transcript:Dexi4B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQVMLPLLALLAASARGVGAAGARPSEVAVGALFTYDSTIGRAAQLAIELAVDDVNADRTVLAGTQLKLITQDTNCSGFVGIIDALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVDYYQWKEVTAIFVDDDYGRVWAVARGIDQFLSSGQQINFSTDPKLHDSNGSTLQLSTLKLIGYWSNYSGLSVTAPEILYQKPPNTSSIAQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKELVSGGRGPDNVTGYCIDIFNAAIKLLPYPVPCQFITIGDGRKNPNYDDIIKMVAANSLDAAVGDFATVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLQPFTLEMWFTFSTMFFSHIQQLATGITGIDDLIASALPIGYQAGKFTRNYLIEELNIPESRLVPLNTIQEYADALKRGPKDGGVAAIVDEMPYVEIFLSYHCNFRVVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWFTRPSCSSDDSEVGATRLGLGSFWGLFLVCALICLFALVVFFIRVCWQYSQYSNSEATGEPITAAAVVAAAAADAAERQRRPSRLGSFKELIQFVDKKEEEVRKTMKRRSSEKDNQAAGSSDAQSGASV >Dexi1B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:1B:6888981:6894255:1 gene:Dexi1B01G0008230 transcript:Dexi1B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSTPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRPDAAPREIEKSPGSVRNVTWLHSDQTILSCCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHYGLVKSYNMPCAVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFSPVGESYASGSEDGTIRIWQLGPANSEDQEVATANGKTKVAANDVARKIEGFHIPKDGQAEG >Dexi2A01G0025530.1:cds pep primary_assembly:Fonio_CM05836:2A:37148988:37151093:1 gene:Dexi2A01G0025530 transcript:Dexi2A01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFFLVASMPIIQVLLIGVVGAYLSSGFSNVLTTSARRDMNKVVFTVFTPSLMFASLAKTVTLEDVISWWFMPVNIAITFIVGGILGWIACNILKPPKHFRGLIMSFCSAGNLGNLLLIIVPAVCDEDGNPFSKDRSVCRSRGLSYSSLSMALGGLFIWTYTYSLMQKSGKLYHRMQSKSIQCPADSDGEHSAQDAELAKEDGPAAYNDEEAPLPTSVGTDEHTDENPMETSLLSCQSDVSDKGFWTNLKDTVHQFVEELMAPPTISALIGFVVGLVPWLKSLIIGDGAPLKVLQDSLQLMGDGTIPCITLILGGNLTQGLRKSGLKRSVIITIVCIRFVILPLIGMAVVHAAYGVGFLSQDPLYRYVLMVQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLVAAVALTTWSTVFMSILS >Dexi3A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:3A:14124573:14125040:-1 gene:Dexi3A01G0018520 transcript:Dexi3A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEVKAAAWIAALAAMAVEMAVVRMAAWVVALLARVGRDVEVVLVMTVNCSSVMVGLSTAEASALIRGVEEVAVTAAGSGVASGREGFGIRR >Dexi2B01G0020960.1:cds pep primary_assembly:Fonio_CM05836:2B:30942575:30946858:-1 gene:Dexi2B01G0020960 transcript:Dexi2B01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKAKPRTPRKAQETSDVGPGDSASQDASHSVEEATASASGREHCGHYSRDSAHLDDVLLEILSSKHVASCEHCREDAPRKKGGAGGKEKGGKQKKKGGTSKGAAAKAQAKAEKSDIWVCLDCGRHFCGGGVEDTKPYGHARRHAKQDRHWWAARYDDPTVAYCLSCEKEVPIEMPKLETVVATAVDGKVVGAEDTDALGLVNSHANVIKGLPNLGNTCFFNAVLQSLLALEKLRRKMLSPEVPTGALAMSLKKLFAETSPSNHAGGALSPKNLFSSICSKYPQFRGYQMQDSHELLRCFLDGLRTEETEARKLAEEASDSGVPTIVDSIFGGQLSSTVSSTECSHSSVKHDQFLDLSLPVPSRKPPTKSVSSPPAKRTKQSIRDRNKSRRYGKIPARVSPSLESNKEQTETLAERNNAQIPGSELEQVVSEKEPEPSVCSESCASVSNQEKNTTSNVEDSVSWLDYLADADETKSEILDSADSTEGGQIWESKDAAHGPLYPQDNALPKDQILGSEHSGENTDDAASSLQPVILLPYKEFGTGSNETDEVLENSQNSECSVPPPVISRVETSSQPADGGEVEQDDYVGFGDMFNEPEVTSEVNKEAGKAEDIDVMAWSSNSADDEVDDRNAPVSVEGCLALYTEPEVLSEPWLCEECTNAARLKTNKTKNVVEMTNGSNEIKDGEEMMAGGGGRQDGEKLVMSCSKEDIDQVMTADGSKKDIDDCSDKVHSDMHLKEGGCADPAFSDPEQNCNGNFVDTENTIQRTGAVFTIDKTEQSNSQTDHKEQCVDLRRLELESSSLNKQQHNSDIQYNEGRDVDITAEATSAPLNCDSDSVSCSATKNLEAERVGGAEEVVLSSLPSGAQENLQNAKDNEDVITRNQGRRKRMKMIGKAQQVQDNQNKKKEDETKVFRAAMRRILISKAPPVLTINLNRFSQDSHGRYKKLKGHVRFKEMLDIQPFMDPRCKENNTTYRLVSVVEHMGTMTGGHYVAYVRAAKIGGRQQQNRGSQSWFYASDGHVRETSLEDVLNCEAYILFYERVGD >Dexi6A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:6A:11996896:12005911:-1 gene:Dexi6A01G0009930 transcript:Dexi6A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEPAAVASSTPQPLQGLSRRRTASADHQPNPSGAPTATLAGALARERVRRRGEVYAGPEEQEALPFWQKTWFLALLLAMAAASFALALLLYFGLDLPEAAPAQSYAADPDTVVEIVRPQPDSSAKQGDPITHGNIIRLQHMRTRKWLHSHLHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNLWLAAEGVYLPVIQRK >Dexi3A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:3A:11691750:11697439:1 gene:Dexi3A01G0015710 transcript:Dexi3A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFSKAEPPPPMVLVPPLFDYPPLAARTRFSASAPPTPLSELDDPHTFVDLLVSTSNPLLQLRACAYDTKYRIGAFGTLPLLMGNRVHSEDYGVMGLTASFYQHLVVQRRVKNPLEDDQVVGITNYIDFGLELVSRVDKDKATQDGSSLFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGLRVEDLRQASYQRADPNYVMLTPSKEHLAPGVLRDYGKRPMFQTLIDSGNYDHLPAELKPIGRIL >Dexi8A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:8A:7656999:7662332:1 gene:Dexi8A01G0007100 transcript:Dexi8A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHVGSNVVGTLVLRLKLGAKSSCTEPSNLGAKEVGAEPGDLTQRAALAPPSALLPPLLYLKRTEAPTVTAAHITIHCHRPHLPVPSAASEAGNGRLASHTRARSLASLGRAAWRACRAVPSLSLTFILPSPSAVLFKRTFPLLRDPDLRLLFLLLCCYSTSPSPALRAHHRREPVPPTPAIKFLGSNCCVFTGVRFTVLDTPPSSNDYTAPRLLPVRLRRTDCTASTDLPASNLYDYIEQGQPRDIMSSDGIPPAGNGATDASGKAVDEDAIYPWRWSCWQLQQGMAHLNYTPR >Dexi2B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:2B:1184005:1186272:1 gene:Dexi2B01G0001700 transcript:Dexi2B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVASAVAGDTVSRIISSLADRDNDESTENMERLEMAHIKMEAVLHMTEKWQIPNVLLLRWRSKLKRAAHECGDELHRCKQRVIEGEEIRQRVSQSSFPKRIAYATKSFISSITGSSNDESSSSTDVVRRFERFADGANEFLKFVEFSGTLRKYMFFNHLINNLLRGKSLRYRAFQGSKFYYLRIRPMSSPDRGVEAMWQSHQYGEVQRSWCPWQSSTKGIRFNYRTDMKSILGMSSAGLSITWECDTSRPSEATVGRTVTQGDVADYLGYKPFLTCHRVDGSSSGTDGNLMSLTWDVTAAARIRRVAGHSYDRETRASGVAERLRRDMEAAGD >Dexi9B01G0033820.1:cds pep primary_assembly:Fonio_CM05836:9B:35910301:35911218:-1 gene:Dexi9B01G0033820 transcript:Dexi9B01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLLRHAGLPRPVAPRRRLRVVAVALRTRPTSLAVPGLPPSPSPPSPLSATAPEPVLPSPPVAADAAAVLLAAGVPAADLRRAAGMCPELLSVPAETIAAALRFLTDEAGVPASDLPRVLRRRPRLLVSPVASRLRPTLYFLRALGVPDLHRRADLLSFSVEEKLLPRIEFLESSLGVPARAARSMARRFPALFGYGVEGNMRPKAEYLTGAMGRDAGEVVEFPEYFSYALGTRIAPRHEACAARGVRLPLPAMLRPGEAKFRATLDGCVGSTPPRRRSPLWHATWVDEFDDGETAKEEPAAA >Dexi8A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:8A:22794520:22799106:-1 gene:Dexi8A01G0012920 transcript:Dexi8A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVAVRLRAPAPALLAPAARPGSRLRATASGPRGGGGVTRRAVASEKPPAVAEKAGGRGGEEGEDCTYDAIVIGSGIGGLVAATQLAAKGARVLVLEKYLIPGGSSGYYRRDGFTFDVGSSVMFGFSDKVSQSLNLVVRGARGVRXXXXXXXXATQLAAKGARVLVLEKYLIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGMTYVVVQGNLNLITQALEAVGRKMEVLPDPSTVHFHLPGDLSVLVHRKYEDFINELISKFPHEKEGILKFYGICWKIFNSLNSLELKSLEEPLYLFGQFFKKPLECLTLAYYLPQNAGDIARKFIKDQKLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIATSLADGLVEKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKDKDLPEEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDDWNNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKEYEDKKEVVANEIIRRLEKKLFPGLRDSIVLKEVSSCLPYIEVPASQVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGKFSFY >Dexi3B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:3B:5455888:5456844:1 gene:Dexi3B01G0007740 transcript:Dexi3B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKPPPSPRIGAGMVTPSKPSPPSPVARRQHALRRRRHSPATTTKRRGSPLKSLATATAAVGATFDRSLRSCRRRLLKLFARLAVLGSPRKRRAVAAGFQRLRPTSAPATPPPPPSRSFAVRAQSAALPPPPSLGRRTLFLDLDETLIHSQTDPPPPQFDFIVRPVIGGQAVTFYVAKRPGVEEFLRKAAESFEVVVFTAGLQEYASLVLDRLDPDGVVFAHRLYRGACRDAGDGKLVKDLAATGRALDRAVIVDDNPNAYALQPENAIPVTPFVDDDNDQELQRVLAFLAVAAEYEDTREAITYYKDLVTAS >Dexi3A01G0028690.1:cds pep primary_assembly:Fonio_CM05836:3A:29560628:29562111:1 gene:Dexi3A01G0028690 transcript:Dexi3A01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVATNGSEVLAAPAAVPAAAVFTGLKVQVTVPAGRAEEAVAFYKAAFAAEEVSRSTHPKRKGDGEEAALLCAELKVGAATLVVCDQAGDDVPAVGKEGAAASGLVLRLETDDVNTAVAQAATAGAALQGEVTEDCCGLGATLVDPFGITWVLGSSTSAKKCA >Dexi6A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:6A:25398991:25400314:-1 gene:Dexi6A01G0017540 transcript:Dexi6A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKSNKEPNKSARNKTKGKKGTKKKQHLNVIHTEAQYADEIDQQHDNEIDEAPGIDTKFLYPRSCEKWILKSIGRDWRKYKATLKKNLFNPKKKRSTLYKLCPSDIDEDQWKGIIRYWKSTEGKRQADPTKRPPHRATVYLATHRKRVNGATDPTV >Dexi6A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:6A:26804635:26812521:-1 gene:Dexi6A01G0019140 transcript:Dexi6A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRARRWLQPAQKKRGESHLAVDQVLLGLLKDSQISDFLKEAGVSVVRVRAKLEKHHGRGGRSFESSRAHAMKKHHWVAVLYDDAERGYCFNCNAEVEMPVEFEVDGHVIGIDVIREVVRWLPDTQDKLRARMIVLRTHPQFLGVMEHTWPMLEGTRNKNMCNHIPTDSALKEILSSLMPVMGDTRPRAWDAGESSRDAKTPRLDLLAATALGVDDSGWEQWTEVTGNSDRCSHVPTDNAHKEILGSSLLSDDAGECADCQRGEEPGKCRSVNSPILVCLECGRQSCVDSDNYVPFGHAQDHAKKEHHWVAAMFAGPQAGFCFRCGFEVPVYPEQEEMSGEIQAGGGAFGSDGYPDLVSGLLNFGDTWYGHEFRSANVQGYAIRGIRNRENTCYVNAILQCLLMLDKLRGRMLGSDAPLGQLGLALKELFVEASAADAVGSMLDADKFLRSIRVYADKYQAYKMHDSYELLESFCNALHNEENEIETPNRKRGDPTVIDSIFRGELSYTRSCVDCGSSSVVHEHFCELSLPLTAAERSSRSSAVPETSGSLKSQPKNIATQLIPANEKSTSEKIQAVPESGDSHILCSEMKDDVVEETPEPLEVGEFTHSCTHSKVLTTSFGDVLDHIILEELNSRSCGSH >Dexi4A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:4A:3498704:3499912:1 gene:Dexi4A01G0004950 transcript:Dexi4A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALSFIHLPPNPAPLHAFRMHRAQQPHPHLSLRTRRASVAAAATEPENPSTSVDVEMVRGRDGVWTARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSISAFANRHAFSHLPAWVTAERRDRRALDRAERAGVAAPSVPYSCGVCGRRFPTRPDLTRHFRQLHERERNKKLSRLRSLKGKKRQKFRERFISGNTKYEDTARELLTPKVGYGLASELRRAGVQVRTVSDKPQAADHALKRQVKHSLACGVDWMVLVSDDSDFTDTVRNARAADLRTVVVGDGCRVLGKVADIWLPWDRVENGEVDEEMLRSCTLPQFREDQEDEQGEEFIFDWDASGLDGVVDDIVGVRTSLLGAATISAFADEDVTDGIFGVELNGDDMFWSSDDEEEDGYL >Dexi7B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:7B:18251215:18254551:1 gene:Dexi7B01G0011140 transcript:Dexi7B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDHMIWETASSNGMTLDLGRSARHQLVEEDEDLGAEATMGSERSFRAPNARTASYRKSSAVRIRGLNPPRNKQVHRVRQDVHRKSLDSNHSNHSSIRQLANNMVNNLDAEKEEEEVNSYERPNFALPDKDDEEVKMPSYSKFRTKSSAAMSRVGSPCRVKALLKSASLVPYSSRFKVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSAATEVSKSFLGRYALSEVGIKKLRHALKILSDTEKQLRTSRNQATWVTVALLQFGTTESNIVAEPNDMHAHSVTGYTELAIAEVGFGQPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVACPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYIMQRVDSKPSVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGVSEQGRFDSTQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDL >Dexi3B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:3B:9665802:9666892:1 gene:Dexi3B01G0013550 transcript:Dexi3B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTGVVRERGAEAVVVEEDGVEGAVEELPRDGALEVVEPEVEELERREVEHDGGERADEAVVAEVELDEEAEPAEGRGDEAAEAVGVEVEQREVGEEAELRGEVPRDVAVVEVDAGDGELPGAGHPPRQRRAVDAGVVADPRAHPVGGEVAWVGEDGLLLPRLQRDVGVPQPLAREPP >Dexi9B01G0037040.1:cds pep primary_assembly:Fonio_CM05836:9B:38521446:38523907:-1 gene:Dexi9B01G0037040 transcript:Dexi9B01G0037040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRALDVEEEVVDGDEEELATCPDAKRRRTFIKLPQLKTSNKRYKLQFQGNLPQTLFTGNRVEAESKQPLRIVLTDAATNQTVTSSPLSSMKVELLVLDGDFNADERLEHTEKEFSESVVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCIHEVVGVIVGANCFTLSALTPTQKALVAKLQQDAYKFPDRIAEFKVQQQTPQDAASDDQHPPASAAVQHAPVHVPAASAQAEAVLGLPHLPAAHDGGGLMLSPLLLQQQQTISGEAALEDVLQSAGAAAATQQLVGGEPWFVPSFVGAGAGGFDARDPFDVQFSGSQPCGLLLSSTGARL >Dexi8B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:8B:3372751:3373035:1 gene:Dexi8B01G0003870 transcript:Dexi8B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPVAWVKDSVMDCEELWALPCYEGIPRLHLQSPIVSLDNPDVVWFRVVSYKKKKAWMIQIDIRRKEILATVVQSVEKKPLLFTLVSTK >Dexi1B01G0027870.1:cds pep primary_assembly:Fonio_CM05836:1B:32510581:32511690:-1 gene:Dexi1B01G0027870 transcript:Dexi1B01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMISTMPMVDAAPAVSAIMSKLQQSSPSCLDTPPASGITVVSRQHVRPDAPSATGDLTLSVSDLPMLSCHYIQKGLFFPAPDLPMSSLVSLLESSLSRALAVVPALAGRLVTLPDDRIVIRCNDAGVDFLHAVAPDLTLDDFLVPDADVPTRLTKDLFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAAICRGEAPKLLDFRRNFFGDSTAVLRFPGGVGPAVTFDVDAPLRERVFHFSADAIREMKAIANNRRGGHHDDAEVYGKMAHDPKNTEISSFQSLCAQIWLAVTRARKRLAPDATTTFRMAVNCRHRLRPAISPVYFGNAIQSAVTTATVSELARLNF >Dexi3B01G0027930.1:cds pep primary_assembly:Fonio_CM05836:3B:23732295:23733941:-1 gene:Dexi3B01G0027930 transcript:Dexi3B01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHACERLELELDAADRSREVASSASPGLTAREFAAPRAGRSSPCVRVVKGKKRAMRGEREDRRGRLPLAAPPPEQAVAARSPCRAAAGAGHHGRTALYDGEWTARGLQGPTGGSEPSMTQRAGARRSRRWWASANPASLGLLMLADEHLSEQTTVYFYVAKANDFGKVDHSIAESFAQRGRMCITAIYDVARVFLFMRPTLVSPPNHRAPARNWAGDEIAGGSSGDGDETGRRRDREGNGGEGDGDETEVAEPIEERAKLSSS >Dexi5B01G0030800.1:cds pep primary_assembly:Fonio_CM05836:5B:31640133:31643339:-1 gene:Dexi5B01G0030800 transcript:Dexi5B01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQGQSTETLVAVAVAVFAVTAGGAFLLLRSRKPKGCLDPENFRNFKLVEKKQISHNVAKFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPIDSTKVHLIYANVTYEDILLKEELDNMAKTYPDRFKIYYVLNQPPENWNGGVGFVSKEMIQSHCPAPADDIQILRCGPPPMNKAMAAHLEELNYTKEMQFQF >Dexi5A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:5A:25463971:25466780:1 gene:Dexi5A01G0021680 transcript:Dexi5A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEARQERRRAATWVPGAIIVGAGPSGLAAAACLAARGVPATVLEMADSLASTWRHRTYDRLTLHLPKRFCELPLLPFPEAYPSYPSKDQFVAYMESYAAASGVAPRFGARVEVAAFDAAAGAWVVRLAGGELLMARWLVVATGENAVPRKPELFSGGAARFGGPVVHTYEYKSGEEFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMFGLSTFGIAMALLKVFSVQVVDRILLTAARLTLGDTGKLGLRRPKTGPIELKNLTGRTPVLDVGTLGHIKTGKIKVVGAVKEVTPRGVRFADGKEEQFDAIILATGYRSNVPSWLKDGGDVFTSEGMPKIAFPNGWRGKNGLYTVGFSQRGLLGASSDALNIARDIHCQWKDMSRPANSDALQSDNTV >Dexi9B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:9B:4732934:4734610:-1 gene:Dexi9B01G0007730 transcript:Dexi9B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPPRPRVMVLPFPAQGHVMPLMELSHLLVEHGFDVVFVNTDFNHARILEAMAGGEAAPPASGIELVSFPDGMGPDGDRTDVGKLLEGLPAAMLGGLEETIRSRKIRWVVADVSMSFVLELVPTVGVRVALFSTFSAAIFALRMHVPKLMQDGIIDETGTVKRSERIQLSPKMPAISATELPWFSLGKSPESRRALIQSMIKNNPTLRSAKTIVCNTFQEIENGVLPLLPIPALAIGPLEAPKSTSTGAHFWAQDESCLPWLDAQAPVSVIYVAFGSLTVFDAERLQELADGLLLTRRPFLWVVRPNFAAGVDDGWLDEFRRRADGKGLVVGWAPQQRVLSHPSVGCFVSHCGWNSTMEGVPHGVPFLCWPYFADQFLNQSYICDLWGIGLRICADERGVVTKEEIRDKVARLLEDEEIKARALSLKSAACASVAEGGSSYQDLLKLVNLLREV >Dexi8B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:8B:10417190:10421565:1 gene:Dexi8B01G0008020 transcript:Dexi8B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLSSRYEIPRTAEFLRAGSYTRVALQFPDEMLKDAASVTRALRRELASPSSGSVCGVRLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSTTSNLPAFFVFGKAPLDVHACACSMVECSRKSNKHILVSNKNISFREKPCFDLVRYDPVENRLSTDVSQLLKVLRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKELIKASGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALIDSKEFLAPVITPFEAVLAFGRGREWTGEYLLDFKDLITSEKQEVASATDEARFSFIKGGYVEDNSAEENIVEESETPLALVEVTEKALSIQNQYNDAILYQGKAISSIDYLKARSYRGLTGEYDDPAPDSILVGRTGRAARYNDEKLQSGQ >Dexi3A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:3A:6342296:6343547:1 gene:Dexi3A01G0009120 transcript:Dexi3A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRCSARRGKYLAADVDGLGVCLTSQRSVHNVVWAVHHAPGPDGGPCVLLRGAYGRYLIATSVQAGTGPTHGVLTTQDDLAHAPPPPGMLWQAIPRRSTFVMRSGTGRYLRANGRYLRWRRAVTSAGDNGSTMMQWDIENVPIRMSRPCILDPVCQADDGQLTHPRRRPLTESEVARQIRFVRGEINGDVNEGAWRTMRLNTHNLMQLRLTLACRLGASRDVTRTTLCIRAGRFGHLSPLLVDLPIGNNRIDIVILNHGTQADNDLRYPDLSVPSRE >Dexi9A01G0043200.1:cds pep primary_assembly:Fonio_CM05836:9A:46706664:46710852:1 gene:Dexi9A01G0043200 transcript:Dexi9A01G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSGPREQRAMLPLLLVVVVVFVFVWLPGRCIAQGGQLTRGSFPKGFVFGTAAAAYQYEGAVKVDGRGQTIWDTFAHTFGKISDFSNADVAVDQYHRFQAGIDHYNKPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAEICFKEFGDRVKHWITINEPHTVAVQGYDAGLHAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHATVADIYRRKYKTMRKRVAERLPKFTADESALVKGALDFMGINHYTTFYTRNNNTNIIGKLLNDTLADTGTVSLRQFHLAVHCTERDEEADELCQGEV >Dexi2A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:2A:32888470:32890844:-1 gene:Dexi2A01G0020710 transcript:Dexi2A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAGVAERLRDRTVLITGATGFIAKLLVEKTLRLQPPVRRMYLLVRAGDQASATERVRSEIMQLQIFRPLREKYQEHFSSWFWDKVYPVAGDVSLKNLGIGDAVLAEDILRETDIIVHMAAAVNFRERYDTALAVNTMGVKHVIEIASRCTKLELLLVSTAYVNGKESGIMLEKPLHQYRSYDGQSDLDISGEIALAEAKLKELVCRSASEDNIRRAMKKIGIQRTIDTWVTNYGKGILKVPVDIVVNAMLCIISYHPRDHSGLIYHIGSSIRNPLKIGDLIHIMLRYFSEKPFVGADGEGIKLKQLIMPATMASFYEHMDIHYKLPLQDMARHGLLTTDEYDRYNHLKREYDFTMGVADVFHPSTLFKRRFDDTNMQRLITMMNLRDRELVLCDTKLINWEKYLMEIHIPGVMDCESREPTRARL >Dexi3A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:3A:15772279:15776344:1 gene:Dexi3A01G0019880 transcript:Dexi3A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGAGATDEIPDVEMEVVERPPEEPGRVGSKRVWDSPSALGSYGFYGVTTAEKKKLNKAGNVNHVHMNSDDASKVRSRYLHGTHGLESPDSDALRFSSSSPGENPENKTKRATAICTSYAQGRCNKGNSCTFLHAREGPGSAKAGLLAPASSEIHRGSEEASQVHHQSNLKVPQFKDAEGSSKDELYRSLIHVYGEDNERHMLTGIVQPEIRDSKCRITAPLRLELALGVDGSLSLMPHQSG >Dexi3A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:3A:13679567:13682441:-1 gene:Dexi3A01G0017950 transcript:Dexi3A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNETQQQSPDEAAAAGPAAPAARRSIPEEAWLLLHELAAEWEDVAGGGLQEPEVVPLKGAMTNEVYEARWPTGGGGGGEREERKVLVRVYREGVEVFFDREVEVRTFECMSRHGHGPRLLGRFRNGRVEEFIHARTLSAADLRDPEISAIIASKLREFHNLDMPGSKSVFIWERLRNWLKTAKSLCSSDEAKEFGLDSMEDEVTALENEFSGECECVGFCHNDLQYGNIMIDEETKLLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYTKYPDTNERRQFVHTYLSSSDEECDTEEVENLIKSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWLKKTKILTSQTAE >Dexi3A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:3A:6685467:6688213:1 gene:Dexi3A01G0009570 transcript:Dexi3A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEETGGGDGATTAAVSAAPVRDIRRYKCEFCDVVRSKKCLIRAHVLQHHKDEVDGLEDYLEGGDGVSRKERPFACHVEGCPLRYSRKDHLNRHLLTHQGKLFVCPIEGCDLKLDYTEVICCEPGCMKAFTNVECLKAHNQSCHQHVQCDKSNLDKHVKAVHKQHRPFTCQFSGCGKKFSYKHVRDNHEKSSAHVHTEGDFVEADEHRQLSAGGRKRKSISVETLMRKRVAAPDDEPAHVDGTEYLRWLLSS >Dexi1A01G0026270.1:cds pep primary_assembly:Fonio_CM05836:1A:32232223:32232687:1 gene:Dexi1A01G0026270 transcript:Dexi1A01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTLSVLLLVAGVVAMLVLHILIVFWALRRGAVASQPNEEMSAAVEAGLSAEDLDAMPCHEHAGSKAGAGGECAVCLEAFQDGDRCRALPGCEHRFHAQCVDPWLRKSRVCPFCRAEVVAVGRGKAASKVAGDGEAEASSEVVAERQGGADR >Dexi4B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:4B:19281549:19285535:-1 gene:Dexi4B01G0017210 transcript:Dexi4B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSPPQQELPTTGGEIQAALIPAGAAGPAAPASSAAGRGGGGSGGSFTALLGLPTSQAMELLLPRATGAPPPPPAPASAPAPAPAPTFPSDPHLVDRAARFSAFASASPSPPSPPPPPAPPAGKRKPDPADRASKGKSAKKGKTAEEKPAGGDGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRIAGLNCKNGIDLEQVTWSEMGVHGARHLMQLQQQFWHGDLAHPHQAASQWEKRVDGHLPVFSSSSPSLFGYDLTSSGKIACNSITPS >Dexi3A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:3A:4728075:4729780:1 gene:Dexi3A01G0006980 transcript:Dexi3A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLYRREEIACPNAAVSPFVGCMLIEAGREEMARRHLDIVFQPTPPPKQSLLSRLVRLAAGDEPVTESYSVLGFPLGFSTRRSGKFDSFCKTCESRFDVPHPGVQKKSECGHEHVQKVCEMCYHLSRVLHPSHGEFAFGYRDPYHPYPTKH >Dexi8A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:8A:23917527:23918583:1 gene:Dexi8A01G0013730 transcript:Dexi8A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLGYNTIASLSPLPPLPATSLSPRRRRRLRRSGGAQRHPPSRQVLSAPMKRSEAAVVCAAAPVQLQTTSFHIEDGGVLFLGLPDRQGAKDSSCETPDLLARVVRHKMARGKLLGVVDEAMAPVDEGEVKVPRWQLGPGGRRRGDEGCWAAASSIHGDRGADRGHRCNRD >Dexi5A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:5A:8179825:8180582:1 gene:Dexi5A01G0010910 transcript:Dexi5A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVIKADLVDIKFKSKILAIVSKLQGIKSLDIDAEKCTLTVVGTVDPVRIVQKLKKKCFAASIVSVEDDKPKKKEPCKEACEKLCKERCEKVTCCKECKEKCEKECKDRCEKACDAWLGKGCCSGGGCCKPSPVCSYDPCPAPSYPYYGSYGYGCPSNYPAYYACYEGRSPDGACAIQ >DexiUA01G0018620.1:cds pep primary_assembly:Fonio_CM05836:UA:39403278:39403550:1 gene:DexiUA01G0018620 transcript:DexiUA01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDWGSLDGVVVVGSGTARAALKTRRTWTDESDGGRREGMGRPLPAPAPSGGSPSTHFPLRFVLASQLQMDRRYARLPHPSRGQSHGCG >Dexi9A01G0024710.1:cds pep primary_assembly:Fonio_CM05836:9A:21341967:21342407:1 gene:Dexi9A01G0024710 transcript:Dexi9A01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRASPDTAAALHDQGAFRATAERRSGCGAARAGTKRRAVELNPAIGGPCSSRLLASVAQMEAAAADAWSSPGAVPHGRRPSLGWMELPPRMPACCSTPSPRRAGTAGLAGDGGRATPRLEEQAPRRSQGGGGQPLLARALARD >Dexi9B01G0043330.1:cds pep primary_assembly:Fonio_CM05836:9B:43296841:43297461:1 gene:Dexi9B01G0043330 transcript:Dexi9B01G0043330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIGEDTGTTRQIVVVVVALAATRAAPAAPWWRETSAPGSAVPSIAPTLTRLSPAPSGVLTSKGETVNQFCPAAASRRTAAGTNTWSEPVMD >Dexi4A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:4A:11693324:11693721:-1 gene:Dexi4A01G0012830 transcript:Dexi4A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKAKVEDAASSAKAGTEKAKATAGEKMEKATTRDPMKKREAEERKEDRKLEIESDKRVEKGSHGPEKTVTHTVEE >Dexi9B01G0043770.1:cds pep primary_assembly:Fonio_CM05836:9B:43718040:43721841:1 gene:Dexi9B01G0043770 transcript:Dexi9B01G0043770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGPSEIESEYRYDTTELVHEAKDGASRWVVRPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDIVFGGWDISNLNLADSMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANHVVKGTKKEQVDQIIKDIRYSNVIAGLNDTMENLLASVEKNESEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >Dexi7A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:7A:3838234:3839490:-1 gene:Dexi7A01G0001520 transcript:Dexi7A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTVTRSSSPALVVPSDPTPAGEIRLTTTDKAWLFVSFTSLQVFARPIHQPAETIRRALSHALVHYYPIAGRVAGDGDDAKLVCNGKGVAFVSAAASCSLRDAGLLDVPLEKGISLIDLTPTYGGRCAVSDPLMMMQVTEFACGGYVVGVAWNHGAMDGVGLAQFLTAVGEVTRGLPSPSVVSVRYDESLPDIPQPLFAALRRPLGGLKPVNFAFCDVTIPQTFIDAVKGEFDVAGGRSCTVFEVVTAAIWRCRIRAINAEPGTPAPLVFAANVRRHLGAKEGYYGNCFTSQLVTAASGVVANGTVSDVVKLISDAKERIPESLTSAGTEMATLDVGALCGYNALFVSTWGGIGMDAVDFGGGPAVRLVPKRERTVVPSCFPCLPGQGTERNGAHAVAFCVMQEHVEEFRAQLASLC >Dexi8B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:8B:4405369:4406073:1 gene:Dexi8B01G0004730 transcript:Dexi8B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMARWEMDGVLDAAQLWALDGYKGLPRVELYYPVVSMDDPHVISFVVCGMPHQENGRDEEDRVIGNTTWRIVVDMRSKTLTSVVCDPEGVSYYHLMPSRVSSYFNSEPSSSMSDPALARLINRTSKKIKLEINNTLEPVVRPACESSSELMETTRADSREAKILAALQEIPGLDRDDMLKAYRILTHDDSGRRFRSLMGLPMNLRKDYMLMDIKASEGCVVCSSCSDQLQL >Dexi7A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:7A:19903138:19906019:1 gene:Dexi7A01G0008680 transcript:Dexi7A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRAPLLLALLGVAALAGGANAAGRKMVGVYELSKGDFSIKVTNWGATLTSVVLPDSKGESRAPAQRPFFLALCFFLPRIHGVRNLADVILGYDTIADLLSESLADQNGTAYFGALVGRVANRVANARFVLDGKAYHLYANDGKNALHGGKRGFSKVIWTVKEYVSGGDCPYITLYYHSFDGEEGFPGALDVYVTYRLSSPYELSIHMNATALDKATPVNLVNHAYWNLAGQGRGDILGDTVQIFASRYTPVDATLIPTGAVVPVAGTPYDFRRPTPVGARIRDVYAGKAGVYGYDTNFAVDGDAGAMRRVAVVRASGASGRGMELWANQPGVQFYTSNFLDGVKGKGGSVYGQYAALCLETQGFPDAVNHPNFPSQIVRPGGVYRHDMVFKFSF >Dexi5A01G0021780.1:cds pep primary_assembly:Fonio_CM05836:5A:25625120:25627815:1 gene:Dexi5A01G0021780 transcript:Dexi5A01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQVVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFSTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVEAVALKPPEVQIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Dexi7A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:7A:22328129:22339306:-1 gene:Dexi7A01G0011980 transcript:Dexi7A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALEAAARRGLTAAEVTALVDTCMDLTRDANFRIAQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVRDAARQLLITLMEVLQLMNDSNQSVRDAAITCIELKEINYRLDKIEPKVRSSDTALQYKAPESRSVSANPRRGSPRTKSIPRESTLFGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNILSKELLGDFEPCAEQFILMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEIINDEDGGVHKSAGVDPPSSRDPPVPLAAPASNVLSLQNSALLDSSLPGITTASSRNGGSRLLDTMTTHMAAKERSRSPYLSNMSSESMSGLSLSYSRRSSERLQEGGRMDESYDIRSTRRIPQMQMEKNYGDMSYRDATHRDSHNNHVPNFQRPLLRKQVMSRASVSGRHSFDDSHVPSGDVSGYTDSLASLNDALSEGLSPSSDWVARVSAFEFIRTLLQQGQKGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFTTLAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSKTLDIVGQTYAIDMLLPALVRSLDEQRSPKAKLAVLEFSNKSFSKYTVDSDGYSNSGFLKLWLSKLAPLVNEKNAKLREASISGIIAVYSHFDSTAVLNFILGLSVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSDDGYALTSKKSYPFGRYSSSSLDAEGGKKISTMQESAPHNVSIGRTTSDMSMEHAIQSLEPSTGTEIHLSRSREPKHSSGSVVEPSRSWTNYPEKTDASLDGETASGTPRLDFSRFLTSDGPNNTVGSTTEGSVQEGDVTVSLSSIKTSLHADNGLSIPQLLHQISDTEVSSLEKREALQQLVDASLDNNSSIWAKYFNQILTTVLEVLDDADSSTRELALSLIAEMLNNQKDAIEDSIEIVLEKLLHVTKDVVAKISNEANQCLNVLLAKYDPFRCLAVIVPLLVSDDEKILVVCINCLTKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGKPIDSNQ >Dexi4A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:4A:20008735:20017996:1 gene:Dexi4A01G0016370 transcript:Dexi4A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding RESASPKDPASPRVVISESHVTMRALLSRIHPPAAISTASFLSASPATSKTLAPTPTLVALRLARRFAAMAASAAEEFVKGRVFPNGVAVITLDRPKALNAMNLGELPPSLTCSSCPIGCESRIANIFGSGMITEMDIRYKALLDEWETNPSIKCILVESSSPRAFSAGMDIKGVAAEIQKDKSTPLVQKVFTVVICGDVKRLANDCRMPEIIERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGAYLGITGKRISSPADALFIGLGTHYVPSGNLGSLKESLLSANFPDKSVAESVEELKKCSQSGDTAVAEWANEALAGIKKGAPFSLCLTQRHFSQVASAYGNSDHYLSKLAGVMKLEYRIALRSSIRNDFVEGVRAVLVDKDQASYPYGYVFRKLLWVSNCQDELAPVADLAYWHLTKTGAECRLARSPRPQHGYYWVLATVASASTCRRIRTKATEPSRGGCPTSPASASAPPPTATA >Dexi8A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:8A:2036079:2037584:1 gene:Dexi8A01G0002970 transcript:Dexi8A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVGVPAIAAVVVTAVLYVASAALVVVGELTTQLQNGLTATHAASATSAFEPVLYAPNGVFAFGFLRVGDASLDLAVVHLPSSFPLWRATPARLGDWSRPATLTFDTTLVLTDEDSGVLWQTLNTVGDVVVLLNSSNLILRRYDDDSNVPPWQSFEHPSDTLVVDQNFTGSSPPLISNNRRFAFRLGKTFMALHMEFYGGKTTPMYWHHTALEAHPENATEPPVYGRLDVRGFFGLYLAGGEQKVDTLSFDTFLQNLTGEVFRRMTMDDDGNLRAYYWTDGAKDWISDYRAIAGDDRCELPTSCGAYGLCVPGGAQCQCLDNATSVTPPTCHAGEETTDLCSGDGTQQVEFDVVRRTRVSVAYKDELSPETNKTEAECEAACAGNCSCWGAVYNGASGYCYLIDFPVETMVYEADDRKVGYFKVRKLPSSKRSRMSPGVAATTAVLSLVLVVLVAAGAWFGYRLWERRWRGRAGTMEQELVPGRYKDLKSMGSSNDSFK >Dexi9B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:9B:2811416:2813612:-1 gene:Dexi9B01G0004910 transcript:Dexi9B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYPRRGAAFRRPKSSASAAVADRKRKRTAVVKTASLKNQIRSTERFLRKDLPDDIRIAQEKKLEELKRQQELQNQLAVQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNGDDVSNKLSRLREDLEYVRFFPKNEKYVSLFTGGNLEVVEERSKWRKQIKESLMAAAASGKDLEGNQLPVLQVGQHLVCQAMKRTSAREMPEFLCHHLAPWLQIEPDLQINV >Dexi4A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:4A:17466372:17470018:-1 gene:Dexi4A01G0014950 transcript:Dexi4A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGEKVRQAMTKAGGKFWWEADVEALGEAELPEFARALRRLRDNVQRHVDLIFKMTEPSSSYSLSSKKRPRSPNDNGEHPLDRDQICPSLEDNLTFNDTMIALQLMRTQFPKLEKSFKKDKMLRIFKLSSGQDDHAIMFLDDYLKQVAFAIKRSGGKEQDGSEVFDWFEKYVIPSKLDVSINQLQLCSLLSQGGDVTDKHITLLMNAGLLTRQLIDPNIYWFSIPRIGPILKGLSQGRKEILSLLNRKKYKEMLLSSLEKTKLRLSPLDTRFLIRDLIGSGQIKTVQTPTGLLARITRD >Dexi3B01G0028010.1:cds pep primary_assembly:Fonio_CM05836:3B:23835590:23836149:1 gene:Dexi3B01G0028010 transcript:Dexi3B01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDDGEPTTPCTAGSTLTWTGGYFHGPRAHQHLVLLRLLTGAGGGAGLPFKIRSQDAFGATVLAEGTDATGALYWVHAWTVGATVCYASPPHHSKGATAM >Dexi2B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:2B:30505969:30509993:1 gene:Dexi2B01G0020460 transcript:Dexi2B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRDGGSMAEVHSGLYHHPPLMTMDGIEARARELGIDLYSVDLDTITLPAGEDSSILSDDDDDDEILNNENLPELEMGYANTIVVDNLPVIHATKSHLCTFVLTFQEAELAKEEGNGRKLDKSHSLVVNIFDDFERYKKVPDEWMPPEIKPYTRGENLQRWITDEKARDQFVIRLVHLQKFTGMVQDNWCLSLSIKSRQGSQVWGGTDKFERLMRFAHPQVKLIDFSPGERYLVTCSCHEPSNPRDTNRVVLNIFDVRTGKLMRGFKGTTDEFTTGGDIGFSGVPWPFFRWGGGKDDKYFARLGKNVISVYETDTFSLIDKKSLKVESVLDFCWSPTDPIIALFVPEMGGGNQPARVCLVDIPGKEELRQKNLFGVSDCKMYWQNNGEYLAVQVDRYTKTNKTTYSGFELFRIKEKDIPIEVLELDNKSTRVIAFAWEPNGHRFAVIHGDDHRPDISFYSMQTANNPCRVSKLITLKSKEANALYWCPSGRFILLAGLKGFNGKLEFYNVDALETMSTTDHLMATDTMWDPTGRYVATAITSVHEMENGFHIWSFNGKLLYKVLKDNFFQFLWRPRPQSLLASEKEEEISRNLKKYSKRYEQEDQVTFNQLSELQHKKQKQLQEEWDAWVAKWKQQHKEERELRVELRDGEASDEKQAYEAKEVEMDEVVEIKEEVVTFELGQE >Dexi4A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:4A:6253744:6257881:-1 gene:Dexi4A01G0008310 transcript:Dexi4A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACASTAALPRELWDKLGEKEGTRISPIPLVEHPHFFSAVNGMSKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLRSVVLNKYVSAANGGGSNVTVDRDVASTWETFRLWRVSENEFQLRCLGGEFLTSNSEDGFITATAMEPLSTETFYIERNDGRVHIKLLNGGYVQATNDHLLISTYQLQPGWDNNLATFELVIVANNLHGDYQLANGYGYQKAKMVLEEHRTSFITARDFDFLSRHGINAVRIPVGWWISQDPYPPSPFIGGSLAALDLAFTWAKSYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPEYVSQTLEVIDFLATRYGGHPSLLGIELLNEPSAATVPLDVLVSYYMRGYQIVRNHSSTAYVILCQRIGNADPIELFQAGIGLSNVVVDLHYYNLFDPYFSSLNSTQNIEIIYKVRAPQLQALKDANGPLVFIGEWVNEWDVQNASQWSIRAEVSKLPSPASIWMGNILCHHAKITWNILEDIPRALLFLL >Dexi3B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:3B:1091169:1092986:1 gene:Dexi3B01G0001560 transcript:Dexi3B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYARLRAAASASAAGSSSPLLILPSAADADSLCAVRALAHVLSADSIRFSIYPVASAAAARDLLASFSSSSCLLLVNWGAHRDLCGILPPAATAFVVDSHRPVHLHNLCARNDRVVVLFTADDEQTADLSYDFDLSALADASDLDAEGDADDHLRVTGDASDSDASDSDSDGDGGGGRRKRRRLSDDAEAEGGDPVRLFAKLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDLSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNRLCHPQALTKFCFFLMDALKERGARVKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIWLTEKL >Dexi4B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:4B:11146070:11147233:-1 gene:Dexi4B01G0013020 transcript:Dexi4B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSKLFALLRKSRALATGGTTAAIAVTASATAATANGMEEAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGAELMDRCRAQSLRFGTKILTETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGADGGPLAGVKVKNVVSGEVTDLQVAGLFFAIGHEPATKFLAGQLDLDSDGYVVTKPGSTHTSVKGVFAAGDVQDKNI >Dexi9A01G0048330.1:cds pep primary_assembly:Fonio_CM05836:9A:51119158:51122746:-1 gene:Dexi9A01G0048330 transcript:Dexi9A01G0048330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCPNGTLGSDYYNRPASRFADGYLEEDRYSDLKKFEKPWPEVNSFKPTAAGILKRGLDPTSITVLERKTSDLREHYIIGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSEQKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKEDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPKVSESAKNLIRKMLCPCPSERLKAQEVLRKYSSILTYVIAERLSEEEIAGLRQMFKAVDVKNRGVITLGELREGLRRYGTELEDREISDLVEVADKDNDVTIKYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDTFLEEIILEVDQNNVSISAEHFGYLNISTSFHILNGVDGQIDYAEFVAMMQGNKVGLGWQPMETTLNVTLRDAPQVH >Dexi9B01G0038130.1:cds pep primary_assembly:Fonio_CM05836:9B:39303551:39305610:1 gene:Dexi9B01G0038130 transcript:Dexi9B01G0038130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAMQCRVNGGDGEGGGGMRTVECLRGRLLAERVASKAAKEEADQLAKRLDELEKKLADEVKVRNKAERRLRKAIKKLEHLKILDVELSDGSISSLSSNGRSGHQGPEVEERNSPDSLTTDDSVPSGPQGGGDADASSAKGSSAGSCTQGNLSQDGSWCSVVSEQSPVGARMDLAGTNNSRSSEESAADHDSESGCGSAKSEGESFHDSDDRLALVLVDPQLVVDAEAGGGDSRTEDNDTRTADRHCASHDDEAPQEEETNKLAIVLAEPQLQPTAGAPKPQGDVESVLLALRRVKEQLRYTIERRSELVAHRELYGH >Dexi3B01G0029520.1:cds pep primary_assembly:Fonio_CM05836:3B:28809647:28814628:1 gene:Dexi3B01G0029520 transcript:Dexi3B01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNAPLHLRRPCSPAALHPKPSVSSPTLAPLPRGLLARRACAHAPLRHVASPAEEGGAPEGEGGGGNGGGEEEEDENLGPASAAAVAAAIRRASNASPVRFRRVRPREAGEGQRGEEGGLAEPSADFRRLCAEQLEMFRAVVSRDAVLSVSPNCAQFNKLVEKKILVQFTHNIGCDCQLLGAIYRTLLVYVRPAGSYIMDQLELRRVALYPGINNAPERDIVVVVGDFSISAGLRAAEAFLVKQQKAYLLQQTSWQNNVRMSGLVEQIRAPLSNIRALAKMLSVHMKRTEIPFDIIEDILIQGDHLKDALQQIQDAVYLTKVAFNVYFYYQNILWLDPANIVRSGEETFKKVQGSPHPSRALSDYGSVRGSDSQNVDPVLALNSDEDDMVMPMPPLWLAPCQHQDAR >Dexi6A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:6A:18139108:18140478:-1 gene:Dexi6A01G0011980 transcript:Dexi6A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLVIPLLACLCLLASHSSAAYGKTTSSGLHPIILVPSYTCSTLDARLTDEYRPPPGMPWCGAMKGKGWFRLWKNHTALQDPRLMPCYADQLRLVFDHAADDYRNAPGVETRVVAFGSTHGFVDDPATKDTCMAKLVTTLERVGYRDGDNLFGAPFDFRYAAAPPGQPSKVFDSDVSRLRGLVEHASMKNGGKPVILISFSFSGHLALEFLYRSPLRWRQSLVKHNLLLSMGVGGEVVLLKIFAAEDAGPSSNVLFYANTSRSFASPLTMLPSPKVFGRALPLVVTRDKNYSAFDMTEFLADVGFSDAAARYQRRALPVTLAIRAPLVPTTCVVGVGLPTPVQLTFSDGDFGKVPQVVNADGNGDVTLKTVLAWRTVIENNPDQGYFKLVLLPNVTHDGVISDGFALKRLVEILAAVNQATSS >Dexi8A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:8A:30732513:30734149:-1 gene:Dexi8A01G0018450 transcript:Dexi8A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTVRAPASTPTSFLGKKLKKHQQVTAATVNYHGKSSSANRFKVMAKELDESKQTDQDRWRGLAYDISDDQQDITRGKGIVDALFQAPTGGGTHEAVLSSYEYISQGLRDYSAWDNIKDGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVPEEDVVRLVDTFPGQSIDFFGALRARVYDDEVRKWVAETGVENIGKKLVNSKEGPPKFEQPKMTIEKLLEYGHMLVAEQENVKRVQLADKYLSEAALGEANEDAMKTGAFFK >DexiUA01G0026600.1:cds pep primary_assembly:Fonio_CM05836:UA:56900512:56902067:1 gene:DexiUA01G0026600 transcript:DexiUA01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGKFPLPLPLPRECIIRVWHHGPAIQACKCPICRRLINLLVPANSSEQEDDPQLHRILGEIQHYNHIFGGAPRSLTQE >Dexi8A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:8A:19713956:19715448:-1 gene:Dexi8A01G0011130 transcript:Dexi8A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPTGAGRRVVFFPFPYQGHFNPLLRLAAALHAGGLAITVFHTNLRAPPDPADYPSDYSFVSVPVHVPMEVVASEDIARLVTELNVSCQEPFKQQLAALLSETEEAGGVRCVITDVIWYSAQTAARELGVPAMAFMTSSAASFRNFMVYPTLIDKGYLPVQEEHKDDPVEVLPPFRVRDLQRIETSSLADFATLLGHTVDGARQSRGLIINTFEGIEAVDLDKIREDMSIPVYAVGPLNKFTPPVKSSLYELQQDRRCLDWLDTQAPGSVIYVSFGSLAAMDPHEFVELAWGLADSKRPFIWVVRPSLIRGFESGDLPDGFREEVSDRGRIVDWAPQDEVLAHPAVCAFLTHNGWNSTIEAISEGVPMISRPFLGDQYGNALFTCEVWRVGVEVQVENQLERGRIQASIEKLMGNKEGLEVRERMKSLKDVAMESIQESGSSHTSFLKLFDHLLSF >Dexi6B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:6B:17040261:17044308:1 gene:Dexi6B01G0010580 transcript:Dexi6B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEMDATATAAAAGPPPAIPGERAATEMDATATAAAATTAAAAGPPPPIPDKYMHKNRLQSFAERTHKKLPIYKTELEGEYHSPKFRCTVEVGGQQFSSSGSFNRKKEAEQDAARVAYETLATIGEGDVKEAFGLIEQDAVFCKSILYEFAVKTKITWPAYNVIRLEKPFTMFGASVVFNGNTYSGEPASNKKDAKQNAARAVIKSILATDSTCMIEIIRSKKQLITAARSSESTPTTFTPIKFTRPVAYAAYGGADHVASMSQDESSSLGVQGLNMVPTVGTSANPSLKAVTGSKKRKVTTVAKEH >Dexi4A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:4A:15277251:15279104:-1 gene:Dexi4A01G0014010 transcript:Dexi4A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSEETVAAPPPTPAAPPESASDPPPADAASPEKVSSPAPAPAPETRSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWVLFEEPPKYPSGGDT >DexiUA01G0018190.1:cds pep primary_assembly:Fonio_CM05836:UA:38778771:38779717:1 gene:DexiUA01G0018190 transcript:DexiUA01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYWEKGAENLVVVHEGATPCRRATASSSLACLAPPRRCLLLAWMYQELKSQIGRRGREEEMAGHEEEPVLAMAAVAGGLQSPRPCARRLDAWTALDAACSPSAASTPALVPPAAGIPPRRAAWIAPTPGVAPALDPPTEIGFRSRFLQARPKATEDLSRDATPHDPRERSAPTRCCNQEEAGRPKP >Dexi5A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:5A:2777517:2787391:1 gene:Dexi5A01G0003630 transcript:Dexi5A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPSRHPPLLYPRHRRLAGPGPRHDREHGEPEAREEAEADERQVRIVAPSAAGEGHGQDAEAKRVAKSVETVCLFAASASLVLFVNLPSKDDDDVSSKPTAEAMYQRGRRGEEADARTTTTSRASPPPRLCTSEADAAKRQTVSTLLATRFASASWPCPSPAAEGASGGVAAAASMSIEIEAVTTGAGAATCSRLLLWRLRGSPELGVGQQQSCGGRQQAGAPRTLGQGGYGQARSCAAAGRKRRASAGRADRRRAQVKPLRHGDSSKARSIFHCSKQISNQPGGLRFATGGGDQKVRIWSMKSVDKNNANDDSSQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKKFSNGQDTKAAPVGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRSRYGDVRGRQSNLAESPAQLLLEQASARQSTGKKGSSVVQPLQAPPKVSADNPAPVAQSQKATEASPEDDKKTAGPGPDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNLPNRSQDQVVDFSSLNQRMNGTRPSYGSSANCNNCEIRDRSGVTARANITESLVIEKASTNSGNDGRLSIEHTGSIVSGSLTSSSALSIHVLNKKDDKDSVTVCLEAKPVERAAGDVIGAGGAFSTKETEIKCTRGTETLWSDRIFGKVTVLAGNANFWAVGCEDGCLQVSTSIRISYRLILGFNLDATIPVYTKSGRRAMPAMMMGSAAVFIDCDSCWKLLLVTRRGVMYIWDLYNRTCILQDSLASLVVSPDESSAKGAGSVKIISAKFSRCGAPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLAASLVLKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMVDSMSSMDPKNPVWDPHVLGMKKHKLLREDLLPSMASNRKVQRLLNEFMDLLSEYEAAETQADPMDLTPTPQPTTEASDKEMQTTAEASDGVMQPAEAGDRVMQHTAEASDMVIQPTTEASDMVIQPTTEASDMVMQPTAEASDKEMQHTAEASDM >Dexi4B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:4B:12086577:12094421:1 gene:Dexi4B01G0013460 transcript:Dexi4B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARVSQSPPSVLPSPLLQSIIRIFSSSACPIHSSRRRSSLIAAAFSQVSQSPHDVREGHVMVAPRPSSRRHWKPTCLYYTQGKCTMVRLLPLCMNDATHLEKFSHSLTMDLPVNVSAADKVKPQKFDYLLILDLEGKVEILEFPVMMIDAQSMEFIDSFHSVWHDTAIPFKQVLQEFEDWMENNNLWKKKQGGSLKSAAFVTCGNWDLKTKVPEQCKVSKIKLPTYFMEWINVKDIYLNFYRRRATGMMTMMRELQMPIVGSHHLGIDDSKNIARVVQRMLAEGAVMQITAKRQSSTGGVNFLFRDRIR >Dexi7A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:7A:26157779:26158225:-1 gene:Dexi7A01G0016290 transcript:Dexi7A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYSIFTSSLCMRNMPEHKHDMLKNVMIIGFCSAKSMVELTCHILENWTPYMMKRMMTELEGALSAKQVDAAQ >Dexi7B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:7B:887496:892202:-1 gene:Dexi7B01G0000260 transcript:Dexi7B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTWFRYAAHKFEYSIAISWQKYNVGKINSTELTDAIWKNFFQGRLTFTHWNKGGEAMTPIVSPTGGTLLVRKLANLSPTQVFVGDVVLLKDPEKSEDLMIRRLAALEGYEMVSSDEKDEPFVLEKNQCWVLADNQALKPKRHGYEPGCPSTSSGA >Dexi2B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:2B:13195547:13196637:-1 gene:Dexi2B01G0011600 transcript:Dexi2B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKLKSGERRIDAAIDHLAPYGFPKPQIRKVINDLLKLYGRDGWAFLEDGSYRVVLEKLLEEQTQLEH >Dexi2A01G0023980.1:cds pep primary_assembly:Fonio_CM05836:2A:35668536:35670293:-1 gene:Dexi2A01G0023980 transcript:Dexi2A01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDCGSWLLYLSLAAKCGGDQPFRLAGFLAVCAAACVVTCLLHWCFPGGPAWGRWRWARRGCDSSPVPVGPRGLPVIGSMWLMTGLAHRKLAAAAGRVPPRARRLMAFSVGETRMVVAAHPDVAREILNSPVFADRPIKESAYGLLFHRAIGFAPHGTYWRLLRRVASTHLFSPWQVAASAAQRAVIARQMAAAVRDGMSSSGRDVEVRRVLRRGSLHNVMWSVFGRRYILELDPAKESPETRELRTLVDEGYDLLGQLNWSDHLPWLACFDLQRTRARCERLVPRVNRFVGAIIDEHRAARRNGDLSSAAPPAVGDFTDVLLSLEGDDRLADSDMIAILWEMVFRGTDTVAVVIEWVLARLVLHPDVQARVHEELDRVVGAGRAVTESASASLVYLHAVIKEVLRLHPPGPLLSWARLATSDVHVDGHLIPAGTTAMVNMWAITHDPDVWADPAEFRPERFVAGSSAAEFPIMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHEFELLPSPDPARGVDLSEVLKLSCEMATPLAVAARPRQEV >Dexi1B01G0031540.1:cds pep primary_assembly:Fonio_CM05836:1B:35045736:35047853:-1 gene:Dexi1B01G0031540 transcript:Dexi1B01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRAASQGGRLAGAVEDAAVGVVPHGEPAVADDALLHHGDELAVVDAAVVVLVHLPDDVVDADVGHVVVPAGLLELVDGDVAAAVLVEVGEGGEEVLLALELAHVDGGGDELVVVDGAAAVDVGGVHEVADLALAQVGAVAAQPLPELLEGDGAAAVGVHGLEHLLEAGELLLGEVLRDDPQRHLLEAVHGGEVLEPGEDGGVEGAVGGDAVLADPGVLCPVGDGRPWVRLEVDGAPEDGVEDALLRVGPEGRHPAEEDVDDDPRRPHVRLGTVVLAQHLGRHVVGRPHHVGEHLAGLEEDGEAEVDGLERRRLLLLLLPVVPAEQQEVLGLEVPVHHPQRVARLDDADDDPRDLRRLALREVAPLHDAVEELAALAQLHDDVDVERVLVGALDGDDAGVAGEVVHDLDLAPHVVHVLRGQQLALGDGLAGQRRARADLRAQVRGPELPLPQLATQRVELAKRRRRVPKNGGGGRKVLLVPAAAHLRLLAACCAGPGLIPPPPATTGGGAAIAAR >Dexi2B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:2B:13042351:13043214:1 gene:Dexi2B01G0011410 transcript:Dexi2B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPQKMFREFDHFVVIDFEATCEKDSRIYPQEIIEFPAVLVDAATGALLSSFRTYVKPRIQQQQVDGGVDLATALGMHDSWLASAGADKNRLAVVTWGDWDCKTMLESECSFKCISKPWYFDQWVNLRIPFEAVFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRQGASITITGSLPQPPPPPPMLEPELQLQAQMTPLNHNISWCTGGAATTGGCCYCGVPIRGDMVTTSGPMQGRFFFSCGNWSPILGPMCNFFFWAA >Dexi6A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:6A:7427148:7427518:-1 gene:Dexi6A01G0007500 transcript:Dexi6A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSRATVCALLLALLVLTHDGGGAMVAVEARVCTGKSQHHSFPCLSDRLCTNQCVKEGGWTAGSCHHRFCTCQKAC >Dexi3B01G0023810.1:cds pep primary_assembly:Fonio_CM05836:3B:18571651:18574029:1 gene:Dexi3B01G0023810 transcript:Dexi3B01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLRQLSSSDGGPHQLLQEEWDCGGASSRRGSRRWSSRKKQAARATRGHRRGGGFCGRTEEAAAAGRKRVMVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPPQSGGGGGGRGCAADASALANSLGALCKACKPEAPALLHSKQISFMRSSSEAFVEECINRAECLTLAVRRQSKGVGGYLISTRWQKNFWLLA >Dexi9B01G0044790.1:cds pep primary_assembly:Fonio_CM05836:9B:44425803:44429099:-1 gene:Dexi9B01G0044790 transcript:Dexi9B01G0044790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEAADHSSPPRSSGCYLQVTEEKPDLRMPRDPRAVRSFLLGRIRGYYIDVISRLPAGELRTSIARGLLVGGHCYGPLHSVHNIIFNSVWYAAAFPFRGDDPIDVDVITTTALTRLAHRSPTTTPSGTSASPTPPSVAPPLPPRTGLCHSFQMAAQAARHPKPAALAHFATSVLPAVERDALSVLAGKRRLSSQDIVRLSAMLQPLPLPDDEVQRPQPYPRKLRVRIDRIIAERRSWTSWYQTMLDVADAALRKFARQTGARYRLHTIYGQNIVRTGEFRLGRCIHINFMAWPKGKPNRSQSPADAATVHTSHRRHASSASTSASAFAYAAGGSYVAAWPRGPAPMLEVEHCVVRPDTIETVALGKEPRLRGIKVFSEQWYGPFRNGEQLDGCALRETAFAASEKLDVSEVLGQWETTDVVAARFSDELDPETVSDPLRRRRCGPVSAS >Dexi8B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:8B:4432320:4435078:-1 gene:Dexi8B01G0004750 transcript:Dexi8B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGVLICKLSVALENGAAAYGASLLCKEAFALKGLFREIRKAEGELHVMKDYLHDAEKLKDTNITTGIFVKKIRDLAFRIEDVIDEFMYKQEDEKHGSFLTKIKKRVNHVKIWRSLALELCEINAELEDAARKRGVYDMQGAERYDGGSSHHARSSLCLARDEDLVAIEDNADKLKRWLVGDLEERYKIITVWGMGGVGKTTLVEHVYKIVKEDFDIAAWVTVSKSFQVEDLLKKIAREFGVSVGDKNMEMRSLVEAIRNHLKELKLLPHGIENLTSLEELHLYDIAEELVDKLRQKTEANQFNEELTKISHIKKVVVRLTEKNIQERIR >Dexi2B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:2B:2239872:2243882:-1 gene:Dexi2B01G0002700 transcript:Dexi2B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGGFFVLLLLASPVLGQLPSQDILALLAFKKGITHDPSGYITDSWNDESIDFNGCPASWNGVVCNGASVAGVVLDGHGISGVADLSVFANLTMLVKLSMAKNNLSGSLPSNVGRLKSLKFMDISNNQFSGPIPEDIGNLRSLQNLSLAGNNFSGPLPESIDGLMSLQSLDVSGNALSGPLPAGLKGLKSLVALNLSRNAFTKGIPAGLGLLVNLQSLDLSWNQLDGGVDWKFLIESSVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQILNLSSNALFGDLPLLSGSCTVLDLSNNKFRGNLSVIAKWTSDLEYVDLSQNNLTGTIPDLSSQFLRLNYLNLSHNALSDTIPEAVVQYPKLTVLDLSSNQLRGPIPANLLTSSMLQELYIQDNMLSGVLSFPGSSSKNLSLQVLDISGNHFNGSLPDDIASLSGLRVLDISTNNFSGPLPAAVSKLGALNDLDMSTNQFTGPLPEDLPDNLSSFNVSYNDLSGVVPENLRKFPESSFHPGNSKLEYPASSSGSGNSPSGPGGGRSLSTGAKIGIVAASIVLLVILILIAIVCHYKRISRQFPSSEKVSDKNLHRATTKDTASEGKDNKGGLVSADELVTPRKGSTSEAHTQEEKSAVGGFSPSKGSRYSWSPDSGEAYGQEGLSRLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDIVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQQAVKGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >Dexi8A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:8A:1558028:1559447:1 gene:Dexi8A01G0002370 transcript:Dexi8A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRTETESNDPEIDTLSFTELRDKLLALDPLDTEHVIKVNKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTRTKPNMKDLDYIEKLLQLIENEDIPAPAPIEQRWTANSKSPMSPASSSGEDDVFSWVGIIMYLPTSDARQRKDITEEFFSYRSLAQSIWDDYSAYEHWAKIEVPKDKDELAELQARLRRRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLEPVHQAK >Dexi7B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:7B:25243899:25247264:1 gene:Dexi7B01G0019760 transcript:Dexi7B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARFVSTSPAGLSYSPASKSLWRASGRVSFPARPYGALLHLSSPLMASAGVGGNGSPSAHEDSSGPSRIGEVKRVTKETNVHVRINLDGTGLAECSTGIPFLDHMLDVIYDLQQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQGLGDRKGINRFGHFTAPLDEAAVEVILLAGKNSHHIIEASFKAFARALRQATEYDLRRRGTVPRFVLAYWFF >Dexi9B01G0020930.1:cds pep primary_assembly:Fonio_CM05836:9B:15580594:15582201:1 gene:Dexi9B01G0020930 transcript:Dexi9B01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGPRRWRNRRRWRTPVVGVRAAAARPPWLRGKAATARICAVFPCLVKVKKMGDRTNPLATPTSFHVTTPSASFLFRASAGFFLPGHSAAGEPPHPASPAACLISSPPLIPMSSATAILAAARSPAATLLRLRRCGPVAVSLRAGHGYRGIAMAAAAADAPAPADPLPKVRTPRRRTRACSSPARRSFLLPVAFFPTPDPSPPVGSDGRRRLTLTNSPQTPPEAPSPPPPPLSLPPPPPAGDPPGAPSTAGHGGKPPTPRPENPNPQIPKPKPPNPPSTEKKILGDL >Dexi7B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:7B:21282073:21283380:-1 gene:Dexi7B01G0015270 transcript:Dexi7B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVLVHVESIQTAVPSARRRLGPFAAHRRVRGTAHHSGAAAPFPAAFLEARGATDRETKERGRVGAVWTDVDVQEPDMCATFFMQLTRFPDGGYGIGASCSLLLADPLSLIGFLKGWQARGAAGAEQARAAAKRVKSAPLDTATDDTTPAKTATVIFRAADDDDTPDHHGLAAACVANAGEGLDASAPVRFTVMAQDGSGGLNVQTFMEGDGDQKPCRGHGRHDVPRLAHWRDEAFLEDLAVEGSKPVRAVVRVSYYVSPCADEALVVVMPGGGDELLISATVQGPCATELTKGPNFQYLKLPAGAQ >DexiUA01G0022890.1:cds pep primary_assembly:Fonio_CM05836:UA:46665245:46667506:1 gene:DexiUA01G0022890 transcript:DexiUA01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNHTLGFPRVGLRRELKKAQESYWAGTSGREELLAVGRELRARHWEQQKQAGIDLLPVGDFAWYDHVLTTSLLLGNVPARHQNKDGSVDIDTLFRIGRGRAPTGEPAAAAEMTKWFNTNYHYMVPEFVKGQQFKLSWTQLLDEVDEALALGHQVKPVLLGPVTYLWLGKVKGEQFDRLSLLNDILPVYKQVLIELGKRGIQWVQIDEPALVLELPQAWLKAFKPAYDALTGQVKLLLTTYFEGVTPNLSTITALPVQGLHVDLVHGKDDVAELHKRLPEEWLLSAGLVNGRNVWRADLSEKYAQIKDIVGKRELWVASSCSLLHSPIDLSVETRLDPEVKSWFAFALQKCEELALLRDALNSGDTTAITDWSAPIQARRHSARVHNPAVEKRLAAITAQDSQRQSPYEVRAEAQRARFNLPAWPTTTIGSFPQTTEIRGLRLDFKKGNLDANHYRTGIAEHIRQAIVEQERLGLDVLVHGEAERNDMVEYFGEHLDGFVFTQNGWVQSYGSRCVKPPVVIGDISRPQAITVEWAKFAQSLTDKPVKGMLTGPVTILCWSFPREDVTRETIAKQIALALRDEVADLEAAGIGIIQIDEPALREGLPLRRSDWDAYLKWGVDAFRLNAAVAKDDTQIHTHMCYCEFNDIMDSIAALDADVITIETSRSDMELLESFEAFEYPNEIGPGVYDIHSPNVPSVEWIESLLKKAAQRIPAERLWVNPDCGLKTRGWPETRAALANMVRAAQNLRQA >Dexi9B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:9B:6779167:6783529:1 gene:Dexi9B01G0010780 transcript:Dexi9B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPFDFLIKKILGCAMASSGQMTSNNLLRTKGFPGNMGKLYGLIAARSLGSRRSRMGQHCFHQHHLCWPRAQRVYIRLSSSPGAVVSKGFDSPLVDKSDTAVDAGIIQLYRIPFLQESETAELLRKVKAKVSANIVDIMTEQCFNIQLDNPLTPEKLSVLHWLLAETYEPEKLQTGSFLEEEVSRSSSTVIVEVGPRMTFSTAFSTNAVSICRSLSLVEVTRLERSRRYLLRLEPGSDPLDDGQLKEFSALVHDRMTECVYPTKLTSFQSDVVPEPVRVVPVMEKGKEALEEINVKMGLAFDKQDIDYYTHLFRDDIKRDPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPNTLFQLVKKPLKANPSNSVIGFKDNSSAIKGFPVNQLRPTIPGSTSPLAIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDHSYAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKDDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCQRERVSVAVIGKIDGCGKIVLVDSAAVEHAKLNGLPPPAPVEELELEKVLGDMPQKTFEFKRVSQVTEPLDIAPEITLRDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGILLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVPYLKKVFEAIQELITERLISAGHDISDGGLIVSVLEMAFAGNCGFKMDIDLEDRSLIEGLFAEELGLVIEVHSEHLNVVKQKLEAAGVSANVIGEVTSTPEIEVFVDGNLHLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKRRTSPSWSLSFTPRFTDENLLVASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGTGGDMSQPRFIHNESGRFECRFTSVTIGDSPAIMFKGMEGSTLGVWSAHGEGRAYFPDDNVLATVVKSNLAPIRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >Dexi5B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:5B:6830282:6830616:-1 gene:Dexi5B01G0009760 transcript:Dexi5B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSSSPSSLAVKMAIILAITISCSAIHCSEARPQGWTTRRLLAVAETDAAVPIPRPGQAPPAPQTNGSRRPGPPCCV >Dexi4A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:4A:6354784:6356127:-1 gene:Dexi4A01G0008470 transcript:Dexi4A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPAISFAIVGAVLLTATIAHPTKATTTPNATLTGFSIQLAADPEALGHAVVRRGSDGFLHLQSFRTNLDRANSSAANAAAIATPRPDMMLNRPLLSAYITVGTGRGTQVYLFKVDPSSTMTWMQCKGCNPHSPQEGPLFDTAASPTFHNVLGSDPYCQPPFRSVLSGQACAFQVTGPGSMSVEGYLGHDQLIHDGNVHQLVHFGCAHKAIHFQNINRFAGVIGVANLARGLTRFSYCLFADGEATRQGFLRFGTDVPPNLHYRSTRILPVHGAHESGHYVSLVGVSVGVRRLGEIRPETFAHHEDGQGGCVIDLDTPLMVLAQEAYDVVEEAVWSDLRRHGAERVKRPGYGLCVRASEVVMRRHLPSMSLHFAEEEAVLVVSPEQLFFMVDDEQVRVACLAVMPGRRTVIGALQQVDTRFIFDLKDSKLSFAPESCIQDSVEAA >Dexi3B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:3B:8560623:8561540:-1 gene:Dexi3B01G0012240 transcript:Dexi3B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETNPRRPRASPSCSKTIVGGAAMASAGNKAEEGPAQGYGNEVLVRSAASRTHINSGPAVASAGCKADERVLSHGGVVLVRSDLGILRRPRFINDRIIAFYFAHLSSYFAAGGDDDDLLLLPASITYLLINLPEPAAVAAVAEPLRLGSRRLTLLPINDNPTVDLPDGGSHWSIMVLDHTDLASGPRFVHHDSVRGEPNLPVAERLAEALSPLIVDGPGKVVPLVEGATPRQPNGYDGGVYVMAIARAICGWWRENGGRRCRLNWLEAVKGEVDASSVKALRTELLLLINFLIEENTKTEPNLF >Dexi9B01G0028900.1:cds pep primary_assembly:Fonio_CM05836:9B:31486865:31487074:1 gene:Dexi9B01G0028900 transcript:Dexi9B01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRVMRQWVALALTVSSGEVGSPVSTGLVTWTCPPSVRVMRQWVALALRRVGAEDLEQGGVGLVHDD >Dexi1B01G0024250.1:cds pep primary_assembly:Fonio_CM05836:1B:29643573:29644121:-1 gene:Dexi1B01G0024250 transcript:Dexi1B01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQSSPVFLLHLRRLDRFLRHQGLHSTAYMLEKDSLAYFDVAHLQKVVKDGQWDAAWRYVRSFSPLWEPTEGESTNQQYTDFVHSLEHNSMLHYLACRGEEGGRFARSIVWSSDGARKSPKIAQQFDLYHGL >Dexi9A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:9A:14269564:14271766:-1 gene:Dexi9A01G0019350 transcript:Dexi9A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGSSEKTKATERQLTGREPSTSSHASKRRRRSLRGEAMADRFFANDLPDFVTESEAETPGGDGDRPDAGLRGLLSLPYASLSDRFLRAARRIKDKARTTPPLYLLALPLVSCRAPASCSTDCSVRFSPLVVAVQVVEETWVKAGRQVTDYTLYTGALGTAFLLFKSFQVTGDRGDLALAADIVHACDDASRGLPFLTFICGRAGVCALGAVIAKHCDDQLRVTQYLSCFDEITITEKVPNELLYGRAGYLWACLFLNKHLSEKTIPLEHINSVAKDIIKEGRKLSSKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMIKNRFPGGNYPSSEGNDSDRFVFHDDHFKQSAAEAAEVVWNRGLLKRVGVCHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLFEKADELIAEGAMHGGDRPFSLFEGKAGMAYLLMDMINPSESKFPAYEL >Dexi6A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:6A:2370720:2373449:1 gene:Dexi6A01G0002500 transcript:Dexi6A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDGLAGASPPPPPPLPPPPRPPPPPPPSPAPAGNGANHSAISLPLLQQPTAAADHPDAPLSRWLRRLEAFLSAAGLAASTRLGVAAAASALAVLGLALPFAAVSLSPCRARRLACDDVEVEVFELCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPDLGMRIRFQKEYIAKIEDFFRILTWWILPCFVVKVTRELFRFSHIFHESAWRACVVFLASNMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFLSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLCLCLHAAAKISHRAQNISSIASRWHALATCSTESTYATTPNSSGNLVPFPAHMFLRDYSESDLESLESASLHGNPQGTAQLASYMSTYHKRESLVLYLLANPGGITIFGWIVDRAFLNTILMLELTLVLFVLSKTVVIPAKTLVHSYIGYL >Dexi6A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:6A:11471986:11478829:1 gene:Dexi6A01G0009660 transcript:Dexi6A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHLNHIDAVVQLRPSMSHAISGRAYTRQALQSRETNVGASGSKAPSCKGDDCLEDSKDHTEDTEPWISLTYEPAGSNIATKYHDKMISNEGGHIDFTMNNSDYVMSLCPGGSTSSKHINKCQAIRKMLSLPLEERLKKWFTEVSEVNQFDALKHLAPTYSEEEILKVLPEYAYLVRGLWVCKSSLLFDDGYASKRDRVLLEFTKRESIPANILDSWIRLDDPRRKRILFPLCKRRGILKDYKFISADLSFLKCYPHIVIEQECAWSTREMTICESLEMRNTVARKTKNSTRPNVASKGPHPNTSRGRDGPAQGSDDLMQSVLGTVFTANKVRSMQAVVRDLRQLAAKYASDRKDEQKMQALSNAAKSCASLPHDELRKKICDVAVEVHDVFVAKHELKFSLRNVFILLFRRKEPNATLTKQEILAAAAERLRREVTEREYHQVWNEITHCSIMLLINRKTQVSLPDALQFGT >Dexi5A01G0029480.1:cds pep primary_assembly:Fonio_CM05836:5A:32525036:32529792:1 gene:Dexi5A01G0029480 transcript:Dexi5A01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKLKPLALLPILLLLLLCLFAAPPRVLRGFLEAPFRAPALPGDLLPLLPWPVAQPLLRRLALRGPADLLPSFVGAARAPEDGDAGRIAEWKGACFYENRAWVEFRDETNGSLGGGIVHVETTKAHSWTCIDLYIFATPYRVTWDYYFLGREHTLDIKEWESEAEYEYVKRNGVSIFLMPSGTIGTLRALWDVFPLFTNTAWGESANLAFLKKHMGATFEERPKPWVSELNTDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSAGKLWVGESGNENEKGEDIIAILPWEDWWEFEVTKDDSNPQIALLPLHPDLRAKFNETAAWNYAKTMNGKPYGYHNMIFSWIDTVSGNYPPPLDAHVVASVMTVWTKLQPEYAGNMWKEALNKRLGTKGLDLPEIIVESEKRGITFDKLLTVPEKDNWVYEDGQSASCVAFVLMMYKEAGLFGPITNSVEVTEFTIKDAYTLNFFENNSTRLPKWCNKGDTVKLPFCQIKGRYRMELPGYNTMKPYAHMNEKCPSLPPAYKRTEGC >Dexi8B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:8B:27562682:27563332:1 gene:Dexi8B01G0016380 transcript:Dexi8B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRSLTSAAAYKSPAFIALVAALCVALALLLHHLVVTACCRASRSGSGGGGGGAERRRRRQGHHRHRHRHRHRHGGNSNGEQQQDEDEDEEVSISVEVSAATSRTHLVKASASVASSPAVVLPYRKEEPWKESTCPVCLADFDDGEVVRVLPECMHYFHAECIDTWLRGSTSCPMCRAETTPTPTATPSPGSLHHHQHIDLSVVSVSLEEILVRT >Dexi5B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:5B:6523160:6525135:1 gene:Dexi5B01G0009510 transcript:Dexi5B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEVKPGKNQKGSAQKHLNASFVVAGLLMFLVYLVAQHFAVSAPHVIITEAQEIMDNIKVPGEIKENNNGKVVCNMEGRSDTCEVDGDVRTNGTALSVTLVPSTTSSEPRREWMIRPYSRRFASLKKVTVTHLQDPATAPPCTVTHTTPAVLFGIGGYAGNYWHDYADILVPLFVASRRFSGDVVFLISNIQFKPRWLVKYKAFLHGLSRHEYIDMDADHEHVRCFPHVTVGLRLDKEFSIIPELVPGDRRLSMADFTKFLRETYGLPRSTANKNKPRLMLIHRGHYRRILNEPEVAKAAEAAGFEVAVAELRGDTPEAEQARGVNAFDVVVGVHGAGLTNAVFVPPGGVVIQVVPFGKMEHIARAEFAEPVENMGLRYLDYSVSKEESSLMETLGPEHPAVKDPDSVHRSGWGQVFELYLAKQNVRINVTRFAPTLEQALNHLRRQQ >Dexi3B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:3B:1087796:1088065:1 gene:Dexi3B01G0001550 transcript:Dexi3B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRKSSMANGTVSRKETKVVHYRECQRNHAAAIGGYAVDGCREFMASGAEGTAAALMCAACACHRSFHRREQVEADYDCSSTTSG >Dexi2A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:2A:4662844:4664131:1 gene:Dexi2A01G0004990 transcript:Dexi2A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFPARNQQGPTMEGRHPPAPPSRVSMFRRLMMVRVTPSERLAADGKERDNNKDERPQSAIAGEAEVGSVALDKMVLSFMEDSSAVAERPPRGRCSNCFNGNHDGSSSDDEDSDFFLPSSSSLAAPPPAAGDALELLKGLVQCASTAERNLLADASRIAIAIGGGRKKKAEVRRAVADGLRLLGYDAAVCTSRWEKGPSHPAGEHEYIDAVVVDSSSSSSSATSPETKMKTTRLVVEVDFRSEFEVVRPTKAYRSTLQALPAVFVGTTDRLGRVVALVADAARQSLRKRGLHFPPWRKPEYMRAKWLSPHTRASCSGKESPPAATTVTGASFSGEFELRFDAKPKAPAGEEEKKVTLVVSPSLSPSPWRAEELEASKARSPLPATKGVTGLASVL >Dexi8B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:8B:8401868:8405323:1 gene:Dexi8B01G0007150 transcript:Dexi8B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSDADDGLLEQEPASSSPRCSGPRLILSPIPEPQTSSPNRSLTSAINAAAASSSASSNSSRSSHHGGWPPAAAFANFLSWIFSAGGARGGERNKRPAPDQDARRHPAISPPAPAASVGHGGAARHRVIIPADRFSCVNLGVDYTSPPYDDGKGLRDGEDMTEWEREERRRREERGHQRRVGGARPCILPEAMREEVEEMVRDHGVVSAGLLRRVADRVGRWYHGEGFACTQVVGFGNPSSGELACEVLEGDITRVEYQFLDKLGNAVEGNTRIPVIERELPQQLRPGHIYNTGAVKQAKRDLDSLRLFTNIELNPRPDETKEGGGVVEIKLWEDEPMSAGVNPDWTIVPGDQGQLTLESIKPGGTVSFRHRNISGLNRSLAGSLASRNLLNPEDDLAFNFEYEHPYLDGVENFSRNRTFKTNFFNTRKLSPVFLAGPSMDAAPPIWIDRVGLKANITEKLTPQSKFTYGIVLEQITARDEKNKICTHGSRILPNGALRMDGPPTTFSGTGVDRTAFIQANITQDNTEFVNGATIGDRCIFQVDQGLGIGSKNPFFNRHQLSVTKFINLNEQKKGPGKPPPAVLALHGRYAGCVGDLPSYDAFALGGPYSVRGYGMGELGAARNLLEVATELRVPVTVMGQQALVYAFVEHGNDLGSSKDVEGNPTEFFKRAGQGSSYGVGIKFGMMRAEYTIDHNVGTGSFSLGFGEKF >Dexi6B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:6B:20875991:20879691:-1 gene:Dexi6B01G0013170 transcript:Dexi6B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKGEPKTKAAAAAVADGARRRRPLVPSELKNNAAAPAGRRREVPSRFKPVAATPELAAAASRRCISPSPGRASTVDGSATCNRARSADRARPAAASAAPSSRLKPSTLAVPRSRSPARDRDAVTEAHSIGTPPRARNAKVSNGLWVSSRSSSPLVRPEPVQLPAAAEPVKKIDRLVHGLPSEPTKLRSGAASGRKRSPLRGSTTNNIGDQCENARPSDSPANRVSERHRWPGMITSTRAAPAAEKTSRSVSSSDASAGRSPRRMHMLHPSEGTGSGSRSLKQPSNEMAKIVVTETGLVSCSVYSQNILYSVWKSILTLRDAITITRINVRHLQQGLNLYNILNGQIGYLEQWSVLEEENTDTVVEAIEALQASTLCLPADGVIVRNAISSAVDIMQALSSSIFYLQSK >Dexi5B01G0026740.1:cds pep primary_assembly:Fonio_CM05836:5B:28438582:28439871:1 gene:Dexi5B01G0026740 transcript:Dexi5B01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPILVILPLLLLLIGSQAARSAATLHERDAAALRDVRAALRDLPGSRFFDSWDDTRSPCAYAGVVCAPDDEDDGQASSAPLRVSVLTLGTGLADSPGLAGTLPASLGSLSALTDLVLYPGSVSGTIPVDIGSGLRRLRLLSLSGNQLTGPVPESLVGLPDLHTLDLGNNRLEGAIPTGLLLPLSPSLKVLILANNGGLSGQIPAEFSTSELFHVDLSRNAITGTLPPLPPTIRYFSVAGNEMQGSLDGGVFNNAPTDLALLDLSMNAFSGSIPAEVFALPSASSLLLSRNNFTGPLTVAGGTPAQWAVVDISHNGISGEVPEALAAAASLYVNNNRMSGEVPWAVARSVFTGSMTTFYAQHNFLTGFPAPPLPLPDSAALCLSYNCMELPSVSAADGCPTIGGPLEARPADQCRSSTDARSSGDGD >Dexi2B01G0030890.1:cds pep primary_assembly:Fonio_CM05836:2B:39129429:39132127:-1 gene:Dexi2B01G0030890 transcript:Dexi2B01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPLSSKPVRKGCFSAAYVKFRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMSTALVLDVRCINDILEAFLGEIDLMSTVRIQGISTYDYIIALREQEQEDASGQQSPQMSRVSSYTGLSSASSFGPLRRGSWCTPPRLFLEDQYDVIPSEAGSSHNSATKRKDDVRRKKGSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPILKDDYSRGHETDSSYGGMSSGRIDLGPDSKRRSNRRVRQPSDLSLKPVAKISTDAIDSTSSDVPPEALSSLAPLQLEARSAFHPSRAASSANVGGSSPDSSLDSPDLHLYRVSAVSSSGAEDLQLTALTAPGSTPQQGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVPKNRLP >Dexi5A01G0034820.1:cds pep primary_assembly:Fonio_CM05836:5A:36789347:36793188:-1 gene:Dexi5A01G0034820 transcript:Dexi5A01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAAPYGARSAEEVFRDYRARRAGMIKALTTDVDKFFKLCDPGERRLFSMINNMPTIFEVVTGSAKKQTKEKTPNSSSKSNKPSSKVQSRAESRSKAKVPKDEDESGDDDGDEEAEEHDNTLCGTCGTNDGKDQFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >Dexi2B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:2B:8399982:8402282:-1 gene:Dexi2B01G0008350 transcript:Dexi2B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPHAAAGVAAAAWEEEAEWLDVEDSDIRLDAPAPSTISRPCATAPSHRLHVPEPASAVQDAMRRRLAASPPTSRGRAEAKAPDPDFLLPPWLCALRFLGKDRGWEQPGIKVIKREEELCRAPLVAGVVTSCKPNGLGGLLVTLKDPSAAIAASVHKKVLLESNNAQDISVGCVIVLRKVHVFRPTHKACYLNITKVTKVLRKDCDSPSKPVISSNATERSEGSIDTIMMRLLGHERMIPHNNGMRVTEVSLQHQGISCAQSSLSESTVMSEDRCSAQASNNENLRRPFGSEKMLHISKKLKSDAALPGDNGETASSRIDTADNYVLQRNMGTELAEQLNGQLSSIRELMEHQQRDFIAVNAGSAQPTSNGSLLNPKKVVSVGSAEWTDEQLCQLLL >Dexi9B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:9B:8722121:8728901:-1 gene:Dexi9B01G0012940 transcript:Dexi9B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGAADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEASQGVGNRKVLISSGVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDKSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPPKTS >Dexi7A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:7A:19910786:19912698:1 gene:Dexi7A01G0008700 transcript:Dexi7A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLRQLLLATLCVAASVLGAQATGGGDDARTKGVGVYELRMGDFSVKVTNWGARLVSVGLPDSKGNLADVVLGKDTIAEYVNDTSYFGPITGRVGQRISGGRFVLDGKFYHLERNDGRNTIHGGGTAFSKSAWTVKEYVAGGDSPHITMCYHSFDGEQGFPGSLDAYVTYAVSSPYTLAVHMNATALDKATPVNLLQHVYWNLGGHGSGDVLGHTLRLFASRYAVLDAELLPSSGRLAPVAGTPLDFRSPTAIGARIRHVMGGKVVGYDANYIIDGDQGTMRPVALVQDSVSGRKVELWANQATMQLYTGNWLNHTKGKDGKVYNQYAGFTLETMGYVDAVNHPEFPSQTLLPGQEYKHDMVFKFSF >Dexi1B01G0029860.1:cds pep primary_assembly:Fonio_CM05836:1B:33993295:33994089:1 gene:Dexi1B01G0029860 transcript:Dexi1B01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF640 domain containing protein, ALOG domain-containing nuclear protein, Reguration of plant height, floral development and grain yield, Spikelet morphogenesi [Source: Projected from Oryza sativa (Os02g0811000)] MDHHHHHHHHHHHHMTIPGQQEPSPADTGAPAPDSFFLGPTAAVLFSGGGPGSSSVAGSSSSGAAVFGIGSSSVAGGGPGPSPSSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSAAASAAAPSSEGSPPGPGPSSGGGGGHGDTSASPQFIMP >Dexi6A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:6A:5594090:5594950:-1 gene:Dexi6A01G0006000 transcript:Dexi6A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAWSHQLSAPSDSLSCTSPPIAPHRTAPHSRPEKHTRTTSTKSSGANLIAAGVLVADDPAARVGPVPFHGARALAAFMRRHLSVVGLSMASCALIDNGVLGE >Dexi3B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:3B:6587369:6588437:1 gene:Dexi3B01G0009540 transcript:Dexi3B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDEGDKGLFSNIMHGVAGGHGYPQQGYPPQGYPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPQGGYPPSGYPPAGYPGSSHQSHGGSHGGMGMGTVLAGGAAAAAAAYGAHKLSHGHSGHSGHGVMGGYGHGGYGHGYGHGHGHGKFKHGHHGKFKHGHGKFKHGKHGHGLFGGKFKKWK >Dexi6B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:6B:2059668:2063706:1 gene:Dexi6B01G0002350 transcript:Dexi6B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKGRRSICGVGMFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLKAEKPGLKHQRKWKSDPNYTTSWYDRGAKLFQASQYRKGACTNCGAMTHDRKSCMERPRTVGAKWTNVNIAPDEKIESFELDYDGKRDRWNGYDTSTYTRVIATYEAKEEARKKFLKEQQLKKLEEKDTEKDDENAGSEDDEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYKSFKVKKEILKSEHKDKIMEKYGNAASEDTIPRELLLGQSEREIEYDRTGRIIKGQDVSLPKSKYEEDIFINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEDVPVQHEEKRLATWGTDVPQDLVLDKKLLEESLKKENARRKEEKDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLN >Dexi2A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:2A:1821145:1826919:-1 gene:Dexi2A01G0002320 transcript:Dexi2A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKLLPALFFLLVALNLVALTAGDDHHQFVYSSGFTGSDLTLDGAATVTETGLLELTNGTLRLKGHVIYPTRLPFRDTSSTSSNATTRSFSTSFVFGILSAYPDVSANGIAFFVAASKDFSGAMAAQYLGLLNTTNNGNSTNHVFAVELDTMQNNEFKDISDNHVGIDINSLISVNSTNAGYHGDGDGDFHNLTLISHEAMQVWVDYDGETKKINVTLAPLNMGKPVRPLISTTHDLSTVIPDMAYIGFSSSTGLVNSRHYVLGWSFAMDGPAPEIDISKLPKLPREFPKPRSKVMEIILPIATAAVVLFVGTVLVLLRRRQLRYSELREDWELDWPFPVVFSSQPVSHVLSDVQTCMRVATILWSCGLLLWCRPMRCRHQFTLHTAAYLSNATDQFIYTGFTGGDLTLNGAAMVTTSGLLELTNGTTHQKGHAFHPSPLLLRESPDSPVRSFSVAFVFGIISNYLDFSTHGLAIAIVPSTKSMSNALTDQYLGLTNAQDDGNVTNHMFAVELDTVQNLEFHDINANHVGIDINGLSSVQSHDAGYFDDSNGFQNLSLISRDAMQVWVDYDGKTMMINVTIAPVATVKPKKPLLSYIHNLSEVLAVEPSYIGFSSATGPGNSRHYVLGWSFGMNRPAPVIDITKLPKLPQFVSKPRSKVLEITLPIASAALVLTVGIALILLVRRRLRYSEVREDWESEFGPHRFTYKDLFHATKGFKDKHLLGAGGFGMVYRGQLQKSGVEVAVKKVSHGSKQGMKEFIAEIVSIGHIRHRNLVQLLGYCRRKDELILVYDYMPNGSLDKYLYTEEDDQTLDWGQRFRIIKGVASGLHFLHERWEKVVVHRDIKTSNVLLDKEMNGRLGDFGLAKLYEHGANPQTTRVVGTTGYLAPELVRTGKATPFTDVFTFGTTMLEVTCGQRPIKQDEQGNQFLLVDWVLQQWHNESLLEAVDPRLLRKSEYNSDEVRLVLQVGLLCTHPSAAARPSMQQVLQYLDGEMPLPEMTRADLSFDVLALLQRKGLHVMSCPCSSSNMVSAGSISDLSGGR >Dexi3B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:3B:4323688:4324137:1 gene:Dexi3B01G0006230 transcript:Dexi3B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQGDLTRAIPCLPIYTMAPTFLATMSLLFTFMMPASSTTAAAATSPQMVSNNIASTMHPQMINNNIATTMQEMQRARYFTFVMLVRMVQEKIPRNTTFLMPSDRLMSTASISESQVLEFLSRHSIAAPLKFDDLIRLPNGTVLPLAN >Dexi5A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:5A:6138570:6139225:-1 gene:Dexi5A01G0008200 transcript:Dexi5A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPAAVREMQKQDPERHLEEPPGPQAELELLDDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRTLKDSEDKQNSKKESVCCIAYYLYYYHY >Dexi9A01G0035890.1:cds pep primary_assembly:Fonio_CM05836:9A:40440152:40442142:1 gene:Dexi9A01G0035890 transcript:Dexi9A01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding WWKSLSKEIGLEYVRDRMILVKLFMLTSLLDDTYDEHATLEESRQLTKAIERWDENDISSLPGYIKKFFLKLIRNFKEFEDELEPHEKHRIAYARKALQLISKSYLQEAEWSYHDYIPSFNDHVNVSTISAGGQVMCVGLLVGMGGVATKEAFEWAIGSTDAVRACGEVSRFMDDMADFKRGRNKMDVATSVECYMNEHNVTSEVALTKIGSFVDDAWKTLNQALFEHRALLPVLQRARNFAMSMMIIFLDQKDGYTNSKVLEETLKNQFVKHIPL >Dexi7B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:7B:18403423:18408499:1 gene:Dexi7B01G0011320 transcript:Dexi7B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSDGSSPSSRRSSFNSLSRDLDLPSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRERIRGRMCSVCTGISKELRKKIFQNFCNYVVHTASHPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIYKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDDGKLKAVPYQPGSRGPKEADELSARVGYVQTHGYVWIPPTLA >Dexi4A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:4A:10680464:10692063:1 gene:Dexi4A01G0012150 transcript:Dexi4A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPISSGNGSYPFPVEVPGNTRFLFSSKTRTLAKAVAKSIVYLLKPKSLAFEYFEKLINFLEQFYHPSNGGRWTYSLERFLRHLVLYFEKRLQHEQFDMTVEEHDQPCLGKEERAVFIKVILKLLDRGQYSKDNSLAETVSVATSILSYVEPTLILPFVATNFQLALETTTATHQLKNAVTSVAFSARALLLSSLCSSQSDDSSVADSFSDLIVISLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGVNDDVPAFLQSTTLSIWLDEFFSRLFSVLQNLESSSPINEGYQTSFMSGTFLVEDSSYYFCMLEILLGKLSEPLFNQSLKRIAKFVNANILPGATSEVGLLCCACIYSYPDAASVYLVKPILLTIMSSFEGTPTTGYVGRAVPDKSSKKDALSPALETALDYYLRVLAIAISYAGPVLLKYKEELNHIITSAFLAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFNCQPIGNIIEPWGCSKAHQDKEAEMLNFPPKWHDPSQDELSFANELLQFHFQSALEDLLAICQTKVHSETAGDEKEHLKVTLLRINSALHGVMSCLPEMRPSYKDGRSKEVDPTFFIAGSAGRTVGTSEMREKAGEFVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYLEWSSHVQAWKLESASIIERPCNFIVPFHTQGKKRPRWALVDKANLHSTWRCSQSSYHRYRTNADVSPSALMTDLMNDLLDLSLHNYETVRSYAGRSLTKLLKCWPSLISNCVLTLTGHLRDLKAPEHVVLGSCSILSSQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELCNFRYNLMANRVLLLLILASRSESGIYSKMLAETTAEKQYHVQILDELLDNMRHSSAQVREAIGVAMCVTCSNLRLSGSFDTSCSPEEPCGDVSMTEQTGNEYWSKCLTDRANESAVSILNSIQCKQLESTTDSAAENSMDHREEDNAKRMETIFHFMIASLKSGRSSILLDIIIGLVYPVLSLQVREHAAGVLASLMKGIDEDLSRDFRDRSYAQAQGIVVVRRRNSKSGHPVATVHGAVLALTASVLSVPYDMPSWLPAHVTLLARFIHEPSPIRSTVTKAVAEFKRTHADTWSIQKNAFTEDELEVLRDTSSSSSYFA >Dexi2B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:2B:5708368:5708747:1 gene:Dexi2B01G0006100 transcript:Dexi2B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKVSPDPSAPRGDERAASIPSRLLSRRIAAGGRRGGGGVI >Dexi1B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:1B:15601776:15608561:1 gene:Dexi1B01G0012490 transcript:Dexi1B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADADAAEVERLYELGERLSSAKDKSEHAADYEAIIAAVKGQSVKAKQLAAQLIPRFFGSFPTLATRAMSAMFDLVEMEELAIRIQAIRGFPLLGKDTEFVSKIADILGQLLTSEENVERDAVHKALMSLIRQDVKNSLQPLFKHVESGSEIREKIICFLRDKVFPLKAELLKPQAEMERFITDLIKKSVQDVTGSEFELFMGFLRSLSIFGDSAPRESFQELIEIIQAQADLDSQFNVSDIDHIERWSSCMYMALPIFMRGASSSKFLNYFVKQIIPAFEKIPEEKKLDLLKTIAASSPYAAAQDSRLLLLSVVQLLKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTER >Dexi2B01G0032850.1:cds pep primary_assembly:Fonio_CM05836:2B:40625758:40630020:1 gene:Dexi2B01G0032850 transcript:Dexi2B01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEIGGTLLFLLAAAGAVVAAVAIGAVDFSRPLTSAAAPLGFQEAVSWLIGVLEGTSSAAADVYGAWVAVRAGVIAPVLQVAVWACMVMSVMLVVEAVYNSVVSLGVKVIGWRPEWRFKWKPLDGADDEEKGSAHYPFVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPLIKNLVEVECENWANKGVNIKYATRTSRKGFKAGALKKGMECDYAIQSEYIAIFDADFQPEPDFLLRTVPFLVHNPEVALVQARWSFGTAGVWRTLAIKEAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYRAYCRQQFRWSSGGAHLFRKMAKDVLVAKDVSLLKKSYMLYSFFLVRRVIAPTAACILYNIILPISVTIPELYLPVWGVAYIPTVLTIVTAIRHPKNLHIMPFWILFESVMTVRRMRAALTGLLELEGFNQWVVTKKVGNDLDSEVPLLQKSRKRLRDR >Dexi5A01G0039940.1:cds pep primary_assembly:Fonio_CM05836:5A:40300975:40301633:-1 gene:Dexi5A01G0039940 transcript:Dexi5A01G0039940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLAAPKPCIFSGRGPAAARRCLVVVAAAAAAARKEVGRNEDGRAQRTVKGVGAAAMMMIGGEIEPCHAASSLQAVAVLGDLDPATAKAVAGVAGPALSALGFLFILRIVMSWYPRLPVTEFPYVLAYAPTEPFLVVTRRIIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQQQL >DexiUA01G0022910.1:cds pep primary_assembly:Fonio_CM05836:UA:46705457:46706070:-1 gene:DexiUA01G0022910 transcript:DexiUA01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHETNLTGVVKLNVQNWYCCAKMKAEITATEEAEITATEEAERLGLHLAVVLPCMTIGPMLQKELNVSNHHVLRYLMGVKRSYPTPSQHMWTSATSPTPGAAGRYLCAGVVLHRAQLVAKRAHDLGTTLRGTALYGTAMNRGDTSTTLQGFRHAVPCRRHYEHYRAE >Dexi9A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:9A:224107:233574:1 gene:Dexi9A01G0000320 transcript:Dexi9A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVALLDATVAAFYGTGSKEERSAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQARISIFLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQAILPPETIPDAYANGSSEEQAFIQNLALFFTAFFKNHIRVLEITPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAVSMMGLQAQMVPGVVDGTGTAVQQRRQLYSGSLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHIFYESVGHMIQAESDNAKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFHNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDATSVPYQYTDNAMFVRDYTIKLLGTSFPNMTSTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFMAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >Dexi6B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:6B:25774138:25777001:-1 gene:Dexi6B01G0018820 transcript:Dexi6B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKVRLDDVTLLNVLVASARTGTVKVGRLCHSLVMVNGVRINCYMGSSLISMYAKCGLIEDARKVFDGMHERNVVCWTSMISGYTQLGKELSVKNSLIDMYSKCGDVKKAYEIFSGLTERDVFSWTAMIMGFAVNGLCGEALDLFAQMEAEGKGLVERVVVIFYDKDHVPVEKFVFKIEVNQSYGPNLQEADLEFALRAFLIKLTVAEPVTKSLPSDGSWEITAYFRSLPADGDQEAQLWIPTDTKLWMQPPQITPIKSVSCDPLKMQLYLEHPSPTEPKNPAA >Dexi5B01G0032390.1:cds pep primary_assembly:Fonio_CM05836:5B:33014718:33016575:-1 gene:Dexi5B01G0032390 transcript:Dexi5B01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVTRLCSSKSIVTVNGQFPGPTVFAREGDFVVVRVVNHVPYNMSIHCGQSYVYKFTITGQRGTLWWHAHISWLRATVYGPIVILPKSGEGYPFPAPYKEVPVIFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKGDTFKLKVQPGKTYMLRIINAALNDELFFSIAGHPLTIVDVDAVYIKPITVETLIITPGQTTNVLLTTKPSYPGANFYMLAAPYSTARPGTFDNTTVAGILEYENPASPPPHAAFNKNLPLLKPTLPQINDTSFVSNYTAKLRSLATAEYPANVPQEVDHRFFFTVGLGTHPCAVNGTCQGPTNDTRFAASVNNVSFVLPTTALLQAHFTGRSNGVYSPNFPVSPLVPFNYTGTPPNNTNVSNGTKLVVLPYGATVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPVKDPAKFNLIDPVERNTVGVPAAGWVAIRFRADNPGENC >Dexi6A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:6A:17652578:17653129:1 gene:Dexi6A01G0011740 transcript:Dexi6A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGGCVGTTHLSPAIRLEFEEEILLVTARQGCCRERRGQSPAIRLEFVKLILLVRAHLDPAERGASEIGGNGGEIDCVLQITWPSPPEATPPGAGRRAARAAPPVGRRTAGRLVFCARRAQKPPNEPAACPEPSPAGRRGAAGDACRSCERRRDHGSETEDKWITAAESLRNILINLQYSPY >Dexi9A01G0024640.1:cds pep primary_assembly:Fonio_CM05836:9A:21122550:21126604:-1 gene:Dexi9A01G0024640 transcript:Dexi9A01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRCGARGGSRSSRGRGTTWRSRDMGGIATDAVREAHGPSRRRPSRRWWPCRSMDLKDSLSRFKQQQERCQSSLASIAANQALTSKPKHRAQPINAPSVPARPSQPIKFSNDTERLQHINSIRKSPVGAQMKLVIELLYKTRQAFTAEQINETTYVDIHGNKAVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLIRRYAEGLAVVEIKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFRDIELPRDMVDIEKELHKNGIKPMTNTAKRRAAAQIDGVKPKPKAKKKQREITKRTKLTNAHLPELFENLNT >Dexi5A01G0024200.1:cds pep primary_assembly:Fonio_CM05836:5A:28093298:28101249:1 gene:Dexi5A01G0024200 transcript:Dexi5A01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEDGKPPAAKVPPLVMFRFADCTDAALMAVGTVAAMANGMAEPLMTLVFAAIIECFGDGDDATILHRVSKVRNASPSISAIAEGQAAAQRLFKIINRKANIDITDTSGIVLEDIKGDVELKDVFFRYPARPEQVILDGFCLQVPSGTTVAIVGQSGSADATFEEIKRAAELANAANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRNADCIAVVHQGKVVERGVHDELIKDPDGAYSQLIRLQQAHTKEMHETVALVGESGSGKSTIIALLERFYDPDSGTITLDGTELKKLKLSWLRDQLGLVSQEPVLFNDTIHANIAYGKQGEVSEDEIISAAKAANAHEFISSLPQGYSTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAEAERIVQEALDQVMVSRTTIVVAHRLSTIKGADIIAVIKDGKVAEKGKHESLVGIKGGVYASLVELHSKSA >Dexi9B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:9B:13413203:13418553:-1 gene:Dexi9B01G0018820 transcript:Dexi9B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGGVQSPSPAAAAAAAQQQQNHSLAFRVMRLSRPSLQPDPIALLRFDPRDVFLPEDALTGTDPSAAADFLHRLLHPTDSATAVPGDFTFRDRFLLRDHADALALPGLLVLPQSFGAIYLGETFCSYISINNSSCFEARDVVIKAEIQTERQRILLLDTTKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFSVSNPLSVRTKVTSFQILFVRTIKVGFFWFCNCKLNSTRLEADEHPAVKSAIGDLCKQPILIRAGGGIYNYLYQLKSSSDETGQPKTEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPIIYVERPFMILPLVEAFESFQFDLSMVATQLGVQKISGITMYAVQEKKYYEPLPDIEIFVDAE >Dexi2B01G0023980.1:cds pep primary_assembly:Fonio_CM05836:2B:33459495:33462087:-1 gene:Dexi2B01G0023980 transcript:Dexi2B01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQPVPETDSSEFPDLNLGLQTCHWLDKKFIPVISGKTVLLVDQVLSEEGHVVTYLDCEEELCAHGRPTTVPIVDVASLHDELARHQMLSGSQVETWHGLAHQGPSLQRAQMRLKQLRKLRRGL >Dexi2B01G0034140.1:cds pep primary_assembly:Fonio_CM05836:2B:41415878:41419181:-1 gene:Dexi2B01G0034140 transcript:Dexi2B01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSSPPPPSLPAGGGGFFRGYTKLCKGLAVILLLVHLVVQLFPSAVTYLALIPARTIPFAWNLITAGYVEQTIPGAIISIIGLLLFGKLLEPLWGSKELSKFIFIVNFSTSACVFITAIVLYYITQQEIYLYTPLSGFYGVLSGLLVGIKQLLPDQELNLFVLKLKAKWIPSLVALISIVVSFFIKDLMSYLPVLLFGIYMSWIYLRYFQKRVETGLKGDPSEEFSFSSFFPEFLRPTLDPIASILHRLLCGRSERSDARGQTLDSSPLPGSDSIEANRRRERGQRALEQRLAEKLAAVRNSEGTSLDAADKV >Dexi8A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:8A:21573287:21573544:-1 gene:Dexi8A01G0012340 transcript:Dexi8A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDTLTRDAASVDCSTDKNMKDLIKIGNDLLKAKVARVNINTGEYEPKEGGGTNEAALKELAEKISMERKLRKKNGSK >Dexi1A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:1A:21822498:21825276:1 gene:Dexi1A01G0014950 transcript:Dexi1A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKAKEAAAAAPADKGKEVEEKKDEGGGGEEKKDDAPPPPPPPPEEVVMRVFMHCEGCARKVKKILKGFDGVEDVSADSKAHKVVVKGKKAAADPMKVVGRVQKKTGRKVELLSPIPPPKEEEKKEEEKKEEPEPPKPEEKKEEPTVLAVVLKVHMHCEACAQVIKKRILKMKGVQSVEADLKASQVTVKGVFEEAKLADYVHKRTGKHATIVKSELVAAESAGDGNAKDDKKESEGGEEKKDDGKEEKKDGGDAGGDGKEGDKQKHDGNAGDGEEKDKDPATMANLYMHYPRFNHQSGYGYAYQYPPQIFSDENPNACAVM >Dexi6B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:6B:21623893:21625457:-1 gene:Dexi6B01G0013900 transcript:Dexi6B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAAATEPEDAAGDSPAASTVAAGCAAATDFGGMVSAIPAAVVRPASSDDVANAIRAAALTAGLTVAARGNGHSVSGQAMADGGLVLDMRALRRPQMQLVVSPDGARFADVPGGALWEEVLHWGVKTHGLAPASWTDYLRLTVGGTLSNAGVSGQSFRYGPQVSNVAELEVVTGDGECRVCSPSSHPDLFFAVLGGLGQFGVITRARIPLRRAPRWVRWARVVYASFAEYTADAEWLVTRREEDDDEVFDYVEGFAFVNSDDPVNGWPSVPFPGGARFDPSLLPAGAGPVLYCLEVALYQDPDDEDKVGGSNCLIPPRRVLFCGEMSGPSRNPPLDSVMGAAKTTHGGVMGKRVSRMMAPLKYVRGLEFAADVGYVDFLSRVNRVEEEARRNGSWDAPHPWLNLFVSSRDIAGFERAVIRGMLADGIDGPMLIYPMLKSK >DexiUA01G0027680.1:cds pep primary_assembly:Fonio_CM05836:UA:59067574:59069235:1 gene:DexiUA01G0027680 transcript:DexiUA01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEPIRHRQEERLGGDGVVSTSPAMCRPVCLHRRLACSGLLGSSSMIEGRERPPRRRPPLRSTTRGQSPPILPPPILTPSQPLVPPLPASEPPPLPSPSISKLGRSMTTRPAEAGSTAGGGGAADKQLVPASNGAIRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSSSHAAATPFILGKRVRGADEADTEPTVAAPAPGFWALPARPDFGQLWSFAAAPEMMVAAAAAAAAPAMAGEASAARSN >DexiUA01G0021030.1:cds pep primary_assembly:Fonio_CM05836:UA:43313503:43313988:1 gene:DexiUA01G0021030 transcript:DexiUA01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAIPHGEHVTVMVFVRHGETEWNASGTVQGRLDHELNERGRQQSAKVACWLSKQVQPAAVYSSDLKRAQETAKKIVAACPGSKV >Dexi9B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:9B:1557363:1559465:1 gene:Dexi9B01G0002780 transcript:Dexi9B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAVLVATAQLLLLVRGGSPAATAVEFVFPGFSRDNVTTSGAAVVTSASGGLLKLTNDTDEVFGHGFYPAPLRFKNASTGLPLSFSTTFVFAIAPRYPDAHGHGIAFALAPSPAVPRAMAGKNLGLFNTSDDMGQRTSSVVAVELDTAQDAEFSDINDNHIGIDVNALVSKNSTPAAYFDVTTGRFVKFSLVNGNPQQVWIEYDGESTRMEVTISPAGVPRPAIPLVSCVVNLSSALAGDETYVGFSGANGAAPSSHYVLGWSFRLGGGRAPDLDVSKLPSLPSSRSKKTMPSLLQIFMVLIFAVLVFILVSVAVVVLVARRRRFAEEEEDWEIEYGPHRISYKELHDATRGFRDVVGAGGFGTVYHGVLRRSSSGGAAADEVAVKKVAHDSRQGLREFVSEIASMSRLRHRNLVRLLGYCRRRGELMLVYEYMVNGSLDKHLFIVDDAGDKKPAALSWERRGKIVRDVAAGLLYLHEGSEQVVVHRDIKSANVLLDADMNGKLSDFGLARLYDHGSNPQTTHVIGTLGYIAPEMSKTGKATTSTDVFAFGAFLLEVACGRRPMNRNDDLDSPGLVDLVLDRLKAGRIMDAKDPKIGECDEADLELVLKLGLLCSHPDPRSRPSMRQVVQMLEGTAPVPDTPPKDLGSSSNRLFYGYRESFDEFATVFLASETTSVTTQPCSSHSNDEKQEVTSG >Dexi5B01G0026900.1:cds pep primary_assembly:Fonio_CM05836:5B:28544561:28550006:-1 gene:Dexi5B01G0026900 transcript:Dexi5B01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLKVAEDLLEVVDRRAKIAATEFSDEQSTSQPSGSNSQEVQAKKGKPREKGPLKLTSADGGSKTASQRERKSRQPPRERMKIEKIRPSPPADSSSADASASEPEIAPVEVKEMNSEGTLEKGEKATDDLKTDGAGTVVDTVVEVRPMEINSGDAAPTVDVAHSRNSGTAVESSSSVPDGKSESGHSNQTTEIGPVVNLEERDSAVAVIQDRNMSESSNTEGTVKLQESKKENFPDSQESIDNQHGPVSAKEQDQLEEAQGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEEDMDGLKRNRELTETRVIQALREELATAERRAEEERIAHNATKMSAVEREVELEHRAVEASNALARIQRAADQSSSRALELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVLQAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSLKQFHEVQIEAERTKTTRRSASSWEEDTDIKALEPLPLHHRHMATANQQVDMTSATLKLQKAAKLLDTGAVRATRFLWRHPVARVFVHLFLMHLLHRLQDFASREGPSDMGGLANANLP >Dexi5A01G0024590.1:cds pep primary_assembly:Fonio_CM05836:5A:28417072:28418267:1 gene:Dexi5A01G0024590 transcript:Dexi5A01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPFLRLHPRAAYPSAPASSPSPRCRFGSVAYYCSKAIPPPSPSSNTKQISRRLLLVCVLACLMYLTQLGRPFPIQRVNGSTTQHSGTLQGQVLSSTINHDGKFHNKRHVCFAAADKQEPVISHTSDTSALQEIENGTKLAPASESSSYFTGRD >Dexi9B01G0037560.1:cds pep primary_assembly:Fonio_CM05836:9B:38924877:38925667:-1 gene:Dexi9B01G0037560 transcript:Dexi9B01G0037560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLSSSSPRFTAKLAGVPRSSSFYTQLSFCSRHPFHNAAAAAAAFQKLPPELLLPSSPTRSKHARTSCRATDNDQAAAQETTAPSPASSPAAAPIPNANGSEPPKRTPLTARERLRAARVLGKYAEPSAKKGSPTKSGKPEFGSGVLDALREADAKKAGGGGGGGGRRGSRLPEAPGNLFDDSKRGMPKEGWTFELPFGVDVFLVLVSFTLITTIMFGTAFLVWKLGGIHFNEY >Dexi3A01G0033510.1:cds pep primary_assembly:Fonio_CM05836:3A:38254674:38257442:1 gene:Dexi3A01G0033510 transcript:Dexi3A01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVLHPAINWADKESHVDDDEMAKIKTAFVGNLPENVNDEYLRKLFEQFGEVIRVAISRKGQRPVGFVHFASRSDLDNAIKEMDGETVSVARPAVDNEKKRSREEVRTRRSDVSGDRPDYSYRRQGHDSHDRQVKAPRSSNYVADASDPYESALASLPSAAKEILLRILRLGIGTRYDIDIHSVKSLNELPESSAVAVLNKFLISGGDKRNKGDYFDSLIAKHQVENFGLAHTLHRATYLPRNSEMHVKQYPHEEYDYMAPGSSRYNSGHHPSSCYIDDPPESQSRIRRYGEERSTILRNPEPRHDEIDTKRYPEPRLAHESRHNTGKYLDRGYIPEQSLSIERSPEEAGLSRGRRFLLAGGYGTDLGSDFRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGQPIRPEPNHRRSGSLY >Dexi3A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:3A:5946229:5946759:1 gene:Dexi3A01G0008490 transcript:Dexi3A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRERLGDSQCDPDGADEGDGSPEQAADETHHLTDPVHRVQQLRASAAAIRRSQDQGGGQGGGRTLSQEASGSRYLASLEESAWAPTPPFCCCVCARDCFADDLALAISLSLWKAAAGSPRRGGRMDGIAAAHVHGGISSRWAAAAPFLSLASFLYARGAP >Dexi9A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:9A:9250050:9250376:-1 gene:Dexi9A01G0014080 transcript:Dexi9A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQHFFREPYLAFDPAVSPHYEVFLVPRIDDRGPRYGVLDPAIEEGEWPPSPCIMQVFSSRTGVWEQRSYLRQGEAAGTVAEVRFDAVLIYDKRNAVTWREELYCAL >Dexi8A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:8A:16361361:16361921:-1 gene:Dexi8A01G0010120 transcript:Dexi8A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGASDPAAAERTAFRRAEKQYKLYKPPNIKGKSRPRNKPPGVEGGGGDLSAVVDFHALLAADGELPAGICRRDCAGFGRPVFCFLDRPGG >Dexi9A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:9A:214984:215753:-1 gene:Dexi9A01G0000310 transcript:Dexi9A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVSSLTVPLISAFLGGAIALVFFAGYLRRKRAAIAHIPPSATAAAPDQPKHVRPSNQTQHKKGHPRPHQNAADKDAAKKHHHLDLNTLRGHTDSGIQD >Dexi5A01G0028550.1:cds pep primary_assembly:Fonio_CM05836:5A:31781463:31784560:1 gene:Dexi5A01G0028550 transcript:Dexi5A01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGKSQYANYSANYIYWGKGSIRFRLINQRYDFAFALFTGGLENPKLIAVSKPISFKDPKAPVYPRLAQGKSHDEMAVTWTSGYDISEAYSFVEWGAVGGGSGQLARTPAGTLTFNRGSMCGEPARTIGWRDPGFIHTAFMRDLWPNKEYYYKIGHELQDGSIVWGKPYTFRAPTSPGQNSLQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVKDLDNYDIVFHIGDLPYANGYISQWDQFTAQVEPISAKKPYMIARYGSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNDWYAMEGSFEEPEGRESLQKLWQKYRVDIAFFGHVHNYERTCPVYQGQCMTNEKRHYSGTINGTIFVVAGGGGSHLSSYTKAIPKLPRPRLRLTAFNHSSLLFEYKKSSDGKVYDSFTIDRDYRDVLSCVHDSCFPTTLTT >Dexi7B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:7B:2348539:2350389:-1 gene:Dexi7B01G0001430 transcript:Dexi7B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVKRPFDAAAASPIPHRFQAAVSTISTAQILQASGYSAAEAAALRALSDIAGRYIKSLGRNAVAFAELHGRTEPNVANVVLALEEHMLGGFPGASNPTRPVLCSGALTELARFVALVTEVPFAKPLPRRALGSSSSKVWESFMAAEREPLLRHVPHWLPRFPEGWDERLHSHGEAASKDKDTREEVTVIANGNLVENGRRAVLENREKKFRLRMFIMGWELTEIPGNPTPSLQDSTVDVVAAKIEPKLANALIRK >DexiUA01G0026580.1:cds pep primary_assembly:Fonio_CM05836:UA:56883690:56883950:-1 gene:DexiUA01G0026580 transcript:DexiUA01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREELMRKIRALEEGQAELKRESQQPLAVAAAASPRRAAGLSRRHHAMVMQSLGQAVHVLDPYGKILYW >Dexi8B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:8B:16228215:16230959:1 gene:Dexi8B01G0009290 transcript:Dexi8B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILSSITLMEMVLESLIYWIPIWYELKLLFIAWLVLPNFRGAAFIYDKFVREQLRKHGLTAGGSSKIKKDDKSPSSSPKDKPKSKFLAFVTPKKLFDAYGDSVCVNINRNIVWRQEQNFRADLVNEIQRPTVTLLYPLYASVQAMETSSKLDDEQWLAYWILYSFITLMEMVLQSLIYWIPLWYELKLLFMAWLVLPNFRGAAFIYNKFVREQVKKHNGLLAVGVGSVSTNNSADEDRPISTSPKERKHRKLLSRVIPQKLRF >Dexi9B01G0036280.1:cds pep primary_assembly:Fonio_CM05836:9B:37809204:37810529:1 gene:Dexi9B01G0036280 transcript:Dexi9B01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKLTLLLALLTAALAISCSDAAIRTQLTRADAGRGLTRRQQLRRMARRSKARASRLLSATGSSSSSSSASVPTVPGKGQVADNEYLISFAVGTPPQPVQVTLDTGSDLIWTQCQPCPSCYDQSLPYYDPSLSATWSVLPCNSSSCNQLDLSSCGTHSWGSSTCVYTYFYGDQSVTNGLLDADTFTFHGDAAVPGLAFGCGLFNNGLYSDNATGSGIAGFGRGALSLPSQLKVDNFSYCFTDITSSTPSPVLLGLPANLYGGATAAVQTTSLIQSSDNPTFYYLSLKSITVGSTRLAVPESAFALANNGSGGTIIDSGTSVTLLPPLVYGLLHDAFVSQVKLPVTSDEPLCFAVSSAAAGKKQEVPKLVLHFEGATLDLPRENYVFEMEQGGQSSMCIAILSSGGDTTIIGNYQQQNLHVLYDLAGNKLSFVPADCDKV >Dexi6B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:6B:17877197:17880873:-1 gene:Dexi6B01G0011010 transcript:Dexi6B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKNFLVQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLSLADVETDKDDRPVYPQKILSVEVLWDPFEDIVPRQLKKAESVAKADAEVKPKKKAVKQLNVFSFGDEVEEEENEADSTVQAKIKSIHDVLDDPRFLKGEPEDIQLSKEQEEKKKDTVLSVRDALISKKVDSREPEHSPDSDDYPEDENEEDFDNRMRSQILKKRMELGDVRPRETSKADKPHRKDKELPDRRRDNDEDDDEHEHELQKSKKLSLKKKGVGSEASSERMSRADANLQLLNPAEQERHLKKQQKRRLQGREDETLAKLQKFKASFLSKNTPTDHVKEKDLATDKVEKEAEEDYTGWHTNRLSFLPDSSKDGMTRKDDPDDYVVVDPLLERGKEKFNKQQAKLKRREREWAGRSLT >Dexi5A01G0029150.1:cds pep primary_assembly:Fonio_CM05836:5A:32316099:32318387:1 gene:Dexi5A01G0029150 transcript:Dexi5A01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVDRSNMLKEFYIPTYIFVPESPVEHVSQIPTCPVIVFINTKSGGQLGRDLIVTYRKLLNHAQVFDLLDETPDNVLHKLYSNLERLKHIGDTLASEIYKRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPFSFGWGKRNPGTDQDSVISFLQSVREAREMNIDRWLAYRHENGKPKRNILTRIAGVFGITSVWVSSLFKKGMDAQVSYAFHSERKLHPEKFKNQLSNQVK >Dexi2B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:2B:26521089:26521704:1 gene:Dexi2B01G0016380 transcript:Dexi2B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPPLLSLIILPCRPVLLSRHSKLFPSAKSGSIAAAGVGQRLLRWPDNGRRRGGGFPCFSYNANNKNPIPSDKSLDEWPILRRWDVPWEWQTVVLTMD >Dexi6B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:6B:25151312:25154501:1 gene:Dexi6B01G0018080 transcript:Dexi6B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSAPLLALPVTEKLSKSNLVLWQAQVMPAIRGAQLESHLDREAAVPPKELTAQIDGKPVKQANPEYITWVAKDQQVLSYLLTSMTREVMTQAAVEVIFSSQTRTRAMNTRIALATTQKGTLSMADYIAKMKTYVDDMTVAGKPLEDDDVISYVLTGLDTDYNPIATSILTRADPIGLDEFFSQLLSFEMRLDMQHHGGGSSSANMASRGNGRGRGFRGRGRGRGGGSSRGRGNKNNSRPPNARGNNTNNGGTRGGDRCQICGRGNHSAVDCWFRFDEDYIANEKSASAAMHSYGVDTNWYTDTGSTDHITSDLNKLHVHNSYHGNDQIKTTNGILLRGKCEGGLYPIPTEYKSHALSTFKPSTSPAVLGASPLAPLTANAGSPPTPAAPRGATSVATAASGTAGESQQGAGSIAPDRSSVPIYDNWMYRTRFFMVF >Dexi9B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:9B:6126395:6127945:-1 gene:Dexi9B01G0009950 transcript:Dexi9B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSVVVLRKRPLSPPCGHESARRTRTRSVPEEDPAADGKAAGAAPAPALPDDTVPTPSVDRRKRALSPPRGSDSDSPRHKRTRPMPEEAERAADGKDNEAVPALALPDDMLLEVFKRLPPPRDVVRCAAVCRRWRRAIAGAEAACLPAPPRHFGFFLNYDPSPLPPFVRTAGVALGVGALPVPPASRAFIVDSRGRRLLMRELGPGSIPDLRLLVCSPLDMTCVRLPSPYIAGHRVACSVLVPGEGASFRVVVVLFGSDPNHFEVLVYSSSSSCWEAATGPINRDVVVRRGPSVVIGDVVYKLQGEDKRILVVDALNMKLSAMPLPNTGTLLYVGNHWIGKTRDGRLCFFAMREQLTLVKWVLEDPGKWAEQRPVNLRSLMHPALVGDLAQTKLSAKMSDQLRGCKLVSFAAFCEATGTLFFIMADWVVALDPRTGWLQRLWHNPDESRPLGDVYPCEMMQWPPVLKDLGEAHAAGGSVAN >Dexi2A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:2A:5964762:5969419:1 gene:Dexi2A01G0006320 transcript:Dexi2A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQGHKLPGRVTPWWVSARPSPDAPRRLHLVELQPNAKGLSSLAQAPAATPQTPLAFLARLQAPDLQHLVRASTVRSCYGNAPIPCRSYIRGDDEQDKLNDVLHEVLLRLPADVLCRLRLVESRGGLVISSLALLGQIPSTGQYKVLRIHRYLKHNETKQICEVKWRPHSLRGFYLFDDSKKTRRTRTKVLLYLPADELCRLRLVCRSWWSLTSDPIFAKAHSSRHPLIVGLRHNRGFYDVHFVDPFSGNVVKQIPTGRSWYVHRVSTDHGRLCISGDDRYDPEKNLVLNPANGAITMLPTTSIVTKYVKESTSYGRYTCLLGWVPSTGEYKVLRMRHRHGCQNLLDDVELDYHIATLGGDGNRDSCWRVMPRPPVLVAIGSLDRVVVKGVAYFLLDLDLWYWRAKSDDIVEFDLATEEWRYPMLRGPFTSHKINAEEEDRHALQRNGNKRSKLHAPFAAANDSILPTDVLRDVLLRLPADELCRLRLVCRSWRSLTSDPFFAKAHLSRHPLIPDDHRDLEVQFLDPSSGGIVKRILMVGPEECYELSAHHWRVCISNRYPDKAYMLYPAAGSFTMLPTSCEVTEHENNRTITYVSVLGWVPSTGEYKALCIRHGHGPEQSYHIATLGTDGSICCSGGLLAATT >Dexi4B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:4B:16447511:16448351:-1 gene:Dexi4B01G0015150 transcript:Dexi4B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGRPVFDGMEFRASAVLVKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFE >Dexi8B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:8B:9161643:9162477:1 gene:Dexi8B01G0007540 transcript:Dexi8B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFMGGSRAMASTRRPSFVTPRSFFNWGKPTGGAESLPPPQLQFRYHEDVELPFPMSLVAKTHLRERELKCCYKATVDGFSATDFHRRCDFKGPCVVIGYTSTNSFKFGGFSPEGYRSTDDYYDTLDAFLFYWPEELTPPVVLPKVGGSGAALFDYSRGGPQFGADGLLIGPPLTAVMGVFTGPDSSAGVGDLRSARSRLGLSYARLPDGKESLFGDEGRAQLAEVLVFCSPRIASLY >Dexi6A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:6A:1360017:1361003:-1 gene:Dexi6A01G0001530 transcript:Dexi6A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYVRDNGIHLSANRYPNLALMVVAIVPPAAAERRGPEALVSSAPQPHPHPRRRTHQTPPPAAAARHRVALAASQRVHPSPSCQQGG >Dexi9A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:9A:13338693:13339159:1 gene:Dexi9A01G0018300 transcript:Dexi9A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPRALLVLVATLAGKPPLNPVAPAASNDDDGRAPAALLLAAAPRPARGAWVESDYPSSVPCGVTIPVEQCDPAVDAANAACRDMCHYGGRRGGRCVSPGRDALVQGCHCRC >Dexi3A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:3A:7748209:7749189:1 gene:Dexi3A01G0010860 transcript:Dexi3A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWALSGGGAQASPVDALIRSGLLEERPADGAGVSLDGKRALKWAFDNGLGLVFVAVYRRVLRLLYVDDLLAAVRAEFARVYNPKRTSYDGFGDVFRQLHLEAQARADEMNKFKQAPIKSRPPSPVPVPPSHNDVPKVPGDDGGNDGGSKQGGDSDGESGKEENSGDPEPKDGGAFNLGILHRLRIKVIPRKDINNVNGNKNNNKGRKKNKEKEGTHRKLDFSDPVDGGKVTDHVVVKKGVEGQSEMDTDENVGDGPKAKGWFSSVFQSIAGGNTVIGKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAAP >Dexi2A01G0026010.1:cds pep primary_assembly:Fonio_CM05836:2A:37603240:37603644:-1 gene:Dexi2A01G0026010 transcript:Dexi2A01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYALATLFNRHKPPAIAGAGDAALLEVLWAPVLLIHLGGQHFITAYSIEDSELWRRHLVTLVSQLTRLPSTSSASLGPAEVAILLFVVGILKFIRRPWSLENASIDNEKKETD >Dexi2B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:2B:3795367:3798285:1 gene:Dexi2B01G0004330 transcript:Dexi2B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGATASHDGGSAAGGGADSGGAHLCIGRPRFSSIPDERVPMPGRVSAYEQTLRNYAEKKSGVVIDPAVGTSFDSRAEAYEFYNLYSWEVGFGIRWGKNRKNAAKSVVGQYIVCSCMKSRVLSKGVRIEEHAAKVYTRAMFEKFGEVIFESGSYVVSEKDKGKAYVARHIRGDRQETWSQVEFEVTICAEDGTVVCECGLGEHMGMAYALLPCCKGDDTLGNAGDTCWKHRETLDEERSCAKDISPVHLTGYPKDVTPGMQQAYRYSALYVSAMELVDLGALNHDAFAIATAALAQAKQRLLEAGKAKDGARLGKQPTRSAGGAAQGSVAQEVSVVTMDDTASAAPGRKGKLLHHLPAEQVSQPNPQLQLTCEQACHFGVVGTSVAICTSGNGNPAK >Dexi7A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:7A:19971011:19972787:-1 gene:Dexi7A01G0008740 transcript:Dexi7A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLGGLSAILVVAVVVGVVATVTRSGKKAGDNFTVPGEASLATSGKSVNVAKVAFESVKTAIEQSKTIGEAKSGDSMTESAREDCKALLEDAVDDLKGMLEMAGGDIKVLFTRSDDLETWLTGVMTFLDTCIDGFVDEKLKADMHTVLRNATELSSNALAITNSLGGLLKKLDLDMFKKDSRRRLLASEEQDEQGYPAWMRSPERKLLASGAQPKPNAVVAQDGSGQFKSIQQAVDAMPKAYQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFSIEASGFICKNMGFHNTAGAERHQAVALRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWNGDFGIKTLYYAEYNNRGPGAGTSKRVNWPGFRVITKNEATQFTAGPFIDGALWLKFTGTPHILGFKY >Dexi2A01G0031440.1:cds pep primary_assembly:Fonio_CM05836:2A:42176145:42176988:-1 gene:Dexi2A01G0031440 transcript:Dexi2A01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMRKVRTLKSLPMLEKEISELLRSLQPPRVPVECLANTYTKKYGKPLKIEVLGTESQQCDSSLTCLLTMLCTTRVIERHGQCYIVPVEEAPKYLDDDFKLVMPAAGSGGNQIYITFGPKSAFTKEDVWNYFSQYGPVNDVQIPLRKKRVFGYVSFLYNGTVEEILSETMKPHFICGYPVFVKAWKEKHELK >Dexi4A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:4A:23024767:23026414:-1 gene:Dexi4A01G0019190 transcript:Dexi4A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSSSGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRSTGDEEKVGLLRRLVPGAAERLRLFDSDLFDAATFAPAIAGCQFVFLLATPFGLEAAGSKYKSTAEAVVDAVRAILRQCEESRTVKRVIHTASVSAASPLKDDGSGAGYKDFISESCWTSLDVDYPLRSAHFDKYILSKLQSEQELLSYNAGESPAFEVVTLPLGLVAGDTVLGRVPETIESAVSPVSRSEPYSGLPRILQQLLGSLPLVHVDDVCDALVFCMEQPSIAGRFLCAAAYPTIHDVAGHYASKFPHLDILRE >Dexi8B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:8B:28138299:28138832:1 gene:Dexi8B01G0016960 transcript:Dexi8B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGTSGRPVRLLLVLMASGVLLQALSAHAITRHYRFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVTHNVTIHW >Dexi2B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:2B:22740117:22746952:-1 gene:Dexi2B01G0013720 transcript:Dexi2B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTGAPAFRFLPSEVAEMEARLQQLNNSIPNRVVLQTLADKFSASPERAGRVSIQPKQVWNWFQNRRYSHRAKTTSRAAPPPATKMTPSGADHIQHATSSSAFRAAAQQPSAAAGHHGSSPAAGKNLVEGVQVEFEAKSARDGAWYDVAAFLSHRLFESGEPEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDSSEEIVPLRKVCRRPETDYRLQILHAARAAAAAVDIHTPPKEVKMELTSNEKSPVEQKPPKQHKMMDVNTDEVTMVSGVEQEVTPSKTGAPLPSATPETRNSSSDVVMREGESASAVEDDDEVQVVEKMKEGE >Dexi9A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:9A:281960:282223:1 gene:Dexi9A01G0000440 transcript:Dexi9A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAAPAGPVCGVTSSIHRQTKVDSACMVAWTDACCPGRPIDDDTTDPPLIYIRLSQDN >Dexi1A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:1A:25125739:25127207:-1 gene:Dexi1A01G0017800 transcript:Dexi1A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRASAYSFGSIALLLLLALAGSSSAQLSTSFYSSSCPNLNSTVKSVVQSAIATENRMGASIVRLFFHDCFVQGCDASLLLDDNVTASFQGEKTAAPNKDSVRGFDVIDDIKSAVEAVCPGIVSCADILAIAARDSVVILGGPTYDVKVGRRDSMTASFSEANKSIPAPTSDLSNLISLFAAQGLSQQDMVALSGAHTIGQARCTTFRAHIYNDANIDGAFANTSKSGCPNTSGTGDNNLAPLDLQTPTVFENNYYKNLVGKKGLLHSDQELFNGGATDAQVQSYVSSQSTFFTDFVTAMIKMGDITPLMGSKGEIRKNCRRIN >Dexi2B01G0023580.1:cds pep primary_assembly:Fonio_CM05836:2B:33051247:33053855:-1 gene:Dexi2B01G0023580 transcript:Dexi2B01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDSYSSVQNVALDTLFLIVFQAAVVIALAKFIHLGLRRHNLPSATSQILAGIFVGGLGLHDVIVHVDVSNAEDTYGHIVSEARIFYMFYVGLEADVAALWNDIRRATVLTYASVATCLLLAAFVSGGMYGSMMHTPVRSPELLAAVLMLSIADTASVDVSRMAGEMGLTATPSGRLVVATAIATNIICIVGEGVFSCMKLASSRTPGYSASQRLGLGVLALVKVGVAVLLLRPAVAFMNRRNAGRHRIGNLELVLVLVAVSLIGNFPQDVGFDGMPVSLLLGLAFPREGPVARSIMDALAYPLHALALPFYFGAMGMRLNFSAMSGAILVPAILLTLLGLIGKCIGTMAAARFLKMPASDALRFGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVVGSMISTIIAGPVFAVVFRKEKEAYECNHQALEHTSPDQELRMLACVHGARGTPGMLCLLELLASKPRAQPAIHVLHFYDAAARKHASGPWQYHRRVVQDRDHKLTDHLRDATTQVNWSVDVFTCATGLAIRQVDAGDRGAAANAKTVRRWTHDVRPGILLLPYHREQHYDGTMVCRRDDRRELNAKVLDRAPCTVGILADRPFRRSGTSFQLPAKISTTSTEEKVTTHVAAVFLGGPDDREAVAVACRLAKNESISLTLVRFVLRRESTHDEHDGVAARSPDVVVVDGEVSVVVVDDDDPDEECVAAFQREYVAKERGEYEEKEVTGPMDVVEALRGMAGAYAMVVAGRGGRQPAELVVGLEGWAECADVGPVGEIFATDQSLEMGSVLVVQQKTAPPVPPGSAAGNLIT >Dexi2A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:2A:11275805:11277478:-1 gene:Dexi2A01G0010120 transcript:Dexi2A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTKPEGDHGAPKAAAGEDVVIRVPVHCDGCARKLRRSLQRLLDGDGEVSVDSATNTVVVRGQKAAGNAAEAVGIVEKRTGRKAVLLSPAPEKLPPPPPAKGTEGTKNDGGGKITDDLPEINMKMVVVLRMNLHCDACCEEIKRRILRIKGVEDAVPHLKSSQMMVKGLFEPATLVGFIHNCTGRKAAIFRAEPLDHPPPPPPPKSPPPATESEAKKPENTGDKKDEQENSGNKEEEEENKAGGGEKDSNKEPNKAEKPDGGAAAGVEEEEAHGDGDEAAKKGDADGDEEVVLESNKKGDHLFAAVPLPAGVVAVAPPPPSPETMAAVVDGCVATYYYSYPSSSYYPYYAHAPCTWHQYQCPPPVYPSYSYAYGGGAGMYGYPSYATEAFSEENPNTCTIV >Dexi3A01G0022830.1:cds pep primary_assembly:Fonio_CM05836:3A:18443961:18445928:1 gene:Dexi3A01G0022830 transcript:Dexi3A01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMSTNQALLDAQLELWHTTFGYMKSMALKSALDLRIADAIHSNGGTATLPQIVCRVTLHPSKIPCLRRLMRVLTATGILSVQPPDVGSSEPIYGLTPPSQLLVGSSSQTPFISLMLHGIFVSPFFGLGTWLQDEHSDQSLFEMTHGKPVWDLTDHNPTFGALCDEGMVSDSSFIMDIVIKECGDVFQGLSSLIDVAGGLGGAAQSISEAFPHVVCSVLDLPRVVAKAPTSSGVKYIAGDMFESIPPANAIFLKACLTRFSQGTPTF >Dexi9A01G0036450.1:cds pep primary_assembly:Fonio_CM05836:9A:40893990:40897726:-1 gene:Dexi9A01G0036450 transcript:Dexi9A01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPRSSQLLFPSTPPRSVLSLPRPKSPTMAAAAATSSWLLRRPISSALLARRESPRILRATLSYSSFASAPAASPPSPPPPDTSAVDGGSGMKWESARKKRVVLRVGYVGTEYRGLQKQRELSVDSTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGISLASFINANLPNNVRVFSILPAQRSFDVRRECLYREYFYLLPAESIGIKHGCSSEEAQEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGGHRRIKGASSMLKSMSSETGMEERSSEEATPSDHDEDSNMSLLVDPGVSEDNCMNDIGELSGNRVQIQARWLYEPDESDRLNASHFREIITSSCGELQSSSGIQFVELTICGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEVLILRDNSFSTKNKEGRIVRPGIQSMNTSAEIRKGVEEFYRTALLPELSKFLDPSMPPWKEWVENLDRFAAIPDSQLDEVREAYRIWKADYERAKMARKSATDV >Dexi3B01G0022630.1:cds pep primary_assembly:Fonio_CM05836:3B:17372266:17375273:1 gene:Dexi3B01G0022630 transcript:Dexi3B01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFVLNTGARIPSVGLGVWQIQPDAVGNAIYAAVKAGYRHIDCAAAYRNEKEVGMALKKLFEDGVVKREDMFITSKLWSANHAPEDVPEAIDATLQDLQLDFLDLYLIHGPIRIKKGTTMSPENLIPTNIPATWGAMEKLYDSGKARAIGVSSPGTPGLNGPSVLNNPIVLSVAEKLQKTPAQVALRWGIQMGHSVLPKSANEARIKENIDIFDWYIPEDLMAKFSEIKQACLNVRLLRAEFAVHPQSGYNTLEDLWDGEI >Dexi9B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:9B:14320755:14328084:-1 gene:Dexi9B01G0019710 transcript:Dexi9B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATTTTTTSPLLLRCRPLPSPKTLAVAPPSPLLPFFVRLRLFAATSPSPPSASAASPRRRAAAAAATSGEKKPPAARAKRAGAVPPPAAAGMSASGGGAGGKRTVADVLMGNARAAASKAKKAAPSPKKPQAKPDGAEVEAEAAAVAEKPPSPVRSKRASSLAKSPKSLADGAAGEKKRSTSPAKSKGPAAAAQLDEAKENSKENSPSPKGPKTLAAKSDAVLQTDGKKKRSPSPTKAKGQASQPEEKQEPASLKAKPTDPPKSVEKNTTLELKKKGSEFDPMAAAYWNPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVMATTPDDLLATVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTISRVLSTFQTIAKESGKDSQDKKRNHMKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGHAAVYHDKKSSPPKVQSPFEEAAKIIKQAYSVLPIYDKIVPALLEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLNHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSIGDSLDLVPIAAFYGRGKRTGVFGAFLLACYDEQNEEYQTICKIGTGFSEQQLEERSSSLRSKVIEKPKTYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPSKGISLRFPRLLRLRDDKSPEQATTSEQVADMYRAQKINHGYNQEDEDED >Dexi3B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:3B:2747765:2754605:-1 gene:Dexi3B01G0003960 transcript:Dexi3B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVSRLLRKIHAFYLKATKRLHVTPPHRRRTAAAGRFVGVCGGLCFGLLDPVSNIVVNTLLVSANNEGSRPATLEDLKRRSLDAMVAFLTRMFPDLAECQALRYLRLAGADLLVAARIVASDVGLKRFDEPASRPAATESLSMALKCAALAAGADDLLVDGWKKISTHADEVLRLLGLLRGALRRSSSIGKLARLLLMLRRRPQAHAGVDLWWAWQLAACRSIRPCDDGVPFRHTPLLIRTLQDAIHGFYLQALARLPSGELRSRFHRSLLKGGHCYGPLDPVSNIIVNTVWYDAAFPRTHELDMDVIGTRSIHRLENQSLYGMASFLCTRYHSIDFHEAVCFLLEADANLLLADPNLDSEGTMASVYGMWGGVLRHGEACLFNDQDHLEPDTGVQEAFLAAAMAAQHCNPDAQVKLLTSCKEMLGPALSLLQGGGKLSSEDVHRLAMLLCPESPRETKPLLPLPLTGYPRAEVADAHTRITKKVRAVLNSYEQMPNRDPTFELHTICGVNDYVSGPQGPRRKFYHHSHINFVAIPKSPDGGGVPVLFFAEISTDDNDRDLEWGTGASVLGALTVAAWPAQRCPPRHLRLAWLSDWAWASSGTTKRPEVEASGGTDSRTWMLASATASRLAGARHPFVGLAGGRTSDVPGIAPSATAADWTTMTQQLRAETTVTDKVEEGG >Dexi9A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:9A:1084450:1085618:-1 gene:Dexi9A01G0002090 transcript:Dexi9A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTFCRDRAVEMHFWTLDMVPWDGCSLPDAIQIYVQEAKWSNDKYIPTFKEHTEVSLMSSWASTLCLVASAFAEDDVLATEQAVQWGLGMPDMYMACAEITRFRNDVASYQEHGVTGDEAAAAITAMVELSWRRINQGCLEMDRALLPAAARPVVGMSREVEVIYLDGRDGYTFGSDINHIIDRLFVDPVPV >Dexi9B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:9B:5868027:5868773:1 gene:Dexi9B01G0009560 transcript:Dexi9B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVPVEDAGGGPAAGTTEEATTYYSCHVTWLAWCNTVRCALASTFLPCPPAIAPNKSPFVRGTLFLPTTGSDRRVRLFLHHDHHHASSTTDPPPVTDDDVAHEHFLAVLDLPSGLSGADIAAAGRIVLEHQRQWGPAPSAASTPCGVGPLLASATWLVYCNGRRVGYAARREAPSDAEGWVLEKLRAVTAGAGRLPGGGVEYLRGRFERIVGSSDAESFHLLEPIGWPEVNGDGDGGLSIFFHRI >Dexi9A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:9A:5976282:5976646:-1 gene:Dexi9A01G0009910 transcript:Dexi9A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLVVFGEMGVTAAVAAPEPCPVVCIQGGYITCDKYPYKKLDGCICECAPKDGINCVLHLLATGDTGMLGKM >Dexi5A01G0038640.1:cds pep primary_assembly:Fonio_CM05836:5A:39565447:39567395:1 gene:Dexi5A01G0038640 transcript:Dexi5A01G0038640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGGGGGGSDFSVLVLGSDFATDAGAALLTHADREEWHDCLPDLSEGDACFSDLDELQVVRVQGADRAGRTVVRVVGKFFPVLACTKVGLIEVILNLLEFRLYWKIKYINRLEYLWGDIRKGVVEIPDFVVEHDKVLEHRPLTDYGIEPDPLHLADVPAMGYSLGRYEDKWAPEERWYSRNYM >Dexi2A01G0024080.1:cds pep primary_assembly:Fonio_CM05836:2A:35740985:35742712:-1 gene:Dexi2A01G0024080 transcript:Dexi2A01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASLPRPLDASAPPYVSNKSFVPPPVPDFYTGPPAAALPPAGFAYPPPVLVAPPAPGFPANSPAFWGYPLGPSMGMQCSYPPQPSWSPQGPCVGMPGSYLHPAWTPPQGTCVGMQASSQHPGWAPLMPMAPAAAAALTQCAPPPQQPDTKPNARGGRHRPSRSSVRVGPAPGARPPPRLRLRLDVPPRMQPAAAGRVAPPPPASSGGEVAGAGKGELQANEPSPRSVLIQTSPPITPSALPTSFPYPELGPPSPPPASEAISVPAAGSQAIAPPPRRRGERGGPGCRRQATGGTVRRSVPKPRCIFDASSGCTSLMIRNIPNDFRRTRLIHIIDQHCSIENDNIESGDVKSEYDFLYLPMDFRTGANKGYAFVNLTTPEAARRLRDHLHRHRWKVNGSGKTCEVDQAYRKGLDELVKKLSDSRFDCGDEEFLPVWFEPPRDGTRTPLPHLVGRMLRCS >Dexi5A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:5A:18195867:18196909:1 gene:Dexi5A01G0016480 transcript:Dexi5A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVATTTAWTREEDKAFENAVAAATAPPADGQPDNGWFTALAASVPARTAEEVRRHYEALVEDVAAIEAGRVPLPRYAAEETSAATPDGSGAAASAPKDGGGGHRREERKSSVDVGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGEVAAAGAPITGGPAAAGAMPMGPAGMKHHHPGPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHSPYVVPVGYPAPPAKMHQ >Dexi9B01G0042860.1:cds pep primary_assembly:Fonio_CM05836:9B:42986512:42986934:1 gene:Dexi9B01G0042860 transcript:Dexi9B01G0042860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGCNTNFQAVFDRILSTAVEARLAKEKMIRTVFVFSDMEFDQASATRRQGSWETDYEVICRKFRDAGYGDVVPQIVFWNLRDSRSTPVVSKQPGVAMVSGFAKNLVKLFLENDGVVSPEAVMEAAIAGEEYQKLAVFD >Dexi6B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:6B:21665124:21665335:-1 gene:Dexi6B01G0013990 transcript:Dexi6B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLADPHSSPQLRHTKLETQTGHTSSVLGCSLAAAVPATPNPPRGMFVPPLILNPTPASSP >Dexi2B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:2B:15057689:15068095:1 gene:Dexi2B01G0012250 transcript:Dexi2B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMMVPDLSFSASISSVKLPRYSASKSDTFWVKRTPKFHAEARTVKFGKYGTNGRATKMIPTTELRRTNGGGLVRSGTVNGSPNGTVNSSTKAIVNGSVKVVINGTPKMAVNGTSLVKGSKTSSLVKTQKHTRLNDFPFQEELKVLPSDEGFSWAKDNYNSVQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEENQKVRRQKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSINVVYKEFEERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPVCFLNLTKQFILLIMWDYTTEKVLTLEYVPGIKINNLDLLDNRGYSRSLIASRAIESYLIQVMKSLIDLEALQPTGDLSPVRIGYILDSDFSFVKVAAPYAQARDSTISMPYRIQKIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYIALGGTLLNVGVTLSSQGNQIIANGSFVGAGIFLALLIRSMQRVKKLDKIETMI >Dexi5A01G0023990.1:cds pep primary_assembly:Fonio_CM05836:5A:27892764:27893192:-1 gene:Dexi5A01G0023990 transcript:Dexi5A01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPPEAAPAQRKKKKAAATKKRPGGGDARGPPGHGASPAAPPADPTGSGAAMAECATACCVLCACLPVAILCCVARAPVRVARRCCGRWRRRPRRRLAPGGSSSFSDAEVGEFLRGGSSRRAMGREESQAPARGRGGRKT >Dexi9A01G0041590.1:cds pep primary_assembly:Fonio_CM05836:9A:45236083:45237218:1 gene:Dexi9A01G0041590 transcript:Dexi9A01G0041590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLVSAGERSKPALTWDNRYKAALGIAEALSYVHSGGSRPPVIHRDVKSSNILLTEDFEPQLSDFGLAIWAPSNPTSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLSGRKPISSDGSSPKGQESLVMWATPVLSSGDISDLLDPRLDVEHDDAEVRRMASAACLCLRRSARLRPPISQILSILRGESTASIDDHGSAAEMDCLDDEAYPAANVRSHLGLALLDVDDSESISSTEHSSGLSPLEEYLRERWSRSSSFD >Dexi5B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:5B:4046858:4047670:1 gene:Dexi5B01G0005990 transcript:Dexi5B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLMHALVVLAVATTVSAQQAPTATPTPATPAAPATPTTPATPAAAAPAATTTSNITGVLAKGGQFNTFIRLLKSTGMASQIDTQATNNRNGLTVFAPTDNAFTSLPSGTLNSLSDQDKNALYHVVSTAIPMSQFDTVSNPLRTQAGSTSPGEYPLNVTSEGQQVNITTGVVNATVSNTLYTSDNMVVYTVDKVLLPPKLFGKAEAPAPAPALAPAKKKGKTPASVADSPEAEASPDATASHAAAMVTGGGGALAAAIALAGVWWGL >Dexi6A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:6A:3208460:3211238:1 gene:Dexi6A01G0003590 transcript:Dexi6A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAAPAVAGGEGPRRCSQCGHHGHNARTCTARPVKLFGVCIGDKPIRKSASMGNLAQLAAAEGGSAGGREEGYGSDGERPHKKRGEAWTEEEHKRFLLGLNKLGKGDWRGISRNYVISRTPTQSSDQLPLSRSSSQEIEQDDPQPVAALPVPMVSPAAVAPPVSVEVPASVPSPVQVPAPVTVPAATPQLMEQDSSASSSSAGEAGVVMPEAMPPYLYPMMIPPPYYHPTFVPVPCYGYVPFYCAPPGAAQAPHEVLKPVAVHSTPPLNVKDLYNMSELSLKGDDSNANSGVPASPLPPKPIGRPERQSAFHGKGPAGGSSGGLIPAVK >Dexi8A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:8A:2377587:2378726:1 gene:Dexi8A01G0003330 transcript:Dexi8A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSGGGSSSSSSDPFLPPGYRFYPTEEELLGFYLRHRLAGTKPQVEHLIPVVDIYSYHPSVLQAMAGAANVGDREQRFFFCPRAEREVHGGRPARTTPSGYWKATGSPSYVYSAASANPRVIGEKRTMVFYEGRAPTGSKTQWKMNEYKAVADDGDAPPPPTGAPVRQLRNEFSVCRVYISTGTLRSFDRRPLNHAGGLDHQPVHGNRQQQVLPPPPLAVATASQLVPAVDVAGDGQAAENSHDSSSSGSRGGVVVDGGEDGGGAAIDWDSLIAPGDLRFSGDDLSHVIWPPNN >Dexi4A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:4A:2533404:2533749:1 gene:Dexi4A01G0003490 transcript:Dexi4A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRGIRGKLTEHREKVVSALLLGSFVVLGWRSAEQQREIEDLEAEKKALRAANASMSTAMWNWREELFSLAATPSSPISVSRLRHIYGEEETAPPAAADQPAI >Dexi3A01G0033890.1:cds pep primary_assembly:Fonio_CM05836:3A:38931721:38932120:-1 gene:Dexi3A01G0033890 transcript:Dexi3A01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASACGGAGCGPHCSSSSSSGAGAEGDAPAERMGRLSMAAGASTTTCGKCDGGGAAVAVSGGAGMCGECFRAYLFGKFKLAVTSNAMVRPTDSVLLAFSGGPASRSRIVFPLFGQKCALC >Dexi3A01G0029010.1:cds pep primary_assembly:Fonio_CM05836:3A:30243097:30247789:-1 gene:Dexi3A01G0029010 transcript:Dexi3A01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLQAANDDSNQWVVGIEEEVNRLAAKVSNKLDTKRKVLSIVGFGGLGKTTLAMQVCRSLEPEFVCQANVSVSQAFDASKDLEGLLRSLLVQLLKIKRVEQKGIQEEEYLIVIDDVWTIAAWDNIQCRLPENNCSSRIIVTTRMETVAKACSSASTSEDNICRIQHLGPQDSEEIFVSRVFGAKNACPKELEKAKNKILKKCGGLPLAIISMASLLANYKSPGSADMWNRVCNSIGSQMEINPTLEGMRQIITLSYDHLPYHLKPCIMYLSIFPEDYLIDKQRLLYRWIAEGLVPEKRGLTSLEVAEAYFDELLSRNMVKPGSVDYDGVKECCVHDMMLEVILSKALEENFISLIGGPCRGVSYDNIRRLSIHSYDQRNGIDGISMQHVRSLSTFCPKGQSKLLDRLKEFTLLRVLDFEGCKDVKNRHMKQVCRLFLLRFLSLRRTDVEKLPCEIDKLQHLQTLDLRDTLLMGVPESLINLGKLENLDLSNRNSWRVLLKLPKGLRKMKALQRLDRFELGDDAEVAKEIGELVQLRQLGIVLMQSTEQVRENLAKSIGNISSLHGMTIENPGGGNINFLEGLKSPPQLLRALCICDKIDRFPSWVESHKQLADIYVYNIGLKGDQIFGVLCKLPNLVKLSLGKYSYMDEQLVARTEFKFPVLRILFLDPGFGTPKVLRFEKEAMASLEKLTMRYSSMDRSLQGIEHLTSLKELKLQGIKSNEALRRDVDVARAQSKNREKSKQFLVVVQYE >Dexi5A01G0028980.1:cds pep primary_assembly:Fonio_CM05836:5A:32152505:32152835:1 gene:Dexi5A01G0028980 transcript:Dexi5A01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSGVEANPAADLAGHGPLKTSRKMGSATAVAWWWWWCVWWKNSSGAMMTMPTWPCLTRSSTRRPGVWRRPASSEAPEAATVVPALKPDELHDILCRAGQLV >Dexi6A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:6A:15595103:15595339:1 gene:Dexi6A01G0010930 transcript:Dexi6A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVLPPLHQLLVALLPTCSSLILGLCRGPLRLRRNLLHRCAFAGISYTDTPSPESPTPMHPRRWWRETTRGTRSGV >Dexi5B01G0029750.1:cds pep primary_assembly:Fonio_CM05836:5B:30883082:30884768:-1 gene:Dexi5B01G0029750 transcript:Dexi5B01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRARADPVATPNVSTCGQADLPPGANVSTCCPPPSNALPVDFAPAASLSSTPLRTRPAAHSVTADYVAKFNAGIAAMKALPASDPRSFAAQAAVHCAYCDGSYSPEGFPGVELQVHNSWLFFPFHRCYLYFFERILGSLVGDPTFAIPFWNWDAPDGMRLPAMYADQSSQLFDPRRDARHAPPKLIDLDYNGTEPRFTDSQQIDHNLRVMYRQMVSLSPTPSLFFGSPYRAGDDPNQGPSPVENIPHGPVHIWCGDPKQPSFEDMGNFYSAGNDPLFYAHHANIDRMWSVWKGLDARRHTDLTDPDWLNASFLFYDETPKLVRIRVRDVLDTGALRYQYQDVPLPWKAARPTVTATATRRADSLVTPAAKAAGGATKKASKFPVTLDEATSVTVRRPVGSKRSKAEKESKVEVLVIDGIEVGRDVAAKFDVFVNAEDHGAVGSGGRELAGSFVNVPHRHAHGGHGKERGIKTTLRLALNEQLEDLKAEGDESVEVILVPRQGKGNVKIGGVRIELMH >Dexi3B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:3B:3504049:3506638:-1 gene:Dexi3B01G0005290 transcript:Dexi3B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGRLPRRPVDDRRGYPQVRLVDPRGYPEMHVVERRSYSGIRGVDERRSYPDTRMVDDRRGQPGVRMIDDHRGYPAIRVDDRRAYPVIHGGPRMRGAPHPHPAVLEEELELQEVELRRLLADNRALGEERTELTRELQAGKDEIRHLNVIISDITAEKETYIGKLVDKKRKLEAELRENEHLRDEIMQLRGEIEKLIAARKELSAEAASLMEDLTREKSVKHQLPMLKAELDGLQQELIHVRNAYELEQKGNLELVEQRKAMEKNMISMAHETEQMRAELAKYEVRPWGAGGTYGVQMGRGSSEVTFTKTYEESYNIHAGVSEKGTLHPPESSSWGTYDKNRPQYH >Dexi9B01G0045400.1:cds pep primary_assembly:Fonio_CM05836:9B:44883611:44886008:1 gene:Dexi9B01G0045400 transcript:Dexi9B01G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVEKCDASRLGTHSSVAADLDGTLLRSRSAFPYYALVAFETGGLPRLAFLLLLSPVAAALSSRLLASPAAAVRVLVFAVTAGARVADVESAARAVLPRFYAADVHPAAWRVFAACGGRRVVLTATPRVMVEPFVRGVLGADDVAGTELATWRGRATGLVDARRGGVLVGERKAEALREMVGDGEMPDVGLGDGRSDYAFMGICKEAYLVPRTPVDAVPLDKLRKRVIFHDGRLVQRPTPLVALLTLLWFPVGLLLSLIRVAAGALLPMPWLYVAFHALGVRVIIRGSPPPPPRPGSGVLFACCHRTLLDGIFLSVALGRPVAAVTYSLSRLSELLSPIPTVRLTRDRAADAATIRRVLSPEGGGELAICPEGTTCREPFLLRFSALFAELTDDVVPVAMECRAAMFHGTTARGWKGLDPFYFFMNPSPAYTVTFLDKLPPELTCGGGGRSSHEVANRVQKLIASTLSYQCTGFTRKDKYRELADNDGLVHVSTGDKKRRVAG >Dexi9A01G0028160.1:cds pep primary_assembly:Fonio_CM05836:9A:32829831:32831892:1 gene:Dexi9A01G0028160 transcript:Dexi9A01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSEAHRNSPTFLDLRRRRRSLVLRRRSAEAQQKEVAATSKGKSLGPVWRGSMFTNAQRQVERTGRSGTPRDQYLQDLVTQFQNATDEETKEKIVANLANFAYDPFNYVFMRQLNVLELFLDCITEPNERLIEFGIGGVCNSCVDPSNASVIVQCGGIPLVIQCLSSPVRNTVTYALGALYYLCNPSTKKEILKPDVVRIIREYAAAGAVNTSFSNMANAFLEKHVDS >Dexi1A01G0026040.1:cds pep primary_assembly:Fonio_CM05836:1A:32040974:32041684:-1 gene:Dexi1A01G0026040 transcript:Dexi1A01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEKPAHDFLSLHTGGGSSSPHSTQGYDVGLHNSLKPLKLGKRRRGGGAGEGALGMAPSGMEADSDEHVLPGGVGTFSIRQAPSTSQTRGQGVVVRGGAFTPALHNGGSAWSRIWSQGSQWPGHNVARLRH >Dexi3B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:3B:7418018:7419990:-1 gene:Dexi3B01G0010610 transcript:Dexi3B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVKSSSSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRSKLASQEQLFVRESQTRKVAETKARSMEEEVSKLQQCLQDKDEQLRSTKSSTGQYLHELDDLRTQLSFTRATAEASAASAKSAQLQCLSLLKELNEKDCSLKEHELRVNKLGEQLDLLQKDLQARELSQRQLKDEVIRIETDIMDAVAKAGSKSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRVLSAHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVAMKQPNGPCRGIKENFWESQGFKFIASMSMLALVILAKR >Dexi9B01G0034570.1:cds pep primary_assembly:Fonio_CM05836:9B:36484920:36487440:1 gene:Dexi9B01G0034570 transcript:Dexi9B01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELKQSISGKRTFRPSLISRHANECDLTVEVGTSSFALHKLFAQFPLVSRSGKIRRLVAESKDAKLARLSLHGTPGGAPAFELAAKFCYGVHVDVTVANVATLRCAAHYLQMTEDFSDKNLELRAESFLRDAVLPSIPSSVAVLRSCEPLLPAAEDAGLVPRLISAIATNVCKEQLTSGLSKLDLHHHQCGAHAVKPFVVELDSPSPGGGGEWWGKSVAGLGLEFFQRLLSAVKAKGLKQETVTRILINYAQNSLHGLMARDVVHGGNKCGGADAEAVKKQRAVVEAIVGLLPAQSKRSPVPMAFLSGLLKTAMAVSASSICRADLEKRIGMQLDQAILEDILIAAGSGAQQQQQHGLYDTDVVARIFSVFLNLDDDNDDEDDVGGFDYDSPRSPKQSLLVKAAKLLDSYLAEVALDSNILPSKFISLAELLPDHARLVTDGLYRAVHPNVKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQSGSGSSMGGGHDTALFFGCAAAAAAASQQGSVVVNNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELGVHAQPREALPRDATRRRAGAAAARSEGHRRRQGALPAPPPPLHLVIVSSSLGGELPMQVVRVATRERSIEHSSSLAHKKDGLV >Dexi2A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:2A:1616369:1621503:-1 gene:Dexi2A01G0002120 transcript:Dexi2A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSLPNANPIPTKDWGPSPGASPIKQEEERANVFVDASLALPVTSEANSGDALPVAEEKKEQLLKPVKEEDAADDFVDASSSLPIDLEAKNGDAALITEVMKKEEEQLEEARIKAEEEEEARKREEAARLAFDPETRYNKLDELLTKTQLFSEFLLEKMDQIADEEGQAEEPQVEEKKGRGRKRKAKPQYNDKKAKTAVAAMLTRSREDRLAEDSTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYLIIAPLSTLSNWVNEISRFTPSLSSIIYHGDKVARAVIRSKFMPRTAGPDFPIVVTSYEMAMSDAKFLAVYKWKYVVVDEGHRLKNSKCKLLREMKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEQQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTEHQKQIQDHLVHKTFDNYLHEESEIVLKRPGIRAKLHNLLIQLRKNCNHPDLLESPYESTGFYPPVQKILEQCGKFQLFDRLLNFLLAKKHKVLVFSQWTKVLDIIEYYLETKGLDVCRIDGNVKLEERRRQIAEFNDSNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVDGRIIKRAFEKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQAEEDKLVQTDISDEDLLKLMDRSDLSGPPGGAGAAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >Dexi1B01G0026800.1:cds pep primary_assembly:Fonio_CM05836:1B:31587722:31588165:-1 gene:Dexi1B01G0026800 transcript:Dexi1B01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSPRRALLAAVFLGFVLGAATSIRTVTSSSSQNLLAEDKSRLGSTPPSCHNRCSACNPCTPVQVTTVPGLGRSAHVADDTVAGFSRYSNYKPLGWKCRCDGRLYDP >Dexi7B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:7B:12487344:12488297:-1 gene:Dexi7B01G0005210 transcript:Dexi7B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVDDLISALCWSVPGVIGCLQALEVIKVATGVGEPLCGRMLLFTHYPPVLRLMFDYDSFSSLQSSSKPTPRQSLLPKNAWVTCCEYKRLLDRGEPHLLLDVRRAHHFEIASIPCSLTSLISPTWRGSCRRYGRLCSEQGVVRR >Dexi5B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:5B:3339082:3341073:1 gene:Dexi5B01G0004990 transcript:Dexi5B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIREESDYDSSRSSLTAPGSRRSWISDIGSSSSVSVRSFGGGWDAPAAACRHKPHKANQAEWEAIRRVRATAGGRIGLEHFRLVRRLGSGDLGNVYLCQLREPWSTGCMYAMKVVDKDALAFRKKLRRAEVEREILRTLDHPFLPTLYADFEASHYSCLVMEFCPGGDLHVARQRQPGRRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRLLRHNSLPHTGNADAAKPSCVPPIQPVLSCLFKGVHKCHAKEEATPKPGAVSGQAADDADDVESSSSEQAVDTNPEVVVEPVSARSKSFVGTHEYLAPEVITGQGHGSAVDWWTLGVFMYEMIYGRTPFKGESNEKTLANIMKQALAFPRVAASTGREWDEHLRAQDLMRQLLVKNPKRRLGGCTGSGEVKRHEFFKGVNWALVRSVRPPEVPKPQVVVAPAMKKKVVVMSRKERLEPYNPPIRPDERFEYF >Dexi2A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:2A:15518213:15519527:-1 gene:Dexi2A01G0013030 transcript:Dexi2A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFAALFDPLYCPEEHLDLYREELGEDAGEHWPDQHPAPLDDELPELFEALRAKEGVVVAGDGEEDGYGGAAGREAAVGWAYRAAARLGFSALTAALAAAYLDRCFLAGGALRLGDQPWMARLAAIACVALAAKVEETRVPLLLDLQLCAAAGADPADAYVFEAKTVGRMELLVLSALGWRMHPVTPFSYLQPLLADAAMRLNNCEGVLLAVIADWRWPRYRPSAWAAAALLATAGDGDDDSELLALMNAPEDEAGECAKIISEVTGMSFLDVGVGNKRKHAAARMYSPPLSPSGVIGALSCFSGESSSSATAADSRPASTSAAWAASAPSSVSSSPEPTGRANKRAAVALPDPVQHPLPPDEESRDAWPSTCAA >Dexi7B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:7B:18607109:18609121:1 gene:Dexi7B01G0011590 transcript:Dexi7B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWESATKSVFGDAGEDGGSSVGCFPMIRRKLSRNSYAYPDDPEKRKGQGGAPAAAAEEVVTVEVPEVQLRELNEITASFSGERLIGQGSYAKVYKATLRSGRPAVVKRLEKPSRYASNAVFLRQLSVASRLNHDNFVRLLGYTISNDLRVLVYEYATMGTLYDVLHGTLSSAQPLFTHLPLAKLAALHAESLTDDGARDAGDREVLGQEAPEQGGGGRPVLSWIHRVNIALDAARGLEYLHEMVKPAVTHKDVRSTNVLLFEGFRAKVADYNMFSQAADMARLNRSEHTLGSFGYQAPEYVHFMVIVLLSRLLVWVSDGFVAWGWLFRYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLATPMLTEDRVQECIDPKLGDQYPPAGALKLGRIAVQCLQYDPAFRPSMGTVARVINYAVLRDQQGVV >Dexi7A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:7A:23436244:23445439:1 gene:Dexi7A01G0013340 transcript:Dexi7A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDGDPPTPRGDPPAATGIDAGEHLAHGLVHDPVVLKGAPVHALVHDLEQGMCTYMPWQSALYVLSEIFVIMAPAAADVDTLVPRRRRMSTPKPSSREPSNMATICRVKDNESVKPYIGASISSANGIIISQDKRHSGIIRNIDTRGIISEFIAGVERSDTDVCRVASEDIYIRQDTLGQIVKHTDCVEATVELLISEVRSSFDLSLSCFTSRLNKGIWLFNGAIAESCGLKRSVVAVRKKSSIDLRFKIGAVSSSCSFKAKTHGHDTQEIKTDLAFISVKLKAEG >Dexi3B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:3B:8836013:8842283:-1 gene:Dexi3B01G0012620 transcript:Dexi3B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIDPALSKAYALLLKSICPANSSQFFPNTTTFTDIITPTKLDNKYYVGLQNNLGLFKSDAALLTNATMKALVDSFFRSEATWKTKFARSMLKMGQTGVLTGTQGEIRRNCRIINPLNATATAYSHQVATESACSVWRTPSPAGRTISDPGHFVEHLACGFPTRAFWRCDRTPRSPVDERCVVERRAQIADAPEARDAQSAAAAPRSIMRVRDVGGEVKYDCHAWIARAGKQIKVAFLEEGSVAASCSPSGWRERKRSCVGRTSFNGLAFAVTMQSAEWRAGRRGRRLPLTLWLGEGEEVDELGWRQVLGFSHRVVIDVAAGNGVVRRMSSLFLFPSLSPRLRLILLGSKATRGGERSMGQVPGGGLVAGGNIFAAAVHRSAMDQRPGRPGRG >Dexi8B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:8B:1787676:1787939:-1 gene:Dexi8B01G0002560 transcript:Dexi8B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREEEYLEGGSDDRVVRANEVWRLGEASVSEEYGASSEKQTRSILPASEKIGEERSTSPPSAGSSAGSVRSAYWALSPTDLAHKWW >Dexi9A01G0043080.1:cds pep primary_assembly:Fonio_CM05836:9A:46599723:46604417:-1 gene:Dexi9A01G0043080 transcript:Dexi9A01G0043080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWLPRTAATVAVYALVALIVAGAAAAGGGEQPLSRIAIHRATIAPQPGAFVDASPALLGLQGNDREWVTVTYSNPKPSKDDWIGVFSPANFKYKLIHISEICFGRLTYTYQIGHRLFNGSVVRGHQYSFKAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTNQLIKDLKNIDMVFHIGDITYANGYLSQWDQFTAQVEPIASTVPYMVGSGNHERDWPGSGSFYRNLDSGGECGVPSQNMFYVPAENREQFWYSMDYGMFRFCIANTELDWRPGTEQYRFIEHCLSSVDRQKQPWLIFLAHRVLGYSSATFYADEGTTEEPMGRESLQSLWQKYKVDIAMYGHVHGYERTCPVYEVTCAWPRARTATPARSRRRRTSWSGGGGASLADYTTARARWSHAQDRDFGFAKLTAFNHTTLLFEYIKSRDGSVHDHFTVSRDYRDVLACGIDNCPSTTLAS >Dexi9A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:9A:15132554:15135049:1 gene:Dexi9A01G0020210 transcript:Dexi9A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEATDDAGGSNSSMDNVGRNESAVMGYIDGYYKEALNRLPRSLIPRVLETGFCFGFLDPVSNIIANTAAAAYPPSPEANRDEQAGRRRGANKRKRSQSVTKGRKKKKGSKIIAARSLRGLEAFLTSFFRYLTTQEALRYLRLAKADLLVAVHLIEEDRHTDAFTIHVLTTKVALNCAAISAISSEEFSGSVVPSAHHPDVTSLVQSSLTIASRQDEVFTLLAMQGGRLDTSTLRRLSDLSMKDMHGTADPREPMRCAISRFLAFPPHTKKMSVTYDLELALIQVLLDRIHGFYLEAMSSFPANSLRLRHHRGLLKAGHCYGPFDPVSNIILNTIWYDTMFPPHHEFNVDMICDEILANTECRSLHGLVTFIQKLFPALSSYDATRYLLFCDARLDKVILRATQEGYHAAIPLKDAYEAAALVAHHPNPSALAELATVLLPAEEGKLRSLLKDELILSPCDLQFIAATLSQNDPPSKSLVLVQELTLHANMIVSAKRKEFEALQSSGVEYELLAICGVNAQVSEPGKFGYFDNCDGNDSENKEDIPLCLPVSKSSKDAGRCFHCECEGAKIVHPSSVAYLGQESDFEEMARGDHPLSNEELIGYWGQKTVFLDTSEDYYTYFDPAWDADFAVRLNRDAKWEEDYGNEITPAEVKRISEMLDLMVASSAV >Dexi5B01G0039930.1:cds pep primary_assembly:Fonio_CM05836:5B:38591569:38591940:-1 gene:Dexi5B01G0039930 transcript:Dexi5B01G0039930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEAAVNLRQRMQAQLEAIECRISDARQRATATAAAFSAALRSARSIANQTVSNRGISPSVISSLNSSAG >Dexi5A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:5A:25210683:25211718:1 gene:Dexi5A01G0021360 transcript:Dexi5A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSGRMCGRAGEPAVRKGPWTLEEDLILVSYISQHGEGSWDSLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITPAEDAAIRELHAALGNKWSKIATNLPGRTDNEIKNYWRTRIQKRPAAAAANNTQQQQQSHRAPASTTTTMAMAAGESASSSSASPASHDDSSAVGDLFWCAKPKPDRQACCYAAVAVSDGASAASQSQKAMMTAEAAVGVDSGSASSPMARHQDIISSAAAGDDGYSKQTIYGYPYYSELTSASDGVRMVDAESFWNVVDNFWGTTLLVPDTTL >Dexi5A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:5A:25201737:25204423:-1 gene:Dexi5A01G0021350 transcript:Dexi5A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLCLHLCPRLRAFAASSRPTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKSAKRLLVFEEGCLSFPGIYANVVRPESVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLLGILFFDRMTMDVLETVREQLKNLEKKYEERTGLLSPETVENYKGTKDVFSFSR >Dexi5A01G0025850.1:cds pep primary_assembly:Fonio_CM05836:5A:29597066:29599433:1 gene:Dexi5A01G0025850 transcript:Dexi5A01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDLADRLAQGFTGLLQAPPQFPWPPSPHKRMPFDIDLPVVPFGAVGRGAPGKDFPFPAAAVSSVIDIGGRLGQAGAELGASVGGAVQHAVKHLPVPFRNGQIRRRKQRPLPQAPLPPAASVGEGGVGLSVGRAAVDRCPLEAAAAAAAAATGSAAASSVSGHIGGDDLDEDDEGFGCEIGTFGNFKKSKNGVHSLCPAIWSKHRRWLMMSMMCLNPVACVSLILIEIYEYILIS >Dexi3B01G0024100.1:cds pep primary_assembly:Fonio_CM05836:3B:18778263:18778948:1 gene:Dexi3B01G0024100 transcript:Dexi3B01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVKFIFGISGNVIALFLFLSPVATGLLSTTSREACGDDGGGATLLFLLRARPLQVTEILPAGWAPGGGSGRRRRASRRGLRARAGTVRPAAASSWLLRPSRAARGGGIGWDGMGSDGSGVRWRTDDVRGGHVRNSGSGRRERQGRGELGGHGL >Dexi7B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:7B:16260536:16260888:-1 gene:Dexi7B01G0008450 transcript:Dexi7B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLKVVDNSGAKRVMCIQAKSGKYGAKLGSPASCKVKKGDIVYGVVVRAAMKKGRSDGGEVQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >Dexi1B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:1B:16804651:16819387:-1 gene:Dexi1B01G0012610 transcript:Dexi1B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGQCGAEQGVAAEQDYKVLRWRRWRLLGAEHGIVMFLSDMSGRSPLYKNSPVHKELVAQIKKDSSVLPRIGALSEMNLEFFAIDSQEFPRVHYRVAKTIDASTMTTLRDLVPTKLAASVWNCLEKYKTTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGPATEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTNFISKNKAAQLHQARNGGELSTRDLQKMVQALPQYSDQIDKLSLHVEPIRRIASMASVVDPAAPSTFSAKIAYVLAEMERINCLLDDYEARLVALEMAGDGASSAIQEVSMVFASPLAEAVPRPPSLDSSCPLSTSTAKPPRKKAEAGGIRGGNEVPATAVKVASGVDIREARALDIGAWSAVAGPLLGPCPQHLFAGRITCLRLLQPTLMQKKAASLSVMKGRLPSMESCSLLVAARVMAATIGLLGFCCVGCCVTAGFHGLRPLFSHGVSRENKLRLLMIYAALNPEKFESDKGAKLMQLAGLSADDMIAVNNMRCLCGPETKKSSGGGFTLKFDVHKELIEKLSKGELPKDEYNCMSDPSPSAHGLPTSSSVRTSPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHASSDFKKLGQRIFVFVIGGATRSELRAAHMLSSKLKREIILGSSSLDDPPQFITKLKLMSAEELTLDDLQI >Dexi4A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:4A:8152919:8157104:1 gene:Dexi4A01G0010220 transcript:Dexi4A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIREVVESGEMSGGHGAVAGAVEKAVRCLGRGFDMTGDLRLKHCKDAGGCLVLRSGEKNAAAKVTVPGFGVVADVPADVKCGKGDRIRFKSDVLEFNKMSEVFNHRNSLTGKIPSGFFNSCFGLESSSWAEDAAATKCLAFDGYFISLLDLRLDCRPLALADHVLRDVPAAWDPSAIASFIEKYGTHIIVGLSLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGTCTLPPSNRKSRDHKLKVPGAFNVFDAQVVQQRLKGIIAPVSCKEGVTVTHYKRGGNTAASDHSEWLLTVPAMPDAINFRVVPITSLLKGVAGVGFLSHAMNLYLRYKPPMEELRYFLDFQHHRLWAPVLSDLPLGPCSNRQGVSPALHFSLVGSKLYVSPSQVIVPRSPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFINERSSKQPIWRGTETISDERYYEPVHRKMFAHVCTMPVKYNPRWSSAAAGSPSAFIVSGAQLHVKAHDSTNILHLRLLYTELLGYTVVQSKWAHNTVKLSGKGSFLSKSFAASSGVTDEQRHPARVHIDSGVFPGGPPVPVGTQRLLRFVETSQVTMGPQDCPGHWLVTGAKLDVEKGKISLHVKFSLLAPVS >Dexi1A01G0029590.1:cds pep primary_assembly:Fonio_CM05836:1A:35056651:35060744:-1 gene:Dexi1A01G0029590 transcript:Dexi1A01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEPSLPAPARLVAVALLLAVLTGCVVGVDGYGRARRLRMKNKVLEMFYHAYDNYMTYGFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVILGNITEFERGILWLSENLTFDVDARINLFECNIRLLGGLISAHLLAKDYSSQLKSGLYHDQLLHLAESLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVFTGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHAAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLVGDVAAANLSHREFYNVWQRFGVLPERYLLDYGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGETIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSET >Dexi6A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:6A:4308452:4311282:-1 gene:Dexi6A01G0004740 transcript:Dexi6A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASSFAAAAAGGGSILSGPSSTLSPNAAPYTLLARQGRAPPGRLQDGDALRFIDDNFVLNGEDNNSYSVSLATNFGVKTSNAVYPSSAHGICQRMNIQGILKGIESMSGVLFNNCSDEMELEEHDYSLLQSVPAKGVSDKAGGLKACFSQNAVSKSVTGNCIGSYTGDNGKGIIISNPADSSRLFGDSRKKCVTGYQTAGFEY >Dexi7A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:7A:27050926:27053213:-1 gene:Dexi7A01G0017320 transcript:Dexi7A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGVALVVFAATAGASSCYFLSKMIGKPLVFSLWPDKLSFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHTFLLGTLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKSPEMA >Dexi4A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:4A:4294743:4297140:1 gene:Dexi4A01G0005980 transcript:Dexi4A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPWPSAPSRLLPNLSLYSKRAVTAAAAASSSPAGGHGRRPLRYAVLGAGFAGLSVAWHLLKVILLSPSSSSLTFVLCAKLLWRGAEFWKESMDLLRSAEEANGTAGSDTTSQDDNLIWRRGIVRPPTSEKAADILLENAQSCLESCSLQVLDSGAAQRLIPGLCVPFDFAVYMPLALNINPKKYLQALFSACQNLADEASSLSREQKELKLYKQHVGSLHQLAGDYDSVIICLGAKARSLPELANKLPLRTCRGVITEFQLPSDTVEEYGNQSPSILSDAWMAFQGPRTVSIGSTWQWKSENYSSTVSDEEALTAKDELLPKASGVYPGISKWDFVHARAGIRAMPPLTINGSLPLLGCLDEMVGKKSNCNFWLVGGLGARGLLYHGLVGKLTAKAAISCDENVIPSEFTSWKMIKPSQ >Dexi9A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:9A:2466805:2471773:1 gene:Dexi9A01G0004560 transcript:Dexi9A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANAEESAPATVTAAAPAANHQSGRPTLSAYVPPHLRSRSGGPPLENQAVPAAAATAAEVRSTAVQPSGYAAVAGGGSRWSGPAAAGGSSAIIGPRQGGGGRGGGGGGWNPRPGWDRRDREPNPFANTEAADVDFETQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPIVIAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRQRGSRTACPLALILSPTRELSMQIHEEAKKFSYQSGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGAHGKQALTLVFVETKRGADALEDWLCRNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLAKQLCELMQEANQEVPQWLECYAARSSYGGGGGRNRRSGGARFGGRDFRRDRDFRGGGGGGGGYGGGSGGYGGGGYGSGGYGGGYGGGATSSWD >Dexi3A01G0024350.1:cds pep primary_assembly:Fonio_CM05836:3A:19994086:19995902:1 gene:Dexi3A01G0024350 transcript:Dexi3A01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTGAFDKAGSGGNNPLARQLIGEGLVGLTGEAWARHRRVISPAFNMERVKAWIPEIAAITSSVLDKWEAQASKAGSEFKMGIDEIIHECKTFYFAGKETTANLLTWATLLLALHQEWQDKARDEVLKACGKYEHPNVENLSNLKIVTMVLKETLRLYPPAVFINRTATRDIKLGKLDIPAGTRLDFPIIGIHHDGDVWGTDAEEFNPLRFADGKSYHLGAYLPFGIGPTICVGQNLAMVEAKVALAMALQRFAFTVSPSYVHAPMLVFTLQPQYGAQVLVQKI >Dexi9A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:9A:2448235:2448657:-1 gene:Dexi9A01G0004520 transcript:Dexi9A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEHAHARRHIPVATTASTGISHTLLEPLAAVATANTSCSLASCPLGGKCVEREKKTSSGVNVPRWVCGATGVSVCARHDLDGGERWLDSRQAAGPSGDRRRPLRWGYNICRHTPIEIATINRNPNIFKYTPNLDRDY >Dexi3A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:3A:9766829:9769005:1 gene:Dexi3A01G0013440 transcript:Dexi3A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFILPTTTPAASASLLPIRRQPAGDPSLSSPLKPHRISSHFRIPPKLPRFSRVCSISPSYKTPTVSILSSVASTWRTLIFLLVAGLLSLSGVRSFPALASAPPPTQQPLEIEGRDEQQKSEERKEQVEDEVEKAKDEVKEEQQEDQDDDEVRMYSAILSRNPGDVDALKCALYVKMRRADWRGALQYARGLRGAEPGEVEWRLMEAQLHELSGDLAEAEHHFREILAEDPLLVRALHGLALCMHKKLEGPTVFEMLENALQLAASEKRVQEERNIKLLITQMHVVMGQLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDRKEDADRQFDIHGSLVPDEFPDKSFINDVILAAKMESNDRVQKEFGSEFLSKK >Dexi8B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:8B:2676124:2679341:-1 gene:Dexi8B01G0003520 transcript:Dexi8B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLPSAVPSPPAPAHRRAFRSEAALEAIRSHSLPSKSTTTLSSSDADDPAGPASLALYNYPTFAGAYAALAARLFHQRVRRRLLVLPFSSVDPFRAEDFEDAGFQTCYLLDFIGPKKFAFELSKFVPRVIAFDHRQSTLARIPKLGQCPSNVELHIDTLKSSVRSVFDYFSKKLPGSKSDSRICEHLFDQEDEERVSNVLEYIDDSDLRRWQLPNTKEFQTALRDERAKLNCVTNPHVFEQLLQLDVDDLLNRGKSLAHDRLQAAGEFIQKPFKIQLGRGMYGECLMLADPAHPNSKNMSFALIHVLFSWYKAIRADGHSKLSHEIGLELSRRSSAAGLRPIGAVVFMQRGILKVCLRTTDSTTNTAEIAKAYGGGGKPNSSSFALRMDEFNTWTSVNSRMMN >Dexi7A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:7A:8462370:8466405:1 gene:Dexi7A01G0002160 transcript:Dexi7A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQSFLLLLPSCLPPPPLARVASRPPSSPSLTALRFPRLTAQLSPPPLAASPPPPGGFRFLGCGGTGGRDGGGGGDQGGGMDPPDSGDEWWRRWLQALHPEFLLLFLLLHCGATSALADALGSTGDDAGGVWEVRGGTRTRLVPDSTWTSYLIAGDDGSKREEVDGKGGGRREDLAALRRKLERSWRRCADVAVQLLLPDGYPHSVSSDYLNYSLWRAVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQVIAKGEAQGMVSKFVGIGLGIVLANHIGSSVPLAVISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFFNLSLGASRKVSQEAKDAADKICRRLQLGSKLSEIIESKEDACALFDLYKNEQYLLTDYKGKYCIVLKEGSSPEDMLKSLFHVSYLYWLERYMGFKPSNIASDCRPGRRLEVSLDYAQREFSHVKNDGSFGGWVMDGLIARPLPVRIRVGDVTS >Dexi4A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:4A:2896128:2896553:-1 gene:Dexi4A01G0004070 transcript:Dexi4A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGGGGGGSSRPPAPPVEAAAAGKAGRSTTRHHQQPHQVKVKHIVTREVTTDEASFKDVVQRLTGKDSAAARAAVVAAGATTSWDDGGGATATTGGTTSTYGVNSSGAVASHDFVAGAPTMLPPSEDTTKWWWAGGVRQ >Dexi1B01G0029900.1:cds pep primary_assembly:Fonio_CM05836:1B:34024303:34026103:-1 gene:Dexi1B01G0029900 transcript:Dexi1B01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEQTLPPGFRFYPSDEELVCHYLHSKVANERLAGAGGAMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPISKGAVVGMRKTLVFYRGRAPNGTKTCWVMHEFRMENPHTPPKEDWVLCRVFYKKKADAMDYGEQDVAMPHHSAADHHPSYSPPFPALCSSHYHLPPPPSSGHLSDFPAAMAMLHHQHNSSIFDVHAQPAHHDGGNNFVHASAGSRDGSGAGDQQCSSGVLMDLGLDEHYNNYNYNSLMQM >Dexi9A01G0043820.1:cds pep primary_assembly:Fonio_CM05836:9A:47526275:47527336:-1 gene:Dexi9A01G0043820 transcript:Dexi9A01G0043820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHPPSPTSGSSSSASSSSDATMVGGGGGAAAGSGAPSGAKLLQILNVRVVGTGDRVVVLSHGFGTDQSAWSRVLPYLIRENRVVLYDLVCAGSVSPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDNEYHGGFELPEIQQVFDAMAANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARF >Dexi5A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:5A:19334364:19334937:1 gene:Dexi5A01G0016720 transcript:Dexi5A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKPSMQMEHWWSAEPDSATCMTVSAQARTTALGSLYTRVTSIASSTKKCGRRRTLRRRLAVATASRAAQEAQLSVETSKPASRGMEATMACTARRKICSPFLAILSSLSVVDLPARRRDEYARDRKRRMRTDDDPEHGGGSQRSSLVVQVRSGTSTLVATTIARTRRATLRSV >Dexi5A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:5A:20153132:20158828:1 gene:Dexi5A01G0017100 transcript:Dexi5A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVKVVGQVERVDGASLTYAEFVDRFMAPNRPVVLTGLTASWRACEDWTLPGPGDLRRPNLSFFARNFPSPLVQVADCSSREFTDQKRLEMSMQEFIDHWVGDPHGGSAGERENSLLYLKDWHFVKEYPDYISYSTPTFFVDDWLNMYLDSHPMHRDSDIANHKNEVNCSDYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKQWLFLSPSQSHRIFDRYMRSSVCSLNDDISEKQFPEFNKTEWLECIQEQNEIIFVPSGWYHQVQNLWLDDEDGGRSKEIDVGWSLAAAAAMATKNLRFPPEDTISINHNWFNAYNLLWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFIVRFALANIIELYHRQQPEVATFLGESAHHFVYNLKSIRNVASTMTTTEAFTTENLRSISEDNRSAFSNVKRILEEENFRRLLITLSKSYEHIHRGKINCLKSCTSYLKGCLSVICLKSDCNIVDHIISLIDEVCVPADLVTLIDSALADASF >Dexi8B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:8B:6337383:6337712:-1 gene:Dexi8B01G0005890 transcript:Dexi8B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTGATAERRLSRRYRKGALPRGASTAEGGRHGSSLVGWASHCREVAAGELVTPRELVGTGTTMRHGGAVAADPLYSLWMFWIEEEWMEKNGSMLHWKFYQRRAVDE >Dexi9B01G0028010.1:cds pep primary_assembly:Fonio_CM05836:9B:30624010:30625310:1 gene:Dexi9B01G0028010 transcript:Dexi9B01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGVELGMGMGTYHGHHNASSITTAPMSSHHSGGASYSTAHHHHYYGMPPPMGDAMRVDELLDLSTGVGNGAHDFFPTAAAAAATDNGHHHSGAMGVPSPTANSSDHQTSLLSFADEFYIPSEEAAELEWLSKFVDDSYSDMPNYSSAAHAAMAAANAAANGGGNSAGHQNSCITAAPGRGARSKRSSRATAAAAAAWHSLVPRPASQPSPSSSCSSSDFPSSNKTPGRPNANGSRGKKSPGVPGPGGASPGAAAEVGMEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELILIRGSHRDAAAAAAAAAGSAGPRPELMFRDYGVC >Dexi3B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:3B:5846873:5847336:1 gene:Dexi3B01G0008320 transcript:Dexi3B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAKDANKQAMEEERAALRRELERLYSELIDLDFSGMSEAERAAERLRREKLEEARRLKEAGDPRFRVMEALARIIDFDPKDDDGMYFNRLYSVDLATFDHRRLVR >Dexi5B01G0031710.1:cds pep primary_assembly:Fonio_CM05836:5B:32378197:32388136:-1 gene:Dexi5B01G0031710 transcript:Dexi5B01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDPRGFPIIHPQPYLHHHPAAAAGALGDIRPLRSGGGGGGGGVRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVSLHQAALMQHDEGCPAELAAAYSSAVAAAAAGEVTLAASSCGGRAGACEEGVVQLQVPLQEAVGYDVAASLLQGFGQVQQMSGGHELPPLPSCVFVEEPAAAACYSVCDLDEGKTDLPESAGSAPCTMIPAPQAAGRIRVRQRLTARTLQWPVPGEPSSREQVPCLAAHGLPCHAAGSVPGPQGDRPEWPIVELVPDPSQESGRGRLELAFRRAELLPVLARLSGTCTLLAHRFTSTGNILQPPRSLATAHLKRALRPEAAQSPEKPPFPHFFSHCVKLARERERESGMAAQEALRWRYGDVDDGNFAVRGRGVPVLVALFAVLVCFVAVCLYLRWSCHRYYTTTNHPRDADALPSYSSSAPPGTAGDEAAAAGLDDASIAGLPVTLYRRPAGVAGGAADDDAAQCCAICLGELAEGDKVKEMPQCRHGFHPECVDAWLRARASCPLCRASLLDAAVATKPPGDGGGADVRGNRMSATEDVLAGGRNSLRRRVDTPHLQGHGAHLGSFGERTTAPEKRQIERIAPWRRRIEARFQQDWGGFGSKPGLYIAAGGGGAGKPRCGAPASCVRLTDPGIMTPARYAQLAASPDNRLQQPTALLSHLSVWYRPIRAGQIEIREQRTPKSSTDLYKDRTIDGARSRYDIMATSLTLKLQLSSWCPFLPLSGGTWRCATKPSDETVT >Dexi1B01G0023590.1:cds pep primary_assembly:Fonio_CM05836:1B:29152309:29152836:1 gene:Dexi1B01G0023590 transcript:Dexi1B01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRNRIQVGRLRNSTKRLPWKRRKKAEGLASQQPPCTTLPFLRGLLFSSRLFSQSPQSQKETPRKTLGPYASLVMLPRLRLRSPGRAASAVAGAVAAVASLTNVAYADGASLFRRQSEPSNPGDADNLGATAFGRDPETLERMARALREINSSPLAKQARCSRFCPTQTGGCPY >Dexi1A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:1A:2515931:2518664:1 gene:Dexi1A01G0003480 transcript:Dexi1A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLFSFHLALQPRAPARPLAAASVGVATPHRRGKHDAVACKATGKAKPKSKAKAGSNNKGGERRPLEEHLKRRTRSAAAFDAGLYGRHGHAHHVPVLLGEVLAAFRRPRPLRSFVDCTLGAAGHSLAMMEAHPEMELYVGMDVDPTALEIGRGHIEAFLAGREANRGEDSSLQGTLRAYTHVKNFKYIKQVLGSVDESLAVGSSGVDGILIDLGMSSMQATLRAEDILNSWPELEVGRVLRDYGEESNWRFLQKQIIKAREMGGLHSTGDLVKLIQRKCNISKAL >Dexi9B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:9B:15030782:15033164:-1 gene:Dexi9B01G0020280 transcript:Dexi9B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFWELPPEEELVYSGPDWLLLVLDRYDDLMAALVVVIRDTGGLPLLMACRTLAHCHDATESEALACLEGIRMGAVWPDREFVVEMLRLEGPNRSVIAPIIHDTQLEADHLSRVDIIPEQLYSPPTTVQSCGVHDTRTCRQSDALQREERIGRAANNIAKLPYPTNRRFLGVHRLHASRSECMLAARVSAGAESGGSSARVPSIDLPAFIYTYYAFGSQKRSMRRIRLEKAMDREHRSLSGLSSRCLAGHACQTVTTSELPRTADMTRAPRLYMSALISRSMVHLHERDLRIHAGNFIIVVATSNWSDGIEEAL >Dexi5A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:5A:4419673:4422231:1 gene:Dexi5A01G0005950 transcript:Dexi5A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLLLSAGAPKNRSFPEWVFAALAAPSRCCSSNTAAAAQRSNSTVSTPEDDGERSWQRAALAAFCLENGEPDAKAEELFWLLTGKSFPSPPRPSPSSPLRRPLFGRIPRQRLRLFLSAGTPPRLAEEPDCYRKSVPQTSRTKCKDVAIDEINRGFTKHMK >Dexi1B01G0028630.1:cds pep primary_assembly:Fonio_CM05836:1B:33101944:33104671:1 gene:Dexi1B01G0028630 transcript:Dexi1B01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDIDLTSSDEEEDYDEDYDARSPISEPAASAGQRDDDLLRTATPASLEAIDAKLRSLDLKYQRQTAAKLYRHVGGASPSAHWVLDDRRATYAFVDKADDDDDNASPRWFLEVGPGPRVSARVDSALQLKTNPAQRRADFAAGGSVWALRLPTDAEFRRFRVDYERCLFENTYGVEATDEGRKEVFGADFTAWARPDEADDAVWADAEESLTAPIAAPARDLLEEFEEEAGDGDSIQSLALGALDNSFLVGGAGIQVVRNFRHGLHGKGASVRISGGRGGIGSAYSTPKKALLMRGETNMLLMSPGEALHSNGVHHLDIETGKVVAEWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLNWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTVFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSILAGTDNKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQQGLKSCYCYKVVLKDESIVDSRFMHENFAASDSPEAPLVVATPMKVSSFSIANRR >Dexi9A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:9A:8713978:8714391:1 gene:Dexi9A01G0013560 transcript:Dexi9A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTSDSIASPRWGVPFCGVRSSAAPRTRRLPSSSGGGQGCGWPPLDRVAGWVAGGIAAVFFASLERCSCVNVRTDDGDDLLDDEQRDSEAPLMFDDGNGSSTAGVAAGVERRRGGWRSDKGKRSAAAGMGWYEDF >Dexi3B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:3B:3354458:3355120:-1 gene:Dexi3B01G0005040 transcript:Dexi3B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWALQAPAGGKDRLLLVGSSSSSFTPSKRAAAAPPLSVGRVATRRPRHVCQSKNAVDEVVVADDKNWDGMVIACETPVLVEFWAPWCGPCRMIAPVIEELAKDYAGKIKCCKVNTDDCPNVASTYGIRSIPTVLIFKGGEKKESVIGAVPKTTLTTIIDKYIGASS >Dexi9B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:9B:10428224:10432988:-1 gene:Dexi9B01G0015320 transcript:Dexi9B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQNPHFPVPGSPSSSAAAPCKLESSKCIAELLASWVPPRRRILEFADHRRTAGHATMSPGAQQEDVLGEGESAQDEVLQPQQGRGEAGEQEEDDGVEEEDEAPTHLPFAPASELLDDATTVDPSYTISLIRQLLPQGSNVEKEFSAKQGAPEGTGVNSDNGDSAQIENKDPWEECGCILWDLAASKPQAELMMNNLVLEVLLANLHVTQSPRVKEICIGIMGNLACHESLVNAISMQNGLTATVVDQLFLDDSACLSETFRFLAAVLRSGTSVSWAEALLPDEILSRVLWIVGNTLNSTLLEKSIDFLSTVIDNQDVAAVLLQPLIKLGLVDYVISLLASEIEKLSDESKFDRSASLDLILHFTEELSATDGCSEVMSSSEQLIQVLDKIIKLPDKFEVSSHCASVVIILANLLADGKHIVPSLSHDLPFLEGLFDILPLVCDDNQARNALWCILARLLAQAQGIDMNSSSLENFVSLLLGKFTLIKDDLESHRVDKEVELSAEDAYLKHGLSASLSTICCIMERWIAEKSSMREEDAASPESAIENARKLLNYCQNYDV >Dexi2B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:2B:24126307:24127960:1 gene:Dexi2B01G0014440 transcript:Dexi2B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSFSGAELPWWMLLPLPLVLASPPQVNAAEERVVTHLPGFEGPLPFDFRTGYVEVDESNGVRLFYYFALSERSPADDPVMLWLSGGPGCTSFTGLVYQIGPLKFDRQGYKDGLPKLVYRSESWTKWFEVHPEFLSNPLYIGGDSYSGMIVPTVTSEITKDVNIDEPSKIPFAHRMGLISDHVYEEELRCGG >Dexi5A01G0032920.1:cds pep primary_assembly:Fonio_CM05836:5A:35339603:35341334:1 gene:Dexi5A01G0032920 transcript:Dexi5A01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKRHQRLPPGPRFAFPILGHLPLLKKPLQTSLADLAARHGPIVHLRLGARHAFVIGSASLAKECVSGELDVAIANRPHFPSVREASFDYSVLTLANYGAHWRTMRRVATVHLLSAHRVNVMSDNVIARELRAMVRRLARASAAAPGGVARVELKRRLFELSHSVLMEIMAQTRNTYSDDADEDMSKEAREMKDIIDAIVRLVGVANVWDYMPLLRCAEKGARRDRLQVGEGRLLDKNDLPHLPYLHCIINETLRLYPAAPLLLPHEASMDCKIHGYDIPAGSMVLVNAYAIHRDPAIWEDPEEFRPERFEHGKAEGKFMMPFGMGRRKCPGESLAMRIMGLDLGVLLQCFDWSRIGDGEVDMATGTGQIMFKAVPVEALCKRRANMSPVLQKI >Dexi5A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:5A:7778781:7780465:-1 gene:Dexi5A01G0010230 transcript:Dexi5A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSYVVIPSSNDVEVALTDESSDKNSQLFYGLCETLFKLDQGLIALKDYNPLQHERGFHSELNCLVKNSLQFGSIDPASAPKDAHHVDSFSEPQAPTCQGLEESRFPNQAEENVGRLNNHLHSFSEPQTSTLRTPKENRLSSQSKKGKATPSISEEWEKLIIINDLDDDFATPAPRRPAADKPPCAKPPSPVKPLDEKTSRILARLEAPRAKKQRQANAGKASTNAAPAPSRVASTQNRKPMLPFEPSASQPLKPTFSRLRRKLPT >Dexi1B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:1B:9598722:9599266:-1 gene:Dexi1B01G0010200 transcript:Dexi1B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNPAAFAYITAVWITLAAWSVYNLLAGTSPSPKSPFNVTLDVLVLLSAAASRLLLVTWRPSLPTAGAHVGDAAVAGRRPRLAGYRWPSAWTTVATGKNQTIWSDEHGDASCTTAVQCVVCLGEVEGGETVMRLPACGHLFHRRCIEMWLHGHSTCPRLNAVSGSGTDGAGLRIF >Dexi9A01G0034820.1:cds pep primary_assembly:Fonio_CM05836:9A:39553651:39553839:1 gene:Dexi9A01G0034820 transcript:Dexi9A01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPDSGESTNPGSYERQPQEQGTMMTNLDMLRMRRPVCADGRPYPRRAPPPPPPPPR >Dexi2A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:2A:13664727:13665235:-1 gene:Dexi2A01G0011750 transcript:Dexi2A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNKQFLILLFVSFAVIAPNLPPLSATGAEAPAPSANAKVLHPEAEFSIPDLPIPALLPCPPLFPRIPLIPCYKAPSPPPPPSPEVKECRSSLKKLMPCTSFLTDKGVFAPSSECCAALDSFYEDGGVLTCLCHLTNGDIGQLLPAPLNRRRTDLTE >Dexi1B01G0021730.1:cds pep primary_assembly:Fonio_CM05836:1B:27583281:27585148:1 gene:Dexi1B01G0021730 transcript:Dexi1B01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPPPPPPPSPPPAKRPKMSSSSDPEVDAEPTSPSAAGGEQRRPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAQLAPQIRAYGYVRVTNSFSAKKFCDRRRYLYLLPVFALDPSAHPDREAVMASVGSGSELTKCLECSERGRKVPGVMGREGKLPSPEENGADGPVEGTVDGHDESESIGAAKADPTASDGGNTNAHGVSGDGTEAGNDAELGSTGTGEVVPSDASIKNENKPEATTTEEIEQGMDLKNGNGEEKPPTKSAFSYTDEVMERFSRILKCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVRLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYCEQAEEFKVKYIFPHIAAMEHKEGAVALWLHSLNNRNYPDFRYMETAGAEAKVGADVESTATGSEAKVAAEIESSSAGAEAKIGAEVESIKELQMPGDNVSE >Dexi1B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:1B:22446036:22451009:-1 gene:Dexi1B01G0015920 transcript:Dexi1B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASQEAEAAVPVPGAVTAVDPMRLASRWRSPEEWERAVKELEAEPLPSELNTVNSSGLFAVVSTDKLSVRYLGDHNHGHDVGVVQADRPAPTRRAVYYFEMGVKNAGFKGQTSIGFTNESFKMRRQPGWEANSCGYHGDDGKLYRGQGPGESFGPKFTTGDTIGAGINYLSQEFFFTLTVNFGKEPFCFDIEGYILEEKMRQQSVTDKVKLEEPNISHWIVRSYLLHYGYQDTLNSFDMANATEPPATCQNGHREPPETYGLSHRKLLRQVSFDEQLEDAVKYARTNLASFLTHKAFEGLIEDSVALLAYEKPAESSIGYLLDSPQREFVADAVNAAVLSTNPAMKDDPESCLYSCLERLLRQLTVCSFERRTFNNNQGDAFLLHKEVSNCERSRRS >Dexi7B01G0022120.1:cds pep primary_assembly:Fonio_CM05836:7B:27094591:27101974:-1 gene:Dexi7B01G0022120 transcript:Dexi7B01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLVVQVLLVAAAVRAPAAHAWGEGHYMVCKIAESFLTKKASAAVKDLLPGWAGGELAETCSWPDTQRFRYKFDYARGCHNRNGEKDMCVVGAINNYTAALQDASSPYDRSESLMFLAHFVGDVHQPLHCGHTDDLGGNTIVVHWYRRKSNLHHVWDVNVIETAMKNFFNNDLSTMIQANITDVWSNEEKQWETCRIRTKTCADKYAEESSKLACKAYEGVQQDPILQEYYFFAALPVVQKRIAQGGVRLAAILNKIFSSNSRLQSS >Dexi1A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:1A:10849162:10849673:-1 gene:Dexi1A01G0011690 transcript:Dexi1A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVLIPMPSRDRDRDLLVPPAAVAAHASLATRAGADSDDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCR >DexiUA01G0006230.1:cds pep primary_assembly:Fonio_CM05836:UA:11884312:11887684:-1 gene:DexiUA01G0006230 transcript:DexiUA01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRMLEDTDFANEFDTKTVKSMKISHFHVSELQQSAVLNSSHKAPRDESDPTIQLADQDIRVMEASGFNDLHGEKSAGVFKDLTSEVLVSPISEDDSSANYDDNESLLHLASYINKEFADEGINYSAQSFCAVSDHEASWGPNQCCNLLDIYNPEDDFRFLFDNPADLLPSYTGLSDDFVSIDALMNMSNKCVFPPIESTTEASIDNKACSSEVDLCSSNSEVLEWLNPQLSEGDLPDLVDFAELKSNDAPATKEQGTRKVTLVLDLDETLVHSTMDQCDDADFTFPVFYDMNEHLVYVKKRPHVHMFLQRVAEMFEVVIFTASQSVYANQLLDVLDPENKLFSKRFFRESCLFTDSGYTKDLTVVGTDLAKVAIIDNTPQVFQLQVNNGIPIESWYNNPADEALPRLIPFLETLAVADDVRPIIAKKFGNIIDSC >Dexi4B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:4B:1182131:1182925:-1 gene:Dexi4B01G0001920 transcript:Dexi4B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQLSSLAAISGLCLVSALAIALLAVTMYILGVVVSFGVFCIKEYAWRAHDRPPLVGTVFRMLKNFDEHVEYALAHRTSRILFLGHSELWTSDPEVIEHILKTSFSKYSKGDFNTQIMKDLFGDGIFATDGKKWRHQRKLASHEFSTRVLRDFSSAVFRINAAKLAEKISSAADNRTTVDMQVFY >Dexi9B01G0029350.1:cds pep primary_assembly:Fonio_CM05836:9B:31931771:31936843:1 gene:Dexi9B01G0029350 transcript:Dexi9B01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGGVLMVAAALAAVAATWLWAALARLVWRPYAVARAFARQARAGVPALLRQHQGDSETLLFRVYVLHWSGKVYLWWFGPTPALCVGSYDMVKRILSDKVELYPKPSPPPGLLALMGMGLVFTQGDDWVRHRRVVSPAFAMDKLKMMTGAMVACAGEVIREWESRAAANGGEVTVEVGKQFAELTADVISHTAFGSSYRQGKEVFLAQRELQFIAFTSFATTRFPGAQYVPTKSNLRRWRLESKVRDTLVAIIDERMATAKKEEAYGHDLLGLMLEANACGGEDGKSAMSMDEIIDDTASGRKSSECGTGAITDDVLNKLKLVTMVVYETLRLYGAVTRILRMTTADVDLCGVKVPKGTLLLIPIAMLHRDEEIWGADAGEFNPLRFRNGVGRAAAHPSALLAFAAGPRSCIGQDFAMLEVKATLALILRRFAFDVAPEYVHAPTDIDIN >Dexi2A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:2A:24230491:24231013:-1 gene:Dexi2A01G0014560 transcript:Dexi2A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHLILSAARRAVLNHGALLLSTHQGVAEAQLVGSHGAREEAAAEREAAEGATGAEGQALHHPSMRCYAPLLD >Dexi9A01G0046320.1:cds pep primary_assembly:Fonio_CM05836:9A:49680367:49683882:-1 gene:Dexi9A01G0046320 transcript:Dexi9A01G0046320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHATLPFSCSSTLQTLSRTLSPRGALQLRRGFLRLPSHAALTRLPRPCCRRHVSASAAASPNGASADGKYDYDLFTIGAGSGGVRASRFASTLHGARVAICEMPFATIASDEHGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESRGFGWTYETEPKHDWGTLIANKNTELQRLVGIYKNILNNAEVTLIEGRGKIVDPHTVSVNGKLYTAKNILIGVGGRPSMPDIPGIELAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFVADQMALRGITFHSEQSPQAVTKSNDGLLSLKTNKETIGGFSHNLGLEDVGVQMDKNGAIVVDEYSRTSVQSIWAVGDVTNRVNLTPVALMEGGAFVKTAFGNEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAVEEYGDVDVFVANFRPLKATLSGLPDRVLMKIIVCATTNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKIRKKSTDQVESKDEAVAKQ >Dexi9A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:9A:6616817:6617836:1 gene:Dexi9A01G0010790 transcript:Dexi9A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDAPHPIHSAKDAMDALAGILGGALPGSVAAADDPAAALLNDPDVASAVTGRLRGPGSGAGNDTVCRWLYDAFRSGVPELQLAVLRFVPTLAGVYMCRAVSRKPLAGFEAVLLALYAHAAAQRGAGEAVTVSIPNLANPSPYHDAKVAPKAKPAELEVAVLSPPLEPHGTMRATRRARIVGAVLELYNGKLSHMPVSCKMDFCEFCVAWAGTPSKLNKDKPQQLQQAAPDAATGGAEKWRRVPLPWELFQPVVRIVAHCLLGPTRSDELKAQAARAAECLYWRAIETMDSTALLATRSLVRLSQMVEEPIPEPSFSGAIENMAELEAMRANILSPNN >Dexi6A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:6A:28053304:28054065:1 gene:Dexi6A01G0020580 transcript:Dexi6A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNRQRRSSPPATASLPYFPPELIPEVARRLTSLQDFFAIRAACRTYRALLTPTSSNLASQAPLLLVPVEDTLSHALFHPNLRRIHRFRLHRTLLANDDYASTDFHSLGGRLAIYVVRGRVGTLSIVNLLTSERTCLSTPPDRIHRVLLYGDLVLTWKCSGCAIQYCYLTHA >Dexi4B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:4B:4233950:4234858:1 gene:Dexi4B01G0006030 transcript:Dexi4B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVRYSSTTTLISFFLMSSIVALANAQSYISRGSTISTQDDTVAILASPNGAFAGGFYKVATNAFTFSIWFARPAHKTIAWTANRDAPVNGKGSRLVFRKDGRLVILDNNGTTVWSTNTGATRADRVMLLDSGNLVVMGLDGQNLWESFGSPSDTLLPLQPMTHKTKLLSASARGLLSSGLYMFFFDISNVLSHIYNGPQFSSVYWPDPYYTSWANNRTTFNSSQYGILDQKGLFVASDKFGFEASDLGQEVMRRLTLDYDGNLRLYSLNSTSGNWSISWMAFTQLCDIHGLCGENSLCT >Dexi4B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:4B:5969626:5969919:-1 gene:Dexi4B01G0008270 transcript:Dexi4B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALPQGELTVEEFRAWLAQFDADRDGRISREELQHALRSLNVWFAWWKARGGVRAADANRDGRVAGDDEVARLFAFAQSHLHVKITQLGYY >Dexi4A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:4A:8642894:8643118:1 gene:Dexi4A01G0010710 transcript:Dexi4A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDLKKQRAELPVAEDEREAELPMLPRELLHRPDPELAMVARLRCCGGAALPVLAVVPQRFHWLSELRAVVGA >Dexi2A01G0030210.1:cds pep primary_assembly:Fonio_CM05836:2A:41199295:41199896:1 gene:Dexi2A01G0030210 transcript:Dexi2A01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQPQLEVLAEAARINGAAAEATLSGGELAWRPAAAGGEGKERRLELESEVLGCRVEGRKLRVATFSTNSGGGGERPTALACGGGGKGGGGDGNRRRGEVVLEMESDDATARWADAIRDRLASFVLGAGLVLDRVGGGGGCVGNFWKSLT >Dexi1B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:1B:21588919:21592711:1 gene:Dexi1B01G0015210 transcript:Dexi1B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRASPPPSVSISGGGGGGFGGARGDDSAFSFLSKGWREVRDSATADLRLMRARADSLRTLADRELEQLLASASTAVAPAPPPPLAAGAPIAELEFVRTRIQPKISELRRRYASQERDLGRRVLEEWAPRGAGGPARARVDLSAITAIRNAFVFEAPVGERWRSPAWMGDVEAEEGKEWEVVRMIRDGIKEFERRSQTSEIFEGLRSTGELVEKFKSSLRSFNMESQGSKEIPPLDLTEILANVVRQSEPFLDQLGVRRDQCERLVEALYRKQSHSLSEDTSLLANDNSSDDLDLRIASVLQSTGYHADDGFWNEPAKYEVTDNRRHVAVVTTASLPWMTGTAVNPLFRAAYLAKGSKQDVTLVVPWLCKSDQELVYPNSMTFNSPEEQETYIRNWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFIPSREADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGEKITADREGGEPSFSKGAYFLGKMVWAKGYRELIDLMAKHKNDLEGFKLDVYGSGEDSQEVQSTARKLDLSLNFFKGRDHADNSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYKSSEEFVARVKEAMNREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLNDEAVQPGQAGTRNKIRRSSQRQHNLSNIMDGGLAFAHQCLTGSEVLRLATGAIPGTRDYDKQHCMDMGLLPPQVQHPVYGW >Dexi3B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:3B:7531921:7532261:1 gene:Dexi3B01G0010750 transcript:Dexi3B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKMLNPQRDGKKTPRHHHHSRSPSAMEHSGEMERSSQLYGEVMACTYEDVQVMWSMLDKARICSAAAS >Dexi7B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:7B:18148927:18149586:-1 gene:Dexi7B01G0010990 transcript:Dexi7B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLASVRTASSRRRCRLPPPPPQAQPSPPSRLGYGVVRFDCGGIGFDCGGLDFVAADSNGWHQTQFGDGEIVFVLVRSSGRCWSLAIRASSPRTSGRGGELHPVQPDVVAAGHGGKPLQPPWERGLWTSRQGPCQGPHHRRSVKPEAMGPSQLLAPEALGTLRHRATPRAPSPLASSPSSSSSAT >Dexi8A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:8A:6842552:6844441:-1 gene:Dexi8A01G0006580 transcript:Dexi8A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGPAKRAAPPVGGSGGNIEVLPDSILEHILGFLPSPEAVQTSVLARRWRHLWKSATGLSVGCGYTSFPFSVEELRSLMNHLLILRQGSALEKCELTFGAFTGQDDVSHVNLWFRQVVMCKAQVLRLCTISDYSDVDPWLELDNLPLVSQHLTRLELDGVRMHSSLLNFSSCPALEYLEITCSDLSSVNIIVSESLKHLNIIDSVCSSDSRIRIYAPKIVSLRLEYLRKRTPMLEKMPELVQAFFRIDDECSDRCNGPNYESCDCESCDSSDNMADGSGSCVLLSGVSEAQNLTLISHSELFIFRRDLRWCPMSQKLKILLLNGYWCVPDDFWALACILEHSPVLEKLILRLFLQGPEPKVQIKLRVDPTKISGAISKHLKKVELKCDVVDVRVLKVLKFLSTFNIFNRT >Dexi2A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:2A:29242430:29243066:1 gene:Dexi2A01G0017410 transcript:Dexi2A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPNPQLCIPSARAERRGIRERVGLARGIPHHVTEHLDAESGLTVAHRPGDGCVPADRAAAVPVLERPQRVLQAAVLSEKVDRGAADEGVPREPVLPGGAVELLGEGERCGGAGAGGEGAPVGEPVRACGRRRPRAHECRERGGEVREAVRGAAARHSVERVVGARGGGGGRPKSARGGETGRGGETGARGSGRRGVGET >DexiUA01G0003020.1:cds pep primary_assembly:Fonio_CM05836:UA:6356544:6358013:-1 gene:DexiUA01G0003020 transcript:DexiUA01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAADSSNRAGREPICSGREPVKRLSEMSSCDRFVAMAMAGPTVPDSLFPESSRRYRCGRPHMSGGTAPESWLFGSRTRVSVRHCPSSAGMGPTSSLFSIRTCSSFPALQSAAGIRPDIRLSDRSRNSSGHSICTAFSNLATVAGISPVRLFVERSRLTRLLRLPTDAGISPTRPHPASQRTRSRGRAEKDAGVSDDGAKGLYARRSSSSVVTLSRCPRNAPESSFPARPRVASEGNPAPYAAGTSPENALPERSRRLSDGSAARHGGRGPVREFSERERREQRGDGKSPARERLERRSDATAAGASGTEQRRCGQRHGDGERCGSQAASAEVGSERLVFAAMSAAKSAGSVAAAAAAVARGRRQRRRRRSRGVMGEVWSGVGREWRVWALGGA >Dexi2A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:2A:21987834:21988110:-1 gene:Dexi2A01G0013440 transcript:Dexi2A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRQVCTLKEREWGACASTSPSASEKHLWNGNAEPGATRLCACASTAGVASTARRSAAYLASIIVWPS >Dexi4A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:4A:24422833:24426432:-1 gene:Dexi4A01G0020660 transcript:Dexi4A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSLSMVEARLPPGFRFHPRDDELVLDYLARKLGEAGGGGGGAVVMSIYGCPTMVDVDLNKCEPWDLPDIACIGGKEWYFYSLRDRKYATGQRTNRATDSGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRMEGQGDPMKLPFKQEDWVLCRVFYKSRATIAKPPTENSSYNIDAATTSLPPLIDNYNNTISFDQPGSVQNLEGYEQVPCFSNNPSQPSSSMNAPPTSSAMADHQEQHMGKSIKEVLMSQFGRFDAAGNVKRETPQSNFSQDGFEYLADSGFTQMWNSFG >Dexi9B01G0036210.1:cds pep primary_assembly:Fonio_CM05836:9B:37752292:37753850:-1 gene:Dexi9B01G0036210 transcript:Dexi9B01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPPPQPEPATRRSSSTTTCSTAPRRTIGCMAGLLRLISPYHRSHHRKRLIAKLNAAQHASSAPPSQPSSPPKKKPPPPAPAAAVPAVPPSPKPSPVKTTTQQQQTPPAAVRRRRSCDAPRSPTIAPEHRRSSCDSPRPPPPAIVARLMGLEESAPPSPSPAAAPAPPRRPVVVLPMRPPPPPPAAPATPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAVAASPDLVAPVAAKTPPAASKAGAQWTVARDEHSPSPSPLSSQQQYPSPDSVLDAISSPRFPCRKRPSPCATTDTDAVGKPGCGNGAVAPTVGSKIVKPSRTLVFSGGDYWKIRPGDELQLHIHCPVPVVVGMPRSAGSESWRHHLRRWEMEAAAAGRVISRAMAESAGEATMWGAAAQQQGDHDEQSRERGRVAAALEGAIVQDLVAELLSDLLALSGHGGRAGCRKRLCF >DexiUA01G0021700.1:cds pep primary_assembly:Fonio_CM05836:UA:44913886:44917697:1 gene:DexiUA01G0021700 transcript:DexiUA01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAVAAGSSDVEFIRARSDKRAYRRVVLPNALEVLLISDPETDKAAACMEVEVGSFSDPEGLEGLAHFLGEFRLLFLGVLQHFDSFGSLTLSSMSMESQVLTPAPGTLGAAALAPRYAAVVVAVERMVRSPRLVGPEERDELYGMLTASVRAQLRARLRGTVAAADPELAAQWRAALAGILEWLAPMAHATMRWQAERSFEIEQRTTEPGGVLLLQTLHMADRHKVEAAVVELLVGLNYVCRFHKEMMMMTCWGPPAMASVRC >Dexi4B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:4B:6299377:6300391:1 gene:Dexi4B01G0008750 transcript:Dexi4B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLQDRLQDEDVRVGVDRFPERHQPIGATAADDLGRDYTEPPPAPLFEAAELTSWSFYRAGIAEFVATFLFLYVTVLTVMGVTNSPSKCGTVGIQGIAWAFGGMIFALVYATAGVSGGHINPAVTFGLLLARKLSLTRAVYYVVMQCLGAICGAGVVKAFGATLYESAGGGANAVSPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPVLAPLPIGLAVFLVHLATIPITGTGINPARSLGAAIIYDRPHGWHGHWIFWVGPFTGAALAAVYHQVVIRAIPFKSSGAHY >Dexi2A01G0025950.1:cds pep primary_assembly:Fonio_CM05836:2A:37555263:37556511:-1 gene:Dexi2A01G0025950 transcript:Dexi2A01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKKPPAPAPAVASTACSSLMSCLSLQRRSGPPPPPAARVTDDASPRGGGKAEEKYWERVLLLEEEIRRLSMWFGHEERPAPRAAEEGVVRAQPREEEVGATAAFTGERATNGAKRCAAAAGDHGVKVKDTVRLEDRSYLREVTRVGRPWHRLAVQVSRPVSPVDAASVSEVLDKMAAMRAEDLCKFLTQMMPLKDITGQKNPGEPARRMTNLSSGDDLVEALVFRAMDKLESLVLEGLKIQMASPATEPATTTAAGDRSRNEEVAKDCMVHVVLMQVRDPNEGYSSIGDPMIGLIEASLEKKDGVVKLEMLGLHVAGISFISRKPSDGRCMLWSASLRQCRGSRAAADGGGCRCTCVRNPNRVFKR >Dexi5A01G0022810.1:cds pep primary_assembly:Fonio_CM05836:5A:26950093:26950560:-1 gene:Dexi5A01G0022810 transcript:Dexi5A01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQTLGWSEGEVMRPESKPCSRLMRQTAGIFSVGGALAFWVLCRLHYGPRITVPRSLRWASCGAISVTSTSALLVRLFSPECEPQNIAAYDIPEHKAE >Dexi4A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:4A:21640615:21642670:1 gene:Dexi4A01G0017760 transcript:Dexi4A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSNGEWSASEIEMVKSLIARYNTSNNCNDNMNKKHIDIVTEVHAMLPMKDKLQVIRLYVDLIMEMMPSGTSNDSYYHGASGRANPVNINMEIPVEDPAVYTTRVAQEAPHRQPPPRMERRTGFWTTAEHRLFLRGLHVYGRGNWKNISMYFVTTRTPVQVSSHAQKYFRRLGNDVPQQRHSINDVGLYDAEPWAQNNTSTWAGFTFTGAAYNQNRYGASDRASGQHATINNQPHVQSSILYHTSQANNSNEVAAWANDQQIGATSSSVAPVMEGGRDSHQARSNDQSGDFFPGRMMNMDMI >Dexi1A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:1A:22813033:22813603:-1 gene:Dexi1A01G0015770 transcript:Dexi1A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAWKHERIDDSASVDRKALSAPSNSPMCSLGPSHLDEPSPVASGGCSDACPGHGRREPCPSIRIVPRLSCADVRRPRRWPGASLELFIAAADVDEPIVGHAARGPPARPTARGFGPAYGTARHSGSGPGPARPEPSCGPWAATLARGTARARPDKMAGPVPPNVIM >DexiUA01G0023200.1:cds pep primary_assembly:Fonio_CM05836:UA:47391899:47392474:1 gene:DexiUA01G0023200 transcript:DexiUA01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCCECNNILYPKEDRVNKEVSDSNCVYRNVVDHAAGELTQVLFDDVASDPTLPRTKSVRCAACGHGEAVFFQA >Dexi9A01G0022110.1:cds pep primary_assembly:Fonio_CM05836:9A:17071970:17081609:-1 gene:Dexi9A01G0022110 transcript:Dexi9A01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTPTQVYNTRVTVAEVYEASTAWTPTPKGQHAMSLRKMGTFPYMTHMPASSIHNPSCSSRPCPSQSLVPGTSSRPPLAQRPDLSSPPPLLSAAASASAYSLLDRVSHPPPISMLPRSPPSPAAAEAMVPGVGGVEPAVTLDQVPRWSDPDQRIFPAASTSDEAYADEGGSEPPAASGFLSFSDPLTGDDAGVGGRGGASRFPVDQEINSRIYLWRGQPWNLEVDAVVNSTNEVAILDAILLSSLDEAHSSPGLHAAAGSGLAEECATLVLEEEQAAAMAGKQLNVLTTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDTSSPNPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGHTPKGVISTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAAFRNSFPAPAYQANPAASLVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIAKNAKQAAADMSKVLNSEIIEDNAEREAAVGPSSNEWGLFSAQFVRRHGMHLVATTTTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDVIGRFAIQIMGFFMMTVFMLGLAVPYHHWTTAGHHTGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEAGYSPGIGIRNALFVLAGTNFLGTVMTLLVPESKGISLEEISKEAVDDEEAAA >Dexi5A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:5A:6004379:6005384:-1 gene:Dexi5A01G0008100 transcript:Dexi5A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRSCLQWIRSPDAQGNATVFLAKFYGRAGLINLITSGPEHLRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQTAVESVLRGMPIAPPPASAATSAVPPLRTCDIRHVARREDHHVAAAAASLHRMANSSRGQFKRSGGAHGSPGLGSGIELVFSQPSAAMLVDVRQAQPLSWAPRREASHEDYSGSHGAAVPEKTDSNASVDTVDVSHVSQSEPEPQKESDEHGADGLDLTLGLPPMTVHKTEPSEVDDVRQELSERRAGEARLSGHRF >Dexi3B01G0027130.1:cds pep primary_assembly:Fonio_CM05836:3B:22658194:22659539:1 gene:Dexi3B01G0027130 transcript:Dexi3B01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDRLSALGDDLLRRILHFVPFKEAAATSVLSRRWGSLWRSSGAVNLDVRIRNDKGEHPSYEQRQEAFFSHRDAFVRAATAALDAADAPVTRPTLRVVDEEARDYHEDSSEAIRFLHGTIDPFVEHGVIGDVVSHWAARGVEELRVAVAFAGDGLSSAAARHRQETNRPSDGTYRLSLAVPLIHVRVLDLTRCTGLTPPPAMDGDAFFPRLETLRLQLCSLDTSDVQALMDAAPRLATVHLESVFFSMGGVRGDEAPGGCVRLHCRAVTELVMDIEIDAPRLRYFRYKGSERPFSLTSLAPDLVEVDVHFHQERYTYLQGLNPEEKIAVFWQFVRNFTSARVLKLKVNNLEDIAVGKARRTELLCTFRNAVPLELEGVHRATTSKAAAVAIPNLLRCCPALGDLRLKLSTERPDGL >Dexi9A01G0036600.1:cds pep primary_assembly:Fonio_CM05836:9A:40990604:40991209:1 gene:Dexi9A01G0036600 transcript:Dexi9A01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHRPCRPSSPSSASNAFRPGTKSRTTITSTSTRSASRALPMSHSARTTSRHGRVHLAAARQRLRHQAVYDTGSCPEATTLPNTSLASPSADASAAHRRHSPLASVSSARTSASNPARSIRLYTCHAAATSPARSSAASTMPKLTLSGGQARSSLPHMSMSSANALSGFPSLQWPLRSAL >Dexi9A01G0040200.1:cds pep primary_assembly:Fonio_CM05836:9A:44038981:44041741:1 gene:Dexi9A01G0040200 transcript:Dexi9A01G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSLHTERRSSFMKWLCNFLKGSKPGEPNRRRPLVTAGEEDTLWQPPVRPKIQSDPTRNDNEELDRAIAESLAEEVKPRKERNHKGDSNDEDLARAIQDSLNMNPYTPYNPYAPSQAQPRGHRVCGGCKHEIGHGHYLSCMGIYWHPQCFRCCSCRHPIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFGPHHMPETRGLCLSEEQTVSSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLNAEVEEGICQVMSYLWLESEILPASSRHAASSSYASSSSSSSYPPTSSKKGGISHTEKKLGEFFLHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >Dexi8A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:8A:21747084:21747458:1 gene:Dexi8A01G0012410 transcript:Dexi8A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKNLAVFAAIFTLMALLVASSYGDELPAGAFASTSSYGGAALCKQRTGVMCTSDAMCVTLCLHKNGGYTGGYCSTEFVVGDPSCVCTKPSC >Dexi4A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:4A:5190661:5191212:-1 gene:Dexi4A01G0007070 transcript:Dexi4A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASPAVIAATSFPSEQSIYRAAAPSDGMEVEKAKCECCGFTEECTPAYIAAVRAEYLGRWVCGLCAEAVGDEIRREDGTLTTAEALDRHLAFARAPRVARSRKAAASASDDLVAAVARLLRRCLDSPPASPAAPHGRKVAAGPCCPDEADA >Dexi9B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:9B:10673279:10675250:1 gene:Dexi9B01G0015600 transcript:Dexi9B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKDAEGDDVTRGDAGGWRGDARWCEWTFVSGRSVLAATIRPILPTEGPQEPSPSSNLFPIRPEAGRSALLAIAFLLAASPCLQGNAARDPAPPSALLSQPPHFIPCAAPPGIPLPGIPRRSAGRSVRSFPFAGRKRALVVRCRVVPQKIGSGH >Dexi9B01G0023160.1:cds pep primary_assembly:Fonio_CM05836:9B:18172967:18174206:-1 gene:Dexi9B01G0023160 transcript:Dexi9B01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCMQEKGLSHLSSFLLGTLLPTLLLLFLASDRLSERLSSISSFGSEHLLGSPSAQADHLASNLTSSSHATAPAPSQQQEEEERFPELAELLPRVATEDRTVIITSVNEAWARPGSLLDLFRESFRIGEGIAPLLNHTLVVALDPGRLRHCRAVHPHCYLVEVKGMNVSAANRFNSRAYLGVVWSKLSIQQRILELGYNYLFTDVDVMWFRNPFRHINLYADLTISCDRYFGKPEDLYNSPNTGFYYAKSNNRTVQMLRHWQAARSRFLPANKHDQQIFGAIKTELAGQLSVRIHFVDTALFGSFCAFPGEVSGSVCTMHANCCMGLDNKMQDLTNIIADWKNYTSMTPADRKSGKAKWTYTINCRHSMGRN >Dexi2B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:2B:5785928:5786735:-1 gene:Dexi2B01G0006190 transcript:Dexi2B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAVNDLDKELEYLKQAFEV >Dexi9B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:9B:11623380:11624399:-1 gene:Dexi9B01G0016900 transcript:Dexi9B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKATRSGAGSMSKASAPPLPVADKETTRALPPVAEAVDEEEVKEVVLSEAPPPPRPPPLAPVKQRQPDAEEEESESAPSEACSASETASVDSAAKEKAKARAKLLHMQAGLAGAEREAEKRATAAADAPRKGREREGKGGRGGGAAGNARARSPSPSPSSAHSRRQQHPPAAAAPPARPRRREQPAVVSGIGCRSGRFSPSAARRAAESAAAVRRTHSAREADMALPSSMAKRSLTAAINGGMLSRRDAGERSGRRSDSPTAGRRAPASPMRKAAKEHGTPERTRPRARDGDVDEQPPLPEAAAGGGGGEHKKVEEGALGQNPPCFIFL >Dexi7A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:7A:2963308:2964771:-1 gene:Dexi7A01G0001180 transcript:Dexi7A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELHLVLVPLLAQGHVLPMLDLARLLAGHARVTVVLTPVNAARNKALLEHAARAGLALDFAELPFPGPSHGLPEGTENLDMVLDPSLIVPFYEALWQLAEPLESYLRSLPRLPDCLIPDSMSPWTLPVARRLGVPRLVFHGFSAFSILAVHNLEKHGIYERAVDDYETFEVPDFPVRTVVNRSTAPGLYLGPGMDRFRKDMLEAEASAEGMLFNTCRAMDGEFMERYAAERGHKMWALGPLCAYKSDAGAMAGRGNRAAMDAEQIVSWLDARPPGTVLYINFGSVARLLPPQVAELAAALEASGRAFIWVLLKETSGLDAEFEARVKDRALLIRGWAPQLTILSHPSVGGFLNHAGWNSTLEVVPYGVPMMTWPQFADNFLNEALLVDVLGTGIRSGVKVPLTHVVQMDPMPVVQVGRERIASGVAELMDEEEGSTGAVRRAKAKELAAKVRAAIAEGGSSDTDLKDMLGYIAELAKKKKVDDQN >Dexi7A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:7A:29835636:29837066:-1 gene:Dexi7A01G0021100 transcript:Dexi7A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAAPTTAPHVVVIPFTAQGHTLPLLDLAALLAVRGLRLTVVTTPGNLPLLSPLLAAHPATVRSLTLPFPSHPSLPIGLENTKGCGPEYFPIFIHALASLREPILAWATSQPDPVVAVIADFFCGWAQPLARELGAAGIVFTPSGVLGTAFPHSLFRRLVRRPAECGDEFTVAFPAIPGEPAFQWREISMTYKWFVQGGHREKVRESVRQNFLWNLQDSSGFVSNTLRALEGRYLDTPLEDMGFKRIWAVGPVAPETDPAGTRGGEAAVAAANLSAWLDAFPEGSVVYVCFGSQAVLTPAVAAALAEALERSTVPFVWVVGAGSSGVIPEGFEARVAAAEGRGLVVRGWAPQLATLRHAAVGWFMTHCGWNSVLEAAAAGVPMLAWPMTADQFANAWLIVDEVRVAVRACAGGFGVAPDPGELAAVIADAVGEKGRDVRARARELAAEAARATKEGGSSYADLEDLVQEIRKLC >Dexi3A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:3A:1704885:1706316:-1 gene:Dexi3A01G0002520 transcript:Dexi3A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSKKMSHQLPAPASRLWEASIRKLQTIRRVGTVTPADAAVTPSLLSVTSSSSSASSNTIYQYHHDADDSDTSSNNDSDDAAGDDDAEATTTTTTHSEQLLPSGDFYQGDLRGDLPHGAGKFLWTDGSMYEGSWRHGRASGRGKFSWTSGATYEGDFAGGYMHGHGTYIGEFGDTFAGLWSTNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWKAGDMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGGMYIGTWCEESGAVHADGVYYPPSGGPVVPVPREPCEPITALLQELEACEGKKASLMPSHKILTWPGVEAVQKKPVWRPPKDQPQPGRMSSVGSRRMSSASLDMDSLVGDGDGEEGSTAARSCLRTSSSSRTPPRPGKKQGETISKGHRNYELMLNLQLGISRRQLRWT >Dexi2A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:2A:7172952:7175012:-1 gene:Dexi2A01G0007350 transcript:Dexi2A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHLQRYEDGRRLVAGCIPFRYRDIKDEQKKLVEVLMINSQSGPGLLFPKVWEDGKMMKPLKRQLHEKQLKKLEFEET >Dexi8B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:8B:21668187:21670345:1 gene:Dexi8B01G0012300 transcript:Dexi8B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFLMFPAREYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHADLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVASKATAS >DexiUA01G0000580.1:cds pep primary_assembly:Fonio_CM05836:UA:2184114:2188038:-1 gene:DexiUA01G0000580 transcript:DexiUA01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQFASEHRAARGAPVGEHDLAELGGAEAGAGVEALLHLRVELVVLEPEVVAGQRLEALEVAHGEVDVDVEPARPQHGRVDAVLAAAHGEHEHALLAAGRGGEPVHEAEHARRPLVRRLPVAAAEVPVEVLDEDERPRGGVEEQRAEVVAAGAAGAGQVDVVDVVSEEPRHRGGERGLAGAGGAVEQVAPAPDAAEAVVVGAALEEGLEVGADAGLELGVHRHGVERGRVGEGRGRPPRRRRAAAGGGVGVQPQLPSARLHLVGDGLDVLQVGSQHAGAVVAADAEDEAVGAGVGPREARAEERSLLLAVDDSTVAASASSSDGSEDTYAAAFRNSGFSAPPSRRGTS >Dexi7A01G0022750.1:cds pep primary_assembly:Fonio_CM05836:7A:30923611:30924601:-1 gene:Dexi7A01G0022750 transcript:Dexi7A01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIAVYLTCLFVQYEWDLASRHQCVNTGGVQAGDRSDVVIVKARRVGTKAFRGRVLRGGGPTLGCNHAPSRKRLVAGDKSHPRPALLCSHRPSSAALYILPFLRHHLLASPPIPSHTDPT >Dexi8B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:8B:20017773:20019733:-1 gene:Dexi8B01G0011180 transcript:Dexi8B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKPLPPAQQERVLTVLSIDGGGIRGLVPATILAHGPDVRIADYFDVIAGTSTGGLIAAMLSAPDKNKRPLFAAKDISQFYLDNGPKIFPPKGGWVPSLVQSAWDKLRGGPKYDGKFLHEKIETLLKDTKVADTLSNVVMPTFDVKRMQPILFNSFEAEREAHKNALLADVCIATSAAPTFLPAHGFKTKGSGGEPHQFELVDGGVAANNPTMVAMSLVSREMLRLRKQLLGEGRNVHLVQGGAVRRLTKSSDTSFSATDNTGNPTMAAMAAMIAMEEDNPHLRMNKKQDAQASVYRNILVLSIGTGTSRKAHMYTAEECNKWNLLNWLNKDGFNPLIDFFFDASADMVDIHAEVLFELLGVEDHYLRIQTDTLTGDAASVDCATEKNMKDLIKIGNDLLMAKLARVNINTGEYEPKEGGGTNEAALKELAEKLYMERKLRKEAGGK >Dexi5A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:5A:15314803:15315881:-1 gene:Dexi5A01G0016290 transcript:Dexi5A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRSCNLASRVFDRQVLSPRPGASCPSLTQSMEHGQHGSYGDKSSYGALGAPPRQQGITRARLFWRLGLDDEK >Dexi5A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:5A:3672315:3677171:-1 gene:Dexi5A01G0004810 transcript:Dexi5A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVAPAPLPHVRMRDGGIRFTRSVDFAKVLSIPGDGTMRTGSSRGRVLVAKSSSTGSDTMELEPSSEGSPLLVPKQKYCESIHQTRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADIVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTDDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCQRLANLGTQAANLQIGVAPFEEKHRHYFDFQRRSGQLPLQKEGEEVDYRNVLHRDGSVLMSVSLDQLKAPELFYRSLATKLVVGMPFKDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLAPLSEQLTKPLPHAIALVNLDELSSGAHKLLPEGTRLAVTLRGDESYEQLDILKGADDITMLLHNIPYGEEKTGRVHASRRLFEYLETNGLNFPVIHHIEFPKTIDRDDLVIGAGANVGALLVDGLGDGVLLEAAGQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDNGRWVDPPAKE >Dexi6A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:6A:10640028:10642749:1 gene:Dexi6A01G0009270 transcript:Dexi6A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETDHRCSQCGRPHRLRKHLYVVVDDWSAGFSVHKLDIQDEIDKDEKAKLGTLRKPKIQRLPPSPVRIAFSGIGAGARIAAMGRKIIACTEGEHGLTVVYDTDTAGLAMVRYSPDALHYHWEQAMAAGDALYAIVSKPTGTPSDGSGSADSGLHCSQGEMFSFEEVTEYPEEAFCLDDVYDDNRVGKKCTWRTDPLEVPFYLCHGAIIRSYAMHPGGNRFYVSVTPAEHRGSNARYYGDDIPDLTEAEENAAKAKAGGTFTYHTKTREWTHLGTWMLPFAGQGYYDPELDAWVGFDMPYHGKIGCCDIPSRPWGSPEPPIWKLCNKDISLVKSSVLDNTNVLVRMGSGRFCLVQSMPRDGVDDYWGDGDKFELHVTTFRARYGKKGELTITDSRLVSSYVLSRSGQQREQEAEIGEGGTGLATGSKEGPLRLATAALRVSYGL >Dexi9B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:9B:14042521:14047735:1 gene:Dexi9B01G0019460 transcript:Dexi9B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTAPRAPLSAASPSARQLDPNPSGGRFPAGARRLRAVRRLAGPPPSRRAPVVRCSARSPDADAGGRRGWDAMLRDAFQGAVRRWSEYVSNYWPPPPAGKEAGRGKRVGSYHEEKAMSGDEEDEEEGKWSWETWQRHFALIEESERLVDELQRAIEEERYNDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYDTRQLSSDGPGFPIFEIYYAEANGEYKLQAVHLKPDDSDSDQLPNMLREKLGIDSINISGSSVGSKQEEFDGSINMDDQDSDDSNITAGGPAGLKNLSSDSTAVPRIKILKVAPMENVNQDYIINIFDQISEEDDDHDDPDVENESSHDLGDGDNNEGAETVSAEENGDESGDENDIEALISIDFVSESNSNYASHSSAEAFERMPARLEKTDRFSFSFYTERYNNKLDVGKAQQTSKETVGLHTSQQDEDGFVQLDRVKLSGSNKKLSVKYFIDIVICILQLGIKQHNNNVLQKLHGVTHFNRIQMPISSDPLTGIF >Dexi7B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:7B:18171092:18172121:-1 gene:Dexi7B01G0011030 transcript:Dexi7B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALDVGSDGRLGFPDAAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGTYFQDLQVVDKNADGSVSVLNDTSVRYVPLTSRSAQLQDP >Dexi2B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:2B:7639341:7639824:-1 gene:Dexi2B01G0007300 transcript:Dexi2B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLAELVVGEYKLHKGVKGEIKELEQEMNCITAALHKVSEVPADHLDEQVKIWAGDARELSYDIEDAVDTFMLQRHQS >Dexi5B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:5B:5187684:5189040:-1 gene:Dexi5B01G0007650 transcript:Dexi5B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGTFKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVIVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGAAATVITVE >Dexi9B01G0024140.1:cds pep primary_assembly:Fonio_CM05836:9B:19875264:19876725:-1 gene:Dexi9B01G0024140 transcript:Dexi9B01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTLVDPAGLEALERDLDVARAAIRRAARRRDGDPGHGDGNTSVTSPDTWFEAGVEYGLLKSVYRNPAAFHRSYTEMEKRFRVYVYEEGEPPLLHQGPCKNVYTIEGRFIEQLELLEGGRGVRTTDPERANAFFLPFSVTQMVTFTAVAAVTSAALVADYVHVVAARHPFWNRSTGADHFMLACHDWGPYASQGHPELYANSIRALCNANTSEGFRPAKDVSVPEIYLYDGDMPRQLLEPAPPAGVASRPFLAFFAGGKHGHTRDVLLRHWKGLDPDVFPVYEYDLPKGGRDYYSFMRAARFCLCPSGHEVASARVVEAIHAECVPVIVSEGYALPFADVLRWEAFSVVLPLADVPRLREVLEQIPAPEVERLQRGVRLVKRHFLLHQPPERLDMFSMILHSVWLRRLNVRLDR >Dexi5A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:5A:2362342:2362899:1 gene:Dexi5A01G0003200 transcript:Dexi5A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVEEVKEAEDAGLRKRKRGAGGGGEEGDEEEEAAVEAAEGEGKDLLGEEEEGARYEGIAEEDVMRWLELEIAGAAAPGGAAAGSVAVSGIDGESCGPSISAAASTVMASFDTRAGAPPPPRVPWPWPERIVIKDVVDGAAGTTTQQQAAGDEMGPGGEGDEEWLARLLTCGGPLLEGVL >Dexi4B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:4B:16154913:16156119:-1 gene:Dexi4B01G0014930 transcript:Dexi4B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVRWLLTVAVVVAPLLAGAAAGGPLSTSFYSKKCPNVQGIVRAGMASAVAAERRMGASILRMFFHDCFVNGCDASILLDDTATFTGEKNAGPNANSVRGYEVIDAIKAQVEASCNATVSCADILALAARDAVNLLGGPTWTVYLGRRDALTASQSDANSNLPGPGSSLSTLHSMFGSKGLSARDMTALSGAHTIGQARCTTFRSRIYSDANINATFASLRQQTCPQSGGDATLAPIDAQTPEAFDNAYYQNLMSRQGLFHSDQELFNGGSQDALVKKYSGNAGMFAADFAKAMVRMGAISSLTGAQGEVRLNCRKVN >Dexi2B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:2B:17174742:17180452:1 gene:Dexi2B01G0012370 transcript:Dexi2B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRIAARRLASALLSSSPLPRAAAPQAPPLERRLLPAAPGFLPPPRPSSWQQDPRWFAASSAAAEAVSSEEAEELALEIVRAQPNQNQPQLPVEEHQASGWEHRGRHRRSRRGHQAQVAAEEHGMTYHKYASLRRRQIRVETEAWEQAAKEYRELLADMCEQKLAPNLPYIKSLFLGWFEPLRDQIAAEQELVADRGSRASHGPYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEALNTLGSTKWRINKRVLSIVDRIWSSGGRLADLVDRTDVSLPEKPDTEDDTVLKKWRWHMRSVKKENSERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGESGLCWLKIHLANLYAGGVDKLSYDGRIAFAESHLEEIFDSADMPLEGKRWWLGAEDPFQCLAVCMNLTEALRSSSPETTISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYSGIAARLA >Dexi5A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:5A:7186004:7190644:-1 gene:Dexi5A01G0009590 transcript:Dexi5A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSAASASSSSSAPRPPTAAGAASGGGAAASPESYIGSVISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPQAASLHSDPAIIQSHYSQPASTSTSLPSAGGAVLPDLSSQAAQYGLQRPSFQSNLPPWASPAAPQPGIVTPWGAPVTPQPGSASTLSVPSMYWQGYYGPSSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLNPSLPSGLQKLSELQPSLMPPVTSQGPSSSILPATTAPASAALLAPESSKPLLPNMGSLFTPPVTSLGATFPFPSQPTSAAETNATVSHNLTSFGSSKAAGLLGSTLAYQTASQSVSSTVAPSSSSQVEMPVPLLAPSGQLLQNTASMLPSSHSMQAPLQIGSKEAKPVEPKAKVAEPLLPDPLLPDPPARALPDNKEPILPLPKQTPQKFSQSVTAFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGEIGGDVFDEDLVVEETENPELAVKWNIWTWRTKRNGRLVSFQGIDSPTVVVPVVTVAVAVPVVGTMVAEAMETWGRVAMETWGQVALEIRILSVAGRMGEIEFSSRRVHPAVLVGVSLCSLPYA >DexiUA01G0000110.1:cds pep primary_assembly:Fonio_CM05836:UA:1285103:1292693:1 gene:DexiUA01G0000110 transcript:DexiUA01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGSAADERWASLCNCVVKFLLEERYHLTALELLQELQEDGRHAHALRLRAFFSDPALFPPDLVARASSTPPGGDPQSLLEEKIAAQEKLALAEYDLRLAKEDLSQLKLELQKKKESSPEDSNGLLLGASTHEGSISKQDRRDLKISALGTLKDNERRDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSPACVPDALRRYYYQYLSSTAEAAEEKICILRENETLLRDNDQLNAEKDTLKKSREAANSQVTALRKSLEAAYKDIQEKEKMVQDMRQSLDVQRKELNDCRAEITALKMYIEGAQSNKQLFVGNSDSLESYSIANSMKEAGSLNNKDGDSKVSESMTNILTSAVSQTEDTQKDCQVIGNNAEGPSVSEAPVSCATDENGSYGTSEEEKSVSNSSTENVTSNSNLHGDSMIDKSQGSSDGISVYVSTEKLEVSNKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHTDSNVRDSLTHTLFNLIKRPDEQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLIEDPATVVREAATHNLSLLLPLFPNLDKYCKVEELMFQLVCDSSGVVVEVALKELVPAVVRWGGKLDQISRVLLAHILASAQRCPPISGVEGTIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAIETCPFASVDPTSSTPENIFSASCLKLYSTGDSEWSAFEWMHTDCLPNLIKLACLLPGKEDSLRTVITKYLLEVSWCYGKDYLEHFMLPVFLVAAGDIDSADFTYFPLSVQPKVRGLRPKTSIAEKIAIMCVFPLLLSGILGSPSSLQQLEEYLRKVLIQNTKDGSFSMHHTAEIINAVRFLCLFVEHHGVIFNILWEMVVSSDTSLKINAAALLKALVPYIDVKIASTHILPALITLGSDQNLTVKYASIDAFGAVAQHLKNDMVVDKIHIQMDAFLEDGSHEATISVIRALAVAVPNSTDRLREYILLFEFHVYILTQVLHYL >Dexi4B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:4B:6989470:6991275:-1 gene:Dexi4B01G0009650 transcript:Dexi4B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPSPTPIPLTTIAELRQHHSQLVRLGVASHPSHARRLLSFLARDPDSHLPYAARLLAHHPDPHPALFNPLFSELPPRHAARLLALMLSLPLAPDHFTFPRLLPGAQPLHLVAQLHALLLKLGFHAHTQSLNALLAAYFANARPDLASRVFRGGGGALDVVSWTTMVGGLCKLGLVDDAREVFDGMLERNLVSWNAMMSGYVKAGRFLDALEVFDEMRARGVEGNGFVAATVVVACTGAGALARGREVHRWVERSGIEMDGKLASAVVDMYCKCGCVEEARRVFEALPSKGLTSWNCMIGGLAVHGRGEDAVELFGRMERDGVSPDDVTLVNVLTACAHAGMVGEGRRCFDYIVRRYGIEPRMEHYGCLVDLYGRAGQLEEAKKVIDDMPMEPDVGVLGALFGACRIHGDIDLGEAIGWRVIELDSENSGRYVLLANLLASAGRWEDVAKVRQLMDERNVSKEAGRSVIEVDGEVCEFQCGSLCHPRAEEVYGMAKDMMKRIGAEGYVPDTRDVLHDIAEEEKETPLLYHSEKLAIAFGLLRTRPGDTMRITKNLRVCRDCHEATKFVSRIFEREIVVRDRNRFHHFKDGKCSCKDYW >Dexi2A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:2A:13377500:13378663:1 gene:Dexi2A01G0011450 transcript:Dexi2A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTADHDDRVVPLHSLKLLATLQHVLCTGAEDSPQTNPIIGRIDRKSGHGAGRPTQKLIDEAADRYSFMAKMLGAVWTE >Dexi7B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:7B:21747579:21749366:1 gene:Dexi7B01G0015680 transcript:Dexi7B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLRVYPSELKIPYEVKKQRSCCMQLTNRTDQFVAFKVKTTNPRKYSVRHSCGILLPRGSCNVTVTMQAPMEMLSDHHCKDKFLVQSVVMQDRATMKDFGPQLFTKASGRVIEEVKLRVVYVAANPPSPVPEEEEEEDDSSPRSEVVCGEKSSPTFDAVSYVINAYRCIGASASEPSCAESTSVLSELVEVRQCAVDKQKIQQKMELLGEKRSSQQGFSFTFVVFVFMSSVFIGHFMNEIKV >DexiUA01G0000860.1:cds pep primary_assembly:Fonio_CM05836:UA:2657436:2658208:1 gene:DexiUA01G0000860 transcript:DexiUA01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSAKLLENSSKFSQLKHLKLVLFLLDQHPEDFDNILSLASFLRAAPLIEELEIHFNVSGRGNAETGRLRNLPKCPYKHLRNICISGFKGFQGQAELLAHAVENAPALEILTIDTASKNGNPLSQNVEPLGADIARSCLEWRISPKTKLRIDDSISEGLHQEWVLVES >Dexi9A01G0042570.1:cds pep primary_assembly:Fonio_CM05836:9A:46171641:46172852:1 gene:Dexi9A01G0042570 transcript:Dexi9A01G0042570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFLGGGIFNADGEAWREQRKVASHEFNTRSLRDFVERCVHDELHGRLLPLLRRAAASGASLDLQDVLERFGFDNICRVAFDHDPRQLPVDNDCTAANADSSSFADAFRDASYLSVGRFRYAIPGFWKVKKELNVGSERRLRESVATVHGFADRIIQSRREEMRRDGFEKHDLLSLFMSSHGAESSKEPLRDVVTSFLLAGRESTSSALTWFFWLLSSRPDVQRRIRDEMAAVRARRPRGDLAVGFDVDELGEMHYVHAAITESMRLYPPVPWNSMYAQADDVLPDGTAVKAGWFVGYNSYAMGRMESVWGDDVLEYRPERWLNPADGAFQPGSPFRFVAFHAGPRMCLAKEMAYIQMKSVVAGVLEALDVAVDDAYRPQQFASLTLRMAGGLPVTVKPRRD >Dexi3A01G0024240.1:cds pep primary_assembly:Fonio_CM05836:3A:19881227:19881653:1 gene:Dexi3A01G0024240 transcript:Dexi3A01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGARVRRRARQEHDLAVRDALPVPTRDPTPRAELGSDRPRRGRWRSSASGVQRRRRRGRWPSSASSGGGSEDGGQQREDEARWWWHEDRGWRRSCGERQGSEVGARAARATTVGAGRADDGAGVWRSDE >Dexi9B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:9B:7556852:7558978:1 gene:Dexi9B01G0011610 transcript:Dexi9B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTGHISIIVLVLLFVESRASQGAPPGSQLDVNHKKPLQTFRPYNIAHRGSNGELPEETEAAYLRAIEEGADFIETDILSSKDGHLVCFHDVTLDATTDVANRTEFADRKRTYEVQGENMTGWFVVDFTLKELKSLRVKQRFSFRDQRYNGKYQIITFEEFILIALYAERIVGIYPEIKNPVFINQHVKWSNGKKFEDKFVETLLKYGYKGEYMSGDWLKQPLFIQSFAPTSLIYISNMTKSPKVFLIDDTTIPTQDTNQSYYEITSNAYLAFIRNYVIGIGPWKDTIVPPKDNYLGQPTDLVARAHALNLQVHPYTFRNENSYLHFNFHQDPYVEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYPKKKKNAEALLHEIANMLKDDGYGA >Dexi8B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:8B:710460:711251:1 gene:Dexi8B01G0001030 transcript:Dexi8B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHNNGAGKDLLHVIVDGAQGSQLQGRDAEDFIVDSCKGMYFAGHGTIAATLIWWLMLLATHPEWQERARAEAVEVCQGGATLDVDALRQLRILTMVIQETLRLYPPASMMMREALTDVKIGDLDVPRGTIIQVSRPMLHQDKDAWGPDAGEFRPDRFANGVAAACRPAHVYLPFGHGPRTCIGQNLAMVELKVVLARLLSRFAFAPSPRYRHAPVFRLTIEPGFGLPLLVTRL >Dexi6B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:6B:9185967:9186970:1 gene:Dexi6B01G0007730 transcript:Dexi6B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHGQAHHRSGEGEPTTAARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGSVRDANVFYWFQNRRSRSRRRARQLQQACTAAAAHLPASGVVTGAGGHYHDVVVNDNAAAPFGMNGGSYQQVRGSMMPTAAPLPSVGATAAPHFFSDEVDSGDDLFAISRQMGLMSRGGDRRTASIQVFINGAVYEVPGGSAPLDLAGTFGRDAMLVHSSGEILPVNEHGVLMKSLQMGECYYLV >Dexi5A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:5A:4505809:4506270:1 gene:Dexi5A01G0006070 transcript:Dexi5A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi3B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:3B:5308675:5308938:1 gene:Dexi3B01G0007470 transcript:Dexi3B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPVTVKFIVTKHVHADAAHFKSVVQSLTGKDSIAEPEQSPMAAAADGARRCQSGMATTRGRNFYHPIPSSDLSIDEMAGFLKD >Dexi3B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:3B:5512293:5512822:-1 gene:Dexi3B01G0007790 transcript:Dexi3B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHRDQELRRSFSECSNHINTNQFIPGGGGGGGCSDAAETVRCACCSVPEECTAAYIWRVRAAHCGSWVCGLCAEAVGERRRREPAAGVEAALRWHTAVCRDFNATTRLNPKLSLAGSMRDIARRSFNRRASSSSATAKCHDELRGSKTMERTLSCQPRFFA >Dexi3B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:3B:1665031:1665606:1 gene:Dexi3B01G0002430 transcript:Dexi3B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVPFFGSSSGKKLSSKRTRSTKNGNKSSSFASTSSSSSSDECASVTTPGTVLPPPSASMASGSGGANKITRRTVTRDDLAIALRRVVSSEEELAEMLAEAADSGVLLDEIAAEAAEAVDEGELKETFAVFDADGDGRISAEELMAVLASLGDDRCSVEDCRRMIGGVDVDGDGFVCFKEFSRMMMQGV >Dexi2A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:2A:3493715:3498449:1 gene:Dexi2A01G0003950 transcript:Dexi2A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSRFSEVMTDANSSFSGGAKLQPSTSMNTDSFMRVPASPISFSSNNISGSSVIDGSIVQQSPPQDQVQKRSSSVTSHPMIEAAGALHAQKKSRIDVRQDDILQHQLIQQLLHGQNSLHLQGQQNPQVQALIQQHKLAQMQQRQQQQLLQPFAQIQQSQIGIPRQPQLRPPLAQPGMQLAGPIRTPVDSGLCSRRLLQYLYHKRHRPENNPITYWRKLVEEYFAPRARERWICGGVTFAIHMVEKDMIMSWEFCSRRHEEYITRRVLAPQVNNLLQVAQKYQAAASESGPAGVSNSDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNIMKDLIEFTSKNNLGPIEGLKNYPKASVPKLPGQNTHEAKQIIAGAGLPSDQNATTVLGVKQETRAHVDSRTSGVGAVGNSAPQNAAALNSYQNILRSSSANQSLLQQEASSVFKGPAALHNGIQLEASRSFRGPNQVQLAQLQHSKSFQHPMTQHNNVQGFGVQNNLLGVNPQYQQHVLNQLLQEVKNTNNHALVQQSLSANPNVNSSIAIATGAANTNSAGTGEQTQHINNSTVKGAATFGTGPSNVINNSTASIVPSRSNSFKSVSSNPAAATGGNAATSKAEPYHELDDLDHLITSELVESGLFMGDQGGSGFSWNM >Dexi9A01G0048820.1:cds pep primary_assembly:Fonio_CM05836:9A:51547369:51550474:1 gene:Dexi9A01G0048820 transcript:Dexi9A01G0048820.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARKRSMEARGGSSSRGLRVAVVWAVVVMAAAVRAQLQVGFYDTLCPAAEIIVQEEVSKAVSGNPGVAASLVRLHFHDCFVRGCDASVLLDSTPGNQAEKDAAPNTSLRGFEVIDSAKARLEQACFQVVSCADVLAFAARDALALVGGNAYQVPSGRRDGNVSSAQETSGNLPPPTASVSQLNQFFGAKGLTQADMVALSGAHTIGNAHCSSFDSRLYSYGPNGAGQDPTMDPTYLAKLAQQCPNQSGGPDGTVAMDPVTPATFDTNYYASIVARRGLLASDQALLADPTTAAQIVGYTNSPDTFQADFAAAMVKMGNVGVLTGTAGTIRTNCRVAN >Dexi1A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:1A:1704493:1704813:1 gene:Dexi1A01G0002550 transcript:Dexi1A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEFMKENEATVDDSFFIAAGLAAPVAAVIGKRASGHIPYVKSLRLDMVPNVIFVPMVTLFGIMGATAWQMGSKSAAKEEEAKDEEKRAAAEQRKDQSNSKAP >Dexi3A01G0035560.1:cds pep primary_assembly:Fonio_CM05836:3A:40779521:40779739:1 gene:Dexi3A01G0035560 transcript:Dexi3A01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPCVRGDCWDDWVGARAGDHDALVTAAAAAAGFEFEAEVGKRAKGESGGGGNGGIDPRTMRDPLRRGSD >Dexi2B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:2B:3350032:3350250:1 gene:Dexi2B01G0003860 transcript:Dexi2B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPRTEHHGEASQATCAYASRCMRPPLIHMCVARGARTAPRPSPCRPPPPPCCTGAVGLAPAEEYWKRKG >Dexi1B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:1B:17608332:17611149:1 gene:Dexi1B01G0012840 transcript:Dexi1B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFASSSLSSSPVSSSAPVAAANLAGVFPPARGSCRPGIDELSRHGQGVIFAPYSDHWRLLRKILMTELLSARRVEAFRHIREDEAARLVASLAASSSVFMADASVRAILGDRLPDRAAFLRMIKRGQNPSALFDLRDLFPSSWIIRMLPRGGKAERHRQEMFRLMDDILVNHRERTTAAGEQDKIMADVLLRIQKEGDMRVSLSNGVIRAVLIDVVGAALDTSSTTLQWAMAELIANPRVMHKAQLEVRQVMAGQQHGVSEAALRDLHYLKSVIKQTLRLHPPAPFVPRVCLEDCVIQGYHVPQGTIAITNVWAISRDPKYWDDPDTFKPERFESDNCLDYKGFDFEFTPFGVGRRMCPGINFSHANVEIGLASLLYHFDWKLPNGEKPEEMDMTEDWGVNVTRKSKLVLHPIPFKRIPQWLRPRQM >Dexi9A01G0044270.1:cds pep primary_assembly:Fonio_CM05836:9A:47891450:47891758:-1 gene:Dexi9A01G0044270 transcript:Dexi9A01G0044270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTTSFGNPIVSGAASYGGPMTSGSSSGGGDVARIVVRPTMTGLGAWRGVAAATWTPPTSSSRMAQCHGCRHDQGADIEDNPLPHGACQQRRVGKSRRRE >Dexi2B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:2B:2296674:2310180:1 gene:Dexi2B01G0002760 transcript:Dexi2B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSEASKGADAKPVLKPRPVAPTGRFALGTASSIKKRTDGAASAEVAVSRPSMMKSTSSVNASSVQRRSSTGTAGKQQEDGSSVVGKKASPTLSDGGAKKSKPVSATTVSSKPAVEKKTSLTDKATADLVKKPAVKASPTSTLKKVQSKTESSNGSSGSARRVASTASLQSPRSVASTATKKSETSSSVPSRRKSSTADSRDSRFMMLPQVDFKASDEVRLDSRGHRVRSLKQLRLSPALEINSIGPLILYKPASNKVYEFVYLRDNRLSSLEGIDILKGVKVLDLSFNDFKLPGFEPLGNCMVLQQLYLAGNQITSLGSLPELPNLEFLSVAQNRLKSLCMAHQPRLQVLAASRNKISTLKGFPHLPSLEHLRIEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAAGTGCPKVINLTVCGELVEGNILSGVPEIAWCGGTPGKGVASWLRRRWNGNAEVIDGAEGMEYQLTVNDINSSLVFMYTPVTDEGVKGKPQCTMTDFVKAARPSVSNVRVLGDIVEDNVIIGKGKYFGGREGLSKLRWFREKENGEFLLVLSDSMEYTLTEEDVGRHLKFVYTPVNLEGEEGESACALTDVVKKAPPKVFNLKIIGEAREGSKVSATATVTGGTEGSSRVQWYKASSSEFKNEHELEALSTSKVSKTFRIPLSAVGYYVVAKFTPVAPDGEIGEPSYAISDGVVETLPPSLNFLTVTGEYSEGQILTASYGYIGGHEGNSLYSWHLHESEDDEGTPVTEASGLLQYHISKEALGKFVSFKCTPIRDDGIVGEARAFIGKDRVAPGMPTLLSLEVTGEAIEGTTMVASKRYWGGEEGDTMFCWILASSDGTEKKIEGATSSSYTLKCDDIGFYISVLCKPVRNDGVHGSLVSTEMIGPIIPGPPACQSLELAGSVVEGGRLTFHAGYTGGLRGSCIHEWFRLHGNGYKEKLTADECLDLDLADVDCQIELTYTPVREDGLQGSPRSAILDIILPGEPKGIDLMLPQCFEDNEVSPIKTYFGGKEGTGKYMWFRNKEKLGNLEFDLVAASSEVIGETLKYKVSLDDVGSYLVLYWVPRRCDGKTGDPMMAITDDPVMAAFPSVSDVHLEQKSADVYCEIGRMYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRVLEVNRKRIKVVKPGSKTSFPTTEVRGTYAPPFHVELHRNDQHRFKIVVDGDNEVDLMVQTRHMRDVVILTIRGLAQKFNSTSLNTLLKIEA >Dexi6B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:6B:9509320:9513506:-1 gene:Dexi6B01G0007910 transcript:Dexi6B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADTGKMGAGGETLAVHLPPLSEEDPLHQDKKRILERRNLACLFHFPISSSAVETLKLLDQMIQAARVVHMDKLELYFAGDDDIGPFSARNETESLNLLFKTMNKLLLTSNAVVKEVLQMLKDEIVVRLRSVGKTDGEKMISETQNPDAEDSLLKWGEHHGVKSKLQIAYLDTSVDEEDGTAQSMKENDRVVLETLLSIFNPMLEELPEPEESERESANWDVKLALDYKDLQRRIISSIVISCTSALENV >Dexi3A01G0030390.1:cds pep primary_assembly:Fonio_CM05836:3A:34413872:34415046:1 gene:Dexi3A01G0030390 transcript:Dexi3A01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTQTNLINHQHASPAYLIHAASTCKRWHGIIGHARFLSSFASVNRRHLGAGSFYNGRYIQASFEYARPSFVASSSSSLPLVLDGCRFSIDFLWSDNVVDSKLWKIVDSHGGLLLMAKAEERLDPTHWRVDMVVCDPLARRYKTIFPPMVYPTGHYLQSGPFLLDGDRADGSIHLSNFRVMCVLYDVHCHYRGSMFTNDTKLGGGSWHEYAMDGKKLRSFMGRTRAFLYWHDTGGRTMTAMDRSTAELSFWGLPQSAEHRDKQGTDMKVAAGEDGEPRILAMGAGGVLRVFAMPRGGGEWEAEKTIQLSEVAAGLPGYLPSYFSGGEEVETLEAELVDIDDGVSDVAFPYEVPWPPVLQART >Dexi1B01G0023050.1:cds pep primary_assembly:Fonio_CM05836:1B:28708980:28714816:-1 gene:Dexi1B01G0023050 transcript:Dexi1B01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGTDDRGLAAAKDEEGLAGVVTGDGEETSPIEEVRLTVPSTDDPSLPVWTFRMWTIGLVSCAVMSFLNQFFTYRTEPLIVTQITVQVASLPVGHFMARVLPRTRYRIPAMLGGGEWSLNPGPFNMKEHVLISIFANAGFAFGGGNAYAVGIIDIIRAFYHRSISFFTAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKDEAGKGSRQISRSKFFLVALACSFAWYAVPGYLFPAVTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSTVSSFLFSPLISPFFATVNIFFGYVFFVYLIMPIAYWGLNLYNAKTFPIFSSHLFMSNGTDYDIPSIVNNHFELDKAAYNQNGKVNLSIFFALTYGFSFATIAATITHVGLFYGKEIYRRFKASQKEKPDIHTKLMEKYDDIPAWWFYSLMALSVTVSLLLCTVLKREVQLPWWGLLFACGMAFIFTLPISIITATTNQASNTLAWLTPGLNVITEYAMGLIMPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFLVQFIGTIMAGTVNIGTAWWLLGSIKDICSDSLPPDSPWTCPGDRVFFDASVIWGLVGPRRIFGPDGNYGALNWFFLIGAVGPVFVYALHRMFPNQRWIPLINLPVLLGATASMPPATAVNYNSWLLIGTIFNFFVFRYRKKWWTRYNYILSAALDAGVAFMGVVLYFSLTMENKSINWWGTAGEHCPLASCPTAKGVDLGPDSVCPVF >Dexi3B01G0026730.1:cds pep primary_assembly:Fonio_CM05836:3B:22169665:22170305:1 gene:Dexi3B01G0026730 transcript:Dexi3B01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASPATSVAPFQGLKSTAGLPVSRRTSNSGFVSNGGRIRCMQVWPTDNNKKFETLSYLPPLTTDELLKQVDYLIRSNWIPCLEFSSVGFVYRENSNSPGYYDGRYWTLWKLPMFGCTDATQVYKELEECKKEYPNSYIRILGFDNIKQTQCVSFIAYKPPGAN >Dexi8A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:8A:13287358:13289549:-1 gene:Dexi8A01G0009690 transcript:Dexi8A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCCWLLLFALALVACCGHAAIVEHTFDVGNFSTSKLCRPARVITAVNDQLPGPTIHVREGDTVVVHVVNNSPYNITIHWHGLFQRGTQWADGPEMVTQCPIRPGNRYTYRYNATRQEGTLWWHAHSSMLRATVHGAIVIKPRNGDQGYPFPKPDKEEIILLGEWWNRNVFDLERNAFLTGTLVNPADAYTINGKPGDLHKCSGSNRKLETFKLKVKSNSTYLLRIINAAVNTPMFFKIAGHNFTVVGADASYTTPYDTDVVVVAPGQTVDALMVAGATPSRRYYMVATPYNSARPNLPFRKRTATAVVEYSDAQRGARRPLLPPKQQFKDTATAHRFFTSLTALVRPGQPTVPLTVDTRMFVTIGLGFADCLPEQTRCKQQVFAGSMNNASFVLPTSMSLLEAHFKNVTGVYTRDFPDEPPVKFNYAKPPGDMDATTTKSTKVKTVRYNATVEVVLQNTALVARESHPMHLHGHNFFVLAQGFGNFHQETAVKRYNLVNPQERNTLAVPPGGWAVIRFVANNPGMWIMHCHFDAHLPIGLAMAFEVQDGPAPETALPPPPADFPQC >Dexi3A01G0033690.1:cds pep primary_assembly:Fonio_CM05836:3A:38377148:38382401:-1 gene:Dexi3A01G0033690 transcript:Dexi3A01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRHASMQPKSTPSPPPIFVDLPVSLLGPSDEKPSLPSLETQCLHCSEELSAVLIEASSNTNGDCRQNMMLFSPVKRKRSKRVMPELDSEVCAASALLMLSGSASKGCYGGDNNDNISTPNLLKEVNLNASDQLVHCDEFMDNTRPKSDRNSAYEGFYELSEKENTLNLAADVPSMVVLENVFDDGLVDGHAEFMNPEANISLEEAKSSSNLSVAVNIKRYRCEVCGKLLRSECALDFHMWLHREKENSLNLVADIPKEEVLLNVFDHGPDVDAEFMKPGAGSSVEDLKSGDLSAAVKIKSYECKVCGKVLRSGQALGGHMTSHLNRGQENTLNLVADVPKAEVLLNVFDYELDAVAEFKKPGADISVEELKSSDLSAAVNVKRHIRLHYVRKCNRHQGVTDCPNSALMEEHMQKHELDSPIFCGQRPHSHGREKRPRILAATTIPDDLLIAEVLIHLPAKSLARCRCVCRSWRAGIAAAAFVRRHRDHSRARPPSSVLAIPRRNINDPDDDNTTTEIAFHRVPLLLPPHATTEAAPELVFEMTWPEGITRAVHPTHCDGLVAVSTTTDRVFVCNPATREFVALPLGTHNAELDHCDSQLAPPVAIGFDTWRNSYVVARYFYRTYGDSFFDDDTGEWAQEYDVGHEVFALGVAGGGSWELTDDPPHAIIGAQRPICTRRAFYWHSHVPTPRLVRFGLRDRAFEVVARPPTTGGEWSPLDDMAELDGKLCYVHAAAEAEFHVWMADDGPDDLRWSLRCRVHLPDAVPMLNYDFLPVLVADDGDTLVTCIGNTLYRCSLQSGTMEQVVDMERQLQCGPWSSTDYL >Dexi1A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:1A:7607901:7611681:-1 gene:Dexi1A01G0009370 transcript:Dexi1A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPPLVTGGGRVLLATFFLAASLLSSAANAAVSYDHRSLVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPAQGQYHFADRYDLVRFVKLVKHAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIRFRTDNGPFKAAMQKFVEKIVSMMKSEGLFEWQGGPIIMAQVENEFGPMESVVGSGAKPYANWAAKMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNKKYKPTMWTEAWTGWFTKFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHKAIKQAEPALVSGNPTIQSLGNYEKAYVFKSKNGACAAFLSNYHTNSAVKVRPDGIMQSNENVISMQVKEPTVLPKMNPVLRFAWQSYSEDTNLLDDNAFTKDGLVEQLSLTWDKSDYLWYTTHVNIGANEQFLKSGQLPQLTVYSAGHSMQVFVNGRSYGSVYGGYEKPKLTFIGHVKMWQGSNKISILSSAVGLPNTGNHFESWNVGVLGPVTLSGLNEGKRDLSHQKWTYQVGLKGESLGLHTVTGSSSVEWAGLGGKQPLTWHKAFFNAPAGSLPVALDMGSMGKGQLWVNGHHAGRYWSYRAVVVSGSGDGCGQRCSYAGTYREDRCLSNCGELSQRWYHVPRSWLKPTGNLLVVLEEYGGDLAGVAMATRTA >Dexi7B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:7B:13700473:13703031:1 gene:Dexi7B01G0006090 transcript:Dexi7B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTGWLPVALLLLAATVLSPRAAAAAGAGEAEHAVQQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTNAGLPLPFKSPRVMRSAIQYISNKWPFWNRTDGADHFFVVPHDFAACFHYQEEKAIERGILPLLRRATLVQTFGQENHVCLKEGSIIIPPYAPPQKMQAHLISPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKSNPLFDISTDHPATYFEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGTYLQPGDTRLNWTAGPVGDLKPW >Dexi4B01G0021150.1:cds pep primary_assembly:Fonio_CM05836:4B:23176095:23184166:-1 gene:Dexi4B01G0021150 transcript:Dexi4B01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNLPCSSSSSSTSKLPVPFFGLVFVLLFSSASLVSSCTEQERNSLTDFRDGLSWEGNGGLNMSWINGTDCCQWDGITCTNGVVTEVILASKGLKGEISPSLGNLIGLSRLNLSCNSLYGNLPANLVFSSSITILDVSFNHLSGPLLEQQSSIPGLPLQVLNISSNFFTGQFPSIALEVMKNLVALNASNNSFMGPMPSSICNSALSLAMLDICVNKFSGTISPEFGNCSMLKVLKAGHNNLKGALPHELFNAASLELLSFPNNDLEGILDASNLVKLRNLTILDLGSNGFSGNIPDSIGQLGRLEEVHLDNNLMSGELPLALGNCTRLKYITLRNNSFMGDLSKVNFTQLDMRIADFSTNKFTGTIPESIYACSSLIALRLAFNNFTGKFSPRIDNLKSLSFLSITNNSFTNITDALQKLKSCKNLTALLMGTNFKGETIPQDEAIIGFENLQHPELNEFMAVRRRPMQLNRQVIVSAVALVLIFSLLFLCNRAGACTAEEREALLSILADLSPAPGDGIAESWRASSPDCCAWDGVSCGGDGGAVERLWLPRRGLAGTISPAIANLTALTHLNLSGNSLAGAFPDMSPSLDAGGGRALPLQVLDVSSNLLSGQFPSEIWSHTPSLVFLNASNNSLEGSIPSLCSSCPELAVVDLSMNQLGGDIPHGFGNCSQLRVLNVGRNNLTGELPDDIFDVKTLQRLLLPSNQIQGTLDPERIAKLSNLVALDLGYNAFTGELPESISYLPKLEELRLAHNNLTGTIPPALSNWTSLRCLDLRSNSFVGGLDAVDFSGLTNLTIFDMAANNFTGTIPPSIYSCTSLKAIRVGNNQISGQVSPEIGNLIHLQFLSLTINSFVNISGMFWNLQGCSNLTAVLVSYNFYGEALPDASWVGDHVRNVRLLVMVNCELTGQIPSWLSKLEDLNILDLAGNRLTGPIPSWLGAMKKLYYLDLSENQLSGEIPPSLTELPLLTSEEAMAEFNPGHMPLTFTLTPNNGAASRQGRGYYQMSGVATTLNLSTNYLSGEIPREVGNLVTLQVLDVSGNNISGEIPAELSNLARLQILILRRNHLTGPIPPALNQLNFLAVFSVAYNDLEGPIPTGGQFDAFPPGSFKNNSKLCGPAIAVPCAAKSTTIAAGEPSSSKLVSKRVLAAIVLAVCSGVVALVVLLGCVVIAVRKIRTPKGSVTDADGKLSMFDYSMTTELNRDDDSNAKDDTILFMPEVAAGDTAAKSVITFADILKATDNFSEASIIGTGGYGLVYLAELDDGTRLAVKRLNGDMCLVDREFRAEVEALSSAAARHVNLVPLRGFCIRGRLRLLLYPYMPNGSLHDWLHGDRPGGADELRWRDRLRIARGASRGVLHIHEHCTPRIVHRDIKSSNILLDACHVARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRQPVEVLPTQRQRWELVGWVMQMRSMGRHDEVLDRRLRGNGDEAQMLYVLDLACLCVDAAPLSRPAIQEVVSWLDNVDTIGNKLAEDVKISDGHGQI >Dexi2A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:2A:8336873:8340757:1 gene:Dexi2A01G0008090 transcript:Dexi2A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESSLKSFCTKMASPAIPFVPLTSHRAVPFAPQCPPPWPPRPNAAAAAAARLLGGEVVEEAGAGSSRARSPAGPELEPMVLDLNAESPTAGSASATSSSSGVAGGGGFFRFDLLGGSPDEEGCSPSPPVVTRQLFPSPHPDASPASDGSPPPQGVAGPWVRRAADLRASAVSPQGTAAVAAPSSPAAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFHLKDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGPDAVTNFDSSSYDGDAPLPPEIEKDVVDGDILDLNLRISQPNVHDPKSDGVLTGFGVNCNSPEASSSVVSQPISPQWLVHPHGTLVPPQQPHSYASPSPGFFVNLREAPPAMEKRPEPGPQQAFPPWAWQAQQGYYPAPLLPATAASSGFSTVAATAAAGVAPSSSGPRPFAGYHHNQLRFPPTA >Dexi5B01G0028080.1:cds pep primary_assembly:Fonio_CM05836:5B:29554427:29556793:1 gene:Dexi5B01G0028080 transcript:Dexi5B01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACCRLPRLLAPPPPPQAPPPGQPLARGPHGSPFSRLFSSASAVAAVTPHEARDSGLGGSTYWAWIRAAAESAPAPAPPPEEEDEGLSRYIPVKAYFLSTSIDLKSMQAEHGTDIVPPSTRTLNYIALRYPEFPPEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKYASGWLPETRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIAISLYEIVKDSNMIS >Dexi7A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:7A:24825748:24826223:-1 gene:Dexi7A01G0014980 transcript:Dexi7A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTANCESSSGWWESLETKAVSFNIGRETFPVPRRCASSCKNEAHWPPTPRSKSMMRMHEAVVQAISSRSAWVAVKLANWSTGERSEKDFGELEDDVERGGDAGGTVTAFGEREEEGLLEVGGGAEERLPNGGKLLIEPRQYLLCAY >Dexi5A01G0022340.1:cds pep primary_assembly:Fonio_CM05836:5A:26382560:26384918:1 gene:Dexi5A01G0022340 transcript:Dexi5A01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVRLTDEFMELAKENTSNNLETCGILGASFTHPSQTCFLSSIDVHTQYSYQVMLPEAVAIVVAPTDPNRQVFMSYGIFRLTDPGGMEVLRGCNESGFHTHRETTDGSPIYETCSKVQFNPNLRFEIVDLRSSA >Dexi4A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:4A:9814753:9818127:1 gene:Dexi4A01G0011620 transcript:Dexi4A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLVCRTPGAVGDSAPDPGVSVKAEARELEGEANRAEPQPPRMEVTYLGGGSKVVYVPRFVAREKAWEWFDCLDKNIPWTRPEIRVFGRSSVQPRDVCYIADEGLTDLKYSGHQPHAHSWDEFPVLKDILKAVHDALPGSYFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTFGCEREFLLRKKPTKSYGTIVLDSSHHLPAASGSKEAARKRLKVTAPQQHSFVLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRHVLT >Dexi8A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:8A:15441817:15451534:1 gene:Dexi8A01G0009850 transcript:Dexi8A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARSSPGMDPYPRAPLLALAILVLALAASGAAAAEDDKAGVSLGRRAGGFLHGLKKEVVAEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVSCYIDPFLYLFFGLRLLYIAWKSDPKGSQKKEIEELEEKLESGQGKSTARRFFARFCTPIFLEVSNSLETLESNVLFWLQAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTACTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >Dexi9A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:9A:4075018:4077126:1 gene:Dexi9A01G0007110 transcript:Dexi9A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMLTAVDDACDADMTNESNPSLRISASMLKLRCAGVSSGNGMSPSRYCITCRMLGLAPGNGWEHSNPSLSASVASPASYLPSSLSSTTSASDSFCQWSITQSTSIIRLSVMLCSTGLRPQTTSRMKAPKANTSVRGDAFPDLASSGARYPMVPTTWVVWGSAPWSYNLARPKSPSQVLVREPVGPELELPVFAKLGVLEPSPDEHDDDGDHGEDGRRGDDRQHDFHDLGPWVGAKSWEFGKAGDVDVRSRSVHGEAPAEHVVERLDRAGGGREPHVGRVHEDIREVLRGRHQRLGRLGHLEGSEEHDDLRGLAVVVNPDLHGLGADERQVVEIAGAVVVVAGVGDGDGGEAVDVDADVVVVWVVELGAPDGVELDAEEVVGGVPVVLVVEEAEVLAGQRGGEVVLANEEDDAVAAQGGMMPNTKDAEKDRTGPPGVRWNFSGVGCIAWPLSCAVPLVSSRSPEEVMVAVPSRDSGAPVKPEYTNCSSSPAPSTATPNTPVARRRTPATRRERVSLKSTMVAWHGNHWQEIVWQLTSAELLLLLAH >Dexi2B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:2B:11421228:11423963:1 gene:Dexi2B01G0010300 transcript:Dexi2B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTTALPSLLLPLAAGGQGPSELLLPERNCTDMCGDVVVPYPFGIMAGCYLPGFNLTCNRSQEPPRLYLGDGTLQVMGISLENSTVYVVGPEISIDDEFVWNGESYVANGTWGGQEWGLSDEGPFTLSEEYNELVLVGCGINVELMIIPGLWGGEEIINTCSSICSERSSRLDHECQQLPSSRRCQKCSGIGCCQVPIPFGKVSYTVWLTTLEKSSSFFEYIFISEEGWFHPYNMSRSPSWSAIPVLLAWAIVSNVLRDDPRDGNATCPKDLGSTACHSSYSTCRNHPHGNHTASYTCSCWDGYQGNPYLPDGCRDP >Dexi1A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:1A:62841:63330:1 gene:Dexi1A01G0000080 transcript:Dexi1A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISARNLPTAGAILNPCPENPTPTTTSSPNSPPRKSITKSESGVMQHMHDCRITGPPAASTWLGMASSIHLATRSVISLSPALPVVGRPSDCFGPYFFSWLARVLCVVGLPDGRRASRIAAGSSARLLWTT >Dexi1B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:1B:25705820:25706910:1 gene:Dexi1B01G0019490 transcript:Dexi1B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSSSDRGNSNKNQRQIAEAELRTMSAELKRDYEIGEELGRGRFGVVHRCTSRATGEAFAVKSVDRSQLGDDLDRELAQVEPKLAQLAGAGNPGVVQVHAVYEDEAWTHTVMDLCTGPDLLEWVGLRRGEPVPEPVAAAIVAQIAQALALCHRRGVAHRDVKPDNILIDPAAKEEEDDDDEEEENGGEAAPRARLADFGSAAWVGVGGLGRAEGLVGTPHYVAPEVVAGGEYGAKADVWSVGVVMYALLSGGALPFGGETPAEVLAAVMRGTLRFPPRLFDGVSHAAKDLMRRMICRYEWRRLTAEQVLAHPWIVSGGGARAV >Dexi5B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:5B:8686781:8690047:-1 gene:Dexi5B01G0012270 transcript:Dexi5B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGGNGELPPHPHPPPLPSLIKLGRAVTAHHVDRFLAVLLRRRRHRLLAALASQALADAVAPTPRTHLLAASALLDSARPREAAQRLALASCVASRGRRLWDALLRRACAGRGDPRHALELLSAAIEEQSAVLSPSTYRVMVVELCARGEVDGALRVFDIMTRKGYQVDDRVCSSIVSGFSRTGKAAEGLNFYERVRHEFSGFEPGLVTLTSVAHALGLEGRIGEMVELMREMECKGMHADAVLYGSMVHGYMSHGFLMEGLWEHRSMLDKGIAADVVNYTTVIDGMCREGSVEKVMGFLDAMERCDAKPNLITYTSLVGGFCKRGRLEDAFSIVRRLEQTGVVVDEYVYSILIDSLCKMGDLVKAFSLLVEMENKGIKAGIVTYNTVINGLCKDGDTEKAVEISEGVAADNFTYSTLLHGYIKKDDVNGVMAIKDSGQSPVAYDVSKNSRHRSSEVINEDDSLSKARDDTDIDYRNLLEKSFSDDFDSYYTAIASLCSKGEIVKANRAIEVMIQNSG >Dexi1B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:1B:15996557:16002264:-1 gene:Dexi1B01G0012560 transcript:Dexi1B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTAPTPSTATQMAPPPSYQASSAASATTASAEEEDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVSYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETEFDFYK >Dexi4B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:4B:10555668:10559638:1 gene:Dexi4B01G0012640 transcript:Dexi4B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRHLPPREDLVANAQKPQVSPPKITPTIGRSFFASPPFPSRRSSYCNDSSPKSNQNQSAASESRHLPPPPHHRRDLRPPAIRAGSIASEPPASMGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLFAMEKGLRIPQKERTKTTNSILISLMNQLEKDKKTLTLGPDDHLHLEGFALNVFAKADKQNRAGRADINTAKTFYAASIFFEILNQFGELQPDIEQKQKYAIWKAADIRKALKEGRKPEAGPPGGDKDEAPGSTTTISQDMGRSQSFSGMQHGTEAPPPHLDKDFSRRDSFSAVQPGNNVHRQSTEFNDQPSTQSPYSPPPPSQSQHPSPSQSYSSPSDYHSSDFHKQPPNFSPPPYTNTDYPTNEVHKAPSNFSPPPYTRTDYPSNDGYNPHSNDKPDVSAYPQTYQPPPYTFEPQHTSQNYYSTEAPAAPYNYPNFQSYPSFQDSTSPSVQTHQSAFYPASDGPAAASYSPASNPAAPTRYHSNAESEPQAPQVTPPAVPPASQFNYDSSYQPAVEKIAEAHKSARFAVGALAFDDVSVAVDHLKRALDLLTNPSAESH >DexiUA01G0001800.1:cds pep primary_assembly:Fonio_CM05836:UA:4730736:4733888:1 gene:DexiUA01G0001800 transcript:DexiUA01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVALEESTGIESSTSVKQEQDPQCSEFTSRDQRPLHEIIFACDDKPKLLSQLTLLLGELGLNIQEAHAYSTSDGYSLDIFVVDGWEYEVDILRSALRKGVDKIKVRSNLNKRTPAQLDLVLLMRFIIRKLSVHYGHLLYLFKMLMQYRAWPLVQSMAARMDRQPVEVSPSSNFVQIPADAVDVWEVDPRLLKFEQKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMK >Dexi7A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:7A:20138490:20139234:-1 gene:Dexi7A01G0008950 transcript:Dexi7A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPALCCASPYRVAARLGPPASPASAAARQLVLPGGYYRGAQGGPVAGRLVARPPAAKRGGGRGEVATPTEDGDGTRSLLQAALWGAEAAYILWLFLLPYSPGDPVWAISQATISDLIGLSLNFFFILPLVNSVCWAV >DexiUA01G0009480.1:cds pep primary_assembly:Fonio_CM05836:UA:18652442:18652746:-1 gene:DexiUA01G0009480 transcript:DexiUA01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGAAAAAVSAAATAAASAASTTTTVKQGSSRPREEDSGRRRRGAVPAAADERFAPRFDGLRFIETLVTAHR >Dexi6B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:6B:19545861:19546469:1 gene:Dexi6B01G0011990 transcript:Dexi6B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPVKPLDGADGYLRWKESVLLRLHTVGVAHVLSDEPPAPDSSLYVRHGTGRALWQAVARTYEPDATNWKLMLKELEFGEDETHRERVARVESLVIAGRGFPNNPKPSDDGSVPYDVCRKLPDVVKDAILHGDESTMDGLWRTAEAMERGDRSVQIWENERISSGHNAKRRR >Dexi7A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:7A:17806163:17810274:-1 gene:Dexi7A01G0006450 transcript:Dexi7A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHIEAPSYIHIESNDFSYRKHKRQKEEDIAVCECQYDILDPESPCGDRCLNFLTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLVADENIMAGQFVIEYCGEVISWKEAKRRSQTYETQGLKDAYIIYLNADESIDATRKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPFGTELSYNYNFEWYGGVMVRCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSTDDEPTSINKDILLGNGGLIAQYDNGDTAKITENARTESTNEFAPMMVEQFTASLNELAPISVESLAPSSKEFVPMTIEPLNAIPMAAQFVENGSTEYSAQDTHLAPQNSVPEAANHQNQTESQNSSNHLALVPVKSIPKRRGRKPKRVLVKQMDIPDICNQLTSSVAREEILYCEEVKNHAASEIDALYDEIRPAIEEHERDSQDSVPTSLAEKWIEASCCKYKADFDLYAAIIKNIATIPLRSKNDMAPKEQNGLKYLENGS >Dexi2A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:2A:8377612:8380443:-1 gene:Dexi2A01G0008160 transcript:Dexi2A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAPASGAGKRGRTSDPGLASWASLPQDLVRLVAWRLLADGDLLDYVRFRAVCTGWRSGAVSPRGLGVVDPRFHPRRWMMLPEGHCLYPGHPDLRGYARFLNLDTGAWILSRIPLLEDHLAIDSVDGLLLLLGDQYLQGTVRLLHPFTGDIVDLPPLATLLPQLGDSLSCCPVLYRIKKLASKVCASASFKDGVITVMLALGWVSRVAFATTTTSLDQKWNLSEFWATPSLAFQGKLYMLQEADGYCDNHMHQFLEIGPPVQEKAGSGGSTLRLRPPHLLATIPKSKFRNPRYLVECGSEILVLSYRGASTSRILICRLADLVQQRIVPIRSIGDNTLFLDERCISVASKEQRNSVQNESPRRVRMDEISGVKKLDPRTVELHAGSSLPDCTVT >Dexi8B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:8B:7195489:7196349:-1 gene:Dexi8B01G0006210 transcript:Dexi8B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVQFSNVPINAMLSFHFILAFAIDYTPVNQQPTPTPTNGLFSPFWDTGNLSPSAVAAIKAAHPNVAVMAGLGGDSVQDITKAIFTPTSIDSWVSNAVTSLTGIINKYGLNGVDVDYEHFAPGADVDTFVECIGQLLTQLKAKMPWITTSIAPFEDPVIQKYYQPLWKKYSGVIDYVNFQFYGYGANTDVDLYVQFYNNQLANYPGAKVLASFMTGNTTGLISPDLGISAAKELQGQNKLPGLFIWSADSSFQSSYGFKYEIQGQQIIANH >Dexi4A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:4A:18470581:18474366:-1 gene:Dexi4A01G0015470 transcript:Dexi4A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYIDNSQKPSLKHQRKWKSDPNKTNTWYHKGEKIFQANKYRKGACENCGAMTHDKKSCLERPRTLGAKWTNMQIAPDEKVESLELDYDGKRDRWNGYDPSTYTRVIAEYEAREEARKKYLKEQQLKKLEEKNGKDDNDVGCDEDTEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNHNRLSGQALEFKQLNLHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEKLKSETRDKIMEKYGNAASDEPLPRELLLGQSEREIEYDRTGRIIKGQDTSVPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAESSTDLMKANMARKEATKEEPVQHEKKRLATWGTDVPDGLVLDKKKLAESLKKEDERRREERDERKRKYNVKWNDEVTMEDMEAYRMKKIHHDDPMKDFLH >Dexi8B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:8B:340851:344475:1 gene:Dexi8B01G0000510 transcript:Dexi8B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCLWRGRGDNHGGGIGLQAGCDELPPAPSRPHHDVASLIQDLHYFDSTSMVPEALGQHVTSSKKAQVKWYRSILDAYKNMSPSPKTREEAAKLIAAALSMIQRSDLEGVLSFYNLPIPLPSPPSASASSLPDGVQFVLNTLPVHYKCIGDGDGFSAYVDTADPRESANVPLEVHEMVIARTEARTHRDYQKADALLRSLHEAGYSTSLLRISMSRIITISGADILAKKYRIRMRGVDAPELEMANGKESKNALVKLIGGERVTIYVYGQDQFGRHVGDIYCGDVFVQEQMLKSGQVWHFKAYDKRPEFAQWEREARAARRGLFALENPEKPWDWRRDQRNANVPVY >Dexi9A01G0046070.1:cds pep primary_assembly:Fonio_CM05836:9A:49463360:49463557:-1 gene:Dexi9A01G0046070 transcript:Dexi9A01G0046070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Dexi7B01G0023000.1:cds pep primary_assembly:Fonio_CM05836:7B:27747564:27749071:-1 gene:Dexi7B01G0023000 transcript:Dexi7B01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRAKYESTARSWTQKYAMG >Dexi4B01G0020930.1:cds pep primary_assembly:Fonio_CM05836:4B:22996947:22998124:-1 gene:Dexi4B01G0020930 transcript:Dexi4B01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQARGAAGGALGQRAFASLLAAAIIALALLCLFHGAAFGPSIRRRHAHHAGGVPIRRLGLRALTTETEAVPADLVLSSIPVRIAAVPPVPVCDARHSEVIPCLDRALHYRLRLRLNLSLMEHYERHCPPAPLRLNCLIPPPDGYQVPIRWPRSRDEVWKANIPHPHLAAEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNVRNVLDVGCGVASFGAYLLSHDIIAMSLAPNDRHPGNPWCAGHKEAAISKPLI >Dexi9B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:9B:14569737:14577227:1 gene:Dexi9B01G0019910 transcript:Dexi9B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPSTSVQGPKPVIPVQVRYHLNDDADVLHKRPGIGLGPAVLISKSPRWPRSLCSAAASRIAPKAAAPLFPPTNRRSALPADESPLRSSLRRPAVPRHGFGSTAVPLFPPTSRSPRHGFGSTAVPLFPPTSCSPTARLWLNPPPPFLFLKKTSSAGHPALRFTAASSCCSSPARSWSVSLAEEGGPSVGKMEVAVSLYLGCLGTVPEKLQALANECSHIKDLQAQLVFLKAELVTMRAFLLKVAAMEQEGPLDILDIQLKVWAQEVRETSYDVEDCVDDFTRSLHLAGGGQQHQGSNMIINFLAKCSKILGTLLTYHRFADTIEALKTRVVDAGDRWERYKLDGLGCCSSNLTMDPRLSALFTEESRLAGIEKPRDELVRWLVGPEGGLATHLRVLSIVGFGGLGKTTLAREVHRKVVGDFPCKIFVSVSQKPDLCKILRDCLSQLVRGNQISGDIEAWNEVKLITEIRDYLADKRYLVVIDDVWSTAAWEAIRCAFPENNCSSRMITTTRIVSVAHSCSSVDGRIYEMEPLSFHQSKWLFLKRLFGSEECPDQLQEVSDAIVKKCGGLPLAIINISGLLTTRAAVKEEWSKIKDSLGSALENNRDLEGLNSILMLSYVALPQNLRTCLLYLSMFPENCKINRDRLVSMWISERFVAEERGRTLQEVGEGYFDELINRSLLQATDMGYDGKARGCTIHDIMLDLIISKACDENFVSIVGCQGLVRSPKGSIRRLCLQQPEKEATSGLQGKVSHTRSLSCFGQVRNLPGLEEFQCLRVLDLEGLLDMNSFDLSKIEKLTRLTYLSLRNTFISEIPTGVAKLLDLEILYLRDTRVTELPAGIVCLRKLRQLLTGGVDQGLTKIPDGIGKMESLQVVVGFNIMRSSIDAIKDLRYLINLKELSIQFNTIGYDQTSRHEEVLFSSLCKLGNNLQSVWIRSIDSASLQFLESWSPLPVLLQRFRMSTNFYFLKTPNWFLPELNSLYSVSINIVDVTQEELEILSKLCALTVLDLWFKVDPKEKITFPRGGFKSLKLFNFVRRPYVVRGMGYLVFEAGVLPKLERLSIPFSISMAKGHDFYVGIVHLRSLTHAEVILGKDGATPSEVKAAAESIEKEVSANPNHPELSIEGSHQ >Dexi7A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:7A:8161621:8162872:1 gene:Dexi7A01G0002060 transcript:Dexi7A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKACAVQEVAPCGICSLLSLSVVSHGGTTSGSVGPRRRGEASVSGGTSLLASSPPARGGRLPGAIGRWGSRRLAECGWGQRWATEMGSARAAEGRPCEVRVM >Dexi1A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:1A:8913230:8913460:-1 gene:Dexi1A01G0010310 transcript:Dexi1A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKGKEVVPNIAGRNYFHKGKGSCLPTADDGNLKVNIIMQRERQRKMKDMFRTLHEFMSHVPGKVSLLYDIGQS >Dexi1B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:1B:4976496:4979632:1 gene:Dexi1B01G0006070 transcript:Dexi1B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRPIQGNQRPFLLPLTFFVFMCPFPSMSYVVFGPLVWKVVQEWREQGGPPLGSWWLHLLVLFALRGLTYQFWFTYGNMLFFTRRRRVVADGYSYVLQWDNFLLLQTLLGATVVNSPLLPGLRQLCLWDPRGWAVALLLHVGFSEPVFYLAHRALHRAPHLFARYHAAHHHSDVTQPLTAGFGTPLETLLVTLAMGAPIAGAFLMGFGSLGLVYGHAFVFDYLRAMGYSNVEVVSPRVFDAFPPLRYILYTPSYLSLHHREKRGNFCLFMPLLDVLGGTLDGRSWSLQRAAYDGAPGGGALGTPEFVFLAHVVDIMSSMHVPFVLRSMSSIPFANHFILLPFWPLAFWFMLVMWCCSKTFVVSFYYLRGHLHQTWSVPRYGFQYFLPAAKKGINQQIELAILRADRMGVKVLSLAALNKVSELSASPPSLSSQLATT >Dexi2A01G0033920.1:cds pep primary_assembly:Fonio_CM05836:2A:44086995:44090911:1 gene:Dexi2A01G0033920 transcript:Dexi2A01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDELESSEISLYAIFFPACQPPGRNVSDPHTDVSCFYLTMQMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTHLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPISTSASFFFKKNACSAHLEWNLDGLLEKVWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >Dexi1A01G0029820.1:cds pep primary_assembly:Fonio_CM05836:1A:35253338:35253880:1 gene:Dexi1A01G0029820 transcript:Dexi1A01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSKKFRHTFLKNLLRGLRKGAAAAASSRAMSLDERRIAVRRAADAALATARGAAPRWSRSLAAELSQGRLRPRRDDAQPAASSSSGCKPPPASKMMLRRIPRRGRRLIRARPKSKATASDLARVMARKRARALREIVPGGRGMDECTLLGETLDYAVSLKAQIEAMQLLLRTLIQAPK >Dexi6B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:6B:15999716:16000694:-1 gene:Dexi6B01G0009900 transcript:Dexi6B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITTWLEVDWQSMKSTHVS >Dexi1A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:1A:1399160:1399378:1 gene:Dexi1A01G0002180 transcript:Dexi1A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDDLKTSWPELVGSPAHYAVDMIHKDRPDVQIPVLPVGTQVPPGFDDKRVRVFVHQDYNLKVALMPVVG >Dexi6A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:6A:2604013:2608133:-1 gene:Dexi6A01G0002780 transcript:Dexi6A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRDGPARANGVVLPAAAAYLRGDGDGAVCAAAAGGQPATPRNLLQLVQWCRVKSGVWAWSSRSIDWVDMTSDVHIHEGSRSMGGINPLVAVARFWGKWGLRISVLGSLAVYVVMAILSGARRRSSASLLLWVVPWILGAAYQGADMAAASAIGSLSLCDSNASEAAEQQLIAFLLLHLAGPDNMTASTLEDNTLSVRKFVEMTLQYFGIFSAITSYLYYGGGHNSRVLFNASFIMVLVGWFRYVERAGAQLLAKWLFTNSVAMGTSEEDEDLSSRRLDDMEALLLAQDLFHIWRRALADASVEPESASHRTGEKIFSLGWESMCKVVEMELSLMYLYTKAMMARVSWPFNVILRLVSPPATAAAASLFWIHHKSDNGGRINGSFYGITYLLLGAAFAMDVVWLLRALGSIWTYYFLKMRAWPWLHHHMLCSGRWLRLHHAVV >Dexi3A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:3A:9430298:9431860:-1 gene:Dexi3A01G0012950 transcript:Dexi3A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGASRTVVAVVGIVVAAAAVLSSPAAAGGLGKEAMALLAIKAALRDPGQVLRGWDPKSGGHDPCRWSMVTCHEGHVHGAAEPLRHAVAGDREAQGYFLQQLEWFPANFSSMECLEVNKE >Dexi2A01G0028660.1:cds pep primary_assembly:Fonio_CM05836:2A:39881429:39884817:-1 gene:Dexi2A01G0028660 transcript:Dexi2A01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVIRYGILFPLRSLTLAIGWLAFFAAFFPVHFLLKGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLREHVQHPDSNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIAFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEEK >Dexi3B01G0022560.1:cds pep primary_assembly:Fonio_CM05836:3B:17352726:17354314:1 gene:Dexi3B01G0022560 transcript:Dexi3B01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSTPATASAVTVLARRRPRVQLSAPGPCRPANEGGGKRRRVACAAASGRSSCRRPGAPGLGSRWWPRYGVTSVCGLRREMEDAVSIRPDFLHGATTSSGKHHHFFGVFDGHGCCHVARMCQDKMHELIADEYGKAGSSKGPATGAVAWKEAMEKGFARMDDEASSWAAASRSGNGLACRCERHKPARCDHAGSTAVVAVVGPDSVVVASAGDSRAVLSRGGVPVPLSIDHKPDRPDELDRIQKAGGRVIFWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVTVTERTDEDECLILASDGLWDVVSNEMACNVVRACFRSNGPPSPGSRANGVMPPSDAVAGREGDGAAAAAAAAVKGVDKAESDRACADAALLLTKLALARRSSDNVSVVVVDLRRAVLNS >Dexi1A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:1A:24603327:24608062:-1 gene:Dexi1A01G0017240 transcript:Dexi1A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSQASTRGVVEMGRVEAGPSHFPKRPAPRNPARVNYLSRAYAVKPCSAGDRLGISVKCNLGWSSQPSPDLRHQFRGQSSASEAVLTSQSDLRKLFVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVVRAPKSKEGYASIGGGSPLRQITDAQDSNVNAEALREALCKKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFPEPEKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRGKTNPCILAYQSRVGPVEWLKPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSKRDELPPPVIVWEWGWTKSAETWNGRAAMLAVLTLLVLEVTTGEGFLHQWGILPLFR >Dexi5B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:5B:7362255:7366099:1 gene:Dexi5B01G0010440 transcript:Dexi5B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEHPQQQPPSSLRPGREAAAAAPAVLGLQLSALVDHVACVDWSLLNRVPGDRGGSQQVSFEELDHILTEVNAHILPARNDLTPVTTIAGGSVANTIRGLSAGFGISTGIIGACGDDNQGILFVNNMSFSGVDLTRLRAKKGHTAQCVCLVDASGNRTMRPCLSSAVKMQANEFRKEDFKGSKWLVVRYAQQNMEQITEAIRIAKQEGLSVSLDLASFEMVRDSRLKLINLLETGKIDLCFANEDEAREVIGGGPTSEPEEALAFLGKYCKWAVVTLASKGCMAKHGKQVVQVPAIGESNAVDTTGAGDLFASGFLYGLVKGLALEECCKVGACSGGSVTRALGGEVRPENWQWMYKQMHARGLQLPELKN >Dexi4A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:4A:8030391:8031740:1 gene:Dexi4A01G0010090 transcript:Dexi4A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATRAASRLVPEIPMLRRSSNTKHQPATAAAAEEEVAVPANFVCPISLDLMRDPVTAPTGITYDRDSVEAWLARGNARCPVTGRPLRLADLVPNHSTRRMIQEWCRAAGVERVATPKAPLADADAGDAVAAVSRAASRGDVAACGAAAAGARALGKESDRNRRSLAGAGAGRALAAAFAMLAGERVESAGVVVSAALGEILAAMTAFFPLDGDARRCIASPASLKSLVSVMAHGGAEIAARASAAVVLRELASSSADRSTLEAMSRTTGMCEALVDLVRSPVSTPATKAALVTAYYLAAASERAAARLTEAGAVPAILELLVDSDKGMSEKALAALDAVLGAAAGVAAARAHALAVPVLVKKMFRVSDMATEFAVSALWRLCRAGGEGARGEALRVGAFQKLLLLLQVGCGGVTKERASELLKMLNGSGDSVECIETVDFKGLKRPF >Dexi3B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:3B:8575661:8576125:-1 gene:Dexi3B01G0012260 transcript:Dexi3B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRKPVRLRAGPGVCLVGGGVPSPSALRLPRLLPRGSPRFKTFQKESDGPPRGEAGGGRGARDVDQWEAGCLAPERMGRVHVDLAPCFCGQAESLGAPRLGPRQRGADGARKLTWWSGQRLAMNRTLRRLAAVWNATYRWGNSCDLEERRCLF >Dexi4B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:4B:2582803:2583644:1 gene:Dexi4B01G0003710 transcript:Dexi4B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPNNANDVELHDKGDVTGKATTEERASSGHESSSSELEVVGSTPPPMQLMERPSSSSESEGGGDPGRIPAAVFERDDDPSEPNKDWSMMSTESVFGLQVAPSSDFTGFFLAHPELMDIATPPRSSTVTTPPRTSSAVDADADVANKSPVSPPFDSIPELPETTMTGNYNYSFAFPNLIEDKRNISKKAQQEEQPMEAAAPPEPAEAAPAPAQAEAQAKRQASSKPEAAPVPEAGKGGLFSCFPCC >Dexi4B01G0021410.1:cds pep primary_assembly:Fonio_CM05836:4B:23382436:23384969:1 gene:Dexi4B01G0021410 transcript:Dexi4B01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRPSSSPWRRQSVLAAFILSSLAAATATAAAAQLSQSYYASTCPNVETLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGPDTTLSPDALDLITRAKAAVDGDPTCANKVSCADILALAARDVVSQTGGPYYQVELGRLDGKVGTRAVVKHSLPGAGFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYPFKGGAGAGPPMNLYFLRQMRQTCPLNYTPSAFAMLDAVTPRKFDNGYYQTLQQMKGLLASDQVLFSDRRSRATVNYFAANQTAFFDAFVAAMAKLGRVGVKTGSDGEIRRVCTKVN >Dexi5B01G0021980.1:cds pep primary_assembly:Fonio_CM05836:5B:24239636:24239959:-1 gene:Dexi5B01G0021980 transcript:Dexi5B01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGEPAGAVPPLPSLPTVALASAPSTPPKAPIERAEAPLASIRSATGRGRVDPSGLDHMTIDPARRQKTRARSSSGKSAAPVWSFLPAASMMEARTEARVGEGAR >Dexi5A01G0025960.1:cds pep primary_assembly:Fonio_CM05836:5A:29666765:29668194:-1 gene:Dexi5A01G0025960 transcript:Dexi5A01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAADSSGGDRYRSHLSGEGEKNTVWRHGAPPTYDVVNALFEAERTQEWPAGSLEEVVQNAIKTWEMELSHKSRLSDFKSVSPGKFSLSVNGGRARGGEETLAMGSYNTLLDSALLASTGAYDAAAETFESSHDLFRSAFLRGFAWEVLKVYSGPPVIAFKFRHWGHKEGPYKGHAATGEKVEFHGVAVPKVDEQLRAEDVEVYYDPGELLSGLLKGPKVASSEEESSEVALAERLSEAATVSASGADPRTQTCPFLSSGKPHQ >Dexi9A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:9A:13147543:13149457:1 gene:Dexi9A01G0018080 transcript:Dexi9A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAQQLLVVIFVGSLARAAAAAGLHPVVLLPAYTCSQLDARLTDEFEPAASAAPSCGGGVLKVKKKGWFRLWKNHTALLEDPALVPCYAELLRLVYDPVAGDYRNVPGVETRVVSFGSTRGFASDDPAMKNACMEKLVEALEGVGYREGANLFGAPYDFRYAPAPPGEASREFSRFLSRLTLLVEQASERNGGMPVILVTHSFGGFNAHVFLRRSPLPWRGRYIKHFVMASAGAGGAVLLLRFGSSSSSPSPSQPPPTDPLAFVNTSRSFAGKFLVLPSPKVFGHSPLVITRAKNYSAYDIPEYLKANGFSGEEVARYVARVLPVTLDFAAPPAVPMTCVNAIGVPTPEMLVYWDGEFDKEEPDEVVYGDGDGVTNIASLLAVDTLIGADPEQGYFKSVLIRNTSHGGVITDDFALHRLVDEVLEANRDPVWS >Dexi9B01G0047330.1:cds pep primary_assembly:Fonio_CM05836:9B:46473446:46473731:1 gene:Dexi9B01G0047330 transcript:Dexi9B01G0047330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLGSPIRTTLRSADKGTAAAAGFVETAVAEAAAARGARVRALAARGERSRRLGGGEGDWAAPPASPTFLLGLAQKAPAAAKRR >Dexi9B01G0029120.1:cds pep primary_assembly:Fonio_CM05836:9B:31680945:31686217:1 gene:Dexi9B01G0029120 transcript:Dexi9B01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGGAAVRGDGTRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQLLSKRRKKAMFDALEKVKSELMTMGFISLLLTVTGRYVSRICIPEAAANIMLPCVQSGHSEAKEPKGQGRRHLSEDPTNLFSCPKAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWHNLFWFSTIPLVVILAVGTKLQAIIAMMAVEITDRHTVIQGMPVVKLSDDHFWLRKPRLVLHLIHFASFQMGSQMKRTIFDEQTAKALKKWHKAVVKKKHNKESLNDPSDTPSMDTATGGTTEESQWHRPHDVPVRHLHRYKTIAHVGGVRRSPLSDSDYSDTEDAGPLSSSSQTRRLIPPAKQRSLDADTGRTEVRVDVVDAGTAAAAAAARDVLQDSFSFQRLSPRHVQDK >Dexi8A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:8A:26640293:26641768:1 gene:Dexi8A01G0015520 transcript:Dexi8A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAARSSPSPPLRPLRLVLCPWLAFGHILPYLEFAERLASRGHHVSFVSTPRNLARLPPRRHTAGTIDLVSLPLPPVDGLPDGAESTNDVPGDKLQPLFEAFDGLAAPFSDYLAAAADDKPDWVIADTLTHWAATMAMEHKIPCVMLQSSAAAIVAIGGGAAERAELAGATVYEQLLAVEERPESMAPYEWERMMKLLAPFGTEGMSILKRASLTLQRCTITAVRSCYEWELDAFTTAAKLLGKPLIPLGLLPPSADGGRGADMHRDDATVRWLDTQPAKSVVYVALGSEVPLSVELVHELALGLELSKVRFLWALRKPRGVVNDDDVLPPGFEERTHGGGHGMVTMGWVPQVAILAHSAVGAFLTHCGRSSLIEGLLYGHPLIMLPIATDQGPNARLMERRKIGLQVPRDGNDGSFDRHGIASTVRAVMVEEDARKVFVENALKMQEVVADKELHERYIDDFVEKLRSYSTVDSSSSNATSTPHIHED >DexiUA01G0000480.1:cds pep primary_assembly:Fonio_CM05836:UA:2083784:2084223:-1 gene:DexiUA01G0000480 transcript:DexiUA01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVCMAAALVAVALATPPVGAAAGGRSSTERLRRQQVRGLLRRLNKAPLASIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQVSSSPHLSYSSFHSIYQD >Dexi3A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:3A:4607554:4609152:-1 gene:Dexi3A01G0006870 transcript:Dexi3A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPFAMSQLGWGLGTVVIVMSFVITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGDRLGLWIIVPQQLIVEVGTDIVYMVTGGQCLRKFHDLVCHGRCPNIRLTYWIMIFGSVHFPLSQFPNFNSISAVSAAAAAVMSLTYSMIAFFASVVKGTTQSTAIAVDYGLKASTSTGKAFGVLNALGAVAFAYAGHNVVLEIQATIPSSPETPSKKPMWRGVVVAYAIVALCYFCVAFGGYYAFGNSVEPNVLISLERPRWLIAAANLMVVVHVVGSYQVYAMPVFDMIETVLVKKHKFTPGIRLRLIARSAYVAATMFIGMTFPFFDGLLGFFGGFGFAPTTYYIPCIIWLMLRKPRKYSISWNINIICIVIGVVLTLISPIGGMRQIILDAKSFKLYS >Dexi3A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:3A:3270606:3272920:1 gene:Dexi3A01G0005040 transcript:Dexi3A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHVAIVMDGNRRWAQARGLTTPEGHEAGAQALKKIVEVDFLMFLIELMIRDNMDFFMRRPASLQGAVREVEEMTRNNSRHHLILATCYSGQWDIVQACRELAAKAQDNQLRPEDIDESMIAGHLATNVLGEFACPDLLIRTSGELRLSNFLFWQSAYTELYFTSTLSNMFRFSVLYVA >Dexi7A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:7A:26945024:26945358:1 gene:Dexi7A01G0017200 transcript:Dexi7A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQSHHLPTYDGASHTVAARAAAPPPHRGHERESATPTKCGGHRPGMAEQDAYATQAASN >Dexi4A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:4A:5299485:5301603:1 gene:Dexi4A01G0007180 transcript:Dexi4A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGNMASTAAQKAAASKSKQEVWEPLRKEGKGMDEASQVAEAEVAESDDGTDTDTDDDEGAVEAPCNNNLAAKLGNGGVILALVETKTGFAIFMYDGIKLLQEDALQNIWVAFSDHKLAERSVWLLEYRPFEPKVSAINSNGVSDELADMIIRRIEPGQKLAVETKGHVGPVTAMSFSENGYLLATAAHDGVRLWDVRKLTNLRTISPYDSGTATNTVEFDPSGSYLGIGGSDARIYKAHEAEWNIVKTLPDLSGMGKVTSLKFGAGAEYIAVGSMDCNLRIFGLPSPRR >Dexi7A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:7A:23498712:23503096:1 gene:Dexi7A01G0013400 transcript:Dexi7A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGEEEEEEEEEVFYESRDRVLSSSCSSTSTSDDDDHDHPRRRREGAAAAAAAAAAAEALDVWTSEPAPVQERRRRLLQMMGLTGDPALARLEMGRSASYDGPLRPAAVSPISRSRSDGAVPTKPPLGARSRQASSGSSEATPEGEEADPRCLIRNLDDGSEFVVKEEFELREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENAANSGSNNDGSSTPIQRSNSDSSNGATRHRRRSSWLRSIRNVAGSVVVSSRDRRSSDEKDTCSEKGGRRSSSATDDSQDSAGAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGRYLASAGEDCVIHVWEVSEFERKREENGVPNPFVAMVCSGSPEPTLALASTDGINCDKKRRTRFLEGRRSVSSDRLMVPEHVFALSEKPIRTFVGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDGYFISGSLDEKVRIWSIQNREIVDWHDLHEMVTAACYTPDGQSALIGSHKGSCHIYDTSDNRLLQKKQIDLQNKKKKSNQKKITGFQFLPGNTSKVLITSADSRIRVVDDLNLVHKYKGFRNTNSQISACLAANGRYVISASEDSHVYLWKNDDNLQKGRSKGNVTVTNSYEYFHCQDVTVAVALPSPGSAMVSRTNSRKHDEQDCVSEHPLLHATPEKLQDSCDFQAQSGNILSTSSNHSGDRATWPEELMTPTKQSPRSSASLPSGAHQAPSRFAWGMVIVTAGRGGQIRTFQNFGFPPRL >Dexi9A01G0028000.1:cds pep primary_assembly:Fonio_CM05836:9A:32607053:32608524:-1 gene:Dexi9A01G0028000 transcript:Dexi9A01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVDDEYHMKSQKISGEPGKLGHGKTENLPQRVLETVRQASARLRRAPNRASCPYRAIGDVAWGGPTHPRVPNHQPPRPWPPCRTAGDPCMPPPSPTLAVACKGSQVTASSSKERKRRESHLASSLRRAEGERSSMAASQIHGARGRRQGPVRSDLLLAVAELEAEASGRISSSPSSSSKQAARERRQAELLLASSEAVAGWEDLSPEQGELVRGSKKQGYQREGGQAATAQRIKRRRDRETDAAHGSPRLTSQHGLVAHVVMCGVPTAADRR >Dexi3A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:3A:2642070:2642873:-1 gene:Dexi3A01G0003990 transcript:Dexi3A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDEEAEQPAGGYGGEATEYSETTVTEVVSTGEDEYETYRKEEKQHKHKEHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKIEEEIAAAAAVGSGGFAFHEHHEKKKDHKDAEEASGEKKHHLFG >DexiUA01G0019480.1:cds pep primary_assembly:Fonio_CM05836:UA:40699217:40701168:1 gene:DexiUA01G0019480 transcript:DexiUA01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSGEELVATLAPVAVYWVYAGIYEALLAHTRVLDRYRLHSRRDEETKNIASRKDVVRGVLLQQAIQVAISVAVLKLEGHDARAAAEPEPFLVVAARFGVAMLVLDAWQYFMHRLMHSVPYMYRRFHSWHHRVAAPYAYAAQYGHPVDGVLTETLSGAAAYVASGMSPRAAAAFFAFATAKGVDDHCGVAAPWNPLHALFRNNTAYHDVHHQRGGGRRNFSQPFFVVWDRLLGTHAPYALRERDGGGLEVIAFNKDQTR >Dexi6A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:6A:12304813:12313758:-1 gene:Dexi6A01G0010090 transcript:Dexi6A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRHARNPTAGGPRRGAGAGRRRPVPELPSFISPASVAAAFSSSTSGGRGRRGGATANDDACRAVPFSYPSLRPGSVLSDRGEQALEVTIDTAPCVDPATSVPLYSYGSQFVGGLGLGCHEEEDADEEGAGGSVHLGLGFWDGGKEEIELKSEMLEEEDASFKTPKRKQQQKASRNPGFLSIGGVRIYTEDISSPESEGTSGSNEDSESESDHGERFDNDDGKSDEEGSEDEGDSETGESSGSDSDEDLRIGDSSSVDDEVVADYMEGIGGSEELLSSKWIAGINLGDADLAEQMDTDDEDEDEDGFVKRGEEKLEGYALMTASEQYGMKKPNSAERRKGKGVVCDKDMRSIRVTRLEDTFMVKDLRMANWSRKGSKTGSSSSQLSGSWPNEGKKSKKYHRMPGEKKKHRSELIAKKRQQRMLSRGVDLGQINTKLRKMVVDQVDMICFQPMHTRDCSQVQRLASIYQLKSGCQGSGKKRFVTVTLTGESSLPSSDGQVRLGKLLGTQPEDFSVNWENSKGPAGRKGLTAPGKIAKHWESSGKKSSSKKQVSFAERPVSFVSCGTMAESVTETIAVDSRGGDSSCGQVAESNSTQLGCFEVHTKGFGSKLMAKMGFIEGTGLGKDGQGIVQPIQAIHRPKSLGLGVEFDSEAEAIKARTEVTEPIKARSEPSKVRPEARQNVRALETDGVGSFEKHTKGFGSKMMAKMGFVPGSGLGRDSQGIATPLTAVRRPKSRGLGANDKY >Dexi3A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:3A:13091110:13091412:-1 gene:Dexi3A01G0017190 transcript:Dexi3A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKASLALFLVALTVSSAVLGLAPGAGAATACTPTQLTPCAPSIIGNAAPTAACCAKLKAHPASCFCQYKKDPNMKRYVNSPNGKKVFAACKVPLPKC >Dexi8B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:8B:5564533:5564895:-1 gene:Dexi8B01G0005450 transcript:Dexi8B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRCRLTLTTLLFLVVVILLAAAGAASADIVSSTGSGGGGGSGSSSPSSSPSPATGAGEKKESSNGNKEKAKKPRKCVTITDCHLKRLVCAKKCTMAAHYMCAARCSRSCTGGLPICT >Dexi2B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:2B:408878:413014:-1 gene:Dexi2B01G0000830 transcript:Dexi2B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEDWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYDHQDRLTAREAMAHPYFQQVRAAENSRTRA >Dexi2A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:2A:28796757:28800198:-1 gene:Dexi2A01G0016990 transcript:Dexi2A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTYWVYPFAGVNGQALGCAQPRRGRLHVEARRLEDNSAKRPLDTQILQETIGFASPTSAAPARAALAAGKRLPPDMAGCPRSRCTPLARSHGRRRTVALTPRSPSRRRRGPPGFARDFCAPRAPFPRPVPSRLAHARLPPAVLACAALTPRKAPTARDKPLPPCLLAVLPQPPSSPQTVPPSLPRRNRSPEFAEPPPSSLTRPAFSLPHHPLPLSDHTPTRFRHHSRRRSSPEKLELSLAPLLLRLNRGHQQLRRAALVLIDPFPDLLRPRRRRSPLAGVAEPPPSSPSTFPAIPARHSPRPETPARRRRTNADELGDLPAHHNNSSSAPIPLERCTTTLEQGCPWRSRLSELEGNVHPRSGDFRHVRAQPSSRGTSTLEQVISVTLEPSQARGGRSPGVFRLVEGADYSSLADGVYELVPAAEEIAQESEVNMVHVDPSPEQEYRFEPEGKPRSIT >Dexi7A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:7A:16331885:16336829:1 gene:Dexi7A01G0005200 transcript:Dexi7A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASWATVISGDSAGVVCHHARRWLAAAKAAHPGTSPPQPSSASALSTLAVRSMMQTGLAVAAATGEGTRALPASPDGSQSPSLEPSGTDSGTDPAEPHSSGLHTHRWDLERPAGMKGGVARDKEEGERGDLGGERAGVAAGAEVAAGVGYGGQGRREERGWGGEEPSPVCRIPFIHKEGARASVIEEFSMGTTWPQWSSAATGDVRDTHCNQQAMPSRFMGASLAPPSAGPNNGGQEMGK >Dexi7B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:7B:26024641:26027789:-1 gene:Dexi7B01G0020760 transcript:Dexi7B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMANSIVLVLFSLWSLLVVTGLAANPASDTLIKGRNITDGGTLVSAGGSFTLGFFSLGRPNKRYLGVWFTVSKDAICWVANRDRPLADTSGVLVITDAGCLVLVDGSGQIVWSSNTTGATAPASVRLLESGNLIVTSGQSSSSSSVNVILWQSFDHPSNTLLPGMKIGKNLWTGSDWHLTSWRSSSDPSPGRFRYMTDTRGGVPENVLWDGDVERYRTGPWNGVSFSGVPEMTTYSDMFRYELTVSSGEVTYGYVAKPGAPPFSRLLLTDDGVVQRLVWDATTRAWKSFFQGPRDICDAYGRCGAFGVCDAGAASTSFCGCAAGFSPASPAEWKMRETSGGCRRDVALDCASDETTATDGFLRLRGVKLPDTSNATVDVDMGVVLEECGAWCLGNCSCVAYAPADIAGGGAGSGCIMWTDGLVDLRSVDGGQDIYLRLAKSELELGETKPQHRPFRTKLVIGASIASSLVVILTVILAILFVIRRCLRPRISAAHSIQPIPAPTVPSVELSSMKAATKDFHGSNIIGRGGFGIVYEGNLSDGKKVAIKRLIIQPSHTDDEVEKAFDREVELMSKLRHGNLVQLLAYCKDGNERLLVYEYMKNRSLNFYILGKNPELRAVLSWEKRLEIILGIADGLAYLHKGLNKGVIHRDIKPSNILLDDDWRPKIADFGTAKTFMEDQTNPTLFQTPGYTAPEYAMEGYLTLKCDVYSFGVVLLEIISGPRDRTMQPLILDAWESWNQNRINDLLDSAVRKPGPEFLLKLVRCVQIGLLCVQQLPDDRPTMSAVVTMLNSGSTEIHPPKMSKFDSRTGSPSRDADFSEHDASNSGTHSITLDLT >Dexi2B01G0029740.1:cds pep primary_assembly:Fonio_CM05836:2B:38085982:38087199:1 gene:Dexi2B01G0029740 transcript:Dexi2B01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARHPCFGSLLPERIGYVQCNFCATILLVGVPCGGGGGGGLQLKTVAVQCGSCGGILSVTLPPSPPASVELPLQEPGVDPPPRESDESSGEDRGAEAAVAESYHVNKPPVRKQRTPSAYNCFIKEEIRRIKDRDPNITHKEAFSAASKNWARLPRIQQKEN >Dexi7A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:7A:21340524:21343209:-1 gene:Dexi7A01G0010610 transcript:Dexi7A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCILLNTVLQAFTSEYVLTKFENSRQALLDSLHQVEEMIPEAIDSKITNIAQALDKVVFALDESEKQIGDEVNYLIQNESKFNGLIDENEIEFLRQTAFRAGISSSTAALTERRALRRLLERAHAEEDIKKESIAAFLLHLMRKYPNIIKSETTDSTSSQCLSPSCSSSSLSSSIGLHRSLSSSTDLHGNCQALERQLPRVGSFSLKQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIEKWFDSGNTTCPKTRTQLPQLSMTPNYCIKALIASWCEQNGVLVPSAPPESPKLKYLRISSLKSSTCLVTNGVSTVLFEDTSAKDDAMSDSVVIAKKFSRQNSTEVTSKIRVGDSASHQNSGEATAEICEIEGTLMKCSHQNSKESVSEICDEWLHVLNKSSSESMDEQHRLVEQIRLLLKNDDELRDYAGANGITEPLVHFLKMAIFREDVQSQEVGTMALFNLAVSNDRNKRQLLSAGVIPLIEQMIQRPETCEAAIAMYLNLSCITEAQAMIGSSDAIPFLVEGLMREDGSRSDTCRLDALLTLYNLSLHAPNVPFLMASGIIDGLRAVLSSSPWTDKALAVLLNLALTRAGKKEIAADAGVVGAIVLILDNGEPGEKEKAVSCLYAMCSGGGEDEGSSSQTAVLQEGVIPALVSVTANGTARARDKAQRLLRLFREQRQRELEEEIMAQPRVQLHEVASQAAAAQEQDQQEEEAEEGMVLAVTPAAAAANKQSGGKRPRLRRSASRRFTKAFTCLLKKWSFR >Dexi5A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:5A:5216572:5217741:1 gene:Dexi5A01G0007020 transcript:Dexi5A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRNQQDEEVARTCSTNYIKKHAAGVKGSGVNGVLLSAAAMAMDVAERMGGRGLMEISKHVPSTFWCHSRRTGRRLGLSPQLFSTKVWRSFLAPHRLWHAKPGVGRSSSSMANIDPLSLSYICFMATP >Dexi3B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:3B:11473347:11473766:-1 gene:Dexi3B01G0015850 transcript:Dexi3B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVLKFTWLTEEDPVRQQSRSPRASTGGRVPERSADWTNQITGRGLREGRARPGACCEGSARARHGAVCGDRPRAHGGGRVRRAVGAAGWATQRKDGEREGNCDETQAGGRGGFAAPPARLLPRRLPFQRRNECTTEF >Dexi3A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:3A:3195524:3198572:1 gene:Dexi3A01G0004910 transcript:Dexi3A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLARASSQLARRAARRHPPLRRPSRHGGEPASPWLLGPAAQPPVLGSPPLPAAERAFRRGFCSVRSFAGESSAAAASDEEEPENGFAGGDQALDFPGGKVSLVAEMNFLPESHGERISCYRVLDDDGRTISGSRFQEVSKEVALKMYSEMVTLQIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSADDIVLPQYREPGVLLWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPQAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVVRGQAYGIRGIRVDGNDALAVYSAVHAAREMAITEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDSEESELRNRVRKELLQAIQVAERMPKPPVTELFTDVYDQIPSNLREQEQLLRDTIMKHPSDYPTDVPV >Dexi1B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:1B:17765873:17766353:-1 gene:Dexi1B01G0012940 transcript:Dexi1B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIATANGPNGYNRDYLFSMEKALASIDHEDDSIIELANEVRKVMNKAEVGKINGSDVSLKPHVPLVHLPALPEGTVVDSR >Dexi2B01G0029030.1:cds pep primary_assembly:Fonio_CM05836:2B:37537648:37541429:-1 gene:Dexi2B01G0029030 transcript:Dexi2B01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPYAALRGASEWRPSSTAAVDSGAVVLTARPRRGSRSVARCVATAFLHPLPPRPSAKLDRGRRPLLSPPAPGLPFTAERRHHCRGHPHGAAGASISLPRSLLSQSRRRYSSLAGAFASADVPPTVAETKQNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFGLGFVTVYDQLMEGYPSNEDRDSIFKAYITALNEDPDQYRADAQKMEEWARSQNGNSLVDFSSRDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATEPTVLDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVDR >Dexi5A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:5A:2974538:2977596:-1 gene:Dexi5A01G0003980 transcript:Dexi5A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTQARWPALPLRLRRPSPAGADGVVAAASFSWRNRRRLLLRARPPMAAADPPPRGAGPAPALRRSPSIERIPEDARRILLRLAGELWGGDVDPSALAVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSAADLRDPEISALIAKKLREFHGLDMPGPKNVSLWQRLRHALHFQMRWLEEARGRCSEEESRQFQLNELEDEIAALEKALSGVDQSVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTATPHVLDFTKYPDIEEQRRFVRAYLSSSGEKPSHEEVENLLGLIAKYTLASHLFWGLWGIISAHVNKNIDFEYMEYARQRFDQYWQTKPGILGPN >Dexi5A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:5A:2646654:2652124:-1 gene:Dexi5A01G0003500 transcript:Dexi5A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDAVHVLSCQATHHPAAGQRRRVPAPPRPVSDAPPRPVSDAQKSLRRQTAVCVYERRLCLWPGPAEYRRISKPGAVHCRMQVMASAVHWRRNSTPEHAHQDVDSAARPLPVLAAPPKLARPNRPAAPVHVARQGGQTLPRHPTWRGRAVFKWAAGSSFLSSSSLLSSPCANRQLGSPTALFRPNPAVFGWEKAGEIVPLPSVKLAYDDTHRPHMIADQNMPLRPVHASGWFPPYSEDGLYGPTPPWMGPYLPLWLTGGMTVTLEDMAMITALPLEGAAVTGMIQSEGWQDMHFNVCPADADDDIVERHARVWLWHFVSSFMFPDAAGSTAWPFADSVPPYAYVWKNAEAVRGPPRRRYTAYTNEIDCLIGNQVEWTPYRHNELAAHLSFMCTRDQDLWRTPVPMICYYIVEWHLPHRVTRQFGKRQINDWRVTHQDYLHMWEQRQRHNIAEGEGWFAVMEYNMEDVDTDAEDDYDVDTRWGNQLERANLHDHILSRIVNDAGVAMRHGNDARFLRSFVEVEMALKLNCVTANPMDPARAPGGSAPGGSSAMAGPSSSHRAGKAPASPQASDEDEPGDDSEDSPAPGQMNMTQTQRESSQDSDGDAQPRRRRRPVPIAYRPGYVGSVGQQMSSAHQIRGAAGGGWLCRAGPPGAAVVFGRGRRPGAAEPRRQPP >Dexi9B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:9B:6646516:6649865:1 gene:Dexi9B01G0010570 transcript:Dexi9B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQLARLHQRLSPSPLHRQAPRHPWPPAADASFLWRGLASSSSSAVAAAAGREKSSRRTLGYLLGVAVAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTKTSRDIIVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >Dexi6A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:6A:25572881:25575242:1 gene:Dexi6A01G0017690 transcript:Dexi6A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILISAQGYPAVGSGPAACAAVCLLVDLGEAHRGARDMLAVLAWVFLWWVTGAVPLAVASMAPLFLFPLFGVFAADDVAKAYMDDVISLVLGSFILALAIEHYQIHRRLALNITSLFCGDPVRPPLLLLGITGTTFFLSMWIHNTACTVMMMPVATGILQRPPLGGGDGSCDGEEEEEEEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPDKEPITFSSWMSFGLPMALIIFVALWVTLCLMYCSENTGKALSAYLDRSHLRRELSLLDSIPGWGALFHNNVGDGTVTIMMATLLFIIPSGNDKGEKLMDWNKCRKLQWDIILLLGAGFAIADGFRSSGLTDILSEGLSFLKGAQTVVIVPVTCTVSAVITEFTSDDATTTLVLPLFAELAKSINVHPALLMISGAVGARLSYLLPTGSPSNVVGFSSNQIAIKDIVITGLPLKIVGIASLTILLPTLGSQIFGIDSRS >Dexi3B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:3B:13313120:13316018:1 gene:Dexi3B01G0017980 transcript:Dexi3B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGNGGAAGGGVDTKAAFAQIYKTLKEELLADPAFEFTAESHQWIDRMVDYNVLGGKCNRGLSVVDSYKLLKGADVLGEEEMFLACTLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGFIAVNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNITVHQRIVQYKTAYYSFYLPVACALLLAGENLDNYGAVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKRILFENYGKKDPACVAKVKNLYKELKLEAVFGAYESESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >Dexi3B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:3B:7142764:7146839:1 gene:Dexi3B01G0010240 transcript:Dexi3B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVSPDGAGFGAAGRTRKGRPTGLQVPAVRRGRRRGGAVAASPPTEEAVQMTEPLTKDDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDLLNGLAERFDWEKIMEENYVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGLGFQPKWALSDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMQGKLPQVPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEEALQSILDMTYDWTKEEREMLRRKVPVTGFKTPFRDGYVRDLAEEVLKLAKKGLERRGYKEVGFLREVEEVVRTGMTPAERLLNLYETKWERNVDHVFKHLLY >DexiUA01G0000450.1:cds pep primary_assembly:Fonio_CM05836:UA:2052616:2052879:1 gene:DexiUA01G0000450 transcript:DexiUA01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRGAHKVVAAICSGELGGGEKEWRWRGWRAPARAEVVVVVGCVATLTLLVLLDRVLVAEDRVGKVTYHYD >Dexi1A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:1A:27747147:27749288:-1 gene:Dexi1A01G0020930 transcript:Dexi1A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKSSVASNGNSLCMATPRADPLNWGKAAEELMGSHLDEVKKMVAEYRQPLVKIEGASLSIAQVAAVATGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGAALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKQGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGADEFNAETSVLAKVAQFEEELRASLPKAVEAARAAVENGTAAIPNGIAECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >Dexi5B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:5B:6174779:6176002:1 gene:Dexi5B01G0009080 transcript:Dexi5B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAAPTSEPPPTEGARRSGKRARDEGPLLTTEHKTKLVQAGAEAAAAQEPEATVPSESPAARPPAAVRVDMARLYCSLCSSLLKPPIYQVRIKHPPRRRRRCAVGHVACCRCRVKLPDSGCRTCGAAAASAYKHCPGLDLFFGDLRVPCRYAEYGCESFVPYFRSDEHRDACGHAPCHCPEPGCYLVSSPRDLAAHLAGDHSWPADEISYGTPRMLAIPMPPPPPVSSSSPAPARHLRLLRGEEDASVFVVAVGTLGDGAAMSVVLVRANSPAHPRYVCTFCVKPPPAAEGLEGDGDCCFFGSVPVRSSALADDDGVAPEKEVYFAVPGEMLCEGGGGGGRELVVSVRIDRSCGPEPPQEDYGTVIQN >Dexi6B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:6B:13345833:13347256:-1 gene:Dexi6B01G0009230 transcript:Dexi6B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGATMSLQVVGLLLLALTSSVAASTVESAPSVNKSCVTGSAGAAVSIGYGGARASAGAGVSLGADVYRATCPRAEDIVRAGVERAVAADPRMAASLLRLHFHDCFVNGCDGSVLLDDKPPFFVGEKTAVPNANSLRGFEVIDAIKAELERECPVTVSCADVLAIAARDSVVVSGGPSWEVEAGRKDSRTASLQGANVNLPAPTSGATTLVQKFRNVGLSAKDMVALSGAHTIGKARCTSFSARLSGVGVSAGGALGASKDLTFLQSLQQLCSGSSGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALASPSGAVPGTDDDIASLVAAYAFDASVFFQDFAESMLRMGRLKAPGGGGDGEVRVNCRVVNSSS >Dexi3A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:3A:10351490:10352707:1 gene:Dexi3A01G0014180 transcript:Dexi3A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGSPLTPPPPSKDVDDAALALTKRARKRSRYLSPPYADADVQEVEVAVPEVEEEEPPPPDLPAGEALSSLRATALRYGQAVDPAVLRFLTLYRNRNTTRATAGIFDGDHDSRAAAAGGGGGVSNDDGSNKLPSLASTGGGGPTVLSFSAGTAIPRPDDGSPYLAKKKKMNHQAPLAAKRQCGPMQNAAALGQAADGHIWASKSSGFAVHGVSNPVPQERKKRKKRMKRAGHEQQHFRNPAALVLDFAEGTRLPSRKGLISTFRRFGFVIDSETAIAGDKRSARVAFATRDEAEVAYSCACAGSISSLGPPYVVLSLQDLPPIISSAPPPVPKLPLTDIRSNLEMMISSLKRRSSSSQATAAAVNSPEEAMPAMGNLMGEMQCLLTKVDKMLQGPSATGHHR >Dexi4B01G0023560.1:cds pep primary_assembly:Fonio_CM05836:4B:24852139:24852711:1 gene:Dexi4B01G0023560 transcript:Dexi4B01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDGPYAWGYCFKEEVNAWSDYCQPDPRWPCIAGKKYYGRGPIQLSWNYNYGQAGEAEAIAADLLSDPDMVARDPLSPKPSCHDVMTGQWTPTPNDIAAGRLPGYGVTTNIINGGLECGFGGPDARVESRIGFYKRYCDLFGVSYGDNLDCYNQRNFAAAASSASATSSSYHADA >Dexi2B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:2B:10344868:10345098:-1 gene:Dexi2B01G0009620 transcript:Dexi2B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGGRRSLGSSFFKLEVGDDVVEEQLDDVVLRRALGCLQWRNLGGSSMVERGGRKRVEKGKWGGERAEGGAQLV >Dexi2B01G0023520.1:cds pep primary_assembly:Fonio_CM05836:2B:33029319:33034348:-1 gene:Dexi2B01G0023520 transcript:Dexi2B01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEDEAASDRCCGSYSPSADVSESETSSDCSAPTTRRFASSSASATVSRLASSSSSLPTPASAATFYLSKPASDLSAEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAPARKAMWTREMEWLLSVADSIVELTPSIQELPEGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLTMIDGFKETEFGYVDRGIVLEDSGGPFPSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQSRDCANQILKAAMAINSDVLTEMEIPEVYLETLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKSWGGKVKGLVGDKEKSHILSERADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAAESPAPGSLGTLYAGARALDDAFFTSAPSPPDRDALLSPALPSAALAPLLGGEGALPPPPPPPPPRRYFRCSAYSVPCRGNPMNVTDVSGVLCPACRQPMTVEMRWAPGGGDAHGSGRLAQEARPGEGGGGYVKEVVTYLVMDDLTVVPMSTISAIMLLKKFNVKDCSALEEMTVEVGTKQAVMLLKAALQSSTVLTDVFSGGVSIDTIDG >Dexi1B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:1B:4048034:4048809:-1 gene:Dexi1B01G0004950 transcript:Dexi1B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKLVLMALLVAAMASPLALAYDPSPLQDFCVADKASNVFVNGQVCKDPAMVSAGDFAFSGLQNAGNTANPFGSKVTLVDVKALPGLNTLGVAMARLDLAAGGLNPPHTHPRATEILTVIEGEMYVGYLDTTGKLFAKVLHKGDVFVFPKGLVHFEFNFGAKHAFGIAGLSSQNPGLVRVADSLFGASPAISDDVLAKAFRIDAATVQRIKAQFATKK >Dexi5A01G0023740.1:cds pep primary_assembly:Fonio_CM05836:5A:27694789:27697260:-1 gene:Dexi5A01G0023740 transcript:Dexi5A01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHRIARPPGPAPRGAAGRRAAAVIAAAVAKGAQRARALEGASDELRAAAAQCLDWAPARRRVRAAFAPVLPTLDHCLFKNYETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGMKEVRELPHFLLGQSMGGAVALKAEYNAISYSDQMRLRTAVELLKATKDIESQLEKILM >Dexi7A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:7A:23762297:23763307:1 gene:Dexi7A01G0013810 transcript:Dexi7A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTAVHPLPAAYDDAWAALRWVVSSCAAGSAPEAPEEPWLAEHGNVARLFVAGDSTGGNIAHNVATRAGRSGGDLPCGARIEGMVLLHPYFRGGELVPSERTMEPVSLERAERWWAFVCAGRYGIGADDGGGGDLREAP >Dexi3B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:3B:2706386:2710315:1 gene:Dexi3B01G0003950 transcript:Dexi3B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSSHSDHRIYEPSIECDYPTRQEQSELRSRLLAKIDNAYRKALERLDFRARPSMAARFLYGGGFCFGLLDPVSNIVANTLIPYECGREEDTAGIVTERKLRELEHRSLEGMLTFLTRFFPYLAECEAVRYLLLADADVLVAARIIALDRHMKNFGIFNQGRVVEDAFNMALKCAALAARHPEPDLVVRVWLHISAAPHSILNLLSRVRRRSPVPKNITDLFTGLSRVSTQQKSTGFSLVSTESQQKSSSESQQKSTSLSMASTEPQQKSIGVSMDSTKSQLKNASMSMVSTKSQQESSMYKPWNLAAWRRPRRCSVPYQHTTALKRILLDAIHGFYLKALTLLPAGELQSRYHRSLLTAGHCYGPLDPVSNIILNTIWYDAAFPPTHELEMDMVSSLSLHRIENRSLYGMISFLCTRFHHLDFHQAACCLLHADANLLGADPNLDAVAAAALRRQVSLGEDYLCPWSGYSFKWSTTAGFPTALGRVDGLDKSPDTSVNEAFKAAAIAARHPNPDDQVSLLASCKQKLGSALSLLQAGHPLSSKDVQQLAILLRPESSRSEQPLLPFPLKEYMHEHARISKKVNAVLNASMQMANGEPLYQVHIICGVNSQVSGPVYCPGGSKFAPHKCLQIHVNFIATLRETDSRAGGKKVLFFAELSNDDEDGAGAQSFCCPVPKLAPCAERVRCLYCDYVGIRIVHPDVEDFHGRKQEFEKMVCGEDPCDEDFDPAVNRTIFNNLSLIRHSRLVANQIGNLEEDFLYASSFDEPKHDARFVDIDLFMDDDDACSHGSLTDDFDVSD >Dexi3A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:3A:3701313:3703954:1 gene:Dexi3A01G0005710 transcript:Dexi3A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPILYNAWISSCSHRVRIALNLKGVDYEYRSVNPLTDPDYEKINPIKYIPALVDGDIVISDSLAISLIANIVCSSIQPLQGYAVIVAIEKLLDGCESKYATGDDVQLSDVFLEPQIHAGINRFQIDMSKYPILARLHDAYMEIPAFQAALPKNQPDAPSS >DexiUA01G0007050.1:cds pep primary_assembly:Fonio_CM05836:UA:13554719:13555483:-1 gene:DexiUA01G0007050 transcript:DexiUA01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVCPGSSSSSPSPSSFSTAAAGHHHQELQFVSRDVLEEWLGDNDWLDLDEPSPGNNDMSGSEPPAPAPKRRGRKPRPKSTGPPISHVEAERQRRDKLNRRFCELRAAVPTVSRMDKASLLADAAAYIAELRGRVEQLEAETKRAAADSAAAAHSSVVVGGVHQEETLEVRMVGRDAAALRLTTATRHRRHAAARFMVALRSLDLGVQHACVCVVGGGVTVQDAVVDVPAAALRDERVLRAALVHRLLQQSG >Dexi3A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:3A:2584203:2586797:-1 gene:Dexi3A01G0003880 transcript:Dexi3A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGRLRRALAAFGGGGDVWDLVDAALAAVARDSPDELRARRDGIIERLYAGGRCRNCDSPPSPAQPRKANETVASVAAPAAASPASPDEEIDVDGLGEDEADAGVESKILAIRDFLEDPDQSEDEMVSLLQNLADMDITYKSLQETDIGRHVNALRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSAGDGGSSIISDGDSPDKVQPKYHQNTPASDFKYSPSPQRHNGFSSERSVNHNLVDSTMEKRRTSPAPAYHNTKQNSNSNYSTASSSVPARIMREQKDTLLDSEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKSGGGLPTRHR >Dexi2A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:2A:4206464:4208218:1 gene:Dexi2A01G0004630 transcript:Dexi2A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKERSPAGVGGVYHEMKRPHNLQHSKKQRDRGPIDRISALPDEILIAILDGVDAKTAVSTSLLSRGWRYLWTSLHSLRLSDVSFPANYRSWIRLGPMSKDYLKSNKISHFVPSLRWFARRIKKGDTDLRRLSLVSSGDARSAAAVNSAICSAAVQGVKDIAVAVVGKTEYKFPLCLFSYGDSSSSSSSLDSLWLNNCKISVSLVFEGFSALRKLVLVAMRMSVTDTEVLVRSCRSLKSLYLIDMVDARVVKHPGLEELVWLWPHPYSALTIDAPALRTLEYCGAGEVLPASTRKSLPCLEHVSLQYVVYGDHHDRHAKNLRAIANRFPHVKSLHLRYQVPKFVVKPGTPAVFSKLRALTLSIDTKPSDDLFWMVMFVAAAPYLATLQTNVRYLSFLESLNGVPNGVEWDVSNFEHNSLNEVEMYNFKGRDNQIDFARLLLQRDPSMRRIAFSHTRPPDEAVYDQCIPPDWPKAQEFSPRDNRSVLSRLLEGVSSGARVVYM >Dexi9B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:9B:3163290:3166957:-1 gene:Dexi9B01G0005390 transcript:Dexi9B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPAAGHAADLLQKLSLDPKSEGGKGPERKEKASGGPNGVSKGGVVSPNPPVLSAEPWTQQDYNDAAMYYSAYPGAYYCGGWGDYSVYVSQDGADALSSGVYGDMYCYPQYGIADGQIYGSQHYQYPSTYYQPKTTASKPVYKAKTGKSSPSQDVSTATAADRQPANQDASKTTSKSIDGVKGLKKETLPLKPNERLDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYEEAKKKSSSSPVFLLFSVNTSGQFVGLAEMMGRVDFNKTVEYWQQDKWTGCFPVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVKLDQGLQILKIFKDHVCKTSILDDFGFYDSREKIMQEKKSRRQYPVEKVMNRKLLTTINTENEATDGKQKPEIIGEQNAVVENGAVVVAANGVTPREQTAVVENGVVAAAASSAAPKDANPTTEVVAVANGC >Dexi5A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:5A:6686842:6689063:1 gene:Dexi5A01G0008930 transcript:Dexi5A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPARPAGPTPTKLVYFDDMWALRSAATVLAVHQEEGGRVAVVLDATVFHPQGGGQPADTGVISAAGARFLVEDVRAKDGLVFHYGRFDDAGEGCEHRFKEGESVSLEVDAERRSFNSRLHSAGHLLDICVHNVGLFQLEPGKGYHFPDGPFVEYKGAIPQDQIQDKKNELEREAKRLISEGAKVLVSIFPYEEAAKLCGGSLPSYISKVSPAHTYLDDSTPRIVKFGEYPGGPCGGTHVADISSINSLKVITIFYAKPVFSHFGFPMPIKIMWVEG >Dexi3B01G0022070.1:cds pep primary_assembly:Fonio_CM05836:3B:16917529:16918764:1 gene:Dexi3B01G0022070 transcript:Dexi3B01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMFSFYTNPPSLALTPQTHQRDSHPKAMFHPSSSSAHNYSDLSMHHAVSFPAAVPTAPTEIPRGGFFHDSGGVLALPNVAASAPPPYPSSLPSYYMHRNTSSHFLPLHLQLPELSSNATFSCSSPSACQLPLPHVPSSPSSSSGDLLEFSTGAIRRVFSTGDLQVMNVSPSPPPPPLSGDTHGQDSGGPFAQKVGRYSAEERKEKIERYRTKRNQRNFHKKITYACRKTLADSRPRVQGRFARNSETETEAAAGHEREASDNSYEHYRYNNIATNSSCFFDSSCRGSAKTTTFDDNKWWWETPVAATAATSGHHGHHHYEQEQQQLLDFEIDVDEEDLWASLADMCNGT >Dexi8A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:8A:2058478:2063434:-1 gene:Dexi8A01G0003000 transcript:Dexi8A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEESSDKESSDEDFSEEEIELRPIKKAPWIGLLKYDSDKFAKIWGWEMLLPYKGDPDWPDYCNYIGQYYERHQSFITEVTGSGLVDAAETCITKEADLICKMLSVGAKPSNYTIIQSTNIRMCALSLTSHQLRDSVPAAAAMLGIMKETNKICDWIRRNDKAFNTSDKNLDHDFELGRQVRQGTLHFMTILLEKSPFPVSSAAAEQKPVEEKYFSGNIPRNDENCIVPAEAANSTGGSTQDQENITSTDSSKRRMEDADKEASDQAEFGSYPSGSKLSKRGGRYCNQNEICTDKDRMALDSPFEDSEECDDANTVPPVGIAKFVDVFEKTWVWDRLLPLKSSTGWSDYCNYLRQYYKRNASVVAEVTGYFVASAEVCISKEADLICELLKHGAEPTDGIIQHSIMIRMCALSLMHLQGSTAIAVAAAAMVGITKECKLMCDWIKKEDKPITFSIFTRHEPLECRLIRARTLDVMLSILKESSFPSSKVSGMVYQNGAAVGFLLATPCFGGAFQILNAAMPFCL >DexiUA01G0015220.1:cds pep primary_assembly:Fonio_CM05836:UA:31902169:31904080:1 gene:DexiUA01G0015220 transcript:DexiUA01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQARDYIGLGAAGSSSSSSSCSGVVEGAAGPHLALRLGLPGSESPGRGAEAAEHVDAALTLGPAPARGGAKRGFADSLDLPAKRDAGASPDAAGDVSREEKGVAETAAGAPRAAKAQVVGWPPVRSYRKNTLAASATKIKGEDESKSEAGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLGLEKMFSCFISGKSSSGKPSRRERLTDGSRADALQDQEYVLTYEDKDADWMLVGDLPWE >Dexi5B01G0034710.1:cds pep primary_assembly:Fonio_CM05836:5B:34903648:34904515:-1 gene:Dexi5B01G0034710 transcript:Dexi5B01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDCLPRPFCACPTIMAVHVMVHRAGIASNTVRASRSAPVLAYMETSELPTERNGSKPRRAAAACTDRPRSSMVEPAQELSTAATANSSGSTPSAAISWYTRTAAPWSPARASPVRSEVQHGARGGRAGQLGVGVDERVGDVEVGCEARAEEQRVQGTRRRRGPEERGGVEERREGVLVGAQAMAAHLVEQGERATVEARAGAAGDGRVVGHQPCARRQQRHRRRRVVVVVPVESDGGGDQGETCSSIGGAGAG >Dexi2A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:2A:804267:807211:1 gene:Dexi2A01G0001190 transcript:Dexi2A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSAAAPGPSSSSSPPPLQARPTRAGADPLLVVCGCFSVVTAATALLCVAVNVLSAAQAFRAGSDIFGGIFRCYAVVFALFVGILETEWGFIIKFWKIFEYWPARGMLQIFVAVMTKAYPTIERNDLILLQQIASYMLLACGAVYVISGILCIGVLKRSRQQKATSREQAVKDLKELERRREELEALLIAERNELV >Dexi8A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:8A:29142884:29145891:1 gene:Dexi8A01G0017440 transcript:Dexi8A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDTSVTQQSGVVTGPGSKKLSREKMDIAKLAGVDGVKLVVIIVQAARTVRHNKKTCPSLFSMCNHIDTADRLDQILEIIRPPLPQAVREVPRLFAGCSSCDTRPGIYGEQED >Dexi1B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:1B:24676954:24681443:1 gene:Dexi1B01G0018490 transcript:Dexi1B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYHRAKALNPRPTTSPPRSPSLEFPAANPRTPARSSERERGEAMEKLDESKFEQRLELWALRIPRELASAITRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSERIQKPDLSDMPQQMHDSLKQLCNVDVVPYALTLGYSYWSAGHVAHLNISDDLLAYKDVIAKVIYDVQKLDQTVKLLLLNAILKEGSLLMNIHVREDGQNGANDSSVASTTAKKRQQTSKEEGEPDCQDGDASQTKKRNNKRVRGSGPPPTKPWEHIDHVLMNLPASALEFLDCFDGLVQKKHWTGSLPWIHCYCFIRSTESEESILSVSSSLIIYYSDKAQNKLNAKISDPIFHRVRDVAPNKAMFCLSFKLPMECLKDDTESVA >Dexi8B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:8B:16157264:16162106:-1 gene:Dexi8B01G0009250 transcript:Dexi8B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAASGAPSWGAAAADPGPTMLSFAGSSSAAAAEARLQDFAAGLAQRARPAGVAGAGRRSRSAAAAAEACSVDGCRSDLSRCREYHRRHRVCEAHSKTPVVVVGGQEQRFCQQCSRFHMLSEFDEGKRSCRKRLDGHNRRRRKPQHDLTNLGGFLPYHQVNQFEFYPRTIPTALQNSDAPHLVHHQPPFSISFSRTQDAGGLPSASGHGHFLVEDSNHTGSSTCNNDLSGTLGPECALSLLSSSLHHPSLAGQAQVGSALSRIASASQQVATTAVTTALTSGGVHHVFLPDAVSEDPSQPLPFPWQ >Dexi4B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:4B:10133867:10136625:1 gene:Dexi4B01G0012330 transcript:Dexi4B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSHQTLMRLLMLSLLWGTSLAAKRHYLSITMDELLSSKAHVDCPPLKKSVTSSGNKLTIPAMCGIPRCCAAGESIGRHVLNHDINRLSTLLRRSSTSSVAAPAPALPFPGIPFPLFPPIPSAHPPLPGIPFPFSPLPSTRPPFPGIPFTLPPVPSTRPPFPGIPFYFPPAPAPSPEPYSAIPFSPAPRAIPFPGIPISPAPPAIPGIPISHPPVAPPTEPPSVTIPDSSGAYLNTLEFVVTVGFGTPARPYTVVFDTGSDVSWIQCQPCSGHCYKQHDPIFDPTKSATYAAVPYRNKECTAAGGKCDSNGTCIYKVHYGDGSSTSGVLSHETLSLTSSSALHGFVFGCGEKNLGFFGNVDGLIGLGRGKFSLSSQAAKSLGATFSYCLPSHNGTQGYLTIGSSPVSNKVQYTAMIQKPEYPTFYFVDLVSIDIGGYVLPVPPAVFTSTGTLLDSGTTLTYLPSKAYTLLRDRFRFTMKQYRRAPAQDPLDTCYDFTGQPAIFIPAVSFKFSDGAVFDLDFSGVLMFPDETTAVGCLAFAARPAAMPFSIVGNTQQRSAEVIYDVGAEKIGFVPASC >Dexi7B01G0024820.1:cds pep primary_assembly:Fonio_CM05836:7B:29042124:29043086:1 gene:Dexi7B01G0024820 transcript:Dexi7B01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTDIRMAAVFGEAKPENGDHDADALHRRRVLFHAHAHSQGGLRVVATDLRSLAWQCSLDIDDLQDLQDDVGIGGSCSDLLDYLYSSLSSGQVRLRFPADQGPGTGPADAKLVATKAKGLPLITISLHPVAASELKDVIAVFSLALYASYKTTQEHASREQERASQLMDSLASERVGYPLVAT >Dexi9B01G0034840.1:cds pep primary_assembly:Fonio_CM05836:9B:36690826:36695034:-1 gene:Dexi9B01G0034840 transcript:Dexi9B01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEPAHGGRANASSHDHGSGGFGGGGGARRGVSRHSEYRVLVSGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTIGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSYSRSRSPSRSYSRSRSPSKSPRGRRSASRSRSRSVSSRSRSASKGRSPSGSPARSKSPNASPPNGEAASPKKQSPSRSPSGSRSPDVK >DexiUA01G0013060.1:cds pep primary_assembly:Fonio_CM05836:UA:27196880:27197194:1 gene:DexiUA01G0013060 transcript:DexiUA01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGIVRARVTVLQEKIDAKIKLAPAKKQASWPAPSDSAGRVVVYVMSLRGIQKMYRDCWSMIAILRSYSARVDGRDLSMHAGFKDELRAALGGGDGRWLPPLP >DexiUA01G0000970.1:cds pep primary_assembly:Fonio_CM05836:UA:3011774:3012505:1 gene:DexiUA01G0000970 transcript:DexiUA01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVLVTGASKGIGRAIACQLAADGFTVGVHYHRDAAGAQATLDTITQAGGNREQCRDVLEQNIEAHGAWYGVVSNAGITRDGAFPALSEDDWDSVIHTNLDSFYNVIQPCIMPMIATRKGGRIITLSSVSGMMGNRGQVNYSAAKAGIIGATKALAIELAKRNITVNCIAPGLIDTGMIEMEEAALKEAMSIIPMKRMGQAEEVAGLASYLMSDIAGYVTRQVISINGGML >Dexi5B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:5B:4663272:4666390:1 gene:Dexi5B01G0006960 transcript:Dexi5B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVVSRSGREIVKGCIDLKDSAKVADLQEAIHARTKKYYPSRQRLTLPHQPGKGGKPVVLNPKASLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKFFGYGGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGFGIICQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYIFLVVAASIMTNWALGKHSRLKKASFELLIFPGTALLTNISQVS >Dexi1A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:1A:22428539:22431472:1 gene:Dexi1A01G0015380 transcript:Dexi1A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAERSPSAPAERLKRHDSLYGDAEKVSNDKLHGSGGSWARTLMLAFQSIGVVYGDIGTSPLYVYSSTFPDGIRHPDDLLGVLSLILYTLILIPMLKYVFIVLHANDNGDGGTFALYSLISRYAKIRMIPDHQTEDATVSNYSVEAPSSRLRRAQWLKEKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPHLTQLEVVWISVAILFVLFSVQRFGTDKVGYSFAPIISVWFILIAGSGMYNLAVHDTTILRAFNPMYIIQYFSRNGKEAWVSLGGVILCITGAEAMFADLGHFNIRAIQISFTCILFPSVALCYMGQAAYLRKFPENVADTFFKSIPAPMFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYEGQVYLPEVNFLIGAASVAVTLGFQTTANIGNAYGICVVTVFSITTHLMTVVMLLAWRTPPALVAAFYAAFGAAEFVYLSSILSKFVEGGYLPFCFSLVLMALMAAWHYVHVLRYWHELERAVPAAELAAVLARRDVRRVAGVGLLYSELVQGIPPVFHRLVDKIPSVHAVFVFVSIKHLPIPRVAAPERFIFRRVGPVSDRVFRCVARYGYTDMMEEHREFSAFLLDRLKVFVQEEAAFAGGPTQTAASAVEAAQQFIDDEAARGVVYLMGEATVTAAAGSSVVKRVVVNNVYGFLRKNLRESHKALSIPKDQLLRVGITYEI >Dexi5B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:5B:19740685:19741439:-1 gene:Dexi5B01G0017860 transcript:Dexi5B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAWLRPLRALLGRGTGSKTSTATFCSASSPALDSPQAVQTCLLLSQLWRDLWRDVPRIKASIRTFEIEGGDRDHDVRNPMFKKFVNRLLLLRNPVALDVFHLGYCISRQDEADFAEANLWIGHALLCNARSIEVYILGDKLGIDPSVFTSEHSRSLLFNAVVLTRGFFKQLQTGCKALERLILQDCPINDIEISSQTLRSLSIGEDCLFEYRGQNTFTEEYGITSDSIRYA >Dexi2A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:2A:29702366:29707753:-1 gene:Dexi2A01G0017700 transcript:Dexi2A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQAGRLRTSASSVGKRLSLIPGIATRHSGRVTYPSLAGLWDFIDFQKDATSAGSANSSIRQPALPGNNPDAPTNRDYELRSRGPAEAILPAPLPRLALPAPAPPAAVPPAPLTVEGRQVKRLSQSEMEERRRLGLCFNCNEKFGRGHNKVCQHIFLLDLAAEDDDDAAGSDGPDADADGDPHISVHAITGIRTGETMQVRIKLGAATLLALLDTGSTQLPLALQHTGRLHVTVVNGDRVPCTGLYRGAPFSIAGEAFTADFFALPLAGFDVVLGTQWLASLGPILWDLCILTMAFGRDGRQVVWHGEAGPRSPALRSCSGNDHMQALLDAFALVFAEPQGMPPPRSRDHTITLLPGSAPVAVRPYRYPAAHKDELERQCSTMLSQGIIRRSSSAFCVGYRALNSIPVKDAYPIPVVDELLDELHGARFFTKLDLRSGYHQVRMRPEDVAKTAFRTHDGLYEFLVMPFGLCNAPATFQALMNDLLRPFLRRFVLVFFDDILIYSTSWTDHLRHVRVVLSVLQEHQLFVKRSKRAFGVTSISYLGHTISDTGVAMDPGKVQAVLDWPTPRSARVVRSFLGLAGYYRKFVQDFGVITTPLTALLRKEGFSWTADAEAAFAALKTAVTTAPVLALPDFSKPFVVECDTSTHGAASAGLFQQAGRPATPLIGLVLAVRHWRPYLWGRRFVVKTDHFSLKFLLDQRLATIPQHHWVGKLLGFDFTVEYKAGSTNTVADALSRRDTEEAAILAISSPRFDFIHRLRQANSSAPSLVALREAITTGERAGPWSIIDGLVAFSGRLYIPPTSPLLLEMLAAVHEDGHEGVQRTLHRLRRDFHAPNLRRTVQDFVRACATCQRYKSEHLHPAGLIMPLPMPSGVWIDIGLDFIEALPCIGGKSVILTVVDRFSKYCHFIPLAHPYTAESVAQAFFAEIVRLHGVPQSLVSDRDPPFTSTFWRELMRLTGAKLHMSTAFHPQSDGQTEAANKVIVMYLRCLTGDRPRQWYIYNTAFQTTLRDTPFRVVYGRNPPSIRSYEPGETRVAAVAKTMAERDEFLADIRYRLEQAHIIVSSASWWVIGSGFASANGPRHLLMRPRRVSSSRASTGPTASPRSSRLDLPPRVRLHDVFHIGLLKKFVGTPPDAPPPLPPIHHGAAVQEPERVSRSRLARGVLQLLVHWKGEPAASASWEDVESFRDRYPSFQLEDELLVEGGRDVMWGEIVEQQAAGPSG >Dexi7B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:7B:2025763:2032871:1 gene:Dexi7B01G0001090 transcript:Dexi7B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPTTDPTDSSPTVTADASAPQRPTPEELVARAVAPVKPAFLRPPPVREVPKEEGKAGGGGAVVTGEKKSKRQLKRERQQEKKSTSHLCIEVGKSGKVESCKYGTSCRFSHDIDAYLAQKPADLEGTCPFSTLGQSCPYGLACRFLGTHKDNLAPLKTEGNHERNSLSKDIQKLLWKNKYKFPKASAQIKLLGLKDGNKNKEKAANDDNPDETCELNGNDKTESLSNIHVNIESDPTLCKEIDNSEGEPLVVHSANCVEPRPSKKSKVEVDEIQNHGTGIHDNGTESEDLNLSNGLKVSSNNQSSCRVDLGQASEWALLRRHPSEDLFGVQICGPYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITIHGRSRQQRYSKLADWDYIYQCAKKAPDYLHVIGNGDVFSFTDWNKHVSDCSKISTCMIARGALIKPWLFTEIKEQRHWDITSGERLNILKDFVHFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWIRISEMLLGKVPEGFTFAPKHKSNAYDRAENG >Dexi9A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:9A:11879795:11880756:-1 gene:Dexi9A01G0016770 transcript:Dexi9A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLCCLRYPEDGSAAPPICCFCLPWPFAYHGVESFLPRAMLLVTEVIHGSLLIVEGFLLQRAPLQDKWIQWILSAPLQDLCLTMILDSALRWCNTQ >Dexi3B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:3B:15038203:15040815:1 gene:Dexi3B01G0020060 transcript:Dexi3B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIRVPKTKRARRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMASYVYDKKLAPKLGSKPFFAFIGEHFESAEELKHLKEVLLDLFKGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKVKNVTKDPIQGRLGKVYMPDQQVGKLTLTNDIKGLKRERREAKKNKEQSKKQKVDAE >Dexi4B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:4B:3128929:3129324:1 gene:Dexi4B01G0004340 transcript:Dexi4B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTIARIAIRSTTPGLIFRSMPPAMDGTASAAGGGGGAGAECISRAGDNWKHRPGDDEDDDKEGGGERGVVGLVTPTAKQQGRTEWQGNGGPDGQSFKGIGKLGSEEKLPRRGGRED >Dexi2B01G0023700.1:cds pep primary_assembly:Fonio_CM05836:2B:33250420:33253329:1 gene:Dexi2B01G0023700 transcript:Dexi2B01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKKIPSPPLPLPTAPPLLPVSSAASSAATESVLRRLRPPLPASAVAAHLLPSSPAPASSSTAHSXXXXXXKKKSPPLLFLSRRRRLCCPSPPPPPPLPPSPSSAAFDLRCLPPPSPPICFLRRPPLPPPPPPTPAFSGRVRLERVVTAPYLVGAALERRCGSILPGKAETTMPTGDNPHSISEKKAALRESPQQTKNVVNEQHRTSFSKDKVAATVCLKRPQPYGPLSPTNHHTLSNPGANGHLVYVRRRPDTDQSKGGTSARAESVNSISTKKPDAGASQSHETNLKHQNNVSHTQSSPQFVSPAAATASLASQSTVLPAEQSFGKQSPGKVAVQPTNDMITSLTPSNVVSSTPVLQSSAAANLAASSVLATSLASTLAPDRADPPRSSNQDWSDGFTQLQAFLRNNEQSPEKFAVQPTTSLQPRNVVSSSPLLHNSIAANLAPRVSATNKASHTAISTANLVSSRVLTTNAASTDAISATSLELNRADPPRSSNQDRSDRFVRLQAFLRDNEQSGQEEYIRMLRSLPSVGRSMHAIELEKRAANLLIEEGKELQKMKVLNVLGKLSPTDAPRFPAQPVAVKHLPFPARG >Dexi3B01G0027940.1:cds pep primary_assembly:Fonio_CM05836:3B:23737352:23738460:-1 gene:Dexi3B01G0027940 transcript:Dexi3B01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGSLEHGGFTFTPPPFITSFTELLSGSGDMLGADHQERSPRGLFHRRGGVPKFKSAQPPSLPISPPPMSPSSYFSIPAGLSPAELLDSPVLLHSASNILASPTTGAIPAQRYDWKQAAELIASQRAEESKAQGGFNDFSFHTASSNAMPTQTASFPSFNKEQQVETENKQSVVAASNKTVSGGNGNGNNNNNNNTKLEDGYNWRKYGQKQVKGSENPRSYYKCTYHSCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNSSGGVPAEDQQLAASAAATGPEHSGATPENSSVTFGDDEAENASQRSDGDEPDAKRW >Dexi4B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:4B:4059659:4060513:1 gene:Dexi4B01G0005730 transcript:Dexi4B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLYQFIHGDNGCHDIPFSTRLRIALESALALAYLHSWASPPVIHGDVKSSNILLDENYAAKTCQLTDKSDVYSFGVVLLELLTGKKAFNFEGPENERSLSLSFLCAMKEGRLMDIIETRIKNENDIGLLEEVAELATQCLEMVVERRPTMRDVAEKLDTMSKVMQQQHPWVPPQHNPEEMESLLGESPVASLEMISSVNFSTEKRIVQGLLQSGR >Dexi7A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:7A:21491062:21493928:-1 gene:Dexi7A01G0010790 transcript:Dexi7A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRGAAFAARSFRAAAASSASATVHRLPAAGSLAGAGEFAPARLFLLESRRGFAKGKKSKDDRSDTVQAAPDIGPTVKSAATSQMDAAVIALSRELSKLRTGRATPGMLDHIMVETADVKVALNRIAVVSVLDSHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASSMPKDDVKRLEKEIEEVTKKFIKTADDMCKAKEKEISGS >Dexi2B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:2B:12293259:12294325:-1 gene:Dexi2B01G0010820 transcript:Dexi2B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLCQVIIQCHQELTEDHYKYFLYQILGGLKYIHSASVIHRDLKPSNLLVKVADCHLKFCDFGLARQTSVCSVLTEYVVTRWYCAPELLLQCSNYSTAIDIWSVGCIFEELINGRPLFPGLEPLHQLRLITEVLGMPTHEDLGFTLSEVTRMRYMRWLPQFSRRPLESICPKAQPLALELIERMLTFNPLQRITSEQALEHPYLENLHNIADEPVCHEPFSADFERRTLSEGEIKHLIVNEAVSMNPSLGSPSGRSCL >Dexi1A01G0023710.1:cds pep primary_assembly:Fonio_CM05836:1A:30311385:30314350:-1 gene:Dexi1A01G0023710 transcript:Dexi1A01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANDGDMKTRVILVEDEEMATPTPSKQDKCCEYTLDGSVDIKGRPAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLGQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSVSSHLYLITPEGCGMEHAPCGPHTGKELGIFYMALYMIAFGNGGYQPNIATFGSDQFDEADPSEAHSKISFFSYFYLALNLGSLFSNTFLSYIEDEGRWALGFWASTAAAATALLLFLSGTLRYRYFQPGGNPIGRVCQVAFAACRNWKAGTSPGVVTLYEGDEKSDTGGRKLLHTQGFSFLDRAAASVDDTDAKLGVRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTPFAGFSIPPSSMSAFDITTVAATIFLYRRAICPFLARLTGRPAGPTELQRMGLGLVVGAMAMATAGAVEHFRKAEATEAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGQMPDGLKSFGSALCMMSMSLGNYFSDVIVSAVTRVTTTRGRAGWIPADLNDGHLDKFYFLLAVLAVADFAVYLVCASRYGSGKVDGRSSDEEDGTASPSGSISGAEHMT >Dexi3B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:3B:14565814:14566588:1 gene:Dexi3B01G0019550 transcript:Dexi3B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEHEQDDTTRPYVRLGAVVAAAPQHLRRDVGGGAAEGVEKPVGVELISNGREAKIRDLEIAVLVDEEILRLEIAVEDAPGVAEADGGDELLEVPARDVLLEAALGDAREELPAADELHDEVDLRLGGHDLEEADDVGVSHAAQDGDLALDVRDEAVAERLLLVEHLDGDGLAGVGVAGVVDLGEGAVAEDAAQLRPSRRPPRFVGPEDDAGRRGCGDGSRDDGGVEAIGVGEEASRAEKRRGKEGGSAD >Dexi2B01G0028360.1:cds pep primary_assembly:Fonio_CM05836:2B:37030978:37032102:-1 gene:Dexi2B01G0028360 transcript:Dexi2B01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPDALTDPPPSVEEEVGMDGWNSMENYYAFLYSKEEKGKKKRVLVKCLVIGELLAIDVLDLEAQDKGPYNIQIKYA >Dexi1A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:1A:6031906:6032290:-1 gene:Dexi1A01G0007840 transcript:Dexi1A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGPLEGVVFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >Dexi9B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:9B:6241441:6250266:-1 gene:Dexi9B01G0010080 transcript:Dexi9B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSRGQEFSSSPQFPVDKNAKKSSEQYVSRKPMLQKMGLQIAPSMEEHGAPTSLPLSLFSLHSPPRPALPAHTTCPRLAPLPRLRAPAALPFPPRIPDLAAARLASVSASIAIPSRSPAAPGCVAAGVRLPPAMVSGGCVGAEGEVEPEGVAVERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDPLSIPAAVTRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNPGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEVLARELRRRLGLRLFNIDMIRQHGTRDRFYVIDMNYFPGYGKMPGYEQVFTDFLLSLSQKEYKRRQNYSSGEG >Dexi9B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:9B:9443255:9445432:1 gene:Dexi9B01G0014140 transcript:Dexi9B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVTVAVPVPGGGRVAGGGGGAASASAPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPVYGCVGAISSLQQQVEALQAQLALAQAEMVRLKMSNDYIVHRLKAARGGGGGGSSYTGSPSSMSSPKTAEPEAHCKATPELLDMVVDQPASMDDAQFWSY >Dexi9A01G0038810.1:cds pep primary_assembly:Fonio_CM05836:9A:42966127:42966897:-1 gene:Dexi9A01G0038810 transcript:Dexi9A01G0038810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAAGASTCCIRCASPSLSRSRVRAQVTSWAGGAEALVRSGAVKAVRPKDAAEAMGAEGFRLLDVRPEWERARASVRGSAHVPLFVADDDMGPVTLLKKWVHLGYIGLWTGQAFTKMNDRFVDDVAAAVAGDGGKDAKLLVACGEGLRSLIAVRMLHDDGYRNLAWLAGGFSKCADGDFAGVEGESKLQYATIGGVSYIFLQILLLLGVVK >Dexi4A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:4A:20670133:20672894:-1 gene:Dexi4A01G0016930 transcript:Dexi4A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLENGAKGKLPPIDSSEGHGEEQDALADGAHSGESEVINPSEEVEGEATSQSQDIKPRVSEGSQTHSPKVVKSQRQSPQSGDKIQARKSSPCPYPKAPIARVSDPDLVDNSSSNGDGSVSKKKAEKTTFRPVAKESPSLEDSKEKKKTHKPANQRPVKKDIEEESNESIKPQRVGSTPAYGFSFKCDERAEKRREFYSKLEEKIHAQEVEKSNLQAKSKEAEEAELKMLRKSLNFKAAPMPSFYKEPPPPKVELKKIPTTRPRSPKLGRSKNTTSTGTEGNTNPPGRSARLSLDERAAQNGVKKAPAANAVKKPQRKSLPKLPSEQTARVDVAAPVSSGEELENNSSADVVREPIRAQVTPDEPGLSG >Dexi9A01G0041510.1:cds pep primary_assembly:Fonio_CM05836:9A:45176665:45180092:1 gene:Dexi9A01G0041510 transcript:Dexi9A01G0041510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVRRATCRRFSLPNSDLRLISSPRRGFPLQATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSNDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTENKDHASSSNNDSVMAEAEPATNAADDDKKEQPKDDDDLLQQALAMSMEGGSSGSASVADAAMAEAGAVDPDLALALQMSVQDANMSSDSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEV >Dexi7B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:7B:765185:765570:1 gene:Dexi7B01G0000180 transcript:Dexi7B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNRTRTTASATSSSPKGSSSTICTIGTSCTSCTSSTSSKDACSCWFKQSASTRTATSSCSQACSWTWTSTTAN >Dexi8B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:8B:7881182:7882167:-1 gene:Dexi8B01G0006740 transcript:Dexi8B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTTLIRSFSSRLSTRLAPSPAVVAPWPPVRSPYDRWLAAELDDLRADDPFTPCTTATWLSRALTLAAAAQRRLVAAASDAGIERRAIDECADDAAELLDACSVLRERLDALRSYVTATRIALHWLDGHGDCAAARRRAAAAFAECDAVERRCGAVELAKCGSNLRKLGEKALVHDHEELSGARAMAVLAVGVLGAALTFRPRRNMSGLAAGHTGGKAVAQWECSLQEVQRQVREEYDRRRRKKEGVVPFMAELDAAAAAARAARCAVEGGRRCPETKTIVAEAARRCDELEEAVEVLEEKVGELRGELSKRA >Dexi2A01G0037510.1:cds pep primary_assembly:Fonio_CM05836:2A:47062920:47072686:1 gene:Dexi2A01G0037510 transcript:Dexi2A01G0037510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPNPPRSFHAHDLPPPPPPPPAHDIAERLRHLRDLRRAPLSTRVARIADLHTDEASPVRKHVAEIIGELGSKHMGYLPNVIPCLLHLLDDEAPAVVRQAIKTGTALFAKLLQHLVIQGLFTTGGIDDALKSSWEWMLKFKSTVSPMAFQTTGNEGVRLLAVKFVEKTVLMYTPDPNIPSDPPNEATKDMGFNVAWLRGGHPLLNVRDLAMEASQNLGLLLEQLKSPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPSSSIIKLRVPGAFHALKSAFSACLKCTHSSAEPWQARLLEAQNIINRGDSNEDTGTAAKSFGDTSNREESRPLMERSTDSSNKRSLAEDTNHMTEDDGHSSKRVRHSLDAQEHSEEESSKRNIESTSVDTSSSQPISIRTGNSEAVYQLIGMFAALAAQGDRAGGSLQILSSSIASDLLAEVVMVNMQHIPISCPEVDQPQLPSTSSGDGIPFSSSLSLLASLLKRVNQIDQDEAPPAKECAVVSTVADDIMAVPASSSVPSSVNLPMEEDSNSPTVPLCAETAEVKFPLELDPWELLQKHVLSDYANNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLSVAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDIQNGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSTHHLEEVRMKAIRLVANKLFPMASISKKIEDFANENLNSVLEVIPSGDSSATEMSAPEAHNKGLENLSASVADAQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVQRQVPILIRTIGSSPDLLGIISDPPGDSRDLVMQVLSAFPSIVNLPMGKFQTALSRILQGSSRNGPSLDPSEILIAIHVIDPDKEGIPLKKVMDACSGCFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGVYPALVSQFLTHIVCHQDEWSYRSFRASGSHVVVDFVMDIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPTLKAPLVEHAEQPNVRSTLPRSTLVVLGLAEGQQQPPPAVSQAQSSQNLAAETSSSAADTATEVTQESSAAS >Dexi9A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:9A:10420078:10430066:1 gene:Dexi9A01G0015620 transcript:Dexi9A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHACRTVLLYPQGAPSVCCAVCQAVTTVPPPGLEMAQLICGGCRILLMYTRTADTVNTVAPTPSARPVSNGSSYSTSSTSAPKAQPQNVTVVVENPMTVDDKGKLANSRGKISLISQNSQKRRQEKNGADDGNDERIGSSVAMDGEVGKGGKVKNHNKERKKKRTKVQEAQQNREEEEMRQLESSLFGTLHAPLEFGNEVEPAVAASDRDAPLFFTDRSAADGVDGLPIYEEDLVHGDEDDGVVIKGRKPVWVDEEEERTEVNIEKVARLRKLRKEAEEHLISGKEYEARLRGQHAKLNPYTGWADMDRKTPLPGASDGMSDDEGGVDDILQNNDELVVKDSVKLLPGLLEFSRLVNANIQDPSNGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPNIQSIFIEDCPVLKASFLPDGTEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAMDDGSLSGVSLCTSRDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDVGEMKFNHDAQILAITSRKERNGMRLVHVPSFSVFQNWPGPGFSLRYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYENA >Dexi2A01G0033330.1:cds pep primary_assembly:Fonio_CM05836:2A:43560177:43565413:1 gene:Dexi2A01G0033330 transcript:Dexi2A01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRRFLNMIVSGSGSTPGVKTLRRIDLTRQQLFYPDTPRRSESGGLARPPSALEMETIRLSGHGFSFRASDSDPSSERKMSCFPLGDCKVICADDSGGFVFDLHRRKAGTMPHIRKPPNTTTMPISVFVLVPKPDVDDEMYSDGYGSSLFLMERFLQPEAGMQETETENDQFVGIINRRPATFRSNKSWHCHILPPPPLLREPCHWDSKNSRPEIVAYGVVGGGSQVCISVNGVGTYCLDTASHEWSEVGKSMPVAMVGAEAKLNSK >Dexi5A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:5A:8740830:8742347:1 gene:Dexi5A01G0011620 transcript:Dexi5A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPLPPALHRVLSLLPRVASPCQLLQAHAFLLPRGGHRHPRLLSALLLASLRLAPRHHHAHAAALLRRVHPSVSIRAAARLPPRLLGGSLLGPQLHSLLLRAGLAASDTHVSANLIQAYCACGRVSAARGVFDEMHERDVVAWNVMIAGYVQSGDLFSARELFDVMPERNVVSWTTVIGAYAQMKQPEEAVEVFRRMQVEEGIEPDGVALLSVLSACGDLGAVDLGEWVHMFVVRRGLFQKIPLMNAIIDMYVKCGCIKKAVEVFEGMEEKRVVTWTTLIAGFALHGLGLQAVEMFRRMERENVAPNDVTFLALLSACSHVGLTDLGRCYFNVMVSQYGMKPRVEHYGCMVDILGRAGCLAEAQDLVQEMPFKANAAIWGALLSAARTHGDTGLGEQALLRLIELEPHNSGNYILLSNIYAEQERWNDVRELRKAMKERGLRNVPGASSIEIDGMVHEFTSRDGSHPSLHRICKVLCEINTTMKSVGFAAVLHEVLHDMEEG >Dexi2B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:2B:23835308:23838027:1 gene:Dexi2B01G0014280 transcript:Dexi2B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATMSARRRLVLSLISVLLVAGHGAAASTLSFAFDFSNASTFILTDLKTEGDAAFHGSLFDLTANSYSAGITFSVGRVAYAHPVQLRDNAMGKANSFTTAFSFAISVTDENNKGDGMAFFLGNYPSKQPPNSQFDTFTNTWDPNRTYDHLGIDVNSIVSVSNVSLPSFSLNGTMSARVDYNGSTGVLNAELQFGPRPMFYGATPTYNVTAKVDLASVLPEQVAIGFSAATGSSIELHQLLSWSFSLITPGNSSTTSTIAGASASSSSRTGLKVAPGITSAVSLLLCIAILGLLRALRRQHLAFAEIQLESEARRKLMDEEFEKGSGPKRFDYGQLAAATRDFSDEEKLGEGGFGAVYRGFLKELGVHAAIKRVSRGSVQGKKEYTSEVKIISRLRHRNLVQLIGWCHEGRELLLVYELMPNGSLDAHLYNPNVLLTWPVRFKIVLGLGSALLYLHQEWEQCVVHRDIKPSNIMLEASFGAKLGDFGLARLSDHGLGGSHTTNLAGTMGYMDPECVVTGRAGPESDVYSFGVVLLEVACGRPPVVLIGQQDEEQHQVVGRERLVEWVWGLYGGGAVVEAADERMGGDFDRGEVERVMVVGLACAHPDSTLRPTIRQAVSMLQSEVTLPTLPGKMPTPKYS >Dexi1A01G0025150.1:cds pep primary_assembly:Fonio_CM05836:1A:31452129:31453346:-1 gene:Dexi1A01G0025150 transcript:Dexi1A01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPPLEWLDKFVSKALGKCNGRDRWRRERLDYSLAYPPGAPTEIHYVQPVARTVTFASNNSVYVIPPSPPPQPKQQQSPPQPEQPQTPPQHEPEPEQHHNAPQSQPQPQPEQPAAEPPAETQDAPPPPSEPKPPKGQKRGKKKHSGRVRFGPEPPPPQQEEQSPQQQHEEEEHAQGPGDSGGNNAPDHQQQKEPHGAAPAPPPAHQGHGYLLRYTPSPLPRWEATPRRHEYFSGEYRSYYPTPVREGIYRIATDANRLTTIFSEENPNACTIV >Dexi5B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:5B:21592912:21593798:1 gene:Dexi5B01G0019280 transcript:Dexi5B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRFTFKRVSRWFPRSSASGGLQEDEDSSERSGLLRSHMDHQVVPVMDLGDTSMALALHVEPKTVPVMDLGDTSKALAVHVEPKTVALKVSMHCHGCAKKVQKQVSKLQGVVSFKVELESKKVTVVGDVSPTDVLESICKVMKHAELLVA >Dexi1A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:1A:12448398:12460104:-1 gene:Dexi1A01G0012460 transcript:Dexi1A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKICSARPFIFKFSIDIYGSNKFSATAVLLFRYLRVESVVELRPPGSDRGQGKNEAAAWRELERDHGRNEVGKGMTGRDGAVGGAGVAGGAMAAAPGIANIKWCGIDGDENILVIDLLGPSLEDLLVYCGRRFSLKTVLMLADQMVYVIDFGLAKRYRDSATNRHIAYRENKNLTGTARYASRNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSYPVEFASYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGYQFDYVYDWTLLKCKQSQKAKAQQGSSVGTSCSWSYNFAWYFCFLTQDAGVSSRPVTTNTDKHQGSRFHTLFYICVARPVEASGLLEAEQRPAIRMQFKSTAEHSRSKNLHPDRLRVAASSDNALLQSATRVDAPRNNATTSRTHGSIDPRTRESYSPGPSNCI >Dexi3B01G0022890.1:cds pep primary_assembly:Fonio_CM05836:3B:17602190:17606402:-1 gene:Dexi3B01G0022890 transcript:Dexi3B01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTASTTTTCCSSTSPGPHRRRQLNDIERDAAAAHDHDCPCSTSSSSCCGGGGAIADHLHLNHHHHHHAVLCAHDDAECGGLHGHPATPLAGRAALRRKQRAGGLPGRQAWMRGIVLCLLGLVAVIGFLGSHRGGGGSGGHTAATGAGGDGADDDDGVGRLVQKVEVMTDADAMGWTEENLTALARRPPDPPVRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVDRFKETLKEDIVIVDSLPPDFRRVKPYVRAPTSWSRASFYRDFSKILRKFKVVRFTHTDSRIVNNGLAPSLQKLRCRANYKALQYRKEIEALGNTLVDRLRNGSEHYIALHLRYEKDMLAFTGCNHNLTLHEAAELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPATTKIYIVAGEIYGAHSLDALKAEYPNIYTHYSLATVEELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMTWREFQIAVRKHHENRLGGPYDRLRGESPRQEEYFYSNPIPGCLCRRVQRSR >Dexi9A01G0027720.1:cds pep primary_assembly:Fonio_CM05836:9A:32389436:32394513:1 gene:Dexi9A01G0027720 transcript:Dexi9A01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAVAAHGPNNATANVAAAASFYSAASGVYASTHPAVRLPTDPSLSLVPHVLARLPASRPDAPAFVDAATGEAVSRADLRRLVPALAAGLRLRRGIRPGDVVLIALPNSVSFPVAFFAVLAAGGVATTMNPCSAPAEIAARVRDTGAALVLAAPENAGKLPPLRVPVVLVPETFRLDGAPELAPFRELLLLDSDLPPPPVGQDDAAAVLYSSGTGGRSKGVVLTHRNLIATVELFVRFEASQYAAPACDNVYLAAVPMFHVYGLGLFAIGLPTLGSTVVVMKRFVVGEAVKAIDRFRITHFPMVPPIMAALVHAAEPPALGSLVQVSTGAAPTSGRLINDFVKAFPHVDLIQGYGMTESAAVGTRGFNTSKQKKYASVGLLAPNMHARIVDVETGCYLPPGSCGELWLHGPAIMRDGYLYIVGRLKEVIKYKGFQIAPADLDAVLVEHPEIVDVAVTSAEDEEAGEIPVAFVVRKSGSSLSRTQVMEYVANQVSPYKKVRKVVFVESIPRSPAGKVLRRILKDSLAAANAVAGPTSYSTSKRHSRL >Dexi2B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:2B:29346290:29350894:-1 gene:Dexi2B01G0019180 transcript:Dexi2B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPWLVHSIFLLQLCLLAIWDWELATADELPAQRSAGCQSKCGNVDIPYPFGIGDQCAIHHGFDINCKAVDGTERPFKGPFEVTKISVADAKAWMKMNISWRCYGQTDTRNMREYMLMQNFINTPFRFSETDNKIFVLGCYTTGYMTTLSINHKNIVKLFGCCLEVEVPMLVYEFIPHGTLFQLIHGNHGRQISLATRMQIAHQSAEALAYLHSCASPPILHGDVKSSNILIDSDYAAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPLNLEGPEHEKSLALRFISVAKEGKLEEILDDQIMNDENMDVLEEVADLAKQCLEMSGENRPSMKEVSERLDRSRKVMSQPWAQQNIEEMESLLGESSMASSEVLNTGNLSIEKAAAKGLEWGR >Dexi9A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:9A:11705732:11709152:-1 gene:Dexi9A01G0016670 transcript:Dexi9A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLVVAVLAAAVLASSLLLRWNEVRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRRMLASEGAGFLPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALTRPTMIRAALLPKIDAFMRAHLHGWAGRLVDIQEMTKEAELYTLVLGTFSLPINIPGTNYSKGLQARKKLVAMLRQMITDRRSSGCAQDDMLDVLLSGNEGTRAKLSDDQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKGKSPGDALDWNDYKSMTFTRAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPYLYPEPMIFNPWRWLEKGLESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTRYRWEEEGNNTISKFPRVAAPNGLHIRVQDY >Dexi8B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:8B:24637921:24638389:-1 gene:Dexi8B01G0014230 transcript:Dexi8B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSYGGGQSSLNYLFGGGGDEAPAPRAKPAPVAASPEQRVPAQPPAAAVAAPENEKLKGIPAGVRASQTNNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >Dexi4A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:4A:4249164:4249388:1 gene:Dexi4A01G0005940 transcript:Dexi4A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGGIAVPLVLFGIGGGGGVLVPSNRLLWIGICLLPVRGILRRLTVAKPPAASTLLASPAATAALIAQRVR >Dexi4B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:4B:3158208:3160566:-1 gene:Dexi4B01G0004420 transcript:Dexi4B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASATATATASACECEIGRLPEEILWAIFARTAPRVACRAAAVSPLFRAAADSDAVWSCFLPRDLPPLAEGELHPPPAPAPSSSKKQLFMRLSDRPVLLADGLVSMWLDRESGAKCYMLSARALGIVWGSTPQYWRWIPLTGSRFAEAAELLDVCWLEFDGKMHTQMLTQNTKYAAYMVFKIADHNYGLGSPIQEAIVEIGEIRSTRQVCLQEGVFSPDTHEHVQFPQERADGWMELAMGEFFNEGADNGEVSIILSAKHGGHWKKGLIVHGIEIRVNPSGSCQSDGSGEPDAHPLNSFYKFVRLWEFKFDVNDLKLRLTRQS >Dexi2B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:2B:24450111:24452193:-1 gene:Dexi2B01G0014610 transcript:Dexi2B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVLLFLKPFDVFPPRPYAGAAASSPTPALSPPPPELRAANPKILVATLDGTRCPMELSRISVKLNGIQLPTYALNDILVSHPCPASVSRFSFRKIINTGENSHLINCRSSGLRVSTAAGSTAAMLSAGGFVMALSSRELQYMIREPISPTDGDKPLLHGLVKPEQHMLVVWYNQEGAVYVDGSHVVHSIQHGDTLEISSNAPTLKVVLPEHLLKNASK >Dexi1B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:1B:2631889:2632111:-1 gene:Dexi1B01G0003310 transcript:Dexi1B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLAASEGGGGGATAAKKPAERSCMDARRRETAPGRQLGAPRRDGKEQARGAGGDGMFWKAEHGKPLE >Dexi1B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:1B:24327947:24329902:1 gene:Dexi1B01G0017990 transcript:Dexi1B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPVSRRVVALCLPLLPLALLAGERAAAVTLSTSSRWIVDQAGRRVKLACVNWPSHLEPVVAEGLGRQPVGAIAGMVVSLGFNCVRLTYPIALATNASLSSLTVRQSLLAHGLAETAGGVEAVVNALGEKDVMVILDNHVSKPGWCCGNDDGNGFFGDRDFDPDLWVDGLTNMATTFADVPNVVGMSLRNELRGPRQNPEDWYTYMERGAEAVHAASPRALVIMGGLSYDYDLSFLAARQVSVSFAAEGKLVPEVHWYSFSDARAWEAENANEVCARASREFARRAGFLLARGLPLFLSEFGADLRGGDPRDNRFFPCAASVAAEHDLDWAYWALQGSYALRQGVAGMDEAYGVLDWSWSKPRNVTVLPRIQSLQRPNQGPGYADALPYTVLFHPVTGLCVVRSGAAAATLELGPCNETDAWGYAPPSSTLVLRDAAGLPCLRAEGRGQPARLGTKACGDAASTWRLASDSGMHVAAVEMGGGDGGMVCLDVGEDGRSIVTNPCACLRGDGTCDPERQWFKMVTSTRRVTRRPPADTIA >Dexi8A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:8A:2398140:2401396:1 gene:Dexi8A01G0003360 transcript:Dexi8A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLLLRRRPDPAPPMPPPAPNGGKVTPNLAMDADATRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEVNGIWFYKQQDCEAVASLFGRILNAYAKVPPKPKVPTRKSEFEELEAVPTSAAIDGPLEPLPASTAPVLDAPDESLINYFSGATTIGSVSNTPLAGRGHMSTESAASSNVPLIIPSVAQIHQPPPSVPSSAPPLPIQDANTHGSRSTNLVTPAFFAPPPSSSTPLAPPGSSMMPTAPPLHPPSASAQRPQYGTPLLQPFPPPTPPPSLTPAHNERHVVTRDRVKDALQRLVQSEEFIDLVCRELQKSLV >Dexi1B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:1B:11190041:11191255:1 gene:Dexi1B01G0011140 transcript:Dexi1B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFSPPPLVADGRKRKKSGRRGGDIIDDLPLLLTDKPVPHLLVTEVIYTPLSRNHNPSNSKFPCVAFNRRGSIVSLPLDGSVVVSNNKPHPASTAAINPRGRLADLYRRCLSFIGATSHGHLAFAGSNAVFLVNPVTDTLQCIDTVGYSHKAVLANGGRHGCNLFVSLGALLSPPTLWRLDKDGDRWSKYTVTVTAGEHTGGDVVSAVNCGNNGCFYLLHEDGCVSKVDAVEPPPLRMEKLPVASLAGHFSPAFNKTASLAGEGHLVESSHGEVLFVRKLLAVREIVEPFCAHTEFADVVDFEVYRLDVTKLRWEKVERLAGGEAIFVSPESAFTVTETAAAGCKGNCVYFVGEKRCCFACRVYGGSTWGAYSMDDRRVLFEHAVASKGGRSEALWFLPSVV >Dexi5A01G0030340.1:cds pep primary_assembly:Fonio_CM05836:5A:33333976:33335140:-1 gene:Dexi5A01G0030340 transcript:Dexi5A01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVLTDGGDGGDDLAELELVEDGGLTSGIKTDHEDPHLLLGEEAAEQLPEREPHLFLCQQHKIRQVSGFQPTARRSTATRFDSNARHPVPNAPGGQSNRAHPTEILREWIPGYEIQSKARDRIGFARGESREERTNISADASVHPKLKHAVAGRNTAPDRRPGDPVDQIRGRNSGEAHGRENSPRRWRTPSPAAARAPSHFFAPSPPGVRRSAAERVGVVERRRERAGECDARFYLSVAAVSAVEREPAVDDGSIAPDRRDALSAGGWGPIWSRVSAGTPLQPGKLLLLVV >Dexi9A01G0036100.1:cds pep primary_assembly:Fonio_CM05836:9A:40654324:40656298:1 gene:Dexi9A01G0036100 transcript:Dexi9A01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRELTQVEVGDLPEVCLAHAIALTSPRDAADSDHASRRRPARMSTLNLCDAGAAVPVDGGNGMRLWWLDKASGAKCYMLSARALSLPWDDGEFSWRWTPHPLSRERRAPGLGRADRVHVPRHLRSPPGRAELTPATSYAAYLVYGVAEGHRGLSYPDQETTVAFRGARAATAAARHAVCLHPDEAAARKFRAVSRGAGEEEEPRRPRLREYGWSEMEIGRLRTPAGDGGDEVVVSFEVLGWYPKRGLIVEGVEFRPVVS >Dexi5A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:5A:400370:403523:1 gene:Dexi5A01G0000580 transcript:Dexi5A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYLCLDAFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGIVLMLFWVKNKIW >Dexi8B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:8B:10952317:10955439:-1 gene:Dexi8B01G0008300 transcript:Dexi8B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAPSTVVPTDAELLQAQADLWRHSLYYLTSMALRCAVQLGIPTAIYHNGGASTVPDLITALSLPATKLPFLRRLMRLLAASGVFTVDNSGEEAIYRISPTSYLLVDGVADDGHINHSSIVLSATSTHCVEAALGFADWFKKDVVTPPFKELHGATLFHDSMEDIDEEYHRLANEALASHDNFGIDMALRQFSDIFEGIQSLTDCGGGDGTTARAIVKAFPHIKCTVLDLPEVIEKIPADGVINYVGGDMFKFVPPAQVVILKEAMLSSDTGLLPLEM >Dexi5B01G0020940.1:cds pep primary_assembly:Fonio_CM05836:5B:23189220:23190050:1 gene:Dexi5B01G0020940 transcript:Dexi5B01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQCQYDGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGGGAASCSSTGGDAARRVIAGEDYNQEVGDDDDHEERGALLEEVQRLRKEQAAIGEELAQMSRRLQATERRPDQLMSFLARLADDPDGVTRHLVEQAAEKKRRRMGLSAQPIVSPLPRPLPPAPPPPPPVMHHHPMLAALGGGVVGCDEWQWAEQKPAIVLPSFEPNTPSYCGVQQVPDFGGGGGGGGMGLIADDTAVETPFPFCLLGQGFF >Dexi3A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:3A:1479635:1480074:-1 gene:Dexi3A01G0002230 transcript:Dexi3A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEERAKEQSEILRIKREGAALRKRTPAEEAEIRRLKRDTKLFAAAEEEPQPAAGNKRRKKVIKKTLLPRAAIEHLATYSQELREAYFEQKAIIDNVLEYQRALIKQFHKKGYAEDYKEIEVTDDEQDN >Dexi4A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:4A:12926129:12926641:1 gene:Dexi4A01G0013430 transcript:Dexi4A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQTQDPNEQQPETPRVVSERKTSTTATKTKPQHQKRKAGQRRRRRRLGEASRGGDAATSGVGGYKRYQVHPELGMSGNTMRLLDMMMADMFERLAVEAARLFTTATTRRTRGPRRGKAGVGGGGGGRRGEEDGGAVAGGRGGGGGRRDD >Dexi9A01G0033950.1:cds pep primary_assembly:Fonio_CM05836:9A:38793447:38794821:1 gene:Dexi9A01G0033950 transcript:Dexi9A01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKSSTPATTEPLSPEAHGTTRRAPQAEAAKKHHLPLLPSPEKKKKKPTAAAAGLPDAILGDIITLLPTKDGARTQALAHRWRHVWRAAPLNLDCRRRGLPEDDDDDEAIAAAISRILSVHHGPGRVFCVPAHHLHDRPAAVDAWLRSPVLDGLQEMELCYPRRRPQLDHPPPLPASMFRFSATLRSATFGQCHFPEVGAAAVQGIRFPLLRQLALVRVRISEGSLHAMISSSSCPALECLFLDSSHGFRRVRINSTTLRIIYVRTDYYGPDLHFVELVVEDAPCLEKLLCAERIGFQVSVMAAPKLQTLGSLSNWLSSWSSPRHVFGSTVFEFS >Dexi3B01G0023650.1:cds pep primary_assembly:Fonio_CM05836:3B:18428923:18430883:1 gene:Dexi3B01G0023650 transcript:Dexi3B01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHAALMLSFALAEDTLFSSLSPVIRERRRLPLHSASPPHAEHSTAATTAVSLPLLHTIMSSSSAGVAALPRPLLLPATPSISPLRSTVPCCRPAPPLATTGPRHDRVVVLDPTQKWGHGPVPRPLVQPVGWPNTVRLELGKDTTRLAGPTVVAVVCQRKEMRGHAAMVGSGKEACLQDAMETNEKRAGAALDTLKKTGAPNYASRDN >Dexi6A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:6A:28714625:28718609:-1 gene:Dexi6A01G0021490 transcript:Dexi6A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPSSSSSSAPPPAAAAAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATSGGYWKTTGKDREVRHGPRIVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGDEAPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEGDAVVDHEVPPGPKEIPGALEKGYLQMSDLIQGLGDQNGNGTIGLPVSDTSNNSNHSEDVDGNSGDILSDPNLGSNFLQYVELGDQNSLMLNENMISNANAGDFFNNSSPSDGFLELKDFADAANLDYPLGNESTVWPSDGWAWMTPDSLETVNGANNDIPPLPDDQTFQPDELEQLLQSIQADSHLGSSIIDPPHSSITNSVMPEDDSVMFYDAPFDSTVYDDGFRQMNGILSSPTTNLSGIGMVDDDGQAKSSAIGKGFVKILDSISAPPAFASEGFSANLHKSLAPISGARPNAIRVSAEVISIGSLAVASGPDKWALQKERGMELLITDFEPTRMHCGCNAITTVLRGGFCLVFFSAVMLLVSYGVGMCIYGK >Dexi5A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:5A:24732740:24732943:1 gene:Dexi5A01G0020860 transcript:Dexi5A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTGFRPDLMAMMHPIPTGANPSMFLPSMPPPQMPSPPAPPLQQHHFSDYALLQDLFPSTMPNNP >Dexi4B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:4B:12514760:12525144:1 gene:Dexi4B01G0013610 transcript:Dexi4B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGFNLEEKTEDNGQVGDVGRTEEGKLEKKVERLAGVDGGLGDVGGEGRGMQEEVLTGEAIGGDGQKDVHVNLERGLVVHGAIGDGSSGEEEDEFDFGDGDGHSDREYPEEDLDAEEMRYGVELRTSPFKGVMGRQLVTFQAALAVRRGLNYSGAQKEKVASFTGSSNQRARVERRSGFSGGGHDGGGDPSAQSSLPRETKEVLSRQVEDMVVDESLPDLSKAADDVSKRNNDRVPGLNSYMGSSEGYRSTGASPKVSLHARQQWAKKAGCDGSQRKDHVKSPRVVVEIQKQKKSKTSHNPNVLVETLNSLGVEVQHGDLGMGDVAAATDAGEQEDVLHTGAAAVQREDDDVLHTGGRRLARGGGRRGAHGRLPPCWGRMTTCCTWEADARRVTVEEDGREGAAAAWGAGSKAPGSLRASGIQSGRRER >Dexi8B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:8B:4149651:4150391:-1 gene:Dexi8B01G0004520 transcript:Dexi8B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKPPTSAAAKERKQEQRPKQRDEEGLHLLKLLLQCAESVNADDLDDAHHTLLEIADLAPTPFGTSTQRVAFYFADAMSARLGLYAPAYSPPAAAFEAFNDINPLVEFSHVTANVAIQEALEKEERVHIIDIDIMEGIQWHGLFHTLASRPGGPPGVVKLTGLGASMEALEATGKRLSDLADTLGLPFEFIAVAEKAGNVDLEKLGVTGREVVAVNWLHHSLYDVTGNHSNTLCLIQRSLAFIL >Dexi5B01G0031190.1:cds pep primary_assembly:Fonio_CM05836:5B:31996010:31997387:1 gene:Dexi5B01G0031190 transcript:Dexi5B01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNVLLLVLLCSTFGFLARRAAGDYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCFELRCDNDGASCLPGTITVTATNFCPPNYGLPNDAGGWCNPPRPHFDMAEPAFLQIAQYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSLLDGQALSFQVTASDGRCVTSNNAAPAGWQFGQTFEGGQF >Dexi9A01G0038530.1:cds pep primary_assembly:Fonio_CM05836:9A:42738493:42739763:1 gene:Dexi9A01G0038530 transcript:Dexi9A01G0038530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRPWATGLSGQLQKAFVTGMPFQLPIYHIWGLIILVHHNIIIFPGVPSLKRSELETACEDFSNIIGSTSSCMLYKGTLSSGVEIAVASNLVTSAKDWSKGNESQFRKKITTLSKVSHKNFMNLLGYCEEEHPFTRVMVFEYAPNGTLFEHLHIREAEKLDWMARLRISMGIAYCLEHMHQLKTPVAPRSFDSTTIYLTDDFAAKVSDLEFWNETKGHNSTSGDLTSSSELENVVFKYGIVLLEILTGRVPHSEEDGPLEHWASRYFDGEMQLEELIDPSIGSFPEDTARALCEVARSCVDPDPKKRPRMAEVAGRMREITALGPDGATPKVSPLWWAELEIMSSES >Dexi9B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:9B:5747791:5749544:1 gene:Dexi9B01G0009310 transcript:Dexi9B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERRMDPALYKAATQGKVASLKQLVDPENPSVLSSTTPQLNTALHLAAVHGHAAFAGEVLDRDEELLVAKNDDGDTPLHLAAKAGKLEVAQLLISRAIAWPLDQKSPLIMTNKAGNTALHEAVKSRRAAVAEALLDADPSRAYDLNEQMESPLHMAAREGLVHVVRKIFDFTWVEAEYVPSVAVSGTALHQAVLGGHTKIVDMMLEKHSWLLEQTDSDGNNALHYAAQKNNAQVVELLLTKQAQLAYKPNRERQSPLHVAAHYGSTASIRALLRHCPDVAEMADTSGRNALHVSVVSGKTNALRCLLRHVRPSELLNRVDNEGDTPLHLAARMSRVQSALLLLNDRRVDPCVRDRDGQTARSLVEMKLRTGEMDAYEMYLWKELKQQESKRCRKQQLPPLAPYPGRRASNEKYFECIIETYILVATLIATVTFAAMFSVPGGYGDDGVAVLSRNAGFKIFVISNTVAMCSSIVVVFCFIWAWKDPVRFKVDQLLWGHRLTVIACLAMLVSLMTAVFITVAPESRWPAYVVIVIGMSTPAVVFLMLGKEVIFVPM >Dexi7B01G0024360.1:cds pep primary_assembly:Fonio_CM05836:7B:28728623:28728946:-1 gene:Dexi7B01G0024360 transcript:Dexi7B01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFQRRRSSSSSRSVLVFLLLAATLLLLATCFVDGARGAGMAAKTRSDDDDSRPRPAMAAMSGSRAYRYPLRPPKVIPPSGPSEGHNSVGPAESRSRREEDRTTP >Dexi6B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:6B:22293779:22295897:1 gene:Dexi6B01G0014870 transcript:Dexi6B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding FALIIMVDKNVEKDYVIGESPSDKLRHNFQLGDITWVKPKGSPWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTGQYMYVDPWKCNSEFELMLKQENKSAMEVFREVLEKVEQSSSIVYTEHATSKLEDQDIRSSATRVTARKRKGGRVRQSSSTHDAIDKASSESSAEGLRNKRKKHAAQNASVGRREGLRRSGYTDAKQYLDAAGDSTELLTDINASEDATEDSMLNEISASHTEIKAMVRDILFKDIIEREHDAEMAYVDEVINGICDATEDNMSGGATASMKGGRGVKQSGSGVEGESSNVRQRGRDEATSSPASRKGNTDTTHESSGEDTGKP >Dexi8B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:8B:24069351:24070183:-1 gene:Dexi8B01G0013680 transcript:Dexi8B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVSGSASKESPVPGCDCRCSTPTTTEPCKSPTGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMVMRPATVNGMQPMQADPAASLQQSASVPADGRGGKQDATAGVNTEPSGTESLKNTTGADHEAGGDAADKS >Dexi6B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:6B:13618800:13619176:1 gene:Dexi6B01G0009320 transcript:Dexi6B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEDDDVEPRLRAVQGYYFVDDDDAPVSFDVLPFQFDAAEEVPSFKKDVYLRGLADGGLQNVYKQVVAWKLCLSGESPEITVLCTEGNWITLLKPRPSYEETVRSVLEPHGL >Dexi1B01G0027640.1:cds pep primary_assembly:Fonio_CM05836:1B:32311260:32319235:1 gene:Dexi1B01G0027640 transcript:Dexi1B01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPELSPPATTATFPAAREGNEPHPSTTSSSLFPLFPLAASTSTPTAESQWLSNPSFSFDASSLNIPAANSSPLPTPLSPSSDDDAPPLPAPSKYELVPSESDSDDERGSRRKESGRRKRRREKERYDGAAVSLKAGVRAWAGSETKPVKDYYVDARGDHDNLAFGSLYRMDVARYRSQSMMEASGFNRSMFLNRRFVSSHIELDSDLDGLDKKVRAGGRYYSAKNAVFERNKGFKHLKVFKGDTSAMLAEDFVPFDAQSLPVKSTTMEQELEESWEDEILRRTKEFNKMSRERPHDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTENLLGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVDENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLHLTTSSKQRLFEHFWNSGGARIGEDGAIGWSAWLAKDEESRQNFVAQENSQETETGGWSGWFDPSVGNMDTNESVEPPSTDGNAAEDPDDEDTPAQDDIESLLKKLGIDVDTESNSEVKDAETWNRWASMELSRDNEQWMPVHEKSGNLRIGSLHSGDAPSGEDNDQLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMITDDILEDLSAVSDIINKNQDSYSSKFESLLGSMHDLSQRPGLVKFLRNAILLSLDVFPRNHVLEEAVLVTTEMYTGKENSISTTNTSRALAKNLLKKDRQDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTQATSEDLRKKVSILYLWYAEMEISVSTSRNNSDSMHRAIYILSCLGSNIKYAPFTGPISRPQVLRARQGFKEQIRGLRYAFASGGIKEESIALVCSASLFESMTSGYSSGLEVIEETFHMAFSGLAFFSFPYLNDSSHNLEFEDLWVYYIKLLQKNLNQLNLSRVWPSVSQGVHKYPYNPKSYSAMLTLSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWSKTGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKAGNASAARRVFFRAIHACPWSKRLWLDGFQKLSSILTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >Dexi7B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:7B:22065007:22068698:-1 gene:Dexi7B01G0016070 transcript:Dexi7B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMDLEEALAKFCSAHNKVLLPCLSSSGTATKILSSTTTVGLSAASLVLHANLLAAVLKLCRALSGVCRLVALSISDLQLLGAGGPHVSESETATRKPKPATNGNDNEPAARRRRPVLTIALSPAAAVAARASLLPLPVSPPPNQPANPLAGRRPPPRLQMELGTSAARNFPSPASGRGTVPSPRLLSHHIWSRSTQCAVSSSSSPAGPVDIRSRFSARMTTAHNTGTPDLVDFNWDVLGFQLVPTDFMYLMRCSSDGVFTNGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGLILLFRPHENALRMRIGADRLCMPAPSVEQFLEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPIHNKFVEEVSSCNIFMVKV >DexiUA01G0002370.1:cds pep primary_assembly:Fonio_CM05836:UA:5338476:5339027:-1 gene:DexiUA01G0002370 transcript:DexiUA01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSDHAAGSVAAAAAAAAAQAQGSDFDSIDPLFHVLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFSLILLTYFAVVSGLVYDVIVEPPGIGSAQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGIGIILLDLAVDRTRPRSLRVSFGGAVAVVIAYAMAMLFIRIKIPGYLW >Dexi9A01G0022940.1:cds pep primary_assembly:Fonio_CM05836:9A:18103880:18106858:-1 gene:Dexi9A01G0022940 transcript:Dexi9A01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSDLSSDHEATGSSSHGGDIASYALSPLFLAPAASATAPPPPPPPLAQPEEEPPRVGTKRKRSQPGNPDPDAEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTGAGGRQGQGDAAAPPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVQSDWKAHVKGCGTREYRCNCGILFTRKDSLLTHRAFCDALAEESARLLAAANNSSTITTVTNSNSSGDFLFPNSSVVTASPLFLPFPNNPPPAAAAAAQNPNNAVYFLHQELQDHPCFLQPRMMQQPAAPYLDLRHVEATIAAAGGGGIVAADDTVNFAGLAPNGSLALRAGSGDHLRLTRDFLGVDGGGHHHQVEELQLPLYATTAAATVSRAASCATDLTSSRQYLGERLPPVNETTWSHNF >Dexi4A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:4A:9790270:9791125:1 gene:Dexi4A01G0011600 transcript:Dexi4A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVAFILVSCAAVFLLAGSASATWHAQVFVVGGEPRGWAKPASPNEEETYNHWAARYRFHVGDFLHFKYDKNDSVLVVSSDDYKFCGADRPLQRFDGGDTRFRLDHSGFGYFISGAPGHCDAGQRMAVRVMPQEGSTRPAPVPQAPASAMSPGGGEDEGGEFGPPWGAGSDNGGGGGSSSKPGHGSGGLGSASASMAPPHGVLGANGNKTSGAAASSFDGGGWSHVVVRAVVVLGAALLSLVVV >Dexi6B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:6B:4016838:4018358:-1 gene:Dexi6B01G0004690 transcript:Dexi6B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEAAEGSRSRRRMDLNLYLGLPPLPRPPGRLDAGLDCPSLIANPTGPEPQAPEMGEPEESLAPVAAAAAAYSPSNALSTPEEQPMLDPVVYAWLDGHSTDGEEDTDAPDHGANVSQPLVAVSQPLMADSGLEGDDLTPWVERSVRPSRVASAAAGGGDHIGGDGGGVVIGGGGGVVGGGGMEMVTTSMMRRSLQLQGLAPIEAATPELRFQRVIQISQQHSIVRSGSSNRSQRAASPEADRLVWAIQRTHNSLEAARRQKLDGDKVCGKGAAKDGCCECSSSFECNICLDPAKEPVVTPCGHLFCWPCLYQWLHAHSAHSECPVCKGEVLEVNVTPIYGRGGEEGDSANPDMPPRPRANRRDSLRQQLQMTDTRGIATVVRQLIENQGIVRGLPSPAVAEMTNRQAAEITSRQRARIRRQQRQNNNASSSIPATAIMLNTGNVSSESSNQIQLPPSNANITAPVAPAAPRLYTAPVILGEPRSSRRSRPSSPITRRTRRRPQ >Dexi1B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:1B:20397638:20397832:-1 gene:Dexi1B01G0014180 transcript:Dexi1B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSDRRLNWSNEEDIRLVSAWLLNSINSVNGNDKKSDQY >Dexi5A01G0028730.1:cds pep primary_assembly:Fonio_CM05836:5A:31950875:31953682:-1 gene:Dexi5A01G0028730 transcript:Dexi5A01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVSDNDVRNMVLSYLMHNCFKETAEAFLSSTGLKLPVDYSVNVDKRKAIFNFVLEGNALKAIELTEELAPNLLENDMDLHFDLLSLHFIELA >Dexi7A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:7A:19815189:19819472:-1 gene:Dexi7A01G0008560 transcript:Dexi7A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSRLRNLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGEQLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGKVIATRQDAVGGMKCKREGFLQAIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGEYFPGVVNPLDRAQWVQHSSYGMYQYFIKVVPTVYTDINDHIILSNQVISFSFPCVDKYSHDADVHVIESGRIQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >Dexi2B01G0029850.1:cds pep primary_assembly:Fonio_CM05836:2B:38189592:38191862:1 gene:Dexi2B01G0029850 transcript:Dexi2B01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVTRFLYHLALFLFLVQLTYPALASKIKNHAALKPQPSRTHIVHVNHLAKPLHFATLEHWYTSMVATHSPRPVADHSSRILYTYDTVMHGFAVKLTADEARRMSDAKGVSGVHEDRQLHYKTTRSPGFLGLDPGFGAWQDTDSGDGVIIGIVDSGIWPESPSFNDSGLGPVRPSWRGKCVDAGDFNANLCNNKLVGAKAFTAGDDASPLSPRGRFAHGTHVASTAAGSEVRDTGFHVFARGTARGVAPKAKIAMYSLGNFPSMSAAAAAIDAAVKDGVDIVSISLLQEVVHPFYNDTLSIATFGAERKGIFVVLAGGNYGPTASKVFNLAPWMTTVGAATMDRQFPASLALGNGIVLVGQSLYRTSINHSAVYLLVESSCALGDLTPEKIMGKVVVCTSSLLDNETKLREAGGAGLVLVDGHTLTRDGISLSEQLMYTLPAVFLSHTDGEKLKAYMASSRYPAASFSIACKTVIGENRAPTVWTFSSRGPNPVVPELLKPDVVAPGHNIVAASRGTYTMASGTSIACPDVAGVAALIKKKHPDWTPAMIRSALMTTAGTLDNTEREIIDNAVFEGRGITVATPFAAGAGHVHPQLAMNPGLVYDASARDYVDFLCALNYTTEQLRLFAPDMATCTRGLTGGAAGLNYPSFVVVFDGRSDVRTLTRTVTKVSLEPERYEVTVSAPEHVKVTVTPATLEVKEQYEKRSYTVEFRSQATAGDTKAAEAEWEFGHIIWENEKHRVRSPVAFQWKTSN >Dexi9A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:9A:13801276:13801722:1 gene:Dexi9A01G0018760 transcript:Dexi9A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAGFHGRHASSESVEETAAVRFLPTVEAGGGVREALPEYERGVDELPPESRRPPERAHRRQRGGGVGDGGMEEDVASPRRRRRRRVGGGGSNHATLALESTEPSRFRGSLEGPNRIVFTGRFSDPLGRTEPSIYSGEVRGDGAGG >Dexi8A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:8A:30448250:30448635:1 gene:Dexi8A01G0018110 transcript:Dexi8A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWAAMAAGSVEAGHRWGVGDPEGDADMAAGAVLAPRRATRWWLASPPMTPRRLLLLWTKRSRISQVALVVAGGGSIHPGVRGGGGGEAAGFVRSVTGHRVGDGEAFFVAPS >Dexi9B01G0047440.1:cds pep primary_assembly:Fonio_CM05836:9B:46528640:46531305:-1 gene:Dexi9B01G0047440 transcript:Dexi9B01G0047440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVVLREWFDRVDAGRTGNITAPQLQNALAVGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQSVFATQERGRGFLSLEEVYEALIKLSFSLDSPAFYSVCESFDKSKKGMIHLDEFISLCIFVQSSRNLFNSFDTSKQGRVSLDFNQFVYCS >Dexi9A01G0027290.1:cds pep primary_assembly:Fonio_CM05836:9A:31755246:31756445:1 gene:Dexi9A01G0027290 transcript:Dexi9A01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVAGILFLIATFLPKNTSSSPLHFATTTFGKAPDVVYALAICHGDILNDTVCGNCVADRFDQCYAGYYYYGDTCMLVYSVNDILAPYNTTAANGNDAFTRWNEKNIPGDAGEVQLIVGLKQELLVETVKKAADAEPRRFATGVMDSGTTFPPVYSLAQCTPDLSSGDCLACLQRLLGMVNSTMELRMGAQIHVIRCYFRYETYLFYDSLPMVQIGPSSAQAPAPTTPTTPAKRKEMMLKAGSKRTQDLLQGDGKNSDFSVFDFEQVLQATNYFSEENKLGQGGFGAVYKVI >Dexi4A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:4A:24912232:24913981:-1 gene:Dexi4A01G0021330 transcript:Dexi4A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAKRQRVVRREAVGTPSGRGLARRWRDLWKSRWPHRTSVEVRLSSPDAPRRELDALAREPRPRPRLGRFSLVVKDCKLKSPELRRFTDYAAECRVEDLYIGVRMGTRIEGLLHFPMCSPLLARLTLRRVGIINSPMYYTGAQPFRALEVIRLRSVSISERGFMYMMALCPASSLSTCVAATVTASSTSRTLPASSDKPMEGGSFDEVWEEPPEDDLDNPMEGGSFDEVWEEPPEDDLDNLLMVKVMNFNWHCSEVQLVGFLLRKASSLRKLLIVSPNVTPPPDLPCVESDFLLIKEALTNGKIILSESDDAGIQPYHSKVFIMV >Dexi7A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:7A:24894147:24895215:-1 gene:Dexi7A01G0015020 transcript:Dexi7A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRQREARKRFREANPERFPPQPAPAADGAKKKSKKNKKSMFKKVKKGGTGRSKHPLRVPGMRPGECCFICKSTDHVAKACPEKAIWDKNKGVQSLRAALSANSKGI >Dexi1A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:1A:23296757:23310990:-1 gene:Dexi1A01G0016300 transcript:Dexi1A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNRTLLYGGPYFSSAPSPTGEQGFIFRTGCSNRRPPPLGLTGYPDRFGAGIGQTGPVPNGLVNRTVFISLAWMVKSSHVGPAMLPSRRRADHNEQIPSWAKRARRETDTMRRDATGETGDASGWLTTRPHAYSATRAIKPTQVRRRISPIRPKTLTAARGAMPAAASSSSAAVDDPHLVRNTCILAHVDHGKTSLADHLVASGGGGLLHPKLAGSARFMDHLPEEQRRAITMKSSSVALRYAHPSTGGPHHYRVHLIDSPGHADFCSEVSAAARLSDSALVVVDAAKGVRVQTHAALRQAFVERLRPCLVLNKLDRLITDLLLSPDDAYARLRRILAEVNSFCSALRSGSYFSSLLHDDDGRRDGDDEDEDAFHPHKGNVVFACARDGWGFRIDRFADLYAKKTGASKANLLRGFWGPYYVDKEKKAVLPLRKESTGSAGAEQQPAFVQNVLKPLWKVYQRGLTPNSGEWMQRNVVSVFDLKVSPRELHSKDPKVSLHAVLRAWLPLADSVMEMLVECTPDPVAAQAFRVPRLMPERRVVADSDSGCASIVAEAERVRSCVAACSTSTTAPVVVFVSKMFVVPYTMLPSKGLNGELLNHNHQAQHSEQEPEESFLAFARVFSGVLRAGQKVFVLSPLYDPVKGDAAASGNKHAQEVELQCLYQMLGQDLMPIDSVAAGNVVAIQGLGQHVLKSATLSSTKNCWPFSSMTFQVSPMLKVAVEPSKPTDLGALVKGLKLLNQADPLVVYTVSQRGEHVLAAAGQVHLERCIKDLQDRFAKVQLETTRSAATAKLALPPPPSSNAAFPPLQTTPPLRLSYKLRLCIEGLPLHARQPETLKQLFHPDTLIECIDEPTSDKDNPDGFALEGDLNLYESVERDGHCPSLATRSFSISTTLPRPSCKLQLVARNSRRPLLAPAPLQQEPGLPPTTDGPANTTNIASATPTGLRPSPTNEPWVRPKIHHPATTPLQACCQIGRPAHSSRRPRLLSPFESAVGEMQATSRRMKRLKVTVSSGDDKCHHRHGREAGADPLPLIDAATGAARQRAPLHFPSPALPRQHDDDAAAPGYLPTSGPACSNTRNDASVSVSASPTWPTSPAPRVKLQWLGLDGEQVHHKAEKKKMKQCLDMYTGSAKQTAESALHDLLLNGAGVNSHQRVDELKQQAALAERVVLQLDQIQDQRQLTDEELSLRRFHRSDEQAIVDRVAARLLAWKRRLLNKAGRLTLVQAVLLAIPTYYMTDRKRQEEAIAWSVGVVFSGQGNWRATFWHDRWLQGKSPKEIAPDLYKLAWRKNETVATGLTNGRWKRGLRRLSTMDEVNQYVDLWALVHEVQLIDQLDGIAWNQTEQAVIYIVWNIWKKRCRRVFDNKELSVPQLVLLIKQDIHNWHTAHGFWEELQGSTQGRPIPFAANFKAVVFTPSSFSFPFLPLHTFSPVPSATRVLSFPSGAEAEPATGERRRLSRSSPATSIRQVYLPLPSLLFPSFCRK >Dexi1A01G0022250.1:cds pep primary_assembly:Fonio_CM05836:1A:28948994:28951703:1 gene:Dexi1A01G0022250 transcript:Dexi1A01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVFSSYLRPSPFTSGDLASAMSMDRWTGVVHIPLSPGGPFFRVAASLVLSPAKTLAVPRANAILFTGDRVRGTGDPAIERLSDAAHIARVLAGKLCGETNAWVVDAARFAGPFAVYRELVPSVDGSGDPKGYDSTGFPAATGVANILAHGIAEIQNKLMGCSAKDSSVNQHPAASLSSYCPPRTIILGFSKGGVVVNQLVTELSCWASESMKNSVDVSQLNQSYSPHNLLVPTSSSNVLSSVSEFHYVDVGLNCAGAYITDQVMIKKIGDYVLHTGQNLRFVLHGTPRQWSDPNRSWVRKEKDIMLQLLRDEATRCDGKLLLSEKVYFEGRPRSLLMHFEILEAMDIS >Dexi7A01G0023770.1:cds pep primary_assembly:Fonio_CM05836:7A:31679122:31690354:-1 gene:Dexi7A01G0023770 transcript:Dexi7A01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEATEPATDTAHDAGKSLAGNTSSSQGGTAQDAEPVAQEDASVEADMPVLTLLENHDEDTTGLVPDATGQEHAVPELEDVGSELPADSPTHEPLPTGLVEETAPEQAADQAEDALTAPNAQALLDVSPMRVTIPASLLVAADLDESPVRDPSPAPLPIAGGLDAEAGATETAPGKLAAAPLIVSAVRALPAPLPVAAEATGTAPGQLAAAPARGVAVNTAITNEPATRREKTGSQLPVYKKTWGDMGGAKKPRRSERNAGKDDHTLAKAEIMAARRNLESPALDAASGKVGRQSHGDSLPTSGKAHGLTATMLALIALKKGTQLLKYGRKGKPKFTPFRLSNAEVFTWGEECSGRLGHGVGTNVFQPRLVESLSICNVELIACGEFHTCAVTATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLDGLQSNASSGKLFTWGDGDKYRLGHGHIFTVGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGSYHVAVLTNAGEVYTWGKGANGRLGHGDVADRKVPTVVEALRDSYSKNTIPRLPGDTKNEKIDTKAAKVAPSSSSDMIRNLDVKAAKQTKKYDYSSQIPGALQLKDIPFISATDLQNSSLSSESLESLRDANEFLKQEVQKLQAEVNSLKQEREQKNTELQKSEAKAHEAMILATEEASKLKTAKDVIKSLTAQLKEMSERLPPGACDVKNGRMIGALPPETGRDNQMRNDPGSIQYPQTPASVASARFGGLPAQVHQASEYNGTVVVPQDGRGEHLSGFREFSAQQRANGGTIGYRHRADDHDRKETDRFQINLNNLNLRSSGSPNNQVEAEWIEQYEPDSSFSP >Dexi1B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:1B:1524786:1526059:1 gene:Dexi1B01G0001940 transcript:Dexi1B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVADPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDGTTGDLFRKPSVVAVDLRKEMVDYIVQRSETFIADALIESEASQAPENEMPDDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTKFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLAYHKEQCSFRPVTCPNDGCRAKVSVRCMDDHDAACPFKVLQCEQNCEKRLLRRDMDRHCVTVCSMRPMKCPFSCDSSFPERDLEKHCSEFLQAHLLKVLKVIHKKVHSEEELKELAHKIEKYDEHGKLAKALDARPLTNVVKDLEAKMKGEPSS >Dexi2B01G0022030.1:cds pep primary_assembly:Fonio_CM05836:2B:31695144:31702539:-1 gene:Dexi2B01G0022030 transcript:Dexi2B01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQLSAVVPAAVAAPSMHTTTRGRGGGVRSVRSKACAASGTAKTLRNKGRVDFTRRVSREQRVEQRAINRRNNLEWTDARNRSLLKMLREQEAIEMAVANYEKNLCAHEEQWSDDLYDGEVPSFVEIPFGLPAKPGEPCPTSVDVDVAFLPSIVVSLALFDGSKMIFACSGIPLPRGNDELLLTRFVTSAHLVRAFKVYRNRDDKFRVEVRLPDNITTDGFLGLYDDDIAIVTCLGLFDVCPIDLNLKEKPAAPSCPGDSLLAAGRAFVSSNLMTMHGSPCEVCDSTWIPDDQRMLKAVLGGPLIQKDASFIGLIYDFYDHGDGIVRYSFLSLELLCERLDHFEIFNPKHHFREYLLPKGVSSIVPSGFMRTINRIRSYGYPMPPPLVLEFNGRLLNRFEEHFGDLLAWKGYPYGHPISPPNERVWGQLPKQVVTDISRRVVSLASFDGRGVRSFACTGLLIKWHGSKTIRTVILTSASLVRSEDNIDKNLRIEVFLPPNQCGSGTLEFYNLNYNIAIISVKKNFIAVRAEDIFVEYVEKPSQKVVSIGRDTIHGLLMASTGEVKPSNKGSKVKCKDVRVSTCKIKKAGIGGPLINFDGNYVGMNFYDESGVTPFLPKHNIAQTLRGVINIPLPSERYLFSFIMSQRFELGQDYPMPIGVGGDSKENRWPVPKPYWYHGALDVDRYHVPMLPGRHLD >Dexi1A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:1A:5284267:5285902:1 gene:Dexi1A01G0006880 transcript:Dexi1A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTHYLYFLLALPLPLLLLNKLKRRGNGLRLPPGPWRLPVIGSLHHFHPSILPHHAMADVARRFDAPLIYIKLGEVPVVIASSPDAAREVMKTHDVNFATRPWSPTTEVFKVDGEGLVFARYGKLWRQLRKISILELLSVRRVQSFRGVREEEIGRLVAAIAAATASPDGEVVVNVSERIAVAIADAAVRAMIGDRFGRRDEFLESLAEGIKITAGLSLSDLFPSSRLARLFDGRIRRVEENRRKSFELMDYAIEQHEQRKAAMAADGAVEEEDLVDVLLRIQKEGGLEVPLTMGMIKAVILDLFGAGSETSANTLQWAMSELIRNPKVMQKAQAELREKLQGKPMVTEGDLADVRYTKLIIKETLRLHPVVPLLLPRECRESCKIMGYDVPQGATVYVNVWAINRDPGYWDDATAFKPERFEAGTVDFKGTNFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGEMVPSELDMTEEMGITVRRKHDLYLRPVVHVPPHVTP >Dexi6B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:6B:21863849:21869252:-1 gene:Dexi6B01G0014310 transcript:Dexi6B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCLAAVLLLLAAVLAPAGGHSDSSCPFHDHGGHGHGEPPHDHHHGHGHGHSCGGDHEHHHHHHHHHGHDEIRRLLPEEMAEEADLELESFGYDGHDHGHHHHHHHHGHGDIETSPMGVWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKAMVDALAVFGDHSFTLNLFSYHFSGGGHSHSHDHEGHNHAHEHAHAHSLEDLSVGLSILFGIVLFFIVEKIVRYVEDNSRDGAHSMSHGHHHHHHKRHDSSDKSKSDADGKEINQTEDGTTAKVSDENHHEPKATIRKVHLTELCFGCRVYKLSDMFTQAITPERSSSTSSKTTDGDPTNSENEPAPEKALSTDGSPISNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLKSSIVQLISLAMGMLVALGISLVE >Dexi7B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:7B:8599927:8600507:-1 gene:Dexi7B01G0003590 transcript:Dexi7B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSITQEIAMAVPAEPVWKAAFTADAPSMRKALAGMVDTLDVDGDGGPGSLITMKFNNPAAVGPAAMLKARVKVRDNAARVMCCDEVEGGDQVGMQFKSQVVQAKVEPAGVGGCVVKLSVDYERLDGTPLPPEDQAKLITGYVGLIKKVEENIVTHPGQFA >Dexi8B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:8B:20467217:20469768:-1 gene:Dexi8B01G0011420 transcript:Dexi8B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMAESSVTPRSAGKKVSYSSWVVEMEKLIEQTNPSMDMARWKQHSIYWVPEFIKGWTNSKAYQPQFVSLGPYHHGEPHLLPMEEHKHRAMLHLVKSSGKALWEFVAAINKLQMRLKPPTMSSLMKNGSAREINAMVQHILNTHPFEDGMDSLDELREAGIQFKKSDTKSIHSIDFKNGVLSMPQLELYDNTETALLSLMAFEWLHPDAEDDVASYVSFMDQIIESERDVSLLRSKGILVNLIGSDKMVVETFHTLTKKRRNKWRASFKNTYLSNPWVFFSLVVGFILLLATLLQTVYTVVPFYTEKG >Dexi1A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:1A:12649221:12649463:-1 gene:Dexi1A01G0012580 transcript:Dexi1A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVTVVPYPDAGNSNLARRCSSPSYCTGAYIVHTEHNHRLVQDTCATINNHQNCGCSRVEICNLIKS >Dexi8B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:8B:18812751:18819599:1 gene:Dexi8B01G0010320 transcript:Dexi8B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATADSYPESVSSCRPPTPPTPSPLPHPRATTPEPAPAVARGGSARRQLDFADADAGAGAGGDLEDGDDFLSAVDVDDIVRGYEAKRQAPPCVCGRGACAVRRDEQSGRWMYVCSSQPKCKHTALCEEASRSPESLPAVWSHPKTSNPCVFDTPINHVNEPRTPINNLNLQRAGVTTPVNVSPQAAIPKTQLYSTFQGAGVTTPINVSPQAAIPKTCRTPVHASPQGVGTAAMVKVSPQGARSNNQWPICQCTAGKCKILKGLNEDFYVCPIRKGQGACSYKVPVIDSVRQAQQTGDDNIMGGKHLKDSPVEKKAHANDVVQVGEKNANGSDNPVQYDDEWPTPFDVVDNEIVLTAQATTPAEVCQGSPSMLRRPMATETPTKPSMPPYNTRSPMTPRSGDVCFGCREKGHWVRDCPKRTPKNGSIAACLVT >Dexi3A01G0035330.1:cds pep primary_assembly:Fonio_CM05836:3A:40651432:40654016:1 gene:Dexi3A01G0035330 transcript:Dexi3A01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVALAAAASSSHTPAAAAPAMAKEEPKKEKKSKGKSKAAAAKETAAAAAAVPPARAAVVASVAAFLEAGGFARTLDALRSEADLEEGAWRSSPVNLEELVAKFLDSSNPTPVAVTEENNEQDKTSGDVAEEAGKKKKKVKKTDAEAGESEKRASELPAQEKPSENVGAEGKEKKQKKKKDDSSTVNAGSDEATETVKNDDQKPDGKKKKKSKKQEKDDDDVEVRLEKVELAITKKFEAAEKLNGDGDKSGQEEPKVQDDDDKNNGVVEKKKKTKDKSATEADDTKGKSSVVDTVKNDNKKKEKKKRKKSDPEENVQVEGKEVAGKDSVPKPEDENKSGMEIEEGDNGKPSNENAVTGKKRKLEEVKGSNTTEEDNTANNQSLTNGFAEKTGQDSNIKPSKRQKHSSEPKTVNAFQRVKLENVKFADERLQDNSYWAKGGADTGYGAKAQEILGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFENSDDE >Dexi9A01G0023760.1:cds pep primary_assembly:Fonio_CM05836:9A:19192732:19197564:1 gene:Dexi9A01G0023760 transcript:Dexi9A01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRKAAAATRDLLKLDAARVQKAGAAPMRNDAPAPAMRKLPGRPRAPPVPEQRSGAGPNATPPGGFTNFIQPNLSQNFIFGGEPSQYAPFRPPQTTHDVESEQEFSTPPSAKDSTTYVNVEDYEEVPRSEKKDLLDSRKICQDG >Dexi7A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:7A:19327846:19328980:1 gene:Dexi7A01G0008170 transcript:Dexi7A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELHCSVAGVFDPPYYEWFQFVGEVSSPRVWISGQDFLQCRNLDMCVSYLEELMIREGPFDGLLGFSQGAAVSAVLAGLQQQGLALTGVSKMKCLIAIAGGKIHAPVAAARAFAGKIMCPSLHFIGDDDFVKYHSEELVEAFADPLVIRHPCGHTVPKLGEIRLVKTSVND >Dexi6B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:6B:23569258:23574479:1 gene:Dexi6B01G0016300 transcript:Dexi6B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAQKTQWQTFRFRIAVLCFSLFFFPIPAGRRGRAASQSYPCGVQEGSGSFREMRRCRGDGGGGGVAASLAALLCCGCVMALAGAAAAQGPRLPSAYKTLTEYLNFISDGGFSVDGVLSDHPITASEAIGCFTNLNSSKTDHGAPLVISHNGASGDYPDCTDLAYQNAINDGADVIDCPVQVTSDGVLVCMSSINLLDTTNVQRTVFSSRTSIISEIQATIGIFTFNLTWDELSSVTLTRKFLLSVEYLETLSSLINLSFVLQNAAFMAESLGIDIVDSVNSALTAAGYDNQTTKEVLIQSKDGAVLVKWKQQKTKFKLVYTLPTDIGDASTSSIEDIKKFADAVVVDRTSVFTISRDFIIRNNSLVKDLQSAGLAMYAQVFRNEFVAQPLDFFGDETVEINYYAQSFNLSGIITDFPKTVRRYKRNSCTGLGKDMPNYMLPVEPGSLDQFLQTTNAQPPALPPMPTLNASSVEEPPLPPVASRNGTGGGANAPGAPASDAHMAILST >Dexi9A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:9A:15734685:15739201:-1 gene:Dexi9A01G0020650 transcript:Dexi9A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDRGLASGAEQSIRPRNLNITKKIMDHVIGGKYKLGRKIGSGSFGELYLGVNIQNGEEVGIKLEPVKTKHPQLHYESKVYMLLQGGNGIPHLKWYGVEGDYNVMVIDLLGPSLEDLFNRCNRKFSMKTVLMLADQLINRVEYMHSKGFIHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYSLPWQGLKAGTKKQKYDKISEKKMLTSAEVLCKSYPSEFVSYFHYCRSLRFEDRPDYSFLKKLFRDVFIREGYQFDYVFDWTALKYPQMSSNNKLVQQPSARIPGAGPSAERTDKASVGQEIRDRFTGAVEAFARRNPGSGRHGDHSRHKSLADSFGTSNEAVADSEKTRILSRGASSSKMPAGPSSRPTSSGDCSDQNRRWVSGSSGGSGRPSTAQRLHHSGGAENMRSSPRSPVARNPAPGRGGGGSGSKGDSTTLRSLERLSISTSRRK >Dexi3B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:3B:5335348:5337501:-1 gene:Dexi3B01G0007520 transcript:Dexi3B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPGLLVALWFLAAASAVSGEALPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGIPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSDPDECRALFGKALFVFGEFGGNDYSFAWKAEWSLDKVKTMVPAVVASLVRGVERLLDEGARHVVVPGNLPAGCIPITLTMYPSEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDKLQRRRPEARIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGSTTCSDPDAHVSWDGIHLTEAPYRFIANTWLKGPYAHPPLATVVREDMVD >Dexi6B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:6B:15872738:15874253:1 gene:Dexi6B01G0009850 transcript:Dexi6B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILSEAIRPRFMVNSTLRRGTHLVLSFSVVFLYWFYVFSSKTLLRMTLSGGTFSSGSGTSSGSSHEARSFGSEGDMELQARMELKRKRRMESNRESAKRSRQRKQQHLDELNSQVEELRTTKQQLITALNITTQNYAAAEAQNSVLRTRMVELESRLSALRDIIINMDANQVAHAATIRANPSTLIGTAANYDPFGTSAWNSRIQMVQQPIDHLLYQCF >Dexi1B01G0024830.1:cds pep primary_assembly:Fonio_CM05836:1B:30001299:30002709:1 gene:Dexi1B01G0024830 transcript:Dexi1B01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYHSYKVLSLDSLKSDAVCSENKAPSSAGAATVPLHHRHGPCSPLATKKMPTLEEMLRRDQLRASYIQRKFSSGGAAAGDVQQSDATVPTTLGTSLNTLEYVITVGIGSPAATQTMLIDTGSNVSWVQCKPCSLRHSQADPLFDPSSSSTYSPFSCSSATCAQLGQEGNGCSISFQCQYMVTYGDGSSTTGTYGSDTLALGSSNTVRSFQFGCSQAESGFDDQTDGLMGLGGGAQSLASQTAGTYGTAFSYCLPATSSSTGFLTLGAGTSGVGGRELSIPASVFSAGAVMDSGTVITRLPRTAYSALSSAFKAGMRQYPRAPPNGILDTCFDFSGQRTVTIPTVVLVYSGGAVGNLVANGIILGNCLAFAGNSDDSSLGIIGNVQQRTFEVLYDVGGGAVGFRAGAC >DexiUA01G0009810.1:cds pep primary_assembly:Fonio_CM05836:UA:19298598:19304958:-1 gene:DexiUA01G0009810 transcript:DexiUA01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRLADWPGPGRSAKGGRGSGSSFWKGGRERAARGGTYGNWGIDALDVALLDEDLHGLEAERLDLRLRERLAPLQLLDLPVQIRRPHLRRRRWRAVPTPSLSPPPPSRSFRKRRGGGLLAGCFSKILGLGGGVGRGRSRWRRVWGPAEGFARPRRFGSLGGNGKGFGNRVAGDKATVHRRTHTFDGVWQCVGAELYALAPPSPLCPRPGHLFLAPLLVGARTRRLLPETDFFPSSRRPCTPLSSREGVAARGERSHAGGGLLFHVETSTTCPIFQMTIPPFSDPASTEHRADRRLTGVDPRPLAGGESIYTPLRTHSKRQLTRSAQTLAPPRHGGGAAVARRTPATSLHELASQPAREHHRAKRHPTHTSSYPVQCSSCELRMAAMASARAAPAVASAPRITHGDNNPNIYPTSITRTIARPEEARASPLTSTDHGGGAPWRRARSSTGNYRARTNQPSNIPPNT >Dexi4B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:4B:21427943:21430860:1 gene:Dexi4B01G0019170 transcript:Dexi4B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGGSILRVFKNMPRFLAPTRLDLICVYDVPGDFATIVDPAAGRVVLIGGHNPGINTSTLYYSYYSFGRAAPSGVYKVLRVDVAFDGRVQLCEIATIDDDCGSEPAWRERHVPSAITHWYDEEEAKVNGILYFMSCVDDDTPQDCYSIACFDLESEDWTKMIDGPAMGLGKDEKTYWPHSTPDTPQQPMEDAILRQRQHGGGGGGGNASLPEHVIFDVLSWLPAKPLCRFRCVSKAWRALISDPAFVAAQRSRAGPLLVGVFHGPRKPVAYMPWFRDTLELRVMDMHGNVLRVVDDVDQTRLQRMRLGLVCLAHQVFDTGAAMDPATGRALRIGGYLDDPAADDPTTIQFSTFGRAMPSGVYKAVRIYDKFCQIATLEEGAGGAAELTWRQGPAAPFLPCCSSRCTPMEDGVLYFLPCSIHCFVPGRNRVAAFDLETEEWKPVIQFPRATMWPTCLVELNGTLAMVESEEGLCQTNVWLLVDSVKSIWLTRTW >Dexi2A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:2A:4092748:4093728:1 gene:Dexi2A01G0004540 transcript:Dexi2A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAALAGNSSPSAEDLSAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Dexi3A01G0025810.1:cds pep primary_assembly:Fonio_CM05836:3A:21736739:21741542:-1 gene:Dexi3A01G0025810 transcript:Dexi3A01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGDREQQQQPAAAGAEGRSRESVKLFVGQVPKQMPESELAAMFARVALVDEVTVIRDRATRVSRGCCFLICPSREEADKAVTAYHNKITLTGASSPLQVKYADGELERLEHKLFVGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQASKAGCAFLKYETKEQAVAAIEALNGKHKIEQTSLFGALQMGYVPQYNGFGYQFYFYFSVQPQGTYGLMQYPPLSPMQNQAAFQNMVQPVNQGSSIRGANSELSPNPVPRSFNSTQLGSPYSPLPGMQYPGGYPGGAINNRPFANSHNSIKVQNSNANSPTSSGASSNPGPQIEGPPGANLFIYHIPQEFGDHDLASAFQGFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQTAISMMNGFQLGGKKLKVQLKRDNSKHSKPF >Dexi6A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:6A:24631388:24632594:1 gene:Dexi6A01G0016750 transcript:Dexi6A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKAMVDALAVFGVSCGAGAMLGDSFLHQLPHAFGITFDTC >DexiUA01G0019170.1:cds pep primary_assembly:Fonio_CM05836:UA:39993533:39997315:-1 gene:DexiUA01G0019170 transcript:DexiUA01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTIELSSSFRHDSRPHDRTLEAPPSVALEAPQPSSRGALTLERRSVTLEAPQPSSRTYPRATFRHPRGSAAKLEGGTHPRARQARACGSSSKRRSAWSKCLVQARKLCPTPQTPTFNFTRFEVQFEFLEKIRIWKSELLGHATVPLARAALAGARPPRGAELAKPRRTLALPSLRHRRLASCRGAPSYGRALPWPHARSRRAERTAGGRVHSRSPLHHAMIFDAQPRVCALFELAAPSMATATATHALARSRGRTVPPLRAQALHRALPPLLSLAHLVISTSPRSPGLLLPRAVRIEPSFSEKFALHTPPFPNFPQIANSGHRSTRTSRPYSEPSPSFLEHAISFPKLCLCSRTSPPSANDPELAGVEAAAAAPPPPRRRHNSDLPQPPNRPQTTRGEPRIISPHFPVPSSPSQGPFFIAAGAGPASAIAVAARGRRRSEARQSAEAGGGRGLRLLLLRRRPWRARAVGIGRDGGAAFVARVRAHACLVAGVSEIWTSATWRERLAAQPLSPARFHSIVPSIHTAEADAPTHVVQNASFFFYDCFATALSAPGVVTLISLRTTHWTGLSSCALFLRQNHIAALYCSFRIFLVAARQSNFSISFLAALPIKLDLANTVINIPWCVQKAGLPFLILWNNIRYSSSSSADYWNS >Dexi2A01G0034240.1:cds pep primary_assembly:Fonio_CM05836:2A:44289757:44290143:-1 gene:Dexi2A01G0034240 transcript:Dexi2A01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQRSHAESTVDSSEVDKRRSLATTLFCVAIQGADPAALQAGLNYACGPGHADCTAIQPGGPCYKQNNLQALASYAYNDYYQRSAKTANSCDFNGTATTTPNDPSKQPCSSCTLFFPC >Dexi3A01G0025410.1:cds pep primary_assembly:Fonio_CM05836:3A:21084937:21085726:-1 gene:Dexi3A01G0025410 transcript:Dexi3A01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTARKRKRKAPSSSSSRRTRSVSRLDFRSAADGAWYGARVAVQGGALRVMYEEFPEEQDEWYHPAALAAVSSPSRHGVVAALRARFRVASPPLDDARCRDLRPGAPLCVSCPLDAGLLKFYDAVLESVLPAAHGTVDGEERCACRFAVRWTEGPRAGSREEVGVERVCCVQSSPVQDPVLNEFLDGLTRLLGSGSGAGATASQETSAVAAAEGGGVPGDAPPGFHRKFGART >Dexi1A01G0029080.1:cds pep primary_assembly:Fonio_CM05836:1A:34623230:34625483:1 gene:Dexi1A01G0029080 transcript:Dexi1A01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKMPAGLCWLLLGVVLAFGVAASPAQAANHYDFFIKETNVTRLCHEKTILAVNGQFPGPTIYARKDDVVVVNVYNQGNKNITIHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTVWWHAHSDFDRATVHGAIVVHPKRGKTYPYPKPHKEIPIILGEWWNVDVEQLLLETRRTGSDVNISDANTINGQPGDLFPCSKNGTFRTAVEHGKTYLLRIINAGLTNEMFFGVAGHNLTVVGTDGRYLRPFTVESIMISPGQTMNALLVAGRATNGSANSRYYMAARTFATNTNLPFDSTTATAILEYADAPPSAGPPDFPTNLPAITDIAAATAYTAQLRSLVTEDHPIDVPAHVDEHMLVTIAVNVLPCGANQTCKGPGSNRLAASLNNVSFTTQPTDILDAYYSSMPGVYTPDFPNRPPFFFNFTDSLPLNLTFTKPGTRVKVVEYGTVLEVVFQDTGLLGAENHPMHLHGFSFYVVGRGFGNFDGSKDPKTYNLVDPPYQNTVSVPKAGWAAIRFRAANPGEN >Dexi5B01G0038600.1:cds pep primary_assembly:Fonio_CM05836:5B:37737203:37738007:1 gene:Dexi5B01G0038600 transcript:Dexi5B01G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAVKLIAFFGSPYAHRAEAALCLKGVPYELLLEDLLVTKSDLLLQHNPVHKKVPVLLHGDRAICESLVVAEYIDEAFDGPPLLPTDPYDRAMARFWADFIENKLTKPFFMTHWVEGDEARKKFEKEGLELLALLEAQLKGKRFFGGDRPGYLDVAASMLGPWRSVVEEVTGVTLVNDDEHPALCQWARDYSSYEALKPCIPDREKLLAYFMKNKEMYKAGVKAMLEQQH >Dexi7B01G0022360.1:cds pep primary_assembly:Fonio_CM05836:7B:27295840:27297926:-1 gene:Dexi7B01G0022360 transcript:Dexi7B01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYETKIDCVDGQIVGLFGVFDGHGGAKVAEYVKENLFSHLLRHPKFIRYLHGLVVADDAYKSTDSEFLESDSSQNQCGSTASTAVLFGDRLFVANVGDSRAIICRGGNGNEYFTIAVSKDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >Dexi5B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:5B:21627073:21634126:-1 gene:Dexi5B01G0019320 transcript:Dexi5B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEAFLGRRPLACLLPPPSPWTLSAADSGEVVVDDGVDRISALPDDLCRRIVSRLPIKDVVRTTALSTRWRHVWHSTPLVLYNSHLDPGDPATRAVAAVNRVLEGHPGRGGGRTAPGAAHHVGDVWSF >Dexi6A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:6A:27783633:27787691:1 gene:Dexi6A01G0020270 transcript:Dexi6A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWALLSSVYLISIPISLVETGLWTGLTYYVIGYAPSPVRFIQHFLVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDDLQPWLRWGYWTSPFTYAQNAVALNEFNDKRWDTEFYYADANTVGEAILKIRGLLTEWHWYWICVSILFGYSLVFNILSIFALEFMNSPHKHQVNIKTTKANFEYHSQMVGSGNSSNEILPFRPLSLVFDHINYFVDMPKEMTKNGVTEKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKVAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLKFSAWLRLPSNVRPHQREMFIDEVMSLVELTDLKNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDEVWRTLNAQFGQLQLLLMKRGGQLIYSGLLGPLSSNMIKYFEAIPGVPRIKKGQNPAAWMLDISSHITEYEIGVDYAEIYRNSSLYSILQPVVATERVVLYREKAAGMYSTMAYAIAQVSVELPYMLVQVLIFSSIVYPMIGFELTAAKFFWFFLYLVMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIIAREMMPVWWRWVYWADPAAWTVYGLMFSQLGDRTEQILVPGVGEQTVREFLEGYLGLQDRYFDLVTCLHLAIIGLFAFLFFLAIKHLNFQRR >Dexi3B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:3B:12001716:12002536:1 gene:Dexi3B01G0016480 transcript:Dexi3B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCACGRLYAGCCGGGGGGRCAASAFSMLFPAALADGEGGGSPYGAAGGQVDCTLSLGTPSTRRAEAGGGVHARAAAPCNKEYSGRGSPARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPQDSGGVMSYACGGYARQQQQPLQQPQWGCYGPAAAKSAASFGMYGSHVVVDAAADGTCLSWMLNVMPSSSPAFPVRERPTLFQYY >Dexi1B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:1B:6144463:6144879:-1 gene:Dexi1B01G0007400 transcript:Dexi1B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVGGGGDYDLPLEEVDAVLASFFGDPAAVLAPLPAPEAEASPELLAAGEGLREGLGKFLMEDREGEVAVDGVDEFLDGVLVGDGEYDGSPKSTGDRSADGASAGEDEEVAGADGGDDPDSKKKRRYGKCFL >Dexi3A01G0033930.1:cds pep primary_assembly:Fonio_CM05836:3A:38949810:38963401:-1 gene:Dexi3A01G0033930 transcript:Dexi3A01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDHAAPRRTPARASSASEAGNDENAPGNASDVAAAAAAPDPARPPLLAIQPPASSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWAPPRCEEQPPRAGAGATPYSAMTTPRAHRGKAPVAAASEGGSTQSTPTKSVSKPAYSMGMSGSRPPMSGGGPRGTGLGLGFSTTMGRGGPLSLGPATVVNSAEVPHFKLREDPSFWMDNNVQVVIRVRPLNHNERNLHGYNRCLKQESAQTITWIGQPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAVTFFSLAILTTNDASLEEESRRDEKLKYSCKCSFLEIYNEQISDLLDPSSTNLQLREDIRKEVYVENLTESEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRFLKEELAVLKRQHVTRSLSFSADIFGGDVNDGSMADNDDNDANKRGSLKDLQIPNMQLRSLEEALAGALRRESTAENTIRELETEIEKLNELVRQREDDTRSAKMMLKFRDEKIHRMDALVNNKLPAESYLLEENKTLSQEIDLLRARLDKNPEVTRFALENIRLSSQLKRSEQFFDEGERELLLSEISELRNQVSQILKTRIETEQQNIFPAKFMVEFLYKSSMHIYFPYQFTQSFVLFYPSFHVQLKRTSQELEACRGDLQVCLESNRKLTREISDLEKELGALKISKEEQLKIVENISSSLHQYDFDETAKKEYCSDESFMRMEELLNLQLESDVLKTIRLEERTARTEVEEKYACLGNELQSSNLHILQACKRNEALERELNDLRSVIEASESQEIILINQLDEMKNNNQQSIELLEMKDMEISRLNNELDILRKQEYLTKEEPRTQLLKCYDNEDSPLQTKLKRMQASLEKAWHLNKRYQRDQASHCSAQQEMAEVCRQVEVETAAVIVCLEEELMSVKQELEASKRKDLLAKQSIDKLQLEIKQLNDKLHEVLKKNESLSSVITEKDKEIELLTNDWNILAADIGSCLVDGNAALDEASDQVAFISKSFSHRTWVEEQVQKMCRGISERDELLGELQNRLKEADNIRCDLDLKLMSLRGAMQAINEVHQQEKCDQEKENYLLRSQVSEQGYVNSQQLEQIHRIDLLLDESIERFVQKDVLEQNYVSFHREMEEAIHQLELQLDQSKSYLAHLSSQTQDKDKVIEKLKNEEFTILSRLMSEIQKANGIICELGVGFNTMQSSLSVSPEETTCQNSDLNLEDRVDLRALEAFQPVEQKNAEVICKLSKEVEYTVLGMMMVQSQMARQLQENENLKESQRKIKDLRGEVLKLNSEIIEKDRYYEARLKELELKIQGNEASHISWNKEKEALEHEISEANLLVAQKSFESATLIAMFEEAQATISDADSTVKALVKANEKAKHQAERYQENETLFIAEKDGLLEANELALELEDDYRSDLVMTSMITKDIESTVMASELRQHKLYLQEQRVMFADVLEGLMAEATLWKVDQDLENIAICVLHGENNEIRADLENLKQISEEAMKNLHAMKEENTKLNYLVPSLESSIMSFQTNLDAKNKALEELECSHAAVSKELELKTKAINLSTTRENCLSAENETLKQKILNILCKEQSMVEVMATIEVDKSLVTIESRFQQVTDHVDNYVNEQINIMNKLSNELDIIQVSAEELSTQNSLLQSELIRKDELAKGLSFDLSLLQESASVAKDESSELLELRKLIESLEQELASKSLELDDVVSDRKQLEARILKSNENVATLGEELEKKLDELNIVSVENAELKSQLQHLEEISYAMEELAGKSEAIGRLEEELVELRSLIDERNVCFQSLQNDFSKLSDEKQCCDTQLHILKEKLEMAQAFAEESEAIATESRQIAEEQKVYAEEKDEEVKLLERSIEELEDTVCALESKVDIVKEEAERQRMLREELEVELQKVRQQMLTVPPSGNARSHMEDGMVDLADSSRHLTDMHNDLLCAQESIRVLEKEVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSLAHACSTRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKQRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWAALADNQKKMEPTESAISQEQEIKESNELIKLQKQLDEFVEERQSWLDEINQKQSELGAARINIEKLRQREHFMVTEIELLKAENSNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNEELSAKLQQLGAILTRTKEELARYRVTNVKDPYEQIEEEELLRKKLDESEQDRSRLAENLSSLCTSILKVAGVVNPESGATLLKALECLNQLQCRIPSLESEVEDLKLKCKLLREKARLSEPHSDSSSLSSGARDGSTPQDLSRSPSISSFR >Dexi5A01G0023020.1:cds pep primary_assembly:Fonio_CM05836:5A:27124217:27124453:-1 gene:Dexi5A01G0023020 transcript:Dexi5A01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVGAATASTAKPAGWRLVSGSRSSGGCHGRGGGPLTERGRRSDERDGGAAARPHRVRGSSGQEKKMIGLPNQTHTL >Dexi6A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:6A:3890474:3893739:1 gene:Dexi6A01G0004220 transcript:Dexi6A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLAAALPLPPPPPAAVAAAARQLGFPRPLNLLSATTPTATSSASPRRRGALGCLVRLLCSAARTTPLPPAVEEARRGRKQLSMTPPLYDYLHANVREHPVLRELREETATMSGSQMQVSPAQAQLLAMLVQILGAQRCIEVGVFTGYSSLAVALALPESGRLIACERDGRCLEVAKKYYQRAGVAHKIDVRHALAVDSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDKKTISIRNFNKKVFEDERVDISMVPIGDGMTICRKLVDT >Dexi9A01G0024770.1:cds pep primary_assembly:Fonio_CM05836:9A:21464942:21468871:1 gene:Dexi9A01G0024770 transcript:Dexi9A01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRMLAVASKARLSLAMPFLLATSSATAATVTTNPNPPFPSPRCQNPVANLRLQPTPARRLSIFPAAAPGARFLPIPPRRGMASLAASAAAAAAADVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAIAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSISFIPAEDLPDDLSREFDVIVDAMFGFSFHGTPRPPFDDLIQRLVSFSVIGNSDKRPPIVSVDIPSGWHVEEGDVDGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPAILNKYGLKLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPYDQFRRWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGSRKAHDLSENPNAALLFYWNEMNRQVRVEGSVVKVPEEESDKYFHSRPRGSQLGAIVSKQSTVIAGREVLQEAYKELEQKYSDG >Dexi9B01G0035060.1:cds pep primary_assembly:Fonio_CM05836:9B:36822156:36822647:-1 gene:Dexi9B01G0035060 transcript:Dexi9B01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTGGHGRLSDRASSALAAVSVFLVLLFLFWRFIWQALKHRDGSVPTTTTTTATTSPPPPPSCPALAGEEAARRPTAKSPLPVLVRAAVAGSEKVDCAVCLAELADGEAAARLVPGCGHGFHAECIEAWFRVNSTCPLCRAAVADAGQRSAGEAPHYCSSV >Dexi4B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:4B:4579666:4580441:-1 gene:Dexi4B01G0006540 transcript:Dexi4B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAIRGNRSAGILTVQSTQIRRLPEAQFIPRPPRGAALPKPFEWNHPFPPILQTLQNFAQIHKIFELLRDPPHPNLSEIFARPPSLSSPSPDRRHSPPPPTPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi2A01G0022390.1:cds pep primary_assembly:Fonio_CM05836:2A:34221182:34222025:1 gene:Dexi2A01G0022390 transcript:Dexi2A01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKSCPRTMPFDFTGNSLRPPARLTCSWSENLHVVYCSSAVPCPGAGNEAVATKRHGLTDEWQVLPVHHLPLLAVHIESACTLVLLHGEQDVRANHGIGRDADLRCVAVLQRVEAAREDRRWRKVVPSGATRAVTLVQVKDEEPGHEVPPRQDGGAAGERVELAAVRDQDGAHHRVDEAGPLELCGGFGVVDGGPIQAETHDPGPLPRIHRGEGHGAVAVVHRAGGGAGGELEDGVGGLLDSMLHHKPRPVAWKKLSSSPSSHGERE >Dexi7B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:7B:19866525:19868720:1 gene:Dexi7B01G0013350 transcript:Dexi7B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETEKKVAAMAAEEVGKKKVAADGDVSLKELSKKLNDFAKERDWEQYHSPRNLLLAMIAEVGELSELFMWKGEVRKGLADWDEAEKEHLGEELSDVLLYLVRLSDICGVDLGDAATRKIVKNAVKYPAPSKDQAA >Dexi5B01G0038480.1:cds pep primary_assembly:Fonio_CM05836:5B:37701051:37703600:1 gene:Dexi5B01G0038480 transcript:Dexi5B01G0038480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAAGGGLGAGFSYQKFVYVALEQTRLRTALAPHPSQERFKFIKTNEDNSVFDALSFSAPKIRLLRSLTVEQKNSVQVLDFAAFSEPEYDLPIFCANAFTSPARSIVVLDLNPLFDTSEHKDYREKYYRNLMPLIDKYSELLPWGGKITSESLRFFSPVVIWTILEPTEANHQILYSAFMDYFKVWLELMDEAVQETSREKIDRNREAQHKYLTWRAEKDPGYPLLKKLIGECAAKDLVREFLFEGVSSLGTKSFLEYFPEYAQEDGTVNKKRSMAGKSFEARPWDAHGQFIGGDAGVW >Dexi7B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:7B:23585003:23589100:-1 gene:Dexi7B01G0017670 transcript:Dexi7B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSMARASASPPPAPAPDPGAVGEKKMDPDQETRVLHGSAGSSSSGLVMEEFVMGDHARLVASMRLAMELAASSRFFAKLSDLFASDAAFGEALARVRGSSTERLRVVAYGLGGAEYSWAPRFRLAVLLLLRDAFPETVGTVEVVCPTASPVERRAMEDLGCVVTASVQQCRPVRDPTLIFTPYADRVFFENLLTLNWSADQLGKIVLLGLSFSAMVKMLELNMSKQEKFGVTEQSPLWGDGPDPFQKIDECPDHKSKSSKDDCVCMHCVAHIERYAMISDLPITFSVNVFHLDSEIDMEHLVPGNDSMRVWSTVNVQMNYDAQLVGWHLNPTDAYIEGKDIKEAASIVKEVRETMRDVKSSSLYTKFIDQLNENPSIGDHISSILEANECMGLVIYGIGSFEFNVNSQYQLAFALLLKEDKVFPVGDIEIYDPALSPADVKACFDLGLEVLEVDDAMDIYSKLPRLTLKEKFYRNFELELEYVSYLAFDHVASLLMQSEERISRPFREDHCDHKDDGTPFWGDVFRHRLPAMKRMTWSPPPKGWIKLNFHGIGCSKGRPACIGGILHDDKGEVLSYYAGQVGKVDKTVASALALEMGLQKMIDLHEPVFKLIIEGDNLAVIRWCNRISHPPERAFESFSRSYWYMDLRQTEAPAPDDQPGKCNEETGEDKDGGSKDGDVDDGSSDKEDEDGSSPSSDLEFVIPPGWAQREYIAWHVEEANRVTIRLARVGVHLPGVILHQSTMCDCGNGMDMENDKSDVTW >Dexi5A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:5A:10265008:10269190:1 gene:Dexi5A01G0013640 transcript:Dexi5A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRCSQPLATTTGMVAAAMATAASAGMPPLGGPRRLRSRGFTVRCAAVAGGAAEAPAASTGARAMSADCVVVGGGISGLCTAQALATKHGVSDVLVTEARARPGGNITTVELPEEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGFGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPPRDPRLPKPKGQTVASFRKGLAMLPNAITSSLGSKVKLSWKLTSVTKSDGKGYVLVYETPEGVVSVEAKSVIMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKSESELVEAVDRDLRKMLINPRAADPLVLGVRVWPQAIPQFLVGHLDLLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDFLTKYAYK >Dexi6B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:6B:18069619:18072869:1 gene:Dexi6B01G0011090 transcript:Dexi6B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRKMAHHKPDEAAADGTSSSTQPPSYDTSQAPRVYKVGYPPQKNLTTEFTNTLRETFFHDNPLKQYKDQSGSTKFRMGLQFLFPVFDWGRTYNLSKFKGYWLFKARLSGSTIWALLQPHALRCYIYHLVSDSSFVPPLIYAAMGSSRDIAIGPVAVVSLLLGSLLQNEVDHEKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGITNFTKETDIVSVMESVWRSVHHGWNWQTVVIGFSFLAFLLLAKYIGKKNKKYFWVPAIAPITSVILATLFVYLFRADKQGVQIVNNIKKGVNPSSVHKIYFTGPFLAKGFKIGVVCGMIGLTEAVAIGRTFAAVKGYQLDGNKEMVALGTMNVVGSMTSCYIATGSFSRSAVNFMAGCRTPVSNVIMSMVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKMDFIACMGAFFGVVFKSVEIGLLIAVAISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPDARHVPGVVIVRVDSAIYFSNSNYIRERILRWLTDEEENAKAEGLPKINFLIVEMSPVIDIDTSGIHALEDLNKNLQKRGIQILLSNPGSAVIEKLHSSKLTDHIGSNHIFLTVADAVHFCSSKSMQEP >Dexi3A01G0029270.1:cds pep primary_assembly:Fonio_CM05836:3A:30721053:30722423:1 gene:Dexi3A01G0029270 transcript:Dexi3A01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPFPGAAELHVALLVLAELRRRFDSGRLRVFVWLVYMMADSIAIYAIGHLAVTSQAAEHQVMALWALVHLGGQDNITAYAIEDNRLWLRHLQTLAVQVVGAAYVEPAASGDGRRSSSQRHPWLRHAAVLLFLVGVGQYGERVWAMFLADHSTYASYKYTQAKSAIRYTSVTEIPKTELLERDTEGMWKVAICLLDFAKDVLMGPRLWLNLVEPYKLPIRGDVMRKVAEMQLSLMHDVFYTKLELIHTRQFFLYIRVFYLVATPVALSLFHLHQAAGDQQGTSRRDAVVTYVLLAGAVVLEVVSLLTRALSIWTWRPLAFQSRHVLSDAALGSVVVLRAADSMGQLNVFEMCFAAAVSQFSSLAYFVGHEDWWNTFINSCSTRVSPLIKDLLVRQVLKSGPGSPRALGMGPPRRAITLP >Dexi4B01G0024080.1:cds pep primary_assembly:Fonio_CM05836:4B:25258148:25259241:1 gene:Dexi4B01G0024080 transcript:Dexi4B01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTGRRSGEGARSSRQGGLGWAACCLGFLLKLLAFLQAFAAVSALLYAAWILSRWARHHQLRLQDLLPGLWFPSLLMAAGLVYCLLLLAGYLAAEINNGCCLCFYTIPAMAMMLLEAALAAHVTINDHWMQDLPDDRTGELHNLLSFIHDNLDLCKWAALAIFATQALSLLLAMILRALLSARTMDYDSDEDFVVIRRPLLVAQAPPPPYLPTTADTRGFRPDLWSSRMRHKHGI >Dexi2B01G0029150.1:cds pep primary_assembly:Fonio_CM05836:2B:37644300:37648171:-1 gene:Dexi2B01G0029150 transcript:Dexi2B01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSEWLMNLARAAQQRLGPAKRAGLRGWLGSLVRLGSPQPGPGPPIRPSARAASPRVDLDRPFDPTAQRRFRGYKRCDDETLTLAHFVSFSSPPGGSRALCERRRLLCAGVPRPPRRRAMDLTMAGAFFLTSPPLFLLPPPTDTKSWRRRGTPRWRARSPKVNAPPSSGSLVLLCALAHQRPGGEAFLSARSETGVPA >Dexi3A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:3A:13629267:13631154:1 gene:Dexi3A01G0017880 transcript:Dexi3A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLMMVKNEIESYSGPAPAMAIGAQEGGGEATQVVVRRRRREPALLAPISGGANGSGIGKPLPSITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVIDYEKELKIMENLTKEEYLASLRRHHQNGRWEARIGRVFGNKYLYLGTYRTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPSPTSAPVTFNPEALMMQAAAPAEHQLLQAEAHMLPHRNPFLLDHHINAAAPASSGGGGGQEASLMSPGGGLRKRGSPTALGLLLKSSMFRQLVEKNSDAEEAGQGIREAAAAAVAHPEAYEYHNFFQGEDPDMCDLFSSGVGHHARDGVFQGEMACYDDGERLGTWSSFGNMSTLQ >Dexi2B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:2B:4684114:4684466:-1 gene:Dexi2B01G0004970 transcript:Dexi2B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKRSAGAGCLPDDVLVEILSLLPAKFLYRSKCVSKAWHDLITDRLRCGKKLPLTLEGFFYGYGSNDDGWGSNKDDEESAAIV >Dexi9B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:9B:4562188:4567576:1 gene:Dexi9B01G0007450 transcript:Dexi9B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAVKLREAHKSSSPALCSAAWGPGGQHVVTASAADTAVLIHDAAALLAGGRGSGLAPLTMIRLHKDGVTALAIAPGAGGSLASGSIDHSVKFFTFPEGKFQSNVARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLAFDPKNDYLASVDSFGTVMYWDLCIGGEARTLSRVAPTFRSDNSVRNMLCWSPDGQTLAVPGLRNNVVLYDRDTGEEVSTLKGDHEQPVCSLCWSPNGRYLATAGLDRQVLVWDVKSRQDIERQKFDERICSLAWKPDGNSLLLIDVMGRKSTFDGYSEDEDLIHQLDSSKRMKDKHKDKKKDTEKANNDSATSGRLVTARMQAAFQPGSTLPQFGMRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFDSWAGNSEMHILSVSGPVVTAAGHGDQLAIVSHASDCLPSGDQVLDVKVMPKPVLTIFELSFPIASSDLGANSLENEFMMRKLHLSQIQNKMDEMAALGLDTTAYEDEAFNMEAGLDRCILRLISSCCSGDKLVRATELAKLLTLEKSMKGALTLVTRLKLPMLQEKFSSILEERMLNDRKVAGAVGFCSNATIKRLTTYETPPSKLVQNGNNLLGSPSPIPKPGNHESSLTEPKKPEAEQARGNNTLKASPSPAFTPLAKVSKNSETKRDKIGASNATVVDQNKKGGMDQNGARKMSTEDCNRTELQRPVNPFAKSSSSKEQSPSLLDSIKKMNVETEKVEKPNSKKVKV >Dexi7B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:7B:22842575:22846765:-1 gene:Dexi7B01G0016810 transcript:Dexi7B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALANGDSQSGPQRNYQVVVAATHEMGIGKDGGLPWKLLGDLKFFKELTLSTSDPSKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMQSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIQSSIDCDTFIPPIDFSVFQPWYSSFPVVESNIRHSFITFVRVRKSVAETHGSNGKESTEVDTKNKFEIENFSFIPKMIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDRYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALEEQIQKMPKPFPILKINPSKKDIDSFVASDFKLVGYDPHQKIEMKMAV >Dexi5B01G0030360.1:cds pep primary_assembly:Fonio_CM05836:5B:31283202:31284626:1 gene:Dexi5B01G0030360 transcript:Dexi5B01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADASLAVVQRPCHIVALPYPGRGHINPMLSLCRLLAERSSSIVITVVLTEEWLGLLGSPAPPLPECIRLATIPNVIPSEHGRAADFSGFIEAVHTRMEAPVEQLLRGMAAAPAAVVADMFLPWAVPMALRMGVTACSFCPSAAAHFAAFYHLESLVAAHRTTGRDGDSFTVTACSICSVSLGSFLSVSPSQIAELAMGLAASDVKFLWALRGEQQSHVLQFLGDNNGILVPWCDQLKVLCHCSIGGFLTHCGMNSTLEGVFAGVPMLTLPIALDQPTDSRLIVDVWKLGFSIKEKMRSDGLIGRAEIALAVKNIMSVNVSGTNEVRRRANSLKEASK >Dexi9A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:9A:4431001:4432681:-1 gene:Dexi9A01G0007600 transcript:Dexi9A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEATETIVVVREYDEARDHLGVEEVERACEVGSCSGEMSLFTDLLGDPLCRIRHSPVSLMLVAETATGPNSTEIAGLVRGCIKTVVSGTTTTQQARDPIQTKVGYVLGLRVSPRHRRKGIGKKLVDRMEEWFRGRGAEYSYMATEKDNEASVRLFTGRCGYAKFRTPSLLVHPVFRHALRPSRLVDIVHGLEPREAELLYRSHFAGVEFFPADIDAVLSNSLSLGTFLAVPAGTRWEGVAAFLASPPASWAVLSVWNCKDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLGIPSIPNLFAPFGLYFLYGLGGHGPDAPRLVRALCRHAHNMARDGGCGVVATEVGACEPVRSGVPHWARLGAEDLWCMKRLADGYSAGPLGDWTKAPAGRSIFIDPREF >Dexi2B01G0033580.1:cds pep primary_assembly:Fonio_CM05836:2B:41110769:41111943:-1 gene:Dexi2B01G0033580 transcript:Dexi2B01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVAHKLAALTSPFLPRHRCPSAAHARRRRGRHGAVVVAYMEPNPNSPAAIAGRLVGALPVVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKPGDPFYVLLCCWLAAVGAGLLKTEEILEGVARLRISNDIEFEEETFIDMMRAAKEKRAKLKAPVPQIPMETRAEKALEAIYVCCFGQDMVEEEDEKLLRTILNAVFPSVGRPAIERMVASMAKQVASGERKRDGRTFSKEVQQRQLKDLEFLKQNKLDSA >Dexi4B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:4B:2261036:2265915:-1 gene:Dexi4B01G0003270 transcript:Dexi4B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNKVDSLSYDVEAPPASGENSSAAAATPPPPPPAPAVASVMELHKVSVPERRTTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFQWGSEYNPRLLRSDLVAGLTIASLAIPQARVHACSALPCFFFFLPPFLCFIQFLATFGTGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDDQPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSHMGFVDVMRSVFKRHDESARNPKLFWISAGAPLTSVIISTVLSFIWKSHSISVIGILPRGVNPPSANLLTFSGSYVALTIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAAKLWKVDKLDFLACMSAFLGVLFVSVQMGLAIAVGISLFKILLQVTRPNMVVKGLIPGTQSYRSVVQYREAVRVPAFLVVGVESAIYFANSMYLVERVMRFLRDEEERALKANQSSIRSVVLDMSAVAAIDTSGLDALTELKKVLDKRNIELVLANPVGSVAERMFNSAVGETFGSDRLFFNVAEAVAAGACKVQL >Dexi4A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:4A:4514047:4515313:-1 gene:Dexi4A01G0006270 transcript:Dexi4A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAAARRLQLHLRLLHPRCLTTTSPSPSPPSPDPDPHIPEPSPVRAPPDEQFAAWVTRLRPGFTAPDLAAAISAEPDPDLALALFRWAALRPGPTSPRSGRPRPAAAEALVHDVFAGACTPDLCLFNACLRFCCDRRSLFPLAFDMFNKMRAMPAAAGCRPDVETYTLLLSAVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCVEVDDALKVFREMPLYGCEPNEFTYGFIVKAMFQKGWTDKGMVYFAEMREKGFVPSGGVYMIAVSALALEWRFEESRKVLLDMLDCKRKPDMITYRTLLEEMCRAGQTEQAFEVLEELKGRKQGSLDQRMYSELLDGLHWISQPHKDSRTVEKTGYI >Dexi3B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:3B:15757455:15759055:-1 gene:Dexi3B01G0020820 transcript:Dexi3B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASPGTDTSTDLDTDEKNRMLELGQFASLTASDSGDRSKDKLGQKVLRRLAQNREAARKSRLRKKAYVEQLENSRLKLTHLEQQLQCARQQGIFIPTPGDQLHPTRENGNLLSCVKCLGHTVQYLELILILGALDYARWQEDHNKQIDELRAALNAHAGDDDLRRIIDSIVAHYGEAFRLNGIAAKADAVHVLSAMWKTPVERCFLWFGEFRPSELLKLLASHLEPLTEQQLASLCNLQQSSQQSEEDLSQGVKSLQQSVAEILASGSLCPAGSSGNAADCSGQMAVAIGKLCTLENFLQEVHLL >Dexi2A01G0027320.1:cds pep primary_assembly:Fonio_CM05836:2A:38735196:38736266:-1 gene:Dexi2A01G0027320 transcript:Dexi2A01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEISSSLPMPRMEAELSVNARVQLKTRPNLGTSNTRRTTDGWARPAGYRASIDSSTMDPLSKTFKEWKGALTSELRGKDSRRRAPAANGKTPVPVGGGVPAAPAKEWPRGRICFASPGRRCALTSPPPRPGADRFVRLATPDHTTYACLPSPELEGGGVLAAGAARGVGGGGELPSASG >Dexi4A01G0023570.1:cds pep primary_assembly:Fonio_CM05836:4A:26614562:26618205:-1 gene:Dexi4A01G0023570 transcript:Dexi4A01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLPLFLLALLLLLLFPPAASASPERDAYALAKLKSSLLPPSTSSTSLADWDTTATPPAHCSFSGVTCDAATSRVIAINLTAVGPFHAGSLPPEIALLDALTNLTLAACSLPGHIPHTLSAMPSLRHLNLSNNNLTGAFPSSPSSDNTSLYFPVLELLDMYNNNLSGALPPFDHRHVSLRYLHLGGNYFNGSIPDTFADLASLQYLGLNGNGLTGRIPPSLSRLASLREMYIGYFNQYTGGVPPEFGDLRSLVRLDISNCNLTGPIPPELGRLTNLDTLFLSINQLTGEIPPQLGDLTSLESLDLSINNLTGEIPPTFVNLSNSLKLLNLFKNHLRGDLPGFLGDFPHLEVLQVWDNNLTGQLPANLGSNGCLKKLDVTGNHLTGTIPPDLCKGNKLELLVLMENGFFGGIPDSLGDCKTLKRVRLGKNFLTGTVPAGLFDLPMADMLELSDNLLTGELPEVIAGDKMANLLLGNNGIGGRIPPSIGNLPALQTLSMESNNFSGPLPPEIGKLRNLTRLNVSGNALTGDIPAELTGCGSIGAIDLSRNALTGEIPDAITSLKILCTLNVSRNMLSGELPPAMPNMTSLTTLDVSYNQLSGPVPMRGQFLAFNESSFVGNPGLCGAPFADVACPPSSAAAGEGPNSRKKTLVTWLVVVVALLIIALFLAGGKKGLEAWREASRRRSGAWKMTAFQKLDFTADDVVECLKEDNIIGKGGAGIVYHGVTGHGGGTELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGVGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDTSDDAAAVLAVADRRLSPEPVALLVDLYKVAMACVEEASTDRPTMREVVHMLSNSAAAQLQPVADDLLHTGAGGF >Dexi3A01G0031910.1:cds pep primary_assembly:Fonio_CM05836:3A:36450296:36450586:-1 gene:Dexi3A01G0031910 transcript:Dexi3A01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPSLRPVQFALPGKLDVPAAGLQATSVGSMLPCPRGCQPTLRARPPVNATSPRQFARPPNNSAREPTVVPMPASQLHETLVFLLHLRGRIRER >Dexi6A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:6A:26433611:26433941:-1 gene:Dexi6A01G0018730 transcript:Dexi6A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLGTLLDTADGKDVSFSVGGETFHAHRAVLAARSPVFKAELLGSMAEATMPSIALHDIAPATFKAMLRFMYTDALPRDDDELIIGDSPFELTAMHWNV >Dexi2B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:2B:986198:987222:1 gene:Dexi2B01G0001510 transcript:Dexi2B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQLLDELVEEVLIRFPPDDPASLLRAALVCKRWSRLVSARRFRARFRSFHRELPMLGAVVNTGAFVKNDGFVSTSSFRCQVPADLRDGSMVLDARHGRPGEEDA >Dexi8B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:8B:138743:139063:-1 gene:Dexi8B01G0000170 transcript:Dexi8B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGAGASARPATVGPRGTAAAAAGMRRRRTTSSAGGGGFSGASGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAAASA >Dexi5B01G0029290.1:cds pep primary_assembly:Fonio_CM05836:5B:30564997:30568603:-1 gene:Dexi5B01G0029290 transcript:Dexi5B01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELTPSSSPHHAAPPRRAVDRDDESMLPTPTPAAAATATSRGRCGRRRRWRWACAAALDPRAGWARETWDRAYLLACAAGLMVDPLFLYAVSLSAPLMCVFLDAWFAAAVTALRCAPDAMHAWNLLLRLRDARSPAPPPEDADEEAARPGRRDDGDDDARGGGVRERKTSKSRKGIFLDVFVIVPVMQVVIWVATPAMIRAGSTTAVMTVLLVAFLFEYLPKIYHSVRVLRRMQDVSGYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCAQAGSGCAPWAVACAEPLYYGATTAAPGMNAVRLAWAGNATARGTCLDSGTNYQYGAYEWTVMLVANPSRVERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRGVELWMKRKNLSRSFRHRVRQYERQRWAATRGVDECRIIRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEVIVREGDPVKRMLFIVRGHLQSSQALRNGGTSCCTLGPGNFTGDELLSWCLRRPFMERLPASSSTLATVESTEAFGLDAEDVKYVTQHFRYTFTNDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDLL >Dexi9A01G0044370.1:cds pep primary_assembly:Fonio_CM05836:9A:48015943:48019275:-1 gene:Dexi9A01G0044370 transcript:Dexi9A01G0044370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKFLEKAVLTPDGALASHVKTEVPRTMVTRNPNMEKLKKGYLFPEISIKREAHQKKYPDAKIISLGIGDTTEPIPSIIASAMAEYALALSTPEGYQGYGPEQGQTSLRKVIAEKVYPNMGIKDSDVFISDGAQCDIARLQMLFGPNVTIAVQDPTFPGYVDNGVIVGQTGAADESGRYAGIAYMRCAPENNFFPDLSRVPRTDVIFFCSPNNPTGHVASPAQLRELVDFARRNGSIVVFDSAYAWYVSEGKPRSIYEVPGAREVAIEVSSFSKFAGFTGVRLGWAVVPSELRYADGHPVARDFDRIVCTCFNGASSVAQAGGLASLATEEGRDAVRRVVGVYKENARVLVDTFASLGKEVYGGADSPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFVRVSAFNSKDRVLEAAARLSKFLG >DexiUA01G0016190.1:cds pep primary_assembly:Fonio_CM05836:UA:34524477:34525236:-1 gene:DexiUA01G0016190 transcript:DexiUA01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFCFRRLALVRADISRLPFMNGSIDAVYAGAAIHCWPSPACAVAEISRVLRPGGVLVASTFVADVIPPAIPLLRIGRPVIAYS >Dexi3A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:3A:7338696:7340835:1 gene:Dexi3A01G0010270 transcript:Dexi3A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQAPVPVLEVQYHRCVTKAVDEVVGVSAAAAAVAAPAEVEVEVTVEVASMGLEQPEAAPSVSVEMLQFVPNIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASRVDELYLQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRKGVAMEMSRDHRANYVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKTPDASSSPLIAEPEFRQATLTEDDEFLIMGCDGIWDVMTSQHAVSLVRRSLRQHDDPARCARELVMEAKRLETADNLTVIVVCFVSELGVQQQEQPMRPRSYKSLSTEALCNLRSWLETDDC >Dexi4A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:4A:2525999:2526738:-1 gene:Dexi4A01G0003470 transcript:Dexi4A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEDLTRVLAELGARLSGPPAVGAAASAGDALSASISSLAATLNPNGGRGGASSGTQVLDAALSLMCFDPIEARIPPHRVNKARVDFLVRTLVSALSTSVSCRVVRPDGGAVEEMLCVGSSVSPGDCRELLRSWKAFL >Dexi4B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:4B:10183658:10185031:-1 gene:Dexi4B01G0012380 transcript:Dexi4B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARQQRIIATLLLLTATLFVTAAPAVAHLHPVILLPGYSCSQFDARLTDEYEPPTPACGVRKQGPGWFRLWENYTELQEDPTLLPCYQDQLRLVYDHTAGDYRNVPGVETRVVSFGTTRSFRFDDPVQKNVCMARLVEALEGVGYKEGSNLFGAPYDFRYAPAAPGVAARAFAGFRSRLTRLIERASEMNGNKPVIIVTHSFGGDYAMEFLNQSPLPWRRRYVKHFVMLCGSTGGSPVIMQAVASTMGSPPPPTTLRGSVLSLGNRSFEIIPFFLPSPKVFGDTPLVITRAKNYSAENMPEFLATVGFSDEEIARYRTRALPLTLNFRAPLVPMTCISGIGVPTIYRLVYWDGNFTDKPQVVNGDGDGVINVENAMALERAVGGDPAQPYFKSVLMPNTTHNGMISDDSTLRAVVNEILKANQATF >Dexi2B01G0023090.1:cds pep primary_assembly:Fonio_CM05836:2B:32655622:32657323:-1 gene:Dexi2B01G0023090 transcript:Dexi2B01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTITLCLILGLLAAANAVPFDFFYLVLKWPGSSCTVSDGKCCVPKDGGYPAEDFLVESFQPFDVSINKPLVSCRNSEPFDIDKLDPIENSINNYWSNIACPPSSTVSTLKSAWKSYGVCSRLEQLDYFKAALELRTQADVLGALTDQGIMPVLDMYSLDKIKWAVKQKLGVTPGVLCNDGPFAKQHLDKVYICVDTDAKTFIECPKLPATTCTESIIFHPFYTWMLNGTFAYDSKIMLN >DexiUA01G0004040.1:cds pep primary_assembly:Fonio_CM05836:UA:8043281:8044482:1 gene:DexiUA01G0004040 transcript:DexiUA01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATDDSRDWSGLPEDLVVNLLREMHVADAVRSGAVCTSWHAAYAAFRRIRTPSPRQPPEGWFEIDMGPTTLDIDRAHLFMYHRVVLSASPSAGHACIVLLLHMAFGEVSFTRLGDDRWTWISPGEDTGLPWRFDYCNAMYSAADGLFYLLQNNGSMCSLNLNGPLPVACKILDSLPSWGIPMTKYLVQTPAGDILQIWRCRDDAESLVPVDIPPNYYNEVEQDLCLEYNTVSLHIYKVDLHGQRVEMIKCLPDYVLFLGLNDSMCLSVKDFPGLKTNCGYITDDFLEYEV >DexiUA01G0004450.1:cds pep primary_assembly:Fonio_CM05836:UA:8568521:8569249:1 gene:DexiUA01G0004450 transcript:DexiUA01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSKKKTLVVVQALMMATMSVAMLATAAGQPSPGTSTSTTTNLTLHNLCPYPVWPLVTANAGIPSIPTDADGDPVGRLAGHGEGLATLAFPRGTWSGRVVARTGCSTGDDDDDVVGRCATGDAPPVTVAQVSVGGPGGHAAYSVSLVDGFNVPVVVTPHGFPEGPRRCPSLGCAVDLAVDCPVGARAPGGGCGAGASEAAWFKARCPDTRTNATDVEVTPQDCVMPGEIKVVFCPAHL >Dexi4A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:4A:1693810:1695069:-1 gene:Dexi4A01G0002450 transcript:Dexi4A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVGGPLMDFDGNFIGMNYYHAKETPFVPSFIVLKCLQQFKLFGAVIRPWLGLRVRTLHAEGSTAHEKMQTDFHGATGVVIEKIEDFSSAKESGLNEGDIINQVNGVYISNAAE >Dexi3B01G0024270.1:cds pep primary_assembly:Fonio_CM05836:3B:18938625:18939185:-1 gene:Dexi3B01G0024270 transcript:Dexi3B01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARRPGLLLLPLLSLVLLAVSAASVSRPLVVAAAAATAGGSEGEPSAYEMLEGFGFPRGILPEGVTGYTYRPSDGAFEVFMGGDCEFDVDGGYRLTYRRRIYGNVEGGSIRNLGGVSVRMFLFNWGIDRVVMEDAGHLMFYVGPISQAFPADNFEESPQCRGRRCGGGISDDGAAVDVAATAM >Dexi1A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:1A:196284:197607:1 gene:Dexi1A01G0000290 transcript:Dexi1A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQKQEETMISSMLLLLLLAPTSCSARAAINPSNNATTTTSARSKAQAAAAAAAAHSSKPLAPALIVFGDSIVDPGNNNDIKTIIKANFPPYGVDFVNHKPTGRFCNGRIPTDFIASRLGLKELLPPYLSSEPLEKHDLVTGVSFASGGTGFDPLTPKLASVISLPDQLSMFHEYLGKVRAAAGEAKAAEILSQGVFAICAGSDDVANTYFTMRARSGYDHASYARLLVQHSAGFMEDLIHAGARRIALIGIPPIGCVPSQRTMSGGLDRGCGQGHNEVAVAYNAGMVREMEALRAKYPATRLVFMDIYGFLYDMMMHPRSYGFTQSTKGCCGTGLLEVSVLCNPVTSSVCDPVGDYLFWDSYHPTEKAYKVLADFVYDNYVKLIL >Dexi7B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:7B:2230374:2234157:1 gene:Dexi7B01G0001260 transcript:Dexi7B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAEVREIGLEAHQVSVVQSSDTNESNGKDVESDMDEQFQVQSKWRKFLAHVGPGALVAIGFLDPSNIETDMQAGAEFKYELLWVVLVGLIFALLIQTLAANLGVKTGKHLAELCREEYPRYVTICLWITAELAVICDDIPQVLGTAFAFNILFKIPVWTGVILTVLSTLLFLGVQRFGARKLEFIIAAFMFTMAGCFFGELTYLRPSAREVMKGMFVPSLKGKAAAVNAIALFGSIITPYNLFLHSALVLTRKTPRSVKSINAACRYFLIECSLAFAVAFLINVALVIVAGTVCSANDLSPADANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFSGQVIMQGFLDTKMKGWVRNIITRVISIAPSLIASVVSGPTGAANLIILSSMLLSFELPFAIIPLLKFSNSSKKVGPLKESIYTVVLAWTISLAIIIINAYFIVWAYADWLIHNHLQRYANALVSMIFFMLMASYIIAIVYLVFRKDIVVTYISAQERVETGSGGALASLADGDKPPPVREDLVDASM >Dexi9A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:9A:4119803:4120249:1 gene:Dexi9A01G0007150 transcript:Dexi9A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAWKRKADSVPLLKEGTLYLSLHLQHPFSIRRLSSFPSRRAQLPARQRSSSSARSGPQQDPDPRHPVDLQDHLGNDQTSNAIAWLNKAAAAAAIDKLRSLDTAGSFLAHPASAVGKDPQHQAPADATADTDDRHQQQLTRTLLKPE >Dexi1A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:1A:2988710:2989832:-1 gene:Dexi1A01G0004070 transcript:Dexi1A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDEHRAAARLAAARRTLRAAVDKSRALGHELARAGPRLEEIQAKLRAMQAAASAIHAPRAEVAAAGPHIDHTMGPAIAVLKVFDAVHGLEPRLLAPGAAERDLPGYLAVIAQLEDARHFLAGNCGLAAQWLADIIEYLGDRELADPRFLTNLGLKLNGHRAPSRASGDLDGGLLGATLDILEARFRRLLADHSAPLAMPKFGAAAAPSRVPAAAVQKLSLILDRLVANGRQDRCVAVYIDARGSVVSISLGALGIDYLRDPAQDALALGPALEMWGRHLEFVVRRLLESERQLCAKVFGQHKNAASACFAKVAMQAIVHAKKDLIKLQRLLEGFACLNKRFRAR >Dexi4A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:4A:21521909:21525745:1 gene:Dexi4A01G0017690 transcript:Dexi4A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFTYSSHKAVNEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKGFPLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSQKLAAFSAAYTELESALSGLNVLVETYFADVPAESYKTLTSLSSVTAYGFDLVRGTQTLQLVKSGFPAGKYLFAGVVDGRNIWADDLAASLSTLQALEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVDALAKALVGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVTAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >Dexi3A01G0034090.1:cds pep primary_assembly:Fonio_CM05836:3A:39392922:39393698:-1 gene:Dexi3A01G0034090 transcript:Dexi3A01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVVAHFSHPQHRLRLEHHDTPFRCDGCGEVGIGARFRCPHHGCDHDVHRQCALPLSPLRHPFYPRCAFVFLPRPPSAPAGSHRYCNACGRGVTGCAYHCRACGFDLHPCCAALPHALDAGGGVKLRLHPDSSKSAGAAVCHRCGHRGRSWSYRSQCGSFSLHVACVMDMLVESWHGVGENVYDGGRYGVPGIRGAARSSHASREYSSSYWGRKKKGKVKRCCEIAGFAGQVVISAVLGDPSALIAGVIGSLIAR >Dexi5A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:5A:25556935:25559423:1 gene:Dexi5A01G0021750 transcript:Dexi5A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAMGNPILTLPAPEGDGGDAADQMQLAPPPPPPPGAKADPPATVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRVSEIAAAPPGAETPAGDEPDAPPSDAPASAPADGAAAPADGAAADGKADHSAPFRLGPPPKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESTNMQFHFIRPTHSMFPFFTALTDAYSRVLKPQEGVSALVKELKEGSKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMSMIDWHDFVVVETIEFADDEYEGLPVPPTLEELKRRKRMQNLGEDEAMELAEPAKEVEMEMDEEEMQLVEEGMRAARLEENDGGAQVMAGDDEAPMRIVKNYKRPEERIPAERDPTKFVLSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATHALSQPQGGEEQFDPSNPVPGPAPLVRPGMSLPRPPQPLPLANVPRFIAPPPPYPAPPGSHIPGMPQMMPHMHQPHQQIPGQPMMRMPGQMVHMPTSIPPPPGQAQFMPGPPRPFAMPLPQHMPPMVNPIGVPQPPAPPLPPQPPAEEQPPPPDEPEPKRLRTDDASLIPAEQFLAQHPGPASISVSVPNLDEGNLRGQVLQIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLTLRERGGRKK >Dexi2A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:2A:28876107:28876642:-1 gene:Dexi2A01G0017120 transcript:Dexi2A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAATIMPRAVPQARKASLARFLEKRKERVTTAAPYPSAKSPLESTDTFGSGSANDKSSCTDIALSSNREELLCLGQPRNISFSQESPSTKLQI >Dexi9A01G0030850.1:cds pep primary_assembly:Fonio_CM05836:9A:35741424:35742233:1 gene:Dexi9A01G0030850 transcript:Dexi9A01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEEQAAPVRVIGGWASHYAIRVYVALKLKGVEYESLQEVVGNKSELLLKSNPVYKKIPVLLHHGKPIPESMIILQYIDEVWASNGPAILPADPYARAVQRFWAQYTDDKIAPASVVLRGVINGDRDEAAAHVYTALQHLEEAFVKCSQGKSYFGGEDIGFLDIVLGSHLGWLKAVEKIAGVKVLDESKFPELTAWADRFYAHHAVRDVMPETDRLVQFNTYLIGVLKAKANPNA >Dexi4A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:4A:19372173:19375248:1 gene:Dexi4A01G0015960 transcript:Dexi4A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYVAERAYEAATRSALAALERNLIPDAVTRRLTRLLLAQRLRQGYLPSAPLQLQQLLQFVHSLQEMPIAIETDKAKAQHYELPTTFFKLVLGRNLKYSSCYFPDDLSTLEDAEVAMMELYCERAKVQDGQSILDVGCGWGSLSLYIAKKYKNCSVTGICNSTTQKAFIEEQCSENELSNVEIIVADISKFEMDRSFDRIISIEMFEHMKNYRSLLKKISKWMKEDSLLFVHFFCHKTFAYHFEDNNDDDWITRYFFTGGTMPSANLLLYFQEDISVVNHWLVSGTHYARTSEEWLKRMDRSISSIRPIFENTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHYLFRK >Dexi9B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:9B:13435071:13440637:-1 gene:Dexi9B01G0018840 transcript:Dexi9B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRWRGEFHLATQQLTSGHGQGCDMRPPGNTLKVNIDGAFDAEEESGAIGAVVRDAEGGFLMATTRWLPDVGSALAAEAEAPCAGVQLIYTVTEGPAFWEHVGRDTDGGSLDERPSLAQPLQICKEEGKLWAASPVKQQPCILLIRKLNYSLPQTDRIRNVLHLCLIWTSQTARLKPNIFILGTLLTTTLDELPSSLKLACNLFEPSSSNPAGWVLRVRLSH >Dexi6B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:6B:23588636:23591833:-1 gene:Dexi6B01G0016320 transcript:Dexi6B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSAAAASAADSSSFSSGVVQLLLRNIDSRTTVVQAQPDETLDSVLARLSNGAARRGELRVVYAGRDLPRDATIGELGLPRDATLHVSSRLLSTPHIGAWGLASEIADAARLAAAGHHSSAWSVEKLVTKFLDFDRAAKAFKSGGSSVWDVADHMDVFLRSGAPALLVRFYLSGQRGSTHAVPCLLPPDKAWTAPVLLEFCGSLAAGGARVGDHIYTDLRGMLVAELSDPKWTPKRWRDVPRPWVAEQLTRLARDMANAVIEEMSPSGPREAQAETETTTKNLAEFKIIWSVLREKMLELYVVETPPRRPPWRKTLSETLVSLVRSVNDCMAKMSSPPPPRRKFGSSSSSSAVPRWTASLRTAVWAVLAELDAWPDVYHAMRTTLAAHAPVVTALVLSLSTGREVSQNARWITRHRDILEPKARRHLAMAMLPELITGGGGAPPPFEMLIDRAWLLPDSFGYIAHATPQDLRGAMSVAFKHEQANGPGVLREWFCLVCQALFNPRLVLFSACPYDMRRFFVNPSSVVDPLHLQYFKFSGRMIALALRHKIHVGVLFDRTLFLQLAGRPITLDDIADADPSLHASCKKILEMDPSLVDSDLLGLRFVREVDVLGLRTATELFPGGKDTSVNSENRHEYIDLLIQDSFVNSTRRQLGHFADGFSSMLGGTKSLTEFLDSLDAEDFDEMLGGSKDSIDMKEWRAHTNYRGYKEKDRQINWFWKVVESMTVEHQRRLLFFWTSVKYLPSDGFPGLGCRLFIFKASSSLDHLPTSQTCFYHLNLPAYTSLSMMQSRLHMIVQEHVSYGFGAS >Dexi3B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:3B:4907021:4911752:-1 gene:Dexi3B01G0006990 transcript:Dexi3B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPHMQGSGSASASCRAADYSAAWDAAQQQKRQRCQDSSSSDQVGSSTENKSLEASGTELKFDYGKNQEEDYYFGDDDDDCYDDDNDGSDYEYDPADYNQLLADKFDHLDLPTGVEASVPWLQKVERDVPGKFKSMSEIEEEIAKKYNFFKQFDTVDDFSDHHYAKSSVGKARKEWAKRIQHEWSLLEKDLPAMIYVRVSENRMDLLRAVMIGPQGTPYHDGLFFFDAQFPASYPAGPPKSYGIFFVFLNSLFQHFEDLVAGHFRERGPAILAACKYYMEGHEVGCKVPAEDEEKKESQDGEGSSNSSVALTPQNKPKFRSNRSPSFKPNLEVLFEELLMEFNVKGSDTAKFRAQKLKNQQADA >Dexi8A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:8A:6751698:6752060:1 gene:Dexi8A01G0006520 transcript:Dexi8A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESPPPAVVDADEEEIMSALQNTMNIPDPKMKGTEIVDAVPLRVVPYRGKEPIAFDKVKHDLLRNQSVPAKALSVRDRKEEVEIPLSLKSLKAYKEGDWKAFIDTRVNGDRKDWVSISI >Dexi4A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:4A:1429599:1430822:-1 gene:Dexi4A01G0002090 transcript:Dexi4A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLILAAAFAVLTPALASASVPALGINYGEVADNLPPPQAAASLLRALNATKVKLYDADARVLTAFAGSGADFTVGVPDRLVPRFAADPSAAAAWVRSNILPHIPATSITAVTVGNEVLSGEDATMLRSLLPAMEALHAALAACNLTSRVSVTTPHSLAVLSSSFPPSSAAFRREILPYMSPLLGFLAKTGAPFLINAYPYFAYKADPTGVDLGYVLFEPSATAGVEDEATGLHYNNMLHAQVDAVRAAICKANYGKGLEIRVSETGWPSEGDDDEAGATPENAARYNGNLMRMVAEGKGTPAAPGEPLVVYVFALFNEDQKPGPASERHYGLFKPDGSPAYDVGVKSSAIGGWKGSGGGGGGNGTSSGGAGLVVAQGPGGADGVGPGTGYYTVSAAAGNKVIN >Dexi3A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:3A:5122838:5123290:1 gene:Dexi3A01G0007450 transcript:Dexi3A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAVCWAALLLLLAMSAAVAAPQTADLAGAEETSDLLPAHHDGDKEEDTEAGTGRGAMAGDVASLASADEGGKEVGSDLGEPRKLDGEDDDKSDSDSGSDSDSDSDSDSDSDFDSDDDDEGQHSKDGNKKHPALGMDDELPKIIEM >Dexi5A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:5A:5992723:5995411:1 gene:Dexi5A01G0008070 transcript:Dexi5A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTQGRGGGGGEEGQEDAGSWRLRMNSSFHVPDRFHRQPPFYARFFGGSHGKQRKIAKYYKKQENLLKDFSEMETMNELGGLDQNAPTEVQEELRQLAKNERFAINLSNIVNLILFVTKVVASVESLSMAVIASTLDSLLDLLSGFILWFTSHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITQEHADFKRKQEIWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVAALLAVRYKWWMDPVGAILIALYTITTWARTVLENVGTLIGKSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >Dexi1A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:1A:26566822:26568359:1 gene:Dexi1A01G0019460 transcript:Dexi1A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRFFKRSPSSKQQAAACGGGNNGKAAGAAGCGAGEIEWEVRPGGMLVQKRDGKGGEEVIVVRVSTGFSWHDVSIGATSTFGELKLMLSMVTGLEPKEQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRGLAAQVVPSPCQPFIQV >Dexi4A01G0021940.1:cds pep primary_assembly:Fonio_CM05836:4A:25336198:25340405:1 gene:Dexi4A01G0021940 transcript:Dexi4A01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFDSSSNLWTMLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQRVEIVGGLLRELELAELIRREATRRPLEQLGGALRRCYALVTACQDCGYLRRLLAGARMADELRAAEQEIDMFIRFVPLISLVDSTHAHRVKSTEGVPSVVASCPNPQTSGYIAPEYASRGLYSVKIDVFGFGVLSLVIISGRKNIILEEQGDTVGNLVRDAWQLWKDGRLHELADPLLGDGYEIDEMVRIIHVALLCTQEDPVDRPTMSDVIAFLNFRSTSLLPDPKPPSELINNGDVDFKLSTYIGEQNRTIDITITSSAPVSTRVRIIVEPET >Dexi7B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:7B:17125096:17127964:1 gene:Dexi7B01G0009530 transcript:Dexi7B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRAPLLLALLGVAALAGGANAAGRKMVGVYELSKGDFSIKVTNWGATLTSVVLPDSKGEGFSKVIWTVKEYVSGGDCPYITLYYHSFDGEEGFPGALDVYVTYRLSSPYELSIHMNATALDKATPVNLVNHAYWNLAGQGRGDILGDTVQLFASRYTPVDATLIPTGAVVPVAGTPYDFRRPTAVGARIRDVYAGKPGVYGYDTNFAVDGDAGAMRRVAVVRAGGGSGRGMELWANQPGVQFYTSNFLDGVKGKGGSVYGQYAALCLETQGFPDAVNHPNFPSQIVRPGGVYRHDMLFKFSF >Dexi2A01G0029730.1:cds pep primary_assembly:Fonio_CM05836:2A:40761849:40762312:-1 gene:Dexi2A01G0029730 transcript:Dexi2A01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADKEELLAAAECGGEDDRPLLYIAREEQHPSYDHQKKAGALNVQLRVSSLLINAPFIVNFDGDHHAFIGSVSLATNQERSITPATLDEQVVADVASCAYETGTACGHGATRWGGCTTSRRRTWSPAHRKGWRSMYCAMEPDAFRGTAPIK >Dexi6A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:6A:27628668:27629190:-1 gene:Dexi6A01G0020070 transcript:Dexi6A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTVPYEAAEEEEAAAAGLGPTAVEAGRLGQGPTPTAEVAAGPSPCPTTAAADEVAAAGLVPTAAAAAGRMGPRTEAEPAAAAAACREAEEHLELGSLDFRDEVEEELGGKNRHGGDREGPAAGRTDDRERAQRGHHEHGEESDQRLGANAVHAGADGTSTTSTLT >Dexi2A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:2A:31873850:31874192:-1 gene:Dexi2A01G0019710 transcript:Dexi2A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATVTAVSSCSKREGDIAGAGMPNKAAKRGRQPPPEEVEAFLAAAESSMARRFAAK >Dexi7B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:7B:24354970:24356734:1 gene:Dexi7B01G0018720 transcript:Dexi7B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDGERSLLQSLDYPCTKRLRLRRLLAYHRRYMYEDVYKLMGTRMRLVFDVKDLVLLVKRGQWRAASSYVGCFFDVGSTSEEATLLLLFLQDLLALNEFAQGVHIVTCLLSDWILSIYKEPVLAEYPCFATLVADVLFLRSEHAGDFLNWHLVRNKAAEMVYKTPELKDRLHFPCGPQNLYHVLPIRSRYFRVQASSSIARHVKIGCHKESTDYAQSYLRMKRRLASSNRVASPDYSAYSAEFSARIKGELRMALLEKALKAGAQSVVEQGRTPDYSSIDDTSE >Dexi3A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:3A:9819284:9828740:-1 gene:Dexi3A01G0013510 transcript:Dexi3A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGMAEEPSAAAAARGGAGQLAPVAEEGDGAAVAQAPPAAAGSTKTMERVAAAKKFIEDHYKAQMKNLQERKESLLSSQVRLCREKSSGNIYAMKKLKKSEMLVRGQVEHVRAERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMNLLIRKDTLNEDVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEEEPMADENLRESMDIDHSFSDTANGRRWRSPNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKVLTHCALEKLFEISRQSKAHPWFQGIDWDKLYEMEAAFKPQVNDELDTQNFMKFEESDPAPARPGSGASRKMMLNSKDLSFVGYTYKNFEAVKSLHQSADLQRSSSFTKLSAGSPSDTADMDSSMEPDGTDTHMRSGSSGDPMVS >DexiUA01G0014950.1:cds pep primary_assembly:Fonio_CM05836:UA:31235839:31236060:-1 gene:DexiUA01G0014950 transcript:DexiUA01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNDPRPFSSSSEWGRGWADPEIRRQRLAGRKTTGRRKRMRHSAPSGQQPTGFTTARERLAAILSKKRMR >Dexi5A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:5A:13527943:13528618:-1 gene:Dexi5A01G0015730 transcript:Dexi5A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVHPGCKVYGQTASTNHHSELTDLGIIPSLKGSTISQKVPHVIFCAPPSGSDDYPGDVR >Dexi5B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:5B:12758287:12760731:-1 gene:Dexi5B01G0015520 transcript:Dexi5B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNVYKVDEDMDEQGTLCFERGKLKNRARTFTGAFVGIVSPVLLAIVLKKTTLKNIGNYQGTRMLENSIDFIEVIFPVLAAVTLPIGIMPFLCVMISEACAILPDCVVYLPERLLKASKVVVFLSNILLIFLGSGVLVFIHRNACFGWRGDSNAGCSEEYHTELEHSLEFSAGITAMMFLVLGSVVLEGLLKSTQLSQPTAPAPSEGPTIREPGTFLAATLFVSFLTSAIAASLMNVWTIPLAVLTGSHVRGLNITLATLAALVVFLIAWEGLELVAWLTLLLPVILLLMLLLIKSCNRVDDSSTPQGEEKGNEKKEEKELEAGPQPPGGQTQQQDEETKPAPLELTKVTLTGLLAVAVPGITSASPGIANKLCVFFAASTIPLGILWRLLTTHDNAPSPAVRRAASLSSFFAHAFFFCAGITFGVIAVNVPS >Dexi9A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:9A:16586887:16589979:1 gene:Dexi9A01G0021750 transcript:Dexi9A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGAEQHGCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDRRHRHEIPRHQIKKVICTLCSKEQDVQQNCSNCGACMGMYFCEICKFFDDDISKSQYHCHGCGICRQVPDIYVLLQKILTYMPQILKRFLFIQNDQSGCLISFFRTGGADNFFHCYTCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPEIYQKKMVWILCNDCSATSSVQFHVLGQKCPGCNSYNTRETRGGPVPAARSRV >Dexi5B01G0024990.1:cds pep primary_assembly:Fonio_CM05836:5B:27092183:27094447:1 gene:Dexi5B01G0024990 transcript:Dexi5B01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGTAGNNIGKVLQKKGTLILPPLSLKLKVVKAYASNQLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKEVMNGLDWVAITLAGLGTIGVGAGGEEQKVDQIPLLNIPWLVLSVVILFVGTILFAAYVLLNTWLHMYKKQRREQELTGPEVIEEIIYGLESGILFGISSVISKMGFVMSEMGFPKIVVPAAISCSVCCSAIGFVYQVCLAFWIYAYLTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPKAPTARFFLLLGW >Dexi7A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:7A:16118746:16119399:1 gene:Dexi7A01G0005050 transcript:Dexi7A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAGSRATQPTGSVDIVRIDVQRNGCSDKNHQLSLENEHSNNAAGKFSDLLELQGQGDSRIGLNSNQDSCVGGPGDKHTNIGDGRENGDMTAGKTLLPLNPQQIPWKKYRTDHRAKKLLLSNLSWDEKVVFTLNMVRRRGLFEYNRKTYSIVPTRFCEFNIAFFDLDKECEYILSMS >Dexi1B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:1B:1449039:1450235:1 gene:Dexi1B01G0001800 transcript:Dexi1B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSRGDRDEAPAGTSNPTVQQHLYLIFDDCDLGYTIRELNLPSSLNSAAAAAAAAEQLPPPLISLEAQRSSPQFFTAVGTNILATHPREDGQDILPVIDVRSTGIRFAPGELYPRRPIFLPVGGDDGEIFALDMDAFKVLSMKPLSILTPWWPQHEDHEDDEISDDDGIGGWSWRDLPMPTSFFSRMDVTSYAVGPDGQTILVSTADATFAFDTVCNNKVWEKRADWSLPFSGRAYFVHEMGVFVGIPKDANAYGHLCFCRWLLLGADADGDEQHDVWFSKDNLSNKGSSESHVVGTSLVYFGESSRFCIVECVRNGDDEAVMKWLEERGWEGQDHITGEEEEEGCPLNARCCLTTVSLTFDTNGVLTTAAAETAVRCYKVPRRASFYMNPAAFWL >Dexi9B01G0047210.1:cds pep primary_assembly:Fonio_CM05836:9B:46403496:46404740:1 gene:Dexi9B01G0047210 transcript:Dexi9B01G0047210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGHEDDDVDHYEVLCLPSGEEGAALTVEQIEKAYRTQSRLRHPDKRPDDPNATADFQLLSSSYKLLRDESLRRQFDARLRGRREAAARAAAAGVKRRKAVSDLEERERAAASGQPACPEELAKREMKQKAADIERELNEFHTAKQAAASGATPTSAYGDKKGGSSQDGVKTDKGKILKVSWDGSADSYTAAKLEELFQKFGKVEDIVIKTRKSRSKGSAIVVMGTKEAAVSPVSLLF >Dexi8A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:8A:17968261:17969451:-1 gene:Dexi8A01G0010530 transcript:Dexi8A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFFTYDPYDYYYASPYHHYPYPYYHHHQHQQTASPGPRTSGFFPVAADAEPAAAAVRRAAAASPAPRARSVSIPVHFVGPNPDPERETKAATRPRADPVAVAPVRRAPSETEAAVRLQAAARGFMARKSVRAVREVEREAEEIERKVEREAEELRGDDARARITVGEALMRLLLRLDAVRGAREYRRRVTKRVLALQDAVDALEPKAAPATAAVVVEEENEAEPVAVEMAEEDAVDQEVPDAADHGGAENDAKEVADEMEVDGERGEDGELGAAAEDTAEQPSDSANVPEGEWEAVTEEHAAPASPAAARQEVESRSADADGVPEVRKVMEMVAALCERSAQQFAVIGALAERVDALERAVRRVEDAERRRRRAKKLRKEGKGSNRGKCYSD >Dexi1B01G0021720.1:cds pep primary_assembly:Fonio_CM05836:1B:27578998:27580887:-1 gene:Dexi1B01G0021720 transcript:Dexi1B01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTPRPRLAFLILLCSSHLASSIRRPTDNDTPLAAYLVTVRRPDGLLPVDEPEALEQWHTFLLGQVCYTSDPATKDRFPTADSRLIYSYSHVVSGFSAWLTAPEVEHMARLPWFVEAIPDKSYKLMSIDDDHVPAPPELHWLESVRDGVWSKGNMGEGITIGVLDAGIAADHLQISPDDHDMPSPTAKWKGGCDHSEACNNKLIGLRTFVDTSRALGAAMFIGNGVDTFMQHHMTSVLGVEYDKAFDVAPKAHLAIYRVCNEECHPAAVNAGMVAAVDDGVDVVSMSVSAKEKAVFHDDDVAVPSYRAVARGVLVCAPAGSIGPDMLRVESNAPWLLTVAASDTDRRVVTNVELGNGILKPDVSAPGVDTLADPHGDVEYTDVQVKAATSMAAAHVSGAVALIKKAHHEWSPAAIKSALVTTADPAGADDALPGNATATSYFVTGAGEVNPVKAMDPGLVYDLGASDFILYLCGMRLGENKISKIVELMANTSCAETGEISAKDLNYPSIMIAMDEKVQQVEAKRTVTNVGEEPVEEYHVEVTVQGVDVAVNPSKLAFSEIGQKRDFVVTVRRQASTPAKAVIEGELKWVSEKHAVRSPMVVVVGETAATSAGLSYGAAADVASSINS >Dexi4B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:4B:4352103:4355448:-1 gene:Dexi4B01G0006180 transcript:Dexi4B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGDRILVTAHTLAAALALAATWRPGPGGHLLACSAAADLAHVAVSRRDRWGYLMADQLPRSKRSAPSTISRDATIAGAPGAALPGREMAASATIDAGAGLRSEFLDVLLSRRRDLQVPLSVEKGSPVKNPMYQKPVRPNEAAAMESCPRKEVENFKQKLVEEKFYLITELGEQGRAPVLLLKLNDPVPKRKPVIVFLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERASNESTYIDALKSAWRHGNTMPFIFDTVWDLIKLGDHLSKRVDVDPGRIGITGESLGGMHAWFAAFVDTRYSVTVPIIGVQGFRWAVDNNKWQARVDSIKPLFEVLLSLLEARIDLGKSEIDTEVVEKVWEKIAPGLNSQFDAPYSLPLIAPRPLLLLNGAVDGRCPIAGLEEPSSRVAKAYEESGSAEKFMIIT >Dexi9A01G0049850.1:cds pep primary_assembly:Fonio_CM05836:9A:52357791:52359396:-1 gene:Dexi9A01G0049850 transcript:Dexi9A01G0049850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRMGKIGNRFLWCCRACASPDDSRPHPPPLSESRRGMGMQRVVVMRHGDRLDQAEPLWPAKKPRPWDPPLTDAGLLRAWTVGKRIRAAAAADGWAVHRVLVSPFLRCRQTAERAFAALCAVPDDAALLAIEDAANVPLDTSRLKVSIEYGLSEMMNTHAMGSIVSKVAPGVNKWFPDMPELEAIFPDGTIDHSAEPIYPEVPKWEESILEARSRYASVIKALADKYPHENLLLVTHGEGVGASVSYFEMGLEIYDVEYCAYSVLERQFAMKAGDEQGGFSAGSFKVLTKSDTTGIQYAPVSESWFVG >Dexi1B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:1B:6365867:6367150:-1 gene:Dexi1B01G0007700 transcript:Dexi1B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAPRHDAAAPRAQHHQDAASPRRLVLACAALLHRGDVAGARRAAGEALSASDPRGDASDRLAHHFARALSRRIDRDDDHPPYAAAGEEESAAAAAASARPPVFSPAPPPSATAYLAYNQIAPFLRFAHLTANQAILDAAAAAAAAGDGTRRRRRLHIVDLDAAHGVQWPPLLQAIAALADPPPEVRITGAGPDLDVLLRTGDRLSAFASSLNLPFRFHPLVLPCTTTTTPLAGAAAGLELELHPDETLAVNCVLFLHKLTGGDGEVAAFLSWVKSMNPTVVTLAEKEATTTSISGDCPEEDDPHRRVAAAMEYYAAVFDALEATVPPGSGERLAVEREVLGKEIDDALVGRGGGAGRAGRGFESWAAAARAAGLSPRPLSAFAVAQARLLLRLHYPSEGYVAEEARGACFLGWQTRPLMSVSSWQ >Dexi5B01G0038090.1:cds pep primary_assembly:Fonio_CM05836:5B:37370225:37370839:-1 gene:Dexi5B01G0038090 transcript:Dexi5B01G0038090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLEFPSVESNSPVLTQRLLDACATSSPSPSPPALPGRARPVEDADGRTGMWFYLARALLSTSTMAGREEGRAFAERNGQSEPAKLEGTQPRRRDF >Dexi1B01G0030810.1:cds pep primary_assembly:Fonio_CM05836:1B:34646009:34646248:1 gene:Dexi1B01G0030810 transcript:Dexi1B01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSLPMAVLCCADYFELNGRDVTPHGRPCARAKCAIYGIFGTHG >Dexi1B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:1B:1224475:1226125:-1 gene:Dexi1B01G0001510 transcript:Dexi1B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVASLSSPAPAAASRRRRFSPAASASLRRVASGGGSSWRSERRLMSVLERTVTAGAAERVIRGYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTQARWFKWSSIHAASVAALLEVNGSIGESRSLISDSISPHLQSTDEVALFYCDLMAAFSSRGLKDRAVGFYTELRSMPLSGRKAYTAMIKSLCLMGLASEAEEALREMVSLGYQPDAFQFGLVAKCYGKSGSLVEMERVIASMSDAGIRLGTGAANIVLSCYSSCRDHSKMLVWLKKMRKLRVAPTTKAYNFVLNSCPTLALVIQELGPSLPLSTAGLVKKLKSVSKLAAEAELVQELLDSSSVLDKAMEWSETEVKLNLHGFSTIAAYVLMLQWVDVIKGRTLPLEVSVVCGIGKHSDVR >Dexi3A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:3A:16021679:16022616:-1 gene:Dexi3A01G0020140 transcript:Dexi3A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLLLTILLCSSSSLLTTTTANDDNPVAYCPSDTNYTRGGAFQANLDILLSSLPATAAGSSTVRHEHHRLLLVLLLLLLARRPDGCRADVINTSVCDACLDCSAQHMAASKCAGHKTAVLVYDDCLLRYSDKGFSGAVDTSPVVTWVNPENVTDHDQARFVSRLDGLMSNLTETAPRAPRMFAAGSAAVVMTSVRIYGMAQCTRDLAADDCGRCLARAVGDIQKCCDGRQGGQVIYVSCAIRFEVYLFYNLEDTEAAMSPAGHVNGSEHSGPGSSALVELL >Dexi7A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:7A:17433341:17437069:1 gene:Dexi7A01G0006150 transcript:Dexi7A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKEGAPPITGGGGGAHRGAFAFISKGWREVRDSATADLRLMRARADSVRARADRELEHLLASASALAGPAPPLPPVAAGAPIAEVEFVRKRIQPKIEELRRQYSSRAPGGGWPPGASTLRVDLSGITAIRNAIMAEGDGADRWRLARWKGERDEEGRNEWEVVRMIRSGLKEFERRSRTSDMFAGFRGRGEFVEKFKLSLKSLNKDCRESKEVPPLDLTEIVAYLLRQSGPFLDQLGIRRDLCDKLVEMLYSKRNGQLMYDSLSEDRALAENTIDDLDLRIARVLESTGYHRDEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSEKQKVTLVVPWLCKSDQELVYPNNITFGSPEEQETYIRNWLQERLGFEADFKISFYPGKFSKERRRIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHKNYLEYIKREKNGAIQSFLVKHINNWVTRAYCHKVLCLEEFVARVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSELNNVLNNTNGHPGLGAKIKKLRKKPLLPKLSDVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >Dexi2A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:2A:33330259:33340383:-1 gene:Dexi2A01G0021210 transcript:Dexi2A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFSTFSLRLLSGTSARASRFQTLAARKPPAASTVSGGGGRGKGKGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGISVGGHETCVTVPALNVAFDIGRGPQFAVSQDYLFITHAHLDHIGDLPMYIATRGLYNLKPPTVFVPPCIRDDVEELLQVHCKMSQIELSVELVALDLGETYEIRNDLVARPFQTYHAIPSQGYVIYSIRRKLKKQYAHLKGSQIMKLKQSGTEITDTILYPEVAFTGDTKSDFILDPRNADALRARVLVTEATFLDDHIDVEHAREHGHMHLSEVTIHMLTLPTHIMEHSQWFRNETIVLTHFSNRYSLE >Dexi4B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:4B:21362006:21366378:1 gene:Dexi4B01G0019110 transcript:Dexi4B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLRLRPCLLSARPVAARPRVVAALFMNIYIVGLNQLFDIEIDKSFGLGWAVGSQPLFWALFISFVLGTAYSINTFVFRRPAVFTRPLLFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSTSLWSKTATVRAIGPFLSP >Dexi5A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:5A:3532134:3533948:-1 gene:Dexi5A01G0004670 transcript:Dexi5A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQALTDSPIASKRTHFVLVPLMAQGHTIPMTDMARLLAEHGAQVSFVTTPVNASRIEGFINYTEATGLTIQFIKLHFPAVEFGLPDGCENADMLQSRDLFKNFMEACAALRDPLTAHLHQQRPSPSCIISDMLHWWTADIAREFGIPRLTFNGFCGFASLVWHIIARDNLLEHVEDENELINFPGFPTPLQLTKERCPGSISVYGWDEMRKNIYDGDRKSAGVVMNSFQELESLYIESFEQITGKKVWTVGPMCLCNQDFNTMVARGNKASMDETQCLQWLDSMKPGSVTFVSFGSLACTAPQQLIELGLGLEASKKPFVWVIKAGDKFPQVEEWLAEGFEERVKDRGLIIRGWAPQVLILWHKAIGGFMTHCGWNSTIEGTCAGIPMITWPHFAEQFVNERLVVDVLKTGVEVGVKGVTQWGHKQNEVTVTRDAVETAVSKLMDEGEAAQEMRTRAKEFGVKARKALDEGGSSYNNINLLIQEMGNRANASG >Dexi1A01G0030270.1:cds pep primary_assembly:Fonio_CM05836:1A:35597792:35599054:1 gene:Dexi1A01G0030270 transcript:Dexi1A01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIAGMLPGVECARRRRVRQGGSAAAAGAAEAPCGTRRQSFCLHTGGHDHAHLGSAAASKERSSVCKETMMARAWTLDSNAREAKERLDQKLRGQRESSVIIKRHQSAGTVRPPTTAKPHATGANNGGNNLHHSATAAPPCAVQREVFSKAPTTTSAAPPRRRRFSWTRLGRCAPPPPPEAEAEAECAVCLDELRAGDVVAHLPCAHRFHWSCAVPWVRAASRCPVCRARVHLAAA >Dexi1A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:1A:24550635:24555891:-1 gene:Dexi1A01G0017180 transcript:Dexi1A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTTAAGGDTAGPPDRAATRKEKQRQRKKERRRKARREAAARARAAAEADALPADPEEERRLLEQEEAEAAAESERARRAFEDAERRWLEAAAARAAEKAAAAAAAEEARAAEASPREKSKDDHGNEPEEDDDEWEYIEDGPAEIIWQGNEIIVKKKKVKVPKGVKEKPPVQEVVQEVTEDRPTSNPLPPQSVAFAAQREPPMSAQEVLEKVAQETPNFGTEQACSTDKAHCPFHLKTGACRFGVRCSRVHFYPDNSSTLLMKNMYNGPGLTLEQDEGLETCDRLHVLSCNSLQNSDLRHTMIWPFTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSFHLRGNVYVHYKSLDSALLAYGSMNGRYFAGKQITCEFVAVTRWKAAICGEYMRSRYKTCSHGVACNFIHCFRNPGGDYEWADWDNPPPRYWVRKMAALFGPAVDTTHGKASDTPDFGRYVSRRSMDEDVHTRHSSQDYSHSKQEHNSQRLNYRYSRHRRGSSAAERHQAQDTVDSNGRQLSITGSENQAHKHKHEERHRSDHGDGGKYDDKIRSSKHRSDWRGSCEPGSSDWPSDLSDTEVSKGPSGSKSSNRYDEHKKSKRKSSKDHNLERHHTVHKLAGKEHSTRRDSKRGREDDYYDEKDGRRGKSRKHKDDHNYSNDRWVATYSDADSDDGRYQKSSSEGTEFGRKGDAHSDADARHQRSSSRTKDDKTRRSSHSGNKQRSTTEEDTTGSDSRDLSSDSWSHRSRSSEENFSTHRSKRKRHQINDKSSS >Dexi8A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:8A:5799424:5808742:1 gene:Dexi8A01G0005870 transcript:Dexi8A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNSSGPVGPSGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADPSRTGPLDFHVISSSSHERFADLKAKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVRILCSGFEKAEKAKIEELVTAMGGLLQSKSSMDVNFVIVKDVMSPKYRYALNSMKKPVVTINWLEQCWIEHRVVPHEPYRILPFAGLNICVTKLNPACQDENSYLVCQSSSACNALNTLPKDQHNPEISSASASFQPVSAISVDDSVSTSQYPPASSGDVSKISNNDIVGAPDVQEANEMQVDSHVADDSEAENDDLYLSNCRISLVGFEEKELLRLLMMIRRGGGSRNILLNEKLTHIILGAPSEEEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPTHVATELLLKEFSHVAMEKSADTRETKIAKSSCGIFHVPTVNDSHDKQLEKDMSSERKPARGKSENSMNKTRSANRSANSSLHNGVVNISKSHPRSQGTSAVDSGRSKSNVFKGRHFVFSNSFSHDKRPEVVDWIREGGGIVVDDMQSTTVDYTIECHGQNSMPCDFSHSTVVSTQWIRSCFEEGCLQDVGSHPIFSPLRCRVPFPGFENYRFCISLSQYEEKERFLLKNLCYALGAKFTEKAYKGVTHLICKFASGPKYEAYYKRRTPIITAEWLFECVKQDTIVAFDDFQPKPLTSRDKEVNLCTVSVTSANEETTAPAVSKRRLVSVSGKADDTSRNIGKTEKHLESVSVPDVADAIEVLSNKIFEPDNSAIVQDQKDTHSFVISRSWLNMQQKQDDTPVTKVQSLNSPPAPSPAPTTYYPFSETQTESQVIGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >Dexi3B01G0022450.1:cds pep primary_assembly:Fonio_CM05836:3B:17276029:17278072:1 gene:Dexi3B01G0022450 transcript:Dexi3B01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRVVLVIAILATGTASVFFLGSEAQELKQDRNMERISGSAGDVLEDDPVGKLKVYVYDLPARFNTKLVEDDPRCLAHMFATEIFVHRSLLSSAVRTLNPDEADWFYVPVYTTCDLTSSGHPMPFDSPRMMRAAILHIASLWPYWNRTEGADHFFVTPHDFGACFHFKEERAIARGILPLLRRATLVQTFGQKNHACLKDGSITVPPYAPPERMEARLLPPRTPRSIFAYFRGLFYDTGNDPEGGYYARGARASVWENFKSSPVFDVSTEHTATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCVPVVIADDIVLPFADAIPWEEIGVFVAEEDVPRLDSILTSIPVEEVLRKQRLLASPAMKRAVMFPQPSQPGDAFHQILNGLARKLPHGDGVFLRPGQTVLNWTAGPARDLKPW >Dexi7A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:7A:2689342:2690167:1 gene:Dexi7A01G0001010 transcript:Dexi7A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKSFMSWSCTPLCKPLTNVSRSLLISLSSCHTRLFFRNDDDCIKILRNCHRALPEQGKVIAVEIVLPAIPEATPVAQNPFRHDVIMLNNFRGGKERTEQEFAKLAKDSGFDGEFRSTYIFASYWALEFSK >Dexi2A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:2A:27324217:27328563:1 gene:Dexi2A01G0015900 transcript:Dexi2A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVEVEPPAEAAAAVAAGLSPRRLGPRSPSAKRSWPSGCGRPAAPPPSAAAGGGLNGADGGARVLIGTADEVVAAPTAVSPQAQDVSLLDQQGLDKVAAPAAVSAVSQNSTLPQQQGLDKVEASLAAAQNGTPPQQGHNKVYEPVAPAPVSPVVFNGALRSALPESGGDRGEENGEAQLLGDADVPPLDGQEENRLVEVVSSAVAVPDSCGIVGSGSSAQNGGERFCLLVVEGEMGREEEVGGGVVMANRNRAGGGELERKQNGVAGGGTKRCLTSTVKPPPKKRAGEAVCKLPPDTRKTAATTTDNGVLEVSPIRTFPSSCGRPAFTTTGSVGEEGLPLEATPVTNGDALVAIPVSGGAASPTLVLEVSNEKMEGKRMVDGHNKAHSRVQILDGFAGIEQDGNLQPNVDAKSTPKNSSDEKMKGSISQLEGNQVAQVVVDGTMKDKIEGSLHRITRKTHLSDPIHAKTKGKRLESDKMNALLLGNTGKKMQSKILSTKRKVVCSNVNIKKNKSACKMNKFGKHVATNGIEASDDMDLIPEQLIVHALMAPDQCPWTRGKKCNASASKSLAPRSKLDGKDVTPRKLLTGKAASHESINDETMEDNDDSNLEDDDNSKSLVMCREKREICVTVLPSVPSGSHHKQLGDHGLDPRSKVRKMLQLFQVAYRKFTKLLEQGNRNLARLDYEAINSLKENPIYNKPGPIVGNIPGVEVGDEFHFRVELSMVGLHRPYQGGIDTSKVNGVPVAISIVASGGYPDELSSSGELIYTGSGGKAGGNKQGDDQKLERGNLALKNCIDTRTLVRVIHGFKGQSRSEAGPSKGKQTSTYVYDGLYQVVECWQEGLKGEMVFKYRLQRIAGQPELALHALKVTRKSKVRPGLCLPDISEGSERIPICVINTIDDMRPAPFKYITEVIYPTWYKKEPPKGCDCTNGCSDSIKCACVVKNGGEIPFNSDGAIVQASPLIYECGPLCSCPPTCHNRVSQHGVKIPLEIFKTGTTGWGVRSLSSISSGTFICEYVGELLEGEEAEKRENDEYLFDIGSNYHDEELWDGLKPVAGVQSTTWSSETMEGFTIDAAECGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMLFAVENIPPLQELTYHYNYTVGQVHDENGKEKVKDCYCGASDCCGRLY >Dexi9A01G0026790.1:cds pep primary_assembly:Fonio_CM05836:9A:30768825:30771418:1 gene:Dexi9A01G0026790 transcript:Dexi9A01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHNTMVSFKARRREPELVAPARPTPRETKALSDIDDQHALRYYETVIGFFRRCSGDDGPEDPAEAARSALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVAFVEADADVRLEDFGEPLLPPYPCVEELLCDPDYFVFGPREMKALESHVPGYLAHSVTSFELLTAVMWRCRTIALGYKSGQLVRLMITMNARGRWNRHTLIPWGYYGNAHFSPIAELTVDELCKQPLIDTVELVHRTKVSVTKECMESMVQTIASLRHKPCADPARTYEVSDTKWIAAGNGLQLGWAEYVGGGIPVAGDITSKLGSDHMRCKNQDGEDSTVVSMLLPRPAMERFKNEMAVWLNKHDDKNLIIQSSL >Dexi3B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:3B:2344811:2345170:1 gene:Dexi3B01G0003540 transcript:Dexi3B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGSGVRSGRLELQLNLSPPAAVGMDVDGGAHDDSDSSSPSSCVSSDGRSSSGGSPGSKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPCLVDLLHGEAGGGAEADGDKKRGKRK >Dexi7B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:7B:2266803:2276212:-1 gene:Dexi7B01G0001300 transcript:Dexi7B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGGDQGDEGAERTRGRSRMRWRRGIVSGWKIIKEARQYLDGKSRACSREACTFSGNYREIRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDIVSAIRSAMPGAVVLGDYAIEGGEISSHDRDTSGPSEPSGSLLTTFFLFHMLSSSPIRSSDEPRGTSRGLRRQRRRYLWGENLLGLQYDDDDEEDQEEEEVDLDEEVQRPRSRRRFIRSRSEERA >Dexi8A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:8A:28743256:28744947:-1 gene:Dexi8A01G0017020 transcript:Dexi8A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADPQSACEGTYLLFRGETLLSNGARATIYTIALAYCFIGLSAITARFFKSMEQIMKHSREVVSIDPFTNAPVLKKEKVWNYAIADIALLAFGTSFPQISLATIDSIRNLGQLTAGGP >Dexi7B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:7B:22345721:22347930:1 gene:Dexi7B01G0016370 transcript:Dexi7B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWECHPAHRLLLLFNRDEYHSRPTQPTQWWAPGEDSKEILGGRDDLGGGTWMGCTRDGRLAFLTNVREPSSLIGAKTRGQLPVRFLQGSHGPLEYATEIAKEADQYNGFNLILVDLNLGTMVYISNRPGGDPVIQTVAPGLHVLSNAAIDSPWPKALRLGQSFERYLETHDDAEASLKHMVEELMMDTIKPDRSMVPDTGVDPEWEYKISSIFIDTAKGQARYGTRSMVALAAKLDGEVTFYERYLENTLWKENFIQFHMEKEQ >Dexi1B01G0026070.1:cds pep primary_assembly:Fonio_CM05836:1B:30995084:30996291:1 gene:Dexi1B01G0026070 transcript:Dexi1B01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLKSHSHVAAALQSARRADLHGRCPATLHLGRFRDHGGFMSSRSKRLESTKVKAFPSLDVVPLMVTMVDHVDMSRDYVVTKSIFHISDATLKSAYTFYAMFTAWGDPFYDSDAYRSQGGDGTVHWYYDKQEDLEASAREELLREELLEEIELRVGGLRELEEAGREEQLTP >Dexi4A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:4A:419024:422079:-1 gene:Dexi4A01G0000650 transcript:Dexi4A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAEVPVSGPVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIAPAPEYQPPPTASAPPMSGTRVPVGGENNVVHKAEDVVSTMLAKGFVLGKDAVGKAKAFDEKHGFTSTAGAKVASIDKKIGLSEKITTGTSMVSGKVKEMDQKFQVSDKTKSAFAAAEQKVSSAGSAIMKNRYVFTSASWVTSAFNKVAKAATDVGTMTKEKMAAEEQQKGSGPSSGGHSYTPIQ >Dexi1A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:1A:21527943:21528265:1 gene:Dexi1A01G0014830 transcript:Dexi1A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPQYYGGLQDERDAAHGYGCIGGVPASGEAILGLRETSMDEGECSVCLMDFEAGSKVRMMPCSHCFHEHCIFSWLQLSHVCPLCRFALPT >Dexi5A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:5A:4574973:4575345:-1 gene:Dexi5A01G0006170 transcript:Dexi5A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELSVNQLEGSIPASLGVLKDLRYLGLAINNLSGEPPVSLYNLSSLGTLQIQSNLLNGSIPTDIGKRFPSMQLLALDSPTSHHSKHFASDLIVSVDTSLARWGDYELCNI >Dexi2B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:2B:23790843:23796435:-1 gene:Dexi2B01G0014250 transcript:Dexi2B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRPPLPPQPQPFRFWLPYRSNVGMGSWRQQPRPPPSQPMPSSPQPHPTTPPPPTPAGPTPTRRPSPHAVTEDITVRSESSDESDTIPVENSYSSQLRGGRPSMANLELTLSGEPPPTTGQEQSSGRVVDGNRGNDTTKIAISGFPRSRLFYGARAPYRREIEDGIKSLAAAARERETLPAPRTTESGQGYRVVTLAGHNVGASMVVGNGNAPPPETPGSTTARVATNVNSNVQSVNNSSMEGSTLSAGSPGVHVDIKSAREEEETEVVTPTPKEEDNKPKEPVRRPPLVVSRPARRRRCLRALMMENGSDTEAAARKPRPGACRFQCVADHAPPPAPATASNGGGAAGEGAAEDGTKSSAEEATRRFGVVAMASVGQKVYAPGVAVSEGNGGLPKINLKSPHGSEAEIYLFGACVTSFKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQASITGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELILDNGLGDKIAISNSNWSDAVLWNPHLQMEACYKDFVCVENAKIETVQLEPKQSWVAEQKIELV >Dexi3A01G0022660.1:cds pep primary_assembly:Fonio_CM05836:3A:18272592:18274446:1 gene:Dexi3A01G0022660 transcript:Dexi3A01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTIAGLYGLTGHHRGKSQSTVHELRDTDIIIQESHWANLPPELLRDVIRRLEASESTWPNRKNVVSCAAVCRAWREMCREIVLSPEFCGKLTFPVSLKQPGYRDGMIQCFIKRDKSKSTYHLYLCLSTAVLTENGKFLLSAKRSRKTTCTEYVISMDADNISRSSSTYIGKIRSNFLGTKFMIYDTQPPYNGGLVPHAGRTSRRFNSKKVSPKVPTGSYNIAQVAYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSVRDFSSARFSDIAGGTIAGDEEGQNKQRPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLTAASSQPPAAGAPTASQPAPPDQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi2A01G0025400.1:cds pep primary_assembly:Fonio_CM05836:2A:37036875:37038615:-1 gene:Dexi2A01G0025400 transcript:Dexi2A01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIAINIRACYVKVEDDGCGITRDELVLLGEKYTIISSFIFAVVVRELFYNQPVRRKQIQSSEKRELHHVKKCVLQIALVHPHISLRLLDIDSEDELLCTSSLPSPLSLISKNFGDDISRCLHEIAASDQGWVLSGRISGPVDVVHAKEFQYLCILLASSPLSTFIYSFSNSWKHP >Dexi9A01G0046960.1:cds pep primary_assembly:Fonio_CM05836:9A:50161110:50169907:-1 gene:Dexi9A01G0046960 transcript:Dexi9A01G0046960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIAPPYTLTAAAAAPPRPEPAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEKYTLEQFEAKSRAFSKIHLAGLREPTPLEVESLFWKASSDRPIYIEYANDVPGSGFATPPQSRRRKKRGREGDQVEEGEKGSGWRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNYLHTGAPKTWYAVPGDRASELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPDVLVARGVPCCRLVQYPGEFVVTFPRAYHIGFSHAPEKKSEDGCRIESSPFVHKDDSSSDGSVLMIGTETKCMPVNTKPSDAAFASVEKSDAHTNDEDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSKEALEGISPVQWERYKLSSEQESFSNLLPCCPAGDNVDYINLKALAISIAEEIEFQFDCTDIPLANASKSDLHLINISIDDEGHEEDGRDWTSQMGLNLKYCAKLRKETSGIQEQYPLSVWGLFSNPSPVSVVSNLKWLCRKARTPYKVIGIINSSSATANAGEEKLEAKEEIGTTGNVCEDDSRQQTFQQNGLLRPSGLHDSDDRGNTPSCSEENVHGKLRLVDIPISVAEYPMKHQVCEGPVNVSTCNDTVCSSDSHDSSPLATPVDATRYQGCAQSNDLSISSTSSVQQFLNDENTSMEGSMNCISNREYLESQYVTLQCRDECLQVQQDQVEMERCNNPSSTSVDPCFKEKLDISEEKNGGTVSVALGNEEGCAKTSNFSDAVIKPNESAIVNQLETCDVGAVPVKQKSSCDVPGPTLPPSVPHDLVSSELQVNDRHCSVVKAVERKNNNSAKQGSPHIDNLIFEDTEAASTSVIPGHDGKSVHTGSNSFDILLGALAEESKITDAHGKDEMDKMRSLSV >Dexi9A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:9A:2600117:2604518:1 gene:Dexi9A01G0004740 transcript:Dexi9A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAAATMNSARASKKRKQPVVAPPESDSEEEESVHDTASEGDEEEEEMRQELESEDEDEGMNEGSEDDDDEEDEGEDEDESEEEVEEVKETVKEEKKEKKKKKEKEVKETVKKEEKKEKEKKGKEEKKPKKGNGSGILSNKLFSELPISDLTANAIKEMGYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLRFSPRNGTGVIVVCPTRELAIQCLIIDEADRILEQNFEDDMRRIFKLLPQNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDNLRATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKIMVFFSSCNSVKFHSELLNFIGIECLDIHGKQKQQKRTTTFFDFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGDKGKGSALLFLLPEELKFLIYLKEARVNLTEYEFNQKNVPNLQSHLVSISFQDSKSFQFCFFCHKVAASFCFKNPPKVNLGLESSASKHRKKMRRVDGGRRHGIGPSNPYGRNARDGGGKGQLARF >Dexi1A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:1A:26181227:26185286:1 gene:Dexi1A01G0019010 transcript:Dexi1A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLALVTKEIQVMNLLKGHPNVVTLVAHDVFDMGRTNEALLVMEFCEKSLVSAMESRGSGYYEEKKALQIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGFDGAWKICDFGSTSTNHKCFSKPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWVWFRVNELLPLELQKRLPDGSSSAISVSLQDEGAHKRTHVMPRRNPPPPPREQSNTSLSHGSSRVGDAPLGAFWATQHAQGAQAADNWNPLFDEEAKPSLPSKQNQSRVDTGISIPGDRHGHSGQTSRTSKAASNSTPNNGFTSISSTNLFLETQSSVKTKAHQAQTKPKCDKDPVNSFVADFDTHNLNVAAGKASELELKVSSLKEQLKKTTLEKDEMTAKYEKLSAICRSQRQEIQELKRALAETTPPYNKVSSRTQDSVSQRKEKIEGTVWELEQGMLASNSSSASSDAKTWQAFPDTKAQARPKNVSSGSKYCYTDQQGRCSRELFPEI >Dexi2A01G0025380.1:cds pep primary_assembly:Fonio_CM05836:2A:37025603:37030837:1 gene:Dexi2A01G0025380 transcript:Dexi2A01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRKAKRGGGDLTGSAQNRIVCKDEWASGHLLGDSNKDMWTGLSDELKLYLSRSVASITLCDGLDQDPLVRNLTAIQKIEVLLKLNDQDQYGEGTLEHYSLHYNVALVNIKDYSTPRPLKALLDCKEPDKVVVVGRCFESGTLMATYGELVSWSGTLDCDYLVRSTCKISKVACANALLVRPEDKSDDDDEVGVITKSGRIRSLVM >Dexi9A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:9A:12680524:12681032:1 gene:Dexi9A01G0017630 transcript:Dexi9A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDCPPRLLRRLFGALTSPPHLPTPVELPVSLSLLSPCRTSPGGRRHHPVATPLLAADLVAALRACADRGGGARRVIGLASMGSRKGVVPVGNRAARGTTSPRLSLSPPHSVPPPLSSRRSGGEAPRRAGQAWGRSRSRAAASGISHPR >Dexi8B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:8B:18236326:18236773:1 gene:Dexi8B01G0009930 transcript:Dexi8B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAMKTFALLLLFFVCNPAKAQPCELSDLVITQKAVSSGVTSNLKYRVTVENQCICPQANIKLYCPGLRPSAGIDRDVLSVDGGKLCTLNGGRPIGMGPDSAVGFSYVGTSPFRFTPVSSTITCS >Dexi2A01G0022200.1:cds pep primary_assembly:Fonio_CM05836:2A:34072572:34073586:-1 gene:Dexi2A01G0022200 transcript:Dexi2A01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAEVRPAYGFPGSGKRSGGEQAAVLAVGKRRSDGFFIEDDEAEEVLTDTSSIGAPSPSGSSIGENSSEETSGEDGEEEVESKLKEGDALGCLDALEDSLPIKTGLSSFYSGKSKSFTSLAEATSTVAAAKELAKPENPFNKRRRILANWSRRASCSSLATVTHLPPLLAPDHAVAEGDEGEEDDSDDDVEYNHVPHRGKNVREAPALPLPPPRLIGVGMQRRNGLGSFRSPRSFSLSDLQNSRTDGSD >Dexi9A01G0045780.1:cds pep primary_assembly:Fonio_CM05836:9A:49316661:49317564:1 gene:Dexi9A01G0045780 transcript:Dexi9A01G0045780.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLMHIFPLLLVEISDPSKVTSKTSLSSVPSTIKSNSTRSTLTLPSMRDRSELPTPRTEGEILSSSNLKAFTFNDLKTATKNFRPDSLLGEGGFGHVYKGWIDENTLAPSRPGTGLVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHKNLVRLIGYCSDGDNRLLVYEFMPKGSLENHLFRSMDFISMALPCEVPSSMAWSFNNAIFLLFRRC >Dexi2B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:2B:7328596:7330629:-1 gene:Dexi2B01G0007120 transcript:Dexi2B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLAAFFLLALAPPPSTTAAAAAPTPATHASSCPYDLTTAARMIPRECHANSTAGAGATGCCWYVFAAYIYAAAAHANLTGEAFLPPPAASACSDAFASELLSSGLVSRSLLAGNGSCDLTGDPTKLAAGSRPCQLPAISDVRAMAPSSLPNATRLCATTTTTSVAPGTPGCEACRDAVIAATYEMLAAARTKESVPCGMAATVAVWSASPPSMERYRDYALCMLQVLENVNSLGTADLVPSPPPPPATAIAADTTSPPISSTKKTIAVGSAAAVVVVCITVAAVASITRIRRRRRRRNSGDSETGDEDDGKEVSSLPPLPREGLYIFTKAELTQATNGYDKKLLLGTGGAGKVYLGQLPSGQRVAIKRIYRAKKVSEFYAEVSVLAKLRHRNLTTLLGYCLSGDHHHALVYEYMAGGNLWHALFHGGELLLPWLRRLEIAVDVAEGLAYLHGLTDGPVVHRDVKPTNVLLTESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFAAGHVTEAADVYGFGVVLLELATGMRAVVPTPSGGAESIVQAAHWAVAEAAEVGRAADAMVDRRLGPGWDRPTVRGVFELACRCVRPYKHERPEMEEVRGLLKGMLADYKARLVGGDGGGDVMLLPDAEGFESTGSSAAASALPSTSSSTVDGEAISTPSMQGGE >Dexi9A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:9A:3058391:3060146:-1 gene:Dexi9A01G0005450 transcript:Dexi9A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLTVSDAEEEEPVELEPGAFIPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFDYIDHLFGIVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLAQKEKSEAIDSNVITPGTQFMFVLSSALQYYIQLRLNHSLGWQSVKVISMPGQQEKCFLCGQVGHLAAECRGPTQADNAVELPPIHKKKYQFLNIWVLREYLAKELEIVDAPFKINFERLIDDFVFMCFFVGNDFLPHMPTLEIREVC >Dexi4B01G0022290.1:cds pep primary_assembly:Fonio_CM05836:4B:23953098:23960964:1 gene:Dexi4B01G0022290 transcript:Dexi4B01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQELCRNFQRGSCKYGAQCRFVHASSSQQQQQQQAKPNPFGFGSASRQQQPFGAQFQQQQQQQQQKPNPFGFGVQGASKPFQNKWVRDPSAPAKQPEPAQAAPAAHTSCTDPESCRQQIAEDFKNETPLWKLTCYAHLRSGPCDIKGDISFEELRAKAYEESRQGHPLQSIDFAGSLGSQIPQQQSGSFQGSSSSSVGNFPKPSAGERRLPLPLLLPILTLLLLAAATPAASQPTPRLQAAYAALQSWKRNAIFSDPYNFTSNWVGPNVCAYNGIYCAPHPTDGALAVAGIDLNHADIAGYLPADLPRGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDLSNNRFVGPFPSVLLTLPSLRYLDLRFNDIEGPIPPKLFDLPLDAIILNNNRLTRPIPANLGNSPASVVVLAHNRLGGCIPPSIGRMAATLNEIVLIDDELSGCIPPQVGMLSQLTVFDVSGNHLQGRLPASVAGMSAVQQLNVAGNVLRGPVPAAVCGLGRSLRNFTYEDNFFASRPACPVVMADGRWNCIPGAPAQRPPAQCAAAGVPFDCSTAQCQLAPPVSPSSPPGGGAIGGGGGSPGTPGSGGSPSLPLPPPGSSYPSPPGSSTTPPGGSTTPPGGSTTPSGGYPSPPGGSSSTPPGSSASPPGGGSTTPSGGYPSPPGGSPTTPSMPPSSGPSHGGSPPYSGYQPPSSGWSPSGQPVVPPPTEHPGGVWPPHSPTAPGTPGSPSTPTTPGAPGSPLTPATPGAPGSPSSTPTTPGTPGSTYPPGHPGTPGSPSTPGTPGSTTPGAPGSPSTPGYHPPSPGTPGSWSPPPQGGGGGGDHGGGDHGNQHTPPSTPGGGGGGLPFPPVHGVAYSSPPPPPSDPGKLPFPPVHGVSYSSPPPPLPSVYGVSYASPPPPTTP >Dexi7B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:7B:16373598:16375463:-1 gene:Dexi7B01G0008580 transcript:Dexi7B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFFTEGLMEPSPLSPSVFLDLTPTADPITTNTGQLSHDDLVLPCISRMLMEDEIDDKLMCQYSDHPALLQVQQPFAQILSSPSTEANNGDATNEDNTNQANDLLLVSSGDQTHLSLAFSDRDSEYVVGEFLKGMEDASRFLPRGNSFVKEHQMDQMFIASKRKNLEDEVGRTRKIMMTTEVPEEIDIHEMLDGMVKGGHEAFIRDMAKLRIAMDEEVEKKSRICASKATRDMVDLSALLIRCAQALDTDNYLIAGELLKQIKQHASATGDATQRLAQCFSKGLEVRLMGTGNQLWKLLIAERLSDMEFLKAYNLYMSACCFHKVAQIFSALTIAGVMKGKSRLHIVDYGIHCTLQWAGFIRWLAKREGGPPPEMKITVVCCSQPSSFPAELIQKQRYRLSKYASELGLPFMFEVITTEWEKVCIGNLNINTDEVLVVSDLFHFSTLKDESVYFDSPNPRDIVLSNIKKMKPAVFIQSILNSSHGCSFLSRFREMLFYYSAMFDMLDAIVPRDSEPRLVLEQDLLGRCALNTIACEGVDLVKRPEKYRQWQSRNQRAGLRQLPLKPVVVKTLKDRVKKHHHKEFLLNEDGHWLLQGRKGRVLFAHSAWVAEDRSSQ >Dexi7A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:7A:15543133:15543516:1 gene:Dexi7A01G0004590 transcript:Dexi7A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLTQRLGTFSRNRWLVFVAAMWSMAGAGYLFGAISPVFKEALGYNQRQVAALAVAKNLGGYVGAVAGTLSATLPPWAMLLAGAAQNLLGYGWLWLIVTDRAPALPLSMVSCSSPDPHGIVVL >Dexi2B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:2B:28570346:28571325:-1 gene:Dexi2B01G0018250 transcript:Dexi2B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSASTASPSPPPFFAPPHFPLFHPGAPHHHHHHPFVVGDDVAAAHAGMGVAFPHPHWREAPPPVPTRLLALGAPPPPGGPSPLPSPVEGGGGHRASTATTTAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGLPGRANKKPAPVAVGFNNSGGSTTSSSSLTIAEEPSPPPQRRADKSGGEAGSSGTAPTMLFGVHLNAVPCGVGAKRPASPEEEGPAMSPARKPRLSMEGAVLSLTVATTPSAASSPASTS >Dexi9A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:9A:10404270:10406860:1 gene:Dexi9A01G0015600 transcript:Dexi9A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAHLCHGGGGSWAPGDLAPTCYTWALRDLAPTLKHISRCHPFFLSSPFFSSFPLQRGAVAGAPYGAVGSSRRRRPPPPPPSTREQGWAPGLLAPRYNGWAPCDLEPSSHPRPRVPATLDPTRHGAHLLYLGARRPGAQLWGIFVAMPTLAKAATPAPAKMQETPAREDDLS >Dexi5A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:5A:6356992:6357927:-1 gene:Dexi5A01G0008540 transcript:Dexi5A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQQQDEGEEPRTLDVTVDDLDALDCPLCFSPFDAPIFQCKNGHAACEACCARIRDGLCSSCHEPIGEIRCRPLEKAIAAMLVPCAFAEHGCTRRLRYAEKAVQEALLCHYAPCVCPLPGCGYADLDLRDHIQGAHAAAAGDHVVVRFVGSAAVTLRRGTPLVVLLQETDARVFLLLNGGDVPSGRSLSVVCVGPRLGAGKSMEYELRVVGGRGGTGALSLSASGPVACTRMWAGHHPTEGFLFVPDAYWSSSGAVSITVHVRKLNGGERQGMSA >Dexi9B01G0040340.1:cds pep primary_assembly:Fonio_CM05836:9B:40907433:40912176:1 gene:Dexi9B01G0040340 transcript:Dexi9B01G0040340.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPEKMMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDFTISWLPFYSEAKLLFFVYLWYPKTKEPQQPQQQQPQVQQQQQPQKQAAPVMRRAASIAARQAAMAQQPQETKPVPSSPKIKRQASAKSTSMASTKPVTAASTPKPGGSPKKSEAADTSR >Dexi6A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:6A:3160144:3160758:-1 gene:Dexi6A01G0003510 transcript:Dexi6A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARTTHSILSVFFLLSLLATLAADAGSRCPRVPSMTAEHACTAVTGTRRMLSLCLRTLHAGDGAPVEVLVTRHAVAAVRGALESYAATVAAATSLLDAGEVAGDDEKAAVGDCMVGYGTARGAMARVAGELQVVDAGCGDDDRKAGEIKVGYMAGLRGMDGCRRSLMNYPASPLYERNLADRNETLLAALLCNLVVTAPLG >Dexi8B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:8B:20969867:20970930:-1 gene:Dexi8B01G0011780 transcript:Dexi8B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIETILMLMNGYDLAPQSTRPTPTPLPIVVPQDFDRTAAVSRYREKRKSMLKFDVKADYSIRRESSENLVAAATALWRQIECCANCGESSEATPMMRQGPNGYKTFCNACGLMWAKTGKIRKLADPEGGEAESRAGRRVHDGAAGLVKVERLD >Dexi2A01G0030610.1:cds pep primary_assembly:Fonio_CM05836:2A:41500151:41502552:-1 gene:Dexi2A01G0030610 transcript:Dexi2A01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSEIEHDLPMPPHLMIRPILDAVKAELERLFLDKVSFRLVMFKPFNGEVLVGRISGYDDKGLQVSLGFFNDICIPGHMMQYGTVRGPDGRWMLKTEDGDELYLDLDDEIRFLVSSTKYPPIPIEQKQDDPPFAPMQIVVSIAA >Dexi7B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:7B:20617635:20619516:1 gene:Dexi7B01G0014340 transcript:Dexi7B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTLEVLLVGAKGLENTDYLSNMDPYAVLSCRSQQQKSSVASGKGCDPEWNETFVFTVSDSTTELFIKLLDSDGGTDDDFVGEATIALEAVYTERSIPPTVYNVVKDEEYRGEIKIGLTFTPEVICLT >Dexi4A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:4A:4449513:4455177:-1 gene:Dexi4A01G0006200 transcript:Dexi4A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSTAAAPEGVLHRKIEFHLARRPHSVVALGGGGFRMETLNPDAAGKAGAAAAAAGSNEGDARRPEKGDTRRPEKGDAGGIDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTSGFCALCALQNHVKAALQSTGRIVTPSFIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYEKSLVHKIFGGRLRSQVKCTRCSHCSNKFDPFLDLSLDIAKATTLVRALQNFTEEELLDGGQKQYQCERCRQKVVAKKRFTIDKAPNVLTVHLKRFSPFNPREKIDKKVEFQPVLDLKPFVSDSKGTDFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVYEPVRILPIRVRQVREADVLKQKAYMLFYVRDSIGNSVARKNNSTANLPTKKTPEKISTLNCVTQNSVKTQHLNGSSPLGDKMHSSSNVYSTIFGKASAEHFSKNEVKSEDAASSQSNGLPSSQALEPRNDGVTLSTKSVQCSANGKESSASASHQPESFTKTCGKQTVVGKSLEEVESKAEVGKNTSVASPMSNAAGTVAKSDKLTSQPQATPFSKPTGHMNDRSAGFAAQTSSKKDTVSNSVEKPRELTGSVEHADNDTAKALPMIQENTAPGLVQVDCGKQISSGGSMQAVVAASCNGTAAKKVNLKSKKFVRYPVVNMWLGSKKLLVASLKPGKKTKHKRTRRRAMVCTGVASISCIGDSMNEQLASTSATAQSETVEFTSGHQKRSHASAMPKDDPQSSQNKQKVDGAFVGTDTSAPTANADILKSGPNASGNQAQSRENEDAKLGAPRPVSICASDLMDATVPRWDDTDMPNTKVAERQHSKRKSIGYVLDEWDEEYDRGKTKKVRNSKQDFGGPNPFQEEADYVSQRRSKQKSYQGKSWDKPSMIEELRI >Dexi9B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:9B:460163:464706:-1 gene:Dexi9B01G0000740 transcript:Dexi9B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKATPVKPAPPSTQRNGSATPSSKGRPKKASEEASAASAKAPKSPKITKEKPAPAKKKRDKPEPPQEGGKRKKQQAPGDAAAPAKKRKKGDGPGPKPQKEPKPAKKEQPSGKPEKPATPAKKQQPSGKPGKPATPSKKQQSSSKPEKPATPAKKQRSPGKPEKPATPAKKQQSTGRAEKPAPTPKKKATPTKQQSPGKTRKSPAPATTPTKKKQAKPEMPMPTKKKRGDEPQKEPRSPKRASGDGEAPASTPVKKKRKDQKAAAADMGACSFPMARVRQLMRAEDDTIRPSNEAVFLINKASELFLGKFAEDAYHNALKERKKSIIYDNLSTAVCSQKGFKFLSDFVPQRVTAEDALKATAGSKS >Dexi1B01G0024490.1:cds pep primary_assembly:Fonio_CM05836:1B:29789352:29792480:1 gene:Dexi1B01G0024490 transcript:Dexi1B01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYAEGEVCGVCGHRWKPSDGEGTPVRHESAFPTEVLNGFLFLGSYDNASRSEVLKTLGISHILNVQLCERDKSRVLVHCMTGKNRSAAIVAAFLMKSRGWRLAQSFQWVKDRRPQVQLTDASQNELLEYEQKLFGPGDQPLIPTESFASLGFGYPKPEGDAQAPTFNQTTATSIFERVSPNDVPANFAFGAERTAGVNPKDDNNNGGANPALADNVMDSS >Dexi7B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:7B:16850951:16857440:1 gene:Dexi7B01G0009190 transcript:Dexi7B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSGLRSLEGFRSLVGPTSTAMKAANPKPSSDVGGSSYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQNEDSKLWQGLDSKISSTKTLCDQLTETLQQLASQTEQAEEDKKYFEEMLGKNSKALDEFNNLLHDLSTKLESAQQKIISGKQEMLQIKQEKQEMDRSYKEKLCSNDATIKEKDSLIKQLEGSVDENKSRLICLDSRLQCMEQELKLKDDVCISLKENLASSESEKNILELRNQGCILEIEKLCKDNKDLNELLGSFMAKVTELDKEHASMSGHMSRLLRSFERFYEMTQEEKMLVARSSKDKFEHLQQQYVDLSSENNALKIEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNINRLEKLASELQGRIQKLLEDSTLAENHKQELLEKISKLESDNQELQGQVQSIIEEKSNNAESLQGEITKRDQQVDTLENQINQLRCVLNEKEQLYCCSLEREKTLEDQKLQVEASLTATECQLSEAKKQYDLMLEGKQIELSKHLKDLSLKNDQAINEIRKKYELEKVEITNAEKEKAEKLVRDMESRCNDKISENKQDSERHLMRLKEEHGSMVARIQQDNELKESTLRAYHKEELQRIQSQAENELRERLSLLRKEHELQIQSLRMQHEEECQKMQEELELQKSKVEEKQRALLQLQWKVMGENQQVDQEVNSKKVVSTHEEYSVSSMTRRDPYGRKEHELRLDVNLSGILQSPISNMLRKVEKGSQDIPKHRKVTHHEYEVETANGQITKRRKTRSTVMFGELNTQKSLHNTAEKDVTKIRKV >Dexi1A01G0026160.1:cds pep primary_assembly:Fonio_CM05836:1A:32179090:32180152:1 gene:Dexi1A01G0026160 transcript:Dexi1A01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEALSLPSRGGACHARHGACLASPAGGTRLARAPFRCKEVTLHRSTAWSWPSAGRRLCSTAGELVQGPRRLGDGDAHQEAEEGSTVARTRISPARAWSPRAAPELLATTSLVTARVTGSSSAPWLGTTRLGWTAAVKSTAADAIDCRAWSNDQRVLCFGCDACKTSVVTTAIHHWKAVAVVNVAVLVLLSLSYSLGCCAIRNNRDRRYYYLSSIIAFG >DexiUA01G0020950.1:cds pep primary_assembly:Fonio_CM05836:UA:43184586:43190984:-1 gene:DexiUA01G0020950 transcript:DexiUA01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGMVAGSRDGVVTIRHDVDGGPTVRSFSACASLLSSAPARVSLRRVSGPRGARGEPSTCGGGRAPPAGLGRLRVGFAGRAAGSPRVPGDEEEDGVDDLDNEFNYEKGNGKGAQLQLQGQGEDVDFSSSSRHEPHHRIPCLTSGQQISGDIPDASPDRQSIRSPTPSYVDPSIPVPVRIVDPSKDLNSYGVGSVDWKERVESWRVKQEKNMIQVTHKYAAEGKGDLEGTGSNGEDLQMADEARLPLSRIVPISPNELNLYRIVIVLRLIILCFFFQYRITHPVWDAYGLWLVSVICEVWFALSWLLDQFPKWYPINRETYLDRLALRYDKEGEPSMLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMADGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLSEADLEPNIIIKSCCSGRKKKDKSYIDSKDRAMNRTESSAPIFNMEDIEEGFEGYEDERSPLMSQKSLEKRFGQSPIFIASTFMYTGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPLRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPLTSIPLIAYCVLPAICLLTNKFIIPEVGIMILNYHIIKLISNYAGVFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEEGDFAELYVFKWTSLLIPPTTVLVINLVGIVAGVSYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSVLLASIFSLLWVKIDPFISPTQKAISRGQCGVNC >Dexi9A01G0043850.1:cds pep primary_assembly:Fonio_CM05836:9A:47544849:47545257:-1 gene:Dexi9A01G0043850 transcript:Dexi9A01G0043850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAATARPGAARKRQRSRSPPRDGEGPSELKHARLRLGGDGGAKGAWEHLDLVLSLQGKELALERQL >Dexi7A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:7A:23462277:23463532:-1 gene:Dexi7A01G0013380 transcript:Dexi7A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVSSLSSMASSPIPSPQLAKPSSRALSAVPCASASHSPSTSSSPPCHAAAAPPQVVTSVAGRRGLLAMGAGFLASAALLCPAGDAGATRIEYYATVGDKLCDLNLAKSGLAYCDLEVGTGVQPPRGELINVHYTARFPDGTVFDSTYKRGRPLTMRIGAGKILRGLEQGISGGGGVPPMLVGDCNIPGNSTLLYDLYLVGIYK >Dexi2B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:2B:6573660:6577732:-1 gene:Dexi2B01G0006650 transcript:Dexi2B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYRARSSPTSPLTPSSSARTKKILGFSVSLILINLASIMERADENLLPAVYKEVSSAFNAGPTDLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFGQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGKNYWGFPGWRLAFMMVALVSLIIGILVYLYATDPRKVPGNHLLDEDDYERFHLSSKDVLPPPSIWRDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFIGGVLADRLSKHYPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWYAFAFTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDAKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLASIKEQELV >Dexi3B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:3B:870506:873219:-1 gene:Dexi3B01G0001170 transcript:Dexi3B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQAAADDRRNSYPQARIPLPRLIAFALLLGFLLTYTSFKSIDEPKLGLWQTLASKAKGILDEDALAHKFEDFRKERPRSNSSADQAPQSRWSFENHWKTGGEAAARIRPEALSASVNQLSGRIKNAFEVANAMAAKAKLLLRELKSIKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDDLIRLQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLGDGDTEDDDPDEENNLLYTENMLPVVEENSGDEELSPVPSRPESPVIRPGEASSPMSTNSLNPSASDTA >Dexi3B01G0027250.1:cds pep primary_assembly:Fonio_CM05836:3B:22785245:22789289:1 gene:Dexi3B01G0027250 transcript:Dexi3B01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDGLVDPMEIDGQQQLQVEGPTAVPEGFNADYLRVYYGKLFPYGDFFKWLCYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDMILVSITYCGYIVVVVVYIAGSVIVGQGSMLSNEQRSAIADYFRVYKGGENVVKKVSLAGPVLHPFLARSYTDVLENFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNAARWKQLKLTLQSGKHKSQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCIPIDPSNCDDFDPTAVPTLSQLLGELNVAGFQADCENIWERTSLEKSIRFFRTSFLQPLLKACKEELESAYNAKLQQSKNSLNW >Dexi1B01G0023090.1:cds pep primary_assembly:Fonio_CM05836:1B:28756525:28756920:1 gene:Dexi1B01G0023090 transcript:Dexi1B01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAPFFAAAWPATGRTRSSSWQAGAGDPFLAAGGGQQDALPSWLQVASRRRQGRPELRTATGRRPGRSSTCGEERGSKGSGEGGWMATRAARRGRQRRGRADGGEQGRWRAAVAEKEPFELLLLFSSRH >Dexi1B01G0022430.1:cds pep primary_assembly:Fonio_CM05836:1B:28169097:28169798:1 gene:Dexi1B01G0022430 transcript:Dexi1B01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAPAAAASLRAPIYIAAASGRRSVLPVAVKATAGSGSATSPPHPILSSLRMAASAAVFLAATSPALACTPSAPPPPPPTPLTATVHPDDPVQDASSSPFEKLIVETATLARDGGAEAARARLSAAGGDESYARLLAAQALFVDGKVDEAIAAFEELAREDPADYRPLFCQSVLYRVLGREAEAESMLERCREVGGDALFADSAILPTPAGAEAVVSESGAEEVEPEPAKV >Dexi5B01G0029580.1:cds pep primary_assembly:Fonio_CM05836:5B:30776673:30780719:-1 gene:Dexi5B01G0029580 transcript:Dexi5B01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAQAPRGGGGFFSARSLSNYMRIVSSGASTAASTLRSAGASLVNSIANHEEDGSRDQVQWAGFDKLECGGGMLRQVLLLAYKSGFQVWDVEHADDVRQLESRHDGAVSFIQLLKDPIFTTKSEDRFADARPLLALACEGVYTGNGNNHDTNVPIFDGTNGAFHIGSEILPTVIRFYSLRTHEYVHTLRFRSAVYSIRCSPRVIAVSQATQIHCFDAATMEKGYTVLTSPTVAQISGYGPLGLGPRWIAYSGIPVPVPNTGRVSPQLLSLSPFVPPPGSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYCADFIPNGNGIVKQRSSGYKANGATNGHLIDSEYAGTVIVRDIVSKSLIVQFRAHTSPISALCFDPSGTLLVTASVHGQNINVFRIIPPPNGTPEAGQIGSYVHLYRLQRGITNAMHFQVMEGETLETDNVDTTSGEAEVEKIQTRNIESRSKNLIPVFDSLHTSRFQQTRYVRSLNIFTTSC >DexiUA01G0014200.1:cds pep primary_assembly:Fonio_CM05836:UA:30072511:30073533:-1 gene:DexiUA01G0014200 transcript:DexiUA01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGTHGATEEHVEQPALPGPQDAPDEASRENVSSIEDDGELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAETAEPNQVENPFGNIAPKNPNWDLKRDVQKRIDRLEKRTQKALAEIALEQQREKEALEEAQD >Dexi3B01G0037160.1:cds pep primary_assembly:Fonio_CM05836:3B:39966691:39969434:-1 gene:Dexi3B01G0037160 transcript:Dexi3B01G0037160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSGRDQWADGVKLGRPSPPPFVPFLLTAAPTRHRHFAVEPPWPRSRSPPAPSPSSARTGANLLSTASSFPNPSPPPPPPPPPSRRRLPASTPPPPPTPTPPRSSSARRPTKSRPXXXXXLPKPLATATATASALTPPTPRFDPTSTPDPDPTPLFLRPATHQVPAAALGAFRHRAAALVPSSAPHLHRHLRWLLADAAAGDPSSSEPALLRVPLDELEAMWQRHVRCRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMVRKVEGFADGWWADLGTGSGAIAVAVARELGPRGKVFAVDVSEVAVDVARLNVQRYGVQDKVEIRHGSWFDPLEDVKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVLETNGNKQSEFLVDLISTKWSSSFHCVEAVLDFAEIKRFVTGYRK >Dexi8B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:8B:1517560:1517904:-1 gene:Dexi8B01G0002200 transcript:Dexi8B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCVAEERAALLRIRSSLQEAGRSGNVPIEPLEHTGDCCSWSYVSCDDNRRVKGLHLSGLYDLEGSVVTAKSRCWDLNMTLFSPLLP >Dexi6B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:6B:18660210:18660557:1 gene:Dexi6B01G0011440 transcript:Dexi6B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHHRRSQTLPSDGQPPPATGPGTAPHPRGNPKPNRVANRRARPPAMYGTRRTLDTAHAPEKHVRWHASGTQRQAHTPESSQLIAFVPSGGGCTGPGSSCPHDAHVTRNGRRQA >Dexi5A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:5A:7774644:7778600:-1 gene:Dexi5A01G0010210 transcript:Dexi5A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVINEGAVLLLPSTHSARLDYPVLLAGKPCGLDLDLKWAYQTAAQLSPAHVGTLRLGSFVAREKACYTPRYRPGASVWSGLQIPDVILSKPSSSSPSVTTHDPSRSTTPPARTSISLSLSLSLSLFPRPHQRALPFAPSPSPLVASRLRVRRQRRLRTAVGRGGPPVEDAGEARRFRSGAPARQERE >Dexi6A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:6A:639505:640596:1 gene:Dexi6A01G0000770 transcript:Dexi6A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTNTPPSVTRGHGGGTSTYTSCWMTGTGASASTRSTPTYDLHGGGGARHLPSPPALRLASPVGTAPQTGVSFAAMGTKIFALMSHRCGLVYDVDTAVLEVGDHAPSHMVCGFGVTVAIGDMLYALTYRFSDKQHSFEVLSWESSCVPDATHHHQRREGWSWRTLPSPPPAFNSRVNAYVLHPDRRTIFMTTANEGRMGTYSFDTVDSVWRWHGQWALPFIEQGHFDGELDAWVGLHRDGSICACRVVVASPSCHGTVTSPPELDCKITKDKLFRNDDDAEMHMRATLTYMGASRFCLVQCVAREGVDEGQALLGGCAIHLTVFGVKYSHRGELQITDHRSRSSFLVSRHKNHFGPVAFWM >Dexi3A01G0034010.1:cds pep primary_assembly:Fonio_CM05836:3A:39324152:39341128:1 gene:Dexi3A01G0034010 transcript:Dexi3A01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEALRARLLAALRPWLAADPAELRVEPGLLARSRAVARGVELDAAALNAAAGAGRSASWPATFDRAAAAEVELAASPWSAPAVDAVVRGVDVALTLREPAPKKQRPDFKEWVSKEKKRVLASLDPQFIESMRLEVLKSDLGNVKYSEEFIGRLASFYKNDIKGSTIELIEHIAQRDKVDSHVELSVEMQLDLESAYIIFTASRDVLFTNPAVFINSSIENASRLALVCHFKDNVDAIVPGQQSVSVFLRHFPFDDNVSHDQNMVSICLVKEGVFSTIPISISLHESGIFAWRTRVSPVKDLRSFSGPFVVVKVSHYSEEGLSLSVQPLLRVYNKSDFPLELRFQRPNKTNEEAAFVTVRSGDMVDESIGVFDAMDLSGGSKRALMSLALGKRSDGMVTDGIKGDPINDGKLKQFASVDVVQETTSFVLHFSKPPKVPEISNSAVELNGVLLNHALVTFRELLLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVLDVFFDPSDGSLNVPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSVVRGAETNGLNGMVTGFHQGILRLAMEPSVLGHAIMEGGPDRKIKLDHSAGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDQVILKNLPPNSALINEIVDNVKSFLVSKALLKGDSSTVRPLRHLRNEREWRIAPTVLTLCEHLFVSFAVRVLHREASKAIAGVMARAKKPTTGEGESEGDSSSSGGGVLSKRSRVWTVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIESRGNE >Dexi6B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:6B:4413740:4415048:-1 gene:Dexi6B01G0005100 transcript:Dexi6B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSPIPFLLIPKAASLLALSAQIHPLPILQVRGAAGPRWLARLMLLRDAVRIALSSGLVVWVCPADIKAITKQGGLWTKSLMVEKGLAYWRLNGYCDNSTILVQEYADDEFMANKDKWFVFTEDEEKVIEEYIATR >Dexi7B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:7B:20648998:20649312:1 gene:Dexi7B01G0014410 transcript:Dexi7B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLLKHNPCITAYKSQSLNLRQQLADATVPLLGAKAARRAIDDWGSRRASGAITHVLALYREGGGPRL >Dexi9B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:9B:4077834:4079885:-1 gene:Dexi9B01G0006800 transcript:Dexi9B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFRLTRSLLVAGVLLTAGVFGVAVLGAGDDDEQFVYSGFTGAPLSLDGTATITSSGLLELTNGTAQLKGHAVHPTPLKFHRTPGGPMRSFSASFVFGIIPPYADLSGHGIVFFVGKDNFSAALPSQYLGFLNDKNNGNATNHLLGVELDTIRSTEFNDPNDNHVGIDVNSLTSAAVNDAGYYDDGTGDFHNLTLISAKAMQVWVDYDGETTQINVFLAPLKMSKPSKPLVSATQNLSDVLVDPAYVGFSSSTGTVKSLHYVLGWSFAMDGPAPDINIAGLPKLPRFGPNPRSKVMEIVLPIITAASVLAVVAVVVVLVRRRLKYAELREDWELEFGPHRLTYKDLFDATEGFKSKKLLGVGGFGRVYKGVLPKSKLEVAVKKVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGSKEDKEEKATLDWAQRFWIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSDMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFILEVVCGRRPVEQSMTDNRMMLVDWVIDHWQNESLAEVIDERLEGRYDAGEATLALKLGLLCSHPLPGARPSMRQVMQYLEGDLPFPELTPAHLSFSMLALMRSEGFDSFVMSASHASSTAVSIGTMTGLSGGR >Dexi9A01G0030260.1:cds pep primary_assembly:Fonio_CM05836:9A:35184204:35194276:-1 gene:Dexi9A01G0030260 transcript:Dexi9A01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRQQAGPAEEVGGGAPYLRRHVTHSRQGTTTRCGQFYAPIAAVQLNSLLSEPRPQHHTLPFIYIHEPPPVPERGREVGAAADADLSFHSSDGRTALHVAWDTRMSSTYSSTASTPPSWGSKVCLLKPLGRQGFRPRSLSPALPHRSSDRGMGNQCQNGAYGNYNNHNRFQSDRLASRYDDGDDTEDCYLGSSRSSIADLMHQGLRRALTSISVLGQKTPNVTEHYTLGRELGEGKFGTTYLCTEISTGCQYACKSILKKKFVNMQDIEDVRREIQIMHYLSGQKNIVTIKDAYEDEEAVHIVMELCEGGELYDRITKATCSEQKAAELMRIIVGIIENCHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGIIFLKHNTSCCLVDNITCLQINIAFFLKCSEHPWMCHNGVATDQTLDATVSCLKKLSATNKLKKLAQVIVEHLSEQEIVRLREMLEAMDTENGGVITLDELKKGLRGCCSVFKQTEINGLVEAADIDNTTSINWEEFIAAADGQTNYPEFGTMMQSNNSELGWQTMESSMNVPLREAPQVY >Dexi1B01G0029150.1:cds pep primary_assembly:Fonio_CM05836:1B:33516725:33519775:1 gene:Dexi1B01G0029150 transcript:Dexi1B01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFAVASPAASAVAARSKARPVLGGGKNQGRTGCRVGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADKNDLKLNLGVGAYRTEELQPYVLNVVKKAENLMLEKGENKEYLPIEGLAAFNKATAELLLGADNPVIKQGLVATLQALSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHTPFFDVAYQGFASGSLDEDAFSVRLFVKRGMEVFVAQSYSKNLGLYAERVGAINVVCSSPEVADRVKSQLKRLARPMYSNPPIHGAKIVANVVGDPTMFGEWKQEMEQMAGRIKNVRQKLYDSLSAKDKSGKDWSFILRQIGMFSYTGLNKAQVTLFLLLLMAGAEISAGETGLRPLLSEIEF >Dexi9A01G0025080.1:cds pep primary_assembly:Fonio_CM05836:9A:22295240:22295493:1 gene:Dexi9A01G0025080 transcript:Dexi9A01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSDTLDRLRIFDAVDLVKNFFTSATERDIYTEGDRLEIVVISSSGLMHTPTVY >Dexi9B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:9B:5252802:5252867:1 gene:Dexi9B01G0008610 transcript:Dexi9B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLPQRVKSTEQKLKAAGGVN >Dexi9A01G0025960.1:cds pep primary_assembly:Fonio_CM05836:9A:27490924:27492227:-1 gene:Dexi9A01G0025960 transcript:Dexi9A01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGREEKRREEVAAAGNGREEWRQRQQEREWGLTCDMETFVKHCSVQVQPNSIPLNHVQLCKLSLTHVGFAERLMWVQVLDLSHNSLRSIEGLEALQQLVSLNISNNHISSFTALEPLTKIMFLKVLDLSSNEIGAHSMDTTRYICSSPFSHKIEAHEAFEECQKKNINVEEYWDAILFFKPLKLGQLDIKGNAVASKENFRTLVMTLIPSLKWLNGECVN >Dexi5B01G0037410.1:cds pep primary_assembly:Fonio_CM05836:5B:36925708:36929151:1 gene:Dexi5B01G0037410 transcript:Dexi5B01G0037410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRKGTATPLGAVFSPEETKRAVARVSESVADRRAELGRLQGFVADNAALVSLVNRLPDEISHDIMVPFGGAAFFPGRLIHTNELMVLLGEGYYAERSAKQTTDILHRRGMELEAQVEAMKATISDLEAEAKFFASTAAEASEGLVEIREEYDEDTESNSSKSAASVATEGMSDKEKEHARIMARLDELELEEMEAGSTEEEDDNGDDDAEDDDVGAGTSEDGDEIDESGNALSDGNEHHSSSFGPSFSGNGGNDRSHGNIQAPPVSQTHLTYGGLVHITNSEIRVPKAVSFEDDKHLVGSSKSSSLPLEPAYPAPGFKGSSDPAPSRERKIISSGRQAFTGSIIERDDNLSPLPHPVGSSSVKPGTSASSRPMSRFKMQKGDR >Dexi8B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:8B:3253395:3255261:1 gene:Dexi8B01G0003810 transcript:Dexi8B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDYTDFAKVCFEHFGDEVKNWFTFNEPHMFCTFAYGMGEHAPGRCSPELQCAIPCGDSLREPYLVGHHILLAHAEVASLYKDNYKGKDGRIGMALDAMCYEPYNEKTFLDKQAKERSIEFNLGWFMEPVFHGDYPFSMRALVGHRLPHFKDDEKEKLKHSYDMMGINYYTSMFAQHIDLSPNFSPVVNIQDAYASLTVEGCDGNPIGPDTGTGWIRSYPKGLKELLMIMKDRYGNPPMYITENGTADYDDGNLSMKDALNDCIRLDYLQRHISVLKESIDSGADVRGHFTWSLLDNFEWALGYTSRFGLIYVDRNDGFKRYMKRSARWFKEFNSARGKMTDEDNADTVHEPSLLISNN >Dexi3B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:3B:9987825:9988073:1 gene:Dexi3B01G0013910 transcript:Dexi3B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKKGRNQHKASADHRSDRKSATGMSGDPKKGGRGGKFTWEGADGYADEDLDLLSNKNNGAPRAGKGGANAVKKDDGDDE >Dexi1B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:1B:6388602:6394377:-1 gene:Dexi1B01G0007750 transcript:Dexi1B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHVYKQQMPRLGISVGAWVVRGVPRRPDDEINELLDHGAVRPGGPTMRSGEASQRSSTARAPPASSSSLNYAPRQRGGSRPHRLNPPRSRPGSAARRALCLAGSGGPRGSGSEGGGGWEAGGGGGTSEREQWPRASGMIRTLMKRR >Dexi5A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:5A:24227789:24229147:-1 gene:Dexi5A01G0020390 transcript:Dexi5A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAPQLVPRVKLGAQGLEALKELPREQVQVGELKKLVEEGKVKHIGLSEASPDTIRRAHAVHPVTAVQMEWSLWSRDIEPEIVPLCR >Dexi3B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:3B:7518961:7520341:1 gene:Dexi3B01G0010720 transcript:Dexi3B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPALASSTAAQQLAAGRPLRRSLIPGPACVSRSSSILARGASARYSLRPRLVAAQSHPPPPLPAVRRDAETGLALLLVVIAAGLHKFEMAVNKLVKVVAEEVPGTLSSLKLSFLEISDLTSQLKNIRKRITISRFGKEASTKVSSWTGQNREIHELICK >Dexi6A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:6A:19733564:19736571:1 gene:Dexi6A01G0012910 transcript:Dexi6A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARLLPLFLPWRRPPPPRLLRRCLLQNPSSHRTLAARADTFVLPGDERSPTPSRLPHQLRPDYGGGATGTIAAIVTSLGGGPAAVGIVRLSGPDAVAVAGRVFRPARKAPAQAPWRPRSHFVEYGLALNADGSVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSKLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLNPVLLIRKISSMRQEVQDALDTSNYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETDDVVEKIGVKRSEAAALGADMIIMTISAVDGWTDDDTKLIEHVLINKRQFEQLLRTKEAFTRLESSISDQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >Dexi3B01G0026070.1:cds pep primary_assembly:Fonio_CM05836:3B:20986401:20988933:-1 gene:Dexi3B01G0026070 transcript:Dexi3B01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPAAGYSLPVSPPSGAAAPSRPLPLLTLPYLFSLLALLLFAALLLPWGPTRPSSVPASPWRAYTLQEAAAFAARAGNGTVLLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLDRINAAWPGHAVLVPPAPAAQAAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFIDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKDQPWSKQRKSNDQPGFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDKHSDESPLGRV >DexiUA01G0024160.1:cds pep primary_assembly:Fonio_CM05836:UA:49785831:49786598:1 gene:DexiUA01G0024160 transcript:DexiUA01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVTPGKGGTTHLGLPVFNTVREAVEATGATATVIYVPAPFCKDSILEAIDAGIKLIITITEGIPTLDMLTVKVKLDEAGVRMIGPNCPGVITPGECKIGIMPGHIHKPGKVGIVSRSGTLTYEAVKQTTDYGFGQSTCVGIGGDPIPGSNFIDILKMFQEDPQTEAIVMIGEIGGSAEEEAAAYIKEHVTKPVVGYIAGVTAPKGKRMGHAGAIIAGGKGTADEKFAALEAAGVKTVRSLADIGEALKSIIK >Dexi7A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:7A:15940284:15942552:1 gene:Dexi7A01G0004930 transcript:Dexi7A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASLLLPAAPFFSISDVRRLQFLPRGRRRTLPCWSIADLGSVRAPTVSSFVGSRRSSRRSVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGIDEVPPSTNYKPPTTSNNQQPAADPNVKPETAPYTSEELMKVTEEQIAASAAAAWNTQPAPTQQQEAAPATESSDAATSGGNDGAAGAAPVAAATEPDASRAKQSEKAGTER >Dexi2A01G0033180.1:cds pep primary_assembly:Fonio_CM05836:2A:43474532:43480328:-1 gene:Dexi2A01G0033180 transcript:Dexi2A01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGPLCTWLVAACLSAACDADEHKKKHCCPTRSGVGGGAMFGQGRERRRLGSRRRGAARSGMAMAVALQAERNVIEKKKPDIKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSNFPTRIAGEIKSFSTDGWVARKLAKRMDKFMLYLITAGKKALENGGLTEELMSELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDMDRDGFVMGEGAGVLVLEELEHAKARGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVASIQAIRTGWVHPNLNLKNPEDIVDVNVLVKALSALGTKRDKGMDLGLCRLGHGPPAKSKRKTCQRKRQAVELPLFVVGS >Dexi3B01G0025710.1:cds pep primary_assembly:Fonio_CM05836:3B:20538279:20538735:1 gene:Dexi3B01G0025710 transcript:Dexi3B01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDYPSLLNKVLKWDLRRCPTFNNLKKLSVGDWCVDGGLHGLIQLLVCSPILEKLRLHLGLIGASASHNSTDESEARKVNCKHLKKVKITCVQGDTRVPDIVRLYLPMTNVCQKLLSSHTSVT >Dexi3B01G0034600.1:cds pep primary_assembly:Fonio_CM05836:3B:37317037:37318092:-1 gene:Dexi3B01G0034600 transcript:Dexi3B01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLCATELFSPRLVDKFERARMAEMRALTRAVFVSGAGGREAVTVREHLMSFTMRNILRMALGERWSSSYGSAEGEAFRRSLEEGFAVSGTVSNVGEWVPWLAWLDIQGLVGRMKRVHVEFDRFNEMILDDHLKDHRRRRAVAGDDAAARDLVDVLVSPRKTTGGRMISHRPPDTSSTRLTRDGIKAIFQDIKSGGTETTAVTTEWAMAELLHRPDAMAAAAAELDRVVGRQRWHTVVAGGYDIPAGARVLVNAWAVGRRDPASWPESPEAFRPERFLAGGSAEGVDVRGAHFQLVPFGAGRAADVPGV >Dexi4B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:4B:18071602:18072047:1 gene:Dexi4B01G0016050 transcript:Dexi4B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFASSAWLHPLATRAFWIAARTSVATRSTAAGSVVSSSFRAGATAGAACLFVPAVDFRTVSTAAPDLSAWFTAFADLRSAAFGFVRRSTTGSQSWA >Dexi5A01G0038560.1:cds pep primary_assembly:Fonio_CM05836:5A:39520716:39523898:1 gene:Dexi5A01G0038560 transcript:Dexi5A01G0038560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPMQLKLRLPENGEVARALHEKRLEMREQPGGFKENLDRTFGKAYRNVCDSAEPIRTLKEFSKIKGVGPWLIRCMKGFFAESSQDSSPTKAKKPRGQKRSVPKKNTAASGSPGNEEQAPANWNCQISQSLPPCQGTFELQSCSKTGSDEFNMPEKDTALVDHSIIDMPPRQSNEGFLETYEVVLILDDRENFGCRSRKVASQKVADNISSQFNISVEVKRLPVGDGIWIARHRKLRTEYVLDFIVERKNIADLASSIRDNRYKDQKSRLQNCGLRKLIYLVEGDPNTSNGSVASIKTACFTTEIFEGFDVLRTSGARICPTYNEFEGKCRSLQKKTVSQIFALQLMQVPQVTEKVALAVIEFHPTLFSLARAYSMLEGDIRAQEEMLKNKSKVINAGASRNIFRLVWGDGCNLQA >Dexi8B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:8B:1014164:1015812:1 gene:Dexi8B01G0001540 transcript:Dexi8B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAADRRRPDESSDDDDDESGMPAWSWEGANCGLLDCSKHGDGSIYRGTHFWHRFYHVADSRETLLEPMMLSTPTDCQPNMWACKAHRGCAMMQIFSLKLAHCGAAIDGPVHLYGFLAVRDRLNPLRNYIFNRSREDLFVVGKQGGDSGLFIQMAGPKRGIEMRASVLIEYDMKIKRGGKQEDDLQLIDGAACFSELASLDRTVYTQWIGGDCGAVDICFALLRNAVEATIQVGVTQMCHSSGLDFSLSCSVSRLPPKIELFQGVIAEPGDLNRFVVAAVTGSLIVYLTVGQIGGLKIARQCYAFRAKAHGYDVQQFKFDFTTILVKVSWSTLVPFRIAQGFFVI >Dexi2B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:2B:29759678:29761521:1 gene:Dexi2B01G0019620 transcript:Dexi2B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASPAVGHAAAANGGGREGAGRATFGVAGNEEPMAAEGVPGRGVDVKGAGPSDGVPTAIAVEGEEEKGVEEGDCLVAAAAAEGGEEGDADDEDEGEKSLGCYSSTQSILLVGDGDFSFSLALAAAFRSGTNIVATSLDTYGSSTWIDCFWLLETRKDC >Dexi2B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:2B:28670998:28672560:1 gene:Dexi2B01G0018350 transcript:Dexi2B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSAAMCSLLVVLLLGLGSQLAQSQVLFQGFNWESWKKQGGWYNYLKGQVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLVAAFHGKGVQCVADIVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGQGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKVYVDNTAPTFVVAEIWSSLQYDGNGEPSSNQDRDRQELVNWAQDVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHAFDWNLKQEISMLSSVRSRNGIHPGSKLDILATDGDLYLAKIDDKVIVKIGSRYDVGNMIPSDFHPVAHGNNYCVWEKYGLRVPAGRHH >Dexi9B01G0037640.1:cds pep primary_assembly:Fonio_CM05836:9B:38980103:38980580:1 gene:Dexi9B01G0037640 transcript:Dexi9B01G0037640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPELPPAGRSKQSKRDGMLLAGDVGGPGAGGDARVEELVPCVGQAREAPVDAVGVGLWVGLLLRGEELPLPERVHGGVRVLAELRERGDVDEVDGHAAEGGEHLLRPRVEAPVRAHVQRRAPL >Dexi3A01G0022060.1:cds pep primary_assembly:Fonio_CM05836:3A:17619821:17623539:-1 gene:Dexi3A01G0022060 transcript:Dexi3A01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGYGGGGGGAEHHHHHHLLFGQAAPGQLYHVPQHSRREKLRFPPDPADNSSSPPTAWPAAPPPFYHSYASSSTSSYSPHSPLPHAQLVAHALPAGAGAQIPSQSFALSLSSASSNHPPAPPPRRPQQQLAAAGVVAATGPYGPFTGYAAVLGKSRFLGPAQKLLEEICDVGGRPSQVDRRSDDALLGMDAMDHDMDSAAAEAVAVSGAEQQWRKTRLISLMEDVCRRYKQYCQQLQSVISSFETVAGLSNAAPFASMALRTMSKHFKCLKSMILNQLRNTSKVASNDGIGKEDMANFALMGGGSGILRGNSVNALISQPHNIWRPQRGLPERAVSVSNWFINARVRLWKPMVEEIHNLEMRQHKNPSASLDKNNQLGMQQTHQHSSDSSGKPSDPSSSQRGQSSINGMTTRNLSSPASSSRHIQDELTHQMPHDMPGQVSFAYNGLAAHHALALSHPHQQADGVSAATGVGIGGNGGGVSLTLGLHQNNRNYIAEPLPAALPLNLAHRFGLEDVSDAYVMGSFGGQDRHFTKEIGGHHLVHDFVG >Dexi6A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:6A:91618:91932:1 gene:Dexi6A01G0000140 transcript:Dexi6A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGQMRGRVQIRREGRGAGQAAPDGLRRQCRAARAAACPEQLAASGPHRRQRRSELPPGPNDEATRIREQEEDSICKVDGRRTWRREESVEARERRSFPPWR >Dexi8B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:8B:27799839:27800600:1 gene:Dexi8B01G0016650 transcript:Dexi8B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERASHHLRWPDTGEMEKIKSKFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDQEKNYSMVLQAVVDADMRFTDIVTGWPGSMKESGILHSSGLFKLCEKGYPLLPWLLTPYQENDLTESNAEFNRRHFAARTVAPRTLAKFKDTWKFLQGEMWRPDKHKLPRIIHVCCMLHNIIIDLQGEAVEEAQALSSEHDANYRQQVCQLADENGVMARDKLSEHLIGR >Dexi3B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:3B:14757682:14759970:1 gene:Dexi3B01G0019790 transcript:Dexi3B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNHAVTTTANGTPARLLPHCGSAAAMGTHHSFAWSAGTTAQRRLVPKAGTLNFIADYYTRIDEYPVRPAVAAGFLARPEPDALAAALVRDLILLPGVTSPTTQEITRSFVMAILNHAVTTTANGNSPPAATAAAMAPLDADELTKAWTLEQIIFVVP >Dexi3A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:3A:10594680:10597327:1 gene:Dexi3A01G0014560 transcript:Dexi3A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRRPEGSTFVDWCNIDRCKRVKPFRCGELDFDQRINNALALSAATNQTAWNYNKGRNARMEDAILQALDIERERAVEPASKAYLHGGTCSPNPKIEMPNGLVKDAAAKDPSTAIQSPSPPPKRRKRKTPYDSEEDAPKGSRRMRDLRDIGSKAVPPMDVPHAGTISVPKYDDLPNVGQVKTSVPSHASTKRKHAAAPQDQPCGNPRKKDRSRPLSELCNGDMWNGSRSNGHKSDEHIVGVATCSSSSSGTSTLDSSLDTTSCHRHVTFKTDQAKGTEISCVTRLLTDDSCHGGDFVETPLAGRSVLEPAEQQHSKIKRDPEESSETMSSPSNCDSGSVSSLGFEIPLQVLPPQKKSFDLERCHAVKPIKTLHLNSTLYDVKLSVLGSSNKGRRVPLVSLMSTWNRKPVVGYPVSVEILDDVFGLPLSSRDEPHPATNNEGGIIPKRDKTQGLQRVVPSSPQVCRAKPKSRSRKPLEKDIDKLWQPHTKKPAASSSRKMRRISSFALGQRDGDNRKSAVGKVSGATIACIPLRVVFSRINEALTFPVK >Dexi4B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:4B:5904562:5905013:1 gene:Dexi4B01G0008170 transcript:Dexi4B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWYLVGQTPYITRNEKSPAGQTPYIIRNEKCPAGQTPYTIRNEKCLAGQTPYTTRSEKCLAGQIPYTIRNEKCPAGQTLYITRNEKCPVGQTPYTTRNENGPDPIYHKKREVPGKADPIHHEVPSWANPIHNKKRRVPNVLDHPW >Dexi3A01G0030870.1:cds pep primary_assembly:Fonio_CM05836:3A:35174458:35175829:-1 gene:Dexi3A01G0030870 transcript:Dexi3A01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTPSHTSVPSSFVFPPEHLKPATSAAVSLPVIDMSGSLDEMEAIGEEFFRLPAADKAGFYSESEDPNKATPRLFSNTTYETGGEKHWRDCLRLVCSLPIRDSVKDWPDKPHRLWEVTENFMVLTRDLAMKLLRLLCEGMGFRPEYFEGDICGDHVIVDINHYPPCPDPSTTLGLPPHCDQNVITLLLPSMVPGLEVAYKGDWIKVQPIPQAFVVNFGSQLEVLTNGMLKSIEHRVTTNWENRSIYNVVKLGESLNLTTNLKDVQKKI >Dexi4B01G0022550.1:cds pep primary_assembly:Fonio_CM05836:4B:24087089:24087427:1 gene:Dexi4B01G0022550 transcript:Dexi4B01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQADRLGQDKGGHAKLACPLCRTPAPDIKSMQIHHEARHPKLPFEPEKLLNLHSSAPAPTAAASEATSSKPKPGIRGSLKK >Dexi1B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:1B:6322940:6328213:1 gene:Dexi1B01G0007630 transcript:Dexi1B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQVFYRALALSCVGGLSTAIGALFVVLNPAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWGLHVGLNLALAIALHNIPEGVAVALPIYFATRSKWQAFYMAAGSGLAEPAGVIVVAYLFPSSLNPDILEGLLGSVGGVMAFLTLHEMLPLAFDYCGQKQAVKAVFVGMACMSASLYFLEISLPKEISL >DexiUA01G0021010.1:cds pep primary_assembly:Fonio_CM05836:UA:43252655:43253338:1 gene:DexiUA01G0021010 transcript:DexiUA01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHINSCWVAEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNSSSLPWYNELSECARGGLSVKPKMGDALLFYSMKPDATLDPLSLHGGCPVIRGNKWSSTKWMHVHEYKA >Dexi9A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:9A:9333707:9334324:-1 gene:Dexi9A01G0014240 transcript:Dexi9A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQQGDPPRAAAEPTGEVVTLREFMASDADAEAFMSWASDPLVALFQRRDAFEHVGQARRYIFDHVLPHPWYRAICVGSGSGSGSGSGAAVVVGSISVKPAPAEADGRLFMASVGYRVARAQWGRGVATRAVRAAAEDVFAAWPWLRRLEAVADVENPASQRVLEKAGFVREGVLRKYIVLKGRPRDMVMFSLVDTDRVAGGR >Dexi1B01G0020980.1:cds pep primary_assembly:Fonio_CM05836:1B:26937043:26940980:1 gene:Dexi1B01G0020980 transcript:Dexi1B01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLESNPDVMNQFMWGLGVPEEVQFCDVYGLDDELLAMVPQPVLAVLLLYPLTSLPLMDLLPFAEQDEEEKEESGSSSASTAGGKNFMMQDLSKKVYFTKQTVGNACGTVGVIHAVGNATSQIKLVEGSYFDKFYKQTADMDPVQRAAFLEEDDEMEGAHSIAAFAGDTDTNVDVNEHFVCFSCVDGELYELDGRKSQPISHGPSSPETLLQDAAKAIKARIAENPDSLKFNVMALSKN >Dexi6B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:6B:23019924:23020291:1 gene:Dexi6B01G0015730 transcript:Dexi6B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPDTIRRMLKAKQTMAANHIAGEVRSRGCRIIFEGAAGSRCGSPSVCRIVLDGGSGGGGCGSAGGSSSSTTTTTKAPNRRKDEELRWQSRRGLMQ >Dexi2B01G0026190.1:cds pep primary_assembly:Fonio_CM05836:2B:35325511:35328905:-1 gene:Dexi2B01G0026190 transcript:Dexi2B01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSASATEPAATAMDTISILSVAVALAVAAFVPIWLSLLNRLLYGKLPPGPRPLPVVGNLLDVQPVRCRCYQEWARKYGPIMTVWLGAKEPTVVVSTSELAKEVLKTHDQSLADRPRDRASERFSGGGMDLIWADYGPHYVKVRKLCNLELFTPRRLEALRPIREDEVTAMVESIYTAVTAPGNEGKPLVVKKHLSMVAFNNITRLAFGKRFVNAAGELDEQGREFKAIVTNGIKIGGSLSIARYIPWLSGVDEQLLKEHGDRRDRLTVKIMDEHAKALKERGHAKQHFVDALFTLRDKYDLGDGTVIGLLWDMITAGTDTTVISVEWAMAEVVRNPRVQGKAQEELDRVVGRDRVLLETDLTSLSYLQALVKESLRLHPPTPLMLPHKASSSVKIAGYDIPKGANVIANVWAVARDPKVWDDPLEFRPERFVQESIDVKGADFRVLPFGAGRRVCPGAQLRINLVASMIGHLLHHFSWRLPDGAGAEDVEMMETPGLVAFMATPLEAIATPRLDKEELYRRVPYEM >Dexi5B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:5B:14441042:14441437:-1 gene:Dexi5B01G0016380 transcript:Dexi5B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMARTAAAVAVRAATRSAPLTGRVLGAPLPSLATPSAARSARILRRSAAAASAGLETFMPLHSAVAAARLRSCIAVDSSCWSSLSQGIPLSLAGTV >Dexi5A01G0022910.1:cds pep primary_assembly:Fonio_CM05836:5A:27026246:27030065:1 gene:Dexi5A01G0022910 transcript:Dexi5A01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEAKNVGPRPPPTRKRKKGKRAAPSPAPPTQAATAAAPGATMEAEADAATTNYVYDALPGLTLAFSPEEEAPDDGGAAAVGRDEDDGTATYAVFRNEITAAGDALRDIPAADFFSLDVSASAPVEDVAEPDSPLAPVPAAAVATPSSSRAAEEQPARGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSTEEQSSRTAAVEVFGSFRTGLYLPTSDIDVVIFKSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVETKSEIAFDISFDIDGGPQAADFIKDAVKKLPALRPLCMILKVFLHQRELNEVYSGGIGSYALLTMLITHLQVKFFDFYGRKLNHWDVGISCNSAKMFFLKSDKE >Dexi1A01G0028540.1:cds pep primary_assembly:Fonio_CM05836:1A:34183102:34183917:1 gene:Dexi1A01G0028540 transcript:Dexi1A01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWVCCRLPLAALLLVAWSSTAAATSYTVGSGSGWTTGVDYTSWAASKNFKVGDSLVFNYAKGLHTVVEVSAADYMACTAANPLGSDSSGATNVPLKTPGTHYFICSITGHCAAGMKLAVTVGGSNSPASPTPTTPRTSPTTPTTPYTTPTTPYTTPTTPYTTPTTPYTTPTTTPTCSGGGGTTTTPTTNPGMTPFMSYPSAADLGSASLAGFALVCCMIGQLALL >Dexi9A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:9A:11659280:11661406:-1 gene:Dexi9A01G0016630 transcript:Dexi9A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTGHISIIVLVLLFVESRASQGAPPGSQLDVNHKKPLQTFRPYNIAHRGSNGELPEETEAAYLRAIEEGADFIETDILSSKDGHLVCFHDVTLDATTDVANRTEFADRKRTYEVQGENMTGWFVVDFTLKELKSLRVKQRFSFRDQRYNGKYQIITFEEFILIALYAERIVGIYPEIKNPVFINQHVKWSNGKKFEDKFVETLLKYGYKGEYMSGDWLKQPLFIQSFAPTSLIYISNMTKSPKVFLIDDTTIPTQDTNQSYYEITSNAYLAFIRNYVIGIGPWKDTIVPPKDNYLGQPTDLVARAHALNLQVHPYTFRNENSYLHFNFHQDPYVEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYPKKKKNAEALLHEIANMLKDDGYGA >Dexi1B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:1B:22119797:22123830:-1 gene:Dexi1B01G0015540 transcript:Dexi1B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYQIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDNSAPTFSDQTVEEIMKIVEEARTPPQLSTPVAGFGWTEEDEQEEGKKPEDEDQDGEEEEYDGEDEYDKQVKQVHASGDFQHLIK >Dexi2B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:2B:29898474:29899211:1 gene:Dexi2B01G0019690 transcript:Dexi2B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGGVALRTAVLAAVLGLAAAGFISKDALREHERDTTTGRSLLQAKKDCPVSFEGANYTIITSRCKGPLYGPTLCCDALKDFACPYSTYINDVTTNCAATMFSYINLYGKYPPGLFANTCHDGDKGLDCPEDTPQVQPGDKASGAAAVAAPAAAAALAAALAVSALIMYC >Dexi9B01G0031330.1:cds pep primary_assembly:Fonio_CM05836:9B:33741030:33742453:-1 gene:Dexi9B01G0031330 transcript:Dexi9B01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEDGASAVELLGRSGGGGRDGPHCASSSALGRGPAVRPAKRRWWWRSAGWGRRAEDDAMRIAGYG >Dexi3A01G0029880.1:cds pep primary_assembly:Fonio_CM05836:3A:32367372:32368184:-1 gene:Dexi3A01G0029880 transcript:Dexi3A01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQAVQVPTDAELLQAQADLWRHSLYYLTTMSLKCAVQLGIPTAIHNLGGTASVQDLVTKLSLPKTKLPFLRRVMRLLVTSGMFASDSSGDMETYRLNPLSWLLVEGVDAEDHSNQKCFVLSTLTRHSVEAALSLAEWFKKDLAPPLPSPFEELHGVPLFDHEKTPILDSELHNLVLEGCAAHDNLAIGTLMRESQDLFRGLQSLTDCCGGDGTTARAITKAHPHIKCTVLDLPEVIKTAPADGVVNYVAGDMFKSVPPSQAVLLKV >Dexi9A01G0032170.1:cds pep primary_assembly:Fonio_CM05836:9A:37009271:37011955:1 gene:Dexi9A01G0032170 transcript:Dexi9A01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLIRFFCRYGPIFKTNLIGKDLIVSLDPDVNHYVLQQEEKAFHIWFPDSFMKLLGEENIARCYGSLHKNTRNLIRRVFGPENLRLVLLHDMQGAVDRCLSSWRDSPSIELKPALSSMIFGIAAKWMIGYEASVLSGDLWKNFDAFNQGLLSFPLNFPGTAFYKCMQGRNNVMKTLKEVLDERRKKAETPERMDFIDVIVSELNKQNPALSENLALNVLFLLIFASFETTSSGLTAALKFLSDNPKALKELEEEHQKILERRADPHAEITWEEYKSMKFTSHVINESLRLANVAPVLFRKATQDVQIKDFARLQMSVFLHFLVTKYRLKVVSGGDMVFGPGLGFPNGFQVQLEPKNKLSL >Dexi2B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:2B:12407349:12408247:1 gene:Dexi2B01G0010900 transcript:Dexi2B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNNSEVVTMEEVQNCVVKLRTNPRRHRDKVYVGCGAGFGGDRPMAALKLLQRVKELNYLVLECLAERTLADRYRIMVSGGKGYDPRGMILTSISSYDTYYLLSAYVIISTYQITHLFTCHVKEWMSVLLPLAFERKVCIITNMGAMDPLGAQKEVLNQASILGLEITVAVAYESSFRTQGAKSLCCLKVMISLSTI >Dexi9A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:9A:16469717:16471557:1 gene:Dexi9A01G0021610 transcript:Dexi9A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDALHGDSADAVADELFVGGPDLQSFFDHAALEVKANGGGGGGEEGDEELEWLSNKDAFPTVETMAPLAHWPRTKGVRRRRWEVARRSPPQAPAVARAEAAGWRCRHCGTDKTPQRREGPEGPSTLCNACGMRYRSGRLVPEYRPASSPTFSPELHSNRHRCVVEIRRRREAEDRASLAAAGSGDEKGNEKLEWLLKKGEVLAAAAVRPRTKGMRRPRKALAWPVIAWSPPPAPPCSPAVVGQRQSHGGGAEVAGEPRHVPDGGDHGAGSCTAGEEGRGAVPADDGFNHAAPPALAAEEGWGCQQFGTEKTLSWLEGPERSSTLCNAGVVRCTSGRMVPVQPPASIPTYSPELRFDWHNRVKLHRRLEQPAKFSAAAAGVGEEGKKNELEWPSKSNKDAFHAVNTMLPAGTLPQTKNARRRRRVVELSPPRRRNRLGGEAEVVEQGRVGDGGTAAAVEARAAAAPADAGEDPASAWAPVVPGRRCRHCGTEKTPLWRDGPEGRHTLCNACGVRFRSGRLVPEYRPASSPTFSPGLHSNVHRQVVQLRRRRPEPTVVSTAVAAAAAAATAAAVAAARILLGD >Dexi6A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:6A:26175133:26181651:1 gene:Dexi6A01G0018450 transcript:Dexi6A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASCTSLLKTFAFRAFHRLHMMAKAAVLVALTGIGGRVPRMTATAECRCKREKPVACCVEITEADAAALTCQPEYGEARPRALRPPPGTTARRDPRRAELFRRLDAACDARRCGPPSPLHFASSRPPPPPPLLEAPEEDDQPIWPPRSRYWELYKSLRNMKSNPQNPMISPTENTVSPPSRKKQKTLSDKDGDRCDDASYEVVIYENDKNVMRQDEQSESHFILEPSKPSKRSKRTAVKKIGAFAVQCAKCQKWRLISTKEKYEEIRERIREDPFVCEKAREWKPDVTCNDPSDVSQDGSKLWAIDKPDIAQAPQGWERLIKIRGVGSTRFADVYYRSPSGTQLRSTNEVEKYLAEHPEYIAQGVELSHFSFKSPAPLQKDYVRKRSQTSQSGVTQTGSMQPISWVPPPENNKQLVIYSGDKPEVVQA >Dexi3A01G0030210.1:cds pep primary_assembly:Fonio_CM05836:3A:33820327:33827500:1 gene:Dexi3A01G0030210 transcript:Dexi3A01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTVGEDRPPEHLQFPTTIEELEGKRRADVEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGNAGDPGLTEELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGNNFGITPKGTPFRDELRINEEVEMQDGTKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEENIEEDMSDRLARERAEEQARQDALLRKRSKVLQRSLPRPPTASVEIIRQSLIRSGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKEKKKGSKRQQNGGSLVPEIDDFDENELKEAGSMVEEEIQYLRVAMGHENESFEDFVKAHDACQEDLMFFPANNSYGLASVAGNADKISGLQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTASTELECFQELQKQEHLAASYRILNLTEEVNKQKALERTLQSRYGDLLSGFQRIQEQLEEHKRQLKIQEAIEAEKRAQEEVAAQNDGAEEEGKSHSVEDGGQTNKATDGEAAGSKGPTEDQMDVDNGNAEGEFVGPIPPAPDTEGDNDEVLIQENTSNAQSSVSASTSDGADNSNPAKSEGQDNMAVDASPQEEGKDELAAAVSSISEGNTAVSFDKAVSNEENGMAPE >Dexi5A01G0027170.1:cds pep primary_assembly:Fonio_CM05836:5A:30715640:30720318:1 gene:Dexi5A01G0027170 transcript:Dexi5A01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRAVRAPRRIAGARPASTAAAAEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPREVLVRTRAVSINPLDLRMRSGYGRSIFEPLLPLIIGRDISGEVAATGASTSSFVIGQEVFGALHPTALRGTYADYAILSLDELTPKPSTLSHTDTEAAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAVLLKKQMQYRHSHGIEYRWTYMRADAEGLHEIGRLCGSGKLQIPVEKTFPINQVREAHMAKEKKLVPGKVVLEFD >Dexi3A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:3A:16095479:16095795:-1 gene:Dexi3A01G0020250 transcript:Dexi3A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHTVWRHWSLGSVTQLLDGYPADEPGKQDMLRCIHTGLLCVQEDPQLRPSMASVLLMLKHRIMTMSAPTKPAFVFLSSDTPRVAVREPSINVVSVSDLEPR >Dexi2B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:2B:1582562:1582909:-1 gene:Dexi2B01G0002020 transcript:Dexi2B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKPAPPATSGAEPEGKESRTALERAANAADELYRLRDTFFPRDPAEKAAALRAGADAALALLDAIPPARRLLDWSLDVAIYVGSLPPVRRF >Dexi7B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:7B:19634256:19638873:1 gene:Dexi7B01G0013060 transcript:Dexi7B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGNFKYLVLAPAVLHTAHRVATKGWGDLDPAYVTMLPALLLRMIHNQIWISLSRYQTARRKNLIVDRSIEFEQVDRERSWDDQIIFNGLEFYLAYAMIPNVRLLPIWRTDGAIITALLHVGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFMLFSIPTLTPIFMGCGSVLGVVLYIAYIDFMNNMGHCNFELVPKWIFKAFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYMYNTMDKSSNELYEKSLKVRDETPDLVHLTHMTTLQSTYHLRIGIASIASKPSGNPVWYSWMIWPVAWLSMILAWVYGSSAFVIERLRMKKFKMQTWAIPRYNFQAKLLNRSGELFIQKYPKLRVRLVDGSGLATAVVLKSIPLGTKKVFLPGSTSKVAHATVIALCEKGVQVIMNQKKEYDLLKSRLPEGTAVYLKFSNKDTPQVWIGDNIDDKQQQRAPKATTFVPTSQFPLKKLRKDCTYLSTPAMKIPETMENVHTCENWLPRRVMSAWRIAGILHGLEGWDMHECGDDMMDIEKTWSAAIKHGFVPLTKG >Dexi1A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:1A:4848335:4849015:-1 gene:Dexi1A01G0006420 transcript:Dexi1A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAWLSGIQVYGVGLADAAASMVAVCFSHPRVLAVAKPGDVCWTVVYSGYLHSSLPYAGRFYCCVGTRLMVLNTTDQQPPRLMVAVERGSHMYFSQMADSLHLVDNAGELMLVHRSLYEDAQHEYKRKYQLYSVDLDAGALVPAKGFNGRAVFMGSRRSISLAPETFPSIAADTLYLGYDFDETKRIDLVDGSSYTSHDSMDGTHPYSVINCLSHCIQLNGEQLV >Dexi5B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:5B:13712468:13715514:1 gene:Dexi5B01G0016130 transcript:Dexi5B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLRAVKVPPNSASLEEARHRVFDFFKQACRSIPTVMEIYNLDDVVTPSQLRSTIAKEIRKNQNITNPKVIDMLLFKGMEELNNIVEHAKQRHHVIGQYVIGQEGLVHDLGSKDQGSSDFLKKFYTSNYF >Dexi2A01G0029430.1:cds pep primary_assembly:Fonio_CM05836:2A:40497658:40498526:-1 gene:Dexi2A01G0029430 transcript:Dexi2A01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEGRLEVAAPELRSLCPESHRSLHVAAPNLSELIWHFHDYDPARHQFAEEAGRHLRRLVTSTNRPEAALMRRFDVVDELNVRVSIAKGTEEYERYLEDIKNLSKCQVLVVTLTDIEHPLMPTVLHLLAKCVGTRKLVVDLRFTEDYPCKSSGCPCAWQGKPNKIALDTLEEIEVKGHDSDLKVELMRLLCRCSYMVQKKVSITVHTQS >Dexi4B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:4B:1393860:1396418:1 gene:Dexi4B01G0002220 transcript:Dexi4B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYTSARRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLEDATAVAGEPYYSSPPIRGAGGDQASQEQEGWVICRVFKKKNLVVHHGQNSAAASVTAASKQMAAAAMDDSPSNCSSAVTISDHSKPQAMFHSASDDALDHILQYMGNNNNKKHHDTKPTLLGHHHHLAGTTTACPGGLYGKFMKLPPLELAGGGGGGGVFQSPASEYGDASGIADWDALDRLAASYELNGLSDASSAKTMAACFFDDPSSTATAGAAATDGDLWSLARSVSALHADLTMNNV >Dexi8B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:8B:26425668:26428631:-1 gene:Dexi8B01G0015680 transcript:Dexi8B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDVLRLSGDGRAAAAARLVHLLAFATAWGAGLWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLLSALGCNLSNVLVFTPMTVEMMMKRHRMEKDLGIGTEVGYSKNAETAKRSPALAAMNRKFGMIHGLSSLANIISFGSLAMHSWYLSSKLDL >Dexi2B01G0026340.1:cds pep primary_assembly:Fonio_CM05836:2B:35440347:35449122:-1 gene:Dexi2B01G0026340 transcript:Dexi2B01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRDLIGRGSFGDVYKGYGCRLPLLLRLPKFDKELSKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQRPSAKELLKHRFIKNARKTPKLLERIRERPKFAAKHMDATQNGQTHVEEEDAGTGTIKVNRTKDAAPPLSSQGTVRKTTGWDSSDRSEDTGTVRVVSKPPPTASTKDSRFDTPQSPNTPVRTADQWRTSWTGSEESLSQRDTQNQRGRVASSTDDNDQSVSGSGTVVLRSPRASQAASNHSSKPPSRFSSYEDMSFSGTVVRNQSEDPETPKSSRSRLGIQEKASSTSIEDSAVNLAEAKAALQAGLRKGNARERPVVNRHEKEPHEHRSPGVHSQVQSESVDMQKGRRSRQLPDGQSASRASSSISSPAISSLILPSLKEATGDKFERPAVHAFLDSLMDLEHEIPGSCEVLIGRLLHRLGSSKESSFQGLQEMAVSVFAKKHEPPAEQASNKKLANTPPLAAPTVSPLARFLLTRLVCSGKTKFRKISIPFDSCEYAIQEKKRIHLGFCIINFELNGARFQRSNKISASIGLWWITGNDLFSAGAGSHGPATRTDFHHDRGADVLSEAHSWAL >Dexi3B01G0029460.1:cds pep primary_assembly:Fonio_CM05836:3B:28728560:28735405:-1 gene:Dexi3B01G0029460 transcript:Dexi3B01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRRRLPLRPQSRRRRQARPRAPYPPCPPWHPPSPPPPPPLRXXXXXXXXXXXXXXXXLSVRCAHSRAPPPPPPLPRVDDGSDAAAAAAHPRLRLRRLAEEFRALPSDADRARRLLSLASALPPLPAPDRSPGNRVMGCVSRVWLAARCDGGGRMRFAADSDSELARGYCACLVAALDGATPEEVLAVDPTDPALAPLGAGMAAARSRASTWHNVLVAMQKRARATIAAREGRRPGEPFPSLVISRDGAVRAQGSYAEAQAMFLSPDESKISELVNILVEKKIGVVAHFYMDPEVQGILTAAKKQWPHIHISDSLVMADSAVKMAEEGCDYITVLGVDFMSENVRAILDQAGFNKVVVYRMSSEQIGCSLADAASSSEYTHFLREASGSYPSLHVIYINTSLETKAHAHELVPTITCTSSNVVPTILQAFAQIPDLDVWYGPDSYMGANIADLFQRMATMSDEEIAKIHRDHNRKSISSLLPRLHYYQVSFMCLWKFIRPRYLNSSLLIDGNCMVHDMFGHEVVEKIKEQYCDAFLTAHFEVPGEMFSLAMEAKPRGMGVVGSTQNILDFIKDHLKGALDRNVDERLQFVLGTESGMITSIVASVRELFDLYSSSQDRANIEVEIVFPVSSDAVSKTSTNGSHHLGSSVASDLDNLTVIPGVSSGEGCSIHGGCASCPYMKATKRLSDKLVHQVVHGNGEEPS >Dexi9A01G0048570.1:cds pep primary_assembly:Fonio_CM05836:9A:51314821:51318027:-1 gene:Dexi9A01G0048570 transcript:Dexi9A01G0048570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRLLAEAPSGHHHKQGGAPSDWSAGYLNGWLSQQTPVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRRDEVLAANLYPADTKLLKQHLQQQATPTKDIREIVRRQHQPQTPPPAPQPAVQLAKAEPPVTPPPPHRAQQAPVVPAPPARKTPGSGMSATTSGGSERDGATPRSTGSAAGAPEVSHLGWGHWFTLRELEEATDGLAVENVIGEGGYGIVYRGTLHNSTMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEESYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAAGEVNLVEWLKTMVAERKAEEVVDPKMAEKPSPKALKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKAGREGSDRYSSKEDGSFSRREHQRYR >Dexi7A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:7A:9096390:9100645:1 gene:Dexi7A01G0002270 transcript:Dexi7A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALALARRRGHAQVTPLHVAFALLTGPAATCAQPPLAAPFSTPASSPAAPYGLVKRACLRSHTTASSPAAHQHPLQCRALELCFNVALNRLPTSGAPPSAHFASPLIQPSPTLSNALVAALKRAQANQRRGCVELQHPPPPPPAATAQQQPLLAIKVELDQLVISILDDPSVSRVMREAGFSSSAVKSNLEEESALMPTLPPSSSSSSPPPPPPPSIPPHFFLDPSSIAGNGGGGKGVVRSLALWPAQFLASPPSSDACSDDVRAVLEVMVRKEGRRSNPVVVGDSVSMAEAVAGEVLRRLERGDVPVELAGAHLLKLQLSYVHVRLMSRADVEARAAELRRSVDAVQLLHRGGGLVVYVGDLRWALDEEPPAMASSYSPVEHMVAELGRLLDDLRASRGRAWLVATASYQTYMRWQQQRRRRPVDSAWAMQAVVVPTGSGTGLALNSLHSSSSSVPSASAAPVPPAMATAQQLLAHASPLAMVAGEPTAFPASGDELDETQLLLCTECSKNYEREASLVKAEAGVEGPRGSLPAWLVLDRPPADQMPHHKEKYLIELKRKWSRLCRKLHLCASSDPYPWWSGSCFLTGSQSKPSFAAFLGFDGLIEHGNSRTTGQWSPPSPPLPRWGLSPAMAAPVTATALALGSHPLSDSATSGDRAPVTGDASSAARELERRLRRNIPWQPGAVAAEIAEAVVAGGDRGKKKGVWLLVKGSDHAGARRAATVIAEARCGERVIWADPSRFSCAEEMCSEVVSRAVEMGGGNGETTSVVVVVDDVENAPCDVVDCLVAASRRGSFKDHLSGQELDLSSSVVILTTSKLTVGVASDVIGLRLWSEDEASSDGLKRKTESSPQSEFKRARHDGHGLDLNLSAEEDTGEEDDDASDNDDEAVPSDITHEGDSGESSEHGRPDGLLESIATRVVTLDEEEGGRDAAAAAIRARLAGAMAGHGRASVDEAAVHALAAASGHFLDEVLERWETEVLGPAVATVRNGGKGNEVVVVLGLGGSGGPRETAGFMGSVLPNRVHVD >Dexi5A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:5A:2369642:2376964:1 gene:Dexi5A01G0003210 transcript:Dexi5A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAGVPSQARSAGCRWKPSSYQSASATATPHPRLALPRHAGPDPTRGFLRLRGEERRRGGAGVVVICAGIDGCCCCCWAFQGTTLAPSPAVTDSPANQGQASSPPEPAFALGPVTVPTAPSTPSASPSPEKAADSPAAPTELQNAPSPVTPPKGYNAPPRIEVAPPDPIDEVPAPVAPPQAAVENPTPVLPGTPALLPSVQAPAPSVALNPNPPLVPPPSVNNQPNMPVGSVPPHPPPALPPANDVPPYPPSGSFPAIPPASVPHVNPPIASPVIVQAPRQQAEPPNSKHNNAVPHVNPPIASPVIVQAPRQQAEAPSSEHNNGNTVPPSNISPPPNLKKHHVPHAPPPKESTGQTAPVHKSPVTGSAPATSPLPQNKNMPSIPKNASSVSHAQPSSPSLAPKSAPTSRSHTRGKSNNPKNGANRSIAPSFPPAHSQGPEVSQAPRHAGTKKQNHHAPPPIPQGHPSFPAHPPSASPASSRGPTNGKKRHHISPTLPPIPPLPEPRAPSTHPIWALPPPPPNLDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGLPPSPPSAPAGVGDGAFGNNRNARAMKPLGVDVSRHKRKANGSLIAIAVLSTVIALIICCLAAWLLIVRFRSQNDTAQGFPHGVLPKFSRSSGTGHTLLAGAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIDKATNGFDDSKVLGEGGFGCVYQGTLEDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDCLASGRFSLELPMQTTAVYDATGMEAERVLISEIFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSGR >Dexi1A01G0024990.1:cds pep primary_assembly:Fonio_CM05836:1A:31339225:31344657:-1 gene:Dexi1A01G0024990 transcript:Dexi1A01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKAWTGWSTPTPVNQRSGGGAPAASAPLGKGKGRVAELETELHEYQYNMGLLLLEKKEWAEKLEEVSERLQQKEEILKREQAAHLNAISEYQRREENMRKALGVEKQCVADLEKALREIRAEIAEVKFTSEKKITDAQSLEASLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYFETEYGGFAMRKAREKQLKEQEESLQGWEKKLKESQNRLNDLQRSINDREERANKNDQLFKIKYDELEEARKSMDLMKLTLKTKEDDINKRLNELHSQEKDAEPKRKELEERENKLIEREEKASIREKEGLQKLLEDHQAEFESKRRDFELELERERKSFDEKIVQKQADVVKREKDVKSLEAKLSKSEQALNDKKKAMEGWQHDLDAKSKALKKWEESLKNDEKKLLEEKQHMDQEKQQIEISRSELETIRSTLEAERERILEEQNNLKLTEEERQEHSILTEKLKKEIEEYRMRSNSLSEEIEDLRMQRQKFEEEWEQLDEKRAHLVEEDKKVNIERMNLERWRDSEEKRLNDAKLEIEEKYKEQLENLERKEKALNDDLKHKQMESDEYLKGERADLQRKLQLHRHELEMEMEQKQAIKEKELEDKENELNKKMDFVENELRHAIELNESKIQKIISEKQQLQAERKILLEERQKLETDKTDIKRDIDSLHVLSQSLKQRRELYNRDRNNLIDLFEKYKVCKNCGTSLFEGLDSLSLKDSIEIEHPNLAVEKDDHSLNADTSAPDTGTLVNSGGRFSLLQKCSRLFKFSPIKKGEQSSEQQTENIPFGARLEEASQSDKDYEPTPVYEIAHDSFDAEDDLPSESGARENDESERHAPADDVQMESSLGVADNDMALDATIASAEQNGKGSAAPAEADLLPETSKQGQRLPNRKGRGKGGVKRTRSVRAVVEDAKAILGESFEENDDGQGDPVAVGATRKRRFAAATISEQDEEGSEAHSESVSLGGQRQRRKTRHTAEAVTETPGEKRYNLRRTRVANATAATTNKKKAAKGSKHTVQAVADDTEGTSKADEEPAPEKGASESADYGASQLQESSQVEVGDAHAPAEGAGEEDGDVVDGKNALPDVPMTPSGSELGEEQDDEDDDEERRNQSISKKLWSCAFGDDVW >Dexi4B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:4B:557621:559018:-1 gene:Dexi4B01G0000880 transcript:Dexi4B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPAAPTTSGEAAEPESDTLLALAESELAAGDISAARKHARRAALLDPASPRAALLATAVNVLAADLSSHHAVLLLTEDDSASPLPASTLRRHFKSLAKSLRAYPGASPAVVAAAEEALGRAAEAFEALIAPPPSQETFWTACAGCRLLHEFERKYVGYRLICPSCRRSFIAAEAPPPPEAAPPVPAPAPVLPKPPSAKKPKTEKLEMTLAEMQLQLAKKRKARKKLSRSQVEEEDDEEVEVENNNSDLMAVVDSDFYNFDADRGERCFKRGQLWALYGDEDGMPRHYALVDEVLRGSRFRVRIRWLDGEEGKPCGQFKVGKADTLDSVNVFSHLLTCERVARELYQVYPRKASVWAFHGGQEGNARRGKYEIVVFLSGYSDQYGASFGYLEKVKGYRSIFTRRDVGAHAVHCLQKGDLGAISHQIPTRKVSKGEGSALPPGDCWELDPASLPPELLHVDPRN >Dexi4B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:4B:18357992:18359430:1 gene:Dexi4B01G0016300 transcript:Dexi4B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLARWIPGWGLDDATLPLAVDLVIPRPALFSITRARSFEVRSEVNRATDSETKAARTLARDDEFNFRAAHWGDLHRRLHEALPAEVTVLWGHQFLSFETPEDDDTRGGVVATARVLRTGETVEVTGDLLVAADGSTSSIRRRFLPDLKLRYSGYCAWRGVFDFAGKDSSDTMVGIRRAYPELGNCLYFDLAYKTHAVLYELPRSRLNWLWYINGPEPELTGSSVTMEVTDAMVARMRDEAERVWCPELARLVRETAAPFVNVIYDADPPPRLSWEGGRVVLVGDAAHPTTPHGLRSTNMSIMDARTLGRCLARWEKTETTTPARAVAEYEAVRLPVVAAQVMHARRLGRIKQGLPVDGEAEGFDVTTAVEDSMLLLRQRSMPFFSGAPTVDDGGL >Dexi1B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:1B:131492:136030:-1 gene:Dexi1B01G0000040 transcript:Dexi1B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQHVEMEAAKLLHKLIQESKDEPVKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVNQHGIDMEALRSSRIPFAGGPQAGDSSGASMSKDKEVIGNQSPMIGSDASLNSGQAGLWQFPSGSSDMIRHGASISGRVPAGPNRGDFSAADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKTSSKRKRMDSKGAGDLHSEDNSKSDAISAGQNTRKGKQVGQTGRQGQPSMGMEHEQPHSVQGGTAQVPQLHSSTPFLRANPEGPLTSSGRTIDKTKPSNPFTMTQIPNFPEGLASSGVPIELQKSMQGSANLFNAGFGWNQNPQVSIMKNSQGPIPNLVGSGVNVEGKVNIGAQGAFNSTSAPQMGFATIPPYSSSSFGGSSQFLDKGKELASGSIGSELHSTTKVASQSGIPHGSPMQERQGIIRAPQRAEASFQDGRLSALPNRSTGPSPMPQTSPNTPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGRGPPAESDSASQRGSESRVADGLGKENGSSRENSGVFCRQSDLSRLPSTSAGSIAEVDSFPKDPENATKKIKVAEQEKSMMELGNIQQASVMQGTSSEMRSHETASPIPSGPQQSYFQGDTRRVAPDVHRTDGDNLNRNLSWGGLGPTALAGNRQHLNQETKGSLAPSKSHHIPVDGYNSNMPGIDQTSEAVGAGNDVENSGHVAEIVPEQTADEGEDDLSEHDDLPSSPPKHTVTEKWILDYQKRIYNERQKRTLEQHKLHSKMSASYEKLKESVNSSEDLSAKTKSVIELKKLQLLPLQRRVR >Dexi9A01G0023810.1:cds pep primary_assembly:Fonio_CM05836:9A:19248511:19249743:-1 gene:Dexi9A01G0023810 transcript:Dexi9A01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSRRSANGSAKVVTFDDAVAGMRRNGSLSSSLSHGMASRRRTYTDGELDVFTAERYFTGAIMDGGNGGPHKVAFTDAAAAVAPPVETPAAVVIAKPASSSTRVSTASSVSSANSQTVLIRGGGSHGRRRGSGEKKCCVQVGLLMRTCSGKRSVRVDGGCASATTKEAHGAGGEVVTASRIDWYRELRMQKAAHGLPGDGGNTNGHGRLVAAAGLPPSLGLGGTAKVAAIGREQLTRDEKKASGELTFSSPSSVRRSFALVAPVRATVPAASNRIGDFTVEAGNKCGDGEDDGDEDAGSESSSDLFEIKSLMIEECPYEPSEASVQWSVVTADASERGGDRVSARWATGGGGRAPLVGGRQYRPVGLLAGCASHRAVDVSAAVTKAVPNAAAEMQRRGDGLQKARNGGA >Dexi8B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:8B:25326778:25333576:1 gene:Dexi8B01G0014700 transcript:Dexi8B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGERQGGGLVASGQPAGGALADDGFALDGCKGTGGVTARPSAGAGGGLTATSRNREHTEDVGDLDGLVNHAAERGEGAQNLEHKATNTQEQLKALLKLFEAKQPAMASNSMYCQSQPAAQAATMALAVFVFFLVSIVLPLAEAASIEHVFIVNQMKMTCLCKETVVTLVNGQLPGPTIEITEGDSVTVHVVNRSPYNITIHWHGVKQFQNCWVDGVPMITQCPITPNKNFTYQFNVVGQEGTLWWHAHVPGLRATLHGAFIIRPRHGADSYPFPKPHKEIAVIIGDWWEKDLAEMAMNMTKNIFSAYASASTVNGLIGDYFNCSGNQLFCFVLDVEPGKTYLLRIINAGLFSEFYLKIAGHKFTVVAADANYVNPFTTDVIAIAPGETVDAMLIADAPPGRYYMVALPNQAPLPDVQTPEYATRGMVKYKINHSHCNGTMAVSSIQGGEHKKEEGHRGTSCDVPIGPKMPDVHDTITSFYFHGNLTSLHHKGHLLAQQRVDEHLFIVLGLGTICKQGQFCKRGNSSDDIQVATMNNVSFQQPTAMTMPLLEAQYYHISLNSTAQELPKRPPTLFNFTDEALIPFGPKEMLLEPTYKATLVRRFKHGAMVEIVFQSTTMLQGDSNPMHLHGHNMMVLAQGLGNYDPVKDVPSYNLVNPVVKNTVLVPNRGWIAIRFVANNPGVWFMHCHYEFHLVMGMAAVFIVENGPTIDTSLPPPPDNFPTCGSKINPTPKEFYLQSKKSET >Dexi6B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:6B:20967755:20970861:-1 gene:Dexi6B01G0013290 transcript:Dexi6B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFLRGTVPHGLAIEANCPLSLWARHVTDGFAIASKFIAPRSHHIYLSFATPGPLLLSDRAKPVVASRSMHGSLDWAGCSRQGAGACMTRHILTAQATVSNGRRTACRGAFTSPVQLSRLSRSVTIGYVDGARARAWGTTHAPQLKARTVTRETQQSCSGRRSARLAHRLRAQERAKAQRGSVSRRPDSIRSLTRPATSLSRHAIPPTDRELASHHRPWGPPAESALRGCTCMGFNAAVSTRAGEGDAHMRPACRSAPLPLPRHMPALLPAAGTHARTLRCPAPSMNTGRCAPDPPWIVRSEQQGSRHGPFTRATCDADAARPAGGEDKLADARQLASHCCSPPSWRPQCAGQWQQPAAASGWGLGRDRLVDVLPGPRPRRHARGALPVHGSTTRRPRRTTRPDSIDRSIHGMDRARIISPRLVLFDQSYGADHLGPLGVYWALQVQCHMSRRPAGRPDQTIPQPDHTRGEREASQSMTVQLGIGSGDSGLAAKVFFLRRLHRVCVVLRLVFDGFGKATSYRARSRAEFEKILPVRGLSIASWSCLAKLRVDGWSDGHHSAPACHIEEL >Dexi5B01G0023190.1:cds pep primary_assembly:Fonio_CM05836:5B:25405238:25407229:-1 gene:Dexi5B01G0023190 transcript:Dexi5B01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARAAAASVSGAFGLPPDARCSFDHPRRREEERVNRTFVGVYAQQPQQQDGGLYYPKDAVMAAVEECMRKQADALLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSESTANFRCIDKNLRQVHLHFGRQVHKSVQILQDRQDLAETPNELSKLQIAHEVPSQKSEGTGFSTHAPRENDHSTQVPKHEVALLPIHQTNGMQSPAVQVQSSNGFVLQHLVPVSLSTQHDQQQLNQAPVYYVQSQDHGKSTESKTLEPLVQVVQPIVHNPEARVAVELPQKSSHATELYPQPQSHRPQMPPTQQVDSHTWHSQQPMVQPQQYIIQQVSRQMAQQQSSSPQSQSAPQATPLYPSYCSQKPTNANSEPIPRSVAVQPPYSSPQQKHHEVAHSFYGQGNTILLPVADHNIQQQQQQQPQSLQPHGQGPCLQPSQPSHCSVASYAVQGNGQTYNSTYKNPSNCHATVVAVLPQPSASAPMAFHHLGPQVMHNLPFGNMVETASVVGYPRDQIEILPVVTAAQPAMVDKLNAGSNVTSPRDWSA >Dexi1A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:1A:28228872:28229934:-1 gene:Dexi1A01G0021480 transcript:Dexi1A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLSSSAASKKVIAGVSSAITRSLYRTTRGKAHAAPLSAQEPPPKGQKKVSKEERKIRIAEFI >Dexi5B01G0033750.1:cds pep primary_assembly:Fonio_CM05836:5B:34066273:34069154:-1 gene:Dexi5B01G0033750 transcript:Dexi5B01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFELADQRAPQHGGGGGGWPPVKRAGGEQDGMAAMATGDPREVMSEYYQAQEQSTMVSALTQVVAGGAAGSWGEQPASTWASAAPEQQQAMHGGYVHEMGSYHGAASPELAGSEQGSDITQSAAAAGMEEHHAVAALSGGSQDGPETPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLQPASTAAPPASMSAPLSAAAAASTYHHAGAAQGADYLRYQMLLQQGATGNQGNLLPFYAGGGGGMSNPYGGAGGAMSNPYGGGGGNTSAYLGSYHSFPPSSVSVATVPSSASSASGYYYPSPHDSHQSESSTAAADFNWDTSMMYWSDSGYPPPPHTQ >DexiUA01G0004650.1:cds pep primary_assembly:Fonio_CM05836:UA:8729635:8731776:1 gene:DexiUA01G0004650 transcript:DexiUA01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGEPFSPSAFLDLPPTPSVDDVDGEDPAALPDDLVLPYISRMLMEEDIADDFFYQYPDHPALLQAQEPYAQILSDSTSDLSSDAAAGASGSSDAATSNTSDGSGTFTLSPSSSDIPVFANATWPYDPLELTKLLAAGAASAAVCLSDAGEASRPEQAAGSEGEEHGVSPVLFSGQNRVMFSGQNRVNMDMVNQAFLKGMEEASKFLPDLPTSKSVLMDNVNAAQAKKETPRKFQDEKSASNGKGRKKNRNRWEEDEDETVTRSSKLMAPEPEETGDLVDKMVYEGYLMSLENMKSLRITMGTEAKNTNTTNTKKGRKGSTTEGEAVDLRTLLIHCAQAVSMDDHRSATELLRQIKRHSSPTGDANQRLSYCFAEGLEARLAGTGSQLYRTLMAKRTSVVEFLRAYQLYLAVTCFRMTAYRFSNMTITKAIAGKKKLHIVDYGVRYGCQWPNLLDYLANRKGGPPEVRMTCIELPQPGFRPTARVEETGRRLSDFARQRGLPFKFHSVTAKWETVSVDDLNIDPDEVLIVNSITHFQNIMDEGVDIYSPSPRDLVLNNIQKMRPDAFILSVVNGSYNTAFFVTRFREALFYYSAIFDMLDATAPRDNEQRLVVERDLIGRCALNVITCEGSDRVERPETYRQWQARNRQAGLRQLPLCPDIVNVLIDKVRSQYHKDFVIDTDRHWLLQGWKGRILYAMSTWTADDATISDM >DexiUA01G0017140.1:cds pep primary_assembly:Fonio_CM05836:UA:36294407:36294767:1 gene:DexiUA01G0017140 transcript:DexiUA01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNGGASLRAHVPAPAPAPAAEALAAAARKATATATAVAAAAALRVAGGDTACVLLPGWLDRLLPTAG >Dexi6B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:6B:8107533:8110019:1 gene:Dexi6B01G0007010 transcript:Dexi6B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPPRALLPPPPATPRRPPFSNLDSATAGDMRDAYELLGYICRNVPDPPVSRDARLAPRGGGAAAAPDGLDRISDLPDALLRDIVSRLPFKEAACTSVLASRWRRVWLAAPLAVVDTHLLDHWPPTPAEEAAVAAAVSDVLAAHPGPFRCAHLMSTRMGEPQLKRWLRLLAAKGVRELVLVNRPYVPLLEVPLPDTLFRISTLTSLYIGVWKLPHAAHLKGVSFPSLRELGVLSVVVEDGDIDSLVLRSPVLEILNIQGSTRRLHLVSQTLRCVQICESVVEDIAVVKTPCLERLILWHVRASPKPFNGKRTKIKIGIAPKLRVLGYLDPAHHLLEIGGTTITVLLQPPIFAHAAMEPTASTMLTSVKVLSLAVCLGANCARMVPAFLKCFPNVEALHIVSEKCDELADQLNIKFWQKAGPIISVLMRIKEMTILEFRGGHHELAFLEFLYQNARVLKFSAVISANTRFTGISDQQMTSILQKLDSSRWASNFSLVDMGNNGPEGGGPWMFQRGADFSDDDPFALVKMIGRGQNFFSS >Dexi7A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:7A:21822862:21827486:-1 gene:Dexi7A01G0011240 transcript:Dexi7A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSHSGEMKQTAEDLDEFLNKVRKGGRVSNEEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIQPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGLESLSEHELQQACRERGHLGLLSIEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKRKEKEDKAKLEEPKVIEEDLALKEMIEPTAREEEELKKAKKHDKKEELCNISQALAVLASASSVTKERQEFLSLVNKEIELYNNMVSKEGTDGEEEAKRAYRAAREESDHAAEAAVGGKVSSALIDRVDVILQKLEKEIDDVDARIGNRWQLLDRDRDGKVTPEEVAAAANYLKDTIGTDGVQELISNLSKDNGTLFSFNIPMYHIDGKGNILVEDIVKLASQTEENNEHEETAR >Dexi3B01G0027230.1:cds pep primary_assembly:Fonio_CM05836:3B:22754620:22757206:1 gene:Dexi3B01G0027230 transcript:Dexi3B01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISSLLQGLARSLSVGKDRRGDGGAGEGKAAAPAVLRSSGTVWGEGSETFAAVCSRRGEKGTNQDCSIVWEGFGCKEDTIFCGIFDGHGPHKRRGIAVDDCSAICLFFHSPPS >Dexi3B01G0031930.1:cds pep primary_assembly:Fonio_CM05836:3B:33964118:33966697:1 gene:Dexi3B01G0031930 transcript:Dexi3B01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEELSVAKHKGKVRHASGLNWCCSSLVKMPVLVEDRGGIVALLLVSLFFHGTWPALVTLLERRGRLPQHTYLDYSITNLLAAIIMALTLGQAGESTNGTPKFFCQVAQMQDNWPSVLFAMAGGIALGLANLLLQYAFAFLGLSVTTVIFTCLVAVTGTTMNYFLDGRINRAAILFPGVGCFLIAALLGSVVHASNVKDDKDKLSMSGIVLPQIVISPSQYQLYYFLNCVDK >Dexi6B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:6B:10294562:10294792:1 gene:Dexi6B01G0008270 transcript:Dexi6B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSSGEHEVMGGKGEREYRSAAPTTHRGLGSPPAGSCSAACLQATARAEPQRRAARHGKGLVRGEWRLLRAPAGR >Dexi6A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:6A:2692693:2695616:1 gene:Dexi6A01G0002930 transcript:Dexi6A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIGASPTTTRAAGAAAPSRAGVAASASSLRVAGSPSASVGHRRGAVAVAAMQPAKAVAAVEAPASAAAMNGAAVAGLARPDAMGRFGRFGGKYVPETLMHALTELEAAFHALATDDEFQKELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSQAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKIIGEETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVEDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSMSYLLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDLGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLADGVRVVVSCSGRGDKDVHTASKYLEV >DexiUA01G0005280.1:cds pep primary_assembly:Fonio_CM05836:UA:9443275:9447753:1 gene:DexiUA01G0005280 transcript:DexiUA01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGQQQPPPPPPPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLATHPPIFSRADEPLEADTWIRAIESKFTILATPCTANRKVVFAAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLELTQGTRTVLQYAQAFNGLCPYAGHHADSDEKKLERFRRGLNTKLKAQLATTRAPTYGDLVNLAIAQEDANTVHKAEKKRKTPAGPSSSQPQRFRLVPPAAPQGQSRAPQGGGWVARPPQPNTPRFPPPPQQQAPRQNAPQPARPGAGYQCFKCGSKDHFIKDCPQNKQQNQRPGNQQGKGKQQQRVQVRQGRLNYTTLADLPEGAPDMTGIFPICTQPAVILFDSGATHSFISTRFHLKCGLKSYHTKSSYVISTAGGKIASNQVTHKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCADACAYSMVGTQLKDIPVVCEYADVFPDDLPGMPPDRDVEFVIELQPGTAPITKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYALYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILVYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPSKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCEEAFHTLRRLLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVKSYADTLCHEMAKLNLEIVPHGYFNHIAVEPTLHDQIVVAQLHDAGVKILKRKLSKGKVKVKYKCFRLDGQGVLWFGHRLMVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKARHLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNATYTAKKYAEIYLERIVCLHGVPKTIISDRGALFVARFWEQLQMSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTHGPDLMKEAEEKVRIIRENLKTALTRQKSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEITQQCGPVAYQVKLPEKLSVVHNVFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKIQWSHHSEDEATWETGDYLRKNFPDILS >Dexi1B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:1B:20415180:20418223:1 gene:Dexi1B01G0014200 transcript:Dexi1B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNISGSSELVDGIRWLQLSTTLIDGLIQPAFVKWIEEEQALENSQISEKLMKMINSKIKEDDKILKRFNRLGKSELYLDMLFFLRFGSARSDSYFDAKFLAEHGAKILEDLVISLADVIASIYLELMSVDGDMSTKVVSSSLALCSLSTRELQNLRNEVAINWWLHQYFKSVVSMYEDRFELYVLCRKECEKPADNQAEMTNWWRLPFGKPSVPTLLNYVNISPFSLPARRTKELRALTGW >Dexi4B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:4B:7739005:7739999:1 gene:Dexi4B01G0010410 transcript:Dexi4B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTYSVCGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVSSYSNDSSEAESPRTPSRSGEDWRSAFDSASNGPVAASSNSESRPRSADGRSRRYENGDVSAGANSGSRRTPNRLPPAPPKY >Dexi1A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:1A:25129093:25130336:-1 gene:Dexi1A01G0017810 transcript:Dexi1A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGDDPLAAGGDAAVPSSPSAMQQAGEANPSDLSRLFEEHYNNLMKALQDNDPSWPSLMLKLCAVLKTADKLVSCANTNAEQLVEKVKALEGVLKRGDRTVAEIVESLQRSGFAKDHRSSQSKSASK >Dexi3B01G0024280.1:cds pep primary_assembly:Fonio_CM05836:3B:18939972:18948768:-1 gene:Dexi3B01G0024280 transcript:Dexi3B01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRARSGGRRRASGGAGGSESKRLRWVADGEEEEEAGAEEGEEGEEEEDADAAEGKMEEEEAYGEDLCFVCKDGGDLRVCDYRSCHKAYHPACVGKDADFLNSDEEFICEWHTCFICEGRSRYYCYCCPQHSFCQGCVAQAEFVPVLRKTKGFCCNCLRMARMVEKNVDVDSDGETADFSDRETYEFLFKEYWEIIRDKEGMTLDKLEEAYAILKKGQICKKDPDLEKLPDEAHHSDDDFVGYSDDEGEELSSRAKLNGTTMKTKSFLKEGKSMRSDFVGWGSKEFIEFLLAIGKDTSETLDQCRAAEVVKDYIRQKGLLEKGRKKNVICDDKLHPLFRKSKVKYNKIYYLLEKHIAANMLSEDETLASSEDNSDSVITKKARNVSYRSSTLKHTPEINKKCFAALVRDNINLIYLRRSLVMDLLKEPDTFERKVIGCFVRIKNDPKDYSFHMHKKLYQLGRVTGIRKTSEEYKTEDILLCISNIPDVKISMLSDEDFDEEECQDLRLLAQNGSFLRYTVGDLEEKARSLRRDIMSHATANNSLKQTPEITKDPNQLDLILLWLVLLLSSEPILPCRVCDLSPSCVHDDGNSTESIVSLRRCSEEKYKGSGEERELSLKKVSEEKSEATNAYTVGGTAVMPTQKQSSEGFILLPVFYLTLYLFVLHTAAKVNPAGDVPGTFVQKQVAKAIDVITIEDDDDDPREKTGQAAVVDLEADDAGDTHHAQHKTNKISRRGHRNGKMKGEASQHMCIWHYIDPQGDEQGPFSMNHLRNWWNNGFFPEDFKIWRTGQTSDTAILLIDALQMTD >Dexi7A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:7A:21819508:21821686:-1 gene:Dexi7A01G0011230 transcript:Dexi7A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMVEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLANGRNHSRSDSQSSSSRKERKDKDAKKRSKKRRKHRSSSDSSSSSSSESSSLDDEDRDSRKSRSRSRSKRTKKEKKHRSRSKRRDSDSEEEGPVRLSKFFGK >Dexi5B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:5B:1918304:1918930:-1 gene:Dexi5B01G0002980 transcript:Dexi5B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAAKPSSPAKAKAWEWEGKVVSPVPEATPDEAWALLSDFLAFHRWHPRVAACRLASGTPSSPGCVRYCEGTTTTTPSSSGEAAAPPPDWAHETLLEYDAERRFFRYEMNDNNMGFGLFFATFRVATTAAGAGDDDAEGCELRWEFECEPVRGTAREALVARLQAGLDGMAARVRDHVLAARAAVVVGMEGAGGEPLKLDNSIAV >Dexi3A01G0022040.1:cds pep primary_assembly:Fonio_CM05836:3A:17597270:17601410:1 gene:Dexi3A01G0022040 transcript:Dexi3A01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRTRSFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNILGYDVIMVTSGAVGVGKQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDRDFENPNFRERLCETVESLLDLKVVPVFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYSGPPSEPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAFVASNSGTPVVITSGFASQSIVRVLQGEKIGTLFHKDASLWEPSKEVSAREMAVAARECSRRLQNLSSDEHKKILLDVADALEANEDLIRAENEADVVAAQDAGYEKSLVSRLTLKPGKIASLAKSIRTLANMEDPINQILKRTEVAENLVLEKTSCPLGVLLIVFESWPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITDALPENVGKKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMDVAKRIVMDAKIDYPAACNAMVFV >Dexi5A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:5A:18515930:18517185:-1 gene:Dexi5A01G0016570 transcript:Dexi5A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDTAGDDVRNVRPPKRMAAAVDFDDEAAMAMFNFHETRAGVRGLVESGVTTVPPLFVAPTPPVTSPRRFSLPSVDLSLPRARAAVLVRAFARSYGIFQVTSHGVPPGAVASALYAIRAFNEQPFAARSRFYTTEAHASRAVTYATVPIPRPTDDEPATAPLMCWRDSLLVRFDHRQDPDVRGIPTMCRDTLLEYRYMLTSLGWKVADLLLEGLGVGAERLGELGGCLMQCHYHPPCPEPGRVLGSREHTDAGLFTVLAQDGVGGLQVRLDDGDWVDVAPVPGALLVNVGDLLKVVSNDEYKSMEHRVVSKSTQEARVSIALFFNPVKHGKSDFFGPLPELVTAEKPARYRSLTWIQMLDNRSDLGHAKPSSLDHFRIPLN >Dexi8A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:8A:16007072:16007768:1 gene:Dexi8A01G0009990 transcript:Dexi8A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGSGGGGGGRDMKSSPAPTKSGGGGAANFLAGMPSRGNFSSGSVSSSLVRTLPLKIPKRFYLRAFSGVSIRTARSILKLLFWLSSAQGGFRVYVCEHSTDPPGVLE >Dexi2A01G0028540.1:cds pep primary_assembly:Fonio_CM05836:2A:39820260:39822161:-1 gene:Dexi2A01G0028540 transcript:Dexi2A01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFSVPSLIMEEEGRFEAEVAEVESWWGTERFRLTKRPYTARDVALLRGTLRQSYASGEMAKKLWRTLKAHQAAGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSLPRAERAREPYVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPGLKGRSLAAVLSDAMSAGKTGRELQAIEDEWLASARLKTFSDCVRDAISGLDSITDQEKQRRLREWETATSYEQCVSNEQARDVAARLGVASVFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNVAECTAFAGGVKAACPEAMLAYNLSPSFNWDASGMTDSEMSAFIPSVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERINGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGKSY >Dexi7B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:7B:947534:949977:1 gene:Dexi7B01G0000320 transcript:Dexi7B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKQHLLRYHHPFASSSPPSSPLRHSSSSSSSPRTHHHLAGGGYPHPFLFFSRRPLPRFAAFFLLGSFLGLLHFLSHLPHTPHIHPTTVSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTHPPHHAGWARRCAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHIHPTTVSSPNPVAGATPIFRLQDDDFGGAAEIDRKKLLIVVTPTRARAAQAYYLSRMGQTLRLVDPPVLWLVVEAGKPTPEAAAALRRTSVMHRYVGCCDKLNASSAASLDLRPHQMNAALELVENHRLDGIVYFAHEEGVYSLELFQRLRQIRRFGTWPVPVISENRKDGVVLEGPVCKQNQVVGWHTSEDNSKLRRFHVAMSGFAFNSTMLWDPKLRSHLAWNSIRHPDTVKEGFQGTTFVEQLVEDESQMEGIPADCSHIMNWHVPFGSENLAYPKGWRVATNLDVIIPLK >Dexi1A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:1A:27743603:27744034:1 gene:Dexi1A01G0020920 transcript:Dexi1A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPADARHASGHRVGWPGHDRPWRGRLPAVRARGTNRNRTPTRLDRVWLEPDDGRTAPATGRFRWWVATECDGVGGWGGEPVCGRDASSARAPSPCGLGQLVPSVARPDVTHGNHFRDWRRCTRAKRLKGNMARNDESASLH >Dexi3A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:3A:10856881:10860234:-1 gene:Dexi3A01G0014810 transcript:Dexi3A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAVAVALEAPTPSSAARVGAPAPPSMSVAATAQETTVGAAMGAPTQSSGGGGGGCSERRSRFRRICVYCGSAKGNKHSYQDAAIDLGKQLVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGDPVGEVRAVSGMHERKAEMARFADAFIALPGFITEEARRIIISAPTAKELVVKLEDYVPEYDIGLVWEDQKQNSLVPELESKITLS >Dexi3B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:3B:7151788:7153794:-1 gene:Dexi3B01G0010260 transcript:Dexi3B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMVRRLLAVVGLVALCCLGCEGQPNYRAALSNSLLYFEGQRSGKLPADQRVQWRGDSALSDGHDHGVDLTGGYYDSGDNVKFGLPMAFTVTMLAWGVVEYARPLAAAGELRHALAAVRWGADYLARAHAAEETLYVQVGDGDSDHSCWQRPEDMDTPRTAYSVDASHPGSDIAAETAAALAAAAVAFRRLDAGYSAMLLGHAQQVLFRFAKNHRGLYHDSVPGAAKFYPSSGDEDELIWAAAWLFIATGGEDYKAFITGDGNSGGVQSVFLWDNKFVGAQALLILDGKLPDAGNPAAMKSSLEQFLCNVVQPSRHSPGGMLWTQHWNNLQFATSAAFVAAAHADHLVASGATLRCGGGSPELISFARSQADYILGANPGKMSYMVGYGARFPEQVHHRGASVPSIKTSPAKITCKGGFDYYSKGTPNPNVLVGAVVGGPDEDDKYNDSREDFQQTEPSTVTAAPFVGVLARLLQS >Dexi1A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:1A:4259191:4265482:1 gene:Dexi1A01G0005780 transcript:Dexi1A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNLSAVMALLFAEIAEKHKEAMEVGMVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLPLASQLANHIMDILSGRCQSAGTEVSKRFLGRYACTHLWRSWIKGGTHGGACALKSVAVAAEEEKEVVAAALVEEEVAVEEVVVVKRRRRQWMMATICRRYRW >Dexi6A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:6A:13491802:13496199:1 gene:Dexi6A01G0010800 transcript:Dexi6A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRWQATLEDLRRRGMAAAAAVDAGVRTTHVDVQKSPGLSKAETHEIVFKDYWEEVNAKEHLELVYLEEVRVILNRKFDCNRENLEKFPDEDHKPDANMFAENATIEETIPFDSKGKQNVNTSLKKRKSNKKTYIGWGSRELIEFLSSIGMDTSKALDESEIVGVIMRTQTHIRDPRVCRRCTPKLGLPPVAGTCIGKWSPQMKRTPEPPYTAPRRMCTKRWHKDRMERQRIDRSSVGRQHHPHQVYRVPV >Dexi5B01G0025690.1:cds pep primary_assembly:Fonio_CM05836:5B:27670165:27673951:-1 gene:Dexi5B01G0025690 transcript:Dexi5B01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLSAIMNDLVGRALSMLIQGYMRPKAEETEHKLLRLQRVLLRIDATVEEADGRHITNQAMLRQLGMLRQAMYGGHYMLDTFKYRGHEHGGDEEEVSGIVLSRFSSAMRLLSFPIRNSSSKENLEKMLDGLEMLIGDMVEFAVFLRGYPRLCRQPYSEYLVLDKVMFGRPMEKETVINFLLRPEAAAGDGNPGVLPIIGEARVGKSTSLRISYSTRGLLLVIELAGDMEEEAWINLYCTAARSIGHGGKIIITSRSEKIAALGTERALRLKLLHEEAYWYFFKILAFGSANPEDHPKLASLGMEIAALFWGANIVGSIMRADLNVQYWRRVLHGLRKFTSQHLLTHGKHPTDLVEKGRPLYWWSMARSQNVMIYKVDQKGPYQCTTQKEQVLPKLTARDVIEGRASATHQGKFKAVHWRRTKGGSSPQGRRLAAEHREKPASYGLVTG >Dexi4A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:4A:1199387:1203875:1 gene:Dexi4A01G0001820 transcript:Dexi4A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRALADTFSSLIFSSGGGSAPMDAAAGAAPSPAAVVGERVAVKLRGYFELAKEEIEKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRGCEGAAAAVVPKKKISKQLIFSQVIASNPINRTARPGPTSIQKSSLQNSPTFNRGGQASTHQRISSGGSKPVQKAGGNYDEKLVEMINTTIVDRSPAVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIERQPSVIFMDEIDSVMSTRLANENDSSRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNYDFERLAAETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPSKYEDFRNAMTVIRPSLQKSKWDELEKWNEEFGSS >Dexi7B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:7B:20847032:20847577:-1 gene:Dexi7B01G0014710 transcript:Dexi7B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSPYWFNDHTGFMAPNIGSPRQVAHHQQSAVIYHRPQSSYPCKVELEYHHLLPQEHFLQQLPQLESPNPKLPDLIGQVATTLEPCSLTQEHGAPSYMVHELQAESVYLPAGAGDGSGTDWSALDKFVASQFSHGDTNTKESSYSNPVQVFQQAEEKEEEALDYVSTSASCGGDNDLWK >Dexi5A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:5A:9198065:9200418:1 gene:Dexi5A01G0012250 transcript:Dexi5A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNARQATDHGGEDCRASLLHGEARKEEEEKWQVAAAAAPGCCSLVGRVYEESKKLWVIVGPAIFTRTTNYSMNLIMQAFAGHLGDLELTSVSFACSVLLGFNYGIMLGMASALETLCGQAYGARKYAMMGVYMQRSWIVLFLCALLLLPMYLFAEDLLVLTGQPRELAAMAGRVSVWFIPLHLSFAFLLPLQQFLQCQLKNSVVAATSAAALCFHVAVTWLFVSWLRLGLAGVAIALSMSWCATALMLFATWFVVGARTRGTASPTRRSPAVRVANELGAGNGKGARFAAIVSSTTSLLIGLFCCGLVTCLHDKIALIFTTSAAVLAAIDKLYVLLAFTILLNSVQPILSGVAVGSGWQSKVAYVNIGCYYLVGVPMGILLEWVFNLGVLGIWGGMIGGTAIQTLILAVITIRCDWEKE >DexiUA01G0023600.1:cds pep primary_assembly:Fonio_CM05836:UA:48298404:48299413:1 gene:DexiUA01G0023600 transcript:DexiUA01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHHPYYPQRLSNTAIGYLNLVTLLASIPILGAGLWLAHGGSSAATCESALQAPLLSIGFIVLLVSLAGFVGACYHVTWALWLYLLAMLLLVVALLGITVFGLAVTAGGGGRQVPGRPYQEFRITDYSAWLQKRVQADRYWRPALACVVASRSACPRIAAWTPMDYLQHSLTPIQSGCCKPPTSCAYSQSGVPIQPQDEDCYRWNNAPSILCYQCDSCKAGVLEQVRRDWHNITVLNVLLLVLLIAIYSCGCCAFRNARRAESDHYGVNRMSKINPRWDYFWSRWWNGQREQLY >Dexi9B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:9B:2722680:2723076:-1 gene:Dexi9B01G0004750 transcript:Dexi9B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGEGAPGKVTCAAWVRRREDGGPPGVSRLLVAFGRGATASSPALLDLLEFDARASALASEPLVRVVVGEDAADTPRAIAVHPGGRELVCATARGCR >Dexi8A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:8A:30707044:30709060:-1 gene:Dexi8A01G0018430 transcript:Dexi8A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGWGIILSLQSGSDDLVVVCAYFVRFVLHLLVFWSWCSLIPLAGLLLVDWVLPVKRPATEPGEWDCPGRSTANWHAFGMLLTGFEDLFVDSGSGRESHPAFFIAGDGEDLACGNDGRRRRGSLGALDAVFYFLHVLILSRGSQRFGSQCGTLHAKILQKSESYHIYGTLALCYLMYMQAVMVMIPQGGLARKIYLRNNA >Dexi2B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:2B:28686445:28687997:-1 gene:Dexi2B01G0018370 transcript:Dexi2B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSAAMCSLLVVLLVGLGSQLAQSQVLFQGFNWESWKKQGGWYNYLKGQVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLVAAFHGKGVQCIADIVINHRCADYKDNRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGQGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDVGFDGWRLDFAKGYSATVAKVYVDNTAPTFVVAEIWSSLQYDGNGEPSSNQDRDRQELVNWAQDVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHAFDWNLKQEISMLSSVRSRNGIHPGSKLDILAADGDLYLAKIDDKVIVKIGSRYDVGNMIPSDFHPVAHGNNYCVWEKSGLRVPAGRHH >Dexi9B01G0040850.1:cds pep primary_assembly:Fonio_CM05836:9B:41356929:41360069:-1 gene:Dexi9B01G0040850 transcript:Dexi9B01G0040850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSDGSKDASAGGAPDPPFPNRELTLSSYLCDKPPLAPAAAAATTAGPSSPPNPAAPAAEDAAAAAAAAAAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLSNDAPAPPPPSSQQPSSSQPQPPPQLASLLPADGDLRGGSAAAAVPAAAAPPPRRTYSANTGRTRSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATAPLKEGTSSFFPTELPARMVAPAAALSAGGSRGGMHSSRPDRILREIVSESVASMAQVLQDFPSETLEVLRETVRNMIDAPERRDELASLQRKLERRSDLTAETLGRANKTQLEILVAIKTGMAMFVTGKGRVPSSELVEMFLLTRCRNLNCKSMLPVDDCECKICSTKKGFCSSCMCPVCQKFDCAANTCSWVGCDVCSHWCHAACALERNLIRPGPTLKGAMGTTEMQFQCLGCNHASEMFGFVKEVFNCCAENWSPETQMKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLAKKLITPSEATSSMLQFFKYGVTDYSVTGSKSKGILAAQTSKSADMLHLQTPTITPPKPSFNFKPSTSILDTQIEALKASPKSLPIETHFSSASKDDDASSLETIVKCKEAEAKLFQKLADDARKEVDSYRHIVRAKTQKLEEEYATKLAKLGFQETEEKRRKKLEELKMLENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV >Dexi7B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:7B:25317413:25322403:1 gene:Dexi7B01G0019870 transcript:Dexi7B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDARDVPLDEMEVDGGERHRDRERRDRHRREEKDHHGSGRRDREKEKDDRRREKDDGKHRDRDRDRDRDRDRERDKDKESKHRDWDKEPERDRGRDHDRGKDRERDRGKDRDKEPERERDRERERRDRDKERSRNRDKDRAERGDREREDREREKSRGKGRVEDDVDLSKGNEGGHKQSVDASGEAEQPATTELRERIARAKEERLKDKKEVGILDGDDGASEILSWVGKSRKLDEKRQAEKEKALRLARALEEQDNLLAENGDDDDEDEEDKQVGDHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINEDADMLENIEIGEQKQRDEAYKASKKKGTYDDKFNEDSSSKKSMLSHYDDPMEDEGVTLDEGGRFTGEAEKKLEELRKRIEGGHVQKKTEDLTSITKTSTDYFTPDEMLQFKKPKKKKSLRKKEKLDLDALEAEAIASGLGAADLGSRRDSRRQSAREEEQKADAEKRSSAYEAAIAKAEEASRALRPEKRTPAKPAEEEELVFGDDYEDLQKSLEQARKLALRKQEEAAGPVAVAELATATKGQEDTDATEGDLQQNKVVITEMEEFVWGLQLNQETRKPENDVVYMDEDDDAMPSSNLVKDDTNGLAEMEEDARTEKSVKVDEEEVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLVGVEDGPKDIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYQDEMKTKRMKSSDTPLMAAEKMREAQARNQTPYLILSGNAKTSQASDASGFASVEKEHPGSLTPMLGDKKVGM >DexiUA01G0005880.1:cds pep primary_assembly:Fonio_CM05836:UA:10598431:10600374:-1 gene:DexiUA01G0005880 transcript:DexiUA01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGAEPTVLGLGLSSGDGGGGGGRAAAAAELPAVDLAMHPSGLVPTLQNIVSTVNLDCQMDLQQIANSARNAEYNPKRFAAVIMRIRDPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIVQKLGFPARFKDFKIQNMVGACDVKFPIRLEGLALASGQFANYEPEIFPGLIYRMADPKIVILVFVSGKVVLTGAKVREQIYTAFENIYPMLVQFRKRQYR >Dexi5A01G0027030.1:cds pep primary_assembly:Fonio_CM05836:5A:30611371:30613672:-1 gene:Dexi5A01G0027030 transcript:Dexi5A01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKAIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >Dexi3A01G0034460.1:cds pep primary_assembly:Fonio_CM05836:3A:39615812:39619686:1 gene:Dexi3A01G0034460 transcript:Dexi3A01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKPSDPVDDALQAAIDGDLRLLKSETSVHFAAVGGDERVLRYLLDHGGDPAVPDGKGSTPLHDAAEQGHYEAVRLLLSKGVDVDPVNHRGTPLHLAAAKDRDQVVKILLEHGADPDRVVNHIFSPLMMACCGQSLKCVKLLAGADVNFISPSGLTVLMKAADDGLTDMVKFLLQSGADPNIADEDGKIPIMFAAVHGHRELVEILFPKTRPIPSVPDWSVDGIIRSMKFPRFEAQDPAVVGERLADAKAQGKEAFAKGEYVAAIYFYGLAMELDPLDVTLLANRSLCWLRLGEGDRALLDAQQCRMMSPRWSKAWYREGAALRLLKDYKGAVDAFMQALKLDPASEEIGKALRQMLFLPSICTFY >Dexi9B01G0043590.1:cds pep primary_assembly:Fonio_CM05836:9B:43551914:43555774:-1 gene:Dexi9B01G0043590 transcript:Dexi9B01G0043590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGGAAAAAGENGVSPGNVPVCYYGTAGRVPAAVERRVRAAELFLRCATCGLAVLAAALLSADRQTRIFFSIEKEARYTDMQSLVFLVIANGMAACYSLLQGARCMVMAYFTISAVAVAMEAAMIGKYGNMPFQWMKTCQLYKRFCAQAGGAVACAVAASLNMVVISLVSAFNLFRLYGSGKGSK >Dexi5A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:5A:12195339:12196180:-1 gene:Dexi5A01G0014910 transcript:Dexi5A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRLLVVDDSCVDRLVVSRVLQSCNIKVFNCCRYFAVTVVEGPKEALKFLAMMEVKNSPKISHLPVVIMCTDDVPARIKRALMEGQKASLSKL >Dexi1A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:1A:18278946:18281279:1 gene:Dexi1A01G0013470 transcript:Dexi1A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMLARRHPVQLALPLPLLLLLAVAPTVRARVTALIVFGDSTVDAGNNNAIPTVVRSNFPPYGRDFPGATGRFSNGRVATDFYSEALGLGRDFVPAYLDPDYGIQDFAIGVCFASAGSGLDVATSRVFRVIPLWKQVDMFREYKARLAAHLGAAEAHAVVAGAVYAVSIGTNDFIENYYALTTTRFLEFTVPEYTEYLVGLARAFLAELYGLGARRIGFTGLAAMGCLPLERAHARALGTSGGCDERYNAAARAFNAALAGMVAELGGELPGAEIRVAEVYDFFEGVVRDPARHGFARADVGCCGTGTYETGYTCGAWAAAPGGTCPDADRYVFWDAVHPTERASRLVAEHLINTTFGRFE >Dexi5A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:5A:23983336:23984619:1 gene:Dexi5A01G0020130 transcript:Dexi5A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDQGLFTVYKRLFVLCVASNAKGLALAATGHFHYAGGHASVFAMGNILALTLCRSEAVLRVVFWLAVALLGRPWVPVAVKTGATAILQSLGGVHSGCGVSSLAWLAYALAQAIKDIDAAPREVIGVASIILGLLVLSCVAAFPLVRHLHHNVFERTHRFAGWSALVLLWVFVLLSAAGYDPATASYSRLTFSVLVKRQELWLTSAITFFTFLPWLTVRRVPVTVTARSNHASVVTFQGGVKAGLLGRISRSPLSEWHAFGIISDNGDTHAMLAGAVGDFTKALISDPPTHLWVRGVHFAGLPYLLNMYRTATMVATGSGPGPAELSLVWVAKGIEANYGEEMKAACCSSERLGGRVVVHDTAVMGRPNVAALAVDAARRWSSEVVVVTSNPEGSRDVVRGCSKAGIPAFGPIWDS >Dexi8A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:8A:26513925:26519870:1 gene:Dexi8A01G0015400 transcript:Dexi8A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKVGSLISRSVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKSREKVVNISVNGVEAGFHMYLDSNGEAFFLRNADPNGEEGEFVVSPASSGDEREALIQEAQLRKSKSTSCDSSTMEADVGEGKILARTTSRRTTILERMFGRKSGKNNAHAVDRVSSLERAEIAAELLDTKWSTNLPRSSKPHSSNDESSKSKLAEASSSDQMETSKDVLPEHSLDNGKEVDSNCNSAVYNSCSPRGGRNSSDDETDQCLQTTSVKEEVILVHTHETSDFTDRITSTMHQPGSESLSNDLGTDKSIHQSADTQGDLLHNLEGVAGREIHTKEAFSSDSFEIHTVETDTTSSNREMISQFVTLDSDVDNQNLTCASSPVFSTTDASTDKHEVTLIPSAQNPVQEKVVILSSSETVEISQAAVQEKMVILSSCETLESSYAAFNVSDDKVHDASDISLADSVQLKEYSKVSDGSKEQLFSEERSLACCDAPSNKKDMLKVVVEEDEAFILEDPAAQILQNNGPDMYISVDSISLSHTDVAHDLVYQHDVVCPDASSSLVETSSYVPDNDPEDVSRNLIEENKTWNRELDVSVTQTSTIDGPTECNDQSANSPNKIIEGLPTVTGSSSFVNDPENVAKTLIVENKACNREPSVSVTLTSAVSDGEPSVSVTLTSTISDVPTECPVQSANFPNKMEVEGSPTVTGSFSLINDSEDVTESLAVENKACNRGPDVSVTLTSTIGDEPTECIAQSANFPNRIEVESSPTVTGSSSLVNVEVQNTKTEDETGRSSSVSGDEVRFVLEATAEPEEETETEAAVSFSEYTEEIQFQFSDTENFADQKTMDDIVSGQTAGEGEHEESDCDTEKQEGGDVDLANELENCSESLRPVTSPVCIPTSDLQSGDNNIEAKSLPNLRSQIHDLERSDSFQLSRSLQSNAENTGVGTVKSTNSSFLEQKPEVPGDSEENSSPPEVTSDVVLDDKHSDNLKVDSFSPFAELSLCRHLLSEGMGEDAARSAFDSEKITLEKFHTMKQSLMRNNKLVVRIAGRYFPWDAAAPIVLGLISFSEAQVFEPKGMIKVERVEQSAEQGGSWRIWPFSFRRTRTVSAVQPVCESTVETSVSTPVKESTPLNESDRERNKSRVKRIERKVRSLTPTSEELASLDLREGRNVVTFTFSTAIVGKQQVDCHIYLWKWNTRIVISDVDGTITKSDVLGQFMPMVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHITRRFLFNLKQCSSILSTREFGFQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKDLFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQEDYNTWNYWKMPLLDVDI >Dexi6A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:6A:2403167:2406607:-1 gene:Dexi6A01G0002540 transcript:Dexi6A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHLPVPFFRRFLTTDGRQRDREAARRAGRRRAPDGGPACDVCFYDSTPRPSLPRQIQHPRPPITHRRLAAAPMARERDTVSPSLPWLALSDGSFFNSPSSTSLHLFPNAAGYHGSCHDSLLFSGSGDGDGYLLVNPFTGDTVRLPSLSSIRFVVKGGKELPWRGIADDRRAPCVETTTVRKVVMCPAGGKGELVAAIVGDGKLGKIGMCRPGGDGSSSRWVMSGHDMWRRIDDLAFYDGKLYAVEDTGNLFAMAVAGDEDDDDGHAGDGEPQVAWAKIVIKVSDDSPQARRRRQKEKAPPSMRYLLVYGGRLMMVHRSAAAAAMSDGDTSTTTKFGVFQADLVTPRWSETTGVGDDVAIFVGRWSSFALRVSKYKLPGNRIHFLDDDAFRHGCHDDMFGSYDMADGKIYPLLSPPLELCKGNDAGSSPATWLFPRPKFFFKEQEQKRVLTWRDLPSDRVGPHHDHLSKVCRDWRSSTQQHLRLLHHHREDHDHLSKVNLSKVSLEWRSSMLRHLRRRPFALAPAPTVAVATTAPPAPPPPAVPVAYLALPNRMIFKYPDLTSRPFRKNATGSGAGCRSYIAAACDDWLLFSDADGLFRLTSPFTGKTRHLPSFHDIHADDRLVDIINEPSPRHDAMATGELWRDDKTMAVRKVVVCPDGFIAAIFGREHFAKVALCSLETFSWTHSVHDRWRPYDDLACHGGKLYAVTAGADLLAIDVGVDGETAHPSVSRVERVIVAGGGAMAISSFHYLVPSDDSGELLMVRRRFPNAYAMGSSRSRFAVFRADLASARWEEVRYLGDGEAVFVGRMCSRKVRGRQLSGREVFFLPEDCVGMWSWEPRRRGDHHAAVYDMWNRRVTDILPRQLREVDGGPPMATWLFPTQT >Dexi6A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:6A:4766484:4767716:-1 gene:Dexi6A01G0005220 transcript:Dexi6A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATNWINATVRDAMMLENQLPLFLFSQALSLRHPIADQAGDALHAVLGRFIKEVSPIKTAAELVAADVAKHAHMLELLYHFLVPDASVFDDSDSGDREPPPMVPEEFTIDMLDPSQHLPDYDKVKQACVQVSSLDMAPVRFLKKNLITRPMSVASSLPATIMRKVPVLSAVAPLVTKLLTMTSSSPADVESKLLKGVSLGSIVVNSPLAQEIMIPSVSTLARWGVRFVPAPEGIAGIAFDAAAATLSLPIITLDANTEVVLRNLVAYEAVAVRGALVLARYTELMNGIIDTAKDVKILRQSGVVVNRLKSDKEAADMWNGMCRATRLSKVPRLDAAIREVNAYRSRRAAARAQKLLKKYVFRSWRILTLLAAVVLLLMTAMQTFCSVYPCNRWFGSVFQLPQAGGNR >Dexi3A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:3A:11160920:11161872:1 gene:Dexi3A01G0015090 transcript:Dexi3A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTTPNASRSRPCREQPDSTVFHVAKLGTGPDFAMRPASSSASSTSPSRAQQASTAFHATAAASVVSSTRVAAATSAFSAYMPARNPGTSGCAPTCPALAACACTARPSRSAPARPHAWSTRAHAYPSAGTPRACISANTATASPHSSASASMATCLFHSTASLPSSAPAASSSSRRLDGPRPSRARRSTSAHATCGSRARPERTASECSCGASRRATETHRASPSPRRSSADCRRRDTRRGPSLKESTPRSASERELDLRRFRVVWWGVVVVVVGSRRGEREGGGLRGHCSLLVQEWGNR >Dexi3B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:3B:7192379:7195262:1 gene:Dexi3B01G0010300 transcript:Dexi3B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRAGRSRNHLPAAACFLLLGAVVTVAGDAASGAPAIVATVCGATQTPNPEAFDVSFVTTMEMIYQNVTRSGFGSGSGSGSGTGSNSTVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADAGRIYLDGCFLRYGARNFTADAVDASDTAVCNATGGQPEPGFAAAAAALVGNVTEAAPGAKDYYYASSSESAAPAAYAAAQCWRSLNASACAACVASARDRVLRQCLPGAAEGYGLNAGCVVRYSTRPFYLAADTGGGGGDGSSSRHIVIIVIASVFTALAVIGIAFVWTKMRSRRDGLHDDGREVAVKRLFFNTRQWADQFFNEVKLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFEYIVHGQLTEKADIYSYGVLVLEIVTGRKNHNSVASSSEGLSLMALIWKHYNAGTLMELLDPNLHEQCSEEEAIQVFHIGLLCAQASPNVRPPMWKVVEMLSSKGKLLPRPTQPPFINVKGSSGDSSGSVSLMSNSDKSPFSLNQLSVSGMQAR >Dexi2A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:2A:8955628:8956032:-1 gene:Dexi2A01G0008890 transcript:Dexi2A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIILSQEKNIQQLNQLIESLQRQLLHCRGSNNTVHTTTIPATEVSEAEEHETIEDENR >Dexi8A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:8A:23412332:23413191:1 gene:Dexi8A01G0013410 transcript:Dexi8A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEDRISDLPDELLHRILVRLGSARAAVRTGVLSRRWRHILGPLPELFLNGDDLDAPPPPPLKSSLDNIDAALAACDAPILRRLDIGWALSSIVDGRGIPAVRATRWLRLASEHVVDQLHIDLPRPEVANGEEEEAVLQLPACEAVTSMNLILEGSWRIQPPSAGLFAALTNLTIHCGRMDGAELTALVCTQCPCLSYYGTAASMTLAAT >Dexi1B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:1B:10308444:10308794:-1 gene:Dexi1B01G0010620 transcript:Dexi1B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSIASILPVLLLGVICTATVVYGGGQEWWIIGGDNGWSFGAVDWVKDKPIHAGEILLFRYDPAIHDAVEVD >Dexi2A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:2A:14698382:14698654:-1 gene:Dexi2A01G0012660 transcript:Dexi2A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPVPLPRVKSPPWHMKLGMMRWKTEPFAFPEAPRPFSPVQRQRKFSAVLGTLSAKSSMTTRPALALPMRMSKKTLGFLAMADVL >DexiUA01G0014400.1:cds pep primary_assembly:Fonio_CM05836:UA:30562795:30574149:-1 gene:DexiUA01G0014400 transcript:DexiUA01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDFLLDTEFARRLYHDYAKDQPIFDYHCHLPPQQVAENYRFKNLYDIWLKGDHYKWRAMRTNGVAERLCTGDASDREKFDAWAATVPHTIGNPLYHWTHLELRRPFGITGKLLSPATADEIWDRCNELLAQDTFSARGIMKQMNVKMVGTTDDPIDSLEHHAVVARDTSFDIKVLPSWRPDKAFNIEQATFNDYMAKLAEVSDTDIRRFADLQSALTKRLDHFAAHGCKVSDHALDVVMFAESNEAELDSILARRLSGESLSEHEVAQFKTAVLVFLGAEYARRGWVQQYHIGALRNNNQRQFKLLGADVGFDSINDRPLAEELSKLLSKQNEQNLLPKTILYCLNPRDNEEMICNVDSDRDGRVDFGEFKNMMQGITVWGAYQIRFEGSDASSKTPFAAISLPRQFRHSVLIVPGGGCPSQPPPILHLKAIFSFETEIFESITSPPSSAANLPPHRRRCAHTTGESRCLRHHCLLEILLGISGQSGFWRAVRFTVLETPPPSNDYTAPRLLPARLRRTDCTASTDLPASNLYDYFEQGQSRNIMSSDDIPPAGNGATDAPGRAEPRPSNRSRSAQRAPSPPCEADSRRRAELFPQSAAPPCRAWTPRGLARTPRSLKLLYKPPLTPSSRSPRSSYTETLAPPPSFHAAAANPTLRCRLVAKSLPRIFVSFSLSSFALQRPRKLTGVANSPPCVASRHYCSIPASNPKNRFPVVSSLSQAIRGELWSPLSPSCVVSGEVAAARRCAPPVHREPVNRGSRPVHGSVNGDVSPHQQPAVAVAILQKSPSFFQKSTRVVAEPFEFADDPVPEEQVQQQFSEEGKYNTDHPCYLYTD >Dexi9A01G0033200.1:cds pep primary_assembly:Fonio_CM05836:9A:38071478:38072641:-1 gene:Dexi9A01G0033200 transcript:Dexi9A01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEDEEKLPPPEEEEEKEEEEAVAVPQKPEWFYVLVSTNFWETCMEHAAENRAEQCMFCLCCHQVSCPHCTHNEPGHRRLKIRRYVYRSVVLAKDLHELGLDVSKIQTYIINGQKVVHLRPMNRSIRFRPQAGTPRCQTCDCYLRTVPHLYCSLTCEGRVNVSQDDYSGPEAERRYRSLQTNMLQHGERQSEEDEAESEDDDEAQNPVPPVADQPEQATENEEPPEAFDYYGNDEPPAATQNRSRRRRGRKQAEPARAPFF >DexiUA01G0025750.1:cds pep primary_assembly:Fonio_CM05836:UA:54187110:54196258:-1 gene:DexiUA01G0025750 transcript:DexiUA01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFVASDALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEISNGATFIKVDKSINLKDNSPMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRGSDVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGNNVPLVYGSQGDWKSSLKIILDWSPFSSKEELLQQFQDLGSHGTKVAIYNLWMNDDGLLELDFEDDDEASIHDILLRDQGSASGGVTKGQKEIVQQHISHRLRFSLRVSVQVDVGFAKEAPILGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIVDFWKEKCHLIGYQPIDPQLRSQYKATLKDSGGPGTHVHHRASGARRTGGLSSNLLPETYDDAEAVRLANNGSHLQLSGQVQENNMESEGLDEDLVEIGSSGVLDPNFIEKLSEENIALFSRREELRQRDTQLKQTIGDLENELEETKRMCGQLAAQVKARKNQQHRPYM >Dexi3A01G0029990.1:cds pep primary_assembly:Fonio_CM05836:3A:32994085:32997237:1 gene:Dexi3A01G0029990 transcript:Dexi3A01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLVVLLLAVPWLFTGAIVVVDGQHYTAYMPYCSNTDNYTMASAYQVNLVSLMSDLPQGAINNRGFYSSMAGEAPDQVFGLTMCYVDRNWTQCQDCVRAAAAGVQQTCPFSREMEACYDACVLRYSNVSFLSVADPTTALYMILRSSVVSDAPSMVAARQKLMSQLAAGAAAAESSPQLLGLANGSVGYTDSLGGQQVIYGLAQCTRDLNASECTRCLMKTISDLSSTFPNDTYGAVKAYSCYVVYSVGGNFLSISIPPPGIGTLVWFLQRQRHTRNALQKEQELYMFNEEPQVLDMLNDELFQGNVPRRFKYSELAFATRDFNDKEKLSEGGFGKEIILGIGSALQYLHHDWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGNRSRTTVLAGTMGYMDPECLQSGSLEMEHFMVTALWCTHPDRNLRLSIRQAMNILRQEAPLPHLPVKMPVATFMTPIEGVLSEAVTDSSSTTSNSMMNEISSLLR >Dexi5A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:5A:9043786:9046379:1 gene:Dexi5A01G0012110 transcript:Dexi5A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGESASVLAADRYIMGGQRLGLGEFRPAVASAAATREDGRLAGGQPAAAGAAEQAPEGMKQSKEESFEDLEVSRIAVDSALVPWRPIVLLLSELLLGGEENANNMRVVDRYIMGGQRLDMGWEFQPTVGERTATRQLQNERPAAWASAGEEREESLEDLLASMVEVDVMWP >Dexi1B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:1B:3644490:3644947:-1 gene:Dexi1B01G0004510 transcript:Dexi1B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASVPSSPCSSGTNVEEQIRSLTAVASSSDVTIKTIVDGLSKLGSIYSCIDELICFPSSQRKAVEEELEGSLILLDLCNNMQEIFAELRTGIQEMQLALKRGDNVSVQAKAQSYARSVKKVQKQFKKMNSKGRQAIV >Dexi4A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:4A:369874:370389:1 gene:Dexi4A01G0000550 transcript:Dexi4A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAAVLLLGTAASPCSAEETTTKTAVSVEEACQTAASAQAGVSYDHCVSTLASEARGCAAADMQQRLAVAAADHATATGARMEGLGEAEESARARARLRHCLDLYGGAADLLRARVYGRASQQLAAALGAPESCEDAWKGEDRAPVAAHDREYGRLALLALGLTTAIVA >Dexi7A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:7A:20613794:20614251:1 gene:Dexi7A01G0009610 transcript:Dexi7A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQRAEINGALSRRLEHRELGLRGGRLVGVGRLAAVALGEAEQVDVAALGEAVDLTFVCVDDVGELVAAGEADGVEDGPPPGPGGDMAAAAKSSLAGVGVKTTLETQRLS >Dexi9B01G0013485.1:cds pep primary_assembly:Fonio_CM05836:9B:9068490:9075177:1 gene:Dexi9B01G0013485 transcript:Dexi9B01G0013485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAPEHVCYVHCNFCNTILAVNVPSNSMLNVVTVRCGHCTSLLSVNLRGLMQSLPVQNHSQENFKVHNISFTENYSEYAPSPSKYRMPTMFSTKGDHQDHMLHVRAPEKRQRVPSAYNRFIKEEIRRIKASNPAISHREAFSTAAKNWAHFPNIHFGLGPHESSKKLDEVIRVANRPQKVQDLY >Dexi3A01G0032730.1:cds pep primary_assembly:Fonio_CM05836:3A:37582383:37582993:-1 gene:Dexi3A01G0032730 transcript:Dexi3A01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGAELAAAAGDVEEATAPLVPGSGARRASATTGATRDVHVLSSAFLFVFLAYHAAQNLQSTVNTDENLGSISLGVLYTSFTAFSAVGSAVVRWMGSKRALVVGTSGYLLFIAANLAPSWYD >Dexi9B01G0040240.1:cds pep primary_assembly:Fonio_CM05836:9B:40816113:40816777:-1 gene:Dexi9B01G0040240 transcript:Dexi9B01G0040240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSTVGEIPLLATRAGPRDGEAWRQRLKEEYRALIAYTSVNKAKDNDWFRIAAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPAEASGSAAPSS >Dexi1A01G0031620.1:cds pep primary_assembly:Fonio_CM05836:1A:36515250:36519328:-1 gene:Dexi1A01G0031620 transcript:Dexi1A01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPQCDSKPPSAAPLIQGGDMAGEAPPPAPAPKLLYIAVTDGGGRRAFRYTRPVLQSTLHLMGCKPRHAFKVPPSSSPPLLFTPACYCISKRVFNVMKSELLAASKLDWVTNQENCPALGDGIDTPKNLERSSSSIPFELYKNQTTVVISREQFISVVCDALSLYKYVGPNQKADLLLACRIKERKESVTILLCGTSGCGKSTLSSLLLMEEYSVYGSEEEADDEPRDGETDEDLTDEERDNHEIDAGSVDEHSTKSDEEYEDLAMRDVMENGDLSDDEQVLSSTKNSLSQESIIRGTGTDEDDGMEGRYHHNLDLFLKMSKEVAGTRMPCAS >Dexi7A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:7A:29336271:29342367:-1 gene:Dexi7A01G0020590 transcript:Dexi7A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVADGKAANGGGAGGGDAAAGEGKKRADQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPLFFLFFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEISCWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKMAGYKLLEVIRQKPSIVNDHKDGKWLAEVHGNIEFKEVTFSYPSRPDVIIFRDFSLFFPSGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAAATASNAHSFISLLPNGYNTTVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELVVKSSGAYASLVRFQESTRNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFLKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGIYAIVAYLVQYYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVIVQNMTSLMTSFIFGFIIEWRVALLILATFPLLVLANFAQQISMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSHELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATKIEPDDPESERITTIRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVSIDGKDIRRLNLKSLRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIEAAKTANVHGFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTSVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSELLTRPEGAYARLLQLQLNRV >Dexi3A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:3A:16122882:16125086:1 gene:Dexi3A01G0020320 transcript:Dexi3A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCPGSSANSQPPDSSAAGSSRPLGGGGSTTGPTPTGVVSATAAPTPTKPPAPIGPVLGRPMEDVKTTYTIGKELGRGQFGVTSLCTHKVTGQKFACKTISKRKLSTKEDIEDVRREVQIMDLKPENFLLLSKDENAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSVGVIVYILLCESEHGIFNAILRGQVDFTSDPWPRISQGAKDLVRKMLNPDPKQRISAYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRRGLAKKGTKLTEAEVQQLMEAADADGNGTIDYEEFITATMHMNRMDRDEHLYTAFQYFDKDNSGYITMEELEQALREKGLLDGRDIKDIVAEVDADNDGRINYTEFAAMMRKGDPEPANPKKRRDVVL >Dexi6B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:6B:26201642:26203755:-1 gene:Dexi6B01G0019470 transcript:Dexi6B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEIKAGDHIYTWRAGYTYSHHGIYVGGSKVVHFTRKKEAGAAGLDSAIAMSSLFSQGSDECPTFPDCGFQLPDSGVVLTCLDCFLRGGAVHRFEYGAPPAVFLAKLRGGTCTTARADGGADAAVRRAMHLLQNGFGDYDVFENNCEDFALYCKTSLLPSAGAGDDHGGGHGGGIAGRSGQAASAVGVPLAALLSTPFRLFAAGPLGMAAVTAGVYCAGRYITDIGVRKDVVKVEVENLAAHLGWRRAKAEEAAAMRKHQQQQPAPEKTTTSSRLLPLKRKREICV >Dexi3B01G0024920.1:cds pep primary_assembly:Fonio_CM05836:3B:19659287:19667646:-1 gene:Dexi3B01G0024920 transcript:Dexi3B01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHSGIPITLVPLDATNTIPINKEFFYEFEHHQSTYEAQYCFKSLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDKNGKFGNDFAQLEYMNITVVTSNKPYGVHDGSNPLFDGRTIPKFGLQKFGVHSGHVQTGITDSFCRVKGSIKGRCEDGYTKEVSGPEAAHIRVATKAKPNLDKNSPLDREYFKSFLEALNLQENSGRFDIKGQFPFYKEILYKPAFKSKRMGRPVIIDMDMSPGDFVSLIYLLKAPVEVIDLKGILVSGNGWANVASIDIIYDILHMMGRDDIPVGRGNTTALGTPSLGCDYVNVIPQGSGGLIDSDTLYGLARSLPRSPRRCTAENSVKFGAPRNTDHPELRQPLAFEVWQSIKEQLGPSEKVTILTNGPLTNLANIVLSDKNASSVIENVYAVGGHIRDENDSKGNLFTVPSNRYAEFNMFLDPLAVKTVLESSLDITLIPLRSQRKAASFQSMLQALKHTDHTPELSFVQRLLLSLHDLQQKHELYHHMRGAARGDQRERDRQRAQARRPVAKGRDDGLTPEQRRERDAKALQEKAARKAAQAAGGGDAKGGKVSGKNAGKK >Dexi9A01G0034480.1:cds pep primary_assembly:Fonio_CM05836:9A:39253378:39253740:1 gene:Dexi9A01G0034480 transcript:Dexi9A01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTPPPQQHGETRSPTLCPSPRASRPRILGRRPPPARRNAPPQHPHATTHHHRRRRNSQQQPIRNRDWSQTPAAPPAPHQAGPPGGSRIWPSATGWNRSRPPRGGIEEWWGRIYDGTA >Dexi9A01G0031120.1:cds pep primary_assembly:Fonio_CM05836:9A:35995953:36001143:-1 gene:Dexi9A01G0031120 transcript:Dexi9A01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSITTRFSHHLTRRAHPCVPHALTSHSRDEEASSSTPLPLPLHTLQSPLQHRSSASQTLGLLPFSLHLAGPTRRSFSSSAPAPDPAPAGEVDAAGVLADAAEAAVSVPAPFPGEVAAAAADSFFPVAALQHFIDAIHTFTGLNWWACIALTTVIIRSATIPLLVNQLKATTKLNAIKPEMEAIKDQTDTMDLKSAKEGQEKMKALFKKHGVSPFTPMKGLLIQGPVFMSFFFAISNMVEKVPSMKGGGILWFTDLTTPDSLYLLPVLTGLTFLATVELNLQEGMEGNPMASKMKMFSRGMAFMTVPFTMNFAQVRILLYADRVIGIFCYWITSNIFSLTYGLFIRRPAVRKLFNLPPLVTQPSTATKKATFNLFGGSPAAGSPVAIAGAQQSALGQPDAAALGYKVKNLEKKVKSRGKRKHR >Dexi5A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:5A:19612157:19616629:1 gene:Dexi5A01G0016890 transcript:Dexi5A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFAVCPKSLVPINGAADHLSSSAISDTTAHRARSPLPGGRRRRRRRRREAAEMVGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQVLASRKKIFIILEFITGGELFDKIIRHGKLNEADARRYFQQLIDGVDFCHNKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGSALLRTTCGTPNYVAPEIESADYSFPPWFSGGAKSLIRRILDPNPDTRIRIEDIRNDEWFQKNYEPIKEIENEEVNLDDVNAAFDDPEVHTFALNSFASRIFQFD >Dexi3B01G0024850.1:cds pep primary_assembly:Fonio_CM05836:3B:19521898:19522182:-1 gene:Dexi3B01G0024850 transcript:Dexi3B01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCAPSTFPACGSSVVPRHSGGAPHRPSRSSATGRDGTGGRARGGGNWEGTGKCAWPRWWPEAVWAEVADTNKRVSARQGPVVDGATSVALMH >Dexi3B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:3B:708689:709015:1 gene:Dexi3B01G0000840 transcript:Dexi3B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSELVGCFRRWRSRRDAELAADRLLESVPDVAYQQLPGQECCVVCMEEYGHGESCFVMPGCAHMFHRGCIAPWVRQGNTTCPLCRASLAAVPPAQHSCITTPEDMV >Dexi3A01G0028430.1:cds pep primary_assembly:Fonio_CM05836:3A:29128429:29128789:1 gene:Dexi3A01G0028430 transcript:Dexi3A01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGSDDIKKIVNGGWCGWKKSVDSKGRSFFLKDKFYNLLLPQRPNMV >DexiUA01G0027050.1:cds pep primary_assembly:Fonio_CM05836:UA:57585294:57587543:1 gene:DexiUA01G0027050 transcript:DexiUA01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRLSNRAVRSSSTSSGIGAGAGASTSAASPRTRAVAAGHPLRASSPPPPSAVAAAAYWESRALRRDGEDGDWEEVVSGAPVPAEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENHVGVDSDTTDRKTALLSTSGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRSVLDAFRLLQNDPTVQKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHDGKENGRKGSTNGPAEVLKWILDSAQAKIVEFLENVMKIVNMLIHPQDDDEKPDAYSDAVRVSFMLTVFVFIVVAIARIK >Dexi6B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:6B:15418815:15419806:1 gene:Dexi6B01G0009770 transcript:Dexi6B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGRGMGLTVCPTWAFSDGGPGALQISTGRTSVGSSKSPGPRPLPQTSSYMPSLLHPPRDGPPSRRHRLPHHQRRSSSASGPRRAALATATSLSPSPPLPPHDLHHHHRRAAEAAATKPSSPSRPAAAAVPHHPQLHHQQQNTVAAAGAGEQVGAATSEMIWRNLAEQMAMTLTWDPSSLITVLSGRILELSPIKD >Dexi6B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:6B:26482757:26483429:-1 gene:Dexi6B01G0019870 transcript:Dexi6B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNDASVEEQLQSLQAAVSSPLATVETMVDGLAKLGSIYGLINELTCLPSSKRLQRKAVEDELESSVVLLDLCNVMQESLLELKATVQEMQLLLKRGDNAAVQAKVQTYARAAKKAQKQFKKINGQAAPDMEGCRMVKLLAEARDITVSMLDKWSLVSKPFQKKKIVCEEEQLQVLELDIMGLERH >Dexi9A01G0036300.1:cds pep primary_assembly:Fonio_CM05836:9A:40788976:40789719:1 gene:Dexi9A01G0036300 transcript:Dexi9A01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQNHAPLVVVVFSSLLLLTVEAGSAGGRHSTSRMGPDECSEEDVVVYQSNANPLPSGIPAYTVQIVNVCGGCTVSEVHVACGDFASTELVDPAKFQRLGFNDCVVKGGAPMEPSETVSFQYSNSFSYHLNVASVSCE >Dexi5A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:5A:10251919:10254456:-1 gene:Dexi5A01G0013630 transcript:Dexi5A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like protein, Basic helix-loop-helix factor, Repression of seedling growth in the dar [Source: Projected from Oryza sativa (Os01g0286100)] MLMFSIGGSYVGTDRCCRSDGNEFAELLWENGQAVVHGRISRKQQQPHTTTSFPPFTYGSGSSSRAQEKHHQQQQPGGGDPVALFKTGGAGGGLFGAGGLAPSLHDFASGLDATRDNNNNNGDLDDTVPWIHYPIIEEEEEEPAAPALADTYSPDFFSELHAAAAAATNLGSLPPPVQHTPNSNNRSNPTMATSSSSREPEHSKESHRIPIPGPATRAEPPQAELFTATKQQQPRLGGGSSGEGLMNFALFSRPAAMARASLQMSAQQRPPPSQAAAAAAGTDNKASNVTTSTRVESTVLQSSSGSRTAPAPVFADQIRTAAWSQQPKEVRFSSCTAAAPPPAGGNNVQQEMPRDRLGSNMSLQKKVETRKAPEVTSSVCSGNGAGIGNNDESWRQHKRKSTQAECSASQDDDIEDESAGMRRSGSRGTKRSRTAEVHNLSERIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPPIAHFPHLGMGLGYGMGVFDMNSTAAVPFPSMPGAHFPCSMIPGTTPQGLGMPGRNTMPMFGLPGQAIHPSASSVQPFPSMAGLPVRPNLAPQVSAAMPNMVQEQQQGVASQQQQNMNNEAQHGANTGDPELHTILQVENQHFGVPSSAQTESEQFLDSGGNRTDTAGRNGAET >Dexi6A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:6A:5185875:5187931:-1 gene:Dexi6A01G0005660 transcript:Dexi6A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAMLVATVWCSSRPLPSPRIRPPHHLHPSSSPPVGALPHRHPHPRLEPLHRARRAAVRSVTREEANDTLKLWYRDRKEVSAWQKKQKKLAQEKCEVYTLLGRSRHFPKFEHGHIERAVINAPVQGSAADVAMCAMLEIERNTRLKELGWRLLLQVHDEVILEGPSESAETAKTIVVECMSKPFYGTNILNVDLTVDAKCVKNWYAAK >Dexi3B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:3B:12883756:12889020:-1 gene:Dexi3B01G0017570 transcript:Dexi3B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKAFTGVSYDHEPTLIERGMDVRSNHPLPAQPGIPRVASSSVPSNGEHEVRRKLEISPTTPNKARFEDLRRSLGGYTRGCASAPPPIPRTREGTKASKASTNTNLRRIEPHSCGGSGATLCSPAKAHHRNNSSAPIPLEVDDHPRAGMETFTLERVISVSFELELATLGMTPKALRPITQSHDDAPLQSKSRSPLHATAHAPEKTPVKSTRNNLTWQHHCANHSFDFARLGCATILEGEPRRRLQGLDLDAVRNRHKKEGHHVSHCHVVSRSWKARFPLAYDSRAWGLRSSSPSPTLLVTPYYEQRETGAQHHCWTYAPVAGTRIKTPVSSPAIGATSLSESVKRQFWEDLDGMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGCRSQEGEDLVVADFRLRVRVHQDKRAKMARTKWWKLRGEAAQTFKGRMVEEGPWDEGEDVDDMWLKMATCVRKVAAEVLGVSRGGKQEGKDTWWWNEEVQRAIKEKEWFKRLHLDRSAANIEGYKVAKKAAKRAVSVAKGKAYDDLYQRLGTKEGERDIYRMARIRERKTRDINQIKCIKDETDQLLVKDEEIKDRWREYFDKLFNGEIEGPALELDDSFDDINRCFVRRIQEAEIGEALKRMKGGKAMGPDGIPIELMSHTMKLWERVIEHRLRGATSVTQNQFGFMPGRSTMEAIFLIRQLMERYREQKKDLHMVFIDLEKAHDKVVPQKATFRYLGSMLQQDDDIDEDVKHRIAAGWMKWRQASGVLCDRRVPQKLKGKFYRTAVRPAMLYGAEYWPTKRRHVQQLSVAEMRMLRWSCGYTRRDRVRNEDIREKVGVAPIEEKLTQHRLRWFGHVQRRPSEAPR >Dexi1B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:1B:12896939:12897988:1 gene:Dexi1B01G0012040 transcript:Dexi1B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVGILAMVTMLLVRAPLVQPVPQAIFIFGDGLLDVGNNIYIPGGAEVGEPTRADHPYYGIDFPGSKITGRFSNGYNLADFIAKSMTFEMSPPPYESLPKPSPVKMEGFTGVNYACGDAGIRNSTNGDITYPLMDQVGQFGATRTQLKAQLGGRKPLNIFLSKSLFLIAVGTMDLNPGYNMFLYVPQNDNQTEVQRLIELYGESLTELHAMGARRFGIINSL >DexiUA01G0005920.1:cds pep primary_assembly:Fonio_CM05836:UA:10782690:10783331:1 gene:DexiUA01G0005920 transcript:DexiUA01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEKLMDNQKAYIRALNAWLKLNIIPIESNIKEKVSSPPRLVDPPIKNLLHTWHDELERLPIELAKTAITTFAEVINNIVHLQEEEINLRRRCDETRRDLTRKRAQFEDWHQKYMERRATLSGDANPEASEVQNIDPVEDRKRVIEELEIRLREEEGHHLRHARQVREKSLANLRTHLPELFRNMADFSYFCHDMYNNLRKAAAPPKDEVRG >Dexi1B01G0021990.1:cds pep primary_assembly:Fonio_CM05836:1B:27715741:27716034:1 gene:Dexi1B01G0021990 transcript:Dexi1B01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAVERARYLTLPPPQIAVEGTGRRRLLEEPRLKAAGSRRRRARTAWIPRGTKLLLRSCQYGGSHIQKQSAPPPASCDVAAVGSAFAAWAVPAGP >Dexi7A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:7A:24676199:24677762:1 gene:Dexi7A01G0014740 transcript:Dexi7A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQEESAFRTYLPEFYAETQWEESAWWHGSALVTTSMLVHRGGWGWCPASVAETPRVADAPADDVVDATLAELSAVDPAASAVLRAAEALLEGNLTRSPPELHDAAVRGLRGWLERQRFNPGVMAELVDLVKLPIDRYAGARDNSRPYASCAVVGNSGILLAREHGALIDGHDLVGRFARHVGAKTGLAFVHSNVLSRCASAAECGGGSCRYCHAYGERVPILTYMCNAACSAGDNAGGAPVIVTDPRLDVLCACGGGRAGGGPEGVSVFGFGKEPGARHHYHRDSGFRLPPVVVYR >Dexi5A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:5A:3069487:3076836:-1 gene:Dexi5A01G0004120 transcript:Dexi5A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSQAQAMLDAQAELWQNTFAFVKSIALKSAVDLHIADTIQHHGGAATLSQIANKAMVPPSKTPCLSRLMRTLTSAGVFSTQQPPSGGDDCNSEQLLYTLTPTSSLLVGSRNQAAFTSFAPHLATVSSMFELSGWLQSELPDPSMFKLRNGCTAFELANGDPAFNEAFNDGMVSDTEFIMDIVVKEHGEVFQGISSMIDVGGGFGAAAHAISKAFPHVRCSVMDLAHVVDKAPDNTDVKYIAGDMFESVPPTNVIFLKAELCRNTFAFVKSMALKSAVDLHIADTIQHHGGGATLSQIANKAMVPPSKIPCLSRLMRVLTHAGVFSTQADGGEQLLYTLTPASSLLVGSRNQAAFTSLALHPAMVSSLFELSGWLQSELPDPCMFKLRNGCTAFELANGDPAFNEAFNDGMVSDTEFTMDIVVKEYGEVLFQGVSSLIDVAGGLGAAAHAISKAFPHVRCSVMDLAHVVDKAPDNTDVKYIAGDMFESVPPANVIFLKVCGSP >Dexi1B01G0024540.1:cds pep primary_assembly:Fonio_CM05836:1B:29832236:29833224:-1 gene:Dexi1B01G0024540 transcript:Dexi1B01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSSPTPTNKAAEPSNRRRIRKELNHLWVDPPPFCRPGASPVTDLLHWDAIIDGPTGSPYAGGTFPVRVDFSDDHPMKPPTIAFMCKVYHPNVDSEGKMVLDIFKEEWSPILTIEKLLLSMVSVLYDPMLDRPINGRIARLYKRDIKLYERKAMEWTRRYASTPVVSYYPEKGDDHWEEYCDAIAVHNAELEKKERRREEAKRLRAASDAASARRHSKVASPREKGGPNVLWRRSVAFLQGRRRIVALPSTVKAVADWHRRDQLSIKGQLDRWSVAYAIEFKLRIRN >Dexi2B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:2B:29907542:29909115:-1 gene:Dexi2B01G0019720 transcript:Dexi2B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAQPSPTTGTLPFLIATNDKSTIPPDAKITEDSAFDNDVFSFQPHLGSKQPSFSTAEKNYSAYNQNHSLSNIHQQDSTLQSSFNAVRDNTDATIAKLKTSDSIFGSSPYSADQQQDDKTNQNVQGDGFEATAAACVPLSNHGDASLLESQDAVDVSSTLSNEEERATHGTVSIECEGDEDETESKRRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGQAGSGSANAPPASQNGSSHRRQEPAQASFAHFGATAPFGSFVLPPSGQLGPAAGNFRFGMVPPGMTIPMPSLGSLAPTKMVGNSSAMQGYPGLMMPGEPKAEPVSQPGLPVANAAPSGYQMMSRPPFGHQM >Dexi7A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:7A:26202919:26206030:1 gene:Dexi7A01G0016330 transcript:Dexi7A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYGGYAYHGSTFEQAYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDSTQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPTIPASKAPAEGGDTVVEDEPKFKPFTGPGKRLDGRASKLQASDVPSTTRSVSLDSNKRTNQQTSAPATSGASNSTRQKTGKLVFGSSSSNSKEPQKAPAKEEPPKKDEPKFQAFSGKSYSLKR >Dexi4A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:4A:5044612:5044923:-1 gene:Dexi4A01G0006940 transcript:Dexi4A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRHRRPPPHRRRRAGAPAHQQLASSQAARSEKPRSTASPEQRRRPPHQTLRVPAVTPDTRCAWDGWGKLRSRDWVWVSGTRVEGRREGGRKRRRRRETSGV >Dexi1B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:1B:23060225:23062575:1 gene:Dexi1B01G0016570 transcript:Dexi1B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDASSASPLLPPPSGGKPAPAASRFARCSSHAHDELRSFRACLSWLCVDHSSSPRLAAAGSWAVFLLLAVAAPCAAPLLLLLAGDGDDPDALPPRPYEGQVQVSLTLAAALAYVCLRALLGRAGGLRRLLYLDSLRRDSDDVQAGYAAQLARSFRVLACFVLPCALAEAAYKAYWWYSAAASPASRQWSPWWAAAACALEVASWVYRVALFFMVCVLFRVICYLQILRMVGFAREFGRFADVATVLQHHRRIKEQLRKISHRYRKFIVCSLVLVSASQFAALLATTRPHAVVDLATAGELALCSISLVAGLLVCLHSAAKITHKTQAMTSVAAAWHADATVHAFDNDLENPDPDLPPTAGYLAPANAYRVAAGEESGTDDDDDSRSETSSLDDPKYVPFQANNICFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >Dexi9B01G0036560.1:cds pep primary_assembly:Fonio_CM05836:9B:38060886:38062083:-1 gene:Dexi9B01G0036560 transcript:Dexi9B01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVETHARAFADEVRGGLDTKNWVLALGHPLLNRIAESFVKAAGVSTPRWLTGSVHSVLDQSPISFPCPVSSQARFHCFCRGSAQSRRSRGSQGDVLHGNRSAVCCVDWFQAREDQCPAPLARGLAAGVHSGLTYGLTEVRETHNWRNSAVASGITGCAIAGAALSTAANVLSGIF >Dexi7B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:7B:15436676:15437221:-1 gene:Dexi7B01G0007660 transcript:Dexi7B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVAPPATRPGRSLHSNPALTTRRGTRPRPWGPAASGQANAVRCELSRRPEPRLVLRAAADAQQGGGGGEAAGAGRRKRLAVFVSGGGSNFRAIHEAALGGEVHGDVVVLVTDKPGCGGAEHARSNDIPVVVFPKLKNAPEGVSSCSIR >DexiUA01G0004400.1:cds pep primary_assembly:Fonio_CM05836:UA:8547200:8548075:-1 gene:DexiUA01G0004400 transcript:DexiUA01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEIGILCHGDGGGEFTVVDFTNFGHDGELCLLHQHGSPEKDIETLTQWRVKKVNFPQDNGPSVHHWITDAVVPIDGRFLCWVDNYQGILVLDVVLAIADEEGPVQLRYIPLPEKALQSGRRVDPDGDCPDAARCVGATAGGMVKLICVDEATRRWDEGDDGDDMEAAEFWGLLYSGSQQMRNLPRVKPAYPLVSLVDPDVILFLLEEDHDTYWIVEVDMRNMVLRSCARYMNEEDEEGCVAERVRRNVFDGHSFFPSEISSYLL >Dexi6B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:6B:18521122:18521844:-1 gene:Dexi6B01G0011330 transcript:Dexi6B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSLFKSHLEAAAEPPPEGRNAGYLVVKNARDDEDGEMSCFSPTGRVLGLPFPQNRVLTVEHGDDSEHFVFVPIPDQPLASNRYYILVPSGKNKGLVMACAREEDVTNCCLCRCIPSVDRRPFDPNDVYQQIEIVQLKRGSFTARAVAADGFPPSIFRWKNWKLYDRKSKKKIVLGEALGLDTALRSHRLAGGIRPGEATVAVGKWYCPFFLINEHGVKRRDQMGRDVFYKVVLE >Dexi2A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:2A:878012:878371:-1 gene:Dexi2A01G0001330 transcript:Dexi2A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTRAKKRNLEEQDFISRLPDAILGDIISLLPTKDGGRTQILSSRWRPLWRSAPLNIEILRYKWWEHTTAGATSRILSEHPGPGRRFCVVYSNANAAGVALVDAWLLI >Dexi8A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:8A:8946773:8947066:1 gene:Dexi8A01G0007800 transcript:Dexi8A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVGKWGDAGGGMQLLSGEQWGDDEGPGRRCSCHPSRGTTIPAVPLALRSPDGRSSSRLAATGSLARSVERGGRRAAMLSPATRLALHPALDGQ >Dexi6B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:6B:10495503:10498994:-1 gene:Dexi6B01G0008350 transcript:Dexi6B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQQHEVTGARRAGREPANGGHRAAATVTEMPPGGHHRAERAERPAGHRVERAERPVGHRVERAEAQATHAVERVGDARRPAVAAAEMPERRTERKKSLESLLDDNARGGQQHKHRGAATGGGHVPARPVPAPGEKVMNFPGQGLEFMELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIARGSLEGSVSVDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPTYLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLDPLVAYQRDGSKPNEAAKTPIPKTPRTPYQKSVQFRQIQLKSNQFSVTTATPHAHPFSNYESYNINDEEEDFDNSLERKAQTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWTPARTPARTPMSSYQRSRVATPHHQPPPSPQEPVFKPEEPTYHEYHLDLEPLDASEDGPKFANPWFREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDANFTTINRLLNFYIFSVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLQSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTQIPIAWRWLHYISAIKYPFEAMLVNEFKGSRCYVGSQTELSPGPLGQFQESDLHKSLHLDETTCPLIGQDVLSTMGITIDNIWINVAILLAWGVLYRLFFYVVLRFYSKNERK >Dexi8B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:8B:288624:291021:1 gene:Dexi8B01G0000440 transcript:Dexi8B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSMSSHCSSLPLIALLLVCSQLLQVATAREQYHEFVIQEASVTRLCRQRSIMTVNGQFPGPALEVNEGDSLIVRVINRGGYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRAGVAAYPFNTASKPPAREIPVILGEWWDMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYRCSSSKDTATFTVKSGETNLLRFINAALNTELFVSLAGHTMTVVAADASYTKPYSTSVVMIAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAVFEYDGGSTSSAPMMPTLPAYNDTAAATAFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCSSGQSCGGPNNTRFAASINNVSFVLPSTVSILQAHYHSADEVFTDDFPANPPVQFDYTAQNVSRALWQPVPGTKVYRLKYGAAVQVVLQGTNIFAGENHPIHIHGYDFYILGEGFGNFDAATDMAKLNMDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLQAPPPDLPLC >Dexi5A01G0029630.1:cds pep primary_assembly:Fonio_CM05836:5A:32667718:32670915:1 gene:Dexi5A01G0029630 transcript:Dexi5A01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASHRARRPPPPADPEPQAAAATPPRPGSASRRRARRVRVQSPSLAAARRGAAPPTPPPQPPPDTPPVRWPLGSGDAGARPASRPGPGAGAALSVREIAAALWRMQPLQAPPPPPGKARRRAESSTKRPHTPDHCQQYKAVIQGRAGNRTVNNVPHEVEAHSAVRQIEPEMATKWSHQSRKASRGVDFDYTEHNLRDAGGEIYSLKEELMVAQDRIHELEAECRSTKKQLDHLVKNLAEEKASWKSREHGKVHHILDAVKEELNRERKQRQRADMMNSKLLNDLSEMKFAAKRYLQDYEKERKARMLMEEVCDELAKEIAEDKAEVEAMRTESMKIRGELEEEKKMLQLAEVWREERVQMKLVDAKLTLENKYSQLNKLQDELEDLLCSQQGSNLEKRTLSEAERLREAICSTKINGVKEFSYKPPPPSEDIFAVFEELKQREDTAEKVIVQCNGNRPKSCASKAHTVSPEADMFLENQESRYCNQSRTCNEEAEDDSGWETVSQVEENGSSNSPGGSEPSVNGFCGANDASVCGTDWDENCDNDQAHSEISEVCSTTTRRSRNKRSFAGLWRSANSVDQKKMGSNIVNGRLSNARMSNVTESPDLKDGEVYDSPQSAGQWRPDLLNPDIVRAIKGCIEWPRGVQKHSFKPKLLESKIDGSKVQLRQALKQKI >Dexi7B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:7B:12794990:12795448:-1 gene:Dexi7B01G0005360 transcript:Dexi7B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAFASAALERAIEALSSLIPGFTTMARRAEEDADAEELRRLGRTMMRIRAVLEDAADSSRARASAAARLRLRELRCVAYDAEDVVGECEYEATRRGAEALDAVRRAGSGGGHLKRG >Dexi7A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:7A:20360745:20361786:-1 gene:Dexi7A01G0009300 transcript:Dexi7A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRPRKGDRRIDAAIDRFKPMGYAECDIRGAVTELLKLYGGASASAWRLLEEGSYQVVQDKLFDMEEEEENKENEKLLLERQENEKQEQPLLLEGQQGEEEPPQHQESAIDEAVPEHRKSIFQVHEVPADTEAAREEVGDPMFIEPPPINAVVPQTVSMGTGRTRRPCYGWLSESEDEEEL >Dexi3A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:3A:15559505:15566118:-1 gene:Dexi3A01G0019670 transcript:Dexi3A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHRLRLPFRLAADLLLLLLLPADSCARDTVSPGQPLLGNDTLVSSGSGTFALGFFSPPGSNNTYLGIWYAKLPVRTVVWVANRADPVHGDASTAGATLSVSSTGCELAVAGPNGTVVWSSSSSPPATGAAADGGGDGPCTARIHDDGNLVVWDQRGRVAWQGFDHPTDTLLPGMRLGVDFASGNNMTLTAWASPSDPSPSPVVAAMETSGDPEVIVWNGDAKVWRSGPWDGVQFTGVPDTVTYKSLGFTFRFVNNKREATYSFQVRDASIVTRLALNSSTASGLALQRWTWLDAARAWSLYWYAPKDQCDAVSPCGANGVCDPNAVPPCSCLRGFTPRSPATWALRDGRDGCVRATPLDCANRTDGFAVLTHAKVPDTARAVVDYGSSLAQCRERCLSNCSCTAYASANLTGEPGHRGCVIWTGGLDDLRVYPGYGQDLYFRLAAADLGTSSRCESPMTHVSYSDLTPPFLAVWCTSAWRAGPRSKEGSEGKDNGDDLELPVYDYETIAKATEGFSSENKLGEGGFGPVYKGKLEDGQEIAVKTLSRTSTQGLEEFKNENNISLFFYENNLSFLSDTTKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGNEDAEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVLVLEIVTGKRNRGVYSYSGHLNLLAYAWSLLNEGNGLDLVDENLSGSFDPDEVLKCLKVGLLCVQENPDDRPVMSQVLMMLASNDTASLPTPRQPGFAARKAAAEDTSWSKPDCSVVDSMTVTMVEGR >Dexi5A01G0024040.1:cds pep primary_assembly:Fonio_CM05836:5A:27931541:27932307:-1 gene:Dexi5A01G0024040 transcript:Dexi5A01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEFKVVLICVYLILALAAGSSASLNISVYWGQNEKEGSLADTCATGRYAFVIMSFLCVFGSGRAPIFKFAQHCNASGGGCAGLRNDIGSCQSNGVKVLLSIGGLLGNYSLSSTSDAQGVATYLWDAFLGGNANTVSRPFGDAVLDGIDLNIIAHSSNYYYDDLARKLASLYEGGNKSGRRYLLTAAVQCPYPDDSLELALRTKLFHHVWVQFYNNPVCQYDESGDYEDGVGSMGTGLAMGVRIPWSGCSA >Dexi7B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:7B:20350368:20354835:-1 gene:Dexi7B01G0013940 transcript:Dexi7B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAPVVTHPAVLFVPFPAQGHVTPMLQLARALAAHGVAATVAVPDFIHRRIASATGGNGGVALASIPSGIVVPDAAGSDSDDPPGFGAIVHSMEHHMPAHLERLLVARPAVACVVVDVLASWAVPVAARCGVPVAGFWPAMLASYRVVAAIPELIERGLISESGTPISSSEPSDNDDVDDQDGDPTIRGLKILPPQVKLRAEELPWLVGDSATQRSRFAFWLQTLHRARDFRLVLVNSFPDENAADDAMHPLAIARHCPHVLPIAPALLPGGDLATAERTKQQRPYPSMWRADSTCIAWLDAQRAGSVVYVSFGSWVGSIGPDKVRELALGLEATGRPFLWALRRDPSWRAGLPDGFAARVAGRGKVVDWAPQEDVLRHGAVGCYLTHCGWNSTMEAVRHGVPLLCYPVAGDQFINCAYITGPWGIGLRIGAAMGREDVSCGIGRVMDDEDGDGGGEGRRLREKVRALRERVVAAEARRAADRNVRSFVDDIRRDHHPLLTQIYSVL >Dexi9B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:9B:11055244:11056811:1 gene:Dexi9B01G0016170 transcript:Dexi9B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRCMESVGAGVAPHSSFRSLGPTLLITMAYIDLGKWVVALEAGSRFGYDLVLLVLLFNLSAILCQYLSSCIGIVTGKNLAEICHQEYSRTICIVLGLQAGLSLLTSEVSMIAGTAIGFKFVFDYDDLISVIWLISVVVNLLPYTLSLLDKRMAGMFNTYVAGFTLVCFVLGLLVSHPKTPINMNVMFPKLSGESAYSLMALLGTNIIAHNFYTHSSVVQVCSNFQHLLPMLIYNC >Dexi9A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:9A:9956571:9956945:-1 gene:Dexi9A01G0014980 transcript:Dexi9A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLSAAAAIPRLRLVTRPAARLPSARSNWLRPLSSATHVTPPAAAAGAGSLEPPDLHRLANAARISLTLQEVIDL >Dexi4B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:4B:9147414:9149503:1 gene:Dexi4B01G0011680 transcript:Dexi4B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDRQQSDCLPFSLEAQRSVSRSWRAICSDPAVRRRCHQTLAGFFFRTVPLGGRPSPYVRHFVNASGRGTPMVDPSLSFLPLDQRNACILDSCNGILLCKGDGSRYFVCNPATEKWIDLPDR >Dexi7A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:7A:28035002:28037991:1 gene:Dexi7A01G0018710 transcript:Dexi7A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDDRTQLSGFAQSEESTLDVEGHCYHQQSFPSSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKVQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDLQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGQDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITNESLQEFILNKDAVQQQRLALVAAANSAVGESSTVAPPAPEEKVEEMFEPLEIKIEQLPRHDVPASGTEGEDAAVSNVEEHQFISNFGGMSPVRQDQDAPRQIANLNNPSEEELAMSLQLGEREPKRLRTDTETDLDLEK >Dexi5B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:5B:6852069:6854930:1 gene:Dexi5B01G0009770 transcript:Dexi5B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEACSSGEASPVAAASAAERPSESAALRALVERVRAGEVDAAREVRRLTRASSRHRRKLADAVEPLVAMLRAGAAAPEAGEAALLALLNLAVRDERNKTKIVDAGGLEPLLGYLQSSDLNLQEYATAALLTLSASSTNKPIISASGAIPLLVKVLKEGNPQAKNDAVMALYNLSTVTDNLQAILAVQPIPSLIELLKSGKRSSKTADKCCALLESLLAFDQCRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSRMKAHVLLDLLRNSPYSRSKLQPDTLENIVTNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >Dexi1B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:1B:17736873:17738078:1 gene:Dexi1B01G0012910 transcript:Dexi1B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTMGDSLECLWDCLDSDGLQSLYIGVDGDSAVAGDQQRHDGYSSAPDDTAGANSSAAATDSKPGNGNSVVATERRRRRRLNDRLYALRSVVPNITKMDKASIIKDAIEYMQQLQQLERQLHGELALLEAATGAHQMFIGVPPSTGAAVAENDCASVSPTKKMRRNASLSPPSASSSSPVDAMEVRVSGAGDKVKVLVVSVVCRHRRDAVAKVCRALEGLRLRVIAASVTAASGTVTHTALVQVIP >Dexi3B01G0033610.1:cds pep primary_assembly:Fonio_CM05836:3B:36378300:36380156:1 gene:Dexi3B01G0033610 transcript:Dexi3B01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPKKRELEEGGDGGSGGGAPSQAPVLKKRCRSFDLEIRGCRHLQELAAGFKVSLEAALESAVARIPEEVAKALTSFLSRAPSLCGTLVDQNRPPRYKLTFTNGLGTEVFTKKVICDTNGGPLRICVIAHGHEPTDPNILSAKIRVVVLDGDFDKHNQKSWTLEEFSNSIVRPRDRVGAVLTGDLELTLKNGEAYLCGATFIDNSKFVRSGKFRLGVMVIDNVGERVQEGITEAFIVKERRGERYRKHDIPSLNDDVWRLKKISKDGALHDALRGSGILVVKDFLRLYYKDEQALRGILIKATESVWTTIVEHAKKCDPGSELYSFLVEENSVMLFFNSVCQIVGAKFGDNYSPFDDLEKATKV >Dexi5A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:5A:22156815:22160339:-1 gene:Dexi5A01G0018640 transcript:Dexi5A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAVAEKSGGGCGGAGAAVAGAGPVAQAGSGGERRSRFRRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPRSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPPAHSFAPELEPGIASS >Dexi6A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:6A:2204149:2206491:1 gene:Dexi6A01G0002340 transcript:Dexi6A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYDTVHGHWKHSDIKLKDTKTLLFGEKPVTVFGYRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDINIVSNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLEKAASYEDIKKAIKAASEGPLKGILGYTEEDLVSMDFVGDSRSSIFDAKAGIALNENFVKLVSWYDNEWGYSNRVVDLIRHIFKTQ >Dexi6A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:6A:21142932:21145576:-1 gene:Dexi6A01G0013810 transcript:Dexi6A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGGGGFHELFDSVRRSISFRAGAAALDEPAASPSSSSSAAADGRGFRERISNRLRRSRGMGLLGMAAKSPSPTRRFLPPPPPSSPPPPPQDVAASSASDGCDGVGAEGTRVGEEHPPIRWRKGDLLGSGAFGQVYLGMDLDSGELLAVKQVLIGSSNATREKAQAHVMELEDEVKMLKNLSHPNIVVVVIMWGSCDSVIFQGANILVDNKGGIKLADFGASKQVEKLATATAAKTMKGTPYWMAPEVIVGSGHNCSADIWSVGCTVIEMATGKPPWSHEYQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKYILILYAPL >Dexi9B01G0024900.1:cds pep primary_assembly:Fonio_CM05836:9B:23902062:23902349:-1 gene:Dexi9B01G0024900 transcript:Dexi9B01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDGWILEKEIYLREVFDTVPGMPELTSKPVKTMWLSDIDPGHTERVFIRTTGFGRFSYNLNTGKLDTLKTDDGMVYGDPIFSYFAPTDGEFQ >Dexi6B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:6B:25895116:25896609:-1 gene:Dexi6B01G0019020 transcript:Dexi6B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTARKCSLQNVNVDVEHCLSMCVEERLRRLISTLIRVSKQRIDTEKTGHRLVITSDVGRQIFQMNQKAKEEWDKKQAEEADKNKKQTEADGRGAAESEKEKEESRSKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAPASQSGRGSGPRPLSKFGKGPGENQEGSKRSHSAAFGTGGMKRPGRTPFAGPQRTISVKDVICVLEREPQMTKSRLIYRLHERMPGDSTAD >Dexi6A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:6A:1919817:1920426:-1 gene:Dexi6A01G0002020 transcript:Dexi6A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSSSAPSSPRSNKTSVEEQLQILKATITSPSATFQTMSGSLMKLGSIYSCIDELICLPSSQRKAAEEELEHSLVLLDLCNAIQECFAELKTSVQEMELALKRGDDVAVQARVQSYARSAKKAQKQFKKINSKAASCIEGSRVVKLLSEAREIGVAVLESTLQLLSKQVAMPSSGKWSLVSKAFQKKKVVC >Dexi5B01G0022740.1:cds pep primary_assembly:Fonio_CM05836:5B:25002113:25013662:-1 gene:Dexi5B01G0022740 transcript:Dexi5B01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAATLVPLLLARRRPWCPCSLGAATTSPCVPCSASPSQPLLMACTSTDPGDGAVERPRDTTPPRCGEERISNGVAGTSVAFSSSICSSLHPSLMAAARHSRSQHNVGTAAAEGLVFRDEMRRQPAQLPDAPEHAHRLSFLAVAGTREGAVVSGRPNPVRRALVKMPALRMKRMLEDTDFANEFDTKTVKSMKISHFHVSELQQSAVLNSSHKAPRDESDPTIQLADQDIRVMEASGFNDLHGEKSAGVFKDLTSEVLVSPISEDDSSANYDDNESLLHLASYINKEFADEGINYSAQSFCAVSDHEASWGPNQCCNLLDIYNPEDDFRFLFDNPADLLPSYTGLSDDFVSIDALMNMSNKCVFPPIESTTEASIDNKACSSEVDLCSSNSEVLEWLNPQLSEGDLPDLVDFAELKSNDAPATKEQGTRKVTLVLDLDETLVHSTMDQCDDADFTFPVFYDMNEHLVYVKKRPHVHMFLQRVAEMFEVVIFTASQSVYANQLLDVLDPENKLFSKRFFRESCLFTDSGYTKDLTVVGTDLAKVAIIDNTPQVFQLQVNNGIPIESWYNNPADEALPRLIPFLETLAVADDVRPIIAKKFGNIIDSC >Dexi9A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:9A:16361782:16369583:1 gene:Dexi9A01G0021480 transcript:Dexi9A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTYNNAGEAMSFLYGNQQTVDLIICDVCFPTEDGLLILQQVTSKFDIPTVVMSSNGDTSTVMKYITNGASDFLIKPVRIEELKNIWQHVFRKQIWAEHRKGNSSQQVDRLSYGTTRITEATLDSEIRENDIGDLRKSTLSWTVQLRRQFIAAVNSLGADKAVPKKILEIMKVKHLTRQQVASHLQKYRLHLRNSTQTLHKEDAPSSSSHPNESSIVRTQLNSPSNSLYFNQDGCMEITDYSLPKDDLSSGSNCMLAERNSYSPECFEDFRWDSEKQGSETTYLWNFEAE >Dexi3A01G0036340.1:cds pep primary_assembly:Fonio_CM05836:3A:41757521:41761566:1 gene:Dexi3A01G0036340 transcript:Dexi3A01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSVGSLALRSAAAYLRRHCPPPPPPLPLAAPVPTPIRRPLDPQCRHFAAPPGIQVNKKGGKEDDDDKAGLRMNNAITSPFVRLVTDEGHDVVPRHEALQRAARMDMDLVEVDRKANPPVCKIMDFHKEKYKKETKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLTVKADAITRLMERGYRVKCMAMPSGNEGEDLGAPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGTHGAAPVAGNDNEDETMESAGEGDDRRAIWEHKTASHSSVQKEGQDRGFKRESKPNPGAIREKLHNANAGGGRMNPGQWAPQGSEHRQGDINPGMERQDNSTQDQGPGETNRYAARRQPMRGDNNRGFNQGRPLQDGRSENVGRYDSQRPPLEQQPNRAMPKFNKGGLPQDPRNDRRGQFTSNNNNQRQPAGGDSNQTSKSFGIFSSSTPKPVSSEQGKTGGGATTSKPGNADSPKNFGIFSSRK >Dexi3A01G0025980.1:cds pep primary_assembly:Fonio_CM05836:3A:21996734:21999327:-1 gene:Dexi3A01G0025980 transcript:Dexi3A01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPPLFGSSPRTPVPSRPMHGQGTGAPTGPPPPLLASSPQPAQTPLNGQATGAPMETPAPAAPAPFPRPYTVERIFRDFCCRRAALIRALTKGEKTLVALASRGSKRKEVLHVKAFSHKCNTGAESLYLYVNRDESWELRPKMLMQPEQPDPTMLGIKIARKRLSAMMKSLQTVHATFIASDTYRRICHLEKMNEDIEDEDEGCGDEPTKCGVCGDHYRANAFWIGCDVCDRWFHGKCVNVTSAEAEHIGQYECPECFCEKKGHDYNADPMLSALYKSYS >Dexi1A01G0025730.1:cds pep primary_assembly:Fonio_CM05836:1A:31875907:31879462:1 gene:Dexi1A01G0025730 transcript:Dexi1A01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGAGAGAGGEQGDRQAQPLLGKLSESSYSSSDEHLVKRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPVAMVFFAGVTAVQSSLIADCYICHDPERGVVRNRSYVDAVRLYLGEKSHLFCGFFLNFSLFGTGVVYTLTSATSMRAIQRANCYHRDGHDAPCSVGGDAYYMFLFGLAQAVLLQIPGFHEMAGLSVFAAVMSFFYSFVGVGLGVAKVIANGVIMGSIGGIPMVSTTQKVWRVSQAVGDILFAYPFSLVLLEIEDTLKSTPPENKTMKTATRASLVITAFFYLCCGCLGYAAFGDGTPGNLLTGFGFYEPYWLIDLANLCIVLHLLGGYQVYTQPVFGFADRHFAGDAASVEVPVPMLGKRRVSVFRLCFRTAYVAATTALAVWFPYFNQVIGLLGAFTFWPLAIYFPVEMYLTRNKVMPWSKQWVAIHGFSLVCLLISAFASIGSAVGVFGSETS >Dexi8B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:8B:14591555:14592141:1 gene:Dexi8B01G0008810 transcript:Dexi8B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQLPKPNELGAQAATSHNAPAAPNEEAIIGDTENANQEEQRQQVARRERDFLAGIRKLIKSFKSLSHIFEIYKDDDEDEDEMDGGIEIGFPTDVQHVAHIGLDGSTSVSSSLRGLEGAKELLSLSNLSTLEQFELAMASLAAAPGNERDGVLDRASRD >Dexi9A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:9A:2185980:2186540:1 gene:Dexi9A01G0004180 transcript:Dexi9A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLLVAILLAAAAVSLNSGADATELLGAPEKTTHLHFFLHDTLSGKDPSAVLVAHGARRAPNHDGPTPFGSVYATDDVLTEGPERESKVVGNAQGLYISSGRRQLSLVLGMDFELTAEPFNGSAFVVYSRNNVAEHPVGRELAIVGGRGKFRMARGYALLRTHYLDTNNGDAIIEYNVTLRHH >DexiUA01G0013950.1:cds pep primary_assembly:Fonio_CM05836:UA:29414670:29415939:-1 gene:DexiUA01G0013950 transcript:DexiUA01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDDGYGVLSSYTSKPYEGRLPRVEMTIPESGLSKVMGKHGSNLDNIRKISGARIEIIESKSSRHDHVAYISGTSEQRQSAENLIKAFIMST >Dexi2B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:2B:9575929:9576718:1 gene:Dexi2B01G0008870 transcript:Dexi2B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWIKPEVYPLFVTTGVAVGICAMQLVRNITTNPEVRVTKENRAAGVLDNHDEGRRYSQHGVRRFWLSKRRDYMQAMDNVPTDPTTTK >Dexi2B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:2B:19011070:19012956:-1 gene:Dexi2B01G0012660 transcript:Dexi2B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNEDDYQSHEVVEPKNLKAMPELVLSSKRRTNRWMHKRDLMNSLVDNSSSKLLLEDENPNSHENNCSEGENPAAHPTIKKKRYIDMNEDEDDDQSPEVVGVNHTTLTSNADILKVCQDGAFMPFAIDNSSSELLLEDENPNSHENNCSEGENPTTHTTIKKRRRYIDTNEDEDDNDDQSLQVVGVNHTTLMSKADILKDCHDGAFMPFAVDCPKQSHCCSKPIDECVWRHDTIHSLFYILMTKQPRSKVWPKEWDRSSPTSDDIGLYLFPHKMRPDKHHEELLKEVMENDLALRAIVGDIEMLMFPSSLLPKRYQTFQMKHYLWGVFKPREVEALHQPDCTSGATAFAANATSTGIATDAAAPRPHGAAFAANAPSPKTATSAAVRIPSGATGVATDVASIPNGDTTDAPTGPDSSSMGAPPGRMLAFIVKQTPRLEELIREMQREGALVMQGEIMSTGSWPGKFATVTQHGQT >Dexi2A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:2A:3664087:3666865:1 gene:Dexi2A01G0004090 transcript:Dexi2A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLGSPNPISFLQVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGAARTGPDAYEVALKFEHRNSKGCNYVPPYEWQVYSALNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQAMSANMAACIVVEGISILEKLHSKGFVHGDVKPENFLLASKWRETNGHHVEYDQRPDIFRGTIRYASVHAHLGRTGIRRDDLESLAYTLIFLIRGRLPWQGYQGDTKNFLVCKKKMATSPEMLCCFCPAPFKQFLEIVTNMKFDEEPIYTKLISLFDGLIESPASRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQVYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKRINKKWKEGFHVTSMATAGNCWGVVMSRNSGYCYNDDLAISICLPSPPRFLAD >Dexi2A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:2A:3477841:3479975:-1 gene:Dexi2A01G0003930 transcript:Dexi2A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSFRGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPESDIGYHFGSLLDNQEGVDVILNVGGERFHAHKLVLAARSDVFRSQLFDDESDGEKSEVDESDELKEFCIDDMEPKVFKAMLHFIYRDTLVDDNELDASSSDGSVFDTLAAKLLAAADKYDLGRLRLLCESYLCKGISVASVASTLALADRHRAMELKAVCLKFAAENLSAVIRTEGFLYLRDNCPSLQSEILKTVAGCEEPCISGGKEPCQSVCAQLSDGGDTTGRRVRQRL >Dexi9A01G0033590.1:cds pep primary_assembly:Fonio_CM05836:9A:38494570:38495693:1 gene:Dexi9A01G0033590 transcript:Dexi9A01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSMECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQQSTQLAEMNTPEQTLEEVMAIIQEARKPGEAMKLAGQLPCLGSMDLDDIDLDDIDDIDIESSGDFVCAM >Dexi5A01G0025830.1:cds pep primary_assembly:Fonio_CM05836:5A:29590458:29590748:-1 gene:Dexi5A01G0025830 transcript:Dexi5A01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSAASLLVLALLLLICAGAFSAAGAGKGGSGRNALPPCRDLATRGECAGRGACRWCRSEALDDMCFGASEAWRLPRQVFSCDPSSGAANARK >Dexi8A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:8A:11083662:11086331:1 gene:Dexi8A01G0008890 transcript:Dexi8A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMIGDGKSRFSIKGQPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPVKGSTVAIFGLGAVGLAAMEGARLAGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDHDKPVQEVLIEMTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHREDQFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVEMYMKKELEVEKFITHSVPFSEINTAFDLMLKGEGLRCIMRMED >Dexi7A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:7A:26028917:26039828:1 gene:Dexi7A01G0016100 transcript:Dexi7A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFGVAKLAATVHNPYFIDEVVHFLNQICPGCLSPRENIDLKRLEREPVQATCKYCSKDGSKLYPSVIFKTLSSPRVLLSKSKLHRSPSVMERISIVAEAADRVSNKYKGKGMLEDLPHDYWDFVPSENQQLQSNMTKIILSPYQVFHLLKKSDPELIKQFVSRRELLFLSCVPVTPNCHRVVEIGYGLSDGRLTFDDRTKAYKRMVDVSKRIDDYRQHPQFSVLASSLVSSRVSECLKSSKSNLSVVRSSIMAFKDVFSDLLKMVQQHVDNDNSMMEVFKCHKASNSGDHVGLLFLSKNLKKYRYGLEYASLEVKNHLERVIFSDLVETIMIMYDGCDRTRKGGPWTTHFHISKEMMKMKRLGLGFVVEELTKEYSTTRNMLNNAIPPVCFSKRKCSAGFFEFKDVEIQCRHDGELLVKVGMSEHCKAGRFWPTLQNACIPVMELIDWERSQPKNVYDFFCSYGIDSAWKCFVDSLKSVTADIGRNIRQEHLLVVADSLSVSGQFHALSSHGLKQHHTQFSISSPFSEACFSRPAQSFINAAKQCSVDNLCGSLDAIAWGKEPFNGTSGPFEIMHLGKPHEPEQNESIYDFLRNPNVRNFEKNHMDTCRQSTENSSGCRLACKCKGNVTVNDGVVTIDRDFLHAKVGIWDNIIDMRTSLQNMLREYPLNGYVTDPDKSQLIEALKFHPKGTEKIGVGVREIKVGLNPSHPSSRCFILLRNDDTIEDFSYNKCVQAAANSISPQLGSYFEKKQYHRA >Dexi5A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:5A:7149626:7151252:1 gene:Dexi5A01G0009550 transcript:Dexi5A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAASKRGAMHPQQLRPPPVMTSYDDEPSSMSLELFGYHGGGVVVDGHDADGAAALSLHLAFDDDTFNKHGAAASAGDYYGATWAAYGGSGGASSSSSSSVLSFEHAGNGGHHHHHHLSYGDDGCALWMDAAAGGMVVDHPPAQQHGSAAACRFGLLSPGSSADDDAVGLHIQDLGSVQPPAKTANKRARLDGEVQAAAAKKQCGSGGRKSKGKAAPAPTKDPQSVAAKVRREKIAEKLKVLQDLVPNGTKVDLVTMLEKAITYVKFLQLQVKFWPAQGGKAPELSQVKDALDAILSSQQFPNK >Dexi3A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:3A:15698568:15701657:1 gene:Dexi3A01G0019800 transcript:Dexi3A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRPPPVARSPARAQGPGAAADATPRQASPARPRRGRRLRVQSPCLASARRGPAPAHAPPPATPPLRWPGDAVAPRENVSAGAGASVRRIAAALWRAHPPPREPGEVRRRPEVGAYLSSPRIEMEVATKWDRRCPSTSGGAGYDFCERHTAAADEEEVSALKEELMQAHNRIHELEAESRSAKKKLDHLVRNLAEEKASWRSREHDKVRSILDAVKGDLNRERKNRQRAEFMNSKIMDELSELKSLAKRYLQDYEKERKARELMEEVCDELAKEIADDKAEVEALKRESVKVRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDRKYSQLSELQANLEAFLTFHRGSSVDRETVRDGEKLRDAICSMQLHGKEFSYKPPPPSEDIFAVFEELRQREDINEKEIGQCNGDTPMNHATKIHTVSPETDIFLEKTANKYSTQPCARNEDENDSGWETISHADEQGSSNSPAGSEPSVNGFCGGNDGSASGTDWEEDNCENCRSNSGISGVCSTTGEKYRKKGSSFSRLWRSSNGDSRTKTGSELPNGKLSSSRMTNAALSPDPKNIEVCQVSPSVGDWSPDLLNPHVVRAMKGRIEPQRTQKHSMKSKVLDARTNGRKVQLRQALDQKI >Dexi2B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:2B:4817772:4822790:1 gene:Dexi2B01G0005130 transcript:Dexi2B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVSTSSTAPSVLLCFHGRGREAAVAACLVAATFLALAAFLDPRAQASSWFFSSSSSSSSFSSLQSSGGGGGSEHLLLTSTSYSGGGDGGRRRNSTGKEVHEEVQVGDDDILLSLPKSSSSGHGAPPLSVSPPAAVLAPAAAPATVCSQATPRHPAQLMGSSDEAIQATPQVRRRRDVKLERLELGLAKARAAIMDAVRNKDNRPPLADKDYVPMGPIYRNSYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYSMEMESRLRTRDPDLAHVFFLPFSVVKMVKMIYEPGSHDMGPLKRTVSDYINVLSNKYPYWNRSLGADHFMLSCHDWGPYVSSANGHLFGNSIRVLCNANTSEGFNPSKDVSLPEINLRSDVVDHQVGGPSASHRPILAFFAGGNHGPVRPSLLAHWKGNVDNPDVQVSEYLPRGVSYMDMMRRSRFCLCPGGYEVASPRLAEAIYLECVPVVVDDGEYALPFADVLNWDAFAVRLRVADIPRLREVLAAVSPRQYIRMQRRVRMVRRHFMVHGGAPRRYDAFHMILHSVWLRRLNVRIAAQQG >Dexi2A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:2A:1930210:1931165:1 gene:Dexi2A01G0002430 transcript:Dexi2A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVKILCKGGNNLYVSIRGDDVVLAHDDPKDKTQQWFMDYSRASNVTDDNGQTVFALVNKVTRQAMVNKEKQPADGSVQVQLASYVDDERVPISVLWTVLEEEHVDGFHPIGTLRDSSEVLGWLKGNVKEGPILGVQPFELGSDNQLWKFLPV >Dexi2A01G0024550.1:cds pep primary_assembly:Fonio_CM05836:2A:36295574:36296458:1 gene:Dexi2A01G0024550 transcript:Dexi2A01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTVIPSFASNGFLGTPTSISANRYSFDARISDSCGAGGAARRRGTPALCSGGAKVDVVQLEPGVEGRAEVAVRHRLGVVGSGGATRALGVAAVVSVVVDEAVEYLRSVLGTRVAGKPRSGVLQVEGLLQLGDGLEELRLKSGEERQREIGVGSGGGGAEDDAEGRKLGSDPRNAEIHAARLGDSSKRLEASDDISWSSDCARGSGGRSGSSDGEVVRVGAAPEPAAALAGRLPCGGEEVGFNGDGLGVRDGDEAVSPNLAGEGT >Dexi6A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:6A:3547576:3551437:1 gene:Dexi6A01G0003850 transcript:Dexi6A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAQCFSSYSTSPATWALQQRGSGAARVFQLSSRRSFSVSAAADGFDNQNREYVIVGGGNAAGYAARTFVDHGMADGRLCIVSKEPVPPYERPALTKGYLFPPEKKPARLPRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVLVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEDLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLKDGSVIVGIGAKPVVGPFEAVGVNPKVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETVEVGNFDPNIATFWIDSDSRLKGVFLESGTSEEFSLLPQLAKSQPIVDKSKLQAATSVEDALEIARSSLQAGASV >Dexi3A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:3A:14150940:14152728:1 gene:Dexi3A01G0018590 transcript:Dexi3A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding DWRTTTDAMGRAMRWIRKVLTAGTKKEGDRDRGEHNAACAGGGVAHGPPAPVEKRRWSFAKPRHSVADGGRRASVTAVAAGELSQVRPCNCGMEREVEAAVVIQKAFRGYLARKALRALKSLVKLQALVRGYLVRKQAGTTLRRLQALMRLQAKTASSRKYIEQERNVARVNVKPLSLPVVHRRRLSDGWDTGSPRIVEMDTCQLRCRSSRITSRRYAAVTDQPSASPLPYYFHKHLKTPRLEGHHQQLEPRQQQPKTTHNTPRLGAFQGNLGSPAAKQPVAACRDAGSSPRYMADTASSVARARCQGSPRQQRRDAEGHAPGDEARRSCGARKQARPQQLQALDSFSFKISEASRVEDSEMSDEVTRDYYLDRLW >Dexi5A01G0029960.1:cds pep primary_assembly:Fonio_CM05836:5A:33015293:33016645:-1 gene:Dexi5A01G0029960 transcript:Dexi5A01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAALTLSTLLFIAGGSAMDEQVAPPPFGEPSFQGGFSLPIIHRNSPTSPLRDPTVTAFDLFKKEIQLASLPVLADASASEKMVVPTHFRRGMYLVPLRIGGSLDRISSSKLSFLAQVRVDKFSYCVPTPARRDDEDLALSYLRFGSQARISGKKTPFQNDKNHYHVYLNRVTYQHGNRLSQQQPVPIFPADGAEGSNLEMSVDSGTIGMWLPESIFYPLQKKIDADISLKRVIFFDNPNAYCYIGTMKDVEEVLVTLGFAGGAEMELFGDSLFFEFNNSEWICLGFTPSNTTLLGIYPQRNTNMGFDILKGEISIDQSGCSF >Dexi4A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:4A:30593:31066:1 gene:Dexi4A01G0000020 transcript:Dexi4A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSAAITAPSFRPARAVAACSTRRSVVVRASLSLGKAAGTAAVAVAASALLAGGAMAQEVLLGANGGVLVFEPSEFTVKAGDTITFKNNAGYPHNVVFDEDEVPSGVDAAKISQEEYLNAPGETYSVTLTVPGTYSFYCEPHQGAGMVGKVTVN >Dexi1B01G0028650.1:cds pep primary_assembly:Fonio_CM05836:1B:33118039:33119994:1 gene:Dexi1B01G0028650 transcript:Dexi1B01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLVAADEAPLPSPTPLRLPRVMSVASPASPTSPSTQPAPPRRVIVSHRLPLRAAPDPAAPFGFAFSIDAGTVAYQLRSGLPSNAPVLHIGTLPAAAAEAASDELSNYLVANFSCLPVYLPSDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLTPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDVVRRVAETYKGRRLMIGVDDVDLFKGIGLKFLAMEQLLVDHPELRGRAVLVQIANPARSEGRDVQGVQDEAKAISARVNARFGTPGYTPIVLIDGPVTPQEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESNALGEDSPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTENRLILLDYDGTVMPENSIDKTPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTR >Dexi1A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:1A:54331:55572:1 gene:Dexi1A01G0000050 transcript:Dexi1A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAGAPVAAAAAGCRFDNDVIVVGAGIMGSCTGHAAAPAPIRDAYPKAQYPPTVRLSRRLWADAEAESGYRVLTPAPQLSMGPRSNALLLAAIRSAGAEEVDFRVPDGWVTAASEHGGGVLNATNAVAMLQALATKKGAVVRDKTEIVDIRKGPEGGVVVATSAGEEFHGAKCVVTVGAWTSKLVRSVAGLELPIQPLHTMVLYWSIKPGREGDLAAESGFPTFSSYGDPHVYSTPSLELPGLIKINYDGGPQCDPDSRDWASGGGDVADRVARWIVEEFMPECRTTSRAPTGRSSGSPGFSGHGFKMGPAVGRILAEMAIDGNANTAAEAGVELGHFRISRFETSHSQPASHHALLHP >Dexi4A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:4A:19671848:19672249:-1 gene:Dexi4A01G0016130 transcript:Dexi4A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEREEGCQWGEVEEEGGRRGRQAAMDEEASGSGFQPNPRSRVAGLTTEANEVVWGEAAGVAELRDP >Dexi8A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:8A:8141574:8145425:-1 gene:Dexi8A01G0007320 transcript:Dexi8A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGGRRAALLLAAATGVAGAGALARRDPDTAVYSSASPPLHHALSAAAEGLLSGTHLVSPWLLPPPFQGFPIVNSFASASVSPAKLSEQGSAGSSDDSRCGPGCLGRNSIAKAASAVGPAVVNISCTQDTHGWLLEESIGSGTIIDPDGTILTCAHVVADFQSTKAVVRGKVSVTLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAARLGSSSRLQPVVLTGKAVIWVLEDYEGSICKQIAPLIRQIHFLCGNSGGPLVNLDGEIIGVNVMKVRNADGLSFAVPIDSVVKIVDNFKKNGRVVRPWLGLKMLDLNPMIISQLKEKSSTFPDVRKGVLVPMVTPGSPAEQAGFRPGDVVVEFGGRSIESIKEIIDIMGDKVGVPFKVLVKRANNVTVTLTVIPDEADASR >Dexi3B01G0023410.1:cds pep primary_assembly:Fonio_CM05836:3B:18178897:18181680:1 gene:Dexi3B01G0023410 transcript:Dexi3B01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLHPSSARSDMDEIETLARAAPTHVLPAARPSSPARASIPVFSSNPGVPPATAAKQQLPFSAAVVTSAAVSGSIAVSIASDGFGGPEPAANTLTEPVWDTVKRDLHRVVTNLKLVVFPNPFREDSGKALKDWDLWGPFFFIVSLGLILSWSATAEKSQVFAVAFAVLAAGAIVLTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMMKDRVMLKMVVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGCLIIAVD >Dexi4B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:4B:14330625:14340939:-1 gene:Dexi4B01G0014000 transcript:Dexi4B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLPHQIRSDDEDEEYPAYCSDSQVQHQQQNGQYYGPDEFDDLVTSYNSTTPRTIQESMLSKEVSPHVVDQGYPSTLPVTKLENEQEPDNNLECGTTSTIYALESNDTNPVDFEKDELFWLPPEPEDEDDEIGDDLFDDDDDDESISIADGERCRIQSSSSFGSGEFRIRDRCGEEQKKVMKNVIDGHFRALISQLLEVENISLHEGDDMGWLEIVTSVSWEAANFLKPDTSQGGGMDPGGYVKVKCLACGHRSESTLIKGVACKKNVAHRRMTTRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENSVSRYAQELLLEKNISLVLNIKQSLLQRIARCTGSQIVPSIDLLPSQKLGYCELFHVDKYDEHSVNSGNMTKKMVKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSTADNSISAVPGFTINDSSKQQTDSSDNRGTDCIMPTDPGEKAVAEAPVSSECLTSQNTYSCCYGSLSANSGNFNHMNVDGNELVVKVTATSASVSISSTSGAPTNHTPRYSTVEKKGMHFGDYHDGSTRSRGKAIMMDTASTLSCHRHIAVEASANISNSNIKESLEGSYALANMKSTSKNDAVVVQPVSSAATQNQETSQGDDGTSSKDEIVASDHQSILVSLSTRCVWKGTICERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLTEIVLSGERDGKIWMWHRCLKCPWSNGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPISVHSVYLPPHKLDFVHQPLDWIQQEANEVIERAKHLFDEVFHALRLISGKKAQGGSLNMEFSTYIAELESMLRKEKSEFEVKSLKCGKEMRMDVLVMENLLFERNVTTLYDLKGSARSRYNPDSNGSDKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVAESGQESNQVLLTES >Dexi3B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:3B:14017289:14021587:-1 gene:Dexi3B01G0018880 transcript:Dexi3B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSWLLLLCLAAVSTAGVLQARAQPDSNGFISIDCGLPGTASYVDDNTKLSYAPDAAFVGDAGSNHNISAEYITPKLSKRYLNVRSFPDGGRSCYTLRSLVSGLKYLLRASFVYGNYDGLRRPPVFDLHVGVNFWTTVNITDADKLEIPEAIVLVCLVNTGSGTPFISGLDLRPLKSTLYPQANATQGLVLLGRLNFGPTDITDIVRYPDDPHDRFWYPWVDATSWSSISTTERVQNIDNDLFEAPSKVMQTAVTPRNASSNIEFFWDNDPQPNDPSPGYIGILHFSEVELLPGSATRQFYININGKQWYPKPFTPEYLYSDAIYNNNPHSGFARYNISLNATGNSTLPPIINAVEVFSVIPTTNVGTDSQDVSAITAIKAKYNVKKNWMGDPCITRGKSKLVIYIVVPIVLVVVIVLVIVLFYWFLRRQKRGTSSSSVKPQNDTRTSHLPIGGTHPESSLQLENRRFTYKELEMITNNFERVLGRGGFGKVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCNDGEYMALVYEYMSEGTLQEHISGLEYLHRGCNPPLIHRDVKGANILLNSKLEAKIADFGLTKAFNRESGTQVSTNSLVGTQGYSDVFSFGVVLLELVTGRPAILRDPEPISIILWSRQRLARGNIEGVVDPRMRGDHDVNSVWKAADIALKCTAQSSAQRPTMADVVAQLQECLDLEVARATGAGGGDANGGGFYTGSSSEPYSGYNGYTVDGQSTDVSQGSSAFEMEHNFGRAPTMATGPAAR >Dexi9B01G0023800.1:cds pep primary_assembly:Fonio_CM05836:9B:19255179:19256999:-1 gene:Dexi9B01G0023800 transcript:Dexi9B01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDLKSAESSRDIPTEILQDILLRLPTRDVIRSSSVSKLWCSIVRDPSFRKLHAATSDVAEPEVRLVSVNSEPGRRDEARVFNLSSSGNNNKDMRHVSIPPGYSLTSVCNGFLCFAIDYDQAPVFVCNPVTGETLEVPKAPPLSHPQLGSGVTYLARSRGQQQVRPSVRAGQTACNRGAAKTYDHNLGRDPFHPMHSTPPPVHIDGDLFLFVPVERRVPERTARMLVLDVSAETRCLCSLPYNYHEGYDPSWDMLADGFDLKGQMCLAVNVIYPRRKLQLWVMRPRRELRLEENNDDKLYWVLRYSFDLGDDSFTLGVPRGAWLDQAQMLCYRHGNYSYKHDTTGYSSWFHVGSLLFFDPIVELPETPSPSRSSSHSTWKPSCQWDILGGYRPILLSPLTFAPPPSQDEKAKKQLFEYTLLRAL >Dexi6A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:6A:25928788:25931395:-1 gene:Dexi6A01G0018140 transcript:Dexi6A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSEQRLMAGRDLFGMPKSPPASAAMQSVHMAHTGDGTPVFAAVTSSAAPQSYQPQGAHGPSMSTAVVTGGNGTMAPPGMGEPVAKKKRGRPRKYGPDGSMSLALVPASASTRSPAMGQGSSGPFSSAGSNPANSVPGVLPEVVKKRGRPKGSTNKPRMDAFGKISTSNGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLIENGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVLGSFNSEGKKEPKQRAPLDPASAPLKVTPTTAMGPSSPPSRGTLSESSGGAGSPQPLHQGMAATASNSNQSPIMSSMPWK >Dexi1A01G0031890.1:cds pep primary_assembly:Fonio_CM05836:1A:36676499:36677058:-1 gene:Dexi1A01G0031890 transcript:Dexi1A01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGPGPGGEESRRRLRRRHDVCGGVLGHGLSQRSLVGRVEEHRGHSGSGEPQGDERQAVGAAHRKRRDANPAASAGGDGGDDVGVRLRQRARRQQQRHADVASKPQGGVGDDHGGVRGGGGYLGRRGVGVGGDHHATGGEDAHKYLREQERVA >Dexi6A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:6A:9549412:9550307:1 gene:Dexi6A01G0008790 transcript:Dexi6A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQVASAKSWLNQFTTDGRVSTGNDASGQKVVMLNLDPSSGAAGLNSKQQYLYGEFSFEMKLIRGNSAGTVSCFYLSSGNDDYRDEIDMEFMGNETGKPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPHNILFKVDNVFIRSFKRYADLAYPSSKPMTLHATLWDGSYWATEKGKIPINWSNAPFVVSYKSFYATACVSGSACHIGRDGWMSKQLDAAEWGTVRWAERSYMRYNYCNDGWRFPQGLPAECSRH >Dexi3B01G0036390.1:cds pep primary_assembly:Fonio_CM05836:3B:39207961:39209090:-1 gene:Dexi3B01G0036390 transcript:Dexi3B01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTLLLVVVVTGAAVVHGHPTTTTPAAHFWQQALPGTTMPDAIADLHYSALPTTSVCTLFTSACDPRTVAETGIFFHEAELRRGNAMTLSLPEESPAAILPHDVAEKVPFGNLHDVLAAFNIPASSDEAAQVSDTIRRCEEPPAAGVAKACATSLETTVRRAMDMLGTTVVGDDDVWAATSELPAGGGLMTRQPYVITAVALVDGTRYVACHRVPFPYAVYQCHMAAGKVAGYRAYVVSLRGLGGGPVVSMLAFCHEDTSSWNPAHPAFEILGTKPGGDTPVCHFMPYGDMAFVKKAGRAY >Dexi4A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:4A:4619445:4620750:-1 gene:Dexi4A01G0006420 transcript:Dexi4A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPAPDLAEDKERETNVLIEAAPGSPVKAAEDDGGSGRQQLSPVAWLRMLTRELHWSFVLGVVATYGVSQGLGGGINRVASDYYWKDVQRVQPSVAQVYQGVTSIPWMVKPLWGLFTDVLPVAGYRRRPYFILAGFVGFIAMLIISLHSKLHALFALLALMTGSASVAIADVTIDACVAENSILHPHLAADMISLNGFCSSVGGLIGFSISGFLVHAIGAQ >Dexi3B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:3B:2850625:2851953:1 gene:Dexi3B01G0004130 transcript:Dexi3B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGKGEREVPLAYILSLPAAPPPLPVAVSCLDASVPRKARSRPRLRAQPCAWWAFKLPVPSPEEAKSLSSPASAATNPTEEARSPRPQRLRVRQAPLPGFDPRTPSPAIERPAKRTRRCLQCGAAETPQWRSGPMGQSTLCNACGVRLKAAGALREQVHRPPPATARTVAEPPPESPVSDSSPDGPIWEPGSVPDVYLLRKKPPKQRRPPPPMSEPSYAPAPAPAPAVYLVKKKKKKKKANKKKTPNKKPWRPRKSAKRCLHCGSSSTPQWREGPMGRSTLCNACGVRYRQGRLLPEYRPIASPTFDPSEHGNRHTQVLQLHRQRKSQHPMSTEKQRSMEELTGVAAEEEGGDDPMNVLLARRWPSKNEYPPTPLHQPLPLHQPVDSLAGDRPVGGTDDKIQARGGGNDPNEAPSSLDSLLLEGPSAPLIVDGDESLID >Dexi6B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:6B:26016176:26018639:1 gene:Dexi6B01G0019210 transcript:Dexi6B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSIRLGALEKLKSFRGMEKQKSFRGIMSMERRNRDSPGKRGDTPLHLAARSGSVAHAQRILAELDRALVAEMAAKQNQDGETALYVAAEKGHAEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLEVVNEMLQAFPALAMTTNSVNATALDTAAVKGHIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGMTAKEPVHPPNSAKQLKQTVSDIRHDVQSNIKQTRQTKMQVHKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPGMSLGQAYVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGTVIMLTTLGSMCYCIITHRLEEKNARKIRKASANQSRGSWSRSINSDEEILNSGLKTKMYAL >Dexi2A01G0029770.1:cds pep primary_assembly:Fonio_CM05836:2A:40790181:40790517:-1 gene:Dexi2A01G0029770 transcript:Dexi2A01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLITRSKGIRFRVTSKQQTMTVDDDDDDKYADMYEMRWVPIMIPAAVPFALAVIGRWSKRPSILFVLFPVAFVAFAVVCVAVHVLSVKFFPSMEI >Dexi3B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:3B:11019839:11020483:-1 gene:Dexi3B01G0015180 transcript:Dexi3B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEARMRAALCAARGVAHLHTAHGLAHGNIKSSNLLLRPDPDAAALSDYCLHQLFPPAPARPSVSGGGYRAPELVDARRPTFRSDVYSLGVLFLELLTGKSPAHQASMDAGGDGVVDLPRWVQSVVREEWTAEVFDAELVRMGGGAEEEMVALLQVAMACVSTAPDARPDARDAVRMIEEIRGGHGRTTTEEESEGTRGASEEERSRGASPTS >Dexi2A01G0033300.1:cds pep primary_assembly:Fonio_CM05836:2A:43534066:43535040:-1 gene:Dexi2A01G0033300 transcript:Dexi2A01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSGRSFSFRASDSDLKNERKMGCFPLGDCKVICADNSGGGFIFDLSRRRLGMIPPICKPSIMPISVFVPKADLDDDIYHEGNGSSLFLMERFLQLEEAGIQESNQFVGVIKHSPGTFSSIKSWHCHLLAPPPFLLEPCYWDNNRPEITAYGVVGGGSEVCISVKGVGTYCLDTASHTWSEVGKWMLPFGGKVEYVPELKLWFGLSAGAQQLAAADLSNMDCEPQLVGPWKELEVPEEWKQCKDSQFVNLGSGRFCIARFFQDMATGAGSGGKINNENFAVLTGVEVNVGGNGKGRGGKKGKLQMTPHKSGRVNNTSIEVLF >Dexi5B01G0024340.1:cds pep primary_assembly:Fonio_CM05836:5B:26402431:26404632:1 gene:Dexi5B01G0024340 transcript:Dexi5B01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCTRYALQLLQPHPSFPGGATCPCSCSSPSSSSSSGNQRQRLHPPAALSQTTTPPLTHPPPDDGDHQADDGALLALLRAHDTDAAYRLFSSNPTLLPSSPTTASRLLAQLSFSSTSTSKPDAFSRAAVLLKSLRARGALDLLDANALSLAAAAAARSRDARLAHSLLLYMLRQGLLPERRAYTATVARLTPPTKALRLFDAVLRHLRRAPPELVSLSCLPDTAAFNAALSACADAGDCGRFRQLFDGMREWNAAADALTYNVVIKMCARAGRKGLVARVLERMLSSGVTPCATTFHSLVAAFVGFGDIPAAERIVQAMREERRDIAIAMECEDDVADVEQGAAAALLDDIVAKPEQGTDDEVPLLPKAYLPNARVYTTLMKGYMNAGRVDDVVAVLRAMRREAETAPASRPDHVTYTTVISSLVAAGDMTRARAVLDEMAAAGVPANRVTYNVLLKGYCQQLQMSKARELFEEMATNAGIQPGVVTYNTLMDGCVRTDDSAGAVALFNEMRSRGIAPSTASYTTLMKAFAASGQPKVAHKVFDEMERDPRVAVDRAAWNMLVEGYCRVGHLETAKQVVERMKERGVRPDVATYGSLAKAVAAARKPGEALVLWNEVKERCLEEADEELLGALADVCVRAAFFKKALEIVACMEEKGIAPNKTRYRKMYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRVEPLLEGDDPS >Dexi5B01G0025430.1:cds pep primary_assembly:Fonio_CM05836:5B:27448229:27452220:-1 gene:Dexi5B01G0025430 transcript:Dexi5B01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADTRSPGPWAPPGPTSPFARMYRLGELCPSGKGNSSQRYTMGKSPGKWIKSVLLGKKSTKSGSTKANESAGNNNGHLTGEENSPVISEPVLVTPHKNGTVSAIGKAENVNFPSDRPGEQDLQNRSIAESKTSGPGQLGEDQAAVKAQAAFRGYLARRSFRALKGIIRLQALIRGHLVRRQAVSTLRTTWLIVKLQAIVRGRNARLSGADMRFNVKFGQHNPGGARSADTWKEKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWLERWTIGCIWKPVFQPKKVSDGKLLVRKASYAMETESAKLKRNIRKGSAAAVESFHTSTTGESEKLRRNPKKFSNFPADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRVDSSKASDSTPDVPQASNSLAEIPKMTSLLNGNSDHCEKELQNTHEASCPLETQEYSGNGHVLEYSNMDNFDLIPGLKSDLETQLDSVSIGDNVGEDTAAELMPLQNIDNEDNALRKKEEARSKEEHLYNGSLRSSKRKSPSPNKSEYVENGARTTSVQPRKPSYMAATESAKAKLRAQNSPRLDSDSSAEKNGFTRRHSLPSSTNGRTIKAEWKR >Dexi1A01G0024790.1:cds pep primary_assembly:Fonio_CM05836:1A:31239657:31240161:-1 gene:Dexi1A01G0024790 transcript:Dexi1A01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPTRVMMAVNESSLKGYPHPSISCRTAFDWTLSKLVRSNPGGFHFLFLHIQVPDEDEY >Dexi6B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:6B:4726616:4727638:-1 gene:Dexi6B01G0005470 transcript:Dexi6B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAGEGGGKEKGPGGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCDRCVRRGGGGGGPGGVGASGAGVGAGVGGDVEMGGVADGRHGDEDSDGEDDDEVVVEEDEDDDEEEEGEGENQVVPWTEEADATPPPVASSTSSSSREVAANGTNAAECAKEDVPCSTSQPSLCHYSSPARHGGRSDEATSSRNGGRFLSSRHRKRSPSDFLGSGSAQTGSGSPARNCSNAGIGRNE >DexiUA01G0020710.1:cds pep primary_assembly:Fonio_CM05836:UA:43032582:43036277:-1 gene:DexiUA01G0020710 transcript:DexiUA01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASPSGGGGSVDLSDLMIPIPQDDGEDRLRRRRSSSSANGIADDVLPSYDFQPIRTAAAPAPQASWGSLDSKAPSVSASYNLKSADILEPHVLKKVSHEEDRSNFATVTMADIDRTMKKYSDSLLHALDGVSSRLSQMESRTHQLENSVDDLKLTIGNYNGSTDGKLRHLENMLREVQAGVQILRDKQEIVETQLQLAKLQTPKTDTQSSENIGSGQAASQQQPVATSQVAIQPQHQVLTPSQPPALPSLPAPNAPLPPSTLQNQPQPQFPSHIQHSQLPSVPSVAPAPSVPALPREAYYASSAQPTETMHQQHQAPLVPQPQAPPAPPQQYQSPSQFSQYSQPPQASNVSPSTPLAPPAPQQPDETMAYAPPQNYAPNAPPQNYAPNTRPPSPYMQPPSGAPPPYYGQQNPSMYEAPAGRPNPGPPSSYGSGGYGPQGGGGFSDSYGYTGSPSHRGNTGMKPSSPFAPSSGGSGSYGSGRLPTAQILPQAVPISSSSTSTSSGNRVPLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >Dexi3B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:3B:12604250:12608220:1 gene:Dexi3B01G0017180 transcript:Dexi3B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLLMLTYKDGSAARGVVGTDSATIALSGSGRGGADSSRRAKLQGVVLGCTASYDGQSFQSSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPAPEASASAAPEQTPLLLDRRMSPFYAVTVDTIYVAGEALDIPAAVWDVDRDGGAILDSGTSLTILATPAYAALVAALSKQFAGLPRVAMDPFEYCYNWTEGEAPEIPALEVHFAGAARLEPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLRDRWLRFKHTRCAQDIYDVREGNKGPTPKKDQEMAIRGNLLVLSIVLAAASAKSARLDLVPAVPGASLAERARDDQHRHAYISAQLASSRRGGSRRRVAAEEAPTSGVSLPVSSGAYAGTGQYFVKLLVGTPAQEFTLVADTSSDLTWLKCAGAGAAGSSPSGRAFWPGHSKSWAPIPCSSDTCKSDVPFSLANCSAPSSPCSYDYRSGPSYKEGSAGARGVVGTDSATIALPGGKTVHLQSVVLGCSSTHAGQSFQAADGVMSLGYSKISFASRAAAQFGGSFSYCLVDHLAPRGATGYLAFGPAGPPVRRGSPTTTAAQTTQLLLDPQLPFYGVKVEAIHVAGKALDIPGEVWDAKKNGGAILDSGTTLTVLAAPAYKAVVAALSAHLAGVPKVTFPPFEHCYNWTWTARRRGAPPEIPKLAVQFAGSARLEPSTKSYVIDVKPGVKCLGVQEGEWPGLSVIGNILQQEHLWEFDLKNRLVRFKQSTCTQ >Dexi4B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:4B:6425520:6426626:-1 gene:Dexi4B01G0008980 transcript:Dexi4B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRLLPLFRLASSIPSPIHHGALAPLSTSASASPPPSAPPFSVGDHLVDASGLAGTPTRSCSTVKKKGPKDSNTKAFKDLSLPRLNSASNSNPVALFSSFGLSRADIDAVVAADPRLLSSSAEDIAPHLIQLRDRYGLSAAQIFRFLLSGSPALRCGDLDPRLEFFVSFFGSIERLLVVMKKNNKG >Dexi9A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:9A:1746413:1747009:-1 gene:Dexi9A01G0003310 transcript:Dexi9A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSREQQQQQQEVVSLALSLSTTTTAAADSSTTSDSTTGSPATAASSISNKRARRRGPVVATSGEGDFVCKTCGRAFTSFQALGGHRTSHLRGRHGLELGVGARALKHHKAAAAAAVVAEDADEKQRRQQHECHICGLGFEMGQALGGHMRRHREEMGAAEAADAWVWSNIARLPAEELQVRSAAAVETPVLLELFA >Dexi3A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:3A:8080738:8081835:-1 gene:Dexi3A01G0011360 transcript:Dexi3A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAQRAETMAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSECYRVREAGFKSSEPVRTLDALVEAERRGEAVVPVDDMDWEDIFYIHDGNQWPSDPAAFKETMREYRAELRKLAERVMEAMDENLGLEKGAIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDQVGGLEVLKDGEWIDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVSSGGGEYPKYVFGDYMDVYAKQKFQPKEPRFEAVKAPKSSPAA >Dexi7B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:7B:15365130:15365397:-1 gene:Dexi7B01G0007500 transcript:Dexi7B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPAITGGELCCGYTDLPWTFTPSPSVTVAVKSLSTVDAPAVAIHGAPFMMVYPSEPSFPAAHATKTPFAMAAKAPMAITLEW >Dexi2B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:2B:2852165:2854673:1 gene:Dexi2B01G0003150 transcript:Dexi2B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGGSLKESREALEIAETEGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIEKGKVVAVGECGLDYDRLHFCPADVQKKYFEKQFELAEAVNLPMFLHMRAAGEDFCEIMSRNLYSSMLKKCIWILTTEVTVEFDIQFLHYFPVGQVLEVVAGCKGIADIEGLSKTLYHNTCR >Dexi5A01G0031270.1:cds pep primary_assembly:Fonio_CM05836:5A:34036779:34037047:1 gene:Dexi5A01G0031270 transcript:Dexi5A01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLPLLLRLVPLILLCLSPACAAGRVTVSVYYETLCPFCSAFVVNDLSRIFHNGVSSIADLRLVPFGNGRVSADGSITCQCLPR >Dexi2B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:2B:3776918:3777779:-1 gene:Dexi2B01G0004310 transcript:Dexi2B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCGQRTKDAVARVDLGLDVELVAEAAEGVESAERAARGGVEPDEERELRGGDGPAVGAHEVRELVGGGREPRGGEQRGEGVERREAVAEALLAAGPEEERERERRRRGRARGEDLGDERGRHAAELGEVGDDRRAPALVPPERVEEEARERGEAVGDDRRAPALVPPERVEEEARERGEAVGRGGGGRRRRGGNG >Dexi4B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:4B:2858505:2860126:1 gene:Dexi4B01G0003980 transcript:Dexi4B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding STGFSPKDWTYGEAVLKRRLGDNFFIYASISSRAINSRKTCCTFGSFNCWAHWCSTLSLMVILASHLFCYKWHLTVRTKCSSYAYPRYGRLANIFIKERKGSYEALTSLSMAISTL >Dexi9A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:9A:5562280:5562935:1 gene:Dexi9A01G0009280 transcript:Dexi9A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGVVRVRRDALAARMTCPLCQGLLREATAITQCLHTCEFPVSAFLSSLPRSLVLPRRACLRSCPRRRRPCFPGPSPRGRSSARAAVSPRVCVLGFGFGVGFFPSSRSGAPGDRAGVGCEFFYPRRVVNAQLFSWIVALVPRPA >Dexi9B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:9B:791932:792688:-1 gene:Dexi9B01G0001340 transcript:Dexi9B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVAGQVLNSSNPAVVRVRQLIGGRGPEYSPDGWSRCWEEGVTPWDLGQPTPAVVELAKSGTLPGDAATILVPGCGAGYDVVALSGPGRFVVGLDLCETAVAKAKQWSNAAAADGSLFAFVAADFFTWEPPELFDLIFDCTFFCAFHPSMRPAWAKRMAELLKPNGELITLMYL >Dexi4B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:4B:2004728:2005084:-1 gene:Dexi4B01G0003000 transcript:Dexi4B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKNCQHLNFSRRDYCQRCRDPRPDLQFSDGYSTGGVLTSLDIRPGDWYCSCGYHNFASRSSCFKCGTIVRDFPAGQGAAGAEGDFARGCDSAAVRAGWKSGDWICTR >Dexi9A01G0025000.1:cds pep primary_assembly:Fonio_CM05836:9A:22086589:22087635:-1 gene:Dexi9A01G0025000 transcript:Dexi9A01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEPDQSIHILLVSYPTQGHINPLLQFGKRLAAHRGVRCTLAVTRHVLGSGKQPQPGAVHVVAFSDGCDPRGYDEVGDALAYLARLESAGSVSLGELIRAESEMGRPVRAVVYDTLLPWVPRVARRHGHGVDCAAFFTHACAVTVAYAHAWAGQLTVPVVDAPPELPGLSVRLGSADLPNDLSDPGCHLVYRDLMLQQCQALEVADHVLINSFHELQAEEAEYMASRWGAKTVGPTVLLAYLDNRLTDDISYGFHLHTPMTAESKAWLDGRQAHSVVYVSFGSIVALSSDQMAEVAEGLYNSGKDFMWVVRAS >Dexi3B01G0022800.1:cds pep primary_assembly:Fonio_CM05836:3B:17516778:17518422:-1 gene:Dexi3B01G0022800 transcript:Dexi3B01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRASQLLKEIDSSEAGQLASFNSDVFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFVSQGLMEEFLE >Dexi8B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:8B:23077512:23077998:-1 gene:Dexi8B01G0013260 transcript:Dexi8B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPLSRLPLSWSDIPVELAGMVLGRLPAHVDRVRFAAVCPQWRLAAQQGGLPPPMPLLLLPDSTVYSLPGSGPFHFPSCVGYTDACGEDGCFLRDPFSNATVTLPPLSRFRVQYVGHESVLSADELHCASMEMGEELQ >Dexi9A01G0044510.1:cds pep primary_assembly:Fonio_CM05836:9A:48129934:48133512:1 gene:Dexi9A01G0044510 transcript:Dexi9A01G0044510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGPSEIESEYRYDTTELVHEAKDGASRWVVRPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDIVFGGWDISNLNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANHVVKGTKKEQVDQIIKDIREFKEKNKVDKIVVLWTANTERYSNVIAGLNDTMENLLASVEKNESEISPSTLYAIACVMEGVPFINGSPQNTFVPGLTDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >Dexi3A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:3A:3060671:3063373:-1 gene:Dexi3A01G0004730 transcript:Dexi3A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRPLSFTRCAPSPLRCVGLGVGTAGRRTVVVALRRDAAGRELLTWALVKAAAAGDRVVAIHVATANATAEGGVTMAAAAEEKARASDSLASVLGAYRGFCDRNQIDLVLKVVWEEPSIKRALVAEATSSGAAHLVLGVTTTTSRPSGSSATAVARYCAKRVPPSCMVTAVSNGAVVYRRDAAVHQPQLSPYTAMVETPRRLYRKILDARTTAAGDKSQDDMVIGDGRSLRRNMSVAMSALVSPRVTMAPGKVRRCHALDSPKKMAAGWPLLNRDTTSEVSVVQWAMQLPTRFSDDQGEEQERQLPEELVSLRDKYSSKYTMFTYRELFKITNGFSPERLVGKGGAGRVYRGCTEEGRELAVKVLKSSDDVTKDFISEMDILSSVEHKNAMSLVGFSVDGGKLMLVYDYMPRGSLEEMLHHGEKKQGKGALGWPERFKVAAGVALALESLHCGGDHGPVIHRDIKSSNILVADDFEPKLCDFGLAMWADDAAAQITGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGRKPVSAGGPKGQESLVMWATSVIQGGKLMDLVDPSLPLDDAAAGEVERMALAAALCIRREHQHRPSMSNVVKLLAGDGDAVRWAKSQVGVSGDDDDGDCGGVVTSPDKKDIQSYINLALRDIIDDDASSVSSTDFIGANMSLEEYLKGRWSRSSSFDE >Dexi9A01G0033730.1:cds pep primary_assembly:Fonio_CM05836:9A:38598148:38598955:1 gene:Dexi9A01G0033730 transcript:Dexi9A01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDGQKAALLQWCSSARWSASRRRLLSGAGNTEGRAHAGMVLVMLAYSGYHVLTKSVLNVGMNQVVFCVCRDLLAFVVLAPVAFLCERLYANPLLVVVGLHNTNASYAAAFRPSIPVFTFALAAIVG >Dexi2A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:2A:5729625:5730808:1 gene:Dexi2A01G0006040 transcript:Dexi2A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERARVVRLRSHHDKYLYADEDESHVVQDRNAASPNARWVVEPVPHAAGVLRLRSRYGRYLSASNEPFLLGVTGRKVLQTMPYRLDSSVEWVPVLDSSSSSSSSSSASASAAGDGVRRGARLRTRYGNYLRANAGLPPWRNSVTHDTPHRHAGWVVWEVEIVQAILPTGPDSSVSDAGDFAAAAAPAPSYNYKPPSRSSSPSPPPLPTSALMRPPPPPHHREENSVPFRAQPPPPPPGYIAPPAPGLYRLESTESFSVPLHKVEGRAIHYHVGDDDGDVSADEEPHHLTFNGTSLDELLERLREETGLHDVVMCSRSPINGKLLPLRLQLPPNNAAVHIVLVRFVVINY >Dexi3A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:3A:5286391:5286705:-1 gene:Dexi3A01G0007620 transcript:Dexi3A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCLSAPRRLPCGLQGTRLSCLHIVVPRRRCLDPPHSLHSTALRNINVVSSLIRRNRFTQLRKNPEESNWDSQRMFIKVAPSAVARRHSTGPGKNQVIGR >Dexi9A01G0025840.1:cds pep primary_assembly:Fonio_CM05836:9A:26830662:26835664:-1 gene:Dexi9A01G0025840 transcript:Dexi9A01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPRLRCPAFHRPWCHSAHTPACLRTAAVVQGRCLAAALPQLCAFGWEQAEAEAWTLKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGELKLHDVVLAKATHWEKIRNELETKLVEFEQDLIRAAAENDALSRSLEEKANLLLKVNEEKAKAEAQIELLKSTIQSGDKEINSLKYELHVVAKELDIRNEEKNMSVRSADVATKQHAEDVKKISKLEAECQRLRGLVRKNLPGPAALAQMKMEVDSWGRDPGDNKMRRSPSRSSMLQYPMSPSPDCAHESMQRMQKENELLTARLLSMDEETKMLKDALSKCNQELQASKNMCAKTSSKLRSMELNMSSSNLYKSPTNSYVDTSASSQKGSNPPSLTSMSEDGVDDARSCEESWANTLVSELSYNKKYKGGKHNLTENSNQMSLMDDFLEMERLACLSSEAQECEGIVEKKSDVVKVLDGIRNILADIQHEVESVNINKLEHDDMFEVTGQFSTLVDEVVSDGNGLKQIVIALSEILVESGEFKVILLRKTANEGQSPSDAFDVKTTVQMCALEEYEKLRLEKRNLEMEVTKCNDMMESANLKFCGMEKNIEDLTSKLAACEKSNSLAETQLKCMAESYKTLESQKVKLEEEIRVLQAMIDTLSADLAEERRSHQDDMTKYRDLEEKMERYEKSSLFVTEEPDVKLKKVSC >Dexi6B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:6B:2781560:2786606:-1 gene:Dexi6B01G0003400 transcript:Dexi6B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAVAAAVRAEESVSGGVDVWSDAVSSHAPDHLLVMVHGILGSTADWQYGANEFVKQLPDDVIVHCSEKNSSMLTLDGVDVMGERLADEVLDVVSRRPELTKISFLAHSVGGLASRYAIAKLYRHPTSASDGEAKGTICGLEPINFITVATPHLGSRGNKQVPLLFGSLAMEKVACRVVHWIFRRTGKHLFLTDDDEGQPPLLQRMVEDHGDLYFISALRAFKRRVVYANADCDHIVGWRTSSIRRNTELPELAVSSSVKYPHIVHEEYSEEIDDEKCQDAMTDSNLDILEEKMVTGLRRVSWEKVDVSFQSSITSFAAHSIIQVKYAFMNDGADVIQHIIDHFQL >DexiUA01G0001050.1:cds pep primary_assembly:Fonio_CM05836:UA:3353803:3354393:1 gene:DexiUA01G0001050 transcript:DexiUA01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAAARALKIAVLALCVSTAAVQLPSVLDPSSAPTTTATSAEASSRPLDDGAILKIFPPLIVMEALFVAVPFVVHRHGRRGNIRRLRESSSELVAFALCVVVGLLEHFLLAQQPAGGEAVGGRAAAALGLAALRVLPASAAATFFLGAALVYAHVGGGGDGPVPEHAVRILSAMTLEAAAALIGIMATVVCYSS >Dexi3B01G0026090.1:cds pep primary_assembly:Fonio_CM05836:3B:21010864:21014188:1 gene:Dexi3B01G0026090 transcript:Dexi3B01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKDNGNHIRVIRGHVEKSSYSGKVYTYDGLYKVVDYWSEKGVEGHLVFKFRLKRLEGQPPLTTSQVLFTRGDVPMPISELPGLVCGDISNGQENFPIPSTNLVDNPPVPPSGFVYSKSLKIPKHINIPVDRIGCNCTGDCSTSDHCLCAKRNGTALPYVSTQRKKAKQNGSKHNNAGRLVEPKAVVYECGTNCTCHCNCVNRTSQQGLNYRLEVFKTESKGWGVRTWDTILPGALICEYTGVLKRTTEVEGLLENNYIFDIDCLQTIKGLDGREQRAGSEFHIASLHSEHDSEASQVPEYCIDAGSVGNIARFINHSCQPNLFIQCVLSSHSNIKLAKIMLFQLIQYPRFR >Dexi8A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:8A:134554:134874:-1 gene:Dexi8A01G0000160 transcript:Dexi8A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGAGASARPATVGPRGTAAAAAGMRRRRTTSSAGGGGFSGASGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAAASA >DexiUA01G0024730.1:cds pep primary_assembly:Fonio_CM05836:UA:51305710:51306754:-1 gene:DexiUA01G0024730 transcript:DexiUA01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding QETGLCKNLLQEYAQKMNYAIPSYICTKQASGIAPFVCTVEIGGIRYIGAAARTKKDAEIKAARTALLAIQGQLEGCANGGTKYIVVPGQRQVKETDKKPTETPKSLKVKKSGGKNKWNKWKFMRKSDPIAAAEKDGAREALEVHDSDVPMQAAIAEEPPNDSTMPHPDEESRNVKQGLAWLRHYEEDRRVEHDLSRDTAMVQYNKEAVILQREEEARIIELEPPRDPAMVQPKEDASSVELEPLSSAEAVKSNMEARTVEQESASDYVTLQSDRDATDVKEEPPSNTAMMQSEETETRKQEAPQSGELVQPN >Dexi5B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:5B:9551512:9555963:-1 gene:Dexi5B01G0013460 transcript:Dexi5B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRRTVTSVPRVRGGGRGDKAIGPGGKSALLHCSGEGAPRLDGARRASGVLDGSSRLRRRPILAAPVTWISSSRQILPRRVRGGGGLVVSPPTRPSGGGGMGQGFARVRCLCQVVVSCRHGDDDDGHHHAHRRPTPPGRRLRRALRGSSATAATSIRGPPREHRRRGSGRSRRGRGDGDDGDPARPPEPTAQWRRGGGAGQWLGGSAQRRRRRGGDAGSRRGQIV >Dexi5B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:5B:962696:963202:1 gene:Dexi5B01G0001500 transcript:Dexi5B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTAVANGSPHEASNGAARSPPRPAGSSPAFRTDSPVPAPTGCGGGGSFPESHFGRHLNIFSCTEWPGSGSLARRGSGRRGGRGGVLVRKGVEEEEANTVEQEQQAEEAATEAMARRRDGGCGGWRERDETTARFLSAVASG >Dexi6A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:6A:6478055:6479978:-1 gene:Dexi6A01G0006680 transcript:Dexi6A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDTEEHAVQMMSDSRDWSGLPIDVLLLVFRKLGPVEILMGSGLVCHSWLEAAMVPDLWRSVDMANDNVEKVEEDVLCAMAKVAVDRSKGQLEVFLGKFFVTDEILKYIGDRSASLKSLSLMSCHDVSNEGFTDLVTKSPLLEVLSLELCPRVGGRKVYEAAGKSCSKLKHFSLHRELFRFSFRYPDRYREARGFRAMRELRSLSLVGSSISNKELEAILDRCPHLETLFLRDCYAIEVAPGSTLRAKCARIKTVTRRQFKPVSVLNGRRQWVLQEIQLPAMPQH >Dexi6B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:6B:26225013:26227965:1 gene:Dexi6B01G0019520 transcript:Dexi6B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding IISPLMLSFLYFISRGGIKSPWSRRKRKRALSGQHWNRLFSSNGKLRDGGKKFLKKVRSGGIEPSIRAEVWPFLLGVYDLNSSKEDRNSIKIKKRKEYEKLRRQCHHIQNCYKGNGLNVINEFINEDFSDGAEGSESPYPEGVSKRACVLPKELKSLCSKVEESESSNWASLECMDEDTSELTYVDPCIGESESSDSESSYEEDPDRTPVSANLEDNCDPKPKFFRSASTKSEVSISDKTPEDFATWQRIIRVDAIRANTEWALFARNQAEVPEEKALRSATSVGLKDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFLYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHLVVNLHHKI >Dexi8A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:8A:26943229:26947648:-1 gene:Dexi8A01G0015880 transcript:Dexi8A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLESIEFTFPVQDMREIPYSNVGLVNLLSIQDVTVLFNYGGASEEDLEEAEAALGHEVELHPNRPTLRIVTADKSDVEGHLKEVPVKSDRYKIDGPIAKPVTTTVFPCLSAQYMKAEELIGIEDTINELTNILTERNEPSMEQGKIVSIVGPGGLGKTTLARAVYEKLRAEFDCCAFVTVSQNPDMKKLFKCMLYQLGKKNDANIHDARTLDERLLVYELSEFLQHRRYERITDQFMPIWTRAPSGTGSLTSLEELSTLRIQDSTTIIEDLSNQTGLRVLGIECHTVWNIKRFEKSLIECLNKLQNIHTLSISVVGECKLDAWVVPRHLRRLELMGCSASRLPVWLKPLLLLNLSFLSIEVRNLRQEDLEILGWLPALVYLDLEVGNQNLQILRRFVIGDCSFPCLVHCTLRGYMRPVVFQQGAMPRLARLHLAFPVRETREIAGGFDLGLGNLTMLQDVTFLLRRLGASKGEVEEAEAALRHAIQIHPNRPKVEILC >Dexi9B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:9B:2142516:2143681:1 gene:Dexi9B01G0003730 transcript:Dexi9B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASWTSSSAKRLEGKVALVTGGATCIGEAIVRLFREHGAKVCIADIEDEPGQQLRDAIGDQDVMFVHCDVTVEDDVSRAVDAVAERFGALDVMVNNAGIAGNKVTDIRDVDFAEVRKVFDVNVHGVFLGMKHAARVMIPQKRGSIVSLASVASVTGAMGPHGYTASKHAVLGLTKSVAGELGRHGVRVNCVSPYAVPTALAMPHLPQGARADDALRDFLAFAGGEANLKGVDLMPRDAVLFLASHEARYVSALNLMVDGGFTAVNHNLKAFED >Dexi2A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:2A:3559462:3563062:-1 gene:Dexi2A01G0004030 transcript:Dexi2A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALREAGRRRGWAAEAGRRCFSGGTAVAERKEGGGKGVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLSSIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSQAEVIRKGSDITLIGWGAQLAVLKEACEDAAKDGVSCELIDLRTLIPWDKETVEASVKKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKVLDAIKATVNY >Dexi9B01G0043700.1:cds pep primary_assembly:Fonio_CM05836:9B:43665230:43667005:-1 gene:Dexi9B01G0043700 transcript:Dexi9B01G0043700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAMQRLYDHQPNGPATPVDMAVDYRKYDFEFAEPPRVTSLQNAVPLPTFSDFGDDVYFVADHRGYESVVYYLAGQYLKSDESSGKIADPRLKLNKVVSEISYSSAGVTVKTEDNSVYQADYVVVSASLGVLQSDLIQFKPQLPEWKIVAIYQFDMAVYTKIFVKFPKKFWPEGKGREFFLYASSRRGYYVVWQEFEKQYPGANVLLVTVTDEESRRIEQQSDNQTKAEIMEVLRKMFPGKDVPDATDILVPRWWSDRFYRGTYSNWPIGVNRYEYDQLRAPVGRVHFTGEHTSEHYNGYVHGAYLAGIDSAEILINCAQKNMCKYHIQGKYD >Dexi7A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:7A:23812447:23814376:-1 gene:Dexi7A01G0013880 transcript:Dexi7A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVPEAAAFAMDQHLAPQQPAEQEQLCYVHCKCCDTILAVGVPCSSFFFKTVTVRCGHCANLLSVNLRSLLLPATAGTNQQFPFDGQGQQALLSPTSPHGALLDEMAAFQAPASMTSAETASACVSTITSINNSCGGGNSGASVMSMPPPPLVKPAQQEPQQLSKSAASGNKAAEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTIQNQEGADCMLFKDGLYAAAAAAAASSMGIAPF >Dexi5B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:5B:3273735:3277222:-1 gene:Dexi5B01G0004820 transcript:Dexi5B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSQSQSPKTPPAGAAGGEHARSASEPWLASAAAASASEDYSCVNDVDTFARTVAAVKSKSSSASSAARPDMLAAVLSHYAAKWLPDVAPSSSSPATSSSSGRFLPPESPTATWLKKRLLLESLVAALPPDPPPHAGAGAGDDDGITCDFLLKLLRAGSMVGADVALLRDLEARAARRLDQATLGAVMIPAFGHAIAGGEHHALLLDVPLVLRLVRGFFKESSAVSSNKASGGAAVVGGGGAAAARVARLVDAYLAEAALEAGLRPGEFEELARAVPAHARPADDALYRAIDTYLKAHPNTSKEERKSLCRLIDARKLTAEAAAHAVQNERMPVRSVMQVLFSEHGKLNRLAELSASFSGPRSPNPALEPPGRCPSKREVLAQHQEVRRLREDVARLQVQCNALQAQVERLSSDRRRRGGGGFFKWSAFWFGGGMGADVARVDDSESGMERRTPAKGKKDSAASGTPNAKWRKSTS >Dexi1B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:1B:24291252:24291717:-1 gene:Dexi1B01G0017980 transcript:Dexi1B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENWIAGENPLYLRWFHRVARTRLRPIEMEYNMEYVETNAEDDYDIDTRWGNQPEQGWKNLPKDGPEA >Dexi3A01G0033460.1:cds pep primary_assembly:Fonio_CM05836:3A:38222101:38225226:-1 gene:Dexi3A01G0033460 transcript:Dexi3A01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVALWVVLAHVLACASVLHAGEQLLSRIAIRRATAAVVDSASVVARPTVLGLKGQSSDWVVVEFSHPDPSNDDWIGVFSPSGFSSEICQPENWEDLPPFLCTAPIKFQYANFSNDAYNKSGKGSLRLQLINQRADFAFALFSGGLSAPKLIAVSNKVTFENPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEALPFVEWGPNGGHQMLSPAGTLAFKRNSMCGSPARTVGWRDPGYIHTSFLKELWPDALYTYRLDHRLSDGTHIWSKSYRFRASPYPGQDSLQRVVIFGDMGKAELDGSDEYGNYEKASLNTTKQIINDLDNIDMVIHIGDLSYANGYLSQWDQFTAQIEPIASTIPYMIGSGNHERDWPDTGSFYGYNDSGGECGVPAQTMFYVPAENRAKFWYSTDYGMFRFCIAHTEEDWRPGTEQYKFIENCLSSVDRQKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGREGLQDLWQKYKNRCVQDGSNHYSGRFNATTHVVVGGGGASLSPFRATVPYWSFFRDMDFGFVKLTAFNNSFLLFEYKKSSDGNVYDHFTISRDYQDILACSIDNCPRTTMAV >Dexi4A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:4A:5958924:5959217:-1 gene:Dexi4A01G0007930 transcript:Dexi4A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRALPQGELMVEEFRAWLAQFDADRDGRISREELQHALRSLNVWFAWWKARGGVRAADANRDGHVAGDDEVARLFAFAQSHLHVKITQLGYY >Dexi8B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:8B:26210397:26219288:-1 gene:Dexi8B01G0015410 transcript:Dexi8B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAGKRSSPSPSSSSVPAPKRPKAESPASPTASAPGRAEEDSAPAAPERSAGSAEDAAAAAQKDQGADKPAAAAAESSKRKKEPEQQQPASPWAKLLSQCSQTPHHPISSPQFSVGQSKSCNLWLKDQPVSKMLCKLRRLEQGGQCELEVVGKKGVVQLNGRPISPGTKVLLTGGDEVIFSSCRRHAYIFQHPLNDKVSKMVPTTAVSLLEPLVASAKRIRTDKRPGDTSAVAGTEMLASASTHPKDVAAVPPASAGENSQRAVRPMASSASDKLKGCAVSPDKECENGENAIEVNSNIEDSSMDVAAAPVSPDDAANDTCQQNGFGSDAHLGAEIGKIATYKRPVLRMFTGSTVPEFDLTGAVFKALEDQRDIIRDLSASVPPSRCQAFKDGMKQGIISPSDIDVTFENFPYYLSENTKNVLLSCAFIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLIVDSLLLPGAPSKDPESQKDVGKSDKSGDKAGSEKFAIFQKHHRSSLADAVHFRRPAAPTSSVNADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPPSLPQRLKKRQPFFQFFLATGSLNTYISFYKLISRGPSYGYRGKVMLAFEDNGSSKIGVRFDKQVPDGNDLGGLCEEDHGFFCSAELLRPDFATGEEVERLAMTELIEVISEENKSGPLIVLLKDVEKSFTGITESLSSLRSKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGNRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNVGSIRSFLNRNGIECNDLEELFIKDQSLSNENVDKIVGYAVSYHLKHNKVETSNSKDAKLVLTSESLKHGLSMLQSVQSDNKSSKKSLKDVVTENEFEKRLLVDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKVSNWFGEGEKYVKAVFSLASKISPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGPDVDLDSLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNLAKSEGKPEPALYGSEDIRPLSIDDFKSAHEQVRAPVCASVSSDSANMNELLQWNELYGEGGSRKKKALSYFM >Dexi9B01G0036700.1:cds pep primary_assembly:Fonio_CM05836:9B:38190345:38193474:1 gene:Dexi9B01G0036700 transcript:Dexi9B01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASSSSLTAPLLRPNPNPNPAPRSLSLLRNRRCARAITAAVAGGAGAYGASQRRGIWSIRDDLVVPRSPYFPVEYAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPTKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPSSS >Dexi4B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:4B:4837655:4839675:-1 gene:Dexi4B01G0006950 transcript:Dexi4B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPVAAAPAPAAA >Dexi1A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:1A:24860149:24861352:-1 gene:Dexi1A01G0017560 transcript:Dexi1A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYAEGVPAATWYGSSYEDDDADIGALLRGIDAAVRPPNPGDLPKPSMDFLARSHRRGDHGVDFLAMLSGIQSIRVPAAGLMMAFVPMDTHDATPTTPVAVLEAPRSYGDDDDAAKGDTIATIKTPQQQKKQQDCGAEYDADIDAAFRVMETDPLERPSTDYLSRTQAGAMMMTDRAELIEKMHRFSTYYELAPGTLHRAVSFVDRFLSAKKITGGDRHGQLLLLGATAVFAEAKYEDRNTSCRINADAVALYAGTTRSEVLDAERELVAALGYRLSGPTAYTFVEHFLRHHGHGDSQALLIIKSLAHHLADMALLDYRCVAFLPSAVAASAIFLARLTLGCCYLTAPVAGYVPEDLGECMEAIYEMHENLSAWPGCAQMMADFELTMH >DexiUA01G0009620.1:cds pep primary_assembly:Fonio_CM05836:UA:19019845:19021913:1 gene:DexiUA01G0009620 transcript:DexiUA01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATYRGPSSSMAMAPAPVAVVSPQFCAPGTVPLTVTKKAMSFSGGDFTVTDGNGAVVLRFEGVYFSLRSRRVLLDAAGRPVLTMERKVFSMYDKWKVYRGDSTNSGDLLFTVKRTSFFQMKTSLGVFLARNTSEQVCDFKIKGSYFERSCAFYRGNTDIMIAQMKRKFTVSNVLLGKDTFLVTVFPHVDYVFIAALVVILDEIDRDRSQ >DexiUA01G0016380.1:cds pep primary_assembly:Fonio_CM05836:UA:34838903:34839192:1 gene:DexiUA01G0016380 transcript:DexiUA01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSSKGDRRSPTTTGDPDFVLPTEMQEQERRPEGHQPLLRRPAAAGHRQVAKHVDRRRVGQHVR >Dexi6B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:6B:20670337:20671740:1 gene:Dexi6B01G0012930 transcript:Dexi6B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARPPASPAAMGPGDVSEVELSEAGSPDLGSRSSGSGSGGSGRSMAEYSGWVYHIGVNSIGHEYCHLRFLVIRGKTVAMYKRDPHDHPGLEPIRKGAVAHTLMVEELGRRRVNHGVAAQPFLYFLDS >DexiUA01G0006710.1:cds pep primary_assembly:Fonio_CM05836:UA:13004575:13013973:-1 gene:DexiUA01G0006710 transcript:DexiUA01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFPRPTKVVAADAEVRACGEAAEERESGRGARVVRHGRERRDGVVGWEGGREEALAVAAFGGLGRKRHSLPVVAATSSMASAAAALLLFLHLQLLLLLPAPSAAQPGFISLDCGGAHDHADAIGIQWTSDASFVSGGQTAQLLVQNGLQSQQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVIDDATTPVDGPSGLFSLSGRYTVKHPSTLSPEGQHCAPNWAAPEPRLSLKKKFEALSNAQEAKNSGKTSGEDYPRVQKEDIPNAEAAIICGDSNWRNRDQPATAS >Dexi2B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:2B:21809608:21809888:-1 gene:Dexi2B01G0013370 transcript:Dexi2B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFQLVPDAAMGELTLLPNLEYTSHPHTRAARCYDAEIDLESYKDDSQYLRPDPICCKQNGHGPCANGVFPEQLA >Dexi5B01G0039770.1:cds pep primary_assembly:Fonio_CM05836:5B:38467716:38470079:1 gene:Dexi5B01G0039770 transcript:Dexi5B01G0039770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTAASTPPVPDAGEDGATTHSPSPPPPPPPPQRERGKVVIVMGATGAGKSRLAVDLAAHFAGVEVVSADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIQEILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDMQDCTLSDRLDGLGLINDDVGCGYERLKQIDPLAAQRIHPNDHRKIRRYLELYATTGALPSNLFQGETAKVRNFAIVQKWGRTSNSRFNCCFLWVDADLQVLDNYVNQRVDCMMDAGLLDEVCGISDPDAVYTLGLRQAIGVREFDEFFRQYLTRKESDKDRAVFSTNMLTVHDDQLKRLLDEAVSQLKTNTRRLVRRQRRWLHRLSKDFGWNLHRVDATRAFCCTTGDSWDKEVVEPCADVVKSVTDEAYVCIWMLCLQACDKRVLRGAHEWEQHRQGRGHRKRVQRLKQNKSLMRPSES >Dexi1A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:1A:6174968:6175589:-1 gene:Dexi1A01G0008040 transcript:Dexi1A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIKESIEPGIETSRNENTIKFSDVCGNTKSSHYSHGGMVIECLSGSHGKEGIAKAASLLYSGSCEGSGGPEPDLLLVYGPVRCHLGFPAWRLRYTEIM >Dexi9A01G0029480.1:cds pep primary_assembly:Fonio_CM05836:9A:34372890:34376645:-1 gene:Dexi9A01G0029480 transcript:Dexi9A01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPKCKKTRVYELESSSSSKACCLNVWRIAVGGVALVCKKAMAARDGVCLARSSGFQRIVLELDNKELLSPSEKQLSLTRTHLDGRILPMAALSIDRRPYRLYVPSVAPFCLFGVSPTIPALARRRPQFRFRASSLWHR >Dexi4B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:4B:4684204:4685388:1 gene:Dexi4B01G0006700 transcript:Dexi4B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQRRPLARTSSTCAREAARGGHVFKISGYSLHRGVGAGESIQSAAFVIGGLTFCVRFYPDGYSTSDDDADYVAAFLHLLTKNARARASVEFSLVDQTSSSSSTAPAAAAAPKVTEGTEFGTRRLMKRTELEASAYLREDCLVIECDVLVINEPRVVVEETAATTTTTTGDFEVLQVPPPPNLDLSGSLRKFLEEKRGVDVTFKVRGELFKAHKIVLAMRSPVFAAQFYGPMAAEDTRRRHIVVEDMHPQVFRALLQFIYTDAMPSMEDYCGVDDDDDRQEIVRHLLVAADRYAMERLKLICEGILCKSLDADNVAAALVLADEHHCSTLKEACVEFITSAKGINSTVVVPRQGSMRLKRVFPASFVDMLWKVTGCMHGCFQFVGISREGDV >Dexi9B01G0038660.1:cds pep primary_assembly:Fonio_CM05836:9B:39634660:39635561:1 gene:Dexi9B01G0038660 transcript:Dexi9B01G0038660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTMRRCVLLVSLAMLPLAIMAADSIGSYCAGSSYASSNKAVANINSVLSDLVATASTGGYATSTAGKGNNVIYGLAQCRGDVSASDCAACLADAAKQLPTTCSYSSDARIWYDFCFMRYENANFIGQVDTDAGVILVNVQAMDNAKAFEKAVGKVVGKATAQASAAGSAGLGRSKDQYTPFVAIYGLAQCTRDLAPLSCAQCLSTAVSRFGDYCRAQQGCQINYSSCRVRYEIYPFYFPLAGDVPGGRATTDMTKNTKIAVHP >Dexi4B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:4B:21697535:21698507:-1 gene:Dexi4B01G0019540 transcript:Dexi4B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTMIKNYLIFILAFIMFMQHDWAYGASRTREYSFPNLVYSPSLPPYKDVIGGDGNGPSSPTSDGGVSPPPPPYCLYPPPPAKPALPAPLPPEASPPSEAPQAGGSPSIAGGIITIPPSGASPPAGSPPPSTAPGSSSPPQPAFLPPVAFPTPPPPVQQAAARPGMWCVANPKAASAAVMQTAMDYACGSGADCGAAAPDGPCYLPDTLTSHASYAFNSYWQRTKDAGGTCDFAGTAMVVTRDP >DexiUA01G0022410.1:cds pep primary_assembly:Fonio_CM05836:UA:45555010:45556443:-1 gene:DexiUA01G0022410 transcript:DexiUA01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAVSDELLGTFMPIAVYWLYSGLYVALDGVGRLDGYRLHTREEAAAKNVVSKSTVVRGVLVQQAFQVAVSLTLFAVIGDESGTGQKQPPALVIVLQFIVAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATVKTVDDHCGLWLPGNILQKLFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEQRQGGGVESRPAKLY >Dexi7B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:7B:22035617:22037842:-1 gene:Dexi7B01G0016010 transcript:Dexi7B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKQSLLPVLLLAAAVSAAAAAAARDELQTFIVHVQPHESHVFGTTDDRTTWYKTFLPEEERLVHSYHHVASGFAARLTPRELDALSGMPGFVAAAPNHKYKLLTTHTPQFLGLDLPQSGRNYTSGFGEGVIIGVLDTGIYPFHPSFSGHDMPSPPAKWKGRCDFNTSSACNNKLIGARSFESNPSPLDHDGHGTHTSSTAAGAVVPGAQVLGQGSGSASGIAPRAHVAMYKVCADECTSADILAGIDAAVGDGCDVISMSLGGPSLPFYEDGIAIGTFGAVEKGVFVSLAAGNSGPVESSLSNEAPWMLTVGASTMDRLIVAEVRLGSGATFDGESVFQPNISTSVTYPLVYAGASSTPDANFCGNGSLEGFDVKGKIVLCDRGNDVARLDKGIEVKRAGGFGMILANQFADGYSTIADAHVLPASHVSYAAGVDIKKYINSTTSPVAQIVFKGTVLGTRPAPAVTSFSSRGPSTQNPGILKPDITGPGVSVLAAWPFQVGPPSVPFLPAQPTFNFESGTSMSTPHLSGVAALIKSEHPDWSPSAIKSAIMTTADPTDKSGKPIVNEQLAPANWFATGAGEVNPDRALDPGLVYDISAADYVAYLCGMYTSTEVSVIARRSVDCSRIPVIPVSMLNYPSISLTLPSTTNPTAPVVVSRAVTYVGEEASEVYYPRVDLPATVQAKVAPSSLQFIAAGQVQNFTLSVWRGQGTDAKFVQGSLLWVSDKHTVRSPVSIAFA >Dexi2B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:2B:9512630:9514796:1 gene:Dexi2B01G0008820 transcript:Dexi2B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKQQQQEQTLHLVVFPWLAFGHLIPFLELSKRLAARGHAVTFVSTARNIARLPPEGLSGRVRMVALPLPAVDGLPEGAESTADVPPEKVELLKAAFDGLATPFADFLAAACAGDREEEEGVFGRKPDWIVPCAMFLIFTAAWVAYIGSREENINHPRVTVDDFKPMPTWFPSPPSLTFRHHEAAWIAASFRTNASGVSDIDRFWRTEQRCRLLLLRSCPELEPRLFPLIADVFRKPAVVPTGFLLPEDKSTTDATRSSAVRWLDEQPPRSVLFVALGSEAPVTAENVRELAAGLELSGERFVWALRPPSGAGGGGDGAAAAMLPEGFEARTRGRGVVCAGWVPQVRVLGHVAVGAFLTHCGWGSVTESLGFGGHPLVMLPFITDQGLIARMMAERGVGVEVARRGDDEGWFGADDVAAAVRRVMVEERKALALNARRLRDLVAGDDDGVKSSPPLDTA >Dexi7A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:7A:11820089:11828286:1 gene:Dexi7A01G0002940 transcript:Dexi7A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLVVVLRAALSHVPEERKAAEASLEQLQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVSKNWSPIDPEEKQKIPETDKSMVRENILGFVTQLPPLLRFKSEEERIPLYQVVEESFPRLLNIFSKLVQIPNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNLLERPVPVEGQPSDPDARKSWGWWKVKKWIAHILNRLYTRFADLKIQKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLLNSVTKNSMYQLMQPQMDIILFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIHFIVGIFMRYDEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSPVGHLRAKASWVAGQYAHIKFSDQNNFRKAMHCVIADLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEIVSYMTFYSPSISLDMWSLWPLIMEALHDWAIDFFENILVPLDNYVSRGTDHFLACKDPDYQHSLWKALSTIMTDQNMEDSEIVPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRTEKPYLKSLLLQVVRTTTLLPVIKAC >Dexi9A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:9A:5073067:5073611:-1 gene:Dexi9A01G0008570 transcript:Dexi9A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSPAAGKKTRMTPHGFPLSEPSPDNPTRPVRSSPTAAAGQVLILRFSPLQSSPHVRPRAPRRRRPASSPRGPGREERKKILSLSQSRATPRARTEAVSPSSLLPPRAYPRRNPIPSLASFSPTKTRPLLEAYAIAAASMIPADSCAHLLPPTL >Dexi1A01G0028410.1:cds pep primary_assembly:Fonio_CM05836:1A:34059501:34059794:-1 gene:Dexi1A01G0028410 transcript:Dexi1A01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAATVPREAAPPRPHLLPLGAREGAAPPLLHRRTEAESSFLFLESTFFFPKSTATTSSAPRRATARLAAQPQLVLPRPRCSPRRDLSYPWKRGA >Dexi5A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:5A:5778621:5780153:-1 gene:Dexi5A01G0007790 transcript:Dexi5A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWRNKMGKPKLPAGAGAPVTPAAPLRRSQRLVAAAEPSGAQIPASSPRQAKRTPSRRASPRRIQSRGSNRRPSAAHHHKKKKNTPYFWGARRARSVLAGGGDNFPALSPIRLLGKKRRFHFCANAPAWGAKRARSAICCGGGDAKPLDPAPAQDVDWANLGDGPAGLIADLLLANDVADYVRFRAVCQPWRRCSPDPRAGGLDGRFLPRRWIMLDKAITANPRCHRFLNISTGESIRMDLHELAEHKFLALTPEGLLLLLHEPTRIIRLLNPLTRQLTNLPPVTSLRSMEEWFGIKVYGAGLADASTVAVCFSHPRVLAVAKPGDVCWTVVHSGYLNSTLPYAGRFYCCVGSRLMVLDTTTDQQPPRLMVAAERSSHIYFSQMSDSLHLVDNAGELIMVHRSLYPDSEHNYKRKYQSYRVDLDAGAFVPAKGFNGRAIFMGRRRTISLAAETFPSISADTLYLGYDFDESYMIVEYNDLADDSSDGTHPFSIVDCLSHCIQRNGEELA >Dexi9B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:9B:7731735:7734083:1 gene:Dexi9B01G0011810 transcript:Dexi9B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTVTLRALALALAVAAAATTTIAAGEEERKTYIVHMAKSAMPSEYANHGEWYGASLRSVSPASNKMLYSYDTVLHGFSARLTATEAGDLASLDGVLAVLPEARYKLHTTRTPEFLGIAGDGAGAGLFPQSGTAADVVVGVLDTGVWPESPSYSDAGLGEVPSFWKGQCVSGSNFNSSSCNRKLVGARFYSRGYEAAMGPIDTSRESNSPRDDDGHGTHTSSTAAGAAVEHASLFGFAAGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVGDGCGVLSLSLGGGAADYSRDSVAIGAFAAMEQGVLVACSAGNAGPGSSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNFTGVSLYSGKPLPSTPLPIIYAANASNSTAGNLCMAGTLSPEKVAGKIVVCDRGVSARVQKGLVVRDAGGAGMVLSNTAANGQELVADAHLLPAAGVGEREGTAIKSYVASVPNPTATIVVAGTQVGVRPSPVVAAFSSRGPNTVTPEILKPDVIAPGVNILAAWTGKVGPTGLAADDRRVGFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAVRSALMTTAYSSYSSGAGAGELLDAATGAAATAFDYGAGHVDPARAVDPGLVYDLGPRDYVDFLCALKYTPAMIAAVARRSKDMACAENEAYSVRRLNYPSFAVAFSTASGEGGGASSAATTVTHTRTLTNVGGAGKYRVSTAAVAGGAAAEGVDVKVEPEELAFTSAGEKKSYTVRFTSRSQPSGTSAFGRLVWSDGKHTVASPIAFTWT >Dexi3B01G0021730.1:cds pep primary_assembly:Fonio_CM05836:3B:16597589:16600753:-1 gene:Dexi3B01G0021730 transcript:Dexi3B01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPSPATSAAADDLETLALDSSSSSGAATDPLLRPSPSQTAEANHDAFVIDDFLDEDDFSPAPAPSVAHPPAPRADGAPPAFTKITVSDPKKHAEPSGGGTAGGVIPGSGSYFSYLITTRLAGGGEEVRVRRRFRDVVALADRLAAAHRGLFVPARPDKSVLEGQVMQRHDFVSQRCAALQRYLCRLAAHPVVGRSADLRTFLTEPGAIPAFQGEAPRHWTTTVNAAAPLVPAKAGRDLFGMFKGLKQTVVNGLVATKPPPVEQETDIEFLAHKARCEDLQQQLTTTSQQAEALVKAQDDLRETTGHLGMTLIKLAKFEREQATCNSLRRRAGETHNFANSVLKMSRSQTKLNSEIVKHLGIIHEYLEMMISVNHAFADRSNALHHVQSLSADLFSLHNRAGRLESSSARDMGHEWSTYQKVEGLKETIRSTEAAKSDALREYESIKENNKIEIKRFDKERRRDFIEMLKGFVVNQVSYSDNFANMWTKVAEETEIYTNRAN >Dexi9A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:9A:12355900:12358438:1 gene:Dexi9A01G0017340 transcript:Dexi9A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASSFQLSMAAVIALVMVAATFSAAPVAAGPVPAIYVLGDSLADVGNNNHLVTLLRADFAHNGIDYPGHKATGRFSNGKNSVDFLADSLGLASPPPYLAVAKNSNANYANGVNFASGGAGVSNATNKDQCLSFDKQLDYLSSVSASLAQSLGQSQAATHLAKSLFAITIGSNDIIHYAKSSSSSSDPSPQPFVDSLAQTLSAQLQRLYDMGARKLVFLGVGPVGCCPSLRELSSTKDCSAVANDAAVRYNAAAASLLGAMAAKHADMSYALFDSSAALLRFIDSPAANGFAEAKAACCGLGDMNAKIGCTPLSLYCANRTGYVFWDFYHPTEATARKLTAMAFDGSAPLISPMNIRQLSAL >Dexi2A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:2A:13345623:13360787:-1 gene:Dexi2A01G0011430 transcript:Dexi2A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRRSGFLREVELRLLRCTLPSPSSTQPPTASAPPAHPLGAAAASALAAVEAGDYETALAAAAPHLLPASASSGPPSSAAQFYADLAAATRAFLRGDGDGGAAGEGFECRCAVVFSAAVAALLAFTQQNVTGPSRKFPTFPFWTSSLDEGWYSNLEGIWDAWASANLSSFGSHVHGKFSLLQFVVFAELLLTSIQSLDWSDCWSVSWWLFRISMFQQNILDELSSSLFDQVQVYKNKMLAHFGELEKVSIYWDSLLCDGEGSYLVSAALLEAGIAEYKYGRVDASRLHLDSAQEACDLHLSLTGILGFRTIHQVDAKSQMVLVAKTNKSGSDEGQATEPTVAQNDCAALKTARSSVPIESDEFCDILRTPRLVHDGSSSASENTTGPSANISLSAIQQAAVLAQCLHVSRRSRSDEMSGMPVFSFLVDTYDKRWEMAPYIESIDAQCESYFVVRSLCDILRIRWESTRSRTKQRALLMMENLVEDVGKEFPVAAERVKMVFGVHMPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADAVSLINARLSVAPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARAMRSLARSAYNRNDFYTSKILWESALALNSLVPDGWFAYGTAAWKDKDLDKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSQAAVQAFREAVKFKRNSWEIWENYSKVALDTGNVRLTLEALKTVLNLSSNKRFNADIMDKVMTMLEEQPSHIVGTSEASHDANKETRQSNQLLDIVGDILQQIVRSGGSNADVWGLYARWHKTKGNLTACSEALLKQVRSLQVRSSFAECCYYSINCSVHSMGSGLWHDQKKFTKYAQASLQLCKVYMEISSSSGSRRELLTAEMHLKSTLKQAADFSGTEEYKVLDNCLEEIKNLIAATA >Dexi9A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:9A:15101124:15110746:1 gene:Dexi9A01G0020140 transcript:Dexi9A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVISDVAEPQAEDPPRAEAAATVEPEGSEAEAPPAAEPAGASIPSAVSAPAAAPGDASSAPAPAPTCDSVEAPPPASPATTAAPGPPRPQFAGSPAYLAPPAPSPSFSYNVLPRAPAALQIGGGAASLKPGSSPALTAAPMPVTALQPPAPGQFFGNAPSFSYNVVSHANARLPTGQQFQPDTGTNHAGQASRFVPPGSLQPTAPGQSTRPGSTFSGAMAPNPPGSIQLPFSTPRPSNIPFGASAQQVNLDINISKSDGPSGPEVSPHNIQLPIGLPSNSPSTIASASGSSSIPIQMPTNLSLPPRPEDMVLSHLNLYGDTPILRDLLGFSSLPSRHGGQVNEQLEEKRNTRVQDSDAWSAHKTETGVVYYYNALTGESTYQRPPGYKGEAEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPELAEILKNVESGSLKEGLTSLQDGATIENKGVISTDASTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGSSSAPSPLATSSSAASELNGSKPADAALKGQQVSNNGEKSKDNNGDANMSDSSSDSDDEEHGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRADEERKEKRAAQKAAVEAYKELLEESSEDINEKTDYQEFKRKWGADPRFEALDRKEREALFSEKVKAIQDKVQSMRKAVIADFKSMLREIHFVSDPQGRALNPDLGQGDAEKLFRDHVKDLYEILNGIGGGH >Dexi6B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:6B:21722985:21730322:-1 gene:Dexi6B01G0014090 transcript:Dexi6B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIRATTTVTWKKLLVLAAAASVVALASPSQLPAVGQGLPVGLQANCTTICGDLIVPYPFGITAGCYLPGYNLTCDTSHMPPRLFLGDGTLQVMDISLENSTVRVVGPNIPILKSHRDGPDGYNASGTWGGEGWGLQNGPYILSEEDNVLVVLGCMILAELVIIDKGRYQQHECQTPLQKQSRRCQWCSGFGCCEMLVPTGWASYDVRFQSLRWTGELIMPSSVFISEQGWFDRTYNNSNEPSSGIPAILAWAIVSDVLPFKSDPRDGNATCPTDTGSTSCHGSYSTCRNIGRMYHKSISRNPYIPNGCQGNTSRFLLHLRLSALPPPPAAASIPEVMAVGILTASFVLFLGVARLMQLVYWFVPLPVVRGIQLAQGLNFTMAAVKYIHYEQDLSKGKSLNRHPWTGLDGRILAIAAICFILLVNGAGSETTSTRTEKMKEDAKKKKIQRNHNQVGASAIWRAAPVIPSTVMVFVVGVAFAVARHPAARSGGGVCPYCLRDRLLRLCPNCVHVRPCSCAASCTSPSSSLSVSGEAVGRVHSLIEREHRRKIGEGETEEEAIHSGTPPVTSVVILELVGTARSRDAALHRCPLACCHYQMSPTYRI >Dexi9A01G0030990.1:cds pep primary_assembly:Fonio_CM05836:9A:35899188:35901114:-1 gene:Dexi9A01G0030990 transcript:Dexi9A01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGRAPLLHHSRPLHPATTACFLSRSASAAKPHRRAPPSSRSRVRASNSDPPQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTLAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQVFVMSENLSAAQKEDMAWASYVLLRNTNTTSVLIAIGDVLCVRGYWDPPANTSTYAMVEWFKSQMQQVGLVDLRDALYFPNSSDPQLVKILPDGVLSVLVQPVLKSDLANGETKTEGVILLASNANYAYNDKDRVWIRTLANKFQTS >Dexi6B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:6B:23076575:23080303:1 gene:Dexi6B01G0015790 transcript:Dexi6B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAALLRRGRDQASALVIPRLAPRGALAPSVPRVGSGSGGGGGCLLPPRLGSTGPFSSASRFASFHAFRSLAHKEPTSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVAMPDPPTGSMSWVNGAAKIRKLAIPVSAVVGITAISGAFVAGNDAGHAYNSFPKMGDTWIPEDVFSMEPFIRNFFENTSTVQLTHRILATTTLLSVGGLWLAARRIDMHPAVKSLIGSTLGMAALQVTLGISILLMYVSTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLATAVKAT >Dexi9A01G0024720.1:cds pep primary_assembly:Fonio_CM05836:9A:21398766:21406586:-1 gene:Dexi9A01G0024720 transcript:Dexi9A01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASAPSFQPQLGTQASMPNTDLTARSASREEQRNSRGPSTPEFVPTASEESDSDAIGGLVGRARAGPASLATRRPHPATGPESFADDSRAVVDFLAEYYRDVDKYPVRAADLEPGRLRKLLPDTAPELGEPLEHVLEDVRRDILPGLTHWQSPSFFAYFPMNASAAGFAGEMLSVGLNVVPFLWAASPAAAELEGVVVDWMGNLLGLPRRLLFSGGGGGVLQGSTCEAVVCTLAAARDRALAKLGAHEAIMKLVVYASDQTHATFQKGARLVGIPPANFRVIPTSAASGYGLTAAAVRALVDRDVASGLVPLYLCATVGTTGLGAVDPVRELGEVARRHGMWLHVDAAYAGSAAICPEFQGYLDGTELADSVSMNPHKWFLTNMDCCCLWVASPRHLTSALSTDPEYLKNVGTNGTGNKPAAAIDYKDWQISLSRRFRAIKLWVVLRRYGAVGLRGHIRRHVTAAKWFEPEVAADERCEVVVPRRFSLVCFRLRPRSDEDAVDDVNRELLAAVNESGRAFMTHFVVDGKFVIRLAIGGAMTELRHVMDVWELIKGKAVELGGSLMLWLHSHRVGTGTAAVCLPADEVTTPRPDMKLQWPDLCSLVLDLHLPCARASPRRLAAHGPTPPRLNATGRAGRRRCASLCAGRHRRCALPRLLTRCASPCAGRHRRCALPRRLASSPLMPRPSMLVSLPTSHSATIPHLAVDIEMGGGKPPTRGEEPRKGGRGRAVSHEREGGRLHCGREGEKEDERMG >Dexi3A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:3A:7848364:7857339:-1 gene:Dexi3A01G0011040 transcript:Dexi3A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVADRVTHASAAAGAVPDVRASPAAVPASRAWRAVGVPVASPAAFSVARASACCRSSRLCLPCHRSTVIRASVATGVVADARASPASVARASTAGVPSRGRSHCFSYKIAHRLCMAACTTTTMNSSKPTCLASPHCLAFTERLCGADGQENHQGSDEVILQLRSNGQQLFSLLRFAARGRRLRLRRAEMGGVSWKRVELAALVLYALGFYLVVIQRSLRLSHDYSGKLYGLRAGSLAGHLNVRRTVVFILLISLINYSIVKAKSGFYRQLSGYIPMAHMLQF >Dexi5A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:5A:6521290:6522228:-1 gene:Dexi5A01G0008730 transcript:Dexi5A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPEVEATGGASNEPVAAAAAAASMEVTAKIDMAKLQCPRCDHPFKPPIFEFLCDGGHLACSDCHGELPKDKRYACGQEGAYRRNTTLEDAVGSHKTLCPYSIYGCQIVVPYHESSSHRLECPYAPCGCPEQGCTFAGSPSMVHDHLRDAHGWPVDKIRYGEPLDLRLPESQRRRLLVAEEDGRVFLVVAVGAAAGEFHEVSLACLRANATVGPQYSCRMWAMGNAVGPAGAQSVMMKMMEVPSFVASGEYAAAPLVVHRKLLNGASAEIHLIVRVDEVLP >Dexi3A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:3A:8056155:8057061:1 gene:Dexi3A01G0011310 transcript:Dexi3A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNKPKVYGWAISPFVSRALLALEEAGVDYEFIPMSRSDGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHVFRKYKPELLGGGSLERSAMVDVWLEVEAHQLHPATGAIVVECVFAPFLGRPRNQAAIDENLGKLRKALEVYEARLAGSRYLAGDFLSAADLSHFTMMHYFMGTEYASVVEEYAHVRAWWEDLAARPAARKVAEFMPLGGARAAAKKDE >Dexi9B01G0033130.1:cds pep primary_assembly:Fonio_CM05836:9B:35320111:35322496:1 gene:Dexi9B01G0033130 transcript:Dexi9B01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPADDYDPLDMEDAPPPPPVLKALGSGKLLIEGSLSFKRAQATSGLQVETKISIRTAGGDASPEPREVARARFAASPAVESPKHEAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRAAKVGKGLLKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDSWLQCESKQPFFYWLDIGEGREINLEGKCSRSKLLSQCIKYLGPKEREDYEVVIEDGKFLYKKSGQILDTSCGPRDAKWIFVLSTSKTLYVGQKRKGTFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFKSFLVDNMVDLTDVKMSPAEEDEEFWGSLKRVTSESEKTGDKAAAPEETDPCQMQPETVDTGRAEIEKCEEETAVAQPDSSEDNQEAAEEQAPVPREKILQRINSKKEMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQLQALEQVNLSPRCSGAAAVRLSAEAKLQPASSVHAEGGVPIASAARSTRGGSCG >Dexi5A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:5A:19424458:19425978:-1 gene:Dexi5A01G0016780 transcript:Dexi5A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKYAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTFSIWFLVFCWLFAPFVFNPSCFEWHKTVDDWMDWWKWMGNRGGIGLAPEQSWEAWWVSEHDHLKNATIRSLLLEFIISLRFLIYQYGIVYHLHIVHGNKSFTIYALSWLVIAVVLVSLKVVSMGREKFVTRFQLVFRILKGIVFLVLMGLLVVLFVGFGLTVADVGASILAFIPTGWFILLIAQLSGPLFRRLIIEPLGTLCCPSGTGAACRGPCCAKFRQRTWAVLRKMGPWDSIQEMARMYEYTMGLLIFLPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGRGMKRD >Dexi9B01G0028890.1:cds pep primary_assembly:Fonio_CM05836:9B:31456181:31457270:1 gene:Dexi9B01G0028890 transcript:Dexi9B01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYSPPEHTDLHTATSTSTPPRAAGSCLIFSPTFCYLRQIHRRSEKMSAKVFGSPASSEVARVMTCLFEKDVEFQLIRVDSFRGPKRMPQYLKLQPHGEALSFEDGGVTLVESRKILRHIADKYKNQGNKDLFGPGALERASIEQWLQTEAQSFDIPSAEMVYSLSYLPPDMPLDTGRGGLLPVGGMHPSHRQKMEEMLQRFEKSRKDLGKLLDIYEQRLGEEEFLAGSKFTLADLSHLPNADRLAADPRSARLIESRKNVSRWWYTISGRDSWRRVKELQRPPSAEAPF >Dexi6A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:6A:15783524:15784890:1 gene:Dexi6A01G0011050 transcript:Dexi6A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLHRLLSLLFLPFILFHRGSSPPQPLHRHTITGDDLGLHPVVLLPGNTCSQLEARLTDAYVPPSPQCGAAKGNGQWFRLWKNATAMQDPELAPCLSDQLRLVYDPVARDFLNVPGVETRVLEFGSTRGFLSDSPANKLVEALEQAGYRDGETLFGAPFDFRQAPAAPGQPCRAFARFRRQLRALVEHASRKNGGKPVVVMSHSQGGYFALEFLNRSPLRWRRRYIKHYVMASTGPGGFLLAMQQLASNPSAALSFASGFTGLPSPKVFGPGTPLVVTRVRNYTAEDMPEFLEAIGLPTLAVTLYETRALPVKLNFRAPVVPTTCINGVGVPTMKELVYWDGNFSKSPEVVYADGDGLILLASTLALDTMIDDDPRQEHYKSIKLAGTSHAGVISDGEALERVVSEILGVQE >Dexi3A01G0035000.1:cds pep primary_assembly:Fonio_CM05836:3A:40277835:40278503:1 gene:Dexi3A01G0035000 transcript:Dexi3A01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRRRRHAAITAAMLWLVVLALGGRSVAAARTLRRLHAAEGSTEASVAELDVAAAEAPETATWTEDEDSLGEEKWLPFPMPMPAASGLRFPPVAFPLAAAGGTSMPWLAGAPPAFAGIPALVPPYIGATRQEQLSLWASLFNPFQVRPRLPVAALGGGETTAAGQVERGGGGGGGGVPVAIASGGKAAEGETMDVPAAGALQVAEPKWGVFLGNIDRRN >Dexi5A01G0028300.1:cds pep primary_assembly:Fonio_CM05836:5A:31624582:31625100:1 gene:Dexi5A01G0028300 transcript:Dexi5A01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGGGGHDMGGMMAPPPSPPGMGKMRMRYTHMTFFWGTKSEILFTGWPGANAGMYALALAAVFALAFLLEFLGSRRLDWLLPAAAAAAAGRGDRRRVAAGASRAAVHAVRVGGSYLLMLALMSFNGGVLLVEDGFDKGQPAPAACC >Dexi4A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:4A:11265212:11268096:1 gene:Dexi4A01G0012600 transcript:Dexi4A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCNDDGELVAWRFGAAKPELAAADAQIRMRALVGRIYGCVDKSDPRPLVPLASGDPTPFGCFRTAPAAEEAVTAAVASGQHNGYPSATGVTEACSAVARYLSQYLPYELSTGDVVLTAGCNHGIEIMMAPGANVLLPRPGYPLYEARASLSRLEFQHYNLLPEKGWEVDIQGVEALANANTVAMVVVNPNNPSGAVYSYQHLAKIAETARKLGIMVISDEVYEHFVFGSKPFVPMGVFGEIAPVVTLGGISKRWMVPGWKLGWIALTDPMGILRRKKGAIPQIIANTDETFFRNVMNVMRETAEICYQKLKDIEGITCPHKPEGSMFVMVKLEMPCFDGIYDDINFCCNLAKEESVVISPGETN >Dexi4A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:4A:3942577:3944272:1 gene:Dexi4A01G0005430 transcript:Dexi4A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATPVMFREADGKERKRASHPVPVCEVASGGKEDGDDEEMRRLLFERFMASCGPGTPCLCEAPKVHTQPCVLYTMRISIKKKQVSWSRRSSRRFLPERRGSTFPIPICRVVAPDHQVEQVVDRSGAPVEPARPGILSGIFEGAYYAIEHSREKRVIQREGFLAPLREVAVIRGMPGGRGITVTSHVELLVSFRLPSGWKEERLYSEDGFHFVDIAAPVENLVRKLDMMRQQEDNETEEDREKRLLQEEAMRKQDEEERKRICAWKEEERRIREEEEAAKPPVYADPIWDAALWEINAAWRAKAQWHCYSKFKLTMNNSKKVYCKCIEQKGLNDMRRRVEDGEFVLPILIPAPLSASSKAFETVGFVEGYFDLETGGHGTERGRFMDQSGRKLSSVLMVSRSTSKILTIKVLVHKLGILLDDGMVLSAGYGFSVDIHCDDISSSEILTTDWRHHILCKNIGCEGIVPFSSLLVQLKRKLNLKLRKEELGLCIMCAIDDEDENKEVTQQHQLPKQQEWVRDKPKHELVEVELWDCSLLFHP >Dexi9B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:9B:10839442:10840403:-1 gene:Dexi9B01G0015830 transcript:Dexi9B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTPMPLLLAALVVLGALTWTRATAAANPDDAAAMQSIANTTGAARSLGWGTKSGDPCDGTWTGVSCDDAGRVTSIRASRAGLGGGWLRASDLSKLSFLAELDLGNNGLIAETGGDLPLLPTPLHNLRALDLRSNRFLGIPGGFFAAFPALQDVNLDDNPMASPKLQPDDVRACSSGLRSFSANNISLSFLPDYLGSASEFPSLESLSLARNMLHGAIPEGFGENSNIKFLDVSGQASTLTGRVDQFIAGMKSLVEQRRFSRRFGKPKNRKAMLALEEGK >Dexi8A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:8A:21030608:21031489:-1 gene:Dexi8A01G0012030 transcript:Dexi8A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDRHHAVASLLLRILTLLLLIASIIVIVTNKIYAPFNIVVDPPNITFRDYYAYRYVLSAAVIGCAYNLLVLPFAAIHVAQGRRITGLGHGLALLIFTDVVVSVLIATGAAAGLGLTVEYQRYPQDSDYKNFFNLVDVSCGLMLGATICMVIMIMISVHTFT >Dexi7B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:7B:13703542:13704141:-1 gene:Dexi7B01G0006100 transcript:Dexi7B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRILPAITVAILLCAAAAVATNAPDYLIQGRVYCDTCRAGFETNVTEYMKGAKVRLECKHFGTGKVEQAIEGVTDESGTYKIELKGSHEEDICEVVLVESPRKDCDELEADRDRASVLLTRNVGICDNLRFANSLGYFKDEPLPVCSALLKKLDLDDQDE >Dexi3A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:3A:16614820:16615553:1 gene:Dexi3A01G0020980 transcript:Dexi3A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIVSPSGRRRLSPSLPLAPPLAAFAFPAPTTTGQRHVGHEPRDSSQASTHGTWNPCPHRGSTRTFSPSANSPRQMAHTSSSPLPPPYTSTGMLRSARFLIPRAQDAPPDADAEDAPSPPAGVPAPRPPHRSAHRASELRPTANSSAKKSAARMITMLVSKLASPGPGPGAAAPPSPPPVAFEAAALPADAAGFLTTGDASESRRGE >Dexi4B01G0023510.1:cds pep primary_assembly:Fonio_CM05836:4B:24835812:24836923:1 gene:Dexi4B01G0023510 transcript:Dexi4B01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWMTMHPYALRLFLATLAVTLAAAAGVHGLAADEGTTSINKFWEQALPGSPMPEAIARLVEKGIHQSPLDPNTPVSIVESLGRWQCYLMYTWFCPPVTTAVPAGVFFRQGQARVGHAVEAHLPAGRALPGLLSREAEARAPPFGDLAAVLSRTHGLRGCATSLEATVDAATRMLTGDEGVLMPTRNVWATASALPIDGLPRQLYEVAAVDTLDGDRHVVCHAYPYPYTVYRCHMTSSTRAFVLKLRHRGAGGPEVAAVAAICHLDTSDWTPTHPVFKALDTKPGGAPVCHFIPYGHLVFGNNRQQAAQGSTTTTLLMKRSIDDLLQTAAGLVV >Dexi2A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:2A:2943421:2943903:1 gene:Dexi2A01G0003340 transcript:Dexi2A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKPAAQASPALESSAPAAAGQEEAPREPGPEAAAPGAAAVEAENVEGEVEEEEEEGECGLCLFMKAGGCKDAFMAWQECADAAPKEGTDMAERCKEVSDNLRKCMEAHADFYAPVLRAEKALKERAAADAAKGEPASDAEEKEEACPRKKQLPRRFE >Dexi6B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:6B:15460613:15466213:-1 gene:Dexi6B01G0009780 transcript:Dexi6B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPVSSIGPTQSNPVANEQMGPGGGDMVPSNGGNDNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMSVPGLTIYHVKSHLQKYRLAKYIPDPSTDGRRPLSSCFIAKLKRKIQGICWQDLKVPREYQCLCISEALKLQMEVQKRLHEQLEVQRQMQLRIEAQGKYLQKIIEEQQRITVAGTPRAASSEQLPDS >Dexi7A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:7A:12072449:12076055:1 gene:Dexi7A01G0003100 transcript:Dexi7A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIHADNDPEAAVLRLGYVKPEVISWEPRIIVFHNFLSSEECDYLMAIARPRLQISTVVDVATGKCHCLYDPQGVKSDVRTSSGMFVNSEERKSPVIQMAPSAPPAPQLLPPLPVPTTTPRRRPPRHRRPPKSLPPPSPQLQHPSTLRLAEGKRCVGLMAAQPATTRHRQPLTGTSYSALHRRARPLRRRPLHHLLQPDQSTTGWGVGSVPAAIRRDAAAGQSLSMAFPPAAAMTGPQDEGAAPHVGVATHPLYIVVGRA >Dexi9B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:9B:3382143:3384622:-1 gene:Dexi9B01G0005750 transcript:Dexi9B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASSRCAGCQGSGYKVQIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTESLCGFQFVLTHLDNRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPEQCKALEAVLPPKPASQYTDMELDECEETMPYDVNIEEEMRRRQQQHQEAYDEDEDMPGGAQRVQCAQQ >Dexi5B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:5B:1620974:1622545:-1 gene:Dexi5B01G0002530 transcript:Dexi5B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAGKGGSNNGGSKKPALLLGRYEVGKLLGQGNFAKVYHARNVLTGDEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMELVRGGELFARVAQGRLREDDARRYFQQLVSSVAFCHARGVYHRDIKPENLLVDDAGDLKVSDFGLSAVADQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLYVLMAGYLPFQDRNLVGMYRKIHRGEFRCPKWFSPELKHLLRRVLDTNPQRRATADEIMDDAWFKIGFRRFSFRIEDDRSFTCFDLDDDVDSVVSDAPPPDQPETPRVDASKQQPAIRKATGGGLTSCGSAPSLLQLEARSGGLGGSSRRRSSLNAFDIISFSRGFDLSGLFEEDDGGEAAAGSGGTEPEQRRRHSTAAARFVSSSAAAEEIVAALEGAASAAGLVVRELDDGSISMEGTREGEHGALVVVAEIYELTPELVVVEVRRKSGGAAEYEEFFRAKVKPSLRDLVSDDPTLLQSDERSRSL >Dexi9A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:9A:13805705:13813474:1 gene:Dexi9A01G0018770 transcript:Dexi9A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKNRGRAGEKEFRINPRVPCCGRRGAAEGANNPPPPPPHEGMASTPEPEDGRELYALLHLSPDASDEEIRRAYRQYAQIYHPDKYQDPQMKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLSKPEEIKEQLERLRRHKEEEKLFAHARPNGSIVANFSVPQYLDGDGIMKGMGMSSEVELPLSKQNTLVVGGNLVVNGSAGTGAASTVLRHQLSSVSSIDFMATAGLRSLIGMQTSRLLLANDFPTPYNGFGTTENGREWWGKFGTNFFGASANYIHRFSSKSHARVAGRVGRYLSTNLDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDLNALFVTSAFAIPSALYFLLQTCVVKPYHLKREKQKTLEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENNGLVITKAMYGNRKIIKESAEFDEINDDVDSQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >Dexi3B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:3B:14111702:14112856:-1 gene:Dexi3B01G0019030 transcript:Dexi3B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTRPRPRRLLLLCLCVAVALLAAASRGVAARHAPRHTHTRRLRPGNKGSPAAAAKPYPANATRMEAIERQFTRWVRFMGAPGHGSSYNRALSRALLPTRTLVVDKAPGAGDFTSIQAAVDSLPLINLARVVIKVNAGTYTEKVNISPMRAFVTVEGAGADKTVVQWGDTADTPGSWGRPMGTFGSATFAVNSMFFVAKNITFKYDEPDILAFPFGGQNTAPVPKPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEVQYMRYALL >Dexi3A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:3A:3280434:3284880:1 gene:Dexi3A01G0005070 transcript:Dexi3A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIERLIRDNMDLFMSEQVRVHVTGDTSRRPASLQDAAREAEEMTRNHSRYHFILATCYSGRWDIVQACRELATKVQDNQLRPEDIDESMLAGHLATNVLGEFACPDLLIRTSGELRLSNFLLWQCAYSELYFTSTMWPDFGEDDDDLLQAEELVKNGLRLELMPQHVAFVMDGNRRWAQARGLTTPEGHEAGGQALRKILELSAAWGIRAITAFAFSLENFRRSQARHTLLVAFNFFISCFESACMQEEVDCVMELIDRLLRDNMDVFMRNGVRVYVTGDPSRLPPSVQDAARQAQEMTRNHSRYKFILATCYSGRWDIVQACRELATKVQDNQLRPEDIDESMLAGHLATNVLGEFACPDLLIRTSGELRLSNFLLWQSAYSELYFTDTLWPDFGEDEYIQALKAFQSRERRFGQRKM >Dexi6A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:6A:20635042:20636371:1 gene:Dexi6A01G0013480 transcript:Dexi6A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEHRPTSLPPPRLRVLDTTLVPPSPPGFPPPESSLPLTFYDAFWLHHPPATSSTASPRTPTSTATPSSSTSRIPCLVSSMLSTLSLAASGSPPARPTATSFTTSPATASPSPSPSTTAAAQTTSSSTSSPPTTHGTMGGHRYCPDRAVLSIEATLLPGLRRSLAFGVAVHHAAVDGSASTHFLHSWPAAVACTHTNSSLPPVIDRSLLPGALFHFQATPRTATTFRKVKLEMPAGQLLATFTLTRDDIHRVKDAVTTEDARHRHEATSTTTPVASPPDSNGDFRGICTLALLRRPPFAATMRRPSARRGSPCNDAVAAAIDEAVRGVRTGSMGAWMDRLRVVAAGAMSMRIVAGSPRFPRLRDGHGVRPAGESGHRVRGEDRRAGAGGEPARRRLDGMGRFRICFADAIAGLHERAELT >DexiUA01G0004990.1:cds pep primary_assembly:Fonio_CM05836:UA:9108589:9108804:1 gene:DexiUA01G0004990 transcript:DexiUA01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAAASVAPCCLAPPAQFHDAARCLRYSTPPRATAQHHAGSHRDAEEEVKAAGGGRWERGWPWRREALA >Dexi7B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:7B:6805301:6806918:1 gene:Dexi7B01G0003010 transcript:Dexi7B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLAFICIFLPTRYYYKIGHMMPNGNVTWGKFSSFRAPPYPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLNNIDIVFHIGDISYANGYISQWDQFTQQVEGITSRVPYMIASGNHERDWPDSESFFNGTDSGGECGVLAETMYSTDYGMFRFCVADSEHDWREGTEQYKFIENCLATVDRKKQPWLIFIAHRVLGYSSGFFYGIDGSFAEATSRQSLQKLWQKYRVDMAFYGHVHNYERTCPVYEEKCTSSEKSHYSGTMNGTIHVVVGGGGSHLSNFTTEVPAWSLYREMDYGFVKLTAFNYSSLLYEYKRSSDGKVYDSFTLHREYRDVLACVKDSCAPTIPAT >Dexi4A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:4A:21029872:21030572:-1 gene:Dexi4A01G0017310 transcript:Dexi4A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRNHRPRARAKENDSSPRKPSQTQRKSVVNPSKTLSPPTTRNVEKGVSLLPVPRNPQPHPPSIPRRDRQNARRTGGPAGRARMGVGRKWRRGCSPLTLAAAVAAAAETRVLACASCSVRSLARGSGFDGASGAAGCFWLGVLALGVPRRVPAVVTAADRERGGDGWSGAGRGGAGRSQRKQSSE >Dexi3A01G0028320.1:cds pep primary_assembly:Fonio_CM05836:3A:28874738:28885069:-1 gene:Dexi3A01G0028320 transcript:Dexi3A01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGVALLMLSWAAAVLAAAASLNTSPVPFQAGYMPLFGGNNLVRSPDGRSVRLKLDARTGSGFVSKSAYHHGYFSASIKLPDDHTAGVVVAFYLSNGDVYPHNHDEVDFELLGNRHGHEWRVQTNVYGNGSTSRGREERYLLPFDPTLRRHAYAISWTPTAIVFYIDKKPIREVVRVPSMGGDFPSKPMSVYATIWDGSAWATDGGRYKVDYAHAPFAAEFSELVLGGCPVGHGKLVGGGGRRSSEGVGCDVAVMTAEFAVMTPAKRAAMRRFRRRNILYTCDDADGTEREDFHKWGESKRASPSRRGYKQQQERRVDVEDAAGGRPSTWPVGSLRAD >Dexi4A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:4A:4094018:4094690:1 gene:Dexi4A01G0005700 transcript:Dexi4A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVGLAEVYFVGKLDAKATTYARFNYYPRCPKPEHVFGLKPHSDASMVTIVSVDDTVSGLQVQGDDGVWYDVPIVPGALLVNVGDAVEVLSNGFFKSPVHRVMTNQERERVSVAMFYRLDPEMEIEPAAELVDERQPKRYGKTKSKDYTTRLFETFARGWGHEPMEPSSTRSGGPES >Dexi5A01G0039250.1:cds pep primary_assembly:Fonio_CM05836:5A:39980834:39983748:1 gene:Dexi5A01G0039250 transcript:Dexi5A01G0039250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASAQLGWSSLPVDLVNRVADCLLATNDLDYYMDLRAVCHGWRSSTADPKNSHDDPRYRPDRWIMLHPQVLNEDPFVYLNSMGYPKMDTPTADDLVLVNNFEDTFGDIYGGGVWSELSETVASNISQNVVSLASFYGEKRIFACTGFFIEWNGCVTILTSASLVSDFVVDKKIAENLRIEVLLSNEEHRVGALQHYNLHYNVALVNVKDFCAPHPANIQQQKHNRSDTLAAIGRCFESGILMAARGRPISDLGRFDCKLLQYTTCTITEVNISLSLSLSHTHTHTHSVYCCIWLTNEHSMSPD >Dexi8B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:8B:26048275:26049235:1 gene:Dexi8B01G0015230 transcript:Dexi8B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENTSGRQVTYSKRRSGILKKAKELSILCDIDLMLLMFSPTEKPTICVGDKRP >Dexi7B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:7B:2575614:2577843:1 gene:Dexi7B01G0001530 transcript:Dexi7B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITTVISIERLAQHLVVPAEPTPRGTISLSWLDRYPTLMVLIESLHVFKPVLDKVTTSPARTIDKALAKALVHYYPLAGRLTFSDVGKAAVNCNNAGVWFTEAAATTCSLEEVNYLEHPLMIPKEELLPPTPPQEKERELILLVQVTVFSCGGFVIGYRTSHAVADGTGAAQFMTAIGQLARGAEAISIIEPQCELMPIPSSSLASVVTTGLPDPSCAKHLEYLAVDISADQIGRLKNQYTMAHGGARCSAFDVVVAKAWQSRTRAVGFDADTIVHLCFAMNTRALLRDMLPRGGAGFYGNSYYIMRVSATAGMEGKRRMPAEFARWVAGEMPVDVDPYRITANYRTLLVSDWTRLGFAELDYGWGPPRSWEFK >Dexi3A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:3A:9153794:9158080:-1 gene:Dexi3A01G0012600 transcript:Dexi3A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRNFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKGIAEGNLNTAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIALSWKPDAQNSDTQQTVVFPKGNVIPSSKALTFYRASTFAVDVVNVDANDAQVEPKISTYTVGPFQSSNGEKAKLKVKARLNIHGIVSIESATMLEEEEVEVPVSATNEAQKEATKMDTDDTPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKSVPMDTDVKAEPSKKKVKKTNVPVSELVYGALGAADLNKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTPEDKEGLIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKIGDPIEARFKEWEIRDSAVSQLVYCINSFREAALSNDQKFEHIDISEKQKVINECSEAEAWLRAKKAQQDVLPKHANPVLLAADIKKKAETVDRLCKPIMTKPKPAPKPQTPPPTETQAPEPQTPEQQQSNGENSAGEPTGDQAAEEPAAEQMETDKPEGAADATS >DexiUA01G0007660.1:cds pep primary_assembly:Fonio_CM05836:UA:14335425:14336984:1 gene:DexiUA01G0007660 transcript:DexiUA01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQPWWAALLLPFLLAGLGPSAAASLPTDACRVPTIVESVLGTPDMCSTLDRLLGDPVGVIEGDEVTLAKAVNLLHMNKDDYVAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYTDVSGINASVKSTAGEAMVHSLDDIEHRKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYLLFPKIDSFARWAWRRHNLFANLTGAHEYFLSYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGEPSAMGRTNSSSELR >Dexi8B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:8B:3560683:3563162:-1 gene:Dexi8B01G0004080 transcript:Dexi8B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKGEWGTTRQAAGPKPVTVSMVVHASAYADELVQTAKTISSPGRGIIAMDESNATIGKRLASIGLENTEANRQAYRTVLVRTPGLGQYISGAILFEETLYQSTVDGEKIVDVLVDQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQAGARFAKWRTVVSIPTGPSELAVKEAAWGLARYAAISQENGLVPIVEPEILLDGDHGIKRTFEVAQKVWAETFYYLAQNNVLFEGILLKPSMVTPGADCKEKATPEQIAHYTLKLLHRRVPPAVPGILFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSCLKTWAGRPENVKAAQNALLLRAKANSLAQLGKYSSDGEDAAAREGMYVKNYSY >Dexi1B01G0024800.1:cds pep primary_assembly:Fonio_CM05836:1B:29984586:29988140:1 gene:Dexi1B01G0024800 transcript:Dexi1B01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGDQAVGMAGSSAPSGRPPLFATEKPARVAAYAYRLFAGTVLAGLFLICLYRAMHLPPRSSSSARWWAWLGLSAAELWFGFYWVLTLSVRLSPVYRRVFPDRLTRRYKEEELPGVDIFVCTADPTLEPPMLVISTVLSVMAYDYPAEKLNIYLSDDAGSVITLYALYEASEFAKHWIPFCKKYKVEPRSPAAYFARADSPPDICGPKEWSTLKEMHKGLTDRVNSVANSGKIPEASEYKVMGFTQWNEDATYKDHPSIVQVLIDGNKRKTADIDGNALPTLAYIAREKKLQEDHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSGSIRDALCFFLDEEQGQDIAFVQYPQNFENVVHNDIYGNPINTVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSEDYKEDWSKAVRKTEVDELEGTARSLATCTYEHNTLWGIEKGVRYGCPLEDVITGLQIQCLGWRSVYYNPARKGFLGMAPTSLGQILVQHKRWTEGFLQISLSKYSPFLLGYRKIKLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFLNGISLFPQMTSPWFVPFAYVVVAAYSCSLVESLRCGDTAVEWWNSQRMWLFRRISSYLLAAIDTIRRMLGISESGFALTAKVTDSEASERYKKGMMEFGSFSLMFVIMATVALLNLTCMLFGVARVFLHEGATGLEAFFLQVVLCVLLVAINFPVYEALFLRKDSGRLPASVSLVSFCIVLPLCILPTNIQI >Dexi9A01G0039620.1:cds pep primary_assembly:Fonio_CM05836:9A:43510351:43512528:1 gene:Dexi9A01G0039620 transcript:Dexi9A01G0039620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLDMTLDDMIKNNKKSNPASGGGRRSRGRSGSGGGGGSGGVGPTRRSFKRSGNRQAPYQPPKAPDSAWQHDMYPAVAAGGGSGGRVSAIETGTKLFISNLDFGVSNDDIKELFSELGDLKRSSINYDRSGRSKGTAEVVFARRADAVAAVKKYNNVQLDGKPMKIEIVGTNTPTAAAALPVTNGGQSRNVVKSAPRGGPSGGMPQRRPHQRGGGRRGGGSGGRRGKERSKPRSAEELDADLEKYHADAMQTN >Dexi5A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:5A:23939992:23944482:1 gene:Dexi5A01G0020080 transcript:Dexi5A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGIAGSPESSDTHSSPHPPSSPELHPTQENPPLTTVAPSEMANPDHATSVPPPPAEESTVEHSEADQLYPTPIDEVEPPLSHPPQQAAPSASSAFEASPTEKLAAPAPHPEEAALEVSHEVTASAPSPSSSPSPQIVGDSLQDAPQPSPLPCTPPATPAHTCTDSSSIEEIAMAYPYQEEAVRSSPMPESMDAYSRTPTAPLMASMESRPEGLLPQQQQQQPCPPSPEMAFTPCGSLEPVQTLPLQPCHPVECTDASPDATVDEVVEGKLEIAVSSLPVPEAGDGHKDITPLVLPALDIGSEEMLSQQQRQPPCPEMVPGRGENSKYAHPPQPPPLPESTRGWSNALTNEVSAVASEEATVALQFGAERSSQEPVQTPMTSRMEPEPCSPETAPPGFEDFKSQWMPLPPPIPPAESARNVVRVAASSPAGVMCDVATESLPALEAMGVEMDTPPGLLSPLKSGAEGRSQQPLLRSCSPLMEAAPCSPDMPPPGFENCKSSWLPLPTIPPVAETTYALLDVASANTVAFMEKASFVSTLESTDVETDTEQCRLSPLESGTASSLQGPLPRSPSPKMQSAPCSPDTSPPGFKKAIYVTSKEAPQSSSLEAPPSGSETGKSLPLEHTLVPSHVAEHTVCALGMVPSGSENVESSQLPQLPAVDLTLDETPDALVDAGTKTVTTEDACHPKPVTGGKEEANGSMLRPALENDGEDPLPQLEQYASPDIAPTSAEIAPTSFENSESSPQTSPCLAETDPSAQASATMLEIVKSDKTSLPRSPLQATGTDMESATLQHSPLKNEESSLAQSEQHPSSTCSCSPEVAPPGFKNLESSEQLPPPPPLSAKFAGDFTEIGQMVCGYCRQLLAYPKGSVHVQCFGCGTINLVLEEHEVGKVYCGKCETLLMYPFGAPAVKCSYCCFVTEVGVSELLLLT >Dexi9A01G0022330.1:cds pep primary_assembly:Fonio_CM05836:9A:17533831:17535217:-1 gene:Dexi9A01G0022330 transcript:Dexi9A01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKAAAKDGAAAGGEKKKDAAAAGPQPTVLKVDLHCAGCASKVRKAIKHAPGVETVSTDMAAGKVVVTGPADATELKERIEARAKKPVQIVSAGSGPPKKDKEKDKKADAGGDKKADKEKEKGGGDKKADKEKGGGGDKKGEKDKGADKPKEEKKSKEPKEETVTLKIRLHCEGCVDRIKRRISKIKGVKDVAIDAGKDLVKVTGTMDAAALPGYLRDKLSRPVEVVAPGKKDGGGDKKDKGGDGGDKKKDGGGGEEKKDKSAAAAASVAPMPMADAGMYQMPPHYGGYAPYPPAPGGYYGAAPPAPHPASFYPNAGLQYPPPPPAAYPYGAAHLHAPEMFSDENPNACSVM >Dexi8B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:8B:23576171:23577195:-1 gene:Dexi8B01G0013410 transcript:Dexi8B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSYYQTAPPVNSQVQRQEHVFHLYAHQKYGNNEHSILLPMPGLPNHLGCTNVIDWDICDDAPADTRANVVARLQGVLISAAKSGEEMWYSSANLVFTDQRFKGSTLSVQGPLGPPNHGDKGDWAVVGGTGEFVYAQGICSYKRTQDTSGGGIINELHIRVACITFPKPVQVQKIGPPCGGNGGNAYEIQDGELPQHLESLSIYAKDFIQSIAFSYTDQTGQKRTVGPWGGDDGKSKYPVR >Dexi5B01G0030020.1:cds pep primary_assembly:Fonio_CM05836:5B:31067238:31069565:1 gene:Dexi5B01G0030020 transcript:Dexi5B01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAPEAARPLKKSLQKSLSMPASFDNNVAATATTTCAPPRPAPENTRAASLLPPATPASVTARATKVAAEKTRSKATRKPGAVLPVVTFAALEAFEPAAAGSIAAAQREHVAQAQAQRKMRIAHYGRTASFSRVEGRVGATATAAAGDSVPASPTGQDEKRCSFITPYSDPLYVAYHDEEWGVPVHNDELLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFDVDAVAKYTEKQMASLSADFGLDLGTVRGIVNNACRILEVRRDFGSLDKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGLRFVGPTVIHSFMQAVGLTNDHLVSCPRHRACSSAAAAGGRVN >Dexi4B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:4B:1362610:1367186:-1 gene:Dexi4B01G0002160 transcript:Dexi4B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAVDAPGVRPDAAGALIFQLLTPLSAVTDPKKTLHLRALDGAEDRLHFFQASLLEEGSFDAAVDGCDTVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIRKVIVTSSNAAVAYNEKPKTPEVIVDETWFSDPQFCEKNQVWSSSTYPNVSSGWVNVKDVALAHILAYEVPSANGRYCMVERVVHYSELVNIIRKMYPTIPFPAKCADDKPFASTYQVSKEKIRSLGIELVPLETSIKETIESLKEKGFVSFD >Dexi2A01G0028290.1:cds pep primary_assembly:Fonio_CM05836:2A:39542606:39544109:-1 gene:Dexi2A01G0028290 transcript:Dexi2A01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALPMLFHLITRAKNNPRRGGTTNKLPPGSLGLPVIGQSLGLLRAMRANTADRWIQARVSRYGPVSKLSLFGAPTVLLTGPAANRFVFFSGALGDAAATRILGERSILDIMGADHKRIRGALAEFLKPDMLRLYVGRIDGEVRHHLDGSWDGRRSVTVLPLMKRLTFDIIASLLFGLRRGAARDALAGDFAQLMEGMWAVPVDLPFTAFRRSLRASARARRVIAGIARETKGKLERGEASRSSDLIACLLSLADESGAPLLSEEEIVDNSMVALVAGHDTSSILMTFMVRQLANDPDTLAAMVQEHDEIAKSKGAGEALAWEDLAKMKFTWRVALETLRLVPPIFGNFRRAVQDIEFDGFLIPKGWQVFWVSSVTHMDASIFHEPAKFDPSRFKDGSPATAPPCSFVAFGGGPRICVGMEFARIETLVTMHYLVRWFRWKLCCKEDTFARDPMPSPLHGLPIQL >Dexi2B01G0023910.1:cds pep primary_assembly:Fonio_CM05836:2B:33374418:33377394:-1 gene:Dexi2B01G0023910 transcript:Dexi2B01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSPITYATILLLVPLPPVASDDKLVLGKPLLPGTTIVSYGNGGFTLGFFSLLYLGIWYNAIPSLTAVWIANRVSPATTNSTTSTPSLTLTDTSNLVLSDADNLISSPASTGTAAVLLDTGNLVIRSPNGTALWQSFEHPTDTFLSGMKIRVRYSLLTREAPTTPRRGYLTITGQFRVNPSSVIIYTQMVHTEEEMYMTYELSDAAALTTFILTYSAEYHGPPTSATFTANVACMATVIARVSTTLSRQAWKSRTVAWFSQGCRRKEAALRCGDGFPIRKPNPVLPFLPVEQPIFLSRPSPQSPLPFPLPESGRAPSRLLSLTAMWARSQDSARVRPLHDTPPPPSLAYSALFNAPPFSHRLSNPRRAPLRRRNRARAPPPSPPPRACFGVYQEGEQPAEPLIPLSLALCCACDLAVNPEPPSIAANRNHRLSLVPRFPLSPPGQARRETEHSSLFPSQLRRNSAAESSGAAASRSSPASRSAQKKRSRPMEIRRPILDLNPSHTSRAFCRKAPRF >DexiUA01G0018100.1:cds pep primary_assembly:Fonio_CM05836:UA:38653300:38653516:-1 gene:DexiUA01G0018100 transcript:DexiUA01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVAESFESSWCERGAGKGEEAAVQHGGFISVVGTWEKTTWGTVGPEEEPGPGPRLRQR >DexiUA01G0024300.1:cds pep primary_assembly:Fonio_CM05836:UA:50278385:50287199:1 gene:DexiUA01G0024300 transcript:DexiUA01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETKRLRTLLTPPAAAVADNAAVVVALVLGNIDILREILLLLDAPSHLVRAALVSKDWLRAAADPSFLRRFRARSPPRLLGFLASRFYSGGKGPAMKGSKGAELADGLVIKEQDYKLVKTRFSSFFATNLDSVLKTSGIKNLVVVGVQTPNCIRQTVFDAVALDYEKVTVIVDATAAANQEIHLE >Dexi4A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:4A:4034670:4038452:-1 gene:Dexi4A01G0005560 transcript:Dexi4A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVSMAAHGPPPKTLLLPPKPPATTSHSSPPRPGNGAPPRPHPPGTPPPAPVLLLLLLAPPPSSTPTCRPPPSPLADXXXXLLLLLAPPPSSTPTCRPPPSPLADIRDLLSSKTSASAPFSSRLPRPSSEPVSADRPARSAFFADFRDRLGSSASASASSPRNDDLRHKLRHFPRPPPSTPTTPNPSAPSSPGPSFIDLFATTPHAPNRHSPSATSLDYATLRDSLSKSGPAAGGLPFPGARKFDLKTSLSQLQGRREYSYDELGKRLGDLRPAGAGKDGKEWFSLEELSARVGRLREMEKEERERATLPGKSTEKLRAMLLEHSVQTQDQKKTGGVLSVAALMGFGGQTVQGKPQEELMERYFHPDHMSSAEKMKLELQRVRDEFKMSENDCGSARVQELEEKVTLGDIFEVFMDYIATELHLCFTVAQLTTKIKHLSATLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLKSLGLRDVPEYKAPDYKSKSNTKSKTKKKSKRKRKA >Dexi5A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:5A:8131191:8131769:1 gene:Dexi5A01G0010810 transcript:Dexi5A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVHITCALLLIGVVLLGQGQEGIVGAVACPQYCLEVDYVTCPSSGAEKLPARCNCCMTPKNCTLHLSDGTQMAC >Dexi8A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:8A:3923250:3925093:1 gene:Dexi8A01G0004500 transcript:Dexi8A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFFGFLVPFVASLLLTKRKSEKKRGVPVDVGGEPGYAIRNHGFEKPIETYWEGISTLAELFEQSCERFAYMPLYGTRKLIAREMEVAADGRSFEKLHLGNYEWKSYADAFKTVCNFSSGLLRVGHLKDERVAIFADTRAEWQIALQGVMMSHRNVLAVVSAVTTIVPNLGKKDVYLAYLPLAHILELAAEDTDHL >Dexi1B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:1B:26761729:26762666:-1 gene:Dexi1B01G0020670 transcript:Dexi1B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGRGEISGRCGASSGRRQPWKPFPRGTGRRGFGSSLLALDKKTYELAGENTPGNAPGSYKEPAIGWMTGFLLAISFVGLLNLLPLRKKSQKPII >Dexi6B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:6B:5135463:5136440:1 gene:Dexi6B01G0005650 transcript:Dexi6B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSSTSTPDDEWEWRSTWPQRAWTLAGSAAILSSLFTCARLVVAASGEGLLAAAMAAFAGYSLADLATGIVAFRDHHLRPSAITRLEPCNSLHVLAAAVAVALPVAGAALSSAAAHAFACAFAACAMLSVQFHAWAHERPSRLPRGVAALQAAGVLVSRSQHAGHHRPPHSDNYCTVSGMWNPVMDGCKVFHAMETKL >Dexi2A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:2A:33661308:33663473:-1 gene:Dexi2A01G0021570 transcript:Dexi2A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALLVLLAWQLIWGAAAAGFGLAGCNTTCGDGAGPPRCHYPATGFNLTCEPASHHGSPPRLLLGDGARLLQVAEISLRNSTVRVVINSNGAFVNTSSAARDTPFVLAPGKANELVVTGCGVQATLRGDDDVGLVSSCSSSCSDDGSDVAATTTTSYYPAPAGRSDKYCSGVGCCQAPIPVGRTSFHVRLRRLLFDGDGGARSAVRDKRGHVFVAEAGWFDQESVGPEILRHEHDDTAVAVPVVLAWAIWPSGAEREKRAAATVNATVGRYDINECDAEWMKQACHGYCINTWGSYECVSATVSIIRKIKLRRAKRLKQKFFVQNRGQLLQQLMSLRADIAERMIIPLEELEKATNNFDLARVLGGGGHGMVYKGILSDLHVVAIKKPKNGIQREIDEFINEVAILSQINHRNVVRLFGCCLETQVPLLVYEFISNVPRFMIIYTRKI >Dexi5A01G0033650.1:cds pep primary_assembly:Fonio_CM05836:5A:35957017:35961251:1 gene:Dexi5A01G0033650 transcript:Dexi5A01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIQRGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDELADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQQIMQVQYSIPDYVHISTECKQLMARIFVANPMRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNKVPSYSDQTSEEIMKIVQDARTMPKSSRSGYGWSDECSDEEEEKEEEHRPEEEEEDEYDRRVKEVHASVELRMGALNM >Dexi3A01G0027990.1:cds pep primary_assembly:Fonio_CM05836:3A:28160246:28164323:1 gene:Dexi3A01G0027990 transcript:Dexi3A01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFPLNGTYFQVNEVNIRSICNDFFAKTVEELLLAIRFISTTNVIMQVFADHYSSQNPIDVPRSWIWDLPRRTVYFGTSVPTIFRGLTATVQGFVCVRGFDRTVRAPRPLYARLHFPASKVVSGKKPGAAREEE >Dexi5B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:5B:19301830:19302854:1 gene:Dexi5B01G0017510 transcript:Dexi5B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPRGIPVIEYRLHGGLGAYRKLMRRRWRRAKNIASLLVGRYWVPVTPLVNPVTGRPSYHIIRLIDDWKPDFCVDLLFSDTNAYLVAFRRQHLSGDGKWCEGKWFHYSDHNIIRDLPPEVKEYCVNLGFDASHTDGSFTSPANIEVMHDIFVVLSSFEDCPRDPKTKKLKDVSDRDLVEAALLKAIVIFAEALRFRSIYMAMLERTSAGDAIKVDGESWNLLHNWHQGSVEVLDLWRTGLPPMHTEAPVWFDKISVMKKGSNDPIKLSTLEHIIGAQGELMLINCDSSKIADMSLIKRMVAHLKTNVCSPIPEPGFHY >Dexi9B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:9B:3283223:3289019:1 gene:Dexi9B01G0005570 transcript:Dexi9B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGKAVVLAVNGRRYEAAGVEPSMTLLEFLRTQKPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTRDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKKSGLPEPPAGFSKLTASEAERAVSGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWKKGEPADVSKLPAYNRGAVNTFPDFLKSEIKSCEQVNGALVAVSDDGWYHPKSIEEVNRLFDSDWFDEKSVKIVASNTGSGVYKDQDLYDKYIDIKGIPELSIVNISSGGIELGSVVSISKAIEVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMSQRLAFASDIATVLLAASSTVTIQMASKRLCLTLEEFLQQPPCDSKTLLLSIFIPDWGSDGVTFETFRAAPRPFGNAVSYTNSAFLVKTSGDAASREHIIEDICLAFGAFGVEQAVRARKVEDFLKGRALTSYVILKAVQLLKEFVSPSEGTTHPEYRISLAVSFLFSFLSPLVKVDTPSALYTNDNSKYLLEDHIKVDINDLPIRSRQEMVFNDEYKPVGKPIKKDGVELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHVKSINFKSSLASQKVITVITAKDIPNDGKNVGSCFMMLEDEALFGDPVAEFAGQNIGVVIAETQRYAYMAAKQAVVEYNTENLLPPILTIEDAIQHNSYFQTPPIFVHKPVGDYNQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNSMTIYSSSQSPELTQYMVARCLGIPFHNVRVITRRVGGGFGGKGMKSTHVACACAVAAFKLRRPVKMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLGINAGISPDVSPLLPWAIIGALKKYNWGAFEFDTKVCKTNVSSKSAVRAPGDVQGSFIAEAIIEHVASSLSVDTNTVRRKNLHDFKSLAVFYGKSAAVRQSCATLVERLKPIKDSLEANGSTLEWDALIAQASMANVSLSVQAYWTPDVTFISYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQIEGSFVQGVGFFTNEEYTTNSDGLVIHDGTWTYKIPTVDNIPKQFNVEMFNSAPDKKRVLSSKASGEPPLVLAASVHCAMREAIRAARKEFSVCTGPANSTATFQMDVPATMPVVKELCGLDVIERYLESVSVAGPNTVKA >Dexi2B01G0034060.1:cds pep primary_assembly:Fonio_CM05836:2B:41368737:41372653:1 gene:Dexi2B01G0034060 transcript:Dexi2B01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTTLGDHEHHVHMAPADTGDTPEKKLNGFVRAVALIERAGNALGTLAFTWATVVLLGGYPTVLRQQNDFWFATAIVFLEASRMFSRNNRLDYQLFFDTKGAFKPLGWTGLTVAVAFTSVFVFLDVDYNEWIWRLIDKMPGMPVLVLALAVIALGRLLSSGLARLFRYNPLRRAISLWTPFVPILFMGLSIYYEKHFGSMAMLVPFLLLLVVALVLTISRMQFPGIIKLVHHALGRKQVFWHPVLLNACTIATGVMVVFMMGNSDDYDEPDDYYLEKVLEIILVADGPELEIFIGLGSQISKIIPGDFTRELEDGHIKDRFVKRLIDTLNANMEPCCQCPGIRRVVLEQAITMMEHDSRYTICFIDRRMEDALSMVEETASEAENYGLFLGDVGLMEAAEPLSSLVAKAKQLLDNRRS >Dexi7B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:7B:16198636:16200109:-1 gene:Dexi7B01G0008380 transcript:Dexi7B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDNGAGKDAKTIPLLTPYKMGRFQLSHRVVLAPLTRCRSYGYVPQPHAAVYYAQRATKGGLLIAEATGVSPSAQGYPDTPGIWSREQVEAWKPIVDAVHAKGAPNGQAPVSSTDRPVAPKQSEYSDSVLTYPAPRRLATEEIPAIVDDFRLAARNAIEAGFDGVEIHAAHGYLIDQFLKDGVNDRTDAYGGSLANRCRFALEVVGAVSREVGPERVGVRVSPYTDHMDATDSDPGALGVHVARALGGGTGVLYLHAVEPRMVHPYERGETRGHSLRPMREAFGKGTFVVAGGYGREDGSRVVADGYADLVAYGRVFLANPDLPRRFELDAPLNKYDRKTFYTPDPVVGYTDYPFLDDDDELPK >DexiUA01G0016820.1:cds pep primary_assembly:Fonio_CM05836:UA:35995054:35995569:-1 gene:DexiUA01G0016820 transcript:DexiUA01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLDHTFTPGHRQAWEQQPWVCSCAGCSSSPALAWLRQPVLLAGREPRMPHLDRTFSPGRRQAATSARGPGRQPVAAVCRPQLSRAAREPVAQRRKDSRERREGEKRSSSTREEQEQLAGASRGGEQAHAAGAARNRREPGTGGPGRRVAGEDAGEIGTESTRNPPTIY >Dexi6A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:6A:16279368:16280072:1 gene:Dexi6A01G0011440 transcript:Dexi6A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHSYEGQSYMVVGAAALKPGGAPFVVVDLMKPEQGAGSSSSLAFTAGSCSTVGVGGHVCGGVFGLLSRKFMLRRRQRVLAAEVLDVEGTVPGSLTGAPWARTCSGLVGDPWRWRGQLGRRLRLETPPSPCFRHRYGVHAEKGRLAWWTSSQGSCTGGNSSPWLFPTSSNSLSSSLWAAHKNMRRHRTLDGRSLNKRFPELDLA >Dexi5A01G0023600.1:cds pep primary_assembly:Fonio_CM05836:5A:27555471:27557160:-1 gene:Dexi5A01G0023600 transcript:Dexi5A01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SANPLFSTEDAIEANRFMKGIEAAAGDSIGGMYVTPYTKIYDGNAEKAEFDTFKRAGTMSAALIVKVGETRRYGDRDSVTMVIAEFFHNLGTVLRKINPDWKLDIAVPDYVEGTANLANSIYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFNVRNENAKSLLLLRGGRYVPIRSNFVGLDCIVVGT >Dexi1A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:1A:15072477:15073369:-1 gene:Dexi1A01G0012990 transcript:Dexi1A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQLLSSKRPRTNAAVSPSTPTPGGMDHLSSLPVEMHEEILRRLPLEDAIRTSGLANSWRYRWARCPGLKLVFGEDDSTTAAAVDAFLARYTCNVSHAQIELGDEPHTIDIDGWLRALAAKAIRYLVLRFMPSDSSLLRIMPLAPASLFSCSQLTSLVLERCNIPALPPSFNGFPSLETLQLDVVNFAENGEKTFEALIAKSPLLRSLNCQYPSISGDDDDNGGNYCEWTIRAPNLKLLSFWAWEDYGWRVYDLPQIEEACVHLTGPDLARFLPGMTRVKGLCMVFLFFFFDV >Dexi9B01G0032060.1:cds pep primary_assembly:Fonio_CM05836:9B:34354841:34356896:-1 gene:Dexi9B01G0032060 transcript:Dexi9B01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDEYSSQELLQAQLQLWHQSLGFFKSVALAIAIDLHIPDGVHRLGGAATLPEILAEAGISPCRLRDLRRVMRVLTVSGGIFTVQRQPSHSEDVVYKLTAASHLLVRDNKSSRTMSLLPNVHLMLTACRQCPVSRGMHAWFRQQHHDEGLSPFALANSGKTVWETADRDTVAFPFDDAMASDTAFLMPIVLKECGEVFRGLTSLVDVAGGLGGAAAAVAAALPELRCTVLDRPQVIAKAPSSTNVQYVAGDMVESIPQANAVFLKGLRVRGWGSDGSSIARLREKLGGSRLGGGGGRGDGEAGGGGAAGPGGGGGRLGQGGAGASGTDGFALAATAGGSGSRRSRAEGGGGGGIRHQRVRVGGDGGRRWRWRQPPEPVEVVAAGEFRLEVEDDGKPLDLHPVAANFECQL >Dexi7B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:7B:18969144:18970255:1 gene:Dexi7B01G0012120 transcript:Dexi7B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKSSAGVGAPAYWGLGARPCDACGGEAARLYCRADAAFLCAGCDARAHGHGSSRHARVWLCEVCEHAPAAVTCRADAAALCASCDADIHTANPLARRHERLPVAPFFGALADAPKPFASSAAQPKAAGANDDDGSNEAEAASWLLPEPDHHHGHDHKEGAITTEVFFPDHSDPYLDLDFARSMDDIKAIGVQNGPAELDLSGSKLFYSDHSMNHSVSSSEAAVVPDASAGATPVVPVVSRGVEREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTSQGPGGADGEDTMEEHEEEMYSSAAAAVAALMVPAGGADADYGVVPTY >Dexi3B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:3B:9445597:9447133:-1 gene:Dexi3B01G0013240 transcript:Dexi3B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGASRTVVAVVGIVVATAAVLSSPAAAGGLGKEAMALLAIKAALHDQGRVLRGWDPKSGGHDPCRWSMVHGAAEPLRHAVAGDREAQGYFLQQLEWFPANFSSMECLEVNKE >Dexi5A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:5A:10198484:10199196:1 gene:Dexi5A01G0013590 transcript:Dexi5A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPAVSAPSALAPAPTGTRLGSSTGTRRGALAIRPARALPALRLGLGGRRSAVVVRAAAAEGAVELQAKVTSKCFFDVEVGGEPAGRIVIGLFGEVVPETVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQENNH >Dexi5B01G0029620.1:cds pep primary_assembly:Fonio_CM05836:5B:30812106:30813597:1 gene:Dexi5B01G0029620 transcript:Dexi5B01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKHIVLVHGATLGGWSWFKVATPLRAAGYRVDTPDLAASGVDPRPLREVPTFRDYTGPLLDLLAALPDGDRVVLVGHSLGGLNIALAAELFPDKVAAAVFLCAFMPDCAATRPSHVLEKFVEGKWLDWMDTEMKPQDTEGKLPMSMMFGPRILREKFIQLCSPEDATLTSSLMRVSSLFMEDLAVKQPFTKERYGSVRKVYVVCKEDYAIVEGFQRWMVENNPVDEVKEIVADHMVMLSRPKELVQCLTDITEKYA >Dexi2A01G0026280.1:cds pep primary_assembly:Fonio_CM05836:2A:37790619:37792208:1 gene:Dexi2A01G0026280 transcript:Dexi2A01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFCAAAAACRLSVVAPPAAQAPRPRRGLVAVRAEAGAGGGINPAIRKEEDKVVDTVLAGELAKPLTAYCRCWRSGTFPLCDGAHAKHNKATGDNVGPLLVKK >Dexi3B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:3B:13464812:13468621:1 gene:Dexi3B01G0018150 transcript:Dexi3B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTRYSKREDATKDLTEAVEEGDKDAIEKLSKRTVKVTRQHNDDCKRLLRLMGVPVVEAPSEAEAECAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFDVAKVLEELELTMDQFIDLCILCGCDYCESIKGIGGQTALKLIRQHGSIESILENLNKDRYQIPEDWPYQEARRLFKEPDVTLDIPELKWTAPDEEGLINFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVASTSAPLKRKETSEKTTKAAANKKTKGGGKKK >Dexi7B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:7B:24179651:24181360:-1 gene:Dexi7B01G0018410 transcript:Dexi7B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTRMAGSVLLRHAGSRTFTAAAAARPALLAGADGGGLPAVMLRLMSTSSSSSSSPAAAAAASQAKEAAAKAANKDGGDKKAVVINSYWGIEQSNKLTREDGTEWKWTCFRPWETYTADTSIDLTRHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVIAVQGVFFNAYFLGYLVSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIANVPAPAIAIDYWRLPTNATLKDVVTVVRADEAHHRDVNHFASDIHYQGMQLKESPAPIGYH >Dexi1A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:1A:2477504:2480315:1 gene:Dexi1A01G0003440 transcript:Dexi1A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDLEQRSGDGRSFRHAGRGGRPQGLAAGHTALNQNVPFLPDTIPSTAMQDSLLHNGGSSGINGATSNDQFTYTGKVVHGLPSSMDASSSLLAAQNSTVGQFNGHNGTAIKAEAGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSSELNGQQLGDPILDMDSSSYGFLSQIPRNFSFSDLTEDFSQSAGTFM >Dexi2B01G0025380.1:cds pep primary_assembly:Fonio_CM05836:2B:34636821:34638971:1 gene:Dexi2B01G0025380 transcript:Dexi2B01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWTWQRCLHSGGYDSETWGVASAAEFEPVPRLCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEHTHGHAPTYLLYVDHRHSDVVLAVRGMNMAKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLRDLLEKNPGYTLTFAGHSLGSGVVAMLALVAVHNRERLGGIERKRIRCFAMAPARCMSLNLAVRYADVINAVILQVIFHQLMIFCLAQTFLWKTFSSHSSGNKNTCIPESVMLRDPRRLYAPGRLYHIVERKPFSCGRIPPVVRTAVPVDGRFEHIVLSCNAISSDHAIIWIEREAQRALDLLLENEKTMEAPEVQRMGNDVTISRDHDKEQQAALRRAVALGVADVPSTYGTFDENPTSGADEASPLLSDSGRRKAVWDEWIARIFEKDETGQMVPRR >Dexi7B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:7B:13991817:13993938:1 gene:Dexi7B01G0006300 transcript:Dexi7B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEMTHMFPREGASSSSTSMSSQRSETDDDRMIAMVLSEEYAKLDGAMAKRLTNLTSIPVRCLITFMSFVFLCIFLFFHAYMFPGLTHTSQHIVMPLWTIIAFSIDLVNGVIMSHFKQLQTSLLQRSVF >Dexi2A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:2A:31033377:31037749:1 gene:Dexi2A01G0018910 transcript:Dexi2A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTLLVLAVLAVAATAAAAAEPGFAESNLIRPVTDRAASALESTVLAALGHTRDALRFARYAVRHGKSYESVAEVHRRFRIFSESLELVRSTNRKGLPYRLGINRFADMSWEEFRATRLGAAQNCSATLAGNHRMRAAAALPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCAFGYNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYQGVNGVCHFKSENVGVKVLDSVNITLGAEDELKDAVGLVRPVSVAFEVINGFRLYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDKGYFKMEMGKNMCGVATCASYPVVAA >Dexi5A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:5A:5139927:5141191:1 gene:Dexi5A01G0006890 transcript:Dexi5A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGALKLAPSPPTLAGATPPAATPSRSSVHFHLANAGAAALVAASLLVADPSLAFIGGGPYGKQVTRGQDLTGKDFSGQTLVKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLTKTNLTNANLEGALVTGNTSFKGANITGAGK >Dexi2A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:2A:3101569:3102609:-1 gene:Dexi2A01G0003560 transcript:Dexi2A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSPASGVSGELPPPPHVVEDCLGFIQLFSDGTVKRAPAPLVLLPNDDDTSAVRWKDVIYDETHNLSLRMYVPPFAGDETKLPVLVYFHGGGFCIGSFANPGFHAATLRLAGELPAVVLAADYRLAPEHRLPAALHDAETLFSWLRSQATLVGAGAGDPWLAAAADFANVFVSGDSAGANIAHHLAVRVGSGSLAAAPVRVAGCVLLWPYFGGEKRTASETNCPSDVFLTLPLYDQMWRLALPATASRDHPVANPFGPERPDLGSVELPPVLVAVGGRDMLVDRIRDYVARLNAMGNKSVELAEFEGKGHGFSIFEPDSEAAAELVRVVLRRFVHGGAAATAS >Dexi2A01G0031380.1:cds pep primary_assembly:Fonio_CM05836:2A:42140971:42142615:-1 gene:Dexi2A01G0031380 transcript:Dexi2A01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVPETVEAKKKRNVKYVVTCAVLASTTSIVLGYDVAVMSGASLYIKEEMKITDVQLEMLMGIISLCSPLGSFAAGRTSDWIGRRYTVVFTGGIFFAAALLMGFAINYPMLMVGQFVAGVGGGYALTIAPVYTAEISPASARGLLTSFLELSVNLGVLLGYVSNYAFAHLPLRLGWRIMLGISAAPSILVALMVFSMPESPRWLVMKGRLADARAVLDKTSDTSEEVAERLADIKAAAGIPADLDGDVVPVPGRDRGEEKRVWKELIFSPTPAMRRILLSAILIHFLNQASGIDSVVLYTPRVFMSAGITDSDRLLGATCAVGVVKLLTIFVATFLLDRVGRRPLLLTSTGGMIVSLVGLGVGLTVVGHHPDAKITWAIALCIVSNLAFVSFFSIGLGPIAFVYTSEIFPLRVRALGGAISVATNRFTGGVVSMTFLSMSKAITIGGSFFLYASIAAIAWVPFFTYLPETRGRTLEEMGKLFGMADDAGLEADCEAAKVEVEMPTKLSKS >Dexi2B01G0024450.1:cds pep primary_assembly:Fonio_CM05836:2B:33889198:33890144:1 gene:Dexi2B01G0024450 transcript:Dexi2B01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRCCTFLEILLAVILPPLGVFLRFGCCSMEFCICLLLTILGYIPGIIYAIYVLVALDSDRHEREYYAAA >DexiUA01G0018500.1:cds pep primary_assembly:Fonio_CM05836:UA:39138696:39141594:1 gene:DexiUA01G0018500 transcript:DexiUA01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGHHQQQNYHYDELHSPLGPKDQMDTDSTDEDDVNDEDYIPEPEVQSKRKKRHTPAQIQELRAVFEQCNHPDEKTRRALGTKIGLEARQVRFWFQNQRSQMQAKAMVEDGKLVQQEHATLMAENVSLRHAMVAKCCSACGGGTVSAEPSPEKRHLLAENSRLKDEHMRANSILYKVLLEVTPSAGHPTTHPRLSTREGWSSRAALLRLAEASMEQFLMLATKGEPLWVPTPDGEVMSYQAYQKKTLPVHHGVCPNGFFREATREVGIVRATAADIVDILTDMNRWLEMFPSVVASVTAGDVICGGVCNTRDRLIQMMNAQLWVQSPRLRNRSMGFLRYSRLISERQWAVMDVSVEGIQRSCKVDVNVDSSVVPAWNTDCRLLPSGCLLEDIGDGCCKVTWIVHAEYDEIKVPTMFRPLFRSGKALGAHRWLASLQRRCEFLAALRSIHVPSNHNPYTVSSMARRGILKLAQRMMASFYSAVSGPVTQPSSNIDEWYGGTGTGAEKIDVAVRMVTWKKAGNMGGEPAGLVLSASTTVWLPNTPPQRVFEYLCNEQRRGEWDVLANGFAVEEMVSCHCGMPVTAARLTVRRPSRHGLQ >Dexi1B01G0024040.1:cds pep primary_assembly:Fonio_CM05836:1B:29514714:29522196:1 gene:Dexi1B01G0024040 transcript:Dexi1B01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLHQAPRLLFFPSHHRRKQAEQAAMEGSTTTPLRTSVCIIGSGPAAHTAAIYAARAELKPVLFEGAMANGIAPGGQLTTTTDVENFPGFPKGILGDDLMKLCREQSVHFGTKILTETVTSVDFSARPFRVAFASTTILADAVIVATGAVAKRLHFPGSDTYWNHGISACAVCDGGAPIFHDKPIAVIGGGDSAMEEANYLTKYGSHVYIIHRRNTFKASKIMQDRALKNSKISVVWNSEVVEAYGGSEGGGRLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLEIDSDGYVATKPGSTHTSVKGVFAAGDVQDKIYRQAITAAGSGCMAALDAEHYLQEVGAQDNNVISEFRHLPSSLLDRRRPPPQQAEQATMEGSAATPLRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPEGIMGAELMDRCRAQSLRFGTNILTETVTSVDFSARPFRVVSDSTTVLADAVVVATGAVARRLHFPGSDTYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSHVYIIHRRNTFRASKIMQARALENPKISVVWDSEVVEAYGGAGGGPLAGVKVKNLVSGEVSDLQVAGLFFAIGHEPATKFLGGQLDLDSDGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQESSHAAHITGLRVPDNQRAFHALAGNKPYASYNPLQRRARMVGQFAAGRSLDRALDGPTSARRSGALACCRLPSSLSD >Dexi9A01G0031220.1:cds pep primary_assembly:Fonio_CM05836:9A:36097994:36099826:-1 gene:Dexi9A01G0031220 transcript:Dexi9A01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHEEATNMAAASGGLPDGAATRKKTNVRFAFACAILASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFFVGAFMMGFSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINIGILLGYVSNFAFSHLSLKLGWRLMLGIGAAPSVVLALMVLAMPESPRWLVMKGRLADAKVVLDKTSDTAEEASLRLAEIKEAAGIAGDIDDDDVVTVPKRTGGEKRVWKELILSPTPGVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSAGITDKNKLLGTTCAVGVTKTVSILVATFTLDRFGRRPLLLASTGGMVVSLLGLGFGLTVIGHHHDGTSIPWAIGVSIVSILGVVAFFSIGLGPITWVYSSEIFPMHLRALGCALGVGLNRVTSGVISMTFLSLSKGITIGGSFFLDAGVASLAWVFFFTYLPETRGRTLEQMGELFGIPNMAGDDGGGFQQSSSSPEKKDNNDNVEMSSTATASDDVRNERSVMDIEKDI >Dexi6A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:6A:22483397:22485031:-1 gene:Dexi6A01G0015110 transcript:Dexi6A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSEARADTLLFRSTLPDIAIPDHLPLHDYVFEHLSHRRDRPCLIDGATGETLTFADVDRLSRRVAAGMRASPLNVRPGGTVMLLLPNSVEFALAFLACSRLGAAATTANPLHTPPEIAKQATASRATVIITEPAFVGKVRDLAGVSAAVVVTGDGAEGCVSFSDLLAGDDSSELPEVAIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQIVDGDNPNLHLREDDVVLCVLPMFHIYSLHSILLCGMRAGAALVIMKRFDTAKMFELVEKHGVTVAPLVPPIVVEMAKSDAIDRHDLSSVRMVISGAAPMGKELQDILRAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPSPVKSGACGTVVRNAELKIIDPETGVSLPRNQPGEICIRGKQIMKGYLNNPDATAKTIDVEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPGIADAAVVP >Dexi5A01G0037630.1:cds pep primary_assembly:Fonio_CM05836:5A:38918647:38922863:1 gene:Dexi5A01G0037630 transcript:Dexi5A01G0037630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPNETERARSPSNKNCVSRTETGRAVSHFRLPCSSGSVAQTSTQPRYSLWHPPPHAPLTSPPPPRRDADAATLTPLAHAAAAHASMSVAHLRTHCSASSTPLGAAAGTERHLATGTCMAATAIIPPPTLPAAICAREDENQRLRAPTDCQPPYSHPPIRAGSHASTGRGWVSFLTGKRKGKRQPSFLSSHSIPGWASGAHASYRTESPPRWHAFPTTTSSRDRSRSSSIRRLASSTAGRRGRQVGGAYRYVAVDDYEAGERCGDDAGPAGREIQGVPASRTGARETWSTCPPGATSAVGIFVHRIAASSSFPPASRKKAGNAKAKPSPPSSSSNPGPTCKQEHREERERKRRR >Dexi4B01G0021830.1:cds pep primary_assembly:Fonio_CM05836:4B:23692574:23693285:-1 gene:Dexi4B01G0021830 transcript:Dexi4B01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCARILGLNASYFLKCGGHFVISIKANCIDCTQPAEAVFASEVEKLKAEQFKPSEQVTLEPFERDHACVVGGYRMPKKLGI >Dexi3A01G0028600.1:cds pep primary_assembly:Fonio_CM05836:3A:29427505:29434970:1 gene:Dexi3A01G0028600 transcript:Dexi3A01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAAEEEARAVEEFRQALVTRDLLPPQFDDKYTMRSIVLLLTGLCYCRFLKARGFDIDKTIDMWSEMLKWRKEFGADSILTDFVFDELEDVLLYYPHGFHGVDRDGRPIYIEILGKVDPTKLLNVTTVERFLKYHVQSLERLFAEKYPACSVASKKHVDTITTILDVKGVNWMKVSKLAREVVLHINKIDGDNYPEILHRMFIVNAGSGFRLLWGALRGLIDPNTAEKIEVLGEAYQCRLLEQIDKSQLPDFLGGSCSCSGEGGCLRLNKGPWNELTTSDNLSESALMETGHLSDENLACQDIESDVQVTLEKSQLSGSSIVPLKMLSSPNTPVNKEHVITPRLPTVSSTVACFQLCLSVWNIQLLKLLVEVIKVVFVFLWRLLSVAQLFSALRRVASRCINTNSTDEHVHTPGMKSNGPIDKDCTAPCLERLRRLEQAVMELNQRSPRIPPEKEDLIEESMRRLRTIESDIKKTQRVSIEQDIVEAIKAGTESGKLERIHANKLMSV >Dexi5B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:5B:259468:260107:1 gene:Dexi5B01G0000410 transcript:Dexi5B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAPPPASTSCRLPRRCTLTRVAASSSSPSPRFRPSSSRRSSSSTSFPGAGSSGGGGEILYVSPPPPPSAPLGAPVYVTLPADAVGPGGRVARRRAMGASLAALAAAGVAGVAVELWWGVVERQGPGEYDWAGYLDLAAMARRHGLRVRAILAFHQCGSGPQDPLWYFMG >Dexi7A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:7A:28603632:28605142:-1 gene:Dexi7A01G0019380 transcript:Dexi7A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLVKPVVAMVSFQAVFAGLNIFYKLAVVLVAYRYIFASAFLAPLAYFVERGTVAQNLYIAGMKATTATFATATTNLLPAVTFLLALAFRYERLSIRTLPGQAKVAGTLLGIGGAMLLTFYKGVDVTPWHSHVNLLAHHAVSDAAASSNYAMGSLLCVGSCFFYAFWLVIQTKLSNEYPFHYSSTALMCAMASLQSTAFALCFDRDYAGQWRLRLGVRLLSVVYAGVMASGVMLVVMSWCVKKRGPLFVSVFNPLMLLVVAVLGSLLLGEKLHLGTALGAVFIVMGLYSVLWGKARETPATAKLHDLPTTGRVNDDGSIVDDVAPPSAPPVALTKLTVVV >Dexi6B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:6B:24548336:24549098:1 gene:Dexi6B01G0017350 transcript:Dexi6B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPGGWASLPRDVAWEVFRRLRQVDILRGAGLACASWRRVAADEPALWRSIDVAFDKDDDRSTIDERLAMGRAALDRSAGRCESFRGPADRHLLVYLADRAPSLRSLHVTSPWCLPDAYIDGVITKLPMLEELVLTGGLLLTSTLRALLKHCPRLKMLDAGDCNIDQDVSYRLWRKCGRTIKDLPTGTQSKRTNSQPAR >Dexi2A01G0033600.1:cds pep primary_assembly:Fonio_CM05836:2A:43816976:43818736:-1 gene:Dexi2A01G0033600 transcript:Dexi2A01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPCFGASSALLCEEDRNSVLGLGDCDGGGDEELVEVGSGVDFSVAAGAVFDTDEFVRELVEKETDHLPLEGYAERLEHGGLESTWRREAMDWICKAHSFYNFGPLSLYLAVNYLDRFLSSYIPHDNPWTKRLLSVACLSIAVKMEETVAPHPEDLQVCDVKPVFDGKIIGRMELLVMKTLNYRMQAVTPFAFLSYFLDKFSEGKPPSFALASQCAEIIVGTLKGSTFLSFKPSEIAAAAVLAAVSANQVVGFGSVLSASDIPVNKEMIARCSELMEEWALVKKRGHITGSSSVPQSPIGVLDAACFSFRSEELTIKSSESNTSGNNSNQVSTQATKRRRLSISPI >Dexi2B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:2B:24646838:24650464:-1 gene:Dexi2B01G0014760 transcript:Dexi2B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKGDNRDANGSLAEATASRLRFDDAEEAEEVEMEVEESPEAEGEEEEAAAEVIGSDKTSADYYFDSYSHFGIHEEMLKDIVRTKTYQNVITQSSFLIKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKSNGYSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLAEDGVVLPDRCSLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLIAERNDYIHALVAYFNVSFTMCHKLMGFSTGPRSKTTHWKQTVLYLEDVITICEGETLTGSMTVTPNKKNPRDIDIKLKYSLNGHRCQVSRTQFYKMR >Dexi9A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:9A:10740486:10741851:-1 gene:Dexi9A01G0015810 transcript:Dexi9A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYCVAIAIQLIYTGMFVISKAVFNQGMNTYVFIFYRQAAGSLLLLPLALLQRITLGVNLYHVSLRFTSATVASAADSCMPALTFFLAVLLRMEDVKLRSSSGIAKVTGVALCLAGVFTIAFLSGPSMSPVNHHRAFKSETQTPGSKAAVPKGAWVLKEYSDKMVMTVAQCLFSTVQSFVVAVVAERDFSKWKLRFDVTLLAVLYSASPPL >Dexi9A01G0041020.1:cds pep primary_assembly:Fonio_CM05836:9A:44687970:44688420:1 gene:Dexi9A01G0041020 transcript:Dexi9A01G0041020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVILAPAVALCSGRSVSTQKLDSTGVLREDQCHHHQKRDTGGARWAGPYLRRRGGAANARRRGGRVAADEAEDLAGAARRREADERSSDLAAAAAAIVVAGWRRWWDGGSHLGNLDTAQLQPTALVGLGPARPIPSRTKH >Dexi5B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:5B:11018595:11030155:-1 gene:Dexi5B01G0014540 transcript:Dexi5B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEEEQHFRYVRMPPEPPEPEGLAAAASSSGSFRLPESARVFDELPRARIIGVSRPDAGDITPMLLSYTIEVHYKQFRWILYKKASQVLYLHFALKRRAFLEEFYEKQEQVKEWLQNLGIGEHFQVVHDDDEADDVHVPSQHDEHSIKNRNVPSRAVLPVIRPALGRQQSISDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIEMGSKQKCCSSCCFSLCSSNWQKVWAVLKPGFLSLLHDPFDPKLLDIVIFDVSPCTDRNGDGQATLAKEIKERNPLHFGFEVSSGGRAIKLRTRSSAKVKDWVTAINAARRLPEGWCHPHRFGAFAPPRGLTEDGSVVQWFIDGRAAFDAIASSIEEAKSEIFITDWWLCPELYLRRPFHFHGSSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVVVDNQVCYIGGLDLCFGRYDSPEHKVADFPPSTWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGASKETNGETERKQNHDMDIKINRLNSLTTPASCQDIPLLLPHEPDHHAFPNGDFGMNGMNINNGLSDKANKRNWKQPLPNRKAKQDLSLQDLQMKGFVDNVGSPEVSVSKQYDTSKPDMQHIDKEWWETQERGDQVASVLDVGEVGPRTACRCQVVRSVGPWSAGTTQIEGSIHNAYYSLIEKAEHFVYIENQFFISGLSRDDTIKNRVLEALYRRIIKAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAVMHWQYRTICRGPNSILKNLYDAIGSKAHDYISFYGLRSHGRLGDAGPLVTNQIYVHSKLMIIDDRMALIGSANINDRSLLGSRDSEIGMVIEDKEVVRSTMDGRPWEAGKFSLSLRLSLWAEHLGLLPGEVSSVMDPVDDSVYKNIWMATAK >Dexi2A01G0028390.1:cds pep primary_assembly:Fonio_CM05836:2A:39673368:39674210:1 gene:Dexi2A01G0028390 transcript:Dexi2A01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQGYVELYHHGFLHIKSAALLCAVGLGIPSAIHRCGGEATISDLAVKTGVHPAKLSYLRRLMRALASFGIFDTDSRKPDDTDGSSVVYTLTPVSRILVNDGGGGGASSTSRDMSPLLRLLARPATSVSTFFAMEAWFRDGGATTLFEMVHGGVPPWSLTKNDASYNEAMNDACAVDSGFSMDAMLEEVGGKGIFHGLTSLVDVGGGHGAAAMAIARAFPHVKCAVLDLEQVISKAPGSASDGTVQFIAGDMFESIPPANAVFLRVRTWHHIVHHHRQ >Dexi2B01G0030370.1:cds pep primary_assembly:Fonio_CM05836:2B:38652351:38654070:1 gene:Dexi2B01G0030370 transcript:Dexi2B01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRLVEGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLHHDHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRQRHRHVSVKALKKWARQILEGLNHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYAECGSVVQIFHNVTRGVPPAALKRLKDPELRGFIERCIGQPRNRPSAAELLEDPFFNGISSGDDDALADATSVVVAGTPVPRPRSYVDDLAGLRLD >Dexi5A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:5A:19722048:19723485:-1 gene:Dexi5A01G0016950 transcript:Dexi5A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGAPALMSSVADPSMRDLCCFGAGIAGNVFAFVLFISPLPTFRRIVRNRSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAAFQLAYTAVFIAFADAKERLKVSSLLAAVFVVFGLIMYVSLALLDHQTRQMFVGYLSVASLIFMFASPLSIIIPNGIGTILGIIQLLLYAYFRKGSREEAKLPLLVTHT >Dexi9A01G0025910.1:cds pep primary_assembly:Fonio_CM05836:9A:27394651:27395142:-1 gene:Dexi9A01G0025910 transcript:Dexi9A01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKLSFALLAVVLTLLAAGPLTTPVEAAHLHFYMHDVTGGPSPTAVRVVNAPHGYFGNMFVIDDVLTEGTSSSSTRVGRAQGYYMCASVSNLELLVTMNVVLTSGPYAGSSITVVGRDDINAPVRELSVVGGTGQFRMARGYVLWKTVTPEIIDLELFVNP >Dexi6A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:6A:18024079:18038139:-1 gene:Dexi6A01G0011900 transcript:Dexi6A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPSPSAAQPAASDKGRYTFNPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSPDAVMHKLMDLVCENGLSDGINGLEIGQQNGGDQSHERSYLVQKCSYAGLENILFVQGSGPHVLHYNSQPDHSVKEIIVSRKCAESVLRGAQVYVPGVLACSAHVENGDKVAVSVAVEQPVKDSGWAVDALYEERKGLYIGQGTAVMSRSGIFRVPHGIAVEMTERVYKLPSFNAPPPAPPPWPAASSSRRLLSPPFLLSLLPPPQLSLLPPSPPHLLARILPSPQIPPPAPASSSAAVEVTNEDVAAPTPLGADVAAAAAVDEAAARVQPPDGHPQQQQPPLRPPLQQPSPLLQATAAGHLGQPQPLTPTVSAAYHAELTTLAAAAGLTDPAGLADLAATTGPRGYAGSLPLDRGMRMYLKGRYFFKIYRVLSLLVSLVMDILKLASEMDLNCIKAYKLDALKSVRKTDEATNIGMADSLCEAIKTLAEDSDTCHAKRKKDGRATIVDEDSSATVVQSDDKNPESKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKAKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLQSLKTHARYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDSYKFLSLASQHPKVGGPGIVGSCELFNKTYTEEWLTEDEAELVQRFDPSSPVDTIGFFIAKFSVGEKEN >Dexi2B01G0028440.1:cds pep primary_assembly:Fonio_CM05836:2B:37075678:37075914:-1 gene:Dexi2B01G0028440 transcript:Dexi2B01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDMERGGTVVPTARRRRRDVPTTMSDASPEDRSPPASAVVARRRGGARTAGHGLIN >Dexi1A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:1A:23850081:23859892:-1 gene:Dexi1A01G0016610 transcript:Dexi1A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKRIVSIQYQIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDNSAPTFSDQTVEEIMKIVEEARTPPQLSTPVAGFGWTEEDEQEEGKKAEDEDQDGEEEEYDGEDEYDKQVKQVHASGDFQHLIK >Dexi3A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:3A:14859208:14860841:-1 gene:Dexi3A01G0019030 transcript:Dexi3A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQLDSNLALFLLFVASCFVIVARILSSQNNKTCQKLPPSPPGLPIIGNMHQLGRGHHHRKLQALARQHGDIFLLRLGSVPALVVSSAPMAEEVLKNQDHVFCGRPQQHTARGLLYDCRDVGFSPYGERWRQLRRIAVVNLLSAKRVDSLRVLRDEEVASLVARIRAAAGAMEDGSGRGKLRAVNMSELVVSLTYTVISRAAFGNKLGGMDPGVVRALMEEVTGLLETVAASDMFPRLWWVDWAMGLDARIKRTAGKLDSMLEGALQEHEKRPGNGDEDADLLDDLLALVTEGGEKLNLDRIDMKGLILDLFIGGTDTTSKTIEWAMAYLIKNPREMAKVQEEVRQVAGPQGVLEEHLGRMSRLQAALKEAMRLHPPVPLLIPRETIKDTKLHGYHIPAKTRVIINAWAIGRDRKSWQNPEEFLPERFVHNAIDYNGKDFRFIPFSAGRRGCPGIAFATRLAELALANLMYHFDWELPEGQDVESFEVVESSGLSPALNAPLILVAKPLRA >Dexi2B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:2B:24024890:24027742:-1 gene:Dexi2B01G0014400 transcript:Dexi2B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCSCYSLAIFFFIHSRLTAAVSFGFNFSISDYASELNYSNDSHWVKPVVELTKDQRYQSINGSVGRVWYASPVTLWDRTTRQLASFNTVFSFQIKIGGDSLWPGDGMAFFLSYYPPVIPGNSSGGTLGLFSGRFRNAIVSGDERVVAVEFDTYDNGDGDNSGSQHVGIDVNNIVSVAFTNTDIADRNLTSGLPMQARVTYRNDTMVLSADLEIGDTPYHVSTNVDLRDCLPEAVAVGFSAATGDSFELHELMSWSFDSDLQVVPPRTIVNASAATAAAAPAQHDDDGASKHRRRLRPEILALAVVSGLLCLVVLLLIGCTFKMVSQWCKRLAREKLGHGGPRRYQYSELVRATNRFDAQRRLGRGASGEVYRGDDNGRRVAVKRLMGSGAATDAEAQRRRRREFEAEVDIISRLRHKNLVRLFGWCDSSNGLLLIIIGLGKALSYLHAEHSGTKYVVHGDIKPSNIMLDEELNAKLGDFGLASLVDHSAAARTTESIMGTPGYVEPEFAMTGKRCVESDVYSFGIVLLEIRPRATEPTVAAIPGVAVWERYGQGRVVEAASAKLKSDSEANDLQMIQRVLVVGLWCTQPARSEGPSISHAMRVLEHADAPLP >Dexi1A01G0027850.1:cds pep primary_assembly:Fonio_CM05836:1A:33537012:33538522:-1 gene:Dexi1A01G0027850 transcript:Dexi1A01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRTIGIAMDYLPSSKAAARWAVDNRVKTGDRIILVHVLPKGSDASHKELWKSTGSLHEDERAGEVEVLAKIYWGDAREKLCEAVDDLKVDSFVLGCRGLGPLKRALLGSVSNYVVNNAACPVTVVRGPTA >Dexi2A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:2A:13538401:13538799:1 gene:Dexi2A01G0011650 transcript:Dexi2A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRCSHVVAIAAVAVASVFVLALPSPPCAAASSSSSSSLDCATVTSLLSGCKAFVRRGEVAAPGAACCRGVAELYAVAADSADNWRSVCACMAGLVRRYSSNASAIALLPVLCGVLPPPALGDTLTYCTR >Dexi5A01G0031400.1:cds pep primary_assembly:Fonio_CM05836:5A:34083122:34085195:-1 gene:Dexi5A01G0031400 transcript:Dexi5A01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTAENTATASVQGANTSEPTLGRVMAFLFLVSFVGLLVIVPMRKTMIIRHRLTFPSGSATAHLINSFHSPHGVMQAKKQVSLVVRSCLGSFLWSAFQWFYTGGSNCGFTAFPTFGLPAYNRGFYINMNGTYVGVGMISPYLINISMLVGSIISWGFMWPYLLTKKGDWYDANLQETSLKGLNGYKVFGAIAMILGDGIFQLVVISLRTIHTMRHHQVTAAETMRSFSDLDSAPRPVLSFDDRRRTQVFLREHIPSTFAIGGYVVLAVLSSAAVPLIYRQVRFYHVAAAYVFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGASSGGIVGSLVICGVVSSVVSTASDFMSDFKTGYLTLTSPRATLVSQVIGTALGCVVNPVIFNVFHHFYESNNSKYQAPLAKVYRAIAVVGAGDHELPKHCLAISVALFTLALAVSAARELAVHNRWPAQHYIPSVTGMAISFLLVPAVSIDMCVGSLILYAWNATDKEDAHVFAPVLASGLICGDGLFSIPYALLARYDVTPPICVKFLGRVQNKLLDEYLEKAAQ >Dexi1B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:1B:7134780:7138781:-1 gene:Dexi1B01G0008520 transcript:Dexi1B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEVTSVYVGGLPYEANEDMLRDAFEYYGTIVSVKVINDHTVKGKCYGFVTFTHPKSAEHAIASMDGKKIGNRIVRVNEVRTRGPRDFGRDGFRRDPRRYGRDPYWDRRDRERSYDRERDPYHDRDSDRSREHDRDRDYEHGGFNREIDYPIDRDHEVDERRPRDHDRAVEMHNMDSDNDREKEHGSRKRFRCHFDFGFSYWSNGVIRPKGRDSRDLSSSSGDLQNEVNTSLFCALVIGSLWTADPNSSHFVKFVNQMKDKIAAKEQQIADLQKKAQLYKHFLQLQDYNDRVKTAEQKLQSLVDAAMVELDMGEDATTRDGSMYENGVL >Dexi4A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:4A:12420508:12424109:-1 gene:Dexi4A01G0013250 transcript:Dexi4A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSVEEAFLVAGRPEVNLGVRQEVEKQLWLAGPMVVGGLLRYLIQMISLMYVGHLGEVPLAGASMANSFATVTGFSLLLGMASALDTLCGQAFGAKQYRLLGINKQRAMLLLTLVSIPIAVAWFYTGKILLLCGQDPDIAAEAGTYARWMIPALFANGLLQCHVRFLYTQNIVLPVVASCGAAAACHLVVCWVLVYALGMGSKGAALSNGVSYWVNVAMLAVYVRVSGACKETWTGFSTEAFHDSLSFFRLGIPSALMVCLEMWSFEFIVLLSGLLPNPKLETLNTSSFVWMIPFGLGSAVTTRVSNELGAGRPQVARLAVRVVVFLAVLEGLIVGVILACVRYMWGLAYSNVEEVVTYVAKMMLVIAVSNLFDGIQCVLSVDKD >Dexi6B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:6B:20024030:20024749:-1 gene:Dexi6B01G0012400 transcript:Dexi6B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEREMGTHTVEGREEIPAGRNRPTWHGAHPATRVPNHRPTSAWTACSPDLGQTSSRKGTWDRGGPPKTNPPQPLEYTNRRQIEHKSKTNRAQIRCSHQEHIHTSLGGVRAAAARAEVASELEPSTPRPRTQPALALFFLATTSFDSAPPTLDPRGNRRWESRGKGSAASASVEEMGSWLPRRVWRSREMAASAAEKAERAAEKEERATVPHEIEREGQEISRGLEVSGGKKIEEKKRT >Dexi3B01G0029030.1:cds pep primary_assembly:Fonio_CM05836:3B:27852713:27855349:-1 gene:Dexi3B01G0029030 transcript:Dexi3B01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGDRDIVTAAMERQPWRRRHGWQLPLHPLQLVAAAVFALLVAAFYVVLGPYIGNTLAGNILLGGFSFSAVAAAALYVRCTAVDPSDRTEAKKDKRKRQLARGGGHRGTAKLPQLRYGLILWRYMVRVLRRVETRVTNRWVRRSYLEQWNTSVQLDPMLPFAFTSLDDIVSPCAAADGHDISFCPICDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGTAIAIFVRCFVDSRGVKLEMEHRLHIRLPKGAHAALSMAFVIFTLYSTAALGQLFFFHMVLIRKGMRTYDYILALREAGAAFDPFEDSDSDESIDFDSPGKPSFLSRVFCRKDEVNESTRKLSIRIESDKTDASGRKDDIQINPWTLIKMSKEKAMAAAERARERIRQKLPTSPMKPLPVETKRGPLNPERKHITTGKEIVPVFTKSWLSGSPTTVGISSPRRRFSGSSSPKPQRYRSNFDLRLAEVSRELETHISKQVLCSVVMKGVEDEGSSS >Dexi9A01G0047680.1:cds pep primary_assembly:Fonio_CM05836:9A:50722311:50722550:-1 gene:Dexi9A01G0047680 transcript:Dexi9A01G0047680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGGCARDDRSSEERRDAWMMASGRGDADSCRRKGGADGVQAVEDADNLAIDCVEVEDDVTSGDGARRPTGDGRGC >Dexi9A01G0030430.1:cds pep primary_assembly:Fonio_CM05836:9A:35465358:35470028:1 gene:Dexi9A01G0030430 transcript:Dexi9A01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPWRQGALSSLPTLRLPRVPVQRMAHDPSLAYADYFAAAAGGGVTTLVPEVVDAGDDDAGDHLHLYGGVQAHHQHGLDMFGIRGLMPVGAHGKAAALGEFALAEHHHHLGGHNNQAPLMTSLSLHGPADAAAALALHHHQQQQLGVSALGGLHHEWPSQHQQGAAGWHLRGSRFLRPTQQLLQEFCSLPVEDASNSKPPTTTTKPASEDGGGAGEGSSSSPPSAQIQAMDAAELQRLKAKLYAMLQEVRSVLLSSLIAHHSQLAITEYSTGKCEFNYGLSEATCEAGDDRHGQLIPFWDLTSPSAFGNLQSSILPLAADVATRQYRVERRYRRYREQMRAVAGSFEAVAGERAAAAYTRLASRTISKHFRSLRDGVAAQMQAVRRALGEKDTDGGPAAAGTMAIKGETTPRLRVLDQCLRQHRAYQAGVLESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYNEEMKDPQQEGSCSNANNNNANPSSYSASELGQQGRSGGASGADQEGGERKPTPAQLVVHDAGSLASVVSIGSSRDPQNINFDSMMDGHIDFGAYNDDHHHGFGAATGGGVSLTLGLQQHADDPHGGVNVAFAAGTTSSAAHEFLFMAGGEQQQMVAGGGVHHGHQGQFVGAGMEGDAVAASHYHRGLSAAATGFQLLHDLAG >Dexi4A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:4A:9566538:9569826:1 gene:Dexi4A01G0011520 transcript:Dexi4A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRSHNPQQQQPAAEAGDGGPAGEGGGGGSVDRVLFKNLVEMVPLVESLMDRRVNPSYSRRASLVYTPAPPKKASDLKSVKSPQSVSAKKRREPTDAAKKSTPDANGENGSVTPLSLSGAENKPKDEVAVLREQIDELQQKLLEKEEALRAAESSVNEMNAAYVTIDELRRQVAEKEALIRSTNSQLHDAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDMSNMEFEISSLIALFEKVSENVSDDCYDGSMSSSYEVEALQSTSEIDKIEIDKIEQERITYAEALAAARESPNEEHLNLAAEARSRLQVLVL >Dexi7A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:7A:16933313:16934453:-1 gene:Dexi7A01G0005680 transcript:Dexi7A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGVEQPMQMVLRVKHPSSLGSGGGGDEEEAGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLGKTCVKKEKEYKEVLEAYNEKSKEKALLVNRLIELVCESERMRMKKLEELNKTVDSLY >Dexi1B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:1B:17717902:17720823:1 gene:Dexi1B01G0012900 transcript:Dexi1B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQSLTAEAATVLKLSLGLARRRGHAQVTPLHVAYTLLGSSSSPSPAPAYGLLRRACARSHRPVGACAPPHYYSHQPPQCRALELCFNVALNRLPTTANASSPLSSPSSSSSASSTSFAASILHQPSPTLSNALVAALKRAQANQRRGCVELQTQPPSPPATPSTTSNSQQQQQPMLMIRVELDQLIISILDDPSVSRVMREAGFSSAAVKNNLEEESNAIMLGGHGHHPVTSTPSPAPAPAPPVPSQSFHEAYAAFPSSYGGGDASSWPAPFFNYYQPQPDVEFESPCKEEDVRAILEVMMSSRKPGRSIRTNPVVIADSVSVAEASVAGLMRRLERGLDIPDELRGARVLRLHLSHAHARLMTRADVDACVADLRRTIAAATDAEASGLVIYVGDMRWAVVDDDDDVARAQATPSGFSPAEYMVAELARLLGELRGGLLARRAWLVAAASYGTYMRCQRLCMEETWALQPVSVPTGGGGGLSLGLALGSRTSTSETDGKAARHAQFPRLDFSPREEDGTPVMCVECTRNYENEALAVIAKAEGTSLALSFFPGWPQADEPQTSPKDDLMELKWRWSRLCQRVHTQRSQPTRPSNPTTNYSNLGLGLSFGTTSETKHLDVKTTLSLLPPDRNQDMGIQATLQGSDTMVSSRDMKNVLRLWPDERPSGDLKRKAELPRESKRRRGSCSLDLNLNLCASSEDELAASDLTNDCEASGDASVTGSLDSHC >Dexi4B01G0022850.1:cds pep primary_assembly:Fonio_CM05836:4B:24387102:24390111:-1 gene:Dexi4B01G0022850 transcript:Dexi4B01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVSKDEAGRTDPETAKMLHDRKQSMIKELNSFVALKKQYASENKRIDLFDGPSVEDGYAEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINVGTETAAALKAQAKQMSRVVNELDSIHFSIKKASQLVKEIGRQVATDRCIMAMLFLIVAGVIAIIIVKVRAQIVNPHNKDIPNIPGLAPPVSRRLLR >Dexi5A01G0021890.1:cds pep primary_assembly:Fonio_CM05836:5A:25734229:25735904:1 gene:Dexi5A01G0021890 transcript:Dexi5A01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSCQHSSVSLRVFLVSVILMLSAPTGLCGCYKRIFSFGDSIIDTGNFANRNLMEFPFGMTYFKRPTGRVCDGRVIVDFYAQALQLPLLPPSLPQKDTGMFPNGANFAVSGSTAMPPEYFHRWNHRVPTWCHLGMQMGWFKGLLQRLAPNDNAKRQVLSESLIVLGEIGGNDYNYWFGAAKPREQAAQFIPDIMATIGSSIQELIGMGAKTIVIPNNFPIGCVPKYLSDFRSGNRADYDEHGCLRWFNDFSQRHNHELRGVVCRLSAQNPGVKLIYADYYGAAMEFVRDPRRFGIGNPLTACCGGDEQPYHTNKWCDKTSKIWGDPRSFASWDGTHMTEKAYEIIAQGVLNGPFANPPLLRSC >Dexi9B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:9B:7221802:7224246:-1 gene:Dexi9B01G0011380 transcript:Dexi9B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARALVLQHLRLRARPSVAACGAGAGPAVVAQRWFARGMSAPADLGGGSSDGSPGSESAVRARVVDLVRKFDKIDADKVTETADFQKDLSLDSLDRVELVMAFEQEFSIEIPDDKADKLTCCADVAKYIILESQSSNISAGSS >Dexi9B01G0022090.1:cds pep primary_assembly:Fonio_CM05836:9B:16736052:16738533:-1 gene:Dexi9B01G0022090 transcript:Dexi9B01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRARAMWPGGGRRELLLSAAFTALLVASILLLPSLLLRGAGAGPKSWHSLSSCDDGEPPRYPVSFAYLISASSGDAARAARLLAALYHPANSYLLHLDREAPAEEHRLLAELVSGRGVYARAGNVWIVGRPNLVTYRGPTMLTTTLHAVALLLRLRRRWDWFVNLSASDYPLVTQDDLMEAFAGLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEDGRAELIRPVNITTNLRRFPTAFKLYTGSAWTMLSRSFAEYVTMGWDNLPRTLLLYHANIISSPEFYFQTVACNSRRFRNTTVNHDLHFIRWDNPPKQHPLYLTAKDYRRMLLSGVPFARKFKRDDPVLDRIDRDILRRREPGQFAYGGWCSEEGVALCANPQELGRKGAVKAGAGSRRLKALLSKTLSPRNFRKQQCR >Dexi2A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:2A:30765260:30775441:1 gene:Dexi2A01G0018570 transcript:Dexi2A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPTRGSNARRSGHRRIQDYLADDQTTTTDASDNESYTTAYGDEFFAAAAAGSGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSAAAALYGASSLPAPPVAGAFGVSPSPRRAPSEGAAPPLSRCSSTSSRIRRKFAWLRSPSPSPSPCRPTPAELQREAAMAARERRREQAQLNRSRAGARRALKGLRFISRTTGSVEAAELWRRVEERFNALAREGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQERVFLACLPPQLIVLSASANKLAKLKEQAEEYAALIMEELDPENLGYIELWQLEALLLQRDTYMNYSRPLSTASGAQWSQNLGVGGGTLTVAGGGGGGADGGCGADGDQAREERRRRGWGVRKAAARVRVAAEENWRRAWVLALWFTAMSALFVWKFVQYRRTAAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIAASPEEYALVAGAFGPGKPTYASLLSGTEGITGVAMVVLMTISFTLATHPFRKGEPNASAGAAASVASRLPAPLNRLTGFNAFWYSHHLLVIVYVLLLVHGYYLFLVRRWYEKTTWMYISVPLLLYVGERMLRALRSNAYTVKIIKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPIISPFEWHPFSITSAPGDDYLSVHIRTSGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAQFYWVTREAGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWNEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLAHEMSHKTSTRFHFHKEYF >Dexi9A01G0022920.1:cds pep primary_assembly:Fonio_CM05836:9A:18075302:18082374:1 gene:Dexi9A01G0022920 transcript:Dexi9A01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAADSSSTPLPPFRLRQLSLSAAAPIPALDLEILCCRLSRRHRLACLPQPPADALLVFQRCQSREAAEAVSEVVAAFPGSSVGEEEALECSGELVAKAVGCELRSMMLEHGWRCLGESIYVDSEFDQNEERTDQCAVNVEVRLGRNNDFEFVISPDAFQFTTHKISDVASSNMMEAFQHGNEVFLDTCSFLTVCTTLPTLQEGHVFGYSKMLPSEQWLDRFPSSLVLQGPGLQPALKSVRLSKAMAALQSFVELLKVWSFFGHNKLLIKEQVLLTCSSTLPTWNNASSNLTSHSSRTDNIEDLDLGHTEITSKVQSFILDFRTPKPAVLCSWKAKLWNTEVHISHSLGDNDNLSGTRSINDGFQSQLLRPNVSHKSQVTLFKPSFSRSKPSEKMKLRFSSEHHDAENSNKSRHHHAVEDHPNPVSSSSAILDMPVIQVSENHGRKQASLITGSCQGGVGIAKESSMVASSAGLTLKCHLTFLHSKDYTPGIQVTKEVSDIEKDLLTTKVINTKLKTVVAKDVVTAEAKRKATQDLEKNALTAMTKQKTMPELVKNEFFTKNDGLNKKVTKAKAKTADKDPSNSTRTKAKPDVANDELIAKVIDNHRRGELRLLTVADLKCFLSAKKAKVGGTKEVLIKRVTELLA >Dexi7A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:7A:2186374:2192614:-1 gene:Dexi7A01G0000780 transcript:Dexi7A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREGGRAMNGAQPQRRHEIPLSSSPVLSASFKHTPRGRSQAIVMAGARPVKDCVSDRMIISIPGRGHEALTSAAGGTAGPEEPCTCTCAAAEELVLFQAAALPAVELPRRFPAALRLQQVRRARKGAAPLHGGGRGRWAAGSAWQHDRARQAALSANSSAAGADERRSPRTAARQGLAGGTLAQQRCGVRQKGGGDGSAGGVTGEEAGHQLVQSLRAYTVEQAVEGIIPWLEGTGRTAYKAIYFDGWDGLAASSVLRSIAEDPPPSVKKKFDKILRIDCSRWKSPRALQRAIAHELKLPQSIMAAFDREDEDDDFSGVEEDIRFFQSLVEESVDEFAWGTTGPLKLNIYLPCTSMEDDGKNSKKDRLGRTAGHLVGEPSVAPTRTSQTSCPYNDVRIEEIAAFDTRRTSGLQFVPHNIHVEIRQGTINNTNVVAAQVIRAVQFVMNRVQSLHVHNNSSITSIIPDEISRPVSGKDINYRALKWCRLESCPKLDTVFYTNYGGSDLWFDKLENFWAADLLMARSIWSRGRPYHARDAQSFAKLHAIHLYSCRRLQFVLPLSWGHTLSSLETLHIVCCGDLKQVFPVEERFLSAIATEHPNGMLEFPKLKHLYLQDLFCLQQICEAKIFAPVLETVHLRGCWALRRLPATSRYRQDGRLVAVDCERDWWEKLEWDGLRVGHHHSLFAPRHSAYYKKRQLRTTVLR >Dexi3A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:3A:5108768:5111392:1 gene:Dexi3A01G0007430 transcript:Dexi3A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVAAATIFFFLSTTALPAVAATVEHTFVVSQMTMTHLCKKTPVTVVNGQLPGPTIEVTEGDSVIVHVVNKSPHNITIHWHGVRQLQNGWNDGVPMVTQCPIQPNQNFTYRFNVAGQVGTLWWHAHVSCLRGTLHGAIVIRPRDGTNSYPFPKPDREVPVVIGVHEDSFMLDVEPGKTYLLRIINAGLFSEYFFKVAGHRFTVVGSDANYVTPFTTDLIVIVPGETVDALLVADARPGKYYMVALPNQAPLPDTQTPEPATRGIVRYTTSGHHHHRLGNAGNTGKPAAALPVMPNQHDVAQSLYFHSNLSSLQHPHHPVPQVPKRVDEHMFVTLGLGTACRHGGFKCNWDVESETGLVATMNNISFMVPTAPLLPEHYRHVGSQLSTLVELPDKPPMVFNFTDVSLIPVGPKEKKLETTYKATLARWFRYGSAVEIVFQSTAMLQGDSNPMHLHGHDMFVLAQGAGNYDEARDVPKYNLVNPPRKNTVVVPNLGWVAVRFVADNPGVWYMHCHYEFHLAMGMTAVFIVEDGPTANTSLPPPPVGFPTCSNNEYLVPREVSLQTPQHTVSRLMD >Dexi7B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:7B:23790351:23792095:-1 gene:Dexi7B01G0017880 transcript:Dexi7B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGRADEIAEERDPAARRDAGAPDPKRTKRAATIVDGASGDICDDVVRNIFARLPARTAVACTAVSTHHRRLIRSPEFRSLHLRLAPPLPRRHIAYVATAPIPRRPEHDPVSTFHGFHVAGITGNKEPPKAPMRMLAGGKYLGTSYSNTCNGIVLISGEEFSAPASCVLWNPAVDDDVKEVTVPSPSPEKEFLVLGLGYGPRSNTYKILLCRKDTPQLLKPVKEQEMRKGRKVTLNPLLL >DexiUA01G0004190.1:cds pep primary_assembly:Fonio_CM05836:UA:8225159:8237316:-1 gene:DexiUA01G0004190 transcript:DexiUA01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQQAAAAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAAAAL >Dexi6A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:6A:4336836:4338554:-1 gene:Dexi6A01G0004770 transcript:Dexi6A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAALFSLLLRLFAVQSDIIVNNGDDSTSFTTCLATAGVRNVTPLGSPSYDAALRVSIQNLRFAGAGEPKPTAVVVPTSLADLRAAVACARAAGLVIRLRSGGHSYEGLSYTAEDNDHTPFAVVDLAALDGVRVDVATSTAWVQSGATLGQVYHAIAVSSPTLAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAGDGVVDAVLVDAEGRVLDRDAMGEDVFWAIRGGGGGTWGAVYAWRLRLVPVPRHVTAFVVNRPGSVAAVARLVSTWQHVAPRLPDEFYISAFVGAGLPECSNRTAGVVSVTFKGFYLGPRHEALQILTSRFPEIGVSDLNMREMSWIESVVFFSGLPEGSTVSDLTDRVLHTKNYFKAKSDYARRPMGIERLTRVVNLLSEQPKAYVILDPYGGAMDRIGSGELPFPHRKGNIHGIQYLIDWTAEEDEHREEYMDWLRRFYDFMGEFVHKNPRTAYINYMDLDLGTNNWSGSDRRRSRKIDDDGSPNPEVEAARAWGERYFLGNYDRLVLAKTMIDPDNVFRNAQSIPPLGGRPGMTRSPRGISPKVTSNGTTYDS >Dexi3A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:3A:7795608:7798500:1 gene:Dexi3A01G0010950 transcript:Dexi3A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPVGLCFVPKPPRRRRPSRPPPVRAHISGRQQEPIQQPMADAEQLLHLKLAFLAGEPPACVLALARYAPPSAVKIETARRDLPLHGALSILIREKLEEVDGRQSSTYATTIFKRIISEVELSSDVVIDGLYEEFAQHKPCCVQKDSLLNRTDHIYKEISFLSSTHDDVSSRLISAVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPKIFSTKCCFELGSGVGLVGICLNYVGASKVILTDGDTSTLNNMKENMELNNLCIEQEDSKVLKESKNKVECKYLSWEEVAESDLSGYQPDIM >Dexi1A01G0031670.1:cds pep primary_assembly:Fonio_CM05836:1A:36541824:36546307:1 gene:Dexi1A01G0031670 transcript:Dexi1A01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAVAAMHLLLLCSAAAAARASIAFAAVPLNSLSRVVEEDDDASGGEGEKGAQAIAGSPIVAGAMNSRLRALTTSFANSIGKQLDYCIKDTETEWNAAFDFSKDTTFLTNCLKETKGDVQQRICTAAEMRFYFESLLEGDEGEKNYVRPNLNCNLSSWIDGCEPGWACKAADDQKIDLQNAKDIPYRALKCQSCCPGFFCPHGLTCMIPCPLGAYCPRSDLNVSTGICDPYNYQPPPGNPNHTCGAADIWADVVTTDDIFCPPGFYCPSTIQKLPCSSGFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARHARETAQARERWKSAKDVAKKAGVGLQSSLSRTFSRNKKAGQAQPGPSKVGDATGGKKSNLTDMMRSLEDNPDNPEGFNVEVGDKALKKPTGKQMHTRSQIFKYAYGQIEKEKAMQQENHNMTFSGVISMAKDHDVSSRPAIEVAFKDLTLTLKGSKKKLLWSVSGKLSPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGLVLINGKIEPIRGYKKIIGFVPQDDIVHGNLTVEENLWFNARCRLSADMSKADKVLVVERVIESLGLQAIRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFAGLGIVVPERVNPPDYYIDILEGIVKPNLNPGVSVKDLPIRWMVHNGYDVPRDMLQSSSDSESSSRSIDRTSSQGDAGPSFVSILWGNVKDILGQKKDEYDYNKTSEDLSKRNTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFTLDKINYWRERASGMSSLAYFLSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTILADLCYTKWALEAFVIANAQNYSGVWLITRCGSLVRSGYNIEHEILCIVVLIANGIVFRCVAFFCMVTFQKH >DexiUA01G0003920.1:cds pep primary_assembly:Fonio_CM05836:UA:7967693:7984702:-1 gene:DexiUA01G0003920 transcript:DexiUA01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFERWMGRHGRLYAHAGEKQRRLEVYRRNVELVEQFNSMNNGGYKLADNKFADLTNEEFRAKMLGFGPHSRTGHTTTAPSTMACGANQIKNGKMVSLSEQELVDCDTEAVGCAGGYMSWAFEFVMKNRGLTTEGNYPYLGMNGNCQTPKLNESAVTISGYRNFLAG >Dexi1A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:1A:24040416:24044989:-1 gene:Dexi1A01G0016750 transcript:Dexi1A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEATGKKKEAPAVPRRPSLMSVFVHADAADVALMLLGLVGAMGDAMSFPATLLLIIRITNDMGSGPDHFQDFTSRINENARNLALLACASGFMAFLEGYCWARTAERQASLMRARYLRAVLRQEMEYFDLRSGSTTSEVVSSDSLVVQDVLGEKLPNLVMNATTFVSSYILGFAVLWRLTLVALPSVLLLVIPGIVYGRVLIGIARRIREQYGCPGAIAEQAVSSVRTVHASVAERSTVARFSAALEETARLGVKQGLAKGVALGSNGVTFAIWAFNVWYGSRLVMYHGYPGGTVFAISSAIVHGGLALGSALSNLKYLAEASAAAERIEEVIRRVPKIDSGSDDGEELANVAGEVEFRNVEFCYPSRPESPVLVRFSLRVPAGSTVALVGSSGSGKSTVIALLERFYDPSSGEVLLDGVDIRRLRVKWLRSQMGLVSQEPALLATSIRENIQFGKEDATVEEVIAAAKVANAHDFIAQLPQGYDTQVGERGVQMSGGQKQRIAIARSILKSPKILLLDEATSALDTESERIVQETLDNASTSRTTIVIAHRLSTIRNADVIVVMQSGEVKESGSHDELNAIENGLYASLIRLQQTRDLHEVDNISITAGMSPATGQNMSKGLVSCSSSGWPTGDVGDDDKNEKTKPPVPSFRRLLMMNVPEWKQMLIGSFSAVVLGGIQPVKAYGIGSMFSVYFLTDHAEIKEKTRVYVLLFFALAVLWFFLNIGLHYSFGFIGEYLTKRIREQMLEKVLTFEIGWFDREENSTGAICSQLAKDSNAVRSLVGDRMALVMQAGSAVLIACTMGMAIAWRLALVMLAVQPLLIVCFYTRGVLLKSMSKKSVEAQSQSSKLAAEAVSNIRTVIAFSSQDWILHLFKKAQNGPRKENIRQSWFSGLGLGASVALMISSYALDMWYGGKLMVEHHINYMELMQTYSILVTTGRVIAEAGSSTTDLAKGSDAIASLFAILDRKTEIDPDNPNGFRPEKLKGVVEIINVDFAYPSRPRAIIFKGFSLTIQQGKSTALIGQSGSGKSTIIGLIERFYDPLAGVVKIDGRDIKTYNLSGLRRHIGLVSQDPTLIAGTIRENIMYGTETSSEAEIEDAARSANAHEFISNLKDGYDTWCGERGLQLSGGQRQRVAIARAILKKPSILLLDEATSALDNQSEKMVQEALDRVMVDRTTVVVAHRLNSIKNCDLITVLDRGMVVEKGTDASLMAKGPSGTYFRLVMLQQGGNRH >Dexi5A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:5A:11942008:11946852:-1 gene:Dexi5A01G0014750 transcript:Dexi5A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHHKVPRRLPAASSLRPRLQIRAPPPPAPGPQQRHRGPPGMEETPPGQRQQPQPAHASPPFPAAPFTPPPRVFSPVLAARGIPSPGSGPGHSPAQHLSTPPGPPVFSSPLRPAAVPFRASPASPHPVPFAAAGSGYSSSSSATTASLPTSSAPHFLNGAATPHGDLAPPLSSLQGDGLDNPYVQFSANKVLKQKKLFNAPSLGFGALVSPGKEVSPGPEVLERDPRRCLNCGAYVNLYCDISIGSGQWQCVICKKSYGSEGEFVVSSKQDLLQWPELASTTVDYVQMGNRRPGFVPVSDSRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTAKIGIITYGRTVSVYDFSEGSAVSADVLPGNKSPTHDSLKALIYGTGVYLSPIHASLPVAHIIFSSLRPYQLSVPEVSRDRCLGAAVEVALGIIQGPSVELSRGIIKRSGGNCRILVCAGGPNTFGPGSVPHSVKHPNYAYLEKTAMKWMENLGHEAQRHSTVVDIFCAGQCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDNMLVTQVIGPGEEASPDSHETFKHDSSFCIQMHSVEETQSFSVSMESKGDIKSDFVFFQFVVHYSNMYQAEITRVVTMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDAIDIRLTIDERVKDIAFKFGTQAPKSKLYRFPKELGSLPECLFHLKRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLVMQSNAAVVLDHGTDIFIWLGAELVAQEGQSAAALAACRTLAEELSEQRFPAPRILSFKVSLLVLILHCYFDLLFFIIALVHSNQGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPDQRARLKSSFIHFDDHSFCEWMRSLKLVPPEPS >Dexi5B01G0025250.1:cds pep primary_assembly:Fonio_CM05836:5B:27338869:27342762:1 gene:Dexi5B01G0025250 transcript:Dexi5B01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHASSRLPPGACHLAPERLAAPARPRPRPATSALACWLPHHACTPISELARHLSPRAPASPSPPARSPSGYKCPAHPNPRRRRRRCLLPSSIAAAFFSAARSIHRHGRHQQAPHLPGHLVLLLRRRHAAADHQEAKVRPPRAPRRRSEATGTSTCCECLGIRSPPAAVCGAVNELDLNAAALDPDNYATGLRVLLQKELRNSDVSQLGRIVLPKKEAESYLPVLISKDGKSLCMYDLLNAQQWTFKYRYWPNNKSRMYVLENTGDYVKTHDLRQGDFIVIYKDDENNRLVIGAKKAGYGQTATVPQIHEHMHIPTTLLPAPQVFHDYAAPVAPEDDMLAMVPQADEIFDGILNSLPEIPVANVRYSDFFDPFGDSMDMTNPLGSNHSVNLATHFHDEKTASSLFPYPKSGPLI >Dexi5B01G0033930.1:cds pep primary_assembly:Fonio_CM05836:5B:34220788:34222726:1 gene:Dexi5B01G0033930 transcript:Dexi5B01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSELLPGSGPSLGSKPADDRRRGGWRAARFVLAVGFLERIGFYGVQGNLIMYLTGPLGMSTASAAAGVSAWAGTVQVLPLAGALAADSRLGRYRAVLAAGGLYLLSLGMLTITSMLQAPQPHSTSSPSSSMLAFFYVALYLLALAQGFHRPCVEALGADQFVPSDGDQSAHASRSSYFNWFHFSISWGYAIATAVLSYVEDNVGWTVGFAACWATMALYLAVFLLGTRTYRAEKPVGVRSFTETVRSWAARVSIFRRSDTTDAERLLAPERDEDKEEQELIVRLLPIWLTSLVFAAIFSQVYTLFTKQGSTLDRRIGATLVVPPAALQCLVSVTFVTMLPLYDRVLVPMARRVTGHHAGVTTLQRIGAGMAVSGVAMAVAALVEGRRLRVAMDAGLVDRPDVALPMSLWWVVPQYVLLGVAAVLAEIGLEEFFYDQVPGAIRSVGLALSLSAMGAGSYAKLVL >Dexi8B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:8B:21999954:22001257:-1 gene:Dexi8B01G0012620 transcript:Dexi8B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMEMAHIRLEAALEASQRWSVTSAPLLRWRSKLKRAAQECDRTLRRCRQRMQEEEEDRSSLPRRVARITMSFVSSIVGRGGDEELGGSVVRRFEWFADGASEFLRYVEHGGGTLCRSVFFDGALVRHLLEGKGTKYCFVSGRQHLSFVLQPFIPPERGMQATLLLLLQDSNSPENNFQFTLSLRISESTNIVGSVVRCLELFKPHLSSATEVVKTKLTQLPTQDFPWIPDAHSVYGRDEPGDNLEIIFSKWVRPNPLCCQQLDQSNGSQRYAASSSSSMLEPLASDIYLEPVTYVYLLGHITLPAGNKRQLKADIGHIAEDSLYLKLGWNFWPHSSSEDLPPAVQGSATETFNGEATQHGIREDLMEINYLERWGCEPAQEEGMDKWE >Dexi5B01G0038280.1:cds pep primary_assembly:Fonio_CM05836:5B:37526587:37540423:-1 gene:Dexi5B01G0038280 transcript:Dexi5B01G0038280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGVAPVLAAALLIAAFAATRPGVQAIGVCYGVIGSGLSTTPSKSDVVQLYKSNGIANMRFYFADQDLLNALRGSGIGLALDVGNDKLGDLAGDPSAAAAWVNDNVQAYYPDVNVRYIVVGNEVDSAASVLQAMQNIHDALVSAGLDSNIKVSTAVKMDTIVNSSPPSDGAFSDPSVMTPIVQFLAGNGAPLLVNVYPYFAYKFNDGIDLNFALFEPSSTTVADPNGLTYTNLFDAMVDAVHAALDKVGFGGVDVVVSESGWPSADGKGASVDNARTYNQNLINHAGQGTPRKPGPMEVFVFALFNEDQKDGDPTEKKFGLFNPDMTPSNGVCYGMVADDLPPPSEVVQLYKSLGVRNMRLYSPDTQVMDALRGSGIGLILGVVNEDIANLAGCQSCATNWVMTNVKPYYPAVNIMYLAVGNEVDAGDAARSVLPAMNNLLIALAAAGLAGIKVSTAVRFDVVANSFPPSSGVFAQGYMVDIARFVANTGAPLLANVYAYSGNPNDISLNYATFQPGTIVKDSGNGLVYTNLFDAMVDAVVAALEKAAAGSVKVVVSESGWPSAGAAAASVQNAQTYVQNLINHAAQGTPKRPGALETFVFAMFNEDRKPGEATEQNFGLFYPNKSPVDGEGEPFFAFTKLIAILHCFRAEVELEGRNKTHMGSRGGMVIADVAKADSMAPMYDKPGMVVKHHDSTRSSKIKREASSWVSVGVCNGMVGDNLPPPTDVVHLYKSLGITHMRIYAPNAHVLAALRGSGIGLILGVANEDLAGLAASPPMAASWVHANVKPYYPAVNIRYLAVGNEVAGEAALSILPAMRNLHAALAAAGLAGVVKVSTCVRFDVITNSFPPSSGVLAHPYMADIARFMASTGAPLLANVFPYFAYKDNPRDIALNYATFQPGTTVTDSGNGLAYTNLFDAMVDAVYAALEKAGAANVGVVVAESGWPSAGGFAASVENARAYNQNLIWHVRQGTPKKPRVALEVFLFAMFNENQKPGELTEKNFGLFYPNKSPETAIFRGKSGEIDAMRSVVQLQPDGSGAAERHHMNAPMDTGNRLVRSDCSIDRVEKKIVGSSSARRAPAMARQQVASVLAVALIVGAFASIPTTVQSIGVCYGMVGNDLPSSSDVVQLYRSKGINGMRIYSPVRPALDALRNSGIGLILDTGNGDVLNQLASSSSFAASWVQNNVKPYYPSVNIKYIAVGNEVDGGATQSILPAMRNLNAALAAQGLSGIKVSTAVQSGVITNSFPPSSGVFAQGYMVDIARYLATTGAPLLANVYPYFAYRGNPRDISLGYATFQPGTTVKDSGNGLMYTNLFDAMVDAIVAALEKAGAGGVRVVVSESGWPSAGGFAASVDNARSYNQGLIDHVGRGTPKRPGTLETYIFAMFNENQKNGDPTERNFGLFYPNKQPVYPIRF >Dexi8B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:8B:22017687:22017959:-1 gene:Dexi8B01G0012640 transcript:Dexi8B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMISSAVALEAVHHVLSRLMERYEHSSDTKEHMERMEMTHIMLETTLKASQRWSITSALLLRWRSKLKRAAQECGHTLRRRRVQEE >Dexi4B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:4B:17350221:17352305:-1 gene:Dexi4B01G0015560 transcript:Dexi4B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGSGSVGARVVSLSVDSPTADLGRGDLGGGGGGGPSADRTVSRRHVSLRLLDGGGEPGVAFEVVGRNPVVVRSPNSGSSSVFRSGEKGELRAGDALSLNPVVVRSPNSGSSSVFRSGEKGELRAGDALSLSLKAPVFWTVRRREGNGEGEGGGEVEAAVLDAVARREKRTRERKEREREMRAAEEAAAMEVTEEEEGAAGSDAEGQEIDLASIDPVREFGFLSMGHEFDSYPKGRIRAPKDWNWFLEETKRNSDDEDDNVGSRRGRSKGWGRNKKKDGEGEDEDWTDESENEKESLARGPSVKRSKYTTRSKAPKRPCQENLKANSGDSNDEDEEIEEEEDEEDETLGGFVVNDEDDEPMEELSDDEEDEFDDEEDDD >Dexi2A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:2A:8320392:8322770:-1 gene:Dexi2A01G0008070 transcript:Dexi2A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPETTKKPAGGLTSPVDRLSALPDALLHAILSFVPAPQVVQTCLLSQRWRHLWRSAPCIKINQQDFGISMRSLMTHAALDEKWARFEDFATNLLLFHDNTSSLDEFLVFAHVYNQRHVDRWIRRGIEYCPAVLRILMPGTDLAFKLPPMASSSFHRLKRFPNEPGTQTLSLAATHSQLLLAARHPSAAALAPELVLLRPALTVAGCRGCT >DexiUA01G0020550.1:cds pep primary_assembly:Fonio_CM05836:UA:42836930:42845717:1 gene:DexiUA01G0020550 transcript:DexiUA01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLTQVVCVFIVLLQIAKHRPTKAIYNELLASSPLGTLRSEVTAGVAASTRGEESFGSIPALIGAGQVTKDGVLGTANAPIHMVTAEAGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPEKDETSVSRKQMLANLDVCMGGRVAEELIFGDTEVTSGASSDFQQATATARAMVTKYGMSKQVGLVSYNYEDEGKSMSSETRLLIEQEAEAEAPAANHIGGDSGDSPQVPRGADIDRLKDVEKEAVDAGGPSLHETISPTREQPAAANPTSDPRVAEAPQRSHGDVDEVVAVENSCGNTSAGVESGIGDELVVEDDALKLVEACLELAEVDESVEEAIGNDDGLLDAMMTNFTGLIDDIGAAVLPAQTCVVSGGELQSSKASEDSQQLGDGIEEGEPVSNLDCEQNDDGGFEEGEIEDEVQDLDSEESGNSDLGDDDDAEDEKLGVNSIIRGSGANGSCDHGTRFGNLHSTSEVMGNSNFTQNNGANVSGDAEMSVTRAQAVSYDEVVDWNETPLPDNEAPNLGKKRKRVLTEERKAKKTKNKRKKRAQERIAAGVKRPKLQQVIKPKKPCHFYDHGKCQQGDKCKFAHDFTPSTKSKVSMLSNLSFECRPCKHFACGSCLKGDDCPYDHELSKYECHNYKNTGMCIRGDRCKFSHVMRTNEGTPTQDAKPSDASLAYDKTNLREHTSSQKISTVQNAQPMTSAPTKQCSILKNLAGFSVNSQNLSNRIPKGVQFLPFDKSGSNLSRPHMDALSIEKPRNANATQHQCPGGSKPERQKITKQNGQESPLDEKIPSNEATMHRKKATLAVNSTAASVNTQHEVSEASRILQEFLFGAGS >Dexi2A01G0028420.1:cds pep primary_assembly:Fonio_CM05836:2A:39694904:39695793:1 gene:Dexi2A01G0028420 transcript:Dexi2A01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSSPSFSRSVLSCSEGQGQSGESSWTDYFVDFMLSEEEKKRQYAESYCATEGEAEGEDDGEEEEDSMISDAASHAPVAALLPDKYKGLKKLKKAFKALDHDDSLEDTASSPVNSPKVSVVSQLKLSPKKRCNIRDLTKRLNTDIDCDHQFIYKKILSFFCMKEAGIGGDHGREGMDCTDATMEGVRFGDQSQMSIAPACAELKDKGICLFPLSVLLHYHGRTN >Dexi6B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:6B:1648422:1649015:-1 gene:Dexi6B01G0001960 transcript:Dexi6B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATMTMLKPAAAKIVAQRSAPPSTTTGGVSLRKKSGLSLSMSASSPAAAAMAGAFFHALASSDAALAAQQVADVAAEAGSDNRGQLLLFVVAPAIGWVLYNILQPALNQLNRMRSQAVVAGLGGLGAAAAMASAPEAEAAAVQNLAALAEAAAAAPADDNRGLLLLIVVAPAIGWVLFNILQPALNQLNRMRSD >Dexi7A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:7A:2707918:2711521:-1 gene:Dexi7A01G0001030 transcript:Dexi7A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTGYFRAPKRLYGRKQCYSEPKMNAALLMNGEVPKGYFAVYVGAEARRFVVPTSYLRQPAFRELMERAAEEFGFNQAGGLRIPCREEDFEATVAALEERRLWRRISGARGGGKMNAMGKAGSVDRCIVAFRTFGEDCGDNMMAMGYFRAPRRLYGRKPEREQQQQREYTLLVDEGEAAAAAGAVPKGYFAVYVGAEARRFVVPTSYLSQPAFRELMERAAEEFGFDQAGGLRIPCREEDFETTIAALENSRRRGGGGRAGPTRWARCG >Dexi3B01G0037100.1:cds pep primary_assembly:Fonio_CM05836:3B:39935978:39936388:1 gene:Dexi3B01G0037100 transcript:Dexi3B01G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVSSALCLTLLLMALLLAPIFGRKEVCDEWLSETYHMLLLCSSKICKEHCIGEGGTRGKCGLITIRSFCFCTKECD >Dexi2A01G0022300.1:cds pep primary_assembly:Fonio_CM05836:2A:34151821:34152582:-1 gene:Dexi2A01G0022300 transcript:Dexi2A01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHRGASLTLPAVLLLLASSIATNLAAAAAAGICADDNLPANRTYAHCAALGPLGATLHWTYDAKTALLSLAFVAATPVAANGTGGGWVSWALNPTGDGMKGAQALLALRTSAASPYVVNTYNLTGYHALGANSTPIAYKATDLAADESGGKVRLYGTLQLQQGMEVVNHIWNVGSAVTADGAPIKHAFAQENLDAKGRLVLSGSVLDPAPEPSPAPAPSGSTTEPTGEAAATYASAPVLMLVAFAGFLAIA >Dexi7B01G0020910.1:cds pep primary_assembly:Fonio_CM05836:7B:26109857:26112603:-1 gene:Dexi7B01G0020910 transcript:Dexi7B01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKYRSRSHTMANNNKKLPPYLLLVLLAIGAAAVSVGILHKMRERRVLTVLLQERDQQLMSFQVLFEKEKENNKEMRRKVDELEAKTSALSIERAELKNKLMDSETTTTYLTNTQKELEAALVEKESHINQMKENVAASNPDQATTGKEFLQEKEAELDKSANSSDSIPVTAEDNSNSTTTSESNHQEENNVVGANNENATSELEKPENSGDSMAAPAEEENSSITNASERSHQDESILVGVNNENTTSDAVVPDKTENTNDSVPTTADEQNSYNTTATESNEQDNSSSQEQFVKLTTNMEDGQPQETKSDANEQSADAPEGSHSDKSELPQWSQKQEDSQEASKEEPDGTKQVENPQGEVSNHSRDSKLLENQDGSVIIEEAAKEINPEGTSSEESLTEANQNKTQAVEPAANPADANPSMPTNNEEIKETSKRHRRRRSRSRRKRRATVAANNNDGNHQMEVDTTA >Dexi3B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:3B:1469716:1470882:-1 gene:Dexi3B01G0002070 transcript:Dexi3B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGPEVFSLGFRFDPKPLDVVSYYLPHLIAGAPLHVAMRPFVHDADVYAGEPGELARLFRPLPKTGQRFFFTSRKLQPQRAGKAIKATRAAGAGSWQSQGSKDVLDKDNKKVGEVTKLRYKKGGKYTDWLMDEYSCGLQDAIVGGDRQLAFCNIYVSPRARQDSVAHQESAAFFAPPPPSAPVVVMAQAAAPHKRPAPEIASPPCPKRMRVAPSHPVVQPPRPPCVPQYAMAPPSTTTLVTRSSLASIQPPAPAPTRLSMQPPAPQRLLGQPKQQQMSPPTPPVVHMPVQAPACHGRPQASVQRKHKILDPFEAMAAEAEDEGGESPAALQDDGDDLAKSLEDALAEAEAEDEAVANSEDSPMSFDDMVKLLEKEILMVPKEEILA >Dexi6A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:6A:4398429:4399265:1 gene:Dexi6A01G0004820 transcript:Dexi6A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVTGALGSLLPMLGELLKEEYKLQTGVKKDIESVQRELGSMQAALRKVADVPRDQLDEQVRHWADEVKEMSYDAGDIVDAFLVRVVGHQLTEHSCFKGLMEKTANLFKKARTRHQIGTAIKKIKAQIQDVADRRDRYKLESVGANSPAPTTPITVVDPRLLSLYKDKKEIVGIQEPMDNITKRLEASKDQLNILSIVGPSGLGKTTLTKAVYDRIQWQEYSLRCFVSVGRNPDVKKVLMEILLQFDKEKYINSNMLAAFDERRLIDEIRRLLTNVR >Dexi5B01G0029680.1:cds pep primary_assembly:Fonio_CM05836:5B:30841833:30843247:-1 gene:Dexi5B01G0029680 transcript:Dexi5B01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVERATSAAAAGGSSDSEGMAVDHAAGPGAVEKPRFDPLMPSEMSGGRPQFRKVPVPPHRFAPLKRCWMEIYTPVYEHMKVDIRMNIKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >Dexi7A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:7A:20376515:20377191:-1 gene:Dexi7A01G0009320 transcript:Dexi7A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDADKGGNKALKVAASVSGVESVTVTGSGKDLLLVIGDGVDEGKLTKKLKKEVGEAEIVELRTLPSSTTSTSTTNASSASYYHHRTPSPGYYQHYAASPAAVAGGQGVYGYGYQHYAGGSSSGLYAREVARSHPANYSPMIARHDSRAVGWETPRAPGGEGRREHGGGGGPNCCSIL >Dexi9A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:9A:155701:158086:1 gene:Dexi9A01G0000200 transcript:Dexi9A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDQDPDITRWGLHLLLPDAAADACSATHHHTPATATTPHSAEIKVEHVAASDAVDNDEIIAQTLQEELSQIALAEASGAPSADDNHSAVLTQQWFRPRTIHVASGTSPASSQEEADCREEEPFSSCSSPGDDNGQHGETCLTDLMDDFSVLDGEVGKRLNDMVPVPHVPKTNGDIPSVDEAFSDHQRLLDRLVLYGLVELKVNGDGNCQFRALSDQFYRTPEHHRFVRQQVVKQLESHPEFYAGYVPMDYREYLKKMSKSGEWGDHVTLQAAADSYGVKVFILTSFKDTCYIEILPVVEKSRRELPVLENKKRSWWPF >Dexi4A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:4A:8711059:8711391:-1 gene:Dexi4A01G0010800 transcript:Dexi4A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAPADASSPPAPKPRKAVRVVVKGRVTGVGFRDWTASTAESLGLAGWVRNRRDGSVEALLSGDPAKIEDMITRRFPVGPPAATVTAVVPSPAEPVDPSAGFEIKFTV >Dexi2A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:2A:6404047:6405155:1 gene:Dexi2A01G0006820 transcript:Dexi2A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGQDLWGDWEVLPDRKSLSMAHASIHLDEEHILPPSEDSAVPEPVVECKDIGVVPDETKPVPSVTDLMDSDKYEEEKVFQSPDAKEVDHDKFAEEEEAKALQSPDAKEVDSDKFSEEEEDVKVENNKAQPECVVFSVGKLKVNGIGALCSFGVAAATVCIFLTGGKLQHHHKQQRQKIQLQFYGDDKSIHQVVQQTSRLNQAMSSVMGAGGASTRANISFGGFYDGF >Dexi3A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:3A:11027703:11028080:1 gene:Dexi3A01G0014970 transcript:Dexi3A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAPLTVMKRVITTKSVEYMPFTLSFVSFLNGICWTTYALIRFDIFITIPKAMGTLLCTLQLVLYFCYYGSTPKGDNSGVELPVTASDGGRN >Dexi8A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:8A:22052136:22052609:1 gene:Dexi8A01G0012520 transcript:Dexi8A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYLDADENLEAIISHIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMK >Dexi2A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:2A:33699066:33699495:-1 gene:Dexi2A01G0021620 transcript:Dexi2A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGAAVPAVTLIMGGNLLKGIVAVRYVLLPLLGPAVVKGAAHLRLIQPDPLYQFILLLQYAVPPAMNIGERACY >Dexi9A01G0023580.1:cds pep primary_assembly:Fonio_CM05836:9A:18976082:18977271:1 gene:Dexi9A01G0023580 transcript:Dexi9A01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAPSSRGTALISSVSTSSAATGTTANSSTLRIKNDQGQKDRQLSKGFLTLNGASRSSCGEMKVESNSLDTRLGTMEVMFVVTKRAVEAAISVELLNTGEFCGEIGARTSSIQESVVLHDSKKVAGGAIMTCNGGGAIQLLRRVVAVSLKEKLEVTAKTGDGENKSTVWFTPRASGGDEVEIVCGPIKMLVKG >Dexi2A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:2A:8666071:8667064:1 gene:Dexi2A01G0008670 transcript:Dexi2A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQHSAQDRDYKLMKKAVDEAYRAVKTGEGAPFGAVVVRGGAVVASCHNVMLKNTDPSAHAEVTAIRQACKRLGKLDLSDCEIYASCEPCPMCIALIRSTKIKKVVFGAKAEAAVAAGYDASIPDAFVEYYRKSGMEIRQVDGEAARIAERVFEKAWEIPDEAMQRSRTGGDGWFEKAKGMVKCSGFCGLWK >Dexi1A01G0031490.1:cds pep primary_assembly:Fonio_CM05836:1A:36450076:36451879:-1 gene:Dexi1A01G0031490 transcript:Dexi1A01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGPTVAFIAGDPPPEAAATEEEVEENSGAEEEEQEDDELELGLCLGSKKQQQPPSPAPCRILTARDLQPGALSPDSSVSSSSPAAGAAAASKRAKADAAPNATTSPGTVATGHPQSFGVVGWPPIRTFRMNSLFNQVKDNAPETGAKKATDESDMQKDKEESEKKGRVVGWVKVNMDGDIIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSAKSLNLLDSSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANGLG >Dexi2B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:2B:4077503:4079422:1 gene:Dexi2B01G0004450 transcript:Dexi2B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESKASPPIASSPPAPATSPLLDVSFLPLAKQKPPPAQAHPLSARPRCCAGPLPFPSPFFILRIQAFLIPREEIASSLKAIVARGLRGLVVSPGRAEGGLAAMRWWARAPAVLAAAAVLWLIAAAATGNADADAGEFERAFPIVEPDYGHTKLRLAKEGLEAIQRIKTPIAAASVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWVWGTPIELDIDGSIVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVEIAEEFYGRF >Dexi7B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:7B:21279175:21279894:-1 gene:Dexi7B01G0015260 transcript:Dexi7B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLPLAILLLLVAAVPASAKDYTVGDSSGWKPGVDYTAWAKGKSFNVGDTLSFQYAAAHSVLEVSAADHSACSASNPLRSHEGGQSTTIPLTKPGTRYFICGGAGHCAAGMKLAVTVSGGGDSEATSSLGPTMRSTNATPATGTTPAAATESSSDSSAAAAGGARLAMGLLLGAVGLAALVG >Dexi9A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:9A:1591540:1595331:1 gene:Dexi9A01G0003020 transcript:Dexi9A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVQVRTHAQGEGDAGRGAVVEGDAAFSWTSVILPFLFPALGGLLFGYDIGATSGATISVQSADLSGTTWFNLSSVQLGLVASGSLYGALGGSILAYRIADFLGRVLYGIGIGLDVMQLNCYSALCQAMHGAPLYIAETSPSQIRGTLISLKELFIVLGILVRTIQIDNVGGWRYMFGFGAPLAAVMAIAYAGQDGEGNIWEVFEGASLKAFTIGGGLVLFQQITGQPSVLYYAASILQVPIFFSESASDAARVSVLIGLFKLLMTGVAVFKVDDVGRRPLLIGGVGGIALSLFLLAAYYKILNNFPFVAVGALLLYVGAYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPENIFFLFGAIAVLSLVFVILNVPETKGLSLEEIESKILK >Dexi8B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:8B:16637154:16641412:-1 gene:Dexi8B01G0009380 transcript:Dexi8B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFLAAGVSAARSSTIRLLCTATASLPHLLALPPVAPSPAADELARLLLAHHNPFHPSESPLQLLSGGGVSLSGDLLVQLLLRLRGASKLALSLLHSARLHPSFASTRPPADAYDAVVDALGRARQFDAAWRVVVDASADGAASPRTFAVLARRLVVAGMTRQAIRAFDDMEAFVGREPDAIEFATLLDTLCKYKYPKKWREACQFFMEMIEKGILPQKITFETLYRGLIQADMLRTWRRLKRRVDEEAAKFGDEFKLYHIKPYKRNEFACEVMRLDFTDEIMMPVVGESMDALGFV >Dexi3A01G0029690.1:cds pep primary_assembly:Fonio_CM05836:3A:31961331:31961938:-1 gene:Dexi3A01G0029690 transcript:Dexi3A01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAMGKLSLGAKALSEGGFDKLYKQTFSSGAEEQVKKTFACYLSTATGPVAGTLYLTNINVAFCSDRPLTFTAPSGQTAWSYYKVMIPLAKIAAVEPVTMKQSPPEKYVHMVTVDSHDFWFMGFVSYDKAVHHLTEAVSRRPQEQHQQGFADAATATAGTN >Dexi9B01G0027470.1:cds pep primary_assembly:Fonio_CM05836:9B:29906250:29908266:-1 gene:Dexi9B01G0027470 transcript:Dexi9B01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAGSPFLVAGSSSSRRPLGAAPTRRVSLRVAALKYDPTKVAPQSDRVLVRLEQIPEKSAGGVLLPKSAVKFERYLMGEILSIGADVSEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >Dexi2B01G0024570.1:cds pep primary_assembly:Fonio_CM05836:2B:33958242:33963678:1 gene:Dexi2B01G0024570 transcript:Dexi2B01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAALGAAPGPGAPPPGAAAGEQQAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPAASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENAPILPVMLSSKLLPEMEAEETTKREQLLSGITNLPVPTQIEKIKVRIDMIGSACETAEKVIAECRKTYGLGTRQGTNIVPTLDKAQAAKIQEQENLLRAAVNYGEGLRVPGDQRQPQSLPCHLVEVLPFGDGTQNFGDNSGTQILLVYLSYLNVNDRGYPKNPSTFTPSSVNTQGNPIQMANMPGTMQSQSLMPQMQYGMAGGHQQRSHPSQMLTDQMFGMGATNSSMMGMQQQQQQQGVYGNMQAGAQNMQQGMVGLQNQAQNPNFPQQRQQN >Dexi3A01G0025460.1:cds pep primary_assembly:Fonio_CM05836:3A:21183384:21185247:-1 gene:Dexi3A01G0025460 transcript:Dexi3A01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQYLGMLSLGMKYPEKRKNTPRHTQMSAFPSTKSGDTALRNSTSELAMSTMSHTASTKNPKHAAAARSPAIHGAEKRSDTARRHGANTAALATTYEERRYARRDASRISTRRSWSRMGSASTVEERRKLRVKAKRPSRRATPSGLSGLRRKPSPPTTACAARAAKSSRVRYIVRQHRTVSAVTSAHLVVVVFFLLVVVGVGVVVMVVLLELVGDLHGELEGVELDGDLVAEPDQRVLQRLERAGVGDGAVGEEEQRGDVAGEHAVAGLVDAEHHHLAARAGHAVEQREDVHGGPAVEPRRGLVEQQHRRVVHHVHRDRHPLPLAAGHAAGPSAAADVAVGHLGQAELVDQCVHAFEAALTCRRGGGGAEPEAGGEEDGLADGEEGEEVVVLGDEAAEPPEFGAGGDGVAVEGHRRAGVGELGARAAAGQDAEQRRLAGAAGAHHGEDVGAGGREGDGLEQQAVVSGAGGGGGGGGGQEGWQEGGGGGAAGRCGAGEWGGDASGEKEKEGEAESGGDEEEVVEVVDMSRVGSSSDERS >Dexi9B01G0025530.1:cds pep primary_assembly:Fonio_CM05836:9B:26116351:26120380:1 gene:Dexi9B01G0025530 transcript:Dexi9B01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMEGAHVNSGAAAWPDPSDFNGTAAWGGLVHPPTRTVTRLYRNKEHAEVEYTIGPIPIDDDVGKEVITRLTASMITNSTFYTDSNGRDFLKREPF >Dexi8B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:8B:20474693:20475217:1 gene:Dexi8B01G0011430 transcript:Dexi8B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTWKKPSVPALGWWLMAVGTFRSAFTLSCFFGSASLCSATLSETQITSVHARTTGVWTLLSCTLCFLCAFNLNSKPLYAATFLSFVYAISYLAIECLVYHTMRATSLSLFSFVAGTTTDHRVAHTGYEFIF >Dexi4A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:4A:3745960:3746529:1 gene:Dexi4A01G0005200 transcript:Dexi4A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAIRFLVLTLSGLLLVTIPSLCAGTAAHVQEKCQPSGTVQGPRLGYPCEGCCKPGHFYLTYQCSPPVTNHTKAIMKLNEFTEGGDLGLYCDGRFHLNRELVVILSTGWYGNGKRCGRLIRIEANGSSVLAKVVDECDTVHGCDRMHDYQPPCRPDVVGASKGVWDALGIHDPEEIVGEYHVSWSDA >Dexi5A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:5A:11331211:11331751:1 gene:Dexi5A01G0014350 transcript:Dexi5A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNGCQHKIRKTLSAIDGVSEVYVDQANHKVTVVGVAGPERIIKAIRKTKRVPTIFSHIDPAAEAQPPPAEAEASPPAEPPADAPPAEAAPAEPTPETKEEPPPETLAMDSITVTQWTCMASDMMLRLTM >Dexi2B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:2B:10072561:10075846:1 gene:Dexi2B01G0009320 transcript:Dexi2B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQFQFPWLKNPTTTTTTTSSPNPNPSPSIPNPFLPIQAHLTSFLSSLPRALPPPPPWARIRSPSPASATGSSSTALPSSEIEERLAGVPVYALANAAHEFVLVSSARAGGHGGEGARPPPALGLLCFRKEDADALLEQMEGDMRAGSNVVPVALNKVIQLKSDGVAFRFLPDSSQVANAVKLMQDEGLYATEGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLYRTSRDQQKPNPAVRLGDTHVSSLEDIIKSMKDSSSSKWDDVVFIPPGFDLATGSKPSHSKQ >Dexi3A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:3A:683687:684876:-1 gene:Dexi3A01G0000930 transcript:Dexi3A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGNKGKSLVSGGHGGSEMMEAMRRQQELVMQLRALVLPLLYAVDATSADVAVQLFDDVIACNISVASKIEGFLMMMTTTGAGGGPVDDLLDDKSLVRKSNSTTTAGSGGRTTEQAKPNGVGQKRRRNDKRSRSLVTHVPHYDGHQWRKYGQKNINGRQHPRNYYRCAYRERNCLATKTIEQQERNTGTSSAMAGEESAKYTVVYYADHTCKDYSNSMSMAQTPRQHVNMDLRNGDMVQTTTNAQEPEADLDLPALLEVFESSLINLDDWNEDMISSSPV >Dexi3B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:3B:11279898:11280455:1 gene:Dexi3B01G0015540 transcript:Dexi3B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWCRGEFSDRYHTRSSASASAPRRRRPATISTIELRKSAYGLCDRFTTAVLPPPATAAPEPPPSFRSSTTGFFFFSFSCSLSPAAADAAVRRNAPPAGLRAPPWRGKSTVESEEKLREENSVDLVSVVVVWPFSPSLLNGASSPSSVSVDDDDDDQLYSGERSL >Dexi3B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:3B:7736734:7738172:-1 gene:Dexi3B01G0011000 transcript:Dexi3B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAQRAEAHTLKAHARPRWSAPGAGGEVAVQKVDKIEFHSLVSGPRMRGLTPAPAPRGVVEAGEDINKKAEEFIKQRKQWFHRP >Dexi2B01G0036430.1:cds pep primary_assembly:Fonio_CM05836:2B:43265942:43270727:1 gene:Dexi2B01G0036430 transcript:Dexi2B01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRLLRRGLLSMLPSKRGSAGADAGQPKRPKTASPNGAPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVRSVTIHDVRNVDMWDLSGNFFLSEEDIGKNRAVACVSKLQDLNNAVQVSALTEELTKEHLSKFQFTVLDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMVELNDGKPRKVKNARPFSFSIDGDTSSYGVYTKGGIVTQVKEPKVLRFKALRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDNFRKEHGRYPTAGCEQDAQKFMKFAADINEASVESKLEKIDDKLLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTRQLDPQDLKPSNSRYDAQISVFGSKLQKKLHDANIFVVGSGALGCEFLKNLALMGVSCSTKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINPSLHIDALQNRACPDTENVFHDTFWDGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLDKERCNTFEDCITWARLRFEDYFSNRVKQLTFTFPEDASTSTGTPFWSAPKRFPRPLQFSAADSSHVHFVMSASILRAETFGIAIPDWAKNTSKLADAVNKVAVPEFKPKKGVNIVTDEKATNLSSASVDDVAVIDDLLSKLEEYAKNLPPGFRMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPAKVMKHQDLSWTVWDRWSIKGNLSVAQLLQWFSDKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKLEVPEYRRHIDIVVACEDDDGNDIDIPLVSVYFR >Dexi4A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:4A:2035272:2036651:-1 gene:Dexi4A01G0002880 transcript:Dexi4A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAKILAGAVAVLLVALELTLFLCFRLSRPFYLSSAIILSSVLAGMVAALLCHALIPHGRAELMARRPVIAGGEEVAVRGEYSFFRKVAGLPSRFSLETLTAATDDFQCVVGRGSSGTVFKGILDDGTAVAVKRIDGSAHVDKEFRSEVSAIAAVQHVSLVRLLGFCLVRNNGPRFLVYEFMENGSLDKWIFPQHGEGRRWLTWQQRYQVAVDVAKALAYLHHDCRAKVVHLDVKPENILLDDRLRGLLSDFGLSTLMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSYGMVLMELLGGRRNLQAESVAGGGGGGGSSRRWTYFPKLVADKAREGRVMEVLDRRLAPASVDEAEVRRLAHVALWCAQEKAGARPTIARVVEMLEARGGAAVDLPPPSDMIVVDLLALNPAAHEHGGGGTFGLPTLPPASAVTASSVVSMSDSFALSYLSGR >Dexi9B01G0040070.1:cds pep primary_assembly:Fonio_CM05836:9B:40701411:40705759:1 gene:Dexi9B01G0040070 transcript:Dexi9B01G0040070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGLARIETHGKKKHENGVCHDDSATPVRAQTIDELHSLQRKRSAPTTPIKDGAATPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPATPQKHHQHHHPAAPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTDVFGLEIPTDIDGVPSEILDPINTWTDKAAYKETLLRLAGLFKKNFEVFASYKIGDDSSLTDEILAAGPNF >Dexi1B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:1B:23993592:23994962:-1 gene:Dexi1B01G0017660 transcript:Dexi1B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQTGKSGGSGGGTPAKRGRPIGSATGAGAAALAAAAAVGDPGAPAALVGPSLQVLSALSVG >Dexi2A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:2A:11464517:11464817:-1 gene:Dexi2A01G0010310 transcript:Dexi2A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFDLRQFLYNSRWPYQFRKINELVQEMDKDGKWETPAEGLQTAQTGAQGGGMGVVSAGSANPSAGF >Dexi7A01G0022610.1:cds pep primary_assembly:Fonio_CM05836:7A:30792435:30795900:-1 gene:Dexi7A01G0022610 transcript:Dexi7A01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPNGFAAGGFFKHIDGKNSSPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKHGQQVPQEIVAKVGETFEKILKETVKVRDEHANDMALIQAMAIVFERNPHLKLEGLEYEVLQWCICRLEAWFATDTDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIRLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPEEKLSAIADLGVGIENKIALKFNTVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEKLSDEESVNFVMSQLRKMLPEATEPVQYLVSRWGSDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIVVREEMTEVMVPFQISRL >Dexi3B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:3B:14097172:14100118:-1 gene:Dexi3B01G0019000 transcript:Dexi3B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDMLAFESHQGLWNSGYYSQLFGIGGVMITVAILMLSTGYFGGIGAPFAPYFWPYLGQVPKKREHKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFKKYNIDYIIHGDDPCLLPDGTDAYELAKKVGRYKQIKRTEGVSSTDIVGRILLTFKHKDADDIDEKVEVKPNDELKSQLSHFLPTSRRIMQFSNGQAPSPCARVVYLDGSFDLFHAGHVEILRSARQLGDFLLVGIHDDQSIRDRRGHRPIMHLHERTLSVLACRHVDEVIIGTPWEVSKDMITTFNISLVVHGTVTEGISAGKIDPYAVPKSMGIFKIITSPKSITSVSVATRIVDNHEAYMKRNLKKKASEDRYYTQKKFVSGD >Dexi1A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:1A:3593124:3595298:-1 gene:Dexi1A01G0004940 transcript:Dexi1A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQSSWMSTTAMAMAMAVVFVASSATGVRGQLTMGFYDQSCPGVERLIGSFVRQHVDRVPTVAAALLRLHFHDCFVRGCDASVLLNSTAAGVAEKDAPPNLTLRGFDFVERVKTLVEEACPGVVSCADVLALAARDAVTAIGGPSWRVPTGRRDGTVSNMQEALDELPKPSMSFKQLKDLFATKGLGVRDLVWLSGAHTIGIAHCSSFADRLYGFRSAGAGNNTTDPTLDAAYATNLRSRKCRSPDGGNAEDAAVEMDPGSHLTFDLGYYRSLLKHRCLLQSDAALLTDPVAKADVEGIAGGPDEVFFQLFARSMATLSTVQVKTGADGEIRRNCAVVNSPSN >Dexi1A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:1A:8957758:8959482:1 gene:Dexi1A01G0010360 transcript:Dexi1A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRFLHLSLLFLLLLASPSSCFTHEQEDHDGGGADSLHESFHRCFVNLSPATTNPSQIIHTPSSSSYPSLLNATIQNLRFVSPHTPRPSLLLTPSTIAETQACVACCRRHGLTVRPRSGGHDYEGLSYRSVVATGGGGAPAPFAVVDVAALREVRVDAACREARVGPGATLGELYYAVARENGGGSPLGFPAGICPTVCVGGHLAGGGFGPMMRKHGLAADNVVDAEVVDADGRLLRGRAAMDEALFWAIRGGGGGSFGVVVSWTVKLVPVPPAVSVFTVRRLVRRGDLRQTESMVKLLTKWQRVAHALPDDLFVKAAMESKLDDDGERHPLVTFKSLFLGGNCTAMIAEMNTHLPSLGVTTSDCRDMSWIESMLYFYGYTDGSHPVEVLLDRTLQPKDYYKIKLDYLTSPIPAAAIRGLLERIVEEKGGSIDIDPQGGVMGETPESATPYAHRRGYLYNVQYFVKWGGDANVSYEDEHLSWVRGVHGFMAPYVSSRPRAAYVNFRDLDLGMNVDRETTSYEKAREWGEMYFRGNFRRLAMVKGEVDPEQVFWSEQSIPPLLVAADAGERQSE >Dexi4A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:4A:6195958:6196515:1 gene:Dexi4A01G0008240 transcript:Dexi4A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSSAALVAAAVVVALLALAPEASRAERFIVGDAARWTWGYNYTDWVIKKGPFFQNDTLVFMYDPPNATVHAHSVYMMRNAADYQSCNLKAAKLVAGVMQGAGSGFEFVLRKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >DexiUA01G0008490.1:cds pep primary_assembly:Fonio_CM05836:UA:15902563:15902985:1 gene:DexiUA01G0008490 transcript:DexiUA01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKFLEDDKSRSNGSSPDNELWERLRRRSPVRSPRTGEMLPWSPLPGKETSSPLQAMPSQEQQLAVPFLRHDIARPPSNDSPVMNRSRELFSERSSTVMAKPVNAMAGYLFLLIVVLVADASCCMFLS >Dexi5A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:5A:2243309:2248745:-1 gene:Dexi5A01G0003100 transcript:Dexi5A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAHISPAAACVAPVVLWTTLLVAAAFVLWTLLFRGGLRRGASKETNARLPPGSFGWPLVGETLDFVSCAYSSRPEAFVDKRRLLHGSAVFRSHLFGSATVVTSDAEVSRAVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLVGAFFKSPQLKAQVTADMQRRLSPALDAWLFEILVKGLIGLEAGLETQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARMIQRIIQDKRRRRGTLDGGEALPPRDAIDVLITGGGSGELTDELISDNMIDLMIPAEDSVPVLITLAVKYLSECPLALQQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRMGNIINGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDKLYDDPYKFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKD >Dexi3B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:3B:8445625:8449541:-1 gene:Dexi3B01G0012060 transcript:Dexi3B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAEPKEEAAGAGAAAAAEDGPGGKEEKAAAVSCSICLDAVLAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRSAHDVNMDEWAHDEDLYDVGYTEMVHIYHQPFRFHWCPFGRLAQLPSLFEEGESSPPVTFHDFMGQHVFTEQVAVSAAPGTTHPCPYVAYLHPLPPLTSPSSSHVPERTMDRPAYHDHWTPLAGSSDVRSMQTVPPADFHHNHWAHMPHSYAQPNSNNEQPGIPFGTRAARVDGDSQRRASVVSPSYFSNG >Dexi5A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:5A:20534004:20536927:-1 gene:Dexi5A01G0017330 transcript:Dexi5A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHAALPLEAAPSMVGAAGYCVGFSCALAALRAQAKLAGGCDLGASRRGCRWSRARELTLLEKVATLERQVEELHYRRAEDAKANEKVAGIFASHEQRWFAERKALRRQLHAVVAAARARDAKREDEAAELRKTLEEQRAAKDEALEQEAARREAAEERLRAAEERAAKEAAEHAAEVRKHKAAFVELASAQRQLEADLARAARLADTAEAELRAALERRDEAASAAAELSGEAARLRRDADHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRGHRRGSSRSSARCAADQPPPAGYSSDKLLAPDAAARATNETKIQFVDRVEDDGKKDHRQAPPAKELTTIECVDRYASHVDDKPAVEEYEGLQEWFQMETEKYTAMMKHRHSAEIEAFTEQLRLKDEKLEAFRWRAVSMDVEATRLRGRIQELEARLAQHEKHSAELEDLLLDREKENRALTEQLETLQTQPLLDGVEICTLASDQADADERCIPCSPVKIHRTVSGEADRLSSCSSRHQETKLGDELVFPDGHREKVFDVEATLVVAVRDLAARSMEHDRRDVPARQSFRLEIEEEEEKEVYTDPRHAQRTQASPSSSSQEATSELALVVVPPDHRKNSAGGKTDIHALAVSYKIKRLKQQLVVLEKLASEGKEEAAATATKPAGSEASSSSSRRQHSRTRYQTMMSFPSKHVKRYQSLDDKIDNLCARMEESKRSVGRQRHGAGEQSAALGQFLEETFQLQRFMVATGQKLLETQSRITPGLGRGGGGEDGVDMKRLMEVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILRATR >Dexi4B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:4B:4549295:4555820:1 gene:Dexi4B01G0006490 transcript:Dexi4B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVGAACVLLARAAGPGPRRNGFGGDQARLRRLQRAVRRRCVAELSREGPAPRPMPPAQLAPPLVPGLLAPPPAPAREPASTPPSVPDDGLGDLDLQPEGKRRLGRFHFVDVCDGGSGWRVEYLVISEDFTKDTVVVESEQASKIMVGKEQDSEIMVGKEQAKAEVTHSVVFVTGEAAPYAKSGGLGDVCGSLPVALAARGHRVMVVMPRYLNGTSDKNYANAFYTEKRIRIPCFGGDHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDKFGAYGDNQFRYTLLCYAACEVPLVLELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYKDSRSILVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSKGYSWEVTTAEGGQGLDELLSSRKSVLNGIVNGIDINDWNPATDKNIPSHYSADDLSGKAKCKAALQKELGLPIRPDVPMIGFIGRLDYQKGIDLIQLIMPDLMRDDVQFVMLGSGDPELEDWMRSTESTFRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHATGGLRDTVENFNPFGDNGEQGTGWAFAPLTTENMLWTLRTAISTYREHKSSWEGLMKRGMSKDFTWDHAAEQYEQIFQWAFIDQPYVR >Dexi5B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:5B:8153347:8154120:-1 gene:Dexi5B01G0011490 transcript:Dexi5B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVVVVPSRALMCWLLLACMLTPLCTSDGAATAAFQGHKRDAVEVVGKALVCFNDRYIYSGCQGSFRLGPQGSLDVPPGSADAFCGGPCLAETELVLRCVDDIMANFRFYNGASAADVRFALDRGCSSGRSGLRGDFDVLRRIGADAAANYGDGYFYGSGNNSLPPALLLAAAILVWA >DexiUA01G0025690.1:cds pep primary_assembly:Fonio_CM05836:UA:54043945:54046982:-1 gene:DexiUA01G0025690 transcript:DexiUA01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLVEDDKLIGDGIKAGLSKMGFSVDWFTDGKTGQTALHSAPYDAVVLDLTLPEIDGLDILRAWRESGRREPVLILTARDALNQRVEGLRLGADDYLCKPFALIEVAARLEALVRRSHGQTRSELHHGKVTLDPTSLVATLDGETLTLKPKEFALLELLMRNAGRVLPRKLIEEKLYTWDDDVSSNAVEVHVHHLRRKLGSEFIRTVHGIGYTLVLSLTAWFAASLVAWQQTTHTLDKLFDTQQMLFAKRLLTMDLDEIRAPERMREIPKKAKHGRLDDDALAFAIYATDGKMILNDGENGRDIPYHYRRDGFDNGRLQGDNDEWRFLWLTSPDGKYRVVVGQEWEYRQEMAVDVVSSQLTPWLVALPLMLLLLIVLLSRELKPLKKLAQTLRSRSPDATDALPTQGVPTEVRPLLDSLNHLFTRTQEMMARERRFTSDAAHELRSPLAALKVQTDVAQLYQDDPQAQQKALAQLHAGIDRASRLVDQLLTLSRLDSLDNLDDIEPIVMADLLQSAVLDIWHPAQQAGIDIRLNIHAPQVTRQGQPLLLSLLVRNLLDNAVRYSPHGSVVDVTLDTRRFTVRDTGPGVSTDALARIGERFYRPPGQDATGSGLGLSIVRRIAALHGMRVALGNAAEGGFEVKLNDTLTEVADGFLRDAGHDVKVVRADSDYDVNAEVQNFLWADVIIWQMPGWWMGAPWTVKKYMDDVFTEGHGALYASDGRTRSDASKKYGSGGLIQGKKYMLSLTWNAPLEAFTEKDQFFEGVGVDGAYLPFHKANQFLGMTPLPTFIVNDVIKMPDAVLDEFVKIIPTVLKEEGCHGYAPMVDATTTASFQATAPDSIIMIEQWETVAHLEAHLQTAHMKAWSDAVKGDVLETHIRILEQGV >Dexi7B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:7B:25850528:25851407:1 gene:Dexi7B01G0020580 transcript:Dexi7B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSYGDILKATNWLSPVNKISSSRTGSVYIGRFEFDTDLVAIKVFHLDEYGSLNSFFMECEVLKNTRHRNLMKAVTLCSTVDLENNEFKAIVFDFMANGSLDMWVHPKLHENCPKRVLSLGQRIKIAVDVASALDYMHNQLTPPLIHCDLKPANVLLDYDMTARVGDFGSAKFLSSGLCSPKGFVGVGGTIGYIAPEYGMGYKISYGCDVYSFGVLLLEMLTGMRPTDAMFTDGMSLHKLVSLAYPNGLCEVLDPTCQKGETTYLQH >DexiUA01G0014650.1:cds pep primary_assembly:Fonio_CM05836:UA:30673394:30673727:-1 gene:DexiUA01G0014650 transcript:DexiUA01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVESSTSRAMLPTCRTWVLVTLSVTWSLASLRNEFIISNSSEITSRSRCTLFFSLASSSMADLTMVMVPSTVVLANPSTRSAIVLRSLFLSRSLSVSVVR >Dexi8A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:8A:19775384:19783734:1 gene:Dexi8A01G0011180 transcript:Dexi8A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGSASRQGRLLISPSLSTPTFSTRSASPSPAASPAPHHHHHERRNSTSSPKPLVPFPSSSSSAGGTYRPRSSTGGGAGPRGAGASASSAPGAAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAIGLAAAYLLDALQLRQGAFFTVWAALIAADVAFFFSASLSSAAAASLPLTTLALLLCAQTSFLIGVWASLQFRWIQLENPTIVVALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNNRKQDAPMQDSDGILGPLESCVHSLYLLFVPVLFHAASHHATLFTSWGSVCELLLLFFIPFLFQLYASTRGALWWVSRDARTMDQIRIANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTITMLGGALGLAAHAAGKVGDAASSAAFTVLAVLVSGAGAVVIGFPVVSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSELITAAHLSDDDTSIYGFVASKPTWPSWLLIVTVVLTLAAVTSIIPVKYVVELRALYAVAVGVTLGIYISVQYFFQALVLYPLLVATVVAAAVFVVFTHLPSESSTRVLPWVFSFLVALFPVTYLLEGQLRAKSFADEDEAEKFTNMLAIEGARMSLLGLYAAIFMIVGLEIKFELALLLRDKAADRGVAHGPSSRSSAFPPKARLLQQRRAHAAPTFTIKRLEAEAAWMPAIGNVSTVLCFIICLVLNMTLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPHGRIKTSDQSIATDEELCGGKLLRVHVHEAASSWSLHAASAAATHSLHLRCHAQSPPRGLKRRHHAGRDILVAALAVAAPPVAWPSPRGTLSRSSLITAPAAAAAPASTLSALRLLSPRPRARLVLPAPARQVLLPHPQLDTRRASSTEEPRPSTSARAVAAVMETRITPRKAAADHAEEGRRGGGRTRPWR >Dexi2A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:2A:11551535:11552557:-1 gene:Dexi2A01G0010390 transcript:Dexi2A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSLGIRNWGFYDTMKGNLGLQLMSSVPADRDTKSLLPSGAFLHHHGHHNAPHQLHSHHSCNSGSGGASSGMPTEPHSIHMDFSRNEAWLHPSHHQHPREQKVLNARPVGPAGNVGHSGHGGHPGHGGHAVRHQPTIYGMMADAPHTLQMMQPPLQPQPQEPPPCKEDPVPPPLVEDHSMVKTEPPVKKRQQGRQPKSPKPKKPKKAAVPREDGAVNGHAPRRRGPKKTVGMVINGIELDLSNIPTPVCSCTGAPQQCYRWGPGGWQSACCTTSISTYPLPMNAKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLANPIDLKNFWAKHGTNKFVTIR >Dexi9B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:9B:5772804:5777533:1 gene:Dexi9B01G0009380 transcript:Dexi9B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACLLISPGWYSHLNRPPLVTATVRVTTVESVQASSAHTIDTKIWNMPSPPGPKLPRSSPPFRLAPDSVAPSQREKNLSSSAHLLRVHPAVTDIPAPLRAAPTRQRVGDGRFEISLFVVVFIDSPCLLSHYRALLETFETHGEPGEATPARFSLQLPRKSAGSSASRTRVSATMDLRVAAAAAPGAAGVRVLGGGVVARVPAPRPWALRGRRRLSVRMTVATTEAASAAAADVATSVDKALEARNSKTVVAVILGGGAGTRLFPLTKSRAKPAVSIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSKSYDCTNSVAFGNGFVEVLAATQRPGSEGKRWFQGTADAVRQFDFLFDDARNKDIEDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGIMKIDDTGRVLSFSEKPKGDDLKAMVRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEEPPKFSFYDADKPMYTSRRNLPPSMVNNSKDTVMLGADYYETDVERGELLAQGKVPTGIGENTTIQKCIIDKNARIGKNVTISNSEGVEEADRTSEGFYIRSGITIVLKNSIIADGTVI >Dexi4A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:4A:4889466:4890141:-1 gene:Dexi4A01G0006800 transcript:Dexi4A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLPCPFPINSLFSAQWRYQHLSSHQSPNRAVKSIWYHHASSTMAMIGPRLGTRRPALRIRTSAHGNNSSHLSFAAARDKKKVFEDQLRGIVCYIDEKGEMICEGYDEGPRLGMQLPEKACFPWPVGIQVTDFIQLATLRVFEDPEALQLKIQE >Dexi7A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:7A:16987909:16989312:1 gene:Dexi7A01G0005750 transcript:Dexi7A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSGSKTIQAPSQQSTSFGTGLMSNAQEYDAAYAATVAAVAYAIAAREEGLGYQENPVSEKFRTEEKPMAGKVAPRKKHTSFSEPQATLQSKLADKRGESFKRQPIEGSRSSKWFSGKEPIDHGYDDDEPGANISVRRPLRPPAQKMPEGAMTSDEKVAEKVLNGSASSIKEPTFAPKWPSRKFEKDQGNQMVPPTAGPQARPMSSYSGRGSRVATESEAFPSEAEAMANAWEKEKMAKIKKQYNEAMETIAEWEAEKKAKARRQKEQKDESESERKRAKAMEEYNEEISRINKVAAASRLTAEEKRRNAERKVRDKSQMIRSTGKLPRTCGCF >Dexi2B01G0022810.1:cds pep primary_assembly:Fonio_CM05836:2B:32442003:32442738:1 gene:Dexi2B01G0022810 transcript:Dexi2B01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTFDAASRSLSVTSAEGSSELREQQHQLAHGAASPSPPGGGGVGIIRCHDDRCLLAKADDCAHRPCCSSSGSRGFACPAHVSGSTAAAVAPTRKRPRALHSPATSSSGTFGQPTTVERFAREVSLEAVVFRRVRLGPHDAEVAYHTTVTIGGHVFRGVLYDVGPARSQRRRSTASTDTSGSSEESSRSTAGGGGGLDLTLRL >Dexi9A01G0031500.1:cds pep primary_assembly:Fonio_CM05836:9A:36512843:36514836:1 gene:Dexi9A01G0031500 transcript:Dexi9A01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRAFRYAVVDAFTDAPFKGNSAAVCLLEDGAGDGGEPLDERWMQAVAAVFNTPITAFLTRSGSSGAGAAAAVTAQFRIRWFTPVRESELCGHGTLAAAHYLVASGLVESDAIEFVAKSERLTAKKVFASKDASPSAQNTCSKFMIELDFPFIPVAKCNSSEMVEVSSCDEVDNVSPNTAELVQFPGRGVAITGPAREGSSYDFVTRFFSPKYGINEDPVCASVHCSLAPYWGKKLGKQNMTAFMASPRSGTLYLHWDEEAQRVRIRGEAVTVMVGSLLA >Dexi3B01G0028920.1:cds pep primary_assembly:Fonio_CM05836:3B:27550559:27561790:1 gene:Dexi3B01G0028920 transcript:Dexi3B01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARRKLDGRTSSQTGGAEKGRTSRAGLGERAEQRLDGRSSRIPVLCLHGFQVKLTGRLDRAVVNQVPQLRRRHGMTYTDSSIELGTRDVSPPVTFETLSANPHPAGDEAGGVEVIDMNGKSVQKPKRKKHRPKVIKEGQSAKLQKPKTPKPPKEKGNQATEKRNSAFMAVAAKFPAKIEVPVKPVAEMSHTPELKDSCSGLFGDSITLQGKLSIEEISDVRSLVTTEENEEKVLQGVASDSTQKFSDTQKGPSEVSQDGTKAKKEFLDRLVTDHGSIDLEWLRDVQPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMFFAQKPIIEEPLSPEPEPENTETKEGAIEDFFCEDPDEIPTINLNIEEFTQNLKSYMQANNMEIEDADMSKALVAITPEAASIPTPKLKNL >Dexi6A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:6A:11572088:11573209:-1 gene:Dexi6A01G0009730 transcript:Dexi6A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALVIAIFVCAFSCSAALAARDLSDDSAMVARHEQWMAQYSRVYKDANEKAQRFEVFKANVKFIESFNAAVNRKFWLGVNQFADLTNEEFRATKTNKGFKPSSIKLPTGFRYENVSVDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYAAADGKCKSGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGITAIGYGKTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTE >Dexi2A01G0025010.1:cds pep primary_assembly:Fonio_CM05836:2A:36804215:36806242:-1 gene:Dexi2A01G0025010 transcript:Dexi2A01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHKAAAKRVAIVGAGTSGLAACKHLLARGLRPVVFEAGPTVGGLWTRTLASTRLQSHAAGYRFSDFPWPEDADAFPRHGQVVDYLAAYARRFAVDACVTFRSHVVSAEFVGDDGEQWARNGEAFGEEEGAGLWRLTVRHGESNDTQVHEIDFLSLCIGRFSGVPNIPAFPPGGGPDAFRGRVVHSMDLSNMDDADAAALVKGKRVAVVGSGKSPTTSRQNAPTPTLPCTMVCRSPRWLLHDLDVWGKLNLGYLYMNRFAELMVRKPGAGVASSLLAPLLAPLAWLKSKLTGAYYKRSIPMREYGMEPEYGFAGCLSSCKVGMLPGAFYDKVRSGSVAIRRSRSFSFRQDGLVLDDGRVVVPADLVILATGFRGDQKLRDMFVSPRVKDIIAGSPDTTVGLYRECVHPRIPQMAVIGYSESLTNIYSSEMMAKWVARFLDGAFRLPSVAVMEQSVAEWGSYMRRSSAGGSSRGSCLGAVSIWYNDELCRDMGCDPRRKKGILAEWFQPYGAVDYADIE >Dexi4A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:4A:5081383:5083533:1 gene:Dexi4A01G0007000 transcript:Dexi4A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPRRLLFHVAVAAAAVLPAAVLSETTTTMPVSEALIQLKKSFTNSSSLSSWLITDGDNNKSPCSPGSHVWHGVVCSSGEVTGLRLSDLELGGTIDVNALSSFPRLRSVSFAGNNFTGPLPAFHQLKALKSMYLSNNHFSGAIPDDFFGNLSHLKKLWLNNNNLNGTIPASITQATSLLELHLDRNAFSGELPPSPPPALKSLNVSANDLEGVVPESFRKFDASRFEGNEFLCFVPTHLKPCKREQTVDTSSKRAVMVLSTLLVSAVVLVIFLRVCAGGKSTSSSRVRSLGGDDMERLDEKPPVHMAKQTSAPEKKRSASWLGRRAGSSLGGLGGHRRAASAAKVDDLSSRNAGDLVMVNESKGTFGLTDLMKAAAEVIAGGGGGGVGSAYKAVMASGVAVVVKRARDMNRVTTKDAFGDVMKRLGSTRHANLLPPLAYHYRTDEKLIVYEYIPKGSLLYVLHGDRGMDYAALDWPMRLKVAAGVARGAAYLHVELGNHEVPHGNLKSANVLLAPDFEPLLVDFGYSGVINHHTSQSMFACRAPECVAGHTVSAKADVYCLGVVLLELLTGKFPAQYLHNGKGGTDLVMWATSAMAEGFERDLFDPAIMVASKYALPDMARLMKVAVDCVEADPGKRPEMREAAARVEEVVAAAMATVRERRQEEEGSSELGDEAGRSSSHAAYVRDGSIQRITSVGERSARRGSDGYSYGIS >Dexi1A01G0023720.1:cds pep primary_assembly:Fonio_CM05836:1A:30333257:30336940:1 gene:Dexi1A01G0023720 transcript:Dexi1A01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASRLLTACACSRPPPPPADDGACLDDALGHSFCYAANSAAAAAGHSSSFRHAISGAALSANSSVPVPIYHPSSAGGGMPPQYSSAFQTSSSFSSAPLQLSNLSSGPLFLSGPIDRGTQLSGPLDQAVPFSGPLPAKPNKPPSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEADPDSKRLWQFLADGDDEEDSELDFSGSGRFALSLARLKERRHPIWAHAAAAAGDGQSGREWGVKRLTAAPAVRDHSAVLGALTRALDAAESAYLDMTNQSKGSHPELAVTGACLLVALLRDDDVYVMNLGDSRAIVAQRRDDDDDCMLGTMRVDDIGVGLETETRIHGYSAIGLEALQLSTDHSTSIEEEVQRIKREHPDDHQCIVNDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCIPSLCHHKLSARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGTYV >Dexi2B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:2B:2703313:2703549:1 gene:Dexi2B01G0003080 transcript:Dexi2B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITMEMACKPCLESGRSAMDLALDPNYDPDSPTNTTGGGASANEPLLADLSASSAPPAKPI >DexiUA01G0018170.1:cds pep primary_assembly:Fonio_CM05836:UA:38762324:38763543:-1 gene:DexiUA01G0018170 transcript:DexiUA01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRQEEPRLVLPPGFRFHPTDAEVVVDYLTHKALDSSFSCVVIADVDLNKVEPWDLPGKAKMGEKEWFFFVHKDRKYPTGTRTNRATARGYWKATGKDKEIYRAKTLVGMKKTLVFYMGRAPRGDKTPWVMHEYRLDGKLPPNLPRNAKDEWAVCRVFNKDLAAKATAQMAPPHAGVEPPTAQMAPPHAGVEPPNSFLDDLPLDDILGDEPPPLMDYPPFSVDDLLDFKGESSSSGGGAAGMGSGGGYQVKSEHQEPRYFSLPAASNPGGDQAIRAHCNARDSAQASTPPLAGDMASRPPPYPELDELLQDDGYIDYSNMLKF >Dexi1B01G0022990.1:cds pep primary_assembly:Fonio_CM05836:1B:28651561:28652831:-1 gene:Dexi1B01G0022990 transcript:Dexi1B01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLMAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTVEEEETIIRLHGMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKKAALREQQKPCAAKNDDVGAATGDASTTPPTASSSSSASSSTTTTNNESSGGSDSGDQCGTSKEPDAVVDLSPLHLEDIDVSDMLVDAPTTVAAHPMLSSSCSSSSLTTTCAAGGAEELMIELPVIDIEPDIWSIIDGGGDATAPCTGAAASSEAAEEAANDDWWLENLEKELGLWGPIEDPQAQPDLLGHTGLGPLGDSEGDPVSTYFQNANAAADAEFLDVELEPSAALL >Dexi6A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:6A:12793672:12794952:-1 gene:Dexi6A01G0010370 transcript:Dexi6A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTVEDPPALPALLLLRRMDAAFHAALRQRYRVLDFFASGEPLPAFLAAAAALPDPPRAAVVVGGGTVRVDAVFLDAVPSIAFVFSTGAGVDHIDLHECARRGVAVANSGTVYSADVADHAVGLLIDVLRQVSASERFVRRGLWPVQGDYPLGRKLGGKRVGIIGLGNIGSLIAKRLEAFGCVINYNSRKPKDSAVSYRFFSSVHDLAAESDVLVVACALNKETRHIVNKDVLDALGKDGVIINIGRGANVDEPELVKALKEGRIAGAGLDVFEHEPQVPEELLSMDNVVMTQHVAVLTAESRSDLRAITIGNLEAFLSGKPLLTPMLPL >Dexi6B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:6B:654838:660792:1 gene:Dexi6B01G0000760 transcript:Dexi6B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKPAAKKAAAAAPAAAAEDSTSPDSTSSPSTEATNSTPDQAEEEEELAPPVTEAAAAVAAAPEPPQLPPPPPPSEAAHKPTAAAAAATPVNPPVDTYAKPKVGAVYGRVKLKFKSSKPATDLPPPQPQPQPQPQQQKGSSPAADPGKSESASVPEATKEADAEKTAAAIADGPKTDGEPLEPSNAGKEKVARKVGGIKIKTVGLSSGGNTPDRKADSVDEPPPPSKQEAVSEKKEVEEAIMEPMASQEPEEKQSTPERQRDDKELAAALEAIKKVMKMDAAEPFNVPVDPVALGIPDYFDIIDTPMDFGTICKNLEGGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVPDSGGNDNTGDEDAKGQSKNKSKNKRRRPGNDRHKSDCICAVCQVTRRKKERDEILAVLDNETAAMDSNTSDQHDMEGNSGANNLGSHDTSSSLDQRPQTDVYKETAEAGDSGIRIEDVRRFSSGRPSSLQYPDSENEGSRQRFEGKEQVDMNNNEEHTSTQPNEYSDVENHQQKGQIETSQEVEMEEDYPIQQENPSFLELCASLFPGTQRSSTFSIRRSLFLPRRRAPLKESPLHVAIAAMMKR >Dexi3A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:3A:16545908:16546968:-1 gene:Dexi3A01G0020900 transcript:Dexi3A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIPPAATLAKNYPTLLHPFGANKPHPQRLIFRCGATSEGADDAWGSFLDELKSSLQVDPSDTVAGAAGSTTPTPDDLVTALPLDPSVGDTTTNAAAAAVNDLLGMDASSSDAAAAGVPDGLLSALHLDASSPAARAAGVALARLDALTAGLSDAQRWALVGFLGVAWLYLTARPGVLSGAVDAYVLAPLQRALDTVLGRRSLKMSDFVLGERIGEGSFGVVFFGAVVPKNGAVIEERSGRARTTLQNDDRYKEKVILKKIKVGTVGAKECGDYEEWFNYRVARAAPETCAEFLGSFVADKTKSEFVKGGKWLVWKFEV >Dexi9B01G0038960.1:cds pep primary_assembly:Fonio_CM05836:9B:39802333:39803651:-1 gene:Dexi9B01G0038960 transcript:Dexi9B01G0038960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAGFGQPTPPHHAPPPPEEKTKAEGGEESKGREETEKKGNKNKRGAEKQGEEGEGEPRSKGGIIPRGLRDLGGSGRGGARRGGDPGAIGGGRVSTPARFVLGDKP >Dexi6B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:6B:2082179:2083405:-1 gene:Dexi6B01G0002380 transcript:Dexi6B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSQAAAASRRRDLEARRGVHVRTVVRSRKPRRAADVVVARSPEEVKKAARSVRRRRGNSKATAGERHISSLCDDLLLQIFLHLPSAATLVRAACACPAWRRGMASSPDFRRRFRSLHPSPLLGLFTSDASSSIVAAGSPTTFVPTLPHDGDDDLAAAAVHGGDLSLTSLPAGGWRVISNSCRLGNLLLHNREVQSLAVINPMSRQPTSECEGGRRVLDLASRKNTLGGGHFIGAVLSSQDSSSSSSPSSRRVMLVDHAGSKMKATIYSMDTWEVSSAAAVTPWVDVPALPDRVDGHAPHGVAVELNGSVYYVLENYGHIVSIDTTTMEFAVIELPECVSRKSLGVGETKDGTTCLVYSDGRDVGVLMHTREEDGLSPWMQSFNVSSQLILELVC >Dexi7A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:7A:20614285:20614645:1 gene:Dexi7A01G0009620 transcript:Dexi7A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRTKTVWPVKSATESASQPLLEPTQRLPETMAASSNDLRVGRDAARGHQRASSAKTRSAGEGGASAQRQAVQAPRALAYHLRSRQSPMRLRRSGGSAGTAPWRAPAAVADSGR >Dexi7A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:7A:23446512:23448595:-1 gene:Dexi7A01G0013350 transcript:Dexi7A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGISLLDKRFLPAMDKVGRVCHVFLTPTHAMLLHNLLGATAAGPDGGGPQCVAQFAKDLLFREYNLASRNGGIAFSAEVALLHRALRSVLAVHAQPPAAGDAPGAPAIQVKLVNKLAAGSRSATPFLTFETKGARAAVVQDVPISRPLSRSDIERLQAALDAAKDLPQTLVQVPDLPQLQSLVDRLKNVGDLLTVGVIQYGDLHLQVSTSHVTVGSEFRKLRVIGDRANAPVADQNLTASTRLDMAVERGEALSVQVNMKHLVKSLHCHLAKPDYTFYGIAPGGGCLTVVFQYFIPGTRLADKSISFYCRLPVLDPGSS >Dexi3B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:3B:9635692:9636642:1 gene:Dexi3B01G0013490 transcript:Dexi3B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTNRGDASWPSGQQQQQQLAVQQGSYMQSRAEAIQTLESTAKELAGIFTHLSTMVTQQGEVAIRIDEDMDYTLANVEGAQGQLLKHLNNLASNRWLMSKIFAVLIVFLLIFVIFMT >Dexi3B01G0029730.1:cds pep primary_assembly:Fonio_CM05836:3B:28994039:29002935:-1 gene:Dexi3B01G0029730 transcript:Dexi3B01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVLSIPSSKSMGGAKFLLVYEDNVLIREFLEEKGHGRVLVVDGGGSMRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGMGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELTPLDPTHPNPIFFSLPPTLVPPQVATATGANIGASLLSHHGHAQQALPTAAALRLSPFLPARCSRQYLPTADLAVRPPEHSRMYKPPPCCTPVAPKHYCSPPQHPAARRRRLDGSPARRPSCCLICLYAGRPRAVQGDGVPPACSNKTSGARLTRMAPPKKKKRAPTTTQQPPAPMHSTPAAPSAPNSGGGATMSDDQNIEDVIGIEDMDVTNEVPLEVTPLVKKKRARTGNYTAAEDEALVLAWENVSLDPITSTNQDGSTYWDRIADYYNRIVKSKSFRTTKSLQQLQTWKKKKKKMMMMIQLMKRREEEEAPLQKEKERVKKQAQGALYKEVLEKMMHNKQELETEKKRDKEEKWKELKAIEERKVAIEEERLQIKKEAEQRCLLMRVAGQANTSPRASPARSRCLPLPPLCIADVEQPPPLCVAVVEQPPPLCVAGVEQPPPLRGAGVEQPPPLSSTSPCGNPDQETPCSCSEVNILHADKVFGELCHDEEHCLAERLKSCARWLHASIGSEQAQAAVFDFSFAAVTEASSRPKHCYVALD >Dexi1B01G0024990.1:cds pep primary_assembly:Fonio_CM05836:1B:30192920:30193860:1 gene:Dexi1B01G0024990 transcript:Dexi1B01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSNGFLATLNFVTLLASVALIGAGAYVLAQPATECQRMVRVPAMALGAAFLLLSLMAIAGACCRATPLLWAYVVAMFLILTGMFVATAFAFAVTNRSAAAAAAGYRVGDYSDWLRDRVRDYETWSRIESCMADAGVCAAAGAGWWVAGVQGGINAGELYQRYLPLVQSGCCKPPAYCGFEAVNATFWAPRASPDASTAGDAIDCRAWSNDQRVLCFGCDACKASVVTTAIHHWKAVAVVNVAVLVLLSLSYSLGCCAIRNNRDRRYYY >Dexi9B01G0029530.1:cds pep primary_assembly:Fonio_CM05836:9B:32061250:32062881:1 gene:Dexi9B01G0029530 transcript:Dexi9B01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGGSGRRQERQALMVAFALALLMGTAVYFRIWASQSSDPSFTADDREELRRQFEQANLEAMDESAEWRMQYDKEFEKNRQLQDELSKYQREES >Dexi7A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:7A:2648457:2648672:1 gene:Dexi7A01G0000950 transcript:Dexi7A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERDGDGSKKSWPEVVGLSVEEAKKVILKDMPDANIVVLPVGFKEEKDTDSKPNCVRIFVDTVAETPRIG >Dexi9B01G0024580.1:cds pep primary_assembly:Fonio_CM05836:9B:21014797:21015009:-1 gene:Dexi9B01G0024580 transcript:Dexi9B01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGPWSPAASRAVLPTHEQLWWALGVPGAVLASTKIGRRAMGRFVSAAARSGEEAELRQRRGGAASSG >Dexi5A01G0037750.1:cds pep primary_assembly:Fonio_CM05836:5A:38988945:38989666:-1 gene:Dexi5A01G0037750 transcript:Dexi5A01G0037750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPLPPEAAAASPSSSSSSQEGEQKPAVYTVWMKSLVFSGNGCTVYGADGCLAYRVDNYGCRGGREVFFMDRSGNNLIRIQRKRFCMFRRRWEACRCLDDGEETRPWFRVQKIYWKKKGGAAAVKMHGHRRRTYTVDGCSRKSDYKISGADGGVVAAIARKQTASGVVLGEDVLTLTVGPEADHLLVLGLVVVCGLMNRCL >Dexi2B01G0030510.1:cds pep primary_assembly:Fonio_CM05836:2B:38761706:38764853:-1 gene:Dexi2B01G0030510 transcript:Dexi2B01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATGPARRAALLVIPTLVLAMALRGSGAAGAGGGDCHFPAVFNFGDSNSDTGGLSSLFGAAPPPNGRTFFGMPSGRYCDGRLVIDFIAESLGIPYISAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDLLPRAEYFSQALYTFDIGQNDITSSYFVYNTTEEVEATIPDLMERLTSVIQSVYLRGGRYFWIHNTGPIGCLPYALLHRRDLATPTDGAGCSVTYNKVAQLFNLRLKETVASLRKTHPDAAFTYVDVYTAKYKLISEAKKLGFDDPLLTCCGHGGGRYNFDLSIGCGGKEVNGTSVVVGKSCADPSKRVSWDGVHFTEAANKFVFDQIVAGALSDPPVPLKRACQSKAR >Dexi5B01G0027750.1:cds pep primary_assembly:Fonio_CM05836:5B:29331188:29332124:1 gene:Dexi5B01G0027750 transcript:Dexi5B01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLPFSATAMESDTDRGGPLLTPAPNPSSHHHQVLRPAKSTAFKREERRKRKERKRQERLADELARWEPLGTPPPRRAASPSFPRPDTPWPCNPSPPPEPAEADSWSWGPPSVAPPQPTVEVAAAAAVPLHPQAAAARSCRAFFEAQIEDEEEDKDEGNAARFFAELLAGDAALRGFYEAEREKGQFLCLVCEGSGARVGKRFAGCAALVQHAGSVARTKRRLAHRGFADAVGQLLGWGADRTAPPPAGSDPDGASDQVANPQCVEMEVS >Dexi9B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:9B:5427220:5428628:-1 gene:Dexi9B01G0008850 transcript:Dexi9B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Dexi9B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:9B:6373092:6373814:-1 gene:Dexi9B01G0010270 transcript:Dexi9B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTLRAASSSGTGLGFALGRIGGRRGGGGGGGAAAPGLVVPVAGAARARSVSATSAASEPVPGDQGVAMEQPKQQQPQVAPKDAGAKNKRDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGGNDPVEHPGTEIHPNHPEYDTSQGSEVKEKEKARHLKDDKHAT >Dexi3B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:3B:5201560:5203038:1 gene:Dexi3B01G0007330 transcript:Dexi3B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELHQDRKPTARRPRVLLLCSPCMGHLIPFAELARRLVSDHGLAATLLFASATSPPSDQYLAVASSIPATGVDLVTLPAPPPSPSPAASALPPSSASARARAELAVDANLPRARELARALAPQLAAVVVDMVVGARARDVAAEVGVPLYVFFTSPWMTLSLLLHLPELDAGEHRDDDAGEPIRLPGCVPIHAHELPSSMRADRSSDTYAGFLAMAKGIREVDGILINTSGEIELAVGDVVDGLELPAIHPVGPLVWTRPVGEDMNHDECMRWLDQQPRGSVVYVSFGSGGTLTWEQTAELALGLELSQCRFIWAVKRPHQSSSNGAFFGTQEGEDISLDFLPEGFVERTRGFGLVMQSWAPQTAILGHPSVGCFVTHCGWNSILESVVNGVPMVAWPLYAEQHMNAAMVEVQIGVAIRAKVDTDQFISREEVASAIQRVMTGEEAEKMRKRASELRDKSVHALSKDGCSTHTLAKIANTWKCTTRKLEDDV >Dexi3A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:3A:16746408:16749087:1 gene:Dexi3A01G0021120 transcript:Dexi3A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKREGGKEWRTAIAHQRSALSVSQISRLTAQSGPCSRWLVALSTLDAAASRAGGGPVVIGYITPYLLSRLSPQSKARLPTNSARRGRGSGVVRRYGALEIRRQNPAREEERSERRGRQVDSGTRGRRQKKERGASTGGVCLSTSASSTRVDDAWVPGPRGSEAVSNSRDPKARRTVWVANSGRVPEPKESVSNSRRISLAAAARRWKQQLGKTTASRQRGELDKHRAFKLRGEYARLNFPGVMDGQDCPRVPAPAPHRHGRQDPSHPYGSSVGVLSADLVQLSTAIAAEEDLGPFVRRAFACGRPEPLLASLCAAARDREDEIEELCRAHFHDFIHTIDNLRSLLLAMDAPEQRETRELAPGTNPSQIKHKLADKFITNAHELANPRSAIPSLPTSSNRGRRHRRAGEGAPPSRGTLLQQQDRGGSGAGGGGGAPPSREAPLQRRGRGGSGAGGGGAPPPREAPSQLRQRLMLVTFHCLEQRRRHDGGPGGASSGHGTRVEGGERARNWLRWGGDPALGCASLERQNGTRG >Dexi9A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:9A:2825639:2825967:-1 gene:Dexi9A01G0005130 transcript:Dexi9A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVLACAAPGAAGGDGAAGAGDVVSLKRSALAACLTCPLCGRLLRDAATITECLHTSSVVLRV >Dexi5A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:5A:4441374:4442221:-1 gene:Dexi5A01G0005970 transcript:Dexi5A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAGTLSMKLLIDRKAQRVLFAEASKDVVDFLFSLLALPVATAVKLAGKDAMVGCVANLYSSVEKLDTTYVQPGAAKDALLSPTVLSPAASATSSLLRLPAAPSSSGQLKAYFKCSSCCGNHVTDTSGGNRMKQATLHFVAPTPSSAQQEQNVAAAGGAKGFVQGIVTYTVMDDLTVTPMSAISSITLLNTFDVRDLADLQERTVQLGYNEGVAILKMSLQSKTVLTDVFLGDKNSAAAGDRA >Dexi6B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:6B:26307472:26309657:1 gene:Dexi6B01G0019620 transcript:Dexi6B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKGIAGAGADKPSPDHDQNASPNVPAAAATAATAAAAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTAHHGGGEYESSDPGSYDYDEGEEGSDYEDELGARGALDGDEEVADAADEDDDPAMYEDDEAFARALQDAEERDVTGRLMALAGIGDWRAMEQDDDEEVEEEEDDGDDPQDAWEDVDPDEYSYEELIALGEVVGTESKGLTADTIASLPSVTYKAQDNQEGNMEQCVICRVEFDEGESLVCPMCSAEVSTSEKKQE >Dexi5B01G0028280.1:cds pep primary_assembly:Fonio_CM05836:5B:29696507:29698684:-1 gene:Dexi5B01G0028280 transcript:Dexi5B01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASGRTNWSGESKNLWLVAGPVILASVFQFLIAFVTAAFVGHIGKVELAAVSIVNGVIEGLAFGLLLGMGSALETLCGQAVGAGQLQMLGVYMQRSWIICLATSLALLPLYIFTSPILRLLRQSSAISAVSGRYARWCVPQLFAYAVNFPIQKFFQAQSRVWVMTAISGAVLAAHALLNWVVVAKLGRGMLGAALVGDASWWLLNAAQFVYLVGGSFPEAWTGFSRKAFASLGGFVKLSVASAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVALGFNAAVSVRVSNELGANHPKAAKFSVVVATTTSAAIGLIFTAVALAARKQMPRLFTGDGAVIKETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFVNIGCYYLVGLPLAAVFGFKLKLNATGIWVGVLIGTVLQTVILFVILIRTKWQKEAMLAEERIRVWGGKVELPRNQETGASENIAGPV >Dexi2A01G0027950.1:cds pep primary_assembly:Fonio_CM05836:2A:39263037:39263613:1 gene:Dexi2A01G0027950 transcript:Dexi2A01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNAGAAADGRVLFSLVGVVVVLLPLLVAGEDPYRFFTWTVTYGDIYPLGVKQQGILINNQFPGPQIEAVTNDNLIINVFNKLNEPFLLS >Dexi7A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:7A:30039961:30041235:1 gene:Dexi7A01G0021450 transcript:Dexi7A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALIRRCVVVKKKMKKIIIAKVLEEIEKKTKRETQACDALDDVVIDVGPVETFLKEILNEKPMRFSSEQLASCTRNYSSELGSGGYGVVYRGDLPNGLPVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDMDTKALLWMALPATEKCDVYSFGMVLFEILGRRRNFDPCHGESKEWFPRWAWERYEQGEIDRVVSCCGDGMEKADREKAEMMCKVALWCVQFQPAARPTMSSVVRMLEGEMAIVPPVNPFHYVMDSSSSGSSSSALWSGSYQSSRDTTAGRNSELSVSPAAKSTDPIIEDVTRADAP >Dexi9B01G0041210.1:cds pep primary_assembly:Fonio_CM05836:9B:41648020:41648953:-1 gene:Dexi9B01G0041210 transcript:Dexi9B01G0041210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSASDPPSSGAFHTDLAGSLDPVLDFLYQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAVSGLTYTNMFDAQLDAIRAALDAKGYTDVDIVIAETGWPYKGDADEAGATVDNAKAYNGNLVAHLKSQVGTPRTPGKSVDTYIFALYDEDLKGGPESERSFGLYKTDLTANYDVGLAKNGSTAAPTILAPSPPQSTLQPSRGATPTGFCQTTAAVPGSVQGQQVPESSFCYIPGGAVQRRADAGTWRLVWFGVLLCLAMVAGK >Dexi4A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:4A:2637522:2641086:-1 gene:Dexi4A01G0003700 transcript:Dexi4A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAVKLVPGEAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVNPDDTVCQKIVVHKDGPRGNHFRRAGPRQRVYFEPDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYKGFYARNTITLTPKCVNDIHKRGGTILGSSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAVSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGESGLFRYIEKRLKDNGHMVIVVAEGAGQKLIAETMQSIGKDASGNELLLDVGLWLSQKINEHFKKNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVVEEAKKEQERTAQLIDGSPSHRKAEKVSGANFSGTK >Dexi3A01G0023710.1:cds pep primary_assembly:Fonio_CM05836:3A:19371749:19375735:-1 gene:Dexi3A01G0023710 transcript:Dexi3A01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQARICYFVPFETATSVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQNYNEADPVTHQGLDLTRITTRELISKHGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDIEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRRSDMYVFCCSYTHNVAPKGKFIAFVSAEAETDNPQSELKPGIDLLGQVDELFFDMYDRYEPVNESSLDNCFISTSYDATTHFETTVTDVLSMYTAITGKTVDLSVDLSAASAAEEY >Dexi5A01G0038290.1:cds pep primary_assembly:Fonio_CM05836:5A:39335473:39336840:-1 gene:Dexi5A01G0038290 transcript:Dexi5A01G0038290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETIKMQTKILIYFGVPVATTITEATHFVAEKFARTRNMVQAIAMGIPIVTPSWLECCREARCFIDEKKYIVRDMKKEKELGFSMPVSIGRGREKPLLEGRRVLVTPNAKPSKEILKSLVVAARGQPLAGIKASTTKNKNFEGAFVLSCEQDYSVCVPLIKSGLQVFDSEFLLNGIVTQKLEFDRFRLFHEKTI >Dexi9A01G0038260.1:cds pep primary_assembly:Fonio_CM05836:9A:42585585:42591038:1 gene:Dexi9A01G0038260 transcript:Dexi9A01G0038260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHTVGNEYALGGRELYRTANQHDSEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMSTSARGQGLMLRVQQLEAELPLLEKQSCQRDYLYVASNRGVDWRSNPRVEHGVVTRDDTPRFIMASIKQCRGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSTVLQEGIQNERRPLKAMEIRPNLQNGDIFQPPNAADIHSKVEVDLSGEARGEVLTNRRRLKHRQVNVSVFQSFRPHMQDLYGNASSEEKPPTPDQSEVCISMIDPLDSNTEERDIMVDTSINMDKVKVTVHKHKSVSEEATSRCSDVRSAGSSKGYNSEVDIYVDALTTMDSEAETDSEHRDRGHHTFAQMYSDNTCSDAQNDTASRSSGFETKDLSVVASAKRDMSNQHEEGAIVSTPHIKPVGEHERTSSLEELFEQEKPASWDHARSSSLEELLTEDFHASESGIREQATEETGCNGSATNAASNGTQDINKKSKEAKENSSIATISFKKIASKRSKYVGGMELIASKVGILPRKLSKKHDPFSDSLRSMAKQLLELKYDGTQDSDLYEFEANGEGCNVKYLEMYDPPVEIMENAVHRIPSDQPHDDVGSGKCQQEELNHESEHDVPPTDSPHDSVPDDRNVFQDSNIVYLTGTITSPSSKEEVGCAGTTPDEHSSTGVLNHILEHAQEKFEEHSDREVSEDTDTEITSENASDTGEDLKEVSIYRELMNAEDIEESNKSDAYALDDETAEDMEEQAVSDVMTSSPDSSKQSDDPCLITPFTPTDEDDSVTCKANDSYTPEVEHMTLSETVTDTELSKLVTESVIESEDAMPNDKQYYLHPEPTFGQGTVLSSSEIVIQSSQGPLCSSSMVSVTPMVNTEEKHELHPVVHQEQPNSCSNSTEDFGYPPAADFRDVSPPVISSFDWMLNGAMQQSLNVLPPQPSYGNAQENGSSEDVPPLPPLPPMQWRTNKLQMGSSPLSAKIGRPPRPKPPVKHQDSEGTSSLDKRNENAEIIQEDSLHIGSSLQNEMVQAAVADHETNQFLNRDSQENHCEGGDNECDVEVSNPLSSSEAECVAEVASVKSENLHTSQLLELIVIPEEAWSEFGNIKFIPEQDGKHQLSNGVHDCSGLYTAGLSAQKTKEKHEIFSDYKDKEFSAAGSNKVADSEENKSNGPPKQDNILNPDLPAQKKDDEHGDYDGKATEFSSVVEDELKKSPTHPVPKPPRYPLLPVTSHDRSMLRKAPTLVQPSSKLSDEKNTILEEIKNKSFNLKPVLVKRPSVMGGPRTNLQVVAIIERAHAIRQVVADDDDEDSWSE >Dexi9B01G0022850.1:cds pep primary_assembly:Fonio_CM05836:9B:17730953:17732504:-1 gene:Dexi9B01G0022850 transcript:Dexi9B01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFHKFNEREREPSILRRLHEGEAVALISDAGTPGISDPGMELARLCAAEKIPIVPIPGPSAAIAALSASGLPSNEFTFGKICCYLSCVIAREITKLHEEFWRGTLGEANETFATRQPKGEITVLIEGQLISVDETPSEDFLEHELRELIAKGHTLSAR >Dexi5A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:5A:5093486:5094667:1 gene:Dexi5A01G0006830 transcript:Dexi5A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFKYYLNLQSRTFVQYYRCLPTFLLETENLDEDGNWVIMPPSALDRLGRFNLPSPMLFQIQNPHHGPLHSQRRFVAEEGFIHMPSKMMAHLGVQEHDLVLIRSTKLPTATLVKLQPHTKDFLDLPHPKELLEYNFKKFQCLTVGETIAVMEGERRYYLDVLEAQPAGAVCTIDTDCEVDFAPALDYVEPTPAPAAAPVTGKGKGNGHGEPSLFTGFAARVDGKPVEQPPPAPVPAGRQGNQPRQPAAQFTGFAARMDGKPVELPPPPAPSPAPSPPLEVQKRKIQFGASTAAGSGVSKGKQGGGGGGDDEKDKRFSGTPYSLKD >Dexi5B01G0030200.1:cds pep primary_assembly:Fonio_CM05836:5B:31174815:31176043:-1 gene:Dexi5B01G0030200 transcript:Dexi5B01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAASFRGRFLVFVVVFILRVVAAAAAVVVAVAGGEAREPAVAMEDALRDGAKAAADAGHGAERLGVEVDGTEHQRQDVLVQDPRVHVAAPRCRGPNAAAVAVARASSAAAPGRAAARRAEEPPGRRQRWQRRVGEAERAGEGEVPGGTERREIYTRAAGRAAATSRAPRKARVSEQGKTGRWGGVVAPAVARQLDHSGVERQ >Dexi9B01G0043020.1:cds pep primary_assembly:Fonio_CM05836:9B:43120061:43125393:-1 gene:Dexi9B01G0043020 transcript:Dexi9B01G0043020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGGVQAKHSTDEALGRWRKVVGLVKNPKRRFRFTANLDKRSEATAMKRKNHEKLRVAVLVSKAALQFINSLPPPSDYKVPADVKAAGFGICAEELSSIVENHDLKKLKAHGGVESLASKLSTSESDGLATSADKLATRRELFGVNKFAEAESRGFWVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTASSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAEKPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLIFAVVTFAVLTESLFRRKISDGTYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDGSSETKSLFSELPDSVMTMLSQSIFNNTGGDVVFNQDGKREILGTPTETAILEFGLSLGGDFTAVRKASTLVKVEPFNSAKKRMGVVIQLPGGALRAHCKGASEIILASCDKYLNEEGNVVPMDKATIDHLNATIDSFANEALRTLCLAYMEVQDGFSANDQIPMEGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSEEELTQLIPRIQVMARSSPLDKHTLVKHLRTKLDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGNFISNIMWRNILGQALYQFLVIWYLQTEGKWLFGIKGDNSDLILNTLIFNCFVFCQVFNEVSSREMEKINVFEGILNNNVFIAVLSSTVIFQFIIIQFLGDFANTTPLTLNQWIACIFIGFIGMPIAAIVKMIPVGST >Dexi5B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:5B:2331298:2335846:-1 gene:Dexi5B01G0003480 transcript:Dexi5B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAVLGLGALKRHRADAQVMNIAVRSVSTSVAQSSSGGFWTWLTGARSNALPPPDFKLPGVTIPPPLPDLVEPGKTRITTLSNGVKIASETSAGPSCSVGVYVDCGSVYEAPETTGASQLLKMLAFSSTTNRSELRVVREIEAIGGSAKASASREMMSYSYGALKTHMPEMVEVLVDCVRNPAFLDWEVKEQILRLKAELAKASSNPEKLLLEALHSTGYSGALANPLIAPESSVSRLNTDVLEQFIAENYTAPRIVLAASGVDHDELVSIAEPLLSDMPSIAGTTRPKSTYVGGEYRRTADSSNADVALAFEVPSGWLKEKDFATVSVLQTLLGGGGTFSWGRQGKGLHSRLNHLVNEFDQIKSISAFKDVHSNTGIFGIHTSTDAAFVPKAIDLAARELIALATPGQVDQSQLDRAKASAKSAILANLESKASLTEDIGRQVLAFGERKPADHLLKAVDGVTLKDITTVAEKIISSPLTMASHGNVLNMPTYESVSGKFSSK >Dexi2B01G0032000.1:cds pep primary_assembly:Fonio_CM05836:2B:39976445:39982391:1 gene:Dexi2B01G0032000 transcript:Dexi2B01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAPLDFALFQLSPRRQRCELVVSGNGRTEKIASGSVKPFVAHLRAAEEQASAQPPLPAIRLQLERRAPWFSKGTLERFVRFVSTPEVLELANTYDLEMSQLEGARRIYAQGVTGDATSGAAAENVTTSAAAAAADVTKKELLRAIDVRLNALKQDLAAACSRASSAGFNPNSVSELLLFANHFGANRLSEACTKFMSLCQRRPDISPQNALPAVSSHWKGFDDGNIRGSSSSDMSIDEPQVDLDQSNNKSIAGGSDSQTHRLNNGQGSVHVASEPVAEQQPKPTVQQAADKQETETETDVSPAPAVGVSRRLSVKDRISMFESQKKEQTPSSSNSTSAGTGRVVPAKGEHRRVPSGASMEKLVRRWSSVSDMSIDLSNNDSGNLNDKKENGTPVVTPTSTDLEANSKSRVEEALLKDKDILTSPSSEEHFRMVDKEIAGVAHEVPVASEQIPQNDIRGPRLHTKDIHTEAEVTGRKDRPSRTFEKTSGGVKSKASSHTRAHVRGSSGRDEVASTETEVHDASLQRNRLARKAEDVGRKVTVGSDSDCSGRQGTNLSRQSSITDQELNLQARGVDSFMVEEEPNSDYLSGDGSSRSADSRKNFSNKVASTQKASVASIHKRSSRTVGSGYANRRNPTENPLAQSVPNFSDLRKENTRPSPGLSRATTRAQQKSFARSKSIIEDSKSVLKEDQSRRSQSMRKSQIPDDLKDIPSANEDAYNWAPSRISNNRSEGAFAYNTRRTGPPKAFLRKGNGTHPVVGISGFQAAAAMMASALQRNESGDFEDQQEDSPDDAKEEEEYESIEENLRESDFPADSDSENPRVSHEFGNSDDPGSENGDVNFPSEVSGLGGTEFTAFTGNMHNPTGDLPVPWSSRLPQLFPYANDNSDGDAFADSPSGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFAGVNASQQPRKDVSKGLKKLWKFGRKNRGGDGLVNDWVSASTASECDDEMEDGRDLVVGSSDDFRKSRMGYLASYDGFVESEVFAEQGIWFLLFCKYSSRFL >Dexi9B01G0035250.1:cds pep primary_assembly:Fonio_CM05836:9B:36983553:36984994:1 gene:Dexi9B01G0035250 transcript:Dexi9B01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLHIDAGSTFYMINTGKGQRLQIICSIDASDSLGFGAPYQSFFLGGAGHPASVLGGFEPKTLAVAFNATYEELARVLLAQTRGPIVYYATEPGSGEEGNRGARCRDAGAWRPGGRGEEDDECGGGNDARPTTWSWRKLVNRFIGGADSVAAESNRKKRGAPKPYNLYDSKPGFRNTYGWTIAVDKHAYEPLKHSDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGKVEVVFPNGSLAMSAVVRAGDVFWVPRYFPFCQVAATGGAFEFFGFTTSARSNRPQFLVGATSVLRTMLGPEVAAAFGAREEDFRKLVRAQEQALILPSFPEKGKREKRGKKGRGEEEEHGKGRKEEPLVIEQVAKE >Dexi9B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:9B:5482882:5483706:-1 gene:Dexi9B01G0008920 transcript:Dexi9B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSAAAQPPPPASSAAMYGAGAAYVAPASSAPGGGYTYPAPSSSGSGSAAYAKIPAYSAPPSAYPNPPPPQVSTQGPIQDPPSPMTKAAELVTRFREQGQALIAARRPWAEVLRAQAFSKPPSLGDAFARMRRNTAYFRANYTLAVVAVVAASLLWHPGTLFALILLCAAWFFLYFARPAQSGQPLRIFGVEFDDGTVFALLCGVTVVAMLFTNVGWNVVGSVLVGAALVGAHAAFRTTDDLFLTEQEAAGDGLVAAGMNAGGPILPTYVRIA >Dexi4A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:4A:20120477:20121393:1 gene:Dexi4A01G0016490 transcript:Dexi4A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSANSAASPAVSGLDYDDTALTLALPGSSSDPAAADRKRAHADHDKPPSPKARAVGWPPVRAYRRNALRDEAAKLVKVAVDGAPYLRKVDLAAHDGYAPLLRALHAMFASCLVANGAGRLVDAATGAEYVPTYEDKDGDWMLVGDVPFKMFVESCKRIRLMKSSEAVNLAPRTSSQ >Dexi5B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:5B:536486:542368:-1 gene:Dexi5B01G0000830 transcript:Dexi5B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETVIKNCGDILHMHVAERDILHEMVKIVKKKSDPRVKEKVLVLIDTWQEAFGGPRSRYPQYYAAYHELVRAGAEFPKRSEKPAPLFNGQSQAARNMRSPDQQDEAESSTANDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTSTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSANQDANKDKEKGLIDIEDPTSEDSKNEPNQSNAQPADPFGLNSSSTVPGSQPYNTPAQHALQSQQPQQAAALYPNGGTVNPGTSYDQASQFNNMSSGWNGQVASPLAPPPQQAQNYDDQSGSLPPPPWEAQSAASNELPNGQLGGGMQPLPTLPAVQQIGGMQQPPQPQINHMGVPQTQPMYNNQPGVMLPQAMQPGHAAAAQMQPGFANQQFGPLPPTSMPGMQFPGMQPPQMYGGLQPAMMYPQQMPGAQYGAMPQQQPMYGGRLAGYMQHPAVAAAHYYNQGTTAMHGYPGANDLSQRMYGLSVQDNSYMGMSSSYQTAPSPVPSTGQPMRPTKPEDKLFGDLLSIAKTKRAS >Dexi9B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:9B:8711316:8715265:-1 gene:Dexi9B01G0012920 transcript:Dexi9B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVAPNPPAAAFQVPPPPSYPAAASSAAGEGHEDDLYGRLKSLQRALEFVEIQEDCVKDEVRNLRRELVRVKEEVNRCRATPLEIGQFMEMVDADHGIVGPTTGGSYYVRVLSTISRELLKPSASVALDRHSHALVDVLPPEADSSISLLGSSEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLFGPPGTGKTMLAKAVAHHTTASFIRVNGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDFISRPDKISAADITAICQEAGMHAVRKNRYVILQKDFEKGYRTNVQKPEANFDFYK >Dexi6A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:6A:26524720:26525690:1 gene:Dexi6A01G0018900 transcript:Dexi6A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVFDTCSFTHQFKLNFEETKSFAIGQVVTSGDISAGGHLWMIECYPCGDNNEDNGEYLGVFLHHESKTKDVKAIFEVFVMDRNGAPSPSHRFRLVHVYKPKSKGNNDNTWGENRFVKRSDLESLYLTNGLVIIMCGVEVLHDDDPIDVPSSDIGNHLGSLLDLQDIAPTTFKAMLQFIYTDDLPRDEEDGDEQLPTEAFQDLLAAADRFALDRLKLMCAKKLWDDVSTDTVGATLACAEAYSCPELKMKCIDFFANEKNFKEAVLTDGFVQLVQKFPAILGELRVKVVGA >Dexi1B01G0025880.1:cds pep primary_assembly:Fonio_CM05836:1B:30833328:30836436:1 gene:Dexi1B01G0025880 transcript:Dexi1B01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKFKGEKGDSAAAAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVEISQLQLGKSVCRKVEKQTAHFYSVDITDEEAKMGLVCRVHSSAKSKFKLLYFEPEDNGGLSLALQVCFCSQLLTSISLAQEDSSKTGKVTSAGMFFLGFPVYRFEQNNSAAAAKDPDSAFFKRLDGFQPCEVNELKEGTNYFAVYGDNFFKSASYTIEVVCAEPFSAEKERLRSVEAKIIAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNDIHASYTNNPTLQRSSSSSKGKSPSKGSKSEDDHQTVKKEKKSKSPPVDETKSDDEATKNKKEKKPKDRIRRKKWFNIHLKVDKKRPC >Dexi2B01G0023030.1:cds pep primary_assembly:Fonio_CM05836:2B:32592202:32593218:-1 gene:Dexi2B01G0023030 transcript:Dexi2B01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSSSSGKNGTNPLEAMGAFFSKQVDRRKLVTTEKQALSTRLSSSGDTFPGSDHRPSDRKRWMSELGADRVRVHQVVWPGTHDSATNKIGVPLVTRPFAQCQSMSVYEQLSMGTRVIDVRVQEERRVCHGVLATYAVDVVLDDVRRFLAETDSEVLILEVRTEFGHEDPPEFAKFLVDKLGEENLIHQDEAVFHKTIAELLPRRVICVWKPRKSPAPKPGEPLWSAGYLKDNWIDTDLPETKFESNLKFLGQQPPVADRRFFYRVENTVTPKADNPVLCVWPVFSTDFIDGDFVDACAGVTKARVEGTA >Dexi6B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:6B:5076693:5081516:1 gene:Dexi6B01G0005590 transcript:Dexi6B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGRRMPAMIGRNGVAYGSSSALSLNQADLLDSHHLQQAFQQQLFDQMPAGAVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPSQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERQENAQLRAENDKLRAENMRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGVVQPGSLGADHLFGVGAGSGELLRSMSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGTGTAGAQLDEEEYGRMFPGGLGPRQYGLRLEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVVPSPLVPTRESYFARYCKNNPDGSWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGGNPQ >Dexi5B01G0028220.1:cds pep primary_assembly:Fonio_CM05836:5B:29634786:29636056:1 gene:Dexi5B01G0028220 transcript:Dexi5B01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAGAARDEAAFSVRVLRHLASRDGSGANLAVSPLSIHAALVLLGAGARGATLDQIVAFLGPAGGPAHAALASHVALNMLAADSSGGGGGPTLRFANGVWVEAALRLKDAYARVAAEHYRAEARPATFKSRPEEARLQINQWIESATAGRIKDLLPQGSIHGGTPVVLANALYFKGAWEGKFDASLTQDGAFYLPTGGQVRVPFMSSTSKQYIATHPGYKVLRLPYASSGEHKAFSMYIYLPDAHDGLPGLVQKLSSDPASLESERTLTSKLPVRAFRVPRFTMSCKTKATELLRDLGLTLPFDPISADFGDMLESSPEPLVVSEVYHECFVEVNEEGTEAAAATAVVMAFGCARPLAPVDFVADHPFMFLIQEDLSGVVVFAGQVVNPALSG >Dexi8A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:8A:26959557:26968600:1 gene:Dexi8A01G0015890 transcript:Dexi8A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFLQPALFNCVFVYVGMSDQASKVEDLERVLSDASAEPIKISYAVIELITKNFAQEIGTGGSGVVYLWHESLDVDCELFKRCTKIAKDCYDHDPRNRPTIDKIIHMLHETEIMIEMIPPVINIEPRNDPMSSLYQVVQRFRALSTRTLHEHSRMADIYGEVKVLEHILEGTRKPSNLSYGLLQFLTENFSLERMMGHNDFGEIFKGILLAVAVVRLSGSLNINDRIFHGDVKITMMAQHQNIVRFLGNCSYAAKEEVEMDGRTTNAVRRERVLCFEYLRNGSLKKYLSDIYSLGVIIIELVTGSKEKPSITAINYSCVEDMLGIEPLELHFPFEHNKTITRSLELINDTDDTFAFRIESSSSLPYCTQPSKNIVPPRSRYVVTITLEAQEMAPEQCKYGASQFYVQSTRVAKGLIAEDITEDTFDEQHSDKVVDKVDLIVVLQ >Dexi5B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:5B:2211930:2215584:1 gene:Dexi5B01G0003340 transcript:Dexi5B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAVSPLSGGLLRRGVALHHHRRRLIAVAAVAPEAQAPAPAPAPSQLSPAPPRKGYFPKRGETVELTCEALAFKGKGVCKVAGSTFVLLCDGALPGERLVARVRRLRRGAFAEAAKLKTLVPHDDAVEAPCPLAADCGGCKTQSLAYAAQIRHKHLQVRDLLVNVGKFDSKRMESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEKEDEVMKEDTNEVDGYALGLHAPGFFDKVLHVEKCFLQSEPADKVLAVVQETWMDPAPGLTPYDVHKHVGFLKHLMIRTGRNINTGTPEVMVNFVTSCYKPDLLMPLVDNITKIPEVADVLYKLIEDSAGLKGDGSEIVLDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADARKNAKLNGINNATFVQGDLNKINESFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGLEEKDLRGCYELKSVIPVDMFPHTPHIECVCLLELH >DexiUA01G0010200.1:cds pep primary_assembly:Fonio_CM05836:UA:20348268:20349943:-1 gene:DexiUA01G0010200 transcript:DexiUA01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AETTEDLNEWRSALESALAQAPSVENTTGQNPIFSTDVAAEPAEAPAEQWVKVEGILRQSADVEEVKRRVWDYEKGKIEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCSALVKAYRKINLELSLCIQQSS >Dexi6B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:6B:19693315:19693985:-1 gene:Dexi6B01G0012140 transcript:Dexi6B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVGEVEPDQVAIRAAKILVSLRFRELRERPEWATAPATASREAYEPAPAPVEVPERWGRRRPRSCRSRPGSFRPWLKALREMELAGSGGEAVDAATFCAAAAGSGLPSTSSAERAARAQTRPGDKAAAAAAAKEPMKAPSPDTPLDYGAGGSGASSSADDSARPAQKRRSPGARGSGSGASCADNDDEGCSSPAKRPRVAAEEEKPIPMSIFLILK >Dexi3B01G0030390.1:cds pep primary_assembly:Fonio_CM05836:3B:29913925:29925206:1 gene:Dexi3B01G0030390 transcript:Dexi3B01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPHAAAGGCPTNWRKEAEERLRRLHSLLFGADAALERGDAAAAQALALRLLGFLDSQALAACAGPEDAAFIAPIRAAASARLAAASRARAPDSDRIEMLCGPDGELPEKLRNLEPRLIEHIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEVISVEILLHHGCEEARAWIIRNLLEKDGLFMLSEEETSAICKLTEGYSGSDMKNLVKDASMGPLREALQRGVEITKLSKEDMRPVMLKDFENAMQEVRPSVSSSELGTYEEWNKQFGSLSM >Dexi3A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:3A:7531677:7533094:-1 gene:Dexi3A01G0010550 transcript:Dexi3A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAGASDPPSPPPPAGDAFKVDRALQSLGFEFTRVTAREVAGRLAVTETCCQPFDMLNGGVSALMAEVMASIGGYVASGYRRIAGVQLSVNHVGPARLGDVVQATATPIQLGRKIQVWEVQIWRIDPSTSECKDLVSTARVTLMANLSTQQEMKSFEEGLKKFSSKL >Dexi9B01G0044420.1:cds pep primary_assembly:Fonio_CM05836:9B:44179838:44181193:-1 gene:Dexi9B01G0044420 transcript:Dexi9B01G0044420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPGTTTVIPDVRRWSKGPGSSSSPVTTAIFLFFFVVVVGVLVSARWITTTPLIVVFSSLLFSPCKAILTATKTISIPATPAAPPPPRPTYSLSCSAPPLPRDPEIPSNISQTLGLALSPNASSTSTCAAIPDPPPLPAAANASSACPAYFRFIHEDLHPWRAAGGITRAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRLYPGHVPDLDLMFDCVDWPVVHADQYEGENATVLPPLFRYCGDNETLDVVFPDWSFWGW >Dexi3A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:3A:13582683:13584834:1 gene:Dexi3A01G0017820 transcript:Dexi3A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAICVEDEAACAAAECAGIEKLDLAGGGGGGVAEAKVGGGKRSVYLMDCAPVWGIASTRGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGLDADALRLPAHLFGVFDGHGGAEVANYCRERLQVLLSQELRRLGEDLKEVGEVDMKEHWDELFSKCFQRLDDEVSGRVSRLVGGVQEPRTVAPENVGSTAVVAVVCSSHLVIANCGDSRVVLCRGKEPAAWSTDHKPDRKDERARIEALGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVTVIPRAKDDDCLILASDGLWDVVSNEEACKVARRQIQLWHKNNGATASLCDEGDESTDPAAQSAADYLMRLALKKGTEDNITVIVVDLKPRKKLKNNS >Dexi1B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:1B:6560300:6565067:1 gene:Dexi1B01G0007910 transcript:Dexi1B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSEDAVKQLSLLMDQVDTPLKRSFQNVHQGNQKETLVRFLKAREWNASKAHKMIVDSLNWRIENEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIVLPRLTQKYGRPITNCIKVLDMTGLKLSALSQIKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLSGCGRDELLKIMDYSALPHFCRREGSGSSKHSSSDVDNCFSPDHPFHKELYGHIQEQASHRELIKMGSLHVSIPEPDPNDAKIVEVIQAEFQKIGEQDESGNGHKA >Dexi1B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:1B:26315753:26316243:-1 gene:Dexi1B01G0020110 transcript:Dexi1B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEQGRGQSYVPSLPSPSALPYQVAVAGCHSGSTTLCAAPDASPETKRNAAMTSNARSTAWTEKKPLAAEELEDAAAMARTHVKTEEQSTAAQPAGTSRMAVVVVVLPPLFLGSLLSLREPPVPDAQIAQSCAPCTNGVEGTMGMHE >Dexi6A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:6A:15879387:15880806:-1 gene:Dexi6A01G0011150 transcript:Dexi6A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTATMALQVVGLLLLALTSSVAASTVESAPSVNKSCVTGSAGAAVSIGYGGARASAGAGVSLGADVYRAACPRAEDIVRAGVERAVAADPRMAASLLRLHFHDCFVNGCDGSVLLDDKPPFFVGEKTAVPNANSLRGFEVIDAIKAELERECPVTVSCADLLAIAARDSVVVSGGPSWEVEAGRKDSRTASLQGANVNLPAPTSGVTTLVQKFRNVGLSAKDMVALSGAHTIGKARCTSFSARLSGVGVSAGGALGASKDLTFLQSLQQLCSGSSGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALASPSGAVPGTDDDIASLVAAYAFDASVFFQDFAESMLRMGRLKAPGGGGDGEVRMNCRVVNSSS >Dexi9A01G0034410.1:cds pep primary_assembly:Fonio_CM05836:9A:39173518:39178022:1 gene:Dexi9A01G0034410 transcript:Dexi9A01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNPARRTRRRQRLRRSPPASLLSASNPASAQHRPAMPRNFRKRSFEPEADDRSDDEDTRRVALEEIKYMQKLRERKLGIPADPAAASTNGPSARGRVGGGGAAIGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKMVDAGQKEEMDHVDELYTVPEHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAGKPKSDANIPSSYSADYFHRGKEYDEKLRRENPGLYKDNNSRPNESAGVKATDTKNPNGAAGRREAASDEFMLERFRKREKFRVMRSVGDSAFAATKGKEQIDTEVIWGNRFEGGLSHIHVSLKAHMS >Dexi3B01G0028370.1:cds pep primary_assembly:Fonio_CM05836:3B:24555128:24557863:1 gene:Dexi3B01G0028370 transcript:Dexi3B01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLCSSIMMKLEEGDIFAFGSWVATLTDIAQQEPAAVLRATPREDVPERNTDTISRAYPTRRSTWAPFRFLLRIMTVEASQPPGQQPHPPTLKPSYLPDYASRRRSGSHQQMYYSRKKGGNIYVTHAATPPPRVHSSVGEPRSRSPRDPAMCRSPRAKRGCSNALAEYIQSTLISVQIAGCQRGLATLTRVFVHWPDIDLSAILNIDDNSCMVSAISGEVPQNPFQIGRDLTPEATSTVLVDTDSADSCNHERDLYAGASGDDQQAERGGIFATPAVKIIKAKHLLDNDQECLKLEEEAAAGIRVIKGAITEAPATGTPSGRIAKSAADIIPNRDTIGRITKWPVKLVPPEHWIMYFDGSLKLEGEGAGVLLLSPIGQQLKYVLQIKFPVSNTEAKYEVILHGPWLAIFLSIKRLMVYGDSMLLEKHFLGLEFHHVECDLNVGVDVLSKFGSSRAKVPCGVFVNKLSKPSIKEQDEYSASLPEVMVIEADWTQPLISYIKHEVLREDKAEAERIVRRRKLYTIRSAHSSILMKCVSQAEGIGILEEIHFGECGNHATSRTLVRKASLANGQVKRANALVLDGLCTKVEVSDSKKERRWMKELYPVVWGRRTQPSNAMGQSPFFMVYGSEAILPVDKLYVSLRVHHYDEGEIKQH >Dexi1A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:1A:26034354:26035172:1 gene:Dexi1A01G0018880 transcript:Dexi1A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPATPTAVYVAAVPLRAPKGPGQLLMSASYSLGLWDLQHFMVVLRPDPARTQALVFDFQPVDPEDVGAALAVLSRSQIPGVVRRRTLRRIPDRRCWFIGHCSDVDAVDAADRFSEHWPTGLVVGEHDCRDYTNGLVEVLTGEKRVLETLRSGSSTASAGRRRRGGSASLSFPSFSRPSFSWRTSSVALSVLCGY >Dexi3A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:3A:6175566:6177735:1 gene:Dexi3A01G0008890 transcript:Dexi3A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGSAAPAAVGGDDAVVMQLAAAEGEESVVTINCPDQAGLGCDLCHTILEFGLRITRGDVSTDGHWCFVVFWVVPRSSTIKIRWASLKNRLMSMCPSSYSIPLYPEISQPGPTKFYLLKLLSPDRKGLLHDVTHILSELELLIHRVKVSTTPDGRVVDLFFITDGMELLHTKERQEETCSTLISTLGPSISCEVLSAEGFQQGFSSLAPKIAEELFRVELADSDMSSLSAELKKVQTATINFDNSLSPAHTLVQIICANQKGLIYDILRTMKDCNIQIFYGRFRSDKKGPVNNVCREVDLFVKQVDGKKVIDPEKQEALRSRLRSEMLHPLRVMIVSRGPDTELLVANPVELSGKGRPLVFHDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLANSPTNRNRVVDRVRKTLMGCYN >Dexi9B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:9B:4359380:4360945:-1 gene:Dexi9B01G0007210 transcript:Dexi9B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVAEIGMGLDLGLDLRLFAARSAGGIAAAAATKGAPSGIEACIRSLEEERRKIEVFKRELPLCVRLLADADAACVCGAVIEELKEEAAKKGGDLELRPDDGDKRKWMSTAQLWVDSDAKSEFEKKQRSQMTSPEPKLLSAPTPIRAVPVVPPPSFTRDDNAAGTVSLPGLSLLPPAAKTSPPPVPAVDEHRQNVPAIFSGSISPSGPGLNLHAQTQTQQQQQQQQARKARRCWSPELHRQFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGVAPVNQSIMLVGGLWVAQDQSSSQSGSPQGPLQFSGSGVAVSAATVGGDSSSSDEDDKSDEGYSRR >Dexi3A01G0022810.1:cds pep primary_assembly:Fonio_CM05836:3A:18387858:18388292:-1 gene:Dexi3A01G0022810 transcript:Dexi3A01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVIVLSFVVGLFGVASAVLGFIAERTKLTPRDIDVDFYTGECQYPANPAYLLALIAVPLLAVAQVIASLAAGCCGCCRPRHGASESKRVAGIIAAVLS >Dexi6B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:6B:26589015:26595850:1 gene:Dexi6B01G0020040 transcript:Dexi6B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGMEVEVRVVGGARSCFVALPLHLLHALERTSATGDLPPVLALDLRGPAGGRWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVARSLAKAEKVNIEPYSEDDWEILERRAELAEETILKQVGIVYEGMRFPLWLDGHNIVKFVVVSSRPEKSVGIFLLLRLLTLLQPLVETAWSAPINNTEDVELYFRKLELGEPVSFGSVVDSGSTDGFKLTRSSLGWMENAMSDVIKRLSVLLSSTSLRLFNRLKFPFPGHVLVYGPRGSGKTALTRVSAKYFEDHKEILAHVIYRDCSKLALGKAKETRQAIEDSISEALLHSPSIIIFDDLDSLISASSDPQVSQSSSSSDSLVRYFADIMDEYKVRICQRNVLIDKSRNTCGYGPIAFIASVQSLQSLPQDLTSSGRFDFHIELPALAVPERKALLKHHVEEHELQCSEEVLSEIASKCEGYDAYDLEILVDRAVHAAASRFVMPSNGSLDSVKPTLVMEDFSKAMHGFLPVAMRDLRKYAPDDKDGGWEDVGGLSEAVTIIKETLELPSKYPNIFTRAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAVAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLIFCDFPRWDERLEILKVHSRTISLASGASLEDVASMTEGFTGADLAAILTDAGLAAVHELLDNRENGVPDSEPCISKELLMSVARKARPSTPADDKRRYDREFGEFVSSRKSISTKVHLIQFHARESKGKKVTLA >Dexi7A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:7A:19174177:19175030:1 gene:Dexi7A01G0008000 transcript:Dexi7A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATSPAKALFLAHDEPQHGHALPAPHHPQSLGGAGLKRPRARAAARLSAAAGVAAPAPVAGEEGRGRKPRVLVAGGGIGGLVFALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDLSVAEEVMRSGCVTGDRINGLVDGISGSWHVRRHLCQRAADLVTIATTRAAHEGNRRAGRKHRAGRAEAPDATKRSS >Dexi3B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:3B:2196794:2197643:-1 gene:Dexi3B01G0003380 transcript:Dexi3B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGLRRLFEKPLPENPTLLEALSACHRNIHPNNKPIDPSSFTEIFGELHFQEKQSPERAMPMSSQPPARTASWLDIAAEAEKSSLSKDDSSLDALLRPKPATTMATVKRSASFCMKKSSPASLQLCTEGLGSESTVDADDMLKDGDAEAETEAAGALGGSSSKEADTERSSDVKDVAGAGAVGEGKAEKPPPSFPPPIRSIGRGGGKPRVCFRSFREDGRFVLLEVVIPGKDVLQATREGGRLRLQFTNGAAAAIAAAGGGLGVDEERTTIPKLR >Dexi2B01G0025940.1:cds pep primary_assembly:Fonio_CM05836:2B:35113759:35115844:-1 gene:Dexi2B01G0025940 transcript:Dexi2B01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATGNILASLGRVRLVDLTACDGLPTDNYKICVSTLMQSLAQYSAAIIQLPPTEGALLRSGLDSVRLFFHQRGYNSSEVVHSDDSHEWCKTSGYYVDPQMWLEMYDYRPGVSVTEPSCAMELPPSGLPDIFAVLGKVSRDMLNAISFSLNLRSCAFTDILDNIPLRSQEVSSSVLSACCHSRPSFEGAQQHSIASQDDGQLLMFSDQDQQMDKTLLTLVKSDRSCLYVKDMHGRWILVDGDLGPEDVVVYPGLALHQETAGYVNPAVYKTEVGNLQGCMFGRCSLVFKLMPKSVARLSSSEMRAAGHGIDAQFQVPIPVNDFMQTGHSVDQHFPKDTEPSSHTEQDSGNLVPLHEAPDVPYITSKPSSLFANISASGQVLDLASFNSMMKKKNGSTRTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECESHIRSLDSPCENIRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQEIELSFTEPQ >Dexi9A01G0043070.1:cds pep primary_assembly:Fonio_CM05836:9A:46595016:46599424:1 gene:Dexi9A01G0043070 transcript:Dexi9A01G0043070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSPSASHDPTNDEEACIHAMELLNGFVASMTVKAAIELGLIDDLLAAGGLAVTPEELVAARPWPRPAEAAAAADRMLRFLASHGVVRCTTEVGPDGKARRSYAAAPVCKWLATRKNVLVDVGGGTGATLQMITARHKHLRGINYDLPHVIAKAPTVEGVEHVGGIMFDYIPSGNAILLKERTEREIADLAAEAG >Dexi1B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:1B:3718558:3719172:-1 gene:Dexi1B01G0004650 transcript:Dexi1B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQQSPKPRAEEKREHSECRDKSHKTNDQPPAPDATMGARRCCRQPFAPPASQQMVPMLPIHYILGLLPLFLRAVARLAACVAARPPAATAATVLYHAGALPRDPTLERLVCDDMLDAGDDCILRLVVGVM >Dexi8A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:8A:30511775:30512485:1 gene:Dexi8A01G0018150 transcript:Dexi8A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSSKKKTLVVVQALAMLAIAAGQPPPGISTSTTTNLTLHNLCPYPVWPLVTANAGIPSIPTDADGDPVGRLAGHGEGLATLAFPRGTWSGRVVARTGCSTGDDDDDVVGRCATGDAPPVTVAQVSVGGPGGHAAYSVSLVDGFNVAVVVTPHGFPEGPRRCPSLGCAVDLAVDCPVGARAPGGGCGAGASEAAWFKARCPETRTNATDVEVTPQDCVKPGEIKVVFCPAHL >Dexi1A01G0028320.1:cds pep primary_assembly:Fonio_CM05836:1A:34002589:34005482:1 gene:Dexi1A01G0028320 transcript:Dexi1A01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVGEGAKLQPSRAGSRLCVRCGERKAALKRPKTLEQICRECFYVVFEDEIHQTIANNSLFKAGDRVAIGASGGKDSTVLAYVLSELNRRYNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPFYEMYRIFICCHVGFIQNILIWLCCDPGIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKVGAGAGDGMQHAKHSERNTSSLQGKHGNFDF >Dexi7B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:7B:25989556:25992289:1 gene:Dexi7B01G0020710 transcript:Dexi7B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLMAAPTTKFLNLPLLLFFPILLLFHRASGAGSISPDTLNTGGNITHGETLVSANGTFTLGFFSPAGITTKKYLGIWFTAAGADAVHWVANGDTPLNGNTSGVLVLSSSGSLLLLLDGFAGQTAWSSSNTTTAAAAASPVAQLLDSGNLIVREQSTSGGRVLWQSFDHPSNTMLAGMKFGKNLKTGEEWSLTSWRAANDPATGAYRRVMDTKTLPDVVTWQGNVKRYRAGPWNGRLFSGEPDMDSQYKLFSVDMVDDADKVAYVLNAVPGVPFFTRFVLDEDGKVQVFLWETTTRSWNSFSWSPRDDCDDYAMCGAFGLCGVGAGAASTAECTCVDGFIPASPAKWSRGEFSGGCRRNLQLECGNGTKDWFRVLEGVKLPDTDNATVDMSATLEQCRARCLANCSCVAYAPADISGEGGGSGCVMWRDNILDVRYVENGQDLYVRLAKSETGKRKKVAKIVLPVLVSVLVLTAAGMYLVWICKLRGKRRNKDIFRKAILGYSTAPNELGDENIELPFVNFGEIAAATNNFSEHNMLGQGGFGKVYKHLFFLSSGYMSPEYAMDGAFSVKSDTYSFGVIVLEIISGLKITSTHYKGFHNVLAYVCSTEACLS >Dexi4B01G0022660.1:cds pep primary_assembly:Fonio_CM05836:4B:24181225:24187276:-1 gene:Dexi4B01G0022660 transcript:Dexi4B01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPATGRRGRTSLSCRPSCVPGAGLMSLSGLYEVGHDNPHGCARGRGAVIVQAPTSPPPPPLTPAAAAQIAAGSTAPGLGGPRIDASAAPHASDACKAVRMAARKENSMLIACQAPNGRITRAQAAANRGSFGAFPSVSQHAKTERKQPAQGKTKRGSSYDNTSSSAAISAPQPKRRAVLRDVTNVSRANSSKNTTAATKLQTRPTQRVGRTVSKNKQCAKKVPRIPPPAVNKSPVANDSNIAEETQEGPLLPQREEPALLLENRGSISLQNVERNRDSACHEAFFEERNGRDKPEPSVSKTGESPALDIVDIDKDIGNPQICLIEHGRTLFLEISDMFLAHCYLFGGFILNLYVYVIPQLMRRPSPNYLEGLQRDITKGMRGILIDWLVELLMSDILSFLKIFYTSFCLLLITIVMSDTLALLQVSEEYKLVPDTLYLTVNLIDRFLSRNYIERHRLQLLGITSMLVASKYEEICAPRVEEFCFITDNTYTKAELTCLLFLTRRFLRAAQASRKTPSITLGFLANYLAELTLVDYGFLKYLPSVVAASAVFLARWTLDQSDLPWNQTLEHYTSYKSSDIQLCVCALRELQHNTSNCPLNAVREKYRHQKFDCVANLTSPELHQSLFS >Dexi1A01G0029130.1:cds pep primary_assembly:Fonio_CM05836:1A:34666580:34667029:-1 gene:Dexi1A01G0029130 transcript:Dexi1A01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSLLLHPPLWSNSSSLGISASGVTGTGSCFVRRCIEQEHARLGEREQQEWLSGERFLTGCKRRESPFLTRRERFRSEFLRRVVPWEKGTLSWQNFPYYVK >Dexi3B01G0021180.1:cds pep primary_assembly:Fonio_CM05836:3B:16079792:16084679:1 gene:Dexi3B01G0021180 transcript:Dexi3B01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSFEQGLPGDENGKSCQLQFGSYCLWSVEHKEAMKDYTVKKLKDQLFVARAYYPSIVKLDGMEKLSLEMKQSIQEHGHMLSEAISDADLPDLHRVHMAKMDQIIAAAKSCAVECTNVEKKLTQLLDMTEDEALFHAKQSAYLYRLGVQTVPRSLHCLSMRLTVDYFNASADMEHLDAEKFENPACQHYVIFSTNLLASSMTINSSVINSEESANMVFHLLTDAQNFYAFKNWFIRNSYKGATVRVINFEDFQVKNLDNGIVEQLSPSEEFRITYNNNALKRTGYISMFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSLLWNFDLKGKVIGAVQFCQVKFHQLRAYLPDFPYDSSSCIWMSGVSIIDLDEWREQDVTGIHHQILQKVSLIVTFHCCFFPVGFSCDVTQKIPGEMQHYLQACLLFKI >Dexi6B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:6B:18091216:18096897:1 gene:Dexi6B01G0011120 transcript:Dexi6B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILQSIQELMEDQGENNAFGDANQNELFASLVNYDHENMLPDVSAADVAAGKDMQGIPWEKMLFGRDQYREMKMKNYRNYQNLSYAREDAVQLRNLVWATTKHDVYTMHDQSVTHWSSLDQISTELINADECIVPKQRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGEVICKRLDDDGVVFSTRVTDDENAITNSLEIYQDPSGSRRLVAANNDCSIRIFDTEYFDLLKHYGFPWSVNSVSVSPDGKLFAVLGDHEDGLVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDAYADYSKAHEIDLFGEIGGLSFSPDTEAFYVGLADQTYGGLIEFRKRHQHHYLNSLW >Dexi6B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:6B:24688507:24689502:-1 gene:Dexi6B01G0017510 transcript:Dexi6B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGFVELKLDWAATKSLSIGAIVLSDEFSAGGHVWRVRCYPHGHKQHDIDGLHLVSESKNVRALFEAFLMGVDGAPSKSHTKSSVQVYPPEGFDAWGFSPFVKRADLEASSNCVKDGHVTFMFGVTVLLSGDQGPVPVPSSDIADHLGHLLDSADGSDVSFDVAGETFRAHRAVLAARSPVFKAQLLGSMAEANMSRVTLHDVKPGTFQIMLRFMYTDKLEESSSANIEVFQNLLAAADMFQLDRLKLMCAQKLWERVSAENVATVLGCAETHSCPELKNRCLDFFVVEKNFKVAVLTEGYYRLMHSFPSVIDEIRERVQSKR >Dexi7A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:7A:27170839:27174005:1 gene:Dexi7A01G0017500 transcript:Dexi7A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEFLEAQGATRPELAEWYAALADLYQRKLWHQLTLKLDQFLALAVVQAGDALIQLYNHFISDFETKINLLKFAHFTVVVSRQYLDKDAGINYLEGVISKLHDTREARVEEPILRSSENRTIPLSAIAERTRLSVEGVEYLLMKSLSARLIEGIIDQVDGTVHVSWVQPRILGIDQVKSLRDRLDTWVGKDTLD >Dexi9B01G0046870.1:cds pep primary_assembly:Fonio_CM05836:9B:46136651:46139949:-1 gene:Dexi9B01G0046870 transcript:Dexi9B01G0046870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAPPPALKYRPCHNPNGLVSEEALRIIVESKACFVALALALAYFLTASQHRLWSSSHLVKGFLFAVTQPVTRFLFGMFTMLLAMPFRSDLYLLWGILLLAGYEGVYSISGYCVSTRRSDLAVHEFARAYNVVTLGLYVRYYSHASQFRYPLWALWALMVAKFLERIVRFKIANCRYGDSNTTFVADYMKHEDKIKNRKTTNTTTEDGQRFSLKDCNYLIVGESKSKEKAVRVEDEYEAKYEPAHDTVTVAKVWNSDGELLLASSSKNNNGVDDEHEHELKDVCLSFALCKLLRRKFAGVGTSESEKPKGRRLVFDNLIRSGSRRTFRVVRTELGFARDLLYTKYPILFSSGFPVVSTLLFAATVAVSVWITVSAVRHYRIPHGSTSNVVDGRNVDLIITFVIVGMVMVISEYVRKPWLNCCFLNRILRFICSAKIAEHIGSSLGQFDLLKETKKQRRVPERIVKLYHAARSFVLLTDDEDFRINKCRTLRPVPVPVEEMICDTLVKNRGDLIRGRERLKRRTELERHVELSTLCKARTHIERIMVWHVATSKLEEEDDQRRRRTGGSRSEVSNGLVNGRSSKGQNDLEGGRSSSEGSKGLEYYKLVATTLSRYCAYLVFYKPKLLPIASNSVRYMCNELVREASSSSSKEESNGGKETSMVCRGLRLAEDLPGCVETPEEGDELWRALAELWCELVVTMAPQGSITAHQKELGKGGEFITHLWALLYHAGIDDKFSGSSTGAADAAPRPDKEDDQGQGGGAGAICHAGSGGGTV >Dexi4B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:4B:811119:811389:-1 gene:Dexi4B01G0001320 transcript:Dexi4B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDLSNTTARGAPATVAATADLVPGTWSVTTRFTVMVNTRARFKVGVAWTRLYDIKVSCAPVAFFLADDEAKPGGTASLPVRCV >Dexi3A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:3A:9970196:9970508:-1 gene:Dexi3A01G0013690 transcript:Dexi3A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSWAITRLNRPKTYTLSLLSLSSDALPLRPSSLGSSVVPYKSGERQKLVDAGHLIVGNMGDQWSDLLGTPEAYRTFKVPDPMYYVA >Dexi4B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:4B:22792906:22794641:-1 gene:Dexi4B01G0020690 transcript:Dexi4B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLGASTSKKQRADEEGEEIEAAAAAEEEDRISGLPEDLRLRILTLLPLKSAIRTEVLRSLERRGLRRLDRFSLTIERSRNPADPPFRAPQRFIDYAAACGVEDLRVDVANHFVSWTWIRRFTLPPGCSHLARLFIRHEAGVSFGFSLRFDAFPALEVVHLHFVRIDINELLWACPRLKTLYLRRCNCQWAGAINLMPARAHLKTIESVTVLECSGITHIDARKAHGLRSFRFSSAGFPTYDIAGTAKLDDHISLRGQNRKPLGHWIRALPDLANLTVFTICSIGLREAEEDEPDEVLYEGYETEEELLLEKPPEEYMLKEWLYYKDVYEEDCPEDVPDNEKSEDDVPEDEQSEENVPEDEQFEEDVPLYGLNNLIFAKLMKFKGHYFEMRLVSFLLRRATGLQKLLLVPPPVGMGNYMEAFGEEPLDTSRFLETILNFEKASPDAQIVLDDSNPPATQPVHSDVL >Dexi1A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:1A:9135934:9146944:-1 gene:Dexi1A01G0010620 transcript:Dexi1A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIARSIPHLRALLRDVAAASPPLAALVPDLFAAATLPLAAELAVPGYLFIPASLTALHVMRTLVELHDDASSGEYRDLPDPLLFPCGAALRHEDVPVGMEDSKAPVYAQIVEEGRRYRLAAGFLVNTFYEMEPAAVEEFKVAAERGTFPPVYPVGPFVRSGSEEDATSPCVTEWLDRQPNGSVVYVSFGSAGALSVEQTAELAAGLEDSGHRFLWVVRMPSLDGENHSDMGKRGDLSGGEEDDPLAWLPEGFLDRTSGRGLAVRSWAPQVRVLSHPATAAFVSHCGWNSTLESVSYGVPMVAWPLYAEQRMNAVFLSESVGVALQPCARVSDGVIGREEVAEVVRELMEGEKGRAVRRRTGDLRQAADMAWAPEGSSRRAMEEVAGRWRAAALGREEPPPARAAAGPEPLPPPARSTAATTAPSNLRLASGRLDPRRARGPTLPPPLRAAADASSASEHDKESGQRFRNKPGRADAAKIELHPGELDILEHGGKREIIPASTATSIASLSDARRSEEETRAVLDDIDKKMTNEQRWRRYERKWIRDEKKRYA >Dexi5A01G0039390.1:cds pep primary_assembly:Fonio_CM05836:5A:40044085:40044922:-1 gene:Dexi5A01G0039390 transcript:Dexi5A01G0039390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARLTPFPREQTATRICLVSRSKEKKPSLHVLVLLRFTRTLSKLFMKTSVAKHLLPL >Dexi7B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:7B:8359951:8360951:-1 gene:Dexi7B01G0003460 transcript:Dexi7B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRSLARVFALLATTCLLWKEAAGFSASGLNKAFATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCYRITCDYQADPRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGIYRGGIVPVIYQRYIYVAADLTTTTIIPRRDWFAYDTLPRNNTCRVTNLPTLCYCRVPCVKQGGVRFTINGRDYFELVLISNVGGCGSIQAVSIKGSRTGWMAMSRNWGVNWQSNAYLNGQSLSFQVTSSDGQTKTFRDVAPANWGFGQTFSTSQQFS >Dexi4A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:4A:7572858:7574079:1 gene:Dexi4A01G0009580 transcript:Dexi4A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPRLLPHDVLADVLARLAPRSLAVSRCVCREWRAIVDERYQFHPDLLPISLGGIFILAHEPEPPDFFARPSMAARRIGAKLENYVDRGQYNIDDYPDIVDCCNGLLLLDRHVVNPATRQWVRLPPCPVLSEGNTGFGSYQSSYLVFDPTLSPHYEVLSLQIPFNCEGKLFKGLDWPPSLYIMRVYSSVTGRWEERSFALEEQIEGSIDGVVSVSGHAAYWHGALYVHCNSDFIMRISFSSYKYRVIKLPTGIDASVYYQFYLGNSKDGIHFATIIEDQCRLHVWFLDESGGKTTWVPKHGINVQAVIEHFWNNLDDQTDRPWILEADDMDQAYNKGTNTPIVEKILDWDSDDDNAVDIKDGDAKTCVGL >Dexi1B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:1B:5795168:5797580:-1 gene:Dexi1B01G0007010 transcript:Dexi1B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDGPPAAAASPLRSFSKVFMHADAVDVALMLLGLVGAMGDGMSTPVMLLIATRIFNDVGTGPDLIHNFPSRMNQNAKNLLFLATANWFAAFLGERTRRTRVRAKSHSDACLTDTSCVRALAEGYCWTRTAERQASRMRARYLRAVLRQDIEYFDLQAGSTSEVVTGVSNDSLAVQDALSEKVPNFIMNVTMFVGCYAIGFVLLWRLTLVALPSVLLLIVPGFLYGRILIGLARQVREQYTRPGAIAEQAVSSVRTVYSFVAESSTMAQFSTALEESAQLGIKQALAKGLAIGSSGVTFAIYGFNIWYGSRLVMYHGAKGGTVYVISSGIVVGGMALGSGLSNVKYFSEATSAAERILEVIQRVPMIDSEQDTGKELANVAGEVEFRNVEFCYPSRPESPVFVSFNLRVPAGHTMALVGSSGSGKSTVIALLQRFYDPSSGEVTLDGVDIRQLRLKWLRAQMGLVSQEPALFAMSIRENILFGKEDATEEEVVAAAKAANAHNFISQLPQGYDTQRGVQMSGGQKQRIAIARAVLKSPKILLLDEATRGA >Dexi2B01G0030260.1:cds pep primary_assembly:Fonio_CM05836:2B:38542031:38543660:-1 gene:Dexi2B01G0030260 transcript:Dexi2B01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLEEAVEPRKKSNVKYASFCAILASMASIILGYDIGVMSGAALYIKKDLKITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFAGSLLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPASARGFLTSFPEVFINIGILLGYVSNYAFARLPLYLGWRVMLGIGAVPSALLALMVFGMPESPRWLVMKGRLADAMAVLEKTSGTPEEAAERLADIKAAAGIPKDLDGDVVTVPKERNGERQVWKELILSPTPAIRRILLSAVGLHFFQQASGIDSVVLYSPRVFKSAGITDDNKLLGTTCAVGVTKTLFILVATFLLDKVGRRPLLLTSTGGMIISLIGLGTGLTVVGHHPDAKVPWAVALCLLSVLAYVSFFSIGLGPMTSVYTSEIFPLRVRALGFAVGVASNRVTSGVVSMTFLSLSKAITIGGSFFLYSGIAALAWVFFFSYLPETRGLTLEETGKLFGVEDTDVSGEENAAAKEKVVEMRTSQT >Dexi2A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:2A:918993:919482:1 gene:Dexi2A01G0001390 transcript:Dexi2A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCSRSGKLRGAPPASPSGKAQAPKEATRRVGQKRRSTGDRNQPSSVAVGSAPFSTKEHIGMQNRRPGSRWEERIPEISPAGMTRHKGGRPRVSTLRGAERQMWRHREERIRVRPPSLVGRSETMSPRMASGRRLM >Dexi7B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:7B:12901973:12910285:1 gene:Dexi7B01G0005450 transcript:Dexi7B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDEFRPRAQEPSAPLEPPAAKEAAEQRVPHRNHCRPVLKGAPFIICSSCFKLVQVPDELAVTTNTVCKLRCGSCSAVLSCSYRNPARKKPYQDSTDQLITDASESPDDAALPLLEVLEEPCAPRRVVQWTSHGCVRPAEACDLEDVGVEYFSELLCRSFFQYSPAHNEKDGKFVMHELMYKVVESVSRDKYFKYEDPMSCVPENTIHMSLVSSQFQTVELMHKTEQLNDLQTFTVVQPEWQQYKISFPTLKLVGLDDFFLKFTSIQTQDLSHTDIEELPGSIVGLKKLQYLSVSNTSIRSLPSELCSLGNLQTLEAKDCRFLSALPGDTKKLLKLRHLDVTKELDYVQLPHGVGQLTGLQTLPVFHASSDPSHYSVSDLGSLHNLRGCLRISGLESVKTGIKAQDANLKDKHHLKDLTLQWHDGGINIDDEDTENIAEQVLENLQPHINLQELAIRGYEGSVFPAWMQSYSSLPNLVSLTLDSCCNGTQFPGVAQLPSLKFLSVRKMYDVTMLSSNIHGSIMFPSLELLNLWEMYGLEELFEASEGDCPRLRKVCISRCPDLKRLPCTPVRELVLHCGHQLPDIPELASLVSLKIEGFHGVKSFTLPAAAALPVLRKLEIRSCKELSSVDGLSALTSVQRLKIAGCPMLVLPRTDSLTT >Dexi2B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:2B:12750056:12753842:1 gene:Dexi2B01G0011180 transcript:Dexi2B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLQHEEEGQADKTFPVAKTLCSVFSVVHYAASLSHSPSSRARGFLGGSPRHRSPPPPPHPAEGSPLPGLHLPLPGAHSARLPVGSYSPAGCRCSAPVRRGVPQFSFLPTAVASMYLTAASSAAAAAFSSSSRQLQPRPARAARRRLVVRADFKVISTGDACRRGLAAGIDKLADAVSVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNALEHAGALLLQEIASKTNSAVGDGTTTAIVLAREIINLGLLAVASGANPVALRRGIDKAVHELIKILKSECIPVSTKEDIKAVASISSGNDEYVGNLIADALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYVSPHFITNHDKAIVEFENARVLLTDQRVNEVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWGLHGITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDVEETTSSYLKERFSARIAKLSRGVGVIKVGAATEAELEDRKLRVEDAKNATFAAISEGITPGGGVTYVHLSKHIPSIMDLVDDPEEKMGVNIVGKVSLSFH >Dexi4B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:4B:16791013:16794262:1 gene:Dexi4B01G0015320 transcript:Dexi4B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTSCSRFPLLIVLLLFLLLLAGEVHSQPATGTGAGDRDTLITIKKDWGNPPQLNSWDPTTAPDHCSWTGITCGGGAAGAVTGLALPRLNLTGAVPASVCLLSSLARIDLSNNNLTGAFPSAALYACTELTFLDLSNNQFSGALPRDIDRLSPETMEHLNLSAAGFSGEVPPAVARLPALQSLLLDNNHFTGAYPATEISSLGGLKILTLANNTFAPAPIPKEFANLTNLTYLWMDQMSLTGQIPEELASLTELSLFSLASNNLTGSIPAWVWQREKLEYLFLYDNGFSGELTRNVTAVNLMEIDLSSNQLTGEIPEDFGKLKNLAYLFLYQNQLTGTIPASIGLLTRLRDIRLFNNRLSGELPPELGKHSPLDNLEVSINNLSGTLPETLCANGTLWDLVVFNNTFSGEIPAKLADCVTINNLMLYNNNFSGDFPAKIWSSFPKLTVVMIQNNSFTGSLPAQISSNITRIEMGNNMFSGSFPTSAPGLKVLHAENNRLGGELPSDMSKLANLTDLSLPSNRITGSIPASINLLQRLNTLDLSGNRISGVIPPGSFGVLPALTTLDLSDNELTGSIPSDISNLINSLNLSSNQLNGEVPVPLQISAYDRSFLGNPGLCAMAGSGTNLPTCRGGGRGGHDELSKGLIILFAMLAGIVLVGSIGIAWLLFRRRKESHDVTDWKMTAFTQLNFTESDVLSNIREEHVIGSGGSGKVYRIHLAGAGGEEGGAGGKMVAVKKIWNTAKLDAKLDKEFESEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRDREGAPAPLDWPTRLAIAIDAAKGLCYMHHDCAQAIVHRDVKSSNILLDPDFQAKIADFGLARILVKSGEPESVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDSGADMCLAEWAWKRYQKGAPFDDVVDVAIREPAYMQDILSVFTLGVICTGENPLTRPSMKEVMHQLIRCEQIAAEAEACQVDYEGGGAPLLELRKKGSRRRSLSDSGRWNDGEDEEDSGNFVVHVV >Dexi6A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:6A:1040267:1042091:1 gene:Dexi6A01G0001170 transcript:Dexi6A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVEKGEDLLSKLPSDVLVSILEKLELREAIRAGVLSSRWQCLPKQLPRFALDIDDFLPGGGDEEYDDHDNGEDDVAPHDDVLSEAGNKMVDVAAALLASRDAGDVRQVASLAVSFHLRHSYYMSLGRLLDDAVASGKVRAVELQITSKMDIIVGIQDGESTERALLHGYGRRFRTLLDACPAAFGALTKLTIQMVKLDKLDLDDILLTCTRLEDLTLKYCVPGPGVLWQVRHARLTDMKISLCGIRGINLGWLPRLERFAFKGWYFAPSHDLVSFGHVPRLSAATLSQDSDETLKLSRILPNTALKDLRLNFRGSNLTDHDCGVAMKSVPWKLDTCFKHYSLTRVTIIGFYNTEEMIVAHIRYLVEAAVNLKEIYMRENATDCAICGHAEPQAGSRFPRTDEEKDTFTKRITNGRSGTFKTYIQS >Dexi1B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:1B:6297300:6301844:1 gene:Dexi1B01G0007600 transcript:Dexi1B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPGVPVAAALGAVLILSAAAGWYGAEGKTCTNAFPGLTSHTERAAAQLRSAPGGHHGHGHDHGHEQHLTPTDESTWMSLMPRRALRREEAFDWLMLYRKLRGAGAPRPGVAAGEFLSEASLHDVRLEPGSLYWRAQQTNLEYLLLLDVDRLVWSFRKQAGLTAPGTPYGGWEGPDVQLRGHFVGAPPNLRHYLSATAKMWASTHNDTLNAKMSAVVDALFDCQKKMGTGYLSAFPSEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVKNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTDPKHLAETLSTENEESCTTYNMLKISRNLFRWTKEIAYADYYEKALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYESFWCCYGTGIESFSKLGDSIYFEEKGDTPALNIIQYIPSTFNWKAAGLTVTQQIKTLSSSDQYLQISLSFSAETTSQSAKLNVRIPSWTFADGAGATLNNKDLGSLSPGSFLSITEQWNSEDHLSLRFPIRLRTEAIKDDRLEYASLQAVSFGPFVLAGLSTGDWDAKAGNSSTISDWITTVPSVYNSQLVTFTQVSNGKTFVLSSANGSLTMQERPEVDGTDTAIHATFRAHPQDSLELHDIYSTTIKGASLLIEPFDMPGTVITNNLTLSAQKGSDSLFNIVPGLDGNPNSVSLELGTKPGCFLVAGADYSAGTKIQVSCKSSLQSIGGILEQAASFVQTAPLRQYHPISFVAKGVRRNFLLEPLYSLRDEFYTVYFNIGA >Dexi7A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:7A:23724440:23726079:-1 gene:Dexi7A01G0013750 transcript:Dexi7A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLGVKAAPFTYAAHALAAVAAVLVLVWCVHFRGGLALEAQNKNLIFNVHPVLMLIGYIILGSEAILIYKVFPKLNHDTAKLTHLILHAIATVLGAFGIYCAFKFHNDSGIANLYSLHSWLGIGTISLYGIQWVFGFVTFFFPGAAPGVRRSALPWHALFGLFVYVLALATAELGFLEKLTFLESGGLDKYGPEAFLVNFTALVVVLFGASVVVAAVTPAHVEAPQGYAAIPVS >Dexi1A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:1A:28428569:28430457:1 gene:Dexi1A01G0021700 transcript:Dexi1A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPEEEETVMSLHATLGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVEGKESPSTPTPAATSSGAADSEDSQCVKPRVGAAQEAADRPADSGSSEPRESSSADSSCLTDPPAAASACRPHAPVAPKVMFADWLDMDMDCLAGGGPLAAAPGLDVVGAGDQQVMSQQGSVQVDGPSGVDVSLHGFGDSGASCWEFQEHFDDGIDHINTTGFCDLLSMSEYFGLN >Dexi5A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:5A:4422372:4423224:-1 gene:Dexi5A01G0005960 transcript:Dexi5A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTSTLKMKLLIDTKAKRVVFAEASKEVVDFLFSLLTLPLATVVNMLDTGSLPGSFGNLYGSVEDLDDGYVLPCADKESVLHPAVVPSAATSLLLPAPESGQRGVTFFTCSRNFYSTCSYYVAETKGVTCPCCSNKMDTTLNLKIVADGGSGSNMQNAGSMAMATGAAAAKGGLVQGVVTYTVRDDLTVTPMSTISGISMVNAAGVADFAALQEKTVLIGYAEGLAIVKASLQSKTVLTDVFLANKRRRG >Dexi2B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:2B:28756216:28764947:1 gene:Dexi2B01G0018450 transcript:Dexi2B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGGSGIGYAGLVTAFWPTKTFLSALRLSASGDVVVATAAIRASGYGGVNTAIGGGRNPEVGKALCIALARKGVFVTVVDFSEENGTQVVSIVQKENKLVHEYARVPSAIFIKCDVTNGDALAGAFRKHVDTFGGLDICINCAGFVNKSLVYNDKSDGFSTWKRAVNVNLVAVIDGTRIAFVQTNMGEQVNRVLVDALGGFLKVEDVVKGAFELIEDESKAGACLWISKRKGMVNFSSGRYFSGNAKAAAAHLPFDAGFEAVGIVASVGDSVKHIEVGTPVALMAFGSYAEFMLAGQMMSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGEAKSTLLASLGVDRVVNYRNENVKDVLKKEFPRGVDIIYESVGGETFDVCLNALAVYGRLIVIGMISQYQGEEGWKPQNYTGLCEKILGKSQTVAGFFLIQYAHLWQDHLEKLFNLYASGKLKVFLDPKKFLGVSSVADAVEYLHSGRSVGKVVVCMDPSYSQTLAKL >Dexi4B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:4B:22133757:22134071:-1 gene:Dexi4B01G0019980 transcript:Dexi4B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTSRQQRPAAGRRGKGKAPAPPPPPPWPEDDEANGGHRRAQRRRRPPPRRVRARALGVMMAAGAYILLAEALSGERPSAAWMFTAFVLWIVGKALLLLSFIN >Dexi9A01G0029930.1:cds pep primary_assembly:Fonio_CM05836:9A:34784465:34789070:-1 gene:Dexi9A01G0029930 transcript:Dexi9A01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTKGFPCPIPNHVAIKIAHELKNLLVDNSLLDVSQSDLEANLFKVRLHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDSCVGCDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIMIETPPALDVFNALTKSGGSLVGDGDKDLDQPKNNTAKIDKPAAEPIVVPIVLRMSDFDYKALLEEWIATRAFRDNCLPQGLTIVDISANSFPQTWDWLHSYLLQCIERGLLAACSESCNDGGN >Dexi3B01G0022670.1:cds pep primary_assembly:Fonio_CM05836:3B:17391067:17392236:1 gene:Dexi3B01G0022670 transcript:Dexi3B01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALRRAPWAAAARGLLASRSSWRVHPHQGHRTECSAPLSSLSGPQPGAAADAHLLRVINYEISCAQLDCKKRDWAKELGESFPFEIQDKEGTNRITLTRRDQNERIEVEVFLPSPADSVEQNGELEDRVEDDNRQGHASNAAANQYYIPLVVKIHKGAASWLEISCRSYPDELAIESLAFGSREESVDSSDIEAKISNLPEEFQQAFHSYLKSRAISSDVTNFLHAYMINKECHEYLAWLRILKGLIKR >Dexi6B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:6B:118691:120984:-1 gene:Dexi6B01G0000200 transcript:Dexi6B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSGSSAADSRVETISRLAQWRIDSLGSCSYRRSDPFKLGIWNWYLSVEKSRSLCIRLFPEPGRVAKDQPPLARFLLRVSWPGPPRRSCASTGMVQRIASKSSLGCLSRMLTESIHTDVIINTTDGVLKAHKAILAGCSPVFESMFVHDLKEKESSTIIINDMCLESCSALLGFIYGTIEQEQFWKHRLSLLAAANKYGIGDIKDCCEESLLEDINSSNVLERLHVAWLYQLERLKKGCLAYLFVFGKIYDVKDEIHSFFHHADRELMLEMFQEVLSVWKPM >Dexi2A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:2A:22771574:22772939:-1 gene:Dexi2A01G0013950 transcript:Dexi2A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLSSLLEKHGGAVLDEQPNQRIASRKNHALAVITCLFSANAYAKWVPVIYLDKYGLIEKCKSFLKLSDFRVAALQFFKVLCQRRGPLFAVDDYSSAMNLVFWILMNISKDSLTELKTHHEVVGEKQLIFAGRICECLINLGSLNMQFIIADGNRATHFFEQMLKYYQHSKFALHFRSLPFWLMVLKESYVACDPGVVASAVNSSSMASTVKGNSGPFVFVSDNILTGIMDFSLKRILKKSAAITSEFLELWSDETHGKNGF >Dexi1A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:1A:5943455:5946540:-1 gene:Dexi1A01G0007710 transcript:Dexi1A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLNLKHPLVLPGHQTNVVGSRLPSSSSPATAARRVAAGGCSSSWRHTMPRISCSATEEIAGGVSAVTVDKTLTVKATVEASPAIGQMYAARGLDDIGDLFGKTLLLELVSSELDLTETGLEKERVTAFAHKTLVEGHFEAEFQVPSSFGPVGAVLVENEHHKEIFIKEIKLVTGDDTSTAITFDCNSWVHSKFDNPDKRIFFTLKSYLPSETPKGLEELRKKELETLRGNGHGERKSFERIYDYDVYNDLGDPDRNPAHHRPVLGGSAELPYPRRCRTGRPRTKKDPTTERRDGHNYVPRDEWFSEVKQLTFGATTLRSGLHALLPALQPLLTQRELRFPHFPAIDDLYSDGLPLPPQTGFDVFSTLVPRMVKLVEDTTDHVLRFEIPEMLGRDRFSWFKDEEFARQTLAGVNPVCIQLLTEFPIKSKLDPAVYGPAESAITGEVLEKQMNCSLTVEQALAAKRLFILDYHDVFLPYVHKVRELPSTTLYGSRTIFFLTDLGTLMPLAIELTRPQSPTQPQWKRVFTHGPDATDAWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTMEINSLARESLINAEGIIEDAFWPGKYSIELSAVAYGATWRFDTEALPNDLVKRGLAVRGEDGELELTIKDYPYANDGLMVWNSVKQWVADYVKFYYKSDEAVAGDTELQVFWEEVRTKGHADKKDEPWWPVLDSRDSLVETVTTIIWVTSGHHSAVNFGQYHFGGYFPNRPTTIRKNMPVEEMRDDEMRKFLSQPEMTLLDMLPTQMQAITVMTTLDILSSHSPDEEYMGEHAEPAWLAEPMVKAAFEKFGGRMKEIEGYVDECNNNPELRNRCGAGMVPYELLKPFSKPGVTGRGIPNSISI >Dexi2A01G0022100.1:cds pep primary_assembly:Fonio_CM05836:2A:33984337:33986042:-1 gene:Dexi2A01G0022100 transcript:Dexi2A01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSDGPIGRLPEHLIVEIFIRLPVREWVEIACVNKQWGSIFQGDCLWQTAITRNWPSADLRKRWPGPIPRGSTRRRFQALYVSENLVPSGGEIDELVGHTYLYLKEQLERPDMPPSSIIHGTIIDQFIACGKTGEKAHDLASKIWLAVIDGLEENQQTFLLLKHLTREGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSGADYHDALSTAKSRFQLVPSTWLGH >Dexi2A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:2A:31381181:31383357:1 gene:Dexi2A01G0019180 transcript:Dexi2A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQEREVQLLQGRQAWPFHMMGMATTAGGCFDGYGYGGGGDCFVLGWEQQPPPAPFGCFGLLAADVQDLFPFFAAGMESPVLQVPSAPSAPPPPAHDAVAAAIPGELDDLLLNFWDGSCHDGDVGKEEEQLQLGAFNSSCVTHEQTTMATCAAATATTTNCFFHYDDDADDPLSSIFCTGPTSLLPAEKAVVFQAPQAAAEVPLLSSSSSSYCRGAPRDGDTQAQAPCAAATPSASRARTPPLPRSSSTSSTPSLKRATREGSSSASDQTAAECGQSESSKRRKTAASAGVVCPFALLKPDGLDGGATLADINARILMRPARPVRHPVGEFACAPRVSADQPGISGKAVSSFTRLHTPGRGTITIIRTRG >Dexi2A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:2A:3780777:3782589:1 gene:Dexi2A01G0004220 transcript:Dexi2A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPALLRSSRSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Dexi4B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:4B:6105741:6106275:-1 gene:Dexi4B01G0008490 transcript:Dexi4B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSASRPPRARYLDPRSPHSSAFSCSAGETRAPEQRVEREAPCNARVRSSTSRRRQPESERDQRGARGEYRYLEVLEVDGSVAAGQRSSPWRGGDLASGERRSRCSGGVRWLRRQRWAPAAGRGAAPVARGPGGSAAAAATADGERFARGGDREGETYG >Dexi3B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:3B:3347792:3350464:1 gene:Dexi3B01G0005020 transcript:Dexi3B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGQPNPMGQGGQQGVVGASLLRSSSALLGAGAGGQPGMGMGAAGVLPSHSPFSSLVSPRTQYGGAAPGGLLAGASNVASLLSRQQSFGNGGTGPMPGGLPMGGLQQQHLHQQLQHQQRGGGLDGVGDLVAAGAQDSMAFPSSSQGSMGNQLGGDSLQQHQQQQHQPQQLDAPQDSQNQQQHQHHQQLSMPYNHNQQQQQQQHMLPQTQQQQQPAVKMENGGVLGGVKLEQQMGQPDQNGPAQMLRSSSAGVKLEPQLQALRTLGAVKMEHQSSDPSVFLQQQQHMLQLSKQNPQAAAAQLSLLQQQQRYLHLQQQQQQQQQILKNLPLERNQLQQQQQQQQQQQQQHQQLLRQQSLNMRTGKAAYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPSAKKRWCVSLYGNGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNSSGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSSVQNSVSLSSQDMQNNCNS >Dexi9A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:9A:3761802:3762503:1 gene:Dexi9A01G0006600 transcript:Dexi9A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASSTAAFAAKPRLPRARLSVSCSATGGDNGSSSSNSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTASLNKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELASRLLPRGFIWPVAAYRELINGDLVVDDKDIGYY >Dexi2B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:2B:10525409:10526194:-1 gene:Dexi2B01G0009800 transcript:Dexi2B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHHHGIHGGFYLYGDYYAAAATSSSSSSSSGDSFPFSFGGGDEVPAPAAAAAVSGRLRRAGDAASTPAAGAAAPTSSDDISKQGAAAAAAAPFIGVRRRPWGRFAAEIRDSTRNGARVWLGTFDSAEAAAMAYDQAALSARGPAAALNFPVERVQESLRALALGVGGGAAAATAAGGSPVLALKSRHSKRKRRKKSEIAAAAAAAAASGGAVASSGRSRSKTSKSACTAAAAEQQQRFVVELEDLGAEYLEELLRISES >Dexi8A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:8A:8452882:8462727:1 gene:Dexi8A01G0007480 transcript:Dexi8A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEFEVHRLVVNRDPKFTNFVEVYLILDEFILAGELQETSKKLSFEPTAASRARRIWAPQRPARVEARSCAIRRGAACEASGCGWWSWARLQRRWSTSSSDAEVEEQEERIRSRDSKNFSSSCSGSSTGGSEPSDLRRGGARHAAQPYVHLVCATTTSSPPPDGRHTPSMKKHSSSGPPKMSGDLGGCWPPWLTACTAAWGEGSRKKRGEKHCERQRGNARIENKKERKRETRERWGHGAYAPWRGGRRGGACPVAGLNGGPGGRRPFLAWLPPSPTPGGAACPPASLGACCHLALGARPPAGVYLLLGSPVSCGAADHSTREAGTGLHAAAKNL >Dexi2A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:2A:13513119:13514517:-1 gene:Dexi2A01G0011590 transcript:Dexi2A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSVTAVVGDEDALPPATPFDPTVVIEDGGEGEQQGLGMRRGHRFAASYSSFGTAVSEDDLGGGAAAVVDGVGYAGFGMPPDSNGGGAAAYGFAAGDVEHVMGAVYVMDGAVMHAGVGEVGGVGGGGFLDEDLFSGVADEGPILPAPEAMKEEGILRREWRRQNALMLEEKERKERERHSEIIAEADAFKKSFLEKRKLNCDTHRTQNRDREKLSMANQDKFHKEADRQYWKAIAELVPHEIPGLEKRGKRKEQERKPGIVVVQGPKPGKATDLSRMRQVLMKLKQSPPLHMVPPPPPAAKEEEKKKDGDEDARKDVKDANKDGKQAAGDAGNKAGVTEKAAVSANAAGAPLAAPAEAPASKASEQPVKK >Dexi9A01G0024220.1:cds pep primary_assembly:Fonio_CM05836:9A:20448448:20448752:-1 gene:Dexi9A01G0024220 transcript:Dexi9A01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKAKMKAEEHRKRDQRWKAEKELKERKLLWEQEQKIMFYDTSVLDETQKAYVIAMRKHIVSAKEASVKGGVSTSEQGSGGDAEQAESLM >Dexi8A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:8A:5424686:5426407:-1 gene:Dexi8A01G0005690 transcript:Dexi8A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGALQLPPGFRFHPTDDELVMYYLLRKCGGLPLAAPVIAEVDLYKFDPWSLPEMAVGGEKEWYFFSPRDRKYPNGSRPNRAAGAGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKTNNNALRLDDWVLCRIYNKKGVIERYDTVDDDEEVAVAPSDVKPAAKPSAAAKNARGAPASGRGGAAPMKVEMSDYGGYYDDYDLETPSAGMLCFDRPAGAAAPVVPAALAPAPAPAVSSPATEPDLDRDSSPMPWMHTTDNSSSGSEHVLSPSPELPDRDHAESQLLGGAGWWPAGGGDDWGGAVDDAFMVADDGVELFGPPSPGLFSRVDAAAAAVFGDMFAYLQKPF >Dexi8A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:8A:11786158:11791798:-1 gene:Dexi8A01G0009180 transcript:Dexi8A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRPLLRRILRLAAAFAVTSCACFPAPVSGIRGCKLDSQCCNSYEYCVSCCLNPSKTKKEDVLKLKVAKPVTAGTYTDVFDFCMGRCRHSSASVVLPFLPPLGVHENAYTSDFHHCFSQKQNASGTSTYYELSLSGSTESSSVSKLLGINVLVGRPGESCSMVCKVRGQSCVPSRLSVLNKCEILQKYMRCKSGCFRSLGPDQPAEVVDEAPTSLIKLIMLYGIRYFVHSSGYVVYAHTSNYHSRIQEHAYTCRWMSSSHAMVHISILGDFVHAHEKGRRNAALIREWR >Dexi2A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:2A:14897081:14897540:-1 gene:Dexi2A01G0012740 transcript:Dexi2A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSSPSLRHLLLLRPRLPLGPTCLAGSFSRRHVHSRRRRLFSSASSSTLTHGDAASAHVDGDGVVDVNPPRGTRDFPPEEMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQISPF >Dexi2A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:2A:28322776:28327671:-1 gene:Dexi2A01G0016610 transcript:Dexi2A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGTGLHDLFDSVRRSISFRPAAAAAGPEIPAGPFGAGAGGIGLRISSCIRKSRGMGLLGLIAKNPSPPRRMLPPMPDEADGGGGGSGGGGGGCGGGGDENPPIRWRKGELIGAGAFGQVYLGMNLDSGELLAVKQVLIGTSNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEPVIKKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHSFVTGEPDELQPLNRAGHKDSFNEIPAHDIPNGLFENDLTASRGLNHSSNWSTMNSNKSSTIKPLWEGSCDDDDMCEFADKDDYPPVGASYNPMSEPFDNWESKFDVGPEQNSHQSMEFGGLAKHAESSITENEFTFPVEGSCEDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTTNAGSCQEADQTSKGKIPVSPKLPPRGKSPPSKTRGVASPTCDNLNNTSPESCSKQFPRSSVVKSSRILREIASPQLNEFGDKIHLDAQDSPSISFAERQRKWKEELDQELERERVMRLAGCGKTPSPSRGPNVKRERHADH >Dexi9A01G0028090.1:cds pep primary_assembly:Fonio_CM05836:9A:32720615:32736041:1 gene:Dexi9A01G0028090 transcript:Dexi9A01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAAAEAATSAAASAAVAAPAGPPEEPEYLARYFVVKHSWRGRYRRILCIASSGVVTLDPTTLNLTNSYDAGAEFDHAEALTATDEFTLAVRTDARGKFKPMRFSSPLRPGILTELHRLRAVQPALDFPVLHLRRRTHEWAPFKLKVTSVGVELLEASGDMRWCLDFRDMNSPAIILLGDTYGRKTTEGGGFVICPLYGRKSKAFMAASGTTNTAIISHLTKTAKLRIGLSLSVDSSQSMKTADFISKRACDFDLKIFNCLWTSFSAMEAVGAAESRHGEWSVTRLRSAAHGTASIESLGLGVGPRGGLGEQGDSVSRLLVLTDTSLVERRPENYEAVIVRPLSAVNALVRFAEEPQMFAFEFNDGCPIHVYASTSRDNLLATVLDVLQTQALMNDLSNVEACVLVGGCVLAVDLLTVAHETSERTAIPLQSNLIAATAFMEPSKEWMYIDKDGTQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALSVRVPVLTPTQIGEAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQVMLTGEPSIVEAAASLLKAIVTRNPKAMVRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAGNLIHQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPYLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLEDLVLGENGSNKQSSELSSTSSVDKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLKAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTLANEPAARIVTNIMHTFSVVSQFESGRFEILKFGGLVEDIVHCTELEFVSSAVDSALLTAANISVSSELQDALLRAGFLWYVLPLLLQYDSTAEENETSEAHGVGARVQIAKNLHAVHAIEALSRLCGVSSDEISCPSNKPAYNALRALLTPKLADMLRNHPPKELLSNLNSNLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESQSFTYESLSKELNVGNVYLRVYNNQPDFEISDQEEFCIALLKFIAELVQQWNSFNSEDSTMHKHDSLVDTSTSENYEVGDSTDEGKMDDSSEKQITGTDEDSKVITNLQSGLTSLQNLLTSNPGLAAVFTSKERLIPLFECLALHVPPESNIPQICLNVLSLLTKHAACLEAMVAERMSLILLFQILHCNPPCRDGALAVLYSLAITPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPTHGPRVAITLARFLPDGLLSAIKDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLATMASDLYQEQMKGRVVDWDIPEQASGQHLMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQATSGSEAEPSDDSSGQSEAIVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVQVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCARVREVLNSSDVWGAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPEPALVRLPSSAPSPPSAPANPSARHS >Dexi3A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:3A:10516760:10520818:-1 gene:Dexi3A01G0014430 transcript:Dexi3A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALLRVAELAGHNGSNLVFHKVDLRDRHALEDIFSSHRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEVVYAATSKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYAGSSDNGN >Dexi4B01G0023670.1:cds pep primary_assembly:Fonio_CM05836:4B:24975965:24976793:1 gene:Dexi4B01G0023670 transcript:Dexi4B01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGVAADVGQVTLGCKDFGPSGHTKHPPEGVTQGYTCRRRAEERHKSLVRLDAVEPSLINSIHHFQVRPEFRWQRLTKAQVNHYKYQAWDEFKLKFRRRVSSYVADWTEPLNHGSRDRTPGLGLQAVEPPGWPHSFCEVEDAMLADVTRRWFGVGFTRTNKQLPAAARRVIRFDSSN >DexiUA01G0016490.1:cds pep primary_assembly:Fonio_CM05836:UA:35141595:35143015:1 gene:DexiUA01G0016490 transcript:DexiUA01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMPDFLQLHHQPPPPPPAPAPAPAPAPAPAPAPESPTPVAPAAANAQPTNPIAVVKPRMIIKSVLGRYERWNPVHPTAGAFWGVGLGLGCGVGWGPGFGPEVIGYVGGGCGVGFSVGFTLAGVGIGLPQHGLIRVFRNQEDSGFASNVTLDSARFYAETIIRGLVWDAISYVGNAAAFRKESGQKLLRKGVSTTIPPVSGGLDPPNLMSKGVSTSIPPVTGGLDMPNLGKGVSTSTPPVSGGLDLPSLGKGVSTSIPPVSGGLDLPDLRKGLSTSIRSTVECIKAFKDQHWPT >DexiUA01G0022820.1:cds pep primary_assembly:Fonio_CM05836:UA:46580739:46583420:1 gene:DexiUA01G0022820 transcript:DexiUA01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRVIGQRKILPDPKFGSELLAKFVNILMVDGKKSTAEAIVYSALETLAQRSGKNELEAFEVALDNVRPTVEVKSRRVGGSTYQVPVEVRPVRRNALAMRWIVEAARKRGDKSMALRLANELSDAAENKGTAVKKREDVHRMAEANKAFAHYRWNQMARTTPIARYRNIGISAHIDAGKTTTTERILFYTGVNHKIGEVHDGAATMDWMEQEQERGITITSAATTAFWSGMAKQYEPHRVNIIDTPGHVDFTIEVERSMRVLDGAVMVYCAVGGVQPQSETVWRQANKYKVPRIAFVNKMDRMGANFLKVVGQIKSRLGANPVPLQLAIGAEEGFTGVIDLVKMKAINWNDADQGVTFEYEDIPAEMQDLADEWHQNLIESAAEASEELMEKYLGGEELTEEEIKKALRQRVLNNEIILVTCGSAFKNKGVQAMLDAVIDYLPSPVDVPAINGILDDGKDTPAERHASDEEPFSALAFKIATDPFVGNLTFFRVYSGVVNSGDTILNSVKTARERFGRIVQMHANKREEIKEVRAGDIAAAIGLKDVTTGDTLCDPDHPIILERMEFPEPVISIAVEPKTKADQEKMGLALGRLAKEDPSFRVWTDEESNQTIIAGMGELHLDIIVDRMKREFNVEANVGKPQVAYREAIRAKVTDVEGKHAKQSGGRGQYGHVVIDMYPLEPGSNPKGYEFINDIKGGVIPGEYIPAVDKGIQEQLKAGPLAGYPVVDMGVRLHFGSYHDVDSSELAFKLAASIAFKEGFKKAKPVLLEPIMKVEVETPEENTGDVIGDLSRRRGMLRGQESEVTGVKIHAEVPLSEMFGYATQLRSLTKGRASYTMEFLKYDDAPNNVAQAVIEARGK >Dexi2A01G0025280.1:cds pep primary_assembly:Fonio_CM05836:2A:36945078:36945324:-1 gene:Dexi2A01G0025280 transcript:Dexi2A01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHTSVQQPRTQRKQQQPVTAPPLGGRTRCSPHAPQHATPATPPHKN >Dexi6B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:6B:26632190:26633539:1 gene:Dexi6B01G0020110 transcript:Dexi6B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >Dexi1A01G0028700.1:cds pep primary_assembly:Fonio_CM05836:1A:34318247:34319776:1 gene:Dexi1A01G0028700 transcript:Dexi1A01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSRPHAVFVPYPAQGHVTPLLQLAKVLHARGFFITYVNSEYNHRRLLRSRGAEAMAGLDDFRFEAIPDGLPPSGDDDVTQDIPALCESLSRNAAAPYLTNGYLEDTVLDWVPGMPGIRLRDMPSFIRTTDPDDFMVHFDGGEAQNALHAQGIIVNTFDELEQDVVDALRRIFPRVYTIGPLVTFVKTMVARPDTAAIAGNLWKEDASCLQWLDARRPGSVVYVNFGSITVMTPGQLAEFAWGLASCGRPFLWVIRPDLVTGEKAVLPEEFFEETKERGMFLSWCPQEEVLSHPSTGLFLTHSGWNSTLESICAGVPMICWPFFAEQTTNCYYACTKWDIGMEIDNNVTREEVARLIKEAMDGEKGKDMKVKATMWKEKAVAATEEGGTSSVNVDRLVGFMLEGSVPTTAS >Dexi1A01G0022890.1:cds pep primary_assembly:Fonio_CM05836:1A:29506884:29508405:-1 gene:Dexi1A01G0022890 transcript:Dexi1A01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTSPYVLVALCLFVVLAASGAEATNPPQRLVPAVFVFGDSTVDVGNNNHLNVTAAARANYPQYGVDFHRSSPTGRFSNGLNTADLLGRRSPPAYLSLTERTLRSQMHKGINFASGGSGFADTTGSRLFGEVIPMSRQLEYFSGVVKFMTKQSGETKTASLLCKSIFIISAGSNDMFEYSAFPGDDYEFLSSLVAAYKHSITALYEMGARKFIVISIPPLGCIPSQRLRRLKQTGTQGCYDPLNDLSLRFYPMLDAMMQDLAHELPGMAYSLADAFAMVTFVFENPRTESWTFTELEAACCGAGPFGAAYPCDETAPVCANRDEYLFWDANHPTETVSAIAAQTMFDGNRTFVKPVNVRELAQL >Dexi5B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:5B:22827359:22830289:1 gene:Dexi5B01G0020630 transcript:Dexi5B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAAAGAAAAASAAGPRRGGRREPATMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFGAAPLCRPPLPDGGGDGTRLLTVADDPPPPPELEIEPEMPAARRTEAHWLESLLELRSRFHDPTKRDVLDDVEDDDDEDLYRLDADHHDGGCGVSYDEEEEEAEDARWDQQSFGELLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKHYGLRFVTSSLEKKAEAGIITAKLDADSTRPRTAPAYEVASGPQPRRPIRSHLAYEVAASAASYVRSRARGLLSFGTPPHHHHHQHHHPADHGRLYNSGVAAYMAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFACDEADARTRCFVIQGSDSLASWQANLLFEPTEFEGTGVLVHRGIYEAAKGIYEQVLPDVEAHLAAHGGGAGRARLRFTGHSLGGSLAALVSLMLLARRVVTPEALHPVATFGAPSVFCGGNRVLEVLGVGEGHVQSVAMHRDIVPRAFSCRYPGHAIALLKRLNGVLRTHPCLNTHRALYTPVGATYILQPDSSASPRHPFLPEGAALFRLDPEGAAPRALVASALRAFLNSPHPLETLSDLSAYGAEGAILRDHESSNYFRALSALARAPPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVIPAPVAVSNKEPVLVSEA >Dexi9A01G0030490.1:cds pep primary_assembly:Fonio_CM05836:9A:35513128:35515416:-1 gene:Dexi9A01G0030490 transcript:Dexi9A01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEDEWSFGFCETGSGVFSCPVGKNPMYTYRERIVLGETECSIATVNRILRELSREWPGHSYDLLSRNCNHFCDVLCDRLGVPNLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASRNQASPESPGSNQNRGSPTPTNQNRGSPTTQGAWFKNIVAAGAKPSSSGSTPTQDTDDGSPLRRQNSTEQSTRL >Dexi1B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:1B:25927533:25938076:-1 gene:Dexi1B01G0019690 transcript:Dexi1B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGGSGGGSRHGGVVSGGGGRQPAGRADGGGERLPWLATGGVQVQRGVIAGCGRGIPNKMDSAQNENRTDTKQGDEVHQIKQDDEFCSMKEARLEEYKKIIDQKTSLRRSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSAEHLKDREATQTNLSLLSAFARQGRFFVGLQSHGQEAYDEFFKELNVTADQKKFFKKALNSYYDAVAELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAEALDMQPPVMPDDGNTTRVTTGTDVSPSSGKESSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEVEPKSNEQHAKGREQSSESTSEQDTELHDNAQTSATEHQSEVKVDDGVKDSEDKDKDRGKEGEKEKSKEKDMDKKNEREKEKVRALDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARISKVRPPLFQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFSKGSSKRKLDKFLLHFQRYIMSKGPLPLDIEFDIQDLFAEVRPNMSRYSSIEELNAALVELEENERSAPVEKAENERHSDNESQKGQPRDAASSANGQSATNGVEENGKDHEVADSESYSGSGSIDGRDEEEDILSEDKSNDGSDNEGDDEDDGIPVGSDEDENVEVRQKVMQVDLKEQEEFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDQRATESESGEETVDEEGGNFGSSKVRVKVLMKKGHKQQTKQMLIPADSSLVLSTKQQEAAELEEKQSIKRRILEYNEREEEELNGASQMGNWGQGASTTSSIRSGGRGSWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >Dexi4A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:4A:18229882:18234724:-1 gene:Dexi4A01G0015320 transcript:Dexi4A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGAIEGGQLAPLLGSRSVSGSPAAPDASLLRRLYTGHALARWGARMWEFSVGLYMIRIWPGSLLFTAIYGVVESASVAVFGPMVGTLVDKLTYLQVLGLWLLVQSLSFIIAGVSVTALLVYDDLRATSFPVFMTLVVITNVSGALAALSTLAGTILIEREWVVVISSGHPPTVLTGINSVIRRIDLSCKLLAPVFSGLVFSFVSAQASAAALAMWNIASVGLEYWLFVSVYNGVPALAAENDGRLRAVDVLPSSPEIAVPAEGAVDWRAKLTEQLSIIPCWESWVVYVRQDVALPGVALAFLYFTVLSFGTLMTATLDWKGIPAYVISLARGFSAIVGIGATLLYPMVHSWVSTLRTGLWSIWMQWCCLLVCVGSIWASSSVASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPEHERCVVGGVQNSLQSVFDLLTYIMGIIISDPRDFSELIVLSFFLVSCAAAIYTLHVHRVRKHLFHFDKILAKISW >Dexi2B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:2B:28100384:28102586:-1 gene:Dexi2B01G0017710 transcript:Dexi2B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRLRKKPPSPDATATPAPAVASYPLLSLPPEVVDEILTRLSLRDAVRTSALSRAWRRRWESLPSIDIDIGYGKQALWTVDCVLSRCSGRVRRFHTCLDELSTRRLDDWFLVLSRRGGVEDLDLRPDQPYKFLSLHSTIFSWRRLISIDLFACHIPPLPQDFVGFPDLKVLSLGKVKLQRNGEYQLQEIIETSPFLEKLTLSELYIGEDGDDFIEWEIKAPNLRYITICSNMDYGWNFAELPCLHSAVIDLWEYVGHRDFAKFLAGLVQARKLNLCMYYAPVNAALPKVNGIKILETLPCTFQNLKVLKLFMHFCELAPIFSLFCFLKSAPNLVKLKIKICDGEEQKIEANWEFLNAQWADGMCDNLQILKMTGINWLPNEMSFMKLMLSKARLLHTLSISHAYDCSVSHVGPLHELVTYGRASAQAQILFQGKET >Dexi1B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:1B:19813721:19814417:-1 gene:Dexi1B01G0013810 transcript:Dexi1B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTNPYQGFIYTSFQERATFISHGNTARHASRHGDLTLARICGTIAADEKRHESAYERIVGKLFEVDPDYTARAFADMMRKKVAMPAHLMYDGRDDDLFARFSAVAQRLGVYTARDYADILEFLVRRWGVADITGLSGEGRRAQEFVCSLGPRFRRLEERAQAKARDAEFVPFSWIYDRKVQL >Dexi1B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:1B:26336597:26338243:1 gene:Dexi1B01G0020200 transcript:Dexi1B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQKSSIATGKGADPEWNENFVFTVSDQTADLLIKLMDSDAGTADDFVGEATIPLEAVYTERSIPPTIYNVVKGEKYCGEIKVGLTFTPEVVSENLPVFLY >Dexi8A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:8A:5942197:5945673:1 gene:Dexi8A01G0005990 transcript:Dexi8A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAALMMLMALLLPGRAAAAAPPPFAMEGLRGCNTTCGNVSVPYPFGFGPSHCYWPGLDLTCDTSHGGAPRLLLGDGSLRVTDISLRNETVRVMRAGSIINASGAITPSSWNVPFGHGFTEYGYRLSYRNELVVAGCNVAATLLANIGEDTPRFVGGCAAFCTIRHVADGLDVPVGRGIDETSTANVSMRPCADNSGCCRAPVTTTSTPERVMVKWLNSGNHTVDQKAEALNVFVAEEGWIDDYRMVGSYGAEEAPIVLGWSVTRGLPPGHNKCDDDVRRLLCRSENSSCFGTDNLPGVTCQCDNGYDGNPYLPGGCKDDVLPPDKIGLHNCNTTCGDVRVPYPFGTSPGCYWPGFNLTCKARGHDLPRLFLDKDGTLEVVDISLSDSTVRVIHHTRTFNNEIIGTFTPWNDNNGVYSDLPSIVEPYMLSTRNEMILSGCDLRATLYGEYRNSSSNTTEDNRILGSCVSSCSSSVVATHTQTPHGRFCSGHGDGCCHAPIVPAGSTPNRVSMEMLINPNITLHTWPFALISEEGLIDQWHMILNKTDMLRLNHMAYPLVLRWVVKQGLSAPTDKSGWQCPMDVARRLCKSKHSDCRQEDGGFACYCSTGYNGNPYIADGCQEINMCSITALGKCFGDCIKLPGGHFRCQCRRGTHGNPYEPNGCVNSHTGDSH >Dexi6B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:6B:21676040:21676969:-1 gene:Dexi6B01G0014020 transcript:Dexi6B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFLPGLAFAILGVWHMINTVRAYKLKGASGGFRSATWFPFPSPLRFLRHLELYLLLSFSVLAIIDQLVDLPILALSLHPDSLEHATMYLHLAVYASVALAADVLVSTTRRRDAAAGEVGDVVAMLAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVAASVVVTAAGAVVPRSFAVAVARSATVLLQGVWFVAMGLALWVPALVPSGCHGVVEVEGVMRSAVACATEEAARRATVMANLQFSWAIAAVWVVTAYLCLRVEDFGCLEYMQIIQAPHHSSGVLAGDGDAPRKIVFPVEDAEQV >Dexi7A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:7A:26339737:26341392:1 gene:Dexi7A01G0016500 transcript:Dexi7A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAGGGGGIHSIPAPQQPPPPPHHHHQLQPARSMDRQMLLQDRIADLIGSCSPGNQFNDADSSDVRLTLSSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVVEEAEVDGSCSGGGNAGGGEEVLLRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLSLLRDQFVRAAGGDQSEVSQIARQADNLHWMLDILVERQIAEEFLRTWAMQAELAEMHRKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQDILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNSEPEQPPRLRITAICENS >Dexi9A01G0044760.1:cds pep primary_assembly:Fonio_CM05836:9A:48368497:48373583:-1 gene:Dexi9A01G0044760 transcript:Dexi9A01G0044760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTQLRRGGDEEKDDHVTSRVALLNADVKKDEWQVAAAASGDGSGGGLGRRVLEESRKLWVIVAPAIFSRVVTFSMNVITQAFAGHLGDLELAAISFANTVVVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWIVLFMCAVLLLPMYFFAEDVLLLTGQSPELSAMAGRVSVWFIPLHFSFAFLFPLQRFLQCQMKNFVNAAVSAAALAVHVLASWLLVSRFQFGLVGIALTLNFSWWATGAMLFAYVSWGGCPDTWHGFSIEAFVGLWEFVKLSTASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLLFWVLIMGLHDKFAFIFTSSPVVLDAVDHLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYLIGIPLGIMLGWLFDLGVLGIWAGMIGGTAVQTLILAIITVRCDWEKEAMVASTRMDKLSQVR >Dexi2B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:2B:28738069:28738722:1 gene:Dexi2B01G0018430 transcript:Dexi2B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSSSMVLSSDDVDTFTDPPTDRCPLFAGDTRSDAGADAICCGPRRRLHPSPAALGPCPENECGLRCRCSRRLSLSPCREKDARSCSTMDAMCLFTHCPTSSSDLLALSFLLSSACACGFPAAASLALLLLVAFSFLHTLPRRPEKKGDVALLLALCSLSLSLLMLVVAAWWLRSLPTRPTTGVRDMESGRRSPPPPKGEGKEK >Dexi9A01G0023650.1:cds pep primary_assembly:Fonio_CM05836:9A:19085444:19087193:1 gene:Dexi9A01G0023650 transcript:Dexi9A01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETAVLSRLQLQLLALVSELRLLRERERGAREELCDAGQKWEEAQEEHRRETRELRAEVAARDDALRKLEARIKLLENENELLEKNENNLKENMDGLLQSREAFIKHYEAEKEVAAVKHVLGEVHCLVGEKENLLP >Dexi4B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:4B:13377191:13377838:1 gene:Dexi4B01G0013710 transcript:Dexi4B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRREAPSPLLSSAAECSVAPFRGNAGDLGLAWAAAAHSLPLALQLPDSVPGMVGPRSADGSDRFVLLSPIQGLPMLDLQGLTGLASAPSDNYSRRRRPTPPLCRLFTASATIPPFRHLIDAAGILSLPSATTTPSPPHHRFPALLLCPSPPPCSQLHSAHSPPPPSPHPTRRPSILDPQATQAAIETPVATTSWSVPLAAGWYSVSEGRVA >Dexi5A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:5A:24208914:24211550:1 gene:Dexi5A01G0020360 transcript:Dexi5A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADPLGGISTPTRLLPRTIGPAAASAASPSKAREVLREAISRAQPLKGSKDLVEHARMVLKGHGDIRMLYQDDGVKAGALAKGMKDQQGRTGLDRKRARFTIKAPERQAAYCFKLPSVDQSDLLKIKNPREFFKKLNDLEEAEKEIRQLNGEVIDMQLNFDPVVEPRKRSTLPGRKSVHTFKLIDDADTQDPVEAPASQTGTMPEFQLLQDDANAPVPERYEQSIPSKSGQCAVSDVSQKEDSLPEKDYGDDLTYLLTSLKNLDEPEEEDLLRKTLGIKKIRMDNSIPGVSLRSNPIRKSSMVPPPESPLRQSCQSRIAELEKHLFPGDAANDKYADLQEDDESEGLPDIVMGEQSLGHDSSDVLMIDETLTASVIDKETPDQGAKVDPEPNMPDLTDERQAAGSSLGLYAASEYDEETPNLGVQAAEHVLDPEPIIPDHADERQAEGSLLDLHSDAEAAEEKAVGSRSNIYMEDNVPIDYPIDMSNNETEVSSSCHLEGSLTEVLVKTPVKNMVSDGIDQTSYAPEENIQHLARSG >Dexi6B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:6B:3628819:3630707:-1 gene:Dexi6B01G0004360 transcript:Dexi6B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADVAAVADEEACMYALQLVSSSILPMTLKNAIELGLLEVLQKDPSAALSPEEVVAKLPVAPTNPDAAAMVDRMLRLLASYDVVRCKMEDKDGKYSRRYSAAPVGKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFNGIATLVDVGGGIGATLHAITSHYPQIKGINFDLPHVISEAPPFPGVEHVGGDMFKSVPAGDAILMKWILHDWSDAHCAAILKNCYDALPVNGKVIIVECVLPVNPEATPKAQGVFHVDMIMLAHNPGGKERYEREFQELAKGAGFSGFKATYIYANAWAIEFTK >Dexi3A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:3A:8544377:8545423:1 gene:Dexi3A01G0011860 transcript:Dexi3A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTPEADWMDSDDEASPAPARSSSRYKGVVPQPKGRWGAQIYERHARVWLGTFADEAAAARAYDVAAGAKPEHQSDGENRRASPPVAALRFRGRGGAVNFPGAGGVPSAAEMEFLAARPKSEVVDMLRKHTYDDELRQALRSGAGDRGASLSLSLVPRVALFEKAVTPSDVGRLNRLVVPKLHAEKHFPPLAAGDVDDAAPPVLLAFEDVAAGGKVWRFRYSYWSSSQSYVLTRGWSRFVREKGVVDGDTVAFSQAVAVAGDGEVGGKRRMFIECRKRKRNDDDDRGDDDCCPDGGARVVRLFGANIAAATTGD >Dexi3B01G0037800.1:cds pep primary_assembly:Fonio_CM05836:3B:40624961:40629055:1 gene:Dexi3B01G0037800 transcript:Dexi3B01G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCGGGGIIPASRFLRRRAAVRDLGRDAAADADAFWAAPPRLYDFSQQQQQKLEEEKDPHPAVARRSPPPEPEPCSPDPIIFVRRRSAQRSPSPEPCSPSPLAARRSPSPGPESTSLLLALQRTCVGWGATKRVEYPSRHRPASPSQAAVEGMNRLAAAATPCGGEDQQSASVGGGGDKKRKWLEEAGQESGREEDKPVVPAKAGKSRSRNKRKARWSNLRRRRAARRAAKKATRAVKEEQEEAAAVEEEEEEEAESSRDVKPAVVEAEKKRRSRKRPGSSAGGRSPAAAKRAKKGPLKEEKVEEEELAEEEEEEEAESKPATPVPASKPERGSPGGKVDRWSAWRYAAGEAALLDILRARGAIAGKPAPRAELRAKARRYIGDTGLLDHLLRHVTDKVPAGSGERVRRRYNPAGGMEYWLEPAELAAMRREAGVDDPFWVPPAGWKLGDPVTPEARALEVQKQVVELAEELDVVKRQMKQLDSNLVQVSKEAYISWKEVDVLCTCNIVGMFSSGRMSSALGYDCMVKANGKLEKEVMSLEEKYENATQVNGELKELLLLLKEKYETVLEKNDKLEGQMVALSTSFQSLKEDMLLQRIGEQPMLMLEQEPWDADKEEAGAGNAAAGAGNQLTDADPVDGSFSTNGGACDSASAEMALRKCSMRICRRDAMPQWPKAESGGTATSPRELPEPLTPGADLVITDFDAVISSLAPPSMEEYLMAEGLHTPTSASSTNNAASPKLPLLPAPASPIEVQPPPLQSTTMTMGDLQAVQQPYTGDLNLQLRRKDTSSSSPGPCGSKALKLDAGAGGGVVGTELALATPTY >Dexi9A01G0032230.1:cds pep primary_assembly:Fonio_CM05836:9A:37141170:37151341:-1 gene:Dexi9A01G0032230 transcript:Dexi9A01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAPRWRCKAPTPDAAPPPRLEGSRVPATAAPGGEQGSCHRRAWRGAGAGGEQAPAAAAAPGEWRRTPAAARVASWLVWPRRAPAPCHFPAAVVAWCPSPVSRPCPGAGAAHHLPPRARRLRGRPARPPRATAAAQEQPRELTARPKDEPDLDDGRQRVTVFFRTQTGTAKGYAKHLGGSGAPSLEMSAVQEQFEIKFRLPDGTDIGPRRFPPASTVATLKETIIAQWPKDKEKGPRTVNDVKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTTGKQSEKRAAKKAKDFRCGCAIM >Dexi6A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:6A:20821309:20821875:1 gene:Dexi6A01G0013580 transcript:Dexi6A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTASVSLVVDVDVALKNASACARGSGPGVQELRGCRAVDGGVVNGDTDGEAAAVVPLPGQERGLHREHGGATRGQRWHEDAVVAGVLGDGEGDAVAGAVMELVAVGRAGRGEPEATGERVESVEDTRQGVLEVGDDGVDVGERKRRSTGGSGSQRTS >Dexi7A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:7A:25288007:25288450:1 gene:Dexi7A01G0015420 transcript:Dexi7A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDATAAMAMRAAEGGAGDQEFSAEHAAAVTIQAHFRGHLARQAFRALRSLVKLQAFARGAYVRKQANVAIRFMKVLVRLQVRVRSRQLLLSAARPRRHQKIPSKNSN >Dexi6B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:6B:25579239:25583963:1 gene:Dexi6B01G0018640 transcript:Dexi6B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVARRRLGSGCVSAPLSRRRILVGEFRLLSLTPSFPVFFTRSHRDPFRSPQVLGQLAQALRPTAAAAGRTYSAAAKEITVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKAADILAKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEDSFAYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >Dexi3B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:3B:7187069:7190467:-1 gene:Dexi3B01G0010290 transcript:Dexi3B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKARSNGTSGASTGSFGGRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLDPNNAELRKQYSEIKTLHIEKLAKKTPVPAKPAVSEFDKPADKKDITPSTISKKQSRDANQKPRPEDSIKELASRAASRYMASTVKSVKIPKTAYDFESIPPASLPEIFKNALSAPFLIDIVKCSASIFRDDAVLAVSILENLARVPRFDLIIMCLSSMHKSGEFNLCP >Dexi4A01G0022560.1:cds pep primary_assembly:Fonio_CM05836:4A:25851237:25853095:-1 gene:Dexi4A01G0022560 transcript:Dexi4A01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFIRAVMAGMAPEGSQFDAKHYDSKMQELLSTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSSLRPDHIKMFVLDEADEMLSRGFKDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >Dexi1B01G0028970.1:cds pep primary_assembly:Fonio_CM05836:1B:33399483:33407060:1 gene:Dexi1B01G0028970 transcript:Dexi1B01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQKHDPDNGQPCGISATSQDQGSNEHSNIEVIDASTSQNNEPAQKAPHTLPDGVDTLSTKSEQITLGLQRETMSPGQPDVCILVMKSEKMNPDDGQPSIISTTSQDQCSNEQGSTEVTKVSTSQNNDPVQKATCSDLDGTASTKTEQIAPVSQRETTYTAQPDACILCDVSSDQKAYEKPLQELQLEPDGALPDSGKDTPDHAVSNGTITDNEYSINGEAKGTEPVEPHDGLIVVKELCEKNIDDKTVVRNNTLGDVHSMVKGVSIMETGHMADADDQNNSLDTGDVNCEREISSEDTAHVASSEHHAQASTPETVLTSGISRNSHRRKRSRNTASSEDAVQMYHKKQNLGSCAAELTMDKKGSKHATLAGVELASSCPSSVSNPDSGKCGGTDQKGSWHFNLLTMGLRVPRWDDDDIPNPKAAELQHSSSTSIGYVLDERDEEYDRGRRKKVRKSLRDFSGPNPFQEMENIRSRQRRRLQADQARSGHQPLRI >Dexi3B01G0035310.1:cds pep primary_assembly:Fonio_CM05836:3B:38118049:38126888:-1 gene:Dexi3B01G0035310 transcript:Dexi3B01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWQTMRGRRRHCRSTVDPHFSVLTQLSGDGKPAVLRTAGARSRIKDQTSPLAACSFKRARHKFFLPQFQFGDRSGDRGRPRPAPKLALDGNDTTRKWPGISGHLPQFPPFKSKLHSLLANGVFPYACKRLLPSPNGIVVNLSLILMLTRTQVSAPLPPSFMTSSLPAMETAAIGDVLLLLIAIAVPLLIAATIWSRRVDGGGAPPSPPSRPLIGHLHLLRKPPLHRSLAALAIVSGSGDGGAAPLLSLRLGRRRALLVTSHAAAEECFAQRDAALAGRPRLLAGELLGYGYTMVVWAPHGDHWRALRRFLAGEVFSPSRLASRAAHRRAEVANLVAGLLLLDADDAVAKTKTKTRKTTLRPRLFELVLNVMLRAITGERARRDDVSTFQEIVEETFAASGAPTVGDFFPALRWVDRLRGVHAALRRLHARRDAFVGGLVDDHRRRRRDDAGDHRDTAEKKSIIDELLSLQEIDPDYYTDTVIKGIVLVLLTAGTDTSALTTEWAMALLLTNPEAMSKLRTEIDANVGNTRLVEESDITNLPYLQSVVKETLRLRPTAAVIPAHEAMEDCTVGGYHVRRGTMVLVNAWAIHRDPKVWDAPEEFMPERFMNAGTVTAVTAAPPMLPFGLGRRRCPGEGLAMRLVGLTLAALVQCFEWGVGEEGGVVMDEGVGLTMPMATPLKIQLACRLLPLVPSIAAQLSSDFTANKIHKILLSSFLAPSSRISPPNHGATTSPVESCPAQYVRAMASGDAILLLLAVAVPLLIAAAVSSTRRRRRGHAGNAAPSPPSHLLLGHLHLLRKPLHRSLAALAAVHGGGTPAPLLSLRLGTRRALLVSMHAAAEECFTAQDAALAGKPRLLAGDLLGYGYTTVSWSPHGDHWRALRRFFAVELFSPSRLAARAADRRAEVAALVGGLLRRSPAGAVDDAAVTLRPRLFELVLNVMLRALTGAPGHGGDVRRFQEIVEESFKVTGTPSVGDFYPALRWVDRLRGVDAALIRLQARRDAFVAGLVQERRRRRQAGGRGAECAIDELLSLQEIDPGFYTETVIKGIVLILLSAGTDTSALTTEWAMAQLLTHPEAMQKVRAELDTNVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPVIPAHEAMEDCTVGGYHVRRGTMILVNAWLIHRDPKLWEAPEEFRPERFLDAGMVTAAVTMPMLPFGLGRRRCPGEGLAMRLCFDWDVGEGGFIDMSEGGGLSMPMAKPIWAADVIVVALAQTKESKMARTRLPSHLISP >Dexi4A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:4A:19333378:19344462:-1 gene:Dexi4A01G0015920 transcript:Dexi4A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLPACCRFPLLIVLLLFLLLTGEGLSQPAASAGDRDTLLAVKKEWGSPPQLNSWDPAPDHCSWAGVTCAAGSGGPVTRLDLPGQNLIGSVPVSVCALRSLTRLDLSNNSLTGAFPSAALYACAELRFLDLSINQLFGLLPRDIDRLSPETMEHLNLSANGFSGEVPVAVARLPALNSLLLDSNLFTGAYPATEISNLAGLKVLTLGYNTFAPTPIPIEFAKLTNLTYLLMYEMSLTWEIPEDLASLTELTLLSLGSNNLTGSILVWVWHCQKLKYLDLYDNGLSGGLTRNVTAVNLIELDVSSNQLTGEIPEELAKPHGAHCHQNLQYLDPYNNGLSGELTRNVTALNLIYLDVAANQLSGDFPAKIWSSFPKLTWVIIQNNSFTGTLPAQIPPNIKVIYMGDNKFSGAFPTSAPALEQFYAENNRLGGELPSDMSKLSNLTVLSVPGNRITGSIPASIELVQKLQTLNLRGNQISGVIL >Dexi3B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:3B:1016789:1019471:-1 gene:Dexi3B01G0001390 transcript:Dexi3B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSLRALHRLPAAGLAGCDPTPLHRFWSLHIVVHASASSSRNCSSLILFSPKLFAPLAQLFSLYLIGVTSMLPEISALPKKLPEVVYITKRSYKQLRLLSQLTTIAQGNKITKYDITQLQLLGLEESREAVYCTLDAWVAFEQDFPLASLKQALSALEKEEQWHRIVQVIKWMLSKGQGNTMRTYEQLVCALEKDNRAEEAHKIWQKKIAHDLQSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGRKPPSKDIIRKVEDAYEMLGLLEEKKALLEKYKDLYNKPSRDDRKKGSKSKRDDMNKTGG >Dexi3B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:3B:5949882:5951368:-1 gene:Dexi3B01G0008480 transcript:Dexi3B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAIATIFDDIYDSYATPEECELFTKCIESWDGKAAYELPECMKFALGKILDSFQTIANMLNQEEKYRMSYLRYFKHIRKRGRGGRWRAPFSCRHRCSHLRSGKLIRGLLRHYKTAPPLSPTGSSAVEPAPPARARARRERNRYKDVVGPVEARHTDRLDDRR >Dexi6B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:6B:3947347:3948716:1 gene:Dexi6B01G0004640 transcript:Dexi6B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWLAQFWDDRGIQILVLLSFTLQVLLLLLAGIRRRKSSAPLRLLLWLMYQLADSTAIYTLGHLSVASSKARDPHLVAFWAPFLLVHLGGPGNITAYALEDNRLWLRHLLTLSVQVLGAAFVIYKYVTVGGRTLLLVAAVLLFIVGVLKYGWRTVALWRGNLSSIRSTVKYPQEETEYNLSHWGKRLRWPYPSEGQHRGGFGEEQLLLGAHCMFHICKSMFADAALYHCKFNEACGAFYCGKDSYGLAEMQLSLMYDVLYTKAAVIHTWYGYCILVISPLLTAAALLLFHYDSSGGDDRHDGESKANVAITYVLLSGTLALETVSLARAMGSTWACALMYCCGWERLLGAVTFLRRLTGVARKRRWSGSMGQYNLFHLCTRDRTELGTRLAARLGLRNWWNKVHYSGTTAISTTDLMDRLLKLTTIDGYSRGLGALQTMGFDCSASYG >Dexi2A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:2A:22916515:22917054:-1 gene:Dexi2A01G0013970 transcript:Dexi2A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAVHHSATGATRRWAGVHLPLRPRGEQLGTRRSPRPWCCSTGTCCCPAGAGAQALNLLPRLDKNAAVTPPQPRVVADPDPRPPDPAVGALDPATPAVTALLAVALPDQQQPRPPWEGRGEDAALPLPSSQATQASGCLLRQRRRVEGEGEVAADAEKGAAARVARRGGDVGAGFCSL >Dexi5A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:5A:21998465:21998961:1 gene:Dexi5A01G0018460 transcript:Dexi5A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGESSVDGVVELDSSGESPCWSSCCSSPCRREKGETPHPRTRRKATMTLLLHRALEQRDRVCSSPCRRSPEARALAGRGGAAASIRSHPELAPLQPQAEGSPRGQRSSTAADGAGQGLESGRKRRRILAKRPRA >Dexi9A01G0041270.1:cds pep primary_assembly:Fonio_CM05836:9A:44937617:44937904:-1 gene:Dexi9A01G0041270 transcript:Dexi9A01G0041270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRSGSLLRLGVSSPLLQLTTCPSPSLGLNLAVGRAGLVRFRCSAAGAGDDGGKKVSARLALTQQVLRDAEERAALAGSDPAPKITLGS >Dexi4A01G0023740.1:cds pep primary_assembly:Fonio_CM05836:4A:26744690:26746947:-1 gene:Dexi4A01G0023740 transcript:Dexi4A01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAAIGTTSRSSLPRPFSSTPLHRRRRPASFLPVAASKRHNDDDKVAEGPGSVPGREPTGLAPYGLSPLSKDAAMGLVLSAATGSGWTTGSGMEGPPMASGASGADRPVVSTLPWSLFTKSPRRRMRVAFTCNCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDNNEDDDTIFPL >Dexi9B01G0031340.1:cds pep primary_assembly:Fonio_CM05836:9B:33741046:33741502:1 gene:Dexi9B01G0031340 transcript:Dexi9B01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILIASSSALRPQPALRHHHRRLAGRTAGPLPSADEEAQCGPSRPPPPLLPRSSTADAPNGAERGEMEGLTGSEVAGFVVGALLLGATIAAPKVDGFIASSQRRSRFLTVSL >Dexi9B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:9B:9841277:9844390:-1 gene:Dexi9B01G0014730 transcript:Dexi9B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLSVVLLLALFSAHHPGRSHAFNLMNLLCTNGSSYALNSTYHSNVVAILGSLAADASNSTVGFATATAGAAPDKVWGLALCRGDVNGTACASCLALAPTVAFGDGCRGIRDVTVYYDRCLLAFSGEDFLADPDDPTAPLQYGLNLDVNITGDTAGRFVELAADLVGALSLWAARNSTSRYAAGVATVASGQGFMTTDMDLVHDIYGMVQCTPDHAPDACLRCLGRLRDEMPAVFNGTTGAQFNLVWCNLRYEVFPFYDGNPVVKLVAPPPPPPPSTLAPAGASAGRNDANRTKNAATVVAVVLGVILAVAVLVSTLIIFLRRKAQGLLPDGRQIAVKRLDKASSQGLKQLKNELLLVAKLRHNNLAKLYGVCLKEQEKLLVYEYLPNRSLDTFLFGEPEKRLLLGWETRYLIIYGTARGLLYLHEDSQIKVVHRDLKANNILLDADMNPKISDFGLARLFSDDKTTTVTSQVVGTLGYMAPEYAAMGHLSVKLDVNSFGVLVLEIVTGRRNTDACFESEVDDQGSCTLLSYVWDHWSKGTALETMDPSLGSQAPESEVLKCIHLGLLCVQENPADRPATLDVLAMLHGQTSSFAAPSKPAFAFVHGDTLSSAGEGINLSGGSGDQGAAAASVFSVNEMSVSEFQPR >Dexi3B01G0028100.1:cds pep primary_assembly:Fonio_CM05836:3B:23904333:23905877:-1 gene:Dexi3B01G0028100 transcript:Dexi3B01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVGHDHGHPAAAAAINGDLEVKLATERNNGTAAAAIPAVEEQGQAEERTDGGWTRGRLVRRLHPAPVARACWRWLKHPAHVALVAWALCVAAAGSMLGLLLTGTLDSAIPKRSIRYRWVEITNQVLNALFTLMSIYQHPSLFHHAAMLLRWRPRDAEELREAYCRKGARRGGEERAHMSVVVALLHTACFAQYATCALYWGYSRKARPEGVETFLVVLGTAAPVIAGLYTYFSPLGKKHGGDPSGVLQDPKPDDRSSAEVLAGSVGTVEWAGGMLDVGEDPTAWWLSCFCIFCVFGWNMERLGLGNARVHTVMFALLCFAPVWVLNVVATNIKNEVVADAVGAAGVVLCTLGLLYGGFWRARMRQKFRLPAGGDGTFSPWLVDYLRWLFCWSCALAQEVRTADVLLDVEAGSVVHRRGDSAPRGGRVVVDVIALQLQPLPRENGVKSPRQGGSSRVEAACYPVRMENYSASRGDESPLLWEEQRSITSSSPAEMTAPVPLSMPQGQRGRGQ >Dexi6A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:6A:24146901:24149177:1 gene:Dexi6A01G0016250 transcript:Dexi6A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSAAAAAAAAREGNPAGSLARGGSGGAEKMSGNGKKVADLAVKAGKAIDWDGMAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEQASLKESERIEKEIAELKEMKKKISTMTADEYFEKHPEVKQKFDDEIRNDYWGY >Dexi8A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:8A:393887:401438:-1 gene:Dexi8A01G0000610 transcript:Dexi8A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGGGNRGRVTPEEEEAGDRETTAEAGAGLCTNTVIAHVNCLEICSWIFVSFFSDPFSMAPPKALENIGKTLHTQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSVEFPADVFTACLTTPIKMALHWFCKRSLLRDSMDNSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSATELRQILVFIWTKILSLDKACSLLWTILKYFFLFSFCWLLHKIFVYIWSCQVRAAAVFALGNLLDMGSTSVNGVDDDSDDNEKLRAEVNVVRSLLQISSDASPLVRSEVAIALTRFALGHNKHLKYVAAEYWKPQTNSLLKSRPSLANISSPNHVYSHNNIIQGSSGLASHIGPVLRVGSDSSATGRDGRISSSSPIATNSVMHGSPQSDDSSQHSDSGILLKESSSVMGSNGGLSYTRSRPVDVGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNTRFSSGGAHLGETSTPPSNFGMARSSSWFDMNSACKMTSQIASWDTRFESGTKATLLLPFSPIVVAADENEQIRVWNYEDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRVWKNFTQKGGQKLVTAFSSIQGHRAAGRSIVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRPGHFAAGFADGSVRIFDVRSPDRLIYMAKPHAPKTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAADPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi5A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:5A:8836226:8837571:1 gene:Dexi5A01G0011740 transcript:Dexi5A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGSNDLQVRVVSRRLVKASPHSAKPHVLAVSNIDLVPHTTIQITMFCVYPRPLAGDFNAVVAAFEASLPSFLDHFYPFAGGIATNQSSGLPELHCNNQGAELVVGEADVALASLDYSTTATSVRRIQQPYNRDIALSVQLVSFACGGFVVAWCTNHVLADGSSLSALVTAWSELKRSGTLSPTSLPNHDRSVFRPRAMPSYDTAWFDEAFTPLHDAERQVNVLTTQESFVERLYYIEASQIARLRDAASTNREGGGRRATRVEAVSAYIWKALAGVVCTAGDTSCRMQWWVDGRRRLTRPKAMRNYIGNVTTAVVREASVDEVQRMALPDVAAMVGEAVAAPAYDEIFQQLVDWVEEHKARRYVRTASLGLGSPTVGVTAFTSFPLDTDFGFGHAAMATAATSQSQTARLCSGARIG >Dexi5B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:5B:2808755:2809429:1 gene:Dexi5B01G0004160 transcript:Dexi5B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKRKPATTGDWAALPDDALLAIFGRLGHADIFLGAELTCASWRRVAVREPTLWRRIDLKSTDGSRARRNPSSPRAWRAMARAAVGRSAGKCESYAGSVDADFLAYLAASSPSLRSLHVTSFFHLPIKESAADMEIPYLPMLEHLMLSDEARRFYLYGPMGSMLRMKLERMVKDMYTPRWKRERQSIVD >Dexi7B01G0022730.1:cds pep primary_assembly:Fonio_CM05836:7B:27584092:27586401:1 gene:Dexi7B01G0022730 transcript:Dexi7B01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKQHPSDHGRGRTAYHFQPAKNWMNGPFYHGGVYHFFFQYNPHSPMFGTGRLSWGHSVSGDLVNWAFVGTALDPTSPFDADGCWSGSATVLPDGRVAILYTGRDTDTVQVQNVAFPKDPTDLLLREWHKPECNPVIPQPPDVTGNNFRDPSTAWLAGDGLWRFAVTAELAGGVGATLVYRSSDFLRWERNAAPLHTSPEVPVLECADMFPVAEHGEEGLDTSAASGPGVRHVLKLSKMSDEDYYMVGSYDDVADTFVPAEEERGSDVTNWRRLDHGHLFGAKSFFDARKKRRVLWAWVDETDRDSDEFAKGWAGIQAFPRALWLDTDGKKLVQWPIEEIEQLRRKHVALLGAEVAASGGLQEIAGVDSRQADVEVVFEVPDLEAAEEFDPKWLQDPQKLTCAEKNGGVGPFGLIAMASGDGDSLEQSIVSFRIFKHHDGYKVVMCMDLTRSSTTKGVSKPVYAGLVDVDVEKDRRISLRTLTDHSVIESFGGGGRACITARVYPEHVAGAGSSRLYLFNNGSDPVKVSKLDAWELGTASVNLEDVVPGLSHVVSE >Dexi9A01G0026630.1:cds pep primary_assembly:Fonio_CM05836:9A:29991398:29995810:1 gene:Dexi9A01G0026630 transcript:Dexi9A01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLYRNKEHAEVEYTVLILPVLLPYMSTFQVNLGVYVADGNFELSVLVDRAVGASSIHDGQLEIMLHRRILRDDGKGVNEPLGEVVCLDGTCKGLTARGTYYVKVDKLGHGAHWRRTYGQQVYSPYLVAFTHEDETSWKTYNIAKATMMDSNYSLPDNVAIVTLQNLDDGTTLFRLAHLFQAAEDPQYSVIAKVELRKVFGQRTIKELTETNLSANQKKSDMKKLNWRVVGDTESGAAPSKGGPVDSQALVVELGPMEIRTFLLKF >Dexi2A01G0028430.1:cds pep primary_assembly:Fonio_CM05836:2A:39701994:39706777:1 gene:Dexi2A01G0028430 transcript:Dexi2A01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIPRDLTEASLSGAGLSIVAALTMMLLFGMELSNYLAVNTTTSVIVDRSSDGEFLRIDFNISFPALSCEFASVDVSDVLGTKPSWEKTAKIIRERYDPEMDGRILLGKVDCTEEADLCRRHHIQGYPSIRVFRKGSDIKENQGHHDHESYYGERDTESLVAAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPMAGGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSQRMFSEFVRLTPYLRGYHDRLAGQSYVVKHGEVNANVTIEHYLQVVKTELVTLRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTEVPRSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRMVKKVELGKNI >Dexi9B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:9B:3670303:3673631:-1 gene:Dexi9B01G0006180 transcript:Dexi9B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWMAREPRVMGLKGHVRDLKLRRLESNRELVQSAALPSTSATASPPRHLVGVAMRLAARHGLRLQPQPCRSAFSTAAAVTNALSSDGLLALLRGCVSVFHLPLGLQIHARAVASGALASHPALQTRLIGMYVLARRFRDAVAVFSALPRGAAASALPWNWLIRGFTADGQHRLAVLFYLKMWSHPAAPRPDGHTLPYVVKSCAALGAVALGRLVQRTARGIGLGRDLYVGSALIKMYADAGLLRDAREVFDGMAERDCVLWNVMMDGCIKAGDVDGAVLLFREMRASGCEPNFATVACFLSLCAVEADLLSGVQLHSLAVKCGLEPEVAVANTLLSIDAVFDQIQLRWDVRMAQNVYDAARAIDVVIGSTMISGYVLNGMSEKALQMFRYLLEQCIKPNAVTVASVLPACASMVAMSLGQEIHGYILRNAYEGKCYVESALMDMYAKCGRVDLSHYIFSKMSVKDEVTWNSMISSFAQNGEPEEALDLFRQMSMEGIKYNSVTISSALSACASLPAMYYGKEIHGVIIKGPIRADIFAESALIDMYGKCGNLDLALRVFESMPDKNEVSWNSIIAAYGAHGIFKESMSLLHRMQEEGFKPDHVTFLALISACAHAGQVEEGVRLFQCMTKEYQVAPRMEHFSCMVDLYSRSGKLDKAIKFIADMPFKPDAGIWGALLHACRVHRNVELADIASQELFKLDPGNSGYYVLMSNINAVAGRWDGVSKIRRLMKDNKVQKIPGYSWVDVNNSSHLFVAADKSHPDSEDIYMSLKSLLQELREEGYVPRPDLCHPIHPDNSAQVLTI >Dexi5B01G0025130.1:cds pep primary_assembly:Fonio_CM05836:5B:27234958:27236824:-1 gene:Dexi5B01G0025130 transcript:Dexi5B01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFHDLSLLSSDDSSRLLLSARALELGYATVTFDHPHRGLLADSHRCRTQPIAPLSSLPLPTSAALHRRRLASPASEPFRQYTRITLSIDSPAAAASALSTSAARLLRTYDIVAARPLTQAAFDHLCQVPYSEQLDLISIDFSHKLPFRLKLPMLKLALQRGLHFEIAYSPFIATDGDKCILADAKLLVDWSKGKNLIISSAAHTATEIRGPYDVMNLCSYLLGLPMNRAKAAMSTNPRSLILKALRKKHFYKETIRIDRLLPHEQLNSAKFLLGDWIGWDSESCKGDLHSSEANQMEPSSNKDQRPNSALYGVIQVSHDSSDVSVIAKPSEQPANGEEIPSQAQDEAVQADVLMDHGLSILPTSLNHQDPISPCKPGHNEDAVDHFVQAAWGHSINLKSVDKPVDFDQEAMEVDATGSCRLDLLACSNVPSTSGTSIKLACSALLHGMETSGTGLKDEGLQHSCEIVDNAKSYAQHHTDFVSHEREKTPFSHEISSGFDVCFKDRDVDQSTQIPIDNETYCGTSKPVVSSPGGIDDKELLDQRIDENMQQTLQ >Dexi3B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:3B:7773580:7773838:-1 gene:Dexi3B01G0011050 transcript:Dexi3B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGANNGVRVVVDGEVHVERVEKIEAVAVDGVPSPTTATAAARVVLPPPGKAAPDNSSGGTWRRSNEGSAITAKGHRP >Dexi8B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:8B:4912743:4913052:1 gene:Dexi8B01G0005060 transcript:Dexi8B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRRGAPPQPCGDPRPGERRRRCGRELSGPTSSIKPSAAVPLDAGGGECTGPRKAGALGGESYELSANEGARGGGVAVEADVFPASPPMASTDRQA >Dexi5B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:5B:1931300:1932073:1 gene:Dexi5B01G0002990 transcript:Dexi5B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGFGKRLMSVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRNLVAAAAHHHHPHSSAAHHAMFAARRHAAAGPTAASSSSSSSSSAAAAAPTDDLPFVPNPQDVEFSCTTTPSYGDFVVAPAAARSLFPSFGRIRGRGGAAAKRGCDGLDFAQVARALEMMSAAEDAAGAGGETPSAAAPSPMLALSLGRSPAGVRQLRVTDSPFPLEPEGVVDDRANSNFDAFINKFYENLRLQAANATPDNCVRRRA >Dexi6A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:6A:762786:765116:1 gene:Dexi6A01G0000910 transcript:Dexi6A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFASSSSRALLRRIGGALLRRSFSDAAGPDAAAAAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFRMPRPKAGEVLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPTEIINRSIHETILHMLHKFPVGRHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRGNGKPVYMYSMGGLAEYCVVPANALAVLPDSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKIEEVNGAYEDLNQGKIVGRAVVEIME >Dexi8B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:8B:9300315:9307950:1 gene:Dexi8B01G0007580 transcript:Dexi8B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMDLNASPLPEDDEQQPYHEAVEVEYAQEEHVESAVATMRREREERRKKLKREHQDDGSRLHSQKIRNDYVPQSKRYSRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGTKHVKIPCKGRDAVPDNESVNVFVYEVTPVYGYISPKAAMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVSCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMIACPPTPEWKRPDDLDLNGEAKQDDDDDNGEHEPPNNESVDKVITNDDVLGDAVPYDQQEALRVLCYRLLDMPLVSIIGRGHTQFPGSHPVSLNSENLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHKSLEGLHDMTLVDGEMIIDTVPESGLKRRYLAYDLMALDAVSKTKLPFSERWRMLEDEIIRPRYHEKKQFESGAKSNPFYKYDMELFSVRRKDFWLLSTVTKLLKEFIPKLCHDADGLIFQVRFVF >Dexi9A01G0040900.1:cds pep primary_assembly:Fonio_CM05836:9A:44588137:44596395:1 gene:Dexi9A01G0040900 transcript:Dexi9A01G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFFCCRSLRVEIYCSRLKNAPPPRGSEPIVTLGGIDLNNTASMIVKEERKIITVVFPDGRDGRTFTLKAETTEDLNEWRSALENALAQAPSVVNTTGQNPIVSTEITEPVEAAVEQSEDKSVIGRPAEFALVDADGTTAFLEKALKFIEDYGKNEFSPEEDAHVIGDCIKYILREMPSSPVPASCCTALVRAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRYLLLMIKDHGTHCFSFRILKMMQIVGAHKAVNRMSSSALAACMAPLLLRPLLLGECEIDNDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDIEDGSYSSDAYTESDKEYSSDNDIPEDDGSYGSGEDDAEEDLNDNIERSSGSRGECDSNIRIGNADDQVRENTVLQASLERRKETLHERRVALEKEVENLRDQLQKERNLRASLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADIMNLKQKVSDLRGQLNNQAQLSSTSLCESCNNKRLQNADKLVE >Dexi5A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:5A:8958279:8958623:1 gene:Dexi5A01G0011940 transcript:Dexi5A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLQPQAQAAALAVAPSASAVAPPTANPNDPASGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGSAPAYQP >Dexi5B01G0039200.1:cds pep primary_assembly:Fonio_CM05836:5B:38165859:38166259:1 gene:Dexi5B01G0039200 transcript:Dexi5B01G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDNNDVRRRRGGPRQDVKGRSPRKEGEGRKEERLAAAAAAAVAGRGGGRRRSSMAADGSGGG >Dexi6A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:6A:25173019:25179176:1 gene:Dexi6A01G0017330 transcript:Dexi6A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQPGQAFAFSAFSLPRRRAPPPLAAPGLLPPPPQQQRLPAGRGGAALYSSNIAGASPTKTHSLPPRRHTATATTTTTPTSPWVILGSIPRVAPGVSADVSLELTAPPRVSRLTISDCVFPARITPQSFPFVLAADRSGLLLLSAILATPWRRVVIDRPDHQAETILHQSLLGILPIPGGDGRRGFMVAELVPTIGSDTASLRCYSSDVGEWVHKHVRYPLPARPLAPICTLAHHGRLWWVDYSWGIITADPFADHPVLRFVPLPRPCVLECREAWGVLDEFRYVGVSSGKLRFVDTYRRGGGAPSMVTVWTLNDHDATEWTLEHEATFADIWADDSYKATGLPKKPPVLALIHPHNPAVVYFFLEGHLFAVDVPARKVVECDRYHLVAPPRDYGIANRFVRAWELPPSVSSAFPKTPEPWKGFKLVRKESNQFGHSLRLAAPWQSGSSIKAHCAAAPLTSWPLASSTPPKPSFSSRDATATPPQMASPASSSISEDPAASQPPPSSWVILGSIARVVDHGQCGGGGGDEAAHLSVALTAPPRVSRLTVSSHVFPDRPTPKNFPFVLAADSSGLLLLSAILAAPRTRVDIDRPDHQSFTWKDTDDRFFVLDATTGSAYRLPDPKPQETIEHQGLLGLLVRSGVYMVAELVPLFGSDSAHIRCYSSDVGEWVDKAVHHPLPPRPLSPICTLAHHGRLWWVDYSWGIVTAEPFADAPVLGFVPFPRPLEYREAQGVLDMFRYVGVSAGKLRFVDTYRRGRAPNKVAVWTLPDPDARKWTLEHEATLADIWADDSYKATDLPNKTPVVALIHPHNPAVVYFFMTNRLFAVDVPARKVVECDLYHLVAPPRNFPIANRFIRAWELPRSISSGLGNWSGDIGPIEPTEAPPSQLMLGDYDLV >Dexi1B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:1B:8960137:8962557:-1 gene:Dexi1B01G0009670 transcript:Dexi1B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDNSQTNGARDQRAMEEGRKEEFAADQGCAALSIPFIQKIIAEIFGTYFLIFAGCGAVTINASRNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTFAFATSGRFPWRQIPAYVLAQMLGATLASGTLRLMFGGRHEHFPGTLPSGSDVQSLVIEIITTFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPVSGASMNPARTVGPALVSGQYRSIWVYIVGPVVGAVAGAWAYNLIRFTNKPLREITKSTSFLKSMNRMNSVSA >Dexi5B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:5B:4378802:4379704:1 gene:Dexi5B01G0006540 transcript:Dexi5B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTAEAIAASTLSLKLLVDTKTQRVLYVEAGKDVVDFLFSLLTLPVGTVVKLLSQDSMVGSVGNLYGSVEKLDETYVRSTHVRKAPLSPAGGCAGGKLLELPDAPAPSPPCTKFYRCTNNYGSSDCYNSVTKTSGSPCPNCIHSKMTVPVLLVGSTTCSGDDAAAAMKSLAGAGFVQGVVTYTVMDDLKVAPMSTISGITLLNTFGVTDIGMLQEKTVQLGYDEGLEILRVALQSKTVLTDVFLGKKRKA >Dexi4A01G0021900.1:cds pep primary_assembly:Fonio_CM05836:4A:25301153:25302696:1 gene:Dexi4A01G0021900 transcript:Dexi4A01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPAMLAAAVAILAVVASLPVLLRLLSASAGGGGKKTKTPLPPGSFGLPFIGQTLSLVNALRANTADDWLRRCVGAYGPVSRLSLFGCPTAFLVGPAANKFIFSSASVTAKTPESLARMVGRRTIREVVGDEHRRVRAMMVQFLKVDAVKRYVAAMDGEARRHLDDKWHGRSTVAVMPSMKLLTFDVMCTAIFGLGKDADVRRELWTEFQQLVRGIWAVPINLPFTTHRRCLAASRRGRRAVAGVIQERRAKLERGETSPSNDVITLMLAEGMPDEEIIDNVMFLMVAAHDTTAALLTFLLRQLESDDESYIKVFHEQEEIARSKAVTGEALTWEDLGRMRYTWAAAMETLRMIPPVFSMMRKTVEDVEYGGYLIPKGWQVIHAANMTHWDQAIFPEPGRFDPARFENASAAPPFAFVPFGGGARVCPGNEFARVETLVAVHHIVTRFRWKLAAGCDRSFSRFPLPYPSQGLLIDIEPIQK >DexiUA01G0004490.1:cds pep primary_assembly:Fonio_CM05836:UA:8599580:8600509:-1 gene:DexiUA01G0004490 transcript:DexiUA01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGTLLPKLGQLLLGEYNLHRGAKKNIKFLREELQSIQAALHGIGEVPLDQLSEPVKIWANQARELSYDMEDIVDTFLVRIQGPDPLRKKGYKKFFKKMSDMVTKAKTQHEIGKDINDIKERVKEVAARRQRYKLEDITSAKTTGLDPRVASLYTKVADLVGIDKAREKLILRLTKGDDDVVASKQRIVSVVGLGGLGKTTLAKAVYDKLKEQFDCTAFVPVGRNPDLKKVLKDILIDLHNHFNLDILDERQLINKLQEFLENKR >Dexi6A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:6A:21713578:21714432:-1 gene:Dexi6A01G0014360 transcript:Dexi6A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAAAARRRGLLDLEAQFAFFRSQHRHPVNAAAHTLLTWPILFTNLLILHFLPLPSPVDPAVVLALAYAAAYLAVDRRAGALAGLLFVAAWAASRALAARLGFGASWRLVLATQLFCWPWQFLGHGLFEKKGPTVSEIPEVFLMEPFLIFLQILNKLFGYEPYPGFCKNVDKKMEALLRESRELEQRKTT >Dexi3B01G0038670.1:cds pep primary_assembly:Fonio_CM05836:3B:41431574:41435663:1 gene:Dexi3B01G0038670 transcript:Dexi3B01G0038670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGLSANNAAAAAHDDDDANTAPFPETVQVGGSPEYKVDRKLGKGGFGHVFLGRRLTAARSTASAAQEVAIKFEHTSSKGCSYGPPCEWQVYTALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSLGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDTASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQISWFIYSFHVDIFTLQVGQKRARLINDDDGHVKKKIRLGAPATQWISVYNSRSPMKQSSAIDRYHYNVADTRLAQHVEKGNDDGLLISSISSCANLWAIIMDAGTGFTAQVYELSPHFLHKEWIMEQWDKSFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATSGSRWAIVMSRSAGYSEQVVELDFLYPSEGIHRRWDNGYRITAMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >Dexi2A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:2A:30100246:30100602:-1 gene:Dexi2A01G0018120 transcript:Dexi2A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANSIAMFLLLSAGIGAAQNASGGGAHEVHVGVILDLGSLVGKIAITSISLALEDFYAAHHNYSTKLVLHFRDSMSDDVRAASQASTIAQ >Dexi6B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:6B:123545:124782:-1 gene:Dexi6B01G0000210 transcript:Dexi6B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQQQAPCVPPGFRFHPTEEELVGYYLARKVAAQKIDLDIIREVDLYRIEPWDLQDRCSYYGGAGAGGQDEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSTRSTTAGPVAVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQNNEHAPAQEEGWVVCRAFQKPMPNQQQRHSCYAAAGYPSGAYATMPPSYYYMSNGGAAAPAAALLDHHSLASAESKLHVQLLAGDMVPALQSPAVDAAQQQSYDDDQHALAAAAVSAESSVVDWNLLSSLLPSAQLSFHHHTPTNSPSSCSKNNNDL >Dexi2B01G0023060.1:cds pep primary_assembly:Fonio_CM05836:2B:32626139:32627887:1 gene:Dexi2B01G0023060 transcript:Dexi2B01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISSSASVLSLSFLILSFFQDACSVVRAGAVSGVTFTFTNRCGGTVWPGVLANSGSSPLETTGFALGSGEARSLTAPAGWSGRFWARTGCTFDPSGKGSCATGDCGSGEVECHGKGAAPPATLVEFTLDGSGGKDYYDVSLVDGYNLPMVVEASDQRSGCPVTGCVVDVMACRSACEAFGTPEYCCSGQYGNPDTCRPSVYSQMFKTACPRSYSYAYDDATSTFTCTGTDYSITFCPPRAGTPNSQKATKDPSPRPDDVQLQGDSWLASLATGEMDAATSTAVASLQLQAALTAAAIVALLV >Dexi9B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:9B:13343105:13343698:1 gene:Dexi9B01G0018720 transcript:Dexi9B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIHELDPSDERSGRIIDNIVRLERRIFPKHESLARSIHEELKRRNSGLIYMTSSAAGGDGDEVVGYAMYTIPTSLCASITKLAVKESCRRQGHGEALLAAAMARCRRRKVQRVSLHVDPARTAAVALYRKVGFQVDATVVGYYAPQRDAYRMYIDLDP >Dexi2B01G0029840.1:cds pep primary_assembly:Fonio_CM05836:2B:38179785:38181738:-1 gene:Dexi2B01G0029840 transcript:Dexi2B01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSHHHSPFLLLTIIVYLSTRCSVHGELANGGHQDLPALLSFKAYNPNATALATWAGPNPCSGTWFGIRCSRGRVVGVFLDGASLAGAVAPLLRLGQIRALAVRNNSLTGALPPLDNATASPWLRHLLVSHNQLTGSLNISLGALLTLRAEHNDFRGGLDALRVPSLRSFNVSGNKLAGEISGDLSRFPTSSFAGNLALCGLPLPRCVRAYNALGSADSSSNATTSIAAESPSTASANNVSVSASSSNGGFSNIGVTALMATGIGNAVLITVSLAISVAMFVYVRRKLRSTKGASDAAALGFEDQEDKRTTNGDDKCHHKSGGLVCFEGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKCLSALQFPASRSKAFDRNMRLVGRLRHRHVVSLRGYCNSNGERLLVYDFLPNGSLQSLLQATGACVVVAARGVWTGRRGKAILLGVAEGLNYIHTLPARPGLVHANVKPSNILLDERGGACVSECGLMRHATNIQRSIGSQSRCPPDLFLERATTTASSGGWHGYAAPELAPGGRATQESDVYSFGMVLLEVVTDRKGAPDGEEGGDVGEQTMGMVKIGMLCTAEVPEERPTMAQVLAMMSEFM >Dexi5B01G0028570.1:cds pep primary_assembly:Fonio_CM05836:5B:29956534:29957031:1 gene:Dexi5B01G0028570 transcript:Dexi5B01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGGHHMGTMAPAPGGAHGIGGAGGMGMRRKRYIHMTFFWGSKSEILFTGWPGANGAMYAAALVAVFAVAFLLEFLGSRRLDSLLPAAAAAGSNRRAAAGTSRAAVHAVRVGGSYLLMLALMSFNGGVLLVAVAGHAAGFLAFKAGFFGDGRAKVEADSAACC >Dexi9A01G0036210.1:cds pep primary_assembly:Fonio_CM05836:9A:40745269:40747021:1 gene:Dexi9A01G0036210 transcript:Dexi9A01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLGRLRKQPARPAAVKINDPAGDASAFVPPSPASGSEETPVKVTRSIMIKRPAGYPSSPRSAASTPPASPLGTTPPISPELVLEEGTIDSTEADIVICIICGTFENPSVKKYNNLSGRFRRKSLSDAYERASPPGTTSHPSPFEV >Dexi3B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:3B:6805420:6807777:-1 gene:Dexi3B01G0009830 transcript:Dexi3B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGAALAALRARPIHSTVARRAAALLSFVTYSAAGPAAGEIDYSTQPMSSPLARFRDRRALAVTDITATEWCQKQMEFVLEHGKPERTEAMKAENFFSHFDLDPNYLSDDVKRYINNSLGFNAKTFEDVVKYFKITCHTLQRLQSQEQLLLS >Dexi9B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:9B:8991962:8993849:-1 gene:Dexi9B01G0013340 transcript:Dexi9B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWIKSLVGIRKQEKGHNAEKQQKGQNAESSETRNSADQSLHKRKHSLDAEGALAVEEIPVRSETLTNDNNTQTTSSSICPGSTSLDVYASQTEHASKEEVAATLIQSAFRAFLVWLDVLYEL >Dexi9B01G0022620.1:cds pep primary_assembly:Fonio_CM05836:9B:17338321:17338770:-1 gene:Dexi9B01G0022620 transcript:Dexi9B01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWTVDIGRLQDELPKPSLLLGSRALCQQCRELPSMKCKCPRRAGTLKIATSDWATSKSCCRSAHQTLATVTRAYTALTAVAAATSSSWTLASTTSTHETATEADCSTRSATASRWSTLYSRVATAASWDSRTDTCFLTQGLEERRLL >Dexi1A01G0025480.1:cds pep primary_assembly:Fonio_CM05836:1A:31688462:31688803:1 gene:Dexi1A01G0025480 transcript:Dexi1A01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPHVPVAAAPSHVPVAAEAAACRAGQHQAPRPYYAAAAWAWIARPLFRLRSFGERFEIGSPAWCVPVRARAAAAEWRRRARLRTSRSRRRRRPCRHRGARSEVDWGSGAGI >Dexi1B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:1B:24432657:24439259:1 gene:Dexi1B01G0018150 transcript:Dexi1B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding STAAAMGDHSDSDSSPKSSASSSSSPSARRRSSPQRGRAHSDESGSSDGVLVELPAQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLAAAGSRSARSLDESMAVIDFPEVSSANAELRKYQEEKEAFAREAVALRRMLQEMVGHEASVPLHGEDPDETLLHSMLDDCSRLVLELNSVARAREQELESLHARAVEVEVSREVADVYLGSWREGSEQAVGRMLASIDAVVGQDDAIFEGADQDAISVLERKTSSLVEKCRQVSMGIEQLEQVLAEVKPGFVATGQGDLATILGVVTEELASSKRNEVDFLEKLNTFSEEKKALAAELEEVKAARDAANAEASKAKAEFEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALGEKTAELQSCMAELQKKTDALQTAEGRVEELRVSLDEKTIEHEKCLDELRETYSAWEAAKASIEQLNEANSTLTSLQASLSLKDGVLQHIEEIMSEATFPEDLLSLEMSDRLGWLIEQKKIADMVFSEQQKVKDILSSVDIPHSVLTGELDSQISWLVSSLNQAKDDVTRLHNESAGTLARLTAHESKLVSMHEEIDRLTIVLLDEKQEKDMLVNEHSELMSLYNAAVDKLSVVSSQNNELMKTFAEFSDVTLEGNEFLDTVKLVRQSLSNIQQSTKSSPIETESFEKLQTFLYTLDQESSLCKIIIEEDMIDRSVRTGELQRMVEEIHILKNEKDSLQKELERVEERSSLLREKLSMAVKKGKGLVHEREGLKQVLDEKSSEIENLKQIMEGKNSEIEKLKSVLNENISETENMKEVLDMKNCEIENLKHALDENNSITGDLRQVLDGKNSEIERLKHALDESCMETENINQTLIEKTFEADKMNQELVAKNIDIENLRHGIESRESAMADLREHVEHLSLQATHFEKLQLDIGTLNDEKGKVESLLEETRASWGTLADSISSLTIPVDQPYEDPMEKISQIAQYIQESQVAKSSLDNELHKANEQITLHASRLSDALSTINLLEDELRKVKDHISSISEEKHQIQLHAAAVEEELEKTNEELAINVNKLEDANVTINSLQDELSHARSNISILDAEKNEAEVKHEKEINALNAKLDKCLEDLDRTHGNLQSHSTEHHGYLEELSMRVMDDSLLLLMAEEFGKTFSSLRGMSVIVKSMHEQLAAKGFVTDSVVEDSELLTPLSLPDYDKFVTERLVNSKTRKGNVDDTLSFSTIFEQLSSQAEYFSSFMKDLSAYMNGNIMSVLRALQLASNNFAHTLEEHGTLKIELGNKDAHNRARESEVLSLQKELRALSSKCISCIEQIKIVFDDVVDLGYAIELATGRSSTGSELEVTVSDLKDEDADDYNKVAGTLLSAIAQLKFESEKLSAIKGCVVTSLDDLKMRLQQAESAAETASHDHQLLLEKIGMLEKELKTLQDECSRKELKMQEYQEREGTLKARELELLSLEHTQMTADRGMTDDAISKDQMGALVEKINKLNMLSDESRMQREEAALSSPIEKLFAVIDGFSALRHEVETLRYENEDLQLNVESYTREIEQLREVSRNSGLNNRELESKSSELLEVTVSMERMIQRLGYLGGRDLVEDNKPTTTQALLSKLEKLIIASSTEAGNAKSIIQELGAKLQSREKAIDELSTKVKMLEDLYHARLAQPDSSKDRSFEASSSAIGSDMSEIEDVGPTGKASIASVSTAAHARAMRKGSSDHLVLNIGSESERLIAAQDSDDKGRIKSLHTSGLIPAQGKHIADRVDAIW >Dexi4A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:4A:2672638:2672940:-1 gene:Dexi4A01G0003750 transcript:Dexi4A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNGMLKLYI >Dexi9A01G0048400.1:cds pep primary_assembly:Fonio_CM05836:9A:51190633:51197289:1 gene:Dexi9A01G0048400 transcript:Dexi9A01G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWPSPRRRFAAAVVALALALVLGAAEARPDKEMREKFYGTLVTNGTRNATGDGSIAEMFGRVLDKEFADSDTPDAPDKSSFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKTKYPTLQLDIRLIKDLVVIIVSATGGGIIFSCMGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAVLCGAKSSEGVFVGAFLSMSSTAVDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFIAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVLFCIQLTLYFPVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENSMQNEVRFVTFFADFSYMYSKLGVVLKNVHLPQLPLQEKSVMLEAHNRSL >DexiUA01G0005450.1:cds pep primary_assembly:Fonio_CM05836:UA:9684785:9685756:-1 gene:DexiUA01G0005450 transcript:DexiUA01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGGAPGRDRLSALPVDVLLLILLRLDTATAGRTSVLSHHWRRIWALLPELRFPADADLRLVASALAAHEAAISYLDVRALDAAPESVEACLALAADRLSGRLVFRNRVSPGGNADGDGETGGFHLPCLDKATAVSLDLGFLGLAVPTTGVFARLTELSLLHVRLRCSSWGLSDAVSSRRCPCLQKLSIDYARGLANLTIESQSLLELNLQGVDGLQQLIIAARALNKLHLIHSSVADGGPLVAVISTPQLVSLGWVDLYGSTSILLGNWPRLENLHSSFNRFQFIRHLHITLLYLKVSSRLI >Dexi1A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:1A:785716:787864:-1 gene:Dexi1A01G0001260 transcript:Dexi1A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGIGDPVEDFLLGGAGDDGDLGMFCDGVPTLSGDGGLEIDGVSRDACGFEQSNLGKRRRDEPSSSGPKSKACREKMRRDRLNDRFLELSAVMNPGKQAKLDKANILSDAARMLAQLRGEAEKLKESNEKLRENIKDLKEEKNELRDEKVRLKAEKERLEQQVKAMSAAPTGYVPHLPHPAAYHPAAFAPFMPPQQAPTNKSTPIPAPFPGMAMWHWLPPTVVDTTQDPKLWPPNA >Dexi6B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:6B:25056684:25060343:1 gene:Dexi6B01G0017990 transcript:Dexi6B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFEMPPVGADEMMGDDDMGDFGGDEGPVMKVGEEKELGKQGLKKKLLKEGEGWETPEVGDEVEVHYMGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPKIPPNATLQFDVELLSWTSVKDICKDGGIFKKILKEGDKWETPKDPDEVLVKYEARLEDGTIVSKSEGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGVVPPNATLLIDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVKAKITGKLQDGTVFTKKGHDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVEIVSFVKDKESWDLNNEEKIEAAGKKKEEGNALFKLGKYARASKRYEKAAKFIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRVQAYIQLADLELAEADIKKALEIDPDNRDVKLEYKTLKDKIKEYNKKDAKFYSNMFAKMTK >Dexi9B01G0038840.1:cds pep primary_assembly:Fonio_CM05836:9B:39715847:39717782:1 gene:Dexi9B01G0038840 transcript:Dexi9B01G0038840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVKSAALSVTHKCRNILAAGWEAHLNTIKADAKGSKGEIHTSRVHYMIQKGTPYLIVPENDLHNIVKLPIPPRVAMTGDVLRLKDSKVPIVADSLKKTIMKEHEASSAASHGVSAILSSAGATCRSRSEGLLSLLNEESSYSIFKFDIGSCVYIDSSGSSHNIELDSFEPPKSDLLMPFSAKLIDGINRSDSRRRALIFFCFEYFNALARDAVMLSIDHHGFDVLAKVPETDILANVPRKYHWKEFRFTFKEPAKNAEDFCRTLVELEEEVVKSMKSYSGLG >Dexi3A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:3A:9554425:9555723:-1 gene:Dexi3A01G0013140 transcript:Dexi3A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLDIDLRSAERVPETYAWAGRDDHPSVDSSSSAGRDAVPVVDLAAGGDPGAVARVAEEWGAFLLVGHGVPAELLARVEEQVARLFALPAATKARAARRPGEANGYGRPPIEIRFETLMWSEGYAFPAGDVRAELRRVWPDAGDDYLRFCDVMEEYHGEMKALGNKLLDVFFRALGLTDDQIAGGEAERRIRDTMTATMHPIMYPRCPEPERALGLAVHTDSGFITVIMQSPVPGLQLRRRRPDRWVTVPAPEGALVVVLGDLFQVLTNGRFRSALHRAVVSGERDRISVPYFLGPPHDMAVAPLASAVPPGRKAAFRAVTWPEYAAVRDKARRTDSSALTMLKVAEEEEGEEDGGVAPNQGLASLMNKGCDNNA >DexiUA01G0005270.1:cds pep primary_assembly:Fonio_CM05836:UA:9441776:9442669:1 gene:DexiUA01G0005270 transcript:DexiUA01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADLASYPNDVSFSDCLGWEGFPSILWSVLQAMDYPTPPQYERTIIRDRGVARSRVRLVVYRHPPCPSSPTWTVEVHGHHMETTCELAAMNGISSFVTQNQELVEHQLLSLFPPTQPDDPHWMRRYLSSPLRMAESPVAAAALMMRWMRAYHRLQALLSRSQSELLNVAMDMSARARDIGVERTSLSIEVTTRDAMIADLERQLNDLQIAHNNTQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLFEQQGGDIDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi6B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:6B:16209352:16210312:1 gene:Dexi6B01G0010050 transcript:Dexi6B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLLVIPVDSINNFVNMWILQVLDKMHARASGPRVLLTRQPTEGRSRDGADGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKASYCSMCKNGENMAKMRLPYACKLLFQELHAMNVVPRLKLTDG >Dexi3A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:3A:7781495:7781860:-1 gene:Dexi3A01G0010910 transcript:Dexi3A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METITSTMEGMQQAQQTYPAILLLLQQTSKHHTMFRTKKPFVADGEVSIQKVEKIEPVYNLVRRPSVYTNPTTVTVVAKPHADVAVSSQKMFRGQFKGVPSKKDIDDYIARKKQQFIEPEA >DexiUA01G0014540.1:cds pep primary_assembly:Fonio_CM05836:UA:30609780:30612701:-1 gene:DexiUA01G0014540 transcript:DexiUA01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARRRGRTRARAALRALQAAILSRYAPTHPIDDPPAARKDLPRQPSRSRSVQAPSASMARRVGHYSARTLPRPHDPALACPSLPPNHSHTPLTRSLSHFHFPALAPFAEKFSFHTPPFPNSSRTKLDLYPSSISPHSSRAIVTSPARNQDFPQIAIFGRRSTRTSRPHSEPSPRSTEHAISFLELHWCSRTLQTPTDASDLTGVAVAAAAPPPLGAGETPCFPGLPTPLMLFPHFPDPSSPSFGRRNSGESRGHKFIVFSGTKGAIPDGNYHLIPADEEEVPEHGAGEETTNPEANPQSEQEGKPRSIT >Dexi7B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:7B:16379552:16380048:-1 gene:Dexi7B01G0008600 transcript:Dexi7B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMVATPRTARLSFSSTSFPRAPRRRFAAVRASAETMATEKLGIKVERNPPESRLSELGVRQWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPEGHGEEFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYNFE >Dexi3A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:3A:772672:774974:1 gene:Dexi3A01G0001040 transcript:Dexi3A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTGGRRRAGSRRPSHVLLKVEAVVGVHQNRTTAVSVNRKGAPIEVSFLLKPPPQPSIVYVDSEDVNPFFSPLILCSVDDLLLLRVNIGSKNYHMSLEHHNDYYVYRAAAAAPALHRLESPPDPVFRGEDVGLLPRPDGRFTVAALMYIGRNDVYELQVYDSVTRTWTTRKVSVEPPQWDPLPERIPMYCDVLLRHHTSAVITIGGEGGTMAWVDLWRSILICDVLLPNPSLRGVPVPLPLTQMSLNDGLGVDLDFAGHSRGISFNRDKGCLMLVHVERNESPPLHVAIRGLHGQEKIKVLDWEVTTWSNTKLSDSLEDWHQERSVQASNITVKADVIERARLPCRPRNLSICQPTLSPNGEDDVVYLVARENYLHPNAWFLAVDMKNQVSVDEKESNYQVHAVE >Dexi5B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:5B:5473907:5475861:1 gene:Dexi5B01G0008170 transcript:Dexi5B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFPIDQEVLFNVNLIENSALEDGLSGWSPVGSCTALSVHEEEPAKVPTETINDVEEGYRPSGRYVLASGRADEADGLRRPIHGGKLKPRVTYRVAGWISLGGGAAAAKEGHPVRVNLRVDDECVVEGGAVCAVAGKWTEIKGAFRLKKSPSCDAAVYVQGAPAGVDVKVMDLQVFATDRKARFRKLRKKTDKVGVVRKRDVVLNFGSGASAISGASIRVMQMDSSFPFGACINPGVIQNPSFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADSDALLDFCDKYGKPVRGHCIFWAVENTVQQWVKNLSNDQLTSAVQGRLQSLLTRYAGRFPHYDVNNEMLHGSYYRDRLGDDIDAFMFREAARLDPGATLFVNDYNVEGGNDPNATPEKYIEQIAALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLSAATDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVVFWGFMQGHMWRQDACLVNSDGTVNDAGERQRHIDSAGHFKFRGYHGTYVVQLATATGKVHKTFSVEKGDTPLVLDMDL >Dexi7B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:7B:6961790:6964675:1 gene:Dexi7B01G0003090 transcript:Dexi7B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQSFLLLLPSCLPPPPLARVASRPPSSPSLTALRFPRLTAQLSPPPLAASPPPPGGFRFLGYGGTGGRDGGGGGDEGGGMDPPDSGDEWWRRWLQALHPEFLLLFLLLQCGATSALADALGSTGDDAGGVWEVRGGTRMRLVPDSTWTSYLIAGDDGSKREEVDGKGGGRREDLAALRRKLERSWRRCADVAVQLLLPDGYPHSVSSDYLNYSLWRAVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFVGIGLGIVLANHIGSSVPLAVISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSV >Dexi5A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:5A:1187244:1187762:-1 gene:Dexi5A01G0001660 transcript:Dexi5A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVSLLRPPQPQREGEAGKMELRKRPRPPRVDPDFVSSPPPMKRARKQAAPTKLKEAAGPAKRRPPTKTARRPAVGIGCPVAGLHRVTCRHQPPLRTSTRVLFRPHLPFNWEFGS >Dexi6A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:6A:21699326:21700426:-1 gene:Dexi6A01G0014320 transcript:Dexi6A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAALLPSPAGRLLAPVPLRCHTPTQQSTPAAAARGLLLRGAGFPVVKRAPDGGGGWLLWHQSGARIALATSPDGLRWSAPVSPDPLLPSEDWWAFDTAAVSPSDVLLISAPAASSRRFPSSAVYWLYYTGSTDERFRSAFPDADVPALPGLAISQDGSNWARIEGGHHTGALLGFGEEGEEPRGWEARCIAAPKVVMHADGDLRMYYHSFDEMSQRHAIGLARSRDGIRWTKLGKMLDGGRAGSFDECGVRCGHVVRDRAAGRCVMVYEGVCADGKVSIGMAVSEDGIKGWRRSSEMPVLSASEEDEGWDGAGVSSPYLVQMDGAYDWRLYYMGVGKDGEASVGMAYSEGQALQKFEKCDAVVM >Dexi5A01G0038040.1:cds pep primary_assembly:Fonio_CM05836:5A:39162874:39165966:1 gene:Dexi5A01G0038040 transcript:Dexi5A01G0038040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGSAATPVPERGNKWPPSHPLGDDEDLSKPSDDDDGGSKHSNDHGDGSKPSDDDGDGSNPLDDDDAGEWLVSGSEDEDEDDDDQGIHTPYTVDNIPRPGCDHEKQSYVMFRDPEAKLRGPLPMRLFPAFKGGKHYFGSDYNLADKSQIRVDSVEDCTNECFCFPMSLLQFVDMKIAGYRHTHPGRAKVYGFVAARLKSQSSRLGSASPGSVNMKLYAKTSGFSES >Dexi4B01G0021190.1:cds pep primary_assembly:Fonio_CM05836:4B:23206020:23209528:1 gene:Dexi4B01G0021190 transcript:Dexi4B01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDSSSGLWTMLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQHVEIVGGLLRELDLAELMEREATRRPLEQLGGALRRCYALVTACQDCGYLRRLLSGARMTEELRAAEKEIDMFIRLVPLIALVDTTHDRRAKVNFLSLSSRLLRECQAQSRIVQAITSGALDLQEQQTLDTEELLELCIRTEGCFSGFKRFEFSEIVDATDNFSENRNVGRGGFATVYKGQLPDGLVVAVKRMDEDATVFDFSNEFLLARLQHTNLNRLLGWCIHGKERVLVYDYMDKGSLHHFIFDHDMNPKITDFGSARTLSSDVAEERTSRIVGTSGFKAPEYTSQGIYSLKTDVFSFGVMALVIISGRKNTILEHQGDSVGTLVRDVSKHNVSKGLPSPNS >DexiUA01G0008970.1:cds pep primary_assembly:Fonio_CM05836:UA:17088987:17091116:-1 gene:DexiUA01G0008970 transcript:DexiUA01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEGFLGLGTLPGLEHNRLASSSVRASRVPSTIPNSIDLRPLSKPFVGPRFEPLISSMMASSLRGGSIDRSARGIEAFRDLFPSSGYLRASGLRGPLFEGGRFECALRKLRVFVRSWGEWARFLRRGVRAQFDTTARPAAPDSSIFTAQLLIARLTWAAPLHLPEWALLSASVLSRQRGGASAKGTPPSAPSPSALLALALTLGSRLLLLLPLFAPPFAAMAQNVQFVDPAPWKPSNATKHCLDGLVEVGVLPPNVDGEPPVWISPGAVSEPDPPKGYVVSFARFHERGFGVPVGRFMRALCFHYQVELHNFSPNAISQAAVFVAVCEGYLGIEAHWDLWCHLFTGELFSEFVSRGVRRPARAGGLTIQVRKGRKDLYIPSVMVSNNQDWDKGWFYLRNDGGHLPPYTGLLLTERHPNWYFGVSPRSKKDKLEPLVDALQRLSRRGLTAGTVVANFHRRRVLPLMRRRLALHEMKPGTDLTGTVMAAEPLPVATAVQRARRTVDKLVDDPSWDSRRSSDK >Dexi1A01G0023180.1:cds pep primary_assembly:Fonio_CM05836:1A:29797216:29798451:-1 gene:Dexi1A01G0023180 transcript:Dexi1A01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHRPSRCGLTNETWPKQTWIRIGNIDGRPSIAPRTGQQEGHVSALANSPGTEGAFPHSPREQSPRRRQAAGERSKQQDRGICQVVSRGRSIAAIGSGGRAIECPAGPGVLPAYGRRLPGRPEPPQRSPRTITPPRARARPAERGDSGWHAEAHGGSSMTRQSRHGAIQQLWKAGTCRALVQYDDRPAREEGQGKTFRRDRDQVATGEIQGGSSELVAESGKNAQLPTLLFGSCRVAKVRVPYSRLPLATLCLYMLGGSLSWTRGIHMREVATRGKSWMIGSVAIVHVA >Dexi6A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:6A:24037104:24037337:1 gene:Dexi6A01G0016190 transcript:Dexi6A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTMRHSFLDSTHHSSAACLARPLVVVVAPSPCSCSSGASIDHATPRTHHAPTDDEGLVIASGVRAELGLAFR >Dexi7A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:7A:22859444:22863471:-1 gene:Dexi7A01G0012700 transcript:Dexi7A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILERIRGGGDRLAATEQPPQPEFWVEISESVSRLCSFENAGSGRGSISLCYSCYICVLTADILYDLGTALEFVSPLCPQLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTSGKVSSPADLRYKEDLLFPNRIIEEAGSVQIGQPLRGVLSPRLVEQLRATFPNEKFLLNQKSNKTYMVLEQSARGEDALRGWLVAAFASEMERSGMGSRDAVLNEAYEKMERVFPTFVSEVRSRGWYTDQFLDGNGSRIAFAKFQ >Dexi1B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:1B:19979146:19982066:1 gene:Dexi1B01G0013920 transcript:Dexi1B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRGLELRLLPALAPFRRAPRARVSAHRLRASSVRCCAAAGGDQAEPPQDAVLKAISQIASSKGRVAQTTNVIMGGTVTDDSTDEWLVLDKKVNTYPTVRGFTAIGTGGDDFVQSMVVAVESVIEERIPEGQISQKMSAKGKYVSVKIGPIRVVSSEQVQAVYNAMKKDVRMKFFL >Dexi7B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:7B:12951225:12953508:1 gene:Dexi7B01G0005500 transcript:Dexi7B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAERLGAFSTNRWLVFVAAMWLQSMAGVGYLFGAISPVIKAALGYNQRQMAALGFAKNLGDCVGFLAGALSATLPAWGLLLIGAAHSFLGYGWLWLVVSRQAPALPLWMMCVLLFVGTNYSTYFNTASLVTCIQNFPKSRGPTVGILKAFSGLSSAILTQIYAVMHTPDRAALIFMIAVGPALVAIGLMFVIRPVGGQNQERPSDNNNFIFIYSICLLLASYLVGVMLLQDFVQLSDSIVVLLTAILFILLVSPLAIPATSTLLPNTEHPIEEALLYETSMPVASTSQDKEDQPDVILSEVEEDKTKGNLLPSSQSRKWIVELQAKLGQAAVRVKPHQGENFTLMQELANGDFWLIWLSLLLGAGSGLSVMDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRVGGGYLSEIIVRYQHQAIIVPFTFLYRKNGQHR >Dexi9A01G0043720.1:cds pep primary_assembly:Fonio_CM05836:9A:47437785:47441598:-1 gene:Dexi9A01G0043720 transcript:Dexi9A01G0043720.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLTDNLQGLSSSEVNSIVDWRKFYFERYIFAGKLIGRYYDSQGNPTKYLKGVEIKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVPILPCFRSKHSFKVHRINTPGGVWCDTGYPRLVRRPGDIALTGQVSQRCACFQEDELNKPGLVAYEGCDHLSKSCKVN >Dexi4A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:4A:4775935:4777462:1 gene:Dexi4A01G0006670 transcript:Dexi4A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSPTAPPHVAVVAFPFSSHAAVLLAFARALAAAAAPSGATLSFLSTAASIAHLRKAAGAGLPPSNNLRFVEVPDGASAAAEKGAVPVPRQMEMFMAAAEHGGVKAGLEAARAAAGGVRVSCIVADAFVWHAAEAAAAVGAPWVPVWTAASCALLAHLRTDELRADVGDQAASRANELLVTHPGLGSYRVGDLPDGVVTGDFNYVINLLLHRMAQRLSQSGAAAAVALNAFPGLDPPEVTAALADLLPNALPFGPYHLLLPDDDIKATSPAAADPHGCLEWLDRHPSRAVAYVSFGTVASPRADELRELAAGLEATGAPFIWSLREDSWPLLPTGFLDRVAGTTGLVVPWAPQVAVLRHRSVGAFVTHAGWASVMEGVSCGVPMACRPFFGDQRMNARSVERVWGFGKAFEGAMTRDAVAEAVEGMLRGEEGRRMRDRAEELQAMVAAAFAPGGACRRNFDEFVGIVCRV >Dexi6A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:6A:12784277:12785548:-1 gene:Dexi6A01G0010350 transcript:Dexi6A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASNAMGSVKPAAAQLPALILIRRVDASFAAALRRRFRVLDFFASGEPLLPAFLLAAAKDAPRAAVVMGGGVARVDAAFLDAVPSIRCIVTTAAGVDSIDLHECARRGVAVANSGRVYSVDVADHAVGLLIDVLRRITASSRFVSRGLWPLQGDYPLGTKLGGKRIGIIGLGNIGSLIAKRLEAFGCVIYYNSRKPKDSVSYRFFASVHDLAAESDVLVVACALNKETRHIVNKDVLDALGKDGVLVNVGRGANVDEPELVRALKEGRIAGAGLDVFENEPEVPAELLSMDNVVLSHHVAVLTSESRSDLRDHTIANLEAFFSGNPLLTPVLP >Dexi9B01G0021800.1:cds pep primary_assembly:Fonio_CM05836:9B:16483309:16484955:-1 gene:Dexi9B01G0021800 transcript:Dexi9B01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKALLAVAMAVLIVVLSKLKSLLISKPKLNLPPGPWTLPVIGSIHHLVTSPVIYRGMRDLAQKHGPLMMLRLGEVPTVVASSPEAAQAITKTHDITFADRHLNATIDVLTYNGTDLVFAPYGERWRQLRKICVLELLSVARVQSFRRIREEEVSRLLQRLATSAAAGATVNLTKMISQLVNDTFVRESVGGRCKYQDEYLDAFDLAVRQTSGLTAADLFPSSRLMRALGSAPRKALECRKRMERILAQIIEEKRQAFESGDEVAHEGLLGVLLKLQKERSTPIPLTNDTISTLMFDLFGAGSDTSSTALNWCMTELIRKPEAMAKAQAEVREALKGKSTLTEDDLAGLSYLKLVIKEALRLHCPLPLLLPRQCREACQVMGYDIPKGTSVFINAWAICRDPKYWDDAEEFKPERFEKSSMDYKGTNYEFLPFGSGRRMCPGVNLGLANVEVALASLLYHFNWELPSGMEPKDVQISEASGLIGKKDTGLILHPVTLIAPVDV >Dexi2B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:2B:12170345:12171384:-1 gene:Dexi2B01G0010720 transcript:Dexi2B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSAANGLGTFVGNAVSAPFRALFGASCEGVCSGTFDLPCFIEHICISSLVRLFAVLAVSYAVLFVVFLLVKIGVVKCVAKNAFKMVWKPFWACCRALGGACGDLCDKVRDTERVYRGRRRRRRDVELGELSVTSITDDMASSSPSSSSYSDEDGDHRRGVAASSSSKSRGKPSTSSVGDRRKDRIRQSLRLKRTNSKVERAARLSHGSGQRRRLSSRPRGTEAPSSSMSSSRRVHGGSPPARGQGRHSHVHRRSSI >Dexi7A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:7A:27944962:27950918:1 gene:Dexi7A01G0018610 transcript:Dexi7A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNFPLRAAVVVAAILYALCGAGGTEVLSKSRLESCERESDAGGRLSCDKKLVLDLAVPAGSSGGEASLVTKVVEADNGTQAEAAARSIRDPPVITIDKSAVSAVYGLTYYMVDVAYKPEEHFVQTRKCEPDAGGDVVGDCQRLWNENGAVIEHTEEVIVTPENKTVVSSDNFLRVNPIGDFAVYERSIPSFENMYLVTPRKGAGSGQPQDLGDEHSKWMLLERVRFGPECNKIGVGYEAFQNQPSFCSSPLSNCLNDQLWSYWESDKNRIDRNQVPQYVVEGRSPGKIISIDVETFEALSQVGNANVTTKNTGKLESSYRLTFSCLTGISDMEEQYYVMKPGEVIIRSFDLRSSADRAEKYQCAAILKASDFTEVDRVECQFSTTATVFNNGTQIGSTNERKKGGIWGFLDAIKASWRNFWDFVTNFLTGKSCSWNKCSSLLDITCHVQYICIGWVIMISLLLSMLPTGAVILWLLHQKGFFDPLYDWWEDLFGPATHGGRAHRSHKKGHHHHHRHSHPHPHRHHHVPRHHHVLHRHGHGGQQPEAAAEEGYRRRHGHNTALGVQHRGGAGQHKHRHGKAVALALHFDGPSRSRGVVEEAVEFRERRRHEVRHGLRDGERRL >Dexi2A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:2A:9024933:9028732:1 gene:Dexi2A01G0008970 transcript:Dexi2A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGNTVMVTSSSKPLQQCTSAAASTPPLISRCCPGVSWRWRRRRRSYRLQCSQSRQDSAARTRRDLVEYDRRLRQNAGIFHPSIWGDFFLGYSNPSASSQQQTQMAERADKLKEEVAGIIVSSTYCGLRERLHLIDTLERLCLDHLFEEEINAALPQIETADISDCDLGTVALCWDAKAAYDLPECMKFALGKILDSFQTIANMLNQEEKYRMSYLRYFIEDLVRSYNMEVKMLQYGYIPKSVEEHLQVSYDWHE >Dexi5A01G0029700.1:cds pep primary_assembly:Fonio_CM05836:5A:32712424:32714432:-1 gene:Dexi5A01G0029700 transcript:Dexi5A01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAWWRRAIGQRFNPAGVAAVATVAASEPRLALPHVSVHDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPPLAAAFDQCRAAFPPGALAIYSNSAGLKQYDPDGVDARAIEAAIEGVHVIRHGKCRLTVLDNTKKPGGAAMEIESYFGCSASVLVMVGDRYFTDVVYGNRNGFLTVLTEPLNFAGESYIVKRVRKLEAYIVSYWYKKGHKPVKHPLLPDARRIVKFDPYDDSVTITG >Dexi5A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:5A:19465086:19465492:1 gene:Dexi5A01G0016810 transcript:Dexi5A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSERAAGGGRERPVGKVAVGTVPLHRLFAFADRTDAVLMAVGTVAAVAHGMAQPLMTFILGDVIDAFASVVVHRVSKSLR >Dexi3A01G0036360.1:cds pep primary_assembly:Fonio_CM05836:3A:41768570:41769118:-1 gene:Dexi3A01G0036360 transcript:Dexi3A01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRLLLAGAARRRRFSTGAAAEASTSTASSQLPRGKRWDAVVIGGGHNGLVAAAYLARAGRSVAVLERRGILGGAAVSESDLVPGFRFSRCSYLLSLLRPAILRGTG >Dexi9B01G0032230.1:cds pep primary_assembly:Fonio_CM05836:9B:34478599:34478919:-1 gene:Dexi9B01G0032230 transcript:Dexi9B01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSRLSKVALTMVVIMILLSSNHIVYSQAKPLTMMHRRFSLLSHSDESIKGTVTPGTTPPDGAGNGAAGGGVLGRDTRATDPGHSPGAGHAATNNGVGRKLLGVN >Dexi3B01G0032130.1:cds pep primary_assembly:Fonio_CM05836:3B:34543768:34546829:-1 gene:Dexi3B01G0032130 transcript:Dexi3B01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAVTVEPLADSNGGWRPSSSLALLTPAFFLRFPFLPSPVSYCSVAADDGARRATYVREQRSSGRRVWLARCLAGRFRAPRQEAGAQPRGLKGFQICPPARLSTTSPSLYPARPPCFERASSPPLLAPRARRNRCARCAPASLFVPIGAEDALRGALLRFFEPMGAEGVAGYSTVSSSRLSHVPDYNKTSLKRRAEAN >Dexi9B01G0031460.1:cds pep primary_assembly:Fonio_CM05836:9B:33827573:33827899:1 gene:Dexi9B01G0031460 transcript:Dexi9B01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALLRMLPNRPLLSCFAYAFMFAISSPIGVATGIVANWIFAVSMGLATGIFIYVSINHLLSKGYKPQRSVTIDTPVGRWLAVVLGVAVIAVVMIWDT >Dexi3A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:3A:8514437:8515758:-1 gene:Dexi3A01G0011800 transcript:Dexi3A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKPKRLARPGYKCAERSRRRRGVRRIEASAMAEEGEASVSRKKTRITPPPSSVPHGTGSNGSFGDIDEIIIEESQGNGVNLESAVGTEFAEEHEEEPMDTSTGVDEQVSPEPASPSPVVADVTVTDGDALRCGVCFLALRPPIFQCEVGHVVCSSCRDKLEDESAAAAAGKKCHVCGVAMTTRGYSRCHAMERLVDSIRVPARVRLHRLHSRAPGPLRRHTHLADRHQRKGRREVHGPPPLWLQLRRPADDGGVDQERLFLLNWTRESLGDAVSVICIHPHATGSASDAQAQCELVFSRYGDDGTLYTRHYQKSEFQVACTDLYDGLPSTDDCFQFVVPDSVLGEEAGCLQVKATIFTS >Dexi2B01G0036500.1:cds pep primary_assembly:Fonio_CM05836:2B:43309782:43317302:1 gene:Dexi2B01G0036500 transcript:Dexi2B01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKRLFHKAVQHHHQGGGGPPPAAAGDVPQMNAHVALHYGIPYTASLLAFDPIQRLLAVGTLCSQPFCNCRDGRIKIFGGDNIEGLLISPRSVPYKFLQVWNLEFRQLFYSSQWDVNVTAFAVIEGTFLMYLGDENGLLSVFKYDIDDGKLQRMPYNIPIQFIIETTGVSLQNPQPIVGILIQPDTFGTRVLIAYEKGLLVLWDVSENHAVSVRGYGDLHMKGQITGAQRDAGEAQQSDATDERNMKWPLTGGVPSEMSLNEDHAVERLYIAGYQDGSVRIWDATFPVLMPMFVLDGKVVDVDLDGANASVSSLAFCSVDMTLAVGTASGLVRIYKLREHTGGSSFHFVSESKQEASGEVLATGYQNGQDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >Dexi5A01G0022550.1:cds pep primary_assembly:Fonio_CM05836:5A:26701787:26704631:-1 gene:Dexi5A01G0022550 transcript:Dexi5A01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVAIWGSSTSSGGAPACAFPTVVRSHTCDWFALVLLVAADVLLNAVEPFHRFVGAGMMEDLRYPLKSNTVPIWAVPIIAVIGPMVIFFIVFLRKKNVYDLHHAILGILFAALITGVLTDAIKDAVGRPRPNFFWRCFPDGKGVYDKITTEVICHGDPNVIKEGHKSFPSGHTSLSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLVPLLAAAMIAISRVDDYWHHWQDVCAGGFFPAPSDEKGFWPHAHFRFINEQEQSQMPLPTESGARITSHELDALEAGRERSVVA >Dexi3A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:3A:2688908:2691916:-1 gene:Dexi3A01G0004080 transcript:Dexi3A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGKRWRFAMVCSSNMNRSMEAHSQLGRAGLDVESYGTGTHVKLPGPSLHEPNVYDFGTPYGAIYEDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGIFDMIMTFEERVFDLVVEDMNNREPKLMKSVPIINMDVKDNHEEAGVGAKLAVELCQKLEAIDGDWEEIIDDLITGFEKQHKRRLAYSIAFY >Dexi3A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:3A:4877539:4883077:1 gene:Dexi3A01G0007180 transcript:Dexi3A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSNTQMFSSVGNAVLDVKCHYLQASACGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETIAGERYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDKRLDCIVSEVTILQTSPSVGLHVSGKSRSIPSFHYYYDMKYSLDYTTFSTIEMGDTNGTLRSQYTSSNNNVKRIDVTEKQKSPASEMRELSLLDLYCGRGGMSTGLCLGAHGGGVNLIARWAVDGDEVACESFRLNHPETRVRNETTDDFLELLKEWEKLCKTYPKPRNKVKSRSNVSTQSSNETTDCSTIPPEEFEVWKLVDICFGDPNGVRNRGLYFKVRWKGYGPNDDTWEPIEGLKSCKEAIRDFVIEGQKDKILPLPGDVDVICGGPPCQGISGYNRNRDFDAPFNCERNKQIIKLPPFPLPTHEAIVKNGCPLAFERNLVGWPEGMPMQLEKPIVLEDALSDLPVVANGEKREEMLYVKGPQTEFQRYIRSFDSEVLGSRAHVTKDSKSKLYDHRPKALDDDNYLRVMQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCILTYEHGKSLRPYGRLWWDEVVGTILTCPNARVQALIHPAQDRLLTVRESARLQGFPDCFRYRQIGNAVAVPVGRALGYALAMAILNKTGDDHLMVLPPKFAFSHDIQSLPCPNSLQSGNI >Dexi8A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:8A:24788959:24794765:-1 gene:Dexi8A01G0014330 transcript:Dexi8A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKVVPSRTTGGGGSSVPWWLATQGEAAPPPASGGGGPPLLWWLATQGEAAPPPATGGGGSSLPRWLATQGETTPPLSTGGGGQPLPQWLATQDEAAPPPASRGGGQPLPHWLAKQGEAAPPPTTGGGGQPLPRWLAIQGEASPLPATVGGGPPLPRWLAAQGEATLPSATRGGGPPLPRWLAKALQVNRNINLGMVADTESSGSLPGSSNAAAAMPVHGSLDQRSQEKAPKKTHKAEREKLKRDQLNDLFLELGSMLGTNQESSAFTDLDRQNTGKATILGDATRELRDLVTQVESLRKEQSALLSERQYVSSDKNELQEENSTLKAHISELVNELYARMGNSNLSVSCLGMSYQTANATSSTHPIPHQMWSNTPNLSSVSMAHQMNTLSPQQSQHHSANDVEVYSLRPQELQLFPGPLPSPEGECSRVRSAPDTSSSLTDSLPGQLRLSLTQSSQEESSSCVLVSRKERRNG >Dexi1B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:1B:667419:667843:-1 gene:Dexi1B01G0000760 transcript:Dexi1B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDASDVVPYCAYCGAQRALLHCAQHAARLCLPCDLRAHAAAAAAARGHLHRRAPLCDGCHAAPAAAHCAVHRAFLCAPCARAAGCDAERHPRRPARAYTGFPEPAELARILFYDTAETADTWVPDLQ >Dexi8B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:8B:27000035:27002278:1 gene:Dexi8B01G0016080 transcript:Dexi8B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSTPLILSTLAICIALYYLLFWTTKNPSSSGKRAPLPLPPGPRGWPILGNLPQLGGKTHQTLHEMTKTYGPLLHLRFGSSDVLVAGSAAMAEQFLRVHDANFSCRPPNSGGEHMAYNYQDVVFAPYGPRWRAMRKVAAVNLFSGRALDALRHVREHEAKLMVTSLSSSAPAMSMVKVGEVVNVCTTNALARAAVGRRVFAGGGGDEEGRGAREFKEIVLEVMRVGGVLNVGDFVPALRWLDPQGVVARLKKLHRRFDDMMNGIIEERKVVAGNAATGGEEGKDLLGLLLAMVDDEQRLAGGEEDRITETDAKALILNLFVAGTDTTSTIVEWSLAELIRHPDILKQAQEELDTVVGRSRLVSESDLPRLTFFNAVIKETFRLHPSTPLSLPRMAAEECEVAGYHIPKGSELLVNVWGIARDPALWPDPLEFRPARFLPGGSHEDVDVKGGDFGLIPFGAGRRICTGLSWGLRMVTLTSATLVHAFHWELPAGQTPNKLNMEEAFTLLLQRAVPLMARPVPRLLPSAYEELA >Dexi5B01G0029270.1:cds pep primary_assembly:Fonio_CM05836:5B:30539527:30540379:1 gene:Dexi5B01G0029270 transcript:Dexi5B01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMALAGLRWAASPIINKLLADASTYLGVDMARELQELEATVLPQFNLVIEAAEKSPHRDKLKAWLGLLKEAFYNAEDLLDEHKYYILKRKVKSGDDSLVEDDASSSIKSTILKPFGATASRARNLLPENKRLIRKINELKDILVKAKDFRDLLGSPAGNSYAVGPAVTMAIVPPTTSLQPPMVFGRDMDRDRIIDFLTKCTATGASYSGVAIIGHGGAGKSTLAQYVYNDDRVKDHFNFRMWVCISRKLDVHRH >Dexi5A01G0035590.1:cds pep primary_assembly:Fonio_CM05836:5A:37366849:37368186:1 gene:Dexi5A01G0035590 transcript:Dexi5A01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTTQPRCILHKATTSTVRTRQCRARVVPAAAAVAVALTTSAASERTPCAPPASSVGARRKTTLASMWRQVQGSHDWDGLVQPLHPVLRDEAARYGELVDACYKVLDMDPSSSRHMCCKHAKETVLEDAGLVKAGYEVTRYIYATPDVAAAPSATSAWVGYVAVSTDEMTRRLGRRDVLVSFRGTVTQAEWAANLRSSLEPARLDAHHPDVKVESGFLNLYTSSGDKSGGVGGMGSCREQLLREVTRVVTSFSKDNPREDMSVTLAGHSMGSALATLLGYDLAEHGLNRDASGCRVPVAVFSYGGPRVGNEAFKDRCAELGVKVLRVANVRDPVTMLPGAILNEGTKGFLAGWGATGDCYTHVGVELALDFLSLRDPGSVHDLGAYVASVKAEACGKVPNAAEDGGILAMAMELVGGQSAAASTWKEIAALQMGGLVQSLGF >Dexi3B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:3B:9656158:9657705:-1 gene:Dexi3B01G0013530 transcript:Dexi3B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEPSAMATKHHPQQQQHFLIVTYPAQGHITPARHLARRLATTCPGARATICVPLSAFRRMFPCAAATATAAEEEMAAAVSVDSEQVAYVAYSDGYDGGFDSAADSYASYMERARSSGERSLAAALRRLRAAGRPVTCAVYTLLLPWVAAVARDHGVPATAVFWIQPATALAAYFHYFRGHRDAFVAAAAKSGEDAGVQVRLPGLQPLRVRDLPSFLAITDDDHPFAFVLPEFRELIDAVERDGGSSSRTYVLANTFDAMEHDALESLSPHIEVFAVGPVLSFLHEEADDIKNTPSPPRDVFEHDKSRYLSWLDSKPSKSVVYISFGSSSVMSRNQVTEITNAMARIKRPFLWVLRKDNCKDDNKDDAAAIKKLASSAADDDNAGMVVAWCDQARVLSHASVACFVTHGGWNSTLESVACGVPLVVAPQYSDQGTAAWLVAERVGAGVRAAAREADGVVDADELVRCVEVATSEAAAARAAAWKEEARAAVADGGGSDRSLREFLRQIAGDGN >Dexi7A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:7A:27542769:27544107:1 gene:Dexi7A01G0018080 transcript:Dexi7A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFVKWQHPLTRISPISTYKDGGYLLPFLHLPTPISQIGSPKPRSARTPTGEASASMAVDLMGCYAPRRANDQLAIQEAAAAGLRSLELLVSSLSSQSAAPHHKAAQHLQQQQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVEASPSAAATAAAPVVAAPVPAPHPAPLSLVAPVSVAQPAPAPQPQTLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLMSAGKPPLSGHKRKPCAGAHSEATANGSRCHCSKRRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGVAGPSPLASASPVAAAVVSVSAGNGHV >Dexi6A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:6A:25564417:25565183:-1 gene:Dexi6A01G0017680 transcript:Dexi6A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGAPGGSLTAWSVGGRLDERDVAAYTGDVARGLAYLHGRALVHGDVKARNVVIGGTPAFMAPEASDMDNDLLAELHRIGYTDAVPEVPAWLSAETKDFLACCFKRDAGDRSTAAQLLAHPFAAGEAGVPLVPQEHAARRVLAFWDPDTDDEADEMSTVAAERIGALACAASALPAGLELQRRMDRPAGRPRADCATGDDGDDSKFLFSPSPGWRTPYQSCA >Dexi2B01G0035830.2:cds pep primary_assembly:Fonio_CM05836:2B:42773517:42774012:1 gene:Dexi2B01G0035830 transcript:Dexi2B01G0035830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAMVIQETLRLYPAGSVVSRQALRGVTLGGVHVPAGVNIYVPVSTVHLDPGLWGADAGEFRPERFAAGGKAPPPHAYLPFGAGARTCLGQTFAMAELKVLLALVVSRFQLTLSPAYVHSPALRLIVEPEYGVRLVLRRASRSPGASWA >Dexi2B01G0035830.1:cds pep primary_assembly:Fonio_CM05836:2B:42770726:42773511:1 gene:Dexi2B01G0035830 transcript:Dexi2B01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYYCFCLVVVGACTLAAVYVYQALWLAPERLRAALRAQGVAGPRPSFPYGNRAHIRQAFLHPRAAAGGSSIVHDYRPALFPHYDKWRKEYGPMFTYTIGNMVFLHASRADVVRDLGLCVSPLDLGKSSYMKVTHRPLFGDGILKSSGEAWAYQRRLIAPEFFPDKVRAMVDLMVASATALVDSWEARILSCNNGGDDGLELKVDDDLRAYSADVISKTCFGSSYVRGKEIFALIRELQKTVSKPNLMAEMTGLTFLPTRTNRAAWRLNRQVRKLVLDVVRETSVGGDRTTNLLGAMLRSAAASSGGRAAAEDLIVDNCKNIYFAGYETTAVTSAWCMMLLALHPEWQARVHHEARRAFAAAAPPDFTSLHKMKEASFTYLQ >Dexi3A01G0031840.1:cds pep primary_assembly:Fonio_CM05836:3A:36246058:36256482:1 gene:Dexi3A01G0031840 transcript:Dexi3A01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLRNEALLLSRVGNDVEFIKEEIESMNSFLEHLARTAPPAGGHDEQVRTWMKQVRDLAHDCSNCIDLYLRRGDPELYRARGGRWRYLWWASWLVQKMMAQHKAAIRLGKLKERARDVGKRRLRYGVEIPRKETVRSVGVPSMASSSQAVAATEEAGEEEEEDHQYQASVAVYGPDPRRRVLEPRVLEEYCAEKLANWLQLQAEVSIASIAIVAPDDTEDAAAIACESLTLATTNFTCQVWINLSALHLPWDLPLLGWEILAYILRECELEQQQGTVQGQKAEENKSLDILLRVLRLEQKAPDMGVPLSLEEAMNEAASRLKTQLESGKSKPKICLDVAQYIDILRKVFPENKPLQPQVHEVSPSAATLGEDHIKEIIHNHKSTLDIIWDLLHKQKPLEGNSTKKEQATGSNQLHGGHDQVSNDDVVAYIKESKEKMKEISAEVQASSAIAANAVGIKETKTKESKDKMKEISVEVQASSAIAADAVATAAIVLSGPGEVVVARTASRPPPAPAAAPPAAPPRKVKSCMVGDQVHQFITKIPSKENILDARLSDLWARHFSIFSGLRLRTTDSIEKFVHKLPKYSPQLPLLKVLDLEGTNICFDKNHYLKDICNKILLLKYLSLRGTNVTHLPNEINNLHELEVLDIRQTMVPEIATRDVLLLKLRRFLAGRVNPGSRIERNLSCSAVQIPCNIERMENLEILSSVKASREGHELKDIRNLWQLRKLGVVIEDRDNHLMRLLRAISDLKECLQSLSITISSPTKTKRTRQPIDMSNWLRQTPQHLESLTINGVTQRVQLLESLAKGCDELVKVTLSGTLLKQENLMVLAVLPKLLYLRLRSNAYNGSKLTFNKEEFQQLKYFLVDGTNITETDIKFEDGATAELEKIILSSTNIRFLGGIGDKFLLCFFENAHQIAKVILRDTQLKKEDLQILAKKPNLRYLELLYKSYYESQLTFNEDEFPKLNHLTVECPSINSISFTNGSALKLEKIVWTFTEMKSLYGIGNVPKLKEIECIGDLVPHQVRKDIAAHKEQPVLTHKKPQQQGQGKEIITAEDDDDTGFPQISSFMKMKGLCLQG >DexiUA01G0000770.1:cds pep primary_assembly:Fonio_CM05836:UA:2518914:2519246:-1 gene:DexiUA01G0000770 transcript:DexiUA01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSWSSRSRGDAPWREEPPEEEDEMGERPTAVADACGQGGASGGAGVMRHRGRSLWRKKMRWGRAGGCCRYLWPGRSLRRKKMRWGREPAAAANACGHGLLCLVGDWC >Dexi9A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:9A:11173846:11176849:1 gene:Dexi9A01G0016230 transcript:Dexi9A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAPARAGLVPQNGILGSNSKPSAGFMLETARQVRPLSLRARGSVASSPRKLFSPKAAAAAAKSGDEVRIAVLGASGYTGAEIVRILANHPQFQIKVMTADRKAGEQFSSVFPHLTSQDLPRLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPRHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELHRDNIRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEVAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMKRGMQSTMYVELASGVTTNDLYEHLKSTYEGEEFVKLLHGSSAPHTSHVAGSNYCVMNVYEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP >Dexi3B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:3B:2405798:2409882:-1 gene:Dexi3B01G0003590 transcript:Dexi3B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGPSEAMARALLQRHQPFAPSPGEYHSFAAPAAPGEEMVEAIVLRTPLKRKRNREVNEAAESNDWMTSPGYANAGSSPIPTPPSGKGLKPSAKPKATKGQKPCPQTPLNFGSPGNPSTPAGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAESLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTLQDNNLDGRINDMRDRLRELTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDCPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMGTPPRPTPAISMDSLENPRTPLPAECSKAAGTEPNIQEGFTLPPDAPSSSQDIGGMMKIVPSELDTDADYWLLSDTGASITDMWESAPQVQWDGIEKFNAEDFLEVSTPRQQDKPAEVVDGPSRVS >Dexi1A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:1A:21797047:21801880:-1 gene:Dexi1A01G0014910 transcript:Dexi1A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGIVGWGVEADGSVQSWPLSLSTERGEQPSRAARFNARHVPLSHTASRFQSLESNTNRMCGPAAKAHSSVVFFRRRTSTSNAASPPEMFFIRVSDSDPTDRHRIVDGGGRISSSYKRIKNRSPQPDPIPCGYPPPILQFPTIIRRGRFQINQWPASPSSAESSTGEDEECSLNERHTKRINCSEGEKGAAAPVAAGDEGDVPPPKKMWRLPREEVHWILAQSNEPACAWFRDLKRANPSLVPSPEEEKDPSTVLLYTCARISYQEEEKFAKFQAWVRCEYASKGFVEVDYDYFGRRAEVIRRSNEVRDEVLKDYDLSSDDEDDYAGKLIKRTGRDGSDDACGLSRHHRTRPNIRQFGCAFLKRGVSLDSRACASARTPTPSNARLQAVELSLQHPSAFPASRPHIDEERGQHLSAQRPPITIPQRIGVSDSDSTDRHRIVGGGGQYLLLAIKGSIENHSLHQCPVLPFPTIIRRGEKSSDQPMAGGECQQMVFPVKRKAESSTGEDEDFSLNERATKRIDCSEGAKGAAAPVAAGDEGEVAPPKKMWRLPREEVHWILAQSNEPACAEFRDLKRANPSLVPSPEEKDPSTVLLYTCARISYEEEEKFAKFQAWVRCEYASKGFVEVDYDYFGRRAEVIRRSNEVRDEVFKDYDLSSDDEDDYAGKLIKRTARKRGMAATMLVAWHNITAPESLS >Dexi8A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:8A:8271659:8275504:1 gene:Dexi8A01G0007350 transcript:Dexi8A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSNKVVTFLLVVALMALVATAVPASRRSLGAALICSKSKNCNLDTCGATCVYGVWVCEKNGTSNTNNDIRSICSKSKNCNSDTCGATCINGVGVCKNNGGVPSCCCIPKPSASVSGPGNNQLPLTD >Dexi5B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:5B:2718967:2719755:-1 gene:Dexi5B01G0004030 transcript:Dexi5B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDEPAVAIEEHLRKEGEWVDEPAEAMDQAADEPEEVGEAMEQVEEEREEAGEAAEAMEQVEEEREEAAEVEEEREEAGETAEAMEQVQEEAAEAMEQVEEEAEEAGEPAEAVEQVGDEHEAEAEEGASLRPSLPVGRVKRIMRVDRDIKKVTNEATLLVAAATELFLGSLAAGAHTAAARRGRRTVRAVHVRAAARAHRPTADFLLDSLPAEEEAPRARPVAGSSGGGGGREAKPLPRGTRRIDAFFQKVT >Dexi9B01G0020990.1:cds pep primary_assembly:Fonio_CM05836:9B:15626736:15627435:-1 gene:Dexi9B01G0020990 transcript:Dexi9B01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKWSQEWEVIELGGTPTIGDCAIILRAAMRAPLPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLASKRSGNSALPQPTE >Dexi9B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:9B:2402277:2402755:-1 gene:Dexi9B01G0004160 transcript:Dexi9B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMSALSNWLVNPRRNPLARLHMHAVSSRLRKYGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRAMDLSMKHQYLPEDLQCPDLDLSMCRCVVVVLC >Dexi6A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:6A:25894695:25898267:1 gene:Dexi6A01G0018070 transcript:Dexi6A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQLLLLCLPVAVLWVLAAADVVAPAKNSGCPNTSWCGDVEVPYPYALDKECAIHSGFHLNCSTVGGTSMLLYTDAEVTKISVKENKAWLKTPISRQCYNQSTKRMTYNDTWVNTTGTPFVVSADDNKVTVLGCSNGYKGNPYIVGGCTEFGKEMLILSQINHRNIVKLLGCCLEVEVPMLVYEFVPNGTLFHLIHGNHRRHISLDTRVRVALESAEALAYLHSCASPPIVRGDVKSPNILLNGEFTAKVSDFGASILAPNDESQFVTLIQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRRRPFNLKGPEHEKSLSMVFLEAMKENKLEDIIDDGIKKDENLEFLDEIAELAKQCLEMCGADRPSMKEVADKLGSLRKVLQNPWADDKDTEVFDSLLGASSSVNSGVILSTGNSSITKKASMGLESGR >Dexi9B01G0033090.1:cds pep primary_assembly:Fonio_CM05836:9B:35287813:35291773:-1 gene:Dexi9B01G0033090 transcript:Dexi9B01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQEIQTSKQLSQRQQQQELPPAPEAAGAAVQEHTSQDQQVQGGGDGAAGGHHHHRGSSKKLTLLPLVFLIYFEVAGGPYGAEQAIRAAGPLFTLVGFLVFPFAWGVPESLVTAELSAALPGNGGFVRWADRAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGRAAIPSVAGAAIPSVAGAGKARTATVVAMTVLLSLVNYAGLSIVGWGAVALGLVSLAPFVLMTGIAAPKVRPWRWKVEVEGRRKDWRLFLNTLFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAASYLLPLMAATGATDAPPEAWTNGYLADAAGIIGGSWLKYWIEAGAVLSSIGMFEAQLSSGAFQLLGMADLGLLPSVFARRATRFRTPWVAIAASSAVALAVSFLGFDDVVATANFLYSLGTLLEFAAFLCLRARQPDLKRPYRVPLPLPALVAMCAVPSVFLAYVCAVAGWRVFALAGALTALGVGLHGAMKLCRSKDWLRFNTAVVAAAEDHRADASDGDRV >DexiUA01G0026770.1:cds pep primary_assembly:Fonio_CM05836:UA:57288489:57291019:1 gene:DexiUA01G0026770 transcript:DexiUA01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWFVFNSAASDVISYGVASGSTSLSTPNVLGKGYMSDRRQQGRLAIVSVVGPANFFIGRKSKTKETVVPDPDYRLPIAILGIAGASAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKVGDQLQESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDVMVERAGPSKTSGPK >Dexi2A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:2A:7478872:7481177:1 gene:Dexi2A01G0007570 transcript:Dexi2A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATQLPLPATSPPRRRARAQHAPPAAAPRPHPRALAAILRSRVIACLRAEDGETALRAAHAAVRGGVTVLPSFHPPLLLLISMPCVVSVGDCDVYTGSAGGGALFTFLLQVIEDLCRSYPSLTFGVGTVLNAADARKAIGAGAQFLMSPGTVMEILHDFEESKVLYIPGVMTPTEVLSACSAGAKVVKVYPVSVMGGDVYMSALKKPFPLVPMVASQGIQIGSIKGYVEAGASAVVLSDSIFDKELMRMGKFSEISEHASQATFEALQSIK >Dexi5B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:5B:8996955:8997176:1 gene:Dexi5B01G0012660 transcript:Dexi5B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCVHDSKRQLTVLTGDGERALAGSTPCPVARGEDELESGDAAGAPLPTVRRPRCDELPVAKSSRVEIRMG >DexiUA01G0023630.1:cds pep primary_assembly:Fonio_CM05836:UA:48304680:48306974:1 gene:DexiUA01G0023630 transcript:DexiUA01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVPIRQQRFCSTLQKHAYVGELRGDSSKIPILGGAGHQPSNGVGPTDRERIRLWPLGTSEPHEFTGVEHGHVTCTEKVADWAFSWIKADQLAKFERDLPKNDLWWYGRYGLRKPMSSITISNETIHGIVRRVKRRSSPFLTETQVKLRLGTVVGLGVKHPEKHWEPQYLSSEQFLFPASLPVLRKNQLTSPFFIDPGEREPSTRWCLTAPQAHARIPMYCVQDQNELAGGPLPSLASRLRALGGHFTSRVKEALLLGVRKALGVVTTHYQADLSKLAAGYVVADDLNDEEAVAAMEEADAAADGTARVLAGHFEGVLFPGEDGVGWDDLGGGGDP >Dexi4B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:4B:21612443:21613050:-1 gene:Dexi4B01G0019370 transcript:Dexi4B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVVGGGGRVLLAAVALVLATAAASAQAQSSEAHALRAFRAALQGPGGAPPGELSQWVTPTGGGPCAGDAPQWNRVKKCAGGRPAGRRAAPDLGLLTPLQGLRSLSLADNDLAGAFPDVSPLPALRSLFLSKNRLSGEISDGAFAALRGHRKLDLSNNGFTGPIPSSIATSSKLLDVNLSNNNFSGPVPE >Dexi9B01G0031290.1:cds pep primary_assembly:Fonio_CM05836:9B:33695052:33698526:-1 gene:Dexi9B01G0031290 transcript:Dexi9B01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPDINRQPCPDRILEDAGSAFAMGAVGGSIYHFAKGLYNSPNGHRLAGGGAAVRMSAPRVGGGFAVWGGLFSTFDCAMVYARSKEDPWNSIAAGAATGGLLSLRHGLLATGRSAVMGAALLALIEGAGIMINRVLADPPPAMDYLFQQPGGQVRPEDYYGQPHAPPEFLGVPAAPPVVVDEIPVVEPRPAGWLGGLFGRKNKQDKIAGGGDQSEAQEPIRVQEKKNNLVEPSPTMVGSKPRTHHPFHSTTVPHPSSPLPAVRPPVPSRPVPPHRQLRRPPRVPLDAEDRKKEEMATPETSREPCPDRILDDVGGAFGMGAVGGSLFHFLRGLYNSPKGHRLAGGATSARMLAPRLGGSFAVWGGLFSTFDCAFVYAREKEDPWNSIAAGAATGGFLAMRQGLLASGRSAIFGGALLALIEGAGIMLNRVVVPQPPEDPLLYPGQNPGMYAPPGFLGVPPAPPPIVVQEVPVADSGSTGWLGGLFGRKQKDKVAAGGDRKSEVLEMDLPPEAVPSFDYK >Dexi4B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:4B:16782328:16782570:-1 gene:Dexi4B01G0015310 transcript:Dexi4B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRPCGEDQHDGRRWPIAAPELRVAVDERDNEGDKLFFDSATRGRPDLARGHLWPATHSPSALRPCSFGCYASHRPP >Dexi4A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:4A:9076502:9077062:-1 gene:Dexi4A01G0011230 transcript:Dexi4A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGSEVASVVLPYLLPPAATATLGFRPHYYHANPTDDHFLFPCIGNNDDLLTLPYSCQPVFTDHAVLGFGLDDDEAVLVGERRQQQLAAEERRRRRTASNRESARRSRARKQRQLGQLWAQAAHLRGDNRDMLDRLNRSIRDCDRVLRDNARLGAERAALQRRLHELVAGADGDGSSRVLAIAAT >Dexi4B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:4B:19362938:19367083:1 gene:Dexi4B01G0017250 transcript:Dexi4B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKFPAGMRVLAVDDDRVSLMILEKQLKHCYYNVLSANNETKTVMKGINHGACDYLVKPVRLEQLRGIWTHVVRNSKNNPRIDISDSSDDADQKFQSEDGDKDEQDGENHTSNYSKKKKKDINGADEDKENRSTQKRQRVQWSGDLHRKFIEATNQIGMDKAVPKNILEVMNVDGLTRENVASHLQKYRIYLKKLIEGKVCDSNRKMNVSESSKRLPELDRYQSSPSFVGSPRSNSLSARMNSPPAFGAHTFLSTQSVQELSHRNSSIARQDMEQVGSGFNMSGASRCFHSVPSGSSFANISNGAVFKTSRPLSIGISSSSFANISNDSSPLGMNMRFPSPRSCSSYASMLRGKILGASRGIPFEDIADGEVLAPSGHLSSLKVPELVNQPSVQIPSSSCGLFNQVSREAHQFAGPSNSSVGMYKGPSQGNIIKINQLSRLAASSGQIPTFGNVYQNQIAGIIGQTAPMIDNSVMPAQMLNGAGASSENLPKGVTVTTNQQAVGDQMTFNSNKFLIDTSEAQNGASGDLDEVFADWINEDFFNNGDAFTGGEDWEFAP >DexiUA01G0019200.1:cds pep primary_assembly:Fonio_CM05836:UA:40129438:40132843:-1 gene:DexiUA01G0019200 transcript:DexiUA01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLLVGVAPRPPPAALRLLLRRRMASSGGARAFQLRLNPLTGDSEWLVVDEAEEAPAAPNHHKQLLAATSYLDMLNDAARNRAYRRAIDATVTDPNSRVLDIGAGTGLLSMMAARASAAVGGRGTVSACEAYLPMGKLTRRVLRANGMENKVKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDELLVKNPKTVPYRATTYGVLVESTFLWKMHDLHSSEANAEDGVWLTPGGKENILSVKLQQHAMQCDALEDEIRLMSERSSPTCIVADDSVFLALVVSSLSPSSKVIAMLPGLRDKGFNYLQAVADANNLSTDRIKVIGRKASSLTMSDLEHEKVNLIVGEPFYHGSEGMLPWQNLRFWFE >Dexi6B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:6B:24365928:24372413:1 gene:Dexi6B01G0017170 transcript:Dexi6B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFCPEKSTPPVRIELEQRRCICLQRSLYKPPTGKMLRSLKEIETYLEKNPDINQGVKISQFSFEIPAPLNKDYVIRRSKKSLSDRGSTMLLQLEGGNCNPSTWCQAPGMRGNQEDDNEDHPEVEQYEELQESDDEEPDSPPPV >Dexi3B01G0038040.1:cds pep primary_assembly:Fonio_CM05836:3B:40872578:40872886:1 gene:Dexi3B01G0038040 transcript:Dexi3B01G0038040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGSRKQGNQTIGSPRYIYATSGDIGKVPKGYVPMMLVDGEDDEQGQRILVQVKMLREPCMAALLEMAEQQFGHGQCGVLRIPCNATHFEHIVNGLMSKA >Dexi3B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:3B:2099206:2100658:1 gene:Dexi3B01G0003210 transcript:Dexi3B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLVFVLPIVLLGWAIQAILRPPPTKLCGSPGGPPLTSPRIKLRDGRYLAYREDGVQKDKARFKIITVHAFDSTKDFPLPVSKELVEELGIYLLAFDRAGYGESDPNPDRDVKSEALDIEELADQLELGQKFYVLGTSMGGYSVWGCLQLSGAALVVPIINYWWPSFPAELSRQAFKKLVVPEQRTLWLAHHVPSLLYLWMTQRWLPSSAAAMHHPEIFSKHDMEVLQKMMAMPRTIENKSRQQGTSESIHRDLLVAFGSWEFDPMNITNPFSQNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEVPEGGHMFMLVDGWTDRIIKALLVGEEPSDV >Dexi9A01G0048270.1:cds pep primary_assembly:Fonio_CM05836:9A:51094290:51094753:-1 gene:Dexi9A01G0048270 transcript:Dexi9A01G0048270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWSLLIVAWLPVLQVLLVGLLGALLASDRFNVLTSDARRNINKIVYIVFVPSLVFSSLASTVTLKDIISCSRMGVREGFQNE >Dexi2B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:2B:7651606:7654871:1 gene:Dexi2B01G0007310 transcript:Dexi2B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDADALQERLLPAAPPPETSGDADTGGADEKKGKKWEKTYLDVLGVCCSAEVALVDRLLSPIAGVRAVTVVVPSRTVVVEHDPSIASQSIIVKTLNKAGLEASLREYGSRSRGIVGRWPSPYILTSGVLLLVSFLSPLLPPLHWLALMAAFAGLPPVMLRAFASATTSFTLDINVLMLVAVAGAAALGEWTEAGAMVFLFTVAEWLETVACAKATAGMTSLMGMVPAMVVLAETGEVVGVRDVRVGDVVAVRAGEVVPVDGVVVHGMSEVDESSLTGESFPVAKRPMSEVWAGTMNLDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDTCSKYYTPAVVALAASVALVPLMLGARDMKRWFQLALVLLVSACPCALVLSTPVATFCALLRAARMGVLVKGGDILESLGGIRVAAFDKTGTITNGQFSIDGFHVVGDKIEMSQLLYWVSSIESKSSHPMATALVEYAQSKSIQPKPENVTEFRIYPGEGIYGEINGRHVYIGNRRIMARSSYQTVPVQEMDDQKGASIGYVICNNDLVGTFSLSDDCRTGAAAAIAELKSMGIKSVMLTGDSKSSAMRAQEQLGGAMEELHFELLPADKVDLVGDLKARDGPTMMVGDGMNDAPALAAADVGVAMGLSGSAAAMETSHATLMSGDVLRVPAAVSLGRRARRTIAVNVVFSVGTKAVVLALAMAWRPVLWAAVLADVGTCLLVVLHSMTLLRDPTGRKEEEVCRATAKSLAMRSELAGASNGGEDDGANGCHCCQKPSRSLKQEHEVVIDVPASCADHQEIQVPSVMAEGNAGGCCGAGKACGASMATSVASVPQQCCGREEEEEGSRVSVKTGCCSNGGGECDSPKKAGEGCRDARCCSGGR >Dexi2B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:2B:29560266:29564027:-1 gene:Dexi2B01G0019420 transcript:Dexi2B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERQRLFGTEKLGGRAVYRVHAATVLAGICLVLYHRATHVPAAGTGRAAWLGMLAAELWFAFYWVITQSVRWCPIRRRAFTDRLAARYGERLPCVDIFVCTADPHSEPPSLVMATVLSLMAYNYPPENLNVYLSDDGGSILTFYALWETATFAKHWLPFCRRYNIEPRSPAAYFTLSDRPIDSQALEEWSFVKGLYEEMTERIDSVVKSGEVPEQIRVNHKGFSEWNTGCTSKDHQPIVQILIDGKDIGAVDNEGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNSPIILNVDCDMYSNNNNSIREALCFFLDEEMGHKIAFVQYPQNYNNMTNNNIYGNSLNVINQVELRGLDSWGGPLYIGTGCFHRREALCGRSFNKDYKEDWNRGIKTQQHIDQTEEKAKSLATCTYEHNTQWGNEIGLKYGCPVEDVITGLAIHCRGWESVCNDPPRPAFIGVGPTTMAQTILQHKRWSEGNFSIFLSKYCPFFFAHGKTRLPHQMGYCIYGLWAPNSLPTLYYVVIPSLGLLKGTLLFPEIMSPWIIPFIYVSAVKNIYSLYEALLCGDTLKGWWNGQRMWMIKRITSYLYGVIDNIRKLLGLSKMGFAVSPKVSDEDESKRYEQEIMEFGTSSPEYVIIATVALLNLVCLVGGLGQILISGKNVLLNGFFLQIFLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASVGFVMLALVVPIF >Dexi1B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:1B:20924931:20927880:-1 gene:Dexi1B01G0014570 transcript:Dexi1B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGSAVALKASPSAAAMSRGLRGGANPLEEWKARVKGIEAEFRAWMAKQPIHIEAAVTTAVGAVQGAALGGLMGSLAPDGGSPFPMPQPPPNANPQAMASLKQAQALAGGPLVQARNFAVMTGANAGLSCVMRRIRGQEDIQGSMAAAFGSGALFSIVSGMGTPNPKFSKPSSEDTYYSRTRSMLHKLGLEKYEKNFKKGLLTDQTLPLLTDRDPELVQTK >Dexi9B01G0029310.1:cds pep primary_assembly:Fonio_CM05836:9B:31896168:31897104:1 gene:Dexi9B01G0029310 transcript:Dexi9B01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLLLPMTVAHKHGVVGERMWARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLALPPALLSASLRSGAADASSFASAALAQARAFDFRSSLVDLPAVSAARALLILCAYVVCGGGGAYLWVVVACAAGSVSYVLAKAAAVLPRRAAMQVAGEARAVAAAGPEAMMLLSLALAAAHLAAAYRTSCRERRRMLVYRIDVEGAGRIADLSA >Dexi1A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:1A:22469435:22476677:-1 gene:Dexi1A01G0015420 transcript:Dexi1A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYRPHALVIEVEARLEAAIMATNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRMARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEPNPYLTELLRLEEVAKQQGVGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRSSAPTVVAETDGTANGANGEDSEGAPAQLTTAQRLAASAASAEIPPDRYGREAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYPDGDTAKDLALELVENGLAKYVEWSANMLDVEVKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDGAPYGSPSAERRVNLSSIRAPKMGNARRDEKPDNFAREAKEFLRTRLIGKQVAVEMEYSRRINTVDGQNAAPTTNTADTRVLDYGSVFLGSPSQADGDDVSSAPNSASQPGVNVAELLLSRGFARISKHRDYEERSHYYDALLAAESRAEKAKKGVHSQKVSPVMHITDLTTVSAKKAKDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKGEPYSDEAIALMRRRILQRDVEIEVEAVDRTGTFIGSLWESKTNMGSVLLEAGLAKLSSFGLDRISDAHVLTRAEQSAKQQKLKNYVEGEETSNGSTPESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKEAPVIGAFNPAKGEIVLAQFSLDNSWNRAMIVNGPRAVESPNDKFEVFYIDYGNQEVVPYSRLRPVDPSVSSAPALAQLCSLAFIKVPALEDDFGQEAAEYLSECLLSSSKQYRAMIEDRDTSGGKSKGQGTGNVLIVTLVDAETESSINATMLEEGLARLERSKRWDTRERKTALQNLEQFQDKAKKERLRMWQYGDVESDEDEQAPAARKPGGRR >Dexi9A01G0029340.1:cds pep primary_assembly:Fonio_CM05836:9A:34176444:34179320:-1 gene:Dexi9A01G0029340 transcript:Dexi9A01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPPVSERRLAAVLLPLLLLAVTAAAAAGERRGLAARVEEGPPARHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLTRVRADADRVLIASSDVPRDWVRAMTEEDGMRVVVVENLRNPYENNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLHNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRENSDGADQGFLVGCYPDLLDKPMFHPPENGTKLNGTYRLPLGYQMDASYYFTRLAKPGMTKLCYNRRPEKQSALVQWLIKLAAIVAMVAAYSIPFFVIPRTVHPVMGWSMYLFGALALSVLVVNVFLLPPLDVLTPWLAIVGMLFVMAFPWYHDGVVRVLAIFAYAFCSAPFLWASLVRTTDSLQTMLERDPFFPRLGEPAQETEFSKLY >Dexi3B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:3B:5806191:5806531:-1 gene:Dexi3B01G0008220 transcript:Dexi3B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLYLLLVLFCSWEKPVLWGKRKEETRLVTPPDHYEDKSSKEKQQPNAKECEVKEPAPSDQQV >Dexi5B01G0036060.1:cds pep primary_assembly:Fonio_CM05836:5B:35910971:35916148:1 gene:Dexi5B01G0036060 transcript:Dexi5B01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAPPSAPSTAPRPHEAAEEAHDKRALGEGRLKRTGGSEIEGPQMPRKVSRKSFEENESNIDHMEHYKYVDRDIQAMEKNGETCQETVKKLSTGLLGKLGKMAQGVDDLLNTAASKCRPMSTEEKIELGKLIRKLPEEALNRVVEIITRRKQASQSSDRITMKLGELDDATLWRLYYHVEYVLNENKM >Dexi8A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:8A:21284043:21284550:1 gene:Dexi8A01G0012250 transcript:Dexi8A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPLFTVAFDLASIDDDYGAFLAGIRKSLGNPRHVSHNRPVLPPVEPGAPPRRWFHVLLRTLTLATRADNLYLAGFQSADGTRHGTFRWRRQGLIPGATPLGFSGTYRDLAGGTRQLANVALGRRQMADAVDTLAAHTTASGGDSHTRRSSNGDSPRTRS >Dexi1B01G0030740.1:cds pep primary_assembly:Fonio_CM05836:1B:34605309:34606553:1 gene:Dexi1B01G0030740 transcript:Dexi1B01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSTRTSLFDGIEEGGIRATSYSTHEIDEQENDRAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >Dexi3A01G0026570.1:cds pep primary_assembly:Fonio_CM05836:3A:22810943:22811287:1 gene:Dexi3A01G0026570 transcript:Dexi3A01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPSLELVSRRASKPSREWKAGPEPAPRAGEDAGARSLAGGADTGEHGEEQILMEIADAVFLHDAAAASVWAPRGRAVPVPTLASGRWRPSRAEATTTSPLLHGLGKGRGSG >Dexi4B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:4B:5868374:5868799:-1 gene:Dexi4B01G0008120 transcript:Dexi4B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVAGELLRRLERDEIPVEVAGAQLLKPPRRARLRRGCVADAWDSTPVGARSSSFYLPYCREKHGGDERLHGEGVAEEQLGDELLLLVVLLACGDNCS >Dexi7A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:7A:28052862:28054217:1 gene:Dexi7A01G0018730 transcript:Dexi7A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPFCLQVQAGSQPQRIGWKLTRTCCEYSPDTSRKRQAEYEQQPQNVDLPELQPKNKKKPFPVPIKKMLQDSRRDKRLAQMRIEKPLEAPKNGLLVPELVPVAYEVLDNWKVLTRGLSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWARGSINDVLIPIESYHLFDPFGRRVKHETRFDYDRIPAIVELCIQAGVNLPQYPSRRRTSPVRMIGKKVISRGEFVDEPKPHRSEDCVSLLAELDTFSNQQGQSQSPSNVKELADSTLKAYLSVRRGVEQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVIDEVIPPNYVWHVPDPSGPPPRSSLRSFYGKAPAVVELCVQAGAAIPDEYRPMMRTDIVIPDYEEARRAA >Dexi8A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:8A:16552598:16554273:1 gene:Dexi8A01G0010140 transcript:Dexi8A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKMEHIHMEHKGLLGGEFKEGICASIPKPPPSTSSRPNSMVVKKVCPREFIPPHIIAEAISTLHGLDLRWSGPITPSERQYVEQYVLAMYPQYSHGLIEDASCDKDDLYSTYYSGSSTTSPEAGGERRRTSPVGSPSAAAAARPDMVDMVRLEPSRLLDILTKKSSFTGSFISIPEIQAKNRVLSHCGLTDDEYLVLFAPTPRDAMMLVGESYPFFRSSYYMSILEEDSDCIRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHAPKGLFAYPAVSPAAVSSTASSPSSGGGAAQQPARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLGDTAVRSQQQQPVAASVTCLLVRRRSFGTYLHQQPQKQ >Dexi5B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:5B:11749277:11750899:1 gene:Dexi5B01G0014870 transcript:Dexi5B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGGGGVVGSAAMLGLDMHLAPQQMHPAAAFQQQQQAAGADHHHGGGFQLQQPVPVRHHQQHQQQPSSFSPYSNTSSSRVVVGGAAGVGGHDDEMVANGGVRQNPPQQPQMAAAACPWTRMKWTDDMVRYLISVVYNAGDDGDGVAAASGGGKPGRAGGSSAHGHAAAAQQQQKKGKWKSVSRAMMEREFTVSPQQCEDKFNDLNKRYKRVVDILGRGRACRVVENHALLDTLDDLTPKAKDEARKLLSSKHLFFREMCAYHNGGGAHAPPHAAAAGGDPGACLHHPPPGPAASSAAAARHSQHHHQAAPSPPGMMKDSSADDVDSDSDDALSNNGDDDAEDHYGDEDEDGHMYPVSNHQHNGGRRGRGEDSGGGAAGDDDAEDDPHAGAGGKRARAAAALVEQSALVQQLRSELANATAAAADPQQARCWVRRRAVEVEEQQVALESRAFELDQQRLKWERFRANKERDMERARLENDRLRIESRRMLLMLRHRDLELDMAEANSSSVEHHPGASPLGGHQHHQPIGSSPSTAGHPN >Dexi7B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:7B:23216458:23216790:1 gene:Dexi7B01G0017220 transcript:Dexi7B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCRVTSAAVAVAILAVAMAMCSTPTDATPPLTSWSGPGGCAGQTATVEYCGICSDLQYYEGQDLAQDYSATFYTDFGCAGTTYHTIGGFRGTQYCGDFGFRSVYIDC >Dexi3B01G0027200.1:cds pep primary_assembly:Fonio_CM05836:3B:22714474:22727983:1 gene:Dexi3B01G0027200 transcript:Dexi3B01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLPPPAGWRHGHYRYARMPPPASPEGEASPEDPEPEPERRPEVLAASASASLRVPDAARVFDELPRAHIVAVSRPDAGDITPMLLSYTIEVHYKQVRDPSPQMFRDVCFYQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDEDEADDVNVPLQSDENSIRNRNVPSSAVLPVIRPAIGRQNSISDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKERKKTCCSCGLFNCCKSNWQKVWVVLKPGFLALLEDPFDPKLLDIIIFDALPHMDINGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPDGWCYPHRFGSFAPPRGLLEDGSMVQWFIDGQAAFEAIASSIEEAKSEIYVLLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDSPEHKVVDSPPMIWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVIPHYKGRSKETNDEAEGKQYHDLDVDIRKPVLTSRTSCQDVPLLLPQELEPQEVSSEDLKLTDLEINHSDRLNKKSFNQPLLNRKAKLDSSHQDLPMRSFVDNLSSLEATSIRRFDSSKDDRNLMDKKWWEKQERGGQVDSVFDIGQVGPRATCRCQVVRSVGQWSAGTTQIEGSIHNAYLTLIEKAEHFVYIENQFFISGLPGDDTIKNRVLDALYRRILRADREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLFDVIGPKAHDYISFYGLRAHGKLHDGGPLVTSQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAQLRDKIGHTTIDLGVAQSTLETYQDGDLKGTDPMDRLRLVRGQIVSFPLDFMCQEDLRPYFSESEYYTSPQVFH >Dexi5A01G0039520.1:cds pep primary_assembly:Fonio_CM05836:5A:40110363:40111448:-1 gene:Dexi5A01G0039520 transcript:Dexi5A01G0039520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGEFAAEVSAVSNQPVKESSTLEAILKKPHVQYKLLDKHEYGNENLSRIEKECVEIDIKYEGFIARQQSQLHQIVNQEHRKLPEDLDYHSMRNLSIEAREKLSKVRPQTIGQAGRIGGVSPADMTVLLVWMESSRRMANHRRQQDQMRSAAVKVDDSSEEVAHASTA >Dexi7A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:7A:4912270:4917693:-1 gene:Dexi7A01G0001860 transcript:Dexi7A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLPGCPSAISRAPVASLPQSPFDTPDKTPGRPVSTCSSHFVSSTVRKQRAQPIEENQSMALSCMRCPAGAAAASSPRAAAAGPAPAAAISFTRCGFGRSAAAAAGCWRIQAVTPQGVKSPIDADVKNAVSPIAPPNVENGSPSEITLDEFEDLSALSKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKAYAELRNMVSKTLTCRIDKRENCSEKMDEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKQAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >Dexi9A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:9A:11654049:11656373:-1 gene:Dexi9A01G0016620 transcript:Dexi9A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCGWNHKKPIQTFRPYNIAHRGSNGELPEETEAAYLRAIEDGADFIETDVLASKDGHLICSHDVILDTTTDVANHSEFANRKRSYVVQGFNVTGWFVVDFTLKELKSLRLKQRRYEIITFEEFILIALYANRVVGIYPEIKNPIFINQHSYYEITSDSYLAFIRGYVVGIGPSKDSIVPPKDNYLGQPTDLVARAHALNLQVHPYTFRNENSFLHFDFHQDPYAEYEYWLNEIGVDGLFTYFTGSLHKYQEWTMTYPKKEKKQKHSCMRSLKLTC >Dexi1B01G0026820.1:cds pep primary_assembly:Fonio_CM05836:1B:31617658:31622243:-1 gene:Dexi1B01G0026820 transcript:Dexi1B01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRMELYHPNPADMLSYHKGQVLHGDIAVSVLWYGPFTQVQKTIVYDFILSLTMMPQAASPSVAKWWNTIDQQYLSKALQATPNAGGPKKTQVLLADQVSDDNCTMGKSLTLAQISSLAARAKPKKGGVALVFTAQDVTVEGFCMSQCGLHGSDAKSGTTYVWVGNSATQCPGQCAWPFHQPEYGPQGPPLTPPNGDVGVDGMIVNLASEFAGVVTNPFGDAYYQGSSEAPLEAATACPGQFGSGSYPGYAGNLRVDQSTGASYNANGAQGRKYLLPALFDPSTSACSTYRNGILVSCLLLSCPRDMGARCCPRIGSGNPRGQILIPSGALGEEGTQPAAGNLTRIVSGAVPGSNWTAQRARSHKFPGCNFCVVFSNMASRLLVMAVVLMSLARLSVGSRRLMDLYIPPASDQLTYHHGTVLSGDILVSILWYGKFTPSQKAIVSDFLLSLTTAPNAATPSVGQWWGTIDQMYLSTAAATTNGAGATPATRVILDTQVSDEAFSLGKSLTLAQIEELATHAGGKKGGITLVLTGEDVTVEGFCSSRCGKHGSAAEAPQSTYIWVGNSATQCPGQCAWPFAQPQYGPQGAPLVAPNGDVGMDGLVMVLATMVAGTVTNPYGDAFYQGPKDAPLEASSACPGVYGSGAYPGFPGNLLVDKTTGASYNANGVNGRKYLLPGLFNPATSTCSTLV >Dexi5A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:5A:24423800:24425262:-1 gene:Dexi5A01G0020600 transcript:Dexi5A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSNALRDGPSSGAQAFAAASRSSRAFSRAAAPFSPATSSLVTAAISSRGTTTPLSLVLGRSAIPARSDSMTAFILCSAYRGHASIGTPAATASSVEFHPQCDTNPPTARWLRISTCGAHSGTQSPTPLVALQSLSLGNLTTHRNLCPLVSSPVASSAVCSVDSVPPLPRHTYRTDTSGCSSSHRRQPCLAFSTPAEHGRTKGPTAKAGGYTPLSERSLNAARVWCSMASKWVEQIGATEWHAPRELHGLPEVTELAGGGPVELGDVEHAGKRHEVGRAEEVSRDAELHGDLERRRAEEVGDEHGDARRGAEEEVTEVRERAVDDGEDAGLDAGVGGEVVEGHLRENGGGDGGREGGERGGVLRRGEVGEGDERGRELVAVAVRHDAARELGHGQDVASAGAREEHDVRGSFHRRRRRGGLRPHGSVRASV >Dexi2A01G0030250.1:cds pep primary_assembly:Fonio_CM05836:2A:41229514:41230035:1 gene:Dexi2A01G0030250 transcript:Dexi2A01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDLGKGNNHERVACNMFEEISSSEFDECRSPNRVLEVTIHKMEIKWGRRNTTKSLSTQAAPKLPPSATPAPTASLTMTIAAPTTSYAAAATVTFGDTPVISPGSEHATM >Dexi1B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:1B:6390628:6394009:1 gene:Dexi1B01G0007760 transcript:Dexi1B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGGDYVSLGWHEKEDLKCVVSCLRANKQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFTNLHGLMMELVDVYKIRVPKFTVKMAVQYMRRTIQKRAKFDIMDLNVLKVQLLNLFSCYYQLAPKMFVPALFGHGLNDMFIQPHHCERIHQAYGSFFFEIINGLRSANTATCSSSADAPKIPNAKTSVVESLSERVNQLSIGIKDEIDLDILLDENCSLSEMDGNSVGSRLQDKSSRHNEESCSYTSSNRESWGRCSSLEAASDDESFSGDINDKQENVTVNALATPLRQSQRKPSEKAKQKKIRALWTKIKREKVEMGDNLSQRFRMCLGQSPRHRRNKSSGALTTS >Dexi1B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:1B:24529604:24529819:1 gene:Dexi1B01G0018300 transcript:Dexi1B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHLQTTDAGPGEGVGGFYRQQVWRPPWLAILLAPPLIFAGHHWECHEFLQSCSFLVCTVDKNMTTKKS >Dexi9A01G0031060.1:cds pep primary_assembly:Fonio_CM05836:9A:35980771:35984290:1 gene:Dexi9A01G0031060 transcript:Dexi9A01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNTPLHFAAAKGHLDIVTMLLEKGADVNARNYCGQVTRADYLSGRTALHFAAHDGFVRCVRLLLADFVPSVAFEDISSSVVDGGDCQTNNGSSPNSSLGQKFNEPARVRYINKPADGGVTALHMAALNGHLDCMQLLIDLGANVSAVTFPYGTTANLIGKCWFLAQNNTIFLNYKCGFNNTLVEQELALLIAKGASRLTLNCNGWLPIDVARIFGRHSLEPLLSPNSRSSVPVFQPSSYLALPLMSILNIAREFGLQHTVPAADDSDLCSVCLERSCSVAADGCRHEFCIKCALYLCSTSNIRVEFTGPPGSIPCPLCRNGIMSFHKLPSTPMEGLKSSSALTFCNPCILNTRSMDSPATVSKAEIRRNRVAAVSSELVCPITCSPFPSSALPTCRCSDDDPFGDTEAQDGSEVQSPRPSHSASMELDKRGGEDLDRTSCSGMFWSRRSCHREQQCDAEINA >Dexi7A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:7A:27202126:27202453:-1 gene:Dexi7A01G0017560 transcript:Dexi7A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTAHKPLLPCDSLLSYPAPSSSIRQIQPIGAMALRNLTSKVGIPALRRASGPLVRHLTSGSYQVRVGSSTTKPQLYERAS >Dexi2A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:2A:9823477:9828699:1 gene:Dexi2A01G0009330 transcript:Dexi2A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNGGCRQCRGRSATGSGTATIISRRIDSDIIAKATQMKIIMQYGVGLEGVDINAATEHKIKVARIPGSTTGNAVSCAEMAIYLAMGVLRKQKEMDTAVNQKDLGVPVGETLFGKTILILGFGAIGVEVAKRLRPFGVKVLATKRNWSSDTLPCDVDEMVDKKGGPENMYEFAGEADIVITCMALNNETVGIVGHKFISSMKKRSYLINIARGRLLDYNAVFDHLKSGHLAGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKSVGETALQLHSGEPFTEIEFVN >Dexi2A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:2A:25496648:25497200:-1 gene:Dexi2A01G0015010 transcript:Dexi2A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEALVAIAVAKMVAQKIADFTIPPLKVYCCSIFCSFRDDLRDLEKELLCLEAHLEEKDKWLFGIDVAEDAQTMLAQSWHRDAKQLAFEIEDTIDEFVCSEELYHDSTCAHKNNVP >Dexi5A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:5A:872345:873753:-1 gene:Dexi5A01G0001300 transcript:Dexi5A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQKQASWRLLSHAPEAAVYGGGGAARKQQQHAEAAPAPRPVTLATLRGKHRRGEPITMVTAYDYSSAVHVDAAGIDLILVGDSAAMVAHGHDNTLPISLDLMLEHCRAVVRGAPRPLIVGDLPFGSYESSPAQAVESAVRLVKEGGVDVVKMEGGAPSRVSAAKAIVEAGVAVMGHVGLTPQAISVLGGFRAQGKTVDSALKVVEAALALQEVGCFAVVLECVPAPVAAAATQALQIPTIGIGAGHLCSGQVLVYHDLLGMFQNPEHSKVAPKFCKQFANVGAVINKALTEYRGEVEARSFPDAIYTPYKMSSADADAFANVLQQMGFNGAAAAAAAAADSAENLIDNRKPQEKKTNGVLSAGAAV >Dexi5B01G0035390.1:cds pep primary_assembly:Fonio_CM05836:5B:35378043:35378808:1 gene:Dexi5B01G0035390 transcript:Dexi5B01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNVGPEAELRDRIKVVDEFVYSRICARSEELTINNNSAQPGLVTMTRQDMLSRFMEAASTTDDGGSAETTTTKTTTVDYKYLRDIVLSIVIAGKDTTLEALAWFFYMACKHPNVQERVWQEAGEATGVGEEDVSVDEFARSLTDEALVRMHYLYAALAETLRLYPPLPLWHGMGMSHRNN >Dexi8A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:8A:8803584:8803898:-1 gene:Dexi8A01G0007760 transcript:Dexi8A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETGVVGGAGDGDEGGVVEGVLVQLFNTGMVLLSKVSIGGGMFVLALLAYRSLFGAAIILPLALILESKPLINSH >Dexi1A01G0030680.1:cds pep primary_assembly:Fonio_CM05836:1A:35877023:35879518:-1 gene:Dexi1A01G0030680 transcript:Dexi1A01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLRPTGWPPAASAQRGFPATVAPEWGLRRCHVAPRPSTRRAPSMVAPAVASSLGVGAGQLRDGAERGWLWDCRGGGATRDYAREMEVAVRAVQVACTLCQRVQDSLLRPVAGAGAGRVHSKLDRSPVTVADWGVQAVVSWLLSNSFHDENLSIVAEEDDETLSSSDGAALLASVVEAVNGCLAEAPKYGLRSPEKELRAHDVIQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVILGVLGCPNYPMKKEWLNYHQKYYRLMSKVAPPPLGSWHKGCVMYAQKGCGQAWMQPLVHDFGKLDWRHPREIQVSSISDPISATFCEPVEKANSSHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGMVTDAGGRQLDFSRGVYLEGLDRGIIACSGALLHQRILDAVDASWNSSTL >Dexi5A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:5A:22534331:22536967:-1 gene:Dexi5A01G0019060 transcript:Dexi5A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAFLGRPLACLPAPAVTVDGTLSAADGGEAAAADGVDRISALPDDLRRRIVSRLPIKDAVRTTTLSTRWRRVWHSTPLVLYDSHLDPGDPATRAVAAVDRVLEGHDPGPFHTVHLALIFFDEHERELGQWSRLLADRGVRDLALVSLLGGAWGRFPDTADLTVGTGVFPHLRELAIVYTFFEDCDLDHMLASSPVLEKLALFVNFGKAKHVRLHGQKLQCVLVWEIAAFEVVVVDAPRLERLIMWGMSGPSKGDGSLMEVKIAEGVSALKSARADEPTGYDYLEFFKELSPIECFQSHIKMVVLQEIYGDLSEVTFIKYMTQRANELKKMRLVFSDERRATVGEMKYILQTLAIPPWASETCTVSLMAPKNEAGLDFHRTSDLYVEDPFLEHGQELFHFIKEGE >Dexi1B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:1B:6940342:6942119:-1 gene:Dexi1B01G0008280 transcript:Dexi1B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVKSAPPGDESSETSTSAPARPTRPTQRRLKIEGYDVEGISVAGDETCVIFPTLNLAFDIGRCPPLAVSQDHLFVSHAHADHIGDLHKYVGKRRKKGMRPPTVFVPASIADLVRMLFDVHRAMDQSGFEHKLVPLEVGEEYELGKGLRVRAFKTYHVVPSQGYVIYKVKQKLKDEYAGLPGKELSSLRKSGVELTNTVSTPEIAFTGDTMSDFILDPDNADVLKAKILVVESTYIDDKKSIEDARKRGHTHLSEIASVSDKLENKAILLNHFSNRYTAEDIDAAINRLPTHFRRRVYALKEGF >Dexi3B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:3B:14869641:14873032:-1 gene:Dexi3B01G0019860 transcript:Dexi3B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEPSSPPPPPRRPRTGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLASRHSNLCKKVFPNRDKECAFCLLERQIARLLRADAGALDSPAKIIRCMPLFAEHFRWGRQEDAHEFLRYVVDACHTTGLRMRKRLTAAVANGNRGDEEGRGQQSVCMVMRETFGGSLLSQVKCLVCKGESNKTDEIMDFSLDLPGSSSVADALARFFQPEILEGANKYSCERCKKLTSARKQMFILRAPKVLVIQLKRFEGINGGKINRNIEFKESLVLSDFMFNKNQDLQPAYNLFGCIVHSGLSPESGHYYAYVKDAIGQWFCCNDSHVSLSSSQNVLSEKVYILFYILNSKNQKPSTNGYSSTAAKPFSTNGIGISTTSSSETLKIPLVKQNGSCSTKGNALLPLKNGKIASGPLIKPIHFKNSVTEKVRSNGKENLPSKLNPEVNESATSSESNELKTGKFVGPSKRSANGTVSSGKIDAHSERILQDANGNDHLIHSQCLGEANNGNATCDQQYSERSSSAVASKSPVIHQEESANSVKDVHLKRQLEEDKFKEVH >Dexi8B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:8B:27826053:27826958:-1 gene:Dexi8B01G0016690 transcript:Dexi8B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGSLRELRVLRINCFVPLSPQSQIDMVESLRNLEKIEHLSAVLRWDLSCDTSAWEAAGFLLSERLRQLCLGEISFTRLPSSCINPSRLGYLSHLSLKLDAIDEQELTILGLLPELRFLDLSLKSPTEMECNTTTDAAGDGGRLLFQKLRSFSLNCRNDFCLLLSMDDDSIGFSVCITNVYASLLPGSEWEGVCSRGGLMPTLMPHVQVLSFDVPILLCNKANENWGDGGNCRLCLEDLASLQNIRVYLNCIRANVAEVEEVDAALGGAANVHPNCPKLDMRRINQYFMISAAQDQEVG >DexiUA01G0004050.1:cds pep primary_assembly:Fonio_CM05836:UA:8049018:8049244:-1 gene:DexiUA01G0004050 transcript:DexiUA01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRRQTAPIYDSYHAEEIDVPLLVLVLVPHEISLLIPPHEMAGAKNVAVSYAGPARKIMRDD >Dexi5B01G0024870.1:cds pep primary_assembly:Fonio_CM05836:5B:26991685:26992094:1 gene:Dexi5B01G0024870 transcript:Dexi5B01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTIMVENDSAVTAMLIMGRSRKILTEVQDYDYGGANSRHDPRRRPGNGGRNG >Dexi4A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:4A:3796810:3797562:1 gene:Dexi4A01G0005280 transcript:Dexi4A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSFTTSSRSAAAKKCGDDAGKKCVDAAGKEARREGEETAAAAKQTKARADPEADLGIVFSTFDHDGDGFITGFELEESLRRLGIAVSADEAAAMVARVDANSDGLIDIHEFRELYDSIPKKRSHHHRPSGDLGAAREVPVEGAEEGDGEEEEDAGEEEDERDLREAFDVFDGNKDGLISAEELGTVLGSLGLRRAGDGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >Dexi9B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:9B:11976063:11976514:1 gene:Dexi9B01G0017180 transcript:Dexi9B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGGEAATDSIKLQSPLLGVTSSRPATTSGDGGGHEVSRQQLETILSDESLPWSRRMAAATAVELRLLTQLAAPAVVVYMMNNLMSMSTQIFSGHLGTLELAAASLGRTASRSSPSDSWK >Dexi3A01G0025690.1:cds pep primary_assembly:Fonio_CM05836:3A:21428402:21434209:1 gene:Dexi3A01G0025690 transcript:Dexi3A01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTTPRILLSPTSKDLLTATAFPSPPSPTSDDPASPLDAFASDPVLSAFLSTSFSPSEFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSHLQLLSSHLSLLSSHLSAPRSHLALSSASLSSLLATADLLLHSHRLVRLSSRLLASSPAPDLARQAELHREIRLLYEEKNLSGINAVDEEMRKVDAAASKLRLEASAVIDRGVAESNQNDVWCGLQVYYNLGELKPAVEGLVGKYKAAGAKSVAVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRAVSAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQMKSTFTASSFVKEIFTLGYPRLFSMVENLLERISRDTDVKGTLPALTPEGKDHMISAIEIFQRAFLALCHSRLSDYINSIFPMSNRGSIPSKDQISRLVSRIQEEIEVVRTHGHLLVLVLREIGKILLLLAQRAEYQISTGPEARQVTGTATAAQLKNFALCLHLQEVHTRISSVLSTLPNAASEVLSPSLGVIYGVACDSVTSLFQAMLDRLESCILKMHEQDFAGHGMDAAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPASVILHHLYSRGPDELQSPLQRNMLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSQATT >Dexi2B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:2B:417302:418297:1 gene:Dexi2B01G0000850 transcript:Dexi2B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASIVMSPPAAALLVVLLAAASATSCTAQQLQTFITVGATVHLFFHDCFVDGCDASVIVASTPNNTAEKDHPVNLSLAGDGFDTVIRAKAAVDAVPRCRNRVSCADVLAMATRDAIALAGGPSYAVELGRLDGLTSSANSVNGKLAPPSFDLDQLTTLFAGNGLSQTDMIALSAGHTVGFAHCGTFAGRIRGSSAPDTTMNASLAAKLREWCPAGVDPRIAVTMDVVTPRVFDNQYYKNLQVGMGLLASDQLLYTDPRSRPTVDEWPKSSDAFSKAFVAAITKMGRIGVKTGAQGNIRRNCAVLN >Dexi8A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:8A:8122902:8124044:-1 gene:Dexi8A01G0007290 transcript:Dexi8A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSIIFPGPPRLPAVKMACYARQQTTVAAPLSSTLEDVKRTEPIVKMCGITSAKDAETAAKAGAKLIGMILWPNSERSVPLSGAKEISRVAKSYGADPVGVFVDDDIETILRASDSCDLELIQLHGDSSRELLPVLWKNNRIIYVLNADDNGNLINAPPSEEFILDWFLVDSAKGGRYRVLPTAVHGKGFNRKKFRMPSVKSKHGWLLEGGLHADNVCEAASLLRPNGLDVSSGICCLDGLQKDPQRVYAFMSSVKSLS >Dexi5A01G0025350.1:cds pep primary_assembly:Fonio_CM05836:5A:29241050:29243532:1 gene:Dexi5A01G0025350 transcript:Dexi5A01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGLDRVLECFSLSLCANACACVHSVEEDEDEANERIALVSSQLQELVKLRDFVDGAAKTLAFHLEPKTVELKVSMHCYGCAKKVQKHISKMDGVTSFEVDLEKKKVVVMGDITPYEVLESVSKVMKFAELWVAPDPKQQQEGERNQRL >Dexi3A01G0027780.1:cds pep primary_assembly:Fonio_CM05836:3A:27489850:27490608:-1 gene:Dexi3A01G0027780 transcript:Dexi3A01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSPSLFFAVVSMLVLLPSPSLSGDPDLLQDICVADLTSSVKVNGFACKATATEDDFYFKGLAGPGNTNNTYGSVVTGANVEKVPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGTLDVGFITTGNKLIAKTITVGDVFVFPRGLVHFQKNNGDAPAAVISAFNSQLPGTQSLAMTLFAATPDVPNNVLTKAFQVGTKEVEKIKSRLAPKKS >Dexi1B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:1B:2410367:2410937:1 gene:Dexi1B01G0002920 transcript:Dexi1B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIRMKGSNGGVSGLYVMAIWLAILFGCLSLSAHCNSQPPLKKVGRIGGVYLTGNASNTSAYHTEIECPSNVVDDDESKLTIIFCTVKCYCHDIHGDAICYCCQKSPGPICYDKLADCQANCPICNPECPPVPPLGSSSLHE >Dexi2B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:2B:3109054:3109439:-1 gene:Dexi2B01G0003530 transcript:Dexi2B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPDSFMATMDSALPDSEDLQFVPDSEPFEAVPESQPVELLPVAKPVEVLPVNKPGQLDLGPFLCRCCGVFHHNREEWNLAHVFRPCSRCGVVNKEHKFASGLHGEQEWDCKHDCVAKPSFMLK >Dexi7B01G0023720.1:cds pep primary_assembly:Fonio_CM05836:7B:28238629:28243363:1 gene:Dexi7B01G0023720 transcript:Dexi7B01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRRPHLTAASPPTSAGEPPAAGAAATSAPVSLEEHDRIYFQSYSHIGIHEAMIKDRVRTDAYHSAIMHHQKFIEGKVVLDVGCGTGILSVFCARAGAKRVYAVEASEIATQKQSGIMPYCLLTQACEIVKANNLTDQVVVIHGRVEDVDLEEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWCDVYGINMSALVPLAKKFTSEEPSIETIGGENVISWPSVVKHIDCYNFTTEEFKSITAKYKVSSMMLAPIHGFGFWFEVEFNGPQESSPNIPSDLNPLEIVQKKRRRSSEDTVLLSTAPEDEPTHWQQTILYFPDPIEVKQDQTVEGSVTVSQSEENPRFLNIHLECS >Dexi8A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:8A:1204506:1206142:-1 gene:Dexi8A01G0001760 transcript:Dexi8A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVLNNPRLKALIEEEKHKAFANEVVAKLTHVCWDRCVTGSIGSSFSRSETSCLSNCAKRFAEVKMMIMQRYTE >Dexi3A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:3A:16224540:16227697:1 gene:Dexi3A01G0020420 transcript:Dexi3A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRLGPAMMGTKQQGSKGGLPMWKQVSISDALLTNEILVMRRIVENVSPHPNVIGLHDVYEDANGVHLILELCSGGELFDRIVGRDRYSEFDAAAVVRQIARGLEALHKANIIHRDLKPENCLFSDKKEDSTLKIMDFGLSSVEDFSDPIVTLFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQLLRHPWVIGDCAKQDLMDAEVVSKLQRFNARRKLRAAAIASVLSSKVALRTKRLRNLLGTHDLSSEELDNLRSHFAQICADGENATLAEFEQVLKAMKMDQLIPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEDCLPGDITEPGKLDEIFDEMDANSDGKVTFDEFKAAMQKDSSLQDVVLSSLRPAQ >Dexi9A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:9A:2804742:2805440:1 gene:Dexi9A01G0005100 transcript:Dexi9A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASWSRYGAVPTSPPPPPGKPEDVVAVADGEAAAAGAPSSSSPAATAAEAGVAFFSRARAYAGAAAGRPRSWREVLDPTAFSRPDTCGEARARARRNLAYFRANYALAVLVLVFLGLLYRPVSMLVFLALFVAWLGLYFGRGDAEPLVCLRREVDDRVVLAVLSAATVLAVALTRAGLNLLVSLVVAAAVIGVHAAFRVNYYLDERDAFDVSGASFTDSGYGGYSLPR >Dexi1A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:1A:595624:596527:-1 gene:Dexi1A01G0000920 transcript:Dexi1A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGCRETCGNLTIPYPFGIGPGCYSSQGFDVSCEDNRTFMHNSSSRMEIYNISLLGGQQSVDQSGQCSGMGCCQTSIAPNLTSFNISFDNRYDNSGVLGFNPCSYAFVAEQDWFWFEASYLGGNKFTEKFKDGVPAVFDWVAGNQPCDAAVKNRSSYACISKNSQCVNSPNATGYLCNCTDGFEGNPYLEDGYR >Dexi5B01G0038920.1:cds pep primary_assembly:Fonio_CM05836:5B:37974957:37975514:1 gene:Dexi5B01G0038920 transcript:Dexi5B01G0038920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAWRPASVSRNLPKSRTRATNPHPLLRFAFSGSATTSSPGGYFRASELSFPPTSYCSYRTPILAAGTPKQSIAIADTPSGAICVSDAPGRRKKNLVRSAPCTPSSASQRLTDAYHTDSGTPLAVSRMSTSNPIDDASAATAAWFSITRVPLRDTIPSLGLLLTFVSGTGAGCFAS >Dexi1A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:1A:25965539:25969506:-1 gene:Dexi1A01G0018780 transcript:Dexi1A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALALSGILDLESHRSGGSFYASLPRSESARGSSSPAKTLALPPPRRPPPSASKMKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRGSLWDAGVAVVVGAVGVGDVELATLLEIALRTSLH >Dexi1A01G0029030.1:cds pep primary_assembly:Fonio_CM05836:1A:34570252:34571415:1 gene:Dexi1A01G0029030 transcript:Dexi1A01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSHLLSAVRAASPLPAASSLRRLPLYSSAAAAATTTMPAAQFSVEDYLANSCGLTRAQARMASGYLPDLKSPSNPDAVRGYLAGMGIGQRDVAAALSRNPRFLCSSVDETLAPRIAELRGVGLSTRQISRLITAVPDIFVAPGWIPRIAFYLSLLGSYRKLHTALRKSKYLLSRDLKCVVKPNVAFLLKSGLTHSDIAKVVVFHSHMLTLKPRRLMEIMGLADMLGVRPNSVKLKHFLASVLNISPGEFRGRLDFLKKALGCNKTEPRIVVLELPKILYLSEDRLSCVIDFLKTEVGLETAYIVRRPLLLKYSMTRYLMPRHYVLKALKANGLEKDVGFYTAVCLSKKKFIKRFLDPYMESVPGLADAYAAAYGGQDPHEIQP >Dexi2A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:2A:1401791:1402107:-1 gene:Dexi2A01G0001900 transcript:Dexi2A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFIVAAVGGAILQDIVSRTISFALGKRKEWASHGEYLQRVRKAVQEVEFMLERTAKLPITDVSLLRQKIELKRGRVSAQQQAQEAARDIASGSTFLLP >Dexi5A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:5A:6772878:6777678:1 gene:Dexi5A01G0009020 transcript:Dexi5A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPHQRARKETCGDKTMGMGGGSAKEAEEELEPGGGCWAERAATVEAPGPARGGEREETRKSPRDRAQATHYSRAMATDELRSPLIPPRPRRVISVTAALSVAATLTFLLLLVAGFEPFSGTSCRTSPGSSPPDPVGVELTLLAAAHDKGAVCLDGSPAGYHLQTGTGAGSRSWVIHLQGGGWCDTVRSCSDRTMTYLGSSKFMQKLVNFSGFLSNDPVLNPDFYSWNRVLVRYCDGASFSGDSQHEDGNGTLFFRGQRIWEAVLDELMGKGLAHSEQALLTGCSAGGLATLLHCNDFRARFPQEVTVKCFPDAGFFVDAKDLSGQRSMRSTYDGVVHLQNVTQVLPKDCLLANKDPTEVQYVVAPDRSSPDESWRRCRTDIGSCNSSQIQVLNEFRKTMVDGLNAAQDNMNCSWFIDSCFTHCQSVFDNSQWNPQLAPRLGNKTLVQAVGDWYFGRSRSQVVREIGCEYPCNPTCNFN >Dexi6A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:6A:27204794:27207831:1 gene:Dexi6A01G0019590 transcript:Dexi6A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAATRAAVGVGLCRRITASASSPPQQAAGGGRRRGERERELPFALAERGMVVGGHRGMGMNAVGAPPGARVGAARERENTLLSLGRAAALAAVSFVEFDVQVTKDGCPVIFHDDFILTQGPAAVYERRVTDLLLEEFLSYGSQKESRKVSKPLLRRTGDGRVLNWSTEEDDSLCTLQEVFECVLFLTEGGTSKHHDSRRNSLNDAIRVCLEYDLHGIVSEVRGVLKSPVAVARSQESNLALLTYGHLNNVWEAVYVQYLMGINGVIVDEVEEISNAVAGFSKPELGQGSAGADRAIHQAFSQQQLGFLLRLIPELIEQQH >Dexi2A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:2A:5931216:5932316:-1 gene:Dexi2A01G0006310 transcript:Dexi2A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHMDKAAGAPATTRSKGLSLLVAAVRNHKDIYRVDLKLIDAASGALVGKMDGQRIGKLLATGGLICHVPTHRTTLRVLNPATGSVNHVPAGTTTTTKNTVGHDAARQISSSSYVLGQIPNTIEYKVLRIYTPDRDYRHTQSCEILTLDGSGDYIWRPAQSPLLPVDTSIARHGAVAQGFVHFFMALHGLSISRVGKYNGIASFDLAKEEWKPSLLPTPITVDERNCNHDSLSLVELNGCLVFVYHDYLNFCINLWMLEDLAKGKWLKMECIQFGSVMLGWREPDKSLPVTLRAIQVRWPGEIFALPLMVLDDGCIVFWVQHPYGAVRVFDPKTRGYKNVVNMGKICNIFGKYKTGQVGFTL >Dexi9B01G0027290.1:cds pep primary_assembly:Fonio_CM05836:9B:29782681:29783924:-1 gene:Dexi9B01G0027290 transcript:Dexi9B01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWDKIHEKEDIAIWQKKANSHSCHQMDGHASKTCKVENADDVWYKKMESCIIPPGEAAQLKKFPERLFAIPPRILEGHDPGVTEEDYEEDNKLWKKHVDTYKRVNKLIGSSRYRNIMDMNAGLGSFAARLDSPRSWVMNVVPTISERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHGYGVAWKIFFWRWIGFYDLRVQSYCGTVLMY >Dexi6B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:6B:26575435:26578780:-1 gene:Dexi6B01G0020010 transcript:Dexi6B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLVETQHDLLNLAVSGSMDKQEPKADVEQKIGLTEKPNEQPVPSKDEKATVPPISVDSNTIDLPSEGQTQAGTSNIDGDHSSAYPNNFYASQAQPFYYQGSGYENPQQEWDTYPPYMSAEGLEVGPPVVYNEDPSLMFHGGYGYDPYAHYSPITTPVPTAVSGDGQLYPPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGETMMPIDPTQGGFIADTLSPNSFLFGPRPEWFRSSEGTGSFPSPVASPQPAGGAPGAFGQSNFPLASGMPSPHQKPFYGFGAPTDSYGRGFSHGGMFPQASNYGGSFPGFGLNGRSSIPIEKGRRRGRGNALICSCNGPLDFLNEQSRGPRATKPKKQPEADIKDEKPSTGAGRELYNRPDFVTEYTKARFFIIKSYSEDNVHKSVKYGVWASTANGNKKLDSAYREAMEKEERCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKNHDDDASILDDFDFYEEREKALQENKARLHQQHVSSSIAVEPKKPLTVPSELVGHITKSFAQAVKLGEAKTTSPSSAEKVSGSDSSVPVKPVEVKQTGLS >Dexi2B01G0030210.1:cds pep primary_assembly:Fonio_CM05836:2B:38447069:38451469:1 gene:Dexi2B01G0030210 transcript:Dexi2B01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQISLQPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKKELDSTTESLPKPERYRLYRELRSCLDITEPKDYSSPEEMVQRLTSASTALKRMLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTIVSVWSMALKDADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEVCCPSGSELAPSTFEAFVKVPSVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEVRRFYSSK >DexiUA01G0010000.1:cds pep primary_assembly:Fonio_CM05836:UA:19644450:19645756:-1 gene:DexiUA01G0010000 transcript:DexiUA01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDISRLLSNLECDMTVQTGILKQYAKIHDAVKDFVQEKAKTIHEKQEVQRLWEELSNKNKESSFEMENLRGMNQLLSRENRQLSAKIEKLSHENKELNLKLKEKLVETAALKRGSVLTCHTQGVQTRSMFNQKVQMCIKGAAANSEPKEKLFDQVLTNRIIAEDSERRREISEIRKKLVEVFRDIDHYRQNIRIKMMGEINCKPFLDAALGEHPSDIAKDEAVKNCSVWQQKIQDPAWHPYKRITEDGPSEEILNNEDETLKELKACGEEIYDAVTEALKDMNEYNRSGRSVVPELWNYKEGRKATVLE >Dexi2B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:2B:8129512:8133469:1 gene:Dexi2B01G0007940 transcript:Dexi2B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPRLAAPPSPAVARSARPAPPTMARPPRAPYFSSSSWNRWRCSPRWPSATAADAPRGGPLPELEEPDHLILAALRAARIRDEESRRPDPLFIDPYAAVLSHDVARHDMDYLVSHSVPCQDHYRLTTRYIDDKLQNLISNSEDIRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPGKVFSTATQQLRGSGAKVSRNCVLLHTPLESPDLQENLSKNGFNGNRLSLWILQGLPLPTTTSLENLLLVISSLAMKGSMFVGELPHLPDRPSSMDTGLEQDNLEKLFFIRGFRVSFVQYDDVAKDIGLDIAAPWQQHGRVLFVAEQLRFSDAQMDSFRTHFERIEEDADEEGFEEL >Dexi8A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:8A:6083814:6084140:1 gene:Dexi8A01G0006090 transcript:Dexi8A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGIEHMGVDYMPLAFVSEAGLTAHWWDTILNSTGDWGFHYFSSPLWAVKQGFPAPAGNSLGQCPGDVASRLCRSKLSSCLQENGGYRCYSDKGYQGNPYIVD >Dexi1B01G0023230.1:cds pep primary_assembly:Fonio_CM05836:1B:28889579:28890493:1 gene:Dexi1B01G0023230 transcript:Dexi1B01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAMDFFSLSRRELQALCKRNGVRANMTNAAMAEALQGLTSVDGIDEIGTTLCLPTPGRSALKSAAKMAEPDREEQQHGSPLPRGRRVSVKSPEAIRMDFEEGEDEAKRDMVKEIVRTPGVALRSTSRRARATPAPLPTPMTVSSARGATRRTATRKVEEVAPTPATLRRSQRAASRKAAAPVEAEQPFQDVSAVKRPTRSARSKVTMALDQEEEVAAAASKVVEKVQQEEPDGEKLSP >Dexi6B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:6B:14757627:14757952:-1 gene:Dexi6B01G0009730 transcript:Dexi6B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPQGVSFADMLRKISKNFSVRDLVEEYCICGVFSIRSSWGVKASAVEGIGGIPVPDFTQSFGLKDSLVEDDEAEVRANRILGKETPKENRESQRLLSPQRPV >Dexi3B01G0024880.1:cds pep primary_assembly:Fonio_CM05836:3B:19576165:19577140:-1 gene:Dexi3B01G0024880 transcript:Dexi3B01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGTGGDNNLHSANGASEEETVPLVTRDVGHRNCCQPDMNARWLKSSLVVKYPGGATRMRLGMTMVVAAVLCFTACLVLVHPHGVGVLAAPVKRYLSSDSAS >Dexi2B01G0025070.1:cds pep primary_assembly:Fonio_CM05836:2B:34320951:34322916:-1 gene:Dexi2B01G0025070 transcript:Dexi2B01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLGCLLVLLVSSCSGAGASAGGERCVRQGKAAYAPSLSPLLQGTGVCGYGAMAAEINGGFLAAGGPRQHRGGLGCGRCFQVRCRNAKLCSNGGVRVVLTDFHRSNRTDFLLGGPAFAGLVKPGMAHELKRLESLSVEYKRIPCDYKDKNLSVLVEEESKRPSNLVVKFLYQGGQTDILAVDVAPVGSSEWRFMTRVHGPVWRTDRAPAGPLQFRAVVTGGYDGKWVWAEREVLPADWRPGQVYDTGVRIADVASDGCRGCAAAAAVDWK >Dexi5B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:5B:4659756:4661554:-1 gene:Dexi5B01G0006950 transcript:Dexi5B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDFARPHHVAFFDAMATELPDEYSTQEVNHLTLGYFAVGGLSLLRELDRFIPKHMMLRIRAMI >Dexi3A01G0028850.1:cds pep primary_assembly:Fonio_CM05836:3A:29781743:29785337:-1 gene:Dexi3A01G0028850 transcript:Dexi3A01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVAAARMKGEYPYRVGQPECQGEPICTFYSRYGICKFGPNCKFDHPMGTLMYGTATSPTGDAPTMHYQLASSPGHSERLLDGGSGRSHRISQSDSQQIPSGNGSTEREAS >Dexi6B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:6B:8610339:8611292:-1 gene:Dexi6B01G0007390 transcript:Dexi6B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMSCGSGGSGGAYEHLAFQEAAAAGIRSLELLASSLSPRAAGRPESPPLGQIADQAVSRFRRVINLLDRTGHARFRRAPPSASAAASFPVAAETTPPASPHPAPPPPPAPEKKIMTLDFTKPCPALSPPAKQAPALSGTSTSFLSSVTAGGEGSVSKGNSLAVSSGKPPLPKRKLPATSGAPAAGAHPHHHHHGESGAAGRCHCSRKPKRSRHGVSRRTVRVPATAAPTAALGSQQASPASSDIPSDDYSWRKYGQKPIKGSPYPRGYYRCSSAKGCPARKHVERAADDPAMLVVTYEGDHRHDGAGAGVRAA >Dexi1B01G0026710.1:cds pep primary_assembly:Fonio_CM05836:1B:31527010:31527974:-1 gene:Dexi1B01G0026710 transcript:Dexi1B01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQPWWAALLLPFLLAGVGPSAASSLPTDACGVPTIVESVLGTPEMCSTLDRLLGDPVGVIEGDEVTLAKAVNLLHMNKDDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYTDVSGGLFVPH >Dexi2A01G0035520.1:cds pep primary_assembly:Fonio_CM05836:2A:45131558:45140217:1 gene:Dexi2A01G0035520 transcript:Dexi2A01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPDAPYLAAGTMAGAVDMSFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGSDTYALGLLAGGLSDGSVAVWNPLSLISSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPVAPTVYPPLKSVGSSAQAEISYLSWNPKFQHIVATTSSNGMTVVWDLRNQKPLTSFSDSVRRRSSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSDNWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAAGDAVGAPARPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQVSTSEVHVHNLVIEQSLVTRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQAPAVDSADELSQTLADTLNLDHGTITDNADAQFLVDNGDDFFNNPQPAEASLAEESISTNGQQIEQEMPADVEPSDPSIDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLRKSVSPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFAQKEEWNVLCDTLASRLLSVGDTLAATLCYICAGNIDKAVEIWSRNLKSEDGGRTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQESDAARSSVSDSTGASSHYVTNQSYSTPDQSHNLYQQVQSYNLANSAYSDGYQPQPNAAFAYNNAYQPQQPAQMFVPPSAPISSQQPQVSAPVSVPPQAVKTFTPANPMGLKNAEQYHQPNTLGSQLYTAAGNQPYSTASSAPYQTGPPPTFNQPRSPVQYQTTPSIPSLGPTASVPGTVPNQMFPHAAATNSTSRFMPSNNQGFVQRPGLSPVQPSSPTQAQAPAQTQAAPPAPPPTVQTADTSKVSAELSPVIATLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVILTTSDWDECNFWLAALKRMIKTRQNFRM >Dexi2A01G0025050.1:cds pep primary_assembly:Fonio_CM05836:2A:36836383:36837119:-1 gene:Dexi2A01G0025050 transcript:Dexi2A01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFGENQQKANTKRVVGTYGYIAPEYQIEGVFSVKSDVYSFGILLLEIVSGVKISSTDDIMGSPGLVAYAWKVWKEGNASDLVDSSIVDSCALDEALLCIHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPDEPAYFAERNCKVKGDDAENSRNSMTMTVLQGR >DexiUA01G0022620.1:cds pep primary_assembly:Fonio_CM05836:UA:45916945:45920895:1 gene:DexiUA01G0022620 transcript:DexiUA01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKVATVELLAQCNSRKAKDSNPSCSVELRRLPVPPPSAADPMSPPPPPPRVLVTYPNGVEEAIVITEGDTAQGVRDQIIARGRLIDTELLFRNGGEEWPVVIPEEELRMPFPGIKRLSVTISLTVIFDGWHGVRSRFPPHRPHSTRAKTPPLVVMGQGNCPIPRSSRRQAHGTHSINASSSPATTTPSGENRAGCEEKTHKATTMGDINDAAAAAAAAAGDAPAPPQMTKENNLFMHIVVNPDGTVTRPEVPLLPASSTTAAVSRDVPLDASAGTYLRLFLPDPIPPAPPKLPVVLYFHGGGFVILSAATAFYHAHCESMAAAVPCIVASLEYRLAPEHRLPAAYQDAAAAVSWLLDGASQDPWLAAHADLSRCYVMGSSSGGNMAFFAGIQANKGGGAAVRGLMLHQPYLGGVDRTASEAGSEDDFMLPLEASDKLWSLALPEGADRDHEFCNPVKAMAPANLAGLPRCLVTGNRDDPLIDRQREFARWLQDKGGVEVVAKTDHTGFHACELFVPEKAQELFAAMREFMFADGE >Dexi3B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:3B:14641299:14641798:-1 gene:Dexi3B01G0019630 transcript:Dexi3B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLLLLLLAMFTGSDASFCVCKPGIPDAMMQKAIDYACSKGADCAQTTQGGPCYGNGNKVAVCSYICNSYYQSRASMGATCDFQGVATLTNTDPSSGTCKFASGPR >Dexi5A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:5A:159353:159705:-1 gene:Dexi5A01G0000210 transcript:Dexi5A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGESIHEVGVGICIRLVNADEDEEQLVPPPATPKKREAIQIRARLYQDSKQTAACSEWKRALTSTLAERTRCTTALILCLLQAKCQASCAVRDESPLAGDGEDGGGFG >Dexi3B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:3B:12155063:12156895:-1 gene:Dexi3B01G0016670 transcript:Dexi3B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNSEIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Dexi9B01G0022820.1:cds pep primary_assembly:Fonio_CM05836:9B:17684246:17694868:-1 gene:Dexi9B01G0022820 transcript:Dexi9B01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFGVPRLGTSASSASSASVSASAYCLPREHPWYEPIIDWIMGLATFGVVSGVLFVCARWGNGPTRDIAERALDRVLNGSAASRRQAPPFAEVTIKSEDDKVVDGNSICRKIMKRLEAEGNELSYKGFAFDNDKSLFTVAALSENKVEFTVSLGIISSRHDGLQSQSEKRYRICLSYAGKIPVEPVALTLQGCESGDAHVALTVLNTILRQRQSRRFQMKSHLGSTTDITVQDYFKLKKINLEMPHLLCLDVGKENSPCYLPIELCNMVSPQRYKTALSSQQRATFVEKSRLEAKRLMEIVADAIKSDGYVDDPLLSLAGIKIEKQLIRICGHVLSAPTLVVGNGEECIPNEGRWNYNNKMLLNPVRIEHWAVVNFSTDCNMNWIIQRIIDLGRSKGIFMKFPATMVEEDNKVVKYSPAKRVEWMLNKVTKKAPIPPEFLLCLLPERKNCDIYGNIIDIGRGREKLYMRWASQCIAPSSNTTDQFFINVLLKINAKLGGLNCKVAFKNDYMIPAITETPTLILGMDVSHGPPGRADVPSVAAVVGSRCWPLLSQYRASIRIQPQKAEMINSLFTPLYNGNDGGMIWDGVGESQFSQVLSVELNQIIKKPKDYEC >Dexi6B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:6B:21716422:21718218:-1 gene:Dexi6B01G0014080 transcript:Dexi6B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRGRLSALLAGLTVLDGEGGLTLRTVALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEVASESGAVKVTGAAEVPYLADENADEDPDLRVTIRGDETPLARRAKDAFFARGKPLVLEKIREFVAAMAKGGPAKDELESKKTPAKAAAGAAPAAKKEDAPAPAPAVKEKKAKGKDKEGFKTIEMTEKFYCRAKDIYEILMDENRWKGFTQSNARISREVGGEFILFDGSITGVNEELQEGKLIAQKWRFGSWPDGLYSSVRLVFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFGFGI >Dexi1A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:1A:15542688:15543060:1 gene:Dexi1A01G0013210 transcript:Dexi1A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCFLLFEECRLTGTEETVRAASKRLTQGLADFNKSISSAKTEEEKTKIRGDQQTATRTMRSYNNILAMTQG >Dexi3B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:3B:6589031:6590317:-1 gene:Dexi3B01G0009550 transcript:Dexi3B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATSLLEQVPRQQAGLGEPGFWHDFLLGMLKPVAATAVVAMAVALSFAQRLGLEREMLYATARAFLQLSVVGFVLHFIFAQKSVLWILLAYLFMVTVAGYTAGQRAKHVPGGKHIACVSILIGTAITMLVLVALKVFPFTPRYIIPVAGMMVGNAMTVTGVAMKKLREDVKIQRDMVETALALGATPRQATLQHVRRSLGIALSPVIDSAKTLGLISLPGSMSGLIMGGASPLEAIQLQIVVTNMLIGANTVSGIVSSYLCWTTFFNKAFQLKDELFADK >Dexi3A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:3A:2348160:2352252:-1 gene:Dexi3A01G0003570 transcript:Dexi3A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGPSEAMARALLQRHQPFAPSPGEYHSFAAPAAPGEEMVEAIVLRTPLKRKRNREVNEAAESNDWMTSPGYANAGSSPIPTPPSGKGLKPSAKPKATKGQKPCPQTPLNFGSPGNPSTPAGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAESLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTLQDNNLDGRINDMRDRLRELTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMGTPPRPTRAISMDSLENPRTPLPAECSKAAGTEPNIQEGFTLPPDAPSSSQDIGGMMKIVPSELDTDADYWLLSDTGASITDMWMSAPQVQWDEIEKFNAEDFLEVSTPRQQDKPAEVVDGPSCVS >Dexi5B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:5B:12599034:12599957:1 gene:Dexi5B01G0015400 transcript:Dexi5B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTPTMILAAALALVLALLAAVSPAAAHNCGCGPGLCCSKYGYCGTTSAYCGEGCKSGPCWGSTGGASVASVVTKAFFNGIKSKSESWCEGTSFYTRGTFLEALASYPGFASAGSEAQRKREIAAFFAHVTHETGHFCYINEIAKGRYCEASSEGEWPCYPGQGYYGRGPLQMTWNSNYGPAGRSIGFDGLRNPDIVAQDPVVSFRTALWYWMTFAHQVMSQGFGATIRAINGADECHGGKNTAEMKQRVRFYLQFCEQLGIEPGSNLTR >Dexi4B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:4B:4827073:4830762:-1 gene:Dexi4B01G0006900 transcript:Dexi4B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASSNPPSPTSSTSALALRSGDAPKVLAFFSTPTCSATPSALLLQDMPANGVAPDAESYFHILALAALARPLDLIRDEKVSLIIGPQSALQAEFVTYLANKTKVPVISFSATGGAAIQYHLPYFLGACVKDSFQAAAIAAFVEVYGWKNVVVVYEDDSYGVSILPSISDALQDVEAHVIYRAAIPVSSPDYRIDEELYKLMTMQTRVFIVHMLPGPASHFFARASAVGMMIEGYVWIVTDNVGSVVDVLSQHTIENMEGIVGFRPYVAKSARIIDFMARFDALFRAKYHQAHDVRMARPTIFQYWAYDVAWAVATALEKVKKDIGFQTPQDVGKNLDGLLPSPAGPELLGSILEADFDGLAGRFSGYSIDVFEAAVNRLPYALHYEYIPYDCANSYDQLISQVYYKKFDAAVGDVTIIANRSRYVDFTMPYTESGVSMLVLAKNDDKTAMWIFLQPLTKDLWIATVVFILLTGLVVWVVESPTNENFRGSRWKQFSTTFYFTFSTLTFSHGIWKSSLFLSALVLQFDERKIKVLSTLEEYAKALKAGSKHGGVSAIFDENPYLNSFITQYGKEFQIVGPIDRTDGFGFVFPRGSPLVPDLSRAILNITEGCEGFQIQKKWFGDATPSPEYGSPDTDTVRLSLQSFKGLFIVNGFALCIMLVINLPEFIRAKCTELRNLSLQRAHSSGEIANDNEPQQSQNNNTAPAEPLRIERDTD >Dexi1B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:1B:24045484:24050481:-1 gene:Dexi1B01G0017740 transcript:Dexi1B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHGTAAAPMFFPFGPQAEAWEVTFKIKYGDTLKRFYGSVNGAHLDMNLPALRAKIATAFKFGPDSDFILTYTDEDGDAVMLDDDDDLRDAALRQKLNPLRITVQLKRGQPMQQKERNSTPVKPIPQDPLSQIMSAIEGLKPAQEETLAHLKSAIGEAIKSIPEPIPDALSKLSHEVLDVAPPPLAELMKPFVQMLAPSNSGNGPPAHADGSSSSSGGVTEAQAPAKAEDEPKTKACLGLRSVLKEAAAAPAAPSAGASHGQQQSMYPSVEDLLFPGNSVDKSICKGKVDCQGKGKSVMSSATQPSPNAVSIHAPPPPPPCAFRPRRSLNQWQPEDNAKVNSDSRWRIPMYKVPYAPPAAVPHAPPGYGPSPHFPYPGRLLSSGHPYGDLSGNMENSASRSLHRWIQCDGCGVQPIVGTRYKSNVKEDYDLCDSCFQRMGNEAEYTKIDKPILPHRLMRDPHAYRKVHHPRVVMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDEEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNDNNRNAAINLNLPPESNSANATNLIDVNIEPVDSALGAHAKRTKEFHFYPINVPEPKKSQPAPAVATSMSAAAPANPVVDVPMSSAMAVAFMPSASMPEPVVPAVPSPVNVPILPITMPVSAAVPSPVNVPILPTTVSVSAPATASAPILTAVPMPPPVSAAAPEPFDIDGHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLEQSVDDLCGVNEWDPLLAELEEMGFDDTEVNKELLAKNGGSIKRAVMDLIAREKKDK >Dexi5A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:5A:23862222:23863165:-1 gene:Dexi5A01G0019990 transcript:Dexi5A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYCPYFLYDSFYFIYSLSWIHAETFHWVAGPWMVCSSPCDGGVRYRDVACYGSLDDKTIKHYPVDDASSSVDEMPARQEACNQQSCSDPEMTQSMNRKKSGMSGWLVALVVVLGLGAIGGIAFTSYTYYRRYA >Dexi5A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:5A:254133:255023:-1 gene:Dexi5A01G0000360 transcript:Dexi5A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVVGGIILEPAMMVVPVDDHMVHRGHGVFDTAMLLDGCLYELDAHLERFLRSAAKARIDTAPFPRDALRRILVQMTAASGCRKGSLRYWLSAGPGDFLLSSKGCPSPAFYAVVIAADYDQCRDGVRAVTSSVPMKPPLFATMKNVNYLPNVLSIMDAEDRGAWASVWVDDQGNVAEGPMVNVAFVTPGRELVLPAFDKILGGCTAKRLLALAPRLVDSGLLTGVATRDIAVDEAKRSLEMAFVGSGLPVLPVVQWDGEPVGDGKVGSLMLALSDLLWEDMKSGPDRVAVPYNN >Dexi9A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:9A:7598771:7599244:1 gene:Dexi9A01G0011990 transcript:Dexi9A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCICRRFVKGSADFIGINQYTASYVKGQKLLQQSPTSYSADWQANSKWLYIVPTGMYACVNYIKQKYGNPMILITENGMKSR >Dexi7B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:7B:8243149:8243689:-1 gene:Dexi7B01G0003370 transcript:Dexi7B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIRTVICVVSTYLTIVPNKLAVTIVPNLVSLVWDVPSNAEKLMLSQLQPHATNVMRKVTSHVAAQRMPSLICPKASHHHTVREKKDGKNIPVLDHLLMMAVKQVKGKAPILSRHTSA >DexiUA01G0005640.1:cds pep primary_assembly:Fonio_CM05836:UA:10230257:10233147:-1 gene:DexiUA01G0005640 transcript:DexiUA01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVLFLAAGALVLAAALGWLIVFVARRPSRRRSARLPPGTTGLPLIGETLRLISAYKTPNPEPFIDDRVARHGTGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHCSYPSSIATLLGAHSLLLTRGPAHKRLHSLTLTRLGRPASPPLMAHIDRLVLATMRNWGEPAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIRKRMDEKMENGGAKGEDDEEEKREKRDMVEELLDAEGGTFPVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRDIKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKEYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQVLSKNKLQSAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTLKGYPINLRRRSDYVV >Dexi8B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:8B:17273057:17275892:1 gene:Dexi8B01G0009680 transcript:Dexi8B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSADVLWPTSSSSPPAPTWFLLMVTLGFLVVVRSAATFLAWLHRAFLRPGKDLAMSYGRWAVVTGATDGIGRAVALELAHRGLHLVLVGRNPHKLARVAKEAMATTPPSHTCKVRTVVFDLASAGDNEQRHGVARVVAAVEGKDVGVLVNNAGATYPCAAYFHEVGGDVWEDVVRVNVEAATRITRAVVPLMVAKGRGAVVNVGSGSSVVVPAFPLYAVYAATKAYVDQFSRSLSVEYKQYGVDVQCQIPLYVATKMSPVKGDSPFIPSPEEYAKAALRCIGYEARCVPYWRHSVQWFFASLVPAAALNQWRLQIGIRKRNEMKALLREKVCS >Dexi8A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:8A:606925:609280:1 gene:Dexi8A01G0000920 transcript:Dexi8A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHLTAGHTCHHHHGVAPATASLQLRSCARPLRSRLFFTRIYAISSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKLRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTYEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGGSDSAQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >Dexi5A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:5A:23724467:23725349:-1 gene:Dexi5A01G0019820 transcript:Dexi5A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEIQKVASMRLDSGSRSGSSAWWRGPDAFSRSSSRREGDDEEEALRWAALERLPTNDRVRRAILPPLGAGGGEGGEAGAHQVVDVLGLGPRERRALLERLVRVADEDNERFLLKLKERVERVGIDMPTIEVRFEHLRAEAEVRVGTSGLPTVLNSMTNKLEEVTSALGVRRSRKQAMPILHDISGIVRPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVRNSSCRN >Dexi3A01G0025230.1:cds pep primary_assembly:Fonio_CM05836:3A:20923095:20925291:-1 gene:Dexi3A01G0025230 transcript:Dexi3A01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKAAASSSSSSSSSSAASEAGGEVKRGNGSVKGRRARSLLPLPSSSCFRGSTTPGDGDAPASPPPAVESHKQGETTNLPSLAHSAKSDEDALAVPKSRPGAGTSAPSSDSERDHDDDVLQNGAATSTSAMASQLPNPSDRSRPRFGANFGLSRAVSLGSSVACSILSSGLSASAKPGESHGGVNNSSDAVISRQGAALTAGIDSTLDMLRDSVTAQARVARQARRNLLESEDASLRNSYRRTGSQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAVWPSGNGSARQDSAIMQRTNSDRSSELRSDPSTNNAYNSSSETLREASNRDLLERRSAFLERRTRIRSQVLDEIHQQSAALSSSRPSFSSIGSVPAPREVVECLPVKVYRKPLKYRTEEAAQ >Dexi9B01G0041290.1:cds pep primary_assembly:Fonio_CM05836:9B:41720245:41723660:-1 gene:Dexi9B01G0041290 transcript:Dexi9B01G0041290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTAAAYTTLLLPILLFLIATATRCAAVTDSPSSPDAAALLNLSTALADPSGYLATHWTPDTAPCSWPRVSCDAAADRRVVSLDLSGLNLSGPIPAAALSSLPRLQTLNLSNNILNSTFPDEIIATLKSLRVLDLYNNNLTGPLPAALPNLTDLVHVHLGGNFFYGSIPRSYGQWTRIRYLALSGNELTGEIPPELGNLTTLRELYLGYFNNFTGGIPPELGRLKALVRLDMANCGISGEIPPEVSNLTSLDTLFFQINALSGRLPTEIGAMGALKSLDLSNNLFVGTIPASFASLKNLTLLNLFRNRLAGEIPEFIGDLPNLEVLQLWENNFTGGIPPKLGVAATRLKIVDVSTNRLTGVLPSELCAGEQLETFIALGNSLFGGIPDGLAGCPSLTRIRLGENYLNGTIPAKLFTLPNLTQIELHDNLLSGDLNLEAGKVSSSIGELSLFNNRLSGEVPTGIGGLVGLQKLLLSGNMLSGELPPEIGKLQQLSKADLSDNLISGEVPPAIGRCRLLTFLDISGNKLSGRIPPELASLRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNNLSGEVPATGQFAYFNATSFAGNAELCGAFLPPCSRSHHGVATTSAFGSLSSTSKLLLVLGLLALSIIFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAMGRAGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTVPLYELTHVFYVAMLCVAEQSVERPTMREVVQILADMPGSTSTSIDVPLVVEAKENSSPEKPQEEPHDSPPQQDLLSI >Dexi8A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:8A:27013440:27014357:-1 gene:Dexi8A01G0015960 transcript:Dexi8A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSVLGASPAAPSFSCVSGSRAASTLRVITSSKRRVVPSRASSRSLSIRCEQSAKQGPDVWLGRAAMVGFASAIAVEVATGKGFLQNFGVATPAPTLALVVSGLVVGLAVFFLLQSGSRD >Dexi5A01G0023520.1:cds pep primary_assembly:Fonio_CM05836:5A:27498920:27500647:-1 gene:Dexi5A01G0023520 transcript:Dexi5A01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTGGKGGAMGQSVPRFRCQECHGALAVVGVAERLPASGMLASSVQGSIMGTSRMDNSYVVVLSKKNRSKSLGISQRPLSSASPHVEPNQPTRPMECSYIMLPPPTASIYKASSSEDAAQLLPPIVNSSSSSPQNSSGLFSSVTVLKRAFEIATSQAQVEQPLCLECMRILSDKMDFEIEDINSDVRAYEACLQLMDQESYSILSETDFQNEKQKVTMPVGIYHLIKN >Dexi9B01G0039380.1:cds pep primary_assembly:Fonio_CM05836:9B:40092580:40096488:-1 gene:Dexi9B01G0039380 transcript:Dexi9B01G0039380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKKQASKLRQHVAKQQQAVFKQFSVRYNQDPSLVDEAELECHQNLQRLYSTTRAAKHFQRNIVRGVEGFIAVSTKQMEIVKKLAEDCCKYGTNNQHFGFALARASVEFGNSHKQIEKEREDLLKILGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNTDNSVKLQHAESKLSELRTTLAALGREATAAMEAVEAQQQQITYERLLAMVDAERTYHQNAADILNKLHDEMLLAKNHNESINHYDEQSSEPGSETAPAQVHSDSTSEDPVSTKPSKSTGNSQEVQFLGEVIHPFDAQADGELSLSVGEYVVVRQVSANGWSEGECKGKAGWFPSAYVERRDKAPARKVIEPGLLTT >Dexi9A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:9A:6703254:6709899:1 gene:Dexi9A01G0010910 transcript:Dexi9A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSASVPSPHPLHSSSSAVSPPRPAAIYVYLFAGREVAGDERRPPAPELAPLALLRHHHQRLPFSRSDVQWIRLFSQKMSTSRPTQSSSSSNRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRTAPPEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLATVSHAVPNVDEPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKIIEDEGLSIDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEPEPEQPPQQQKKKRLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIQEKSILRMQTMLSDMLFREASPLSIISGSPNIMDLVRCDGAALLYGDKVWRLQTAPTESQIRDIAFWLSEVHRDSTGLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDILFWFRSHTAAEIKWGGAKHDPSDKDDNRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDAMKPARTSGLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELTGLRVDEAIGRHILTLVEDSSVSTVQRMLYLALQGKEEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIVHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDDVIDKMLLGEVFDSSNASCLLKNKDAFVRLCIIINSALAGDEAEKAPFGFFDRNGKYIECLLSVNRKINTDGVVTGVFCFIHVPSDELQHALHVQQASEQTAERRLKAFSYMRHAINKPLSGMLYSRETLKNTGLNEEQMKQVHVADSCHRQLNKILTDLDQDNITDKSSCLDLDMTEFVLQDVMVAAVSQVLVGCQGKGIRVSCNLPERFMKQKVYGDGIRLQQILSDFLYVSVKFSPVGGSVDISSKLTKNSIGENLHLIDLELRIKHQGTGVPAEIISQMYEEDNKEQSEEGFSLLVSRNLLRLMNGDIRHMREAGMSTFILTAELASAPSASG >Dexi7A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:7A:24281554:24282006:-1 gene:Dexi7A01G0014330 transcript:Dexi7A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSQFETCLPASLFTPCAPPLPQLPNQHKPLQMPLFQEQGGIHGVMLSSDDRRGGLYPLLLPGIPFCNTGAGGEKPAGLVVLDAAGEAGTSAAKAGGDIASTTTTTFHGSNSWCV >Dexi4B01G0022070.1:cds pep primary_assembly:Fonio_CM05836:4B:23811402:23816301:-1 gene:Dexi4B01G0022070 transcript:Dexi4B01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRMAELHDRRDATTPPLPLPSRATTTLSPRHRGQGRLANNRGGKYRMACGNLCYFCPGLTTRSRMPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRMPKCGRANRPLLPHWNLIVFMPGVGNLTFEQILSKLLVLILIFEQITVYLEQRIYRDLRSEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDEMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERARALRAAALQSLSAMIWFMGELSHISSEFDIVVQVVLENYTPQKMQNDGQSSNDADNQLMQGDHKEEQPPSPFVISAVPSWEDIVNVKGGVKLPEEEARDPKFWSRICVHNMAKLSREATTFRRILECLFRYFGNNSSWLPENGLALCVLLDMQLLVESSGQNMHLMLSLLIKHIEHKAILKQPDMQLSLVEVATILAEQSSAMASAATIGAISDLVRHLKRTFHVTLGSKDLELVKWNEKFRNVIDECLIQLSKKVSDAGPVLDMMAVMLENIASTAVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEALFHQLLLTMIHPDHEARIAAHRIFAIVLVPSSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFEKLKKDKYSDNSQGESKDSVHNIGEGTGQHKSQILPMSQSRRRSMKVPNFSMNRGPSMAIRAPSVSIRAPSISLRGPSISLRAPSMSVKEGQSSSSKLDDETV >Dexi4A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:4A:8409910:8410603:1 gene:Dexi4A01G0010490 transcript:Dexi4A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGRTTTTTEVWQWQCAVCRGDWKMMRQVTTSCPHGHGCRCCHGTQPLSARNHPPPPHHQHHHHHHHHHHRRHLHQDEGNVWALPAAEGSPNTGREITALQSPAAAPAMAVAQQACWVPDPYLMVQQLREFEPLNHEVVALRVQLQEYAWEIERSIKKDDAGTNWFLALPADVRDVLVMARDAIESFIAISATAPAN >Dexi2B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:2B:23006049:23006587:-1 gene:Dexi2B01G0013880 transcript:Dexi2B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAQHGIVRDEVPLRHFVEQAARVSEKAHAEACTEEGVPGDGVGAGDVGEEAARGGRGAEGEVEREEGVGEAEVGGEVAGGDGEGVERTGGGEGPRVAALVLGGEEQEREVVEREEAEKGREAVAGDVREEGVEEAGGEWRVGGGEAGGEDERQGLEEEAARRGRPGGGSETR >DexiUA01G0002130.1:cds pep primary_assembly:Fonio_CM05836:UA:4954997:4957701:-1 gene:DexiUA01G0002130 transcript:DexiUA01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVGGEASRDSNLQSPLLGAASRPATTSGDGGGHGEVSGHLESILSDESLPWTRRMAAATAVELRLLVRLAAPAVVVYMINYIMSMSTQIFCGHLGTLELDAASLGNTGVQMFAFGLMLGMGSAVETLCGQAYGALKYDMLGIYMQRATIVLMATGVPLAVVYAFSRPILILLGESPEIAGAAAVFVYGLIPQIFAYAAIFPIQKFLQAQSIVLPSAYISAATLVVHLVLSYLTIYKLGLGLLGASLMLSVSNWVIAVGQFVYIVTSRRCRLTWTGFSWQALSGLPEFFKLSIASAVMLCLEACVRVSNELGAGNPKSAAFSVVVVTVFSLIASVIISVIILLCRDYLSYIFTEGEDVSRAVSQLTPLLAFTLILNGIQPVLSASYD >Dexi7A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:7A:20122566:20123389:-1 gene:Dexi7A01G0008910 transcript:Dexi7A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGALGVQGNAPAGAWSSGLCDCFDDVGGCCLTCFCPCVAFGRIAGIVDQGATSCCASGTLYLLLQAVTGMACFYSCCYRSKLRNQYGLTETPCADCCVHFCCEACALCQEYRELKARGFDMSEGWEWNMERMGKTGAAATAPPQMYPGMSR >Dexi6B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:6B:26294530:26296497:-1 gene:Dexi6B01G0019600 transcript:Dexi6B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPTTHQPLANYKAATSQSTDTSESAKSSDIREELSVKEGSRRDMALPTNSSEQSSWLESGNNAANGKDQEQLVKWLLETDLPMDEPWLNFASSNDDVLGIVEGSLPWDGATDWLLDYHDVGMCSSNLNNPTLHNSDGSRL >Dexi5B01G0021200.1:cds pep primary_assembly:Fonio_CM05836:5B:23507690:23508633:-1 gene:Dexi5B01G0021200 transcript:Dexi5B01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRNPARMLAVAKKGDTSGLKRNDGTAVQSSVNAPRPSPLMPEPSCCAVTDLEKIQHTHDTDVSVGNRYPGTAYHRKLHVSATTKNLARDVLPPPRPEQGDLHECARPPHVRRLHHVLSHEPGPAVAEHLGGGDEQPRGEEGDGAAVEGADDVEDHDGVGGAGVGEGAAGVGEGGDEHVLLHVEGPRVEAPLAAAEERGGLELAGGEDAREEVAEREGGHLDGDLGHDDGLGAVGEELVEEAHEHAREEPQCPHPERPHREGRVVASSSSPTSSPTPGIAAATRPLSMSTTWTIVARVEYLL >Dexi2A01G0032420.1:cds pep primary_assembly:Fonio_CM05836:2A:42892472:42893294:-1 gene:Dexi2A01G0032420 transcript:Dexi2A01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAYPPAHAEHPLLRLNPHDCVPFMEMLFADGTSGRCKMDAMPSPRLMSTHMPHALLPASVSDNPDCKIIYICREPKDMLISLWHFTRRFVPDRAFSDMFEDACEGVSFAGPIWDHVLGYWNASKESPERVLFLMYEEILRDPVENVKKLARFVAQPFSPAEEQAGVIKDIVRLCSFDRLKGLEVNKKAGTNYLFPNSSYLRRGEAGDWTNHMTPEMARRLEAIMEEKLQGSGLSFAC >Dexi1B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:1B:9656213:9656821:-1 gene:Dexi1B01G0010310 transcript:Dexi1B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYTRRLLAISSEAGDVDDDSDGYGVFGGVAADAYSLIVASCVLAASVVIWEACAFAAMAAALVAGATWCLSIVAASRDGGIEYSAGVHHHGGACGGLAEVDIARALPASPYQQRHVGPAGGATTCSVCLEEVRGGEMVRRLPECGHLFHAVCIDLWLHSHVTCPLCRSDLSPRRRDTNAAAAALPQGTGALPSPRLLSL >Dexi1B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:1B:9191798:9192984:1 gene:Dexi1B01G0009820 transcript:Dexi1B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAMAGSFRTWHCLVALLLLASAAHGQLSPSFYATSCPTLGLIVRATMIKALLTERRMGASLVRLFFHDCFVQGCDGSILLDDVGSFVGEKTAFPNVNSVRGFDVIDQIKANVELLCPGVVSCADIVALAARDGTFLLGGPTWAVPLGRRDSTTASLSQANSDLPSPASDLATLITKFGNKGLSPGDMTTLSGAHTIGFAQCQNFRAHIYNDTDINAAFATLRQGSCPAAQGSGDSNLAPLDVQTQLVFDNAYYRNLVGQRGLLHSDQELFNGGSQDALVKQYSGNPALFSSAFVAAMIKMGNISPLTGTAGQIRANCRVVNSN >Dexi3B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:3B:11141476:11142572:-1 gene:Dexi3B01G0015350 transcript:Dexi3B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGSIHALDEYALTEILVRLPSKSVLRCRAVCKQWRRITTDRAFLAAHRPRQMLVVTASLTRRPSLSLDPRPADDMGRRGYLCDPTARDKDGKVDNMGIPLASLDGLLVLRQGPDLFVVCNPMTRQWRKLPALSPRPCFQVFACGFYLHSPSGEYRLLCHWGTGGYYVLSAGAAVPRRLAHAPEDRPLECHPAACHGILHWRSFQPEATRAGKMLAFDTVTEKFWLMARPPCNILPMALLELDGALCAVAMQGVTLLSVWVLQDYESERWTLRNQVVVQPPKSFNDQWVSMAISGGGDAILIGHPLCSHLVRLCDLKEKKVRKQMDLVSVPTFLVFSESLVPHGFFDSRICSELGPIKFCD >Dexi9B01G0025110.1:cds pep primary_assembly:Fonio_CM05836:9B:24890134:24899552:1 gene:Dexi9B01G0025110 transcript:Dexi9B01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSAEGFLQATSCLPCTAEEERELVAALTREAEENVRDGDLRYLVSHSWWLEWQRYVGLVSYEENDTEQLPQAINRPREIDNSKLVSAETINGSEEPELQRTLREGQDYTLVPEKVWRRLYGWYKGGPEIPRKAKVHDLYSLVCSLKSVEQSEIVLWDYYQKSKSKKLINLNETLDEAQITMDQEVLLEMKLAESSSDFSTRSTNNELALIPLGPSTSSISIAGGPTYSNGFSSGIGSSFSQDNSFSPLLRDSADGYNSFSNGTKDETHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLEDYTREINTDNPLGLQGELAIAFGELLRKLWSSGRSSVAPRAFKSKLSRFAPQFSGYNQHDSQVGFELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDDEFAEECWNYHKARNDSIIVDKFQVYERRIYRYLTNPLEALQNIKDEDILVAYKLPAGSEKLLRFSVEPHYNTTRKLIGSPLVTCIPSDSTRKSDIYAAVSAVLLPFVRAKAHGSDVPTAKSNGSGPSLDGIILTENGTACEGLPTSSEDDSAVDDEILPFQLSLTDEKGIARNSINTDSNRVLGIVMRVLMDWSDSEREMYNIDYMDELPEVFKHGFLSKKTRQEAVNLFSCLDAFLKEEPLGPDDM >Dexi3B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:3B:10501549:10501989:-1 gene:Dexi3B01G0014580 transcript:Dexi3B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSAPERELPAAERKRSPVRSPMAGESPETSSETTTPRPPGSQATPGQEVQSVARVASVHARRGGGSGRVKASLMASKAAAWLASEMLCASSSSAAACGMAAVGGMATAVCSNRRRKRKRARSRAIGMGRRGAEAIGQ >Dexi3B01G0014580.2:cds pep primary_assembly:Fonio_CM05836:3B:10501994:10504360:-1 gene:Dexi3B01G0014580 transcript:Dexi3B01G0014580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVTWRDVAVGSCDGGHALGGGAIPADAEELGEPEVRHVCLHPRVQQNVVGLDVTVEDRRRAVVVKHSPFAASVATLSLAAHSIVGRSSSSPPPPPCSVSPRLPFTMYSYTSSRCASPDDDDELLLLLHTASSRTMWRWRIRPSVSTSAWNPPSPAATIRFTATTSPPGIVPRYTTPAPPRPTTWFSFTHRTTSSHPNDTFWNAVIRHLPDDDDDDRRRRSIAERVVATAAAANATEQPRSPSAARSFSLPPTMDEDDDDEPCRRRCCSGVPGHCRGEQPLQPPDLPRDLAGQLIAADEQRLQRGEVPDRRRQRPVESVARQVEKRQLARDAGVVFLETPRQVVPLELHGGERRQVEEATWQRAREVVLAQPHTRQRRATAEPLRHRAEEEVVEEDQDLEPATRAQPAWHLTGEAVRREVHLPERRVAAERRRDCAGEVVLPQLEHLERLEREAPQRGELGRDLPRERVVGDVEEPESGEAAERRRDGAVELVGLEVERVELDEVAEGRRDGALEAAAGEVEVDEVDEPPELRRDATVEVVEVAEEEAAGEVGELGRDGTREAVASEGEELDGGEAAERRRDGAGEEVAAEVEVAEARERGEPRGLGVRERAGEVVVVEVEHLEVAHGGEVEDAAGECEVELVVGEVEVAEVAE >DexiUA01G0021080.1:cds pep primary_assembly:Fonio_CM05836:UA:43381864:43382782:-1 gene:DexiUA01G0021080 transcript:DexiUA01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTVEDELAPEEATSVMDWSELPLDALASVFGKLGAIEILMSAGLVCRSWLVAAMVPELWRSVVMAHKVVENMDYDALTAMAKVAVDRSGGQLEVFVGKLFVTDELLKYIGDRSPAMKAVGLISCEDVSNEGFTEVVAKCPLLEDLMLLQCDNVCGRGVYEATGRACPQLKRFRLRKDLCQLGSEALGVATMHGLRSLALIGTNITNDELAFVLDSCPHLEVLDLRGCFKIVVDDALRARCAAIKSLMLPR >Dexi3A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:3A:530754:535406:-1 gene:Dexi3A01G0000670 transcript:Dexi3A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSRVNPRWLLSAHWSENGMATPRRLRARAEAAVASLVTVLPHEIPPLLSAAATFFFILSAYFVVLPLRDEGAISLGLDTLPGLFAGSLLLTVLAAPVASLAFSLPSIPKPRALILIHRFFSISLLAFFLLWFASSPRHSQSTPQLIFLCTFDVMIKSSEDGSSKHAGWGNHSWFYIVVRISLFLWVRPSVLITVFTYMFLILQLIVGLNTSLHLKVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWLGPFMLLFSSLLMEFAALSSKGTCIDDNHGSTELSPTAAEKIENTDADSEMSSLGHILTVAGVTVAICASPLVAASNMVALAVWPTWISVAVTETTRKVITYVLTRPGREFLFTVVSLDEKYKAK >Dexi5A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:5A:5969822:5974931:-1 gene:Dexi5A01G0008030 transcript:Dexi5A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSHSPIVSYLLWCLLLLTLASCNGEVATAKPKVGFGYKLVSLVQLPNGGGLVGCLQVKQRTSTYGPDIPRLRLFVKHERRERVRVQITDADKQRWEVPYNLLPREPAPPVTGCKVTGAPFTAGEYPGEELVFTYGRDPFWFAVHRRSTGQPLFNTSAGVLVFKDQYLEVSTALPKDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLDKIHAQGMKYTVLIDPGINVNNSYGVYQRGMARDIFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCTIPKTHQCPIPDSKTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHKALQGLHGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPSPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYKLLPYLYTLNYQAHLTGAPVARPLFFSFPDFTPCYGLSTQFLLGASVMVSPVLEEGATSVTAMFPPGTWYNLFDTTKVVVSKTGATVKLDAALNEINVHVYQNTVLPMQRGGVISKDARATPFTLVVAFPFGAGEQADAEGAVYVDDDERPEMVLAEGQATYVRFHASVRGKDVTVRSEVQMGSFSLRKGLVIEKVLVLGLEGTGGKDLVIQVDGTDAGAVATSSPYFTAGGNAKVQGEEGVEEDSKKKSVSVEIGGLALPLGKSFTLTWKMQIEA >Dexi3A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:3A:5824210:5828972:1 gene:Dexi3A01G0008310 transcript:Dexi3A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPEPEETEPEFAEVDPTGRYGRYTEVLGKGAFKTVFYWHRYKAFDQLEGLEVAWNQIKVGDLLRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDRKNNNINFITEVFTSGTLRQYVLLCLFDYTAGSPNPSTSYRYDRVASSVGRHDRTGSMSDSHHTDNYTQDTMDPHAAIGRSITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWAAEVLVDNQGDEGAHSETQSSEGDEGTSELHNELDASHNGFVQEQLPSGRKYWSDSPRRDCEISQPAVVEPRIGDNIANGIPKRNDADDTVSANDVEGICGRISSSMDLSNSSAANSISRGASVGSSPRSLDDEREHSCDQHLVADDTERLMNLLAQQQEELSALQRKHKAEIEDMLKSVPAEDREETLTRCRLKMDEKIRGNKH >Dexi2A01G0025730.1:cds pep primary_assembly:Fonio_CM05836:2A:37401142:37401358:-1 gene:Dexi2A01G0025730 transcript:Dexi2A01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSASDGTGAAGVAGGGHRAGHDPPSIARAQRGGAQQRGVGGSVPVGGGWPVAKWQPQAAARGGEK >Dexi1B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:1B:18970162:18974388:-1 gene:Dexi1B01G0013380 transcript:Dexi1B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDYDFPAAGGYDPMAMGMGMGGLGLGGAMGMGGYGLGGPMGMGMGDYGLGGPMGMGGYGLGGEDDAGAGEGEADLPPAEMKVGEEREIGKEGLKKKLVKEGEGWGHPSAGDEVEVHYTGTLMDGTKFDSSRDRDSPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNSTLQFDVELLSWVSIKDICKDGGILKKVLSEGDKWENPRDPDEVFVKYEARLEDGTVVSKSDGVEFTVKDGLFCPAISKAVKTMKKNEKALLTVNPQYGFGEQGRPASGEEAAVPPNATLCIVLQVVSWKTVTELGHDRKVLKKILQEGEGYDRPNDCAIVRVKLIGKLADGTLFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGANETDQDLAVVPPNSSVYYEVELVSFDKDKDSWDLKNNTEKIEAAARKKDEGNVWFKEGKYARASKRYKKALSFVEYDSSFSEEEKQLSKPLKISCMLNNAACKLKLKDYKEAKELCTEVLELDSTNVKALYRRAQAYTNLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKETVKEYKRRDAKLYSNMISKLSKAEDTEGNEHESRRQSKKRGLWSLAELLRRYFTADGTKGSTLWLVLRLLILVVLVVAVCVGYYMQSGVQEIDCINC >Dexi6A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:6A:58441:59278:1 gene:Dexi6A01G0000070 transcript:Dexi6A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQNQRLNVVTMLGVMKARLIGTVPRVAVLKNNYVRRTPSSRRPKESMGETMRASSLRGSPGSGSPSYVDPVATSGDRPMPRGAAPPGFSIICTIYLPPQPQKDTHPE >Dexi7A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:7A:1674097:1678751:1 gene:Dexi7A01G0000650 transcript:Dexi7A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVGAVTSLLGLIRNEALLLGNLGSDVQFIKEEMESMNSFLKHLAKTALRGPSGEPDEQVQTWMKQVRELAHDCSNCIDLYLQRGNPAAHRYYLSGSLLQRIACWAPWMVDKLLAQHYAANQLRELRRRAHDVGQRRLRYGVEVPKQPTGIAAEEATTAVASSSTLSNKAAAEAPVAAAPPAAVHEDNEVEGDEDQSDGEGGPYDGYEAAKTAAVRRRALEPHSLDDYCAEKILEWLEGDAAMRESKIPSIAIVAPDPEVVGANARDALAFVASIHFKRSVWINLLKMHTQYLMEKRYTIARFWTTLLRLPRVVLTRNPPRPIDILCYILRECQEQNKQKKYHGEVQEHNVKNQAYKDRSSILGEISAKFQDEKMQKKVEEIVSKIKEVEASLAQESETDKSEDTTDGAMETTYDTHWTNKSLGILLQALNFLLIKPGETSRKSFGEGTDKILWDHDKIIKETAKKLKQHIEAVEPDLAKKDATDPEQKEKEEAKPVFPVSLDLFRYEHILHKMFPANEPQQAQEATTTSSPYGSRAAGADSSATATTSLGNAELKEIVHNIVYGILQDILKEQQQQFLQLPEATGKPAAKQEQATQHKPIHNEEDEYASAMKEAKQKIPQIKSEIKEQVTIQMFTSQSVNKDASQIFRDILDKCYPNEFCMKIFTHAIYANPNRSNEDLCKLLGSLDSQKSLGSINAKKMIKFSYNDLRKEYKACLLYLAIYPPGYPISRSTLVGRWVVEGLIAKEEWPNAVHHAERCFEALVNRWLVYPSDIGGVGKAKSCVVGDLVHEFITKIAKKQHIVEPRLSHHLARHFSIFNDVRLRGSDGIDNFLKKLRGSSEFSMLKVLDLEGTDITQLPSEINNLYELENGAAPELEKIVLSSTNIKSLCRVGGLPKLKELELKKNRFLLSITEEGGTDEKYTRSKLTFKKDEFQKLKYFLVEGPNMETDITFEDKAALELVKGNKSLLLSSLENAKKISKVILHSTWLDRGNLQILAKKPRIRCLVLSQNSYDESQLIFNNNDFPELNILIIECSTITNISFTNEAAPKLEKIVWSFSKMNSLSGITNLSKLKELEFTGDFVPDQVRDSIKTHPMQPFLTLKQPQHQDQGNGRVQEDDNDGKVSAACSWLLKNKYWPAAGQDEDLLAHILHPSIHP >Dexi5A01G0022480.1:cds pep primary_assembly:Fonio_CM05836:5A:26572609:26574901:-1 gene:Dexi5A01G0022480 transcript:Dexi5A01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNSSVSAALSRTTPVFQLRVWELIAIGVGILMAILIVVVLCVSLRKKKKTVKGYDNTSLAEIPIVSKEINVDRVDAQTLHDSAPFIPVHDKYTQMKGPGHLAETRSVDVDMFSQCSSVYNIEKAGSSYSEDYSYSSSGPARKGSSPYAYSASPLVGLPELSHLGWGHWFTLRDLECATNRFAKSNVLGEGGYGVVYKGRLVNGTEIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGVNQRGVLSWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDKSHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYSKPADEVNLIEWLKMMVTNKRAEEVVDPNLEVKPPKRALKRAILVGFKCVDPDADKRPKMSHVVQMLEAVQNAYHQDQRKLSQVGSIDVESQQSQEETSNSADA >Dexi6A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:6A:1832187:1835349:1 gene:Dexi6A01G0001900 transcript:Dexi6A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTSLPRHHLLLLILLLFVSISTTTSSSPSRTAELDALMDLKAALDPSGRALASWARGGDPCGRGDYFEGVTCDGRGRVTTISLQGKGLSGTVPAAVAMLPGLTGLYLHYNDLSGAIPRELGELPELAELYLGVNSLSGTIPVELGRLASLQVLQLGYNQLSGSIPTQLGQLKKLKVLALQSNQLTGAIPASLGDLAALTRLDLSSNQLFGSIPSKLAEIPQLSALDLRNNTLSGSVPSGLKKLHEGFRYEINSELCGAQFDSLKACPGDGNDNGNMPHKPESTSVKPEQIQKTADLNRNCDNRGCSKTSTLSTGAVIAGTIIIVAGVAACGLSAFSWHRRQKQKVGSSVEHLEGRLSVDQSKETCQRSASSLINVEYSSGWDTSSEGSQHGVRLSSEGSPSVRFNLEEVECATQYFSDVNLLGKSNFAATYKGIMRDGSVVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFIIYEFMSNGSLSRYLDVKDGDADAIVLDWATRVSIIKGIAKGIDYLHSSKPNKTHLVHQSISADKVLIDQFFVPHLSGAGLHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDKSDVYAFGVVVLQVLTGRRTVSSHLRLGAESGRLDDLIDPRLGGRFSRPEAAKLAGIALLCTAEIPAQRPAMAAVLQQLGTSQ >DexiUA01G0022270.1:cds pep primary_assembly:Fonio_CM05836:UA:45417505:45422649:-1 gene:DexiUA01G0022270 transcript:DexiUA01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVGSKAIRPKGSRQNVLIDGGSDDSLHKKKGRKDKGEKPRKGGHGSSKGPGKPQHGKDKKQRRGADGKKGEKRGKDHHSGTSVVMNPGNLKNQDSQPSSNTTKPMQNVLRKRVDPETAKYFMEISNLFDNKEIDLDERSTICANALEETRGKELELATDAVISHTLQVLVQGCDLEQLCTFLRNCIGSFPVIAMDKNGSHVAEAALKSLATHLQDETSRTMIEEILNKICKVIAADAANVMSSCYGSHVLRTMLCLCKGVPSESLQDFHTTKRSAVLAERLSSGKNQTGGHGPGNFEYGFSDMFKSLVREMLHNAKADIATLRVDKNSSLVLQTALKLSSGDDNELHHIISILLGYDEYDTVEKRYYNEKREEIVTLLEESAYSHLLEVIVEVAPEELRNGMLVGTLKGALFAISSHHCGNYVVQALISSAKTSDQINQIWEELGPKIKELLELGKTGVVASILAACQRLETYRLESSQALSAALSSDSESPDSIVAHILFLENYLRERSYWKWSFGAKMSVLGCLMLQSIFQYPHSSFLPLCICGRLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVAELLAVQSELSKTRHGFHLLKKLDVDRYARRPEQWRASLTSKETTHRQFEAEFCSNSKSVAQNFEGKFPSQSPVKKRKQKEKSDKLTDDDSNNNPGLSQNRNSKRSKSAKATSEKEYHKKLSSEGTSMTLLKESGKRKSPGFLSDKPSLKKQKHQRPDSGKPDGKRFVQGSSSSTPFVKITGRPKQSITELADLAGKEKLTAAEVRKLLKPEMSGKS >DexiUA01G0011540.1:cds pep primary_assembly:Fonio_CM05836:UA:23076834:23078647:-1 gene:DexiUA01G0011540 transcript:DexiUA01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPEFYKYLEEHDKDLLGFDDDEIKDDEETGISDDGEPVSKDEQKQVVKPIAMEMFDSWCDGVENEKIGPASYLVHVYKNTALCRYMKCSLPNRPKGRGLQSFLKICCYSAPESTGQDGALDETSVIVGAESSTFSRRLTEAQKQQDEPDDDEGTIAFSKNFPTEKKPKTTKEKNKKRPRDHDAAATEEDLVEDLVLSSDDEDTDNQGSDEDDSVPVEDDSDEDFVDPDSEYKKQKKAELKNRNMRPPLSNNKTKRKARPKKKTKH >Dexi1A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:1A:25661696:25662363:1 gene:Dexi1A01G0018480 transcript:Dexi1A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSRGSRPSNWSDPNPDTFALRPPAPAAIVYSAAYSIASCPPLAGTHSCARAPRALRVPMKLVELADEVGDEVHGDAHDADALGKLRAEDETGAEPPAGPGLVHRVAPEVDGLLAFLAVAVPTATMASS >Dexi5A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:5A:22183469:22186963:1 gene:Dexi5A01G0018680 transcript:Dexi5A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDPDFSLLLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKKGRRVVPKLTAEPMDVDVNGLDNVHDTVIDAEPLAMVVEPLALEESPEKSVARLDDLILEAIRKRKEPSGSNKVAIAAYIEVNQKYRIAPSSPSSLDGISAKVHSAGEINGENNSEQLTKPQVDAELEKMKVMTKEEAAAFAAKAVAEAELAIAEAEEAARVAEAAENDAEAAKAFLEAVTLSVRDRNAASTVE >Dexi9A01G0033520.1:cds pep primary_assembly:Fonio_CM05836:9A:38439745:38444368:1 gene:Dexi9A01G0033520 transcript:Dexi9A01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAWPGQLCAGRNSQARARRPEAWQISIRTEAHRAYGHAPPTAAAGTCHVVHLLPRVVSSLHQLRRGGADDKEGMEEQRIRRIGGPFVAGLACMLETGRAEPTHALTKLGVLATSTPLPSPHSHSHSLFLPPLSTIEEIPRFSIEGTKSSPELRRSSTPTSCSSTLPSSPSSSSFSIAPLLKLKIRVYAGRSDLNSQYATGKIETPGQGELEYGSDPVPIFGYFLSADEGAGRGSASTILASICPCSSSRVVCGCAMASSGVSFELLLSSAAYQLSKATSEAKNKAQAQYIYYYPARPTKQLPKEDIYIYLYIHGELAREGADEQGAQQPPAGRYRDAARDPMTDLMSTRRALVVVEAMLGISNFQ >Dexi5A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:5A:24322099:24322389:1 gene:Dexi5A01G0020500 transcript:Dexi5A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLITGGPRGGAAVAVAWSYRFEPPPPQWTEEPDSQAPAVAAPVLDSTVVARIGKRSRQRRSRPASVTGSSGRRRRIDTRQNQIKARRSRINLRSY >Dexi2B01G0034890.1:cds pep primary_assembly:Fonio_CM05836:2B:42071293:42074502:1 gene:Dexi2B01G0034890 transcript:Dexi2B01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALIETPAGFALFKVLDESKLIHVEDLWKDFASSESARKVVELKAFNKFENTSDALSAATLLIDSKPSKSLRKFLQKHCDGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRHQLTELMSGLAEQDLGPMSLGLSHSLSRYKLKFSPDKALFRALKTKHSTPKYGLIYHASLIGQANPKNKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRAKLQTRLNILENKEFASSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLPEATPKKSEVASKKRKHQDAHTTPLAENVEATEEQDNEKSKKKKKSKDTEEAAAVDADGGEKKKKKKDANAEGEKKKKKKSDEQDAPMEIDVSSKKEKKKKKKRAE >Dexi5A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:5A:8200996:8202216:-1 gene:Dexi5A01G0010940 transcript:Dexi5A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDHPLAAAASSSAGDDDEGTDTDASNSELANHQDPPPLTDATSAPPPPPASAPEPTGAAPPPPPPPPTQTQGAGAGEDSRRLFQRLWTDEEELLILRGFRDFTARRGTTFASHQYDTGPFYEEIRRQLSFEFTKSQLIEKLRRLKKKYRVCAARVAAQGAAFAFRNAHEGAIYDVARHIWRPAFRRGEGAGAGAGDASDEDDINPAAAAAAALPNATEDGGGSSASATTPRGKGGRRVRRRTAQESEAPALPSTSALMYEAAQEPLVVSVENLAPAFAPPPPVQVPIISPVAATPSPMPATANGAATEEVVRAIISPLLREFVSSVGVAEQAGLGLGLGMGFSSIGGFDILGLGFGAAGLNPGTPGDEKWRQQQILELEVYLKRIELVREQVTAALQELRSSEG >Dexi3A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:3A:2463039:2469180:-1 gene:Dexi3A01G0003690 transcript:Dexi3A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGSFKPRDHDDLIDHPTPVGCKNTKVNAVEYNRASVGARQKNYQEAALLTNNKCQEHGDNSNATDELALEREDEEVRFEQDCRASTESQQRIIAANVTQPSSLQNDDVDKAMLYLSNNRMPSEHTEIGNKMEYQSEPSKLLDLAKFILADIATEEEISDDVQNFVKNNPRKRRKLILLYDDDDDENKEEKAVDMQPEHISPRSLKYDGPMRKYIVDTEYDEEATVLTGNLSNQNRNNGRPAKKRRYIDATNDEEDEAVVGAANSDFAVNNAANLALNDDANLVSGTVVANGHCLQSRTISKSESADLHIFSQPAVEIVWRPNEVSKGLVSEIIESDSALKVSVGIADLLIFPSTILPEQNRLQEETLTADYTRTCNSAGVGPGLNPDYQHLLEHSQPFNFISTGLDEPPQPDAVASDACLELFPSGQQTVRAPGTDTSSKEEVDLELGLAIPSRPSNGKTNDEKCGRKRPSLEDNEDEESDCKGVERLWTYKSNKKWRKGIGTNKYEDEMCDQHEVCVEDGTNELAQPAISKHCEQQFDSCSKPIDKPGWSGIFKIDGKEHISLAGHLSTKSCKKVWNLSMSLPSVVQVTKVPRMTAWPKIWEKSKPTGDSIGLFFFPHEMSHDDELDQLVKEVMDKDLVLRAIIDEAEMLIFPSVLLPERHQTFQTKHYLWAAFKAKEDT >Dexi7A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:7A:18473518:18477832:-1 gene:Dexi7A01G0007120 transcript:Dexi7A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHMKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNARRVSGVLNKQYVARDSGKEKPTYIMVPKREEHTQREKAVAGSSDATSGGTSGPGQAVEAKRDKIIILKGRGRVDSNTPDGTLTPVKNVLPSSSRQDQRLEAGGRIIKTILSNKEVRSSNPSQHEQEGHMLNTERDKRPPRVLNPRTIVKDHIVENAERSHFDEKPNHLHGSAPVGEKIERHARNRDRPDRGVWAPRRYDKSTSGGGSHASSSEFPQMQSYSGDNLSQLADGHGDRKTDTRSHGGSRGGPVENGHRHINRRGPRGPKETEISASLSDAKNPKRGSASYGAHERQVWVQKSSSGS >Dexi5B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:5B:1476671:1478998:1 gene:Dexi5B01G0002320 transcript:Dexi5B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVRVRRPPVVESKLLCVSLLYLLTTLPLALYVSFSGDPGRRCHLLPFFPSRGGGSAVKTAALFEYPPGYGEHKHALPVPRALCSSPVAFADYKTVMEEINGLCRNLSASSSSRSPVLRYQSGKRDTFAGNLSTEKRRSFFSHTDNQVEVPCGFFKEFPVPEADRLAMEKCRGVVVASAILNDYDKIRQPKGLGTETLSTACFFMFIDNATHRVLSRHGILTPQATSTIAAGGATAVVGAWRVVTLVAGELPYENPAMNGVVVKHLLHRLFPNARFSVWVDAKMQLTVDPMLLVHALVVGKGVDVAVSKHPFNLHTMEEAIATARWRKWGDVEAIRAQMETYCANGLQPWSPSKLPYPSDVPDTAIIVRRHSLASNLFSCLLFNELEAFNSRDQLAFAYVRDQMSPKVSINMFEVEVFEHIAVEYRHNLKPDGGGGGKQRVTRMASSRDIAGSSCERYLLKMWGESAE >Dexi6A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:6A:24219611:24222418:1 gene:Dexi6A01G0016350 transcript:Dexi6A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLPTATSTLLPPPSPSIASRAAFVPSPASAVAASFPPGPANSPRIHRVRAPEAAPGMSSSAPSAEGCDAAGGDFTEVVIVRHGETSWNASRIIQGHLDAELNDIGRQQAVAVVLDPALRERHIGDMQGLKFEDAATQRPEAYKAFLSHKRNQQIPGGGESLDQLSERCVTCLYNIVEKHKGERVIVVSHGGTIRELYRHASPTRPLHGKIHNTSVSVILVSATTGRCIVKMCGDISHLQKTGVLENAFGGDKTSA >Dexi9A01G0045340.1:cds pep primary_assembly:Fonio_CM05836:9A:48916764:48917643:1 gene:Dexi9A01G0045340 transcript:Dexi9A01G0045340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTTIAPVVSKIFCSSSQAVLMVRRRPPTVNGGGFVVTDQNQRAVFSVDGCGILGASGQLIVRDGDGNAILFIHKKDPKPVLCMKGDVQVTVEPKGRNRHWDYEIGVKGMTAGRDFYQVVVQPGYDQAFLIGVIAILDNIHGESTRC >Dexi3B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:3B:11940962:11945497:-1 gene:Dexi3B01G0016390 transcript:Dexi3B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGAFSGQHTNALDRARRKGAHCSTSTTDGQTPCYGRSDSAVRPPVQAVRLPTTARDPVRVGAPWVVLGSAGHLEQELLAAVVLPGYNLATVKAHLQCKSTNSSKCKTPQAKQCGDADQPAPLGERSTTWVTPFQSLTTSSPELRSVELAKRPMLIDHRTKQAPHGARRREAAEHGRGERSTRDRLGCSKLLLMRRRVVVVVVSSSWCRHWGRFGCYGQAARGGGRAAQATGTFREPVTSQAHLAAAAALLPLRFPNHPQSLKLRGIDRQARTPRQPLRTGAARRCDRGASQMRSVRRATTWHGHGNATMGSGSDGAGERTGLSLRVQRQERAREHGGEPVDSKRWRGRLAPTRAPGRRLAALREQKDFVVGESEPGSASKRSSNASDNRKISSLVSVGVICDAKLSAHEVLSDPCVDTQSPGLSVPRPEKRQISTSLQQQHLLGSPCSVCRLAPDAFVLSIIDKKFSAAGRGEDEDVAALFRDGSAGVGAAVSFSFT >Dexi6B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:6B:22785064:22785858:-1 gene:Dexi6B01G0015430 transcript:Dexi6B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTPVLLSLRLSPPKGIVRAEKEQWHPSKARRVDLRSLRSDTIPHASPEIVRTEEDAKPADRRHGARRAGKIHEVVETYAMRLASYQSIKKESRSSPPQFVSTRRTARPGEVNSGGRRPLSFLLSRRSSLRMAADNIDEATSAGHQGVFVFLTHHRDFDSTLNNL >Dexi7B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:7B:16394389:16397969:1 gene:Dexi7B01G0008630 transcript:Dexi7B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPDEILLSTSLAGFLDNVFYGLLCPRFCLATYFVDFFHILIAEKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEKEARDLKGTMRKRMEFLDFD >Dexi3A01G0023420.1:cds pep primary_assembly:Fonio_CM05836:3A:19093210:19093638:1 gene:Dexi3A01G0023420 transcript:Dexi3A01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCRATGLLSTTSREACGDDGGGATLLFLLRARPLQVTEILPAGRRLWAATACEPKGAAREGRDGAACSCFIVVAEAIAGGPWRWHRMGWDGMGWDGSGVRWRTDDVRGGHVRNRGSGRRERQGRGELGGHGLARGFARL >Dexi3B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:3B:12445633:12448658:-1 gene:Dexi3B01G0016970 transcript:Dexi3B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGHPRRHRWRQLATRRTHRFKPVTTVHHHTKQAKHAAGPPLVYSLPAPPFLYPHPSAITASPTPPRRRGEVKAKPRTAQQQRGRGKGEAWEGALEGASEPATPPLLPPYAEQKPASGSGVLSRRGVAPLPPSCSRAAAERRSAPGLWGRRLVSSFPGKEALACLLGLALSCGIRSVQLVPGSRTAVECL >Dexi2B01G0034350.1:cds pep primary_assembly:Fonio_CM05836:2B:41610560:41611202:1 gene:Dexi2B01G0034350 transcript:Dexi2B01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSNKMSFLLALLVVASAMATPSAAFSLLPPIFPCVSWLPRIPLLPCVEPEPFPAEATDCLPHVMKMVPACTGFLTNSSVTAPPSTCCDGYHAVLDNGGGICYCHVANRDIQKLLPAPMNVTRMLSLPEDCGLGYTLDALAKNCGSFDVPPMTTPSPPAGKATPPAAV >Dexi4A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:4A:10943212:10944307:1 gene:Dexi4A01G0012400 transcript:Dexi4A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSCLLVLPLALLLLGGSPPPAAAQLEVGYYSKTCPNAEAIVRNEMNKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTNGNKAERDAKPNRSLRGFGSVERVKAKLEAACPNTVSCADVLAIMARDAVVLAKGPTWPVPLGRRDGKVSSATEAADQLPPAFADVPLLTKIFASNGLDVKDLAVLSGAHTLGTAHCPSYADRLYNFSSGHSADPSLDSEYADRLRTRCKSVDDTATLSEMDPGSYRTFDTSYYRNVAKRRGLFQSDAALLTDATTGEYVRRIATGKFDDVFFKDFGESMVKMGNVGVSTGAEGEIRKKCYIVN >Dexi4B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:4B:2034503:2035161:-1 gene:Dexi4B01G0003050 transcript:Dexi4B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLEQKLALAKRCSREATLAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPEHLRNTSFQGAGRPHPAFFRP >Dexi9A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:9A:6220208:6229822:1 gene:Dexi9A01G0010260 transcript:Dexi9A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFPLRLRLRGAPLTTPHPSACSTSRLVVHASAALASAPRGGGRVGRLSVSVSAAAAGDLSGSAPIASGAPAWDALGGVSVLAAGTGDAVPLTDLWDPTEGVAVVALLRHFGCFCCWELASVLKDSMARFDSAGAKLIAIGVGTPDKARILADRLPFPVDSLYADPERKVTIPLPDLSELVECSAYNVLGLYHGVGRTLFSPASAKIYSRLDYIKKATKNYTLEGTPADLTGVLQQGGMFVFRGKELLYSWRDEGTGDHAPLDDVLSACRKIPSVSQRWRKKRITISSGLSKDQPLHSSSNWWPHLPRPAIRNRLRPTNGHFLLAVAAPSDLPLSPAVRLPPRLLCLTLAMATPVGASLLPRVSLPPPARSTAASARGLDLCSLPARLRRGHLRLRRSPSPAGTAAASSPSVPSSSPDPGSGIGEALGGVEIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAIGVGTPAKARILAERTYDLLGLYFGIGRTFFNPASVKVFSRFDSLKEAVKNYTIEATPDDRAGVLQQGGMFVFKGKELLYARKDEGTVPLTPSLSAGRHNPLRCRRRCTPLPGVPAVPHGCTRPHGPRNSTHGYGHTVHARHQQHRVSAPPQTSTRAHQAQLHRRTAHSGIRHGRTEASILNFYA >Dexi3A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:3A:1890549:1891166:1 gene:Dexi3A01G0002850 transcript:Dexi3A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISSPLAAAAALLLLLLICFFHSAAAARLLSAVPHPLLSQEDDVKAVAAAEDGLVLQNGAAANGDDLSASSEMMGADEEPAACEEAKDDECMQRRLLHDAHLDYIYTQHKGNKP >Dexi7B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:7B:9837541:9842259:-1 gene:Dexi7B01G0004020 transcript:Dexi7B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNKASILNKTLYEIGPPSWIRKQINANTLNRELALSRHFCGAIGLLEHCKITLKTSMDSTKFWQVVAHTAKNKSYMIRQGWKRFCKENNLMEGDICTFNVVETTLWHVIITRWKEKINQSFYVTGNPSASSMKRKSKNDRSCSEEQKRPKGSMTSLNKASSTTTCSFEMGPPTWIKETNSSSMEKQFPTESTSDKCIIAFVRARPWRRRLALAAIALPPPRASICGCCCLSPATN >Dexi1A01G0030470.1:cds pep primary_assembly:Fonio_CM05836:1A:35768304:35769484:1 gene:Dexi1A01G0030470 transcript:Dexi1A01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIEYAVVARGAVVLAEHGGAGAAGGNAGAVARQVLERLPGGDADCNVSYTQDLHVFHAKRTDGITALCMADDAAGRRIPFAFLADIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADSINRMRGEIDQVRSVMLDNIDRVLERGDRLELLVDKTANMEGNTMRFKRQARRFRNTMWWRNVKLTAAVVLILSVLVYLVLAYLCHGFTLPSCVL >Dexi7A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:7A:25490362:25493665:-1 gene:Dexi7A01G0015630 transcript:Dexi7A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAAVSTLTALSIFSSTVEHAAYRSVHGYKVLGRKDGKWVRWERWLERQFVLSLSVPPCIEVAVPAASPRILLAGWRGRPVFREGQTVGTWRCIVAFDSVASIAPSSPPPPVLNPVVNPQLQCLPNLYIDLQKVFPFQKVEAKEQPVHSGEQKKGSDETDSSEYDSDGDPQSDKELAPQVQKKPRANRKLIESITLVDIARCFHLPIREASKTLKIGVSILKRKCRKYGIPRWPHRKIKSLESLINDLEYVLDDDAREEVQQGLQKIEEERQAAAIKALTKRKKMLESEMEIIQQKPALDLMTETKQFREDVFKRRYRAKTSILESLGSLESLE >Dexi7A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:7A:23312731:23316570:-1 gene:Dexi7A01G0013150 transcript:Dexi7A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAPVVTHPAVLFVPFPAQGHVTPMLQLARALAAHGVAATVAVPDFIHRRIASAAGVNGGVALASIPSGIVVLDADDGDPPGFGAIVHSMEHHMPAHLERLLLARPAVACVVVDVLASWAVPVAARCGVPAAGFWPAMLASYRVVAAIPELIERGLISESGTPISSSEPSDDGDDDQDGDPTMRGLKILPPQVKLRAGELPWLVGDSATQRSRFAFWLQTLHRARDFRWVLVNSFPDENAADDDAHRLVAIARHGPHVLPIAPALLPGGDLATAERTKQQQGPCGDKNPSMWRADSTCIAWLDAQRAGSVVYVSFGSWVGSIGPDKVRELALGLEATGRPFLWALKRDPSWRAGLPDGFAARVAGRGKVVDWAPQEDVLRHGAVGCYLTHCGWNSTMEAVRHGVPLLCYPVAGDQFINCAYITGPWGIGLRLGAAMGREDVRGGIGRVMGDDEDGGGEGRRLREKVRALRERVVAAEARRAADRNVRSFVDDIRRDHHPLLTQIYSVL >Dexi8A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:8A:28852066:28852453:1 gene:Dexi8A01G0017110 transcript:Dexi8A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIVCLMAMSLLVLVMISSISPSYQACIGRGCLRPRPPCFVPVSRDYCTAEMWPHVCSVNRYETNRAYCKKPWFGSAPWECCCQTPR >Dexi2A01G0023270.1:cds pep primary_assembly:Fonio_CM05836:2A:35017549:35018533:-1 gene:Dexi2A01G0023270 transcript:Dexi2A01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSLARSVLDGVLSSAGSAVADEVARLIGVPKERHRIAERIRDLKASVVELNQRNQRYHIVVVDGTTPARVGEQQAVVPYQDMLSSELAFQVSDVIGRNHEKTELIELISSSTTTTASSAGLRVVSVWGMGGMGKSSLVRMVHNDAALLDEFDYGAWVTVPHPLDSPDVFMRRLRKELGVADDQNLGSYLRDKRYRVIVDDLLSPEEWEHVCKVFDQFGDKEGSLIIVTTRREDVARHCARGLGMSTS >DexiUA01G0016840.1:cds pep primary_assembly:Fonio_CM05836:UA:36018347:36019945:1 gene:DexiUA01G0016840 transcript:DexiUA01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKTLLALALSTLLPAGAAWAANNDTIIYCSEASPESFNPQIASSGPSFVASSQVLYNRLINFDPVKNTPVPSLAESWTISPDGKTYTFTLRKGVKFNSNKYFKPTRDFNADDVIFSVMRQKDPKHPYHNVSQGNYEYFNDVGLDKLIQDVKKVDDYHVQFTLSEPNAAFLADWGMDFASILSAEYADAMLKKGTPENVDTWPIGTGPYVLQQYKVDSLIRYVANPNYWEGAVPTKHLIFSITPNVETRLAKLQTNECQIIPAPSPVQFDVINKNKDLKLHAVEALNVGYLAFNTEKKPFDNVLVRQALNYATDKKAIVNAVFMGSGTVAKSPIPPNMLGFNNDLKDYSYDPEKAKALLKQAGLEKGADVTLWSMPVQRPYNPNSKRIAEMIQSDWAKVGVKAKIVSYEWGEYLSGMRKGEHDSALFGWMSDNGDPDNFADVLLGCDSIKTGSNAARWCDKGYDDLVKKAKLTSNPGERAKLYSQAQEIFYAQAPWIALANGKTFYATRSNVTGYSVSLMGSDFSKAKLN >Dexi5B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:5B:2933007:2936979:1 gene:Dexi5B01G0004340 transcript:Dexi5B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPARFKETTLINRRKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRSSIWKQMAEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPTSKFSYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLDAHELAAFSSAYAELESALSGLNVLIETYFADIPAESYKTLTSLSGVTAYGFDIIRGAKTLDLIRSSFPAGKYLFAGVVDGRNIWADDLAASLSTLQSLESVAGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNVLAKALAGQKDEVYFAANAAALASRKSSPRVTNEEVHKAATALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEINKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNVLWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASAK >Dexi6B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:6B:25831198:25831464:-1 gene:Dexi6B01G0018900 transcript:Dexi6B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRTKVEDVSQRNVRYRLIRGPDTKSAASIGQSSMTGETMSGIEEARRQRDKEKVEIIRLINSKDENLRVIAVWGSSGVMEKSIIK >Dexi3A01G0030850.1:cds pep primary_assembly:Fonio_CM05836:3A:35170267:35171510:-1 gene:Dexi3A01G0030850 transcript:Dexi3A01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMLHTAPIPTSLPDRFVFPADQLPPATTATISLPIIDMARGRDEVRRAILDAGKELGFFQVVNHGVSEELMLDMEVLAAEFFEMPEADKAAYYSADINKANRLYSGTTYETGGERYWRDCLRLAYDFPVGDHVKDWPDKPQRLREIVEEYVVKARGVGMEILKMVCEGIGLRPDYFEGGISGGDVVFQMNHYPRCPDPSVAVGQPPHCDRNLITVLLPGPVPGLEVAYNGDWIKSIEHRAMTNSEQPRTSVATFIMPAGDCLIGPAEEFIDENNPPLYRSLTFAEFKRTYNVVKLGASLNLTTNLQKAQKEI >Dexi5B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:5B:4915007:4915296:1 gene:Dexi5B01G0007300 transcript:Dexi5B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTRPRREADFSRFPSSTPIVIDNGASTFRIGWAGETEPRAAFRNVVQRPRHRSTGTPHCASY >Dexi9A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:9A:2827918:2832856:1 gene:Dexi9A01G0005140 transcript:Dexi9A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARRPAPFFLMKDRVDVYVDDFTAGEPGCLPFDSYMQQNGHYELHPVDHPFEAIGDYISAAPLVPSATFNDLGHQYFAEREIKKPIFDHASSIGHRVGSQLPLLTPKTEVSHLIESGLGSYKAYEMNGRLAPRKKASSNLLKKANVVKGQWTPEEDRKLVKLVEQFGLRKWSYIAQMLPGRVGKQCRERWHNHLRPNIKKDIWSDEEDVVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRTRSSSKGPKSGTLLQNYIKGLGIGPSKNVVAAPVVTQPTLPPPSSPPATPGADSPKIDEMLDHSPSNILDPQVILGVVHEEYNCSEAQSCEELLAPIMCEEFSVADMCGCLFDTKEDAFHQVYSVEDDDIDMSYIFSHLDHAIKADPEIDMEMEMLWDDDALGCVVEPPAGSEAVLVKEEMDLVEMMAATQSPSGDGSN >Dexi4A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:4A:23417908:23422834:1 gene:Dexi4A01G0019580 transcript:Dexi4A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAGGHTPPRPSASGGATPPPPPPPPRGWLAGLVSGAGRILASVLGPESSSSGSGSVASVTASDGGSPSASFSPAPCRLPGPSGEGHNGGIDNGDSPLFPVRNDQLNQGVKETALKDYAGSLAIVSEIEPKDALMQLLMQETYSRSECSKFMKIIQERVLDSDSGDIDANGFALMSAQKTGRQAVDGYSLFSPYESSPTSSSLQMHRCDNSVAVGTIPKFTHTDQSPFIQNSNNVQPVLKRNYSIRDDAYEEIRRVRPKINGNPLNISKFKQVDIIRNHPAANLGEELAAREPNASRDEKKLLTDPNANNLVYPNMVSKVESADEMLDVTDKPSAVTPQLFDSSFSQAGSDQKGFGATTLNQCSSEDLKTGFPVKVEPLNVFIPFEQQMMNLSHHKQEHTVCDDSCSLSKLMLKEDIEAAHSLPMGIQLQNGPKNRRRRQSSSLKTAPTPRSPAKGSRRKNNDIVVKSEMDLLEQSKLVLTEQEQQLGEIPVKRPVGRPRKPRNGTSAVDPSTPASYV >Dexi7A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:7A:16958856:16959200:-1 gene:Dexi7A01G0005720 transcript:Dexi7A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDALPAVGSRSPPASCPTARPRPLRRCHLRRGRTGKTTPPAGDPPSASLFPLPSPCTASAFRLRRTRCSSHSSCSAARSLARGEIISPPLLLGLGSRRLLPSPHALFASGVG >Dexi2B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:2B:5533030:5535948:-1 gene:Dexi2B01G0005950 transcript:Dexi2B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQACTRSCCAFPAKDLCRLRAVCRPWRSLLSDPNFIAAHAAFHTDPFFVVVSYGTGRCYDSDGDDDRVLFSIMDLSGRVIKRQLRHAADDDERVMCATELGLICVSIKGSRTRCRLINPATGAVHELPQELAAAHGNSVAIDGVVYFLLLSDGWDRNPDLGLVASFDLETEEWSASIRGPLNSGADTSPGGGRSLTYDRAFIAAHRACCHPEPLLAYPHRDRNRAHSVDIVDLSGQLVRREHLHAWHSPRASRTGSQVVLANGSHGAEPGHWCWCVTLPECQSEYTGNGPDQRFSPCGAVCIWSGLHQGRVQGITCCSPVDPEDKVCEMKSVVDGVVHFLIDFRPTYFDAMGITVEAGSIASFNLETEEWMPTLSGPAP >Dexi3A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:3A:13636968:13640874:-1 gene:Dexi3A01G0017900 transcript:Dexi3A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSSRSGSTKAKDLSKGASDKGYAGKEPAFSESSPVISEPVLVSAHNNETAREVAKVENSSVQGEVTVPDVNQDLEKHVSVGSDGSNDAERLKEEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLGAKPDSWKEKLYSNAFARKLLSSPIVVEALHFQYDETDPNSALYWLERWTTSRVWKPISQPKRVGADAKPHARKASYAMETESGKLKRNARKSSPTPFEPSQTNTAIDIEKTKRNPRKPSSAPGDSVPDGQLTELEKVKRSLRKVTNSMSETSKVSNPAPEIPDHQEVQCERPVRNAKQVPVHLENQEPQNFNLSDNAKMDTLVPDLQPDEESASDPVTNEEKVDEPYVVAPPAEVMPLQDINNEENALVNDMEQRSKEEPLSTESLKGSKRRPSFSTKPEYPENGSKNSPALPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSTNGKTISHSPRTQRPTHAGGKDGAKGDKAMLSSRDASENNT >Dexi5A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:5A:5100014:5105848:1 gene:Dexi5A01G0006840 transcript:Dexi5A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTRPRREADFARFPSSTPIVIDNGASTFRIGWAGETEPRAAFRNVVQRPRHRSTVNNDAQQVGHPILMTECECNPSFSRARMAELLFETYGVPSIAFGIDNAFSYKYNQKLGNCGEDGLAISCEHGTCHVVPFLKGQPVLGACCRTNVGGFHITDFLRQLLSLKYPYHTANFTWEKAEELKKEHCYIALDYMSELQIFKNNKEEAEEKTRYWQLPWVPPPKEEPPSEEELARKAALKEKAGQRLREMAAAKRSQKIVELEKQLSYLEELMDQLDEADESEATAILSRSGYLSQQEIKSAISKATQSLRKAKGETNGNEEKAEVSAADKYPLVSVPDEELTPDQLKEKKKQILLKTTTEGKLRAKQKRAEEEALREKLEEQRRAENPELYLEELRARYSELSEKFEQRKRQKVNGGQTNGNHGSSGGVGRGERLNAAQKERMRLLASAAFDRGKGEDTFGMRDEDWLVYNKMSKDNDDDGNDDDESELVRIASKLQEIDPTFVSKSEAVQLTPEPPKVRPLTAEDYRIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKQRLCQSILVTGGSSLFPGMIPRLESGIRQYRPYRSPLKLVRAADPIIDAWRGAAAFAASSKFAKQTFSLADYREHGENLFHRYNIVYSL >Dexi3B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:3B:7470048:7474893:1 gene:Dexi3B01G0010670 transcript:Dexi3B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding FASMGTIGFPVTRTSSSLVAPSSATPHETLLLSVIDRVAGLRHLVRSLHVFDGKAAAGEAVVSTPAKTLREALGKALVDYYPFAGRFVAEEDGEVKVACTAEGVWFVEAAAACTLEEVKHLDHPMLIPKEDLLPEQAPDVDPLDMPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLPKPRVTPVWSRDAIPSPSKIISPPPRFELLDLRYFTADLTPDHIAKVKSSFFASTGQRCSAFDVCVAKTWQSRTRALLLDAGDPVHVCFFANTRHLLPAAAMAGFYGNCFYTVKATRPCGEVAAADVVEVVRAIREAKARLPADFARWAAGGFEKDPYELTFSYDSLFVSDWTRLGFLEADYGWGTPAHVVPFSYHPFMAVAVIGAPPVPKLGARVMTMCVTEKHLPVFQEQMNAFTAAGN >DexiUA01G0027550.1:cds pep primary_assembly:Fonio_CM05836:UA:58796584:58796985:-1 gene:DexiUA01G0027550 transcript:DexiUA01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHRSRGSPVPQLLVFSLLLVVVSPAVASNGNVASKPIVTPISKNASLYAIPIKNGAPLVLDLAGPLVWSKCSPPQGTSPPCNKSTACAVTVDVETLSANATDGKTPLYPVSFPANVACAPNRRRWRRGAD >Dexi4B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:4B:3481874:3484143:-1 gene:Dexi4B01G0004870 transcript:Dexi4B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKISLSFHESGLGDGFTRSLEMVMMVPSLRMAMMSTMNGAKSNLQMSAMRRNPKTIRMFFILWKMDLLVSTALTITLSPGSVSTMSEALRAASVASSTAMPMSAFFNAGASLTPSPVMPQMWPRSWRRLTISYLCSGNTPAKPSAFSMSSSMGMPFTVPFLSPRRVVEGYMLVPMPRRRPVSLPMASWSPVIILTLTPRWRARRMVSALSCLGGSNSGRRPMNCHGSPELSLLFSGTCWYATPSDRRPRSAYPLMRAWTFFSASAPMVQSLMMSSETIPTKSCQSGGLPPPLARTMAMMAAISMTQESGFHMKPRNLSSLLSFFSSSLLGPNALSRPSPSALVRPLRSHFSVLNTSSTGILDCKINN >Dexi9A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:9A:10364148:10365964:-1 gene:Dexi9A01G0015520 transcript:Dexi9A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRHLPCLICCFFFFFLLHGGRRAGAAAGKVPAIIVFGDSSVDTGNNNFIPTVARSNFWPYGRDYANGIATGRFSNGRLATEFISEAFGLPPSIPAYLDAKCTIDQLATGGEAAAKEIIGEALYIWSIGTNDFIENYYNLPERRMQYTVGEYEAYLLGLAEAAIRRVHALGGRKMDFTGLTPMGCLPAERIGNRGDPGECNEEYNAVARTFNAKLQGLVAKLNKDLPGLQLVFADTYQLLADVVKRPADYGFDNAVQGCCGTGLFEAGYFCSFTTSMLCSNANKYVFFDAIHPTEKMYKLLADNVINTTLHVFM >Dexi9B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:9B:10195690:10201555:1 gene:Dexi9B01G0015100 transcript:Dexi9B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRRRRGAGGGRGSLPGRGGGGGGQGGAGRGGGGGGGGGAGRGGYDQKRQTAEAMRDIASSLGFECTINYGPGVQMSPQIKFLMACDSGNLRRIKALVESLDEDDKESLESVRMEGLGALHAAAMKGNVDVCRYLVEVLKFDINSVSSPELGMTPLISAASEGQIAAVRYLLDKGADPNKQDHEGYAPLHDAAKGGFDEIARLLLSGGAIVDISSADGTPLHAAAAFGKISVMQILLEHHADVNKVSPRDCTPLAETLLATPERVNESTRLKCMKLLVKAGADLNSRHPQTPLVIATLRGLTECVENLLKAGADANIPANDVGSKPIEIAAESGSRKLVEILFPFTSPIPDVSNWSIEGIIAHAKSSISKGKANQSENKDSKVDLKLHDEKVNKQDAVSSKPYPEDTASDKDRKAQLKLQGAKAVEQKDYTGALKFYSEAINLDPKDAVLYSNRSFCHVKLGEAHEAFRDANACIRLRPEWTKGYYRKGAALMYLKEYKQACDAFMAGVKLDPTNEEMEQAFWEAAEAMKKEHSAEKSVKSFD >Dexi5B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:5B:22434700:22438896:1 gene:Dexi5B01G0020210 transcript:Dexi5B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGIAEPPESSDTHSSPHPPSSPELHPTQDNPSLTTVAPSEMPNPATPVPPPPAEESTVEHSEADLLYPTPINEVEQPLSHPPQQAAPSASSAFEASPTEKLASPAPHPEEAALEVSHEVTASAPSPSSSPSPQIVGDSLEDAPQPSPPPCTPPATAAHTSTDSSSIEEIAMAYPCQEEAVRPSPMPESMDAYSRTPTAPLMPPVESRPKGLLPQQQQQPCPPSPEMAFTPCESLEPVQTLPLQPCHPVECTDASPDATVDEVVEGKLEIAASSLPVPEAGDGHKDITSLVLPALDIGSEEMLSQQQRQPLCPEMVPGRGENSKYAHPPQPPPLPESTRGWSNALTNEASAVASEEATVALQFGAERSSQEPVQTPMTSRMEPEPCSPETAPPGFEDFKSQWMPLPPPIPPAESAHNVARVAASSPVGVMCDVATESLPALEAMGVEMDTPPGLLSPLKSGAEGRSQQPLLRSCSPLVEAAPCSPDMPPPGFENCKSSWLPLPTIPPVVETTYALLDVASANTVAFMEKASFVSALESTDVETDTEQCRLSPLEGGTASSLQGPLPISPSPKMQSAPCSPDTSPPGFKKAIYVTSKEAPQSSSLDAPPSGSETGKSLPLEHTLVPSHVAEHTVCALGMVPSGSENVESSQLPQLPAVDLTLDETPDALVDAGTKTVTTEDACNPKPVTGGKEEANGSMLRPALENDGEDPLPHLEQHASSDIAPTSAEIAPTSFENSESSPQTSPCLAETDPSAQASATMLEIVKSDKTSLPLSPLQATGTDMESATLQHSPLKNEESSLAQSEQHPSSTCSCSPEVAPPGFENLESSEQLPPPPPLSAKFAGDFTEIGQMVCGYCRQLLAYPKGSVHVQCFGCGTINLVLEAL >Dexi8B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:8B:23496005:23496610:1 gene:Dexi8B01G0013370 transcript:Dexi8B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQMWHIATSHAEVCDPGDKVYVYSGTNSTIYVDSLFNQLLKIEIDGVECWPDELFNVKANIAYEHRHNLQEVDVDDMEPLLKNCLIGVLLYCTSQPEALPSHHQRPRLEVGDDRQGRSIPQ >Dexi4A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:4A:19879297:19879811:1 gene:Dexi4A01G0016290 transcript:Dexi4A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKAAHFLVLALFVVSVVILPSSVCHGIRSAGLGSGSLDPDHPVCIGGACGVRGEEDYPPYMVVVATAPTRQHPPRHEHIEPASVINKYGSLGSCPL >Dexi2A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:2A:14210554:14210915:1 gene:Dexi2A01G0012190 transcript:Dexi2A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSCLVPPARLAPLARLRRRCWHRRRLAPPRCAAAAAADGRKTTVESKAGDALEVCRVLNGMWQVSGTSWGRADPAAAVDAMLRYADGGLATFDMADIFSRSGSGRL >Dexi6A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:6A:6310295:6312160:1 gene:Dexi6A01G0006510 transcript:Dexi6A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWCATTCSPFTVSTAPSTACPPSKSSNKPTASRQRRQATSCRATAGDDGSRLLWLPRRDILTGMGGAAAGLLAGYPGLASSAALDTTTLGEKCPMGDKVNDKVVSCTDPNTKFPCPQPTPAPATAVDFKPESTVKRVRRPVHLLTPEEQEKYKEAVRKMRALPSSNPLSFTAQAAIHQAYCDKHYIYDPSSSKSNKPTTFDVHNSWLFPPWHRMYIYFYEKALGDLIGDDTFALPYWSWDTPAGMVVPAIFKDNSDDNPLHDGNRNPDHLDTLVHLDQGDTSELIPFDATSQDPEYKAGVFRNLCVVYQQQVRLGTDAPAFLGSKFCTQSTGRQGQGTLERMAHTAMHVWAGRSGPPPGSSCSAATGGFLNHDGEFSCQNDMGNLGSAGRDPLFYSHHSNVDRMWHLWSTVLGNKGFDDDTWLDASFDFYDNYKNPQLVRIKFRDVLETRNLGYTYDAESEKDLPWMKCQLTSLVPRGSARKPSSPEKKPAFPVTLRKSQVVVVPAVAVPPPEKKKKRLLVIEGIEYDPMAENEFDVAINVPRADALRVGPEYTEYAGNFAVVPSSKVGGGTVKGKIALCIDGVLKDIGAASASTVDVVIVPRTEAQIKLNLEPTIQA >Dexi9A01G0044280.1:cds pep primary_assembly:Fonio_CM05836:9A:47898275:47898802:1 gene:Dexi9A01G0044280 transcript:Dexi9A01G0044280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKDEKARESLDIGASMNHSHYGYKNMVIDCLSGSDGKEGIAKAADLLCSTYFNGETHGNGKKEPKFTEADMASALKAVVVDQNLIFFSCMVLLDVI >Dexi9A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:9A:11110537:11121398:-1 gene:Dexi9A01G0016170 transcript:Dexi9A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGPRRKKPCACCKTYLDHLDGKNQPVSYFISVMDANSKHSLIVPNKLVQRFAGKLLGRIINLESPNGSFYDVGVTERYNKTVLQRGWKTFVHANHIQENDFMLFRHIEKTCFEVLILDSDGCEKVLPCAGVRNSPSIQEQSLDSAGISSSSCRDTTESSGSERFARRALGRSSSSYRWRTAKMAATSSSFEESGYASQQLGGREQRRWDWEPVVPLIAGLRREQRCLAPALFSGTTGACTAQRNAGREPRRWEVVREHVPSESKEVSDGSLNSNDSGGPSEESTDETAIPADMARSGGSQMKKSCDCCKRYLDHLDEKNQTMSCFLRRMTSNPKHRMSITKEYAFAHFPHGNANVTLQIPGNIKKWHPIFYVRKDRRMHMLRGQWLDFVRDNHVLEGDICLFLPTNGVRRFMFTVYLLRATAATDSRSGVGFQRVGPGPVGPSAKMASEVHIEEPTNGTVAKL >Dexi5A01G0032410.1:cds pep primary_assembly:Fonio_CM05836:5A:34943864:34947626:-1 gene:Dexi5A01G0032410 transcript:Dexi5A01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPVAASASPAQPDQLAAAASVSTPQNPNPNPLLSPQIPPSPTVSDLSAHISSPQQLDPAAAAAAASSGGGGSMDYPPRPPQLQNPNPNPLLSPQIPPSPTVSDLSAHISSPQQLDPAAAAAAASSGGGGSMDYPPRPPQLQVPSPTQAGAGPGGFGQIHRSGSASRLSTASQLPQYAAMAARVYGAQMCFSGGGGLVGQQQQQLGGRAPLLGQGQLGMLQGQGNAASAAHFGLQSQMMAQARQKGMVQGTQLNNANTAQALQGMQSMGVMGTMGMNQMRPNGTIPYGAQQRFAHAQMRPQASQQAALSPQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLEKNWHMSVPGFSKEDKNSQRNSVKPSVDPQQPETDATSIRGPSNKLIANNSVGNHQIRPPIAEPSAMPTMGPMSKVPRF >Dexi5A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:5A:20415557:20415820:1 gene:Dexi5A01G0017200 transcript:Dexi5A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTRRERTAAAAAEVRPSSSAASLAQVAPGSFEVQRRRVGGGWTSRRISIYASRAYFLLIILQIPLFRSFS >Dexi4A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:4A:9000773:9001882:1 gene:Dexi4A01G0011150 transcript:Dexi4A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPAAAAVRTHATTTRPGGLKRKRTAVGSTEQYEEISRLGEGAFGSVIKARHRATGEIVAIKRPGTVANGGHAVEALLREARFLEEAYCGGANPFVAGFRGVVRPPASLTDLRLVMECVGPSLHDALRRRPGPRYPPLPGPTVRAAMWQLLTAAKKMHGDARIVHRDIKPQNILVGAGDDGHSVVLKLCDFGLAMSTDEPPPYEPAGTLWYMAPEMLLEKEDYDTQVDMWSLGCVMAELIDNGRPMFQGFYDQGQLCCIFDVLGVPDDNTWPWFSSTTFAAVVMPEIDVQRENRLRELFPESKLSKEGFEVLSGLLTCNPEKRLTAADALKHPWFANVSALELPKKAEVALPLHKKQRLHAVCVV >Dexi6B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:6B:24076396:24078054:1 gene:Dexi6B01G0016780 transcript:Dexi6B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSAASLCPATGGLSPSSPFGRKPSSSSNHRRLQLQAATVCHCRPARVEVLDRREALLGIVLAAVAPAFAPANALAAEATVWQKSCRRASVSTRTRPTSSASTFHKARWLIGAGESSGIKSVTAFYPEQTPDSNVSVAITGIGPDFTSLKSFGDVDSFAEGLVNGLDRSWQRPPGLAAKLIDSRAANGLYYVEYTLQNPGERRRHILSAIGMAFNGWYNRLYTVTGQYIDDEESEKYRAQIEKAVRSFRLT >Dexi9B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:9B:4601641:4609082:1 gene:Dexi9B01G0007530 transcript:Dexi9B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGYVAILSLLFLFSLYRLLGGHHGKINGENKNKQRLPPSPPAIPVLGHLHLLGKPMHATLARLAARYGPVFSLRLGSREAMVVSSADLARECFTEHDVTFANRPRFPSSLMLISYDGTTLPTCRYGHQWRNLRRVATVQLLSAHRVSCMSPVISGEVRAMVRRMYRSAAVATGGAARVELKRRLFEVSLSALMETIARTKTSRAEADADTDMSPEAQEFKKAVDEFIPLASAGNISDLLPVLKWLDVFGARKKIMAGVRRRDAFLRRLIDAERRRSLDDGGGEDDKKSMISVLLSLQKSEPEVYTEAVIMALCSSMFTAGTETTATTAEWAMSLLLNHPEVLKKSQAEIDATVGTSRLLAADDVPRLGYLHCIISETLRLYPVVPLLLPHESSADCKVGGYDVPRGTMLLVNVYAIHRDPATWPDADAFRPERFDDGGAQGRLLMPFGMGRRKCPGETLALRTLGLVLGTLIQCFEWDIVDGGDESRTLDRAGANHLKHDAPAISAPPPPHCPASPSSFTSPTQPESMEPAAYVAILFLSFLFLFSLHRLVGRRHRKINDTKTTQRRLPPSPPAIPFLGHLHLLGRKPIHATLARLAERHGPVFSLRLGSRHAVVVSSADLARECFTEHDVCFANRPRFPTLELVSLGGATLPMCSYGPYWRNLRRVATVHLLSAHRVSSMLPVISGEVRAMVRRMYRSAAAAPGGAARVELKRRLFEVSLSALMETIARRKTSRGVGEADADGTDMSPEAQELMKALDVFIPLLSAANKWDYLPVLRWLDVFGVRRKIMDAVSARDAFLRRLIDAERRRLVDEDDDDDGGDGEKKSMIGVLLSLQKSEPEVYTDTTIMALCSSMFSGGAETTATTSEWAMSLLLNNPDVLTKAQAEIDATVGTSRLLAADDVPRLAYLHRVVTETLRLYPVVPTLIPHESASDCEVAGHLVPRGTMLLVNAYAIHRDAAAWHDPDAFRPERFEVEEGGERLLMPFGMGRRKCPGETLAMRTLGLVLGTLIQCFDWGTVAEGVGITLPRAVPLEAMCTPRHGMLRVLEELRGGGRTRTPALARWWLIRAVHCRGAADPPDIRAQDLGIVASLSSLYKLTKSMDYL >Dexi6A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:6A:20397657:20404049:-1 gene:Dexi6A01G0013320 transcript:Dexi6A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNPSKSKSTRKPRPSSSSGMDSAAEPNPEAPRRPRQDRSAGGGGSSKKSKSGASKKPKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKTMPLILAGADIAAMARTGSGKTAAFLVPMLQRLRRRDPGAGVRALILSPTRDLAMQTLKFTNQLGKFTDLKTSVIVGGDSMESQFEELSECPDIIIATPGRLMHHLNDVKDMTLRSVEYVVFDEADSLFSMGFAKHLHDILKKLSDTRQTLLFSATLPSALADFAKAGLRDPQIVRLDLDKRISPDLKLVFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARMIHISKFRARKTMLLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMPYLLDLHLFLSRPLKPAPTEEELIKDMDGISMKIDQAIANGESVYGRFPQTVLDLVSDGLKEVISGCTELIALEKPCANAFKLYLKTRPMPSKESITRAKDLPREGLNPIFRDVLRSDELSALAFSERLKSFRPKQTILEAEGEAAKARNSKGSNQWLDVMKRKREVHEGIINLVRQKSSVDPRQEEEEDDISNWEKKEVCGTKRKSQSFRDEDHYISSVPQNQHLEAGLSVRNNEGFVENRLDAAVLDLVDDEASGMQAQKTRYHWMKNKFVKLNSGDRVTATGKIKTEGGAKIKASATGIYKRWQQKTHKSINIGGKSGNFGEEAPSSSGGYQGGNKKPFSGGRGRRSIPNANVPSEIRNPEQMQKSRQQKAMEITRLKNKSAKDGKFQNKFQKNRRPEGSGKGRGSGKGGAGKGGFVKGGFGKGPAKGGKGKGKGKVKGKGGR >Dexi9A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:9A:5845975:5849790:1 gene:Dexi9A01G0009620 transcript:Dexi9A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALAASPFLPCLPALNPSKQVSVRTSVRRLPVAASAAPSGAAAAARERRRFLERYGLNPNDYEDGKEEDPREERRRDRRGRRRSGRGEDAAEAVVALVKAVEPRETHKLLQYVCFFQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGSPASLRPGLWLDLYSGTGSVGIEAMSRGCSEVAHFVEMDPWVISEVLKPNLECTGFLSVSHVHMLRVERFLANAEKSQGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGKDCFIVRSCCPISSQ >Dexi3A01G0028150.1:cds pep primary_assembly:Fonio_CM05836:3A:28584055:28584396:-1 gene:Dexi3A01G0028150 transcript:Dexi3A01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDVDKLQMLLSFKKQGARLVEASLDDHAGLVAAVAQADVVISAMSGAHIRSHNLHLQHKLVEAIKEAGNVKVSV >Dexi3B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:3B:12657999:12659774:1 gene:Dexi3B01G0017210 transcript:Dexi3B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASHLHPSHSTSAGRHEREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >Dexi2B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:2B:2476619:2480117:-1 gene:Dexi2B01G0002890 transcript:Dexi2B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAARSLLLPAVVVLFLAAIDSAAGAAEAAALQEFRRALLDVDGRLTSWDAAAADAGANPCGWAGIACSTAGDVTGVTLHGLNLHGELSSAVCALPRLAVLNVSKNALAGPIPAGLSSCAALEVLDLSTNALHGVIPPDLCALRGLRRLFLSENLLHGEIPSGIGDLTSLEELEIYSNNLTGEIPTSIRDLRRLRVIRAGLNDISGPIPVELTECSSLEVLGLAQNNLAGELPRELSRLRNLTTLILWQNALSGEVPPELGNCTHLQMLALNDNAFTGGVPRELAALPSLLKLYIYRNQLDGTIPPELGNLESVLEIDLSENKLTGVIPGELGWIPTLRLLYLFENRLQGSIPPELGQLSSIRKIDLSINNLTGTIPMALQNLSSLEYLELFDNQLHGAIPPLLGANSNLSVLDLSDNQLTGSIPPHLCKYQKLMFLSLGSNHLVGNIPPGLKACRTLTQLRLGGNMLTGSLPVELSLLQNLTSLEMHQNRFSGPIPPEIGRLRSIERLILSSNYFVGQIPSTIGNLTELVAFNISSNQLSGPIPRELAQCKKLQRLDLSRNSLTGVIPQEIGGLVNLELLKLSDNSLNGTIPSSFGGLSRLIELEMGGNRLSGQVPLELGELAALQIALNVSHNMLSGEIPTQLGNLRMLQYLYLNNNELEGRVPSSFGELSSLLECNLSYNNLVGPLPSTPLFQHLESSNFLGNNGLCGIKGKECPGSSASSDSSKEAAAQRKRFLREKIISIASIVIALISLVLIAVVCWALRAKIPELVSREERKTGFSGPHYCMKERVTYQELMKATDDFSESAVIGRGACGTVYKAVMPDGQKIAVKKLKSQGESSNIDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSNLILYEYMANGSLGELLHGSKDAYLLDWDTRYRIAIGAAEGLRYLHSDCKPQVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAVAGSYGYIAPEYAFTMKVTEKCDVYSFGVVLLELLTGQSPIQPLEKGGDLVTLVRRMMNKMTPNTEVLDSRLDLSSRRVVQEMSLVLKIALFCTNESPFDRPSMREVISMLIDARASSYDSFSSPASEAPIDDDSSPKV >Dexi4A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:4A:932138:934699:-1 gene:Dexi4A01G0001450 transcript:Dexi4A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAALQLAALLATLAAAAVAAGPQGKPVTESGAQTPPTPSSFTPKDSFLIDCGGSAPLTTSGKSYKTDAQANHLLSAKDAIRVADDKADVPSPLYATARVFKEEAVYSFPLAVPGWHFIRIYLFPLKGGDVDLAAVTFGVVTDDNVLLHSFTPENKPVVKEYLVNATENHLAVKFQPLKGSAAFANAIEVVNAPDELVVDTALAIAPLGEVAGLAHEAYQVLYRVNVGGPAIGPANDTLGRQWDTDASYVESKEAVKDVSVPTTEIKFPDGVSRLVAPAAVYASAARMAEAEVGNPNFNLTWKVNVDASFGYLVRLFFADIVSKSTNDLYFNVFINGRKVISGLDLTTVTGGDLAAPYYKDFVVNQSVATDKLTIQVGPLGQDTGKIDALLNGIEVLKMSNSVGALDGEFGVDGRKADDGSGSRRAVAAVGFAMMFGAFAGLGAMVVKWYKRPQDWERRESFSSWLLPIHTGQSFTTSKGSGYGSHKSGNGFSSTMGLGRFFTFAEIAAATQNFDEKAIIGVGGFGNVYVGEINDEDGTTKTKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMHNGVFRDHIYGGGDGAPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNPESLAKFAETAEKCLAEFGSDRISMGDVLWNLEYALQMQDANPPEGAQQQEEDDESEPRGSSAVVPAGNAPPDASTTAAGELFQQLADMKGR >Dexi7B01G0021600.1:cds pep primary_assembly:Fonio_CM05836:7B:26588598:26590002:-1 gene:Dexi7B01G0021600 transcript:Dexi7B01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVMTTMGQTWASDESEVGKSGALSVVWTTPLVATLGMSLTIPLAMVADMILHGRHYSAVYILGSVQVFSGFVIANLADRFSRSLGL >Dexi9A01G0038780.1:cds pep primary_assembly:Fonio_CM05836:9A:42921599:42922383:1 gene:Dexi9A01G0038780 transcript:Dexi9A01G0038780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGPTPKQELTIDDIKVEDKAPSKAAPPSTSISNTKTKTGTAIAPSMQLKETDAAAAAAHAKQKLMERQEKLARITEQSAELESEAENFASLAQQIRKNTENKWWKR >Dexi5A01G0035080.1:cds pep primary_assembly:Fonio_CM05836:5A:36948326:36948693:-1 gene:Dexi5A01G0035080 transcript:Dexi5A01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAQGKGTRGLTYSPPRCARLLHATRLPSPLPAIAAACCSADPRGTTPACGPVAHTLLPREAQAHLRVKCTQIVCPPSIILARRPQSNNLHPGAI >Dexi1B01G0028790.1:cds pep primary_assembly:Fonio_CM05836:1B:33236372:33246057:-1 gene:Dexi1B01G0028790 transcript:Dexi1B01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKVRQRYPSSCIHGGILRPESTNLFESMVGCRLQGISTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGAQLKDTVEVASLKPCHLSIVEEDYTEELAVAHVRRLLDIVACTTAFGAKKPEQKPTTPDAAVAEAAKPGSPGKTAPAGGGGGEEPMYPPPKLGQYYDFFTFSHLTPPLHYIRRSTRPFVDDKSEDDFFQIDVRVCHGKPVTVVASQAGFYPAGKRALISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGQGRDGKQDHRPWKKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVAVLKAVASIQQLISSHTGPHETANGTTGPVLHTEQVGDMKIMISKDRADASSKLDIKLDGSQAPGMSSDELAQRNLLKGITADESATVHDTATLGVVIVKHCGYTAVVQVPVDADLTTTSIAQQGIHIEDQPEGGSNALNVNSLRMLLHKSCAQSSGGVQRLHGCDPQDNETTKNFVQKIVTDSLEKLENEAPMVTRPIRWELGACWVQHLQNQTSEKTETNKSEETKNVPTVKGLGKQFGQLKEIKKKTDDKSGKGAYAKENASPNTDNAHTDNTTSAKEDKEAALQKLLPEAAFQRLKESETGLHAKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDASAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNPSDHVDDEDQKSPPPNNDNLQMEKETAGVKENGTFVEHVKVKEEIPSDTAIRIPQDDFIEEYTSDEGWQAAVPKGRSTGSRKTGAGTRKPNLAKINTNAFHSENGRYKGRGLSNFSSPRVSPNETSAPIASSPLAKKLAKSSSFNSKAGTAHSGDNSSIPNSKPASPAITSAAAKVMPSTAPASSQTVRKSLSYKEVAIAAPGTLVKALSEVHTEEKDTIDQGASVDSAKPPKESNGHPSGEKDGATEVSQKGDSSRVSKATDGGKSEQTDVSVGSDQPESEHEKTSDAAETSVAKKTTDLAASVTSSATQTEAEAPNAEAPAVVEANDSSSNDDERETGEDTPEQLSSGGENEKSSPSESEKKETTSKLSAAAAPFNPSSVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRTKPVLPNGEAPTETNTSAPRVMNPNAPEFVPGQSRSPNGHPTSPNGPLTSPGGIPSSPQGLPSSPDSTVESPVTASPQVSECSQTSPEENVASSGINVEAGGEKQNTDDTNHTESEDGEAEPEQTTAPEVAEEAAAGKDVTEESIATEQPKSWADYSDGEVEVAS >Dexi3A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:3A:4515817:4522334:1 gene:Dexi3A01G0006770 transcript:Dexi3A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRCHLRRSGNLVLRASRGCCEERREKKSSGPSQVQNRKLKGGRRAAELREADSDRVYWRTACRTRTKASGSKERTPDFNSNHIGDMATTYKPKNILITGAAGFIASHVAIRITKKYPDYKIVVLDKLDYCSNLKNLLPVSSSANFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKITGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGETLPIHGDGTNVRSYLYCEDVAEAFEVILHHGEVGHVYNIGTKRERTVIDVAKDVCELFNLEADKVIRFVENRPFNDQRYFLDDEKLKSLGWAERTPWEEGLKKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEGSEEIKGMLKGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFVTKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIYNFTNPGVVSHNEILEMYKQYIDPSFKWTNFTLEEQAKVIIAPRSNNEMDASKLKEFPELLSIKDSLIKYVFEPNRKV >Dexi1B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:1B:2816858:2819052:1 gene:Dexi1B01G0003550 transcript:Dexi1B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEANGGEDLGQPRGEDREHEDEGEERQDGSAAHQRQPQAQRPAARPSSGQQPQPQQNPTPPVMMRNVGYVGKHRLSAAIARLDQELQSLQEELNELETMEPASTACQDVITSTGGKPDPLLPITSGPENSSWDRWFQRVRSSRSNKWWASRGSDFS >Dexi1A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:1A:28189563:28189950:1 gene:Dexi1A01G0021430 transcript:Dexi1A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSRPEAGAAPSRGYARDLLAAFIGTRGQPRNRTVSTRESNNRPTLLLDQDVAMCPSMVDDRISTGEHVDSHPDRSVTNA >Dexi4B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:4B:1984347:1986299:-1 gene:Dexi4B01G0002980 transcript:Dexi4B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGAAKDSAFLGVRFADSLKLESTALGLRTKRVSTSVAIRAQAAAVSSPSVTPASPSDKKTLRKGTAIITGASSGLGLATAKALAETGKWHVIMGCRDFLKASRAAKAAGMPKDSYTIVHLDLASLDSVRQFVKNVRQLEMPVDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGHFLLARELLADLQSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGVNSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSEEASDADKAKKLWEISEKLVGLAPPVSE >Dexi5A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:5A:14055594:14059340:1 gene:Dexi5A01G0016010 transcript:Dexi5A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCKDEEVHQEWLEGDPSRLPPHVGAKAETQHRGRRGLFAGENQHYLLWFHRVARTRLRPTAMGYNMEDVDTDAEDDYDVDTRWGNQLERAPLHDHMLSRIVNDAGVAMRHGNDARFLRSFVERVRRTCRRMALKLNCVTANPVDPARAPGGSSDSQPTHVHSAPGGSSTMARPSSSHRAGKAPASPQASDEDVPGDNSEDSPAPGFADQFIFTQHMDDAPPYTQTQGESSQMNMTQTQRESSQVRQRCVLSTRTAMHNLGGVVGVTAQTFPAVPIAYRLGTNLLTCNASASHRGRGGPPPLVEDGSVVSPCAVSHRGRGGPPPSVAGSGDASPCVASHRGRGCPPPPVPGGGAISPCLASQCGRGCPPPLLADGGAASSCVASHCGRGCPPPTVDGSGAVLPCVANHRGRGNPPPLVAGGGAASPCVASHRGRGGPPPPVAGGGAASPCVASHHGTDDSPPPVAGSGAASPCVASHHGTDDPPPPVVSDGTTLPCIRDHLRWVSIEPRRNMSKYEEDERGEQCESTWAMAKWLVL >Dexi5B01G0030140.1:cds pep primary_assembly:Fonio_CM05836:5B:31143398:31145772:1 gene:Dexi5B01G0030140 transcript:Dexi5B01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLKHRPVSSSRSARSTQSTEKGINGLPSANQQQPCAKKRTRSRTDSGERLKEEDKPTIKAVGEHWEVHPYQGSRKPPTVIGALVREMYPDVVDTAKGPKPLLTWEDYKQTSTCGEPNDKRVVKEFWELKTGVDPGLGGAWLHNHELHPGTKDGHLCSQSATDKWAQYEEAMVNKYGPNWKAEHPNFDASVIYEYVGRMSHGKLGIADEAISIAEKESIKTRKRSAQPYASAREKRLERENEKLRKDNRVLYEIERVVRAMAAKGGLDYDALAQETTTNLAASGSEGGLSKENEKYAGDDDYIGGTYNVDEDDVDYNYDNAGYHDHEYEENCDNDADNVYRNDPGYDHW >Dexi4A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:4A:22402260:22403532:1 gene:Dexi4A01G0018400 transcript:Dexi4A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELRSFQKECPIVLTFCKCRKKHSYVCPIFEATGECPQESRCKLHHPKKKNKSKRRVDTLQNNSWGRYFDTSIDHGSGTRVGSSEEEERQKVEQVSGDEFADFIDLGADIEVAGDVVASDDMQMMELDSGNLKMQADNLDALIKPLRIMRTARV >Dexi3B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:3B:6022333:6024166:1 gene:Dexi3B01G0008660 transcript:Dexi3B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVVPGSGRLSRPRPPRVGLRGAGAVAAAAEGPSCLFVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGTSAFLVPSLYTLSLAFGDAFGARYLLYGKESLDAITRVNDLALVGLGYLVGYPIASASVGALRGLLSNNLVALKGSCPNCGEQVFAFVKTDKSIRAPHRAECHVCECPLEYRTKIEKSLSGPRRSWVYGRVYLVKQGHPRKRKWIKD >Dexi8A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:8A:29251885:29252247:1 gene:Dexi8A01G0017600 transcript:Dexi8A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQTKTTKAMNTALCIIVALIMASTLSAFGETETLCDPVKGECRATECTKECREKATKTKSMLTRVVCEKHSTPQECCCTFDDIDVDI >Dexi1B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:1B:2328657:2331011:1 gene:Dexi1B01G0002780 transcript:Dexi1B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLSPPRLRATATSAASAGGTATPPRPAVILPVAPSSLAQGLGNNTGDYARLAAALREDHGLPAAVVARVTRPDWLRNAAGLADANYWRGTLRPRPVLDWYLKRVEEAVAEARELCGPGGKISLIGHSAGGWLARVYMEEFDASDINCINRYIQGAPLLGNSAVASDEVLAVDTPSEGGEAVIISTSDKSAPSSATWRARFVGQGYKQVCGRADVWGDGVVPEMAAHLEGALNISYDGVYHSPVGADDEQRPWYGSPAILKQWVHHLLS >Dexi9A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:9A:10460676:10462624:1 gene:Dexi9A01G0015640 transcript:Dexi9A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNRSVRFGHGVGAAGPVVYLGGGGGAVPGSGMISAAMGSLMFGVGGVPAPVENMVQHGGYLASAGYNAMHAAAWSGGVADAGGEQQQELPAGAGNGGVQRPAPQQHRGAWTEEEDETLKEMVQLHGERKWAVISQHLPGRIGKQCRERWTNHLRPGVDKAKSMWTEEDDRALIAWHKIHGNRWSVIARQLEGRSENAVKNHWNATRRSLKAKRRLKKKKNVEAPPGQWTELESYIRDLSSGGGADDNNVAPPDPPSDDSSPPSSYNTAGYGEVVSADFATVAAAGFDYSAAMVGMYLAANSSSSSAAGYLGDMMSNNTNMAVVAQSSYLAGLNLNAYYGVEELMVQDHQADGASYTNNLITYPLIDHLQAEAWKPTSIYADAHANNAANEEEGHHYYYGGADAGPSGGAAAVHEDDVVQLASREFLIPTEEDVTLKLAAFM >Dexi1A01G0022820.1:cds pep primary_assembly:Fonio_CM05836:1A:29417649:29418953:-1 gene:Dexi1A01G0022820 transcript:Dexi1A01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHSSFTWKHWIGLMVTSAAYFFPYKQLSSMAKPVYADNGELLDGGFDLSTGGGEVEDEKTRKKREKMEKKASRGKMIKTRTR >Dexi9B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:9B:2008575:2010950:1 gene:Dexi9B01G0003520 transcript:Dexi9B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAAAGEGDSPEADRCVDALRRLRAIQVNTDVLVSTQVGKRLRQLTKHPHSGIQGVATDLFGYWKAVVLEETGKKNGSSENERSSDSSGKAEKARPMKIERNSSSSSIKIERNSSSSSMKIERNSSSSSMKVEKRDVDVRGQKPGSVKVEKATSNGSKAQPVKVERVSKEASRTTDTKKPASLHTGPPKLTSLVKCNDATRDKIRELLAEAFAKVSGETSNDDRDEVRNILDEVDACDPFRVAVTVESALFEKLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLIGQVRPERLPDISPEEMASDARKQENNQIKQKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >Dexi5A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:5A:1250329:1252838:-1 gene:Dexi5A01G0001760 transcript:Dexi5A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGDPLVVGRIIGDVVDPFVRRVPLRVAYASREVSNGCELRPSAIAEQPRVEVGGPDMRTFYTLVMVDPDAPSPSDPSLREYLHWLVTDIPATTGVSFGTEIMCYEIPRPVLGIHRLVFLLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >Dexi3B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:3B:6565457:6567559:1 gene:Dexi3B01G0009500 transcript:Dexi3B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAHAVVVDIDAPGNDASAKPPLAPPVPYVLNFTDLSYSVKKNGGLLGCLPSRPSNRLESADASPPASSAAGNNTKTLLDGISGEAREGELFAVMGASGSGKSTLVDALAGRISRESLRGTVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPDKKRARVDALVDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLLLSRGRTMYAGTPAGLKPFFSEFGAPIPDNENPAEFALDTIRELERQLDGAVALADFNDKRIKTASANKDSKIMSTMMPLELAIAESVSRGKLVAGSGSSGNAVSGSVPTFANPPWTEVWVLIKRSFTNTARMPELFVMRLGTIMVTGLILATIFWRLDDTPKGVQERLGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNAYRRVSYVLANAVVSFPPLVLLSFAFAVTTFWAVGLSGGASSFLFFVLTILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFITRDRIPGYWKWFHYLSLVKYPYQAVLQNEFAGGVARCFSRGVEMFDGSPIGSLPEAVKLKVLDAISATLGMEVTADTCVATGADVLAQQAVMDIGKWKCLLVTVAWGFFFRALFYVVLLVGNKNKRK >Dexi3B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:3B:9431482:9432057:-1 gene:Dexi3B01G0013210 transcript:Dexi3B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTAAAVVGLALVVSAAAALLFSPITAGDVDGDEAKALVAIRAALFDPSRVLRNWDDTAGSDPCSWAMVSDAPKPLR >DexiUA01G0003530.1:cds pep primary_assembly:Fonio_CM05836:UA:7190815:7197393:1 gene:DexiUA01G0003530 transcript:DexiUA01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRRTSRKRRKKVLVDYLVLFRWIPAIFIALPVSALIYLCSYLVATWSAMKSEERRRREHDENVIKVVRRLKQRDPRRDGLVCTARKPWVVVGMRNVDYKRARRFEVDLSGFTNILEIDSERMVARVEPLVSMAQITKATCPMNLSLAVAPEFDDLTVGGLINSYGISGSSHIYGLFSDTVVAMEVVLGDGRVPTDPPLWPGQAELPPLHLIRLYYFQPNQEQQSQFLPSPLDGHVTEEVPNGANSSQNNEHLSAPKSTAASTMAASMQSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMDAMKVIEEEEENGSVANAPPPVENGTPVKAQSSSSSKKSKKASKSQLESDASVGPNGKASTEEGEIEVSKPASRVSRRRKSNTNPQNGTDDAGLDNPDLGPFLLKHARDLIASENPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYEEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYASGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHREHGEPASLEETADRRLMGLICDTKGEHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFAYQKALTGLL >Dexi9B01G0040980.1:cds pep primary_assembly:Fonio_CM05836:9B:41466494:41470522:-1 gene:Dexi9B01G0040980 transcript:Dexi9B01G0040980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAAAAALLVFIAIAGGVAADGSDHRYKTGEPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRMDLDSKAVCSKKLTTEDVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKADPSEWKYYLYKHIIFDVLYNNDRVIEINVHTDQSALVDLTEDKETNVEFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALFFVRHIYKSIKCE >Dexi5A01G0034540.1:cds pep primary_assembly:Fonio_CM05836:5A:36570982:36575090:-1 gene:Dexi5A01G0034540 transcript:Dexi5A01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGAKMDGRRQSSSSSSFCTTTTVVVFVALCLVGAWMMTSSTVFPLEIASNKKSVVNQQPAPVSFGASEGTGSGNAGDVSGKFEDTDNNDNTIPEEPSNKEAPDEEKFTENTVEKPEEKEQEPPKEREESKDTFDDANGKSEGRSDDVKNDDDGVRSEEKKDDEITNESGDEKPDGERKDDQEEKSEGDTSQEEQPQIEEKVEENGEKEQSLNSNEVFPDADQSELLKESNTQNGSFPTQAAESKNEKEVQASSKSSSDETSYSWKLCNTTASTDYIPCLDNEKAIKKLRTTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDYLLFPGGGTQFKNGALHYIDTIQQALPNIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLAEDVEIWNAMSSLTKSMCWKMVNKTKGKLNQVGMAIYQKPMDNNCYEKRSENNPPLCKESDDADAAWNVPLEACMHKLPVGPMARGAKWPESWPQRLEKTPFWIDGSRAGVYGKPANEDFEADNAHWKRVVSKSYVNGMGIDWTKVRNVMDMRAVYGGAKSVLIAYRCKLLAVFAEVDRVLRPYGKLIVRDTADTINELEGMAKSLQWEVRMTYTKGNEGLLCVEKSMWRPKELEASR >Dexi1B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:1B:25797062:25799905:1 gene:Dexi1B01G0019560 transcript:Dexi1B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASRNVRFQNDVDVHHFRTSPLENFSRKHGSKGPHDPKKCRVGFRGGGCLEKACRNRTLLKDRVLSRAFSEELESLMHSGGGRRVFFDPRGHLIHLWNKIFLSACLLSLFVDPLFLYLTGTRRDMCIQFEGSLALTLSMIRSLLDLFYAAHILFRFRTAFIAPSSRVFGRGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVIPRLNESPTANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRLESCWNEACRLDSPACQAMFFDCKTVSGNRTIWYELSNITSVCTTGNGFYPFGIYAEALDAKLTSSSFTQKYFYSFWWGLKNLSCLGQNLATSLFIDEIAFAIVIGVLGLVLFGLLIGNMQATMVRLEEWRSKRTDMERWMHHRQIPQQLKQCVRRYHQYKWVATRGVDEEALLRDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDTVDSMLFVIRGYLDSYTTQGGQPGFFNSCRIGAGEFCGEELLTWALDPRPAAASRLPLSTRTVRAVSEVEAFALVADDLRFVASRFRRMHSARMRHRFRFYSHQWRTWAACFIQAAWRRHKRRRRGSVELRVREGGDVWGGGSLRRTRRHSIDGKVAIKKPMEPDFTAEEDD >Dexi9B01G0031850.1:cds pep primary_assembly:Fonio_CM05836:9B:34152292:34154594:-1 gene:Dexi9B01G0031850 transcript:Dexi9B01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLRNFARLERRDLARRWLSKASKSTGSWLNKYGRDLTKEAAHGDPVVGRDEEISRVVSILSRKSKNSAVLVGEAGVGKTAIAEGLAQRLAAGEVPGFLAGARLVELNVGKLLAGTDSWGVLEERVAGLVADAEAAGPGKVVLFVDEIHMLVGAGRTGVSKVDASDMLKPALGRGRLRCLGATTHDEYQQFFAPDKAFARRFQKVHVPEPSEDATAAIDLVDEACSTARLQLDLRASHQLGGDDRSSSKTTLQDDHTVVGPDDIAEVVAKWTGIPVTRPGQDERERLAGLPERLQQRVVGQDEAVGAVADAVVRSRSGLGNPKQPSGSFLFLGATGVGKTELAKALAEELFGDEKHLVRIDMSEYVGDWSVSRLIGAPPGYIGYEKGGELTEQVMQRPYSVVLVDEVEKGSDTVMNLFLQILDDGRLTDGKGRTVDFTNTIIIMTSNLGAHHLVGCPPDAADARQRVIADVRSRLRPELINRLDEMVVFRPLSGDTLREVVKLQVADIAARLADGRGIGLDVTDEAADVVQSMSSDQVAMYGARPIKRCLQNMVMTRISRMMVQGEVDDGCNISIDAADDLDELVFNVNKPEKIIEPVLDNKTDEESPPLTNKVKPVPKRREKKVKPESVVHECNISVSDANEPVKTDE >Dexi3A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:3A:16632810:16633343:1 gene:Dexi3A01G0021000 transcript:Dexi3A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPIFFPVGDDELYALDTGGFEMISLKPLWPPRLERENHHCHYGEEWTWHCLPAPPFDRMDVASYALHPDGRTILVSTTAPEFIADPFSVTDDGDDDGGATAATFAFDTKGNDNNAWARHGEWTMPFVGRAHFVHGLNASSGSPMTWTPRATSAPARAPSSPAAAAAAAAAAVFLR >Dexi5B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:5B:7943574:7947027:1 gene:Dexi5B01G0011230 transcript:Dexi5B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRMRWMSEGDGRWELDAETPATMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFFHRFMASPFVPTFSASGDGLPSTTPTSSTSRTTGAVASGYLGDCSRRVSIRDEARRSNAFRMFDERNKFAAFADLFASVTFTAQYGNFQRLFLDLTKASARFGIASGSLFLCGASQLAQDFFFSKRPDIQTFCDVCPDVTVSLQQQIVGPFSFRVESSVSIDPRSQDNFVRVDDSIFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFEA >DexiUA01G0006380.1:cds pep primary_assembly:Fonio_CM05836:UA:12302804:12307019:-1 gene:DexiUA01G0006380 transcript:DexiUA01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRISGHARHCRGEAAAALAAAATATAAALTVAATAAPARDWADGLGTDMLLAILSRSRLPDMAPRHAEPALWRRIVMRGGHNEMIDGLSSIRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMKVQSKSYRKATRRIEALESLFERHDIRSSPHIQQKLKVLHDKQELSAKIKSIKKTMRASTALAFKDELKARKRVLRRLGYVTSDDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECKIQIDVESFVDSFRPDIMEAVYSWARGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLYL >Dexi4B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:4B:2700068:2702212:-1 gene:Dexi4B01G0003890 transcript:Dexi4B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVVLALLLVAARWIDLDASPLLVSTGAGQRRRHHGNSTAAHLVPIPFTCGNGTSPHPPKCSATPSPPPPPPLPTSGEPSCPDYFRYIHDDLRPWRDAGITRETVERARPHAFFRLVIVAGRAYVETYRRAFQTRDVFTQWGILQLLRRYPGRVPDLDLMFACDDPGQVRAADFLPEMSKAPPVFRYCKDASTLDVVFPDWSFWGWPEVGIGPWKKMLEEVKEENERVKWPERQPYAFWKGNPMSYPMRVELMRCNVSNGQEWNARVFTQVYVEGNAWSAREKYIMACDSPVLFVRTPFQDILSRGLVAGEHYWPIRRDHMCKSIKFAVDWGNKHPAQARLIGEQGSRFVREEMSMDYVYDYMLHLLTEYAKLLRYKPTVPENAVEVCTESMACTARGLHRDCMMDSMERHVAGFEPCTLPPPFTEEEAKKIADREADVLRKVEKMEG >Dexi1B01G0029880.1:cds pep primary_assembly:Fonio_CM05836:1B:34005779:34015404:1 gene:Dexi1B01G0029880 transcript:Dexi1B01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDKGHAAVDGSWGEASEGNGPGSLAHELGDWAVVLRSPQLVLQLRGFIHTPTGEDGDGGDWREERGGDGEKKRREGVEVNKPWAIMVEEARSPIGPKEGKRGGSSLERFWVDRAVRLSSSIHSPPKLIPASNRFLCSSRRVERSGGVRARGGKMGRGKNKKRRDLPALARIRETGAPGPIKKSLGYRPNPPPHLLKPGQNLARGYDVLFAAPAADDAPIDWPSPRMQQQKKERMQGRKGDQLLRASLPRSASPGPVATDEDVCREPHPKTLGKEKTVGNNNDGLSAKLEHSKNANFAESLSKETLGKQGPLGIQTACGTANGPYKELTAKGSLPREEGVLSANLDTWHGISQAGMATWQPLCRDLSEASRQRQGLPRASQSLTAKEIFAESFPAALGKTHGKQGVCRELGLVALGKEMFAESFQRSSRQTSLKFFMWLSAKALFAEILGLALGKESFCREPDPALGKQHRSRLSASRVFFTLNCSLISPLLSLISFSTPAPTASFPAAAHSAPPPPRCPAADAGQPPRRTPVLTLTVIDASRIARREEVVRQRVMEFDDEAGVGDMLNDYDQAHFNEGPSEEEPEVTAKAYYDMLKMLVMDRRSRASSP >Dexi6B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:6B:26599564:26608586:-1 gene:Dexi6B01G0020060 transcript:Dexi6B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRFCRFLALKDPRPRRSGQGVKESMDDFLLEDEEVIAQGHGVILLNNREVGTLSVTNFRLLFVSQAKKCVVQLGTIPLTTIEKLNDDVKLQPLPHLSDKNRPRELLQVIVFPPLLMITLATTILQRLLKDLALIFVFYLIYFCSGKDMRIIVFAFVPKTKQKNEVFDALRRYTKPANLWDLYAFSCDPSTIKNDSEPKRRLLREYFRLFRRSLPQLRGVEEVSLCNDWWRLTRVNSSYSLCSTYPSELIVPRGISDEDLFQASTFRSGKRLPVISWCDPGSGAVLARSSQPMVGLMMNFRNNADEKLVCALSSRTTDDKGSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTSSAVSVVGDRDMMSFLINFWQRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQIAEEAASVLVHCSDGWDRTTQLVALACLLLDPYYRTFSGFQWLDCISQLLRLYPSAFQFSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGVTSSCRCMWSYLADLRAAGGSFHEHYNPFYDPVKHNSALLPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYAEAMKAKDIAEWRAKDIKMKMESMKQDLQRERRASNTTLATAQRAQRENAAIKKAIESIGCTIKFSMSENQEDRTEVLSCSLRRGTDGRDQEDENGDFSVSISAIEDSLVSEAPGDHFCESLCPFRTREGCRWPDAPCAQLGSQFVGLKANFDAFDRLSIQDCYFGPE >Dexi5A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:5A:2076316:2076542:1 gene:Dexi5A01G0002880 transcript:Dexi5A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLARRRCFRPLGLRFHYGSGTTLTRGLMRVAPGGGKATVLVNKVDGVPLRFTNGVDVDQVTGEVFFYG >Dexi9A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:9A:2786464:2788685:1 gene:Dexi9A01G0005080 transcript:Dexi9A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNPLRPIAVPPKQNNHARATWHSRPLYIPSRTLTPNPTRRRLQLLQAAPPLPSPAPRLELPLSPSTMADVEVDTEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Dexi4A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:4A:1071242:1075515:-1 gene:Dexi4A01G0001640 transcript:Dexi4A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGLTVAVLSILMLPADVANRQACSKAVYSGACNLTLPMKTLWLVVYIVDAVLVFLVIPFAMFYYEGDQDKSVGKRLRTALIWVVASAVVCGLVLGILYGLVGKVDFTVRHLSSSVETFPNSFSLSSGQPCINSSPRVEATELGKKARELKKAAEALHQEERSGKKGRKFRKNVKAVEKELLLLEDDMKALEEMYPQGEKAEATWAFTVLGYIGKLIFGVVGLIISIAWVAHIIIYLLVDPPLSSFLNEVFIKLDGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMNVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYVIFSQETANDVDYHR >Dexi4B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:4B:16622490:16624238:1 gene:Dexi4B01G0015240 transcript:Dexi4B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKAATAVTLRTRKFMTNRLLARKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Dexi4A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:4A:632697:632957:-1 gene:Dexi4A01G0000890 transcript:Dexi4A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVAEAEAAAVLVVGDGRGGGRPWESRGDLCVVRPAGGGEAKLPAGEEIDVAGGQSAR >Dexi9A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:9A:987604:992410:-1 gene:Dexi9A01G0001900 transcript:Dexi9A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGPKPLNQQNGQVCQICGDDVGLNPDGEPFVACNECAFPICRDCYEYERREGTQNCPQCKTRFKRLKGKGSVRSFLFAGCARVRGDEEEDGVDDLENEFNWTDKHDSQYVAESMLHAHMSYGRGGDFDGVPQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGNDDGDDTDLPLMDEARQPLSRKIPLPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSIEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGNRKTKKKTKTSKPKFEKIKKLFKKKENQAPAYALGEIDEAAPGAENEKASIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKDIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >Dexi2B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:2B:26326389:26326908:1 gene:Dexi2B01G0016180 transcript:Dexi2B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRALLERLARPFTRRSSTESRRDREEEADLEAIAAREQRAFRYEALEAATRGFSEKNRLGQGGFGPVYRGRLDDGRDVAY >Dexi5A01G0022790.1:cds pep primary_assembly:Fonio_CM05836:5A:26934752:26936041:-1 gene:Dexi5A01G0022790 transcript:Dexi5A01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAELPVDLPIQFVVRLTVIDWLKFRAVCTAWRHAAKLALGSGRRPKPEPPWLMLPGRRTDRTTASFLSFSDDGHRLRTAILPEPAIQSRMWIGSAHGWVVTADEEFSLHLLNPVTGAQLRLPCITTMGFFYALPRTEDGRAAGFLFHHSSFLALHWPEERASAFPPDELPIDRMPLRFLRKAVPLRDPSGSGEYFVMMIHGPMFKLAFARQKDAKWVILPSPYQFHDAILYRGQLYTMTACGALLVWEPDGETFKSRVAVAEHDEGEEYVCFKKYLAESLDGDLVLIWRERRSSRGEDDSSASDEENDDYDDDYAEPDPTVGFQVFVLRQGCQGSEWKEVHDLGGAALFIGYSSAVFFPAAGSPNLQADCIYFTDDNISIAWRRKEEPRDMGVFNMKNKALTVMSTVDQHFNNWPPPIWVTPSIDIV >Dexi1A01G0025990.1:cds pep primary_assembly:Fonio_CM05836:1A:32026615:32029457:1 gene:Dexi1A01G0025990 transcript:Dexi1A01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSREIAAEATKQADLLAGQIKHLASDLPVPSILPIPAIPPIPSAAAPEPDAEELERYGITDDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNQWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNSYIAPFEKKYFEELKVKEEEEKKDSQKEASQASQAATAEQKDTKAPSKTSASANPEHDLDVFLLGDLGSDDEGPDGGDDDLDDDFDKIDATSGLESDDDDKTVAGKAEEEK >Dexi2A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:2A:5212658:5216322:1 gene:Dexi2A01G0005390 transcript:Dexi2A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKRILESYTIKGSDKVIKREALPLLVLIRNLRVFWGEFLVARLLWHLTDSLILFRPAGDCVLMRAFDASKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVCAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDW >Dexi2A01G0028940.1:cds pep primary_assembly:Fonio_CM05836:2A:40061072:40061816:1 gene:Dexi2A01G0028940 transcript:Dexi2A01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLLSLLLVLLAVSSLPRTAVAMVRLTVMATAGTSPNVECVGDGIYTANSTYEANRRRLAAVLLAEARDHPYYTERAVGHWPNRLEASFFCRRRDVDDGHPAGSGDSSCAACIADAFLEVDRECPYHREASFSSRNCTLELEEYRILGTGGIHGMAHPEKRPYHVRH >Dexi5A01G0022170.1:cds pep primary_assembly:Fonio_CM05836:5A:26090420:26091792:1 gene:Dexi5A01G0022170 transcript:Dexi5A01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGVTCNLVASATWTVYVMLQMYANRNYAGEDVLLINSVTITSSVAVLLTYFLNGKEHKKYWILMIIFIVMVCCGMGWLVWHPNIIISQARHDFLQKLTQYSGIIGLALFALVNVLPFSDLWAMTSGDRTDLTLLMPMMINTFLSCLASALWALYAWLFDPIYPNYFML >Dexi4A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:4A:3308801:3309864:-1 gene:Dexi4A01G0004700 transcript:Dexi4A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLGEDLGPLSTKELEQLENQIEISLKHIRSSKNQQMLDQLFELKRKEQQLQDSNKELRRKVMPCIQETSEENVLRLSCQDLGPGGSSSHADEANQELLRTAVCDPSLHIG >Dexi5A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:5A:8582748:8591884:-1 gene:Dexi5A01G0011460 transcript:Dexi5A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVVVEEAEPQQEAPPRRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESCNWQLGEEVGYHIGHSNVSDLNSKRLVLMSATADITRYKEYFRDMERGERVEVIAIPNSPRTTIFQREVLYLEQIADIVKIHSQSLSTTYCSGLDASADAELNHDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEQQWICLLPYNSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSGILISIMLDIQPLPILQPFGHQALCQKFRDNYFKENGSVQIGKKEATTIGNLCAFQFWECAFKDKYRLGYLKNVVNTQEPEESHTFLAKPEEEWCAIHNLAPAAFKNISEICMSFPYDDVMKQLHRFRPSFLVKINPPKYLLPSKFNHTCLHKTMELEEDMDFLSLEAENSPCDSHKRCAATPYVSQTDFGTPTIVEMLKTLVKEMKTHHVEEKAVSYKGRFGPHVNPTLGTEACVFFVNGSCNQGAACRFSHSSLAPKPVCKFFLTLQGCRNGSSCPYSHNTGSLISTPMTSGICSQEGRATSLCCTRLFPADGDGHILILNDKNLQFSSNLSQYYDACKIVAGTPGLQSLESYSVPKGLKILQNLADPSSLITAREHKLSVPWTILKRVFWFADFDNEESAGEHVLLQKFFESIAIKILSERLSDLQVILIMKNTRYIQLQVERLARECFFFLSESFMFDEATLGWFSDISRHPRGMQVSAPVTYIFNMHPPSITQFGDYPAELRKALCRD >Dexi1B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:1B:4317928:4321683:-1 gene:Dexi1B01G0005280 transcript:Dexi1B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLALGAARSVVEGTLTKVQLAIETEAKLRQSAQSDLAFITEEFQMMRSFLEVVDEGHAKNKVVGTWVGQVRELAYDVEDGMEFVAHLDTKSDWWRRCLLPCMARPLDEAVAELKQLKVRVEDVSNRNIRYNLISESGFKPVTKEQLVSSAAIGATSLDMLTGVRKAYQTLDICQIFPYRGWFSLTHPFNPHEVLRSLMAQFYPNSCLQSQADTVDVYVDELAKMEEAATTQVGLIKEFMKQTKEKRYLVILENVTTMGQWDAIRTYLPDINNGSLVIMCTQQREIANMCVADSYKALEMTHYPACVLFKEVNNFVAEYIFSQPMEEKLVLQLKDRCPLTTQSTGRHLVIQHSWDRDIIIFENIDFSRLRSLTVFGEWRSFFISESMRVLRVLDLEDASGVTDIDLEKMVKLLHRLKFLSLRGCTNIRHLPSSLGVLRQLETLDVRETSIVTMPVTITKLKKLQYLRAGTATLTEERQTACSAS >Dexi3A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:3A:14740994:14743131:1 gene:Dexi3A01G0018880 transcript:Dexi3A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTEKAAASATVFTEEQEALVLNAWNAMKGDSASLALKFFLSEAQEELVLRSWKAMKKDTESIALKFFFRIFEIAPGAKQMFSFLQDAGDDAPLENHPKLKTHAVAVFVMACESATQLRSTGDVKVREAALKRLGATHVKAGVADAHFEVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKKAAAAAS >Dexi7B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:7B:1531324:1532091:1 gene:Dexi7B01G0000750 transcript:Dexi7B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSTVGLFLVVLAAAASSLIMLLERRPSPTAATATSSNVLKDKPFTVIQLEGNGQEMAGLAVMLHDVSLAGFANRSGHWHAFPGHEHLLPGSTPLPFGNSYRDLIGGLANLPNLPLGGPPMVQASSAISGYSPATVIDDNDVVAVKRALATLTVMTCEAQRLQPIRDLLLNKGRWESGEARVAVEHLPYIEHWDTICYEIVRAKKNGVWDGPFTELLKEHANIKNMKEAMAVVGFITTSRTLQDLVVAHARKA >Dexi5B01G0027640.1:cds pep primary_assembly:Fonio_CM05836:5B:29199275:29200639:-1 gene:Dexi5B01G0027640 transcript:Dexi5B01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEPPQRPSPRAPLHLKTTACSDANGAHHHRPVVDRSSPKVADRHSPRSPLPEASFHLFPPKKRAAGTRVAELETKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKRVGTKGSPASTATSPLSTNSAAVTSAKKTEELKAPPLAAEEEEESSINSPATDVFEVVRAETGDKENQSAAAAADVCEAVSCGEKAALAEKEEVEEEETKKMIEEESKNEAVATDVAEESPEVTELKANLGEKDTEIATLAAENAELKKKAEEAAEAAKKAEEDAAAKASQAEHDLKEGAAREARLGEQLRASEAAREALDGEMRRLRVQTEQWRKAAEAAAAVLGGDNHLTGLAGNGNGWGSPATMPDDVDDEGFGGKRKGAGIRMLGDLWKKKGNSK >DexiUA01G0016100.1:cds pep primary_assembly:Fonio_CM05836:UA:34293047:34294958:1 gene:DexiUA01G0016100 transcript:DexiUA01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLQQSATASILVGNSAGGGGCRPCPRPASMAVAAKREPEPDDQKEDGDAGAELRRGPWTVDEDLTLINYIAEHGEGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSKRFKDAMRFLWMPRLAERAAADHHHHLSSSSSPASSLPAAGDLTGCVEKMMMMTAMNDVSSNSEDRSPSSGLTTTTSSSSGGSFTSESNAVVSVAGEEWAAMQRQQQEENEFWSTATALQQLTGGEDQLCVFQQDMHQDLISGWVQGFSDGVSPEVAQLWSLDDIWRMQ >Dexi5B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:5B:2763466:2764253:1 gene:Dexi5B01G0004070 transcript:Dexi5B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRTVMPLGHSQQHTSSTAAAATAAAAAASGSSTPTITFTFHPPPTSSLTHHGVLGYSSLLLADHPPIATTSSSSSHAPSSSTIPPPNIHHLHAAAHVSPTSRSPPPPPPPHPWSTCDEGRDQGQGRQRGKGAAEREGLAAGHNVAVAAAAALGVGAVRMKKGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRYYYTTTA >Dexi2B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:2B:127132:127671:-1 gene:Dexi2B01G0000280 transcript:Dexi2B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCYVGKATKIFLALLAVLAVVGVVLAFRAVLHRSARSSPSSASETACAAADECQSVLPGPAVAQPATAARPPPTTTPEQNPTFPSPNTAWPPPSPMPVPTLQPPPSPMLVPPPQLPPPAAAVPPPLVFPSPPPPPEIASPPPASATPPPPVDLLTPPPALPSPSPPAAPEAPSPTAS >Dexi5B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:5B:21075418:21084607:1 gene:Dexi5B01G0018690 transcript:Dexi5B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNQRLINFLRDKAQVEQLLRYVVEEVPEDSEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMNLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMNYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADENIYSNYGDTLQWLENTDVLEMIADKFSSSIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCNIVGKILVAERLSSLSTESNGENTEWVEWQTNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGINDRLSGSVPSSSPNSEEICLETEEADDGKAIGTEDEMETVCLGNGSTEEAKDVAECIEQPDCSTTDEPLQNTEGMECHSDISNGDPEVVIDEVGSAAAESSAPSVETVAEKMVDEPLVAERTIDEPAVSADVDNSVSEVSPGVNGSEPTDTEVCSEQVSHHTDVQQPVKDDAGEDIDETSAVKASD >Dexi8A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:8A:4345703:4346131:-1 gene:Dexi8A01G0004930 transcript:Dexi8A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSNAVRFVSIDPHCCCGGPGRSTCNHSRYAFTINTWTMNISMDEPLTWVKDGEMDCRELWRHPGYEGLPRANGRCPVVSLDDPDVICFLVDNYRITRCEEKKVWMIQLNVKTKALLSVVQCS >Dexi2B01G0029860.1:cds pep primary_assembly:Fonio_CM05836:2B:38217343:38217645:1 gene:Dexi2B01G0029860 transcript:Dexi2B01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHEAVSVKEEPDPMITLKVLDNEGRRAVHTMRTSDKLQALMDAYYAKASDVTYGTGTFMFDGSVRLRGCKTPADLDLNDGDEIEFYETQVGGGRGCA >Dexi1B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:1B:26594095:26598628:-1 gene:Dexi1B01G0020470 transcript:Dexi1B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWYWCLVLLVVALAAAAGAGAEEGEWDPVIRMPGEEQPATARGGEPLDEEEDDGVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGLKEENIVVFMYDDVANSALNPRQGVIINHPEGEDVYAGVPKDYTGDQVTAKNFYAVLLGNKTAVTGGSRKVINSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAGDFVKVLREKHASNNYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEETIKEQYEVVKERTSGSNTYGAGSHVMEYGDKTFKGEKLYLYQGFNPANANITNELLWQGQKAVVNQRDADILFLWKRYELLDEKSEEKLEVLREITGTVMHRKHLDSSLDFIGKLLFGIENGPSTLGAVRSPGLPLVDDWDCLKRMVRIFESHCGSLTQYGMKHMRAFANICNSGAPATVMKQASISACGSYNSARWSPMVQGYSA >Dexi5A01G0026500.1:cds pep primary_assembly:Fonio_CM05836:5A:30127370:30127656:-1 gene:Dexi5A01G0026500 transcript:Dexi5A01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPLLPTDAVDLQTLAPSCHTDAEAELLLANAAVTPAGVEAHVGKRRDSHMDGGGTVVHVDEGGAAGAVAHVAEEMGEMWESRWEF >Dexi9A01G0040970.1:cds pep primary_assembly:Fonio_CM05836:9A:44641388:44646407:-1 gene:Dexi9A01G0040970 transcript:Dexi9A01G0040970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTALFRSTPGPDAWATIAMGEGEGVRGGSADGVLDCWMNHNHGPVPPRKLDSRPSNKDCDPMEEPRCIEVDPVEEPFEGMEFESEEAAKLFYEAIKFAKEGSVTEQVYNVAVSALKEAAEKVKTMSALQSSNQVDLETTPSGDKVGMPQKITSSNQAIHGKDEGVRRPSEETMVAIPAIPLALCMPMTHNFPGSSADGPYRLLAAPIQAVPISYRPAEPLRQAQKGVCALGPFAGVLSELNKRGTGPNSLVHATALACGARVVPLEEAASLINAVESKIRSGGAIIAKLPSSNLISPIPRAITMSSSSEDEENDHSGPLMVDVDGNCHDQSSDEMKLQSEPSGLETEADN >Dexi5A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:5A:14420957:14421971:1 gene:Dexi5A01G0016160 transcript:Dexi5A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRRSAHGGIRAGAVPAAEPEVDGDVVTVDYRFSILAVRRFVDKLSDEQEEVVRSMGFEGVLHLSRYSKLDRHFSAWLCNQLAVATAPASASVPARVPISLADGAGAEVPVTARDVHEVLGVPNSDRQVGRIGRALAEKERDADAVRRALCLDPGEALTLQAAEAIVARRNKDAPSPTGPMTQAERDAFAVAFLLLLVEHFFAPGSVSRRGKVNEEVFHALANPSEVHLYDWAEYALEEFRRCAGRVREQVTSKSSKIALSGCLLFLEYSVVSCLA >Dexi7A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:7A:28160970:28161278:-1 gene:Dexi7A01G0018860 transcript:Dexi7A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYESRPPITTAKQSSPSPCRGLAENTIFPDEPVHELHRRDEITGVRPHVEPRTPQDEAVVLEAAVSDHGVRGERRQGDDSAGDGVAQRDTQVVGAHERHPC >Dexi2B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:2B:7601689:7602687:-1 gene:Dexi2B01G0007270 transcript:Dexi2B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASEAVAVVSRQFCAPYAVPLTVVKKAISLSGGDFVVTDGNGAEMLRVKGAVFSIHDRRVLRDAAGHPLVSMREKVLSMHNRWEVFRGDSTSASDLLFTAKKASVFQLKTEVDVFLAGNTVQQACDFKIRGSYFERSCAFYLGNSDTMIAQINRKYTAANLLLGKDTFVVTVFPNVDYVFIVALVVILDEIHRERFD >Dexi1A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:1A:1330503:1333322:1 gene:Dexi1A01G0002000 transcript:Dexi1A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRRNRDLVRSLESLANGLTWILPERFANSEIAPEAVYSLLGIVSSVNQHIIDAPTENPSFASKEQSIPWGLVVSVLKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEVTLLEDNYGVNGNGVPVIYPMNGHSQNGHKAASNGLDGKNGCASKSLERRAVAALNKFGENAKMMSDPMWMRRLQPTPEPPVMAVEKPTLASIWSAKGGAGRLFVLGEVVHILRPLVYVLLIRKFGIRSWTPWLVSLAVELTSLGIHSHATDLNHRGGKVHQLSSAERDEASSFQLS >Dexi4A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:4A:183918:185023:-1 gene:Dexi4A01G0000250 transcript:Dexi4A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRATPPVAAAAAAAAPRSFSTAPAVATILSCPSTSSSSSTAARCSVGSSAKLSAVQFEPPFRSGSDPWAAADQQNEDDDDDGGGERDQDELVERDGDRKSIPGIHVPRQRYIAVPKAALLDAVLTQFPSDADAAHFKRCARCLDAILHAEHKEMLEEMRTSYMLTQRHKESEEEDDQKQTDTSNVQTSSGFFGITQEDGTLFLAGRSLGLRTLLGLTPDPDSQTRVAFANQFQRSFMNLLRNAQFEELSVQDLLLTYALNNDYLLTLPIYVDWKKAAESNAIIFR >Dexi4B01G0023340.1:cds pep primary_assembly:Fonio_CM05836:4B:24695161:24699748:-1 gene:Dexi4B01G0023340 transcript:Dexi4B01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLGDSTLDIGNNNYLQGEGSMGFLSSPPPYLSLLALNSSLVAPTALTAGVSYASADAGILDSTNAGNCIPLSRQVEYFNATRAKMVAAAGSAAVKALLTKSIFLIGVGSNDLFVFAATEAARNRSAAEQQSDAAALFADLISNYSATITELYAMGARKFAIINVGLVGCVPAVRVLDPAGACADGLNQLAAGFDAELRSLLAGLAPKLPGFVYSLADSFGLTQSTFADPQASGYTDIAGACCGSGRLLAEADCFPNSTLCSTVSERDQHVFWDRYHPAQRACLLTAQAFYDGPAQYTTPINFKQLAQST >Dexi7B01G0024100.1:cds pep primary_assembly:Fonio_CM05836:7B:28492317:28502809:-1 gene:Dexi7B01G0024100 transcript:Dexi7B01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRFASGVGPSRVAPRPGLQIGAAAGNVFRPCSLRRPRHRGGGGNGNLMVASALRGCASSGLFHLAPKHHGSPLGFRVRGRPRPRCQGNDSLAYVDGPLEGTQGSGEVNDEDDATNSGCDDDDKGGPGGREVDLDGLRDLLQRSRKDLEVARLNSTMFEEKAQRISESAIALKDRADSAQKDVSAAVATVQEIISKEADAKEEVQKYTMALSMAEARLQLAAEALEAKRGSVGPMEVSFEGVEEEALASAQEEIEDCRAVLSKCEEELRRIQEKKRELQKEVDRLTELAENAQLNASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALHKAEKAISSVDTVVELPLPAEEQKSTAEDSVSEGFEYSSDATDDVSARDEVANIDLAVEGIEQLEPSREISDETTSDKMLVEPQKEAEPDVDKSKQGKEYTKEPLSAPKALLKRSSRFFPASFFSSRADGEFTPTSVFRGLMKSVQKQAPKLVVGILLLGAGSFFLNRAEKSQLFQQQGITTSIGETTKPVVREIKQIPQRVKKLIELLPHQEVNEEEASLFDLLYLLLASVIFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHETKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFTGLPGPAAIVIGCGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEAMGMAAVKAVAAITAIIAGGRLFLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTLLVTFVGRLFGVSTIAAIRAGLMLAPGGEFAFVAFGDAVNQVRCEFFLTELGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQQDVRSLLPVESEVFTDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSKEVLHKVGAERACAAAITLDTPGANYRAVWALNKYFPNIKTFVRAHDVDHGVNLEKAGATAVMYIFVWVIILVVPETLEPSLQLAAAVLAQAKLPMSEIQETINEFRNRHLSELTEVCNYVIIQQH >Dexi3B01G0035610.1:cds pep primary_assembly:Fonio_CM05836:3B:38655007:38656179:-1 gene:Dexi3B01G0035610 transcript:Dexi3B01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDRRRRRSGEDLISGLPDELLHGILVRLRCARSAARTSVLSSRWRHVWSHMPELLLDKSPDTVDGALAGYLAPALGRLAISLPADPNDRALAGRAAPWLRFAAERVAGELRICYVLPWPLDLVLPMEKADFELPVCGGVQRITLSLGVAWHLLLRPGGLFSVLSELNIHRAHIEGGELSNLVSTLCPRLSDLNLNVTLIAASNVTIRSDSLRSLRFTVLNTQQLELFVPRLEKLALSPFIGAHISAPKLAQLVFLFANVYDPRNHHFLDVGHRLELLEMSLSSASLIRRFDEVDVLKLRLWIPWGIDRYQGFLNETKRLPKCKTLSIHFTWNEHAVAPAVLHLLRSCNSTRKLLLTCYNDSP >Dexi9B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:9B:13293059:13295744:-1 gene:Dexi9B01G0018630 transcript:Dexi9B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEPRWRMNSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDNPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPETSDYPQSSERQLTATSSFSSASPFSESSQLASSSKQPAPYLPRNQMGRRSFMSKPVYPLVFRNPVSEAEASRMPEVTNAGRNTPSDDSQASPLWRRSLASPELKFHNALSELGKMEASPEPNASSRREGFRWSNASSYDFGYDGDAIDISDHISLESQRSPTNSVRFLKCGLCERFLRQKSPWTSNRIVRNADMPVAAVLPCRHVFHADCLEESTPKTEVHEPPCPLCTRAADDEGHVSFSEPLHVALRSARRNLSLSGGAGGSSSSANPHCNDHGLKRNHSAIAPRRSGSSLFRNRFKKQFPFKARIGRDLFGGRVFNKVGSSSTSGQQDDHGQPATKHDRSVK >Dexi9A01G0044540.1:cds pep primary_assembly:Fonio_CM05836:9A:48161863:48163883:1 gene:Dexi9A01G0044540 transcript:Dexi9A01G0044540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAWVRNKPGMASVKDMPLVQDGPPPGGFAPVRYARRIPTSGPSATAMFLTAFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYNSGKWMPPATGELRPEVW >Dexi4A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:4A:22642388:22652151:1 gene:Dexi4A01G0018680 transcript:Dexi4A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEDDDEDGEEFEEDPTMAADPTTMSGPGDGACGDEQVAAWRNDERATGGGQPFPLVLRLHLRPRSNRVESPGLGQTQINERPTPSQTLLPPVVHHPPYPVAAFPDLAPDPMASEIEVLEDTTTTTSLVAAAAASTAPSAADGAGVEAAAAEDDSLKNDVYTAAAYGDLEKLQRLVEEEGRPVSEPDAGGYHALQWAALNNRVAAAQYILEHGADINAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQPSDQLPHMLQHSMVKPHSFITLLRNGMLIQMSLIMTEEALCTGLLIRDLQIPSGFFCFWMLIGDGKTKKACANLSYSHVGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLDNARRVYDRGCGANTKFGKLSKLGLAPLLWCIIIGMLITYIHSVISGQYATNMSAPFGMFAWSGVFLATAGLVMFYKCSRKDPGFININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLVLEVLAMIITGSAAIMSNVSNPLCLQFTLEVLGASLLIFVAGIVRDPDSPSSFGAWIHYSAFQHPWVVSFLAMDFFLFFGVAVLTGVQAQQLGR >Dexi8A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:8A:22553076:22556689:1 gene:Dexi8A01G0012790 transcript:Dexi8A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGEGKAKPGGAGGAKGGGRKRKFLPHGKPVRKGAYPLRPGVQGFFITCDGGRERQATREALSLLDSFYEDLVDGKGSDEKPKDIPDKPLNKKIKFEDSDSSDDEDDEHSDKEADNGNGNNVEKSEEAHDPSVPAGKDDEEQAGTAEEPKEKKQRVEDPPVSEQTEQKEIADEPKESTDKPKDSIDKPKESSERNIDDLIEEDLKELGDRKKRLFASVESGCNGCIFIQMHKRAGDPGPVEIVQNMMSSAASTRKHMSRSNTGIDRMKIINAVAKSVPQPHKVDLKNPDKTIIVQIAKTICMIGIVEKYKELAKFNLRQLTSSETEK >Dexi4B01G0023150.1:cds pep primary_assembly:Fonio_CM05836:4B:24604935:24607197:-1 gene:Dexi4B01G0023150 transcript:Dexi4B01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAAIGTTSRSSLPLPFSSTPLHRRRRPASFLPVAASKRHNDDDKEVAKGPGSVPGREPTGLAPYGLSPLSKDAAMGLVLSAAKGSGWTTGSGMEGPPMASGASGADRPVVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDNNEDDDTIFPL >Dexi1B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:1B:6602528:6604431:-1 gene:Dexi1B01G0007950 transcript:Dexi1B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTNNAELLQAHADLWCHTFGYLKSMALHSVIKLGIPTAIHRCGGGASLSDLQVALSPIPKSKWPCLSRLMKLLVASGIFRESEPGVYSLTPVSRLLVEDDIDGNQQTASTCLSKFTVMATSPFHFAASQRLPEWLMKNEDDADAETPFKMAHGAGFFAYTGRDLEFGTLFNDAMGACSRFTAEIVVRECGGAEVFAGVTSLVDVGGGDGTTAKAIAKAFPHVRCSVLELPQVVDGLPVDGVVEFVAGDMMEFVPQADVVLLKNMYITRLNSAAPP >Dexi9A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:9A:13278249:13283421:-1 gene:Dexi9A01G0018230 transcript:Dexi9A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTEARPAGAEDLANGAREPEPEEVPSPEVEEEEAEEEPPRSATAKQEEAKAALGSEGSRPFTMRELLGELKEDGETATAGSGGGSARSALGDVNGIGSADAEGSSYRYVNAIERNPDDPDAYYNWALVLQESADNVDPNSGSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLVCFLPHYSPDKDILFSTKTLFSYGLAEDTMRSGKPDASPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAIAPHKDWERSQFILNHEELQQADASDQPLSQSPGHMDRGRKPVRINVADILSVSACADLTLPSGAGLCIETIHGPTFLF >Dexi8A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:8A:5616776:5620252:1 gene:Dexi8A01G0005820 transcript:Dexi8A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENDGELAGVATSHDNDHHEHTIGGRDNNADAVDDKERGGGQAEESSGMDDARVEFPSSSSSDDDDVRISFATAVGDGDGDQLVDEQAELDLEVEEEDDDDTSRYDYVTWMESEPVSIQERRRRLLQGMGLNSSRDLLRSRNSIPPPATTPRLIIPPDIPRSAPPRRPHHHPPPSTSSATDAAVADDATSAPTPTPATKTTTATATRQHPNDVAAVLTRSRSDSRLAVRAGGARKPPRRVYSLPHSLNSPPVNKPSRRSLTSSSAAAKGAGIFHSGDTGGGGFFTSDKKQDTGKEFMLKNGQRSAPLSVEELERFIGKHLMRRSQSQPVPAGTTTTTTKEKKPPPEKKRTRWLKNIKLVASAAGLRHDKDVNGRSATTMSKSASVSSSSSSTSGPERLKVHHYGKSSKELTGVYMRQEVRAHEGSIWSIKFSPDGRFLASAGEDHVVRVWKVVDVDGHDAGASSSSSSQEVSASVLPPQPPPATPTRTSMDAGGGRSAAAAPGLAAQLSRRMRRGRSGKDVLPEHVVVPENLFALAEKPAFAFEGHQDDVLDLSWSKSQCNDRRVAIVTWLQKLLSSSMDKTVRLWDMDTKTCLKMFPHNDYVTCVQFNPVDDDYFISGSLDCKVRIWSVPDRQVVDWSDVSDMVTAACYTPDGQAAIIGTHKGSCRFYKTTDCKLNQEAQIDMSISKKRRSQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTADGRHVVCASEDSNVYVWRRVPTTASSTSGTGAGGGGSIGIGVRAKTWLTSRSYEYFFCQDVSVAVPWPGSSPSPSPAFRCKSDGDTAKKKHGAAIAGDDDNAGVPPQPRRHKSGPMGYPGASQPDLCRRESSSAAASASARWHGGAEGGNAWGMVVVTASRGGEIRVYQNFGLPLGNIFH >Dexi3B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:3B:14496566:14500885:-1 gene:Dexi3B01G0019470 transcript:Dexi3B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSNLALFLLFVASCFVILAHILSSQNNKTCQNFPPSPPGLPIIGNMHHLGRGHHHRKLQALARQHGDIFLLRLGSVPALVVSSASMAEEVLKNQDHVFCGRPQQRTARGLLYDCRDVGFSPYGERWRQLRRIAVVHLLSAKRVDALRVLRDEEVASLVARIRASAVALEDGSGRGKLRAVNMSELAVSLTYTVISRAAFGNKLGGMDPGTVRAMMEEVTGLLETVGVSDMFPRLRWVDWAMGLDARIKRTAGKLDGMLEGALQEHEKKPENGEEDADLLDDLLALVTEGGEKLNLDRIDIKGLILDLFIGGTDTTSKTIEWAMAYLIKNPREMAKVQEEVRQVAGPQGVLEEHLGRMSRLQAALKEAMRLHPPVPLLIPRETIKDTKLHGYHIPAKTRVIINAWAIGRDRKSWQNPEEFLPERFVHNAIEDYNGKDLRFIPFSAGRRGCPGIAFATRLAELALANLMYHFDWELPEGQDVESFEVVESSGLSPALKLDPTLVLSLLFVLSCFAVIRSLWSGRKDSSRVLPPSHPTLPIIGNLHQLGRGILHRKLQVLAQRHGSLFLLRLGTVPTLVVTSASMAEEVLKNQDHIFCGRPQQHTARGLMYDCRDVGFSPYGERWRQLRRIAVVHLLSVKRVDSFRVLREEEAASLVGRIRTASSPENTGTKQRAVNLSHLIVSLTYTVVSRAAFGNKLGGMDTQVFRETAKEVFDLLETIAVSDMFPRLWWVDWLMGLDARTKRTAKKLDGVLERALQEHERSSASNNGKSGDLLDDLLSVVKEGGEGLKLDRVDVKGLIFDLFIAGVDTTSKAIEWAMAELIKNPREMAKLQDEVRQVAGPQGVMEEHLGRMSRLPAALKEAMRLHPPVPLLIPRETIQDTKLHGYDIPAKTRVIINAWAIGRDSQSWEHADQFLPERFMHHAIDYNGKDFRFIPFSAGRRGCPGIVFATRLAELALANLLYHFDWELPEGQDVESFEVVESSGLSPALKFPLILVAKPLQE >Dexi2B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:2B:3031385:3032446:1 gene:Dexi2B01G0003420 transcript:Dexi2B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISAATGAPPTPASAAVVEDIYGFLRILADGTILRSPSGPVFCPTTFPDSHPLVQWKEAIYDKPKNLRVRMYKPSSAPEKKKKTKKLPVLVHFHGGGFCLGSCAWANVHAFCLRLAADASAVVFSASYRLAPEHLLPAAIDDAAAFLRWLHDQSVNLSAAEEGWLADVADFGNVFVTGDSAGGNIAHHLSVRAAAGEPDNELTPAITVRGYVLLMPFFGGERRTRSEAECSGEVVLNLDLFDRFWRLSLPAGATRDHPAANPFGPESPDLAAAELRPVLVVAGGLDMMRDRAADYVKRLAAMGKPVELAEFAGEPHGFYTLDPGCEATGELIRLMRRFVHSCGAVAASKVH >Dexi1B01G0030960.1:cds pep primary_assembly:Fonio_CM05836:1B:34726176:34730965:1 gene:Dexi1B01G0030960 transcript:Dexi1B01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHRMGAAADFRRDLEDLVCDHLGGCFSSPPSSSSSCSAAGAGGGGGGGHEPDEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPATPPPPRPQAPSPAAPSSLLQMWRELEHRRTDQPFDREPSPDTADRDRVRQIARRLTDPADGGATATATAPSGEWLGETERQRVRLVREWVQMASQPRDPRAGSRRDDPAGGDRERRGEPPRLRGRQARLDVISRMARERQRELQGISGYHAVSEFPRRSRNRIQGLLRGRFLRNGALPAEEERQPSVAARELGQLRQSHRAPTMRSESAVSSQDVSQSDASGAESGRLLGDDESQRGAEVMAFTDGEDTAQTLLENVDLQEVNADRAEVHSPSIPSDGMVVMQESLTLGDNMRQDETEDSTRFWQSSLDGRLDRWPSEIEEDADRNWEDNVEDLHSETVEEDDRGHDHLQEEHDDWHDDESHGTVENWQDDYQDSTLDTGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGHGPLNWNLDAAMPTSNSPNENQEQERNTETRQFQGPANRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRADMGRLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRFAGAEGFSMDGSEDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYSVL >Dexi8B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:8B:9270912:9273978:-1 gene:Dexi8B01G0007570 transcript:Dexi8B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANAKLQKQALLPPRSPFPTATYADRGPIARPQGAAAHHRHGHGHHQRTSSESFIEEQPPSWLEDLLHEPETPAARQHGRAGHRRSSSDSFALFDGGAAGVCAGAYANGFEGMVGGGQPALWGGVGGGVQEYYAKPGSFGRAHGRPWEQGTPNLAGFRHGSGLPVPTKDKVGGYHGPPSTLRDHDHGMDKRTPDDAGHDQKLGTKEVALPKHAQSEADNKRAKQQYAQRSRVRKLQYIAELESRVQALHSEGVEVSAEMEFLTQQNIMLDLENKALKQRVETLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQQAPGLVRSKSRDLDAQFANLSLKHKVPNSGRDALSGPLHT >Dexi1B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:1B:18725056:18726360:1 gene:Dexi1B01G0013220 transcript:Dexi1B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >DexiUA01G0015640.1:cds pep primary_assembly:Fonio_CM05836:UA:32879854:32880816:-1 gene:DexiUA01G0015640 transcript:DexiUA01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLLFSPLSQAGQAWESYKARFFTPEGRIVDTGNGNVSHTEGQGFAMLMAVANDDKTTFDKLWKWTDSTLKNKENGLFYWRYNPAESNPIADKNNAADGDVLIAWALLKADTRWHDKQYSAASDAITKALISHTVTRYAGYRVMLPGVQGFTLDGEIVLNPSYFVFPAWQAFASRSHSPVWGKLIQDGHRLLAKMGSGKARLPTDWVSLGSTGTLSPAKAWPPRMSYDAIRIPLYLAWSDKKSPLLTPWRAWFGQFPREQTPAWVNVTTNEYAPYMMEGGLLAVRDLTMGQPSGEPEITAKDDYYSASLKMLVWLAEQP >Dexi5B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:5B:13424863:13425096:-1 gene:Dexi5B01G0015930 transcript:Dexi5B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPCAAAKPTLHRRPSVGSLRADSRGHDDLVTTTTMQPGRAKPQPPPRCDQLSLWGSSRRCPSTLAASAGEARLV >Dexi5B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:5B:7272924:7280377:-1 gene:Dexi5B01G0010310 transcript:Dexi5B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGNMDYNGLGCAGRRYALASLRCYDPGHRHARRGDLVSDGRRDPFQEHAAATNNFLAKRVGGSASSAYFRRSLRSHDEALRADTVRQLANGADDAGGVCNNHQQTSSNSSEARFSSGSVAAATATRASPVSLARRPSPATSPLPSAPPSIDGRLDAPPLPPPLPIKRLEAIDEAGNEHYPPDTSNEEQRLAAIRRIDFSWVIEKDAKKAKKAAEADTAQQAWPWQGLMESLQQAQHELSVVIDLIGTVEANDDVAVASTTKPKSQPNEILVDMAVSAATKLQRLRHLSRYFKQSAKTMEQQFQKETRFYNSLIRLQQNWKVKRQRGSGSGSDGFMFDLVDSYQLDTTTMPRISPLSFVPIDQDSSGILSVQIPQKSFRSLSLQFYGDSANKKKEGTLSSTFSEGDKDCLENDDINKSIKQAHSKLRDIHKSIFEEQVFDMVIRETFTQSQGINNSDSGTVGQEDHMDLDYSGNLVVATVASQVIHWLHEEAMVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPDDAYGCISWYLTIDHPTEEGKMSTDNPELEKRRFLGYLSLEVLYSTLMDLIKLCSTGVQH >Dexi5B01G0037760.1:cds pep primary_assembly:Fonio_CM05836:5B:37133448:37141057:-1 gene:Dexi5B01G0037760 transcript:Dexi5B01G0037760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPFKSAAGALGEVGSGDGAGSIPAMVAAQQEMLHEQVDQLQRLVVAQCRLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKCMQSLFAFKDTIGKKETREISLLCGVTATQVREFFTSQRSRVRKFVQLSREKALTTEAPKEHDNACSMRTEQIPLDIEAHAVVIEPLRTLEPVVPQSSSQPMGFPQVSPHPMGLPQSCLQPMEVFQNSLQQTSAQQNFAAPVISLQQTMAQQNLAAPVMPSGTMVVQPTDANISLDSVQKEIKQEEVHPDVESEDKKFLESIFALMRKEESFSGQVKLMEWILQINNATVLSWFVTMGGLTIMSTWLSLAAVEEQTSVILVIFKVLLHLPLHKALPAHMSSTKRTSSYAYIYFSCFFGLIIRTTLIPRSDISSRARNLLSRLSKVLIRSQALKKPQKDVICKQRISEILNDESWKSEVGLTEEILALTDGANESSKPEPRNKPMLLTASADETNKRSTMQTSILPVWIDPSWSVGCGDNSKELEVQTQRNQREKETFYASQKDIPVNPKDPWDLEMDFDDSLTPEIPIDQAPDADTTETDSVGAGPIAAAPVDDRQIGSTSSTSALVADGANGQDPEPDLELLTVLLKNPQLVFALTSNKGENVSSEQTVALLDTLKRTGLGLSELVNTLGNGAGAPKETEPEPQPEPIPASLPSPTPSERTSRVVWGPEHLTQVRAPNLQQPHLPNRGNTPPIANTVQQSFSNVISSLPSQPYASVSVLPAQIQANVPSLPQLAVSVNPPIQHVSPVNNHLSRASVHQHGQQYALASDPVAMPLHQQAAVNNSTHGLQSIPNPAVAHTSILDSNASYTTLPWQSNAAHVTSTGRNATAEPWAARTSTTNSYNTSSASTVPYANQNAYGDQSTRSAYNDYGSAVVSSITVLPGGLDRNGYSRSVEYQSMGRDIHQRNSRSPDPVAGRDYGATQGYNQQPVTHWSAGQGQQSYKPEPSRQWSSTQQSYTPAETSRHWSSAHQSYAPAESSRQWSSERQGYNAESSRQWSSERQGYSAESSRQWSSGQNAEASRQRSLGKQDPYNPSDGRRSYDQRWRR >Dexi7A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:7A:15315624:15325060:1 gene:Dexi7A01G0004510 transcript:Dexi7A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFLPRATPETEEIERGDMRGSINAARGIRGWVDLISYCVEEVERLKTEKMKDVVNMVGNLSLNETPKNQHESGSSKKDNKKPFKKNKNFAPRQDNKFKKCSHIKGGKMLCSFCDSPRHLQKNCAGLKEGSKQKGASSEDLWENTYLLFPHRMISVPAERASRDRKRQVKTMQEPEELSFKDLREITNGFSEKVGEGGFGTVYKGVAKTGKHVAVKILRDVISDLNYEQFRNEFRNLTKVQHDNIVQFLGYCCEREQTRIECNGRIVLAENMHRALCFEFMHNRSLQKHLSGKQKLEGEVADNMQQ >Dexi2A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:2A:2072726:2074137:-1 gene:Dexi2A01G0002560 transcript:Dexi2A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCILYSESKPIVVVGHSWRIVFYPNGKLAATAGFISLYLLLDDEVKGNPAAAAADDDDEDIHTSHAARRFSISSGMVTVAFSRRRNPIGFERFVSPDDFAIFIKSARFVIRCELTSAGRRRATVAADDETIGGEGSDDTVFHKDVARGPGCRPYLGRLLETKEGADVELEVHGKVFAAHKSVLAARSSVFKEEFFGPTKEKDTSYVVINYNILPDAFEALLHYMYTDSLPEMMITTMNNNSLKEGALLAEDLLISAYRYNLKDLKSVIENRLCSHMSA >Dexi9A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:9A:6196290:6199769:-1 gene:Dexi9A01G0010230 transcript:Dexi9A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGVVMDAGGGAAELGLLGGGSSRLLKHGRGGNAAAAGGEEHGWGVGSRMAKQARTTTADDVAEAKAAVAPFLLGSCSPGHGGEQMLSFSSAAAAAASSCASTVAAAAAAADGAMPLYYGTPASCSGLSSVSLSASMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPIPHSLLLPIRRSLASSPYPPSYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAAVAATQPAALAASGAGATAAGLTVSQHQQPVRSYTAGAGDPCSLQYNRELVNKQNETDNMQDSDNLSMLTSMNTRNPGSLFPFSKQNNPFEVTSTRPEFGLVSSDSLISSPHSSLENVNLLSSQSLNENQSSASLHHFVDWPRTPAQGGLSWTDAEDMQAQRSQLSISAPMASSELSSASTSPIHEKLMLSPLKLSREYSPIGLSIAASRDEASQFQANWAMMFRDSSMGGPLGEVLTKNGNAEVKNCLSAPLNLLTDCWDSSPGMESSPVGVLQKTTFGSVSSSTGSSPRMENHGAYDGISNLRDDLGSIVVNHPSIRLV >Dexi9B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:9B:2565682:2568438:1 gene:Dexi9B01G0004480 transcript:Dexi9B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPAVAAELWRPPHLGAGGGRAVEATSAITEKSSGGRGGGGAGRRKQREAPASEDDSSRIVSTSGGGGQDLVSGKASVLDEIINYIQSLQCQVEFLSMKLEAVNAHANNGVEAFPSKDFAAPTYSTAPGLTFDTQTPREYAQGASTSEWLHMQIGNGAYGRVS >Dexi5B01G0032820.1:cds pep primary_assembly:Fonio_CM05836:5B:33389782:33391829:-1 gene:Dexi5B01G0032820 transcript:Dexi5B01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSRGCRLFGAQRSLHDLLGGGTVADVVLWRKEEVAGGLLATVVASWALFYCVPGYTLLSFVSQVLMILLTVLFVWAKAAQLLNRAPPPVPLMKISDKTTSEAAEIVGNFVNKVLQDFGNIALGKDSSLFYKVALVLLLTSIVGRLTDLITLVYTSAVIALTIPALLLKSDEHIARFLVIASTYVQECGRACEENKCKITKRISEKKKC >Dexi7A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:7A:19710090:19718572:1 gene:Dexi7A01G0008450 transcript:Dexi7A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVFIAAEGTASDYGGGLTISVVVTCLMAASCGLIYGYDNGVTAPVYLSETAPAKWRGAFTSSYNAFAVFGMMCATITNYFTNHIPSWGWRISVGLAAIPGAVIFIGAFFVSDTPSSLVMRGHIDQARAALQRIRGPHADVEIELKDIVHAVDEARHNDEGAFRRLFSEQYRHYLVVGVAIPVFFEFTGMIVVAIFSPVLFRTVGFSSQKAILGSVINSLLNLVATILSSFVVDCTGREILFVIGGLSMLLCEVAISWIMAAHLGKHEGVTMPRNYAASVVVLICLCSFSFGVSWAPLRLVVPSEIYPVEVRSAGQVMSISIAFFLSFVELQVVIALLCAMKYAVFLFYAAWLLAMTILVPTLPPPIPSSRRRRTRLSSPRGAPRRGPPSTTGAGASASASGEPHAALILALGHMRLRELLACARACRGLREAVAWDPLLWRRLVVEPPLSNRITDGALLALADRARGTLRSLHLLGCPRVSDAGLLRVVQRNPGVTEAHWSPQSPTTSPTYPRVGLARVAGLAAVPAAIVVLGSLLVPDTPSSLVLRGETDKARASLQRLRGLGMDTDTHSSRTVSPVAQQRSFASCGNAAMAWILASHLGKHDAVTMSRDYALAVLVLMCM >Dexi7A01G0022810.1:cds pep primary_assembly:Fonio_CM05836:7A:30963517:30965490:-1 gene:Dexi7A01G0022810 transcript:Dexi7A01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMSERISEQSGETLDEHNIKNPALGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFAGSFAWGFFQWFYTAGEGCGFMSFPTLGLEAYRQKFFFDFSATYVGVGMICPYLVNVSVLLGGVVSWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFVSIALILGDGLYNFLKVMTKTVTALVVQVRGMRSGPTLPISGAGRDDLRALPEESFDDQRRTELFLKDEIPNKLALSAYAVIAVVSIATVPHIFHQLRWYHVAVSYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAGADEGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVVAPSVFWLFYNAFGDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCVAFFAAAIGINLARDLAGPAAAAYIPLPMAMAIPFYLGPYFGIDMCLGSLVRLVWDRLDPARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRTANIQVDAFLRAAKRH >Dexi1A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:1A:3689801:3691216:1 gene:Dexi1A01G0005060 transcript:Dexi1A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRPSLPTWAEANSLFSRHRRLRPLLLPAASLRDLLPVLSHCLVSGLARNRFVASRLLVAFSGLSLPFSFLLLSHLPASSLSSFSFNSLIRASPPGLALRLFDRMRRRGIPPDTYTFPFLISACSGSDPPLCQSLHGQAVCLGYGDHLFTQTALMNMYFTSGSVVAARRVFEEMPERDVVAWTGVISRYVDSGMYLEAVEVFREMRGGEGLVRPNEATVVSVASACAGLGSLEYAKGLHSYVETIGLGGELIVRNALVDMYGKCGSIESARGLFSVMREKDLHSWTAMISGLASHGHGKEAVALFFRMLEEGIYPDSTTFIVVLSACSHAGLVDVGIRVFNSMVSEYHVSRNIKHYGCMVDLFSRAGLIHRAYEFISTMPVEPNLEILGALLSACSINNELEIGEIVLKKIESVCSHKGGADVLLSNIYANQNLWHEVDTIRRKIRSEAFARKPPGQSLIAAEVAFY >Dexi8B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:8B:19188372:19198769:-1 gene:Dexi8B01G0010610 transcript:Dexi8B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEASELHAQLAAAVHALNHDANPSARLAANQWLLALQRSPQGWAVATALLAAPDPPPPADLLFFAAQMLRRKIQSPGPALPGAGLAPQLLDALLLAARRFSAAPAPRQLLTQICLALSALALRAEGGVDGLFARMPHLPAPAVLELLTVLPEESAQDQAGDTGVDAAARCRFTRELLAHAPAVIEFLHSQSEKVPADDDGVPLHERNRRILRCLLSWVRVGCFSEMPAAALATHPLLTFAFNSLQVSFSFDVAIEVMTELVSQHQELPEAFLSKMPYIREVLLLPALTNRSDKIIAGLACLMCEVGQAAPALVAEGGSQALALTDALLRCVAFTSDDWEIADSTLQFWCTLAHFILGIDTKTTKRNAAQEVFLPVFSSLLDALLFRAQIDTDEHGADGAPCLPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSHGWDFSSQSVPWKEVEVRMYALSMVADTILQDESPFDFSIVMHYVNILSGRTPVELNGGLFLVYKSFGDVIGSYSKWLSSSQNNIKPLLLFCASGISTSVSSNACSLALRKLCEDASPFIHEPQNLEILFWISEGMDKGNLRLEDEEEIVTAITQEAIIASTSLLELSFQKAAICSTAMHRGAALAAMSYISCECYLFTFMYCFLEVVLAAVLESPECVPDGSPGVALIQILACCGEGLLSNVLYALLGISALSRVHKSATILQQLAAMCSFCERTTWKALLSWSSLCGWLQSTVKSLPSEYLKQGEAEMIIPLWLKVLEDAGSDYIHSRTGDNIVNHQVYMQGKGGRTLKRIIRDFAESHRNAPTPCPS >Dexi5B01G0025840.1:cds pep primary_assembly:Fonio_CM05836:5B:27757343:27758908:1 gene:Dexi5B01G0025840 transcript:Dexi5B01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPQLLLGSLLFILPAALLLLTHRARGNQRSRLPPGPPSLPLLGSVVWLTNSPSEIEPLLRRLVERHGPVVALRMGLSLSVFVADRRLAHAALVESAVALADRPPLTSAALLGESDNSVTRASYGPVWRLLRRNLVAETLHPSRVKLFAPARARARRVLAEKLGEPGPGAAPAAPRVVETFHYAMFCLLVLMCFGERLDEPAVRAIAAAQRELLVYLAKNMPVFALFPAAVTRHLLRSRLDRLRELKRRVKELLLPLINARREYKKLGGESKKETMFEHSYVDTLLGIRLHKDGGRPLTDDEIIIQCSEFLDAGTDTTSTELEWIMAELVKNPAIQEKLYNEIKTTIDDDKEEVSEEDLHKMPYLKAVILEGLGKHPPAHFVLPHKAAEDMEIGGYLIPKGTTVNFMVAEMSRDEREWKNPMEFSPERFLPGGDGEGVDVTGTKAIRMMPFGVGRRICAGLGIAMLHLEYFVANMVREFEWQEVAGDEVDFAEKNEFTVVMKKPLRPRLVRRRPQSTSTQ >Dexi7A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:7A:11230328:11232249:-1 gene:Dexi7A01G0002650 transcript:Dexi7A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPCPGAAALRARWTARSLAGALLDLALAWACLCLAALLSAAARLLGLALPCTCARPHLPCLLAFLARYPSRALGSIHAALRARFPFAAGPTTVDDDEDLNGDEAEMEEEEEGERAAAAAADLRRREEARAELQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVREREARALRKEVDAYRRLLGLGPADEEEDDDDDEQEMVTPHSMLMSEGEPSSSRSVDVNRMQHIMRNDSGFTFKTPFFRERLVVPPVIGDHGNGESNDNGPVETPPAKVPGAQSGLELSSAEDEDGAETEDDGAETVEILPLSARSQDLGQGGDFNVDGAPGMESTREQTSCGFQDLGCGGMDKIDRDHTGSENDASVFDLRA >Dexi1A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:1A:826747:828845:1 gene:Dexi1A01G0001310 transcript:Dexi1A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding AELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGKKEWVKVTDWDSFQTEKKAAARAHSKNLSTFCGRLLEETEDELSEWIKTSSESENVSKALCEDIGKHCRSTSATIQIDDEL >Dexi3B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:3B:376340:380757:-1 gene:Dexi3B01G0000560 transcript:Dexi3B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMSLSLQRFVAMVLAVASSNGNGPTTSDDLHSPMLLDYQASPLANHLLLDMPQQQGCCQLPTLNDDDGDASLAKRGKRRVVEWASVRRACGEWMSNPMNVALLLWLLCVGVSGGMLVLLLLGLLDGAFPSPAERNHWIEVNNQFLNGLFTLLSLYQHPNICHHTFLLCRWRPGDAVDLRDAYCSGDPTVARPAERAHMAVVVALLHLTLACQYVTCGLYWGFTVTARPDLLADGFFVLGIVAPLAAAVYAVSSPLGKEGHHDLYSLSETTKQQQEQSLSPVVGHVVVEPEWSGRGMFDCAGDASTWWLSLSCTFCVFGWNMERLGFGNAFVHAATFALLCLAPVWVLGVSALHIHDYVIGDAVGVAGVLLCAGGLLYGGYWRIQMRKRFGLPGSRACCGSKSLTDYARWLFCWPFALAQEVRTASLYHVHGELFYSKQVAAADDDLASSIVEPLLVGGSNDHHHDVFRATDTAVAASQASPPEAHHLVVVDETTMAPPPVQVVIVHQAVEEEDAHLSQASPPDAHLVVTVDDEKAMAPPVQVVVVQQAVEDDKSDGCSVSSLHGKSETVDSSIPVSVPLSEDEDAQLVTMRRSLEEQLHEQQEGDMEPPHDETSDKQNESHGASSAVQNPVREKEEKKKKERFLDFLRAAPSKELWLSRLGIAAPKALLRRVATLRANSIRAPAAFARTAGRPTNAALLVWLAFVAVGVAFVFLLMIGALDSVVADESRRRRWTEVANQILNALFTIMCIYQHPRLCHHLVLLFRWRHATDVAELRSVYCKNAAGPPRRERLHVGVVLLLLHATCFAQYAYCALFWVFSSSQTRPGWAVNMTMALGLGFPVAAAVYMVYGPLGKKIAVLPTSSTDDDEESSTANTSQQQNDNNGGRVAVDKPEWAGGLLDVGDDPTVAALSVTCTFCVFGWNMERLGLGNMYVHVFTFVLLCAAPVLVFAVAAIHMHDRALGSVVGAAGAVLSVLGLLYGGFWRAQMRRHLGLPRESSVCGGRAATADYVKWLLCAPCALAQEVRTSNLYDVDVEEGNVVKLYVRSTDDEDVSPPSDEKKPAIMAPLQREGCIVDAPPVPVMVVS >Dexi8B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:8B:21940983:21945360:-1 gene:Dexi8B01G0012570 transcript:Dexi8B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATENNHDWSGLPEDLVVTIMHSMHVAGAIRSAAVCASWHAAYTAFRRLRVPSPRQPPCLLYAIDDGGLSPGAAALHCPATGATLRVPFPRAPLSNRPLLGSAHGWLVTADEVSNMHLLNPITGDQIALPPITAMHHVKMGTDENGDPAYNVYENQPGDTYNHLLGQFEVDTGPTILDIDRAHSWMYHRVVLSTSPAAGGACVVLILHMPFGEVSFARLGDDRWTWVTGLPWEDDYRDAIYSAANGLFYLLQNDGSMCSLDLNGPSPVACKVLSSLPNSGVPTTKYLVQTPAGDILQVWRYKDEVESPVPVDIPPEYNEVEQEPCLEYDTTDLHIYKVDLHGHRAELIKSLPDYALFLGFNGSMCLLAKDFPGLKRNCAYITDDFFEFATAARSPRRPSPGTAMAVGAFATVVGGEEEDATGQRRYYGGGRVTAFVALSCITAAMGGAIFGYDIGTAGGVSSMDPFLRAFFPDVYRRMRGATHVSNYCKFDSQLLTLFTSSLYIAGLLTAVLLASWLTARHGRRSSMVLRMTSVAPSWKGTGWPRHPPRAVVAEDDAAGVGGAGDVGEVAGGRRIALEPWVA >Dexi5B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:5B:1461896:1462107:-1 gene:Dexi5B01G0002270 transcript:Dexi5B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGLGFPVRGRRGRAPWPVGLEELLAAAVGTAVVPDRGWRDAWSPEEAGDSRREGPTAGASGSAQN >Dexi8A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:8A:7387239:7387718:1 gene:Dexi8A01G0006890 transcript:Dexi8A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCFGVVVDNSKLNKLVRYAGKPKTQEDRAREAWFAMNEDDKKVKAIEYVAALKTLYGNGQSTLCLVYNATGETLYYVAHRDWYGYINDSKEGYPAEIGNGQQGEPSGSVGAVVYRGKRRDGQDQEYLLAWSTPWGFYYRNKVPCIKA >Dexi4B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:4B:3369078:3372046:-1 gene:Dexi4B01G0004720 transcript:Dexi4B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVNIEDVVAQFVAYGRCDCSSSSGGSSPVSVLSGPSESDGYSSSDSDEFCPNPYPTRSSFSVRGGLDRTVLLTESSTCVLDDIDSRHQQRMLALLPAFSSPVGAVTRAESLSRWLSGFGVGWVLDMDASASGRGGETLPRREVGRRVRAWAQALSTMERVFRLHHRELTVNQVEALGELAAASAGAMLKLAGAVAALESSPSKLLTSLDVYVPVSEAFPVLGRMFSWGPSHPVSAAAEETLAAVVDAARSCCRDLRTFIRSHYPWRMPQGGEVHPCVGFWMGYFRCILRNPISLCFVLGDGDEAPPLAPGVEEGGVRLGLVAEFISCLEAVLEDKSAALAFPGLRQVFMLNNTLAVVRRAVRSDLKLFLPPGWVRVREERMERYIKSYMDASWAPVVSRLDDAKPSAAVLRRRRTNRLGAFYTALENSCSAQRCWKVPNPALRGMLRKTVSANTAGTWGTIRSWSSLPADLIEDISGRLSSDGDHLHIHQVCTHWRASTSSPAAFRPWVLTCGTYSNGLPRISDCSLRLPRLPAPKLVGGAPPASLPPYCCGASFGWLAMVDDERSPTRHVLWDPLTNAAVPLPCLSPLSRVFISGDPLTSSSWVAIATQLKPDGEAALVWRPGEDDWTVAYERGRRRIVAVTFHGGKAYCMDHLKDIIICDLDTAATHLPKCTRVFNVVHVANELCTCDRFHPVGSLHLVPCNGDLLLVVLRSRGSGHPSWAEVYRPEWTCETGPFSRVELRERVDLGDYSLFLSARGHTFALSAKEFPAIKRNCVYYAGRLDYNSCISYWITVFSLDSGGVLKEIPYLNKLKQGEARWTPCAWFCPRTLFLNQQRRP >Dexi4A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:4A:24994383:24994819:-1 gene:Dexi4A01G0021440 transcript:Dexi4A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPAKRAKRDPDATPPQRGEDDYVPGNIVEIELCNFMTYDRLVCRPGSRLNLVVGPNGSGKSSLVCAIALGLAGDPNVHIPSRGYA >Dexi2B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:2B:3516410:3519394:-1 gene:Dexi2B01G0003960 transcript:Dexi2B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAAAEGAVTGDMVSAGFAELERQQQLLATCTRLYQQLSDHFGTLERGLAARSDALRVRRRAFDARTHRALDALHRREASIDSSVARAIGHLDSLSTFAKEAAAAAPPAPDAAEAGLADGLRALCARMDSAAFLGFVVARRKEADALRAEMPEALKLCVDPARFVMDAVADVFPVDRREVRNPADLAWACVLILEAAVPALADPDPEIGSARPLVPRAARERARGMAREWKEAAEKKGGVEGAKPPDAHAFLQHVATFAVAEGEDRPLYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKRQQLDAVNFAYEAGLQEEFPPVPLLKSYLEDSKKTSSAASDNSSTSSGQSGSNVNKKEQSALRAVIKCVEDRKLEAEFPLEDLRKQLEELEKAKTEKKKETSSASSGGSSGPATKRIRANTGGPMPPAKAGRLTNNVSVSSFPAPTTFARSPSHTSHATTSPSHTSYATASPSHASYATASPSHASYATASPYPYDRPAGHGLYCNRSPPAIREPYVYPAEEVASVNVGIAMPYAAPPMSYPAPYAGYGNAMAAYNNGMAPAFHQAYYR >Dexi5A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:5A:4476:5444:-1 gene:Dexi5A01G0000010 transcript:Dexi5A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDGTGVVALYGGGSGNNKVKVMDASSKAPAATFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRNLGEALRRVREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRNMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSSGIGEAMVGINLSDPKVERYAARSE >Dexi7A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:7A:6737669:6740229:1 gene:Dexi7A01G0001980 transcript:Dexi7A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVHPAVRSMVSTRRSARRGGYGPLPADGGPSERSLEAPPRRPVHRRRSREALPPLRPGSAREKGSLVISNDSTLKQTVESEKQEGQGKGIVLVEEPDERDEDSWSLEEPPGWLPDGWIMEVCHDDNGSIYQYYTSPVSGYTFTSKMEALEYLFSGMEERMLESQESTGDNELHGPCIGLPCGWLIEVRAGGKKMDKMYKFYFHPPTGMRFLSKAEVLHYVNEGKISACDMNVLCDTSTDDNILAHVEFNPDGLPDGWVKETIFRKCNDGIRKDPVH >Dexi2A01G0025930.1:cds pep primary_assembly:Fonio_CM05836:2A:37552778:37553197:-1 gene:Dexi2A01G0025930 transcript:Dexi2A01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHFGQCFVLASTHLAVSPSEVLLTTHARVVSHDDGRCASSPHRKQNTWLQRHVTSYAPDPGCDRTARVHPGPGHHRTYLLVPSSTKDRTTNRARSAPVATASTSSRTTGTLQGGSGQRSSRHPEPASVTATWT >Dexi8A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:8A:30676239:30678372:1 gene:Dexi8A01G0018390 transcript:Dexi8A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEEFLFVDPEPPSPSVFLDLPPTPVHDDPGAFDDMVLPYVTRLLMDEEATDQDSFFYQYPDHPALLQAQLPFAQILSDAAVTATTSGDTTTTTSSPSFSVDTDDTGSPGGGEDRRGISISDDSDMVTSAFLKGIEEATKFLPTITNNALFPLDHGTYDAHARGRKNSWHPDAAPEPETERATKIMAPDPYDEEATRQMFDEMMLNERDISMKGVEQQQVPAGDKKRRRGRPRRRSSSITDGDDTVDLHELLLRCAQAMSTDDHRTTHSLLAQIRRHSSPAGDATQRLAHCFAEGLEARLAGNGSRLYNSLMVRPTSTIDFLKAYALFMSACCCKKVAFAFSNKTIFDAVAGHRSLHIVDYGLGYGFQWPGLLRGLAARDGGPPAVRITGIDLPQPGFRPAFHVEETGRRLGRCARELGVPFTFRGIAAAKREDLVDIAADPADDEVLVVSSLCHFRHLMDESVVVGRPSPRDQVLGNIRRMKPDVFIHGVVNGGHGSGYFPTRFREALFFFGAQFELLDATVARDSPERMVVEREMFGAAAMNVIACEGGDRVERPETYRQWQARNQRAGLTQLPLRREVVKVVVDKVRDKYHADFAVDQDHEWLLHRWKGRVLYGLSTWTSRDR >Dexi2A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:2A:33520139:33523241:1 gene:Dexi2A01G0021440 transcript:Dexi2A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPKLLPEIRLEESATKLPTPDARAATTASFIAGRRASRSSHKARRAQVADEFIKRRAETEWFVEGDFDTYVSQIRKPHVWGGEPELFMASHVLQMPITVYMHDKEAGGLIAIAEYGQEYGTEAPIQVLYHGYGHYEALQIPGKGGPRSRL >Dexi6A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:6A:26173540:26174791:1 gene:Dexi6A01G0018440 transcript:Dexi6A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTPAAAALKLTTRRQPAVLVAPVAPTPRETKLVSDIDDQGALRFHMPAIQLYRRREASSSMASDRRDDPVPVIRDAVAMALVHYYPLAGRIRELDGGKLAVDCTGEGVLFVEADADVRVDHFGDHIRPPLPCHEELLFDVPGSSAMLNSPLLLMQVTRLPCGGFVVAVRVHHAMADAQGILQFLQAKQPTTFEALTGFIWKHRTMALSPHNAGEEEMRLIFVSSGRHVSGNDGLRIPNGYYGNSSAFTVAIATAGELCGNPASYAG >Dexi3B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:3B:4506911:4507580:-1 gene:Dexi3B01G0006500 transcript:Dexi3B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQQSFVRFDGASCVYRRTPAFGCGVAAAASLLTGQFILTAAAGCWDRCRTRSGDRRRAAIVCSSLLSWFLAMMAASAFIVGALRSQSGERRPREGISTYYRCTVLVAGVFAGGSFFAVAAAAVGIGSYVALEEAACSPPRPPPALPQGAVGGASARGCDDGNFG >Dexi8A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:8A:20619774:20621383:1 gene:Dexi8A01G0011650 transcript:Dexi8A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLESAEPMGTREKWKRHCIFRVPPRLKVGRGDVFTPQTVALGPFHHHHDALRPMEAHKLRAVRRLLRRAGDRPWRELAAAVGDIAEELEDAYAGLDGEWLGDENRDRFLEMMITDGCFLLEVMRGKFEDYDPHDPVFGEHAMKHIEAFVLLDMLMIENQLPLSLLRRIVEFETGKLPEERWMNNLVAEFLRVDGRCAAGIGPRLGLHPLDVYCRCLLRRRNYCLPTRRDTTGAAPPPRLRGDPTADSSDPAASNDPPPRSAKRLWEARVRFRSSETRRLDDIDFNICSRRLEMHKITLDDSTEYRFSNLGALHFDGTDNRNGVTAFVLFMRDMIDSKDDVAVLCEGKVLENELAGSDKAVVALFNRLTMDVSKFGDSKLCQVRKKIEHYCDNHKCRVFIFRSWAKLRNSHLSSPWAFMALVFSLLLIGTDITQTLYTVMAYWHEINKDRAQAPQAAKWIGH >Dexi3A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:3A:17185131:17189301:-1 gene:Dexi3A01G0021580 transcript:Dexi3A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVAASDPGGGEPKLVASADVEMTEADGVVPAPAAEAKAEGKAAVAADVGPEEGDVAAPALSDPLYATESAGMVGVEGPGDELVKGVEGLNGGDEGRLEAGAGGLQNEAEMKPVPVEDSTAAAGQEVEAAASSEYAEAESNKLEENDINAERGTDNSEADYGVAHSDKEIQNNVSGEVEGTSKIYEDERAPVVDQPDDGSLTLPQTGEQFPDVGNGPSSNEVAAGLGNIVQGARYCLPPLDKGSFQVADLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLVAYFGDSTFAWCDESQLKPFVTNYSQMEKQSSSDAFVGSVNNALEELSRRILSGMSCSCLPEELADNGMSYTVDNAGLKDGVTCSAVNRSEIINSFSPENLLHYIKDLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQSGDGWVEDGLDGTDTPATQNVMVEEVVINEVQPTQPTPDKPKRGRGRPRKQKPGDGQVVMEKKDLSNRANVTSHDEKQMVMDFDDFDNLQNKKKRNFDSFEDSEKASTLTGGSSFKIGECIRRAASQLTGSSSIVKAQNEPTSYKNAAEAENGEFDISSDDAVDEFTVEKRAKRRRLHRNHTADPKELLSQLCLVATEPMNGYSFSAMIISYFNDYRNYIVSTTTEANIVEKGTSRRGRKRKEVVLPSPEVETTDHMQDSYWSGLSLHNHPIHDLRKESPNTRPRRRRRSSRHAYVPLSELGDSVPKKQIQVIERSIIHVDEKMVDELKPTALVLSFGRSASIPSELDLVKMFSRYGPLKETETEVDRDTNTVKVVFKKRADAERAFSAAGKYGTFGPSLRSFRLVNMPFYLKTLSANNPVKHSEDHGVEIPDSCNCEAPKDAMEVDLVQKTEKVEVAVELSVEQVETVKQVSQVETANTAFTSLVDTVEKTGKGDAELIVHVNQIGTTAQAVTGASLGGTIDEIQLGDAPNQALLADTTNTFQVEAAPEAQTSQSDGRIEESTQDVSDSMEMNVTAEAPKHTHISGDITVSEAITETPGTAQASSEVDIANELKVAVEVESKATVELSGEQVYNIEQTVQVEAVTEASAGKLDVGRQTPEDESKTEAINEHSTVMIEETVEPKAAPDEENIENNAAAINVADVTAAGETKEEAPDEKGKTENKAAADTLAGETRGGETTPEAPDEKAENKATAEPVTGETAEATAEPVEEAKTSEEMVEDVKALDDKTMPVEKPVEDAMVVTQDERTNTVEKTVEDAKVEEPDDKTTTAEVTMEDAMPDRKNSEAETTVEGATLEAPEGKDAAAEKILEDTTVKAQDVQAGASE >Dexi9B01G0046860.1:cds pep primary_assembly:Fonio_CM05836:9B:46130301:46131066:1 gene:Dexi9B01G0046860 transcript:Dexi9B01G0046860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSPLRRWKPFFPAFGIVDAAIEDSVVPALSRDKVRSARAEVVELLRGVPAGDVRKAEELCVLLDGFMAESLLTLRAVPREAVPRVLASSVDLAMAVGALRRHESERVRGLARDVIRGWTAAVEEDIARTSAAMKKLDDVCRTTKPAVAASHPKQNKTAPVAAGHGPRRMNSRGIPAEKMEVTKRKLQQSYQEVEDARRQRKIQVIQAPKVLEMKQKKKKI >Dexi2B01G0022910.1:cds pep primary_assembly:Fonio_CM05836:2B:32514267:32521226:-1 gene:Dexi2B01G0022910 transcript:Dexi2B01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPVELPSRLAILPFRNKVLLPGAIVRIRCTNPSSGLLLLRAGRFRVQFSTFRVKLVEQELWQKEEKGLIGVLPVRDSEAAAVGSLLSPGVGSDSGEGGSKAGGSAGESSKQDAKNGKEPIHWHSKGVAARALHLSRGVEKPSGRVTYSVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLDTVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFNLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLVPRVLEQHGLSLAYLQIPEATVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQVNTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGHDISNTYENPSPLIVDEGMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLQLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLTEVPSPILSGMEILLVKRIEEVLDHAFEGGCPLRSRSKL >Dexi6A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:6A:384521:385864:-1 gene:Dexi6A01G0000620 transcript:Dexi6A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGLIHLWNDWGVQTMVLVSFSLQLLLLFFGGIRRRRSSALLRVALWSAYLLADSTAIYALGRLSVATGSSRLVAFWAPFLLLHLGGPDNITAYALEDNRLWLRHLQTLAVQVLGAAYVLYRYIHNGLQLASILMLVVGLVKYGERIWALRCGNILLSSSRNSSTGDSDTESAGSGSPHQQVVLRDGIISDDEEILLLAHSQFSVCICVFADTSFVPSSLSKEDVKVLLFHQKTEEDEISGESSDKYKTELLNKMVELELSLMYDVLYTKAHVIHTWYGFCIHLMSMLGTATAFLLFLQFSSSNRSDGSRVVDVNVIISYVLLVGALALEVTSFCRALLSSWTCYALSKWGGGCWVWLVHVLTRLRRHVQPAQRRLWSGSIGQYSLLHLSTRDRNELGNKLAMNKMTGLRDWWSKLHLLGLFLALQLPLTATSQESGAARGVQE >Dexi9B01G0039770.1:cds pep primary_assembly:Fonio_CM05836:9B:40466496:40468099:-1 gene:Dexi9B01G0039770 transcript:Dexi9B01G0039770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAFSRWWWSLLLLLLLATASRALNIGDLLGTPPAGSQGCSRTCESSFCIVPTLLRYGKYCGIMYSGCPGEKPCDALDACCMVHDHCVDTHNNDYLNTRCNENLLSCLDRMSPAGPTFPGNECDVGQTASVIRGVIETAVLAGKVLHKRDDGQ >DexiUA01G0009270.1:cds pep primary_assembly:Fonio_CM05836:UA:18029361:18030799:-1 gene:DexiUA01G0009270 transcript:DexiUA01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVPASSSLF >Dexi2A01G0025880.1:cds pep primary_assembly:Fonio_CM05836:2A:37509182:37509574:-1 gene:Dexi2A01G0025880 transcript:Dexi2A01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPPTADRIHPPELHYARAGRPHPRLSSSLADRIHPPEPANVERIFFGGNPDNHPPPLSLLYFFLSSSTVPALDFPLVLARVGSRGVEKMPGLSAAAF >DexiUA01G0018570.1:cds pep primary_assembly:Fonio_CM05836:UA:39296404:39296782:1 gene:DexiUA01G0018570 transcript:DexiUA01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSSSSVSRIILTVGFILFSLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKAQRGAPAANVPSPAPPIYDEL >Dexi7B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:7B:988312:991684:1 gene:Dexi7B01G0000380 transcript:Dexi7B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPYTHSYQADHGRLRVCQASLSNFDAGGRTVLQCNVGNKIPIRLCSLNPKLAEMCHLEIELEKVDNVIFSVIGPSSIHLSGYYVRSSSRSNIGDDESESYGEDVGQSDTDEEHDADDDSYESDFIDDRDVPTPAKYGSDFIDVSDDDECSSPRRPKQKACEKQTRKAERGRRLKKQVDSPVDNDDDSPVTKPAPISVALGKKDKAKVAVKRNARSIFDSGSDEDDVPISVALGKKDGAKVSVKRNAGSIFDSGSDEEDIVPLSVPSVKNDSAKVAEETNPQNGLANDETKKKGDGDKKRKGSSIIEDSVSPMDVEDARIKKKSKKKMRNQSGEQDEKQSNVRTLEDGLMVEDLSAGNVDEKVVSDGCKVYIKYVGMLKDGKIVESNLNEKPYKFKLGSGKVIRGWDLGICGMRVGDKRRLTVPPSMCSGGKSVLEVPKNSSVIYEIELVKVK >Dexi1A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:1A:27142409:27144055:-1 gene:Dexi1A01G0020300 transcript:Dexi1A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPSKLSAYRDRRFPGTQEEYEAALQASTTVYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLCVLLPLPGYLVFHFLVVPVLALTRFDVYLKYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVLP >Dexi4A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:4A:12633136:12648711:1 gene:Dexi4A01G0013300 transcript:Dexi4A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSDDEDLVVYGTPIEREEDTSSRKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTVGSKEGWTPQTFTSSRKNRAELKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTAAEYARKQASKEQKERPSAIPGPIPDELVVPTSNSIGMTLLMKMGWRQGRSIRDSHADSLYESRRNARKAFLALSGSKNDKDQEQSSDKPSLDQAVVGSLEEMRVSGNTPVYVLHPKQDLHGLGYDPFKHAPEFRDRKTLQKSRDRDHKRNDVSMRGNLLVSNSVQSSAGNYAPGFGIGALEELDVEDEDIYASGFAYEKTEVDIEPSKTASDSNYKLDDRKRGFYLSFKIASNSEYKLERFLPPEIPDDFDAQHKFPTPVQSAAKFSDIAPPEALPPEDTSLTLLIEGCAAMVARCGKHIEDFYKEKSKTNPQFMFLSEGDGCKYYMRKLWEHQQKYVGQQRPDSPKSKTSEKLTADNRGRILGERPLDRSTKLHSPLSAKEAVQLQSNLVDTFVKPISLVSFVLNWAAIVASLDGLPESEKPFSNDPAKQARFEQFLKDKYKGGLRAANAAPIISMSEADRARERLDFEAAAEAIEKGKGKKVLDPSSVFSLPGMNEQRFVAATQRESSVVPKDEKPIYPRREQFEWRPSPILCKRFDIVDPFMGKAIFSDDSDDDADEILNNQPVDPVKTSEGANMALNRLVAEDFLESLGKELGLEVPTERPNVSRAETLSSAGASVSSENDKITPALMEVKESRSSLGMVQVGNANNEASLASAEKLDLKFEKLVNRTEENRSRHMHRQSQSPRSDSSSERHSRKRRSHHHIRDGTPESDSVSDHHRNRRRKSRSRHRKGRSRTPDTDSSSDTKHQRKRKEKRAHRTYTPDTDSSDHEHKVRYKSSSRRSSDIDKNRNHSRRHKHRRKDHQDYL >Dexi8B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:8B:10208922:10211055:1 gene:Dexi8B01G0007940 transcript:Dexi8B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYHPNHLTHCTVPRAPFFAAASSSGQVAQNIGFPRVSHKRWVKAAIGCSTKLSAWSNVRREHWEGDLAVDGQLPAWLNGTYLRNGPGLWDVGEHSFHHIFDGYATLVRISFQIGRATGAHRLIESEAYKAAKANGRPLYREFSQLCPRNPGNLLDRMRNIVRLGSGTALSDNANISVFPLGDGRVICLTETTKSSVLIDPDTLETIGKFRYADRLWGLLQSTHPVVTRTEFLTLLPDLFRRGHRVVRMAAGSNERKVIGRVQCRGGLAPGWVHSFAVTENYIIVPEMPLRYSITSVLKSELIPWYIFDWVPDSGSYMHVICRFTGKTVASVEVPPFMALHFINAYEQRDGIGDRTDVVIADCCEYYADPAIIEALALHRLRSPGINENAFPEARVARFRIPLDGTLSGELETMVDPDMHGRGVELCSINPAYQGKEYGYVYACGARRPCNFFNSLTKIDLVEKEAKNWYEPGSVPSEPLFVERPGGTHEDDGVVISIVSTKEGEGYALVLDAATFQEIARIRFPYGLPYGFHGCWIPREM >Dexi5A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:5A:12051234:12053390:1 gene:Dexi5A01G0014840 transcript:Dexi5A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQDKPAAGAALLALAVCLMLPVAARAQLRVGFYDTTCPNAEALVRQAVAAAFAKDAGIAAGLIRLHFHDCFVRGCDGSVLLSVNPSGGKTERDAAPNNPSLRGFDVIDAAKAAVEQSCPGTVSCADIVAFAARDSIGLTGSVSYQVPSGRRDGRVSNASDTIDLPPPTSTAKNLTDLFAAKNLSVEDMVVLSGAHTVGRSFCNSFVKRVWNQSATPPASIVDAGLSASYAALLRALCPSNTTQTTPITTAMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVDTQMAALVAAFAANETLWKEKFAAAMVKMGRIQVQTGTCGEVRLNCSVVNPASSAATTIELLGSSAPAADENGNSIAAS >Dexi7B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:7B:19698682:19702283:-1 gene:Dexi7B01G0013100 transcript:Dexi7B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRFLNPLVLNLQKMELELTCPPEVAQRAYNATVLPHILQQVCRYTDSEWLQLCNLQVSLSFSRFKASFSPGGDSEHSQEPWLYIAKNESHGTPESGNRSGVDKPDQMKSYNPVTSKLVYNQSTGPAFGNMDGGQTRDSAFDDKAADAAPAPTVLVQKGHSGSQSSDGPGDLDCDSNDLEGELFTSRSSLQTALKRVANATDDPSRDLKKQRSNDQDIQPWLLLGNVNFAILPKSLSILALCHIIYMGNHWGLTKLGNQMFSMSMRNALNAAARAFMFHVLTRSKAADGMKPSSDIDDLNSPSPMQMDELWIASPVLTSELVLCGSALNGHERELLDQFEHRTGITVTNNWNSNVTHVIANTDERGACARTLKVLMAILAGKWVLSVNWLKACIEARELIPEEPYEIGCDVHGSFDGPRTGRLRAMQQAPSLFAGLTFYFSGHFMPAYKVNLEDLIVAAGGLILEKTQLSSTSLILYSVEPPQGSNLDALNEIIKKREAEAEELAATVGCKVIPHTWLLDSIASCTVQLTV >Dexi4B01G0020860.1:cds pep primary_assembly:Fonio_CM05836:4B:22970332:22970672:1 gene:Dexi4B01G0020860 transcript:Dexi4B01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLLGLCCVAALLPLLLRPVARFAARVAAGGPAATAATILHRAGALPRDRGLERLVRGDVLDGRDGRGQDCIASFVVGVMRCLC >Dexi2A01G0026460.1:cds pep primary_assembly:Fonio_CM05836:2A:37981240:37981968:-1 gene:Dexi2A01G0026460 transcript:Dexi2A01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSRRSSSGMQSIRRELQRRRGKPLAPKSSTAKKTSAPLRPSPPLEGAHQKHPSSSAASKSPGPRPPHPSSRAAAVHPSTLPPSRSAPSSSGSACPSTHGSDERLRPGTAVGVRTRTTKLKTGKVLVLWLRATVVSPTQEGYEVVYDGSWPPGDPYGTVHVPRRHVRMIKPSPSPTTSPPQQTPPSRAPSSSASDDNTATAKKEKIRPAPRPTTAGKSVRLVRSLFPELERHERAALPYY >Dexi2B01G0028940.1:cds pep primary_assembly:Fonio_CM05836:2B:37446773:37447273:-1 gene:Dexi2B01G0028940 transcript:Dexi2B01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTIVLYPGLAVSHFVPMMQLADVLVAEGYAVAVALIDLTMDHDATFAAAVRAAAAAKPPLVTFHTLPRITNPPAVSSGVDMLLGYLEIIRRYNEHLREFLSSMPPRSVHAVVVDALGVAKELGVPGYTFYATNASALAMFAQLPSIRAEGQPSFRELGDTPLC >Dexi3A01G0035390.1:cds pep primary_assembly:Fonio_CM05836:3A:40689626:40690364:1 gene:Dexi3A01G0035390 transcript:Dexi3A01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQLVQNAYMRDKPASIEPFDMEILGQAFQLRETAPVDLPSAEKGVPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDRDKDKKKDKSGHHDSGGDHSKKHHDKKRKHEGTEDSADVHKHKKSKHKSSKTDEMGNGLS >Dexi6A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:6A:21203226:21207346:1 gene:Dexi6A01G0013860 transcript:Dexi6A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLHPRRRRQRLLLPLLLLALLLHLHALSLGAVAAPPFRARDVLPLLPRRVAWQLMGATAHSAVDLLPSFVAAVAPGGGPASWRGACFAENEAVLSLTPGAAGRNGTAVLSGNTSSSLGGAVLRLKVMAIMSMWTRLQPHYASNMWNEALNKRLGTEKLDLHGIITETERRGLSFNQLLTIPERDDWEYSDGKSTTCVAFILSMYKAAGVFAPFTDSIQVTEFTIRDAYMLKIFEDNQTRLPGWCNAAADRLPFCQILGEYKMDLPEYNTIEPYANMNENCPSAPPAYNRPARC >DexiUA01G0021910.1:cds pep primary_assembly:Fonio_CM05836:UA:45110727:45113538:-1 gene:DexiUA01G0021910 transcript:DexiUA01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGTKAAHFVSDLTTVILNPVSERETSHLPVSHRIPAPVVGDKKGTVFGGLVEAPLQPSSSKKYQGTNNCFVFTNLHDRPVIYRPTGANNYFTVCSTEYLALGGGGHFALYLDADLLTGSSSNSETFNNECLSHSPEFSVKDVELWGFVYPSKYEEMLTLCRTEKPGICRW >DexiUA01G0022060.1:cds pep primary_assembly:Fonio_CM05836:UA:45261861:45263088:-1 gene:DexiUA01G0022060 transcript:DexiUA01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERKALGKRGRSRVLPRTPSMVTVASAAKQVRQERGAGVPSSSSLPAGGAGMGAGGGRAAPRGYYSGGFLAGAETTAAFLKACGLCNRRLGPGHDTFIYRGEAAFCSHECREKQIEYDERMEQSCSSASGASGSDQSGSGGDQTVAAA >Dexi5B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:5B:12096584:12101569:-1 gene:Dexi5B01G0015110 transcript:Dexi5B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSQSAVETTGGGARRRSVDLSPRVAAMRKCSGVLLLTLAVVLLLLPSPSPVPPPTTTTASIPVAHLLPSLPGLSDLYPPPANSTAQLSWGLLRPLLSRSDALPGTTAGVLEAADAWRNLTRAVSVAAAVAGEDGRTRGPSCPASVEGDLREGRARIPCGLAEGAAVTVVGVPREGAARFQVEMVGAGGEVVLHVNVSLGTAGMVVEQSSWTPQEGWGEWERCPPVGGVGSSNSSLQRRQIFFFYICLTSLVDGLVCCNEKVGANIIKEHNNTMINAAGSRHEDGQRPKEHSQLSGSFSIVEGEPFTVTLWAGVEGFHMTVNGRHETSFAYRERSEPWLIAEVKVSGDLELLSFLANGLPVSEEIDMASVALLKAPLLLKKRTFLLVGVFSTGNNFKRRMALRRTWMQYEAVRSGDVVVRFFAGLTKIVPAKYIMKTDDDAFVRIDEVISSLKKSNSHGLLYGLISFQSSPHRDKDSKWFISRTEWPFEMYPPWAHGPGYIISRDIAKYVVKGHQELSLQLFKLEDVAMGIWIQQYKNSGQQVNIVTDDRFYSEGCDADYVLAHYQSPRLMMCLWEKLKTEYQAVCCE >DexiUA01G0022750.1:cds pep primary_assembly:Fonio_CM05836:UA:46428832:46432339:1 gene:DexiUA01G0022750 transcript:DexiUA01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGVFTSSSITVESVDATQNTAPPSGRTIESVDATQRAMPGGRAQGWMSERLKESEYRGFESLSIRGGISSYAASTVEHRAKGRLSNSSEPLYLTLDKDRREKVVKAGPGVPVKGASAYPGVIITTCTSHLGTVEHSLRGGWHYWQRPSGENAAGAKRGGPAPGEHREEREPGRWKSQGKPGHLTEMEAACGETRTYGFQGDPAGRRPPD >Dexi4A01G0022310.1:cds pep primary_assembly:Fonio_CM05836:4A:25573986:25575096:-1 gene:Dexi4A01G0022310 transcript:Dexi4A01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVSLALCVLLACSAAQVAANFNQEFDITWGDGRGKIQNNGQLLTLTLDRTSGSGFQSKHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGNTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTTDFHTYSILWNPKHIIFMVDDLPIRDFKNLESKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVAVAGGKTRCGNTVGTEGAAGAGHAAGDWYNQELDLTLQQRMRWVQRKYMIYNYCTDPKRYPQGLPAECSIQQ >Dexi9A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:9A:191251:195660:1 gene:Dexi9A01G0000270 transcript:Dexi9A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPPPPLVVVLLLLVTTALARCLLVEAYQYNASSGPLVTAVIVFGDSIVDPGNNNGLPTLIKANHPPYGKDFINHQPTGRYSNGLIPTDLIGIPEDILTGVSFASGATGFDPLTPVVVSVISLEQQLLYFDEYRRRLVDIAGEEETEKIIGGALFVVCAGTDDVANTYFTTPFRSVAYDIPSYVELLVSGAEAFLRNVSARGASKVGFVGMPPVGCVPSQRTLGGGPGRDCEPERNRAAQLYNARAQEMIAGLNAEPGFPTLVVFLDIYRILDDLMERGDRYGFTETTRGCCGTGTIEVTGLCDSRFVSVCDHVDHYVFFDSYHPTERAYRIIVNDIFDNYIQDLL >Dexi2B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:2B:26777910:26779500:1 gene:Dexi2B01G0016580 transcript:Dexi2B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHADAGWFRLWPIFSVGALRRKVLEVLTCGGGGVAGDGGGGSCRGRTAYRSPQRMPRPQPRPRSDRLAELLRAEEPSDCGDGDDEGEVDAAAARKVEALEELKGVVGALQEGVGDEANKAAIVQAGAVHKMLRIAEGGGGASAAALTEAVVANFLCLSALDANKLVIGASGAAPFLLRAFQGAATEQARHDALRALLNLSIAAANAPHLLAARLAPALVAAVGDGDDATVADRALAALCNLVAACPEGRRAVSRAPDAVPCLVDVLNWADESGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVAGDDTASGGVVATVSAPQERGCRGGEEAAVDGEPADACMSAEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPASAESLKALTASSTSKSLPF >Dexi8B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:8B:23463586:23464031:1 gene:Dexi8B01G0013310 transcript:Dexi8B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQTDVLTNTVASGGLIFVPGGGFGRLKLLRFTTPVMPLLSFLEGAMPELQRLELQFRILEGLYGLENLRHIQQVHLRVSQQASEATMVKVSDVRSSVSTHKNKPTVVVDEYHE >Dexi6A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:6A:24565700:24567034:-1 gene:Dexi6A01G0016690 transcript:Dexi6A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVPLLTLLVPAMSLLPVAHCNDNVGFHLTLTHVDAGTSYTKLQLLNRAVHRSKARVAALLAAATVAPVVDPITAARILVTASTGEYLIDLAIGTPPLYYTAIMDTGSDLIWTQCAPCLFCTEQPTPYFDAKRSATYRALPCRSPRCGQVSPPASCFQKVCVYQCYYGDTASTAGVLANETFTFGGSGNSTKVRATNVAFGCGSINAGDLANSSGMVGFGRGPLSLVSQLGPSRFSYCLASYLAPRPTRLYFGVFANLNSTNTSSGSPVQSTPLVVNPALPSMYFLSLQGISLGTKRLSIDPIAFAINDDGTGGVVIDSGTSMTWLQQDAYEALQSELMSIIPLPATNDTDISLDTCFTWPPPPNMTTVTLPNLVFHLDGANMTLPPENYMYMDTTMGYLCLVIGPSAVGTIIGNYQQQNLHILYDNANSLLSFVSAPCDIM >Dexi5B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:5B:399425:400507:1 gene:Dexi5B01G0000640 transcript:Dexi5B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPESPVLCWSLPPTSPPSKTPALPLLPPPPPLPPPPPLPPGFIPLCFLPSSPPAPLPLPPPPCGLPMPIPGAGAAASSAAPPRALLPTIPVHSGGRRSAEGGISVEHLSRALPGGVSVTAPAQEAEDDLAGVPPELLPLKKRVVRYHPYEAASAIQEMASHACQNWGPAAEAAAAAARPGPNLEEEDGLRAELLRLRIRRAALVLTKQLTFSDRSRDMARLVLPFGLVASSPLLGMLTPPERRLLLGAGLPVRALDRLGRAYRMTLRQDPRARTYRLTGQWSLFVSRHNMRAGDAVEVRAFRPCAWQKRLDKHGEGGLGMALLLRQGNHWCSLEGDAADGLLLIAAGARRPTATAARA >Dexi5B01G0032200.1:cds pep primary_assembly:Fonio_CM05836:5B:32815638:32819102:-1 gene:Dexi5B01G0032200 transcript:Dexi5B01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKGKPERALPAQAQAPPVPQPLAGDWWEGFSRRLAKGKPSKEYQNFEATFKMSRKTFDYLCSLIEGDFTRKTQILRNFRFGDKVILGVEDQVAVALLRMSTGESLMSIGTLFGMNHSAISNITWKFIECLEERATDHLKWPDPEEMATIKAKFEKLQGLPNCCGAIDTTHILMCSSAKPNSNVWLDSENKNSMVLQTVVDPDMRFRDVVSGWPGSLDDSCILRTSGFYRLCQKGSRLTGQMELPGESAGSMVREYIVGDPSYPLLPWLMTPYQEHGLSSDKVEFNKRHTATRLVVQGALANLKERWQVLKGELWRPDKHRLPRIIYACCLLTNIMIDLEDAVRDGMPASHNHDDGYRQQVSTVVDVDAVTQRDRLSRYVSGLGRSDSVTTVPC >Dexi7B01G0012860.2:cds pep primary_assembly:Fonio_CM05836:7B:19560690:19561363:-1 gene:Dexi7B01G0012860 transcript:Dexi7B01G0012860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGEPSVPCQVHPGHLVVGRDAQQAQLLERVEERAHGAAHPPGDDQDLDDVRGEEAAPAAHEEPVRPPRVVDLFHSAVKRIPHAPHPPWSCAASSGSSNLKRAARALAPMSTHADTNPQITAAHGSTTAQPAVMAANPPRSPLQTSTTFQCPVWRRFPNSVVSAATLPARVVVTAVRPTADHCPWTEPAGP >Dexi7B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:7B:19559871:19560680:-1 gene:Dexi7B01G0012860 transcript:Dexi7B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKEPGLKPYQPNHRRKVPSTTSDALWPLSATERPAWSKRPMRGPSMSAPQRPATPPTMWTTPEPAKSMTPEPKRSGPDVRAAAAHPSADQNQCDTIGYTKPERRVDEVGDELGALGDGARRDARGGDGEGPLVEEEVVVEAGPGEVLEAEELLPDEAVGGRAEGEGEAEEVVEERPRGGVEHVGEHDVHGVLGADGARAEHREPELHGEDEAEKSRYVASTAEVVSANWLQRYAVALAAGPSSGARSAAHVDIFAPPVLSRRPP >Dexi5A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:5A:20902661:20905436:1 gene:Dexi5A01G0017610 transcript:Dexi5A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGECAMGAAAMGEETLNYYGDDIEMADAEEAPAAEVSIAAGGGGGGAQAEKGGPEGKSKKKKKRNKGKKKNKGRQDGPPTNIADINRFVLDTCKRLKEKKSYLVWNAVGCLGVTAVSDLVREVEAIQKCGGQTIADGSRYRTGGGILWNILKSREPKAYKEIMAKGKELEKQFRYTKRPQMSRNEDASSQGSALIDDESEVQEEKEVLDDPEQLDDADQLEDAEKALPSDTKAQRKPLADRIRVPVAYDDLFEEGEVHEGEPQN >Dexi4A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:4A:8972090:8973355:-1 gene:Dexi4A01G0011080 transcript:Dexi4A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHEIKLPYTIHCAIPAALLAACLVILAVVIILPGDRLPLLPPPVVSDVDDNDNGSGNLSSSSCNIFKGEWVPDDRGAPPRYTTETCPVIHGHYDCTRYGRPDLEFLRWRWRPDGCELPHLDAARFLRAARGRSMAFVGDSLARNQMHSLVCLLSRAETPSPWTNVATASQQQHASFSYRFERHGFTVASFWSPFLVRAVETDTEGVGMWSLHLDEPDADGWAARAGEFDYVVISAGSWFFRPSMFHEHGGRLVGCNGCLTPNVTDLTLRYSLRKAFRTALRAAATAGDGERRRRRDRTVVVRTLSPSHYENGRWDGDGDCARMRPVRRGGWEMNAVEKEMYAIQAEEFAAAARRETEGEGVRMMLLDATEAMAMRPDAHPSRYRLWQPDRFNVSRDCLHWCLPGAMDACNDMLLHMLLR >DexiUA01G0018430.1:cds pep primary_assembly:Fonio_CM05836:UA:39080443:39080757:1 gene:DexiUA01G0018430 transcript:DexiUA01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPAEKGPAAKPKLPSSALLFSLNFTPPEQSKNRRILTGAAHLQRCRIRLLGFVAGAGLIPELRPSPRARRLLRRLAGIGIGGRE >Dexi2A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:2A:4649962:4653696:1 gene:Dexi2A01G0004980 transcript:Dexi2A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHSLLHPPAARRSLTSTPTPSPPPFLRLPSATARSRQPHRLRSASPSTASDLTAFPNPNGILSPIDVDAATEAELRENGFRSTRRTKLVCTVGPATSSPDQLEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAASAKAEVRVRLHFNLPVCNVDERKLNYGGDVGIDGEVWTFSVRAFELPLPERTISVNYDGFSEDVRVGDELLVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMIARGDLGAQVPLEQVPSIQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPDKALSVLRSVSIRIEKWWREEKRHETLELQGVSSSFSDKISEEICNSAAKMANGLGADAVFVFTKTGHMASLLSRCRPDCPVFAFTTLTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >Dexi9A01G0044860.1:cds pep primary_assembly:Fonio_CM05836:9A:48454688:48456257:1 gene:Dexi9A01G0044860 transcript:Dexi9A01G0044860.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVKLCRARGLSAARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGSNLIFSSLLFFVHALNPFTGGRESVPEVIALPITGGNTKYLEWIKNSTREN >Dexi1A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:1A:11452738:11462672:1 gene:Dexi1A01G0011960 transcript:Dexi1A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPAPPPLPTSARQPTPVAPAVLVFGDGALDVGNNNDLTGGEIGDPPRANHPYYGIDYPGGQATAKALGFEMSPPAYRSLPDLIPEKMQGFTGVNYASANAGIESSTLLGSTITAMHGMGARKFGIINMGLIGCTPSVQSSSGHGGDGPCDHNMNKLAYEFNSALRTLMSDLATKLHRFRYSLADFYAFSNATFSNPLAYGFANTNSACCPGPCAPYPYFGQPCSNRMDYWFWDDGYTTEKAARLASMAFYSVNTFSMPVNLKRLLAMKG >Dexi1A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:1A:3847709:3857401:-1 gene:Dexi1A01G0005280 transcript:Dexi1A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKPERSSVLRSVLGVLTQLHAELGVGLYVYDYRKRSLLLTQLILLNLQAPDGYGVINEPYYRADGSYNGRRSVDEGFPRDSYGRGAFNQDVHDRNMYPPPTSAGAMWSQPRRNHDDHRRHDTDYRNDGKHHDFESYRGVDKLRDNYHAPDNYYESGSHRDLGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERDHEYGRHSYDSDYERGRRDSSWRRRGSMDGERERRGLSHERDEGSYMRHSRSRSRGHDDRSRSRSRSRSPRARSHGRNQRDDFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEVTGENGLEIDGRNVFFEYRFHSTGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIALEKNGQVLRVAYAKSTHGPASGASQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQNSNTESQKDGPAAQSGFVWDEKSGYYYDSSSGFYYDGNTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKADGGMANENTKTSDSNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGPGQVQASRIVLDKEPSSSDDRFNHSHSGTGFSLKSKPNSDSGNAKDMSSAASYGSLGQGTASTQMLDSDVKPRPVSNSLGTTVMGVIRGSTRGVIKSDTTFHALSDTGSAETRTSTNGLKTTPEALATPAPYKTDISALVSNTSSGVSGSGKRRFSEAPGQSQYRDRAAERRNLYGSSLGNDSAGLDSTGDYPSRKGSSEIGSMPFPPGVGERSSGEIGNTENYEVITADRALDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAQAGDSYKTIIQKKAIARFREMS >Dexi3A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:3A:12617574:12619318:1 gene:Dexi3A01G0016650 transcript:Dexi3A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKAEVGVVARADGSALFEMGNTRVIAAVYGPREIQNKGQQVNNKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTDLMPRSQIDIFVQVLQADGGKQIVEVVL >DexiUA01G0013550.1:cds pep primary_assembly:Fonio_CM05836:UA:28486528:28488942:1 gene:DexiUA01G0013550 transcript:DexiUA01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALDIGPGLAKATIAGRVNGELVDASDLIESDAQLSIITAKDEEGLEIIRHSCAHLLGHAIKQLWPNTKMAIGPVIDNGFYYDVDLDHTLTQEDIDALEKRMHELAETNYDVIKKKVSWHEARETFVKRGESYKVSILDENISHDDKPGLYHHEEYVDMCRGPHVPNMRFCHHFKLMKIAGAYWRGDSNNKMLQRIYGTAWADKKALNAYLQRLEEAAKRDHRKIGKQLDLYHMQEEAPGMVFWHNDGWTIFRELETFVRSKLKEYQYQEVKGPFMMDRVLWEKTGHWDNYKDAMFTTSSENREYCIKPMNCPGHVQIFNQGLKSYRDLPLRMAEFGSCHRNEPSGALHGLMRVRGFTQDDAHIFCTEDQVRDEVNACIRMVYDMYSTFGFEKIVVKLSTRPEKRIGSDETWDRAEADLAVALEENGIPFEYQLGEGAFYGPKIEFTLYDCLDRAWQCGTVQLDFSLPQRLSASYVGEDNERQVPVMIHRAILGSLERFIGILTEEFAGFFPTWLAPVQVVVMNITDSQAEYVKELTQKLQNAGIRVKADLRNEKIGFKIREHTLRRVPYMLVCGDKEVEAGKVAVRTRRGKDLGSLDIRAQEVRLTGLEGEQLGIVSLREAIEKAEEAGVDLVEISPNAEPPVCRIMDYGKFLYEKSKSSKEQKKKQKVIQVKEIKFRPGTDDGDYQVKLRSLIRFLEDGDKAKITLRFRGREMAHQQIGMEVLNRVRDDLSELAVVESFPTKIEGRQMIMVLAPKKKQ >Dexi1A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:1A:224531:225841:1 gene:Dexi1A01G0000340 transcript:Dexi1A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQQVQVQASIAHQLMMLLMMSTAAAAAAPATSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIQCGGGDTPLTVRESNTNWFGGFSIRMDGSPDMNRCTARVVQGTGHCGAATAGAPHELALAFRMLGLALYTVPPLLSQPEEAMDFCPGRDATRRRSGSAPAETQPPAPASPTVPPFFWRRRPIWRNKPPTMPQQQPQPQVPPPAPATPAVPQASSACTYDMWASPEHRCHWRVVTPNTTVAMAFGPLAAQRYGPELTLREALEGRGDMYRTLLREATAALLNAYYNAPGGAFLYPTTASVIDHMNGALLSSAQRVLIEGARFRRANAGGGGPAGRTRLACDFTPCAAPPPSY >Dexi3B01G0024930.1:cds pep primary_assembly:Fonio_CM05836:3B:19683977:19685191:-1 gene:Dexi3B01G0024930 transcript:Dexi3B01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAARLRAAVVAACVVLMAMAAAPRPALGILDPVDFLALQAVRRSLDDMPGSAFFDAWDFTADPCAFPGVYCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALAELSLVPGRVEGELPASLASCSNLRFLAVSKNLLSGQIPDGFGALSNLRTLDVSFNQISGAIPPSIASLPSITNLILCHNQLTGGVPSFRDTSPLLRLDLKHNALTGGVPNLPAGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLEGPIPASVFALPLSVLQLQRNFFAGPVQPANDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEVSPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >Dexi1B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:1B:25573346:25579007:-1 gene:Dexi1B01G0019370 transcript:Dexi1B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQRLGNFKYLVMAPVVAHGARLVAGGGWGEIDLAFALILPSLLLRMVHNQVWISAARYQTARSKHRIVDRGIEFDQVDRERGWDDQIILNGLLFYVGYLAIPSARHLPAWRTDGAVVMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHLVYYLLFAIPMLSTIYIGNASVLGFVLYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDQLYESALKGTEETPDLVHLTHMTNLQSAYHLRIGFASIASRPSDSSMWYMWTLWPLAWLSMVLAWVYGSSAFVVERIKLKKLKMQTWAVPRYNFQYGLNWERESINDLIEKAILDADARGVKVLSLGLLNQAKQLNGGGELFRQKYPKLRVRLVDGSGLATAVVLKSIPRDANQIFLHAGPSKIACTAASALCEKGIKVIMNPKKEYDMLKPQIADSRASYLNNSSNHMPQIWLVDNIDDKEQKLAPQGAIFIPISQFPIKKIRKDCTYLTTPAMKIPETMQNIHACENWLPRKVMSAWRIAGILHALEGWAMHECGDAMIDPEKAWSAAIRHGFVPLNKA >Dexi5B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:5B:12644376:12647343:1 gene:Dexi5B01G0015440 transcript:Dexi5B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQISFALLLLLGAAAFTAAPTALADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVVVLSPETFDSAVLDETKDVLVEFYAPWCGHCKSLAPTYEKLASVFKLDEGVVIANLDADKHRDLAEKYGVTGFPTLKFFPKGNKAGEDYDGGRDLGDFVKFINEKSGTSRDTKGQLTSEAGRIASLDALVKEFLGAASDTQKEILSSMEEEIAKLSGSAAKHGKVYVTIAKKIVEKGTDYTKKETDRLQRMLEKSISPAKADEFIIKKNVLSTFSS >Dexi4B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:4B:14180841:14185162:1 gene:Dexi4B01G0013940 transcript:Dexi4B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDDVDDEDDEDEYEDITPPRSTPEPVADPAPAPAPSPAPAPPQPARAPLSSLVVKPPPQENGGGSSPPYPGPRAARSPSPGGGGGHRGRGASPPRHRREFSPPRPRGWERRRSPPPLPPPERRRPASPPPQRRRYSPSPRFQPPRHPRFHDEQPGYGMHGGPSPPRPRRAEADAVGPRYTHGYQGGGRGGVRFREGSPSHGRGGRSYGRGYGPPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKFRYSAREAHEPRCSPLRGYPSPPPRGPPRMVAGPGDRASPREMVRYRSPPHGWGAGDPRGYTARSPPERAVRFPDPSPKGRMGFRGDRDPRDRAKFDWSATDDYSQRERPHDGYLDRSRRRSGSPRANWGNDLRDRSRSPPRNRLMKSSFTGRGRPDDYAADPYASRGRPSSMEAGRGRGHGYRAGGPYPGEGRGDRRPAPPPRGRNEDDY >Dexi2B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:2B:30204784:30205435:-1 gene:Dexi2B01G0020080 transcript:Dexi2B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVEGEAERVAELLREITGEGGFAFVASAEKAAAGAGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRAGGDRPAALKALDMGLIMGGNLLRADLEAALARISAEPCGGREEGAVALDEEDRRWREGLNRNRDIDDCY >Dexi7B01G0023350.1:cds pep primary_assembly:Fonio_CM05836:7B:27946787:27947806:-1 gene:Dexi7B01G0023350 transcript:Dexi7B01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASIGQPPPAAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHDVTMHADSETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLV >Dexi4B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:4B:4809975:4815175:-1 gene:Dexi4B01G0006870 transcript:Dexi4B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPNMKTRIVYSRDFLLSFGELEHCKKLPSGFDATLLSELQELPAGVLERNKGYYNTPLGRSDGSGGYTYSSRGGNSGGRWDTRSTGSSDKDGEVPDREPLAQGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYAGQLASKDHGNAHQPNRTLERYQPPRPYKAAPFSRKDIDSMNDETFGSSECSNEDRAEEERKRRASFELMRKEQHKAMQGKKNGPDILKENPSDDIISQLQTSTEKANVKTKNEKLDGSAASSCQEDTTKPSSALLAPAARPLVPPGFANAFVDKKLQSQSSNISLEPKGRSATAEANMSTVARLGGLEVNQSATEFTAFESKEKGISDDIATMGQKHMLPSGGIISSTEFSSGILKGSEDWEADVMDKYSIGKEGKSKNIDPVRKDDSISILEQFFGDALSKSGDNLPTFVESQSLKTDEDMIASSVPESSKFARWFLNEDLKPAEDLSSKSLLSMIVKNENPGPESVINAPTLSDAAVQNLSPRAPIDKFDPASKHLSFTSSTPADGILEQYNRCDIPETVPVMMTCEDLEQTMLAQVKSNTNSTQRNAIKHHQTVVDEPVAMQKVAVDNHASQHLLSLLQKGTDNKGVSSLGFQIGSADEPQNVDANLMANGAISGSDPITKVNNTPTSGNSLTLEALFGAAFMNELHSKDAPVSIRGPTTGGPNEFAEAGKTLSSSSHEGYYPVEQILPFNSAKDAAVPKEPGVEYSAVPGGLNLGNANFDKKKGLEIHLPEEDNLFTVNDSLPGQNSDILPPARSSRVEGLFPEKAVDDLSYRLQSLVPNDSEHIQVLGPDALGSHHRDQRLQVESQNLYHLLQGRPPMMAHRPMMDHIVNRNQQAPFDMPQSIRHDPHRSFPSNVNPMQHNLHGAGVPHLDPAAHHLMLQRMSMPGSFPPEGLPRGVLPSQPVQHMAGYRPEMGNVNNFHMHPRQPNYGELGLMMPGPSVPEVRGNHPEAFERLIQMEMSARSKQQQVHQQAMAAGPVPGGMYGHELDMKLRYR >Dexi5A01G0026870.1:cds pep primary_assembly:Fonio_CM05836:5A:30445558:30446315:-1 gene:Dexi5A01G0026870 transcript:Dexi5A01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASWSSAWSSAAAWLVFLVGLAAVASSSEAYVFYAGGRDGWVHDPTESYNHWAGRNRFQVNDTIVFTHEEGVSSVLLVSEQDFDTCNTRSPVRRLEAVDGSSVFRFDRSGPFFFISSDEYRCQKGQKLYVIVMAVRPARPPIAAVAPAPDSSQWAAFPPAGAMAPEYAHAPGMNTFGKEGTSRSGSMGAPPPTAGAPRLVDGAIIGSVAGILGALVLCAVL >Dexi1B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:1B:11311898:11315760:1 gene:Dexi1B01G0011220 transcript:Dexi1B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVSPAACGGQVARGEMTVAAGLGYALIALGPAFSLFAGVVARKPFLVLTLLSRKMEEMLDAFADRISKPRLSLTDKMLISFALIALGFLVIHTFSMIIAFNAYDEKKKCDQIVVPVVHLAAAVMMLVNLAPGGCLIGTPLLLVMAVLTLQYCWRVACRRLTEHQHRQLNN >Dexi9B01G0032030.1:cds pep primary_assembly:Fonio_CM05836:9B:34333036:34333362:-1 gene:Dexi9B01G0032030 transcript:Dexi9B01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTAFLMPIVLEECGGEVFRGLTSLVDVAGGLGGAAAAIAAAFPDLKCTVLDRPQVVAKAPSDTSVQYVAGDMFESIPQANAVFLKWLE >Dexi8B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:8B:1601628:1604518:-1 gene:Dexi8B01G0002360 transcript:Dexi8B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVLFLAAGALVLAAALGWLIVFVARRPSRRRSARLPPGTTGLPLIGETLRLISAYKTPNPEPFIDDRVARHGTGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHCSYPSSIATLLGAHSLLLTRGPAHKRLHSLTLTRLGRPASPPLMAHIDRLVLATMRNWGEPAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIRKRMDEKMENGGAKGEDDEEEKREKRDMVEELLDAEGGTFPVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRDIKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKEYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQVLSKNKLQSAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTLKGYPINLRRRSDYVV >Dexi5B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:5B:10647398:10647640:-1 gene:Dexi5B01G0014270 transcript:Dexi5B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLKAPKSSPETSPEHHIVELPLHDVIPHLKLEEEAPQVSPSPSIAHLHQAQARSPKPEPKHRFTPTCNPHRSSPPHR >Dexi3B01G0038030.1:cds pep primary_assembly:Fonio_CM05836:3B:40852424:40854443:-1 gene:Dexi3B01G0038030 transcript:Dexi3B01G0038030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVRVAACLTVAILFLTTKMILQRRRTLHKNGPALPPVVSGVSLIASLPTLLSKGLPAVIQGLHKKLGSVFTISFLGLKKVTFLIGPDVTAHFFQAPESEISAADAYKFTVPIFGRGVVFDADLITRSKQISLCLDVIKPMNLRSHVDSMVHEVEYHNVNLQGYFAQWGQHGVCDLTHEMEHVILLITNRCLLGKQIRENMFEEVATLIDELFKNGLNLIALFFPYLPIKPHRQRDRARARLGEIIHDTVRSRRSSGVAENDVLQGFVDATYIDGRTMSESEIAGLLVSMVFAGQHTSSNASTWTGACLLRQGASSNTNDYLAAAIDEQKRIMERHGEHLDYGILQEMVTLHCCIKEALRMHPPATLLIRHARKGFNVQTREGNMYGIPKGHTLAICTTVSNKLPYIYKDPDVYDPSRFGPGREEDKVGGKFSYTPFSAGRHVCLGENYAYMQIKVIWSHLLRNFELELVSPFPGEELGKLIPGPRGQVMVSYKRRVV >Dexi5A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:5A:2896366:2900713:1 gene:Dexi5A01G0003800 transcript:Dexi5A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTGSGNVCVGTGTLIRALPSLNPHQSPMAMAGAAAAGRCLLLSRPYPLRLRLLRAALSTTAPTLTPTSTPPPPPRHELLLERLRLRHLKDASSSSPGPPSLPPRGKARGGERTLQQGKKVEAAESFEELGLGEEVMAALGEMGISTPTEIQCVGVPAVLGDTSVVLGSHTGSGKTLAYLLPLVQLLRHDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVSGGTRLRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRASKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVATARHDFIKLTGSENKLEALLQVLEPSLAKGNKIMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNKEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVALATRIEEAIKKNQSLEALTTNNVRRPTNPQSVSTKGRTSRSVKTSSALKVVNQKGRRGVVLSGKSSRTPKDTTSTRRRSPPKIQPKATKSAAPRKAKVSKPTNSVKVSKGKAKPQGRKAKGDILNKLGTKLSVVGFRGRSSGKSAQSS >Dexi4B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:4B:21731653:21733785:1 gene:Dexi4B01G0019570 transcript:Dexi4B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACALNSINNKSTLLMLTAPVKQIGRIPASWGRHAWSIGREDPRRAVHALKAGTALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTVLAGSLALLVELVAAGTGKVFRAFIVGASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSGYRQNDVLPLTRDRLSTIAIGCAICLFMSLLVLPNWSGEDLHNSTVHKFEGLATSIEACVNEYFQDQDKDDNVVDKQEARASIQIGYRAVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQAPPSVRLLFRDPCTKVAREVVKVLQELAVSIKHHRRCAPDVLSDHLHEALQDLNSSIRSQPRLFLGSKRACAANRHMLMELTNSGKHAAPRATLHSFKTDATALAERSKTGTDQDQPPERSERGMLRPTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKNLEGAANFREFTGHDHWIVDLNEKTRKSNAAALNPVSAAAE >Dexi9A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:9A:8275889:8279047:-1 gene:Dexi9A01G0012950 transcript:Dexi9A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFCGTTTTSPEEPEPTASSKASPQAAATTTKRPATPPSSQGGNSQEPSPRPKPKARPKADKPNPKPNPYDWAPPPPSSRGGGGGGATAARVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRATRERLACKSIPKRRLRTVVDVADVRREVAIMASLPDHPSLVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSEDAPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGSLDLQREPWPRISEGAKSLVRQMLQMDPKKRPTAQQNARKAPNVPLGDVVRARLQQFSAMNKLKKKAMRVIAEHLSVEEVEVIRDMFALMDTDKDGRVTLQELKAGLRKVGSKLAEPEMELLMEAADVNGNGYLDYGEFVAITIHLQRLSNDAHLRTAFLFFDKDSSGYIERAELADALADESGHTDEAALNNVLREVDTDKDGRISFDEFVAMMKAGTDWRKASRQYSRERFKTLSNSLIKDGSLVMAR >Dexi9B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:9B:5738757:5740521:1 gene:Dexi9B01G0009290 transcript:Dexi9B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLYKAATQGCVRTLRKLVVKDVKVLNSRTPQGNTALHVAALYGHPSFARELLLVSEELLIAKNADGDTPLHLAARNNNGKAKVAEQMIIRAQAWPDDLNADDTVLKSPLITTNLKGNTPLHEAVLHRNNAVALRLLDADHKRAHDLNNRNESPLDMAAREGLVQVVRKIVNVPWVPTDFIQSVGGTALHQAVLGGHTRVVEILLEKHPELLDLTDPDGNNALHYAAQKDKKREVVEMLLHKRTELAYKRNSQRQTPLHVAAHRGSTDAIKALLRHCSDVAEMVDANGQNAFHASVTSGKASALGCLLRHVRPGDLLNRADVYGDTPLHIAAKRSHVHSALLLLKDRRVDPCVLDHDGQTARSLMERRLHTGQMDTYEMYLWKQLKRQESIRCRKQPLPPYTIDRDSRASSHKYFERSVETYILVATLIATVTFAATFTMPGGYDQTKGIALHGHDTAFKVFVISNTVAMCSSITVVYCFIWAWKNPLKFKVDKLVWGHRLTMIAGLGMLVSLMAAVYITVAPTSRWPAYVVIAIGMSTPGVVVLMLGKEVMFVPL >Dexi3B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:3B:11366982:11368936:-1 gene:Dexi3B01G0015690 transcript:Dexi3B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKPRINFVVIGHVDSGKSTTTGHLIYKLGGIDKRVIEGFEKEAAKMNKRSFKYAWVLDKLKAERERGFTIDIALWKFETTKYYCTIIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVCSCLKKVGYNPDKIAFVPISGFEGDNMIERSSNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKYVATMELKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIANGYAPVLDCHTSHIAVKFAELVTKIDRRTGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLG >Dexi8B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:8B:16835851:16840267:-1 gene:Dexi8B01G0009470 transcript:Dexi8B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTAAARAFVSWPLFLVLLVATVAAESPRVTRKASPGAAAGGVAVTLSVGPRQVVVENGVVRVELSSPEGRITGVSYGGEPNLLQYDAGDGDAGGYWDSVWNYPGSGLPQGLYSALDSTEFNVVSSSEDKVELSFRSTYNPSLQSSFRLNIDKRVVMLRGSSGFYCYAIFEHAGEYPSLNISEARLVFKLNTGMFNYMAITDDIQRYMPSAIDRDEPRAVPLAYKEAVLLVDPMEPQFRGEVDDKYQYSLDNEDNVVHGWISGGNPNPMGFWVITPSNEFKSGGPFKRELTSHVGPTSLTMFFGTHYIGKPMVFKIDDGERWKKVLGPVFIYLNSRPKRVDYLKVLWEDAKVQAQTEARNWPYSFLASEDFPKAEQRGAIAGRLLVRDRFVSKEDRPAPMAHIGLASPGQPGSWAIESKSYQFWARTTSDGVFRINNVRAGLYNLYAFVPGVLGDYCYSSPLTIEPGRVMSVGDLVFEPPRSGPTLWEIGIPDRMAAEFYIPDPDPKYVNKLFVNKDRYRQYGLWDRCAALYPEKDLVYDIGKSDQSKDWFFTQVTRKVGQDTVPTTWQIRFSLNPVVADSTYTLRIALAASQTCRLQVQVNGGVKGGDQGVFKTPEMGDDNAIARHGIHGLQWSLEFAIPGHLLVQGNNTIDMRVAQTGEAATAKIAGVMYDYLRMEGPSSGGVLRFMPLGQVWWKILFLSWVTFLALSH >Dexi9B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:9B:7567994:7568311:1 gene:Dexi9B01G0011630 transcript:Dexi9B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIFRNENKYLHFNFHQDPYFEYEFWLKEIGVDGLFTDFPGSLHNFQECRMPYPKMENRETLL >Dexi1A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:1A:22322436:22324602:1 gene:Dexi1A01G0015330 transcript:Dexi1A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAVAAARKGRLKQRYDNEFRLIAGCVPYRVKKGDEGNPCSSCGGGGDDTPEVEVLMISTPNRADMVFPKGGWEDDEDVYQAASREAMEEAGVKGVINRSTLGHWVFKSKSSQNSTSPRGACKGYIFAMEVTEELESWPEQETHSRRWVSPAEAYQLCRYEWMREALTALLERLSVIEPVATQELTDQAGMYMMLQASSDGAVALC >Dexi5B01G0039740.1:cds pep primary_assembly:Fonio_CM05836:5B:38453725:38454879:-1 gene:Dexi5B01G0039740 transcript:Dexi5B01G0039740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAPWSVEKRPEERYFGAPPMMGILALMSTLVTAVGLAQHAVDVLGEVVELKAQVQVHQAVALLPAVLVHPVVPVADGHGVGRDAEHAVELHHHGVDGVHALHRQPPAGHPPGVLGGLDLDGLAVQGDLDVVLVLVPLGGPAGTHVQPVAAAEGDAQGLHPREVALHLRVVVADEVRVDVQVRVRHDAEVLVLFAVEVEGVAVAAGEAGVAARGAGEDVAH >Dexi9B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:9B:1408003:1409448:-1 gene:Dexi9B01G0002440 transcript:Dexi9B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTDTGRVDVVNRRVVRAEPPPSSSPAPETIHLTPWDLRLITIDYIQKGVLLPKPPSKHAAVVERLASSFARALGLFHPFAGRLAVDESAGDETITVSLRCTGEGAEFIHASAPGVTAADITGDLCIPRELVASLFPLNGLVSADAASPDGEPRRAPLLAAQVTELDDAVFVAASLNHAVGDGTTFWHFFNTWSDLCRSGPGATTEQPPPVLERWFLDTCPVPVPLKFAKLEDAIRRHDDENHHHQQQQAPLLQECFFHFSGESVKKLKARANAEVHSAIPGSATITISSLQAVLGHLWRSVCRARRLDPWQETTYVLLIGCRGRVKGIPAGSGYVGNAVVPCKVRSTAGEVMGKGLGWTAWQLNRAVASLDDEAALVRESLERWVSEPTTRLAYNTELLGAADVGTGSSPRFDVYGNDFGWGKPEAVRCGPGNKLDGKTTVFEGRGGGGAMALEVCLAADALARLVADDEFMHAVTKP >Dexi5A01G0032050.1:cds pep primary_assembly:Fonio_CM05836:5A:34659007:34659348:-1 gene:Dexi5A01G0032050 transcript:Dexi5A01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKTMIVRSLLQNLRQKKGRCGRRCRGEEEGRRGAVRSQQGGVADRRTRPAGILQVVRTGGGGHGTGKGTILQGAGAHAVPVAMMQRVGGDGKMWFPSPGGRGLICGREDVG >Dexi5B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:5B:10353314:10355299:-1 gene:Dexi5B01G0014040 transcript:Dexi5B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAEVMHQVVPLLEAPFHRCVVKSVEVIEEVVAVAPAPVQLAASPKAVVEVAVEVSDLELKRSSIAGSSVSAEPLQFVPSIRSGSFADIGPRRDMEDEHIRIDDLSAHLGSLLMFSVPSAFYGVFDGHGGSDAAAYMKKHAMRLFFEDAEFPQASQEDEMFAECVEDSVRKAFLRADLALADDSVINRSSGTTALTALILGRRLLVANAGDCRAVLCRKGEAVEMSKDHRPTYDAERQRVIESGGYIEDGYLNGVLSVTRALGDWDMKLPQGSPSPLIAEPEIWWTTLTEDDEFLVIGCDGIWDVMSSEDAVSTVRKGLRRHDDPERCARELAMEAKRLKSFDNLTVIVVCFVSELNGGSAPLEPATNANSRLRCCKSLSPEALCKLRRWLNPDN >Dexi1B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:1B:283314:292585:-1 gene:Dexi1B01G0000210 transcript:Dexi1B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSSSRKRAAAAAAAAAASSSSSASKRSRPNTRRSSSSSAAPAPALAPVPAMEPSPSSRRRSRAAADKGKDPDPSPSSDPSPPAPDDDSDAPFPQSFTSASTALQGXXXXXXXXXXXXXXXXXXXXAPDDDSDAPFPQSFTSASTALQGLLRRLGAGLDDLLPSSSSAPSSATSAHLKRILAGLQAHGDESRQLQSLMQLCEMLSIGTEDSLAAFPVDAFVPILVGMLGREDEPATAGASPEVMLLAARALANLVDVLPSSCSAVVHYGAIQCFCARLLTIEYMDLAEQSLQALKKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRIAEAFAHHPEKLDELCNHGLVAQAASLISVGNSSGQASLSASTYTGLIRLLSICASGSLLAVKTLLLLGISGTLKDILSGSGLVSGTSVSPALTRPADQMFETVSLADDLLPHMPPRIINLPAYYHAYKGSSTKKTASTKQDGSTENERSGRERLLREHPELLQQFGMDLLPTMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAETIQSLLGTTNISSFLTGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICSESSNTLPSQVPQQDKDNDSAMPSRSRRQRRRGGAVPAENCSLDESKSSNLGVTCSTTSTSEVPNTSLRFAVSDHAKSFKDKYFPADTDSSDIGVTEDLLKLRALCAKFSTAIENAKTKVKGKSKAISANCFDISIDIEEQLDEVISEMLAELSKVNGVSTFEFIRSGVVTALLDYLSCGTFGKEKVSEGNLPQLRQQALRRYKSFISVALSIDHGSDETPMALLVQKLQSALSSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLSRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSDVASKPIVPSANNSESGVPGTTAGASLTAAMAHSGQRPMTRSKSSAANSDTSKRDAHDGSTSTAKGKGKAIVKPNSDESKGPNTRNTARQKSSLEKDLEMKRARGHSSSEDEELDTSPVEIDDALMIDDDDISEDDDDEHEVLQEGSLPICSQDGVHDVKLGDADEFNIGSASFSQAQQPSGSIARNTSSRGPDSSEFRSASTFGSRGAMSFVAATMAGLASVGGRSVRGSRDRRGLPLGGSMNDHNKLVFTAGGKQLSKHLTVYQAIQRQLMLDEDDEERFNGSDLSNDGNRFWGDVFTITYQKADNQVEKGSQGGSTSLNSKPDSCRSISEVQGVSLLDSILQGELPCDLERTNTTYNILALLRVLEGLNQLSSRLRAQGASDDFAEGKIRALDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQSDNHSSGSEREVRFGRLQRQKVRVSRNRILDSAAKVMDMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSAQLGLWRSASPCDSGSQIDRNDVINLDPEDGSSGKEPNSDLPSDERHLIQAPLGLFPRPWPPKADASEGSRFFKVLEYFRLIGQVMAKVLQDGRLLDLPLSTAFYKLILGQELDLFDIVSFDSEFGKTLQELRVLVERKKFLESTPGENQLEVGDLRFRGAAIEDLCLDFTLPGYPDYVLKEGEGSTIVNIYNLEEYISLLVDATVKSGIKRQIEAFRSGFNQVFDISSLQIFSPQELDYLICGRQEIWEPESLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFEIMRRKLLYAILEGRGSFDLS >Dexi2A01G0027570.1:cds pep primary_assembly:Fonio_CM05836:2A:38937977:38942032:-1 gene:Dexi2A01G0027570 transcript:Dexi2A01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDYYRLLVRITAICIITSPFYGSGSWAFAGATNGSSRFLEFVKSQGDSVLELLSTPTKISGVHYVQGSITFHDVVDNAHDHGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEIAAKVVHVSSNPNDGEHEKYRLEGLMESPAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLLLMYSFWVPQIVTNVIKDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIAVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDSSNQPIDCVICMTTIDLTQRTSEYMVIVKYFRHLTLQTVITGHSLYSRLQVAPCEHIFHSGCLQRWMDIKMECPTCRRALPPA >Dexi5A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:5A:25304621:25308090:1 gene:Dexi5A01G0021480 transcript:Dexi5A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKRARASPALLTPPQLQSMRHPTTSAGGDAGFVRADQIDLKSLDEQLERHLGRPAERGVGQVSAGTGSRRGESARLGPEELTPLRRCREDWEIDPAKLVIKGVIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEQEIAALRAAFAQEVAVWHKLDHPNVTKFIGALMGARDLNVQTEHGHLGMPSNICCVIVEYLPGGALKNFLIKNRRRKLAFKVVVQIALDLARGLCYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPGDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEVYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQTQGCFSCFRQHRGP >Dexi9A01G0047490.1:cds pep primary_assembly:Fonio_CM05836:9A:50582373:50585847:-1 gene:Dexi9A01G0047490 transcript:Dexi9A01G0047490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWGRAKRALATSLCISIPARQRAIEDAPPETGPASSVAEEKTESSSASSMRRLTSFGSRTSQKTCSICLGGMRSGTGQALFTAECSHKFHFHCISSNVKHGNLICPICRAEWKEIPGAQPADASYGRARVSPLNWPQDEGHMAVVRRLPHTYSGSLQEHLPFFRTPEEGIFNDDEHIDLQSDTADEHNAVTGSVEIKTYSEFPAIQQSVALDVFSILIHLKAPKSSHSVHSRAPLDLVTVLDVSGSMAGTKLALLKHAMNFVIQTLGPNDRLCVIAFSSTARRLFPLRRMTSTGKQRALQDVSSLVASGGTNIADGLRRGAKVIQDRQLKNPVCSIILLSDGQDTYTLPADRALLNYSALVPSSILPGTGHHVQIHTFGFGSDHDSAAMHAIADISNGTFSFIDAEGSIQDGFAQCIGGLLSVVIKDTHLSIECVDDGVLLTSIKSGGYTSQVAENGRSGLVDIGDLYADEERGFLVTLHVPAAQEPSLLIKSTCTYKDAITTENIKVQGEEVSVQRPAHFVDCKMSPEVEREWHRVQATEDMSAARAAAEVGAFSQAVAILEGRRRILEPQAAQSSDNQCLSLITELREMQDRVESRQRYEESGRAFMLAGLSSHTWQRATARGDSTDLTTTIHTYQTPSMVDMLQRSQTLVAPGVDTLNRSPTVVPSRRSPHMFRRTARSTKSFSGRLL >Dexi6B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:6B:22433218:22433925:1 gene:Dexi6B01G0014990 transcript:Dexi6B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAAAVSVEVSPMARNKAFCKNVSVRVANELGAGSGKGARFAIVVSITTSVLIGIIFWCVILYFNDQFALLFTSSKVVLGAVHKLSVLLAFTVLLNSVQPVLSGKSPTLNSQTGHVSREAMAKHE >Dexi1A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:1A:25713736:25726708:1 gene:Dexi1A01G0018550 transcript:Dexi1A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPPPRPPLLPIRSLLGFPLVCTRRRVRSTRIRLRPLCRLRADAAAMGSAGVVVDVDEVGENGERFLPVDVSFTRRLAPALTAGDGFDALRRACDEVKASPPAGATSGVIRFEVLVPPSTKALKWCSQFRGSSLFPQFYLSSKLTSGPSFQLEICGIGSAICLDGSHLKNGFDSVLRYISSDSHLIRAYGFVGIKYNKELSSMEEKLGSYYFFIPQVELSEFDSCSILSSTMVWDDSISHTFEDAVSLYESCFDQVYLDTEQLATINVKDTMHKEKFLTSDQSFVRFSPELFCCSNMELCLQRKETESLIKSCSNINLAWASLIIEECVRLGLTYFCIAPGSRSSPLALSATSHPLTTCISCYDERSLGFHALGYGRGSRKPAVVITSSGTAVSNLLPSVVEASQDFVPIILLTADRPPELHDAGANQAIDQVLIGSRITSKRVGMFLETCYPSSYILIDSHPHRHDPSHVVTHRIQASVVEFAASLCRCTFQRKTSRWADILMAVNSVVSHEIMFQIHSKSSLTEPYVAHVIGETLYGGATMFVGNSMVIRDLDMFGKGWADYTTDGNSVFCVVGDVSFLHDTNGLALLNQRARRKPMTIIVVNNHGGAIFSLLPIAKNTSQEVLKKFFYTSHDISIANLCAAHRVKHFLVQTKAELHDALVKSKEEQNDCVVEVNNSIDSNANFHRIMNMFSAYSTTRYLNYLLGAPCSKSELGPVSRIHGAEYMLYRIQLSAPRTSGQSDDRFSHEGFILKLCVDDSTSGFGEVAPIEIHEEDLLDVEEQLRFLIHRMKDSVLDVIPLLRGSFSNWIWTSLGIPPSSIFPSVKCGLEMAIINLLASKWKCSLSKVLAGSNPLVRDHKSSESIEICALVDCHGTPTEVALAVAKLVAEGFTTVKLKVGRRESPIEDAAVLHKIREVVGYQINIRVDANQKWTFEQAVEFGSMAKSLHLEYIEEPVSSVTDLIKFCDKTGLPVALDETIDNLKGNIIAKLQQFVHPGIVALVIKPSVIGGFENAVHIAKWAQMHDKMAVISSAFESSVGLASYIQLAHYVDQQNSTVSRIKNKDTCGAAAHGLGTYQWLREDVTEQKLNIHATPLGDGVRASVEDAYGYLHHLNINNDKIERTYSEEKLRSYSIQVDVDDCSYLVKLKEAGDHTNEKVVLLLHGFLGTSDDWAPMMKALSPVARVIAADLPGHGESQMLQHQVENSEQFPITVQSAADLLLKLIHEITDSEVVVVGYSMGARIALHMALSQVHQIRGAVIISGSPGLRDEDSKKRRIAIDKSRAKFLMSCGLECFLETWYSAKMWTRSLWEDLKHLKRPLLVVADSGHAVHVENPLPLVRAVRKFLQKLQ >Dexi4B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:4B:10787567:10794995:-1 gene:Dexi4B01G0012810 transcript:Dexi4B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYRGSAVSLLQHRALRPSVLQSRAFCGSTRDFSKVYRAPARRTRDEGAANTFKHGRVSASSPERVLESPPMTPWVERWLPAAARPYAMLARLHKPDAIWLYAWPCLWSIAMAAEKAEVPDMKMMALFGFGSVFLRGAACTVNDLLDRDIDKKVERTKSRPLASGALTPAQGFYFLVFQVLLWIGFLLQLNRHSLIMGTSWLVPFFSYPLMKRLTQWPQAFLGFTVSCGVFLGSSAVKGSLDYTALLPMYFAGICWTLVYDTIYAHQDKKDDFNAGVKSTAITFGDNTKYWLTGFGAACISSLALTGYNAHLGWSQHHISWPYYPFLAAAAGHLAWQVSTVDLSDKSDCNNKFVSNKWFGALIFGGILCGVLAS >Dexi2B01G0031250.1:cds pep primary_assembly:Fonio_CM05836:2B:39401076:39402537:-1 gene:Dexi2B01G0031250 transcript:Dexi2B01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLWFVAAVFLAAVASRGVAARPLVAWGDDSDRAPLQTSRPFNIAHRGSNGELPEETAAAYARAIDEGADFIEADVEATKDGHLVCFHDTTLDDVTDVADHPEFASRRRTLEVQWANVTGFFITDFTLAELKTLRTKQRWDFRDKSHNGISPIITFEEFIDIALNAKRVVGIYPEMKNPVFMNKHVQWADGKKYEDKFIATLKKYGYGGKYMSPEWRAKPVFIQSFAPTSLVHAANLTDSPLVFLIDDVTVRTEDTNQSYDEITSGEYMDYMKKYVVGIGPWKDTVVPPTGDNQLATPTDLVAMAHARGLQVHPYTYRNENKFLHFNFRQDPYAEYDYWINGVGVDGLFTDFPASLRRFQEWTAKKQN >Dexi3B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:3B:10759872:10764980:1 gene:Dexi3B01G0014930 transcript:Dexi3B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSASTSSVNWLVEDDILLKNAIETGASLESLAKGAVCFSRKFNLQEIQDRWNSLLYDPEVSTQASSRMADYENELSTSDPAKAHKLFNTKAKDFSFQKRKIDSVKNLYYAMRKRVRNDPCNTADLGFLVAPCSCIANGVECVCGGLPNSIEPGLSSVSRYGQVGTSYNGGHTYPGMNGHSFHAKHAESMVTDGDDTNNIVYGYSDVGQIYEHHAYTANNHGNGEENNVSLKSITGFQDSMQFQQLDSNQCGNGGVDSKALVIPNHFSGSVQEPIPLQVIGQPEGSEAPGGAIWSGVQQRGTLTLSDGKNVNSENRDHLTFDANLDGGICASGLQHAEDFMDFPFFSNSEEFDILNGENFLNSPSDGNQEDLDDPAFKVVPGVRSTMQHLAHPNEANMSCDQIDPEQVKNNVDVSGMMLVPTSLEVPCPGLYVECKLNTEDPEIPCNDDVATPREYPLECCTSTLDQKSEQTMYSTATSPPSNAEHSKANDLALIKVEDMANVQPSLQTVKISPSTLEQKEDSVAHDEIGVLGVQPSEGPSVTGGLLTTNADTNDANTRMLALPSFSAAGFGEGSHCSLGHHESFNNSHGVTLQNSVQAPDQMQHNSLDGQLELGDEAAQQNCMPSNALSDLGVQGPIATVPTQTQAEECPDNENDIPNYYDLEALILDQDLIPWDQDSELMHPEVTRFHHPESRKTLIRLEQGARSYMNRAIMSHGAFAVIYGLHLKYYIKDPEVTLGRETADVKVDIDLGKEGRANKISRRQAVIKMDEAGSFYIRNIGKYPIFVNSKEIPSGKRINLSSDSLIEIKDMRFIFHMNQDAVRQYIDRYLKPEH >Dexi9B01G0030940.1:cds pep primary_assembly:Fonio_CM05836:9B:33393143:33396304:1 gene:Dexi9B01G0030940 transcript:Dexi9B01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLIGETLEFFARSPSLDLLPFFKRRMERYGPIFKTNLVGKDLIVSLDPDVNHYVLQQEEKAFHIWFPDSFMKLLGEENIARCYGSLHKNTRNLIRRVFGPENLRLVLLHDMQGAVERCLSSWHDRPSIELKPALSSMIFGIAAKWMIGYEASVLSGDLWKNFDVFNQGLLSFPLNIPGTAFYKCMQGRNNVMKTLKEVLDERRKKAETPDRMDFIDVIVSELNKQNPALSENLALNVLFLLIFASFETTSSGLTAALKFLSDNPKALKELEDLTEPIGGSKDFIAFGSGLRLCVGVDFARLQMSVFLHFLVTKYRLKVISGGDMVFGPGLGFPNGFQVQLETKK >Dexi9B01G0029380.1:cds pep primary_assembly:Fonio_CM05836:9B:31952536:31953860:1 gene:Dexi9B01G0029380 transcript:Dexi9B01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSSIPGSVAGIDIVSDCKGVVHSWLSMKLSLEEANSAFRVLSGCKVNKVCPLEFDEPSSVVKACGKASSSTPSCCAALHSYIGKRQKQIFVTNLQAINCATMFGSMLQKAGVVDDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVAPKSGSSGTSRTGIGVLLPLLFFTTTITI >Dexi3B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:3B:8019965:8027980:1 gene:Dexi3B01G0011370 transcript:Dexi3B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAALVASPALFPFLPTASSLASASCSCRLRPAIVARQQPRGRRALRRFDEVEGASKKRRGIGGGAGGPSQASSPRRDRGLAVDFKESQVAEFDDLEEDKFLNAVVKVYSLGSIALILHLIMVYLGRSKDNIQAVEDMSFHSAFMIGDGKLLTNAHCVAHDTQVKVKRRGDDKKYIAKLSFNYLQVLARGIECDLALLSVENEEFWKGTEALAFGRLPMLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASSVLRKGDVIVSFDGISVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRDGNSMKVQTILQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVIKLNGTAIKNIHHLAHLVDTCTDKFLTFEFEDDFLVVLHREEASAASSDILKEHAIPSIRSSDLSEPYVEANNEVLKMSEDFGESPVTNFEMGIDCLLWA >Dexi2B01G0036540.1:cds pep primary_assembly:Fonio_CM05836:2B:43333675:43336154:-1 gene:Dexi2B01G0036540 transcript:Dexi2B01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTYKCCLIFKRRFHSRDAPPPDDVRALFSLHSGGGPQMGADGLRRYLHATGHEDALDDAEVDRLLDQIRLQQQPGRARLPRLARPLLTLDDFHRYLFSHDLNPPIRHPQVHHDMTRPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAKDDINILHGRTLTTPVSLIKCLRSIKEYAFVASSYPVIITLEDHLPADLQEKVAKMVLEVFGDILYYPDTDHLKEFPSPETLRGRVLLSTKPPKEYLEANAVGTMKEGDADIHLGKGAGDDAAWGKEGYGRALWLMHGFYKANGGCGYVKKPDFLMRTEPLVFDPREPQPVKKTLKVKVGIAGVPADSVMKKTKAIEDNWVPVWEEEFSFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNKYNHVKLLMRFEFV >Dexi5A01G0027480.1:cds pep primary_assembly:Fonio_CM05836:5A:30960720:30961237:-1 gene:Dexi5A01G0027480 transcript:Dexi5A01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHQVSAVIFDLDGTLLDTERATRDILNEFLAVPDPEKEETRLGQMYTESTTGIIKDYGLPLTIEEYSKAMHPLYMKRQSRFQE >Dexi7B01G0021570.1:cds pep primary_assembly:Fonio_CM05836:7B:26561219:26574238:1 gene:Dexi7B01G0021570 transcript:Dexi7B01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGGKGDKRKKEEKVVPSVIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVVRGQRLEDGVEIVAFKPCTLRIVEEEYATEEQAVAHVRRLLDIVACTTAFAKPRDGAAKHKSSKHGRPATPPSPPAPASTGNHGSGGGEGAPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPVHFIRRKEANVASREGDYFEIEVKVCNGKLLHVVASVKGFYLVGKPHNVSRSLVDLLQQLSNAFANAYEALMKAFVDHNKFGNLPYGFRANTWLIPPIYVDPATKCPALPVEDENWGGDGGGSGRDGKYDRRRWSKDFSILARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRLIEQSMNSTGPHGSNMFEERIGDMHITVKKDEADASLKLEDKVDGVAFCQTGAMDITQRNLLKGLTSDESVVVKDSSTLGVVIVKHCGYTATVKVSGRTKDSNDVKQTCDISDNFDDTLNVDVHDHPDGGSSALNVNSLRIPLPRITNPETVVCNQYPSPKSHASNPARKLARTVLEDSLRKLDSMPSKNPRVIRWELGSSWLQHLQKKDSPTSENDKGNAIKADKEPAVKGLGKHFEQLRKIKKKECNIEGSSSEKEESNNSCSAMNGMQESDKIAVDETIKGTDISKLISDDAFFRLKSLGAGLHEKSLEELTKMAHSFYDDTALPKLVGLELVARDYDMNSPNPFDKSDIVSIVPVCKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLSVSDLLDFISPDQERKERDMQRKCRRAKNNIRAHHSELGEEKENLQHDSGSPVETVKDEFQEEKLDVHPPVVLEENYAPHDEQKQSSFLSHDEQKQSDVSSPEEYSDEGWQSASLRGRSANVKKKSSRRKPALMKLMVDRFEDGHTGSVYRAGQPQTKVDKEEAANAPSQLSFGSFLKNDKLNGDPSIVEDKSCSTTAKAEWRAKPAGINRPTSIASKFVSYKDVAVSPPGTVLKPILDKKEAKEKENVHDSDLTLSSEEEDRKFKEEKEKPSDVSSKDVLSIQPDGVSHQETPPDSKSDDSPSAAKKVSGSKLSASAPPFNPGSVLSMSHPYSTVAIYDASAVLQAIPSQAMEILPHAIDTRVPRGPRSTSYYRPSFQRKQGYTHSQSTIIRGSYSPTTMNPHAAEFVPGKTVQQSDVADRESSPANPVTDSEQDVVSQTTDEVKAETPAAGKAGSVEKVVSGKGKENRGKDVVRNSYKTELARQILFSFIVKSVHDSLGSTGAEPDRKPSGSDEASNEQSSNVSKNSSGRQDSDKQQKAMEVPKDLKDTEGFTVVSKRRRRPQPLMNPINGLYSQQSICTSVS >Dexi4B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:4B:18116474:18118546:-1 gene:Dexi4B01G0016110 transcript:Dexi4B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHTETSGKGGVEFSARNSSKASAPNGNVMADGKVASGSTVPESGDGQNLGSGIGTSAEPGAAGISSPRRSSRRKACADANNTLNPPKKKGRTLKDWFSNAASSSNKVFDDNFTHADGQASEPHASSKTNNLEKGCVVNEGNERNSKEAHDTTADNPSNAGSFTYPDPEFYNFDRCRDVNLFAVDQIWALYDTHDAMPRFYARIRHIDTNNFRVKFTWLEHDAANDDEDKWTDNKLPVACGSFSLGNTEVSEDPLMFSHIVSSWTKGRKRGSYVIHPSKGEVWALYKGWSMQWASDADNHRSYEYEVVEVLSNFTMEAGVTVIPLVKVEGFVNGFITVFKPDNERGILDVPTKDDLKFSHQIPSFRLTKEKGGNLCGFYELDPASIPDPFLSGGTH >Dexi9B01G0044270.1:cds pep primary_assembly:Fonio_CM05836:9B:44081233:44083271:1 gene:Dexi9B01G0044270 transcript:Dexi9B01G0044270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGGRAAAAASSGSEDEEDEAGYSRSYFLAKEKEPSSSKKRARAAAGKLSDLNLVDEQVLRASLAEIPPKHEEEVEALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKQLLEDFATTTLTDFTVIVINGYLPSINLKQVIVTIAEMFWDQAKSKRKRQPGTRSQLSQPFPSQSFDDIISFLKRQTSDDVDDRVCLLIHNIDGPALRDAESQQWLAQVSCCPQVHVVASIDHVNAPLLWDKKMVHTQYKWSWYHVPTFAPYKVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKVRKHSDGQDCLRIPLVSDALEKLLQELA >Dexi5A01G0024920.1:cds pep primary_assembly:Fonio_CM05836:5A:28770357:28772351:1 gene:Dexi5A01G0024920 transcript:Dexi5A01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRVLLLILALAAPRASGSSSAPAASATSPEAAALLAFKSVCSDRAAALGSWTESSSDPCTAKWRGVTCQQRQSPSPSTSPPRVRRVVLEGLHLGGHAAALELLAGLPVLSSLSLKNNTFTGALHAVDFSRLAPHLKLLYLSGNGFSGRFPDSVLRLRHLRRLDLSGNRLAGTIPPEIGHRLRALLTLNLAGNAFVGPVPVSLETMANLAELNVSGNHLEGRIPSRLVAAFPFSSFAGNPGLCGAPLARRCNVPPKQIVYSNNGGGEASHGSGAVIRRGKKRRDRWMVVMIMSAVGAAVVSLVAAALCAVLLLKNRKPATRQRARGTSSMSPANSTTVAREETVRFDGCCVEFDVATLMQGAAEMLGKGATATTYRVVMRAGNNDTVEEEAEQVEAVVVKRMRRRREGASREDERRRRELAREMGTWRHANIVGLRAFYASAEELLLVFDYIPNGSLHSLLHENRGPARVPLDWQTRLKLAQDAAHGLAYLHGVSGGNLSHRHLTSSNILVDGTGDARVSDFALLHLLASGEESSSSSSLMQKQDVHDFGVVLLEILTGRQASGDEDLPRWARAVVREEWTSEVFDVELLRGKGAEDEMVALLQVALLCVAEDPGERPRMAV >Dexi1B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:1B:25685696:25686675:-1 gene:Dexi1B01G0019480 transcript:Dexi1B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTPEEDRVLVAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTTEEEDAIIHLHHMLGNRWSAIAARLPGRTDNEIKNVWHTHIKKRLEPKAAASSQQAPKRKPKKQQQQQQPSEVAVMLDGPASATVPVSSPEQSLSTTSTTTTITDYSAASSFENNAADSFTSEEEEEDYQIEDSFWSETLAMTTVDTSSDSFGMEGEEASGASTSDDVDFWLKLFMQASDMQNLAQI >Dexi3B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:3B:4069473:4069779:1 gene:Dexi3B01G0005960 transcript:Dexi3B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAVTQAEGEEVTNRQVILKRYVSGCPTVDDMEVVTGTVRLVLPPGSTTAILVKNLYLSCDPYMRTRMTWRADPGFVPDYVPGKLVWASLP >Dexi3B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:3B:3445410:3450416:1 gene:Dexi3B01G0005190 transcript:Dexi3B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLGGALEAVADRGCRGEAAWAPPADRRMTGRRRGRAASSVSEWRAGGRLVVARPTAPSPAEIGGGRGQSGTLGSLNLDCACRTALDINFLIVVDQSSGLCMTAPVALSNELEGISHSARVELFRDSSCNISKELSTSLRNGQDANSAGANPGFRVGEIRFSNGDVYSGTLLGNTPEGSGRYLWSDGCIYDGEWRKGMRHGQGKTWWPSRATYEGEYSGGYIYGEGTYTGPDNIIYRGRWKLNRKHGLGFQSYPNGDIFEGSWIQGEIQGHGKYTWANECTYIGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYTVGKITPIQKREVRASDFGPKASFWMNFPKQGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYNHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQQIMDYSLLLGVHYRAPQHLRTRASYRRSMAADRLTVVSEEDAQEDDALNYPEGLQIQLGVNMPARAEQIPKEEESKPFQEVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >Dexi2A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:2A:8475163:8476709:1 gene:Dexi2A01G0008250 transcript:Dexi2A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEDTATGGDHRRRRRVLFFPLPFQGHINPMFQLAGVLHSRGFAITVFHTHFNAPDASRHPDYHFVPVPDDDGGTPTPGDGGAPPAADTLHVTLERVLAVNRACEAPFRELLAALLEQRRDEVACLVADAHLLTLLDVARELGVPTLVLRTGSAACFRIFMAFPMLCDMGYQPARESELDLPVRELPPYRVRDLLSTSAAGHAVMSEVISRLVKAVATSSGLILNTFDALESAELASLRRDLPAAVQVFDVGPLHKLSPSPATSTSLLRQDRRCLDWLDTQAPASVLYVSFGSLASMSATDIAEAAWGIADSDVPFLWVLRPAIVPGSGAGAPPLPDGFLAAVDGRGVVVGWAPQEEVLEHAAVGAFWTHCGWNSTVEAVCAGVPMLCRPWFGDQMGNARYVADAWRVGVELDGEIERGKVAEAVAAMVMDGGTRRRARELGKRAAESVAGGASGVNVDKLVSHIMAL >DexiUA01G0026040.1:cds pep primary_assembly:Fonio_CM05836:UA:54811287:54814398:1 gene:DexiUA01G0026040 transcript:DexiUA01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIAKEALTFDDVLLVPAHSTVLPNTADLSTQLTKTIRLNIPMLSAAMDTVTEARLAIALAQEGGIGFIHKNMSIERQAEEVRRVKKHESGIVSDPQTVLPTTTLHEVKALTERNGFAGYPVVTEDNELVGIITGRDVRFVTDLNQPVSVYMTPKERLVTVREGETRDVVLAKMHEKRVEKALVVDANFHLLGMITVKDFQKAERKPNACKDEHGRLRVGNEERVDALVAAGVDVLLIDSSHGHSEGVLQHLQIIGGNVATGAGARALAEAGCSAVKVGVPQITAVSDAVEALEGTGIPVIADGGIRFSGDIAKAIAAGAAAVMVGSMLAGTEESPGEIELYQGRSYKSYRGMGSLGAMSKGSSDRYFQSDNAADKLVPEGIEGRVAYKGRLKEIIHQQMGGLRSCMGLTGCGTIDLLRTKAEFVRISGAGIQESHVHDVTITKESPNYPQIREFNPSGIILSGGPESTTEENSPRAPQYVFEAGVPVFGVCYGMQTMAMQLGGHVEGSNEREFGYAQVEVVTDSALVRGIEDSLTADGKPLLDVWMSHGDKVTAIPSDFVTVASTDNCPFAIMANEEKRFYGVQFHPEVTHTRQGMRMLERFVRDICQCEALWTPAKIIDDAVERIRQQVGNDKVILGLSGGVDSSVTAMLLHRAIGKNLTCVFVDNGLLRLNEAQQVMDMFGDHFGLNIIHVEGEKRFLDALAGENDPEAKRKIIGRVFVEVFDEEALKLEDVKWLAQGTIYPDVIESAASATGKAHVIKSHHNVGGLPKEMKMGLVEPLRELFKDEVRKIGLELGLPYDMLYRHPFPGPGLGVRVLGEVKKEYCDLLRRADAIFIEELHKADLYNKVSQAFTVFLPVRSVGVMGDGRKYDWVVSLRAVETIDFMTAHWAHLPYDFLGRVSNRIINEVNGISRVVYDISGKPPATIEWE >Dexi6B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:6B:24588771:24590804:-1 gene:Dexi6B01G0017380 transcript:Dexi6B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVESEGDAAACAAAVLTPPLSLEGGLAAELQPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQMYGEGVYCAGEDLLSRCAGGKDSLDRLKSVLAWSISTTRPPIFGFAPYNPVLGETHHVSHGSLNVLLEQVSHRPPVSALHATAAGGEVRLVWCQSPVPKFHGASIEAAVRGRRELRLPRHGETYELDCPNLLIRLLPSPAVEWSGDVRVVCVESGLEAEVSFCRSRRSFLGFGGGDARCVKGRILRSASREIVYEIDGFWDRTVSLKDVSTGEVSVLYDAHRAIGNLTTPAVHDNKGVAASESAVVWGEVSDALLKKDWERARQAKRRVEDEARKLAKERNEKGEVWMPKHFSLSQSKDGEWECWPLEESVPPAPIVVPS >Dexi1B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:1B:25995466:25996863:1 gene:Dexi1B01G0019770 transcript:Dexi1B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAFLLRHGRRNHAHGLPLARALSTTAASTDSGATSLSVARRRLRREFDPDRVVSILETMDAASLSNRASATRNALTIAARRLSHAGRYADAEALISSYLPACTNETYLAAVLCCYASAKLPEKVLDAFRSTVPSLPTPISPHPLNALLSTFLKCRSYDRIFSLFGELCNEFSITPNDTSYGILIKAYCMTGNDAKAKQILEQMREQGISPTSKTYNVLIDSMYKQKKIKQAELLWKEMIECGIKPHVAVYNLKAMNYGLHGKPEEVLEVMTAMEADGVKPDIITYNFLMSSYCRNGNLEDAKVVYKSLSEKGCSPNMATYRHMLAAMYANGDFDAGLDIFEESKKKNKIPDFRTMKGFVEGLVKGGRVADAQQIIAYMRKKFTGSPQSGWEKLEKELGLESDSGDTAPLEDSSAKIDAEAKSVAADAEALELEGSATEETALSEDSRDDEVPVPEEVPRCSA >Dexi8A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:8A:8750011:8750463:1 gene:Dexi8A01G0007690 transcript:Dexi8A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHNHLPLLLLSAIVLCLATASPTPTLAPSPEPEPTAYQMLERYNLTAGILPEGVTGYVLRPDGSFEVYLPGDCSFRAGSMQVRYSSRVAGSIRPESITGVEGVKVKLLLAWVGVTQVDRDGDQLRFSAGPISKSFPVETFAHSPQCG >Dexi2B01G0025780.1:cds pep primary_assembly:Fonio_CM05836:2B:34984471:34985046:-1 gene:Dexi2B01G0025780 transcript:Dexi2B01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDNMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi4B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:4B:4220720:4221040:1 gene:Dexi4B01G0006010 transcript:Dexi4B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPMVKRCATGRMPLQWPRGWQRKDDGRDDYGGRRDGVEADEFSWRRGKRRRASLDLAEEGHRTSRAWISRRTGRGRRIGEMAREAGMRDGVVKPCEGEADDARW >Dexi5B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:5B:21998423:22000007:-1 gene:Dexi5B01G0019700 transcript:Dexi5B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAYGCSTAASLLPFAAFPGRLPYRRSSPPPRVCLFSTSKPRATGCQVYHKFVDNLGLVVEYDLREENALQEENVVSTNSED >Dexi5B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:5B:6060639:6060992:-1 gene:Dexi5B01G0008960 transcript:Dexi5B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRSTCTARPATTSWKKCTFGSGCTGTPVRNLGLERVVRSILVDCSNAEYGCRTEKIAYCDRVEHELLRCHCAFAGKQAAELLEHLTGHDRWLSMAFRY >Dexi7A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:7A:17762077:17762422:-1 gene:Dexi7A01G0006370 transcript:Dexi7A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSVVHSSSGECCFFVEHLKGASSSYVTEGTSDLRAALRLLYVLEMRGLVSFDSINHYSRIGGLSASGIEEALEEGEDIAERILEGSLLMSSARKSRAQTNSRG >Dexi6A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:6A:4185277:4186522:1 gene:Dexi6A01G0004630 transcript:Dexi6A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWNGYRRETVSSSRSGTIVSGLSTAYTSRSSGSRFSTFSAEAAAMHFASLGIHEELDLGIHVELDLGIHEELDDDNMDDREEAEEEEEETREDSIRRLVKELFSAPSANCSIKRDGDMSVVERWVIASPKDGSSSAKELANEARSWIRSLHQIAQITSFTRLHFRDGAKLPIICEEQGKHVAYEDKLGEAIRSTMEKIRMMSVEDGNDSPIPLNPQGSFDIHKVTRSPNISIITVSLTKSMVM >Dexi1B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:1B:21141112:21142381:-1 gene:Dexi1B01G0014770 transcript:Dexi1B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDHFNPTVKMMVTYNSNKQVFNGHEFFPSVVANKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGTELVSYENPRPNIGIHRFIFVLFRQSRRQAVSPPSSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >Dexi4B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:4B:185049:191905:-1 gene:Dexi4B01G0000280 transcript:Dexi4B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPPDGKRHSASEHIDGKRHSASSQIGASGVASNPPYRPSTPARRDRCELRGHQFSDMQRLEQLQPLPCSLRASRQSTGRRAKQRLTRGRRNGKEGISISLSASSSSSSQARHRQRAMAAVVPLLAVAFLAATAHAADPFAFFDWDVTYMTASPLGVPQQVIAINKQFPGPVMNVTTNYNVVVNVLNSLDEPLLITWDGIQHRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPSLGMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHTHLRNMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEYETIKVEPGKFVWLIIAFIHFRNLVADISSSSTGKTYRFRVHNVGVSTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNESLWTRVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMDYGEWSENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEMVAPDNMLYCGLLKDKQKYACYLVTHGSETSWFIEVISLRSETEQLSAPGFGLFGSLGVGPLTETLPACAQLPFAD >Dexi3A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:3A:1873667:1875992:1 gene:Dexi3A01G0002820 transcript:Dexi3A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGIAVAGGHESGHGFFRADITMTEAQEAAMGYQTSPSPSTSPTPSPPPAEAGHGGDATATPLAWSLGGDKPSEAAGDNGMQTAGQSEHANLSSGRRRGRPRGSGRRQILATLGEWYAMSAGGSFTPHVIVVGTGEDVAARIMSFSQKGPRSICILAANGTISNVTLRQPDSSGSTFTYEGHFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMSLQQQASPTPALPAPVALPPVLTAVMPISQAAPGNGYHAAPASAAHPQHHAAPEHGAMNLNTTGFTMVGWPASSQPMAHRASPDINVSLTPQEY >Dexi9B01G0037590.1:cds pep primary_assembly:Fonio_CM05836:9B:38950171:38952006:1 gene:Dexi9B01G0037590 transcript:Dexi9B01G0037590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHRPAEEEEEAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEEEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKETSKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADGELKEELFAIRFGSVENCKKFKDTVEEIAEQQGKNEEKESEEASSAAELVEKLTVTEATQEETTEKGEAPAADDKDAKA >Dexi3B01G0032400.1:cds pep primary_assembly:Fonio_CM05836:3B:34768570:34769087:1 gene:Dexi3B01G0032400 transcript:Dexi3B01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNPRGRPVAAVAIPAAFHGGGFPVRRFHFDVLPPPRSISAQPTLAPSIS >Dexi3B01G0024120.1:cds pep primary_assembly:Fonio_CM05836:3B:18798542:18800526:-1 gene:Dexi3B01G0024120 transcript:Dexi3B01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIRQADPSTSCAENPYMHKFMPPGSVFPAQRFVSGTELLHHGPQPYNAEGYSQSGFSGTTSHPFQKSFYNADSHVESHFNDATFSPAISNISQQNSQSLSDNQASDLEVEFDEDEIKLKLQELEHALLDDDEIFSDLSGSINDEWNENMKNSNDWTNTIKSIMSPDSPKESSPESSLCCPDSSNGEARHPKQLLYDCAEAISEYSVDEAQSIITELRQKVAIQGDPSQRIAAYLVEGLAATIQSSGKGIYRALRCKEAPTLYQLSAMQILFEICPCFRLGFMAANYAILEACKGEEVVHIIDFDINQGSQYITLIQFLRNNSNKPRLLRITGVDDPESVHRAVGGLKVVGQRLEKLAEDCEVPFEFRAVASNIEDVTPGMLDCRPGEALIVNFAFLLHHLPDESVSIVNERDQLLRMVKGLRPKLVTLVEQDANTNTTPFLARFREVYEYYSALFDSLDATLPRESPDRLNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMAMAGFAPSPFNSTVIDGIQSLLKSYCDKYRFEKVHDGLHFGWGDKTLVVSSAWQ >Dexi9B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:9B:6832160:6836469:-1 gene:Dexi9B01G0010830 transcript:Dexi9B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPEMLDALAGWFAQSLSPDAAARRAAEQSISSAAASPGFALALLGLSASPRHDLQARLAASVHFKNLLRRRWPKPDADADDAADHLPASDCAIIKTHLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTAVNAGDVAATNSLLAAAASLYSRFRNAFDNNALRLDLKYCLEIFAAPLLEVFLFASRRLQAAATTANPLELRPVFECLRLCCEIFYSLNSIDLPEFFEDNMRQWMTEFRTFLTTSYPPPVEADGAPDALRAAVCDDLQLYMEKYEEEFRGYLKEFVEAVWGLLMAQTASPSRAQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRVRDEDEELFEGNWVEYVRRDSEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRANNWKEKDAAIYLVIALMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWQSEPMLKATVLRFLKEFRDQIPKATALALLPGVVRFLTHESNVVHSYAATFIENLLIIKDAVPVPGVTTVTRSPRYVAADINPFAPQIIQNLSTALSYPDSYENPYLMKCLMRVLGIANIGGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALSAVIGRTGEQDPALLPAFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNTTWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILAISRSLLSRSSTEDSAFYMLNTLVENVGLDIMNPYISEIWSALFTRLQTRQAVKFVNSLVVFMSLVLVKYGSGVLVSSIDTIQPNLFTQILNRFWIPNVKLIRGALEVKLTAVSSTKLLCESAVLLDAAAAQWWGKLLDSIVTLLSRTNQDGAPQEQNDGSDVVDIQKTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPIIEQHVDPANKSVLLQLCAAYNATIV >Dexi9B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:9B:354509:355453:-1 gene:Dexi9B01G0000510 transcript:Dexi9B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEQGEEEQGPPPPPPPWADLPPELLERTLGLLGPRDRVSARFVCASWRACVRDSDLPPIRGPAAPPPPPPQRRRRPRLLQPPAPQAAPLRAPRGPRRRPMLRPHPRLARHGLGRPPLPRPLLQQQQQQGWYHGGFRDMAFWSGGRLRALAHDGAVLAFRADLGARKAVMSVLREAAPPVGVGARAGLYLVEADGELLLVRRMWVDVDAADVEVEVHGLLESPGHRRWAQLQETPGRALFVGSAVLPVALYPEALLRLPGAPGGGDAGAARHLRVLAAGPADEERAHRRRTLGGRRPRLDHPLPLIIIDPATK >Dexi2A01G0022260.1:cds pep primary_assembly:Fonio_CM05836:2A:34124340:34129765:1 gene:Dexi2A01G0022260 transcript:Dexi2A01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPDDEAPAARAPPPQRRGISYSQPLSRDAASARRAALRNHSLDDDHVLPASHSLNYPLHHDPTHHPPLPPHQHHPSASYSANCRRSGGGGASEGSMTLERAMSEYGGGHGTLPEFVGAGGGKGIFRVPLRAAMHPGRPPPLEVRPHPLRETQAGSFLRTLAAEPQRRQLWAGAESGIRVWALDEVFAEWGAGARRGDEESAPFREGVPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLEAAATAPAPPSAGGGDGAGSAGGSSHGGGNNNAPVFREALTWQAYGRTPVLSMVITPYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADHSRSKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPVMPEQPMEEEVNPKSKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDTRRTGAVAQVMDGTIWSGCTNGAIIQWDGNGSRVQEFQHHTSSVQCIKALGERVWVGYASGMIQVMDAEGTIVAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLNSPGPLDDIIRTELSNKEQSYTRMEKINIMVGSWNVAQGKASAESLRSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFQRVGSRQLAALLIAAWARKSLKPYVGDVDAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVEEVRPDLAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKSGKVFQGMREGIIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMDVTESDHKPIRCTFSVDIARVDELIRRQEYGEIIESNGKVRSLLQEACFVPETTVSLSEITLENQENIVFQITNKCETSKAAFEILCDGQSIKKEDGTKSEVLPRASFGFPLWLEVQPAVGLIKPGETVEITLHHEDFYTQEEFVDGIPQNWWCEDTRDKEAILRVNITGSSSTETKTHTINVQHRCPPSSAPPPMMNQPAAAVPPSNVLASEAHSKRSSKKSQSKHREQQQQQDYPQFGSSEVHDLCRMRCP >Dexi4A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:4A:4507994:4508421:-1 gene:Dexi4A01G0006250 transcript:Dexi4A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKKSPAVPLPQFPEFPKQAEAEQATRKDPRQGGDEMGLCSCCCRCLELLCSVLLPPLGVCLRHGCCSMEFWISVLLTILGYLPGVLYAIYVICSVDPDRRGRDPDDYVYVA >Dexi1A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:1A:934191:937693:-1 gene:Dexi1A01G0001430 transcript:Dexi1A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATAAASLPPPPPEVAHLVDQLQRHHLAPDASLLSNSAHSDLLQAREEVAAERALYLEALAVYAEAVAMVEEYHAAGGAGAGKKLNCSPQVYESLEHRLAVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRSSFDSTLTSATPSSSSISTSYNNHSSTASAVTVGAAHGSGGSEAVEPGVGGVPDRFLGITSDYLYQVQQEQPAMSVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEAHLLDDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKDTVPALHRIRKYLVEATKEASNSYNEAISRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHTAV >Dexi5A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:5A:11511149:11513119:1 gene:Dexi5A01G0014460 transcript:Dexi5A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRCAFVLALLLTQLAGDAFAGRGHGHHGPAAHDYRDALAKSILFFEGQRSGKLPPSQRMSWRRDSGLSDGASAKANIAHLHLHLHCGVDLVGGYHDAGDNVKFGFPMAFSMTMLAWSVVEFGGLMKGELQHAREAVRWGADYLLKATAHPDTIYVQASSYFAFFVGDATKDHACWERPEDMDTPRTVYKVDPTTPGSDVAAETAAALAASSLVFRKSDPAYASRLLARAKRVFDFADRHRGAYSTGLAADVCPYYCSYSGYQDELLWGAAWLHRATKSPTYLTYIQTNGQVLGADESDNTFGWDNKHAGARILIAKSFLVQRVASLHEYKSHADAFVCSMVPGTPTDSTQFTPGGLLFKLSDSNMQYVTSSAFLLLTYAKYLAFSRQTVSCGGGGHVVTAQRLRAVARRQVDYLLGSNPMGMSYMVGYGARYPRRIHHRASSLPSVAAHPGRIGCSQGFTALYAGGANPNVLVGAVVGGPDVQDKFPDQRNDHEHSEPATYINAPLVGALAYLAHSYGQL >Dexi9B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:9B:14962382:14962732:-1 gene:Dexi9B01G0020200 transcript:Dexi9B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGVEVVWRDRNGDEIMKSSRAARVSQAVSSMVTAARGSRVVSSKSCASRAAWSHRPQRRSPGVWRQANEVADVARSGKGCHGEVPASNVRRRGRRGPTVHIGEVPASGVEGTR >Dexi5A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:5A:2910066:2911386:1 gene:Dexi5A01G0003860 transcript:Dexi5A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRAQLEEEEEEERRDWANLIPDLIDEISGRLLSSDVTEYLRFRAVCKPWREGTDDPHAQAMDTRFRPRNWIVLCITPDPTPRRRRILNLATGASLAVDLPALSTHCYLCAADGLLVLYHRPTDAIRLLDPLSNVVTDFPAISCSKILQVPGDAMWKLVSPGQASHWLFDYLGKVAFQSLVSFGGRCYFSSPKGSVYVLRLQPLPRLVEIVNQRSNKKSMDDYVIRSRYIHSFLISEGSMGRLLMVRYHRNIDYLGGMAAYNQMVLFTGANGITGCIELIQVDIAGKRLVPLSSLGGRAVFVGETHCVSVSTKMFPSIAPDAVYMGYRQQYGANFGICHLSNTSVDPVHEFDRDKDLRLIPRDRPCNIDQYLVCYVDRRGTFSGACIIHAYHLHPFPGRIL >Dexi3A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:3A:9352937:9355038:1 gene:Dexi3A01G0012830 transcript:Dexi3A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGSSSAAGKIAVVASVAVNLAWLATYIHRRYFGGNYGRSDGGEIATVEPSKGKPPVTEHSVVNLDHGDPTLYVEFWRGTGDRATIVIPGWQTMSYFSDLVGFCWFVEPTFEREVRRLHRLVGNAVVDGYHLLVGTGSTQLFQAALYALSPAQDGSPMSVVSPAPYYSSYPSVTNFLNSALYRWDGDANFFGGDTCIELVCSPNNPDGAIRKPVIKSESRKTIHDFAYYWPQYTPITEAGDHDIMLFTVSKCTGHAGTRLGWALVKDVEVAQKMVKFMELNTIGVSKDSQLRAAKIIKVVCDGYELSPPSEANRLFHFGQRKMKERWSRLRATVAASDIFSLPNEISGYCNFVKENVTSNPPFAWLRCHKDGVEDFEGFLREHKILTRGGPKFGVDEKVVRVSMLDTDEAFNMFMNRVASLK >Dexi1A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:1A:25751295:25754055:-1 gene:Dexi1A01G0018590 transcript:Dexi1A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPRVLVLVRDAEGYGAALADALRPSPGLTRESSPLELPRGKYGLNGEKASVELLSFSDSSGSPQVSIFVVPDYKPPLAACVMHEVLELISSEATSTERVLIVPLITRSSSYQHGMEHSTKVSPVLYGADIGATTDFTQLVIAGTTKPPTSLQIRCEPILCLLEMVRVLKMPTVLLVPSGGQQQGKSSTVSDLEVILTVLFVLILILQCVGEHLARHTNLEFSKESILERGIEKSPIVQEPWRELYG >Dexi2A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:2A:33628125:33631054:-1 gene:Dexi2A01G0021560 transcript:Dexi2A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAGGSGSDGGGGGGGGGDDVRGLKFGKKIYFEQEGAAATGGRKGKGVATNGPPTAAAPPRCQVEGCGVDLSGAKPYHCRHKVCSMHTKTPRVVVAGIEQRFCQQCSRFHQLPEFDQGKRSCRRRLIGHNERRRKPPPGPLTSRYGRLAASFQEPGRFRSFLLDFSYPRVPSSVRDAWPAIQPGGDRMPGTIQWQGSQEIHPHRSTVAGYGGNHAYIGGHGGGSAVGPSVLPAGFELPPDGCVTGVATDSSCALSLLSTTQPWDTTTQSGSHNRSPAMSAATAFENTPVAPSVMASSYTAAATAAWTGGSSREGARNVHHPEDALHLVHHGHFSGELELALQGSGPSSDPPHHVHHDSSGGAFGHSTNAMNWSL >Dexi4B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:4B:6899549:6903666:1 gene:Dexi4B01G0009520 transcript:Dexi4B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATRVGDRFALKIPCGLIQGSSITVIGTPGGLLGNFKIELTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTVADDWGSEDRCPSSESDAKDSAKVDDLEKCSGMVGKDQKEVLASKLRSNVSTMPPARKRSAEPRKYFPFKHGYLAVAILRVGAHGIHMTVDGKHITSFAFREDMEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVMDLETLKAPPVPIDRHVDLFIGVFSTANNFKRRMAVRRTWMQYDDVRSAKVAVRFFVGLEWPEESYPPWAHGPGYIVSKDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKGGLDVKYENDGRILVEGCEDGC >Dexi3A01G0031170.1:cds pep primary_assembly:Fonio_CM05836:3A:35583948:35584905:1 gene:Dexi3A01G0031170 transcript:Dexi3A01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACAEMCHPDRLRFDGAATVRRLERIFLWSHGRPGVYSNAGAVWLLRHCAAATSLGLRISPPVANNRKDMEEMMSPVPQLPNITSLTIEAQWQHLEASIAKLIAKCSRLEHLTIDILRPPVIGMTKRSLWSTFERGKSLAYGHQMTI >Dexi1B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:1B:20721975:20724988:-1 gene:Dexi1B01G0014420 transcript:Dexi1B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTADSLKNYKGERDGDQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Dexi7A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:7A:20208161:20209150:1 gene:Dexi7A01G0009090 transcript:Dexi7A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIPPLSHFRRPVLAAAPQFFLCLSTAAAPAARKPTTSVAVLWDLTASRPPNTLPIYDAAVRLHLAVSSFGRLRLSAAFVNPSHRLPPLAASAAAATTHLCRVCGRRFRARDALLRHFDAIHAREHAKRLARIDSSRGDRRVRLAAALSLKLSKYEKAARELTAGADAAASPADELGRAGVLVLDEGSVGCLMLVSGNDELGSLLMTARERGVRSVVVGGESGLARWADVGFSWAEVIAGKARTAAPSVSGKWRDRDVLKGLEWKYEEADDEEEVVFEDSDGDGVEELSRKAKGKPWWKLDSDGEDSGGCG >Dexi3B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:3B:685598:690356:1 gene:Dexi3B01G0000800 transcript:Dexi3B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLCSVQRWSRRVATMLPWLVIPLIGIWATTQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPTGWPCSQDCGYWLDLRCSSGNNNSFLALSWRLLSSFCSAAVSWFLRKILRFTSSGDDDGLGPDGKRLSKRGENGGKAEESRVEKAKRKAEEKRLARLEREMLEEEERKQREEVAKLVEERRRLRDEKAEAEERSKSVTPVGEKDARREAERRRQERRKKEDKGSSKSNSDCEDIDRRSSREGDRKRDFDRKSDLDKREGYKPHYFDASNHSNKTVESRTKYFGRMTGGFLSSSRGFGGGSFFGRSAQAPAPQVNKVSPNVQPHPTGLKKSWHQLFSRSASVSPCPDVSTSALDMNRKPEPHGAQISNAHTFLSQYPPLESKPSSSQPMQFPESTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDCGFISSGVTKESHGRPSPIESPLSRSEALNLSTEPKLMGIYAEID >Dexi6B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:6B:24993635:24994201:-1 gene:Dexi6B01G0017910 transcript:Dexi6B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGGGGGGARDVDTRGVDRVVKVVVRHGDTVDAVSVLYGRGGREEWTDLWGGPGGALAEICLRPDEHLTSVEGQCGEFEGNYVVRSLTFLSNRRAYGPYGRTEGVPFALPAAGGRILGFHARSGRHLDAIGTYVKVERQPPHADDDR >Dexi5B01G0027510.1:cds pep primary_assembly:Fonio_CM05836:5B:29019802:29026532:-1 gene:Dexi5B01G0027510 transcript:Dexi5B01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGAPSGRGSGGGGGSRTFDFGTDDVLCSYDDFAATSEPKRPDPVDKDFLDSRLGRPFVKAYEQESYGKEDVLSAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRSDVLRDESDHRLKSLEKHLHEVHRSVQILRDKQELAEAQKELAKFQLTQDISKKKEDVPMTTLTPEPKKHEEKPDTSSQQLALVLPHQVNPPSLAPRASESVQQYKDQPVQQSAPTPPVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQVQPEVPYLPARPSTQDVPVHASSQPPHAGNQTQLQSYPPYQQQWHQQSSQQTPAPVAQPQQTYSQPFPPPAQQPQLSNVQQFPPQPVQQPLSSSQQYPPPPVQPQHPPAQTQMRPQTPQHYPHYTPQQQNPSSEALPGNVAMQGQYNPAAPSGMSRSEAPYSYGGPGIPPSQPPPQHSMQRHQLPPSSQGSYGPPPSKGSYAGGHAQYAPQGNPQGYGAGYGYPPSGPPAVQPPQMPPGGVGMSHPGSHMMRGHPYGEMIEKAINMGYPRDQVLNVTQRMTESGQPMDFNNLLDGLNETGSAAPPRAW >Dexi5A01G0036290.1:cds pep primary_assembly:Fonio_CM05836:5A:37898227:37899075:1 gene:Dexi5A01G0036290 transcript:Dexi5A01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAVSFLTRIAKVAAGIGVAASVGSASLYTVDGGERAVIFDRLRGVLPKTEAEGTHFLVPILQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLSRPDVDRLPDIFNSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLTQRAREFNIVLDDVAITHLAYGPEFAQAVEKKQVAQQEAERSRFLVARADQERRAAIVRAEGESEAARLISEATTTAGNGLIELRRIEAAKEIAGVLARTPNVSYIPGGDNGQMLLGLNAAR >Dexi5B01G0036130.1:cds pep primary_assembly:Fonio_CM05836:5B:35946447:35948285:-1 gene:Dexi5B01G0036130 transcript:Dexi5B01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQPVSPEGGGVPPPSRARGADAAPSPPPGSGGRTRKASAQGERQAAAAAAVAAALRDGAGTSSAAMAAAHGARDGRHVTLVVPPDDRGQPQQVAQHPREGRNGGGPPQPTAAPRPRPSYTPPSQRRREQPPPAYGPSPSYPQGGSSSIPDQGQQEMPPPGGAPPPGARTESVLAMPDGAAPPRRKPRFGAPATTTWAPGLVTDPSDARQHRRPGGDDDEALAPAGILTAPSGAAPLPGGAAAPPRRKRFGMATTTWASDLPDTSDARRPGPRQHMPPRPGDEAAPGGPRRFGLGGLPTAKTIERVSTLEQDRTEEAAPVPGGSPPLPLTHGGGHHQQPTPPPYQQPSPPPYQQPSSYGKTTKWPAPVPPHGAYDQHPSPPYNDPYQQQPSSYGKTKRQQPSPMYPTERWKKKENSRKPLQVLFTLCCILFWLLVVCIGLAILVICLLYHPKPPKLHVSTATLNAGYIDELPPPRLGDLALNSDLYVLAAIYNPNTKIDVAVHYMQFDLYFQGHLVGTQAAWPPLFERPGDSALRTVHLVVSEVMLSPEDAGVWRNVTESGGLVQMQLEGRFYVQLNFGRWLPFRYMVKPSCALWLDPPPAGALRRARCRQ >Dexi5B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:5B:10435956:10438284:1 gene:Dexi5B01G0014080 transcript:Dexi5B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGLLNPSSGYEASTSGGTDRLPDQMNGMSIRDEKEVESVVVNGNGMEVGHTIVTSVGGRNSQPRQVQGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLVGQPVFPGDSGVDQLVEIIKVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPNARLPNGRSLPHLFNFKPNGKFILFLLVHQLKPPIRILICCMPNLNRVERSTNGVYFKINSSAC >Dexi9A01G0045040.1:cds pep primary_assembly:Fonio_CM05836:9A:48644121:48649004:1 gene:Dexi9A01G0045040 transcript:Dexi9A01G0045040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARLKRQRAALDAAPPPGPGSSCSWRQPKRRTPHARVTCSTAHTNFPSWGAKRGRNRSSSSREREWANLGDGPAGLVAELVLAADVATYIRFRAVCRPWRRCSADPRAFGALDRRFHPRGWIKVCEKNHSIHRPQRRRFLNLRTGQCVEIDVPELLDHRLLRCTTEGLLVLLHAATNLIRVLNPLTRQVTELPPIAADLASGFRPYSHDSAGLADEHTVLLYFGRAKTLAFAKPGDEQWVLVKTDELLIMATMSYAGRFYGVTTKAVVAVDMRGGGSLPPRLVVVARLAKSFSAMADTVHLVDNGEGDGLVLVHNKVYQFSDGEEDWWLERKYEAYRVDLDAGKMIHVRHLGGQAVFIGSYRALSVSPRTFPCISADTVYPGAGLVATTGAYSIKDGSFEPSSYDTQNIWADPLTITDLLSTYTLAFAKPGDEQWVLLKTDKPLVPTMSFGGRFYGVTTDAVVVVDMRGGSLPRRLAKPFSAMADSVHLVDIGGELMLVHRTIREIPDAEDCFETEYKVYRVDLDAGKTTPVHGLGGQAVFIGGHRALSVSPRVFPNIRADTVCPGLDLDERTDHEQIARSISSRRWKH >Dexi6B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:6B:22760731:22765121:-1 gene:Dexi6B01G0015400 transcript:Dexi6B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVASKMAFFPPNPPSYGVVDEEEPPPPQAAGAAQGTNATAAAKVKCEVATRRVALTGVRWSVGVEARRVRTRRGSEIIAMYVRHPGASLTVLFSHGNAADLGNMHRIFVELSARLHVNLMGYDYSGYGQSSGKNIDKIPHVKCPVLIIHGTNDDVVDWSHGKRLWELCQQKYEPLWIEGGDHGNLETFPVYTRHLKKFLSAIKKLPTGKEAPAESEKSPAGNKTPSDDIAISDVPSMISRRLEPSRKTSIHEHPMLGTEHVDKRRRSTGHREKARSSTDRKEKSRRSVDCFDRIDEHEQSEKPRKSFDRLILSAPCLAHCNCISIGEKIRSMGLCNVDCFKEPSHNTEPCRGH >Dexi9B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:9B:1475257:1476122:1 gene:Dexi9B01G0002580 transcript:Dexi9B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGARRQRNVPRRLRPHATHAVPRRVAAGHHRRRFSQLSCIAIGPQQACMIARDLARSIHPAWYISIARCIRFACLSDGTLTLYLYTLYLEITIACSTAALSKEAGRQLFNRGQAIFGRTHGRAGQGRSAYGPGTKWLGIRAVHKAEKERESHGTIAHRGLSSIHHTGQAEPIAIAALQDRPGDTGDDPCDVRI >Dexi1A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:1A:2939342:2944350:1 gene:Dexi1A01G0004000 transcript:Dexi1A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPASLALTSPSPFPCLMEALAARSSVLSPPAAAGDASPSPSRIRVSAALPRVRRGPSTLAISTRWPPRREGARGRLFAAGSGEGGSPDPTGDAGAQVELEVLADNNVTLRESPDLDTAKDVEIGISGNGGSNTGGSRAGLFRIPISGGVHSATAAHDLPPPALAVRNLMEQARFAQLCTVMSRMHHRRAGYPFGSLVDFAPDPLGHPIFSLSPLAIHTRNLLAEPRCSLVVQITGSSGLSNTRVSIFGDVVPLPAEQQEWAHQQYVSKHQQWASQQWGNFYYYRMQTISDIYFIGGFGTVDWIDVKEYETLQPDKISRDGGEQNFKELNSMFSKPLKELLSTEGEVDDVAVISMDSKGIDIRVRQGAQVQSSKVYTADAVFHYNIQRIAFEVDHSVETLDEVKEALRRIISKSRWHTRSSIFSRP >Dexi1A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:1A:20836791:20837111:-1 gene:Dexi1A01G0014300 transcript:Dexi1A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTLFSNRNLCWIKMGEGEKALMDAEACRTMEPSWPKACYRQGAARMFLKGYEKACDAYIDGVKLDPSNVEMGNAL >Dexi5B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:5B:2117728:2118660:1 gene:Dexi5B01G0003200 transcript:Dexi5B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPTPQPPAAAGNGNGAGGPPKMYQRPIYRPQPGGAKRRRRGRSCPFSCCCCFFWTVLVILLLAFIAVVVGGAFYLLYRPHRPAFTLSVANVTKLSLSSSAATAPALTDAIDVTLTARNPNKKLVYFYDDFTVTAATAANAVPLGEASVPGFAHEAGNITVIKATVSASALAIDPTASSDIKKSGEFPITLDLETKAGVKVGSLKTKKIGIQVHCEGIKVAAPAPPPAPVKKRKLATKKADAPASGKKPKAEAPAKAVADAPAKGVVADAPAPDVVDDAPSPPAPAATVARVCEVRIRVKIWKWTF >Dexi2A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:2A:32942049:32944277:1 gene:Dexi2A01G0020780 transcript:Dexi2A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLINYPAWLLSSESVSPSMAGQAAKVKESGKEDRQEPRAEVMKEKLVPSHPQQEETLASVLDKNSSGISSVPGDVSPVLDRDSGELKVEENMDGNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGSDISDESSCSSMSSTTTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPMVIKSANPSLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKDKSKSDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVGPVANQKGPDNYLEFEFF >Dexi7A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:7A:24248163:24248411:-1 gene:Dexi7A01G0014280 transcript:Dexi7A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLEVDFLFGVGFDLNVSPETFGQYCSAEMLRLELDKTTMTTAAAVAAGPRLHSCCLSEDDGTTTTTTSSSSQQQLAA >Dexi2B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:2B:7733998:7734851:-1 gene:Dexi2B01G0007430 transcript:Dexi2B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARKAAAAALLSPPLSSSSPSSAALQPRAGRYLLGRNTGAASSGAPQERASGCGQPCLVGARGFHDARRAFDGTSTRSLPAWTAIISGCARGGRHADGMRAFAEMLGDGGAPAPNAFVLAGVLRCCAGLGDVEPGRRVHGWMLRRGVRQDVVLCNAVLDMYAKCGHHDRARRAFWAMADKDAVSWNIVLSACLQGGDVLGAVRLFDESPLRDTTSWNTIISGLHAVVVGLGTAAPWSCGDGCAGG >Dexi4B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:4B:15314939:15315364:-1 gene:Dexi4B01G0014390 transcript:Dexi4B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRLRGIGGASDLYVVPSVVAIGPYHHGLPHLQEMEEFKHAAAHWLCTDSGHTFEEVYAKVLSVTGEARRRYDDDDVSLAAVSDAEFATMMFLDSCFLVWFIAGTSDDMVDDTQLARRCYHSSGPNIFKDMFLLEN >Dexi6B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:6B:10843895:10850129:-1 gene:Dexi6B01G0008600 transcript:Dexi6B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLPHGDARHEATWLRERGAAPVSREAARAPQGWQHRPGAVAAVWSGSGGHLEQQRWPGAMAAASSDGNLEEWPPNTESFSTPPPSLRLLVVRLFNLSKTPPPKNPHILRLFLAMEFQYHAGDERRSPSPPPPRLSPPAALSTSGSRSADAHGGDNGRLVLRQPPTPPPPAAADSADELRRQVEKAKIRERILREEAEQWELELEVRREIREQLLRLSWPALGRPAAGVSGPPVAMSPPGFASGNSPVQGFNHDEDHPIAKVPAASPPVKRKSPDRGAASTVSAATSSKKQKINLICMVCGISATSEKAMQDHLNGKVHKRKATALLEQLEAMTETGHEAGEEVLVPSGDHTPTKLTMLTNAGALNEVMQMDGYLLCEVCNVRTLDRVTMMCHLEGSKHISKGQKKGQASSKPLDEALMKKGGKGASVQEAATSDMVSSDPEKLVLEVYGVPHTVRRLEGFLLCELCNVKVPSVNGIRYHLSGKKHKNKAKASSDASANVSTGVNEANKAQLMETDTAVIAGMAIQLEAPSAKSLEAKVGDDSEVQETTVTSTNDVATGDNNKTNAKKVRNASASVAAALENNLHDSDSLAMEVDSVHHPLQRVNGFLICPCCNVKAPSETIMRSHLSGKKHKHKMALAARVNIKDASILSTGADEVQGSSSKSMKANVEAESTPLPVTQEKSAAAMAPMDVHRSCSPESGKANGEAESSPSLTITTKVDGPTEVQPATCIEGEHTAAAAQEQNGRLSCEPCGVHGCNKDGMILHLYTRKHWDRANLAEKKKEQEDAVVVDNDGNVHI >Dexi9B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:9B:6533609:6534145:1 gene:Dexi9B01G0010460 transcript:Dexi9B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARSFGMAGKKPRKAYTITRPRERWTADEHERFLHALVLFGRDWKRIEAFVVSKTSAQIRSHAQKHFLKAHKLGLPAPPPQHSRRAAVLGQPPVGCSALLPGDAVRTPRDEALIQLPLSPDDLYFAQVYRFVGDIFGSDNPQPVEAQLQRLLGADPVIVDTILRVLGNLEANLFL >DexiUA01G0005470.1:cds pep primary_assembly:Fonio_CM05836:UA:9742835:9744301:-1 gene:DexiUA01G0005470 transcript:DexiUA01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGESVSVTSSEVPTMWHLKYSSVSQGQNLMFGV >Dexi1A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:1A:25019287:25019765:-1 gene:Dexi1A01G0017650 transcript:Dexi1A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAHPLARISSSSFMACLYPLLLQDGVGDDVGHAAAAEHIVEHRERVAGPAAAAEATDERVVGVRVGLHGHVRHEPPRGVELPGPAVARHHGVVGHDVGDARAQHAPRVGGPAQRAELLDERGAGPRRGARGWVVPREGVDEADGLLDVPEAD >Dexi5B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:5B:12803140:12803505:1 gene:Dexi5B01G0015560 transcript:Dexi5B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGGSKSNQLRARFQQEDAEAAYQVVVWVVVLCLGLRLVVVVRSPSRWRQVLRLVLLLQQGLVVVVVVLLVEEPEVVAGGGGLVKLLLHEGPRQALLDPEHALTAVPQVLPPARIPGMGP >Dexi9B01G0042550.1:cds pep primary_assembly:Fonio_CM05836:9B:42797278:42801904:1 gene:Dexi9B01G0042550 transcript:Dexi9B01G0042550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPPHGPGRRGGGGGWGAGWYWRAVAFPAVVALGCLLPFAFILAAVPALEAGGSKCSSIDCLGRRIGPSFLGRQGGDTTRLVQDLYRIFDQVSNEEFPSNEKLPESFRDFLLEMKDNHYDARTFAVRLKATMESMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTIRSSSVPEKVVFHVITDKKTYPGMHSWFALNSVSPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSTSDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWEIDLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVISRSLDPDDCAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHLLGLGYQEKTDIESVRTAAVIHYNGQCKPWLDIAFKNLQPFWAKHVNYSNDFVRNCHILEPQYVKE >Dexi3B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:3B:680358:681291:1 gene:Dexi3B01G0000790 transcript:Dexi3B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIAVLVAIIIMVAAPRPSEAARYTTAASSDADALRFPGRPSSRPRSPFLPGFPGARPSPPASSGSFPSTRPSPPAPVPPSAPAFQQPCPSPSRLGGFPVVPGFPGLLPGGGGAASSSSPTECVTPLAGLMTCGTFLTGSEAETPSPQSECCTGLGGFLNTSSAAGDGDRTLRCLCPVILGDVNKMLPKPVDPVRMMYLPIACGVVLPPQVLYICFTGQQTPPLVGRIPDVWEKPSAGKRAMHNCNFTTS >DexiUA01G0013660.1:cds pep primary_assembly:Fonio_CM05836:UA:28681059:28682886:1 gene:DexiUA01G0013660 transcript:DexiUA01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTLLIANRGAIACRILRTLRTMKIRGVAVYSEADISSLHIREADEALSLGDGPAANTYLDSSKIIAAAKASGAQAIHPGYGFLSENAAFAEACDAAGIAFVGPTPEQLRLFGLKHTARALAKTHGVPMLEGTDLLADVNQAVNAAAEVGYPVMLKSTAGGGGIGMRVCYDAEALRDAFDAVVRLGKNNFSDAGVFIEKYIERARHLEVQLFGDGKGEVIALGVRDCSVQRRNQKVLEETPAPNLPAGMAQALCEAAITLGKAVNYRSAGTVEFVYDSDAARFYFLEMNTRLQVEHGVTEQVWGVDLARIYAENPGRQFQPSPGLLTDVAFPPDDRVTLRIDSWVEAGCEVPPFFDPMLAKMIAWQPTREAAIRVLHYALGETRLYGVETNRSYLQQILTFAPFAAGEPWTRCLEHLMYQTSTLEVLSAGTQTTVQDYPGRTGYWAVGVPPSGPMDSLALRLGNRLLGNKDDAAALEITLSGPTLKFNCDAQLVVTGAEIALTLDVRGARRTGAPHR >Dexi3B01G0036180.1:cds pep primary_assembly:Fonio_CM05836:3B:39074829:39075155:1 gene:Dexi3B01G0036180 transcript:Dexi3B01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDLHLLSDFVPIRPSHTVCGKGSVKSGPFSIPDICYVPGLGENIISISQLTDTGFALVFGADGFVVQKRSDGNVVGRGSYGGNQLFHLDSLNIPISK >Dexi7A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:7A:23339088:23347258:1 gene:Dexi7A01G0013180 transcript:Dexi7A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPVAAQEASDDGARVVVVAAAGSPVESASNPIPPPASAEEGGQEQSKPEARAEEAPEELKQAAAAPVEAKQEAAGVQAMAVTVVRDLEAGPEASSSDQGGEKPSWFTPKRLLVMLCIINMLNYVDRGAIASNGVNGIGRNCSGGTCTSGSGIQGDFDLSNAQDGVLSSAFMVGLLVSSLIFASLAKRHNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQKTSWLAMFYMCIPTGIALGYVYGGLVGKLLNWRAAFWGESILMVPFVILGFVIKPLELKGFTHNGVKEYGQMLNPDNQDPINNNGTKHVMAGGIDDLAGKVPQKFSFSRFGQSLMSEIGNFSKDMKVLLQEKSYIVVVLGYIAYNFVIGAYSYWGPKAGQQIYNMASADLMFGGITIVCGILGTLGGGFVLDKIGSTIPNAFKVRKSLLSGATFLGAMFCFGAFCFKSLYGFIIFFSIGEILVFATQLTLRTLNSFELQAPVNFVCLHSVKPYMRALSMAVSTVSIHIFGDVPSSPLVGLLQDKINNWRATALILTSILFIAAVFWFIGIFVPSVDHFNEESEHGLPAAERSNLRPLLDDNGARASD >DexiUA01G0015710.1:cds pep primary_assembly:Fonio_CM05836:UA:33319467:33322013:-1 gene:DexiUA01G0015710 transcript:DexiUA01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTYKALGHPTMIAYLKHLGITALELLPVAHFASEPRLQRLGLSNYWGYNPLAMFALEPRYATHPDKARDEFRDAVKALHAAGIEVILDVVLNHSAESDLDGPTLSMRGIDNRSYYWIRDDGDYFNWTGCGNTLNLSHPAVMHFAYECLKYWVDTFHVDGFRFDLAPVMGRTPAFSQQAPLFEAIKNCPVLSQVKLIAEPWDIGEGGYQVGNFPPLFAEWNDHYRDAARRFWLERNLSLGEFAGRFAGSSDLFKRDGKRPSATINLLTAHDGFTLRDCVCFNQKHNEANGEENRDGTNNNHSFNHGIEGLGGSLDVIERRRASVHALLTTLLLSQGTPMLLAGDEHGHSQHGNNNAYCQDNTLTWLDWGEANSGLIHFTAALIHLRQQIPALTADRWWEEGDGGRGTRLKDLTIKRAKPAVHFGGKFRIIDFALSNCLNSGIRRIGVITQYQSHTLVQHIQRGWSFFSEEMNEFVDLLPAQQRVHGENWYRGTADAVTQNLDIIRRYNAEYIVILAGDHIYKQDYSHMLIDHVEKGARCTVACLPVPVAEATAFGVMHVDADDKIIDFVEKPANPPTMPGDDTKSLASMGIYSDPNAEPYWRDVGTLEAYWKANLDLASVTPELDIRCCSPACA >Dexi9B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:9B:2642039:2643373:-1 gene:Dexi9B01G0004620 transcript:Dexi9B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLLRLLLAAAACLPPPASCRYSGHHSPPPKPPKHHDKNGALTAALVVAASLLALVLLYLCASIAVRRFRSRGAVGRESSAAAGGSSSSSSSSAASRAAAFLRRQGLQHHRPAFTYEQLRAATAGFDAGRKLGDGGFGTVFLAYLPPSGRPAAVKRLHVPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRVRGGGLAAGAPPPIPWRTRLAMAAQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLAPPDACATGGARELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDPPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREVLAELRRIQTMLLELPGGNGS >DexiUA01G0013140.1:cds pep primary_assembly:Fonio_CM05836:UA:27360004:27362156:-1 gene:DexiUA01G0013140 transcript:DexiUA01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSLHLLLPHSGRHSPPFPAAAAPLRSALPKRASATVAPPPGRRRRRCAQLSASAAATETPPQSAEDAPSPSPPAGEDPSAFDWLDQWFPFAPVCDLDPGAPHGKTVLGLSVVAWYDRAAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHKNSKACVASYPCVVQNKILWFYLRAEDEYRDVLQRKRPPYIKEIDDPSFVTAFGIRDLFYGYDILAENLMDPSHDKEGGGPIKLKIEEASLDGFLSSWERGHWKFVAPCTFYSSGTSMQTKEQQGKKKAPRFMLVVFCVPVGPGRSRLIWAFPRNFGVWLDMIIPRWFYHINQNSVLDSDAYILHVERKFAASGLDNWQNVCYVPASSDTMVVAFRNWFRKYCKNRVGWATPHPDQLPPTPTKDVVLERYWSHVVQCSSCSAALKAMRALEVALQVSAVAVVGFLAVAKETLVASAARRAAVVSAAVLCFAASRWLSSFIERNFFFQDYSHAYK >DexiUA01G0027660.1:cds pep primary_assembly:Fonio_CM05836:UA:59050604:59051416:1 gene:DexiUA01G0027660 transcript:DexiUA01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAVNDLDKELEYLKQAFEVCF >DexiUA01G0024570.1:cds pep primary_assembly:Fonio_CM05836:UA:51126636:51131989:-1 gene:DexiUA01G0024570 transcript:DexiUA01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPNKKKKKKKNKKDGEKKKRRFFEKKKNGQAYYVEWDSDASFDFDDSDDEDDKSSKGVAGIAIKKAPYLFSSPHCLMVQGEAKAPPDRPRADLLVFKGGPYASNGRTAVVGYLFLLLSPSPTRAICSPPLSFFLLSKKKRSPLDFFVGVFPGPSESIPGLSANFSIIGPSASH >Dexi5B01G0038770.1:cds pep primary_assembly:Fonio_CM05836:5B:37855780:37863414:1 gene:Dexi5B01G0038770 transcript:Dexi5B01G0038770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDDPPLGRDWGRRMRGFQVGFIREGWASILKSCGDDQIGRGFEGVSFSGELTALTCMSVTVHFEERVPSNWQMHGFDRPIYTNITYPFPINPPFVTSDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYRFVFDCLLNVGLMCQCSQDSRLPAEFEVTDCCHPCDSGKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQIFITDYFFKATMDDNFTLADIEVEVEIDSHKQDQEHVSTLSIEAALYDNSRLSNSLDADLSSVNVINLKPKPKQTGSPCHGFHGYILGGKIENPKLWSSEHPNLYTLVVLLKDANGKLIECESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNIEACMIKDLILMRQNNINAVRNSHYPQHSRWYELCDIFGLYVIDEANIETHGFDENSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHASMSGTEQDSSIMKEVVPEHHPQILYSHAMGNSNGNIDAYWMAIDNTFGLQGGFIWDWVDQGLLKEDSDGSKFWAYGGDFGDTPNDLNFCLNGILWPDRTIHPAVHEVKYLYQPIKISSTDNVLKIENRHFFDTTEALDFNWVLQGDGCILGSGSLNVPTLAPQTSHLINMESSPWFALWSTCAVKEVFLSVSVKQRYQTLWAEEGHLLASAQLCLPQKNGFVPQAIAPSNCPVVCERTGDSVIISKNNAWQIKVNSHLGTIDSWKVNRVELMSKGIFPCFWRAPTDNDKGGSYSKPYFSQWREASLDNVSFYSSQFSVKELPDNTVELSSVYYGLPGNLPKPDATALPQASDSILFQVNMLCRIYESGDLVLEYEVNPKADLPPLPRVGVVFNVEKSLSHVAWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIVPGECGGRADVRWMALRNADGFGLYAAVHGEYPPMQMSASYYGTAELDRATHVHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPTRYAFAMRLCPLLPSSSCHDIYKSQLPK >Dexi4A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:4A:718031:719764:1 gene:Dexi4A01G0001070 transcript:Dexi4A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGEERGSGSAAAAADGEATRSTGGGHGKAMGRRILNDALRTMVNAERRGKATAQLQPISGVIVSFLNIMKHRGYIKNFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVVTTPNGVLDHEEAIRQNVGGQVLGYFH >Dexi2A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:2A:7222946:7227702:-1 gene:Dexi2A01G0007420 transcript:Dexi2A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILSAATSATTSLQAAGPFACRSLKLKPAVSWHASQTRASSCLLNCASELTSHVTRPILPSLGNLNKLMDLELSDNRLSAGIPVALLNLTELNTLSLEMDELTGSIPHEIGLLHNLSFGVVVLEIMMGMYPTELESIASMGQRQELEMEDILDKRPSLPTIAEKKEIVLLVEVAFACLQTAPQYRPEMQDVYQKLVMHKPHHSASPSHGFRLEEIREV >Dexi5B01G0022690.1:cds pep primary_assembly:Fonio_CM05836:5B:24957667:24962790:1 gene:Dexi5B01G0022690 transcript:Dexi5B01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSYAPPAPQSRASPGPGLGHRSPPCALSFPDALRAAAAAAAVSLSLLTGDAVGAVAAAAAQPAEVCRDGVAAVVEEVRAEAVTNEQLVEEAWEVVNESFLPDAGSRPWSPEMWMQRKQDVLQGTIKSRARAHDIIQKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSFKLMVLGLLLDGPAYSAGVRQGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQMVARSPVFYRLEKRENDDSSVGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIVAESGPMIATPLMLLVNNRTASASEIGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYSRLPGSI >Dexi9B01G0035700.1:cds pep primary_assembly:Fonio_CM05836:9B:37270156:37273536:1 gene:Dexi9B01G0035700 transcript:Dexi9B01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICPDSGTDDDRSRNLDIDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDIGCLVVSRKLFIWTLGVLLAAAVFAGVVAGIAKAIPRRHRPPPPPDDYTVALHKALMFFNAQRSGKLPKHNNVPWRGNSCMKDGLSDLAVRRSLVGGYYDAGGAVKFNFPGAFSMTLLSWSVIEYSTKYDAVGELGHVRDIIKWGADYFLKTFNSTADSIDRVVAQVGSAATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAAASIVFKDNKAYSQKLVHGATTLFQFARDRRGRYSAGGSDAAKLYNSTSYWDEFVWGSSWMYLATGNSSYLTLATNPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTSIIMCGLIQLNHGKPHALQYVVNAAFLASVFSDYLEAADTPGWYCGPHFYSIEVLRSFARTQIDYILGKNPLKMSYVVGFGNHYPKHVHHRGASIPKNGVHYGCKGGWKWRDTKKPNPNMIVGAMVAGPDHHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGNGVDKNAMFSAVPPMFPSPPPPPAPWKP >Dexi2A01G0036880.1:cds pep primary_assembly:Fonio_CM05836:2A:46368788:46372340:-1 gene:Dexi2A01G0036880 transcript:Dexi2A01G0036880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGVGMAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSDTNEEIFGALSFIFWTLTLIPLIKYVTIVLRADDNGEGGTFALYSLICRHANVADEELSTYKLECPPEVAGRSRVKEWLEKHKRLHTALLVMVMIGTCMVIGDGVLTPAISDAVIPITCAILVFLFALQHYGTHRVGFLFAPIVLCWLLCMSAIGLYNIIHWNPHVYQALNPSYMITFLKKTRKSGWMSLGGILLCMTGKDLKQCLRILDISPTAQSRQCSDPARLAVITVMLVTTCLMSVVIMLCWHRSPLLALAFFLFFGSIEALYFSASLIKFLEGAWVPILLSLILLAVMFVWHYTTIKKYEYDMHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELIESLATFVKLDALFRCSDAAACGEEQRDSSYYERENALTVIGSNPLRRHLGLGYDDSHDGVSSAHDGIELSATAAAGAVRKQVRFAVEMPRRSGGGGVEERVVEELREVCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >Dexi2A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:2A:15099586:15100442:1 gene:Dexi2A01G0012870 transcript:Dexi2A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAEGDRVIEIVSAGALYRRGGDWERKYWSCSRGKDRYPYPVGYHAVRHFSWISYAMEIQQGPRGPIFLVTSTEGDSATGDTPDFTWKNLQKKIGAKVRIWQRRGSFPQKIDGAEACPSFPHL >Dexi8B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:8B:27192063:27198912:-1 gene:Dexi8B01G0016170 transcript:Dexi8B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETPAATLSGDELRRLLAATLSPDKASVDAAAAGLDRAAADPRFPLAILAVAAGVRVAAATYLKNFTRRNIESSLSSSELYKEFRDQLAQALLRVEPAILRVLIEAFRQVVEKDFVKDNLWPELIPQLKLVIQSSNLISPGHHPEWNTINALKVLQSVVRPFQYFLNPKVAKEPVPQQLEQIAAEILVPLQVTFHHFADKVLLSPDGAKFEYEHLLLITCKCVYFTVRSYMPSTVKQILPSFCKDMFRILDSLDFNSLPDPEDAMMRHKIAKRCLIIFCALVTRHRKHADAYVFYHLLYSFLAYFPYCLHFNVLSQMPHIVNCAIKISRQSNNLSKLCSLPNRIFSLAFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALSLNEKDIAEWEEDTEEYMQKNLPSELDEISGWTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDKRKSERSSIGELLVIPFLSKFPIPSHGEDASSTGVRNYFGVLMAYGGLQDAMSTSIYNSLMKALTMEDVDDITCYPVCASASGAIAELIENSYVPPDWLVLLQAIVKRINTGDENESALLFKLLGTIVEGGQEKVLPHIPEIVSNVANTVMKLLPPVPDPWSQVMVHLYSYSMWPILYTLCMRVKSSLLDVNQGSVVEQGLSALAAMAQAWESSAPDENKKHEKMVWQSGQSAIAQTFSMLLQKAWLLPVLENSCSALPPPSCVNDASLLLEFVMRSVTCMEEAASMKVFELVPIWADTIANWDSWEEMEDQGVFNTIKEAINFHQRFDLTGFFLKMLPSQSENASLSSVIGRVSNFVTRAISAYPSATWRACSCIHTLLHAPKFSLGTEDARKAIAVSFAQTAFSHFKSISDSPAGIWKPLLLAISSCYICYPDAIEQVLNSYDGNGYEIFASALAQVSSSSFSSGLSSESEIKLAGSLDEVDVQQVVLSLVQNQPALQAQTLPDNLVEKISETFPEYEILCQVHRQA >Dexi9A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:9A:15798818:15807986:1 gene:Dexi9A01G0020740 transcript:Dexi9A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQENDTAAAATGRRGSPLLRRCKRERYKHGLSPPQMEALRAMCGALIPSLPVDDDQPGAANKARERFYSASAADGAIPDEVAELATRCVWEAVLLMRVILWILSTKVGTLALCGRLCISSRFPFVCKFADMPTERREEVLKRWNNARWLFPLRVTFVIVKILSHFSFYMMVNEGSDNPSWKSIGYSVPDVDRPREDLTEAAASPSPRPLDSGVVEMRSLNDATLLRALMDKGLATKTYVSGAHHTVRCDVVIVGSGCGGGVAAAVLAAAGHKVVVVEKGDYFTSEDYTSVEGPSMERLYEKGGIFCTSNASTIMFAGTTVGGGSAINWSASIRTPEWVTQEWAHEHGLPMFGRPEYAHAMDAVCARLAVTGGCREEGFQNKVLRGGCEALGLRADAVPRNSSEGHFCGSCHLGCPTGEKRGTDTTWLVDAVAHGAVVLTGCKAERFILERNTGNKNGRSKKCVGLVASCASAGITKKLRIEAKVSIAACGALMTPPLLRNSGLKNWHIGRNLHLHPVSMAWGYFPDGTPGPQLITGKCYEGGIITTMHRVTERTIVQTPALGPGWRR >Dexi7A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:7A:15223452:15224626:-1 gene:Dexi7A01G0004430 transcript:Dexi7A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIRAALEDADNSRARASASARLQLRELWCVAYDAEDVVGECEYEAARRGAEALDTGAGVAAP >Dexi5B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:5B:12165832:12170636:-1 gene:Dexi5B01G0015150 transcript:Dexi5B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADTSEASTAGLALAEANINWERLDKTRFHVIGAVLFTVQQGALHPTAVVKTRMQVAEGGLAHMSGFAVFRRILRSDGIPGVFRGFGTSAVGALPGRVLALTSLEVSKEMTFKYSERFEMSEASRIALANGVAGLVSSICSGSYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRAEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSQTKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKSE >Dexi9A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:9A:10869956:10873725:-1 gene:Dexi9A01G0015960 transcript:Dexi9A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKCFLSMHRIAYRSGLLDSRWYSAAAKGSPSIAGASDIIAVASGKGGVGKSTTAVNIAVALAKEFKLKVGLLDADIYGPSVPTMMNLDAKPEVSEGISWSSFSMCHGVHHMLFCVYIYVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPVPLEISIRTGSDEGKPIVISSPNSASAQAYINVAEKVTQRLKELAEERQMGPEISL >Dexi1A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:1A:198592:200282:-1 gene:Dexi1A01G0000300 transcript:Dexi1A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLTENDCRLEICTDPKTILSVDDILALIGDRCDGVIGQLTEDWGEVLFSALRRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLTLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGHFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAILVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLSALNILGKIKGYPVWGNPNQVEPFLDENATPPPACPSIVNAKQIGW >Dexi9B01G0043110.1:cds pep primary_assembly:Fonio_CM05836:9B:43169481:43174219:1 gene:Dexi9B01G0043110 transcript:Dexi9B01G0043110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAQSPSFRSVFDAAYIRSEFSAAGISAHFIPLIWKYVLQNPRCGDLDGVPSLPATAYALLRKKFRPTTSTLTTAADSKDRTTTKLLIRLQNGESVEAVVMRYDTRLGKCDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSEIRNIVFMGMGEPMNNYNALVEAIGVFTGSPFQLSPKRITVSTVGIIHAINKFNNDLPNVNLAVSLHAPDQDIRCQIMPAARAFPLAKLMNALQSYQNDSKRTIFIEYIMLDGVNDQEEHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQNVKKFQKIIRGIYNIRTTVRQQMGQDIAGACGQLVVSLPDERSDGGATLLSDIEDLGI >Dexi1B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:1B:25478937:25486095:-1 gene:Dexi1B01G0019240 transcript:Dexi1B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASSLRSLARRRPRLRLPAAPLAVPGARAAFLSGAAEEAAPLAAADAAAAAAPAPGRKVLESFREEFEIGGRSIAFETGKMARFANGSVVISMEDTHVLATVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQIMVNVLSSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAIKCIDPQIRLAQRAGKEKKEYKISLISDTSYEKIRTLSETPIEEVFTDSSYGKFERGEALEKITQSVKTKLEEENDEDSLKFLSKAVDTVRKQVIRKRIIEEGLRVDGRQLDEVRPLYCESNTYPVLHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSQIDPTTGDISNYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISTARAINDGSAPRLGLLMYFLCSYHDLDMMKARTDPLFAATLNFSHDSLRKLLFYRKHIEQETGARVSISDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGQQGLLHISELSHEPVSKVSDVVTVGQGLSLMCIGQDVRGNIKLSLKATLPKPRKKKDSEIKDPLPSQGVGWAAVENMPSVGVDAEPSSSQLEDGTTEEAPAFSTPSVIIRSADDCDAQDAANGPKKRVKVAKASPRPYKAPSQHKEVRTATAKKAPGATKKTKKEKSEESGSNSLETSGSQELPEQTASSTQDLKQSPVNFRSGSMKLGDVVTAKVYQIRAFGLVLELSDGGRGMHKFEANGRKEFEVGQELLVKCASFNAKGIPVFSLLN >Dexi1B01G0030820.1:cds pep primary_assembly:Fonio_CM05836:1B:34647096:34648194:1 gene:Dexi1B01G0030820 transcript:Dexi1B01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKGNTASPNLHGRNRDHIRKPSHVFPDGRTLAVMLTAVIFVLLLSTYHPETFYQYPMRTHISAQEAKIGHEDKVCNLFNGTWVRDFRGPIYTNITCSTMPDSKNCRKYGKQMDYVNWKWVPYGCDMVRFEPHLFLNIVRGKTLAFAADSIGRNQMESLLCLLSQVSNHYFGSA >Dexi1B01G0025210.1:cds pep primary_assembly:Fonio_CM05836:1B:30328526:30331681:1 gene:Dexi1B01G0025210 transcript:Dexi1B01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVPSLLIGGGLSVSISVSTTAKATSRAISAPHPMPSSLRSTARHRGRQCSPHLATASECPSTPLAAAMAESHSRSPAWVVVMDSPPAAAGGSRVSRAEAVDYYASTLAGVVGCEKEAQMRIYKASWDGSYEFCCEINEEASKELAKMPGVLSVQPDTGHISDMDNNTSSLSTANHVSTSDGASKSTSGKNEFWLVRMEKPGVEVVTKAQMVDHYTQILMKVLGNEQDAQVSIYHISWERDYGFCCHIDEECAKELADIPGVLSVRPDTNFGSDKKDYKGNDGFKSSEGTGAADIKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDNQSGRPNQTFRQSYQAR >Dexi4B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:4B:20285179:20287459:1 gene:Dexi4B01G0017830 transcript:Dexi4B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAFLIRGSAVFLASLSTKLPCLLLPSLRDVEAGSHGAAGWLSCRPTGNNERALLGAREAAGEADGGDVGRAYAFRPKPSGLLRSYPADADHENVSLAAATIGARRDGETDLARPRLPRTFAGAPPDASPSVSFLGCPPARAVAGEQRRDGNGVPLSETAATQLVRLESQKTVLTVSESTFRLQYDLYESSHQAQGGLGPQRNPSSSWHSAHAFRGHLSCPTPEKRKT >Dexi9B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:9B:6512409:6515609:1 gene:Dexi9B01G0010420 transcript:Dexi9B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPERVEAAALEQGLLAPEDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATITDVDVKIADFTNPWRICTVTQVEELKILLRMFPIWATNIVFSAVYAQMSTMFVEQGMVLDPSLGSFKVPPASLSTFDTLSVILCVPLYDYVLVPIARRFTGNERGFTEVQRMGIGLVISIIAMAAAAILEINRLAIAQDLHLVDQNVPVPLSIFWQIPQYFLIGLAEVFTFIGALEFFYDQSPDAMRSLCSALQLLTTAFGNYLSTFILTMVAYFTTRGGNPGWIPDNLNKGHLDYFFWLLAGLSFLNLVIYVICAGKYKSKKAA >Dexi9B01G0046820.1:cds pep primary_assembly:Fonio_CM05836:9B:46012240:46018575:-1 gene:Dexi9B01G0046820 transcript:Dexi9B01G0046820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFPSLPLPEAAAAAAHAALLALASLLLLLRAARALASRCASCLKPSPPPRRVVARAAADGGGGLAAAAASAWHRSVLACCAFALLLQVGTLSYEVAVAGSRVAAGALLLPAVQAVAWAALLALALQARALGWPRFPALVRVWWVVSFALCVGIAYDDSRRLIGDEATTADYAHMVANFASVPALGFLCLVGVMGSTGLELEFMDDMHEPLLLGRQLRDAEEEPGCLRVTPYGDAGILSLATLSWLSPLLSVGAQRPLELADIPLLAHKDRAKSCYKAMSAHYERQRLEHPDREPSLTWAILKSFWREAAVNGAFAAVNTIVSYVGPYLISYFVDYLSGNVAFPHEGYILASIFFVAKLLETLTARQWYLGVDIMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGFAMVSTLIATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLQLEEMRNVRISGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIAACSLKKDLELLQYGDQTVIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALATKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDADTVSSSIPDKRLTPSISNIDNLKNKMGENGQPSSARGIKEKKKDERKKKRTVQEEERERGRVSLNVYLSYMGEAYKDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQIRDLNLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPTEAPLIIENRRPSLSWPENGNIELIDLKVRYKDDLPLVLHGVSCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERADQEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKVAEFDTPQRLLEDKSSMFMQLVSEYSTRASCI >Dexi2B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:2B:27665888:27666355:1 gene:Dexi2B01G0017250 transcript:Dexi2B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMLVALALLAIAVSTTATHTCGQQAPPMHQCPCHQQPQQQYPQPPPVLSQCSELLRQQCSPVATPYCSPQCQMLRQQCCQQLRQVELQHQYNAVYTMVMQMVQQQQPYGGIQGPQGQMGMVAAQIAQQLTATCGLNQQPPCSACGTASGGVPY >Dexi3A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:3A:11714775:11715193:-1 gene:Dexi3A01G0015730 transcript:Dexi3A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGRGVCCGCYLDDECREKSSAAAEGRHAHRRAAGGGETTPACAAKLLDPLGVAFRDELTELDGRVIVAAGGEVGPGGGARGEDEDLLQRVRRRRSGGVAGDCLVVVAMLWKERSGTAKTVAKRLMPEH >Dexi7A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:7A:29196990:29202713:1 gene:Dexi7A01G0020390 transcript:Dexi7A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQPRAVAAPALRRRPPSPRPRPRRRRVAPPLAAASVSVAVSSDGDEDAFTRCSGYLFEEGAATESELPTAYDIAGIAAVYRRRPLLVLRRSLQIGTSFGRWFALRYLDRVNERADDMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTQDAFNIIEKELGLPLDMIFSEISPEPVAAASLGQVYQARLRANGKVVAVKVQRPGVQAAISLDIYILRFLASLARKAAKLNTDLPAVLDEWASSLFRVTFHILELFGKFRDVSVPEMYLEQTRRRVLVMEWIEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKGEVTKALTGVFENAVNRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTGSSPKLRSTLEALLYKVDFPFLFSETFKMELIVESVRARTEQSLVRNQQEDVDSTRYAIKQVLSFTLTDQGAFVKDLLLQEIAKGIDALGVATLSSATSAAASRLPFAGGPSSLTSLDDEDVTNLRNLYRLLLLLSKVSQKDNSSPIPGNNSAIENEGDSTDELSLALYEMVSLPEFLPVLSVIPEVSL >Dexi9A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:9A:403445:407905:1 gene:Dexi9A01G0000740 transcript:Dexi9A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPKPPPPPPPPLREDDDDSDSLLPTYLGVTFALFLATLPATTGARHVASLHSRGRLLAARLLTAEDQLRQLRARRREDARANARAAEIFAGHRAAWMEAERRLLARAAAAGDEAASLRARLAEAEAEAAALRARVERLEREAAERDELLTALLAATSSHAGGSGDACDLRAVEEGEQQQQHGPVAVPPFDPPEATDAEALAAAAALYAQQRQKHDDDDFYTPATAASGMPPWMDASKGWQDLKYDTVESTYNTKHAVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVVNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQGGTNENVFVVQQTSDPCDSTLSSEFRTQRQTEFLLEAFHLQHRATETRQKLGTLQAETAKSSFGDELTAEAKMCTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >Dexi7B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:7B:18012450:18012962:-1 gene:Dexi7B01G0010770 transcript:Dexi7B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVTDEEKQQPLLLVVASAGEKETPPEATCGCEAFPRASPTATRTLALVVLVAGAAFAAQLAAREEHVLLAVFASQLASFCVFTSLLALCALPEGGGGVRRARWAARAAEQVVQWSLAMAVPTSMACWVVQSAPVAVGAALVVLALAVVLACFAELVRALWPVQQGPR >Dexi1B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:1B:6826301:6830143:1 gene:Dexi1B01G0008190 transcript:Dexi1B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPRAATRYGYRPLFKPRPSNHPSTACDTLSPPDHPDAGEIPQFRALLHPSLPPGTAAATQQTQTPAAMEAATAATAAMVWFRKGLRVHDNPALDAARRGAVRLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLGDLDARLRSLGSRLLLLRARDDDVADAVCAALKDWNIGKLCFESETEPYALARDKKVTDFAMASGIEVFTPVSHTLFDPAEIINKEYSELPPVGDTGEYELLPVPTVEELGYGDLSQEEIPPFRGGETEALRRMKESLQNREWVAKFEKPKGDPSAFLTPATTVLPPYLKIPWSENEELFVAWRDGQTGYPWIDAIMIQFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRVYSPITFGKKYDPNGNYIRHFIPRLKDMPKEYIYEPWTAPISVQKKARCIIGKDYPKPVIDHEIASKECRKRMGEAYALNRLDADPTKGKPSNFSRRKMSQCEDDASKSPIAKQPKRSSRAE >Dexi3A01G0027970.1:cds pep primary_assembly:Fonio_CM05836:3A:28145389:28145811:-1 gene:Dexi3A01G0027970 transcript:Dexi3A01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLRTAVRETSTASRKRKGRHYKTLAAMPSGSEEATGSEQATVLTVGGGEGSGDGGVGDGGVEKDAVFWIQGEGRGGEVRGGGVKERDEEEAAARGRRRRRRRVAVRGQAVATRNGAARRRGSRGVGGTRKGKKVEWIF >DexiUA01G0012000.1:cds pep primary_assembly:Fonio_CM05836:UA:23961606:23961827:1 gene:DexiUA01G0012000 transcript:DexiUA01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQHQESSPPEKPRGRELACATELHAEAHAAGTSCRPEDEPAVAPSDSASGRSCTCTGRLREISTRECERKR >Dexi2B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:2B:30742858:30749085:1 gene:Dexi2B01G0020730 transcript:Dexi2B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLMVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGKFQGSYAKFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFEAPVLRSECNPKVLTRLSSPFFLKPAHIRRHLAQEARGCTNLILWLDCDREGENICYEVIECTGIPENEVGRRIFRARFSSITEKDILNAMENLVLPNKDEALSVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYIIKAGDEIQLEWDRKKLFDFDVTVMFQKMVASDGTLKVADVSVKEECKTRPSGLNTVNMLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPASFDFRGALAVLLHNPLWSNDVRTLLDAGFVKARQGHDAGDHPPITPMRSATEEALGTDAWRLYQYICQHFIGTVSPDCRYTRTAIEFTSGGETFHCVGYRVTHKGFTSIMPWLAVSENSLPAFKKGDSVSIHKVDIYEGSTTPPDYLSESELISLMEKHGIGTDASISVHINNICERNYVQIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPMRLYCITCEDVYYLPQNGSIKKFLSRGKRFLQNS >Dexi9B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:9B:9223423:9223698:-1 gene:Dexi9B01G0013750 transcript:Dexi9B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSNLVLGSLHVKSYDWVGAAAGCSTTLTRRLAKLMVDADLHAPCSTLALFPESAGNIHRFAAATSCYNLDE >Dexi4A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:4A:4147592:4148299:1 gene:Dexi4A01G0005780 transcript:Dexi4A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCRAGLHPDVALEYALLFARNELGAADVRHLDHDFLSSMGVSVAKHRLEILKLARKDSSVASALTSLPSRATSLLASAARSALSPLLRRRASSSSSSSSRGGGGRDKVRALAAPRLPPMMMRVMRHRGGGRVAHSWGKTMLVAASPSAGKKKASPLALPMTPTHVSNPVVLTSSCAATAKALPAPPPVVVAGGGCLATITETCGCDDDEDDAGEEEMRWESMFQDLKPN >Dexi7B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:7B:23489307:23489639:-1 gene:Dexi7B01G0017590 transcript:Dexi7B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPLGEPGRHALDACALCSKPLSRNSDIFMYKGDTPFCSEECRYEQMHHDAAYARRASGGSQRRRQQQQTQRGTGRHDGGAAAATSVSAKADVSVASY >Dexi9B01G0027610.1:cds pep primary_assembly:Fonio_CM05836:9B:30071895:30073579:1 gene:Dexi9B01G0027610 transcript:Dexi9B01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSPYCGRKAAPYTDSDDGVKRFELELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMCVRMG >Dexi5A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:5A:1090287:1091341:1 gene:Dexi5A01G0001530 transcript:Dexi5A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFINDDDSSENASDQSDVSDTPVLSEESSEGPEEPDIVADYTSVMARIGRKKKAEDWKFEGDMLAAFAEHPELRLKAVCALYRKQTQEEQLEKAALIHNGEGFNHIDARRGSHIAEFLLDGDRDGPLKKTISDLEEYDPYALGFCHKVAKNYSKQLFTIYQNKEDPDFHP >Dexi5A01G0025050.1:cds pep primary_assembly:Fonio_CM05836:5A:28914748:28915964:-1 gene:Dexi5A01G0025050 transcript:Dexi5A01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEAQERNEELLKKIHDNDKNILQLQFTIQRLEETTVANENLLSREREQNDATTKAHIESQEKYEELRKKFVDVDRKIDLLQGTIERLEDSVAAKDVSLEAALKENDTIRKSLIEAQERNDELLKKIADSFK >Dexi8A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:8A:28296195:28296858:-1 gene:Dexi8A01G0016620 transcript:Dexi8A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGECTKAVSLLFRIAALGLSVAAAVVMATATQLVIVGGDRGSSSTSSYTISYSHYSALTYFVAATAISAVCTAAALYLYGVRAAAGNGWLPVMTLMDAAAQGFLFSAAGAAFAARGVIGGGVAAPWGGSGTAIDSVCDAAGAFCGKVSVAAAVCALAAVAVAVAALAGDARRGSSSSRGSCCDW >Dexi7B01G0021100.1:cds pep primary_assembly:Fonio_CM05836:7B:26268734:26269070:1 gene:Dexi7B01G0021100 transcript:Dexi7B01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFASQLKDMFFVLVERVTGYGWGESQDGTAAKTYEDVSPTGQEVTAVENIQIRARSAGADPFVNMGSKPQVE >Dexi5A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:5A:3303507:3316535:-1 gene:Dexi5A01G0004360 transcript:Dexi5A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCPRTSAFLAPPPPLLFPRHSFPCRRRRPRGRLASRPFLTLARFDPPPLLRLKVSDSSDSPADAAHTHAHHSNHAHRSPAPPLLQGPRALIASLAPVWREGLFLVRCSVFAAVVSVAAALSWVAQLRARSFVEARLLPAASAALGEYLQREVRLGKVRSVSPLGITLQTCSVGPHAEEFSCAEVPVMKIRVRPFASLRRGKVVVDAVLSEPSVLVAQKKDFSWLGIPPPSEATVKRHSGEEGIDYRTKTRRLAREKAAEQWNEERDKAAREAAEKGYTIPSGQVVSSSTHEMLEVDGPTEVGKSSPPHCADVMHKKDHHLATGIDSGSKHADLEKSFGVKSRIPGINLWSRMISSPSRIMYRRKAHSKVVSDADNSSQQRILRRSADAAVAYFQSTGPSNIDDSSPGAGKSSSDGGHANVGGGETTSNDEPVGSSGIASMNLAESPLDNLLSSQCGSCNLDNEVLLCHHLEDLEIVQANFPQGSVLEKFDKSYDHNCVSQKQTIFGNFGSCTHAQNLVPFWPFQLKGFPVSFNAPCASLDVQIQKLKSQFVIGPGDIFSAEFTEGVSQTHPAGVQHALPITLDTVYFNGGNLMLLGYGDQEPRQKYVGSLVFVLKGDFHVQLFERILEIPVVWHKGRATGEVHICMSKDDSFPSIHGQIDVKGLAFQILDAPSSFSDIVAKLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMRTMKTKPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSISGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEVDDSAMDINLSGTILLDKVLHRYIPGGTQPIPLKIGELNGETRLSGSLNRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVAFDLNTRVQTSYIDDYLLNKRTYQMKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRVKFQGKIMKSSNIADDKIKGILQSDIDQNEVETNVSKLVGNISLSGIKLNQLMLAPESTGSLSISRHSVMVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGVLSVIRPKFSGMLGEALDIAARWSGDVITMEKSILEQANSKYELQGEYVFPGTRDRFPVESQSNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLQSVGFHAESLRDQLKALEMYHDWLDDDTMEDITLPGLAELRGYWRGSLDASGGGNGDTMGDLKGTLAKPECDVKIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSSSTEESMVEEDGKQGEVRINADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSATFNRAIVDSPFLRTPLTNFAGTIQVISNRLCVSSMESRVGRKGKLSMKGSLPLKNSEPSANDKIDLKCEVLDIRAKNILSGQIDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGPVATRLASNKSSYLASGFDQSTTSQDVSRILGSLSASPESKYSVKFERHLFCNQILLAIIFWLTELHMSVLSLICLGEQSDTERTLEHGSFKPNIDARLNDLKLMLGPELRIVYPLILNFAVSGDLELSGMVHPKYIRPKGILTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >Dexi5B01G0021860.1:cds pep primary_assembly:Fonio_CM05836:5B:24158996:24161672:1 gene:Dexi5B01G0021860 transcript:Dexi5B01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSSNPDDASLDLSFSEDGRNGTFMIGNESFPASLLDLPAVVESYKTYDDSVLIKTADIGQAELVNQVEKHLISIMHGVSVSILLVDQNASVIGGEEGGDRKKPPVARAPKRPDVQEPAANGDEAEPERSDSDDSEN >Dexi9B01G0028400.1:cds pep primary_assembly:Fonio_CM05836:9B:31038442:31039729:1 gene:Dexi9B01G0028400 transcript:Dexi9B01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQAAGVDRISALPDDVLHVILGRLGYAPAVSKTAVLSRRWRHVWTRAKSLTFKDTDMFLINKSDFASFVDWVLAQRGNDADLLESLEIHVKGEHCTNGVIISPEKVNEWLRYAAQHVVGSVNTNIGCSPREEQAIVEVPSHGRAVSISLVLPDHRFQPIGHVAGARHEVLTKLQLFSLSFSEDGSELSEFVASCCPRLRRLFIYGPDGLHRLVLQSESLEDLAISSAVDLLTLDVQIPNLRVFYMCRCFTFRKIFNNCEEYVHDNKMVRIVAPKLEEIATMHNYRSKAADLDIHDLSSVRRLTNLCFDMHGKYHCDNDVGFWLFESCTNVEHVAVSLRHMPCANAPMDGLVDLTASEGKAPLGKVRSLAVRASHFPKRHLVGSMSSLLIGGKSVGS >Dexi1B01G0021120.1:cds pep primary_assembly:Fonio_CM05836:1B:27062696:27067360:-1 gene:Dexi1B01G0021120 transcript:Dexi1B01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSVYSTESGTSSNSQRCSPEQENMLLAVDSLQFENIGTMSSTVGKSSTPQVFHVALLGLELCLMIGSRDTFFPHQAREHSATSGKESDAHSGRAGQLVQEAMQDGGEVLFLDGIGEVVVSVGRDGLSLQPLHQELVSSCWSSITLQPKLDNKIKFLDVYAIELLDKGPISGPWNTRTAIHGKTNIEVFVHPLCGKGRGVKNWETVAPLFDRAKIKTKVIVTDRAGHAYDTVASLSDIELKALDGVVAVVGQLNSNSIC >Dexi3A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:3A:1290563:1291360:1 gene:Dexi3A01G0001910 transcript:Dexi3A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKFCDELFESGKFAIKEKLPGEIFLLEDTMTTEDAQSKWITVRFISPEVISCECGLFEHMGMLCRHAIKVLVSMDYMEVPKGNVLPRWTKQPSLALNTCTVQAASEDEADKLMKKALLLKTLEIVNGKRKLDEAGFKQAMTALEQEETAKQCDPVGSFCMQTFSSPTKCDVPLSCPKSSYTGGRPPNTGMKSWLAKTNKKVNKTSSDSESMPIDWPEEEAPKNKKTKRLKEI >Dexi6A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:6A:25855950:25858207:-1 gene:Dexi6A01G0018030 transcript:Dexi6A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQAMGDALWDLLGDDMSASGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGVDIAEVDLNRCEPWELPDAARMGEREWYFFSLRDRKYPTGMRTNRATGAGYWKATGKDREVLNAATGALLGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSCKEEWVICRILHKVGDQYSKLMMVKNPCYFPAMGMDPSSFCFQQQDPTAAAPIPNPSACTSVVTLPFHHGHPGMQPPLLPPASSNHGSHSKITNGCGFPASSCTQEPPHGYGGGSNAAMAMPPPYLPPSFTSMIAGRSAPPPQPPQAGVNAGQQEPPLPVPPTWLEAYAQHGGILYEVGPGGGAAPRGA >Dexi4B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:4B:5351862:5352191:1 gene:Dexi4B01G0007490 transcript:Dexi4B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPITLAILLGFFAVFFCLEHLQAAAKIQTSHSQSGLARFVASALLPAVLSTFGLTLLLLVVHVRALSRAGVPGAGGAGLDRLAKVTLAAASVALIAGGVMGFVAD >Dexi3B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:3B:3752968:3753857:1 gene:Dexi3B01G0005560 transcript:Dexi3B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASRRVLLAVLAAAFALLLAIRRVSIVSPPAAHQQQEEESFKLPAAVAEALVYYATSRVTPQLTASEAGLENTSWIASVRAAHPRLSLEVYHVVYDTKLTDADDLLELRSDPRCVGQPDLNAAAAAACRLAPPGLPAAFYEAEWDVVLVDAPTGYAPWTPGRMGAIYAAGMAARARRRTAGATTDVLVHDVDRPVEDTFSRAFLCEAYEVEQVGKLRRFVVPSHWGAGDGDDDAVPRPFCPPASPDDEDAAAASV >Dexi3A01G0023560.1:cds pep primary_assembly:Fonio_CM05836:3A:19254447:19256241:-1 gene:Dexi3A01G0023560 transcript:Dexi3A01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAGNKNKAVVWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATA >Dexi2A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:2A:3125736:3126734:-1 gene:Dexi2A01G0003610 transcript:Dexi2A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAAADPAPHVVDECRGVLFVYSDGTVVRRASPAFSPPVRDDGSVEWKDATFDPNHGLGLRLYRPRRDLTGGERRKFPVFFYYHGGGFCIGSRTWPNCQNYCLRLASDLDALVVAPDYRLAPEHRLPAAIDDAAAALLWVAAAADPWLAESANLTRIFISGDSAGGTIAHHLAVRFGSAAGREELAPAAVVGYVQLMPFFGGVERMRSEAECPDDAFLNRDLNDRYWRLSLPEGATADHPVANPFGAGAPELEGVEFAPTMVVVGGRDLLHDRAVDYAARLKAMGKPVEVRDFEGQQHGFFTIDPWSDAADELMRVIKRFVDSDGCFE >Dexi4B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:4B:2469475:2470117:1 gene:Dexi4B01G0003540 transcript:Dexi4B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAATSLGFLLLAVNSIMGFQRSCGDAAATLFVLALYASFVLLFCCLRRFEAAPPGSAAEGYARAGIWLAAALVTAMFSLRVIALMPGLMAATLWLIVRSHGHVFFGGSIGDETLMAAGVLLMTAYTVTRGFYALSFSRSIEDVNNSRILA >Dexi3A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:3A:13245755:13248135:1 gene:Dexi3A01G0017330 transcript:Dexi3A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGELLTAARGAGTSPSTASSGASAAADLAGAGGAGAIGGGGGNFPLAVALLAFAFANFINLLSIWLKEKRWDARKFLTSAGVMSSLSATVGSLAVAVGQQEGANSSEFALALVFAAVVMYDASGIRWHTGRQAALLNQIVCDFPPEHPIISTFRPLREPLGHSPLQVALLHIFYSLHTMHHQYLFRFISLLLISFSLSSLQVFAGALVGCTVAYFMGTSV >Dexi4B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:4B:216020:217558:1 gene:Dexi4B01G0000340 transcript:Dexi4B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALRLRGPMSSNLDDGETPSPAKRPRRSPEPTSVLYNRSPSPPTSSSLASSSAAPDPPPISAEDWEAVLSGDMAAAPLSHSQDSCFLRWIMDADAQVDAFDPFLPPPPPCQDPAAVEIFLQQPQAPPLLASDDFLEPRAVVDELLEAARRADSGDSTDAREILTRLNHRLPSPTLGIGQPPLLRAAAHLRDALLRLLVTPPGQGSSVSSSPLDVALKLAAHKALADASPTVQFAGFTSTQLLLDALGGARRVHIVDLDIGFGARWPPLMQELALQWRRASAAAQLPPPSIKVTALVSPDSAHPLELHLTHESLTRFAADLGVSFEFNAVVFDPSSDPSPPLAVSAAPGEAVAVHLPTIGSGTFSPATLHVVKQLHPAIVVCVDDHGCHRGDLALSHHALNVVRSSAAFLESLDAAGAPADAVAKVEQYILRPRVERLLLGDRMMMTPSPWQVMFASAGFSPVQLSGAAEAQAECLVRRTPTPGFHVEKRQAALALRWQQSELVTVSAWRC >Dexi4B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:4B:7786451:7787797:1 gene:Dexi4B01G0010440 transcript:Dexi4B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATRAASRLVPEIPMLRRSSNTKKQPATAAAEEEVAVPAHFVCPISLDLMRDPVTAPTGITYDRDSVEAWLARGNARCPVTGRPLRLADLVPNHSTHRMIQEWCRAAGVERVATPKAPLADADAGDAVAAVSRAAARGDVAACGAAAARARALGKESDRNRRSLAGAGAGRALAAAFARLAGERVESAGVVVSAALGEILAAMTGFFPLDGEARRCIASPASLKSLVSVMSHGGAEIAARASAAVVLRELASSSADRSTLEAMSRTPGMCEALVELVLSPVSTPATKAALVTAYYLAAALERAAARLAEAGAVPAILELLVDADKGMSEKSLAALDAVLGSASGVVAARGHALAVPVLVKKMFRVSDMATEFAVSALWRLCRAGGEGARGEALRVGAFQKLLLLLQVGCGGVTKERASELLKMLNGSRDSVECIETVDFKGLKRPF >Dexi9B01G0033760.1:cds pep primary_assembly:Fonio_CM05836:9B:35869946:35871661:1 gene:Dexi9B01G0033760 transcript:Dexi9B01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALPSSTSFLTVVLAAALCFIVTIIRLHQRPKRKHKLPPAPRPRPVIGNLNLIGTLPHRSIYELSSLYGPLMCLRFGSFPVVVASSADMARSFLKTHDLAFIDRPKTAAGKYTTYNYSGLFSSPYGPYWRQGRKLWQAELFNARRLASLHHVRADEVRSMLNGLHAAVAGGEHAGAPYMVNLNVISRMVLGKKYVVDGAGSPTTPEEFSRMIDEHFFLNGALNVGDLIPWLGWLDVQGYVKRMKRSAKMFDHFLEYVLDEHDERRRRRDGNEFVAKDMVDVLLELADDPNLEVPIERDGVKGFTLDFIGGGTDTSAVTVEWAMSELLRNPEVLAKANEEMDRVISRERLVEEEDITRLPYLEAVVKETMRLHPVGPLLTPRLCREDVSLGGYDIPAGTRVLINVWTISRDPAVWDAPMEFRPERFVGGGSGGGVDVKGQDFELLPFGSGRRMCPGMSLGLKMVQVVLANLVHAFAWRLVLYKLMK >Dexi3B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:3B:5044004:5044528:1 gene:Dexi3B01G0007150 transcript:Dexi3B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGGGDKAKAAAAEAPGGGGGGLGMPAAEVERVFRRYDANGDGKISAEELASVLKALGATPGPGEVRRMMDEMDADRDGFVDLAEFVAFHCGGGGQEEDASEAELREAFRMYDADSNGLISAHELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFDEFKKMMGAGARR >Dexi2B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:2B:30467227:30467623:1 gene:Dexi2B01G0020400 transcript:Dexi2B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSLAKVASEIKAADIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSKVASGDTKPNSWTLLDFGKFLFSDMFILY >Dexi2A01G0034220.1:cds pep primary_assembly:Fonio_CM05836:2A:44287497:44287817:-1 gene:Dexi2A01G0034220 transcript:Dexi2A01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEMLALYVTASGDDDDGRTSAAPALVLMVNARRRPDGGVLLAAAFGAPTNPPVLTSALVAVAAAGRLQLRLALGAASAAHGWWPWAVVFAAAAMGTAVAPPKAS >DexiUA01G0026060.1:cds pep primary_assembly:Fonio_CM05836:UA:54843309:54847718:1 gene:DexiUA01G0026060 transcript:DexiUA01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESVSHQLEGGWNEGGKGISVADVMTAGAHGVPREITNGVLPGKNYPNHEAIDFYHRYKEDIKLFAEMGFKCFRTSIAWTRIFPKGDELEPNEAGLKFYDDLFDECLKYGIEPVITLSHFEMPFHLVTEYGGWRNRKLIDFFVRFAEVVFRRYQHKVKYWMTFNEINNQANFHEDFAPFTNSGLKYEPGEDREPVMFQAAHYELVASALAVKAGRDINPSLRIGCMIAMCPIYPLTCAPDDMMMAMNAMHRRYWFTDVHVRGKYPQHLLNYFARRGFTLDITEEDNAALTQGCVDYIGFSYYMSFATKATADNPELDYDESKSLVSNPYVQKSDWGWQIDPVGLRYSLNWFWDHYQLPLFIVENGFGAIDVQESDGSVNDQYRIDYLAAHIREMKKAVVEDGVDLMGYTPWGCIDLVSAGTGEMKKRYGFIFVDKDNEGNGTLNRSKKKSFDWYKQRRKRMKIVEVKHPLVKHKLGLMREHDISTKLGSLLTYEATSDLETEKVTIEGWNGPVQVEQIKGKKITVVPILRAGLGMMEGVLEHVPSARISVVGVYRDEETLEPVPYFQKLVSNIDERMALVVDPMLATGGSMIATIDLLKKAGCSSIKVLVLVAAPEGIAALEKAHPDIELYTASIDQGLNEHGYIIPGLGDAGDKIFVKDRRFYRQSRLVCSTCSPCLAQPFAFISPVLLLLPLGYEVALGGFIMCGVLFCLVSFIVKKAGTGWLDVMFPPAAMGAIVAVIGLELAGVAANMAGLLPADGQSPDSKTIIISMVTLGVTVFGSVLFRGFMAIIPILIGVLAGYALSFVMGVVDTTPIAEAHWFALPTFYTPRFEWFAIFTILPAALVVIAEHVGHLVVTANIVKRDLIRDPGLHRSMFANGLSTIISGFFGSTPNTTYGENIGVMAITRVYSTWVIGGAAIIAILLSCVGKLAAAIQIIPVPVMGGVSLLLYGVIGASGIRVLIESKVDYSKAQNLILTSVILIIGVSGAKVHIGAAELKGMALATIVGVGLSLIFKLISVLRPEEVRHIDRKTGRTIRPVLSLHLSLPLYLPDDETFASFWPGDNPSLLAALQNVLRQEHSGYIYIWSREGAGRSHLLHAACAELSARGDAVGYVPLDKRTWFVPEVMEGMEHLSLVCIDNIECVAGDEPWEMAIFNLYNRILESGKTRLLITGDRPPRQLNLGLPDLASRLDWGQIYKLQPLSDEDKLQALQLRARLRGFELPEDVGRFLLKRLDREMRTLFDTLDQLDRASITAQRKLTIPFVKDILKL >Dexi2B01G0022330.1:cds pep primary_assembly:Fonio_CM05836:2B:31970267:31973544:1 gene:Dexi2B01G0022330 transcript:Dexi2B01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVNSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEKSGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCKKYEKIAGKPFSISDAEYFVFHSPYNKLVQKSFARLYYNDFMRNCSSIDDDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYSKNESLDGQRIVMFSYGSGLTSTMFSMRLNNGQHPFSLSNIASVLDVTEKLQSRHETLPEKFVDTLKLMEHRYGAKDFETNRDTSLLPPGTFYLTHVDSMYRRFYDQKPAEETPGKAKCCNGFANGH >Dexi5A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:5A:24750661:24754290:-1 gene:Dexi5A01G0020880 transcript:Dexi5A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLMLLVASPWALAGTAAAAALLWLVAWTLEWAWWTPRRLDRVLRAQGLKGTRYRLFVGDVRENARRNREARTKPLPLGCHDIIPRVQPLFHDLVKENGMETTSVLLTWTIIVLSMHPEWQDMAREEVLNYFGRATPDFDSLSRLKIVTMILYEVLRLYPPVIFLTRRTYKEMDLGGIKYPAGVNLLLPLLFIHHDPTIWGKDASKFNPERFAEGISNATKYQSAFFPFGWGPRICIGQNFALLEAKMALCTILQRFSFQLSPSYTHAPYTVITLHPEHGAQVMLKKL >Dexi1B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:1B:9081541:9082239:-1 gene:Dexi1B01G0009740 transcript:Dexi1B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGWGGPEVEPDRPPVQYHMSDDEEDKAIYARADRPRSPTSPGYGHHRHIVDDDDGDDDMADDDVDAAAGYYYSSSESDDEAWPDAPEYIYENDPPDLAGAQRQAERYATYALDHYNADPSNVVKYELVEATDSNYIMVGYAHVNFVARPRGGGGEERRLFFAELHQQLGRDTMVPTCLRSLDSEDDRVGGVDGEPWEDYADDEARYCFACHDAIKHPKDGTCYRAGH >Dexi3B01G0037610.1:cds pep primary_assembly:Fonio_CM05836:3B:40386551:40387666:1 gene:Dexi3B01G0037610 transcript:Dexi3B01G0037610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQLVQISETSPVPKLMEQHVKLSYAELQRATDGFSVSSLIGVGSFGSVYRGTLGNEGQEVAIKVLNLLQHGAERSFLAECEALRSLRHRNLVKVITACSTMDHSGHDFNALVYEFMPNRDLDKWLHPSVGEGDTSSRTLTMAERVGIALDVAEALDYLHNHGQAPIVHCDLKPSNGLLDNEMVAHVGDFGLSRFVQGANNNSFQHTSNTIGIKGTIGYIPPEYGMGGEISVEGDVYSYGILLLEIFTAKRPTDPLFQGGQSIRSYVAAAYPERAMEVADPNLVQHEENNMDEGSLEECLLSVFRVALRCTEGSPRARMLTRDAIRELTAVRDVCDD >Dexi6B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:6B:21217913:21219965:1 gene:Dexi6B01G0013510 transcript:Dexi6B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRITNKPIVDMSLEKLQEKKIENYGKFLAKRAWRIDEDDEDFEADFQEFIKEEDEEEDKRHYKSMALVGVLEPKRENSGVNFQKKDSMVVQKPTHTRDDPIAKPKPKRKNPYRGIRRRPWGKWAAEIRDPRKGVRVWLGTYKTPEDAARAYDAEARKIRGNKAKVNFPDEGLENIMSGIPKPTLTAMPTMLVPAEKFNTDVLVSHTNNSNEDLFSMVNFSGTNTSSMSGDGSGLFSVKMPHVPYEIPRMGVSPNPNIFSVGSLSSGSINFSGNNASSIHTEDFGLLSLKIPHAPSEIPRMDEFPSENKFSIGSSSNGLANEATRNLSAYSFLPYANMPIFSQPTFVCPSMMIEGNVCTMLPTLSNATSNIPFGLAGVNVRENMDQKPTLNVVENESIPSLSHGDVSEDVAAEINMWKFYDNMLSREDLRMIDQLV >Dexi4B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:4B:22532820:22536662:1 gene:Dexi4B01G0020350 transcript:Dexi4B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGGADGVARRWVLLLCVGSFCLGLLFTNRYRVKCLLSIFETLLSCGSIDLDSNLCPYVTRFKENPITRTYYTSQILSMIHIELASCRTLDKTIANLETELSAARTLQDSFLIGSPVSEEYEASEPTGRRKYLMVIGINTAFNSRKRRDSVRNTWMPKGEMRKKLEEEKGIIIRFVIGHSAISGGIIDRAIQAEDKKHGDFMRLDHVEGYLELSGKTRTYFATAVALWDANFYVKVDDDVHVNIGKTRTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHILKPRVYIGCMKSGPVLSEKHVLHKYINEDVSLGSWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWWVHNKCSEGEKALLTASF >Dexi9B01G0046730.1:cds pep primary_assembly:Fonio_CM05836:9B:45942336:45952851:-1 gene:Dexi9B01G0046730 transcript:Dexi9B01G0046730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPLPPPLSSAPSPAAASGGAAAAAAGATAAAAAAAGAAAGGGALPPPPAAGAASAAAGAGASPLLLAPPVAGHQQQAAQQQVQRERTSAGALPAGVTGVDLPPAAGLHQQVPPPPRAPPPAPALQHPSSPAGLVLGDTVGPFGATADPTASIVVPPPPLTSAMAGHYYAELDLTMAYQGLAGLYRDFFGVPHMFAVVKLLGSRSLPAIIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKTEVLHDLKEIGSALYWMSILDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAYTDNTPFTTLLSGATNAVTSSPACSNPSTFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYVKTRTSYLEESINNSSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSDRTRSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFMNTVSAFETLPQRAT >Dexi2A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:2A:8268401:8271570:1 gene:Dexi2A01G0008000 transcript:Dexi2A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVEADALHESLLPTAARSGDASSGAGGKKGKLEKTYLDVLGVCCSAEVALVERLLSPIAGVRAVTVVVPSRTVVVEHDPSIAPQSHIVKTLNKAGLEASVRAYGSSGGVVGRWPSLYILTSGVLLLVSFLSPLLPALHWLALAAAIAGLPPVMLRAFASATTTGFTLDINVLMLAAIAGAAALGEYTEAGAMVFLFTVAEWLETLACAKATAGMTSLVGMVPAMVVLAETGEVVGVRDVSVGDVVAVRAGEVVPVDGVVVDGQSEVDESSLTGESFPVTKRPMSEVWAGTMNLDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDTCSKYYTPAVVALAASVALVPLMLGARDMKRWFQLALVLLVSACPCALVLSTPVATFCALLRAARMGVLVKGGDILESLGGIRVAAFDKTGTITKGQFGIDGFHVLGDKVEMSQLLYWVSSIESKSSHPMATALVEYTQSKSIQPKPENVTEFRIYPGEGIYGEINGRHVYIGNRRVMARSSCQTVPEMNDQKGVGASVGYVICDGDLVGTFSLSDDCRTGATEAILELKSMGIKSVMLTGDSKSAAMRAQEQLRGAMEELHFDLLPAEKAELVGDLKTRIGPTMMVGDGMNDAPALATADVGVAMGVSGSAAAMETSHATLMSTDILRVPAAVSLGRRARRTIAVNVVFSIGTKAFVLVLAVAWRPVLWAAVLADVGTCLLVVLHSMTLLGESVEARGRRKEEMEVCRATARSLAMRSELAGASNGGADDEANGCHCCQKPSRSVEQDHEVVIDMPASAVEHQEIQNPSVTAEGDAGGCCGAGKACGASMVASDTSVPQQCCGREEEEDSSVSVK >Dexi6A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:6A:24858675:24862533:1 gene:Dexi6A01G0017010 transcript:Dexi6A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPSRKARLAASAAVPDALASLRLDAIDNIFSRLHIYDVVRTSALSRAWRRRWESLPTVDLTHSPGISASNIDALLLRRGSTPVRAFRLHGRDPSWTALSYLDDWLLCLSRRGVRDLTLGFPSSRYGLFRLHSSLFSCRELTRLSLTCCRIPPAPAGFVGFPNLKALRLERVVVDAREHAGVEFASLMAASPVLEDVEIVCVKLQRDGPDDEWVIRAPNLRKLSILGAYEYGGITEHLPLLEEATFFGPNYAKFLTGMVGITKLDFSFISIWPTEVDVLEKLPFLFENLKFLSVSVNFCKMCHIFSMFCLLRSAPVLKELKVWGWSDGTQEIETNSEFLNAQCADHIFTKLQALHMKKFTYLVNEMHFMEFVLSKARILQVLYVTLDSYATCSNEEVVTEIAEYPTASSDAQVIFMGREPESVNEGITEDCYDEISLDEARPRRRQRIESESVAQVEQLREDVQDLQKDIVQVLKDRVRLNEEISALHKEFDKYNSYAESALQLLCEACNIDYSDIVRRTAAHASSSDHPGTSKQGGPLADAGINGSHADPPQDHAVDDPGSAHVDSRDDVVISCAANAHLKCPEDHD >Dexi5B01G0035900.1:cds pep primary_assembly:Fonio_CM05836:5B:35760292:35760806:1 gene:Dexi5B01G0035900 transcript:Dexi5B01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSADRLPMSQGLKMTLNRHGFDVKPELFVSGIISEGWDLMKLATAVKIICYPAEATITEKEVKL >Dexi2A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:2A:113662:114953:-1 gene:Dexi2A01G0000160 transcript:Dexi2A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPFFFSSTNLHALYEASYLAFPGETVLDEARALAVQSLPAAYVQQQLPLHWTAPRLQAMWSLTKQQQAGDYETQIVRELARTDFNLVQSLHRRELAEVTRWWKHTGLQLQGEFARDRVVECFFCAACIAPEPELVDGREVLAKAGALIVHLDDIYDVYGTPEEVQAFTDAIAAWDCASSVELPEYMKVMYKAIWETSTTAADRVLRKQGYNVLPLYKKAWHELCKAFLTEARWHRQGYMPSLGEYLANGWVTSTGPLLLLHALPAAGAATGAPPRLVELASTIFRLCNDGASHQAESARGDAPSSIACCMAEAWCAGEGQARATVQGLIADTWKALNKEASSVAAQSMPVAMAADLCLNLARIIHCIYQDGDGITSPTHRMKHMVKDLLFNPI >Dexi8B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:8B:880306:881687:1 gene:Dexi8B01G0001270 transcript:Dexi8B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASASFLILFSTTPRALTSLKTPPSLLRRPCSSCSVSSAKQQHLVCLAVPRLHNQRRRSSLRCSSSLADGESTLGSSSSVRWVLDPAGDGDWRHIGYKVERPGAIEIVSDAITVGRVADKADIVLPVATVSGTHARLEKRDRRLLVTDLGSTNGTYINERRLNPGFPIPVDPGALLIFGDIHLAMFRVRKMIVQVPSDTDDAAQQGANTEVVVSATAEAEDTTAS >Dexi6A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:6A:26512219:26513598:1 gene:Dexi6A01G0018880 transcript:Dexi6A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLFKLNFEATKNVDIGHFVSSDDISAGGYLWKIRCYPRGGDSKDKGEYLGVFLQHESETEDVKAIFEVFVMDRDGVPSSSHIHRFVHVYRPKGTVGSGQGCVPASDIASHIGSLLESTDGSNVTFVVDGEEFPAHQAVLAARSPVFKAQLLGSMADAKMASITLQGIAPATFKAMLQFIYTDDLPGDEEDGDEEVPTEAFEDLLAAADRFALDRLKLMCAKKLWDGVSTDTVGATLACAETYSCPELKMKCLDFFTDEKNFKEAVLTDGFVQLVQKCFQRFSLS >Dexi2B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:2B:3037108:3037383:1 gene:Dexi2B01G0003440 transcript:Dexi2B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLSASRPPATTRTGRSSAAARSGLSGPNGFAAGWSLVAPTGNDSLQNLSTRSRFGNASAGHSASDEVRRTPPKNGIIKT >DexiUA01G0018550.1:cds pep primary_assembly:Fonio_CM05836:UA:39258493:39259449:1 gene:DexiUA01G0018550 transcript:DexiUA01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNHVLVVATRWSPCRRTNSDEKRPHSSPPARTIDADAFSTLSELSSSMSVWSTLDMSAAACLALRATSAVYLAVSGIFMRHEAARSSEYAGAGNEFLNAAETTSATMAPKRMPKPCMAKTAAMKAPRVFFGGERVIAADAEAEPEAEEAERGYDALGCAPEREARRDGAEHHEDEGEAIDALAAELVAEPAEEELAGERAAERDAVHGRGDVEWQRAWVGLGEVGVVDAAEKLGDEGDAEEVASVKKPMPAITIAVKWYHCVLAWSSVNSTLSWRPAMVAR >Dexi4B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:4B:20451566:20454722:-1 gene:Dexi4B01G0018050 transcript:Dexi4B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRGGGAASGWAAALWLWLLLLGTASLCGGAAGLNADGTLLMSFRAAITSDPLGVLSGWSYDAAEPCDWNGVVCKGYPQPDTVNLTSSYDGGGGGGANSTAAAAWNGAAGINASLAAATVSRVISLVLPNANLTGTLPPELGAIEHLQHLDLAGNALAGALPATLLNATELRVLSLAGNHISGDLPDAAGAYARGLQELNLSGNALTGHLPASLCRLPSLAVLGLADNNLTGELPIGGLGVLELVDLSNNSFNGSFPSDFGGGHLRLLNISSNKLAGELPTELATVVPANATVDMSKNNFTGAIPEAGMFAAQSPEAYEGNPGLCGPPVKQTCSIPSSLSNPPNATDSPPAFAAIPKNPARASPGGAGEQQQAPHGEDNNKLSPAAIVAIVVGDIAGVGLLFMLFLYAYHVRKKRRQRREEEDSPPPSMQQKSMRAIDGGVKTLDLAGAKEDKASTSMGCCGIGRRNDGSDSSEFSVSSDGESEDDEELKKRGSLIDRSTPQDHGSKKHNQAAAPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYAPNGSLANIAFSRRFGSSSPLHLSLESRLRIARGVARGLAYIHEKKGVHGNLKPSNILLSADMEPWIGDLGLDRLLSGEAIGHRAGASARLFGSKRSMHSTSSLPDLSQMPGPGASPCGSASAVGAAATSSAANPSPYQAPECLKNLRPTAKWDVYAFGMVLLELLSGRVYSEVELCQWHAGLVVAEEHGRVLRMADPTLRGEADGREDALLACFRLAFSCCAMAPGKRPAMRDAVVVLERTAMAAPAGASAGSGAAIP >Dexi7A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:7A:11763678:11768658:-1 gene:Dexi7A01G0002900 transcript:Dexi7A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTAGRRVGAVGGGGGGSGGRRRAGCGGDQTQAQQRLLAVAVAARFAEAGPPPSAEAPCSGSAGGCCVELLECLLAALGVSATAVTPAPAQYRWAVRSIRRRRPRGGGSAEGRRAGAEQPPPGRVTGNGASASAVASLYTMQGKKGVNQDAMVVWENFGSKDDTVFCGVFDGHGPNGHLVAKRVRDVLPVKLSANLGRNGTASGGTIPHRVEDTDASLENEENGDHPEWFPALRASFLRAFYVMDRDLKSHRNIDCLFSGTTAVTVIKQPCILLFLQGQNLIIGNLGDSRAILSTRDKDNNLVAVQLTVDLKPNIPSMIHLHILCEAERIRQRRGRIFSLPDEPSVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVTYHRITEKDEFVVLATDGVWDVLSNDEVVSIISKAPSQVSAARFLVESAQRTWRTRYPTSKTDDCAAVCLFLNTEAASTSSSSGTKDLRNNIEASSSKHSLTVKSSPAVPANLVAALVGDEEWSILDGVSGPVTLPILPKPTSVVNEKTKD >Dexi3B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:3B:10979640:10980558:1 gene:Dexi3B01G0015130 transcript:Dexi3B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDAVRNVVGIIGNIISFGLFLSPLPTFIQIVKKGDVERFVPDPYLATFLNCALWVFYGLPVVHPNSILVLTINGAGLVIEVVYLSIFFAYAPKPKRMKMLGVLAVEFVFLAAVAAGVILGAHTYEKRSLVVGSLCIFFGTLMYAAPLTVMKRVITTKSVEYMPFTLSFVSFLNGICWTTYALIRFDIFITIPNAMGTLLCTLQLVLYFCYYGSTPKGDNSGVELPVTASDGGRN >Dexi3B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:3B:2292282:2293093:-1 gene:Dexi3B01G0003490 transcript:Dexi3B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKRAAASMPKTHGWQRLSRAAAATAANHPSSVAALESHGRRPPARQLLARVVCRGRSPAR >Dexi7B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:7B:23431396:23432624:-1 gene:Dexi7B01G0017490 transcript:Dexi7B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLGVAGRAGSGGGGGAQGRSGSGRDSAFALGGGGLSAAAWTRLVSSGVEDELVTASGGGRAGASAGGLPLGHFLESCFLCRKPLASNRDIFMYRSRGMDISSVFGRGDIPFCTEECRREQIEMDEEMERKESSTPKKVATRAPSHDVESPPRPPKARAGSILAG >Dexi2B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:2B:25722559:25726393:1 gene:Dexi2B01G0015660 transcript:Dexi2B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNAPSLPTTPRDATAMALHQLRLAPLALLRVAGLPPLASCRLAARHHQHLLLLAPPAQPWRVLSPAARPRTLATAAAEADDTGAGSGDGFFAEESTSWGSLGVSDRLSSALRGAGLARPSLVQAACIPHVLTGNDVIVAAETGSGKTHGYLVPLIEKLCSKSSTTENDNSQDTAPGARDIVLVLCPNVMLCEQVVRMANSLLDASGEPLKSAAAVCGPKGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFMRKVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAQDSAKEASPGSDDEYHEDSDSESAGFSGFDEENEDNLVPDRSGMVENNPVGARKDWRRVRKIYKRSKQYVFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWIEVTADTQVDALLDAVKYGLRSKDHDAPTRTMVFTNTVDAANSVSGILQRVGIPCILYHRESSLEERAHNLQSFRDNGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGTVTSLYTEANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQALQKREASLA >Dexi5A01G0031500.1:cds pep primary_assembly:Fonio_CM05836:5A:34146082:34150682:-1 gene:Dexi5A01G0031500 transcript:Dexi5A01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFDLAEHHPVTVPHIPSPQPHRTSSVQARVTSHELASERASAIKRPPLAPLFTYRLQIKFLLQQAESPMHFPSTRMASPPEPGPYMPDLPAVPAWLNKGDSAWQLVAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGERMLPFWAKAGPALTQDFLVRRAVFPATAHYGRGGVLETPRTEPYYPEASLVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRDRFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGNTIRVHDSNTSPHPRLVHTWSTMLIGMSAGSVPWFTMMILHKKSTLLMKVDDTLAVFHTHAVAGVLGGVLTGLLATPELCALDSPIPGARGVFYGGGIVQIGKQLGGALFVTVWNLVVTSAILLCIGLFIPLRMPEDQLMIGDDAAHGEEAYALWGDGEKFDLTRPETTRTGASGAGREDTVEQRLTSMGARGVTIQLLLARRRFMRLTYFPPKLFFNHPPATARRVHPLNHVSEEPRRGAHLQFSSSLTGSRGDQRKMAAPPPQPGPYAASLPAVPAWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGPALAQGFLVARASFPATERRSADGSLVAPRTEPYYPEASLVLFEFQLAAITLVLLAGSLLGRMNIRAWMAFTPLWLLLSYTMCAFSLWGGGFLYHWGVIDYSGGYVVHLSSGVAGFTAAYWVRVYDLTLKSDRERFAPNNILLMIAGGGLLWLGWAGFNGGAPYAPDIIASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCVTAGLVHTWSAILMGVCGGSVPWFTMMILHKSSALLQKVDDTLAVFHTHAVAGLLGGVLTGFLATPDLTAIHSHVPGARGAFYGGGIAQVGKQIGGALFIVAWNVVITTGILLAVGLVVPLRMPDEQLRVGDDAAHGEEAYALWGDGERFDVTRSEAAARTGAWGNGNGVMEEMVDHRLDGMGARGITIQL >Dexi1B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:1B:1007382:1011071:1 gene:Dexi1B01G0001320 transcript:Dexi1B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFFMLVLLSLFLFPSGICKSLAARPSVVNIGSILRLNSTTGGVAAVAIRAALEDINSDTTVLNGTALKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYIDDDYGRNGIAALDDELTARRCKISYKVGFPSNAKRSELLNLLVTVSNMESRVIVLHTGVDPGLRFLSLANGLNMMGNGYVWIATDWLSSYLDANSSVPAETINGMQGVLTVRPHSPNSKMKSNLMSKWSSLSKRYNYSDLRLSAYGFYVYDSVWTVARALDAFFDDGGRISFTNDSRLHDETGGSLHLEAMSIFDMGKRLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYLKPPNTSLANQHLYDVIWPGETAQKPRGWVFPSNAKELIIGVPNRFSFKAFVTLDNATGKMTGYCIDVFTQALALLPYPVTYRFQAFGNGTANPHYDQLVQKVVDNEFDAAIGDIAITMSRTQTLDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLGMWCVTGLSFLVVGVVIWVLEHRINDEFRGSPRQQLITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLETAIRGIDDLKNSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYADNLKLGPKKGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTSDCSADNTEFVDSNQLRLESFMGLFLICGVACVLALLIYFGIMLRLYLKHEPPESISVEPGSSKPKRSLKRFISFVDDKEPPKQKRSLYLSGTSLPATPSSNVDIERPVRPIRNVGVINIES >Dexi8B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:8B:1340484:1344860:-1 gene:Dexi8B01G0001900 transcript:Dexi8B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGAAAAGGDGEVGWYVLGPNQESVGPYAVAELRDTEDDFEKFQKEVTEAEAEVEALKGSAADGDVNPLDDERPATPPDGEEEFTDDDGTIYKWDRSLRAWVPQNDASKDNYALEEMTFAVEEEVFQAPDIPGPSALEEINTLAENINKEPDKAEKKGEKKRKSSEKPAEKKIVEVFSKCGIIKEDPETKKPRVKIYTDKATGRKKGDALVTYLKADEELLSELEEDVREECSKFGPVDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >Dexi9B01G0040440.1:cds pep primary_assembly:Fonio_CM05836:9B:40975547:40978018:-1 gene:Dexi9B01G0040440 transcript:Dexi9B01G0040440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLQRFTDIAGDGAPRLDAASGEELVRVDRAASVALGRRSPEPPGTLFITTRRVIWLSEAEKGKGYAVDFLAISLHAVSRDLEAYPSPCLYTQIEAEVGTDEEAEHNGENGWFHGEDMADGGWLQINDQRFEDADEDQESHENGH >Dexi6A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:6A:136898:137566:-1 gene:Dexi6A01G0000210 transcript:Dexi6A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQIILQPPLLLRPRALGISSFGFSPQPPPKAAALLMPLHHRRRPPLQLPRAASSVRLTISDDELASRGFAVRRTAEGIDVAALNEVFARVGFPRRQEDRLRRALEHSRVVWLSASPEGERPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVDDLRNDGVNNIVLYAEPRVVGFYKLLDFAMDPDGIRGMAYYHRKTTTASPTPSSSSLLL >Dexi3B01G0027650.1:cds pep primary_assembly:Fonio_CM05836:3B:23299785:23300652:1 gene:Dexi3B01G0027650 transcript:Dexi3B01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTLDFCVDKVLAEEDDGYLVGAADGSDIDLVADSLTANTMAVENGGSMDGGAVDVEPTAFSLDGGVFIEGVCYGGTPAVAVVVADTMESPVAAIPELEVRPGRLQGRCAAARRRGAASAPPCSRAGLQLRGHGAPLPDALVAAGGIKEIVMQPTRSSPRLAGAVDCHIMEKAKSRATWKNLDCPEYELFDNDEEDNYELENLTLGHLCGDFLWMKTVIT >Dexi1A01G0025920.1:cds pep primary_assembly:Fonio_CM05836:1A:31982192:31983689:1 gene:Dexi1A01G0025920 transcript:Dexi1A01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLLLLLFLCSDHSLIAHAGDAHEYKVLSLDSMKSDAVCSENTAPSSAGAATVPLHHRHGPCSPLTTKKMPTLKEMLRRDQLRAAYIQWKFSSGGATAGDVKQSDATVPTTLGTSLNTLEYVITIGIGSPAASQTMLIDTGSDVSWVQCKPCSLCHSQADPLFDPSSSSTYSPFSCSSATCAQLGQEGNGCSISFQCQYMVTYGDGSSTTGTYGSDTLALGSNTVRSFQFGCSQVESGFNDQTDGLMGLGGGPQSLASQTAGTFGTAFSYCLPPTSSSSGFLKLGAGTSGFVKTPMLRSSQVPTFYGVRIQAIRVGGKQLSIPASVFSAGTVMDSGTVITRLPQTAYSALSSAFKAGMKQYPPAPPSDPFDTCFDFSGQSSVTIPTVALVFSGGAVVNLDPNGIILDSCLAFVSNGDDSSLGIIGNVQQRTFEVLYDVGGGAVGFKAGAC >Dexi2A01G0034270.1:cds pep primary_assembly:Fonio_CM05836:2A:44307223:44307577:-1 gene:Dexi2A01G0034270 transcript:Dexi2A01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKRCVRNASLAVSGSHRPLAIGLLDRCGEANREDAARPPPPPSVSCSHRPLAIGLPDLCGEANRDEAALTPLLGERCICSSARSWSSDG >Dexi5B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:5B:9117404:9121152:1 gene:Dexi5B01G0012880 transcript:Dexi5B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAASLSPPRVALDARALFSPPRSLPASPSSQLRLAARPRALAAAAKPRFLSPHRDPAVDGGRGARDVVAMVVPFLRGTAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLSRHIGKPIEEIARDIRRPKYFSPSEAVDYGIIDKVIYNEKIQQDGGVVSELKKSNLI >Dexi1B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:1B:21462064:21467792:1 gene:Dexi1B01G0015060 transcript:Dexi1B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARVDARGFSDDSGPRRNLPAERRREISDADLWASSVSLWETSASLWETSASLWDTSSALWAAAAGLNPRHQLASPSATRAAPPASAASSSSQNAPAARPPPAVVVPAPSSSAPASTLAASSSAVPSGPLQARPAAQLGSTTTGGLGLNAAAASLLGVSGSVAAAARTAPPALETYGRDMTAAAGKMDPVIGRDDDIDRVVCILCRRTKNSAMLVGAPGVGKTAIAEGLAQRIAAGAVPAALSGARVVEVDLGAMVAGTKLRGMFEERVKKVIQEAENADGKVVLFIDEVHMLLGAGHGKGGGAMDGANLLKPALARGRIRCVGATTFDEHRKYVEKDAAFERRFQKVHVQEPSLLATIAILQGLKKKFEKHHKTTIQDAAIVEAARLANRYITYLQFPDKAIDLIDEACATRRMKTDNILKSNSTQNSTEAFMEEAIVCPAQVAEVVSRWTGIPINTLAQDEKEKLMRLEDRLGERVVGQEVAVNLVAQAVLRSRAGLHQPGQPIGSFLFMGSTGVGKTELAKALADQLFDSEKMLIRFDMSEFVDAHSVLRLIGAPPSYLGHEDGGQLTEKVRQRPYSIILFDEIEKANPAVFNLFLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEYLMEAMAGEKSMDSARDLVIKQAQKHFKPEFLNRLSEIVIFEPLSQDKLREVANVQMKGITARLADRGIYLSVSDAALDVVLSESHEPVSNALHDLSSANVAIEYRSCPYFSQLYGARPVRRWLQKNVMTRLSEMLFQGEIDADTTVIIDASEDKKELMYEVFKNAATCLDIPGMEILSDSDNDGGIWLNAPISRKMKGVSISSAGK >Dexi3A01G0035200.1:cds pep primary_assembly:Fonio_CM05836:3A:40545998:40546410:1 gene:Dexi3A01G0035200 transcript:Dexi3A01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVSSALCLTLLLMALLLAPIFGRKEVCDEWLSETYHMLLLCSSKICNEHCIGEGGTRGKCGLFTIRSFCFCTKECD >Dexi3A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:3A:14673544:14677119:-1 gene:Dexi3A01G0018820 transcript:Dexi3A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEQNLIDAYASEGWKNQSLDKIRPEKELERAKAEILRCKLRIREAFQNLDCLLSKGKIDESLFDSEGEISCDDKVFPEAAALANGSKQNDAFDLPSDDSDDNDFDPNISEEHVASKEEGSSEEEEEEDSGSDSDDSNLSSDSDDSEPLADKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIEKKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPSQDGKVKDMERSTDQANTENSGDYTMEAEMDQSEAYGEAPSDSSDDEEWSGKSTPRKGNGDSEADSPAGKGYRSTRIAHQGDELTPQSSAKSLHPDLIHGSVDEKHGYLNSNSSNSTTRKGGFGPVISQKLHEHFKIEPYPSRSVKESLAEELGLTFRQVSRWFETRRHFAKAASSSKGICPDNRNPDNTNSPVAASTQLNEPEGTEMEKTNVSRNKDATISRKVGSPKAGSRKNRRKNASGSDVKESIINSAEDPVLDLDTADKVRQKAIQREMMKKKKGR >Dexi5B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:5B:12858940:12859626:-1 gene:Dexi5B01G0015620 transcript:Dexi5B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPDTVIQMSSQTNATAPPTTPKVTDEPSTMPMAASTGTTTTATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGVCDASNRWLTAVLVGALAAFSLFFSFTDSVVGRDRKLYYGVATPHGFNVFNFSGEEEEKEWALGELRKLRLRPLDYVHAFFSAVVFLTLAFSDVGLQRCFFPDAGVNTHELLTNLPLGAAFLSSFVFMIFPTKRKGIGYNDTTPHQTA >Dexi7A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:7A:12013255:12013540:1 gene:Dexi7A01G0003080 transcript:Dexi7A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIPSPPSSWSGLSGHEMSRRMFYQRAENLASGSWHTVHWAEGFFSGGTKVIDELPDHDFRKATCP >Dexi1B01G0030640.1:cds pep primary_assembly:Fonio_CM05836:1B:34546874:34548178:-1 gene:Dexi1B01G0030640 transcript:Dexi1B01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRARGSLDTHRSASVVSASAASTGNESLSLRSTHRRIPSPPPSAAAASAVSISDVLSYSPVPRFTISYRTGSCPDTSSSSTTPSANTSERRDGGPPATISGAMYPRVPSIRLPRLPLRRGPTPDPEEDSSPPPSFCSTGSSPASAEMGDLGAGEGAVAADEDGGRGEAVVDGVRLEVDVVERVADVGGELEARVPGGERGEGGVLGVAEERRERRGVGDELVGEEERGAVGRRGGAQKARDGGVVAVAEDGEAGGNLACVAAERAAEDGGLRKELDEEEGAAGTRRCEEEKLAVAAARSWKVKRSGSSGRESRSAAREAAEEELPVEEEELVEVARGERWFRVVEEAAAAAMEDEDAVVATALLDLHIRFGLGLGPTMGSGRSTQPPTTSPSLSIDSVGLFSDDASSCRSRESL >Dexi7B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:7B:24774924:24777837:1 gene:Dexi7B01G0019140 transcript:Dexi7B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEQEEVLPGAVHGHRLSTVVPSSVTGEVDYALSDADLAFKLHYLRGVYYYPPGDVTRGITTKVIKDPMFPWLDDYFPVAGRVRRDAADVAAAGEGHPPRPYIKCNDCGVRIVEAKCDRDMAEWLRDEAPGRLSQLCYDKVLGPELFFSPLLYVQITNFKCGGLALGFSWAHLIGDVASAATCFNKWAQILSGKKPEPTVLTPENMPGHSPASATAPRSVKQVGPIEDHWIVPAGRDMACYSFHVTEPTLKKLQQQAAVATGTFELVAALMWQTVAKIRGGVETVTVVKNDAAARSGRALANEMKVGYAEASGSSPAKADVAELAALLAKGVVDETAAVAAFPGDVLVYGGAHLTLVDMEQVDVYGLEIRGQRPVHVEYGMDGVGEEGAVLVQPDADGRGRTVTAVLPRDEIESLRAALQDA >Dexi7A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:7A:24062645:24063703:-1 gene:Dexi7A01G0014100 transcript:Dexi7A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGCGLFGYARRGRGDLRKRGEMGGASSRVAPAEPVVVEEEEALGGGAARQLAWAEVETATRGFSSRVVGRGGFSTVYLASLPSSSRLAAVKVHCSSSERLHAAFRRELDVLLSLRHRHIVRLLGYCDERDEGALVFEYAPNGDLHERLHVAGGVLPWARRVAVAFQVATALEYLHDSREVIHGDIKASNVLLDANLDAKLCDFGFAHVGVSPATVGRPSARAVMGSPGYVDPHLLRSGVANKKSDVYSYGVLLLELLTGKEAVCRETGHRLTAAVGPKLSDGKVSDVVDQRLVGAAEYDAGEAAAVAQLAMRCVSDNPGLRPSMADVVRVLQEKTCCCAVGSKSERKIMS >Dexi9B01G0046520.1:cds pep primary_assembly:Fonio_CM05836:9B:45781687:45784851:-1 gene:Dexi9B01G0046520 transcript:Dexi9B01G0046520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPRVVLVVSLADDSPVARDAALTALRDIAPLNPMLVLDCCATVSRGGRRRFGNMAGVFLVMASAVRALEHQDAEHEKI >Dexi6B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:6B:4716977:4717261:1 gene:Dexi6B01G0005450 transcript:Dexi6B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYVGRLCVRAAQAAVRRAEQKQPAAPPKPPSTAAVAVDHHHQPPARRVSGGSSGPAARMTVKEEVAAAAAAMRRRADKDERLMNLVFWGPN >DexiUA01G0023970.1:cds pep primary_assembly:Fonio_CM05836:UA:49049165:49050208:1 gene:DexiUA01G0023970 transcript:DexiUA01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYQEILTDPSYSRQIVTLTYPHIGNVHAQGLVIRDLPLIASNYRNTEDLSSYLKRHNIVAIADIDTRKLTRLLREKGAQNGCIIAGDNLDAALALEKAKAFPGLNGMDLAKEVTTAEAYSWTQGSWTLAGDLPEAKKESDLPFHVVAYDFGAKRNILRMLVDRGCRLTVVPAKTSAEEVLKMNPDGIFLSNGPGDPAPCDYAIDAIKSFLETDIPVFGICLGHQLLALASGANTVKMKFGHHGGNHPVKDIDNNTVMITAQNHGFAVDEASMPANLRVTHKSLFDGTLQGIHRTDKPAFSFQGHPEASPGPHDAAPLFDHFIELIEQYRKTAK >Dexi3B01G0023980.1:cds pep primary_assembly:Fonio_CM05836:3B:18707280:18707994:-1 gene:Dexi3B01G0023980 transcript:Dexi3B01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSRGLLCAGVLLLALAVAAHGYHQLSSTFYDGSCPDAHDVVRRVIKDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDEDLPAIQTEKDVPANNKSARGFDVVDDIKAALEESCPGIVSCADILALAAEISVELAGGPRWEVLLGRRDGTTTNIASAKNLPSPFDP >DexiUA01G0003490.1:cds pep primary_assembly:Fonio_CM05836:UA:7163822:7166928:1 gene:DexiUA01G0003490 transcript:DexiUA01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSLLPNHQAEDELRQHQHDVDAAVLLAKPSLRGSLRRRTTVQLASPRERRAQWVKELLETSKPVRISLFLLTVLATAMVITDACLTPAISVLSAVGGLKEKAPDLTTDQMVWITVCILVVLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLLRHDVGVLRAFNPKYMVDYLARNGRDGWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFALGLVPAVLLAYMGQAAFLRRFPEHAKTWMPTLPREAAASSSVPSWPQKMRVDSDMA >DexiUA01G0003490.2:cds pep primary_assembly:Fonio_CM05836:UA:7166411:7166656:1 gene:DexiUA01G0003490 transcript:DexiUA01G0003490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTATAGAASATSILSSALTARASRRAADSDSMRSSSTPPPSPEDGAAAPPPGDRSGACCCWSLAEPIAQPSYELPVR >Dexi4A01G0022100.1:cds pep primary_assembly:Fonio_CM05836:4A:25426921:25427673:-1 gene:Dexi4A01G0022100 transcript:Dexi4A01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALLLGLSLLVLGLCCCRVQGGDGGGDGGNGAVVAIYSLGDSITDTGNLVKEAPPGMFETIKHFPYGVTLGTPTGRCSDGLLMIDYLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAVDPGDQFNITVPMPFASNSLKVQLRWFKDFMKSSFGTDEGIFLLHFLLSVAN >Dexi2B01G0035740.1:cds pep primary_assembly:Fonio_CM05836:2B:42720166:42724080:-1 gene:Dexi2B01G0035740 transcript:Dexi2B01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLRPPAWWRCALLVLLLAQRAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANAKACKPFSDFGLSYKPKPGGLPVFLLVDRGDCYFTTKGWNAQNAGAAAVLVADDKVEPLITMDSPESSGKEHIENITIPSALVTKSFGDDLRKALQNGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRSFRGIAQALEKRGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSIGYDGKDVVLQNLIQICVFKVGNESRKPWMWWDYVHDFAVRCPMKEKKYTRECANDVIKSLGLEIEKINQCVGDPDADKENPVLKAEQDAQIGHGSRGDVTILPTLVVNNRQYRGKLEKRSVLKAVCSGFEETTEPDICLREDIETNECLEHNGGCWLDKATNVSACKDTFRGRVCECPNVNGVKFVGDGYTHCEASGLGRCQINNGGCWKETKNGKTVSACSNEEAKGCKCPPGFKGDGVNSCEDVDECKENLFCQCKNCACKHSTSSVGWGFLWVIFFGLALAGAGAYAVYKYRLRSYMDSEIRAIMAQYMPLESQEMPNQQRPVEHADI >Dexi5A01G0033760.1:cds pep primary_assembly:Fonio_CM05836:5A:36027637:36028863:1 gene:Dexi5A01G0033760 transcript:Dexi5A01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSSTLRAPQPQEAEASSLSFPQLAFFYVALYLLAVARAFHRPCVEALGADQFAPASDGEDPSSLASRSSYFNWLQFSLSCAYCIATAGLSYVEDNVGWTIGFGACWAVSVLYLALFLLGTPTYRAERPLHDIRFAETVQAWTAKVFRRPKNVDDTERFLSGDEPDEDGKIKGLVVKLLPVWVMSLVFAAITAQVTTLFTKQSSTLDRRLGMGTGLIVPPAALQFFLGVTMVILLPVYDRVFVPLMRRVTGHHAGLTTLQRIGAGMATSGVAMVVAALVEARRLSVAREAGLVDRPDVVLPMSLWWMLPQYVVVGVALVLGNTGLLEFFYDQVPNGLRSVGVALCTSIFGVGSYASGMLVSATDWATRSTGSLVL >Dexi7A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:7A:13116245:13117084:-1 gene:Dexi7A01G0003540 transcript:Dexi7A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVEKLNSILMPKYELMAGARADVIFLRAELESMHAFLERLSMVRDPDAQVKAWAKEVRELAYDAEDAIDEFMHHIDGAATPNHWSQTLLGLASRVRRLVSIAWTRLRLANELKGLKARAVEVSERRSRYKYDSEDMWVLGEHMTADPRINALYADVPDLVGIDGAISDIAEWLMGGTTTLKVLSIVGFGGLGKTTLAMEVFQRVGGQFGCRAFAAVSQKLDMKKLLKDLFSQVAQGEADGMDTWEEGKLIRKLRECLLNRRYARSNALAIIKLFPR >Dexi2B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:2B:22343735:22346978:1 gene:Dexi2B01G0013570 transcript:Dexi2B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAHNLRFVRCPKCHQLLVEYPSIPVYKCGGCSTVLRAKHRVVPVAQAGSGSEDHNSFSSSLKVSPQSSKSISSDEQKAFSSIDQPCEAMTDGSISSTINSCEGTTQERTMSTTESETHAEHPNEETCCVIDGNIQNSGVMVEETHDKNNKADSISVLTEKVENVDTSQHAHAGNFGTNDVSTLYEKTEVVHREERMHTFEGMHAQSHKALIEELERSLSFSSDDDYFSDEAENSGLSDTLCNQMGSRRFMLGSKMHDASRNDPHGRLIEELEMSFSDAEEPADQHALVADGVHRNAHNMDPQTLGPESAHPDQESFLSCHNGHLKSEQISHQENRPLGNDNHGKGYVEDDNNTSSYVHEGEHIVISSEEIPERFHDIEHSKDMQSPDMENAYPYEGSTSSVDDGTIKIKQSFQPNDLMANVIQEMEDVCTEDDRITNCVHGNDNPVLADEEIAEGVSGNKDLSACGIQEMEDDNLANCVHVNDNLVLADENIADRVHGKEEQTADGTQEMEEGYIEDDNVSNHVHVNDSVVLADEDIADRVDGNEETSGGTGETEESCMENENVAVADEDVRGNEQGKDWQSLEAESAHLYEEAVSSFSGRHVKPEQCFQQDEPIADGTKEKEEAYMEDGNMTSCVQKNSAAVGRFSSLPNKRTQCKLASFNKNKEQISYRYGGNQLYQRRSLDSEDFNSIQNFMESQMDGTSSSLSSGSPAHGDSVHRTSNKFKSNIRHERLKKMDELRDQLSRLSSQKGSERSYQKGSERSYQNRGLEYQQQSNSYYVEQHLRSVDGDSLPSSCALESYYGHGRPPRYQPPHPFSPSHTNTHCHFGHAQTHLPHNYGPWEFTSYYQSSYSESTVLDHETLRSSYKEQKRVVRKHILRPLSGASPFTICNSCFNLVRMPSDIYISKAKVGKMQCGKCSKVLALSFPALCIAGAKINMDMTQEPYDIDDSTITKNEHIASYYAECLAGGPVSISEDYGASYTRSLPAQAGSSLAATQSSKKVSDSALHRLMGYDSASQLLRHSRVFEDGYESFESMVPISSRVSKRKNM >Dexi1B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:1B:26782394:26782831:-1 gene:Dexi1B01G0020740 transcript:Dexi1B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALISFGSRRVLAASQSRNARGATSGHKRRRGHGRAAWRAPGNAQASCVAGGAHRREAALDAHAGTRQHGVYASGGAGCVRKREAARHAGEMRSGAQAGSGEQASGGAGRRGRRRQQATICERLEEIHAYDFTRGAAAAPTPT >Dexi7B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:7B:16876520:16878145:1 gene:Dexi7B01G0009230 transcript:Dexi7B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIDAAPLYLAETSPTRWRGAFTTAYHFFLVAGTLAANVANYFTNRIPGWGWRVSLGLAAAPAAVIVMGALSVSDTPSSLMLRGEPERARASLQRIRGADADVEAELRDIAGAVEEARRNEEGAFRRLLRGKGYRHYLVMVVAIPTFFDLTGMVVISVFSPVLFRTVGFDSQRAILGAVIISLVSLSGVVLSTFVVDRCGRRFLFLAGGTTMLIFQVAVSWILAEHLGKHGAVTMPKNYAVGVVVLMCLYTFSISLSWGPLKWVVPSEIYPVDMRSAGQAITLSISLTLSFAQTQVFISMLCAMKYAIFLFYAGWVLAMTVFVAALLPETKGVPLEAMRSVWAGHWFWRRFVVLDAKQEVQLNSM >Dexi6B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:6B:17417148:17418041:-1 gene:Dexi6B01G0010740 transcript:Dexi6B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAWSVGKAVLDGALGYAKSFVAEEIALQLGVERDVIFIADELEMMQSFLMTADEEQDKNKVLLTWVKQVRNTAYNVEDNLMDFALHTEKKPFCFFIPRNLWERRRITKEVKDLRAKVEDVSNRNLRYRLIKGSGSKATTNAEEQASLATIAMLGIDEETRTTMNLENSVIGLRQLVTNEDRDRTVLAVWGGTGDLRMTAIQEVYDDSDVRANFGFCAWAKLVRPFSPKEFIQSLMRQYYQNFPEVIGSTHKRKTVGISVFLKMESMSLREMLDVFDTKVNDNRYLIVVDDVSTK >Dexi3B01G0031380.1:cds pep primary_assembly:Fonio_CM05836:3B:32598713:32599798:-1 gene:Dexi3B01G0031380 transcript:Dexi3B01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAAEAEEEAAAAQQEQVVREEAAAEVKREVGKEASHQEEEEEEEEEAAPEEKDVAVVGEEAEAEGEAGASAKKNRIQVSTNKKPLYFYVNLAKNYDEVELSALGMEILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSDRPKSSEDEIKV >Dexi9A01G0033540.1:cds pep primary_assembly:Fonio_CM05836:9A:38468747:38471413:-1 gene:Dexi9A01G0033540 transcript:Dexi9A01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTLSVEDVLRVNGSRRFAAAMAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVPKSVEWCKEEQSAALSTATDSTAQELAEWNAKYREKFGFVFMICASGRTTPEVLADLKRRYVNRPIVELEAAAREELKITELRLAKLFSSEPTVPSATAKGPNIQSDKAAAHLGALPKPCANKAPEITGSSNRSRPPITTHVLDVARGSPASGIEVHLEMWKDVSTPPSFGNKDFNGWVTLGSSVTNNDGRSGQLMDIVDNVAPGFYRISFNTSKYAPAGFFPYVSIVFEIKESQTTEHFHVPLLHSPFSFTTYRGS >DexiUA01G0025330.1:cds pep primary_assembly:Fonio_CM05836:UA:53298800:53302893:1 gene:DexiUA01G0025330 transcript:DexiUA01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTSLANDTSCESNVTTPPNPIRDASNPSGLLSIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYMTTMEDGSLVVCERSLSGSGGGPNAASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEASSVPEVLRPLYESSRVVAQKMTTVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSAKKIRNNSNVGFTFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKASACSLPGMRPMRFSGGQMIMPLAHTVENEEILEVVRLEGQSLSHDEALLSGDIHLLQVILQSHCFFCSVSLKLFGTPMAYDGLSIFG >Dexi3A01G0023300.1:cds pep primary_assembly:Fonio_CM05836:3A:18985688:18986974:-1 gene:Dexi3A01G0023300 transcript:Dexi3A01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRSLALLLAAHCALLVALAGGSSAGGSPLSSTFYDASCPSAYDVVRRVIQNARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPEIQTEKSVPANAKSARGFPVVDDIKAALENACPGVVSCADILALAAEISVELARGPRWSVLLGRRDGTTTNVQSAKNLPSPFDPLTKLQEKFRNFNLDDTDLVALQGAHTFGKVQCQFTRENCTAGQTAGALENLDQTTPNLFDNKYYGNLVEGRAQLPSDQVMLSDPVAVATTAPIVHRFAGNQQDFFRNFAASMIKMGNIAPLTGSDGEIRKNCRRVNGKGY >Dexi9A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:9A:464771:467511:-1 gene:Dexi9A01G0000880 transcript:Dexi9A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAHEPEVIRDKAAMRAWSRRRRAEGKAVALVPTMGFLHEGHLSLVSAAVAAAAGPVAVVVSIYVNPSQFAPTEDLATYPSDFAGDLGKLAATGAVAAVFCPPDLYVRGSAGHPAAGASGGAVSCLEDAGGHAHETWVRVEQLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDIAVFGKKDYQQWRVICRMVRDLDFAIKIIGSEIVREADGLAMSSRNVHLSCEEREKALSISRSLVNARTAALNGNNHSQQIKDQIVQTLEEAGGQVDYVEVVEQESLTPVKRIDRPAVICVAAWFGKVRLIDNIEIQTTS >Dexi9B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:9B:8493933:8494568:1 gene:Dexi9B01G0012630 transcript:Dexi9B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVTTKHQISHQQHTSSPAHTFVAKPPPPPLGLASFHHHNHKTTATFPPPPPPEYDEERRALDGIVAALRLAAAAAAFVGVSLVASCRHGDWMEFARYPEYRYLLGASVVGCVFSAAQATRSFRRIRRRGGGGGGRLLLDFAGDQVVAYLLITAASAALPITMRMRSAVVNIFTDAMVSAIGLALVAFAALALSAMLNGFRIAAAARPY >Dexi7B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:7B:19197063:19198898:-1 gene:Dexi7B01G0012410 transcript:Dexi7B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKPAKGNKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTAA >DexiUA01G0003190.1:cds pep primary_assembly:Fonio_CM05836:UA:6534053:6534616:1 gene:DexiUA01G0003190 transcript:DexiUA01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYSTCYFFMYDTIKTSYCRFHKKSSLSRPELVVIGALTGLTASTISFPLEVARKRLMVGALKGKCPPNMIAALSEVIREEGLLGVYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKQRV >Dexi9A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:9A:6885303:6890874:-1 gene:Dexi9A01G0011090 transcript:Dexi9A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGGEAAVSAPPAEEEAKEEDGGGGWHVKWVGLFVLLVALFCVVLHGNIRAAAMRKAEEGLVSMCEERARMLQDQFAVSVNHVHALAILVATFHYEKNPPALDQDTFADYTARTSFERPLLSGVAYAQRVVHADRENFERKQGWIIKTMTKKEPSPVQDEYAPVIYSQETISYIEGFDMMSGEVRGDEDRENILRSRELGKAVLTRPFRLMSNHLGVVLTFPVYLTDLPPDAKVEDRVSATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNISNPLVIYRNKPEVPWSAISTPSGVFVIFMLAGYIIYAAWSRYDNVKEDCRKMEELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVESISNGMNGHKDEATAVSTSVSRNTLSGFEAADSRNSWENFKLLLSYEKNEMAYESVSDKVTLVVSVEDTGIGIPLEAQSKVFTPFMQADSSTSRTYGGTGIGLSISKCLVEIMGGQINFVSRPHVGSTFTFTAVLQRCDISTISESKPVMLQPLPSSFKGFSALLVDRRPVRATVTKYHLQRLGITSEVVATTELAHGVLSGRNGHSLTRWSISTAITKVMVLDLLLIFLNSLCSTKLPSMLLIESDSWNSKMDDSLQSRLLEVKQNGHTPVLPKIILLAAAESDKRKANYAVDSVITKPLKASTLAACLFQALGITQTQPSNEKRDNSGSLRGLLLGKNILVVDDNRVNLRVAAGTLKKFGAKVECVESGKDALARLQVPYKFDLCLMDIQMPEMDGFEATRQIRAMEAKVNEQAEADDDSEADSTTRAAKWHLPILAMTADVIQATHEECTKCGMDGYVTKPFEEKQLFQAVQKFLDHGMSS >Dexi4B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:4B:2150979:2154428:1 gene:Dexi4B01G0003180 transcript:Dexi4B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVDPAPWKPSNATKTCLDSLVEVGALPPNVDGEPPVWISPGAATEPDPPAGYVVSLVRFHERGFGVPVGRFLRVCFHYKVELHNFSPNTISQAAVFVAVCEGYLGIEAHWDLWCHLFFGELFSEGVSKGVRRPARAGGLVLQVRRSRRDLYIPCSMVSNNQDWDKGWFYLRNDGGRLPPYTGKLLTKKQDYWSFGVSPPEQKDKLAPLIEALQRLSRHGLTAGGVIANFHRRRVLPLMQRRLPLFRMTPGADVTGTAMAAEPLPVATAVQRARRAVDKLPDDPWVVPMRPEDGHLSFGLSRSHYSKPPVPEDKAVNRALAKKAKEDKDRREARRKRKDRKRKKHLAENREREEQGLSPLPTPESSPDPDGSEEDDGARSPSPLELPVSSRAPPSGATHAAASGGGSGEIGDLETPPPSGAATAALEEPQGRGEAPEWPSAVEEAPARGPGVEVPQVESVVSTGGEEASRVTPQGEADTSTGGEASRVAPQGEAGASTGGEVPGVAPVSTLRPKRKLPIVRVPSLAPTKALKVGPSSSPHPSSQLLGPMNEVVQDFVTFFDAQAELQVEQQPREEAPRVLEEPRPPQLLEGAIEPRAEVARSEDATPAPGEALRVEEPPAAPVEADAAVAPPHEEGEGRTLGGGFPHLKELAEALGVGAPMTQGCESGGAAPSTLIVAPPGPASAGSCGTHARGSAEMWQCRRELPDQFVGEAVAEERLWDVQSSHGRDVRRALRDVLRLHDEAGKIHQELRHQAFAKNDQIAALLLELRRLSGAVETRDHQLDDLRDAQYRAVAECRQKGEVITRLDGSVSALREQLANSSTWLDNERAARSAAESAVEEERLLVVETRDQLELEQTAWAAAERQAREGEKALEAAKGQVEALKEALQAETESKEAVLVAHSATQRELDELVQAASAACNEIAGADVQSGSSLASRLRALGGHFTSRVKEALLLGVRKALGVVTTHYQADLSKLVAGYVVADDINDEEAVAAMEEADAAADGTARVLAGHFEGVLFPGEDGGGWDDLGGGGDP >Dexi3B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:3B:8228870:8230939:1 gene:Dexi3B01G0011750 transcript:Dexi3B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGMGGARGGAGGGGWVPGERWWRVLFLALASVSFLVTLILLFLSVPRLRLPGVAPSTAAAAAVRRGPDAPPCLAYLLTGARGDGRRLLRLLLAVYHPRNRYVLHLSADAPDDERKRLAAGVVAAAPAVAAFDNVVVVGEPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPLVTQDGSPWVILNRQFIEYCVLAWENLPRILLMYFNNIIQPQEGYFHSVICNSLEFRNFTVNNDLRFTLRDDPAQTEPPFLTREHYGQMVDSGAPFARPFRENDPLLAQIDGNILKRWSHGPVPGAWCSGRKKWFSDPCSQWGDVNIVRPGPQSVKLHRYVNQTLEEAKSKSNSCRR >Dexi8B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:8B:11704763:11707660:-1 gene:Dexi8B01G0008590 transcript:Dexi8B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHQARRTRKTVPPLSTVAIIGGGISGLAAAKQLARHDPVVFEATPSVGGVWQDCVYHSTRLQTPRQNFRFSDYPWSDPDGPTFPAHDEVIGYLEGYADRFDLWRFIMLGTKVVAVNFLGGGAADGFTAGLWSGTGTRPLNGDPMWEVGVATEGSDTIQWYKFEFVVMCTGKYGDVPRMPALPPGKGPEVFKGKMMHSLEYCKLSERETVELVTGKKVVVVGYRKSAVDLALECAPYAGKGGGTCTMVVRTPQWMLPSYSIWGLPFFLFYSTRFSQLLYDRPNQGLLRWILCRLMAPLRVGVSKFIESYLTWKLPLSKYGLKPPHPFLESYASCQMAILPDGFFEMADQGLIRFERASSWCFSEDGVVLEDGTEVEADLVFLATGFEGLDKLRAVLPEPFRCLIVNKSGMMPLYRGTIHPLIPGMAFVGYVESVSNLHTSELRCRWLAGLLEGRFALPGVEEMLGHVDSEAEAMRLTTRFYRRHCISTFSIHDSDSMCADLGNRVYRKGSWLAEFFSPYNNQDYKEE >Dexi2B01G0032700.1:cds pep primary_assembly:Fonio_CM05836:2B:40547320:40547926:1 gene:Dexi2B01G0032700 transcript:Dexi2B01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKKRGAAMARDGGADAAARPVASCMAATIIAVVVLLVLASALLFLLSPPASAPPPREPVELAIGFAGHERWLDALRAWAKLACFKLRTVEPRYDVLRSPASVKKAAKETLEMGKETVKHSAESAARATEEALERTTDKVKRKVSLSARRCDGDL >Dexi8A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:8A:2042014:2044773:1 gene:Dexi8A01G0002980 transcript:Dexi8A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLARTPPPSPAAAGRRRVLRPASSTCVLCSSVHELERSPAARPGASSLRAAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWEGRGDELIPDTDLREIDLYSFQGLLKHEGKERYGVLYRQWQKNAANFSIDGHYPVRELWDRAQSCWERILAHEGRSVLVVAHNAVNQALVATSLGLGAEYFRVLLQSNCGASVLDFTPKTGGGPPNVCLNRLNQTPNSPVAPGSSGGRKTSKRIILACQGTMQSSSEISLGGMGYAPLNMLGTIQSQKTAELLLDLKVNSIICSPQVAAVDTAAAICEVQEAADCLGADCVPRYVEMKNLLELEIDDVFLAKQKSFGEIVQSGWMGSAEYKTLERLWAQSKDAWQALLNELPEDSESDRVVVAVGHPAIHLAMICQCLDLPIEYMSSFHLDNGSISVIDFPDGRKGRGIVRCTNYTAHLGRWSIPITRPTENDEEF >DexiUA01G0009870.1:cds pep primary_assembly:Fonio_CM05836:UA:19384784:19388317:-1 gene:DexiUA01G0009870 transcript:DexiUA01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTEIIPSSGQGSETAPLDSNAETEIVNPASDGDDSNESSSQRNRTKVSTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDNEPAEVEPQAATGEALGPSVFIQAKTNATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEVQQDQDVHVPPHSQLARNEERPYENVGQPTTMPRPEPSSANRVTEQINVNTGCFNNVVPLVAVDSNGVVLYDNTPPTPEGNVVGETFHVQPSSFDQLHRTNDEEDEILICLGSTSKNQHPERKRFQAANVNDARIKYVREMVFTPHNRLNSAKDLLDNHIAM >DexiUA01G0024110.1:cds pep primary_assembly:Fonio_CM05836:UA:49459067:49459711:1 gene:DexiUA01G0024110 transcript:DexiUA01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIILLGAPGAGKGTQAQFIMEKYGIPQISTGDMLRAAVKSGSELGKQAKDIMDAGKLVTDELVIALVKERIAQEDCRNGFLLDGFPRTIPQADAMKEAGINVDYVLEFDVPDELIVDRIVGRRVHAASGRVYHIKFNPPKVEGKDDVTGEELTTRKDDQEETVRKRLVEYHQMTAPLIGYYTKEAQAGNTKYAKVDGTKAVADVRAELEKILG >Dexi3A01G0033620.1:cds pep primary_assembly:Fonio_CM05836:3A:38340606:38342982:1 gene:Dexi3A01G0033620 transcript:Dexi3A01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEVIKEVITSTPATAELKGEDLLINSPVILDSTSVQPNVKRKEKPVPHYLRASSRSCHDNCKFGIKHSPEPKKYWPINRKQLRRAITGSHEQGRIEIRLPKTARPRKEAQELKNSHENDGDAAAPGKPQFTNTKALLERAPGHLDSITCVEDLSAEVSEPIGADAECFVISHDDVADCEDEVSSDGAESIELEMPLAIQDIDESDEHTDDNIRPANSECEAGEQSLGDHVPEQSANESASSDKNTTPGVIASEKHEQAVLGTKSKSSASEPEKPKAKATSSVTRNTVSSQRNGRASHPKATGTAVESSSGPKTMRKTADATAVKRFSKPETERKFSSTVASAAPKAKEIKVPSSFNSTDSSAKPTRLTKPKVSTVKKSSSPPLSSGKQIDREITEKNVAKNARVLQKKVNEKVISGSLKLSRSVNMSGKSISSLRLRSIRKDKIAPPVKGSKKVSETEISAIDAKNTKEKFLKMASPKVRKGEVNSKESRPRKEKTDAITPRSAITRRPKPATITPSSTVVPAPSPRKLTFRRGTVLNPNDSSSSTPRRLRFRPAMATADSSSSRSRVSRITGRRNSSSAATRDTGAEVVVLRRRQDGKETKKQEQVLFNNVIEETASRLVAEARKSKVKALVGAFETVISLQETGKAAAPAAATAGVATT >Dexi7B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:7B:17950055:17957475:-1 gene:Dexi7B01G0010710 transcript:Dexi7B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEKAAACCRAAPARVAAGGAPAVPVRAIAASPPGKVVAMATGGGERVAASAAGAGGVVIEEIVAGQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDSMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRSKGSAVIPSRLPPRRARLVVYNKQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEAAVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYGEADGPSRRIGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNTVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDGHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFISTIANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVHCLQKVVEVNVKRESAGTHNVHNNAFFAEEKLLKSELQAMRDCDPSSARHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEMFPGGEFPNQNPRIHEGLPTWVKKDRPLEETDIVLWYMFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSSSDADVKEPESPKAIQNGGLLSKL >Dexi9A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:9A:5939937:5945508:1 gene:Dexi9A01G0009780 transcript:Dexi9A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMPTLSRSMLRVGRVASDLLRRLSLPPALAGRDATPTLMASNSAHAHRLSHQDRLSRYIKKSVIAGPIVAGGVADMVGMAVVALRTELGAVEEGDT >Dexi9B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:9B:11166565:11167263:-1 gene:Dexi9B01G0016330 transcript:Dexi9B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKFAALAVAIVAAVALSSFFHYRCRHLPSPFVPGGARRLIVVTNEQEVGEEMDLADGNVELRCHGYGEHDHTRPVWSARASIPSGAEANLTFPAVHGDEVFEVLCSYRGANRCWAHGVRVFGNPGHDNLFCSEQIGGCTVRFRKDGGVEKVYEANAPRDVEKLYEDSARIDLLQPAIFMGFVPDFDNARDGGCASASCAGRAINRVIGEESCCDDSCGGWDKATPKK >Dexi5A01G0032670.1:cds pep primary_assembly:Fonio_CM05836:5A:35118788:35119699:1 gene:Dexi5A01G0032670 transcript:Dexi5A01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSAFLLPALFPKPNFLSSPLHLSLPRGRQLRCSPNGAAVPESSKPASRRGRKKSPSPSAPKEKSTKRRTKKEVQDADLEGEEAPAKRTTRRTKKSKEETEQEGVVAQAASGGTEETSQESEEEDGLEAGGDYDDGEDFANDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAMLGKVGDDDFGRELVYRMNCERVQTRAIKFDGKAATATARMKVSFRDREDGKGGTKLVAETVKSAAEDSLRKTEINVDVLKEKH >Dexi4B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:4B:21029583:21030379:-1 gene:Dexi4B01G0018660 transcript:Dexi4B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAGVSVASSVEVWGRRMRAGTSSRAPAVTVRCSFVGEAAAGGLAEEHYRTLRLTPGATRGEVKKAFRRLALMYHPDVRKDSDGDVQFQRINVAYQMLMSNMREAEERLEYWRLKYGLNDQDLDRYRYYLNEDDDDWFDV >Dexi6B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:6B:25728552:25728839:-1 gene:Dexi6B01G0018760 transcript:Dexi6B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTRLPAAEPKRGSCGGTERVEWSGARRREPPLYTASRLGPSRPVAPSTWRRFADDSACVCLSGVGEGARGVVVGVMRRAKAALRNKKFTRRSF >Dexi9A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:9A:15501197:15501460:-1 gene:Dexi9A01G0020460 transcript:Dexi9A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDPAADPLGLSSTTLLPLPHHHAALSFSRRVAMARDATAAAVPALRPAWLLDQLPLLVVLLIAAHVLALVRPHDLPLAPVLVKI >Dexi4A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:4A:24646373:24648597:-1 gene:Dexi4A01G0020880 transcript:Dexi4A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMFKRVLISTPALLPAFREEGVWDLIFSGNFFYFGSSVEETHFHIVTDTENGDINSNRISIDSESLYCTDVNILQVEAISFLEFAATLGENTCNTLPSSSAQDHKAKLQLCSKYVENFARANEKDNVDSELLIDLLVSMREIIMMEHMYYQNLFRDGGCFLHIISLLSGNFSEVTDEQLVLNVLETLTLLLEGNDASKNEDAVVLLLNILQKSSTLVQHYGLVILQQLLKQSITNRTSCFRAGLLSFLLDWFSIEEKDDTVIEIAELIQILGAHSICGKDIRKIFALLRCEKIGAKQKHTSFVLTSLSHMLKEKGPEAFFEFSGHDFVRVVLFI >Dexi8A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:8A:12731907:12733576:-1 gene:Dexi8A01G0009480 transcript:Dexi8A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPISTASARHIFLVHGLCHGGWCWYKVASRLQGLQPSSSAGRPWRVVALDLAASGIDARQLREVPTFRDYTAPLLDALRSLPDGEKAILVGHSLGGLNVALAAEEFPDKVAAAVFLCAYMPDCTSTPGSVLVEDITLAKSVMRVGSMFLEDLQVMEPFSKDRYGSVHKVYIIGNQDRALPEEFQRWMVSNNPVDEVKEIDGADHMAMLSTPDEVVQCIVDITEKYS >Dexi2A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:2A:3266477:3273908:-1 gene:Dexi2A01G0003740 transcript:Dexi2A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPSPAAAVFAVNGERVELREGDVDPGATLLEFLRTRTRFTGPKLGCGEGTRPFAAISLRGCGACVVLISTYDAASGEASHAAASSCLTLVHGLHHRAVTTTEGLGGGGGRPGLHAVHERVAGFHASQCGFCTPGVCMSLAAALAAADAKKDKGGRTAAPAEGFAGITAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEAHASKLPRYDEGSIGVFPEFLKAEIRASSGIEECMPPALVGSASTWHRPRSVEEYYKLVGSELFGKSRTKVVVGNTASGVYREAEVYDRYVDLRCIPELNSVSKEAKGVEIGAAVSISKVIEALREDGGCNDVVFCKIADHMEKVASPFVRNTASLGGNLIMAQRDQFASDIATILLAAGSSICIQIIEINKDHLPVGIPAKKVGAELQASGEAVFVDDIPSPKDCLYGAFIYSTKPLAHVRSIGLDPSFKQLNTLGVVTAKDIPEGGSNVGANTIFGPEPLFGDLVTQCAGEPLGLVIAETQKNANIAAKRALIDYSTENLGAPILSIEEAVNLNSQYYFYMETQTALAIPDEDNSIVVYSSSQCPETTQNVIAKCLGLPCHSVRVITRRVGGGFGGKAVRSLPVAAACALAAFKLHRPVRIYLDRKTDMIMTGGNCALPAFNITSAIACLYLNFVDVQANMVGVDLSAREYYIPGPSGSYLNYGAAISEVEIDLLTGATMILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEEYVTNSDGLVISDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKASGEPPLLLAASVHCATRAAIRAAKEEPHCSGSGSSSPSHFDLEVPAIMPVVKELCGLENVERYLESLLNSK >DexiUA01G0008340.1:cds pep primary_assembly:Fonio_CM05836:UA:15516505:15517470:-1 gene:DexiUA01G0008340 transcript:DexiUA01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPTMEDTPASVRDCLELPLDALASIFDKLGAIEILMGAGLVCHSWLKAAKVPELWRSVNILDHKVVHELDRVGSSAGGVEEPKINGNVLRAMAKVAVDRSGGQLLAFAGALGLISSDGVSNEGFSELVAKCPLLENLMLSLCNNVHGREVYEGTGRACPQLKNFVLGKRMLDSLIQLYYRDDELGSEALGATAMHGLRRLTLLGSDLTNDELAVILDSCPHLELLDLRDCFQLVVDDALGARCTGIKSLTLPCQVD >Dexi5B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:5B:21438497:21439329:-1 gene:Dexi5B01G0019110 transcript:Dexi5B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLAQGLGNYDAAKDVARYNLVNPPRKNTVHVPNLGWAAVRFVADNPGVWFMHCHYEFHLSMGMAAVFIVEDGPTSSTSLPPPPMDFATCGNYESYLQSKKSEVSYISGV >Dexi4A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:4A:7504206:7505644:-1 gene:Dexi4A01G0009500 transcript:Dexi4A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKPLHSSSQKPPPARSTNPGYFLSKTVGIWLAFGSVSLALLHLICCSPRGAQEAAFTQVLQYANDAYSKLTSSGGGQPCDYSDGRWVWAPGHARRYNATLCNVKQAQDCLRNGRPDTGYLDWRWQPAGCRLPAFDAAAFLSAVRGKHVAFVGDSMARNQGESLACLLAAGAPHRVVHLDPDYKRHFMRWAFPTHDVTVSVYWAPFLARATGKCEDYSQPYTFVHLDVPGDRWAKDADTMDVVVLAASHWVLNPAIYHNGSEVVGAHGFPELNHTEIGYATPMREVYRMALERLSSGGRPRTLVAATFSPTHFENHGFDDPMACSKKQPYKEGEKELGDMDKQLRSIVIEEAEAAAQRNGPSGAVRIEVLDVTKLAAMRPDGHPGPYMHRNPFANGVPEKMSTDCLHFCLPGPADTFNEILQQILMKRR >Dexi3B01G0023850.1:cds pep primary_assembly:Fonio_CM05836:3B:18605532:18607586:1 gene:Dexi3B01G0023850 transcript:Dexi3B01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKPPEVYYETLRVGRDASPQGVRAAYMSLALLDQQESRAVLAARDGENVAVSAAARAARGEKKPGAAAAVDAPCTPPPAAKAKVYSACSNVGGGRSAFAEFSSYVVRKAPPLERRVECTLEELCSGCSKEVRYTRDVVTKNGMITKKEVTQTIRVRPGMRKGATVTLEGAGDERPGCLAGDAVFVVSEKKHRRFKRLGDDLVLRARVPLVSALTGWHLSFRLLCGDKFRCTFRDEVISPGYVKVVKGGGMPVAGGEKGARGDLMIKFEVVFPDDLTDEQRKGLAEILRGCA >Dexi9B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:9B:12728332:12732036:1 gene:Dexi9B01G0017940 transcript:Dexi9B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPKQIVMEWLQDPLSWVFLASLAFVLLLQLRRRSKSPPLPPGPKPLPIIGNMSMMGQLTHRGLASLAEVYGGLLHLRLGRLHAFAVSTPEYAREVLQAQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWLAVRDESAALVRAVAATGGAGEAAVNLGELIFALTKNVIFRAAFGTRDGEDQDEFIAILQEFSKLFGAFNIGDFIPWLSWVDTQGIGRRLRDARAALDRFIDKIIDEHMRRGKSPDDADADMVDDMLAFLPEAAAKANNKDGGAAAGDVDDLQSTLRLTRDNIKAIIMDVMFGGTETVASAIEWALAEMMHSPDDLRRLQQEFADVVGYDRNVDESDLDKLPFLKCVIKETLRLHPPIPLLLHETAEDCVVGGYSVPKGSRVVINVWAIGRHRGSWKDADVFRPSRFMAGEGGEAAGLDFKGSCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHGFNWSLPDGMKPSELDMGDIFGLTAPRATRLYAVPTPRLNCPLY >Dexi3B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:3B:7455365:7461295:-1 gene:Dexi3B01G0010660 transcript:Dexi3B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTPWLLLLLLGLAATAGGRRATWTKSPGCRTPRPDAAFTDTGSNQNISDQYITSTLSKRYLTLRSFPSGVRNCYTIRSLVVVASHKYLLRAEFLYGNYDGLNKPPIFDLYAGVNFWSTVNASSPSLFPDGVVRLEAIVVVPDDTVQVCLVNTSSGTPFISALELRPLNNSIYPQANETQGLALLTRINFGDQTEVNRFVRYPDDPHDRVWLPWVFEDTTSISTSNGVNTGDGTFEAPSKVMQTAIIPRDGSNTIKFRWRSRPQPRDPTPEYIAIMYFSELQLLYSNALRELSVMINEARWPAFSPGNLSSYTLYNSYPLAINAEYNVTINATTNSTLPPLINAVEVYSLISTTNVGTDSSDVSAITAIKGEYRVQKNWAGDPCSPETYAWDGLNCSYAISTLSRITGINISFSGLDGDISDSFANLMALQYLNLSHNNFTGSIPNILSQLTSLRFLYGNNPNLCTNVDSCKPPIKRKSKLAIYIVVPVVLVAVIVSVVGLVILFLRRKRQGSTLTSNTVKPQNEEPMSYPVASLPPIDAYGQSSLKLENRRFTYKELEIITNNFQHVLGQGGFGKVYDGFLEDGTQVAVKLRSQSSNQGVKEFLSEAQILTRIHHKNLVSMIGYCKDGQYMALVYEYMSEGTLQEQIAGRDRNVKHLTWRQRLRAALDSAHGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLCRAFSYDNEAHISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGRQAILYDPKPTNIIQWVRQRLARGNIEDVVDRRMCGEYDVNSVWKVADIALKCTMQVTAQRPTMTDVVAQLQECVELEEGHRTGDGTNGSFYNDSNSDLDLGYTTYVADSQLTEVSQSSTAFEMDHNFGQVSRMGGGPVAR >Dexi9A01G0035410.1:cds pep primary_assembly:Fonio_CM05836:9A:40094569:40096751:1 gene:Dexi9A01G0035410 transcript:Dexi9A01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVGKFMESVGSIFSGGDVLPWCDRDIIAGFESEIAEATNEEQKSDSLMRLSWALVHSRQPEDVNRGIGMLQDGMIGMAIITGAFGLVGLVAGGIIAAASSSSSRKK >Dexi9B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:9B:11419332:11421511:-1 gene:Dexi9B01G0016560 transcript:Dexi9B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTADQLSFFETNGYLVMDSFSSAEEVREMRDRMAELVAGFDGANTSVFSTKDHL >Dexi2A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:2A:22407950:22410556:1 gene:Dexi2A01G0013700 transcript:Dexi2A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVATFMVNTAMAVVNAAMRVMLAAMTMDSIATALHITVTSTTTGMFLPTSTSWTTPPSTVLARWCTGIRRHGPRQGLSHDVFLELSLACLVRMVIVDVGVVDLSILFACHCSPLERGLIALLRRQMGERPEKAAALSMTAIADANDKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALVKLCFILFFCDDFSD >Dexi1A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:1A:5720170:5720788:1 gene:Dexi1A01G0007410 transcript:Dexi1A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATLQSDVAMWHSTQAARNLEDEYAYLEGILTQAPIANGLQASKLKPPINSNCTRGSGSPTTRADGDIAAKAGYPADGDSDGGEPNPGQPLAFWTPGTTRGRSVESQWKQTHDDGDATGGQDGDPLAADAPGDTHPGRDRPTRKGSHAMPCHAAAVTGVSRALGLSQPLD >Dexi7A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:7A:14037097:14037522:1 gene:Dexi7A01G0003920 transcript:Dexi7A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPAMADTAVATIRSLLVRARHCSYPGLVVPTAVHSGPGAASVEFAGVQSRKVQGPPESAMVRNVAAPAQSSLVKELFRASSLKYLPTRLAATARFTAASASESLCHGALLLDAIFSLSLSLLLDTTS >Dexi1B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:1B:5441255:5444047:-1 gene:Dexi1B01G0006750 transcript:Dexi1B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATHFGSPPPPPPRGCRRRGLAFPAGARRAAAAARGRIRSLRNISCLVSQTAKAPVSGPEPSSSKEGVNEIIDAVEVESTATGASFLAKGAVAISVAATVTVILLLMKQPSSGPSFSLPQIVDASAHSDAAAATIGYTFSLFGKKVIIPEYTPGWVYFCLLMTAGFGLFISEEALNVWLAAGFALRERPVVALASVAAAVGICTVFPYAAAACTALFLYLRRRREPSS >Dexi1B01G0031010.1:cds pep primary_assembly:Fonio_CM05836:1B:34779293:34780415:1 gene:Dexi1B01G0031010 transcript:Dexi1B01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKADVVAPAAGAGAGHVGGGGAKSGPPVPIHNAAAAPADRDAVLAKVEMDRKLSMIKAWEESEKSKAENKAQKKMSSIMSWENTKKAAVEAKLRTREEKLEKKKAEYAEKMRNQIAAIHKEGEEKRASVEAKRHEAILKYEDMAAKHRSKGTTPAKKFLGCF >Dexi9A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:9A:16317844:16318266:-1 gene:Dexi9A01G0021440 transcript:Dexi9A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPLPSPQPAPASDGDPPTAWPPATSVPRALPSTLLLVPPPPSSSGRAQGARGGRAVGGGGRGGGGRRKAVAQAPASDGARGRGPDRLAEAVRVIGRDVEAGVAAADILELAMAKGPMFAWLSYWPEEGFSKEDHPY >Dexi1A01G0027630.1:cds pep primary_assembly:Fonio_CM05836:1A:33343995:33347421:-1 gene:Dexi1A01G0027630 transcript:Dexi1A01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLLRALAALLLLAAVAVAVADDGWCRSCAPFPAEVLPGGRGFLRRSRAGATLLEIKKSFRNGGNALYDWSGDGASLGYCSWRGVLCDNSNGLSGQIPDEIGDCSLLQTLDLSSNNLEGDIPFSISKLKHLENLSLQGNKFTGPIPSECLWQ >Dexi4B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:4B:21601818:21602038:-1 gene:Dexi4B01G0019340 transcript:Dexi4B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELQLEAFIRESGEGAGGAARSMLGRGEDSSGGLDELGGSGVFSPGIGFGDSRL >Dexi4B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:4B:22283555:22287057:-1 gene:Dexi4B01G0020050 transcript:Dexi4B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSLSMVEARLPPGFRFHPRDDELVLDYLARKLGEAGGGGGGGGGGAVVMSIYGCPTMVDVDLNKCEPWDLPDIACIGGKEWYFYSLRDRKYATGQRTNRATDSGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRMEGQGDPMKLPFKEDWVLCRVFYKSRATIAKPPTENSSYDIDATTTSLPPLIDNYNNTISFEQQNLEGYEQVPCFSNNPSQPSSSMNAPPTSSAMADHQEQHMGKSIKEVLMSQFGRFEAAAGNVKRETPQSFSQDGFEYLADSGFTQMWNSFG >Dexi2A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:2A:22961668:22965160:-1 gene:Dexi2A01G0014040 transcript:Dexi2A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAPPPLRADLMLPPPPPPVPPTTDRRPRRRAREVSSRYLSTPIPSTPRLSTASSTRSRSPTPSPRGRQRVATPFANENHPPPPPPPTGTAARRRAVQKLFDETGAYNPRASVSSTTSSSSGLAAATPRPLPRTISGPAAPTARRGYPRLPTPARAGSCPSAASAGADSDAASCCSSSDTASTATDFSEVDGGLGLAPAAPCESPPLLGPASCRGGRLSSELRSSVPEPGGSTRAANPLCYRSLNSALSISTATTGKLTTAARPPQPQGAKAAELKKAAIVGGRKVTGKQEDVHQLRLLDNRYLQHRFVNARAEAAAKAKAAAAEKSLYGIAERLMDLHESVAEKRAELDSMKREQRLCSMVNVQVPYLDQWSDIEGEHSSCLRGITTALHNASLQLPVIGNVKANYEEITDVLTSVVRLLEPLSPCVGNFLPKVQKIDDAAKNLAQVIATERTLIEECGNLLYQAHNLQVRLPINGYIITVASAMITLANAFTIYNGIDARVQFEKSIDAAETKRRNMKKYKEKVNMSFL >Dexi4A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:4A:992009:992395:1 gene:Dexi4A01G0001560 transcript:Dexi4A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAADPRQQQQPPQQHSAAPVPGAHAGMGAASQMAAAAGVQQPMKRPRPADFSGSLSRHAPFGPSLLPRAHRSPRSDPRLVESSSALAAGGLPPLDLCLEELVRASMAGSYALTRLAGGWS >Dexi8A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:8A:8032355:8037177:1 gene:Dexi8A01G0007220 transcript:Dexi8A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVGGTGGGGTGSWFLWRTAGIINTYGLDGVDVDYEHVAPGADVDTFVECIGQLLTQLKAKMPNIATSIAPFEDPVIQKYYQPLWSKYSGVIDYVNFQFYAYGANTDVDLYLQFYDNQTANYPGAKVLASFKTGNVTGLISPDLGISAASADSSFKSSYGFKYETEAQQIIANH >Dexi3A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:3A:11690215:11691109:1 gene:Dexi3A01G0015700 transcript:Dexi3A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVEDPEHLQPRCANNLDRPPLEQAPEEQPLEAWGVFNDDLVEGGHPVHRNLKAARGEAETRWLVVGHDKGEDLQLGAVREQGFEFLVADDAVEETQLSEVGERGRVRGVRLWELPNAEVEADEGGAAKNVVRERHVERPRAVEEDEVLDVLVGEVREEAPERVLKETRVTRTPRTGPGCAAMQRERARTTGMLEVSPAPRWASSTRSGVPDHMRCQRVESAAVRPASLMGRRWMMSVRSVSGRRLMWSSPSPGAAVNASSWTVTASEASESDEVREKRPSSESESTSGAGT >Dexi3B01G0030410.1:cds pep primary_assembly:Fonio_CM05836:3B:29931350:29933890:1 gene:Dexi3B01G0030410 transcript:Dexi3B01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGNKVMSSSVLIFLPCLLLVPLMAFSATPEGASTSGCPDSCGGIAIQYPFGIGTGCFRNGFEIICDRSMDRPVLAGTTKPVPVNHLSIRTAEARVMLPIAWQCFNSSDSVYAWNDGDVQFNLEEVYRISNTNNQLVVIGCNTLGYTQSQRSEGNDYDYGYYTGCMSYCNNSHSALDGACAGVGCCRLDIPPGLTDNRMDFDVYTHKARLGFSPCDFAFLVDRDNYTFHTADLKMDNTTMMPVWLDWAIRDNLTCVEAKSEAESYACVSPNSECRDSSNGLGYVCNCSMGYEGNPYIANGCTGSIGGLFIIAVVVFISLLAKEKRRMKDYFRKNGGPIIEEVNKIKLFRKKELEQILKSSNNRIGQGGFGEVYKGYLRDETQPVAVKKPKIDVKLAGQFANEVIIQSRVLHKNIVKLIGCCLEVDVPILIFEYVPNGSLDKILHDSNRVPLNLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMITVDDNYASTIIGNWGYMDPEYVLTGLYTSKSDVYSFGVVLLELVTRKKALDPDNNIILGNSLDIYAKKKRLIELVDPEIAAIGNTGIFHSLAEIIVQCLSVDVDHRPEMADIAERLHYLLK >Dexi8A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:8A:6767712:6769170:1 gene:Dexi8A01G0006530 transcript:Dexi8A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMDSSSTESYSISDYEMNESPLPAVMDADEEEIMSAPQNTLNIPDPKMKSPEIVDAVPLRVVPYGGKEAIPFDKVKRDLLRNQSVHVKAQCVRQRKEEVDIPRSLKSRKAYKEGDWKAFIDTGIDGDRRDWSFKHRVYRRNFRSKRQVKEFLDTNGPVTGKFRGKKLHKKVVTLLQKI >Dexi9B01G0039600.1:cds pep primary_assembly:Fonio_CM05836:9B:40241486:40244206:1 gene:Dexi9B01G0039600 transcript:Dexi9B01G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIGYPEIEDNAKPRHRFMSSYEQKVETWDKRYQYLLFAAEPYEIIGFKVPSTEIDKSADKFFSYWDPDKKEYLLQLYFKPRPLEANKPPPAPPGTLPNGTGGPAPPRPPGQIPPPPPQVPPPPHAPPPAPMGIPPRIPPPPIGGAQPPPPPPPVSNGPPRPMIPPPPNFTPGAPPPRPPMQGFPGQQQ >Dexi3A01G0025320.1:cds pep primary_assembly:Fonio_CM05836:3A:20999067:21000056:-1 gene:Dexi3A01G0025320 transcript:Dexi3A01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPPA >Dexi5A01G0023710.1:cds pep primary_assembly:Fonio_CM05836:5A:27653196:27657706:1 gene:Dexi5A01G0023710 transcript:Dexi5A01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQQPRSTLLGPLRTRSSRESLSTLFLLIPHPNPDPQTPPPFPVARSRHPPTPAHHSEENLDPDPSPPAMATRRALSSILRSASRLRAASPSPCPRAPLHHRPSPAGFLLNRAAAYASSAAAQAAPAPPPPSTGKTTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Dexi3B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:3B:3485783:3489222:-1 gene:Dexi3B01G0005240 transcript:Dexi3B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWTAAAALLWLLLGCVCVLGYPESDLVRGLPGQPPVAFRQFAGYVDVDERAGRSLFYYFAEADGGEAASKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRINDKSWNKVSNLLFVESPAGVGWSYSNTSSDYKTGDERTASDMHIFLLKWYEKFPEYKSRDLFLTGESYAGHYIPQLANVLISHNRGSKDFKFNIKGVAIGNPLLKLDRDIASIYEYFWSHGMISDEVGSAITNACDFEDYTFSSPHNESQSCNDAIAEANKVVGDYVNNYDVLLDVCYPSIVMQELLLRKYVCFHSDIEVQYIWLQKTINVDVQLSLWWGQATKISEGVDVCMSYERIFYFNLPEVQQALHANRTRLPYKWRMCSPVLNYSGTDGNINILPLLQRIIEQNIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLGVTVPYRAWFHKDQVAGWVTEYGKLLTFATVRGAAHMVPFAQPDRALGLFRSFVNGQRLPNTTNPSTG >Dexi5B01G0028740.1:cds pep primary_assembly:Fonio_CM05836:5B:30054621:30056811:1 gene:Dexi5B01G0028740 transcript:Dexi5B01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCARSVLRRRGLASSLLRRCGGEAECTAGAGEALANARCASTLSAFGGGRVLGRGGRWADPRAGVMGAGRMARTQTRCFLGCGDGEEGSVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHDDGSFDAELEIGFKFLVESYVSRVEMEKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFNSPLYRQVASMFFKEVVSRLVGSFNDRCFRIYGPPVQVLENTYGRRR >Dexi5A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:5A:9412235:9412606:1 gene:Dexi5A01G0012570 transcript:Dexi5A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGAEAFLCNVRSSAFKGWCTHSMNCAHRAKPADSAKGFRYLNTACALLSAMMAVAAEVVVAVAVVEHNQGSQCLHSQ >DexiUA01G0024780.1:cds pep primary_assembly:Fonio_CM05836:UA:51415841:51417006:1 gene:DexiUA01G0024780 transcript:DexiUA01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKVDAVVNGQEVTNDVNSGDKFAPSRSEERMRERVEQLKGKVREVFHASMDAMSVAELVTYVDTLERLGIDNHFHDVIDAALNRILSDEPVESDDVFSNSLHIVALRFRLLRQHGIWVSADVFDKFRDETGSFSSSICSDPRGLLSLYNAAHMAVPGEVALDDAITFARGHLEAIKGKIKSPQAEQVSRGLDIALPRFTRRLETMHYISEYEQEEAHNSLLLELARLNFNLVRTLHLKELKALSM >Dexi4A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:4A:20126802:20129778:1 gene:Dexi4A01G0016500 transcript:Dexi4A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAPQGGGGGKPPIPAGGRRPALARHASFVRSPANITKPETERTFESLDTEFIPVIRSGGWADIGSRNSMEDVIIISDNFMQDFGFGSYGEGPSAFYGVFDGHGGKHAADFVCSNLPRFIVEDEGFPREIAKAVSSAFLQTDAAFADACSRNCSLDSGTTALAALVVGRSLLVANAGDCRAVLCRRGKAIDMSRDHKPSCNLEKMRIEALGGYVDVGGYLNGELNVARAIGDWHMEGMKACGGLGPLSAEPEVMTTDLTEEDEFLIMGCDGIWDVFRSQNAVDFARRKLQEHNDPAACCKELVDEAIKRNSGDNLSVVVVCFNSRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLGD >Dexi9A01G0047830.1:cds pep primary_assembly:Fonio_CM05836:9A:50816001:50817874:-1 gene:Dexi9A01G0047830 transcript:Dexi9A01G0047830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYICIVWSNFCASELHVSKLPVLTDLLYKDYNFDQKFSLSTSSNTGLNLTATGVKINEDFIGDIRTQHKSGRTTVDVIIDSDSKVFTTVTVDEALTGLKTSFSFKVPDHKSGKLDLQYAHNRFALNSTIGLTSAPLVELAATVGTSELSLGAEVGFDSASASVTKYNSGIGYNKPDFSASLLLADKGETLKASYIHLFNPTNGATVAAEVTHKLKTKENYFTIGSSHALDSSTLLKTRFSNSGKVGLLCQHEWRPKSLVTLSAEYDPKVVRSPSRFGVAISVKP >Dexi9B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:9B:5135147:5135620:1 gene:Dexi9B01G0008380 transcript:Dexi9B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMESLDASASEAGGGHDLKRNQASSSQGSEILRTKKEMLLAYLDGELPSARTRAGKRTKVIKYRLPQGYIDHIKSRASNPILDELSEEALANEPKFYRDAYAESKVLNDKIKAYYRALIEQYEAQGYAEDEAEVTDDEDETAVVSKDAPYR >Dexi2A01G0025030.1:cds pep primary_assembly:Fonio_CM05836:2A:36823504:36824826:1 gene:Dexi2A01G0025030 transcript:Dexi2A01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFPAMCASDDRLVPGKPLLPGTPIKSDGGDFAFGFFSPSNSTPEKLYLGIWYNNIPQFTVVWVANRETPANASSAPSLVLTNMSDLVLSDGSGRVLWTTSTTTSASSSPPPNANGSVAVLMNTGNLILRSPSGNISWQSFDHPTDTILPGMYTRWSHKTHQEKLLVSWKGPDDPSPGAFSVGSKTDTFIQGFIWNGSLPEWRAPVWTGTTVISQFFQANTSIFVYTAYIDTVDEMSMVSTVSDGAPPLRSVMSYSGRVEASVWNRNSSEWSILLASPDVQCSRYGYCGLSGYCDYTDATPTCKCLDGFEPVDKEGWSNATFSRGCRRKEALRCSDGFLALPDMKVPDNFVRIGRKDLKECVAECSANCSCVAYAYANLNGSTADGDATRCLVWIGDHQLVDTQKMMRVLPYNAAGADSQDTLYLRVAGLSGTEYFS >Dexi4B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:4B:5740570:5741961:1 gene:Dexi4B01G0007920 transcript:Dexi4B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGPVWQFVPCVVLIFLLISSTSPAASGVTMRADLTHIDSGRGFTRSELITRMVSRSKARAATLYCRHNNNNRGGGHPATAAAAPGTSGQPGTEYLIHLAIGSPRPQHVALTLDTGSDLIWTQCASCAVCFPHPSPSFNPSASTTVRSVPCSDPVCTHSPDSLCTLGDCSYVDAYGDGSIASGRIVRDTFTFKGTSSSSGKNGGGVVVVPGLSFGCGLYDTGIYNTNESGIAGFGHGSQSLPSQLKVGKFSHCFTSMLDTKSKPSPVFLGTPDDINAHATGTIKSTPLRRNPVSPAYNYYYLSLQGITVGDTRLPVSSSAFAINKDGSGGTVIDSGTGITTFPPALFKVFSKAFAAQVSLPVVANSSETTGLSLCFAVASAAEAAKVRVPRLVFHLEGADMDLPRENYMAVINGGKFMCLMLGDLEGNDLTLIGNFQQQNMHVVYDLDNSKLLFVPAQCDKL >Dexi3B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:3B:11904814:11905575:1 gene:Dexi3B01G0016330 transcript:Dexi3B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLAGNRAQIGRGDWEEGEKIDEHLFAIGPWPAAALREAGMARGGSTVGGHGEGRPCLATAGVAAPIPMLALAITLAIRGCAVHQELDPGAMQPTRSSSQRSSRPVELSPPLLAAAGPRRPAPEGVGAPPRLPGGGSRGSTPPHLLAELLQRRRSPSSRCPAARFGPDANRQERHGDNEEQADPCCRPGMGASEALLLAAEQEDGGGRIEERESSDIRDFDEEERNAWCGDWAAQLGHLPPEAHKQQRWLPN >Dexi5A01G0036470.1:cds pep primary_assembly:Fonio_CM05836:5A:37981201:37981476:-1 gene:Dexi5A01G0036470 transcript:Dexi5A01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREALTSLGRLFLKLQRHSQSAGFTLAQHASLGLDRHASTAVRSTPHTVKPLAAGANATATISSATSNAAFLLIPAAISRL >Dexi9B01G0022020.1:cds pep primary_assembly:Fonio_CM05836:9B:16675952:16676774:-1 gene:Dexi9B01G0022020 transcript:Dexi9B01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGRVFEDFVPPHSMVREPATHTLTVDLANTGKHARFKKEHIRVQMVHSHRRLIVRGERPVAGNRWSRFRLELRVPDGCDAKAIHARFENGVVRVTMPGGAAPEPIQAETGGAAARQDTSPAPVAKPSAAAIAGAPPQQDGDDGRAARGGGGDHQVEGEKKDEPAAQKQEMRQRVTSAKDDGGHDEDDAGAVGEVTAASPSRQGYGFLQDRRRKMATTMLGVVLVLMSLGIYVKYSLCP >Dexi9A01G0031170.1:cds pep primary_assembly:Fonio_CM05836:9A:36032419:36036240:1 gene:Dexi9A01G0031170 transcript:Dexi9A01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKVSYFQAITGISDPDLCTEILAAHNWDLQVAVSSITANPSSPSASASTSSREPAPSAPLEDAELVAPPPPMQPPAPQQQQPGIAWKLVTLPFYVISGGVGLVAGTFRLGAWVAGGVLSRSLSILGLAGQAGGDRLLELPPSAAEAADFVAEFEREFGAGRGPRFVAEGFADALQRAQREFKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVSWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIMLLQQVEGPKSPEEMITILQRVVEECATSLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERERLQELERREREAAEAERKRKEEEEALVRAAQEAAEKEAALARRRHEKAMTLGAEPEKGPGVTQVLIRFPTGERKERRFHSSATITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKHSLTLEEAGLHPQASLFIEVEQ >Dexi5B01G0024770.1:cds pep primary_assembly:Fonio_CM05836:5B:26796646:26800470:1 gene:Dexi5B01G0024770 transcript:Dexi5B01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTAEPVKQQNHNGQHRGPTIQWDERKPSGSCPVLTFSTSVSPDLCVPAPIHRSSAPPRAHRVPVRQARSVGAPPPASLPEWPPPAADRERRRRGVQIQIRWAGVDEIAPTTMAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDAEVHSPVVYDDAGVTYMFIQHNNVFLLTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMKTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEGAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITIAGEYELRLI >Dexi1A01G0026850.1:cds pep primary_assembly:Fonio_CM05836:1A:32735643:32738674:1 gene:Dexi1A01G0026850 transcript:Dexi1A01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAAAERHQPHAKGSPPAMSGATSCSCPCRRRRLHVAAVVVVAFALAAGVLVGGAEGRQQQQAAAQHNYEDALEKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGEDVAAAGELGHALEAVKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDHPGSDVAGETAAAMAAASIIFRASNPHYAHLLLHHAQQVLYYCVYVFEAEYLDYVVDNAHEFGGTGWAINEFSWDVKYAGVQILATRLLLRGEHEARHRSTLEQYRAKAEHYVCACLGRNAEGGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSAYSDYLSDAGLAAVSCAGGEVVAAAEVFALARAQVDYVLGTNPRGVSYLVGYGPKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLHRMARLEREQGVDDAGDVDGGRRVNR >Dexi9A01G0041180.1:cds pep primary_assembly:Fonio_CM05836:9A:44834607:44837763:1 gene:Dexi9A01G0041180 transcript:Dexi9A01G0041180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKAATSPSHGPNEHKPNQKVQTLRVAAPPPTIEHPAEPGRRAAAMGVGIGAATDLVLKVACERCGAASDLYGTSCRHTTLCTGCGKALARARGRCAVCAAPVTRLIREYDVRVDTSAEKAHFIGRFATGLPPLSKARSAGNKWSLRKDVPQGRQLTGNMREKHYSRRPWILEDETGEHQYQGQTEDPQATYYSLTLKGKEITAVPLGSWYNFSKIAQYKQLTLEEAEEKMNKRRSTASGCEQRLIKVATNGAAAFSSGVKKNEDVNEGATNGVHPKKGDMNENGNQSIKGEEDEEGEAARKNRHGLTTKGIDEDDEEGGKDKDFDLDDEIEKGDDWEHEETFTDDDETMGVGTEEGPDLADPEAAPPEIKQDENENELGNSGNLSKSGQELKKLLRRAAGENESDTDDKNTEEDEPSSPECAPKQLVEPKSEPVDSKPAKPTPSAHAQSPNPPSKSTQKRKLGGADSNSSNGAASKKIKTEPETRKISVKEETRKISVKEETPSSLEPTSDASVTARTTELSPVTEEEVRAVLRAIAPVTSQDLVSRFRPRVVTQEDKTAFLNIVKKISHMYKNNGRSYIVLRQEHK >Dexi3B01G0027610.1:cds pep primary_assembly:Fonio_CM05836:3B:23283829:23284339:-1 gene:Dexi3B01G0027610 transcript:Dexi3B01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDAPLSPRSQLALSCFEELLDCAVADVASECHRIARLGLDRSVDAEEEELRVWAARAAVGGDQHHPGGGGAAEEGGGKGGVDVFGQTHPAVAADVVECMNCGRPVVAGRFAPHLEKCMGKVNPSLHRCRSLWISST >Dexi7B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:7B:19184956:19187012:1 gene:Dexi7B01G0012380 transcript:Dexi7B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGRRASTAAALGRWCLVILAVASALGVSGPAFYWRYKKGFSASPSSPAAVSSSPTCPPCSCDCPAPLSLKTIAPGLANFSITDCGKNDPELAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEAREQSEAAISKEKKLTALWEQQARQLGWQESRATSM >Dexi2B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:2B:12185287:12185942:1 gene:Dexi2B01G0010750 transcript:Dexi2B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIGSAAAFVCVALILVVAAGAATLHHHPTPVHTTNSTSVRIRVPPRKILRSSEAATVESTNVPKTRNRCASNRRSSSTSGCDDPLPRGIIHATTNLEMEPSLASDPEHRTKQQESAATTPPKPKKSLLAVPVGIKNKAVVDKLVSKFPADDFAVMLFHYDGAVEQWADVAWSERAPREGLHVSQPALDRRSEIHHAITARAASPTASGMGTAA >Dexi6A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:6A:8324312:8325345:-1 gene:Dexi6A01G0008150 transcript:Dexi6A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTVSSYAPQIMYSALIQFNVDNHNDRKNLGYVTFGSLTFANEVDRSAWAGSATTALLNSNNQFLFRWPEFMADQVTPDVTLKVEQETFQDHRVMLAASSPVFDRQLNDQYQCLKLLDACVEFLKYSTMTDMAASQWFKVLQDNHPDVIAEICKAVDDVKD >Dexi5B01G0027460.1:cds pep primary_assembly:Fonio_CM05836:5B:28988809:28990046:1 gene:Dexi5B01G0027460 transcript:Dexi5B01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGDGGLATGGDQLMQAQAELWNHVFAYTRSMSLRCAVELGIPDAVHRLGDAATAPELAAALSLPPSRAPYLRRLMRLLAHAGFFSVSDDDATASYGLTPLSRLLVSAPGAGGGHGLSPFALAMLHPVVVSPSMSLASWFRAADAHTAAARVPFEAAHGGRDLWAVAKDDREFAAAFNDAMACDGRFVMDVLVRDHGDVFRGLTSLVDVGGGSGGAARAIAAAFPNVRCSVLELPHVVAGVPPGERGGVEFVTGDMFENVPKADAVLLKWILHGWDDERCVRLLRRCREAIPSREAGGRVIVMDLVVGSNPADEKATQTQLLWDVMMMGVVGSPERDEREWRKIFEDAGFSGYKIVAILGIRSVIEVYP >DexiUA01G0016590.1:cds pep primary_assembly:Fonio_CM05836:UA:35329468:35329840:1 gene:DexiUA01G0016590 transcript:DexiUA01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGSGPWLRSANGFLGRHVWEFDPDAGTPEERAEVERLRQDFTRHRFERKESQDLLLRLQASSNCHS >Dexi7A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:7A:25301583:25303120:1 gene:Dexi7A01G0015460 transcript:Dexi7A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSAAETDRRDRQERAKEEQYWAEAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAAAVAASASAPSKAAARKATRVAAPPPKVTEAELARIREEERLRLEREAEAAKKRAARMAEEEEYERVVLVANTNRDDSIIEARSVEEAIARMSVVDPQAALPADKHPERRLKSSFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQAAE >Dexi7B01G0024500.1:cds pep primary_assembly:Fonio_CM05836:7B:28842765:28843340:1 gene:Dexi7B01G0024500 transcript:Dexi7B01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTMHSIPESAVEPGGVVPGQPKELLPRLFDESFRSTATSFSKYSVADSSMELSSCRYSEFGGGNVQGGDDGDKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIASVPPPASGGASQQLAPPAQTQPQASGSSSSSNHDTVILQFGKVSRDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >Dexi7A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:7A:19122711:19124708:1 gene:Dexi7A01G0007880 transcript:Dexi7A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVHDLDEVAAASAVVFASRYVQEPLPRYELGEKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDKLMLEAINKNYADMDEYPNRCVNIIARLFNAPVSAGEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAARKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGCYVMDPDEAVRMVDENTICVAAILGSTLTGEFEDVRRLNDLLAAKNRRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEDLIFHINYLGADQPTFTLNFSKGTPRSNAFHGYRNVMENCMESARILREGLERMGRFTIISKEQGVPLVAFTFKSGEDASLAFRLSSELRRFGWIVPAYTMPANLEHMAVLRVVVREDFGRPLAERFLSNVRMALDELDDAAKGGPVPKMRVTIELEPAPRGSGEEALARVVKRESVVAVQRSVSLAGGKTKGVC >Dexi1A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:1A:21448524:21448841:1 gene:Dexi1A01G0014780 transcript:Dexi1A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVVIASAGLGMLAGVAMANRSMGDGLPAASRWDARPRCATCGGSGRVECLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLTVQHHKPPPPAGHN >Dexi4A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:4A:327271:328017:-1 gene:Dexi4A01G0000480 transcript:Dexi4A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLALEMSASRPSDDDIVAVGGGEGVVSGSGAGDSHGISSSSGGRAQRERMFEKVVTPSDVGKLNRLVVPKHLAEKHLPLPAAAARAGGTVLCFHDAGGQAQPSSWRFRYSYWSSSQSYVMTKGWSRYVRDKRLAAGDTVSFFRDGARLFIDCRRWNRRAPPPLPSPVPHQQHQQQAFFFFPQAEAALQAQPQPQPQQQDLLLVAMEEDEKEARLRSPSCLRLFGVNMELDHHQLRAEPLLLDLQL >Dexi6A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:6A:25223598:25227304:-1 gene:Dexi6A01G0017390 transcript:Dexi6A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEESEDEKKRKEELKEKFEDLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDETPEADTDMPALEEDAGESKMEEVD >Dexi9B01G0044700.1:cds pep primary_assembly:Fonio_CM05836:9B:44383974:44385493:1 gene:Dexi9B01G0044700 transcript:Dexi9B01G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVLPLMDFIARRAFVGAGLQPHTISIAIPSDAGEQRATIHYWAPPGEPRLPALLLIHGFGPMATWQWRRQVGPLSRRFHVIVPDLLGFGASSSSPAAAPPSETAQAAALAALLDALPGLAAGARVAVVGTSYGGFVAYALARAAGPGRVGPVVISNSDLLKTADDDAALLERAGGGIASTADLLMPLDARGARRLMELSFYRRHGITLLPDFVLRQAVQQLFRDKREEKIDLMKAVTVGTDEFKLTPLPQDVLLVWGDHDQIFPLEKAFAVKRCLGENVRLEIFEKTGHVPQMEDPDRFNKLVLDFLFEITKASNSTASSVL >DexiUA01G0020850.1:cds pep primary_assembly:Fonio_CM05836:UA:43129923:43131987:1 gene:DexiUA01G0020850 transcript:DexiUA01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAATSVSGASWSRAMRGRPAALASRHAGLATASYSFGTRGAVLAGAGPQFPLLRVRGGCGLRPLPLLLSGSGKNGKVVAAAAVASVPADDASGAGRGEGDEAGGIAATVELGAMIVTWYLLNIYFNIYNKQVLGALPLPLPYTITAFQLAFGSLLIFLMWATKLHPVPKLSAAQLGKILPLAMGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSALFLGKVRHLHTPAKQILADSIVRSEAEETAKLSLISRSPSRVQVPSLPVLGTLVPIVGGVALASFTEVSFNWTGLWSAMASNLTYQSRNVLSKKIFAGDEDAMDDINLFSVITILSFLLSCPLMFLVEGVKFTPGYLQSTGLNRQELCVRAVLAGFCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIVSSVLFFRTPISPVNALGTGAALGGVFLYSRLTRTKKPKNA >Dexi7A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:7A:24767611:24769399:1 gene:Dexi7A01G0014830 transcript:Dexi7A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLRVYPSELKIPYEVKKQRSCCMQLTNRTDQFVAFKVKTTNPRKYSVRHSCGILLPRGSCNVTVTMQAPMEMLSDHHCKDKFLVQSVVMQDRATMKDFGPQLFTKASGRVIEEVKLRVVYVAANPPSPVPEEEEEEEDSSPRSEVVCGEKSSPTFDAVSYVINAYRCIGASASEPSCAESTSVLSELVEVRQRAVDKQKIQQKMELLGEKRSSQQGFSLTFVVFVFMSSVFIGHFMNEVKV >Dexi2B01G0033430.1:cds pep primary_assembly:Fonio_CM05836:2B:40998087:41003922:-1 gene:Dexi2B01G0033430 transcript:Dexi2B01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAERELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDQTVTEADLNANFLIPPDESIYGGDPSLIDGEFLDKFDIVVVSGASLKTKLLVNDNCRKRSKHIAFYTIDCKDSCGEIFVDLQKHSFVQKKNGGETEQQELTYPSLQEAISVPWNNLPRKTTKLYFAMRVLENYELSEGHSPGKTTLSDIPAVLAQSLNESQVPTALMERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVIEDIPTPPPCAN >Dexi1B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:1B:4565065:4567760:1 gene:Dexi1B01G0005560 transcript:Dexi1B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPETWRVLEFYSGIGGMRYSLMASGARAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILDLMQNMSHPPQMLFVENVVGFEVSDTHDQLLKVLSSLNFDTREFILSPLQFGVPYSRPRYFCLAKREPMRFQNASVSKKLILTPMCLSQTMNNTSQDRDHQNEKELELVCKPVKDFLVQEADGGIRNETLSQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSENLKLVPEEKLEISSLKELDLRFFTPREVANFHSFPSSFGFPDHISLRQQYAMLGNSLSVAVVGPLLRYLFAEA >Dexi6A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:6A:3559448:3559750:1 gene:Dexi6A01G0003870 transcript:Dexi6A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRRRLHRRRPSSFSLSPPVEDRAAGGESSLLLRCLRARLEERTGSSSSSKVLEEVVRERSGERESLASRACLATPSSCSSTAAGKEGRRKRERDTER >Dexi8A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:8A:16099215:16100977:-1 gene:Dexi8A01G0010020 transcript:Dexi8A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPLAVAAGGVRVSRRPNLSLLAYRCATPRALARVHAAMLVSGRLAEDAFAASRLLAAYADLSPDPAAAALALLSSLTVAPNSFMLNTTLRALASSPDPAAAFPFFSRLRATGALAPGRHTFPFLLKAAARLSLPVAEQLHALAVRHGVHLDAYVANGLVRAYSVAGRVRAARRVFDEVPERNANLYTTVVSACAQNGRHEDAMAAFEEMVREGFEPGGAALSSVLSACARSASGGLEMGRRVHDLMAARGVAEGTILGTALVDMYAKNGAIREALAVFDGMPERRLAHHGHGNRALDVFRRMQQEGVSPNATTLVGALSACCHAGLLDEARRLFRSMEKDFGIAPGIQHYGCMVDLLGRAGLLSEAEEMMRGMKRKADTVIWGALLTACKNHGDVEIAERAVDEMLKLDPSNHGVHVVLSNLYAKAERWQDVDKLRKVMKGARLSKIPGASTVGGSLELQEASPREGADLMAHWSYERNRSMVV >Dexi2A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:2A:30451286:30451492:-1 gene:Dexi2A01G0018430 transcript:Dexi2A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHHPPGRRRPFGLPPPRNPEENSKPLEPPPPAGPASAADPGQPAPAGRAAALVPP >Dexi7A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:7A:20022008:20027086:1 gene:Dexi7A01G0008790 transcript:Dexi7A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQPCAASTTAPAARAAVRGVSRRRVVVEGAASATHGDEEATRRSSSMTCDLGEISSKTLLLVSKRKLIALSAFCFSLHSSRYLSALALGEPSIKIEDVTPKIFPSAPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKPGEVVARVTILAAEGIQKSFEGKLVGADRAKDLAVLKVDAPTDLLKPVNVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVDFAPDPIAYQLNVRNGALILKVPGGSAAAKAGLASTSRGFAGNIVLGDIIVAVDGKPVKGKSDLLRVLDDYGVGDQVTLTVRRGTETLEVTLPLEEANI >Dexi2A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:2A:22084522:22084992:1 gene:Dexi2A01G0013520 transcript:Dexi2A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWATAARSRRPCLLLHCAAREGVVRPRGSPAQGVKEEPRRSSKAKSSSNNVKSSNSRTKSSNNTTKSRTMDVQSSSPIAKLSSPCAALGLMLASLSLSIKPPSSTMQLVDAPRLAVGAFALHPPLTCPSLSPPAPPLGSSLPALLHRHHPTVLW >Dexi9B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:9B:3880586:3883291:-1 gene:Dexi9B01G0006450 transcript:Dexi9B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCRRPLAAALHLAPLSPPLLLFFASASSSCSPTAAASAAGSRGCSAVRMDSGAAETVPSGAVWSAPSAEPRSISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYYGTIEKLVKDLHYPEELLSWEFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEEKVASYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPAGTEYDCYLFIILEGLINFREPLDMS >Dexi6B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:6B:26886816:26887019:1 gene:Dexi6B01G0020440 transcript:Dexi6B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTGRRPRRKTRRSGGCGRARGGGGLRWRRWVDGDRLPHETNRVDRKERSRFTGLGRAVLSMMGLR >Dexi9A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:9A:12027246:12041223:1 gene:Dexi9A01G0016990 transcript:Dexi9A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASATSLLLGGGRLPRFHFQPLRRAAPTKPPFHGPAASSPPLPLRRCRASNWPRPLAAVSAPASSLPVPVTAAPSPRVDDGGWGVAAAAAVRRVAVALACGALAAAWCRRAIAVGAAAAAGAGAAAGAGAPGAVEAAAGFGGAALREAWPRVLQILQLLREHGLILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAVLLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKMLGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDAIATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLNEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKYADAFAAAVRRLLLAGVSPGKKLPPLTVKLLHGRILRFDLLADLSPLLLRALSSSGLHLHAVRVFSVIPNPSHLTFPFALKAASRLPDPLSPAVQLHGRSLKLPCHSNPHVLTSLLNLYAKCGLVHDAHKAFDEMACPSTVSWTALTTAYMDAGRVQEAISVARKAFESGMRPDSFTAVRVLTACARATDLVTGEAVWRAAEQQGIAGNVFVATAALDLYVKCGEMEKARAVFDNTRNKDAVAWGAMVGGYASNGHPREALELFFAMQAEGMTPECYMVVGALSACTRLGALDLGRRAVGMLHWDEVLDNPVLGTALIDMYAKCGSTGEAWTVFQQMRKRDIVVWNAMILGLGMTGHGKVAFALVGQMEKTGMTLNDNTFIGLLCSCTHTGLVKDGRWYFSNMTQLHRISPRIEHYGCMVDLLSRAGLLEEAHQLIKDMPMQANAVVWGALLGGCKIHRNTDLAEHVLRQLIRLEPWNSGNYVMLSNIYSNSGRWEDAAKLRMEMKASGVEKVPASSWVELDGKVHEFRVGDKSHALSDKIYEKLDELGMEMKIMGYKPTTEVVMFDIEDEEKEDTLVDRIARKFTAGGRVDDPARRQEMVDDDEARGLLLPDDVLAAILRRLSPWDLAACRCVCNSASRGSTS >DexiUA01G0011740.1:cds pep primary_assembly:Fonio_CM05836:UA:23439998:23440809:1 gene:DexiUA01G0011740 transcript:DexiUA01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCAPYAVALTVTKKVMSLHGNDFTITDPNDAVVLQVKGKGMMRHHRCVLLGANEQPILSFRGKMLTMHNKWEVFRGDSKSPSDLLFTARCPKLMQLLKTEMDIFLAGNNTDQQFCDFKLKGNYFDRNCAIYLGDSDIMIAQITRKYTAANVLLGRDTFNVTVFPNVDHVFVAALVVLLDEVHSKHRRHRQHFVAGLVTKGLLFL >Dexi1B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:1B:25883646:25887159:1 gene:Dexi1B01G0019660 transcript:Dexi1B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAAAAAAADEVAPAPAEAAEAAKGKAKRGGVLGRMWRALFGGREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEVLAVVYAIVMTRDEDLTWQMRAIRVLPIFVLPAVSSLIYSTVVNFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEGPKLDAAVARSNDAEISRSDGLRNRKQPNARGSRSGSPAAHTPEQGTESIPTANAGLETAPAPMVVEHHQGTGASDGRSTPGVPVDGISSSSSVQEGESSNLTTLQELPKEGSAEKKMEASG >Dexi1B01G0024720.1:cds pep primary_assembly:Fonio_CM05836:1B:29949220:29949632:1 gene:Dexi1B01G0024720 transcript:Dexi1B01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMGEPPWHPPGPEGSVAGGSRDSALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFIKAGEAYDYDPLSQTRSY >Dexi6B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:6B:25808268:25808554:-1 gene:Dexi6B01G0018870 transcript:Dexi6B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSTPALCAAAPPLPNAGRFTPLDPAVEPLPDAGTLDPPSAPLLAPCALVGSLVPTPVGEWNRRKAREGGDKEGRGES >Dexi1B01G0027940.1:cds pep primary_assembly:Fonio_CM05836:1B:32595804:32599372:1 gene:Dexi1B01G0027940 transcript:Dexi1B01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNPSSVCVILLLSRFIDLLHEAPLSGHREPRSIVGGTLYCILLVGFAAVAISAPWIFLFAPDLISPLLCSSNVILLVLTGIFQQYWVHQVRKVRLQGYYEFSQKLKRIARLPFSTIACGTGLMLLIMVWQPLVHILSISLLLRIAIVVEVTCTGCFMGLYIWHIHKYNSLDGQPDILRSLYSALQPSNTFEDQRYYDAHLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDSGTTPQVDLAHLLASRDQELRALTAEMNQVHSELQLARGLIDEKDSEIQRIRVSNSQYVEENDRLRAILGEWSARAAKLERALDAERVSNIELRNNIAKFRGHLYKEQDA >Dexi2B01G0022470.1:cds pep primary_assembly:Fonio_CM05836:2B:32112060:32115080:-1 gene:Dexi2B01G0022470 transcript:Dexi2B01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSIPVAATAVILLLSSMALLTAAAVVEHTFVVSQMNMRHLCRDTLVTVVNGQLPGPVIDVTEGDSVVIHVVNRSPYSITIHWHGVKQKRNCWADGVPMITHSMSTVRKVPCGGIPTSPASGQPCTVLSSFGQDRLDRDLAGGNFNYSPSAATLNGKLGDLHYGCSGAMEEGHVLDVEPGKTYLLRLINAVLVSEYYIKIAGHRFTVVAADGNYVNPYTTDTIAIAPGQTVDALVVADASPGSYYMVAMAAQPTIIVPPFPVTTTRGTVRYRSAGAQQQPLVPVMPDTHDTDTSFYFHGNLTGLQNRQSSSVPTRVDERLLVTLSVGSMCRRQGQSSCARTNAETIIMVNLNNVSFQLPGGATTTSLLEAHYGRGLGAMGLVTLPDRPPVAFNFTDPALVQRGPREAWLEPTTKATTVRRFRHGSVVEVVFQNTAVMQTDSNPMHLHGHDMFVLAQGLGNYDQARDVARYNLVNPPVRNTVLVPSLGWTAIRFPYGP >Dexi3B01G0031390.1:cds pep primary_assembly:Fonio_CM05836:3B:32681021:32689539:-1 gene:Dexi3B01G0031390 transcript:Dexi3B01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVEDEAFCVRVGVVFGFLEAREAWALAMYAHMQMEQACKWHSSLVCGFLFRAPNKTWHPSGRSLASHQTWEKRPPPDLGELAATAHGRRRRRRCRSRWGRSPLKVGQVVVIVATTGCALIGAGYAAAAAGSDAGEEQESRLAVAPRGGGLARRRASNLATPPSRAPLLAWPRLAWSRASPGRAALLGLTPLPSHATAPGLTWTPRSGKGASKGAERARESTEWRRPGSRGGGVEAVGCRMGWERGGATGENGERNEEGRSTQPSLPVPNRKVFVI >Dexi3A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:3A:10816795:10820334:1 gene:Dexi3A01G0014740 transcript:Dexi3A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAASSRSPFLPSSSPVPSARLPRRLPATFRCHYYHGDGGGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLNPAQMEMFMNDDNPYNRLSQKVTEDSVSAARSYDEFGMYTLSGMHEGPASYSMGGMGMGGSMSMGMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINRSKSKVYTINLSMAYGQAAMLLSLGFKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRAAAGAGRWSTPTAPR >Dexi9B01G0035310.1:cds pep primary_assembly:Fonio_CM05836:9B:37007569:37013796:-1 gene:Dexi9B01G0035310 transcript:Dexi9B01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAWRALLLVLALALADAAAARFVVEKNSIQVTSPDELKGKYECAIGNFGVPQYGGTLHGWVEYPKSNRKGCQSFDQFDISFKPKKAGGRPNFHLTWHLVGLDIEKVNKCVGDPDANEENEILKAEQDAQIGHGKRGDVTILPTLVINNRQYRGTLDKVAVLKAICSGFEETTEPAICLSEDIQTNECLDSNGGCWLDTPNNVTACKDTFRGRVCECPIVKGVKFVGDGYTHCEGVRSVMEDAGRKLKMGRQSLPAHLIPFSKCCAGKQGTTATVGWSFLWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGANQQHHVAHADDI >Dexi5B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:5B:4349303:4352928:1 gene:Dexi5B01G0006450 transcript:Dexi5B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDMAAPRIVDDYRGVIQLFSDGTVVRSDPSVLRPPELFPDVPGVQWQDVVYDAAHSLKLRMYRPSSPAPAAAARLPVLVYFHSGGFCLGTFEQPNFHTGCLRLASELPAVVLSADYRLGPEHRLPAAIDDAAAALTWLRDQAVTHPWLADESVDLARVFVAGESSGANMSHHVAVRHGSGQLPLSPPLRVAGHVMVTPYFGGAERTAAEAAEPPLAGAVFTQEMYDTLWRLSLPAGATRDHPVANPFGPGSPPLEPVAFPSVLVVSAGRDILHERVLGYAVRLEEMGKPVELVVLEDQDHAFFSRQPWSEAASELIRVVKRFVHNHHNKTNNLSDRYRSTTPIDPPAMSGDTAAPHVVEDLLGLVRLLSDGSVVRGGEPVATKAEPSSLHVPGVQWKDTVYDAARGLKVRLYRPSPAAAGGGEGNTKLPVVVHFHGGGYCIGSYNEPGGSDYFRQRLAADLPALVLSVQYRLAPEHRLPAAIDDGATFLAWLRRQAAADLGGEPWLAESADFSRTFLTGVSAGANLAHHLAVQVGSGEAELAPARLAGCVLFSAFFGGVERVATETDPPDGVSLTVAMSDQLWRMALPVGATRDHPVVNPFGPDSPDLAAVALPPVLVEAPELDVLRDHVLRYAARLEEMGKAVELAEFAGQQHGFSVLKWDESNEELIRIVKRFVSKME >Dexi9A01G0037790.1:cds pep primary_assembly:Fonio_CM05836:9A:42076333:42079602:-1 gene:Dexi9A01G0037790 transcript:Dexi9A01G0037790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPSPDFMALPLEVPISTPSLILATRFARSDLIQALIERPSGKQEDIFEWQFVILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIDEIHDQMLSKAPPVPQALPSGPNGESDQLPAPDSTGVYTDKDDEGDNITGVSGSSSGLPEPESESGVPENTGETPAAEVIDHHPPEARHRENIPRVPLVPQNPVVAIQKPKHDRLLTLTAFGLTLAIMALVIKKFLKINGLAGFIEGKF >Dexi9B01G0028120.1:cds pep primary_assembly:Fonio_CM05836:9B:30734333:30735527:1 gene:Dexi9B01G0028120 transcript:Dexi9B01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMIISSSKVAAALIALFAVLATCAEAAKSGGGWLPAKATWYGAPNGAGPDDNGGACGFKHTNQYPYMSMTSCGNEPLFKDGKGCGACYQIRCLNSTHDACSGRAKRVIITDMNYYPVSKYHFDLSGSAFGAMARSGLNDKLRHAGIIDIQFRRVPCNYKGLNVNFRVQVGSNPNYFAVLVQYAGKDGAVVQLDLMETDKATGKPTGVWTPMRVSWGAVWRLDTKRPLQAPFSLRARSDSGKTVVAENVIPADWKPMTDYPSNVQFP >Dexi9B01G0033040.1:cds pep primary_assembly:Fonio_CM05836:9B:35234243:35237578:1 gene:Dexi9B01G0033040 transcript:Dexi9B01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSLTPQAVFSTESGGALASATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDCPAARPYSGGGGGRARGVASSHAAAASAAAAAASAAAEVSAIPNAKVAQPSAVVQAERNLLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYAAVAMLSALVPAGGHIVTTTDCYRKTRIYMENELPKKGISMTVIRPADIDALQNALDNNNVSLFFTETPTNPFLRCIDVELVANMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCVSGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKTQMTGFGGVVSFEVAGDFDGTRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDVVQALEKV >Dexi5A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:5A:1713953:1716567:1 gene:Dexi5A01G0002440 transcript:Dexi5A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESLYRRVLPSPPAVDFTSPDGKRLFSEALEGGTMEGFFSLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAKGISFGKVACLAHCSGADVHAHIKAQRSSFGTLHSGTHEDVLQQVRDTKLFMIIHDLQCAYTQCCNCFFSSEDSITRIAASVRCQGATVLSGNLASSDCFFCTVTCCKGVQANGDGPKTIIPGSVVSEGNEQDVDMLLPMSPCITDSCNSNLGNGIIKYPSSTDVLTVLLLALHPSTWLGIINEGLKAEFQTLVSTDNLPDVLKREILHLRRQLYYLKACKDEEYEEPVPLSP >Dexi9B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:9B:7863655:7863939:-1 gene:Dexi9B01G0011950 transcript:Dexi9B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSRSFAAARCGVILAVLLVGCLAGAADARRLLITTAMPPAVADDMDMTMAPALAPSPESGADDGLIPGRMLFEGGLRLAGRLLIGLGL >Dexi5B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:5B:5323884:5324654:-1 gene:Dexi5B01G0007860 transcript:Dexi5B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLHLSLLAFLLLQLHSHANADCEPATCGNLTLRYPFWFGDSKLQTPSPCGHPAFEIWCAERSVASLRFTSFHVLDIDYANNSLIVSNTGVAAAGVCSTYFNMSINLSVGPFTIGHRNRALCFLHNCNGTAPSGPGYVNATSNCSAPIYAYLGGTYHWGKPPPEIAPGGCTYSYLPVLMQEAEITITAANYSRLLKDGFVLEWEMASVGDCDACAATGGQCRYNKSMMAAFWCLCPGGGRAELACAGESLSLTY >Dexi8A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:8A:4200061:4200507:1 gene:Dexi8A01G0004760 transcript:Dexi8A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGQPPSCSYPRWVLLEPYAISTNVVQDDSSCHVTPDAKTLAAATTSTGHRIHVSLVGLAEPPATSSLHVELLPVGDGGIRSYHARIVAAHGLHQDYERRVRTLDLFVYSAGAAADPTRRPPTLSLLPVAPADPM >Dexi2A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:2A:28801028:28802242:-1 gene:Dexi2A01G0017000 transcript:Dexi2A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSQLDEQKLDASIIEDNLYRRGHNGVLQCCILNEKGRSLLRDIHSGVCSHHAAPRSLVGSAFRQGFYWPTAVADAERIVRTCEGCQFYAKKTHLPAQVLQTIPITWPFTVWGLDMVGPLPRAPGGFTHMFVAVDKFMKWIEARTLTEITSEQAVRFFRDILCRFVHGKMFTRFCDNYRIEVSWAAVAHPRTNRQVERANGMILQGLKPRIFNRLVKRIHKLGAKWWRSYPPSCGGTNFSPFYMVYGSEAILPTDVDYGSPRVQAFDEEANTTNLENAADQLEEAREVAVAHSAKYQQGSRRYHTQRVRGRAFQVGDLLSPPWEGPYTIVRVLRPGSYKLAMPDGTHISNAWNIEQLRRFYP >Dexi2B01G0033940.1:cds pep primary_assembly:Fonio_CM05836:2B:41284049:41294531:1 gene:Dexi2B01G0033940 transcript:Dexi2B01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGFGREAGPSTRGPGSAFPAFGVGVATPAATAPSPATPTFPSARPATPTFPSVPPANPTFPSARPATPSFPSARPATPSVPSARATNPLAAAAPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGSPGQPDLPLCSPGDPFLPLCSPSDTFRPLRSRDQPSRRRRPALPEPPAPARRRGYTFSACHHPADAHTRALSAHCSCFRCCCFHAFPEPSPHSRPRRCCRHWSPRRSTSSAPAEVMPATPSVSRAVDPSISSRSRAISAVSNPRADSPADYDNGMGQRRFTNRSLDHNISKRSRSPTLSYKDVDGMEARIDTSANSRRLVDYTDTLIGDENVETSKRMRSPASEFTHMMKSSPPGIRDNIRSSPNSAQNLRAHADVQKEKQAKAKRLARFHVELSRPVENTNDFVKPLKSSADKPKQATPMGKIPLRKNDDTDESTLADMDSPVLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHMYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYYGFGLRHYEELYLVPKLAVEELDIGLAFKERQTRQKRSWSWLNVSELSAPILLETNPDARCLCWKFLVLVPAGAMESQSQTNNLASKWLLRKLMGSGNGDSGLIVSSAGLSIWTEWISFPNTCCLSVVRASDQQVIGNDIANGTSCIAFVVSEGISWEMQKTRLNSLLASIPDQSNLPLLILSGDTYHEGYDYASQYIIDRLGLSDFHGGKIASPLVIFLIENMEDYSNGFFDDDKLREGLKWLIRSLPRQPDITPVKTHELLLSYLNPQLELLNAHVAPGAGPGDCISVFNNAVDQVVEEIMAAAHTDINQWPALEIDLLERTSNERIYAEAFLPSIGWSSPSRIQPLLAAISTCKIPEFSYDLSWLKQGSHMSKQIQDQKKLLQECLARYLTEATPSLDETQVATEVNIMVQRYVGLELRDSYYYIVPRWLAIFRRIYNWRLSKLSTGEFSEAYVQSQHLYQAPAAANSNGATGTQGLTASGSTCDESSILEDHSMMPTVSSGLSLDEIIEVSCDPDDDHAQPASQQPRSPTWIHEEPHAPASIREEPHAPANTNGEANMVHDVNEMYMPRRVESRELVPLERDDKLARLLEQCTKLQDRIDETLSIYF >Dexi9B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:9B:4774707:4776502:-1 gene:Dexi9B01G0007850 transcript:Dexi9B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHVLVLPMPCQGHVTPLMELSHRLVDHGFEVTFVNTEVDHTLVLAALQASSGGEAALGGGIHLASIPDGLAGDEDRKDLNKLIDAYTRHMPGHLERLIADLEAAGRPKVKWLVGDVNMGWSFEVAKKFGIRIVSFWPAATACLAFMLKIPKLIEDGLIDDKGLPRRQETFQLAAGMPPLHTSQLSWNNAGAPEGQHIIFDLVTRNNKLNDLAEMSVANSFHEAEAGAFKLFPDILPIGPLFADGEFRKPIGNFLPEDERCIKWLDAQPDRSVVYVAFGSMAIFDPRQFEELAEGLELTGRPFLWIVRPDFTPGLSKTWLHEFNQRVARRGMIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVSFLCWPYFCDQYLNRSYITNVWRTGLAVSPNADGIITKEELRSKVEKVVGDDEIRERARLFKDAARRCVREGGSSYENFKKLVNLLSE >Dexi3B01G0029700.1:cds pep primary_assembly:Fonio_CM05836:3B:28977145:28977593:1 gene:Dexi3B01G0029700 transcript:Dexi3B01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETYGDDFIRNFVLYTIGFYLCPTLQPHVKSEYLGLIDNIENIKNLNWTSLVLNFLIASIREYKETKAANLKGNVALLQVWYWEKISLSHIHPSLEHHAYEKPLMQYWDDTRATERSD >Dexi2A01G0030760.1:cds pep primary_assembly:Fonio_CM05836:2A:41595558:41595917:1 gene:Dexi2A01G0030760 transcript:Dexi2A01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTSLPFLLYLPLLLLLPQLSHPGATPEPKQNLTLNMKPEPSSTYIVHVHHLAKPSHFATLGHWYTSMVATHSPRPVADHSTRILFTYDTVLHGFAVKLTGDEARRMLFCCSSDYQN >Dexi3A01G0032920.1:cds pep primary_assembly:Fonio_CM05836:3A:37748424:37748837:-1 gene:Dexi3A01G0032920 transcript:Dexi3A01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVTVVAGAASLAVAYGQLLSRPDDLALACAAFTAMFLMVHYAAVAMDDSAGDEGGGDAAAERANAKRVALAMALVLYGLACAEVWDAAASREVAVAALASWCGVAVLLVVYLVVASAGCHCAVGASDVAGYLAV >Dexi3B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:3B:11107844:11111281:-1 gene:Dexi3B01G0015340 transcript:Dexi3B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTTPHHRSLAAGRRRAAGPRRSGPAVLSLSKGPRRRAPATSCAAVATPEKQPTASKLPPPHRPPSKPVSAAEERTDYNEVAATLESIYKLSPAVVDEEHGEGDEATKKNKNKRKGRAGRGTVIVRSRRRRRGQRMDLGKRVEMKQKEGDAGGKREEEREFEEMLLREHSVSTDMGSLDWKRMKIPPVLSSAQSARLFKIMQPMKAIFEVQESLREDLQREPTDAELAEATGMSVQQLRRRLDVGRAARNKLIKHNLRLVLYAINKYYPDMANDERFDDLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEISKAREELAFELGRAPTDEEVIRRVGISQERYRDVLRMTKPTYSLHSRNRVTQEELINEVTDDEAIGADAGKHNTLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >Dexi5A01G0038230.1:cds pep primary_assembly:Fonio_CM05836:5A:39287884:39288486:-1 gene:Dexi5A01G0038230 transcript:Dexi5A01G0038230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGSSSAVTKMAGRRSSFAYHKLKKLPPTTSPPPTTAAVFELEADDDHHHQQLPSTSAAAIEDTYRSYYRALGAAFDRERRPRRRRRRLRRPRLRVSALARALRRRAASVGGKVRASVAKVARRLREGRPYIADLFAGNYMFMQVAPSPTMALDGRGSSFAPFTEYYYAKHHGGGRALPAVHRAAPSAPAAAAAAAGV >Dexi3B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:3B:8146732:8147445:-1 gene:Dexi3B01G0011610 transcript:Dexi3B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSPSGQQQPTGFTTARESGHTGEKELVLLSPYLVNGRCAWLQVCAPPTRHAPAPRAAPRRWVACWNPKKLLQL >DexiUA01G0020090.1:cds pep primary_assembly:Fonio_CM05836:UA:41969712:41970138:1 gene:DexiUA01G0020090 transcript:DexiUA01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPARALLVLVATLAAAALLLAAPARGAWVESDYPSSVPCGVTIPVEQCDPAVEAANAACRDMCHYGGRRGGRCVSPGRVALVQGCHCRC >Dexi9A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:9A:8819634:8824357:1 gene:Dexi9A01G0013670 transcript:Dexi9A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPVLASGSKASTTTPFYLALDHRASTSSSPPAEAPTPPPPAVSDPSGQSNNERGSEIIKARIMSHPLYPAVLRAFIDCRKVGAPPEVVGRLSSLADEVEMNSGDKQEHQADPELDQFMEIYCHMLVRYRQELTRPIQEADEFFRSMEVQIDSFSLDDNGYDEGGGSSDDDEQETVDLAGLTVPAETGSPTGEDKELKNRLLNKYSGYLSSLWRELSRKKKKGKLPREARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPMPPAMALTTDYRLRPYGGGGASGSSASSAAFRMEGQYFSGGSSYPSGS >Dexi4B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:4B:9389161:9389557:1 gene:Dexi4B01G0011850 transcript:Dexi4B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVGMDKRPSLLPRTPGLRGWNLMLPSQTRITAPF >Dexi1A01G0027020.1:cds pep primary_assembly:Fonio_CM05836:1A:32899089:32901371:-1 gene:Dexi1A01G0027020 transcript:Dexi1A01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIDENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRSRAAANHDPYLAAAGGANSMCTTSGAAVAHNAGGGATPQALSASALERIQLHMRLQGLYGAFGDESAAAAPQWPKLEALLQTNKLLPPGSLQTDAMATTTVSVQQHMVDHQSLAGAGEAAVEAEQQLGSGNYMAAAGSFERHSSKLGFYSPSGEVAEAASAELSPCPMASGVYGGGFGPHHDELYDFLLDQSIWHGLLC >Dexi5B01G0032180.1:cds pep primary_assembly:Fonio_CM05836:5B:32792905:32794267:-1 gene:Dexi5B01G0032180 transcript:Dexi5B01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGDLIDYVRFRAVCTHWNSSTVSPHGRGLIDPRFHPRRWMLFPEGHGPYPGHPNLGGYVRFFNLSTGAFVRVHLPLFDDHVVLDSTDGLLVVLRHPDTAVRVLHPFTGDIADLPPVFPLLPQINPHICRRYMTEDMKLRELDVAFLKGVCAAVSVDAAGAITVMLGLDTKRRVAYATAGCERWFLSPWTLPRLRALHVSFEGKLYVSAVNSADENNIYICQIDPPRVSDEGYQSLPEPRMLVKCPLDGPLGTAHLVQCGSELMLAGFNDTWLAHLAVYRVSDLIKGRVVPMKDIGDHAIFFEE >DexiUA01G0003160.1:cds pep primary_assembly:Fonio_CM05836:UA:6500248:6502686:-1 gene:DexiUA01G0003160 transcript:DexiUA01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMESHRTLDSMMALSLRSAATPSSLAVSIPAASAAARRSAASTRAADSCAMLSMIPAYAFLIASTCAKTHRPDQLNFSWFYDLNGFFFACAVVKSYQYTSLTSVMLLDCWSIPCVIVLTWVFLKTKYGLRKFFGVGVCVAGLILVVFSDVHAPDRAKGPNPLKGDLLVIFGSMFYACSNVTEVLPFLGYAVVMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFACTAAGIIIYSYKYVSTVGYGGILACLKTWFSISTDTETEASGILVARRGSKEAENTAQVAGASDEQGKQGDEEAGAENPA >Dexi9B01G0031030.1:cds pep primary_assembly:Fonio_CM05836:9B:33480768:33481550:1 gene:Dexi9B01G0031030 transcript:Dexi9B01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYTTAAEAEAALGRALTWPEAAWFRYSSATPDYCLHFHIVLILSVVYTLVPLPLVLLELRASAKLTSPAYKLQPRVRRRTPADFIRCYKDTVRALAPVTGALQLLSYPAVKMVGIRTGLPLPSVGETVAQLLVYFLIEDYLAYWVHRLLHTTWAYKKIHRVHHEYTAPNGFAAPYAHWAEVLILGVVAFASPAMVPCHMTTLWLWFALSGIQSIETHSG >Dexi5B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:5B:3376442:3376795:1 gene:Dexi5B01G0005030 transcript:Dexi5B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMSSQDGSHVEPEGGAMEFKELRHSRSVGSVGTQRRRNDGAEHRRRNDGSNQGFHTRRVAPALDPPSPKVHGCIFCGIFRKAGASEY >Dexi1B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:1B:1442896:1443258:-1 gene:Dexi1B01G0001780 transcript:Dexi1B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRAGGRAGDDERVRWRRVTACRSSAESSSGLELLHGRRLLELRGLELLLELTVEEDSCSISSRSHFFLAAVASPALELRPLSPSSAALSLRWLG >Dexi4A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:4A:16205983:16208352:1 gene:Dexi4A01G0014250 transcript:Dexi4A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEKTIVWFRRDLRINDNPALATAAKDGSVLPLFIWCPADYGQYYPGRCSRWWLKQSLAHLGKSLESLGCPLVLIHAEDSTLAALLECVHSTGATRVVYNRLYGIENVCGCSIDDLGLESSKDEESSNALLSRAWSPGWHNAENMLEEFVYYGLLEYSKHGMRVGGSTTSLLSPYLHFDLESDILGWQYISGSLPDGHELSRLDSPETP >Dexi5B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:5B:754309:758079:-1 gene:Dexi5B01G0001180 transcript:Dexi5B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRAALRRGRPAVAGILRHRSIPSSRSLPPPPPLGPALPPLPRLPFSYGLGYSTAAEDLVPPARPKGKARKNPMKQSRFDFTKVDTALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTLVAMNKSESLDEHGVLTAAAGEAHKLGFGDPVAISAETGLGMAELYEVLRPLFEEYMSQLPNNGLNQDDPTSEVETESVEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKIAKSKSSMNHPEVVIARQAIEEGRGLVVVVNKMDLLRDKQHLFEKVIDAVPREIQTVIPQVTGIPVVFVSALEGRGRIAVMHQVIDTYENWCLRLSTSRLNRWLRKVMSRHSWKDTATQPKIKYFTQVKARPPTFVAFMSGKTQLSDTDIRFLTKSLKEDFNIGGIPIRIVQRSIPRKVSAKSNTRHVGPRIARMRTDKRTTVSDPTQS >Dexi4B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:4B:8298859:8299299:1 gene:Dexi4B01G0010920 transcript:Dexi4B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETTAELWVIAIGTTLFVAVVLATKRSWCDQPPPPERQRRNLTPEQSQRAALAALAALQPPVAAAAVLPRFLYAQGRASETLVCAICLEALRDGELCSEVPGCRHVFHGDCVGAWATRNGSCPLCREKIVKGLGGAAIAVADDMV >DexiUA01G0020780.1:cds pep primary_assembly:Fonio_CM05836:UA:43094312:43095284:-1 gene:DexiUA01G0020780 transcript:DexiUA01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHHPQILFSIVADQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVKVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKYMDFAADESSLGLEAMELSGPR >Dexi5B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:5B:8098943:8103439:1 gene:Dexi5B01G0011420 transcript:Dexi5B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNERAEVRRNRYKVAVDAEEGRRRREDNMVEIRRNRREESLLKKRREGLQAQLPVPASGVEKKLESLPAMVSGVYSDDNSLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSGSDDVREQAVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPQLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQAVINGGIIAPLLQLLQTAEFDIKKEAAWAISNATSGGSPEQIKYLVAEGCIRPLCDLLVCPDPRIVTVCLEGLENILKVGEQDKAAGVTGDINVFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEAYWMDEEDDAMATAGEAAAPAVFDFSQGGNPPAGGGLSFN >Dexi5A01G0028260.1:cds pep primary_assembly:Fonio_CM05836:5A:31561774:31562159:-1 gene:Dexi5A01G0028260 transcript:Dexi5A01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIEAGKSKAVKWGNKLGYIILPFHIAMHDDPLEYVRTAKKTVDRKKSSLEALFTHLLLCSAA >Dexi9A01G0025690.1:cds pep primary_assembly:Fonio_CM05836:9A:26372455:26378143:1 gene:Dexi9A01G0025690 transcript:Dexi9A01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVMGKLGVLIGPDVSLLWKFKDDLESIRSTLLTLQAVLNDAEKRSSREERVRLWLKRLKFAAYDIHDILEEMESKNDMQDTVRGIALQKVSQFRAHIPIARKMKKVRQRATFSSISEDIVGRAMEKETIVAMLMAYSEEEILTISIYGFGGLGKTTLARLAFNDENVRRVFDYQVWVYVSMKFDLKKIGESILSEIDGGNCGHANLQEVSRHIQRVLASKKFLVVLDDLWEENGFQLLKLKEMLSGGAKGSKIIVTTRSEKIASLMRPCTPYKLDVLSDDDCWILFKRRAFVPGMDDPRIEGIGRDIVKKCNVFSKGVVIDKDMLIQQWIALGFIQPASGSLTLEKRGEEYIHELVSMSFLQASMISSLTLTNLTNLEHLNLACCISLEMMPGYYGCLKKLKRLNTLRLSTNYWYRDEGANIVPGGMHQVYRAKERYTPHMSNCSS >Dexi4A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:4A:869623:870003:1 gene:Dexi4A01G0001320 transcript:Dexi4A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMEARYVKVASRFFLDVGKGTNRDGGGHHHFLDACFLCKRDITTDRHIFMYRGDAAFCSDDCRQDQRAMDAALKAARRRHRCLLRSASLPAAASAPPVPAAADAPAADRRREPRRPVVHLRPAR >Dexi8B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:8B:2119621:2122864:1 gene:Dexi8B01G0002980 transcript:Dexi8B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLLRRRRPDPAPPMPPPAPNGGKVTPNLAMDADATRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEVNGIWFYKQQDCEAVASLFGRILNAYAKVPPKPKVPTSKSEFEELEAVPTSAAIDGPLEPLPASTATVLDAPDESLINYFSGATTIGSVSNTPLAGRGHMSTESAASSNVPLIIPSVAQIHQPPPSVPSSAPPLPIQDANTHASRSTNLVTPAFFAPPPSSSTPLAPPGLSMMPTAPPLHPPSASAQRPQYGTPLLQPFPPPTPPPSLTPAHNERHVVTRDRVKDALQRLVQSEEFIDLVCRELQKSLV >Dexi8A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:8A:27304747:27306374:1 gene:Dexi8A01G0016140 transcript:Dexi8A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPRSSIADGEQAAMAHDGATAPLLPSSSPPRRNIFAFLCAALASMTTILLGYNLALMSGAELFIREDLDLTGVQVELLTGSMNLFMLASILVAGSITDRLGRRCTLVLANAFLMACALAMALGASFATLMAARFVTSVGAGFSRVVAPVYNADISPPSTRGVLSSLLDLRVELRLRRHANAPRVARHVRRRRGPAGVLAMPESPRWLAMRGRHDDAHAVLMRTSDTPTEADLRFREIKHAAAASPSAATGVWKEMLVSPSASVRRIFVCVLGLQFFQQASGIDAIILYTPLVLQKAGISSNTSIVLVATVGVGVVKTCSILVATLLSDRHGRRPLLLASAGRSSSPTRRRRGVFRGTSLGTAVNRVACAVVALTFISLADWITMPGCFFLYAGVAATTCVFVYTQLPETSGRSLEDMDVLFSQ >Dexi2B01G0025640.1:cds pep primary_assembly:Fonio_CM05836:2B:34897036:34897329:1 gene:Dexi2B01G0025640 transcript:Dexi2B01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHALVFPVPAQGHINAMLPFAAALVDAGVFVTFLHTDHNLRRASSASVAASPRLRFVSIPDGLPDDNPRSVADVLELDRSLREVGSVRYRAL >Dexi9A01G0036590.1:cds pep primary_assembly:Fonio_CM05836:9A:40987883:40989826:1 gene:Dexi9A01G0036590 transcript:Dexi9A01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSVMAPLLMLLLLASRCAAAAAPRHGREWGWEEGEGEWRPEKEEEGEGKGSKGLFVLDRLEKVVESEGGQVRVVRGQPWPPGSFVSREGLMHIGFITMEPKTLFVPQYLDSNIVLFVRRGEVKVGYIYKDELVERKLKMGDVLHIDAGSTFYMINTGKGQRLQIICSIDASDSLGFGAPYQSFFLGGAGHPASVLAGFEPKTLAVAFNATYEELARVLLAQTRGPIVYYATEPGSGDEGNRGARCRDAGAWRPGGRGEEDEECVGNDDAQPTTWSWRKLVNRFIGGADSVDADANRKKRGAPKPYNLYDSKPGFRNTYGWTIAVDKHAYEPLKHSDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGKVEVVFPNGSLAMSAVVRAGDVFWVPRYFPFCQVAARGGAFEFFGFTTSARSNRPQFLVGATSVLRTMLGPEVAAAFGTREEDFRKLVRAQEQALILPSFPEKGRREKRGKKGRGEEEEEEHGKGRKEEPLVIEQVAKE >Dexi2A01G0037240.1:cds pep primary_assembly:Fonio_CM05836:2A:46837780:46847254:1 gene:Dexi2A01G0037240 transcript:Dexi2A01G0037240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAAAIFPARFAAAPAVAAAEELRSPLLRVLGTLRGGRGSVLLGRRARFCSNSSASDSEAVAAAAEAEAKAEDATVAEGEADGKASSAIVPTNPQIEDCLSVVALPLPHRPLFPGFYMPVYVKDQKLLQALIENRKRSAPYAGAFLVKDEEGTDPNIVTGSDSEKSIDDLKGKDLLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLREVLRASSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIESKKDKCPSHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTEAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPDQEALSITENEEPSNATEKDEILKDAAVEDASVTSNMINPASEEANELNIAKETVVHEVHTTEAPKEDGVSEGKDTDGAKEDAADKAIKKVVVDSSNLGDFVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGEGKGALVLTGQLGDVMKESAQIAQTVGRAILLEKDPDNQFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAIKTLIFPSANKRDFDELASNVKEGLEVHFVDTYSEIYDLAFQSDAGAETS >Dexi1B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:1B:4401832:4404461:-1 gene:Dexi1B01G0005420 transcript:Dexi1B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVFLLLRKTICHTKSTLITLKL >Dexi3A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:3A:11464087:11469481:1 gene:Dexi3A01G0015410 transcript:Dexi3A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSVGEAAALCGSGLIGRRPSRERIGISGCTEWRSSAPSRPDSLLNRQWCPLHDPSVGESSFLRHHPLLSSPVRSNTVRPSGVRVRLRKLRIASSVALLPPIADDGGTSISGSMASCATPASTPSAWFSPWGHTLVSFLLGLFPVLIAWVYSEILEYRKSLSHGKVHADASLDNGTIKEDDKTVLLEGGQLKSPSTKFRNLSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFSGKSILYLNRHQTEEWKGWMQACFRSSGSIINIYLFSFNSGYKDPSPAKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTLSLMAGYLWYEYIYKLDKVTYNKYHPYTSWIPITAYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLCFVPDYPMLNFMLTTAIYLLLSYRVFEITNVLKGAFIPSRDNNRLYQNFIAGIVISVCLYFCSLILLKIPVV >DexiUA01G0017100.1:cds pep primary_assembly:Fonio_CM05836:UA:36259675:36260210:-1 gene:DexiUA01G0017100 transcript:DexiUA01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKAETASTPTPTTTRSASHKSEKAKGKRRIPPPRRTKQSPRAPPTMSSMMSALSNWLVNPRRNPLARLHMHAVSSRLRKYGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRAMDLSMKHQYLPEDLQ >Dexi9A01G0032260.1:cds pep primary_assembly:Fonio_CM05836:9A:37165650:37167653:-1 gene:Dexi9A01G0032260 transcript:Dexi9A01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFELSSSQGPEAGLILFRKVPHFRILVCGGDGTVGWVLDAVDKQYYESPPPVAILPAGTGNDLSRVLSWGGGLGTVEKQGGLCTILHDIEHAAVTILDRWKVTVEDKKSKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDNTFVDLPWQVRLEVDGTEIDIPEDSEGVLVANIPSYMGGVDLWQNEGEDSENFDPQSIHDKMLEVVSITGTWHLGTLQAFMLRRAIEEPLGHAAAIITDVLEHAESGHVITASQKRALLQEMALRLA >Dexi1B01G0022790.1:cds pep primary_assembly:Fonio_CM05836:1B:28466042:28467630:-1 gene:Dexi1B01G0022790 transcript:Dexi1B01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISFGDLKIVDEEDRYLVSSRDSRDAACQLKAKNDAKKKTVKKKRAKMAVNKKTMPKQSIRKTELKRVLGPDWYVDDRNQCLLEPNLTWEQKMVNILHMARCREFTEYNHKMGISVQTRFCYFNIAFFDMDKESEGLPWIPIHKIPSPRHRWLVDSVNVVAIKIVESDRDYPISVFGTVLARDEYDYRCVYLFRRGRDEPQVITSKDDALTLMGPYRALAVSDSMFFEFNLKIKGDGDVDEDFSKGCLEHSAVRHSRQPTTRSLGSCLSKVEMVYTPVQDAVEASFAVSILKGPSDIIDKVTAWTTRNEENKIVLYNKKAVASVGGGRSVHGLVAVPEDEELVLRVCVFEHCEHELGKCFELILGHDIEDCFVEQEPYELRVKICWRGVVNRRGPSSWGRPNMWRRLGGSDELLLL >Dexi9A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:9A:8675970:8683598:-1 gene:Dexi9A01G0013470 transcript:Dexi9A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFNITSSFILVSIHLHRLRDHLVHPCPFAATHSLSLQFTQLPFHGGQLQNHLGHRHDCVWAAPSQLLQIEPLENTIDASWGIRHLRSLTTLVLLTSFNLQIFDASPLPGSYRLAVISPLVFLAGEVALVVSGFGRGDSPPRIPEDHRKAPCPSPSARKGSEVPSALAGRPLLTVVPSRPGIAGELRTQSRASPSPRSMIRVRPRAGGGGAGDGRPQILQFAEQGWPPATRGSWSGAAGRGPGSPRSQDWASRITAHTRKIGTARTKHDASNIPIRLEDEHEPIAIETYKCQLILVGNAVGLDPSRGFFMAAPAAARKRPALDDEAFPAKTHKKKRVLFSKRYSFVSIYDYEMVEEIGEGTYGVVAKAQNISTGAKVAIGEGIYCRWPGVNSLLRLTAADALKNRWFAEDGELAAEPPVTVQAVVEPLLPESQQSFGNGSPRRSAILPPRLLPIKAGTFYQKTHGFTSPPRRRHPAETRAPSLRHSVVVWKPTMVKARDITITHGSKGLEGAEATPTIVAHDQQAGFLSDYHLAATTFCGKFVKVALRLRKGRSTLVEVGKWLKETRLGGRS >Dexi3A01G0036060.1:cds pep primary_assembly:Fonio_CM05836:3A:41459176:41461337:-1 gene:Dexi3A01G0036060 transcript:Dexi3A01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIAVPGVLLLLLVASSSLLGTVFGESVLGRKAAGGGDDDDETTTAAATKRYAVIFDGGSTGSRVHVFKFDRQMDLIKIGDDIEFFAQVKPGLSAYAGEPQATAGLRLIGDEKSEEILEAVRNLIYSKSKFQYNPKWITVFEGSQEGSYLWIALNYLLGKLGGDFSETVGVVDLGGGSVQMAYAISDGAAANAPVVPEGKDPYITKEFLKEKQYNLYVHRASQVGIIDEDAPNGKSTAAAFADAALKVCSLSLEDATAAYPKAWDVEYLCMDLVYEYTLLVYVWVFFLISTGKAD >Dexi5B01G0031440.1:cds pep primary_assembly:Fonio_CM05836:5B:32181169:32182719:1 gene:Dexi5B01G0031440 transcript:Dexi5B01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGGGGGGGLVCVTGGSGFIGSWLVRLLLDRGYTVNATVKNLEDEGETKHLQALDGADARLRLFQMDLLDPDTVRPAVEGARGKALVEPAVKGTLGVLRAAKDYRVGRVVMVSSQTAMVPNPKWPADKVIDEDSWADVELLKKLELWYSVSKTLAEKAAWDFAEKQGLQLAVLNPALVLGPTLTPSITGSLQVFLQIMRGQRFDMDHYFLGCVDVRDVAQSLVALYENSSAQGRHLCLESAERMVDFTNKLADLYPELTVQRIQEDKQEWVVRGKEPSKKLIKLGVRFIPFDNIIMDTMDCFRSKGLI >Dexi2A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:2A:33516050:33517401:-1 gene:Dexi2A01G0021420 transcript:Dexi2A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHKTGGMMLEHISGLEVDNVRMRWARGSLKGWDVNPLLFRPSTIDQLSFHDWHPAQLQQAGTPANPRSQAAVSQPQRNLPPRRAPKSTTSSPTPISTHTQPSSMRAISSAAGGMLRARLRGAARVRGGGEGAGRWTTPGHEEQPKGYLFNRPPPPPGEARKWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHQKALERLQQQELAAADAQAE >Dexi9A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:9A:12808923:12814105:1 gene:Dexi9A01G0017750 transcript:Dexi9A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSPPNDDSVPSADDDGGSAAAATPTSTRPKFTPSSTWRKKPSQKGRPRLRLVKHPRATTPKRPVAPRSSSKRKAAAAVDGAVDARESHRRPAVRRKLDLDGEHGPTSTKISNAPATVRSGSFRRATLMDNLRSGFAKLALTADEPPPPPTSPEKINLRCFGRVQLMENLRSLAKIHNLLAAVVTDQAPEDNSKGKKSISKKAKKKPPMVDELVLVPYNARKRRAAGADDEDPFRALVVHHEFAGELVPRWTSVEFVRVKHPRGLRLVKGITPAIEAAYGELMQLEETCRGRDLPDDVPDSPQLDEKRRVLEARVKRFMEQARRIIGDRKFSMWKGSVVTSVVGTFLTQNVTDQLSSNAFMNLAAEFPLSKNGSNVVKQGANVPFIKDGCDLGESERADAGSNDEGGSCCDKGIEELIAALRTGEVANWGKDRIREVLYDSFEKPTAAKIFSDIASMGDTSHWNSLLKEAYDNGYRKGNTDDTVDWEALLNSPFAKIAKCIQDRGSQFQMAFRILAFLIRIKRDHGSIDLEWLRYVPRAKAKRYLRSINGLGAKSVDCIRLLSLRHRAFPVDTNVARIVTRLGWLELEPLPDSQEFHLVSAYPVMADIQKYLEPLLCKIPANEVYELHCQQITFGKSICTKRRPNCGACPLTAECKYYQSLANRACRALPGYSQQHERAQTKMGGRPSPSPERMHQYQIEMTQDTERHCNEPIIEFPPTPPREDLVAQSDEEYEQDVCDHIEEAGFHDFDIEDVASERNVMEDVLDGVIDLRSSKSTENAGTWPAHGKEMIPIQPRVSSRPMIKRYRLRTEYTAVPDDCNPYLLIIQSFDEHIVNATILIPCRTANENVFPLNGTYFQDNEVFADHSSSRSPIEIKRRQFDFFNEQCVVYFGTSIHSVSKGQTQEGIHHFFYEDS >Dexi9A01G0048970.1:cds pep primary_assembly:Fonio_CM05836:9A:51708738:51712205:1 gene:Dexi9A01G0048970 transcript:Dexi9A01G0048970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGRRSGGEGGEVGKSGTGSGGQSCSSHWGRLAGVLLVLLAPLLLLLASIAALHYCIRVY >Dexi5B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:5B:11672141:11673366:-1 gene:Dexi5B01G0014800 transcript:Dexi5B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAPVTAGHRFDYDVIVVGAGIMGSCAAHAAASRGARTLLLERFDLLHGLGSSHGESRTIRDAYAGARYPPMVRLARRLWADAEAEVGSTAVSEHGGGVLNAAEAVKMFQALAVEKGAVVRDKTEVVDIRKGPEGEGGVVVATSAGEVFTGAKCVVTVGAWTRKLIKSVAGVDLPIQPLHTMVLYWRIKPGHESELTADAGFPTFASYCDPQFVYSTPSLERPGMIKINYHGGPPCDPDDRDFVSGGGDVVERVARWIDEFMPGHVETAGGPVERLPCMYSMTPDEDFMIDFLGGEFGEDVVIGAGFSGHGFKMGPAVGSILAEMAMDGEARTAVEAGVELGHFKINRFDGNNMAKDKDDKVL >Dexi5A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:5A:3398262:3404861:1 gene:Dexi5A01G0004520 transcript:Dexi5A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWQHDRAPPCPAQPVRFHGAASAMVDDASAAAPLVPAMTTRAPPRQQEKGRASQHKTSSSSSSVGGRRTDRAESAASARCRRGAYVRAGSGHAALAPGPRAGDPGEGRSRLQLPAANVRALFASLSVLSLCLRASGSALLSFFASRVWVREERRGAGMGSFAKLARRAVETDAPVMVKIQELLRGATDVMSLAQGVVYWQPPESAMNKIEKIIREPAISKYGSDDGLPELREALLEKLRRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGPCDSKTLHPDVDWLEKVLTENDPIPKLVTVVNPGNPSGAFIPRPMLERISDLCKKAGAWLVVDNTYEYFMYDGMEHYCLEDNHIVNLFSFSKAYGMMGWPDGFHDQLLKVQDNIPICASIIGQRLALYSLEAGPEWIKERVKDLVKNREMLVEALSPLGEENVKGGEGAIYLWAKLPDNHSDDFEVVRWLANKHGVAVIPGSASGGPGYVRVSFGGLKEEDTRLAAARLRRGLQELVTDGMVQ >Dexi1B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:1B:24133935:24136394:-1 gene:Dexi1B01G0017790 transcript:Dexi1B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRYKNIVTLLGVEAEHTAPLKFGSWLITYRGIAKKIRYTTPSASHKISELIAEARRECPNCSHVIDNSDVAMEWPGLPAGVKFDPSDVELLEHLQEKIGLGGLKPHVLIDEFIPTIDNDEGICYTHPENLPGMKADGSNAHFFHRVAKAYGCGQRKRRRVISCSDTTVTDEHVRWHKTGRSKPIYDNGVKKGWKKIMVLYKISQSGGKPDRAHWVMHQYHLGEEEDEKDGDLVVSKIFCQMPNKSMEVSETETAYDEPDVSASVIGPKTPKTTAPQIRHSNNSPCETEQNVSILQDQLQLQDEEEPTISIVSLEDGAVNPAWCTGAEEQQAVGGESRAQLNPDEHLLCLEDPNSLDYPILSQCRNEILDRNLNTFYGLPDLHNVDLGTPPDLQLADFQFGSQESLGSWLDRI >Dexi7B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:7B:24730646:24740388:-1 gene:Dexi7B01G0019070 transcript:Dexi7B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERNVSNRRELAFAARKMAPPANGDDDHRQASWREEATASGPSTERVFEGEPVPAASEMITARSVAVSIVLGATLSVMAMRLSLSSGYLPVLTVPAGLMSVFLSRSWVRLLNGCGVAQLPFTRQENALIQTFVVACSDIAYSGGFGSYILAMSRSSAEDGGADDAGGRNNVEEPHMGRLVAFLFLTSFAGMFAVMPFRNSLIIRHHLTFPTGTATAHLINSMHTPHGAKQAMCWLFAGGDGCGFQSFPIFGLAAARNGFFFDFSMTNIGVGLFSPYNITISMLAGSLLANAVLTPYVKTKEGIWYPRGNHFAYGMFIGISMVLADGLFHLLCILLRTLRAIHKHRHSQLAAQPFMCLGVDDRPPPARSFDDRRRAQVFLRDRVFDPAAVAGYVALSASTVVLIPRLYPQLRSGHAAFAYLVAPVFAFCNAYGTGVTDVSAAPTYGRIAVVAFGSWVGIDNGGLVAGLAAGVVLVSAVSTASDLMQVFRTGYLTLTSPHAVFVSQIAGTALGCVINPLIFWMLYPSVYNGGGGHVASYSKMYRGMVELALSQQVLPRHSVMLCKVFLAMVLAVGVLREVSARRGWCVGRYLPCTIAVAVAFFLPPEIPVGMFVGSVVACLWRRIDGGGARARLPAVGAGLICGDGIWSLLRTMLLVSNAQPPMCIMFLQDREVRVRQPSVRRAQMEPPANGHDGDRRRPREEEYAAAAAGPSTEQVFEGEPVPTPSEMITARSVGVGVVLGAMLSIVAMKLSLTSVYLPFLTIPAGLMSFFLSRWWVRLLHGCGVAQLPFTRQENTVIQTFAVSCTNIAYTGGFGSYILAMSRSSADDEGGIANSGRNVEEPQIGRLVAFLFLTNFIGIFAVMPFRNSLIIRHYLTFPTGTATAHLINNIHTPQGWLFEGGAHCGFRSFPIFGLAAARLGFTFDFSMTDIGIGLLSPYKVTISMLAGSILSWGIMLPYIVSKEGCWYPSGIGGLNAYRWFIGISMILADALFQLVCILVRTLRAMHSRRQSRLSGQPSICLGADDHRRPARSFDDRRRAQVFLRDRVYDPAAVVGYVALSAVSIVAIPHLYPQLRSGYVAIAYLAAPLFAFCNAYGTGMTGVNLGPTYGKIAVLAFGSWVGLHNGGVVAGLAGGVVVLSAVVTASDLMQVFRTGYLTLTSPHAVLISTVAGTALGCVINPLIFWMLYGAYGGGDGAPVTPYAKVYRGMAILSVSQQDLPRHSVLLSKVFFAAALAISVLREVSERRKWRAVARYLPCNVAVAVAFFMPPKVPIGLFVGSVVMYLWKRRDGEGARMRSPAVAAGMICGDGLGSLLRSMLMLSRARPPVCMMFLSRGANKRLDDIFAERMMTTLRTIHAITGTAAQSPPMDRSGHSPLANDATPTQRPTPERRSCPAPDAAPAQRPTPLLPSAHPATAPPLPAPPVGPRGHRSFFRLLPPILAPLSAFSGAPKVEPEPLRPFGRASVEAVAGAGAGAGALPNGPYLMTTSTAAQLSAIRLLGSEKEEELKIHFLLVLSSSLLVGFHRPRAPLPIQIGDPIRGRRLSALMAIRGPSIELHRPAPLLSGGRGICFSLSAAKQCHMM >DexiUA01G0002840.1:cds pep primary_assembly:Fonio_CM05836:UA:6082132:6091153:1 gene:DexiUA01G0002840 transcript:DexiUA01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDTSGSNANQQGLEGQQQPPPPPPPQQPPTWQQLYATQTEILLNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLATHPLIFSRADEPLEADTWIRAIESKFTILATPCTSNRKIVFTAQQLRGPALLWWENYLALQQEGHVVELDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYAQAFNGLCPYAGHHADSDEKKLERFRPTYGDLVNLAIAQEDANMVHKAEKKRKTPAGPSSAQPQRFRLDSPGHLREVDGLLGHHSLMRPQQQAPRQNAQPPARPGAGYQCFKCGSNSHFIKDCPQNKQQNQRPGNQQNKGKQQQRVQVRQGWLNYTTLADLPEGAPDMTGTFPICTQPAVILFDSGATHSFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTRKVPIRLGTNWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCVNACAYTMVETQLKDIPVVCEYADVFSDDLPGMPPDRDIEFVIELQPGTTPKSKRPYRMPPNELAELKIQLQDLLDKGFIRPSVSPWDKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGARDSLAQSFNTMTLNPPAPHLSGTSIPVRPGWHANLPNSHTGLGVATDLVAPIRPSDTNGRRNASLQSRLPDVQATKRAAAFSPHQPLSEFEAKQVVIADAGPVTIPRLLGDDRKLAVPAGPQAMSPGQYSAGADPTVADEVIPADPTTAASSDAGEQLLCTSSLPQRFSSCDGKEELYPTKRETNNIDVGSKACWGGIIVAPTCVLVDAPMVVVVDPKLMSAGVDDERDRGGVPAGVLAVVADDELGWVVVGGLDLPADPATALALLLLRPCPWTPPPPLPVVCRAESALDRLLIIPRHGVHHRRARRRRPLMKSIRLSTTARGAAAAGGETTGERPPPKPLSNKLVEVRRIKFMHVHLPCPAVARAIGSSPRSSGAAAARIAACFYCWEQKGAKEGVAWKNMQADVGPRMDREPTKLAVIAVTQHGEVTGAAESRLQGSCIWS >Dexi9A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:9A:14267844:14268728:-1 gene:Dexi9A01G0019340 transcript:Dexi9A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIQHTHLPIRGLNLHVAHVGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEDEEVSWDDLIADVLAILDAYSIPKAFFVGKDFGAMPAYEFALQHPERTCGVTCLGIPFNPAPVSFDTMPEGFYILRWREPGRAEADFGRYDVRRVVRTIYVLFSGVEIPTAKEGQEIMDLADLSTPLPEWFTEEDLDAYAKLYENSGFRYPLQMPYR >Dexi3B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:3B:7967123:7968017:-1 gene:Dexi3B01G0011350 transcript:Dexi3B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSMCTEPMKRRRAEKDLDGKVAAALRERARSRQRTFRSVNSITMRLPRFKDGLRDIKDVFDQYDEDSDGTIDNEELRRCLGKLQVHMSDREADDVHRYCDIDSRRNGIQFQEFAVLLCLLYLLFGPDVTRRVSEFESAKLNYVFDELIDAFIFFDHDGDGKMRRRDVTRRMNEASHQERTPSHITAQLFKEMDIDRNGRVNLKEFLYSVIRWAGHETEDDASNEGSP >Dexi8A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:8A:63161:64938:-1 gene:Dexi8A01G0000090 transcript:Dexi8A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSATIQQQLLVSNSNRSMGDSSSVNNHQDLEQNGASFDELLMRPGLR >Dexi7A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:7A:26223208:26230261:-1 gene:Dexi7A01G0016370 transcript:Dexi7A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVRDPPRLSAGNLPSCALRAGLPLPAPSAAQKDAASKQPTDSSLSSGSSLQQEEEKASADALERQRLYEAALARAAAAEEEAAAAAQARADALKRAAQERAAAAALVAPPPPPPDLISGAAPTDLRIWCPSNFNRWRDQFLLVLQRYSLTDHVLRDASDSSPDWDRMNSVVKSWVLGTLTDDIAEAISSHGTARAAWLAVEKMFLGNSEARSIQLETKFRNFVQGDLSVTEYCRRLKKMADDLSALGEVITDRTLVLNVIRGLNDRFAQARDDLLLEEITLENRPSAPAAALTAQTKNQAPDSSQQPASGSGGGNSKSSNRRSKRGDNNGGNGGGHKSSGAPSQQSGGAGGAKPAAPPSTPWPTYYNPWTGTIQMWPGPRPPLAPLPRPPQQQQQQAFVAQQQPAAAPNAAQQWGAQALYNPMVGLPPAWDQQSLAANFSTATLNPPPSQDWYFDSGATSHMTSSSTHLSHSTFPRCNSSGPLYPLRLPSAHSLVAKTASPLWHRRLAILFGATVKAVQCDNGTEFDNLSSHTFLLTRGIHLRLHASAYWAEALSTATSFLNILPTKTLSFSTPHFALFGKPSPYDHLRAIPLTIKDTAALTFPRIGPATFDFLDASDSVSVPIGPHKFLPAGTGASIPVAPSTAGGTPSLPTAGPPDRSLGARALHPTCAACLGVLVALLRATHGHINPFRATRGTGLLRATRSHVTFRAMRDTGLLRATRGHGRLRLSDSCVLSPASRWTLPGLGPDAYISECVRFPARLPSTCGCTGAGPAPPVPAASMLPPAAPPVIPAAAPVPSPAAVPRGAVAHAMATRGKTGFRVPARVPGQLYHTASLSPVPKTLPAMEEEHDALLKNHTWELVPRPAGANVVTGKWIFKHKFNADGSLERYKARWVLRGFTQRPGPVHQLDVKNAFLHGTLTETCKPCSTPVDTNPKVAAADGSPVADPSDFRSLAGALQYLTFTRPDIAYAVQQVCLHMHDPREPHLAALKRILRYVRGTLDLGLMLRPSSSSDLLVYTDADWAGCPDIRQSTSGYAVFLGDNLISWSSKCQNTQSIAQWPMEWQRPLGCVSFFRSFILRFRVAIGDLRVLHVPTSSQYADLFTKGLPSSLPLGSVAALDTRRRAFIWAGTDKVCGAQCLVAWEKMCVPKEQGGLGLKDLRHVNLATLEGDMDGGHWASLRSLLPLYRTLTTVQVGSGTATSFWDDAWLRAEPLAELLPALHSHAVRPNASVHEVLRGGLEGHLQQRITRVGLTDKEKLESWLEGFHLPGGEDEQTCMLQGARGELRTSLLYRSLMAAAGTPCPHAHFVVQFFVWLLIQDRIQCKANLLKKSVVQTYECELCHGGEETTDHIIFGCPTARSFYLPRPPAVPEAHYSVFIFLCCWRIWKHRNEVVFRAEEPRYFAC >Dexi9B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:9B:2420400:2423366:1 gene:Dexi9B01G0004220 transcript:Dexi9B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRRKVLEASRRLPPLFSAASGGRAHAVSSLAAASARWAPPAAAGSLAAAPWAATQRRGAKMLGSDVKLGNVIQRRGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKIVERFRTDEALERVFVEEKSFTYLYQEGDTVTLMEPETFEQIEVSKDLFGKAAAYLKDEMKVNLQYFDGRPMSATVPQRVTCTVVEAQPNTKGLTAQPQ >Dexi8A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:8A:17254154:17254513:-1 gene:Dexi8A01G0010330 transcript:Dexi8A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAASGAPSWGAAAADPGPTMLSFAGSSSSAAAAEARLQDFAAGLAQRRRSRSAAAAAEACSADGCRSDLSRCREYHRRHRVCEAHSKTPVVVVGGQEQRFCQQCSR >Dexi3A01G0023410.1:cds pep primary_assembly:Fonio_CM05836:3A:19085852:19087732:1 gene:Dexi3A01G0023410 transcript:Dexi3A01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNCLLSAWYGLPFVSPNNILVSTINGAGAAIEVVYVVIFLVFASSRRTRLRMLGLASAVASVFATVALVSLLALHGEGRKLLCGVAATVCSICMYGSPLSIMRLVVKTKSVEYMPFLLSLAIPNGCGSFLGAVQLILYAIYRNSGDKTGRSGKQPDAGGGDEDDDVEMASNTKGRNKVAHEIDGGASKEDRLV >Dexi5A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:5A:21390238:21393985:1 gene:Dexi5A01G0017930 transcript:Dexi5A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCTLDLQQLQAAGDLSGLHDHDPFWPALADCAASFLAGDTACFGVAGLDLSGSAASGSAAVVDAMDTTSIFFASDDHHPQEQQQQQPVYSSSSLSSKRSLSIDSGGSSSTFFPLDDVVLGTSAKTTTASHLFSSPAAAQVDAFAAGKDDEAAIMRAMMAVISSASPSSSESSSPPPFSQLDTMAAAVKPLTSSHVTVRSSSSSVAVAPPERTTSLTSAATGDDKAGGSNNNSSQVYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLINAASFLKALEAQVSELEVKNAKLERYVPREGAAATATAAQRRAKIHVSMASPDERQVSLMVMVMVECDIVELVLHVLECLRWMSAVSVLSVDADTYSPQALLKARAHIKLQIVDDDCWNEAVFHEAMTKAVHDATSSPSSSSYAAPAPLVVAA >Dexi6A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:6A:10416362:10418146:1 gene:Dexi6A01G0009230 transcript:Dexi6A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding INECADTRTYPCFGLCNNTMGSFQCSCPPGTKGNASIEPCRPDPFPIGARLGVAEVFCHKQRNKRQGYFEQHGGEMLSRFLIAEGNTSFKFYDREHIVKATRNFHKSNIIGEGAHGTVYKAILSLGDDTMAPVAVKRCKQIDTSRTKEFIQELMILCRVNHLNIVRLLGCCLHFQAPMLVYEFVPNGTLNDLLHGSPRRGMTLATRLRIAAETAVALAHLHSRTILHGDVKPENILLGDRWVAKVSDFGCSTIDDNTQVVPKGSLAYLDPEFLDDFSLTDKTDVYSFGVVLMELLTRRKPRPKEQKNLTKMFEECMGNGNLCELLDADVVQEGYSAMEVIHQTTELARLCTAVPGKERPPMGQVAEELQRLSDKRPEDSEAIQALEGHGYSHTGCEADPTGFYSIDSRAALSTELAR >Dexi3B01G0029040.1:cds pep primary_assembly:Fonio_CM05836:3B:27861969:27864580:-1 gene:Dexi3B01G0029040 transcript:Dexi3B01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGRSRSRHRRHHPQEDLLQKMKQDFQAWQASRAALVNACSATHQPLDGRCIQMIAQENLRRQKMARYGFGVADDSSKVVDLEKKGIGNAVVVQAENDSETAVTTNDNKVVAVDADEPLEPLPQETVITVLRPTSPYVAAASEKIKDFVPGKDGHDRISSASDEEMLQSAAPIVLLKPANSDILPLDVADGRQKPLFGLPKLKRDGNMSRLLREVKDMLHKELQQVNASSGNKVTWATEAKEQIGRSAAKQSREETTTEDRLSKRFSRSESFRGFRSDRRRNEATTTTKQASPERVRILTRNNILARKPLKRVTSLRDETVSSPMNKDDEESMSSCSITSRGRLRSLADVSPSGVSFGQQSFRSECLMMRHNVSPARALFRSFSAPESGFFSLGRLFGDGGVRSTTHEASDGAAAVMTSRRSTSFSFIRGTVSSLRHSFNLRRHLFRRKMHWSKKMSLEVGEIHPQMATGTTPPSPETFNLFKANLTELPPSPVSPVDVVGHSCRHFFSDLNCTFPELSPKCFSELEAPASELSYRTDITVETACNHDKAYIREILVAAGLYDDDGSLDNKANGRVDSMARPICDDIFEEVEDIYYYRGKYCDDAIGVCNDDAGGNAADHRMLFDLANEALQSLVVQGAKVGCSLRQWVIDSTGGSRGRRLVDDVWQQVQILRNPEMQEMQTIDSMVAYEIRKSVWADVLYEDVYVVGRKIERAIFDELIEDLLTEVFI >Dexi1A01G0026310.1:cds pep primary_assembly:Fonio_CM05836:1A:32255391:32255853:-1 gene:Dexi1A01G0026310 transcript:Dexi1A01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIYAQTNIMKLANRMQFGVPEEGSLGNGLGKGYGLLGQAGNGKLRVSVSAGQSKLSTKIAKRWEI >Dexi1A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:1A:8019263:8027106:-1 gene:Dexi1A01G0009670 transcript:Dexi1A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPMSSDDRPEAAAAESAQQQQQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTVDSASRRELERQEYPNARHPEFRYRTEFQSHEPEVQEKKVKRVSVMNLDTSRSSDNSSTSSPSANSCKALLPNGGCSEKLYSPNNNMSFPPGGCASLRLPVVVVLNPLYPSSLVLYIIMHQFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKVRNGLIYPISCLLQIQIFCLIIFLLQLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRRQVSNPARPGRTLTSLTRAVRR >Dexi4A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:4A:265487:267446:1 gene:Dexi4A01G0000400 transcript:Dexi4A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPRLPPLPGPRCTAVSARAVNHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSFPTVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLHLLCLSKPRSKLPLAFGSKTLTWVADALRRTPNPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKGGLFSFFSR >Dexi7B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:7B:15866685:15867713:1 gene:Dexi7B01G0008070 transcript:Dexi7B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETPAASSDGDHGHEVVVDRLSALPDLVLRNVLSCLTSLQAARTSVLSRRWRHLWRAVPCIDIDQREFFQFQASHPPITAGLNATWIRWFVDEIRKKKGLLPPAVDRWDRFEDFADRMSLLRHDASSPLEAFRLRVACDEFHAAHKWIRRGLSHRPAALHVRCDNDTAAAAAAGGDDTGRGWPRFPTAHAAGAFTNRLRTLRFSGLTLTRDFSTALATDFPVLEDMDLHDCRYEFSRLASASLKKLSIEYHHGRRAYSNVADELVLATPRVVTLRVLGDYAHAPPVALELETPHVAEATLMHRAGDLGVLRSLRDATSLKLFCFSTAALLDDGEGQEEEA >Dexi3B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:3B:905001:908720:-1 gene:Dexi3B01G0001230 transcript:Dexi3B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRKGPNARPLPPHLVKLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVGRQALFPGDSELQQLLHIFRLLGTPSEEQWPGVSDLRDWHEFPQWKPQSLGRAVPTLEPQGIDLLSKMLQLDPSNRISAIAAMEHPYFDSLDKSQF >Dexi7A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:7A:27469926:27472838:1 gene:Dexi7A01G0017930 transcript:Dexi7A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAWLPGAAVLGFLLLVVLAEAGPADVEMVFLKNAVAKGAVCLDGSPPVYHFSPGSGSGANNWVVHMEGGGWCRNVDECAVRKGNFRGSSKFMRPLSFSGILGGSQKFNPDFYNWNRVKIRYCDGSSFTGDVEAVETAKNLYYRGFRVWRAIIDDLLTVRGMNKAQNVKCFSDAGFFLDGKDISGNNYARSIYKNVVNLHGSAKNLPTSCTSKQSPELCMFPQYVVPTIRTPLFLLNAAYDSWQIKNVLAPSPADPKKTWAQCKLDIKNCSPSQLATVQNFRTDFLAALPKPSPSLGMFIDSCNAHCQSGAQDTWLADGSPLVNKTQIGKAVGDWYFEREVSRRIDCPYPCNPTCKNREDD >Dexi3B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:3B:6554077:6556135:1 gene:Dexi3B01G0009490 transcript:Dexi3B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFMCSGNMPRYDDRYGNTRLYVGRLSSRTRSRDLEYLFSKYGRIREVELKRDYAFIEFSDPHDADDAQYNLDGREVDGSRIIVEFAKGVPRGSGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRCLLITFLFLYLILQSLSISGSPRGGRRDHDERRSRSLSYSRSPRRSASPSAKEKERSPTPNGSRSPRSPSPRDQLSPLPKDNGERNGSEGGDSPVRREDSRSRSRSPSDGYRSPAANGRSPSPRDDRSPSPKGNAGDDDGRGSPRGSRSP >Dexi6A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:6A:12498208:12499468:-1 gene:Dexi6A01G0010210 transcript:Dexi6A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSLLLLLGILHLCCLLNAEHITSGTPDVSEMWGYVQVREKAYLFWKYYKSPQRVSSPEEPWQTAPASTGRANFLGVGPLDINMKPRKNTWLRKADLIFVDSPVGTGYSYVEDESAPVTTELQEATDIVELLKILPKEIPTLQSSRLILVAGALGSWHSGKIYVDVGQAIYNGTLNLMIGGIVTGNGRIKPKNISSTILSAM >Dexi1B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:1B:9272198:9273301:-1 gene:Dexi1B01G0009910 transcript:Dexi1B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLAAELGVPGYIFFPGCSTTLSIMRSAVELNDGVESSGEYRDLPDDALHLPGGVTLRREDYSDGFQSSKEPVYAHLIEAGRRYRDADGFLVNSFAEIEPAYSINNVVEHGTFPPAYLVGPLIRGPNSNEDATSTTTTTTCIEWLDRQPEGSVVYVSFGSAGALSVEQTAELAAGLEDSGHRFLWVVRMPKLLEEGNNNFVHGNDDDPLAWLPEGFLERTSGRGLAVSPWAPQVAVLSHRATAAFVSHCGWNSTLESVAAGVPMVAWPLYAEQGVNATVLSENVGVALRLCAARDDGVVAREEIAAAVRELMGGGEEEKGRAVRRRAEELRQAAARAWAPGGSSWQVLEEVADTWKKAAAVGRRC >Dexi1A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:1A:7024064:7024367:-1 gene:Dexi1A01G0008900 transcript:Dexi1A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGTGKKFGGGRPPTGTPSLAWSSVVIVASLLAGASIVHNIYKPDMTIPPVESASGGSGKES >Dexi9B01G0034640.1:cds pep primary_assembly:Fonio_CM05836:9B:36558643:36562826:1 gene:Dexi9B01G0034640 transcript:Dexi9B01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQQQSSRFRRVCVFCGSSQGKRTSYHDAAIDLAKELVSRGIDLVYGGGGIGLMGLVSQGVHRGGRRVVGVIPRTLMTTPEIVGETVGEVMPVADMHQRKAEMERQSDAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYDSLLAFIDQAVEEGFISPSARGIIVQAPTAQDLMAKLEEYVPYYDRIASGLNWEARVVVNDAAAAGATSSGISVSGI >Dexi9A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:9A:12559391:12559935:-1 gene:Dexi9A01G0017500 transcript:Dexi9A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPTPSPHCCAVTLGARSPTRELRLHTMNAPSPPPRPTFIVPPPPTSTAPPPNLLRVLRRRRLPLHRRIRPMHHRRINPLLCPHSPSPPPTTSKALAATCSEW >Dexi6B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:6B:25711970:25717315:1 gene:Dexi6B01G0018740 transcript:Dexi6B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPQLIFSSLPRAIPKPRTPPAATLAAAAARRRHHSTAVATSMAAPSEDALRRALAERQAAVDAQAEAVRTLKAGGGASKAQVDAAVEALKALKIEAGAAARRLQQAVGAGAGGGGAAREELRQAVVNALERKLFYIPSFKIYRGVAGLYDYGPPGCRVKANVLSLWRQHFVLGENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKNLTLPQEKADEFKRILAILDDLSPEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLMKLGDAVSKGTVNNETLGYFIGRVYLFLTLLGIDKGRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVLEALEAMSEKEALEMKAALETKGETNFNVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTVFPLVKNQEFDDAAKVIAKSLTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSLTNVTIRERDSKEQIRVDISEVASVVKQLTEGQSTWADVSAKYPAHVGPQGDQE >DexiUA01G0004300.1:cds pep primary_assembly:Fonio_CM05836:UA:8385072:8385953:-1 gene:DexiUA01G0004300 transcript:DexiUA01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi3A01G0035570.1:cds pep primary_assembly:Fonio_CM05836:3A:40780551:40781162:-1 gene:Dexi3A01G0035570 transcript:Dexi3A01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADASPLEVSPRAGSWRRSRPWSRSSSIGRSGSISSSSNGGSGSLEYTSLRDVLEEESSAIAGGEQQHQQQQGFPWSSGWGEYSCHDIHDFDASNIGIRNQLLKHAASAYLQSAVVVVNADGRDGGEGGYCCLLARLWRRCGGGGGGGGGGGRRGRGGRGRVLMRACSWQGCVDDPAEFVARAARRLAAFVAGRVNAVWAW >Dexi6B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:6B:26926090:26926612:-1 gene:Dexi6B01G0020480 transcript:Dexi6B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWSLKFLDAWYVTPNFQSVGRTCHEVALRWSLVITLNVSDSSVRSSPVCHTCPQLRLSSVHLTRLLPFTDIAATSPAPPTLVTSTMLKYLLPVMVYRMPPCFRHGTRR >Dexi1A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:1A:2712378:2712953:1 gene:Dexi1A01G0003710 transcript:Dexi1A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDLPPAEAAAAVKEEEEDLIEIVEEGSGRLDISRYVDHVRDLSAGAIATFEGTTRDHFAGRRVVELRYEAYAAMARRRLAGILREARSRHTLRRLAVAHRLGPVPAGEASVFVAASATHRADAMEACRYVIDELKASVPIWKKEVYDDGEVWKENREFLDRLSGDGSAPAPAAKAGGCCGSKVRVQEA >Dexi7B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:7B:15228056:15233760:1 gene:Dexi7B01G0007310 transcript:Dexi7B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGGAAASTSSSAASAMDRFQKIVLSWDYLRLVAESKGGKQGKGLQRVKDTYASVADYLGVFEPLLFEEVKSQIVRGRSDEEEEDAGLDWQRVAVGLCAESEGFHKFSMAVGNEFRETVSENDLLLLSKEKVCSLSTIMREFTAMHSVASLPFRDLILSAAEKHKDGEDQSRAWNVPQPLMDHLKTNLNDSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMKTKGGLSVQKHGPELDIDGKHAHWVKASPWLLGANPRDLIMPVDGDDGFYPTGNELKPEVVSSSRKYRAHVLVCAPSNSALDEIVLRVLNTGIRDENNNTYNPKIVRIGVKAHHSVKAVSMDYLIQQKLSGVDRTLDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMNRGFDVVIIDEAAQAVGDPVQLPATVISSTAQKLGYGTSLFKRFQGAGFPVQMLNIQYRMHPEISIFPSKEFYEGVLQDGEGLSRKRPWHSYSCFGPFCFFDVDGIESQPPGSGSWVNQDEVEFITLLYHQLAMRYPELKSSSEVAVISPYRQQIKLLRDNFRLTFGDQSKEVIDVNTVDGFQVVGSASTLQKDEHWNNLVESAKERNCYFKVPRPFSTFFSEDNLETMAVKKPEPKVEALEGINEVALGRESMNLDDTADQADAGDDDDDAAMDADDGGGDD >Dexi5B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:5B:13500924:13505495:1 gene:Dexi5B01G0016000 transcript:Dexi5B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPERKIILLDDGWKHMKEGIATLRRILADEPNVSFNSESYMALYTTIYNMCTQKAPHDYSQQLYDKYKEALDEYIITTVLPSMRQKHGEFLLRELVLRWKNHKVMIKWLSRFFHYLDRYFISRRSLPSLKSVGWESFKTLVFDEFKATVTTVVIALIDEDREGQIIDRALVKNVLDVYIELSQDPAIVPSGSDSGLKLYSQDFQDAFQQGTIDYYSKNAQTWIMEDTCPEYMLKAEESLQKEKERVGHYLHSSTEPILMEAAQGELLAKNIDRILNKENSGCKVLLCDEKDDDLSRMFRLFSRIKDGLPPVSQTFKKHVKEVGMSLLEQAIDAAASKKNDKKDVVSALELVVKILTYISDKDLFIEFHRKKLGRRLLFDKSGNDEQERSLLSKLKQYFGGQFTSKMEGMLTDMTVAKDNQSRYENHIESNPELHPSVDLSVQVLTTGFWPTYKSSDINLPSEMVKCVEVFKEFYQTVTKHRKMNWIFSLGNCHVVGKFDAKPIELIVTTYQGALLLLFNEAERLSFSEIVTQLNLSQDDTVRVLHSLSCAKYKILNKEPSGRTISPKDVFEFNHKFTDKMRRIKVPLPPSDEKKKIIDDVNKDRRFAIDASLVRIMKSRKIMAHQNLVAECVEQLSRMFKPDIKMIKRRIEDLITREYLERDKDAANSYRYLA >Dexi9B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:9B:1521888:1533140:-1 gene:Dexi9B01G0002700 transcript:Dexi9B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDGRGKQTLSSTVSIAKQIGKEVLKINMDGVFIVETGEAGMVIRDKEGQPVLMVCRRVAHRRDAEEAGALACLVGTRMGARWPERDFALEADNALMIEKLRTVGDRTGFGKRFASLRIPIEEALQLTDDPHDLIIRYHLLRAWLYLEGAGGELDADGGLGLEAELVAGEAGEDIGLADAGVADEHDLEEVVVLVVHPVRHRRPTTLPPPPPPPPPRILQHARTQANEICPVRRRRWKTEPLRAAARIVRIAEWEDCACVPRIRLGSWWDRRPKGMATMMREMGMEEEIGNGRRRGRRQARKFPPPSRCHLPHLDPIRFSKNQRGKKRAGTAAAAMAKVGSPEIECYVAAQLPGGGVIGAELADVVIYGRRTQQQAHREI >Dexi3A01G0026300.1:cds pep primary_assembly:Fonio_CM05836:3A:22408743:22410870:1 gene:Dexi3A01G0026300 transcript:Dexi3A01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQCAAALCGLLLLSFSANLARSDDPPSTPVSPSAACNSTTDPTFCRSVLPPHGKDDLYTYGRFSVAESLAGARKFAALVDRYLARHRHLSPSAIAALRDCQLMAELNVDFLSAAGDTIKATDTLLDPQADDVHTLLSAILTNQQTCLDGLQAVATWSDRGGLDAPIANGTKLYSLSLSLFTRAWVPTAKPPKHPSHKGGHHGHGGKRKPSATARRGLLDVTDDEMVRRMAIEGPERTVAVNSVVTVDQSGAGNFTTVGDAVAAAPKNLNGNAGYHLIYVLAGVYEENVTVPKNKKYIMMVGDGIGQTVITGNRSVVDGWTTFQSATFAVVGQGFVAMNMTFRNTAGPAKHQAVALRSGADLSAFYGCSFEAFQDTLYTHSLRQFYRGCDVYGTVDYVFGNAAVVFQGCTFYSRLPMQGQSNTVTAQGRTDPNQNTGTSIQGCALIAAPELSANAAFTTLTYLGRPWKNFSRTVVMESFIEALVDPSGWMPWSGDFALDTLYYAEYNNTGPGADTSRRVTWPGYHVLGDGTDAGNFTVTSMVLGDNWLPQTGVPFTSGLLS >Dexi5A01G0022160.1:cds pep primary_assembly:Fonio_CM05836:5A:26076511:26081922:-1 gene:Dexi5A01G0022160 transcript:Dexi5A01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHRGMDSLDLNSQAPEFPHIGAYQSYLQDGMFHVGIAESLPSGIPPVHGSRGGRGASAYSGRGRRGSLMRSGRDGLNQRGGGGGERGASSSGAPGSGRAIDPSDSSDDEHEDELPYENDVYASDDGHEHEPPYENDEYPSDDGGSSDDERKSSTSSAHGGSTTTGSRHQANPGGVRRRHIGLLNLHTYLAVATAAAKAVVSAGKDQAPLSQMFRDHVWVEQQVHGAAEFDVAMSLYNVNITTGQTFYSYHTCKVDRRGNAGPVCAVIVSYHIVSFLEAPCGRRFPIEERGCGGAQ >Dexi5A01G0038620.1:cds pep primary_assembly:Fonio_CM05836:5A:39555197:39556234:1 gene:Dexi5A01G0038620 transcript:Dexi5A01G0038620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHHTQEGVAVPVPTTPHADVAGIVPSLPLETRWPPFTLRQYAGFWMREVTLKAGFPAVLSTFKPRPTDVVLVSFPKSGTTWLKALAFAALKRSFHPPASGDHPLRHCSPHDCVRFLNIDFGTGEEFEALPSPRLLATHLPYHLLPESITSAGCRVVYICRDPKDTLVSFWLFTKKISQAVGVDASSFTIQVAFELFCQGRCPGGPQLQHVLRYWEESLKRPDRVLFLKYEEMLLDPESHLRKMAEFMGYGFSAEEGESGMVSAIVELCSLGKMKNMEMNRNGSANRLGIKNETFLRKGVAGDWSNHMTPEMAERLDKIVEDALQGSGLTFGIGSSSSILRNS >Dexi9B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:9B:6360516:6364788:-1 gene:Dexi9B01G0010250 transcript:Dexi9B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLTAFCSPPSPPPPSSTSSSSPDSLSFPNADLLLRLHLDPSPGEADAELDTKCHFAAVPGTFLDLHVSSASLLRSRYFAALLSDRWSPSPSAAGGGRLARLSHSVVASPSSPRPFHAHVEVLRLLHTLDFAGAIRSPGDALDLLPVALELLFDACVEACIRFLEAVPWSEDEEARVIDVAPLLPADEAADLLARVSPPPVVAGSSSAAGEATRSPSEAMLHGLIHSALDGHPVPAATKAFVAMLLKDYPSRDCVRKVLDDAFHSRLETVKELMGKYASPDFRVAVDSDEREAIQRLNLHSAAWNVKHLYWLIERMVDLRVADNAVTLWSDQAALAADLQKLLNDADMWRNMTPGLPMLVIRCTLRLANSVVTGETLVPRQVRMKLVRSWLPVLNVCRDIVQPMHFHKSTNCQELEAAFLQIISTLPVPEAQELLQQCLGFSTRNVDDCQHLVAAFKTWFRRAGWAPLGGDN >Dexi5B01G0024650.1:cds pep primary_assembly:Fonio_CM05836:5B:26687895:26691082:-1 gene:Dexi5B01G0024650 transcript:Dexi5B01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKKKIILGCVGYEGRAHSHEKRECNSDEENSEGERKVKRSTFKKRAITAGNKFRHSLRRKSRTKSGNHVVSIEDIRDDQELEIVERFRRCLLDEGLLPERHDDYHTLLRFLKARKFNIEKAKHMWSEMLRWRKEFGADSIEEFDYSELHEVVKYYPQFYHGVDREGRPVYIELLGKVDTNKLVQVTTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDTSTTILDVQGVVLGCKYQTKLLEIIDGSELPDFLGGKCRCEEYGGCPKSDKGPWKDPEIIKRVLNGEANYGRRIRAVSSIYQKEVGCTELQHSTEQGTGNDASAESSSELEDVSSPTASANPIMSPNLTHMHESKFPGHASTSDTPPIVDDSIPVVDKVEDACSDPRNSSVDSTSGSCPLRNKSATLGGLKTQIVVWLTVLIVSLLSFLRSVPNIMTKRLTNQATCDHYSADLSYQGYTGNGTLTSVLRRLGELEEKVQTLEVKPPQVPLEKEELLNAAVHRVDALEAELISMKKVLLLS >Dexi7A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:7A:22396190:22396804:1 gene:Dexi7A01G0012090 transcript:Dexi7A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQREEEPSDLVNASGAFVGLIVCALMVWCVVGLVGHISRMSRSRNPDYMVAITGVAGLDDLASATGDGSPVFNLTVRIDNSGNTLHSECVHGLSTAVVSYGDAFLGEGSVPPFCGGEEEVQERVATAWGQGVVVPRFLRERLAGEMERGEAAVDVQVTTPADCYKCSDSVLVCSKVKIGGDPAPCRLEEVYPRPAPAAGSTAS >Dexi7B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:7B:12076707:12077078:-1 gene:Dexi7B01G0005020 transcript:Dexi7B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPGQTPDASSPFSCLCSAGDLKSRESRTPPSLPLHLVAVHHLPTSEAPGATANPRAWTTGAKKIREVVLRTHRAGSHSELANREDSEDGLFRVQLVGYWDVWMVTADVAGLWSCGNDQL >Dexi1B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:1B:589462:589760:-1 gene:Dexi1B01G0000660 transcript:Dexi1B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLATGEQAEAILDVVEECWEELIRETPVKICYPAMENKECQIITVCDLKNTKWSCHNGGSWPGSNK >Dexi3A01G0032300.1:cds pep primary_assembly:Fonio_CM05836:3A:37061846:37063685:1 gene:Dexi3A01G0032300 transcript:Dexi3A01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAETTAAVSGEYPSPVSPPYPAASKNVELRRAMTASARSAVFTSADVVFEDEWLAVVDKPAGVYCDSLLSAIPRPAASGCPPRWEKIKICSGHGRSKHGAWRVYAMPDVGRSLPGGSVVRDMSTQFEVLGVNGKGQFREPYNFYTDDIESITVQEKAADQICNDGVKNSAILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWDGLECDGHALHAESLSFVHPITGLPVNVQSTLPSWAKDFISTMR >Dexi1B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:1B:25610317:25615020:1 gene:Dexi1B01G0019410 transcript:Dexi1B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRALSVAGRWQHQQLRRLNIHEYQGAELMGKYGINVPKGTAAGSVQEVKDALKNVFPSEKEIVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEAEGIASKMLGQILVTKQTGPEGKIVSKVYLCEKLSLTNEMYFAITLDRKSAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAAKVVDGLALKAADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >Dexi2B01G0022260.1:cds pep primary_assembly:Fonio_CM05836:2B:31911309:31912473:-1 gene:Dexi2B01G0022260 transcript:Dexi2B01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRLVAALAPSPPPAPPPPHRRDPRRRTPAVRLTSGVALAAAAAAVAAAASPPALAALSEPANALSLPTWAVHVSSVAEWVTAMWLVWDYGERTGIKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNFTMCIAAYRIFKASQEGSKTS >Dexi9B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:9B:9349963:9354207:1 gene:Dexi9B01G0014000 transcript:Dexi9B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQAVRTFSPSSTSVGTRSCRVLAGPASRRASRALAAPSPPRAVASSRAPLVVSSPPPPAAGSGPPAPGSAHAKVDRSGRFCSPRAARELALMISYAACLEGADVVRLFDRRISARREPGYVFDKTCLLSYNHMSFGGGPLEVGTEEEAEKLTNQNEKDSANEAEVLSAPPKLVYNNFVLRLSRELLVAVASGWDKHVDIIDKIIPQAWKDEPVARILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRVINGCLRTFVKDHIDTAGTSQAAVSKA >Dexi2A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:2A:7011:8537:-1 gene:Dexi2A01G0000010 transcript:Dexi2A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAVAAVVLLLALSAALVSRKRDKNRRLPPSPMALPVIGHLHLIRPPPHRAFDRILARYGPLVYLRLGPSTHCVVAGTADAARDLLKFEASIPERPLTAVTRHLAYDDAGFAFAPYGPHWRFMKRLCMSELLGPRTVEQLRPVREAELGAVLRAARDAAARGEPVDVSRLLISMSNNAIMRMVASALPGHMTEAARDCAKSVAEVVGAFNLEDYVPALCRGWDLQGLTRRTREVRDKFDALLEIMITAKEEQAAGPPRTASKDLLDILMDAAADPNAEVKLTRDNIKAFVLDIFTAGSDTTATSVEWMLAHLINHPACMDRLRAELDDVVGGSRLVGEQDVAHLPYLQAVFKETLRLQPPAVFAQRETIEPVHVRGYTIPPKTSVFFNIFSIGRDPGSWEEPLQFRPDRFMPGGAGAAVDLKGQHMQLMPFGSGRRACPGMGLAVQAVPAFLAALCFDWAVPIPQGQSKAPPLDMEEAQGLVAARKQPLVLIPTPRLNPLPGV >Dexi3B01G0023100.1:cds pep primary_assembly:Fonio_CM05836:3B:17862200:17862903:-1 gene:Dexi3B01G0023100 transcript:Dexi3B01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTSPTADDVKNILESVGAEADEDKLEFLLAELKDKDITEVIAAGREKFASVPAGGGAIAVGAPAAAGGGAAPAEEAKKEEKEEEKEESDDFYLANPLVIFI >Dexi4B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:4B:11629993:11632801:-1 gene:Dexi4B01G0013250 transcript:Dexi4B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYSLATPAHRPCRGAHPSAAARRRRRRPTRPSRGRASFDVTASAFWPSSSSSSSTSAARRHSKGCDLFRGEWVPDVGERAPPYYTNLSCPHIQEHQNCLKYGRPDLGFLQWRWRPSGCDLPRFDAAAFFSTFKGRSLAFVGDSLARNHMQSLMCLLAKVEYPKDISKNPHSEFSTMRYERHNFTLAIFWSPFLVKANKSGELWHLYLDEPDDAWVSGIRGFDYVVLSASNWFTRPSIFHEAGRVVGCNYCHVPGVADLTLRYSQRMAFRAALRALTAAFDGVVIVRTLSPTSHFEGGEWNKGGDCRRTRSYAANETRGMAGLDLDFHTAQVEEFARAKAEAAGTSSRARLMLMDTTAAMLLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLFQMLLPD >Dexi2A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:2A:110450:113126:1 gene:Dexi2A01G0000150 transcript:Dexi2A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYPLSWADAPPYHYHGTTAQPTPTTKGEEEDAEPQGEGDRRSLWIGGLLHWMDEDYLYCCFTRSPELLSVVVMRGKHTGQSEGFGFLKFADHTTATHILKSYNGQKMPNADQDFCLNWTTQKPVPDKLPHQDSKLAVLQDAQAGGDAAAASDHAIFVGDLSYDVTDFMLHHLFKSHYPSVKSAKVIIDKLTSCSKGFGFVHFRDANEQVQAMKEMNGAYCSTRPIRTGPTPNNKTTGSAFNKKSTYTYQPSTFVQNTQGTDSNYDPNNSKLFVGHLDQSITDEDLLQTFSPYGELVHVKVLTGKGCGFVTYSNRASAEEAMRMLNGSQLGSNTINLTWGRRPANKKAIQDMDITNTSCPQYSEIAM >Dexi7A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:7A:18356197:18358419:1 gene:Dexi7A01G0006980 transcript:Dexi7A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEMLHMDDSAFGGSRSDGASTAEKDFKKKVTEAMENAFWDMVTDSIAGDKPDYSQLVSLVKEVKDSLQELAPKQWKEEIADKIDLEILSQVLGSGSQDAQYLGQMLQYSLDMVRKLSAAAKEDEMKKSHEKLLNELAASSQGSNNGGSSAFAVIKGLRFILEEIKELRAEVSKARIQIAMQPIVKGSTGVEYLQKAFTDRYGPPAKASESLPLTLHWISTSKNVAEQEWSEHVSSVSAVPSAGQAPPAIVHVLRAGHGASSAGQPSSLPAAGSGQPECKGERLDKLSTPECFHINLMRLRAVQSQFQQVIVIATSMLILRQVLMAESPKATPAELENATSELFKNLMKILDGSPDAGTEEIVEAMVAASASVGGSPSVEKVQARRKMIGRVFLKSLQPGDTVFKMVSRVVHCAFRGVVLGGSSTTKGQKLADAALRRVGAAKLADRVVKAAEVVIKVATVSEKVHGPWYLQRLEKHSAGGVHHHLGRDVAVLVQNSCLHGRGTAPSSLTTSLNSSLLEASMVLLSSLFVASTDAADELPP >Dexi7A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:7A:13093840:13095818:1 gene:Dexi7A01G0003530 transcript:Dexi7A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTAVVHAAAGTPSSDVETATAGTGKLAADAGAAFVLESKGTWWHAGFHMTTATVGPALLTLPYALRGLGWWLGVAALTALAAVTFYCYLLVSRVLDHCEAAGRRHIRFRELAADVLGPQWASYLVLIVQTAINAGVSIGSILLAADCLQIIYTHVAPHGHLKLYHFIIVVAVVLALLSQMPSLHSLRYINFGSLIFSVGYTMLVSAACICAGLSSNAPPKDYSLSSSNSSRTFNAFLSISILASVFGNSILPEIQATLAPPASGKMAKALVLCYSVLSLTFYFPAITGYWAFGNQVRSNVLKSLMPDNGPWLAPTWLLIVAVVLVLLQLIAIALVYSQVAYEMMEAKSADAARGRFSRRNVAPRVALRTAYVAGCAAVAAMLPFFGEIIAVVGAVGYIPLDVVIPLVMYNMAVAAPRGGTRRSPAYLANVAIVVVFAGLGVIGAVASVRKLVINADRFKLFSNGVS >Dexi9B01G0038490.1:cds pep primary_assembly:Fonio_CM05836:9B:39544212:39550423:1 gene:Dexi9B01G0038490 transcript:Dexi9B01G0038490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRSRQQAEEMIWLPDGADVARFLLLFSAGHHQHHSSSPELASSAAAERVFECKTCNRQFPSFQALGGHRASHKKPRLADGGGAAEPPPKPKVHGCSICGLEFAIGQALGGHMRRHRAADGGASHGGGLGLGLGLGLSLGSELGVGANDDSGKTTAPGTTTELAALDLNAVPELEEDPDRVKKPLNIEFPSAWSSFTSLPSAAVRNQPTQPPQTQNPTTPNMASPPPPSPLPTSAAAAAAAANGRANPASPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGASDDEGEEEEDEDGMFGGGEGSEEARRRRMLTREEAKLEKEIVRMVLAGEAEEKLKPNSGQSVAVGDHHLCVGFHDESGGEYRVWEWHGHVMLFDDEDGYSAEYIYGNHFEPLAAATARAKKKEKEKREKDMSMGLRDLVLGTDDGGNGSRSNGSSGGPRVFEQNPRHGKEDHISSQNPRHGKEESRFRRSRQGNLSLLALAKYFLARKDEPFLLARVRSRSRARLCPSYAISDLSWLTPIAFASFFFLRPTQVEMLDPNTFCRAYHVFMYPTVTFEEAKARRPD >Dexi4A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:4A:10230773:10241384:-1 gene:Dexi4A01G0011940 transcript:Dexi4A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVVAAVEQQTRNEKEKPAEHEQQPPVSAAAVAQSDDKLLCDLRKYLLLLAVLAATVTYAAGLNPPGGVWPETDGDHLAGNQVLVVTYHARYNAFSYSNATAFMASIVVILLLLLLVHDEDSTTWLTVLRVVMALDMLALAVAYAAEASRGHLTFTTVFASLLVSPVFVYVAVYTVMDSFLSRSVRRWWWWCSGPPPIARSSRGDVKGCACRREAGRISEVCEKCLRQKWRRKVLMLLAIFAATVTYTAGLSPPGGFWPDTRDGHRAGDPVLQDRHWHRFTAFFVCNSTAFVASLLVIALLLTNKPEKSFVKGVVLYACILLALLGLVVAYAAGSCRETDSTAYVTCLVGVVLAYTLLQELVWIKLQRRLQPHVHKIQKCLRTFRECVRRQRPNQEETGLDGTNGDQVHDTISQPEVENGDQHDQTQPEEVDNSDQHGQSTTSTTGHKQASDEHGLKTARSLVLLLATLAATVTYQAGLNPPGGLWPDSREGHKGGDPVLLAKNAIRYRVFFYCNSTALAASLVVIFMVQNNYLSTSLIHQRHSLEAVMILDLISLIGAYAAGSCRDVTTSIFVVALAGAALVYVVFHMVFFTLDHQVQDLHKKDTQVENKRKQLLVVAILVATLTYQAGLTPPGGFWSGDNAELGYHAGDPVLFNNYPRRYMAFFYLNAASFMASVVLTILLVNPNLYRMGIRCRALSVCMVAGLFGLMGAYPAGSSRHLRTSIYMIALVAAVFVFIVILLLIIIFPKIITLLKKGAPADIESTAANGQDSNAQAETENNKGRGSDKIEPQTERRYLMLLGILAASVTYQAALSPPGGFWPDKRDGHDVGNPILRDSNIRRYHIFFYCNSTSFAASIVVMALLLLEQLQDSPNLESEDTGAGDQRTRSGYKDRPKLIRAMHTAIIIDLIGLLGAYAAGSSREWETCGYVFALVAVVLLYIAIHLSISLFHQEVMALRTNGIRTSVLALIFAMRLARRRRPNGEPLI >Dexi3A01G0031180.1:cds pep primary_assembly:Fonio_CM05836:3A:35593962:35600375:-1 gene:Dexi3A01G0031180 transcript:Dexi3A01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWRVCDCELGFGAAAAAAGTKGRAGGGNASAVWAGAAAALEGFLADPWLLRPPGAGEAGGATVQVEVPPLEPSPEDEEDEARRAAAQRGAAAAEDYARRLESGAYGSPEAEGDEDDWDREDQGNASVKVTCRICYTGENEGSTKAAKMLPCKLCGKRYHRNCLKSWGEHRDLFHWSSWICSSCRTCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTNGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICLKVYRDSEVIPMVCCDVCEKWVHIECDDISEEKYQQFQADQNLHYTCAACRGECSQIRDTEDAIRELWKRRDVVDHELMVSLRSAAALPSLEDVSPSYPNSDDEKPGAYVLKNDGRNTLKFSLKSNSNKPPDKPEQEKIVLKSSGSNKKPSKKKGGQSNKTDDEIFLERRNDAKSSNSRLRDQSIDGNHDKSPFKNDGNAYISSSTRSSEKNMKSPIMKAMANNADMIPKVKIKGSKVSSLQFKDTGEENAPKNDTGKGTKLVIHLGSRHKTRSGSPKSELSNSQREQDLGSIHGGKIDVTSQLKSSRSEIKEKSVMKLVRETGMQQRNSLLGDLGTSKKHATGKRSNALISGMENSNETGTRNRSFGLRQSHSNQVDENQGTTLFLSDSSDNLKPSLLKLKFKRPHFEQLNTQASQPEEPATWVSQQEEQLNVAKGQRSKRKRPSVEKVDDSDGKTPAKRHQQSTDDGSMDANWILRKLGKDAIGKRIEVHQSSDGKWHQGVVSNVISSTLCIQLDNGRSENVELGKQAIRLIAGSKGRKR >Dexi1A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:1A:361751:367174:1 gene:Dexi1A01G0000590 transcript:Dexi1A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLRSLQNAVDCERSRLVRYFSSSSGSFIVKENGVGKRTGGARFSKHSQPAKEIGTFSLGVNRSYTWTGASNGRIPSAVSGLNGSFSCGQVATARSFSSSADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAITVEEEGDIEKFKDYKPSSSAEPAPAESKAQPEPSQPKVEEKEPSKTPEPKAPKIEEASQSGDRIFASPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGQREAFAAPGLGYVDIPNAQIRKVTANRLLQSKQTIPHYYLTVDARVDKLVKLRGELNPLQEASGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLGTIAEEVKQLAQKAKDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIENPTTMLL >Dexi2A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:2A:29856148:29860535:1 gene:Dexi2A01G0017850 transcript:Dexi2A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVLIRGHEDPKPLRALSGQVCEICGDEVGLTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVAGDEDEEDIDDLEHEFNIDDENHKQLTLHNGQITEAMLHGKMSYGRGPDDGEGNNTPQMIPPIITGSRSVPVSGEFPITNGYGHGGELSSSLHKRIHPYPMSEPGSAKWDEKKEVSWKERMDDWKSKQGILGGTDPDDMDADVPLNDEARQPLSRKVSIASSKVNPYRMVIVVRLVVLAFFLRYRILHPVPDAIGLWLVSIICEIWFAISWILDQFPKWFPIDRETYLDRLSLRYEREGEPSMLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFEALSETAEFARKWVPFCKKFSIEPRAPEFYFSLKVDYLKDKVQTTFVQERRAMKREYEEFKVRINALVAKAMKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHAKDGLPEVTADVGMDSDKEVLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPSISTFASLFFIALFMSIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLIINIIGVVAGVSDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIVRTKGPDVKQCGINC >Dexi9A01G0045710.1:cds pep primary_assembly:Fonio_CM05836:9A:49232447:49235795:-1 gene:Dexi9A01G0045710 transcript:Dexi9A01G0045710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTVFPVLRSSEYFTRPSIDELVEREVSDPGYCSRVPDFIIGRAGYGQVRFLSNTDVRGIDLNDVVRFDKHSVVVYEDETGKPPVGHGLNKAAEVSLLLNLKDLPEPSILVEVLRCLARKQGARFLSFNPVNGQWKFEVDHFSRFGFVEEEEEDVVMDEAAVRQPTAEVRERDPPSNGYEIKLSHSLPAHLGLDPAKMQEMRMAMFYNEEDDEYMGDGFPSDERYLSRERMNVDSPTSSGKGSRLRSVSPLHMSSQKVGRRPGMPARKEPLALLECNMNSSELGRSSQGMLMSGQNKGFPVKMTKVEGFKLPAEQETPVAGKMYSNCVVDAALFMGRSFRVGWGPNGMLVHSGSLVNSPGMGLSSVIHIEKVASDRVVRDDKNKIKEDLAALVFSDLMDLHKSLDHEFLETESDSFRLKLQKVVTNRFVLPDICRSYIDIIEKQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERANGNQLEPIGDDDQEGMVLDKKEGSVNIDLDALPLVRRADFSNWLQDSVCHRVQGEVGSLSDARYLEHILLLLTGRQLDSATEVAASRGDVRLAILLSQAGGSMLNRSDLSQQLDLWKINGLDFDYIEEDRIKVYELLTGNIEAALLDSPIDWKRYLGLIMWYQLPPDTSLDIIIRSYQHLLDEGKVPYPVPVYIDEGPLDEALQWSPGDRFDISFYLMLLHANEDEKFGLLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSSNDLHLLDLSFIYQLLCLGKCHWAIYVILHMPYLDDAPYIHEKLIREVLSQYCESWSKDDAQRQYIVELGIPVEWMHEALGLYHEYYGDQQGALENFIQCGNWKKAHTIFMTSVAHIMFLSSHHQEIWEITSALENHKSEIADWDLGAGIYIDFYILKNSMQEESAMDDSDPLEKNNESCKSFFGRLNDSLLVWGSKLPVES >Dexi3A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:3A:8217058:8222357:1 gene:Dexi3A01G0011480 transcript:Dexi3A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCFLVSSAGASNDAGMSMKVESLVLHGDALYGEKEFRRALNAYKQAMQYSRSIPRQATSSIRSSVSATGRSPSPNSSNILSFNENEVKFKIALCHSALCEHREALQEMEGIPSKVRTLKMNLMLGKLYRISKNIRSAAACYKECLRQCPYVFEAITALAEMGLSTKEFSLLFSQAPNRGGKLPSDSVDAQRWWNRYVEAQCCIASHDYKGGLDIYLELMQRFPNNTHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKTKSDYIKLNKLVHDMLHIDPARPETCVALAAMWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALAKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVVEGRNREAVMLLERYLRQWADDSLHIKLAQI >Dexi7B01G0021700.1:cds pep primary_assembly:Fonio_CM05836:7B:26729380:26733803:-1 gene:Dexi7B01G0021700 transcript:Dexi7B01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPMNRLMFKQEQKERRRRRRIRNGLITSVPQELYQENDCYQGGDRLTYSGPNLPEIEHQGSMKHDPDSGDNAMRQIPECKHHMLKKVHINGFFSTKSLVDLTCHILEIGTSLESLTLDTVFSENEDSDI >Dexi2A01G0033570.1:cds pep primary_assembly:Fonio_CM05836:2A:43724318:43727560:-1 gene:Dexi2A01G0033570 transcript:Dexi2A01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCRCQFELVPGSSGGGALSPQPAPATRRDDKAPGAPSRPVSRHRHRLTRRSSPAPGLQAARAAAPFLAVLLRRGRAAAALLLNRHLRAAPASEARSLLSALPAVRDAVSYNTVISALCCRSGGDLPAALDLLRDMSREPHPGARPNAVSYTAVMRGLCAARRTDEAVGLLRDMQACGVRPDVVTYGTLIQGLCDASEVDRAVELLNEMCASGIEPGVVVYSCLLRGYCKSGRWQDVGKVFEEMSQRRIEPDAIMFTGLIDSLCKKGNTRKAAKVKDMMVERGLKPDAVTYNVLINALCKEGLMREAMTLKKEMLEKGVTPTIVTYNILIRALSGVLEMDEAMGLLEEMIQGDIALEPDVITFSSVIHGLCKIGRMFQAVKVCEMMANRGCTCDLVTYNCLIGGFLRVHKVEMAMKLMDELASSGLEPDSFTYSILINGFTMCQQGMMERATILFNEMDKNCRLDVVAYSTMIHGKLEEAEMVLKQMTASGFVPDAVVFDSLIKARQKNARRGSTSYPSITMSSNTASPSDSGDHRRRDVSSPVPECVVLVSNASIVKSCPCRNATTAAAVTSNSLPIEVSLELAPPPPLPSTLAVYFPGADPSAAHSKILFAVTSNWVAGFRSRQLCNHYME >Dexi8A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:8A:25313855:25321741:-1 gene:Dexi8A01G0014770 transcript:Dexi8A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEKASPAVQTFKGLKFISKGEAAKGWDAVSEHFDRFAYNGLLHRSKFGQCIGMRDPEFAGELFDALVRRRNISSDDAISKAELLECWDQISYNSLQTFLDMVDKNADGRITEEELKEIIIVSASTNRTSKIQEQADEYARLIMDEMDPSNQGYIELEDMETLLQQALSQSVSHTHRHNQLSTTDSNPLRRWCRRTQFFLEDNWRHVCVIMLWLSACAALFAWRFIQYRHRHRDVFEVMGYCVCVAKGSAETLKLNMALVLLPVCRNTITWIRTHSATVVARVVPFDYNVNFHMVVAGGIAAGAGVHVISHMACGFPRLLHATDAQYEPLGQEYLGFPRPKDYWWFLKGIEGWTGLVMLVLMVVAFTLATPWFRRDRLPLPRHLRRMLTGFNAFWYSHHCFVVVYVLLVVHGQFVHLTHEWYYKSTWMYVAVPVVLYAFERLVRVVRSTALPVKLLKAELYSGNVLSLHLSKPQGFQYTSGQYIFLNCPAISRFEWLNGRCKLSSPSRPKVLIEGPYGLTAQDYKQYDTVLLVSLGIGVAAMISIIRDIIDNIKEPESDLESGATINNSMSSSFPTRRAYFCWITREEGSFEWFREVMDEVAEKDKHGVIEFHNYCTSLYEKGDARSAVIAVLQFLNYAMNGVDVISNTRIKTHFARPNWYNVYKRIALNHPNQRVDALVSLGLDSWIDQIDKVEALMA >Dexi1B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:1B:6259414:6269177:-1 gene:Dexi1B01G0007550 transcript:Dexi1B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLDPPPDAAPFPSPAPTTPPAPPTTAPAALLRPRREAFEHGLLPIPKLIFPEGTLAQTLTQLREKLAAAAPGGRVGAAALAEALQIPPEQAALALGTLAAVLPAEDPALGDGAGEAAAADVRDVLLFLYVQSYKRLVPRNAHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMPNILTLLADSVEGEGDDSLVLTMETFEHLGFLVQFSEGASLSQAATFFANSDPDMPAAPVPAAQVLDWMSQNIASSLEYSAERSAAKESSQQTVSDLDVTMAEANTSHPKSSTPSSNPTYYRNVTFVEGFSKTSVVKHASDVKGNSIKVLNCHDSVIYILAPVKYATVYGCSDATVVLGAVGKVAPFNTCYPLLRDHLMQVGVDPSVNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLIPNWFGDERQETTKCNPFPLPETYGAFQRKKHSALEDIQKTIRELQIDENRKRELATALHAQFKD >Dexi9A01G0032190.1:cds pep primary_assembly:Fonio_CM05836:9A:37095805:37097364:-1 gene:Dexi9A01G0032190 transcript:Dexi9A01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLLPVIFLLYLRYDPKKQAPGDVDDLKAYPLVGRFPHFIKNQHRLVEWSVHVARRSPTHTTTFKVVGMPGVVLTANPENLEHIAKTNFSNYPKGEYMLTKIEDFLGRGIFCSDGDQWLWQRKAASFEFSKRSQRKFVVDAVGSELVDRFLPLLDQAARHGRTLDMQHVLECFSFDNICRTAFGEDPACLAGADEGSTTPPEKREAIEFMRAFDYMQNAILVRFVPPESMLWRLKKALNMPPEKQILEALDTVLGYADRIVRRCKERGEADPGSRGDFLAHFAASGARELTDDNLRDVVTNFLLAGRDTMATAMTWFFWLVSGRPDVEDKIVHEVHRVRRIHGGTGGSTSWTTFTLDELREMHYIHAAITEGMRLYPPVPQGMHISKGKDVLPDGTFVGKGWAVNHSLYAMARLEELWGKDCEEFRPERWLREDGTFQPESPFKNPVFHAGPRMCLGKEMAYIQMKSVVACAFERFSFRYLGGEDHPGMEISFLLRMKGGLPMQVTKRQPGPLEGF >Dexi5A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:5A:8369454:8370930:1 gene:Dexi5A01G0011180 transcript:Dexi5A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVQVSVLGTTYVPLLRPPPPHLEQIPLSFFDAWYLPLPPIQRLFLYDGDVDDQHASFRALRSSLADAIAVFFPLAGKLTYLPATGDVVIDCSPSAVGAGVTFLEAEATIGSGGDDARRRLSGEARHDVPAFLGLVPSLEASQLPAPVLAVQVTRLVGGGGGLAVGVAIHHAVADGHSFWRFMSAWSAAARGAVALPAGALAPTFDRSAIVHPMATEMARGLLRKLTPELPLIPTANLLRRTWLQHETRTLELDRHQIEHLKSHIAADDELLTTQTTARPRRPSTFVAVSALVWWSAVQARSMDPGAATRLLFHGDCRRRLDPPVSDGYFGNCIRGYVAEATAGDLDGGGGVVGAARAIRKAVDEFVARPLDEIDAWFDRADALWREPGLVAMVASPRFLPYSTDLGWGAPSRVEYVSESAPEGMVVVTGGRTDGSVQVSACLRPVHMQAFTSLILDFVASCV >Dexi6B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:6B:4262625:4263338:-1 gene:Dexi6B01G0005010 transcript:Dexi6B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVRARVDEFHKKLEKRRRKKKQASVAAEEEVPAGFFVDGDDVTPAALKLRRPLPRAGKTVVLYFTSLRGVRRTFEDCRAVRAILRCYRVRLDERDVSMHAAFKSELLDHLLLAAGEVGPAALPRVFVVSGGGGLLHDLGGAEELRELHEAGDLGRALALAGCDASPPVTATGHVGGGACAACGEARFVLCGTCHGSCKVFVGDDEGCRRRFAGFFRQCPDCNENGLIRCPVCCY >Dexi5A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:5A:3146582:3148215:-1 gene:Dexi5A01G0004170 transcript:Dexi5A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFPLLLLIKLRKRASNNNSMRLPPGPTQRPLIGSLHHLLGKPFVHRALADLARGLDAPLMYLKLGEVPVVVATSPNAAHEIMRIHDVTFAMRPWSSTMKILMADGYGLGFAPYGDHWRQLRKISLMEHAIKQHEEARAMMAASGKVAEEGDLVDVLLRIQREGGINVPLTNGTIKALIFDLFGAGSKTAAITLQWAMSELIRHPEMMKKAQDEVSNILNGKSRVTEDDLGEMKYLKLVIKETLRLHPAAPLLIPREARETCKVLGYDVPKGTWVLVNAWAIGRDPKYWDDAEEFKPERFESGAVDYKGMNFEYIPFGAGRRICPGILFAQANMELVLASLLYHFDWKVEAGLEPTKLDMSEQMGLTIKRKNDLRPYPIVRVPPSQFVP >Dexi9A01G0048770.1:cds pep primary_assembly:Fonio_CM05836:9A:51481388:51482499:-1 gene:Dexi9A01G0048770 transcript:Dexi9A01G0048770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEQWAADQHQAAPASTSAAMAAAQMPFLALLQGAGVVVEDEDGRKRNAAFACDLDLLDSCVTQAAAGHASAPATRRRKRPRPRARATATPPPEKRRKPEEAETQRMTHIAVERNRRRLMNDHLANLRSLIPSSYIPRAMAAVGGHVRVRVAGRRWPGRLVRAVAALEDLRLAVLHLAVTSVAHDAVVYCFNLKSTTPI >Dexi1A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:1A:8639854:8643359:1 gene:Dexi1A01G0010150 transcript:Dexi1A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSTGGPGGPHRPPLPDFSAGDDGGGLGGMRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTEGEIEERVEEARRAAEAEAAAAAEEAGGGRSSLPGRGFTDTQSHHVAARKQKQLETMRAALGLNVEDVKKGDVESDVESGELVPSKYSEELDTALQKESKDSKDARKEDGKKSKKKDGSDKRGRSKSSRKSKYDSDSEHEHNTKTKKKNKKSSRHASEDDSETDYYEKKQEKHSKKKRLGSDDDDAETDQKKARRGKNSRHDSESDTDADYGKKKVEHAKNNRDERKNIPVKSSRHDSESDTDNERGKKKTEHAKNSRDERKKIPKKSSRHDSESDTDSDYVNKKTEHAKNNCDERKKIPVKSSRHDSVSDTDSDYGRKKTELEKSRDERKKIPIKSSRHSVEDEKPRESRYKEDGKPVKYKESSRYDSENEKSRKYEESSRRDLEVEKLRMSKHKGDLHSEDQKRQKSARKSNYSSESESDSDSDQGEKSKRGKISHKSSPRNDGYRTNKSIKSLSHDSDGEKPRSKVLRKDKYSDESETDSDTHVKSKKKLEKSYHHDPEVDKQAQKVKEKGDKYAKSIVKRTRRDTDSESDDVSHDRKRQLNSAVLKKDVPQKRRVASSSESSDYSSSLSGSESDTSADSYEERKRSQVGRRDELNSQRQKEERKELEKQKQREEERKELEKQKQREEERIQMEKKTQQEREEERQEREQDTRKGGKGVERDYKRKLEDNRYDPNSSRVREEGYRGRQIRGDERRHEEHSRHGARDLDCHDSKRPRHDDDSYYHSRRDREDRYFRDEHRDRRRH >Dexi9A01G0045490.1:cds pep primary_assembly:Fonio_CM05836:9A:49038688:49042192:1 gene:Dexi9A01G0045490 transcript:Dexi9A01G0045490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNHGWLGFSLSPSAGRGSGYHGDGGGGANGSGDGDGSCSSPAAASPLVAMPLHSDGPLQFSSTPDWAEAKAPKLEDFMSVTACSNKSSSLYDSCSHADHQAKYHDDLQHFSYFHGHSSSNGIGLGINMNAPPSTGFPDHHHHQFMSHHGDYFLGIPANPTPPPGAMPSSMPTYNSVAAGGVVGGSMSISGIKSWLREAMYVPPERSAAATAALSLSVTDVPAAAEPPQLLPVAPMPVARKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLSHYEKELEEMKHMSRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGNDAACRRSPTRPTPDAVAERPSSEAPGGGGGGSDDHSDTSADGHRGAQLLHGLQYAQQQQQPIIKYEAGEGSSSWMTAAASAARPTVASGVPSAHQLPVFALWNQ >Dexi4A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:4A:9711935:9712802:-1 gene:Dexi4A01G0011560 transcript:Dexi4A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHRRQAVTDDVKDVREIPVSDGSSAEHKEWLKEMRGWLIVLATLAASVTYQAGLNPPGGFWQDNNGHIAGNPVLRDGKFVRRYLTFYYFNATAFATSLVIIILLLNERFYKSEAKVAALTLTTMVDLMSLVGAYIAGSTRDMANSIYIIVLTCFLFVCVVYIARVLPTLCFIVLFISPPLYWLAKKGWLPVTEHMRKRVEAAKERERKEEEKQREKDRLGHTRRSRCFSCNCCACCRAFEFDDVENRGLHKTEDN >Dexi6A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:6A:2586893:2589060:-1 gene:Dexi6A01G0002770 transcript:Dexi6A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTSVVQLWTEWGLRISVVTSLAAYVVLSLMSGARRRSASGGYSILRWAVVLILWVAYQLAEVAATSVLGSLSFSCSDASPEEKQIVAFWAPFLLLHLGGPDNITAYTLEDNMLSLRRPIMMAVHVLWVINAIWNYIYRSRTWVLFLASAIMFVAGVARYAERVCALRRANLDNMQQDYSSKKTQPVGSSCSTSAADRAVDLMISRSLGRELDDDEALLLAQDLFHIWRRALVDSSVDPRSPSQLNSQKLLSLEWSSLCKVAEMELSLMYDVLYTKASLAHTWTYTCYLIIRFMSPFATGAAIWLFSLYRNKQGRGLSRSFVLITYLLLGATIFTDVVWLLRALGSTWTYGVFLKVTAWRWPWAWCHHQLVCARRWRRLRRAVVCLDPMRLVFGIDVVRHRRWSGTIGRYNLLHECSTVHLRRPWCRWLATKTGLEETRYVSKLPEGVKELLFERLRLILPTADKSVLRQDGGDGDTYTMDNITTCWGQETLRRRGDELFEWSARPIFGREFEQDVLVWHMATCIFLSLAKVRKVKTTSASPHVAAIEALSEYLMFLVVERRQMLPGLVLHNLLEETRDALKNIWNDEGSGKGRRRNKRVELATLVRRMRNENREWALDNDGRRLILDAAEISAALTSSSRSEERVLQMLEFIFQVWVDKLVYAGVRCSRESHAKQLSAGTAT >Dexi2B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:2B:4772157:4773532:1 gene:Dexi2B01G0005080 transcript:Dexi2B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQKNMVVVAGLPDDPLVEILSRATVKDLHRFKCVSKGWRDLIANPLHGKKLPQTLQGFFSSYPGESFNHAGRSFINLFGGTPPPFDPTLPFLKNLPGIRKIWLLGSYGGLLLFSLRRLGYIVFNPATEQWAAVPSEHTPADKDCRFRHAFLVFHPVVSSHFQLVIFCQGQRRMCTVHSYSSETGVWRHSQIDWAEDVRRLGQMNRWVPQITGNDSHATIFNGMLYLNLSDDQIAVVDVEGKVQRIIPGPPSVGRENAQHHSLFIGQSQGRLHYINEERRACDIPSELSSRVYTRDFDDDCDLLSVWVLEDYDTHKWALKHSVSQALYGVPRMFPVSWISYRSLSMKSTKDVAGADVGYLMS >Dexi3A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:3A:14713246:14714597:1 gene:Dexi3A01G0018840 transcript:Dexi3A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRASWQMAVAILAVLGAAATPAGAAPQVPCYFIFGDSLVDSGNNNFIVSMARANYPPYGIDFAGGPSGRFSNGLTTVDVLAKLLGFEDFIPPFAGASSQQLLSGVNFASAAAGIREETGQQLGGRISFRGQVENYQSAVQELVSILGDEDSAATHLSKCIFTVGMGSNDYLNNYFMPAFYNTGSRYTPEQYAESLTSDYSKLLQVMYRYGARKVALIGVGQVGCSPNELAQRSADGVTCVEGINSAIRIFNRRLIGLVDQFNRLPGAHFTYVNVYDIFDDILRSPGAHGLRVTNAGCCGVGRNNGQVTCLPFQMPCANRHEYLFWDAFHPTEAANVLVGQRTYSARLASDVHPVDIRTLARL >Dexi1A01G0029480.1:cds pep primary_assembly:Fonio_CM05836:1A:34946737:34947123:-1 gene:Dexi1A01G0029480 transcript:Dexi1A01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSVPRRPTANDAAAITAGPVRKRTAMANVATLFPHAMIRGCSAMNSPAHTTRGWYRPRLNMAIPSPASDRMEMDFRTIAGISKFHLQDDPTNPTAE >Dexi2B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:2B:20304071:20312821:-1 gene:Dexi2B01G0013010 transcript:Dexi2B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQKEVPPLTHIENEDFLRIIRDTRHSLGSEAPEKVETVFEGISVEAEMHVGRRELPSLPNAIINGAKNDACSWSSWIRKDDLLKSTGRKTRFFFEEMVKDALQRKMDTTDEVYQDLFSKVSSRCNLLILTDYRIQILGLSECADTIVGDELHRGISGGQKKRTTIDFFSSYWYMMHQMSMSLYRLLASLGRTQVMANMLGTEALIAIFILGGFIISKDDLQPWLCWACWASPFTYSLNAVALNEFLDDRWATVFHYGNANTTGQAILEVRGLIHEWHWYWVCVGVLFGFSLIFNILSIFALEFLKSPKEHSVNTKSQKVQDIQYVDQSVRDQVSTSDQVNLPFHPLSVVFNQINYFVDMPSAIPGVPSIKDGQNPAAWVLDISSHAMECAIAVDYSEVYQKSSLYKENMALVDELMKQRADQKDLLFPPGYWPNFKVQCMACLWKQYCSFWKNPELNVARFLNTFGISITFGIVFWQIGSTIKEEQDVFNILGIAYASALFLGLVNCSTLQPIVAMEKIVFYREKSSNMYSSMAYVIAQIGVEIPYMLIQVFIFSAIVYPMVGFQLTVTKFFWFVLYMILSFTDYTLYGMMAVALTPNIDIAAGLSFLIFMIWNVFSGFIVARKMIPTWWRWMYWADPAAWTVYGLVFSQLGDRTELRVPGQQEQPVRVFLEEYLGLQDDYFILVTVLHIALSTLFGIVFCIGIKYLKFQKR >Dexi9B01G0037280.1:cds pep primary_assembly:Fonio_CM05836:9B:38753565:38756147:-1 gene:Dexi9B01G0037280 transcript:Dexi9B01G0037280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSCLRDGAQCNAVSSRKRRRGGGFTVLPHLLLQLLLVAAAAMPVRGQRSAGVVIAQADLQGLQAIRQSLVDPRGFLSGWNGTGLDACSGGWAGIKCGAGGKVVAIQLPFKGLAGTLSDKVGQLTALRRLSFHDNIIGGQVPAAIGFLRELRGVYLHNNRFAGAVPPALGGCALLQTLDLSGNFLSGSIPSTLANATRLFRINLAYNNLSGVVPSSLASLPFLESLQLNNNNLSGVVPPAIGSLRLLHDLSLGNNLISGSIPQEIGNLSNLRALDLSDNLLGGSLPESLCKLTSLVELDLDGNDIGGHIPDCFDGLKNLTKLSLKRNVLDGEIPATVGNLSALSFLDVSENNLTGEIPASLSDLANLSSFNVSYNNLSGPVPVVLSNKFNSTSFVGNLQLCGFNGSAICTSASSPVASPSPPLPLSERRTRKLNKKELIFAVAGILLLFLLLFCCVLVFWRNDKQESSSTKKSAKDVTTTKKTVGKPGSGTDGGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKNQKEFEAEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDYMPKGNLASFLHARAPDSSPVDWPTRMNIAIGVARGLHQLHTEANMVHGNITSNNILLDESNNAKIADCGLPRLMSPAANSSVIAAAGALGYRAPELSKLKKANTKTDIYSLGVVMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSETGEELVKTLKLALHCVDPSPPARPEAQQVLRQLEQIKPSIAVSAAASFTGEPSHTTATATSVVTDDTKSTITE >Dexi1B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:1B:10247321:10250574:1 gene:Dexi1B01G0010560 transcript:Dexi1B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSFRGGLAMAVVLLPLLLLLALLAPLQCAASAAATCVGLAPAKRRREVISITEFGGVGDGRTVNTWAFRKAVYRIEHQRRRGGTELRVPAGTWLTGSFNLTSHMTLFLARGAVLKATTDTRRWPLVEPLPSYGRGREMPGARYAGFISGNGLRDVVITGDKGVIDGQGEVWWNMWRRRTLQHTRPNLVEFMHSTGIHISNIVLKNSPFWNIHPVYCNNVVVTNMMILAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYNRPSSGITIRRVRGSSPFSGIAIGSEASGGVSDVLVEDCSIFNSGYGIHIKTNVGRGGYIKNVTVDNVRMSGVRVAGVRIAGDAGDHPDANFSQLAVPTVDAVRITNVWGVGVQQPGSLEGIRSSPFTRICLSNVKLFGWRNGAAWRCRDVRGAALGVRPSPCAELATSFAAAGSCSF >Dexi3A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:3A:3875258:3876763:1 gene:Dexi3A01G0005980 transcript:Dexi3A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGEEVPNKRVVLKHYVTGFPSADAMEVLTGTASLAVPPGSSAMVVKNLYISCDPYMRDRMTKHERPSYVPEFVLGETLVNFGVCKVTASGHSDYKVGDLVWGVTGWEEYTLVTEPESFFKIHHPELPLSYYTGVLGMPGLTAWAGFFQVGKPKKGDYVFVSAASGAVGQLVGQLAKLTGCYVVGSAGSDEKVNLLKNKFGFDEAFNYKKEADLDATLRRYFPEGIDIYFESVGGPTLEAVLENMRPHGRIPAVGMISQYNLEEKHGVRNIFQVVAKRLRMEGLLVFDYYSDYYKFEEEMAGYLKEGKISYVEDIAEGLEEAPAALIGLFTGRNVGKQLVAVARV >Dexi3A01G0030240.1:cds pep primary_assembly:Fonio_CM05836:3A:34201602:34202174:-1 gene:Dexi3A01G0030240 transcript:Dexi3A01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGLKHMPIYFGLPPALVGPRAIPLAPRLYGGEERRRGSSTASREEAGVETSGGRRCALLRLREPRSPEVRRGGEKAWLLPRPCHLRPLVAGSRRSRAAPSLGVGVEPRPPGEPPPLPHLPAPPDLLRCERTAPRGDGTQGPLGNTPARLLEAGVDRRADGEGGVGVGTGEASEYFFRGIKMGPRIPA >Dexi4B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:4B:12490287:12499865:1 gene:Dexi4B01G0013590 transcript:Dexi4B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGNDSRALVPAHPGASAVAPPRPLARGQKWEVEYARYFGTPRRDPSAPPPAGLRHITRGIHRHQGTWLPASSTAELCVSRSTLLTAVPVLTVSIGDVVFVRTQTPPPFIPSFFVLVSIPFLLNYFHMAQEEHFVSILNFSWPQVTCVTQCPIRGSRVVFMSFCDKTKQASRVLNCGNHLSIQKFALRFPQLCDAESFINCVKVSFDAGPDDASSFEEQASDHMIEAAPMSYHEEPDLPILEPLSASNTNNSYSGFPPSFSQMLANCSTENEQDTEEPYPVGTTNHASQEVYALDNSHDVAVATEETTADKGMDAGEGIDTSVLTGDIMARIKTYMADDSFNDMLFKLEKVIDELGGDMLL >Dexi3B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:3B:5872892:5873968:-1 gene:Dexi3B01G0008330 transcript:Dexi3B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPPDFSGMGEAERAAEAERLREEARRLTEAGDLEGGRWREGEARILDFDPKQSGIYMNRCTAVDVRTFDYDEE >Dexi3B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:3B:10477835:10478536:1 gene:Dexi3B01G0014520 transcript:Dexi3B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPIATADGTCAAGEFVWDVVLWRRGRADVSACLLAATAASWLLFYGPVGGGGGYSALSLASDVLLLLLTVLFVWAKAARLLNRPAPPVPELRVSRRAVDEAAALLRAALDAAFSGFHDIATGRDPVLFFRVSWLL >Dexi7B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:7B:23100089:23102647:-1 gene:Dexi7B01G0017110 transcript:Dexi7B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVARGRLAEERKLWRKSHPHGFVAKPETMPDGSVNLMVWKCVIPGKEGTDWEGGYFPVTLHFTENYPSNPPTCKFPAEFFHVNVFDSGEVCLSILGDAWKPSITVRQILIGVQDLLDNPNPASPTQDLGYDLFTEDMPEYRKHVRQQAKQYPSLV >Dexi1B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:1B:23354307:23355983:1 gene:Dexi1B01G0016970 transcript:Dexi1B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRLVSLIVDTAWPRAHATAAAHAAAVKAGHAADVFFSNHLIVSYAGSGLLDAARRVFDEMPRRNLVSWSALISSCARSARPELALELFARMELEGARPNEHVYASVARSCAALGALAAGAQVHADALKSGFLGPSFVANSIASMYMKCGCFDEGYGVFRALAEPTVVSYNAVISGLAASSRPEKGLEVFRLMKLRGLRPDMFSYAAAIGICSDLENFNTGAALHCETMKHGLDVTAFVGNVILDMYSKHGTIGEAGQVFLSLEEQKVAVTWNTYIAAHSRRGDHMEALKLFKDMMDAQHVWPDNFTYASALAACAELSLVRHGGQVHCHLIRNREDTDVTVGNAIIAMYARCVRAFDQLRARNLCSWNTLISGFSKLGNAEEAIETFERMKEAGIAPDSITFTGLLSACNHAGLVNQGMEYFNSMSTTYGVSPRAEHVSCVIDILGRAGRLKEAEDHVRSSAFHDDPVVLGSLLSAASRVHGDTTGVGERAARRLLALGPATSSPYILLSHMHASGERWDGVAEAWRLLKHGVARKKDAGRSVVDFRYN >Dexi1B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:1B:26013766:26014202:-1 gene:Dexi1B01G0019790 transcript:Dexi1B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGDGAANANSLFGGGGFVPSQSTAVPESSGGGSGFSKGRNAQTLLPLTVKQIMDASQASDDNSNFAINGVEVST >Dexi6B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:6B:20898599:20903570:1 gene:Dexi6B01G0013200 transcript:Dexi6B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPAAAACTSSAPPVPRRRSTRLLIDPQRRDDQDHQPPLALLSSSKTASTPAQPRRRSGRLIGIRRSGDQPPPSPRASTPPPPAAEAAVAHPPPGGAPTPRRSPRVHLRVRGLPSAASPSTPRRRRRSPTAPRPKSIEAKVEEWRKEKAALGVPEEECVLPFLLKGAPRKVECLICSKSILPDERTQCSVNHCEVTLHRSCSAQTDGCCPQHDAGATNDIKEAFQRLPLPYTIQEFNIDPIKKKDLESGTEPPPYVHLKRNIYMVKNKCDGDGIEGGCANCDHDSTCESCSCRCSLVSCSQACHCSVKCSNKPFRKEKRIKIVKTQHCGWGAIALETIENDDFVIEFVGEEYNPRL >Dexi2B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:2B:28117854:28118234:-1 gene:Dexi2B01G0017750 transcript:Dexi2B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKLRFIKEVTTDADAVQERVLAEILARNADAEYLLKCGLAGATDRATFRAKVPMVEYEDLLPYIRRIANGDSSPILSGSGYPVSEFFVSSGTSGGERKLIPAVEDEFDRRWMPGGLAEPVINQ >Dexi1A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:1A:3696945:3697426:1 gene:Dexi1A01G0005070 transcript:Dexi1A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEGAPEEFDQTIFPVNHNRSIGPAEGLALNLVKEAQRKRSYTDTSNFTLRCGVCQIGVIGQKEVVEHAQATGHVNFQEYK >Dexi5A01G0036050.1:cds pep primary_assembly:Fonio_CM05836:5A:37707608:37708741:1 gene:Dexi5A01G0036050 transcript:Dexi5A01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLARRLLLVALVVVSAVELCRAIPFDEKDLASDQALWDLYERWQAHHHVHRHHGEKGRRFGTFKENVHFIHAHNKRGDRRYRLRLNRFGDMGREEFRAAFADSRINDLRREPHAAPAIPGFIYDAVAADNLPLSVDWRQKGAVTAVKDQGKCGSCWAFSAVVAVEGINAIKTGSLVSLSEQELIDCDTEENGCQGGLMQNAFEFIKARGGITTEAVYPYRARNGTCDSYRSRRGKLVVIDGHQMVPAGNEDALAKAVAYQPVSVAIDAGGQAFQFYSEGVFTGDCGTDLDHGVAAVGYGLADDGTPYWIVKNSWGPGWGEGGYIRMQRGAGDGGLCGIAMEASFPVKTSPNPSSKPRRALLAAREASSGSTSSQ >Dexi2B01G0027790.1:cds pep primary_assembly:Fonio_CM05836:2B:36567587:36567853:1 gene:Dexi2B01G0027790 transcript:Dexi2B01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRARGWPTAKEGDKKEATTTAPGRRLPPAPPCLLGVTPTARSWSSATQRWEKRARRGEIVHDVTEQDGEVGHQDLGQATVELKADC >Dexi6A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:6A:18596540:18597269:-1 gene:Dexi6A01G0012320 transcript:Dexi6A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAGSADAGVAATTGGYDRRRELQAFDDTRLGVKGLVDAGVTAIPAIFHHAPDDDGLDLKAACDDNDTATIPVIDLSGAAPREDVVARVRAATEAVGFFQVVNHGVPDELMAAMLAGVRRFNEGPVEAKQRLYTRDTAYKVRFSSNFDLFQSPAANWRDTLFVDLAPAPPRPEDLPDAVRDVMMEYGDAVTKVAVRVLELLA >Dexi3B01G0035370.1:cds pep primary_assembly:Fonio_CM05836:3B:38152468:38153799:-1 gene:Dexi3B01G0035370 transcript:Dexi3B01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATLVVFLMILCLSTVLTTAAAGAGGATGIRMKLTHRFRRAITFTRQRLASMRGGATTAGVSAPVHWATQQYIAEYVVGSPPQRAEALLDTGSDLIWTQSTTCLRKLCARQDLPYFNSSASSTFSPVPCRDASCAAANSVHLCALDGSCEFLANYGAGGIIGALATDAFVFGSGEPVTLAFGCVSFVELSPGSLHGASGLVGLGRGRLSLVSQLDAKRFSYCLTPYFHNNGATSHLFVGAGASLSSGGGVTTSSMAFVESPKDYPYSSFYYLPLIGITVGETRLAIPSSAFELRRVAEGFWIGGVVIDSGSPFTALADAAYQPLTGELSRQLNASLVAPPGGDSGMELCVPRGEVKAVVPAMVFHVAGGADMVVPPENYWAPVDKSTACLAILDGFDQNIIGNFQQQNLHLLFDVGGGKFYFQTADCSTL >Dexi9B01G0041270.1:cds pep primary_assembly:Fonio_CM05836:9B:41700448:41702517:1 gene:Dexi9B01G0041270 transcript:Dexi9B01G0041270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVINTQAKPRLLKFYSFQPPEKHQELVRGVFQLLSARPDSVSNFVEGMKMVYKHLATLYFVFVFDNSENELAMLDLVQVFVETLDRCFKNVCELDIVFNFNKSV >Dexi7A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:7A:20871103:20881926:1 gene:Dexi7A01G0009930 transcript:Dexi7A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMDISFSAPPPPPDAACAVVPAPSLSLVPAAAVSSSPPPQQQAAAAAPAAVVAPSPADDKVLVSVEVLLHASSAARHGDVQAAVESVAHAYRFLIRMLEARSLSYVDGPVPIPADNSFLLENVKRIQICDTEFDGLWESLLYEVGLKQRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELLRVGILTYPQGGCVPCILSYSTLKEKKHCPEAVEPHGALHLSSLLYEAAELCEVIIKLNHVLFAVSKDSSG >Dexi1B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:1B:5419615:5421130:-1 gene:Dexi1B01G0006680 transcript:Dexi1B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTISRIRLENFMCHSSLHIELGEHSAILTALCVAFGCRAKSTQRAASLKDFIKTGRCVQA >DexiUA01G0021340.1:cds pep primary_assembly:Fonio_CM05836:UA:44252891:44253163:1 gene:DexiUA01G0021340 transcript:DexiUA01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMVPGGGEERGVSAAAACETAARVLGGDASGDAALGEGREGSGEVARGGGLYEVEAAAAEWVTELWAATSGRVRSVRHRAAPRDGRRL >Dexi1B01G0026530.1:cds pep primary_assembly:Fonio_CM05836:1B:31373691:31376374:1 gene:Dexi1B01G0026530 transcript:Dexi1B01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNAPCDIPKPASVDEFLVKNGKKKKSFMSGLFRKKGRDKRLLSRRDRDIVFDFEGKSGDREFLDASSAGIRKSFSDRHCTTRIENLSLSCLDSPRRPNVDTREYRVFVGTWNVGGKPPDSSINIEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVAEDNEPAAKWLGLIYQALNRPPAHDTQSSGDELSPPPASTSSSQTRPGARGDSGNAAAIPKSSSAGVLFPQKPSFKAITKSYRVDNALVKTCTCMSDPCTMQRRAREMREFLYRVEVEAATASSASASPCRGAAADDYGAPPVDGGDHRSGAGMNYCLVARKQMVGIFLSVWVRRELVQFVGHLRVDCVGRGIMGRLGNKGCIAMSMTLHHTSICFVCCHLASGEKEGDEVRRNSDVAEILKNAQFPRICKVPGQRIPERIIDHDRIIWLGDLNYRVSLSYEETKMLLEENDWNTLLEKDQLALERQAGRVFKGWKEGKIYFAPTYKYRQNSDSYVWETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGEFRLSDHRPVCGVFVIEADVDNGSKIRKGYSTLDARIHCESHAILKRHSFYDDF >Dexi3B01G0038660.1:cds pep primary_assembly:Fonio_CM05836:3B:41427522:41430675:1 gene:Dexi3B01G0038660 transcript:Dexi3B01G0038660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGRGPSLKNQQPRPGPRLKPAIEVEDLINLLHGSDPVRVELTRLENELQYKEKELGDAQAEIKALRLSDRAREKAVQDLTEELAKVDEKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLDERRILQGEMQQLRDKLAIAERAARSEAQLKEKFQLRLKVLEEGLRMSTSRTNVSATRRQSIGGADGSSKANGFLSKRPSFQMRSSVSTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNGMNISTDSSEDKESNHSDEKCNEFASAESEDSVPGVLYDMLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEAKKSRRELAAMEKELAATRSEKEQDNRAKRFGCSSGSASSSQLPPGRYFSYILGDDKEGASAGPAGEDGIVEEALLPTSRGDEQLCV >Dexi9A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:9A:3856908:3857551:-1 gene:Dexi9A01G0006790 transcript:Dexi9A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAEAAPLLLPHHQRGGKEDAAAEAGEEGRRCCWWWPWRRSRCCGPEEGRWGEATAEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVPLAGAAIANSLTNVSGFSVLPASATVVLSACGGM >Dexi4A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:4A:23191547:23192622:1 gene:Dexi4A01G0019420 transcript:Dexi4A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTAADPHFLADKDAKVFVAGHRGLVGSAILRRLLALGFTSVVVRTHSELDLTRQADVEAFFAAERPCYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALRCGSVRKLLFLGSSCIYPKFAPQPITEGALLTGPLEPTNEWYAIAKIAGIKMCQACRIQHGLDAVSAMPTNLYGPHDNFHPENSHVLPALIRRFHEAKACNAPEVVVWGSGSPLREFLHVDDLADATIFLMDQYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSTKPDGTPRKLMDSSKIHEMGWKPKVDLKEGLVETYKWYVDKVVSDKK >Dexi4A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:4A:695618:695894:-1 gene:Dexi4A01G0001000 transcript:Dexi4A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPLLQRPRTRGRRRGQGKRRRRRQVGEDGAEGDGGVRGGRVPRRALGGARLRGGGHPRQGAPRFSLSQRRRPVLGRRAVPRLL >Dexi9B01G0024480.1:cds pep primary_assembly:Fonio_CM05836:9B:20625294:20627182:1 gene:Dexi9B01G0024480 transcript:Dexi9B01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSADEGVHVLLVSYPAQGHINPLVQFGKRLAAHRGVRCTLAVARSVLGSSQPPRPGAIRVVTFSDGCDHGGYDEVGDVHAYLARLESDGSRTLDELLGAESSQGRPVRVVVYDAFLPWVLPVARRHGASCVAFFTQACAVNIAYAHAWAGKVKLPVHEVLAELPGLPRGLEPADFSTFLTEQDRSSAYLDLLLQQCLGLEMADHVLVNSFYDVLPEGFVDRAKDMGLIL >Dexi1B01G0024610.1:cds pep primary_assembly:Fonio_CM05836:1B:29881516:29886153:1 gene:Dexi1B01G0024610 transcript:Dexi1B01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTPEWGCARVTIDSLAPLGARAPALRDYCFTGQPQRAPTLTCEVTAGAQRGTGMLSFWFLRQKTQPAGFTSYSTRCRSTRACLHHVTGSFLFVAKGADWRRKRWGALALGVVRKHGAAAGVEDQRMCKPRCRTSDMKAQRFREEGGKIEAVKVILKSSYMHTYKQGEEIGAKVNSLTSIETELPFSYYSLPYCHPEGGIKKSAENLGELLMGDQIDNSPYRFRINVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYIPEGTNDVYIINHLKFKVLVHKYEGGKVKVLGTGEGMEVISETDSDANSGYEIVGFEVVPCSLKRDPKAMLKLKMYDKVDPVNCPVELEKSQLIKEKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGSKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVSGYAAVRLWRTLKV >Dexi9B01G0029690.1:cds pep primary_assembly:Fonio_CM05836:9B:32141810:32142621:-1 gene:Dexi9B01G0029690 transcript:Dexi9B01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFVLRVKLALSFKGLSYEYVEENLRDKSELLLKSSPVQKKVPVLIHNGNPICESQIIVQYLDEVYSATGPSFLPVDRYERAMARFWAAFIDDKFLVSWLKAGRGKTEHEKAEGLKETFAAVETLEGAFKECSKGKPFFGGDSVGYLDIALGALVAWMRTAEVRHGIRLFDASRSPLLEKWVERFGKLEEVMRVMPDIDRLVEHAKLREAEVAAAAANN >Dexi2B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:2B:5787114:5787401:1 gene:Dexi2B01G0006200 transcript:Dexi2B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSPSQARVPYQQGEKKKTQSGMDPRSTQGSGRVRRRRGRTEARRRSYWVDGAMAGAERRAVAECGRRREEGRRAQGDGLDRWSSDGPAISGPR >Dexi2A01G0032020.1:cds pep primary_assembly:Fonio_CM05836:2A:42649459:42650252:1 gene:Dexi2A01G0032020 transcript:Dexi2A01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLGLTGFGFLFTFLGIIMLFDKGFLAMGNILFVSGVLLTIGLKPTVQFFTKPKNHKGSIAFGFGFFLVLIGWPALGMMVESYGFIMLFSGFWPTAAVYLQKSPSIGWIFHHPVVTSLITRFRGRRVPV >Dexi5A01G0035700.1:cds pep primary_assembly:Fonio_CM05836:5A:37432154:37433118:1 gene:Dexi5A01G0035700 transcript:Dexi5A01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCLAFHQCGRSTPFFSATEQKRIIPAGNMDEILTGKVVCPTAQGHLLARDAMTSATFLYNPRNGDTIHLPPLIRVQDAVLVDSHCLLSGDPAAPGCVVLLVEPEDTSIWHWAKHDYDIREQILDVEEDLYDKDVICPIASCQGKFYFNSFSTELGVLEFSPGPVFSFIEIDNTIAADRSYGFSKEDNRPGDVHLVESDGELFMVTLCANTRRDKIYRASVHRMDFTERRWRKVKDLGGRVFLLSLFYFGASCAGGELGLEKDCVYMVYPVRKALLVFNVKEGTIEMRAFDGEVPASDKGFWVLPTNP >Dexi4B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:4B:16119820:16120404:-1 gene:Dexi4B01G0014880 transcript:Dexi4B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQAAAPLPCLLLRSTQPALACFSEYHRSKQQHPHLSSFSPHAATKVACFPPPFVADDSSSQNPPCFLAPPLPVPGRQEDRRREGACGGDEHQDGHADGPRSRNADAGNTDVRELAVHEVDDEHDDYDDDDYAEHEEAERPDEEADAEEVPWTSPARCSMKCPAEPRAPELLPNADEPSLLPRRPLVSTAASP >Dexi1A01G0028330.1:cds pep primary_assembly:Fonio_CM05836:1A:34008791:34010892:-1 gene:Dexi1A01G0028330 transcript:Dexi1A01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSPAADVEASLLAHLNSTGEVPDSRSFASSLGVSHLELEGVIKSLSAFRIVESTDIIDETWVLTDEAKGYAAKGSPEAQLVSAIPPEGATKGALKAKLGDAFDVGMKAAARNKWIGFEKGNKDLVLRKVENFKDELQEQLKRLENGEVIPDEVINDLKRRKLITKDGDWKDLEFKDYNYAAQGQPIAKGYVREAIENIFFMMGIHVFNI >Dexi1B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:1B:2692372:2693059:1 gene:Dexi1B01G0003420 transcript:Dexi1B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSAAESLNTRTDSEKPQSGGAENSETPDKSAEYDLPESLSLDFYAESLGKLNISAESSSKDDSAESAALLDPSSEYLASDSDIQLLGAVAYLSHMFPNVSADFIVDALKLQEFDVDHTIDMLSHLCEADGYGHSGEVKLQHWGIDKSPTGAFTSNNMQDK >Dexi6A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:6A:26425273:26427842:-1 gene:Dexi6A01G0018710 transcript:Dexi6A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRPPPPPCMHVLVKPSRSKRASSVKAVFEVLLIDKDGIPAVVAEIKTWQLGTVECIHTSGVLSLTDLVKKYVKDGQIKFLCTITTILNDDSSIVPARTSGTGIRVPTSDLIRHLGTLLDTADGTDLAFTIDGETFNAHRAILAARSPVFRAELLGSMAEATMTSITLHDIAPATFKAMLRFMYTDALPKEDELGDSPLEMFEHLLAAADRYLVWEPTEVRASVNRTLGALWPLSQSNRGKFVSLIAQSISGTQGPPQRLHGFTPEPEASRIAVAAEAAASAHAAGKPVATTDEERCNLYRRYVKEVWHSVYRHEASRHHQAAGTEPSSGPAAQAQPPPVTVVVSRSGRKRARAPAAPGE >Dexi9A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:9A:11838022:11841905:-1 gene:Dexi9A01G0016720 transcript:Dexi9A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHVKLQFCPSENNSASSSSVASIGVRHGATRGPPRREGCATASSVASIRVRHERPTDNLAERTPHADLLLSALCSVHHSLPHGGDDVLPLKLSIAAAFRRALVPVSRPEIMAPGSVNIVMHHGGAFSKEGALSYDGGQVAFFGNIDKDVFSYNHLVQLARSVGFKDGDNLFYLIPGRSLDDGIDLLKDDTSALEMMKYANQTNCFEVYIQQREHPIVGDTIQEITTTQVNKGHNKKRLNKKREKRIWSADEENALVDILYEMNNSGCKADTGHKCGYMTYIEKELAKRFPNANIKADPHIQSEVKKLKKMLSYVLDIQQHGSGFGWDDERKMVVGDLELFNGWAKSPNGAANLYMKPFVNYDKLCEIYANDLAKGSKAKGPGDDIDLQEEQSADNMTEPSHQSDSVIDSQSHLQCPGSNPSNGNKSSGSRKRKFVEDDVVSCEFSNLSKSLKNLVEVQTSNAAAMNVIQSAYAHELEAQKQTDKRREQLFSVLTKFPEFTRDQLVKAALIIGQDATKLNMFFTTPQDFKSAFIWEVLRSSK >Dexi6A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:6A:6352059:6353724:1 gene:Dexi6A01G0006560 transcript:Dexi6A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHPHHHRHWGGARVPLMPKPSSNPNPRPRHRPGPAGNPSIPAGAAPPPPRAVVPVASPEPGPSPLGRVKFRPSEMTLSEARLLRARLTGELSRVRALLSRIDTWQDGQRRRWAAEPDPHLPPPPPPALAQAMLKRCSGILGRLRKSKNSVWFNTPVDIEGLHLHDYRAIIRCPMDLGTVKQNLVAGRYPSHEDFADDVRLTFNNALRYNPPDHKVHRYASSFLATFEGLYKEAVSWFEQQCQPIEIEPPMQQNLLLPPPLPPQMPVSVPVQAPPRMGVGRRPKPKAREPNKREMDEEEKHKLRVEIESLPEEKMLNVLQIVQKRNSDPALSGEVVELDFDELDIETLWELDRFVVNWRKALKKSQRNSVMNGDAAGMNGDATDVTVVPDEDDLVQVDVNPPMVVEIGDSETDMPEKRATEVEMVDEYVDIGDEMPTVNYQSVEIEKDAQAASSSSGSGSGSSSSSDSDSDSDSDGDDAGSPD >Dexi2B01G0033950.1:cds pep primary_assembly:Fonio_CM05836:2B:41295668:41298045:-1 gene:Dexi2B01G0033950 transcript:Dexi2B01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGANAEMHAAPGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRAGRVVAMYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENSGPAGSDKVDYGSIGASIAVHTMQSEIPVFVPSEAINRCAATEMQSSSSGSPTERSLSCVVPSTHPLLTHGRMSDASKPVQSEQAASQPMQSWHQVGGSTELEQSMQRSIPPASC >Dexi6A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:6A:14720948:14723948:-1 gene:Dexi6A01G0010850 transcript:Dexi6A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGITPEDNSSDEELSDEEDDSGDEAILGNPDP >Dexi9B01G0030270.1:cds pep primary_assembly:Fonio_CM05836:9B:32780317:32781376:1 gene:Dexi9B01G0030270 transcript:Dexi9B01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEFKKKRSPVVTGTAAGGGRGLTDDIIVDILSRVPVKSICRFKCVSPSWRNLISHPDNRKKLPQTLTGFFYFDEFDSCEFVSLAKPPPTPTRNWRLRPPSLCDFAFLPANTGGGALDCCNGLVLLNSRSASGSEAPCASYVVCNPATEKWTTVPPVPESAEVSKICNASILCFDPAVSPHFHVVRLLVAEDDGFTEDDLFEGFQIYSSETGVWVFHPHNSGWSPVEHRSRRTYFNGLLHFITSDQRAIAAMDMKGQTQRIVYVPRSKEVELIGHSQGLFFYANRDDRNTYKVSIFVLEDHGREG >Dexi5A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:5A:9401590:9403241:-1 gene:Dexi5A01G0012550 transcript:Dexi5A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQTMFKGQSKKKTVPPNRHGKAPHIRKGKRVVKPTKFTNDMDAEKELTKFINQCNEIKAANLASKEGGDLHIVKADGDKSKGDQSKSKK >Dexi2B01G0032980.1:cds pep primary_assembly:Fonio_CM05836:2B:40702615:40703791:-1 gene:Dexi2B01G0032980 transcript:Dexi2B01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQRPLLLHCAGSHAESTVDSSEVDKRRSLAATLFCVAIQGADPAALQAGLNYACGPGHADCTAIQPGGPCYKQNNLQALASYAYNDYYQRSAKTANSCDFNGGGTPAASAPSGLSPFTPTPGAGGFGNGSFGSPTSGLVPFDGAESLMSGARWALCSLLLVLPLFFFL >Dexi5B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:5B:22818930:22822174:1 gene:Dexi5B01G0020620 transcript:Dexi5B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSANPKPKRRRRGPRKQGPAEPMVATVGAMAARELGGEGARRDARQAPTVARVVAAAARGRGSGLEGAHGVEDSAPNAGRSAQEAGGVDGSYLTETRFDQCAICPLSLNAVKDAGYERMTRVQEATLPIILQGKDVLAKAKTGTGKTVGFLLPAIEVLSALPRSTSINLLVMCPTRELANQVAAEARKLLKYHRSLGVQVVIGRTRLPQEQRSMQANPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMRKDYEFVNTVQEGDEETHSQVNQTYMIAPLDLHFSILYDVLKKHVAEDAEYKVIVFCTTAMVTKLVAEVLSQLNLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVNDAVGRVEMKSKESAYQAWLGYYNSTKTISRDKARLVRLAEEFSQSMGLAVPPAIPKLILRKMGLINVPGLRST >Dexi1A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:1A:1524799:1526145:1 gene:Dexi1A01G0002310 transcript:Dexi1A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKRSRGERDAPRALEPTGQHLYLIFDDWPWGYSIRKLKLPPRSPHQPSLRPLEHRRLRLLEPRRPKHKPLPPPCICLEATRHLPFLFAAVGTDIIAVHPRNDFLNALVPECILPIFDVRSLGVKFGPGLMCPGAPILITVGDEDVFALDLDCFRMLSMNPLCPLHNLSWCDLPPPPLRNIDVTSFAVDSDGQTIFISTDRATFAFDIVQSEWKQSSYSSLPFTGPANYVHALDIFVGLSKAPDTYGHLCFCRKLGDDENVRPSKENLFSKDPAESHVCATLVYLGGSEPGFCLVECVSITEGKSVNMRLEECDQLVKSVDEEGGNCGELDHLKMNVDEGDGAYGSIPECGELDELKKFVDEGDGASGSVQECGELDGLEEEFVDEGDDASGSMHYRYLYRLTTFSLSFDSNGDLTTGETCVVQCYKVPEGVSDASYLANPVAFWL >Dexi2B01G0030170.1:cds pep primary_assembly:Fonio_CM05836:2B:38429865:38431164:-1 gene:Dexi2B01G0030170 transcript:Dexi2B01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFHPLAASRVRIAPLLPAAVAPSSSSVAAARHHRRRFSVVVATAAAAASASATTEFDFKAYMVERAAAVNRALDAAIPAGEPPAALHEAMRYALLAGGKRVRPALCLAACGIAGGPEAWAMAPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVFGEPIAVLAGDALLSLAFHHMASVGSYPPDVDPEKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGTSEVVPLERLEYIHLHKTAALLEASVVIGAIIGGATDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDATEQLAGFDKEKAAPLLHLAYYIAHRQN >Dexi8A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:8A:2606180:2608680:-1 gene:Dexi8A01G0003510 transcript:Dexi8A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMMQVYPPTTFDEVFDAMFEYIDRLFRIVRSTRLLYLAVDGVAPRAKMNQQRSRRFKAAKDAKDAEMEERLLREKFRAQGKEVQPREAHEVADPNVITPGTEFMEKLSTALEYYIRARLNSDPGWKGIK >Dexi1A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:1A:22037838:22044549:1 gene:Dexi1A01G0015120 transcript:Dexi1A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILLVRDYIDRMLHDIPGMKVLVLDPQTVSATPPPKTLASAPTRFRPDQIRAQVGMLSVVYSQSDLLKKEVFLVETMDNASSSRESMAHLKAVYFLRPSADNVQKLRRHLAMPRFAEYHLFFSNILKIQQIQILADSDEQEVVQQVQEFYADFCAIDSYHFTLNIQNNHMYMLPTVVDPPGMRSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKKIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGYANVPKDQQEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKSSLNLQSIGLNLVLRDMAKFVSNYPEYRKTHGNAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASSSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLIVQTMEGIVKGRLRDVDYPLVGNHFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLEDLGEAHRISKSSTTI >Dexi3B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:3B:14035300:14047170:-1 gene:Dexi3B01G0018910 transcript:Dexi3B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYATEPRPPPGDVYEESSLHLENHRFTYKELEMISSVCLAEEGLGKSMMASWRMALRWQSSCGLNLPTKAQILTRIHHKNLVSMIGYCKDGQYMALVYEYMPEGTLQEQIAGNGRNGKRLTWRQRLLIALDSAQGLEYLHKGCNPPLIHRDVKATNILLNTKLVAKIADFGLSKAFNHDNEAHVSTNTLVGTPGYVDPDVWKVTDIALKCTMQVTAQRPTMTDVVAHLQECLELEEGHHVGDSTTGSLFTGSSGDLDLGYNTYMAGSQSTEVSQTSTIFEMDHNFGKVHAVHRVAHLLFSLGCFACAMKHSKPRTMAATSWLLLICLAAAGVLQARAQPDIIGFISIDCGLPGTASYVDDVTKLLYVPDGAFTDAGSNHNISAEYITPTLSKRYYNVCLLNTGSGTPFISVLDLRPLKSTLYPQVNATRGLVLLDRWNFGPTDATDLVSAWSVISTTDKVLTIGEEDLFEAPSKVLQTAITPRNASDNIEFSWSREAQPKDPSAPGYIAIMHFSELQVVPDNALREFYVYLNGELWYPVGITPFYLSANFAYDMDPLPDSAQYNVSINATANSTLPPFINAVEIFSVISTDNVGTDSKDASAMMEIKMKYLVQKNWMGDPCVPKTLAWDGLTCSSTSPPQITAVNMSFSGLDGNISSYFADLEAVQYLYVSRSTTNTVKPENETPTNHVPQGDVHAQSSLQLENRRFTYSEIEVITDKFKRVLGQGGFGKVYSGSLADGTQVAVKVRSQTSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGQHMALVYEYMAEGTLQEHIAGNGISGRCLTWMQRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLNEKLEAKIADFGLSKAFNHDNSTQFTINSLVGTPGYLDPEYYATRNPTTKSDVYSFGVVLLELVAGEPAIVRDPEPTNIIDWARRRLARGNIEGVVDARMRGDHDVNSVWKVAELALRCTVQPSSQRPAMADVVAQLQECLHLEAARSGSGHAAATGSFYTGTSRDPNSGYSAHSGESIVDDERHSSSIAFEMERVGREPRMDTGPAAR >Dexi4B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:4B:10142174:10149083:1 gene:Dexi4B01G0012340 transcript:Dexi4B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVMPGTMARALLLCIILYSLCSVSTGCGLERNPADSSPEQKAHRRGPHFPAQTKHEPPSLAETLRRDEARRNHITGRSARHMAVQPQTNGVVIPADLGYSVDSLEYVVTVGFGTPPVAQTVVIDSGSDFAWVQCKPCNSGKKDHPFDPRRSSTYAPIPCDSDACRNISDYMGNVCKRQADPGSRSRRGGLPLRCSHDQELWTDMSDGLIGLGSSPESLVSQASPSHGGAFSYCLPPTASSTGFLALGRPSNTSGFVFTPMHPSDHVAVFYRVTHTGISVAGQPLDVPPAAFPHGGYGMILDSGTVVTWLPAAPYAALREAFRRAMAAYPLAPPIHPVDTFYNLTGYSSVTVPSVALAFMGGATVELGNPSGILVEGCLAFAGLRPDDHGNGVIGNVNQRTFEHDPIFNPSKSDTYAAVPCRDKECTAAAGKCHNSGTCIYKVNYGDGSSTSGVLSHETLLLMSLRALHGFVFGSGEKNLGLFGDVDGLIGLGLGHGKFSLSSQAAKSLGATFSMGMLLDSGTMLTYLPSEAYTMLQDWFRFTMKQYKLTPVQETLDTCYDFTGQAAIFILAMSFKLSDGAVFDLDFFGVLNS >Dexi2A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:2A:27530772:27531405:1 gene:Dexi2A01G0016030 transcript:Dexi2A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSDLLVGGRSSSRRRYKKRKQFQTVELRVRMDCDGCEMKVRNALSSMKGVQSVEINRKQYKVTVQGYVEPHKVVKRVQATGKKAEIWPYVPYNQVAHPYAAPAYDKKAPPGYVRRVDAIMPVSSYGGPTAAGPQEERLVTMFSDDNPNACSIM >Dexi2A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:2A:10057836:10060427:1 gene:Dexi2A01G0009430 transcript:Dexi2A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALNDSHCAAIPSGSTAMAAAQPSSSDSLAPPLRRRSRFVFDRRYGWIFDEWKDPGEQALPGGRGMFCALTIARSLVNSAASSRPGAGGLPVAAAAAYRELITLRLPACVPSGATRKRGTDETRSCDANEDYASSMTQLSSGMLSEG >Dexi5B01G0021960.1:cds pep primary_assembly:Fonio_CM05836:5B:24231513:24236611:1 gene:Dexi5B01G0021960 transcript:Dexi5B01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRLIAQALGLPLIPPSIPEEETSPFPTGANFAVLAATGLSPDYYTTKYNFKMPSPSCLDLQLQSFRKVLARIAPRDAAARSLLGESLVVMGEIGGNDYNFWLLDRSHPRDTPSQYMPDVVGHIGAAVQDVIDLGARTVLVPGNFPIGCVPAYLNSFQSNDTSDYDQNGCLVWFNDFSQKHNQLLRQEVARFRSQNPGVKIIFADYFGAAMQFFQNPKRYGIDDPLVACCGGDSRYHTSKGCDKNTKLWGNPAKFASWDGMHMTEKAYSIIADGVLNVPSHPAHLCRPPGQPHTSLCSCYKRIFSFGDSIIDTGNFVHMLDNDQSRYNEFPYGMTFFKNATGRFCDGRVLVDFYAQAFQLPLIPPNLPEQDLSLFPNGANFAVAGATAMPPHYYLKWNHSVPIPYSLDVQIGWFKQMLQPIAPGDDGAKRKQLLNESLVVLGEIGGNDYNNWFTVAKHHPQEQANQFIRDIITYIGSFIHELIDLGATSIMIPNNFPIGCVPLYLSMFSISNPTDYDKHRCLRWFNDFSMHHNQALRSEVDRLKAQNPT >Dexi2A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:2A:3921612:3922917:-1 gene:Dexi2A01G0004460 transcript:Dexi2A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVDRSSSKRSTERARRGKNNFDVARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVIGFQEIVPLNAGNVLGSEDNLPAKKWVSLIRRTLNKNPGASGCGGYHTPSPVLDPVVELDADFEGSARRQENFPFFHRRSFHNLSRSLRMDGDYMFPQPRLDRRFSVCDPVNLGGRPSDFDENLRCPGSPDEENIDMEVSDATQFSSFPHSYTASVPSEQNDEQSNRSRYFE >Dexi7A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:7A:21989771:21990493:1 gene:Dexi7A01G0011540 transcript:Dexi7A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTAAMLFYCVALSVVAVGFAVPEKSPKFISMAALECSDNITATTSSRKLAQVECPVRFEHAPGLDTVIKSCRGVPSAQRCCGAFKTFACPYRDLIDDNDQNGCASQMFFEIIVRGRLRPGLFSHLCVEGPYGLMC >Dexi5A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:5A:5813319:5813945:1 gene:Dexi5A01G0007810 transcript:Dexi5A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSTATTSPGSGGSKPNLGKAMATILALPLTPISKAKCGLLLFKKRASAAARRRCYKPFRHYNYAYVGEYQFSPSRSPLLPGPPPPGVTAWRRAAAKKRRSRARMILASLFCGGDEVDVAVLDGLVRREDARGEREQLVLAPALEWPRDVDDGAYADEDDDDEEEVVEYGEEEGDEEVDGRAERFIQRFYAEMRLQRQRSLVQRLL >Dexi7A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:7A:2784355:2785045:-1 gene:Dexi7A01G0001070 transcript:Dexi7A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQGPAAPRALVVEDIKVDLVVLLRMLRKLNCEATVAHNGKEAVDLFLEGKTFDIVFFDKDMPVMTGPEAVTKIRGMGASGVKMVGVSADFGGLEAFMQAGADVFVPKPMKLETLDAMLQEVIGKKNMSG >Dexi5B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:5B:5298308:5298930:-1 gene:Dexi5B01G0007820 transcript:Dexi5B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEESTAHRHRFYVATISYPFGVIPEEAMETSCGETGFQVRCTNNTPYLGYTTRRWFQIRRIFYDNASLLVADVHKLGGFFNSSSGSESCHIPTNNTPAHLGLPFSISRVNHDLIFYNCTKAPAAAADEGLVETRCGNSTFVRAGGRYGEVSSSYGGYFLEDCDAAVVPVLGSSGRMNASSYEELISDGFLVTWQLPQSPPSAG >Dexi9A01G0042060.1:cds pep primary_assembly:Fonio_CM05836:9A:45600169:45606749:1 gene:Dexi9A01G0042060 transcript:Dexi9A01G0042060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLVGGDLAGPEMAQPYMKKDDDDEEVCYAMQHYHSKIFCNIRWFERLKLCMFSAVEYSPFFGIEKGAVLQEARAFHDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSSDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPAVASAALVSGIHLAQANPEIVKRWSNEVQESVQSRAPLVQFHGLALIHQIRQNDRLAVSKLVSSLTRGSVRSPLAQCLLIRYTSQVMRESSMNSQNGDRPFFDFLESSLRHKSEMVILEAARKITKMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDTNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMLNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDTLRVRDRATLYLQTLGGEVAIGNSEKDAKDFLFGSFDVPLANLEASLRTYEPSEEPFDISLVSREVRLLPLQEKKAPGKKAPAAAAAPAPVSAVDAYQKMLSSIPEFSGFGRLFKSSEPVELTEAETEYAVNVVKHIYENYVVFQYNCTNTIEEQLLENVTVCVDASDAEEFSEICSKPLASLPYNSTRQIFVAFEKPEHVPAIGKFLNLLKFTVKEVDTSTGEADDDGVEDEYQLEDFDIVAADYMLRVPVSNLRNAWENMDPDSERVDEYGLGVRESLAEAVSAVINILGMQPCEGTEVVPRNARSHTCLLSGMFIGDVKVLVRLSFGLSGPNEVAMKLAVRSDDPEVSDKIHEIVASG >Dexi9A01G0039720.1:cds pep primary_assembly:Fonio_CM05836:9A:43572712:43575052:-1 gene:Dexi9A01G0039720 transcript:Dexi9A01G0039720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKDDGPAVGIDLGTTYSCVAVWQSDHSEIIPNDQGNRLTPSCVAFTDDAERLVGEAAVNQAALNPTNTIFGNGMEKDLFEVMATAGDTHLGGADFDNEIVKYALREFIRKHGDMGINNNQKALRRLRSACKRAKRMLSSTTQTTVEVDSLHDGIDFFTKITRSRFEDLSKDLFSKCMKGLEKCLQDAKLDKSSIHDFVLVGGSTRIPKVQSLLQEFFDGKELCRSIHPDEAVAYGAAIHAFILSGKPGEGRMVDILLRDVTPLSLGIRVAIPTIGMVPTVYDVMEMVIPRNTAIPTKKTKHGYTTLNDNQLSVPITVYEGESASTKDNHLLGEFVLSGIPPAPAGVPCIDVTFDIDANGVLNVSAQDKTTGRTNSITITSHSGRLGKDEIKHMALEAERYRRN >Dexi2A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:2A:13252847:13255974:-1 gene:Dexi2A01G0011380 transcript:Dexi2A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGKQPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYSRLTWWEQIDNGQQLTRNRKFLTVPMFFLNTVAVFVLVVAKLPNMHKVRIFGINADI >Dexi2B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:2B:7516851:7520545:-1 gene:Dexi2B01G0007160 transcript:Dexi2B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATTTFLPPIPTPRRRLAAAVRRPPPIFTGAADSVPPLEDEDSSDDDDAGGGEAAPRRSGRKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTSMAAHVAAKKADLEVSMLLRDDLVCRSINHSHINCKYLAEHRLPENIVATTSAADALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLASAVQQLLASPNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDQIMSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVMELMNLPQVNICFSIPNVEEV >Dexi4B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:4B:8470838:8472010:-1 gene:Dexi4B01G0011050 transcript:Dexi4B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLEHAHHMPSIDDKSIHFMKRMYESSGIGEHSYLPTSSHYLPPSHTLSDARDEAEEVMFSSIADLFAKTCISPEAIDILVTNCSAFNPEPSLGDMIVNKFKLRGDIKTIHISGMGCSAGLISVAAARNLLQLVAPRQVAHALVVSTEIVSSMHFYTGTNRAMLLPNVLFRMGGAAVLLSTCRSKSRFKLMHSVRTNTAAQDKSYQCASQQEDDRGELGVNLSKDLVAVAGETLQANITAIGSLVLPFSEQLLFLFSLIARKLLNSKIKPHVPNFRMAFEHFCIHAGGRAVIDAVQRSLSLSDEDVEPSRMTLHRFGNTSSSSVWYELGYIDAKGRMRKGDRVWMIGFGSGFKCNSVVWQCLQSTSNLDGPWARCIDAYPVGTTKVAR >Dexi5B01G0022610.1:cds pep primary_assembly:Fonio_CM05836:5B:24857437:24858244:1 gene:Dexi5B01G0022610 transcript:Dexi5B01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRRTDPVEPLFRHRRGDAGADDCPPPGAHRHSSSAAAEAAPSSSSSSSSRHARALADRPSCCRARNSRSSRRSRPSGTRTVAVSGGSYSSPGGGDGGRPKIGYTGTMHALAANGGAGKLNPSSSSSSSASTSTAGGSPGRSAAGPWPAVAARAERAGGVGWGNFGIGTGAGVADKGRAPCRWVVGHATGLGPRVSGWQLRWFERVGLSRDAYVDAVCPERA >Dexi1B01G0022640.1:cds pep primary_assembly:Fonio_CM05836:1B:28331464:28331981:-1 gene:Dexi1B01G0022640 transcript:Dexi1B01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVATILLALAIAPGLAVGSSPAASAATDVHGLAAAAVNLTAVKAASTLSVITYLVDDLTTSRGQYRNMLQSLAGVLVDLGAGRFESAWLELSAHATSGPDGCDIMLFEGNAHKDPISQENSENDLLVRLATDITDLLKRKPQTN >Dexi4A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:4A:13771006:13776356:1 gene:Dexi4A01G0013670 transcript:Dexi4A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAAAAAAAREREAEIEKAMRARVPDFKKQADSLTLEGVRRALEKDLGLEIYSLDAHKKFIKQCVDKVFAESDDENTNDNASEDAEAKDDHLSKEGPDDAKPMLNLNKTTLTLQGVRRTLEEDLKLQKKSLDAYKNFITTELDKVLQEPANGTKKKSKKESPMDTDQKTSKGSKRGREDSENSGLNDSQSEMEDSDEDTRPTKKKAEKAKVIKKQKKVAVQKKQSTPKSKKVARRDSDRSADEQGGNSAEEDNSHSSAEEDNKIKRQQTPTYGRQVEHLKSIIKSCGMTIPPTVYRKAKQAPEHKQIKAVKKRKERAKELEGIDMSNIITSSRRRSTSSFIPLPPPPKIEADSDDDDDDAEDDDEDEENVEGGDEGDNDDAKAGDDSADGMIAFFK >Dexi9A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:9A:2453593:2456586:1 gene:Dexi9A01G0004530 transcript:Dexi9A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMTRKEKKKKRKKRREEEEASSPPRPAWSSGPERGAAGNDETSQRHHHHLPFLLLLRPLSPSPSRPVPAIQTTSSTATCACPPASRYKNPPAGPGSGAFQRVSSLRSGDLLRLCQRRHEPSGGGAFVGGDLGMEAAVVGAGGAGLTRWQAAALSAVAGWVWAASFYDLTRRARALVQPWVTRRVHAETTAILRFQRLQHKLLDNFFAAVSCVVSVPFYTGFLPLLFWSGHNKLARQMTLLLAFSDYLGNSVKDLVSAPRPCSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLTGYLLHYVLTYGEHGSVMTAVGLSLVFLLVLLVGIARIYLGMHSLIDVVAGIGFGIIILAFWLVVHDHVDAFVVSGQNVASFWAGLSLLLCFAYPKPEFPTPSFENHTSFTGVAFGIVYGIQQTYFHFHTPDAPLIFSPQLPLLVFAGRVLVGIPTILVVKFCSKALSKWLLPVMCSTLGIPIVSSCYVPALKVNSSKSKPDAKQPAGYLQRLFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLNL >Dexi3A01G0030730.1:cds pep primary_assembly:Fonio_CM05836:3A:35085398:35088839:1 gene:Dexi3A01G0030730 transcript:Dexi3A01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPHLALPPRSAAESFFTGAGDASPGPLTLASALFANDGGPGGGGGGSSTATFTQLLTGSLTQQPHQQQHQQQQREAERGRGGGVARAGPALSVAPPASASAGASVFTVPPGLSPSGLLDSPGLLFSPAMGGFGMSHQQALAQVTAQASHSPLRMFDHSEQPSFSAAAASSGALQHMNSSANMTGMTEMAITASNNDNTSFQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRVKDGNSSAADQNEQSNDTTSGLSGAKRDQDAIYGMSEQASGLSDGDDMDDGASRPHELDDADNESKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRF >Dexi4A01G0021790.1:cds pep primary_assembly:Fonio_CM05836:4A:25200923:25203221:1 gene:Dexi4A01G0021790 transcript:Dexi4A01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDTIARLVDWCTDYCKKQRKRETAAKPKDHRVFYACCQEFLRQAKAARLFNASVDSALEDSFESNLSKAFGGLHRLDMFFPFDPCLLKESDRYIRPNFEFWSLVKTTYSNNNSDNDDDDDELGDFDAPGMNVDSLDDNVEIELNSDDDGDGIEYSMNKMSITPHRSFYHPMAMDSDSGLTMPARIRPSVSPPS >Dexi9A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:9A:8047245:8051898:1 gene:Dexi9A01G0012620 transcript:Dexi9A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNKALTALFSLLLLAPLLVASDPDPLQDFCVADLTGTPSVNGYPCQPPSSVGDEFLFSSKLATGGDPTLNPNGSNVTRLSVNQWPGVNTLGLSTNRIDFAPGGTNPPHVHPRATEVGIFNVGEEAATMVVSFNSQNPGIIFVPQTLFGSSPPIATPVLVKALRVDAEVVELLKSKFTSGSAAPMHDGGFADLSVGIW >Dexi6B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:6B:1929960:1931254:1 gene:Dexi6B01G0002210 transcript:Dexi6B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCFLFGDSFCYCVDSTKDIDESSAQDLCSSVSYAKANPMLIEVPVLGTTKKFWRLSDKATRISRKLVLILNSHHAVGKYLTAPLQVPNVWISSTGIVKLRGVSFTSKHFSIERVRDDYKHLSTVLLVLISISGGDITKLPPDYEEFIMLLRRNTLTVQDYFLIVNNSALLPMKNRTEVFLMLCDKINKCLRRTEAGQAKRKRIVSKLPYENDWLDTAIANERINQWVVNVQNKYERTQYDQLRLNRNVRCHMHDYSDDDDVEEILYCEWPKLLMEMVRLLHWEGELQGTDIQNKFG >Dexi9B01G0033690.1:cds pep primary_assembly:Fonio_CM05836:9B:35825396:35827996:1 gene:Dexi9B01G0033690 transcript:Dexi9B01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTNGRESNGDRSRKGGGGDVPPPSIQIDMSMVRRRGGGGGSSSFFEPWTPTPGSGSVVVRGGGSSGSSGDPTPHHPSGGGGREPPEKLLTLFALRLAVLEKAASGLGTLNFVWATVVLLGGFVSNLTLTDFWCITVILVGEGARVFGRSHELEWQHHATETSSTAAAAGVLRSSSRFFRRVLHFHAAVSDDGGAAAGEARANTKSSQVQRQLAAVAKQQRGWHAPDVSLLPYTSWVISAVSCVALSLMRLWKHDFFAGGSKNMRPALLLFYTLALLEASLFLLERAYWAWQFDRCKLLHKVADDCHLGACGPIAIRRFFYDAYSKCIDGSIFDGIKMDLVTFAEDLILSDFLDEQLIGVRILEQFATNAAASTGDTLRKIGTSPQSVERLVEMLSWKRRYEEDLRRSAAEVVCKLAGKRQNALRLSGIPGAIESVASLLYTGRGVAVSGMHPQPNAAAGGEDDDYLAFNLLGLRILKKLARDQDNCGKIENARGLLSKIIDFTKTLPNLLQNRHASDSQVRAVKHALQVVKRLVSTTGNTGEALRRGVAANVFAVCSLRGILRYGQLHRELQKLAIDVLAALAMDDTGKEAIMATGGLVKLLLSTFAGVEEEGEIGCNAGKALAMLALESEKGCAAILKQRADVVDLLVSALQDDGSDDRRRLNAARVLRSLCAYSGPKHRERLRVATKALPLVLRITMKENKELDNKVLEVCVGLTTQICRFIDGERFADELRAAGVEERAYVQRLARILRDYKYPEIRVPRMRRFVVQQVVWMMTCSRGDVYVELLREVGMEGLLGSIADTTSELECYHAFSGSVGIGKHRESFSGVVDIALELITGGRPRSSSTQG >Dexi6B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:6B:19474773:19476323:1 gene:Dexi6B01G0011890 transcript:Dexi6B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQEEVSTKDLHQGYVEIWHHGLFHIKSSALLCAVGLGIPSAIYRLGGAATTSDIATETGVHHSKLSYLRRLMRMLRFCGIFTADEPSEGEDETIYRLTPVSQVLVDDQGKVPSTPYDMSPLLRVIVRPSTAVSTFFSLERWFRDAGDKTLFEVAHGVHPWTLTKNDASYNKAVNDSMVMDSSLFMDIMLKEVGGTDIFRGLTSLVDVGGGLGVAAMAIAMAFPHIKCTVLDLEQVISQAPSSDGTVEFIVGDMFEYIPPADAVFLKLTNWEPLHVVVVPSE >Dexi6A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:6A:1592952:1595002:1 gene:Dexi6A01G0001690 transcript:Dexi6A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDDISMSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASQEIDLDVIRDVDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNSVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPTSGETAAAATVRPSSSSAGLDLSAAPIHVTAASAAASDGGQEDGWVVCRVFKKKHHHKESSSSGGGGGGGKHHGGGHDGGKSVAAAAHHHGGGLQYSSSDEALDQILQYMGRSCKQEHEHLLSPPASSGGAGTGRSSAARYLRPIETVLHGGHGFMKLPPLESPSTLTTPATTTTAHVSDHAGAGDEDVPLHGGGTNGITDWAMMDRLVASHLNGHAPDAAAAGGSPADQLCFDGGADDVDGLAFYSAAAARLLAGGGGSSEDDLWSFTRSSAPTGAATSATERLSHVSL >Dexi9A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:9A:5993034:5997946:1 gene:Dexi9A01G0009930 transcript:Dexi9A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLLEASAPARLMRPAPAANGAAAGAGGGNRRRGGRWWYAVAGAFLVALLAVAVSSRSFPGTPSSSRGGCGCPPARKYTGMVEDCCCEYETVDSINEEVLYPILQELVKLPFFRYFKVKLWCDCPFWPDDGMCQLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQAAVDKTLDSKAFKGWVETDNPWTADDETDNNEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPKYPSEEMCHEKKALYKLISGLHSSISVHIAYDYLLDKSTNSWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEDDLKTQSLVKQLLYHPKLRSACPKPFDEAKLWQGENGPELRQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGDNHLNQPLQLQRNEVIALFNLLNRLSESVKFVHEKGSSIEEVIKVQSPPNVKMGASKPNLKLNSSSSGSRRNEQADQQPECRTTEASPVHGRPASVRRGKTSRTVADTGDAALPSSLSMARTLQTPHRSACPHGDEGPAAELQQRAHGHVVRARACKEPDASCRAASTACRLQSYRTGEQRPLAA >Dexi2A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:2A:12130825:12131566:1 gene:Dexi2A01G0010800 transcript:Dexi2A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPELLEVMVIMFTVIVFGLLVIMGVFRIQRSTSSLVISVLSAFSAWGLIRVKRLNFLLQRTGRFDQRFSLTELHLFEVASSLMLLLILLFPVGPDRPDLQGILRMVMAWFNHPERLPVALLLLVGLAITSYGIGVFFAKGLPAGSLFRGDFCVYLVVAIGLVTVTAAAGVWAHRVPVAQMAGFSLTVYILVLLASSCTEGGWGSSVI >Dexi5A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:5A:9716181:9717263:1 gene:Dexi5A01G0013000 transcript:Dexi5A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAGAGSYWCHMCAVAVSPAEGEPEMKCPHCHSGFLEEIETARSDAATDGSGGALTEVYPGGADRASSIWAHAILNTVDNSVRRRRSRRQPEHGHGGGDVHDWDEHDFTRRRRRVTAFLRLLHEIRERQLQRLEAAAGVALEGGDQLTPFGRSLFIGAAGVPGAGAEHGVALGDYFFGPDLDALVQHLTEGDAGRHGTPPAKKEAVEAMPTVEVAGGGDNGDEASSCPVCLEDYAPGERAREMPCRHRFHANCIVPWLEMHSSCPVCRFQLPADDGNKSSCGGGGGDSGGYVSVDGDHEGNDNGGEDGRAGSGGNAEAGRVAEESSRRLPASIQWLNSLFPPSGGGGGSSSSSQHWED >Dexi2A01G0037330.1:cds pep primary_assembly:Fonio_CM05836:2A:46891876:46893653:1 gene:Dexi2A01G0037330 transcript:Dexi2A01G0037330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKGNSSSDKGDGNLSTSVKSVKAFCQPTDYKETCEAELTKAAGNGTSPTELAKAIFSITSDKIHKAISESATLNELKNDQRTKGALDNCRELLEYAIDDLKSTFDKLGGFEMTNFKKAVDDLKTWLSAALTYQDTCLDGFMNATTTEASAKMQNALNASQELTEDILAVVDQFSETLGGLSIGRRLLDDDGGVPDWMADSSRQRLLLEAGADLKPNVTVAADGSGDFKTIKEALAKVPPKNAAMFVVHIKAGTYKEYVSVARPQTNVAFIGDGADKTIITGNKNFKMNLTTKDTATMEAIGNGFFMKGVRVENTAGAENHQAVALRVQSDQAGRRDKRSVGGTVLHNCTIEPHPDFKAEAGGKIATYLARPWKEYSRTLYIQNDIGGFIDPKGWLEWNGDFGLETLFYAEVDNRGAGADMSKRAKWGGIKTVTYEEAQKEFTVEVFIQGQQFIPKFGIPFIPGLLPQTQQGRTH >Dexi3A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:3A:15767161:15768006:-1 gene:Dexi3A01G0019870 transcript:Dexi3A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLPSSAAADVEEAHPGPGADADGARRSPKPTLNGAEERDGWKRQGKDRRHGVWPSHQSWGGRFLRKESARALPSPPRRGIFVVLRLACRLGFVVAAAAAGHSELCSSRHAGGAGGGRVVRAAAAQALPSPPSPGIFP >Dexi2A01G0026770.1:cds pep primary_assembly:Fonio_CM05836:2A:38336814:38337147:-1 gene:Dexi2A01G0026770 transcript:Dexi2A01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNCLYVAAASTAASAAALQWWASSLLEGGAGDGDWLGAVLRSRVTVALLANLAAHVFLVIVLALKVTLAPLFLGD >Dexi2B01G0026230.1:cds pep primary_assembly:Fonio_CM05836:2B:35365987:35369073:-1 gene:Dexi2B01G0026230 transcript:Dexi2B01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKSRRGRVSSSSAAASMAALAAAAAAGGDGSSSGSPEGSSLPPHGEEDQKPAKLAAVGGATSASPVPARRGAAAAAGAGGGPRCQAERCNASLNDAGNYYRRHKVCETHSKAAVVLVAGLRQRFCQQCSRFHELAEFDETRRSCRRRLAGHNERRRKSSADTHTGGGGGGDGCRHADQDGRGHQGNPPPNHFQIR >Dexi5B01G0036270.1:cds pep primary_assembly:Fonio_CM05836:5B:36052624:36056219:-1 gene:Dexi5B01G0036270 transcript:Dexi5B01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSSPPHSQENPPEHGGELGEAPAEEIGGEAADDFLFAEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSSAYTNTAGGGGGAAAADPASGGEGRFDALDDIDQLLDFASLSMPPWDSEPQFPDVSMMLEDAMFAPPHQVGAGDERREGKAVLEGTGGEEAACMDAAAAEPGEELPRFFMEWLTSNQDLRGIRLRRSTIEAAAARLGGGRHGTMQLLKLILTWVQNHHLQRKRPRDAIEEAAAGLHGQLSSPRASNPGYEFPAGGHDMASGGGGASWMPYQQPFTPSPAAYGGDATAVYPNGGAGGQYPFNQSSSTSSVVVNSQPFSPPAVVGDMHATGGGGNMTWPSQQYVPYPGASTGTYPMPPVVPQPFSPGFVSGGQFAGAGHGHTMAPQRMAGFEASATKEARKKRMARQRRLTCLQQQRSQQLNLSQIQVSVGHQQEPSPRSTHSAPVTPSAGGWGFWPQQGSQQQQHVQNPFSKSNSSRAAMPQQVPRSPEVAPAAAPPPAMPAATGARQEESPQRSGASDKRQGAKSDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEIHLPELKTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDVKSGKYLIRGVKVRPAQQEQGNGSSVVGKHKHDDGVADGSSKPDGACKGRSPQGVRRVRHQGAPSMAVSI >Dexi3B01G0024940.1:cds pep primary_assembly:Fonio_CM05836:3B:19691961:19701031:-1 gene:Dexi3B01G0024940 transcript:Dexi3B01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDGGGKYICHGAMEGGSRRWRFASPNPAAAAAGEWSVRPYLLDLRGCLDEQGPRPVIPLSIGDPSSSLSFCTAPEAVEAVAAALRSGEFDGYASHDTDLAARRAVAEYLSCDLPYQLSPDDVLLTSGCTQAIETVMSVLGQPGANILLPRPGYPKHEAHAVFHNMEVRHYDLVPEKGWEVDLEAVEALADENTVAIVITNPNNPCGSVYTYEHLSKIAGTANRLGMLVIADEVYGHLVFGSTPFVPMGVFGETVPVLTLGAISKRWVVPGWRFGWIAICDPKHILKETKVIRNSHVHIFFIINLVSGTGGLTCGHPFSPSQSSQVHNNLASGMQGDDDKQKGPQTDDSMLQQILLRLDALAKDVVVLPSIQVRLDALETRQPPSPKATTLPEGFVYGMPGFGASATYGSSAAAGASTASAVITSSVGVTSAPIPVFNSLRSFKMLTSDPATFIQVSLWVKLDLSQLSDISDDVDFCRKLAKEESVMLLPGMS >Dexi3B01G0030200.1:cds pep primary_assembly:Fonio_CM05836:3B:29687584:29690052:1 gene:Dexi3B01G0030200 transcript:Dexi3B01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANQCLRRPLSPCPRLPFFPYLPAPPSFRRARPPFASWRPPPPAPPSSPAPPLAKKGASGTNREAAEEDGAVVDEGAEAEEQLEYGDGGYVSSVGTNFSLPARLRAARAAPGGDPVFFILAAVAVTTCVAFTGMVVVAIPTMLAIRRAANSFSMLADAALKELPSTMAAMRLSGMEISDLTLELSDLSHEIADGVNKSAKVAQAVEAGIGQMQSIARLHAKSMIEERSNLRTIPTVGKDKESNKSSSRH >Dexi4B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:4B:20608083:20616948:-1 gene:Dexi4B01G0018250 transcript:Dexi4B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAGAGAGAARGRGGGGGSGFGERPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEASRLSKRRLETEKQRNDATADMSEDLFEGVKGEDAGDPSVAYGDSTNGNTPKISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQIIPPGVEFGHMIHDFDLYGDEDSPSPASEDPSIWFEVVKLSSDLYMQDFTYCLTYPIMRFFTNPRKPMILAIARPYAEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMNKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHSIADALYKMLSEKQFWYLSIRWGIELPDAVVIVGETGDSDYEELFGGLHKTIILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSSGDIRSAMQQLGIPAQ >Dexi5B01G0034940.1:cds pep primary_assembly:Fonio_CM05836:5B:35070229:35074471:1 gene:Dexi5B01G0034940 transcript:Dexi5B01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAEPVSVEDLFTSIHRHIEAGQFAQAAKVAGQVLKAAPGDEDAVRCKVVAHIKADEIDKALAAMRAAERLPIDLSYYKAYCYYRQNKLQEALDLLRGQEESAAILQLESQILYRLGRMNDCINSYEKLQKFKIDSMDLKINIIAALVAAGRASEVQAAMKAQKVDLTARALRDARSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVEDYGEGEIEFELAPVSAQVAYVQQLQGQSQEAMQTYVNMIKGNSADGLPIADPSSSAVATTNLISLKGTKDAADSLKKIDRLIEKSAAPNQLQLIENLDFKLSQRQKEALYSARVLLLLHGNKTDQAHELVSGLLGMFRDSVFPVLLQAAVHVKEKKVQKAEEVLSQYAEKHPENSKGVLLALAQIAANANHFQLAADSLSKIPDIQHMPATVATLVALKERLGDSNGAASVLDSAIQWWKNSMTEDNKLDMFTREAAAFKLSHGRDEEACLLYEELVKSHGSIEALAGLVATAARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARHVEGPQDMKVDVPEEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVTRETAATNAGGSSKGSQATGSSKTPAANTEQPKTSNKSRKKKSRS >Dexi3B01G0027410.1:cds pep primary_assembly:Fonio_CM05836:3B:22954448:22954825:1 gene:Dexi3B01G0027410 transcript:Dexi3B01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARRRRHPGIRVQQRMVVAALGDPRSTTDGGSDARGSAFDRWRHGGGDGRGSAFNSGSMAAAMPGDRIRQRTTVAAAAPGDPGLGSASDGSVCRSAGGAAFPFCRRTGEDTVLSVINGKWEGA >Dexi5A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:5A:9312563:9312962:1 gene:Dexi5A01G0012380 transcript:Dexi5A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLAIEIRDLKDRALKVSERRLRYRVEAAFGGASDACAAGRSTPDYNHLERQLPALNIDEWQLVGINEKTKSVVKLLEDGNLACFKVVPIVGFGGLGKTTLACLSIMIFAYY >Dexi3B01G0022740.1:cds pep primary_assembly:Fonio_CM05836:3B:17423512:17429611:1 gene:Dexi3B01G0022740 transcript:Dexi3B01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRTRSFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNILGYDVIMVTSGAVGVGKQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDRDFENPNFRERLCETVESLLDLKVVPVFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYSGPPSEPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAFVASNSGTPVVITSGFASQSIVRVLQGEKIGTLFHKDASLWEPSKEVSAREMAVAARECSRRLQNLSSDERKKILLDVADALEANEDLIRAENEADVVAAQDAGYEKSLVSRLTLKPGKIASLAKSIRTLANMEDPINQILKRTEVAENLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITDALPENVGKKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMDVAKRIVMDAKIDYPAACNAMVGISTGRIHARGPVGVEGLLTTRWIMRGSGQVVNGDKDVVYTHKNLPLE >Dexi3A01G0027740.1:cds pep primary_assembly:Fonio_CM05836:3A:27378209:27381145:-1 gene:Dexi3A01G0027740 transcript:Dexi3A01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPSALPRSFLSLRRLLRRSISGRHYRRTTSSARVVAPAVPPQDKLKDRMVLVDVEGWLLRSPLSAFPYFMLVAIEAGSFLRGLLLLLVYPVLCLLTLLGLDLRLETMVLVSLFGLREKEVARISKAVLPKFFLEDVTTEGLEAFNKKAGTVVAVTGAFPRVMVEGFLKEYLGVHAVVGREIAVAAGRYVGVFLEEEHAGMERVGALLEETEQTRSEGDGAVGLVGAGSTVHHVVSCYCKETYVLSEADKKAWQPLPRDKYPTKLVFHDGRLAFRPTFSAALAMYTYLPWGVFLAVFRSLAFGVLPYRVSVPLAAATGMRSRLVAGPSPDATEKHQAAGGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSPVSELIAPIRTARLTRNRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFTELTSEVTPVALDTCVDMFYGTSTKPGAKWLDPFYFMMNSRPEYRVEFLERVYTAPAEGEVGGHEHNIQAANRVQSVLGEALGFELTEQTRRDKYMMLAGNEGVVKGGAKK >Dexi3B01G0022860.1:cds pep primary_assembly:Fonio_CM05836:3B:17570865:17573275:-1 gene:Dexi3B01G0022860 transcript:Dexi3B01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGQSSTEPDAEDLERGERRRDGPVVVDGDDGDEEESQYFSDAEDRSWPSHSRQNSTAYEDYISPCASARSSSVDADTDADGDPVGEHCRKSSCVSEGSLDDVDLEAGLGEIIKASPEKSELNCRICHLGLESAAGESGAGMTLGCSCKGDLSYAHMQCADIWFKIRGNKICEICSSTASNVVVLGDPEFSDQWSETNNVTAVQTPPAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Dexi4A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:4A:9535583:9537557:1 gene:Dexi4A01G0011500 transcript:Dexi4A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTGATAPLPSLVSGGRDMLDADKLRFIEEISSDAGAVQERVLGEILARNGDAEYLLKCGLAGATDRATFRAKVPMVTYEDLLPYIRRIAGGDRSPILTGLGYPVSEFFISSGTSGGERKLIPVVEDEFDRRWMPGGLAEAVINQCVSGLDKGSGLYFHFVKSETMTPGGLPARTVTTSLFKSEQFKKLPSGIYTSPVAAITCEDAFQSTYVQLLCGLCQRHRVVRVGAVFASGVLRAIRFLQHNSEQLASDIEAGVLTDRVTDPAVREAVAGILWPDPKLAQFIRAQVSSSVVDNGGAGIIARVWPNTKYVDTVVTGSMAQYVPTLNHYSGGLPIISTMYASSECTVGINLRPLCDPSDVSYTIMPNMAYFEFLTVDDAAAARVSHQQHLVELADVEVGREYELVVTTYTGLSRYRVGDVLQVTGFHNAAPQVRLVRRSNVLLSVDSDKTDEADLQRAVERASALLRYHAVLVDYTSRACAETVPGNYVVYWELMVMDDGDHGRESGDVMERCCLEMEEAMNSVYRQNRVADGSIGPLEIRVVRPGTFDELTDYAVAHGASMGQYKVPRCVKAPAIIQLLDSHVISRHFSPALPHWAPAQMFNPTDGNKCSSGSS >Dexi5B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:5B:8544482:8551682:1 gene:Dexi5B01G0012010 transcript:Dexi5B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEVSTYRVRHSPSWSFRWDNRTHIEDIMENTAVFSNQSSGNIQPEVKNSFIAPTEGHNSGDSRSDVFRKVKWQKSDKKMEASKLSKVDPRGLAGVSSWSSKNDLTKLELVQRAKWLKSDKKMEAPKSTKADSHGETFQEITGHGKCCFRFEDIGICSFNATLSIQSRPFILQGSFAINRFRFNEKSTTVTRVSIIQTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVVVDIDIDGND >Dexi2A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:2A:6475152:6475551:1 gene:Dexi2A01G0006880 transcript:Dexi2A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFSAWFVNPRRNPLARLHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVQVRIPCSSL >Dexi4A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:4A:4303851:4304422:-1 gene:Dexi4A01G0006010 transcript:Dexi4A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIILGFPRAAAPVVASVVSAAAAAMFWHLGAGLTLFPVAWLSIPAQPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Dexi6B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:6B:6942000:6945083:-1 gene:Dexi6B01G0006340 transcript:Dexi6B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASDKDGKVSQLEHVFIRGSRVRAKDQLLEMAVVALLQCVLRNVNITFLDDFFSFHCFIVFHFWYFFLVLLLIFNIGLTVVVKAVLLWVPDNGYER >Dexi3A01G0023730.1:cds pep primary_assembly:Fonio_CM05836:3A:19391864:19392247:-1 gene:Dexi3A01G0023730 transcript:Dexi3A01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEYQGPQAAKPAEIESEDVLLEMERLLYEDLREELIRKELEALDEEDAYLAQAVFDHMQLNDNG >Dexi5B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:5B:4369809:4370607:-1 gene:Dexi5B01G0006510 transcript:Dexi5B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCELSMKLLIDTKSQKVCFAEAGNDVFEFLSSLLCLPLSTIINLLTKERMVGSIGNVLDSVRELDAKYVISSQSKEPYLSPDVAPKVLSPLQQLLDAPLNANGKFFRCEGMKNTYNSTLTACGYFSSINGVICPRCSKSMCLTMNYVKGDSLVAGTATYTVKDDLSVTPASSVSSVALLAQCGVKDLSTLEERTVKIGKEEALDIVLASLKSKTVLTDVFLQKRKARCKKETAA >Dexi1A01G0025780.1:cds pep primary_assembly:Fonio_CM05836:1A:31913369:31915159:-1 gene:Dexi1A01G0025780 transcript:Dexi1A01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFSSRLGEGSKGKAYSGGKGGKGSSSAVVPTKSDADLKLDLGQFNIPPNSRILMNCEATELLQEIHEHMAILSEDPKIKIPESFDKAFKYAKDGNHFTAASSVKQALEPLKKCGVNDGEICMIANIVPETIEEVYALVPSLKANRSLNEGPITEVLAALDNIKAAK >Dexi6B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:6B:8194994:8195464:-1 gene:Dexi6B01G0007090 transcript:Dexi6B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVFEAELYGPMTKDKDKKRHSIMVEDMEPDVFMALLQFIYTDTLPAMEDLDLAENEDLVKHLLVTADRYAMERMKLMCESILCQRLDVNNAATILAIADQHHCDKLKNACIGYITSFNRMDDVVASRGYENLKRTCPAVVVDLWERAAKSRRI >Dexi7B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:7B:21865486:21871653:1 gene:Dexi7B01G0015830 transcript:Dexi7B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSATRSLFLCCFHGGGGGSEVSRRLGLRPRYPSMPRRPKGAAFAGGGGGDLEAAAGAGSEEEEKVAVFSVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSDINITEAIEDAGFEAKLIDEEVKEKNILLCRLHIKGMACKYCTSTVEFALQVSPGVQRASVALATEEAEIRYDRRIVSPSQLIQAVEETGFEAILVTAGEDRSRIDLKIDGILDERMLMIVKSSIQALPGVENIKFNTELHKVTISYKPDQTGPRDLIEVIKSATSGHVSASIYLEADGRDQNRYGEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWVKDGLERKVFNMMSTGELLRWILSTPVQFFIGRKFYVGAYKAMCRGTPNMDVLIALGTNTAYLYSVYSVLRAATSENYMTTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMFDHEGNVVGEKEIDSRLIQKNDMIKVVPGGKVACDGFVTWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISGVFVPLVILLSLLTWFAWFIAGRLHSYPNSWIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYATAAEVNSEHPLAKAIVDHAKKLHPEENHIWPEVRDFISVTGHGVKAEVSDKSVLVGNKSFMLSSGIDIPVEASEILMEEEEKARTGIIVAMDREVVGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIVAEAKPEQKAEIVKELQLSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPFTGFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKIVGS >Dexi4A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:4A:2421051:2421416:1 gene:Dexi4A01G0003350 transcript:Dexi4A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAATSLGFLLLAVNSIMGFQRSCGDVAATLFVLALYASFVLLFCCLRRFEAAPPGSAAEGYARAGVWLGAALSISVAN >DexiUA01G0007390.1:cds pep primary_assembly:Fonio_CM05836:UA:14008325:14012069:-1 gene:DexiUA01G0007390 transcript:DexiUA01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVVKIIDDAQDFQIWAVHSNQVYHVPSPPTSRSPPRLRLRSPLAPPPPPPPPPPPIVARRRTSSPQAIAPHRCLTCASTAVTAAARPTLTLGLRCRLTPLLRPALPRLVSAAVDTLPISCPLNHFPFLDFSRQSLVEVRDREMASRAGSGSGGSRSPSRPPRDTRGKVVVKPKKKKKKMETTLNAVAATEAVEQGCSGAQFWIVNQVLLHLHFAAQGVIGLSRVPWVLLPLSPLLLFPYQEAGPRGASASLGTRGHLARWYPEPRPIEHSRPLYYDLHARFHNAYRVARSQLFSHRVIVPDVRLHDFAYPDALPPRRPLSSGIKTPHVETLSERHPSELMPLAHTLLEAMRQDALTALQQWLLSYLVQQIPFDLVDFILCEIEDVISDGMKMGRFLPYGHIISYIMASAPGERIDMGVMAIPFGLEIWSSLEDKFRDYRPASLGDRPAIQQRWTPEQQAEHEAEQEHLRQAEHTAGLQVPDTKTPPVTEAPLPPSQQPDRVTVLMEQMVELQQQAAQREEHTMVLFQSMLEQQCRHAFDFGFIYQQHGIKAPPVLPAPHASGLSATACSTVVTAQADGFSWYTSDSCCFSAWHDIPSTFGTATSAFVSQFVMTEPMTAVMTETPSRTAAGSSQLEATYLEILDSASQPTPATELSSETEPQPAPVSQPPTESEREPAIQTELESQILEVLHSGADIPLPDPPVRDTSGSTPFLDAIEEEAEAAAAAAISHHTREHSSGL >Dexi9A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:9A:1857434:1857889:-1 gene:Dexi9A01G0003500 transcript:Dexi9A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLKDVVQSMEEAIIAREAAFWVFFLKAQILKRYSGRKHWTYHLCHHREETGRVVL >Dexi9A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:9A:591512:594752:-1 gene:Dexi9A01G0001160 transcript:Dexi9A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGGGQDNSFNFLQVLLEGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSRIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFTAGAIGGIAASLVRVPTEVVKQRMQTGQFKSAPNAVRLIVAKQGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGQGTQYSGVINCAQTILREEGPRAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRKREAVKDE >Dexi2A01G0023770.1:cds pep primary_assembly:Fonio_CM05836:2A:35480088:35481432:-1 gene:Dexi2A01G0023770 transcript:Dexi2A01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARPKGTFADAMKESSATAPAPAPAAAAAAVKEDEWEVRPGGMLVQKRSPDGDAPAGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSERTGLHPEDQKVVYKDKERDSKAFLDMAGVRDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIVGKGGKVVDADVVTLTEALMNELVKLDSIAADGEVKVQRRMQEKRVQKYVETLDVIRAKNAAAPKQANGNGAAANVNGHAKSRAAQHLPPRPPPVSQRRNFQQPPPAAAAPPTQRWETFDLLSSAPSTSSAAVTTTMAAATTTSPAAATTSPIPRFDWELF >Dexi7B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:7B:14847010:14849052:-1 gene:Dexi7B01G0007080 transcript:Dexi7B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALRTDISSNNGVGDGGFLAACRERRLWLCSAAERLRCTVVGFAGKLGKIARDDPRRVAHSLKVGLALTLVSVLYYTPLFNGWGETTIWAVITVVMVMEFTVGATLSKGLCRVLGTLAAGLVGVGAHLVADLCGGKGEAILLAVFLFIAASAATFSRFIPEVKERYDYAMAIFILTFSLVTVSSYRDDPEDLIELAHERITTILVGVAICLFTTFFVFPIWAGEDLHELAAGNLDSLAEFLEGMGSECFGENSSCENLEGKAFLQLYKSVLNSKAKEDSLITFAKWEPIHGKFRFRHPWNQYQKLGALCRQCASSMEALASFIITLKKAQYPEANPELYLKIRATCTVMSLDSAKALRELSLTVRTMTGPSPINNDVSKGTKASSDFRAELSEDVALLQVIHVAIVASLLSDIVIQIEGITESTNSLARLARFKNPAERSQSSNVVINIEE >Dexi9B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:9B:351482:354149:-1 gene:Dexi9B01G0000500 transcript:Dexi9B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRFEGDKATAATTLAVPAAGDLRLKASATEAAFANGPSLKGLTLTLEKPGAFLIDLKPHNQDVRFQFMNSALVLDKRVSLTYTHSTTFATAQPPPAAVPPSRTALDCSVTFDPANKVNFSHALGSGGCRVKYTYSHGAERLTTIEPLFDTKKNAWEFALTRKFQGGDAVKGTYHASTKMLGLEWSRDSKAGGSFKVATTFDMSDQSKAPKLIAESTWNYEI >Dexi9A01G0031400.1:cds pep primary_assembly:Fonio_CM05836:9A:36359447:36360765:1 gene:Dexi9A01G0031400 transcript:Dexi9A01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHKLMFQSLLVSILAIALVRIIRFYQIRPKARLPPGPWNLPVIGSMHHLVNVLPHHALRDLARVHGPLIMLRLGEVRLVVVSSREVARQVLRTHEANFATRPKLLAGKIVLYGWADILFSPSGEYWRKLRRLCAAEVLSPKRVLTFRHIREQEMASQVVRVREAGPWTPVDLSAMFLDLAISVVSRASFGKKLRNTKEYLSAINTAVTLANGFKIPDLFPTLQPVLATITGMLRALEDVHKVVDATLEEIIEERRRVRDEKQVRCGGIADADADENLVDVLIASQERGGLGFNLNKDSIKAIIFDMFTAGTRTLASTLSWGMSELMRNKRVMDKLQGEIREAFRGMVSVTEADLQATSLPYLKLVIKETLRLHPPVPLLVPRESVESCEIEGYLCLV >Dexi7B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:7B:19808960:19810497:-1 gene:Dexi7B01G0013250 transcript:Dexi7B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSLICGVLQPVCGFINQAGVPAATAKGVSSFACIKRNLRDLTKAMEDLQALDKVVRAQVELEANNLNECHPQVSLWLRRVVDVLVDPIVNEYDQLFQSSCLCSSALSLGKRYNLGKRIVEMLEYLDRLVKEGNQFETFASKRLPDFVEERPRTQTFGIEPILRDFRKSFESADMSIIGVWGPGGVGKTTLLNTFNNELKAWGKQQSSA >Dexi4A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:4A:22894115:22895574:-1 gene:Dexi4A01G0019040 transcript:Dexi4A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSEVRVASRRIVDYLNDGEELGAEGAVETPPCTPAAAVVMAGEAARSVLPRFRWPRLVRRGRKGGGAGKAKEKEEEVAVVAEKRECGDLPVAAAVSPSVCQSEPASDKRHSDLGVGLSLVFLLAKTSDEFNKMVKVRTEMEALLKEIKDEVRNKDHDDAPKARNRESTTSSCVTDGNDQSVSARLEYQAASSGVEPASYEKSFEDGGCCAMMDVLEEELQAEMEMLKVNYGSETPSLLPEEEEEHYSEVCDPLTDRIEELEAALRCAEKKLVEKEMEVSLWKDTAKYALRHDNEMQ >Dexi9A01G0044810.1:cds pep primary_assembly:Fonio_CM05836:9A:48409540:48411506:1 gene:Dexi9A01G0044810 transcript:Dexi9A01G0044810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQPPPKRPTSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATRESVAVRNLLAASASRAARRFPNARSLLLKGRPRFADFNLLPHGWDASAFRPWAAAVAAGAFPALASLYLKRIPVTDADLDLLAGSLPASFRDLSLHLCDGFSSHGLASIASHCRGLRVLDVVECDMAEQQEVVDWVAAFPPEPTNLETLSFECYEPPVAFDALEALVARSPRLSRLRVNQHVTLGQLRRLMALAPHLSHLGTGSFRPAEGGEEGLGFGDLLTAFASAGRARTLVSLSGFRELAQEYLPIITVVCQNLKSLDLSYTPVTPNQIMMFIGQCHNLETLWVLDSVRDEGLQNVANFCKKLRCLRVLPLDAHEDAEELVSEVGLTAISQGCPELRSILYFCQTMTNAAVIAMSRNCPELKVFRLCIMGRHQPDHVTGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGRYGKSLRTLSVAFAGNSDVALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARGLPRMVVELINGQPQNERNEGVDILYMYRSLDGPREDVPPFVKIL >Dexi4B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:4B:8354098:8360823:-1 gene:Dexi4B01G0010990 transcript:Dexi4B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCGGLGVRPINGGRGGALEQRESGEDGPPVSPPERVFTPPAPRMAAWRRMSSPVPLRCSTPRSIGYEDGEESDRYFSPQSEFSQDTSDTDSVSTSVSRMYTFRLGTSSPLYSPIKQLGGETSPPSRRGAHSPSYPWNSGRVSDDVDSSFMNSLPRDDEQSKDVVQPVDFESRHIWYPPPPQNEGDDLENGFFKYDDDDDNDVCEGIVFGDVNHDYVDGDDDDLLGTKGKHNIAHKEFLRSALHGHFRALVSQLLQGHGIDPVDGWSDIVASLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSSFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKVTNKLASINSILEQEKEYLKNAVAKIEAQHPHVLLVEKSIPLDAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSVENVTSTMVGHCQTFWIERVTECSSPRMLNKKIVKTLMFFDGCPRRLGCTIVLRGKSYEELKKVKLAVQFALFAAYHLSLETSYLADEGATLPKVPSDLQLEKKIFSSSHCQQNRNEFQTIDEITYGNGCIMPCLDGSASESHLKVALVHEEHVESHSGADSSQEDYTGRINDMYPYSTKASMYDSCIPPVGITVQTYASKRTPDDHNGHRNESVGQMVNVESDLDSGWNHISDEDRVAIRDHNENHSFSPSDNPQSILVSLSIACPLRGIVCKQSQLFRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISFRTLASVKLPGEHDGKIWMWHRCLRCKPKDGVPPATQRVIMSDAARGLSFGKFLELSFSNHTTANRIASCGHSLQRDCLRFYGLGSMVAVFHYSPVDILSVNLPPSVLDFGHSTAQDWIIKEAADVASRKEHLYREIVAKLDSIEQIIKSQNVTMNPGLYKHAADLKELVKVEWKKYDVLSKFSNIDNLQTFGPPVDVLELNRLRREFVLDINVWDRRLYMMHSLTKENCHTVPTDAQCCEKLTESLLEEPKDVISGKDGTIKNSLEQNQPSSLELSKDPTKLFSTREQNNTIGPRLGLKTNIAADVSLDSGSGPCEVQSEGVLTDELKAEKMLQKSQSSASNLSERIDLAWTGSGQFVDDPSECSMETIPVIPAALKDDPAYQKVIAPIRIKSFDSAVSSRNRLSPVDDSNTSIRRSYSQRPPKAIERTGRARSPTFMSNLSLSGMVDGEGRLLLSQNDSDIIIPIYDDEPSSMIAHAMTVPEYHSFLSPLLDENNESSILNHVVHKSSRSSLDGPIRSYGSDQPQAITGNDFKHNHLTVSFEDEDSHSIDKAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNAYFAKTLDDRFVIKQVTRTELDSFEDYAVEYFKYLTESVSSGSPTCLTKILGLYQIIAKNLRDGKELKMDVMVMENLFFNRKSVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSKDVLPTIISPDQYKKRFRKAMSKYFLTLPDQWSP >Dexi3A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:3A:292848:294495:-1 gene:Dexi3A01G0000290 transcript:Dexi3A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDNPLVRDAGAALLTGVAATVVLRFWEEVANRALLDQKLCRKLVHISVGLVYFLMWPLFSSDDVYAPFLAPLIVVINIIKVIVIGLGLVKDEGVADIVGRRLGHVKLPHNPEKSYAGSAAMFLAGFIASVLYMCYFNMFGFIEKSWTMVGAFVIISLVAAIVESLPISTRLDDNLTVPLASVLVGALLFYFLGATTNLCCMSREGCSGSISTMVQMVLAVGSSGN >Dexi7B01G0021690.1:cds pep primary_assembly:Fonio_CM05836:7B:26725144:26726230:-1 gene:Dexi7B01G0021690 transcript:Dexi7B01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHFHVAYLDKVASPSLSPPSMTSPSLINSSTDIPFALQCLRPLAPKISFPEARKMVVLPEFARVSRNASRLLKCTVQVPTSGTTRWNPSPDQIKVLEMLYRGGMRTPNSFQIEQITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSLPPAETKDGMEKKEACEDASSRKRRCRTWEDVHGDATATATEVVADCTDDDVTLELFPLRPDQGKAS >Dexi3B01G0036930.1:cds pep primary_assembly:Fonio_CM05836:3B:39729181:39733306:1 gene:Dexi3B01G0036930 transcript:Dexi3B01G0036930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPSGGGAYSCETAARTREWMEALAAFLGRYRPLLEAHVVNFFKDRMWELVDAEWMECLRREPVESLLRLPSGCVKEHWPATLREFVLTARSLVVPREQKALQSLVPDIHVALIGTVLAQGMNSKKKHEIENLAAVVHAIAKSCGAKTVVDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTIARAERIKKHYAAKCVEKQLLTVPRTVTCHVLSSDTLAAVTLDACKDDHGEYVREIKACTKKSPQIQEPTQSSPPLILAGLHACGDLSVNMLRVFVSCEQVKALVSVGCCYNLLSEDSYEDTNACHGFPMSKAAKLSELVLGKSIRDLACQVLEKYFPEVSRLNPSVGRQGKALRRQRLRKIVESQMATDKIDDFSHSTLEEQNKNSDDVVSVIYGVDKGSDEIHHDECRKFALFKDFTLSGLGRLGCGPVEDMRLLEIWKDVQPFSVGFIGTTFLVILLWY >Dexi5B01G0032780.1:cds pep primary_assembly:Fonio_CM05836:5B:33352459:33359978:1 gene:Dexi5B01G0032780 transcript:Dexi5B01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLALALLLVGPVADAATAKYTFTVGSMQISQLCSTTSIIAVNGQLPGPSIEVNEGDALEVKVINNSPYNITIHWHGVFQLMTPWADGPSMVSQCPIQPSTSYTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIVRPRAGNAYPFPAPDKEVPIVLGNRCCAVLFCISGRHLAMASPVCQPRVRTYLADGEWWNRNVVDVENDAILSGQLPAQSDAFTINGKTGLLYQCANETFTAVVEPNTRVLLRVINAGLNSHLFFKLTGHNFTVVAVDAGYTSNLNTDTLVIAPGQTVDALVTTSASPGSYYMAIQAYDTMSPLTFATSDTTTATAIFQYNGTSTNPPAMPAMPSSSDSATANAFYFGLRGLSGLQGATSVPSPVDVSMTIELGLGQLPCDPSQTRCNGTAAAAAMNGVSFRLPGPEESSLLGAHVNALTGVFTADFPDGPPPSGTAMSVGTKVKKLAYNSVVEIVLQNPSAVPTENHPIHLHGFNFFVLAQGVGTFTPGSVSYNLVDPVARNTIAVPGGGWAVIRFVANNPGMWFFHCHLDPHVPMGLGMVFQVDSGTTPGSTLPTPPADWVGVCDAQSYAAAAAAAVAEDAAPAPAPALAPSLAPASAPAPANSTTRAGQQPPRAVDHKPSSPTVPQRRDGGSPSSTSSVCALSLQSQSELLLRRSSTRRSMARAATVLLALLVPAICAAAASAAVVEHTFYVGGMGISRLCMNSVIYTVNRQLPGPIIEVSEGDTLVVHVVNASPYPMSLHWHGIFQLQSGWADGANMITQCPIQPSATFTYVFTITGQEGTLWWHAHASMLRATIHGALIIKPRTGAYYPFPKPYAEIPILLGEWWNRNVDDVEKDGLLTGLGPATSDAFTINGLPGDHAPCGGTFGAEVEYGKTYLLRIINAAVNTELFFRVAGHAFTVVAADASYTNPYPTDVIVVAPGQTVDALMVATAAPGRYYMAARAFESKTVANPPPFDLTTATAVLKYKGVPDYAPAAMPALPPYTDVVTAARFYWSLTGLVRPGDPVVPKVVDHSLVVGFGLEQAPCAPGQTKCQGFSMVASMNRYSFRFPDKVSLLEALFRGVPNVYSEDFPGFPASASPARKATSVRKVKFNDVVDVVLQNEGYSGALGVENHPVHLHGFNFFLLGQGLGRFDPRMSSTFNLVNPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPYLLPPPPVDYPRCH >Dexi5B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:5B:3051195:3051479:1 gene:Dexi5B01G0004510 transcript:Dexi5B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNDIHYPPLRRANNDSLLPLWMRRRGQLLDSGRAFMLLGALVLTSWHHHLAAPEHALAAFVLWLLGAGLAMLALVAGQFPRLAATGAALA >Dexi8B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:8B:28216243:28216776:1 gene:Dexi8B01G0017010 transcript:Dexi8B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGTSGRPVRLLLVLMASGVLLQALSAHAITRHYRFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVTHNVTIHW >Dexi8A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:8A:27110799:27113514:-1 gene:Dexi8A01G0016020 transcript:Dexi8A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDSDGVEVFGLGGWTATAATTTSLLSVFCSLPGVFTARSGGGARVYGMAGLIRVRASGKGSLLPVRGSSAARPPPASQVAVRFTSPLFRAAKICSSRGLVAAALEVSKDSASTVLANRQPSKGAIETLRNADAVCFDVDSTVILDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQVEECLEKRPPRISPGMADLIKKLKANNTNVFFVSGGFRQMIKPVAFELGIPAENIIANQLLFGASGEYAGFDPTEPTSRSGGKAQAVQQIKQNYGYKTVVMIGDGATDLEARQPGGADLFICYAGVQMREPVAAEADWVVFDFQELITKLTT >Dexi5B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:5B:21861082:21864699:1 gene:Dexi5B01G0019550 transcript:Dexi5B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSAQLLLQRKGKAVAEKGTATATATEEKVVVAVRAATREISKTAIVWALTHVVQPGGSIILLVVIPSHTSGTVSFPHINVKTKLVSGSPSGVVAAECKRAQASWVVLDKELKHEEKHCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKSTCAIPPVVDGSTGKTATDVKEARSSIRGPAVTPNSSPDLETPFGSTEVGTSSVSSSDPETSPFSASETNGSLKKEAQITKDQIQHSDVNISDSDSESLSPPATFSLHPWMADIIQGSASSRSLGKGPRKTRTATADALLEKISKLDLLNEISAMRSRSDLNFRGDVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNRETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEEYAIDDLIDPSLGDRYCENEVLRILEGDMVVDSGSVTASSDSGRSWRMLNDHQHYQEYSSPGLQDSQRAVEGKRSYNALRASWDRDRQSMSNRY >Dexi3A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:3A:160194:163498:-1 gene:Dexi3A01G0000150 transcript:Dexi3A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSRYSQASWAQEGGDPCLPASWSWVQCSSEYAPRVFSITLSGKNITGSIPVELTKLSGLVELIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIIDPSLDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSATIQQQLLVSNSNRSMGDSSSVNNHQDLEQNGASFDELLMRPGLR >Dexi5B01G0031340.1:cds pep primary_assembly:Fonio_CM05836:5B:32125085:32127200:1 gene:Dexi5B01G0031340 transcript:Dexi5B01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPEDAVELRKYYCKNVARRPNERAHISFVVALLHITCISQYADCILYWAYPSKSRSEFADNFFFVLGIVAPVLAGVYAGYSPLGRDYDAVTDEETEELDAVLVESSETRTVVTNPAWAGGLFDCREDPTACYLSFLFTFCVFGWNMERLGFGNMDNPVLLWPTIWGFLEDSDEEDIWASQKQKQEVRTGNLYDAKDGNFYEKAMDGGYVESGSGLLVVTELPVSIGVEEGNGISVKLVADGEMIPPTQQVVELKVGMHCERCIKAIKKAIKTIDDMESYQLETEINKVTVTGNVTPDEVVKALHKIGKTATSWAED >Dexi7B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:7B:12420289:12421561:1 gene:Dexi7B01G0005160 transcript:Dexi7B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDHQLHQLLQFSPEDHFMASPAFFAVDGHHPAHFQAAGFVEPVSVGIEDGAWVADLMQLGDELFGAGHGDVIPVPVPDAVGMGDRHEPWLYEDDGGSPDDPPPTSVSMDGGDGRSPPASGEQQGAGELASDEPHGGEDEASPETTTTTARKRRDRSKTIVSERKRRVRMKEKLYELRSIVPNITKMDKASIIADAVVYVKNLQAHAINLKAEVAALEARPTRSPSGTSPATEAGRRRGPGGGDGDGGEGKRGGGVHGARLTKVEAAQVGEGRFFVTVECERRDGVAAPLCAAVESLACFRVESSSIVLSGTDRVVSTLTLKVCQQVGDQAVIGEASVKLWIMAALLKEGFRPETTVGIL >Dexi5A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:5A:4229597:4236699:-1 gene:Dexi5A01G0005710 transcript:Dexi5A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLNAMFRRMFTSAGLRPSSATVDVGDDTAIHFWAHPSLLQPPPPPSSENHHQPPRPVVVLIHGFGPDPTWQWAAQAGPLSRHFDLVVPTLLFFGASSTRSPARSDAFQASAIAALLTGGHLPGLAAGGRKVHVVGTSYGGLVAYHLARELDERRHGEGGGVRVGKVALCDSDACKGAEDDRALVARGGVAEVTELLAPADTSALRRLMARYFADKREEKIALIKGITTGEGFQLNPLPQEVLIIWGEFDKIFPVEKAHKMKEKLGEKAMVKVIPNTGHLPQQEDSKLFNQILLDFLLPPPTSNGSAAAK >Dexi1B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:1B:8214812:8216443:1 gene:Dexi1B01G0009200 transcript:Dexi1B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKRRAPPAKPQAQPDPQEAPGADAPLEERLAWESLQESERRITAIKALKDAEAGNIRSQMQLLRSYFSKEQLEANALEYFKENLPNLSTVPNEQFDVFELKWNYGDILGNFIDDKILQASIASLPNAGGLRFPGDSVGKDFYRRTSSFSDFAWSGLPEGQIAGTSDALQTPGATSNRLSFGVTPKTLRLPKNGEMLLSMHGSPLGVYKEENLEAIQESGNGNEDAPC >Dexi4B01G0022420.1:cds pep primary_assembly:Fonio_CM05836:4B:24023326:24024869:1 gene:Dexi4B01G0022420 transcript:Dexi4B01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRGRQEPRRMGNAAMVITMLVSLCVLTYIKARYCSNPFPKPAEELEVAEIDEDYDSTRYKLTGPIGEEDFDPTRPTCYNTSKRSERCAAVGDIRVDGNHSKIYISPLSREWKTKPYARLHDPVAMDDVREYTLVPFGGANDTAVPPLCTKNHTVPGFLFSNGGFAGNLYHDYTDVLVPLFTSTHHFNGEVQFMLSGMKDWWNDKMTPLFKLLSNYKVIDVDNDREVHCFPRIVIGATFHRAMGIDPSRSPGGVTVADFKRLLRRAFRLERAVASRTGAPRRDKPRLLIISRKSSRRFMNERAMARAAAEARFDVRIAEPDNHTDTPNFARLVNSADVMVGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLAGVTFKDPARDMDVNYMEYNVSLEESSLRDLYPEGHFYLKHPYDVHKKGWDAIKRTYLDKQNVRLNLTKFTNTLEQARELLPLP >Dexi9A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:9A:6192730:6193478:-1 gene:Dexi9A01G0010220 transcript:Dexi9A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRARHPAAASRRTRRSEQQPPAAASLAESPIFRCEAGPSQPKAPPTSDQLRCVYRPGSLYALVHDPAAAGDGIGKPLPLPPCRAHRAGSHLPASRVGLPLVVGSPHGSGRVRRRETPPQDPFLAAYVACSNDAGGVDRRQQKQRQGRRKKTTTTAVKKKEGREGEEDVRGCGMWSWWAAGAKVDVVQARRCAVAEQRQGDAPAAVVMAKNEVVEEDAAAGPTLDLSWAPVVLSARALERRREQR >Dexi9B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:9B:2922413:2926698:1 gene:Dexi9B01G0004990 transcript:Dexi9B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLRPLSWPSHSTPARPCYRPGSLSPPLRRSPATAPALAQSPLPLRVPAAPAPASTLAALAMALAAASCLWDCLRLQAARHGSLRLERSAPRRRVEIGRCGRAWRSGSRFSNLCKVEFNYSGWKSDHGMQLDNQGLHVLDFLAYFKKLVSLRLNTVQGISSAGLLSVAVGCKRRLSSLHLTNCKGVSTAEWLEYLGRVGSLEELVVKYCEKICQYDLLKFGPGWMKLQKFEFQLKGWHNIFDPRDPSYVPNYQYRYDFLCEHLKDLTLAKIVTQPEIGLRYLLRKCKALENLRLHYVLVLSDNDMITLIHSCSNLRSISLRFEPLFNERPEGRVFSTPLTDESLKALALRCPMLQTVELIFAACEPIFPSEIGFTQEGFLMLIKSCPIRDLILCGANFFNDEGMKALSSARYLDTLELMDCVAVTDVGMRLLAHAPRLTNLTLRQCDCFTDNGVGEVVRAHKLESLIVEGCSRVSVKTVLGAAKSVHYKDDYPGYYRLDRV >DexiUA01G0015790.1:cds pep primary_assembly:Fonio_CM05836:UA:33555961:33557438:-1 gene:DexiUA01G0015790 transcript:DexiUA01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISRSITNATSICISKDTSILTRRHRDNDDRSRTASSRSLKIPSDLDVDAVYSATISATSSFNSDATSAMASSSSASVATTVTTTTTTASSSPLSSPAPSFAAGSGSSFRGVHQIRKLSGCYECRHSVFDPRSLAAAAAVFHCSDCGEVFAKADSLELHKATKHAVSELGPEDTSRNIVEIIFKSSWLMRKQAPVCKIDRILKVQNSDRTRFEQYKESIKERASGDEGKKNARCVADGNELLRFHCTTFSCSIGAAGGTALCRSPEMQCKLCAIIRDGFRVDGDGKIATMATSGRAHDVAEVVSEGEKKAMLVCRVVAGRVKKACGTTKSSEDRDVDSVSPSSETWLLSHLH >Dexi3A01G0028580.1:cds pep primary_assembly:Fonio_CM05836:3A:29415400:29415665:1 gene:Dexi3A01G0028580 transcript:Dexi3A01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYGEAAAAAMEVEAEASPCAGLSSPVPSDSASPNSVSSRRLGLKNSIQTNFGDDYVFQIASRLI >Dexi9A01G0048610.1:cds pep primary_assembly:Fonio_CM05836:9A:51337498:51338569:1 gene:Dexi9A01G0048610 transcript:Dexi9A01G0048610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVTLPSSGVAFPVSNASATAARRCLLLPSPLPRRALRVVASAATEAPPKPTPPPTSPSGIVLVDPAEAQRVHRLKAVYEQKVVPLITDEFGYTNVHQVPKIEKIVVNCGLGAEAGNSKGLEAAMKDLANITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRIMYNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSLGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFSENIKSDVVVRKKRLKRHHFLSKGKGKGGRK >Dexi5A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:5A:21792536:21795034:1 gene:Dexi5A01G0018240 transcript:Dexi5A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVRDSAAPSTSGSGGAAYADGDDNAGGGGAKVWVLVLLFALLLLPFLPSAVRRGGPTPWAGGGGGSGGGGGSGRGGGYRSGGISFKSGWDVVNLCLVLFAILCGLLGRTGGGDGDSSSSGAASSAAKDERLESSPAPVTAATAGSGDWEGYGSFASVYASLPRATNHAHATAGGIRRMKSSSSYPELRLGSDGVWSLASPEAAWRSYDDAELYRNHRRPERQERSWDVDPQGRTTTTEVKTIPVDTFGERGRRSPPPPTTTTREPRRRRRSVERLPEVEEERPRPRESAARSRRWSAEAEDVVVPEQEVRVAPARIRRWNSESLDSILEQEAAAAVVPSRSSRWKPEAVNVMPEEDAPPVAPAPARIRRWNSESLDAILAEEAVVVAPTRSCRWSPEAVEVIPEQVAPAARIRRWNSESLDAILAAQEAATAPPPARSRWWSSEAVDVIPEQEDPVVVMTPPAPTPPPPPPPPAPPRRRRRSVEKLPRPEELEQEVVVEEVRNPMSRPTPVMFPPGTPPPPPPPPPPSTVSRSKKKRGASVGGAKELASAIALFYQKKRKSITMKAKRRPHHHHHHHHSDDHYSSPSSDAPASPDSTDRVNNAPPPPPPPPPPPPPSSIFSNLFKNKKGGGSKSRRIHSVAPPQPPPLPPPTTTRRSNKKPPPPPSRPAPPAPPPPQPVRTTRPQRVAHAQAQQPPLYPRRAAVYYSYYPPSPPLPPPPPPPPPPPMVSEGDGDAPSVPASPAPSYCASPDVNTKADRFIESFREGLKMEKLNSYREKWIRHIQEDTTVEIEEDGEFMVIGSLFGSDDEDDDGISLPETPATAAVVVGF >Dexi9B01G0028380.1:cds pep primary_assembly:Fonio_CM05836:9B:30983377:30983940:-1 gene:Dexi9B01G0028380 transcript:Dexi9B01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGSRILGGGGARAAAAALRHRAGMGLPVGRHIVPDKPLPTNDELLWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLSFFATLGLAAALNDKASKIPYVSAQCKRFFV >DexiUA01G0010650.1:cds pep primary_assembly:Fonio_CM05836:UA:21115854:21119020:1 gene:DexiUA01G0010650 transcript:DexiUA01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNSGEIDTLLKVKKAWGNPPALSSWNLQNTSSYCRWAGVSCDTDGHVIKLSFQNFNITNPIPAAICSLKNLTYLDLSYNNLTNQFPTVLYGCSALYYLDLSNNLFSGVLPADMNNLSSEMEHLNLSCNGFIGNVPSAIASFPKLKSLLLDTNSFNGTYPGSAIGDLTELETLTLASNPFTPGPIPAELGNLKKLNYLWMSRMNLTGSIPDELSSLTELTTLALYINKLDGEIPEWVWKLPKLEFLYLYANRFTGGIGPYVTAFNLQEIDVSTNLLTGQIPDAIGGIKSLTTLYLYYNNLTGTIPASIGRLPNLEDIKLFNNMLSGHLPPELGKYSPLGNFEVSNNFLSGELPETLCYNNKLYDIVVFSNNFSGEFPAVLGDCPTVNNIMLYNNNFTGDFPEKVWSAFPLLTTVEIQNNGFTGTLPSVIATNITRIQMGNNKFSGALPSSAPGLKSFSAERNQFSGELPANMAEFANLTDLNLAGNMISGSIPPSFRSLGRLNSLNLSDNQISGEIPAAIGLLPVLTMLDLSNNKLTGKIPEDFNDLRLNSLNLSSNQLSGEIPWSLQNSAFEESFLANRGLCAMAANMFLKNVPACGHSKMSTGIIILLTVVAGVVLAGAAGWCFVLRRKARGRHDLTTWKVTPFRKVDFTESDILSKLRDENVIGSGGSGKVYRVHLHRSGNGGAGEVVAVKKLWSRGKAEEKVCREFESEVRILGDIRHNNIVNLLCYISSDDTRLLVYEYMENGSLDRWLHPREPVAMAAPLDWPTRLGIAIDAARGLSYMHHESAQPIMHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPESVSVSGGTFGYMAPECGRGAKVNEKVDVYSFGVVLLELVTGRAANDAAAECCLVEWSWRRYKAGGPSLHDVVDGSIPDRDVHAKDAVAVFLLGVMCTGEDAPSRPAMKQLLQQLLMYDRTSSVAAACRDGHGDVDVVAVAQQAKGRKKGDQGVRGSMDSGEFWDGDDAETSSGFVAHPV >Dexi6B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:6B:4135592:4139414:1 gene:Dexi6B01G0004850 transcript:Dexi6B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLEHDEQQTAGGGGQRAAPPNMLTFSSCSISSGGTTSSTSVATTTSTTTTTTTNSSGAVFEEVVYKVKLGQPTAGWCGKLSAAAAMAIGGGDGRRKKKPPPPSPGGSSSSSSTREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHSSRAHLSGKITYNGLPFSGTIKRRTGFVTQHDVLYPHLTVSETLWYTAVLRLPRSLTAGEKRSQAEAVARELGLAKVANAMVGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAADGGRTVVVTIHQPSSRLYHMFDKVLLLSADGCPIYYGRAADALGYFADVGFASPLSVNPADLMLDLANGIAPQTTTASNDGESPMAAVTGAGDESEHKEVRAKLAAAYERHIAPSVKLDICARETSAAAAASSSPASSRRRSSEWTTGWWTQFLVLLQRGLKERRHESFNKLRIFQVLSVATLAGLLWWRTPASHLQDRTALVFFFSVFWGFFPLYNAVFTFPLERPMLLKERSSGMYRLSSYFASRAAADLPMELGLPTAFVLILYWMGGLDPRPWPFVLSLVVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVAWLRWLNYSFYCYRLLLGIQFPNGGGYYDCGHGVMCPVAEFPAIKAVGLNNHWVDVCVMALLLVGYRVVAYIALDRLKPR >Dexi9A01G0029730.1:cds pep primary_assembly:Fonio_CM05836:9A:34632481:34633188:-1 gene:Dexi9A01G0029730 transcript:Dexi9A01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARASSRRLAAGDGTTRPTPAVGPPAPGGGRTQFNLSSNAATAVVFVSIMLCFILLCTYCRCARQRAIAGARRRVMRELAVPGTAALFLRPSAAALPPVVPYASAISEGSTKKGGLFPEDCPICLEPFADDEGVRVVPACSHLYHAPCIDRWLDMRNSCPVCRCAVASLYDGGGRATMDAVAVAVDDDEEDDQEAVLERVVAMIEAIRDEQREEEEAAARRTLGRAAGGDGGS >Dexi5B01G0029350.1:cds pep primary_assembly:Fonio_CM05836:5B:30609276:30609735:-1 gene:Dexi5B01G0029350 transcript:Dexi5B01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAAALLIASLLVAVAIADARLTLHYDAHGRAYFVSDAAKVPALTCSEVHAVKVGETCFSIAQAAGLTQEQFLGFNPNINCEKVFIGQWLDQI >Dexi9B01G0027260.1:cds pep primary_assembly:Fonio_CM05836:9B:29724334:29726054:1 gene:Dexi9B01G0027260 transcript:Dexi9B01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGGGGGGGAKAAVAEQIAQAVRSTSNLLQLMEQSSPAQVIHQLPQVISSLDAYMDRSFQSASQIKTVTQLLSNMENTQLRSILPSSQLKKDIENDDPEELRVE >Dexi9B01G0037990.1:cds pep primary_assembly:Fonio_CM05836:9B:39227313:39227528:-1 gene:Dexi9B01G0037990 transcript:Dexi9B01G0037990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQEPQDGDGQQKTKPHGNLPPKRGAIMKQIIKDLTGGGGGGKDNDSSNGGGGEAAVGGSATAAGNYGAD >Dexi2A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:2A:6192826:6193254:1 gene:Dexi2A01G0006570 transcript:Dexi2A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEVVSGDAICRKKSVELLEEIGLPKGLLPMEDIQEFGYNRDTGFMWLIQRKKKVEHTFKKIKQTVSYAAEVTAFVEKGKLQKITGVKTKELMLWLSVVEVYVPEASPQKVTFKTGTGLSDSFDATAFALGE >Dexi1A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:1A:3518178:3518378:-1 gene:Dexi1A01G0004840 transcript:Dexi1A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGSRESRWPELAALRRALKARDAASPAVGREQLRRGGRDDHHLTGGGGKTAKVMHLLLWGPK >Dexi5A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:5A:20572607:20579662:1 gene:Dexi5A01G0017360 transcript:Dexi5A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHPSPLACAALLPPFLSPPASKPRLRRAAPPHRRHADRSVIGLLRWGGGVVVSVKWQKEVFPGIEIDTSQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALLVTLNSYLIIAMGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDIARGNGVDQASHNLTLATRNTFGDLDQSVRPVAPLQFLQDAEECWTQLVYTLSQTLTSDARVHCAESGEESMETESVYSLKCHISQDVNHLHEGLKHGLKTELEKVSPALGRTAIYTRESRINELPRQKVDYPLELDVYEFCSDELKQKLQAPRQMLRDAENAKFGLKAQGKASSSKENEVGFLLSAIADPSVPKKQLTGVYDLVAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPNIRKEEDILKLSGGGDWHMAYICLYKARVAESKS >Dexi2A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:2A:7221084:7222130:1 gene:Dexi2A01G0007410 transcript:Dexi2A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAREKELELESKIKGTSSRSKDRDDSRVDNRKSDLRNCSSSSRLEQGTAYNISYSDQEDGLRDDEIERFLHSRAKRGRGAIGSRMDEPGPYLDSSFYHKGNGPIPDIRVEEKWERRVQGPEKPSFLRSKSPDDHWCKETLDGRASSSEPQSKKEKKRKSEKKEKRDKKKEKNKKKSKHRHHKRQRSE >Dexi2A01G0022530.1:cds pep primary_assembly:Fonio_CM05836:2A:34406974:34407732:1 gene:Dexi2A01G0022530 transcript:Dexi2A01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGCRTAEGEASSSAAAATVPLEWAAAAGEALAEEGEDGAEGEERRSASRRRPLKWAPTAASMTSKCTFLSG >Dexi5A01G0029710.1:cds pep primary_assembly:Fonio_CM05836:5A:32722821:32727251:-1 gene:Dexi5A01G0029710 transcript:Dexi5A01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRHPSPSSKPRDHVAAPGSDAAAGGGAGEGRRGRPPLPSGGAAKRRLTEPKPQRGLEDDDSDAEDGGGADGDSESSQSDGGGGNDGFMQVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDAMIAVLYPDIDKYEEEELAFNEQEKTRNKKIQETIEETFRRQSEAIGKKRSTEKATATAFVRKYRRNMRTRGRGRTVTPDIAPTGSDDEDIEEENATEDTKEPSSADDHSPDLRQKRCRKRSSSQASPAGTIGSSDHSFEENDEVVGGKELFATSPLRGEMLAWGKNGTRSQNRHGSANSNGRIGRSGRIAKLVDHLRTTDEMDKEFIAPQLSRKDEELEMYIRTDRYNESAGSKASSTGVLERLRGDKLLSELHPSFASSNGDLELLYALKTRE >Dexi5B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:5B:5979399:5979765:1 gene:Dexi5B01G0008840 transcript:Dexi5B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVNDAVKCGVDQHAVGPVVAVPEHEKTWKNVVKVPPGFVTTVVVAFKLVDTNQPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLLP >Dexi9A01G0030890.1:cds pep primary_assembly:Fonio_CM05836:9A:35764873:35765754:-1 gene:Dexi9A01G0030890 transcript:Dexi9A01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPVRSTASDVVMIADLIAAGDQSGCRLLRSAAMPLRCGVDMDVPDSTKKERSAPPSENSVCDSLGAHAARMFMPGPVMSGFRIPGLALLGPRDEKKVTVGDGYEPMTVPRKSMLAVALRVELRTCASANVVYPSAALFITIIPTPPYAATVCPASTRPLSPLLSQSTTLPSTSEPRSTLQSRLASKPSAPGYTSGSGCAAELFAGWNSDSPWNSWPSPSFTLVRIARSMVPAATVSIHGAPLPTVPGSGPAFPAAQLTKMPRSMALNAAMAMGS >Dexi9B01G0033370.1:cds pep primary_assembly:Fonio_CM05836:9B:35521952:35523258:1 gene:Dexi9B01G0033370 transcript:Dexi9B01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPAAAAAAASEAASTSPWPGDASSVSSSSPTSGPRSFVPGLPPGYHFFPTHDELVVHYLRPRLAGQQLQLPIFFDERVLDYHPDRLIEKYREYGDGRWFFFARRERKHEGGNRPNRATLDNGHWNATGSPRQVRSGGKLVGLVRTLVFYEASRRKKTKMQQSHGEEAGLPPHEDQEGSKAGKGVKTDWTMYEYESFTSEEEFETTCVNGNAKVMDVIVLCTIQKKKQKKKEGEATKRKAKEKPRKKRNETPAVDDQPNHSSSKHRDASSLSPLFIHDDFAVPASGAATLCTSLSSSKLKLKDDHGGASRRRRRQ >Dexi9A01G0041680.1:cds pep primary_assembly:Fonio_CM05836:9A:45295357:45296055:-1 gene:Dexi9A01G0041680 transcript:Dexi9A01G0041680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASACGPPPPLLLLSVVLDSAAAAAAATVSERSRLTRPEELDASVLDAAWLLISDSSSHLT >Dexi7B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:7B:17529681:17530340:1 gene:Dexi7B01G0010140 transcript:Dexi7B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVAALLIIVSLLTLHAPIACARHVVVLNPSNGLHNRGGNKNLAKVLASASTDDVAAANKGLFSGRKLGAPNKEGAKTTTMGSTATSAAGWRPRTVEMRAATKHGDAAAEVYDMLRRDYAWKASRRRPINNGATPLQVKKP >Dexi5B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:5B:6177920:6181088:-1 gene:Dexi5B01G0009090 transcript:Dexi5B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPRRQRRSLSTAEQDGDEATPRSEKRGEEAAVQLPEVAVGREGGDASGNGGGGGGGGGGGGEAAMLGGEILVRMDSRVLKCSICPEPLRLPIYECEVGHAVCFECRGKLRKTCPICCKVIGFCRSFALEKVVDTVKVPCSNENYGCKQFIVYYQKEKHEKACVYTPCCCPEDGCSFKGSTGSLLDHFATEHKWWMTNFHYGKAQRISIPRYRRFTLLVGEDQSMFLMVNTFVHIGNAVAMVCIRPHESSGSCYSSKISAVHRADSDKGRYVFQMNPHVGSSSLHDGVKLGRFFLLVPPEILDESTEEVTVNICIEKIKCAVHH >Dexi9B01G0047260.1:cds pep primary_assembly:Fonio_CM05836:9B:46446066:46448676:1 gene:Dexi9B01G0047260 transcript:Dexi9B01G0047260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDPIEPPPPAMDAALPAAVVATILSRLDVRSLLLAAAACRGFRACASQALAFLPSFHLLEVALTHDLLRPLLPPNPSLRTLRLDCARLEDDAIACLARSSLHELLLLNCDNISGRLLCKLGNTCRDLRVLSLNSLGERRGLVVNFSDLQELLNGCSQLESLRLALDFSTFDDPNFGHVWASASERLSSLEIGYIPMTMLLELLTAVVDAHQCMDYVKAPVFFPSLQKLCLTVDFITDHLIGSISAALPSLTHLDLQDAPIIEPTSVSDLTNTGLQQINPHGKLKHISLMRSQEFLVTSFRRVNDLGILLMADKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTDVGIKRLSFNKDLNVLDLRDCRSLGDEAVRALSCLPKLQTLSLDGTDISDEALKYLGLGTCPLTSLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPSVTDDGIMLLARSRTPLIELRMRENPKIGDASVMALASMQVDGGTYGSSLQLLDLYDCGGITPLATRWFKKPYFPRLRWLGITGSLNRVMVDALARSRPFLHMACRGEELGTMLWDTYSDWYRHNDDDLDELEQWLLDGEPVSDDDTIMEE >Dexi9B01G0041440.1:cds pep primary_assembly:Fonio_CM05836:9B:41900180:41904124:1 gene:Dexi9B01G0041440 transcript:Dexi9B01G0041440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSRPALLRALAPPPLNRASVPSRRTLSAAPQAAGTASAGDAAPSAPRKSARKLAPPSREIVRTALFLPPGVERDAAVTPDMVIPGSNIVVGPYAGDARVKEAEFVGSSARARDCPKDDRPEFAVLGRSNVGKSSLINALTRKTQTINHFLVNKSWYLVDLPGYGFAAVSQSARMDWSSFTKGYFLNRDTLVGVLLLIDASIPPQKIDLDCANWLGRNNIPLTFVFTKCDKVKKGKGGRPDENIKEFQETISGLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEAA >Dexi9A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:9A:8849456:8850109:1 gene:Dexi9A01G0013700 transcript:Dexi9A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEKMAGVPALGWWLMAVGALRIGLTWSGYFGAASLGAATYAQAEMTGVHGRTFGVWTLLSCTLCFLCAFNLGNRPLYVATFMSFVYAYAHFIIEYLVYHTFTAANLGAFALVAVTSIIWMLLQWNSHGGHGPRVAAKQS >Dexi7B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:7B:20791542:20793767:1 gene:Dexi7B01G0014630 transcript:Dexi7B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDETDQPMTEYERQRLSRIRENEARLEALGLRSLAASPLLRNPSVAAAAKAKQKKRSADEDEEYAPSEDGGGEEDDGSSSESGQDEEMDEEGKSASRSRNKGKKKKLSKSGKKSTKSTPTKCRFFSTDFVDDDAALQQISKHFSNANMQAIALSLAESSETSMTTMGAESSSTVKGASENTPYKNNGKTSVQDSAKNKKIKMLGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATINDFIWTDSEISKMIRCFDSDGDGKV >Dexi3A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:3A:6960612:6967220:-1 gene:Dexi3A01G0009920 transcript:Dexi3A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDYLYRERRRHDGGGGGGDPLLPPAPTTPRWRDSPYHPPPPPPLRDHARPSPRRAPPSASSGRPAPRKSSDLRRPPPRRPSSAPLISPKRSSISDGYYRQAGGAYDRSYPDEPPLGYTPSRSDRYWAEDDGGGYKSFGRYGGGGGGSGRRDSRDIRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGGHRVDRGDRDNLPRVTPWRRRESRSEVADAAGAGPVSGGQTTRRLPSEKEASARHLAGASPHGAEEEAPRKKARLGWGQGLAKYEKQKVQGPTDPAEAVADGSPADAEHNNTFPAPAPPAPEAPAVPVPAPPPPEAPAVPAPAPPAPEAPAVPAPPAPEAPAVPAPPPLPEPPAGPVPEPSAAPVDEPTAAPTPVSAPAPATQPAVSAPAPASAPASVLCTSPVDEPSSAPPYYSSAPEDRSFEQTANTVTNSTKVVPEADEKVFDSEFSIKLDQLGGDPINSLANMLGDLLQHDDSCSGDSKGPTSTSKLMLLKESISKEIEKTELEIYLLEGELKSANTETGTAVEGSPTGVTYAENISPSSGTSKVPGSVEVSGSSRVVKEPGELIVSPKLPVVQDADVKVADMMEIETTPVRNAKTVPSEESAVSPGVAEGLACVTADLCPLKASEGARSQNDMDNDRLETSTCHVNVDSIKTKIKRCRNYLKMPALIIDEREKECLRFASRNGLVDDPVSVEKERVMINPWTQEEKEIFMRMLAKFGKNFSKISSFLAHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTSNFLGAKSGKKWNPEANAASLDMLGVASAVAAHGIEYANRVEKISAKSLIRTAYGSNVSLAAKKSSDRECIDNVPLHERESVAADVLAGICGTLSPEAMGSCITSSADPGQKISLTRMEHALAPEIERSIEEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISKCVKSKSYEQCKVFFSKARKSLGLDLIHQGAPDVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSKTEMDVCPTERPIGGIVPEQLELNIPNGFGVVDGKTEEVETKDDKKCSIADHERFNEGTDQEACGLIDINCPENTEKLQGEDDVVDQVNMHNNRAIGSSEKAVAPQLQVGSCLHSIEVLHQTNKGPLGTGTGVSRMEECSNLPLDSKQMKAGNSGASACITSASCIKDNVHFANITGASTISPAFASSYQHSVPADIPLIKPKPLVTPLTPKDLMPVQFSSVVPDPTAIRFDGIASITTSNFEDSGNRVSCALGAKDMSKYPVFKDPIDYQQPSIQAHPSDPKRLERLSDPQKRNGMDFIPGFQQPGKISRFGGAGILVSGVSDPVSALKAQYAPGSKVIGSDVDPWKDIGSR >Dexi2B01G0022540.1:cds pep primary_assembly:Fonio_CM05836:2B:32185163:32187584:1 gene:Dexi2B01G0022540 transcript:Dexi2B01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSKGEEEEEVARWEGEAEIDYVFKVVVVGDSAVGKTQLLSRFTRDEFALDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVSRWVEELRAHADGSSTVVALIGNKADMPAARREVAADEAARLAEEQGLFFSEASALTGDNVERAFLTLLEEVFAVVSRRALELDEARRMRGDDQGGGGGEVLSLKGTTVDVGSIMETSAMKRSSQCACS >Dexi7B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:7B:24437917:24446821:-1 gene:Dexi7B01G0018800 transcript:Dexi7B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVRFGLLVAMFQAMSSDKGSAKKRSRLRAFLDRAYVPYGGRDDFFSALRLVLPGLDRERGTYGLKEAALAAVLVDALGIAKDSPDAVRLINWRRGGGYRNAGNFALVAAEVLQRRQGLTSGGLMIKDVNDALDRLAATENRSEKASILSSLIKKTNAHEMKWLLMIILKDLKLGISEKSIFDEFHPDAQDLFNVTCDLKFVCEKLNDRSQRHKRQDIELGKAVRPQLAMRVRDASAAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAPGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAREGLETDRQVIFMILFHMLFCAVSFAFNTSCILTLGPDEPCWSIIAHNLEDVEKFFKDTIDNRDEGIILKDLDSKWEPGDRNGKWLKLKPDYIHAGSDLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEERDALVTKLKPYFMKNEYPKKQPKFYEVTNNSKERPDVWIESPDKSVIMSITSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQAFVDIVHSSNGTTQRAADDNGLKNDGTKRSRTTKKGEKKKNVSVIPSHLMKTDVSGLKGETMIFANMIFYFVNIPSSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAAIRQGRIIHYDWILDCCKEKCPLHLQPKYILYIADFARHKFPEEIDSYADYYFCDIDIADLKQIFSNIDKVSGDSNMVNQYKKKHCIDERFCFFQDCCVYFHIPPLVNADYNVISDIALKRVKQDLTMHGGQVCSSIIPATHLVVVSVLQTYNFDTLYRSFSPAERRYLHDKRLHVVSNKWLEESVEKQMKLSETAYNLKPDTLEELEIERSEENVRPLDHKIEEHKAVEREHAKHAPRKRSRAASSSRAAKAAPRPVRRTRATRGNQPAKIDDDVGSEESLPGECQDDQTMDTDYTSNEIGKGMSKKEQGLPRAASRPVLGTRARRDNQHAKIDDGGSEGMEPCETGKDQKFDVDYMSKMEGDNSDKDLGPPPCAQFVTLGDQEPKGLEPNVLEEKPGSSFQRTSAAEVMSSAPGEKIEQMVDPLHAMLLDMIPTLSQTRTEDASRVPLTKTEKAPPGVGSYTSKSDNPVPDAGAGTSGVPAPDPNGAPPKKKKVSYKDVASELLKDW >Dexi9A01G0048490.1:cds pep primary_assembly:Fonio_CM05836:9A:51281023:51283772:1 gene:Dexi9A01G0048490 transcript:Dexi9A01G0048490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTHSIPSAMMATVTLTSSPLSGHLLRRRRGRHYAKHHLQTQRRLPRAWRLYCVPEGGGGEVLAPPAPSAAEDQAPQEQPHDFYLLATNSSDFNDIIMVIDSPAARYLVLDHNKNVHSILPKTTVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFWPWLQLVGWEIDPMIVELSRDYFGMSDLEKATESGGSLSVHIGDALSPSATVEGGFAEIVVDLFCDGKIIPQLQEFLLCAGLISIIIQAATWLEIAKKLMPGGRIMVNCGGADVEESLASSWVQNPTVKALCSAFPGQLNWKRLSEQESVNYVTLTGPLPDLDEWSASVPSELSTKVKQWVPCELA >Dexi1A01G0022930.1:cds pep primary_assembly:Fonio_CM05836:1A:29530603:29534526:1 gene:Dexi1A01G0022930 transcript:Dexi1A01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAEPGTRLSDRARRSSLGLRFMVLLMHVLFVGAVFILDPTLDWRIHEEPWYIGVYGVLVLLALVQYFYTAGSSPGYVVDVMRTGSTMHATFVNTAALSKWYIFEETILSVWTVALYIESLRLDVDKAWWKDFVGVILLAVLIFILIFLLLLLGFHSYIALTNQTTYEVARRKRIFYLRGVPERVHPFSKGICRNIYDFCCSSQKGYILEALPPTEELEARAARYTCRDVICCRCC >Dexi4A01G0024720.1:cds pep primary_assembly:Fonio_CM05836:4A:27446085:27448273:-1 gene:Dexi4A01G0024720 transcript:Dexi4A01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPCCCSSFSSRSLLLVPTTTTTATATLFFLPTARRRWRPPSACSSSYNGWSDLAAAPDLPLFPLPLQHRHGLLLLLPGAAAAALALSLLPPLPLAAAAFTAGFAARHLSSAQSNPALLADLDAQLRALRGHLLSEADPGLLVQAVDHLRDALADAAAQGDTNPGPVLGDLAECLAAWARHAVRELTLSAPRKKQQPSPSAPPVPSPKNNTNSDVAKAQALDGGMLQFDDEDGSGPTDAGKLGDARLERLMFKHRHGRDRAKDDQFQPASTSSRFSTETIQSSLLERTLEIRDRSYRFKIEHASQGQDRADESFVDNAATLDPLYDESSATDSDSEEFSRNIKEAAEILRKARESMVAMADEETADALLYKSASLLSAAVALKPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANGGAFLNGRDRVSRSSRKVDSRVLSRENISSALVQVCEECESLLVEAGRSYRTALSIDSGDVKALYNWGLALIFRAQLLADIGSEAAVDADRMYLAAIDKFDAMLSRSNTYAPEALYRWGTALQQRSQLRPRNNREKIRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWL >Dexi5A01G0039200.1:cds pep primary_assembly:Fonio_CM05836:5A:39931464:39937407:-1 gene:Dexi5A01G0039200 transcript:Dexi5A01G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVCQQHRKLTLAMQEIVRVSTVTVTLRHMDHRSRPLQLHKTPTRARARRHRPPVGASKARSFHPDRAVMAASKVPVPKALGAMEDAAAAAKKGKWGFVQFFFVLAVVLCVLLYAPRVFVLAPQGVDVVGFFAANSSTSSSGSPPPVLRQRVVDGSDKEDDDGRRRRLVLDNQVNSPCSSMGDHTICCDRSSVHTDVCFMSGDVRTDAASLSLLLFPPPGQQPNLNASSPPEERVRPYPRKWERLIMERIPEVRLRVARPEEEKKECDVRHDAPLLVMSAGGYTGNLFHSFNDGLLPAWVTSQHLRRRVVLGVLSYNPWWAGTFREIISGLSEHHVVDLVHDTRTHCFPGAIVGTRFHGILLVDAARLRDNKTIVDFHHLLAESYEAKQPAPVPETNVRRRPRLGIVSRKGTRVIENQAAVAALASSMGFDVDILETANGVPLSVWYASVSRCDALLGVHGADLTKFFFLRPGASLTQIAPLGVSAIAMDCFGVPAARMGVRYEQYEVGGNESSLARKYPPGDEVVADPEKAKRSKGWGFVARVYLGGQNVTLDLGRFRDTLAGDGGQVPAVTATTAWVACCDRGEKMLFRSHHKCWEDADHRPGILFIGTIKWQCIGFAQGQDQWAMELEWKLEQAEPDLDILGCISGALECEPELRAVPAAFAYQLPAAGDRQGSMLGWLTTTKAHIVRRIDAVWARWGSPDQQPSHCVTLNVTTHSRKGSFRLCAGRSKGPQLGRLTTDRFVTKARPLRGSPPDGRLPPAPPRASPVTFRFPSNEFLPATATFTNPQTIADGSQIASPSRGRATQPPISPSDNDDDDPNGIEAAHGTGPRRPPAGRRRGVRRCGGGRSRDDTDIRAPLADAGAVPLLAAQLSGAAGVDAAAALLNISISAREQVASSPGILDALTAALRTAAAHHAAATVHSLLCSEPHRAAVGARRPLLAALVALLRDSPSTRATKDALKALFGVALYPPNRATLVSLGAVQALFALVVADGRGGIVEDATAVVAQVAGCAESLEAFRWVSGVRILLDLVEPGGAATPRARENAAAALLNLVVAGGERAVAEVIAVGGAEETVRELAEDSAASPRGKAKAEALLRALEGAAVRRREHRLADFLNGLVQSDPYISSPAQRLT >Dexi8B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:8B:27578438:27579238:-1 gene:Dexi8B01G0016390 transcript:Dexi8B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGNLLPKLGQLLLDEYNLQKGTKKNIEFFSRELESMRAALCSVGDVPPEQLKEQVKIWARDVREVSYDMEDIVDTFLVRVQGPEPPSKKSAKRFIKKMMGIVTKATTRHEIAQEIKDIKERVKEVAERHDRYKVDSIAPAKTMLDPRITSLYTELANLVGIDEAREELITRLTKGDAPSRQKKIVSVVGFGGLGKTTLAKAVYDKLKEQFDCTSFVPVGRNPDMKRRFSRTC >Dexi7B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:7B:13496471:13497071:-1 gene:Dexi7B01G0005920 transcript:Dexi7B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAGARVMPPNVYTYSILIDCCTRAQRPDLALAFFGQLLRTGLGVNEITFSNLLRSMCKAKRTEEALDVVLHRMHELGCAPNVFSYNILLKSFCDNGDRGRACGLLRRMIEKGAGCSPDVVSYSTLIHAFFKEGEVAKACDLFDEMTKQQGISPSLQWTRQRQSFHKCLVMGFGQITGHIIA >Dexi3B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:3B:6883904:6893721:1 gene:Dexi3B01G0009930 transcript:Dexi3B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAMARATLSLALPHLLLFLLLRCGPEPAAALRFDYATLTLGSLKLLGDAHLKNGTIRLSRDMPVPTSGSGRALYASPVPLRAGFSTQFAFTVTTLNPSSVGGGLAFVVAADDSSLGDAGAYIGVSTATDAAAVEFDTLMDVQFGDLNGNHVGLDLGSMVSAAAADLDQAGVELTSGRAINAWIDYLPNDKRGEILEVFVSYTAKRPRAPVLSAPLELGDTVKEAAFVGFSASTQGSTEVHAIEWWGFSTPSPSPPPRSAPAPPPESPAVQPPPPTSVVNPVLPSPLLPGTTTPSATASAPTSSISAASGPSSSAAAVARNAGSPPRPAAHAAVAGAATACAFVAASFAGFALWALARRARARKRTAASSAVATTTRRRDSSSLASAAALARSPREFSYKELSAATRGFDSTRVIGNGAFGTVYKGIIPDTGAMVAVKRCTKANATADAEQARSEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDKALFDDASTSPPVLPWHHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDEAFRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALVLEVTCGRRPIGTTEGTRCNNLVEWVWSLHGEGRVLDAVDARLGGEFDEGEMRRAMLVGLACSSPEPAMRPGMRAVVQMLSGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLTLSDSSEDDSMSSSSLTSTLRRGGHDIGFSSTAGDATKGRERFTNCKERRGREIQGFIHTAGAKMSCFACFKSEKKMPSRRTESREITIVKAASNQNEAPPRESGSIKPSPVSSKHKPSSEAATSTVPPKGSSAASKNAKAFTFRELATATKNFRSDCLLGEGGFGRVYKGKLENGQLVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVSLVGYCADGDQRLLVYEYMALGSLADHLLDMAPEQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDEEYNPKLSDFGLAKLGPVEGKTHISTRVMGTYGYCAPEYIRTGQLTVKTDVYSFGVFLLELITGRRAVDSSRPTSEQVLVNWAKPMLRDRKRYSELVDPLLRGEYPERDLGQAVGVAAMCLQEESLVRPFMSDAVVALGFLAEVPSGYKAKSGPIPQMKQVEGSSLPSSGKQDKSAYDRQKAVAEAIEWGSLRQKQKAQSPEKKAKSQGITSPPDTNRL >Dexi5B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:5B:8795882:8796085:1 gene:Dexi5B01G0012430 transcript:Dexi5B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVDRYIMGGQRLDMGWEFQPTVGERTATRQLQNERPAAWASAGEEREESLEDLLASMVEVDVMWP >Dexi5B01G0035500.1:cds pep primary_assembly:Fonio_CM05836:5B:35480830:35481965:-1 gene:Dexi5B01G0035500 transcript:Dexi5B01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLPSSATVVAALPRALSLSAAAVAAATTTLLLISAVVSRSHHVASSSSSPPLLPPSASASTTDTQAPAPAPDAEHHHHPPPPPPVPPCPPNATHLVPCHESPSGDRHCPPRPPPPLPHPPKDHPPHPPPPPPPHCRVPPPPGYRPPPPWPVRRERARYANVEFPLLPPAKVPAGQDPAHGRREWLVFAQGKGVRDYVEQIERVVPLRGGVVRTALDIGCGVASFGDYLLNYGVLTMSIAPKNIYGAQVQLALERGLPAMIRGFGAQRLPYPSSEH >Dexi3A01G0035120.1:cds pep primary_assembly:Fonio_CM05836:3A:40359973:40360461:-1 gene:Dexi3A01G0035120 transcript:Dexi3A01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILATLELASRLPRAAAGSLAPTPAAWRRRAAFSRSRSFSSCLARAPALILPNIVGSISVSPSSSSSASSSSSLAAAAVAGRPSPGLWLGLRLLGGHEVAGGDELDGLGEVGLVAAALPAAAAAGPLVVLRVMASSRVCGARSRRLR >Dexi3A01G0026780.1:cds pep primary_assembly:Fonio_CM05836:3A:23321405:23323748:1 gene:Dexi3A01G0026780 transcript:Dexi3A01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEVREEKELDLSSNDVVTKYKSAAEILNTNTAAEVAMRLPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPDYLTSATGVTAHKIH >Dexi2A01G0023030.1:cds pep primary_assembly:Fonio_CM05836:2A:34796637:34799535:1 gene:Dexi2A01G0023030 transcript:Dexi2A01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESKNSKAEKPSPAAGAGLPNPFDFSSMSGLLNDPSIREMAEQIASDPVFNQMAEQLQKSAHGAGEQGFPALDPQQYMETMQQVMQNPQFVSMAERLGNALMQDPAMSSMLENLSSPAHKEQLEERMARIKEDPSLKPILDEIENGGPSAMVKYWNDPEVLQKIGQAMGVNLPGDSSASTVLSGPEETEEEGGYDDESVVHHTASVGDAEGLKKALDGGADKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVARLNNQDDVLKLLEKDAFL >Dexi1A01G0030770.1:cds pep primary_assembly:Fonio_CM05836:1A:35932473:35934691:1 gene:Dexi1A01G0030770 transcript:Dexi1A01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGFVEEMMREQQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSARIIDVGAAPRRDAPPALEQPPPLMAAMPPPPAPQDAFFGAAGAPVFDAKDVGVHIGTCDELDMDMAQLEWLSGLFDDASIPHEPAFLPGANCAAPIKSSALAANAAGVALLPPDKMEDALFRSSSPISVLEHSSGFNNHANNNTNNTASSSSSSASSSSESFSGSGGGHAWSAPVSPRPDPPVLVIPARARSKRSRPSAFTGAAARATEAPTILVPTPMYSSTSSHSDPESIAESDDPHHQAPPMKKKKKAKKPAPPAPAVSDADGDNDGDADYEEGGERSQPQGGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRDPSCDLLQFIRRRD >Dexi2B01G0034100.1:cds pep primary_assembly:Fonio_CM05836:2B:41397942:41398838:-1 gene:Dexi2B01G0034100 transcript:Dexi2B01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLHVALLAAVLLLPFQSLPVEAQATKKFCLTQFAIASQACAILPPTSPEHHDDDDDDDEDEDEDEDEDEDSDEDEDEDGGGGDDSGGGGGGGGGDDSGGGGEDGGGGGGGGREKKSGVVSKPDGGAASKPPVASSMITVEADVDTEVDDDAHRNGTRGSNHTPAEAAGLSTTAGAATARRAGGTAAAATAPQHKYTVRVGHSCKFTYRCGGV >Dexi7A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:7A:24533154:24533576:1 gene:Dexi7A01G0014550 transcript:Dexi7A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGGGGGSSAEAERWPWWAAASAAQAATGAAWFRRGKGGSALAMPFKAFGIASLFVGAGATAVAAGVLASGVGSVEDMKGVGASIRRWMGAPPRRVGGD >Dexi5B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:5B:12162315:12165044:1 gene:Dexi5B01G0015140 transcript:Dexi5B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVTGTRPQSRRPVPSVTTVVISMALLSVLCLTVAADASRASHYHRRHRRHHRHHRAESNIGHISLPPAAAALSPDDAYDGDSPAEPPDAGDAPVPVPRPRRHKPCAPAAFSSAKPPSPAPAMAPTRSHAKPPSPSPRPIIEPPSHAKMPPSLPPAKPPTFSLARPPPRPHAKPPSLSPAWPPSPSPRKASAPMFSPSKPPQLSPSPSHAKAKPTPCTPAKSPQPHPPRLSPIMPPMQPRFSPAIPPAHPPAKPSITPHAQPPVPSTAKPPPLVAPAKPPSPSPTQPPQPSPANNPPPPAASTVPAKPPALPPAISKPIPPPPPPPLAKNSSSACSDVFDVRAFGASGNNASANDTRAFRAAWKAACSSSCCDTATLLVPSDGVFTITSTIFAGPCKSSLTFQIDGVLMPPDGPASWPATDSRKQWIVFYKADGMTLAGKGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCDSPALIRFFLSNDVTVRGLRIENSPQFHLKFDACARVLVDGLFVSSPAFSPNTDGVHVENTTDVQILNSRIYNGDDCVSIGAGCSNVHIENITCGHGHGISIGSLGVHNTRACVSNVTVRNARIIDSDNGLRIKTWQGGAGAVSGVEFAGVQLQNVRSCIVIDQYYCLLGNGCANQSSAVRVAGVAFRDVRGTYNPRGGGAPIRLACSDAVPCTGITMSGVELRPVVGGGGDGGVGARPAEPYCWNAYGVVETLTVPPVYCLLEGRPDSLQDQLTGC >Dexi3B01G0027830.1:cds pep primary_assembly:Fonio_CM05836:3B:23538353:23540061:-1 gene:Dexi3B01G0027830 transcript:Dexi3B01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPPPEPPAGAGEDDMESLPLAAVSDYASVASTFDPLLSSASAVSPRSPPARAAAFTLSPTSSSSFVDPPSYADATGAGVGSSSPRSASSPSSASPRSARAAASEYALLAVSDPETEAEPAATSLVPGSAPTYVSYLVTSVRRGDPAQRRYAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQKDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQTEGKMPLPGSTDVASRILDGAARLPRQLIAGEEVVAAPQDVVQPAKGGRDLLRIFKELKQSVVTDWGGVKPPLVEEDREFLEKKVKLQEWEQQLTGASQQRAT >Dexi5A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:5A:10245278:10248464:1 gene:Dexi5A01G0013620 transcript:Dexi5A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGGEEEGWEAAVRAEVGAVGWWDDPDSADHRARFKAFTGQRRDWPQPTLLFWKDLLLRVARRLRFCSAPAHLVASVWFARPGGLTPLCLPQVLEEMRADGDILLKSELIDPSMGSLYQLVRRVSQMAISSRRPVSQEDTLVFKSLVEERAADIARQLSGSHWTSACVITMSRFNSFFSGQEDAHAALCLLTQSGKARYLVARKQDPVEGVKFALNSAQVPAVSKLDHDTLHLVWTEEKLQDQLDVLDRRWEMLVYEAIQIGIQAMKENNVSIEEVNVHLKEVDELVAAQREVDAALESAPLHSIDEGDIEEEFRKLEAELDDEIPHIQVQEPLSHTNEETPDEVVESLSNNLSSIKLEAI >Dexi1B01G0030510.1:cds pep primary_assembly:Fonio_CM05836:1B:34455863:34456609:-1 gene:Dexi1B01G0030510 transcript:Dexi1B01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREGDPTRCAARSKPQPTTAKRTDTGPASHDPWMQCNQITVVSYYRQRLQTGVLDLPGFHVTSLHSSPQSSYMASVPVISDAAHTPNELEDADHRYQHRSDGTVSLHDSSGNGGALGVTGKLSLKLPRAVLPPT >Dexi7A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:7A:18951241:18954394:-1 gene:Dexi7A01G0007660 transcript:Dexi7A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAHTALGLRLPLPSSTRRRDRPGPCRRHAVRVAAAAATQAAPRRETDPRKRVVITGMGLVSVFGSDVGAFYDRLLQGESGVGPIDRFDAGSFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALENAGLAKGSDEHAKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYRKISPFFIPYAITNMSSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADIIVAGGTEAAIIPIGLGGFVACRALSQRNDDPTTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDTPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFNNTSEIKINSTKSMIGHCLGAAGGLEAIATIQAITTGWVHPTINQFNPEPEVEFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >Dexi2B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:2B:7736767:7737962:-1 gene:Dexi2B01G0007450 transcript:Dexi2B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPEGHCLYPGHPDLHGHARFLNLDTGASVLTKIPLLEDHLAIDSVDGLLLLQGDQYLQGTVRLLHPFTGDIVDLPPLATLLPQLGDSMSCCPVLYRIKKLASEVCASASFKDGVITVMLALGLADGYLDNNMHQFLEIGPPVQLEKAGSGGGTTTTLRLRPPHLLATIPKSKLADLVQQRIVPIRSIGDNTMFLDERCISVASKEPRNSVQNESPRRVRMDEISGSKI >Dexi3B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:3B:3979552:3982199:-1 gene:Dexi3B01G0005820 transcript:Dexi3B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSVVMFMPALFFNLNLFSRFSDVSAILNPTVRLFLSSSLSLFLPVMSYLFSEAKNEGGAAVMAASSSSYRQQLGGSGASTTTELSLRARTILMWMLLVELLRKKVEAILVNVGVQFYSSTIDRASRIAWLGYLVFYNLSSTGKKAFYGTLWVLAATKLLQRVAINELLKRSLAYGKNAEQLSCYMAKIEEQHQLQAAGEGAELMDKCKYAVMGEEELEMKVSPKEGCYLEMKKNVVVPVGDIWKVDMKHLLRHDPPSLKRLCLSFALYKLLRRRLEDHPITDDETRSCRSLIFRGLRMELQQSADKSLSNHKDERSKEELKSTVVAVHVFQVFYEEVQFLCEYYHSVLPVVLSNPFFFVANYFLFPIVVWALCLLTFILCGNGDVVFAYHSITTDNYILSRGTLRIFGCLLKGIVYHPDVLFTSIDLAVTFLPLLTFFYEQVWEFLVFTLSNWLMVSLLCEYTSKPQWRRSRIRTGLICRILWVRRTMSRPNLCFKQLSVLGFGRCLPSMTVPKKKAVPMEVKRSIMDYLVAYVDGHAPPLSNGWSTIKHSRHYSSHQKQILLGACESKNVAEVILTWHIATSLMEVKYPPSLETKTKTKTKKKTTAAGCHAHHRKVATSLSGYAAYLVASYPELLPDSMEGTKRVYEEMKEELKEVLGGCWRYHVSLQRSRVDYRLLVDVDERLPEEEMKAVRKGARLGKKLMAMAEEEGVDQVWELLAELWTELMVFMAPSSGELHVKAHKEALAMGGEFITVLWAMCMHTGITRPAVAPWEAEAEAAAAAAVVVVAHSEEIIRPAV >Dexi4B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:4B:19662302:19664635:-1 gene:Dexi4B01G0017370 transcript:Dexi4B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARKRAAPEGANCAGGPKRARGERLSSTSLDLLVGCCAVDSASTAGCPFGASCHFLHNFPGGYQAVAKMTNLGGPPVPAPLGRMPMGPGAPDGPPSPALKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMQMDNSMGPPMGPGPNGHFMPPPMPVPDMVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRVTGAKLAIRDNEADPNLKNIELEGTFDQIKHASAMVTELIVRISGKAPPQAKNNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPAAA >Dexi9A01G0024650.1:cds pep primary_assembly:Fonio_CM05836:9A:21170585:21171718:-1 gene:Dexi9A01G0024650 transcript:Dexi9A01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLERTRSRHRSTAGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFISDRLSKMGIKSTFIDLDNIELLEDVLEKDDVTLFYADSPTNPLLKCVDIRLVAELCHRKGTLVCIDSTLASPINQKPLTLGADIVLHSATKYMAGHYDVIAGCVSGSEALVSKIWAWHHDLGGAISPDAAYMIIRGLKTMALRVEAHNRTALEMACLLECHPKIEQVHYPGLESKPWHQIAKSQMTGYGGVVSFEVKSDLCGTMRFVDALEIPLIATSLGVCERLVQQPAVMSFWGKSDNEKAKNGIKDNLVRFSFGIENFEDLRDDILQALEKI >Dexi9A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:9A:13262116:13270567:-1 gene:Dexi9A01G0018220 transcript:Dexi9A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGSVKRSGSAGGSGAAAGPPSFTVNPGDYRLMEEVGYGAHAVVYRAIFLPRSEVVAVKCLDLDQLNNNIDELMMDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDGAGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIRDDEPPEIKEDEDTARNIEVEKDQSSRNHFWKSSANDSSSRDAMQMICDKQRSDIRKTSSLSGPLSLPTRASANSLSAPIRSSGVYVDSSVDKSKRNVVEIKGRFSVTSENGSLIRKSASASDCLVNSKPMCNPTQLKELCNSSVSSAILIPHLNNLVQQTMFQQDLIMNLLSSLQQNEKVDGSETGISSQIRSLESDKAAETASSEKERSLLVKISELQSRMITLTDELIAAKLKHAQLQQELNALYCREEIEDVREEDNEET >Dexi1A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:1A:14692066:14693288:-1 gene:Dexi1A01G0012880 transcript:Dexi1A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNYEKRKSSEPDGNLSHLSQQTAEKEHNDIESLYREGEPSEHGIEQPTKSGVRSSMELRCRKRSNKTETSKNKDDYVDEDCVEPSLGEEDNDSGDDYTSGTKRKVRKKSKFGVEESKQEKVQKDKSQVSSRGRKRTLKDALAEKPEKKPYP >Dexi2A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:2A:1577326:1586064:-1 gene:Dexi2A01G0002070 transcript:Dexi2A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYRLGVQLHGHADDVSARSPSSPPTPRATTCSASVCFRSKLASMPSDSIHPRRSPNPRSLGMDHLVSWLAYHVYSPQVRGICVCGDAGIATSSRDRTVKFWTRNPEKESEYVLSKTLVGHSSFVGPLAWVPPSDCLPEGGIVSGGMDTFIFLWDLHKGEVVEKMKGHNSQVTGLAVDTNGDIISSSMDCTVRWWRNGNAIEVWEAHKVAVQTVLKLPTGELFTGSSDSTIKLWKGRTCIQTFSGHADTVRCLAAMPGLGILSASHDSFVSCSTVKLWALTGQPLLEMIGHSSLVYSVDAHSSGLIASGSEDRSLKIWKDGVCVQSIEHPGCIWDAKFLENGDIVTACSDGTARIWTTDTNRFCSDEELAAYTDLISQYTLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAELKWDKIGEVVDGPEDAAQGQVHDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGGKNVFLAFVIFAVGCCRLLLTTKLIMYQLTHMYLRGKRLHQMVVLLNKFSSTYPRYDWKVADNRTSNNIDDIGMLSFETAQFDGILKKVTEFNTTLSSDSEQKQLSLSETEMSRLPAIAKVLKETSFYHTSKLAEADLALLVKILNSWPPKMMFPVIDFLRMFVLHPDGAALLLKTIETGNDELMETFHKAVALPVQPANLLTILKAVTNLFDNSCLHQWLRIHSAEIIDSLSNCKSSFSKNAHLAYATLLLNYAVLSIESKDEQSQAQVLSAALEIAEDDAQDFDSKYRALVAVGSLMLKGLVKSLALDLDVKSVASSAKASMDSKIAEVGADIELLTR >Dexi9B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:9B:406057:407533:-1 gene:Dexi9B01G0000630 transcript:Dexi9B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSAISLRGFLLRNFSVGPASTPHAVSLVPDFQGPQTIIWRHFSTCKPNYLAKRDDFGLVACLHSQTRWASQATAVKENEASGSKISIGPKPKQIKEDDDDANLVYQGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPGMNVILKGAVASTVIFLSATTTGALHWFVSPYIHKLRWRPGSDSFEAEVMSWLATPLKRTVKFADVRPPETNRPFVTFKAEGNFYFVDAEHFSNKALLARLTPQKLPQESAFKNL >Dexi1B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:1B:189818:191076:1 gene:Dexi1B01G0000090 transcript:Dexi1B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNLTRRNSFYKIVPPSWIKKLTNTKTLHTHLALTTTFCDAIGLREPCMFMLKTSMDSTRSWLVHGAPCKTGSYLRVNGWKRFCQNSLKEGDICTFNVIKTTLWHVITRCEGNVNQLCYETPESHHGTSSSERQIRPKGSMTYLKARSKCVYDIGPPAWVQKEMIPCALQNQLA >Dexi6A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:6A:18488309:18490084:1 gene:Dexi6A01G0012240 transcript:Dexi6A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVPHVLVVDDTFVDLTTMEDPKKALEFLNVEHDVQLIMTDYCMPGMTGYDLLKELKESPKLKQIPVVIMSSDHIPERMKRCLDAGAKEYIMKPLDVVDVPRLLRVSVLSDIYKR >Dexi3A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:3A:2118191:2120141:1 gene:Dexi3A01G0003260 transcript:Dexi3A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLYAMHDDAASLGGLNKDGAFHFPVLHRKHPCIDDPSPTVHAASVSDAGTVIGNNKIHQGKYFMAISLGTPAVFNLVAIDTGSTLSWVHCQRCQIFCHKQAPEAGAIFDPQNSTTYRHIGCSTEDCIDIHQDNGVPYGCIEEADTCLYIVRYGSSQYSAGKLGRDRLALGGADNYTVVDDFIFGCSEDDSFKGYEAGVLGFGNKSYSYFNQVARQTSYNALAYCFPIDHQAEGFVTIGPYPQKLELVTPLILGYGRQLSHVYSIQQLDMMVDGKRLDVDPSFYTRRMMVVDSGTDVIFISPPIFYAFDDAMTAAMQVKGYVREYGNGACFTSAGGKAVNWRDLPTVEMKFIRATLKLPPENVFHDEQPPGRICLAFQPDTSGVQGVQILGNKALRSFRVVYDLQKMTLAFQARAC >Dexi2A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:2A:10886394:10886949:1 gene:Dexi2A01G0009850 transcript:Dexi2A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGTLRAHGGPVRRVSLPWHGDCHHFPELDCVLIQSSPGLNNLQEFDLHYVPRIEYYSQLLPVPHSVASVLSFSSTLRVLRIRSSSSKEKFLQFPMRSACTLSSHAPIEQLRLSHETRVLAVVSILALRPLGALVCQLVGNRDTKSSKKSLLPMFHN >Dexi1B01G0027050.1:cds pep primary_assembly:Fonio_CM05836:1B:31865525:31872794:1 gene:Dexi1B01G0027050 transcript:Dexi1B01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRTSGRRLLHRCRRGRPVVPAVAASSLARRPLPSSFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAATPRLARRLTVPAVSTSPSPAAYDTDDVHEYAAKLGFEKVSEQTIEECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPNCVEDFQTFQQEGWHYELDNPEEEITFKGVVFNEMKGVYSQPDNIMGRVSQQALSPENTYGVDSGGDPNEIPKLTFEEFKEFHRKFYHPSNARIWFYGDDDPKERLRVLSDYLDQFEASPAPNESKVLPQRLFKEPVRVIEKYPAGQEGDLTKKYMVCMNWLLSEEPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEENLQKVEELVMETLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIAKWIYDMDPFEPLKYEQPLQQLKACIAEEGSKAVFSPLIEKYILKNMHRVTVEMQPDPEKASRDEAAEKEMLKQVKASMTQSDLAELARATKELKEKQETPDPPEALKAVPSLSLQDIPKKPIHIPIEIGEINGVKVLQHDLFTNDVVYSELVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVMGKEDPLTRIIVRGKAMAPRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAASRMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDSISSSLEEMRKTLFSKNCCLINLTSDWKNLEKSSQHIAKFLDSLPSSPSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNIYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLLRYLLGITEEERQQRREEILSTSLKDFKEFADAVESIKDNGVVVAVASPDDVEAANKEKLVFPEVKKCL >Dexi9B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:9B:12170397:12171913:1 gene:Dexi9B01G0017340 transcript:Dexi9B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRFGPVHVIVVNCPEIACEVLRKNDAIFASRPLTFSSSSFSFGYKGSILSPYGEQWKKMRRVMTSEILSPALERRLHARRVEEADHLVRFVYNQCNTMANNGVDIRHVARHFCGDMIRKLVFSKRYFVEPPMVSAGAGPGPNEMEHVDALFTLVNRIYSFCMSDYFPVLRGGLDLDGHEKVVHGVMATLNRLHDPIIEERIHEWSILRKHGEKREIQDFLDVLVSLEDSEGQALLSFEEIKAQAAEIMFAIVDNPSNAVEWTLAEMINKPEVMEKAMNELNTVVGKERLVQESGIPRLNYIKSCIREAFRLHPYHAFNVPHVAMKDTTLSGYMIPKDSHVIISRVGLGRNPDIWVVPLEFQPERHLSGSSDVLLTEPDLRFISFSSGRRGCPGVSLGSSVTMMLFARLLQGFTWTKLPSVRAIELKESTTSLTLSEPLILQAETRLPVHLYESISS >Dexi9A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:9A:2006039:2007112:-1 gene:Dexi9A01G0003800 transcript:Dexi9A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSASPSPAISASTWRMASLRAALTTLRPSPAGRLRSSFAPAAAATAASVGCLGSFSGLAPVSNLLSLGAENSSFEQRLFSVDARGRIVAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHVVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >Dexi1B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:1B:20351558:20354852:1 gene:Dexi1B01G0014110 transcript:Dexi1B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKAARVADVPNLDVVAPGLVVEADAAVAVRKGGAGGRFSVIGHRGKGMNALASADRRLQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFILTEEYGNISQKRVTDLQLEDFIQYGPQNEQGKIGKPLLRKMKDGRLLNWNVQSEDALCTLQEAFEKVNPRLGFNVELKFDDNLEYQEEELTRILQAILKACVIFEYAKDRPILFSSFQPDAAQLMRKLQSTYPVYFLTNGGTEIYTDVRRNSLEEAIKLCLGSGLQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITEAVSELIAPPEPEPEVEKLNNGQATKGAATPNFSQREISFLLRLIPELVQ >Dexi7B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:7B:20728037:20728142:-1 gene:Dexi7B01G0014510 transcript:Dexi7B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPEHHKSQNPSVRDAMQQQQRRTGW >Dexi1B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:1B:7057031:7058008:-1 gene:Dexi1B01G0008440 transcript:Dexi1B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMQYPAGVGMQAYAQAVFPQPSYQQPAYPQQAYPQPQPVKASNPFDLGNDPAPVQAHMPLSGPPGASVGAAPQTLLGTSSFGVPPQQPHQFYQSAAPPSHFMMQQAPSSMPQQPPNSMHAMQQGLGSFNMGFDQQPPRYPQPSTPPSYGSVGGSNPFG >Dexi2A01G0029990.1:cds pep primary_assembly:Fonio_CM05836:2A:40984299:40985723:-1 gene:Dexi2A01G0029990 transcript:Dexi2A01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTIVLYPGLAVSHFVPMMQLADVLLAEGYAIAVALIDLTMDHDATFAAAVRAAASSASSAVTFHTLPRITNPPSFSSGVDMLLGYLEIVRRYNEHLRELLSSMPPRSVHAVVVDALSMDALGVAKELGVPRYTFYATNASALAVFAQLPSIRAEGQPSFRELGDTTLDFHGLPPLPASHLFAEMLEEPDSEIYQAMMSMMCKNVEADGILVNTFESLEAQAVGALRDTIKIGERRMPPVYCVGPLLVGAGAETEIKGKHECLAWLDGQPERSVVFLCFGSIGAATHPSEQLREIAASLENSGHRFLWVVRAPLHAEPDVVIDELLPDGFLERTKGRGLVVKLWAPQVEVLHHKATAAFVTHCGWNSVLEGITAGVPMLCWPMYAEQKMNKVFMVEEARIGVEVVGWQQGLVTAEEVEAKVRLVLESEDGEQLRARVAAHKDAAAMAWEDGGSSRAAFAQFLSDVDGLVGDA >Dexi7B01G0021730.1:cds pep primary_assembly:Fonio_CM05836:7B:26767474:26767794:-1 gene:Dexi7B01G0021730 transcript:Dexi7B01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHMLLLAVVPAVAAGGFLQTFQLAFLLWPFNAMLPLARDLPRACITLRGIASFYAAGLHAYVSGARRGAQLMQARRHLLQGDAGVVRTREDAVAHAMMAFDDIY >Dexi5A01G0036370.1:cds pep primary_assembly:Fonio_CM05836:5A:37944644:37945398:1 gene:Dexi5A01G0036370 transcript:Dexi5A01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLSISSSHGLASSPAISTCRPTTCGRFPALVGSSAVSQKRSLTMMMGSKMKGVTVVRSSSSETTSIEAEAVTGQVTEVTKDTFWPIVKAAGDKVVVLDMCGPCKLMAPKFQKMSEKNLDVVFLKLDCNQDNKPLTKELGIKVVPTFKILKDGKVVKEITGAKIDELARAIETVKSS >Dexi3B01G0021700.1:cds pep primary_assembly:Fonio_CM05836:3B:16566627:16569112:1 gene:Dexi3B01G0021700 transcript:Dexi3B01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPGSFGTLGNSGPVALSFATSSFGNFLGGPAASASSSGAHSGGEIGLSKFKAMPPPSLPLVHPAPASPSSYLNAFSGFLDSPIILTPSLFPSPTTGAIPSEPFNWMGTAENLHGGSVKAEQRQYGDFTFQTAVAVPETMVTGAAQMASFPQSSSSSMLMAPLGGLGTLYNGEVQQQQPWIYQQDATTQFEAPSAATTTQYDMPGHGGYTTVPPAAAALREQSNRPSASDAAGEHSFGALSGTPVATPENSSGSFGDDEINNGVSSRLAAGSGFGAEELDDDEPDSKRCQSSGGAFCGGAPGQQAPEGGFALSGFGNQVGMAYSSYTSHQQQQQSDAMYYAASAKDEPRDDMAFFEQRLLF >Dexi2B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:2B:4793396:4800914:-1 gene:Dexi2B01G0005100 transcript:Dexi2B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAGGRGGGRGGAGGRGPDGGRGGEGGGRGIGGRVVGGGGYGRGGGGGRGGGGYHPQPPLARGAPGRGGVEAAAAPARPAAPRPLAPGSASARPAAPTPAPGPGPAPAARAAAADADAVARGMGRLAVADHAGPAPPAAAGRSAAEAPGSQPPPVSSKGIAHPGRPGYGSIGRSIRLRANHFLVEVADKDIFHYDVSINPEPKARRTNRVLLSELVKVHGATSLANKMPAYDGSRSLYTAGELPFKSMDFVVKLGRRETEYKVTIRYAAQANLYHLQQFLHGQQRDSPHDTIQALDVVMRESPSLNYVTVSRSFFSKKFDEPEDIGAGLECWKGYYQSLRPTQMGLSLNIDTCSTSFYKSIHVVDFVCKFLRGTNPAQPLLDRDRLKIKKALRGVRVETTHQKGKRSMYKITGITSVPLAQLSFSCNDDGSQLSVVQYFKERYNYRLEYTAWPCLQSGNDSKPIYLPMEVCEIIEGQKYTRKLSDTQVTNILKQTCKRPQERESDIIKMVRQNNYSADRPAQVFGITVANQMANVQARVLPPPMLKYHESGREKTAVPSIGQWNMINKKMVNGGTVDSWTCLSFSQRQDVDMICDGLVQMCNSIGMVFNPRPVIEVHHASPNHMEAALRDVHTRAPNLQLLVVILPDVTGYYGKIKRLCETELDIVSQCINPKPRKTKQYFENVALKINVKVGGRNTVLERATVSNGIPFVSDKATIIFGADVTHPVAGEDSSASIAAVSFLSLLAIVLFEIVVVLNEMASMDWPQVTTYKALVSAQAHRQEIIQNLFWTATDPEKGTPVNGGMIRDGVSEGQFSHVLLHEMDAIRKACASMEQDYLPPVTFVVVQKRHHTRLFPEVHEQRGLTDNISGNILPGTVVDTSICHPNEFDFYLCSHAGIKGTSRPAHYHVLFDENRFSADALQMLTNSLCYTYARCTRSVSVVPPAYYAHLAAFRARYYDEQAEGTDGASVVSGGPAAFRRLPQLKDKVKEVMFFC >Dexi7A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:7A:15562749:15563219:1 gene:Dexi7A01G0004630 transcript:Dexi7A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELCVVCNSDRGSQGRRWRRLDVRPRTAMRKEGWWRRLEMGSSRGELLWVETRAHVDSRADVLLRVASCRQGGGGGGMSAGPDPEKTGSGDGGGGWAPAAAGSDAGCCWPARAAAAAPPAAAAAAAPGAAGMAALVAASAAAAGGAAQGVGRQP >Dexi4B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:4B:22761893:22768195:1 gene:Dexi4B01G0020650 transcript:Dexi4B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEVAAAEQVVTPFEVSAPDGAIDYDKLVDRFGCQRIDAAIVDRIARLTLCPPHRFLRRGIFFAHRDLNKLLDLYEAGEKFLPVHREGTLIRVLAPWPPHSLHAHKDPYFRMTRDVAPKIGFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDSSEQIKAKVNKYAFSGGQASVELHRKLGGNLDVDVPIKYLNFFLEDDDELDHIKKEYSEGRMLTGEVKQRLIAVLSELVARHQRARAQVTEEMVDAFMAVRPRPNMFG >Dexi2B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:2B:23588981:23590324:1 gene:Dexi2B01G0014130 transcript:Dexi2B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAARKHASSAGLRTLSSRLMTELSSAAAKNRAPGCDRRKNLVFSPLSIYAALSLVAAGAKGRTLAELLRGLGATSRDRLVKKVRRVVDGAVPGAQQPGKPGTPRVGFASGIWHDSTRALKPAYRDVAATYCRAAARGVDFLGKPEEARKKMNRWVAKETNRLIKSIVPKGSINHNTRLAVTSALYFKGKWATPFHRFSTLTRKFRRLDGTAVDADLMRSLEDHQFIGVHDGFKVMPYTYTASKGASSRYSMVVLLPDADDGLWSLEDRVASSPGFLQEHLPVTRVRVREFLVPRFKVSFTSGGMREALQSIGIEAMFSPRRAELPDILEEDDAGEPLFVGDVLHKAVMEVNEQGTEAAAATAILLIGSSGGPPDRRRRGVDFVADHPFVFFIVEEVSGAILFAGHVLDPTQSQ >Dexi9B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:9B:8580729:8585491:1 gene:Dexi9B01G0012730 transcript:Dexi9B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSEIPEAKQKLKRSGSLGSNGAYVRADKIDLTSLDIQLEKQLTKTWGKANLKSQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPANNSNGGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLTPQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSALANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSPGCLCFTKARGP >Dexi2B01G0031310.1:cds pep primary_assembly:Fonio_CM05836:2B:39489178:39489893:-1 gene:Dexi2B01G0031310 transcript:Dexi2B01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFPSKVAKAVFLCAAMLTDGHSALDMFQQQDVSLASVSMRLIPFAPILEKLVLTAENYGSVRRFYVETTEDNAIPLPLQQSMCSANPPEKVLRLKGADHAPFFSKPQALHKTLVEIAAMPPVQAS >Dexi3B01G0027810.1:cds pep primary_assembly:Fonio_CM05836:3B:23522285:23524940:-1 gene:Dexi3B01G0027810 transcript:Dexi3B01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKKASKEIDSIGDDISSISSSIGGSAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSPCDVGYKDSSVLRFFTRVTGYLEKGKFSDIEGLKTKVLVWTKVTAIKTEGSKVHFTTGVKKTRSRDAYEVVRDGISIDKF >Dexi3B01G0024410.1:cds pep primary_assembly:Fonio_CM05836:3B:19048770:19052111:1 gene:Dexi3B01G0024410 transcript:Dexi3B01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEAAAALQFTPTWIVAAVCSVIVLISVAAERGLHHLGKTLKKNNQWSLYEALLKVKEELMLLGFISLLMTALQRTIQSTCIPPSWTDYMLPCQRPGAGLAATRARFSAAGILVGISRARVLGEGEAAAAEEGLCQKQGKVPLLSEEALHQLHIFIFVLAVAHVFFSATTMLLGGAKIHKWKQWEEEIKESYAASGNGPKKGLTVRQLSFIRERYEGIGKGSTALCWLRSFVKQFYGSVAKTDYNAMRLGFIMTHCRGNPQFDFHRYMMRVLESDFKKIVSTSWSLWIFVVIFLLLNVNGNSLFPCWHTYFWMAFLPLALLLAIGAKLEHVIAQLAYDVAERHTSFEGDLVVVTPSDEHFWFGRPRIILHLIHFILFQNAFELSFFFWILMTYGLHSCFMDHVGFLVPRLVLGVAIQLICSYSTLPLYAIVTQMGSYYKKEIFNEHVQQGVIGWAEKAKKRSGLRESNSVGEFMHGDGIDAP >Dexi2A01G0025800.1:cds pep primary_assembly:Fonio_CM05836:2A:37465075:37468183:1 gene:Dexi2A01G0025800 transcript:Dexi2A01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRCAAALAVLLAVAAPAAGFYLPGVAPSDFKKGDALQVKVNKLTSTKTQLPYTYYSLPFCKPSTIVDSAENLGEVLRGDRIENSPYAFQMREPKMCQIVCKAPIDEKGAKELKEKIEDEYRVNMILDNLPLVVPITRQDKTSIAYQGGYHVGAKGQYTGSKDEKYFVHNHLSFTVKYHKDDDSELYRIVGFEVHPYSVKHQYDGEWNGVDTRLSTCDPHASKFVTNSDSPQEVEAGKDIIFTYDVQFEESEVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYTSSRLYKMFKGSEWKKITLQTAFLFPGVAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFSTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Dexi6A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:6A:28466757:28467569:-1 gene:Dexi6A01G0021120 transcript:Dexi6A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTNQADLESHSHNPPPAAPVAPAPATPAAVSSGGGSVVVGISDDHHTAAPPETQPLLVQSSNVVGGGAGGGGPPARRNDDGGGDDVTRLEHAIAKAFGSTAALAKNLPTGGVLVFEVLSPVFTNAGKCDDVNRIMTGWLVGLCAAACFFLCFTDSFVDAKGTVRYVVATRKGVWVIDGTPPPSSPAEAAGKRVKFIDFFHAFLSLVVFLSVAMFDRNVSACFNPVMSYDMHQVFTCVPLAGGFVGTLLFAKFPSTRRGIGFPAVATV >Dexi3A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:3A:6920602:6920957:1 gene:Dexi3A01G0009840 transcript:Dexi3A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKRMIKEQMEQEWIADKIVGDHLWKRPLFVILRIGEKIDGFTWEENRYALTLLKEHVAGALVVTTTNNTQQAREYCYPERKEPIEFSLAGLYHDTPQDHS >Dexi5B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:5B:21026726:21043259:1 gene:Dexi5B01G0018640 transcript:Dexi5B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTCLSSRKRFSFKTFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFLDALMEWRELNTAEDFISFYEEMIPLVQTLPQIVLHREKLFSALLLRVNMSARLSLEPILMLIAALSRDILEDFLPFLGRYSNAILALLNDGGDRDPEILEQVFTSWSYIMMYLQKYLVKDIIPILRITAALRYFPKDYVREFMAESVSFLLRNAPSNQLTQGLMKVLLDAAKKSSPVRIDGAISLLWHVMKGTSAKLHSRAGKVLKFLLSKSAFTTVHDKYPDGSSTIHEVMTGLIQRLCDEVDPKELSVIYTSLFEEINICLKDGCLDHFKCLIDFLAFALQKKQSDVLDEAKMIQLVELLVSRYVLPGSSIVQASSSEFLGSILDFLLCVLDVPIISGNLSIVSPFYAPVFELTNLSVIVFIKKLLAKGTQIIQHFESQILRAMDNFLASSPEEVLFILLNFFKGSKKKIILHSSGGNHLDSIEKLCKFFESKFSFWIRFLDDAVNTRDQTNNQVSEKDAAILWGSICCYPNINSVHQDSLSLLKKLICNFDRLLEVGEEDINGLTSTIWRSLLGAALSSYRELLLVNTNRYSELNFFISLAKSHSTCPQVLSAVAEYLDSLQGVASLETTNEFETQNLLDLFSIFCTNLSSPNKDVRVSTLRILSYFVKMDQRLGTNEERPHKRQTTEDSGDDTVAKYANVLDTLLTVESTPISVSTSRKISIFISRIQMSLSSKMVHDDYIPSLLHGLIGILYNRFSDLWPPTLDCLAVLIRKHKELVWSQFVQFVATHQSKGPTMKNLEKLDAATQPQSINMKLKDGRGISYFMFGIAAILDCFSLFLATDFDCTPVETMATLLLQSLQRIHDVAESRSRHLVPLFLKFMGYDDVSVFSADSYMPEKCKGKQWKAILKEWLNLMKLMHNARSLYQSKVLQEVLTKRVLDDSDPDIQAKALDCLLNWKDEYLTPYSQNLKNLIDIKTLREEMTTWAVSHDSFSILKDHRSQVVPLVIRVLTPKVRKLKLLGSRKASVSIKQLKDLRSLCIKIICSALTHYESHDFGENFWNIFFSSVKPLTDCFTQEASSSEKPSSLFACFMVMSRSPTLAPLLVSNNLVPAIFCILKVKTASASITTYALEFIENLLRLDIDLEQQDDHSVKNIIVPHMDVLIHSLHDFVNHRKELNRKSGTWLGQRELRLFKLLLNYISDPSAAENFIDLILPFFSKKDLNTDECLEALRVVRGIVPNLRCKAGLIRDLNAVSTSELGELDYDTRLTAYDKDITMKVFVPLFFNMFSDVKAGKGEQVRDVCLDTLSAVAAKVQWEHYRTILTRCFRELNLKPDKQKIILRLICSVLDAFHFMKPANDVLGSSGVTSEDTDSSLTFSLTTVSSDKQDYLRKVVFPQVQKLLGADPEKVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRHEARSALAASLKELGIGYLQFVVKILRAILKRGFELHVLGYTLHYLLSKNITADMNGRLDYCLEDLLAVVESDLFGDVAEQKEVEKIASKMKETKKRMSFETLKLIAQCITFRQHLLKKLISPVSSHLQKQLTPKLKTKLEMMLHNIALGIECNPSTDTSNLFTIVYWLIKDTTTGSESESKENTRSGSGQGNTSVLKFPGLGVSGSQNSYILTKFALDLLRNRLKSIKLDKEDEQLLMMLDPFVDLLGKCLNSKYESVLSVAFRCLALLVKLPLPSLRDNANVIKDVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDNQLQMLIHTPMFVDLQTNPSPVALSLLKAIVRRKLVSHEIYDIVVKIGELMVTTLTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLANLSYEHPSGREAVLEMLHDILTRFPQRIIDDQGLSDETVLPLWKEAYHSVAMMERLLLRFPELYFEQNMEMQDTQIKIVFNCFRMISSALGPEESLTYADHFLAPLYKVSEGFAGKVVSDEVKQLAEGVQGKLRDLIGPEKFVEVYRGIQKGLKHKRDSRKQAQKIIAAVDPERHAKRKKRIADKHREHKRRKIMAMKMGRWMR >Dexi5A01G0038390.1:cds pep primary_assembly:Fonio_CM05836:5A:39418524:39419719:-1 gene:Dexi5A01G0038390 transcript:Dexi5A01G0038390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVASVLAVALIVGAFASIPTTVQSIGVCYGMVGNDLPSSSDVVQLYRSKGIKGMRIYSPVRSALDALRNSGIGLILDTGNGDVLGQLASSSSFAASWVQNNVKPYYPSVNIKYIAVGNEVDGGATQSILPAMRNLNAALAAQGLSGIKVSTTVQSGVITNSFPPSSGVFAQGYMVDIARYLATTGAPLLANVYPYFAYRGNPRDISLGYATFQPGRTVTDSGNGLTYTNLLDAMMDAVVAALEKAGAGGVRVVVSESGWPSAGGFAASVDNARSYNQGLIDHVGRGTPKRPGALETYIFAMFNENQKNGDPTERNFGLFYPNKQPVYPIRF >Dexi1A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:1A:4350284:4351324:1 gene:Dexi1A01G0005880 transcript:Dexi1A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGGGHHLSPQSIMSSSSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMSAKSAGMPCPDYKRVHEGFKLAYADMSRRHPCFGHAAAVPTAQWWKMCVRDSFARAGYEYDDDTFERIFRRIYATFGSSAPYSVFPDAHGFLRWLRGKGLLVGVVSNAEHRYRDVVLPALGLNQGSEWDFGVFSGVVGVEKPDTRIYEAAMEAAGGVAAGEALHIGDSLRKDYAPARSLGMHALLLDRFGTEEAGRWRRSGVPVLPDLVAARRWLVAGAGDEAAEDQCHTAR >Dexi5A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:5A:23712445:23713357:-1 gene:Dexi5A01G0019770 transcript:Dexi5A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKFQKVASMRLDSESQSGSSAWWRAPYAFSRLSSWREGDGDDAWWSAPYAFSRLSSWREGDDGDDDDEALRWAALESLPTRDRVRRAILPPLGAGGEGGEAAAHQVVDVLALGPRERRALLERLVRVADEDNERFLLKLKDRVERVGIHMPTIEVRFEHLMAEAEVRVGTSGLPTVLNSITNKLEEVAIALRLRRSRKRVMPILHDISGIDDSAARPARVWQNHLTARLGREAR >Dexi1B01G0023350.1:cds pep primary_assembly:Fonio_CM05836:1B:28954552:28958395:1 gene:Dexi1B01G0023350 transcript:Dexi1B01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKIAELELGKLLTLLAVYISLDLVILKGEGRNLLKAAGILQQWTGNFCIDLLFRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVRPFAESEVRNWCFQIFHALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSCAYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEIHKICNVIGSPDEQSWPQGLSLAEAMKYQFPQIKGNQLSEVMKSASSEAVDLISSLCSWDPCKRPKATEVLQHTFFQNCTYVPPPVRSKASALPKTPPCGNPVLRHSRSLPETGRGTIQKVSSITEKLSHMSVTSKTRSTLKPAVPMLKAGHGKSDFLGKSDDIPPAKRLTRKLVS >Dexi8A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:8A:20909503:20909763:1 gene:Dexi8A01G0011910 transcript:Dexi8A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEERACIGRSADEEERAPGRDVRWPSGRRRRAGLQQVVLEGRRVGLQQVVLEGRRAGLRQVVLEGRRAGLRQVRGAGPGGVQV >Dexi7B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:7B:24010899:24011171:-1 gene:Dexi7B01G0018150 transcript:Dexi7B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGSAGTESGKEFVDVLLSFLTLPLGTIVRLLGKESSLGCFDELYKSVESLDASLPNQGVQEYAVPAV >Dexi3A01G0035510.1:cds pep primary_assembly:Fonio_CM05836:3A:40756909:40758155:-1 gene:Dexi3A01G0035510 transcript:Dexi3A01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKKRSRRGDEPEDDEPNPTTTERRLYVIFDDWPWGYTIYDLDVTSSSSSPAVADLDAAHQRRRLPPPYLRLEAPRGAPWLFASAINFGPGDTFHHLPIFFSGDGDEVYSLDSGGFKTLSLKPMWPPRLEFESRCRDAWSWCHLPDPPFRRFDITSYAMDPRDGGKTTTLLVSTAMATFAFDTTAGARVWKTYADDWSMPFTGRAHFVSRLDAFVGLSNDPENLGHLCSCKVVHDGHRRSSCRISKEKLFSEDSAEDHAGATLLYSGESSSSSSNSEAEFCLVQCICSGDDTDAGAGGMQCASNGDGIIGDLKPHKEPLFGKNVPRCISYYYRLTTFSLGYEDSNGGSLTTGESRRVRCYKVPRGITQTSFLTDPVAFWL >Dexi5B01G0034820.1:cds pep primary_assembly:Fonio_CM05836:5B:34965004:34968476:1 gene:Dexi5B01G0034820 transcript:Dexi5B01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPQSLLQYAPSPVHSSPHPLNSLRYSSSERERSTRFDAARGDFRLILNILFGRYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASTMAPNHNFADPERIEHGSPLRLPGHPVNGQPMDLEGWSGMQTEVHIQATINLFIIFFLSLIRGTILFSQHMGVLQASSMGWNGAPALAGPPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADMVDGRLNQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >Dexi9A01G0045570.1:cds pep primary_assembly:Fonio_CM05836:9A:49166323:49168966:1 gene:Dexi9A01G0045570 transcript:Dexi9A01G0045570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLTHTAAAAATAVGSGKSLSPASPAAKSVVRLPRTRPLAPAAASAAPAAVHADFAEDRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCDGRILVSDRAHLLFDLHQAVDGLREAELENSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFQGFQYSKNMLKEEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGAEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGMSEIKVGVSYNQADGQKLQSFPGDLDILEQVQVNYEVLPGWQSDISSIRSYNELPQAARRYVERIEELVGVPVHYIGVGPGRDALIYK >Dexi9B01G0047120.1:cds pep primary_assembly:Fonio_CM05836:9B:46326229:46326946:-1 gene:Dexi9B01G0047120 transcript:Dexi9B01G0047120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTKKRGRFSRFICCMLMQCLCSKDQMNRMDRAVQLSENIDIKDGLNSRYSSPNFVAQKHVNNAGMEEAELSLQRVGSLNYEEARALLGRVEYQRGHIEEALRVFDGIKVYALIPEMKISIARKVSQQKPGPYASSPALPFHAVTVLMETIYLKSLALHDLGRFEEAARQCSTILDIVESAVPE >Dexi3A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:3A:9876070:9878773:1 gene:Dexi3A01G0013570 transcript:Dexi3A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPGGCSTSSGRGGSGGGRPSGGDAKDLPQLLLRVGAAVTLSVAGLLFSRRQRPPRQLLLPPPPPPSGSDDAPGMKARTGLKELRILKNEDTRAKIISGNSVHTTTTTTTTTTAALVPLAPKCRNIADDEGFLLPEFNEMVLKEFGRDIDSIPTTPAARVREDVSNDHEIHKLRDLVRSLQEREKTLELQLLECFGLQEQDAAVRELENQLKINSVESKLYLLKIESLQSENQRLQTQLSENSKIISELEATRARCKLLKKKLALDEEQAKEKITSLQKMVDSLQHKEIDDQSNHIEIEKKLKRLEELEKEATELRAANSRLQQENAHLIRRLELTRLPPVPKPKNNMEVKALEDADRLKQENEKLAKEVEQLQSDRFADVEELVYLKWINACLRYELRNKDAPSGKTVARDLSKTLSPKSELKAKQLIMEYANVGAEDSHFSHVEFGSECASSRASSGELDDISIDIASMTKQKNPKKKKFFSKLRKLVLGKGKEDREVSTLERRVSISSCSFDDFNGRDSHDSYASFMTEPNISDSQRHGDHGFGMHSSLYSVKSCPIGTETGNERSDHSGIKSLSSREERVNAFGHSARVDSGKVIPEDAEIHKFADALITSRSSSMSSRRSSSFRH >Dexi5A01G0040030.1:cds pep primary_assembly:Fonio_CM05836:5A:40345724:40346870:-1 gene:Dexi5A01G0040030 transcript:Dexi5A01G0040030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASSSEFALLLMMMLALAAAKQMMHDSAGAAPLLPSNSNYRRLLLLTAMGRRYHQQLACADTDQQPGCMTKCDARCPNQCIVICPGCKTYCICDLYPGMSCGDPHFTGGDGNSFYFHGARDKDFCIVSDAHLHVNAHFIGKPNPNPTMRRRDFTWIQALGITFSTDHRLYVGARKTARWDSDVDRLDLALDGDRIDIPTEAGAAWESVPGLTVTRTAATNGVRVQLRGVLDVVANVVPVTAEDSRVHGYGVTMTDDDCLAHLDLGFSFHGLTDDVHGVLGQTYRPNYYVNRFHGVMPDYVASDIFATDCAVTRFRSRQPAAGIVVSMLTPTIRAY >Dexi2B01G0029950.1:cds pep primary_assembly:Fonio_CM05836:2B:38254208:38262473:-1 gene:Dexi2B01G0029950 transcript:Dexi2B01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDQAAAAALLGGDPAAFDRLLSTLMSSSNTDRSAAESAFHRLRASHPEPLALRLASSLASPATPADLRAMAGVLLRKVLSPTPSSDASANNATPPAPLWPQLSPAGQSALKAHLLSALQSDPPKPIAKKVCDAISELAAALLPENAWPELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLAAALAHPTSPDVRIAALGAAVNLVQCLPTNSDRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQVAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHSAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVHYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVNKLLVLLQNGKQMVQEGALTALASVADSSQELFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDARQVMEVLMALQGTAMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELMRSAKLAVEKGQAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDEAQVRAISDEIKNVIIASATRKRERTERTKAEDFDADEGELLKEENEQEEEVFDQVSECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTPEERRIAICIFDDVAEQCREAALRYYDTYLPFLLEAANDENSDVRQAAVYGVGVCAEFGGHVFRPLVGGRFFIFRVVPAWLNCLPIKDDKIEAKVVHEQLCSMVERLDAEILGPNNQYLFKIVSIFVEVLGSGTELATDETRNKMVNILRRFQQTLPPDFLASTFSNLQPQQQLLLQSILST >Dexi5B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:5B:6616370:6625777:1 gene:Dexi5B01G0009600 transcript:Dexi5B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRAWRASSNLLGFAASRASTSAFVAKPLYLRLRCCSGAAATTNQKPLPQDRRRRSASTSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWVRTHMTKELQEEYTHLENGEVREEAVVSIAGRIVARRAFGKLIFMSVRDDTGTIQLYCEKDNLTDDQFEQLKEFVDIGDILGASGSIKKTEKGELSVNMKDFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTVESFGFIEVETPVLQHPSFSCFQGYEYIDKVQVGGLERVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIVTRCAMATHGKLKVDYQGTEISLERPWRRETMHSIVQQATGINFSSFGQDVESAKSAARGLLGIKVGSSESISLQSCSSVGQVLNEVFETFVEPTLIQPTFVLDYPVEISPLAKPHRSYAGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHSAKRAARAKVKSTEDQGDEDDYSYDVSLDEDFLTSLEYGMPPASGMNVSIV >Dexi4B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:4B:4623455:4627162:1 gene:Dexi4B01G0006590 transcript:Dexi4B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPLPPPPPPPTMESESLEKGGEIAAACTMFTAEDPKHRKFALIHCWRILKDKPRWIERRKQLSANTPVSNKKQKTKADASPSSAALVPAPTTGGVDAAAAAAEDPSKRPDGKKTEKKKLRQRSTIEALDYLVAKMKQTDDAKEMNKEQRYDI >Dexi5A01G0037570.1:cds pep primary_assembly:Fonio_CM05836:5A:38895709:38901930:-1 gene:Dexi5A01G0037570 transcript:Dexi5A01G0037570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWEGSGNALRLQIHTVCVSSSSSRRPAVRGKQDQVVVRLPWHRGGVAPLHLSSSSSVSPSPSSTFKPTTDWSSLVAIDDRFHSPLLPLRPPPARASTSSTAIAIARAFDEQGKGRASTMVRLPYSTALTTLFSYGLLFAFGHLRDFFRRIIDSRKPTTNLKVRCQPLLPSCLPALPLLLCLTPAIPLPQDYAPICLGHEDFYTRRLFNRVQVRRLPRFNFQNNNQ >Dexi2B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:2B:30349964:30352644:-1 gene:Dexi2B01G0020220 transcript:Dexi2B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGALVASRLARSGRTLASAVAQAPTAQRTAPPLLSRLGTVARALSTKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVARNQNGDLLVGITASRQAVTNAQNTIRGSKRLIGRTFDDPQTQKEMKMVPYKIVRAPNGDAWVEMAGQKYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLEYLVNEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADASGAKHFNITLTRSKFESLVSNLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQEVVSQIFNKPPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGLPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEGDIEKMVREAELHAQKDQEKKALIDLKNSADTTIYSIEKSVSEYKDKVPAEVTKEIESAVSDLRAAMAEDDLDKIKQKLEAANKAVSKIGEHMQQGGGGGSAGSGGSSSGGDQTPEAEYQDAKEAKM >Dexi5B01G0034730.1:cds pep primary_assembly:Fonio_CM05836:5B:34905642:34908320:-1 gene:Dexi5B01G0034730 transcript:Dexi5B01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLSPQPSPSPVLSSHFSPPATLGASPWRRRLLQRGRAFQPPLSSLREPNKATLRKASPNVPFRLGGGGSGKPKDRRPIPDEDEKEEEGAGGSGAITGTLLAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIEGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVSGTLAAAVAFLIARYFARERILKMVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNGELWTLGAGLLFTAIAAFYVTRLAKDAVKEIDD >Dexi3A01G0024150.1:cds pep primary_assembly:Fonio_CM05836:3A:19757592:19758689:-1 gene:Dexi3A01G0024150 transcript:Dexi3A01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRSHLLAALLSLCACTLAPPPQASVAAANVPITTCRSFCGNITVDYPFALHAGCGHAGLRDLLFCINGALMLHLPSGSYRVLDVDYAYRGLTLHDPAMSDCRALDRAPRGHGNGFVLEPWQEPYLSPDPDNVFLLLGCRATSPLFQGFPDRHLPCRNVSGMGCGDYLACPAWDDYYADTGGRREATPGDGALPECCGVPWGAIRGVNVSRLECEGYSSAYSLAPVRAEGGAAGWAYGIRATWALPESNRGFCGACRATGGVCGHDMESHADLCLCGDWNSTSNCDSSADAATSGAGAAVRGAAVLATGLTSLWWLASRSDLW >Dexi5B01G0027620.1:cds pep primary_assembly:Fonio_CM05836:5B:29183879:29189802:1 gene:Dexi5B01G0027620 transcript:Dexi5B01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVAGRIRSIVGISTKYGGPQPHDPGLGTSSRHPSFPSLRGEPGETRLHTRRRTPPLALARSVPPRPAVPAPETGCRRSTPPRSNGKFGGKKEPEQNGQANGSAPKRTADLAVYEEFEQQARQTQVRGAAIRDGNVDVIQKPLLPPFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARQAMFEELLPATSSKLEVPYNVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMTVLEASNELVPEEELPEVGPLKPEDIELALRNTRPSAHLHAHRYEKFNQDYGSQVLCSEHA >Dexi2B01G0030540.1:cds pep primary_assembly:Fonio_CM05836:2B:38778552:38783548:1 gene:Dexi2B01G0030540 transcript:Dexi2B01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASTEEAVKAAKVLMVGAGGIGCELLKTLALSGFTDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNINITPYHANVKDSNFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSKDDSNSKTDVFERSVDEDLSQYAQRIYDHVFGYNIETALANEETWKNRRKPCPIYVKDALPEDAVQLNGSSKDHKNEEHEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLKGDYQNYRMMYCLEHPTRKMLLMPVEPFEPNESCYVCSETPLVLEVNTKTTKLREVIEKVIKSKLGMNLPLVMVGASLVFEDGEGLEPDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELKCSINIKHRDEFDEEKEPDGMVLAGWSGPVDKQVTSNGNKRSVPSSSSADDVNSTAEDVSLKPGMKRKLNEILESHENSDTLQNKSDVGSSSAQLVEDDDDDLVMLDEDPKQGKKKRLQ >Dexi3A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:3A:13434032:13434364:-1 gene:Dexi3A01G0017610 transcript:Dexi3A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVELMERCRTSDERAELDQRVEELAGGSIVTCSGGAVGSSSCAEELPLRESRPPGPDSCSPEPDSRSSRPDSRLPELRRRTKSDQCTEEQSAEGARQRSGDLGGGVAT >Dexi3B01G0029220.1:cds pep primary_assembly:Fonio_CM05836:3B:28340082:28341025:-1 gene:Dexi3B01G0029220 transcript:Dexi3B01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRFICSRPSSKPSLPRTLSLFGLSSPAAASASSPFALHLPPRLLLASSAATPSSSASSTTIVAAQNPNPFNLIINLLPWLHELRFPRNFLCQPQPRPSPPPPSPPPPPPEAVVPRTQRLPSLRVTMEYDIEESVFENKEGATLQQLFSRPVLGLITKHFSVLYDIEERNTLLSSGAVRLRASHDAKSTEFLLSYVVMYLSLIYQLQQGAISVITRLGGPLYKLELSSLVPYSGP >Dexi8A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:8A:25122240:25122470:-1 gene:Dexi8A01G0014650 transcript:Dexi8A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGSSLRRCTAKSLETAAGTVGPQGSWNSCSSTRKAKKEASASSGGRVLRPRRPTGRKAKEDAASATAAEAET >Dexi2B01G0031500.1:cds pep primary_assembly:Fonio_CM05836:2B:39636019:39637952:1 gene:Dexi2B01G0031500 transcript:Dexi2B01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAETAARKLLRGGAIAGGVADVGGISFGLWDLVTGFFANILSYLFAALAGVAHLLVLPLELLWHWLVTLITNAAGAIASGLDGLWQHVTGFFAGIFAGLASAAHQLVLPLETLWRWLVAIVADAAGAIGSGLDGLWQLVAGFFPKILAHILAAVSGAAHEIPAKLEVLWRWLKAAAVVAFPFVVGVAVVLLLVALVWFWGPALCAAGVGACQALVYAFCYLGNGVFYIAVSVGGALSRLVPPCAQCLHLVTMRAPGAAGMVISRAAFVANPALYFQILRAAGPVVAAAVYCTKTVAGHGISRDESGEEAPTERLRNSAKRWRILGVDVDDIGGIFSGLWQIVKAKAAEVVAYLAALFAALGDKVDELFPPETRSETLRQWLHVAVTVVLPAALGALVLLCVARCCWRCCCGRARGGGRRMMAAPGRGGARMPRGVFESNPRGYFRDLRAQKPLVY >Dexi2B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:2B:4911873:4912151:1 gene:Dexi2B01G0005250 transcript:Dexi2B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLLLSLFLAVAANASVAGDDPPPPPSRTPWPEQFHAVVINNLTSSGDEAQMLRVGCKSYVSGSTSS >Dexi4A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:4A:21600287:21600502:-1 gene:Dexi4A01G0017740 transcript:Dexi4A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDATSAQELECWTTQMLPQRQVGARGRELAVELAPRPRGGPVGARRGGGKETAQGMARRTDRDGGTKRR >Dexi9B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:9B:4499846:4504182:1 gene:Dexi9B01G0007370 transcript:Dexi9B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALPWNRLPSGITKPAAAAAAVIVAALASSFLALPRPRSASVAAGSGLAMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYNHQDRLTAREAMV >Dexi7A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:7A:22605604:22606278:-1 gene:Dexi7A01G0012370 transcript:Dexi7A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSSLLRRDAAVEPIPRCEEGKEEYAVMPLEEERLGPAALEARGDWFLSTGRRRRGWSCSMSRVFEAAAYAYVFAENCRLRRKAALAFSEQAAYDLQFGDEHELTAASALLRSGKCYVQIEDKEEGEIAATQHALEKAIALFVKKKQSAFGCH >Dexi2B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:2B:25771794:25773404:-1 gene:Dexi2B01G0015700 transcript:Dexi2B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVQVTKQQLVHRLGKMAGLRCRAVTVDDAGTVMSIWAPKDKLPPTATESSVKMKKEERRYSSNSSRLSVVLFHGFAGDGIFTWVLQVVALAKHYDVYVPDLLFFGGSTSYPASTDRSPAFQAECVAAALRRLGVKRCAVVGFSYGGFVAFRMAEAHPGLVASVVATGSLVDMPRSTGDAILRRLGAVSLAELLLPHDVAGLRSLFAAGTHRKWWFPDTILKDYLELMIFNRKQRTELLEGMVTSDEDASAPSFRQDILLLWGENDSIFPMELASKLKEQLGAKATLRSISMAGHLVMLERPRVFNRRLREFLLQQQHPTPRRASG >Dexi1A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:1A:2610385:2611846:-1 gene:Dexi1A01G0003590 transcript:Dexi1A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSIISVKQFSGPDRMAHTCTAPQPSAHSLFSANSDHCGSTRDPQSSCAAAQSSSFKSKMVGSLSLTKILPFDLQKSSPDSNPGSAASRVSQAELLDPISSSSSTFCSSMFSSTQIKSESCRQKGALPFLPHPPKCEQQKQISTGQSSSSSSLLFGADLSTGSNNGAEHSGDVKDFLNLSGDVSEGSFHGESNAMAFSEQMEFQFLSEESPRLDDIYDRPPQNSSCPVQSHSDQEDFPSAVSPVKVQNIVLPSIFQRQKKVDFWKQSKKSPSEDKKSQSLLPGNDSGKKK >Dexi9B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:9B:3843704:3846522:1 gene:Dexi9B01G0006390 transcript:Dexi9B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQGYRSMWPETVVLNATLERRLPKLHGTHVALVALPIAGAPGVAPRSTQLNHRLGCRVRRDSGETTWVRVTGRCEERRRRAIRDLQACSGKRGQASAFLRLSALGGGCYMVRTKSSDVEEERYSPTWHWAHARARHPNNYLSGRERGPQEHHRLAVLPDVEHLIVEVTTKRERKKDEESRLKSKPKSPTKSKSEREKGEGAALHRVAGVRLIFTEEIQIEAKKRNQIEEQKEREREMGERAAGEEMRSSRRWRARGGAQGEDELKEATNRAPPRPQPDLLGRPRRVGSTSTAGRGSPEKEYPPSSRLDLVDSPGHHLLLHGSADELLEREAAAQARSLSGRWRRRGRWRRRRPRRAGGGDDEGEREEAPGRERVGGGGAGDLVEQEAETTRGRERRHQGERGVGTGEREGWDLTCRCVQDGTDAL >Dexi9B01G0038290.1:cds pep primary_assembly:Fonio_CM05836:9B:39380998:39382333:1 gene:Dexi9B01G0038290 transcript:Dexi9B01G0038290.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGLLVDANALIRNAARKILGLVNLPKLQMFKSAIDCLIASLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEIATASDGELILDRPRVKALMIVSISAPFSDDKHKKLDIPSIIFSHAISLLGKVSCALGEEVNQDSLLSYLCHKGGMPLWGNRSVSAEFGESESCKVETVEVGEQIENTAKATKCLDRVLVMQSVESILQTVEGAWTMRMSCDVCEARTILRTCKEELKVLAENSSGSIGAFLSFLCEYLDAIRS >Dexi1A01G0031700.1:cds pep primary_assembly:Fonio_CM05836:1A:36559687:36560943:1 gene:Dexi1A01G0031700 transcript:Dexi1A01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSNGAAYQSVPESYVFPANKRPGSSSPSCAAAATPVVDIGGDDHERIMNQIMDAGREFGLFQVINHGVAEQVVSEMMSSAEEFFRLPTDEKMVHYSTDSRKLPRFHTSIGNDEEKLLYWRDCLKLGCYPFELFRHQWPEKPAKLRASLEAYTTAVRAVALRLLRLTAAGLGLDECHFEDGELTAGPVIMNVNHYVACPEPSLTLGLAPHCDPNVVTVLADNGVAGLQTRRRRRDGGWVDVEPLPGALVVNFGHQMEAVSNGAVRAGEHRVVTNARAARTSLAAFVMPAMGCKVAAAPGLLGVPDGEPPLYMPYTYGEFLGVYTAAAGDRDAVLARFRNT >Dexi9B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:9B:11053174:11054838:-1 gene:Dexi9B01G0016160 transcript:Dexi9B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAPRDACSRETARGERRAGWQACRRDSVGRHATLGDAEARRRRHVVQRSKWPSGSLHWRGAGVVAFVFGRAAHPVRISSDPLLFPPPPPAFSFRFDDSSASSLHTSHRRVCSLRASLLQCRGVPAPPTDGLHRALVIDDGGDPEQQLAGMPLPEPGAGGTTAS >Dexi8B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:8B:10470521:10473309:-1 gene:Dexi8B01G0008080 transcript:Dexi8B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSIHRRIACSRCKWYTLDGHCCEATSGNLRPVVEPGICGWRAAELRRTLSSSLRQRLWRRNLGTAGGGGLHASPPLLRCAGEPRGRAAPTTSSSPFSIRRGGRDVRGSIRRGGSLLVWREAACSGEHGALQAPSSEHEGYQSSPSAMSASLSMGISLCGGPPSSTWCISQVPTAVANPVRLRDRLASVSAAISAFA >Dexi3B01G0021400.1:cds pep primary_assembly:Fonio_CM05836:3B:16248552:16249371:-1 gene:Dexi3B01G0021400 transcript:Dexi3B01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGFPSNLEQLMFGRALRGVGTLERDALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVITRRGQVKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPVPPPEPIAAILSPIIWQLNNPDLFDMYSAGIVLMQMAIPTLRTQTGLKNFNAELRSAGYDLNRWRQSTRRRPDLQILELDSGRGWDLATKLISQRDSNGGGGRLSAAAALRHPYFLLGGDQAASVLSKLSLSK >Dexi2A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:2A:33611142:33613456:-1 gene:Dexi2A01G0021540 transcript:Dexi2A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRILSALLLAALVSNVAYAKFSRNSFPEGFIFGTGSAAYQYEGAYKEGGKGTSVWDIFTHIPGKIKNNDTGDVADDFYHRYKDDVKFLKDMNMDAFRFSISWTRILPTGSLSGGINKEGVTFYNNLINEPFVTIFHWDTPQALESKYKGFLSENIIKDYVDFAEVCFREFGDRVKFWTTFNEPWTYATQGYGNGNGAPGRCSPFISKSCTPGDSSREPYIVTHHILLAHAEAVKLYRAKYKPYQHGQIGLTAVSHWFVPNTNSTADVKAVKRSLDFMFGWYLDPMVHGEYPGTMRAYLGDRLPRFTSEESKLVKGSYDFIGVNYYTTYFTSAKPAPNGLEQSYEGDIRANTSGFRNGVPVGPPEFVPIFFNSIPLKNALKDGERIEFHYKHLQFVNHAIRDGVKVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESSYWIESFLKR >Dexi9A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:9A:1624703:1625124:-1 gene:Dexi9A01G0003110 transcript:Dexi9A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGGTADSFYREAQRLGYVARSALKLVQMQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVVVGVDVKVSGG >Dexi7B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:7B:15430764:15435069:1 gene:Dexi7B01G0007650 transcript:Dexi7B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICTAGRFSEDEARYFFQQLISGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKELLSRIFVANSAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEKARTPPPSSTPVAGFGWAEEDEQEDSKKPEEKAEEEEDGEDEYEKQVKQVHASGEFQIS >Dexi2B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:2B:19036558:19048703:1 gene:Dexi2B01G0012680 transcript:Dexi2B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPGNPVNAVKWNHTNLIVASAGDDKKISLWHKKGQNVGHLPTSTIDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASVSMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRKSRHLLVTAGDDGSVHLWDTTAKSPKAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTAGLRSSLTANTSSFLSTPNSSIMEETPYRTRPLSGGPLSKLQAPRNNYNLKDDMDVFSPLVDVQPFTPSSGSCWDDHGSDDTQKDKPGEKKLSMTRKFSYMEGNDEPHPISWRSTANSRQDSISSVTTTSMPSWKSELSISSPETTAGGALPDRLTQRQQISRFGASAFATGGLAFTALQDSSSAASHSLKGSLTSNILLNLQNKGILSNTHSSLGALSPNLQSSLPSSYDSKAVSSVNPDQPGAAQSSSMWRPTTYTDRMSSSSVFSDGLASAFGSPKSKKTGAETKDELLSNLLSRQEATTAFSSSSPLPSNGVGPSQLSKTSSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEVSALQVFTS >Dexi2B01G0030560.1:cds pep primary_assembly:Fonio_CM05836:2B:38801624:38819099:-1 gene:Dexi2B01G0030560 transcript:Dexi2B01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAAPAQRAFSLLRFCPVFSVPRGSTTPFPRSRRPETRGRAGKSAALGSVSSMMMMVWPSTSTPFWPEIEGKSFHSIFCCLVDGGARPGPRSRRWAMAVKLATPHTRAPLSEPPVQTGLVLTRLDQAFLPISLRRDSDSPSLGGIAGAFSAPADAWIREGHLPRALVLLRLSPAASPPLQIQAQDIRVGNIVWIRENEEVPCDLVLLGTSEPQGRCHVETAALDGETDLKTRVSPQPCVGLEFEQLHKIKGVIECPVPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLTVVVVLGAAGNVWKDIEAHKLWYVKYDGNEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEDMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCFIGGTFYGNECGDALRDVELLNAIANNSPHVIKFLTVMTLCNTVIPIKSPSGSILYKAQSQDEDALVNAAANLHMVLVSKNGNNAEPKGQLLHINGRTQDEVARSLERVLLTMRITSSEPKLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGIRSVCFHVVNVTVLFSQAIIIFFITIHAYANEKSEMEELSMVALSGSIWLQALVVTLEMNSFTFVQLLAIWGNFIAFYVINFFISSIPASGMYTIMFHLCRQPSYWITLVLISGVGMGPVLALKYFRYTYSPSAINILQRGERSRGPMYTLVNLESQLRSDKDNMMVSSSVTPVKNRSSVYEPLLSDSPLASRRSLAPSPFDIFQPAHPRTSQPRNIKDN >Dexi3A01G0032080.1:cds pep primary_assembly:Fonio_CM05836:3A:36768191:36770113:-1 gene:Dexi3A01G0032080 transcript:Dexi3A01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVALALAVAVGVLSLAGVEAELRSAPPRPHSVTITEFGAVGDGVTLNTVSFQNAVFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLESGAVILGTQGIMEPLMARAPYGGTGFAQIS >Dexi9B01G0032430.1:cds pep primary_assembly:Fonio_CM05836:9B:34643672:34650420:-1 gene:Dexi9B01G0032430 transcript:Dexi9B01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGGRREAALGALAVLPDEVLCAVVDLLPPADIGRLACVSRLETDCFIFRLGLCPENDENWQKPRQFDGFSSLYLYRRWYRCFTSLSSFSFDDGHVEREDDLLLDQFRSQYDGKGPVLLTKLAETWPARTKWTLQQLTKDFGEVPFRISQRSPQKITMKLKDYVSYMELQHDEDPLYIFDDKGACLAINVDKWYSCLEEICACHSLPGPTEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLVYSVRGLGTELEFYDLLQKSGSPLINHIPEIIASGFLEYKDDIYRTVPWDGKGIPDVLAKHYPLEVSYANNCFPLGLWSKQSFGTSSLPDMSNRPIWPYMVTRKCKGDIFARIRDVLSKTDILPLASSLGVQMRNIHLLPLPHIEPVPKSGNNDVIDCDNATVLPEWKHLVSTLNRRKKSVKKHLANWGNSVPQVLIEKAEGYLPHNMDVLIKFAKDDGDLVYPIPSWIHSDIMDDNILIEGITETSCLGDPLCDIIPLHLDVFRGDTDLLREFLRSYQLPLLRGESNTDIYKSVRNSKFSRASYRAMCYCMLHEDNVLGAVFSLWKELRAATSWEDVESFIWGELNRYQESCTP >Dexi3B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:3B:1027027:1029270:-1 gene:Dexi3B01G0001430 transcript:Dexi3B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPKSEYDCGSPVSDGHRQSFSLSNTKAGASKPCIFFSRGYCKNGSSCRFIHGLPKDDTIVVERDMTVMRAKAFVTVSPRQLMASIFPLSTSLSQGINLNFLLHHHQHKTKRMAAAATAMLLDGEDMRQFPVQSPQMDKGDVITSSAARQIYMTFPADSTFSVEDVTNYFSTYGPVQDVRIPYQKKRMFGFVAFVYAESVKIILSKGNPHFVSKARVLVKPYKEKGKVDIACCMFKMMYGNTTNLEEFLRRKLKEQRQEDKLHQDFGMDEHQFMGLQILDLKSKGHNLGSPMPLGQANGQGNINGNGNVNHLEDVTIEESKMNNNVLAMGVAAVDVVSATNLEGKHEEQWEEDGDAGPKQVVGLREEKKRESSLWRGGAHFA >Dexi5A01G0033450.1:cds pep primary_assembly:Fonio_CM05836:5A:35812014:35813353:-1 gene:Dexi5A01G0033450 transcript:Dexi5A01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEDGRAGAGEPSRPAPRLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQGEKDDKIIAVCTDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVDESLRQ >Dexi2A01G0035860.1:cds pep primary_assembly:Fonio_CM05836:2A:45371094:45372599:1 gene:Dexi2A01G0035860 transcript:Dexi2A01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSQPHGSPRHATSSSRSCLDDPPSATAARTASPRAAARRIISSPSSEAITLPIASRIAAAGVTSWRCSHGRTRTPAQRYLHTSSASHGWSLCMGHASIGFPWLKLSTVEFHPQWLMNAADLQLRRPPGDHHAGAAVAELGLRDGEALHAGEVAAAHVCTVGVAQHPNEPLAAVSQRGGELAHLLRPERRRGAERDVDHRRWQLLVEPVQALVPPRATAAARVGEEHGAQRPNGEHLGAIRRGNPREHVEELALEGATGVDDHAGAGGAPALLPHPLGERDELLGRAGVGRVEDEAVSPEEGVPGVGPSDVVGGGEAVDPERLGVGQPRGLPRRERRHAVVQDDDAAVGRGELLQERGERGPRADAEGLEEGQHVRRERGRRVRGRGRVRGDLERVEVDPEEELAAVAGGDAPAVKARAGLPEVRLRRGEVDGEPPRREQKGEVQQLVQVALRRERHRHDGHGGFGFHRRGSEEDRRRQIRQDEARPRGSVLV >Dexi9A01G0036030.1:cds pep primary_assembly:Fonio_CM05836:9A:40581838:40582232:-1 gene:Dexi9A01G0036030 transcript:Dexi9A01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCAVGSRLAAVSASYRISPLPAAPPPSPTPSASTSYTTGHSSGEPQHDSSSDDDDAADHAHSAAIRACRAVSSGAPAVAGPTSAATAARRGSSSSGRACRDDAMGVGVLGVQLVMASK >DexiUA01G0003380.1:cds pep primary_assembly:Fonio_CM05836:UA:6779212:6780214:-1 gene:DexiUA01G0003380 transcript:DexiUA01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAIKEFIAHYGDVFPGNIDMYDDEDVCKRTMDVTDGKQDARLAAKEVADVEDASDQRASDVSDDGASYEEFADCEDNPCDYVNYWRTLRRSSHRDGSIYCTKGTFGSGWQNDYRIANRGENSKRERERVKASGVGP >Dexi2B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:2B:30005353:30008127:-1 gene:Dexi2B01G0019840 transcript:Dexi2B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFSTFSPRLLSGTSARASRFQTLAARKPPAASTVSGGGGRGKGKGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGISVGGHETCVTVPALNVAFDIGRGPQFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIRDDVEELLQVHRKMSQIELSVELVALDLGETYEIRNDLVARPFQTYHAIPSQGYVIYSIRRKLKKQYAHLKGSQIMKLKQSGTEITDTMLYPEVAFTGDTKSDFILDPRNADALRARVLITEATFLDDHIDVEHAREHGHMHLSEVTIHMLTLPTHIMEHSQWFRNETIVLTHFSNRYSLEDIRQAVSRLQPKLHSKVVALTEGFKSEYR >Dexi3B01G0035920.1:cds pep primary_assembly:Fonio_CM05836:3B:38891108:38895030:1 gene:Dexi3B01G0035920 transcript:Dexi3B01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSQSLVFRAPACLPIGRLAAPSSAGRVALRGCAASSPVAVATVTSTLTAGSDGGDERKEAKLWGGRFEEGVTDAVERFTESVSYDWQLYKYDIMGSKAHASMLASQGLITAGDRDIILEGLDQIERLIQEGKFEWRKDQEDVHMNIEAALIERVSLVMLASEYVDLIVPGYTHLQRAQPVLLPHHLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDSFSWSTAIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNIAFNSKRIQSSLPGGHPDATTLADYLVKKGVPFRTSHEIAGKSVALCVSKNCQLAELQLEDLKLKGFHPGIEADVYEYLGVENAVNKFISYGSTGSNQVKKQLEDWRIQLGISS >Dexi1A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:1A:572211:576046:-1 gene:Dexi1A01G0000890 transcript:Dexi1A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSSPLKDNIVEYKSLLAFLHSPQDIGDYTDADALAILRTRFKRHIAYERKACSSKFLEYWVPAYLSHAQLKQYSSLLLANSSILQSQTATDNVKALQDIVASLWKCCNHPCLVGLQHSPINTHDVNESANDIMHTSGKLLLLDKMLKEIKNKRLRVIVLFQHKRNEASTEETNEARRKLRKIGRIAGSSSESSPVINNDLFREIGTQSSVGLDLLPETGVENLSTPKSYHAELERELSKLTNVLKLPDNVRYVAKQFLEYLLKNHLVVREPPGTLHAFNIALCWHAASFLKYTKLDHEESAALAANCLNYEYNEGLTGVFYKKLRILKKKVGRKLRGRRNKIPVVTTNLRSGNIFPKQAVDLHGNFTNGTQENQSASEQMVSNAQELVSAPEADRDCHLSMRNEPTEAHTRSGGKTTSESLDLPDGNCDAVDHCSASAIPATHDPTNQ >Dexi6B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:6B:19084203:19085867:1 gene:Dexi6B01G0011770 transcript:Dexi6B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYVLAAAVGALIVFLYVVVLNHRRCGNLPPSPPSVPLLGHLHLIGRLAHRSLHDLHLRYGGGHGLLLLQLGRRRTLVVCTAAAATDMFKNHDLAFASRPHSVGADKLMYGCDNVSFAPYGESWRRGKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAAEAAGEGVELRGLLYGYANAVVTRATAGAAGATAERLKQLMAKSSGFVAGFEPEDVLPDAPARFVRWVTGIDRRLDDIVRAWDTFLSEIIAAHEEKVGDVAEEDEDFLDVLLRLRRDGAEGLELTDNRIKAIVKDVIMAATETSSDTLEWTMAELVANPRVMAKLQDEIARAAAARDGQLAESDLNKMGYLRAVLKEVLRLHPPAPLLVPHESTAPAVVQGYEIPAKTVLFVNVWAIGRDPAVWNAPEEFRPERFMVASGGGGAAVDFRGTDYQLIPFSAGRRICPGISFALPVLELALAGLLRHFDWELPAGVRPGDLDMGEAPGLTTPRRVPLILVPKCKVLPQPALQQ >Dexi5A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:5A:22112534:22113412:1 gene:Dexi5A01G0018600 transcript:Dexi5A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EITLFDGYIVVYKFVHDLHFFVTAGDDENELILASVLNGFCDSVGLLLRGDVEKRTALENLDLILLCIDEIIDGG >Dexi8A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:8A:29011919:29013769:1 gene:Dexi8A01G0017290 transcript:Dexi8A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPEEFFAKGLIEQSPPSPPVFLDIPPKPSGSTESQHHIPDNMMLPHISRVLFEDEDIDDKLSNDPALLQVQQPFAQILSCPPFGANTRNMEGRNDLLQDGCREEIVPDMVLSKSNEVVQAFLKGMEDANKFLPKDNHIRRDNLVNQIVTQSSSHSGTKKKYNKDDHQEEARTSETVMTMKEAEDNSASDILDKMMMQAYQTCIWGMNKLRVTMENKHQKYSGRKASRDDVVDICTLLIACAEAVAANDHMGACLLQLLASREGALPEVKITAIGPPKRKSYPAEQIEEIGCRLRKCANKYGLPPFKFHTVTKKWEDVCIKDLDIDIDEVLVVNDLFNFSSLMDESVFFDDPSPRDIVLDNIKKMKPDVFIQSILNCSCGSSFITRFRETMFYLMALFDILDATIPRESKSRVVLEQFVLGSSAVNAIAVQGVDLVEHPEKYRQWQARNRRVGLRQLPLKSSIIEVVKDEVVKHHHKDFFIGEDGQWLLQGWMGRVLFAHSTWVAEDCYSS >Dexi2B01G0034760.1:cds pep primary_assembly:Fonio_CM05836:2B:41989981:41990466:1 gene:Dexi2B01G0034760 transcript:Dexi2B01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPCPASPAASAEHHGRGHAEVAVITGAATARKFVRHGARVVLADVQDDPGHALAADLGADAACYTRCDVTDESQVAAAVDLAVAHHGKLDVVFNNAGIVVPLRGRRWDSWTSPTSTASWR >Dexi6A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:6A:8002770:8005511:1 gene:Dexi6A01G0007950 transcript:Dexi6A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVRESEFRKGSQWFSMKRQHAMVVIADSLYYTKFRHYCRPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVIYERLKNMTSIDVSSHITSDEKVPSSLAI >Dexi5B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:5B:6660048:6663111:-1 gene:Dexi5B01G0009630 transcript:Dexi5B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKLSSPATGLLAGGRTRRSAPARRATVIRAAAGSYADELVSTAKSVASPGRGILAIDESNATCGKRLSSIGLDNTEANRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIDRTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPETIAKYTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENMDAAQKALLVRAKANSLAQLGRYTGEGESDDAKRGMFQKGYTY >DexiUA01G0018470.1:cds pep primary_assembly:Fonio_CM05836:UA:39105400:39105694:-1 gene:DexiUA01G0018470 transcript:DexiUA01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEASDKLLRFLYFVGAGVICTKAINTYRDYEQKKEASDAVTAAEAALTSAAAPEPASATAAAAGKP >Dexi6B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:6B:24995354:24998018:-1 gene:Dexi6B01G0017920 transcript:Dexi6B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSEGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDATNVKTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIDGDSKDDQKQTSRFGCC >Dexi3B01G0033280.1:cds pep primary_assembly:Fonio_CM05836:3B:35917330:35922861:1 gene:Dexi3B01G0033280 transcript:Dexi3B01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVKEVLRRGIGNVGDEDVAARRAEEAATRRHDAASWLRKTVGVVYAKDLPDEPSEEEFQLGLRNGIVLCNALNKVQPGAIPKVVGVPAESTVHTDGSALCAYQYFENLRNFVVVVQGLGLPTFEVSDLEKGGKSVRVVDCILALKLFSESKKTGRQASCKYGGMSKPLIPGNYFILKNSDAFMNKNMRYHSAEAIHNGFSGEQNVTTDCFPESAESTTSNSLSTLVRTILLDKKPEDIPLIVESLLGKVIQEYQHHVANHNLVCLVTQLIGQLKMDEEKQNVMNLKEVSFVVNGNKAAQQFQTEAEINFDLQHKQIRELRGTVSSVKSGMEQLKLQYSEEFTKLGKHLYTLSNAASGYHKVLEENRKLYNQIQDLKELGIALLLTFMLFLANADEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLATLEIKNTSQNGLAVPDASIVPVASTIDVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLSQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAVGETISTLKFAERVASVELGAAKANKESNEVRELKEQIACLKAALARKEGEPENFLSTQSSPSMHRIRKGNATPVFSKDRHPMEEVGNLEVILSNSILVQNIFTPMQKRSKLHFSGILTENNSSNSVENCTDVQKETGLGGWVDKMAIGDNHCENSSSILHLESDTAQLPTSFYQRYSPMQQSCRSESVPSEGLHSFDFSTSCSNQEIATPTMGLKPIGNRGVSTIKKPEVTSMR >Dexi9A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:9A:3536798:3538036:1 gene:Dexi9A01G0006290 transcript:Dexi9A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFDNCKAVADPYIECEFMIILIDLILHKTRAYRHILFNELSMGSSVDKGILYRLSAMHC >Dexi7B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:7B:10178342:10183537:1 gene:Dexi7B01G0004150 transcript:Dexi7B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGAERARPPLSIEAGAARTRPHFTPPSSASSRRFPPPNPPTPDAGRRALAAAMSFAVPSASSASAEGLLALADEAERRRDFPAAAACLESALRPPHNAALLPLTEARARLRLAALLLAPRGGAAAPRSGGAPAAAKAHLERALLILSPLPSAPPRLKLLAHSHLAGAYAVLGAVPSQKHVLHRGLGLLASASASGLLQRGPALMWTCNFQAQLASALTSDGDAGSALSALSAGAVAAAELGSPQLELFFAASALHVHLLCWEDSAAVESSFIRATQLWDALPAGQKEHWVGLFFYIELLRTFYLLRICDYKAASQCVERLDTAVKSELQRGRRIKDLAEELRAVERTLGQPGLKERERSALSHKQRQLKAQLRALCGYDKLSDVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVGRPKGIFKECGKRIDSGLQLIHDELLKLGIVDGVTEANLEHSTMWTVGLYLTLLLQFLENKVAVDLTRSEFVEAQSALAQMKSWFTRFPTVLRGCESTIQMLRGQYAHSVGCFDEAAFHFLEAIKLTESKSMQLMCQVYAAVSYICKGDAESSSQALELVGPAFRTVDSFVGVREKTCITFVYGLLLMRQHNAQEARQRLASGLKIAHQQLGNIQLVSQYLTMLGTLALQLHDAVQAREILKSSLTLAKTLVDIPTQIWILSVFTELYRELGERENEMENFEYERKKEDELQRRLSEACSHDFHQELACGPRFREAQYKAAVMKLDLMPQAVALQNSLL >Dexi2B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:2B:3534987:3539788:1 gene:Dexi2B01G0003980 transcript:Dexi2B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGIAVAVYVDHEACSPETGSDSIRNEPSTLTDCFCSPGCRKVYKRLKKLLGIKNDIEAGFSWSLVRCFANGQAIPSKNKAQSDISRLDFSGFYTFILERGDEVISAASVRYICMIHGTDLAEMPFIGTRGMYRHQGMCRRLLNGIESALCSLDVRKLVISAVPEMENTWTNVFGFKPVEPSKKQKIKYVNLLIINGTGLLEKRLLPTGTVDGQTIAKPANAVGSDKIDAKIFGEASGSLTPVHVSREFDVANDLENKCHESPCPLNGNSTALTSDQPPAAEENDLIIAQERTSPVSVDDIKLQTLPEVNCRDTMQLKAEADDIQEEKCGEVNGELTAENTVAEQKCEDKYNSSHSNSLGTAATVDPCCISNEVGKGEECQSNELSVGAASITSKTESNLASTSPSLNCGNQEFEKSCVAALDTNAPLVTMDEKPDNHGLKTVVADGYIQSSMEAKSLDDTTNIVNETSLDYYIVEDTTAYAVEDKCLEQCGMVWFFCKKRVAVRSHFSTAKAEAIGIIVVAAVACRR >Dexi1B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:1B:4860986:4861840:-1 gene:Dexi1B01G0005970 transcript:Dexi1B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFLFMQTVPVNPKPFLNNLTGKAVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >Dexi8A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:8A:8518582:8519065:-1 gene:Dexi8A01G0007510 transcript:Dexi8A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPWCWSVAAVQNARRSAAEVLNTNRSAAEVLAGAAASRLEGACRGTVGSGAWWSCCSSAVLPRDAAFCSNWASSASAATSGRDAEWGGAPGGGFVVGRRETERGRGEMERGKEERELLI >Dexi5A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:5A:1378127:1382692:1 gene:Dexi5A01G0001960 transcript:Dexi5A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLSCDAHVRKSTKGMQCSCRQQLSRSTGAPQHARGFCFKNPTKKKKTAAPARAALAAGSLLPPSGLDSANQWHHWMSPPPVHASGKVSWPETHCSADSALAVASPPRTAGFCRRRRCPPVQSATFVPRVPSFSSIGLSSRSPTRACGCSPPARRADAAHGANTAATSRCRRETSPSCLTLNSSPPFLAGKTLAGASRGAAELVHVHSPLHCSPGQTKALSSFPILHCHSPTFPDPSPPPQPPAELAGVRRASAAEPRTCTARYAPPPAEPRAPLASSCHAASPTPTSPVAARRSKPSRHRRHLAQTNHGEPLSISPHFPGPVSPPFGRRNHAGELEDTVGPVHSGLADGVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi7A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:7A:12076952:12079767:1 gene:Dexi7A01G0003110 transcript:Dexi7A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALSAQEFVAGGTRFVFRRWTQAAKSSGNAAEVVPASSPTMSAPESGERGTTLGGSTSPVRSPCAVGPTADTPTSDMTSPTNGQTAPAAPRSDPSATGMGAVRRDAVGGAVPEWAPLELQCKRHTPATSVQEDPMRDESGSKRACCSDGSAPMPVGSPGAATPRRTPAVVSPPATAPLQPAATTTSHVPLRTYMRRSRHDGAATPAARTPPVTPARLDFDTSAPAVTEDLPPPEGTWGHITPRRRASPRPTPAPQKKAKTANPSRPVAAAHQTTPPPNSNSNSAIATNTPATEAAMAATTAFLAGITLATRSPLIRSIPGDVRLAFTVPDNAASMPWRSSRLSSKPLNVSVHPSKKGEVLAMKRLGFLPEGVTSGAAIDKAGEKLDNFFDDIIDVKNLPALRDLFPVARGLSDDEMAIEKRISVFSQIPKENGELIQVLRYEASQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVKGGETHFPQAGEGQCSCGGNMVRGLCVKPNKGDAVLFWSMGLDGNTDPNSIHSGCPVLKGEKWSATKWMRQKMTF >Dexi1A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:1A:3711866:3715678:1 gene:Dexi1A01G0005080 transcript:Dexi1A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAASTNKEMESQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPVIHDFLSQELKDSFLPAELASASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFNQQPPCQELIAKDLHGNEWKFRHIFRGSNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLAKYMKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSPFALGLKRPWPAGLPSLYGGRDDGLTSSLMWLRDGASPNFQSMNFGGLGTNPWMQPRMDNSLLGLQSDMYQTVAAALQGTTKQISPSVMQFQQPQNVVGRSALLSNQIMQQVYKSGTVGRLLDITRFSSYDELRSEVGRLFGLEGQLEDPLRSGWQLVFVDGEDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGIQFLNSASARRLSNGCDSYVSRQESRSLSTGMAPVGSVEF >Dexi1B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:1B:6353406:6356633:-1 gene:Dexi1B01G0007680 transcript:Dexi1B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARQLRSFVEVDAGSHFPIQNLPFGVFRRRGSQQAPPRPAVAIGDFALDLAAVADAGLFDGPALSGSPCFHQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALRKKCLVPMSDIEMVLPITVGGYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHIPVGYNGRASSVVVSGTDVIRPRGQGHPTGNSPPYFGPSKKLDFELEMAAVVGPGNELGKPIDINDADEHIFGLTLMNDWSARDIQAWETIPLGPFLGKSFSTTISPWIVTLDALKPFACEAPKQEPESLGCLLELTWNGQKEIPVGNSARKFLEDGDEVILTGCCKGEGYNIGFGTCTGKVLPALP >Dexi2B01G0031060.1:cds pep primary_assembly:Fonio_CM05836:2B:39279288:39290030:-1 gene:Dexi2B01G0031060 transcript:Dexi2B01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLGLLLLRRLLSSSTSSPAPADAPRPTDPALLLRLCTILYQHQQAPDEKLNRRLSALPLPTAPADLRELFLQASARFPLSWRPVHRLLVHLSARHSGASGGGGFPHSPATAARLLDVLAKSGNIDLLHSTLFSLPRNLLSAAALRAAVRGLAPAREVGKVAAIVTLFPECHHDRILTFVTDVACSEPCRLPDVAEKAIKRAEHRHGVSRTARCDDLLVVAYCREGSLADACRVWNGMERRGLEPGGAAYQEIVVTMFKNNRPVDAMKVFDGMRRSGVRDDGGRCCRAVVSWLCKDGKVWGAYMVLAEMVKRGVEVEGEVLGDLVYGLMARRRVREGYMVFHGVKEKDIALYHGLMKGLLRIKRAGEATEVFREMITRGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGKTLQATKFVERTMWGGVNVPRFDYNKFLYYFSNEEGALMFEEAGKRLKEVGLVDLADILSTYEMEHAVVSAAEGAIHTLLGKLGAVLVQEAQLLGSVQVELQYLKDELESMTAFLQDLAERNEHRKQVKIWMKQVRELAYDVEDCIDEFKHHLGDSRGGRAIFLEALSTAASLSCPHRLYLRFFVVSSSPPPPPTPLPRVGVSSASSPAAPSRLRCRFLALLRCRRSLAEARLRFAAVTSSSAGTVAFSTLSFVVASGEFTSSCFSLDRSSH >DexiUA01G0017700.1:cds pep primary_assembly:Fonio_CM05836:UA:37418292:37421849:-1 gene:DexiUA01G0017700 transcript:DexiUA01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAFNRAAFAARPFHRPPKPLLHVAGAEDGPAGRRVAPLTRLRCSGSLSVGAGGQYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDKLVHGPRFTGVGAQILGADDKYICQFSEKSNNFWGTVTGAVIEEDADTPITGFAVATCVAGGDIVDVDGAADVTMDAAAAADGRPVA >Dexi9B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:9B:2824733:2829719:1 gene:Dexi9B01G0004930 transcript:Dexi9B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKPPSTPASKGERTPMSTPGGSSRANEEKIFVTVRVRPLSKKELAVKDEVAWECADNQTILYKGPSQDRAAPTSYSFDKVFGPACQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYMHIKNTPEREFVIKISAMEIYNEIVKDLLRPESAPLRLLDDPEKGTIVEKLQEEIAKDSQHLRHLISICEEQRQVGETALNDNSSRSHQIIRLTLESRLREASGCVKSFVANLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSGKRIGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFATCAKEVTNTAKVNMVVSDKQMVKHLQTELAKLEAELRTPDRSSSSDILIMEKDRKIRQMEIEIEELRKQRDNAQSELEELRKKKGDNQPGWNPFDSPQKTRKCLTFSGSLEPSNKIKMRSSIRQSSTAPFVLKHEIRKLEQLQQQLEVEANRAIEVLHKEVQCHKHGNQDAAETIAKLQAEIREMQAVRSENRDVDMIADEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQQSIDRLVMSLPNVAVPCNETTPKSNRSKKKKRMLLPLGVSNNINRANLLRAPCSPHLSSRPSESEVENRAPEGDTVSVEGSEKATPTKSEDGDMSSRDETPRYRRSSSVNMKRMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKHLLVCQVLELESNEGKPNDDLEEDNFGLVQDCPDSWDILFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTVSLISSIKALRNEREFLARRMGSRLTDEERERLFIKWQVPLDAKQRKLQLVNRLWTDPNDKVHIEESADLVARLVGFCEGGNISKEMFELNFAVPTSRKPWLVGWQPISNMIREKTQLW >Dexi5B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:5B:22914835:22915419:1 gene:Dexi5B01G0020700 transcript:Dexi5B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELARRVVAHGDGAEFTATLVTYANFSTAAGHYYSTLASLPAASVSTAVLPEVPLDARVETRILTVIERTLPHLHELLRSLLASPAGVAAFVPDIFGAWALEVSVELGVPGYVSCTTNLMALHSIIYIPQCELRDMSEHIRLPGCLRSADLVDAVQDRTNPAYPLVVELARKYLLADGFIVNTFDAMEHET >Dexi3B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:3B:11734054:11734491:1 gene:Dexi3B01G0016180 transcript:Dexi3B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCGTHHAGGTPCSSRSTSYALFTSATSRATASSNDAPTPPPPPRAPATPCRYTSRLCHVRGRLRVDRQLGADVVVEHGRFGTTSRAFRTRRRRRPGMASNRFLNPEPPTRGLANANAVTGQAAIAAAAGATL >Dexi5A01G0037650.1:cds pep primary_assembly:Fonio_CM05836:5A:38933769:38938252:1 gene:Dexi5A01G0037650 transcript:Dexi5A01G0037650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MAETQHISSNGAANGDANSEYASEKILPKRLQRFDSLHMEAGKIPGGSTHAAKKPISISFAVLSAVGGITQKATTLTQGQIAGIAIAILIVLFLVQRFGTDKVGTEAMFADLGHFNIRAVQIGFSVVLFPSVLLAYIGQAAYLRNYPEDVANTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAHQGGDDVTEESGDAVEASVGVQEGRLSKSSSDRITTSPPNGSIDEIQIIQGEMEDGVVHMLGETNVVAEPNADFFKKIIVDYAYNFMRKNFRQPEKITCIPHNRLLRVGMTYEI >Dexi5B01G0038330.1:cds pep primary_assembly:Fonio_CM05836:5B:37558232:37558936:-1 gene:Dexi5B01G0038330 transcript:Dexi5B01G0038330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDRARLRQLGYKQELKRGLSVLSNFALSFSLISVMMGVTITYNTGLRYGGPVSMTLGWLVVSVFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQVW >Dexi8A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:8A:10936589:10936945:1 gene:Dexi8A01G0008820 transcript:Dexi8A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLASAILKVVYQKISSAIQDKMKVQEDFVDHLERMKMTLESVAALLNDAERRSVEEDAVRLWLKRLKDAMYGIHDMIDECEAGTKPAASTRTEL >Dexi1B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:1B:11065885:11067186:-1 gene:Dexi1B01G0011080 transcript:Dexi1B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFRTNTELLDRAARPFNPKDAEDTSGLLDMCVKALQDSRHVTREKALAALAATLEQLPPLDDSRCFNIFALCGVCLKDEGSSLKERCLAYRAVGLLALTLRAASAGSSEVLAHSFQPLARTIRREHDGDAPPVTLVIAAIECLAAVTFAGARGRDDVYRSLKALWDLIVSSSRPSKINSGGSGAGARKKTTTPPQVLAAAVSTWAFLLTTIVSETDALIKKADSAVWNAAVACLAGLLGHDDRGVRVAAGEALAMCVELNLTQHAPRKDMDALAAKVSELASELPGRGSNSKNTILLEQRDLFGQIAAFLDHGERPERSLPTSVDGCVALRVCSWAKLVQLNFLTRFLGDGFRKHVQGNELFKEAFSYGANKGKVLSISKKKQGNKTDKDYFKARRGGRCRPWDYTILCDYPYTARYKPETLLRIGWQALH >Dexi9A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:9A:6985836:6990157:1 gene:Dexi9A01G0011210 transcript:Dexi9A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQMTSSNLLRTKGFPGNMDLCWPRAQRVNIRLSSSPGAVLSKGFDSPLVEKSDTAVDAGIIQLYRIPFLQESETAELLRKVKAKVSANIVDIMTEQCFNIQLDNPLTPEKLSVLHWLLAETYEPEKLQTGSFLEEEVSRSSSTVIVEVGPRMTFSTAFSTNAVSICRSLSLVEVTRLERSRRYLLCLEPGSDPLDDGQLKEFSALVHDRMTECVYPTKLTSFRSDVVPEPVRVVPVMEKGKEALEEINVKMGLAFDKQDIDYYTHLFRDDIKRDPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPNTLFQLVKKPLKANPSNSVIGFKDNSSAIKGFPVNQLRPTIPGSTSPLAIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDHSYAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKDDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCQRERVSMAVIGKIDGCGKIVLVDSAAVEHAKLNGLPPPAPVEELELEKVLGDMPQKTFEFKRVSQVTEPLDIAPEITLRDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGILLHIDLAKGNRRLGGSAIAQAFDQIGNDCPDIDDVPYLKKVFEAIQELITERLISAGHDISDGGLIVSVLEMAFAGNCGFKMDIDLEDRSLIEGLFAEELGLVIEVHSEHLNVVKQKLEAAGVSANVIGEVTSTPEIEVFVDGNLHLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPAWSLSFTPKFTDENLLVASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGTGGDMSQPRFIHNESGRFECRFTSVTIGDSPAIMFKGMEGSTLGVWSAHGEGRAYFPDDNVLATVVKSNLAPIRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >Dexi5A01G0033030.1:cds pep primary_assembly:Fonio_CM05836:5A:35434704:35435860:-1 gene:Dexi5A01G0033030 transcript:Dexi5A01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRSPAASCQLLAPSRRTRLLTPCPRAGATPAAEAALLPGALRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEETVRLSRAWGFLMGLFERVIHESVAEFLREGIRLRVIGDSSRLPVSLQRTAREAEDATRNNSQLDLTLAISYSGRRDIVQACRSLAQKVQDKVLRPEDIDESLFADELETSPADELPYPDLLIRTSGELRLSNFMLWQSAYSELFFTDTLWPDFGEDDYLEALVSFQSRERRFGVRKL >Dexi9B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:9B:5259779:5261163:-1 gene:Dexi9B01G0008630 transcript:Dexi9B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERREKDPPPPTAPGPAPRRPAAAASSTPTASRGSSTASSTSSNSHPSASASAGTPPWAARAGDSCYYPGCRKDANCACEMCLASIDATRDLVRAPEAASARRFFAGAAAAATRARRPALFGRGSGAGEGSELADQPWTPPMRSTAKSRRPPGKAAAAATGARGDGGARGSHDWALYAATVLGFLLLLWVDTGLVPEAAARGFGPKVSPEAVARVGAEARLAPGGLEHKIRVLERRVVQLVGGERATNCSSQESVWRLHQNDQHVFHWRCTVYKSVAEEISVWGSPLRTSGLLPSALSARHITLLSGEITEWSDGRLWPTVRASNGSSWSYRKHSAAAVRLEPETWVVEYQRSALFEGTRLILAAAELLASRCSTMAQRARRRLQAKRRLFGGAQANPT >Dexi4A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:4A:21859015:21860781:-1 gene:Dexi4A01G0017950 transcript:Dexi4A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTAGKTTMAVCVTGAGGFVASWLVERLLAGGRHTVHGTVRDPGDPKNAHLAALGGAAERLRLFRADLLDYGAVAAAVAGCDGVELLAPAVTGTMNVLKACSEAKVKRVVVVSSLSALMANPAWPQGQAMDEDCWSDVEFCRSTQNWYSLGKTLAELEAFDYAKTTGLDVVSVCPSLVIGPLLQSTVNASSSVIVDCLKGDHEVKLKLRNFVDVRDVAEALLLVYETPAASGRYICNSHAIQVSDVIALLKSWYPAYKYATKFIQVSDEPMFSSKKLEALGWKFKPFQETLRDSVESFKAAGVVN >DexiUA01G0004750.1:cds pep primary_assembly:Fonio_CM05836:UA:8848932:8849985:1 gene:DexiUA01G0004750 transcript:DexiUA01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGYPDQTTTGTAAMTNNKLLRLLMSSFLSLLLVAAAASDGSSIQLILVNNCAESIWPGLLGSAGHPTPQSGGFHLGPGDESAFDVPVGWSGRVWPRRGCSFNNSSGHGSCATGDCGGVLHCGGRPGATPATVVEMTLGTTRSPLHFYDVSLVDGFNAPVSMSPVGGGAGCGVAGCHADLNVCCPSKLEVRDGDGKVSGCRSACRAMGGDRYCCTGDYASPERCRPTVFAHVFKAVCPKAYSYAYDDATSLNRCKASRYLITFCPPPTSRN >Dexi6A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:6A:224373:225552:-1 gene:Dexi6A01G0000350 transcript:Dexi6A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHQQQQAPCVPPGFRFHPTEEELVGYYLARKVAAQKIDLDIIREVDLYRIEPWDLQDRCSYYGGAGAGGQDEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSTRSTTVGPVTVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQNNEHAPAQEEGWVVCRAFQKPMPNQQQRHSCYAAAGYPSGAYATMPPSYYYMSSGGAAAPAAALLDHHSLASAESKLHVQLLAGDMVPALQSPAVDAAQQQSYDDDQHALAAAAVSAESSVVDWNLLSSLLPSAQLSFHHHTPTISPSSCSKNNNDL >Dexi1A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:1A:2274591:2277115:1 gene:Dexi1A01G0003130 transcript:Dexi1A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEEGSRGVEEQAAAAVTTVADAAAVPASKDEAAGGKEVAVEGRRPAAYAAVVIGGTFDRLHQGHHLFLRAAAVLAMERIVIGVCDGPMLAKKQYADLIQPIEKRMENVKDYIKSIKSDLDVHVEPIVDPYGPSIVDEGLEAIVVRFEFSAVPYSKETYPGGLAVNRKRAERGLTQLQVSIEVVELVPEETTGNKISSTAFRKLEAERKMRQQQETQQRAADEVELRT >Dexi3B01G0037490.1:cds pep primary_assembly:Fonio_CM05836:3B:40199488:40200976:1 gene:Dexi3B01G0037490 transcript:Dexi3B01G0037490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPLLHHLRLPSPPDRSPRLPPPSPPALPASPATTRRGAAAALLLLAAAAAAPLPRPARAAADEDVDEARVVRLFQEASPSVVFIKDLVVAGPQGRGGAGEEEAEYDEEEAGAKVEGTGSGFVWDSAGHIVFLEDSTGKSYSKEGRLIGCDPAYDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPYGYEHTLTTGVMMP >Dexi1A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:1A:9077688:9080198:1 gene:Dexi1A01G0010520 transcript:Dexi1A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPGDEGKSQTQPSDPNWPSHLDHKFQSLSMTKQEKNLDSASSTLDCREAGRSLQATQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDTIPSPDDLYSLGIEGFKAEIILVDIERDKKVSALKQLCTALVKGLNSNPAAIIKKVAGLVSDFYKRSNPHLSPARTSSEDLSHFIENRGVQLLGQIRHGSCRPRALLFKVLADSVGIDSKLLVGIPNEESHGYDDSSKHMSVVVMLKSAEFLVDLMRFPGQLVPFSSKALITSHISAAGESDSADYDSCDSPLEPNSPLCAQRQEQDDINRSFKVPSLRNIMLKSTNSMEGKMRCSSHSDPNVANAFCGRNPKKVVDEHQRTASSRFSF >Dexi3B01G0022010.1:cds pep primary_assembly:Fonio_CM05836:3B:16871585:16874787:-1 gene:Dexi3B01G0022010 transcript:Dexi3B01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLLGLSTAASGRLRRGFSTASLRPPWAMVRPFVAVNMPAPGPRASLRLAAPPCATLLVVPDHLVRPPRDLDPPGDTVYAVFGGYITATSGDGLLLLCFFDVPAHAPVLPGVIMPGGIRGRMLTGVIEEPEVMRFVCNPLSGQLFRLPDIDGTTKTHQYPDIGILTQSEHPDQPPDKYAVAVLSNSKDRSFVMRRFLSQTGKWDKMVALPSPLPLARPMEMDLSHEPVAFAGRLWWVDVTCGAFSVDPFSDRPELRFVELPRGSVAEHMDRKKLRDLVRFRRMGVSEGRMRYAEVSQEEPFLLSSFTLDDDASCWTLEYRVPLRRLWPHEDLCEAKPRIAVIDPLNADVMHLTVGKQSLSLDMKTQNLLGCTLIGSVIN >Dexi7B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:7B:22052529:22054479:1 gene:Dexi7B01G0016040 transcript:Dexi7B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTCDEGSELDARSQSDYADFDDDLMDRPPRGHRHHHRREPSSDVSSECSGEPGSPYYASSPHPRWPVCSSLPARAPPPPLLKRLITTWRAGGGGVREGRKGGDGELQLIKERFSKLLLGEDMSGSGKGVSTSVAISNAITNLYATVFGSCHRLEPLPAEKKSMWRREMDCLLSVCDYIVEFFPSKEMLPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVTDKGQKDDDSVATPCRPVSQRGDGKWWLPVPCVTKPGLTENARRDLQQKRDCASQIHKAAMAINNGVLAEIRIPDLYKQALPKCGRASVGDLIYRHMSFPGKFSPEYLLDCLEISSEHEALEAADRVEAAMHVWRRKASQSHSRSPWSAIKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKVSAHTSNPQRSSVCIAMGTTSEVSYPDCDMNGSLQDVGQAILESYSRVLESLAYNIVTCIDDVLFADEAARKIT >Dexi5A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:5A:7672956:7675734:-1 gene:Dexi5A01G0010100 transcript:Dexi5A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTGLTMLRRGGRVDEVRTGEASTDEMAAAAKDGRWWRMHGGGGGGDAERNGLVEAEGMWRGSEGGPHGEEEETDDEAPERKPAPIQEAEEKGAKQPSSGEDKNPAARFQRIWSTGDEVRILEAMAAHRRDHGTLPQVDALAAVLAGSLDNSGCSLTALQSKITSLKRLYNMASKKGELPSKDHDRRIFDLSKSVWGSVMAVAVSGGARRDFDEMCELYPYLAEEVKALQRAHNGLFKREFEMMDEGKARLLDEKIKKQRMHQLRVHNRRHDLTKEVTKTLIDLVD >Dexi7B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:7B:25764045:25767064:-1 gene:Dexi7B01G0020460 transcript:Dexi7B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRPVKKASSYGMGITDPSANLAIEVEGASTRRRSRSASSSSFPPPAPNPAAGAVAGADAIAAGARGTGQAGGGGRSRNPRTAGAPARGECRTTAGKKRREEGAALCVGLSGRRRRQFAVECVGDKEGGRSQATINLDKISPIPEGFGAICSDVVHAEMKWKGNASPPSSLLVTHPPPFMVSFGANSVRLLRPFLSQAFLDPTSNPPRVSRGIRRPCVRDRGACVTSRSRHSLAPQPPTNLPNPSFLFIATALLLRGGWLTTSVPSCR >Dexi2A01G0027140.1:cds pep primary_assembly:Fonio_CM05836:2A:38547233:38548483:1 gene:Dexi2A01G0027140 transcript:Dexi2A01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPPPSPPSCLEDLPDDTQRLILDRIPCPVDRVRMSHRSQLAGRLLQRPPPLPCLLLRAPFTVGGLVPSTRAICVLSGCRAHHYLNIVPPDARCFGSHKGAWLFLSTHQPRAHIALNIHTRDVREFPRHLQRLADLDYAHRMVIHAAALSHSPEDQRCVGAAIVTSWRHPAPGALPLRQRCMALWLKGWSVAWDFVPAGHGPDLDAEDVIYLNHSDTFVFVTQDEHLRQYMPCRYNMETTSWKTFHFRPRGHLHDHHVRARYLVVSSGELLMVVRFTPHPDQPMSMFKVFRSKKRDTQEADANFPVAEYPWVWSELDTLGDRILFVGHGCSRSYRADEYPGFKGGIYFLDDGKFYDDSVMFGNGNVRRYPCSDNGKWSEGHVERCFPRPDPSDHSAPVWLLP >Dexi2A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:2A:23873964:23875197:-1 gene:Dexi2A01G0014430 transcript:Dexi2A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTNSAESSSQAHNTQVQGTSAHNLESIREEENLSAPTYHLVETFDALSVTKIADELKHQQDIIPKEQRTLLVNFSDGYPLTGDELNDFFSSETINMNQIIFLAQCRYGMVQEIVIKEPPLRLYPVCALITYHSPYPLRIVLSIVLSEEKRVHLIINRKDVWVQRYVEDEVPISP >Dexi1A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:1A:3299088:3299958:-1 gene:Dexi1A01G0004490 transcript:Dexi1A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPARTHQPPKGICAYVATAERNDILLVEINVEEQLQSLKATISSDTTEKVVDGIMRLGGLYNDIEEIMSSPNGQISLCRPQQRKTLEQELEKSLILLDLCTTMQENFSELKTIIQEMQLAIRIRDDSSLQAKIQSYIRLAKKAQKQFKKISKKPTTAAQDSCNVAVG >Dexi9A01G0032470.1:cds pep primary_assembly:Fonio_CM05836:9A:37377817:37378454:1 gene:Dexi9A01G0032470 transcript:Dexi9A01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACMITPAAARPLLPTPRRLAGRPSERSGWENKKMPGRASASTSWTKDKLVARTSATVPIPSRASLSDSWTKDKTERKEASVRVGRPPSREESPVKGKRASSRALSVVVERSEKKAKPEENAEAEAKKLDEDVVEAVFYAGPAFRGASAAEVSFAREVAGAERPACSLVSDDEGTQGNEVVSAEGTQGNEETQHMKQQQRP >Dexi1A01G0022240.1:cds pep primary_assembly:Fonio_CM05836:1A:28933277:28935226:-1 gene:Dexi1A01G0022240 transcript:Dexi1A01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSREGEAARAAAREHTKRCRERRRLMREAVRLRRHLAASHAAYLRSLAAVASALTRFAVGEPLPVSDHTPPAVIVHRPVAPSSPPPLLQAIEQRQLDAQKQQQDGVFIDVGAAGATATRTEGGGGGGAEEELRMEVRHRSLAEVAAGLEEYFVNASVAGDAVSSQLEASTTTTTTEFKGGSHSFLGVLCCLSAPAVDRVDSMSGRQRHSSTLQHLLAWENKLYKDVKARERLQIRHDKKLAELSDQEYSRKIGVDIQKLKTAWDRARAQLEAASESVDTSASAIAELRDTHLAPQLLRLCHATVDMWRSMRQHHEAQNLIAQQLRGLSSRTSTEPTTEIHHKATRALEAAMTTWCGAMAAMAKHQRDYVHAIHGWLRLTLAAPVNDGAAEASPVAAAELAAFVDRWGKVLDGVHCVDVLKAIRGFAGAAHGVHALQGDELRVARRVRKRSRELDRKSRMLRQVEKSYYDSYLPGGMMSLWHWGRPMWREEQMQAREARNEVAQRRDEIEACRKAVEDEMRRHAKAIDATRTATVTCVQEKLPAVFHAMAAFSASLASSLETVCKAPQQNTNTQQ >Dexi3A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:3A:1318340:1319938:-1 gene:Dexi3A01G0001940 transcript:Dexi3A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPQPPSPAPAKVYYDGCPGCAMDKKKETHKGVPYKELLFVGITSFASALPITSLFPFLYFMIRDLHVAQREEDIGFYAGFLGASYMIGRGIASVFWGIIADRIGRKPVIAFSIFSVVKYWMAIATRFLLGALNGFLAPVKAYSIEVCQPEQQALGISIVNTAWGMGVIIGPTIGGYLAQVSHKDYNLSTTWIGFSHFTSSLFTSLPNNIQICFMRIQCLEGTP >Dexi3A01G0031000.1:cds pep primary_assembly:Fonio_CM05836:3A:35361941:35364125:-1 gene:Dexi3A01G0031000 transcript:Dexi3A01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEDLESPLLAAAVDGEPEPADTKGSTYALVCALLASLTSIIYGYNRGVMSGAQEFVQADLGVTDGQLEVLIGATSVYSLVGSLAAGWTCDRAGRRRAVALAAAMFLAGSAVTAAANGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIAGNSGILLSYIADFALAGLPTTINWRLMIGIGAVPPFFLAAAAMLAMPETPRWLVLHGHTEEARRVLSRTAGDADRRIQEIETSVREATKQAVAAGSSSTSVWREILLRPTPAVRRVMLAIVGLQVFQQACGVAALVLYAPRVFSHAGIKSENAVLGATVLLGAVKTVAIVMPLFLADRLGRRPMLLASAGGMATSLLVLGISMRAPMGSGAASWWAAATCVAAAVAFMATFSVGFGPVIWMYGSEILPLRLRAQGVGVGTAVNRVMSAAVGMTFISMYEAVGMANSFYIFAAFSAAAWVFVYACLPETKGRSLEEMEALFDSGAVSSPRAMPS >Dexi9B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:9B:3584680:3584889:1 gene:Dexi9B01G0006040 transcript:Dexi9B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRWELPFEGSAMYVPELGCVIGLAAGTRLLCAYDFVTGKPPVMRRVWTETCPEGCICTAAGEERRPS >Dexi5A01G0024750.1:cds pep primary_assembly:Fonio_CM05836:5A:28577869:28581666:1 gene:Dexi5A01G0024750 transcript:Dexi5A01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEASASLSRKCTAASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNVKSELKRISAPNARQTTREELLEAGMADTLALHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIITALVLAILLILYFKLAH >Dexi7B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:7B:11557265:11559090:1 gene:Dexi7B01G0004600 transcript:Dexi7B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSMDEPLLGNGVQKTRGVGENLVLPELKKQLYLAGPLIAAWILQNIVQMISVMFVGHLGELSLSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSLVVAVVWSYTGQILLLFGQDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQNIVLPVMLSSGVTALNHLLVCWLLVYKIGLGNKGAALANAISYLTNVSILAIYVRLASVCKNTWRGFSKEAFHDIPSFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATHVVMVLAIVVGILIGLAMILVRNIWGYAYSNEEEVVKYISRMMPILAVSFLFDCVQCVLSGKYRWGFLIFSPGVARGCGWQKIGACVNLGAYYLIGIPAAFCFAFLYHLGGMVIYYLKHT >Dexi9B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:9B:12975521:12975877:1 gene:Dexi9B01G0018250 transcript:Dexi9B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWSFLPLPWLLLLLAVLGDAVAAVAVPRPLLGIAEPPAASPAAAAAGPVGATQPGGGGRPDRSVAGADVILVGFAAAVVVVIFLYIRVTRKNGSGMGVGEKQEGSVGGGDSELKI >Dexi3A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:3A:979034:981364:-1 gene:Dexi3A01G0001410 transcript:Dexi3A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRGRTLLFPLAAATVLVASTIFLFAAAGARWRPADTGLPVPPAVFSAAAVPVTAAESSSNATAAARKELSFHDENGHPDDPASGSDSGAAARCDPRAAAVRFFMYDLPPEFHFGLLGWSPPSPDSVWPDLTNDAAPPPRYPGGLNQQHSVEYWLTLDLLSSSSPPCSAAVRVADSRDADLNFVPFFASLSYNRHSRPVPPEKVARDKALQEKLVRYLTARPEWKRYGGADHVIVAHHPNSLLHARAALSPAVLVLSDFGRYQPRVARLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIYRKELICCIIHAQGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLDYSKFSIFVRSSDAVKKGYVMKLISGVSKEQWTSMWNRLKEVDKHFEYQYPSQKADAVQMIWQALARRVPAIHLKVHRSSRFSRSDRGK >Dexi5A01G0031530.1:cds pep primary_assembly:Fonio_CM05836:5A:34170992:34176565:1 gene:Dexi5A01G0031530 transcript:Dexi5A01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPSAVAREDVSSSHFPASNAKKKPHQPRNGAAGGGGGGGGQKRLTVLGEEGCEVTGIDDKYVLDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLGMSVLTVIADHLSAEEVEDIKEMFKTMDTDNDGIVSYEELKTGIAKLGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELREALVDDGASDSMEMVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLGVE >Dexi1B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:1B:2190345:2191544:-1 gene:Dexi1B01G0002700 transcript:Dexi1B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGERDAPRTSEQPTGQQHLYLIFDDWPWGYSFRKLKLPRRSPHQRSLRPLEQRLLRRHEPRRPKHKSLPPPCIRLEAPRHLPYLFAAVGTDIIAVHPRNDFGNAPLAEYIMPIVDARSLGVKFAPGLRFPGEPILITVGKEEVFALDTVQCRFRMLSMNPLCPLHDLSWCDLPPPMFESFQVTSFAVDSDGRTIFVSTDCATFAFDIVKSEWKQSSYCSLPFDGTANYVHALDIFVGFSKAPDTHGHLCFCRKLGGDENVIPGKENLFSKDPAESHVGFTLVNLGGSEPGFCLVECVSITQGKSVNMQLDEEGGMQLDEEGGNCGELDQLKKNVDEGDGASGSMHYRYLYRLTTFSLSFDNNGDLTTGETCVVQCYTVPEEVSKAICLADPVAFWL >Dexi7A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:7A:18984825:18985241:-1 gene:Dexi7A01G0007710 transcript:Dexi7A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKVTPKKANLLDPHSIKHLLDETISDVVKNKGYAEDTRLGNLKLGIGAAVIAVALLAQFYPKKFPQNREFLLGCIALYPFLHCVCSCF >Dexi5B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:5B:22082059:22085318:1 gene:Dexi5B01G0019860 transcript:Dexi5B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKNSQADGAAAAVAVAVDEGDDTGLARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATITYGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDYSLAQLIQVIILLATGGNNNGGYLASKYMVIAFHAGILLSHAVINSLPITVLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAEFTEETKNADKNGPIGIISAIGISIVVGWGYILGITFAVKDIPYLLSKDDDAGGYAIAQVFYQAFKSRYGNGVGGIICLGIVAVAIYFCGMSSDTLNYTPVAVGGLFFLVLSSWVLSARHWFKGPVTNLDG >Dexi8B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:8B:10997164:11000460:-1 gene:Dexi8B01G0008320 transcript:Dexi8B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAATRGGLSGPRILLPLAVVALAIALVSRSLVPDMADDLPASIYDITVKDIRGNDVKLSDYSGKVLLIVNVASQCGLTNSNYKELNVLYDKYRQKGLEILAFPCNQFAGQEPGSNEDIQQTVCTRFKAEFPVFDKINVNGKDAAPLFKYLKSQKGGFLGDGIKWNFTKFLVNKDGKVVGRYAPTTSPLKIENDIQKLLGTSL >Dexi1B01G0029690.1:cds pep primary_assembly:Fonio_CM05836:1B:33913047:33920057:-1 gene:Dexi1B01G0029690 transcript:Dexi1B01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSCGVYDMRDGTRRLLPRSWKRSSAGFDEEDDHRVIPRHGSTSAHVVLERGQTRDVAAAFASPTVMAPVGVWLDATANRGMNESDARGANSSFTWCRAEKFRPVHTCVRATPRKISFPDAPVSSRRLCIRFSSRGRDAEARLAHHMSTYHSTAQQLADQKEGVEYGLRSGDGDPCFAAERFQCLGDDAHDRSSPTYPSRERGFVSCMHLDAQKTLFDPWPPPGWAPPPRCYCSHLSTHKPARQEVACWARQPNVRGLMLALADDDPGWQASPELSWCWSRCRTPASVARRPFTSHMPATRSSSQQHLHCNRIGKEGVSSIGFPGACWPWALQIMRRLNAVDVHESSFNASRPRKEVLLPGQLASLRRLLVAGCSVSSNRFGRLPPRRAVRLAFQVRLSLHSRISPPRRLPDLIHRQRRQQVLLACARAPVGCLEDTAKVNARPRAHTAGPASVVRPRTGPMGLPVQYIGIDNTGASVLTLQQLVTVPSKVAVDLISECTRTDTTAGQRAPAHYCPSVRGRTLAQLGQAASKLPPPKQTRDPARPLSSRLHHSPLRNLFPSIAVAIGDCPPVLALLLSSLLKGCSPPSPLSLSHRSVAELSMVMASAAAPASGQTEQRRRQIC >Dexi9B01G0031190.1:cds pep primary_assembly:Fonio_CM05836:9B:33610957:33619369:1 gene:Dexi9B01G0031190 transcript:Dexi9B01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPAAEALDGHKDRFDLGVFVGDLALDEEVTSDDESLEGLQQELDNCKNDKEVANILANGIKLREYTKGVENNIRQIELDSIQDYIKESENLVLLHDQIRDCDNILSQMEMVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSILLKYKYTITFLKEHAKEIYAEVRAAYIDTMNKVSVEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFTDDFFGEESIFHDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQVNFDQPYDGTSSSMAISIFTKSYWNGYFVQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLVHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFSKPKLQTIFLINNYDLTIAILKEAGTDGGKAQLHFEEVLKSNIAIYVEELLQEHFSDLIRFVKTRPADETATSSEKVSIAEVEPLVKDFASRYKAAIELMHKDVITSFSNFLCGMEILRAALAQLLLYYTRLTECVKKINGGSALNKDLVSISSILFEIKKYSRTF >Dexi2B01G0024140.1:cds pep primary_assembly:Fonio_CM05836:2B:33586575:33587288:1 gene:Dexi2B01G0024140 transcript:Dexi2B01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIKCNVEITKDNIASRCKTFDKHYEIISKMLSQSGFGWDWENDKLLIDSDDVWNRYVEANKAAACYKTKIVKNWDAICTIYSKDHATGDGAQTGVESSQVAPEQGDDASPELPQKRQRTGEAILTILGDMRTSFSDVFKSTEPIPLPQVTPPAEILAKLQMIPDLARCDMLKSYGKLILNERLFQALMELPMDMRKEWILMLNEN >DexiUA01G0005520.1:cds pep primary_assembly:Fonio_CM05836:UA:9882660:9883082:-1 gene:DexiUA01G0005520 transcript:DexiUA01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPDAVSTQTGAFLHRFSWLDDDEIGEVPFVWNFLVGHNKVDPAEPATRPRAIHYTCGGPWFERYRDCEFADLWLKEAEDLRAEKKLKLLEDAKEEDKEAKEEDKNKEGN >Dexi5A01G0039960.1:cds pep primary_assembly:Fonio_CM05836:5A:40306350:40308834:-1 gene:Dexi5A01G0039960 transcript:Dexi5A01G0039960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTVEQVAREAGSEGSGATAFNLSHRALTDVSCLSSFHKLERLDLGYNCLVTLEGLSSCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLSKMDEVASLTNLGALILNDNNISSICKLDHLQQLNTLVLSKNPVFSIGNALVKAKSMKKLSLSHCQIENIGSSLAACVELKELRLSHNKISTIPSDLAKNSKILNLDLGNNLIERSSDLKVLSELRYLRNLNLQGNPISEKGSLNKKVKKFVPTLRTLNAKPLEASSKNDKSYGTENLPIKDSPVEIDTKKKDKRKQLKQQPEVKTTSPGGTPTTPGKSELLDGKEKKKDKEAKRKKSEVEAHASDSKSKSKDDAGRKEAKRKKFIDEEDIDAEGIDNTEISFADLVFSKQDSAKPKLKDSSTQEVPPAGKFGDLVIDHTKKRKKSKGTVTITDSSALKIISSVPEVGAGGLGLSGWD >Dexi2A01G0037220.1:cds pep primary_assembly:Fonio_CM05836:2A:46810655:46816013:-1 gene:Dexi2A01G0037220 transcript:Dexi2A01G0037220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAAAYALCRLLLFLIPPTVPSIDVDASDVLAKEDSFIYIPRKGKAAQTDKVQCYEPATMKYLGYFPALAPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEVSSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSTGRSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHATWSGCFYFRIIQAALSAVGAPENLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMQRASETLIPVTLELGGKDSFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHNDIYSAFVSQVVKIVKSICVGPPLTGRYDMGAICMIEHSEKLQNLVNDALDKGAEIAVRGSFGNLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFSSDDEAIKLANDSKYGLGCAVFSGNQKRAIRIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRFWPYIKTMIPKPIQYPVSEHGFEFQQLLAETLYGYSVWDRLRSLVNLVKMISEQNSAPAPGTMKKKRR >Dexi5A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:5A:7121464:7121796:-1 gene:Dexi5A01G0009500 transcript:Dexi5A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLRPAAALLVAAVWLCAAATCAADDGGDITSLLPPGTASPFPFCPVRPAGASTGPFPWMTSPPPPSTTLLPQDPGFLASGACPVGGAVAWPPLLAVFSAFLVPWMYQ >Dexi3B01G0027210.1:cds pep primary_assembly:Fonio_CM05836:3B:22753811:22754332:-1 gene:Dexi3B01G0027210 transcript:Dexi3B01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPDQTEAKTTPILNSQTRKNRAHAGRSNGASKFLNRTGEIERKREQAGWTGTKTKEGRRWARERRKKRWGGREGEPWAQENPDESASPPVACTVTFLGLARLGLGHGPTCQPLACSQRWLASSQQGRGFVWLLI >Dexi9A01G0036350.1:cds pep primary_assembly:Fonio_CM05836:9A:40819264:40824845:1 gene:Dexi9A01G0036350 transcript:Dexi9A01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVNKGKCILQPHHILDALDEVQGSGGGALAEGPFLDVLRSAQEAIVVPPFVAIAVRPRPGVWEFVRVNVHELSVEQLTVPEYLCFKEALVDGQHNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNRDCLEPLLDFLRAHRHKGHVMMLNDRIQSLGRLQSVLTKAEEFQEWGLEKGWGDTAEHVLEMIHLLSDIIQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDVTPKILIDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSGHLDDRSKPILFSMARLDRVKNITGLVEAFAKCSKLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQAANLMADFFERSKQDPNHWVKISDAGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELVKTVPLAVDQPQ >Dexi2A01G0025970.1:cds pep primary_assembly:Fonio_CM05836:2A:37585123:37585565:-1 gene:Dexi2A01G0025970 transcript:Dexi2A01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAAVLWWEEWQLRILVLSSLTVQWILFLSAIKRRRAIPGWFSDALAIYSLATLFNRRRKHEDLPNAGYRALEVMWVPILLMHLGGQDSITAYNIEDNELWRRHALTAVSQITDSPWPSTSSANHGQVATIIC >Dexi2B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:2B:30109752:30110469:1 gene:Dexi2B01G0019960 transcript:Dexi2B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSPPLAPAVSSHSPLTPKLPLPPQAAAHPRRRSTAAAARRPVRARVGATTPGDGSSATEEHKGDWRKRCLRCGGMYRDDENHPTACAFHGHVTGEKGLFSLSPPHQGIDGEWSDKSGVIMYRWNDGGSRPNTGRDNWKKRWSCCQEREEDAPPCQRGWHVSYDDGYTLF >Dexi2B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:2B:28066941:28069870:1 gene:Dexi2B01G0017680 transcript:Dexi2B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYTSPPGAVYSSEFDPSSRSSSACTTAAPPPAAASHRLPAAGGGLSCLFSSPAAAAAPPRAPAHDELGALWHDRSDDLSVGGGYSYSNSSSPLKWRDLHHHHHHSPVSVFQGPSSSSPSRSPPASWLAGRDRDRLFAGFVRNALGSCVDYAPASSPRPEVGAGELAFELDENLAEASPACEPYARELLASAQDRHRIFHEELVVKAFFEAEKAHRGQKRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMETLEALTLTKQQRFAKETKEIFVPLANRLGIASWKDQLENLCFKYLNPEEHKELSSKLTESFDEELITSTVDKLDKGLRDAGVSYHNLSGRHKSLYSIHSKMLKKNLSMEEIHDIHGLRLVVEKEEDCYRALTVVHKLWRPVTGRFKDYISRPKLNGYRSLHTVVMSDGIHPFEVQIRTKEMHMQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMSKERPSSLGTSDTVTPPCPFPLHSEDCLYSYTRQCNHDGPIFVILLEHDKMSVQEFPANSTVMDLMDRVGANSPRWSPYSIPMKEDLRPRVNHEPISDLDRKLSMGDVVELTPALPHKSLSGYREEIQRMYDRGGFALATRGGGSRRC >Dexi2A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:2A:8486608:8489901:1 gene:Dexi2A01G0008290 transcript:Dexi2A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGGEAGDKRRAAARVVVFPLPFQGHITPALQLAGALHARGGLAVTILHTEFNAPDPTRHPGFSFVSVPDAVPETITGGRIAKILALNAAMETSGHVRAALASLMAEAEPRLACLIMDSTLTAAQKAAAGLGLPTLVLHTGGAACFRLFRSYDLLHDKGYLPATESNLHMPIKELPPLLVRDLFDPSKLPNKEIGQKILNLATETTTNSSGAILNTFEALEPHELAGGSGTSLVTKDRSCIEWLDAQEPGSVLYVSFGSVAPVTQDEFVEIAWGLVNSGKPFLWVVRRGLVIGVEKQELPEGFESAVEGRDQLATGRYVAETWKIGILLEGVLERGEIEKAIRKLMEGNEGAEIRERAKHLKEKVRMSLESSGSSQRAVDTCLTSLVHCKSATRLTNSASLTADLAFQHPICCPQPMADQAEHRRRRRGVVLFPLPFQGHITPMLQLAAILHSRGLDITVLHTDFNAPDPSRHHPDLAFVPIHESFPEEVTSPVADVARQLLALNAACEAPFRETLSSLLRGHHDVACAVVDGQCYAALRAASDLGLPALALRADSAATFLTLLAYPRLRDAGFVPVRGK >Dexi9A01G0043800.1:cds pep primary_assembly:Fonio_CM05836:9A:47510185:47511705:1 gene:Dexi9A01G0043800 transcript:Dexi9A01G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAAAAAVASTSAPATPTSILICREDGSDLFPDADDDDGASADFAVARDDRLLVVDHDDEYVAVLLSKETASAAVDCGGGAMAEEMEEWMKAARSGCVRWIIKTTVMFRFSGMTAYVAVTYLDRFLAHRRVNRGQEWALQLLAVACLSLASKVEEHHARRPSELRLDAYEFDSASIFRMELLVLATLQWRMTAATPFPYISCFAARFRHDERRAIVMRAVECEDRSSAEVASSGVSVAAHVVGSPDASVGTNNAAGAAPPATPDSSNNKRRRSRSPQRQ >Dexi4A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:4A:21065730:21067264:1 gene:Dexi4A01G0017390 transcript:Dexi4A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLRGCLLLLAMASTWLAAADVADAGGGGRAVFNVTDFGAVADGETDNSRAFLRAWTKACATPGRPTVFVPGAGDGSYLLHPVVFRGPCRSFMELHVAGVLRAPPGLAAFRGCREWVHFSSVDGLLVTGGGTFDGRGSTAWPLNDCPKKRDCKLLPTSINLGRVRNATITGVTSLDSKFFHITVAGSHGVRIHRVTIHAPRDSPNADGVHIQGSTDVRVTDSAVATGDDCVSVGPGASDVEVSGVTCGPGHGISVGSLGRYPGEEDVRRLRVANCTIAGTSNGVRIKTWRGGSHPTAVSGLVFEDIVMSNVRNPIIIDQEYCPYASCRHESEQRPSAVRISDVAFRNIRGVSATQVAVKLWCSEASPCRGLELRDIDLRFVKRGVATQSQCAHVAGGVVGGTLVPPSCI >Dexi8A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:8A:20972903:20974516:-1 gene:Dexi8A01G0011970 transcript:Dexi8A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRLDPGCLRIGVAPAEFRPSPPLSSPSQSPQSCSSPNWTIDVSDVRTIKVTNVPLSATAGNMKEFFSFSGEIEYVEMRRDSENSQVAYVTFKEFHGADTALLLSGSSMCGDVPVNITPVEDYELPPEAYSNAEQGGTSSPGPGTPTGAAAVRKAEEVVSTMLARGFVLSKDALRRAQSFDNGHQLLSSATSRVASLDRRLGLSDKFTLGTAAARGVDERFQVTATARGAFAAAGDVVAGSPVASRGAAWVSAAVRAVARAASDVGAMTMEKVVKAEGDGNAGAAEADEQAHAARVDVRAGADRRGDGDGHDYKNKAM >Dexi3B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:3B:5535729:5537826:-1 gene:Dexi3B01G0007820 transcript:Dexi3B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYNLREELNELASRQVTVVTANSGPGACTITAVVVAGVIGYAYIKWKGWKLSDMMFVTKRGLSDACNVVGSQLDQVSDTVVVTKKDLTRKIDRVDISLDETQQIIEGTRDEVAVIHVDLSTFQEDLQSVNLVVQTLESKLGRLESSQDQTVDGINHLCVIIHSPKHRIFFRANCEGMDLRYVPALEDISLVAESPRAESPKVSPAAESSRAEALQDQKGSRTCSTNREGSSHVMASSNQASMNTPNPTSSSRFGGLRLPLPGLSFLRASSALS >Dexi3A01G0022680.1:cds pep primary_assembly:Fonio_CM05836:3A:18300348:18304443:1 gene:Dexi3A01G0022680 transcript:Dexi3A01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLLQLLQPFGMVSKIVMLRAKNQALLQMEDIHASVAALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGQIFLLSLSLSPRSRFQALIQYHSRQEAVEAFGSLHLNFFLFSVLEYPASVNCKSTTTMIGLASYAQMGRAAMIAAAFGGSLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEITEEAILNHVSEHGSVINTKLFEVNGKRQALVMFETEEEATEALVSKHASTLEGNTIRISFSQMQSI >Dexi5B01G0036490.1:cds pep primary_assembly:Fonio_CM05836:5B:36190996:36197248:-1 gene:Dexi5B01G0036490 transcript:Dexi5B01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIARELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRADNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEEPNAYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLEAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVERMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYIRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLCDVAEAHLTDDTVKAYAISAILKIFAFEIALGRKVDMLPECQTLLDELSASHSTDLQQRAYELQALLGLDKHAVESVMPADASCEDIEVDRNLSFLNNYVQQALENGAAPYIPESERSGVISVGSYRSQEQQETSAHTLRFEAYEMPKPSLALATSQPSMSTPPTDLVPVSEPGYYKEDHQTSRPQPSGDAISGEFGVKLRLDGVQKKWGRPTYSSSTPSSSASSQQATNGGSHSDGGGSTSSQARESSYGSKRQQGTEISEEKQRLAASLFGSVAAKADRKAQASRKTVKESASAEKATASSVAPQPIKEQVIPVAPPPDLLDLGDEPVSSSPPSADPFSQLEGLLGPASTTPVVSGTPATSTSKAPDLMSIFSDDVPAGPTSGSIDPTLADVNLMGSHKGATAAAAKKGPSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >Dexi3B01G0029540.1:cds pep primary_assembly:Fonio_CM05836:3B:28826712:28831912:-1 gene:Dexi3B01G0029540 transcript:Dexi3B01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPSHPQQQLQLTQQQLEQQQLELSSCSITGPFFLTRPQQQQQLQQPPGGSPWPTLMHGVRRTPSSPRQRSACEQRHEPQQQQRGRPGWQQRSWLQPGRRWKQRRRRMQHTLCGSLSGSIAGDITGDGDLEVLARERAQERTARWAEAHHHGGGDPEARAPGGGGGPRQHVPGGGPEARTLGGGGLQQHAPGGGGGAPGRHVHGGVLGRDVHNGAPGYHGIQTVVRDAGPSGGWPTLTKTNYVEWAAVMRVRLQVRHMWDAVQYDDVDYDEDRRALDALIAAVPPELQFSLSQKATAKEAWDAIAAARIGSDRARKSTLQALRKEWENLAFRPGEDVDDFALRLNTLLQKMVTFGDDTYDEERAVEKLFRRTARSIESLLDLSTMTIEEAIGRLKVVDSDEPQSLSGGITINGKLHLTQDQWEARRGDVKKGELSSSTSGRKRGKPRMRRGGAQAGARGRADGGARGGAQDGTAAKPKEARDDACHTSAAGGGGQAHVAEAQPDNEPALFLLHGVMELHPEAPAATALLHLDEPRARVLLGDGSNDDKIDGWYLDSGATHHMTGRREFFSDLDTDVRGSVRFGDSSAVEIKGVGSVIFTAKSGEHRMLTGVYYIPALRNCIISLGQLDESGLRVVIDGGVLRIWDHRRKLLARVVRGKNHLYILHVEVAQPLCLAARRDDEAWQWHEHFGHLHFEALKRLSAKEMVRGLPCLDHVEQLCDICVLTKQRRHPFPQQASFRAKERLELVHGDLCGPVTPTTPGGRRYFLLLVDDLSRYLWVMILGRKGEAADAIRCAQAVAEAESGRKLRVLRTDNGGEFTSAEFAAYCADEGIQRHFSAPYSPQQNGVVERRNQTVVGMARALLKQRGVPAVYWGEAVVTAVYILNRSPTKALDGMTPYEAWHGRKPAVSHLRVFGCLAFAKELGHVGKLDDRSTPGVFIGYAEGSKAYRILDPETQRVRTARDVVFDEGRGWAWDKTADRGSAPTYNDFTVEYIRFEGAGGASSSSSPSVPTPAPESPPTPVPATPVAPRSPATSLAATSPSPAPPQPATPRIPAPTATPPGTSTPTPAHTEHSPVEFATPLTHDEERVDAYHDGEPLRYRTMEDLLGDQPVPGLVPHDLEAQLHLACDDGEPWSSAEAEGQAAWRAAMQAEMDAVEKNRTWEFADLPRGHHAITLTDAIVKHKVRLVARGFVQQEGVDFDDAFAPVARMESVRLLLALAAQEGWCVHHMDVKSAFLIGDLKEEVYVHQPLGFATPGKEGKVLRLRKALYGLRQAPRAWNAKLDSMLKGMGFEQSPHEAAIYRRGNGGNALLVGVYVDDLVITGTKDAEVAAFKEEMKATFKMSDLGPLSFYLGIEVHQDDSGITLRQTAYAKRVIELAGLTDCNPALTPMEERLKLSRDSTAEEVDATQYRRLVGSLRYLAHTRPDLAFSVGYVSRFMQRPTTEHQQAVKRIIRYVAGTLDHGLHYPRCPGTAHFVGYSDSDHAGDIDTSKSTSWILFFLGECLVSWQSIKQQVVALSSCEAEYIAASTASTQALWLARLLSDLLGRDIEAVDLRVDSKSALALAKNPVFHERSKHIRVRYHFIRGCLEDGSIKASYINTKDQLADLLTKPLGRIRFLELCSMIGMVIRSHKTTHKT >Dexi2A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:2A:2270165:2271283:-1 gene:Dexi2A01G0002840 transcript:Dexi2A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMPDFLQLHQQPPPPPPPPAPAPAPPPASTTPVAPAAANAQPNPIAVVKPRMIIKSVLGRYERWNPVHPTAGAFWGVGLGLGCGVGWGPGFGPEVIGYVGGGCGVGFSVGFTLAGVGIGLPQHGLIRVFRNQEDSGFASNLCGQCSGIQKRIWTEASEERRVN >Dexi5A01G0032520.1:cds pep primary_assembly:Fonio_CM05836:5A:35030676:35030993:1 gene:Dexi5A01G0032520 transcript:Dexi5A01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGVTGRRRDAQLHRCVLVPRMIPKTRCFFLAAPCTGMDTDGFPAGPSSIDGSLCVVLATGAVAVGREQDKKARPAGTGPRRIGDTSAWAYLTMPTRRARVHV >Dexi1A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:1A:22780653:22782029:-1 gene:Dexi1A01G0015670 transcript:Dexi1A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRPRGASPLAHHHHSRSRKIHRTFNNLKITVLCGLVTILVLRGTIGLNLSLPSQPSDAEALAGAKAVEDIDRILREIRSDSGPDTDDDGGDFSASAGFNATALSATEAAAAYAAAVGKYALGPKILDWDEQRRGWLARNPGFPATVAGGKPRIMLVTGSQPGPCDNPLGDHYLLKSTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYDGHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDQAGKVLTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLRLYGFAHKGLESPKIKRIRDQTAQAINDVENLDMMAKISMAS >Dexi2A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:2A:721070:722963:-1 gene:Dexi2A01G0001100 transcript:Dexi2A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAYTAPLSPGSILFSNSRMQPPSILRSGLSVPGRGEVGVAAGRYGGGGGRLTPPLEKRRPPLLQEKRRPPPLQEKRRPSESSQLAERKRHWKAGEFPGTDGGRDAGRAAPPQEKRHWKAGEFPGSSAGRDPGRPSPPKEKRHWKAGEFPGSSAAASDSKASRTPIKNVKKRLDARADAKAWACTVTEALADRINSKNWKEALQVFEMLKEQPFYHPKEGTYMKLIVLLGRSGQAAQAHQLFDEMQQQGCQPTPELYTALIGAYCRSGLLDEALQLLTDMKASPLCQPDVYTYSNIIKACVDATRFDLVDAMYKDMAERLISPNTVTQNIVLSGYGKAGRLEDMERVLSAMLDSTTCKPDVWTMNIILSLFGNMGQIESMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATYNNVIEAFAEAGDAKNMEHTFNQMRSEGMKPDTKTFCCLIDGFSKAGLFHKVVGMVKLAERLDVPANTSFHNAVLSACAKADDLMEMERVFMHMKHKQCDPDAMTYSILVEAYRKEGMTDKIYALHQENPTLVPTDLVMV >Dexi5A01G0023300.1:cds pep primary_assembly:Fonio_CM05836:5A:27321111:27321812:-1 gene:Dexi5A01G0023300 transcript:Dexi5A01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVAITCKKCKTCVLGISSKIKGIKSLTYDDEKSTLTVVGEVDVVEIVAALRKAKHPAEVVSVTDEKKEAEEKKKKEEEEKKKKEEEEKKKKEACEAKKKCCCPRPCPICPKPCPPACPPPFAPACPPPYMKQCQPCYIPIEDECHVPNCTIV >Dexi5A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:5A:20993409:20997558:1 gene:Dexi5A01G0017700 transcript:Dexi5A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLAPGAKYDCPSVDYWNAIGVPSDIDKYDWAEYVIRKLFDAVLKVKSDLKGNVKGPLITGCTLFLQLRDPLEVCYSWAAMARADLQLDSLSDDEKFWEAGASLVRSLRAPAEAVGPLYFALVDSRRQTNKILCNAGIRAMSVYFQIMEIFMMGFRYNTVPMVPELGIGSVRTISSFSWLEMQHVRMGLTDVGTSGIRSASSGSESVNTSAAGSGINPLVRERCVDSFPRSPEHEWGNIFFDGCGHKFVHESPIWIFKDCMVMRAMAQYFLSVRAMKLSRGFELNTDARMLEDGAQLTARKISEKTEAAKRPFELGQSRHFVAMEDGQVIQFLSRVESEVESLRPWIVQYTPKYIEVLGAGLKMQISGFCEMEMDFFDAAIRRLKEIDERLNRSGFLCVQFCYCYRGCDVGTDQYIQVREFAVICRLVAVDNLVGNLSKNKNNLVASAQGGGRENLINLYLEHIIDDEDSIIARPSAACEIHHILHLHYESFVPKRKRSRAEESRGGRWLLARRGRLHDEPDGVRAEPEAPLMSMLIRGASWSTCTPTMRRRPVSLGAWEMAVAP >Dexi5A01G0024260.1:cds pep primary_assembly:Fonio_CM05836:5A:28127168:28128252:-1 gene:Dexi5A01G0024260 transcript:Dexi5A01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNRRTTKWILQKKMDNEPPVAGLDNNPDVRKSDFVIYKLKEMGKIDEKEIAMICDQFDQLEFGKCERIPLVDIIGKL >Dexi6B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:6B:26477528:26478741:1 gene:Dexi6B01G0019850 transcript:Dexi6B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNKTSVDEELLTLKAIISSPSATVGTMCQGFTKLGSIYRYIDELTTLPSGQRQQRKVVEEELDRSLILLDLCNAMQQSFLELKAIVQEMQLDLKRGDNVAVQGKFQSYTRSARKVQKQFKKISSKASSDEGSRVIKLLAEAREIAVSMLESTIHLLSKQIVMPNGSKWSLVSKAFHKKKIVCEEEQLQVLESDILT >Dexi9A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:9A:16304895:16305645:1 gene:Dexi9A01G0021410 transcript:Dexi9A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSLEALGRRNVAGPLLLLNSALYVFMMGFASWALNSFVDGHQQQYYYPPGPRSAGDEATVQFIQFALLASVVGAAAKAAAAFHARAWRPQGLAAAAATGTVAWAATALAFGLACKEMRAAGSGAGARVVARGWRMRALEGLTAVLALTQLLYVLMLHAAVAGDRGEPGCHTEDDDQQAQRGGPSCSVM >Dexi3B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:3B:11751238:11754275:1 gene:Dexi3B01G0016220 transcript:Dexi3B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSAASTLSFGRHKTLAAAAGPKTLPTPASLSFPATQPACLLSSSAARRRDVAAMVAAPPAVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALAWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEASAYKNTVEGITGIISKTISKKGMLEVYNSLSEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPEGDLGPLHPFTAGVYIALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIKVCAELRPTVDISVPANADFVRPELRQSS >Dexi4A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:4A:23503470:23517861:1 gene:Dexi4A01G0019650 transcript:Dexi4A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAILRQRQHGGGGGGGNASLPEHVIFDVLSWLPAKPLCRFRCVSKAWRALISDPAFVAAQRSRAGPLLIGVFHGPQKPIMHMPWYRDTLELRVMDMHGNVLRVVDDVDQTKLQRMRLGLVCLAHQVFDTGAAMDPATARALRIGGYLDDPAADDPMIQFSTFGRAMPSGMYKAVRIYDKFCQIATLEEGAGGGAELTWRQGPAAPFLPCCSSRCTPMEDGVYFLPCSIHCFVPGRNRVAAFDLETEEWKPVIQFPRATMWPTCLVELNGTLAMVESEEGLCQTNVWLLVDSEKSIWIKQHVIQMHKSWRIEPLEVLGDGRVLLMNLVEKQRQGSHRLTLRWILQLRDPNTGAHTDLVEMPREYKGMDIRASESEILENKLLDPSAVPISLPLYFLKSITHNFRNDQELGRGGYGVVYKGFLRCGIIIAVKKLFDIHLVEDNDRFQKELSFLMGIKHQNVVQLLGYCAESRWEMTKLSNGNYVLAQIPASLLCFEYLCNRGLDKHVSDESSGLEWNMRFEIIKGICSGLHFLHECNIVHLDLKPQNILLDDTMMPKIADFGLSRLLGEQKTRTVTLKVAGSFGYMAPEYINQGIISPKADIFSLGVIIIEILTGRRDYPQSIVPSPFQNFCETRNSPQSTETYFQPFTERVSGGPESKLLSGQPLELNLTNNTDERVAFRLLTKNASNGHFVAPLSGEVPPRCTLAVTLQNQPKRPCWRWLPPNKERMLTTSWVLAALRQWCFNMSEGLLAAASFGPEAMLFILWSLFVSMASLSCCLCALFCLVLLIHPDKNMENPLACESFKKLQSAYEASNWCRYASMQVLSDFTKKSSYDEQLRKEELRKITQSLRSPFSPQVCYMYHQARDGDGWVECAFSISSKGMECKPNSHGPSFWVSMVGIDEMSERSNSYQDSISWDWEDGKNICEDEFELRLQQTLGSRSFLSASPTNMVGIHKTRPTTVLTIDTSKFRAMVVQEFKCIPSPHFCRLVRIWSAPSAPSPRTRFDHIFAPPSSSRVVGHGILRRRVPRPLVVASSREPKLDLPWRRRKTLGFAETVESFVTGLRPA >Dexi8B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:8B:5973195:5973488:-1 gene:Dexi8B01G0005710 transcript:Dexi8B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDSYSILIIDFHIDLHATPPPLPLQQRFNSTTLPATSSAPRRPSNQAVQRRVVQSRFTSTTLLSLVPTELATAAAALDLALMELATAARLCSRV >Dexi9B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:9B:6346873:6352137:-1 gene:Dexi9B01G0010220 transcript:Dexi9B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHASHFDSSDADQREAKVVKYFLDPRSIEKVKFVYLKDEESMKVMHKYIDPNVLPVEFGGKSDIVYNHEEYSQLITKDDIKTASIWAADAKTDHVNHGIGGTLVPEINELKSAIGPLSARSEKYCSEACLTRYLEARNWNVTKSKKMLEESLKWRATYRPEDIRWNTKSHDGQVRFLVYALENAILSLPEGQEKMAIKYFLDSRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVEFGGRNNVAYNHEDYSKLMMEDDIKTSTFWADDAKTVNHVANGPLIADVMPQSSQIAAKASW >Dexi1B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:1B:26801172:26803152:-1 gene:Dexi1B01G0020790 transcript:Dexi1B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLASYASDSDSDGGEAAAVPAGSPEVPEPSALLPPPPLDLLQPPNFLGMITRRWRRGVASGASPMWKATTLYMCISLKQLALAMKRAASLVPDLYAVDADYALSELCKDEQKLEKVLLSREFHVSLGRPVAVQVHQIDSFIAMLRQKFQSQQRYWMEFNKWEHFVNDDCTRSFLSLEVTRTGLLEVFTLCIYQLIFICNISKQILMVDEVYRRHGLPEFYKNPRPHISLVWALGDISDKLNQAIKDIEKYHSSMSSLQKCNFRCRFGRVVCKVGKKVYDICRVAD >Dexi5A01G0033770.1:cds pep primary_assembly:Fonio_CM05836:5A:36032776:36034096:1 gene:Dexi5A01G0033770 transcript:Dexi5A01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLTISAAASSPSSAHLPFFYVALYLLAVARAFHRPCAEALGADQFAPASDGEDPSSLASRSSYFNWYQFYSSCAYGIATAGLSYVEDNVSWTIGFGACWAMMVLYLAVFLLGTPMYRAERPFHDIRFAETVQAWAARMFRRPKNAGDTERLLAGEEPAEDGKEKGIAAKLLPIWVVSLVFAAITAQITTLFTKQGSTLDRRLGLGTGLVVPPAALQFFLGVTVAILVPVYDRVFVPLLRRVKGHHAGLTTLQRIGAGMATSGVAMAVAALVEARRLSIAREAGLVDRPDVVLPMSLWWMLPQYVLVGVASVLGEIGLREFFYDQVPNGLRSVGLALCSSIFGVGSYASSMLVSGTDWATRRTGESWFSDNLNRAHIDYFYWLLAGLAALEVAVFSHFANRYIYSNKD >Dexi5A01G0024730.1:cds pep primary_assembly:Fonio_CM05836:5A:28562507:28563325:-1 gene:Dexi5A01G0024730 transcript:Dexi5A01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFVYPQQPAPQRRMIAPSKMIRVGPWGGRDGSPWDDAPHRGVRRITVTYGRFMESIQVEYANRNGRPILGEKHGGGMGRSLSFTIELDFPYEFVTGVSGCYRAAHGGSPPVVLSLTFATTRGTAGDAGADDGVPFDYPMDGGVVVGWVHAFTGRSGWHLDALGLYVAALRPETPCDPVSEGSWRTDPSSTAAMAAGHHHQSKKKPFEWCYKSLLPARAVRWRSIGPEKAKKPEWGNRSPLQ >Dexi3B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:3B:15510830:15512621:1 gene:Dexi3B01G0020510 transcript:Dexi3B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRAVLVGINYPGTKAELKGCHNDVARMRRCLVDRFGFDKSGIRVLVDDGSGPQPTGANIRRELARLVGDARPGDLLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITDQDFTELVQKVPRGCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQTQRRELEERSDSGSSFRAFLKETVRDVFESQGIHLPHRSRRQSDDGDDFEEESRYIDTADAHVKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNLFGDDASPKIKKFMKVMLNKLQQGQHGGVMGFMGALAQEFLKAKLEGNQEQLEPAMEQEVHSEQEVYAGTTARVPSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQAILAEHGKVTNKELVLKARKMLSKQGYTQQPGLYCSDEHASVAFIC >Dexi1B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:1B:1659614:1660717:-1 gene:Dexi1B01G0001990 transcript:Dexi1B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRGERDAPRTSRPTGQQRLYLIFDDCEWGYSIRELNLSNAGVEQRRLPPPFISLEAQRSSPQFFTAVGTKILATHPREDGQDFLPMIDVRSRGISFAPGQLDPRCPIYIPAGDKIFALDRDTFKMLSMKPLSPPRLEHDYNDQIGDWSINEWSWCDLTMPPFNRMDVTSYAVDSDGQTILASTRTATFAFNPMRNEWEMRADWSLPFSGCAYFVSDLDAFVGLPKDRTAFGHLCFCRCCGADMRSMGLSEDNLSNKGPAESHVGTTLVYLGESRFCIVECIINGDDKAVQEWMEERDRKELDHTEEEGCPLSEEGCPLSARCCLTTFSLISGTRGAPTVAETAVKCYKVPERASLYVNPVAFWL >Dexi3A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:3A:11802911:11804912:1 gene:Dexi3A01G0015840 transcript:Dexi3A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Dexi2B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:2B:23467530:23469664:1 gene:Dexi2B01G0014050 transcript:Dexi2B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGLLENKKLEDLVDNDLKDNYVEDEVESLIQIALLCTQSDPIKRPKMSEVVRMLEGDGPLAERWERKKLKLTFNLRATIGTSSSGTGAIGFPSWPLKMQKHLSDRQYAQELARVANNDNARLLDWVKGLLEEKKLDNLVDNNLNGKYIYVEVESLIQIALLCTQSDPKERPKMIEVVRMLEGDVGLAERWEEWKKLEVVHQEVELSSPPTEDWIVESAYDPRAVELSGPR >Dexi9A01G0024240.1:cds pep primary_assembly:Fonio_CM05836:9A:20499824:20507841:1 gene:Dexi9A01G0024240 transcript:Dexi9A01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVHPGARSASAAAAPSEKPAAVEAEGSVYDSRREDGFVERVKDVNGIRISPVCCREVLPEHEVSFTFSLYDRGYLVSTSASAIEAGRLPGDILDEIPSKYYNGSVVCEIRDYRKHVSNQVPASSAELGLPIVNKVRLRMTFENVVKDITLLSDDSWSYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPIPHKLSLGIGKKRRLRQTTEVVVTSSNMSYGKKVCIDRLAENVKADEVGITGGNAANQSVDNITIQNISGSSQPLRPNNSSQDAARIVLPQSGMPQTVSYSAAGNDRVSGSTANFSGINPSISSQSMIGYNDTVAANGLLSVKREMQDAPLQDPKRIKPTGGIDDVQQQQIRPQPLAGQEMQWKNQQLHPPSDVKGMQYASSLSGQRYPPSMMNNMQELGSSFYFNQPGLRYGAKQEQMDGSDRSKDPLQSMTPESSVLDQQQPQAQHLSQQAMARNNVSNMAQWQNTRFAAEKDLKKEEMIQRRKLAPSSRAPSGPMVQSPVSSKSGEISSSSMGGQFGSAVTSAVIGAQKDKFAANSNAAVGYPSVASSPSDSMHRIQQPAVAASKRKTNSVPKTQPPVSAVGSPASVSNMHAPLNASSPSVGTIPMGDQAILDKFAKIDNLSNRYQLHNKKNKVDKIPQRKPMVNASQDFVAKCLSSCFHTEDYMDPIRPLCNSMISGTINTFKTRVINFSSTNRMYQGHARPFQVVFKEMPDETVRMQYGDLEDFDGPNSYDCVFILPAKYYADLLGEQLILLMSKDGHSKADDKVVRGNPPANFNTLSGIIPDNLASDVKQEGGVSHQLNAAAHANVAPGAPMQQLPVNRMLSSANSNLAMQQGYMQGAAMPPRSQQLDQNLVQQQQQPQQQPLQQNAQAQMQQPSSSLPLNQMQRPQLLPTSPLSQMLGPGSNLPMGSSQMGNNKATPTSLQLQMLQQQAQQQQPMSRKVMMGLGSAMNMGNMVNNVVGLGGLGNVMGMGNVRPIASPMGSMSSLGNSSNPMNMGMSSNLAAAGLRPGMNPAALAKMRMGLAQQRAASIYPQTGMVGMPGSSSPILPNSSGLAMMGHPLNRSNLNPLQRAMMSSMGPPKMPGDCSL >Dexi8A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:8A:24384231:24388163:1 gene:Dexi8A01G0014080 transcript:Dexi8A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDASAAAATAVSDREGAVELLVAAAAAESVREAAVDVLVLDHEGYLDAALARARGLMLANQGSAVAHRLLGELNYAAAVRAAEAEDVTTASGGGMGAMRSAAGPYLAVARDALASARRLAPDCVDVAAALGDAFAASRMYAEAESEYRRALSIPDPSDPALHNATYGMFDGYEHERDPAFTAERVEEARARARASYTRMTAEELVPMAVHKVLEAGTLLGAAEGRRRGKLVAETFPNLARARHLEAYTDLEFVRGLDAAIDKRPFLRRTLATAARAAAAFPKSAVIAAFHARLLFVLGEYDAAERECRRALDMEEPNDPRLDCVPVGSVAGENSGARLVSLAGVFHELLTKILMCASDYWDSMSNERQREGFLQVRFDVLEDEYRKVDLSPDAFAMSDVRSFVEEHKCWRFWDCPICDRKKFMDSGVLLSHMCIKHPRAVLPRLQYLVDTTLSEEALEDGDFLGGVTFCEDPAKQDMICFNKTSDVFKWLFYAPSSGVGAKPISEMREKKREKGLMFLESIKGKMKTLPTDKSSTEFSEVLPRIQELWHDFLKASAFDYRAAIMGIARSFLWRELKKCMIGDPKQAAKWISATDIDAIFTKEVEPSHAEEDHETGANEQVKIYMKVVYANFTFSQMIMPKLSIF >Dexi7B01G0023400.1:cds pep primary_assembly:Fonio_CM05836:7B:28009540:28011581:1 gene:Dexi7B01G0023400 transcript:Dexi7B01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAPAAAPAVATASPRSPMPPETPATLKRRQRGLVSRVWKGIFGGREDVEKLLQSLSKEEKAVRARLRRRARASRQSAHNILALAAALEIAAVGYAIMTTRSPDLSWQMRAVRVLPMFLVPALAALIYSTITSLTKMLDNRDQHTLEKLRTERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESSRDAALGKSNDNSHGQATGLRQRKSAHLNNGTGRTHSPEPFDGSNVYDGNEEDGPGTPNQRAVEHFRGPAGKDGGWIARVAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFITYYCPHCNALNGSRQHEGHELVPDSGKDSPSSQSDIVIGQAGASLASSGAVSPAASSLPAVEELPAEDSGEKATSDQPAN >Dexi5B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:5B:10869513:10873292:-1 gene:Dexi5B01G0014460 transcript:Dexi5B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTAAAADAPPPSGPGAGAGPLAGEELDARYAPYARRDAYGVMGRGPLAPAEAARLALAAAVLLPLRFVAGMLVLLLYYFVCRVCTLGVAADGDRPRLVGWRRKAVLRAGCALSRAMLFVFGFYWIRETHRTTTNAEAVNLDQSEGWGRPGAIVSNHVSYVDILYHMSASFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKSSDSNGVSGAVTERVKEARHVFFLLCQFVNYIEVVRLPVYYPSEQEKEDPKLYANNVRKLIAMEGNLSLSNLGLADKRVYHAALSGSSVPGAGREKDD >Dexi7A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:7A:25777868:25791625:-1 gene:Dexi7A01G0015870 transcript:Dexi7A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASMLEVYRRDRRRLLRFLLSAAGGGGRALDLSRVDLDAVSADYALECVATGTQFNASEATRRYFDERRYPIMIGSPSGNSYFLLSRPQPFDSPPKEAAPSIGPQAPAQESSSSSAAQPRDFFRDAINTSGIGYGMRDDNLTDISSQQAKKVDILSLDLPRLNTELSDDDIRETAYEVLLASLFVSGKVHFSEEKREKKHKFLKRRRTKTEGSNPLPQVENGYAHILDLIRVQMEASFYSHCSLFLNLTENIVSTRMYYDPRKISESMDALTKRALRHINLRMMKGQIDVPRISLQLLSFVGKLDFPTERLRVQWQKRQANVLEELLLFSGSLEYDMSETLRIVLSKLKQTEARTTTHILYHFISMFLRAASRLYEKLLCSVFDILEDGQLVEEADEILEAVRLTWPILGITEKLHNMFYAWALFQKRNYSIFEATLNLALLLVKTLTEDGCEEVTLIESPVECTPESKLVHLLVVKSIHAAYTQALISSDGRSETEFKHPLTILANELKLVADKECTEFSPILYKYYPEAQRVALIFLHMLYGKQLELFLERMDHLEISKEILAASNNFELFIAQKLYSMYGEAGSSFSNYLKPYMIGRFSSPLILQWLHGQHENVLEWTKRAIGIEDWAPLSVHEKQATSMVEVFRIVEESVDQFFNASLPLDIVHLRSLLIGITSSLQVYLLHMKINKFIRDQLDALEEGIKQSWISVLSAARLLDYLSCMASGRAVSENLSSSDESVDELFTIFDDVRMTAVNITDTILNFIGTRAVFWDMRDSLLFSLYRESVEGARMHIFIPTIDQVLDQVCDLIVDVLRDQVVLRIFQACMEGLIWVLLDGGPSRAFLETDVVLMQQDLAMLKDLFIAEGQGLPLDIVEREAKQAQQILDLYMLKADTIIEMLINASDQMPHHHEVTSARRRHVHDAHTLLRVLCHKKDKIASTFLRIQYHLPRSSDYDDVPAKDVSSKVPMFSDMLKRGTSFNWSETGQQSFRIMKKKLQEATWQ >Dexi5B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:5B:548066:555280:-1 gene:Dexi5B01G0000850 transcript:Dexi5B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAARLGRRRCSLLASVTQPPTEDEIIVQMVNKYGPKKWSTIAQALPGRIGKQCRERTQELTDAEEPMASTAKEQLPKDIETVPDEKKGDGALFYEPPRFPDKKLEFSDGNKENFYGAPEEARDSQNAGNKQPVDEQGGQQCSSQSVVNPNTELANNSQAAGILVEHNCIDLIAADRGAKPESLSLCKEAVSSKPKPAELVVEKSSPCINADYEYVNLCQKRIGISFGMEISLGFVSPAERTYDALGLVKQISKHSAAAAVEACEVLGSGSRTSDKENKENTDDKEPETRKSKTKILLQSPLSSPNLNLTWYTL >Dexi2A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:2A:12963014:12965316:-1 gene:Dexi2A01G0011160 transcript:Dexi2A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEQVEKSTVLQETIVSMAVAGAIVGAGMGGWMNDRFGRRPSILIADLLFLAGSLVMCFAPAPAIIIAGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTKVTGTWRWMLGVAGVPALLQFVLMLALPESPRWLYRKNRKAEAEEVMRKVYPPEEVDAEIEALRASVEADVARQQDATLRKALGSVVVRRGLTAGVLCQVAQQLVGINTVMYYSPTIVQLAGFASNTTALALSLVTSGLNALGSVVSMFFVDKAGRRRLMLLSLAGVVACLAMLSGVFFAVDAHSPDVSLAGTAALSSSLSGGNATATATCPEFALASVAGEEWTCTQCLRASSECGFCSDAGDKLLPGACLASSDASRRACRNAAGEGGRRREWYTRGCPSSFGWLALVALGAYIVSYSPGMGSVPWLINSEVYPLRFRGVCGGIAAVANWASNLLVTQTFLSLTQALGTAGTFMLFCGVSAASFLLLFLLVPETKGLQFEEVEQMLGSDDYRAWKKFDPKA >Dexi9B01G0045410.1:cds pep primary_assembly:Fonio_CM05836:9B:44899138:44902844:1 gene:Dexi9B01G0045410 transcript:Dexi9B01G0045410.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFTRPNSAPSIPFFPINNSSAAAATDLPGASPEESDMDRYQRVEKPRPEDAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTIISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSPGYSVCIKLLSIQNHLSHKDTSNLSIINSTSQGKLKVKQIHMDAAEVEAGGEEGAGVAAGVDMVEAMLDMNTITTKEAMVDMGTKAGMDIKVDMTTTEDMATTKVVMGVMVTTKVVTEDMKMVVAGTTTGTEVVAAVAEGEATGAMVVLDMTVGAEVLVAQAAGAMREAVDEWVYT >Dexi6A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:6A:1815279:1816094:-1 gene:Dexi6A01G0001880 transcript:Dexi6A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPTWLNTAATEVEELITKNAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWK >Dexi9B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:9B:15330254:15334164:-1 gene:Dexi9B01G0020610 transcript:Dexi9B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSVTGGLAAGSHMRDELHVMRGNDELGGAKARAAADVKTCRLCGDEVGAREDGLPFVACTECGFPVCRPCYEYERSDGSQCCPQCNTRYKRHKGCPRVEGDEDEGPEMDDFEEEFPVAKSPKKPHEPDLEAEREMEGSMEWKDRIDKWKTKQEKRGNKLNHDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSQINPYRIVIVLRLVVLCFFLKFRITTPATDAVPLWLASVVCELWFALSWILDQLPKWAPVTRETYLDRLALRYDRDGEACRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRTSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFAVEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAEKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKARKDKKGGGDSGDEPRRGLLGFYRKRSKKEKLGGGGGSVASGKKGGLYKKHQRAFELEEIEEGLEGYEELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEDILTGFKMHCRGWKSVYCTPTRPAFKGSAPINLSDRLHQLNNLASIWFIALFMSIIATGVLELRWSGVSLEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKAKGPILKPCGVEC >Dexi8B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:8B:20386086:20388176:1 gene:Dexi8B01G0011320 transcript:Dexi8B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVLFFLVSGVLTPPLQVRAQQAYGKEIDDCTNPHNSSSMLGYFCNTSSPPSCQSFLTFTSMAPYSNLSSIASLLGTSASTLAAANDLAAGDSAAVPEGTTVLVPATCGCTSTPEGRFYQHNATANSYVVIAKGAFQGLTTCQALEAQALHGAPPESLLAGEVLPGVVPLRCACPSAAQAAGGVRFLVSYVVAESDDVSQVAARFGVDAGDVIAANELQSPYTIFPSTTLLIPVASQPNASRIQTPPPPPPSPPVVVSSPAPGKKGSNHVGIYIGVAVAAFSVAAIASAAAFLALNSRRKRRLAGVAVLAAGELPKKEGRGKVNDTSTDMTSSAFFTGDGGEFSLSTSEAFTSISVADIKSSLRVYTYAELKSATDGFSHGHHIGGSVYRAEFAGDVAAVEVVDDRNVSAEVEILRKINHLNLIRLVGLCHHHGRWFLVTEFADHGSLRDRLLLSGEGSPAALLTWPERMQVALDVAEGLRYLHEYARPKCVHMDVSSGSVLLAGGEGAHHLRGKLRSFGAARVITGAGEDGEEEVMYTMTSRIAGTRGYMAPEYLEHGVVSPKADVYSLGVVMLELLTGKVAEELVGDGVGDPFVALRELADELDGGGDAVLQRLEELVDPAMPAGSCTVDGVVMMVRLIERCVRREAAARPTAGEVARRLLKMSGVEVVRWGSSPESPRSPGR >Dexi1A01G0023730.1:cds pep primary_assembly:Fonio_CM05836:1A:30351671:30355951:1 gene:Dexi1A01G0023730 transcript:Dexi1A01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >Dexi2B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:2B:4125449:4126351:1 gene:Dexi2B01G0004530 transcript:Dexi2B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRAASTSILALTAALIVFLAGPASAAGNKTGQVTVFWGRNKAEGTLREACDSGLYTFVIISFLDVYGHGKYHLDISGHPIAGVGEDIKHCQYIGIPVSLSIGGFGNNYSLTSKQAALDLFDYLWNAFLGGGKPGTHRPFGDAWLDGVDLFLEHGTAADRYDVLALELAKHNIRGLAGGKPLHLTATPRCGFPPARWVATAVDTGILERVHLRIYDDGDCEAYWHLRWDQWTAAYRATRFYVGLTASEMTHGWVHPKNVYYDVAPSAQKADNYGGLMIWDRYYDKLSNYTSIVKYYA >DexiUA01G0011400.1:cds pep primary_assembly:Fonio_CM05836:UA:22978114:22981167:1 gene:DexiUA01G0011400 transcript:DexiUA01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVACGNARASSNAGSPTLAPSSSRPSPPATAVKRPTNHATEDPRRRITHLRTKSSHPPRRGRPTSLPRHPSGTDEGPAAHRRSARGFWGFGGGSERREKRIGRREGTRGEEDEWDTALSKVNTRLAMAAMLLLSGVLLLGQQAANAEEEAKVKPMSTKADCEVSGTCDMKLGAAATADPTRPGAKANESTSVAADWRLVQANACHGHHELAPSSSLISMEKVINPTARLAMAVLCLLLVASSPAVRQAEATEWLTYPKAMISCKVLGNCEKNAGPDATRPGKPANTYTRGCSAITRCRG >DexiUA01G0020870.1:cds pep primary_assembly:Fonio_CM05836:UA:43138709:43141386:-1 gene:DexiUA01G0020870 transcript:DexiUA01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRGGGVGGGSASRVGDGDVDLRDRWDWGAIPRLLSSACLFVCSGWSRGCFGCCDKAVKQLGELSRNLITHDQIPIAEPFWSTTTIEVEPSDLRGSFINTSNWGFDQHGTGSSHNLPELGNNGLALWEQTRQEWTEIRSLRPKVKQVREPVLSWNAAYESLLGSNKPFAQPIPLHEMVDFLVDIWEQEGLYD >Dexi9A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:9A:1150366:1153395:-1 gene:Dexi9A01G0002170 transcript:Dexi9A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGAASSSRAIPENRFYNPPHVRRQQQQQRLQGQRSAPPSPSPSPRSARHKPPAPPPPPPGAVAAAADMDVRVDSDDSSSTTSSKPSVASTATTTTHATVEPNVATAGAAAAEEAGNLERFLTSTTPSVPAQYLPKTSLRMRRGGDAMGSRPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSRPASRNRRPGDESDGESMDTSSESSSENDVDRLRVSAVDATHRLENGGLQSDVCETDASSSFPIFQYLERDPPYGREPLTDKANRFPALRTFKSCDLLPSSWMSVA >Dexi4B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:4B:11788128:11792443:-1 gene:Dexi4B01G0013350 transcript:Dexi4B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSVEEPLLAAVRPEEEEGLSVREEVRKQLWLAGPMIGGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLSLMTPSAVTGMEINDAAIFNRPVAIKIMQPLTLSRRLLVRSLYFYALDTLCGQAFGARQYYLLGIYKQRAMFLLTLVSVPLAVIWFYTGEILLFFGQDPDIAAEAGTFARWMIPALFAYALLQCHVRFLQTQNIVLPVIASAGAAAACHLVVCWVLVYGLGMGSKGAALSNAISYWVNVAILAVYVRVSSSCKETWTGFSMDAFHDALSFFRLGIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLLNTAAFVWMIPFGLGSAISTRVSNELGAGRPQAARLAVRVVVFLAVSEGLVVGLILVCIRYIWGHAYSNVEEVVTYVAKMMMVIAVSNFFDGIQCVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLIAFVLRVGGMGLWLGIICGLFVQVLLLMIVTLCTNWDNETFLL >Dexi4B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:4B:8071324:8072535:-1 gene:Dexi4B01G0010720 transcript:Dexi4B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYSIRDIQGFLAGLAVAAATILLLLPPCPCPGTVMSSYHGDALLLGNATQQADPSNKKPALQAGAGSSSSRREEEDKLPDLLRKAAMDDKTIIMTFTNEAWAAPGSLMDLFLQSFHLGVRTEPLLNHLVIVAVDARAYERCQQVHPLCYALAVDVDYASEQAYMANHYVDMMWRRNRFQARVLDLGYSFVFTDVDIVWLRNPLLRIPVGADIAVSCDYFYGDNPYDLNKTANGGFVYARAGPRTVAFYGDWYAAREAYPGEHEQFVFDQVKHALSERHGVRAQFVDTAYLSGFCELRKDFYKVCTVHANCVAGLQDKLQKLAGVIDEWKEFRDMAAQLGSNSTALTD >Dexi5A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:5A:23557484:23559202:1 gene:Dexi5A01G0019640 transcript:Dexi5A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTYTQHLDKVLEEAAATFYPHIKFVRAASQGKSVDPNITKYFVKVLPFNYDQSVYGFREYFKKHGFKYSEAN >Dexi8A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:8A:790221:791636:-1 gene:Dexi8A01G0001150 transcript:Dexi8A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGTDGFSVYDAGGALVFRVDNYSRRRKIFAGALLLMDGQGSPLLALRPQVLRFWIQGCFRRRSCKIRSSDGKKVAMIMRKKAEAASTSLTLGDDVFSLVVEPNVDCTMIMAFIVVLDRICWRPYTPMICSS >Dexi8A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:8A:1063314:1063958:-1 gene:Dexi8A01G0001500 transcript:Dexi8A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHRRLSTYLLPRLRRTHAQRNLFFDAAARTLAAATAALRVRLYGPDDLAPTRAVLALKRRPRLVAGVSRVEEIEEPLDPALALACADDPARLGGVDSPIVRLVADEYGVGGDAAPFVCLGGFRNTRAVYELGEEDGEGAGLVLELDETRFDFGTSYELECETAEPDRVKAVLERLLTVAGVPYEYSRSNKFGCFMAGKLLP >Dexi3A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:3A:7807756:7810643:1 gene:Dexi3A01G0010970 transcript:Dexi3A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding TASEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILLSGALLSIIVILQYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSTMRRKRPIPFTQKFPNADPLALNLLERMLAFEPKDRPSAEEVILLNIPFSIVL >Dexi6B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:6B:18976772:18977170:1 gene:Dexi6B01G0011720 transcript:Dexi6B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDALAGGGAGGLLSACAAAIDKAVRGIGTDSMGAWTDQLREVAGAMSMLSVAGSPRFRVYEMDMGFGRPEKVDIVSVARTGAMAVAESWHGDGGVEVGVPLPPDYMERFRKCFADGIAGQHARRAEMID >Dexi7A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:7A:14036017:14036994:1 gene:Dexi7A01G0003910 transcript:Dexi7A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSGMSAMVTNAGTPSLASPHSISFATRIIMAPTSTSDGPTAHGGTLMSTGPKKSDAMKHPATVIAVNPVRPPSLIPAADSTYVVTVELPSPAPSTVDPASTRNAMYSPSNDPSPSSVTNPAYSAMEYIVPVVSSTSTSVTNASHTLPCRNPAKPSLPAVDSKPAFPAGVSGNTVTVVPLIHDTTVTNTMPYTMLPFTSWARHVAVMRNPTAPSQKVGLCMVVPAMQAPVVGSTSEQAVRVTSVDGLAPTSPTPWWAWRPVKARKSPMPTAEASRMFRGIIRASLERRPTAESARKTMPSSMTTASAVL >Dexi9B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:9B:3990764:3992110:-1 gene:Dexi9B01G0006650 transcript:Dexi9B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADADAPSSDQPRACRDALRRRRLLLLREQRDAAIARAIRAPLADVLRDHALVHLPPSAAARLRLVHPSWARALSSPLFAVAHAAAPRRASGLFVSPPPSSSASGFLLPLDVADTVPSPTLAFLPSSSAPTMLSSSHGVACCFSATDDAYFVCNPATGSWVGVPCPPCRIKWPRPAIVVVFDAGVYNFGGDFTLVCAFEPSAPGGSSGIYCFAVFTSVSGAWWVAEAVALAEGLIPATGVAAGGVAWWRTAIGTAVGYDPVTGRVQLVMCPGDSAQWEIGSAAGTLHCAVRTGDDEVMVFRLHRHDGWEVTAAVSIAEILDRPWQPRPAYDSDSDEEAAHRAEQDHTGSMAIAAAAAVRPRGFWMARDEVRLLPFQAAEVEVVVLDGRRVVAFDAVTRRRREAVLPDEPAGTDWGAAAYVAHTNTLAMVAPVVLMEPQEDQEGVAS >Dexi9B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:9B:5722371:5725092:1 gene:Dexi9B01G0009260 transcript:Dexi9B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRAALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCIVLDRKIFMWTVGTILGLGVFIGFIMMIVKLVPHKKPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTTRRSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHIKELIKWGTDYILKTFNSSADTIDRIVAQVGVGDTSKGGSQPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVKGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTIATAPGMAKHAGAYWFGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPVFNSFNFTKGGLIQLNHGRPQPLQYAVNAAFLASLYSDYLEAADTPGWYCGPNFYTTDVLRKFARSQLDYILGKNPLKMSYVVGFGNKYPKRPHHRGASIPHNGVKYGCKGGFKWRETKKANPNILVGAMVAGPDKHDGFKDVRTNYNYTEPTLAANAGLVAALISLADIPTGRYGIDKNTIFSAVPPMFPTPPPPPSAWKP >Dexi1A01G0028800.1:cds pep primary_assembly:Fonio_CM05836:1A:34384996:34385400:-1 gene:Dexi1A01G0028800 transcript:Dexi1A01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDLTDIGPAASACGAPCGAAAAGAAPCCSCLERRVGRRRFFLFSFSASSAADGGIGGGAGMGTAAVCSPVALMMQPPSLSLILSIYLSSKHTRRGNPRSGWGVLRFVDTDGGKKRGAEARGEEEEGAGRKG >Dexi3B01G0037330.1:cds pep primary_assembly:Fonio_CM05836:3B:40104793:40107386:1 gene:Dexi3B01G0037330 transcript:Dexi3B01G0037330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASLLLVLISISATAISAASSGLSIALPECPDKCGNVSIPYPFGIGADCAATGYNRYFTVTCNDTFQPPRPMIGDPPTTAEVIDISLEHGEMRLYGPVSYNCFTSNTTMSDNYTAGYGLEGTPFIPSPTRNRFTVIGCNTLGIIGGYMHSNPGLYVAGCYSYCQGINSTSDGAPCTGMGCCETTISPNLTDFAGVFVINQSSVWNFNPCFYSMLVEAGWYSFRKQDLVGHLGFINERAKRGVPVISDWAIRNGSCPKDGAKAPENYACVSSNSYCVSASNGAGYLCNCSEGYEGNPYLPEGCQDVDECELREKDPKYKDLYPCKNGVCRNTPGGYICKCEIGMRSDGTNNGCRPVLSRAERVVIGLSVSAVVVMSLTCLKVDTIHILTEKEIKKATENYKDDRVLGYGGHGMVYRGTLDDGKEVAIKKSKVIDDDCKEEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFIPNGTLFEFLHGNDHRSPIPLDLRMKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDNEHNAKVSDFGASTLKSMDKNDFIMFIQGTLGYLDPETFVSHELTEKSDVYSFGINKLRDMLDSEIIDEGIMVVLEKIAELAMHCLNPRGDERPTMKEVAERLQMLRRLQIQLATKTNPIRADYSYGSPSTHVAPEEARYQGMDADLAR >Dexi3B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:3B:10915768:10916795:1 gene:Dexi3B01G0015060 transcript:Dexi3B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVASRCSRCGGCGHSSIACGVVAATVGQRGSDVATMRCGEGAAAGLRLFGVQLGAAAGPGGAASSPALQLHRSYSVDCLSLQGSAPAYAALVAAPLLLSPSPSSAALLLSIDECSTERATDGGGYLSDDGASGSTALRERKKGVPWSEEEHRLFLEGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRHNSAGKKSNAKRRSSLFDMNCESGRDAVLVSDPSDGKAAGGTSRSLSPKASCDLYLKESTGIGERMTMRPSSESASVSETVTVTTDQAHGYHCCPLNLELGMSLSTPSIGT >Dexi1B01G0026120.1:cds pep primary_assembly:Fonio_CM05836:1B:31030258:31033584:-1 gene:Dexi1B01G0026120 transcript:Dexi1B01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPALRAAGEVFLRASGDQLSFSAAIAAAVEWCLGAWGKVRAELVVPLIRAAVVLCMVMSVIVLAEKVFLGAVSSVMKLLRRRPWRMYRCDPIIPRPDEEVPGSEAYPMVLVQIPMYNEKEVYQLSIGAACRLTWPVDRLIVQVLDDSTDAVIKELVKSECERWAAEGINIKYETRKDRAGYKAGNLKEGMRHAYVRDCEFVAMFDADFQPAPDFLARTVPFLVHNPSLALVQARWKFGEFLVLAADNKAVHACASARQPSQLGLYLCPVVNANDCLLTRMQEMSMDYHFKVEQEAGSSVCNFFGYNGTAGVWRTKAIIESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSVWKKLYMIYDFFIARRIVGAFFTLFFFSILIPLIIVFPEAQIPMWELIYIPTAIILLHSVGTPRSIHLIILWFLFENVMALHRFKAILIGFSEADRANEWIVTQKLGNLQKPKSIVSVTRNSRFKHRFYCLEIFIGLFLLVSACFDYIYRHDYFYLIVLPQSIMYFAIGFQFIGLSVPED >Dexi2B01G0022430.1:cds pep primary_assembly:Fonio_CM05836:2B:32089642:32092486:1 gene:Dexi2B01G0022430 transcript:Dexi2B01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNRRPTGASGSSASTSSKRDPEEESFSASEDSGDDEEVSSSSGSESESESDGEDAEREQELERALADVPFGELQRARADGSLAARAASSAKAAAEKKARRASKKRPMEISTKVRPPPKYKEVMQVPKKDKQLKSHPQKNVESEILREHIKKEREAAKAGKRPYYLKQSELRKRMLMNKYEELKEAGKLDAFMERRRRKNASKDHRYMPYRRNGAGA >DexiUA01G0007710.1:cds pep primary_assembly:Fonio_CM05836:UA:14413830:14414471:1 gene:DexiUA01G0007710 transcript:DexiUA01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTTTATMPPPPCDNEMVFVTTIGSYSSQAKNLSNGRSTRSNPIVAGGHSWRIAFYPNGKLAGTAGFISLYLLLDDEVKGNPAAADDDIHVNLSLMIGDVARGATFLSSGMVTVAFSRRRNFICFERFVSHDDFAPRLHQGRPLRHPLRAHRPSGEHSTGRRRAAAAETIGGEDSATVVFHKDVTAVIAPAAGLSADLGRLEGRC >Dexi8A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:8A:20789112:20792891:1 gene:Dexi8A01G0011780 transcript:Dexi8A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLAVLAAALAALAGGWWGRGAEASIHTYDREPFREVGNAFLLSGGSEGIVADGADPAAPTSSFIKYAYSGEAADDDDEEAQSLTKGDGEVGMVKMDKDRNVGVSNAFSLEDEAEEDKRE >DexiUA01G0006790.1:cds pep primary_assembly:Fonio_CM05836:UA:13059769:13063170:-1 gene:DexiUA01G0006790 transcript:DexiUA01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEGPVGVNIPRDRPAPLPQLIGTNAPVGIEDAMEELSPWFLDDIDDPRPRFLAIVGFGGLGKTTLAMALYREFGDKFAFRASVLASQKFHLPTVLRSLVKQLHKQQSAAYLILMDDIWSVSAWESIKDSFPNIGKGGRIVVTTRFKSVAEACRRQQAGYVYEHKPLIEENSSKLFRQVISSIGYEICLSKPIDDGIIMKTCGGLPLAIILVAGLVASKLESDKDQKLDPHLAEVSKNLSQELGNNLTTEGVTHILNHCYHHLPADLKTCLLYLSMFPKGCLISRKRLIRRWVAEGFIAEKHGKTVEEVAEDCFNELIGRNLVRAVNNSSNGKVKTCQIHDMVLEYIVSKSSDENFITIVGGHWQTPFPTYKVRRLSIHKSGRKEKDTIERMKLSHVRSLTALGSFKALHSTLLKFQILQVLDLEGCKDLSFNHLKEICKMHQLKFLSLRSTDIERIPKKIGRLECLEVLDIRETKVKKMPPSVERLQRMAHLLAGDKRSRVALKLSEGITKMTALQTLCGVRISTGLALAALENLTNLKKFTIYKLKSFTKRDDELLLSAIEHLSSCSLKFLAIDDDFTGFLDKSLNALQAPPEHLHTLGLSAPVLPPLSFMEGAMQGLQKIEMRFRMVEGVYGLENLSSIRQVVMTVSSQAPEDTKAKVSQIKKLASMIHATVNVPSVVIDEYNESVE >Dexi9B01G0048470.1:cds pep primary_assembly:Fonio_CM05836:9B:47422777:47425562:-1 gene:Dexi9B01G0048470 transcript:Dexi9B01G0048470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAALLSASSPSTSAAASFLSRRPLPRCRAASPGRAGSRLSIMAALGDDPIKEWILTEGKATQIKGTRSIGGGCINSAQRYDTDAGPFFVKTNSRIGPEMFEGEALGLKAMYETNSIRVPLPYKVGSLPTGGSFIIMEFIEFGRSRGDQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEHYLYLLAA >Dexi7A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:7A:19413239:19414806:1 gene:Dexi7A01G0008270 transcript:Dexi7A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAYAAGDRPHVVCVPLPAQGHVTPMLKLAKVLHSRGFHVTFVNSEFNHRRLLRSRGAHALDGLTNGFLDTPVDWAAGMSKHTRLKDLPSFLRSTDPDEFMVHFALKVTEQIAGADAVILNTFDELEQEALEAMRAMIPASASIHTVGPLAFLAEDVVPRGGPLDTLGSNLWKEDVSCFDWLDARAPRSVVYVNYGSITVMTNEELVEFAWGLANSGHDFLWIIRPDLVNGDAAVLPPEFMEAIKGRGHLATWCPQEVVLRHEAVGVFLTHSGWNSTMESLCGGVPMLCWPFFAEQQTNCRYKCMEWGVGMEIGHDVRREAVEEKIREAMGGEKGKEMRRRALEWRETAVRATQPGGRSYANLDKLVADVLLSGGKSC >Dexi4B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:4B:19774324:19776857:1 gene:Dexi4B01G0017430 transcript:Dexi4B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALPLLLLLLLCGVGGGGGVRLGGGGYEDWRLGTATYVKEFQSHPLNDGGGACGYGDLDIFRYGRYTAGLSAALFGRGSACGGCYELRCVNHIRWCLRGSPTVVVTATDFCPANMGAADEAGGWCNFPREHLELSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGSAHFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVAPADWMFAQTFEGKQFVE >Dexi9A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:9A:5795736:5797055:1 gene:Dexi9A01G0009540 transcript:Dexi9A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSTTRCPPPPPHPGSYVLVWARLATTASCSEAATVSLPVGGDGGGGAGPVEESSVPPVLEWLTMLPAGELLCSSILSFSFAPVNHSGANGGKENLPHARRIRASAVSPQRFLQPAPSRRHRLGARASLVDERDDEGVGAAVAEGDF >Dexi2A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:2A:7002795:7009624:1 gene:Dexi2A01G0007240 transcript:Dexi2A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSAWLEWAAEYTKAAQAECRPPPEWAARVSAAAGDGGDVPWSAGLAEVLARALLSGAGGGAPAAAAWKYAEAALAARLASPALLLALLSTRIIPHRFSRPMDYRLYLELLKRHGFNFHYQMKAANFRKIMDLIDAELSLSKIFGISTCQPGVFVVHFVLCILWQLVDVVLDDEGLLELTPENKAQWPTRPEDVSTFEETFTEQRTEKIEKLQKMNTATTMELIEHLLRDKVITRILSLARENMQSHWEAFTNRLHLLATNSSTLQNSAISSEPFQQLILGECNVYGETKHNMCKRFHPIVASNPLSSPNGRCLGASYSALWIPIDMYLEDCLDGSIAATNSIEILSGLVKALQSVNRSTWHDAFLALWVASLRLVQREREPIEGPVPHLDTRLCMLLSITTLAIADIIEEADSLCNETELSSHMKEKKSIGNLRNKLMLSLQILGDYESLLVPPPYVVPAANQAATKAAMFISGISNNGYMENVNGMNYSHKLDGSLAEVKKLFEVAINGSDDDNVSAATVLCGATLLRGWNFQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPASMNGSDWPSPSANLSMVEEHIKKIVATTGVDVPRLATGGSSLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLVFSASRTVFHHNNEAVVQLLRSCFAATLGMSSTSVCSCGGVASLLGHGYCPGGFTPVAPGILYLRIFRCIKDCSILAEDILDLLMLSVKDIAETTVPKQRPDKLKKTKYGMRQGQVSLSTAMTQVKVAASLGATLVWLSGGPALVQSLIQEMLPSWFLTVQNLDQGGSSGGMVYKLGGHALAYLAVYSGMFAWGIDSTPVSRRRERVTRSHLQFLASALDGKISLGCDPSLWRAYVSGVLGLVVECTPCWVQEVDLKVLKRLSSGLRQWGEEGLAVALLRRAGPEAMGAAAELILGREW >Dexi3A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:3A:10430675:10433070:1 gene:Dexi3A01G0014300 transcript:Dexi3A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVKPGSTVKCVPGDGYILHLSQAALGESKKSDNALMYVKVNDQKLAIGTLSPDKYPQIQFDLVFDEEFELSHTSKAASVFFSGYKVEQPGGDFESDDEEEELNVPVIKENGKAEGKNSQGKLVAAVKEAEKKSKEDDSDEDENDDSSDEGLSPEEGDDDSSDEGDSSDEDDDESEEDEEEETPTPKKPEVGKKRAADNALKTPLSDKKAKATPSGQNTCGNKATAHVATPHPAKGTKTPANNASKEKSPRSGGSVSCKSCSKTFNSDMALQAHSKAKHGAK >Dexi9B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:9B:10884539:10884917:1 gene:Dexi9B01G0015900 transcript:Dexi9B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITTSVSTDLTVAALVVADRAAVAPCCAARCAHDVALPAAPSCSDLFTASAHGTAAAITAELASARLASLASRGRPMHAPEGSRERGGHKSLCAGVTCQCARA >Dexi2A01G0024430.1:cds pep primary_assembly:Fonio_CM05836:2A:36181033:36182965:1 gene:Dexi2A01G0024430 transcript:Dexi2A01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQEHWKAAAVGLLRPTKSAPCSPIKPAAAGMLRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWSAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLEAKLVGRPRADQIDTNWLFDALLLGSSSSIWQPQPCIDHMLPPSAPAPAPAPAPVPAPAQARRDHFADENAAMSKNLAALQANMLNVDAQPFYSLRMPPLATKPQNTLPQQPPQQKPAPVHDPLGNLKRTRSGNCMDKAGAVVDKEQSTDENSGRRKSLSAEDRWPELPDHSAFDEALVAAVLGPVLDDEPAITRGNGHGKLPASCDTSPVVKEKIGKRLRIFQDITQTVNNF >Dexi4B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:4B:109883:111542:-1 gene:Dexi4B01G0000160 transcript:Dexi4B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARRPFRRGAPPAGTGYVRRGPVPAPAAAAAAKPLRKPVFTTIDQLRPQTHGHTLTARVLSARTVLDKQSANQSRTRVAECLVGDHTGTILVTARNDQIDLVKPNTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDAADFKVKEDNNMSLVEYELVDVAEEED >Dexi3B01G0024140.1:cds pep primary_assembly:Fonio_CM05836:3B:18840404:18844514:1 gene:Dexi3B01G0024140 transcript:Dexi3B01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAAEVSPAAALCRERRDLLRAAADHRAQLAAAHAAYFRALPRVADALARFASHHHAATPPGSPVLTLPPASDGHGNEDDGQPKKRRSGSGSATPHTDSGHSHIHFNSDDASDSDPDSSADPCAAPGGCGGGHGEIPQPAPVRRSGVPLPDHGHGERQERQIHQPRPAMPEMRREYASYSPYPSFPSTAFQNHGTYSSFPSTAFENGAYPSSFPNTAFQNGTYPSSFPNAGFQNGTYPSSFPNAHTEFQNDTYNSFPDTEFQNSTFPSYYYMKASSTPANTVYQEPYGDGNFATSSSYMGYNYGYNNPMYGVPLPPEGDRPVQDRGREPEPPAAPPPMPVPETSPWDFFNPFESYEQELPQYKVKGYDSNGSFTSSPNSSEVRAREGIPELEEETEMESMRESVKARKAVESTASNRIDNVDISAKVKVSMEHKEGEIESVDSASVLESGEESVCSCDCDHANARTGEPMPLGNDQGKVKKVSSQDHSSMVVGEDVQPPHESIGTRDVSDVVQEIKEQFNSVAACGDDVARILEVGRMRYRSRNRVLRLIFSRMMGTFALLFSPLSEAPAKNLEQSAIDSSKRIQNSSKRFDLSSDVELNTLSATMDRFLNSGIFGKRKHDNNVALRLVRMWKFILECHRKQFHTILETRSHILIPKNGPERSSSKEKEETPDGFAPFSPGRLGAPAVFIAANDWCQAMKRIPEGTVVETMEAFAVNVHILWERQDEEQQQKLKADYLSRDFAKRLKSLQKEHGLQGHFEADKPVLPVAEDGKAVDNRMVALDTLHKRLDEQRAKHEETVKQIREASATDLKAGLAPIFEALESFTQETLRGYENVRITVGSG >Dexi2B01G0031220.1:cds pep primary_assembly:Fonio_CM05836:2B:39366294:39366488:1 gene:Dexi2B01G0031220 transcript:Dexi2B01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLPPPVGLLYDERMCAHATPDGEDHPENPERLRAIWRKLNAEGITYGASTGSPDPVS >Dexi2B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:2B:20975615:20978550:1 gene:Dexi2B01G0013290 transcript:Dexi2B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPLLLLRSAAPPSTAPRWRPRRRQSSAPVRASWQELAGVLVFSAIPFTAVKALANSPLGARLRRRLEDRKAAAAAEADALRAAARDARNNSFWYGGDRPRWLGPVPYDYPEHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVIPELLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVQNLVEHLSDPLHNNILSSFQ >DexiUA01G0016170.1:cds pep primary_assembly:Fonio_CM05836:UA:34477057:34478868:-1 gene:DexiUA01G0016170 transcript:DexiUA01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTLPSFTTSTPLSQTLSSPYISVALTMVTFLSTTLMMLLLLHHKRAAPSKERKILLLPPGPATLPFIGNMHQLIWNKSSVLRWIHRVLTDMGADVITVKLGSVHVIVVASPEIAREVLRKNEPVFFSRPPTFASNLFSYGYKSTSLTVVEAQWRKMKRVLTSEVLSPAMEFQLHGRRVLEADHLVRYVHGQLKTTTQDGGCIDVRHVARHYCGNVIRRLVFGRRRFVDGREYSPAMSVAGADEQEHVDALFTLVNYVYSFCVSDYYPGLVGLDLDGHEKVARGVMRTLDRLHGPVIDQRVREWSRRREEGNKRGAADILDVLVALEDADGQPVLSLDEIKAQTVELMFGSVVYPSNTVEWALAEMVNKPEVMRKATDELDAVVGRERLVQESDISKLNYLKSCIREAFRLHPYHGINAPRVAAEDVTIAGYTIPKDSHVIVSRIGLGKNPKVWPEPLEFRPERHLVDDGAVVVLAEPDLRFVTFGTGRRGCPGVSLGTSFTMVLFARLLQGFSWAKAPGVDAVSLQESPTSLALAAPLVLQAKPRLAAHLYVVES >Dexi9A01G0030530.1:cds pep primary_assembly:Fonio_CM05836:9A:35540940:35541416:-1 gene:Dexi9A01G0030530 transcript:Dexi9A01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAVTSSKPSSDPSLSGSQLADSVPKAIPSADVPKARRMVSSGSHAGTSTPCSRLSASYPLSSDTPDAMGTPTKNRIRRRMRSNTGCGTSSSSSSPPPPPLMPFSSLLLPLDFASDEEDSSIMSSCC >DexiUA01G0017120.1:cds pep primary_assembly:Fonio_CM05836:UA:36288930:36289955:-1 gene:DexiUA01G0017120 transcript:DexiUA01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISLDDWEILPDHKGSSFLEEECSNGGHGAVGGEDQLLLAAKLVMIDMDHFSPTSHPPSYNCTLDEETKKPGLPSEGAYWHDPVTEFKDIAVMPAEPRREEIVSKVTELVIYDSEEDDMIEPPASVKEADADQGEVLVAADAPEGQCAREEEAFNRSGISVGNLRVNGVGALCSFGVAAATFFIFLLGGGKQLQQKRQDHKIQLQMYAGDERIQQVVQQASRLNQTMSSVMGGASSARASISFGGYYQGF >Dexi4B01G0023700.1:cds pep primary_assembly:Fonio_CM05836:4B:24998934:25006419:1 gene:Dexi4B01G0023700 transcript:Dexi4B01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDSYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLGREDFHNSLKLVTVAQSGRELTPDIVKSALFGPAAAKIPAPRINVSTAAPQTNSVTSPQAPASGQQNPAVRGPQGLPGASSNPQVRPPQPPNANTVPPAQGIPSRPPVGGGGPNGLNHTSSTTPNLATDWFSGKRSASPLGATSQAPTRDILRKVWDLSDQDKDGMLSFKEFCVAVYLMERHREHRPLPDVLPDGIWAEGTSLPSTGQFAGNPTGPAPHASTGFANRGMQGPHHGMLPSSMKPPSRRPLSLDADDNVKAEQQKPKIPVLEEHLVGQLSKEEQNTLDAKFKEASDADKKVQELEKEIQDSREKTEFYRTKMQELILYKSRCENRLNEVSESMSADKREVQYLAAKYDERCKKVGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDESDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAATWDEEWDRFGDEGFSIIKELTVETEPPIAPKSEDPKVSTNGASTEKEDTKGDKSAAVEKAVEPEATKSNSKPESAKSPPVSPVKNREDGSTDETDKKQSGTNDISPRATESISNRGATDSSAHGDKTYDGHSWAPSFDHGIDNDSLWNFDHKDGENGDSDLFFGPQGLPPIRTGGSSSGSLFVKEQKPSFDSVPGTPMEKPFFDSIPGTPVQKSVFDYSVPSTPMQNSVFDYSVPSTPMQKSLFDSIPGTPVQKSVFDSVPSTPMQKSVFDSVPSTPMQKPFFDSFPSTPMQRSLFDSGPSRAESPTAGSMYGKEQKGFFDSSVPSTPMYNSSFTPRYSEAGDDSFDTMSQYSSFGMHDNNSFGQQDSFSRFDSFRSNADNGGTDAFARFDSFRSTSDQGGGNSFMRYDSMNSSSDHDRTDAFARFDSMKSSDYNSRGYSFDDEDPFGTGPFKSTETSSSPTKHGTDTWSAF >Dexi1A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:1A:3384573:3385214:1 gene:Dexi1A01G0004640 transcript:Dexi1A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARLPPAVPARAGDDDGPEVKYRGVRRRPSGRYAAEIRDPARKTPIWLGTFDSAEAAALAYDAAARSIRGAAARTNFPSPASASAAVLPPPPPPPPPTTNPVAAAAAATSSHSSTVESWSGGGGGAPMAGAAAPRAAAAAAPAEEDCRSYCGSSSSVLCEDVASGAAAGGGGGDEAAAPTPRCSPLPFDLNVADPEAADEMDCRCDTLLRL >Dexi3B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:3B:5413344:5414171:-1 gene:Dexi3B01G0007660 transcript:Dexi3B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASHGAMDSLLGKLGDLLTDKYKLLKEAKREIRSLRCELDNMYAFLKDMSAGTQNPNEQAKCWMNEVRELSYDIDDSVDEFMLRVEQESSSRPQGFKGFIDKCLSLLTTIKARHQITEEFRGLKRLAEEVSERRKRYKVDAASKQHDDTTIDPRMLALYTETARLVGIEGPSDELIQLMMGEDDQLKVISIMGFGGLGKTTLANEIFRKLEGQYQCRSFVPVSQKPNIRKVLRKVLAQVGYAAPENTNMEIWDVDELISTLHKFLTDKRYANL >Dexi4B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:4B:711200:711529:-1 gene:Dexi4B01G0001090 transcript:Dexi4B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIIAGLAASAAIAYASDVLVAQKKVFGGTTPRTISDKEWWQATDKRFQAWPRTAGPPVVMNPISRQNFIVKDLKP >Dexi7B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:7B:22378295:22380453:-1 gene:Dexi7B01G0016420 transcript:Dexi7B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHAAPFPSWSPYASTFALGAHLSPAAADLHLPSEHVPDHAWNPGTHTGSHGSSGYRGNFLSLLEARNVTPEMFGDVPPAVACDYLTGVGAAAAEEASNMAGGEAAYGLGSVDGYSAASVVPPARHETASSPLIVYSGESLSSAPCYDHEVKAAGSQQQGSGATAAFLDQIMIPSRMEIQSALGYSGMGRSERERLITTESSFGVGSLPDAGLFCFSEFSSTAEPMSNNSNTHDQEARPGTGSSGSGPVSGVATTTKRKAEERVGGNAKRSKQEASRKASPPKPQAPKVKLAEKITALQQIVSPFGKTDTSSVLFETIKYINFLHEQLRLFSEPYMTKSAYKGHARFGSEGEEEEAGAEHGLRGRGLCLAPVSLTSQVYHDDGTLLDCWTPAYRSSLYYTGR >Dexi8B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:8B:24058181:24064188:1 gene:Dexi8B01G0013660 transcript:Dexi8B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKVGSLISRSVYTVSGPFHPFGGAVDIVVVQQQDGSFKCSPWYVRFGKFQGVLKSREKVVNISVNGVEAGFHMYLDSNGEAFFLRNADPNGEEGEFVVSPASSGDEREAPIQEAQLRKSKSTSCDSSTMEADVGEGKILARTTSRRTTILERMFGRKSGKNNAHAVDRVSSLERAEIAAELLDTKWSTNLPRSSKPHSSNDESSKSKLAEASSSDQMETSKDVLPEHSLDNGKEVDSNYNSAVYNSCSPRGGRNSSGDETDHCLQTTSVKEEVILVHTHETSDFTDRITSTMHQPGSESLPNDLGRITSTMHQPGSESLPNDLGTDKSIHESADTQGELLHNLEGVAGREIHTKEAFSSDSFEIHTVETDTTSGNREMISQFVTLDSDADNQNLTGASSLVFSTTDASTEKHEVTLIPSAQDPVQEKVVILSSSETVEISQAAVQEKMVILSSSETLESSYAAFNVSDDKVHDASDISLADSVQLKEYSKVSDGSKEQLFSEERSLACCDAPSNKKDMLKVVVEEDEAFILEDPAAQILQNNGPDIDISVDSISLSHTDVSHDLVYQHDVVCPDASSSFVETSSYVPDNDPEDVSRNLIEENKTWNRELDVSVTQTSTIDGPTECNDQSANSPNKIVECLPTVTGSSSLVNDPEDVAKTLIVENKACNGEPSVSVTLTSAVSDGEPSVSVTLTSTIRDGPTECPVQSANFPNKMEVEGSPTVAGSFSLIYDPEDVTESLAVENKACNRGPDVSVTLTSTIGDEPTECIAQSANFPNRIEVESSPTVTGSSSLVNVEVQNTKTEDETGRSSSASGDEVRSVLEATAEPEEEAETEAAVSFSEYTEEIQFQFSDTENFADQKTMDDIVSGQTAGEGEHDKSDCDTEKQEGGDVDLANESENCSESLRPATSPVCIPTSDLQSGDNNIEAKSLPNLRSQIHDLERSDSFQLSRSLQSNAENTGVGTVKSTNSSFLEQKPEVPGDSEENSSPPEVTSDVVLDDKHSDNLKVDSFSPFAELSLCRHLLSEGMGEDAARSAFDSEKITLEKFHTMKQSLMRNNKLVVRIAGRYFPWDAAAPIVLGLISFSEAQVFEPKGMIKVERVEQSAEQGGSWRIWPFSFRRTRTISAVQPVCESTVETSVSTPVKESTALNESDRERNKSRVKRIERKVRSLTPTSEELASLDLREGRNVVTFTFSTAIVGKQQVDCHIYLWKWNTRIVISDVDGTITKSDVLGQFMPMVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHITRRFLFNLKQCSSILSTREFGFQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKDLFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQEDYNTWNYWKMPLLDVDI >Dexi2B01G0024160.1:cds pep primary_assembly:Fonio_CM05836:2B:33598466:33602141:1 gene:Dexi2B01G0024160 transcript:Dexi2B01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALGMASLHVVLCPEYTLEVAIRRTHTCAHGLSAASLGTVDPAPAHPHAGSTAEESRKPIGGSHKSHTRAAAFHCQARIPSARFHPSISNLPSSGLRVCVLRHPPLVGTSAPRPLSPTPTLPSHHHHTAPTIIPHRLLRAPPRRDALYLLPRQAPRRPAPGHPLPPPRPGCHPLLLRAPLLLHLARPPRAGYIHSLPPPMPRALQRSGSNSLASLLRAEPADDFVAAAVADPKLGDRDNVRHRGSRARRRSCLRLPLGAADGCRVCDCDEMDSAAPAAAPRRRPSGNDEEEEDDDDGAVQCFSWKKGAAAAAVPHRASGASGDAVALKEEQEATSLAELPDDVTEMVLGRLPLASLLAARCVCRRWRDLTISPQFLRMRRDEHPAPRRAPWLFLFGVDAGDVGWGAAPTPAVHALDVDARRWRRVGAAGLNGRFLFSVAGVGDDLYVVGGRSGGCGSDATEVRTHKGVLVFSPLTGLWRKAAPMRTARSRPVLGVFEMSATCSILHARAAAEKEKHVRRGKSRLGGGAGAVYEDPHRLSLRRLRLKDMLNDDTDSMDLALSHGNSDGHESEEGQQQPRLAIVAVGGRGHWDEPLVSGEIYDPLIDRWVEIAGFPADVGLACSGAVCGRVFYVYCESDTLVAYHLDRGTWAVVQTSRPPPPRLRDYAPTLACCASRLFMLCVSWCGDRHGPAVSRRGEKVVRKVFELDLASLQWAEVSAHPDAPMDPNAAFAAGRDRIYAVEMFRIFGKVLDFVTACRVSDAEQRWSRIGRENAATEADAMSCRMKSMAIGEVMQPCAEAMRLEPSMANTALRCAAPIGSSQLFGA >Dexi3A01G0036730.1:cds pep primary_assembly:Fonio_CM05836:3A:42278550:42287377:-1 gene:Dexi3A01G0036730 transcript:Dexi3A01G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTIMGDGDLLFSALVLSLLAIALLELLKKKKKKKKKKKKKKKKKKKKKKKKKQQQQRLCLPPGPWNLPVVGSAHRLELARDVLKTHDANFATRPKLVAGGIVAYDWADILFSPSGDYWRKLRRLCIQEILSTKRILSFQHIREDEVLNLVDEIRAAGSSTPVDLSSRLHRITNSIVSRAAFGRKRSNAADFLAAIKQSVVMSSGFYVPDLFPRFTGILSVLTGMRRKLRAIRRTVDGILEEIICEREEMLKQARTDLPLLQGNNKEEENLLDVLLGLQENGHDFGFPMTRDTIKAIILMYDIILPMHLHNIIGYACAVGTGTSASAMEWAMSELMTNPKVMRKLQAEIRAAFPGKQQIITETDLRASDLKYLKFVMKETLRLHPPAPLLVPRESIEACEINGYMIPAKARVIVNSWAISRDPKYWEDAEEFKPERFQGAALDFFGSNYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWSLPDGMDHVDMSEAPGLGVRRKTPLLLRATPALPRN >Dexi9B01G0038990.1:cds pep primary_assembly:Fonio_CM05836:9B:39852867:39855356:1 gene:Dexi9B01G0038990 transcript:Dexi9B01G0038990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPCLALLLFFGTLLLLPQPSRGATRHYTFNVTMQNVTRLCTTRAIPTVNGMFPGPKIVTREGDRVVVKVVNNVKDNVTIHWHGVRQLRTGWSDGPAYVTQCPIRTGQSFVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKRGVPFPFPVKPYKDIPIIFGEWFNADPEAIIAQALKTGAGPNISDAFTINGLPGPLYNCSSKDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTVVDVDAAYVKPFHTDIVLITPGQTTNVLLRAEPDAGCPAATHLMLARPYGTGQPGTFDNTTVAAVLEYAPAPGHIKALPLFRPSLPALNDTAFASNFSAKFRSMANPDYPANVPRAVDRSFFFAVGLGTNPCPANRTCQGPNGSMFTASMNNVSFDMPTTALLQAHYSNNIAGVYTTDFPVAPLEPFNYTGTPPNNTNVSNGTKVVVLQYNASVEVVLQGTSILGVESHPLHLHGFDFFVVGQGFGNYDPGKDPAKFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPADLPVC >Dexi5A01G0029270.1:cds pep primary_assembly:Fonio_CM05836:5A:32390178:32395788:-1 gene:Dexi5A01G0029270 transcript:Dexi5A01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADATTPGSGAVGARRLPRRAMASPHLLCALLCLLRHLAPSHAAATRDTITPGTPLGANETMVSGGDGNFAMGFFTPPGANATYLGVWYNKVSLRTVVWVANRESPIAGAVEDNPGATLSVSAVSGELAIAAGNGTVVWSVTPAAKLASPAARILDNGNLVLSDGVGGAVAWEGFDYPTDTLLPEMKLGIDYVKRKNRTLTSWKSPSDPSPGPVAMVMDTNGDPQVFIWNGGEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAQEVTYSFQTHNASIISHLGVVSTGNYGLLQRSTWVESARAWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLRGFTPKTPAAWALRDGRDGCVRSTPLDCRNGTDGFVTVRHAKVPDTERSVVDWSLTLDQCRQACLRNCSCTAYASANVSAGNGGGRGSGAGSGCVMWTTGLTDLRVTALPYTKAFSTSNPLNHIRLASNAKYADCSELPNIKLTFPISYTDAAIKSRKKARIIIAVGVSVSALVFLLAVAGLLIWSRRKRLRRTAGSSKWSGSRSTGRRYEGSSHDDDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGMEIAVKTLSKTSAQGLDEFKNEVLLIAKLQHRNLVRLLGFSISGQERMLVYEYMENKSLDYFLFEKADVVLDWQVRYRIIEGITRGLLYLHQDSRYRIIHRDLKAANVLLDKEMTPKISDFGMARIFGNEETEINTRKVVGT >Dexi9B01G0035870.1:cds pep primary_assembly:Fonio_CM05836:9B:37466365:37468798:1 gene:Dexi9B01G0035870 transcript:Dexi9B01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNNSPDRQSSGGGSPEERGSGGGGGRGGSGGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAASSAAAHSSPTAASSPTTTVGFPSSAGAAAAAQYHHPLAAMAGGSAACCQYEQQASSSSSSGSTGGGSSSLGLFALGTGGVPGGGSSGGYFLQASCGASSPLASGGLMGDVDSGGGGGDDDLFAISRQMGFTAESPSVASSASVAAPSTAGHHQQQYYSCQSPAATITVFINGVPMEVPRGPIDLRGMFGQDVMLVHSTGALLPVNDYGILTQSLQMGESYFLVSLRILLLITI >Dexi6A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:6A:24739405:24740454:1 gene:Dexi6A01G0016900 transcript:Dexi6A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRATTVTWKLLLLLLAATASVVALASPSQLPAVGLKANCTTTCGDVVVPYPFGITDGCYLLGYNLTCDTSYTPPRLFLGNGVLQVVFISLENATVRVLGPNIPIPEALHNENVANSTWGGHQWGLNILGPYVLSEEYNELVVVGCELWTELMITDTQNRDHVMSTCWSFCGARSVLDKECQASAKKKQSRRCQKCSGFRCCQVPVPFGMASYDVRVRSLSWSGYAENMTTSVFISEEGWFKLPYNYSDRPSSGIPTILAWAIVSDVLSLESDPRDGNATCPTDRGSTSCHSTYSTCRNIDRAYEKRTGTWAALDFSTSYTCSCWDGYEGNPYIPHGCQGMHLSLSL >Dexi5B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:5B:10140728:10141027:-1 gene:Dexi5B01G0013880 transcript:Dexi5B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGKERLWPADGAVDQSLQISEADCRAFQPKAKGRDASTLPWNHGRWKHRRKESEPYRRRGGSTAAPPCYDRQISPSSFSGDHRRPVLRMYGEPDED >Dexi5B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:5B:22419741:22422876:1 gene:Dexi5B01G0020190 transcript:Dexi5B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAPRLRSPASSLVTPRARQRGSRGVCIGGAMSYGGGSSGGRGGRRLEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNNSLQIKWICPTAPTRPVAAFGGFPCTAWFDVEETSLDGSDDTEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAAAALHSAACYAHGRFTNGTVYPITLSVVVGLSGWLPCSRTLRSKIESSQTCLRKASALPILLNHGRADEVVTYRNGERSAEILRSSGFQYTYFKAYDGLGHYTIPEEMDDVSKWLSSRLGLDRPRG >Dexi2A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:2A:4251920:4255242:-1 gene:Dexi2A01G0004690 transcript:Dexi2A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAFGYQKGGDLEAGTSGGAGPQRALYPGMQESPELRWALIRKIYIILSLQLLLTAAVAAVVVKVHAIPRFFTTTNAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGFFTIAISFAVGMTCAFTSGKVILESAILTTVVVLSLTAYTFWAVRRGKDFSFLGPFLFASIIVLLVFAFIQILFPLGKLSQMIYGGLASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >Dexi5A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:5A:1663436:1667170:-1 gene:Dexi5A01G0002350 transcript:Dexi5A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLAPSTFLVQLPAGRRRCTVRRMGGDPSAAARRWVTLTEEVQAFDAHLLRQSTPPEKVSADDRLVGYETLLVARFLDILQGLHGSDFRQVVEECLRLSGEYHVDGDPARLDELGALLTSLDVGDAIMVASSFSHMLSLANIAEEVQMANRKKAETDRRGGFADEASASTESDIDETLRRLVGDLGKTPREVFDALSSQTIDLVLTAHPTQAVRRSLLQKHARIRNCLTQLCAEGIAENERQEIDEALQREASDDGMTSDILAALRTDEIRRSQPTPQDEMRAGMSYFDDTIWNGVPKFLRRVDTALKNIGIDERLPYDAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMTDLMFELSMWRCNDELRARADELHRQSSGKYAKYYIEFWKQISPRVPYRIVLGDVRDKLYNTCERARQMLSRGVSDIPEEETYTNVKQFLEPLELCYRSLCDCGDKLIAEGNLLDFMRQVSTFGLSLVKLDIRQESERHTDAIDAITTHLGIGSYKEWPEEQRQEWLVSELRGNRPLIGPDLPQSDEVADVLGTFRVIAELPSDSFGAYIISMATAPSDVLAVELLQRECGVKTPLRVVPLFEKLADLQQGPATMELLFSIDWYKQRIGGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVSVAERHGVRLTIFHGRGGTVGRGGGPSHLAILSQPPNTVHGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMATVSTEEYRSIVFEEPRFVEYFRSATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAAAASSGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDKLLVPGDLQPFGEQLRANYEETERLLLQVAGHRDLLESDPYLQQQLMLRDSYITVLNACQAYTLRRIRDGEFRPAASKELMGSPSTAEGLVKLNPSSEYDPGLEDTLILTMKGIAAGMQNTG >Dexi9A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:9A:7207863:7224085:1 gene:Dexi9A01G0011500 transcript:Dexi9A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCAAAPAAAAAPQRASRAHLHSLLALARLLPAGGAGAGDAALPAPLLSFLASHAFLSPAFWPQSFAAAPFLSRLLPLLAAAPASPALSSALSAAVLAALDVADPASAPLARAFLSAAAAAAPLPLLPADAAPVAARLLLDFPASEETPARAKGKGEDTLVEENGGVRDVVRRFEEEDVEELERKEVAFRLIVHMLGGEGGLETGQVGKVRNAAARQVRSLTDFLKIRKRDWREQGAQLRARIHTKLMCCQAAVVVLVRSVSTMETDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQACFFFTLFTLTVNLFQDGKGKDAVPVIRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFENSYRESIVLMTRSYLDKVKALGASENNTLPSEATTERTETLPAGFLLVAANLRSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQKNDAPTKPVSASLNMESSSAIALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNENSAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEIHRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRAFETVLSWLIDLRTVSKLILLAVQIAYIFCFGGQEDRISDIGEGADVRESVLSIHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWLTSALSYAPCTTQGLIQDNFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDFSLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGINMGMASPGMQSAQPHQTFDEVFVSKFVSLLQNFVVAAEKQPIDNSQFRETCSQATALLLDHMMSDSRANLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPTHFSRHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKAYAQREAQSVSVFVHFLQNERSSPVDSPSKSQGREGEHNMADQTHPVWGCVDNYATAREKRKQLLLTLSQNEADRLEVWAQPINTKDTTTFRGKISSDKWIDHVRTAFAVDPRIALSMPLRFPTNATMQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSVLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGGEYVDESEKDAEAQKGSAFQSLLPAVKDKIIESFTPEARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKITIPGDDLYLPTATNKFVRGIQLDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVNIFFPLHFLVPAINPRLLQVVPNTRSRNQMGETTDGGLLEIFQQDFGTVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSQGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAARRHMNGILTTVNLMADSGLPCFSRGDPINNLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >Dexi8A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:8A:22440923:22442257:-1 gene:Dexi8A01G0012710 transcript:Dexi8A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALITLLLLLTPLLISAAPLPSGYRFTLIHVDSKGGFTRSELMRRAAHRSRHRYRATAMMSSGYYSTTSSSSDTRPTKLFSGQAEYLMELAIGTPPVPFIALADTGSDLTWTQCKPCKLCFAQDTPIYDPTVSSTFSLITCASETCLPIWRTNCTATSHCRYRYIYGDGAYSAGVMGTETLIFGSSSGDDTVSVDGIAFGCGVDNGGLSYNSTGTVGLGRGTLSLVSQLGVGKFSYCLTDFFNTTITSPVLFGSLAELATDITSGIAVQSTPLIQNPKSLSRYFVSLEGISLGDFRLPIPNGTFDIRADGSGGMIVDSGTIFTILVQPGFRVVVDHVAGVLGQRTAVNVSSLESPCFPAPDGVRRLPEMPDMVFHFAGGADMRLPRENYMSFDEEDLAFCLNIAGTEPQWTSVLGNFQQQNIQMLYDITVGQLSFVPTDCSVL >Dexi1A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:1A:5347352:5347995:1 gene:Dexi1A01G0006970 transcript:Dexi1A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLRDNNVMCCTCRVTQEGKERRKRWAKRRTSSEPNKKAPKEVEDEDTRQSEENEETHTMPGMLPNSVIEMLAAREKVTFSSDSEEESVKQKVQKRKKRTKTSGPETILLKDVRATQHVKNALDFLEQRKNRVPRSNAVLKNANKALRLLSSKGNFLS >Dexi8A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:8A:5593692:5595009:-1 gene:Dexi8A01G0005790 transcript:Dexi8A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQLPDDVLAGVLRRLAPRCLAASRCVCRAWRRVVDDRRLLRADLLPRSLGGIFLNPHDLWFTQFLSRPTTGAAAAVSGRLDYTVPGEPDRMPALYVHDHCNGLLLLDDCVVNPATRQWALLPPRPDDLPQPLSPGMDVSTDEYLVFDPTLSPGDFELFIVPSVPYKLSTVDECEASEWPPSTLILSVFSSKTCSWGEMAFGREGEAAGTQHIQHTDEDTDVDDNGAIVEEKVEEKEWDSDNDNVLEPGSRSKDSCIDFLGFHPYKEVFLTEKFESACL >Dexi2B01G0026850.1:cds pep primary_assembly:Fonio_CM05836:2B:35942535:35942861:1 gene:Dexi2B01G0026850 transcript:Dexi2B01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSATGAYAKVEKMDAEEARHLKAQYLIHKVLEEASTSAAARRLPALARVKARIGVRLKKLRLAIRGVRARARRGVQRHLRRLVALGGGRQGSSVKPAPAVSPPS >Dexi2B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:2B:10195398:10196909:1 gene:Dexi2B01G0009420 transcript:Dexi2B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTPAAESKPPPPPPHVVLVPFPAHGHVAPHVQLARVLRARGANVTLVHTELHYRRLLRARGIDAGDDPPAAEDGGIAVEVIPDGLSLDDPPRTLRAHHEAMERNCLEPFKTLLRAMLRRSPPVSCVVADTPMPFAAVAAREVGVPDVQFFTASACGLMGYLQFEHLLARGVVPLPSGYDTDGSLDKPLEWVHGMHGVRLRDLPTFCRVTASDAGDGNDWLMRFHVHQMKTAASSKAVVLNTFYDMEKDVVDALKPLLPPVYTVGPLATVTASSSSSSPASGGGDRTATSGIGLLKEDERCMAWLDGKAEGSVVYLSFGSHANMGGAARPGEFAAGLARCGSPYLWVLRPEMAAEVEAEVAGGEGDGLIVPWCAQEAVLGHPAVGLFVTHCGWNSILESVAAGVPVLGCPVLSEQTTNCRQASSAAAWGIGAELPQGAGREEVEAMVREMMGGRKGKEARERTREWKRMAEVSAREGGSSWENIGRFMEDVLLKVKETTT >Dexi3A01G0028310.1:cds pep primary_assembly:Fonio_CM05836:3A:28863373:28866144:-1 gene:Dexi3A01G0028310 transcript:Dexi3A01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEPKPEPEQVKHPSPPAPEEAAAPETSWEEAARPLSPPAPEEQSAAGAGREAPRVEEEEDAFEDALTEEQLRKGKHDETIKECTKALELNPSYLKALIRRAEAHEKLEHYEEAIADLKKVIELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSIQFQK >Dexi8A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:8A:4832292:4832681:1 gene:Dexi8A01G0005340 transcript:Dexi8A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSLLAAALVAVCRRALLQATRRTLHSLLETRNVPCSPAHAATGSLPPAGLRAFARPPSNTPVAAKGARTRAAAGPHPSAAAGALAAPSLVKPLEHPARHRTPAPPVAPQLRTAVGCPALLRETLYD >Dexi7B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:7B:19841319:19843076:-1 gene:Dexi7B01G0013330 transcript:Dexi7B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKGKWEKSSWGKKLIVQKTRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAAAA >Dexi3A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:3A:14048788:14053060:-1 gene:Dexi3A01G0018380 transcript:Dexi3A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSWLLLLCLAAVSTAGVLQARAQPDSNGFISIDCGLPGTASYVDDNTKLSYAPDAAFVDDAGFNHNISAEYITPKLSKRYLNVRSFPDAARSCYTLRSLVSGLKYLLRASFVYGNYDGLRRPPVFDLHVGVNFWTTVNITDADKLEIPEAIVLVPGDSVQNIDNDLFEAPSKVMQTAVTPRNASSNIQFFWDNDPQPNDPSPGYIGILHFSEVELLSRNATRQFYININGKQWYPKAFTPEYLYSDAIYNNDPHSGFARYNISLNATGNSTLPPIINAVEVFYVIPTTNVGTDSQDVSAITAIKAKYNVQKNWMGDPCAPKTLAWDGLTCSYAISIPSRITGITRGKSKLAIYIAVPIVLVVVIVLVIVLFYWFLRRQKRGTSSSSVKPQNDTRTSHLPIGGTYPESSLQLENRRFTYKELEMITNNFERVLGRGGFGKVYNGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCNDGEYMALVYEYMSEGTLQEHISGLEYLHRGCNPPLIHRDVKGANILLNSKLEAKIADFGLTKAFNRESGTQVSTNSLVGTQGYSDVFSFGVVLLELVTGRPAILRDPEPISIILWSRQRLARGNIEGVVDPRMRGDHDVNSVWKTADIALKCTAQSSAQRPTMADVVAQLQECLDLEVARAAGAGGGDANGGGGGFYTGSSSEPYSGYNGYTADGQSTDVSQGSSIAFEMEHNFGRAPTMATGPAAR >Dexi3A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:3A:16278559:16279669:1 gene:Dexi3A01G0020500 transcript:Dexi3A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEHHHRRWAVAPVLVALITAALAVAQATPSSSSSSPTAAPGPAGGGIDQACMNSLLNMSDCLTYVTQGSTARRPDAPCCPELAGMVGSNPICLCELLSGAADSYGIAVDYGRALALPGICRVATPPVSTCTAMGYHVRVGPAAAPMAGMAPSPMTGMAPSGERPQFPGTSPLASPPSSTNNAARRFSAGGHLAALAMLPLAAAAVSGMIY >Dexi9B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:9B:2417016:2419930:-1 gene:Dexi9B01G0004200 transcript:Dexi9B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCAAAAAVAVAVAFLAAAASASAAGVGTCARRDAPPFLDAIGSRCPFIRIEPSPPIEVSGEAVDTELNLRRKGASYSILFYASWCPFSSKFRPIFEALSTMYPQIQHFAVEESSATPRCFPAVGLDPIAHLDIVLQEGTGSLTPIMSWDQSLRQMTKDEPFLLLAVLFIILKVAAYFVPAVLSHLRAFLVVRVQNMNLGIRRGSNQLLDRALNVLDVRRLWSKLRLSNKATDLRKGASNARAWASSFTSVSLGESSSSRQA >Dexi2B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:2B:12131651:12145685:1 gene:Dexi2B01G0010700 transcript:Dexi2B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPRAIIEELRKEVILKKENVRSFLENTQEVRRMKEKLENDMHEAVKRKIDIEKEHARGVQMLNKMNKRVTQLQAQVCEFELQHMQESQVNLLLNIFLFQYEAEVSQIEDKMRELHQEINSAHVNATRLKDEEKKLSEELLGISKSIGDIEKEINEDARRINHLKQQIKDFQQRQHDKRERDMAEQSAAEIKSFCAILHTITVFVLMQQLSSDYWSVAVDCAFGRLLDAFIVSCHKDSLALRECAKEANYHNLQIIIYDFAKPLHDIPNHLLPSTKHPTILSVIRSESPTILNVLVDQGHAERQVLVQDYEVGKSVAFDQRIRNLKEVYTSDGFKMFFRGSVETILPPIKNLRVGRLCTSLGEKITEMQKEATGMEQLNLERKGQKRKLGVQRDEIELQFRSLKKKREDEERHLERKKVQLDDTKKFSAVNNHDNAVDTSELESEMMQVKEDIGNKELVLQKINVKLTDALQEENNRRASYKDFIDSTYAKMGSISDVEHELQLVEEKIHDAQQEYVEKASKICTESEVEALGGVAGSTVEQLSTEINKLTRRLQEESKRYTESIDDLRALYDKKRRKILRKQQMYAGFRDKLNVSTVLLYDIIFISFLTFCVLISGLIQACQKALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNQVLSVELTMPQDASRDTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWIFITPHDISMVKAGDRIKKQQMAPPRG >Dexi3B01G0031410.1:cds pep primary_assembly:Fonio_CM05836:3B:32758210:32758590:1 gene:Dexi3B01G0031410 transcript:Dexi3B01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPVKAAPPSLSRTWWLPSLSLTEAPRLARTQEAAAWATTARLLELLRRLRRRGCWRGCVGYGRAARVANAWAAAAAVRGTARRGDPCRIWRCGGPVAAGSMRWWRLGTAVVIGKIISSYLSNL >Dexi1B01G0027920.1:cds pep primary_assembly:Fonio_CM05836:1B:32577472:32577711:-1 gene:Dexi1B01G0027920 transcript:Dexi1B01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRDEGNDGVAPRDEQQVEEEEDYIHKDLNSEAGPPSSVRRQRSRGEAGDLEHGAAARHAGISLQSSDGGSFWSPLL >Dexi9B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:9B:8996946:9000313:1 gene:Dexi9B01G0013350 transcript:Dexi9B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGAHVDPFDIFSSFFGPSFGGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCSKCKGKGSKSGASMRCPGCQGSGMKVTIRQLGPSMIQQMQSACNECKGTGESINEKDRCPGCKGEKVVQEKKVLEVHVEKGMQHSQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPDQCKALEAVLPPKPTSKLTDMEIDECEETTMHDVNNIEEEMRRKQAHAAQEAYEEDDEMPGGAQRVQCAQQ >Dexi9B01G0022160.1:cds pep primary_assembly:Fonio_CM05836:9B:16786693:16787988:1 gene:Dexi9B01G0022160 transcript:Dexi9B01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGSFVFAAIPDGLPATDDIDATQDITAICESTSSTCLPHLLALLARLNGDDDTDTGVPPVTCLVVDGFMYFAYDAAKQIDEAHLTDGYLDTVVDDGEHGMCEGVQLRDFPSFIRTTDRDDTNLNFFMREAERLSLPDGVIFNTYDYDDLEGASLDAVRGILPPTYAAGPLSLHQDDVLEWLDDGHPARSVVYVNFGSIAVITREQLLQFAWGLAANGYTFLWNVRPNLVKGGDVASLPPEFLAATNCRYARTEWRNGMEIRGEVRSAELAAMIREAMEGEQGREMSRRASEWKEKALLATSPGGSTVVNLGRLIDEVLLAKKQ >Dexi6B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:6B:25809208:25810733:1 gene:Dexi6B01G0018880 transcript:Dexi6B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRPDHRSTELPVCPLRATSTKLASKMASMIGSSKVVALGALIFSLLFSSGSFAVVADFNASHITADPYWVPARATWYGAPTGAGPMDNGGACGFKNVNLPPFSSMTSCGNQPLFKDGKGCGSCYQVHYLIRCLKHPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMALPGRNDELRHAGIIDIQFKRVPCYYPGQMVTFHIDKGSNPVYFAVLVEFEDGDGDVVQVDLMEANSWVWTPMRESWGSIWRLDSKHRLTAPFSLRITNESGRILVANQVIPANWAPNTYYRSNIQYYDYYQEQEGPGVGLVISSAAGLDMKILGIIGLISLVLLYSLHEIEVPLAAW >Dexi9B01G0036200.1:cds pep primary_assembly:Fonio_CM05836:9B:37745349:37745529:1 gene:Dexi9B01G0036200 transcript:Dexi9B01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEFTSFEAKTESLDSGATAAARREIPEDDRQGSTMEATPFR >Dexi9B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:9B:1774077:1777192:1 gene:Dexi9B01G0003120 transcript:Dexi9B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMDDAVDEVAATRQTERRSPPASQAAIAGDDLLSGICDDVLVRILGLAEHATDAVRRGVLSRRWRGLWRRAPSLRFSSRRWGFIVFVNDTLDLRARPAAAAAGGLLEHLEIRLDDTRGATRAAATACSCSRRRPSQRRRGGSVTLCCTGSSTSFHLKLDLPYVFDDEEKGTRVMDLGDLPAASAKLEAMHLYLGHTTVLLPSSATVAFVDETKPTASCDDDHADRISTLPDDVLVSILRLVGDNDATELVRTGALSRRWRGLWTRVAALRFDAGPEHLSPGDAERFIAFVDGVLARHAKAPAGPGIEQLGIFLNLHYTPGEPHVPATTVEAAQGWVRYAVEQGLTSFAFVVRVTSTRWLLDDDEDDDGHGHGVKADDLDDEKEEEDSNEDEKEEEEDDEEEEDSYNDDEGDGEDTPVKLRLWAVKFKRARAKELVLDTGTLMELTMYVMDGMESLELRAPNLRVLKVESCDELERLKVSASSLEHLVFSRNGLRLGTIDDVDLPCVRSLWISLVSHMFQDSDINDTGISLLKKCSSATSLVVELDVPWVV >DexiUA01G0020690.1:cds pep primary_assembly:Fonio_CM05836:UA:42998356:43012629:-1 gene:DexiUA01G0020690 transcript:DexiUA01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFRTGPQRYKNQTPSKLSLLSPPEWPPPSPATAHTMASAASNAAALSRLVDRTRIPDPALQRHAVAAFFRHLLSLPAPLPEAAHDAASALLASPQPAVAAHAAASVARLAASRPDLLPPGHALPLLIAPLAASPSPRLASCLVKAVSALAACALRSGSRFPPHDHPFVQALASGADGVRGELARQAAKMVAEGLDGIVGFLRPFVMFSVVRKGDSVFARDLIGALAASAAAAGEAGVAIPVLKLLEESMLHFGRGDDQELGLWLSSVQCLVDAYVVLLRKLAHAQMPSSTAFIFMKHTATKVSPDSDQKHLEARYWTHQLNDYLRVLHREKLTLDDLSSKKTSSVAISSLISSVASVLLSLLESLPSLATHGFVLPLALQWISPMLKRDTHPIIYAVAVRLLCKIWIVTDWAFPNLQVILDPENFSNFISDREISLSIASSIRDVCKHNPDRGVDLILSVSFCIESRDSVVHALGLESLSYLCEADVVDFYTAWKVISKELLDYAIEPAVAHNLCVLLRCGAMDAEAYSGISNNLIRILWSIGTSKKNDSEPLWVKARGTAFHSLSHYKVSVIQDAIPDFWKQNYEFFTSEYNQEVLNAMENLQDEIVKFEHINRRRVTTDKRVAVHKFEKLLDVFPQVVFKGKSNHHQLPGAALLTLNFTSKDISNEGKSKGLPRVHAAFEQAFTEIAESMYISRNIEVALLALHSWKSFVSNWMQGVVTLLDNKESSKVNKALKAANDIFKILCDRVPVSTPRVAVNIALAIGALCLIVPPTSHLVISSASEFLLKWLFQYEHEHRQWSAALCLGVVFNCFHPTDKKGRFQVINGLLEVISKTESYLAKGACGLALGYACQESMEGMEENNDSFDDDPWAIAGLVLGFGNAVVALSNLLYAQETSLVRGPLLASSVGETLATSMVQEIYLLAKDAEDKHIQEYAAWAISFLRSRWLSKDLIPYNDNGPNTSSGDPGHASSFSEQSLVWNLSHWLSDLKPEKVRNTALVTEEEHADVAKKIIIRARLCATGCSSVHELGNMKMVILCTKADGVWWSVLVEIAAAIHSAENCIKRQWLLDALEIGCVTAHPSTVLRFVGLLCHSCCIYMPLLIVNSRNVLSDLPVTLPSFLSSSIWDDFRDSVADKLWLLTTHIYTWAEQLLHGNELTGHDHIHGSENEMASFLANILRSTCIAVEDYLTVDKRLKLANLEAL >Dexi2A01G0022930.1:cds pep primary_assembly:Fonio_CM05836:2A:34688840:34689412:1 gene:Dexi2A01G0022930 transcript:Dexi2A01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKSAPNLLKKAATSVKSKTDALRTKLILVTSLRRRMAMICAMSRQIHGRVTSDCREKQARVEHGSKALTVHKATVTTKEPAGEHGGRAHLGIFEVAMFEEDYYHGYPDWTNSLFDDDNCYNDEEDGHDDNDHDELEVLDAFDEPSVIEIIKSNREAQGLEFNMDDDIDEACDLFIRRCRSQMNLSLE >Dexi5B01G0035990.1:cds pep primary_assembly:Fonio_CM05836:5B:35854445:35860275:1 gene:Dexi5B01G0035990 transcript:Dexi5B01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQGELVPPWLKSLPLAPEFHPTAAEFADPVAYLLRIEPVAAPFGVCKVVPPLPPPPKRTTLGNLSRSFAALHPEDLSPTFPTRHQQLGLCPRRPRPALKPVWHSSRRYTLPQFEAEAGAARKMLLARHGVPASRQHSTLDVEALFWRACADQPVVVEYASDMPGSGFAVPCGARPAHIAATNVGETAWNMRGVARSPASLLRFMPEDVPGVTSPMLYVAMMFSWFAWHVEDHELHSLNYLHSGAPKTWYGVPRDAALAFEDVVRVHGYGGEVNPLETFAMLGDKTTVMSPELLVRSGIPCCRLVQNAGEFVVTFPGSYHCGFSHGYNFEINGDMPLNRNSSLDLLASAYGDPSDSDEDVLNKKNQVEKQLQTIGGAHIFLLCRPEYPKIESEAKLLAEEMQVEYDWKDILFNEASVEDRKKIQEVVQDEDTIPTNSDWAVKLGINLYYSANLAKSPLYNKQLPYNRVIYKAFGCSSPNNSPVKLKTYTRRQARAKKIVLAGRWCGKVWMSNQVHPSLANRIKSHQPETIDQICPHQKSNAEHAENSSREATPTRKSSSRAIEEKPSKREKEPLEKAIAKKPKLTEENNSRALEGAAEASNGGTVVEKTSKIQKEHVEKPNTKKPKHIEEDKSNALKAASSSSPSRVVIRCSSRIANRKNMLKSKMEEEDNGPGSRPKAKIEESDGPASRSRARPLRQKTNVGVKKRTKKTRAEKQKASSQLEEFSATKQQPSSGKQKTNKVERKQQMKKTREGKGTPPSSPKHGEEYACDIEGCSMSFSTKQELSLHKRDICPVKGCGRKFFSHKYLLQHRKVHTDDRPLKCSWKGCDMAFKWPWARTEHMRVHTGDRPYVCPEPGCGTTFRFVSDFSRHKRRTGHAAKKGKTKK >Dexi5B01G0023600.1:cds pep primary_assembly:Fonio_CM05836:5B:25739908:25740231:-1 gene:Dexi5B01G0023600 transcript:Dexi5B01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHPKTTNRLRNRPGVAIRKKAHQLRLASREPQSQEHSATTVASCRGDTLARQRPVSRKLWRIEHAPPMPTSRCLLRFGCFAVAGATASAAIAALRSRDLPPARL >Dexi5A01G0036350.1:cds pep primary_assembly:Fonio_CM05836:5A:37936319:37940059:1 gene:Dexi5A01G0036350 transcript:Dexi5A01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGEGAESSAAGERQCVSFARGGPVFVPFMVGPISTAPGFMSSALQELQSLEAEVGDPGDEFDDELCVDELKVLSLEELVEHALQEAIEEDSDSGNASQPEEQTLDEGMSADPAPRNESLTSSPSAERESPALLADDTTVILHEPESSNGKPRGKKANTRRKKGKTGTITLDSSVERESHGSPDMAIVPYEPEGSEGNGMATPNPPAENGVSESPVDNLSMVAHDPEGINGQTICEKGKKRGRHFDREVRAKILQGSYLTKADKWVKIKAKQDEDKFAARLHSFSGDSVKSKGSKSSSEKIETARSLKLTDVPSKNKALRSDEHSRPVVHPEVILCVEIYEKTVKSQELLVLGSQFLTDLRDSICCLTDKLMKVAGQHDHSGYFLIEDTFYNDTRHRSATDYSKPILDWLENSSDEVAEKWDAITTGVLKKRQKDLLRGLNVSNVPEFKSERMQATRFSDLHFRPGAGYLYCHQGNCKHTIVIRDMRLIHEEDSHDQADYPLQAFQLQKRLQKCSVCQIYLATKMTVDDKWALNNPCYFCIKCYYLLHYKEDNTPLYQHAVYDFIQE >DexiUA01G0020110.1:cds pep primary_assembly:Fonio_CM05836:UA:42022935:42024574:1 gene:DexiUA01G0020110 transcript:DexiUA01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSSSQSNLGGTVLACTLSFLAAAISSAGGVGGGSLYVPILTVVAGLGLKTATAFSTFMVTGSTLSNVLYTVFFLRSGGRRKPVIDYDIAVVSQPCLLLGASAGVVCNVVFPEWLVTALFSLFLAFATFKTYGAGVRRWRAETPELGRIPDDVAAVAAAEEALLGENGGGGWRCQWVDLVVLVTVWLCFFVMHLFIGGEGAKGAFGIKPCGVAYWLITVAQIPVALAFTACIGHQRRKSQQADHVADQDISVSTKLDALPAYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASATTMFMVLFCASMSMAQFIILGVEGIATALVYAAACFVASIVGLAAIEGAIRRSGRASLIVFMVAAILALSAVVIACSGAVRVWEQYTSGQYMGFKMPC >Dexi9A01G0030060.1:cds pep primary_assembly:Fonio_CM05836:9A:35007826:35009587:1 gene:Dexi9A01G0030060 transcript:Dexi9A01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTAGFTGRIVRGGQRVRAQGAALGLLYAKSPGAIRSRPKKSCGDTRALPNTDVQRQRSVKRWPPVPARAHEDVTRRVAHVAADGAYAISWLFTIAKGDKSTRHARAAMMCRASQARRKRHRVAGTGMMPRSGSLAGKLPMPSTAKLMLPVPCFHAGNVGICLNNRRCHRPNNASASREDVEALSYVPYRADGRYHHVFAMKIEERARRHPRSADVEQQCCSAPAASSLIHGLVLLCALRASRSSRLAERKQNCASRSTLLNNRVRRDVTCRTASAHGRSPRRDDGICPCRLEVGTTGPERAGKWKWGAGAPIMHAGSTHYAHAAHRALQLRRTPEPPNRTEPNQNLQRHV >Dexi1B01G0024470.1:cds pep primary_assembly:Fonio_CM05836:1B:29761013:29761384:1 gene:Dexi1B01G0024470 transcript:Dexi1B01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQASASPLHRSHMPTQLCHLHSRPAQPEKFFPEEQHPLQNNSGGALPVLNYISEKDWSKQHNDVHVENSAAAFADSNR >Dexi8B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:8B:19366064:19367080:1 gene:Dexi8B01G0010810 transcript:Dexi8B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAVLVLMLQLMVAVAAPMGLLPHCQTKCGKLTVPYPFGFGSSCSLPGFNVTCDHTHKTPRLLLGIGSSGIQVTKILLDKAIVYVKVGAIVNITSELMHKHMVKNSWGTPGSGGGDGPFFLSYRQNKFIAMGCNIQANLFARRSDGTRQLITGCSSFCAVDGDNNEVIWDPMDAKGHHCHLCSGNGCCQSKIPLYNTSYEILLKKVEAEKNVQYVDPVMHNLVLIGEEGWIERAWCWMLRNTTVPDKKTRKKLQHLDLSMVPVVLVWPMNSTVPAQPGKAADNASRCPTEKHMSVCKSKLSSCVDINTPFRSGYACRCNTGYNGNPYLVDGCQG >Dexi7B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:7B:17813386:17818300:1 gene:Dexi7B01G0010460 transcript:Dexi7B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGHVCSVLASLMLVWLGVAAAQEASSWKTLSGKAPAIIANGGFSGLFPDSSEDAYGFVKYSSSPDTILYCDVRLTKDDVGICLPNIKMDTCTDISDVYKQGQKRYLVNDVPTSGWFSVDYSITELDQVTPVMRSINSRSPRFDANFYPPLAVEDVQSKFKPPGIWLNVQHDRFYNQFNLSMRNYIISVSKRVVINYISSPEVSFLSSILGRVSNKTKLVFRFLDESTLEPSMNKTYGSMLKNLTFVKTFASGMLVPKSYIWPTSPDNYLQPHTSLVEDAHKAGLEIYAADFANDFVFSYNLSYDPLAEYLSFVDNGVFSVDGVLSDFPVTPSEAIGCFTNLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGVLVCMSSVDLMNDTTVARSQFASQTAVITDIQSGSGVFTFNLTWDDIVKNLRPQISTPFTGYRLDRNPRYRNAGNFMRLSDFLNFTIDKDLSGIMISIEHAAFVAEKLGFDVVDTVIKALGDAGYNNQTAQKVMIQSTNSSVLEKFKQQTKYDLVYMINEEVRDAAPSSLADIKKFASAVSVHTSSVFPQTDFFTMYKTNLVQTLQTAGLSVYVYTLMNEFVSQAYDFFSDATVQINWYVKGAGVDGLITEFPATARRYKCKFS >Dexi6A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:6A:22469811:22471870:1 gene:Dexi6A01G0015080 transcript:Dexi6A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSEPRAETVLFRSTLPDIAIPDHLPLHDYVFEHLSHRRDRPCLIDGATGETLTFADVDRLSRRVAAGMHASPLNVRPGGTVMLLLPNSVEFALAFLACSRLGATASTANPLHTPPEIAKQVTGSGATVIITEPAFVGKVRDLAGVSAVVVVTGDGAEGCVSFSDLLAAGDNSSELPEVAIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQIVDGDNPNLHLREDDVVLCVLPMFLIYSLHSILLCGMRAGAALVIMKRFDTARMFELVERHGVTVAPLVPPIVVEMAKSDAIDRHDLSSVRMVISGAAPMGKELQDILRAKLPRAVLGQGYGMTEAGPVISMCMALAKEPSPVKSGACGTVVRNAELKIIDPETGLSLPRNQPGEICIRGKQIMKGYLNNPEDTAKTIDEEGWLHSGDIGYVDDDNEIFIVDRLKELIKYKGFQVAPAELEAMLIVHPGIADATVVPMKDDSCGEIPVAFVVTSDGSEITEDEIKQYVAKQVVFYKRLHKIFFVETIPKTASGKILRKDLRAKLAAC >Dexi6B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:6B:2545996:2549257:-1 gene:Dexi6B01G0003080 transcript:Dexi6B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKGVSEGLIIGITVGVVIAHTPAEIHNFVSVIISRELQKATSNFTTLLGQGAFGPVYKAEMSSGEILAVKVLSNNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCADKGQHILLYAYMPNGSLASHLYGMFSSCENSVPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMQARVADFGLSREEMVTRNGSNIRGTYGYLDPEYVSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAIAYRCVSRVSRKRPAMRDVAQALTRVLKHSRSRKHHSKRHPQARADDESVDLETSEVQSSFSGLQREESVGSISDLPV >Dexi9A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:9A:6957921:6960257:-1 gene:Dexi9A01G0011160 transcript:Dexi9A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNDKPDDRQKDLTFIPLAPACVVIRVLTPVYASLLPAGPFIWRIFWILLWSVLTYFMLAIFKILVGLILRCLANWYVNLRLKRKQHVD >Dexi6B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:6B:2740148:2742031:-1 gene:Dexi6B01G0003340 transcript:Dexi6B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTVIFLLLPSLALLLLVASRLRHASLTHKAAAYARLAAVKSSAVLKKLIPKLRQPEVYVSDRFAAHRLLVGGAATGGAFSDKPPSSVASAVLSRHRHYNINSAPYGSLWRAMRRNLTAEIFHPSRLRLFALGDLVADLERQCSSGDDGVVLAAESIRAAMFGLMSTMCFGGGVDGELVKAMADAQDDLVQCFLGLRVLSTFPAITGLIFRNRRRKLVELRRQQEEMYLPLIYARRRHDGEPPAYVDTLVDLSVPDEHGDRRRNKRRRRQQRKLTDGELVGLCSEFLGAGTEPAAAALQWIMANLVKRPDVQHALWKEIDAAVAADADEVGEEVLGRLDYLNAVIMEGLRLNPTVPVAFRQVMADDHVVLDGRRVPTGTAVLFPLARLSRDKTAWADPLEFRPERFMAGGEGEGVSFVAAAGSAGEIRMMPFGAGRRMCPGMGVAMLHLGYFVANLVREFEWVEVEGDHAVDLRPHVGFFRVMERPLHVRLVCRR >Dexi8B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:8B:745711:746431:-1 gene:Dexi8B01G0001080 transcript:Dexi8B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVHPNASSGDPPSSSSSSEKAEVLLLTVWPKSLLFNCDGFTVFDARGNLAFRVDCYASSRHRRRAEVVLMDAAGKPLLTIRRINSLISLAEQWVIYDGDAAESEPRPLLSVRRHVSLTRASSNKKKALAHVTPLGAAAASSAAAYVVEGSYGHRACAVRDARGDAVVAEVRRKEAVGDDVFRRSPWGSSSPSTRLTENPEAEPPGVRSSRLAL >Dexi4A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:4A:25027465:25029118:-1 gene:Dexi4A01G0021530 transcript:Dexi4A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYATRRKPTPHDAWRGRLRSVKNRRYRNGFFFFPSASRCHYVPRDNVVRLQPTFPIPFSRHRKTSPFPAVASRYRLASQQISIKERKERAISEQQAAACMPDPPDTTTRHDLRRRHSLARRHQRPRRTRMIDRAPQLALAGRRMDGPRGFASSVSAACAVWSGRASLSSPSRRRHRHQQQQQRDVDANATRTTGAGDAHVRTRRRGRWPEETRRATPQPHQHMTGVRPSVGGGHCSCVRRVRVAGFNNDGRAAIPSPPRATRSQQAPKPLHVVPASSVSCYARACEPPLDGVMSARTYVRVLPTPLMARGRFVRPTTAAEVSPRQYTEPYSYVLVRNVSRSPRGIVYQIIRRVISTAMYATSTAACTRPSAIKLQH >Dexi5B01G0032860.1:cds pep primary_assembly:Fonio_CM05836:5B:33402965:33405173:-1 gene:Dexi5B01G0032860 transcript:Dexi5B01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGDSKQCEACRGGIGLWQAAFTSECAHTFHLRCVSGIAACPVCAARWSHAPATGPAPAPSTPFSFFASNPPSSTGMFGQQPSSTPGLFGQSAAATVNSFCQTRSSFGAQQSSPPSTSPSFGAQQASPPLSTGRSLFAQQAPATTPNPFWLHSTPPATPSCAVCHGAIGGGQATVTSECSHTFHLRCFSGSVCPVCGARWRDEVTVGQSPPPNNSFSSRPTFQSPATNTSTPPSVFFGSQPPLADKAAGPVFHDDEPVERTLDGQDNTNQEAASNNGVLALKTHCEHPAVARDTALDNFAVLVHIKAPATATAAERTESERAPLDLVTVLDVSGSMEGPKLTLLKQAMGFVIDQLGPGDRLSIVTFSSRARRIIRLSRMTDGGKALAKAASGRYESHVDADGRAASVDAGELYADEERRFLLFLDVPVAAGEDATPLIKVSVTYKDAATGRSVDVTCEDAMVQRPVVVADMEPCVEVARELFRVEAAEDIAAAKAAAERGEHAKAAQILDRRREASATNAGLAGDERSAELVAELRQLSARVADRREYEHTGRACMLAGMSSHAQQRAATVHLFQSAAERRPLRSGR >Dexi2B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:2B:12004506:12008428:-1 gene:Dexi2B01G0010570 transcript:Dexi2B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELLYQQVNYGNADGIRSLRAQGAGLEWIDKEGKTPLMVACMRHDLLDVAKVLIELGANVNAYRPGSFCGTPLHHAAKKGLEPTVHLLLTHGANPFIPNDDCHTALELAREKGHVNVVRAIEASKPRAVVKLWKSQIEEPKLNLADPSIIIFDKGTKSRYKILPAYEGDKQQLQRFYNACCGMPQGFNTAPAPPANLPMPNPVPANSSVAPSEAPSKEDLELAMAINASIQSAIAEGVPNVQPNAPTPNTNGWGTPASNSHNGWGPPAAPAPSKTSGQSQARVDTPSSSTYNGWDVPGTSSSQSSSKPSKTQTNLPVVIPQEALPALPTPTAPPFAEETFYSGPVQYPSIDSSPVDVTMPATTEGGTAAASAGPGENEANANGSSNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVVRLYAV >Dexi1A01G0025910.1:cds pep primary_assembly:Fonio_CM05836:1A:31977704:31979146:-1 gene:Dexi1A01G0025910 transcript:Dexi1A01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATVALASPASDDRRFWDRLRARVDTILEDRRGLPPVAAAGTTRVVESERGKRLREDSLMLVRGLDSVAATLSELSDTLTAAQKGVSALATCSSQARECERSADVDEEEEEPKAKRLCSDSLEAAGLNGDSPVAGKEAAANPDAEETTAVKLRQGMGGVQASAEVAQSTNLKRARNLAVSMATRAAALAKELKNIKSELHFMQERCSLLEEENKRLREGYDSGIAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLTQLVEYHQLTSQDLDESYEDVMQGMRLDFSSPLGKISDNEEGEYDDGVPVTPVEVSSSPDE >Dexi4B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:4B:2668074:2671825:-1 gene:Dexi4B01G0003820 transcript:Dexi4B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASPADSVPDPRPAPSAPAPITVPSPRDNLHHHNHHHHLADRRDTPRGRAWEPERSRRGGAMDGAAAVKLVPGEAGYVLEDVPHVSDYLPDLPVSAAVGRPARRGVDLRADRPLPLEGMTYPNPLQDNPAYSVVKQYFVNPDDTVCQKIVVHKDGPRGNHFRRAGPRQRVYFEPDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYKGFYARNTITLTPKCVNDIHKRGGTILGSSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAITWIDNHISTYAGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYIEKRLKDNGHMVIVVAEGAGQKLIAETMQSIGKDASGNELLLDVGLWLSQKINEHFKKNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVVEEAKKEQERTAQLIDGSPSHRKAEKVSGANFSGTK >Dexi3A01G0032460.1:cds pep primary_assembly:Fonio_CM05836:3A:37348375:37351262:1 gene:Dexi3A01G0032460 transcript:Dexi3A01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARQQDQRRGDGSKDRYGVLLYYKYAEVPDAAALAAFYEAHCGGLALVGRVRVGPDGVNATLGGRMTALEKHIAEMSSNPLFEGTDFKLASCEDPVDERVARECGFTSLSVRVVKELVTLCSNPTSAPPEISSAGRHLSAAEFHSVLQNVAGTSLDVAASAEKNEVVVVDARNMYETRIGKFNVPNVETLDPEIRQYSDLPSWIDEHTEKLRGKSILMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFEGKNFVFDHRISVGSLKDNILGTCLICDSSYDDYSSRCRCSHCRMLVLVCPTCQDSTKEYVCELCQKNGKEPSQISTKQDCEIQIGLCEPSGVEKPSMSNHNVNSKVPWSNGKVYCEPLKRLRILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYQPILGHCSDKPSPLSVTPKPKFAWLIAPNSSCNTEQEWKAADVPFDPLQYQQQTEGFEESYTYLENIISQMGSFDGILGFSQGAAMAALFCRQQQKTCGAPKFRFGVFCSGYPAPVGDFDGEPIKLPSLHCFGNGEGHDRQIANRASVELAGLFQQDCCSVVEHDMGHIIPTRPPYIDQIKSFLSSFL >Dexi6A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:6A:27319519:27323558:-1 gene:Dexi6A01G0019670 transcript:Dexi6A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVLGKAADALVQKVTAMWGLDDRRRELELKLLYVQPLLADAEAKAEADTEAGRAVRAWMRKLRSAAYQADDVLDDFQYEALHREAQSLRPATSKVLDFFSSRNRLVFRHKASRDLNDVLAKIDRLVKDMEKFGLLQRDQPEALQPLYRQTHSAMDEFTEIFGRDDDKEVVVKLLLDQQNQRNVQVLPIIGMGGLGKTTLAKMVYNDRKVQNHFELRMWHCVSENFGATAVVRSIIELATNGRCDLPDNIELLRGKLQEVIGRKRFLLILDDVWNEEQQKWEDDLKQLLCSSIGGLGSMIVVTSRSRRVASIMGTLPAHELACLSDHDSWELFSKKAFSKGLEDQEEFIAIGKLIVNKCKGLPLALKTMGGLMSSKHQIKEWEVIAESNMGGNNEVLKRMPPKLGMLQNLCTLTKFVVDIGDGFGIDELKDLRQLGNRLELYNLKKVKSGSKANLHENKNLTALFLHWGRDYGYYPLHGEVISNGVEEEVLESLVPHAKLKTLELHGLNSCYDLKALPDGLDGLTSLERLDIRSCQGITKFPQDLLLQRIPALKSLRIDYCPDLQRRCREGGEYFDLVSPIPEKDILPAPEPKTNKSAKRFFTSCGGGGSSSS >Dexi2B01G0031210.1:cds pep primary_assembly:Fonio_CM05836:2B:39356153:39359822:-1 gene:Dexi2B01G0031210 transcript:Dexi2B01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLALPPPLATPSRARLRVAASTAASASDGAGATASGFPSFLPRAVERIRDGAAIRLAKRIERVPVQASQFLQILLITPFLRKKQSCTLCVRPLKQQQDADPIVLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSDLATRPPCDVASKREHLYQFWKSYIKRPMVLVGPSLGAAVAIDFSTNYPEAVSKLIFIGASVYSEGPKDMARMPKFVSYAGIGRLHCLLPWWEDATVDFMIRGGYNVIKQIKQVLFFKHLAYVTDKLLMRFLFSIQVKHKCLVMWGEDDGIISSKLSYRLHQELPDAILRQVRQCGHIPHVEKPREAAKLVLEFLERDKAEQADLASSVL >Dexi9A01G0046010.1:cds pep primary_assembly:Fonio_CM05836:9A:49429159:49431213:1 gene:Dexi9A01G0046010 transcript:Dexi9A01G0046010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMHVDNGGCGGLDAQIEQLMQCRPLAEQEVKSLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRK >Dexi7A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:7A:24314265:24314987:-1 gene:Dexi7A01G0014370 transcript:Dexi7A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARFALALCVLLLVLHGVPQRADAASYNVGDRAGWDLSADLPSWANGKTFYVGDVLVFQYSKYHTLDEVDEAGFNNCSAANAILSQSDGNTTVALAAPGDRYFICDNELHCLSGMKLHVLVNQPAGGGGGGGAPAGAPPSPPQAALPPSADSTDDDAGVPRLFLGGSHRTTVGPLLVAWLLVAAALLV >Dexi7B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:7B:22374192:22375733:-1 gene:Dexi7B01G0016410 transcript:Dexi7B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLLPREKSAACPRPMPDPTTAASSASTSSSCLRPSCASTPKRESARRELPKFPISPDASRPRHHHHHTPPPAIDCRSIRLIQRVAMGFDKEASSSSSRLDAAPLLPHHGGGGAGGGHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGTLLLLAVAALTFYCMMLLVAARRRIADEHPKIASFGDLGHAIYGAAGRHAVDAMLVLSQASFCVGYLIFISNTMAHLYPIGGDSPASPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVLGQDASIWLANKPPVFAFGGPSELLYGLGVAVYAFEGVGMVLPLEAEAADKRKFGATLGLSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVLLGLCINLFFTMPVMMNPVYEVAERLLCGKRYAWWLRWILVVIVGLLAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEVGWAGVLADVAVIVIGTALAVSGTWTSLVQIFSSSSSV >Dexi9A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:9A:7800306:7802555:-1 gene:Dexi9A01G0012330 transcript:Dexi9A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSMPISASPAVGVDGGAVVAGTPRKNATAAAAVAEMARHLTVDTDDAFAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAVLRLLVSLPSVDVNRRSGTDGTTALHCAASGGSRTAVEAVKLLLGAGADADATDDAGRRPADVISVPPKMFDAKFALQDLLGCPKSEHGMLRVVTRSTTNSISSPVSSPTAEDARSPSAALMMTTKFADLPRVATSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASASAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDLDSQLNDLCYSRLSSSTGNHSARTKSLNPSNLDDLFSAEMVSSPRYNNADQGAMFSPSHKAAILNQFQQQQQALLSPINTGVYSPKAVDNQQLPSHSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALVQREKQQQQQTMRSLSSRDLGPSAARASALVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTMAESINSVGPSPLMPPGMNSEVSGLNTQLDGHDQAAVIGALLEQMQLDQQVGSLAT >Dexi2B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:2B:3763528:3764785:1 gene:Dexi2B01G0004290 transcript:Dexi2B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFPKGIRALMVDDDNSTVAHALKSLSTLKLKGIDVVLVHAAKAATCGFNFRAIIEADLGIPVIYFLPLDHRATGDEAAELLKTLEEGTYIMTKPLDIDEVCSRLWRVIAWRKCDLQRRPGSGAGDGFMEGEDDEGRVHYKVVRRGRRGQKRNGGGRNAAAGAGAGTGGGGRQQPEPAGKGKEKVNEGDYYQQQQ >Dexi5A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:5A:20883495:20885188:-1 gene:Dexi5A01G0017580 transcript:Dexi5A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALLGGYLTAFPPLSLPPAAAASLSSSARLPVSLPNRLRGASRLVARRRTGEEAEVVRASAAEGDDEYNAEVHEEGFTRWEGGGGEEEDYDHDPEIGDIMGDYFEDPKKAQTRMEERIRKKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYNALLPKDVTLISDALRSWHIVGRLGGCNSMNMQLSQLPLDCKRLTYDALEGANVTPTSFYNIGDIEIQDNLARV >Dexi3B01G0022540.1:cds pep primary_assembly:Fonio_CM05836:3B:17334100:17336094:-1 gene:Dexi3B01G0022540 transcript:Dexi3B01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTPPRARRSVVAELEGGLLRSTDTFPYFMLVAFEASGLPRFAALLALWPLLRLLELAGHGGLALRLAAMVATAGVARAEVEAVSRAVLPKFMADDVDPAAWAAFGACEGRRVVVATRLPRVMVERFAKEHLGAHEVVGWCDLEYSRLRRCTGLLRGGGDVGERVSALFSGGEDRPDLGIGRSEMASSFLTFCKEQLKPPFTAGAAATTPTNAPPFRPVIFHDGRLVCRPTPLMSLVILLWLPLGALVAFAMDPIFFFMNPRPLYVVTFLSQLPEEATCAAGKSPVDVANYVQRMLAATLGFECTSLTRKDKYRVLAGNDGIVNAKPAAAPAGKAAWRSRVKEVLGFLLH >Dexi9B01G0027640.1:cds pep primary_assembly:Fonio_CM05836:9B:30086750:30087172:-1 gene:Dexi9B01G0027640 transcript:Dexi9B01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATVPRVVSILSALLQRVAERNDAAAAAEEEERAMTAAAEGQRRPVSAFQGLTKPAISIGGYLERIFRFASCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDMYC >Dexi2B01G0027210.1:cds pep primary_assembly:Fonio_CM05836:2B:36189484:36194057:1 gene:Dexi2B01G0027210 transcript:Dexi2B01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQEEQPSTKNTLHQSYVELYHHGFLHIKSAALLCAVGLGIPSAIHRCGGEATISDLAVKTGVHPAKLSYLRRLMRALASFGIFAAAARRPDDDTDETVAVVYTLTPVSRILVDDDGGGGASSTWRDMSPLLRLLARPATSVSTFFAMEAWFRDGGATTLFEMAHGGVPPWSLTKNDASYNEAMNDACAVDSGFSMDAMLGEVSGKGNFHGLTSLVDVGGGHGAAAMAIARAFPHVKCAVLDLEQVISKAPASASDGTVQFIAGDMFESIPPANAVFLRKGSKINKTAYMSKRKSSSSDDERRGHHAAKRRPKLAKKKKHLYLLVDDWERGYSVRKLDVDAFDYCDAAETDDLLPLEQHQYFTDPPVARIEALHEVSCHLTSHGTKIFAMQPGEGKPAIPGFDTHTHGVTICPWPSCQGNNYSNPLFVSVAGDKLFLFMDVLAEVLGDQPPYDSKAPWSWTTIKQARPPFFTGKVLCHAMHPDGRTLFVSAGSRRRRRPNRREEHYYSESESEPEPESESSEQGQGTFSFDTERLQWTKHGDWVLPFSGQAYFDAELDAWIGLCGERNCAGFLCSCDVVAAADEFITGASPPSWKLGQEKLFMNRQQLHLGVKLLYMGDSSFCLVESLIHKEDDHLFRDESILHVDSQFPLPRRRVLCINTFGLKYNKKGELQTKPRRAGACITYKRPHDFGESLSLTPSAFWL >Dexi3A01G0025550.1:cds pep primary_assembly:Fonio_CM05836:3A:21279155:21281389:1 gene:Dexi3A01G0025550 transcript:Dexi3A01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSSTTVKMASDGLWQGENPLDFALPLLAVQIAVILAVTQGLALALRALRQPKVVAEILGGILLGPSALGRWAAFRRTVFPPWSSPALDTVSGLGLLLFLLLVGLELDFRAVRRVGPRAVAVAAAGIVPPLLAAPGLVPLLRLAVDPSPSHHRAGTFLPLCVFVGAALSVTALPVLACILKELGLLATPLGETAMAAAAVNDVFAWALLALALSVSGGGATAGPSCHNLAPAYILASVLAFVAFMLCALRPLMARLARRAASPPLSSSSVSGSGAAVVVACALLAGAATDAIGVHPVLGAFVFGLCVPREGGLAGRVGEEVAPLVTGLMLPLYFASSGLRTDIDAVHGAAAWGMVALVLAVAFVGKFGGTFAVAAWTGMPRREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMTALYRSTPTETAPENDGTELKGGGDACPA >Dexi6A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:6A:24680384:24681925:-1 gene:Dexi6A01G0016820 transcript:Dexi6A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAATRRKLQRKFRLRGFTLKVDALEEAAAFLERFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDAASPAVTSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLAIHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDTVVAEDSSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAIS >Dexi6A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:6A:26970416:26971798:1 gene:Dexi6A01G0019330 transcript:Dexi6A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAERDGSNEFANYQPGSLNTTDTLVKDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERTWTNSGGFYDGKDSGGECGVPAETMYYYPAENRAKFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEECLSTVDRKHQPWLIFAAHRVLGYSSNAWYGMEGSFEEPEGREHLQKLWQKYRVDIAFFGHVHNYERTCPIYQSQCMTSEKSHYSGTMNGTIHVVAGGGGSHLSDYTTAIPVWSIFRDRDYGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVDRDYRDVLSCVHDSCFPTTLAS >Dexi1B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:1B:2992417:3001881:-1 gene:Dexi1B01G0003780 transcript:Dexi1B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNSNLLTQGKLVDLKMGKDKLWILKESGSMLYEILQYQYDTKTANICSYVLQEDTISEQLFQSSDNALDDLVWTADSMFSLQEQAFTFISSMFLRRLLQPGVNHCSALRETLLEHKRFLSDSEFQSLTANGLRKEILSIIEQEGSPQTASATAYHWKRFCARYLHNWCWNNKPYGLLLDTNNEVFGLIRKGSFSLFRCLESVEMLIYGSSDELHNIGDLGMSLLDDKSDSELLNEVLRCMGHIHHLVGRSSAALYYESLISSVISSDEIASQIVKTLETGFSPQSSSSLITLLGRDAYVERRQAAHKSQRKFSVEMLLSFHKLQSRSTSWSVVFDVVEKFMKCLNTNINTQDYESKRVCNVNSVLLVQATSQVARTMFECTFDLFLFLSYLVGVGGQVSLLQGDVARIKLKLFPMIQDILGQWVVLHFVGISPTSPPTIEDFSYQLSSLQLVLIRHGQYEAAQNLLGILETYLNNEKVSSVGKDADTVCSAYLHLNGFCLLMLAHDEANTVLRESKVHDAIRCFYRYYAFTMNCYTEPH >Dexi9A01G0034600.1:cds pep primary_assembly:Fonio_CM05836:9A:39369616:39370101:1 gene:Dexi9A01G0034600 transcript:Dexi9A01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNTSWPCDSSPACAHADRALKKVAWFGVTSRRRRISPNTWRASSERPFFPYPSTIDVTTFLSGIWLKTPRPSSTQPHLAYMSTSALPTLTSLKRVLFIAWQCTCSPSSGDDKREHAPSTLTNVDTVGRNPACCIALYTSREPSAVSSALLYPSIMAL >Dexi5B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:5B:3193826:3199708:-1 gene:Dexi5B01G0004730 transcript:Dexi5B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDPPIQQNAALASALSAKMHEDRMKVTVQTQRDVSDEASMKQRLTESIGPLLESNPTSVLKSPARPALASGQIFHRSAGGMSGSLPQPQTRSEPLRGSVQLISGYEGRNKCSFESESSRYKWVTIWSTRPLFGLEQLRSGFLQQKAYMHSPQPLQHQLQFLTQQQQVLLQAQQNMTASSVEMDNRRFQMLFSTRNFVPGRDGRSNAFTEIIPTVGQSLQNMCLPMQGTDTDILMKKIAALQQQKQQQSSSQQQLLQHPLLNQQQQNSNYHASEQEKMGAGAVTVAFHGHEPVDMDHFVEDGSMSMEDNVEPFLSHDDTDPRAVGSHCITSSKGYILQEMSSAQASTSSVLCCHFSSDGKLLATGGHDKKACGVVLLWNGETLTQKSTFEEHSLLITDVRFSPNTPRLATSSFDKTVRVWDLDNQGYSIRTFTGHSASVMSLDFHSNKDDLICSCDGDNEIRFWSIKHGNIVRIFKGGSTQLRFQPRYGGYLATASDNIVSILDVETQSCVRRFESLELWDMAENRSMTIAAHDSLISAVASSSSGLVASTSHDKYVKLWR >DexiUA01G0006430.1:cds pep primary_assembly:Fonio_CM05836:UA:12369182:12377304:-1 gene:DexiUA01G0006430 transcript:DexiUA01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTRLLLLARRADRRRSLPLLLPRTVHAAAAVEGPSPTAPPPPRLPASPPVRSYSSAFTSVHGGRPSSEYAKIRKESLETQFGRILGSNVIFQIATGKLIKCPFTLQLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALRTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLRSGELVAVKVQRPGMASLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYIMEGKNAERFATLYSHGSGGHKSEGNTSIKAPKVYWNYTRKTILTLEWIDGIKLTDAERISKANLNRKRMIDELVHYVNRDSLGLANDFHSLGFVPEGTDLHAVAAALRISFGDVRRQSTDFQGVMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICGDGSIRWNRLERLISAISAQSANASGSGSGSAENANGSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFDKDGKMGELNPERSKMIRRLVNAVQSFRQAIKLAPDAWSAMLIRTLLKPESQNFILDVFFSLASHSSYKIPETFWLCMSRFLNYLDKQDTL >Dexi4A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:4A:4119701:4121660:-1 gene:Dexi4A01G0005750 transcript:Dexi4A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEHRAGAGGRRGGTADPVPDPEEDRLGGHLAGAEMPEPVPVIDLFRVSGSHGAAEAEQEAAKLRSALHSWGFFLVTNHGMETSLMDAMATASRAFFQLPLEGNQDHSNLKDRKHWRLEGYGNDDVNAQDQILDCDLLHEYTVSCGRIKDGILRAMARLLELDDDDCLIDELGDRGSTYARFNYYPPCPRPDLVLGIRPHCDVGVLTLLLMDRDVAGLQVLRDGTWYGVPPVGHGYGLTLASLWRSPVHRAVTNSEQERISLAMFYAADLEKEIEPLAQLLDEKQPARYKRVKCRDLLAAHREYFARRERVVESLKIQQVYPCHAFACKGQ >Dexi2B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:2B:4149513:4149867:-1 gene:Dexi2B01G0004570 transcript:Dexi2B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHDQLAPRPDPQTCRNPATSDTEYKRQASAVEMNNTSAVAGSSCHDRITVQDDENLMGDIHALFSEIEARTTERYGAYVSKLKAENSKLIK >Dexi1B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:1B:21393840:21394373:1 gene:Dexi1B01G0014970 transcript:Dexi1B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTARLLAAGAMVALGCLAVGAGATVVTTCRAAADSDARVDYRFCVAQLGRHRESPDADIWGLAKVAALTGIINADNAVYDAKKMLVKPGTAGPKRAALEQCSKLYDSMGYAFAKAVGELNYRRYGSAKEKAASFAHQCDDALAKAGAVPSPMAKRSSYSVKIAIICTAITNLIK >Dexi9A01G0025230.1:cds pep primary_assembly:Fonio_CM05836:9A:23258267:23258560:-1 gene:Dexi9A01G0025230 transcript:Dexi9A01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDATKVNTVVMASTTAHCATWWGAERSTTVSPRPRQASEAMMPARLSLLRPMRSTSRMAARMNTVLVTPTPTVAASTSFRDAMPAALKMRGL >Dexi4A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:4A:87411:90993:1 gene:Dexi4A01G0000100 transcript:Dexi4A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLVRSVNASEVIEEEVQNRPDEVVIQGQASEVVNLSVNGICNVSDGADSQGDASLAVHSLEPSGGDHRSTEHVDEESTVGVAPHYGTSEHLGDAAVEVDTENRNTALPDAPHFDGPTLVSPSRQATLPVSGEVEIENNLVTQSAEQSLVSSQVSQGETEQADLSGVASDRSLQSERQQLIPVTNNLLERAQSYQSQPSHQTDAPPGSVQSAALFPVASMMFNHPPIDAEPLKNELHRLRLHMDTLNKTHELKVLIFVFFCQSLFVYADSHIPMFNQFHIGQKTQLRMECSQEIEKVKRKYDILIGQHDSNHLQQKKTLDDCYEKVLRNQSLAEDFRAKFISPSATQARAHAPRQTPQASQQVPMRPLGLGSSAPSVALSSTCLPPAPTRLRVQAPQMEQPSSSQSQLSRPSLPSSQVVPRAPLIPGNLLRTSPATLSQMPPPRGSFGVQSESAPRAPAPHLQFKSPRADPTPAGNKQQQVPTTSPRAAALAGNPSPSESDSRVATSGMPSLRPVLPATSLPSGSRTSHPGQRVPAAPNPALQVPAAGTQPSDSLDAWLTASLGLRATAPASNGPGVDVSCLCWLCSKTAAAQILCAHALHAAAQLHPRAPLCHAALRCHHAALVSSEAPQPQQELEVWLAKKLLLLDQQSSWGWAAAGPGSGMSLRKPRRCLVVTGNLIYY >Dexi3B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:3B:5838491:5840759:1 gene:Dexi3B01G0008300 transcript:Dexi3B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Dexi1A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:1A:4526782:4530816:1 gene:Dexi1A01G0006050 transcript:Dexi1A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVWQRSSKDMTAMPPLRHRGAAKKPMWIIVLLSLGHDGRYSIYIHASREKPVHSSSLFVGREIRSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHSFDYIYNYLMGTNVSFIDCFLDPGPHGTGRYSMEMLPEIEQRDFRKGAQWFAITRRHALLILADNLYYNKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYELLKNITSVNEMLHITSDDKKVVTVAPCMWNGTKRPCYLFARKFYPEALNNLLKLFSSYTSA >Dexi2B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:2B:5885578:5885820:-1 gene:Dexi2B01G0006290 transcript:Dexi2B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLPSTRRGIAGHLRLCAAMHAATARPHVPGQGSSISAQAVALQAAGGGLLHRCCRSYSCGGVLEEIRMRGFEERREG >Dexi5B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:5B:1089380:1090071:1 gene:Dexi5B01G0001740 transcript:Dexi5B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCEIDEEYLMTPEEKMLHLRFYDECPYKDLAKRFMWWEWEDKVAAVLHVVRCREFTDWDPKIQFRKPNRMCEYNIAFFDLDEESKIVHGPLFRDIPPSRYYFLDESINVISIKVAESDVRYPVRIYGTVLARDLNDYRCVYLFKRGRDDPQLITRKNLTC >Dexi9A01G0026740.1:cds pep primary_assembly:Fonio_CM05836:9A:30371984:30376254:1 gene:Dexi9A01G0026740 transcript:Dexi9A01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDGGGTGGANGRDLSGVPPCGQVRVLVVGDSVARPAQTIGCAVGVKHITYSSPGSSSNSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLSPSEELPLADSFPGNSGLFTVKLSKNIICCLMSYKLTAYSVVAAKEARYDKEALIKFFRMLIRRRYFSNELPTPSPWSLAPREDTILPVETVNDDDLFQRKSYGGQSYKYNGVAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDSSGGKTSRADINV >Dexi6B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:6B:24723085:24724431:-1 gene:Dexi6B01G0017550 transcript:Dexi6B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPSPGPGRRRRSPPNRGTSPRRVADGRRRSAGACREATASCWSAGEPSHWHSLLRRQLRRGEARREAGVSHQEPATSPPPQPSSAMEHQDTKTLGSVFIEFKLDYAETKNLAIGDSVTSEDFSAGGNLWRIFCYPRGFRTEDKGEYVSMYLHLLTGSRKVQAIFHVSYLSRNGVPSYSHEKRLVRVYSSEGSKSFGWTQLLPRNLLESNYVKDGWVTFLCNVMVVSSDTITVPPSNIGCHLGQLLDCNVTSDVSFIVEGEKFQAHRAVLAARSQVFQAELFGSMADATSSSIVLEEIEPATFRAMLVFIYTDELPEDVDLGDSPTEMMQHLLVAADRYALDRLKLLCAQKLWDIMSVDAFASTLACAEIYNCPELQSKCMDFFAVDKNFKKIVFTSGFTWLVLNFPDLAAKLKERVDI >DexiUA01G0025810.1:cds pep primary_assembly:Fonio_CM05836:UA:54353538:54354230:1 gene:DexiUA01G0025810 transcript:DexiUA01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAVVPPVAEDCEQLHKAFEGWGTNEKLIISVLAHRDAAHRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLEPAERDAVLANEEAKKWHPGGRALVEIACTRTPAQLFAARQAYHDRFKRSLEED >Dexi5A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:5A:6381137:6383049:1 gene:Dexi5A01G0008580 transcript:Dexi5A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPRQKCGADFARRVSGAATTLARPEAMLEQNKRGSLLDNGENAQSSSNKKPRPQASPTGVIKHEQLAGEGRREEEALEEGEAGHGVLCGPLPVIADAPQLNNLRMGLELFHCQACLLPLKPPTFKCEDGHVVCATCRVSHGQACASASTYNPSAEVDAFVRDAKLPCAFQGHGCYVVSYQASDHKRTCPWAPCYCPVSGCQFFTSPPRLAEHFRTAHTSWPISRVSYGKPYKIPVPRPATQAQVPATTTALVSVVCARANGDAALGVAQFKCTLWADAQRGSGTVAMLTFPVGSSDLSGGFSPEEQGLFLAVTPKMHDASGEGAGLVVRIDRAASSSG >Dexi6B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:6B:18726318:18726578:-1 gene:Dexi6B01G0011510 transcript:Dexi6B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRTLPAATSRFACETPIEAFAQPPPSGARSSPFRATMAVDASAGPGSAPSIPPPPPSIPLAAAAANSTAGTGLVDFCSWAQKI >Dexi7B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:7B:18847750:18848055:-1 gene:Dexi7B01G0011930 transcript:Dexi7B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEAARAATPTSNSSSTARRDPVLPPVMAAGFPSLASPHPFGDVMMENTREVNGDRWNSLVSKAASCGSTYRASVAGELPLAGHEERRAAASRHPGLAN >Dexi7A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:7A:22966942:22970712:-1 gene:Dexi7A01G0012780 transcript:Dexi7A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAHHPLRRARRVGWARRRSCRCVRGPSGLVARRVGLPTRGPFHRSKQDGSSSLRSSLLCAAKKIPGADACFPNSEFATAHRIPRSASASAAALGSVRGEEMASGNSYEGVLLGMGNPLLDISAVVDEDFLAKYSIPTQYDVKPGNAILAEDKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGVNAHYYEDDTPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFLMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFAKVRGWETENVEEIALKISQLPKASGTHRRTTVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVVIQRSGCTYPEKPDFN >DexiUA01G0002530.1:cds pep primary_assembly:Fonio_CM05836:UA:5746578:5750291:1 gene:DexiUA01G0002530 transcript:DexiUA01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLVAACFAFLLLNAAESRRCNCDDDDDSEQSSYKLFVFGDSYADTGNLLDGDLNWGTRSWHEPFGMSDADHDNKPTGRCSDGLVQSDFLAKILGLDDAPTPQRMRRNEGVDLSSGINFASGGGALVGWNLDTQIDELRKLVRHGIIDKNLTKSVALVAVSDGSS >DexiUA01G0019900.1:cds pep primary_assembly:Fonio_CM05836:UA:41355517:41356378:-1 gene:DexiUA01G0019900 transcript:DexiUA01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVAFWAAVATLLMLPSSFGGAAPAAAAATGPAGRNCTTSCGSISIQYPLGIEPGCYHGPGFNLTCASRGHGPPELFLGDGTVQLLEISVEHSTLLYHDNNSSRTTSRTWGVGIPERGPYFLSESTNILEAIGCNIQVNILAGVNNRRPGWGFVSML >Dexi3B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:3B:15593363:15597206:-1 gene:Dexi3B01G0020620 transcript:Dexi3B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKAIFRAKLRETKEKQQRRIDPSLVRYNEFDQPICRVCNITLKSEALWPAHQVSRKHHEAKAAAATKAAAGAASRANGTKQEQPVESHKQKSSTLPTNFFDNQGTKRQSDDTGSEGRSVRREIAVTQPKAKEASVDKPSRLDQMTKKGTQTNTNAKGVLPGNFFDYEDEDEAPAPNELSTSGNIANSNHMQVKGVPEGFFDNNKNGNGMQSSEPSSLPKEAKSSETAQVKASLPEGFFDNKDADLRARGIQPQKVDMNDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQQEYRQQVDMLKKQLIESKATRIARVNSKPVGMDMESSSDSSSDEEDDNTDFAVDWRAQHLK >Dexi1A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:1A:1108236:1110309:-1 gene:Dexi1A01G0001660 transcript:Dexi1A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLINLRDDKQFFVDHPGAVPITTAQNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >Dexi8A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:8A:7646796:7649616:1 gene:Dexi8A01G0007090 transcript:Dexi8A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVYLDYTTKESLKDWQKEWFYAWNHQPQLQSRSGNPPVMKPLPPLDPTNTEEAALLARCVDPKVRDQGRPSKPTAIEDPDEPMIKLEPHDPEESQSKLRATSRGAERSKRPAPSEAQALVPKRARTLPKPRARTIPEERAKVSPQPRTTSSVGISIGEIGTSMPQQGSSARRALSDEEIPHEIFNPVSAPFEGRTPIIEEPCPAGPTVAEQEVEEEFTLGEPEIPMRPSTMVESSVDHAAVEPEAAVPEEPRVVPETTLPEVQAAEPSNPPAPEEAQVEETVAEVLADIEQLVTQAVIEESELERHDQSSAQPPSVTETTQAGAEAKYSRGKQTEATTPEQMIEEIPRVPKSTGGEEEGGSFRIGSFDPMLNPNPQTFEYILDAEEDEQHIDRGLYHAERAVAYFKASRKKTEYLHNISLMHAKADRLQKELEREREDRKLQEAEDADMIRTLHLRTKELAAEKEDMKKKLATAKTELKGVQQQLATAQSKMTDWSNLANRHREALKTLSAEHEDIKEELRVAVKQRKDADEQLIQVLEQQKQLAKNLEDAREENNRLSSELVQTQKHLEDKKVLDEKLEQAARRMSELEESLRLMKKSDDDLAEALNRIALLEKAANPVVKALVPEDPSAPLSFLERLKAMPRQLKAYIKRSSKACLVHVLAVVKSRYPEVDIGKLVEGAEPNCTESAFRDLKQEAEPVAEAIAQSLRL >Dexi3B01G0038260.1:cds pep primary_assembly:Fonio_CM05836:3B:41050804:41051798:1 gene:Dexi3B01G0038260 transcript:Dexi3B01G0038260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPNPNSAKVACSFCCNYSRQQLGAPDLGQKLKEETASKNQTELHTANSRAAMAKLAGTANKLTQTLELSPIFQFLIREREKTGDGEITSAGRGSGDIDGGMEGGEGLTAAAPPARPPRGVRRCGQMGTKMEAFPAAVRGD >Dexi4B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:4B:5864885:5866433:-1 gene:Dexi4B01G0008100 transcript:Dexi4B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQAQAQAVTPGRGDASTSAAAGSNDAASAAHFVFVPLREQGHLIPAVDTALRLASHGAVCTIVGPPSTASLVRPTVESAQHSGLHVRLVEFPLDYATAGLPEGTDNADAIPLQHMWSYYRAMALLCSPIESYLREHTPYPTCVVSDFVHPWTTELASSLGVPRLSFFSMCSFGLLCQHNLERFNAWDGVDDPNQPVVVPGMGEKRFVVTRAQAPGFFRGIPIRCWEEFADYVERARAEADGVIMNTFEEMEPEFVAGYAAARKMKVWTVGPVSTYHQTRTTLASRGLRKSAIGHWLDGKEQGSVVYVSFGSISQAESKQVVELGLGLEASGHPFIWVVKNVHEYEESVREFLVELEGRVAGRGLVIRGWAPQLLILSHDAVGGFVTHCGWNSTLEAVTAGLPVVTWPHFTDQFLNEKLAVEVLGIGVSVGVAEPLTYQAVKKEIVVGRGVVEEAVRSVMGGGEEAEARRRRARALADKARAAAREGGSSHANLLDLVKRFRPGAARDASA >Dexi9B01G0039470.1:cds pep primary_assembly:Fonio_CM05836:9B:40142641:40144901:-1 gene:Dexi9B01G0039470 transcript:Dexi9B01G0039470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAEDGERRLGRVLSFGIPDTALGLVMGYVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPERLFRRFPCLESLKLKAKPRAAMFNLISDDWGGSASPWIRQLSATFHFLKKLHLRRMIVSDDDISVLVRAKAHMLVSLKLDRCSGFSTPSLALVARSCKRLETLFLEESAISEKENDEWIRELATNNSVLETLNFFLTDLRASPEYLTLLVRNCQRLKTLKISECFMPDLTNLFRTAQTLQEFAGGSFEEPDQQVVNRNFENYYFPPSLHRLSLLYMGTNEMQILFPYSAALKKLDLQFTFLNTEEHCQIVQRCPNLETLEVRDVIGDRGLQVVAQTCKKLQRLRVERGDDDHGGLEDEQGRISQVGVMAVAQGCPELTYWAIHVSDITNAALEAVGTFSRNLNDFRLVLLDREVHITELPLDNGVRALLRGCTKLQRFAFYVRPGALTDVGLGYVGEFSKSVRYMLLGNVGESDNGIQQLSRGCPSLQKLELRGCLFSEHALAMAALQLKSLRYLWVQGYRASPTGTDLMAMVRPFWNIEYIVPDQDGPCPDFRKQILAYYSLAGRRTDCPPSVIPLYPAF >Dexi3B01G0028720.1:cds pep primary_assembly:Fonio_CM05836:3B:27236886:27237639:-1 gene:Dexi3B01G0028720 transcript:Dexi3B01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSPLLFFAVVVMLVLLPSPSLSGDPDLLQDICVADLTSSVKVNGFACKATATEDDFYFKGLAAPGNTNNTYGSVVTGANVEKMVFVLQGTLYVGFITTGNKLVAKTITVGDVFVFPRGLVHFQKNNGDAPAAVISAFNSQLPGTQSLAMTLFAAMPDVPNNVLTKAFQVGTKEVEKIKSRLAPKKS >Dexi2A01G0027120.1:cds pep primary_assembly:Fonio_CM05836:2A:38539458:38540732:1 gene:Dexi2A01G0027120 transcript:Dexi2A01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPPSSPSCLEDLPDDTQRLILDRIPCPIDRWRMSQVCPAWRDMMRRQQSQLAGPLLQRPPPLPWLLLRAPFTVGGLVPSTRVACVLSGCRVHHYLNIVPPDARCFGSHKDAWLFLSTHQPSAHIALNIHTRDVCEFPRYLLRQTDEHVQRLVIHAAALSHSPEDQRCVGAAIVTSWRDPPLGVVADLSQRHRCVAFWRRDWTRALDFVPDGDGDAAMDAEDVIYLYHSGAFVFVTQGEHLRQCTPRPSSQFGANLLTPEWEVFHFRPRGGLCDQHVRARYLVVTKGELLMVVRFTPHPNQPTSMFKVFRSKKRDTQDADANFPVAEYPWAWSELDTLGDRILFVGHGCSRSYRTDEYPGFKGGIYFLDDGKFYDDEVIFGNGNVRRYPCSDNGKWSEGHVERCFPRPDPSDHSAPVWLLP >Dexi1A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:1A:27339006:27350617:-1 gene:Dexi1A01G0020520 transcript:Dexi1A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDAKERQAGVERLHEALGAAARRGLSAGEVTSLVDTCMDLAIDGNFRIAQGGLQALSAAAVLAADHFKIHLNALVPAAVERLGDGKQPVREAARQLLVTLMENGSATLSIFQVSSPTIIVERAGSYAWTHKSWRVREEFVRTVAAAVGLFASTELPLQRVLLSPVLQLMNDLNPSVRDAAISCIEEMYRNMGSQFHEELQRHNLPAYMLKEINSRLDKIEPNAPSFDGARMQCRAKESRSFNANTKRGSPRKKGTQMESTLFGGKNMFTVLSCIASFSFIGGMDINEKLVEPIRVHSEQELVRDFEKVASALNPEKDWSIRISAMQRIEALVYGGAINYPSFLMLLKQLACHLLNVLIKELLGDFEPFAEIFIPVLFKLVVITVLVIAESADKCIKSILRNCKVSRVLPLIADTAKNDRSAVLRARCCEYALLILEYWADAPEIHRSADLYENLIKSCVSDAMSEVRATARTCYRMFSKTWPERSRRLFMSFDSAIQRYFNQIFTTVIEVLDDVDSSVREISLLLVAEMVQNQIDSMEESIEIILEKLLHMTKDNVGKVSNEAHQCLYIVLAKYDPLRCLAIIVPLLASDDEKTLVVCINCLTKLVGRLSQEELVTQLPSFLPAVFDAFNNQSPDVRKAVVFCLVDIYIILGKEFVPYLEGLSSMQLRLVTIYANRISQARSGAPADAAQ >Dexi1A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:1A:4855265:4856175:1 gene:Dexi1A01G0006440 transcript:Dexi1A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATPKPAAAGCGGRRRYALLLALWDSDYATKVYGGYHNVFVSAFGSGAGERWDSYRVIAGEFPSLDDLASYDGFVVSGSPHDAHGEDPWIHRLCGLLRTLHAMGKRVLGVCFGHQLLCRALGGRVARARNGWDVGVRNVTFARGLVNNGSLGFLGDDLGGELELLLPTTSAAIVEVHQDEVWEIPPGATVVAYSEKTRVEAFVVGENVLGVQGHPEYTTDILLNLVDRLTGHNAIDTSVGDEARRTVAVTGGPDRAFWTALCKGFLRGRGGGGAGPLITESISAG >Dexi9A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:9A:13941218:13946838:-1 gene:Dexi9A01G0018920 transcript:Dexi9A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVHWTCSMCTFSNHESMVYCEMCGVFRENFVKSAKDGSVKDAVSTVSNEPRVSASPKIDSSKTPMKTRVVASDGDSARKHATNSTHVPSAGSSLGAEKKNKTHVLSEEIPVERTALLVPGHFQRKTDKSGGPSSSSQNDYVAQKLSSDISQLNLDKNNANITKPCLPEEYKPEKWMLADQESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKDMHKNEKESKEKGKGSFAFAWAMDESSEERERGVTMTVGVAYLETKEYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASNGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLVVAVNKMDAVAYSKERFEFIKLQLGSFLRSCNFKDSAITWIPLSAVENQTLIKPPSDAHLTCWYQGPCLLDAIDSLQLPSRDVTKPLILPICDVIKSQSTGQLAAFGKLETGAIQNGSKVLVLPCGLEATVKTIERDTISCSIARAGDNVAVNLQGIDGNQLIPGGVLCHPGFPVAVANHLELKILVLDITIPILIGSQVEFHIHHVKEAARITKIIALLDKTGKPSKSAPRFLKSKQNAVVQVSLDGAVCVQEFSKSRALGRAYLRSSGRTIAVGVVNRVIGQDEN >Dexi5A01G0029060.1:cds pep primary_assembly:Fonio_CM05836:5A:32238649:32238906:-1 gene:Dexi5A01G0029060 transcript:Dexi5A01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRGTAAVMIMCLLVVAITLADALPPASPLVCDKVYGERETCFAVSQAEGLPLKKFLRFNPNINCNNLFIGQWVCLHAHHA >Dexi8A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:8A:26425278:26426793:1 gene:Dexi8A01G0015340 transcript:Dexi8A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTTNACNTATVPQPPPCKGKLITILSIDGGGIRGLIPATIIAYLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDKNNRPLFAAKDLNTFYLENGPKIFPQKKAGFLTPVAKLLGVFRGPKYDGVFLHDKIKNLTHNVRVADTVTNVVVPAFDVKYLQPVIFTTYEAKSDPLKNAHLSDICISTSAAPTYFPAHYFKTEGPKGESREFHLVDGGVAANNPTMVAMSMLTKEELCHNPDFNPGRHDNCTDYRNYLIISVGTGSSKQAEKYTAPECARWGLIQWLYHGGFTPIIDIFSHASSDMVDIHASVLFQALHCEKNYLRIQDDSLRGDASSVDIATKDNMESLIAIGKELLKKPVARVNIDTGVYEPVYCEGTNGEALARFAKKLSEERRLRQSNLNSY >Dexi7B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:7B:24517522:24519730:-1 gene:Dexi7B01G0018880 transcript:Dexi7B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAALRFTSATPPLAPLHRPLRRRLPSVRCSLAAAPGVRAPPELVDSILSKVKGTDRGVLLPKDGHQEVADVALQLGKYCIDEPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLLFKTDEMIQVVEAPDVVRNKVSFSVFGLEGAVSLKGKLNVLDSKWIQVIFEAPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRRG >Dexi6B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:6B:5496246:5496821:1 gene:Dexi6B01G0005890 transcript:Dexi6B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGTMANAASTAPACPSTKFSRASDGRRPCHVRRHRCRATSSRIRAATSSGRDDTTTNLNNNLLWLPRRDVLAGLTLTGVAAFPGVALADLPTVYESCGRGESKVTDDLLGCDVINNLPCPPRQNVEVVNFADLPRPKNVRVRRPAHELTDDEVARYKKALAKMKELSPSKPSSFAAQAAIHEAYCDGH >Dexi5B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:5B:1363301:1363813:1 gene:Dexi5B01G0002120 transcript:Dexi5B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSWLFSDSSRYSTRARLLFMGLSFAIGILTFLLYLALWYICTTRRRRQQRLSAAVLAAAAAADANGGGDRGMSADAIAALPTFTFSVDGDEVAALDCPVCLGQAEAGDKVRRLPKCGHAFHAECVDAWLRAHSTCPMCRAAVGPPAAAVATKAAAAPATAEALPPV >Dexi3B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:3B:132088:137053:-1 gene:Dexi3B01G0000130 transcript:Dexi3B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASDRPFEAFLAATRGAIAHLHLPIIHIPGSNSSPKEHKQKQQEPEPEPDCFLHLHVVVTNFLHKPLRSFSRCLAKPKRRGGKHSRPLRDREPSAAAAPQQQLEHLLCIAFDAFAHSLHVLECACKQKGAEFGIATRQFHQFEFLRKVTDGKRADFDGFLSNLGFAKVGALPPPAAIMGAPPDPAQVSDQEEDGGGIPGDGVDNANGTMQPSQKLPGRLLNIPLSNVERLRSTLSSVSLTELIELVPQLRSRSSTSADGHPDKKKLFSVQDFFRYAEFEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRSVGLPANEDNAAAMLRYLNADSDGSISYGHFRNFMLLLPSKRLEDDPRNVWFEAATVVAVPPPVEISTGSVLKSALAGGLASALSTSLLHPIDSMKVQSVASFCSTVLGTAVRIPCEVLKQRLQAGIFNNAAQHVMKRDLEPWEIVAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQMIVFSILRNEGPIGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKDESESRE >Dexi7B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:7B:24092363:24094847:1 gene:Dexi7B01G0018260 transcript:Dexi7B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDAFSAAHPFRWDLGPPAHAAPAPPPPPPPPPPSLLLAPPAVSAPIRELEDLVAGYGVRPSTVARISELGFTASTLLAMTERELDDMMAALAGLFRWDVLLGERFGLRAALRAERSRVLSLGVGVGRFHSGTTLDAASQEALSDERDVAGSGGVADDEVGRRMVTGKKQAKKGGGAARKAGKKARRKRELRPLDVLGDENEGDEDGGGGGSDSTESSAGGCGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRVFLLQVQSIAKLGGHKAPTKVTNQVFRYAKKCGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVDIASRHGFDVDAVFAAHPRLAIWYVPTTLRQLCHQARSSAHAAAGGLPPPPMF >Dexi5B01G0028630.1:cds pep primary_assembly:Fonio_CM05836:5B:29985707:29987143:-1 gene:Dexi5B01G0028630 transcript:Dexi5B01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPASCRSASSRRPRASSPAPPSFPSWRRHGGAPPFASPAACPPPPRALAAASYGYGGDLLRPIDTQTIIIAAAVVSAVSLSLVLGLKGDPVPCDSFYT >Dexi2B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:2B:15528903:15532282:1 gene:Dexi2B01G0012270 transcript:Dexi2B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKKKKLSESLSSPKVISRSNSRTSGCSGEQSPQPSVDGDDAVFIGLASELKDEGTRLFQRRDYEGAAFKFDKAVKLLPQGHNDVAFLHCNIAACYMHMNPEEYNRAIEECNSALEASPTYTKALLKRARCFEALDRLDLACGDVEKVLSLEPNNVTASELHESIREVMEGVFLDKQVASPDEPAISTMKERIQRRVSRKFRNSIVEEEVWEMIHEERDHEKMDGEKDNSKENHIMDDFIQEGNDADNIQEKHNQEKHEKQTEEGKINNGLYKLGGPNERQQQQHSSWVMEEMNQKQRHGQDNHEKHIKEILVKGIQLDKGNHTSQSQGSSVGRRKKNFEVGSHSKQEKHTEEKYERYTNLNQGKHYLEEKYERYMPEKPITIRTANHGTDKQTKYTRENHEDVREGVKKKFKFVHGDDIRIVLIPENCSLLQVMDIARYKYNPHLKSFLLKFMDKEGDLVTITSTEDLRWVEDLYPQVPVRLHIKEVSPEREITRDLVMPMSSFTAQEQNYYSTSECGSSRKEDERNSCTEDWMVQFARLFKNHAGFDSDACVDLRDLGIRLYYEAMEDTITSEEAQEIFQAAEAKFQEMAALALFNWGNVYMSRARKRLILSEDASKESILAQVKSAYEWACTEYVKAGKKFEDSVDVKPDFYEGLIALGQQQFEHAKLSWRYADTCKVDMGTEVLELFNHAEDNMEKGMEMWEGIEYLRVKGLAKSRKGKTVADKLSLNEQGKDLSPDEAFEQASNMRSQLNISWGTILYERSVVEYKLRLSSWEESLQEAIEKFKIGGASVADISVMVKNHCVNGNNQEGLSFNIDEIVQAWNEM >Dexi5B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:5B:7635462:7635900:-1 gene:Dexi5B01G0010810 transcript:Dexi5B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEPRRPYKRPAISDQQRRRELALQAQSARRADAQARARALASSLLTTPTPPAVTHCHEEEHAEEEQEQEHTVADVAAAASKLRGSDARRWFARQIMLPEWMVDAPPHLASDCTV >Dexi9A01G0038250.1:cds pep primary_assembly:Fonio_CM05836:9A:42580458:42583475:1 gene:Dexi9A01G0038250 transcript:Dexi9A01G0038250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAELKRSLYALCSQYGRILDVVALKTQKLRGQAWVVFSEITAATNAFRGLQDFDFYGKKMRVQYAKTKSDCIAKEDGTYAPKEKRKKQEEKAAEKKRRAEEQSGPNAATAQSNGTGYQPSRLGKVSQEPLPPNNILFIQNLPDQTTSMMLQILFQQYPGFREVRMIEAKPGIAFVEFEDDSQSHVAMLALQGFKITPENPMAISYAKK >Dexi5B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:5B:5108832:5112669:1 gene:Dexi5B01G0007580 transcript:Dexi5B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRAQFVYNRRRRRTGGRHAVEEASDEEEQRQDTSDSSSDEEGDEEKEAEASGEEVDDDDEDEEVEGEAAASEPAAKKHPATAAAGEKKAGRKDPITISLKKVCKVCKRIGHEAGFKGAVYIDCPMKPCFLCKMPGSHIYVPCHTTLSCPHRVAMEHGVIPAPRRNTNTSLDYVFQSQVKGKISMVKPKFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKIDTANDGILYTASSDGTISCTDLDTGIGSPLLNLNPNGWNGPSSWRMIYGMDLNTEKGLLLVADSFGFLYFLDRRSKTRVGHPILIHKKGSKVTSLHCNPARPEVLLSSGNDHYARLWDTRKLEANSALASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGDLESPSREIVHSHDFNRHLTPFKAEWDPKDYTETVAVVGRYISENYNGVALHPIDFIDTSSGKLLAEVMDPDISTISPVNKLHPQDDILATGSSRSIFIWKPKTEDELTEERTKQKAKEYVYGSGSRKKSNGKHDNSSDDSDGDSGGKNKKARKTRFTHTPKGKGKSKV >Dexi7A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:7A:13643271:13645492:-1 gene:Dexi7A01G0003610 transcript:Dexi7A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPCRLSAPAGHSVISSSRPPATKPHKAAATNLIWLGCVAPASGRELRATASAPLRRCHVQGFGIEARPLRSKGERRRAASSREQVSCGLRVAVPDQACVVRRAGVRREEEGATGGSPPPAASAGRSITRGSGRLPPAQPRPSSADPPILRYSTPAAAAALDLLLVLAPPPTLTLLSPDLEPAYLLVDERYRGEILGH >Dexi3A01G0034200.1:cds pep primary_assembly:Fonio_CM05836:3A:39444894:39448239:1 gene:Dexi3A01G0034200 transcript:Dexi3A01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSQSLVFRAPASLPTTRLAAPSSAGRAALRGRAASFPPVAVAVAASTSTADSDSDERKEAKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLASQGLITAGDRDIILEGLDQIERLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDRILICIKQFQVSLVMLASEYFDLIVPGYTHLQRAQPVLLPHHLLSYVEQLERDAGRMVNCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNRQVADFLNIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNISFNSKRIQSSLPGGHPDATTLADYLVKKGVPFRTSHEIAGKSVALCVSKNCQLAELQLDDLKAFHPGIEADVYGYLGVENAVNKFISYGSTGSNQVKKQLEDWRIQLGISS >Dexi3B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:3B:11982856:11985596:-1 gene:Dexi3B01G0016460 transcript:Dexi3B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGRRILGAASGVGGVEAAPAAALAAGAARRGYHERVVDHYNNPRNVGSFDKDDADVGTGIVGAPACGDVMKLQIRVDEGSGRIVDARFKTFGCGSAIASSSVVKLHCSMLAEDAIKAAVKDYEAKKGKLANADE >Dexi2A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:2A:5012864:5016597:-1 gene:Dexi2A01G0005250 transcript:Dexi2A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWWEEAVGLLRRPAVAEMAVDMLLCAVPIWAAVMIGLAVGWSWRPRWTGLLFLGLRSRLRLLWVPPGLGARRLWLACTALSACSVAPKLLSSAFRRCRGKHQDKASTEDAAAAGADGGCDDGRTIFEGEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERKTSNMTYKTWQREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEVPQNGTTIVHYIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYLPLPKKEKPRRVELYFSSWRIRAVQSPKHAGQLSACEVTLVHYEDMGIPKDVARVAIRHGMWGAMKKLQSGFRAYQQMRATENTLSHSAIMARVTSKVSIDGSNGPLDQILSRAEKTSDGEENSRAVQHGFDWKWVVVGGAVAAVCVLNTGLVGKVLLLGAARRQVRK >Dexi7A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:7A:29567097:29572779:1 gene:Dexi7A01G0020890 transcript:Dexi7A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRRSGSCLRDGKRKVLLPGRAISCAAPKASRRLLALACFPASRSPGLATRSASTWLAGCCPVSRPRAAMGRAPASQWWQRRIDGDGAACGGGRLACRACHWHGTLGQVTGDELGNLFSHCFALEQVQLLACGELISLKIPLWLEQLSLLRVFECNMLQVIEINAPNLHTLKFFGNPVHLALGESSQVKNLDFKLSGNSSPVSYAISKLPSTMPTLETLEVTSFSESVNTPMVADKFLNLKCLQIYLSGDDEAFSPAYDYLSLVSFMDASPALETFILSVDQNYMKHDSVFGNASHMRQILGHKHDRLREVQIDGFCTAKSMVELTCHILDNATSLESLALDTIFDSTGGDVRRCSVEKKSECTAIPRDMILEAHKALRAVNRFKLI >Dexi7A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:7A:24229139:24232013:1 gene:Dexi7A01G0014240 transcript:Dexi7A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSTHTPNYIGASGVWPLLGGSEHAGDGVVIGMIDTGIDPKNPSFVSSNMSSQAKSPPAGFKGICRAGNRFPADSCNGKIVGARWFARAAQESGEFNATIHYASPYDSDGHGSHTASIAAGNFHTPVMSRGYNFGYASGMAPGARLAIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSSVSPGPASFLNLLEVQLLLATKAGVSVVQAVGNAGPDENTVVSFSPWILSVAASTTDRKYRKSIIIGNGKSFSCGALSAPTQGEIMYPLALADDVMVVNSTDDGYNKCGDPKIFIKALVQGKVIICTFDSSDYYDDVDLDSIIDTIQTIGAAGVIITDRSAHDVDIEFEPTFPTTVPSAIVLKGSDMRALMRYYNNNTVRDEVGNVVSFGATARILEGRHATYTGEAPVVADYSSRGPDVENSQLQPAEVLKPNVMAPGHLIWGAWSPTSDAMPEIRGESYALLSGTSMAAPHVAGVAALIKQRHPTWSPAMVMSAIMTSADASDRSGRPLMARGSEGSLDPATPFDMGAGAVNAARAMDPGLVFDAGYRDYLRFLCSVPGVDDAAVLRAVGAPCPLPPARAGAGAAARWCSDLNSASVTVASLVGSRRVERRVTSVGAENETYVAYVRAPEGVAVRVWPAEFAIAPGATRTLRIVLNATAPGSAFSFGEVVLRGDRKHRVRIPLAVYPTSKLSP >Dexi3A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:3A:411652:411906:-1 gene:Dexi3A01G0000450 transcript:Dexi3A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGWPCCRALEREWARASSRMEGRSAVRRREEKKPRVEEDGSREGGWKRPRGSEREDGWEREQWPEPEMVSAGEGGAASRSS >Dexi5A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:5A:5693231:5696605:-1 gene:Dexi5A01G0007690 transcript:Dexi5A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHGGDYLGRFVAETGWYNEIVLSAVAPGNWWRGLPHPVQSWLRNCVGGYLLYYISGFLWCFVIYYWKRHAYIPKDAIPTNEAMKKQIIVASKAMPFYCALPAVSEYMIESGWTRCFFNISEVGVPMYFINLALYLIFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKENTLSPFAGLAFHPVDGILQAIPHVFALFIFPTHFRTHIALIFLEAVWTANIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLREPVDILKNE >Dexi1B01G0031370.1:cds pep primary_assembly:Fonio_CM05836:1B:34980770:34981156:-1 gene:Dexi1B01G0031370 transcript:Dexi1B01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAVPPEPPHVLAVDDSIVDRAVISRLLRSSKNEKRPKKKKKSEQSD >Dexi2B01G0029230.1:cds pep primary_assembly:Fonio_CM05836:2B:37687677:37687940:-1 gene:Dexi2B01G0029230 transcript:Dexi2B01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPWGGAALGRGRHEPLNSTEDMTPAGKERSNAGMRETPPVAEERSQAGDAAEPRTSPVACCFLVDYCGLRLCGSLECVGEETEV >Dexi9A01G0036360.1:cds pep primary_assembly:Fonio_CM05836:9A:40825662:40826171:-1 gene:Dexi9A01G0036360 transcript:Dexi9A01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTGGHRRLSDRASSALAAVSVFLVLLFLFWRFIWQALKHRDGSGPTTTTTATTTPPPPPSCPALALAGETACATAAKSPLPVLFLRVAAVAGSSTGAEKVDCAVCLAELADGEPAARLVPGCGHGFHAECIEAWFRVNSTCPLCRAAVADAGQRSAGEAPHYCSSV >Dexi9A01G0042240.1:cds pep primary_assembly:Fonio_CM05836:9A:45840102:45840533:1 gene:Dexi9A01G0042240 transcript:Dexi9A01G0042240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPRNAETCKVVSFRAPIILLPVKLLRTLNLSCSVRSAFVLTDVVRAYGRSRSCGAAGYRSSVLYIYVAASPVDATGCHHLLLPFVPIRSLHRDSSPAGLIRCCDCPVTCSM >Dexi9A01G0049130.1:cds pep primary_assembly:Fonio_CM05836:9A:51842588:51844726:-1 gene:Dexi9A01G0049130 transcript:Dexi9A01G0049130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAKAPALGCAGKRQPVGSVVGAVGRWIRGAVPPPPPARVCGTPGGPPVTAPRVRLRDGRHLAYAESGVRKEDARFKVVISHGFTGSRLDTVRAAPEVAEELGVYMVGFDRAGYGQSDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPDRIAGAAMMAPVVNHWWPGFPPELAAEVYAKQEVGDQWALRVSHHAPGILHWWMDQSWLPTSTVVAGTTPLPNKRDAEIRRNMKADGSFQQRMEQATQQGIHESYYRDMMVMFGKWEFDPMELPAPPCPVHLWQGDEDGLVPVVLQRYLAGRLSWVNYHELPGTGHFLSAVPGLGDTVLKTLFGR >Dexi3A01G0034890.1:cds pep primary_assembly:Fonio_CM05836:3A:40178152:40178736:1 gene:Dexi3A01G0034890 transcript:Dexi3A01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDASFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMINGRASAQSDVYSFGVVLLEIACGRRPMVARRGEQDWAWDFYGQGDILDAADGRLSGEFDAGEMEAVMIVGLWCAHPDRSLRPSIRQAVNVLRGEAPLPSLPARMPVATFLAPPDAFYYTSSVTTGSSSTGTGTSTTPSSTASLLK >Dexi8A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:8A:27271125:27272749:-1 gene:Dexi8A01G0016090 transcript:Dexi8A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENAAAVPLLSSPAKAAAGGEPRRNMFAFACATLASMTTILMGYNLALMSGAQLFIREDLGLSDAQVEVLAGSINVFMLASILAAGWAADVLGRRGTLVLANVFLTAGALAMSLGASFPALMAARCVTSVGSGFSVVVSSSPRWLAMRGRHAEARAVLLRTSDTPAEADLRLQDIIKQATVAKEDDGDAAGNVWKELLVSPSASVRRIVVCVVGIHFFQQASGIDAIVLYSPLVFKAAGISSNTSVLAATVGVGVVKMCFVLVATLFSDRIGRRPLLLASTAGVAASMASLGAALCFAGAASTAAVVTSVVAFMAAFSVGLGPVVGTYSAEVMPLRLRAQGASLGMAVNRLTCGVVSMTFISLADAITMPGCFFLYAGVAAAACVFVYVRLPETRGRSLEDMDVLFAK >Dexi2B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:2B:2717535:2719312:-1 gene:Dexi2B01G0003130 transcript:Dexi2B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAQALRALLSRCSTKCHRRASPSSSSSASAAASFSTLRRIAPSPSLPASRPRCLSPSPPSAARTQTLSLASEAARGGVGGRESSTDDDEEWAIGDGGDGGGVVVLDVKWGERALAAAREVLAGHFGDDVAMFAFKVSPKGYVYVRLDKLTNIQHQIVFVIYLDHDILCLSFWEYWQVSSPGAERLLKVPEDLDRFKDMTMRVQYLVEGDNDLVSKQNLLKDGIFLLESVDTQAEHCVWKLADVRENRAEAGKGRPLNRKQKDWRLQTSFTSVKKVTLYLDSN >Dexi7A01G0023720.1:cds pep primary_assembly:Fonio_CM05836:7A:31649508:31650219:1 gene:Dexi7A01G0023720 transcript:Dexi7A01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPTSRHGRELATKWRSLLIITHAGDADAAPARPRTTRDVRVTAMARPALSRASDSDTCHLVDGCHHRAAS >Dexi4B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:4B:3648581:3649988:1 gene:Dexi4B01G0005140 transcript:Dexi4B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSWRLPSTVQELAAAVEEPPTRYLIPEEDRLGGHLASAEMPDPVPVIDLFRVSGSHGAAEAEQEAAKLRSALHSWGFFLVTNHGMETSLMDAMAPASRAFFQLPLEGKQDHSILKDGKHWRLEGYGNDDVNAQDQILDWSDRLQLRVEPQDERNLACWPKQPESFRDLLHEYTVSCGRIKDGILRAMARLLELDEDDCLIDELGDRGSTYARFNYYPPCPRPDLVLGIRPHCDVGVLTLLLMDRDVAGLQVLRDGTWYGVPPVGHGYGLLVNIGVSLEIMTNGIFRSPVHRAVTNSEQERISLAMFYAADLEKEIEPMAQLLDEKQPARYKRVKCRDLLAAHREYFARRERVVESLKIQ >Dexi3B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:3B:3012165:3017594:1 gene:Dexi3B01G0004400 transcript:Dexi3B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGRGGRGGGGSYGRRDTRPAGGASRDNRDRRPDHRPRRTPSPDRRPRRPRGEDDDRDPPRGGRIGYGGGDRSPPRRERTGYGDRRASPRRGRVDYEDPRDLPVRGSNKDYGGDRHLSPRGPRGYGGDRQVSPRGARGYGRAPYREERDRPGRLEYDSPPAYMLPDHPSDLGQPSQRIGKRESDYLGGSGDRSLMKDDYLGSGLGPRSISKDSELFGDGGMRLRISATETGRTTAMYSEDCRSPPLRRSPPLRAVLTPPPLYPSVPPDTGFLSGGSAMKASDGYGAGNTQLLHDNGDFKYNKHPHDPYIERSRDIERHYPASRDLVAEKGGTTDRFYSSEDVPAGRASETERIYNSRGMLEPDLVPPTHLKVLGDSSSSLLAKDHKYRIHTGPAYEPSNGYIMDGIGRSSHGSLGHVSGHPHRLSGSPLEHVSGHGDETILHNARQIHSKHALRATSMEYDANDEYLQRDPMTDAYAAPEDLRGNTSLKSRHVSGAASLRSIKDERIDHHLRMPHRIEDFESSFEAMHEDAEHLNQRSYGDDAYLQYPTARGGNDRYTHSPGTDSAGIARRPARQHEFTSFENLSEQEASPMVSRKRYRSPAYLHHEIDVYQGDDGFVRYDHYDDDMDTYDLSPPRVPMYDMVDDDDEYNEGYDMSTNRSNVFSRLALPHETNGLWTDMDQGNHSHSDIVACGYGRSKHLPMSQRLSRPSSHSQFQGTSMHGRGIGRGRGGLTKSAKKRLKMAQQFHGGYPLEKDEFKPNKFPKLSEYDPNASEVKHEDAPEHEDHAVQKDPPEGSEEFSKQIHQAFLKYAKILNESPAIQKKYREATKGSLSCCVCGSVARKFPDVDALLSHAYDTCKAGLRTKHLGFHKALCVLMGWNWLVAPDTSKAHHSIPYEEVNAMRGDLMLWPPVVVIHDSSIVNKTEDSEEKIVSMEEIEGVLADFGVPREKVKVCYGRPANQNIFLVKFQPTISGFHEAMRIHDYFSSRNHGKEEFQQMRDGKGKRAAPIDNLEELLYAHIAVVEDLVYLDEEAKRRCKIRSKKEVEANADATLNLEP >Dexi6B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:6B:3999540:4007423:1 gene:Dexi6B01G0004660 transcript:Dexi6B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAPGGIGGAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQNGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGSIPKSAGFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDESALMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYAFVPNGDLRQQAEIDAHIGGVNDIAFSHPNKSLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESVQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNTNILTTADCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFEGSRGPPPQINTKPPIVALGPVSNVSSPIAVNAERPDRILPAVSTSGLVVRLLYTNNGIALLALGSNAVHKLWKWQRSDRNPSGKVRLDDFTFFNFSIIFFACFLNVFLCPVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDSWEKKKSRYIQTPANRAGTLVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLVYAAFCDGAIGVFEADSLRLRCRIAPSAYIPPSILPPPISSNSPGRVYPLVVAAHPMEPNQIALGMSDGKVHVVEPLDADPKWGTAPPQDNGAHPALSAAPSAASNQASDQPTR >Dexi1A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:1A:2285772:2289235:1 gene:Dexi1A01G0003150 transcript:Dexi1A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVGENENVRKRSDPHTEKSAGQVFQGGDIQRSLECDGDQRSAMEMEEEEEYEEEDEEEEAIRSYAIHRKSWLSMFLKNGAIPFEAETQYPPMRYTDVPMLPTTAGPGDTMEVFFVKVTQITKDLQWPLDVHGIVAVRDSFDSRRNYLFRRGRDNCQTLTSPQACANICVSYFVYFDLPLYLYCKDSLLELTGPSRAILLWDEPVFEIDLKVKDKESSSSEGDKILCLDFFGYKNISYTGSKSYTITKVRSSKGSTVEVRFAHVKRSVEATITAASSTDQATSVHASLPATPASVRTWCCWIPEARKFLSIRMGRLHCSAVSSLWRTGLN >Dexi8B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:8B:581280:582266:-1 gene:Dexi8B01G0000850 transcript:Dexi8B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCCVPEKEDHRERAVKEVAEVYERIKIQQPLLLLHCSSSHQHQHHLAQTLLGEALRALNVALSVMTTTSSPAAAPATSVVVVKAEPHHEPSPPSPAGRSGSNKKRRRSITAMEAASSSWAGLTTVPYDDGYEWRKYGEKKINGTNYTRSYFRCTYKDDTGCLATKYVQQKDSSDPPVFRVTYNNKHTCNNAAAAAMVNNTIKQEPQPVVLLPPLIEASALPFDDQTLPCKQQAPPVFPITMPQQLFSARDCHGGGDIPSTSSSSSFSGGGESCSCDEFYSPGRGIHMDNMVQRQMPAASAAPADDDLSFYDYDLDLFLLCDSFKDY >Dexi1B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:1B:11587201:11587777:-1 gene:Dexi1B01G0011450 transcript:Dexi1B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTISPLCSLLHQNLNPANNNDGSTGLSLWKQDAGCLAWLDTQEHRSVVYVNFGSLTAVTPEQLAEFAWGLVVSAGVLRHPAVGCFVTHNGWNSTLESMAAGVPMVCWPWFSDQYTNCKYACEVWGVGVRLDPEVRREQVSGHVKEAMGSEEMRKNATKWKEAAEAATAPGGTAFQNLLSMVSALSKQTK >Dexi7B01G0022190.1:cds pep primary_assembly:Fonio_CM05836:7B:27169174:27178877:1 gene:Dexi7B01G0022190 transcript:Dexi7B01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSPVLTSPLPCLPSFVGQTVGGGSPSKGRAAFACKLAVRTCRSGTCQPDAISMCASSFASAHLIRSRMHDVFVENIPIEEVFEQLKCTREGLSSSEGAQRLEIFGHNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKILDLCNCREDMRRKVHSIIDKYAERGLRSLAVARQEVPEKTKESPGGPWQFVGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQNKDSTLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVVFFWAMHKTDFFTDKFGVRSIRDSEHEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFLLAQLVATFLAVYANWGFARIKGIGWGWAGVVWLYSIVFYFPLDLFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >Dexi3A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:3A:16043241:16043975:-1 gene:Dexi3A01G0020200 transcript:Dexi3A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLANYGVLVLEIVTGRPVSEDLLSLVWNHWSLGSMPLLLDGYPADEPDMQDMLRCIHIGLLCVQEDPQVRPRMASVLLMLNNRIITMSAPTKPAFVIPGPEERPRAEAPEPSINEASVSDLEPR >Dexi9B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:9B:3128162:3128737:-1 gene:Dexi9B01G0005350 transcript:Dexi9B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAATLDSHGQPIADSASGGGATPMAAGAGLVWPQQAMDPGLVYDAGEKDYVEFLCTMNYTAAQIGVFVPGFAGCTATLPGGVVGGLNYPSFVAYLSNGAGVRVLARTVTKVSEGPETYTNKVVAPYQLVEMTVTPATLEFAGQRNERKSYSVVFRTKKRPAPGMAQQFGEIVWESDVHRVRSPVAFIWD >Dexi1A01G0026650.1:cds pep primary_assembly:Fonio_CM05836:1A:32521377:32524758:1 gene:Dexi1A01G0026650 transcript:Dexi1A01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRKSRSVLSRLLRHRPHVAAPPAPLPAPQLPASRYYSLYASRFLRNKPAVPPPPPQQLPGPRHYYTSPRREEVIHFSRRRGEPRWYHDQRKLTAAVVITGGGAVVFYFGHLEAVPYTNRSHLVILSPKLERQLGESQFAELKKQFGPKILPPLHPDSIRVRLIASEIVRAVHRGLAGQQRRHASYGEDASYGYGDISDDHTIKNRDADAAAAMLGGSTGNNARAAAAAQRDDEVLDDRWVTECRSRGKAKGAQAQTGHLDGLNWEVIVVRDDLVNAMCLPGGKIVVFTGLLDKFRADAEVATVLAHEVGHAIARHAAEKITKTMWVAILQIVLLQFIYMPDLINAMSTLLLRLPFSRRMEIEADHIGLLLLASAGYDPRIAPSVYEKLGKIGGDSALNNYLSTHPSSKKRAELLSRAQVMNEALELYREASAGQGTEGFL >Dexi5B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:5B:10862528:10864449:-1 gene:Dexi5B01G0014450 transcript:Dexi5B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPSCLDLIFTSVSATTVSSMVAVEMESFSNSQLLLMTLLMLLGGEVFTSMLELHFTYTKLKKTERASHQLDGNNNGPPESILLELSRRPMGAVTQDQMEIGLMNNDQRDLTSIVRLLTLIVLGYLVVVHLAGYTAILVYLSAVAGAREVLVGKKINRSTFSIFTVVSTFANCGFVPTNEGMASFKSFPGMLLLVMPHVFLGNTLFPVFLRLSIRALEKVTRRRDLGDLLSEGEGAHVGYEHLLPSRRTWFMALTVAALLAVQLVLFCAMEWSSDGLRGLDAFQKLVAALFMSANSRYSGESVVDLGAVSSAVVVLYMVMMYLPPYTTFLPVPVESHNKQRESQSDEKSKITANKSIWQMLLMSPLSCLAIFTIVICITERRQIADDPVNFSVLNIVVEVISAYGNVGFSTGYSCGRRVKPDGSCRDAWVGLSGKWSREGKLTLMAVMFYGRLKKFSLHGGQAWKLD >Dexi6A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:6A:10219902:10225423:1 gene:Dexi6A01G0009140 transcript:Dexi6A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYSHHRHGRGLLMLALVASFATVVVTSQSQDNNGFTEVDCQSSAPSRAPSPSTSSSNSSTNSTFWSNVVALLDALPSAAAPTGFASRSRGTGGDRAFVRGICRGDSTPADCATYLKRAAQGIISRCNSSNSRRAGIWYGMSSVTYADTNASTAHEQQYRSILYNVNSVSNQDAFENTYDALMSRLAQRVVNGSGSGTGTTSASIPVAPMFATGEAVYDSDAPNGTMYGMLQCMRDRMPEECNQCLQVSNRQLSSCCYGHQGGVVFGYDCKLRVEIYPYYDLALDAPPPPVVPAPAPSSFAGESQGKKATHLALVVVLPVATGLVAGVVVIGVFLCRRNGGNRKKTDSTFLLMDMICCVTDKKEKEGGIRYVEPEQFNIAMLRDATNNFSEENKHGEGGFGEVFKGTLQDGEEIAVKKLSQNSSQGFHEHKNELVLAAKLKHRNLVQLLGVCLQEEKLLVYEYMPNRSLDTFLFGIHPSNYCLNSKRKTHTHIILYLYICSFCVQGDPVRKQRLVWSRRGYMSPEYAYWGHVSTKSDMFSFGVIVLEMVWDKWRAGSALDVLDPLLAESQYPENEVLNCIEIGLLCVQENPADRPDASTVVLMLSGPASTPDDRRIPSRPAFVFSSGVITESTFARAGAWNSKQPSTAAVSENEVSISELEPR >Dexi1A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:1A:19228360:19232627:1 gene:Dexi1A01G0013740 transcript:Dexi1A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKPSSSGTAAKPGAAAAPPATVHSALVTYTSMLSLLSLCPPFVILLWYTMVHADGSVVKTYEHLREHGVVEGLKAIWPMPTVVAWKIIFGFGLFEAVLQLLLPGKRFEGPISPAGNVPVYKANGLQAYAVTLITYLGLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHVAPSSSDSGSSGNVIIDFYWGMELYPRIGKYFDIKVFSNCRFGMMSWAVLALTYCIKQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSVWGKAPSKIVASYQTTKGETKTSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFSHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPYRVIPGIY >Dexi1A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:1A:4340623:4343171:1 gene:Dexi1A01G0005860 transcript:Dexi1A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEPWRVLEFYSGIGGMVVSHTLLSTPIRRIHLSNLAAARVSAPHSFCSQRYSLMASGARAAVVEAFDINDVVNDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILDLMQNMSHPPQMLFVENVVGFEVSDTHDQLLKVLSSLNFDTREFILSPLQFGVPYSRPRYFCLAKREPMRFQNASVSEKLILTPMCLSQTMNNTSQDRDHQNEKELELVCKPVKDFLVKEADGGIRNETILQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSEVCYVGQ >Dexi4A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:4A:3781603:3785711:1 gene:Dexi4A01G0005260 transcript:Dexi4A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAERVMDDHDGWASDGEVEDVEMEVAGEVHGHDADQRDGGADDDEDDAYSLVTRVSDTSAAEARAGKDIQGIPWGRLNITRQDYRKARLEQYKNYENFPQSGELMDKLCKQVEPSSKYYEFQHNTRSVKPSILHFQLRNLLWATSKHDVYFMSESTVSHWSSLAQKSSTVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELICKGLADRNVKFCTRTTLSDNAITNAIDIHRSTSGSLCITVSNNDCGVREYDMEKFQLLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFATAWHPDGHTFATGNQDKTCRVWDLRNLSTSLAVLRGNIGAIRCVRYSSDGRFLVFSEPADFVHVYSAAADYKKRQEIDFFGEVSGITLSPDDESLFVGVCDRVYASLLQYRMKHAFDYLDSYV >Dexi4B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:4B:20621269:20625139:-1 gene:Dexi4B01G0018260 transcript:Dexi4B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWGLLMLSLHVLSFLVSGVTSGRTSSYVRTEFPSTDIPLESEWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTPEEPGPAEVFYGKDKQYDQKAEGTTTNYTFYDYKSGYIHHCLVNGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHNDGIRWDSWGRLVERSTAYQPWIWNAGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWLWLKNEFKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSVSSSLRDSYRISNVNYNITSGNRYPVPNKSAPVYITVGDGGNQEGLASRFYDPQPDYSAFREASYGHSVLQLKNRTHAVYQWNRNDDGNNVPAETVVFHNQYWTSSTRRRRLKKNHFHLENLEDLISLF >Dexi5A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:5A:7937399:7937800:1 gene:Dexi5A01G0010510 transcript:Dexi5A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNLILIRDCQEVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSQEYKTVMQKLFQMGLNRV >Dexi9B01G0035960.1:cds pep primary_assembly:Fonio_CM05836:9B:37578955:37579939:-1 gene:Dexi9B01G0035960 transcript:Dexi9B01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPAAAALVLLALASALAPQNPPGARAQQISASPLGAPSPSSLDCGGALRNLTPCLTYVERRSALTRPDKGCCGALTAVVGGGDEAACLCALLGGYGVGVRVDPVRALALPTICRVDAPPPRLCAALGMPVAEPPGGAAASPMDSGSDVPTTPPATAAANGGPATQRRLFLAALPHFCIVVILATLLL >Dexi9A01G0049960.1:cds pep primary_assembly:Fonio_CM05836:9A:52414439:52414743:-1 gene:Dexi9A01G0049960 transcript:Dexi9A01G0049960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPTIAVHRERPIAAISDDEVGRFDLNVAVESNDFPQRVTTTFDRSEAVNSDRSEEYSLDQYVTQNDSAECATIISRDQQGMAESRGVTRMWNCN >Dexi2A01G0024240.1:cds pep primary_assembly:Fonio_CM05836:2A:35983421:35984202:-1 gene:Dexi2A01G0024240 transcript:Dexi2A01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFITRLLTLALGYAYPAYECYKTLERNAPEMEQLRFWCRYWILLAFLTTFERLADCTVSWLPMYGEAKLALVGAGRVYDDYLRPFLAAHETDIDRGLLELRAKATDATASHLQAAVALGRACLFEAFRLVSSRLQATRSPWPAGPQE >Dexi1A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:1A:25501526:25503112:1 gene:Dexi1A01G0018240 transcript:Dexi1A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVATPPASSGDEAPPHAVCLPFPTQGHITPMLKLAKILHARGFHVTFVNTEYNHRRLVRSRGASAVAGLPGFRFATIPDGLPASDADATQDPATISHATKHHCPPHFRTLLAGLGRNAVSCVVADNLMSFSVDAARELGVPCVLFWTASACGYMGYRNFRPLINRGIIPLKHEEQLTNGFMDMPVDWAPGMSKHMRLKDFPTFLRTTDPDDILMTFQLHEVERSEDASAVIINSFDELEGPALDAIRAIIPAVYTIGPLASVAEQVAVPTSSGGTLDAISCNLWKEDDACLAWLDGRKTKPRSVVYVNYGSVTVMSAEELAEFAWGLASSGRDFLWIVRPDVVRGSAAAALPPGFEEATKGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTVESLSAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDDVRREAVEARIREAMGGGGDDEKGKEMARRAAEWKEAAARATVESLANLDSLIADVLLAGSGTSGVGT >Dexi2A01G0031670.1:cds pep primary_assembly:Fonio_CM05836:2A:42394593:42405152:-1 gene:Dexi2A01G0031670 transcript:Dexi2A01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAFSSEVLSRLLRPPRFNDTFSPFSPAGDPRPCGQKRGVYDDDGGGGVAVDEHAFLPEIEAKSFHSIFCCLVMVRRARPGPRSRRWAMAVKLATPHTRAPLSEPPIQAQDIRVGNIVWIRENEEVPCDLVLLGTSEPQGLCHVETAALDGETDLKTRVSPQPCVGLEFEQLHKIKGVIECPVPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTSMDAMIDKLTGAIFLFQLTVVVVLGAAGNVWKDIEAHKLWYVKYDGNEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEDMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCFIGGTFYGNECGDALREQDHIVGSALPPLLPFTFMLTNRLRCSDVELLNAIANNSPHVIKFLTVMTLCNTVIPIKSPSGSILYKAQSQDEDALVNAAANLHMVLVSKNGNNAGKQTKTFVDAVDKYAQLGLRTLCLGWRELESEEYTEWSRSFKEANSALSDREWKVAEVCQKLEHSLEILGVSAIEDRLQDGVPETIELLRQSGINFWMLTGDKQSTAVQIALLCNLISSVTLKKG >Dexi1B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:1B:9484129:9484386:-1 gene:Dexi1B01G0010090 transcript:Dexi1B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCAASNVVSAYAAAASRAWLASRTAPPPGSYSSSLSCVRVPPTALLLLIDTVGGTANCQFLLSGRAPGLLATWPKLGCNLEGP >Dexi2B01G0030840.1:cds pep primary_assembly:Fonio_CM05836:2B:39111726:39113478:-1 gene:Dexi2B01G0030840 transcript:Dexi2B01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPGPAADAWEFLNPTEPPVADVDGGLDDCAIFPPRLHEGLGLDGEPEEAVAPAAAKEAAAEEDEAEDGEWLWGWRWETCRLAARRAWAAGVGAVQDRVLVHGSCGCSAVRPAVWSAAAAAAVVGALLYVRRRDRRERELLVLLSKEKDKRIAQLPHQIALMSDIGSGSEAVKIIRNS >Dexi4A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:4A:547250:556922:-1 gene:Dexi4A01G0000820 transcript:Dexi4A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMMTPNKPEPATVSVGTEIVPRSYIDFLLSRPIRRPSPKSESFYERYPYLREADARFNLVVDLQLDMLKQYHAKGFAKVGVEAWSATTMASGGSLFFFLKKKEKNKLCVEMALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDIVLKVAAQVPDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELHQVFSEWNKGELLSFLIEITADIFSIKDDQGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWDLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAHEIMDRQAAWRRVVCLAIDNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPVRVRDPPRRRAAVGVRAVLEEQQQWGNGGDDAVEDLGEALAKTRELVECAMFAAVAGLAYFLSNSLAIELMHGVVGLIMGTVWRSVPWELVAMC >Dexi2A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:2A:8484428:8486019:1 gene:Dexi2A01G0008280 transcript:Dexi2A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAQRTCNGAAAIVDGQGVPPLLRRRRVLLFPLPFQGHINPMMHLAGVLHARGLAITVLHTRFNALSAARHPEFRLVEVPDGVPPEVARDGKILDVILAMNAAMEASPAAVRDALATAALAGADEDDGDAGAACLFIDANLLAVHNIAAALGLPTMVLRTGSAACMNCFLAYPMLHDKGYLPPQESQLYMPVPELPPLRVKDLIFSKVSSSHELLRQVITRNTQTVRSCSGVVINTLEALEATELERLRGELDHLPVVLAAGPLHKLSSMTSGSSLLDQDQTCISWLDTQPSGSVLYVSFGSLAAMDPGEFVEVAWGLANSGHPFLWVVRPKLVRGCDGGSSTTAAAAALLPEGFEDAVKGRGMVIRWAPQQEVLAHRAVGGFWTHGGWNSTLESVGEGVPMMCRPEAVDQMMNARYVEHVWGVGFEMKGEMERGRIVDAIGRLMGEEGDDMRERAEVLRRRVAAECVGSSGSSQMAIDKLVDYILSL >Dexi2A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:2A:32979187:32979873:-1 gene:Dexi2A01G0020820 transcript:Dexi2A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRSTVLFAASFAVLLHAASQSSSPSLAVYDVGDEMGWTVPPPGATDALNAWAARHRFVAGDSLCTYPPHLLDAEHHQLEVFLIPCLRVSDFNCGGSNDSVLLVSHGEYERCSASGPPSLLPGGSVIVVTLVRPGLFYFIGGEPARCEAGQRMAVRVDDHDARSPSLAGGAPAPAKQPFDDAPVTEHRGLSLAQKQFAAAAIGFGAGFALVFFVVWLCVCCGSLIN >Dexi6B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:6B:7807079:7807579:-1 gene:Dexi6B01G0006850 transcript:Dexi6B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLTCQLSSGLHVSAFNATRIVFFPQSKPHPLRLFTFSGGAATFATAAPSSPHSEAAFRRFHLQPRLPTLFVFPSSPIRRRQKWPRDAAVAAILGFGSSPRADTYDGLHVHPLDGARQAHQRLQYSKHKGLGHDKFVRSCTFSVGGHDWCIRFYPDGSFCR >Dexi1B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:1B:2439158:2442362:1 gene:Dexi1B01G0002990 transcript:Dexi1B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAGEMGEIGRQARHEGEFQSGSDTEDHHKEKTVDSEKDEQFRVQPKWRKFLAHVGPGALVAIGFLDPSNMETDMQAGADFKYELLWVVLVGMIFALLIQTLAANLGVKTGKHLAELCRAEYPRYVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFVIAAFMFTMAACFFGELSYLRPSAKEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSVKSIRAACRYFLIECSLAFIVAFLINVAVIVVSGTICNANNLSPVDANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIIFSSMVLSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLAHNHLHKYANVLVSIVVFALMAAYLVFVVYLTFRRDTVSTYVPVSERAQGQVEAGGAPAVAAAADADQPAPFRKDLADASM >Dexi7A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:7A:26537204:26546726:-1 gene:Dexi7A01G0016660 transcript:Dexi7A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRMSDSDESHSASGKDTMEAVANYWATEKNRFVLGHLERKVFSWSIPDIFNRDLPRHQVKKIPDTFESFASYLNSFAYPLIEEVHADIFSSLDGYAQASFIEVIKMEKLDNKKPIFGLEVTEPVKDVKSREIYEPTQATKYQMYVNDIIEQESSKKDKYGKKSKESEDKDNVCDNEEQFDSGHVEQTLKVPPFKHYLKDDYNKLSENLYDCIDILYSDHPRNPETGRSFQCMLEVLELIKIIYALINSDNDDEDLWSDELLESKIEDDSNPEIWPVQLAKSVSTGNNASVGVVSPYNAQVRAIQEKLGKTYSKYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSSSNSVWQKIIKDTQDRGCFFDVNDDKDLSNAVVKAIIELDDADNLVRMESLHIRRSRFQVL >Dexi3A01G0035380.1:cds pep primary_assembly:Fonio_CM05836:3A:40683176:40686163:1 gene:Dexi3A01G0035380 transcript:Dexi3A01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGAGRALARRRLAPLLAAAAFAYLLFVSVKLAGFGAAGPAAAATFGRPGAAGVSEPLRRGVEKPTAPQARARPGAVVSGYGRITGEILRRQEAGAGPRRRWGQLGNFTELERTAAEAWVSGARAWEEASAFAGDVDSIASHDVGEGLPAECPSSVSVSGGEAESEAACVSFSVDGFRKCEKWERRDIVESRETKTSSWFNRFIGRAKKPEMTWPFPFSEDKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPKAHPSFSLQQVLEMSERWKARPVPEEPIQLFVGILSATNHFSERMAIRKTWMQFPAIQLGNVIARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTADYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPLRRGKWAVTYEEWPEAVYPPYANGPGYVLSIDIARDIASQHANHSLRLFKMEDVSMGMWVEDYNATAPVQYVHSWRFCQFGCVDNYFTAHYQSPRQMLCLWDKLSAGRAHCCNYR >Dexi5A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:5A:3677767:3681211:1 gene:Dexi5A01G0004820 transcript:Dexi5A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDGESPERKTEAERNKREAVGCRSSWAGERGRLEGVESEGRRRWIAGRKGSSYIIFVVGSSGQSCPVALEKWHANGLHTASLRNQRVTELSSASRRTIEDAERQPDQTYRGCHPPPRRPPRRRYRPYYHSTPPQKLLRLVLWASPHLMPHIVLLHLHYCVCSHGSARRPQPSPEKKPWPAALAPRGSGSIAIAREEAMARGSAVFHITSLEEEEAAAARRLWRWTEGVKPAPAAEALRGPEASEHRAVRRRAIGGSPLLRFLRQPDDALMPRSIPPHAAERRRPIKWAQATQKEANIPFVAIGKLIGMHPRFGTSNSTAPVQSMRGEAGVGDDDGAAACVVERRSVSRGNQ >Dexi6A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:6A:11173739:11178392:-1 gene:Dexi6A01G0009520 transcript:Dexi6A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATSRPASVGLCAFLTFLNLFAFLLAVGAERRRSTGKVVPDEYDERSYCLYDTDASTVYGVSAFFVLLLQQAIVTAATRCLCCGTALSSRGCAVTAFILSCLEGRRGQVAVACNTNLEELRTTFLIAEACLIGGSVRNAKHTKYLGYYMKHDLVSCAALRKGVFAAAAAMMLINLLASLVYYWSYSKAATGGFMKHQNEVGMGMTDYGLDKGVSGP >Dexi6A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:6A:9035551:9037752:-1 gene:Dexi6A01G0008510 transcript:Dexi6A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDLHRKSGPLGNQPSRNTSFGGAASNSGPVSNAGGRSNYSGSISSAVPGTGGSSRTKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPITSGQMNSSGAQRKVSGPLDSSVSMKMRTASFAHNPAVTNLNAEDGYSIKGSIPTAIIWLVALLFLVGFVAGGFILAAIHNPILLIVVVVIFGFVAALVAWNICWGTKGVTGFVRHYPDADLRTAKDGEYVKVTGVVTCGNLPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTTHRRFTWGLRSMERHAVDFYISDFQSGLRALVKTGFGARVTPYVDESVVIDINPDNKDMSPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVAPAEPISTGCQWAKCMLPTSLDGLVLRCEDASDMDVIPV >Dexi4A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:4A:6197661:6199175:-1 gene:Dexi4A01G0008250 transcript:Dexi4A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPAPTKPALPWISQLQYRSPARGAPPSPPPPPPPPPSPPPPRYLHHPELVRLIASSPSAQRALDLFNAASAQRGFSHTPATFSALLVRLARARLPRAATAVLRRAASEPCRFQEPQFLPLVRLLPPDHALALLRLLPTLLRQSRVSHKALAVCLDRLVSSRGCTGVLDELLADLRDPRNKYLPRPNTCVYNILIKHYVKSGELDTAFKVLDEMREYTCADVKPNLVTYSTLIGGLCRGGKMKEAFELFEAMIDNDRIVPDQLLYNVIIDGFCKLGQVEKARAIFGFMRKNECQPNAFNYATLINGHCKKGDIEAARLVFEEMRSAGVEPDAVSYTALIGCLCRHGSVDEGINLVLEMKEKGCKADVVTYNLVIEGLCKDGRTVEAMDLLETVPLEGVQLNVASYRIVMNCLCTRGEMDKAVGLLGLMLRRGFVPHYAASNNLLIGLCDAGRVADATMALYGLADMGFTPEDSCWEKLVETVCRERKQRRSTELLNGLIGVG >Dexi5B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:5B:349492:352667:1 gene:Dexi5B01G0000530 transcript:Dexi5B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIHVAQIGMALPSQIVEFNCKEMEEKLKKLTLKVNHHDENIRFLKSEINAVEEACADLAIKLGNYHSSVAAVANNDTSAQEDEQRTIKSILDQDNTAAAIICQMKVRYHERTSNMALMKDILGFVATLGKVNDDNLSRLLAEYLGMDNMLALVCKTYDGVKGLEKYKEDGIIDKSSGVHGLARSVGKFLDRRFAVFCLKNLRPFSGDVNIDDPQRKLIMHRPRNNIEVKFPVSLEASSSPENIIEMEEQVKLKNWKKERLLEDMKREEDLLKQVKELYSKQKQELMDYITHPSLAQKPHDSPTIHSPATPGTNPFGAKSSHKRHY >Dexi1B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:1B:17755119:17762609:-1 gene:Dexi1B01G0012930 transcript:Dexi1B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSALHLDTENSGEGDEAEVAQASSSTEETTSREPSLDIAKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTEKVLQSELSECDAEAQKYMPQLATIFSHVIVDVSSVMALCLRWYPK >Dexi9B01G0030960.1:cds pep primary_assembly:Fonio_CM05836:9B:33417392:33423061:-1 gene:Dexi9B01G0030960 transcript:Dexi9B01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLYADVLSFLSSAPAAAAPTPVVAAAWGAARAGSVRGKAALRLVRGGSGLAPVAGRRPRRPPLSVRCDATSRDGRITQQEFTEMAWQAIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTKLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSYVSVEHLVLGFAEDKRFGRQLFKDFQVTVKTLKSAIESIRGKQNVIDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVFVDQPSVEDTVSILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASKDRLSRLEAELSLLKDKQRALTEQWEHEKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEDELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMNEEGGSSDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLDVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFRKVGEETKQAAEGEKFLPAI >Dexi9B01G0040180.1:cds pep primary_assembly:Fonio_CM05836:9B:40766823:40774979:1 gene:Dexi9B01G0040180 transcript:Dexi9B01G0040180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEEEVIGGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSIDYPSGDSDHISKRSRPVGMAEEVNLPVNMLPVTYPQSHNYQQDDFHKTVARTLSQGSAPMSMDFHPHQQTLLLVGTNVGDIGLWDVGTKDRLVVKNFKVWELGKCSMALQASLVKDPAVSVNRIIWASDGALFGVAYSRHIVQIYSYNGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGIKILANADGLRLLRTLENRSFDASRNAAETVTKVTPCNHNIIRIIYASTIQSIPVHAASYKSIDCRGKCGRSKQFRNSCSSSYNCNVHYFYISRLIYTNSGVAILALTASAVHLLWKWPRSDRNSSGKATASVSPQLWQPPSGIFMTNDMTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQLPTGRPANILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPSSYLPPNISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPPPNGASSSDQPER >Dexi2A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:2A:13009363:13015795:-1 gene:Dexi2A01G0011190 transcript:Dexi2A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNLLSRKRRSWRANEFKAPIDPFTRESNRPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGYCEMSPVMANQFSVTSGPCCSSSIFVSRGGNKKYASVLAPGQLDGLKKSSDDGEPDPELKVSCRQISPFIPHNYQESSLPTTVFVYTLVNTGKERAKVSLLMTWANSIGGLSHHTGGHVNEPFIGENGVSGVLLHHKQDGSFDRDNFNAGVSMPSSLGDTVCAAVSASTWVEPHGRCTVVFALAWSSPKVKFKKGSTYYRRYTKFYGTSLRSAVYLVQDALMKYKHWEEAIDKWQYPILNDERLPEWYKITLFNELYFLVAGGTVWIGTNLCTCCFNVTPPFLDMRITGVQKLT >Dexi3A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:3A:13617915:13619500:-1 gene:Dexi3A01G0017860 transcript:Dexi3A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRTRTLLKVIVLGDSGYVNKKFSQQYKATIGADFLTKEVLIEDKLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVSEKKAREWCASKGDISYFETSAKEDHNVDTAFLCIAKLALAHEHDQDM >Dexi2B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:2B:6894748:6897120:1 gene:Dexi2B01G0006920 transcript:Dexi2B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAHQSMADHDLTARLAAHLDRHLVFPLLEFLQEREIYPEEEILAAKLRLLAGTNMVDYAMAIHRSLHGAVDGDEDEVPTDMAARRAEVVDRLVSLQATAPNLPLHAFLRDPQRVQLLRPDKQYNLHMLQDRFQIGPDQIEALYDDAKFQFECGYYSDVTAYLHHYRVLSTNSERSVRALWGMLASEILTRNWVAALEELNRLKEIIDSMNFSSPLNQLQNRIWLMHWSLFIFFNHENGRNGIIDMFFHDRYLNAIQTNAQHLLRYLAISVVVNKRRRNMLKELIKVIQQERHSYKDPVTDFLECLYVNYDFDGAQQKLMECEQVILNDPFLGKRIEVGNSNTVPIRDEFFENARLFIFETYCRIHRCIDMRWVLLTFHSPLVTDEFLLL >Dexi1B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:1B:10612746:10613213:-1 gene:Dexi1B01G0010760 transcript:Dexi1B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSRRAAMPLPPRVLVRRGPRRATSWISQRLAPSCRAEADAELLLANAAAAPAPSGAPSSSIFHEGVGVPGPARRLALPSRSRSGTRSLPALPEPPGSVCCCATAAAPLAPARSGSSATTGSAPPAATGARGSMPMSVGARVPGRTCARPPRA >DexiUA01G0006940.1:cds pep primary_assembly:Fonio_CM05836:UA:13336643:13336860:-1 gene:DexiUA01G0006940 transcript:DexiUA01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGYRLEEPVPMRAHLGPAHARRHKSRVNAPPPRAILP >Dexi9B01G0041030.1:cds pep primary_assembly:Fonio_CM05836:9B:41512897:41518813:1 gene:Dexi9B01G0041030 transcript:Dexi9B01G0041030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSYRVQKPLGSPIVRVLQVIVAATRKWAVRVPHDDSLLHETADENASMVDGHKLQHTPQLRFLDKAAVISSDEELSDPWRLCTVTQVEELKVIVGMLPIWATGIVYFSVLAQFSSTFLEQGRTMNTQVVGGFAIPAASLASFDAVSVIFWVPVYDRVLVPAARRLTGNARGLSELQRFGAGLFLSVMVMAAAALVETRRLAVAHAGGEVAMAMSILWQIPQYFLVGASVVFACVGQTEFFYNEAPRSMRSLCSALALLTVALGSYLSSLVVTAVAWLTTRGGGVGWIPDDLDDGHLDRFFWLLAAMSALNLAVFVGCARRYKRKNGMSAMSISASFLKGGSPPQSVVFFLGLYLMAIGAGGIKPCVSSFGADQFDDSSPAESLKKDSFFNWFFFATYTGSFVAGTVVVWVQDHYGWGFGLWLPTVFIALAIASFLLGSRKYRVQKPLGSPIVSVFQVIVASVRKWNVQLPQDDSLLHELPAKTTPMADGHKLQRTPVLRFLDKATVISPTEDPSDSNPWRLCTVTQVEELKVIIGMLPIWTTGIVFFSVLAQFSSTFLEQGRTMNKHLGAFPIPPASLASFDAVSVLIWVPVYDRALVPAARRLTGNARGLSELQRFGTGLFLSVLVMSAAAAVETRRLASAHAGDGTSSMSILWQIPQYFLVGASVVFACVGQIEFFYNEAPRSMRSLCSALALLTVGLGSYVSSVVVTAVDWLTTRGGGVGWIAEDLDDGHLDRFFWLLAAMSALNLVVFVYCARRYKCKKVF >Dexi6A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:6A:7572610:7574058:1 gene:Dexi6A01G0007650 transcript:Dexi6A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGEGITAALSRMCRATVADDAALTTCLDDVSRALLRADVRFESVRAAIHNVKSTTNPSTLPPGVDRRRAVHKAIFAELCHMLDPGKLPFTPTKGNKPNVVMFVGLQGSGKTTTCAKYAAYHRRKGFAPALVCADTFRAGAFDQLKQNASKAKIPFYGSYTESDPVKIAMKGIDTFKNERCDLIIVDTSGRHRQEDALFEEMRQLSEATKPDLVIFVVDASIGQAAFDQALAFKKSAPVGAVIVTKMDGHAKGGGALSAVAATRSPVIFIGTGEHIPDLEPFDVKPFVSRLMGMGDLRGLVDKFNDVVPDDEDNDELVPDLTGDFTLKTMRQMFKSVQRVGPLGQVLSMIPGLSARFMEKGKEKEGQAKMKRYMTIMASMTRKELEFTNPSTLMTESRIVRVARGSGRPLRDVAEMLEEHKRIAKALSKLPLKKLANNNNSRETLKVLGNVLPPGMMNHLGGMHGLQSMMKQMGAQGK >Dexi5A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:5A:8936182:8939764:1 gene:Dexi5A01G0011900 transcript:Dexi5A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAWAPARQLYRTEERARSSGPSESRLEAAVSRSHHTLQLERMFQSAQFTNEVSQAANGSQMSKEEYCLKHNINIVGDKVPAPFMTFQSTGFPSEILREVLQAGFSAPTPIQALSWPIALKGRDLIAVAKTGSGKTLGYLLPGFILLKRLQHNSREGPTVLVLSPTRELSAQIQDEAIKFGRSLRISSTVCALKGPQLRELDRGVDIVVATPGRLNDILEIDRVSLRQVSYLVLDEADHIIVSVLEVVCYTLQHVEVISHMEKSRRLDQILRSQEPGSKMLIFCATTRMCDELARNLYWQYGDKSQEERDSVWSDFRSGRRPVLIATDAGAARGLDIQDIRVVVNYDFPTGVEAYVHRIGRTGRAGATGSAYTFFCDQDSKYASDLVSILVGANQYVPPQLKEMALYERYGGGRPHLWASSNESYDYGSIRLNDNINNSTFGNQAGGGSSFHSSQFGDTPSFHDEDDDGTPKSPMSTSSKKRGSNTTDTATSSPKKHKGPMVKCMKGLIDTIQSGNIKEVDVATQMQEHITNLKKEEKRLEEQQMEQEIERCMELVKECGATEETEEFYVATMLFAQKYNRTVFSKLTTNAGRMAWLKRCSRDWRA >Dexi7A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:7A:28783605:28785094:1 gene:Dexi7A01G0019670 transcript:Dexi7A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAAAQATDRSPSSSPPEAVETPSAGPPPAPPELAMVARAVQRLVARNDAVVALAAAAPDDGGRGGGGMEAFEAARGAPAPHIGVAEYLERVHRYAALDPECYVVAYAYVDMAAHRRPAAAVVSRNVHRLLLACLLVASKVLDDFHHSNAFFARVGGVSNAEMNKLELELLAMLDFAVAVDHRAYERYREHLEEEMRRAHHHHGLQPKQMQRAASAPTIVNPLPPLPEKNPAELVAVGGREEHGKKPLSNGVPVARRRRRARRPEAAERRGAGVQDEVALRELWTLHF >Dexi6A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:6A:26703462:26707666:-1 gene:Dexi6A01G0019090 transcript:Dexi6A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHKSGGGSAAAGGGAGGGDGVLCHACGYQYPNGHPSAKQRRAHRKHCAKPASSPAAAAGGEEHDGSEPLPGEGHGGVGLGGGIGASAAECGGGSPRSVPEGTKAVEGGDSAEHYPGNGTGHQVTGDKCAEGSLNSSSTDGATTLTTVATQYSEKGSPIEDGNLSDAAVGSEQLEGAPTSVPSPEPEDGAKSSFGISEHEIQSSLPEYETQNSTVVPLESNATGGGTSEKADDVVSQSQVDGGIVVTEGDGLINTIGKDKFSEEKSVEGDEVDLSCQDNIQTEIGEGHSNAAMEEGPSDKNPNAIHSEEIRSDETETKQQSKHVLADSFEKIPNIEVSESATVKSVGADDVLPGLSMDGSQLETPDDVKPQQELDSTSETSEGSISAISSVFGPAVGGTANITENVCSSGSTMDDNMQKNVTGDSVVPSQVDLVELSTSTTELEINMVDNTNDNEKGQNGKGGTDVTSYGGNELHIIENFEEKQQNKELMVDSIPHQANTVFGADNHGENEQNKEVVADMQSMTSAEEKEQIEEFIANLAPEETAVTGSRDIVEEKQGEIDIKTNGETDGAHSIETAGENNAAATPEVNAGTTTDDAEDVAQNEKFTTPISHGINMVCSSVNEVKMHNEELTEGLGSHENVVVHGTDNVEEKTIEETKTDATSPKFSLVTSTVSVEERKTEVTSTVSIEERKDEETTADPTLHERSAVHSADNNDEKKNEDPISNPTTTLATICSIGDVEEKKQSEETTEDPSSGESNTLPVTNDEENTKQILDTAATGPASDNTEVGQTTSAVEVGNPEDTASKEISTIESMDDLKAVADQNEDIADKEMVIDSDKNHVSLKVLLADKNVETKEKEKKTTTKDRVLSFRRRASKDSVSPVKPGSPKAVSGQQDWNSPARLPVEKKPKGRKQQWVPFICCSSVQ >Dexi7A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:7A:19653659:19654640:-1 gene:Dexi7A01G0008380 transcript:Dexi7A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWMPPAMKSTLRPYLSMTSVESRSAPRFTAPLMMAPIRAALLPKPTVRKTSGAKKASTMTPLEEHGHGDGEDEVGPVLAPRGHAAERAVLALARDLDGLGDVAELGVHVGVGPTDAEERGAGPVGVAAHDEAAGRVGEEERADEEDDGGSAGEAEGDAPAPVVARRGVVDEVGDEHAQREEELVARGEGAAPPRRGHLGQEQRSSLQCVAQSILMVL >Dexi8A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:8A:16338925:16341346:1 gene:Dexi8A01G0010090 transcript:Dexi8A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTELITTMVSLVILVHFTTTTISSTTTLPSWIISFLPISLAMAAAAVTLPFAITTLHARTSRNNNGAAAAAIPGPRGWPLVGSLPAVWGPLMHRRLAALADAHGARRLMSLTLGATPVVISSHPETAREILSGAAFVDRPPKAAARELMFSRAIGFAPGAEGAGDYWRRLRRVAGAGMLSPRRLAALGPLRRRVADAMVARVAAAGQIGEVVAMRGLLQRASLESMAGSVLGLEGGAVSEELGEMVREGYELVGAFNLGDHYHTTMWGPLMDLWGVGPACRGLAARVRGYFGKIIEERSLAGNCHERDDLLSYMLGLPEDEKLEDSDVIAVLWEMIFRGVDVVAILLEWTMARITLHPDIQFKAHKEIDAVVGSHRITDADIPNLHFLQCIVKETLRMHPPGPLLSWARLAVHDARVGKHIVPAGTTAMVNMWAISHDKTIWGDPWVFRPERFREQDVNILGSDLRLAPFGSGRRVCSGRMMGLSTVQLWLGRLLQEYEWLPAKPIKLAESLRLSMEMKNPLVCRAVRRGEAA >Dexi6A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:6A:404413:405213:1 gene:Dexi6A01G0000650 transcript:Dexi6A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRGLPKFARMSSSADNTVYCCIAKGRKVIYCYNSKDGGDPDPQVEATAALCLENSPPHHRHYIHTSGSRSYGYLMADGHTFFAIIDPSVGNAGALQFLERVRDVFRSNAASRNGLHDSLVPAVRRLVASLEKMPHATFVLEENTERGGSNEGSGCTSSKVPLLGKSGSRKEKKKSSKDKLASAGDGEHEHHGTRGVRIDVPAEDVGGMSLERSSSQSRLRRQQPSRSLWMRHVKIIIIVDAVICLVLFAAWLAVCKGFQCVSG >Dexi9A01G0025220.1:cds pep primary_assembly:Fonio_CM05836:9A:23257716:23258251:-1 gene:Dexi9A01G0025220 transcript:Dexi9A01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRWLKKCTPRAATRMRRTGGVGHARRGVNSESGRGLDLCEPHLGLVFRSALAPENGERLVDSSLHDEPPRRLGHREHADGERGARERAEPQHGAPAIDQRQHGEQVVGHVAEEDAEADGDVGQRAERATGLRGGDLGRIDRRDHERVADTDARDAAADQ >Dexi3A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:3A:8626193:8631238:-1 gene:Dexi3A01G0011900 transcript:Dexi3A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAEPKEEAAGAGAAAAAAEDGPGGKEEKAAAVSCSICLDAVLAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRSAHDVNMDEWAHDEDLYDVGYTEMVHIYHQPFRFHWCPFGRLAQLPSLFEEGESSPPVTFHDFMGQHVFTEQVAVSAAPGTTHPCPYVAYLHPLPPLTSSSSSHVPDRTMDRPAYHDHWNPLAGSSDVRSMQTVPPADFHHNHWAHMPHSYAQPNSNNEQPGIPFGTRAARVDGDSQRRGSVVSPSYFSNGSGSRSRAPNVPPLMPQFMRAHGSISEQYQQNSSSSLFAGAHRSGGMRPAPAPLPENPTFSLFPPGSSGHNSMETDDVGGSRFYAWERDRFAPYPLMPVDCESSWWTSQQSHSTAEPASAPRRLFGQWLGVGRSSPENRSSESSSYRQLHSPRM >Dexi2B01G0024230.1:cds pep primary_assembly:Fonio_CM05836:2B:33657467:33660793:-1 gene:Dexi2B01G0024230 transcript:Dexi2B01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDNFNTPTPTASVKILGINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQGSNLKGKEFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >Dexi6A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:6A:4178916:4179890:-1 gene:Dexi6A01G0004620 transcript:Dexi6A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLFGADRRLCSADILAPDEVRARIEVAVLNFLTALTSPTSPAISVLPLVMEMCYKILGEGKLVNQRELFYKLLSDSRKYFSCQRHM >Dexi9B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:9B:9135294:9136680:1 gene:Dexi9B01G0013630 transcript:Dexi9B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAGLTLRISFRQSVVVFRPPQAAVSRAQMRTRSLSRRIAEAAIATANQFSGGGGESGCPWNEWRDWANLPSELLEEIAGRLLTADVSEYLRFRSACKPWRDCTDDPNVFDGGLDPRFRPHNWIAVPHCGASPSRRRLINTRTGAIIAEVDHSELSTHRCFGVVDGLLVLCEEATGGAVRLLNPLTGALARFPAITDVRDTRPTTAAVLNAFSWGPLTRDEIRAIEVPGDPSAINGAAIDDSTSPPTLVLALRRQVHRIICAKPGDQHWVAVHFGEQDEPEYNYHGKIVFHTLLSFRGHCYVATHRGDVMRVDLQGPPRMVYLSREMALSPGSSPYAYLVRSQDHRMLMVRYLSHVDLAEDSYQRSEIFTLKDGVHCRVEVFEVDVVGRRLIPLNGVGKYAVFVGRTYSIMLPSDKFPKLAPDAVYFNFFRQQWSHLGIYHFKDRRISPPR >Dexi1A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:1A:14039853:14040198:1 gene:Dexi1A01G0012770 transcript:Dexi1A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTMSCRMAAPVLFVLVLLFATETVTARVVSEERHCFSQSHAFKGLCFSSDNCAGVCKTEKFPSGECKMHGAMPKCFCKVVC >Dexi3A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:3A:4381731:4384815:1 gene:Dexi3A01G0006620 transcript:Dexi3A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGRWLDMASLMLASADLLLTSPSRVPDRDLECVLSVICSLVTKAATEDQALQITELICAKLTQQPDDKPALRLKVLFSLYNLLPSPYGKAFVYKKALELATAGKAAEFIIPSFKNIDSFVSEWGIGNLEQRELYLAIVRILKDHKGMTKEYFNFLNKYLATFKGSDDDSATIGDAKEEAVAAIIEFVKSSTLFQCDLLNMPAVAQLEKDEKYQLVYELLKIFITKRLDSYLEFQTANSVLLKDYGLVHEECITKMRLMSLLDLSSRYSGEIPYSAIIEALQINDDEVEQWIVKAIAFKILDCKVDQLNQTVVIRETLPVLSTQSKLTKSLKRVHKGCKA >Dexi5A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:5A:2668005:2670208:1 gene:Dexi5A01G0003530 transcript:Dexi5A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPPRCGRLPVTPPLEQPAASFLLTPLARGRGVPVPLPAGRACAHREQRGRVAQRRPPWPPDFVWLRPSPVAQGGHRPGGAAVTDDGRGADGAGHGTRSVQRRSGHEPTKKQRGKQAKRTARASRQRLTRGPSVADPGTALSRRLGPVAFTPGPRGPRDPAVAPRGRRPGSNSKRRWRPVGRWLLAAARESEWAHVVACTAAGADTTVSRSRGSLDGSSRLRCAVQVGCPAKGCAACQSMASAFGERLSWRPPPAGAANSANRHDTISPQHKSIIRHGRQELRFRKMQ >Dexi8B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:8B:8177268:8177983:1 gene:Dexi8B01G0007010 transcript:Dexi8B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSMEGAGHPQEFHGSPPTALPSAQQQELDDFWRKIQEDIENTMDFNNHILPMSYVAEIIRDYQGSFMMSSDTPPVLTKLVEIFTQELTVRASMCAKSHERTTILESDIYEAINSVESYVFLNDVLQRPRANHDQAPMSSNVPQLQQESHFLAATSTLTGNGPSDPFFKLGEQAFQFPEDNLVPTIRVQPDHLELKNDEDLNMPGT >Dexi4B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:4B:19071181:19072170:1 gene:Dexi4B01G0016940 transcript:Dexi4B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALLSGRQLLGRPVQSSVSRSSARKAPFVVRASSSPPAKQGADRQLWFASKQSLSYLDGTLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEIFGKLGIIPPETALPWFKTGVIPPAGTYNYWADSYTLFVFNMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKFLAGSGDPSYPGGPLFNPLGFGKTEKEMKELKLKEIKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLSDPVNNNVLTSLKFH >Dexi9B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:9B:5059680:5060042:1 gene:Dexi9B01G0008220 transcript:Dexi9B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWENVTNLLVRGVLGAEHVRAVGAESESSNPCSTQPLIPVVWLRKQEEEGARGRRVGRVTGDGGHLVPQHRIRRRHHPHLRLVSCACCLLFFLDSSSSTGVVALAVGRPSPLAGVFTG >Dexi1B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:1B:4706219:4708527:-1 gene:Dexi1B01G0005780 transcript:Dexi1B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDDEAPPAPAAARGGEAGAKPPTASTGGGIFSSLPQPKSAALFSSLPAPKSAPSAVAARGSEAGAKPSTASSVGGGGGGGGGGGGGGGGGGGGVFSSLPQPKSAALFSALPAPKSAPAPTFSSIPAPKSSSGNPKRVVQYRPQPIRQPTGDSSDEEEDDAKKRAARASEARLPAVSAGSGPVSSFLPPPKHSLGLGGGGGVGARRSAIDTAAPERQNLGAAVPSASIANTEAPERPDAGTSDGDDSEDSGSEEDMPVPEQQEEQEEQQVFDAGAGGQQQQGYDAGAGSTSGYEAYAWDPNYYAQYGANYGWDPNADPNYVAGAQYAAYGGEQSGGYVHSHGGEHGGGYEHVASVPYGVDYTGAYGHEVEATALPPMREPVLPPEMGRIGGKRGRNDAPAQILEVNQEELMKNRPKQDKSKLTGLAFGPSYQPAPSAKGKPSKLHKRKHQIGSLYFDMKSKEMELAERRSKGILTKAETQAKYGW >Dexi5B01G0025040.1:cds pep primary_assembly:Fonio_CM05836:5B:27120922:27123475:-1 gene:Dexi5B01G0025040 transcript:Dexi5B01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGFTGLPMYVEEDPEEAAAEKQKRRQQSRKPPRLRDTPEELAKMEFNQSMRRKLYEYDPKLGASCYTRAWCLDFTEDDSLTLTGPSRGVVFLGNTFYEVDLKIKEGKECEDKQLNKALIDVVGSRIRSVVQREIVDSWRSEVELIFALVKNALEGTVEIKIWSGPGTFYGKITACTTDVSSDMLLYDSDVQGAITVGDDRIIQLLHRVVSVSVDMMLVFDIWASSSHQNDSTTHRRLKFTPQMKGAEEDVINCGLYKLQVKVVWSVLCLGSPLCT >Dexi6B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:6B:21623056:21623706:-1 gene:Dexi6B01G0013890 transcript:Dexi6B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWDPNTSVALPDGEIFYLVALLRFCRGGGPAVDELVAQNGAILRACRANGYDHKAYFPSYRGEAEWARHFGAARWRRFVERKARYDPLAILAPGQKIFPRAQASSVVV >Dexi5B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:5B:19788539:19795714:1 gene:Dexi5B01G0017900 transcript:Dexi5B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSASPALTLSYFWPNTGHAVRPTESSATLHFIQPRKGDQPNLASPRPTSPPRQPVTCRGTSSRARPAVGSPTLSPPPSSPRAARQRPAMDLLPPPTDAPGSPGGPGAGGSGRGLRRGVGFRSLKLVSVAMDEALPADPVGATYGRLPNGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSKYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVKRFYQKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGQKAPAPLPPPVIPEFPVPSHEEPRFSCFVESEAAGVVKDYRDSLAESMFHCALNQRLFKISRRKDPPYFSCSSAADALVHPVKAYIMTSSCRERGTVEALESMLLEVARVGLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRDEFLQHFLREEPVVGIEYEAQLQKTLLPHISSAEVAKFADNFSTASSCVIKIVEPRAHASLEDLKAVVLKVNSLEKEKSIPPWDEEQIPEEIVAEAPEPGSIIDKVEHPGIGATEMILSNGMRICYKHTDFLDDQVVFTGFAYGGLSELSEAEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIKACEYFNRCFKDPSAFTVAIVGKIDPGVSLPLILQYLGGIPRVQDAPQPLSRDDLRGLPFKFPATIIREVVRSPMVEAQCFVQLAFPVVLKNAMMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVAVFLGGNKPSRTGDVRGDISVNFSCDPDIASKLVDFVLEEISYLQTEGPSEEDVLTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFAFQEEGRMKVREALTPQTMQSALQRVIPFPCRNQYTVVILMPKSSCWASVKSMLSWSSDGVSRDAKILAGMAGALVLAITLWRYSRSALKS >Dexi6B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:6B:4508010:4510328:-1 gene:Dexi6B01G0005240 transcript:Dexi6B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVKVTEELRVARLLIFSDSDDGGAAGGNTNVPPPFASAVASLRASLAETLTRFPPLAGRIVHLPDTGDAAFDCTAAGVAGGVRFIAAEMIGVDAARLAGEEEHDAEVFRRLVPELDAGELPAETMAAQVTRLRGGMAIGVAVHHAVVDGRSVWRFLEAWAAACRGGGEDDDDDVEPPPTFDRAAIELPSGEELARAVLRKHAPDLPKAAVAGRLVQPNLSRRTFSITARDMQCLKHRIADVSPTGHAATSPSSFIAVASLAWVSFVHAKHRAGNVSPNDEVYLSFFADCRTRLNPPPGDHYFGVCISGCLARVTARDLLAENGVGVAAVLVAEQVRRAMLDALAGWDWRSTVKEVDKDRVVILSGSNKFSAYEVTDFGWGLPARTELVTMNHDGQVVLVAGKKGGDSDGGMQASVSLHPAHMGMYKSYFLSYFR >Dexi4B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:4B:7457325:7461248:1 gene:Dexi4B01G0010200 transcript:Dexi4B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAPPPLPLLAAASSPAAAQLQRARRRQHQRRGWRRPRGLLAWGSLVAFFFVMNWWMFSRLQDPATRPHFRLRRHSPRADTAASNSSSLSTLEEVAGAAKGKGPHRVMLTRLLALAAHALAEAETRPEPIDLWEEPINATLWKPCSDQREWEASGGPNGHIMISANGGINQQRVAICNAVTISRLLNATLVLPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKDLPVELQSLDLEAIGSVVNDTDVMKEAKPSLYVKKILPILLRNRVVHFIGFGNRLSFDPIPSDLQVLPHLSDISQFAVKSASSANKSDASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLTELKRTAKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGRHRMAAISHLYPSLVTKETLLSPSELEPFKNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGNLPTIRPNKRRLASILVKNATIEWQEFEIRVRKLIQQTKQVHERPVARSIFRHPRCLECMCRTER >Dexi9A01G0037450.1:cds pep primary_assembly:Fonio_CM05836:9A:41719141:41721549:-1 gene:Dexi9A01G0037450 transcript:Dexi9A01G0037450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGQRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARSNLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIAHGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVVEGSRVIGDPAKSAARVVNNGWAAGRLTSTIAGEENFVFSSNSVLRQ >Dexi6B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:6B:8380994:8382418:-1 gene:Dexi6B01G0007180 transcript:Dexi6B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKGPAALLSTLPTFFTGKTRSLGALDPLLLLPLPLHPAVRRAAAFSSFPSSSSSTNTTASKATLLASAAATSAATHQGPAVTTTRSRSTPETARGRHVFEIAGYSLSKGIGVGKFVRSGKFAVGGYDWCIHYYPGGDGRGGSVGVFVALLTRGVEVRALFDIRLVNLVTGGLSPSIGTGKPSVFDDVGWSWGYQNFQNREFLEASEYLRDDSLVIQCDVTVIMGTTPEPQSEALPDIVQAHLCDTKASGSDIKSSLCDVQATTSGVQEPSTDMQATSCYDIQVPPSKHLDGLQDLFGRVKTPNTKISVKGEVFHAHRSVLEARSPALIALEVGDEQETTIINYIEPDVFKVLLHFVYTDSLPPMDYVEGDNKRKIVEGLLAAASIFSLDRLRLICESILCKNLSVNNVAAILNLANWHHCKQLKDACIRYITSSDRLDDVMASQGYKDLKVACPTLVAEISSKASKSCKIR >Dexi4A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:4A:17653061:17654710:1 gene:Dexi4A01G0015080 transcript:Dexi4A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSYTNGSVFEVSVEDGRKDKSEAFADVVDEQPPEADGADDGDGLGVCAMLSSVPFIQQLIAEFLATFFLIFAGCGVITVNQKNGMATFPGIAVVWGMTVMAMIHAVGHVSGAHINPAVTVGFAVPAYVVVQMVAAIIASLMLRLMFGRSNEIASVTAPTGSNVQSLVLESIGPALVGNKYTSLWVYILGPFAGAAAGAWAYNLLRPTDRTLGEITNSARRNN >Dexi1A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:1A:25174334:25178358:-1 gene:Dexi1A01G0017860 transcript:Dexi1A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESDSHVGERGLVPVGGSSGRHEALKNDGFVRRDQSWYVNSDIPSDLLVKVGNVSFHLHKYPMISRSGRMSRAIYETSSSVAVAASGSVLDTTDTSSSAAVAVVELDDVPGGAGSFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKAEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGGGGNRPPRAGGGGPAGGGGTASPRWNLGGGGGGSGGGDSKESSPSRQGVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDAPHGGALADEPWAHAQATPGGGLHMIISGAGTGKDDITGGTSAPAREQRMVVESLISIIPPQRDAVSCGFLLRLLRLAIMLRAAPALVTELEKRVGMQLEQAALSDLLIPSYGSRGDTTAYDVDLVQRLVEHFLVQEQTELLASSSSPGRGDPPAAGMNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPDSARSCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAAASSSSSSSVAAALAANKAPEAVAGGGKNQGPASAWSSGWRKLGRLAKMSGADAAGTDGHVPVGAPGEAPRKPRRWRNSIS >Dexi3B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:3B:2094389:2095232:-1 gene:Dexi3B01G0003200 transcript:Dexi3B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFNPCVKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIISYESPRPNIGIHRLIFVLFKQKRRQTVTVPSFRDHFNTRQFAEENDLGLPVAAVYFNAQRETAARRR >Dexi1B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:1B:11440620:11443281:1 gene:Dexi1B01G0011330 transcript:Dexi1B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAMRRQQLTGLRVGICFHLGRDSDTSVRLVPAAIRGRRSLGDDGGLSELDVLLADLPRCIALGEAVNESAARHGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGGNYFGGFLLFSCVLLLDYLKEFEKYLLTRKHRAGDDAVNGLLQP >Dexi9A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:9A:14941732:14942625:1 gene:Dexi9A01G0019920 transcript:Dexi9A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGGCGIISGQLKATDGARVVKLQPGHDAVVVVDVLARHLLGHLPRREILLADGTLGTFRFSNHGLRNNDVRECREGRLGGRWCAVAVGIVLGELLDELLETRANEVIPEACPADAAEAKTGAEADAGVVEEDLDVGASLHEASGSFSGVAVPAAERAPPPPTLPPGCSRSPRKVLRMEEMSLDRDGEVAADEEEDEKEGDPPEPAAGAVPVAGPVLAAGIVLEPKGNGKGSGMKVGAGTVTSSRKSPWHSGQESSPAAAAEAAMRTVRLHW >Dexi4A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:4A:18190648:18197817:-1 gene:Dexi4A01G0015310 transcript:Dexi4A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAASFLLCALQVPASPEPSRAEGGCVKVDVSVARNIPETLFGVFFEEINHAGSGGLWMELVSNRGFEAGAFHTPSNIDPWSIIGDNSSIAVATDDKSCFSRNIAALRMEVLCNDCPAGGVGIYNPGFWGMEVALLKATGQKMLSDGRKQLAHGKRGLGTMETFGITGLMMALAFMSFSNLLKTWALSQSGSSMLVEFCISHSDAVNSSMVEPFIKAFVSEYAVKDEKDPGNATLFAALAEAAFLIALENNSDVVEMASYAPLLVNNNDRSWLPDAIVFNSWQQYGTPSYWMQMLFRESSGAVLHPIVNFGSRAINLTIRATGLEAGVSATGSRITVLTSSDVMDGNSFNNPNNVVPVTRELPSAGEEMQAFLSPYSFTSFDLALEQ >Dexi2B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:2B:28386016:28389277:-1 gene:Dexi2B01G0018010 transcript:Dexi2B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFCNQQIWAEVGESEGEKNKALLEIERECLEVYRRKVDDANRTRVQLHQSVAAKEAEVASLMATLGEHKLYMKKDKGVVSLKEQLAAVVPVLENLKCKKEERIKQFSDIRLQIEKIRSELSEHNEQSDKASSLAADEHDLSTRKLNSYQTELRALQKDKSERLRKVLEYINEVQYLCGVLGIDFGSTVNEVHPSLHQNGVEQSRNISNSTLEGLASTISKLKSERKSRIHKMRETMESLCQLWKLMDSPEEEKRQFSKVMSILILPEEGITSPGILSQEIIEKMEVQVDRLTKLKTSRLKEIVMKRRTELEEICQNAHIEPDVSTAPEQTDALIDSGLIDPSELLANIESQILKAKEESLSRKDITDRINKWIAACDEEAWLEEYNQGRLISVLEEYRLSRQQKEEEKRRYRDQKKLESILLAEKEAIFGSKPSPRRTGSLNRKTNGYRPNGNANGLKTPTPRRSSLGSATPELLTPRSYSGHNRYFGDVRRLSTSHLNFGDDSLSTFTSISGSEPESPSLG >Dexi9A01G0046140.1:cds pep primary_assembly:Fonio_CM05836:9A:49499264:49508193:1 gene:Dexi9A01G0046140 transcript:Dexi9A01G0046140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTPTAPNPTPPPPPASPAAGGGDDTAVRAEVDKALAYLQRGSHARALRLMKDAVARHGEGSSPLLLRAHGTVHARAASVLDDPAARKRHQQAALQAAQRAVELAPDSVELAHFRAMLLFDAADDARGYEEVVSECERGLSIDAPSDPAPHSLRLPGPDVDQVHSELRNLIQKANLASISTWVKTLGGAGDDKLRLIPVRRIAEEPMEGRLVPSMPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKEQTNGAAADTPASSQSRGDEAPSSSSQSSAGGHRADRRRGGTRKPTVPSASDRMVQVRAYWATIPVEQRLAFLKTSISDLKSYYAAATHKDKDAANVASDVLNEVLGFAAKSGKWEFWVCARCREQFADAESHRLHVLREHVGILSPELQDMVPQEIDSEWAAMLIGWNWRPLDATAALKLLEEEQADNLGLDRDKDSMSTDNWSSKDKSDTSESSASPHNEECDGFGVVMREDDRKWPLSDDGERADILERIHSLLQILVNNKNLSVGNLNKVIQFAIEELRGLPSGSLLLNHSLDESPLCICLLEASSLRKILKFLQDLVQSSGLNRHLDRAEGLGDRDTSPKSHDVLENVTLDAESSELIIDGESFVSKFESENVDTDALLSWLYAGSSIGEQLLGWNRMLEERSHQGIDVLRALEREFNSLQNLCEGKLEHLRTEQALISVESIFAQENTERDTQGHYQGYEELLRKRQEELFELSTGELTNSSRCELDALSTILNEVRSPHFGYNESFSGMASRLSYNESLPSMAPRLTDIEADEEGWNVRDLMRSNDSVVHMVVSRLKEQVTMEVSKADAKIMRNVAAMHQMEHNLGPSSSLDYRLILTPLVKSFLQSHLEELVDKDARDRSDAAREAFLAELDLDAKKNASKGGDMKPYNEKSKDKKKLKDSRRFRDIKDSSWNDHHLVRQDSADEETREKFQLVADCDDLDCKLSTSDDYFNEQEEELRHRVQLEAEERKLEETLEYQRRIEEEAKQKHLAEQFRSTYASSVVGAAGLSSTNRGQNCHESALDNSSLAYLEGIKFGDFRYSEVPLREHPNYTKNNFREKHNELDSPGAQSLTSSDMSISKLTLRMNGIWENAQHLKSQGNPNIQKPKRSTNEPQKKYIQGVPGAIYDDDDDRASGPKLGMATPRWSSTGKIGPSANHSYCDGKQNQLHVLPSDDRQFVNKGHSAGMEKPNFEKVGSGAIPSADVCIEDDFDKRFQEDLDEAMRQSLGYDTNPAGTVSTSNETEVYGAGLKNAAGEYNCFLNVIIQSLWHIKRFRYEFLKTSSLHKHVEDPCAVCALYDIFIDLSKASKGQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRTVCHVKSNEKNSIGSWDCANISCIAHSLFGMDVYERMNCHNCKLESRRLKYTSFFHNINASSLRTAKMMCPNYSFDELLKVVVMNDQLACDQDVDGCGKLNHIHHILSSSPHVFTVGKHSAFGRFRMQLFCSVEVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWDDVLVMCEKGHLQPQVLFFEAVS >Dexi6A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:6A:3677466:3693571:-1 gene:Dexi6A01G0004010 transcript:Dexi6A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPALNGLQELELSLSWTPIVLSNVLRFSRTLRVLSLCCSISGGLVFPAAMLIGFPQLEVLTLKGVTIPEKTLHGILSGCHALQSLVLHCNTGYNHLRINSRSLRSIGITGTDHPFLGHKFQGEKMELVSLPNAMRTVKTLALHMTPDNLDIVIDYLTWFPCVEKLYMGKSNRVKPFVSLECLDEHLKILELKGYRGLVSEVSLVSVASYVVQVLDSALSLSRVIIGYLVGGISRLPDDILGAIITLFPYTEAARTQILSRRWRPIWRSEPLNLDAFSFRAAQAVLASHRGGPCRRLSLTWRDSFYVSEVLQLPGLDGLHEFELCLCYFPSRPPTILFKVPRYSPALRVLTLCCRRSSGRLELPAAEAAAELGFPHLEQLTLKGVIIRESTLHGILSRCPSLQSLVLQCNAGYSHLRISSQTLRSLGVSVIDGHKFKGEVVIEDAPLLERLFQDGPAYRDKIRVIKAPKLKMLGYLRDSYSELLVSTNRELEKRELVIMPHAMATVKILALDVAPHNPDAVIDLLTWFPCVEKLYMVLGHSKVRNVHWKSNDVSLECLDEQLKILEFKGYRGIMSEVMLVRFFLSNARVLESLNPRKICYKKWISTQRHKLRPLGPNAPCGVQFFFEPEQEHRPRLSSCVPIKHIHNLAMDDPFDTSSCTCPRDDDD >Dexi3A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:3A:9190174:9192081:-1 gene:Dexi3A01G0012640 transcript:Dexi3A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVTSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNATHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIVPDGVNAKLLGNHGRLEKRAPGKAFLEAVA >Dexi3A01G0034500.1:cds pep primary_assembly:Fonio_CM05836:3A:39644635:39647266:1 gene:Dexi3A01G0034500 transcript:Dexi3A01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLEVAVRAAVAGDLRPIKKLSRTMDLRRGRDLNGRSMLHLAAKAGALDFCRFLTPILVATEIEDDEVDIVPVLRYLLARGGDPAAADEKGYTPLHNAAEFGHIKAVRLLLSKGVPVDPLNSRGTPLHLATAMDHDQVVKILLDHGADAGADVNFKSPSGRPVLFQAVDNGITDIVRFLLEAGADPNIDDGEGKIPIMIAAAREQRELV >DexiUA01G0017850.1:cds pep primary_assembly:Fonio_CM05836:UA:37983401:37984600:1 gene:DexiUA01G0017850 transcript:DexiUA01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVITHHIYNLGPGVDDHLIEKILDPSYLDGEASTFSDLQGILKSSGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRAYAHCAKKSEGITLLLINLSGNDTNHIYVTSEGAQTRSARKEGRRFGHIPGLGLAAEITREEYHLTPKDKNLQSQQVLLNGNVLATDANGEIPNLEPVQVEGKQPIIVGPYSIVFAHIPSFYAPACR >Dexi1B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:1B:22058313:22059308:1 gene:Dexi1B01G0015470 transcript:Dexi1B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCFPSEFRTTAAYAVHFPGAEGRNPALVDPSNGSVHEIPSSGVIRTMRGKRCLACTGGSNRWFLVLDEVTRACFLTTLFTAPVPEQSEPSSMVVPLPPMPDDPPHLESFLFNCALSCSSAAPAPGCVVVLGLIGETFLRYCRVGDETWSHVEVTHDDYVDVFDGAVAFHGGKIYATTNASYCVVVDASGPTPAALRVERTDIKIPGPFPSHHPTRPCLVDGIGDTTLFVGNNSLMVSPATEAGTEPNTIHILSSRGDGVRVFTLSLRDMTIRCTFVGMDEDDSYDETIAPPETNAYWSLPSNGR >Dexi3A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:3A:4751174:4751593:-1 gene:Dexi3A01G0007020 transcript:Dexi3A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASTLSGHAAAWLRPLPRRPAAPLPGQLLLGWSHHPRAQLQPRRVLLDLGGARGYRRMARRLPPARPDGYSTSEGEVEEEDPDAREWEETPEPAAAGEEDGDDSEEVEGYMLDFSSFPDGDDEGGEEGAEEEGKK >Dexi1B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:1B:23990717:23991645:-1 gene:Dexi1B01G0017650 transcript:Dexi1B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETLVNLAPVLDMRIFSSSKPSFIKMTEKGAVHAIMGMLSSSVKPWHCAAAELIGRLIINPDNEPFLLPVIPQIYKRLVDLLSVPAYDAQAAAVSALYNVAEVNMDCRLKLASERWAVDRLLKIVKTPHPVPEVCRKTSMILESLVSEPQNRMHLLVHENTFAEILTTEGKYSDTYARILYELTARPSNKGIGGQAIWGNIN >Dexi9A01G0029450.1:cds pep primary_assembly:Fonio_CM05836:9A:34335411:34335797:1 gene:Dexi9A01G0029450 transcript:Dexi9A01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLALFLAVSLLFAAAAHGCEPYCPGPVVPTPPVVPTPSHSHGRCPIDALKLKVCANVLGLVKVGLPQYEECCPLLQGLVDLDAAVCLCTAIKADVLGIHLNVPVSLNLILNNCGKICPADFTCPQ >Dexi5B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:5B:7887145:7887995:-1 gene:Dexi5B01G0011160 transcript:Dexi5B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTILLLLLILCAARFDGAMADQYWTPATATFYGGSDASGTMGGSCGYGNLYSAGYGTQTTALSTALYGDGAMCGACFLVTCDASRTQYCKPGSPSVTVTATNFCPPNYGDPDGWCNSPRQHFDMSQPAWETIGVYQAGVVPVNYRRVPCSRSGGVRFGISGHDYFELVLMTNVAGAGAVAAAWIKGGDGTEWLAMSRNWGQNWQSGVYLDGQSLSFKVQTDDGNYVTAYDVAPSNWQFGCTYQSSVNFY >Dexi5A01G0022540.1:cds pep primary_assembly:Fonio_CM05836:5A:26668704:26669537:-1 gene:Dexi5A01G0022540 transcript:Dexi5A01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEFFHDELSSLFAQRPAAPGEMLMAHQQQQQAPASWFADYLNAGPNGMGTTDYDLLFRALDLPVPGDDVKREPLLVVDTGGGFAAATPTPSGGGTAPVTPNTTSSMSSSSSEAAGGGGGGGGGFGALEEIEDSLKKEEGEGDGEGEESKELGKGEEDDADKSNKKGLRQGKGVNLG >Dexi7A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:7A:13853738:13853989:1 gene:Dexi7A01G0003780 transcript:Dexi7A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILERLLVFGEFEVPKMLPSDDAALSILLRLPVASHAAMSWRERACPRGFGCVAGARLPGPRLSGMGRGERRSYLWSSVGG >Dexi6B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:6B:26533443:26537126:-1 gene:Dexi6B01G0019970 transcript:Dexi6B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLFGLGDAQMQMQPQQPQPPAAAAAAPAPKKKRNQPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARVPPIATGMYGSGGMALGLSGGMAASHLQSFQNQAHSSAITGNNPAGNQFDHLMPSSVGSPSFRGGAQPASSSSSPFYLAGADDGQPGHSSLLHGKPAFHGLMQLPEQHTGQPAGSNGLLNLGFFSGATSVGQDARLVFPDHGNGSANTESAAIFSGNLMGNQMATAGGGFSSSLYSSTTATVAPPQMSATALLQKAAQMGATTSGGGGGGSSVNSLLRGLGSGGAMNGRPGGGAGGVMAAGGESSSSRSTPSQAAENESQFRDLMNSLAASGSSGAGGASAFGGAFAGMDDGKLSTRDFLGVGGGGVVRGIGGAAGTPMRHGSSGIGMGSLDPAEMK >Dexi3B01G0037000.1:cds pep primary_assembly:Fonio_CM05836:3B:39796561:39797682:-1 gene:Dexi3B01G0037000 transcript:Dexi3B01G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGATEHPRKRKAAAAEEVEDDGHEEGLISVEVVEGSKHSDGYIFRLDVHPLHEMYRLNDTRETRLGPMRVSDPTDICHPCWTACRQHVGCSMMQIFSLKLSNLPRGAATGHGPIQLYGFMAVRDLLDPLRNYVFNRSRDNPFTIQDLHSDPFIYLSGPTRGIYLQRPVLIEYDLRIKQEGGRKHDDLQLIDGAATFSELTFIQGATTNRIDGDGGARVDIARALLPQSVEATVEVWMSKLGCHSSDLELIITGFVGRIPEEIKVFRGTIGGLRDPKKFVVAVRLRSALFLYFKAPGLGADPICKFAFRAVAHGGTSEFCDLDSLSVDVKVTWSNLY >DexiUA01G0023170.1:cds pep primary_assembly:Fonio_CM05836:UA:47259315:47259766:-1 gene:DexiUA01G0023170 transcript:DexiUA01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISELTFHFFCVMYQIHATCLDFTLLSTFSPFWVYNDMTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLAASASPSDEIQK >Dexi5A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:5A:9970529:9973832:1 gene:Dexi5A01G0013240 transcript:Dexi5A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLPLSCARPRPAAPTAARASGCSYAGGRPAARWARRRAQRRADVQPETPPRGRREGGGHDPHGEGSGGALLETVRRMLLAKEEADAEGEEEEEQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAENGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATALTPVAAKLGLPFLLVVRAFMGIGEVLKFNLMESGLLCVLPWLTMAVSANVGGWIADTLVSKGVSVTRVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQVILHFASFLWSGTDAFSQSGLYSNHQDIGPRYAVFSSSMS >Dexi8A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:8A:6324503:6325819:1 gene:Dexi8A01G0006210 transcript:Dexi8A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAAMLAVLEAPGVKGREVLRLAPPRDTANEKDAVTSLIRRIVAAPGNDHPPVTSAFNVLDIGKVAELFAAWRHGLKGVTPYYAVKCNPNPALLGVLSALGSGFDCASPAEMDAVLALGNVSPDRIVYANPCKPESHITYAASLGVNVTTFDSVEEVGKMKRFHPTCKLLLRLKVSGAGGEAVLDLGTKYGAREEDVAPLLCAARDAGMQVCGVAFHVGCKVSRVGVYDDALKAAREVFDAAVVLGLPPMRILDIGGGFTAAAGGLFEDACGVINAALARHFGDIMHGDGVEVIGEPGRYFAETPFTLAARVFGKRTHGHGQEVREYWIDDGIYGTLSCVVNVYKYKPRPVPVVAAAPADDGSGDRGGGETTHLSTVFGPTLDSLDVVVQGYPLPELRIGDWLVFHDVGAYTTVMSCNFNGFSATEMKTYLASSV >Dexi1B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:1B:2764244:2765404:-1 gene:Dexi1B01G0003490 transcript:Dexi1B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVGIAGGALAPLLAPRRRGAVSFRRAASAAVVVRASYEAGVGVMATKVGMMTYFDPATGKPVPVTVVGFRDGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGAPPLRHLQEFRLTAVDAFEPGQELDFAELFKEGDLVDVSGNSIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTNTKIRKLKIVKIDNDLRVLMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >Dexi3A01G0026920.1:cds pep primary_assembly:Fonio_CM05836:3A:23497913:23500081:-1 gene:Dexi3A01G0026920 transcript:Dexi3A01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCALIVSDVMQTEQKKQAAADVLFHYSQFVMVCIGEDVRPTDLRLHLMKEVSGMPTSLKEPQQAAASPESSGEPSSSGTMKTEIS >Dexi1B01G0021470.1:cds pep primary_assembly:Fonio_CM05836:1B:27363167:27367315:1 gene:Dexi1B01G0021470 transcript:Dexi1B01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGGAHTRGHTSSSSQYSFRTSISSAAEIVGVEEVEEASPPTVEERVFVAVPEEVRHGKSTLLWALENLAKDGARVVIAHVHCPAQMIPMMGAKVHYTTVNPQRVNDHRKKVRAEAEEKLDEYVKMCMRKKVSCEKLIIDNEDVAKGLEELIALHGITTLVMGAAADKHHSKKMKSLKSKTALRLMEAAPSSCKIWFTCKGYLICTREANTTVPAIPPSPAFTEASRSSSVSSAGSHLRSVTISHTHSESEASSSNGSPRHDLIRSRTEVGLYPSLEPISTASRLYESYGRPTSTPRSSIDSWGELGRRSQNSWFDPSKNDGAVTISVSEMPHQMQEPDAENFSSPSHELDNPGVDANIYNRLTEALSEAELSKREAYEESTKRRRAERDMISALQKAEEIEKLYQHEIRQRKTIEETLVRQAQENEEMKIQHHAISTELHEVKEQKLALEQQITVMASVIKDHEEKMAANKHLLHVLQTDNEKLQQERDAAVAEADDLGQKDGQENAMPFPVETLSTEFSYSELEQATQGFDEGLKIGEGGFGSVYKGFLRNTTVAIKLLNPEMTPLQVAILGRVRHPNLVTLIGACREALCLVYEFLPNGSLEDRLERINNTPPLTWQVRTKIIYEMCSALIFLHSNHPHPVVHGDLKPGNILLDANFVSKLGDFGICRLLSQSNTAAMSQTTRSITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSLGIIILRLLTGRPPMRIAEVVEDAMDRGDLHAILDPTAGSWPFVQANQLAHIGLRCAEMSRRRRPDLAAEVWKVVEPLTKAASLTAGRLSFPPSLDDAQAPSYFVCPIFQEVMSDPHIAADGFTYEAEAIIGWLDSGHDTSPMTNLKLEHFELTPNRALRSAILEWQQQLQLQHGT >Dexi9A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:9A:2462523:2462921:-1 gene:Dexi9A01G0004550 transcript:Dexi9A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLCICSCAFTDAETGAAAVAAVDGEGSREAPGDTFAGGSYDKEAGRSDSGGGGRRRGRPGDDGGNGRSEDGDGKKCGASRTQKLDAMGQMGHSACSSLTLLRVHRAGSVGLIMDGGIESTAESHHCRRW >Dexi3B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:3B:8742616:8743160:1 gene:Dexi3B01G0012460 transcript:Dexi3B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQAVSENYANPITCFFHVLFKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTVSDIVILL >Dexi9A01G0035680.1:cds pep primary_assembly:Fonio_CM05836:9A:40261940:40273163:-1 gene:Dexi9A01G0035680 transcript:Dexi9A01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTSLTTSQQRLHPHGPPPPSPSASIPFHLANPNPNPVAAGDAGPARLPPTPRLRRPLRAPRSLSPAPLIARRAGALGFSSWTRAAPPRAVGARTAAAEAAVSPTPRYSTSRVPLPEDLPRYGNNSPNGSATSEGQASLLDQYSSINDASHGLHRKRKRHLDGASDDDEADAYSNKITEEHYRTMLSEHVRKYRRSKFKEERVKVQKFSLQVTEDPFAIPEGAAGRIRRSIISEAGILQVHYVKVLEKGDTYEIIERSLPKKQIIKKEPSVIVKEESEKTYKLWQALATKSIPKHHRNFNALLRKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLLFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGVSAPPDEEDVPDEDEEGDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEVVRLRQTSDSGLPTDDSSSIDPSKIDLLHPSTMPEKSSVQTPDLFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERMILRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNPLLSPPFGELQDVHYAGKRNPITFEINISCADRNFAYKFTDEMHDPWAKKLFLGFARTSEFNGPRQPVGLHPLIQELHTDLPILEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLLDDTQIAHKLKEISMQAKDRQKRRRTKGIKVDKEGDLTLEELDDATAEAVDQDNATSKKKKSSHKKHPKAHDHDHADKNGEAPMGGDQLDSGHIENENIAEPRPKRSKRLTKSLSEDKDSAAAVDHEKLADEAENHTAHDSGDTEEMQDGTPA >Dexi4A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:4A:7238355:7239736:1 gene:Dexi4A01G0009190 transcript:Dexi4A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHVESTTSEPPEEGSQAAGVREEEPAAPGVVVDDDDLIMSHLPIDVVARIISFLPYRDAARTQILSSRWRHVTSHPAPLSLDHRDLAWPRYDDHDVAARTTGILASRTLEFDIGGLYDLSPDPQLPPSALRFSATLRLATFAKCQFPEGKPFFFPELRQLGLEDVVISEESLHGVMAGCPVLESLLLTGRSRFECIRINSRSIVSLALRVYTDELVIEDAPLLERLLQVESRVATNLSVVSAPKLETVGCLCDLDFYSKLLAPEPTVLQCTNVVFFFFCLVKELSAVSFGKVVRSVKILAINIPSLSIGVLVDLMRCFPCLETLYIQTDKVTGHKNLWQDDVIKSLDIRLKTVV >Dexi8A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:8A:26169350:26171904:-1 gene:Dexi8A01G0015140 transcript:Dexi8A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHQPANNLLLLCLRKYLLLLAIVAATVTYIAGLNPPGGIWLETSDGHLTGNQVLVVIYHARYNAFSYSNATAFMASAVVILLLLLAVKDKHTTTEDSDNDTVFVALRVVLVLDMLALLVSYAAGASRDTATTAVASALALPILGTMSSSTRSCTDDVQCPRCVALKRRHKVLMLLAIFATTITYTAGLNPPGGFWPETQEGHRAGDPAMEERHWRRFIVFFVFNTSAFVASLGVIMLLLTKQFSEKWLKNEQAEYPQYLCISVALLGIAGAYAAGTCRKTDSTTYVVFIYLCTLGLLCFAWDKLQRDGEKDNPKTTHKQTNENAEDDDGLRTARSLVLLLATLAATVTYQAGLNPPGGFWPDDRDGHKGGDPILLAKHATRYRVFFYCNSTALAASLVVIFMIQKNCLSKKNCASKTDNNLSLRALEAVMILDLIGLIGAYAAGCCRDVSTSIYVIAVAGAVLVYVVFHLVFFTRHIKNLHEKTPTVESKRKLLLMLAILAAALTYQAGLTPPGGFWLEDDEDLGNRAGDPVLLSN >Dexi8B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:8B:11322169:11322474:1 gene:Dexi8B01G0008450 transcript:Dexi8B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASMAFTKCRESSSVNASSRVALYDGGVRGWKTERSWFGRCDAGSVPSERVNSDHAVTSELNAHPSRRTWLVRHATVKPPHAKDTT >Dexi9A01G0046970.1:cds pep primary_assembly:Fonio_CM05836:9A:50177148:50181422:1 gene:Dexi9A01G0046970 transcript:Dexi9A01G0046970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPEAASASASPTSASSAAAAMAPGVGGVEPTVTLDQVPCWSDPDQRISPLSPTSAGSETPPSSFVSFSDPLTGDDAAAGPGGRGASRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNEVGILSNLDEAHSSPGLHAAAGPGLAKECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIATGCIYMEAKNYPREPAAHVAIRTVRRFLEKQKDKIRAIVFCTTSSTDTEIYKRLLPLYFPRDKQEEEIAVLKLPADVGDENGETVIDERKIRIKPLPAGAANSKTPIPALADIPLPNSGLTRRRNSFKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQANKGLNFANLLGFGDLGSPPLSATEEYSLHSRYLAKANSMNLSDIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYAIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTVGLRTAILAMQLFVDREVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >Dexi7A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:7A:25261971:25264593:-1 gene:Dexi7A01G0015350 transcript:Dexi7A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAWAVAVAAVAVYMAWFWRMSRGLSGPRVWPLVGSLPGLVHHAEDMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFSDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHSRLLPILADAKDAVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFAMAFDRATEATLKRFIFPECVWRCKKWLGLGMETTLASSVRHVDRYLSSVIKARKLELTTGGKRSGDDATSATATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPSVERKVVGLGIRYFPNRTEPNRSVPGGSVPGKWASSSRSRMWPSARIRAARMRRRQSSREARAVALREGRRAECGAAGKKGLEEGGSAGVWREEGTEPNRTEVFGSTETGTEYRTEFFGIGSFGSPYKVVRELCAVLAASRGAEDPALWLAAPFDFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDSLEFRPERWLSADGTRFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVHPRDLGPVVDELRAAGEYDAAARATAACA >Dexi9A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:9A:7808359:7808603:-1 gene:Dexi9A01G0012340 transcript:Dexi9A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTCPPILELDDARGNVYRCKHCRTDLALADDIISKTGLGVSVFLQGFLCHNGKAYLFDKV >Dexi7B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:7B:2931470:2932220:-1 gene:Dexi7B01G0001740 transcript:Dexi7B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAVLAILSKLQSVGFCADIRVPDAAAVSDPSELFNAVLAVFLREVSIGGREARPIPAALGDGRCVDLLRLFLAVRAVGGYALVTSSPGGWAPAAVSAGVDANLAAPVKLLYAKYLGALDRLIQRLEEAHGPFLDTDMRKRQKLFIGANGVDEEKALLRCDGMEQRHVMLKRKRGDMVGMLRWVREIAENAGDGGAVVAGSMDDYFSMALEVRKVVTRKKVHRGSMVNGSNFQANV >Dexi8B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:8B:27070770:27073938:-1 gene:Dexi8B01G0016120 transcript:Dexi8B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILAGTLTAAVVNLAKDKLTSAIAGQANLLWNFGDDLEDMNSVLESISAALHEAEKRSPKERRVQLWLKRLKHTATDISDILEDYQDTSERLTAKNSEDHMAAQYTMHDLVHDLATLIMGDELIVSYDASKSKNAHSHKYCRYVLATRYDKASKLSNVLPSKWML >Dexi9A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:9A:8171973:8175761:1 gene:Dexi9A01G0012830 transcript:Dexi9A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTVEGEVRRDMWGQEYRTSSAECAAALDAYYAAFLSFGRGRVAAAVRAAAADPTCALAAAHAAHAVAPRDPAGAAAFLAAAADNLGNATEYEKAVFGTLSAMVGEERNEEVALERHFELLKIFPKDILSLKRAQLICFYLGKPDLSLKFVQQVLPENQEQNYIYGMLAFPLLELGKMDEAERAARKGLAINKNDVWSQHNLCHVFQQECRFREATEFMESCSPSWMACTSFLLTHNWWHVAVCYLEAESPLSKVLDVYDQNIMEELEKSDSEAAEVYLNALGLLLRLYVRGHEHPAKERLTTLLDALKDELAEAVYEFGNGEHKKVFDILGPDFDALGYKMIGASDEQVDVFNEVWYTVLINAGETLKAIDVLGKQIRKREGAPFLWRLLVTMLSFSFLLYIFLFQTVPN >Dexi8B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:8B:17285516:17287468:-1 gene:Dexi8B01G0009690 transcript:Dexi8B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRHGKLSSPALLSSLLLLVLQALVKPAAAACAVDTIYSFGDSIADTGNLLREGPMGFFASIGSYPYGETLGKPTGRCSDGLLIIDYFAMALNLSLVSPYMDKGADFASGVNFAVAGATALDRAVLLQSGVMMPPASVPLSTQLDWFKSHLNATCGSQEDCAKKLAGALFLVGEIGGNDYNYAFFQGLRSIESMKAYVPQVVNTVMDVAKASLLVWNAREVIELGATQIIIPGNFPIGCSPSYLSLFSGSSAADLDDRGCLKSYNAFAQHHNDQLQAAIDDLRKSNTDVTIIYADYYGAFMHLLDHASILGFDQGSLLQACCGAGGAYNFNMNLMCGAVGTSTCADPARYVSWDGIHLTQQAYKAIALSLLMEGYAQPDDAVQEIWSC >Dexi7A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:7A:22252629:22253309:1 gene:Dexi7A01G0011910 transcript:Dexi7A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTERGGCVSSHWMMLASSQASSEHVEAVRLQFLDGVDEERVGLAAGRDDAPEEGGGFASVVVRMYHGICVRWSTTSGKSSSGNSSASVRACGRCSRTEKSTALPNAPPGKNTAWDAPRARATSAVQAKKASATMQTGEPMPDTNSSNAGRSDSEARKKGTNWWSWTSARVCAPGGRPWSAGEKGIARRRRREASGGREREPRM >Dexi9A01G0043050.1:cds pep primary_assembly:Fonio_CM05836:9A:46581049:46584052:-1 gene:Dexi9A01G0043050 transcript:Dexi9A01G0043050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKSVTPGAVSHILANPSPGDAAEVPELVVQVVDLKSIGGTGSRFSFMASDGKDKIKAMLPTQFAAEVRSGNLQNLGLIRVLDYTCNAVPNNDKALIITKCEIVSQALDAEVNSEVKKEEEPAIVLKPKDEGVVVSKPANAPPVVLKPKQDLKSASQIVNEQRGNAAPAARLSMTRRVHPLISLNPYQGNWIIKVRVTSKGNLRTYKNARREGCVFNVELTDEDGTQIQATMFNEAAKKFYPVFELGKVYYVSKGSLRIANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQVQYNFVKIDQLGPYVNGRELVDVVGIVQSVSPTLSVRRKSDNEMIPKRDIVVADESGKTVSVSLWNDLATTTGQELLDMVDSSPIIAIKSLRVSDFQGVSLSTVGKSTLVVNPDLPEAQNLKSWYESEGKGTSMAPIGADMGAARAGGLRSMYSDRVFLSHITSDPNMGQEKPVFFSLYAIISHIKPDQNMWYRACKTCNKKVTEAIGGGYWCEGCNKNDAECSLRYIMVIKVSDPTGEAWVSVFNEHAEKIIGCSADELDQIRKEEGDDSYILKLKEATWVPHLFRVSVAQHEYMNERRQRITVRTEAPVDYAAESKYLLEEIAKLTA >Dexi4A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:4A:6418372:6418641:1 gene:Dexi4A01G0008580 transcript:Dexi4A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEAMGPRPFQAGGGGAGEVAGRPAPAFLATERDRPVDPEIWSDEKRMKLELVAWAKAVASMAAAKQSTSSSASMPSSSWPTVRRR >Dexi2A01G0030310.1:cds pep primary_assembly:Fonio_CM05836:2A:41286400:41296255:-1 gene:Dexi2A01G0030310 transcript:Dexi2A01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRAFEAMLKECAANRGKFAPLQQSIQSYLDSIKGATPEGAVITEALASAGRALEGPQAELVLQPLRLAVETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNKFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVSASPASSVVKDTPSSSTKDTENGEISADSQDEEKVTLGDALSINRASETPPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSRGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDAFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYACGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQKASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERTVSALSRIAQGSQIADTNSIVSSQTVSVKGSSLQCLVSILKSLADWEQLRRDSSKEGSIAESHEEDASRSLTTDETKSQEDGRNQFERAKAHKSTMEAAVAEFNRKPAKGIEYLLSNKLIENKASSVAQFLKSTPSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTVSDAEECAPKELLEEIYDSIVKEEIKMKDDLHDATKATKRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKKGVFYVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMDGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSISATVMMGSNQISRDSVVQSLKELAGKPAEQIFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNNFTFQSDILKPFVILMRNSHNSKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPIDVVPEANFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLSLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREPENNSYHGSRGEASASSNGEHSHPEANPQSSLVNSEGLPSPSGRTQAAVSPRGQSIGQRIMGNMMDNLLVRSLTSKSKGRTDDIAPPSPVKAPDDDEADKAEEEESPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLDILHKSTVEQEDKESTEEANGFIVDSSEQGNIKNLAEGKLVSFCGQILKQASDLQPSTGEAASADVHRVLDLRAPVIVKVLKGMCIMDAQIFKRHLKEFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >Dexi9B01G0036630.1:cds pep primary_assembly:Fonio_CM05836:9B:38115511:38123121:-1 gene:Dexi9B01G0036630 transcript:Dexi9B01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRPPSSARPGAPRHAHHHHHHHHSQSSGGSTSRAGGGGSGGGGGGAAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSAAPLPVSLGADARLLFSPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTARGGISSAMKLWGLVVCHHMSPRFIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGATALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIVNGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDFDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRDENTCCSEWNMAMEKLTGWSKSEVVGKLLIGEMTDLNDDQRQFLETSSACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGNVMNAVVSQAMFAPTENGWVEIQVRPNVKQNSDGTDTALFIFRYLKQVRCIQMTCLRLVTCERRLALGDSGGSSSVPSFRPTRLRLSAFRSCALVLTSSGLVATS >Dexi9A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:9A:11998060:11999606:1 gene:Dexi9A01G0016930 transcript:Dexi9A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPPPPEPEHHHRPVRFGILGCAAIARKLARAIRLVPGATIAAVGSRSESKARRFISENGLDGAAVRAHGSYEALLDDPGVDAVYAPLPTGLHARWAAAAAARGKHVLLEKPAAPCAADLDAILAACDAAGVQFMDGTMLMHHPRTHEMRNIIADKKAFGDVRMINSVLSFRVSDDFLQNDIRVKPELDGLGALGDIGWYCVRAILWAVGYELPTTVAALPGSVTKNDAGVVLACGASMRWAGSKVVATFTCSFLASLAMDLTVVGTNGTLRVTDLVIPLEERSAGFSVVATPAMAELAVGWGPPPAEVAVATDLPQEALMVQEFARLVRSVRDDGGRPEGKWPAIARKTQAVLDAVKASIDKGCEPIEIMQGLNN >Dexi8A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:8A:22023203:22025121:1 gene:Dexi8A01G0012480 transcript:Dexi8A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLDGALAGAFLREACYLEACRGHPCLVELRVAHLDPTGDSTCSSLTGASRRPRGTTVRCPLGKDDAPPLVPAPHAAWVVVAAAGSRGRRAAPQLLDLTNAKRRRSYWILRTPGAAAAAPRWAYDWFVHILSFCCPVLEDIELKSCAIHVTMFSSTKLKSLTIIGTHNEKDFPIEFQYLVIDMPNLASLRLEEIPRRNIHLVDVSSVEVASIYLDSLSFGNSQVDFSILSSLSNATILTLVSPSVFEDVVPKSGDDDYEILPNADAEIDPPCQEAVTTFSCKNLRKISIHCDPRGDKRAQIIVRIVSAHLCPLPEIKIKPLVTQD >Dexi5B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:5B:12768248:12768838:-1 gene:Dexi5B01G0015530 transcript:Dexi5B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRRPRALAASPPPQLLRIELIPIPLSTKSPSLSLFVLWRRVVSWSVRRRSCGGGPRAGGGRSSLAESTGLTSPSSSAATARTTGRGTVAARSGGCSALLLFYRCHLLRPPSSLSFASSNVPHQTSGGCAREWVGLVPRRAIAPLRYDVRFGAREVVRDDATPGSAPYQGPKYTIPVLHLEVAHTAATSLSCKS >Dexi5A01G0039230.1:cds pep primary_assembly:Fonio_CM05836:5A:39962617:39965114:1 gene:Dexi5A01G0039230 transcript:Dexi5A01G0039230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFPPSSTSLSAPSSSQPTPLHLRLKLPSQPPGISLLPFSRALQLPLRLSIPRPILPILPHAVVTSLSGGGDDDVVFDDTNNSGGGDEGHNNSSGGGGGDDEPNRGGDGSAPDDDHRGEALFVLAQLGRKLESLPADLAAAVENGRVTGEIVRRFNDLEANALFRWLLQFPGFRERLLADDLFLSKIAIEVGIGVLAKLGAEFQKRGEDIVNEIDFVISDVIMAIIADIMLVYIPAPTVSFQPPLARNAGAIASFFHNCPDNAFQIALGGRSFSLVQRLGAIVRNGAKLAGVGAGASLIGTSFSSVLIKARRAFDKGSEDKGEEIPVLATSLGYGTYMAISSNLRYQIVAGVLEQRMLEPLLHNHKVLLSAVCTVIRTGNTFLGALLWIDFARLVGIQKAHEHEEA >Dexi3B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:3B:1295345:1299669:1 gene:Dexi3B01G0001810 transcript:Dexi3B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRWLSNTAVNGVNPAMLCLLVFPVESVSSYEVSMSTEKVPPTPHRIPPSPSRFAPSPQVARVGSVNLSIQQILRATQNFSPSFKLGEGGFGMVYRAVLTDGTVVAVKRAKKVVQCYLAIHNMLCCSLQDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNEGNMREILDPLLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKV >Dexi2B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:2B:1409505:1410201:1 gene:Dexi2B01G0001830 transcript:Dexi2B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPELNGDAVAEILLRVPPDEPRDLFRASHVCKPWLRTASDPAFLRRYRAFHPGAPLLGFFYCMGCWNYSCPFVPTTAASPFPRPACGDDDYHHWRVLDCRHGRVLLVKSSGNFVVWDPITGHRQELPELGFESQYSFYSALNSEV >Dexi5A01G0030980.1:cds pep primary_assembly:Fonio_CM05836:5A:33829473:33835356:1 gene:Dexi5A01G0030980 transcript:Dexi5A01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGRGGSRESARRRSAAQRLARRVWRRGVGCATTRSPPDDSSAPLHSVSLPATASRALVLPLSVAVNGWSAVQPRPSEIYCSAFALTRPRELHVCHLAVCYWLVAVPTADSGQAPDMPTRRFLASSRGKSVSSLRPPTLSTMVDSYSGRPLESPSWDERRQNKRQVARGTGGITEIPRDPTRTKRPAYVALARKEINPGRSSSSLQNFPTQEQASTVAAREAGGRTILMGRYEIGKQLGQGTFAKVFYARNLTTNQAVAIKMINKDKVVKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKGGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSCGIILFVLVAEYDVAHGSAVMTGCDQNGFHGFYLLWKHSTLSVLHLSLQREINIEGDPPLHGNGSAEELAKSESDRIKTFYQTVLSVLVVFIVAALSGYKDIKELYSTTNHKKVHLSNLLVAEGLLLITTFWCAVVLMMFEFFIYQHGRRGRSWYRVVTILIAVTGTMLLVANTVLVIITNRNNTVLSVVLAPVLVLVSVAVRAGAWMEEERSATDATLGSKYDTAMKGTFDMATIGTMASFGLQGTIAFGYLKTPDSNQDKGDPPLDLAVCYATSTISLIMMMICAMPLALLPANMLKNLIEVVENLRHVVLAALAMMALVVSVEFLDGFVVLSVCPEAVALVLYYAVEFFSLEAGGQKLPWLDFVFRIVAAVGFSLMTGLYGAFLGTNNYSVYLKAAMFILLLAVLSSLSRLAIPIDVPEEIGGVVEMGIAGIVVIFPAAALVGAIPLVLKVFLDLYISR >Dexi6B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:6B:824582:825428:1 gene:Dexi6B01G0000990 transcript:Dexi6B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAAALLASRDVRQVASLAVSFHLRHSYYMSLGRLLDDAVASGKVRAAELQITSKMDIIEGTQDGESTERALLHGYGRRFRTLLDACPAAFGALTKLTIQMVKLDKLDLDDILLTCTRLEDLTLKYCVPGPGVLWQVRHARLTDMKISLCGIRSINLGWLPRLERFAFKGWNLATSHDLVSFGHVPRLSTVTLSQDSIYSDETLKLSRILPNTALKDLRLNFRGSNKPQDG >Dexi4B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:4B:11344792:11345070:-1 gene:Dexi4B01G0013130 transcript:Dexi4B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPGPRCLAQLDRERRIEQRPCACCCGLGPHLLSSPLTLWHVSLNLGDDDHGRAQQRKGWWRGRGAGSLGATAASTASRSSHGTFEPGEE >Dexi2B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:2B:12508658:12510280:-1 gene:Dexi2B01G0010980 transcript:Dexi2B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQVVVILLDVMAFGLGVAAEQRRSRATVTPDPAQEYDYCVYDSDIATGYGVGALLLLAAAQVVLMTASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEACLMAGSVRNAYHTRYRGIFNGDPLSCETVRKGVFAAGAAFTFFTAILGEVYYLSYSKSRDAAGGAPYGGSSIGMGPYN >Dexi4B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:4B:6026883:6030681:1 gene:Dexi4B01G0008350 transcript:Dexi4B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQGEESNAIADLDGEVEHDFSPFIRQYKSGCVVRLLPADNVPAGTDTACTGVSSKDVVIDPSSGLWARLYLPALPTKRQGKGKLPVVVYYHGSAFVIGSAAHRPTHEYLNTLASDANVLIVSPEYRLAPEHPLPTAHDDSWEAFKWVASHAAGEGVEPWLVEHGGLSRVFLAGVSAGGNIAHHMAVRAGEHINSLGVRVSGLLVIHAYFNAEESTTTGALRERSAAFWRFVCPGTSGLDDPLCNPFSEAVGGSAARIAAGRVLVCVAGKDMLRDRGVWYYESLKGSGYPGDAELHESVGEGHVFHYTKPGCEQAQLLRARPLPSADGSANRFGFVNPGEDCVPSPHFLPPLPAGSRDKLAVMVYYDSDAFVIGSTARCRVHAGVQSVGVPIRGLLVIHPDFTSEGANVMTRPWVL >Dexi2B01G0034090.1:cds pep primary_assembly:Fonio_CM05836:2B:41391868:41396150:-1 gene:Dexi2B01G0034090 transcript:Dexi2B01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPSAVRLAVAAVLLVAVGFALFTLPVEKILKDFLVWIKENLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLFSSLLTIFVLQPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFVLSVVLIICVTKVAKSALEKALAENGEVDVGTSQLPVVASPSDLHQPLVIKIDASNEDHEK >Dexi3A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:3A:13786372:13790840:-1 gene:Dexi3A01G0018100 transcript:Dexi3A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAASLGLRTGSYGSLPAAVGGSGGGGVARKTGARGWALRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKDDSSSISIVNNYEVPNAIQKSVYPSTTRPLMMSGNQYSSVVNKIEIPNRLHLSYANFTHPCEGFSVPPPLVDKKRTGPRHQAFALMPLQASTSPVLKNLNYISEEGIIANLSSPGSAFGGHPSLEQRNESFNINESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVVQWPLFWVYSYRTHMVNSRVLSLLLTLHQAYHRELLEQMIASGRKPPSTTDAPPSRKLRPGSRKAPPSKKPSVKRKKEKKSSSRRRVPKPLAGGMGAM >Dexi3A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:3A:6852909:6853822:-1 gene:Dexi3A01G0009710 transcript:Dexi3A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAPRPGTEEIPVEIVSDEEMAFIDAALAAAAAAAAAAGARPLLTSAARRTAPPLSCAAYSAAGSAGGDIEDSPLPRRSFLARFRERRALAVTDITATEWCEKQMEFVLEHGKPERTEAMKAGSDRHAQLEQEGITRELPV >Dexi3B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:3B:13270233:13271452:-1 gene:Dexi3B01G0017970 transcript:Dexi3B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSCGGGVQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVPKLAGKQLSSAAAYAAIIATLCITASYLAPHWLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPIAAAAAAAAEASPDARGDDHKPTATADSDGLVAQTKQQQQQPAVFDPFPAATTDFVFDLGAAALYDVGKGSPDDAGGFVADYSSVLDDVSENLGYGESSSNSSNNWNCAEMVLDGEVMRWASAAAAAKPEPYTELEQQHSGGYGAGQVVDDHEPLEHKFSLPCGQEQSLAHFDFNLEYF >Dexi3A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:3A:11278125:11280379:-1 gene:Dexi3A01G0015230 transcript:Dexi3A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSARHGPAPDGGGGGGWGGVAGAGPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTFELKVARLNQQLFTCQVYTDKEGLRQQQMMGTNVKHHKHYIIPSTGHKRSPAHAQLQTDTDQESKPRPYPSAKTLSWHLASENTTANAAHTFALVDTSSSKPASGKERSASPMRRPLQFNRSTSSDAMQKVGTKNQSGVKEFSTFHSFDNPKGRAIQKAPVGTKSMLAALFIKHKSAKMKKIAVC >Dexi3B01G0036500.1:cds pep primary_assembly:Fonio_CM05836:3B:39359872:39367255:-1 gene:Dexi3B01G0036500 transcript:Dexi3B01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAEGVAVQLIDGEGEFAVDGAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFKGRSQTTKGIWIARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVEVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANDKFGCITSDTEWLDLESAIQSGPIPGFGKKLSNIVDVHLQEYDKEAVYFDEAVRKGKRQHLESRIMNLVQPAFQKMLTHLRMKALEKFKTGLNSSLDSGKGFAVSVRDNTECSLKEFEQGCADAVIKQANWDCSKILEKVRRDIEEHALSIRESKLSELTRHAKEKLRKALAEPVESLFDAADQTTWASIRNTEGRKILSLFPVVARFITVFSHDKDSIPRVWTGKEDVHAIAKDARSAALKLLSVMVGIRWDDEPDGIESILTSSLLGGSVVSKIASAASADPLASTTWEEIPPMQTMITPAQCKTLWKQFKSETEFTITQAVSTQQAHRRGNSKLPPPWAIVAIAILGFNEIMALLRNPIYLFLLFVGYLIFKALAVQLDVSREFQNGVVPGIISVSAKLLPTIQNLVNKVATEQQVQHQHPLAVEPPQPQMQPPPLLLSPRSPMSELRRLHMPSSPRKVPSSPSSSSSSAMSSPRHVAEDQKPRTVVAGHENESNSADSIV >Dexi8A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:8A:26997734:26998124:-1 gene:Dexi8A01G0015920 transcript:Dexi8A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITGALPSVITKLTDLATGEYNLQKGLKNDIKFLQEELMAMKGALEDVSKVPPHQLPNGDDIWARNMRDLSYDIEDSIDEFMLQFDGRKLDNQHGLKDIIDRSLDCSRFATKSLLKSKRSRVVS >Dexi5A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:5A:22733031:22735200:1 gene:Dexi5A01G0019190 transcript:Dexi5A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRREGGGGGRGAAPGDHHTGGGGGGGGNQGSSGAGAATDAVSMDGGLREVSVSVVFSVWCLLFLLRSQFLHSQSDRPSDFYDEHGQSDSYCKVRPLEAYVLPHHNDASPTCQTSYSPPPQEASSSTAPAPTTSNASDDAPSPQSPPEAAFVGLDEFRSRIMQGNKAENDTIRPTGSVGSAHRLEPNGAEYNYAAASKGAKVLAHNKEAKGAANILVDDKDKYLRNPCSADDKFVVVELSEETLVDTVALANLEHYSSTFRDFEVYGSMSYPTEAWELLGRFVAENAKHAQRFVLPEPRWTRYLRLRLVSHYGSGFYCILSYLEVYGVDAVERMLQDFIASAGTGDADVSKERASIDVATRDAGHDESTVHQQVHAKLDGNGAGRNDSDAKNNGSGAGFVAGDAKLPSPQGKEAKPPPQVVAVAAVASTGRSHHGDGVLKILMQKMRSLEMGLSTLEEYTREMNQRYGAKLPDLQNGLSQTAAALEKMKADVHGLVEWKDGVAKDLDDLKNWKSSVSSKLDDLIKENEAMRPVPFPSSLEEMRGVQETLQNKELAVLSISLFFACLALFKLACDRVLCLFAGKGKEVEPEAEVKACGRSSRAWMLVLASSSFTTLIVLLYN >Dexi1A01G0027230.1:cds pep primary_assembly:Fonio_CM05836:1A:33056022:33058165:1 gene:Dexi1A01G0027230 transcript:Dexi1A01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANLTKQPGPRRITERDLWQEKKKPKRGAGGGGRGWFAAGEDEDFEADFEDFVGDSEESDLELGDAEDDDVVEIKPFAAKRASSSKDGLSTLTTAGYDGPAAKSAKRKRKNQYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPVAPAVAQKRRSGPPAAKASNLSVEQKPTITPAVNNLANTNASFYPPADYTLNKPFAQPHNIPFPPAMNSSSPIEDPIMNLHSDQGSNSFGCSDLSWENDTKTSDITSIAQISTIAEGDESAFINNNSNNSPVPSVMENNTVDLTDGLTDLEPYMRFLLDDGASESIDNLLSLDGSQDVVSNMDLWSFDDMPIAGDFY >Dexi8A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:8A:1814944:1817428:1 gene:Dexi8A01G0002770 transcript:Dexi8A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSDISPPPPSSPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXISPPPPSSPPADTPASVAAQTPSLPDTPASLDPDTPFSDATPVDASDADTPALAPIASALDAPGEGEEDGINDPSGGVRKHMTLAPPAPPSKKSKKKGGNSVWTRPTSRKGKKKAKQSGGHGSGGGANGAHPGPNAGDDELCQLVPATRLAAERSDDAATQPVLLSRFFKSERIEVSDDRLTAASTKGYRMVRVTRGVAAGAWYFEVRVVHLGATGHTRLGWVTNRADLQTPVGSDAYGFGYRDMDGAKVHKAWRDKYADEGYGEGDVLGFYISLPDGEQYEPKQPELIQYKGMPFHVQVPKEEQKMPPPVPGSEICYFKNGVCQGRAFKDIPGGRYYPAASMYTLPNEANCVVKFNFGPDFEFFPQDFGGLPIPQPISEVPYQAVEVKKEGPAENGIADKN >Dexi3B01G0031450.1:cds pep primary_assembly:Fonio_CM05836:3B:32908650:32914007:1 gene:Dexi3B01G0031450 transcript:Dexi3B01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHPTGSCLRGRRTDATGSLEPIHHRRGANPQLLVLPHSLLDLIRSRRAPPRPAGAEIRPWRGLLARSLWSPPGLLYSQYPPMNFIYRTAVQPVAPELPRIPEDGSPKPATTLEGLIIAEDSYQQPSPTRSEDGAANNGPGDIGTRSASLDSKSPVSPGTHTDVAEDEGCITIPYKSLPDNWNDVLEMAQLQPLDRSFLFPGNCVFPVASLSGEQVRILACLSASQQDIQAISPFRIAAVMSKNGNSLQQSPNKSSPVKSNGHDNGATGENGFQDVDNDMQSVELNGEASPSEHDILETQSRLQMEDHKQQIELMLRRFRESNFFVRIAESDEPLWSKRKATTPKMADGRSDSQGNSKASKSNLYNAISDKGIFDGSTSGGVARDTVKCYSLRNGDIVHADSTIMFSVGRMQVVLQVNVGVNKLEDPVLEILQFEKSISSSCMPENLVDGLSDSNDDPCRELLSWLLPLDRTLPPRSLAPPTLNPSISHKQSAPGSQIFNFRSYSMPTASSIQTPNNIRPPPISESQEFMPEKPAKPPDIINDGQLSFRGVPLEAERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERRTNSDAPLALSLPTMTGAALNTTPKVGEPYVALSDQYAVLVSYRCNYTVVSLNSAPATPNGSFDGVNESAKRCVPPRSSTTVKLELLPLSDGIITLDTLQITAREKGLTYIPEQSLEIHATSGISS >Dexi2A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:2A:9461603:9462400:-1 gene:Dexi2A01G0009230 transcript:Dexi2A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAARGPRAHQRRGVGGGAGGGRVRPRAWCCSFAGAPDSPDLRPLPSPSPASAAAGRKLPPKSPSAPSFHGSPTSSRLAGLGGLIDPRRILSPGRVSPIDPDGVVPPSPPLPQPAPPPPAAAAAVVAVVPAEQPVSVSAAVAAPALVAVREEGHGGGVALDLRLLLRGRDGRCVQMELDSRVLCGCSAFFAAMAPGEDVPAGDGGKRIEVDGVDNLDAFRAAVELMYEPDPIRWLAAAGVSRAIDVLEVRKRNWLESVYEFLV >Dexi9A01G0042930.1:cds pep primary_assembly:Fonio_CM05836:9A:46447444:46451362:1 gene:Dexi9A01G0042930 transcript:Dexi9A01G0042930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGAADASATSVHAEKHVAIPAVATTNGAADESKAEEDLSPASALPCGPRKTGLHLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKVIEENNSQDLEKASHVHPEANNLHAPALFPCRDMAECVNSCIHTECTDLSNHGSKKKYIPSVTSALIVGSILGLLQAIFLVFSAKSVLSIMGVKSGSPMQGPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATGKKRKKNLVGDATNIILDPILMFVCHMGVTGAAVAHVTSQYLITFILLCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARQGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDNKKVVTATSRVLQLSIVLGMVLTVVLGLAMRFGAGIFTKDQPVIEVIHRGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTLASTWRMGAARGPWTFLRE >Dexi7B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:7B:21467513:21468705:-1 gene:Dexi7B01G0015410 transcript:Dexi7B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLGLSLSLSSSLVAAPRTHHVAAMLLRSPEKRFLEMPLLPAKRSEISGEEGLRGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMHMSPPTTLTMCPSCERVSSSATAAAAAASDRRAAAAAIVSAADGGAAVCHRPIAVRPQQS >Dexi3B01G0034420.1:cds pep primary_assembly:Fonio_CM05836:3B:37172602:37180615:1 gene:Dexi3B01G0034420 transcript:Dexi3B01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVKMRMKKKTACLLKAALPSLILTSLGAQCYSTSEVVLIQRPPLPTVLCLAPLLLVRLRNQVGPRPPTRRVSPYETGQPTRRVHCGHGESSRDCSLARRLASPRLLLFLMVGLGCLPLRRHYHAVATPACANHQHHHAVNSGLEQSSTGEKSMKTPSASSPSTTTQSREAGARSLDETKPRAAIHLEQNRERKPPRACAVLCCFPGFDLLEPTVSSSNHPLFIHSNSMPAALKCPGARKSLVADKRGQKLSTAGLAPPVCRNPAHPVVGPRTPPAPETTNPTPNQPVYPTCQTISPAATRCAVGVSRPSRPAVESSDLPVPSRTDVPVRVVATVASADSRTAFHTPIMHVERGINAAPSASGSSTHCHAGRRAISAFGVTRITPRPTANHPARAADVTRQQSSAAYVCHGDAVFLDRALTFLTQDDAAAVIGSQAYTTLLRSHVHTYPSPHTWPPHLGEPHRRRHVGPATATRRAAPPPSYIYRPRQSPRRRRAGKPELSRAETRRRRTKTTTMPLQNGARAAAELQGKASASPPRRRGSAAALLVLRVATLCASACAAAVTATGGGALLGRASFRLLLAADAIVAAYSAVEAAAAAWEVARGDTALPEAVQLWFDFGHDQGFGYMALAAAAVAARDAAAAAAACGARGDAAVVLGFAAFAFMAMAALVTGFRVACFLATGSRFAPPPSSSASYY >Dexi5A01G0027190.1:cds pep primary_assembly:Fonio_CM05836:5A:30720905:30721237:-1 gene:Dexi5A01G0027190 transcript:Dexi5A01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLAPCSGCAGAVQQHLIELTAARGGAAEQRGPDGEAELRAAGVDAQATGKGLADLVAPPAEPGLLCRRPTARLRNGDLLASSGRRKKTKMGARGSRNSGEVKRCVEEF >DexiUA01G0001130.1:cds pep primary_assembly:Fonio_CM05836:UA:3547616:3550917:1 gene:DexiUA01G0001130 transcript:DexiUA01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFLLLSCLLFPFADAVGWHGGVHLGYQTAALLRWKSSLRASPPALDSWRQGTSPCTGNWTGVACGVVHRGHRHPLAVTEISLSNAGIDGRLGELNFSALPFLTYVDLSYNSLREEIPSAIASLPVLSFLDLSVNWLHGNIPPELGNMPHLTQLGLTSNNLTGRIPASIGNLTMLGALSFGNNMLTGPIPEELGKLTSLEKFALSNNMLSGHVPKSFANLTRLKILYLYTNNLSGPIPPSLGNLNKLMDLELSDNRLSAGIPVDLLNLTELNTLSLEMNELTGSIPHEIGLLHNLSFGVVVLEIMMGMYPTELQSIASMGQRQELEIEDILDTRPSLPTIAEKKEIALLVEVTFACLQTAPQYRPEMQDVYQKLVMHKPHPFASPSHGFRLEEIREV >Dexi6A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:6A:4425679:4427826:1 gene:Dexi6A01G0004860 transcript:Dexi6A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECILKLLNLVVMAVGLAMMGYGAYLLVMWLQVVPPPAPPLPPSPAPAGLAVSGGLVRLGRPLMLLVDASSLSDGTAKRLSSAWFIFAFIGVGVVLFVTSIFGCAGARNGCCLSIVIPVDKTGNFDMVYNFLKENWKIAKWVALGAVIFEVNMFYS >Dexi6B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:6B:16562158:16563092:1 gene:Dexi6B01G0010250 transcript:Dexi6B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLTSLLVLFVVGQQGHAFFYTHYTSPSAASSSRHTAEDAVDTKMAVFFREEALRVGQSLPFRFPAAVTAPLGLLPRHVADAIPFSSSALPGVLALLGVAEGSAQAMRMQDTLGMCEDPGLEWEAKFCAASLEALVEGAQGVLGTKDVREMISRVPSTGAPLQPYAVRAVRPIGGDVFVGCHQKEYPYIVYMCHSTGPARAYEVEMEGASGGGRVTLFAVCHTETSEWYKDHVAFRFLGTKPGGPPVCHVLPYGHILWTKKEAAGHSSA >Dexi3B01G0034240.1:cds pep primary_assembly:Fonio_CM05836:3B:36995460:36998911:-1 gene:Dexi3B01G0034240 transcript:Dexi3B01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRDEEAVATPLIAAPAQAAGGRSHAADGHFLSTAFLFIFSAYSAAQNLQTSINTVRAATILPSVVVQEGGLGTVSMGITYTSLTLFSVASSPLVTRMGPKRALVVGSSGYVLFILANLLPTWCVLPVVADRDPFPVGFIMRTDVSLCPGDWYTMVPTSLYLGFTASIIWVGQGTYLTSAALSHARENNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNDKDRRMLLTIPLIVYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLRSAAVIVSVGAILQAIVLFWLLLFYSPMAGLLGAAIPLFIGALWGVGDGVLNTQISALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMTAGLFISFGSFLFLTLVVEKSPTTRT >Dexi1A01G0024680.1:cds pep primary_assembly:Fonio_CM05836:1A:31154895:31157450:1 gene:Dexi1A01G0024680 transcript:Dexi1A01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGSGAHKAASGSAPSPAPPAPNPTAMLSALMSKRAKLQEELRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDDGREYGSVRSKGGSTPANGQGKPKKGGRPGGRDGKRLRQSNDPDLDDEDDY >Dexi4B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:4B:10649386:10650070:-1 gene:Dexi4B01G0012710 transcript:Dexi4B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFTNAISSIVIADLGCSSGPNAVALVSVAVDAIFRYCALEQQVPPELCVLLNDLPDNDFNNVAKHLVAFQKDAECFGPVLTAIVPGSFYKRLFSNSSVHLAFASNSVHWLSEAPEDLIKGGIPVYDADDDLRKGRRPLVLEAYARQFRKDFTLFLNLRAQELVPGGQMVISLPGYRSNESAGQSNLPWDGIAFMLNDMVSRVC >Dexi8A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:8A:158591:162840:1 gene:Dexi8A01G0000190 transcript:Dexi8A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPAAVAALDAAVASARRRAHAQTTSLHLISSLLAPTAAPLLRDALARARSAAYSPRLQLKALELCFAVSLDRLPSSSSSQSQDGNENLEPRVANSLMAAIKRSQANQRRNPDTFHFYHQPSATSPNATVKVDLSHLLLAILDDPLVSRVFADAGFRSGDIKLAILRPAPPMPLLGRLPARARPPPLFLCSFAAADDAQVPSPAAALAGAVPGEDNRRRIAEILSRGRNPMLVGGGAASAAADFANTSPYRIIPVGPTPINQTDLAPNNSDSGIILSIGDLKDLVADDDADLQERGRRVVSEVTRLLEMHRAGQTLWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITALRDAAPAAAGVMPPATTATALSKPATTSMVESFVPFGGFMCDTHEANIVTANSCPLALRCQQCNDRYEQEVATIIRGSGISAEAHQEGLPSLLQNGSMMVPSTGFDAIKVRGDQMVLNAKILNLQKKWNEYCLRLHQGCQRINRDHHQLFPHYIGVPADRERAPNPSQGSEAVLLQREVIKPSAVSASHPNTTAKSVSSPSISSQRNADLALNLQVRQSKSDEPLHNKVVQSLHSTPSNCDNRDDHAPPSSAAPVATDLVLGTPRGSSSNDSRNSLCEHVEDAEGSIQLTPKKVDDLNLKPPQSFVQPYSCSRSSLNGGQKSTSALHSAASGGMSAFGQWQRPSPLAGQNFDLSNYKVLMERLFKVVGRQEEALSAICASIVQCRSMERRRGANKKNDIWFSFHGPDSIAKRRVGVALAELMHGSSDNLIYLDLSLQDWGNSNFRGKLATDCIFEELRKKQRSVLFLENIDKADCLVQESLTHAIETGGYKDLHGGRVAELNDSIVVLSTRMIRGCQDGSRGMEQGHAFSEEKVLAALGHRLKIIVEPGTTNIGGYPGSKVVVSSRHSLGDIQASLHSSYFSKRKLSISDGREKVEEASGSSKRLHRPSSVPFDLNLPVDEAETDDGDDHSSSSSHENPCGNTDGSIEKLLSSVDESIDFKPVDFGKLWGELLQEFGNTMSNVVGSGCRLEIDAGAMEQILAAAWTSDSEEKRGVRTWVEQVFGRSLEQLKVKCKDVSLRLVACEEVLLKDEGFTFGGLLLPSRIILEDDVPV >DexiUA01G0012340.1:cds pep primary_assembly:Fonio_CM05836:UA:24961518:24961877:-1 gene:DexiUA01G0012340 transcript:DexiUA01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSAANTTPPGPISYQITPTRLRQPSRPPRLPARISRRACLHSTKRPYTLPPEPPSSGDNKPFRRRRRHCPLGRAPLPVREGRESGGWRECGCAFGFGARSRWREGGRMGRGNGAVW >Dexi1A01G0024530.1:cds pep primary_assembly:Fonio_CM05836:1A:31040703:31040933:-1 gene:Dexi1A01G0024530 transcript:Dexi1A01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRHNLGRLRVRGRKNRSLRCGDRRTEAFRQERGGGAGSNKQGMVLGAATGELETARWELSQWRKEASRRHRET >Dexi9A01G0047040.1:cds pep primary_assembly:Fonio_CM05836:9A:50266160:50268132:1 gene:Dexi9A01G0047040 transcript:Dexi9A01G0047040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLSSSSSPTTAAPSSSSPPPPPAASWLHATAAAADDCCAFCDLGRPAPQEGPEGIKHKGHIAGRVPESDARGDERVRQAQRPPALEVEKCKTDHGPNVNKTAVGLKAPMAASGVNEAALKPDVAGGASLVSEADAMPAVKPRPSIANGDAIVKEGNCKISLVSDANKPEVSGGDHLVDGSATKLEVLKGAHVANEAAHDPESKAATAPGVTSIVLDVTAAPSRLNESGTIFKVTRRTSLARTEGADGPEVTGAASIMHETTKLESAGEDYISGEAAARPEDSGRASSNVDDTSALDKPQLPSCNPNKGNAQLGNAGDASTVQLSGFDAAKVGDSVNSTSNGPVGAKAPTVEGAMPNDRSVTPSVSCVLDIVARSIGNSGRTDVICYARRRGKRKMALLEVKTENIELEDSAMFEKEALEGTDRCESVLSTAGPADVKLADIKKELMDNSAASKVKKTKRNKFECNIDYCRMTFKTKTELSVHKKNMCTVKSCSRLFRSHKYLRRHQSVHNDDMPYKCPWDGCGMSFKWSWDRAEHFKVHAGVKPYKCTTPGCNKIYKFVSDFTRHRRRCKPQR >Dexi1A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:1A:26623587:26625069:-1 gene:Dexi1A01G0019550 transcript:Dexi1A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSLRPSTAQVPARIRHLSSVDVGGRHLVFRAAPPRWQPAARRALVVEARGGRSWSERQMQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNVITGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDEIIDTAKAQYRVLKTENEFRYGYKVVEKGNIRSALTTSNVIELPKKDELKTVVDKVKDFFGDVTAGAKESFAQITGSAVSKEEEEAEGKEEKFRSKRRKKRKSKQGLSEYICFTTAALFFL >Dexi7A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:7A:21907654:21909290:-1 gene:Dexi7A01G0011410 transcript:Dexi7A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGDAGPSVQLWWGAEATQRRISEVVAPRPQRTCGSAPALPAPSTGDQRRHAATSPAHGTALLLPVQIDPPVLRWTELRRQQAMDRDLRPWLPSCGWSRRQRQICARAGQLDESCTTYARSREGGSIGAPLTGRGRVIQVAGGVAPSLAREAVDEEDAAAQDVNDNAAEVEVDDAEAKEVNSTTRAKVAEFAQSQDVFFARRGESYARLTNLGDRTSADGEIWRTCSSVNG >Dexi7A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:7A:10278989:10280888:-1 gene:Dexi7A01G0002390 transcript:Dexi7A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVTVGTTCFDALVKAVDSDEVKEALLHKGYTDLLIQMGRGTYTPSKVSGNSTLEVDYFSFSPSIADYIKKASLVISHAGDNQIIYLVRSMVTSFSLTGSGSIFETLRLRKPLIVVVNEDLMDNHQSELAEELADRKHLFCACPQTLQETVQAMDMNNLLPYMPGDAKQVVTLINKFLGFQVD >Dexi9A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:9A:9658755:9662191:-1 gene:Dexi9A01G0014620 transcript:Dexi9A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQAVRTFSPSSTSVGARSCRVLAGPASRRASRALAAPPPPRAVASSRAPLVVSSPPPPAAGSGPPAPGSAHAKVDRSGRFCSPRAARELALMISYAACLEGADVVRLFDRRISARREPGYVFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEKDSANEAEVLSAPPKLVYNNFVLRLSRELLVAVASGWDKHVDIIDKIIPQAWKDEPVARILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRVINGCLRTFVKDHVDTAGTSQAAESKA >Dexi9B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:9B:10653168:10658127:-1 gene:Dexi9B01G0015580 transcript:Dexi9B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFRNPVVAHKIGRKIQAINQKLEDIARRSSRFGFITQAINSSSDPINKASNSLSEKTGSVINQSDVVGERIEEDTKKIVDLLIKKADTPVVPKGKNDVVVAVAITGTGGIGKTTLARMVFCDRRIEENFEKRVWLSVNQEFNEINVLQDVLASFGAKYEGFAGNNCKDLLERALKDMIRQKKFLLVMDDVWSEKVVLDESDEDDVEALKDIVKIQAARSWRGEVRTRTLSFVHGGSVQSNGELVRANPSVGDHGFSPQMVVGGSVPLGGDGEIEDDISEEEHQRTEEDYACCTQLPNGLGQLPFLDRLSVERAPSIQCIGHDFLFPSLGSEADGDVKGEAPGLAGTRNNRRQSNHISRGSGVAFPKLRALTFEGMPGWTEWDWEHRAPAMPVIEVILINNCKLQRLPAGLAHHACRLRELDLRNMQHLVSVENFPSLVKLLAYDNPRLERISNNPSLQWIDISNCRELKEFDGLPSLRSMEWWDWDAEALPQYLQETNLKKLRVDCSRSLLKLIAQQDESSEWGKIKHVQQLKAYGHKTKNEEEEPDQSSQEDEEANEEEGNQPEVDEVNEDANQAKEEEDADEDKANQSEEDEADEENDQSEEDKEDGWYIYYTKEPYSFDVYLGESTGNFIFHLLSGFINVNLQVT >Dexi7A01G0024010.1:cds pep primary_assembly:Fonio_CM05836:7A:31854922:31856159:1 gene:Dexi7A01G0024010 transcript:Dexi7A01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAKTDSEVTSLAPSSPPRSPPRGTRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMASPRHSHSSVGRDSSSSRFSGHPKRKGDKAVAGRKGAPPGKGWQEIGVIEEEGLLDDEEHTRIVPKKCYYFLVFVLGFVALFSFFALVLWGASRSQKPQIVMKSISFENFIIQAGTDASLVPTDMATTNATVKFTYRNKGTFFGIHVTADPFQLSYSQLTLANGDLKKFYQARSSRRTVSVAVLGNKVPLYGGGPTLTAAPAAGGKQAASSSSVAPVPMVLRTTLHSRAYVLGALVKPKFILAVECKVLMHPNKLNKPISLDKACHYS >Dexi5B01G0024730.1:cds pep primary_assembly:Fonio_CM05836:5B:26741099:26741371:1 gene:Dexi5B01G0024730 transcript:Dexi5B01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFALPLHRQLRRVRRPSGNWAQVALPSMEAALTPAALPESGPPLAAPASGIERIHQFDAPPARRRINLAATRSGGNLQPRNRTMHAT >DexiUA01G0014370.1:cds pep primary_assembly:Fonio_CM05836:UA:30556518:30558083:-1 gene:DexiUA01G0014370 transcript:DexiUA01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRYLRAVLRQDVEYFDLNSGGSPSDVVTSVTNDSLLIQDVLSEKLPNLITNATAFVSGYAVAFALLPRLALVALPSILFLVVPGVLYGRVHLGLARRVRDQYTRPAAIAEQALSSVRTVHSFVAERTTAARYSTAMEESVRLGLKQGLAKGVAIGSNGIRFAIFGFNVWYGSRLVIDHGYKGGTVYIVCAIIVLGGAALGLALSNIKYLSEASSAAERIMELMRRVPKIDSEGIAGDVMENVAGEVEFKNVKFFYPSRPNSPVFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMALVSQEPVLFAASIRENILLGKGDATEEEVVAAAKAANAHSFISQLPRSYETQYVI >Dexi9A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:9A:5967579:5967909:-1 gene:Dexi9A01G0009880 transcript:Dexi9A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLSFAFILLLSGLLVLGEMGCTEAACAVQCIQGGYITCDNYPGKQLEGCVCECAPKDGQNCVLHHLRTGDTFNCPAQ >Dexi8A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:8A:2747974:2750369:-1 gene:Dexi8A01G0003610 transcript:Dexi8A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLFKPCVHSDLRDAFSKMSFVDKIGFLFIHAFDKRHLWHKMPVPIGLLYLNTRRTLLEKYDLLVVGSSHGALFDPKEFPYRTGDGRYNDPHNAEAGSQYTFFGRNMKPVDQEDELIRPDPFVVATKLLARTEDKDTGKQFNILAAAWIQFMVHDWMDHMEDTKQIEITAPKEVANECPLKSFKFLATKELPTNSDGIKTGHYNVRTAWW >Dexi7B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:7B:19276969:19278734:1 gene:Dexi7B01G0012530 transcript:Dexi7B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVFARTEIKKWGGYTYFIRLDREGSFHTYPDVGGQYQSLKEAQMAIDRHLEERTHPKMKADDVSSFDMLIRRRLYWPDGTSRRYSRSRELESGRDEMRRLVLALLDNYNDVNYLVEDLAYELKEVSNYQSICEECSWYYHLNFIITSKADGDREVFVEVKYVRQGELLKMFVCCFCFIDPNDKVNLLFVDEGQRCNGCTIIGNVDMKRPDSSVELAAGHSNPYHQCCELVKRESDSEDEVFTAEN >Dexi6B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:6B:10709864:10715286:1 gene:Dexi6B01G0008490 transcript:Dexi6B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHLNHIDAVVQLRPSMSHAISGRAYTRQALQSQETNVGASGSKAPSRKGDDCLEDSKDHAEDTEPWISLTYEPAGSNIATKYHDKMMSNEGGHIDFTMNNSDYVMSLCPGGSTSSKHINKCQAIRKMLSLPLEERLKKWFTEVSEVNQFDALKHLAPTYSEEEILKVLPEYAYLVRGLWVCKSSLLFDDGYASKRDRVLLEFTKRESIPANILDSWIRLDDPRRKRILFPLCKRRGILKDYKFISADLSFVKCYPHIIIEQECAWSTREMTICESLEMRNTVARKTKNSTRPNVASKGPHPNTSRGRDGPAQGSDDLMQSVLGTVFTANKVRRSVLYELG >Dexi3A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:3A:11441681:11442169:1 gene:Dexi3A01G0015390 transcript:Dexi3A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAWSSILRRQLWISRQALDSARAGSSKHHTHQGSNRVVCLLHARQQQKQQSSAGSSRAEQAALRRGAEAAAQKQSRLPFAARGEQAALRYGAEAAEQIRLLFSVEQKQQSSAAQAAAEKTRLLFAGSPAVVAPASLPQPVLVAPAPTHDLQQASPCSSC >Dexi2B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:2B:5399127:5400227:-1 gene:Dexi2B01G0005830 transcript:Dexi2B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHMDKAAGAPATTRSKGLSLLVAAVHNHKDIYRVDLKLIDAASGALVGKMDGQRIGKLLATGGLICHVPTHRTTLRVLNPATGSVNHIPAGTTTTTKNTVGHDAARQISSSSYVLGQIPNTIEYKVLRIYTPDKDYIHTQSCEILTLDGCGDYIWRPAQSPLLPVDTSIARHGAVAQGFVHFFMALHGLSISRVGQYNGIASFDLAKEEWKPSLLPTPITVDERNCHHDSLSLVELNGCLVFVYHDYLNFCINLWMLEDLAKGKWLKMECIQFRSVMLGWREPDKSQPVILRATQVRWPGEIFALPLMVLDDGCIVFWVQHPYGAVRVFDPKTRGFKNVVNMGKICNIFGKYKTGQVGFTL >Dexi3B01G0034650.1:cds pep primary_assembly:Fonio_CM05836:3B:37349618:37349992:1 gene:Dexi3B01G0034650 transcript:Dexi3B01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASARPLAVVLLAAAVALMLAATAINPAAAGVTGGGAGGRMLIIRRAPPGTPTSGGGGRSRWKHQTVEDEVAPEFGAMLATNGRFVSSGALTASKAVCIRNCGGKGGGSYTRPCTYKGQCRGG >Dexi5A01G0028500.1:cds pep primary_assembly:Fonio_CM05836:5A:31751924:31752172:-1 gene:Dexi5A01G0028500 transcript:Dexi5A01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVDLEEAARPADYYDIFADPRDDEAPPVWGYRAPGCWSRMSVEDRHLHPNPNSDAPCDGAVFRRLHLHGGPQPSLLRRR >Dexi9A01G0032730.1:cds pep primary_assembly:Fonio_CM05836:9A:37611984:37615516:-1 gene:Dexi9A01G0032730 transcript:Dexi9A01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPSPPLPSAKLGRGRLPPLSPPAAGGQFVSHGVSSRQDNRICCRSSLRQNTIVASQNENPPLMPAIMTPGGPLDLETVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVGFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >Dexi9B01G0037690.1:cds pep primary_assembly:Fonio_CM05836:9B:39007524:39010568:-1 gene:Dexi9B01G0037690 transcript:Dexi9B01G0037690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKGRAPLSVVVAIIGGLALAGIIFTDDLRSLTEIMEKKKDKQKEQKQTPLPAGTRMMLTRPVTPPRSVEEKISPPPQPAKEAFDPSRCSVTEGYWAYDRSKKLPYTDQTCPFIDTQDSCQRNGRPDSDYLYWDWHLDDCNLPRFDPAAVLEKLRGKRMVFVGDSLQMGQWLSFVCLVNSAVPYTARSMERTTTLSVFTVTEYNATIEFYWAPYLVEANSDRNIRLGANGRVLHVDAVELHAKHWKGADILVFDSYVWWMSGSRIKTVWGAFGDDGYEELDAWVAFRLGLKTWANWVDANIDPNATRVFFMSEDWGREGGIRCYNETWPIMKKGYWGSGADRRMMGVMSDVLGRMRVPVTLLNVTQLTEHRVDAHVSVYTETGGEVLNDAQRADPQTYADCIHWCLPGVPDTWNQILYAHL >Dexi2A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:2A:3091117:3092139:-1 gene:Dexi2A01G0003540 transcript:Dexi2A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSSEPHVVEDIRGLVQLMSDGTVRRSADPSSFPAVVADDVDAGDGDDDDSGVEWKDVTWHREHDLNARLYRPGHLGAANDARIPVVAYFHGGGAGGGFFCLGSGRWPGPHAWCLRLASELPAVVVSFDYRLAPEHRLPAAIDDGASAMAWLRASAAHDPWLADDADFARVFVSGASAGGNVAHHVAARFGKVGLGAPVRIRGALLLTPAIAGVARTRAEAAEPLTADMIDKYARLFLPAGATREHPAINLTGGPEAAALGAVAMAPVLVVAAERDVLRERQAEYARRMKGEWGKEVEYVEVAGVGHGFSEADDPWTQQADELVRIVRRFVVKHMDQE >Dexi1A01G0029900.1:cds pep primary_assembly:Fonio_CM05836:1A:35304670:35313403:1 gene:Dexi1A01G0029900 transcript:Dexi1A01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEERLDVLNAAGEKIGVSKPRHAPSSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLFSAQRELEEELGIKLPVDAFELIFVFLQECVINNGTYTNNEYNDVYLVTTLSPIPLEAFTLQESEVSAVKYMRCEEYKSCLAKESGEYVPYDVNGKYGQLFNIIEERYKDNTESRSLTLQKQINRYAPIHLEPDLTNLSEGDREALGYILKAAIVIDDIFHEQVWDSNRMLRDWLKGHSESSSLDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVSRWKGVEYRAAFPLDKPPGANFYPSDMDKMEFELWKSRLTSKEQKDATGFFTVIKRHDSLSALSSTQSDGLDQAKTSDDLFIVPYSKEYRSSLEKAAELLEKASVCSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFIGIRDNIATSQVKLFGDQLQDLESNLPMDNVFKSDNVSAAPIRVINLLYNSGELQECHSALEEAKADIVGLWALNFLIKKGLLPKNLSQSMYVSFLAGCFRSIRFGLDEAHGKGQALQFNWLYDKGAFVLHSDGKFSVDFTRVEDAVESLSREILTIQAKGDKAAAWSLLQSRATLTQPLRVALEKIEHMQVPVDIAPNFGTANKLLGKV >Dexi6A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:6A:26773593:26777406:1 gene:Dexi6A01G0019130 transcript:Dexi6A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQVDKKMLGELEAMGFPTVRSIRALHFSGNSNLESAVNWLLEHESDPDIDQMPLVPREITIECGDTSNEVRNVAQGTRDTLQEQTEELTETGRQNGTSHLERELNADEQEEEDRKRILALYKQNRDEEGRARGRIRNELQEDQRERIRAAKDLMEAKRTLEENQRKRIIESRIADQEEEKRARERVRQRIADDRVKPVERVVTSEQLRDCLRTLKKNHKDDSARVTRAYQILLKIIANIVKNPAEDKFRRIRLSNPVFKDRVGDLQGGIEFLELCGFQRLSASGFLFMPRDKMDMALLNAAGVEIASAMENPYFGMLSK >DexiUA01G0015770.1:cds pep primary_assembly:Fonio_CM05836:UA:33543382:33544646:-1 gene:DexiUA01G0015770 transcript:DexiUA01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASVATTVTTTTTTASSSPLSSPAPSFAAGSGSSFRGVHQIRKLSGCYECRHSVFDPRSLAAAAAVFHCSDCGEVFAKADSLELHKATKHAVSELGPEDTSRNIVEIIFKSSWLMRKQAPVCKIDRILKVQNSDRTRFEQYKESIKERASGDEGKKNARCVADGNELLRFHCTTFSCSIGAAGGTALCRSPEMQCKLCAIIRDGFRVDGDGKIATMATSGRAHDVAEVVSEGEKKAMLVCRVVAGRVKKACGTTKSSEDRDVDSVSPSSETWLLSHLH >Dexi4B01G0021130.1:cds pep primary_assembly:Fonio_CM05836:4B:23166396:23169575:1 gene:Dexi4B01G0021130 transcript:Dexi4B01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNLPCSSSSTSKLPVPFFGLAFVLLFSSASLVSSCTEQERNSLIDFRDGLSWEGNGGLNMSWINGTDCCQWDGITCTNGVVTEVILASKGLEGEISPSLGNLTGLSHLNLSRNSLYGSLPANLVFSSSITILDVSFNHLSGPLLEQQSSIPGLPLQVLNISSNFFTGQFPSTALEVMKNLVALNASNNSFMGPLPSSICNNALSLAMLDICVNEFSGTISPEFGNCSVLKVLKAGQNNLTGALPHELFNAASLELLSFPNNNLEGILDASNLVKLRNLTILDLGSNGFTGNIPDSIGQLGRLEEVHLDNNLMSGELPLALGNCTRLKYITLRNNSFTGDLSKVNFAQLDLRIADFSTNKFTGTVPESIYACSSLIALRLAFNNFTGQFSPRIANLRSLSFLSITNNSFTNITDALQKLKSCKNLTSLLIGTNFKGETIPQDEAIDGFENLQVLTIDACPLFGEVPVWLSKLTKLEILDLSYNHLTGPIPSWINELKFLFFLDISSNQLTGDITTTLMEMPMLQSEKNAAKLDPKLLELPVYWTQSRQYRVLNAFPSVLNLCNNTFTGPIPREIGHLKMLDVLNFSSNSLSGEIPQEICNLTNLQTLDLSNNQLTGEIPAALSNLHFLSWFNVSNNQLEGPVPTGGQFNTFTNSSYGGNSKLCGSMLSTHCDSVKVSPASMRRRHKKTIFALALGVFFGGLAILFLLGRLILSMRSTKSANRNKGSNSRDIEATSFNSVSEHLCDVLKGSILVMVPRGKGESNNLTFSDILKATNNFDQQNIIGCGGNGLVYRAELPCGSKLAIKKLNGEMCLMEREFKAEVEALSMAQHENLVPLWGYCIQGSSRLLIYSFMENGSLDDWLHNKDDPNSFLDWPTRLKIAQGAGRGLSYIHNTCKPHIIHRDVKSSNILLDREFNAYVADFGLARLILPYNTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVQVLTRSKELVQWVREMRSQGKDIEVLDPALRGRGHDEQMLNVLEVACKCINHNPGMRPTIQEVVSCLNSVDMDLQVHM >Dexi2A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:2A:12525865:12526658:1 gene:Dexi2A01G0010990 transcript:Dexi2A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAGVPVTTGSGSGRVSFASVPSSRLGRRLVARAEEEAAAPAEAAPEGDGAVATKPKAEKPPQIGPKRGSKVKILRRESYWYNGIGNVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEVK >Dexi7A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:7A:26566625:26578807:-1 gene:Dexi7A01G0016700 transcript:Dexi7A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAADGDQRWLVDCLTATLDTARDVRAYAEESLRQASLLPGTLPHNYFFPLSVMVVIRQLLLTSLDDSHGKIRTAISMAVAAIGQQDWPEEWPELLPLLLKLISDQNNGNGVRGALRCLALLSDDLDDTCVPKLVPELFPSLYRIISSPHLYENSLRAKALAIVHSCISMLGSMSGVYKRDTINLMTSILDPLIEQFSIILNSPVQSKNPDDWSMQMEVYTYRLLFRTFLDCLKLKFLATILPSLWQTFVSSFKIYHLSSIQGSEDLDSVDYDSDGSERSLESFAIQLFELWTTIMGNSRLAKVIGGNLKDLAYYTIAFQQTTEEQVQSWSRDANQYVADEDDLTYSCRVSGSQLLEEIVTAYEDYGLDSILEASKMRFNESQELKQAGSADWWRLHEASLFALGSLSEQLCEAQDSGFAKYNVRDLLEQMLTDIMVTGAHQYPFLHARAFSIVAKFSSVISKEVSEQYLCYAARAIASDVALAQLLPESNQDLIQPNVMGILSSLVDLLRQASDETLHLVLETLQSAIKSGGEQSTLIEPIISPIILDVWAQHIADPFISIDAIEVLEAIKNAPGCLQPLVSRILPTIGSILGKPKIQPDGLVAGSLDLLTMVLKNAPAIVVKAVFDTCFVSTVHIVLESDDHGEMQNATECLAAFISGGRQELLVWGGEQGHTLKMLLDAASRLLDPALESSVHLSVPNVDQFIHLLLSIPAQGYDNSLVYIMSVWSQLQGKWSHGSEIQGAYQIKVTTTALALLVSTRHPELSKIEVQGHLIKTSAGITTRSKARVAPDQWTKIPLPAKIFSLLADTLAEIQEQMGGGSDDDCEEDSDWEEVQNGDPRIPDDIIYSASVPNANPSVEHLNAMAKVFDKDEDDSYDDDLTISDPLNEVKLADYLTNIFTNLWENDRPLFEYLCQSLSDSQRSAVEKVLRK >Dexi3A01G0024100.1:cds pep primary_assembly:Fonio_CM05836:3A:19704206:19704847:-1 gene:Dexi3A01G0024100 transcript:Dexi3A01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVLFAAVLLAASAAGAVSGFHLGGDESGLVRGMLAALRERAEAEDAARFAVAHHNKNQPY >Dexi9A01G0034610.1:cds pep primary_assembly:Fonio_CM05836:9A:39370387:39370745:1 gene:Dexi9A01G0034610 transcript:Dexi9A01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANTSTRPPPHDSERLPGEPTPEVARGEVVVRGGGRPRHSVEHGAGVVEGAASEVEDEELDGEVRVRGEARRDELRVEGLRLGPRRGDVDEGLDRAGERRGCAEVARDARGE >Dexi4A01G0023610.1:cds pep primary_assembly:Fonio_CM05836:4A:26649774:26651748:1 gene:Dexi4A01G0023610 transcript:Dexi4A01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARHPNNRDLLVAVAVVSAFLAVGDVSGVGFDLHHRSSPVVRRWAEARGHAALAAEWPPQGSPEYYSKLSRHDRDLHARRGLAAADGLLTFADGNETLQSLGSLYYAEVALGTPNTTFLVALDTGSDLFWVPCECKQCAQLSGNATAQLQTYTPSLSSTSKTVTCNNSLCGEPSGCTAATNGSCPYTVQYVSANTSSSGVLVEDVLHLTKESPATSGGAATEAVNASVVFGCGQVQTGDFLDGAGLDGLMGLGRGKTSVPSELAAGGVVASDSFSMCFSSDGVGRISFGDTGSSSGQSETAFIASPVYYNVSFTSINVGSQSAAAEFAAVVDSGTSYTYLNDPEYTQLATNYNSQIREERANYSSSPFEYCYGLSSNQTEVFLPDVSLTASGGAVFPVTWPIIPVVGEINGQARTVGYCLALLKSDISTNIIGQNFMTGLKVVFNRERSVLGWQKFDCYKNTPVAGGPEASPSPGSAGPSPTKITPQQNDGGNSKPGAAPLPRSAGSLDALGGRFLLLLPLLAAAALV >Dexi4B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:4B:4012523:4016674:1 gene:Dexi4B01G0005660 transcript:Dexi4B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGRAGGSGAARKMAAAEAAERVMDDHDGWASDGEVEDVEMEVAGEVHGHDADQRDGGADDDEDDAYSLVTRVSDTSAAEARAGKDIQGIPWGRLNITRQDYRKARLEQYKNYENFPQSGELMDKLCKQVEPSSKYYEFQHNTRSVKPSILHFQLRNLLWATSKHDVYFMSESTVSHWSSLAQKSSTVLDFSGHIAPAEGLADRNVKFCTRTTLSDNAITNAIDIHRSTSGSLCITVSNNDCGVREYDMEKFQLLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFATAWHPDGHTFATGNQDKTCRVWDLRNLSTSLAVLRGNIGAIRCIRYSSDGRFLVFSEPADFVHVYSAAADYKKRQEIDFFGEVSGITLSPDDESLFVGVCDRVYASLLQYRMKHAFDYLDSYV >Dexi6B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:6B:23728745:23730971:-1 gene:Dexi6B01G0016480 transcript:Dexi6B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLRLRALGHLCYYYRRGFDRGGLAAARGARRRRRVSCSLAASGNCNGAAVGPVGSGVEVAHARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGVRYVVVKIHDSWDDFRDYFMKQDFSYKPGDWLVFGSETKGLPQQALEDCCGEGLGGGTIRIPMVETYVRCLNLSVSVGIAMYEAARQLNYEQLQYQPELPEEAQGLFPAEDIYA >Dexi5A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:5A:13261177:13264612:-1 gene:Dexi5A01G0015560 transcript:Dexi5A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPHVTLLSGCSGPIPSTTLQILPFVFPLPLRRCGRSILVSNASSSPSSPPSPEKEALAEAVPVPTAESCVNLGLELFSKGRVRDALEQFENALELNPTPIEAQAALYNKACCHAYREESKKAAECLRTALRNYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETAGNAAINIGGIVVLVALYFWENKKEEKQITQISRNETLSRLPVRLSTNRIIELVQLRDINRPGDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGTGMPNWNDILQELPRLEELMSKLER >Dexi7B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:7B:14572366:14575355:-1 gene:Dexi7B01G0006830 transcript:Dexi7B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVVRVAWAWLLLLQLAGASHVVYRDFETKAAATEKVPPSIVDPLLRTGYHFQPPKNWINAPMYYEGWYHFFYQYNPKGAVWDNIVWAHSVSRDLINWHALETAIEPSIPADKYGCWSGSATTMPDGTPVIMYTGVNRPGVKHQVQNVAYPKNASDPMLREWVKPDHNPVIVPERDINATEFRDPTTAWLAGDDGHWRLLVGSAAEGGARGVAYVYRSRDFKEWRRVKRPLHSAATGMWECPDFYPVSRDGHTMGLDTNRVCGKCRTKVKYVLKNSLDVRRYDYYTVGTYDQKDERYVPDDPAGDEHHLRYDYGNFYASKTFYDPAKQRRVLWGWANESDTRDHDVAKGWAGIQAIPRKVWLDFSGKQLLQWPIEEVEALRHQPVTLKDTVIKAGEHVEVTGLETEQADVEVTFELSSLDCAESLDPALAHDAERLCGARGADVEGGVGPFGLWVLASANREERTAVFFRVFKVAGSDKPVVLMCTDPTKSSLNPDMYRPTFAGFVDTHLSDGKISLRTLIDRSVVESFGDGGKTCILSRVYPSLAIDKNAHLYVFNNGEEDVKVSLLTAWQMKKPLMNGA >Dexi9A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:9A:3718192:3721769:-1 gene:Dexi9A01G0006540 transcript:Dexi9A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTDFADDGFAAARLFSQGFSYTYDDVIFLPGYIDFPADAVDLSTRLSRRLPLSIPCVASPMDTVSEARMAVAMASLGAAAVVHCNTEPDAQAAIVRAAKSRRLPFVSSVPFFSPSSAPALNDFDGNEYALVTERGDSLSRLVGVAVAADAASREVPAPVSEYMRPVPRSASASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLIAAKDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVIDSSQGNSIYQLDMIKYAKKMYPEVDLIGGNVVTIAQTQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHDLPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSHEAPGTYEYKVRTGAAQVEGGIHGLVSYEKKAF >Dexi6B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:6B:9570081:9574527:1 gene:Dexi6B01G0007920 transcript:Dexi6B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTELEPVAAAAVTTVEEASPEIIFRSKLPDIAITNTLPLHHYCFERLPSVSDHPCLIDGATGAILTYAEVDRLTRRLATALRRLGGVHRGAVVMNLMLNSAEFVLSFFAASRVGAAVTTANPMSTPHDIAKQIEASGATVVFTESMAVDKLPSHGDNLTVILIDTHRDGCLHFWDDVMASVPDDDEDVITIGDDDEFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGDNPNIDVTGDDVILCSLPMFHIYSLNTIMMCGLRVGAAIVVMRRFELGRMMELVETHRITILPLVPPIVVNMAKSDEVAKHDLSSVRMVLSGAAPMGKDLEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIVDPDTGKSLGRNEPGEICIRGQQIMKGYLNNPEATKNSIDADGWLHTGDVGFVDDDDEIFIVDRLKEIIKYKGLQVPPAELEALLITHPSIADAAVVGKQVEPEIGEIPVAFVAKAEGSELSEDDVKQFVANEVIYYKKVREVIFVDKIPKAPSGKILRKELRKQLQQKQQAV >Dexi5A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:5A:10812931:10813680:-1 gene:Dexi5A01G0013990 transcript:Dexi5A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMWLPLAVLLTMLRTLIFVNVPYSISLPIGSASGVTTRVINSPNHRALAQPNPKGRLYVCNHRTLLDPVYISVMLNKKVSAVTYSVSRVSELLSPIHTIRLTRNRDEDRRRMEHSLQKGDLVICPEGTTCREPYLLRFSPLFVELVDEVYPVALVNWSNMFYGTSTGRSKYMDHFYYFMNPHPAYIIEFMDRVPTHKVINGRRCESYEVANIVQGEIARVLGFEPTKLTRKDKYMILAENEGVVDIKQ >Dexi2B01G0026640.1:cds pep primary_assembly:Fonio_CM05836:2B:35764880:35766604:-1 gene:Dexi2B01G0026640 transcript:Dexi2B01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELCLLASQTIFSHPQDAPPNVTRCLIMARTANIPKEYGQL >Dexi9B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:9B:1542238:1546057:1 gene:Dexi9B01G0002760 transcript:Dexi9B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVQVRTHAQGEGDAGRGAVVEGDAAFSWTSVILPFLFPALGGLLFGYDIGATSGATISVQSADLSGTTWFNLSSVQLGLVASGSLYGALGGSILAYRIADFLGRRIELVTAAALYILGALVTGFAPNYVVLIIGRVLYGIGIGLAMHGAPLYIAETSPSQIRGTLISLKELFIVLGILIGYLVGTIQIDNIGGWRYMFGFGAPLAAVMAIGMWTLPPSPRWLLLRAVQGKGPMEDNKKKAIQALRTLRGRTASEKVLTDDVEDTIVSIKVAYAGQDGEGNIWEVFEGASLKAFTIGGGLVLFQQVSCYITGQPSVLYYAASILQVPIFFSESASDAARVSVLIGLFKLLMTGVAVFKVDDVGRRPLLIGGVGGIALSLFLLAAYYKILNNFPFVAVGALLLYVGAYQEFLGPENIFFLFGAIAVLSLVFVILNVPETKGLSLEEIESKILK >Dexi9B01G0047110.1:cds pep primary_assembly:Fonio_CM05836:9B:46316779:46323345:1 gene:Dexi9B01G0047110 transcript:Dexi9B01G0047110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKAHGENDPQRITSEGTAARTRPVNIQDIMLRHEKKAASEAKKTKEELEENDKGTSNHLGQGRGYKSRKDSNDMPVEGSKKKIRDTSREESKKGSRVIPREGSKREDMRYTTKDVSKKENSKDRSKGGSKMDDLKDKPKISEKEDLRDAPKKGPKKERPSTRDDYRSVGKDRGFGNSQKRMSSTSSRADESKDRNLGETEIRARNVDATRSEYQKGPGKRGNDENIDNDRIKDKSERFHNETKRKGCSFDNEKTSEVDRPMLKKQDSAWFQGSKHSDRNDGRNEYAKPYHGEPRLKRRRSRSRDHDRERHGRSISPPPRERRHNYRGHDFGNYPHYSMENSRRKYAEVDKQRSSGNGGYSSGSYQRYESRLGGYSPRKRKTAPQAEQAMTKTPPLVIQSPEKKSTTWDQPPTKANQFKFPTTLQPTVGQMAPSNPFNIGLLKDPSTAVETILAGNSLTADSVQLTQATRPLRSLHIENLPDSATEDMLIDCLNDFLLSTGVKYNQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKDYVEMVNAAPKKPAEETVLISDVVADSPHKIFIAGIAGVISSEMLMEIVSAFGPLAAYRFIFSDELGGPCAFLEYADRSITSKACAGLNGMKIGGCVLTAVHVFPNPPVEAANEASPFYGIPDNAKSLLEEPTKVLQLKDMFDREEYMLLSKSELEETLEDVRIECTRFGAVKSVNVVEYAADGDNATEDNITKLEDRPVKIECTGCGDTENVTRAGSESSVLSIDILNCPDATDTKDVDLIPESQDKKDKCIPSDAALCETEVPVADEHIYIDDIRTRAALPRSQYYENDYTEAAVDEDKHTLAAEATTTTKDDDAVEKRHQDPGTSEICSPAEPGDELEKPGRDFEQQGSIDVTEVRAEKVPAVETSDPVFKFEPGSVLVEFMRKEAACMAAHSLHGRRFGSRTVHAGYAPYDLYLQKYPR >Dexi2B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:2B:3156562:3161368:1 gene:Dexi2B01G0003580 transcript:Dexi2B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSLLSPSKPLLRRRLPAARLAASAASRGQASSAAGAAAPATAAETRGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEHAAIPVIPGRKSRVETFAGANRTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFMDENGQTEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVIIVPIWKKGDEKAAVLEAVDSVQKILKEAGIRVKVDDSELRTPGWKFNHYEMKGVPVRIEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMEPSILVNHIKGRLEDIQASLLQKAITFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCYPFEQPEGIKKCFMTGNPAEEVAIFAKSY >Dexi5A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:5A:1592484:1594328:1 gene:Dexi5A01G0002260 transcript:Dexi5A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVETSSSPEHHDDDTGKAVARRYWRWHKDDFFPEPSFASWGAYRRALSATPSRLRDRFAGRSTDADELGAMRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDAAAFIAAANLLLESVIGTAAVARSWTSYLASLINKPDTALRIHVSSFKEGYNDLDPIAVVVIAVTASLAMLSSKGTSRVNWVASAVHVVVIGFVIVAGFIHANPSNLRPFMPHGVSGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFANVGMHWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATALIATASACIALFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTTRAHARRFVAMLALVIASSVGIAAYWGMTSAENKWQGYAVLVPVWVAATLGIQVLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGKDAFIRFGVCTAIMLIYYVLVGLHATYDVAHGGEDEESEDAAADGDKKVAPADVENASAADGGR >Dexi7A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:7A:21365330:21365822:1 gene:Dexi7A01G0010630 transcript:Dexi7A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLVGLRGLRRRSVALAAVNLAAAAIGVTAEVAPAGGVWARCSGAREKGAVAAAAALAVVRIAVMVGMARAQEVTALAVVASDAHRGGGVTGPTQDFAKRETRVR >Dexi5B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:5B:1091454:1092173:-1 gene:Dexi5B01G0001750 transcript:Dexi5B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPSPSPPAARLLIVLISPTSLALLASSPPPLHASLLLPDLPLQPHAPVRVYLHPSGALLAAAHGAVPAHRARAAARALVSRLQPEEVLVLDAVRSESYRGRLAADEPVEGKLETRAARRQGGVGAARAVAALAPPGSVVDGLGAAVLAECEIRSKAASMVVTWPAAARPAEFAVMRRVAADLGVDTAKIAARLSGRAELDALYT >Dexi3A01G0032000.1:cds pep primary_assembly:Fonio_CM05836:3A:36597856:36599133:-1 gene:Dexi3A01G0032000 transcript:Dexi3A01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKNATERFEGYVSMRPPRIHRFPHHLRGIGGAGGRYVVPSVVAIGPYHHGLPHLQAMEEVKHIAAFQLCRDNGCSVEEVYSDIFSIAGYARSCYNESSMAGLSDAEFAAMMFLDGCFLVQFAKNDKPPVLGQGLSCWPTIVKDTLLLENQIPWLVLEALTGWLITHMSPRAKEMRSVKKDDTSCAEEPNNPPHLLGLLRSCMTLRMPSELRQGKSSRNSLLRLSSSAVHLAQIGVKIRASTAQWFPDLKVRNKLLFGELNLSPLFLNDVVASILVNMVGLEVTEATTASSSEMDGFVVSSYMSVLGMLIDREEDVQELRRRGVLCSHLSNAQTLSFFKVLVQDLRLGFNYFAIVQGIDAFIRTRPVRIAVHKFLYNNFKLIAAVLSIASVLVGIFKTLYSVKK >DexiUA01G0013820.1:cds pep primary_assembly:Fonio_CM05836:UA:29117647:29118038:-1 gene:DexiUA01G0013820 transcript:DexiUA01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFFFYVHSETRDICGETTCPASGEFVLSHEQTLPSFTPPGSYTITMKILGDNNEELSCISFGFSIGFVASS >Dexi2A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:2A:3256477:3261334:1 gene:Dexi2A01G0003720 transcript:Dexi2A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQRAKSPDENNKFVEIGGQAESFIKQLKKDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPARDLENLLKGILQQVVMPKTDDGKGVKEEKAVGIDELGAYLSDKRYLIVIDDVWTTQAWEAIEYKLAAAQTNNNCGGRIIVTTRIEAVAEACSTASARQHCIHHMEPLKLDDSKKLFLSKAFGKMDATYPKELTDVMDNILKKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVSHPTLEGMRHIVALSYNHLPHELKRCMMYLSIFPEDYEIKKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFDEYDMNVEWYRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIEHGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTDQHVLHACKLYLLRFLSFKNTGISKVPPQVKKLEHLQVLNLEDTSIGDDGLADNVTTLKKLERLLCDVWVLPKGIGKMKALRELREVTLPANGVEIARELGELEQLEAITIEVGGSVSKEVRQALATSLSKMYSIRRCWIYSDDDNLEFLHDLRTPPRRLREIRIGGRVGPCLPAWIGQLTHLVTFEMWSARLNGDQLLGVLFKLPCLKRIYVGLVARTNYRFPSLVSLEVSASMTEEPEEFRFEQGSMPELERFAINFSNRDNQVSIVGMEHLTNLKQVRLTGFKIRPALEHALQQVTAEKSKREESRQFEVVVKYYG >Dexi2A01G0027810.1:cds pep primary_assembly:Fonio_CM05836:2A:39123196:39127940:1 gene:Dexi2A01G0027810 transcript:Dexi2A01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLERTPSAAPGVASSPPRRSTPTVPLEAASPPSDPILPYLRSISRVMDELGTGPQCDASALDQLKCYLTECIRKYGDDYQYSTDPRLLKIWILYADVTETFPEVYTQLEEKRMFLEHALLYESYALYLCAEGKVQEADKVYAIGISRKAEPLDHLKKTHLTFLKHLDQLVEEADMDAQPSKNKKNEPIVVDPWSVSTRNTLLDKINCDLRKFAGYHRSNKVYHGKVALTSSLNMLRNKVIELGGLKYQIKGPTGTGAFAKVYKATVDGNTDEMVALKIQNPPFPWEFYMYRQLDMRISEVERPSFGYAQEVHIFSDVSVLVCNYLPYGTLLDVINSHVVVNRHMDELLCMYYTIEMLKMLETLHSVGIIHGDFKPDNILVCYISGDITEETFRSETRDEQNQGLCLVDWGRGIDLNLFRTGTEFHGDCGTSGFRCVEMQEDRNWTYQVDTYGLCAIVHMMLQGSVMSVQKVPRAGGGYEYQPKLPLKRYWNVELWTKLFSTLLNAPSNGSDVAALRELGASFREHMCSNRQIVGKLNQMLAKQKASLCSS >Dexi9A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:9A:6423466:6432030:-1 gene:Dexi9A01G0010530 transcript:Dexi9A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSRGQEFSSSPQFPVDKNAKKSSEQYVSRKPMLQKMGLQIAPSMEEHGNVSRISGGSFLAEAKLLPSFHLQIRRRPHHPSPLFSLHSLPRPAPPAHTTCPRLAPLPRLRAPAALPFPSLPRIPDLAAARLASASIAIPSRSPAAPGCVAAGVRLPPAMVSGGCVGAEGEVDPEGVAVERDEAVSPPPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDPLSIPAAVTRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNPGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEVLARELRRRLGLRLFNIDMIRQHGTRDRFYVIDMNYFPGYGKMPGYEQVFTDFLLSLSQKEYKRRQSYSSGEG >Dexi1B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:1B:23152135:23153876:-1 gene:Dexi1B01G0016640 transcript:Dexi1B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRASAYGFGSIALLLLLALAGSSSAQLSTSFYSSSCPNLNSTVKSVVQSAIATENRMGASIVRLFFHDCFVQGCDASLLLDDNVTASFQGEKTAAPNKDSVRGFDVIDDIKSAVEAVCPGIVSCADILAIAARDSVVITASFSEANKSIPAPTSDLSNLISLFAAKGLSQQDMVALSGTGDNNLAPLDLQTPTLFDNNYYKNLVGKKGLLHSDQELFNGGATDSQ >Dexi3A01G0023660.1:cds pep primary_assembly:Fonio_CM05836:3A:19342678:19346684:1 gene:Dexi3A01G0023660 transcript:Dexi3A01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGEAAALEFTPTWIVAAVCSIIVLLSLVAERCLHYLGKAAACRVLQKLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPAGWTDQMLPCQRPVETVGGAGATEGHFVASGILGRIGRRLLSEGGAAAADHCQKKGKVPLLSLEAIHQLHIFIFVLAITHVVFSVTTMLLGGAQIRKWKQWEDGIQKDAPEKGTDNKVTHVRTHEFIKKRFKENSAILSWLHSFGKQFYGSVSKSDYTTMRLGFIKTHCPGNLKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLFLLLAIGTKLEHVIAQLAHDVAEKHSAIVGEVVVKPSDDHFWFGKPRIVLFLIHFILFQNAFEIAFFFWILTTYGFDSCIMGQVRFIVPRLVIGVVIQLLCSYSTLPLYAIVTQMGSCYKKEIFNEHVQQGVLGWAQKVKMKKGLKGAASKAESIRSADSAGPSVKIEMAKAGEDAEVVGNTE >Dexi1A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:1A:868069:872738:1 gene:Dexi1A01G0001380 transcript:Dexi1A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVAPAMRVLPLALAAAIFSGVTAILIYLSGLSSYGSARLSEADLEALAALQGRFSKCVDANGLGLKAVSRGEDYCQVVIQYPSNTVSKWTDPSTGEAEGLSFEFNLCEAVASWEQVRNSTTVLTKEYIDALPNGWEENKCQNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVFRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEVLIVKTTIHDIMNQMIREVPITNSVYLMLGTSSSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGQGTFQRLERVIRHFTLVKIHSPMRGDPGRAVKWLPTKDIIDAARLASEKLLRRPGAGSSDPVRTCTMIKKREKGKAPNRSGLRKSAVKHLEYMKGATRQERVIRSRLQGRGAIAGDNPGDAASEINEWFERTTRGLSAASSTTAPWRSSFSENGTK >Dexi6B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:6B:14040269:14040514:-1 gene:Dexi6B01G0009590 transcript:Dexi6B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRAASGRAAEDATSGRSIGGRFEIGESRRRLARRPPTPLQRHVTQGQRPWSIVGMRVRRLAPRASGEKRRRWQWEVEA >Dexi3B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:3B:13636292:13639064:-1 gene:Dexi3B01G0018370 transcript:Dexi3B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLATAAFVVGAVALLMLPPSAAGAAAVGVNWGTMMSHPIHPSAVVEMLRANGVDRVKLFDADPWTVAALARSGVQAMLAAPNDQLESLARDPRRAREWVRHNVTANLNAGVDVRYVAVGNEPFLKSYNGSFINITFPALKNMQRALDEAGFGQRIKVVVPLNADIYSSPENKPVPSAGSFRKDINSLMVDIVNFLHVNDAPFVVNIYPFLSLYQNPNFPLNFSFFDGDTKPVYDQGMVYANVFDANFDTLVWSLRKAGVPDMRIIVGEVGWPTDGDRNANVKYAQRFYTGFLKKMAKNVGTPLRPGHMEVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFPMALNGNGKGNDLTEVKGVLYLPSQWCVFNKDAKDRYKDLPASVNYACSNADCTPLGYGSSCNGLSHDGNISYSFNIYFQTMDQDVRACSFGGLAKITTTNASQGGCLFPVQILSVSGRVTPLILLSISLLLLVFAVL >Dexi8B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:8B:2591899:2594146:-1 gene:Dexi8B01G0003450 transcript:Dexi8B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNETSSTSFVVVFYSFHPLPPNLPLPNPNLPKHCLGSDPIFCFLSVQGHLVGISPSVAMDDEELVDQKKYLEERCKPQCVKSLYEYEKCVKRVENDETGHKHCTGQYFDYWSCIDKCVAPKLLKKLK >Dexi1B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:1B:21083599:21084146:-1 gene:Dexi1B01G0014710 transcript:Dexi1B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVVLKVAMSCEGCAGAVRRVLTKMEGVETFDIDLKEQKVTVKGNVKPEDVFQTVSKSGKKTSYWEGETEATAPAASAPAAAEAPSTEAEAPATAPATEPAPEITPAKADA >Dexi1B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:1B:21417376:21417909:1 gene:Dexi1B01G0015010 transcript:Dexi1B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKSLLVAAVAFAALLAAGATVETTCRAAAVVDPRVGYGFCVSELSKHRDSPGADAWGLAKVAANLGVNNAGGAVRDADSLLLARPPDARKRAALGQCRRLYFDAELAFAGAYDEINAREYAAGKEMAAEAVALARRCDDVFAEAGIPSPLAWRGVYAARIGAVCTAITGLINMK >Dexi9A01G0028720.1:cds pep primary_assembly:Fonio_CM05836:9A:33471028:33473480:1 gene:Dexi9A01G0028720 transcript:Dexi9A01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMTAKRGPSGGEVRGSGGGEVRGSGGRARTCPVEGGEAGHPSAAELRASLSTRDQEIEEMRRRLQELEKLEFEIPPAAPSHEEESKTSVAETTAEKAEVDARSIYVGNVDYACLPEEVQQHFQYCGTVNRVTILTDSFGQPKGFAYVEFDEVEAVQNALLLNETELHGRPLKVCPKRTNIPGMNQSRGRHPFYPSYG >Dexi6A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:6A:16398408:16399820:-1 gene:Dexi6A01G0011500 transcript:Dexi6A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWGNPREDTPARKNLLAMTIGYNERANVNATVHKFSANFDVVLFHYDGRTTEWDEFEWSKKAVHVSASGQTKWWYAKRFLHPSVVAPYDYIFLWDEDLGVEAFDAEEYLKIARKHGLEISQPGLDITRGPKPFFDITVRRNGSEMHKSTLSSPEAKCTRRPCSGFVEVMAPVFSREAWVCVWHLIQNDLVHGHGLDLDFWRCVDDPDEQIGVVDAQYVAHHAVVTLGTKGGSRANVTARQKAEFFTYKTRMRMADKARAAALLAASTS >Dexi7A01G0023210.1:cds pep primary_assembly:Fonio_CM05836:7A:31251651:31254091:1 gene:Dexi7A01G0023210 transcript:Dexi7A01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFAADDGADELPRTASHPFDSDDFGPAASVGDDAGGYGGYSSFADGGVEEVEDEITVESDGVPIRHVSGGYSPSPFSPDLEPNGGYGPILPPPTEMGRDEGFLLREWRRQNAIELEKKEQLEKEQRAQIIAEAEEFKKAFYEKRIKNCAENMVNNREREKIFVASQEKFHASADKQYWKSISDLIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPQHMLQPPPAPAAKEGAKDGAKEGAKDGAKEGAAAPANGTKQPAENKETPANGPSEAEKEQPAASE >Dexi3B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:3B:3374416:3375382:1 gene:Dexi3B01G0005080 transcript:Dexi3B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHVAIVMDGNRRWAKARGLTTPEGHEAGAQALKKIVQLSAAWGIRATTMFAFSQENFKRPQASKQGLAGQEGIQVHIMGDTSRRPASLQGAVREVEEMTRNNSRHHLILATCYSGRWDIVQACRELAAKVQDNQLRPEDIDESMLAGHLATNVLGDQLACPDLLIRTSGELRLSNFLLWQSAYTELYFTSTFWPDFGEDDYIQALKSFQSRERRFGQRKSPHAE >Dexi9A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:9A:4587034:4588803:-1 gene:Dexi9A01G0007850 transcript:Dexi9A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYIAVLSVAFLFLLHYLLGRGGRNGKGAPRLPPSPPSVPFLGHLHLVKTPFHAALIRLAARHGPVLSLRMGSRLAVVVSSPEHARECLTEHDVSFANRPRFASTQLLSFDGALLSMASYGPYWRNLRRVAAVQLLSAHRVACMTPVISGEIRAMVRRIDHAAAASPTGAARVQLKRRLFELSLSVLMETIARTKTTRTEANADTDMSPEAHEFKQIADSLIPLLGTANRWDFLPALRWFDVFGVRNKIMAAARRRDAFMKRLVDAQRQRLDDGGESEDKSMIAVLLDSQKSEPEVYTDNTIMALCTNLFGAGTETTSSTTEWAMSLLLNNPEALKKAQAEMDAAVGTSRLVTADDVSRLPYLHCIISETLRLYPAAPLLLPHESAADCKVGGYDVPRGTILLVNVYAIHRDPAVWEDPEEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLAVRTIGLVLGTLIQCFDWERVDGAEVDMSEGGGLTMPRAVPLEAMCRPRAAMRHVLQGL >DexiUA01G0011510.1:cds pep primary_assembly:Fonio_CM05836:UA:23048640:23049157:1 gene:DexiUA01G0011510 transcript:DexiUA01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNWRVGSGTLLRNAAAIRLAKLFSSSSARSSSSKLFVGGLSYDTNETALKDAFSRYGHVTAVKVICHPTTGRSKGFGFVVFSSQDDAAAAVHKMNGEASAPISSVLLSETVLTNQLF >DexiUA01G0024520.1:cds pep primary_assembly:Fonio_CM05836:UA:51102655:51104066:-1 gene:DexiUA01G0024520 transcript:DexiUA01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAIAAAIGNMLQGWDNATIAGAVLYIKREFHLEAQPAVEGLVVATSLIGATVITTFSGPVSDSVGRRPMLMASSLLYFLAGLLMLWSPNVYVLLLSRLVDGFAVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVVRILQIHHQ >Dexi9A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:9A:2022689:2023351:-1 gene:Dexi9A01G0003850 transcript:Dexi9A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHCGNMLDLVETVFDQHGCLIVSVSSFLIMVLLQLRPLLDDVASASRSIRSASRAAVQMLARDDSVVVDDALAADDDEDGDRGVAPHPRRGRHCERCAKRGASRSDVVAVMRSLRLLPAGDEDDGGGGGCAAMWAEVDELLESKVASEAELREAFYVFDRDDDGFVGAGELWNVLRRLGMADGAKHVEDCRRMIAAHDADGDGRISFPEFRAMMENAL >Dexi3B01G0036360.1:cds pep primary_assembly:Fonio_CM05836:3B:39180517:39184919:1 gene:Dexi3B01G0036360 transcript:Dexi3B01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGAADDEVVEVSCGGGGRDPGAYAAVLKRRLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLICQASFDGDGDHDDFRGKPANSGTSKEQSDDDGDLEENTVPANTKKMRRMLSNRESARRSRKRKQEHLTDIESQVSRLTSENASLLKRLADMTQKYKDATLDNRNLTADIETMRRKVNIAEEAVRRLTGTTLLLSTTSDMPTSCMHLTSCASDAASVSIAIEDSMKHFAQALQDDQIKVDLPNAEIPLSSREIGTKRASLTRVTSLEDLQKRIHGDSIYSESASTFSDPEAFADR >Dexi5A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:5A:22284009:22288010:1 gene:Dexi5A01G0018770 transcript:Dexi5A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGCNGNGKAEGVVVPEIKYTKLFINGEFVDAVSGKTFETRDPRTGDVLAHVAEADKADVDLAVKAARDAFEHGKWPRMSGYERGRIMNKLADLVDQHTEELAALDGADAGKLLLLGKIIDIPAATQMLRYYAGAADKIHGEVLRVSGKYQGYTLKEPMGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGHTAGAAITSHMDVDSVAFTGSTEVGRLIMESAAKSNLKTVSLELGGKSPLIVFDDADVDMAVNLSRLAIFFNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDVTSNMGPQVDKEQFEKVLKYIEHGKSEGATLLTGGKPAADKGYYIEPTIFVDVTEDMKIAQEEIFGPVMSLMKFKTVDEVIEKANCTRYGLAAGIVTKSLDIANRVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGLAAMDKYLQVKSVITALPDSPWY >Dexi5B01G0037370.1:cds pep primary_assembly:Fonio_CM05836:5B:36890968:36897262:1 gene:Dexi5B01G0037370 transcript:Dexi5B01G0037370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKQRSARDSARSSVLVTRPAPARPRFARGARGSACFARLGSLCVGSTRSVLARRRVGRNLGLGRQFGPAAARVLPASIWSRVSRWYKYPVAGRNPNPRAISLLSPPLPAAAELFAAALQSAVPWLLHGRGLLSFFPIFLPRPNRGSRKFAPPWVFSPARALIKDERTAVERFLGGGFVPSRTPASRRRHGFSLCAFAHRRPGGEMHLPTRPRYGAGDAIAGSLIEFCARAATFGEDVSSSFMTPPALAGDGDGRSGGASRRRSANPLDRTQVNLVSRAVAPTSLFIAPATGAHQPLGLGAPDQGADQGPMAFGPFGAEEIILTVAAPSHSREGRKPDQPYHPTNKGVGVWSKAIASPLRAGSALACRLAPSFPPKLASATEYLLVIVVPVAAELARAGSRRMRARDGAGQHGFAPIQLRDGEGSVSGSVDQGQAIGTAAVGVRCNATRKRGVATRFAEPGRSSCAAKPQLYDSGLAPTTRAGTTTKALTGDTVLDPQFDWIWTRAGNDPVRSGYGSRSAPLSRTPGEYCLSARILPLGCSRVGRGFVGTTELTGGAHEAAFGQQSVCQQTEASEPSSPCRPTNREVAAGQSKRVATSPALYSPSSWPALSQLSAAGVWAPAFTVSDLVGEILFWFLEHQKSFDKAGAMDPRNPGQAAPARRRGERSRPTTVSAASFDGGYLLTVVARTQETTGPAVVALQLVGDDEVDLKPALHLISLRAASPFQLAPGHPRPRRRLDGGPLRMPSPRCRELSATC >Dexi2A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:2A:903127:903426:1 gene:Dexi2A01G0001370 transcript:Dexi2A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLECAAAAGVPVREAAAAEAGQAEGGREAARRRGQRRPLEIRPTKWDPEVIEDLEFLEVVEVGCSESAVECGRGGVVVGGGEVVDGEGEVAAGPRVR >Dexi5B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:5B:17629784:17631130:1 gene:Dexi5B01G0016860 transcript:Dexi5B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTVSAWLPLLMDSSFNSSGIHRAVVYVGLYLIAIGSGGIKPCTSALGADQFDGADPAERVNKGSFFNWFFFSINLGSLLSSTLLIWVQDNVGWGVGFAIPMVLTVLGLAVFVAGRKVYRYKKLEASPLTRISQVVVAAVRNHNLTLPEDSSALHDELPSPDEANRKTAHTCQFRFLDKAAIVAPPSSGEKAPASTMSPWRLCTVSKVEELKMLLRMFPVWASMVLFFAVTSQASSTFIEQGMAMDNRVGPFTVPPASLSIFDTISIILGIPVYDAVLMPLARRVTGDVRGLSQMRRIGVGLTLSVAAMAFAALVEASRLAAANTRSAAATSIVWQAPSFAVLGAAEVFTTSGVLEFFYDQSPGGMKSMGTSLAHLAIAAGSYLSSALLGVVAWATARGGAPGWIPDDLNEGHLDYFFWLMAGLSVVNLLHFVHCSGRYRGSKAAA >Dexi3B01G0037480.1:cds pep primary_assembly:Fonio_CM05836:3B:40192571:40197894:1 gene:Dexi3B01G0037480 transcript:Dexi3B01G0037480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANATKCPAPMKATSQGAFQGENPLDFALPVAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSQKFLHAVFPAQSMTVLDVLANLGLLFFLFLVGLELHISAIRRTGKKALAIAIAGISVPFALGIGTSFAFRSTIVKGAPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIISLWVLLTAAGFVIAVCLFLRPVLAWMARRSPEGEPVKEVYICATLAIVLAAGFVTDTIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIKGAKSWGLLVLVIANACIGKIGGTVVTSLFVKIPVREAVTLGFLMNTKGLVELIVLNIGRDRHVLNDESFAILVLMALFTTFITTPIVMAIYKPARKTVPYKRRTVECAAGDADTELRVLACFHTNRHIPTLLNLVEASRGTGRRRLTMYAMHLVELSERSSAISLVQRARRDGMPFFNSKEQRTEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSAGDKRAAIVIMPYHKALHHDGTFQSLGSAYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVAALFFGGPDDREALAYATRMAEHPGVAVTLARFQPSRPQSEEEDAADEVAVEAFKAKVGAVKDGSVRFEEAPEGNTREQVVETIESLSGFNVFVVGRMPPTAPLVERPDELGPVGSYLVSPEFRTSASVLVVKRYDPATNPKSKRFDPKARPPAATEEDVLDEEIGGGAGGGAAVVPVTQSPM >Dexi5A01G0028790.1:cds pep primary_assembly:Fonio_CM05836:5A:31999145:32000760:-1 gene:Dexi5A01G0028790 transcript:Dexi5A01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTSSPAALPAATTTAPAASSVSPHAGSKRPLLAGDDAPWRATAASAAGGQGIRPVPRVHHAPVLRVAAQDDYAAYALAVMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDLKFLEPFGRELHSMKKDPHV >Dexi3A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:3A:15648069:15648363:1 gene:Dexi3A01G0019740 transcript:Dexi3A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLQAELLGGCLGAYAAISPSPRMTTGSWDERRRRREGVVGQRVSPADGHIRPAAVARAVARFLRKRNGGGGEGQGAGARCEGQRRRGGRRLVAT >Dexi4B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:4B:7638108:7640565:1 gene:Dexi4B01G0010360 transcript:Dexi4B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRPVFVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRALQVMDKVFPKDSPVQPSLVIVYFGGNDSIAAHSSGLGPHVPIDEYIDNMRKIAEHLKSLSDKTRVIFLSCPPLNEEMLRSSTSSTILSEIVRTNETCRVYSDACVALCKEMKLKVVDLWHAMQKREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEADDEWEPRLHWKALPTEFAEDSPYDLVSFAGDATVNPSEWTIHRKIPWD >Dexi3A01G0021900.1:cds pep primary_assembly:Fonio_CM05836:3A:17474760:17476732:-1 gene:Dexi3A01G0021900 transcript:Dexi3A01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPPRARRSVVSELEGGLLRSSDTFPYFMLVAFEASGLPRFAALLALWPLLRLLELAGHGGLALRLAALVATAGVARAEVEAVSRAVLPKFMADDVDPAAWAAFGACDGRRVVVATRLPRVMVERFAKEHLGAHEVVGWCELEYSRLRRCTGLLRGGGDVGERVRTLFAGGEDRPDLGMGRSEMASSFLTFCKEQLKPPFTLGAAATPTNAPPFRPVIFHDGRLVCRPTPLMSLVILLWLPLGALVAFVRIAVGLMAMDPIFFFMNPRPVYVVTFLSQLPEEATCAAGKSPVDVANYVQRMLAATLGFECTSLTRKDKYRVLAGNDGIVNAKPAAAPGKAAWRSRVKEVLGFLLH >Dexi2B01G0034180.1:cds pep primary_assembly:Fonio_CM05836:2B:41477459:41478288:-1 gene:Dexi2B01G0034180 transcript:Dexi2B01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEYPTFSDVSGASDLLFLADSTPASPPPPAALSDELSSYSGSSSSSSYSGASARSCVSDSARRGRPVDPLRVLSVVASLRLINPKVLAEATSTLFHCGAEKKRKGVWIEIDSFHDDDDQSERSSAVASEGSTVTAAASAGSTATSGRCRRPPRGSGDGGGEKALRRADVIMQWFSRPGAGPATENDIRAAVGDNSGTGKAIRW >Dexi5B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:5B:362166:362908:-1 gene:Dexi5B01G0000570 transcript:Dexi5B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLQHRRRSAPCQGRRAPTTDPTPDRPAPPSIVRAPPPGLGQQHAAYYPPAPPEPPLPRPRQASSALASCLVATAFLLLSAGGAGAALFLLFRPRPPDIAVAAVRLPSFAAANGTVAFTLEQTAAVRNPNRSPLAHFDSSLRVAYAGGELGSPVYIPAGLIDGGRTKDMSASFDVPAIPVAAPQQMEQPQPMEVIEVDSLLVVKGRVKMLALLTHRVQAAKLCRVALSPIDGRVLGVRC >Dexi7A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:7A:16802166:16803448:-1 gene:Dexi7A01G0005550 transcript:Dexi7A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPATTAIVAVATAVVVLLCGGAATVARAQDMGKEWARPPYRGFFGAPGSMLPQSDVDLLEFPLNLEYLETEFFCWSALGYGLDAIDANLTGGGPPSIGGQSASLTPFVRDVATQFCYQEVGHLRAIKQTVRGFPRPLLDISAANFGKIIEQALNATLDPPFNPYENSVNFLIASYIIPYVGLTGYVGANPKLLTPQARKVRATLLYERGMTRVASYGVGVAEITAHISDLRNELGRRGVKDEGLVVAPGQGPEGLTVGNVIAGDHLSLAYDRTPEEILGIVYGTGNPAQHGGFFPQGADGRIARGFLVA >Dexi2B01G0026570.1:cds pep primary_assembly:Fonio_CM05836:2B:35669874:35670519:-1 gene:Dexi2B01G0026570 transcript:Dexi2B01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLGTRTRDPRPPARGDVQPRGRDSFGHRLRAPARQSVRAFLDHFDVVHQPNERSASFVLDENVVLGGDFGWDDDLDGPLRLGDGWVDAWRELRGSGGDDEEDDGGGWTYDAVANPMVRCLDYLPVERRRPDRFICKLRDFTLRSIEMVGEERIPGVTRFGDGGKVLPVLPSHHYGLLLTISPKHNN >Dexi7B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:7B:6800277:6800695:-1 gene:Dexi7B01G0002990 transcript:Dexi7B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCTLAPSSAGEARTAADASSATRARWMAMRDSGCTPRCPAAVATSRSSPASRAAPSGPICPGRAAGFPAVFVSGRFAAWRAGDGGRGQTRHEAGVDPLGLHFTGRHAIY >Dexi5A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:5A:25109696:25112519:1 gene:Dexi5A01G0021230 transcript:Dexi5A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDEDTEISDSEIDDYEGKIYARLMSGDLKVIPVEPLQNRDEKFVWPWMGILVNVPTELKDGRQVGESGNRLKGQLSQFCPLKPLPEIRKMQQLARRHSQKIIDENQKLRAELETKMNELDVRSKQLDELAAKSGYDRRNIEQEKQKNAIRSSHLKMATLEQQKADENVLKLVEEQKREKHAALKKILMLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSASKNRINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARNELENGLLDISGGRAHIGIKRMGELDLKAFSKACQKKCSGEDVEVTAAFLCSKWETEIKNPDWHPFRIVIADGKEMEIIEDDSKLRRLREEYGEEIYTLVTKALYEINEYKCKGSYPVGELWNFKEDRKVTLKEAVQFVLKQWRANRRKQR >Dexi3A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:3A:11744496:11745584:-1 gene:Dexi3A01G0015780 transcript:Dexi3A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLFPVQPGGLHTAFGPKPAFGDRRFSSPNLLANGDDLVCGYSSPSSPFGLAHELSTPSPRAASLSPGSSDSGSIVDDRDDASATAERRQRLARLALQYQEVVTRFELCLSYLADASNEAAALRRENDELRVANEDLARRIKMVGDKLVDEFSGLHLAEEHIMPPPPPSPLPAAPVAPKSISVRSPGYLKMNQNGKHRPSKPTKLGSQRVFVGMDGGAKGAGEHKGGEEENKRNSGLEFEVYNQGMLKTELCNKWEETGACPYGDHCQFAHGIAELRPVIRHPRYKTEVCRMVLAGVVCSYGYRCHFRHSITPADLIPLRA >Dexi8A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:8A:10775169:10781127:-1 gene:Dexi8A01G0008770 transcript:Dexi8A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLPLLIISFLLVAVGGADSLAKLSDEAIASPAEVKAYWGATLPNTPMPQAILDMFALQEEGVEHKHIHSHGHNHVHLPKAAEDLFFFEKSLAIGSTRSTVIPSTKSNPPFLHHEASKHIPFSPRNITSVIDMFAPDSLTMIDDISFTLHQCENPAKQAINGEKAGCATSIESYLELIVSTLGTTQARAFSADVPKEGIVSQRYKTASVRLLAHSQSILVCHDMAYPYKVFYCHMSSPTRAYQVKLISEVDGSSMDALAMCHLDTSSWDPEHAFFKLMHVKPGQTTACHYLNRGSMVWVAAAKLGDKQAAASQ >Dexi3B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:3B:10559628:10562028:1 gene:Dexi3B01G0014670 transcript:Dexi3B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIMCEFRAGKMSLEGTRVVPDTRKGLVRVGRNITVVLSVLDSIFQRIKLSFLMRLSSRRYGVTESSGRVYILKFKHDNRKFFFWMQEPNADRDSQISIQVNAYINRPLDAEADLAVPIEAEMSEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRMEDLQRILSAIQPSGVAAADPDAGLGLGDILKPDLVLPLIENLPIEQLASHLPEVLRTGQIDLAQFGVDPSKYNFTVVSFLEALEDSVAKAGSSSAGDKDSEPKRGGGNDPMDES >Dexi7A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:7A:13816979:13819842:-1 gene:Dexi7A01G0003730 transcript:Dexi7A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAGEAAGATTPEASSPFSEDWRERIIIPVAAAGVVGAAFGLLSRHRARLGAGRAAATYAANLAIIAGCYGVNIYFCCLPGARELARDARATTPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTALDFAALKLAPEWRAWKEQLSGKKDWFTLPEWSPIQVLDEEALAKKKAQEEKLFALRALGKLDKEDP >Dexi2A01G0033910.1:cds pep primary_assembly:Fonio_CM05836:2A:44083593:44085959:1 gene:Dexi2A01G0033910 transcript:Dexi2A01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKREISETHDTLRFGINAGVKADLAPPHPLQATIQSETKFWADKKKFGTEAIYGSAFNILKDLDAQILSRFQRPPGSLPSSMLGYEALTGSLDDFGFEDYLNSKKTLFSFCLKSLTVSVNQTCIMEWRFALACPRDQSALASIDPSM >Dexi2A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:2A:4288904:4289509:-1 gene:Dexi2A01G0004740 transcript:Dexi2A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVMASLTFAGAVGARPASGLSAIRVPAFALAPRRHALVVRAQGPENAEPASTGETSSTTSPSSSTSPTTSTPTPAKPKAAAKSPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGAGLTWFAVTAAVLSVASLVPVLKGETAESRGDGVMSADAELWNGRFAMLGLVALAFTEYLTGAPFINA >Dexi2A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:2A:308629:310536:-1 gene:Dexi2A01G0000550 transcript:Dexi2A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGAVLPSPAPTRHSPAGGQHAALTADCAAALLAGCASARRASELHAAAVRAGVDRDKAVDFRLHRAYAASGRLDLAVALLRRAPDPTAVFYTSAIHAHSSRGLHLAALALLSDMLSQGLLPTTHTLSASLPACNGGRSGVAVGRALHGYAIKLALSGDSYVATALLGMYARAGDAAAARALFDDMQPDPHVVSVTAMLTCYAKMGALNDARSLFDGLPNKDFICWNAMMDGYTQHGRPSDALRLFRRMLRSGVEPDEVSVVLALSAVAQLGTTESGRWLHSFVKNSPRVRLNARVGTALIDMYYKCGSLEDAIAVFDGLNDDKDIVLEEVNGLVKEHGHVPQTELVLHDLDEATKEKALAVHSEKLAVAFGLISTPPGATIKIVKNLRACADCHAVLKLVSRITGRKIVFRDRNRFHHFVDGTCTCGDYW >Dexi2B01G0022350.1:cds pep primary_assembly:Fonio_CM05836:2B:31980141:31984481:-1 gene:Dexi2B01G0022350 transcript:Dexi2B01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLPGHKVGRKMVNFSKKLTTDQIPGWEEHYFNYKLLKDRVKEYTEQTKEGNRDRRRVLKDFSKLLDDEIEKVVLFMIEQQGLIAARLENLGQKKARLQDLPLLQEISELREDYREVGLDLVKLLKFVDINANAVRRILKKFDERLGDKFTDYYVSSRSNHPYSQLKQVFKHVGIGAVVGALSRNLGDLEEREGSYLNIYDQHPLAIPKDPIIDLIKATADKLTNSTNFLRFLGQHALIAQEDTTGSEVERIEEDKYYFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLLFSSVMLLLGNVLYALAYDLNSLVILLVGRLLCGLGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNLKVSVFTINQDTLPGWVMAFGWFVYLIWLWISFREPVLDTEIKDFHEGSSSSSSTRHLEEEELEQGLAEQLLPSYEDDQTDQAERLPGASSLRPASSVTSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWNTSTIAVFLAILGLTVLPVNAVVGTYISNMFEERQILLASEVALLAGVLLSFRVAGTYTVAQYVCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTLARVAADATITLAGGVLGRSALLNATLLPSLLICLASIAATMSTYNSLFY >Dexi9A01G0026080.1:cds pep primary_assembly:Fonio_CM05836:9A:27648144:27654990:-1 gene:Dexi9A01G0026080 transcript:Dexi9A01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRTTPRGMGARDSPATPLHAVPPGKVLERVGLVAGAAAREPALPGADVSCAVTRDSGPGPWGGRQRQGFVGPPLTAVDLDWTRRYAGLHPRNEILYHHAVKHYSAMRTFQGQNPENSRDHTCKSLPSKKKLCKVPESVEVHIIDGDDDDSGKDYSAQYMSKQLVLYNPEVTHDEQSDIDHCTSPRGSSKKPRYGHGTVLPSIGAYTVQCASCYKWRIIPTNEKYEELRESISQELFLCTRASEWNRALSCDEPEDISQDGSRVWALDRPNIAQPPPGWDREVRIRGASSKFADVYYTSPSGKKLRSLVEIGRYLEKNPQYIREGVNLSQFSFATPKPLQEDYVRKRTLRDAHELPEFSEIAEVDPLCWAVPPTCTELLTGPDSSTSDPASVNQPEMSDRQPEASRPPARNQKMRTLEQVSSRKCQMTSPAASTPFGEQSGGHFIDIDHVPL >Dexi9A01G0031210.1:cds pep primary_assembly:Fonio_CM05836:9A:36087992:36089604:-1 gene:Dexi9A01G0031210 transcript:Dexi9A01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTSHRVALEVIEENCSSGCVPLPSQGELKDLAPVDPGGAKDTDSGAAISDKASSLEYRVHVGSSSTIDCEEQKKEQNEHALSSHESQFDKIIKAVTNAYEIQAADSNCSHPVADIENFIQSATPVIGQVPCTTSNNSCMLDQEVHNQMQNVALRSVWRWYEEPESFGIEVERQRGINCHPSGTYSWSKLCAYFVPSLSAVQLFGKSPNDGGLLFEHFEREKPFLRPPLFTKIKQLVSGENPSGNPMFGDPKQLDSVKLSDLHPASWFCVAWYPIYQIPSALRSCQASFLTYHSLGKLVPKKCSKDVAGGLTAIICPIVGLLSLKCHGEKWFHQGEKKLGSKPTTGGSLEITDPAEHLNLRLEALKHSASAMSKAVMPRATGEFMNYHQDYMFFSTRAF >Dexi5B01G0037350.1:cds pep primary_assembly:Fonio_CM05836:5B:36881681:36886253:1 gene:Dexi5B01G0037350 transcript:Dexi5B01G0037350.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPLYPELWRACAGPLVNVPKPGDLVFYFPQGHIEQVEASMNQVAQNQMRVYDLPSKLLCRVLNVELKAETETDEVFAQIMLMPEPEQNEVPAEKASSGSPATPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAIKTKSMFTVYYKPRTSPSEFIIPCDQYMESLKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPDSSWRYLKVRWDEPSSIPRATKVDIDSAQTQHQNSVLQGQEQMTLRNNVTESTDSDATVQKPMMWSSSPNGKPHTGFQQRPSMDNWMPLGRRETDFKDTRSAFKDALQTQPSASVNELQPAQAECFPEGSVHKQGVALGRSVDLSKFTDYDELEAELDKMFEFEGELVSATKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSSAPRKEEPLAAGEEADEA >Dexi5A01G0025560.1:cds pep primary_assembly:Fonio_CM05836:5A:29381863:29385166:1 gene:Dexi5A01G0025560 transcript:Dexi5A01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASPGGPGAKTAARGPSPRPTSAFARLSTRRAPAPTGSRLRASLHLGGAGAAISSISNAAAAAGSNGSGLAVPPAIAPLALPKMAGARGTHKNVLLFYCEEMRDLAQQVVARNDDIELRSISWSVKITLCLMTHQERFYFGDSVLPCFESGIPLLKSRLQELPDSHNITIAFPDDGAWKRFYKQLQHFPMVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYATHGIFPNNSWEKFQPDNGEGPEHGLSHFWLTDSCPLTVKAVKDRRPFEILSLAGPIASALQI >Dexi5B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:5B:6815122:6815931:-1 gene:Dexi5B01G0009750 transcript:Dexi5B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDFSDFKPAAGGAIWCAMVAHRPRDAKSSARREGRYPPTWRGARVPARHPNRCGERKGREWGGRRAGEAGHGDGGRTNQHKSNTYREKEAQSRERKINRTQIERKRHKSNTNPAPPCARRHRTTDVRWSSSRVESRSPWRSLRLLVGAQLPRGPNGKRESLVLRADLKHGGLELAADPSWRHLLHRPGLLHGELEAIASRLAAPPRQPRAAAGQIEGEEAESSSYSQGRIEEDGDGGRGRAVLLPSSFRELRLPRAQRRGLAAGQSS >Dexi7B01G0022350.1:cds pep primary_assembly:Fonio_CM05836:7B:27290668:27293643:-1 gene:Dexi7B01G0022350 transcript:Dexi7B01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGKRKKRKESGDEAVAEGPSPSSAPFDRRVFPILLAAAQTARQSGNSAALAARVLRHVLSRSLQMLSPLPDSLVALLPRLLSSSCLSVDALSCELLGAAALQSMEAGEFLASDSGIASGLMNAYGVYSLHLTLAIEVEPPFEWEGFTHIALHCWVEKQKLGGCSQFDKSMTKDETSLYALVLHVAIMMLSDKDPIFRKACLVAAKLPSSSSRAMSHLKALRSSQRSIFDEIIKNIEKNCNFKEALESIKLAVQEKNDEPFQWMCWLRHLPQGGDADSRIDFCNIMEVLEEFVEAFSSNPEQALVSFTGFKSRFCKSVVYEDACQSFETLLQMYRTTRNQYMSGMLALHGAHVN >Dexi1A01G0028280.1:cds pep primary_assembly:Fonio_CM05836:1A:33962815:33965366:1 gene:Dexi1A01G0028280 transcript:Dexi1A01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTSPRAHAMAAPTVARPRVLPCARLASRCCLLVLLGRGCGRGHGRAVAAVRAREQGAATPDPAAILRRPDVSTTTSAEEEREADAGSSLDVPVMEEEAQAREEEGIQGRRKVPEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYITVGLHPEFESSRCLFIVRKDGDKITEVDGRMIVSV >Dexi1A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:1A:26738452:26740102:1 gene:Dexi1A01G0019710 transcript:Dexi1A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMISILCPMFQHEEIGIGLVGFGILFSFLGVILFFDRGLLALGNGSVPFFIGLFLLFVRWPVAGIIMELYGSFVLFSGYGAPIQAFLYQIPIIGWILQYPFQLFAQLRRKCA >Dexi9B01G0042380.1:cds pep primary_assembly:Fonio_CM05836:9B:42624354:42624639:1 gene:Dexi9B01G0042380 transcript:Dexi9B01G0042380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAKASRTNTNKQGSSLSAGASTRRDNNSSKSKGAVKKGEAPLNPHASSHLETQKSRRTQQGTAQAKQASRSMSHL >Dexi3B01G0025910.1:cds pep primary_assembly:Fonio_CM05836:3B:20861083:20861952:1 gene:Dexi3B01G0025910 transcript:Dexi3B01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGLGDDPELAALRDALAAVGATAPRLVYRKELHKFDLDANQRRLLIPCKGADDGDASALTAFLTADEVDRVHEAYGFPYPKAKLDDRWSFFYRPRGQGIVVPVYDRHGRRFDMGLKKIESNRGYRFFGAEWMRFVRTNQLQEAMAAVAEEEGRKRKLEAEVWAFRSAELRPEFQAEGGGGDQHPDGVLGVAILV >Dexi6B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:6B:2529167:2531198:-1 gene:Dexi6B01G0003020 transcript:Dexi6B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAHKKEDEVRGIILEIISSSNLTQKLELVDTLQRIGVDYHYKKEISDLLCSIYNDKDGGSNDLYITSLRFYLLRKLDTLSLQTCLRSLGTSKVSFQLMIWWKDLQSRGDLSFARDRMLEMHFWILGVLYEPYYSYSRVMETKFTLLVAFLDDLYDNYNTTEESNIFTTAMERWDEQTTDKFPEHMKALFINILNTTNKIEEELKLQENRHAELVKKLVICTAKFYHAELKWRDEHYVPTSVDEHLQISMCSTACMQILSLVLISLRDVSPTREDDVDWIFTFPKMIRGVCIMGRIGNDIMSHEREQASVHVVSTVQTCMKQYGVTAEQAKEKLRVTIEEAWKDIVEDYLDLKRPMELMEKSVDLARTIDFFYKYDDAYTLPLRVKDTLSSMYVDSV >Dexi9B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:9B:732814:735652:1 gene:Dexi9B01G0001240 transcript:Dexi9B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYNFDLLDLADGESGEAAVSVVVSKKKADAAAAAKVADAADPAQQQAAAYVRPKFSHFDKLKHDNALRICQQDQRKLWQALKKLRVEETKLMEQERQGNEAQVQAAQARVKEIREEQRKLRQDKWNLRQEEEVLSSQRTAFYRLYGIPLPEDQDQNRANNSSDGAPEDSGSNPNDANGNACNNNDGDNSGHSDCGHNNGHYQQEACDDGFGEGHVGRVHNHHFNNGERQGPNKPKKQVYRPKVKPSSDAGSEPEHKVSSEAGTEAENVVSPSETEKKEANAVKPETASGSEKYAGGAAQKKAFKSQGTVNGSEKRKKRNAKKNGGNETGKVKKQDSAGETQQADKQPLPEEEKKTLAEYEKMREEKKKSSEVSKTEAIRKVSAEVFEGLQAYEKKKLDDEEVVIKVEKAQPKAKEASKKEETAKEKEAAAKDAKPKKVPLQDIVRFRQPRRVFYDQEDRGRFNGGFQGGSREDSSFSRGRFNGGFQGGSRDNSTEPQVSSRGDNGRAPQAEAGRNNGDGRGDGAPRSGHRNGYGGNGGGYSYGRGNGGYGRGNGGYGYGRGNGGYQGNGGYQQQQGGGRFQQERSGNGGYYQQNQRRQGYQQRGPAPAPVLDLSKFPALPVPASARSAAAPASAPAPVTAPVQASAPVAATEA >Dexi3B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:3B:9521649:9522103:1 gene:Dexi3B01G0013360 transcript:Dexi3B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSQFDDPAAGRRPPQRERKPPYMLLLPLVYAPVLPLIRIGLRQNPVLRDRLFYGVLAGAFAHGAYLMYVLLLLPHHTLQPF >Dexi9A01G0032550.1:cds pep primary_assembly:Fonio_CM05836:9A:37475749:37476456:-1 gene:Dexi9A01G0032550 transcript:Dexi9A01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSRWQHGSSSGELDVFGATSYFAAAAGLPDRCPGGDPAAGRLIQANKAMTHGGTRMEDMAFCGDPHRVPPDHEQLGSYGGEELHAQLGVAAKCSSSGKTSKLAALLSFMVSPSPRASFRKTSPPTASNNKQLAGGDEPATIKASSSSRELQEGCGGVHEVDLGVAMGDRRLQGVRVVRVGGDQQRWVVRCSAWDEEELHESSDYPKDRDDGDHGDWESDSSSDLFDLDLECLY >DexiUA01G0003790.1:cds pep primary_assembly:Fonio_CM05836:UA:7828545:7829523:1 gene:DexiUA01G0003790 transcript:DexiUA01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPDRKTPRPSSPTAPTERDWASLPLDILISVFHKLGLREVLLSAERTCTAWRLAAVDPTMWRRIDAGTLSPCSPGGRAIVRAALDRADGECEAFSGPCDNHLLFDLVQIAPLVKVLHLKHFYAPNKVLNLVLNRLLLLEDLEISPSYVSTGSENLLQSVCQDCPGLKKLRLNCSESFDYVNWNGVILEKIHGRIIPMPELRSLELFHCELTTQGLTAILDSCPLLETLHVTGFLVGGKMNQQLWERCAQVKDLSLPDQSVKYWRLPGLRTGPVTRKVCGPWEIVR >Dexi3B01G0025650.1:cds pep primary_assembly:Fonio_CM05836:3B:20485099:20485743:-1 gene:Dexi3B01G0025650 transcript:Dexi3B01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYDPKARITAQQALEHRYFSSLPAPTRPSQLPRPRRKGDSGFNKIPDLNLQDGPVVLSPPRKLRRVTAHEGIEGNMHRADKGDEHPSGTRHTDGMSGQSSRIPMSIDVGAVFGTRPAPRPTLNSADKSHLKRKLDMDPEFGYAE >Dexi8B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:8B:4933804:4938242:-1 gene:Dexi8B01G0005070 transcript:Dexi8B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTAAVLLPAALMLMLALHPPPAAAGAPPIKHPNTNCDTTCGNVSVPYPFGFGSSHCYWPGLNLTCDTSYDPPRLLLGDGTLRVTDIFVENATMRVMRAGLILDTTGDVLNSDGWNVSFGHGFAEHGYQLSYKNELVVSGCNVIATLLADAGEKTPGIIVGGCASLCDWTVDITWSEDIPWATWEPGTSKRKYCASGSCQASLDHTSLPKEVQVKWLYSGNHTEEQSYVPVIVFVAEEGWVNQNGLDNLEKLESENSVSSYHQPGGYTCQCWEGYDGNPYVADGCRDINECHLPSEENGCLFGACLNKIGGMECRCPHGTFGDPSVNGGCIMSNDSTTADAPLPMVDPAQIGLPGCNTTCGNLIVPYPFGISPGCYWPGFELFCDTSDDFPRLVIIDSGSILEVVDISLPDSTIRVSHRTSIIYNATNFSDKMLSDDKFQILDIMSETYTLSTRNELILFGCDVQATLHGEYTNGGGGNTSSSDNIISQCVSICTSGRDHGAGTPVVQGGNCSGHDGCCHAPISVGRRPNEVYMKILNLSIGQQTSPFALISEDGMTDQWYSIVSKWMTNQWTPDYITYPLVLMWAIKQGFSVPADNSGHCPKDVASRLCKSHHSECQQENGGFTCYCSTNYHGNPYIPDGCREAIRAMVTAITFLEDTSSANALEEATGTPSNPVAAPSPPQV >Dexi5A01G0029900.1:cds pep primary_assembly:Fonio_CM05836:5A:32957695:32959250:-1 gene:Dexi5A01G0029900 transcript:Dexi5A01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSLRNRALIGKSDPYVVLYVRPFFKVRTNVIDDNLNPEWNETFELLVEDKETQSVIFEVYDKNKLQQDKKLGVAKIALNSLEPETVREIALKLLRSLDPLKNEDNTERGTLYLKVMYHPFTMEEKFEALETENKFLTEHWHRWRRGIVGSGLGAYVGLVGSGIGAGGSGLDKARKFKGKIVTHCISACCAGMAATQLLPGRINF >Dexi2A01G0034780.1:cds pep primary_assembly:Fonio_CM05836:2A:44611241:44611711:1 gene:Dexi2A01G0034780 transcript:Dexi2A01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKINVDGAFVEGDCAGYGVVIRDDKGDVLLSAWGMVHYAASTEEVELIACREGVKLAAEWVPRLAILESDCLVAINFLRRPSDQRSQSVFTIKETVLASEKLPSVVFSHVKREQNRVAHELAQIARRLSHCAVWRNRAPVCVEQLVAHDCNFPVQ >Dexi2B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:2B:5448638:5449218:1 gene:Dexi2B01G0005870 transcript:Dexi2B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALQRNGNKRSKLHAPLAAANDGALPTDVLRDVLLCLPADEPCRLRLVCRSRRSLTTDPIFAKAHSFRHPLVVGLRYRQPGDHRDLEVLFLDPFSGGIIKRIYMVGPGECYDLSVHHWRVCITITYRLDKAYVLNTAAGSFTMLPTSCEVTEHENINSTITPQA >Dexi7B01G0020830.1:cds pep primary_assembly:Fonio_CM05836:7B:26078372:26078632:-1 gene:Dexi7B01G0020830 transcript:Dexi7B01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWMVAMSVGAVEALKDQVGLCRWNYALRSVHRNAKANVRSVAQAKKMAPAAAAAAERRRPEKAEEGMRTVMYLSCWGPN >Dexi7B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:7B:13288664:13291157:-1 gene:Dexi7B01G0005780 transcript:Dexi7B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSRVKKETGGGPPPRKGGLKFVPKVPVKKAAKVVPKKEPVEDSKDETIDRDLLMKLKASQITDPLSRRVKTEDKHEAKLPKEYAEPWDYTHTDYPVTLPLRRPYSGNPEILDEEEFGESSASRARDAELTAAEELGLMDRSDESQLLFVQFPASLPLPVQPESVAEPTKGSEGRREGMRTSSHRGSKLKELPGGYMGKILVYKSGKVKMKIGDTLYDVSSGSNCKFVQEVAAMNTREKHCCTVGEISKRAVITPNIDSLLDSVDKMQE >Dexi1B01G0030940.1:cds pep primary_assembly:Fonio_CM05836:1B:34713449:34718466:1 gene:Dexi1B01G0030940 transcript:Dexi1B01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSPAAVLLVLAALAGVAAAGDIVHQDDEAPKIPGCSNDFVLFAGDILLVERGNCKFTKKAKVAESAGASAIIIINDKHVFMSSDMRVVHPLAELYKMVCDRNETDLDIGIPAVLLPKDAGSSLQSLLSSGEVLVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEIPPNFEGGGSSGMVDINMASAILFVVIASCFLITLYKLMSHCSCDIFALLCAGSANMLGGLTVNVKGITLIVTVIQIVRIPNLKVGSALLSCAFLYDIFWVFISKMLFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTIGTFLALGMKRGELRHLWTRGQPERVCTHMHLVSPKDSADPVTSS >Dexi4B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:4B:1649190:1650116:-1 gene:Dexi4B01G0002580 transcript:Dexi4B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLDLGLSLGLGMAALQPSSFCYSDGNAAAVEREASPAAAEEREMRCSPAGSPVSSGSGSGKRAAAERSAGSGDEDDDGGARKKLRLSKDQAAVLEECFKTHHTLTPKQKVALASSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCEQLAEENRRLGKEVAELRALNAAAAASPAPAPPLTTLTMCLSCRRVASSTPSNASNIPAATHAHGAATANGGGMVTSSAATLPAHRQFFCGFRDAGAAAYGSSSGLAKAVKAAR >Dexi5B01G0033250.1:cds pep primary_assembly:Fonio_CM05836:5B:33703389:33713374:-1 gene:Dexi5B01G0033250 transcript:Dexi5B01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEAPPQPEDGEGATKDGVEVCLFDESADGFSRTVRAILELTDLEAEPDLPDAEVERLASSITFLREWRDFSYEPKDVSFNNGIGSASSRDGMHSITLPQFSSASVPQYLAVAAHPPGSSYHKIGIPDDLPLAYAMPPMKSEKSTPKRGSSGTVSKEGVCTEPSPTTAICAKPKKPRGRPRKHSVPINDKSVSGADVDLGKEKTCQSVSFNCSLDHPVCTEFNANLSIVAVDAPLPIVHELPSWPGTVVNENTCIESSTTTATRKQPKRTRMRPRKYSDTQIELDEDATGQPVSSGCSLDHTACTESNSNLSIVAVHSSSLFTSSSNATCEKSEGQRSRAQNKKKTISSAPCSPVVSVVESRSMCPNGPVVSAENDLISAQNMVSVTTDLCSASMLNSEDNVGKGALSGDSVQPILSSGRGGGGRGRGRGRGRGRGRGRGRPKNNPLSVGATSLVASGVSSLMTTSVLTTSDDLTSLGKSDGEFITSNLGLISSSGCGIEKSSVHLGVVSSDTVSRGRGSRKKPVSTEHNHLTDFDGNKQKTQSKPMVLVENCMEGPCPKKGVGQPQRTPASNESSGTSVGGEIHKMERLSTSMTTRPPRSEGMDDEAGLIQSNNEIVGCEGMKVNESSSANITSHCKENAQPHQVAPHFKNSDRVIDEVKSAELIPLKEPIEGDNMFNCMENSNSSPIPKDITLPRVVLCLAHNGKVAWDIKWKPPLLSQPEQKSRLGFLAVLLGNGSLEVWEVPSPCMIQKIYSPSKVEGSDPRFLKLQPAFRCVKVKCGNRQSIPLTVDWSPSPPHDMILAGCHDGTVYCPLVQNCLLSLNPVALWNFSTNQPSQDSKPFIPNLNLFNSEDISTFVTAGEDGLKFWDLRDPYHPLWELSTAPRAVLSLQWLKDGRGIVISMEDGTLKFLSLPRIANDVAATGRPFGGTKTQGVATYQLSEYLIWSVHTSDTTGCAAYCGADGTAVCFQLTPRFWEKEPGRNRVPYFLCGSLSEDGQNIKIGSRPQTSPLPNVPMGNKKGPKPCQNIVQALPANDGAGPLAYQLNSPTGNSDILNPEFGNDQDDRHSDEQGAGTVNPELGDDQDNGHYEEQREGAVNPELGDDKDGHIEEQDAGAIVLSGPTEHEDDGTLNSKDGESPKDFEVFPPKSVALHRVRWNMNRGSERWLCYGGAAGIVRCQRI >Dexi9A01G0047100.1:cds pep primary_assembly:Fonio_CM05836:9A:50313679:50315588:1 gene:Dexi9A01G0047100 transcript:Dexi9A01G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSISTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVDVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPETNGEKEKEAEVEEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVISY >Dexi3A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:3A:9504326:9504670:-1 gene:Dexi3A01G0013090 transcript:Dexi3A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSACASTSVSFPARPAAASTARPAAGAGVVRAAGGVEGGKWWAPLLGWSGKADYIEAPAPAAKEENRGRAFVGGLTEEKARELRARMAQTESFHDAMYHSAIASRLARSA >Dexi9B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:9B:2665701:2674606:-1 gene:Dexi9B01G0004660 transcript:Dexi9B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAARMLSDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLDAESINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDDLAQVYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPSVDIKTVLSQLMDRLSNYAASSPEVLPEFLQVEAFAKFSNAIGKVIEAQPDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDTATTKVMAVVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDDAQDDELDEEDFKEEQNSVARLIHMLHNDDPEEMLKILCTVQKHILLGGPKRLTFTVPSLVFSALKTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMSIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDHDGIMDGERVLLCLKRALRIANAAQQMANATRGSSGSVTLFIEILNKYLYFFEKGTPQINNSAIQDLIELIRTAQSDNTEADPSTEAFFSSTLRYIEFQKQKGGSIGERYEQIKTSS >Dexi3B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:3B:6841125:6842420:-1 gene:Dexi3B01G0009880 transcript:Dexi3B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKPQLPAPAPPGLARLLLSKSRRGGRSRRAPATSPMFVSRGRSRAADGEPSSPKVTCIGQVRMRKGKKGKKAAAAAVKAAAPEKGAKGYCRCLKKAFLCGGLFEFDSRRRKQKAPSPEVERSSRRSPWVFSSRDVAVAAAPKTAADPRRGVQGEGHEDDDEEEEMQVGVGVFGSIGREEGEKMGIGGGSGSEKEEDEHGDDDDDEREAQLVSSATTTPPKNALLLMRCRSAPQNRTSPLTSRFLPAAAPAAAPMMPSPSPTRDALAAVTLEIASSPSPSPSPRKPEKASPSPRRKPSAEKVLVVLADQDGGEKRQGGGAGAAQEQDPRLIGGHQEEDEEEEEDDDEFEEEEEMRCSSARPLVLQRCKSEPATTAAAKMAAAGPAADATTAGCFWAHGGSSGRRRHAPPATAGAPVALAGH >Dexi4B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:4B:1509364:1510047:1 gene:Dexi4B01G0002380 transcript:Dexi4B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLPSPHFTLTSLTARSAASSLALSNGGLVLPPTIPLLLFLLAILAASARNEEDARALMALRRALDLPAGSWAHGTLSATLAAAPSSASPVTLPAASQPSRCRPRALGPSRLRSSGSGASRGCREEENRLSLRRP >Dexi5B01G0027130.1:cds pep primary_assembly:Fonio_CM05836:5B:28737781:28743487:-1 gene:Dexi5B01G0027130 transcript:Dexi5B01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPPAAAAASGPATPRFRLGKQSSLAPERGGEGGGGGDAGSAEGSAVANGVMSFQLMYLAHEGNAEGIRDLLDGGADPNFRDSDGRTALHIAACEGHADVVELLLQRGAEAVVEDQWGSTPLADAMHYQNHDVIKILEKHGSKHNKIAPMHVNNVREVPEYEIDPAELDFSNGNDISKGTFRKATWRGIPVAVKKLDDDLIVDENKVRAFRDELDVLQLIRHPNVVQFLGAVTQSNPMMIVMEFMRKGDLRTHLNKKGALPPSYALKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREVKAVTSPGDACRYVAPEVLRSEEYDTKADVFSFALILQEMIEGCLPYHDKKNDEIEKAHNLKERPPFRAPPKHYAHGLKELIEQCWSENPAHRPDFRVIINRLSAIQNEIAQRNRWKVTIARPLKCFLSFEGMWKKDRNEGSTTRSRSSRSYF >Dexi4A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:4A:23247870:23252284:-1 gene:Dexi4A01G0019470 transcript:Dexi4A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGSGGGGGGAGLGIDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASYEDHHHHDSSPEYPMSPRGDQRKQGPAAGEVAGPGPCAACGGVTTKKCSRCKRVRYWPYGSCYPTVLWGESAPSDFMLLFSSQECQTKHWQAGHKFKCKPMNADKLSSGIEANSKKSSGFGRISLVPTTKKLKKGQLLFPYDEFLKLYNWKEFDFLPCGLMNCGNSCFVNVVLQCLSCTRPLVAYLLGKDHSRECSTRHEDWCFLCELQCHIQRASESIHPFSPMNILSHLPNIGGNLGYGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTVIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIHGDAESLEKCLDQFTAVEWLDGDNKYKCDGCNDYVKARKHLSVHQAPNILTITLKRFQSGRFGKLNKRVTFPMELDLTPYMSSTDGNNLYDLYAVVVHLDMLNASFFGHYICYIKGSRGKWYKIDDCKVMVVDEEEVHAQGAYMLLYSR >Dexi9B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:9B:190178:194560:1 gene:Dexi9B01G0000270 transcript:Dexi9B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSDQYRSSSSSASSPARRYYLPKPGALRRPISFEDSPDWDDIHLDDNIHLATAPSAPASISSSAYPSPSPSLQPGPSASGAAAASACRERKVAGATLVWKDLTVSSLSASTNRFSDRLVKSSNGYALPATLTVIMGPARSGKSTLLRAIAGRLTAAERMYGEVFVNGAKSRLPYGSYGYIDRDDVLIDSLTVREMLYFSALLQLPGFLSSKKSIVEDAIAAMSLGDHADKLIGGHCFMKRLPNGERRRVSIARELVMRPHVLFIDEPLYNLDSVSALLLMVTLKKLASTGCTIIFTMYQSSTEVFGLFDRISLLSNGNTLFFGETLACLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSVAVESMIAKLTEKEGPYLKSKGRASDATRIVVLTWRSLLIMSRDWKYYWSRLALYMFIALSTGTIFSDIGHSLSSVVVRVSAIFAFVSFFILLSVSGVPAHIDEVKIYCHEETNRHSGAMVFLLGHFLSSIPFLFLVSISSSLVFYYLIGLRNEFSFPMYFVITIFMCLLANEALMMIVAYIWLETYKCTLTLTFLYVIMMLVAGYFRVRDTLPYAVWTYPLSFMSFHTYAVQGLVENEYVGTSFAVGQIRSIPGVQAVRGSYNISSSANAKWVNLLALLVMAIGYRIALYILLRLNVRKHARRLGSWRSCWSSMHSSASVK >Dexi5B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:5B:1456900:1459025:-1 gene:Dexi5B01G0002250 transcript:Dexi5B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAITVRPSPSRSTKLKIPPDLRRAVTNGFSRATGTLPPTPPLPAASPRAAADASSLFLLPPSLGSPGEVPDLSPPFSFLLLLGDPGDFSLGPDDGEEGFGVVPYSSESDWSDEDVVLTAFGDVELPVTGKSRAEGALKIHHFRRRGKPVSPSSRKPTPAMGGLFFVPIGIFVARREVGFNSNGVNGAAMITLIFAMLGLLDDISSIGMDQNRKIPQWIRLLVQIVAGIYFSVWLGAADISTPYSM >Dexi5B01G0030420.1:cds pep primary_assembly:Fonio_CM05836:5B:31319246:31320754:-1 gene:Dexi5B01G0030420 transcript:Dexi5B01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLISTVPAFTLLLVAPATKPLCKLARELGLLLLLLATELLRHATAAGRKLRGLDRERGARTTTMPKPAAAALTAAPGEAESEETVTMPAAAGLPVLDLPELALDRVLEELSPASLAAMACVCAELRDRCSGDALWGRHLRGKWGRVLGAAARKEWEAGLGAMATRRAGATRPARRRSWVVDSLACAWPFSWIACRLLKVDDAAVAPAPGAVAAEPAPAPVPAATPTDTMAVWYRALECGEFWFPAQVYNREVDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEDGVQWSRIRAPPVSTPAHYLHATDCLEALRPGDHFEIQWRKNKDFPYGTDAILLLVRRLLVR >Dexi7B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:7B:15270919:15272334:1 gene:Dexi7B01G0007390 transcript:Dexi7B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGANLRHEVEQSHDHTTTLDGSKPTIVGSVIMGPTSTNSSCVSKLAIETSNVGSNQAIPMVLHAGEDNNAGSNIVDTGFELTDPSVLHVGEDKDMNTVSRLINPTMLHAGKDKYNNALSMLTSPMVVHADEENKSRCNLDIHEILQASEDNKTGSKLTSPVMLCAGEDNNIESKIESPTVLPVSMENNTNIRFKINNPLVVYSGKINTESKIATQTMLQAVESKDNYTESSLSSPVVIHGGNENKIGPKLAIEAVPLAGEDNNAESKLTRHDSEVVMPHDGEENNARSKLTKPTGLHAGEGSNTLSKLTTQAVCYASEDKNIKSNLASSMVIHSGGGNNIGSDLTIKEMPDADEVGSKLSSPVVLHASDGNNTTSKLTIQRTPHASDGNNNLSKHAKRGRLHVGKGNNTVSNLMIQAVPRAKKDKKTRSNLARLARLHASEENNVVSKITI >Dexi9A01G0047290.1:cds pep primary_assembly:Fonio_CM05836:9A:50450388:50452199:-1 gene:Dexi9A01G0047290 transcript:Dexi9A01G0047290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPVLPAHHDDMEKGGGKPAPSRLCFLATLCAMFWVLIFYFHFAVVSNEPDASAGQVAEVRIARTRLQFPVTDRPDMPLAPPDPASEPPPPPPPVVRPKEEVEEKPAAGARQEEAPPKVVAAASYPFERALRTAENKSDPCGGRYIYVHELPPRFNEDMLRDCEKLSVWTNMCRFMINDGLGPPLSDEEGVFSSTGWYGTNQFAVDVIFGNRMKQYECLTKDSSMAAAVFVPFYAGFDIARYLWGYNISMRDAASLDLVDWLMKKPEWNLMGGRDHFLVAGRITWDFRRLTDGESDWGSKLLFLPAAKNMSMLVVESSPWNSNDFGIPYPTYFHPAKDADVFLWQDRMRNLERPWLFSFAGAPRPGDPMSIRGQLIDQCRSSSVCKLLECDLGESKCHSPSTIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPENDIRTRNASIEERLKSIHPDVVKNMREEVINLIPRVIYADPRSKLETLKDAFDVSIEAIINKVTNLRRDIIAGREDKDFIEENSWKYSLLEDGQRTIGPHEWDPFFSKPKDKGGDSSSSSAEAAKKSWKSEQRVQN >Dexi2B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:2B:24656855:24657268:1 gene:Dexi2B01G0014780 transcript:Dexi2B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFFQDTGASVGGVGRTGALFQYQRLELRDDGSAAPSSSRWRWLPAAVAVNGKASSSSPCLFHVKKLKWGSITSALIPRKVAELSAKIRRVGAATTEAADVCPAVIFMSPWGLPVLSRPLLGGHIRRRHQNGRDAL >Dexi7B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:7B:20663257:20664732:1 gene:Dexi7B01G0014420 transcript:Dexi7B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISSSPTTRIAVITGGNKGIGFEVCRQLAGGEVTVILTARDEARGTAAVKKLRELGLSRVIFHQLEVTDGSSIDRLSEFLKTRFGRLDILVNNAATRGCELVDDPSFGPNPTMEKFSGMDGHQRVEWMLRNSQQSFDAAKEAVQTNYYGTKQVTEALLPLLLSSSDGRIINVTSGFGLLRFFRSEQLKQELNDIDRLTEERLDELLDAFLEDFAAGEVEAGVWPTEFSAYKVAKAAMNAYSRLLARRHPALRVNCVDPGYVRTDMTGHSGLQTPEEGGARVVAVALLPAGGPTGAFFDAAGEASPSFV >Dexi9A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:9A:8693582:8694385:1 gene:Dexi9A01G0013500 transcript:Dexi9A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSAASPPDAVDVHGRPDYLDSYVQGNLPTPTTAELVVVTPDHDLLTTRPRSLRVYLPAPSPEMSRFARCFAYAYITPPPGAPCSKPDPAPFIRTAIGAVLPALRFELIASPDLTVRFAAPEDREAAMERQPFELDGASLELVREGGTSNVRRVRQETLAHVALHGYPRELRAVEEIRGRCLGFGQLLEVDPACFDAPDLSPVRVVVRMEQAREVPRQVRIMWLRGGVFRHVVPVQILRVWDWSEAVDANGEYVPMYGPAGVVPL >Dexi2B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:2B:26532764:26533230:1 gene:Dexi2B01G0016390 transcript:Dexi2B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQQTALPRSPSSNARSASSRRPEKVDRGAADEGVPREPVLPGGAVELLGEGERCGGAGAGGEGAPVGEPVRACGRRRRPRAHECRERGGEVREAVRGAAARHSVERVVGARGGGGGRPKSARGGETGRGGETGARCGHCVALWQWIGET >Dexi9B01G0029300.1:cds pep primary_assembly:Fonio_CM05836:9B:31891221:31891787:-1 gene:Dexi9B01G0029300 transcript:Dexi9B01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEIRASLGAIRNDQIPLCWTPAPGRATARNFLADFLRSPSNLPNLQFGASPSPIQAHDPFLDPFGLSNDGPVPVSRPPETPRKRKMRRAPVKQLKKTVAERSECSLDQVVSVSAAQPIDRASVRRDEMAGQSEAMIEKMQLRQSYRNI >Dexi3A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:3A:12751405:12751839:-1 gene:Dexi3A01G0016820 transcript:Dexi3A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPALHRLRDTFLHPAVLAADLLPTLAVTVRKAFFGGLLEHRPPSFHRSGRACGPVRKGAAPMAPFRGEWSPRCALKRRRRGKQRRLVVELLGNLFWLGSGADGAWREGVVYLVEVQ >Dexi3A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:3A:7928139:7928429:-1 gene:Dexi3A01G0011130 transcript:Dexi3A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNTGDAESGTPVSLLLLTREERPDYYDADDAESCSGGANNGGSSDDGDDVAVERDEAEVDSCMAVPWWRRSAGDDGTGHGA >Dexi9A01G0032850.1:cds pep primary_assembly:Fonio_CM05836:9A:37690949:37694190:-1 gene:Dexi9A01G0032850 transcript:Dexi9A01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQVAGVQNSIKVLPDGQTDRLPQATAAESGVDKSWRNEEAGPGRTLFPDGAFFLGLKAKEKKIVRDIKSSHASANLLPRAKKLIFYSKPPPAHGEDQAEAESMTKTKGILCWEGEVGYVHRCTDMVTVVDSERGREEVERGTERRQAGSQAGDDGVRRLVRGANPSPAGAALAGKPLLPVADRAAVGCVGRLVLMALSYLSLFVPTDNGASSASSTAPIMRGSANQPSWMAVAMFTLTCDLPFNSRSPLGSSSCPGDSIPLFLPGQRKALNLVVVGSSPTLTAEYIV >Dexi5A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:5A:5035293:5035655:-1 gene:Dexi5A01G0006750 transcript:Dexi5A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGEMGMQHLAAAAGGLGDAASMDAGADKLHQAGLLGIVTSSMAVTLAVRDPPPGLNTNAYYLALTGAFFGGVAGITAAVCLSNNPRARSTAGRKLMWASAVGSLAVVVGLSAASLLW >Dexi7A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:7A:3566147:3572924:1 gene:Dexi7A01G0001420 transcript:Dexi7A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSDRSFLFESDEEEDDAAAVENARRGRPSGEMKNSVSDRSFLFESDEEEDDAAAVENGRRGGEESGDDDGGSGSDSSSPCDSPRVVAAHCSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPSLSRLSNSFLINSFRGKPPEILSSLIKPLLPTSTAPTSEDQQQQKQEDVRKSSHYLPPSRKASSLQRIPEDHRPMVGGHEVGPYRQCSYIQGVMNGVNVLCGVGILSTPYAVRQGGWLGLVILVVLGALAWYTGILLRRCLDSKEGLETYPDIGHAAFGTAGRIIISIILYMELYACCIEYLILESDNLSKLFPNAHLTIGSLTLDAHVLFAILTALIVMPTTWLRDLSCLSFVSAGGVIASIVIVSCLFWVGLVDHVGPVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPAVLFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTF >Dexi5B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:5B:18966423:18967741:-1 gene:Dexi5B01G0017390 transcript:Dexi5B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAATKPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQQGIDPATHKPLDLDDANNDDAPRDESNSKHLPVVSATDDFAAMATGDDPLAPPPHSPTVSFDPLSVVTNVVPAAMQGSSSYGVEHSSYRSDNLCDYGGVDVASSDAATYSAYTAGGDSSSNSNGTWTCGSNVVGSGEPSMMAHLDMFGRDAYHQFDPAKYSPWQQQHSFPIRSMSRDLPDSSCFDLARSALEDEFSVDFL >Dexi6A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:6A:7650441:7654279:1 gene:Dexi6A01G0007730 transcript:Dexi6A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMLQFFEAQTSEENIHFALTTAGARSGAIRQDHFHELELDDEGKEIEKVKDTTQTLAIASVLIATVTFGATFALPGGYRADDHNNGGTPTLAGRYTFDAFMIANALAFISSAIATIGLMRSGSPLFKPQSRKFYLGIVFHFMETSVTCLFAAFALGVYMVLAPVAQKTALQNREVPSQNNRSPPRLARRAAVAPPSKEQATDASPRWRSRSSPAAGFPSSASPPGAHSLLNPPIAKEQGGQRHLISSPRQSELR >Dexi7A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:7A:30190648:30191010:1 gene:Dexi7A01G0021640 transcript:Dexi7A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPTTMTSNALRLAAAAADLPPASPPSLGTARDSAALAAARSTKQASTAARDHRLVALMPGQPVMGDEPRAMTKAFDVWIEQLATSWEKTEEAGRQEEPEPGCGGGGNSGVVEWSQSG >Dexi7B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:7B:352417:354648:1 gene:Dexi7B01G0000040 transcript:Dexi7B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLPIASPGPPSAADAIPFRIPLPRPQCAIPPAAMAEDLEATRRKRKRMKHLEEEEVTAASSQSPRPLATPDSKPESPAPVAPETLTATGMARGKGRASKKQEVAAASPLVEEAVTMEEKKKRKRSRHVEAAAIPSPSAATANILEVAEKGVAARKEQRQGKVAHEQSGQLPFPIDVHPQGGKPAVNGALSDSQSFMYNRFGKVRVLSNKELMKHKIKLLNQNPEHQVVIPTIVNLDSIDHDPKYSSPFGAFLDRFSYKPDRRQGRNAPSLPKTPDRPARPVPRDHLSSRSSQVTANGIFMGAKKATSIRKQPRSASTSGPQKGRKVEGKEMHEKKPRKSAPVLSAAEKRSDVYRRLPLNQLVRPPRSPHKLLQEKYASDPWKVMVICMLLNMTQGKQVRKKVKGLFKLYPDAQTAYTADPETMAEYLAPLGLQRVKTKRIQKFSKEYLQEEWTHVTQLCGVGKYAADAYAIFCAGRAADVVPHDHKLVDYWKYVRFDLPLIQVVISHQGFNKLHCTTYVVG >Dexi1A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:1A:5332846:5334387:-1 gene:Dexi1A01G0006930 transcript:Dexi1A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPQRGPGQRMQAGMGIAMPPPPADEQGFWPERRQPSVEYALVGHCSGSGFEPFTRLRSPTRPYGHNPPSTCAPAHLSSNSLPLQPPLSAPPPLAMPPLTLFYPHPLPLAHPNRTLAITHLAIPPVRNSRASQSAIGLHRTASSGHHLRPYKIITDVHPIAVGTKFAPAPCRHPANGVSRPSVLQ >Dexi6B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:6B:3196075:3197907:1 gene:Dexi6B01G0003900 transcript:Dexi6B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPSVLAATRRLTTAAVAAAVRRGDLAGAEEAFASTHLKTTVTYNCLLSGYAKAPGPGRIDAARHLFDRIPQPDAVSYNTLLSCHFANGDVDGARRLFSAMPVRDVTSWNTMVSGLSKNGALEEAKAVFQAMPVRNSVSWNAIVAALAASGDMDTAEEWFRKAPEKKDVVLWTAMVSGYMDTGNVQKAIEFFEAMPVRNLVSWNAMVSGYVKNSRARDALRVFKTMVDDGTVQPNSSTLSSVLLGCSNLSAVEFGRQIHQWCMKLPLGRSVAVGTPLLSMYCKCGNLDDACKLFNEMHTRDVISWNAMISGYAQHGDGLEAIKLFEKMKDDGLVPDLITFVAVLTACVHTGLCDFGIQCFETMQEVYRIEPRADHYSCMVDLLCRAGLLERAVNMIRSMPFEPHPSAYGTLLTACRVYKNLDFAEFAAGKLIEQDPQNAGAYVQLANIYAVANRWADVSRVRRWMKDNAVVKTPGYSWIEIKGVRHEFRSNDRLHPQLDLIHEKLDRLEEQMKAMGYIPDLDFALHDVEESLKVQMLMRHSEKLAIAFGLVSTPSGMTLRIFKNLRVCGDCHNAAKIISKIEGREIILRDTTRFHHFRGGHCSCGDYW >Dexi6B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:6B:23391845:23392312:-1 gene:Dexi6B01G0016120 transcript:Dexi6B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVAFGAAVATLLMLPSSFASAAPAAAAATGPAGSINCTTGCGSISIQYPFGIEPGCYHGPGFNLTCASRGHGPPELFLGDGTVQVLEISVEHSTVRINSTSVQLLYHDNNSSRTTSRTWGVGIPERGPYFLSESTNILEAIGCNIQVSILAA >Dexi6B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:6B:21879546:21880979:1 gene:Dexi6B01G0014340 transcript:Dexi6B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAIAATGSSSDASDDEADAARFYSRRRSNSPSTTPSRSKIASPDLRPSATAPSSAPTPAGSGVAAASDPDAVREGSARDQLDADAGADTGRRAPSTIPFRLGRGELSISHSDSDATAGGGGREPSPRRSRERTARLHADSDNSAATATVIITSSEDDGGGAGDASLSPDALETSYIKASAIKPIRTRLMDLPGRDAAKSYELRTRSRPSPSGFGEHQKRASRVWSPEDEVTILSALIEYRAKKGRLPGSFQDTNEMYFQIHGRLTANVSTTQLSDKVRRLKHKYKLLVNRAKSGRDPNLPMEHDRNVYELSKKVWSLKSLEGSSRAHEDAGGAESNEEREIRESDEDMENERGHHSEYKSKKRKTSGFEIGDGNATFTAGWASRSNNSGRDEAEKLKQKYPYLWAAVEELSKENPSGPIFRKALAALEKSKSRAIEEKLRKFRMSVIRLHLNRMDLTKLSVGMVLDVLEGA >Dexi2B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:2B:10356488:10367390:-1 gene:Dexi2B01G0009640 transcript:Dexi2B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDEIVEFQLPIEVSEAISQDTVPFGYGYIRFLDVSLAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDSIRNATKTCGGVYMYANQQGCDGSRLYYGISKCSSWILMLMAGVTDAIVCYVNHIHSGKLKVCFKSFSLDGCCCIAVNGDLVAQGSQFSLKDVEVMNALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCQSFRSGMIPTSPVQIMYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVIKADINPIGSVSKQDLRAFLRWAAIHLKYSSLAEVESAPPTAELEPIRANYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCHSWCGRLSPSEVAEKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKINELVEEMGKDGKWETPAEGLQTAQTGAQGSGMGVVSAGSSNPSAGF >DexiUA01G0006570.1:cds pep primary_assembly:Fonio_CM05836:UA:12628296:12629749:1 gene:DexiUA01G0006570 transcript:DexiUA01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASEARRQLHAVCMPYPAQGHVTPMFKLAKLLHARGFHVTFVNTEFNHRRLLRTRGAASLASVPAFRFAAIPDGLPPSDADATQDIPALCYSTMTTCLPHLRALLANLNAAHVSSSSPPVTCLVVDAVMSFAYDRQRVRLHGGLVPFKDEADLADVDGGPLATVVTGARGMCDAVQLRDFPNFIRTTNRGDIMLNFLMRESERLSLPDAVVVNTFEDLEGATLDAMRAILPPVFPVGPLVLRERLEIPAGTPLAGLVSNFWKEQDGLLDWLAGRPARSVVYVNYGSITVMTNTQLLEFAWGLAGSGYPFVWNLRPDLLKGDSAVLPPEFAEAVHGRALLTTWCPQEAVIQHEAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVRRDEVAPIIREAMEGDKGREMWRRAQEWKEKAVKVTRPGGPAETNLDRLIDEVLLSKKKGEAVEA >Dexi5A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:5A:8873217:8874084:-1 gene:Dexi5A01G0011830 transcript:Dexi5A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLADDRMLDGLRLRSVTGRRVVPSPYGAGSPVIATDLSSFRHPSRLVDPFTGEESAWLPDLPVPLGETGPTAFEPEEPRVQGRRPAAPPTDDGFAWDLSPRGAMVARGDTVFFCERGDDGGGKWVPVHRSRSASDTMTVNYRGGFFFVLEQRALLTTVIDASTLDKVAEIPAPPLDDDDAVDCVHLVASTEDVLLLVHRGRGMQCELFSEVYRAWHKEPKPEWKKVTDVGDRALFVDRLHGFTVGAGGVRRRWKIHMAAALRCTTLRSFT >Dexi5B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:5B:5661228:5663647:1 gene:Dexi5B01G0008360 transcript:Dexi5B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGGGAGSAPGPTAAAAAAAVQKQKSLLQKADADVSSLVDNFSSLINIARVNDPPVRNSQEAFQMEIRASRMVHSADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQADGTERMLERIGQEAAASLKELEAHYYSSVVRSPSND >DexiUA01G0021500.1:cds pep primary_assembly:Fonio_CM05836:UA:44685459:44685959:-1 gene:DexiUA01G0021500 transcript:DexiUA01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGGHIKLQQLSRSDNFSLAASSWPPQQKRSSSSSSHTCGYCKREFRSAQGLGGHMNVHRMDRARLIHHQCSSHRLVPPPNPNPSPTVLDLLSSGCRCCRTHGAASDGGSLAMPTAKLGISRSSAMTITTKDFDVKNLELRMGACSHGDGAEERLDLELKLGYS >Dexi1A01G0027720.1:cds pep primary_assembly:Fonio_CM05836:1A:33438240:33438616:1 gene:Dexi1A01G0027720 transcript:Dexi1A01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINHLLLAAIALLLVPSDMTAKVSSLCVQPRSVIMYPAKPCDPQVCKTNCAKQYINGVGTCMYPNGCDCEYCLDNSTASTESETN >Dexi2B01G0022130.1:cds pep primary_assembly:Fonio_CM05836:2B:31822342:31826592:-1 gene:Dexi2B01G0022130 transcript:Dexi2B01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCSSPPGPVPRSSYAEQKSSPAVGISFSEVRTMAMGQPVRLVLDASLLLGPSAIGDAAAEALRPGAEALLRRLRYSNLSVAISYVEGMSTNEVIVYEDFAHDMNAAIFCILYREPIFFFDPGCECSIEVPGVLNVGRLQELLLTLATFIKREIGGSSVMVIGYVMKQSREDDFARASFLQFRGAFPLYPSKDGLIFVPLSFDLPLSLQMQEVDMILHKITDEIVTIDPNCSTDFPEGISFSAGMSEVIRFVEEHPDFCIIDPFKNIYPLLDRLQIQKILVRLQELGTERKPKLRAPYSLKVDSFNDGELEKHLAEANLSFPLIVKPQVACGVADAHNMALVFQTEEFSNLSVPLPAVLQEYVDHGSKIFKFYVIGDKVFHAVRNSMPNASFLKSSSGEPLTFNSLKTLPVASKEQQMQARFQDSKSVDTDLVKEAAKFLKELLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAIRHTYESKRGKAQT >Dexi1A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:1A:27445187:27447131:1 gene:Dexi1A01G0020580 transcript:Dexi1A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIEVQTLRPPDRRPLPPPPSSLIQYAPAPPRRPRLGRTRSLPFPADSFCSCGVREAAGEKKQRCRMPLGLIVSSLGRTMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKVMVRQGLCPHFTDLIAVEAICVTMCQGPHSVHDILYYS >Dexi9A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:9A:6498313:6498930:-1 gene:Dexi9A01G0010630 transcript:Dexi9A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVGVKKEACLKKEAVAVAAPEEATPTLTAPPMLLEKEPEAPEKCYKKTVGEEATFLESAKDYFNQFKAMPAQKHWICVKNYFSQKCSSDNGGRDER >Dexi2A01G0035410.1:cds pep primary_assembly:Fonio_CM05836:2A:45050243:45050725:-1 gene:Dexi2A01G0035410 transcript:Dexi2A01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRVCRGGPSSSPAGAAAGRPFPPLAASSSSAASPSSAPSETASTSVTKTVNGSHHFKIDGYSLSKGIGVGKYIASESFSVGGFDWAIYFYPDGKSAEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLESGPYTLKYRGSMW >Dexi2A01G0032520.1:cds pep primary_assembly:Fonio_CM05836:2A:42977172:42981922:-1 gene:Dexi2A01G0032520 transcript:Dexi2A01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLHPQRLDLASVRAAHSPLGARVLPIPSPAKAASSRGGAVSVPSSFKAMALQSENLAAIYSPHVVARAFVKQYYEVLRYQRQNAHKFYGESSILSRSDPNGGMISATTIDDIDTQLQSKDFTNCLIELETVDSQPSNLNGVLILVAGYFIVDAVKEKFTQSFFLAPKGSGYFVLNDMLRLERPSGGVKEVKTNHDDGSTQSTTLPAEPETASIEESTAPNIPPTENIMPVNDEIISTSTNVASQVKNVAVVDVNDEIITASTNVTKVKNDAVVETCKKVVNKDIEKIPEATPAPPSVEKEVTKKTYASIVKTVRETIPSAPAVKPKPNPRPQVAQNAEKSVSASSEPAQATSTAPQSDKNVSKNKPPDGPGYSVFVKNLPFDATVQMVEKEFSTFGAIKPGGIQVRKYQLDRFCFGFVEFETEQSMQAAIKASCVYFGSWESYVEEKRTKTRVVDGVVTRGDDNGSRFQSGRGGYHGDSYRGQGGFRNNGCYNDGGLRNDFRNQDSGRGRGPQGNGYPQNGNGYQQNRNGYHQNGNGNGYPQNGNQQRRPSSNGNGNGNGNGGKVERSNGPKQQPPVAS >Dexi3A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:3A:14159420:14163807:-1 gene:Dexi3A01G0018610 transcript:Dexi3A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDGIPARERRQMEEILQLDMEELNVEVVDEEEEEEEQGDGDDEEDDVEAFLRANDGEGVASTSGPFTFNASLASLHTYLGDVDDTRGRVSLLDGGRVINLPMFYLQGVVLFPGATLPLRVIRSRLKTAVDKALNLVDAPCTIGVVLLRPQSNHRHYNAATVGTTAEIRQLGRLDDGSLNVVARGQQRFRLRRHWIDVDRVVWGEVQIIEEDTPLRTPRDAFAQLAACNRFNLYSSPVISLDMSPIKKDHIDSELECDTPSPKSNASNHSSMDTRLRYLSSQSSDSMKSSSDEEGDLTHHGQKRRSVRESGASSHSDKKTNMSNEDDLCLTPLRSLPAARTRDIKRQRQYHAYSKQASRAPLSFWPQWVYEMYDSYTLARRAAELWRQIIAKPSMDDHVRKPDILSFHIGSKLPVSESVRQKLLEIDGISYRLQREIQLLKAFNLIKCRNCQSHIAKRSDMVVMSTDGPLGAYVNPHGCVHETITVSNASGLALIGNPSTVHSWFPGYELILVDNRIVHSL >Dexi3B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:3B:6375613:6376435:1 gene:Dexi3B01G0009260 transcript:Dexi3B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISLKLLVDNKTKKVLFAEAGKEFVDFVFSLLTLPIGAVVKLISAGTMHGSIGRLYQSVDSIGVSYLQPGADKKDLLHPKVLQPPGGREPSLLLHGGGDGADTSPVASVKMYTCPSHCVTVTMEFKAECPQCRKAMTTEMTVVLPSARGATGGGGGGAEESGGYVKGVVTYMVTDGLEVTPTSAISSFALISRFSSGKDVDLAEKFVTVGMDEGLALLNAALRSDTVLTDVFLARKK >Dexi9A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:9A:8122555:8123593:-1 gene:Dexi9A01G0012730 transcript:Dexi9A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPAAPKRTRPRRLNLPLLSSSSVAMNMPAQARMAAGPQATSPSLAPAPQATLGSIPPTATWLPPAFVPNSSSPMVHSSDLNATNTAIQEGCELGSYPPGGFLSYFQHPSDYSLPEEIRRQTPMSSNFFYASCPAPYALFEAPQPWLAKDKSSPSASKQDTNSSLGSQGTLVVDVENIDDNVVKSSMLSKKDAGGSRVGRRMIWTSDETIRL >Dexi3B01G0036170.1:cds pep primary_assembly:Fonio_CM05836:3B:39067230:39071555:1 gene:Dexi3B01G0036170 transcript:Dexi3B01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARNSDSAVALQAAVDGDLPLLKKMANKVELREAKDAKGRNALHFSAVKGHLEVCRFLVEESGLDVNSTTEEGRPAVHNAAIAGSESVLEYLLDRGGDPLVTDCRRSTPLHDAAEYGRCEAVRLLLSKGVDVEPMNYFGTPLHLAASKDQDGAVKILLEHGADAGADVNFTCPWGPVILMEAVDDGLTDIVEFLLEAGADPNIANEDGKIPIMWAAGHGNRELVELLFPLTKPVPSVPDWSVNGIIRAMKYLHLEAQDAVLVGKWIADAKSLGKEAFAKGDYFAAIHYYGLVVDKDPLDATLFANMSLCWLRMGEWEHALSDARKCKIMRPGWSKAWYREGAALSFLKRYKQAIIAFMQAQDLDPTSDEIYKALREAMVHGGYEERLQELNL >Dexi9A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:9A:14291455:14293956:-1 gene:Dexi9A01G0019390 transcript:Dexi9A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLRPVPAAAASVSPVPVASSSAHVAVVGGGGCGARSLRQRVSVGAFRAPSPQVLAMRWRQRRRVTIVRSDVVAGGAAAAAAGDSTQALSGRLRMQTKFLMLMVILTELHVASRVRGACFYLVTAVTAIFLFVAMVAVHPLVLLFDRYRRRAQHYIAKIWATLTISLFFKLEVEGIENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVGKGASVFFFPEGTRSRDGKLGAFKRGAFSVATKTGAPVIPITLIGTGKLMPSGMEGILNSGSVKVIIHRPIKGNDAETLCSEARNVIADTLLLHGYGVH >Dexi2B01G0026470.1:cds pep primary_assembly:Fonio_CM05836:2B:35597709:35600664:-1 gene:Dexi2B01G0026470 transcript:Dexi2B01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHPAALRDVKAARIGAVRQQVAVVPSAAAARGQRARAVRPLRAAEPGRQPVSASAASAAPVAPVADEVAAPVAAVDYEALAHELEGASPLEIMDRALAMFGSEIAIAFRRGVLHPDMLAASRIPSVSSERVAVEIDSCGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQFFDKVEKHYGIRIEYMFPDAGEVQELVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRASIPIVQVDPSFEGLDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNTLHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIEKDGQAAAPKSANGNGSAGAPDIFESPAVVSLTRTGIENLLRLENRAEPWLVVLYAPWCPFCQAMEASYVELAEKLAGSGVKVAKFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >Dexi3B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:3B:2560150:2560940:-1 gene:Dexi3B01G0003740 transcript:Dexi3B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLEFMAPELYTGNYNELVDVYAFGMCMLELVTCEYPYSECQGMGHIFKNVSQGKKPAALYKVGDTEVRSFIDNCLAPAAERLSASELLRSSFLMKDDPLSAPPISVSLFEIQNVTRDDNQFDSFVFRKGEFLLKGNMEVTNPVHLWLRFPDPYGSFKTAEFPLDVAKDTGLSIAMEMAEQVELPQGSIEIITELIGAFLLVLIRHWRSCVTTP >Dexi9B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:9B:12314778:12319083:-1 gene:Dexi9B01G0017510 transcript:Dexi9B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATPVAAIAPSSNHSHHLRVVKQRNVLLLVLTVVVTTLIAPVAVVVASPPPDPVQCSSGGCTVSSAYGVFPDRSTCRAAAAAYPSTEADLVLAVARAAAAGTKMKVATRYSHSIPPLACPGRGGGEGLAISTRRLDRVVSVDASRGHMTVESGVTLRDLVAEAAKAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRVVTPATAEEGYAKVRVLVEGDPELDAAKVSLGVLGVVSQVTLALQPLFKRSVTFTERDDGDLAEQVAKFGYQHEFADIAWFPGHGRAVYRVDDRLPLSAPGDGLMDFIGFRATPTLGIQANRLAEDLFERAGNGSGKCATARLTHAALSVAGYGLSSTARSRRNGGGVFTGYPVVGPQHRMQASGGCVTGRDDALMTACPWDPRVRASSFFHQTTFSLPLSRASAFVADVARLRDLEPKALCGVELYDGILMRYVKASTAYLGKPPVSGDDTSAGDMVDFDITYYRSRDPARARLFEDVLEEIEQMGIFKYGGVPHWGKNRNLAFVGVARKYPAMAAFLRVKDEYDPDGLFSSNWSDMMLGVGGRSPTTDAPGCALEGMCVCSRDEHCAPDQGYLCRPGKVYKEARVCTRVG >Dexi5A01G0028040.1:cds pep primary_assembly:Fonio_CM05836:5A:31359993:31360823:-1 gene:Dexi5A01G0028040 transcript:Dexi5A01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKRERSLHQGSPRRSPKALRPGAVAEADENASPNLPVPAWAASPPRKKVLGERNDGGGGAGMEAAATPPPQQQPKPAPSPPTLTGRGAGVYDPKTNYTTTRPEFLRYDPERRREILLRMARAAEVEEDDCSSSASASVASEDDGGSAASDVAAASPVSSTRSSDSEAVIDDSDDEEEEENETPPRRGRWARRLFLLLVAMACSFKN >Dexi9B01G0040640.1:cds pep primary_assembly:Fonio_CM05836:9B:41154646:41158737:-1 gene:Dexi9B01G0040640 transcript:Dexi9B01G0040640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETNGSLANEKAPETTVGVGRYVEMEQDGDSNTVKSRLSGFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVLTLTLPSAASVYWAFGDQLLTHSNALSLLPRTAFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSATARENAVEPPPRLVGRWTGTFIINAFVVAWVLVVGFGFGGWASITNFVHQIDTFGLFTKCYQCPPPPLPPLPFPGGLSNITAPIGGAAAGLPPAAAPSPAHFLHHHRHHGHGLLN >Dexi3B01G0030690.1:cds pep primary_assembly:Fonio_CM05836:3B:30856383:30861545:-1 gene:Dexi3B01G0030690 transcript:Dexi3B01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGNPTPSPATSTAAAAAAAAQHQQLQRQLFLMQQAQAQGQTHPQQLSQQAMSRFPSNIDAHLRPLGPPRFHQLQQQQQPPQSQQQQQPQPPHSQGPSQSPSQGAQQGSPHQHQQAAAAQAQAQAQAARIRGPEMEMALQDAMRVCNPDIKTPFQSIEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQAMLGLRAEMESRERAGREAAEAKMRMAMEQARAEAQAHSEMINHGPIRASAVASQGEDGPSHGMEQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDGQEDWRRSGELDLNSR >Dexi1B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:1B:26756817:26758607:-1 gene:Dexi1B01G0020640 transcript:Dexi1B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKNEDATAVDDTMKRDQVFNMDSIPNWLAYTGYALLSIIAIIAIPIMFRQVKWYYVIVAYILAPVLGFSNAYGTGLTDINMSYNYGKVALFIFAAWGGKDNGVIAGLVGCAIVKQLVQVSAELMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIVSPLTFMLFFRAFDIGDPDGYWKAPYALIFRNMAILGVEGVSALPAHCLKLSGGFFAFAVLANVARDFLPRRYGELVPLPTAMAVPFLVGANFAIDMCVGSLVVFAWGKVDGEEAALLVPAVASGFICGDGIWTFPSSLLSLAKVKPPMCMKFTPGS >Dexi9A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:9A:5342176:5345192:1 gene:Dexi9A01G0009030 transcript:Dexi9A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAIEEAEVSGAAEFAPALIASHPHGNSVAVAIGPELRVFDLKSGSPVSLSDDCGSRSHADAIRAICFSVSGALFASAGDDKLVKVWKTDSWRCIRTITSEKRVSAVAISNDDLYVTFADKFGVVWLVTLGEDGAERVSVDNKPVSILGHYCSIITSMPLIINHAYAIYLGLQKFSPDGQFIATADRDFKIRVTLFPKNPLKGAHEIQSFCLGHTDFVSCIAFTSMSENQSFLISGGGDSTVRLWDYINGCLLDTCQVRDKMGELIEQNETDDSSLAIAGICPSNDGKLVAVAIQSFNGVMLLACDLVGKKLSFLKVITMEKSYIPTSLASSFSSELLWTVMGASNMPNQASTQLLTRLRIIPRFQKDLISSNNGPVILEDSEVPHGEKLLLALQGSLDIAKQEEVLASVLSALKVSMHKMLVKKHYSEERREQRKRGRNDKKVKN >Dexi4A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:4A:4525563:4526879:1 gene:Dexi4A01G0006290 transcript:Dexi4A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVERLTTELVVPAEETPTESIWLSNLDLAARRGYTPTVYFYRTDNANPGTFFDADAVRDSLAKALVAFYPLAGRLGVDDATGRVQIDCTGEGAVFVTARSDHYTLDELLNEFVPCDAMRDLLVPPTPPPNPPSCPLLFAQVTRLRCGGVVLGLALHHSVVDARSAAHFVETWASIARCPTKSTGRDTPLPPCFDHKLLAARQTPMVLYDHPEYKAEPTPAAHAVTGKTYESAIITLSKSQVTSLKSRCAGASTFRAVVALVWQCACRARSLPDDAETRLYSMIDMRARLAPPLPPGYFGNAVIRTSALATVGEVVANPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKDGAVALALSLEPESMPEFRKVFAEELARLEM >Dexi8A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:8A:2384519:2386896:1 gene:Dexi8A01G0003340 transcript:Dexi8A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSSDGQRQCRRAVDVDLDAAMVLADMAGGASEHQPPRQAAAAAPLHQSAAAAEEDEDELASTRLSLELGKVGIQSGASPCSSSSSAAGGHPHVSTPAAAAPATGYGPRPRHTLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNENMKKEKDMVMQEYLSLKEANKQLKEQAHHLHHHHPSLSLSLSLLYLRVATSAQLVFFLAGVLALGVATGFVARTTTKKQPPATTGVVTTATAVPMHVTASAEAMAATASPPATPPQPGFLYTAAPPAVPVPYVWGSWPPGPGFDHHPHHHGGSSPPPTICLPPPCAWYYPVVADPRGSPSAFAPPQQQPVAFPQHEPAGSGGGGATAEEDTDDDPCSLTLGLDVADKRSAPINIEARGGGNGAAGPSDRDKAATAAEARKRRKELTKLKHMQHAAGGRPGGGEQW >Dexi1A01G0027440.1:cds pep primary_assembly:Fonio_CM05836:1A:33214466:33216787:-1 gene:Dexi1A01G0027440 transcript:Dexi1A01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAGVLCLLLTVAMAESEAEAVSTYIVHVAPAHAPRSRARTLSTAYVSFLRRLLPAGISRPAPRLLYSYAHAATGFAALLTASQAAHLASEHSVLAVVPDAMLQLHTTLTPTFLGLSNSSGLLPASNGATDVVVGVIDTGVYPKDRASFAADPSLPPPPKTFRGRCVSTPAFNASAYCNNKLVGAKFFNLGYESAAAAQGLAISETESISPLDTNGHGTHTSSTAAGSAVTAAAFFDYAKGRDVGMAPGARIAAYKACWVRGRASSDILMAFDEAIKDGVNVISVSLGAVGTAPPFYADTTAVGAFSAVRKGIVVSASAGNAGPGEFTAVNVAPWILTVGASTVNRQFPGNVVLGNGETFTGTTLYAGTPLGPSKLPLVYGGDVGSSVCEAGKLSTSKVAGKIVVCDPGVNGRAAKGEAVRSAGGAGAILVSSKVYGEQAITSANILPATAVSFAAGDKIKRYIRTTASPVATIVFLGTVVGRTPSSPRMAAFSSRGPNFIAPEILKPDVTAPGVDILAAWTGENSPSELDTDTRRVKFNIISGTSMSCPHVSGIVALLRQAHPDWSPAVIKSALMTTAYNVDNAGDTIKDLATGVESTPFVRGAGHVDPNSALDPGLVYDAGTDDYVSFLCALGYTAKQIAVLTRDGSVTDCSTRPGTVGDFNYPAFSVVFSSGDEVTQRRIVRNVGSNVVATYTATVSSPAGVSVTVEPSTLQFSATQQAARYAITFTPDQGSVSDKYTFGSIMWSDGKHRVTSPIAISWTVASQAAAM >Dexi1A01G0023780.1:cds pep primary_assembly:Fonio_CM05836:1A:30393211:30393444:1 gene:Dexi1A01G0023780 transcript:Dexi1A01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSLGTSSLAPRRSTSAPGLPATPGRSSRSPVRRPPCSLELLFAQRRPRKRRSMRRKRAANSSEDKRLDVMLRSR >Dexi6A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:6A:23228938:23233177:1 gene:Dexi6A01G0015710 transcript:Dexi6A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAETRTRGRGGGGGGGGGGGGSDGGGNPGKIFVGGLPRDTTDATFVRHFGEYGEIIDSVIMKDRHTSQPRGFGFITYSDPAVVDKVIEDTHVINGKQVEIKRTIPKGAMQSSSKNFKTRKIFVGGLPSSLTEDDFKNFFARFGTVVDHQIMFDRETKRSRGFGFIVFASEQVVDDLLANGNMIDLAGSKVEIKKAEPKKSSDPPPSVRGRSSRSSYDGGSRDHPSADNYGGSVNAYGSYRGGGFDPYRSDAGFSASRLGSYGGMGDFGVGYGRYYAGLGAYGAASSFGYPSRFGLYGGGFGGPYAGGDMSGYRRPVADESFGAPGNSGFGGNADESFGGPGSSGFAGAGYGGAYDPALGGYGSASTPDRNKGSFTGGFGRYHPYG >Dexi4A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:4A:1650597:1659604:-1 gene:Dexi4A01G0002430 transcript:Dexi4A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVRNGGAGHRMSTKLDRQGFGATPKTAAGKQRLSSASAAAGAYRRTSSGPLPAAGAARASSDGAVSSRVRVAVRLRPRNAEELAADADFGDSVELQPELKRLKLRKNNWESETYEFDEVLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILSDITPETDSVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRTEMDVSVSGENGHSSSMMGTLRPPIIRKSKLVVVDLAGSERIDKSGEYCKNIIGCDNWSISETSRGNYQYNNVWTKKLAKIIARGRLDIELDKLIAENERQRKYFDDEVERIRAEAQCRIAEAERECKITLENEKNKYHQEYLDSIKILEEKWKIHQQSPKKQTKEAESTSNDGEVHNLLQNEKMLRQSAEDEASDLKNQVSHWKKMEATATAEVVKLRKMLDTEASQKEKLEEEIGVLRSQLLQMSMEADETRRSLDKGDGPGKIFPGLDSLVSQTRSSQPREQSNGPKQPIAKLFEQGICIKVFHSLFSVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLVLLRSSEDETIRRVAAGAVANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHGLPLTLLSSHRRTPHNIMLTV >Dexi6A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:6A:12905313:12907795:-1 gene:Dexi6A01G0010490 transcript:Dexi6A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALHHQLTIAAVAAASLIWQVVSGQPAAVALPGCRDKCGRITVPYPFGIGAGCYRDDGMPGFMLECDDTGRSPPRLMVPGYGVELAGFTLATGEARAYLNATRVCYNSTGSNRGVVFRDENYMALIGSHYRFSAAKNLLISLGCPNLGYFVDGLGYYISGCMSVCRPTITAPGLCTGVGCCQSTIPAGLDYYEPYILDFLPGQGDPIFIANTTTCRYVFLVESEWLNTTYNDRAYLNRTGEFDVPLVLDWAVRNVVGDCAAASGNATSYACRSALSECINSTNGQGYRCGCLSGYEGNPYLDGGCIGVGAGVFLVAFMCFWLYLGLQKRKLIRAKNRFFEQNGGILLQQQISSYNRAGVGAGAGFKIFSTEELEKATNGFAADRVLGRGGHGIVYRGVLEDKTVVAIKKSKMMEETETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHDKDSNADFTLDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGTSKLAPNDEAEIATLVQGTWGYLDPEYLMTCHLTDKSDVYSFGVVLLELLTRRKALYFQGPEEDRSLVSCFVTAVNAGRHQEVLDSQASSEMRAAEILEEIVDLVMQCVSISGEERPPMKEVAERLERLRRYQLQHPWTQPPDGNLEEWQGLLLPEQQQNVNFQFNHHDALNIEHGRAYFSL >Dexi2A01G0023640.1:cds pep primary_assembly:Fonio_CM05836:2A:35331663:35333334:-1 gene:Dexi2A01G0023640 transcript:Dexi2A01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRPLLCLAAVALAAAVLVSSSEAWRLATPPPLPVLPIPSAAQLKWQQREVIMFFHFGMNTFTDSEWGTGTEDPSLFRPAGLNATQWMDAARAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPWLGGHGDVVAEFVGAASARGVDAGIYLSPWDRHDERYGKEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGFAGTTCWSTVNRSMITIGEAGIEKYLNEGDQRGSDWVPPECDVSIRPGWFWHKNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADVARLREFGEAIATIFGTDLAAGSSARASSERGGRRRGRGGGGFAARNVLDGRDDTYWAPTAEDGRRNGYWIELRRPPASGAFNVVRIQEHVALGQRVERHEVYVDGAPVANGTTVGHKRLHRLPCAVAGRAVRIWITAARGPPLLSAVGLHHDPFVADDMM >Dexi5A01G0040120.1:cds pep primary_assembly:Fonio_CM05836:5A:40386228:40388991:1 gene:Dexi5A01G0040120 transcript:Dexi5A01G0040120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIDTRPTSAGSRGRPAPDDDREEGEIADDSSAPAPPLHPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLIVGSDFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTMWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANETAQVSIGKQWKELLDYKDSIGFIVHEDAKKMDKGPKNRYTV >Dexi3B01G0029860.1:cds pep primary_assembly:Fonio_CM05836:3B:29150645:29154154:1 gene:Dexi3B01G0029860 transcript:Dexi3B01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPHHLSRPAAASGAVSGALTRHRAFSSSSCSPLRLPLFRAAAAAAATRSARFSAAAVSTAASVSAAAMDAVAKWGLTSLSEADPEVYDLIEREKRRQRSGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEIEELCRARALAAFHLDPALWGVNVQPYSGSPANFAAYTGLLQPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSDTGYVDYDRLEEKAMDFRPKLIICGGSAYPRDWDYARLRAIADKCGAMLLCDMAHISGLVAAQEALNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYIQQVKSNAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVELLCDLCSITLNKNAVFGDSSALTPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAITICLKIQKEHGKILRDFKKGLVDNQDIENLRAEVEKFATSFEMPGFRVSDMKYKD >Dexi1B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:1B:23173319:23174262:-1 gene:Dexi1B01G0016680 transcript:Dexi1B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSTPVAAAFSPPSPPPLAAATASAYARPRHLRKSPSAAHTSTRSHAIVPSPGAPGAIGFIRWSSMSLLPGHATTDPPPWRGTPGSSAPPPPPPTPRTTGGSAPRPSAASSGCPDVAAGAVAGDEDAGEVAVVGDPGVGARERPLERGEAVVVPRRERVLWGEAVVDGDAEGRGGGGELVEEAVVVRRRRGLRHEPAAVDVHHHRQLAAVVGGGGGTGKVEADGEGAEVDVLGDDARGGVVARIDGRWHERALDAAALVDPEQRAELAGDLVGWVGGERGGELREHGLRVRRRHGWLVALSA >DexiUA01G0005960.1:cds pep primary_assembly:Fonio_CM05836:UA:10861880:10877496:-1 gene:DexiUA01G0005960 transcript:DexiUA01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPSDPGNGAPAGYEAIRHWSESDQANIREGGSITCRICEDLTLVHVNQVKPWIKTSLAPGSGVVKKYLDQSGLQKYLDQLGFHIVGYGCTTCIGNSGELDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGVSKDGKEVYFRDIWPSTEEISEVVKTSVLPDMFKSTYEAITKGNPMWNELPVSASTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGNIHPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHVPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQRPSTPEEEAESTRESSSTFVLTARMPGEDADSTTFGNLRRNCEGSNEAEK >Dexi2A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:2A:26251770:26254584:1 gene:Dexi2A01G0015320 transcript:Dexi2A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTREETPSFTVAANALAELLEAKLMVATCRGDCQRLKDVLNKEDATTMVVVMATRKQDSPKPALASMNPELLAAACEGNQGELNLFLNRNRTQQGSVAILRSPDDVEEGVNMPAESRASLVDGVTVEGDTVLHVVAANGDDTNFLHCATFIMDLAGHLLFARNYNGDTLLHCAARAKKSKMVTHLIGHLARSQNNKLVKDLLRGENNSQETVLHAAVRNGDNDLVEKLLMDDSELAMFPEKGSTPVYLAILLDMGTIAQTLHDKSLSDDLCYYSGPNGQNALRAAALRSKETDYIPYMLLLAMFVEKCPRSAGLRDAKGRTFLHAAVEKNKVNTVRYACSWRKPLLAWILNMQDRKGNTALHLAVKDGNVVMFRVLFGNKHVNVSTPLPDHEQYQPEVGPARQARTRKPNLLVSGPEWVTFSLACDGQA >Dexi9A01G0033760.1:cds pep primary_assembly:Fonio_CM05836:9A:38610646:38612705:1 gene:Dexi9A01G0033760 transcript:Dexi9A01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCYSRHMEQHSISGQVVNINHARVRCGNVASWCLVSRRKLLLRCCLSSHTVFATNGFHEWALTKTEIIAVLYAGIFASCMNFAITKWANKILGPFPVALYKPLQPACSTILSIIFLGDPLYVGSIIGGVIVIAGLYLVTWARYNEAHRALTDGCLDPLLVGPPRVPMTHESSFMDP >Dexi8A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:8A:18441159:18442034:-1 gene:Dexi8A01G0010730 transcript:Dexi8A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATGAITSLIPKLGKLLKDEYDLQKSVKGGIKFLMLELESMQASLEKVSCVPADQLDKNVMLWARHVRGMSYDIEDTVDTFLVRVDGNKTAKPHNIKGFVDRSLHLLSKARIRRNSLSKTEIRRKIAIDIQDIMDRVKEAKERRDRYNVDSIIVTPVTTSLDPRLAALFKRETDLVGIDKIRDKLISMLLDGDEPSKENLKSVSVFGIGGLGKTTLAKTVYEKLKSEFECRAFVSVGQNPDIKKDILLELDKHKYENIHNTRRDEKQLIDLLREFLHNKRLGPYPS >Dexi6A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:6A:23877457:23877884:-1 gene:Dexi6A01G0016020 transcript:Dexi6A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNRSREKALALVARCEGVASLALTGDGRDQLEVVGDGIDTVALVSALRRKVGAAEILKVEKIKEGSKAQALKEPSQWVHAYPYYHYPSPQFQHYSPPSSLSKESSDNCSVM >Dexi3B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:3B:3356466:3360445:1 gene:Dexi3B01G0005050 transcript:Dexi3B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSGNGGSTSAYFDVYGRNAKPDVVFKEAALNSKLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQIRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSSKPDGEEKISSLDDLKDIPFPVTYYTLSEKDLEENGYSFNVSGFVPTVSVPSGSPPHKILALDCEMCVTGAGFELTRVTIVDIKGAVVLDRLVKPANPIIDYNTRFSGITAEMLADVSTTLQDIQEEFVGLVYKETILVGHSLENDLTALRISHGLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDAKAALELAILKIKYGKN >Dexi2B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:2B:28187754:28191716:-1 gene:Dexi2B01G0017820 transcript:Dexi2B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGGHVAPGAFFFLIGLWQLFGHTRLFLLQRSSYVAPVWFPVRGRGRGVRHIELVMIIVGSVISISMELFIVQAKHQPFDDDGTIPSVHLHNFEHASISLAWLVFAAATIHMDKARTPMRDAVSQLVAAAAFAQQLLIFHFHSADHTGVQGRYHRLLEMVISVTLATSLLLIPYQRSIVLSMVRSASLVFQGVWFAVMGVMMWTPALVPKGCFINDEEGLEVVRCRTQEALDRAKSLVNLQFYWYMTGTMAFVVVFYLQMAKMYQEQPQYVPLVVKGGSGSRFSIGEIRDEEDDDFGAAKDGLGHEVQSCTQKRAMGTLVGHVAPGAGFLLIGLWHLFSHTRLFLLRPRSYAAPVWFPVRGVRHLELILIFIGTAMSILMELVIGPEKHQPFDVDGTIPSNHLHNFEHASISLGLLLFAALTIHMDRAVAPNRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHRLLQTVIAVTLATTLLGIPYPRSFTVSLVRSGSLVFQGVWFIAMGVMLWTPGLVPKGCFLNLEEGHDVVRCRTGEALERAKSLVNLQFSWYLTGTVVFVVILYLLLTKLYPEEPQYVPLVMGGSSGGDRDGRFSIEDDHDDDVDENDDLEAAKRGFGQVVSGTRPMEIER >Dexi3A01G0034830.1:cds pep primary_assembly:Fonio_CM05836:3A:40156145:40159098:1 gene:Dexi3A01G0034830 transcript:Dexi3A01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAGGGAEEAVRRWVEAGGGRLVLDGGLATELEANGADLNDPLWSAKCLLSSPHLIRKASLNSRIPPNPKDPSLPVLFVHMDYLEAGANIIITASYQATIQGFESKGFSKEQSENLLTKSVEIAREAREMFLKEHLDQSTPTQQPILVAASIGSYGAYLADGSEYSGDYGEAGTVQFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYVELLEECNINIPAWFSFNSKDGVNIESTGVSDGDFVSYVSEWCKDGASLIGGCCRTTPNTIRAIHRTLNQGSNVQQLPVA >Dexi4A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:4A:2547985:2550225:1 gene:Dexi4A01G0003530 transcript:Dexi4A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLALLAIHKGYRFIAVMPAKYSLDKQILLRYMGAELYLTDPALGFPGIYDKVKQLQKELPNIHVLNQVTNKANSEAHFRLTGPEIWKDTAGKVDIFVAASGTGGTVSGGAPGEHKIQGVGPGFLPEVLDTSIIDEIVMVTTEEVMENARRLAKEEGLLVGISSGANLAACLKVASREENKGKMIVTVFPSGGERYINSDLFACVREECLAMTF >Dexi1A01G0025600.1:cds pep primary_assembly:Fonio_CM05836:1A:31769337:31779990:1 gene:Dexi1A01G0025600 transcript:Dexi1A01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARASGLVGKAWQRTTMSAQASASPLHRSHMPTQLCHLHSRPAQPEKFFLEEQHPLQNNSGGALPVLNYISEKDWSKQHNMSMWRIQPLLLSTLIDWFAQMNSEIGGNLNKMRTIGRYNIEMWKATGMALDEVELVWLSDEISQHGDEYWPLVMDIARKNNVRRIRRYCGSRDPYTMGQLTVAETFYTCLQCAGILFQKEDICFKIEKAFCPPKLAEANPCLEYIKYIILPWFGKFEVVRKRENGGKRTFLSMEELTADYESGALQPADVKLALVKSLNKILQVEAFVSSYIS >Dexi2A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:2A:1045637:1047031:-1 gene:Dexi2A01G0001490 transcript:Dexi2A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPLPAGGAARPRENQIARDRPSPPRPSRPPGSSSTAQHGHGGGADRPREYRISDPRRSLRRHAFPRSTDPSPRRPQGSVQVRPTLTPPPPLPEDLVEEVLLRFPPDDPACLVRAALVCRRWRRLICGPRFRRRFREFHRAPPMLGFFVTNLGDNSFFVRTSATCPRVIINGVAVG >DexiUA01G0000570.1:cds pep primary_assembly:Fonio_CM05836:UA:2183944:2187933:1 gene:DexiUA01G0000570 transcript:DexiUA01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGGGLGGLGVVGALAYGVLAVAALRLVLSYKSAAHALRRAWRWADEWAQAYQYYEVPRLDGGAENPLFRKAAAYVSSLPSLEDADAATVLSSTAKSNDFALQLGPGHTATDAFLGARLAWTNAGADRLVLRVRRHDRTRVLRPYLQHVESVADEMEARRRELRLYANTTAGGGAAAARWASAPFTHPATLDTVAMDPELKARVRADLETFLKGRAYYHRLGRVWRRSYLLYGAPGTGKSTFAAAMARFLGYDVYDIDLSRAGRAGCDDLRSLLLDTTPRSLILVEDLDRYLRGGDGETADERTARVLSFMDGLSSSSCGEERVFVFTMSGGKDGVDPAVLRPGRLDVHIHFTMCDFEGFKALASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMLANRGSPSRALRTIS >Dexi3A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:3A:9115845:9119410:-1 gene:Dexi3A01G0012550 transcript:Dexi3A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRAGSGGGSTPRTVEDIYKDYRARRSAILRALTHEVEDFYALCDPEKENLCLYGYANETWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNERKRLFGMMNDLPTVFEVVSGGPKQSRERDRPTSENSGRNKLSVKQTSEPRIENNAREPDEGFDEDDGDHSETLCGTCGGIYSADEFWIGCDICEKWYHGKCVKITPAKAESIKQYKCPSCSKRPRPM >Dexi8A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:8A:17073620:17075312:1 gene:Dexi8A01G0010270 transcript:Dexi8A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHAWILITCMACRMEVVKLRSSSGLAKVTGVVLCLAGVLVLAMYIGPGISPINPHRIFAAHVFIAPSRATCMVTWIKGTFLMVLANMSWSLWIVKQAAVLKEYPNKMLMTLSQCIFSTVQSFIVAVVAERDFSKWKLRLDISLLAIIYTVITTDLLGLLFILGGILLVGGLMLWCKSKENKPTAPCNMVNAMDIVIQNEQELDKKGMEEQKETNITIMVEQG >Dexi4A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:4A:17519048:17520619:-1 gene:Dexi4A01G0015000 transcript:Dexi4A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRALPVLAFCLLCLYTPASGTSSASSTDFLRCLSSSIPSNLFVTQSSPSFTSVLVSSIKNPRFFTPTTVRPLCIVTATNASHVQSTVLCGRRHGVRLRLRSGGHDYEGLSYRSVSPEAFAVVDLANLRSVRVNSATATAWVDSGATAGKVWGEKYFKGNYKRLALAKGKIDPEDYFRNEQSVPPLVPRR >Dexi2A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:2A:15611121:15614711:-1 gene:Dexi2A01G0013040 transcript:Dexi2A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQGTDQAAMTGGARRPAGLETCRFDTQAAGATTGRADGAEAADDGVDRRGRHGQSRGQLRDGHKLRRWAGWTGSARRWHRRYANGGYLSSNDEKVVVEKLLSHHPRAEDKIGCGLDGIMV >Dexi5A01G0035830.1:cds pep primary_assembly:Fonio_CM05836:5A:37525712:37526386:1 gene:Dexi5A01G0035830 transcript:Dexi5A01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFILQGDTLDPYVGSFRVPAAVISIFETVSVMLWVPLYDRLVVPLARRVTGHERGLTQLARMGVGLAVLGVAMVAAGTLEVERRRVIARHDMFDTNDGEDGKYLPLSVPQYVLVGAAEVFTFIGQLEFFYDQAPDAMRSLCSGLSTVSFALGNYLSSAVVTVVARATARGGRDGWIPDDFNRGHLDDFFWLLAVLCVGNLGVYLLIARWYTYKKTVD >Dexi9B01G0033410.1:cds pep primary_assembly:Fonio_CM05836:9B:35566625:35569324:-1 gene:Dexi9B01G0033410 transcript:Dexi9B01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKASTKRWVPVNRAASASESRGRVDGNPATDRLSLHPGAPLQQRVAPKKDTKQWVPVNRPGASQRSGGCDRDADRLSALPDALLHHIMSFLKAWEVVRTCVLSRRWRHLWESVACIDLRVGQGDYDETPEDFPEFVRQLACRREASAPVDTLRVRSSNVDDAYDEEDSKLWIRSAIKRGARVIHLVGHRNGLASLEHTAFVSCHLKILKLSYALLDDKILRQLSSRCPSLEELYLKDCLMTGHEISSSSLKILTMFKCQINVNLCIAAWNLVLLRCISPITQAPSFKNIGSLVTGTVILDDYSFTDDFEDFSKDELDETTDDDESNDSNRKYMNRYGFGIPQKEWISYKGGHDYGSDFDSDDCTYEYSEIANDGFSGDGNNSSKDVNRPAYSENSGHNDKKILGGHNILQSLANATNLELLADAGEVILNRELKRCPTFSNLKTLTLGEWCMGADFDALIFLLQHSPKLERLFVELKLNFNTRKQVESSVKPKGRSFICKHLRMVKIKCSKDDVRVHKVAHLFTANGVPVTKIFVRRTGSTRESHFLFKYLNSYSYAMPMIYYCVVNLSIKDLRSEKIMKDLARHELEFWGNDELWGDDMFCGYDNFDGDGMFFGYDNFYDDDESSEDDEF >Dexi8A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:8A:29350666:29352594:-1 gene:Dexi8A01G0017640 transcript:Dexi8A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVLLSLVVLLVAATATVPLTSAKSESKGEATAAAAAAAGGEHGTPKKLYEMPKEEDPYVGATTAKASTAYDKPVQEAQAASFSTADAKSDKYEEVPTPKKVKKEKSDDSDVSSYLKKEKKEKSDDLDELASPKKEKKEKSDDWDVSAYLKKEKKKFDDMDELASPKKEKKEKSDDSDVSAYLKKQKKKSGDSDKKEEKKQSDDLDELASPKKEKKEKSDDSDESSLSSKKKKKNKSDGSDEYVSPKKEKKEKSDDDLDKDSSSKKEKKEKSIDADASAYVKKEKKEKAKKKEKSNDYSKDKEKSDEDAMPVDVSSSGEYVSSPKEDKSNEDATPVDVSTSGQYVSSPQKNIPDELPPAAKSSTTSDAYSSQPIGGSPDELPPATKSSTTSDAYSSQPMGGAPDELPPATKSSATTSDAYASPKQYASQQPMGGAPDELPPATKSSDPYAGQPNEPGKPKVSVETFGGMIKKPLMNTLSPVIKRVCGRTSFPDDCEASIADLPGGGVVPPQTDAIGVLKLAMEAVKQKAIEAMNAATDRMNAPGIEPIIKEVLDSCTSAYSDIKSSLDVVDAALKRGDFDTARTNLDSVETDVGTCDDGFAERETPSVMSDHDVELKKLGSDLIAIGTNFFHH >Dexi5A01G0027560.1:cds pep primary_assembly:Fonio_CM05836:5A:31040031:31040396:1 gene:Dexi5A01G0027560 transcript:Dexi5A01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEKEERRYLEDLAGGLQPLEDLAGGLQPRGWGHRGGLQPRARMVGAPCRPAAASKPARSLERQRLPGGRAEAGLAGGAGTVAPAGPGSAAPDDCRRTADGDDGARRETEREGNERKRDN >DexiUA01G0018990.1:cds pep primary_assembly:Fonio_CM05836:UA:39768194:39770155:-1 gene:DexiUA01G0018990 transcript:DexiUA01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATHALARSRGRTVPPLRAQSLHRALTPLLSLAHLAISTSPRSLGLLLPRAARTEPSFSEKFTLHTPPFPSSSRTKLALYSSSIFPHFPQAIVPSPARIREFPQIVIFGRRSTRTSRPYSEPSPSFLEHAISFPKLCWCSRTSPPLRNDPELADVEAAAAAPPPPRRRHNSDLPQPPNRPQTTRGEPRIISPHFPVPSSPSQGPFFIVYLCLGASVQKCRTEGAIPDGDYTLIPADEERAPEPGAGADVNNPEANSQSEQEGKPRSMT >Dexi7B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:7B:25480676:25481035:1 gene:Dexi7B01G0020020 transcript:Dexi7B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQAALMKVIDLICEALRNVEMLPAALVTSGVVEAAAALALAIFMPPGGIFQHHDKAAFYLYYGILFTTLIFGLLEASVGFWVSRDLNNRGAVGKTVMFISILPIVLVAGLGGFVVLK >Dexi2B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:2B:270622:272130:1 gene:Dexi2B01G0000610 transcript:Dexi2B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAHAKQPSGRFSDGLVQPDYLAKIMGHRESPPPYTYDDWADGIDDAGLNFAVGGSVVHRTAGTPKLGDQVQQLRSLIRDGTVTRGDLNGSVALIAISGNDYSSATSETFEAMMDTVVDGIADAASRLLDMGVAKVLVNTLQPLGCTPWQTRGFSNYTSCNGDGNAGCDRHNAALRDRLAGDGDNDVMLLDVNTVVMDLVAPRPGSALDQGRFDEELRLRPCCEATDPDAGYCGLDGGYSLCDHPADYFFWDDAHLTQAGWRAFHR >Dexi5A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:5A:25220053:25221127:1 gene:Dexi5A01G0021370 transcript:Dexi5A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARTGDKPAVRKGPWTLEEDLILVVYISQHGEGSWDSLARAAGLNRNGKSCRLRWLNYLRPGVRHGSITAEEDAAIRELHAALGNKWSKIATHLPGRTDNEIKNYWRTRIQRKPKPKPAQTRVPPPAVINSTAGDYYYSWCVKPDPDQQASSCYGHRADVAAAATATALSGEGASSAVTTTSQDSPTAAAGDWRVQQTSSFPCYSVAGHGETGGVDALTTTTNFLSSQLISDSFWNVVENFWEAKPCGS >Dexi1B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:1B:6505146:6506084:1 gene:Dexi1B01G0007830 transcript:Dexi1B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHHVGCSGNGATSCDDSATAGRSSAPPSSAAAVAQCSTVSVFLAKISGAPRLVTAVWSKNLINQSFTISIDRPAADVEDEDEGPVTHKVELKPWPFWSKKGNKALDIAGAGRVDLFWDLRGAKFAASSSPEPAGGYYVAVVSNDEVVLLLGDGKKDAYKRTKSRPSLEDAVLVCRRESVFGRRSFAVRARLDARRSKEHHIVVECSPAVTGGAAVREPEMWVTVDGFVVVHVKNLQWKFRGNETVLVDQSPVQVIWDVHDWLFGGPVAQAAFVFKPGAPPEIEEDSGGNGIQSEGGTDFCFCLQAWRME >Dexi7A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:7A:10303026:10304745:-1 gene:Dexi7A01G0002420 transcript:Dexi7A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRQAAETVDKWMAFPSGSDDAGGGSFTFRPESGGGKEIMEEISSSPSGTGNSNRLPSFQRGRDSGGTRGSGDSSSTSSLPRVSQELKDALSSLQQTFVVSDATRPDIPIIYASAGFYTMTGYSPKEVIGRNCRFLQGPDTDMDEVAKIRDAVKAGRSFCGRLLNYRKDGTPFWNMLTVTPIRDDDGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIHYDDRQKETAMSSITEVVQTVKHPQARSEGEEEPMEPPPPVTPARPGAPATASTPVGPGTPSGGANLKSPLWDLKKEDSRMSRKLSGRSSLMGFKVGRRSSVESKKALHAAVAQVEAPKPPEPAPAEKERKNSWEQEGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCR >Dexi8B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:8B:25172216:25172485:1 gene:Dexi8B01G0014580 transcript:Dexi8B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYLIRHFGDQRVAVPGSQDSVPGAWRRDAIRAHPTYRPSAAVVAGRPPVRRPAALFRQSLQPQGIGEGWRVSIRSPGPARRSTSSAQ >Dexi6A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:6A:2938922:2940852:-1 gene:Dexi6A01G0003290 transcript:Dexi6A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGSFAEMRKGYLSGPGKKADLLLTAITQLVHHGMIFVPVGYTVAGMFEMEPAPLLGRDPRSLLRAAASFPPGEILCWDRQEAQGFCTSA >Dexi7B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:7B:23486359:23486631:-1 gene:Dexi7B01G0017580 transcript:Dexi7B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVACAGFFFDAEQLGEPRLPALDACALCAKPLARDSDIFMYRGDTPFCSEGCRCEQMQLDAIRARQASARAAGGRRRSSPSGSGSR >Dexi8B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:8B:25279516:25280687:-1 gene:Dexi8B01G0014650 transcript:Dexi8B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTRRVSSSSSPAARSASPVAKRTSETTGAAMVKTRRPRPSQPWITGALEWSDSYMSTSSTSTTLNACSISSVRKKARLSIRSWKLRMRSTKSRRNVRSIRRALHTRSPYATRRTSTRASASGGRSGTMTILATSPAWFGPGSGGVSMELRRLPMLAALSASVRSPYLVTPATNMMIAGAVEYMFVVAVDVAGEEDLGDEAEQVAEPELVILDGERGDGVLAAEVEDEEGGPEDEEAHLGVPRPAVAELLLVLGEVAERVDGDVVGEEVRQPERHAKPPRGDALAQAAGEEEEDLKREAGEDQHADLPLPPYIPRMLQIHASPNYSIAHNLNEEEESPLDLAAGEIDKDELRKENEWGVPLRTMNNMEN >Dexi3A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:3A:990332:992170:1 gene:Dexi3A01G0001430 transcript:Dexi3A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYARLRAAAASASAAGSSSPLLILPSAADADSLCAVRALAHVLSADSIRFSIYPVASAAAARDLLASFSSSSSSSLCLLLVNWGAHRDLRGILPPAATAFVVDSHRPVHLHNLCARNDRVVVLFTADDEQTADLSYDFDLSALADASDLDAEGDADDHLRVTGDASDSDASDSDSDDDDGGGGGRRKRRRLSDDADAEGGDPVRLFARLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDLSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLRTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNRLCHPQALTKFCFFLMDALKERGARVKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >Dexi2B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:2B:10609481:10612925:1 gene:Dexi2B01G0009870 transcript:Dexi2B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPPPHNTAACAPPCARVKEGSEGGGEQERAGAMAAPPKAWKAEYAKSGRASCKSCRSPIAKDQLRLGKMVQASQFDGFMPTWFLLDFRMCVDDVEGIDALRWDDQEKIRNYVGSASATTSSTAAVPDKCTIDVAPSARTSCRRCTEKITKGTVRVSAKIEGQPSKGVPWYHVNCFFEVSPSATVDKFSGWDTLSDEDKRSVLDLAKKDVIHAEPTKGSKRKKGENDMQSCKAPKLDGSTSEGTMQDKGKLVDPHDSNASSADIQQKLKEQSDTLWKLKDELKKHVSTAELRDMLEANEQDTSGPERHLLDRW >Dexi4A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:4A:8998078:8999042:1 gene:Dexi4A01G0011140 transcript:Dexi4A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSHVLLGALNLVTLLLSLPVLCAGVYFRMRAATECERALQLPVIFLGCALLLLSILGLAGACGRRRAAARPFLWAYVVLMFILVVAVFAFTVFAFVVTDRGAATAVSGRGYHEYRLGDYSGWLRSRIAEPDTWSRVESCIFEARVCSGRLDGAVGRDAMVFYRRHLSPIQSGCCKPPARCGFKYVNDTFWAAPKWGSASAAAAASDGDCQAWSNDQEVLCLDCDACKAGVLEVVQKKWKAVAVANVALLVLLVVVYTLGCCALRNNGGGRHSGDGGANQT >Dexi9B01G0038730.1:cds pep primary_assembly:Fonio_CM05836:9B:39686477:39687277:-1 gene:Dexi9B01G0038730 transcript:Dexi9B01G0038730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTAETTIEVDSLHDGIDFSISISRSRFEELNRDLFNGFIETVENCLLDAKVERSCVDDVVLVGGSTRIPKVRSMLQHFFNGKELCCSIDPDEAVAYGAAIQASILSRETRDGTVGDMLLFDVTPLSLGIETEDDCTMSVVIPRNTAIPTKKTSVLFQVYEGESSSTKNNNLLGKFDLTGIPPAPKGVPKLDVVFDIDANGVLNVSAKDRSTGRKNDITITTHSGRLSKEEILRMVQEAEMYKRYKGIKSRLE >Dexi9B01G0041280.1:cds pep primary_assembly:Fonio_CM05836:9B:41704574:41707552:1 gene:Dexi9B01G0041280 transcript:Dexi9B01G0041280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKPRRPTAAEVVGRLKDDGDFDALRRAIVRKVKDNEALRNKIISEVKQSVVLQEEGSEKLKLKELSDAIYQDIGSKIMGQISDEVWSIIQSTETDIRGTVEAVYNRILNPEKAPEPSSKKLKRNGKEQQASPAKTQMTVAIEAEDDGPEEPPGFGRNNQCNITAAAQEQQPKPNLENHNQAKPNGGEPAAASGPADDDDDPEVPPGFG >Dexi3A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:3A:394750:396996:-1 gene:Dexi3A01G0000440 transcript:Dexi3A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLRDSAVAAVKDTLFFVVMFLSTVHTRKLQDCRNSWHSQWWPAKIVLLVVCIIMSTFAPSYWIQLYGEAAPFGAGIFLFIQLLSVMRLITVLNENWCQSNFETRRLLVIAVSIITYVGSMVVIVLMSLWYTGCWINVMFIGTTLLLLCIMPLMAMIMRSKANGFYMEPGLVGAYCVFLCFSGIRGEPETKCYKKEKAGADWKTLMFFVIELISTAASAFSLGEEYNYIQPMNVAESEDDVPYGYGFFHFVFAMGSMYFGMLFVGWDTHHIMEKFSVDIGWTSAWVHIVNEGLAVVSFVAILLARKYGIGWLRQMLARIFGIGSQQQQQHSSEMNILARGSSDDDAALRWAPPASPPSSSSESTVELDDTIENWPPAMYLQTTDQ >Dexi1B01G0022960.1:cds pep primary_assembly:Fonio_CM05836:1B:28616199:28618805:1 gene:Dexi1B01G0022960 transcript:Dexi1B01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVERAKLVRSLQQESRRLRMLVLVIGFFLVTLTFVVVTKPDALLFNRKQTTPPTAISSSCCAGASLGIFLMLGVAATGRNLVPVNGRLSVDQAPRSLLIRQRVDADAAADAAARSADTLAAVDTKMVNDDNAAEEANANARAASEDEKRVLTSEPDQGKKAEQATASELLGGEDEDSTKGLQEGHQEHLEHKVTLPTVSNYTIHDATEDGDNGKQEDGKTEAEIKLAKDVDQSNGGDRSHQTALDNMDWNKPLCDFSNFRANVCEMRGNIRIHPNGSSVMYMEPPGSKRNEQWKVKPYPRKGDELCLGQITEVTVQSSNVAPECTKYHDVPAVIFALTGYTGNLFHDYTDVLVPLFTTASEFNGEVQFLITNMAIWWTRKYGVVFEKLTKYPLIDFDKDNEVHCFKHAIVGLHAYMEFTIDPLKAPHNYSMVDFNRFMRRTYSLPRDAVTALGEIPKTKPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVNSNVTHFAKVVNSVDVMMGVHGAGLTNCAFLPHGAILIQIVPWGALDGICRIDFGYPAEQMGLRYKHYSIGVHESSLTDQYPLDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFRPVLLEALDQLNQ >Dexi3A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:3A:7198748:7201632:1 gene:Dexi3A01G0010130 transcript:Dexi3A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRAGRSRNHLPAAACFLLLGAVVTVAGDAASGAPAIVATVCGATQTPNPEAFDVSFVTTLEMIYQNVTRSGFGAASSGTGNSSNSNSTVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADAGRIYLDGCFLRYGARNFTADAVDASDTAVCNATGGQPEPGFAASAAALVGNVTEAAPGAKDYYYASSSESPAAYAAAQCWRSLNASACAACVASARDRVLRQCLPGAVEGYGLNAGCVVRYSTRPFYLAADTGGGGGDGSSSRHIVITVIASVFTALAVIGIAFVWTKMRSRRDDLHDDGREVAVKRLFFNTRQWADQFFNEVKLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLGYMAPEYIVHGQLTEKADIYSYGVLVLEIVTGRKNHNSVASSSEGLSLMALIWKHYNAGTLMELLDPNLHEQCSKEEALQVFHIGLLCAQASPNVRPPMWKVVEMLSSKGKLLPRPTQPPFINVKGSNANGDSSGSVSLMSNSDKSPFSLNQLSVSGMQAR >Dexi4A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:4A:4207320:4211001:-1 gene:Dexi4A01G0005870 transcript:Dexi4A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEEPDDTERLGLGEEGVEEAGEFPLGQMERVCENTASADFRQNKSSNFFPVIRSGDWSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLTEKLRIESLGGYVDDGYLNGLLGVTRALGDWHLEGLKELGEPGGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARRRLQVHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLEAPLQIKVDRPGRMARSISADGLNSLRKLLERK >Dexi4B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:4B:6001269:6008312:1 gene:Dexi4B01G0008320 transcript:Dexi4B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPLDLAVRGRFCLLPSLVTKSTLTGSQQSSITGYMASSDAAADEVTVELLPFIRVYKSGRIERLLVRDTVPASLHDTSSTGGVASKDVIIDPTTNVSVRLYLPPTAGSGDNKKLPVVVYFHGGGFMVESSASVSYHRYLNALAARAGALAVSVDYRRVPEHRLPAAYDDSWAALVWAVAAACVSSAPGGSAPEPWLAQHGDPSRVFLAGDSAGANIAHNVAMRAAAEGHPAAIRGVMLMHPYFWDASNTMGPKLEERIRNEWRFMTGNPDARVDDPRLSPTSAAAPSLAMMPTARVLVAVAGDDFLASKGRAYHAALLASGWRGEAELEDTPGEVHVFHLQRPGTEAAEKLMDRVVDFIASGRLGKKPTHYHTTHENGPGRRTWIGVVSDSIFPDESSTRIPLLYSCAAQRNAPIYIYRSINPVNTSIHRAAAGMDTEASSTPAAAFSLGKRKRAARADGYPTLPAAFFLGLRKRSFEELGGRAEFVAGKDGAYSSALDGEDARTTAVYVRAMILSAAELRALGVEPRRPLLSALSMHDASLDKQQQHGEEAEHLDAKHEEDVDAEGEDDPDAAWGQRIEAWDDESKLGGDKSELGPGGAEANRSLGLLRKLRPALAAATRWSVCVPELGHGGARCGGGGGKAAMESMLRCWPPCVRRSTPAPLPASPPPCCCGSGQGRGILRAIAPPPYLVGAIARGIGRGERATHLCVSFWLRRKMGRRFGLAVGGRNGAPKTLLRPIYFYLRTALDLMSRASSLSNEPPQAELSLSALISTLVVVTAFLASLANSAANGGVPRREPHSVPPHSGPFSWHGTNFSGRVRPTIIFVSRLHLPCHLAHVTPCNLALALPLALSSTSHCRLAVSLLWLGGARGAGHGVEDAFLRQVPGQSLFPFLFSSSLLYLSTRHPLLEIAVREEAAAGKLRLVVDRAAATVQVNGSVMADVVALASQISAVATGNDGDDSVVLADSPPASGDDANVSTEKVQVSDIAAVAAEDGGAKVVVTSDAPGRDTNGAEADTVVFDFRPYVLVYKSGRVHRFHGTDTVPPGVDTLTGVASKDVATGGVSARLYLPPKRRRVGKAKKKKLPVLLYFHGGAFAIESPFSPLYHAFLNILVSKAGVVAVAVNYRLAPEHPLPAAYDDAWAALKWTVSNCLSSGPEPWLANHGDAARIFLAGDSAGGNIAHNLAMRAGAELRPLSGGESAIAGVVLMNPYFWGKEPVGSETREQWVRDGLEQTWALVCGGRFGIDDPRVNPLAASPGAWRAMAGERVLVTIAGRDNFRDRAAAYAEGLRKSGWRGEVETYVTEGEAHVHFVGNPRSEKAEREMDKVAEFIAGSSDSLPVSVVRPQSSLTTSSCICCTACGL >Dexi7A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:7A:27217980:27222261:1 gene:Dexi7A01G0017600 transcript:Dexi7A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLALPRRDLQALCKRNGVRANMTNVAMAEALAALPAVDGIEEYVKVPVAVPAPESKAAAAERQREKQGSPLPRGRRVTVKAVEADVGKVDVEEDEKRELAKEDPPALGVGRRGPSRRARPVPAVATPVVEPVGKEEEKQDGSNEEDQRSEENKEDAPAHVVGRRGASRRARPAPAAVSAGAGAGEEREIELPQVPRGRRVPVKSSEPIRSDDCEEEEKDNLKHKASTDDAPSIGAGRRGPSRRARSTPALAAPVAAGKVAEQKQMAPIPRGRHTKAKSTDEVNPVDGEADEKQGAKPEEEEANVLAPGGGRRGPSRRAQPTPALAAPAAAGTVAEQEQMAPIPRNRRTTAKSTDEVNQDDGEADEKQGAKSEEEETDVPAQGVGRRGASRRARRAPAVATPAGRVPDEVITLGDSDTEPEEKDDDAPEIVVRRRGANTRAPAPVEAPATRRRASTRTTEAGDAAADAVPIRPIRQRRPTMKAAAAAKEKALPKVTRRDEQGGQDKTKAVTISDVEAVPEPVSNVGCDNSEDSKEASDPQNIVQKQEDEVMVIIGDEIPMKEISAQQEEQDKTQGTIYDVAVVPEPVSNKGCDNSEDSQKASDPQNRAQKQEDGASFLFIAVQFENTGMVIEDDILMKESVDQVCMDSSKLQEQQDDVENRPSLLTNQEDSPIMGLVSMADEQAPEQDKGDNFHDGEGFSEGSSDKEVFMGIHGSEELEFVPIIQEAVTGFSNHESEKLVPIMQEAVTGGANHESEIRNVSELTVLPHGTEEASEANTEADLVSQEKEDINIDRLLADMAVGSVLVDCSGNVDLFAKEDTSEDDTEDDFSCQEKGDVVEAIPSGCSSGISWVEVEKAGDITSVKPESPVQFHITSQEKEDINIDQSQADLAVGSVLVDCSGSINLFDKENTSVVNTEDVFSCQEKEDVDAKMTLPDTGFDAIPSGCSSDISWVEVEKAGDITSEMPESPDALDEDGGLKEVAITDEDFEEDQFENAFVNADQVVLAVSVPDLKISDESPIALDEDGVLKEAVITEEVPQSTYTMYEDVEEDQFENAFVHVEVKAGVITGEVPNITVPTDSMPDDGADGNTKNTFTCDLPQELNVAEESYDHTALLADATEQVSKSIITMEPTMSVSEATSVCTNSSEKKAAESVAMLKEKGLKGAKKSEDLSKLSLGQLKTKLKEALNAKKSKEAKRVALARVDENVCRSRAQGQQQNLNLQQH >Dexi3A01G0034820.1:cds pep primary_assembly:Fonio_CM05836:3A:40144940:40151278:1 gene:Dexi3A01G0034820 transcript:Dexi3A01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRINIDWREVFGSASSPGRGDDVCFESPSSAPPPPPPARVPRAAWASARASSGGGNGRGTLRPRRTAEALRYEVDRPSPGGWGDAGARRPVTRAAAKAADRGPDARSVAKSVYDFNHEDEEVEDVSHKYSDKSSPISSGKKNYGKVRNVVLLDDEDMQTEGEVKCEMSDSRNELKVYYPSRDDPEAVELTSSDINCLDPGAYLSSPVINYYIQKIKRTKLHSEDCRNKFYIFNTYFYGKLEEALGRLGDFSKLRRWWKGVNIFHQAYIILPIHGTYLEEEWRHLKKNPSPDTSVSGTTWEDLPSIIHKAKVQVPQQTNAYDCGIFMLYYIERFIREAPERLTIDKVDMFNCSWFKPEDASELRQRIRELLLEEFASAGLDNAMSGAASDGSDIEDIIKGRELEADAPSDSSEMAVEFGNTGKINEGIEVAASEEECGESGDAEKINEGIKVAESEEASGDSGDAGKSFEGINLAEPEEASGEPRDTGRSIEIISDAESDEASMEIGYAGTTRKCIKGAASEEASVECISADKSMGSVSDDAPTSSCKPKNEVVIPSTPIPDVVCDSCDSESDSKVKIVRVYKRVYSPIA >Dexi6B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:6B:2442467:2443002:1 gene:Dexi6B01G0002910 transcript:Dexi6B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGTIGYVAPAGGQLSTSSDVYSFGIVLLEIFIRRRPTDDMFKDGMSIVKFTESNFPDNVLKIVDPQLLQELDLSMKTPMAVRDSEVQILQSVINIGLCCTKTSPNERISMQEVAAKLHVIEDAMHILAETEGRAK >Dexi5A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:5A:4767892:4773533:-1 gene:Dexi5A01G0006490 transcript:Dexi5A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMRAEQSKFAESMKSSENEGHDVPMLDPDVSSSTSVAPEEPLPVCSLCRDSDSKSPLCYLILLQKSRLSTFVEMGNPTWDNPTQANKTSGSVKKEDAADSSVTGSSDSEDLVHDTTAEPSFDLENMEVDAFLDLSNEQNPLTRYISSFPSGHGNSNADDTVSLEAIEADIYNSILNDLSEPSNAHIQDYEQALSSSASNIDSKKTRSPKRSVLGTYVSCLSAKHHHSSLYDVASKSSASASTRNRFGPIHCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLIASRGRVLSQSAESKSCLESLRGELNSSSGFILSLLFRVAHTARNLNRLEVLLRFEGFLPPMVDPGEGAPLFPDVQFWKQCADPVLAQDPFSSLMSTLFCLPVQFLSSAEFFVPLVHLFYVVCAIQLNKSSFCGLDISRCHALIAAQCLMNRHCVCFVANYVRLVGSHAAGDNTLLFTASALSSVRSAIMMLFYFDGRSVQKTTILLQRSARLAFWPSPYLDAFGEEDHEMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISFYGSD >Dexi7B01G0024470.1:cds pep primary_assembly:Fonio_CM05836:7B:28807157:28813164:-1 gene:Dexi7B01G0024470 transcript:Dexi7B01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGMLLAGFSTRMSEEDKTAAAAASEQPKRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPATTARDAIQYSMDLYAQYILQSLRQ >Dexi7B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:7B:24351986:24353204:1 gene:Dexi7B01G0018710 transcript:Dexi7B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSCGPNKPWRSLLVLLAGVAVLLVGSVHLCAATLDEDIELIWGASHTYFFMDGDTETLALSLDEQQGSCFRSKGTYLYATISMDIKLVEGNSAGVVATVYTISEGPWSYHDEIDLEFLGNLTGEPITLHTNIFANGEGGREMQFYLWFDPTADYHTYTIEWNPKYIIIRVDGKAIRAFKNYQDQGVPYPTWQQQRVYGSLWDADQWATQGGAIKTDWSNAPFVAYYRNYNTTWCQPSPGVAWCGDEPRDSTHFDLDPQTMADLQWVDANYKIYDYCTDHKRFNESEFPKECYLQRAGV >Dexi1A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:1A:28012048:28026576:-1 gene:Dexi1A01G0021170 transcript:Dexi1A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSAALEEVCARLAPGLPVAELWPALRGALDAAGLPLGPPVKRALWARLLALHVVSLVEGDGDGAPVAAGDPAEKDVEEAERRGVRLVASAAIRDNFLGMYERRFAKTELSAVQKATLECVAANLNMTSQQRIEITKPELLGSNEDTNLDALQEDGNSGVNSKNDISIHDYLPAMKAICDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVEIFDAQVDDKNDLDASAGVCQLVEEDKFALGERKRRRSRPLTSDEQRQRRILHMLKVSHPRSWSSLRVMTTEQRLELQQRIMSVNEKGKIPFKDCVRIARELNLSVQQVLRLSYERQARLREQPSITAKQKQQRVNSGKISEKRKRSADEISLKFLKRKEQASGSVEQISDKATLDEEVPDAICSSPTDQANQSDQPVSRTGSTSTHHANEGMESSPVISRSAILRRSCMRSKRFLWRYESDRKLLMIYIRMRAILGARYYRVAWNSLSDLPAPPSTCRRRMAMLLKGNENIRGAVMCICNLLGKRYTRYLEKERRSKKRRLLPQVPDSSNETTLDSDSEQFNWDDFEVPEIKSALNEVLELIRTEKVDQTKRVGAMNDKNNNKDNDVRKDTISSQELSVSRAMQLVCRIVDTLKRFQLAIKEDLIHRMDVLNPQTCRTLLEKLTLDGHLQVRVFLEPVPAAPAMLQGFFKQDRSREPSKCRKRYFANPISTSLL >Dexi4A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:4A:9308807:9309079:1 gene:Dexi4A01G0011330 transcript:Dexi4A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWKKRENPRDRKEGNRLATQKPGDAGPGSPSLGAAHDGLDKAGRQRLQPAEQTMFGWLLGIASSVAPAAAA >Dexi7A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:7A:19154120:19156281:1 gene:Dexi7A01G0007960 transcript:Dexi7A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHESTRHSALTAAMELLVLLLFLSLPVLPAHCVVVPAAAVRLDLARADANLTGHELIRRAVQRSLARPVVAAAVGGGGGGRRVGATAASEAPLAPGGGEYLVKLGIGTPQHFFSAAVDTATDLVWLQCQPCISCFRQLDPVFNPRLSSSFAVVPCRSDTCGQLDEHRHDDDACEYTYKYSGNSVTKGTLAIDKLAVGTNVFHGVVFGCSDSSAGGPPAQASGLVGLGRGPLSLVSQLSVRRFMYCLPPPMSSTPGKLVLGAAVDAVRNVSDRVSITMSSSTRYPSYYYLNLDGLAVGDQTPRMFTTATASSSSSSPATPGGASGGGGNASAYGMIVDVASTISFLEASLYDELADDLEEEIRLPRATASRRLGLDLCFILPEGVGMDRVYVPSVSLSFDGRWLELERDRLFVEDGRMMCLMVGRTHGVSILGNFQQQNMHVLYNLRRGKITFAKASCESLQ >Dexi1A01G0025240.1:cds pep primary_assembly:Fonio_CM05836:1A:31540032:31545171:-1 gene:Dexi1A01G0025240 transcript:Dexi1A01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPEVPPCLQGTTVRVEYGDAAIAADPAGAHVISQAFPHTYGQPLAHFLRKTAVVPDAKVISEHPAVRVGIVFCGRQSPGGHNVVWGLYEAIKAHNQNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCKALKLDALVNSQLISNMCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMEIHGLHGKGVSAENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLVKSIVKPGCSQDVLKAALSAMSSVTETLNIMISSSNGQTPL >Dexi1B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:1B:23476042:23476799:-1 gene:Dexi1B01G0017080 transcript:Dexi1B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRPPTSSCSSTVKKLGSECLLVPSVRLGSGHGGHAAWRPRASDSRPSKRAPSSPTRLAYSGTAALQVGGISSSGVSAGVSRKSVSRQLAAGPPRLSWLLVEAPHRRQRLGEEVAGHAVVHHLEEADGLGGGPHLGDNLLPVVVLDLEVDDRDGVIITASSSCVVVGVQRRVVEDGRDGVDARVDEALDAGLGVVEGLELPEAVGGSHE >Dexi3A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:3A:14738762:14739257:1 gene:Dexi3A01G0018870 transcript:Dexi3A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGELESFTRCSRADKGEGNNEDRRPWPMRSNEKKSVAGRTTQVEAKAKGYGGQEIRVGDDANARLHPTLDASRPSL >Dexi4B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:4B:5214649:5218639:-1 gene:Dexi4B01G0007370 transcript:Dexi4B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPPPRRVKLHHPLTTSPPQLASPHGLLTPSRHPSTHSGHALFPHLSALLFPRPPPSPSRTPPTKTSPTVPIRSRLDPVEEDEPEPWLKGRDGPPFSFPHGRRPAVPWAGCLRALRISSSAGGKMSSLNKVVSNSGDACSLLPSKVTSLNPNAAEFVPSFIKPSLGSSTVPDATKSDVRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQGPEELSLAGLSLNAPPFYGTTASRFSREHHEIASPATKGLELEHTNLMYEDSYLGSSNWEQNYIGDLHIANGNQDIHYDENTAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILTQLEMQVDPATNHAMNLAPRAPNFSTGDFPALPTAEDQNGFSKGNVDVLGMFNGRGSSTISGGPGDFVSAVRKLASQNSGNWKFKKGPEYGNGVSSHSARQAYLIGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRNPVSQRGGEGLIDLHGLHVNEAIHILKVELGAMKSAARAAGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLNYTQPQPGLLCVMVY >DexiUA01G0016160.1:cds pep primary_assembly:Fonio_CM05836:UA:34475167:34476752:1 gene:DexiUA01G0016160 transcript:DexiUA01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGASLVLSLSWWLIVAAQFLYIATSERCRRTWTGLSCRAFSGLPEFLKLSTASAVMLCLETWYFQILILIAGLLDDPQLALDSLTVCMTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITVAMAVVFLVFRDGISYIFTEGEAVARAVSDLCPFLAATLILNGIQPVLSGVAVGCGWQKLVAYINVACYYFVGIPLGVLLGFKFHLGAKVEEARKRLNQWEDKKQPLLVPTD >Dexi5A01G0024970.1:cds pep primary_assembly:Fonio_CM05836:5A:28831908:28834532:1 gene:Dexi5A01G0024970 transcript:Dexi5A01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRHHYSLKEDLSSVRTFILITFWLSSPIWFWLLRALPPKFSVELVGSRGFLDAALPEQSDAPISTAFNVTLHAANRRLHDVCYRHGEAAVRYSGFTVAWGRTRAFCMGKKEARAVPVVAWADGLAMPRSLRERLAADLRAGAVELEVDVRLFRGDDGSARPTLLSCKVKAGGARRPPGVTTPCTVFALQNWASDIAPAWMRDMNPIVRVEWEVPFLLAREDGVSLPEHLRDHMAAARKAGALELEVQTATRRATVRDRRDVAEQGDATESALIHWTLVAVRTAAASTATRQQTPCRSSQYKSGTSLMQQKIHMAYNIIDEDTQEPVDGSHSIWERRMIKAQLVHGSIFMASLFSLLMVITFGSGATEVATFSVELAGFEGLNATTLGNHVVSPTFSLKVRVENPRDLQPWCSNGGKVVVSYSGVALAWGDVPGFCVQRRATREFVLLAWGKGVGLSEGLRRRLASELFAGTTQVLVEMKLFNDANDWIPSSETYSGTSLQSFKLMLRAAS >Dexi3A01G0029470.1:cds pep primary_assembly:Fonio_CM05836:3A:31323516:31326169:-1 gene:Dexi3A01G0029470 transcript:Dexi3A01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLKKYFGYSSLRPYQKEVIGKLLEGRDSLVVMATGSGKSICYQMPPLLLSKTAVVISPLLSLMQDQVMGLKQKGIRSDYMGSTQSNTSVTRDAENGKFQVLYMTPEKAMSLPTRVEYKKLHLLRNMLPGVRFVALTATATERVRADIIESLKLLDPHIHIGSFDRPNLFYGAKCCERSVDFINQLKQDVTKSCESSESTIVYCATVRDAEKIHSVLTSHGIKTGLYHGQLGKKDREESHKLFITDELKVMVATMAFGMGIDKPDVRCVIHYGWPKSLESYYQESGRCGRDGLPSVCWLYYRRCDFNRGEFYCSEAKSIAQKTSIMESFLAGKNYCLLGTCRRQSLLMYFGENIDPQCGNCDKCTSAIKGKKVTENLYDQLPEYGSGRRHSNNWWKALGTILLNNGKHLSFISSGLNLMTGLPKIFTV >Dexi2B01G0034110.1:cds pep primary_assembly:Fonio_CM05836:2B:41399960:41400590:-1 gene:Dexi2B01G0034110 transcript:Dexi2B01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNQAVAALVGGDKSAFYGCEFDGFQDTLCDFKGRHYFRRCVVRGGVDFVFGYGQSIYEDCVLASVMPPGTSTTTQQPGWVTAHARLHAGSPGGMVFKGGAVTGTGRIYLGRAWNGFATVVFYGTRMDDVVVPQGWEAWNAGNDMIGYE >DexiUA01G0008080.1:cds pep primary_assembly:Fonio_CM05836:UA:15174414:15177450:-1 gene:DexiUA01G0008080 transcript:DexiUA01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSWRGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLSLADVETDKDDRPVYPQKILSVEVLWDPFEDIVPRQLKKAESVAKADAEVKLKKKAVKQLNVLSFGDEVEEEENEADSTVQAKIKSIHDVLDDPRFLKGEPEDIQLSKEQEEKKKDTILSVRDALISKKVDSREPEHSPDSDDYPEDENEEDFDNRMRSQILKKRMELGDTLAKLQKFKASFLSKNPPTDHVKEKNLATDKVEKEAEEDYTGWHTNRLSFLPDSSKW >Dexi2A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:2A:2389589:2393683:1 gene:Dexi2A01G0002940 transcript:Dexi2A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGGLFVLLLLASPVLGQLPSQDILALLAFKKGITHDPSGYITDSWNDESIDFNGCPASWNGVVCNGASVAGVVLDDHGISGIADLSVFANLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNQFSGPIPEDIGNLRSLQNLSLAGNNFSGPLPESIDGLMSLQSLDVSGNSLSGPLPAGLKGLKSLVVLNLSRNAFAKGIPAGLGLLVNLQSLDLSWNQLDGGVDWKFLIESSVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQILNLSSNALFGDLPLLSGSCTVLDLSSNKFRGNLSVIAKWTSDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNALSDTMPEAVVQYPKLTVLDLSSNQLRGPIPANLLTSSMLQELYIQDNMLSGVLSFPGSSSKNLSLQVLDISGNHFNGSLPDDIASLSGLRVLDISTNNFSGPLPAAVSKLGALNDLDISTNQFTGALPDDLPDNLSSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPASSSGSGNSPSGSGGGKSLSTGAKIGIVAASIVLLVILILIAIVCHYKRISRQFSSSEKVSDKNLHRATKDTASQGKDNKGGLVSADELVTPRKGSTSEAHSQEEKSAVGGFSPSKGSRYSWSPDSGEAYGQEGLSRLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAIPHGNLKATNILLDGLDLNARAADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQQAVKGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >Dexi5B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:5B:12742240:12745860:1 gene:Dexi5B01G0015510 transcript:Dexi5B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGSRGRAPPPPPPPALQAHLHGVRPPYYNRYRGAPPDAAPLPPPLGVPAPVERHRTVAVHAGVNINGDSLRLEPDDDGRSLLLSFSFDADAPGSITVYFFAQEDEELILKATKENLLKPVTTAFNKGHDQKFKQPCGTGIDVSQFEESELTKVGEGGVFPVAFKVIVAVSNNQELDGAHEDEESKCLIKFATLVKKDSAEYGLRVVQQILWCLCRECAQLLRLQSNKCPICRQPVCGLLEIEVDTGSVKELSS >Dexi7B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:7B:9721723:9723235:-1 gene:Dexi7B01G0003950 transcript:Dexi7B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Dexi1B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:1B:6758271:6761078:-1 gene:Dexi1B01G0008090 transcript:Dexi1B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASARRLSATTCSSSSSTRAGQLAAALNPQRWMHDRNKKAMELIAKGWSALQEVDRVIDYADHNDKRLIPLLRGAKENFELALEIDNDNTHARYWLGKMHFKYHVPGACKAVGAALLVEAASMGDPDAQYELGCRLRIENDYVQSDQQAFHYIEQAVDQLHPGALYLLGAVYLAGDCVKRDIPSAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKKSVRQDPIKLAKEQFRIAAEAGCDLGLRWLKRLGDYENQEEMLKQIQQ >Dexi4A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:4A:2805196:2805950:-1 gene:Dexi4A01G0003910 transcript:Dexi4A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPPQRFGAGVVVTRHRVGAAAGDPPSRACSSSNLARSQVHRLYVVGHGGEREAAVYTLQPPTCLTSESPPLAHLPHNKGTVIPLEYYAS >Dexi6A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:6A:25925707:25927939:1 gene:Dexi6A01G0018130 transcript:Dexi6A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQGRRGRSSSEVAMAEEAELAADQHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVEAAEVVDWIMSCYQPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVEKVADYVAGLQNEDGSFSGDIWGEVDTRFSYIALCTLSLLHRLHKIDVQKAVDFVVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVRFTMFIMYSCVCYSWWVLSSLIMIDRVHWIDKKNLTKFILNCQDKENGGISDRPDNAVDIYHTYFGVAE >Dexi4A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:4A:18244466:18251056:-1 gene:Dexi4A01G0015330 transcript:Dexi4A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWQQGELQATAMEGAAAAATEGSGGGDHHLIGLRIEEYGKYLSESTCCPQCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLQGKVRPGSTSSHPLIDDFIPTIEGEDGICYTHPEKLPGLTKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAAAAASSSSSSPATHQQQQQRSETRWHKTGKTRPVVVAGRQRGCKKILVLYTNFGKHRRPEKTNWVMHQYHLGVNEEEREGELVVSKIFYQTQPRQCGVVAAEPAAAASSDTVDDGGAAADQVAEAAAVAAPDVGGEYRGATGIDEFNFAQFRSSFEEVDVGTSSVQVLARADEEVHTSHLHLQQEHDLHQHHQYTNQQEQQRMAAAAAAFQITTPTEPIRRAMITSSPMVHHGSVILQQTEAYDHAASYRHHQEEDEQPHQHRNFDGRSTSGLEEVIMGCTSRRSRRGEASGSGGNKESRDWQYPASFWPSDSQDHHG >DexiUA01G0027020.1:cds pep primary_assembly:Fonio_CM05836:UA:57561001:57561552:1 gene:DexiUA01G0027020 transcript:DexiUA01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTVCSMCGDVGFPDKLFRCSRCRRRFQHSYCTNYYGDAAPAEAGAGGVCDWCLSDDVVSNGKKRPYSSSPTTASPGCSKQQQQQAAAAQGRGGEQTPFPPSGCGKGAGKAVTGGEHEGGRRPRRYKLLKDVLC >Dexi9B01G0047160.1:cds pep primary_assembly:Fonio_CM05836:9B:46355702:46357135:-1 gene:Dexi9B01G0047160 transcript:Dexi9B01G0047160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSTMASSLLLRPRAFFRCALPPPPPPSSTTRRALPAPRLQSVAAQQEQPSLPARSDGERRRPAGTRLYSLAPYPLLLAALLPGAEPVAAVFAPFVELVKTWDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGVIGLALLTIQAILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAAFGLRLGLSF >Dexi2B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:2B:25300650:25303052:1 gene:Dexi2B01G0015300 transcript:Dexi2B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAPPGQGEEQQQEQAKRGGFRAEDYAPRDELADMMARLGIYEPAAEATVAPPPRSWENSAAADRFPPAFLRPHSANDQSAYGRPASIVRAAGPAVPSPTHPSPPVGMALPPRDRGVPDARRFADGRLRHGGLARGPRPGACTPATRAGTSAAGRGVLPAAGDRAYLAPPPPQPHLHGVPANQQLEGRNLFSAMNDEQAVLYALSHETPEKIVSYACYLLELESRHGQRLFHLVFDHCHHQVQEWVIAQITRDRKSFCRLCVERTDEVVFMINSCETRRSMQLFRDAIQQWMPQNQLQSLLLDSKRLRVVHAFIVKSPPDIVQGFLM >Dexi4A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:4A:4845487:4846524:1 gene:Dexi4A01G0006740 transcript:Dexi4A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTASSSSTASLPPVAAAAQQAQSEAAMSGVSAPAQNQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPSTRSSRFLFVSASPLPQRGLPAAVLRSLPVTVYGSAAGGDDSSPAASSPKDRLECAVRTLPKCAHGFHVECIDMWFHSHDTCPLCRAAVSGGDLAALPREDPTAEVEFPVVFPTNVLFWGTHDDVTNAGGLTAPPPPPPHIAGTSSTTSSASGRRKENLVIDIPSRGVAISTPPVMSSPLPASRMPGSADDMRSPVSARLRSLRRLLSRGKQAVVGTSSYSPRGAAASGDIEQGLAGAEAARPPKTPKTPPSSN >Dexi9B01G0021670.1:cds pep primary_assembly:Fonio_CM05836:9B:16399189:16412246:1 gene:Dexi9B01G0021670 transcript:Dexi9B01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWLPSAGFRFATHSGSFSGWTPTDIGPSTLKKISSIIPLYKKILWIGPTNYDLADGFSVGATQLGQILENETGDSCEVILVGSAACNILNRKTYPSSRYIEFKNSAVVWEFLKGRILPGVAALDKCYPYQIPWSTVFCDPTLPLAVDIGSGESLLSRGGLELRCEKSSSEGGVGRRGPVGEELLARDRGNGLFLFQMAKSYKRSNFLGLEMNEKLVIRCLQGMASDEKKNLYFVSTNATSTFRSIVSSYPGRLTLVTIQCPNPDFNKEQNRWRMVRRMLVEAVADLLQTNGQVYLQSDVESVLLGMKEQFLSFGKGQLVVDGDDSGHRMENPFGVVSDWERHVLARGAPMYRTMLRKL >Dexi2B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:2B:4347241:4347462:-1 gene:Dexi2B01G0004680 transcript:Dexi2B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHGQSKEMVGRAVPTAAVLNPRTGAGGRIPAHQPADAHAERHGRAPSSPRSKLLPLDLVLRHQSLVLLLRR >Dexi3A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:3A:17160857:17163107:-1 gene:Dexi3A01G0021550 transcript:Dexi3A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQQPKGFAIDATAVENLMDIWPRYFPEEAGSSASDSKVRIVAALVASRFSDMVHKLLSRLIWCLSMLKNC >Dexi8B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:8B:3717176:3717727:-1 gene:Dexi8B01G0004220 transcript:Dexi8B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWNHHAHHHQQQFLPPPPPPQDPGAGEFMRKRYRDDDDNDAHHHHQQQQQQHDDEEEEEAEEHKVRVAAAPPQAAAMWAVGPNSSGVAGVGGGAFWMQPAWAFAGGGSGSTVQAPLQFMSARSGGGGGAAAAMDANIGMLAALNASGGGGGGVQQQQEQEGGGGRANGGDAGGRGAAASPR >Dexi8A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:8A:6560731:6561099:1 gene:Dexi8A01G0006400 transcript:Dexi8A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRCRLAAATLLLLALVILLAAAGAAPADIVSSTSGGSGGSGSSSPSSSPSPGAGGGGEKKESSNGNKEKEKAKKPRKCATIMDCHLKRLVCAKKCTMAAHYMCAARCSRSCTGGLPICT >Dexi2A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:2A:4250165:4251243:-1 gene:Dexi2A01G0004680 transcript:Dexi2A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDHRHDLEAGVFRPPPPVGSGAGACPAPAYMMESPELRWAFIRKVYALLLATAAVAPVVYFLPVIRRFFAARSPESVAAFVAIIVAPIILLVPMMLLRKRHPVNLVLLALFTVAMSLAVGLGCLSRKGMLLPMGSAGTTVYGRVAALVFSGFIIYDTGNLIKHHGYDEYVTAAISLYLDTVNIFMAMLTCLSSSDP >Dexi1B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:1B:2695539:2698384:1 gene:Dexi1B01G0003430 transcript:Dexi1B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRYGMLNNKFCKLKSLLADSERSKLLKRLSEANQYNRFLKRQLQLKDDAVVKFKSELAGLELELQALVGLAEEIANFDVPIGSRKIRGKYIQSHLLSRLEAVHDKVMEQIKDVDSLRPQEISVYWVGMAENVQIMGSFDGWSQGETMSMEYSGDYGRFSATLKLRPGRYEIKFLVDGEWRLSPEYPISGEGMTQNNILVVE >Dexi9A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:9A:5804510:5805226:-1 gene:Dexi9A01G0009560 transcript:Dexi9A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTVRGYVPSSSSSAAALSRPRPRIPASSVPVTTRRAASVRLRAAVADAPSGLQLQRREEGLLGSVFGGGDGDEEELEKVGEEKVEGWMRESIAEIVRNIGEAPFLVHLFSTDDDAGVTMRREPASPESWPDVRRRWGPGGQRRPDGIILVEQLAAAAVDGGASATEAAARQVWGLVVQARGMECASCYVLDTCRVRSPAGFCTHFCLARAQCFGDTVELQLRNAWLNRLAGRR >Dexi6B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:6B:17419721:17420554:-1 gene:Dexi6B01G0010750 transcript:Dexi6B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQTSHIGNATVPSSRRWPTYIATGPKQAMSNRFRGEALFGKMVPSKIVSFGVLIGITSGLGRLEEVAVGIDERLRSPGRVCLRCVISRPRPLGRRGSTLGRRLPRDLDEETCQTRITVRPMRTACRQAVA >Dexi9A01G0047130.1:cds pep primary_assembly:Fonio_CM05836:9A:50347514:50350604:-1 gene:Dexi9A01G0047130 transcript:Dexi9A01G0047130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATIPTPSLLVTPRPPRPHSQSLPAVAAAASGRLHARGVGRRGTRLRVAAPPTAPGEAAEQAEPSTSAPESGEKFSWRDHWYPVSLVEDLDPSRPTPFQLLNRDLVIWKDPKAGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAMPEGIEARAVRSPKACATKFPTLVSQGLLFVWPDENGWEKAAATKPPMLPKEFDDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLTFKMESSGAWGYSGSNSGNPRITATFEAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQVVPRWYEHWTSNLVYDGDMIVLQGQEKIFLAASKESSADVNQQYTKITFTPTQADRFVLAFRTWLRKFGNSQPEWFGNPSQDSLPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVACCATAGIPADVQLRILIGAAALVSAALAYAFHDLQKNFVFVDYVHAEID >Dexi8A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:8A:28231750:28235797:1 gene:Dexi8A01G0016580 transcript:Dexi8A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGADAHRRPPPPRSSRRRNCRRRPPAGSGEDGQEPRFRVAESGLSPRRSGTRSESRASSFPAPVLYPDGSVGIPSSLTGSSSSSAAPLVDGGPADPDLPTLPHGITLFDPDGGVRGPFTPGEVMGHATTHGRRRPGTHSQASSSAPRSNTEEALRLLREYIAAQPKNFWEAVDLAKANERATLAALAAKWRTEGPREVPQQASVAAYPQHSMSEIASRCDASNGETSQTGNEWMKKEVMLCFKKYVERSPDLAELVDYHLGELLHQCFNVESYDKVFHHYNFTVRMKMPNSVDWTMQLYFAEAKEIFMRKYYVAVTPARVKELTI >Dexi9A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:9A:4620166:4622507:1 gene:Dexi9A01G0007890 transcript:Dexi9A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPALPLARVRGKKKSKTTVKTKVYKAPSIHHTQTTSTQDTSPEKRESSAPSHRPKNMEPGYVAILSLLFLFSLYRLLGSHHGKINGENENKQRLPPSPPAIPVLGHLHLLGKPMHATLARLAARYGPVFSLRLGSREAMVVSSADLARECFTEHDVTFANRPRFPSSLMLISYDGTTLPTCRYGHQWRNLRRVATVQLLSAHRVSCMSPVISGEVRAMVRRMYRSAAVATGGAARVELKRRLFEVSLSALMETIARTKTSRAEVDADTDMSPEAQEFKKAVDEFIPLASAGNISDLLPVLKWFDVFGARKKIMAGVRRRDAFLRRLIDAERRRSLDNGGGEDDKKSMISVLLSLQKSEPEVYTDAVIMALCSSMFTAGTETTATTAEWAMSLLLNHPEVLRKSQAEIDAAVGTSRLLAADDVPRLGYLHCIISETLRLYPVVPLLLPHESTADCDVGGHHVPSGTMLLVNVYAIHRDPATWPDAEAFRPERFEDGGCGGAQGGRLLMPFGMGRRKCPGETLALRTLGLVLGTLIQCFEWDVVDGGDEVDMAQGDGFTLPRAVPLEAMCRPRQGMVDVLQKL >Dexi7A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:7A:20236398:20238158:1 gene:Dexi7A01G0009130 transcript:Dexi7A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATRKKPTRRERRIERKIREAKKEEQQLAKYEEWKKRNEEEARNNRDPEKHRDFHAYQARKFEKFWNDAYSSHYGRFEDNTSIPCKRYTVNPAPYGGCQRDTLQVFSVKVTELTGGLQWPLDVFGMVALRDSLDQNRNIVFKRERGNCQTLTAEVRIIFLTYQLQPLLLFILSVHLISYILSDPYLLLTGPVRGVVRGGPVTFEVSLYAKGTTESDDKELNLVASSMGKCWSHLWDSYLMTQSYTSRLSTLDFKLGHTASSVEATITVQAISVPRPDGFHGRFAAVAGLDEEFVLLDSQDENVPISGDEIRLSRRVATVEFSEMLEISVKAWQGGRVFRGGMHFAPREMGTDFQTIDIDFCKIKVTVDWSLFP >Dexi4A01G0022920.1:cds pep primary_assembly:Fonio_CM05836:4A:26162360:26163885:1 gene:Dexi4A01G0022920 transcript:Dexi4A01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRGRQEPRRMGNAATVVTMLVSLCVLTYIKARYCSNPFPKPAEELEVAEIDEDYDSTRYKLTGPIGEEDFDPTRPTCYNTSKRSERCAAVGDIRVDGNHSKIYISPLSREWKTKPYARLHDPVAMDDVREYTLVPFGGANDTAVPPLCTKNHTVPGFLFSNGGFAGNLYHDYTDVLVPLFTSTHHFNGEVQFMLSGMKDWWNDKMTPLFKLLSNYKVIDVDNDREVHCFPRIVIGATFHRAMGIDPSRSPGGVTVADFKRLLRRAFRLERAVASRTGAPRRDKPRLLIISRKSSRRFMNERAMARAAAEARFDVRIAEPDNHTDTPNFARLVNSADVMVGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLAGVTFKDPARDMDVNYMEYNVSLEESSLRDLYPEGHFYLKHPYDVHKKGWDAIKRTYLDKQNVRLNLTKFTNTLEQARELLPLP >Dexi8A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:8A:18152895:18156690:-1 gene:Dexi8A01G0010590 transcript:Dexi8A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNCVDTSSGAKLQQQQAPTSPTASVSESNIVVSSTDPDANDALAGLQALKFDADIDVEIQSPDIAMWESLFAEQMGASGADFLMFSPRRDFMATGSPRRDFMVSSPKRDYMVSSPKRDYMVSSPKRDYMMSSPKREYMVTSPRRDSSPRRSTFSNLYSAGSHQQAYAHGVHGADQGSGNAGQPPPYGGLANHGKGKSQSPLQKVYINNAVHSNGGRSNGPSSLSCSSSYGHGESLSLPSMDPFLNDGGYLEAYQLPAGKPGMGNGGATSATVTTVGPTSSQQLPTLSECLAMPEPLYGGGEEAAATVAAGGLPMGGALPAELYYGGQFGGDGLTLQHQMAKSDQWAADSSLHSMLGSVIQSEAEQEQDSGLQLVHLLLACADFVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLSLSSSNPSSSSSTSSGTATPRGGTGSGVAPYTFPPSPDTLKIYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAVADRLERLRPAALQRRVGEALAVNAVNRLHRVPAVHLGPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRLMEGRGFEPVPLSPAAVGQSQVLLGLYGAGDGYRLTEDKGCLLLGWQDRAIIAASAWRC >Dexi5A01G0027250.1:cds pep primary_assembly:Fonio_CM05836:5A:30744360:30744740:1 gene:Dexi5A01G0027250 transcript:Dexi5A01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSE >Dexi1A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:1A:23596273:23596683:1 gene:Dexi1A01G0016410 transcript:Dexi1A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi7A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:7A:27449913:27451703:1 gene:Dexi7A01G0017880 transcript:Dexi7A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAVVAARPAQPLLPSRRLPSFSSARPPRQRGGAGSVRCMARRPDSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAQVVGSEEEAKKRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >DexiUA01G0011860.1:cds pep primary_assembly:Fonio_CM05836:UA:23679851:23683740:-1 gene:DexiUA01G0011860 transcript:DexiUA01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTVELSALGQSRVDLYPRVELHRSNRDWNKGCFESTSTPPASEPQHWPWGPNANAQKGLKPRLDCIGRMLGERPHQAAHNFGIAPTVELLEPSLIAWAFPSEAEIRTWLEEVLGTDKATSLVIPIPGQPPMLPNLGVVDPLRITCGGLHSSRATVPEEKSSTEGRRRRNHLAAETEWEKEVEKKTRLHKENQRQERQRRMDNELTVTDFITPCDPPSLSIGPTLSPSLNSRSPCPRLDRPPSRALTRGTLPGSSGRQERRRTLGLIARPSSPPAVSPSAHLLHVHRPGGPASSVGACEAPARECIQLSSRGLGSPPSSATIPSPPEEQESMASVPAEPGTAPSSATPSRASQALPERASGSPLVAPSEVPAAGTTPSTEAAPERAATPMAVPTPGQPTQAGTGEFVGATPVEEVTMEELFGEVDAGTKARLKAVSVTSPAGAPASGVSHLQLTWDASGIGGPSRGPWVLSETLGQVVSFPAALQEMADTLHTVLVPSAYALSSPNQALLRTFLQVQGSRQEAEDQARRAWLTAKAAKDVGGLESALTQQAAAHEKALAALTAERDGLCAQIVEVRDGESLAPLSPASISQPALTFLIDVEALKKEKAEAIRECMDASTARAITLQEKENTEAMNTDLVMAEARAEELEAAVQRVRGLEAMLETSAKAAMKKVEGFTYRSSLQSAYKTHHDASVAVMVQLGFPMGGDIERFPAGLQHAALCCGALRDTLVMVLGELDLSMSGDPIHLLEELCRILFHYGALAKQALVHGVQEAFTLTHSHYDGICFNRMLHGFPDEYLAEALDALTAEVKESAERFANALVPLSDADGTLWMAPARSKLFDSASTLLLDNFCWCAVLRHEREGLWVSCLGVNCYVFLSPRALVQFADLGILASGSVSIGYRAPESPSPWDFRAFEPLCL >Dexi7B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:7B:18944789:18945478:1 gene:Dexi7B01G0012050 transcript:Dexi7B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPIGQKVTVYVLSTTAQLKLPEPLASGHAFCNDTELPALHPTTRPDTFTCWSGGHAPSRSSTAHVAGAQRPPPVVPIGEADAVGVRSASVLPERELGDRRRRRRLAALVLEIAGAAVAGGAREIAAGIGGAIGAAPDAARPPVRQRYAESVLVSLELDRGEA >DexiUA01G0015130.1:cds pep primary_assembly:Fonio_CM05836:UA:31689516:31690150:-1 gene:DexiUA01G0015130 transcript:DexiUA01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVHKSNGKLKVIQDCKEPANSRGQESLLISAEVFEINESLYVVELKKSSGDCSLYRKLCETLSEDLGICKSQQFLKQDSIRQEIGRHNSSF >Dexi5B01G0037100.1:cds pep primary_assembly:Fonio_CM05836:5B:36632267:36632920:1 gene:Dexi5B01G0037100 transcript:Dexi5B01G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLLWLVAGVPLSILRPRAAKSSSLAVAAVQEKQPERTVLVVASRQAFLRGPHLRRPERPELDVDGIVGGVLLGGA >Dexi6B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:6B:16184656:16185606:1 gene:Dexi6B01G0010040 transcript:Dexi6B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding AECRPTLRLPIRPAGPRDPYPSMAAAPLARAPLPRAPPRPAPAVRLAQRGHLRAAAASGGGAAGSVLRTCKNCKQQYDPSANHPSACRYHTAHFGGETKRKFESVYAGGTMDTPDSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >Dexi4B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:4B:4859238:4861975:-1 gene:Dexi4B01G0006990 transcript:Dexi4B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYHDLKDAAAGDLGYPLVAVCIDKDKNSQNALKWAIDSLAQKGQTIVLVHVNTKGTSGGIEDAAGFKQPTDPHMKDLFLPFRCFCTRKDIQCKDVVLDEHDVAKAIIEFTAHAAVEKLVLGATARGGFVRFKADIPTTISKGAPDFCTVYIVNKGGKVSSQRNSIRAAPRVSPLRSQIQAQAQTLAAPKPEAPSTHRWSSSSRGSDTSETPRVDNFRSPFARGGAGNTRRSYADLSHMSMPDSADISFVSSTGRRSIDHHPAIPPRMSNSSADSYDHSFEMSRTPSKWGGDSFGGGMDHTSFSQTSSSSFCSSLGTDDVEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKMEEEQKTQVTEDSAMAMIEREKARAKAAMEAAEASQRIAEMEVQKRITAEKKLLKEAEDRKSRGGGGGMSHEVVRYRRYSIEEIEQGTDRFNDARKVGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRGGGSGGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLTHHVGRALERGALQDMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGTVVLPELNRLRALGEDNMQYCGAIRGGGGGGGGGGMNSSPFYSNISRSSHHAAEMMSDSSQYPRSVFSSRASESPMPPRRSNV >Dexi2B01G0034500.1:cds pep primary_assembly:Fonio_CM05836:2B:41734021:41740900:-1 gene:Dexi2B01G0034500 transcript:Dexi2B01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPPSLRDLERDADGDGDDEPSLRPQNPVAVATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDVIYSIVRDFKSLPPGLKFNLVEALRSNLSVLLPNIDSLSRASMSSPSDATPISDRIASHRNALKIYSFFLLSIVLTEESAAESCTGAKVTAHGRKKNPVYTWNWEAQRGRIMNLISNSLEADLSLLFGPGATDEQYLSFISKCTFVLYENQNVLKDEDTRNGLCRIIGAIATKHQRISQISASVLHLIHKFDFTVAHLAETVASAEKKIGDGSLAISLIREIGRTDPKDYARDSAGADNVGRFLVELADRLPKLMSTNIGVLVPHFGGDSYKIRNALVGVLGKLVAKAFKDVEGDNNARLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENSISIGHWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRIATFEGTLEKYKERLQGMEPPNPDEDEPVNDSSLGEVVMGQNDSVSDSCVADSQDQNDPDPTIVEITNLEQIRALVASLEAGLRFSKCITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTRKSPTETAKSLLNLAIDCSIGDLAALESLVSSLVSKAEIPSSTVNSSFPLQFAFATTLNATTLFQLKKIQKQKRKNENSQPTTEDPQLDAAKRSEAQGINAELGLGATIDIAIESLAERAEKEIVCCSSEKNLIGHCGPFLSKLCRNLTLLQKFPELQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSDIVRSNCTIALGDLVVRFPNLLEPWTEYIYARLRDPSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDQDERISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLNEETFCNIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGMKKLIDNFKMFEHGLSEDSVMNHFRTVVSKCKKFAKPELKVCIEEFEDKLSKVHQEKKEQEATTKNAEAHRQRVGSLDEFLVSKEVAHSSGNSVEGKQKTEYYEQIVDYSMVKDYIDLCTMFALHDVLTSLFFPPLTEETSEVVDPSMDNSTENKENMPECSDNISTENSPESTTFPESECGGEEIQSTQTVRKGLSRPRVKKTRDPAVEDSADSAP >Dexi6A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:6A:1447408:1448394:-1 gene:Dexi6A01G0001590 transcript:Dexi6A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYVRDNGIHLSANRYPNLALMVVAIVPPAAAERRGPEALVSSAPQPHPHPRRRTHQTPPPAAAARHRVALAASQRVHPSPSCQQGG >Dexi6B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:6B:19686227:19687858:1 gene:Dexi6B01G0012130 transcript:Dexi6B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALGPARASSPCKRSGGRRSNSGGGGSRAPWLRRGFGEGSPEKKAAINLQHFFNYIAVRIVLSQLESYNREAYYELKDFIGRTSLNDAEIFCKKLIRESPGMKGLAMRILEVRSAYVKSDFEWDNLKRLSFEMVDEANTKLMRDYVLEVSHIEDENYNIK >Dexi9B01G0037780.1:cds pep primary_assembly:Fonio_CM05836:9B:39085844:39089365:-1 gene:Dexi9B01G0037780 transcript:Dexi9B01G0037780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDKGAPPRASPPHTPPPGTESEAEAEAEEGADEAGMGTYKCCIFFTRRFAIGDTSTPEDVRTLFSRYSGGSPYMGADDLRRYLANWGGAGGEVAEQIVDRVLQDRSRTPRFGRPALTVDDFMHFLFSEDLNPPLRHSKVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQLGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLTPDLQAKVAKMVLEVFGEMLYYPESKHLQEFPSPEALKGRVMLSTKPPKEYLEAKGGTIKDREIESQFKKGEKEEAAWGVEVPDIQDEMQVADRNEDDILFRERGPDDDNEQKACKHVAPEYKHLITIKAGKPKGALVDALKNDPDKVRRLSLSEQELAKVASSHGPDIVSSFTHRNMLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQKPDFLMQTCPDGKVFDPKADLPVKATLKVKVYMGEGWHKDFKQTHFDTYSPPDFYVKVGIAGVPLDSAMRKTKAVEDNWVPVWEEEFAFPLTVPEIAVLRVEVHEQDVSEDDFGGQTALPVEELRPGICAVPLFDHKGHKFNNVKLLMRFEFT >Dexi3A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:3A:1962077:1963663:1 gene:Dexi3A01G0002970 transcript:Dexi3A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPATGVWRQLGRSPARGGSSSSKLTQRAINNIRFCLLCAFVTVLVLRGTVGINRRLVYIVGISDHRAPPAGTTKVVAADEDDIDRVLREIRADDPDREDDDDVITSTVPPDTRSSYYDSGSAWTTANYSLGPRVTRWNAKRRRWLHQNPGFPSHDARNNPRVMLVTASPARTCAAAGDHFLLRATKNKVDYCRLHGIEFMPTMSHLEVDGELTGGGWAKLPLLRRLMLAHPEVEWFWWMDSDALVTDMGFELPLSRYETTHLVVHGNSYVLFQQRSWEAVGTGSFLLRNCQWSLELLDAWAMMGPKGRPRHDAGKLLTATLYGRPAIEADDQSALVHLLITEKERWMEKVYLENEYYLHGLWTELVDKYEKAMEKYHPGYGDERWPFVTHFAGCKPCNGGRTNTTRGGGGRHIRATTGRSMKGGGNSSDELDRCVRGMERAFNFADNQVLRLYGFRHESLASAEVTPVANRSANPLEAKEEAITFLKRPKDPVVWSNDVRTYRKPKRKRGSVLDRILKRLGWRPDL >Dexi2B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:2B:2436301:2436723:1 gene:Dexi2B01G0002850 transcript:Dexi2B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMEVAESGTTTTSAAVAASPAAGEEASSAAMAVYERVARMASGNAVVVFSSSGCCMCHVVKRLLLGLGVGPTVYELDHLSPGGRDIQAALSQLLPPGHPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >Dexi3A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:3A:792368:801779:1 gene:Dexi3A01G0001070 transcript:Dexi3A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFSQQFSQLIDLARQGHEADVDSPTVPRQRTSYSSTPNRSQRWHSSDDESDGLNYADSVFGDIESNISFDDGGGESDASLEHQTAMGREIVIQLDSESYMNTDTDIDPMNAGIGHWDSDDPEDDEDEQSEESDLDEAGDTMQAHRQQWHDIAPSGLNEQESEDTVWTWRTAASQGVNRTNLTADTEGREIRRLFIGNPGDYADARQFEMLLEQFAEDNNTTRGAPAAAAASIENLPSVVISTSPLVYLYDILWLRLEKIRNKLRRQGINGPKPTFLYGNTQEMKRIRQELKCVQRQRKDTNMNSYISIIFPHFIHWRKTYGTVEILHVAQREMVKDMGRWTTSELAKPHYLMRSRKPLFGEGILSANGDLWAYEKKILAPEFFMEKIKGMIGLIVDATVPLLQAWENILDGAGGSKEIYVDGYLRNFSADVIASACFGSSFTKGEDIFCKLRQLQKAISQQDTFVGLSALWKYLPTKSNREIRKLNQEVRLLILDLCKEHRSRSHGNDVTHMSTQNNLLHAIINGADRRPSYFSGTEDFIVDNCKNIYFAGHETAAVTATWCLMLLAAHPDWQVRARAEVLEVCRGQTMLDIDTLRQLKIITMVIQETLRLYPPASLIMREALTDVSLGGVDVPRGTIIQVAISMLHLDKDAWGPDADEFRPDRFANGAAAACKPAHMYMPFGYGPRLCTGQNLAMAVLKLVLAHLLTRFSFSISPGYQHTPSYRLTIEPGFGMPLIVSKLPCSVRSPLIHDSTMCSSKTQLPFLEDWVASIGDCLIGEICLRSENIRKNLKRQGIKGPEPSVLYGNTREMKRIQQDVKILQTQDTNNYSLTVFPHLLLWRETYGLVFIYSTGALEILHVSDPEMVKDIGHCTPSELGKPTYLKRSRKALFGGGLLTVNGDEWAYQRKLMAPEFFMDKIKGMIELIEDATSPLSESWDSMLDDVGGSREIVVDDCLRKLSADVIAMICFGSSFTRGEEIFCKLRQLQKALSQQDALVGISAFWKYLPTRANREIKKLDDEVRLLILNVMKEHNNDAGKDLLHVIVDGAQGCQLQGRDAEDFIIDSCKAMYFAGHGTIAATLIWCLMLLATHPEWQERARAEAVEVCQGGGTLDVDALRQLRILTMVIQETLRLYPPASMMMREALTDVKIGDLDVPRGTIIQVSRPMLHQDKDAWGPDAGEFCPDRFANGVAAACRPAHVYLPFGHGPRTCIGQNLAMVELKVVLARLLSRFAFAPSPRYRHAPVFRLTIEPGFGLPLLVTRL >Dexi1A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:1A:22046949:22047327:-1 gene:Dexi1A01G0015130 transcript:Dexi1A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRKRRLDPIHGCGLKELPGGFMGKILVYKSGKVKMTLGDVLFDVSAGSSCMFAQEVAAINTKEKHCCGLGELGKRAVVTPDVNSLLGSIEKME >Dexi3B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:3B:13360612:13365143:-1 gene:Dexi3B01G0018010 transcript:Dexi3B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKIGEEVWLTCLSHALTTETEEVMGLLLGDVEPSSKGDGMTAVIWGASPQMRCERKKDRVEVNPELLAAAMTATIKKTTRVIGWYHSHPHITVLPSHVDVRTQGMFQLLDTGFVGLIFSCFSEDAQKVGKIQAWGRSQDMDFYSHPDTNHTAKHQPRENTIFPYNPDDTQEASIDLYDSDMTPSIQEALHRSNMDISVDLTLFYFFPPNSGAEYVRKEVQLIVLPTRHLLKLDTAMTSYCDMQRVLFEEEQSAYNQAVQQNICLIPAITALQDRVKENELRVSILITCIL >DexiUA01G0009590.1:cds pep primary_assembly:Fonio_CM05836:UA:19013105:19014103:1 gene:DexiUA01G0009590 transcript:DexiUA01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASEAVAVVSRQFCAPYAVPLTVVKKAISLSGGDFVVTDGNGAEMLRVKGAVFSIHDRRVLRDAAGHPLVSMREKVLSMHNRWEVFRGDSTSASDLLFTAKKASVFQLKTEVDVFLAGNTVQQACDFKIRGSYFERSCAFYLGNSDTMIAQINRKYTAANLLLGKDTFVVTVFPNVDYVFIVALVVILDEIHRERFD >Dexi9A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:9A:15837183:15837975:-1 gene:Dexi9A01G0020780 transcript:Dexi9A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWIKAHASKLQSLNFTSTIGLLKRCLVDGPDWPVIKDIKEVHGYSTGSNYIYYTRSPYIFESNVSAEENLDIKENSADPGTGYLEIRGFFDSKAVKTGAPRTEDNVPNRNVESSMPIPTRHGLHKLVEVIPEEDEDDDVAAVPTDPTRGAAHVEKGHPAVTDVHTNSEDFGSSSEQTTHESQAIINDQTEHDTIGRSVFARRRGSKTLVPNWSEKGLEQSTLLRSIKV >Dexi9A01G0042750.1:cds pep primary_assembly:Fonio_CM05836:9A:46283812:46284879:1 gene:Dexi9A01G0042750 transcript:Dexi9A01G0042750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRRGRRRHLRRRDAEVKNRPCDSLLLLVAPLVVVGESPQVDELGVPHQAPRPIVESRRQAAAHAMSLWAPSTSAEPFGSCTLRPSRAPGGRVPERPLPLGSRREGGGALAGRACGVAAHAEGTARAVDVARVVGLGAEEDPVATHYPLAPPHLAVHVEEEVADAHRLPVVVAEHVHAGVGRVGDADEVARPHLRHVLEHLAVPHAGGPVLVGAAGEQVELGLPVWVDHHGELARRRVLGVRPGREEAVHAAGSVVAQRGPREPRVRGVVEALPHHARGVAPNDEVAVVQERGDDGGGGRRRGGGGRVRRPQQGVRRLDEELAAVAQREARG >DexiUA01G0018480.1:cds pep primary_assembly:Fonio_CM05836:UA:39110133:39111298:1 gene:DexiUA01G0018480 transcript:DexiUA01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMVVAGRGSPSSPAPAGDGDSTRIWMKGASSNGAYVDVATKTKEEVENLLAKLEKEGLEIDDKIARIIDDGIARIKAEAVWENIHKPLGVWMELPLIVVPGAIGFVMGVQRIQKAFREELSKRGYMLSK >Dexi1A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:1A:25463071:25464914:-1 gene:Dexi1A01G0018130 transcript:Dexi1A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTEADGGEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVMEVEQKYSEIRRPVYLKRGDIIKTIPDFWLTAFMSHPLLSELLTEEDQKMFKYLDSVDVDDSDVKAGYSIHLNFSENPYFEDTKLTKAYAFADDGTTTIKASQIKWKEGMSNHK >Dexi7B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:7B:14269015:14269371:-1 gene:Dexi7B01G0006540 transcript:Dexi7B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAQAMRQMPDPRLAQRSQRRLRRTLRRRRSRWLLRPTKLRCMPWNPAGGAGNGRKASNAQSQCATARCGECGMSARRSVMGPYSARARRSARRSERQSSDAWCVLRK >Dexi5A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:5A:10680792:10682778:-1 gene:Dexi5A01G0013910 transcript:Dexi5A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAEVMHQVVPLLEAPFHRCVVKSVEVIEEVVAVAPAPVQLAASPKAVVEVAVEVSDLELKRSSIAGSSVSAEPLQFVPSIRSGSFADIGPRRDMEDEHIRIDDLSAHLGSLLMFSVPSAFYGVFDGHGGSDAAAYMKKHAMRLFFEDAEFPQASQEDEMFAECVEDSVRKAFLRADLALADDSVINRSSGTTALTALILGRRLLVANAGDCRAVLCRKGEAVEMSKDHRPTYDAERQRVIESGGYIEDGYLNGVLSVTRALGDWDMKLPQGSPSPLIAEPEIRWTTLTEDDEFLVIGCDGIWDVMSSEDAVSTVRKGLRRHDDPERCARELAMEAKRLKSFDNLTVIVVCFVSELNGGSAPLEPATNANSRLRCCKSLSPEALCKLRRWLNPDN >Dexi3B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:3B:12726576:12731910:-1 gene:Dexi3B01G0017300 transcript:Dexi3B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGAPAYVRAVTIPFADLRDRGKDLSGKIEEGFGPRGLGIVSIAGVPGYPELRKRLLRLAPRHVIFDSLISSVQAMPFRNQGDTFVNLPDDAKKELEDPDSSQLSSFYADTSKGSYFANPIFDVPTTDDVLVTRYPSYCRPNIWPTDHLPEFETAFKDLGKLMLEVGLMLAHHCDHYVMQQGVRQYVGENLEQTLVRSRCPKGRLLYYFPKQFSKENEGVQSVSSWCGWHTDYGFLTGDLQYPYGLRVLIVHAGLTCGLFARKSEEVPCPHGSGLYVRTRDNQVLKVTFEDDELAFQIGETAEILSSGHLCATPHCVKAPSSENTSDVGRSTFVLFIQPDWDEKLELPSEIPHHQE >Dexi7A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:7A:21702096:21703659:-1 gene:Dexi7A01G0011040 transcript:Dexi7A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSFSTDHALNLLLPSFQPFSMESLNKAMAQAKRPRSLKDLLGEQMAKIRGLGSGGNGGNKNRYGGSGGDSDGPEDESFKDSLYEMIQILIAAIAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMLNWREFSESITKNDGAQEDYGRSVSSESTWLQQPQQLGRRLVELCRGYLHPHAQEA >Dexi7A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:7A:14207553:14208727:-1 gene:Dexi7A01G0003990 transcript:Dexi7A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKPYIIAIIIQVIYTGMFVVNKAALDHGMNSFVFIFYRQGAASLLLLPIAVILERITISLNLYNVGLKLTTATVASATTNSLPVITFCLALLLRMEDLKLRSRSGIAKLAGVALCLAGVLVIAFYSGPFLSPVNHHRAFSAGTHASITSADSASRSTWIKGTFMAVLAIIAWSVWIVLQAAVLKEFPNKMLVTVTQCLFSVVQSFVVAVVAERDFSKWKLRPDIGLLAIAYSVILYT >Dexi2B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:2B:4582100:4582672:-1 gene:Dexi2B01G0004860 transcript:Dexi2B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEGVVITCHTKEEFDSQMDKAKEAKKLLRCFAKKYLHVAFLKVDVDELRDVAEEYNIEGMPTFHFIKDGEKIDLVVGANKDELLTKVEKHAGQPAPSVPTST >Dexi9B01G0035590.1:cds pep primary_assembly:Fonio_CM05836:9B:37176196:37177759:1 gene:Dexi9B01G0035590 transcript:Dexi9B01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGVAWLQQRKIIAPEFFLDKVKGMVDLMVDSAQPLLKLWEERVYRNGGITDIKIDDDIRAYSADVISRTCFGSSYIKGKEIFMKIRELQQAVSKPNVLAEMTGLRFFPSMRNKQAWELHKEVHKLILEIVKESGEDRNLLSAILHSASCSRVGIAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRRCMKSAEASQ >Dexi9B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:9B:718128:721741:1 gene:Dexi9B01G0001220 transcript:Dexi9B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAVPAATAAEEEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLRVGLSLLNDASARSRLASEQWTLYEQVAVAAMDCQRLDLAKDCIGVLSKQFPGSNRVARLDALLFEAKGEWAEAERAYAKILENNPFDQIVHKRKIAIAKAQGDMSLAVDYLNKYLELFMADHDAWRELAETYISLQMYKQAAFCYEELILAHPTIPLYHIAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCTSAINQLTKGRNKEEEGSELQALAAEALLKNYKEHAPSKAPLISSMLKNMKLS >DexiUA01G0000820.1:cds pep primary_assembly:Fonio_CM05836:UA:2574214:2578535:1 gene:DexiUA01G0000820 transcript:DexiUA01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIITRDYPTTVKANQLIDNFQEFIGTQQLMLLDEQGTYTAQSQQRLKAISEQITVILGELNTALQDPESQRVLAEIRGVRQQYLDSRYRILQAVQNNDRAGAIQEMMTNTLKLQQAYKANVQALIAIQNQEMQSAGVQVEGDFKSNRLLLILITLFSVATGSLIGWFIVRSITRPLGEAVDFAKAIAEGDLTGSITPHGKDETGLLLHALMEMKTRLLDIVQQVQTGSENISSAAAQIVAGNQDLAARTEEQASSVEQTAASMEQITATVKNTASHTGEATHLSADAAMVVKNNGEMMKQVTSKMRLINETSNRMSDIIDLIDAIAFQTNILALNAAVEAARAGEHGRGFAVVAGEVRQLAQKSASSASEIRQLIESSTSQTQDGMNLVEKASELINGMVGNVEEMDVILREIRQASHEQTEGISQINSAIGLIDATTQQNSALVEESVAAAASLNEQAMHLKDLVRVFRPLLAGRGNRNSLAAILFFGDGDIGLILKDIQYHQTQIAVTKKAWSASAAVVFGLTMTSTRYPQRVRNDLRFRKLDVLRVERVSAGFQRIVLGGEALEGFSSRGFDDHTKVFFPAPGATFVPPVVTDEGIDWGDGVRPQARDYTPLYDAERHELVLDFFIHDGGVASHWATEAKVGDTLTIGGPRGSLVVPEDYAWQLYVCDESGMPALRHHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNHLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKSQKHRCSTLDIFVEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFLRK >Dexi1B01G0027450.1:cds pep primary_assembly:Fonio_CM05836:1B:32164696:32165746:-1 gene:Dexi1B01G0027450 transcript:Dexi1B01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLAQVPDAMDYLLAEFNKVCVYTVPKHLHALNAQARNRDYYRLIGYQEENGQLESTESYLTYMVAYVKLYAAMIQTEIKGVRHPHGLAEGWKWLAMFLNALPATTATACALHAFLKMAGFALHKKYGSQFLKILDVISRCFLPALKDQGNKMQSEAVNNLQNYLNDKIYLQEPEGQYLVQQLLSKELFM >Dexi4A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:4A:8824959:8829115:1 gene:Dexi4A01G0010970 transcript:Dexi4A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKEKRVAIVGAGPSGLAACKLFPHHDQVVEYLAAYARCFGVMECVRFGCKVLDASYVSGATEPEEVAAWERWSGNGEAFGDGTGEWHLTVRHGGGDGEPERIQGAILIPGLRGGFGGGRPARRWPAGETAARAAAVAPPPGRWRMAVGPALAAGAYNDDGGGGRAGSCGWGVQVRFPDNNLGTPVLQTYRFDFLILCVGSHGTRGRRQAVRHRVAVVGTGKSAMDTAAQCAEANGSRYPCTMVYRSAHWMVDPKVARRVKFFTLTSTRLAELMVHKPGEGFALSLLATILSPLRWLTSKLTEAYFKRSIPMNEHGMVPDCGLGQASLGWRLGILPERFYDMVDDGSIELKKCGSVAFSADGLVLDDGDGERATVAIAAVVVILCTGFDIDRPLRDVFSSSSPWFGDTIVSGSGDDVLPLYRHCVHPRVPQAALVGYVESGSSIHHCEMMAKWVAHLLAGDVRLPGVRDMERGVAEWARWGRRARRSCGGFFLKSCVASVATWYHDQLCRDMGCSPRRKKAGGGYFENA >Dexi5B01G0032750.1:cds pep primary_assembly:Fonio_CM05836:5B:33336951:33340305:1 gene:Dexi5B01G0032750 transcript:Dexi5B01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSPWLSGRGRGRRQGEETEPGDGLAGPAPSRLPGPSALAHQGTDDAADGDIIGEAAAVAMDEEAIEPDFATVLPEIDKFLTAYRDGEVLLAISEETIIGPAAPRRCTPAPSTTGGCGDGDGDAEEPFPAETMERLRTMAEAKLAARYETEFTEVFLDAAAAELILCFVQRKSDNIWI >Dexi1A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:1A:9113563:9117653:-1 gene:Dexi1A01G0010610 transcript:Dexi1A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVLRSVASRVRRPAVAPVRCVSSEARTAQPPRCDFDADKIKPDFSDQIRRSIPHLRALLHSITVSSGAPLAALIMDFFCYKALPLAAAELGVPGYIFCPTNVAFLALLRCHMALNDGSAAAGGEYRDLPDPLQLSDHLSLRRADMPAWFQDCTKPDFELLIDMGHHYRAAAGFLVNSFNEMENASAEEEEIKPAAEQDDVLPPAYMVGPLVRIGGSDEDGGAAAAACLEWLDHQPECSVVYVSFGSGGLLSVEQMAELAAGLEGSGHRFLWVVRMPSLQGPDGMEMESNNGHDKQDDPFSWLPDGFMARTSGRGLVVAAWAPQVRVLSHPATAAFVSHCGWNSAQESMAAGVPMIAWPMYAEQRMNAVILSDTVGVALRLRERPVDGLVPREEIAAAVRELMEEEKKGRAMRGRARDVQEAAVKAWAPEGSSRRKLEQLAGEWKATALGRQKH >Dexi2B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:2B:21726233:21727466:1 gene:Dexi2B01G0013340 transcript:Dexi2B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPATTMGAKRTRLKEEESGGLLQPVGPDLISRLPDEVLGDIISLLSTGEGARTQAISRRWRPLWRAAPLNLEGGDYPRRKSKRAAWVTKILSEHAGPGRCFNLYGFCLHERYAMVDGWLRSGSLTGLQEIDFSGADFSESRRPQPMPPSALRFAATLRFAWFSSCDFPGDGDMPPALDFPHLKKLLRNVTVSEDALHILLSGCSVLEILLLNYSVGFSRLRIRSPSLRSIGFIAPAAESSVAITFQELVIEDAPCLERLLPLNPEQVPATIRVMQAPKLQTVGLLSPGISRLEFGKAAFQILALESLGPNLDSVVDFLKCFPCVEKLYLTCNL >Dexi9B01G0024920.1:cds pep primary_assembly:Fonio_CM05836:9B:24092496:24093058:1 gene:Dexi9B01G0024920 transcript:Dexi9B01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGRGKPKGTKAVTRSTKAGLQFPVGRVARYLKTGKYAERVGGGAPVYLSVVLEYLAAEVLELAGNAAWDNKKTRIVPRHIQLAVRNDEELSKLLGAVVIAASGVLPNIHQTLLPKKAGGKGKADIGSVSQEF >Dexi5A01G0022140.1:cds pep primary_assembly:Fonio_CM05836:5A:26070929:26072488:-1 gene:Dexi5A01G0022140 transcript:Dexi5A01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEERWRHSCRADLHGDEPEEGEIVPGDHSDVDTEEYYNRHSPLLCDDSDETISDCGDNAACSSAPASYGDDATSPPPPVAAANSNGGNAISSYPVVSANNNGGNASSSTVAAAAAAALACPICGKEFRSQKAVCGHMKVHQQHAFVGSQKDKGIKRAVVAVVGAWGGSGKRGCFGLGSKQQAASPNNGAGPDQSMAIVVAEPQIVLQPTPLAFEPPNPSPVPTASVTPTQSPVSTSPASPTTQSSPVPAATNVSGQSSTAQPTHNNGAMDTVAAGPANNPPPSDSEVVVQQHAAPPAPVVQRAAPIVVHQHQPAAAGRQNPNGYSCPECDMWFQTHQGLGGHVAGHRNREHAAAAAAGMAMVPGSGDDDGAPSCRRNGKPEKAHVCKVCGAVFAVGVQLGGHMRKHYAGPPIVPNKKPRLVQPLVALPPPAHTLALLPNAEADEAASPDAPALELPLQHDLAPTVERAPEPAPPVTATTVGRVLLFGIDIGVRVQKPAAQEEGPSETQGSASMEQ >Dexi2A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:2A:8622128:8625501:1 gene:Dexi2A01G0008550 transcript:Dexi2A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWATAAAYTAAALASAAAATVVALRLVHRHLLHYAEPAHQRFIVRIILMVPVYAVMSFLSLVLPHNAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGTVVVSLNGQSLKPSWFLMTCCMPAIPLDGDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEKAAYLQNFVLCVEMLIAAIGHRFAFSYKEYAGSNARPFGGFKGSLLHAMKFNDFYHDTVHQFAPTYHEYVLYSNEKEDEPTKYSPSTIVPTGEHIVELVEVTVVSSKAPAISSLLLKEADQAETMEVKTAASAEPYELSNFLNVDLSDYPTEVPAIPVVREQ >Dexi6A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:6A:2393362:2400737:1 gene:Dexi6A01G0002530 transcript:Dexi6A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTPNPPPSSPWSSSSPVSPLPLPCLALPDGSFFPFPSTTSLPFPNAAGYHGSSSDYLIFTVSGDVSGDGYYLLVNPFTGDTVRLPSLSRIRFVVNSGMALPWRNIADDQRAPYGETTTVRKVVMCPGGEFVAAMVGDGKLGKIAMCRHRHGDEGSSSSSRWVMSGHDAWRWFDDIAFYDGKVYAVDDAGSLFAMDTGVDNLTGEPEVAWAKIVIKVSDDSPPARRRWRKEKAPPSMRYLLVSGGKLMMVHRAAKAMSDGGGTKTTTKFEVFKFKADLVSPRWLKMASVGDDVAIFVGRWFSFALRVSKYKLPGNRIHFLDDDAFRRHCCPDDKFGSYDMADGKVYPLIVPQPLELCNGAGSKRAPGVISLPTCSASFSAASIARKTVSISARSAATGCPPRGNTFAGDRGRFPPSPTTATPPQAPPTIVAYLALPNGMIFKYPELTSHPIHKNAAAGGSGSAPGSSGALRGLAQLLVPLARCGVWLSSVPWSHRSFGEAHRPAVVEAVRAPPELGGGGPRWAVGGGGVWAELVSVGRRRRAAAGKSAWKSACVAR >Dexi8B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:8B:1305497:1306105:1 gene:Dexi8B01G0001860 transcript:Dexi8B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSVGERRFHGKPWRCHRPLHGANSSVSIPTPSAASTVIGIAALLSSRLGFRRINLHRRRLRSHGFFRASLDVLAGFTSTADGEARRLHVNSRGGYYDLARDASVDGRHILNMAISFQASCSPSPHPTMHVAEKKIIKCAHQRMMRRSSRSPASSLLEALVVIVAPAVASGSDRVLFGWCGFNALRRTSRSSIIVAHPGKG >Dexi5B01G0032410.1:cds pep primary_assembly:Fonio_CM05836:5B:33043429:33045716:1 gene:Dexi5B01G0032410 transcript:Dexi5B01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARHGLRRGHAAAASACPFLAFAVVLLALPSLAAGDTHYYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLTVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPSYITQCPIQGGQSYTYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKEVPIHFGEWFNGDTETIINQALLTGGGPNISDAYTFNGLPGPTYNCSAQDTYKLKVKPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVQTLVISPGQTMNVLLTTPPNPSSPSYAMAISPYTNTQGTFDNTTAAAILEYAPTPASRMSLPLPSLPLYNDTGAVTNFSRNFRSLASAEYPAVVPQAPDRHLLFTVGLGTDPCNLSNQTCQGPNGTKFAASINNNSFFRPRTALLEAHYQRRYAGVLLANFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLAFNTSVELVLQGTSIQGAESHPLHLHGYDFYVVGQGFGNFDPVNDPPKYNLVDPVERNTISVPTAGWVALRFFADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPNQKMLPPPSDLPKC >Dexi3B01G0024810.1:cds pep primary_assembly:Fonio_CM05836:3B:19462107:19466210:-1 gene:Dexi3B01G0024810 transcript:Dexi3B01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKEVFRSLQELFPQVDHRILKAIAIEHRKDVDSAVVAILDEVMPFITGSAGVFSAHHEVLPSRADSVGNVFANNSTHEVGSSSSADKEASSAGTSGVIEQKSTSNAGTGSNKQLSGDIDENEAILLSSKTMLPDLNLNHFGSMASTHSSHSVSIESLEDSIADARSNKHAGEVCGEKAILTTEARQLQSRLHRLSDERNKYLVIIEEIHQTLDERLVAAQQEIAAAEKEKIEKEAAAQALLDEQEKMMNSIVEESRKLQKEAEENLKLKEFLVERGQIVDTLQGEMAVICEDVSLLKRVVDERLSLSKLQRSTMSSLSSSLHSSLHKSGSSLGRTTEAVESSDKDTVGEVASPVAEGLDDNASIGAVSDGNDSAKGICKRQSVSNEDGDDWLIC >Dexi7A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:7A:305912:313699:-1 gene:Dexi7A01G0000220 transcript:Dexi7A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFATAAAAQTKLLAAPLPLHTFLLAARRHPPSLTRRSTTTTPSIRCAIAGGNGLFTQTKPEVRRVVPPPDALLPRVKVVYVVLEAQYQSSITAAVQQLNADARRAAAFEVVGYLVEELRDAETYATFCADLADANVFIGSLIFVEELALKVKAAVEKERERMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKANSSNFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALKGAGGIAYDDPVLYLDAGIWHPLAPTMYEDVKEYLNWYGTRRDANDKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPVQRYLVDPVTGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIGNRTRCTRGWSSSAPEQSDGLNSRGKLRFVVCDFLKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLSDLKKDGYNVEGLPDTPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQALTSYASLLEENWGKPPGHLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDTCYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKETGRGAQIVSSIISTAKQCNLDKDVPLPEEGEELPPKERDLVVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIAALDRPEEGISSLPGILAATVGRDIEDVYRGNDKGILADVELLRQITEASRGAITAFVEKTTNSKGQVVNVTNNLSAILGFGLSEPWVQYLSKTKFIRADREKLRVLFGFLGECLKLVVQDNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTAAALKSAKIVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEMNYVRKHAQEQAEQLGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPASYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIEDEAMRKRLMETNPNSFRKLVQTFLEASGRGYWETSEDNLERLRELYSEVEDKIEGIDW >Dexi6B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:6B:21499727:21505244:-1 gene:Dexi6B01G0013750 transcript:Dexi6B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGMSTMFPNGIRALVVDDDTRFLTSAYLMLSILDFEVMITCASPTTALNLLTRDTIKDVNVVLANAAKVAACGFDFRGIVESDLFIPVVYFLPLDHKATGGEADELLRTLMSATCVIKKPLDASQVCNLWRDIAWRMCCLGAKAKQAGRNRVVPAAGGEARGPAMVGEREDEERVHFRVVNTGGSRKRKSTAGNPGGSSGTSLAGFVVWVEETYLCPVDLKEAPGGRVAGGGGSRNGGEVGARSMVGSVGVGATIDGELHMQPATMSLSPPETGKAAPVVGAKEGEQGAPVAAAGGRGEPAPQHQHKSQLMPSCPNILNVPPHNPGRFAFTATPSNNNIAGGNTSSPARPPANPAPAPAPCHVHSAAMPALALPLAPAPSRVYPAHVRQLPAHQAPARAPVNPTPAESHVFRPWSQGTGMQRRGTTGPIMLSFQRPPPAGDLFTGMASVGVTLGASAPATTADAGVSYGSKASSLIQSLNIGPDDHDRLPAMVAMHTRSNNPPLAPQNASVASNEAAIAGLYNNNYCARALMEPHRNVGRGRVAANEAVAMGMISSSGGYIDYSAALAMARQQVGHGVAPDVASGQGSASATNSNSFTNHSSGSSSLDVNRENCFRNYSAASNMAPDQVLGMSSNVNELTMAGGAFGSSSVAAPSMALEDPVVAAPNGNQQLAAGPLDKPLMEPQGPAGAVMDGNATFVAEMSPDDQYGENTMFTLEELLGQEDGEQDGSTGGAAAAADVAETSLIGGEGDEGICDNNMFTVEDLLGLADQQGGATGGAAAAADAAKTSLIGGEGDKGICDNNMFTVEDLLGLADQQGGATDGTAAADATDTSETGEEGDEGTWDNNKFTVEDLLDLAEGQQGGATGGAANADATETLLIDEGGDEGTCDKNMFTVEDLLDLVEGQQGGATGGAVAAADAERTSLLGGEDERTSLVGGEDGAGTWDIGAVDNQDDFFIGDLWNFMPPYDAYNGRKAIPAVQRRGISDL >Dexi1B01G0025780.1:cds pep primary_assembly:Fonio_CM05836:1B:30769215:30770949:-1 gene:Dexi1B01G0025780 transcript:Dexi1B01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEDDDKSGNPDGDIENEKLSQTVDKPAVPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEADTISLDAAARLLLGEGHEETNMRTKVRRLYDIANVLSSLNLIEKIQQGDSRKPAFRWLGRAARPNADNGVSVAVPPPGKNVSNKRAFGTEITNIDMNRSNVDSKFQKKAKLGQTGADILKNCKLTVQSRLAQNKESGFVYGPFHPAGARKHELDGGNKSGQRERVDDWESLSDSFRPQYQNQALGDLFSHYVEAWKTWYSEFAQGSNTMQQHFGQPVNHFL >Dexi9B01G0027860.1:cds pep primary_assembly:Fonio_CM05836:9B:30343921:30344897:-1 gene:Dexi9B01G0027860 transcript:Dexi9B01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARVWDVLASCQTARSAYLRVLARPTVRMVAQNAILLLIWMEAIGFNLIEKVASMAIDDSSLTNLVYEANALYNYVLYGHYGTFPPPSFPAFQTITALCTAPRRGRLIDHRFFVFHKNIIARGVAMYRDNAAGLVFNDHLYAMLDQYETDSNSSWIPNPVPAPALMAPYVAYTTTTPEDKRTCFVAFDERQPLTSQEILDYFQRTLLFGHCIERVDTEQAASPTQRSKHGMIVFRSEQMRNDAMMGEPAAFFLVDGRDMWVQPYDPSM >Dexi2A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:2A:5611881:5614014:-1 gene:Dexi2A01G0005850 transcript:Dexi2A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAFDDAIADGVDVVSFSIGRKLPLPYFEDAAAIGAFHAMRCGVLTSASAGNSALDGGRVCNVAPWMLSVAASSTDRRLVGKLVLGNGKTIVGASVNIFPKLEKAPLVLPINGKPVGTIHSTKVSLDSKAPTVASFSSTGPNLISPGILKPDLSAPGIDILAAWTPLSPLTADPKENRFAAYNIISGTSMACPHATGAAAYVKSFHPDWSPAMIMSPHPSTPMDPASNPGGGELVYGAGQLDPARARDPGIVYDAGEEDYVLLLCAEGYNSSQLRIVPGSNATACPAGAKLQRGGAGDLNYPTMAHHAAPGKNFTVRFRRTVTNVGAPGSVYAARIAGGEGPYVGVAVAPRRLAFTRLLQKLSFTVTVSGALPAGNEFVSAAVVWSDGVRQARSPIVVHTVDVQQSSTPN >Dexi2B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:2B:79811:83569:1 gene:Dexi2B01G0000180 transcript:Dexi2B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLLRVLCLCSALAVALPARPPSVTIGALFTFDSVIGSSAATAIQLAVDDINRDPTVLRGTNLSLLMQDTMCSGFLRVPLVSFAATDPSLTSSQYPFFVRAAHDDAFQMAAVADIVAHFGWREVTAVYVDNDYGRGGVDALGDALEALRSRISYKAAFPPGADRAALADVLVRANMMESRVLVVHVSPDTGLDLFAAAQTLNMMGSGYVWIATEWLAAAIDSSSSPMMGLIQGVVTLRQYTPESDAKRSLERRFAAAAASRSPSSSTMNAYGLFAYDSVWAVARGIDAFLGDGGNISFSPDPRIRDANGSALRLSTLRVMDQGDQLLRKVMLANFTGVTGRVQFDAGAGRDLVGPAYEVLNVGGTGVRRVGYWSNTTRLSVSAPSTAIGATNGTPPSSQQKLYSVIWPGDTTAPPRGWVFPNNGRPLRIGVPYRTTYKQFVSKDASAPDGVSGYCVDVFKAAVALLPYPVPASFVLFGDGVKNPSYGELVQQVANGFFDAAVGDISIVTNRTRVVDFTQPYVESGLVIVSAVKETSSNEWAFLKPFTPGMWAIIGAFFLFVGAVVWILEHRFNPEFRGSPRKQIVTIFWFSFSTMFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLLSSNQPIGFQVGSFARSYMMEELGVPASRLRELAITDYADSLQRGPGNGGVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSETGDLQRIHDKWLNPGTCSSQSTDAVGADRLNLNSFWGLFLISGVACFIALIIYFARILCQFCKYHGGGGGSSDEAAFPDPERSLRRPARLSSIRDLMSFVDMKEAEAKRAIRSRSGDRRLDRSIGGSSTSEGPSLSRPSSMSPV >Dexi6B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:6B:23277717:23280471:-1 gene:Dexi6B01G0015990 transcript:Dexi6B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAEKRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAGAASSSAQASASDSSKAATPGALFEDKPQSANDTWRKLHSDPLLMIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKKRHKTHHHHKSKSKRRYSDENSDSDEMGDGKDERRKRAHSSPDHKKEEKSSRHEKKHRRQDSSDSDNDEPQRRRRIVSEEDEPRRRRQDMSEEDEPRRRRQDDEEPRRRRWQDDEEPKRRRQDDEVPRRRWQDDEEPRGRRHDERPRYECMDADDRKRRQHSPPDRHHAYPKHDGPDSRSKRVDIHKTGNSQSEHRSRAEQGSGEQTRQESERGKNNGPSFNRRRGGVHHMSEEERLARLRQMQADAEVHEEQRWKRLKKAADDDAKEAATVNVNQFKGKNFLEDEKKSIFGTEKGGSTTIEESIRRRAFYSQGGRDAEGNAFRR >Dexi1B01G0029320.1:cds pep primary_assembly:Fonio_CM05836:1B:33668751:33672822:1 gene:Dexi1B01G0029320 transcript:Dexi1B01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMASPRGRSIRETVLETVAAYHQQQRARRTLRKSLSYAGELSSASREAARAGRYAASPSVSSSLCEDDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEYGDEYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPKWVHSFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHANVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDELRKELGLDPELPAVLLMGGGEGMGPVKKTARALGESLFDKEHGKPIGQLIVICGRNKTLSSSLQALEWKVPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEFS >Dexi2A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:2A:5565238:5567330:-1 gene:Dexi2A01G0005810 transcript:Dexi2A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPSVPGAGGRDPDAPTTTGDTILAIHDGDSGGAGPYDSLGSTAAPPREEEDASAAVVRYRGWKTMPFVIGNETFEKLGSIGTAVNLMVYLTSVFHMSNVRAAVSLNAFSGTTNLATVFGAFTSDLFLGRFSTVCLGSISTLIGMIILTLTAGVPSLHPPACGVAGEECVGATRGQFAVLVVSFLFIVVGAGGIRPCSLPFGADQFDPNTESGRRGINSFFNWYYFTLTIAVCGSSTAIIYVQSNVSWWIGFAIPAALMSVSCVLFFSGAGLYVRVRPEGIPLAGVLRVTVAAFRKHHVAAPENPATSLFRTRHASKLVSRLPYTHQFTFLDKAGIVESSSKGELDESGNAKDPWRLCSVQEVEEAKCILRILPVWATCIVYYVSFAQTNTYVILQAAQSDRHVGSFDVPPASFTIFPMLALTVWIPFYDRLILPWLRRLTGREEGITLLQRMGVGMVLSVVAMLISGVAEQHRRELHAAAASDDVSMAAAAAGHVSPMSAFWLVSQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFSGLALSSYLSGLLVTVVHRATGDDGWLAEDLNRGKLDRFYFLIGFIGAVNFFVFLACAKWYRFKGMDGDDDDERDADGVARWQPRSGEGDNCPEEGRVAAT >Dexi2A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:2A:11211228:11214169:1 gene:Dexi2A01G0010040 transcript:Dexi2A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPQQQPGLQVLLRQLRGVLVVGPARPAAMRSATSLLLGAALATAFFLLYTSLCRDLGAGPPGSPPPRWDHRAAGQGSGGDRLLDNNTKKQQGITEKEEEQERKAEVTRSDGDGGGGATKADGERGRDTTAADDKRQQPRIVMPASTSTSTQQVNVTLNILHSMTLMIKQQPQQQHPQPKQETPSQDLADLLRRAATSDKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTSDLPRHLLIVSMDGKAHARCLAVHPFCYRFHHVDGMDFAGEQRYMKGDYLEMMWRRNRFQQHVLELGYSFLFTDVDILWLRPPFQRLPGGDVADVVMSSDFFVGDPASPGNYPNGGLLYVRSSPAAVAFYEHWQVSRARFPGKHEQFVFDRIVKEGVPASVGARVRFLDTAVFGGFCQHGKDLGRVATMHANCCVGMENKLFDLRNVLEDWKEYRVRLAGGGDVRGFSWRVPGRCIH >Dexi1B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:1B:26199759:26202209:1 gene:Dexi1B01G0019970 transcript:Dexi1B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEVSMGCGASKWRGPDVRQRRLSSLGEVVVFLPGLRIPRNIDFSQTLGNHLDKSVVERLTTLRARVVVMATQESATALKPRRKVATRHGGSSTANLLQALEEYLPVLLGLVEEGTELRNKVHFVWANQEDVAEETSMADPWYEVLSVLHLMAMVCFLQANTLLHPRSYADGQGPRVSEESRQATVDLFLKAAGYLDCAIHHVLTQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMLKCWKQVKDSIPELPLSDGWGKKHSLFVKWKYVEAKAAAYYFHGLILDEAETENAEEMAIAALQASGEFLNESKRASEAFHAAPPASRSPAPFGTTKYLVDMIPKDVQSKVQRYQDLYTQQRASNMEVSKIIAAPPPLPDFPLALNPEDYELPQSDRLWKGS >Dexi7B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:7B:25525503:25527236:1 gene:Dexi7B01G0020090 transcript:Dexi7B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGFKAIVLTVDTPRLGRREADIKNRFTLPPHLVLKNFEALDLGTMDKTNDSGLASYVAGQVDRTLSWKDVKWLQTITSLPILVKGVVTAEDTRLAIEHGAAGIIVSNHGARQLDYVPATISCLEEVAREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRAHVITDGDRIGRSRL >DexiUA01G0009550.1:cds pep primary_assembly:Fonio_CM05836:UA:18770756:18771301:-1 gene:DexiUA01G0009550 transcript:DexiUA01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRSTAASRRGRSARQSPFFRDLASPIPSHRGVSRFASAASPAAAPSATPPPPPIFTLDDRYASADFSPDPTASDLLPVASSPSPRAAASGGSPAWDRSWSRVSPSAPGSPMDGVVEPARKEVLALPPPGSPGTPPPPAATTTAEAQSPVTPAQAPVRTEPVANGGEAVREEWVTVFG >Dexi4B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:4B:2695101:2697206:1 gene:Dexi4B01G0003880 transcript:Dexi4B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTAAAARQAAAAADEACCIQHGVHHAHLAALLNPSPRAPSLPLPLRRRHLPLSLPAASRLVASFPPLPLLVCLLRALRLLPSPPPPRPFDALIRSYASLPSRASLAAAALAFARSAGYAPSVPTYNAVLLALSDASLPSARRFLDSMLRDGVAPNVYTYNILVRALCGRGHRVEALGIVRDMGGSGCAPNAVTYNTLVAAFCRAGEVDSADRLVRMMREGGVTPNLVTFNSVVNGLCKAGRMEDARKMFDKMIKEGLVPDGVSYNTLVAGYCKEGCLQEALSVFTEMMQKGVSPDVVTFTSLIHAMCRSGNLERAVALLKQMRERGVRMNEITFTALIDGFCKKGFLDDALLAVKEMRECGIQPSLVCYNALINGYCIVGRMDEARELICEMEAKGVKPDVVTYSTILSAYCKSGDTDSAFRVNQKMLEKGVSPDAITYSSLIRGLCEEKRLNDAHVLFENMIKLGLLPDEFTYTSLIDGHCKEGNVEKALSLHDEMIKMGVLPDVVTYSVLINGLSKSARTKEAQRLLFKLYHEDPVPADIKYDALMHCCGKAEFKSVLALLKGFCMKGLMDEADKVYQSMLGRNWKLDGSVYSVLIHGHCRGGNVLKALNFHKQMLQSGFIPNSTSTISLIRGLFEKGMVVEADHVIQQLLDCCSLADAEASKSLIDLSLREGNVDAVVDVLHDMARDGLLPSQR >Dexi9A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:9A:1970358:1972152:-1 gene:Dexi9A01G0003730 transcript:Dexi9A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRLYDSHNKWFNGVAFTVMTALGQAKASPAQVVVHLLQPPLLPLAPPKLNNVFQGLVLSPMGL >Dexi5B01G0024080.1:cds pep primary_assembly:Fonio_CM05836:5B:26205723:26206023:-1 gene:Dexi5B01G0024080 transcript:Dexi5B01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSKQETGRGGGAGDGAGDDEAKRKEEALASSRLLDPGFKPSKLSQDRLDKFKPILR >Dexi5A01G0036440.1:cds pep primary_assembly:Fonio_CM05836:5A:37966868:37967200:-1 gene:Dexi5A01G0036440 transcript:Dexi5A01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRSPDATETSGRPAKLGIEAARRESAEGEVGRTRRRRDGSTGEPEAAARREERTPSLWR >Dexi3A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:3A:447058:447427:-1 gene:Dexi3A01G0000510 transcript:Dexi3A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSEGGGDYDHIILPGGDRFDIPEGEDKQEWIQFFDQARRATREVIARHGDGRPADGINRAAILPNSTHHDGSIYTITNGWHKQYRISDPNENCIS >Dexi9B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:9B:15232411:15237591:1 gene:Dexi9B01G0020480 transcript:Dexi9B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFSEWPWKKLGNFKYLLLAPWVAHGGYLAATKGWRAADIGYLAILPSLLLRMVHNQAWITAARVQNARSRRQIVERGIEFEQVDRERNWDDQIILSGILLYLGALYMPGGQHLPLWRADGALLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFSIPLITCALTGCASILTFEIYLIYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEETVDVVHLTHLTSLQSIYHMRPGFAEYASKPYTSKWYMRMMWPVSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGMTWEKEAINNLIEKAICEADKKGAKVVSLGLLNQAYNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVVNSIPQGIDQVVLAGNISKVARAVAAALCKKNVKVVMTNKQDYHFLKPNMPEDAAENLLFSKTATAKVWLIGEGLDASEHFKAQKGTHFIPYSQFPPRMVRKDSCTYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKIWSAALLHGFRPVA >Dexi3B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:3B:3899314:3900162:-1 gene:Dexi3B01G0005720 transcript:Dexi3B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVSVAESVPFEQQCLRDQGLDITREDPMREECIPQGPPTPEHVAGEERLDPPARPLLTYKRRPKSQAGPSRAALISPTRLDFDTVGATASPPVWAMLSPRSPSRGSKAAQRSTTQPRTQHSTPNASITEEPVALASPPRTPSTAAAMAATSAFLASITLATQSPLISAVPTEARAAFPPTAPTPGLRRSGRLASQPLNLTVRPSKKGEILAMKKLGFLEAGSNNNGDIDDARKEFDRFFGEVTDIKNFPPLRNLLPAARGLSDDELMAAARQAYTLGGGQ >Dexi2A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:2A:26116997:26118069:1 gene:Dexi2A01G0015280 transcript:Dexi2A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGAVVERLLRRLAADARRLELPSNIEEDVVHVGRTLSRLQDVLASMERRYFRICADEQEWMGRIKQIVCDMEDLLDEFEDLRGIRSQKSGSWIAKRSPQMIKFKTIYRLQRIVLEKFFIAEAL >Dexi4A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:4A:22763811:22767665:-1 gene:Dexi4A01G0018890 transcript:Dexi4A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGGLAGRRSILPVPPPRPAGQRPRKKMRLPEIQHLVVSLSVENDALRKEMKELQRACTALSKENGKLETRLDHSSKRKGVISTGNNKGKPQPDHQHAAEQEAQNGFALPDLNLPADA >Dexi1A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:1A:26797560:26800501:1 gene:Dexi1A01G0019810 transcript:Dexi1A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPARLTECAKPAVREPDGFTVWSGPPYPPGTTSPPQRISKTACSATSFSADGARLLATVASASATVYDCRTLAVVKCFELPGLLAAALSPTGAYLQTFQKSSSPQEKNVTVWQVDTAVALYQHYQKSMSKATWPMIQFSADESIGCRMMPNEIQFFDPKDFAKGILSRIRMPGIAAMQLATAPGSHVAGFVPEAKGIPASVQIFSCNKDAQNQAVARRSFFRCSTVQLHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGMVPLKKDGPVHDVQWSLSGSEFAVVYGLLLNFVSVMPAKATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSDKKLVAKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFERLYQADWKPEAPERFGDITDLTTSLSSLKIEETKKQVSAQGSKSAQTSSKAPASTASKPTVYRPPHAKGCRSSG >Dexi6B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:6B:23632219:23635002:1 gene:Dexi6B01G0016360 transcript:Dexi6B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRAHGHRNRLRRLIPRVLLLVFAVYAVSFAIYLLLHSHTPPSPPDPTPRTDARAAPSSQKPWPRLPSFLPWVGVRSPPPHTCEAYFGNGFSRRVEVLPSRGGGWFRCHHSETLGSSICEGARVRLDPSLIAMSRGGEPLEKVMGRAEEEELPKYEPGALQVEGPAPGRTAPLVDAEFLNAYVPTGGIGMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSSVTYAKNFSGSVCFRHAILSPLGYETAMFKGLSESFSCEGASAQSLKRKPDYEKTSRLSEFGEMIVASFDLLQDDIVSSKKRNGLNVLFVRREDYLAHPRHSGKVESRLSNEAEVYDAIDKWAKGFKCKVNVINGLFAHMTMKEQLRAILEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISQWKALDYHPINLQGSYARITDVINELSNILTGLGC >Dexi7A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:7A:28376760:28378725:-1 gene:Dexi7A01G0019080 transcript:Dexi7A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAMPPRRVAAPRPPSIIDTLRCTHHHLLLLLPTKHASIPRRRLSCCNATGGDRVDRRDVLLGLGGAMAAGLATPSPHVLAAPIQAPDLRDCHPPDIPDTVSGVRCCLPYLPGTAIVDFKVPPATSPLRVRPAAHLVDKERLAKYERALSLMKKLPDDDPRSFAQQWRVHCAYCDGAYDQVGFPDLDLQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPGGMMLPAIYANETSPLYDERRNPAHQPPFTLDLDYNGTDETIPRDEQIEQNLRIMYRQMISSAKKPELFFGQPYRQGYQPDPGAGTIENVPHGPVHVWTGDPRQPNGEDMGTFYSAARDPIFFAHHGNVDRMWSIWSSLRPGNNTGFTDTDWLDATFLFYDEDARLVRVRVRDCLDTATLRYAYQEDVGLPWLDAKPPTEAGSPEPATGTLPATLSTTVRVAVARPRTSRSRREKEEEEEVLVVDGIEVADHSRFVKFDVFVNASSASQGGGAAATAECAGSVALTPHGARPGEGGGGRAMKTAARFGICDLLDDIGADGDKMIVVSLVPRCRGDMVTVGGLSIEYMK >Dexi3B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:3B:4291749:4293062:1 gene:Dexi3B01G0006180 transcript:Dexi3B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVKKLHHHHHQEAAESLSLRKRMELQPELSLAPAWPGSAATAPAPPARSSSSESDGTSRKKRKHYTAGAVWEQPPASLELQLNDPLPLDWEQCLDLQSGQMYYLNRKTLKKSWVRPREQSVNLDLNISTAAIVDSSARGVVAAPGEDAEPTKRHVASAVSSGGNNMVAVPCANCHLLVMLCKSSPSCPNCKFVQPLAPATPHHQAAPPPPPPAHRRLHATVRPLETLSLLH >Dexi6B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:6B:21667613:21668104:-1 gene:Dexi6B01G0014000 transcript:Dexi6B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIQLTWAVSDLMACLVLGVAVDSPRPPAKAFPSSSSSSSNGSRSGAAPPGCCLEHAMQVSIAFGSHDVVGGRERTRGEAPRVCNRTGTRACSVYKTQALERRRALMADWDSAFEDGVAVGGYFTFSSGGSVAPPLFTELGVKGRCRCKIHKWVQQNSQRQN >Dexi2B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:2B:7665316:7667413:-1 gene:Dexi2B01G0007330 transcript:Dexi2B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLLNFCVISVQVSSEENDGQLGSPKAIPVASMPLVDVHVDSAKTAKKDGLKANGSSKDRSGNSTSVSTQDSNMKIPISQTSGGTESDVSSQAKPSSKKPAVRKKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPNE >Dexi2B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:2B:7711155:7712083:-1 gene:Dexi2B01G0007390 transcript:Dexi2B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVVTSAESTAACDHDGCTDLTYAAAPVTCGHDMDVPEMMLYLTLRSSTSSPDSDAMGDHAARMSTPGALMSGLSTPAYEKAVVLSSYWVLYMTAPSAPVLYMADELTVHGETLLTVPRMGPLLPAETEVTTPRFMAWKAPTERGSSG >Dexi2B01G0029250.1:cds pep primary_assembly:Fonio_CM05836:2B:37701665:37708941:1 gene:Dexi2B01G0029250 transcript:Dexi2B01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPQQAPPSQAPPPPPPAPPPTAAPAPVPGPPVSVQPPLIQPKPPPPPQQQPQQAGPVSGPQPPTPAHLVNLGPQPPLYKGPICWNAYCKDPDPNSFGRRGWKVRSGPPFSVYADLCGRCYSQFEQGIYCETFHSEEGGWRNCETCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMRRYLIGKHYEQAYFPPISQPEGLPLKVQDASGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLIMGFRKATNISSEQVCTLKSKQQSLPMVPHQLQRQVEPPVLGRKTYFVTDQSGRFVGSNTRISGPLLRRIYALLPCGLGGVKKPKTKTEGEAIDASDGLDTLANLAILGEGESLPSQQTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRATTDRKKDSGSSLASTSASGAGSLKKEEKADRPESMAVDRKVTSSPVKNHIDLNSQPERDDEQSPKSGAAGALSRDNPT >Dexi1A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:1A:24200959:24202733:-1 gene:Dexi1A01G0016940 transcript:Dexi1A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSLLDPLFRSAENHAASVQEPQAAASITTTSLSCPQPHTSGASGRSLSSVSRIWARLEFDWGYTYYIRLDHQGSFHTYPDLGGPYQSLKEAHKAIDRHLDEVRDPKMYKFLNLFFVDEGQPCNGCTTPGNYDMRHPDTSIKLAAGYSDPRCQFGGYIQVPECNDSEDEDTYLREREAELRHMYKVNGMFSAVL >Dexi9B01G0032680.1:cds pep primary_assembly:Fonio_CM05836:9B:34852713:34855854:-1 gene:Dexi9B01G0032680 transcript:Dexi9B01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPIKMKRGLLILWSLFHFSAANTATTNQANQPLEFDHFKVTSKYVQGEQDSTPKNGTSPLVHRGPKNNLKEQDKIVSMPGQTGIVEFDQYAGYVTVDAKAGRALFYYFVEAVQDPLGKPLVLWLNGGPGCSSFGSGAMLELGPFSVRSDNKTLYRKRHAWNRVANMLFVEIPAGVGYSYSNTTSDYYNTGDQRTTDDAYTFVVNWLKKFPEYQDHDFFITGESYAGHYIPELANLIVSKNRANNTSKIKLKGVAIGNADLDDNLTLRASFDYYWMHAMISKKAYGAIKDKCGFNGTYTKDCDNAMDLATREKGNVDDYDIYAPTCHDASNPSRSSDSLVFGDPCTNHYVSSYLNRPEVQKALHANTTGLDYPWMDCSSQHVFDNWKDSPETMVPSIKKLITSGIRIWLYSGDMDAVCSFISTQYVLDVLGLPIETSWRPWHLDNEVAGYVVGYKGLVFATVRGAGHMVPYYQPRRALALFSSFLEGKLPPQ >Dexi4B01G0023030.1:cds pep primary_assembly:Fonio_CM05836:4B:24531476:24531737:-1 gene:Dexi4B01G0023030 transcript:Dexi4B01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCARKLIRAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTNRGPALSLRGGGATMRSNTLTPT >Dexi1A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:1A:26769833:26774297:-1 gene:Dexi1A01G0019760 transcript:Dexi1A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRNRLVVPSPRSVSRAYDKHRSKMSSKFRALNVFLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVMKVIFAIVMLIIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRKFSVIQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPADLLELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT >Dexi6A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:6A:246405:247015:1 gene:Dexi6A01G0000380 transcript:Dexi6A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPSPVADILLSGASDVALAPYGHHWRQARKLVTAHLLSATMFYTYRTSEITNNTPKGQAMVKEENLHNITYLKAVVKETLRLHPPAPLLLPRISMEKCDINGYTIPAGTHVIVNVWALGRDPMSWDKAHEFMPERFNSSMVLRRPLLTSNEEISSFYRLELGEGSVLE >Dexi2B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:2B:13020442:13024122:-1 gene:Dexi2B01G0011390 transcript:Dexi2B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERLCYWLLLLVARFSLSATIAAAGKVSALIVFGDSTVDAGNNNFISTIAKANFPPYGIDFDGGVATGRFSNGRLVTDFVSEALRLPSSVPAYLDRSYTIDQLAMGVSFASGGTGLDHLTAKLTSVIPLSQQLEYFKEYQERLKRAKGESVANKIITEALYIFSIGTNDFILNYLVLPLRPAQYTAPEYVAYLIGHADAAVRGAYDLGARKILFVGLAPFGCVPAARTLNRDEPGECNEEYNQLAIKFNAELQEAISKLNGDLAGAQVVYIDTYNMASGIVANPLDYG >Dexi1A01G0021930.1:cds pep primary_assembly:Fonio_CM05836:1A:28662708:28664085:1 gene:Dexi1A01G0021930 transcript:Dexi1A01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPSSSSASSTQHQPPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGVRLSAEPAACPAPPSEDENSSGGSSFCYSAGDAAPPSSTAPATSSHGSDSSSISEYLTKTLPGWHVEDFLVDEATAAAAASNIGFSADASYQVGDVPALTHCHDSKRLSIRGGGLGYSAWMSQEQMFGDAAATGDVRASRERWVPQMMYGGAELAVAGNKRSRTASAAAAAAYSYW >Dexi9B01G0026240.1:cds pep primary_assembly:Fonio_CM05836:9B:28040516:28043377:1 gene:Dexi9B01G0026240 transcript:Dexi9B01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPDAARVLAVTRVVPVPPPPAGSLAGRHHENLSFLDAPWVATPPVQQVFLYELAGGHEFPALVRRLKESLAATLALYLPLAGKLAYAAETGDVVIDCSDAGVDFFEAEAEGDVTNAPAFVTLLPEHDARVLPAPVMRVQATLVGVRGDSGAGLALGVSLHHAIADGHGMALFMGSWASACRGGSPVLTSLGPPEHSRVAVAHVHPDGEALARQVLKKVAPDLPVATVAEGYLSHRSRLAGQMFSLDADHVRLLKRRIDDLASRSAATATSNKPVSTFVALSALCWTSFVKAKGLAAGDDTHLVFQVDLRARLRPPVGVGYSGNCVRGCHASADAGELLGETGLLHASMAVQAAVAEVVTAPFAQLGNWIERVGRLPPSRVAIVGGSPLFRVYNFSDFGFGTPRRVEPLSMAGSPWLQTQHDAAGSGSGSGNGEGTIVLCGGKRDGDVQVSVSLDPKRAQAL >Dexi6B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:6B:3009764:3010362:1 gene:Dexi6B01G0003670 transcript:Dexi6B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDGASGGAHTAGSNKKNSKTRWPEVVGMLAEDAAKVIKRDMPGATIEVMSSHEPASMDFLPHRVRLFVDTVAKAPTVSITRMQLLLHHTPLIAELGLAGGKSSWPEVVGMSGEEAQEVILSQKPGADIEVVPFGTPVAGDLKANRVRSTPSLRRHSSAS >Dexi9B01G0039420.1:cds pep primary_assembly:Fonio_CM05836:9B:40115993:40118301:1 gene:Dexi9B01G0039420 transcript:Dexi9B01G0039420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPSVRRSNPQIYYPTLHCIPNRSIHPPLKHKSTRKGDCWHLKQERWKTTPSVESWSIGYTVLVHTLHFCCVPLNILPRSSCSLFLHARKGVVTRPAKRARSESPRAIRPWTARAGERKENEHLSPRSPTPHFCRGGKEMPATNKRRERKGWMMMEAQHRRSSEHSLLRSIRHCKRGQQLDGTHMSAKEVSQSRWGTDEKYGRSEQGSHGWQGGTGCGPGRQALCGRDIIAESRRSFSRLPRRTILLFLRDWQFGWGHCTACRALRLLIAGVGEWGRPDAVHHGFTAAAAPGA >Dexi7B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:7B:16932384:16936183:-1 gene:Dexi7B01G0009310 transcript:Dexi7B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGASLLSSGGMADYGGGLTVPVVLTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPGLLKKTAHANQDVYCIYNNQALTAFTSSLYAFGMLGTLVASRVTRRVGRQAIMLAGGSLFLAGALVNAAAANIAMLIVGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISIGYLIANLINYGTSRIPGWGWRLSLGLAAVPAAVMVAGAALITDTPSSLVLRGRHDDARDALQRVRGKGVDVGAEFADILAAAEHARRNEEGAFRRILRREYRPYLVMAVEFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMDIGGILASGFAMDRYGRKLLFVIGGALMFTCQVAMASIIGSQLGNGSKMAKGYAVTVLIVTFVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVAINLGLNFLQAQFFLAMLCCFKYGTFLFYASWLVVMTAFAVALVPETKGVPLESMGHVFARHWYWGRFVKDQKVGDEST >Dexi7A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:7A:28192350:28197132:-1 gene:Dexi7A01G0018900 transcript:Dexi7A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPFLDQDVDETSFGEKETRRLLCRSTSRSGRQDRHHEARQCKVEAGGQAGKGMGARPYVDEAGCNPPTPAPHAVVDECGFLCVCVDGTYVTFADYPGQHFPRCNGVCGPTDGRGCVVHNADGSANEDRRN >Dexi5B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:5B:6727425:6728283:-1 gene:Dexi5B01G0009680 transcript:Dexi5B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGPRRSAMWQRRGPDPCRRLQRLSAPPSSRRRRLRTSPAADVACSSFSPPPAAPLPPRRPLLVLVPARARGGGVGPSEGGEGHSAGLRSPANHAGEWTATRTLASAAGRLAR >Dexi1A01G0031420.1:cds pep primary_assembly:Fonio_CM05836:1A:36401624:36415732:1 gene:Dexi1A01G0031420 transcript:Dexi1A01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVIAHADAWFVIKNPKDHQIAPTRSGRVESPDCPPWARNGITSFVVPPPLTDTVGPGEVATKGSLPLRVDAPPPRRRAPPSEFAPPPRREALTGVAAAATAAAAALPGRRGAEIRPGLARSAVMAAQASIAVGSQVWVEDPDVAWIDGEVIKVSGDTVTVKCSNEKTVTAKASNIHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYVSYNTYTGNILIAVNPFRRLPHLYDTQMMQQYKGADFGELSPHPFAVADVAYRLMLNEGVSQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEERERYKLGDPRTFHYLNQSNCIKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGADGDSSKPKDEKSVFHLRTAAELFMCDEKALEDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINNSIGQDPDSKCLIGVLDIYGFESFKTNRQVYRALFCWCHHHSFISLWFLKEILTLYFCSLQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKHSILLQKPGGIIALLDEACMLPRSTHETFAQKLYSTFKTHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCAFVSGLFPLLSEDSSKSSKFSSIGSRFKLQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEISAVRRLLEKVDLQGYQTRCRQFLARLHYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQEVQQQYKETKEMLVQEREAAKKAAEIAPVIKEVPVIDTELMDKLRDENDKLKTLVTSLEKKIDDTEKRYQETSKISEERLKQAMDAETKIVDLNMAMLRLQEKISTMESDEKVQRQALLSTPVKSMSEHLSIPIAPKNLENGYHEVEEQKEPQSAPPAIKEYGNGDPKLRKSSADRQLNEDDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNIKKELSSLISLCIQAPRTMKASMLRVSGRLSGQSQNQSNHWQKIIESLDKLLKTLQDNHVPPVLAQKIFTQIFSYVNVQLFNSLLLRRECCSFSNGEYVKSGLAELELWCAKATTEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSMQEKDFSDMKPAEELLENPAFQFLQD >Dexi5B01G0034030.1:cds pep primary_assembly:Fonio_CM05836:5B:34295129:34296347:-1 gene:Dexi5B01G0034030 transcript:Dexi5B01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLPRAASFLDAAALTPLLLRFPSMPALLLAGSPFLAARPGVNPSRICSPQWLRCDGARRELCSAEAGRRGGGTEEEREKGGGGRAVPDRRQRGRSDALVGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNAKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDGSKLPKIGG >Dexi5A01G0034870.1:cds pep primary_assembly:Fonio_CM05836:5A:36844775:36845062:-1 gene:Dexi5A01G0034870 transcript:Dexi5A01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKTIKERRTRSYSRCSSTGGSAHGGRFGAEDGGKQWGAAAPAESEMHRRHRSLEELAGEVGGSPVWRKPGAMTRGRSVRIFSCIGGM >Dexi8B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:8B:21789670:21790274:1 gene:Dexi8B01G0012410 transcript:Dexi8B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKHNGSVRAMLLAPLLVALAVVAAGGGGVAAQHASGVTAMVTPYSSAVAWWDLRAVGAYCATWDADKPLIWRQKYYWAAFCGPVGPQDDASCALCIRVTNDATGAQVTVRILDKCAFGGLGLDPPAFQEIDTDGHGAVNGKLSVSYEYVDCQD >Dexi7A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:7A:15637466:15640076:1 gene:Dexi7A01G0004700 transcript:Dexi7A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKKEEVEKPKEAAAAPAEEKPKEAPAAEEKPKDAAPAEEKAKEGGGEEKKEEAPPPPPPPPEEVEMRVYMHCEGCARKVKKILRRLDGVEDVIADSKAHKVVVKGKKPAADPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKEPEPPKPEEKKEPPVIAVVLKVHMHCEACAEGIRKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVPPAETAAGDDKAKEEKKEGGGEEKKDESKDEKKDGKEGGGGEEKKEDEKKDKEGGEAAAGDEKDKEKDAAAIVAANMYMHYPRYAFPGGYYPPPPLPPPGYVYQPAYPPPPPSYAMHHQTVAPQLFSDENPNACSLM >Dexi3B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:3B:11673039:11678926:1 gene:Dexi3B01G0016120 transcript:Dexi3B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAATAKPIALPPALIRGGGCCCWTARPVTAGVARLRVSASAASSDVPDFLSSNWLETRKRKPFGPRLNFTAEEAVEYQLESLKYNDQPRQDYGIEVMYRDLTPLKGRLTLDDNLILDRTDLNKEFGYKVPVQRKKKYFSLQWFSDRILVPRGEVAAMAEAKTEQAPLRQRQTTTAATTTTASSGMPDFKQSVKLKYVKLGYHHLITHGAYLQLAPLPGLVAAHLSTFTLGDLAELWQSLQFNLVSVLVCSTLLVIVATAYVLTRPRPVYLVDFACYKPDDERKCSRARFMNCTESLGTFTPENIEFQRNIDVPGRGHVPPGGGAQHPSPPNPSMANARKEAEMVMFGALDELFAKTGVRPKDIGVLVVNCSLFNPTPSLSAMVINHYIEFKPR >Dexi5A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:5A:21452509:21456444:-1 gene:Dexi5A01G0017980 transcript:Dexi5A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSKKVPDAEGEGDWMSLEPCGGVNGRCTGCCVSVTGDGAGQALPQRPEPPLAAFAISGQLSLLLSDDSCSSWINSGDKVFRFGVVREGSMAGGLKGLSDLIPGDDWVKVSGSGSSRRAPWPVVLEECMAAAVGTAAWSQEANDNRRQVPTAGTSGFLGAQNAWDMLSEEQSQKHITTGSGDLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEHFPHSHEKSSFAQKQLQPEHFLADIYYFRVCSYTEQIAAINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKIAKAYNLAVVLLNQVTTKFAEGSFQLTLALGQLSFIQL >Dexi4B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:4B:11569521:11571683:-1 gene:Dexi4B01G0013230 transcript:Dexi4B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLATMVVGPLVSMVKEKASSYLLDQYQVMEGLEKQHKLLKRKLPAILDVIADAEEQAAVKREGVKAWLEEVRNVAYQANDVLDEFKYEALRRKARKEGHYKELGMDVIKLFPSHNRVVFRHRMGNKLRMILQELDDLITEIHAFRFKFRPGLPGPISHLRENSYDIIDHKNIARTSRAIEKQEVVKALLDKASNPDLTIFPIVGMGSARALRIKGGSMSRFMLGLELWSKQVSFLKPRCLHRLRYLDLSNSDIKSLPEDISILYNLQTLNLSYCENLEDLAKGMKHMTALRHLYLHGCEELKSMPADLRRLTSLQTLTCFAAGNGSGCSKVGELVASS >Dexi9B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:9B:12674448:12678291:1 gene:Dexi9B01G0017900 transcript:Dexi9B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGSTPPNGSAPATPGTPAPLFSGEARIDSASYDRKSNQSMPRCRCLPAVEAWGLATHTCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVSVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGALAGAGVYTAVKLRDENGETPRTQRSFRR >Dexi8A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:8A:22045016:22047127:1 gene:Dexi8A01G0012500 transcript:Dexi8A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHDLLPPPSSMFFFFMLFFLVSGVLTPPPQVRAQQPYGKEIDDCTNPHNSSAMLGYFCNTTPSCQSFLTFTARAPYSNFSSIASLLGTSAATLAAANDLAAGDSAAVPEGTTVLVPATCGCTSTPEGRFYQHNATASSYVVKHGDTLQLIAKGTFQGLTTCQALEAQALHGAPPESLLAGEVLPGVLPLRCACPTAAQAAGGVRFLVSYVVAESDDVSQVAARFGVDAGDVIAANELQSPYTIFPSTTLLIPAASQPNASRIQTPPPPPPPSSVGVSPAPGKKRSSHVGIYIGVAVAVVAVAAIASAAAFLALKSRRKRKLAAGERKLPKKEGKVNDTSTNTTSSAFFTGDDEFSLSTSEAFTSISVADIKSSLRVYTYGELKSATDGFSHSHHVGGSVYRAEFAGDVAAVEVVDDRNVSAEVEILRKINHLNLIRLVGLCHHHGRWFLVTEFADHGALRDRLLLSGEGSPAAALTWPERMQIALDVAEGLRYLHEYARPKCVHMDVSSGSVLLAGGDGDGAHLRGKLRSFGAARVITGAGEDGEEEEEALMYTMTSRIAGTRGYMAPEYLEHGVVSPKADVYSLGVVMLELLTGKVAEELVGDGVGDPFVALRELADELDGGGDAVLQRLEELVDPAMPAGSCTVDGVVMMVRLIERCVRREAAGRPTAGEVVRRLLKISGVEVVRWGSAPESPRSPAR >Dexi1A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:1A:3407739:3410962:-1 gene:Dexi1A01G0004680 transcript:Dexi1A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDVEMEPAPEPLAQPPAPTPAAAAAGEGWSMLSRARELLQDGKPSLALQAVLLAIRSQGGEQALIQTMNRARELYAQRLQGTPSVDELASLLAQCAIAEAQSANTNPPQGPGSDPVEMLNSDEACILAVSGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGTA >Dexi9B01G0025630.1:cds pep primary_assembly:Fonio_CM05836:9B:26732489:26734915:1 gene:Dexi9B01G0025630 transcript:Dexi9B01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVHMASESGNGNCNAWAAKDPSGILSPYKFNRRHEIAGVVTEVGSDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENYCPKSVFTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPVDYPLAKAAPLLCAGITVYTPMVQHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKRDEAINLLGADNFVISSNTKEMESLKNSLHFIVDTASGDHPFDPYLSLLQVGGVMSLVGFPSEIKLQPVNLNCGARTLSGSMVGGTKVIQEMLNFCAENKIYPEIEVIKIDYINEALARLVNRDVKYRFVIDIENSFK >Dexi7A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:7A:14509305:14511261:1 gene:Dexi7A01G0004130 transcript:Dexi7A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLAAPPFLPSSPPAPARARRSRTTRPFPRVACAYALQEGQSRRFHRLPCGLDLEVIAQPPPAPGERPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPQEAVAGTLETHTGDIADFIQKEIPSPPVLIGHSFGGLIVQQYISCLQDSEYMHQKFVMINLRFIQSISDRTFLILGSEFLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAIKVNGSALLVYYTFI >Dexi5B01G0034150.1:cds pep primary_assembly:Fonio_CM05836:5B:34409370:34415102:1 gene:Dexi5B01G0034150 transcript:Dexi5B01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGATVAGVVVLAALAVVAAAGRDWGTEEEDRGNGTAGRGEVTYDGRALILDGARRMLFSGDMHYPRSTPQIWPGLIAKAKKGGLDVIQTYVFWNAHEPVQGQYNFEGRYDLVKFIREIHSQGLYVSLRIGPFIESEWKYGGLPFWLRDIPNITFRSDNEPFKRHMQKFVTKIVNLMKDERLFYPQGGPIIISQIENEYKLVEAAFHSKGPPYVQWAAAMAVNLQTGSVHGSHDKPGNIILQAPISVGLSGETNKIYTYEGSSRAEWTTIDKSMHLPLIWYKTTFDTPWGNDPVTLNLSSMRKGEVWINGESIGRSM >Dexi3B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:3B:5631820:5632020:1 gene:Dexi3B01G0007990 transcript:Dexi3B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSTPPFANGGSRQLFARVVPSAARATSPLHPRSATLSVATDAAERCSKPACHGTLTTPPNRKL >Dexi9A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:9A:2439330:2441495:-1 gene:Dexi9A01G0004500 transcript:Dexi9A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSLSLLALPRAPRQFAATSTPPLRSTRPGCSHLIQPGRRRGAGRASSVSVSAVAPRANETPAPSSSAPEKDERFDWLDQWYPIAPICDLDPGAPHGKTVLGLRVVAWHDRFIPQAPALGPPVHKNGKACVASYPCVVQNKILWFYPRTEPEHKDVLQRKRPPYFSEIDDPSFVTFTGHHCCSLAVLTFCRPLIGRVDSFPVEHDQECGDPITMKIDQANVHGFLSTMKGGSIRFVAPCTFHGKTATEVYADGKAAAWFMLVVFCIPVAPGRSRLIWAFPRNAGVWLHKIIPRWLSHSVTN >Dexi7A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:7A:23385588:23388304:1 gene:Dexi7A01G0013250 transcript:Dexi7A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSSQKDAVGGPRRRPGSVGDVVVFLPGLRVPRSVDFAQALGGRLDSVIVERLSALRARVVEMAMQESAAALKPRRKTAARHGSSTANLLQALEDYLPVLLGLVKEGSLFRHAVRFTWTNQEDKAEETTMADAWYEVLSVLHLMAMVCLLQANALLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIRHVLTQLPPELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWQQVQESIPELPVSDGWGKKHRLFIKWKYVEAKAAAYYFHGLILDEGNTEKAHGMAIAALQASEEFLKESKRVSEAFHSTPPTSRSPTPFGTAKYLADKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALTPEDYDLPPLDPLWNKDDRRQ >Dexi8A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:8A:26048316:26055037:-1 gene:Dexi8A01G0015110 transcript:Dexi8A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIEISKTAVETLVNKVKSAIKEEAEKWQIVERDIVFIKDEFEMMQSFLNTASRESMKNQVARTWVRQVRDLSYDTEDCIEFVIHLDTKRLFWRRLLRSNMVQTLLPLDQAVAEIKQLKARAEQVNQRNMRYNLIGNSGEQEQQTSAPSKSSMDIFFKPRDAFDKHNSFSDLTGFLNIEEQDLQVVSVCGTGGDLGTISIIKKAYDDPDICKKFVCRAWVKMMNPFNPQEFIRSLLAGLYSPQEQGACVGVNVLASMKTTQDSILVDFMTRLQKNKYFIVIEDLRSVVEWNTIRSLLLDMNNGSRIIVSTQKLDIASLCTGQPYHVSLLREFSTDHSVYTFYKDLRKIPQDNKQIDCTCESVRDEDSKNWQEKHWQEKHSLFGRNSELNKLFQQLVINYGERLIVMSVCGIVGVGKSFLFQAFCNHHASKNAFMFRAKVNAPHPFDIMNFCRNLVLCFKLPSPDDETLIVLCWKHLEENQCLLVIDALWSKEDWDLIEANLISRDSKSCIIVITRDESIARHCAMSEDAMCNVQGLEADAALRLFEKDFPQVLKKCIFYLKVFTQSSTIRRSRLVRRWIAEGYSVGTDSNSIVEYTEKLIHELATLGMMEHAPETPTVAGDRTSCQINSLFLDYIISQETEENIFLPLEVSVLLGESGLSTERAGQHLAIASSWKRDKFVFDSLDFSQLRSLTVSREWRTFFISDRMRVLRVLDLEETNVKDEDIEQIVKQVPRLKFLSLRRCMSLSCLPESLGDLRQLETLDIRHTPVTKLPKSIKKLNRLQYIRASTRVEFAGHEPSTQRRSIHGHLDVCDGIVVPRGIGSLTALHTFGVLNISARGGKAILNALKNLTQLHKLGVSGMNRSNIKGFFSAIATHSHLQSLSLQLHKDKDYDWLDSAAWSTPGQFCELKVLEIACSSNLHVKFAEREMNDLELLKVHRLDGSSLQLSGIEHPSPAFAQTCLAQGFI >Dexi5A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:5A:19494732:19506435:1 gene:Dexi5A01G0016840 transcript:Dexi5A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATGRVPLYRLFVFADRTDAALMAVGALAAVANGMAQPLMTFIFGDVIDAFGSAVSSNDVLHRVVKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAIAGATVSRLMTRLSTRMQAKYGDAGNVVEQTLGAIRTVVSFGGEKEAITTYNKFIRKAYESALQEGAVNGLGLGSLGQATPSVTAFAEGQGAAYRMFKTIERKPDIDIYDTTGIILEDIKGDVELKDVYFSYPTRTEHLVFDGFSLRVPSGTTMALVGESGSGKSTVISLVDRFYDPQAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTLDDIKRAIELANAAKFIDKLPNGLDTMVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDMESERAVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKIPEGAYSQLIHLQETRQEEESVDPDMIVTNGFGSTSISNKPRSQSISRRSTSKGSSSFGHSGRHSFPAPLGLPDPMEFSEGPDVEDTITSAPKKAPIGRLFALNKPEVFVLALGSITAAMHGVIFPLYGTLISTAIKVFYEPPGELLKDSRFWASMFAVLGACAFVLIPVEYFLFGLAGGKLVERVRSLTFQSVMRQEINWFDKPEHSSGSIGARLSTDALNVKRLVGDNLALNVQTLSTVISGFTIAMVANWKLALIITVVVPFVGFQAYAQMKFLKGLNRDAKLKYEEASQVATDAVGGIRTVASFSAEKKVMDAYEKKCESPIKQGIREGIVGGLGFGFSFLAFYLTYALCFYVGAKFVQQGAATFPEVFRVFFVLVLATSGISRTSAVGADTTKANDAAASVFEILDRKSKIDYSSEEGVIIASVRGDIDFQNVCFKYPSRPNVHIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDPDSGKILFDGLEVQTLKVSWLRQQVGLVAQEPVLFNDTIRANIAYGKQGEASEEEIVAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIRGADIIAVLKNGAVAEKGRHEELMRIKNGTYASLVELSSSSV >Dexi7A01G0021910.1:cds pep primary_assembly:Fonio_CM05836:7A:30381057:30382559:-1 gene:Dexi7A01G0021910 transcript:Dexi7A01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQICQVRLFLSEDSPSQAGLRPQENLQAKGSWLMHAAGPTSDDSLPPGFESLQATNDHKIDISQIPLIRWRCPPQILYNPEWLVVAGEESVETALQNERIFGALEAIYPRPSNIPLNPFVSPDVKDSHFDDSRTLLVPLIPVEEDDASDQLEEPHVGPPISYHQSDKYESAIVRVSQASDAPFTTAQQQPSGSINTASAARISTEPDAVAAASAAYTAIMQSNQMGNMIDQELLIKILSDPAQLERLMKEYGTLKNEQSTNSCVPAPIPPGPPPQLTASVPVSFPVHMTTFHNINPTLRPPPVMSQLPPAIPSVGMNPPASSSQTVNLSNGPGRGISYYKTLIHQHGGERQEPPQQHGTQFAMHHHSVSSQTSAIDVVSNGTLPGRETKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGSKRIKLDSRIAGRY >Dexi2A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:2A:1265408:1265637:1 gene:Dexi2A01G0001750 transcript:Dexi2A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILKEQCLHTDITLEQLEEIMLPKAIDFFRQNAEAMVHQMLWKSEHGREAMHEHTEIKHENTENFWES >Dexi6B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:6B:26774582:26777413:1 gene:Dexi6B01G0020230 transcript:Dexi6B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAPAAAPPAAPLLLPRRRAAYPVATARASRAVAVRAQPDTTSAASSSTSAPESPPPEFKAPPGFKTPEPKRFEVKDGQQGSVLGASLAIPLRLGTGVFVLGYSPSFVSPSEIPSDQYALEFGSWKVKEESKIGQCKRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDDIIKYLADTYGDGSVPIMLSLGLLTAITAGLATLGRLGRGNSYTASKIPPKPIEIWAYEGSPFCRLVRETLVELELPHLLHSCARGSPKRQEFFKKMGTFQAPYIEDPNTGVKMFESAEIIQYLKATYALYPSS >Dexi9A01G0048070.1:cds pep primary_assembly:Fonio_CM05836:9A:50918031:50921901:1 gene:Dexi9A01G0048070 transcript:Dexi9A01G0048070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDADAGAESTGAQATLHIRCANGSKFAVRADLGATVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPPAQTAPAANQETPTNAPASSPAGGLGGLLQGLGSTGAANSGGLGLFGSGLPELDQMQQQLAENPNLMREIMNMPLMQNLMNNPDLIRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRNPNPFAALLGNQGSNQARDPAANAPTTASDPAAGSPAPNTNPLPNPWGSSAGAAQGAARPPPASNTRSATAGGLGGLGSADFGSMLGGGGSDASLLSQVLQNPTMMQMMQNIMSNPQSMNQLLNMNPNVRNMMESNTQVRDMLQNPEFLRQLTSPETLQQLISFQQSLMSQLGQQQAGQERTQSGTGAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVPPEELYATQLSQLQEMGFFDTQENLRALIATAGNVHAAVERLLGNLGQ >Dexi3B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:3B:3105419:3105634:-1 gene:Dexi3B01G0004570 transcript:Dexi3B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSRHAAAAVAALPDDLIVEILARLSAKSLYRFTCVSRAWRALISDPANRRRFAQTLSGLFVSLPDGPL >Dexi5B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:5B:10532145:10533150:-1 gene:Dexi5B01G0014160 transcript:Dexi5B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVNHGVPNEVIQAVKRDIVEFFKLPLEAKKLHAQVPGGIEGYGQAFVFSDTQKLDWADMIYLMISPKEDRDPRFWPTHPPSFRSTVDVYSAETARVAATLLRSMAAELGVEPEPLMEAFRGQPQSMRATYYPPCRQAGDVLGLSPHTDATVVTLLLHVNDVQGLQIRKDGRWLAVDPLDGAFVVSIGDILEILSNGRYKSIEHRAVVHPDKDRISAAMFHQPCANTIVGPLPEIVKKDGGEARYKSVDYMDFMKSFFVAKTDGRRSHMDALRI >Dexi9A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:9A:3176392:3179420:1 gene:Dexi9A01G0005700 transcript:Dexi9A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSHLLLIFVSVFLVLLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRRSTDFCGGAGGFMGPNGSAAVLCYGDTVTQLHIAGAPGSPPLPSNFSIGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLSRLAALEIVNVSGNYLYGDIPRGMSRLVGLQTLVLDGNMLGGELPAWIGTALTSLAVLSLRNNTFHGAVPESIGSMPSLRSLVLASNNLSGDLPDMSRTTNLQAIDVAGNSLGPAFPKLGRKVVTVVLSRNRFAGGLPEALGLFYLLERLDVSWNRFVGPFTPALLSLPSIRYLSIAGNRFTGTLSDKMPCGENLQFVDLSLNLLMGSVPICLRSPERKPATVVLVSTNCLDSSDGSQHPSPFCQNQALAVGIVPGKERKNIGRQAGVVAGIVMAILVAVSAVGLIVFFSVRKAATKGSKTRTLAPSEEESSSTGYPSKMLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSHGEMYRGRLGNGTTVTIRTLKIKRTQTTQSFNRHIETISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPPLVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSEGGTGNKFQSDSPMYGDKTDIFDFGVILLEVVSGKTITSMYEVDILKELLAWAIADEDRVRRRSFADPAVSKGCSDESLRTVLEICQRCLAKEALQRPSVDDVLWNLQFAAQVQDDWEVEAWSSGGGSPVSSSSRASRVARPSPLNLSR >Dexi1A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:1A:11699045:11705336:-1 gene:Dexi1A01G0012180 transcript:Dexi1A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNTNTSGGGSDKEEKKEDKGKGKDSSEPSFKEGDRVLAYHGPLLYEAKVQRIENLEDEWRYFVHYLHTFFLTYLYSWDEWVANDRLLKLTEENIRKQQELDKNQVVDKSVKSGRSAQHKVKGSNDAKADKDDTKSLVKGKKRKSQPGTDVTIVTTTSLVTYELLSLKEKERRSSESLLVSQFPITLKKQLVDDWEYVTQLGKLVQLPRSPTVDDILKRYLEHRAKKDNKVNDSYAEILKGLRCYFDKALPAMLLYKKERDQYAEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLLDILKFLQKNQSTFFVSSYDIERKGTDGSKSK >Dexi9B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:9B:9114004:9115681:-1 gene:Dexi9B01G0013580 transcript:Dexi9B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTETLFNPYALSCGHLFCKACACGAASVYIFQGVKSAPLDAKCPGQRLLEAETARRANHYGEAVQRILGLTGHAIIGDLKSIFLRIQLF >Dexi5B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:5B:12541311:12543342:1 gene:Dexi5B01G0015360 transcript:Dexi5B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLANFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >Dexi9A01G0028980.1:cds pep primary_assembly:Fonio_CM05836:9A:33719569:33721909:-1 gene:Dexi9A01G0028980 transcript:Dexi9A01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGYTAPSAGGVEYGGRATPFLILSCVVACCGGFLFGYDLGVSAGLVATLVASSITRRFGRRASILIGGTFFIVGSVFGGAAVNVPMLLLNRILLGIGLGFANQAIPLYLSEMAPPQYRGAINNGFELCLSLGILCANILNYFVVKIKGGWGWRISLSMAVLPAAFLTMGAIFLPETPSFIIHRDGDTEKARVLLQKLRGTDSVQKELDDLVSACNHSRTTRHPFRNIFKRKYRPQLVIALLIPFFNQVTGINVINFYAPVMFRTIGLKENASLLSSVVTRLCATFANIVAMILVDRSGRRKLLLVGNIQMILSQFTVGAILAAKFKDNGQMDKDYAYLVLIIMCVFVAGYGWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVTFLMTFVISQTFLATLCHIKSATFFVFGGWIGLMTIFVYLFLPETKKVPMEQVKHLWGKHWFWKKIVGEEHEEEKQAGTILQ >Dexi9A01G0045160.1:cds pep primary_assembly:Fonio_CM05836:9A:48740336:48743168:1 gene:Dexi9A01G0045160 transcript:Dexi9A01G0045160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSIQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEAAKNRHILEVVPGFEQAIQTYAIHLLSLTYQKVPRPVLAEAINIEGLALDKFLEYHAANSGWVIEKGGQSQVIVLPRNEFNHPELKKNTADSVPFEHVTRIFPVLS >Dexi1A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:1A:598466:599692:-1 gene:Dexi1A01G0000930 transcript:Dexi1A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVTQILVLLSVKSLVRFRSVCKAWRSIFSDPAFIRAHLHHSTAKCQDDTCFTISPHTLAYRLPGELNRWPTTFSTHLRFYQWRLPPQGKRHRPAAAKFLHDKDFPYQFAQVLHFAHCDGLVFAPTNTKLFVFNPATRDAITLPTTGRGNLTPDRRTACYCNGIGFDRRTGKYKVVQAFYRDVTGHTSMGMDVFTLCSRRSRVAGDGGWWREMASDPPYPLYRCQTAVTIDVYMSWRLDANNIASSSDAPWPRGLVHLSLEDEAFGITMLPDKLENEDGFMMDVLRGGRELCLTAFTSETVLTIWTLAVAEEGVESSEWARCYLVQSSFLCHTLALPRRVHDRIILWRDCTIYSYDMETHKMTTPCDMGRMRYQGRRERVWEDLYFVNVNPYTESLVPVNNTHNLV >Dexi9B01G0023510.1:cds pep primary_assembly:Fonio_CM05836:9B:18866950:18873694:1 gene:Dexi9B01G0023510 transcript:Dexi9B01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGRMKGVEGAGGGAVDEEERNEEVRVEEELELALSLGRRGWHLPVRQGPALRFVNWTAVLPEWNPDVAGSSRAAEGASSGQPIPSLGFHDMFGGILDPPRAVGSVEALWDNLDEEDEDRDLQNKRLRVRRFGEESPQHSGSSATRFGSESSFLPIPDENVHFKMSRFPEHELEFGLSLFPNDGGSESPKGANNELIDAENSGGINSEDVGIKMDFSDDLLHLIFSFLGQKDLCRAGVTCKQWRSASVHADFWKCLIFENTRISLQNFVDICHRYQNVYVCSYLSRLSNICFSPLSRCSQLQILSLRRTSMAHVSLNCPQLLELDFQACHKLSDTAIRQAATACPLLTKLDMSSCSCVTDETLREIASSCPNISVLDASNCPNISFEALQLDNCSLLTSVSLDLPNLKNISLVHLRKFADLNLRSPVLSYIKVSRCSALHRVSITSTALQKLVLQKQESLSSLTLQCHNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSIVELNSSSLVSLSLAGCRSMTFLRLSCPNLQNVNLDGCDHLQSAAFCPVGLESLNLGICPKLSILRVEAPNMTILELKGCGCAALPKVLVYIINLYLLFLYSLSLYMFRQLVDDSLCRMAEACPLIEHLILSSCLSISIDGLSSLHCLHKLSLLDLSYTFLINLKPIFDSCPQLKVLKLSACKYLSDSSLDALYREAALPLLVELDLSYSSIGQTAIEDLLTCCTNLVNVNLNGCTNLQELVCESDGCRSVDMPVDFCPPNSAPVKNEISEQSGRLLEVLNCTGCPNIKKVVIPSMANFLQLSKINLNLSTNLKEVDLMCSNLHSLNLR >Dexi9B01G0021490.1:cds pep primary_assembly:Fonio_CM05836:9B:16194897:16195364:-1 gene:Dexi9B01G0021490 transcript:Dexi9B01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSIERTTTKRRRRRSGTAKGRHAATKLLLRRLIHSNAALRHVNPATATVSLPALLIGAVIIFSLLADRRAPFLNANLSTSSLASPSLPRPPARGGHPLSSAGFPRAATSSGATSGSPPTTTPGRTTPTGAAR >Dexi1B01G0027760.1:cds pep primary_assembly:Fonio_CM05836:1B:32419647:32421795:1 gene:Dexi1B01G0027760 transcript:Dexi1B01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDARAPLLGAGGRAPSLRRRDSARSLRSSFLSRLPDKVRAGLDPERPADVDLARAKGLSQGEREYYEKQLATLRTFEEVEARCMPGEFESDGSDLVDIEDKEQKQSEFAMKISNYANIVLLAFKVYATVRTGSMAIAASTLDSLLDFMAGGILWFTHLTMKKVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLITAVEQLVENKPGEKMTSEQLIWLYSIMLSATAVKLALWLYCKSSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDKFLWWIDPAGAVLLAVYTIVNWSKTVLENAVTLVGQCAPPEMLQMLTYLAMKHDTRVKRVDTVRAYSFGALYFVEVDIELSEDMRLREAHTIGESLQEKIEKLPQVERAFVHIDFESTHKPEHKVRSRLPSTDA >Dexi2B01G0021940.1:cds pep primary_assembly:Fonio_CM05836:2B:31592315:31595045:-1 gene:Dexi2B01G0021940 transcript:Dexi2B01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRGQATGVASGRAGAGVAGPEAAQPVVARQPARGGMPSARLQADACGAGHRPRGQLGAAGHRRPSDAAAAELLTARICNVYKKSDSTISPDMEERASHILKRCQGLPLAISTIGCFHANRPKTSTEWRNLHEHLGAELEFGLHHIPEVIVSSYDGLPYDLKSIFLYFSIFPENHDIRRTRLLRRWMAEGLITKNRDMPVEKVAERSYKELINRSMIQPLKAKADRCHVHNILRQIILFKSIEENQLFLVEKQSNEVPQSKTRHLVVSRWENKDEKLRNINPSYIRSLTIFGECPLSIISPKMRLLRVLDLEDTDNLKNDDLKHIGELRHLRYLSLRGTSISKLPSSLQNLRYLETLDVQDTQVMQLPSHVAKLEKLCYLLAGSNFSKDLLQKMKSGEENHKFGLMPCLGCKSCEGLFGLELTKAAWQSSQASNFGCLGLRSLPGQQAACQDSIQTDPERSKVFNMCVRAPEGIEKLMNLHTLGVVNFGYGNGVAGRLKKLTNLTNLRRLGVEGLTEKEGKDLCQSISGLRRLERFEVRSDSLTFLTQMDEDESAGPRVGPPKILRYLVSLRLCGNLSSMPKWIGSLNDLAKLKLLRTKLKQGDIEGLQNLRNLTLLGLWENSYIGDSLLFCADTFPKLKFLDIDGLDEIKTVEIRKGAMPELKKLSVMKCPSLQDSESGLSGVPDLLNLNEVVLKQCGNKEMLTKILQGQISDHIKRPKLLVGKSIGRPTSGTVTAEQR >Dexi5B01G0038560.1:cds pep primary_assembly:Fonio_CM05836:5B:37727592:37729819:1 gene:Dexi5B01G0038560 transcript:Dexi5B01G0038560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMGETVKLVSAFGSGFTHRVEVALRLKGVPYELILEDLHNKSELLLKINPIHKKVPVLLHGDRTICESLVILEYIDEAFDGPPLLPTDPYERAEARFWAQFFDQKFSKPFWMSFFVSTDGESRRGLLTEAKQNLAVMEAQLEGKRFFGGDSIGLVDIAACFLAHWIGVFEEISGVAPLLTDEEYPALCQWAKRYVADEAVKQCLPKREKLVAMYSAFKKMLQKIEQSQANMVETVKLISAFGSGFSHRAEAALRLKGVPYELILEDLGNKSELLLQHNPVHKLVPVLLHGDRAVCESLVILEYIDEAFDGPPLLPADPYERAMARFWAHFIDHKFSKPFWMSFFSTDGESRRGLLAEAKQNLALMEAQLEGKRFFGGDSVGFVDIAACNLAHWLGVFEEIGGVSPLLTDEEYPALCQWTKRYVADEAVKQCLPNREELVAMYSAFKEMIQAMAASHK >Dexi4A01G0024730.1:cds pep primary_assembly:Fonio_CM05836:4A:27455462:27457667:-1 gene:Dexi4A01G0024730 transcript:Dexi4A01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTSSPAAAPFHDDAFLHFDGSAAAAGADGFPASPDPYAFRSDAPSPFGMPQANGGIHDDDPFAAPPADSDGGPILPPPTEMGREEGFLLREWRRQNAILLEEKEKNEKELRSQIILDAEEFKKAFVEKRKLNVETSKGQNRDREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGARKDKEKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAAAGKDGAPAAAGKDGAPATAAKDGAKSAAPANGSVSEMEKAAAAAPAPATEPIAAA >Dexi1A01G0028900.1:cds pep primary_assembly:Fonio_CM05836:1A:34478895:34482408:-1 gene:Dexi1A01G0028900 transcript:Dexi1A01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPTTGAPAEEDAVVVVPAGDIATDDEQGAEPGRAPVRRPRVAVELHAHSTRSDGTLSPAELVERAHRNGVKVFALTDHDTMAGVKEAVEAARRYPIRIIPGVEISAVHSPRLHVARAMVDAGYVDNLRQAFSRYLYDGGPVYAKGSEPTGESVVQLVCRTGGLVVLAHPWALKNPSAVIKNLKAAGLHGIEAYRSDGKVSGLSDLADIYELLKLGGSDFHGRDDKEEPDVGSVDLPVLSVSKFLDMAKPIWHNAIMEIFSSISERTTDFNGSKGFRRISSAGDFCIVHLSSEDQS >Dexi6B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:6B:19655696:19661568:1 gene:Dexi6B01G0012100 transcript:Dexi6B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVPLRQLFVDGEWRAPAQGRRLPVINPATEAHIGEIPAGTAEDVEAAVAAARAALKRNRGRDWARAPGAVRAKYLRAIAAKIIEKKAELAKLEALDCGKPYDEAAWDMDDVAGNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPDAGAPLAAHPDVDKVAFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDVDKAVEWTLFGCFWTNGQICSATSRLLIHTKIAQHFKERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFISNAKSEGATILTGGVRPAHLEKGFYLEPTIITDVSTSMEIWREEVFGPVLCVKEFNTEDEAIELANDTHYGLAGAVISSDRERCQRLSEEIDAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSIKQVTEYISDEPWGWYQSPAKL >Dexi5A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:5A:2059650:2073760:1 gene:Dexi5A01G0002860 transcript:Dexi5A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAGGGVAARQNHPFPWLDAAISEPYYFLHLLAFFSYFAARSAALSADDGGELHDRLLRGEIQAVLVFLVLFVVKIVREETWETFIADSLLYAKGLLLAVTLVINYWLALGYFLGFVVIYAVAQQPPYDGLVLTLVVEFRTSFSATCIQASSVLPELSNIYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKATEVARFPEVTSESKVFVPKVTKGEIQFSLLSMVTAKEAAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRDCPVLANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYENGYYRQQTQSAGLATTDTSCESVVTSGQQNVAAAAAAAQPQAQPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMEVVNVLPAGNNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLGNKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSIIHIVDHMDLEPWSVPEVVRPLYESSAIVAQKMSMAALRYLRQVAHEDTHSVITGWGRQPAALRALSQKLTRGFNEALDGLADDGWSVIESDGVDDVCISVNSSPSKVINCNPAFNNGLPIVSSSVLCAKASMLLQDVSPAALLRFMREQRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFDPEEFLEVIKLGNASTYQDTLMHRDLFLLQMYNGVDENTVGTCSELMFAPIDASFSDDSPLLPSGFRIIPIDSSMDTSSPKCTLDLASTLEVGTPRSRIPGGVSGKSACTSSKAVMTITFQFAFESHLQDSVTTMARQYMRSIIASVQRIAQALSSSRLAPQVGGINHAPAAASTGSPEAATLSRWICQSYRFHFGAELIKSADASSCEAGLKALWHHASAILCCSLKAMPVFTFANQSGLDMLETTLVALQDITLEKVFDDQGRKNLLCAELPGVMEQGFACIPGGLCVSGLGRPVSYDKALAWKVLDDDSGAHCICFMFVNWSFVSSM >Dexi5A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:5A:16344199:16345143:-1 gene:Dexi5A01G0016350 transcript:Dexi5A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQRASPAGSTIRFLSLQGTRASNLPFRTRAAASARTRFHCHLFRSNDSAPTPTTSDPDVDRDPEDSLRFAFACGGAGAGGRVYSAIALADELHASLPSSRSLILGAPAPSLESSAAASASYPFAPIPPRCLPRGILAAAQHLRRFRPHVLVATGGAPALPACLAALLLGLPFVIQDQDASPAPTTRLLAPFALRVFLAFNAPVRLLPKRKCAVYGNPVRISILTCQASKAEALARFFPRAGLLGEQEAQVVLVLGGAEGSPEINVAVLNVYYEMLRKRKDRYIIWQTGTETFCEMESLVRGHRRLFLTP >Dexi4A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:4A:6109748:6110983:-1 gene:Dexi4A01G0008150 transcript:Dexi4A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQEVIPLMTPHKMGQFDLSHRVVLAPMTRCRSYGYVPQPHNALYYSQRATSGGLLISEGTGVSATAQGFPGSPGIWTPEQVDAWKPVVDVVHRKGALFFCQIAHVGRVSTNDFQPDGQAPISSTDRQLPPDAESGTVYSKPRRLGTDEIAGVVNDFRRAARNAIEAGFNGVEIHGAHGFLFEQFMKDGANDRDDEYGGSLENRCRFAVEVIDAVVGEVGAHRVGIRLSPFADYMECVDSDPVALGHYMVQQLNRHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKAVADGYTDLIAYGRIFLANPDLPRRFEMDAPLNKYDRSTFYTQDPVVGYTDYPFHEDDSNNDASNAQT >Dexi7B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:7B:22164642:22166118:1 gene:Dexi7B01G0016190 transcript:Dexi7B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSAAETDRRDRQERAKEEQYWAEAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAAAVAASASAPSKAAARKAIRVAAPPPKVTEAELARIREEERLRLEREAEAAKKRAARMAEEEEYERVVLVANTNRDDSIIEARSVEEAIARMSVVDPQAALPADKHPERRLKSSFKAFEEAELPKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQAAE >Dexi4B01G0021840.1:cds pep primary_assembly:Fonio_CM05836:4B:23694285:23695732:-1 gene:Dexi4B01G0021840 transcript:Dexi4B01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGDGGGRGRRFGGDDGSDRRFGGRSGPLRGDVRGRRPGGRMPAGGRSGGGRGGGMKGGSRVVVQPHKHDGVFITKAKEDALCTRNMCPGESVYGEKRVSVQKEDRTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPH >Dexi3B01G0035840.1:cds pep primary_assembly:Fonio_CM05836:3B:38835759:38840199:-1 gene:Dexi3B01G0035840 transcript:Dexi3B01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLFFFLLSLSLFFLPDAFTAAAAGGSACNRRCSGLVVPYPFGFSGDCPIILDCNATSSTALLPNTTTASPYPVVSFNSSASTFLVSLPLSCDGRTVHEANASLNRVTSLAGGSYGVSSHTGIFLRGGCTNNRPAANDTSSCSVPADVMTKLLDTARCGNDTAWTCVAGSPPASNATAAEEGARRGEFLDWDAVKSSGCREALTATVYVVAEAGMPSLEFGVAELGWWLAGTCAAANATGGRCATNATCLEVETPGGAVGHRCSCLEGITGDGFAAGDGCHYAAGVVAGVLAVAGILLLCRVQCRRYKAGRSSSGRLAAMRLLSEAATSSGVPVYSYGEVARATNSFSHTHRLGTGAYGTVYVGKLPSSSTSPAAALVAIKRLRHRHHDDDDEAAAAALLLNEIKLISSVSHPNLVRLLGCCLDRGEQILVYEYVPNGTLSQHLLAGGGNGGGRRRLTWRARLGVAAETAAAIAYLHGMRPPIFHRDVKSSNILLDGELRPKLADFGLSRSGSTAGEASRSHVSTAPQGTPGYVDPEYHQSFHLSDKSDVYSFGVVLLELITAMKVVDFDRPAAEVNLASLGLDRIAKGRVAEIVDPAILAAAGEEEWVMASVRHVSELAFRCLAFHKDARPSMSEVADELRQIRDAAPGSDSGEAGSGVRPMMMDIQIDLSLEGDRMVGKKAAVSPVSVQEVWVSDQSTPSTNEGSMRTMPRFVA >Dexi2B01G0023800.1:cds pep primary_assembly:Fonio_CM05836:2B:33300234:33300677:-1 gene:Dexi2B01G0023800 transcript:Dexi2B01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRQDNPADTFLPGMRLRISYATRAGDRFVSWKGPGDPSPGSLISYRMDPAGTMQQRWRSSAPWTGCMVTTTDFKVNPGGVSCTYLQVNWNVISSSTWDVVGKWPPSSCS >Dexi5B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:5B:8258042:8260003:-1 gene:Dexi5B01G0011660 transcript:Dexi5B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKSSTPSPSFFKILKDGALLPTRNRRLFLAVFALLVAYTSLLLLVDNLAVQPREDEVFPNVMAFSNGTDAMSPDELDELLQDLGKDMGRLVWALAYLLLDVTVSYAIWIVALFAAVATYAGETPCSFAAVLGKARAQLKGTVLTVAFVYGLPYVVLLSSVMAVVLLDLDLDRLFVKVPAGLLFLGWLLVEYFFFICELSVVVAVAEPGRHGASAVGRAWRLLRGRRLRAVLLVAVISALTFVCNRAYGLARTRAVGCPASVVLLGFIYAVAMAAVELFAVCAITAFYYECKESNDASTPDQDQRHDIAVNLSKVSDLFPDMAAVGKSSTPPSTSFFKTLKDAALLPARNRSLFTAVFTLAVAYTSLRHLVNYYLTVQPSADEGDELLRDFLAFNNGTGAVSPDEAHKFLRDVAKDTWRHFWPSGARRLLGVTVGNAVWIVSLFAAVATYAGETPCSFAALLGKARAQIKGVAFTVAFAYVLDVAYTVLLLSAMAALLVLDRVFEMGPSWYLFLGWLLIIAAAVFLKYFAFVCELGVVVAVAEPGRHSASAIGRAWRLLRGRKRRAVILVAVTSGLAFGCNRAYALAKTHTVSSHALGLLLKFLFAVVMDVVELFAVCAITAFYYECKERNDDVMTTEYVKLAGEEEVIGA >Dexi9A01G0045820.1:cds pep primary_assembly:Fonio_CM05836:9A:49335813:49338076:-1 gene:Dexi9A01G0045820 transcript:Dexi9A01G0045820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECKVGGAAGDCLIKLFGKTIPVPEAAAAVGEADKQLKNSSRQSAWMQDMQQSGSSTTELKGQENTLQDSTGSPPQQEVADTEDSSAAKNPSASGEQQQSETANQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPMDPLCAAAKTNGTVLSFGSDMSSLDLTEQMKHLKEKLVPITRIKSSEDRSVGSCTDGSARGEDLNQMNQKEKVTADKPANVVPHPCMNGVAMWPFGCAPPPACYTSCIAIPFYPAAAAYWGCMVPGAWNAPWTPHSQSESASSLSTASPASTKSNCFTPGKRPRDSDEEGDTKGNGKVWVPKTIRIDDADEVARSSILSLIGINGDKASKDGRGCKLARVFEHKEEAKAATHAVINSLPFLQGNPAALSRSLTFQEGS >Dexi1A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:1A:22088301:22092647:-1 gene:Dexi1A01G0015190 transcript:Dexi1A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKDPTATGGEPAIGIPYYPAPPAGGHGNYYYAPPPNPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQACGEAAVSTVRSKPSLASVVACMMPFMLGVCFLCPSMDCLWHKYHYCPSCGDKVGEFRKSDPCLVVDATRWSEPSFAVPA >Dexi3B01G0029110.1:cds pep primary_assembly:Fonio_CM05836:3B:28131286:28137433:1 gene:Dexi3B01G0029110 transcript:Dexi3B01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFATPSRRVLAKETELHLAQKELNKYKEQLSNAETTRVQALSELEKAKKTVEELTTKLDTINKSKELAIQATEDAKTRTKQLEGGSSNEGLGTDGPLKQELESAREQYTVALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHTTEANKEKANQLRNEIAEIQESLMHVKAATQQAHEEESQILAEKDVARTTYKQALEETQKKLSSLRNDFDPAAYESLKEKLDQTNSEIASMQKKIEDARARDLESVAVVGTELDDAKEMLQKVAEEESSLRGLVESLKAELEAVKQEHNQLKEKDVETESIVGDLHVKLQKCKSELNAAVTAESKATSASDDLMLALQQLSSESKNALQEAEMMQKSAAELRDEAEKARVELAEAEQKLQLALKEAEEAKAAEAKALDQIKELSDRASAARASTSESGTNITISKEEFDSLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKKAVEGELKRWREKEKKTAEAQPSTGESPGASPPVPQASAGKASEKNEGHQRNTRTLLRKSFMLPNITSMFHKKKGQSGSSPSYLPGEKSV >Dexi5A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:5A:5256244:5257050:-1 gene:Dexi5A01G0007080 transcript:Dexi5A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVWPASFCGPPSPASFSSAVVGNHHHHDAALESSQSFHVPEQWLVGGAVVLNKHDDAEPSKDAGAANLSPSAQPLAQTRKRGRKKQHGPHASIPPAISHVEAEQQRRDRLNRRFCDLRAAVPRVTRMDRASLLSDAAAYIAELRRRVAQLEEEAMAAASRTRCRPSPGHEEEDGIALEARMIGPEAAALRLVTTAAAAARHAPARLMEALRALDLPVQHACVCRAGGGGVTVQDVVVDVPAPGTMREEGRLRAAVLHALLLQESG >Dexi1A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:1A:3206348:3207530:-1 gene:Dexi1A01G0004380 transcript:Dexi1A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRFPYKKCSRRLRIPSLGLALWLLISFAAPTSSCTEQEEASLLQFLAQLSNDAGLAKSWQGGTDCCKWEGITCNRNSTVVEVSLPSRGLKGRIMPSFSNLTGLQHLNLSYNLLYGDLPQELLSTSSIIVLDVSFNELSGEPHEQPSSTRGQPLQVLNISSNKFTGQFTSITWKTMENLVALNASNNSFTGQIPTHFCNISPSFAVLDLCYNQFNGTVPPGLGNCSMLRVLKAGHNNLIGALPDELFNATSLEYLSFPNNNLQGVLHGSQIINARKLSTLDLGGNNFIGDIPNYIGELKELEELYLDNNNIYRRD >Dexi5B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:5B:2209194:2210119:-1 gene:Dexi5B01G0003320 transcript:Dexi5B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYLLSLKSRTVLMAPAKGSRTINKTIIKGYEDQQQYDDPPSSSKAKQKRRKISDFDPKWSKDELTLFYEAYRRHGKDWKKISLAVGGKSSDMVRSLYSVHRTFLSLPERQATAMGFIALVTGHHNAPVRFTTNMV >Dexi1A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:1A:18762914:18763114:1 gene:Dexi1A01G0013550 transcript:Dexi1A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAPTTSCIATPWTRNQAGLLDDAVMDDAARDPLDAVAMDNTARDPLDVVAMDDGSRLKSFDHI >Dexi5B01G0027420.1:cds pep primary_assembly:Fonio_CM05836:5B:28970685:28975531:-1 gene:Dexi5B01G0027420 transcript:Dexi5B01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPSSRHHQFRARAKTRVDDLQEMFSGLQSARKDSRPADAAVLEAQLQQMLREWRSELSAPSPASSLQDNARELSDPPSETLRLLQLAGGEEEDDATSKLADQQQQPPPPPPPPPPPPLPPRQGHTLRFQDMKPEPREEVVDVAVALPQQLDQGVVPNSAPAAVFHDQMYYVNQELTVEDFLYDDDYKINLSGSNPDVLNNLEGIGQLEYPQFNFPQELPPNVYLDMSNCGQSTGDVFLHMSDLLTTVTPTPSAFLRPKCALWDCPRPAIGSERWHDYCSMYHADLAVKEEGPPGTMPVIRPKGIDLKDGPLFAALSAKIQGKHVGIPICQGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLACNPLNEIQQQMVRLSADSPVDSKRTARGRTKANMIDVSSNIYSVPNTTVQANIPNAYQPVPQVDQMTYLNGSVVYGPHLPYGYSTERSDFYWNSNDGT >Dexi1A01G0027620.1:cds pep primary_assembly:Fonio_CM05836:1A:33340425:33340763:-1 gene:Dexi1A01G0027620 transcript:Dexi1A01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVDPDITDTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDSLICPDPLRKQTQPPGSEQSATAPSYISEYVSLRGGSALSCANSSSASDAELFMKFGEVISRNTE >Dexi8A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:8A:14377128:14377355:-1 gene:Dexi8A01G0009780 transcript:Dexi8A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIHLEQFMKMDGNLVDLDEVIPDHTLVLNVLRYLSKRYRDIGIHLCRGHECGSQNLLICSLLLTMVLDGATNP >Dexi7A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:7A:8458878:8459417:1 gene:Dexi7A01G0002150 transcript:Dexi7A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITYLAVLSLLAATASAASAAVAPTPHGAPRGPATATEFLAVINAARADARVLQLSWNATVAQRANLHLSWLRTSAGCHLDKKDQYPIHDHMAGTFYRSGGSGRPAPVDVVAMWLDERRWYDRGANACVTGKQCGDYMNVVNPEWRQLGCAMVACPSGQVVAACKYSPGAKGNKKRE >Dexi9A01G0029380.1:cds pep primary_assembly:Fonio_CM05836:9A:34230596:34234887:1 gene:Dexi9A01G0029380 transcript:Dexi9A01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPETASDGKALTDAWDYKGRPASRASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEAHDGERGKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGICAVGILCGLAVFLVGTRRYRFKKLVGSPLTQVAAVTAAAWSKRALPVPSDPDMLYDIDDAAAAGADVKGKQKLPHSKECRFLDHAAIVNTESPSTASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMNRAIGGSGFLIPAGSLTVFLIGSILLTVPIYDRLVAPVVKRLTGNPHGLSPLQRVFVGLFLSVAGMAAAALIERHRQTTSEHGVTLTVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVDKVTGHNGHGGWLADNLNEGRLDYFYWLLAVISTVNLVLFTLAAKGYVYKEKRLADAGIELADEEAIAVGH >Dexi7A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:7A:29853152:29856132:1 gene:Dexi7A01G0021130 transcript:Dexi7A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPESRQEEAKRLLARCLQAGVDMLRSGAAALDVVEAVVRELETDPFFNSGRGSALTRRGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMDKSPHSYLAFDGAEEFAREQGLETVDNSYFITEDNVGMLKLAKEAGAILFDYRIPLTGTDTCSALAGAVDNKHNGMVMNGLPISIYAPETVGCAVVDSTGACAAATSTGGLMNKMTGRIGDSPLIGSGTYACDACAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQQAVDYCVKERLDEGFAGVIAVSKTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >Dexi9A01G0032150.1:cds pep primary_assembly:Fonio_CM05836:9A:36973790:36977798:1 gene:Dexi9A01G0032150 transcript:Dexi9A01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKVTGSRARRGNRSRLLHLSLHLASRGLPVHFAAPAEHVRQARARVHGWPDDAVRRVEFHELAISDYASPPPDPAATSPHPSHLMPLFEAFVADAPAALAALLRELSASHRRVAVVYDVMTAFAAEEAARLPNAEGFAFHCTAASIIARDMDRGLQLLRDVRGLDDLPPQAYSTEEHMEFVAKRARTHQTIPSSAGVLMNTSRALEGEFVDLVTRELAGYGKKVFSIGPLNPMLDGSLPDKGTSRHECLDWLDKQPPASVLYVSFGSISSLRGEQIEELAAALRDSKQRFIWVLRDADRGNVYADSDGKSRHAKLVLSEFMEQTEESTGLLITDWAPQLEILAHTATAAFLSHCGWNSTMESMSYGKPILAWPLHSDQPWDAQLVCKYFKAGFLVRPCEKHGEVVVPASTIQQLIEKMMVSQEGLAVRQRAMELGKAIRASMAADGSSHKDLMDFIAHITSVDSRQRAAGQSVVAHLVRGAGVAPRATPPHPSTSFPPTSSLWSLLLSLPASLTWERTQCHSKISYPTLTSSPPKLKREEASSKSSPSSPLLQMQLSVVSWPHLLVMLLPLLLPVIFLLYLRYDPKKQAPGDVDELKAYPLVGRFPHFVKNQHRLVEWSVHVARRSPTHTTTFKAVGMPGVVLTANPENLEHIAKTNFSNYPKGEYMLTKIEDFLGRGIFCSDGDQWLWQRKAASFEFSKRSQRKFVVDAVGSELVDRLLPLLDQAALHGRTLDMQHVFECFSFDNICRTAFGEDPACLAAADEGSTTPPEKREAIEFMRAFDYVQNAILVRFVPPESMLWRLKKALNMPPEKQIREALDTVLGYADRIVQRCKVRGEVDPGSRGDFLAHFAASGARELTDDNLRDVVTNFLLAGRDTTATAMTWFFWLVSGRPDVEEKIVHEVQKVRRIHGPTGSTTFSLDELREMHYIHAAITEGMRLYPPVPQGMHISKGKDVLPDGTFVGKGWAVNHSLYAMARLEELWGKDCEEFRPERWLREDGTFQPESPFKNPVFHAGPRMCLGKEMAYIQMKSVVACAFERFSFRYLGGEDHPGMEISFLLRMKGGLPMQVTKRQPGPLEGF >Dexi5B01G0033820.1:cds pep primary_assembly:Fonio_CM05836:5B:34128897:34133091:1 gene:Dexi5B01G0033820 transcript:Dexi5B01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIQRGHRIDENVYREIINHRSLRHPNIIRFKELADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQQIMQVQYSIPDYVHISTECKQLMARIFVANPMRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNKVPSYSDQTSEEIMKIVQDARTMPKSSRSGYGWSDECSDEEEEKEEEHRPEEEEEDEYDRRVKEVHASVELRMGALNM >Dexi9B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:9B:9518661:9519335:-1 gene:Dexi9B01G0014230 transcript:Dexi9B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLIPLLLLAAAAAADAATFTVTNNCPYTVWAAAVPGGGQQLDNGQTWTIDVPAGTTGGRVWARTGCSFDGNGNGQCQTGDCGGVLQCTAYGQPPNTLAEYGLNQYMGLDFFDISLVDGFNVPMDFLPAGDGSGCPKGGPRCDADVTAQCPAALQTTGGCNNPCTVYKTDEYCCTAPQSTCGPTDYSMYFKGQCPDAYSYPKDDASSTYTCTGGTDYNVIFCP >Dexi2A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:2A:32085173:32086207:-1 gene:Dexi2A01G0020040 transcript:Dexi2A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNRDMSQDRLCQDRKDVVVPVHFTTPPPQSHQHHHGGRREPPPPPPPQQQLECFSDEVDSRGSAELKEPASSGALVVSGSGGGDGASIEVSKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPLVIEIPCGRDVADALARFAARRGLGICVLAGTGAVANVSLRHPTPPAPGGGAAAVVFHGEYEILSISATFLPPAMSAVSPQAAAVAARLSISLAGPHGQIVGGYVAGPLYAASTVVLVAAAFTNPTFHRLPADDDASVSVSVSLSPGDGGDHQQQHAAEPPPPQEHCHHVVRWQPAPHLAAPSGSQAQAVDPCGAPVVPSFACHPQQRDVMWPPPPPY >Dexi4A01G0024550.1:cds pep primary_assembly:Fonio_CM05836:4A:27276449:27278772:-1 gene:Dexi4A01G0024550 transcript:Dexi4A01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATASLHHILLPASRRRRLLVPRATHSHSDSTIVDRRRFIAHTAAAAAVVLPRWTPAARADDAPALSEWERVYLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFKGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVQVQSRGFGILDVGYRSQEEAWAAGGSGVLLKTTNGGKSWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYLG >Dexi8A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:8A:8442320:8445590:-1 gene:Dexi8A01G0007470 transcript:Dexi8A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKPYIIAIIVQMIYAGMFIVSKAAFDKGMNTSVFIFYRQAASSLLLLPVAFFLERNTFSLNLYNVSMKLTSATVASATFNSQPVVTFCLALLLRMEVVKLRSSSGIAKVTGVVLCLAGVLLIALYIGPGISPINHHRVFAAHVLTAPSRVTWIKGTFLMVLANMSWSLWIVKQGFMVNGVSFYLQAWCVEMKGPVFLTVWTPLCLIFTIFCSSILGEIVHLGSILGGVLLVGGLYCVLWGKSKENKTTAPCNKVNAMDIVTQDEQENDQAKEGKKGMAEQKEATTTIMVEQV >Dexi2A01G0034190.1:cds pep primary_assembly:Fonio_CM05836:2A:44261084:44268536:-1 gene:Dexi2A01G0034190 transcript:Dexi2A01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGARHDKQDGLSGDEAMYGPPSMSSGDDQPPAAGPRPRKILDRSAAISQREDGLTRALVVTVLSGSHESILACVAGRFDVDPASMTVQHFGMARFLLTLPSVVDESLQALEALVFVESSVAAAPSGPVVGGLKVYYRRKGRPRPSPLGTASSQPQAAANPPAPTGTSLPTAQESQVDDPAAVSRKTFIDNLTKRTEGLLVLPPPAADAFREGNKKEVNYLIQEGKNCYQMARLANEESAGEIIKSKKTESRNEFCLDLRTQDPGNVCNLLRLHLKQLANIPSFDYLKVIIGADDDSFKMGQRRRKTMKYLEKKSIQWTEEEPHSGNILVRINKVGNH >Dexi5B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:5B:19821609:19824856:1 gene:Dexi5B01G0017930 transcript:Dexi5B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATRRWWRRRDGGDDDPDDLVPMDTQEQEEMVRSLEQKQAQQSRLWRRVFAGFLLGYAAFLVYSSFHHAWSPWELRYHAYFMEDLPAPMVIIAGLVSYSSFLLPQIRWDVAWLPLGPLLYGLESLFKLLLATTDD >Dexi9B01G0047770.1:cds pep primary_assembly:Fonio_CM05836:9B:46798112:46798836:1 gene:Dexi9B01G0047770 transcript:Dexi9B01G0047770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYFCTMLFLLGIFDFMARNCGGSSCYEDSVSGKAEIQCRFPAILPSDQMAQACKPLARRVGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERASRNKD >Dexi2A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:2A:29078396:29081956:1 gene:Dexi2A01G0017260 transcript:Dexi2A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATGDAAGSSTAAATGAELGSGVAAAAPVPTWRRVRRAGQGAVAHALLLCFTALLALKLDGVVSLSWWVLFIPLWLFHAVAARCRFSLPAPSSTESGRQVPCHSIVATPLLVAFELLLCVYLEGSNGRGEPFVDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETITDEAIWERLPYFWVAISMVFLLAATSLMLLKLCGGADTLGWWDLFINFGISQCFAFLVCTRWSNPMVGRHSILGITGTPSNAHFIPMRAIFLSIILLQVAIVSFAVWRFFDRLLTKLQDGSISQGHISVSSKVDELFMMIQYGSRLLHWWSIDEDSKEEQAHLCYANNTGYPRHNSI >DexiUA01G0006250.1:cds pep primary_assembly:Fonio_CM05836:UA:12042632:12044648:-1 gene:DexiUA01G0006250 transcript:DexiUA01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVFTSHRSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi1A01G0025690.1:cds pep primary_assembly:Fonio_CM05836:1A:31838289:31841090:-1 gene:Dexi1A01G0025690 transcript:Dexi1A01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFVQKRSGSLVEGFSQTQVSTLAVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDENAITNAVEIFNTSSGAVHFIASNNDSGVRDYDMERFQLCKYFHFEWPVNHTSLSPDRKMVVIVGDDPDGLLIDASSGKILHSIKGHRDFSFASAWSPDGRTFATGNQDKTCRVWDTRNLSKAVHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHIYDVKSDYNRRQELDFFGEISGTSFSPDTNMLFVGVWDRVYGSLLQFGRLYNHSYLDSLF >Dexi7B01G0024430.1:cds pep primary_assembly:Fonio_CM05836:7B:28761090:28761705:-1 gene:Dexi7B01G0024430 transcript:Dexi7B01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDTTTTTEPPATVGGYELRERLGGRPPSTVVWRAVSLSTGAPVAVKQVRLAGLPARLRDSLDCEVRFLAAVSHPNIIHLIEVVQQSFFSSPPFRQTQSCLYLVLELCEGGDLAAFIRRNGTVDERELVYKCCAGTMSSTGT >Dexi2A01G0035840.1:cds pep primary_assembly:Fonio_CM05836:2A:45348840:45362936:-1 gene:Dexi2A01G0035840 transcript:Dexi2A01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKADDEGAYDSVRDGGVDSNSRQWNLNEKAEDAYHSEPEQYEAGQSSMYSSENTHGQHARRGGGPWGTNFLKDSRSKQTAEEIPSNRRMDAASLHDDMDGSGEDDELNRANGEVPAEEMLSDDYYEQDGEEQIELLHQDDPDDVDFEPDSETDKAADKDKLMDSENSDGDNDDELELSDDEDDDFAENRRQPKRLKVVATKTSKGRKLPMPTQRRRGMSHSDEEYSSGKESDVPSDTDFNHRLKKPDRLYQKPVSSNDVVPTNSQNELRTSGRRRTVKKISYAESEESDDSEEKSAKQQKVSGFKKVLNYTKRVAEEQRYKRALSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKADGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATAILGKSVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPNMNVVIYVGNRASREMCQQYEFFSDKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVEKYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQMVKMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKSGSMFDKNELSAILRFGAEELFKEDKTDEETKRNLESLDIDEILERAEKVETKGGEGEEGNELLSAFKACDVANFSSGEDDATFWSRLIQPDPADMVQIVTTDFLQETLAPRAARSKKSYVEDPQLDKNNNNRKRRAAEAQEKPRRRSGRTGEPVVSLPLVDGAVAQVREWSFGNIPKKDASRFVRAVKKFGNATQIDLIVDDVGGVLAKAPHEAQIELFDLLIDGCQEAVKESSDVKGTVLDFFGVAVKPYELLGRVEELQFLAKRIARYKDPIKQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGFGNWEKIRLDPKLGLTTKIAPATLGERETFLPRAPNLDNRAGALLQKEYAKFSGKSSKAKAGARQTVNNDSNSGSRSMRGRQKDAQEKDDNKVNKDDVQKRKVVEAEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQETLKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGDKIGEVVRQHSESYRQSRMTMRLWNYVATFSNMSGEQLHELYLKLSQDLDGGVGPSHGGNFASIPPNKGAWKRRRRADSDNQYEPLYQPPPMMTNGNRLQESSSSAGILGWGPAEMRRPIDSVLLLLRQPVALLMVPWRMEVSLAEMVWAMLGGWVSSFLAIANDVARSLRDGHIAV >Dexi8B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:8B:6118664:6120642:-1 gene:Dexi8B01G0005820 transcript:Dexi8B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASETTAVKVSTTPIFPEIPLGQARKDFQVLLRVEAPAAPPHRVPIDVVAVLDVSGSMNDPEKRPSRLGLLKAAAKFMVAKLHDGDRLSILAFNDRPVMELSSGLLYMSGDGRRHATTVIDKLEARGGTALLPALEEVVKILDGRPGDGRNRLGFIVLLTDGEDSHSKGFFGRESIHGVLHKYPIHTIGVGAAHDPEPLLYIAQESHGTYSFVDDEHAGKLAGALAVCLGGLTTVAALDTRVVLKAAGELNGVRIDRVDSGGYASFVTCGGASCEVAVGELYAGEVKHFVVHLHVPATSSVHNDGYYCDHLAACDRHRRHRHEQHLLSVGYSYSHHPSSPAITVETPGVFIQRSPEVVGSSGRQALLLPSPEVLHHIVRFELVDVIAGLIHGGELSSLMVKDGGEILQVRWEEFRACHQFWGGLDLDNLENEVDAMVGSLRKGTVAFVHAWVSTHQMQRATSLGSPEKAVAEYLTPAMRLLMEEALRLPPVAETAAPTAPGGMAGVQYSGCVEMKIIDRRLELWSKVRRDVQELMFRPAAEGMAVAAVDGEEHVAAVFHGASLEAIDRAMHRDIYLAAVYASKQWRCHSVAGI >Dexi7B01G0021840.1:cds pep primary_assembly:Fonio_CM05836:7B:26841137:26844155:-1 gene:Dexi7B01G0021840 transcript:Dexi7B01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLAAAEKEVLVEVVRFTQKNGLKGSDGGWKDFLARNDKKFGDSVSDPRKRSRDVLLAFLHTFSKDFQKVIIPLFHSVRYVWFHGQLDLFGPVVENLKLTTFWFQYFGKLVKRQNERSAVQQHMIDFPDEVSPEQKLVRLTAEHPEYRKNYCFPSYQEGWKVLRIGEVSSLVSSSAMLAIDCEMVLCHDGTEALVRVCVVDNNLEVKLDTLVKPSKAITDYRTHITGVSKKDLEGVTSSLVDVQKSLKRILSKGKILIGHSLYRDLCALKIDYSQVIDTAYIFKYANLPTTASASLNSLCKSVLRYSVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEVAASSVTESDASKLFAHRIPVHLPCQELCKVFSGNPSIDDKIDSRIRGEFYSTCISFNDVDEVEKAFEALDGQMTKDSGGRLQKQVLLKLNNGDVVSFYVRKMVYGSRPNQFEVPKKRPQLTEDAEPKHADVDQQNKKRKCKKHVN >Dexi9B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:9B:2439793:2440129:-1 gene:Dexi9B01G0004270 transcript:Dexi9B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEFIPQAPALGPPVHKNSKACVASYPCVVQNKILWFYPRTEPEHKDVLQKKRPPYFAEINDPSFVTVFGMRDLFV >Dexi9B01G0047720.1:cds pep primary_assembly:Fonio_CM05836:9B:46744078:46745678:1 gene:Dexi9B01G0047720 transcript:Dexi9B01G0047720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSTQLLPFPDARHHHPLLPPPACAAPLPSTSCVRPLLSPLRSRQSSARTRLRVITPETSSTVATDANTAGAQPAGLADNTEWAAFAARVSGEWDGFGADFTAAGDPVELPENVVPEAFREWGVQVLDWQTQCPTLADPTAPCALQYRLVRLLPTVGCEADAATVHTSHRRHASSASAFAFAAGGSYVAAWPRGPAPVLEVEHCVVRPDTAEVRVRVVQTVALGKEPRLRGIKIFSEQWYGPFRNGEQLGGCAIRETAFAAGEKLDVSEVLGQWETTDVVAARFSDELDPETGKFAELSPDEPSKLLRDADGVVALPKKLWSAFKEHGDGEFLCEVGWALGGGSAVTSRCVLSKDGDVKAGEIVAAYESRVSEGT >Dexi6A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:6A:10138454:10138727:1 gene:Dexi6A01G0009110 transcript:Dexi6A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFNTKTYVYLMINISDGAAHGEEGSSSALPSAVPMFETGEAVYDRGAPNGTMYGMVQCMRDRTAAESALDIREG >Dexi2A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:2A:7243166:7244877:-1 gene:Dexi2A01G0007460 transcript:Dexi2A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHALLILPLAVLFALVAACAATTDPTACARGTMAIPVMPVPSCRIYTVSRACGLGGPYGPQDPSTVLKERCCRELAAVPVRCRCAALGFMMDGEIGRLQDFLGCSREVQRSFASGLTRAEECDLQTVDGGMCYQLAAGDHRGGSFVDVGRGMGMMAKPLHLFTKKLKQATMVSSARSSHTVLLSAAAVVLLSLLATASASTNWCEPGLVIPQNPLQSCRAYLVRRTCGPGRGAFVPLPVIRERCCRELEEVVPYCRCGALRIMVDAMPSGGEATSPCSWSAQLELASTLVSEAECNLMTIHGRPFCYALGAEGATSD >Dexi8A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:8A:17469607:17470098:-1 gene:Dexi8A01G0010440 transcript:Dexi8A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAFKLLILFKMNRSTTGSNGERCGTSSIQVQTINTGAAASGGDTVFEVEVKNLCSCSVRNVRLDGGGFATSMYVDPALFRADDGAYLVNGGEPIAAMAEVTFRYAWDHFFQMTPRSLEVDGQC >Dexi5A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:5A:13062990:13072884:-1 gene:Dexi5A01G0015460 transcript:Dexi5A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRLPAFVDDTTAAAVPANVSPFPATPLASQHDSRLPLLAAHLLPQHAAIRKEEKAAVAATAAKAVDGDVISTAADCLVVDGEGNGEGNKKRKWSGDGEEEGNGNGSLEAAKLEGMSYRELQGLAKSRGLAANGSKKHVIERLLLASANPTDGVQDDKRGSKGGVEKVGEEVKKEKMVTATKKGAAVLDQHIPDHIKLNYHVLQVGDDIYDATLNQTNVGDNNNKFYIIQVLESDAGGSFLLYNRWGRVGTRGQDKLYAFSTREQAVYEFEGKFQDKTYNLWSDRKNFKCYAKKYTWLEMDYGKTEKETNNTEKKGSITDQIKETKLETRTAKFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISDVISKADRGQLEQLTGEFYSVIPHDFGFRKMREFIIDTPQKLKAKVEMVEALGEIEIATKLLEDDSSDQDDPLYARYKQLHCDFTPLEADSEEYSMIKTYLMNTHGKTHSGYTVDIVQIFKVSRHGETERFQKFDSTGNRMLLWHGSRLSNWAGILSQGLRIAPPEAPVCGYMFGKGVYFADMFSKSANYCYASEASRSGVLLLCEVALGDMNELVNADYYANNLPKGKLSTKGVGQKAPDLAESKTTDDGVVVPLGKPKQEPSKRGSLLYNEYIVYNVDQIRMRYVLHVTFNFKRR >Dexi1A01G0032070.1:cds pep primary_assembly:Fonio_CM05836:1A:36797483:36802244:-1 gene:Dexi1A01G0032070 transcript:Dexi1A01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKWMLLLELRCGGNAESYLSEGRSDRLEAVAGAEVSAEGADGGERVEERLRRGLTVSVDFAVKTQGKAGREGDKPYAPPPPSLPPPAMGGGPRTFPGGLSKWQYKRMHEKLARQKQRGLLRHEKQLYLARLRSEIRASRLPAADPDPPPTEGPTSSRAHIRALADRFRKPGAEDLWNEDDGPLRRAKQPSTRIPPAVQQQQRLDSGRPRGGANWKDWEELAREQPRTRVEAAPGGKGPSLAAFNPKRGYRTVAPLWSHWSSASLGFFGPKRCYSVMSPCTVSWHLGDDLRPLGAANAGNQSEAAAMALFNQERLYSVAARRFGRKWRPHSSSDEDQDGTSTPKRNLRFGKFGASSEEDSEIDETGDRGTIRRRWSSAALRNCDMKKERRVLKSYEEENNDLAGRIRELREEIKNREVLGTERRRYESKGESLLTSKRFDDCGISPLTVKALTDAGYVQTTVVQEAALPICLEGKDVLIKAKTGTGKSAAFLLPAIESVLNAMKSNTNHRVSPIFALVLCPTRELAIQLTAEANVLLKYHEGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRFMGLKLLVLDEADHLLDLGFRKDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHIFVDTVGLGAVETPTKVQQSCLVVPHELHFHMVYRLLREHIDREVDYKVGAPPDREHYIHRLGRTGREGKSGKGVLLLAPWEEYFLNEIGDLPIEKYPAPDIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADIGRDKTMLADLANRFGASIGLEKPPAIYRKTALKMGLKDVPGIRIRK >Dexi6B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:6B:3092594:3106082:1 gene:Dexi6B01G0003800 transcript:Dexi6B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLFRSPLSGFVMEVVETAYAGHAKVLASTVDLNKFPDGIICVGGDGIVNEVLNGLLGRDDFEVAIRLPIGIIPTGSDNSLVWTILGIKDPVSAAIALAKGGFTPIDVFAVKWIQSGVTHFGLTASYYGFVADVLQLSENFRLQLGPFRYVAAGILKFLSLPQYKFEVEYLPLSPGKNPELKQQTEKCYEQLSDGGKSGTDMRLCEAKMFTPKLETVYIRGCWGLRRLPATDIRRRQDGCPVLVDCEKDWWDKLEWDGMESGHHPSLFEPRHSKYYRKRHLRGTVLRPSGVMGESEQDDELRSPVAFVLCEDAPVPSLSLPQPPSKEHGEFIKSFLKANMPGLARPPLRPPARRCPFRSPVRGRRLSSSAVAHPLPSPTRDSRPSSAVARPLPSELRHRVLAYGPAAVRAARLLARLSCSPAGRRTSSAATARSPLAPTDRCRTSSAATARSPLAPTDRCLDIPTAVREIAPLLGSSRTSIYFDGWDGLGASAVLRAIAEHPPPSLREKFDKIIQIDCSRWKSQRELQRAIADELKLTKQVAAIFDVQDEEDDFNGVNQAYRREIKGVTTVIARSLAQYRCLVIFHNGGDELVYLPRCGIPQPDFFETQILWTFRGRLRVNKEILKKFIILTEFFVSGNIAKLNALLAEEARDIALYTHKLGLGVTPEVATDCCLYLLALNDQGGGVIDYTWATHASNYWVCDGIVKGGHDNQAWKIARTLQQQIRIDDYSSKDNRVPHFGSKLDVSKKHWVSLINSYFEEVPSGTTSLFFAPLLESAYVSFPRERFHEAADQLRVLKLCRCTFSFSSPPFHCCRNLRFLGLDKCVDEKQLGEKEEKTGAKAVETFKRLWVLDVSHTDWELDFPLETDEPTVATDIREVHVNRGRVWHINFAWRRLPNLNRLRVVEPTSPWETGREDEFMDMIKLELLDLSGNSTIKVLPSLSGAANLKTLVLEGCVGLEHVGPQGLPPSLESFSFDSGFGKDDAGKAKISRIILTGCAKLADFRMCGSLPNLVELDLSHTAVKMLDLKDESTVQALQKVFLVGCKQLRSISWPYGKWDPLKLLCIDTRAGGEVARKPSWCDSLMGCHGKGDGTEYLHAFVAVTDMRFLGSVFFLQKWEWNIPEVKRKMIICCSSSSKDNGNAGRIVACLPLAWSLTYNDVSTEQQKAIQIDGNSCWTPPFQPLDIHMEIGEGVSDVPNTDRPGSIFYAMDKVKSLHVHDSSSITNVTPEHIFLSAQNNLGVMNELKWCRVERCPRLQTVFTTLYDGINFRRLETFWAAHLLMARSIWHFTPGETRLDLDHRSFEALQAIHLHFCPRLRHVRTLSWNDDLSNHLETLHILCCGDLRQVFPVEQEILEKIAASRQMQGMVAFPKLKYLYLYHLSSLELICDAKMFAPKLERVYIRGCWGLKRLPATDSHRRQEGHPVAVDCEKDWWDRLEWDGMDSGHHPSLFEPRHSKYYRKRHLQGTVLR >Dexi6B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:6B:20084924:20088089:-1 gene:Dexi6B01G0012450 transcript:Dexi6B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAAARPVPIRRPGALLRSPVPCGLPSSRVAAPFPRRTASLKISQLQLTARRFPKKSNSSEDDDLLSELRDKWDAMENKSSLPLYAGGAILAFWISLVIVRALDSVPLLPGILELVGLGYSGWFVYRYLLFQENRKELADNLDAMKKRITGDDE >Dexi1A01G0030740.1:cds pep primary_assembly:Fonio_CM05836:1A:35899730:35900247:1 gene:Dexi1A01G0030740 transcript:Dexi1A01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPGGYAGGGKAGASRGGSSSCCTTICCCEGLGCFRCCCSFSRKRSQKTSRSGAPAAACRNSPSRRSTPSTWSSAPPPPPRPPFPRGCRGKLGLFPPHHDRCVAHFSFPAPEPPPLTAQMCSAGGRTSVAIASSFWFSGKKEAEEGRRTARARRT >Dexi3A01G0023060.1:cds pep primary_assembly:Fonio_CM05836:3A:18635176:18636088:1 gene:Dexi3A01G0023060 transcript:Dexi3A01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYSRSRRPLCSQAEVASTTVDDDDDDACELVSGSDLVIGEGDDSFSAYLFNAVKNNNGTGILLLSDVFGFQDSATRDFAYRVACSGYNVLVPDLFRGNPWKPSQPFDDESFARWLSGHAPARVSGDIDACTRWLVDEFKAAGVSKTKLGVVGFCYGGGRLVEALARDAESRCFGAGVCFYGSRMDASLGDRVAAPVLFVCGDGDPLCAVETVRELERRARGAKAVVYAGRGHGFAHRPQSVEEDGDAEDAFNAMRAWLHDHLLA >Dexi2A01G0023680.1:cds pep primary_assembly:Fonio_CM05836:2A:35364963:35368202:1 gene:Dexi2A01G0023680 transcript:Dexi2A01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSYWIVSHSTAIRARSPRARKPHLRTTFFRTLSLFAKMGAFPVFVVDGEPSPLKSQARAARFFRGSGMDLAALPSTEAEAESSASAAPVKARNAAFTRSVEECVELLEYLGMPVLWAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKTVIKVLKSNCKEPFECYNIADIEAGLGLKRKQMVAMALLIGSDHDLQGVPGFGLETALRFVKLFDEDEILEKLHEIGRGVYPFPEGFDSPHIDDLPSSSTKRSPHCSHCGHPGKKGVPLLIWNKPDVEALVDFLTYSQNWEPSYIRQTMLPMLSTIYLREVASSPSTPLLLYDQYEFDSIQRIKIRYGHPYYLVKWRRGTASINSNMSGKKPVTEEETSSGGIVVLDEDDEEVDAVVCESAELLDEPDIPQVLSDDGCCFLLTDEDIQLVSAAFPKETARFQEEQRLKEAKSRSRKSKSSANSSLETPNGPRPSGVQLSIKDFYRLKKGLNIESDRKPVREGQAAKDGSRKASDRDLNKSLPKSVRRRILFD >Dexi7A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:7A:19111433:19112900:1 gene:Dexi7A01G0007840 transcript:Dexi7A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECHRLGLARMIGVSNFTTKNLQELLAIAEIPPAVNQVEMDPSWQQKKLIKFCKDNGIHVTAYSPLGGQSKSNAVIQSEVLEDISKATGKSVAQISLRWIYEQGASMVVKSLKRERLKENMEIFDWELSDEDRLKISQIPQHKRVRLTGIVSPEGASNVDLAELDIVEM >Dexi1B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:1B:9611780:9612466:-1 gene:Dexi1B01G0010250 transcript:Dexi1B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGCLRRAFMLAFVAFWCFGGTAFLINVLVVLLRTPRRNAPLIAVVSVFLLIWVSFTTLFCGGFFRCSELRHRMSPVLPTALACLRGVGQLLCLPCRCARTARSWLLRRQGTGSGRASGGGGLPRAVTQGHVMDVLPREAPARGGSRVVAIDDIPVYVQRDGKRPDGTSSECAVCLGEVESGEAVKRLPVCLHVFHETCIDPWLLSGKSTCPVCRCDVFAPLPPEMV >Dexi3A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:3A:7934150:7936915:1 gene:Dexi3A01G0011150 transcript:Dexi3A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRSLLRTASPSLLRSSGRLASRGEAVVAAADSASASAGLLAAGAGAEGGWRRAMSTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTEDEKLIYNLRRALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPSAGTTEIKEPRDEPSEDSDQEELDRFISEIESAAEKQWEEEEAAEKEEASRMRYWEREDVGERRGFNRSYGNSDYEDRGQGRYSRENSTKRTSDARRWDDASEVEASGDEWESGDDRGNVLSFDNDRDPPDEHPRRFESMRHGDSRSSGRRDFTPRREVSEGRGFSRSHSNSDVQDSGQGRYRRDNNKRTSGARSWDDDSEIEASGEEWDSGEDTDNFLGFDNDRDVPDDHPRRFERTRNEKNRSSGRQNSIPGGFRGSNQTPGNSVAASNSSMYRDSNEEFDTEDDDLLGSDYKGEKTNLRGPKVTFPNFHSSSDEDSNDNLKHGDAIGKMKKNADENWDSD >DexiUA01G0005310.1:cds pep primary_assembly:Fonio_CM05836:UA:9463212:9463471:1 gene:DexiUA01G0005310 transcript:DexiUA01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFGTLWQLARSCPWVQRTTLHRACLDNLAMAEAYSEIVGSGDCRRSEEVPADGVVTHLAQGEEHDS >Dexi3B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:3B:9272656:9275128:1 gene:Dexi3B01G0013040 transcript:Dexi3B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSSAPNPHSLLLAAILLLVCSSLPPLAAAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHVPWLYVINRKTSEVPLIDFHLKYSGNDLLGVTAKVVDMPHHYVEIHPDIKKNFWDLQNWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRYNSSIFICLWDSRVNNKRF >Dexi8B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:8B:25598040:25606020:-1 gene:Dexi8B01G0014850 transcript:Dexi8B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLATLRRPKRGRPPKPRVEDHADSEDDFDGEGRAPPQSKRKRAASAAAAASLEDLPLIDIIKYNGRLISHAVKKLVEDYETNKNSVTFQILAMLFEVCGARHEIYPDYLHESDVDEIVLSLVELARKGLVEDNYSSKQKDLKNFKENLVTFWDSLVLECQNGPLFDDILFQKIKDYVVALSCTPPRMYRQVASLVGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQSDGPLVESLNNRLALTHENITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDDNIPSLGLFTERFYTRMIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHPGARDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMRDWRCIISMLLDEKPAIELTDMDGTNLVRMLQASAKKAVGERIVPAVDNRKLYYNKGQKVFNSILYIKILQEILENSKREITSALLTRYPLLLRKYMSDKAKISPLVDMMVLLKLEMYSFKRLEKHFKAAVDLITDAFFKHGEKDALRSCIQAITFCCTGPADQKDYPADLKDYAENKLKSLQDELVLKVKTAIKEVEAGDDEYSLLVNLKRLYELQLSRYVKNDSLFDDMYRILSHLRDMDNEVKSFLLLNMYLQVAWCLHAIDGENPSESSIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQLDMVQKFWKLCEQQLNVSDETEDDDANEEYIEDTNRDAVMIAAAKLVLADTVSKDYLGPEIVSHYVSHGTSTTEIIKHLITSLKKNENFDMAILFFEALKRAYERYMTHVHDGENQNLTGKSYSECQDLASRLSGSYVGAARNKNKSQILKIIQDGVSFAFADLPNQLSFLEAALLPFVSKLSSPDIPDILADLEKRTQATNMDGNRSAWRPYFTFVEHLREKHAKNEVLHEEEEKPVRRRGRPRKVRDVPDAPNVPDVRGKRLFKDDGRNSSDEESISASDQHGDGDDDDSDDDANQPLINTIRSSASKLRSLKVSQQGTSSHKRNAGPSGKFQGVLSLN >Dexi2A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:2A:3198450:3199690:1 gene:Dexi2A01G0003680 transcript:Dexi2A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAKSGSVLGASKELEFFRTAAEDKTAFYSEDEDKPNCLFTGANYNTPPGAVAVEGFATAAGAFVVNLGHPLEIATNGLVIQKRGAPHGSRHGAGANVGGDCLLAPAEEFVSGVTSCACTWPPIDMQRQVAGSDGEAAAVLLRMELERGAPGRPPLHSPPAGPASKPNRLFTGGDYNTRGKRYWRDCLRVTYGLRIIIPLEGGAFVVSLGYTPFAMH >Dexi5A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:5A:2010940:2012668:-1 gene:Dexi5A01G0002790 transcript:Dexi5A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGRGGEQHSPRSSSAAKSSPSTRGGEHYSPSPPPKTTPRSPKHLPASAAWLVDSRWSLSAVLSLLLFLAVVLAVTSFSSSSSPYLSASSFFSSSSSSAAAAVQHQQQAPANTSSPSSPPPPSPPPGAGVPRLAYLISGSKGDLDRLWRALHALYHPRNQYVVHLDREAPVGERLALAARVANSTVFRRAGNVHVIRRANMVTYRGPTMVSNTLHACAILLRRGGAWDWFINLSASDYPLMTQDDILHVFSTVPRNINFIEHTGYLGWKEGQRAQPLIVDPGLYRTKKQDIFWMSQKRELPTAFKLFTGSAWVALSRDFVEYTVWGWDNLPRTLLMYYANFVSSPEGYFQTLLCNAARFVRTVANHDLHHIQWDTPPRQHPHSLELADMPAMVRSGAPFARKFPRDDPVLDAIDADLLGLPPAPPPVLAAGNGTGGSPAHAVRFVPGGWCGGDAGCGKVENDWVLKPGPGAERFQRLIDRIVRSEAFANRQCK >Dexi9A01G0038770.1:cds pep primary_assembly:Fonio_CM05836:9A:42911550:42920420:1 gene:Dexi9A01G0038770 transcript:Dexi9A01G0038770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADADADADEAPLLAEEPLRPGACSRELELREFRDRYVIRSLDGGAAFAVLRAGGAILPLSQEEAAAGSDCKVSRIYGVAGIIRLLAGSYVLVITSRKDAGSYQGSPVYHVNSMKFLCCNEAIKHLTSQEKRDEAYFMSLLKIAETTCGLYYSYDRDLTLNLQRSSKLAAGRMHKPLWKQADPRFVWNKNLLEELIEAKLDEFIIPLIQGNIQKKTENFMTCYFFISLFLSDGQEVCLSLTILRFQSAQFTLKDKPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETVVIDLTDKRGDEGDLSNAFAAEMGRIRDVRYVHFDFHHVCHGGNFDNLQVLYNQIEGAIQKQGSNCIDCLDRTNVTQSFLARKSLDSQLQRMGGLSSSESISISDNINDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGSSSPFQTGGFESASYLPVASAIIVGGITATTFTLSQGASKSYIHCLILHAVGRNAQHFISSMICAGLTVGVVALVKANGKQFCSRPRLCGLI >Dexi5B01G0033210.1:cds pep primary_assembly:Fonio_CM05836:5B:33680520:33685228:1 gene:Dexi5B01G0033210 transcript:Dexi5B01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGALPQPRRGRPGPWPPAPPPQPQAQPLSWAKRTGFQPRVSGESLPLASAPNSGQVPLPRPTEAPADLESGPPARPNSVLPPPPAAAGNGERQQPPPPPPQPRTRRRDSDGVRPNVQAAAPSLPQLREEEEEEPPERPAHVKYELRDTPGVFPLVIYGFQHYISMVGSIILIPLVMIPAMGGSPDDTVAVVSTVLLVTGMTTLLHMFVGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRCASFYVNYVLNTLLSLNMVIAFLVSLILDNTVPGGRQERGLYVWSEAEAAKRESAFMKDYELPFKLGRVLRWAKCVGL >Dexi5B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:5B:10570766:10572511:-1 gene:Dexi5B01G0014200 transcript:Dexi5B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYREEERSVTNQPELDKQSGSPPPSRSHDVWALRHAKHVGLDCEWKPNYRSWTTSKVAILQLCSGTRCLVLQLLYVDRVPASVRSFLADPNVWIVGIGVGEDAAKLTAILVPVLSACVMNHIAVSFTHAGWKSCTCFR >Dexi9A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:9A:12112894:12116304:1 gene:Dexi9A01G0017050 transcript:Dexi9A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPAAPKRTRPRRLNLPLLSSSSVAMNMSAQARMAAEPQAASPSLAPAPQATPGSIPPTATWLPPAFVPNSSSPMVHSSDLNATDAAIQEGWYSIICHVQEGVRKLKKKNVVGKEKDSSSTMDEIDKLREVQAKSKEDQIDVLERHQQIAAAKKESARLNHLAAQEKKEAKLLEKEGKMHDKESKLLETYKSLLTFDTSQMSEDLRAEHMIAVKTMRERIFATRAS >Dexi9A01G0027530.1:cds pep primary_assembly:Fonio_CM05836:9A:32180788:32184396:1 gene:Dexi9A01G0027530 transcript:Dexi9A01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRLPGELRWCIFFTLLFVSSASSPYPLISNGSGVITHLPGFQGPLPFHLQTGYVEVDNSNGVRLFYYFIRSERSPADDPLMLWLTGGPGCSVLTGLAYEIAIVTNKLNGLFSKCLRGICPNHVLEPLCAFASPHALKLNLGVREMLQLQEYTADAELHLSEISLQCRVSSPIFTRSYSNNLTFATVKVRRPDESFQISNIHSRRKPFLFYYGGGHTAPEYMPRQCFAMFARWISDDPL >Dexi3A01G0029360.1:cds pep primary_assembly:Fonio_CM05836:3A:30880869:30883615:1 gene:Dexi3A01G0029360 transcript:Dexi3A01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNNTSGCPDHCGAMSIQYPFGIGSGCFRNGFEITCDHSTNTSFLAGTTRPVPVNLLSITTAEARVMLPVAYECFVSGETVSDSNDGDVHFNSHDVYRISNAHNQLVVLGCNTLGYTQSQHSEGNGYYPYGYYTGCMSFCNGSRSAMDGACAGVGCCHVDIPPGLTNNNMAFEKYNHTAALGFSPCDYAFLVDRDHYTFHVSDLKMGKDTMMPVWLDWAIREDDLSCDEAKKTKGYACVSTNSGCRDSLNGPGYVCNCSDGYQGNPYIAGGCTDINECELSKDPKYPCQGICENKPGSYECKCPSGTHSANPRNIPCNPNFPLAAKVITGAIGGLFIVAIVVFIFLLGKEKRKMKEFFRKNGGPIIERVNKIKLFKKEELEPILKTSNRIGQGGFGEVYKGYLRDEIRPVAVKKPKFDCKLADQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHGRNRMPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMITIEENYTSTIIGNWGYMDPEYVQTGLYTSKSDVYSFGVVLLELITRKKVLDPDINNLLGNFYDTCTTKKGVIELVDPEISAQGTIGIFHSLAEIIVQCISLDVDLRPEMVDVAQRLQLLLK >Dexi6B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:6B:8081438:8082103:-1 gene:Dexi6B01G0006970 transcript:Dexi6B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYLPIRFRPRPRSSGGGGVTELETTTSSCATSAIRRRHVFEVRGYSSLLTRVVSGSFVLLVSRHVNVLNITSRTAPALVRHVSGGSGTQLAPALGSSTFVKRSQLETPRSPFVSGDTLRIECIVTVFKFKKATSTTATTSPAAAAANVEAPPRCLSQDLVNLLETEEGADVTFKVEGEVFAAHATVLAMRSPVFKAELYGPMKEGKKTTNRAPARLHC >Dexi5A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:5A:272043:273627:1 gene:Dexi5A01G0000390 transcript:Dexi5A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHATKADGGAVCEQTVDATSGVEATELYPDVKFVTVQEYVNADRRGKATTLLQPISGVIVSFLNIMKHRGYIKNFEVTDPHRVGKINVELHGRIKDCKALTYRQDLRAKEIEQYRVRMLPTPQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFH >Dexi8B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:8B:15916487:15919370:1 gene:Dexi8B01G0009160 transcript:Dexi8B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYHMLFGTTATQPSSSTSNSYNFMGAATGSLMRDHDRGQPGSMSGSFMAELSGNSSKDGGASPSAAAGRGESSYYRCTHQGCNVKKQVQRLSRDEAVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYSGMGSNFSSSHNMFH >Dexi4B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:4B:10319767:10320356:-1 gene:Dexi4B01G0012520 transcript:Dexi4B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPCPLPCRVLMNQGAFHEHRGPLLKPRFEADERGLVVTIVNLELGDRVHAFAGGEEADAPEVQEGGYLVGAVGDGHGQVFLGVGEVAHHHEVVLPFDVAQGLRLLEGLDGEDGEEVEGHRGADVPVGAVGVREGAAARFEDVDLGRRREREGEVGSGRVHGADDAAEDHPLRRLHWGLGALGFRQRASERA >Dexi1B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:1B:3234057:3237623:1 gene:Dexi1B01G0004070 transcript:Dexi1B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARNLQKEVDVFKEATEQIDSEDALDEDWLSEYSGDEDYDPEENEASNSCMNSGDEMSDDSNGSGSPLYSPNDDIPDFISADFNEVEGFCQANLDLSIDSGDDCAQILTYQRPRRDVDYRRLNEEMFGKIIENEEQSEDEDWGHGGRKKRRTRAGCVRDNSVEGFSNVTSDEQTQKKGRKLFRIPPAAVEAERNGQIAAPSNNLRTNEEKADISGMVDLGDNSCSVPLSEIINVPTRLQRNIEKKKMESTNSTVRPHNKGACSPTGQVKETTPHTSKSYLRTDLSHETNNEVRAEEQAASQFSEEQAACQMDSGAYAEEEAAPCVDIGAADYQPFLDVIDEMCGLECRLQRLKKNMLSSGAEGCAKSGSDMKNQAVVLVPSAELKEKA >Dexi5B01G0037660.1:cds pep primary_assembly:Fonio_CM05836:5B:37095294:37100072:1 gene:Dexi5B01G0037660 transcript:Dexi5B01G0037660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPPPAAEQGAAPAAPLPVGAPPGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLILSRSAYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQASNYCLLRVKIMVVMENVSETPAGVIFVKVPQWNYERFELALFTLLAKVTVCELQVSGNETSSSNADRSMRKGKTPMNEPSEEQLIKSELQNLKLHDNLGSEIAHNTIAISDMQAYVGSNTHYRQEHDRSSASGTIGNIYLADSQGDGNGVLGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQFANDESEPRIDRNGDISADGLTALVNLSQENNAAHQQAESIFETDTQTRYRSVASVSTPGGSSVQMQESKEHELGSDWETAEDATISLDMKTPLSHFPPFRFGVEFEEVHRLADGQVKHSTEVFYSGSLWKVSVQAFNDEDPHGRRTLGLFLHRRRAEFVDPLRKAYMYVDPREKVTARYQLICPSKREVMVFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV >Dexi9B01G0031080.1:cds pep primary_assembly:Fonio_CM05836:9B:33516481:33517991:1 gene:Dexi9B01G0031080 transcript:Dexi9B01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVAPVSLGHFTGRRSYSRSPAARGGAALSIRQLLSPAHASAHTPRRACAPGYSRSGVAARWTASAATTAAAAPPVAGVVEETEWTVPREQVEAIRSLNGWVEENMLPLLTPVESAWQPHDYLPRSGEAEAFAEGLAELRAAAACLPDDVLVCLVGNMVTEEALPTYQSMGNRTEGVADPTGCSALPWARWLRGWTAEENRHGDLLNRYLYLTGRIDMRQSPYHSLIYGAFQERATFISHGHTARLAARHGDGTLARICGVIAADERRHEAGYTMASAKLFDVDPDGMVRALAHVMRGKVTMPGLLMSDGHGDGDSDLFGRFSAVAQRAGVYTASDYGDLVEHFVRRWRVADLEAGLSGEGRRAQEYVCALAPKIRRMEELAQRRAGRGEPGMARFSWIFDRSVVVG >Dexi6B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:6B:21954619:21955305:1 gene:Dexi6B01G0014410 transcript:Dexi6B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAAAAALTLWWLTGCAGAVWLELATTATKCLSEEIQSNVVVMADYSILFEEHPVRPYVSAKVTSPYGYVLHHAEKVTHGQFAFTTAESGIYLACFWADTLDRGMVINLNLDWRIGIAAKDWDSVAKKEKIDGVALELVKLEAAARAINGNLLYLVLK >Dexi5B01G0035420.1:cds pep primary_assembly:Fonio_CM05836:5B:35397358:35400967:-1 gene:Dexi5B01G0035420 transcript:Dexi5B01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRQFLQLLLPILLATCCVDGANGPDALPTTRRQLHQPFFPDQPAQPAAPPPFFPALPVPPPPQMPAGQDQPTYPALVLPNTTGSSGAAASPVTAGAASHGSKKASKLVPAILLPLLTVAVLGLSIAFFFSHRRSNAARGASGGCVGGGDAKFLHPERASLFARDEFGGSGGGAATAPATATSAEFLYVGTLASRAADERSSDTTSSGDEESRSSGGGSPELRPLPPLARQCAPAPSRSPGGGGASPSSGDEEFYSPRGSSTKTSSSRRTLATAVQAALEARDRSRTPSPGSALSTPSYPSSPGATLSPAPASPPAFSSPGESGRRSIKSRSESARVVVLPPAPPTPPPPPPFAPTLPPPPRPRRKPPSPSPPCSPLNDKSALRSSTDAISRNPFGQPPAPPTRSTRPPVAPSAGAPPPPPPPPPPPPPPVGYWESRVRKLDTSKETRSPALSPPPQAAVFRSVPAATDAFPSRLPESSDHGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANAAAKEPTRRPVLPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALCEGNTDNFGAELLETLLKMAPTKEEEIKLREFNEETSPIKLNHAEKFLKEVLDVPFAFKRVDAMLYIANFDSEVNYLKNSFETLETACDELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGHTTLLHFVVQEIIRTEGSRLSASTQTTPRTQANPLREELECKKLGLQVVAGLANELSNVKKAAAMDSDVLSSYVTKLAGGIDKITEVLRLNEELNSRDDAWQFHDRMQKFLKKADDEIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGFSDDESSAASVSSP >Dexi9A01G0045970.1:cds pep primary_assembly:Fonio_CM05836:9A:49410310:49412324:-1 gene:Dexi9A01G0045970 transcript:Dexi9A01G0045970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPSLPPPPPPAATTANLTASSLRSVPLPRPRLAAAHRRGVVAAAASPRPPPPPKEGDGGGGDEKEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDGARLSEVERELGMLFSKGGGSGVGTKSKYSGSKFNMVVEDIREGVLIFEDEDDAVKYCDLLQGGGQGCEGIAEIEASSVFDMCRKMKALAVLFRRGGTPPLPQSLERDLRARNRSLED >Dexi8B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:8B:820921:822707:1 gene:Dexi8B01G0001210 transcript:Dexi8B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWRAAASRLLLLPRSPPLSRCPSATASSYALLLHARPFSPPPPRQAPAEAEVTPAEARRLVRLVGVEALKRRLRDGQDEVVGYSDLLDACVEAGAARTHAEAEALARAMDDAGVVLLFRDKAYLHPEKVVDLVRRSVPLALGPENDPRKEEFKQLQQKKEEIDKLAHKQVRRILWSGLGFLMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFLSRQKKLCAAQKFDMDRYLELQKHCRCPLEGHHPHDPKLHGL >Dexi4B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:4B:5519355:5520099:1 gene:Dexi4B01G0007660 transcript:Dexi4B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSAELLENSSKFSQLKHLKLVLFLLDQHPEDFDNILSLASFLRAAPLIEELEIHFNVSGRGNAETGRLRNLPCPYKHLRNICISGFKGFQGQAELLAHAVENAPALEVLSIDTASKNGNPLSQNVEPLGADIARSCLEGRISPKTKLRIDNSISEGLHQEWVLVEP >Dexi4B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:4B:4060891:4062084:1 gene:Dexi4B01G0005740 transcript:Dexi4B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTERLLVGLMLIPKAVVAILGWAGWVVVRLTRRRYKGYPANQPASVQPASRQAKAAPWPQPKGSSRRKAPFFPLGLIPRIMTTATPTRRCCTSALSRADDGRPTTATTTDPASLHSPTRQLASPICAPPSHRTARHLSLSLSLLRPRASHLSAAAAAAGKLARLGGFGRGEGEEGKGERARKATRKTRARSAAVC >Dexi5B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:5B:411197:414434:-1 gene:Dexi5B01G0000650 transcript:Dexi5B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGSSSSCRTADAATWGSAQKRQRCQGSSSTSVEATVPWLQKIAPKVEPKEPPKSSNADENANKYKQFKQFDTIQNFSDHHFAKVPPTEPEPGQREFNMTGNFWRRIYQVLVSIQALILNEKPYYNEPGYEASANTPNGQRQALDYNDNTFLHSCRTMLYSLRRPPEHFADLVAGHFRVHGHTILAACKHYMEGNDVGSVVPEEEDESECKSSDVGASSSSSNEPKLGVVKAKPPTRRTTFNTNLKTLYEDLLMEFNVKGADTRKFLVEKLKKNEPAERNMEGCRS >Dexi5B01G0032660.1:cds pep primary_assembly:Fonio_CM05836:5B:33219887:33223961:-1 gene:Dexi5B01G0032660 transcript:Dexi5B01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGYGHGGAGSGSAAEHHCHGHGDFLLHHHAQHVAAAQQLYHVPQHSRREKLRFPPDDDSPPHHHNQQHHHHHAGAPAAAWPPPPPGFYSYASSSSTSSYSPHSPTTLAQQAHQLVVAHGGLGPPPPPLSSASQIPTHNFALSLSSSSSNPPPPQARRPLAAPSSGPFGPFTGYAAVLGRSRFLAPAEKLLEEICDVGGAAPHVNRTASDEGMLDADPMEGIDHDMDGADPAAASDAGPISGAEQQWKKTKLISMMEEVCKRYRLYYQQVQTVINSFETVAGFSNAAPFAAMALRAMAKHFKCLKSMILSQLRNTSKAGAGKEGLSKDIAIFGLASGSTAIQRASSVAAFGHPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHPALENHKSQHAMHHQGQHSSESSGKPSDPSDSQLGQSSSITRNHAIPASQGFPDELSQMSHSIQQGQVTFAYNGLSMAQHQHGLALSQHHHQQVGSMSGIGGAGNGGVSLTLGLHQNNRVCIAEPLPASLPPNLAHRFGLEEVSDAYMMGSFGGQDRHFGKEIGGHLVHDFVG >Dexi5B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:5B:2968309:2968630:-1 gene:Dexi5B01G0004380 transcript:Dexi5B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRFHVCSATYAFRSRWLGNRHRGSTSTAAGLPSHSSSTAAAAAAPPRTAAAAATRTARNTAPLISSPPHFRARERERGGGGGEQL >Dexi3B01G0038050.1:cds pep primary_assembly:Fonio_CM05836:3B:40876107:40881213:-1 gene:Dexi3B01G0038050 transcript:Dexi3B01G0038050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFARKNLQSAAKRNRKIRNQINYRRPRRGKPPSPAACSFGRARGLTERACSSRFQVGAPPGRMEMRTCRRGSTMPPCNSSSSLTMLCVTWLVDEFLCHSSATSGASQVQGMRRATNDAADALINGLEFSEDDAEIDADLSDSDGYLSEDPGCPYYSDSEDGDTVKDCIMQDGLDRQNDDMNIDIKKQKKKLKKLLDKDPEFANFLEKWQAELKSYRSKEDSDEEDGMDSMDDDDVSNDGNSPNAKMLTSKTISEWCQLVSKEPKSPALRNLLNAFRDACQYGVHSDSSSMQRFQSTRVFYQIITFVFTESDNIFRALLEISDDANKGQIMNLRNSKKWQTVDPLLKSYLRNSLELLSQLTDNKILAFVLTRLRASAVLFSAYPSTSSRLLKILFRLWASGDQSLSLSAFLMIREVASLLPDSLDLCLTKAYNTYLASSKLVNDRNTKHIDFLMNCLVELYSLDVQNSCERAVTSVGQLNSILRQASKTKEKEDLRKIDNWQYINCVNLWVRFLCCNYKDYNLNPLFSQVLQVIRGVAHLFPGSRYLPLRLKLAQMLNELSACSQMFFPIPSLLFDCLEFREVSQKEETQKTKVNFSSLLKVTKNLLKSRYFQEEYVLSAIQVLSAHFAQWSYHVSFPEIATIPLILLKRLHEQTTIEALHRPIKRLIDQVNENKEFIEKKREVVSFSPNDKASVDSFLQDEKNSGNASFTRFYASIAENHQAKGKIVKPIPKTQHYRQLLISEA >Dexi4A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:4A:24828389:24829243:1 gene:Dexi4A01G0021200 transcript:Dexi4A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDLGCGTAGRRIRRSLARGIAFPTHERTRRPAGDRAPTHPHRQSLGSRERAEPTRAIHRSLAARPQITTSPPTLTDGKVRTPRHRVVASDARHGMGWRALSRSWSIRGRTRQNRRSDTLACAWIRRSPACLRDKTFLFFSRHVHEISVQQQYTSCRIYFYFPESAALAAGRSASARSKSPCHADAAAVRSHAVDRDRGHYRVE >Dexi9A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:9A:3632660:3633997:-1 gene:Dexi9A01G0006380 transcript:Dexi9A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRRGGGLDRLLLMLFLVATLLLAPAAAAEAEVEVEVAVTAPAPAQDASEEAAAHQQEQLLPRPLVIKLPSEASERGAGGDEWSYDELPPEVRCESWRLAAEANNLAPWAAVPAECAAHVRGYLTGAGYRSDLELVARESAAYARAAPLGGDGRDAWIFDVDETLLSNLPYYADHGYGLELFDHHEFDKWVEKGEAPAIPSSLKLYKEGGG >Dexi3A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:3A:14648975:14652111:-1 gene:Dexi3A01G0018810 transcript:Dexi3A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSQSIVRSSSSREQYGALIKQFGDEMKLNGFGTGRSLPRQNLQAVAKGSVHSNSARLAIPRPKSGVAGSEGTLKHTSSDGQLNRERPSDRSLKESSAKQDRKGVGLSLDIPQLHVVRPPTDESPGALDFSFHTDEQSQRLQRVCSSPAPFYLPDAAPPADDSRGPSASMEAIGEVSKPLPKPRRRAKSPIPRRVISDVFKEAKAATKRFSSPQRQRKPTSPRSPDDSPPLGFASLRTPSRLKINRRTSSWPKRNLDDDGSAKVAALEILERWTVDRSQLLIGHRFASGAYSRLFHGIYKEQPVAVKFIRQPDEGEDEELSARLEKQFTAEVTILARLQHRNVIKLVGACNCPPVFCVITEFLSGGSLRAFLRKMERKTLPMEKVISIALDIARGLEYIHLQGIVHRDVKPENILFDGEFCAKVVDFGVACEEAYCNLLDDDPGTYRWMAPEMYTHKPYGRKVDVYSFGLLLWELVTGSLPYEDMTPLQAAFAVVNKNLRPAIPRSCPAAVKFLIEQCWSWQPEKRPEFRQIVSILENLKTVLERDGILDKIPSFICQPQECNDQNKKKVSNWIQRLSYTQPDFSGRPPPKLL >Dexi6A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:6A:5350141:5356406:-1 gene:Dexi6A01G0005830 transcript:Dexi6A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALIDSPTLPVLFHGVLSREEEGSSTLRILVATDCHLGYLEKDEVRRFDSFDTFEEICSLAEQNKVDFLLLGGNLFHENKPSNSTLVKAIEILRHYCMNDRPVQFQVISDQAASLQNRFGQVNYEDPNYNIGLPVFAIHGNQDGPTGVDNLSSNDILSAGNLLNYFGKTNLGCTGVGKVTIYPEFIKKGQTYVALYGLGNIRDERLNRMLHEPHAVNWMLPEIQDDTPSHDWFNILVLHQNRTKASRPSNNISELLLPRFLDLVIWGHEHECFIDPQEVPRKGFHVIQPGSSIATSLISAETKPKHVLLLEIKGTHYKPTKIPLQSVRPFEYVEVVLKDQVDVNPSDEATIHAHLHKILVQVSNLIEKVSETVASGSKPKLPLVRIKVDYSGFSTINSKKFGQKYVGKVANPQDILLFTKSGKGRKITQESANTSVEVDTNELNQQTVEALISENLQMEILSAHDLNSALHAFVNEDNKTAFHSCLQQSIDEARSKLATATEDSINIDEQQIGCVLDQDIQV >Dexi9A01G0027870.1:cds pep primary_assembly:Fonio_CM05836:9A:32489178:32493810:1 gene:Dexi9A01G0027870 transcript:Dexi9A01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGDALLMASCWAAAVLAAAASLNTSPVPFQAGYMPLFGGDNLVRSPNGRSVRLKLDARTGSGFVSKSAYHHGYFSASIKLPDDHTAGVVVAFYLSNGDVFPHNHDEVDFELLGNRHGHEWRVQTNVYGNGSTTRGREERYLLPFDPTLRHHAYAISWTPTVIVFYIDKKPIREVVRVASMGGDFPSKPMSVYATIWDGSSWATDGGRYKVDYAHAPFVAEFSNFVLSGCPLGHGEFLGGRRSSEGAGCDVAVMTAEFAVRTPAKRAAMRRFRRRNILYTVCHDLYRYNGTVFPECDDTDGTEREDFHKWGESKRVSPSRRGYTTQQQEGRADGNDAAGGRPSTWPVGSLRAD >Dexi3A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:3A:1385973:1388594:1 gene:Dexi3A01G0002050 transcript:Dexi3A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNTYPPGVAGDAPGGGTDHGRLRELGYKQELKRHLSALSNFSISFSVISVLTGVTTLYNTGLAFGGPATMTLGWFVAGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGDRWAPFASWITGWYGTCSLNLFNIVGSIDFSLAQLIQVIVLLSTGGNNGGGYLASKYVVFAFHAVILLSHAIINSLSITWLSFFGQLYAVWNMLGVFALMVAVPVVATERANAKFVFTHFNTDNSAGIHSNIYIFVLGLLMSQYTLSGYDASAHMTEETKNADRNGPIGIISAIGISLVVGWGYILGITFAVKDIPYLLSPDNDAGGVLVGWIAVLWVVTITVLFSLPVSYPVTKDTLNYTPVAVGGLFTVILLSWIIRARHRFKGPVTNLGG >Dexi4B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:4B:21756837:21757118:1 gene:Dexi4B01G0019580 transcript:Dexi4B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTSATARDAESFAAWMTSPPAASILSKAAALATQILQSTGNQESTPLTTQHIGLSSRISFSVSARRRISSSSRSVPLRVSSSLAT >Dexi6B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:6B:22088088:22093294:1 gene:Dexi6B01G0014580 transcript:Dexi6B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGSSHSQAGAQAGRRRRWGLLLPLLVGAAFLAEIAFLGRLDMAKNAEAVESWTTSFYRRSANWGGGDGDDDDGEIRRCQDRLEREDAVPYDRDFDTEPVLVGGAAKDWNKCSVGCEFGFSASKTPDATFGIAPDPSIDSILRSMESSQYYSENNIDVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLDVKIDSYGSCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQEFSPGDGAILHIKELDDVASVAKTMKNIASNPDAFNQSLR >Dexi6B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:6B:6934168:6935004:-1 gene:Dexi6B01G0006330 transcript:Dexi6B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDEHGAAEPPPGQLVPCGASPPLPWRALPSPTPPSPSTSSRAKPKKLTPAEADDSHDPHLPRARAQRTEERASGEGEEGLLNGDPEDEEVALHPDTMERLQQLCCSDAVLLKDSILY >Dexi3A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:3A:3566641:3567576:-1 gene:Dexi3A01G0005540 transcript:Dexi3A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFASLRAQGKSGLALRLLLAAALAGFLLVFAARSLSSPSPSPSSRLQQQETPAAAAAAECADAGNNNNNNKQGIPLAVAEALVHYTTSNTTPQQTADEIGVSLRVLQRRAPCNFLVFGLGFDSPMWAALNHGGRTVFLEEDASWIANVRSKHPSLESYHVTYDTVLTDADALLELRSHPSCVAQPDLAAAADASCRLALKNLPPVFHELEWDLIMVDAPTGWTPQSPGRMGAIYTAGMAARARRPGDGPTDVFVHDVDRPVEDAFSKAFLCEGYLAEQVGRIRHFVIPSHREKEGTPFCP >Dexi7A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:7A:19699795:19708169:1 gene:Dexi7A01G0008440 transcript:Dexi7A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGVLFLAGSIINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPARWRGAFTSAYNAFVVIGILSATVTNYFTNRIPVWGWRVSLGLAAVPSALIVVGTLFVSDTPSSLVLRGHPDRARTALQRIRGLDADIDAEFKDIVSAVNEARQNDKGAFRRLFNKEYRHYLAIGVAIPVFFEFTGMIVIDIFSPLLFRTVGFNSQKAILGSVINSATNLVATLLSSLVMDHTGRRFLFIIGGLGMMVCEVSVNTKHVFLACRDSWAPLRWVVPSEIYPVEVRSAGQAISISIQLCISFMELQVFLALLCAMKYAVFLFYAGRTNGKLSSPQVLDIDKAQRDSADVDGRSRELDERASCGRALNPHSPRPGRPSPTPSGSHRRGAAHGDPGDEARESDAGDVEIRCAAQLLLLLQLRQRLRLSVSFKHTHILGMAGGLITTNDNVHDYGEGMTFSVMVTCLMAASCGLILGYDSGISAAVNISMLIIGPMLLGFGIGFTLQAAPVYLSETAPSRWRGAFTSAYNAFIVIGILSATVTNYFADRIPIWGWRVSLGLAVVPSVFIVVGAFFISDTPSSLVLRGYPDRARATLQHIRGPDADVDAEFKDIVLAVDEAHPNEKGAFQRLFSKQYRQYLVIGLAIPVFYELTGMVAIAIFSPLLFRTVGFSSQNAILGSVLNSAINLVATLLSSFLMDCTGRKFLFIIGGFGMMICEVAISWIMADHLGKQEGVIMPQNYATGVLVLILMCTFCFGLSWAPLRYVVPSEIYPVEVRSAGQAMSISIALCISFLELQVFIALLCAMKYIVFLLYAFFLLAMTIFVVMFLPETKGVPLEAMRSVWVQHWFWRRFNMNVKQESQAFLDK >Dexi8A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:8A:17094025:17095246:1 gene:Dexi8A01G0010290 transcript:Dexi8A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGEMLATAVIKEAVRKVSNLLQVGVQGPANRILSFKQDLEEMNKILEFIQVAIPEAEKRSINDSYARLWLKRLKKAGYEISDLFDEFQNGTPPSKLQDPSWYKF >Dexi5B01G0026650.1:cds pep primary_assembly:Fonio_CM05836:5B:28367081:28367691:1 gene:Dexi5B01G0026650 transcript:Dexi5B01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDEHLMCEIEGHHLTSAAIIGHDGTVWAQSAAFPPFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGVTLKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQGL >Dexi3B01G0028700.1:cds pep primary_assembly:Fonio_CM05836:3B:27202945:27205453:-1 gene:Dexi3B01G0028700 transcript:Dexi3B01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGEQASESPNSWEKRRDICVSVITSYSPTILCTQQGYEQFGISRKGSQDMTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWAISFGVMLFSCFINQ >Dexi7A01G0022080.1:cds pep primary_assembly:Fonio_CM05836:7A:30472382:30473237:-1 gene:Dexi7A01G0022080 transcript:Dexi7A01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTAAVGLSSFHPGLVSSLPQQHGGAGSWLHEEFSPTPRTTVLATTQGSCVGSDTAAFFAAEHLLGMARFDCPLGTAALPPMTAAKTASPFVRSPEAERMYRPVDPLLLRDDSVRTYYVRPQQLDVTEAPPPALKLPLQQQQDRVHGRLYGGNVSTGRLLGGEHKARPFSPHAMDTMSMQSPIESSLSRSCSIGASATHAGNVVTAPGHGAPSKTRIRWTQDLHERFVECVNTLGGADSECP >Dexi3B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:3B:13571520:13577480:1 gene:Dexi3B01G0018280 transcript:Dexi3B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPELPWKVLKASAGSDTRRHDKSQDCECRLQTLQWLHTPSFATVSPQEISGSNPAEVHNFGEVQGSWTASANWNWIVDPLNGEKFIKIAEVQGTEIKPFVESLSKCPKHGLHNPLKAPERYLMYGDISAKAAHMLGQPAISDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQRSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPVLKVDSKVSIVMEQMLRLLHECGLPAEDMDFINSDGVTMNKLLLEANPKMTLFTGSSRVAEKLAADLKGRIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKVTTEAMTEHMNSLLKIPGSKVLFGGEPLENHSIPKIYGALKPTAVFVPLEEILKSGNFELVTKEIFGPFQVVTEYSEDQLELDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVPKNWALPSAT >Dexi9B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:9B:9629328:9630024:-1 gene:Dexi9B01G0014390 transcript:Dexi9B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREALQELALGPGLVDDAEAGVDGGADVLIPERGGGGRAEAGEERLQHPHEHGLLGGGLGPGFEAAAGNGASAAWSAPSAWEVRPWWGSSAMGSMPPAPSVLGRPIPAGHGRVGWARAASG >Dexi5A01G0027410.1:cds pep primary_assembly:Fonio_CM05836:5A:30901909:30903621:1 gene:Dexi5A01G0027410 transcript:Dexi5A01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANPPAVDQIPLLRSPGPTDTFAAVPVVDLSIPSAARAIVDACERFGFFKVVNHGVDTATMDRAESEAVEFFAQAQAVKDRAGPAYPSGGYGSKRIGLNGDMGWLEYLLLAVHNASISDSCAVPSNAAFRAALNESIAAVRKVAVRVLEAMAEGLGIAPVDALSAMVTEQGSDQVFRVNHYPPCPALPGLGCSATGFGEHTDPQIISVLRSNGTSGLQIDDGAQWVSVPSDRDAFFVNVGDSLQVLTNGRFKSVKHRVVTNSLKSRVSFIYFAGPPLAQRIAPLPELLREGEESLYKEFTWGEYKKAAYKTRLGDNRLAQFEK >Dexi5B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:5B:7201153:7206244:-1 gene:Dexi5B01G0010270 transcript:Dexi5B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQARQQPDQAMLVAEPSRKETALSSPGNEALSAAIRASRRGCGNGRGVSGEKRREREAVVMAVAEVVRGRERWEIELSYINRRERCSYGCHRNRPMLTLSLSLSMGFLYGSLRSSYGGLFAKGVAWSAAPGRLPNKWHLHGSVDTWVALTSGVPPNAIRGLISERLPLGVGLGMKPKARGGYGWSLFDPLQCSAINGRSRAADQLDSRAKRFPRHMFNLHGTHERLDGER >Dexi4A01G0022800.1:cds pep primary_assembly:Fonio_CM05836:4A:26097212:26097577:-1 gene:Dexi4A01G0022800 transcript:Dexi4A01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAAAAAAQAVVAVALAAILSTPAPQADTFSNIPPTLSGGDGKAERIKHPRSAKALQCTTKCVGTCIRGGGGAPGEGPLNVRR >DexiUA01G0014520.1:cds pep primary_assembly:Fonio_CM05836:UA:30602085:30603771:1 gene:DexiUA01G0014520 transcript:DexiUA01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSCVARRDRNSWALGGREQPSPVTLTAGRPLPSWDGGCRRRRRASRRVLVVHEVLDDSVVVVSLVADDEAPVDARNRKLPNQTSRPAGSRSLLPSGGRPSPKFAIGNESSAALVPYLARQLRRCRPNPNLEPPPRLELPSLRVEVTKPPSLFSLSLSLSRGLVASPPWPSRRSSNPQNGFPVVPAFSQANHGEIWSPVAAAARRRLQSPELSPSIPALGSRSDENGPFEGDQDQVYEEEPLQYFEEGKWIFPLSILF >Dexi3A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:3A:1373802:1376594:-1 gene:Dexi3A01G0002020 transcript:Dexi3A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLYFGDIKDAIAALTDSSTSPTFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPTAAVPPGTLMRVVERAGDGLRVTRMAVPLRDTEEENLLDHLEPCLDFVDEGRKVGNVLVHCFAGVSRSASIIVAYLMRSEQKSLEEALESLKEINELACPNDGFLDQLKLFEEMGFKVDASSPLYKRFRLKLLGQSYKIGEKIGSYMFEDDPGLSLLPGSCQDPSKTEQHKTAYRCRKCRRVIAVEDNVISHVPGEGESCFDWNRKKSGRSYSDKEQDCSSLFIEPLKWMTPAVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWVTPAFQIVKSKVDISTI >Dexi2A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:2A:12436010:12437006:1 gene:Dexi2A01G0010980 transcript:Dexi2A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVVVPYPFGTRAGCYLPGFNLTCDTSHESPRLFLGNGTLQVVSISLENSTVRVVGPEIHMVASVGNDIVANGTWGGEAWGLSDEGPYILSREYNELVLFGFPFFAELVMPSDDHQIINTCGSICSDGSWDYSYECWKQPNSPQCLKCSGIGCCQVIVPYGRATYNVRLKNLQGVTDASIFPHSVFISEEGWFDHHHNASWSPLSVIPAVLAWAIVSNALPYMNGELRDGNATCPKDLGSTACHSSYSTCKNIGRPYVYASG >Dexi8B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:8B:20592803:20593372:1 gene:Dexi8B01G0011530 transcript:Dexi8B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLLPCRYRHRAAVVATVPAAAARAAAPWALLLAADRARPPASRPGVWAGRTRPASWYGLVTALRLLSSSQTVTMGGFPVSVSSITTPRPYTSRAVGHELGDDDALAVGAAPADEADDVAVGERPEAGELRPEGAAPHGPVDERDARFTATSRPSWSLHRPGVAGAEHDGEVVRRNRDLRQRELLRP >Dexi5B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:5B:562956:565474:1 gene:Dexi5B01G0000860 transcript:Dexi5B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKNLPPRSKDGSYPETVQRDVPCVFTLQPSVCRNFQGQERSTSQSYREERSLSFHGDYLMAPSLSKHFAEDLLRGAMDLQESLAMLERFQAASQSMRLLNKKRRPETGEKSPDIDTIIREVLLRPSNAKQAQPRTVNNGLHGKLNNSSGELKNVVKDSFYIKNLLSVSSNNERASLSQSARANNYLMSKASQQKKVAPKSFPSCAAVQPDKSKAPTLVAKLMGLDGLPSQKDNSKMKDEKKVSSPRARFHIEMPKLQRPQTLLFGEESGFDPEMPRSEQLAPEHYNASCTDYTTSQKVLAPLYNTVVTSEIRPMKSSRTQRNIEQPRPKSPKEIKISAPPSRKQQIKETTEINRRTREKQKSNLTSRNRGKREDAKAKTVSASRNAKVVNKSDKKVASSSSRSCDSVKPVLQRTTNDSRKKTVSRRNVKSSTVDELVVYEIQREIFHALDQIDGPSTEYSATPSNESYPNADWEAESSVDDIQKDFCESNEALLSTSHSENVGSTDGDASHPSTDILPLEEAEIKDEIILLLLSDKSFLSGAAKLIGIDMYEQQSNQYKGIISKVEMKNHKIYLDTAAEQLERKYHQQNSLCYAGFQGHKCRAPAYLSLEELLRDISSGIRKLNGYSKRDDVGGTKDSLDVKLERDLRFSDASINGIWDMGWQGFICTEETECFIRDAGEDILSSLIEEAVLDICMR >Dexi9A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:9A:8748664:8751038:-1 gene:Dexi9A01G0013610 transcript:Dexi9A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFSAAGAADMELGEVMGLQRGAAGTVPSPRESDLGRLKRAGLTQAAASYPSPFLDEQKMLRFSKTAHALPSGLDFGRPNEQAFLLSRTKRPFTPSQWMELEHQALIYKYLHAKAPIPSSLLISISKSFRSSNRVSWRPLYQGYTNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPKKTAKEAPTAAGGSLPCPVSQGSLKKAKVNDSKPGTVSYWTDSLNRTMLSKEKANQPTEDNNAALLNSTNIQPTLSLLSQLKHHSKQDKFNPEVDSESFSSDTILKAWESSNQQRNNSISSTQMQDAESLQSILQNFSLAKNDKMESAKNKYSDSMLVSSTFYHSAEGPRTTCLTPNNMTQVQADCISNSWEMPQGGPLGDILTNSKNSDDSNNKCESRSYGWLLNLDQAT >DexiUA01G0023380.1:cds pep primary_assembly:Fonio_CM05836:UA:47840144:47840580:1 gene:DexiUA01G0023380 transcript:DexiUA01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASSGRRPTAVVLLLLLLLLLLHISTTLGACTPARGRRVAARPEDGYSWDPVTAAARRGLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFVLEYYPEAWRCKCGNKLFMP >Dexi1B01G0026990.1:cds pep primary_assembly:Fonio_CM05836:1B:31822821:31824474:-1 gene:Dexi1B01G0026990 transcript:Dexi1B01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKQILRAGTGPKPRKGQKVTVHCTGYAPRTQGSSHSLSALCTPDYAYGASGFPAWGIQPDSVLAFEIEVLSAQ >Dexi7A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:7A:26651771:26655124:-1 gene:Dexi7A01G0016840 transcript:Dexi7A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVAISLSFRFFLPPRLFRGNARWPRPIRATASSDTSGLAGGERKVGALERRVGDLRALVASVPPAVASIRRNIGPNFVTGFCLGIAVLAAVARRVIITSQGHDNRGSVADLVRRGQLKSGQRGIAKLRTYDDPFSNPLVKIDEDSSTAQMFGKEYRLAPVRLTKEQQEMHQKRRSRAYQWKRPTVFLREGDSLPPDVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRVKAEHEALQARLEASNDVAKLPSDSRGTQHNERLSGKPSVNRRSSEFVSELENQDKKLISESGKHSSDESLQSNRLEGK >Dexi1A01G0032030.1:cds pep primary_assembly:Fonio_CM05836:1A:36767258:36771131:-1 gene:Dexi1A01G0032030 transcript:Dexi1A01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGPNFSSFYAQHRGIGAPGVPGHSPGLHGPPPGGYRHHHLDAASAGYAFQTPHVGGAHIGQGYHHVEASPPMAQHGAGGGASSGGAMEIGMGVAVGADAKGDQGSGAGQDEQVKKKRGRPRKYKPDGAVTLGLSPSSSSTPHSSNSGMGTMVSTPGSGFGSGGSGGSGSGAPSEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIIQPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLHQDSDSGGVVTYEGRFEILCLSGSYLVLDDGGARTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKAEADMEHEEANAAGEEEAGPVMALPEHNMPPHALGGWPPDMMRQMDSRTSNIDINSIRE >Dexi2B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:2B:23270740:23276980:1 gene:Dexi2B01G0014000 transcript:Dexi2B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTVHDVPDHLLDRVLALLHSHDAVVRAAARRLSSTRGLDMCWYRHKFSTCLGHYHAINDASFPTNQSPKAEQRRRVVFVPASPSINPRHFSLDFLPNAPNGRPWELIDGSGSLLLLSSQRCGFFPDLIVCEPITRRFVRIHPIPAMKYSHCIGAFLGYRYNVISMSSFRVTCVLHDLADGIAGHVVTSVAYNHRAPPIPVDRRYRNGWEKKRKDSSTRQSLLLLQGAESACYAGRTCYASSGSVFWSVDGEEEMALSCPTRFVRSSRGRVRLLSVAGEELRVYVNGDREYYHVVDPIEWVLEGRLRLPEATRGLPGHKECYFRGAAARIVTSGEDYVVVTPAEETWLFSVDLVSMKVEREHSRNWLPGEVYPYELQTRPKVHACLS >Dexi5B01G0035620.1:cds pep primary_assembly:Fonio_CM05836:5B:35560160:35563245:-1 gene:Dexi5B01G0035620 transcript:Dexi5B01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTEAEEGPFVANDAKAITSETVLLKFEKSRGQLQESLRRVESIVTEDISCKIAEIVNELEEIVFTLDRSEKEAGDDVINLLQRNNKTNGSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKELVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRGGGPRSENMSIPPEELRCPISLQLMFDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLDHLSLTPNYCVKALVASWCEQNDFPVPDGPPGSFDVNWRLAFSDTEATGCVPVESFDSTNVKSVKVVPMESMRKEEPANSESGTLDDSSCNDFDLNEGYGNLLLLLHERSNVNKQCRLVEQIRYLLKDDEEARIQLGSNGFAEALVEFLRNAVNDGNEKAQEVGAMALFNLAVNNNRNKGHLLSAGVVDLLEQMISNPRLSGPATALYLNLSCLPDAKAIIGSSQAVSFLVDHLYSQEAGDTKSSSCKHDALYTLYNLSNHQASVPALLTAGIVDALHCLLTESPASEGLGWTEKALAVLISLAATQAGRKEIMSTPGLVSTLATLLDTGEPTEQEQAVSCLLVMCTADDKCIAPVLQEGVVPSLVSVSAAGTGRGREKAQKLLKLFREQRQRDAPPPQQTQQQQSQLVEAGNGGAIVCHRESKPLCKSKSRKLGRTLSSLWKNRGFSLYQC >Dexi6A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:6A:2507109:2510563:-1 gene:Dexi6A01G0002690 transcript:Dexi6A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTLMPQPAPARAREVFDGSWGRMPSGGRRLPPWTSPRSAAGAPRWSPAAGTPAGAAGYGTPPVGAGGGGGFGTRVTPPTSGGGGGFGTRVTPPTSGGGFGTRITPPTSGGGCSSRPPRPPPPSLDSPYVRAKKAQVIEKDPNKAVPLFWAAINSGDRIESALKDMANVLKQANRAEEAIEAIRSFRDRCPYEAQDSLDNVLLDLYKKCGRTEEQIEMLTIKLRIVDEELASGRWKTKPSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAIEADYNKECNLAICLMKTGKLAEAKYLLQAIPYNCDDESHVKSLSRATEMLRELELQSLPSPITQMKSKESRILLAADFKMFEDPQPQTLSTPLSQLKYKEPHISVSANAEKHDNCSSWLPSPMTQLKREEPQISVTADTEKSEGQAESQDLSQLFNDAATPHSILEKLRKRLVREAPKNGIHDQTQTQTPTSSECLPSPDRNQDTSENPVQGGKLLTKVVRKTWADMVDEEEQQLGEKKPSADMVAKDEQQLDDDKLTVGVGTTERSESSKHVNKQEYITPPSSQGSSSLHRPDVGGHQQDSSANSWRRSDSKITSDNKVNWDLVRTAPTWSKHKVQDHRDRVCQRTNTAHLNENTSGSKQAPWRSSASQRALFPNWKSKGEGYGHGYVPFDDNEHSQGSGRTEATHRWHNDVAGTVSWRPQNRLRVFQEITNEINQNVV >Dexi9B01G0026740.1:cds pep primary_assembly:Fonio_CM05836:9B:28985518:28985865:-1 gene:Dexi9B01G0026740 transcript:Dexi9B01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLDHTFTPGHRQAWEQQPCAQGGLQLRWLILLACTCARQHFSSAGNLGCVTWIRPRQAATSVQRPGAGASGGQASTVTAVCRPQLSRAAREPVAQAAQGLAGTATRGRKGAA >Dexi6B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:6B:24878631:24879222:-1 gene:Dexi6B01G0017810 transcript:Dexi6B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVIVVGHDDPLMAVPPSDIGSHLGCLLDSTDGSEVSFLVGGETFPAHRAVLAARSPVFKAQLFGPMKEATMALRFMYTDAFPTDDGDLGDFYDLLAAADRYALDRLKILCAIKLWENVSVDTIAGTLDRAETHSCPELKMKCIDFLAEEKNFKKAVLTDGFIQMPQKFPSILTELREKVGV >Dexi8A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:8A:30549450:30550126:-1 gene:Dexi8A01G0018200 transcript:Dexi8A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSVKIEWGTLKENCWDTSAWEAAGFSLSRRLRLLFTTWITFTRFPSSCINPRRLAYLSHLSLKLDAINEQELTILGMLPELRFLDLTLKSTAEMKCNTAAAPTDAAKGGEVLLFQKLRRFNLNYSEKVWLLLGKDNESSGASFPIGCVHAVVLLGSEWEGVCSGGGLVPTLMPCVQKLSFTQPLLGRLGLASEYRSGYRLHGCQ >Dexi8A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:8A:25275518:25275863:-1 gene:Dexi8A01G0014740 transcript:Dexi8A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASEEVEEERREKEADPVAPAAPERQRSVDSSAVDDKRKKIEETISFLGSWRRKTSEMLQAARRGHVTGGFELRFLEFIEGELSFIIVYASP >Dexi5B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:5B:1228995:1231457:1 gene:Dexi5B01G0001920 transcript:Dexi5B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVRPGVVWAGALASVLLLAACTAVAAVSITRRQHRASSSASCDAFAAGRWVVDESYPLYDSSRCPFIRDEFACARFGRPDKMYLKYRWQLDPPCAQPRFDGLALLRMWRGKTVMFVGDSLALNQYESLLCMLHAAAPGVRTTLTPASGKIDPSSTVRFEDYNATIVYYLTHYLVDLVPEKSGRVLKLDAIEQASNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVRDMDRTKAFTKALHTWADWVDANLLHTDTKVFFQGISPSHYKGSDWGASPKKTCMGETVPVNGTGPYPGGPIPQQAVLKSVLAAMAKPVYFLDFTYLSQLRKDAHPTKYDGGVFAGDCTHWCVAGLPDTWNVLFYAALTGQL >Dexi2A01G0037030.1:cds pep primary_assembly:Fonio_CM05836:2A:46505661:46512879:1 gene:Dexi2A01G0037030 transcript:Dexi2A01G0037030.1 gene_biotype:protein_coding transcript_biotype:protein_coding DATVATATDAAGLVPDGPGDLERRVMAAVKASEARGDPPLLRAVELARVVAGEGAGIPSADLAGILVSNLCFTHNSPSLWKLVGQAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELMKCNVTSSWLSIEAGPNRDKITKSIADALQLSNTYGFCGTEFGHVVIMFVLVVVTKLIDSILEDCGFPAGMAEGQERAYAIEGPQPMDVDVKRVSTENQNEHREQLRRKNTVMALDVLHMMVADRKIQSFLRLIFLNMPEKFSALNQRLSSIEAHKVALENLLPSRHKINDLLIDIRRVCNADYQPNNKRIVDVLGNMRSGGSLLGQVTGAGRAACWIIFDIYVENAIDGKHLSGISAIEVIKEMTKTLQVLNEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLSVTAILKEESDMFGAEGSRILPRRQGLISSLQDLIQYSGLLVPPSSVVNAANAAASKAAIFKANYNAGAGNSSMVIQTDSSTKAGTYTIHIPMHSESTQVKLATLIDLDLSLNLVETVGELDKLYNIAVNGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSASLPSDSSASTPGSMNHYLAQMSTLNEILLGVSYGDAIHILSLYGMVPDVAAALMPLCEAFGPAVPAWEFLEAVPFVLEAVLTACAHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGIEWPSPGASLHSIEAEVKEILASAGVQIHSCYPRMICIPWCATNASFADGCACQLDNYV >Dexi7A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:7A:14267649:14270385:-1 gene:Dexi7A01G0004060 transcript:Dexi7A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASPVSHVAAPLPPCGRKKRASGVVVAMASTINRVKTAKEPYTPPREVHRQITHSLPAQKKEIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDEFYDEVKELRERAKEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDNNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQGPVIPFSWIYDRKVQL >Dexi5B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:5B:1854699:1855025:-1 gene:Dexi5B01G0002870 transcript:Dexi5B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSRARPLDTAKGRAAGAVAVGDSSRAVRCDGGGLSGSVPAAEPCVHAAHSITDSTHTTPGS >Dexi3B01G0028060.1:cds pep primary_assembly:Fonio_CM05836:3B:23866136:23868653:-1 gene:Dexi3B01G0028060 transcript:Dexi3B01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGQLCPRPAAAATWPASGDDPGQPANPLAVMSRPPAHAPDPLRCPVAHCTNRFAAASGRAIMAGRAPPLAAGAHVVQSRSTAGFPPTTRQESLQRIFTRPRLNPRCPTPSRALPELTGHQWRCSVVQPPHPSLAGPNTQPSDLTLSPSQHPTISLSSALPHAFFHLRPRRAELELSRHKPKSTAFPSMLLEPSPTEAEQRSTGIDGFPPLLGHSRCELHLHIEPYTPVIPVAAKLHVELRLRPVKLTLLSAPHLNPRSRLPTSPELAALPLTVDEPCRFATILETYPKRLAVSPSSFSPTSPAPVRRLLAGIQGTLADGTYKLVPANKEEAPEGGADVIVIDPETDAGVAQEGKPRSIT >Dexi5A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:5A:484985:487437:-1 gene:Dexi5A01G0000710 transcript:Dexi5A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALGHRGEASEPDFFRGVLGELVLTFLFVFIGVAAAMTASAGKSDAGGDLTAVALGQALVVAVMATSGFHISGGHVNPAVTLSLAVGGHVTLFRSALYIVAQMLGSSSACLLLRWLTGGLPTPVHTLAAGVGPVQGVVAEVVFTFSLLFVIHATILDQRKVLLPGAGPLLTGLLVGANSIAGAVLSGASMNPARSFGPAVATGVWTHHWVYWVGPLVGGPLAVLVYECFFMAPERTTHQLLPQEA >Dexi4A01G0022370.1:cds pep primary_assembly:Fonio_CM05836:4A:25623282:25624290:1 gene:Dexi4A01G0022370 transcript:Dexi4A01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGASSMEAAEDFGKSWLGLGIGGGGDLKRSHGERRSAAVQFDLLFPQSVKGEAVAVGAKVAEKGARKRLMKMIADDDDDGRRSHEPSPSDDGGDGAGTKKKLRLTKEQSTLLEETFRAHNILSHAQKHELARQVNLSPRQVEVWFQNRRARTKLKQTEVDCEILKRCCETLTGENQRLKHELAQLQRSSAAAGLYVQFPRATAMAATICPSCEKVTPTSGGETSKSSTSYSS >Dexi8B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:8B:14586093:14589058:1 gene:Dexi8B01G0008800 transcript:Dexi8B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAERLALPNLSAFRGGLARSSPGMDPYPRAPLLPLAILVLALAASVAAAAEDDKAGVSLGRRAGGFLHGLKKEVVAEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEIEEVEEKLESGQGKSTARRFFARFCTPIFLEATH >Dexi9B01G0046050.1:cds pep primary_assembly:Fonio_CM05836:9B:45430724:45431594:-1 gene:Dexi9B01G0046050 transcript:Dexi9B01G0046050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVSCHSLVSAVVIVVAASLAATASAQWIRGSATFYGGADASGTMGGACGYGNLYSTGYGTDTAALSSALFNDGAACGECYQVMCDAQNSQWCKPGVTVTVTATNLCPPDWTKNSNAGGWCNPPRQHFDMAQPAWEKIGIYRGGYVPVMYQRVSCSRSGGVRFTINGNNYFELVLITNVAGPGSIRSVQIKGSRTGWVTMSRNWGANWQSNNYLNGQSISFQVTATNGQTIQFWEVAGSGWQFGQTFTNGQNFY >Dexi4B01G0023020.1:cds pep primary_assembly:Fonio_CM05836:4B:24523628:24526045:1 gene:Dexi4B01G0023020 transcript:Dexi4B01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFLQPQQQGGSSSFPRERSSSNVSLSSLARSASGGGRGATRGRRMMRRVCRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWQSRRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEVEATNGMPRASIDKLPESWITEEYKRDAVGDLSGCSVCLQDFQVGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >Dexi8A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:8A:25055199:25057206:-1 gene:Dexi8A01G0014630 transcript:Dexi8A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNAVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAFCSVGVLKVAVTIAVREACGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYHEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHGVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAQFIESVKSCPDEKTREVLKLVCDLYALGRIWKDIGTYWNVDYAAPNKAKAIHKLTDYLSYQVRLVAKELVDAFDLPDLIIRAPIGMRSEAYAQYTQYVGF >Dexi9A01G0028330.1:cds pep primary_assembly:Fonio_CM05836:9A:33005520:33008566:-1 gene:Dexi9A01G0028330 transcript:Dexi9A01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGMTPATAEAVEELTRLYRELPPRPAVEEVEAAAAVLASADAEEEARFAEIAAEEAADRARKGVPAELLDVLREAKRNAVWLRALQQRKEAAHVVELERRFKVLDDLIQRASRVVSPGDRGAGDAVVVDEVVEVEAKRRPELAAAVAAAATEIDRGGKGGLGLEPKSVSSLRRAASAGNDTEKLGLIQVASLIEASAKKGTTELNLRGKLVDQVEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHANQLINLPDTFGELSSLIDLDLRANQLKSLPTSFGNLTSLANLDLSSNMLKVLPDCLGKLTNLRRLIAETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGQLSRLRELDVSFNEVEMIPENICFAASLVKLNVSRNFADLRALPRSIGELEMLEELDISSNQIRVLPDSFGNLSKLRIFHADETPLEVPPKEVVKLGAQEVVNYMKNMVTARGASQKETDKRSFWTWLRSLFGCCKKDQGLGSVLA >Dexi2B01G0024090.1:cds pep primary_assembly:Fonio_CM05836:2B:33553687:33556859:1 gene:Dexi2B01G0024090 transcript:Dexi2B01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPTAPAPAAVLLGRRHRLPFSSPSLRSNHQRLLPARLLRLPARPGAAPMSTEARPVAPPAHPTYDLRAVIALALSEDAGDRGDVSCLATIPSDVEAEATFIAKADGVIAGIGLADMIFNQVDPSLKVEWFESDGNYVHKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATLTKAMSDAARPASILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGIANAMRSVDQFLEKEKLTIPVEVETRTIEEVKDVLKYAAENKTSLTRIMLDNMVVPLPNGDVDVSMLKDAVQLINGKFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >Dexi2B01G0021090.1:cds pep primary_assembly:Fonio_CM05836:2B:31024646:31025275:1 gene:Dexi2B01G0021090 transcript:Dexi2B01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVSPSSPLLAVAVVAMAALMMTMATAAAVAVKDSTMDNIQPLSTLKMQAAQVAMDSGAIIHASPDVLGKNFERRRRRKHRCSSGRARWACDRVTHGTH >DexiUA01G0012600.1:cds pep primary_assembly:Fonio_CM05836:UA:25660722:25661045:-1 gene:DexiUA01G0012600 transcript:DexiUA01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLEVRVRKLPLLTNQRPHRSSKQTARITSRSAIACEFLLPHVKSRLGSQLPLPAAVLAATHAPHTLPLLHARGCCGTTQLAPCMGGKATRQGAALAAEACEPPPP >Dexi3B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:3B:808512:815292:-1 gene:Dexi3B01G0001080 transcript:Dexi3B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSEQSLVPGDAVALLLLISADYGGCRHYDSTRESSGAGRIPPPPDEDIAEGVSGNRDLMAGVTQEMEEGCMENDNMANCVNVNDNLVLADENIAEKVHGKEEQTAAGTQEMEEGCTEDDNMTNHVHVSGSVVLADDDIAERVDGNEEASGSTGQNEESCMENEKLNLVADEDIAKNIHENEQDIYISKAKVGKMQCGKCSKVLALSFPALWGPVSISEDYGASYTRGLPPQAGSSLAATQSTAKKVSDSALHRLMGYDSASQLLRHNRVFEDGYESFESMVPVSSRVVEMDDKT >Dexi5B01G0031570.1:cds pep primary_assembly:Fonio_CM05836:5B:32250730:32251069:-1 gene:Dexi5B01G0031570 transcript:Dexi5B01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWRKATATPCRALLVLLIVVSSAAVSTAQDEGCWKDDNHHPICMTEDCRLTCKDHGHEDGRCNWGWGNLIPICQCLTADCH >Dexi9B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:9B:172860:174299:1 gene:Dexi9B01G0000220 transcript:Dexi9B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSSSSSSSNRFRVLDTTLVAPAAPAPPARSLPLTFLDVKWLHLPPVERVFFYRLISPDDADVAAILSGLRTSLSQALRAFYPLAGHVRILHNNGRRRHELCYRPGDAVPFTTAAYDDLDDDIDGAGRPVRVAALAPLAPQLPKGRAVLAVQATLLLSTTRGGQQGRALALGVTVHHSACDGAASTHFLHTWAAAATRRSPPPPPPVMMDRVPDPRGLYDLYLNSLPPMITHDGFEFVSKPPSSFEDKLLATFTLPHSLQQSIKAAVAGEAARRGITPPPRCSSMLATYAFIWCCCCRATTMPTTTGMVGTTTSYFLFSVDQRRRLSPPVPDTYLGNCLCPAIAAAPEQELAAAGVAGLMAACTAVAAALEEEVREGAQANGWWDTCVDRVKQAVARGTLLSVAGSPRFRVYDVDFGLGRPAKVAMVSAAKGGAMPVAEARGSAGGVEVGVSLPAAHMERFQKCFADGIAWLSAP >DexiUA01G0026330.1:cds pep primary_assembly:Fonio_CM05836:UA:56198549:56203067:-1 gene:DexiUA01G0026330 transcript:DexiUA01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGRRARRRLMRETKEEIERNSQRCSPAAVTSSGALSPNGGGGTGDRARRGWADSVRLGEDMLVVAADLSSGFHMRRIDELMAVGIGDDGGATWAPRCGSEMRLGGKQKGARRDGYAHLVEERADILEIERRKGGDGATLVTRDGVPHSRGGARRGGMRLQLGQAAGQCTRDDTTGHTFCRLRSVGPRRQTRFDAYLGRELGQRGPASLGAHPGSLAQMGSLLRANRSWHAAVRLISEVRHGITSTKAGIDRMVGVLPIVLLHLSDDSLRRLLQLIPPTAAVVRGCSIVRRQWPRREDHHAAALHSHQQHGYHRNPHRSSRAATAVVDPVSGHPRPRDLAQTNHGEPLSFSPHFPGPVSPPSGRRNHAGEPRDPYSGLADGVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi6B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:6B:26350061:26351961:1 gene:Dexi6B01G0019700 transcript:Dexi6B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQLGLDTSILLLLAAPSLYSTMGEGDHEPRGPSPVAREPPARGAHGVLLLGDLQRAELAAKKMPSFLRAIPDIKRQLHTTRTTTNFWPLRKNEKIFDAGYNIKVIIGVVDSGIYNKHLSFFDAEEKKSWSHQPRWKGGCTEGGIDPMQPEACDGHRRWQLFPRCVAPRGPPRCDDSPSHGLRHSHGDSSPDTHRHIQSLQLKRVLRLPDSMEEVIHDGVDLINISLGTGANDTDGFDMDLVAIGAFNAMAKGGHAPWIIKVVVTSVDRRFDAEVSFREDSTIVSVVCRHLPSNMESLKRSWALGTVLISDETADYTTVTYNYDGNHSKGPFVGVSFNGVVLGARAPTLASFWSRGPSLHILAPRRNIMYVSTIGLEDND >Dexi2B01G0035420.1:cds pep primary_assembly:Fonio_CM05836:2B:42442055:42442172:1 gene:Dexi2B01G0035420 transcript:Dexi2B01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKGGRLDGDCESPVILRLRLASAEVAG >Dexi6B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:6B:25678098:25682528:-1 gene:Dexi6B01G0018720 transcript:Dexi6B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSPLAPNVIDKLYPEPERPVACGNGVGSPLAVATGLAPEISGQETRRPQDQKQAGKGLPLPLQLPPPHPNRKSPQPSPDPATLAREAADASARKRKAADGGEAGPDDTTAAAAPIPHAEEDAAMGDVPHAAEEGAGAVPEPKVADPNPSPSLGGCSDPPVSVELSMGGDYYQRGCCGEPDLDIPEGPKLPYVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVDRIMIKIEQCKKTLLSLGYIEFTFEDFFSIFIDLLESVLQGHETSIGFVTSGEIQRRSEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDTGNLSVNHHDFIPSANASEGDGDSGTTSAPAAEKPYITLLYRPGHYDILYPK >Dexi2B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:2B:28837564:28838529:1 gene:Dexi2B01G0018560 transcript:Dexi2B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHADEVLVACDAFRIYRSGKMDRFHNPARVPAGLDPATGVTSKDVVLDETTGLSVRLFLPSRGAGADCSPAKKLPVLVFFHGGAFLIESAVSPLYHGYVASLAAAAGVLAVSVEYRLAPEHPVPAAYDDAWAALQWAASARDEWLAEHGDVSRLFLAGDSAGGNMVHHVLARLASFPHPDAPRIEGAILLHPWFGGNALVEGEDATRAKEMARIWEFACPDAAGGADDPRMNPMAPGAPALESLRCERLLVCAGEKDWAAARDRAYYAAVAASAWPGSTAWLESEGEGHVFFLEKPECANAWELMDRVVKFIDGSRAPS >Dexi5B01G0032000.1:cds pep primary_assembly:Fonio_CM05836:5B:32641379:32645555:1 gene:Dexi5B01G0032000 transcript:Dexi5B01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGERRSPPQDGITVDGGSRAQSPGAAGAGRLPPPPGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVAGMRPGGRMTRMQSSAQMGLRGLRFLDKTSGGKEGWKAVERRFDEMTKGSGRLQKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGINKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEKLKRTTSSLARTMIPSRYRSPLKRHLSKTVDFIHENWKRIWLVTLWLVINLILFVYKFEQYKRRSAFQVMGYCVCVAKGAAETLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVMALSIAIATAIHTLAHVTCDFPRLISYPTDKFMATLGSNFHYKQPTYPDLLESIPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYILLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSILKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAQVTSKKATLTRLETTVVADAQIEDTRFPRVYIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDMLNNLKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKELSKEFSQTTTTRFHFHKENF >Dexi8A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:8A:20799725:20801313:-1 gene:Dexi8A01G0011800 transcript:Dexi8A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGRARELLAFAAGAVASALLLLCSTSILSPTPAPNIVSTIPFSSTTSSAAAATADVGKDPRTFYDDPSLSYSVSHRITAWDAKRAAWLRTRGLDAGAPSVRRRVVMVSGSQPEPCKATTGDHLLLRFLKNKLDYCRLNRIPLLHNTAHLAPTMVAYWAKIPILRAAMVAHPDAEWLWWVDADAVFTDMDFSLPLDRYENHNLVVYGWDGEVYEERSWVGLNAGVFLIRNCQWSLDLMDAWAAMGPASPEYARWGKTVHAELQGKPDEESDDQSALVYLLSKHPARWGNLTFLESGYYFQGYWAEIVGRLDGVAKRYEDVERRRSSSSSGLRRRHAEREHLRYAAARDAAVRCGGGQKGWRRPFVTHFTGCQPCGGAPDRKYTRRRCGEGIRRALAFADDQVLRNYGFRHAAPLSDSVVPLPFDYPAAQ >Dexi3A01G0022770.1:cds pep primary_assembly:Fonio_CM05836:3A:18380939:18381418:1 gene:Dexi3A01G0022770 transcript:Dexi3A01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLISSDNERFEVAEAAATLSQTIRHMIEDGCTDGGIPLPNVTGKILSMVLEYCNKHAPASAEGEADAEAAASSKEDKEDLVKFDKAFVEVDQATLFDLILAANYLDIKGLLDLTCQKVADMIKGKTPEEIRKTFNIVNDFTPEEEAEIRKENAWAFE >Dexi2A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:2A:3814779:3816026:-1 gene:Dexi2A01G0004290 transcript:Dexi2A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALHRLDVSKHLFYPSTAEAEEAHAKNDKETNNGGGGGKPKPLRIERLRRLPPPITGFVSSASPTRDPKRWHPMSEDMFVLLHGGRRGGGGEPGCKILHANSAGHAVLYDADWRAVVCVPDLGEPKGDSPMSFVVPGAGAGEEESLYVLRSVLMEPTYYQTDLHRSHNFKVLHFGGGGDSDDDDDDLRSYGFDLTSNFKWHPLPPPPLSGKNLVSSTVVDGGRTICVSAMSNGTGTFCFDTGTQQWRHAGDWKLPFYGRSGEYLPELETWVGFSTRHPHHLCSADLTGIAMADRQFSTYFTPPATVETELVLNWRFPGIVRQTRLEWTSEQLHLVRLGSGRLCVVKVFDAEETVSLSYTFDKYEEHKGTLTVFVGVELLRGADGGLRLCAMVSFKFHPPKEYFPTL >Dexi6B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:6B:11272721:11277940:-1 gene:Dexi6B01G0008910 transcript:Dexi6B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPKQLVLAASSADAGVASWDLRTGAEEIRHRPCASRPRALASVADRFLAAAQAPPSGSNSGTVHFYHWDKPQVAVKSFPAEPIRALIADQEGSYLIGGGSNGNLFLWEVASGELLHTWHAHYRAVRCLTLYDYLLVSGSEDGGIKVWDLITVLDEQSRLEAQTPYLYSFNQHALPVTDIACFLGAIAVSSSEDRTCKIWSLSEGRMLRSISFPTSIDSVALDPRSHVFYAGGRDGKIYVTAMGVDISSHGSDDSSILGALDDHRFALLIYKAVTSLASSTDGLLLVSGSEDGPVTNVLIVTPKRVNLPPLHPLRKLWSANGKVETRSVILPRPENDVPIPGNRTSIFMERYLDELQKYGGSSRLFDSGLNTQNGTHSQQGEWKGRYLELQDLFVHEVLDQMASSRNP >Dexi1B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:1B:7910121:7910330:-1 gene:Dexi1B01G0008950 transcript:Dexi1B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRRWCSYRAGNPLGVDEPVGCRFSGRRTREGAVLATGGEGRWSRPRLPDACSATAGRFATEDVQRYT >Dexi5B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:5B:11627701:11628794:1 gene:Dexi5B01G0014770 transcript:Dexi5B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFPIFLCGTNTLITFLEFVGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSSQAMIASSYAITDYKRVQKITMFALQVGVISGLALAVGLYASFGNIARLFTSDPEFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGVISSLVLLWAPSVFGLAGVWAGLTTLMGLRMVAGILR >Dexi2B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:2B:28162313:28166656:1 gene:Dexi2B01G0017790 transcript:Dexi2B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSRQQLAAGGAPLHEPPEDEDVVDAGGIGGGAGGAATTPSTRHAIKALTAQIKDMALKASGAYRHCKPCAGSSAAASRRHHPYHHRGGSGFGGSDAGSVSDRFHYAYRRAGSSAASTPRLRIGGAALSSGDATPSMSVRTDFPAGDEDDYDDDEMASEGGGKEDDAKEWVAQVEPGVLITFVSLAQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQTVPLPTTKSEDESSKEDSPVTPPLDKERLPRTFHRPMSSGGAMGYSSSDSLEHHSNRYCNGHHHHHGHQFCDSIGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >Dexi3B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:3B:1742542:1745182:1 gene:Dexi3B01G0002580 transcript:Dexi3B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEENQRYMAEFEAKYPHEDWWALVARGPTRAVVVCDPVYFEVVLKVKGNVESQDKDLSLLTEPLTNHSSILYTCLMSKDYTSKLSTLELTFGYVVDSVEATISVCITEGSWPDGYIGQVTAHTSSLKNRVLLLRSEFENMPVSDDGMINLSRCVASVELEGKLTVSVVAFQHDHDDYDRINVVGKDEEDFSPRKSGKSYGRLDVGFCKMDVTVAWPLPSLIRPRYP >Dexi3B01G0028280.1:cds pep primary_assembly:Fonio_CM05836:3B:24221301:24226978:1 gene:Dexi3B01G0028280 transcript:Dexi3B01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAANKQDMYTKDGSVDLRGRPVLASRTGRWKACAFLVGMVRGVRAHGVLRGGVQPGGVPDDAAREETVPSVRNVNNWTGAVWMTPIVGAYIADTFLGRFWTFTIPSLIYLAGMLLITLAVSLKSLHPQCTPGGGCAAATRRQVAFFYGALYTMAIGAGGTKPNISTFGTDQFDDFDARERDVKASFFNWWMFSSFTGGLVAVLVLVFVQENVGWGVGYTIPTVGLALSLLLFYVGTPFYRHPARRGSSLGYSARRSPTVGARCPHETAWYAAAGKRRLHRTPAFWFLDRAALMPARDVTRRPCTVTEVEEVKLICGMILVWLTTLVPCTIWAQVNTLFVKQGTTLDRSLFGVRVPAASLGSFITISMLVSIPAYDRVLVPLVRRRTGDPRGITLLQRLGVGCALQVLVVACACLVEVRRMRVIRERSVRGAGDTVPMSVFWMPPQYVLMGVGDVFNSVGILEFFYDQSPDGMRSLGTTFFTSGLGVGNFLNSLLVTLVDRATRGRDGRGRSWIGDNLNDSRLDYYYLFLLILSVLNTALFVWVAMRYKYKREFLDMEVERVGAPEL >DexiUA01G0026780.1:cds pep primary_assembly:Fonio_CM05836:UA:57291812:57300125:1 gene:DexiUA01G0026780 transcript:DexiUA01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPGETEAAGASRPCEGGGGRKPYPGIAGASAYAPVGLLGLLLLFQTTRVRFVFDNEALEVKVGDQLQESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFTVIFAAANYCLTCSDHSSEGGYNPTRECFIVDIQEVGDDHAADAEEGDKADPEVAQPPVPPENPP >DexiUA01G0022260.1:cds pep primary_assembly:Fonio_CM05836:UA:45412219:45413496:1 gene:DexiUA01G0022260 transcript:DexiUA01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLSSSCDQESRLAYMTYHLLEITRSKRIPGTLSIEHDVAAVAALTKRIKSSENQKAEPLDSQGNNDQADSDSSTLISSIGRDNSINCLARCSRSDYGSIASLNRSFRLLVRSGDLYKERRQLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDADGRVLRSAELYNSETKRWTILPSMNKARRMCSGVFMDGKFYVIGGMTSNTEVLTCGEEYDLDRGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNKRDNSWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPSGNFVYNCAVMGC >Dexi5A01G0039400.1:cds pep primary_assembly:Fonio_CM05836:5A:40045741:40047805:-1 gene:Dexi5A01G0039400 transcript:Dexi5A01G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVSSKHLPLDFVAPVHSDVLIKDQFEDLASLGYPKPSISMLNDGMILINTFEEPFGDEFGKGVWTELSGTASSSIQGNIVALASFNGEIRFSACTGFFIEWNGCSTVLTSTSLVRKSGYENMINKNLRAGIGGPLLDFDGRFIGMNFYGQDQGTPYLHWSVILCVLEHFKTQGSVAKVDNAGKPSHLLGWTMAEDRSVRLNSWHVPEPYWCHPDDPKIHTEPIII >Dexi5B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:5B:3203901:3204413:-1 gene:Dexi5B01G0004740 transcript:Dexi5B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQPPSRRIDGGMATAVGGARSPTRSGRGDQQQQSPSGEAAARYPTQEARGGSGRSGLAARPPIRIGEGRGNAAAQQHQPSAPLRSGGGEDGHGTPVPASLRSWSRWRRSRGTRLRRNWSSCWEMDTIRHKSFTLVEAQASWPLPAQEAASWARTAASTNAVKPSKPI >Dexi9B01G0038850.1:cds pep primary_assembly:Fonio_CM05836:9B:39718523:39720631:1 gene:Dexi9B01G0038850 transcript:Dexi9B01G0038850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEYTIQCNQGRLLQKQSYRSKSPHLVDFLDLGSSTASAGRGSILSHAAGHVRTTGALVHLGDDGVADALQLLHLLLKLFNLGELVVVQPADGPLDGIVDLLLVGLRELGRNLVVPDGVAHVVGIVLERVLGVHLLLVLLVLGLVLLGLLHHLLDLLLAQPALVVGDRDLVLLAGGLVLRRHVQDAVRVHVEAHSDLGDSPGRRRDARELELAEQVVVLGPRALTLVHLNQNAGLVVGVGGEDLLLLGRDGGVPRDQNGHDAPGSLQAERERRDVEQKQVLHLLVALAGEDGGLDGGAVRDGLVGVDALAELLAVEEVLQQLLHLGDAGGASHEHDVVDLRLVHLGVAEALLDGLHALAEEVHVELLEPGAGDGGVEVDALVEGVDLDGGLGSRGERPLGPLAGGPEAPERPGVAGDVLLVLPLELLHEVVDEAVVEVLAAEVGVAGGGLDLEDALLDGEEGDVEGAAAEVEDEHVLLAVAARLLVEAVGDGGGGGLVDDAHDVEARDDAGVLGGLALRVVETVLMGFMATWFLAASPTSLSVSVKATYEGVVRLPWSLAMISTLSCCQTPTHE >Dexi4A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:4A:22526513:22530604:1 gene:Dexi4A01G0018530 transcript:Dexi4A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLVASRKRGTEALLGDPFDLPLLSKRGRRCAPSAVAADFGLTFPLEVDPVTSLQLVFPGADPQILQQHLQASGNVMDAAIRTYKDYLADRSMESASSVTYAASDNGLNDALLSEVNQPVATIPTNGSEWAELIVKEMSSASDLVDARNRAIKILKLWEKSAAQINPNEKLKVQKEHKIVKQMLGGLLQQNGVLKRAFLMQHNRLKEYQEMVQERSQFNQILEKYQKQIKALEVGEELYLVISSSASEPMQQHLQLSQSRRLLSNTVVEEDLSTVLLDPIILRNKQRSDATAPMDQELQSSNHYIFLALIFLLPLLVIKLRRRNNNHGKNPPPGPWRLPVIGSLHHLVGALPHRAMRDLARRHGPLMLVHLGELPVVVASSPAAAREVMRTHDAAFASRPRTATIRELTRDGVGVAFAPHGERWRHLRKLCVAELLSGRRVKSLRRGREAEAANLVASIAAAASASPSPASTKTKAVAVNVSALLATYVTDAVVRAVVGDRIRDRDEFLEKLDEGVKVAAGFSLADVFPSSRLAPAFSGAARRARAHHREMTKLMDCVIEEHTQRRAAGAGNEEEDLLDVLLKIQKDGTLQIPLDMATIRAVIIDLFGAGSETTATTLQWAMAELIRNPAAMRKAQAEVRRELAGRSHVEEEALPELRYLKLVLKETLRLHAAVPLLLPRESQHETRGVLGFDVPAGAMVLVNAWAIGRDADSWGADAEEFRPERFEGVDVDFRGNDFEFVPFGAGRRMCPGIALGLAVMELGLASLLFHFDWELPGGAAPEELDMDEGLGITARRKSDLWLHATVRVPVPNTMEH >Dexi5B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:5B:1629828:1634218:-1 gene:Dexi5B01G0002550 transcript:Dexi5B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQSDADAGTQRSTCQSRAARCRVKSEAVHMDSAAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSNESIFSRKYGLLGKEEAHENAKRIEELCFASADDHFKNEPDGDGSSAVQLYAKETSKMMLEVLKKGPRTTAESEAPVADTPLDLGDTVLDISGGKRSFIEADEAKELLSPLTKPGNSYKRICFSNRSFGIGAANVAGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFTELLKSQENLEEFYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYIADMVKRSPNLESFRCSATRIGSDGSIALSEALGTCTHLKKLDLRDNLFGVDAGIALSKTLPKLPDLIELYLSDLNLENKGTLAIVNALKQSAPQLEVLELAGNEINAKAAPVLAECLTAMQSLKKLILAENELKDEGAVCIAKSLEDGHESLKELDVSTNMFQRAGARSFARAVANKPGFVKLNINGNFISDEGIDDVKDILKAGKNSLDILGSLDENDPEGEPDDGEEEDDEDAKDGDDEDELDSKLQNVQVEDD >Dexi2B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:2B:5004827:5005599:-1 gene:Dexi2B01G0005440 transcript:Dexi2B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHASSAAAYVKSFRRDWSPAMIMSALITTATPTNTPGNSKTTAFKYGAGQLNPVKANNPGVVYDALENDYVAMLCAQGYNATQLALITGSNTTVCPDGSTAVSPSDLNYPTMAAHVEPGNNFTISFPRTVTNVGGANDAYDVKIIIAIEAAKDIAIDVSPSRLEFSAPYQKISFTVTVSGVAPLDGQVHSAAIVWYNNEHEVRSPVVVYSSTRLADW >Dexi9A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:9A:4756964:4759050:-1 gene:Dexi9A01G0008020 transcript:Dexi9A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAMAEAVVGLRFAAPALPQPQRYRGRFLPTVRFAPFWRGRLYTARAAVAGPPEVDEDEAMSIDNLHPFFDLNVGKWDGSFYQFDAHGRILQEISTRLSVSTYGEDNLISLLQSLYIKQASSAISIIDEEDSEPEWVEYKIKETNMFTVDKYQQIGFFPEEKAFALRYQTAGMLETVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLVFHERQGPAAAQPFILSPVGAENASRDRINSLLGRWEGHSVTKRSGVYGATLAEADTAVVLKMDSNGQLIQDTLSTKIGTGTTTTVNWTGSANDNLLQFDGGYEITLLPGGMYMGFPSDISKSVAQLDSFHLVFCWMESPGKRQRLVRTYDSAGLAVSSTYFLETKV >Dexi5B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:5B:8636139:8640802:-1 gene:Dexi5B01G0012190 transcript:Dexi5B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPSSSAAAAAGGRGSAHQRTRLLLLLLVAVAASASTAGFLLRGALRDSCDAHGDSASLTAAAEGSPLAFMRSKLVLLVSHELSLSGGPLLLMELAFLLRHAGSQVVWVTNQRSEETNDVTYSLEHKMLIHGVQVLPAKGQEAVDTARKADLVILNTAVAGKWLDPVLKDHVPEVLPKILWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQQEKLKVPTMHAVVVGSDMNAQTKFETQLRDFVVKNGIHDRIHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVAPLAKNIVRLASHAEQRVSMGKKGYDRVKERFMEHHMAERIAAVLKEVLQKSQEHTRS >Dexi2B01G0021080.1:cds pep primary_assembly:Fonio_CM05836:2B:31020191:31021634:1 gene:Dexi2B01G0021080 transcript:Dexi2B01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWVHVYKVAAAMAPLYFALGLGYGSVWWWKLFTPDQCGAINRLVIYFAFPFFGFDLTARAAGSFAASYALVVLALAGWAAARRWSENKKGGVGGGCSSYSWCITGFSLAALNNALLMGIPLLDAMHGGWAHDIAVQMSMMQIVAWFPLMLEMPPLPAATAAAGLDSEDGEEDSGDGKMVMTGWRSFWTPLLRTAVLKLAYNPNAYSSLLGVAWSSIANRWHFGASEHRRGLGLFIALQDKFIMCGAGLTALSLVLRFVAGPAAAAAAILGLRGDLLRFAIVQAALPQSVATFNFAREYDLHADVLSTAIIVGTLASLPVC >DexiUA01G0027160.1:cds pep primary_assembly:Fonio_CM05836:UA:57923892:57924726:-1 gene:DexiUA01G0027160 transcript:DexiUA01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADLVPGGARRAATSSTGDTIFSASVLSGDEELQAAAATRLPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATPEDAARAYDVAAREIRGAKAKLNFPPAVGAGAGAPAAAAKKRRKVAVAAEESSASSTPAAVVAAPDGGGGGGAETLRDCMCGLEAFLGLEHHADADAAAAEEGDDVEAAAWDDVDLMFA >Dexi6A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:6A:11288592:11293252:1 gene:Dexi6A01G0009580 transcript:Dexi6A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAAVSVTPLPSPRLRRRLLSPFSPSRTAAVRRLARVSAAMAATAVQPAVVVGGGRVGQALLSMGPPGGDVLVGRGEKVPEDAPGPILVCTRNDDLDAVLEATPKSRWRDLVFFQNGMLDPWFESKGLADANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGSWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKDYRSEVASLIAELASAAAAEKGLTFDEGIEERLCAYSRAVAHFPTAVKEASTHTDYILSS >Dexi5A01G0027050.1:cds pep primary_assembly:Fonio_CM05836:5A:30617275:30617879:-1 gene:Dexi5A01G0027050 transcript:Dexi5A01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLEPGNKRARGQFKRKSTSWLTGAVRPAHRYPASGLRFSIGGALYRYQGKTKFHHRAAAGSKQRRRHVLPCAIVAGHAVPTGTRQPTSSTTGDTNSCAGDAHAVAGVSYSISVAVISFATAAHARADADAYTVTTSSVSVSPAACGGDAVPTSASIRSDAVPTSTGDRANGISSSACPRVTSSSQRRPPRVTASS >DexiUA01G0016610.1:cds pep primary_assembly:Fonio_CM05836:UA:35417775:35424393:1 gene:DexiUA01G0016610 transcript:DexiUA01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRVEPVTTVAQLAGVDAFLLIAMIVERAKKVRRNKHECGELASDVDAIQGVLRQVQLKQHPEIDDLVEKLEAALREACVLVATCEATGYLRRFFRSGKLAEQFQRIRQRIQLYVDLFPIISHVDTTRRLIRIIQRLEEPQAPQGNREGRLVAIKRCFEETCPERSSDFENEISFIPKLQHKNIVKLLGYCKQDKERVLVYEYMPKKSLDKFIFGKDLKPSNVLLDAELNPKISDFGTARVGHLEKSMRADVVAGTYGYMASEYSSKGIFSAKSDVFSFGSLLLEVVSGKRNGTSYKSRSGKSLSLHEYSIDDSRTGVLDPRLDDDFGYSPKGRAKGRNIRCICPLQLPSLTSPTYSEGRAKRSARIRQAWHLMFMEEDVPDSLGRMTALTKLIHPSLSGELSSRMEDIWRCAHIALLCVQNDPADRPSMWDVVLMLNAGGGIAARQPLSCTTTTPPKRPARQYGNGKMLPSLAELLRDDRMNKTMAVAM >Dexi2A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:2A:33067119:33070703:1 gene:Dexi2A01G0020860 transcript:Dexi2A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPLQSQCLREAPPPHQAIHPPHCRRPPRLSSRRHPPASASASPPRRAPPMPPRSRAAAPRPTLLVLLAYALLLVSPCHCVNEQGQALLRWKDTLRPASAATLASWRAADATPCRWSGVSCNGGGDVVGLSITSVDLQGPLPSNLQPLAASLKTLVLSGTNLTGAIPKELGGYGELTTLDLSKNQLTGAIPPELCRIAKLESLALNTNSLRGAIPDDIGNLTNLTYLTLYDNELSGAIPASIGNLKKLQVLRAGGNQGMKGPLPPEIGGCTDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPAQIGQLKKLHTLLLWQNQLVGAIPPELGQCKELTLIDLSLNSLTGSIPASLGGLPNLQQLQLSTNQLTGVIPPELSNSTSLTDIEVDNNALSGEIRLDFSSLRNLTLFYAWKNRLTGGVPASLADAPRLQAVDLSYNNLTGAIPKELFGLQNLTKLLLLSNELSGFIPPEIGNCTSLYRLRLNGNRLSGTVPPEIGNLKSLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYLGKNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPPELGRLPSLEISLNLSCNRLSGEIPSQFGGLDKLGSLDLSHNELSGSLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLIVGDGGSDNDSSRRGSISSLKIAMSVLAALSALLLVAATYMLARTRRRGEGGRIIHGEGTWEVTLYQKLDITMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTLAVKKMWSSPADEATSAAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYSYLPNGNLSALLHGGGLAAGGKGAPADEWGARFDVALGVAHAVAYLHHDCVPAILHGDVKSMNVLLGPAYEPYLADFGLARVLSAATSKLDAGSKQTRIAGSYGYMAPAEYASMQRISEKSDVYSFGVVALEILTGRHPLDPTLPGGAHLVQWVREHVQAKRDAKGLLDARLRAGASESDAHEMRQVLSVAVLCVSRRADDRPAMKDVVALLEEIRRPPAGDDDAKPSPPPPAAAGAAGAQVSPARGAHSGGQSSSCSFAVSEYSA >Dexi9B01G0023490.1:cds pep primary_assembly:Fonio_CM05836:9B:18850958:18853432:1 gene:Dexi9B01G0023490 transcript:Dexi9B01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSRFKQQQERCQSSLASIAANQALTSKPKHRAQPINAPSVPARPSQPIKFSNDTERLQHINSIRKSPVGAQMKLVIELLYKTRQAFTAEQINETTYVDIHGNKAVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLIRRYAEGLAVVEIKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFRDIELPRDMVDIEKELHKNGIKPMTNTAKRRAAAQIDGVKPKPKAKKKQREITKRTKLTNAHLPELFENLNT >Dexi5A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:5A:7645326:7646349:1 gene:Dexi5A01G0010050 transcript:Dexi5A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKKSRILVVGATGYLGRHVVTASARLGHPTFALVRDTAPSDAAKAALLKSCHDAGVALLKGDVDDHASLVSAIKLVDVVISTLGRFIPSEFGLDADLLEALEPIRSMITATKAAIRRAVEAVGVPYTCSPRHPPVDDEAFVVLGDGETKLSFADEADIATYTVLAAGDPRAENKTLNIKPPANTLSHNELLVLWEKKTGKTFRRLHVAEDDVVRQIQVDQI >Dexi7B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:7B:18801948:18802903:-1 gene:Dexi7B01G0011800 transcript:Dexi7B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPSPTTILKAAALAIWLLHCASIAPAAAQSCNCAPGLCCSRYGYCGTTSAFCGEGCQSGPCSGSSGGGGAGSGSGGVASVASVVTASFFGGITAQAQSWCAGKSFYTRSAFLDAVGSFPNFARGGSQAAGTREIAAFFAHVTHETGYLCYVREVGGASMDYCDAGDSAWPCAQGQGYYGRGPLQISWNYNYGPAGRYIGFDGLADPDMVARDPVVSFKSALWFWMDNVHLVMPQGFGATIRAINGALECDGRNAAEMSARVRLYLTYCQQLGVEPGSNLTC >Dexi8B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:8B:19585808:19590374:1 gene:Dexi8B01G0010990 transcript:Dexi8B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMATMGSLLPKLGVLLDEEYKLQNSVRRDFQYLQRELQSMHAALREVAEVAPEQLQQHDRLWAGDVRELSQDIEDVVDTFLVRIDASRSDDPAAAEAAAAAKPQECCCFRGLLVKTTTLLKKCQARHQIATAIKDIKDQVHEVANRDGRYRGPQAAVADLTAATTRVTTTTTVDPLLQALYGAKKNIVGIDDAKDEVICKLCQGDDDASKQQLKILSVVGFGGLGKTTLTKAVYDELRPQFECGAFVTVSRNPDVKKVLRDLLYELDNEKHKNLSGAVLLDERQLIDELRKSLENKSSSSLPVLSYLHINVREVWLEDIQTIGMLPALRFVCLRAGVEDDLATEQCDVEKFVLSAGAFPCARECVFLNVTMVPCNFPRGAMAMVQSLRFRFRVTDILSGDFDLSMSSLPSLEDLYIDYDMKKARREGLVRAQRMLSGALQEHPNLPFLHPDFPCEEEEDGVTAPGQGLGPSMASSTQLAGRDADGTSDGPADSIVQESSIPPAASTRIFCMLRSFIAETKLEVELIFSTRIFWYLLIGSLLLYGVLCSCTRR >Dexi7B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:7B:10652588:10652869:1 gene:Dexi7B01G0004400 transcript:Dexi7B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWHQNNMGEQAKSEDEDWRRATTGSGSKTRRLLTWSGAGAAQSPGGSRLALAVTPYDWPMGSRRATPLGSCRRRDTVHWGTTPGGRCRSLG >Dexi9A01G0046440.1:cds pep primary_assembly:Fonio_CM05836:9A:49878914:49880828:-1 gene:Dexi9A01G0046440 transcript:Dexi9A01G0046440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQHRLARDVELQSTAGVVAGLKFSAVPIPQSSPQGSHTIPPHQTSLHHTMATEDNDTTSESVGVEEAEDLIGEEEGGVVPVAEATGARLRPRPWATEAQFVYGVTRFDHGEIRFDCGGADLVAASLDSAVAGSNRKPSEQRKHNHLDLDGPVGGEITHSTAMAAVWRTRPSSAKVAHIACTAASTDTGAG >Dexi9B01G0031640.1:cds pep primary_assembly:Fonio_CM05836:9B:33973726:33974097:-1 gene:Dexi9B01G0031640 transcript:Dexi9B01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPPPPTSPSRAATEDEEEERARGQIVHAAAADPRHGELEEDLEEEAAMSHGELEQEAAMASSRREKRTERHGHHRLGLLLLRGGLCRICSGTGGAEARRGGRAGLISTVGRGRSRRARAG >Dexi6B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:6B:686112:689154:1 gene:Dexi6B01G0000790 transcript:Dexi6B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIASSKACPDPTCIEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPSIKTNLQYYC >Dexi5A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:5A:9673345:9674022:1 gene:Dexi5A01G0012930 transcript:Dexi5A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQKSHEERAQSAAQETADELHAARRDDAPASPRGGGGILGTVQESARSLMGAVQDTFSGGRGGATDTTTTHGSAAGDTNTMASAAGDYAEEGKAKARGVADAAMGKAAETKDAAMEKAAETKDATMGKAAETKEAAAEKARGAMDAAAEKAREAREAAAEKAEGAREYAAEKTKLAAGDEEEEEDVMLRVKAADQMTGQAFNDVGPMGGEGTGMPRRRRSSG >Dexi2A01G0036430.1:cds pep primary_assembly:Fonio_CM05836:2A:45981198:45981500:1 gene:Dexi2A01G0036430 transcript:Dexi2A01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGCAQLVAVEQLVGELASGEGGGEKLPLASFFIGRQAVELETRGGEARASGGAEERASLVRTSPSQHSRRVRAACGGGWVDEAARPVPKPERSI >DexiUA01G0003350.1:cds pep primary_assembly:Fonio_CM05836:UA:6728935:6732414:-1 gene:DexiUA01G0003350 transcript:DexiUA01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTHSRFPPISLGVVAKAQNVRTGAKVAIKWIRRARGHDEDDEPIAHAFMREASCLAAHRDHPGVVQLKNVATDTDTGDLYIVMELGGPTLRSRLITRPFTEDETRDAMRQLFGAVEKLHATGMIHNDINPDNILVARDGTLKICGFGCATPARPPFAAGEKEVKTRLGTLQYRSPEQLNGSQCSGPKDDIWALGCVMAERVSGEPLITAATEEDALDAAVDVGDDICI >Dexi9B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:9B:11718973:11719734:-1 gene:Dexi9B01G0016980 transcript:Dexi9B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAVLGHLSVKLDVYSFGVLILEIVTGRRNTDTCFESEHEEPSSLLSYVWDHWLKGTPLETMDPSLDCQGQAMESEVLKSIHLGLLCVQENPADRPTMLDILIMLHGQEASFSAPSKPAFALGHGEMMNSEERVRLSEGPEK >Dexi6B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:6B:4712348:4713889:1 gene:Dexi6B01G0005440 transcript:Dexi6B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNDAGGKTYPGHMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLKQFFPSVYAQAEASKDANQYCKFNSQLLTLFTSSLYLAALATSFVAASVTRVFGRKWSMFCGGLTFLAGSALNGAATDVLMLILGRILLGVGVGFANQSVPLYLSEMAPAKLRGMLNIGFQLMTTIGILAANLINYATVSIEGGWGWRIGLGLAGVPALVITVGALALPDTPNSLIARGYHEDAKAVLVRIRGTDDVHEEYDDMVAASEEASSIEHPWRNILERKYRPQLTVAVLIPFFQQLTGINVIMFYAPVLFLTIGFGDDASLMSAVITGLVNMFATVVSIVSVDRLGRRALFLQGGTQMFISQIVVGTLIALQFGTAGVGEMSRSNAMLLVLFICLYVAGFAWSWGPLGWLVPSEVFSLEIRSAGQSIAVCVNMTLTFIIGQSFLSMLCTLKFGLFYFFAGWMFVMTVFIALFLPETKGVAIEEMNLVWSRHWFWGKYVSIEGTRHGSRRSTSV >Dexi5A01G0030290.1:cds pep primary_assembly:Fonio_CM05836:5A:33276460:33282188:1 gene:Dexi5A01G0030290 transcript:Dexi5A01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAQCPAVPPASDSGAYASAPPEILTAVVVSSILVARAGTRAPPPHPHTSVSLTLGPTYQWATTVSRTGTITVTRRPERRSAQAKPPARQLCQRRRKSGAHHSPPLSAATLLSTAAPLSLFSPPLPPPPPLRAIAIAAAVHRPLRPDAHRPLRPAATAAESGGAVVQSPTTPPAFLLLHASIPRRTDTCCAAGLQYIATGLRLPPLPPFLLLLFGVFSALARCRGLLPSFLKVKVAMSQLFEDQDRAVVMIWLSVWFLWRLRGLHAMALEAKQAALCIKKVLRFPIRKGYRFVSEHPILFGFGVLLYLLYRSSPGFFAFLLSSSPVIICTTLLLGALLSYGEINLPEASEDHKGTSEISAFKVENPCSDTHFEANQRLSVPGFREDTSNFKEREINQTVSFRERASEHVPLLRRADEEDERGDYHNIPRTLTPFPSMVNLRQESGIKEDLNFNKRREPEGSFFIQNKADRQTILFDAAHLSGLNDKETSFGLFPSSENVNKHVDMEDNLNQERVTESSASKEREISEEKQTEELAGTSKSAFISIQPWEKMDRLNVDTNNAVEDSLLDSSLGSPWARVGSQDGSSGFDSDGAESSSPDASMTDIAPVLDEIDPLLGADSARPDPIPKDDSDTDSHVSEDHQIDDDSNDEGDENDSKDNAEGKKKDDGKDAAFLWTADDEKNLMDLGYSEMERNRRLEILMARRRSRKNIRFEIDNNFIDVDSNGAGRSLDDLSRFRSQVAPIAVPRRNPFDLPYDFEEAAIPGSAPSILHARKNPFDLPLEQPHDIGDSTHDNLNAGESVTSPRRDMFFRRHESFNFGRTDAIQERRFSKLKPYFVPETVEWNASNFQRQFSDKSDSKLSSVTESDVASSVADQEDHKDHDEKHLHMEHESPALVRQDSDLADAGSECSDGINSIDVELDNSDIDERETALHHFVFERSQEREAHLASTKGKGHEEDYSPKSSGNSKMPFHPVPDLLSWEDGDGDSSPGSKPSFELNAEAKCSEWVLSSRPAVEGESHSRDHPEYLHTDVASSSNTVVLGASNIAEKDGNVDFMSYADNDMPLDNLIQGSMELPSEFVTETLPVISRDLHPIPEERVLENFSVQENHEAAIFTDSAGSLTGLHVIEEHFDLGSGRSLSSVSSYSQANDAIQSPLSEHAEVLNPFISMAAEPNKVEIGDMNDETTAGYLLDSDDEAGKIYPEPMEDSGIDESFLSELDTVGDFGLQPMRFDQQVPDQGSQDVNSTSVVAVDSVISPQTSDNVSLTMSEASAEDSREQSPVVDDLNGPEFSWSLEASHGDPEQTVYNPRSRILEASPFEAMNLDLKPPHIESEVSSDDAPSTAILAAGSSELEVTPNELVTTTTNSEMTILDAKSLEDIETAFKLVSDGVVSEPTMDTEHLHISGVNNVDSEPKEGGELHVIDAKSVNDIHVALKEHCDSVVNRCLEENEDKAEYGETSESTKHDEVTEAIHFESSHDVRDAREDLPVESTSNKVSNEAKTHDDIDAVFSTVSDVSSAKSSVQGVEQEDSHKRGEEREYQ >Dexi7A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:7A:29831935:29833329:-1 gene:Dexi7A01G0021090 transcript:Dexi7A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHVLVVPFPARGHAVPLLDLVALLAARGLRLSVVTTPANLPLLSPLLAAHPAAVRAVTFPFPSHPSLPAGLENTKGCGPGQFPTFIHAFAGLREPVLAWTRAQPDPVVAVVADFFCGWAQPLAREIGAAGIVFSPSGVLGVAVMHSIFRRLVRRPEGSDDDRFSVAFPAIPGEPSFQWRELMMMYQNYMAGALEEKVGEAVRQNFLWNQQDSWGFVSNTFRALEGTYLEQPLEDLAFRRVWAVGPVAPYTVAAGARGGEAADLMAWLDGFPEGSVVYVCFGSQALLTPPVAAALAEALERSAVPFVWAMGGGGGGVVVPEGFEARVAGRGRVVRGWAPQVALLRHAAVGWFVTHCGWNSTLEAVAAGVPMLAWPMTGDQFFDAWLLVDEAGVAVRACEGGIAFVPDAGELASVLADVAGEKGRGVRARAKELAEEAARAVKEGGSSYADLEGLVQEIRKLR >Dexi6B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:6B:22501597:22508142:-1 gene:Dexi6B01G0015110 transcript:Dexi6B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRHLPLGASAEPRCIAWFRLLMVQMGCVCSTSKVYTLEKVYGFRFVCRSIVDLKSQKFHPLISKRKCHLRTSTSECTIHSARWLEFRRQKGVFQRTRRIGHIIPLASNDDGNSISVNGVPQVGSASSMEEIRLKLNKAFQSEDVSNGLVQSIHDAARSIELAFLEHSKSYQGSWFPKAWMGVDNTAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRDINVFVQRRSTFYLSRLLNPLESVIKNGLSKREPSLYEWYSSDRNPLVVRQFVNIFESNPLFNSATAICHEGDMMNSSKSDLSLLMLGLICLAAITKLGSAKVSCQQFSSMVPDIIGRFMDMLLESVPLSKAYNLTKDIGLQREFLCNFGPRAAVPKLTNDHGLEISFWIDLVQKQLLRALDREKIWARLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSNNLTDLDDSLNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYNDDVRSAATDTEGREEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSECLKELEISKNNMSKGRGLPEPEENLDTELSSFDKSLESVEDALVKLENLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASSGPVSPTAEEGRGKTSSRTNDTAAPQKSGSRWVDNKRRPFWDLFGQSSAKNVELALQVADQDVWICCTVYLFCAFNDTSAFSGKGIYKMHA >Dexi1A01G0031590.1:cds pep primary_assembly:Fonio_CM05836:1A:36505289:36505791:1 gene:Dexi1A01G0031590 transcript:Dexi1A01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPAHAAAAKAAGEPALSHSRAGTRPARPRRGFPSKPSARWAAISLPPGPRHAAPAAAAAKGRVAEEEGPAWVELEPIASEQELDRALADAQQLDIPIVLLCW >Dexi5A01G0036550.1:cds pep primary_assembly:Fonio_CM05836:5A:38003111:38004194:-1 gene:Dexi5A01G0036550 transcript:Dexi5A01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDGPILELAASQRVPLNVQSSMVHDMYRKHEADSAALVLACACCAARCGLCPLPHALGWVGLGWVAAGGRKPRAVARQTAERSGRAAGERKRRVDLVARLARTIYADAWWETWDGASTERAPSWGGGTRTPVTESERAKGVPIVATASSDAARPRARPSVRRRPHGRAKGQARRGPAGTWRALTWPNRVSPCPTRPRDRG >Dexi2B01G0028600.1:cds pep primary_assembly:Fonio_CM05836:2B:37184638:37187107:-1 gene:Dexi2B01G0028600 transcript:Dexi2B01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWITVVGDFWFAVSWLLNQASKLNPIRRVPNLELLNQRFDPPAGDGGAGEHLPAVDVFINTVDPVDEPVLCTMNSVLSILATDYPVDKHATYFSDDGGSLVHYEALQETAKFAAVWAPFCRKHRVEPRAPESYFEVKPEEEAPYAGDAAAEFVGDRRHVRREYEEFKDRLAALFTVIPQRAEAYGRDVAAKGATPTYMADGTHWPGTWLEPAENHKKGQHAAIVEVMLNHPGDEPQLGAPASSDSPLDLSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSSAFRAAMCFMLDDRHGEDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATALGLNGIQGPSYVGTGCMFRRAALYGADPPRWQPPDGRSKAVMDSPWKQFGNSMPFINSVPLATQPVTPPASTLDERLAAELADVSTCAYEDGTEWGYGVGWVYNIATEDVVTGFRIHRKGWRSMYCAMEPDAFRGTAPINLTERLHQILRWSGGSLDMFFSRNCPLLAGRRLHPMQRVVYTNMTIYPVSAAFIFVYDLLPLMWLSGDEFYIQKPYTTYVAYLFAGIAMMEVSGMVEIKWAGLTLLDWCRNEQFYMIGATGVYPAAVLHSLLRLVGLKGLPFKLTSKPQSVSGGAPRERFAEMYEVKWSPLLVPTVVVMIVNVAAVGAAIGRAAAGGWSFVQLVGAAGGLLFNAWVLLLLYPFALGIMGRWSKRPYVLFVVLVVVLAAIASAYLVLLAVFAPRSVSPVWMERWSVVRAIVSPANWRI >Dexi5B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:5B:20959271:20961766:-1 gene:Dexi5B01G0018600 transcript:Dexi5B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVRDSAAPSTSGSGGAAYADGDDNAGGGGAKVWVLVLLFALLLLPFLPSAVRRGGPTPWAGGGGGGGGSGGGGGYRRGGISFKSGWDVVNLCLVLFAILCGLLGRTGGGDGDSSSGAASSAAKDERLESSPAPVTAATAGSGDWEGYGSFASVYASLPRATNHAHATAGGIRRMKSSSSYPELRLGSDGVWSLASPEAAWRSYDDAELYRNHRRPERSWDVDPQGRTTTTEVKTIPVDTFGERGRRSPPPPTTTTTTREPRRRRRSVERLPEVEEERPRPRESAARSRRWSAEAEDVVVPEQEVRVAPARIRRWNSESLDSILEQEAAAAAAVAPSRSSRWSREAVDVILEQEAPRVAPAPARIRRWNSESLDAILAEEAAVVAPSSRSSRWSPEAVEVIPEQVAPAARIRRWNSESLDAILAAQEASAAAATPPARSRRWSSEAIDVIPEQEDPVVVMTPPAPTPPPPPPPPAPPRRRRRSVEKLPRPEELEQEVVVEEVRNPMPRPTPVMFPPGTPPPPPPPPPPSTVSRSKKKRGGSVGGAKELASAIALFYQKKRKSITMKAKRRPHHHHHHHSDDHYSSPSSDAPASPDSTVRVNNAAPPTPPPPPPPPPPPSSIFSNLFKNKKGGGSKSRRIHSVAPPQPPPPPPPTTTRRSNKKPPPPPSRPAPPAPPPPQPVRTTRPQRVAHAQAQQPPLYPRRAAVYYSYYPPSPPLPPPPPPPPPMVSEGDGDVPSVPASPAPSYCASPDVNTKADRFIESFREGLKMEKLNSYREKWQRHIQEDATVEIEEDGEFMVIGSLFGSDDEDDDGISLPETPVTAAVAVGF >Dexi7B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:7B:19454003:19458931:1 gene:Dexi7B01G0012720 transcript:Dexi7B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLARRPLSSPAMARASVSGSAAAAKALLPLNPARGGGLPSLLPARRLPAPGSGRVFRGASLRCYAAAAAVAEQGRIKVQNPIVEMDGDEMTRVIWSMIKDKLIFPYLEMDVKYYDLGILNRDATNDEVTVESAEATLKYNVAVKCATITPGWKKPICIGRHAFGDQYRATDMIIDGPGKLKMVFVPDGAEPVELDVFDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQKVYEENWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTQKLESACIETVESGKMTKDLALLIHGPKVTREFYLSTEEFIDAVAQQLQGKIQAPAAV >Dexi1A01G0027460.1:cds pep primary_assembly:Fonio_CM05836:1A:33225900:33226261:-1 gene:Dexi1A01G0027460 transcript:Dexi1A01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPHLKVLEHVSSVLRDLGFCPTGEGIDVKNLCPYDCKISERELHDKEATKAITETAPAAGEK >Dexi2B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:2B:23178263:23180251:-1 gene:Dexi2B01G0013960 transcript:Dexi2B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSISDSGVSNQDNAAGDHNLLDVASATLLCDLDTCDKPLVGSSSGAGVNLLQPATDQTAGFVDSRTPKVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFEVKEVPAGNSIEAPGPEGTIAGGSRDNAITRYKEKKKRRKFDKNIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >Dexi1A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:1A:11016219:11019923:1 gene:Dexi1A01G0011850 transcript:Dexi1A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSSPSLRHLLLRPRLPLSPTCLAGSSRRHVHRHSRRRLFSTLTHGDTASTHCDGDGVVDVNPPRGTRDFPPEDMRHRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLQAIVLLFQRLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFAQVCVIVDKLGKLTREEIEKELMSTGLSSEAVRGIIEVLSLKSLSKLEEVLGSGVEAVADLKKLFSFAEQYDYADWICFDASVVRGLAYYTGIVFEAFDREGNLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDIPRQIDDIVFPLDEELEGPASSIASSLRKKGRAVDLVEDKRLKWVFKHAERINASRLILVGNSEWERGMVRVKILSTREEFEVKAGELE >Dexi2B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:2B:1916826:1918349:-1 gene:Dexi2B01G0002330 transcript:Dexi2B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPAATGPRGGGGGGGGSSGAGVHPTTAPCYCRIRLNKMPYQAASAPLLPAAEEGPASCTGAFAAAFHVSKADLDRAASKPALFGARRRTARLKVAVYAGRRGTACGGNSSSGRLIGKVVVPLDIRAAAAKPVVFHSGWVSIGKRRAARNKASAAAGQAHAHAHAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVQGRMKQPMFTCKFSCRSNSDLRTRSVKSDPGTGGRNWLAKFGSERERSGKERKGWSVMVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPIDGTWTPWGRLECWRERGGAGGGGDSLGYRFELVPDHTNTGGGGVGVCVAESAVSASRGGRFAIDLTAAQPFASPGCSPRGSSGDLGHGGMWPFGSFRGFVMSAAVQGEGRCSRPMVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRGELLR >DexiUA01G0006680.1:cds pep primary_assembly:Fonio_CM05836:UA:12936123:12936647:-1 gene:DexiUA01G0006680 transcript:DexiUA01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASSSIQPQAASISISNPVDASPMAPRCSEAPRALWRRALIAAAMLLSVLLLSSLPLTDASAAGSPSPSPAPLHHTPPPSPRPQHAAPGSTKTRAGHRIARHPAGKSAWQRLNFGERFGIGLAGVAAAMQVAVGAFLCVRARQLRRAAAASKAWEQQQEEETPVSPPTPA >Dexi9B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:9B:6252096:6254761:-1 gene:Dexi9B01G0010090 transcript:Dexi9B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGEEGDEY >Dexi3A01G0034950.1:cds pep primary_assembly:Fonio_CM05836:3A:40238700:40239840:1 gene:Dexi3A01G0034950 transcript:Dexi3A01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYRYYLSYFWANDNATRAALGIRECSGDHDLGIPFLGTHAWIRSFNFSIVDDWRAWHLDGQAAGFTIKYATNLTFATVKGGRHAAPGNRPRECFAMAKR >Dexi3B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:3B:5808043:5808950:-1 gene:Dexi3B01G0008230 transcript:Dexi3B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERKTTTPYALGAAIQVIYAATIVISKASFDQGLSVFVYIFYRQAAACLILLPLAILLESTLGSCLYNISLKYTSSTAAAAICSSLPVITFFLALLLRMEAMKLKSSPGMAKAAGITLCLAGVMVIALYAGPSLRPLNRHGVLAQHNANNKQAAQAHGVVVSKGSCGRF >Dexi3A01G0034030.1:cds pep primary_assembly:Fonio_CM05836:3A:39347563:39348120:1 gene:Dexi3A01G0034030 transcript:Dexi3A01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKSSSLDANSLDSWGRSDDCCSWDRVTCNNNNKRVSDLSLGNFYIPRPYSENTAECAALPWSGGYWDLNLTIFSSFQELQVLDLFGNVACIQNFRALQGLTKLRYLNLSENALGGNNILESLSKMAYLEVINIEMSGLRGVLQSIGTHITNS >Dexi2A01G0026410.1:cds pep primary_assembly:Fonio_CM05836:2A:37929024:37938506:1 gene:Dexi2A01G0026410 transcript:Dexi2A01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDESAAPNRGEEAAEPAPAEEESAPSVQEVAVEEGSPASGGEVAARAHHEAKEELAREVMELDLQNEYLRSQIAGARPAGGGGEGEGSEIVTGLKEQVERLTREAREQRLTREATEKALEHVNVAYAEADGKVQELTAKLAQAEQKMEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEVNQKAEQAASLQLAAQQELERARQQASEALRSMDSERQQLRTVNSKLRANLDEIRLALEARNNSLEKLQQSVLEKEQLLEKVQGSLQSAEDKRMTTISELTAKHQKQLESLQAQLAEVSAERMKASETIQSLQAVLTEKDSEIAEIEAASTGEAARLRATLEEVKGELAHLKDEHEKERQSWEATCESLRSKLEASENARLKFEIESTKVKSQLELELVTQNQLLQTKDSDLMAAKDEISRLESEFSAYKVRAHALLQKKDAELNAAKNSDLVKEHEEAMREAEKEVAAALAERDKAIHDLQKAQSRHADEIEARDVALADAEKKLKNLMKKLDSVTSNLITEKESWEKNLASVEENWRLKCESLKVQSNGHVDDELQKNLGELTLKYEKLKEEHQSFRDIADRMIEEKEREIAKLIKENRDLHHSLEAKPAVNSSDYRSEEPVKDTMSVELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPDELKKCQQGVLSSVASSQAAAVSDGATTPNSFFSRFSF >DexiUA01G0007110.1:cds pep primary_assembly:Fonio_CM05836:UA:13596075:13600477:-1 gene:DexiUA01G0007110 transcript:DexiUA01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAEVREVGLEAHQAGVVQSSDTNEPNGKDVESDMDEQFQVQPKWRKFLAHVGPGALVAIGFLDPSNIETDMQAGAEFKYELLWVVLVGLIFALLIQTLAANLGVKTGKHLAELCREEYPRYVTICLWITAELAVICDDIPQVLGTAFAFNILFKIPVWTGVILTVLSTLLFLGVQRFGARKLEFIIAAFMFTMAGCFFGELTYLRPSAREVMKGMFVPSLKGKAAAVNAIALFGSIITPYNLFLHSALVLTRKTPRSVKSINAACRYFLIECSLAFVVAFLINVALVIVAGTVCSANDLSPADANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSSTISCTFSGQVIMQGFLDTKMKGWVRNIITRVISIAPSLIASVVSGPTGAANLIILSSMLLSFELPFAIIPLLKFSNSSKKVGPLKESIYTVVLAWTISLAIIIINAYFIVWAYADWLIHNHLQRYANALVSMIFFMLMASYIIAIVYLVFRKDIVVTYISAQERVETGSGGALASLADGDKPPPVREDLVDASM >Dexi1B01G0026060.1:cds pep primary_assembly:Fonio_CM05836:1B:30992854:30994344:-1 gene:Dexi1B01G0026060 transcript:Dexi1B01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKAPVSEHGETSKAAMLEVAAAKATGGGGRAASRGLAVLDFIIRFVAVVATVGSAIAMGTTNQTLPFFTQFLRFKAQYDDLPTLTYAMLALVTSAASAAAAIVYLAHKGNARANWFAICQQFDAFCERISGSLIGSFAAMALLVVLIVLSAAGLARR >Dexi1A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:1A:3742370:3743695:1 gene:Dexi1A01G0005120 transcript:Dexi1A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNVHAAAPGGAMSFGWLGPPRLSFGGAAAAAAAVVEVEEEPVPADTAAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRPQAAVGKAAEVERVRRDTALVAEIPPSTPERVRAVHPAAAEAAMDPYGFSPKAPTCSSRWRELLRLRKVQTPPPQKTSMTASPSASPAAATAATPSRASNSSAARSLKLLLLQRNGGGRASTSSDLSAAPLLRNSSDSETSLSLASSRFSLSSSSSSSAHDHDDFSARHSLDSVDPTPRPRLRFVRSHPQPPPQQQSQPHPPPAATSTAAVAAAWARAGHSPARRRPSTSQPTPPPQPSVVSVDSPRMNASGKIVFQGLERSSSSPAGSVHSSMRSRSRVMDRSYSAGVRATPVVLNVPVCSRPVFGFFKDKKDAGGKDAASTRPRSALGRRTMATSSAAGGISCRDLGGNGN >Dexi9B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:9B:1369415:1375238:-1 gene:Dexi9B01G0002350 transcript:Dexi9B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPALALSNPSSSSSGAARSRAAAFRCWALRRRGWAAVAAVASPNSVLSEHAFKRLQLGSDDEEEGAYGSDEEGLEAGEGLQGDEEELAIARLGLPHELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKRLMEDDSSQITRRGRIPRVLVMAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPAQRQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTATSKQTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVDPESTQYFLRAAERLTEELGPHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDSRFGRGFFSPRSVTGFLSDVCSAAADEVGKIYLTADENVQGAVFDLPEEIAKDLLAMELPPGNTITKISKLPALQDDGPPADSYGRFSNERGSRNRRSRGGGSARGRGGWDSDGEDRSRRVGRSFRSDNDSWSEDDWSGGGRKSNRSPSFGSRSSSYGSRGSPSFGGRSSSFGGRER >Dexi5A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:5A:24151965:24159647:-1 gene:Dexi5A01G0020320 transcript:Dexi5A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLYPYSRGVFPGGDGAPPPPPRYSDIEVDLIAARYADDQPPYPSSTNMSPFDSHVGAFDSKFGAFNSHVGAFDSKFGAFDSHVGAFDSAFAAFDPHVGAFDSHDPNVEDSYPERPGKPDCPYFVKSNKCIFKSKCKFNHPKEKLSALEAGSDNEQSLIADSAVLPVRPSEPVCSVRRSTIASFITINYLYFFSLHNAYLVLLDDTFSRVLQGEVDCSFYMKTGSCKYGSTCRFNHPDRPVVDIALMAPPVQTTLPTPAPIVSAANMLQIFDFHATHMPIEPVQIIYPQRPGETVCDFYMKTGFCKYSQKCKFHHPMNRSASDANEIGDPVHPLTLTLAGLPRREDAEACAFYMRSGTCRYGAHCKFDHPPPQEAIAKLQAAGNENGKEKEGKEKADDKEGLSVVQR >Dexi1A01G0023230.1:cds pep primary_assembly:Fonio_CM05836:1A:29880076:29880315:-1 gene:Dexi1A01G0023230 transcript:Dexi1A01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRRWRRGVLPAGRWRPVGQGRARGNGIHSGGGGRSGSGRGRRRLPLFGCLGGGGDEQKLQNMTQAIWLEVEDDGQS >Dexi2B01G0026710.1:cds pep primary_assembly:Fonio_CM05836:2B:35818704:35820869:-1 gene:Dexi2B01G0026710 transcript:Dexi2B01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSPGKTDSEAEPFSPSVFLDLPPTPRPVGDGAASSDDLVLPFISRMLMEEDMDDKFFYHYPDHPALLQAQQPYAQILSAAAAAAPGSFTANNGGGGPCTLSASSDDAPSFVGVDEFTAHEANQLFLPQQDTARSSFPNGGGGGGGADIESSGLLYGAEEATTATTSPPTGDGEHGAPLASTFFSGQNRVSMDMLNEAFLRGREEAKKFLPTDNILLVEAGGSKLSQGIAAGEVRNANEDKVDRIGGNGGRGCKNRCNWQYLETETTARSTKLMVPEPEETGEVIDEIIVNEYRLCLSGMLDLRIPMDGSKDGKKIRKGKRNSALGGQSSNEVVDLHTLLIHCAQAVSMDDRQSATVLLRRIRQHSSPIGDANQRLAHCFAEGLEARMAGMGSQVYKSLKAKRTSLVEFLKAYQLYLTVCCFKMMAYRFSNMTIANVVAGRKKLHIVDYGVRYGIQWPSLLGWLATLEGGPPEVRITGIDLPQPGFRPAAQIEETGRRLSKCAHQFSIPFRFQSIAAKWETVCVDDLNIDPEEVLIVNGLFDFGNLMDEGVDIHSPSPRDGVLGNIRKMRPDVFILCNVNGSHGAPFFVARFREVLFFFSALFDMLDATVPRDNDQRLLVERDLFGRFALNVIAYRVERHETYKQWQVRNHRAGLRQLPLDLDIVKVVRKKAKDSYHKDFVIDVDHQWLLQGWKGRIICALSTWVAANAFSKH >Dexi2A01G0033230.1:cds pep primary_assembly:Fonio_CM05836:2A:43500566:43503027:-1 gene:Dexi2A01G0033230 transcript:Dexi2A01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGGAARALSQREQDIQMMLAADVHLGTKNCDFQMERYAYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEALVAPDYGAVAEYTAPGADNWGGDWGAGDAAAPPAGVPAPTGADWAAAPAPPADGVWDAAAAPAAAAPGWEQGTAPVAAPTPNWE >Dexi3B01G0029020.1:cds pep primary_assembly:Fonio_CM05836:3B:27826663:27827776:-1 gene:Dexi3B01G0029020 transcript:Dexi3B01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGCPIALVYFRAGYSPNDYPSEAEWRARKLIERSSAIKCPSISYHLVGTKKVQQELAKENVLERFLDNKGNIEKVRQCFAGLWSLENDSIVMSAIESPELFVLKPQRESGGNNIYGDNLRETLGRLRKDGSNELAAYILMQRIFPPASPCYLVRDGACAKENVVSEFGIFGAYLR >Dexi2A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:2A:5501282:5502740:-1 gene:Dexi2A01G0005740 transcript:Dexi2A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLSMRLSMLRRGARVVAGNPSSYHRIATVSRQEAELVGPARPTPRETKRPSDIDDQEGLRFQVPVVLFYRRRVAGGNGDDPVRLIRRALGEALVPYHRLAGRLREVEGRKLVVDCSGEGVLFVEADADVRLAELEAAGLRPPFPCMDQLLCEDFDVQGSGGGGSPFTSSPGVLNTQQPFLSAVGELARGLSSPTVSPAWSRELLEACSPPEPTFPHHEYDPVPLPRPPPPQGEMVTRTFTFTAADVAALKEKRRLPPHLRDTATTFDVLAALPHGAA >Dexi1B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:1B:7868812:7870272:1 gene:Dexi1B01G0008890 transcript:Dexi1B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELPVFQLLVLLLPVGFQPWVVMLLVRGGHLLAALNVLMLVLHKWDHKLQKQLAQVGSQVTETTDVEDDDSIQPTNSNGRSNASAICIDPTDARLDRRLNWSNEEDIRLVSAWLHNSIDLVDGNDKKSDQYWSSGAPKQRPIGRNKAKEERNGKRKEPKAISAIGEKLDKFIDATTKDQKIAEDGASSLFSTYFL >Dexi1A01G0026180.1:cds pep primary_assembly:Fonio_CM05836:1A:32182754:32183510:1 gene:Dexi1A01G0026180 transcript:Dexi1A01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDTPDARWASHIADFDYIILSGTNWFFRPSVYREGGRVVGRNGGAAGIHGSAPEMPVSGAVRTAFRTALGAIAAREGFRAKALVRTVTPAHFENGDWNTGGDCVRERPFRRGERARDAVVAEFRAAQVDALRETAATSQRRNGAELRLLDITEAMEMRPDGHPSRYCHPPGGSAEGSFVVDCLHWCLPGPIDLWSELLFQMLAARQ >Dexi5B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:5B:2804423:2808204:1 gene:Dexi5B01G0004150 transcript:Dexi5B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGSAAAGEVRRLLAHLDSQQQLFADCHGAWSRALAHFASLEDDLASRSAALEEALAAADASTSESLAALDAREAAVPERLAEASAALSAAVAEAEAEAESAAPPPADIRGALRWICRRMDAAALWRFMAARRRELTELRREAGPAVASAVDPSRLVLDVVSDFLSAGEGAGEDQFWVLIMLLRSLFDSDSRKPPEIGDTLVESAAAVAKQWQERFGINVDILAYESQEVEMAEADVVQNSGATEKKEEHGDGGDQEEEEDPEELVQESGDEEEEPEEAEEPEEEIEKEAKEAKEEEADGKVPEEGEGEEKTGPEEEKGAGDETMEAKKGDGHKGAPEQPEAQIFLQMVAAFGLKDKFDGEFLRRLFVANGRKKELARIACVLGFEESLGDIVEELIKTGNVVEAIYVAHEANLLEKFPPAPLLKAYVRDSSDKAQAVLKSGRHSSSALEEANNLEGNAYRSIIRCVESCQLHSMFSTEIIKKKLAKIEKEKAERKKPGGPSRFQNKRSRGAAGSYPFPASKLKAARVSSSGFGPSFQNPVSRSFNYAAHAGYVNPAGGPPYYVLGRRGGVPYGGPGATYGGSPNFAAGSGQQPFRR >Dexi5A01G0030570.1:cds pep primary_assembly:Fonio_CM05836:5A:33482876:33500875:1 gene:Dexi5A01G0030570 transcript:Dexi5A01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLDSNQFSGPVPKNFGNLRNLTDLNLASNLLSGMVPDLSNATQLNYLNLSNNNFTSSPAPGWFATLMDNDGLTGTIPSALSLANNGLNGADPVDDGVVRELVSRDSASRAPWTGGHGTVVMQWLLLLFLLSVGLRPSFSQTNSQDVAALQALMNNWQNGPQSWTGSTDPCSSWDGISCSNGRVTEVFTGNIPSEIGNLSQLTFLALNSNKFTGGIPPTLGLLSNLNWLDMSVNQLSGQIPVSPGLNQLVQAQHLIFDNNNFTGPIPASLGQVKSLQIIRLDHNKFSGPVPDSIGNLSKLMELSLASNLLNGTLPDLTSVTQDLSNNNFASSPAPGWFSTLTSLNSIFMDNDDLTGAIPSGLFSLPSLQQVSLANNTFSGKLNMAASWGAGGTDNGEAPQLKGARYFSFEELKKSTNNFSEINEIGSGGYGKVYKGTLANGQIAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPYGTLRENLMGKGGVSLDWKKRLRIAIGSAKGIAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVLLELITAKQPIEKGRRLSSINLQGTLSNSIGQLSELVYLDLSSNSGLSGLLPTSIGNLKQLTTLLFDNNQLSGPIPDEIGSITTLQILNFADNQLRGTMPDLSALTKLNAIILSNNAFSGTFDMTDLTNNEHFQQLETSLWTELGLHPGSVFLSDVLFTSDNYLQVKVRMFPPTGTSFNLLEVTRIGFDLSNQAYKPPQGFGPYYFVADPYVHFAASWGIAQKDSGGAPQLKGARFFSFDELKTCTNNFAENNEIGSGGYGKVYKGILVDGTSVAIKRAEYGSKQGALEFKNEIELLSRVHHKNLYKKYNCYAARGIYLDWKKRLRITLGSARGLAYLHELANPPIIHRDVKSTNILLDDNYKAKVADFGLSKLVTDTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLEILSGRLPISKGRYIVREFRMAIDANDQDYYGLQGIVDPAIHDAAHTMGFRRFVQLAMECVDESASRRPTMNTVVKEIEAMLQSEGLSSGSSSTVEFERVGSASASHLYGGPVVTPRSNSSVSIAEEPDEPPHPELNHREP >Dexi8A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:8A:22087554:22087915:1 gene:Dexi8A01G0012550 transcript:Dexi8A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTKLVVVGFTLALLVASYNVAAVCEDSTRIMCTSDARCQSICLQKKGYTGGYCSTVYVVDGHASCVCRKTCGPAAVGGAGE >Dexi2B01G0022530.1:cds pep primary_assembly:Fonio_CM05836:2B:32172282:32179222:-1 gene:Dexi2B01G0022530 transcript:Dexi2B01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSVLALGDNPFLAPTDTFSARLAEVQSLKPFVLLQLFSGGAPLEFGEFKELVNLSLYNKNLTRELPEILGHRGSAGEDDQDDDHLGPGGWDSRPISRRDGRGSRSRPCPASAVLSTRHQRCAPREGRLSTRLPQRRRLFPSTSSPPAAVAPTLAIPNGGRFLRPPLRIRKMRKIFIDAENKRFADEEEQFGRIPERVVPDTPFEEKEFGYLVTPGMHTCEASENSTRDVSSIIVSLALFDGFMRNIYRLKSLGYPLPPPLVLE >Dexi2B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:2B:30004385:30004864:1 gene:Dexi2B01G0019830 transcript:Dexi2B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNCGSCYVKAILDRMKPRCLEGKPQRCETSTARGRAESRQDTLEDILYSPQSIEAEAVGAPSPRRHSPKVCPLNMDCYYENNSPNVRESFSIDRVSLRSQNSIARRVSFRLPDESDVFIIPARNDPDEYSTDDESTEDASQETDQKKPHYIITRYY >Dexi1A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:1A:115628:116631:-1 gene:Dexi1A01G0000190 transcript:Dexi1A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFGAHPARGKRPPSSGCFPSRSRLGGLEDDPYPRGGVFRLVRGSASSSLPSKLEPASTGTTPNAPRFVRVQDHYSTPSPTLRRRHRAMLRARPNPASSTPPSKAASKETMERRAQDTPLDVVNCHEKEGRYVKLEGAIPAHVRRGMDEQCTQRQTHVLAPRPLVYKRGREAHAKGRTRREHKSQTHTYHNQGPRGSSPSPTLLVNPYHEQHATRCIAPLLDVRPRGRNQDKTPSLTLAIRETSG >Dexi9A01G0049410.1:cds pep primary_assembly:Fonio_CM05836:9A:52053778:52054271:1 gene:Dexi9A01G0049410 transcript:Dexi9A01G0049410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPTSSSPAWNSPSIDSVSLVEVEATKDIAEEKAVVALPPPPADKLDKPVDDSKAIVAVKGM >Dexi2A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:2A:29989840:29990409:-1 gene:Dexi2A01G0018050 transcript:Dexi2A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRISAVVEGYKPCAAMVATQCMFAALTLWVKAAFNGGMSPMVFVAYRQAVAALVLVPIALVTNRSKLKEMRLGMKGFFLVFLAALVGATANQNLVYQGLQLGTPSLLTTMKNLIPAITFVMAVAVG >Dexi4B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:4B:22006228:22008528:1 gene:Dexi4B01G0019890 transcript:Dexi4B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERKGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSSSSSGTNQSTLQKSTSTSNSKRVFLNGDDHRVYASPDGNAATSDKNIYAMIRGCSQQSSPHQLPSLHEVFRSWEQSRGRVPWNSNLLTIEKAIKPSHTPFNKKPEKQTGCDLTLSIGLWEDASSDADGSSTISEELPAPVKEEESKPALNLDLTISSSWLA >Dexi4A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:4A:19893373:19894779:1 gene:Dexi4A01G0016310 transcript:Dexi4A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAKTKYPRMTMTPRTKQQLNKFFKNHAPDMADLVPNNQGQGGGGDNGQSEPGSGTSNSSQGSNGGGQSQHAPATNAGMYFYSYSVGTPPQTVSGALDISSELVWTQCSCATCDVSTSTTPFDPSLSTTVADLPCTSTACQDFVNQTCDAPECTYVYMYRGGVANTTGYLATDTLTFDTTSRVEGVVFGCGFDSAGDFGGASGGVIGLGRGPLSLVSQLQADRFSYYFVPDDSTGAGAESFIHFGDDATTQTSHAVSTPLLATSDDPNLYLVGLTGIKVDGKDLAIPRGTFDLQKDGSGGVVLSIAVPVTFLEESAYKLLRQAMASGIRLPTANGTEALGLDLCYTSQSLTAAKIPAVSLVFDGGAAMELEVGNYFYMDAGSGLECLTILPSDSGTSLLGSLIQAGTHMIYDIRGSTLTFESLEQASPPSNSSQGASRPRRSSAPPPRSPVVIAHFFFWVVVFMVL >Dexi9A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:9A:6177835:6182510:1 gene:Dexi9A01G0010180 transcript:Dexi9A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNLPDDSGADDDPDTDAAASPTPIPSPSARIPPPPPPMPPHLPHSAAAEGVKEDLTELSKTLTRQYETGQEAARHDAPEADEEWHQWVERVRLGVEDDRDSYFSMAIAAMRFDFDMSDAQQEHALAIEQLAPRLAALRIELCPIHMSDECFWKIYFVLLHPRLNKHDAELLSTPQCLQNQSKLETEQLGHRKDDLGVQLREDTFREASPFVRQEVASVMPITEIEIEKHPIQVTEVPVVDKSVIKEELPKNHTETSNILQDTFDDDVDDWFDEEADLAGHPTIHIGDEEDVSFSDLEEDDVK >Dexi5B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:5B:10448156:10450028:1 gene:Dexi5B01G0014100 transcript:Dexi5B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAKRTAIALLLALAVASAYLCGGADGRHHHHTPKHTKHNSAAHPPSQAPGPSSSRRHPQAPGPASSRRHASPPHAQPVSPPAPPPSYPTPGGDGPAPAPETGVYDVVKDFGAVGDGVTDDTDAIKTAWDTACQDDGESVVLARAGYTFLVHTTVFTGPCHGRVTIQLDGTIVAPSDPDKWPANSKRNWLVFYQAHGTTLRGAGLIDGKGQKWWDLPCKPHKGGGSSTTHGGSCDSPVALRFFTSNNVTVQGLKVQNSPEFHFRFDSCRGVLVRGLSISSPQLSPNTDGIHVENTQDVLITDTAVSNGDDCVSIGAGTLNVHIENVTCSLGHGISIGSLGKQGTRACVANVTVRNAVMRHSDNGVRIKTWQGGSGSVSSVAFENVRMDAVRNPIIIDQYYCLTKSCENSTAAVLVSGVSYAGIRGTYDVRSPAIHFGCSDAVPCTNITLADVELLPASGQTVDEPFCWNVYGNATTPTVPPVACLMDGSPGNWADKSSLKCY >Dexi3B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:3B:10348868:10352966:-1 gene:Dexi3B01G0014340 transcript:Dexi3B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCRAASPPEVDLLRCTTCATPWHSPCLSKPPALADAASWSCPDCSPDSSPAPAPAGPGNDLVAAIRAIEADQTLSDQEKARRRQALLAGSTPAADDDEDEDDAADDALEIVGKNFSCVFCMKLPERPVTTPCGHNFCLKCFQKWIQNGKRTCGKCRAQIPAKMAAQPRINSALVEVIRMAKISKNPNSAGSAGAYQYIRNDDRPDKAFTTERAKKAGKANASSGQIFVTIAPDHFGPILPENDPRRNIGVRVGETWEDRLECRQWGAHFPHVAGIAGQSIYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLRGYPVRVVRSHKEKRSSYAPESGVRYDGVYRIEKCWRKIGIQGKFKVCRYLFVRCDNEPAPWTSDDHGDRPRPLPKIKELQGATDITERKGRPSWDYVEKEGWKWVVPPPASRKPVLSGDPETDKQIRRVTKRAHMSVAERLLKEFGCSICRSVIKEPLTTPCAHNFCKTCLLGAYDSQSSMRERSRGGRTLRAQKIVKTCPSCPTDICDFLENPQINREMMELIESLQRKAVEEGDNKVTSDDAEECGDGESEENDAALVNEEDDNSLNEEEEDNADGSLKIVVDIEEEKDDKKTKMGVTEVVDVIVEENAVKETKKRKGAETGTDVSTAKRMKNMAATEEGTRTPVKKIRKSDVDEGNGSPVVSSGRRVTRSSANASEADDSPARRTRSRARA >Dexi5B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:5B:5443818:5444273:1 gene:Dexi5B01G0008110 transcript:Dexi5B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSGVFDPFADFWNPFDGVFRSLVPSVASSDRETAAFASARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRVERSSGKFTRRFRLPENAKVDQVKAGLENGVLTVTVPKAEEKKPEVKAIEISG >Dexi6A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:6A:21707157:21712432:1 gene:Dexi6A01G0014340 transcript:Dexi6A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPFLLVVELLRRYNEPLESFLRSIVPRARLHALVVDQFYVQAIDVGATLDIPVYTFVSSGASVLAALIQIPAMLAGRETGLKELGDTPLELVGVPPMPASHLVKDLLEHPEEAMRSGIPCRLGCPLASSPAKSSCPRSTASAVGPLIGKGSSSAKDNRHWEATEAERHLGHECLTWLDTQPKHSVVFLCFGSMGALPETQLREIAVGLERSNHRFLWVVRTPAGRADLKKLLERRAEPDLDALLPEGFLERMKGPSKVPPLKTPKSSPETSPELCRSTPPTNCSSTSSSSPSSSFFPIAPLFKLKPEVLRHRATGVFVTHCGWNSALEGVAARAPMLCWPLYAEQMMNKVLMTEDDGMGVGVEMEGYMERFVGAGEVEAKVRWVMDAERSRELRARVASGDAQARSGGGADAWGLVVVVL >DexiUA01G0012560.1:cds pep primary_assembly:Fonio_CM05836:UA:25484080:25486176:1 gene:DexiUA01G0012560 transcript:DexiUA01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKHAWDVWGEWEIRVLVLTSLALQVFLLFAGGLRKRVAAWWLRMPLWLAYLLADYVAIYALGNLSQNQKLRDGLRDAEMRLLVFWAPFLILHLGGQDTITAFAVEDNELWLRHLLSLVSQVLLAGYVYWKSSSPAATNIYTTAPAIIMFVAGVTKYGERTLALRAASMGSLRSSMLTPPDPGPNYAKFVEECQSRTHAGLVAKIVIVPERPPDADARVEVRRVAYGDLVWSARRMFGTFQRLFVDLILSFQDRIDSLAFFRTLEMEQAFKVVEVELVLMYESLHSKAPVIHGWLGRGLRVFTLAAPVVSLVLFARAGDADLAAGDSDDDTRRVDVGISYVLLGGAVLLETYAIFLMVISPWTYADLRAGGERLRPAAEAVLWLIELFQPETRARWSDQMSQYNLISYCLHDEPRWYKGLMEWLEWRWNFRVKTMWDSWRYTNTIAVSTQLKQLVFEQLKGKAESTMDDPKSYRKLGEHRGQWALQRKGLYQQLGWSVDCEFDESILLWHIATDLCFYDANQQDGHGELPAISREVSSYMLFLLVRRPFMMTASIGQIRFGDTCAEAKGFFRRAGDDVVVAGDEAGCAERLRAVDTSIAEPRDVKGDRSKSVLFQACKLARQLLELPESGRWRLVAGVWVEMLCYAAGKCGGSAHARQLSQGGELLTVVWLLMAHFGVGDQYRVESGHARAKLVVDT >Dexi9A01G0041150.1:cds pep primary_assembly:Fonio_CM05836:9A:44803182:44807462:1 gene:Dexi9A01G0041150 transcript:Dexi9A01G0041150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWAATLGGSATATRRGRHPLHCHVAALSSPSVPPHPDASPAHTAQPSPRSRERPTALAAQLALSPLPAKLQRRRSTLTGEDSKTMEVVASAPGKVLIAGGYLVLERPNAGLVLSTTARFYAVIRPLCDTLPADSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAIQFSVAAAKATITDKERKDALDKLLLQGLSITIIGRNDFYSYRKQIEASGLPLTPEVLLSLPPFSSITFNSEVANGSMTGEKCKPEVAKTGLGSSAAMTTSVVAALLHYLGAVNLSRPGQSSSDSATGRDLDLVHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYIRFSPEILSSAQATGGTCLPDVVSDIVTRKWNHENTQFSLPPLMTLWTEVATNQHQEAIVRSLLAARDAFLEIRLRMREMGIAAGVPIEPESQRQLLDATMNMEGVLLAGVPGAGGFDAVFSVTLGDASNAVASAWSSAGVLPLLVREDHRGVSLEDADPRTREVSAAVSSIQIH >Dexi3B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:3B:7432776:7437218:-1 gene:Dexi3B01G0010640 transcript:Dexi3B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGAGDDEGRGGALLGAYELGRTVGEGNFGKVKLARHRGTGAHFAVKILDRARVLSLRIDDQIRREIATLKLLRHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRIFHRHLIPQVSSFSVIGKTIKGKLSEQEGRRLFQQLIDGVSYCHEKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYIMLVGYLPFDDRNIVVLYQKIFKGDTQIPKCLSTGAQNLLKRILEPDPMKRITMAEIKAHEWFRTDYVPVVPFDNDDEDSQLDVILPVKEEINQSPEDKTTHQINAFQLIGMASSLDLSGFFEEEDVSQRKIRFTTTHPPKDLFDKIESSASEMGFQAQRGNGKLKVTRNCTVTKNPKNPTSFLVCTEVFELGPSLYVVELKKSHGDPALYRQLCERICSDLGVLKMKQILSTRPVADGLASLDNRSATPLVAL >Dexi9B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:9B:10982843:10983368:-1 gene:Dexi9B01G0016040 transcript:Dexi9B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQREPPQGGGSPEGLRLGLADDAPHAPGRAEGGLEHGAATARHARQTQADRATSIGGSRCSTARSRSPGRRAPIASASAAGSGSGRGGSAGDEASAPPPAPREPMAQKRGGRRWGDDWGAAEAGQRLSECGGAAEADFDDAQRS >Dexi3B01G0021990.1:cds pep primary_assembly:Fonio_CM05836:3B:16862935:16863571:-1 gene:Dexi3B01G0021990 transcript:Dexi3B01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLRRSLSGAASASGRLGRALSTAASRPPWAMIYEFEEMVRSPAQRASFQLGEPPRASHLRVPEHFIDPRPRPDPGGDIVPLLGGGVRAVSGDGFLLLDFMDSRITAPIVRKMGTTQERRIMGAELDPDRTRFVCNPLSGQLLRLPDIDGTKKTSECQDPGILTQSEIPNGRPDRYAVAWLREDSYGDDGGSGKS >Dexi2A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:2A:13037749:13039031:1 gene:Dexi2A01G0011210 transcript:Dexi2A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQMEGTVFTPSLEGMKYVKSDSGVILTKPFLEVCKHILPVLEKFGSAMSIVKTDIGGNITRLETKYASDPTKYEQLHSMVKLEVSAKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHRDWQMSQVCSDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINKDIEKFCSTFSPLLAENHKFLASVGMDDLKAS >Dexi2A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:2A:28375644:28380721:1 gene:Dexi2A01G0016680 transcript:Dexi2A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMDQDHARGPSPRRDVRDSCNAHGRLPRRARSKLAWPGRAGHPSRGSPPPLDPSLAPLLLFDCGADGEESSGAAAADDDDATPPRAALPPDRDSLLAGGGSDDTTCAMSTPRPTDPGCVVVVAHHTDPVLCYCRPGGTRWFRHEYVPEQIDGHHDPGRVIGAMGRLTGASGNKLYAHLFTPNITDAVVTLEFSPDLTLTTTRVVNRSYPTGFWELESCGELFMVSFCYTRLSETRISRVQVQKLDWSKKAWVKVAGLGVNRVFFIRWGQFGVSRAADELGLKGNCVYFTVPADKGLYVHDMEQGTTSVHDPGLNMTPWSNSSNTPYFIDGLAFFLHASAALSRVLLRFPLVAIAAYLPRARRHCFPCSMSAAKSEPSPLVAAVVELGSNGGGTNIDQGTNGEDGDLRRSIDLEAQSNDLHNGRPRSRGNHDRIELGWQGKLGRSSEHEHEHGKLGWREELEKVTGYAAVDEESHRGKKENRWLADVHAALHPTALARNAITYPESQGEQTNNSQLISRIQIDLLVPKEYNQSYTRKKKPTAGTPMFVDHDDSETQGLDHMHGEPYLGWSSLPADLLRTILALLPWSSHPRFAATCRHWRSTVSPFYPAWLTPVLLNAVDVGTTCVRYYSPYYHKNFEVHRTLQTPDAKLCCAKGRHLTLCQHVGTEFTVVDADLVTGVIYDLYPVDCICFDFVIYDGSNRVFGIEVMGALYIARAIKSHNGGWYRWEFSESVYTGEPMLKVLPMSNPVLHRGLLYLLGVDGRLAVYDVSRHEEGFKVLDMPNGFGGFECDDYYLFESDEGELMAVLMGHRGPPVHVVKLNEQTMEWEKVESLEGRALFTGTLVKAKVRWMQNKIFTPRLYDWPETIRVDLIDKEGELAFERCQAPEYRLDKDLRAPIPCSCC >Dexi9B01G0046670.1:cds pep primary_assembly:Fonio_CM05836:9B:45880445:45881255:-1 gene:Dexi9B01G0046670 transcript:Dexi9B01G0046670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDADENPAAAADELAGAADASLNAFFDHAGLELAAGGGGHGAEEEEELEWLSNMDAFPSVETMSAEVEREAAAEAAAPSRPSAARGRLDAVPHAVGPRTKGLRRRRRVTAPWSLPPLLPPAPPPAGGGAPRRRCTHCASEETPQWRQGPAGPSTLCNACGVRFKSGRLFPEYRPINSPTFSPLLHSNSHRRVLEMRRHVEEEVAAGGVRAGARARRAGRAAARSAAATPLDGGK >Dexi7B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:7B:19459821:19461869:1 gene:Dexi7B01G0012730 transcript:Dexi7B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSSNPESRAMALAKAKEIVASAPVVVFSKSYCPFCVRVKQLFEKLGATFKAIEMDVESDGAELQDALKEWTGQRTVPNVFINGKHIGGCDDTMALHNTGKLVPLLTEAGAIAGPASKETTTTA >DexiUA01G0003990.1:cds pep primary_assembly:Fonio_CM05836:UA:8004208:8012312:-1 gene:DexiUA01G0003990 transcript:DexiUA01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFALRTSVEFFRTNMPNSLHWTQNGDRERFGAPAAFLFSFPARPIFFFSARPSSARHRVGPARAPSLFLPLKSGARLSSSPSSSGRERAGLLHRRRAVRRRRASTPPPSRLGLAPEFRREVRKPRSSFSLSLSPSPADPPPLAAGHHRGLNPSLDPQNRTHVVSSTFRAKRGEKPSFLAPSRANSGEVTAARRRESSFPASRALDRIRAVRFPSNGPDLNRAGSREPRFKPVHGSVNGDVSPLVAEPFEFADDSVLEDQEQQQFTEEGKYNTDHPCYLYTD >Dexi7B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:7B:21124942:21127869:1 gene:Dexi7B01G0015050 transcript:Dexi7B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVHVAIDAVEDAVRNRGHGRDDDEAPRRAAPQRADTDAEGEEDRDERSRSTWAEVVSDHKGGEQDDERRDHRNSGRDKRHERKDVDGWERAGGRNQQHPAGRQNQYDGDDRREGGCRRQQQQQQAPGYRRQEQEGERINDGGWQTVGEKKHHGRPQKSEAWSGYRKPPSEQQYSEDVGQVHQGLNVEPTREELNSLSRACSRLWELDMNRLRPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVSQDYEDFKRMLISLWFDLYGRGGSSSSSSAFEHVFVGEIKGRGQGENEFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEIALYTLCFFVGGEENHVDIGPYTVNIKCYRLGNNKIGSAFPIAEN >Dexi9A01G0030150.1:cds pep primary_assembly:Fonio_CM05836:9A:35068147:35069172:1 gene:Dexi9A01G0030150 transcript:Dexi9A01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELDRVLSLPGQPSYSPAFKQYSGYVTTDEYLGKALFYWFLEAMDKPDENPLVLWLNGGPGCSSIGSGQSQELGPFLVKKNVPELEWKHLTDPSGGISAANLLFLDSPAGRFPQHKAKEFYIAGESYAGHFVPQLANLILEENRKASEENYINFKGILK >Dexi4B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:4B:5193287:5193575:1 gene:Dexi4B01G0007340 transcript:Dexi4B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSVHNALKRRRPRRRRGRQQKRRRGEADVARASPRGWAHWARARWEHAAAGAARGEAGTSARGTEDGGHESRVLGSHT >Dexi6B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:6B:13846859:13847316:1 gene:Dexi6B01G0009450 transcript:Dexi6B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDPLPLPTVLVKELVEERPACLAAGKAGWYVLVAGPLFHRGFREVHSEPPMLGLLCPSTRFIPGSRRLNAHHGRVLLRWDLARGDAPCSVLVVWIPSPTVGTDRPPLARPRSLPSAATAKDGGTKNLRFEHMPAAVEKKRRR >Dexi3A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:3A:5793981:5797382:1 gene:Dexi3A01G0008260 transcript:Dexi3A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPAAPAGSGGYKYYYPPQHAQPQALRRPPRPAARWVKQWIPQDLAFSGGKCSLFKWVREDGHRSSKENSEVLDVEAPKPEPTTEILFLCSYENCGKTFVDVSALRKHAHVHNERQYICTEPNCGKTGTPVAVQHTPPAEKPHSTPKPSTPATTSYTDRPYVCPYEGCDKAYIHSYKLNLHLKTQHPEHGQEENGKLGTSTGQHGVNEPAYPYNYAEIGDAAPNPKRSKTHKVHSSKAYNSKIPSAMPSSISGVKNQWSGKATYEDDSEETEDGGNNIEDGWRYGSNADDEETQDED >Dexi4A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:4A:1928234:1928590:-1 gene:Dexi4A01G0002780 transcript:Dexi4A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKNCQHLNFSRRDYCQRCRDPRPDLQFSDGYSTGGVLTSLDIRPGDWYCSCGYHNFASRSSCFKCGTIVRDFPAGQGAAGAEVDFARGCDSAAVRAGWKSGDWICTR >Dexi9B01G0026220.1:cds pep primary_assembly:Fonio_CM05836:9B:28024628:28027580:-1 gene:Dexi9B01G0026220 transcript:Dexi9B01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGEAIDWMDRNKKMGGTLLLLFVVVLSAAAPQVVRAAKPIPNSYLVGVGEGDNSIGILQNHIVETVNKHPNAGWTAAHNPYFANYTIAQFKHILGVKPTPQNGHCGSCWAFGAVECLQDRFCIHMNMSVSLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQDFAHYKSGVYKHITGGIMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGMPSTKNIVIKDGGSSGTAVV >Dexi9B01G0030620.2:cds pep primary_assembly:Fonio_CM05836:9B:33081777:33083211:-1 gene:Dexi9B01G0030620 transcript:Dexi9B01G0030620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATSVVVLSLCVLLLLATTSTLAQSPAAAPAKSPPKSSKSTPSPAATPTAAPAPKSSPSKASPAPAAAPTTPATPAPAAAPTKPKSKAPAPAPPSKATAPAPATPAPVATPPAAEEPVAAPPAPVPDVPAAAPAPETKPAEAPAPAKKKKPSSPPSKKKKKKGAEAPAPAAEAPVSSKKKHKAADAPTSEAEAPGPSGDAAAADTAAAARPCSPASGQERSPAGAQPQRSSGAPPPAKRQGTAAAGSRQVPRVPSP >Dexi9B01G0030620.1:cds pep primary_assembly:Fonio_CM05836:9B:33080968:33083211:-1 gene:Dexi9B01G0030620 transcript:Dexi9B01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATSVVVLSLCVLLLLATTSTLAQSPAAAPAKSPPKSSKSTPSPAATPTAAPAPKSSPSKASPAPAAAPTTPATPAPAAAPTKPKSKAPAPAPPSKATAPAPATPAPVATPPAAEEPVAAPPAPVPDVPAAAPAPETKPAEAPAPAKKKKPSSPPSKKKKKKGAEAPAPAAEAPVSSKKKHKAADAPTSEAEAPGPSGDAAAADTASAAGRTTERTAGAIVSAIAVALGVAALLV >DexiUA01G0026020.1:cds pep primary_assembly:Fonio_CM05836:UA:54784139:54784987:-1 gene:DexiUA01G0026020 transcript:DexiUA01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYFVAADWLIEHGDDPEVQIIDARMAPAGQEHLRDMVAEYRAGHLPGAVFFDIEALSDHNSPLPHMLPRPEAFSVAMRELGVNRNLFSAPRAWWMLKNFGVEKVSILAGGLAGWKRDELPLQQGDVTLPEGEFDATFDAHQVKRLTDVLVVSHEKTAQIVDARPAARFNAQTDEPRPGLKRGHIPGALNVPWGDLVFEGELKTTDELRAIFDRQGVDLHRPVIASCGSGVTACVVILALATLGVNDVTLYDGAWSEWGARDDLPVEPAK >Dexi4B01G0023720.1:cds pep primary_assembly:Fonio_CM05836:4B:25023876:25036080:-1 gene:Dexi4B01G0023720 transcript:Dexi4B01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKDAYPNRMNGENTSVGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIILAWNGGTPSDIFDAGVFKQVLSIFITAAVLKLGQAILDIIFGWKARRSMSFAVKLRYVLKLISAAAWVVILPVTYAYTWENPTGLAKTIKSWLGDGQNQPSLYILAVVIYLAPNMLASMLFLFPFLRRFLESSNVKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPHGSNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPVAFNERLIPSDASKRKGFRAAFSRKPRATDDEKEEEKRAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRTGSDPYFSYAIRECYASFKNIINTLVFGQREKIVIKEIFDAVDKHIADETLIKDLNMRSLPALSKKFIDLLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEEQLPGVLDSIHGGHNRRHEGITPLDQQDQLFAKAIRFPMEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSLHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEDAVREDEGLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDDLMEGYRATELMSEDSKLMTQCKAIADMKFTYVVSCQQYGIQKRSGEPCAHDILRLMTTQDRNKKVEKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFEEKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGRRFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVFEIFGQSYRGAVTYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPEKSWESWWDKEQEPLRHSGKRGTIVEILLALRFFIYQYGLVYHLNITKKITKDTHSVLVYCFSWVVIFVMLLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFTAIMIILIAIPGMTVLDIFVCILAFMPTGWGLLLIAQAIRPVIQKMQLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKTRNKE >Dexi9A01G0029270.1:cds pep primary_assembly:Fonio_CM05836:9A:34111267:34112624:1 gene:Dexi9A01G0029270 transcript:Dexi9A01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSKAAALLGAMMILSLLATYGSCARPVNFNESAFTSDPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNHPACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMALPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNPVYLAVLVEFEDGDGDVVQVDLMESNSASWTPMRESWGSIWRLDSNHRLQAPFSLRITNESGKQLVANQVIPANWVPNTYYRSIVQY >Dexi4A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:4A:24169506:24171411:1 gene:Dexi4A01G0020500 transcript:Dexi4A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVSGNGGEAVAPAPAPAKEVSAKVEAVAVAKNASFREESNSLDDLKESERKALAELRDKVEAAILEGKLFDDGKPEVKEKEEAKKKAEKAPAEKKEEEEVKKEPEAEEKKEEEEEDGKKEAEGEDKEEEEESKEKPEKETEGEKEEAKQEEAGDGEKKAETTAAVVVDKDIALWGVPLLPSKGDEATDVVLLKFLRARDFKSGAAFEMLRRTLRWRRDWAGFNAGGDADADLAEELAGACYLDGVDREGHPVCYNALGVFADDAVYKKVLGTEEGKARFLQWRVRAMERHVAELEFKPGGVASLLQVTDLKNSPGPVKKDLRVAVKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFLTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDDDTEFSGDDGEATELTVKGSSTETIEIEATEADTTLTWDLTVLGWEVNYKEEFVPADEGSYTIIVRKGKKMGSGEEAVRNAFRAGEPGKVVLTVENTSNKKKRVLYRHKARSSCAKN >Dexi3B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:3B:7091450:7096356:1 gene:Dexi3B01G0010210 transcript:Dexi3B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELASGFAKSVETGWFPREPPKPPRRGSALPPRSTAPGGTGKAAPRATGPYRPRATAGGRATRDVFGRGVVGGAFGDGQFGLVVCQVRSAAAAFASLPCLRRLGSARQAQERVASTSNGCRCTARMIHQSVSIKGGEEPKPANSNTGSCNPVPLAFPLLARLARLVATFPPSNLLFSRDAMYPNQGRGARAAMVTVTTMGMMTTLCAAAAMMLDRPDGPMRCGIACLALAHIAYLLYEVKLAQLVKLPTSSSLPVHQTPVPTPVHQVPATPPANQAPATMPVDQAWVPAPVDESSVLAPLRRRKDNDYYAPRRSRAVAPITRTDSMSSVNSAYRAPPSSSCYFASAESAAASPKPAATKSSRPLAPELADDLVPEIHLRLTPDNPACLLHASLVCKRWRNILTDPEIRRRHRTIHQAPFVLGFLRIVRDAMPCASHFVSISPASRRPASHDLPRWLALGCRHGRALFATPAPDNGTKVTLDFIVWYSGPDRGHRRRLPLPSPMLTGLSRFNAAVLCDAASEGYDHRGCHKGPFRVVFIFKTMSSTSVRMYSSTTDGWSERICIRQRRLFVDMKPCPNTLLGDVLYFRGLEMCGFEYQLSAQRLSVISQPCSSMTRGIFISLISTDDGELCFANLSEDPLSYNGPARRVKVRAINLEKLLPEGALLPLLVQIFYD >Dexi3A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:3A:16969720:16970330:1 gene:Dexi3A01G0021300 transcript:Dexi3A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVSPPIAAHEGRLPRQYSAEGQGAKKDMSPPLEWYGVPEGTRSLALVVQDIDADAVVPWTHWVVANIPPDTKGLPEGFSGREHGASGAAGPHSGGVQEGVNDWKQPGWRGPVPPSHGHRIQFKLYALDDELNLGNKVTKDKLMDAIEGHVLGEAELTAVFEG >Dexi3A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:3A:9789576:9794679:1 gene:Dexi3A01G0013470 transcript:Dexi3A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQAGMGLTKVVVLVGAGVAGSVVIRNGRLAEILGDLQEILDKASKGKGGGRADGGEDELNEQVRRLAMEVRRLANPRPVIINGSSVQSGVSGLLVPAATVGALGYGYMWWKGISFSDLMYVTKRNMANAVSTMTKHLEQVQSSLAVTDARLKLESIGSEIKNIKDLVWGLDGKIDSMEAKQDFSCAGVMYLCQFIEQNGGKLPERLEGPKVTAKRYGGQKVIQGLQLAIESGNFGKDTINALINDSDSSDKLKR >Dexi3B01G0036870.1:cds pep primary_assembly:Fonio_CM05836:3B:39680888:39681390:-1 gene:Dexi3B01G0036870 transcript:Dexi3B01G0036870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARLYTCIHEPFVFCSGNGEWRGTTYHREGMLGLC >Dexi6B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:6B:22688085:22696289:1 gene:Dexi6B01G0015340 transcript:Dexi6B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATPLLALSKAVSSRSKPFLLRRGAGSGYRLPAATPLRRRLPFAASASSSAPRGLAVPSDLLLLSLARLALRGPGPRAAAAVPRRWFASVSGASPLASGGPPRGGGGAGNGDGGGGGGGGDGWKRPRASQGAGVAEEAAGQGADVIVLDVGGMSCGGCAASVKRILESEPQVRSATVNLATEMAVVWAVPEDRDVQDWKEQLGEKLASQLTTCGYKSNLRDSSKISSQAVFERKMHEKLEQLKQSGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDAEKSSLIEVPCDTLAVGDYVVVLPGDRIPADGVVKAGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKLTVEVRRPGGETVMSDIIHLVEEAQTRAAPVQRLADKVAGNFTYGVMALSAATYMFWSIFGSQLVPAAIQHGGAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFADVDAVVFDKTGTLTIGVKMKIISETETFLLTNHISVIRDFGDNQWTEADILSFAAGVESNTNHPLGKAIMEAAGAANCINMKANDGTFMEEPGSGAVATIGEKQVSVGTLDWIRRYGVVHNPFPEAEHFGQSVAYVAVDNVLAGLICFEDKLREDSREVIKTLSNQGISVYLLSGDKASAAMNAASIVGIHADKVIAEVKPHEKKKFISELQKEHRLVTMVGDGINDTAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMKTVKQNLWWAFMYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMANSLLLKVRLSSRQRPADQVETMQEPRKTISDALSDTSDESLKRYSSKWST >Dexi7B01G0021980.1:cds pep primary_assembly:Fonio_CM05836:7B:26925866:26927413:1 gene:Dexi7B01G0021980 transcript:Dexi7B01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLFRVLLVAAAAAARAPAGHAWGKEGHYMVCKIAESFLTEEASTAVKDLLPGWAAGDLAETCSWADSQRFRYPWSSPLHFSDNPGDCKFSYARDCHNNKGEKDMCVVGAINNYTAALKDSSSPCKHPIDPTESLMFLAHFVGDVHQPLHCGNADDLGGNTIKLHWYGRQSNLHKVWDVNVIETALKEFYNDDQNTMIEAINLNITEEWSNEEKQWETCRSRTKTCADKYAMESAQLACNAYEGVEQDTTLEDDYFFAALPVVQKRIAQGGVRLAAILNTIFSGNRMLQSS >Dexi9A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:9A:8002067:8003799:1 gene:Dexi9A01G0012520 transcript:Dexi9A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAIFFVFFSAIVLVFFSTDVQGKGKQGPFAGGHGNVKDKLHVPTHEADATYMARFAAETGADRALNWSGDPCYTNWQGIDCNGDGRVVMIIAGARGLSGWAPSTGDDGALSWLVELDLSNNSLSGPLPELVLPRLEWLFLDGNKFNSMPPQFFANMSMVQVIVISNSPWLRDWSLPNLSSFTALWKFHAQSAGISGQLLGVLGEGMVLSDLDLANNDLTGSVPDSFACKAMAYLDLSNNSLNGPISFIEKLPNVQVAQLDNNKFSGTLPNFDEYSLPQVFSVAHNRLTGLVPASLPKKLSSGSVYLSGNLLQGPVPDNGGRGRVIGINLSRMFLNGSIHPAFANLRSLETIMLSGNNISGNIPPVLTQLPALRVLDVSDNVLEGSVPKFGRGVEVWAEGNPGLNVSSISPPIMSEQPHFTVFFVAVAVLLLLV >Dexi9B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:9B:6755188:6757904:1 gene:Dexi9B01G0010720 transcript:Dexi9B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSFMYFSQSSDSSFSSFFFTPPFVSGRHDAAATSATAAAAAALSRVLLPQLLVPSSVDAANTLPPPQTLLCLSSTSTYVTQLAADLSASELSHTVSVVDLRSVTLNAATASPMPSPRGCSPSEAASSIGGLRNPRLRLDRRLRRRWPSEVVSGRERGESSSRARSSKESWRPPDRSDIGNYSSRAAAVGSPANPRRGRRRAARRRGAERWRGRSNGRRGEYFLFRRYGVGVCGQQDLPPVLGSWVQHDGTPSEESSTSSADLLGAPTPAPPPLPGAPPRGSVLAPCAAVINKATNAMASSNLRVHVELDDLMRGPIGNKHRPADRASETGKHLLCHFPGIIGEVGLRSCVALARGKVFLFLLSPTTEAYAGVGSSARPGGCGGFAQPSPKCPTKQQVSGRASWALLCPHRRCHAPMPRLLLAGATASGGGAGRPGPGDGRSPKAVAGPALGFLFILRIVMSWYPRAEAPRHGVPLRACVTRRIIPPLGGVDVTPVVWFGIVSFLNEILVGQQGLLVLLSQQQQL >Dexi1A01G0026400.1:cds pep primary_assembly:Fonio_CM05836:1A:32339601:32340313:1 gene:Dexi1A01G0026400 transcript:Dexi1A01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGGEGGDSLAQKKITHRPPPTWCPFPSPLAPLLSIFDLEQHQNSARHEPVTSTGGLILTSTGSELLPPDEEAGITASHTLLVHEGHGAIFLPQPEGGHGLGSCSYDHGRLRRWRPNPSITMTRFSSPSPVPRCLFAATTSWLYAVVRVIVQAPVARGKCHLPA >Dexi4B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:4B:3899660:3901047:1 gene:Dexi4B01G0005510 transcript:Dexi4B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLRNVTVRKLLGLPDVRAQVGYRAEKSPLEGHKMMQRSPFEDADLQTKLVPSDSGTASESTTPNFVMESIEGNISEFSAPEELLEQALQYLGTGRRDQAIPLIRTAVERNPDLSTALIGMGQTLFSNRLFPEASECFKHAIEKIHEDDPLLILALFGAGLSHERQGDNEMAIKLLQRIAELKEPEKPINKTCYFQGMVMLGR >Dexi9B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:9B:669032:669650:-1 gene:Dexi9B01G0001170 transcript:Dexi9B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRGSSSGGGDRFVVFPFSMGCMSQSAVSVADPSDKKQQSDPSSSSSSSAAATTTTAQTAGSEDGAGETVKAAAAAGTPVSPGLVAAGVSRLMKGIKSLSLMFAGDEDDDGEEEEPEMVIGYPTDVQHVGHIGWDGHNKVGAAMGMVNAFSLPSSLSLRHLEMAMDQAAHA >Dexi2B01G0028580.1:cds pep primary_assembly:Fonio_CM05836:2B:37165919:37171590:-1 gene:Dexi2B01G0028580 transcript:Dexi2B01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRLLVLLLAWLCCVAAEATDTLRQGESLSRSATLLSSPDGVFEVGFFPPDPKQPSRLYLGIWYRGITPRTVVWVANRVAPATTAVPSLALTDAGELQVLDGTAANGTAAPPLLWSSNTSRAAPGAGYSAVIQDSGSLQVRSDDGVAVWDSFWHPTDTILSGMQISVQSPGPPGRSTNERMLFTSWASETDPAPGRYALGLDPSGSGQAYIWRDGNEIYWRSGQWTGVNFIGIPWRPLYLSGYTYSNDRDLGPHYTYTATNTSLQRFVVVPNGTDICYMLKKSTQEWETVWFQPSNVCEYYAPCGPNAKCTMGQDGKAQCTCLKDAVHRSWRSRHSSTWSQQNSAMLDISQSIRFDDDMEDGKSHELKVYTLDRIKAATSNFSDSNKLGEGGFGPVYMGILPGGEEVAVKRLCRNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCLQREEKILVYEYMPNKSLDAFLFNPEKQGLLDWSKRFAIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDADMNPKISDFGMARMFGGDQNQINTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLVLEIITGKRAAWRQWNEDKAVELIDPLIRTTCSVRQVLRCVHIALLCVQDHADERPDIPTVIIMLSNNSSSLPNPRPPTLMLRGREIESTKSSDNDQSHSIGTVSMTQLHGR >DexiUA01G0025520.1:cds pep primary_assembly:Fonio_CM05836:UA:53802123:53804767:1 gene:DexiUA01G0025520 transcript:DexiUA01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPIDSRKIHKSTVDGLMKKKKGEHPGSMGGAAKNSASAPINKENAGEEISRLQKAILVMQTEKEFIKSSYESGIAKYWDLEKEINDMQEQVCHFQDKFDESVVIEDDEARALMTATALKSCEDTIVKLQEQRKASAGQAMGESERAKVFREKLKAIMNKHGKSLPDPLDFPDKNTRKSNGADMEDVYHAKQGAIETQAVIDKIKEHFERDCNISMAEVTERIDELVSKVVDLELMVSSQTSQIDRLCRENSELENSLQSLDDENTVLTGGSSELTDKLRQVEEELVRVQVLESSFHKDESTIRSNFLEAISRFSDLSELLLSPVCEGSISVQTPHEAQAVESSAEQQLSSNEHLDTKEAGLESGGPASGVAEPDDPDDVSVDAGQDKSSRERPGSLERLRHISSDNLGGPDEQQQADGMMKLQERLTDSLLEDKEKVLLGEYTCLLDDYKDAKRRLAEMEKKNQECLNEIQSLREEIGGSEGAGSSCKRSSRRGHRRTPSYSSLHQRRPSVSSISRLIRMSSTVQEEPAAAAAGAEPAAAGEGEADDLRLPAVAEAENASPLEDKFRRDIDTLLDENLEFWMKFSSSLQR >Dexi7A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:7A:26706374:26708689:-1 gene:Dexi7A01G0016880 transcript:Dexi7A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTAASLRPPLRRIRGGASPLAASRAVICGANPLFPRTRRRALACRADLQQDAPFAAAIGACVLSSLVLPPPRPRGEAGEEVDEEGELGATDTRMAVMGIISFLPYFNWLLEAGIRSGDIESFNFMERARKLIFPSAAKEKDGRRGNKRDSIGMGDRDSRRIPSAHESRERLRNSDIFKRKLDEPSEDKQNKSDWN >Dexi2A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:2A:10874198:10875807:1 gene:Dexi2A01G0009830 transcript:Dexi2A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDAMAKRIRFDAESRRQELPVGGGGGEGGGPDLISRLPDEVLGDIISLLPTRDGARTQTISRRWRPLWRAAPLNLDVDDLSDEDHKRIIFATKILCEHTGPGRRFSLIGFRLHNRFDKIDGWLRSRALTGLREIEFSYEFQISPLPRPMPPSALRFAPTLCVAEFACCSFPNEMVPELNFPHLKRLSLRSVTVSEDALHSLLSGCSVLESLSLRYIVGIGRLHISSQTLRSIGVSTAWSDSDTNPIKFQELVIKDAPCLERLIPLDHGLVPATIRVMHAPKLEIVGMLSVGISNLVLGTTVFQEMIALKLTTSMRTVKVLALDSLGPNLGSVVDFLKCFPCVEKLYVTCTLQKTMKNTLTYNSLDPIECLEVHLKKVIINNYHGMKPDAGFAKFFVLNAKVLEEMDFGLSCSYNDKWMANQRRRLQVDNKASPGARFAFGHSYAYGITFPIFSKPDPFEWKNRRLFLRSASLLN >Dexi7A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:7A:26711307:26712708:-1 gene:Dexi7A01G0016890 transcript:Dexi7A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLGVAGRAGSGGGGGAHGQSGIGSGSGRDSAFALGGGGLSAAAWTRLVSSGVEDELVTASGGGRAGASAGGLPLGHFLEGDIPFCTEECRREQIEMDEEMERKESSTPKKVTTRAPSHDVESPPRPPKARAGSILAG >Dexi3B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:3B:10288906:10291204:-1 gene:Dexi3B01G0014220 transcript:Dexi3B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGAIPTATAASSTPPPSEDGSGSPTALDPPSSCAPPAFPFRSWRELADPRALSVPGGFADVRRRARANLARFAANYQLAFLAVVSVSLLWRPRCLPSVLPCFLFLSGRFFPVIMALTLLQNTLTGAAASVFVSLPVGLLLVVPHAVLHYCPADCADEDDQAASIAWQAPRIAASIDELAYPTATASHSFPTSSSAPTTFRPPARQPAMSKYGTIPTSSSPAPPGASSSTYPLDFISRAKARGASALAARRPWRELADPGALSIPRGFSDAYRRARANLAHFAANYALVVLAVVFASLLWHPVSLLVFLACFLAWLFLYFLRDRDVDQRLLVCGRPVGDGVVIVLLSAVTLVLLLLTGATANILISLLVGLLVVLFHALLHRPADSIDEEAGRWYTPVPPANY >DexiUA01G0020580.1:cds pep primary_assembly:Fonio_CM05836:UA:42871990:42872988:-1 gene:DexiUA01G0020580 transcript:DexiUA01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAYVVDMVVKIKNPDDLIGDLQETFDNLNKWRWKLNPTKCIFRVPFGKLLGFIVSNKEDGTHSTCQPGGTWAGQATEFTA >Dexi5B01G0034370.1:cds pep primary_assembly:Fonio_CM05836:5B:34603451:34605128:1 gene:Dexi5B01G0034370 transcript:Dexi5B01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDERMFEMDFESPSSPEAISMHHQTSSHILEAIVGPSTQVEMEIHERAMKHVREMGEETKRSSLKRRLMMRLRKDGYDASLCRSSWVATTEHPGGDYEYIDVAVAGDEDGGAGPAASSSSSSCSRLVVDIDFRAQFQLARPTPWYAHLWARLPAVFVGPRARLRKAVSLLCAAAQRSLRESGLHVPPWRRSGYMQAKWLPRDVPLPGGAQEVAMARWSVAMGKELGDRPRRSGTGGLAIELSGSGAE >Dexi7B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:7B:18609945:18618720:-1 gene:Dexi7B01G0011600 transcript:Dexi7B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDNERTRRLISARNVAAAAAEVVAGVGCEGRREGPGILVACGWLGGRRCDLGLAPPRPHPAFLRSSPTHTPRHLPLSRAIATALAKPLPLQPAPEIPAPTLPARPRHRPPGPRGALAASGARLDRAERDSPGARGAVTRQAELDPRDPAPAFPHLPLIGRYWQGLLRGHSHRVVLLILGRLEEMQRNGVLECNVCRSKVAVPSPRSVSRAYDKHRSKISSKYRALNVLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFAIIMLIIQSRKQKVGEKPLLSLSTFVQAARSNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRKFSIIQWEALALLLIGISVNQLRSIPAGTNAFGLPVTAIAYAYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATLFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGQPLTVDFLLGISIVFISMHQFFSPLAKVKDEKTAGTMELGDTQNHRPSESSFVNMTAGAADDASHLSATEERKPLLPI >Dexi5A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:5A:4334718:4335737:1 gene:Dexi5A01G0005800 transcript:Dexi5A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAEQRVVVEDLVGVVTLFSDGSVVRGDESTIFPPGPFPDVPGVHWKDAVYDAARGLKVRLYKPSPAAAAGEAKSNNNKLPVLVYFHGGGYCIGAYDQPMYHSFCQRIAAELPAIVLSVSYRLAPEHRLPAAIDDAATFFSWLRAQAILGAGDGAEPWLAESADFSSTFVAGVSAGANLAHHAVVQIAAGEENALGPLRLAGYVLLSAYFGSVERTAAELDPPSGVSLTVENSDQAWRMALPVGATRDHPLANPFAPGGATLEAVPMPPALVVAPGRDVLRDHVRGYAARLKEMGKVVELAEFPEERHGFSVGTRSKATEELMAILKGFVHEHAALN >Dexi9A01G0047840.1:cds pep primary_assembly:Fonio_CM05836:9A:50822652:50826946:1 gene:Dexi9A01G0047840 transcript:Dexi9A01G0047840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLAGGSFVVLLVVGGACGAGGRSGRGWLDHGREAVNEPCRHALIVESLRLALDFSTFDDPNFGHVWASASERLSSLEIGYIPMTMLLELLTAVVDAHQCMDYVKAPVFFPSLQKLCLTVDFITDHLIGSISAALPSLTHLDLQDAPIIEPTSVSDLTNTGLQQINPHGKLKHISLMRSQEFLVTSFRRVNDLGILLMADKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTDVGIKRLSFNKDLNVLDLRDCRSLGDEAVRALSCLPKLQTLSLDGTDISDEALKYLGLGTCPLTSLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPSVTDDGIMLLARSRTPLIELRMRENPKIGDASVMALASMQVDGGTYGSSLQLLDLYDCGGITPLATRWFKKPYFPRLRWLGITGSLNRVMVDALARSRPFLHMACRGEELGTMLWDTYSDWYRHNDDDLDELEQWLLDGEPVSDDDTIMEE >Dexi5A01G0026190.1:cds pep primary_assembly:Fonio_CM05836:5A:29893314:29895998:-1 gene:Dexi5A01G0026190 transcript:Dexi5A01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPMLAWPMYAEQRLSKVFLVEELRLAVVLEGYDSQEVVRDEEVASKVRWLMESDGGLELRERARAAMRQAKEALGEGGESRTSLLELVRQWKRWKVSITPLLTSSFKATRRSLPPSLTHSRAGRGKDPSAYSRVGRQRRRSTAMADAHVLVFPFPAQGHINAMLPFAAALLDVGVFVTFLHTDHNLRRASSVSVATSPRLRFVSIPDGLADDHPRWLGDMLELDRSLREVGAIRYRALLASLSSSAGRSSQAGSDLSFPSVTCVVADGILTWAIDASEELGVPALAFRTSSASSFLAYLTVPRLVELGELPFPAGGDLDEAVHGVPGMESFLRRRDLPSMFRRRVDTDGGVDLDPFLHMLSKATTHSTKARALVFNTAASLEAPALAHIAPRMRDVFAIGPLHAMPSPAPASGLWSEDDGCIAWLDGHADRSVVYVSLGSVAVITHGQLTEFLTGLVAAGHPFLWALRPDMVVTRSQDANCAPHEAIRAAAGSKARVVAWAPQREVLRHRAVGCFLTHSGWNSTLEGVVAGVPMVCWPFFGDQQINSRLVGAVWRNGLDMKDVCDRAVVERMVREAMESAEIRSSAQALADVVRRDVDDGGSSAREFQRLLRFIKGTSMEAAKLGDHPN >Dexi3B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:3B:13777914:13778637:-1 gene:Dexi3B01G0018560 transcript:Dexi3B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNIHGAVAIMVAVIFLAAVLPSHASTTVDEPANYYKPTAPAPPPPAPYSSPPPVQPVIVVHGVIYCKYCRLRGYNSGMEASPLPNATVSLVCYGDEESKYRVLNQTSTAADENGYFIVMVYDLEMFDRHSCRVYLRSSPTPLCAKPFLPSNPKLGLTLVRDRKATPPRGARGVFHPRPEALMYTPGTDAKCPPPY >Dexi3A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:3A:14365767:14366776:-1 gene:Dexi3A01G0018770 transcript:Dexi3A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQINLRTRTEEAIRQMRATPGGVCSCAGRTAAAAGAGCRGCALRFVAERLRDAGYNSAVCRSKWPRTPEIPSGEHSYVDVVVPTRSGKAVRVVIELGFRGEFEMARGGAEYRALVASLPEVFVGRPEKLRAVIRVMCDAARQCARDNGMHMAPWRKQRYMEAKWPGTPERVAPGGEAVAVASPEKPPSRFRASILTLDFGGRTAVEVV >Dexi5B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:5B:6952365:6954778:1 gene:Dexi5B01G0009970 transcript:Dexi5B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRCQLLMALAALLVVLRGCAGQDVDGGGADSPEAASGPTAPMEEKERAALYAAIESFVGKGWNGSGLYPDPCGWSPIQGVSCDLFNGLWYPTSISIGPVLDNSLQCAPDARFSPQLFDLRRLRTLSFYSCFPASNPTAIPSASWEKLSGSLETLDFRTNPGLTGAIPASLGRLSALQSLVLVDNNLTGAIPAELGDLLKLRRLVVSGNNWLSGPIPATLGNDHRHDELLILDLSKNLLTGSLPSSLGGLRGLLKMDLSNNLLQGSIPPELGELKNLTLLDLRNNSLTGGVPPFVQSMASLQDLLLSNNPLGGSLPSSGWEKMSSNLATLDLSNVGLSGAIPESMAALTGLRFMALNHNHLTGPVPPALARMPRIAAMYLNGNNLTGALAFEAAFYERMGRRFASWDNPGLCYDVAAVDAAHAPAGVVVCKDLQEPARDGGEDEQEGRKPEASSSLVASSLACGISDASACGLWWSLLVVSGTVGSVLL >Dexi5A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:5A:9985696:9988022:1 gene:Dexi5A01G0013260 transcript:Dexi5A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPGQGALAVASDSSDRSKDKHGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVTAHASDSDLRSVVEKIMSHYDEIFRLKGNAAKADVFHLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQAARALLVISDYSSRLRALSSLWLARPKE >Dexi5B01G0038840.1:cds pep primary_assembly:Fonio_CM05836:5B:37903205:37904965:1 gene:Dexi5B01G0038840 transcript:Dexi5B01G0038840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSSSSEGFVGAPAALPLAKEAAAAAAASATGTGYRVADCGLCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPLCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEAHEEAPEEDEFYHQYQYDYDEDDLDEEAYYMSRSPSIRIGNRRWGDSGYIRGGRREARPVNTDASGPSRTPKKKEPMASTSGSGSGSGSVSKDVAGRRAKRAQKREAADKAAAEKHLKHLQRLGLMKTPTPEVPVEVGPQVNE >Dexi8A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:8A:20660688:20663546:1 gene:Dexi8A01G0011670 transcript:Dexi8A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAATPDPSCSPFPSSRRSPASFVLTRRPAGSSGPPSLRCHGGLPRCHAWWRSTGRARRSSGGAGRVRHCRAVASAPDHMDELPARGRYHPFEEIPEAAKLDDGEPAHLTDAESARTIVEVNNKATVMISTLVGDGVHERIILPEFPYLTDENGDIYFEVDNEDALLESIMGEDKIAEGVFTVDEDDDDDDDDEDDEDDDMPSWSNLETVNSCHPLYFARMIVETATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVAKHLSNDEPKDKKESGAIFFKVEPKVKIEEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQVEEAAVIGVDCLGFDLRMCSGTQVQTLRFAFPTKATSEFSAEKQIHELLFPRNTHQEGQSPQAQHKS >Dexi9A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:9A:5678527:5682580:-1 gene:Dexi9A01G0009390 transcript:Dexi9A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGSGSSGVVRDVDALDGVRSIVLKPSESLDESRFTRIAGADFNDPGLGLEGLLGSFASTGFQASNLGDAIDVVNQMLDWRLSHEKPSEDCDDAELDPKYRESVKCKIFLGFTSNLVSSGIRDIVRFLAQHRMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTENVWTPSKVISRLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRSPGLIIDIVQDIRLMNGEAIHAAPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPFLVAATFARKLHGSRSTN >Dexi6B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:6B:25788831:25791728:1 gene:Dexi6B01G0018840 transcript:Dexi6B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPASPAEAAGGAACSICLDPVLAGGGGRSVAKLQCGHEFHLGQIPSRPCRAAVFARPDLPGMAAMIAELNTIFLLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGQRPSADIDLGGWAASDNYDIAADLVSAHLRVNIGLGHTGTRPFGFQWCPFSGFTQLASVFEEREAEPTSCKH >Dexi6B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:6B:17818587:17819471:-1 gene:Dexi6B01G0010970 transcript:Dexi6B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCWPLSLWLSPAAAWFIFFNAVVGAIAVMSSSSSSSSAPRRRLCRSGSSVVLDRLRSSSIFSVHPLASGVTGTPPLEDDGGSGSGGGASSSDDAHCYYCSSLEAGEAAMAHHERSLNATATSIDAMPRALAPAEDHHAAAHGAPPPENDEVEAEAVAEEEGSETEEHEESSMSLDEAYALAQRLRAQEQASPPSPSPPQPASAAVTVTEKKPAKKKVEDGMRRRRGKAEEAVEGKAELNARAEMFIRQFREELKLQRLNSILSHTRALDRLADGGSAVAPAMV >Dexi9A01G0047650.1:cds pep primary_assembly:Fonio_CM05836:9A:50700383:50701215:-1 gene:Dexi9A01G0047650 transcript:Dexi9A01G0047650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPHDVVVAILQRLAPRSLAVSRCVCKEWRCIVDARCHLPKDLLPVSLGGIFVGLGHEPAPPVFFARPALLDEDRSKARGLRGDAEPDRFPHDLRLLQRAAPARRPRRQPGDTAVG >Dexi6B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:6B:1787742:1788962:1 gene:Dexi6B01G0002050 transcript:Dexi6B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAAVSSSHPSPFLRSSSNPTFVSFPRVPLPATTTLALSAPSQLPLWGLALVPPAANPKYHNAKVDAGDEDLNGEELLRRFTWQVSRAGVMEEIRRRRRHEDARDKRKRKARSAARRFHRRRFKGPYPFDGEQGSKEHDTDDEKNDNWELPGGELPSYR >Dexi9B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:9B:9185255:9189441:-1 gene:Dexi9B01G0013690 transcript:Dexi9B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAEDSSSSATCAASSADTAGAPDCPSPPSSRRAAPTLLLLASLAALIILSSGDDGTAHLLKDVSLENPEVSFVPSPFAGQFCERVRLSGVPRNASMGLCQCEVGEWQVFQDGLWTAVNSPYGNKYIDVKLADKKSARFTLSIQEDGHPFTTAALWLWASFLLFSLSFFSIDPDLALVIGDEIVTNGEEKFILPHYLWICGVGSYAVHYFSTLVSSILENFGLSEEMHNPVSLFLMVTIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATFFVMQSTLDPRLAMVALASCWSVCALLTGKKVQRITTPKKKQSKVSSQLMLTQGSPSSPQVQFLSSSSKIGFGRTASRSSATQYGWSNLANGGLVSSALTKQVEPKQDEDYYSTFHNIEPRKYSKEEWENFTQESTRKALAECTATPEFAKWVADNAHRLRAEKDDDLLEEETIESSSSSSEETGEEADGGPGLLRLWR >Dexi2A01G0033870.1:cds pep primary_assembly:Fonio_CM05836:2A:44043012:44047324:-1 gene:Dexi2A01G0033870 transcript:Dexi2A01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFALFLLLLSGGAAGDDVAALLEFKKGIADRDRDPVLGSWSPPATTEAGNGGGGCPAAWRGVVCDGGAVVGVALDGLGLSGELKLVTLAGMRALQNLSLAGNAFSGRLPPAIGSLSSLRHLDLSGNRFYGPMPGRLADLSGLVHLNLSHNNFSSGFPTEGIRQLQNLRRIDVRNNSFWGNAGDLLTQLRNAEHIDLSDNLFTGSIDLELDNLTSIGNTVKYLNLSHNKLDGGFFRNETVGAFKNLAVLDLSNNGLGGTVPRLDAWFSLEIFRVAGNGLFGMMPEALLQNSMRLVEVDLSRNGFSGSLPVVNSTTLKVLNLSSNVLSGSLPTTVGKCTSIDLSGNLLSGELAILRSWDGIVEVIDLSSNKLEGSYPNDAAQFQNLASLKLRNNSLSGSLPSVLGTYQKLSVLDLSLNALEGPVLPTFFMSPTLAVLNLSGNRFSGTIPFQSTHSTESILLSSQPALKVVDLSSNSLSGPLPPDISNLQKLEFLILAMNELSGEIPNEISKLQALEYLDLSHNHLTGTIPDMPQNGLKIFNVSYNNLQGTVPKSVEKFPLSCFRPGNDMLVFPDGLPAGNDDYSGVSQSRTSHGHKAGVRVALIVGCIGAVLLVIFIALAFYVVRSQELCGRNGFRGQITTIRDLKGRISRPNLFKSPKDNVIPSKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYTDPKEVDESTSSGLAETSAAIQARESSPRAALPTSPRFADSRYHEEPVAFEVYSPDRLVGELIFMDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTISHPNIVSWRAFYWGPKEQERLIISDYVYGDSLALYLYESTPRRYSRLSVSQRLRIAIDLARCLQFLHHEKGLPHGNLKPTNIFLAGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTAKPAPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDDLCSITV >Dexi9A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:9A:8139010:8139273:1 gene:Dexi9A01G0012760 transcript:Dexi9A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVLVCVVLLSAAMANGIRTAGTVGTGAPGPEAVATTASTSASEVAVPTPPASSDQKQAPPLDDPTKDSKRKVPNGPDPIHNRY >Dexi9A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:9A:13286547:13289100:-1 gene:Dexi9A01G0018250 transcript:Dexi9A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGCVERVAAGLLGGPLAGGGRWNTAVAVGVTAAAGLAVLAIVVSSRRGGLKSPWWRRRRKAPLTAQEWRALFTPEGKLEDGGVKLLKKVRSGGIEPSIRALVWPFLLGVYSLDSSEAERDAVKAQNRKGYLLLRKHCLRKSAYNMEESKQSSNKTAGINPEGSISSEKGEESGCVSSVESEEVPENPSAEEAISTEEGNPCPSTELELQDDTSGTKPEKMDENQSSSSSSNEEEIERSDVTHVESSHKDLASVCESSLEDGQESLPRYSQTGGNMDDIELSKAARPVKSARAVEDFETWQRIIRLDAVRANDEWVSYSPSKASVSREKAIESAKAVCLKDYEHLEPYRIHHASRLVAILEAYAIYDQEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRSGIAKSPWRRMRLGAPATDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVVKLHDRIE >Dexi1B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:1B:12369316:12371581:-1 gene:Dexi1B01G0011920 transcript:Dexi1B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPLLLAAATVPGTKLGGGEEESSAVGEVKKQLYLAGPLVAGFLLQNMVQMVSVMFVGHLGELALASASLATSFAGVTGFSLLAGMACSLDTLCGQAFGARQHHMLGIYKQRAMVVLALVSVPVAAVWAYTGEILTWCGQDPEIAAGAGSYIRCMIPALFVYGALQCHVRFLQTQNLVVPVMLSSGATALCHPAVCWLLVRGLGMGRNGAALANAISYLSPTSPSWPSTSVSRRPASPPGRASPGKRSAVSLSSSSSPCRPPSCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNGFAFMVPLGLGGAISTRVSNELGAGRPGAARLATRVVVVLALAVGVSEGLVMVLVRNLWGYAYCNEEEVARYTARMMPILAVSILFDGLQCVLSGVVRGCGRQKVGAFINLAAYYLAGIPSAFGLWFGIMCGLVVQMLLLLSITLFTNWNKEALKAKDRVFSSVLPVDMAT >Dexi5A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:5A:159450:161452:1 gene:Dexi5A01G0000220 transcript:Dexi5A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDSDADFICRKVLNMRLFPNENTGKVWDQSVMQRSFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQRSYSADSVKDGVFGAMMKVSLVNDGPVTMHVDSPSLQVPAQSRRVSNGDDDLLRDGDAGVPTETC >Dexi7A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:7A:27333508:27335059:-1 gene:Dexi7A01G0017800 transcript:Dexi7A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKFSGSSKNHHYKGTTASSFRSGAGSYRRPFPGFIDDTGFTPGSRVVAGGEDYYPRTTAFAAAAVTGAARTAPVDGLDVTSRRQQAGKEEEADDVQEEAAVPREWTAQVEPGVQITFGTITTGGNDLKRIRFSREMFNKWEAQRWWGENYDRIVELYNVVTFSGRQQGCSTPVSSVDDSVMRESSYSHGGSTSRGSPITTPLAPPPPPPAASKEMITRSISCKQAMAGSSSAPSPYAAAAPSTRAAYYPSAAVPDPSDHVWAHHFNMLNNSSAAAMAAGTSSAAMMGPSSYDPSRATTSSRDEAASVSLSNVSDMEGTEWIEEDEPGVCLTIRELGDGTRELRRIRFSRERFGQERAKVWWEQNRERIQAEYL >Dexi5B01G0023500.1:cds pep primary_assembly:Fonio_CM05836:5B:25643063:25644373:1 gene:Dexi5B01G0023500 transcript:Dexi5B01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHSLAAWRLSCVIFFLLSTAATLSSAASTSDPILCTIPSPAPKHVDATTNKHDALALLNSFHLDAGYFFGGEDIHFAENDTNTSFVSRSFSVFPHSVDLTDDPNLLHVAASLTLSGGRARTMMLETPRRRRPHRFVGEHSVTFYLDGYFSTASGELCMTGKGTYPSDGGGSIENLDGVVLKLRMPSKPNLSDPFVTGRVKGSSFEPISLVAYAAEGAYSYQKSSESVSCPPLEPSSTTARGAFQALGSNFSCAHLREHLVTSYKLQYGGGGGSSPPARWLQARRMHVGQVQCTAGGEVRGYASFYNDTGMWGHLAHRPHPPFMVDEEVVVVEGRWDSTRSMLCLTACHAVRSEESMEVRECGIGMSFWFPGAWTIHDRSVVAGKIWNSSQAAAGDDGPGVMVSASSIDANNHRSNFSDVTYDTRWWKRRRSVI >Dexi9A01G0023380.1:cds pep primary_assembly:Fonio_CM05836:9A:18707031:18707303:-1 gene:Dexi9A01G0023380 transcript:Dexi9A01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRGRQHCNSNGTTLMQGIMLRLAIRLDDGQQPARPSNGSSAAPFPGFTWFRFGQTCFYRCLRPST >Dexi9B01G0029240.1:cds pep primary_assembly:Fonio_CM05836:9B:31838629:31839559:-1 gene:Dexi9B01G0029240 transcript:Dexi9B01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRTAPAATGAASPAALAVAKGEGKATAARSVRLRLPGLGLRLAAQGQTHAASICKRLARNVVAMATGEPAAAAPAANEEFTEFVNALKQEWDRIEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPIVPGLMEAVGIGYSGWFAYRNLLFKPDR >Dexi5B01G0029050.1:cds pep primary_assembly:Fonio_CM05836:5B:30307314:30312839:1 gene:Dexi5B01G0029050 transcript:Dexi5B01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAHGGGGAAEAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRISGSLSSLSPPPEPSPAASAASTSPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSLDAAAAARFPLLTHQAQLVEAFRPQIAQRARERLADRRLHVAAHADALAAVAAIEAPSLAPPQALLLFLTSRRAWITQALNGLASDLSSYTSVLCDVARIVRITLGQVGQLFLPALSDMPLFFKTVLEKTPPEQLFGGLPDPDEEARSWKEHMNQLESTMALLEPDAIARACTDWLKECCAEIFGVIAGGQKLVDAIGSGELLGSVQRLVCDELDGRDGLEGSLEQWLKSVFGSEIESPWDQIRGLILKDGKDIFEDWMEEAFVGRMKDILHTELDSLSTSVNVKESIDAIGANVDPKDAGDFLAYLQKSSKGGGFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGTEVSRIGSAVDSKCKSILDDLLSFVESHYSAPRLKELVPYLQEKCYRTISGVLKELEAELRKLSASLRTKKEDNDIPAASIIAERALFIGRLLFALRYHSSHVPLILGSPREWVKEAGGAAFARLSSPTPRHSRASFESPMSFTPRRRTFDSPRSPGMQFLDSPRKQTLAAAISLFGADDKSNPRLDELNKTLQSLCIMAHSVWISWVSAELSYILSFDLNKDDSLSSSTSLRVCRRTHLFSSFFKFVFLAFACSAPAISSRPHKSLQSPSGDNTSKGPWKSYSNGDRSTAPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGVRYDS >Dexi9B01G0046940.1:cds pep primary_assembly:Fonio_CM05836:9B:46175955:46177658:1 gene:Dexi9B01G0046940 transcript:Dexi9B01G0046940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPLMLAAAGSLRAAASTMAQAGGDPAALHAVLVKTASSSRAAYSLLLSRYPPSLSLPLLSRLPFRPTAASLTSSLSSVSSSSPSSALSLLRSVLGVSPAFLDDGPLSSLLRSMPPSLAAPLHALAFKLALSSSPYSASCLITLYSRSRSATAARNLFDEIPVPNRDPVCYSSAIVGLAQNGKYEESLSVFAGMRSNGVDSTMYALSGALRAAAGLAALEQTCGIHAHAVVAGLDGNVAVGTALVDAYGKAGVVDEAAKVFEGLGDDRNLITWNAVLSAHAQQGDMQAAVGLFNQMIELGFTPDALTFLAVLTACSNAGAAAEAEFWLEAMQSKYNMKPGLEHYTCVVGAMARAGRLEDAESVACTMPCKPDAAVWRTLLIASVVHRKVDMAESMGKRLLDINPKDDSTYVMLANVYSAAGKKDEEAVAWIAMRDYGVRKEGGRSWIEVRGQVHVFVANERRHEQLPEIYDKLNELIQEVGKLGYKEADEALWHHSERLALAYGLISGAVPSGKVLRIVKNLRICARCHEFFKYASMVIDRVIVIRDVNRYHTIKKGDCSCREYW >Dexi8A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:8A:1617765:1621553:1 gene:Dexi8A01G0002450 transcript:Dexi8A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPAQDHTRHGSSLLCSLSPRDASRAFPPLLCFLSYRDGDSAPPALGFCRRSPARFREAARSKMVEVEEVGNKMQSQMRLHSEPEDDADLPLPALFDRASRLHGLASSSALDQEGIRKGVDLLRRCDEMVSKLGLFSPNETKEDVSTSNLKYLLVPYYLGEMTEKIAQEDRIPILKASQNHLKEFIALCEVLELIPEDELELSKQKQPDTMANRRAQKIARFKRQKAAETKLQEIKERNERRGRSLRASALSAPIEDGEEDAFEDDGEEEREAWLATISLALCQAFDLIDMLKKEEEMLVAVKERQEKDGKAFARDMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVLEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTATMIKEANSAWHKDGTSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPCG >Dexi9A01G0042880.1:cds pep primary_assembly:Fonio_CM05836:9A:46360364:46366573:-1 gene:Dexi9A01G0042880 transcript:Dexi9A01G0042880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPGRGRRGRGDQRPPPLSPPRPAAPRSPVAWMPHRASMALPLRVAYGGPPVLHRPATLPQAQLSSASEAEALRKLLGSKTALPPAPASASKEEALRKLLGSKTMLPPAPTSDSKARAAPHVDLAPSSSPSPTLSPAAAGVSHSHVYLASHCSPSPTSSPGPSHTALAPPGQAGAAPLQLAAVSKHGRPGLWAVNDQAMIHRNDFVADDYLCRYGVGIRPVPKSRKTKKNFLNDLIRLNGRKRLYTAGSIPFESDDFVLPLVDRQKEKERAEEEVKVTIRIPRRANLFHIEQFLSGRQRDIPQEIIQVLEDVVGGDHREEPECWRPYYQSPHPTKMGLLLNIETSASLFEEFLSISDASKPLSDRDHVMVHIHHVPKSRKTNRNILIDLIRLHGNTVLSGKLHACVGRKRLYTTGSLPFESMEFVLPLVDPQKEKERAEEEDKVTIRIAGRANLFHVQQFLFRRQRDMPQEIIQVHDDDVRGDPETPASLFEEFLSIGDALKPLSIRDHVMVTVKEGEVALSYLYEHLLPIIIQTVLLKFNGPLNWFIREEQTGGELAATI >Dexi7B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:7B:17432952:17435884:1 gene:Dexi7B01G0010020 transcript:Dexi7B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPLFSSPQVVKRRAISDTINDDEVQFSGKKRPLRERLGSNVTDSDFHGSRHRSKRQQTESSSPHEDDDTDRQVGKDDLRLKLMRKGLLQRGNGGTEQNGVDLREKLSRNHKNSLRYDARGHAPESRARYDMRENPQELRSRYSSREGVLGSRPSAVVSRIPSARSVDDLLKLESSRKPYPSWTADGLRYRSPERLPSLRRDVSPPRAYDQIRSMPSLRAGPSRPQSLITRDAPDTSRSQPYAGKSTISVDTAQRANGITPSSAALPTTPVKTEVPQTVPGLLDSLGLEKYLVLFQAEEYIFFGGILFTLFAGGYGCIEADGRERPQRHGGTNGRWELVLPFRVFTMHISISAKNKTMWSIGLKN >Dexi2A01G0028550.1:cds pep primary_assembly:Fonio_CM05836:2A:39825666:39827606:1 gene:Dexi2A01G0028550 transcript:Dexi2A01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPCAPCDLIATAVAVVVVLSCLSGGASAAAPAPSFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKERYLFGWFSMKLKLVGNDSAGVVTAYYVRDRMCSDVDAAPQRDELDFEFLGNRTGQPYIIQTNVYHNGVGGREMRHSLWFDPTADFHTYAILWNAKHIVFFVDKVPIRVYPNDASKPGSNGFFPVSKPMYIFSSIWNADDWATRGGLEKTDWAKGPFVSSYRDFAADACAWPAANSTAPPPACAAATGDSWWDQPPAWALDDAQRLDNAWVGRNVLIYDYCDDRKRFPTPPEECALRNAATAS >Dexi9B01G0037120.1:cds pep primary_assembly:Fonio_CM05836:9B:38630527:38636426:-1 gene:Dexi9B01G0037120 transcript:Dexi9B01G0037120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAALIRDLLTSFSPSADFLALSSGDGRVWDAVRGRLQTEFADIPAVEVGAVAETKRGHLALDYTCMKWVQLSGKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWKVSDCHPGGVTAVAYSKNGRSVYTAGADGMVCRIDASDGSVAGKFKSSSKAISALAVSSDGNILATAAGQLRTFDTSDNKKIQKFSGHPVAVRSMVFSNDSQYVLSSGIGERYVAIWKLDGGKTQSSNSILSMEHPAIFVDCKCSDEGEIYVLAISEIGVCYFWSASNVDDLRNKKPTMITLSDSSLSRAQAFSIFAAKLQGVDGPNSAHVLLAYGSVVKPSFDKLLVCYGTDINLGISQDGVLLPNTQTTISKKGPSVTKQAKVTALDRANAEDATLPLPKVHTEEKKRKHGVTKPVGDIEPAIHSDLTTTRSIQKRVPVQRIENDGICIEDMMRQRGILETPVDWSTEGHPGIPTNTFSDFFGDGNTKVDANLPSKKIRAHLRSLKPGDACKLLENLVSAWKTRSGNTELVLRWIYCILVIHGRFIHSEKSTVLISNLDKMCAERYSATEDLLKLSGRLRLIKAQVGKVANNTSELPTEEMQDATAIQSDEEEEEEVDEMVFGQDSDSSQNSDEDAE >Dexi2B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:2B:27941528:27944156:1 gene:Dexi2B01G0017550 transcript:Dexi2B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMQQPLIALLLLLLVFFVAFTFAALPSSADADDADAGEATTFIVYLNPTLKPSPYATHLHWHHAHLASLSLDPSRHLLYSYTTAAPSAFAARLLPSHAAALRSHPAVASVHEDAVLPLHTTRSPSFLHLPPYDAAPPDGDVGSGGAASRPDVIIGVLDTGVWPESPSFADAGLGPVPPRWRGTCDTNATDFPSSMCNRKLIGAQSFFRGYSSGGRKNGSRVSSDLMSPRDHDGHGTHTATTAAGAVVSDANLLGYASGTARGMAPGARVAAYKVCWRQGCFTSDILAGMEKAIDDGVDVLSLSLGGGTSLPLSRDPIAVGALAATRRGIIVSCSAGNSGPSPSSLVNTAPWIITVGAGTLDRNFPAYAVLGNGESHAGMSLYAGDGLGDAKYPLVYNKGVRAGNNASKLCMEGTLNAEEVKGKVVLCDRGVNSRVEKGQVVKQAGGVGMVLANTPQSGEEVVADSHLLPAVAVGAKSGDAIRSYVESDANAEVALTFAGTELDVRPAPVVAAFSSRGPNRVVPQLLKPDVIGPGVNILAGWTGSLGPTGLAADERRSAFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAVKSALMTTAYTVDNTGSPLLDAATNATATPWAYGAGHVDPVRALSPGLVYDASPDDYVAFLCTVGVSPRQVQAIAAAAAAVGPNVTCARKPSSPGDLNYPSFSVVFGRRSSRSTVKYRRELTNVGDAGATYTAKVTGPSDISVSVKPARLEFRRTGDKLRYTVTFRSANARGPMDPPAFGWLTWSSGENEVRSPISYTWGM >Dexi9A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:9A:10210930:10212412:-1 gene:Dexi9A01G0015360 transcript:Dexi9A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRLSFSLSLVLLLHLRAAAGDSPAPDLPRSELAAIFRVMADLLGDPSWPQLHPRPCTDTPWPGLQCELSPDDARVLRATRLHFGPDVSTPPCKPGARLDTDALRGLPHLKTLSLFACFATGNGHTDVELPPAMFAGDAASLEQIVLKSNPGLKGPIPSTLGGLRSLRVLSLSQNGFTGGIPKELAGLAALQQLDLSYNNITGEIHEEIGGMASLTILDLSWNNLDGGVPAAVGKLRRLQKLDLSYNHLAGVLPPELGSLQDLVFLDLSHNRFTGPLPGSLSCLSKLQYLLLQDNPLGTAVPAAVVGALRRLQVLGLSGCGLTGPIPRGAFAALASLTALSLDRNRLDGPIPATLAALPHLGQLNLSQNRLAGEIALPGEFVARLGRRLDVRGNDELCVGRGLQGRSGYLLGAPPCADRRSGGDGSPAERSGAAAVDGGGGRWGYRYGSVGVWHVFVLSLVFQL >Dexi3A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:3A:5588228:5588428:1 gene:Dexi3A01G0008000 transcript:Dexi3A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTPPFANGGSRRLFARVVPSAARATSPLHPRSATPSVATAAAERCSKPACHGTLTTPPNRKL >Dexi1A01G0028450.1:cds pep primary_assembly:Fonio_CM05836:1A:34074601:34083504:-1 gene:Dexi1A01G0028450 transcript:Dexi1A01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDCDAVKWVREGQGAAALHSHDRMDALRAVRGAAGRGGLGMPPPEKFRSRHMPRVATMRVSRSSLRSDDGSAASGSDMDESSDNEEIEVCGGRYSVDSSPRLDDATRRTAAPLYRYATMPGQQSYYSTDDGYSDLSSSRDTALPRAKAQPSRRPQAGVVGYVEEECSDYSAGSSEFSSQVEGQSNGVASKGGYASEYSYTGPARRQVNNVVQKTRAAAAENYSRNLPANSKAYQPNSYSSHVPAREDVKSAPKLDGLSDVPSAPPIHDYNQDHSGNANSTDGLSGKKEEYREVNGAANLADRNVRGTLNADHTSKPSSSIPLRVPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECTLLRNTFSLQNVLLQSEEELMSKRASELVSEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCNFSSLPMVKLNSVRYRLSNVQSTLSSGWESVRRVRVIPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRSSSSYEAPQETYSCQLRLKSLPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVADSNGKPCGRVVAQVATMAEDPTDKLRWWSIYREPEHELVGRIQLYINYTTAADENNMKYGSVAETVAYDIVLEVAMKAQQIQQRNLVVHGSWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPILMKNHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDESVPSGLAEDFRPPTGLAAAALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDDYVTGNSEGFRVDLVTVTTAYQKMKSLCNNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPAGPASPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRMFLENCRLDKVKWSGVRTQHMTTPFVDEMYDSLKKTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLAPLKDCIAPKKFGLKVVQKLTKRNSTVPYTVPEDIGILLNTLKRLLDVLRPQIESHLKSWSSCIPNGGNSAASGEKLSEVTVTLRAKFRNYMQAVVEKLAENVSVSISEVLSFLENRKENKAWYKGARVAVTVLDDTFATQLQQLLGNTIPPKDLEPPRSIMEVRSILCKDAPREKNSSFYY >Dexi3A01G0031200.1:cds pep primary_assembly:Fonio_CM05836:3A:35603589:35607086:-1 gene:Dexi3A01G0031200 transcript:Dexi3A01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKYLCWRKPRREPLPNADRDRPRRPGPTKTLQSCRLFRAVSSASPPFLPPKFASANPIASKTPGGKTLHFRRISLAVPARSPPLFRRGGSSLPGAWEEERRMQAAAGTVSWVAAPPSVLGRCCCGGGSVKGRACGGGGGGGGVRGAGVARCCARAPEKRPPRVRKSKEERREMVESFINSYRVAHDGKFPSVNLTHKEVGGSYYIVREIVRDVIQENRVLGPGGLDATVLSFEDCPDSSELSMKHELGQDNIEIMDLSYDGQVDKESFSLQNNSISTETLLGSSNILEAGVLKSVVQNGSAAGTTFLEANLEKQDEVLPGGSIEVSLSSPEEQDLSFAHVSDSDKNNALNSQVDAQEGMGSIVTDRVIISSESASANETNGAHLREHEMLLNDNHGCTNDSVVDDGNLLAATNGVVQEKQASFLGHDLSNKSVTANDVQSIDGQISSTVSPIEASKEHRLQDELEQPLLDTNCGQQENNVSPISHPALDTKENFNSAAEER >Dexi3B01G0031530.1:cds pep primary_assembly:Fonio_CM05836:3B:33385796:33386190:1 gene:Dexi3B01G0031530 transcript:Dexi3B01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRMERRHGLAQCDIAPSPAGTGRDFPCCSPDHDDDDGNVATKDRWGRAGSMPQSSATPAGHGEFGVMGLCKGGELFDRILERKHY >DexiUA01G0014840.1:cds pep primary_assembly:Fonio_CM05836:UA:31066866:31067949:-1 gene:DexiUA01G0014840 transcript:DexiUA01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTVDLRGVAPGAPGWEAARDAVTASMVAHGCVVVAHDALGPDLRPALFGRALPELFALPLESKHRTPTTKGVSYGGYWRPIHGTACERISIYEPANGGSVRAFADILWPEGNPEFCETMVSFAKNMRKLDEMVEALVLEGLGVRAESVRAHLDQLGHGIQMSHYGAPPDAEASMSLPAHYDYMMNNVIVQHEVEGLEVRLLEDERWVAVPPEPGTFTFVAGEQLRVATNGRVPACFHRVRTPSNRERFAVQFGLLQKPGIEVRALDELVDEEHPLVFNPLRHEEYVQWRYSEEGLKVDDALKAFCGVEKVPAMV >Dexi2B01G0025710.1:cds pep primary_assembly:Fonio_CM05836:2B:34943718:34948784:1 gene:Dexi2B01G0025710 transcript:Dexi2B01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRTTGRGGGAGDGGDGGEEGVPRFMGNNRDHNPRELRSWARRTGFHSSAFFSGESNASSAAPQPPPPPPPATSRRPPRAAPAPVRQRGPDPDTEDDLDPAPPLDLERGPAPGRGRGGHGGRPRRHIDLRGELEIPPGFGREEAVPDPDAGRGGGGGGRGDARRRNGGVERDQAAANTGRNVNGALGDAEARKKAEEAEAKRKAEEAEARRKKEEEERDAELAAYYQEQWANEEEEEGVADAAAGETAPLYGASGLRCGITENPGWALDIVTSKIFTFLNTNESINLSLFYGMQKFKHIMRELQGAILVGSVFQIILGYTGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISLPLILLVLLCTLYMRKISLFGNRIFLVYAVPLSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHVETMRRCRTDVSTAWKTAAWVRVPYPFQWGPPTFHFKTGIIMLIVSLVASVDSLSSYHAASLLLNYAVNALLSINVVVALLVALLLDNTVPGSRQERGVYIWSDPKSLEVDPATLEPYRLPEKISCWFRWAKCVGI >Dexi9A01G0028370.1:cds pep primary_assembly:Fonio_CM05836:9A:33060020:33061630:-1 gene:Dexi9A01G0028370 transcript:Dexi9A01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIGGLTAARMAAPALRPAVAPAPAAAAPPQPRRAVAARSLRTTTAERTADLAGAANGAVHAQMNSQATSEITSQGVTANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNAQNTDGNIIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVEVGDTLLVDGELNS >DexiUA01G0002600.1:cds pep primary_assembly:Fonio_CM05836:UA:5830810:5831084:-1 gene:DexiUA01G0002600 transcript:DexiUA01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMREIRSILNPKPPTQWPSPYHELRESNPSLVPLPGEEMDDKRRELYATAKEFQWMEEDDAKLQGVGRK >Dexi5A01G0025640.1:cds pep primary_assembly:Fonio_CM05836:5A:29476146:29476759:-1 gene:Dexi5A01G0025640 transcript:Dexi5A01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFLARSSLLALAILTVGCQLPWRGSAMGMPRPQPNLNFTIGVEGVVWCKGCRYHGYIPSRDASPLRNASALLRCRNGRRAMSVWGATNSRGYFLIQTGAQAAPFTSRHCKVYVPRSPASGCRAAVSPGRNKGLPLRFRAFVTRPGGVQGRYAAGSFAFAPQDLSKC >Dexi6B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:6B:20995345:21007169:-1 gene:Dexi6B01G0013320 transcript:Dexi6B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRRPLLPQPYPQPHAASLIALIQPPPSSSRNTPAEVSPPRPQALNRLATPWLPAMADGSWEAWMGSCYFTPGGATSDSPVPAADGGVPAASQPPPTAGVGHRAYPPPAGSYPPPAGGVPGGPYTRGYGGVPRGAFTPGVGGVPCIPYAPHGGGYPPSMAFGPTVTFNYAPPPPRMEGSSAAAGLRPLRSDSPPTLSRLDLNADVVDPCRLHLQPYDDLPVGDLPPRSARNAGLGASFRGKGGRRGHQGSKATNDNSPVPPGALDDGASTGADVTTRRRRNASATAMPGALYTAVAAPMGAGQANEEEESSETERPPWLPCLHEMFKDITIDPATMVSPAEEEGQEDEEAEDGQEDGEAEGEEEEEEDKAGEALEPTATIQTPNSLSGRKRASSGGTTLGDSGGSKRSKAPEREIVEDAEEGHGVDQDDSGEEDGNMNSDDSGRPDFLLSTRTEPRQSKGNSLRRHTGDLALQLTTFRESTASFPLSYFSLLHLARLVTCSARLGSLKNEPSRATVLAPAPEPNEPITQRHSCRNIRPNKAQQANPREANPYLLGRLPSSLHSLPPSLFYFPSVARDSPSTSCLLPAVAAPLSSLALWCGRQARTPCSSQLASWTEPSRAEISPSQLVLIASYTEPSSARLARYPPLGMRMEVPEQHSTAKLYGGKAIRLRHERFSMAPPPSARQMRNVVLMGWIKGRAQAGQGWDWDNSRQRVSGLESELWSLDARSSPATICSLRQSGTVLLSTSRRIAVHLSLSLSAVSLVRHGCHYGGLAHHTRSPHSFPRARSFFRGAPVTPVRAALSSFPLARSPLCWAWRPASIPGFLAARRHGLYDSMILRYFLLGGGVVGALLPINPPVWWRTARVPLCVCGYRRIRKARNASAFEL >Dexi6A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:6A:933047:933847:-1 gene:Dexi6A01G0001090 transcript:Dexi6A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDAQGQPIDPKKMQEHFEDFYEDIYEELSKFGELENLNVCDNLADSMIGNVYVQFREEEQAAAAYNALQGRVYSGRPIIVEYSPVTDFRQATCRQFEEDSCIRGGYCNFMHVKQIGRDLRRKIYGRSASRRYHGRSRSPPPQRRGHRDRDDYPRDRDDYRGGGGGRGRGDRPDRYDDGGGRGGRPDRYDGGGRGGRPDRYDDGEGRGSRHERYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >Dexi7B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:7B:19621676:19623020:1 gene:Dexi7B01G0013030 transcript:Dexi7B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPCAILERKVMFVSTGAPPAGGGSTGGANKEAAAVDSEEIPIETILQAMRPVPAVHHPPELSRISIVRQIGGGVHGGFISSTDKELVVLYAGDYRPGCSNTPSSGCYLVYDASSNSLQAVPQLPYSYSFRARGLGAAILSHGSGNYVIAELLISKTSGFPNGALYPWHWPSPNQGQWTLNAVGLPPQVLALTFRIDMAFSYGDSCVCWVDLLAGVLICNLVKSPEPEFTFIPLPPGCSVDVSDRRRPRPQEFRTMGCVNGVIKFVAIVGFNENTDSKDLTMRSWTLSPDLEEWKAGNPLAVSDLWESESFRERNLPKVAPTFPVISTTESEVIYVMMNHVDHVPTRNFFGNVDFVDIVLKARYMLSVDPTRNKVLHSTKVFTDNMTSLTPEVVASEFSAYIYGSKGCQG >Dexi9A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:9A:4571969:4572351:1 gene:Dexi9A01G0007810 transcript:Dexi9A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTTATLALLFLLLAPPSLRVSMAGSEFCDGKCSTRCSKASRHDDCLKYCGICCATCKCVPSGTAGNKDECPCYRDMTTGHGNRTRPKCP >Dexi2B01G0033200.1:cds pep primary_assembly:Fonio_CM05836:2B:40871729:40872190:-1 gene:Dexi2B01G0033200 transcript:Dexi2B01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYYHDILYDGSNTANATAAIVAQPTLLSRSASINDTYFGEIVVFDDPVTVGPALASEQVARAQGFCFYDKKDAYDAWFAFTLVFNSTAHKGTLNLMGADLMTEKTRDISVVGGTGDFFMARGVATLWTDTLEGLYYFRLRMDIELYECYDV >Dexi9B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:9B:2569142:2574801:1 gene:Dexi9B01G0004490 transcript:Dexi9B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPPKDQQKPATEGAAALEDPAAMTPRSARFPRACHYRPNAAPPVPTPAPAPPPPRPRRSGNAGDETPEYRVVTPLVGEPESPSELPRWRLRGMWELASVLNFLHVFRPLLNIAVEFTAEELEDAIITPNGTLDDVHMPLLKVSRCLLKLFGTTLYYCYSSIKQCFSIPPAARIAMARGTWVTVLCKKLKHWWHWVAEGDLPIVVSHGEEIELYRALDPVARLVILKAICDIRCEQEDIRNFIDSSLKHGHHLPIFRKERIGGDSHGISYWYEEDPILGHRLYREIRRVEYVKEPTKKTKGKGSVPVISYQWEAVASNFEQFSTVAEKLFSSRNRTEVSLGKKLKFNYLPEIEKIHKKKEKLLKKQQREALLLDSYLTVNGLTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVSEMGPSPLCKGLYGESAAKPYRYQANNREGKAATLDRSYRQRKRSQRYTKDFVEALSDIDPNIDSDDDIMGEAVYDEEYLRSKKQQKTGLSENDEKFHLEQVASDGDNEVDHSLSVNEDADELQWYKRFPPHNPQETNLRSVDGIQIAIRRSKRSTRPRINYQQYDISGTDTEFGKQEKCSTSDPDVGSDAQNDMEVSTTSQDREEEEDQVNKAQQQRIEKALVPSRESKTVRGKFLDLNELVPGGRFDDAPVLVKDERTNNGQEKCSAAH >Dexi3A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:3A:1457798:1460963:1 gene:Dexi3A01G0002210 transcript:Dexi3A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTEDAVSTKSVELVKEEDCLEFDDPDEVDEEEEVEYEEIEEEVEYEEVEDEEEEEEEEKSEVACEVDAKHDSKLVDADQKDEEEQEKHAELLALPPHGSEVYVGGISSDMSSEDIKQLFESVGEVVEGKKIRVSSSQAKNRLFIGNIPQDWTQDDLKNAVEQVGPGVLKVNLPKASHSDRHKGYGFIEYYNQACAEYARQKMSTPEFKLDTNSPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKKLFEHLGEITKVVLPPAKAGHENRYGFVHFKERYMAMKALKNTERYELDGQLLDCSLAKADKKAETLSALASKGGPLLPSYTPIGYELAGGANPLGKGLAGAYNPLGNGLAGAFNPLGNGLAGAYGVLPARAAQPILYAPGAPSDHSLQGSSLCFRRLCHSNSKVLVVMVAVAAAVVDSAQVGSDREVMNVVVIATTAARADTVHTDVWRRCC >Dexi8A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:8A:11442764:11443271:1 gene:Dexi8A01G0009010 transcript:Dexi8A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRFLLALSAVLLLSFSLTAAAFQSDELLLNDDEEFEGVGARPATPSPPAAPTVSSSRRRSAEATSAGVGESNAVQFTLEHDLGGGEGFTPAGTFSARLKTSAHGTQC >Dexi2A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:2A:2559143:2559698:1 gene:Dexi2A01G0003000 transcript:Dexi2A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAAVPQVHGLRGYASPRSAVALPPVRAGGRRRAQGIRCDYIGSATNQIMVLSTTLMLFAGRFGLAPSANRKATAGLKLESRDSGLQTGDPAGFTVADTLACGAVGHIIGVGIVLGLKNTGVLDQIIG >Dexi8B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:8B:640843:641986:1 gene:Dexi8B01G0000930 transcript:Dexi8B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHFVLVHGACHGAWCWFKLASLLQATGHRVSCIDLAGAAGSLVDPDDVRSFDEYDAPLVEFMAALPDGHKGVPDLSDFGDVYDLNFGLGEDCPPTSMALRKEYQRTIMYQQCSQEDSTLASILLRPFPAVLSTARSGCVDDGANSPVNTVHRVYIKTANDRTVKLEQQEAMIRRWPPRKVMFMDTDHSPFFSAPDHLFELILKSS >Dexi5B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:5B:22915611:22916207:1 gene:Dexi5B01G0020710 transcript:Dexi5B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAMGLEASGQRFMWVVRFPSDKDRSACYFGGSGGHGDSPLGYLPEGFIERPRGRGLALTEWAPQVEILNHRAVGGFLSHCGWNSTLEAVAAGVPMLAWPLYAEQRVNAVMLCERVGLALRPRVGTEGNNGVVPREEVAVAVTELIAGERGAAAREKARQLRQGAAEAWGPDGPSRKAFEDIAGKWKKAASARAAS >Dexi2A01G0025360.1:cds pep primary_assembly:Fonio_CM05836:2A:36984656:36985404:-1 gene:Dexi2A01G0025360 transcript:Dexi2A01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASMSSLSMWMAALLLLLPGLCISDDRLAPGKNLSPGTTIISDGGEFALGLFSPSNSTPEKLYLGIWYNNIPRLTVVWVANRETPAVSSSAPSLALTNNSDLVLSDANGRVLWTSNTTTAASSSPSPNATGSMAVLMNTGSLILRSPNNMVLWQSFDHPTDTFLPGMKLRMSHKTHEGNRLVSWNGPDDPSPGAFSFGWETGPFMQSFIRNGSLPEWRSFMWTGYTVSSQYFANTNIR >Dexi2A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:2A:11392502:11392786:-1 gene:Dexi2A01G0010230 transcript:Dexi2A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGSGGGGGEGGSAPALTCEAPAAEGLGFSPPGRPGGGNGVGEWAPRPQRRPNRKGCVVTWRSAPWA >DexiUA01G0003100.1:cds pep primary_assembly:Fonio_CM05836:UA:6420127:6421365:-1 gene:DexiUA01G0003100 transcript:DexiUA01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLPEARGLLWQLLGPGSQQDRAYASAAASPPFRHFVEHLTGGVAATTSRASSALAGATSARVVAGAEDPASLTLHFLRHSCGLTEADAAKAAQRVHLRSTKNAHAVLALLRDTLGMSPATVARLVAAHPAVLKNTTIEAKIDFYLRELGLTAAEARRFILVSTYRFLHAGLDGRLRANYRVLRDLLGSDENVLTAVKQSIELIYENLEVVLLPKLQALRDYGVTEDVLVRLVTTHPKALVHRSSRFNEGLAAMKDFGVNPASGIFPYAFGVFAKIYQSKWDRRLENYLSLGWTEAQVRRAFIRHPYCMSVSDDKVRQLMLFLSEKLGWDPEYVASSPTVLSFSYEKRVLPRYKVLEILLSRGVLKKGIRMGHLTISAKKFMENYVTRHQDVIPEVLEAYGARTCCDVK >Dexi3A01G0028810.1:cds pep primary_assembly:Fonio_CM05836:3A:29743356:29744242:-1 gene:Dexi3A01G0028810 transcript:Dexi3A01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLRDVLYSHIKARESYERFMEIGCNPERAGNTVALLLWLDHGCNNVIRHVPGLTTTAVFHLANEAKGVIDCLSQNVLMVPPTPLMSALCQDNGIDPAAFAYRQDLLVHGVADILDGIGNQLIFSDRLYYLLRRHQTGLLGRNMELEAPYAGCAPVIVPEDCRSMFITFSRNQAVERDEIFDYFRHKWGDCIVRVLLEKTNGGAQPMYGRVIFKSPAFVSLALNGEERVSIIIREREIWLRKYIPRQNNNV >Dexi3B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:3B:9414065:9415619:-1 gene:Dexi3B01G0013200 transcript:Dexi3B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRGNSRQEKSRGGWWARAKELLEQDQLSSFVDMKIRNNYDSVELEEMVQIALLCTMYKPENRPRMSEVVRMLDGGDGVAEKWEAMKNVEEMDPDSPGYLFPVLNYDTDRSSSIELKAVELSGPR >Dexi2A01G0026450.1:cds pep primary_assembly:Fonio_CM05836:2A:37972413:37978280:-1 gene:Dexi2A01G0026450 transcript:Dexi2A01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRAEMRLEVDDDMGGVAVVAGGGYTGKKKPHHSPWNEPCRTRSDIRAAATSAAPGGSVTHRLKVPTCLRRRPRDATAAAPASTPPLSCSAPSSAGSACRASTSAAAHLMRPGTAAGVRTRTTKLKTGKVLVLRLRATVVSPTHQGYEVIYDGSCPPGNPYGIVDVQRRHTSAAPALPSPRALSSPAATHAGAVRSVPTPAPAAAAVSLPSPVKMVGLAREEALAHDEVIMDDADSDVEVSDSEDDSGEEAQATPSENAIYNKVAILEKLEDIAWPKNVDWMHKLTIEHDQGGKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKRRIEEAEERKKAREAKKIAKEVQAEKNKQRAKDKKEQIESVKKWRKQRQQGGFAKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGHGGRKGLKKQNTAETTNDFRSYKGGESQTKKRKRS >Dexi1A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:1A:6740392:6743480:-1 gene:Dexi1A01G0008630 transcript:Dexi1A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNVASLFLLVLVTHLAAGDPTSTTTLTATPATLTKSDHAITLQWSNLPTPSPLDYVAIYSPPSSGDLDYLGFLFLNASASWATGSGRLTLPHLPDLRAPYQFRLFRWPPGEPSKNPRVDQDGDPLPDASRRAAVSGNVAYQGSGARPAQLHLAFTDEADEMRVLFVCGDDGKRLVRYGPAGLREEQWEESPTETRTYERRHMCGYPANDTVGWRHPGFIFDGIMKGLQPGRRYLYKVGSDSEGWSETYSFISRDTEANETVAFLFGDLGTYVPYSTYFQTPHESLSTVKWILRDLEALGEKPALISHIGDISYAKGYAWLWDHFFEQIEPIAANNPYHVCIGNHEYDWPSQPWKPSWAANIYNGMDGGGECGIPYSIKFKMPGNSSFPTGTEAPDTRNLYYSFDAGVVHFVYMSTETDFTQDSDQHNFLKADLERVNRSRTPFIVFQGHRPMYTSSNETKDTVHREQMIQHLEPLFVKHNVTLALWGHIHRYERFCPMKNYQCLNTSSRFVYPGAPAHVVIGMAGQDYQPSWEPRTDHPNVPIFPQPQRSMYRGGEFGYTKLVATREKLTLTYIGNHDGQVHDKVEIFSMQVDNDSSAPDKLVSAVPRSTKYVEIAGCAVLALLLGFTAGFGVRKKTDSARWTPVKNEES >Dexi3A01G0036080.1:cds pep primary_assembly:Fonio_CM05836:3A:41491460:41491768:1 gene:Dexi3A01G0036080 transcript:Dexi3A01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGSRKQGTQTIGSPRYIYATSGDIGKVHKGYVPMMLVDGEDDEQGQRILVQVKMLREPCMAALLEMAEQQFGHGQCGVLRIPCNATHFEHIVNGLMLKA >Dexi5B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:5B:2366580:2368457:-1 gene:Dexi5B01G0003520 transcript:Dexi5B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLLGGGGGGGKKQQEQGKDQQRPPADKKRWSFARSSRDTAEAAAAAAAAADRSVRGGGGGNAAIARAAEAAWLKSLYGETEREQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSRAPGFGGGGGAVVDPRGRAGAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAVRAARCRAVPQLPPLHHHPPVRPRFSLQERYGDDTRSEHGVAAYSRRLSASIESASYGGGGYDRSPKIVEMDTGRPRSSRASSLRTDASCTGDEWYAAMSVSSPLVPSCGGGGPAPPRIAVPSSRQFPDYEWCAPEKPRPATAQSTPRCAAATYFVAPPTPAKSVCGGGGGNCPGYMSSTQSSEAKSSRSQSAPKQRPEQQQPARKRVPLSEVVLEARASLSGVAMVNNNNNKPTCGNRGAAAQEAFDFRAAVVSRFERAAAAEWERDAFFLQRR >Dexi7A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:7A:15064163:15067194:-1 gene:Dexi7A01G0004340 transcript:Dexi7A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGAPGVGKTAIAEGLAQRIARGLVPAPLAGARLVELDLAALVTGTSLRGMLEQRLKSVIREAEDADAKVILFIDEMHMLIGIGGGKGNVNAANVLKPALARGRLRCVGATTLEEYRKYVEKDPALERRFQRIHVEEPSTEATVEIVRGLKRRYEAHHGLKSTARYVTGRQFPDKAIDLIDEACATMRIQIDKQKQVNASREVLVKKKKAVVFPEHVAQVVSLWIGIPVHTIGQEEKDKLIHLAEKLHERVVGQDEAVNLVHGVGKTELAKALAEKRFDSEKFLIRLDMSEFVGAGSVLRLIGAPPSYIGYEDGGQLTEKVRRRPYSVILFDEVEKADPSVFNAILQLLDDGVLTDGKGRTVDFKNTLIIMTSNLGAEHLAEAMDGEETMEAARDLVMKQVHRHFKLEFLNRLSDVVLFEPLSRDKLKEVVKIQMKSIVANVADKGIFLSVSDDALDVIFSESYHPVYSAFS >Dexi6A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:6A:15741053:15744849:1 gene:Dexi6A01G0010980 transcript:Dexi6A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNTKGSRSLGSDGWVWLLAVDGSWRRRTGMPRPGGGGRGCLVLVAADDGDASSWRRWMRMPPPGGGGWGWFLAAADGAPPPGGGGWASGARRGKGLQWRPMEK >Dexi1A01G0032440.1:cds pep primary_assembly:Fonio_CM05836:1A:36969249:36970560:1 gene:Dexi1A01G0032440 transcript:Dexi1A01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPQEKSWESWWEKEQEPLRLSGKRGVVLEIVLALRFFIYQYGLVYHLNITHSKNILVYCLSWVVIFVILLVMKIAQAIKPAITRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARNKE >Dexi7B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:7B:4300340:4301626:1 gene:Dexi7B01G0002460 transcript:Dexi7B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNFVVTKSSSLEFVLPSKPTPAGELPLTSTDKPRLILPITSFKVFERPIHEPAQTIRRALSQALVYYYPLAGRLAVRTGCDVHIACTGEGVAFASATASCSLQDVRFLHAPPAIPLVELALRYGGERLSMSSPLLMMQVTEFACGGYAVAVTWNHGIADACGLAQFMQAVGELACGLPSPTVVPIRHDESLPDMPQLVSVIARRSLGFEFTHADYAYTDVTIPWSFINRVKGEFQSHAGVESSCTTFEVVTAIWQCRTRAINAKPNVPAPLMFAVNVRKHVGSKDGYYGNCLYSQLVEARTDEVANGDIVDVVRWIKDTKGKIGESLRVSEDEMELSDEVIATLCGGYNMLSVSSWSGIGLDAVNFGGGWPARVVPNMERTVVPSCFPCLPCSWNENGGHGGANVVAFCVTEDHIEQFHSELEKLR >Dexi5B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:5B:7675159:7676491:-1 gene:Dexi5B01G0010870 transcript:Dexi5B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELQQEVKLFNRWTFDDVQVNDISLNDYLAVSSTKHATYLPHTAGRYSKKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVRIMKHTLEIIHLLTDANPIQVVVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIADYAIKKKDEIERVAKANR >Dexi6A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:6A:8550983:8551591:1 gene:Dexi6A01G0008290 transcript:Dexi6A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSRCSPQKARGTHAFEVAGYSLHRGLGSGHGYVHDDDVEDSSDNDMVAVFLELLSVDAEVRAHYDLRLLDHARGSSFSVVSSAVPMVFDTGREENAYAWGTDEFMERSELEASSYLLDDRLVVECDVTVIKEPLVEDDTVTSSSRHVVEVPSKDLSSSLGRLLEMKEQADVFFKVEDEVFHAHKLVLAVRAVAKLRGGA >Dexi2A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:2A:3807491:3811362:1 gene:Dexi2A01G0004270 transcript:Dexi2A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCFGPPATGGGSSSSGLADGHHAAAATAAFRALLPSLGGGRGGGGHRRPRLRRCIVSPYDPRYRLWEHSLVTLVFYSAWVSPFEFGFVPEPGGALAVADNAVNAAFAADIALTFFVAYVDARTFLLQDDPRRIAWRYATSWLALDVASTVPTELSRRILPPPARSYNFFGMLRLWRLHRVGTLFTQLEKDRKFSYFWVRCTKLICVTLFAVHCAGCFYYLLADRYPDPLDTWLSSSMLDFHDASMWRRYAASMYWSITTLTTVGYGDMHAVNTGEMVFTTLYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDAIKAATGFAVRHQLPARLREQMVSHLSLKFRTDSEGLQQQETMNSLPKAIRSGISHHLFFALVQSVYLFQGVSNDLIFQLVSEMNAEYFAPREDFILQNEAPSDFYILVTGSVLAGMARAGDVVGEIGVLCYRPQLFTARTRSLSQLLRMERTAFLRIVQANVGDDTIIINNLIQAYCAYLKEKSDSCAIAGVAEEIEYMLARGQLELPVTTLCYAASKGDDFLMHQLLKRGVDPNESDNYWHTALHVAASGGHEKCVRLLLEHGADPNARDAQGKVPLWEALSRRHHAAARLLADAGGDLASGDASLYARAAVEAGDVALLDDVARHGGGDVAAACCGDDGATALHLAVAHGNAGMARALLERGADPDREDAAGRTPRNVADELGHRNVIQVFDRSSQRDEVVESSTLQGTPAATDHGVGRVARQPPVARFKSAPPARFPIPQQRDSGGSSPVLSRQSTPRRMVSFRNSLFGVLSSSHVNRQHDGGGGLSRHERHSHGSSRVRVTISCPERGGGARKLVFMPETVAQLMELGRSTFGFAPTRAVTTDGAEVDDPRLVRDGDHLLLVTDQWVLDMSDIGIVGRDRR >Dexi2A01G0029890.1:cds pep primary_assembly:Fonio_CM05836:2A:40870370:40871587:1 gene:Dexi2A01G0029890 transcript:Dexi2A01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMIGTTQLMALPAAQPNTELIDASPPLILRLNEDLLAAIFLLLPALADVGRTAATCPAFRRVITDGSFLRRLRRTHPAPFLALERGADMSFSFLHSPLAFTRWFPMDARDGRVLLRHQSSRHFVVSDPISRRCLLLPQLMVVGSQQPFLFPSAAGDDEAAEMSFKVGCMVGMEGDEHEPDVKMLFVFSSETGQWRGLGRGAMVPDPKPFYACGRFYWKMADDTLFVYNPRAMPMPMELDCYLVKMPWRYGERDFVIAEVGEGRIGIFCLRHNDARAASSLICAIQRGHGEEEMNQWQFKRRIALPSQCRYSFAGATERYLLMHGAPTPWNRREGPPPDENSGVGIGYFTVETASMKIEKVCDFGILLDAVPYIGFPPSLCRRTI >Dexi7A01G0022960.1:cds pep primary_assembly:Fonio_CM05836:7A:31091155:31094766:1 gene:Dexi7A01G0022960 transcript:Dexi7A01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAVARAWRRSLRDVLPRGSAWRGGASARAASTASASGAAAEAAAAPKKVPPPPRKGRLLTGALIGLAIGGGAYVSTADEASGWLFKSTELVNPLFALLDAEVAHRLAVKAAAHGFVPREKRPDPAVLGLEVWGRKFANPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPNPQEGNPKPRVFRLKEHGAVINRYGFNSEGITVVAKRLGAQHGKRKMEETSSSTSPSTSDIKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLGKIPLIGCGGVSSGEDAYKKIRSGATLVQIYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >Dexi9A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:9A:12736071:12736452:-1 gene:Dexi9A01G0017690 transcript:Dexi9A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHPVPTHFSGSDTASPSSPRGRYRTLAGRSLRPPLGESATSADEHILGKNDGDDPLPPPTFVVVFLFCFLPLLLRGLPLLAATLLLLFLP >Dexi3B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:3B:8095972:8097823:1 gene:Dexi3B01G0011530 transcript:Dexi3B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGAPAAEGGGRGRGGARYYPPLSALVVSAIAAFSAVIVLAVLHSVYDGAVSRTRTLLGHNLEPTPWHLFPHAKGRPPPRAALRCAPSFACLPPLSQPRPQPPPAAADTSNASSSSPAPPAGRKRRQCPAYFAAIRRDLAPWRQRDAGGGGGGVTRALLEAARRRASMRVTITGGGRRLHVDLYYACVQSRALFTGWSLLQLMRRYPGRVPDVDLMFDCMDRPAINRTEHAGGDPPPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWNVEFKSIKVGAKATRWVDRVPTAYWKGNPDVASPLRVALLGCNDTNLWHAEIMRQNWTDEAKAGYQHSKLSTQCTHRYKIYAEGFAWSVSLKYILSCGSTALLIEPEYEDFFSRGLEPRVNHLPVSRQGMCESIRDAVEWGNGNPAEAERVGRRGQRLMQDLRMSAVYDYMLHLLTEYAALMDFRPAPPPEAQEACEGSVLCIADDKQRRFLEASRAEPAVDEPCVMPPE >Dexi6A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:6A:24410925:24413231:-1 gene:Dexi6A01G0016550 transcript:Dexi6A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLVAGSIPVVVGAPNIQEFSPGDGAILHIKELDDVASVAKTMKNIASNPDAFNQSLR >Dexi3B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:3B:10806151:10809448:-1 gene:Dexi3B01G0014980 transcript:Dexi3B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAVAVALEAPAPSSAARVGAPAPPSMSVAAAAQETTVGAAMVAPTQSSGGGGGGCSERRSRFRRICVYCGSAKGNKHSYQDAAIDLGNQLVERGIDLVYGGGSIGLMGLVSRAVHAGGRHVIGIIPKSLMPREVTGDPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEEARRIIISAPTAKELVVKLEDYVPEYDIGLVWEDQKQNSLVPELESRITLS >DexiUA01G0014740.1:cds pep primary_assembly:Fonio_CM05836:UA:30927832:30933836:-1 gene:DexiUA01G0014740 transcript:DexiUA01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPAQVPPGWHIEWVPSSAEDREDSALARSAPGWSSAATWPANGGGRAEPAEPWRPLVLVLTRFSRPSRAITSRSTARLASRRASAIMASSAPPLAARSRASRRAASRSAEDLPLPHTTIFDAQPLARALLELGASMARQVDHHSSRALPRQHHSTEPLTLFPSSRTSPVHSLALAPVPSFETKFVLVPPPFPNPSRIELDRFPSFHFPHFSRALPNSPARNRIFPQIRISGRRSTRTSSPYFEPSPKSTEPSTSFTESTTLAGIELAAAAPPPHVAGELRASPGLPTATNRLVVRHWFFSPTSPTLSRCRLAGATPATSRGPLFIFFVFLGFPVKKQGPVQGAIPDGFYHLIPADGEGILESGAGEGTVDPEANPQLAQEGKPRSIT >Dexi9B01G0041330.1:cds pep primary_assembly:Fonio_CM05836:9B:41789872:41792354:1 gene:Dexi9B01G0041330 transcript:Dexi9B01G0041330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSGDMKAAILRPVVPVGGGGSGSTPAILRGWREFRRSGAPARFLCFEDGGWVDVAGEAVGKLRQAFRDRKVMAEAVLGGRAYLFDFLRMVRIDAATGEETALGWIDDRGACFFPAPNCAGMKRKRDEAPVEDEAESSSGVDERSGESRGAEAAKKRKAGGVWVNAAAAARLEEGDKYYQVVSKLFLSYGMAQKGAVITAVHKIAHGGRARAFQRQGQLLAAARGGGAAGIPKFAWYGASAEDVAAVVERGFARTNAPRLGGRKHGDGLHLSPPQCPLSSAMLAKADGSGEAHIVLCRVLMGTSEVVAAGSSQSCPSSNAYDSAVDKLENPQWYVVWSKDVNTRVLPEYVVSFRWPKPQPVQGSSEATSKPKKPSPVARDMFPTLLAEIELLVPDKCDLLQESYNSFKMGQIKKDQFIRFLRSYIGDEVLTTVARKLRGC >Dexi1B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:1B:15505929:15514816:-1 gene:Dexi1B01G0012480 transcript:Dexi1B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAPTCTPAAAADGGIEENAMAILDPSGIKDSRDLHEDRAAFLEAVRSACLAANNPSAPSCSILTQCSFIDLLPSARRMYDGVFQVLQNSSSLELAVASFHLLMELGKRYPRTYLTDSGGHQSLVVAKESWLPFLIGNGSVSNEIGGNARSSDHLFDPSRFSLLIEAMVGPTNATDDDKNEIKAIENMILFQYLVNTLETDFVPRHIAYKESLDWVIFRESLLNMLLGSRKLVFKSFVKNCMLLLNQYHPEAKDAVEGVPSEEAAKSASDLDSSLSYSLLESERTLVSLRKLFVMVMELDLIKKEADTLGLTSRADGVRNPIMEVILDELTYNISYLSSFFLAFVEGKWKLEIILQYFSKYCGKGPVRTRRSGNSQEDLKLESVLSFFLTATSAKAIVKKMGTEVAQLLLAHAYQVCLSVQNDTSDSTATTNKIGASLKEISCNFIYAFQNLRKVEPNIQISPFEKEALFTAATLTRRLKNEEK >Dexi5B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:5B:13748550:13749902:-1 gene:Dexi5B01G0016180 transcript:Dexi5B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSERIHGCGGVKKPKAPRAPPVAALTEDLLRQILLRLPDMASLANAALVEKRWYVVASAPAVFRRFDSLRRPPLLGFILTDRGDQHFLRRCSNLIFIRATRGYPDLAPVAEDADVFFEDLPDVDSDDDDHEDEWRLRGCAGGRFLLSRGCDGLILAVYDPIARTAVFVDPFAVFRHSTHIVHYALVVDEADGSFLVIGVADFMAAVFSSRTSQWVKFEGDDFIKTSGSLDDEWDWNGEDEDDIYEFPGGGIVSRRSYEEQEIMDMIFQLRSDGMAAGRFAYWRSDTKKCKHYEAVERILLLDTTTMQWSVIAAPFPPGESYCVADMPEHGGLCLFSSKEQCLQLWVRNSIGKWILKKEFSLLNEQMKKLRRDEWMKRVRILAARATYIYMEYWSIRKSHSYLLVFHLTTRKLMMFHTNADEPYRGPAFPFFMRLAPLLGPHDDWNAHF >Dexi7A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:7A:12921726:12924759:-1 gene:Dexi7A01G0003440 transcript:Dexi7A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPAEEDSAALRRRLRRSLAAVAAGGATGDVFDDAASALETLREAELGTGGRKGGGGGEGRRPAVVGEGKKEAVPVPPHFLCPISSRIMSVPVIVASGQVRNQQRFFYWFWFNKKVIGDDPEAIPFLIWALKSGDMGSRGNSAAAIFTLSALDSNKEKIGELGAMGPLVDLLADGNIIAKKDAASAIFNLCLLHENKSRATKIGIVDVAMRAIDDQLLVDGSLAILALLSSNHEVVEMITEFDGTACMLRAIRESECSRSKENAVVVLFAICMFNRLKLKEVEADEKINSSLALLAQTGTSRARRKAVGILEKMKRSMHNRHMSC >Dexi9A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:9A:183542:187639:1 gene:Dexi9A01G0000260 transcript:Dexi9A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSPDPTPQEHASPPPLKRSAWKNPAPNGVVDAPAPGPALIDDNHWPALSEAAKNTKLVPAPESSSSPAPSSAMPTSSNSHKHGSGTHHSRHKPARRGGNAGDHSPRDHPDRSTAGWDHAAGAGSGRGAHRNHNHNNGGGRRGNATTASATGVSHHGGGGGGAAAATAGGFSGRRRGGYEPFYRGHPPMGGMGPYMRGAPPPPPPPMTVPSPPFMGPPPPPVSPMRAFAGPMVFHHDMPSPVSPVPPIYFYGPPPPPEALRGLALAPPMVGLPVYPYFQAPAAEPQPEPEPEPEPEPEPDVEEERAKLLKQIEFYFSKENLCSDVYLRQQMDGQGWVDISLIAGFKKVQELKKDLQYIKETVQSSSILEVQGDKIRKQSDWEKWVIRRESNPDVLSSSASVPRPNVNNLTAHLGGMGLHESAASSSSTMEQNHNDGIQNGSPSGKDEAPVVADNSGQQ >Dexi2A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:2A:11660509:11665984:-1 gene:Dexi2A01G0010490 transcript:Dexi2A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRPSSRLPPPRWAPPPLLAPSPRHIRLRARRAGAGTLPSRGAPAPMECVVAVPRFGHVWRPCASHRRLSPPARYFFYWPSSLRLRPSPARLLPLLLLERGPGRPCSALHSPHSTLHPRLLGKASRFAFLRGCSCQRGEARATEASESSRFCELRLQYAGHHCLAATMGSISAYGSYQTNRPSALKQAPHMQFQQCCSGRVSFLSKHSQSMRSKTHMSKRATDNGIHPKTSRSRAPIVCSTGMTICFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTNVLVEVNVGDTVETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGTDYRDNQLRFCLLCLVAFCIHNIAYQGRFAGADFEVLNLPDSFLPSFDFIDGHVKPVVGRKINWMKAGIIESDLVLTVSPHYVKELTSGPDKGVELDGVLRTKPLETGIVNGMDVYEWDPATDKYVSVKYDATTVTEARALNKERLQAEVGLPVDSSIPVIVFVGRLEEQKGSDILIAAIPEFVGENVQIIVLGTGKKKMEEELTLLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVIPICSSTGGLVDTVKEGFTGFHMGSFNVECETVDPADVTAVATTVTRALKQYDTPAFHEMVQNCMGQDLSWKGPAKKWEEVLLGLGVEGSQAGIEGEEIAPLAKENVATP >Dexi7A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:7A:18590414:18594034:-1 gene:Dexi7A01G0007220 transcript:Dexi7A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVESHAAPGAAAVCVDWRGRPCRPERHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSEAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLTFGFLELSGFILLSLQAHLPQLKPPPCDMASMDGSCEKARGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDAAAPGGARRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAALYRNKPPQGSIFTPIARVFVAAYSKRKQVCPSTSNSVNAGACEPSRIAGNFPHNNKFRFLDKACIRVAPQGPNTKPESPWRLCTVAEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTSLPSGSSFHIPPASLQAIPYAMLLLLVPAYELLLVPLMRRLTGTRSGITPLQRIGVGLATVAFSMVAAAAVERRRRDADHKMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGGMQAFLTALTYCSYAFGFYLSSVLVSVVNRVTARHGGRGWLGDNDLNKDRLDLFYWMLAALSVLNFLCFLLCARWYNAGVEGSDAIAAAAASGQVVAEGVDDKEII >Dexi9A01G0034150.1:cds pep primary_assembly:Fonio_CM05836:9A:38930447:38932106:1 gene:Dexi9A01G0034150 transcript:Dexi9A01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTQVPSMHPSLCPPAHGYPPDANADDSSPGWVILDMHAYIADRENATSAYGELSNGEAIRVTFCTAPPPLVSYVCIWCPNLPPTALDMQPTVEAAEADLVLFRLALASDRYNNQYFVYKAPGCGKGPSLWRLEKPYQISLPYRHNIALLAHREVSEGGHIRPHVDDNGHYYMATLNRNPDTPQNFNLLLYNSMHNKWSSTPIPLDMTQSHIPGKAITLGEGGLLGFVDPWRGILVCDILGRKRPHFLPLPAQLFRFDKFHGQPWLFRNIAFVNGRLTVVEQHHNPAYPRKSLNQEFTTWSISSPWEAPDGWQMDYRMNTSCIIVDDATANVDLLLCKLQENVKLRQENVTPQPTLDRLIILHPTLSLSESHIVYLMAKVSIRDDKPLVLSVDMRYPRLQGVAVFDAERMTGYTYMQARVSNFFNMVPGLKGSLKRPGKFHMRYPHKHRSTTDDDDGPTLLPGGATAHTREDTMIADDDMAVD >Dexi1A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:1A:3637067:3662834:-1 gene:Dexi1A01G0005010 transcript:Dexi1A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQQPPATRRARASAVARSRAQVAEAARTRSSTAAASSPPPRRPAAASQRAGSDAAAAGAASAAAAAAVDGAGAEASSAAGAAQEPPLPSPLPPPQPPLPPPQPPLPPPLPLQPPLPPPLPLPLQPPLPPPLPLPLQPPLPLLLPHGAAGAAAAVAGATAALEQRQPLTPELAAYYAEFTTLATAAGLANPVGLPRLASTAGPRGYAGSLPLDRDMRVFDPAVSAGCPPLRDTGKQLSPSSAQDHAAKMHLCSKYLETFTRVKENEKGFAELSIDLLVNMREIIMIDRMAAFRLLVGAGYQTLQSLLLDFCKWIPSPKLLDALLDMLVDGAFDINEKTTIKNEDVIMLLLNVLQKGIEIKSPVPWPYNKGLSFCCWLRVESFPEKGMMGLFSFFTENGKGCLAMLGKNTLIYESVSPKHQCVLLPLSLPLKQWKFLCVTHTIGRTFSGGSQLRCYVDGDLVSCEKCRYAKVNEVMTRCSVGTELMPIGEEPASLGFESSFAFIGQMGPVYAFSDALSPEQIRGIYSLGPSYMYSFHGDRNLLTNDDSLYKGVLDARDGISSKMIFGLNAQSGELASSDEWAGQVIELVASVLDGNIANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSASKYMFTVLKNSGMSEILLKDALSQFYLNPHIWAYATYEVQRELYLFLIQYFEADGKLLPILCGLPRIIDVVRQFYSEKVDFRSSKPLLVSKKVIRERPSLEQIRKIRLLLLSLAEMSLKLKVSQHDIRALVSFFERSQDVACIEDILHMIIRALSQNSLLQSFLEQVNSLGGCYIFINLLKREFEPIRLLGLQLLGKLLVGVPSEKKGPKIFGLPVGPRSIAEDTRKGTAAAPLLFFYSISERLFKFPLSDHLCASLFDVLLGGASPKQVLQKRSQPDASKDRISSSANLAPFFVPQMLVCIFKYIQSCQDASARAKILSDLLDLLDSNPSNVESLMEYGWSSWLETSVKLDVFRNYNSTSVARGNGLETNELILVRNMYSLVLSYCMFSVKGGWHQLEDTTNFLLMKIEQGQLPNSYLLRDIFEDLIGSLLETSSDENVFNSQPCRDNILYFLSLSQELFIDQIGIKLLFPSLDMSAQLSSDDSLKDDINSAVVEIMNAGSNDLLARSLLRVRSQYGELDDGARFHVMSHLILETVIYGKPMLATSMLGRDDSTEANSNKEAGFILNLLQKDRVLAAATDEVKYMKDAKFDRINLLQELHSKLDERSIQDVEQLHSFEDDIQFAKTAAISADDSRKAAFQLAFDEDQQIVADKWIHILRALSDERGPWSTAPFPNNIVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPSSAKSSNENTALSTDPSVSTKIPEKLKHLLLKGVRGITGDINSEPCEDNNDISDPPQTIPPENNPVGDTTDSADSSDYHAIVQNRKESSSTSGDTDYIEVLSSVHCVLITPKRKLAGQLTITRNALHFSFEFLVEGTGGSSVFNRFQDKKDSDSKNEMGGLDKPKGNLDGGRGNGAESSDTQIKDQSNKIKQHRRWKITRAFEDRYLNFIDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRHLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGIKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPTTSTPSSVLFVGLLDSNIVLMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKIGTSLAENVEFGRQCLAAVQIHGDSYLILCGNWENSFQIISLSDGKIVQSIRQHKDVVSCVAASSDGSVIATGSYDTTVMIWL >Dexi5B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:5B:2520746:2522446:-1 gene:Dexi5B01G0003670 transcript:Dexi5B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLCRTTADRFVTPLPSSISSIASTAKYRVLSFTGSFNDRTRLLHVDAELGPTPAAEEAPAPPAQAQVAASAPVVTAAAPSISMDIEAAAAAATPPLAPSAADEGHSQDAEAKRVAKSVQTVCLFAASASLVLFVNLPISKDDDVVSSKPTATAMYDADLAFISLGFFASLGLSMFSIVARPGDGEAAVARVQKWGMVVAVASVLVAFTLRMCMMPVAS >Dexi8B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:8B:27871142:27873920:-1 gene:Dexi8B01G0016730 transcript:Dexi8B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVRSFAEFMAGGESFVVQGNMQVPEGSGNDTKLPVRRMSLGPSDLRLKRISGLPRLSKIRIVRCPNVVVLEGVPSLNSLVLEDVTMESLPGYLRDVTPRYLKLDCNMKLYESISESSCERDKIRHIGKHDIYWIE >Dexi3B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:3B:219238:222808:1 gene:Dexi3B01G0000270 transcript:Dexi3B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEANVYNDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELIALMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKALYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMVDFAFPYLLQFIREYTSKVDDLVKDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >Dexi7A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:7A:28822217:28826779:-1 gene:Dexi7A01G0019780 transcript:Dexi7A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPPRTSYLKKENAGTARREMGLKVAPRRNVLSAINNGEANGGTPSASADGGGGGEAATVVEFSGREDVERLLAEKMKGKNKTDYKGRVEQMSDYIKKLRACIRWYMELEDGYLAEQEKLQGAMDAENIRHSELEAQLSNAIEELKAANLDLTRRCEFLEESLNREKSEKLIAVESYEKEKQERESAENSRDVLTVDLERVTHDAKRFSEQLKMVQDTNKRLQEYNSSLQQYNSNLQADASKSGETISKLQKEKSAMMETMATLRECNNSMSNQLESSRASQQEAIRVKEELRKEVECLRAELKQETCSTQKEQIQTFQKQLTVATEKLKLADVTAIEAMTGYEEQKEKIKYLEERLSHAESQIVEGDELRKKLHNTILELKGNIRVFCRVRPLLRFDGDSNGPEGASISFPTSVESAGRAIDLMNQGQKLSFSYDKVFDHNASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGVNQKGLIPRSLEQIFKTSQSLESQGWEYSMQASMLEIYNETIRDLLAPGRSNNFDMTPSKQYTIKHDSHGNTTVSDLTIIDVFGIADVTHLLEKASQSRSVGKTQMNEQSSRSHFVFTLKISGSNETTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQSINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRQTQARSFDSRLSYG >Dexi3A01G0032610.1:cds pep primary_assembly:Fonio_CM05836:3A:37461712:37462293:-1 gene:Dexi3A01G0032610 transcript:Dexi3A01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPIFYPVSWVVSEIPYFLIATLAFVGIGNGMAGIATDTATNFLAYWSVLFLFTLCMTYFGMMVTFLAPSPVLAAFLVSIITSMWVSASGVVVLFSDIRFYKWMYWTNPFQYAMSTLTTISFYCDTSLCQGQSLSQERSCADVVTLAGMCTTFAMLAFLFFIVLKHNSPHAH >Dexi9B01G0043800.1:cds pep primary_assembly:Fonio_CM05836:9B:43742074:43744084:1 gene:Dexi9B01G0043800 transcript:Dexi9B01G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAWVRNKPGMASVKDMPLVQDGPPPGGFAPVRYARRIPTSGPSATAMFLTAFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYNSGKWMPPATGELRPEVW >Dexi5B01G0021550.1:cds pep primary_assembly:Fonio_CM05836:5B:23859691:23863216:1 gene:Dexi5B01G0021550 transcript:Dexi5B01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRLPTQPAARGLLRRTPPRILLPVERAPRRLALGVRAAVSGSPGPAGSPVPRRNPAPADAAAVTPTSAPSSAASAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQAALDEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARRKEGCGKHK >Dexi3A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:3A:17313783:17314214:1 gene:Dexi3A01G0021700 transcript:Dexi3A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDDQADAARRANEIRQAGGDSEPLLCTASSSSSSAPRNLPAIPTTVLRSSHPLRRELVGPSPW >Dexi6A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:6A:24510992:24512350:1 gene:Dexi6A01G0016620 transcript:Dexi6A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPTARHAGGHLAAAAVVLVLIISAAAPGAMAQEETEDEHEFSYVPGDEHGPAHWGSIKPEWSACGTGKMQSPIDLSHERVSLVRSLGYLNHSYRPAPASIVNRGHDIMVKFSGDAGSLVINGTAYYLKQMHWHSPTEHTIDGRRYDMELHLVHESAEKKAAVIAILYEVGDHDPFLHELEDDIKRIADRRDDKEESVGVVDPRRARGRASVYYRYMGSLTTPPCTEGVIWTVVKRVRTVSKYQLELLREAVHDDMEKNARPLQKVNDRDVSIFRPKPHRHY >Dexi8A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:8A:7667775:7670243:1 gene:Dexi8A01G0007110 transcript:Dexi8A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVEKLVALMGEQYEKHKAIRRDVAFLKDELGSMNAVLKKLAGMEELDPQTMDWRNQVMGMAFDIEDSMDDFMHRVCEDANTDDTGFIAKIRQYVNELRVRHHFTKQLQELKSRVIEVSERRKRYKLDEGAYRSSFVAIDTRSSALYTEAGNLVGIDDPIDGILKLLDMEGDASVQSLRVVSIVGFGGLGKTTLANEVYQDHSIEKEDLVRLWAAEGFVSNITDEDTEKVAGSYFNELVNRSMIQPTYTDYNGEVWRCKVHDMILDLIRLKSEEENFLRVVDNARQMALSLQSR >Dexi8B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:8B:2851855:2853942:-1 gene:Dexi8B01G0003690 transcript:Dexi8B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEQVVHVWKEWGIRAQVLLSLALQVTLLILAVFRRRVDSGVLRVFVWSAYQLADFIAIYVLSHMSVAATGGGSWPDEHELLVAFWTPFVLMHLGGQDNITAYAIEDNQLWLRHLQALAVQVATAAYVLYASPIFRSDGHSSWVLQVATILNFVAGAVKYGERVWALRYASSSSSSSPGNYGALDYRSLYKYVVIVPSTSRLAAEDILYLGHKMLEFPKGLLKGSATGNNRIKFLVRRDTGPQAYISHGFCLRLEEAYKVAEVQLSLMHDVLYTKAESQCGVIRVVTSVATAVALILLFPLLLTGTSSSSSTSSDRGYNKVDAAITFVLSVGACVLEATALVRHRLSSFNWFRDETRGIKTGLLPGATASFRRFVGAADRRSQHSWSRSMGQHSLLQVCVGSKVNRSSKVARRLGVEDWWNTIACSWSVAVSPLIERLVVKQVRESCGVLASSPDHISNSRGRAVLKRKSQQLYSELEWSVDPNQLSLEDTILVWHIATDLYLLQWRNNRKGSEDLAKAVEALSNYMLFLLVARPNMLPPSASRTAYVEMCYCLTAVSYSSAEELADLLRRYGVALNTRSESFRFPYDTGMTANRLRSLHNNTALQRGSWLATKLIAEDSPSSADTMLKLMGKVWVEILCYVGIRCSGYSHAKQLSDGGELVTVAAFLLEYLKRGVLTSDTTSISPDGPTILY >Dexi9A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:9A:5288824:5297378:-1 gene:Dexi9A01G0008940 transcript:Dexi9A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDATGLEMGLTGSKASPMSGKKEGTTKDLETVPASLEVNKSSGNEDLGRGSALTGRKRKELTRSSADDNGSVTKRVLRSDATRLRAEAEIDSLDMKHCEATLEACKSGVLTATCNGEEALVNMSNVSEETARPENNMEMSGVAETEFAQGGGLGTQSSIAELGDKGVKSPEKISAVTHEEQNEARAGIAILSVDESQTNKVSHGPCQGEVIDPAAANDDSTCLRRIISPTSGLEYVEHEDTVVCTEGVVLCSGDQKVEKQSYNDNVCTETEISLTENGRCTVDNHTDLTDCTQHDERGSPVNEIHDVSLSPRDIVFTRRKSISRKSWESKQVECEEELRIEKRVTRSATVRQREISGSSCKTTTNEATLGSKGRKGDIVAHYTRKVSSTVSPKPRHAGLVGCNTSTKKQTVKGKVVDQREPGVTENDNHGNTTESEKSENETKVNLKSQPIVISTSIVEKTTKAAVSVVDQNISGSAVTERNDTEHADSDGVKSEDKTPVQKPVMSVGAKIVASKKRILESGLDKIAGSSPVATPSMKKTRSTSSDPDIEQLNKPSGEKLVVKNCDSGNKRVLRQRQHRNQTNLSSRSPNKTNQNAIKLAQDQSDDDEMGRETSYRRTRRGRSRDAAPPVVPKQEDSSDSEGNFVVKKNQQIRKKSERKQKTGSKLKQTSPSNAGRLGRPVLTSCESTSLSLQAGKGKVKVPEDKGKSDRVSSMKIASPSDQINTGSLREEKQKISDQIKTILVDAGWKIDLRPRNGRNYMDSVYIPPSGKGSYWNFPQIIGGVQTAHVNFVMNILVMTLKTLLMILSSLNVEKLIIPAISELVDTWTSKFGFSPLEDSEKQELKSISMLVFPGAGLLQKPLLKKALPDEDPCPSPGAGPASSANETGKPSDVAIEDSLCSVASAAPLVSGVTEHVISSKNVDDG >Dexi7A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:7A:28177508:28178111:-1 gene:Dexi7A01G0018890 transcript:Dexi7A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATRRSLTALLLSSSRALPRRLGPLAAAAGSAHLAPWAMLASRGAKSASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEEMVAAYVKTLTAVVGRDI >Dexi9B01G0045170.1:cds pep primary_assembly:Fonio_CM05836:9B:44771095:44772125:-1 gene:Dexi9B01G0045170 transcript:Dexi9B01G0045170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWLRRAAAAAAPRLPSGLPLLPPPPPAPLAEAQSLVVPGLGAALGPAMELMAVPKKKVFAGLPVSTNVSHFDILHQWIIEVSKYKKALRNGPKALKPVPVIVRCRYIHG >Dexi8A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:8A:4457142:4457669:-1 gene:Dexi8A01G0005070 transcript:Dexi8A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWNHHHQQQQFLPPPPPPQDPGAGEFMRKRYRDDDDNDAHHHQQQQQHNEEEEEAEEHKVRVAAAPPQAAAMWAVGPNSSGVAGVGGGAFWMQPAWAFAGGGSGSTVQAPLQFMSARSGGGGAAAAMDANIGMLAALNASGGGGGVQQQEQEGGGGGANGGDAGGRGAAASPR >Dexi9B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:9B:7092822:7095660:-1 gene:Dexi9B01G0011130 transcript:Dexi9B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAEAMEWMEEQDGGGGRTAEKEDYEEKLREVEEVCGPIIKQVYEKTGGGSAGAEDEDDVNELSAVLPLPCHRECARRRGGLRRAHSSACASTCASAPRTPPSAHADATTTATGATASRGASMDTSCATSSAASIMCVLQPMPRKHRGLRVNAYYDHNFISNQLPARELVKHSSSSPNKPWLPNLSSPKPWPLASSSSLLFLKHAQPVKLARTGCCARLSSPALLTMLAGDELGRAVWGHEHARGRDTDLAGAEVELACAWAAKLAGAEDLTFGELEPSTATVGWIGFDLGSRQWEIGTADCWWAPPSVLHRPVARLKYVSRHMVWRDGLTCWHGTHASRATCFGATQSRQRSWRDSAIYRAAARPSLLLPPFFFLHLYLFKTSPMAPPLAVAL >Dexi2B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:2B:177518:177721:-1 gene:Dexi2B01G0000400 transcript:Dexi2B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMGSGAACLSSMRNAGGGGSFPHAAAAAAGETDSGHGMQLYEHDGHESCSCLAGELMVHHIMPR >Dexi1A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:1A:11634816:11635046:1 gene:Dexi1A01G0012110 transcript:Dexi1A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPLHNQAALAAGDIAAALAAQEGSAPAAHARARAAAAHARRPAHAAAACPPLQRHVPRSPQPPPRPPHAAPED >Dexi2A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:2A:9488826:9489593:1 gene:Dexi2A01G0009260 transcript:Dexi2A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVLKDEVYALLVVNNVYAPCLVHKAKCAYRVFEYLDLDLRKYINMSAGFHEQHKVKYFIYKILQGIAYCHSKQVLHYDLKPENLLIDSRTNVLNLADFVLARVFDNPNRAFIKEERQMTQH >Dexi1B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:1B:5151449:5153588:1 gene:Dexi1B01G0006260 transcript:Dexi1B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSRAKDASDNGAPPPRELATDGPASNTCGGHVRMRQPAATDPPGGGASTAAAIPAPRPAPVLTNQHSNAPRKRGLDYAIAYMDNLELPSVERGTHQINYNAPRICNITNADFDFVMQVDRNKLSLVELYGKLPFRPFSATPYAAIQADEEPLPAVEEVPAVEEEVNVVSSLDQWLQQQGPLSDQLQQSNAMSHSFSCLVAL >Dexi1B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:1B:25038665:25042470:1 gene:Dexi1B01G0018890 transcript:Dexi1B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVSLFRLARRLPAPLAAVRAPAPILLRHLHSDAPPPPPQDLPPFVSRILESEPSLTPTAETEPASDPALDEFLARFVAAFRPELEAAFPDHDRAVLDEMLRLVANAVVCRITGADPGPDAAELSDDLWAAVWKVSASVQEAIRRDQVRADLRHYLHCDEVKEMTRFAVDVGIRGAMLRELRFKWAREKLDEVEFYRSLDDMRSEAEAAANPAPPPVPRLTSLPKRKGEVKFTMYGLDMSDPKWAEVAERTAEAEAQFVPQEAKPVEGKAKKAEERLLSVDPRIGNPMPTMEEWKEELRPKRVDWMALLERVKARNVELYLKVAENLLAEDSFGADIRDYSKLIDLHAKANNVQGAERILGKMKENGVALDILTSITLVHMYSKAGNLDQAKEVFDFIRKEGFKPDLKLFSSMIKCYISHGEPGEAHNLINSMRDMKVQATREIYTDVIRAYTQQDMLVPALNVQRAMHTAGIESSPELFAMIIEAYGRIGDPDNAYNLFQHMRRSGHEPDDSSVAGVVTAHMKKNQLDKALHWLLSLEKEGIKPGVKTNLVLLDWLSMLQLVLEAEQLVQKIKQLGAEPIEVHVFLADMYAKSRHEEKARRSLKILEEKKKLLKADQFERIINGLLDGGFSEEANKYYKMMKSCGFEPSETIEPTPPGKGGTAHTGRLRRIAVAGTRNTEETRTRPSSAPARRPSCASSVRTVALDYHMAPPIPTSGFHAALPLPFRLSLPTPLPPLPSATYYPALK >Dexi3B01G0034680.1:cds pep primary_assembly:Fonio_CM05836:3B:37365965:37366375:1 gene:Dexi3B01G0034680 transcript:Dexi3B01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSGHPLAVLLLVILLLAATSGPAPAAATLYTHGGGRMAIARAPGATTTTMTATGGGGRSRRSSMYDDDDERRRRRLVEDEVTPELATAAGLLGADVVDFNPYETLIAYRPVCLPSNCPAKSGQPYTRPCLLGC >Dexi1B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:1B:6680441:6681184:1 gene:Dexi1B01G0008020 transcript:Dexi1B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLHSRKMVQPKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSSTGEPASVAGRDARSNIGGGSSTANLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEAASTDAALQSTSATTSSSATAMDEERRIALQMIEELLVSSSPASPSHGDDQGRFII >Dexi6B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:6B:14077921:14087471:-1 gene:Dexi6B01G0009600 transcript:Dexi6B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHAGRGGRSDAAAAEDTPRGGGLGLHGHGSGPSVVLTADPKPRLRWTADLHDRFVDAVTQLGGPDKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGRQSGKELAEQSKDASYLMEAQSGTTLSPRGSTPDVKESQELKEALRAQMEVQRRLHEQVEAQKHMQIRMEANQKYIDTILDKAFKIVSDQLSGFGISDQDLPDLASAGLMFSPADPLSPSVFHQLSVSSVSLHSPGGGKALPHVAIDISQKLPELKRKSR >Dexi7B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:7B:20914286:20917449:1 gene:Dexi7B01G0014800 transcript:Dexi7B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNVAFAAGRFEEAAQHFGDAIALAPDNHVLYSNRSAAYASLGRYSEALADAERTVALRPDWAKGYSRLGAAHLGLGDAPRAVEAYEKGLALEPSNEALKSGLAQARQQAASTPRRPGGSGADAFGKVFQGPELWSKIAADPTTRGYLNQPDFVQMLREVQRNPSSLNTYLSDQRMVQVLTLMLNIKLPHQNSGASEPAAAQSAPQTPKQQSEAKAREPEPEPEPEPMEVTEEEKERKERKATARKEKEAGNAAYKKKDFDTAIQHYTKAMELDDEDISYITNRAAVYLEMGKYDECIKDCDKAVERGRELHADFKMISRALTRKGTALAKLAKSSKDYDVAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPRVYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPKNPELLDGVRRCVEQINKASRGELSEEELKERQSKAMQDPEIQNILTDPIMRQVLTDLQENPQAAQAHLKNPGVMQKIQKLVSAGIVQMK >Dexi6A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:6A:22212069:22215163:-1 gene:Dexi6A01G0014820 transcript:Dexi6A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVETTMVAPSEDTPRRELWLSNLDLAVPKKHTPLLYYYPAPAASGGDAIATGGTEEAFFAQAERLKAALAKALVPFYPLAGRLGVGEGDRLQIDCNAEGALFAVARADFAGDDVFLDYQPSPEIRRMFVPSGDPPCVISMFQGQQEAREMMKVDVVETTLVVPIEDTPRREIWLSNFDLAVPKTHTAVVYYYPANGGDTIATERPDDTFSPERLKAALAKALVPFYPLAGRLGVGEGGRLQIDCNAEGALFAVARADFAGYDVFLDYQPSPEIKQMFVPFVPSGDPPCFISMFQVTFLKCGGVVLGTAIHHVTVDAIGAFHFIRTWTGVSRGLDITEACDPPPCHDRTLLRARSPPSPTFDHPVYSPSPTPAMLINGQPRPFVARVYSVSPKLLADIKSRCAPGVSTYCAVTAHLWRAMCVARAHPGLQDAAPWLIT >Dexi2A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:2A:28821019:28822540:1 gene:Dexi2A01G0017040 transcript:Dexi2A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVRLKKPIDWTEEGSMEQGGQAALGWAARDSSGHLSPYSFSRRVPRDGDVTIKVLFCGLCHTDLHVLKNDWGNAMYPVVPGHELVGVVTDVAPGVTKFKAGDRVGVGYYVDSCRSCDSCCNGYESYCPQFVQTSNGVDLFDGSITKGGFSDVILVSQDYVVRVPESLSPEGAAPLLCAGITVFSPMVRFGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVISTSTGKREEALDRLGADAFLVSRDPEQMKAAASTMDGIFDTVSAEHPVAPLLELLKPMGQMVILGVPAKPLEVPAFSLVAGGKRMAGSPGGGIGECQAMLDFAGEHGITADVEVVGMDYVNTAIQRLERNDVRYRFIVDIAGSNLGAAA >Dexi6A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:6A:15898423:15908382:-1 gene:Dexi6A01G0011170 transcript:Dexi6A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLREWVSDKLMTLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSSETRSFAADIYAKVPRRSSSVSVRFDCPAYIVRSIFQCCHSLFTYKMLRFVLLQNYQKQEREAAKLVQKQSTYKLLSDEDDNDADNQTSTSRKGSTIPSSKSRKHFRRKTDQDGGDDDADDEKISNDSGRNVRRRTEEDDEKDGDNSSDEEKERIRDQQERAQLEKNMRERDASNTRKLMERQLSKEEQEELNRRSQAMDKNDTSDLSDEILDHEFIFQDVKLTEAEEKELRYKKKLYDLVKEHVESADDVGEYKMPEAYDMGENVNQEKRFSVAMQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDSIDFVKSSVIEGTQPEDYSDQEDIDAKDILKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVRSMKRARDIRDQLEGLMERVEIEICSNASDLDAIKKAITSGFFHHSARLQRDGTYKTVKNPQTVHIHPSSGLAEASFFIVNFLFTFIRPRWVVYHELVLTTKEFMRQVTELKPEWLVEIAPHYYQLKDVDDCKCRLCWNKEASERPRKSSVVARTHV >Dexi1B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:1B:5677933:5683911:1 gene:Dexi1B01G0006850 transcript:Dexi1B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPDPSSSTASGERITTVRDLLPFLLHVPVTYRFSKKNVSLDGFITDGGYSCACSAAASCGYRGKVLSALQFEKHAGVESKNQNGHIFLSNGISLYSLFQELRDVPAEAFAEKFEAAAGVPMTMASRRKDYSDAVASDDGCSTQSSRDSAMGDNVYSLTDYLKESTSNSLSNLNWRRINLFLCLLVLRDTTLHPLLFKESGLPDNTLLIYKLRNGEVLKQGYKRGTCIICDCCNKEFTPSHFEEHAGMGRRRQPYHNIYTSEGVTLHNIALQLQDRLNPNGFGNANISSFSDYPNVTSGNVMQCFSPDHGVTWSLLTSSASHLTMVALEKKQLWSCGKEPSTTNVPIVPLKRTLQERVVETESCYICRDGHTTVGNIDSDTIVFCNQCERPCHIRCYNDGLAKRKAPLEILKEYMQFCFLCCEKCQMLRAHLDEELEKCEEIAFLRRVRSNICWRLLSGTNKSNDVQLYIPQVIDIFRDAFAETAEHSGFFSDMVYTKNVEGEKDFRGMYCAVLTASTHVVSAAILKVRMEQVAELVLIATRSECRRKGYFVLLLNSIEAHLRAWNVNLLMAPVDPEMAPIWSEKLGFTILSDEEKKSMLEVHPLVMFENLLLVQKSLA >Dexi3B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:3B:6810466:6811316:-1 gene:Dexi3B01G0009840 transcript:Dexi3B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAPRPGTEEIPVEVVSDEEMAFIDAALAAAAAAAAAGARPLLSSAARRTAAPLSCAAYSAAGSAGGDIEDSPLPRRSLLARFRERRALAVTDITATEWCEKQMEFVLEHGKPERTEAMKAGSDRHAQLEQEVVERVDVAIRSVEELWAVKFMNFIIGTNQLMFEGITRELPV >Dexi9B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:9B:12905909:12915572:1 gene:Dexi9B01G0018180 transcript:Dexi9B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAASPAPNAAKTAVSDPAAGAAADGEASADPTAVGDDEHDSKEVVLRRYFLQEWELVSAILHRIVAAGGVADPGDVHRIRSIMDKYQEEGQLLEPYLENIVSPLMSLVRSKTMELGASTDELLDIIKPPCIIIYTLVTVCRYKSVIKFFPHQVSDLELAVALLEKCHTMSSATALRQESTGEMETKCVILLWLYILVLIPFDISTVDTSIATADSVDGAEVVPLVTRILDICKDYLSSSGPMRRMSGLLLARLLTRPDMTKAFSSFMEWAHKMLLSVTDDFVDQFRSIGIVEALASIFKSISSSLGANLSSSTAGEASGSIEQANIDQIDTCSLEEDMDVPEIVEEIIDLLLTGLRDSGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNYDMKAVLEQLAPHLLTVACYDREASYICCFQMFSASDPIVNCRRAASAAFQENVGRQGTFPHGIDIVNTTDYFALASRSNSYLNVAVSVAQYKEYLYPFADELLCNKITHWEKSLRELAAQALSLLVQYDMDYFGGHALEKLVPYTLSSDLCTRHGSTLAAGEVALRLYQLGFTFSTVTFHLYLYFLDMQKSLSGIVPAIEKARLYRGKGGEIMRSAVSRFISCISIAGISLNEKIKKSLLETLNENLRHPNSQIQCAAVDALKHFIPTYLVSSGEKTANDVISKYVALLDDPNVAARRGAALALGILPYKFLILKWMPVMSKLCSSCTIEDKPDDPDAEARVNSVRGLISVCETLTSSFDQSSNSKDSLYAYIKDNVMRALFRALDDYAVDNRGDVGSWVREAAMDALERCSFILCRRDIVLLRPAPASGHESERSEREVNASSTAYRLFDSGIAQDLVSGIAKQAVEKIDKMREIAIKTLQKILYHQEHLIPFIPHRELLEEIIPNSMDLEWATIEALFSKKVFLNREGYSEFYRELIDSVGSELKGSKDFTKLCAGLSILGYISSQSDGTCTKAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDGLIPPDNMDKAQELLAETCWEGDIEEARRNRSEINDMAGFRVSTKLKSEKETRRTVDARSTVSTDENKSYSSLVDFSGY >Dexi6A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:6A:26814636:26814872:-1 gene:Dexi6A01G0019160 transcript:Dexi6A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLAKLVVEPPLPPADDLADVLMVVRLLLAHGGENPQHVPALPEQHVADQLLLPPHPRRARRRGRRSRCRCRYRC >Dexi9A01G0032560.1:cds pep primary_assembly:Fonio_CM05836:9A:37481427:37482371:1 gene:Dexi9A01G0032560 transcript:Dexi9A01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQRAGAEKGEMEGLTGSEVAGFVVGALLLGATIAAPKVDGFIASSQRRSLGMCKRCGNLRIIACSQCKGMGSVRKGGILSLGMLDDLYESLGAEAKTDNLIPCPKCRSKGRLLCPECSKFT >Dexi9B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:9B:4557068:4558867:1 gene:Dexi9B01G0007430 transcript:Dexi9B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVIRRAFGEAEEEFHKQVRQEWTKRPRLAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVAGGGLAVAERLSSEHNAASEEVRRELAAHNPDDAQIVVHVRGAWRVKGIIQVSRSIGDFYLKKPEYSLDPLFRQVGPHVALKRPALSAEPSIQVHKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIERGVRRHFHDDISVVVVYLDRHHERRHTRVIDSSSNCTSAPVDIYSSNNHQFAEPLQAYKS >Dexi5B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:5B:2968434:2970624:1 gene:Dexi5B01G0004390 transcript:Dexi5B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVFLAVLVAAAAAVLGGAAAAAAVEEEWEGRPAAVEVDPRWRFPSQRLRNAYVALQTWKRQAIFSDPYNLTADWVGPGVCNYTGVYCAPLPSRGRRRRGELAVAGLDLNHGDIAGFLPPELGLLADLALLHLNSNRFCGVLPHTLRRLRLLHELDLSNNRFVGPFPDVVLHLPALRFLDLRFNDFEGPVPPRLFDRPLDAIFLNHNRLRFQLPDNFGNSPASVVPLVLKGIGLKVMGWGFGAFRLGIGG >Dexi8A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:8A:8643237:8645259:-1 gene:Dexi8A01G0007600 transcript:Dexi8A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLKIWISSGHNGIIGKYGNKLELGVPNVSKPLSDNSVDPGWPDWLINVAPEAVQGWFPWQLNSFEKLGKVGQGTYSSVYKARDLKTGKVFALKKVRFVNVDSESVRFMAREILVLRKLNHPNIINLEGIIASSVSRSLYLVFGYMEHDLAGLAATPGLKFTEPQVKCLLQQLLSGLDHCHRNGVLHRDMKSSNILIDDNGVLKIADFGLATVFDPENQKPLTSRVATLWYRPPELLLGATKYGASVDMWSTGCILAELLAGKPILPGRTEVEQLHRIFKLCGSPSEEYWDKLEVPQTGTFKPGSQYKRCIAETFKDFPHSALILLDSLLALEPDVRGTAAITLESDVSTTHQTIRFSFSIVIRLFLVTLDLDIFFFFRTSPLACSPSSLPKRPPSKEYDARLRRKEDMRYHFPNINFLVHG >Dexi4B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:4B:19260658:19261066:-1 gene:Dexi4B01G0017160 transcript:Dexi4B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPAPPGRRAAPLRGLLAQRLASDAYSIRLQPRPARPDHAPPLGTIDCFSFPPAMAGGAKKKRVARVSKKKKNGRGRDVGADLTDDVLVDILSCVPIKSLYRCKSVCRRWHDLISNPDNGKKLP >Dexi1B01G0025630.1:cds pep primary_assembly:Fonio_CM05836:1B:30585621:30589887:1 gene:Dexi1B01G0025630 transcript:Dexi1B01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNALILPCKRKNEGQGKAKDGKKSKNEDPKMSKTKLKKLQKLEEEKQKKLLQAKSIEILQKHKISEDVHSLLRASGTIGKAETLKEKRRRAVQMSKAGLDVPEELSLFKRNGDKQNSENSDSVEEILPAKFVEPAKSEDPCGEHKNSMKNDSMKAMECQPVMDVGVSIPEPKTEEPSDNTEVLENHKLLSSIPSCSGSELDLQDKVPEQAEATIQECINPPIVVPVSRPHEVEKARRDLPIIMMEQEIMEAIYENSIVILCGETGCGKTTQVPQFLYEAGFGTSDRADRRGIIGITQPRRVAVLATARRVSYELGLKLGKEIGFQVRHDKLVGSNCSIKFMTDGILLRELQGDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKGRKILYADQQEKIRSGVNIKPEDMISQLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTIHFSKRTHDDYLGQAYKKVMSIHKRLPPGGILVFVTGQREVDYLCKKLRRASKAQTAKKPEKNDGCGNGPCPEVDDKEILEAYDIEKNESKHRDDMFSSYDDDDMDSGPNYDSSDNESESEMDTDTDDEECVTFETTEDDAPILRFLKDTENSSMLKASFGTLSGTSGVSESVEKSSDATGEEKSSPSVRSFSKCTERMPISHGRLRVLPLYAMLPASQQLQVFQDIPEGERLVVVATNVAETSLTIPGIKYVVDTGKEKVKNYDHATGMSSYEVQWISKASASQRAGRAGRTGPGHCYRLYSAAAYGKDELFPEFAEPEIKKIPVEGVVLMLKFMGIHKVVNFPFPTPPNRESLVEAERCLKTLEALYSRDDGELTPMGKAMAQYPMSPRHSRLLLTVIKILKSQQGFARSNFILGYAAAAASALSFTNPFLKQLDECDINGESEENNANMKANDPCERKRQKKHTAMVREAQGKFSNPSSDALTIARLEE >Dexi5B01G0035630.1:cds pep primary_assembly:Fonio_CM05836:5B:35580553:35584675:-1 gene:Dexi5B01G0035630 transcript:Dexi5B01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRVSLRRVIAAAASSSSHPESYKKGICGSTFHCREFSSKAKKKSKSSGTDSGDENMSKKDLALHQAIDQITSAFGKGAIMWLGRKQGHKDVPVVSTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQTRAKVATFGFGGPTEVTSGGNALKFYASVRLNIRRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTAQFELEFGKGICRSSELVELGLKNKLVQKASAVSYTFNEMSFRGKDKLKSYLNENKGVAKDLEMKLRALMETQAPKEQESEGDFSSDLPEEIIAPETSSEEDLAAAVEA >Dexi2B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:2B:6566916:6571004:-1 gene:Dexi2B01G0006640 transcript:Dexi2B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRHLVLLLLALAAAFTLAAAADAAAAAEEGPRGRRLLVLLDDLAVRSSHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGDPEAMVIDHINYAVTDVDGDHTLIASDDLIQSDVILGSKKIEAPVLFRGIGHTANPSNSLARNNARVLVSGSLDLFSNRFLKSGVQKAGNKKSHERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQLQFFMMSPYVLKTMSTDKKGLYSISFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYTASFSSIRSMHLLHNFFLVTDGCFLHILVRVPVSQIENLRECK >Dexi5B01G0038240.1:cds pep primary_assembly:Fonio_CM05836:5B:37469547:37475999:-1 gene:Dexi5B01G0038240 transcript:Dexi5B01G0038240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAAGCAAATCAIAAVLVARRASARARWRRAIALHRDFEEGCATPPPRLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPTGNEEGTYYSIDLGGTNFRVLRVEVGAGSVVTSRKVELPIPEELTKGTIEELFNFIATALKDFIESEDGKVEQRALGFTFSFPVRQTSVSSGSLIRWTKGFSIENAVGTDVAQCLNEALARSGLNVRVTALVNDTVGTLALRHYNDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTAYDISLDDETQNRNDQGFEKMISGIYLGEIARLVLHRMALESDVFGDAADNLATPFTLSTPLLAAIREDDSPDLSEVRRILQEHLKILDTPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGVALSGRTRGQPRRTVVAIEGGMYQEYPVFREYLDEALVEILGEEVARTVVLKVTEDGSGMGAALLAAVYSSNRQQGSI >Dexi3A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:3A:628172:629465:-1 gene:Dexi3A01G0000880 transcript:Dexi3A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIVKDDGCKCPDGFKGDGKHKCEGKNGATETGWGFLWAIFFGLVAAGIAGYAVYKYRIRSHSNHIEM >Dexi9B01G0032320.1:cds pep primary_assembly:Fonio_CM05836:9B:34562451:34562579:-1 gene:Dexi9B01G0032320 transcript:Dexi9B01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRPVAFCQRFATNPVALYQRLNPVAFYQRLVA >Dexi5B01G0034000.1:cds pep primary_assembly:Fonio_CM05836:5B:34259833:34262342:1 gene:Dexi5B01G0034000 transcript:Dexi5B01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIASDAVGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGARAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLHRVGILGCGLCIVGSTMIILHAPQERTPSSVEHIWHLATQPSFLCYAAIAVGVSLFLMLYCAPRYGQTNIIVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWVFAVVSATCIVIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQRASNIASEICGFLTVLAGTVVLHSTREPDQTTSAAT >Dexi2B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:2B:7697500:7701098:1 gene:Dexi2B01G0007360 transcript:Dexi2B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKSFCTKMASPAIPFVPLTSHRAVPFAPPCPPPWPPRPNAAAARLLGGEVVEEAGAGSSQARSPAGPELEPVVLDLNAESPTAGSASATSSSSGVAGGGGFFRFDLLGGSPDEEGCSPSPPVVTRQLFPSPHPDAATAAASPASDGSPPPGMARPWVRRAADLGASVPVVAAQGTAAVAAPSSPAAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFHLKDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGSDAVTNFDSSSYDGDAPLPPEIEKDVVDGDILDLNLRISQPNVHDPKSDGVLTGFGVNCNSPEASSSVVSQEAPPAMERRPEPGPQQAFPPWAWQAQQGYYPAPLLPATAASSGFSTVAATAAAGVAPSTSGPRPFAGYHHQLRFPPTA >Dexi3A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:3A:15207978:15208929:1 gene:Dexi3A01G0019350 transcript:Dexi3A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDTNTSCSIHKPKIQTWTTKTKLKHHKSICKLDYHITQNAILLRRGGLEEVLSQVAVHLVPADPVEHEHDPHGERGVEARAHPEDEHVPPHHHVRVLIHLPPHLRHHHVLLLPAAVGRAAPCLGGGDDPAAPARERAVDMELPGGARGEEVDEHGGGAGPGAEDGGAAGREEGLRVAVRGAVPEEPVPAVVQAGPAAAHREHGLPLGAQQREVPRRGPAAAHPAAPVSTRNASAPVRWSYASSPSPGVNSVVFRTVSSRSSPTQCSSPTITTCCCCCPIPLRSLPLRSSLLSPQQVGLGVLLCGELLLAGSGR >Dexi7A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:7A:23604193:23610018:1 gene:Dexi7A01G0013580 transcript:Dexi7A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRLLLFPLPLLLLAAACSDHAAVLAAEEFTYNGFSGANLTLDGMSAVAPNGLLALSNGTSQMAGHAFHPTPVRLRDVPGGAARSFSASFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGVLNVSDNGNDGNRVLFVELDTMLNPEFQDMNSNHVGVNVNSMRSLLNHSAGYYDDATGAFSNLSLISRQPMQVWVDYDGPTTRLQVTMAPMAVPKPKKPLISAPVNLSAVGTDTDAYVGFSAATGVIFTRHYVLGWSFSMDSAAAPALDTSKLPTLPRFGPKPRSKLLDILLPIGTAAFVLALLIGVFLFVRRRIRYAEVREDWEVVIHRDIKASNVLLDADMGGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGRVDDEQSNVLLDWVQEHERKGAALDTVDPRLCGKYDADEARMVIRLGLACAHPVPGARPGMRQVAQYLEGDAPMPEVAPTCVSYTTLALMQNDVGFDSFAMSFPSTVSSSVSPVSGAFSSAVSGLSGGRIALVTGGNKGIGLETCRQLASKGLRVVLTARNEARGLEAVEAIRRTSGGAEVLFHQLDVTDPSSAARLADFVRDQFGRLDILINNAGISGVDRDSVLVAKIKDKVEGMDVNQRVEWMRENSKETYEEAKQCMRTNYYGAKIVTEALLPLLQLSSSGRIVNVSSGFGLLRNFNSEELRKEFDDVDNLTEKRLEELLDLYLEDFKANLVEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLHINCLTPGYVKTDMSMHMGVLTLEEGARNPVKVALLPDDGPTGAYFDLNGEASFV >Dexi9B01G0032980.1:cds pep primary_assembly:Fonio_CM05836:9B:35119333:35122650:-1 gene:Dexi9B01G0032980 transcript:Dexi9B01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTNKPPSPRPRRRRRLCGLCLGTALLALLVSAIVHVVAPPRRPAPASERFSVIIDGGSTGTRAHVFAAGPDGRPDLARSAVMRVSPGLSSFAADPARAGESLLPLIEFAREKIGSAGGDVAKAEVRLMATAGLRLLEESAREAILASCRDVLRTAGFRFEDAWAKVIPGSDEGIYAWVATNYALGRLGGDPNKTVGIIELGGASAQLTFVSDEVLPPELSNNFTFGEKTYTLYTNSFLNFGQNAAQDLLHKMLRAREKCQHQQCHLGSNFVPELRGYFLATENFYFTSKFFGLKKSSSLYDFALAGEQFCNQDLSTLRKRYPNRSDEDFSRYCFSSAYIVALLHDSLGVPLDDKRQAY >Dexi7B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:7B:23859415:23861712:-1 gene:Dexi7B01G0017970 transcript:Dexi7B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFQRAYHRSNERPSPARVISNVCKFLIYYLLHREDQEVSYGLNWAIAGRGVIVKDKVFHNLETSELQKSGATYPDCLSGIPLHVRGDVTGGVPNVSKAQFAKVLKLVTFHLSSISCLYVQDGAIGSSAECDAKVRMITDNPSAVMLLSNVLWKISDRAISHDTSPLTIYSTSSIRKSLGGYKGRLIHVFFHECWNSNNIKTLLGSGTQYANGFAAADIERSSLILCGKAFADSAIVKNALTAMAAPILSARGGLPVPGWLLCFGGSIVLLFAPVEIIRSCSEIQNALLSVDCGAAICSKGSTVLFPTKARREPKLFNKPSTAIIVSSDSTGTIPSVSKLSPGQAAYHFLAGYQDGKFVPAYSRGPSPADPLTLANSLFSHVGGSISVLFALLKEDDTPAYLINAMHSGKYTDGKGFMKLLELTLSHNPPDTKTEDFRGK >Dexi9B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:9B:6880839:6885973:-1 gene:Dexi9B01G0010920 transcript:Dexi9B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVTKKATTPFEGQKPGTSGLRKKVFPPALAVSRSRFPFTFLGAPNRDLSVPVMRWVTVFQQPHYLQNFVQSTLNALPAEEVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERIGEDGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTTTISEYLISEDLPDVDISQVGVTSFSGPEGPFTVEVFDSSVDYIKLMKTIFDFEAIKKLLTSPKFTFCYDALHGVAGAYAKHIFVEELGADESSLLNCVPKEDFGGGHPDPNLTYAKELVERMGLGKSSSNVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGGDKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSEVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVDVALKLSKMEEYTGRSAPTVIT >Dexi6B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:6B:966958:969566:1 gene:Dexi6B01G0001180 transcript:Dexi6B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLWLLLILAGLGEGEAASSTAQALFVFGDSLIDSGNNNNLASLAKANYFPYGIDFADGPTGRFCNGYTIVDELAELLGLPLVPPYSEASTIQQVLQGANYASAAAGILDDSGGNFAGRIPFNQQIENFESTVSQIAAASSSPATADMVARSIVFVGMGSNDYLNNYLAPNYDTRRHYSPQQFADLLVGQFAAQLTRLYKAGARKFVVAGVGSMGCIPSVLAQSAAAGGCSTEVDGLVQQFNAGVRAMLDGLDGNLAGAKFTFLDTFRIFKAILANPAGFGFSVVDRGCCGIGRNGGQITCLPFMPPCADRERYVFWDAYHPTAAVNVILAREAFHGAADVMAPINVQQLARL >Dexi6B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:6B:21812683:21812886:1 gene:Dexi6B01G0014210 transcript:Dexi6B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHRLLRQHLLLRGVVDGQLMLRRHLLPRGVLRSDRPRCFPAMASPPESSCCRRHFLPGSALSCPL >Dexi4B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:4B:25686:26476:1 gene:Dexi4B01G0000010 transcript:Dexi4B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPESLPVGFRFRPTDEELVRHYLKPKIAGRTHPDLLLIPDVDLSACEPWELPAKALIRSEDAEWFFFAPLDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGTLIGIKKTLVFHRGRAPRGHRTSWIMHEYRTAEPQLQQGQKGSFVLYRLFDKHEEEEEPEASDTADSPSASSPADPRPMKLAVKPENLGQPASVETANLLATLSADEPAAAQVH >DexiUA01G0006000.1:cds pep primary_assembly:Fonio_CM05836:UA:10966090:10967206:-1 gene:DexiUA01G0006000 transcript:DexiUA01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVASLSSPAPAAAARRRRFSPAASASLRRVASGGGSSWRSERRLMSELERTVTAGAAERIIRGYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTQARWFKWSSIHAAAVAALLEVNGSIGESRSLISDSISQHLQSTDEVALFYCDLMAAFSSRGLKDRAVDFYTELRSMPLSGRKAYTAMIKSLCLMGLASEAEEALREMVSLGYQPDAFQFGLVAKCYGKSGSLVEMER >Dexi8B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:8B:1508919:1509406:1 gene:Dexi8B01G0002180 transcript:Dexi8B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNAPEVWNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSVPRLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Dexi9B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:9B:14811158:14812969:-1 gene:Dexi9B01G0020090 transcript:Dexi9B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIAAVIAVWSTALQQYFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMIVAVLGMIWYGNASAKPGGKERRSVLPVRSGSLKGSSEEKAGADK >Dexi2A01G0037560.1:cds pep primary_assembly:Fonio_CM05836:2A:47084694:47085066:-1 gene:Dexi2A01G0037560 transcript:Dexi2A01G0037560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQGRLFTVGLVTAWYSSNIGVLLLNNTPIFLTMCHMAACSLFSYAAIAWLRLAPMQLPRSRLQLAKIAALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFATS >Dexi5A01G0032870.1:cds pep primary_assembly:Fonio_CM05836:5A:35242409:35243431:-1 gene:Dexi5A01G0032870 transcript:Dexi5A01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAWAQVLLPPTTAPCFYGQPSCFWQERHASGFGGRGGDEWTPEENKVFEQALAGIDRNAPDRWERVASMLPRKTAADVENHYYDLENDVGFIEAGLVPFPRYSSSPPSSGFTLEDWDAGDGGFRRGYCLKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRTISRKYVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDEDRGDASPSPPSVVTTTNPSIADQFGALVDVKPFMPPPPLPVGALGVHPYGNVKLEPKNALAGLGFDDSVLLQIQCAGQL >Dexi5B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:5B:4374275:4375117:-1 gene:Dexi5B01G0006520 transcript:Dexi5B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPAAPLIMKLLVDTKAGRVLYAEAGKDVVDFLFSLLDLQLGAVTKLLAAGAMVGSVGNIHRSVETLDAVHRHGGVDALLGYRCKGCSCSPRCYDFASSASGTPCPMCKGKMTTEVQLVEPAGDHGSGATLAGEGSGGGSTGYVRDMVTTYTVMDDLSVAPMSTICAVTALATLGVTDITGLQSKTVEIGCKERLALLKASLQSQTVLTDVFLGAKGSSASTAARSGSLISL >Dexi8B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:8B:15058019:15065683:-1 gene:Dexi8B01G0008980 transcript:Dexi8B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGDAAAVPEAYLAGPAVQEARELVAELCRHFYAPGWVTGTGGSITVKVNDPDVPLSDRLIVMSPSGVQKERMVAEDMYVFSADGKVLSTPVAKPWPNKPPKCTDCAPLFMKAYQMRGAGAVIHSHGIETCIASMLEPGAREFRMTHMEMIKAIKGHGYRDELVIPIIENTPYEYELTDSLSEAAESYHYLLDAAIKLCQLGIDWTTPEHGPINRAKRLCTILNTGVSNECHAAETLKQCIVLDIEGTTTPISFVTDVMFPYARDNVRNHLTSTFYSEETKEDIKLLRIQIEDDLRNGILGAVSVPPDEAGKEEVINSLVSNVKSMIKADRKVTSLKQLQGHIWRTGFEAKEIQGDVFDDVPKALKNWHLSNIKGIVNTLNKKETRSYIEIAQSLGVDSPSQILFITDVFQEAIAAKNAGFEVSISIRPGNAPVPENNGFRTIKSFSEI >Dexi2B01G0021370.1:cds pep primary_assembly:Fonio_CM05836:2B:31182410:31183279:-1 gene:Dexi2B01G0021370 transcript:Dexi2B01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPELIAERLLDEDVTEYIVFRAVCTQWRSSTPSPRDPTLAVRRFHPRGWVALCEGTGVRPVDAESITFFHTSTGRVRRVHLWELQGQRIVGFTDGLILLLDTGTAVVRVLHPFTRRLPHLAGFFHRVLSKQAWFKMDSFVWLKAAVCAASSSSIAVVIWFPNMPVVICAEPRSKDWIILHVNIQFTNTLPFGGRLYGVTQVGRQLVQVYPLHEHANAVVAEVPTHLGHPRSCRYYLVESMGAMLVAVLHKISCETFNAFTLFKVDLCRQELSR >Dexi2B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:2B:29625175:29625724:-1 gene:Dexi2B01G0019490 transcript:Dexi2B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGEEEFYLRYYVGHKGQFGHEFLEFEFRPDGKLRYANNSNYRNDTMIRKEVFVSPSVLREARRIVERSQVMKEDDRNWPVPDRDGKQELEIVMGNQHISFITSKIGSLINVQSSHDPEGLRIFYYLVQVLPAAPL >Dexi5B01G0038890.1:cds pep primary_assembly:Fonio_CM05836:5B:37951068:37951624:1 gene:Dexi5B01G0038890 transcript:Dexi5B01G0038890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVVLRMDMHCVRCARRIRKFVKSLSGVQDLWVSPDTGMMVVSGSGLDASLLKWWIQSKTGRLVDVVNDGAAEEFPPETGQMVHLGPTPAYSSYSYPYPYAGYGGGGGWVPSQHAFPYMAAGDVRRQYMPNEAPVCFNDDNPNGCCTMQ >Dexi9A01G0024020.1:cds pep primary_assembly:Fonio_CM05836:9A:19841752:19844202:-1 gene:Dexi9A01G0024020 transcript:Dexi9A01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGILCMLLLHPMDAYLEQELGRRCRLLRFCWEDSPQDLRDDFLRTHGPSVRALVTVGASSGADAALIDALPRLEIIACYSVGFDGVDLARCRDRGVRVTNTPGVLTDDVADHAVGLAIAALRRIPLADSYVRAGQWKADGLGKYPLTTRFSDKRVGIIGLGRIGLAVAKRVEAFGCLVSYYQRRKLQGYPNYTYSPAAAELAANSDIRVVACSLNEQSRRIVSREVIEALGPSGVLVNVGRGAHVDEPELVAALAEGRLGAAGLDVFEDEPDVPEVLMALGNVVLAPHMGSGTRETRRAMAELVLGNLEAHVLRKPLLTPVA >Dexi2A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:2A:4806513:4814450:1 gene:Dexi2A01G0005130 transcript:Dexi2A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVWARGTGGPVAGLGAAAWAPADSFKYGAIEVMLNKSGFSWNDNTNMLQCEKTQYDTHCKYHPEAKGVYGIAFPYYNTLAAIYGSDIATGEGAEGLTEAVGNLGQELANEHGNHEEIEEDRMYRDTPVQSTDSASSSFKKRKINRKGKDYGSVSSDPLLDMLTEVQGDLEGVAKNVGKMAEAMEREAAIQEKDAD >Dexi1A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:1A:2869064:2869336:-1 gene:Dexi1A01G0003910 transcript:Dexi1A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRTGTGRDQRRAPGSRASEPENRRGNGRSRRYLTSGTGRGERDGDEERWFGGGGESEIGVSDSIRRRVAVKEEEQGKGGFGGRRGGL >Dexi1B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:1B:11301945:11304078:1 gene:Dexi1B01G0011200 transcript:Dexi1B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRGHSLDKTGSHSSYDNNSNLLHRTGSNTTADEMHESLANGAAGTPPLPNGRAAAAGARSRLARDGPPTELDTMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHIVEFVPTRQTLDNGTVMEVSTAIVVQVMSTSQRRDLAMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSDVTRKWLQYQKECVNQVLKAAMAINAQKGKTSLGDAIYRSITEDTFDPLEFLAGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIVSFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLGADAAAQNLTASEAARRMMESAALEAPTARKLDAKEELEKLNEAPASMTLFDFMGWHFDQDELMKRREDGTLDADAEAKLLKKAPSMAPTKKFSYVDSLSSGGMRSPSARH >Dexi3B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:3B:3201730:3203962:1 gene:Dexi3B01G0004750 transcript:Dexi3B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQSDYTEGDALDALELVRYCCRRMLMTHVDLIEKLLNYNTLEKTDTS >Dexi2B01G0027960.1:cds pep primary_assembly:Fonio_CM05836:2B:36695076:36696148:-1 gene:Dexi2B01G0027960 transcript:Dexi2B01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLLLPVAAILAAAIAVVPAAGYPWTVCDQSSNFSANSVYQANLDLAAAILPKNASTSPELFATAAVGAIPNQLWAMGLCRGDINGTGCLSCLTQAFIDLPNDCSYDRDGTIYYDPCILHYSTLPANDTSPTLGTYTINNDANVTSDPGRFMNILAALINATVEHAASNSTARLFATGEADLDDQEFPKVYSLAQCRPDWTPAQCRECFASIVAANLAAFGGYIGGRVLALNCTYRYETEPFFNGPAMVTIASPISPAPAPAPAPKPELAPAVPPTVGTPPDAGLLGDYCAANSSSLEPCRLPLFVEASATN >Dexi1A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:1A:22767634:22769974:-1 gene:Dexi1A01G0015650 transcript:Dexi1A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRAPSSAVLSLHDNGINGATTMDTPQPSPTTSRSVHATTAPTNAEGGGGWAPHPKSAEGSRAMPRGRWQQAAGQWPPAIGTKEHVKAPDPRRPDPHPHGAGSMEDEKARDLGEEEEQDGIRAATDFGAVRGCRHRSRLTGGGLAAGRKDSSTAHRRPPSLSIVRTPGPLPSIKPPPPPPLLAEVCSAVAGAPFASRSPPPWPRLHAPPLPKNAGEGVAIAGPVRPRVRDCTELGFTGMDLAAQPPFLPSSISPLCMDLRQQLGPLSFWQGRMAPHASQGGPCSVIRIPSPPFPLPSPSLSPQPLAQPLHPAYACASASAPVRCR >Dexi9A01G0033600.1:cds pep primary_assembly:Fonio_CM05836:9A:38496959:38497435:-1 gene:Dexi9A01G0033600 transcript:Dexi9A01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPASGKAAGVNRPPHPVWRRADVVRMWEELIDTDPGVAEEVFFAALLRDARAEHEQARRRAWMGPDEHGQVVVVDAGEEEERRRARVFQPWEPSAPGSALPPPSPVNRTVVLGLRAPPHLLASKHGMGMRTPSSATTAAAGTRLPSEHVKKPPAM >Dexi5B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:5B:22738895:22740114:-1 gene:Dexi5B01G0020530 transcript:Dexi5B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSEPRPPSSFIETNNSSSSRMTRWASASRDSPLTPFPKVKDLLLGVADLHSDQAVDAAEATMNGFQPSGMSFGCSSSDSEEDSDDSDEDGGGDIMSDKGPDEAAADPAERKKPNKRQKIVVLN >Dexi5B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:5B:8203890:8204228:1 gene:Dexi5B01G0011550 transcript:Dexi5B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRRPPMTASFGKPHRVVVLLDVDPCSRPRLQRLGLHHLALWAPTHPRWWSRVGAGGRRMGVGVLPSSSLVRTTDANRRCRWEDRDHDGERQQNGRIVGPQVGLFQKRC >DexiUA01G0027090.1:cds pep primary_assembly:Fonio_CM05836:UA:57830030:57832545:-1 gene:DexiUA01G0027090 transcript:DexiUA01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPMSRAAFASVLLGPHAAGASLVAARCVSSPAAASAAVTAYETTLLKTLAVGSKTRVDSSLVPLQIYMAGEKIVSPGAKRGLIPVVVPLSESPAGNLTSLLRWPTAPTGMEMPVVEVRKHGLWLMAKNVNQYIHRILVEADINADIADDLWSAVGEAGKNLYTKGDFKESQLEDLDVYLLKKVGLFPDVIERKTLRHLEKGDNVSALITGEFYSRDQFPGFGRPFVFNAEILKRVGRTSEAKDAARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVTEEGKREDLKKGKAPEQVSNNMLF >Dexi9A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:9A:9810742:9815571:1 gene:Dexi9A01G0014920 transcript:Dexi9A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAEDSSSSATCAASSADTAGAPDSPSPPSSRRAAPTLLLLASLAALIILSSGDDGTAHLLKDVSLGNPEVSFVPSPFAGQFCERVRLSGVPRNASMGLCQCEVGEWQVFQDGLWTAVNSPYGNKYVDVKLADKKSARFTLSIQEVCTFTQEFAAGSFYSDPTIIDPDLALVIGDEIVTNGEEKFILPHYLWICGVGSYAVHYFSTLVSSILENFGLSEEMHNPVSLFLMVTIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATFFVMQSTLDPRLAMVALASCWSVCALLTGKKVQRITTPKKKQSKVSSQLMLTQGSPSSPQVQFLSPSSKIGFGRTASRSSATQYGWSNLANGGLVSSALTKQVEPKQDEDYYSTFHNIEPRKYSKEEWKNFTQESTRKALAECTATPEFAKWVADNAHRLRVEKDDDLLEEETIESSSSSSEETGEEADGGPGLLRLWR >Dexi6A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:6A:12881509:12882952:1 gene:Dexi6A01G0010460 transcript:Dexi6A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGILFLIGSVINAAAVSIAMLIMGQMLLGFGVGFTTQAAPLYIAETSPARWRGAFTMGYHIFVCTGSVVATLVNYLTNPIPDWGWRISLGIAAVPASIVTVGALFVTDSPSSLVFRGKMDKARTSLQSIRGSGVNIEAEFKDMVSAVEAASRNEEGAFKRLGSKGYRACSVMMVAIPVFFQLTGMIVVFVFAPVLFRTVGFNSQKAILGSAIIYLVTLFAVVISTFVVDRFGRRFLFLVGGISMMLFQVLVSWILAEHLGKHNAVTMTRNYAVAVLVLMCLYTFSLGLSWDSLKWVILSEIHPMDTRSVGQAVSMSISFVISFVQAQVFTTLLCHLKFGIFIFFAGWVLAMTAFIAIFLPETKGVPLEAMQVVWAQHWYWRRFVVQDAKNELDSSG >Dexi5A01G0026060.1:cds pep primary_assembly:Fonio_CM05836:5A:29787140:29787839:1 gene:Dexi5A01G0026060 transcript:Dexi5A01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSVKMETLKTADETAASAAEEEEEETQPKPIDNSGSVGGGASSTAVAAGPSKPVCYQSSHRSDTCEAAGNVCVLGRTQTIQISSLEQEWKVKPYCRKYDAFVLSHVKEWALRPLSTGSDALRCT >Dexi5A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:5A:3790488:3791119:-1 gene:Dexi5A01G0004970 transcript:Dexi5A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMQEWREVADRVRNTLLPIAVGTRTSHFLALAAAQDPDAINLIKLACYEYDRFRAEHAMAGRMFVLYGMCLGIPDGDPRWQTWERHHATAVRNADVALLGLRSAAARLQALLDAYDTAMSFPSGSPARIAWIKEAQSLTRSAIHGVTTAAVMVRLMCRAVLREYIAVCMLLGR >Dexi4A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:4A:2720696:2721905:1 gene:Dexi4A01G0003830 transcript:Dexi4A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAASSSPSDSDPASSSSLSEEEPRLDRNSAAARRASRCARAPGEGGGGGGFAGVEVEGGFRGGIRRGRRDGPLEPVILGPGGGGGGGFTPEALELNSFGFAGGDSGALALSGFGLIACGGGGGGLGRGGGGAGRAAAPEGVEVEDLLGEAAVAGDDGGGAGPRRRTAAARHCRVGLAAARHRGQ >Dexi5B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:5B:3246842:3250150:-1 gene:Dexi5B01G0004770 transcript:Dexi5B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNLQCIGAFLLPIFAIAASFDPFHQNQQPMGPMGAGGQVPFVPHEYVRFADVKRHCRSVLSSATELTFDANRANALMPELTFVKGDWHQDADAVPLMPFDGTDIAGDNTTAGSPEPLPLASFTLTHVDVARRGKTSLNVSGVLGVAISRNGTGPEMGHYVSPELKVWPGSTELKILFEGVYTETDDGESVLCMVGDALLPERGSDVSNPWEWAENTGRDNFQPPVTKDDKILLVLRYPKTLTLTTRAVRGELTSTNAKSEDAYFDAVHILSQLGAYSNYKFGSEEKLVDTACSPHPYRDDILGDGRGFYKGDSFCGILDRFTSEDVLAVVPNWGCNSTDTTCKRLGPFETDKSIEVTDGVFTDVGVVMQDVRCEQRNVQNAGGESTARVSAVFRAVPPWQHKYTAGKRTGLSGMTLSAEGVWRASTGQLCMVGCLGVGEKACHSRVCLYVQTTFTATRRSITVGQITSIDTGEHFPLTMKRTVHPTELWNRFGGVNGGGGGGGAPMSMAYNYTKVKKAGEFLRRSEPFDLGTVLAKSLLSYPRLAAGNLAADEVSLSNLADDLTLHVAAVPDPFPRERFDRPFFQLEVLSLGPLVGRTSLQQNTPLAASSSSTWQPVSTSASSPPTTTTTTTESSLLNVSAELSLSGEAYANVSRLFLEGVYNPMNGRMYLIGCRSIQASRQSFSTLKDVEDDGMDCSIEMRIEYPPTTARWLINPTAKVLIASTRDPTGDPLHFNTTSLQTLPIMYSEQRQDILSRRSVEGILRIATLAAAIAAEFTQLMYIKSNTDVMPYVSLVMLGVQAVGYSVPLITGAEALFARIAAGDGAAAAAAAPSYEVDKSTLYWTIDCVIKILILAAFLLTLRLGQKVWRSRIRMLTRSPLEPGRVPSDRKVLLYSSGVHLVGFTVILLAHYVSVYGRPVQHDDGSYYMDARGGTHALREWAVTLEEYVGMAQDFFLLPQVIGNVVWRINCKPLKKSYYAGITAVRLLPHLYDYVKAPAINPYFAEEYEFVNTSLDFYSRLGDVAIPVVAVALAAAVYVQQRWNYKIISKTVKTQQKNLQRLGSRVYERLPSMSSANFEAELVSGVNEGVGLRRDTSLS >Dexi5A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:5A:6379029:6380088:1 gene:Dexi5A01G0008570 transcript:Dexi5A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEQRRTLNVTVEDLDTLDCPLCFSPFDAPIFQCKNGHAACEACCTRIHGLCSSCDEPIGEIRCQPLERAIACMLAPCAFAEHGCTRRLMYAEKAVHEALLCHYAPCACPFPGCGYAGLGLRDHIQGAHAGGDVVSFAGSAAVTLRRGTAFVVLLQETDARVFLLLNGGDVPSGRSLSVVCVGPCLAGNKSMEYELRVVGGGGGAGTSGSLSLSASGPVACTRLWMGYHPMEGFLFVPDAYWSSSGAVSVTVHVRKLNCERQGLTA >Dexi5A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:5A:8486038:8487204:1 gene:Dexi5A01G0011310 transcript:Dexi5A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALRFSSTLRVAEFVRCQFPNAAACQAHFRNLRHLNLEMVTISEGSLHAMLSSCPVLNSLILNYCSGFRCLMINSPKLKHVEMYIGRSETEITLEELTVVNAPCLEKLHHRAPYEDSMYISIISAPKLKILGRITEGIFRLELGTAVFNGLHDVRVAAIMRTVKVLSLRIEYLDLDVIINFMKCFPCMEKLYIKTYLMDMDTQNMRLHNSKDHLECLDLHLKKLRISYYHGTRSHVEFAKFFVLNTRVLESMVLDVEHRKMGYDWWIENQRRQLQLKKRASIGAQLSFTSDDCFNYLNDIHEFRSM >Dexi2A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:2A:1299287:1303583:1 gene:Dexi2A01G0001760 transcript:Dexi2A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGRGGDGAFTGADREQRRGRGGGGGRAAGDGGRGDVCRYGRGQLSGIRGKLVLLPIRTVKQLLFIPHHNLQRSKVAGHHFLSILSKGKGTIHAWVLMAEMVSSAVVNEAVSQIMSDLISRHEEKQKSKAKESLERLEMVHIKLDAALGTSEKWHITDASLLRWRKKLKRAAQECDDTLQKCKHRIIEEEQIEQEGRDAIEALLWSMK >Dexi6A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:6A:3835397:3838388:-1 gene:Dexi6A01G0004150 transcript:Dexi6A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGTMGSLLPKLGELLREEYRLHKGVKKDIRFLSRELTMMHAALCKVAEVPPDDLDPQLRLWAAQVRELSYDMEDIVDTFLVRVDNGGSSRGLATKIVGLLHKGRTRHQIAKEIRDIKDRVQEVADRRDRYKVDSILARSTATVDPRISALYKKATDLVGVDGARDELIRRLSSEGGDVNKALKVVSVAGPGGLGKTTLAKAVYDTLKEQFDCCAFVPLGRNPSTRKVLQDILLELNKQEQDMKLAAAALDERQLINQLIEFLKDKRYIVVLDDIWDLSTWEVVRNALEDNNCGSRIVATTRISAVAKEVGDVYNLEPLSNENSKKLFYTRIFGGEGASVVDHEDSQMDEAPDKILKKCGGVPLSIITIASLLVGKPRKEWSKVYDSIGFGSEDNRDVHNTRKILSFSYYDLPSHLKPCLLYLSLFPEDYNIEKNSLIWRWIAEGFVCEKQGVGQYEVGERYFNDLINRSMIEPTELWHNDLIVGCRVHDMVLDLIRSLSTDENFVAILDKEQDTLPESNVRRLAVQKRNIAEHNNPKASVGMPQVRSFSANTCTITVMPQLSSFRVLRVLAVESCDFTEKSYRLKHLGKLLHLRYLSLVNTPIDELPREVGSLKFLQGLDVRGTGVEELPSTVGELKQLICLRADGQTRVPAGMGNLTKLEELLLHSIDKSPSFVAELAELTEVRDLKLWFHDHELGERSQQGLVSSMCSLRKVHTVEIWCGYRPVNEWAHIGGDWEGWDPSSQLRLLSLISIFIPRVPSWMDTSRVPHLSHLHLGVELVEASDMDTLGRLPELRFLYLATARNTLLYRPAGSDDGRRPLFRNLRYFQTNMQLMFPRGAMPELLVLREFGVSVRSVADAAAAGRTGFDDVLGVGNLPLLERVHVLLYCAGARLWEVEEAEATLRRAIRMHPNSPASSVGISKFEEEEMILDDPLP >Dexi7B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:7B:18910556:18911432:1 gene:Dexi7B01G0012000 transcript:Dexi7B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSLLVQKMAKNTRQPRKEKDGGGARSGAQQQRSPWYQRAVEVLLLIWKQPASTATTTTKAAAASGVTSSASGKGGAKLRKSSSLNVAASFTRVCLCAPISSYNTESLYCFQAGDAAAPRRSYSYPRASSASASGCGVSPLVAPPPAAEHANACARGRASGGGKSGVVGERRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAASKRRRLGPSPLRRMALPESELEADDEPEATGNSVAAAVA >DexiUA01G0002660.1:cds pep primary_assembly:Fonio_CM05836:UA:5934774:5936895:-1 gene:DexiUA01G0002660 transcript:DexiUA01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSKSQELGLLPGTPVGTPLIDAHAGGVGVMESLPDEEFKTDSMVPELWLTEGGQSATGALLDYIIENHVAAPLLSNRASSQSMSIYELLNKMLLSMSHEQNSPFLSALTQDIHVLPDFHGNRSPMADPKSKGVIYGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNARGHKIDTLLACGGLAKNSMYIQEHADIIGCPIILPRENEPVLLGAAVLGAVAGKKFPGVHDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRTAMAQALQ >Dexi3A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:3A:16157331:16158636:1 gene:Dexi3A01G0020340 transcript:Dexi3A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIATRTMSLAVFAAVLVAVVVVSLAPVAANEEGQALTAMRQGLEDPDGVLSSWDPNLVNPCTWFHITCNADNRVTRIDLANMRLSGLLAPELGTLVDLEYMEMSGNSFQGSIPSEFGNLRSLISIDLYNNDLTGHLPATLGNLKSLRFLRIDHNRLTGPIPKELSELPHLDTVDFSSNDFCGTIPTSGPFENIPLTSFSNNPRLRSGPGAYDANC >Dexi4B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:4B:5752338:5755732:-1 gene:Dexi4B01G0007940 transcript:Dexi4B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGAAQLVDCAAGGGRGIPMDLKDSLSKFKQQQERCQSSLASIAASASKPKHRAQPVNAPSAPPRPSQPIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKAVFDSLRNNLKSKHDLKGKDQLLVLIRKFPEGLAVVEVKDAYPNVLEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFLGIELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVKPKAKPKKQREITKRTKLTNAHLPELFQNLNT >Dexi3B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:3B:30467:33133:-1 gene:Dexi3B01G0000020 transcript:Dexi3B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSTRTTQQLELAIPISSRQVRRRDAADDEQQADNTMVMGAKRWRSSLWSGLRAALACTIVGVVSLYAPDTVRRHITFPAFSYVVTVIIVTDATLGTALRGAVSAVQATLMGAAPSVVALWLAHRTGATESVLATSAVVALTAFAVALPESVGPVAKRIALGQIIIIYVARFQQGEQPTRGFALVHPANVVACTALGVAAALLAVLLPWPRMATREARDKTRAYRELAAERVRVMVHAIIVASSDEAACSRQRRWQMAACMSEAKRLASASAALLHRIKAIKEDVQWERGAVEESMVVEMPLKGMQMALAMVIKTMEEDKECYCKLLQEHHAEIMATRDQIRLALLLEPNKHCAGFCGSTAASSSSWVVGNKLLCSQQQHLAPCLFLFSLYQLRAAAAAAGLLLANNNAGDVNKKIAPAAQEPSSLDLDDDDSPPSSRAGAAGDAPDGQEEKAAVTTPTTTCGCGGGLQRLVAAAKCGLSLGLAVLLGLLFSNDHGFWSGLIVATTITAGRESTWAVATARAHGTALGSIYGALGCVLMLQQQLPFRLVALVPWMVLAAFLKRSRAYGPAGGVAAALSAIIIMGRRYDEPPMAFTMARLVETFIGISCAVVADVLFQPGARPSVKAREQLTRCIATLARCFTTSVVDDPPPPELLLQQQLALLGKSVAEADSEPTYLWLPPFPAACYEKIQDSLGRMAQLLQLYHQAARLVSCLQVHEQRRFSSLVSTSLGHCLRMLQAPPSSSLDHHQEAIKDDDLEAAGNAMMTSSSSCCCCKDDDDEEVVGAFLAHAGEAAGLLLDSDDDQGQTEGEEDTGLLLCSLGSMGQCMREIIREARQLEAHVIDLIKQPATSLTK >Dexi2B01G0026100.1:cds pep primary_assembly:Fonio_CM05836:2B:35247202:35248490:1 gene:Dexi2B01G0026100 transcript:Dexi2B01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATVGEIRRAQRADGPAAVLGIGTANPPTCMAQDDYPDYYFRVTNSEHLTDLKAKLTRICHNEELRTTIISGKKSGIRQRYMHLNEELLAAHPDFTDRKSPSLDARVDIASAAVPELATSAAAKAIADWGRPATEITHLIFSTYSGARAPSGDRRLASLLGLSPTVSRTMLNLHGCYGGGRSLQLAKELAENNRGARVLVACSEISLIAFYGPEGGCPDNILGQALFGDGSGAVIIGADPISNVERPLFEMALASQTTIPDTEDDISMEINKGGMEYHISNKVPRLLGCNVERCLIDAFDALGVRAKWNDLFWAIHPGGRAILDHIEEVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRAVKKEGEALPEWGVMMAFGPGITIETMVLHAPDSLDRN >Dexi3B01G0025090.1:cds pep primary_assembly:Fonio_CM05836:3B:19882047:19882346:-1 gene:Dexi3B01G0025090 transcript:Dexi3B01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSCPCPAALAPHAARPLSALRLAPSPAAARPRPAVLSCRAHAAASVSRGAAGRRFPGVAAMSSSTPPPGPKSEEEWQAILTPEQFNILRRKGTE >Dexi1B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:1B:5212009:5214971:-1 gene:Dexi1B01G0006320 transcript:Dexi1B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPPPPLPLLCSSSFVFLLLLLCRPLGANGRRSTPPAASPASPPGAPVGQPVQLAAAAVNGTVASANGAATGIPAAAAPPPLVIVEVERQPHLRRVLIAAIVLSSFAGIMIVLAALYAFLLRWRSRRGLVDSKDTQSIDTARIAFVPMLNSFNSYKTTKKSAATMMDYTSLEAATGKFSESSVLGVGGFGCVYKASFNGGFTAAVKRFGGEAHDCEKEFENELDLLGRIRHPNIVPLVGFCIHQENRFIVYELMDNGSLDSQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPIIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHNKGNIKLSGTMGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPMELGGTLRISPESPCTAQKHSPC >Dexi7A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:7A:29180973:29181293:1 gene:Dexi7A01G0020350 transcript:Dexi7A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFASQLKDMFFVLVERVTGYGWGESQDGAAAKTCGDVSPTEQEVTVVENIQIRARSAGADPFVNMGSKPQVN >Dexi2A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:2A:29985553:29986115:-1 gene:Dexi2A01G0018030 transcript:Dexi2A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYISVVAEGYKPCAAMVATQCIFAALTLWVKAAFNGGMSPMVFVPSPPSSSFPSPSSKLKEMRLGVKGFFLVFLAALFGATAKEPGLPRAAIRDVDTGHDHDKLDTSDHLCHGGSSWVST >Dexi4B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:4B:14661184:14663705:-1 gene:Dexi4B01G0014130 transcript:Dexi4B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Dexi3B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:3B:3942918:3947049:1 gene:Dexi3B01G0005790 transcript:Dexi3B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPPVALFDSLKVAKPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPIVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNFEWLRESNCPVVADITHALQQPAGRKLDGGGVASGGFRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELLAIARVTKGKKPFKIDLTPFQE >Dexi4A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:4A:7242978:7244200:1 gene:Dexi4A01G0009200 transcript:Dexi4A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARHQERRPLAVALAMALLLGLCRGDVVQFIFGDSLSDVGNNNYLTKSLARAATPWYGIDFGRGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDADTIFKNGVNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAYMREKIGKAAADKFFSEGYYVVAIGANDFINNYLLPVYSDSWTYNGDTFVKYMVNRLEAQLKLLHSLGARRLTFFGLGPMGCIPLQRYLTSSGGCQDSTNKLAKSFNTQAGAVIERLSSSLPNATFRFGEAFDYFQDVIDRPYMYGFNNSKAPCCTLGRIRPTLTCTPLSTLCKDRSKYVFWDEYHPTDKANELIALETLRKLNITIVNNGTSS >Dexi1B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:1B:4307498:4308075:-1 gene:Dexi1B01G0005260 transcript:Dexi1B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEDEGRERRRREKKDSKRSSREVREEEEDKHKKRKKSKLSDRDKGKERDSKERHSKEKEKSKKKNKDA >DexiUA01G0006990.1:cds pep primary_assembly:Fonio_CM05836:UA:13433713:13436595:-1 gene:DexiUA01G0006990 transcript:DexiUA01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARETAEDAVAAGAGAEAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRYSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVVNCRQIRCLTCFHCSGVIGFMLCSTEGPAVDFQHPVFNIEEDEHSSKSKGPLKFYNSEIHSASFCLPSFAKRVIESKAN >Dexi1B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:1B:14697580:14699439:-1 gene:Dexi1B01G0012220 transcript:Dexi1B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTHTSRPTFISIWKKGSVEQYSPVPYVVTLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIELIYVTLFLLYSTGATRRKVLLLLAAEFAFVAAVAALVLNLAHTHERRSMIVGILCVVLCTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLANGICWTTYALIRFDVYITIPNGLGVLLATVQLILYTIYYKSTQQIIEARKRKTDQVAMTEIVVDGKASNHADHY >Dexi3B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:3B:1885932:1886759:-1 gene:Dexi3B01G0002830 transcript:Dexi3B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHHLLRPLLRGFHASTQALARAEPHEFSKPSGFLGSWEPAGEPREAWAQLDRLRKGYARDVRQLRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQALMKERAEKLESWRKKEKLREQKKAEQKELLRKKSSVWLSEDKLEDQILDAIRNTTPL >DexiUA01G0016640.1:cds pep primary_assembly:Fonio_CM05836:UA:35441824:35444446:1 gene:DexiUA01G0016640 transcript:DexiUA01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYEKKTTPHWMYDLGRKRNFAQVVEVVLKLGLGFAKRCGVTSDVSTCDKSIAADEGNLQLPSVVIPFWLLCCGQVFGNDRKYWFIPAYSEEDLRRIPALQGLDYPVRSDFGGQEL >Dexi6A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:6A:8777942:8788077:1 gene:Dexi6A01G0008380 transcript:Dexi6A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSINQSGYAVQHVGAFVGSGVHSRILEKSSSNDLSDPQMSGDIGLPGSNMQLTYGTVAAKEFMNLPPYGNSPEKPLQQESICHPPQGTPSNYITILFLSFIHVSQAEGLVVRVVSSVDKKLEVKPHFLEIFKEENYPAEFPYKFKAILLFQRIDGVEVCIFGMYVQEFGAECAFPNQRWVYLSYLDSVKYFRPEIETVSGEALRTFVYHEILISYLQYCKQRGFTSCYIWACPPFKGEDYIMYCHPEIQKTPKSDKLREWYLSMLRKATNEGIVVELTNLYEHFFNPKTDCKAKVTAARLPYFDGDYWPGAAEDIINQIFLPENGRNLQKKGKLKKTITKRDLKAARLTDLTGSSSKDAMLMQNVNSFLKYLQDRCYNVEEQREGKERHPSNSTDFHTLHPIETDGVPKDTKDRDGILEIDIDPYHY >Dexi5B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:5B:684045:687015:-1 gene:Dexi5B01G0001060 transcript:Dexi5B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPNAFGAPPRPPQPPPWQWQQQLPPQPPPAAVSFWQRDHVRDHVKKLQETIEASTALINELEEIAASKNPSDATAQESDSSSAKLPSGSGASSEDRQFHFVELSRSMGVSQDAHEAMAIDAANYICHQLHHLLAPISSAISQSGPWEERSAMVRLTQKLQKAKRNKLWRKRKRKHVAELFQKEADEWRAKQIAKDIAKRKLELALMVEKLQELRSIRVQRMKKQGHFLPEEDDKYLERVKAAVEEEERQAATAARTDAVKDAILTAEESRKAPQYENPVKDGSEQFRSKPTEVNIQGDVGISEKNDQASQKIEHKGHKVEGKGHEHHDPISNLPFEFYHYYHGSSYDMGTLIEPYTWALGSSTPSI >Dexi1B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:1B:12297862:12300371:-1 gene:Dexi1B01G0011860 transcript:Dexi1B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRAASSLLLLLLLAHLSLSCLLAGFPAAATARATEASSRAHLRAALDAAARGVEQALTTMSATASAPASLGDGDDDPFSTPLAAAAREDCEELLEEALALLAGASAPGATRADALTWLSAALTNHDTCADGLTESGVVVSGTTSHATRHHHHHHAHIAAARAAVRDSLAMYYASSATTGTASEAAGCGCKNVTKREGTCGGFPRWLPARDRRLLLLSTLAETADFVVAKDGTGTHATIAGAVKAAPECSERRTVLYVKAGRYDENVKVGMKKTNLVFVGDGKGITVVAGTRCVAGSNYTTFRTATFAASGFGFMMRDMTVENAAGPAGHQAVALRVSADRAVVHRCAIAGYQDTLYAHSNRQFFRDCDVYGTVDVVFGNAAAVLQRCTLWSRAPLPGQKNTVTAQDRNAPCQLTGIVLHACRLLPAPDLAAAPSPSLMAAPEKKGTPTYTYLGRPWRPYSRVVVMMSYIGAHVAPRGWLEWNASAYALDTLYYGEYRNSGPGAGVAGRVAWPGHRVITSDAEAESFTVAQFIAGASWLPATGVSFVAGLEL >Dexi1A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:1A:5223132:5225361:1 gene:Dexi1A01G0006800 transcript:Dexi1A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLGRNNSVEPKAAEFAALLVPESALEVQRLLVGLVEAMLSLHHSIFTSAGEKDLINRIMGNSKSETVLENKDDGESDDDNEDGDDEDAENEEEDDGGGEEGSDEEGNEEEDDDEDDPAANGEGGSDDDDDGGEDEEEDDDDDGDDDNEEEEEEEEEDDDDVPQQPTKKEEEEDDDDVPQPPTKKRK >DexiUA01G0011220.1:cds pep primary_assembly:Fonio_CM05836:UA:22583898:22585040:1 gene:DexiUA01G0011220 transcript:DexiUA01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKARPVALDMAGGRNRDDPLVIGRVVGDVINPFVRTTNLRVTYGTRIISNGCELKPSMVTHYPRVEIGGLDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAALGQEVTLYENPRPTMGIHRFVFVLFQQMGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAVP >Dexi9A01G0043660.1:cds pep primary_assembly:Fonio_CM05836:9A:47062430:47064810:-1 gene:Dexi9A01G0043660 transcript:Dexi9A01G0043660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVCPCSIMASELVSDITVRIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIDIQIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNMIYKIDVFLSSSIFRSWKDSIIALGTTKAHLPWAEDLKLVSHCIDSVASKASIDISKVDWSYTYNRKKLPTENGHDSPWNGVKQQQSVPKDWWVEDLTDLDIDAYKQVITAIKAKGMVPKDAIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSIVEEFVRQDCKNAQKHNGGEVNDHVSAPSASMITVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSGNSRPVHDGLYRAIDMYLKEHPCLGKSDKKRLCALMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAATIRADSIGIGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLAKCDTAGTDTEKNHLGNKGANGRVKGGATPKKAPGKMTSSKGQAGERSSSDSSDSAILPSQEHSKRTPARSTTKSAAA >Dexi9B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:9B:2014139:2014861:1 gene:Dexi9B01G0003530 transcript:Dexi9B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNPSLQQLAAAAAEVAMKASEPPRVRVVRILVHDADATDSSSSEDEAPPPPPRRARVGSSSVGAKSRVMEAAGANPAVRFRGVRRRPWGRWAAEIRDPHIRRRLWLGTFNTAEEAAAAYDAANIRLRGVSAHTNFPSARYSPAPEPAKPVISIIPEPGKVITLPLVPVKPIVPLQVKKEVASCDGQVEGGSSEAKVFAPKPIWEMIPRKRKKRSGCGNGTGLLGIHGASVCVEEVGGA >Dexi1A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:1A:3878526:3886600:-1 gene:Dexi1A01G0005300 transcript:Dexi1A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNITNILEKMTGKDKDYRYMATSDLLSELNKESFKADQDIEPKLVITVLQQLEDASGDVSGLAVKCLAPLVKKVGEEKVVDMTNKLCDKLINGKEQHRDTASIALKTIIAEVTTPSLAEKILLALAPQLIKGVNTLGSNHATVRKKSVSCIASLAPSLSDDLLAKATLQVVQLLKNRSAKSDIIRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYVSYDPNFTDSMEEDTDEEGQEEDDDDESANEYTDDEDASWKVRRASAKCLSAIIVSHPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINKQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALSDKSSTSNLKIEALVFTRLVMASHSPSVFHPYIQALSAPILSAIGDRYYKVTAEALRVCGELVRVLRPNLEASAVDFRPYIGPIYNAIMGRLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGSQIGSSSYETIIAELSALISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDALLDSLISAAKPSQSGGLAKQALSSIAKCIAVLCLAAGDQKCAATIEMLKGILKDDSASNSAKQHMALLCLGEIGRRKDLSNHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQTVDHNGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPKKLIPALKVRTSSPTANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVIKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSIVEPIEKTISHKPKADAVKQEVDRNEDMIRSALRAISSLSHISGSDYSTRFKNLMNKIVTTPALTEKYSSVRSE >Dexi5A01G0021810.1:cds pep primary_assembly:Fonio_CM05836:5A:25660847:25662406:1 gene:Dexi5A01G0021810 transcript:Dexi5A01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPDDSTVAPPPQGCCCACASVLCKILKVLLHVAIVAILFVLSVSFSLPETVRSFGARIALQSLCYVAVACLYIFTSSDRAERIVCGVFLGILAVIIVAAASSVKGQTAPVIVMFVCNLVALLACLRRIRARGSKSPADADPEAPHTPLKKSEAAAVVCPAPVQLQMQTTPSFRIEDLPRKFTYDEIRAVTGDFGTLVGRGGSAEVFRGLLDDGMTAVAVKRITSYKPVGEEDFLREISIVANVHHRSLVRLLGYCLLQGGPGHGGGQYLVYPFFENGSLDWWLFNGGEERRRLLTWPARRRIAGDVARALAYLHHECRRQILHLDIKPANILLDGGFRAHVSDFGVSMSIAQDLTSVDTCGRGTPGYMAPEIWFSSLSTKSDVYSYGMTLLELVGGRRGYQAGGSETPDQLARVVRQKMARGELMDGVVDAAMAASVDEGEVEVVVKVALCCVQHQRELRPSMVTVVDMLEGRVAVDLPPESRPPPVVNFTEPLSSPVPLNGGTD >Dexi9A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:9A:5959931:5960356:-1 gene:Dexi9A01G0009850 transcript:Dexi9A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPMKLSLAFILLSGLAVFGEVVAAAGRTSKVDCSLVRCIQGGYITCDNYPYQKLDGCICGCAPKNGKNCVLHLQSGSDQQGDTTEQR >Dexi2A01G0037620.1:cds pep primary_assembly:Fonio_CM05836:2A:47131722:47132973:1 gene:Dexi2A01G0037620 transcript:Dexi2A01G0037620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCFPCLGGAKKKKRPPEKPQIPPASEKPKLGPSSSVTKQDSLEVNKDIILSNGSDHHQIAAQTFTFRDLAVATRNFRPDCLLGEGGFGRVYKGYLDCVNQNKVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGEQRLLVYEYMPLGSLEDHLHDSCGDSEGSRVFA >Dexi1A01G0030370.1:cds pep primary_assembly:Fonio_CM05836:1A:35723400:35725827:-1 gene:Dexi1A01G0030370 transcript:Dexi1A01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKQVVTKVLEEAGYAKDYSFSVEDFIQIIDHPGLKMEVEVPID >Dexi2B01G0030420.1:cds pep primary_assembly:Fonio_CM05836:2B:38705265:38705716:1 gene:Dexi2B01G0030420 transcript:Dexi2B01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSNNLLIALLVALAISLQPSAAFEIRIPPFPCIPGLPDIWFIPCYNATPAPPMKEITECWTPVMKMMPCAGFLTNASITEASSDCCKGFKSVPDGGAAICYCHIGNGDIAKLLPGTLNFTRLYSLPKVCHDIVGLEAYAHCDLVY >Dexi3B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:3B:2445390:2446010:-1 gene:Dexi3B01G0003620 transcript:Dexi3B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNGCGCFCGCICSCIGSLIGVAFIVLIYWAIFQPHHIRATVESATLTNLTVVSSTTAAVSYNLTVTLSFYNPSLRVNIYYDAIAAELRFRDAVLGPAANSTSPSSEFLQRRKSSDDVRLQFGYGGGVAVAGDVGGELEKEIKGGGPVGLELDVDLRVRYVLRIFKVRQKPRVWCQLSIPVKTEGPGPGVGGAVAPGDRCTVKY >Dexi4A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:4A:8762744:8771614:-1 gene:Dexi4A01G0010890 transcript:Dexi4A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGDGRGQRQRLPGSHRRDHARAHDASGRWWQICASHACREGGEVWWRWLAAVHGRSGAGGGRSSKASIFIQSHVRAHVELMWLLSSSTPIGWLNMSGISKRSHASLEFDNPERPECRNLLSINQIITGKTKEEVVSECQYMNWGAFKTTLTDALIEHLHPVKLAGVHWHGFTTFQNLRDSLAHKRANRRWVDGNEAIAVHLNPDHFVSNAYDDGQFNTDMDWRLISLAHYEQMRVNVGANDNAWPNYQCQNNKYGPLDLEQHEVKGDLRCRRRLVFPPEVAHAIGAEPFVLLQYKRWPFCYPQQHRLELLIVVEAIEAAEAAAANALEGVLHAGNFEEEAAAAGDHDGAEEAEGPAGGEAAGDGAAPAGGEAAVDGAAPAGGEAAADGAEPAGGEAAVDGAAPAGGEAADE >Dexi8A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:8A:25120155:25122076:-1 gene:Dexi8A01G0014640 transcript:Dexi8A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLARAFYELEERLPKMQEKVRNELKNKGYVEVDDEYHKRKAEVQAVILIRTRTFVPVKFCRRSMAGGEGLLRPLATASEAAAALSTGEVRTMKPWPTRVPGDHQPCSLKECAAAGRDDEGGGEDASAAGSAPMEVAGTEASAAGEPDVDAGDPIYPEPKPPTQWPSPYHELRESNPSLVPLTGEEMDDERRELYATAKEFQRMEEDDAKLREWVRNEMETKRYVEMDDDWVRRRAEVSAIAEEAMKKARALLINDTEEEDSDDENDCGGGDNDDDDDGEFYF >Dexi5B01G0027900.1:cds pep primary_assembly:Fonio_CM05836:5B:29402443:29408549:-1 gene:Dexi5B01G0027900 transcript:Dexi5B01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEQGGGGPPQRPPVPAASTQPPIKKLVRQLDFNSAALAGNPAMAAAAAAVSRALQPRSLPVALQQHARAAVPMGVPQQLHPRLLPVMRPHQVVGHVPLPRHAVPVAVPVPQLRPVPPQSVQRPPVAVPLKPESPKPRPRPFDGKDSTPTKKKCCNCKNSRCLKLYCECFASGAHCDGCNCTNCFNNPENEVARREAIDATLERNPDAFRPKIGSSPHANRNNEVSSDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERRSLFQGDHKNSINMQQATNAAVNGAIGATGFSSPSTSRKRKHIDPPFDHSNKEHVAQRNFHLPQKNAVSDGSVPITQSVHPPTLGPFKVTYRPLLADIVQPEDIKELCKLLVVASGEAANAYTGRKTEEELVAKKEDERGEEKQDDKAGSHESTNHDREGNNQDTDKKASIDDHSSRGTRMGKAVLEESNHSCADDQKSNRPMSPGTLALLCDEQNAMFTASQNAVAQQTVAANQNQSELYAEQERVVLTEFRDCLSKIVTCGKMKVQRYSMAIKPETSGHPGQVNGVSRVPYPQVDVPSVVKTFPQGSSSHPVAGKPVSGHLDKN >Dexi8B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:8B:484960:486201:1 gene:Dexi8B01G0000720 transcript:Dexi8B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRTSLRTPTCTVPGLLVGLTKLCKLTKVCAAPTLDGEAKSRLGTSCGGYDQRLLLIRLFEAMGCLKSAYIKLQRAHFPYYNPAKIAFADEIITSELDSVTALQSLCSSSCGIGSLVNERWSLVQELEAEARKRDSDIVLLKKELERLKRENSRLNKQIKSGKPSSVKHAVRKELVTATPSALLEMFKVASASVHDFAETIANLLISLDGCGVSNADAAEQPWIRRYTVEAHLWRTLMVGASTDSEEEEREVFDGIKRFCDPMDALMQYSSSSFSVFCRSRYLAAVPSEAEAAMFGDKLEQRALVWRGGHPRTWFYRAFATMARSAWALRLLMARCCLERHGDVRMFYARRGSQYAEEWMQSVAAPPASDAHLGGVAFTLAPGLKVGDTVVQCRVLLVSHHQESFIQQRRR >Dexi6A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:6A:27477845:27479370:1 gene:Dexi6A01G0019830 transcript:Dexi6A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRPDHRSTELPVCPLRATSTKLASKMASMIGSSKVVALGALIFSLLFSSGSFAVVADFNASHITADPYWVPARATWYGAPTGAGPMDNGGACGFKNVNLPPFSSMTSCGNQPLFKDGKGCGSCYQVHYLIRCLKHPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMALPGRNDELRHAGIIDIQFKRVPCYYPGQMVTFHIDKGSNPVYFAVLVEFEDGDGDVVQVDLMEANSWVWTPMRESWGSIWRLDSKHRLTAPFSLRITNESGRILVANQVIPANWAPNTYYRSNIQYYDYYQEQEGPGVGLVISSAAGLDMKILGIIGLISLVLLYSLHEIEVPLAAW >Dexi1A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:1A:7621459:7625719:-1 gene:Dexi1A01G0009380 transcript:Dexi1A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARSPGSSRHPRALTLLAALLLPPLLLLAFSTPTSAAAAAAASTAAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTYYTLAFFEKLNMYSNASLKSLFNSYDPSMLMSTAYYRMDLYGRPLNEVPVTNFFGSVMKTLHTDSAYTGFLAAHDDETAISIIDDQHDHFMLKNEAGARASNLEKEAQLTPHGWTQALLEQLEGKNTDTVVLYGLGTMGILLALSTWLSI >Dexi6B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:6B:3108285:3111994:-1 gene:Dexi6B01G0003810 transcript:Dexi6B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERKGGGEEEAATAAAARAAEQARELQDEAAALLTRTRAEEEALRRRAAALQGELRRLRKAAAAVAANAPDSDKVEEDLDRATCLITDGDVASLLPSKTHGAFLKMFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFPVILLFLRQWLWNGCFPALPVQLYQSWLLFLYTTLALRENILRVNGSDIRPWWILHHYCAMLMALISLTWEIKGQSQPDCARKQAKRMDVVWGETAGVEGQLLLLCPLLFLLQVWGFEGYVGFLLLRTAHTGVIPEWQVVVCGILLIAMAIGNFANTVDTLMVKSRFKAKMKKSRGKRDLDTCPSPTGSSPTDSAAKA >Dexi4A01G0023150.1:cds pep primary_assembly:Fonio_CM05836:4A:26278865:26280751:1 gene:Dexi4A01G0023150 transcript:Dexi4A01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGGVRAQGVNPDCPNAANPFHRCAEYCPVPPPRAVTKPSSPRPAPRAAAQNGTRNGDGGKLVVPAAAADDLGVEEAGAERAINSPPPSPPVPRHAAQNGTAHRGEVECEITAADDSDEEAGERVEEHAEVTGGARRSAPPPVKGDVARGERQWQGVNPDCPNAANPFHRCAEYCPVPPPRGVTKHPTPQQRGRDGSTHSDPGDLQPRPRRRDKGGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKMAEAGRSSDGGKSPLSLFTRHSRRSSSSSEEGSVKSAGSRKVDPKCPNAANPFHECGEHCAAKMQQVEPRKGVSIMSPRKKGGKDLAVIQNWKVDPRCPNASNPFHMCAQYCFDHVNETTQTSATKSDKTKSKAVSKEVERGEVNPDCVNALNPYHKCGEHCKRTR >Dexi2B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:2B:28382052:28382393:-1 gene:Dexi2B01G0018000 transcript:Dexi2B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGDEKLRWRRDAWGRPAAERIGDFGDWRFEGASVQYKIRIDAPALYHQFRGASCRFPFRRQTPTTRAAAKGPLPVRRAALGAARAADDGGRAVGGPVPIGHCPVAQWAVA >Dexi9A01G0039750.1:cds pep primary_assembly:Fonio_CM05836:9A:43605419:43608232:1 gene:Dexi9A01G0039750 transcript:Dexi9A01G0039750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSQSQSQGRGDWPAIGIDLGTTYSCVARLIGRRFSDDCVQQDMASWPFKVVAGRDDRPMILVRSRGEERQFAPEQISAMVLAKMKETAEAYLGVKVKNAVITVPDYFNNSQRKATMDAGTIAGLNVMRIIMEPTAAAIAYGLHKKPVSDQGRTVLVFDLGGGTLDVSLLNTDPGKEIGAPLFDVKAIASDTHLGGSDFDNAMVNYFVRRFIREHKNTDIRSNQKAIQRLRTAWERAKRILSSRTQTTIEVDALHAGIDFHQTITRTLFEELNKNLFRKCLVALKQCLRDAKLDMSSVHDVVLVGGSTRIPKVQNMIKEFFDGKQLCRNINPDEAIAYGAAVDAASFIRESKMEPPLTMLLDVTPLSLGVEVDFGDMTVLIPRNTAIPTRKEQVFSTYHDDQIDVVIQVYEGESAKAAENNLLGKFVLTGIIPAPRGVPNINVTFDIDQNGVLNASVNDMATGLENKITITNENVGLSMEEIERMAQEAER >Dexi1A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:1A:25339841:25340083:-1 gene:Dexi1A01G0018060 transcript:Dexi1A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMGGDFVEAYVLKNAYKEKLRRMEAAEEKKKSKRRSGEEKKALGGSRGGGLLLGLIKKKVHPKAAAETSNSSGTSSS >Dexi4A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:4A:23737624:23741430:1 gene:Dexi4A01G0019940 transcript:Dexi4A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLMEFFLRGPAEAGEEERLLKDLPGSSDKEGEDQDDTKRDSDETTKEDCENGGSVIKATVSGEDLNDRHGNDSNSQYAESDGACKPGPEMGSKGSNGECADEVLEMGSKSSNDDYSESSEEMPRIGTKSNINDNIECVYRSSPRAVLDISVSGSVDSDDSASVEQSAESNHNLHWRNLISGLILRRKKSMGRAVTFPQRSKSKGLRGYLERMRSGRHQMDCSAIAPEILPEIGKWRPSWRSFDYEELCTATDRFSSEKLIGKGGHAEVYKGQLPDGQFVAVKRLTKGGNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVVGGLHLVLQFSPHGSLASVLHGTKEPLKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDQLTHHVVYPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDANNIKELVDPFLGNEYDPEEMVYILAVASLCIHHSSTSRPSMKSVVCFLKGDRKSLELVRRPKIVKPLMFDSCDSEDYTRSSYLNDLNRHKQLALEQ >Dexi9A01G0043160.1:cds pep primary_assembly:Fonio_CM05836:9A:46674893:46676923:-1 gene:Dexi9A01G0043160 transcript:Dexi9A01G0043160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTATPTRPPHPPAASPSPSPSSLRLWRSAALRNVRNQWSHLYAAKEQWVAAAADGRSPASEIVNAHITRRDLPATDLGVLKDMPGILDKASSKLERREEQYRDMLLSCYKNMVRALCRLVKASHSMRCFSKVSPNGSVAQFSERQDDLNDSGDGGGAPVFKWFSILEFESLAQELVEIFVSDLKLKRLLVLELVSITFKEGAEHQTSLDWGDELYDGESNELQSIGIQSGETCPLSENWCGDISGSQRPGNHPSHEVLQVYLTAWLANVNIKMSRVDEIFELVEEEMNIKFS >Dexi5B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:5B:10046357:10047122:-1 gene:Dexi5B01G0013800 transcript:Dexi5B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSCAAHGALLLLIATVAFFVASGGSGVIGVAEARYYGHGQCSSVSALVSEQLYNSLFLHKDDPACPAKGFYTYSSFIQAARTFPKFAATGDINTRKREIAAFFAQISHETTGGWATAPDGPYSWGLCYKEEISPASDYCDATDKEWPCYPGKSYHGRGPIQLSWNFNYGPAGRALGFDGLRNPEVDFQTHRRARTDGLHTGRASSAW >Dexi5A01G0028410.1:cds pep primary_assembly:Fonio_CM05836:5A:31705748:31706315:1 gene:Dexi5A01G0028410 transcript:Dexi5A01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGPPPRLLLGRLAPICTERLPSYSVDLTGYDGMDPGGAARIVSPSFNVTIRMNNTCVDSARVAVVYSGVALGWARVEPMDCAEGRWTKDFEVVARGGGVGLSRRLRDRMASDWRSSGALELDVSVVMYRVGGQIGTDIPRTFDGKVKMIRDPKSDRLIS >Dexi4A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:4A:16247080:16250850:-1 gene:Dexi4A01G0014290 transcript:Dexi4A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAAALRGLVGIAAAGGRRVRACGRRWDAGWHGFRTGASGGRAAAPRGSSPSRSAATRRGASAAAGRKGWVSGGFERLVNNFVGSEDGKLTCGYSSFIGRRSTMEDCYDIKLTKVDGHPVNLFGVFDGRAFLQTDGDILETISSSFRDDGSTGLAAVLIGKHLYVANVGDSRAVASKASKAVPLSKDHKPNRKDERKRIEEAGGVVIWDDTWRVGGILAMSRAFGNRLLKQYVKAEPDIQEEEVNSDLEYLILATDGLWDVVRNEDAVALLKAEDGPEAAAVKLTEIAYARRSQDNITCIVVQFHHDK >Dexi7A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:7A:710023:710328:1 gene:Dexi7A01G0000400 transcript:Dexi7A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALCLALVVVVSAAATTAAPAGQEAVKPMCQVPNNVTACVRQIQDLKAKNQLGTKLLSPDCCRQLTEQFGCACVLRNALKDANLLDIQEPFCVKGTACE >Dexi6A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:6A:23875197:23875534:1 gene:Dexi6A01G0016010 transcript:Dexi6A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVATFRVGARAKRRPLPGYDVAGGHGVEKAARGGQVASPAAPERGEERVPGDDVALRHRVEHPARRGNIAGAGKLADALVVTDEAASGESGGVL >Dexi4B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:4B:6757747:6759249:-1 gene:Dexi4B01G0009400 transcript:Dexi4B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEVSDGLLGTFVPIAVYWLYSGLYIVLDGLGMDDYRLHPKGDEETKNIVSKWTVFKGVLVQQAFQIAVSTLLFTVIGDESGTVRKQPSALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLVSGMTPRVGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKGGGFEARPVRLNKAQQTKTD >DexiUA01G0024150.1:cds pep primary_assembly:Fonio_CM05836:UA:49780142:49785729:1 gene:DexiUA01G0024150 transcript:DexiUA01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWLDSSYLSGSNQSWIEQLYEDFLTDPDSVDANWRSMFQQLPGTGVKPDQFHSKTRDYFRRLAKDASRYSSAISDPDTNAKQVKVLQLINAYRFRGHQHANLDPLGLWKQDRVADLDPAYHDLTEADFQESFNVGSFAIGKDTMKLGELIDALKQTYCGSIGAEYMHITSTEEKRWIQQRIESVAGHANFSAEEKKRFLSELTAAEGLERYLGAKFPGAKRFSLEGGDALVPMLKELIRHAGKSGTREVVLGMAHRGRLNVLVNVLGKKPQDLFDEFAGKHKEHLGTGDVKYHMGFSSDIETEGGLVHLALAFNPSHLEIVSPVVIGSVRARLDRLDEPSSNKVLPITIHGDAAVTGQGVVQETLNMSKARGYEVGGTVRIVINNQVGFTTSNPLDARSTPYCTDIGKMVQAPIFHVNADDPEAVAFVTRLALDYRNTFKRDVFIDLFCYRRHGHNEADEPSATQPLMYQKIKKHPTPRKIYADKLEGDNVTTLEDATEMVNLYRDALDAGECVVKELRPMNMHSFTWSPYLNHEWDESYPNKVEMKRLQELAKRISTVPDAIEMQSRVAKIYADRQSMAAGEKLFDWGGAETLAYATLVDEGIPVRLSGEDAGRGTFFHRHAVVHNQTNGSTYTPLQHVHNGQGQFKVWDSVLSEEAVLAFEYGYATAEPRTLTIWEAQFGDFANGAQVVIDQFISSGEQKWGRMCGLVMLLPHGYEGQGPEHSSARLERYLQLCAEQNMQVCVPSTPAQVYHMLRRQALRGMRRPLVVMSPKSLLRHPLAVSSLDELANGTFLPAIGEVDELDPQAVKRVVMCSGKVYYDLLEQRRKNDQKDVAIVRIEQLYPFPHQAVQEALKPYAHVHDFVWCQEEPLNQGAWSPGLRLSGGRVYVRSPEAATRSDATVATWHKKPGDSVKRDEVLVEIETDKVVLEVPASADGILDAVLEDEGTTVTSRQILGRLREGNSAGKESSAKSDEKASTPAQRQQASLEEQTNDALSPAIRRLLAEHNLDAAAIKGTGVGGRLTREDIEKHLAKAPAQTEAKAPAAAAAPAAQPALGARSEKRVPMTRLRKRVAERLLEAKNSTAMLTTFNEVNMKPIMDLRKQYGDAFEKRHGIRLGFMSFYVKAVVEALKRYPEVNASIDGDDVVYHNYFDVSMAVSTPRGLVTPVLRDVDTLGMADIEKKIKELAVKGRDGKLTVDDLTGGNFTITNGGVFGSLMSTPIINPPQSAILGRDGKLTVDDLTGGNFTITNGGVFGSLMSTPIINPPQSAILGMHAIKDRPMAVDGKVEILPMMYLALSYDHRLIDGRESVGFLVAIKELLEDPTRLLLDAKQLFARYGLPAPVGYACTTPREAEEAASKIGSGPWVVKCQVHAGGRGKAGGVKVVKSKEEIRAFAEHWLGKRLVTYQTDANGQPVNQILVEAATDIAKELYLGAVVDRSSRRVVFMASTEGGVEIEKVAEETPHLIHKVAIDPLAGPMPYQGRELAFKLGLEGKLVQQFTKIFMGLATIFLERDLALIEINPLVITTQGDLICLDGKLGADGNALFRQPDLREMRDQSQEDPREAQAAQWELNYVALDGNIGCMVNGAGLAMGTMDIVKLHGGEPANFLDVGGGATKERVTEAFKIILSDDNVKAVLVNIFGGIVRCDLIADGIIGAVEEVGVNVPVVVRLEGNNAELGAKKLADSGLNIIAAKSLTDAAQQVVAAVEGK >Dexi3A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:3A:9163268:9164468:-1 gene:Dexi3A01G0012610 transcript:Dexi3A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYQLFLEAKRQEQEHEHLLQSHGGSALPPPPVYTGTALPLGQSSSVPPPAAKEPPKSGRSATEAQSLKIHSEAERRRRERINAHLAALRRMIPDPDAWQMDKATLLARVVRQLKDLKSQAAETRQPLPIPAEANDITIDCYTGVDTAGYGRPATYIRASISCDDRPGLLADLAGALRGLGMRPLGADMASLGGRARCELMLCMEEGDTASGGRAKVLKEGVRQVLANAAFPETEYGCNYRSRRQRVLESHCALGRELDLGVQGWQFWRSN >Dexi3B01G0022370.1:cds pep primary_assembly:Fonio_CM05836:3B:17188220:17191509:1 gene:Dexi3B01G0022370 transcript:Dexi3B01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSCPRDWSHLPSCRLSAPRATRRHWLPPPAQNQDPPEASRTLIQRPRSPLPNVLDPTTSAIKPPSRFFLPSSLFVREKEEMAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTSDMKLWPFKVVAGPADKPMIVVNYKGEEKQFSAEEISSMVLNKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLGADDKKRIEEAVDGAISWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDMPAGGSGGAGPKIEEVD >Dexi7A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:7A:25539940:25542058:-1 gene:Dexi7A01G0015710 transcript:Dexi7A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHTAFPSSWSPYAGTFALGAHHLSPAAAADLHLPSEHVPDHAWNQSTHTGSHGSSGYRENFLSLLEARNVTPEMFGDVPAVAACDYLTGVGAAAVEASNINMAGEAAYGLGRVDGYSAASVVPPARHGTTSSPLVFSEESLSSVPCYDHEVKAAGSQQQGSGAPTAAFLDQIMIPSRMGIQSAVGYSGMGREFSSTVEPMSNNNNNTHDQEARPGMGSSGSGPASVAMTKRKSVERVGGNTKRSKQEASRKASPSKPQAPKVKLAEKITALQQIVSPFGKTDTSSVLFETIKYINFLHEQLRLFSEPYMTKSAYKGRARFGSEGEDEEAGSEHGLRGRGLCLSPVSLTSQVYHDDGTLLDCWTPAYRSSLYYTGR >Dexi5A01G0037590.1:cds pep primary_assembly:Fonio_CM05836:5A:38902019:38903267:1 gene:Dexi5A01G0037590 transcript:Dexi5A01G0037590.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDIKLRVQWGRAESQAQRLERDKIMSSSSVVSGISALPSAARVRHRHGAVKQLKIKVAQPQQQQLHGRCRQSRSRGSAVVVRAGPGALSEIEPDLNEDGVDRWATPGISPDDFEYGIYDGHHTYHEGHDKKGFWEDVSEWYQEAEPPQGFQAFISWAFPPAIILGMAFNVPGEYLYIGAAIWIVVFCVIEMGKPDKPHNFEPEIYLMERSARDKLIADYNSMDIWDFNEKYGELWDFTVNTREDIVRSS >Dexi7B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:7B:13613453:13615555:-1 gene:Dexi7B01G0006010 transcript:Dexi7B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGEARGVGTGRGSRLPRWTRQEILVLIEGKRVVERSGRGRGRGRARGAGGEGAAEPTKWAAVAEYCRRQGVDRGPVQCRKRWSNLAGDYKKIREWERGKEGGEASFWAMRNDARRERRLPGFFDREVFDILEGRPGNAAAAAAVGVETKPEVTAAAALDVGRGGAGLFSSSEDEDDQEDDAATPSPTPTPTPTPTMPPVAFPISAGTSKRKQPEKIIEDSPAQCGQKRPRSDDDASGRAAATNLQGQLVEILDRSSQMVAAQLEAQNINNRLDREQRKDQVSSLLGVLSKVADALYRIADKL >Dexi8B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:8B:19152034:19153062:1 gene:Dexi8B01G0010570 transcript:Dexi8B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERWMNNLVAEFLRVDGRCAAGIAPPPRLRDAPTAESSDPAASNDPPPRSAKRLWEAGVCFRSSETRRLDDIHFNICSRRLEMHKITLDDSTEYKFSNLMAFEALHFDGTDNRNGLTVFVLFMRDMIDSKDDVAVLREGKVLENELAGSDKAVVALFNRLTMDVSKFGDSKLCQVRKEIERYCDNHKCRVFIFRSWAKLRNSHLSSPWAFMTLVFSLLLIGTDITQTLYTVMTYWHEINKDRAQAPQAARWTGH >Dexi8B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:8B:23980878:23984991:-1 gene:Dexi8B01G0013600 transcript:Dexi8B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCEAVLVWLVNAPTGTCRPHPPPLPSDSDRARLASVCRRWRTATAVLYSRWSSAESLPPEILDLVLRRLDSHVDRVSFASIGSNRLFLENPLTTATIELPGHCNEPVFLFSNGTFQTPSNLTSANFDIYKVVVSSDDLIAALVKYDYHNVVVCCRPGMLSWSTGISKTTNVYRYQDMAFYNGMVYAVSNGGDLFEHEVSKDSDTGEPGVSRIKQVMAAPPPLDGYYQFLMESTKCYLVISCANKLLLVRWFLPNTIENPNNNLMLKVFEADFEASEWIEVERLDDQVLFVSSNCSKAISTASTHDQDLKDNRIYAIDDDIVFWHYWQGRDSCTCLA >Dexi9A01G0044130.1:cds pep primary_assembly:Fonio_CM05836:9A:47760411:47761383:-1 gene:Dexi9A01G0044130 transcript:Dexi9A01G0044130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLLSPPSVPSPPPPLLRNGAPRQCLAPLLAAVPFPPPRHLGLAVARGDGGRRSVGMLGSSRARVARVFRVSAVSGDGGGGAGGSGIAAAATATVVLAVLNRVLYKLALLCCPGHLFQY >Dexi9B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:9B:9150971:9154797:-1 gene:Dexi9B01G0013650 transcript:Dexi9B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRGEITAAFPGGGGGGIAGASTGATTPAASPYQVPIEIEEQVYSPFGNIEVPDSRGCCSGFTGSLTKIFFILHLLAFIALTIFLGVQASLHQNPAYKPFSNFIPLVSSVIVSTIVACFWVILAVTNPPKAIKISLWAAPVFALACDVVILLVGNPAALGIGVLVVVFAVAAGLYSCWATGPRLRHASEMLSTSVNGAHLPPTTSCFVVFVLLATFGYMAFWTVAISCIAAAEGHFMNYRLAYVASLLVSMAWTMQCRMMIAWPQACVAAYHVAYAENPHNPQLGTLIPEHLGELQSLAADRDRPRVVDSSDDIYDS >Dexi7B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:7B:3621737:3623200:-1 gene:Dexi7B01G0002110 transcript:Dexi7B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELHLVLVPLLAQGHVLPMLDLARLLAGHARVTVVLTPVNAARNKALLEHAARAGLALDFAELPFPGPSHGLPEGTENLDMVLDPSLIVPFYDALWQLAEPLEAYLRSLPRLPDCLIPDSMSPWTLPVARRLGVPHLVFHGFSAFSILAVHNLEKHGIYERAVDDYEMFEVPDFPVRTVVNRSTAPGLYLGPGMDRFRRDMLEAEASAEGMLFNTCRAMDGEFMERYAAERGHKMWALGPLCAYKSDAGAMAGRGNRAAMDAEQIVSWLDARPPATVLYINFGSVARLLPPQVAELAAALEASGRAFIWVLLKETSGLDAEFEARVKDRALLIRGWAPQMTILSHPSVGGFLNHAGWNSTLEVVPYGVPMMTWPQFADNFLNEALLVDVLGTGIRSGVKVPLTHVVQMDPMPVVQVGRESIASKVAELMDEEEGSTGAARRAKAKELAAKVRAAIAEGGSSDTDLKDMLGYIAELAKKKKVGDQN >Dexi9B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:9B:6673768:6674886:1 gene:Dexi9B01G0010600 transcript:Dexi9B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAAGTEEGDDVEEVAGSGNQTDSFDKANWTNFNNNVVFCELCVEQVRAGNRNNGTMTNRGYENIAGPFYERTSLRHSVKQLRNRWDQLKSLYTFWTYCNKQSGLGKNGTGGIIASDAFWDQHCKKQPERKKLKYGPPECLEDLEVMFEGVTVDGSSSCIPGENAYDGAFGDRGVDYEEYEEKDYGSPMTTGSLKRTSSSNTTVTSPRKKVKSPLVKIMKGMWGTMQTTATVAQKAMSGEFETEGIKEAMRLAVECGAKPRTPEHFMASKLFTKNKHRTVFLTLESNKDRLFWLQRWCQEKNIH >Dexi7A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:7A:14101510:14102102:-1 gene:Dexi7A01G0003950 transcript:Dexi7A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQCEPCVPHAPQKGPIYNPIGDGSPMRSPTYRPVAGSDKICTPEHGMEPAGVRCAFHVAGPGGLSVHGYVAREHIVHLETNRISPNFVLGCAHSTENFQSEGTNIARYAACGAWANSVLLLSHWGNKQTGFLRFGADVPHKPWYQTTRILTVLDVNDSAAYYVGLVGISLGACRLDMIHPDMFS >Dexi2B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:2B:3700294:3703702:-1 gene:Dexi2B01G0004210 transcript:Dexi2B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAFGYQKGGDLEAGTSGGAGPQRVLYPGMQESPELRWALIRKIYIILSLQLLLTAAVAAVVVKVHAIPRFFTTTNAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGFFTIAISFAVGMTCAFTSGKVILESAILTTVVVLSLTAYTFWAVRRGKDFSFLGPFLFASIIVLLVFAFIQILFPLGKLSQMIYGGLASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >Dexi8A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:8A:4588277:4594015:1 gene:Dexi8A01G0005130 transcript:Dexi8A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRCGSCFSCDRGRLSNLFTTTVKSFNTLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMASGGDGQKQLAGTSVGDVSLHQPVGLPPAIHAASVMAGVLGGAQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKAQIMLGMVTPQMMQMAKNQQPSSSLAQSSSHLNEQANMAPNPTVLPEQTATLHNFPQYQHSSQPPVKMFPHGHQSGLATHPPMLSQPLGSSSSVPTQPLVASVGVMSHVQPPFMPQNPRPLVMPTSVQQVPLTHPHLPQVPAALETLPGEIRIAEQASHLAEFAHPSKLRKLEDGTSVLGMVNSSHLPYAAPQQAVGPSVPSGSYSAGAVNSQQPGNEAQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSAGK >Dexi1A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:1A:26117804:26118229:1 gene:Dexi1A01G0018930 transcript:Dexi1A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSSKIRYIVWLQQTLRRWRSRAAVVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKSSRFLTLEDLKTGALSGCCVAAAAAGDSLPLLHGIAADKAVW >Dexi9A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:9A:13001310:13003920:1 gene:Dexi9A01G0017960 transcript:Dexi9A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLVLSPPAARLAPLLRRLSPTPSLLRHLALPQRARVSTSPSRAMSPVPILRLPSSWDSADDPLDFSAVAGVFFPLRRRATKRKRAASPEFVDVRAAADKRAGGAAEGGEKAPAAKKGNLNCHLDKKTVKIMTYNVWFREDLELTRRMNAIGALIQKHSPDLICFQEVTADIYRIFEKSDWWQAYKCSLSHETAMNRAYYCMQMFSKERVAQADEALRTLGAFRNVVFCGDMNWHDKGDGPFPLPDGWIDPWTELKPGEDGLTYDTKANVMLSANRKLQKRLDRFVCKLSDFKVESIEMIGKEAIPGITYIKEKKVRQEIRQLVLPAFPSDHFGLVLTISNQV >Dexi8A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:8A:1438046:1440143:1 gene:Dexi8A01G0002160 transcript:Dexi8A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLGGFLPPSSRKASADDHNTGSGIRNAVMLIHHVTQWRLEIFPARFVKIVEVGPRDGLQNEKGTVPTSVKIELIHKLVAAGLSVVEATSFVSPKWVPQLADAKDVLKGIQQLPDVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYHDVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYKMGCSEISLGDTIGVGTPGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEDGDYISKHLGRPLGSRTAAALRKLTT >Dexi3B01G0031960.1:cds pep primary_assembly:Fonio_CM05836:3B:34019856:34020566:1 gene:Dexi3B01G0031960 transcript:Dexi3B01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLGQAGESTNGTPKFFSQLAQITDNWPSVLFAMAGGIGLGLANLLLQYMFAFLGLSVATIILSCLVSVTGTTMNYFLDGRINRAAILVPGVGCFLIAAPCLAMMSMLLM >Dexi4B01G0022080.1:cds pep primary_assembly:Fonio_CM05836:4B:23819345:23820545:-1 gene:Dexi4B01G0022080 transcript:Dexi4B01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPWDDGYESPTSPTYRCEDVQQGSHDEACLTSDVSRILGRDPSSENEDPFLRTEKEDYAYNALVDDFMDAVMTSWRNPNPIVTVDEEAHQKQANRFVELALKRYNKNKNNKVKYALVEAIVSSTIFEGSELYGHVNFYLKAKMAQRRTKGEYLNLQSCIILAADPTLWFLSAFSMLYLDLDFYFFS >Dexi2A01G0027620.1:cds pep primary_assembly:Fonio_CM05836:2A:38974969:38976417:1 gene:Dexi2A01G0027620 transcript:Dexi2A01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTIVLVPVWGIGHFVPMLEAGKRMLARSPRPLTITVLVMPEPAEAKRASEIADHIRQEEASGLAAIRFLHLPAVDPPTDHTGIEEFISRYVQRYAPHVRSAIAGLTCPVAGVVVDIFCTTLFDAAAHELGVPAYVYLITSAAMCALLLRSPSLDAEVPVEFEFEEDVDVPGLPPVPASCLPTGLENRKIPTYKWFVYNGRRYTEARGVILNTVAELEPRVLAAIADGRCTRGTRAPPVYTIGPVIPFITPSGDGEKAHECVRWLDTQPRGSVVFLCFGGQGSFAAPQAHEIAHGLERSGHRFLWVLRGKPEPGTKLPRDGNLDELLPVGFLEKTKGRGMVWPSRAPQKEILAHAAVGGFVTHAGWNSVLESLWHGVPMVPWPLGAEQHYNAFTLVAAMGVAVPLEVDRKRGNSVEAAELERAVKALMDDGGEHAGKVRERAVEMKAACRKAVEEGGSSNLALQRLCEAMLDGAVLPRKQ >Dexi2A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:2A:14783860:14785117:1 gene:Dexi2A01G0012680 transcript:Dexi2A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLLYIVVVDDNGSSFRYTRSLLHSTLQLMGCKPRHAFEISRKVFDVIRGDGNDEMAASAAARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLVCDALALYKYVAPNQRADLMLACRIRERKESVTILLCGTSGCGKSTLSTLLVNSEPLLA >DexiUA01G0000340.1:cds pep primary_assembly:Fonio_CM05836:UA:1838075:1839658:1 gene:DexiUA01G0000340 transcript:DexiUA01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKKMALALAILCFLCGNAFLPSSASSHSFLQCLSTVIPGELVFTQSSSGFTSVLQSSVQNPKFLTNTTVRPLCIVTASDVSHVQAAVRCGRGHGVRLRVRSGGHDYEGLSFRSVLPEVFAVLDLARLRGVHLSPGEGSAWVDAGTTLGEVYYAIGTTNPGFLFPGGVCGTVGVSGFISSGVIGLMMRKYGVGGDNVLDAKIVNANGDVLDRASMGVDVFWAIRGGSGESFGVVVAWRLKLSPVPATVTVVNNVRTLDQGAPDLLAKWESTILSPLNIPDLTTRVVLQGRVAIFQTLYLGRCTDLLDTVRNAFPELGMTAADCNEMSWLRAMAFIYLGSTDTPVEGLLNRTHYVAGHYYKAKSDYVRRAIGSAGWSSLYQQWLSKNGNGKMVLEPHGRAVGGANTATASPYPHRRGVLFNIQYGSDWCCDANGTMAAAGLAWLDGIYGFMGPFVTSNPREAYANYRDLDLGKNVIGQDGLSSYWSGRVWAERYFMGNFRRLAAAKAMADPSDYFRNEQSIPPLPK >DexiUA01G0004660.1:cds pep primary_assembly:Fonio_CM05836:UA:8733491:8734369:1 gene:DexiUA01G0004660 transcript:DexiUA01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKFSNITICRAIAWRKKVHIVDYGEHYGFQWPTLLGVLATWEGGAPEVRITSIELPQPGFRPAAQIEQTGRRLSKFARQCGVPFKYRSIVAKWETICADDLNIEPDELSDEGGDIDSPNPRDMVLKNIQKMRPDVFILCTENSSYNTPFFVTRFREALFHYSVMFDMMDATTPRDNTERKLVEQELFGRRALNAIACEGTDRVERPETYRQWQVRTDRAGLRQLPLDPGIVKAVKKKVKDGYHKDFFIGVDQQWLLQGWKGRILYAMSTWVADDAITL >Dexi1A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:1A:4314880:4319715:-1 gene:Dexi1A01G0005830 transcript:Dexi1A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYILKMAWGQGARKPLFGLLLRAQQQAARGYSSAFQTRVLGTHVPQNDIFPRRFSSQASSSEQMNLIKQLRERTSAPIKDVKASLVNCNWDIEAAQKDLRKRGVVLASKKSSRTAAEGLLAIAQDEKKAAVIELNCETDFVARNDVFQYLASSVAKMALSAQGPAELFLPFGPDYLENMSINLDHPKLSGETTVQNAVTEVAAMVGENVKLRRGFMLSTTAHGAVSSYLHTCPQPGLGRIAGLVTLEAEDSSALLDALKTVGASIAMHIVATKPLFLSKELVSAAALENEREILRTQAESSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYILNDSKNVKTVLSDLSKEVGSKVTIGNFIRMQVGEGIERPEAAEGSEPVARAA >Dexi5A01G0033520.1:cds pep primary_assembly:Fonio_CM05836:5A:35853754:35856584:1 gene:Dexi5A01G0033520 transcript:Dexi5A01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERANELKGEVRQMFDADNVMSVADMVNLVDELQRLGIDNHFRKEINAGLSRIHSEELDAVMSNDLHTVALRFCLLRQHGFWVSTDVFDKFRDETGSFSKDLTGDTRGLLSLYNAAHMAVPGEATLDDAIAFARHHLEAAIGRLASPMAEQVARALEIPRPRFMRRLETMHYITEYEQEEAHSAILLELARVDLNLVRSLHLKELRTLSLWWRDLYGEVKLTYARDRIVEPYFYNFGVFHEENSHLRIIVTKVFVLLGLIDDTYDVHATLEDCQMLDEAIQRWNESAVYFLPEYLRMLYIKTLSNFNEIEDTMEPYDKYRMAYIKKQFKSQSKNYLQETKWFNDKYIPSLKEHVDVTLMSTGVPLLFFVALMAAGQVVTKETFEWAFNIPDMVRASGEMGRFLNDIASYKRGKNTRDVASTVECYMKEHGVTGEEAMVAIAAMVEQAWRRINRAYMEMNCAVEPAARWLLDMTRMLEIYYLRGRDGLTYGRDIKELVAFLFLKQIPV >Dexi2A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:2A:28219819:28222465:1 gene:Dexi2A01G0016540 transcript:Dexi2A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAAFLARPHPRLLRVGRRGADGVALVRGGIVALPTRLRGPRCSMSLSIGGGSGASEDRGFTYEHVPVFPRYRLRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPSMDIISRRFFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGILVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >Dexi9B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:9B:5618359:5622452:-1 gene:Dexi9B01G0009090 transcript:Dexi9B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRKLSANALRRQPLSRVTPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGLIDYDQICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVVYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNCAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFAEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVATLQSDSNIQAEIAKLRHDVEEYAKPFPTIGFEKETMKYKN >Dexi3B01G0023180.1:cds pep primary_assembly:Fonio_CM05836:3B:17945388:17945891:-1 gene:Dexi3B01G0023180 transcript:Dexi3B01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLIRLPLYAAALATVAPTSSSSPLDGHPVSDTLTVRLVLGSTTPALGSNSTYGSDTLPGLAL >Dexi9B01G0024070.1:cds pep primary_assembly:Fonio_CM05836:9B:19757748:19758812:1 gene:Dexi9B01G0024070 transcript:Dexi9B01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTYKAYEPETPSNSSGYRKVKGRRKKLTAQKKKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVDMMTDKMGERDARDELHKAFRIIDQDGNGKISDIDIQRVAIETGEHFTLDEVREMIEAADENGDGEIDMEEFMKMMKRTNLGSQF >Dexi2B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:2B:25293990:25298379:1 gene:Dexi2B01G0015290 transcript:Dexi2B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRDRGSRASRKGRSARAGPAAAPPPASDPDPAAGEDAAPWLRATVEELEERLLKRLDEAYAAALTRLADLGHSEEASLEAVLRAGHCYGKLNDPVSNIVASARTYLSDPSHAGGAGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEALWCLLSSDLHIEEAVAIGCSLNGKPLPAAAATAAESEDLPPVVADTPQRGHIHYNKTTAATAQDPALFDPETFMRLAIHQGPAAATISCLKAAGWSQSSGSGAAPEGQAKEPFAKKLSTEELIESVVAELEALDIDKKDPPDANPDPKNQMVRDLIKQTREMEAQLKERREWAQGKAIQAARKLGTDLTELRVLRMEHDENQRRKKEKQVMEDDTMKRLTHLENELKKKSGQLDRSNATVQRLDMENAEIRAEMEAAKLSASETERQCQGLLRKEKKDAKKLEVWERQKAKLKEDIAECKTKIAQAEREFSEVNKAIKNMEIKIREDTRAKEENMSLLEEERRKKEAAKADSDRRLEELRRKKEVESQCYKDDLRRLQDELNRLQKSSGTNQSAVPSTNPPGTTNRCTARAPKQQPIQRPPPASNRPLPQSAQKPSRRRDCVVCKKEEACVILLQCAHQVLCVGCNKLHEDKGVARCPCCSAKIEERIRVFGATSN >Dexi4B01G0023140.1:cds pep primary_assembly:Fonio_CM05836:4B:24601114:24604201:-1 gene:Dexi4B01G0023140 transcript:Dexi4B01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGGGGGEAALKADAAAMRLVWRRGAVRLVLVSAIAWAMLVLLALAFHLWSCSSSVAFLSGQPSKPHFLLQSTGCAIPVADDPNAVVIPKRTPNTIVKKLSYITVDKKDKDPSPLFGGRQNWKQREDSFKLNSTMKVHCGFMKNSGADMDSVDVKYIQKCKFVVASGIFDGYDIPHQPSNISRRSQKLFCFLMVVDEVSLDFIEKNTTVKIDNAGGKWVVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWSPKKKIPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVVHRLGDALNFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPADLSSVELPAVKRTSPAG >Dexi9A01G0033990.1:cds pep primary_assembly:Fonio_CM05836:9A:38832784:38833513:1 gene:Dexi9A01G0033990 transcript:Dexi9A01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESMADEVDARAAAAATGGDAGRAKSIAEGGQPPAVKGGATISVAVVLLALLVASVAAFLMSSVPRAGDGVVGDGAGKGMQEAGAGPVQGQARQPAGTKGAEPVEHAVRDDVGGIPGFNSRVDAFRTWARLTWMKLRRPRSDEPRYACDNCSPYDGVAGGGRAGSVADAAKKSFEMSKETVEQAAETAAKATGDAVEATKEKVKRAASPSSGRSEL >Dexi7B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:7B:19992994:19995339:-1 gene:Dexi7B01G0013520 transcript:Dexi7B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMQLKGFQGPVIQKSIDVRFLHLGVGLLLLLAAQHAPAVVIPSPECQTQCGDVEIQYPFGIGDKCSWSAHFNITCLVQEDNVHKPFIADLELLNISLIHSTIRVLNPISTYCYDPSSGLMENTTWTFNASGSPYRFSDTEIPKGIGYYEVGFDRGFNTSNIWRFSRCSYAVLMEAEGFNFSTTYINTTKFNDTNIGRAPTVIDWAIRDETTSCEVAKRNETGTYACLSSNSECLVSPNGPGYLCNCSKGYEGNPYVPDGCHGNNCVNIFVQYSFNLV >Dexi2A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:2A:14355298:14357341:-1 gene:Dexi2A01G0012380 transcript:Dexi2A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKLKSGERRIDAAIDHLAPYGFPKPQIRKVINDLLKLYGRDGWAFLEDGSYRVVLEKLLEEQTQLESDFKWTSFICRNKKQQLSRRHHQKMIWKYHEYIVMHRLNLGQPLNVKLLPTVHFPRIMYYQCLQLQELLVQGVPAMDGLAKSLKQKVNQRMAK >Dexi3B01G0032580.1:cds pep primary_assembly:Fonio_CM05836:3B:34937619:34940448:-1 gene:Dexi3B01G0032580 transcript:Dexi3B01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYMKNSIGKEHALVISDHRSDIDWLIGWILAQRSGCLGSTLAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLKRLEDFPRSFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMHDFVPAIYDTTVIIPKDSPAPTMLRILKGQSSVVHVRIKRHAMSDMPKSDEDVSKWCKDIFVAKLLTCIPVVGAGRSVLVMPPPIWFLQILTLDSALVDMERCYPLCHWIGIGDLHYACLHHVLPVRTVELCQSSEEPSEERLRDSRFNYVG >DexiUA01G0010630.1:cds pep primary_assembly:Fonio_CM05836:UA:21077714:21079230:1 gene:DexiUA01G0010630 transcript:DexiUA01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKDKLAKVYEVKDTNCIFVFKFRTHFGGGKSTGFGLIYDNVEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Dexi9A01G0039230.1:cds pep primary_assembly:Fonio_CM05836:9A:43281634:43287197:-1 gene:Dexi9A01G0039230 transcript:Dexi9A01G0039230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAAAAMAASPAAGSLVFFLQPLFIHGISAGAHLILALAVAGRFLFRHLILSKDREAVLLAAFSWYEPADAAGAPGWWPRDTVAAEQVDAAARAVAWLLLAAYLQFDFRRKRHQERRFPAPLRLWWALFTLLSVVAAGVHAAACLDGLPVPGRSWALDAVSVIAAAVLLSAGFLGRRDGGRGGHASDQEPLLTGAHAAADDSNSSGAADDKPSLFAGAGFLSVLTFSWMAPLLAVGHTKTLDFDDVPGLESDDSVAGVLPQFKANLEALTGDGDSSGQEVVTTFKLTKALLRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYANQGPLLVLAFIVAKVFECLSQRHWFFRLQQTGIRARSALVAVVYRKSLKLSSQSRRSRTNGEMINIVSVDADRVGIFAWFMHDLWLVPLQVGMAMFILYSTLGLASLAALGATVAIMLANVPPGKLQEKFQEKLMDSKDVRMKATSEILQNMRILKLQGWEMKFLSKIIELRKTEANWLKKYLCTSAMVTFVFWGTPTFVAVITFGACMLMGIPLESGKVLSALATFRVLQEPIYVLPDTMAMTIKTKVSLDRIASFLCLEELPNDAVQRLPSGSSDFAININNGCFSWEASPEVPTLKDLNIKVRPGMRVAVCGTVGSGKSSLLSCILGEIPKLSGEVQISGTTAYVTQSAWIQSGKIQENILFGKEMNKEEYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKVMKDGKIAQAGKYDEILGSGEEFMELVGAHKDALTTLDAIDTVNQGNVSSSCSGTASPKLSRSLSSAEKKDKSNEDEGNAPGGQLVQEEEREKGSVGFSASTDQSEVDTNIADQMGSVAFSIIQLVGIIVVMSQVAWQVFVVFIPVFAACVWYQRYYIDTARELQRWMSFIIFNLMRVKYAPQLPFVLKDLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPSIGQILIDGINICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDCCQLGDEIRKKALKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASLDTATDNLIQNTLRQQFSETTVITIAHRITSVLDSDMVLLLDNGMAVEHDTPTKLLEDKSSLFSKLVSEYTMRSMHT >Dexi3B01G0025690.1:cds pep primary_assembly:Fonio_CM05836:3B:20514136:20525870:1 gene:Dexi3B01G0025690 transcript:Dexi3B01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCNVRYDEDERTPLLLHCGHGFCRACLSRMLAAAPGATLPCPRCRHLTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSDDEDDFFARPSRRPASAPAAAPPGCSSFDLASHPDLKLARRIGGGPPGPAGQEVWAGTLSRGGGAKRCKHQVAVKRVPLAAGEGLEGVQEEVERLRRASTWCRNVSTYHGAVRIGGHLCFVMDRYVGSVQMEMRQNGGRLTLEQILRYGADIARGVAELHAADYGLSAILKNLSSRRVPDDSGAGIDAALLSPNYTAPEAWGPLKKSLNMFWDSANDALAMQMGWTKCGGNLDFTNETLPNGIKPPTTSILEMVHDNPNALHHLVCEGDAAGVRSSSINSRLREGLGPTLAHVCAHHGQPECMQELLMAGADPNAVDGEGESVLHIAVARRYTDCAIVILENGGCRSMGIPNSQHKTWVEVASLEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGFFMPFFDFVSLGIVLGLFRSIAMDDDGDNAFHIAADAAKMIRENLTWVVQMLQQPSPAVDVRNHRYEVADWVKFRRTVTSPAFGWQEAGPRSIGFVQSIVDNDHLVVSFCTGEARVLTSEVIKVIPLNRGQHVQLKPDVSEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAAPWQADPSDMEKIENFKVGDWVRVKATVPSPKYGWEDVTHVEKAQPFEVGEKVHVSPSISEPRLGWLNETAATIGAIARIDMDGTLNIKVSGRKNLWKVAPGDAERLSAFEVGDWVRQKPSIGSRPTYDWNSIGRISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVQPFKIGQHVRFRAGISEPRWGWRDARPESRGIIAGVHADGEVRVAFFGVPGLWRGDPGDLEIEQIFEVGEWVRLRNDANQWKSLRPGSIGVVHGVGYEGDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHNHASIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVVEEEEICVGDWVKVKDSVATPTYQWGDVNHNSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFRQGDRVRIRPGLVSPRWGWGMETYASKGEVVGVDANGKLRIKFRWRDRLWIGDPADIVLDDSALTDGAAS >Dexi3B01G0030500.1:cds pep primary_assembly:Fonio_CM05836:3B:29989014:29993865:1 gene:Dexi3B01G0030500 transcript:Dexi3B01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSSASGGRKGSSPAKQSEGRTSSLLAGLPSRGNFTGSDIASSMARRPQGLSLPSRHSSSRNAARNLDGKNPSKRPNIGSSAGSSAHEESSAGFSELTLQSFTDELIARLREASGHRILLGIAEEHSEYLAVRTSNCHVWNFGDVSDLPKFYTGTDRDMRSF >Dexi2A01G0028090.1:cds pep primary_assembly:Fonio_CM05836:2A:39414288:39416436:-1 gene:Dexi2A01G0028090 transcript:Dexi2A01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPEEFRGQGDTVLFSPSVFLDLPPTPCSPERDDVENPPGSSDDLVLPFISRLLMEDDIDDELFHQCPDHLALLQVQQPYAQILSDASAAAPCCSNSTAAANGNGANTLLPSSGAPEFANATWPYDPVLLSQLLLSTPYPDMGVGHADITADDVNQVTMDMLNLAFLRGIEEAKKFLPTGNNLLVSLQATSEEHQPWDSRLLHGSAACQVRKDNEVDEMSLLQGVGSGGGRKNRRNWDDLQLQAEMGRNSKLMVPEPEETGETIDEIIINDFRLCINEMQGLSITMGSSEDEKNTGKGNGKPAQGKQSSHEAVDLRTLLIHCAQAVSMDDRHSATELLGQIKQHSSPTGDSNQRLAHCFAEGLEARLAGTGSQVYKSLMAKRTSSLVDYLKAYQLYLRASCFKMMGYKFSNMTIAKASAGRRKVHIVDYGMHHALQWSSLLAWLGTMEGGPPEVRFTGIDLPQPGFRPAALIEETGRRLSKCAHQFSVPFKFLSIMKKWEAVTVDDLNIDTDELLIVNSMFHFGNLLDDSIDIYSPSPRDMVLSNIQKMRPDIFILCIKNASSGAPFFVTRFREALFYYSAIFDMLDATIPRDSDHHMLLERDFLGQSALNVIACEGSDRVERLETYKKWQVRNHRAGLRQLPLDSDVVKVITKNVKDRYHKDFVIDVDQQWLLEGWKGRILCAMSTWMMPPQNI >Dexi9B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:9B:2338294:2340037:-1 gene:Dexi9B01G0004050 transcript:Dexi9B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPWGMLLLLVLSVSSSFSSAAVATLAVSAPPPAPAPRLLINGNFETAPRKLNKTLIVGRHSLPGWTLLGHVEYVSGGPQPGGMFFAVPHGVHALRLGSRASASQNVTVRPGALYALTFAATRTCAQDESLRVAVSPSLSAPADIAVRTLYSGASADTWAWGFRASSPVTFSNPGVQEDPSCGPLLDAVTIKELPTPYPTKDNLIKNDGFEMGPQVLKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPVGQYAVELVAGRESAIAQVIRTVPNRSYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGKGAFKAASFKFVASGVRTRLTFYSSYYHTKATDGVSLCGPVLDQVKVVPLPVKA >Dexi3B01G0035830.1:cds pep primary_assembly:Fonio_CM05836:3B:38834883:38835157:-1 gene:Dexi3B01G0035830 transcript:Dexi3B01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVECSKCRKFTWNGCGKHVAAVYEGIEKGKHCTCKSWPGVDTKAEEGSTSTPKEGEAKA >Dexi2A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:2A:33098705:33107123:1 gene:Dexi2A01G0020880 transcript:Dexi2A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATIQNSAAHGGGLAGGRARRGGGRGRGAATVRGAGNGGKGEGDGAGTGGGAAGNRRRRRGRDEAEEVEDDEAAEGSEDGVGEEVKWLGLYSSTQSILLVGEGNFSFSLALATAFGSGGNIVATSLDTSEALRNKYGGAESNITSLKRLGATVLHGIDVKTMKSHTDLRNRRFDRIVYNFPHAGFKGKECEVHMIKLHKNLVRGFFRNACHLLRPYGEVHVSHKTGKSYDKWNLEHVAAGFSLILIEKVGPFCVANTAVGGARVGQRGADLTRPSPGDAICWAAVANTSPCENGLAPGHLSHHPTGRTRARTAVEQLVQQKVTLLEPGMEKLLAREKGPVKRLNHYNSSQSILTVGDGDFSFSLALARAFGSGANLVATSLDSSHKELVAGFFSNAHHLLGRYGEIHVSNKTGHPYDSRDLENLASKSSLVLFKKVVFHKGDYPGYNQKRGDGPKCNKSFKLGPCCTFKFQISEAGRSGNSGA >Dexi4A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:4A:16609428:16614638:-1 gene:Dexi4A01G0014460 transcript:Dexi4A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVITIDVLRRAGADVAVASVEPGSATVAAAWGVKLAADALLADIADAEFDLISLPGGMPGSSTFRDCKLLENMVKKHVEKGKLYAAICAAPAMALGTWGLLHGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVVLVEQLYGKEKAEEVAGPMVMRPQHGAEFSMKELNSTSWNVGETPKILVPIANGTEEMEATMIIDILRRAKANVLVASLEDKLEVVASRKVKMVADVLLDDALTQQYDLILLPGGLGGAEAYAKSDKLMGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPGMWTKLADQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALELAKTMVFV >Dexi9B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:9B:2294686:2298888:1 gene:Dexi9B01G0003970 transcript:Dexi9B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRSHSKLVSSSRRRSAEADTDYAGEGKKNGTPSLKSDECKAAAGTAVTGGWTGEKKTKIQHTPSSGNIGKVVKDDDGQSSGKVVQEQEEGPELLEGLDWGPDELHEKIDCFVQQLKRDVFIVQGEYEDWEDYRNACSTLEGDQEFVWLWDKLLSNTKLIESLLTDSSYEMTFKEALKAVYCEGKYTFGSFEWKPEFESDDPHPGVLERLYNTHLGPIDEKVLRDNISEALYMKQGRPPAAPQPCVFFAGRQEPAMAWLLLVEHYTVPVLPHVPAHQDDVALEAAAHASSLAGGSVNTNLALVHDPWPSVPLAPTTCTSNQSAGLRGDPLPSTTPPWTTACTAPLDDIAHDTLDIVAMDDDGRRTPKLVRSRGQW >Dexi1A01G0030230.1:cds pep primary_assembly:Fonio_CM05836:1A:35558313:35558701:1 gene:Dexi1A01G0030230 transcript:Dexi1A01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSCRHGFDPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Dexi1B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:1B:4551990:4552685:1 gene:Dexi1B01G0005540 transcript:Dexi1B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGANMAHWGTGIYGGGGGAPPATEVTTVTTSPAATVSSPTSGGSAGSPTRAPPGVEGGRVGKPARRRSRASRRAPVTMLSTDTSNFRAMVQQFTGIPSGPYGPTSASGGPVISFGSGEYGSAPLVRPSPTSAVMSFDHHMAAAQHRPAVVSSLQSQLFRPQQQQYAAGGGGDMGYGGMHGGGGDMAPFLHGFEASSAEDRMLLQSIQAAQMMPTRPSSTNNSNGYNFG >Dexi7A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:7A:16577921:16579480:-1 gene:Dexi7A01G0005350 transcript:Dexi7A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIAVWETATLDINDKLHMKVAEQLGIFEHNKYDMVDAHELRYYSYGMAEEKIVSLQEIQFSIAPQIFQKLRTKRYLLVIENLDEPISPIKIQRLTQGLWFPPPILEASLWLVSTTSQDVYDRSKPDSDWVISSFTGDDILILALHSLKQAAKYIASVVGHDDEQYWYHVALQCFHYTTMLLIPGGSKVNPSELDAQTDVYSPENLIRRWAAQGILPIINNNLSVQERSGEATDSYHRKYYGDDIYRVGNVILDAFQEYSLLQLPFSPASKDDEATKSAAHFLAYHNIVVEPLTFDELCEGSQSQLEHIQWVSQVVGDQGWHVSRD >Dexi9B01G0035950.1:cds pep primary_assembly:Fonio_CM05836:9B:37528300:37530223:-1 gene:Dexi9B01G0035950 transcript:Dexi9B01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATPCRSPLAWLFALAAALFFASWYLLLDSAAGPPAARAYHGLRLGGGGGAHSPGPGTKCDPARALLRVFMYDLPPEFHFGLLDWKPPGFGGGVWPDVRGGGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAVRVPRHADADVVFVPFFASLSFNRHSRVVPPARGSEDRALQQRLLEFLAARSEWRRTGGRDHVVLAHHPNGMLDARYRLWPCVFVLCDFGRYPPSVANLDKDVIAPYRHVVANFANDTAGYDDRPTLLYFQGAIYRKDGGLIRQELYYILKDEKDVHFSFGSVAGNGIEQATQGMRTSKFCLNIAGDTPSSNRLFDSIVSHCVPVIISDEIELPFEDVLDYSKFSVIVRGTDAVKKGFLINLIRGISREEWALMWNRLKEVEKHFEYQYPSQTDDACPQSD >Dexi7B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:7B:24645961:24655989:1 gene:Dexi7B01G0019030 transcript:Dexi7B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGTTDDGAKRGHSGEAVTTVAPALPVQEHRLPLSNLDLILPPIDVGVFFCYADPVAGAAAVLKAALAKTLVAYYPLAGEVVANADGEPELLCSGRGVDVAEATAGDADMRDLRLGFPDESVEQLVPKKKAGVVSVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLLAWAAAVRGGPAPPSPSFRRSLLAPRHHLTPPCTTGTLADRLFVPVSRAPPLPETTAANRIYRIAAADVAALQTAAGPGRTKLEAFTAHLWGLHAEAASRRRISSCCMGVVVDGRSRISPGDMAAYFGNVLSIPYGVLGSEELRRMELAEVAGEVHRWVAEAAKGEHFRELVEWVEARRPEPTVARAYLGRGEGGEEATACVVSSGMRLAVGEVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHVAPEVVEAMEEEPTVFRALDSGYMFGRRLKRTKRSCPTCSSWRSLKDDGFRILAAALAASPDSRLTFSLLTHVGLRLLLAEYRQAQLHNVYYSITLSAVGAPNIPRVAIASAGLVCVVRARAPPNVDAAPLLNWRASLARSHDDLARAYHSRNVTNMSSRPHSRSHATDRTRMHWFDECWIPLTLAVPSSSIERRHAAGAGPLIWQRERERALSGWLPVFVAVTIRHVDLIDIDRLLAAGTTYTRIYLAVFVELWRPHLLHVKSTPHHTHAHGLAQKSERASEVTSKTIYSILPARWLACCAVGAWRDGRPDVNWLLGSVQLPAALEPERRLRRQAYALCHGQYGGPKSYTSYNPSPSGVDRNMVNEATTKEHGGGHEVTVTVAPALPVQQGHRLELSNLDLLLPPLDVSLFFCYLQPAPTTAALKEALAKTLVPYYPLAGEVVANADGEPELLCSGRGVDFTVANAAAGVELREVRIGAVDESVERLVPANAKKPGSVVTKFACGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGSPPQPVPSFRRSLVAPRNPPPPRSPSTVALIDRLFSPRSAAPPPPPSVAATAVNRIYRIAAADVAALKAAAGPGRTKMEAFTAHLWKLCSMAASPRRSQQCCMGVVVDGRTRIVVSPNDGASTAMRGYFGNVLTIPYGVLGTEELRRMELAEVAGDVHRWVAEAATDDHFRGLVDWVEALRPKPAAARAYLGGTGGSDAMACIVSSGMSFPVGEVDFGWGLPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVAPELAKVMEEEPTVFRALENSYVFQ >Dexi6A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:6A:28124716:28124979:1 gene:Dexi6A01G0020720 transcript:Dexi6A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSTLMVVSQVAGVASPSAIKFAIMTMTDMLDNNDKPILNEQYDEATTFAMGASHINASRATDLGLVYALGASDT >Dexi5B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:5B:1412938:1413334:-1 gene:Dexi5B01G0002160 transcript:Dexi5B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWKPGSEKPSTLLVDDEEGGVVFLPSSTSSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQTL >Dexi9A01G0025420.1:cds pep primary_assembly:Fonio_CM05836:9A:24926568:24937381:1 gene:Dexi9A01G0025420 transcript:Dexi9A01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTASSPKPETPELTARPLSPGRCPAPPRAGPMGSADLVLKPACEGCGNSSDLYGTGCKHSTLCSDCGKSMARSGARCLVCSSPITRLIRCQLLFGRNIMYELMQLRIRHTLLEDLSLVYLLSQRRRARRTNGLFIRRVYKDVRYLRICGYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDMKKLEATNGGEKESARPKKGKNNEEGTNSDKGEEDEEEEAARKNRLELTRKGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDVDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRAAGLNESDVDEDEEDDEHESSPVLAPKQKDQVKDEPVDNSPAKPTPSGHARSTPPASKSKPKRKSGADDAKTSGSAASKKARVESDTKVSGIREEASSSAKSTPKASAPSKSGTNVSPVTEDEIRNILRAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >Dexi9B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:9B:5403000:5409712:1 gene:Dexi9B01G0008820 transcript:Dexi9B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEFSVPGAEDLPARRSDAADVAGNVWDLATLPTPPAGAGREIYIYRNTFNLVPRSIGGGGAGLRSLKFFGNDVEVLPTDSSGELDGLESLQVKVSAPRVSGAPLRRMQALKELELSMVPPRPSSCSILAEVAALKCLTKLAICHFSIRYLPPEIGNLRKLQELDLSFNKLKNLPNCIIELSALKFLKVTNNKLVDVPSGISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRLSHSYVIPSWICCDMRGNEENAMERSKLKYLGVGNTNSLAESRTSSRACDASLLCLQLEASPNLKHHAAQKMKKGWRRRDCRQQQARQERLESSRSKLNEKYIDEMAVNMAEDDCPSRLHDDDETSVHDVSKETSSISEDVSSIVDDDLDELAKDSGMMLQDHYGEEKHGFNMRVHSDENSCISAEPTCFNRGRVRSVESELDDTASSAHDVVETAQGNPSMTSKCASKSKRHPDMDNNPKPSKCPRAIDECSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMSLEEYERSLGLYAREVILLDREQDEELDAIAYSAQLLLSNLKRPSSSEMDEDAGHDLLRASVLALFVSDCFGGCDRSASLERTRRAIVSLRKEQPFVCTCSTGNMCDNNEASKQTNTLSGHFDFTGLCNRSIHIIKEKRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNGWVRYLDTRSASNDEVKLFEYKLLGEVRMLGALRKHRSIVDIYGHQLSSKWVQDDGDKEYRILQSIILMEYVNGGSLKGYLTKLLKEGKKCVPIDLAFYVAREVSCALLEMHKKLIIHRDIKSENILVDLDSKRNAGAPVVKLSDFDRSVPLHSLSHTCCISHLGSHPPNVCVGTPCWMAPEVLKAMHEKHHYGLEVDIWSFGCFLLEMLTLRIPYQGLLDSEIYDLIMRKKLRPRLTQELEAFWTVDEPVIRLKLGITSDAHADKLRHLIDLFYQCTRGNALKRPKAEQIYNSLCSFPTCYDMR >Dexi7B01G0021590.1:cds pep primary_assembly:Fonio_CM05836:7B:26582613:26584611:1 gene:Dexi7B01G0021590 transcript:Dexi7B01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSCSHSCSALHQTPRSARRLPGTGLGLGQARAPRFRRTAILCVGTTSGRDSRKVNATKGFYVSDVDATLQGIPSRKAVEVEKVMIQGLPEGPDSSPISTGFWEWKPKLTVYYERSGTENSKAPAVLFLPGFGVGTFHFEKQLRDLGRDHKVWTMDFLGQGMSLPYEDPAPSGMAGEQSEEAFWGFGQDSQPWAEELVYSVDLWQNQVQRFVEEVICEPVYIVGNSLGGFVALYFAASSPHLVKGVTLLNATPFWGFFPNPATSPRLSKIFPWAGTFPLPSFVRKLTEAVWQKISDPRSIHDILKQVYADHSTNVDKVFSRIVEITQHPAAAASFASIMFAPRGQISFQEAISRCQSQGVPISLMYGREDPWVRPIWGIKVKQQVPEAPYYEISPAGHCPHDEVPEVRIALHL >Dexi1B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:1B:25504479:25505725:-1 gene:Dexi1B01G0019280 transcript:Dexi1B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFGWGREEGGWRKGPWTAQEDKLLVEYVRQHGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSRQLLQQGQDQQQQRQANHDDDDEAVGDASTPAISVLAQQQHEEDMQLLQQQDMDDLLFQFCPMAASCTSSSCLLPGVASASASEEGSTGDHHQLDGGDTWGWGSLWNLDGVVDDGGWDDTSFSLLQDQALAFY >Dexi7B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:7B:17274130:17275462:1 gene:Dexi7B01G0009810 transcript:Dexi7B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHPATTPGLAPRARLSTTRPSTSLTAASSSPCFRIVGRRPLRSLVAAAAADAVDAAEEEVQLGGGGDAFYEEEAEEYKVTVPEKQDPMLVLKFIWMEKNIGIALDQLVPGHGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >DexiUA01G0024090.1:cds pep primary_assembly:Fonio_CM05836:UA:49423162:49423553:1 gene:DexiUA01G0024090 transcript:DexiUA01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPGLGNNLSVGQKQLLALARVLIETPQVLILDEATASIDSGTEQAIQLALAAVRDHTTLVVIAHRLSTIVDADTILVLHRGQAVERGTHRELLEAKGRYWQMYQLQLAGEELAASVREDESLSA >Dexi9B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:9B:12322837:12325121:1 gene:Dexi9B01G0017520 transcript:Dexi9B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSRPWAELQHDLLVTIMTRVGAPDLLTGGAPRACSSWRAAARDPLAWRRVDLRDWAALTSARRASSSRVPVHAALSGILGVAATLAEGRIEAVLLPEFADEDHLLFLAERCPNLQYFSLPSTCMTYDKFCKAIGELHSLKGMAVDETLINYDVLLHVHQCCPNFVELKVSALYVDEELASVICTSLPQLKKLEIPSSDMPAAVIIKFLDCLEELEYLDISGYETPAISSVVLDKASRLKVFLWNSKFELGEFVDCSNCGEHNINPEEPCKCMMEYKVMDWLAGPA >Dexi7B01G0023530.1:cds pep primary_assembly:Fonio_CM05836:7B:28111846:28112381:-1 gene:Dexi7B01G0023530 transcript:Dexi7B01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVRAGVACALLLFLLVLCTTETARANAAVDPVQEQQVPSWRWSPAPAPTPMTPIECGNACAARCAASSRANLCKRACGSCCARCHCVPPGTAGNRHMCPCYDALTTHGGRPKCP >Dexi5A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:5A:13514491:13515372:1 gene:Dexi5A01G0015690 transcript:Dexi5A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDIVLLLLLLPFADAEPWPLCGNGGNYTANSSYQSNLNQLSAVLPKKASSNRNLFATGTAGTTPDEVYALALCRGDINASACNDCVAAGFQDAQQLCPFSKEATMYYDVCLLSFSSIDFLSTTSSNDSGDILLIWNSQNFTESSASISLLLFTLLNDTALSAVNSSRRFTTARMDISSLPTMYCVVQCTPDLTAGECAACLQDFPQLTLMYLDGRRGGRVLRVRCNMRYEIYPFYQGDPMLRIISLATAVPAINNTPPGAPVTVFPQPPPAVPPPAAIIPAGPAQEHKGT >Dexi1B01G0024870.1:cds pep primary_assembly:Fonio_CM05836:1B:30013055:30018625:-1 gene:Dexi1B01G0024870 transcript:Dexi1B01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPARSVLLLFATAVAMVATSPPPCLAAHNITAILSGRRDLSEFSRELTATGLADDIDGRNTITVLAVDDARMAALKARGLPRETLRHVLSLHVLVDYYDDAKLHALPGGSADVSTLFQASGDAPGNAGMVEISDRRGGRVSFVPQDGGASAAVFYVKPVHQTPYNISVLQVSGVLSSPAAEAPASPEASSRIDAMDVMSKTGCGRFAGLVGSTAGAASAFGSHTHSTDGFTLFCPDDKAVEAFEPAFKKLSSDARLAVVLYHGVAGHFSLQGLRSHSHHHDYLKTLSSLDDKGNGTFDLMLLHDGDKATLVSETQNEARVTRTLADAYPVAVYMIDAVLVPFNSDGDSGRKDGGDGRQTSGAARGSAPWWPPRGWVASAALVFTLVAAFPIAFRGLHQTDAHASTLSAPDAAEVVANVVCLLVVPALWTLPFGRKETREIRPALLPLRMFICRPRPRARAGPAGWVKENFGILSGRLADAASLQLGPRSLATHGGNASTCGFIRSCVRTCLRAPKHRDAEKAPAAPPAADDSASSDGEERDTAGTTLPMANLVRLMRQVIPKGIKVATSAKHLTHDCAVEFVGFVAGEASEHARVQHRRIIAPEDFTCAFQSLGLDDYVQPMSTYIRRYREQHNAYGRVVATRPPSDAAAMATVTASGVPCSSCQEMQHMRSSMVPPLAAELILIRTLKSPSDEAPLGGGARALSQSPPRASED >Dexi6A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:6A:27334641:27339071:-1 gene:Dexi6A01G0019690 transcript:Dexi6A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSPLAPNVIDKLYPEPERPVACGNGVGSPLAVATGLAPEISGQETRRPQDQKQAGKGLPLPLQLPPPHPNRKSPQPSPDPATLAREAADASARKRKAADGGEAGPDDTTAAAAPIPHAEEDAAMGDVPHAAEEGAGAVPEPKVADPNPSPSLGGCSDPPVSVELSMGGDYYQRGCCGEPDLDIPEGPKLPYVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVDRIMIKIEQCKKTLLSLGYIEFTFEDFFSIFIDLLESVLQGHETSIGFVTSGEIQRRSEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDTGNLSVNHHDFIPSANASEGDGDSGTTSAPAAEKPYITLLYRPGHYDILYPK >Dexi9A01G0043510.1:cds pep primary_assembly:Fonio_CM05836:9A:46934128:46934682:1 gene:Dexi9A01G0043510 transcript:Dexi9A01G0043510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAETLLPGGGGAAASASNDEYEERAYDSDDKVSISISDSDGEADESSRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVATGKHIAELCREEYPPWATRALWVMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITALDW >Dexi5B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:5B:8036557:8036799:-1 gene:Dexi5B01G0011320 transcript:Dexi5B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLFLRVIVSATDESLTGELEEAAATREQRQEKWTFPPVMARRRRDAGGAEEEEERWLVACLEWPRVDRKSAWMQIV >Dexi3B01G0036750.1:cds pep primary_assembly:Fonio_CM05836:3B:39622840:39624443:1 gene:Dexi3B01G0036750 transcript:Dexi3B01G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLAIACCIVLVVLLPASPATARTVVTRLPGFDGPLPFHLETGYVDVEETTGTELFYYFVESERSPATDPVVLWLTGGPRCSAFSGLAFEVGPLNFVLQPYDGTLPRLVYSPDSWTRVASMVFLDSPVGSGFSYARDPKGYDIGDISSSRQVVTFLRKV >Dexi9B01G0035660.1:cds pep primary_assembly:Fonio_CM05836:9B:37252477:37253381:1 gene:Dexi9B01G0035660 transcript:Dexi9B01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKKSRTSAPDPPKAAARLPWQPPAPPVPTALLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPPSDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGRIINASLPEAVALRSSRGYESPESKLLMRWTVLSSDLLVFFPAALWFVWAYMRGGIGITVEERREGWMWLLAMVLISPCLVLIDHGHFQYNCISLGLALGAIAGVLSRNELAAAALFTLAINHKQVSLF >Dexi8B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:8B:6019287:6020184:1 gene:Dexi8B01G0005770 transcript:Dexi8B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEATVAAGGEDRISALPDEFLHHVLFFLPSYDVVRTCVISRRWRHLWRSTRALRITRSPHDSWRPWTVNSFGNSFLFLRGCAPLDELEVACGEIHSGFGGICTGNDDEDVERERSVELSRRGVVR >Dexi5A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:5A:23033104:23034660:1 gene:Dexi5A01G0019370 transcript:Dexi5A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDKAIESYKKAVTAAASLAASAMLVRGVVNELVPYEVRDFLFSGLGYLRSRMSSQHTVVIEETEGWATNQLYDAARTYLATRINTDMQRLRVSRVDEGKSLMFSMEEGEEMADVHEGAEFKWRLVCRDNPGAGAGNGNGGRGGNGNYRVEVRSFEMSFHKKHKEKAITSYLPHILATAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKMKQSVMDDLERFVKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSIDLQQRAEEGQDGTKSSPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSISDHDTYPEIEDLITEVMVTPAEVAEVLMRNDDTDIALEGLIQFLKKKRSAAKDSSDENVDQMAKEDGKEIMKQDVSGDQNLNNAGKE >Dexi6B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:6B:22975418:22977651:1 gene:Dexi6B01G0015670 transcript:Dexi6B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWVSKDTRSVMSFDHKDERVAFVTTLPVRVQLCLDRSWHLTIDTTGRMLGLPCGCWIWKETSRKERGFSSSPSWSQGTSHFKSSPGLTSPMVIGEHVLTTRVRGSGSGWLGLHACWLRMGEARTTMRRGKAQTRWPPAISLYDDYCVHGPYAKTTEPFIRTFAYVETTEPLALL >Dexi9B01G0042760.1:cds pep primary_assembly:Fonio_CM05836:9B:42930735:42931576:1 gene:Dexi9B01G0042760 transcript:Dexi9B01G0042760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPGGGGAAASASNDEYEERAYDSDDKVSISISDSDGEADESSRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITALDCM >Dexi2B01G0030090.1:cds pep primary_assembly:Fonio_CM05836:2B:38341353:38346089:1 gene:Dexi2B01G0030090 transcript:Dexi2B01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMVE >Dexi3B01G0026160.1:cds pep primary_assembly:Fonio_CM05836:3B:21140433:21142935:1 gene:Dexi3B01G0026160 transcript:Dexi3B01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEGSELYLLSRFAPSSSSAQLILNRAMDHHHHHHQDLSSELDPTLLMSTTSTTSSSRRAAAQVRVLHGLQLQHXXXXPPHYTFSVTNLSCPAPPRRSTTTALLPPFLSYFFSSSSSSAAGAGDDGLLLKSVSFTASSSNILAVVGPSGAGKSTLLRILSGRGTGSEISNPGTSVSLNGHAITSRSKLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRSSSAAATARERRLERVDALIHELGLSEVADSYVGGGGGGGARGVSGGERKRVSIAVDMVHDPPVLLLDEPTSGLDSRSAMDVLALLHGVSRARRQVVVLSIHQPSYRMLAGYISSLLLLSHGAVAHSGTLKSLEDALVRLGHKIPIQLNPLELAMEVTDQLKEQQHNHHHHAHADNNDEEEDDHDEMSLIVNNNNDDDEVPDEQGYCSRWTEVSALTVRCWRTMYRTRELFAARAAQAVVGGLGLGSVYFRLSPDNPDGVALRLGLFAFTLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANAAVFAPCLLAVSLLFSAPLYWMAGLRAAAGCFGFFVLAVWLIVLMASSLVLFLSAVSPDFVLGNALICVCLGVFFLFSGYFIPKGSIPKYWAFMYYVSMYRYPLDLLLINEYGGSARGNCVAWVGGHGGGGNNSAGAMAGGVCLRTGADVLRDRGIDEGMKWVNVGVMLGFFLLYRVMCWAVLVRRASKTTL >Dexi7A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:7A:20657341:20658270:-1 gene:Dexi7A01G0009680 transcript:Dexi7A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKGLPRTTPARERQVRRNARRSSPFLRRPEDGSSTRSGCAVVYTLSISSVDKRILILPILWSFLSATPARRALWKTARTSHVYCSFHFGLHESVTVRVRTHLFPYLATQYASVVALRPSPASVFVGVSSCAARTPTSSTATGGRHSWRAVGRASLAYMAGSSMTWYAPSRHARSRTRPPPPAAKEKKAAGSGERPNGSRENHCGWTWRWASRRFSKRASGSTARPMMMTSCLLFPGCTRASMMSTAGSSKGSATVNTSSSFHVKLAGVIAPAAAQRQGLVLSTMPPTCTLANTSTC >Dexi6B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:6B:8702461:8703420:1 gene:Dexi6B01G0007470 transcript:Dexi6B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRALSMAVAMALLAAAASGDSWLYEEFTTDGHVRADYNAQGQQVTSLILDRQSGGAFYSRQKYLYGQFSIQLKLIPGNSAGTVTSFYLTSGDGPGHDEIDMEFMGNETGQPVVLNTNVWANGDGKKEQQFYLWFDPTTDFHTYTIIWNDKNIIFKVDDLFIRSYRRYGDLPYPGGKPMSVHATLWDGSFWATQQGKVKVDWSSAPFVVNYKGYAVDACTSNGDGRPLSCPAGTDRWMNRQLDGAEWGTVAWAKQNYMHYNYCKDGWRFPQGFPAECSRT >Dexi5A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:5A:11376869:11378808:-1 gene:Dexi5A01G0014380 transcript:Dexi5A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPVSKKEQPKQVVAISLNELKKITRNFSNDALAGMGSHARVFLGEGKDGRKYAVEMLDHTKLAVKELENDFLLKSLTTSMYSMGIVLLELLTGRRASDHTKPRGQQILTLWATPIIRRGKVHQYADPRLGGEYPPKDVSKVILLMMHSNLF >Dexi9B01G0026050.1:cds pep primary_assembly:Fonio_CM05836:9B:27721912:27723681:-1 gene:Dexi9B01G0026050 transcript:Dexi9B01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHVAEAGEVGVCWGTIGDNIPDPGKVVQLLKQNSITMVRIYDTNSGIINALANTGIKLMVALPNEQLDHAASSPSYARQWVQDNVAMYYPATLINGIAVGNEVFDSARNLNQQLVPAMSNVHRALVSLRLDSSIKVSTPVAFSALKVSWRPSQAVFRDDIPHSVISDLVAFLRQTGASFMINVYPFRAYLDDPQNISLEYWTFQQNDGQVDNFTGRRYYSLYDAQMDALRYAIGRVPTASSLRASLAQGTRADDIPLTSSETGCSCWNFPPKHCPCKNFANGLIAHTLGASSSAAASKYTSSLLGSTGGGATSAYIFALFNEDQKTGDDSERQFGLFDPTNMQPVYDVDFVHGSGPAPTPATANSWCVANAAVGDTRLWAALNWSCSHGADCSAIQRGARCFSSDTFVAHATYAFNDYYQRNGRDSSTCDFKGAGSIVYKQPNICDPNQASWCVANAAVGDAQLQDALNWACRNGADCSAIQRGARCFDPDTMVSHASYAFNDYYQRNGRVASACDFTGAGSIVYQAPSESTLMILLR >Dexi5A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:5A:5838116:5838907:1 gene:Dexi5A01G0007840 transcript:Dexi5A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFHVTEEDHDQLGPETEAEPEDAPACGNGGAGGGGSDSSSSSTTTTTTATAAAGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMSSAFAPPPHLLGGGGDAAPTSWVYFSSPRAAAVAGGQQFHVSHGCVFPSSRGGAPAVTAASPAVFSYTPAPSSAAASAPFVADDHGGRRVHASQVATLAARYHPGMVVAEPVVAGGTEDAMGLDLQLSLAPAGL >Dexi5B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:5B:8456017:8459487:1 gene:Dexi5B01G0011900 transcript:Dexi5B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRSRGGKPASAPPRRARQPPAAARVEGARSCVGRGSESGGQPGRRLAQRGMRVRQAPGWRLEWAGGLMGYEPGQAFNDVKEEAMLDISPTESTEFWLIQWPKDQLDVSDFHGKELSLKLHKDGNLGSLESSSVGKISRRVCLARYPEPEELAKPTFGALTPSSKISAVSSRKTKSRFTSASKNRSSQGSALSLGQWSAEPTPKHKQKRKDGSGLGPSNMSGKASEGSQARGGESNTASEMPQSSSEKSKKKKKVRIME >Dexi9B01G0033640.1:cds pep primary_assembly:Fonio_CM05836:9B:35776022:35777546:-1 gene:Dexi9B01G0033640 transcript:Dexi9B01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGQVWLLWATLTVSLLYILSSLRRRHSSGRRLPPGPRPLPVIGNALDLRGNLHHTLARLACTWGPSRPWPSPPGTPPIMEAFTKHDRRLAGRYVVDAVRALGWADRSLLNMPSSDPLWKLQHSVLASHAFSPRGLAAARGVRERKVRELLGHIRARAGQEVELGRALYGGLINLVSSAFFSVDVVDMDAAAGESAHGIREHVGNIADLMTKANVSDLFPFLQPLDLQGLRRAAARHLGEIFRIVDGIIERRLAENAASGDGSGEHGDFLQVLLDLMSTGKVDRDTVKAIVFEIFLTGGETTTVTVEWAMAELLRNPSAMAKLRAEISGALGGKETIEEADVAALPYLQAVVKEAMRLHPVGPLLAPHKAVDDGVEVCGYAVPKGCTVFINVWAMMRDPAVWDNPEEFMPERFLGKAAEVDFKGKDFGIFPFGYGRRQCPGMPMAERVVPHVLASLLHAFEWRLPEGMSAEQLDLAERFTTSNTLAVPLKVVPISVAA >Dexi3B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:3B:10479489:10484746:-1 gene:Dexi3B01G0014530 transcript:Dexi3B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPFFYLKMGSHDGEAELPPPPPVPPDVVPIKADDVVGESPPDKPVKPKRVPMARPGVGRKGQPIQLYSNHFKVSVNSIEDFFFHYHVNLKYEDDQPVDGKGIGRKVIDKLQQTYHSELSNKEFAYDGEKSLFTVGALPQVNNEFTVVLDDAFAGKTAANGSPGGNDSPGGGDRKRVRRSYQPKIFKVELNEAAKIPMSAIGQVIRGQESENSLEAVRVLDIILRQHSAKQGCLIVRQSFFHNNPSNFVDLGGGVVGCRGFHSSFRGTQSGLSLNMDVSTTMIVKPGPVIDFLLANQKVEHPSRIDWKKAKLALKSLRIKTIHANTEFKIVGLSERNCKEQTFPLKQRNGSNGDADTVEITVYDYYMKKGIELRYSGDLPCINAGRLKRPTYFPIELCNLIPLQRYTKALSTLQRSSLVEKSRQKPQERMTTLNDALQHSNYDSDPMLRSCGISIAPKFTQIDGRVLQAPKLKAANGEDILTRNGRWNFTNKLGGMNSLLQIETSPAIPHVSKVPTIILGMDVSHGHPGQDRPSIAAVVSSRQWPLISKYRASVHTQSPRLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIIFRDGVSESQFTQVINIELDQIIEGTTRPTHYHVLHDEIGFSADEMQEFVHSLSYVYQRSTTAISVVAPVCYAHLAAAQIGTFLKFEEMSDASSSQGGHTSVGSAPVPELPRLHEKVRSSMFFC >Dexi3A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:3A:16313776:16315656:1 gene:Dexi3A01G0020550 transcript:Dexi3A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGPSELFTTRTSFPMEQHLFLRGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANASTSKNGLGCTAIADRLPGTSVSTISSTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANHAKIKISELVSQVSNECFSNTISDIKESSSMHRLEPKQIQFVESSTNSYLTAAEGFIKEHRLQHHGVLKTYDDSLLFCRKGSHEHDTQFALNRSLSERRMAHLQNEKEFSKAGFAYESDTEMAPEYITPQKNDGGSTTSSASGSKGDAEKPYLDEPNCTRQEVEYPRESKFLDFEHPCPGKKLDLNTHNVDDTDQSFRHFDLNGFGWS >Dexi7B01G0023550.1:cds pep primary_assembly:Fonio_CM05836:7B:28118685:28119480:1 gene:Dexi7B01G0023550 transcript:Dexi7B01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCKEENTVGDSTASTKLKREQSSEAAREGQRRGPVPSAVAGAQLQPLEAPAPPPQPSKPSVAGADAAKRQPFQRQRPRGPVLAAVGVIRARAGAVNAGGGVDEGERLEARQELEPAEREGADGGEVERREAGQGGAGSEQRGGGDRRIGQEPQRGEPGGYRRGADRDQQGVTVAGRRSSSSGDDGQGGEERCVSRTARAAATVAAAPGAEAGRRRVRDEVEALRSESQRRETRDKAGAAAGGRRRNTSCSRSSQSAASVPA >Dexi4B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:4B:21129400:21130997:1 gene:Dexi4B01G0018860 transcript:Dexi4B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRVVSPNLVGPPVGFLRAAAAHPAGPPAPAPAKRLTENASPTFASSGDPCLDFFFHVVPGTPAARVASLLADAWAAEPTTALRLACNLLGVRSTGKSDRKGFYAAALWIHGHHPATLALNAPSIVEFGCLKVLPEILHRIVLDDAGSKPGKKAEARLTGAAQGLLRLDTKECAAAFMELERKIERRRRAEAAARPVERYGRDPNYRFLHDCTAGMLADLLAGDLQKLADGKLSEISLAGKWCPSLNCRYDRSTLMCEAIARRLFPKGSAPDLAADMAEEHYHDQERFKLYLADVEAGKEKIAAGALLPHEILASIDGDGVADLQWARMVSDLRELGKLSNCIAVCDVSGSMHGLPMDVCVALGLLVSELSDEPWHHRLITFSERPELHQITGKSLWEKTDFIRQMHWMMNTDFQAVFDKLLGVAVAGNLPPERMVRKVFVFSDMEFDEASSRPWETDYEAITRKFTEAGYGTVVPEIVFWNLRDSMSVPR >Dexi5B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:5B:6510933:6511337:-1 gene:Dexi5B01G0009490 transcript:Dexi5B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLVPAFIALQIITTMTLFFPAAVHGCEPSCSNPSPPPPPAVPTPSGATCPIDTADLSVCVDFLDSLLHIGLNVAPSQQCCPLLQPLASADAALCVCGVIKALNLNVPVDINLLLNKCDMPPCPPGFTCPLY >Dexi9A01G0022010.1:cds pep primary_assembly:Fonio_CM05836:9A:16960500:16968242:-1 gene:Dexi9A01G0022010 transcript:Dexi9A01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYEARSPPRPPHHKKRDPPVRRLSWSVDERSLTDAFSSFGTVTEDGARDRCSTRCRGGREPLHREVFDEMPVRDIVACSAAIYRHARSGSFHQSVGLFVGMTRDGVCPNSFTLVGVLLAAAGLGDTVLAKCIHGWAVKRRLESNPFVATALVDAYAKFKFGLDLNVSVTNSIVGMYLSFGDIEIGREIFRKIIVHDVVTWTMMMGFLLELAHASEVISLFVQMRFELCVQLHGYSYKSAHKVFDDMISRDVVSWNTMILSYGINGQGRQAIALFNDMEESSEERDSVTYLNTMLACSHSGLVDDGLIVFRKMINENRINPCQEHIGCLVDMLARAGRLDEAAEVASLTNKVGSNSWKALMGGGYLHNDTELTEVAAEKVLKMKSIDYGHVVLLSNTYASAGNWAACCTTGGLPPPGVRASLLLAAVVACKRLCRLGLVRCSCCVSVGWAATHAWAERRAEPAVAACLLLAVVPDAECSARCCTHAKESRAER >Dexi4B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:4B:6449354:6450547:1 gene:Dexi4B01G0009030 transcript:Dexi4B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRLLPLFGAASPIPSPIHYGARPLLSNSASASPPPSPSTFSVGEHLAAASSLAPTRSRDTVKRGSKDSNTKSFKDLSLPRLNSASNPDAVLALLSSVGLSRADIDAVVAADPLLLRSSAEDIGPRLIQLRDRYGLSAPQIFRFLLCGSPALRRRDLGPSLEFFVSFFGSFEQLLMIMKKNNRILSVDLETVIKPNIATLRQYDIDVREIAHLCLRSVWILTFNPQRIKEFVLRAEELGVHRSSRMFKNAVGAVASIKKERVAPKLNFLKSTIGCSEKEVAILVSKMPGILGISEEKLACKIQFLLNVVGLEPRYIVDRPALLGYSLEKRLVPRHCVMKVLLAEGLLKCSRSFYSLAKLGEEAFKLRFVDCHKNSVPGLADVYAAACAGGVPS >Dexi5A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:5A:9586584:9587023:-1 gene:Dexi5A01G0012740 transcript:Dexi5A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRSWSQRSTTAISVVAPICYAHLAAAQVGQFMEFEEMSETSSSHGGHTSAGSVPVQELPRLHEKVRSSMFFC >Dexi9A01G0040210.1:cds pep primary_assembly:Fonio_CM05836:9A:44042630:44044907:-1 gene:Dexi9A01G0040210 transcript:Dexi9A01G0040210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDGGSAAAAAAARRYTTQQQPPPPQLQRHQPQLGTVLHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHADVATMRNTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKSLLQMLPGLEKNGGFGADVGVRLLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALFAICRDEGFRGLYKGLGATLLGVGPSIAVSFSVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAGGRARVYHTGLFGTFGHIVHTEGFRGLYRGILPEYCKVVPGVGIVFMTYEMLKAILTGLESDD >Dexi7A01G0022890.1:cds pep primary_assembly:Fonio_CM05836:7A:31045377:31046845:1 gene:Dexi7A01G0022890 transcript:Dexi7A01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSAPFQVDGDRVAQGGRENLSITASPGVTEDLVAGFEYGKVSSTELVQAVHDMLSAAGVRMDAEKETLLQTTLSLQDQLKESQVSLLVEQEKAETAVREADVAKAAWSCRICLNAEVNMTIVPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >Dexi3A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:3A:6744186:6750820:1 gene:Dexi3A01G0009620 transcript:Dexi3A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEEAGDAVMAYALAAIADEGAGPGETAAALSALCDMLSLSGPEFIAAIPASPLAERLPRLAAAPAAGAADDGDVPLLAVRAMAEACEGAPLWAVRFAQHGAIEALRDRLLAVHCIELADECLRALDAISTECPNVCLSRGVAAAVLQFFDFFSTNKQKVALQIVSNIFIDYAEAYAPTAMEAVPALCNLLQSSDKTILESAISCLALAAAGASKNSEHMGKLCETNAVEATISLMGNEGWKSINDDTLTGILGLLKNLASVSAKAVKSLFELDFCELLKQMITYYSSFHLDSDKMQMLVELIYHLIPPLGASEQHAKLFTAKKNVIMGQSTYMNQLASIAILIVQVAKSAALTSLCYSCVVVISNIVELSTPDFLMELQKSVNLSSFLTCLLSRKNRHIVFQALKISRTLLEKRQHFFLETFTKEGVKYSIDSILSQEKNSSHQSKGNNNVKESCLCFDLESSSTGEACRIENNAVMKLAEEIKKSLFSVKGSKISPYRFGFALKSFRDFFARLNVHTATPSIKNPDSCKQLSDLSRRLLSDELPATSTFEFVHSGSVKHLAIYLSNGAYRNEDFSNGHEVLGQLNEVQSRLLKFASLALTMSNEGSANPLGILVEKLLDTLHLCYDSFPVMLSDEQRARESVMIPLRYTEEPTSLELKFRKSLREKELRNYNDVLSVNLFSTPDAIEPVLFSEVCRDQEPASKNSNQEKEANGSRKLGESKNAVLDGRILDIPLSKAFYKIMLEQELDIYDIPSFDPELGKTLIEFQALVSRKKFLETSSRTSSPTAFLSYRNMKLEDLCLDFTLPGSPEYELIPGGSQKMVTLDSLEEYVSLVVDATLKSGIAKQIEGFKSGVNEVFALKTFKMFTEKEMERILCGEQDAWALKNIEDHMEFEHGYDMSSPTIITVLEILREFGREEQRAFIQFTTGAPQLPLGGLASLDPKLTVVRKQCDGNIDDELPSVNTCRHFIKLPSYSSKEIMKKKLKYAITEGLGSFHLS >Dexi3A01G0035610.1:cds pep primary_assembly:Fonio_CM05836:3A:40824576:40829833:1 gene:Dexi3A01G0035610 transcript:Dexi3A01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANATKCPALMKATSQGAFQGENPLDFALPVAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSQKFLHAVFPAQSMTVLDVLANLGLLFFLFLVGLELDISAIRRTGKKALAIAIAGISVPFALGIGTSFAFRSTIVKGAPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIISLWVLLTAAGFVIAVCLFLRPVLAWMARRSPEGEPVKEVYICATLAIVLAAGFVTDTIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIKGAKSWGLLVLVIANACIGKIGGTVVTSLFVKIPVREAVTLGFLMNTKGLVELIVLNIGRDRHVLNDESFAILVLMALFTTFITTPIVMAIYKPARKTVPYKRRTVECAAGDADTELRVLACFHTNRHIPTLLNLVEASRGTGRRRLTMYAMHLVELSERSSAISLVQRARRDGMPFFNSKEQRTEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSAGDKRAAIVIMPYHKALHHDGTFQSLGSAYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVAALFFGGPDDREALAYATRMAEHPGVAVTLARFQPSRPQPEEEDAADEVAVEAFKAKVGAVKDGSVRFEEVPEGNTREQVVETIESLSGFNVFVVGRMPPTAPLVERPDELGPVGSYLVSPEFRTSASVLVVKRYDPATNPKSKRFDPKARPPAATEEDVLDEEIGGGAGGSAAVVPVSQSPI >Dexi5A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:5A:11985041:11986597:-1 gene:Dexi5A01G0014800 transcript:Dexi5A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTWAKLEQKGAGPGARSSHAITLVGDTAYAFGGEFTPRVPVDNTMYAFDLKTQTWSALTTTTGDVPPPRVGVTMAAVGATVYMFGGRDLEHKELNELYSFDTSTRTWALLSSGPPHRSYHSMVADDTSGKVYVFGGCGDAGRLNDLWSYDVSAGSWEKLPSPGEACRPRGGPGLAVAGGKIWVVYGFGGEELDDVHCYDPATGKWSAVETTGSDKPSPRSVFCAAGIGKHVVVFGGEVDPSDLGHLGAGKFSPEAFALDTETGEWARLDDAAKDRHHPGPRGWCAFAAGEKDGRRGLLVYGGNSPTNDRLDDIYFFAPVLN >Dexi5A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:5A:19412217:19415374:-1 gene:Dexi5A01G0016760 transcript:Dexi5A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFTTLIVNKMKEASMFAGQGGPIILAQIENEYGDIMAQLNNNRSASQYIHWCADMANKQKVGVPWIMCQQNHDLPHNVVGYHGGTNFSRTTGGPYLTTSYDYDAPLDEYGNIRQPKYGHLKNLHNVLLSMETTLVHGEYNESSYGENITLHDRDVNVTLGGTHLVPAWSVSILPDCKTVAFNTAKIKTPASVMVMRPSTAEEPEALNWSWMPEKLRPFMTDDCGSFRKNQLLEQITTSGQKHSTNSDYAFQLESLAKLHSGKNYISLLSGTVGLTNYGALFELVPAGIVGGPVKLVGPNGTAIDLTKNSWSYATGLDGEHRQIHLDKPGNNWRGHNEGIVPVYRPFTWYKTSFDAPAGEEAVVVDLLGLNKGAAWVNGNSLGRYWPSYAAAEMDGCHVCDYRREFNAAGDESDLQCLTGCGEPSQRFYHVPRSFLRRDGEPNTLILFEEAGGDPTRAAFRTVAVATTACASPGAEVCGEHGVIAGVDVVRGSCGAYYEGGCETKAALQTFTAACVGRE >Dexi3B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:3B:4517379:4528658:1 gene:Dexi3B01G0006520 transcript:Dexi3B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTAAGLSITAAARASPPPQRRSGSLQLRQRYRRPTRAADLSASGKAANQTTNDRARAANAAAMDGWAAELEAPVAVVTGASRGIGRAIAVALGKAGCKIEESGGTAISFAADISCEADVESMMRTVIDAWGSLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAATGRIINIASVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINVNAVAPGWVASDMTAKLGDDIEQKALETIPLGRFGRPEEVAGLVEFLAVHPAASYITGQVLPVDGGLSI >Dexi1A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:1A:18927406:18933234:1 gene:Dexi1A01G0013650 transcript:Dexi1A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRAWRASSNLLGFAASRAATSSFTAKPLYLRLRCCSGAAATTNQKPLPQDRRRRSASTSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHMTKELQEEYTHLENGEVREEAAVSIAGRIVARRAFGKLIFMSVRDDTGTIQLYCEKDNLTDDQFEQLKEFVDIGDILGASGSIKKTEKGELSVNMKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVVSEIRKTVESFGFIEVETPVLQVGGLERVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIVTRCAMATHGKLKVDYQGTEISLERPWRRETMHSLVKEATGINFSSFGQDVESAKSAARGLLGIKVGSSESTSLQSCSSVGHVLNEVA >Dexi9B01G0045300.1:cds pep primary_assembly:Fonio_CM05836:9B:44822561:44825179:-1 gene:Dexi9B01G0045300 transcript:Dexi9B01G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKDVGVMAEAQVAQPLGRWPVLSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAVVMLSGQVADGLMTILAGEMIDRFGCFKLWHIGGSVLVGVSTSFRSMVNCMTMNPTSRVALASCRNAFTMVANLGLYAIALAIFGVIKAKECSDIVLQYRWIAYLSIFIGCCFLVVFHIGTKEPNLKSESNCKKKVRICWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTKDLRMNEYSKAIIPAIIFCCSFVVSVVLQEIKWNSRRLKSLLTFGAILWVISGVAVFVLPSQMNNLMYPLAMVIGAANALVMVTTVGLESALVGEDLNGCAFVYGSLSFLDKISCGIALFVLESYEDTISCGETRGLNTVSRYGTGLIPSCFAVLSLVVTSTLRLQDAATPATAALEAPLLV >Dexi5A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:5A:3776728:3777138:1 gene:Dexi5A01G0004940 transcript:Dexi5A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGSGRQPGKAAREKEAGSGIETGKAAGVDKDTASSGGTAAPPPRRKKKDWTPEEGKAADEFLTAAIADYHEDVEEEYRRAGKLHKYDAETELQKRCARVAKKHPPPAGFFPALEKYFNLFEDDDD >Dexi8A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:8A:19475007:19482885:-1 gene:Dexi8A01G0011020 transcript:Dexi8A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFAYSPVETAKVELVQFGVLSPDEIRQMSVVQIEHAETMEKGKPKIGGLSDPRMGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEESYKFKQALKIRNPKNRLRRIYDACKTKKFCGGGDDLCIQEQQGTDELVKKSGGCGAQQPNITVEGMKMVVEFKAPKKKNDDNGQLPEPVERKQILSAERVLDVLKRISDEDCLLLGLNPKFVRPDWMILQVLPIPPPPVRPSVMMDTSSRSEATQRSGRPIKSICSRLKAKEGRVRGNLMGKRVDFSARTVITPDPNISIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKNSDLHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRVKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNKPVMGIVQDTLLGCRKITKRDTLIDKDVFMNILMWWEDFDGKIPAPAILKPRPLWTGKQVFNLIIPKKINLIRFSSWNSENENKFITPGDTVVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAASKFLGHTQWLVNYWLLQTSFSVGIGDTIADKASMDKINVRVSKAKIEVQELIRKAHNKQLEAQPGRTMMESFENELNLVLNKARDEVGASAQNSLSESNNLKAMATAGSKGSFLNISQMAACVGQQNVEGKRIPFGFSCRTLPHFTKDDYGPESRGFVENSYLKGLTPQEFFFHAIGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGVDAVWIEDQKLDTLHLKKDEFDNLFRYELDDENWRPNYLPPVHVDDLKTIIEFRSVLDAEVQKLEADRFQLGTEIATNGAKSWPMPVNLKRLIWNAQKTFKIDTRTCSDMHPMEIVEAIDKLQERLKVVYGDDDMSIEAQKNATLLFNIHLRATFASKRVLSEYRLTREAFGWIIDEIATRFSQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHFAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPGVNKEKELAKNVQCALEYTTLRSVTHATEVWYDPDPKGTIIDEDVEFVQSYYEMPDEDIDSDNISPWLLRIELDREMMVDKKLSMADIAEKINREFDDDLSCIISDDNADKLILRLRITTDGASNGDMQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKEVKVNKFGENGSFKSVNEWMLDTDGVNLLAVMCHEDVDATRTTSNHLVEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGSCTLFLNDQMLKESFITLIQPSITCTQPYITLIQPSITCIQPYITLTQPSITCIQPCIALVQPSITYIQPYLAWLQPDLTNLQLNIAKLQPNFTKLQSFVCQVFSEHLLSKQPKDDSRQPDFFRLQPNFTNILTDFTSVFTAKSVIQPNQSN >Dexi3B01G0031900.1:cds pep primary_assembly:Fonio_CM05836:3B:33908542:33911932:1 gene:Dexi3B01G0031900 transcript:Dexi3B01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGGAPKRCYYEVLGLSRDCSPTDIKLAFRRLALSLHPDKQGPGADLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDASAGGGAKSASPVPDLFAFFSSSAFSGFSDTGRGFFKVYGDVFDRVFAQELAYARRMGVPDPAAPPVIGNLDSPYAQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRLRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRRAEEKEKKKEEEKRKKERAMAYQEPDWARVEEEEGLYDDEEEEELRAKKKEELYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMAFKEEEESLKETEEEGEGDWNEVDVGFDFKPTQESDDESAFSDAAEELAEDLEEVLEVHDNGDGDTVFDSTEQEVGSYDEASVLEAMLSSRKNRKGTYVAPPEEALSSAVEDDDDDRSSEVNNTKRRGRRRRAAKKQQDEDTYADNEQPGKSEVQPEESSNDVDEKMDGPSSSNDDSASVSKGDEQNGKNSNPKNNKKNKKGTEKKTAVSADQKGTTKADQKSTSKGKKQKEVSKAPSNDCETCGGTFESSGPEFSFRVM >Dexi5A01G0027730.1:cds pep primary_assembly:Fonio_CM05836:5A:31130641:31137954:1 gene:Dexi5A01G0027730 transcript:Dexi5A01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGMPIELEPGEGQVEPGRASRQRSCRNRKRNETRKDNMKARHHHLPAARAPPASPLPCPAPVPLPANQEPWGWGDTLVWYDSETNSDWRERPTRPCGAPHTTPTNQEPPVNAASGVGARGGGALDEAIQSPHGPRLLPPPAPPVPLVVLFHRPLSPPTPLRFLLLLLPRILVDLSYNAKQNTHTFMEVFQEVLEHGSANGVRTAIRADKKSYSLLQLIAASLDMTQNGIQDSSFKGINGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMVLSTKEHHESMENLSIKCSAYCSLLPSITSIPSEEVNPQEPSSNEVTSSVSSLITEINSSNKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVEFIPKFSVSGIWQRWRESYPNDASKNDEAITVFTGVPTMYTRLLQGYDNMDPDQQSASSYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIMMDDGTETTTGVGELCIRSPSLFKEYWKRPEVTAESFIDGGFFKTGDTVTLDEEGYFIILGRTNADIIKVGGYKLSALEIEAVLLGHDAVLECTVLGLPDEAYGEVVCAIIVPKEDAKKTAEEDSKPALTLEALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGASALSLQI >Dexi3A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:3A:6565978:6566298:-1 gene:Dexi3A01G0009390 transcript:Dexi3A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASPPAKKRSPTPDGSRSPRSPSPRGESPPPKSNGERNGSERGDSPGGMEKENSRSRSRSPSDGNRSPAANGRSPSPRDDRSPSPKGNDEDEAHPASPRGSKSP >Dexi2A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:2A:11237570:11239051:1 gene:Dexi2A01G0010080 transcript:Dexi2A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTPAVESKPPPPHVVLVPFPAHGHVAPHVQLARVLRSRGAHVTLVHTELHYRRLLRARGIDAKAGDDPPAAEDGGIAVEVIPDGLSLDDPPRTLRAHHEAMERNCLEPFKSLLRDMLRRSPPVSCVVADTPMPFAAVAAREVGVPDVQFFTASACGLMGYLQFEHLLAHGVVPLPSGYDTDGSLDKPLEWVPGMHGVRLRDLPTFCRVTAEEDDWLMRFHVHQMKTAASSTAVVLNTFYDMEKDVVDALKPLLPPVYTVGPLATIIASSSSSSPAGGGDDTTATSGIGLLKEDERCMAWLDGKAEGSVLSFGSHANMGGARPGEFAARLARCGSPYLWVLRPEMAAEVAGGEGLVVPWCAQEAVLGHPAVGLFVTHCGWNSILESVAAGVPVLGCPVLSEQTTNWRQASSTAAWGIGAELPQGAGREEVEAMVREMMGGRKGKEARERTREWKRKAEASAREGGSSWENIARFVEDVLLKVKETTTT >Dexi2B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:2B:13177087:13177585:1 gene:Dexi2B01G0011580 transcript:Dexi2B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKLEKLARKGPSSGEQASSTTSSAASDVKAAGGPAESASTSTDKNRNYAVAAGTIAVLSGLGWYLLSKPKKSEEVVD >Dexi1A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:1A:8502089:8502932:-1 gene:Dexi1A01G0010050 transcript:Dexi1A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDREVSSGGTSTSPPQPRGRDAAKKKHPSSSYRGIREPRKKSRIWLGTFPTPEMAAHAHNAAALAVKGPAAVLNFPELVAALPHPASPRDVQAAAALAAAMEPSHHQLAGSSRQQQDEEEFDAIVELPPLDDDELLASWCDAMWIDDDDDASFYVAPAVMHGGALWNL >Dexi2A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:2A:9076084:9077139:-1 gene:Dexi2A01G0009040 transcript:Dexi2A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVREVKRTFSEKRTLLGHISGSACLLSPSFTRPFFFPSCCLFAGMAVIPAARAKRQGSRKFAAAGWRVNPLGTLPLPCLAPPGPAATTVPVSLLLRPTTGQDANAMPPRERSEPHGSSRAPRRPNAPWEHSTPSIPHGMPAALGVRRQPTRNQLHTATQALATPLHLGNQFIQIIHSHIYGRTDGGSIPIDD >Dexi5A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:5A:1646855:1650578:-1 gene:Dexi5A01G0002320 transcript:Dexi5A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCHDNRRGKEVSASDGAGDVVIGKRADAIKLEDALVKLGLIRAAIDSVRRRDEDRMVHMPMQPSSHLGNQPPNQIEPAFPSMASTPVYHVPPRDGKRAFLAHQRRRPASMGLLLHLAAAPPVRRLSPPPLALHSNRRLRALPLVAPDQRRGGSGATMGLSPFPPPRLSSFLVERLLIQPTEKPSPPCLQANLTLL >Dexi6B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:6B:11289394:11295421:1 gene:Dexi6B01G0008920 transcript:Dexi6B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKAANSFKLAKNWSRAASVYIKIANCHLKGDSKHEAASAYVEASNCYKKFSPQEAAQALNQAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLEKATDYLERAADLFDSEGQSSQANTIKQKVAEIAAQLEQYPKATEIFEGIARQSINNNLLKYGVRGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLADLAASMDEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >Dexi1B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:1B:22338403:22341526:-1 gene:Dexi1B01G0015790 transcript:Dexi1B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQGHYMTSVSRDMAVPRKGGLLENYASFRLAFALIMGALMFMMMSLRHGKDSD >Dexi7A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:7A:27174558:27176185:-1 gene:Dexi7A01G0017510 transcript:Dexi7A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAHTGATTSLYSPAGTATLLRSRKRRVAFPSRVRVSCSTVVIGLGGDSGCGKRTFVRRLASVLGDGAAPPRGGNPESNTLVGDTATVICLDDYHSLDRPARKARGLTALDPRANDFDLMYEQVKAIKEGRAVEKPVYNHVTGLLDAPELVRDMAERGQSLGSITASIEARKPDFDAYIDPQKQYADAVIEVLPTKLIPDEEEVGKVLRVRLIMKEDVKHFAPVYLFDEGSTINWIPCGRKLSCSYPGIRFAYGFGTYFGHEVSVLEMDGQFDRLEELLYVETHLSNLSTKFYGEATQQMLSHADFPGSNNGTGLFQTILGFKIRDLYEQIVAERDAVAADAVKA >Dexi6A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:6A:5821504:5828597:1 gene:Dexi6A01G0006140 transcript:Dexi6A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGRRTPAMIGRNGVAYGSSSALSLNQADLLDSHHLQQAFQQQLFDQIPAGAVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPSQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENAQLRAENDKLRAENMRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGVVQHGSLGADHLFGVGAGSGELLRNVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGTGTAGAQLDEEYGRMFPGGLGPRQYGLRPEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFARYCKNNPDGSWAVVDVSLDGLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGGNPQ >Dexi3A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:3A:6542348:6543031:-1 gene:Dexi3A01G0009350 transcript:Dexi3A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCKSAIDCVDPRAPVRASYVSLYKWPESDAEFVKSVAMARRGGGQESPGASASYYYSSYNGSASMRHRGGVAGGGGYSGELAPGYCSPRVVDSYSCRQMYLRSYTFSKKKETVPERTMACLGRVRERAAVFPFLPQRGGGGSAAASDDAGSVGRSESRDRDEVGLRDRKASRRSRRKKKKQRKRCAMVRRLQEASCGAVRAIFRRLLACTTTVDVADGGAQPAL >Dexi9A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:9A:8127859:8131148:1 gene:Dexi9A01G0012740 transcript:Dexi9A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRTPERQRPAARKAPVVYYLTRSRHLEHPHFVEVPLASPEGLYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLAEDDLVHPATDGEYVLKGSELVDQSSSGQLYPVSSNGNHRQQSRLKEGARQPVAREHSYPSSPPSVIVREAKPRRSPSVPSQDEDNTPSPCRDGSSGTMSPELEPQRNERTQLPASGSASPSEFRVYKPTGCMDAATQTDDLGRRSGRRAPEMRKKSLSTDHDVAVREITEYRQSHPRRSADLQGISRELLSQCATPLSMTSTRGKSESLESLIRADNMKNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDNENIGIVQAYKPRFPNLKFPSPLISRTMMMSELDYLSENPRLMGMKLEDKEYFSGSLIETKMQRDIPAERYSTLKRSSSYNAERGGETLDCTRPDEDTTDTSSRSRCLPRTPILSSFLHTKSDSLKSPVSDCRRSSSARQDCDMASRESSRRFADASITSAVKTDSFRKEDKLVKIEES >Dexi9A01G0036340.1:cds pep primary_assembly:Fonio_CM05836:9A:40810658:40812309:-1 gene:Dexi9A01G0036340 transcript:Dexi9A01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGDRSSSSSSRPTTTSFDSYQFDFGINASRSSGSRPLRPGAAANPSSTRPAATGASWTHQPASAKPSWTHQPSPAAALAAAKVGPGSGPTSMVGDIFGRSWSSAAPSSGLGIPQANNNPALFSDLLGSALGGSGASRSQSNAPLRSAAAPQASRPAGANPNASANSSTFSMGGMSSALPKTTGAPMGTGGYGVGGRPMKPAGMAATATAQPMGQKKDPFGSIDPFAAKPGSMNAAKQTSSVKPDQGFGAFQGVSSSSNAGFGSFQSADAGFGSFQSSGATKPSSFTPPPPQAPAPTPVAAAANSSVDPLDNLFASTTAAHTTAAASNGGGGGDMFGGMDGWVDVEAEFAGGDSGGSTTELDGLPPPPSGLTVSAAKAKGMDSYKGGQYADAIKWLSWAVVLIEKSGKNADIFEVLSSRASSYKEVGEYKKAIADCSKVLDQDKENVSVLVQRALLYESTEKYRLGAEDLRLVLKIDPTNRLARSTIHRLNKLAD >Dexi1A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:1A:5522922:5536286:1 gene:Dexi1A01G0007200 transcript:Dexi1A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPPLHHDFTVVSPPLHHTSPQNHTARCKIDDCMAPIRVLASPSASPRTTSPHSFLPAASSASSFRNQRKPIHSIVQPSLHGSRRAHYHALPRFFRLSPAHLATVVANRPKPRSPKLSFLALSLSPRKQPTSHSPRMAVACVPLVGGREIVGPGLEFGGLFTEVELVPAGKTTWRHLRQTLVVDMQRPERAGAQQQIHLTPNRGGHAERDGAQQQIRRAPDRAASPQQDYGRLQLKIERTADVGHSHDLLICSGCFLAQIHTKGYLDLLPLYRANSSTTSPNPNPIHAKPQETAMAGGEGSKGSSRSKVAKRKAEAMKEVVTTEEASPARDIPCVEDPIKISRWRCAHGHEYPTEETEELAGMKAVYLDYATKESLKDWQKEWFYAWNHQPQLPSRSGNPPIMKPFWRVMDQVRQRKLHTEEAPASEEPDEPHAQTEQQVSEEPRAKAGATSKRGEGSKRAASTELTAPVPKRARTLPKPRARVIPEEKTKISPQPKMPSSVGIAIGEIGTSRSQQSGIAQQPLSEEEIIHNIYNPVSAPFSSTIPVVEEPCPAGPSTPEQETEEEFTLGEPEILMRPSTMEQPAIDHAVVEPEAAVPKEPREMPETTLPEVQPAASSCPPVLVEAEVEETIVEVLADIEQLVTQAVVEETEVERRDQNSAEPQSVMKTSQVGVKAIPEAECSRGKQAETSTQEQSFEEIPRVPKGTRAEEEIGNFRIGSYDPMLNPNPQTFEYILDAEEDEEHIDRGLYHAERAVAYFKADRLQKELERKREDRKLQEAEDANMIRTLHLRTKELVAEKEDMKKKLSTAKSELKSQSKMTDWSNLANRREEALKTLSEEHDIIKEQLRVAVEQRKDADLQLIQIIEQQKKAAKDLEDAREKNKQLSKELIQARMSELEEAMRQMKKSDDDLAEALKRISLLEKAANPVVKALASPASSQGVVSSGKSVKVALRLRKGRSKLVETACDRRSDRLCLAAKQKREEFEEFVGGLTACHRRSDRLGDFILIYSSPHLHLPPPVLHELYAAISLSMAI >Dexi2A01G0023550.1:cds pep primary_assembly:Fonio_CM05836:2A:35277227:35277778:-1 gene:Dexi2A01G0023550 transcript:Dexi2A01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGMKKFYRQKKKGGVTKASSTSKKKTQQYTGGASVGAPDTAQTSALVSHGSWDLKDDFGDQEEQLRQFDMDMKFGPCIGVTRLQRWERASAMGLQPPAHLQELLLHIPSTKNLSDGSPSIECLWEGKV >Dexi7A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:7A:26898121:26901976:-1 gene:Dexi7A01G0017140 transcript:Dexi7A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQHQHKNQQQAQAPVGSPPQPAGGVMMQHTAAFGAAAQPGMTPGSANVMHGMPPLAFNPMASPGASSPMKPADVPPGAMYGPDSAAPGMQQHPGSGAGAVAGGSGGELVKKKRGRPRKYGPDGTMGMGMKPAPATGAEPGAQSGGGGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEQHPKREPASVPLHAPGFGAASTASPPSDGTSSEHSDDPGSPIGPNGSTFANTGHPLHSTFAPAGWSLSGNQGRYDPDLKMMTD >Dexi9A01G0039190.1:cds pep primary_assembly:Fonio_CM05836:9A:43258773:43261227:-1 gene:Dexi9A01G0039190 transcript:Dexi9A01G0039190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSTPADTAAPSPPAASTGEAAPSPASSTPAQNPTAAATAAAGATDLEKKMRRAERFGTPVVMSEEEKRSNRAERFGTGSSNGKDEEKKKSRAERFGLASSSSSDEEAKKKARLERFGQGANVDKAEEEKRKARAARFAETSCENGKGKSKPDAATVTGTA >Dexi2B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:2B:4980531:4981092:-1 gene:Dexi2B01G0005340 transcript:Dexi2B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASNPGGGELVYGAGQLDPARARDPGIVYDAGEEDYVLLLCAEGYNSSQLKIVTGSNATACPAGRKLQRGGAGDLNYPTMAHHAAPGKNFTVRFRRTVTNVGAPGSVYAARIAGGEGLYVGVAVAPRRLAFTRLLQKLSFTVTVSGALPAGNEFVSAAVVWSDGH >Dexi9A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:9A:5584572:5586284:-1 gene:Dexi9A01G0009320 transcript:Dexi9A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFYQSLFLSLVAVAFLQLVKLALRPRARLPPGPWKLPVIGSMHHLVNVLPHRKLRDLAAVHGPLMMLRLGQTPLVVASSKETARALLAGEIVGYEWADILFSPSGDYWRKLRQLCSAEILSPKRVLSFRHIREDEVALKLEEIRAVGPSAPVNLTVMFHSLTNSIVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFNIPDLFPTWTTLLAKVTGMKRSLQDIHRTVDSILQETIDERRAILDEKLKSGAENAEENLVDVLIGLQGKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPAVMKKLQGQIREAFQGKKEVTEADLQSSNLRYLKLVIKETLRLHPPAPLLVPREGIEACELDGYIIPAKSRVVVNAFAIARDPKYWDEAEEFKPERFEDGGIDFMGSSYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVEEVDMEEAPGLGVRRRSPLMLCATPFVPAAK >Dexi2A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:2A:18165964:18179594:1 gene:Dexi2A01G0013230 transcript:Dexi2A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGNGFVHGEEDEDGDRPIRYLPLGHVYSSSTPAPRTSALKKPCIDDGRPPLKVYYRRRRKKPRVEEPLRPPSPVTVTAPPALRKLGEDAGSLQRKGSVKHELLSLGSTPPALDGDGEGGEPSQRRGRMRRVVGAEKTVCVAGHENQRPGRPKGSVGRRWVELDIQRADPNMFVGLACKVFWPLDDDWYKGSITLYNEATKKHSIKYDDGETEVLSLADERIKFSISSQEMKSLNLNIGISNQDKKGHDELLALAVSFHDYQGLDPGDLVWAKITAGSIGPINTCSILWVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLHTQQLPEIMLQLRKSVRHEGSGVNSCEGKVDSCRNLSEDIEAQKGEYYDEMTQIELGNLRVSNLGHTVTLAIHFLLHFSVPDATTRKIDGSTPNSCWKEIYSRIKEKHYSAATELERNVCQRSGSYMFGFSNPQIRQLIQVRIEIVELPNARSCLKYFENSGDFVLGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDRCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRISPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHILLDEDDGPCIRLLSYCKKHRQPSSTEPAEPSQVVQADMASSSGCARAGKSRIHGFGVFAKAAHKAGDMMIEYIGEIVRPPVSDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEVWNLYPNCYSRAITVLGDEHIIIFAKRDIDPWEELTYDYRSVWIILLPLTP >Dexi4B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:4B:21638299:21639250:-1 gene:Dexi4B01G0019460 transcript:Dexi4B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTTTSPLSRLLLSLPKPSAARHPRASAPEATGVGSLVLRRREAAAAVLSAGVLSRFLPLLPAAAADGGECPLEVAPSGLAFCDRVIGTGAAAQQGQLIKAHYTGRLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLKLPPALAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAIS >Dexi2A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:2A:31222471:31227826:1 gene:Dexi2A01G0019070 transcript:Dexi2A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGETSAAATEDRISALPDDVLHLVLSCLPSDDAVRTSVLARRWRHQWKSAPAIRVAFRRRCGRHTGSSIWTPRTLTMFVNHLLLLRGYSPVDECDIRCGELDQDEDDYYSGDQMSACISKRTAGEALSTVAGMWIRHAVSFCKARVLKVSVRHIGNRLRIPDVPFVSENLTKVEIAEARLTFDTLDFSRCPALEVLEFSMSRIDVGRILSPSFIFRRDCQFCTAFGNLKTLLLNEWCMTPDFSTLVYFLRYTPVLEKLTLQLEYCDGRSGLAVDVTDEEYSPQEDFFVSKQLNVVEIKRPSPTPRRRHPDPVGRSAGALRRRPAILSRAAPRPRDPAGQFVRHQPPARDQIGSSGVLLFSSSAGSSSQPELLFFVRYIRNRLRIADVPFASREPVRQDGVRAATAAELLQSLCHDSWDYECKGVGDGGSVLFQGLQSPPT >Dexi1B01G0030230.1:cds pep primary_assembly:Fonio_CM05836:1B:34252522:34255121:1 gene:Dexi1B01G0030230 transcript:Dexi1B01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLAALLPAAGAAASGTSRFHIRRRWSRPPVLAVSSDSPKPIASTSSSSAGGDNPEEPPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWELADTSTSNNLAKQLPSMEPYLTRSAKSGMNYIHIIVAFGKRLVSAGRRFQSMGQYGQGELKKFGELEFELTAEKANIGAAVGAVFGFISWQLAQGVQGIPDSTMQYTNDNALQVAKSLRVALLILGYTSTGLSLFAALGLLLLAQQINSENKSG >Dexi2B01G0025080.1:cds pep primary_assembly:Fonio_CM05836:2B:34345702:34350420:-1 gene:Dexi2B01G0025080 transcript:Dexi2B01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSRRSSSGMQSIRRELQRRRPKPLAPKTSVAKETSAPPPRPPRQEDPSPTISKSPPASAGAHATRPPLPQAQPSPCPAVISPSTPPLSCSAPSSAGSACRASPTAAAHLKTGTAVGVRTRTTKLKTGKVLVLWLRAMVVSTTHQGYDVVCDGNWELGDPYGTVHSTTPSQFATAAAVPASQEKETRPAPRPTRAGKSLRLTSAAPALPSSRAPSSPAATHAGAVGSVPAPAPAAAVSLPSPVKMVGLAREEALAHDEVIMDDADSDVEVSDSEDDSGEEAQATPSENAIYNKEAILEKLEDIAWPKNVDWMHKLTIEHDQGGKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKRRIEEAEERKKAREAKKIAKEVQAEKNKQRAKDKKEQIESVKKWRKQRQQGGFAKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGHGGRKGLKKQNTAETTNDFRSYKGGESQTKKRKRS >Dexi4A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:4A:4986187:4988250:1 gene:Dexi4A01G0006850 transcript:Dexi4A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRGNLDEEASAPGADLYAVLGLNRECTDAELRGAYRKLAMIWHPDRCSASGSSARVEEAKERFQEIQGAYSAVLSDSNKRFLYDVGVYDSEDDGADLSGMGDFLGEMADMMSQATPTESFEELQQLFVDMFQDDLDTGLFTGLPTGRKAQSQSPPSTSSPSTTLRPPPHGRNNAQAPPTRSGAEKRGSPAMPSAAKRPRPGWADLEPDFGLTGFCFMVSSESKQMEAPWKTCEVNGGDRRSGRKQRLSTSRDVAGDGAPRSRQSQSGSSWPWWQ >Dexi7B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:7B:25234222:25235077:-1 gene:Dexi7B01G0019750 transcript:Dexi7B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSVARLLRGEAGKKGRPEIVTMDLLGGCGRGGAAEDEVVDLEVSVPAGFERRLDLLSGKTFLTPRHPSVLDDGHHHHDLNLPPPAAAAATTSAAVCTLDMVRSALERAAAGRTAAASPATSSTSSASTSSSSSSAGKRNRSPPVATATPAMRAAACPSCLTYVLIAEADPRCPRCAGRVPPLGGKSDSIAAGDGGGKKPRIDLNAAADETE >Dexi4B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:4B:149142:150141:-1 gene:Dexi4B01G0000210 transcript:Dexi4B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILPDVKSEDEVAGGALEQWPKRASVVPPRITRGTIPGLTPEKFQEDIKLWSERVDHYKKLIPPLGKKRYRNVMDMNAGMGGFAAALLKYPLWVMNVDWCEAFSTYPRTYDLIHADYIFSSYQDRCDITYILLEMDRILRPEGTVIIRDTVEVLGKVQAITEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEPAQKQ >Dexi1A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:1A:25153305:25154309:1 gene:Dexi1A01G0017840 transcript:Dexi1A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSKPVFSEFPTPLPADPSDEVVREFGPLLRIYKSGRVERPLVPPPVDPGHDAATGVVSKDVHLGSFSVRLYLPRSAATTTNNKLPVVVYVHGGGFVAESAASPNYHRFLNKLAAAAPALGVSVDYRLAPEHPLPAGYDDCLAALRWALSGADPWIANHGDLARVFVAGDSAGGNICHHLAMHPDTRSSPLKGAVLIHPWFWGSEAVGEEPRNPAFRAMGADLWFYACPGSSDMDDHRMNPMAPGAPGLGTMACERVLVCAAEGDFLRWRGRAYAEAVAAARGGGLGGENTAAGVELLETMGEGHVFYLFKPDCDKATEMLDKMVAFINAP >Dexi3A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:3A:15959535:15962224:-1 gene:Dexi3A01G0020050 transcript:Dexi3A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding KALELFLQDLCDRTYNITLQKGVKTVGSSHLKQCIQTYNVYDFLREVVSKVPETGTSDAIADDKLGKRRKAEEDGSEEELKRTRNEAESHTSNGRGRGRGRGRGRRGGRGALREVVTSHEHFMGNQYSKQSGLKVDIADGESSAATEAKEATPVSSAMASLRNFDLNLDPADQDDEVTVPPQAQSSAPAIDSAVVNLGLTAPATGLAATNLGVTAPATSLAAATGRPSVLLSKDGAKLKDFLGGLELPDMNKIDMDPVQFALSSNHGLDDDEDYDNED >Dexi6A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:6A:5426078:5426416:-1 gene:Dexi6A01G0005910 transcript:Dexi6A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGAESSSGNGEERELERGDPAGSKRPRIGARTCGELTRGASDREIRGGRRLGRRRRGVPPHEPARGPRELPGLRGSTTPLSRACSRVVAFAGAGARSLSPGFVCVGFGG >Dexi3B01G0026930.1:cds pep primary_assembly:Fonio_CM05836:3B:22357141:22361923:-1 gene:Dexi3B01G0026930 transcript:Dexi3B01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQYHEEYVRNPRGVQLFTCGWLPASSSPKALLATAGYGVFGIDYEGHGKSMGARCYIQKFENLVDDCDRFFKSICAIEDYRNKSRFLYGESMGGAVTLLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVITLLTQVEEIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSEVRMPFFILHGEADTVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVAWLDERSRHWKPEERVRPPSEPEKFHQAMPTKITRVVTTSDDTEGEIPAHGQPQRRGSFLCGLGGRPHQRQCRM >Dexi5B01G0027950.1:cds pep primary_assembly:Fonio_CM05836:5B:29455977:29456707:-1 gene:Dexi5B01G0027950 transcript:Dexi5B01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHAYPACLPGAGCRSGLLLPTGSVSRRTPAGRGVATSVRAVDGASAAAAVAAAADAPLPPAQVTWQIIVGAVAGVTPFVVAGIEFGKRVIAQKKCEVCGGSGLVMKNDYYVRCQGCVMEKIFQRLNVAV >Dexi9B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:9B:2473890:2478844:1 gene:Dexi9B01G0004330 transcript:Dexi9B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSEKMDQKSKPIVANAEESAPATVTAAAPAANHQSGRPTLSAYVPPHLRSRSGGPPLENKAVPAAAAEVRSTAVQPSGYAAVAGGGSRWPGPAAAAAGGGGGGVSSAIIGPRQGGGGRGGGGGGWNSRPGWDRRDREPNPFANTEAADVDFETQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPIVIAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRQRGSRTACPLALILSPTRELSMQIHEEAKKFSYQSGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGAHGKQALTLVFVETKRGADALEDWLCRNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLAKQLCELMQEANQEVPQWLERYAARSSYGGGGGRNRRSGGARFGGRDFRRDRDFRGGGGGGGGYGGGSGGYGGGGYGSGGYGGGYGGGATSSWD >Dexi7A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:7A:18625290:18629687:1 gene:Dexi7A01G0007250 transcript:Dexi7A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVANPGAASGTGMPSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDQYLPMFNLPPKILCRVVNVELRAETDSDEVYAQIMLQPEADQSELTSLDPELQKLEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPKRHLLTTGWSVFVSSKRLVAGDAFIFMRGENGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATAHHAISTGTLFSVFYKPRTSRSDFIVSVNKYLEAKKQKISVGMRFKMRFEGDEAPERRFTGTIVDIGSLPSMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDAANPQSPQPPLRNKRARPPASPSMVAELPSGFGKELFGIDICSAEEEVVPAVTAPGLAYDHTAASVELNSSDKLSQPSDVNNSDAPAASSGSPLESQSQQARSCTKVIMQGMAVGRAVDLTKLSGYNDLCHKLEEMFDIHGELDSTLKKWRVIYTDDEDDMMLVGDDPWNEFCSMVKRIYVYTYEEAKKLTSKSKLPGSSDTSKSSAVNSLSE >Dexi6A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:6A:18015278:18016540:1 gene:Dexi6A01G0011890 transcript:Dexi6A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAALPSSWEELPPELLGLVLHRLPSLGDRVRLRAVCRPWRAGALVQRQQEKPLPPPLPYRAVDDLAFLVHDDGGCSLVNPLSGFTLDLPELAPAVHRAIDGMRTYYNHSHIRKAHVKAILSSPVESTPDPLVAVLILEGFSVVISACKQHKAINVRMSPGRNPGLPAKIHDIAFFQEKLYALTGREGLYARAPNIYRGTGDDPEYLVLRYLAESNGRLLMMRRWMCHPRDSMLGDHDQTFQFEVFEADLSRVPGRWMKVDSLGGHAIFLGSECTKSVLASQCAGGVQEDCVYFMHRIFDNPAKEFLGPCVDPLADSGVYNVRDGRITPLLPEGVMVELRRKRQYLTWFFPVDA >Dexi5A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:5A:3168214:3168401:-1 gene:Dexi5A01G0004220 transcript:Dexi5A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKLGEVPVVVATSPNAAHKIMRTHDVTFATRPWSSTMKILMADGYGLGFAPYGDHWPP >Dexi4A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:4A:1323677:1323908:-1 gene:Dexi4A01G0001980 transcript:Dexi4A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETTFVWTHTHSLRPVCGMTPMMFWTGGNKLGLTSRMRFRPVLRSPEDMNLGTVLVLEPGPEHY >Dexi7A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:7A:19846245:19846464:-1 gene:Dexi7A01G0008620 transcript:Dexi7A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIYGDLAEAYVRKNACKEEKKRAEAKAAAGGGSAAQGEEKKKAALLKKLAAKETEEEASLG >Dexi9B01G0030470.1:cds pep primary_assembly:Fonio_CM05836:9B:32963890:32966805:1 gene:Dexi9B01G0030470 transcript:Dexi9B01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESSSLNLTKLWKRFKGNDSPPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEEDPKSHEGLDLTKVTTREVISKYGLEDDTVDFIGHALALHRDDSYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAYGVTSEGETAKCKKVVCDPSYLPEKVKKVGKVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPSNNPEEDSCFLTNSYDASTHFETTVKDVLALYNKITGKELDLSVDLNAASAAEQEAA >Dexi7A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:7A:26455116:26457315:1 gene:Dexi7A01G0016590 transcript:Dexi7A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVHHRPPPPPMAPAPPLPPPSLRFLLVLVVAASAAQAADNSSCGGGTDRCGDLVLPFPFHLNSSSWCGIANSSSLFRLSCDANATLTLTLSSAVAFRVLAFLPSGSLLLDYAPAASASASSPCDAAYAPFSRPTSPASAIDAAAPFLAVTPANVLRLYACEDSSLCRAGCDDTVATCGGGKKSSGCCYPLSDGTVWKPGNGLGVFAEYGCRGFSSWVKNRSAPAGGVVRGIEVEWAVPRGSAMARCADGAALVNATAVHDGVRCACAPGLVGDGFAQGTGCSKGTSCSNGGQSSEGRDCCQGRFCSKKAVILAGFFVALFFLAAAVSFWLFLRQPSKDNRRWDLDPACIPKILRSVCDAKQFTYEQLEEATKRFDSEKAVDTVDGTVHAGVLDDGSLVAVQRIGYETQAKLRLVLDRIELLSEISHPSIARVVGFCLDSTNALLLVHEHFAGGTLEEHLRQIKCRVLSWYHRVNIAIELASALTYLQAHETAPTFLHDLKSSEIFLDTDFTAKIAGYKLTRPATYYSASYDQDVVCNFGHLLIELLTGLRQQIPFDLVAPKVREGRLHEVIDPVLLSGKQLPASQDEVRKVFELAVRCLSSAENGLCMLAVAKELMHILRDNNGSSSKIEISLEETFSSSSLLQMISMSPDTLQHQLP >Dexi9A01G0042300.1:cds pep primary_assembly:Fonio_CM05836:9A:45923330:45924463:1 gene:Dexi9A01G0042300 transcript:Dexi9A01G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGSTHSVASSGNNSTSCGTAPMLTIILLAGLCTAQIEFSSSSPATTPSGCRLSRMRRMTPTNRLRLATVSGRKPLSSMRMRMRRTQLILVSATPSLSMSTTSDTFAGCMSAALASASRGTPQTRMATASVPTAWDVKGPSSEVNRATPPASAMALVTDWWVVARVRNSRSAATRAWPLSGCLLGTAVPRRPWTRSAARPWKSAERFLNRVYRRENQAAMSCRSVALGVSASSQSSCMVRWPSASHREMLARSYVCPVQSVTGSTMGSREIGQMKTAGTASSPPPSPAASSSSIIFLSSTGCFPASPAAASPGSSAAPRMPSLSAVSMSSTCPPHGSSWYCGIVAVWRRSDESLARHTHHQQYLDGRRGPG >Dexi8B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:8B:26311126:26312471:1 gene:Dexi8B01G0015540 transcript:Dexi8B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPEEFFAMGLMESPPSPPVFLNIPQKPGASSEGRHHIPDNMMLPYISRVLLEDDGEDKLSDDAALFQVQQPFAQILSCPPFGTNTSNMEGTNDLLQDGGRKERALDLVLSKSTEVVQAFLKGMEDANRLLPKDNNFRRDNLVNQVVTKSSSHSEAKKRYNRDDRQEEVRTSKAVMTMKEAEDNNVNEILDEMMSSHAYETCIRGMDKLHLSMENKNRKCGSRKTSTDFVDIRTLLISCAGAVAVHDRMRACELLKQIKKHASETGDATQRLAQCFTKGLEALLVGAGGQTWQLQMAETPSIVDFLKAYNLYFTACCFNKVAFIFSTMTVMQAMEGKSRLHIVDYGMNFGCQWAGLLRLLAMREGGQPECLDG >Dexi2A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:2A:7394735:7396439:1 gene:Dexi2A01G0007520 transcript:Dexi2A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFRCRGFWHLAKDCRRPRGLPGYASSSAGGGRQRARQTASDLACGRTSSTAPTSGARDMPAPVVPLSRDQPLNLTIRPSKKGEILAMKHLGFLDERESSTSMINDARREFDRFFNEIVDVKNFPALRDLFPVARGLSDEELLAAVQQDRGLSDEELLAAVGTVLNAADARKAIGAGAQFLMSPGTVMEILHDLEESKVLYIPGVMTPTEVLSACRAGAKVIKVS >Dexi2A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:2A:3829908:3831221:1 gene:Dexi2A01G0004350 transcript:Dexi2A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLYRLDVTKHLFYPSTAHAEAANAKQETNNNNGGGGGDTPPTKPPTMKWPKPPRIEWLRPLPKPTMRFSQFPPVKYPSDYDAFMLLRPHSSDGTILHATEGGRTVIYDADEHAISATVPCFDAGMGSGPIVFSVPGAGGGGEKESLYVMRSTISSPVRDRHSCPPPPPRKNVGSSGGEEDRCSGDFVVLDMNQQPYKWQHLPRPPFVVEKDPYEHDKPDFCIRSSAVIDGGRTIVVSFDKKKGHYGVVMNFTYCFETSTRQWRHAGDWALPFTGRAEYVPELKTWIGFSATSPHHLCSIDLSSAMDAGDRAPPTPQHVWDDFTPPPYTESEVVLNRSYPDYEHSLVNLGSGRFCILKVFGISRGEKITRFHEYDSPDVELFAVLTGVEVVRCNDDGEEGGELRMVKHKSKRCPVQHPWAILKSV >Dexi9B01G0021340.1:cds pep primary_assembly:Fonio_CM05836:9B:16113862:16114904:-1 gene:Dexi9B01G0021340 transcript:Dexi9B01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPAPPPSISDSSAAAFKSNASGLSPGLEPTQAASASPAAAAPPSPQPVRVPSVTLVAVLGVVGELLARGFLTRLEIRDAERTDARAPALFDRVLATFLAEARDPEAASRYPIPPPPLGDGSEVELLRVLLAVRAHGGFAGVASWAAVAEAVGLDTAAGTAVKILYRKYLDLLDQTLAKPLEVHKEVESSGSNGGRSRRSGSGKDKFLSSLTKDLKRKRDPFVGMLNAVRQVAKNPAEAGMKNYDPRGYLNTAVWLRRHMFAAECLSAERLEGMLNWVRHAAVNPAEPGLIGTDDSDDHRSTADMLRREMRANNIGSASSQTYSRCI >Dexi5A01G0022970.1:cds pep primary_assembly:Fonio_CM05836:5A:27079333:27082119:-1 gene:Dexi5A01G0022970 transcript:Dexi5A01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVMDLSSDSEDEKSAHSPEHKRPAQQAGPGADHGGGGTGIGGCAVDSSLSRQENAASDAVKKGKERVGEGGSAWAAGPPKLGGESLGAGVVVGAGRDPWGALASKCKAGHGGDVETGRWGGWGDWGDQLCSSLPVLQQGSESKQFQNGSTASGDHWKGILGAGPADPATTLSLDACKRENNDGMFTQGSLATREVSGCDDFLMEDSSSAWISKIKGLNFPLSDEHQLRTRQIEDDEMFARRLQEQLNQEQPGTQHSEAVDMTIAWTLHEQDAANARFAAREGQSSSRLAVLDSLSEAFDNCEDTVSPDFDDDDYENLITLDANNHHRGASDDQINSLPLSLVEGDSCSDEPCPICLDCPAAGASLRHLPCLHKFHKECIDRWLGMRISCPVCKSTVFSQ >Dexi6A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:6A:2350824:2353410:1 gene:Dexi6A01G0002470 transcript:Dexi6A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLRSHSASARGGDLPAGDVVDPDYLYFLQHIRVDGDSYVLELPGNGSSPPSLLKYEAPPPPPPPPPPDSSSSDGGECVSDPSPGRLSTNRRADERDSSASLEATPPAGCDSLDAVDDDYRLFLQHARLVDGQLVLEVGGVVINYDQPVVAAAPRWENGKQRGVETASPSPGRGVGVGAETIEVGSGAPANVVPEQYACDWRADSSPRRKVKGKDGGDQGLSDAGTMKGVYWEASSSDGRRAGRRSNSGEKVEQELGIVWPTHITKRPDSDFKRRLIEALTEPVARKEYYRLFDTVTIRTPLMKLRQVRNETKFYPTEEMGSSYLDHYPGKILHYLAEQIMNSGRRNGLALMRGFLFWLQNSAHDDQFKPWVDDSEDQEVIPVMD >Dexi6B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:6B:13635208:13637601:-1 gene:Dexi6B01G0009360 transcript:Dexi6B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKFEDMYGFTVEGNVDDVNVLNEVREKIREQGRVWWALEANKGANWYLQPKISSNEGMISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSEEGQATLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTGTLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRMSSLKMDG >Dexi2A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:2A:25945943:25947311:-1 gene:Dexi2A01G0015170 transcript:Dexi2A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHEADFAMAQLLPDDVLADILGRLPPRSLALSRCACRAWRNVVDSRDMLCADLLLPHALGGIFYNLKDAAPSSRFLAHPSVRDAIPGFLDDYTHEDEVHGHCNGLLLLKDSVANPATQQWASLPPRPSLYMGNKYYATCGSHLVYDPAVSPHYQVVSIPYDNPCKSKQELDPTREKSVEWPPSPLRLLVFSSRTGQWEEMPFLREGDPTWAAVAGIPSGFQVDQCYAVYWQQQLYAYWKADSIMRISLSAKKYRLIQLPTDERIYHLYLGKSEKGVYCARFLNFSRLQIWFLSESFDQMEWVLKYNNYLKPTLPRVDYFDEFYHEQPNGPWTLQEEYYYEDYNTMVIAENQFEWDSDSDDILQVDDTSRRQPAYCILGFHPSKEVIFLEERMGRAIAFHWNSSKFQHLGKLYPKDYAEQTIELAITA >Dexi9B01G0039120.1:cds pep primary_assembly:Fonio_CM05836:9B:39942268:39944948:-1 gene:Dexi9B01G0039120 transcript:Dexi9B01G0039120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAPPQFRYPLSTRAAVFREPAGGAAGCRSGRVNCSVSSTAVIDAERLDCLSVAPPPSSSPHRTLPGGFSEALLNKEAMVAAAAAEAVALARAAAEVAGEVARMTRNKDHRTDSPRRDDTVDSFLAREISRTGGTEVGWESRHAGLELLVDEEFSSIFSDETEDDEDGVVAVKSARRSERKARRARAAMKAAKPFSIGKPVGASSSSKKRLKGCRNPLGCFYKMTGPKLLTAEQEVEFSKGIQDLLKLEAIQKELSHYNGGEPTFSQWAAAAGTDENTLRKRLNYGVYCKNRMVKSNVRLVISIAREHEGPGMEFSDLIQDGIQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQSQIIRLPSHMAEASNRVKECRRRLHRQLERLPSNEEIALDTGMPIRRVEAAMSLPRYSVSFTGKVGCADVTYQEIMPDTSVETVEEVLHRWLMKKDVDRALDSLSPRERQVIRYRFGMEGGRARTLHDIGQLMGVSRERIRQIEAGAFRKLRAKMRTQSLQHYLQPAESW >Dexi7B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:7B:24969389:24972338:1 gene:Dexi7B01G0019490 transcript:Dexi7B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDDRTQLSGFAQSEESTLDVEGHCYHQQSFPSSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKVQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDLQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGQDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITDESLQEFILNKDAVQQQRLALVAAANSAVGESSTVAPPAPEEKVEEMFEPLGIKIEQLPRHDVPASGTEGEDAAVSNVEEHQFISNFGGMSPVRQDQDAPRQIANLNNPSEEELAMSLQLGEREPKRLRTDTETDLDLEK >Dexi9B01G0041050.1:cds pep primary_assembly:Fonio_CM05836:9B:41525562:41527877:-1 gene:Dexi9B01G0041050 transcript:Dexi9B01G0041050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKSDDSTYHHVWPPLRFGWRIVLGSPIGFFGAAVGSVGGAGGGGVFVPMLSLIIGFDPKSAAAMSKCMIMAAAVSTVYCNLKLKHPVLDMPLIDYDLALLIQPMLMLGVSIGPGRLLMYCLFGIIAGLVAGLLGVGGGFILGPLFLELGIPPQVG >Dexi9A01G0028900.1:cds pep primary_assembly:Fonio_CM05836:9A:33632699:33638056:-1 gene:Dexi9A01G0028900 transcript:Dexi9A01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGDFGGGGGGEGAEVLPQLPREVCGASAGEGGVVMRAAVDAKRAAVGVGARMLFYPTLCYNVVRNRLEAHFHWWDQVDEAHGIENLVLPTRDYLYAPSFVNLCEAADFIHSKNLLNISPDVTLYEGNALSGKLTYVHCKAGRGRSTTVVICYLVQYKNMTPAEAYEHVRLRRPRVLLASAQWKAVLEFYQLRVKKTGRSTCLDNPIIRPPLFLATRNLVAFDDSAFVMVSESDLEGYNADALALNMSSGLWEISLIYRVQFASKAAFAGFSYLWLRCRPCKEALPENLGREACSLEAEQMATGHPCLLQGVVVNP >Dexi9A01G0044770.1:cds pep primary_assembly:Fonio_CM05836:9A:48386649:48387764:1 gene:Dexi9A01G0044770 transcript:Dexi9A01G0044770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIGNNAPTALRSWLSPPVVFSAFFVLLGAPGTLLLRLYFVHGGRRLWLSTLIQVSGWPLLLPPLCVSLLLRRGRAAAGDHLLPHRITAAIAALGGAFVVACFAYSLGSQAVPLSTSSLLQTIQLTSTAFSAFLFAGLRFTPFSVNAIVLLTVGSAVLGVGPSSEKTAGEGSTAYWTGFFECMASAALLGFVLPLVEVAMSKYGRRTAREAPPSYATVMQIQVVMGVTGTAVCLVGMAVTEDFQAMPREAAMFGLGESSYYLVLIFGAVSFQLFNLGTMGLIICSSSLLAGIMVALVLPLSEVLAVIFLREKFDGVKGIALVLSLWGFVCYLYGEREHKKVAEGNADMKCMI >Dexi5A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:5A:1791628:1792026:-1 gene:Dexi5A01G0002600 transcript:Dexi5A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAALGSCYPVAISVGSSAPPRGTKQWTPQELAAAKLFARAAVENVEAYMELTEADVEEEYRRAGKLHKYEPAKELDKRFARVIKKYPPPPGLVPDIDRYLKLLDSDDDED >Dexi6A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:6A:24291439:24293274:-1 gene:Dexi6A01G0016420 transcript:Dexi6A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGKENDSPSLSQNANSEVQIVGEKTLSDKVREMSNKSDALYNSNLRNSGASAATPVVVSLHGPSTSRPCTQSISFRARDNSTGGKMPRYGPRRLLNPGPLFQGDFCTASNKIGKSSQGPEFADEDVLSRAFRGSSKARPLHLSNMRSAFEFYWQKYIHFDMGFDEYDFIFPVVPQQPLDN >Dexi7B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:7B:21227153:21228712:-1 gene:Dexi7B01G0015190 transcript:Dexi7B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAQSHAWDSLVPSRKRSCVTRPKPSPVEMLVKDLNSIMHEQQLCYRSGSSEDDLLYHTETPVGSVEIGSGSVLLRHPNSKSLEEESEASSIPADNKSYITSESYSGSAPFVVRTGNMERINLNAGVAMPKRSPLLIEDNAKRYYAPCLWDKLHYENQHILESIDSPLVSIDLELFLFVHEKEIKEIGEVESIIGSKGYIKSTMKPLKRSCDTHIQSGTELEGTMRSPKKVLKSGDLALQFKSPSLLKSGYTTKDSTCTGGALNVFMLPSENLSMLVPPHYGDGGSDEDLLLEIPLNARHMEAELLCQPSQLGSITHSSTSVDGVAGGEWRLKKP >Dexi4B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:4B:162409:164432:-1 gene:Dexi4B01G0000240 transcript:Dexi4B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKVRLDIATVIGLLAYVVNYKFESLASSPALLILVFRVALGYKQTRDRYQIEMPIDKAMETLVRLGLVIELPSNGGSSVIGLPCSEAYETLRSRWDSLLEHRTEQEPGRRHDLATAQAQRRYPPPEKQTDKAGGSGGIDGKKTGRKSPRRR >Dexi8A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:8A:24618068:24618940:-1 gene:Dexi8A01G0014200 transcript:Dexi8A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVVLLILGFTPIAAQPWQICGDPAVSYMSNSTYHANLEHLSTMLTDKATASPYHYANGSVGTAPDIVYGLTLCRGDVNSTTCHACIANASLGAQQLCPYRADVTVFYPTCRLRFSSKNFLHPDNYSLIVDGVVDTMNTTDTTNKEPTLPNWDPGNSESVADITKIISGLLQETAWHAAYDSGAWMFATGRMDVGGGFPSLFSMAQCVPNLSHNDCWSCLHVITYMATDNFAGRQGGRLLALWCNLRYDTVHFYSGDPMVTIMSPVKEIVRSATLLGVPSRKHKSNIFF >Dexi1A01G0026360.1:cds pep primary_assembly:Fonio_CM05836:1A:32298365:32301108:1 gene:Dexi1A01G0026360 transcript:Dexi1A01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPAGLPPLPPQLGTLRSTLDQRAAREPLLSFDWGVPGAGGGEYAAWGVEDGAARGVGERLRMAAVGAAAAEMWEFARKDPRKPVFAAKVAVALALITLLVFLREPSDIVGHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLIAGGLALAVAELAAQTGKYDMVILIISTFIVAFCATLTKLHPKMKPYEYGLRVFLLTFCYVTVSGYNTGKLTATAISRFVLIALGAAVSLAINIGIHPIWAGEDLHNLVAKNFSGVAKSLEGCVDGYLSCMEYERVPSKILTYQASDDPLYSGYREAVEASAQEEALLGFAIWEPPHGPYKMMKYPWKNYNKVGGALRHCSFAVMALHGCILSEIQAPPESRRVFRSELHSVGQEGAKVLRELGQRVKTMTKLSSPYILSEVHFAAEELQKKIDEKSYLLVNTERWEVIPRHQGTSQTQDSSNAADKESKDESPEHAAVDIGSVHKSNSFASNPFLSRVDSSNRFISRVDSSNKFLSRYDSGSMVGGSLKPQSSWPARQSFHSSLPFEGGESRTYESASALSLATFASLLIEFVARLQNLVDAFEELSDKANFKDPVEEPAAVSSERCGFIVRIRNFLRL >Dexi3A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:3A:13451480:13451852:-1 gene:Dexi3A01G0017650 transcript:Dexi3A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSGHYSPIAPHGSPVIRSLAFRTNQRAYAGAPFKFPVDGGFIGFCGRSGWQLDAVGQYVAPPRPERMYDRVKKFGLSAYWAVMQRIGSQQQRQQQQEQVEQMSCNPLVTHRT >Dexi1B01G0031340.1:cds pep primary_assembly:Fonio_CM05836:1B:34972136:34972532:-1 gene:Dexi1B01G0031340 transcript:Dexi1B01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCDGRHDVLHSWVRAVAGDELAGDAVHLDHAASGHLGLGHGPAEPCHLLPVSPCGGVHVGELDAHAGVVEPLLRQPRSHPLAGLDVASRRAGVEQRAQHSGGMGMVRTRARASSSSPALPSASTRHP >Dexi2A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:2A:11567929:11575318:-1 gene:Dexi2A01G0010410 transcript:Dexi2A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSTAAAADAPEHAGDGAAAAAGKREKGRGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRASGDRVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKRFRDIVGSAYYVAPEVLKPHDWVREGGKASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFDAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIIEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFSKFDVDGDGYITSDELRMHTGMKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARIVQTPRGARMS >Dexi5B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:5B:9031592:9035323:1 gene:Dexi5B01G0012700 transcript:Dexi5B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRAWMKQVREVAYDAEDCIDIFWYHNSHRHCSHNPIVDWLHKIIRPLKTLRVMHNLAIEIRDLKDRALKVSERRLRYRVEAAFGGASDACAAGRSTPDYNHLERQLPALNIDEWQLVGINEKTKSVVKLLEDGNLACLKVVPIVGFGGLGKTTLAVNVYKSTAMKGIQTRAFLAVSQHYDLRILLESLLRQLIRVSFRDPNCYGEETIKDPLRGIESWHISELIGRCRTHLEDKRLKQLIVDNAPNLDELRFDGGAPNLERLTLAFEREPAKGIFGIENLPRLKEVEFFGEVIVDSVVEGMIAEAKIHPNRPRVYR >Dexi9B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:9B:9882207:9886528:-1 gene:Dexi9B01G0014790 transcript:Dexi9B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVSKASVPTRV >Dexi9A01G0024790.1:cds pep primary_assembly:Fonio_CM05836:9A:21483752:21488257:1 gene:Dexi9A01G0024790 transcript:Dexi9A01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERQVSYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSTEDAKEFAEKENLFFLETSAMQATNVENAFQTVLTEIFKIHSKKNMAADLKANGAAPSLAGKKVLVPGPAQEIPKSKCCSSM >Dexi3A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:3A:8676467:8679713:-1 gene:Dexi3A01G0012000 transcript:Dexi3A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWQADDLLMKYQYISDFFIAIAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTYTKTIAVVLTVAKVATAVVSCTTALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECSLWMPSRSGTTLQLSHTLHNNAPLGSVVPINLPIVTTIFNSNRAERIPHNSPLASIKTKTSRYVPPEVIGVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLTVMNHEMRSPMRAIISLSSLLLETKLTAEQRLMIETILKSSDLLETLSNDVLDISKLGDGSLELEIAPFNLHATFTDVIDLIKPVAAFKRLSVMVHLAPELPTCALGDQKRLMQVILNVAGNSVKFTKEGHISITASDARPDSLRDPYAPDFHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPQNATNKLPGGNGLGLALSRRFVTLMQGNIWLESEGAGKGCTVTFFVKLGLSDKPNANL >Dexi7B01G0023770.1:cds pep primary_assembly:Fonio_CM05836:7B:28311633:28315670:-1 gene:Dexi7B01G0023770 transcript:Dexi7B01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPMLDNRSHIEKPFTNPRMWQCSICTHENDTSNLSCELCGVLRDLSLYFNDISEAEAGVPFKFDTPSPDDMVATGLKSSRNFRKAHIFILKYDSGQTEGILLFIPVDTDILTKDSVDATGKKMVDNDILLTEKDTSMDPSASSELDELGGTNSSVPSSSEHATLALDHELQHLRLESKPKSSKPKIKKPASLTQYKPEPWMLQSEDQKMRRQLNLAVVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAFAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASIGSFEAGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEYSKDRFNFVKSQLGIFLRSCGFKDSSITWVPLSAMANENLVTDASDSRLLSWYTGNNLLKSIDSLPPPHRDVSMPLRLPICDVISSITLGQMAVCGKVEAGGIRTGSKVLVMPSGDVATVKTIERDSTNCNMARAGDNIAVGLQGIDPSHLVSGGVICHPDFPVRVACRLELKILVLDITIPILVGLQLELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAIVEVKLDKEVCVEEFSSLKALGRVFLRSRGSTVAVGVVTGIVDQA >Dexi9A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:9A:502791:503846:-1 gene:Dexi9A01G0000960 transcript:Dexi9A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPAPAASASAAAMLRSVRGVASYRDTLADFLDQWNSVILDVASIAATFAVLFPGPESHPKPLPAAADPAPEPPEREPSPAAPEPPEREPSPAAPEPSEREPSPAAPEPDLNLAPEPEGPEPNAVPEPEPSPKREPAPDPAPEPAPNPTPEPAPVPKPAPNPGREPSAAELEHRCKQMNFRELRRFVTAHVRDREWLRKVGPAALRRAEDPASLVLRTIGRYYICAESGDAEAACLLLLELYVRAGCPCARGRGDDAEAQLRHEAREAALTWRSRILRVKGRVSLADAGAREARGLAFFMAAFGVPLEFPAQDLYDLLVAADIAACTKVLKCSKHFVKKMRGTPFFF >Dexi2B01G0023900.1:cds pep primary_assembly:Fonio_CM05836:2B:33368680:33371442:1 gene:Dexi2B01G0023900 transcript:Dexi2B01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSAITYATVLVVLLPPCASDDRLVPGKPLSPGATIVSDGGSFALGFFSPANSTAGKLYLGVWCNDIPRLTVVWVANRETPVTNRSSSPPTLALTNASNLVLSDTNGRVVWTTTDIAGVPSTATSAAGLAAVLLNTGNLVVRSANGTTLWQSFDHPADTFLPGMKIMIRYKTHAEDRLVSWKGHDDPAPGIFSLGGDPDTFLQVFYQANTSVIVYLAVVNTEENIYMTYSLSSDGTAAHTRYALTYSGEYQLQSWNSSSSAWAILVPRWLRARKPRGVEGCGDDDGGFLALPGMKSPDKFVLVRNRTAGECAAECSRNCSCVAYAYANLSITRPMGDVTRCLVWVGELIDTTKIGDLAGSETLYLRISGMHGCSGYMAPEYAMEGAFSIKSDVYSFGVLLLEVVTGKKRSSIDGITDFPNLVIYVSMIM >Dexi8B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:8B:20404886:20409090:1 gene:Dexi8B01G0011360 transcript:Dexi8B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVKVEEATPAAAGKPSAGPVYRSIYAKEGLMELPQDIQSPWDFFSEAVKKYPKNRMLGRRQVTDGKAGEYVWQTYEEVCQMVMRIGSAIRSFGVQPGAHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFILNHAEISIAFVQESKINSILTVVPKCTAHLRAIVSFGDFASEMKKEAEKLGVSCFSWEEFSSMEKQNYELPNKQQDDICTIMYTSGTTGDPKGVIITNRAMIAGVLTTEHLLKETDKVITEDDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIRYLMEDVKVMKPTIFCGVPRVYDRIYTGINMKIQTGGMIAKHLFQYAYNYKLANMKKGLKQHEASPFFDKIVFSKIKEGLGGCIRLMIAGAAPLPGQIEEFMRVTSCSVFVQGYGLTESCAGCFTSIANVFSMIGTVGPPVTTIEARLESVPEMGYDALSDMPRGEICLRGHTMFSGYYKRPSLTEEVFSDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVEVVERAYMQSPVVASVWVYGNSFESFLVAVVVPERQALEEWAAENNKAGDFAELCNDPKARGYIQDELNKTGKKLGNGKKNPTFITQLRGFEMLKAIHLEPVPFSIEKDLITPTFKLKRPQLLKYYKDRIDQMYKDAKEERTAL >Dexi2A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:2A:8180657:8181520:-1 gene:Dexi2A01G0007870 transcript:Dexi2A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATGAMNTILPKLVDLVVGEYKLQKGVSKEIKELEKELESMNAALHHLAEMPADQLDELTKIWASDDHKAQFDCHIFVSVSLNPEIKKVFKKMLHQLDEKTYGFINDEWDEVDLINKIRELLETRRYLSILHLLFVLK >Dexi2A01G0031480.1:cds pep primary_assembly:Fonio_CM05836:2A:42211249:42212962:1 gene:Dexi2A01G0031480 transcript:Dexi2A01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRVLEGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLHHDHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRQRHRHVSVKALKKWARQILEGLNHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYAECGSVVQIFHNVTRGVPPAALKRLKDPELRGFIERCIGQPRNRPSAAELLEDPFFNGISSGDDDALADAASVVVTGTPVPRPRSYVDDLAGLRLD >Dexi5A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:5A:9325321:9326495:-1 gene:Dexi5A01G0012410 transcript:Dexi5A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATRLAAAPAPRPLLLLLLTLLVSAPLAIGAAAMASHVLGGVKENPAAANSAESDGLGRFANALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGKKKVYEAKVWVKPWLDFKELQDFVHKGDATTFTNADLGAKKG >Dexi9A01G0033980.1:cds pep primary_assembly:Fonio_CM05836:9A:38812984:38814257:1 gene:Dexi9A01G0033980 transcript:Dexi9A01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLTRLSGGYLSMQSSHAPLSAMSPVTSHTQLLHLNPDPNVTMSTLSPTLSLPLASMYDSTYHRLLADVFPHLCSVILAGSTSSSSSPRLFFTASITAVPPGCRQKCSTPFLKSIFGAPSPPAITFFPGDGDVEEEERSLRQRRRAAKRASSETGRTRGARRRRLTAKARTAALGRDLLRLIPTRPSSSSRCVAHACASSFAPMCARTRHPSSILARRRRAGSLVSITAAPPLRNRQLASIIDLSVPEYQLGAMDSDDTTSASDAPRRDRSAFRARSSAISPALQPIPVRLYAATWCGGEHGDVEDEHVDVEGVDAGLGEELGDGGVEEGVHLVERVAEGGGVLAAVEDAERGVGVLADAGADDHAEEEAVVGEAEALVALDHVAGRLRRDLPAVAGLVADVVEEVTA >Dexi5A01G0032060.1:cds pep primary_assembly:Fonio_CM05836:5A:34659737:34659946:-1 gene:Dexi5A01G0032060 transcript:Dexi5A01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSPSATMQDSDMKGEEAEGSECTHVDLITGVVDGSSHRGRAGDSGILAVTVVLGTADSGVDTSCGL >Dexi4B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:4B:10203745:10204650:1 gene:Dexi4B01G0012410 transcript:Dexi4B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIRSLFLLLCSCYVVIAHADVVAGHKGRTSVVVPVTSFQSSEESWTAPHPTRVSMPLAHRHGPCAPMPAKDEMSLVKKLRRDRARTMSITRRVSRSTRLQNSDAVIVPTQLGSSYDTQQYVVTVGLGTLAVPQTLLLDTGSDLTWVQCKPCNSTACYPQRLPLFNPSRSSTYKTIPCDSQECRTLAAGIDGDGCTSTWECAFQIDYGSGANTTGVYSSDALTLGSRAVVESFHFGCGHDQEGPFDMTDGILGLGRLPESLVWQTVGKQ >DexiUA01G0002640.1:cds pep primary_assembly:Fonio_CM05836:UA:5923864:5924581:-1 gene:DexiUA01G0002640 transcript:DexiUA01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASPHSLLASASASARAFPFHNRSLRSPRRGPRLRAGSVKEWREFEDEAGAVKEWREFEDAVRRRDLSRALRFLQSVEPAAAGTAVTQVVAAPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLASFGKCKNIEK >Dexi5A01G0033430.1:cds pep primary_assembly:Fonio_CM05836:5A:35802792:35805493:1 gene:Dexi5A01G0033430 transcript:Dexi5A01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSTSGAGSSSSGGGSGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGICAVAFMDDHYPVRSAFSLLNKDPAEADKLMKIQRDLDETKIILHKTIESVLARGERLDSLVEKSSDLSAASQILM >Dexi5A01G0032830.1:cds pep primary_assembly:Fonio_CM05836:5A:35181399:35186216:-1 gene:Dexi5A01G0032830 transcript:Dexi5A01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANHHLLCLLLLLLLSLASHARDTITRRKPLIGNETLVSAGTGSFVFGFFTPTGSPHETYLGVWYARVTPRTVVWVLADASLDGSVEQNAQAELCVDCYDYDLLMTPPNTEMLWHLQAPGVAQRATLLDNGNLVLYDEDDKVVWQGFDHPSGSLLPGMRVGLAASGNNLSLPAWTSPSNSTPSTISLVMNTSGVPELFIWNGSTKVWRSGPWDGDQFAAILDNATSFSYVHGEREISYVFQVRNSSALSRLVLNSSDDGSGLLQRWTWSEADGKWGLDWFVPNKDDQCDTVSRCGPNSVCNSSSSQLPLCSCLPGFTPQSPAAWEQRDWRDGCGRKTPLDCVNGTDGFAVVRRAKAPDTGSAAVDYAASFEQCRQRCLRNCSCTAYASVNASGCITWTGGLTDLSVYPDSRQDLYDSRYRIIHRDLKPSNILLDKDMIPKISDFGLARMFGADDTEINTVKLAGTLGYMAPESMGGVFSMKSDVFSFGVLVLEIIAGKKNRAVYGHSDNLNLLSYAWRLWNEGNGLDIVDNNLNGSFNTDEVLKCIKVGLLCVQESPEDRPHMSQVLQMLASPETSALHNLKQPGFAPRRDAEDMLPSKPDCSVADSMTTTLIEGR >Dexi8A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:8A:26198224:26199947:-1 gene:Dexi8A01G0015180 transcript:Dexi8A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMDFSVPLPPMQQGRVLTVLGIDGGGIRGLIPATILERLDAELQEKDNRPDARISDYFDVIAGTSTGGLKTAMLSAPIKDKRPFTAEEITHFYYDNGPDIFPQAQAEGWISSMWQTTKDVLKKAWGAPKYDGKFLHDKIKEVIVEVRVADTMSNIVVTAFDVKRQHPIRFNTLEAEREPHKNARLADVCIATSAAPTYLPAHSFKTQDSSGNPYEYELVDGGVAANNPTMVAMSLLTKEMLRLRRELKDDDRSAKLVEGDQEEVRNNPTMDAMAALVAMEKPHATWNNGMEAPPPPVYKNILVLSIGTGTPKQEHKYTAEECNKWNIINWLTKDGFNPLIDFFSNASVYMVDIEVQTDKLTGETASVDCTTKKNMDTLIEIGKKLLKEPVAKVNVDTGAYEPVPGGPTNEKALEKLTRKLIEERRLRQTARK >Dexi4A01G0022090.1:cds pep primary_assembly:Fonio_CM05836:4A:25426041:25426707:-1 gene:Dexi4A01G0022090 transcript:Dexi4A01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVEKLIPGVVKTIIDAAKEVLDMGASRVIIPGNFPIGCVPGYLAMNARSSEPADYNKAGCLRELNRFAAKHNSQLQRAVAELQKSRPGASVAYADYFNSFLTILHNATSFGFDPASTRRACCGAGSGEFNFEWQRMCGAVGTTTCAEPSEYLSWDGIHMTQAAYRAMSRLIYHGKYLEPQILSFPEKYGQT >Dexi4B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:4B:6855152:6856717:1 gene:Dexi4B01G0009460 transcript:Dexi4B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTPPKSGTGFFKTCFNGVNALSGVGILSIPYALSQGGWLSLLIFITIAIICFYTGILLQKCIDSSSLVKTYPDIGELAFGRKGKIIVAIFLYLELYLVAIDFLILEGDNLEKLFPNANFHVAGLKIGSKQGFVLIFSLLVLPTTWLRSLNMLAYVGLGGVMASIILIASVLWVGVFDGVGFHEKGVTVNWSGMPTAMSLYAFCFSGHAVFPMIYTGMRNRKTFPTVLLICFIICTLSYGLTGVIGYLMYGESLSSQVTLNLPSKRLASNVAIYTTLINPFTKFALLITPIAEAIEDSLHVGKNRTTGIFIRTALVVSTTIVALVVPFFAYVVALTGSFLSSTVTILLPCVCYLKISSRTSRSTRLELVVCLGIIMIGAGVIVVGTYNSLKQIVHSF >Dexi2A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:2A:8588143:8589499:-1 gene:Dexi2A01G0008450 transcript:Dexi2A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMEGLPSSGAANCVLVVVDYFTKYAHFLALYHPFSAAKDSSPGRKQAAVFKAQEAQCACFGEKQPDLLGRLFAIPQLRVNSALLPRILL >Dexi8A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:8A:28317102:28317659:1 gene:Dexi8A01G0016690 transcript:Dexi8A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKHESITKSSTPTKLCSGHDERVSSTRLLHGAAGEALDPLPGFAERAHRQGSKIIQGLSPSSSDHWPREKKERLGEVSLPPLSSYYMRTASASRGRYPCCCTSLPRLPEAEEEAPAASVRAMEPLRWRMVEELRRARAKKLWRVRAEHRQRRVALAIGGGSCCRDYRICRGTGSTDGERARD >Dexi3B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:3B:11623538:11627926:-1 gene:Dexi3B01G0016010 transcript:Dexi3B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSLLRWLRHRSRRVSSSSFHLTSTTNNNDNTATSRDLHAHSLPHQPHAEEEEDEGWQEVAEGPESALEGCIVFEQRGEGPRAPVRTKPPPMDPSKKESEFFTEYGEASRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDLVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTAEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKICDFGLARVSFCETPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKERPSAAEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLGKDDVRELIYREILEYHPQMLEEYLRGGDQSQMSFMFPSGVDRFRRQFAHLEEGIAKGEKPSPQLRQNVSLPRERVIGNKHGDGDAVNKPVHASVTDGISQPVLSARSLMKSESISASKCIGEKPKHVKDEDSIMETVDETVDEVSKKIAQLKT >Dexi3B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:3B:6767902:6771110:1 gene:Dexi3B01G0009780 transcript:Dexi3B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSALGIINFISFLISIPILGGGIWLASRANSTDCIRFLQWPIIIVGLVLMVVSLMGFAGACYRQTWLLRLYLFAMFFIVLALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYSGWLRERVADPQYWATISACLRDGHACAGMRRFARDPNTGMLVPEPAEMFYSRNLSPIQSGCCKPPSSCAFTYNNETYWTPNPGVPTVVTDPDCSRWSNDQQMLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKSHPSRFQF >Dexi7A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:7A:29909773:29914173:-1 gene:Dexi7A01G0021240 transcript:Dexi7A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERQRSVNPVIADPAERIIDQQAAESLGKSPSASDLATLHAASSASADALLDAPPPRRPPASAGPRPSLGLRLAAKRPSPPSPGPRRSASAAAVLAVKDQASGAPPVEVVAEVKAEGDRGDREDGASRSGSDEESDGSGSDDSDDSEEERRREEERRRRRAERLAAMAARAISEREESVARLEGEKAELEKLLAEREKEQAQEASELQTSMIETMEAVEIEKQRHHSTRMEALERLATLEADQVAQLREEVEMKTIAQDKYKRKLAKMQKTSTPQVDEIESLRRFKLEEEIIDAEYTLTCDRIVSLKDKVGGLSKYNRSRSVLLSARKIEENIEMTRREMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLMRIEAVSRSLDNGASSLASTSSSRIDIEAGAWQESHSPKLRDRIRAGQQQLGSAFRQLDSIFSAGHIFLRRNPMAQVWALVYLVFLHLWVLYILTSHPTVSETRSGAAFSLETLNKTSI >Dexi9B01G0028050.1:cds pep primary_assembly:Fonio_CM05836:9B:30686847:30688152:1 gene:Dexi9B01G0028050 transcript:Dexi9B01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSDLLTLVLVAQQLASKMASVISTKVAALAAVIFSLLVTYGSCARPMNFTESAFTADPNWEAARATWYGAPTGAGPYDDGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCKNHPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNEELRHAGIIDIQFKRVPCNYPGRKVTFHVEEGSNPMYLAVLVEFEDGDGDVVQVELMEANSRNWMPMRESWGSIWRMDSNHRLQAPFSLRITNESGRKLVANRVIPANWAPNNYYRSIIQY >DexiUA01G0014430.1:cds pep primary_assembly:Fonio_CM05836:UA:30577172:30577834:1 gene:DexiUA01G0014430 transcript:DexiUA01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTQLLHALWAQDFETLANPSMIGMLYFVLFMILFLENGLLPAAFLPGDSLLVLVGVLCAKGAMAFPQTILLLTIAASLGCWVSYIQGRWLGNTRLVQNWLSHLPAHYHQRAHHLFHKHGLSALLIGRFIAFVRTLLPTIAGLSGLSSTRFQFFNWMSGLLWVLILTTLGYALGKTPVFMKYEDQLMSCLMLLPVVLLVFGLVGSLVVLWKKKYGTRG >Dexi7A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:7A:21946655:21948870:1 gene:Dexi7A01G0011490 transcript:Dexi7A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQEDGDLRLQEPAAGGGRGGIIGKCFVGSPCAWLRRLSSELHWSFVLAVVAVYGTCQGVGNAVGGVAAGYYWKDVQRVQPSVAQFYQGVTDAPWVVKPLLWGLLTDVIPVAGYRRRPYFVVAGVIGVSSMLMLSLHRGLGIMPALLALTAQSGGAAIADVTVDALVAQNSITHPPLASDMQSLCGFSSSIGALLGFSISGLLVLLMGSQGALGLLSIPSVLVLSAGILLKENRAAEFDYKQVHKKFYKAIQSMGTTLKCPEGSIGVIYAIGSVGSLLGVLLYQSTLKDYPFHGILLWGQVLSSLAGMLDLNSTLLPAEMLQEGESTEAVKAGSVEFSVLVQDDSGCISPNMAAEDERTKMLDVEMMPLVNKSGPAIDS >Dexi5A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:5A:7642995:7644186:1 gene:Dexi5A01G0010040 transcript:Dexi5A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMGDQQQQMQGMSVGGDLKLPPGFRFHPSDEEIITFYLTPKVHKKNFTCTFIGEVDLNRTEPWELPGKAKIGEKEWYFFYHKDHKYRMATRMNRATKDGYWKATGKDKEIYISTKEVVLPVLVGMKKTLVFYMGRAPSGQKTTWIMHEYRLEGNNKVPYPTSISTSTTIVQPSSTSEVIVALTRGVKKALSPPPCNNGMTDNDIHNNSNHVLPPLQFPMLPDFTMDPAESYYSTIGMSSSLMLPVIPPIVASMVNAPVVPPISLYHQMSIGTIGANGFIDAPESGPSFMVPQKDVGMSLDQINATDISSMVSVALGPMATMDMDDIWKY >Dexi5B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:5B:18097521:18109657:-1 gene:Dexi5B01G0017120 transcript:Dexi5B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASTSAGGAPPRRRRDALALTLASRRLPVGAGDTGERVPGAVAPEVMPFVRAADEVEPLNPRVAFLCEYSPASPPPVDRYPFRVNLWLQDDTQVLGNDANEIQHFYKKYCAGMSQISEKRNFEEMARHYQVASALYEVLRDVTDNKIDPQVIRCKEIIEENGFHFKNYKYNIIPLNFPGPSEAIVELPEIRGAIDALSIIDGLPMPQLSSVHRQGDKSVRDLLDWLSLAFGFQKSNVENQRENMVLLLANVSTRTSAQEGHPLVDTVNELWKKIFGNYKSWCRYLHVSYNIRYMAKQLHDMVDEDHFQPPPGFEEEGSFLKNIIEPIFKVLQKEAQKSRGGTAGHSTWRNYDDLNELFWSEKCFTKLTWPWDLTSDFFYPGAMLIVSWSSSGSLSGITDGTVFRNVLSIFITAALLNFIKVTLDILFTVQAWGSMEWTNIVRYLLKFIVAIAWMIIFPVTYSSSIKYPSGVGKILNSWIGNWYNQSVYNIAIVIYMIPNILAALFFLLPQIQNLMERSNSRVVVFLMWWIQPRLYVGRAMHEDILSILKYVFFWALLLVAKLAFSFYVEISPLIDPTKFILDQRVGNYEWHQIFPFLPRNLGVVITIWAPIVLVYFMDIQIWYAIFSTFFGGVSGALSHVGEIRTLGMFRARFKSMPEAFSKCIAAKQREQALKQRSFFSVWNSFINSLREEDLISDRERDILMAPSSSSNLSVIQWPPFLLASKVPAALHMAMNSKEGDVHELIEKVKLDRDRYNAVIECYESLVIILSSLLLDSNDRNIVSEIDKKVTYSMSNKTFLEDFEMMEIGKKSELINDVEERKIVNALQDFMEVTTRDFMKGGHSVLTPYYNEEVLYSSYELNRKNEDGISILFYLQKIYPDEWSNFLERIGVDPDDEAALKGHMDDIRLWASYRGQTLVRTVRGMMYYRRALELQCYGDMINDKADLGGEEDARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVQLPDGKVEKQYYSVLVKGDDEVLNLHGT >Dexi8B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:8B:22082079:22083136:1 gene:Dexi8B01G0012720 transcript:Dexi8B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSTTRDKDLIISSQPKKIPLSNILAMAYYAIAPLLCTLMHNELYMHLYTTEIYNGPNSSNDHALVINVNPPLGFGNVVVDDWPVTDGLGPNANIVGHIQGVHIQSSQNAAYGWYFSFNLDFEGTRFGGSMLRVMGMTAGYGDWSIIGGAGEFTMARGVVENQVVQEDSGFWRTYELKIHAFYTPMNSSVVSSIAFTKNL >Dexi3A01G0025900.1:cds pep primary_assembly:Fonio_CM05836:3A:21909414:21913670:-1 gene:Dexi3A01G0025900 transcript:Dexi3A01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPCFGSAGDGAAKKGGARKDGSSDRCVTRVGSDKSKPQGGSDSKSDAVILRDGNNQHIAAHTFTFRELASATKNFRQDCLLGEGGFGRVYKGRLENGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGRFPMR >Dexi6B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:6B:777149:779060:-1 gene:Dexi6B01G0000910 transcript:Dexi6B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGDSNAKHTLKSRGGGVTSMAVGDEESDYFPPTPRKDWSTGLLKLVTATVIFMGGVVLGLSVSGSVARYYYNSSHAELFFPATTYGCDPRDRDCGLGLAFKAFVHPPRLAHSMTDDELFWRASLVPKTEDFPFQRVPKVAFLFMTRGPIPFAPLWDKFFRGHQGLYSVYVHTVPDYKLNVSKTSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHIMADQWRKGSEWFELNREMAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGPLNANRTITWVDWSRGGPHPASYGAADITEEFIQSIRNNGTQCFYNSKPTSVCYLFARKFAPNALGRLMNLTSTVLDF >Dexi3A01G0024640.1:cds pep primary_assembly:Fonio_CM05836:3A:20259844:20260614:1 gene:Dexi3A01G0024640 transcript:Dexi3A01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLAPSAPLGLGAAAFLDRRRDVVAPAACGVMARDPVRRVGAVVSSAAPTKKSSAAPTKKRARDGFDGNIGERDLGLAAGKVSVSIISAQGQQRLQERMRAELDAIRVLHRKAVALCGGAGRSGVAATKDETRFSVAGSRSEAPIEESAVKRRKTSPLKQSTKPLKQQRPVVQQHATPAPTKGSVAKPVGDKAREIRRRLDEIAQARERCRQEVLEIERTALPDETIYPRDLEELGIAFQYAFTRTWKQAHGPAV >Dexi5B01G0024980.1:cds pep primary_assembly:Fonio_CM05836:5B:27081643:27087354:1 gene:Dexi5B01G0024980 transcript:Dexi5B01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEDALRRGVVKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLRNSKITGPSSSPSSASSHALILPVANKVTEALPEAEKKPQDVKTPPKYGAMILEALSELNEPNGSEIGAIFGYIEVGYLCQLFSFDFIVLLQLFVVDNKFYRLADSFATRTPAPIKASAPKQKDPSKLSKASKNLGFFATSSPALDAAMAAAVKVAEAETRAHEAHDQMMEAERILKMAEETESLLTIAAEIYDRCTSIFLPIEMFKGRDNHIKSGAKGVLKCTGDLCSIVHG >Dexi2B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:2B:7769935:7772011:1 gene:Dexi2B01G0007480 transcript:Dexi2B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSRQGHAAAALSVMLLLIAPSATAAIGVNYGTKGDNLPPPSKVASFLANHTLIDRVKLFDTNADMVRAFAGTGISLTVTVANGDIPSLATNSAAAAAWVAANVSPYYPSTSISLVAVGNEITNTGDDSLISSLVPAMRALKSALAAAGLDKIRVTTPHSLGILAGAALGSSPASPSASRFVPGLDRDVFAPMLEFHRSTSSPFMVNPYPYFGYNGDTVAYALARRGSGSSNPGVRDPGTGITYTSMFEAQLDSVYSAMKRLGFGDVEIAVGETGWPSKAEDGQVGVSAAEAAEYNRYIIGEATAGLGTPLMPRRKFETYIFALFNENLKPGPVAERNFGLFEADLTPVYDVGLMKDGTGKTAAAPPPAVATTSSGEEAAAPEPAVEARAKKQDEEEAAAPAETTTASSGPAPSVTEEDDASPDATGSSPSEAPAAEGDSTTGGDKAPEEEGGDAPAAAAGGESSDDAAAKDGDGEGSTEEKKPETTPAPAGSASAAADLLVPISSMLAAALSLALHM >Dexi3A01G0027660.1:cds pep primary_assembly:Fonio_CM05836:3A:26698230:26700562:1 gene:Dexi3A01G0027660 transcript:Dexi3A01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESEDHGSLLEKINEKIHEYKHSSSSSSDSDDDKKPKKSKKKKLFGRKHPLHHVLGGGKAADLVLWRNKQTSGSILAGVTVIWLLFEGIGYHLLTFLCHSLIVFLTVWFVWSNAASFVNRSPPKFPEVILSEVQCLKIAHIMRKEINEAFYTLRNVASGKDLKTYLMMVAVLWFISIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDQVDVVGEKALIEIKKQYKVIDAKLLSKIPMLSEKKRH >Dexi3A01G0022570.1:cds pep primary_assembly:Fonio_CM05836:3A:18176903:18180122:1 gene:Dexi3A01G0022570 transcript:Dexi3A01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSPPAAEASPQGPGDKLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFLSWHSGRPAHASELLSFHSSEYIDELVQANATGAKKLCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPDCADGYCFLNNAGLAVHLALDSGRSKVAVVDIDVHYGNGTAQGFYHMDNVLTISLHMKHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVLVAGQDSSAFDPNGRQCLTMGGYRKIGEIMRSMANRHSNGQILIVQEGGYHISYSAYCLHATLEGVLDLESPLLDDPIAYYPEDERYTMKVVDMIKNSWKESVPFLKGN >Dexi2A01G0027440.1:cds pep primary_assembly:Fonio_CM05836:2A:38849061:38850585:-1 gene:Dexi2A01G0027440 transcript:Dexi2A01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGTRGRDPTVDPSSLYFVDAAHPYAAAATTALTSHRTKSKWSHLSSVPVPSPLPASATAAVLLLLRRRPHTALRFHAFALRRLLPSHSPPPLVLSASAAHIFNALAATYRRFASAPFVFDLLVIAYLRSRRDALAAASVARRILAAGARPLPSTAASLLRSLPSASAALDMYHQIYTHSSPQSNHLLLPTVQTFNSLLLAFYREGKCDEFKIVLQEMDKYSCKHNVCTYNIRMAEYCDRRNVKKARGLWEEMIQEGIEPHVTAYNTMIGGYCRAGEVGMAEEMFKNMQMGGIDPSAMTFEWLVRGHCMAGDAEAAMLVRADMRRRGFGLAAEVVEELLDVLCQNGRVEDGLGVLREEMRRGEFVPTRRSYEVLIRRFCDEGEVEVAMRLQAEMAGKGFNAGSEVYHAFICAYEKSEDYEMVAKLRKEMEVIGT >Dexi7B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:7B:19828794:19829124:1 gene:Dexi7B01G0013310 transcript:Dexi7B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADAVDVDAAASAAAVEAGCRYTGGGDHRGTQAHPPEFMPPPLNFRSAHPLGPGVQTTDGAHLSASVLKFD >Dexi3B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:3B:6613152:6614678:1 gene:Dexi3B01G0009580 transcript:Dexi3B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPRSTLFFRRFPGGAGLDALFVFFGGSVVVVTGDWPVAAPRPPIYSPRLVRPPWHITEHRQDMPEKDVFLGHIGGNVQTGAGKQDMRKQKLNNHGQPPPADQQYAASEYSSSYGGRHPYAPPAYPPCPPRKPPPVYGYPQPQLGWGFPPSDYPPPPEEPYHGYPPPPQPGYGGSYYGGGGYAPHQGMFIDQLCCAFIPWRHHGGHMGWIAAGAAAAGAGAYGAIHHFHHKHHHHGGGEYGGGYSHGYGHHGKFKHEHHGKFRHYARHDGKFNSKHAGEGKFDANAAGGDLTSSSSSKLLSLMVA >Dexi3B01G0026870.1:cds pep primary_assembly:Fonio_CM05836:3B:22272003:22272337:-1 gene:Dexi3B01G0026870 transcript:Dexi3B01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPASCTNTNDADSKAGDVDVKSEPLDAEDPVLPFGSFHGNVTEDEHGDSTECSTLFGDSGFASDDDVESDAGIMEAESPLYSHINVGGTPAVSDIVSTSE >Dexi9B01G0027190.1:cds pep primary_assembly:Fonio_CM05836:9B:29641155:29649129:1 gene:Dexi9B01G0027190 transcript:Dexi9B01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTGHKDLAAAEGPTEGRGGARAEGSDHCEPVAKMEVNVCDICGDVGREYLLVTCTRCLEGAEHTYCMRVKLDKVPDGNMVNAKASIPSPRGLLSKQLSFNSTNSGPKVKQLAEGVASKLRPAKHSPRDTRDKGPIKKLIQSGYFKREGSVCLDAGSSKQKQKFHSYQDEKPGILKPVKEKSSVERRASFSIRKPNIPSSPRPDGCMKLGERKIDQDISRSGPSILKSSKRPGNIEKNQSSDLSRSDNDKQDVTVHPKQVGVVSGNDAHAVKISDPPVLSQCIKKDSSCDFEDTDLFISAKNGNRVSEPAEAVPTTFTVMTSESDMRDLPRASTPDDSAPKVVCFQQKLSESIGDDSCTSGDVLNDTPHGLQMFHNLCPPDNKLDKSDLKQETFVDQSSDLENPLRDLVIPEHSYIWQGSFEVSRHGNSHEMFDGFQAYLSTCASSKAREVGEQLPDKIQLAEVPRHSSWPLQFKEVNPTEDSIALFFFAKDVESYESAYGKLLENMVRGDLSLIANINGTELLIFPSDKLPERIQRWNGFLFFWGIFYARKASSPLELLPTGTNNFCPLEQITESVIHHDMGSPKALQSLGIDLNECPNDDISDSAISLGSESEKSGAADILLEPKHEDMKLDASGTHREGAAGTRQGVSGHPTAAPYGTHVSTILTGENHDVIRDYPIAAKGGGTGTSGRDKIEGDQNEALFCVSQQSGAIRSISDDIKSKKHGLLPSIELVQRHFNGSKIFDGKSKTTTNSDMGSLDPDLTYKRQKTSYGKFSACSLEDEMPSSKCLSKVHPLPAGQHNPFDDLQYSFKGPSDPGSVKQPVPDDIIHVLSSDDEESPEPNTSLNKASLKADEGSSSLLSLSLSTVATKKNLSGSDIVDDEPLSLSLGLPSAMEGNRALEMKQFLPEKPGINT >Dexi8B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:8B:13821527:13823414:1 gene:Dexi8B01G0008750 transcript:Dexi8B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDTDVAVALAETSKHIQDQGVVGDDLVQVYQIAVHLHELLAVVGDRKITLSEHAKLGVESPDYFVILQRVLCDDEEGLVTPARLVLREGVKDDRDKVASVLDGDGLSVEVQNSDGHMEENGLGSIQGSVDSLHGGSTVVGGDGGLLLGDCDSREGDTARFDVVGQDLIVGNLGDSRAVLGTRDQKGRLVAHQLTVDLKPDHPSNILSLPKIKLYLYLSVPI >Dexi9A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:9A:14556915:14559084:-1 gene:Dexi9A01G0019610 transcript:Dexi9A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNRDQLQWRPWRRLLLPCALAVMSMLLEARAAEVTELDFEERRDERRDLVVLRDTLRSALDLHSNWTGPPCHGDRSRWRGVSCDADGRVVAVALDAAQLTGTLPRGALRRVSRLETLSLRGNALRGPLPELDGEALPRLRSVDLSSNRFSGPIPRGYATSLRDLVKLELQDNLLNGTLPAFEQSGLVVFNVSYNFLQGEVPSTRALRRFPASAFDHNLKLCGEAVNTECREGSPWSGAPADGVGDNPVVKPAGDVDPEARNKPMGFRLATWSVVAICLIAALVAFAAVLIFLHHRRKSREVRLGGRPCATETLHNAKVAGAGDIKDKATEQGRGSGSRSTDSGNGAATELHFFIDDGRARFDVDELFRSTAEMLGKGRLGITYRVTLEAGPVVVVKRLRNMAHVPRREFTHTMQLLGKLRHENIVNLVACFYSKEEKLVVYEHVPGCSLFHLLHGNRGEGRTPLPWPARLSIAQGMARGLAYLHQSLPYFHRPPHGNLKSSNVLVFFPAPKSTQTQQKQKQAVAKLTDYGFHPLLPHHAHRLAAAKCPEFARGGGGGGRKLSSRADVYCLGVVLLELVTGKVPVEEDGDLVEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTELALLCAAVEPDRRPKVQDVVRMIDEIAAGDGTPELQGY >Dexi1A01G0031790.1:cds pep primary_assembly:Fonio_CM05836:1A:36619895:36620838:-1 gene:Dexi1A01G0031790 transcript:Dexi1A01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRTSESDRAPVHIYHRQNPEEHEPLSSAFHGASPTSAVVAVDTNLDTATLDTYRAPPAPLPYDVGLTVAENPDLDKSVIKIKPEGQQSPKVDEFESCEKGTPEDKPDEEDVCPICLE >Dexi5B01G0022830.1:cds pep primary_assembly:Fonio_CM05836:5B:25117430:25119292:1 gene:Dexi5B01G0022830 transcript:Dexi5B01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFSASSATRLQAVDAAAAVVKNGRVPLNLKASVAPAQRSLGCCRATARQEGATKEPSVAGLENGTPPESYQRATIREQLLALANGKVDDEFTLPLAKRLKEGLKRLNSLTVSQRRNIKRQALLTQV >Dexi2B01G0026180.1:cds pep primary_assembly:Fonio_CM05836:2B:35305469:35312127:-1 gene:Dexi2B01G0026180 transcript:Dexi2B01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTQSSHFPTAPRGRLRQRDAAATPRRDQRSAHHINATAPRFFPARRPAAAGRRRPPGHRLLAASSGSAPQPGGRPFDGGDSSMSSSSSDPLLGGAAAKGEGGEPGARASSWVGRVVDTEEARAQLGFAVPMVLTSMAYYAIPVVSVMFSGQLGDVHLAGATLGNSWATVTGYACVWVLGGSIMTGMGSRGRRTYKSVRECGYRRYIQRPCSRCLVDIGNTTGLSGALETLCGQAYGARLYRMLGLYLQSSLIMSAAVSVLVSFLWWYTEPVLVFLRQDPEVSRAAAAFVRCQIPGLFAFSSLQCLLRYLQTQSVVVPLVVCSVAPFALHVALAHLLVNVLGLGLPGAAAAVSATFWVSCLMLLAYVTRSRAFSETWKGFSAEAFKYVLPTVKLATPSAVMVCLEYWAFELLVLIAGLLPDSTLSTSLIAMCVNTEAIAYMITFGFSAAVSTRVSNEIGAGNVDRAKNAVSVTLKLSVFLAVSFVLLLAFGHGLWARLFSGSPAIASGFAAITPLMAVSIVLDGAQGVLSGVARGCGWQHLAAATNLVAFYLVGMPLAVLFAFGLDLRARGLWAGLICGLTCQACTLLVITARTRWSELAEAMREEKANYVA >Dexi5A01G0023120.1:cds pep primary_assembly:Fonio_CM05836:5A:27195072:27195482:-1 gene:Dexi5A01G0023120 transcript:Dexi5A01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPGARGSSRSLVVHPLHGDQDRRGVRQLLARVRAVAVANAADCCGCAMSLKSSSALRGLMFRLEYWTQPMAPRRTSTATRPTALGAARKKGAELLTKGSKKKRITSSSGADGVTEAGSALGGWMPPDCSMECM >Dexi5B01G0034210.1:cds pep primary_assembly:Fonio_CM05836:5B:34456580:34459176:-1 gene:Dexi5B01G0034210 transcript:Dexi5B01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKARRTAAAAAAAAEQEQRAGAEAAANGRKRRLYGFSASLVVASWVAVLLLHSLVGHGDGQRDGGGSAVALTVVDPSLNEGSINPVAQGEHGENLAVPGDTCVNTDENVVLSEETLIQADQMCSNDEVQNENTEALTKDSQAELSEDQGQSRPQTDGGSGVQPGMKVESEDLPRPSRLPRVVPPGLDEFKTRAIAERDPGVSSQPGNVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSADAKFVIIELSEETLVDTIAIANFEHYSSNPKEFELLSSLTYPTENWETLGRFTVPNAKHAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLEDLIPVENKKNEPEDKAKEPVEKTPLTEPTGGKESAQEPLDEDEFELADDKPNGDSPKNGANDQISETRMLQAGRIPGDTVLKVLMQKVQYLDVSFSVLERYLEELNSRYGQIFKDFDADIDNKDVLLGKIKQELKNLESSKDSMANEIEGILSWKQVVSSQVNQLILDNALLRSEFETFRQKQTDMENRSLAVIFLSFVFACLALAKLSIGLMSKFCRFYDFEKFHNVVQDSRGM >Dexi2A01G0025100.1:cds pep primary_assembly:Fonio_CM05836:2A:36879691:36882273:-1 gene:Dexi2A01G0025100 transcript:Dexi2A01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDLAQQPRSDRLYPVAGLAIGHANNPRFVASDGVPSEAMIVGSRGTSAYRRSVSLSQARLSCIDPKRQDLVFIIVLLNPSPMGSKIDQDDIPGNIEDLDEKGRQKYLMALAHLQSGFLKGFNKDQDTVTRVQEFVMPSFKMNDDKIEPVRPPQPMRPPVQPVASPQRLLSGPALLQAVRPAAVGDRLQQAVRPAYIMVVQRR >Dexi6B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:6B:26505305:26506037:1 gene:Dexi6B01G0019920 transcript:Dexi6B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIVYGGLKYQASSARCISDVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGDGYGAAVWKIPEQSGQSNSSQLEQLFELGGHTGKIRRCASVSVDVWLR >Dexi9A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:9A:6790821:6791183:-1 gene:Dexi9A01G0011000 transcript:Dexi9A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSTALPALLLAATLLFSSVAVVRGQQRGNPCPTNALADLKVCADVLVLLKLKINVPQSQQCCPLLGNLVNLDVAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAVPGSNCS >Dexi2B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:2B:23675762:23677147:-1 gene:Dexi2B01G0014170 transcript:Dexi2B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTWRHKAKNKRRYEPPAQPTSVHDLPDDLLVRVLLGLGSPLHLVRAAATCRRWRRAVADTGFLTQFISLHGAPSVAGHYYVTETLPPNSTDQRRRVPEMTTATFVPVSAAVVDVAHFSLDFFYVPPANDGDRPRNMFQSASRRVRHSRSREIIDSRGSLLLLSNRPCSEGRYWSPDFIVCEPLTRRCQGIARPATLSFHGFLGGFLLDGNGGDHCDGAMSNFRVLSVLYEQDGSSGAPRACVFTPGSDGGWQLCWYPMDDCGGGDDDIELPTIDKIHLAGRAAGMIYWGVETGTVLVLDESTLIFSLLTFPEHMRWPYRATNFRVIGGGDDGVPVRIVRLDGQELEVYGQVSGSAGQWVVEKRVRLRDAAAILPGWRDSFSAQPASIVTAGDTFVVLTRTEKRWLFTVDLETMEAERQHERNRHVGPAYPCTLPWPPVLRAGLHHDDTVGKRRQRRNS >Dexi1A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:1A:26500107:26502066:1 gene:Dexi1A01G0019360 transcript:Dexi1A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSGVVRVLGASSAAVAAFLRFLYRCPDDPMEEEEEEALLALAHAYRVPWLKRLAEASVAARLNAERAVDAMKLAALCDAPRLYMACARLAGKDLAAVQASEGWRFAGRHDVAFQLELLQLLHDADQRKERWERVRASQHVYRQLSDAMALLDRIFFDAGEEACAEASPPCELDGGVRRGLEQLMRHFAACGRRTRKPVAACPRCRRAFQLLRLHASVCDLAGGEPCRVPLCSNLKAKMQEEGVDKTWKLLVKKVIRARVMSAVANREVPEVVKKSWAKYNSRRTARFR >Dexi1A01G0025900.1:cds pep primary_assembly:Fonio_CM05836:1A:31973549:31974223:-1 gene:Dexi1A01G0025900 transcript:Dexi1A01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTSLDIHISVNSLTDPLACMMFVKDDTGRTLPKFGEWDVNNPASADGFTVIFSKARDEKKAPTKGHISNRSADSKDSRPPDNKMTSYNSRSNASVRMHTHL >Dexi1B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:1B:6933492:6938526:1 gene:Dexi1B01G0008270 transcript:Dexi1B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEQWFGTGGASRAGLRRSEVRPLGNTTRASSGRGGGQETRVLPRHERQPWRWPCDPSVGRDLGEQPSSRNVIRGEALRWKGIMGMLALKRLMSTLRRERRRRRHDVWIPSRPNKLAKDDDSQRGKRSRDSGPNLPEMVNTSTVAAKFHHLKYLKIYLDGGPGYDFCSLGSFLDASPVLETFILSTDMEFDPVFWDASNMRQMPEHKYESLKAVMIFGFCSDKSMVELTCHILENATSLESITLDTVNDNDDKDSLGRCSVAPARGKCCDLSDDMILEANKGLIAIKKYIAAKVPSTSELHVRGPCSQCRTLEPYV >Dexi5B01G0023350.1:cds pep primary_assembly:Fonio_CM05836:5B:25548291:25548638:-1 gene:Dexi5B01G0023350 transcript:Dexi5B01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRARGGQPARRPNPEVEDEEAAAAQEGHKVHGGLSVKLENLAAGGKELRESRWDSSQGTVVKGLNFIRRYGFKEDDVVEGAAGRLFGTGACAKSQRRPPVLIVKKH >Dexi1B01G0021690.1:cds pep primary_assembly:Fonio_CM05836:1B:27570346:27570694:1 gene:Dexi1B01G0021690 transcript:Dexi1B01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPRIKAAGRWRHAAAPRCSGTFRYAVSRAASDEPASGDALLILAHKSSSLVAKYSERATPETGRE >Dexi2B01G0029410.1:cds pep primary_assembly:Fonio_CM05836:2B:37819801:37820312:1 gene:Dexi2B01G0029410 transcript:Dexi2B01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAIQDPVAYTSLKIWLAQTLSGFFFCRRHPDKTTPPPLGFAGLDTSPPPLDDPSLSFLPSSWSKIWKLLASCNGLLLLRCSSSQPSAGSATGSSPPPPFYVVCNPATGEMLEAIEIGRVADEEFSPSYLMDD >Dexi6A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:6A:21447958:21450002:-1 gene:Dexi6A01G0014070 transcript:Dexi6A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVVVILVLALPLFFLLTRAAWITVSCYYLTPMKIRRTLAAQGVHGPPPRLLLGNLRDVSALLAKATAADMASLSHDIVSRLLPHYVLWSKMYGKVFVYWYGSEPRVCVTDAGMVRELLSSRHAHVTGKSWMQRQGAKHFIGRGLIMANGATWSHQRHVVAPAFMADRLRGRVGHMVECARQAVRALRDAVARGGNEVEVGAHMARLAGDIIARTEFDTSYDTGKRIFHLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEQLLKESIQRSREIADEGRTPSSACGMGLLGMLLAEMEKKKKTNKSGSGGGELGYDTQTIVDECKTFFFAGHETSALLLTWAIMLLATNPSWQDKARAEVATVCGASPPTADHLPKLTVLQMVINETLRLYPPATLLPRMAFEDITLGGGELRVPKGASVWIPVLAIHHDEAVWGPDAHEFRPERFAPGRPRPWAGRFLPFASGPRNCVGQAYAMVEAKVVLAMLLSSFRFGISDEYRHAPVTVLTLRPRHGVPVRLLPLTRQ >Dexi2A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:2A:33698652:33699037:-1 gene:Dexi2A01G0021610 transcript:Dexi2A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLFGVGESECAVIFVWAYALASAAVTAWSAFFLWTLSPEKRGVRNENAGGRAAELAAGSRAFRAVSLAPSPTGSAVRRMSSHTAAPVFSVVA >Dexi7A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:7A:28967030:28967860:-1 gene:Dexi7A01G0020030 transcript:Dexi7A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALSRRELQALCKRNAVRANMSNAAMADALRSLPSVDGLDEIGRAGAPPMSAVKSVEVVVMDEEKIDGNPLPRGGRARSKVRTAATDKLEHDVGDQATSQGIQGTAAREALAPLNVAEVTREEQGHGCPLPRGRRVGAMTRKAAAHKTEEAVLAPDTFHGSQRTEAGEGAAPVEAEEVATGKRRTVRCTRSKVKMALDQKDATECKEQKDPDGK >Dexi5A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:5A:10276912:10278964:1 gene:Dexi5A01G0013650 transcript:Dexi5A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECKSGDDDGGRSPSSSMDSSTHPALSTTSSGCRPASRRDLSTDLQLGLSLSPASSLLAVETKSIPSTPRNQVLPDWPPIKPFLRSALAASARRRRTLFVKVYMEGVPIGRKLDLLLLEGYESLLAKLCHMFKTPITYADVMEYHQRIPREKAAHVLTYEDQDGDWMMVGDV >DexiUA01G0001750.1:cds pep primary_assembly:Fonio_CM05836:UA:4678204:4682700:1 gene:DexiUA01G0001750 transcript:DexiUA01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPQPPPSAFSSSASSAAAAAAAAWWRDNMHPAAGYGGGAASWPPPPARWPQTMAPAPQQQHHHHGRTTTTSSGDDLSASNATITSFTNTSTNHSGLSMDSSVVVPGADHHHHAAAAAVAAESHLWSQVLMGAAGGEVGRSMQQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYSSSSHGGGGGGWPDHHQQFTAAAAMEMKHLSSGGGYGATLSHHHHHAAAPERLTANLSDLVSNWSIAPPNPSLAGAAAACDKNEMGGGGHGGAMLHEAAGGGGGQEFLRPTAGYSSMLGLSSSSSRMYGGGAMEVPWGNNAGTARSLSDLISFGGAPPLGKTEQTAAATATKAQSEYNKKQGQEISSPGKTSSGGGSKGSSEGKKKRSEEQQGSDGNTKKSKNEASSPTSSLKASQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKSEAEMDLRSRGLCLVPVSCTPQMYRDNNGPDYWTPPYRSCLYR >Dexi8B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:8B:1624868:1627161:1 gene:Dexi8B01G0002390 transcript:Dexi8B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDAVFACCLLLLLGSASGNGGSSVSTTTPLPAGGGSLPLWVQQLAVASGVPAPTLGALDGKHLVVSPNARGGDGQFSSINAALAAAAAEDLSGRNRFIIFIDEGVYDETVNFTMEKVILVGQGIGMSVITGNKSVEFDNLTTQQTATLSFHGKGFMAQGLTIRNTAGPAGKQAVAFMSRSHNSLIFRCSIQGYQETLDADTGFQMYVETDIHGTVDFVFGYAHAVFIGCRLLVRHPGAAAGHNVVTAQGRSNPEDKSGFVFQNCSLTADQGANLTGVETFLGRPWKNHSHVVFMESFLDAIVDPLGWIEWNRTHGEIPSTVRYLEYGNSGPGADTTGRVKNPAVRVATCSEAAEYTADRFVDAKDWMVPATEPKVTIPYPRGLQHPCPAA >Dexi5B01G0038930.1:cds pep primary_assembly:Fonio_CM05836:5B:37975587:37976327:1 gene:Dexi5B01G0038930 transcript:Dexi5B01G0038930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKRVPTMAPGKQWVRVSWTRSTTWCSDRPEMISRNVPAHHGLYERTPSTTRRRRCCDVTHAGRSPSLNEWNRLPVYPPALITSSGASCRTSHSFFSSSGRATRSRTSGILSMIRRSHLRGYGRTLSSGGDEAFRLGCWPGGGKSRSDRDAASVRTSPDMKHTSVWTLERSQQMVWSPMDEHGEFTWFTGGGDPLDDVDEFAAKKPTTSTPCGASTKTRGA >Dexi6A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:6A:3533808:3534536:1 gene:Dexi6A01G0003800 transcript:Dexi6A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIASSLGSGVHAINTPFDPATRISRQTSPIPRRAAPPSKKEKEDKTLRPSPRPPLAVLARVCLPVPPRAPHRRQKLPRRSEEKGKGRLPPHQQPWPHRKPRRLPSATVLLLFWYLAVPNGFAFSSPVTAGGSLGGRTSSSVSFRV >Dexi8B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:8B:25975188:25977031:-1 gene:Dexi8B01G0015200 transcript:Dexi8B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLHLLPNGRLTAALLLLAACLSACNVQAVTSAEASFIAHRQLIAMKEAGGGESGDLPADFEFDDRVGANFPNPRLRRAYIALQAWRRAFYSDPKGYTSNWVGNDVCKYNGVVCVEALDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSLLHEFDVSNNRFVGPFPFVCLEMASLKYLDIRFNDFEGELPPGLFDKDLDAIFVNTNRFVGHIPENLGNSTASVIVFANNGLIGCIPKSIGRMVKTLDEIIFMNNKLEGCLPLEMGYLQNTTQECVCWLPDTRSWTAGEAAGGQGATGAGGVTRATIAAIDNSGCEATAGGAAARCSAAAERRLAPGVTGAPQGATATGGASGERKPTTGAGDIYSSDDTTGEIDSSANSGEAYSTTGDSRKPASGASEAFYSSTGTSGSTATTCKGSTGTGGSTATTGEGSTGANKAIYSSAGTSGSTATTCKGSSYTSSAGCLATTAGEVSTTTSSSELATTS >Dexi8B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:8B:7935554:7936447:-1 gene:Dexi8B01G0006790 transcript:Dexi8B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASHTAAPPWQRKPKPLSRLTRLTTTVAGFVTDAACRADGTLNRAMLSFLDPPVPAFPSSPWWRRRHRPRPRPPRAPLPPVLGELPAAAAPRDRLLPRRRVRLLLRGIASLRRGLPPHRPDRLRRRALRRLPPLAGAPLSGVFPALDVSRCFLAGDSAGGNVAHHVARRYATHVSTFRNVRLAGVIAIQPFFGGEERTPSELRLDGVGPIVSVDRADWMWRAFLPPEADRDHEAANFAHPAAIAGVESPAFPPVLLAIGGFDPLQDWQRRYGEMLRRRGKKVEVVQYPDAIHGF >Dexi5A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:5A:22556628:22558675:-1 gene:Dexi5A01G0019090 transcript:Dexi5A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLLAHRPAAPKGSLKRLVDEQIEAASAACCRICFEPGNGLISPCKCKGTLQFVHRSCLDHWRAIREGTAFSHCTTCKAQFHLRVRLLEEDRGRKTRFRLFVARDILLVFLAIQTAIAAIGCAAYLMDKHGQFRNRFADGWVHILSKHPVPFYYCVGVVVFFALVGLFGLILNCSTCSSSNNSSFSYDFHFPRRTRSSNCSSDSHDGSAAVVIIIIIFAILGIVYAFVAATIAVKRILQRHYHILTKKELTKEYVVEDLREGYTAPTMDPEHERRLRMLKLM >Dexi7B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:7B:19743594:19744529:-1 gene:Dexi7B01G0013180 transcript:Dexi7B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILKANLPTFTSEEKKLLQYKSDFIGLNHYTAIYWSNVSREELINDVERLNYLQGYITYLSKAVRNGANVRGYFAWTLLDNFEWTFGYSLRYGLYHVDFDTQERTPRMSARWYRSFLTGSDLTDNVQEPRADS >DexiUA01G0027100.1:cds pep primary_assembly:Fonio_CM05836:UA:57881472:57884924:-1 gene:DexiUA01G0027100 transcript:DexiUA01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEARRAAAGGGKKLALASISFADVRVGAAGGAGLKDNLLVVGLPVPKDDELDVLRDLAVRLPDVGAGVRNFLRNREVAEFVSGAMAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAIELGTFEYVKRTMASAQEKWKEDGCPKIELGNLKIELPFHLLSPIAIGGAAAGIASTLACHPLEVLKDRMTVNREAYPSIAIAINKIYRTNGIVGLYAGLFPTLVGMLPYSTCYYFMYETIKTSYCRAQKKKSLSRPELLAIGAISGLTASTISFPLEVARKRLMVGSLQGKCPPHMIAALAEVVQEEGLKGLFRGWAASSLKVMPTSGVTWMFYEAWKDILLAPQLHA >Dexi3A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:3A:7080716:7085043:-1 gene:Dexi3A01G0009990 transcript:Dexi3A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGAEWPEPVVRVQSLSESGAATIPDRYVKPEAERPAMSAAAPEAELTEGEGIPVVDMSSPGDPATARAVSEACREWGFFQAVNHGVPPELLRRARGVWRGFFRQPMEVKQMYANSPATYEGYGSRLGVEKGATTEEYSREVAALCGRLMTAMSVGLGAAPARLQEAFGGEEGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADDRVKGLQVRRRGAWVTVDPVPDAFIVNVGDQIQVLTNATYRSVEHRVMVNAAEERLSVALFYNPRSDLPLAPMPELVSPDRPSLYKPMTFDEYRLYIRREGPRGKSQVESLKATAVGGAT >Dexi3B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:3B:2045639:2046122:-1 gene:Dexi3B01G0003120 transcript:Dexi3B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKHDAPRRPVALCDASEAICEAMDEGHGTLPKHSIPSTLHHPKKRNEWHRGDFLTGDVTADILYGDVEATAAGTRQQKWVKVVEASPTPFMLAENMRSRMRRPAEDETARIGEIRLTAGEENPILQKAP >Dexi8B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:8B:2628072:2629382:1 gene:Dexi8B01G0003480 transcript:Dexi8B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDFQGSTTPSHTHSSPFSSFGRSLLSLRRDTPASSAAAAAAAMSTPGVEPEMMEEFHSHVAAHLADLKTGGGGGGGDVEEDEEFMSIAWIRRLLEAFLLCHGEFRVLVAEARRRGALPAPAEKQVAEFWERAVKALDVCNAARDGVDQARRWERLAGIAASVLLAPPEGEIHEGQLRRARKALSDLSALLVDDAAAAAAAGGGGAVASFLASHRNRSFGRARASPSRASSSSSLSSSSSSSSHFRSLSWSVSRNWSAARQLQAIGAGLAAPRGNEALGLAAPAYAMGCLLHLAAWALVAAVPCPDRGGALQANHLPAAPPRVAFPWAPPLLALQERLAEEGKRKDRRNSCGLLREIHALEKCVQRLVEAIDAAPVPLTGERESEVREAAAELAAVCAAMKDGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >Dexi9A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:9A:8763679:8765382:1 gene:Dexi9A01G0013620 transcript:Dexi9A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYVVHVGRETGVFSTWEAAHAQVDGFKGACYQRYNSRDEAMQAFYGRKHIKEETRSRALDPCRGLFMAAQDDEACSAITHRKKRALLSKRCRFVSIYDYEMVEEIGVGTYGVVAKAQNIRTGATVAIKWIRRAKGHDEDDEPIAHAFTLEARCLAAHRDHPGVDVARDAMRQLFGAVKNPHTAGTIHNDINPGNILIGRDGTLKICGFGCATSARPPFAGKVNKVKRLGTLQYRGPKDDIWALGCVMAELITGEPLITAATEEDALDAAVDVRDDIVTMEEEAFGGLLGDLSLPGHQLLAGLLAFHSCERLTAADALKHRWFTEDGELAVEPPVTVEAVVEPLLSGSQESIE >Dexi3A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:3A:6044794:6045265:1 gene:Dexi3A01G0008640 transcript:Dexi3A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEGAYWGSAAGAFEAIEYGVELMRGRSDWKNAAIGGALAGALISAANSSHRDTKQVIKDSIAGGAIGTVIEFTRT >Dexi9B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:9B:9777574:9781509:-1 gene:Dexi9B01G0014650 transcript:Dexi9B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVADLKEKHAAATASVNSLRERLRQRREMLLDTDVARYSKVQGRAPVSFNPTDLVCCRTLQGHSGKVVDLATHFHSGAAFFRDFSFCFLVRNWEYQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVQSVSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDVNSVKFFPDGHRFGTGSEDGTCRLFDMRTGHQLQVYSREPDRNDNELPAVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVCIIVVLNLGNLQNSHDGRISCLGMSSDGSALCTGSWDKNLKVRTFKKRLFPFTTS >Dexi9A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:9A:895440:895874:1 gene:Dexi9A01G0001710 transcript:Dexi9A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDESFKRPGCVPFKWEVQPGIPKQLEDPPAAGAGGERDNSTAAAPPRQLALPPAARASALASSTSCRRSSVSRSSVSSALLSPPPLDETPPPPAHHRRSMSARFATSLALPFTRRPRRGQAVAKDDAGVDFCVVYSEKIAQI >Dexi5B01G0027870.1:cds pep primary_assembly:Fonio_CM05836:5B:29392347:29395812:-1 gene:Dexi5B01G0027870 transcript:Dexi5B01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRPPPRTQNFFPAPVLSLSLAGAFGWNEPETAGGEEVEAGADEGDGGIRLVKQGEAPEISSGNTGTGSQSGGEEAGGANKRRKSYHRHTAEQIKAMEAVFKESPHPDEKQRQQLSQELGLSKRQVKFWFQNRRTQIKANQERHENSLLRSELEKLQEENRTMRELVKKPTRCPDCSVAGNTQEQLLRLENAELKAEIKRLRGTLVNTTSGHGELVAGDSPSCSARAFGISNRSLADDDHGGVGFLGHDKKRILELAGRALDELTTMCSSGEPLWVRSVETGRDVVNYDEYVRLFHRDDDDPSDHRAGWTVEASRETGVVYMDTRQLVKAFMDVNQWLELFPSMISKAMTLGVIHAGDNDDQDGVVQLMFAEVQMLTPLLPTRELHFLRHCKKLTADKWAVVDVSIDDVEPDAQTSSTPRKCLKKPSGCVIEEQTNGRCKVAWVEHVTCHNATVPPMYRSAAASGLAFGARRWVAALQLQCERMVFSVATNIPAMNSNGVATLAGRRSVLKLAHRMTSGLCRVIGGSRDLPWRKQPSNRGGGLDVRVASRRNTGDDPGETQGLIACAVASAWLPVSPAALLDFLRDESRRHEWDVMLPTRPVQSCASVAKGKDRGNCVVAYAVKSSAGEQGGEWIIQDSSTSACESTVAYATVDAEVLRPVIDGRDSSGVALLPCGFAVVPDGLESRPTVITSSSSKELGRAAAEAGGSLVTVAFQALASSSPTDDALPPDAAEAVARLASCALGNVKKALRCEDC >Dexi5A01G0021880.1:cds pep primary_assembly:Fonio_CM05836:5A:25721037:25722048:1 gene:Dexi5A01G0021880 transcript:Dexi5A01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKCLATLLISAVLLVNLTPGLCSCYKRIFSFGDSIIDTGNFVHTLDNDQSRYNEFPYGMTFFKNATGRFCDGRVLVDFYAQAFQLPLIPPNLPEQDLSLFPNGANFAVAGATAMPPHYYLKWNHSVPIPYSLDIQIGWFKQMLQRIAPGDDGAKRKQLLNESLVVLGEIGGNDYNYWCTDAKHHPHEQANQFIPDIITYIGSFIQELIDLGATSIMIPNNFPIGCVPLYLSMFSSSNPTDYDKHRCLRWFNDFSMHHNQALRSEVDRLKHRTPT >Dexi9B01G0035690.1:cds pep primary_assembly:Fonio_CM05836:9B:37265141:37267263:1 gene:Dexi9B01G0035690 transcript:Dexi9B01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLPCLFLPRLLLPRDGNIGALQIHSASKFRGQVQFVLLLKPVVLREQVALQPMVLQLPVVLLQLVLTPLVHGGKQQLLVHPSRFIRLLAALNDDDTIQPTNSNGRSNASATSIDPTDARSDRRLNWSNEEDIRLEAPKKRPIGRDKAKEERKGKRKEPEAIVAIGEKLDKFMEATTKAEKIAQVQQNLADKKLEVAKEQTKSKMLDLYKELLCAPTSELSEEAKAERSKALELMASAIFSKDN >Dexi1A01G0026490.1:cds pep primary_assembly:Fonio_CM05836:1A:32385173:32387196:-1 gene:Dexi1A01G0026490 transcript:Dexi1A01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLMKQSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >Dexi8B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:8B:8495386:8500084:1 gene:Dexi8B01G0007240 transcript:Dexi8B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGMAVEEYVDWRGNAVDGKKHGGVRATLFLYVLVLLRSCPNSANFSLVAYLQSRLNLGIVSSSTVITFLVGAVSVSTALMNFVSDEFIKPSTAIFVFGPFVFLVIVAALRKRHSVIHDSAVLQEIGEAICIDGEKNLHTKKKE >Dexi5A01G0034980.1:cds pep primary_assembly:Fonio_CM05836:5A:36906751:36910170:1 gene:Dexi5A01G0034980 transcript:Dexi5A01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPSVKKTRTRSHQPAAISKFEFSPLSRAAVPGIPRLLLSPLPPLPRLDLVLAQSPPNSIGSRGILNPMKISELSPEYRQPPPHAGLLTDLNRVVAEVEAFEAPDSPPPQKLAADLRRILTSLASAASSSPGLDEGFRLKVWNLAFRLWNACVDRANYGFPEARVAEVEIRQAAPELLLVAGLPKDIPNGPAKVAAFFHRTGLIWLDLGRADLASACFEKATPLVSAADTEEDRAVLLHLNLARARAASRAGEHALAVALLSRSKPLAAASPEGVKALAAEYLHIGKAVLSRKPPDPALDASNLLTEALDLCEKAAASPSCTAPTTQGSTPATPNLQLIKDQCLRFLAVERIEAKDYEGTLRCIRVWRDSQGLGEEHPSIGFMALQACLGSGNLAEAEGELERLMANAGAPDCVCVTAAELYLASTGPDAAFKVLLALAARCRAGAAAAAVRALKKVFESAGGGTGRARVITEIASDERVVKLFDGPTNTHERSTMHALLWTCGTEHFHAKNYEISADLIERSMLYVSRDEESRSRRASCFRVLCLCHMALRHLDRAQEFIIEAEKVEPSIRCAFLKFKILLQKGEEDEAIKLMKTMAGYVDFNPEFLTLSIHEAIACKSVRVAIAALTFLLGLYSAGKPMPMTEAAVLRNLIALLLREPGSEAEILKYSRRAKLRMSELGMEAIFGKGNVGLCERNWFAVMMWNMALQMGKEKKYEYCTEFFELAAEFFSSGNGEDDANSLLVCKSLIMGVGAMLLVEELKKSPLSDSDIKKGIEMLSRAGKVKMKLANYQESLLSLLPSTLPSAPVTSDQLEGNNFLFLHTFNFYHLLNRMDTTAHPQQLQLVKNFAASKACTPSHLLQLGQIASQGTQPNLQVAEFLLKASITTALASHSPNYGIISAALRSLVYLAGLQDISGSMSDAVYDVFRQAYQIVVGLRDGEYPSEEGKWLTMTAWNKSSLASRLGQRSVARKWMKMGIDLARHFQSTKQYISAMEEYFENFQKVSGKEPDECSQQDGAPSTSLSGSVSQAGLV >Dexi2B01G0024650.1:cds pep primary_assembly:Fonio_CM05836:2B:34029965:34032051:-1 gene:Dexi2B01G0024650 transcript:Dexi2B01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAVTSKGKAAFELKHRLVQAVNKIGDRDTYQIGLDELEKMADTLAPDMIGPFLSCVIDTDAEQKSAVRKECIKVIGTLARLHGNLLAPHMAKMVSSVVKRLKDTDSVVRDACVDTCGTLAMCARSYGDGGAALVALVRPLFESLGEQNRYVQAGSALCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAAIELIRSIIQAEGASTEQALSSALTSIMDALKSSDWNTRKAASLALSSIAGHILLNHQKLDHQRKRTSLVTAMMPEV >Dexi8A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:8A:1351417:1353879:-1 gene:Dexi8A01G0002000 transcript:Dexi8A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHLDRGGGGGGGTELFICFTSRPSAASVAGAPSSLRTSSSSKLLSPGRVSAGGAGAEAVTTPPLHPSLSRRLRNSGSLKGGQSPMFPSGPTAGGGHRGRGGLEPAEPSSPKVTCIGQVRVKGGKRKPKHASSAAALRSCSRRVGDGPQAAKNQGWVYQIPVNICEALGSCGARSLCSPSRPGGVGERSADSADAHGGKRRRQRAPAGSSWLCGAAVARCLLPIQEEEEDDVGKGAAIVPAEDMRASEVGLVMEGWDVEEEEKAVLVGEVEVEKKDDILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAATATVEQVDTKVPGGVNEDEDEEEEEDEAEPELEECKDEARRSAVSVKDANCGELGGGENEDGETGEIDQAEAEAEESSKCGDLVEEDKCGSCRIEVEEAQIVRKDAALEVSLGEDMAAESQGAGMLELVLTKEEDTPALEKVEEEVKGRKSISSCSPSAVLKEDRNKLRRLNSRRYGASSRVSSSSASDRVGRRHSFSAEMEARRSSFSSSKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKPSSEQDLEKDCADVVAPSSAEEGPESYDDGKEEETIKNQEEGGTQNAETNCEVEKVETRAKDCEAGLLVQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGKNNCNRRPQKTLAGGNDSATATGEVEDKENSEGSTVDTKESKDPSMVNLTAVPMPPPVAQKTPPLDPATTEQKTKLELPLVTNAAAYAPFVLKRCKSEPMRSSARLAPDCFWKDRHRPLNATGVGF >DexiUA01G0017960.1:cds pep primary_assembly:Fonio_CM05836:UA:38215203:38217420:-1 gene:DexiUA01G0017960 transcript:DexiUA01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGEGGGGGARQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCSSCAARPATARVAAAPGGAGGPAFLCADCRGDEGDGGGVPVEGFSGCPSAAQLAASWGLDLRGGCADEEEEEEDNEDAFFSVLDYSMLVAGADSDLRDLYVPCNPPEVPAPTAAAGGARRLKGEALCDQLAEMARREADSADHPHPPHSDLSPRTPRRNSAASSGRLPGNKMAPPLHPPPPPAPAPQEVPLPYTSLLMMASGNCTGLIGAADRMAAAADDDDQLLWDCAAPSVPPTQIWDFNLGRSRDHDEKSAIEVGFGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQLSSKNVSHLLLF >Dexi2B01G0028270.1:cds pep primary_assembly:Fonio_CM05836:2B:36984127:36987076:-1 gene:Dexi2B01G0028270 transcript:Dexi2B01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVSWHNNFGYDPTRHHLAVSGHHLRRLEIRADSSGLKLMRQFNIVDELHLNVHVMEGIQEYKRFLEGTDKLARCEVLVIRFMKMEHSFKSAMLHLLRQCTRVRKLVVQVTTNMDDYPCKLLSKCPCSLPENPSVQPISCRCRRPAFAGGGVVVQQLQEQASCWVGASRSYAVGASREQIIP >Dexi5B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:5B:4916286:4920813:1 gene:Dexi5B01G0007310 transcript:Dexi5B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSVLQILDYGFDRLGANSEVDLVQLKVNNDAQQVGHPILMTECECNPSFSRARMAELLFETYGVPSIAFGIDNAFSYKYNQKLGNCGEDGLAISCEYGTCHVVPFLKGQPVLGACCRTNVGGFHITDFLRQLLSLKYPYHMANFTWEKAEELKKEHCYIALDYMSELQIFKNNKEVAEEKTRYWQLPWVPPPKEEPPSEEELARKAALKEKAGQRLREMAAAKRSQKIVELEKQLSYLEELMDQLDEADESEATAILGRSGYLSQQEIKSAISKATQSLRKAKGETNGNEDKAEVSAADKYPLVSVPDEELTPDQLKEKKKQVLLKTTTEGKLRAKQKRAEEEALREKLEEQRRAENPELYLEELRARYSELSEKFEQRKRQKVNGGQTNGNHGSSGGVGRGERLNAAQKERMRLLASAAFDRGKGEDTFGMRDEDWLVYNKMSKDNDDDGNDDDESELVRVASKLQEIDPTFVSKSEAVQLTPEPPKVRPLTAEDYRIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKQRLCQSILVTGGSSLFPGMIPRLESGIRQYRPYRSPLKLVRAADPIIDAWRGAAAFAASSKFAKQTFSLADYREHGENLFHRYNIVYSL >Dexi1B01G0022120.1:cds pep primary_assembly:Fonio_CM05836:1B:27861546:27862688:-1 gene:Dexi1B01G0022120 transcript:Dexi1B01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLMAGNTNPNQNPNPPPAPPSAAAQRPTNGAPAATATAAPPGGAGGAAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVSSAAATSAAMVSGGGAISAVGLPASKNPKLMHEGGAAAAHDLNLAFPHHHNGRLPEFAAFPSLESSSVCNPGGATMAGNGGAAGRGATVGALSAMELLRSTGCYVPLQHVQLGMPPEYAAAGFALGDFRMPPPPQQQSHHHHQSLLGFSLDTHGGTGGGGYSAGLQESAAGRLLFPFEDLKPAVNAAAGGGGGGANNNGAAEHHQYEHTKDQGGDGGSGGSGVTGGHETAGFWSNSIMGNGSSNGGGGAW >Dexi5B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:5B:5556617:5558130:-1 gene:Dexi5B01G0008240 transcript:Dexi5B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAFDPLPHVPRGFEVVPRNPASPPSRMYAYIGGILDAYNEDLAIAFLLPAAERSLGFIGPLLPVQPLVPYDMSDDEEVMEISAKPSSITPRKRRHHKMKEPLDASFLRRSKRLSKDHGFRTDEQAAEASNNPSIYEAHAVSSAIVAPTSPLMLFRAWRQGT >Dexi3B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:3B:13366649:13367625:-1 gene:Dexi3B01G0018020 transcript:Dexi3B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQEKASYQAGETKARTEEKAGQAMGATKDTAQHAKDKASDAAGHATGKGHDTKEATKQKASETGSFLGQKTDEAKHKAGETTEATKQKAGETTEATKQKTAETTEAAKQKTAEALEATKQKAGEAGQYAKDSAVAGKDKTGSVIQQATDQVKNAAAGAKDAVMNTLGMSGDNKQGETDNKDHSTITSDH >Dexi7B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:7B:907562:911867:-1 gene:Dexi7B01G0000290 transcript:Dexi7B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNSTGRGKGASPPAMTPVEEVDISAVRYKSPTLQAPHLTGFSLRAFLWLMESSLLGPLITSVLKSQNNMPQMLQQTLIPERPMYYPEYPPQDPEPGVVLVEEDRHPVERVHEALQYLPQYDPSLRWTTEEKPPFLYWKIRDFAHAYRSGITTPSIMISGSKLRIPQRDLSKKK >DexiUA01G0020560.1:cds pep primary_assembly:Fonio_CM05836:UA:42846656:42848914:-1 gene:DexiUA01G0020560 transcript:DexiUA01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNAANFSTTLPVNLITVRYSKLAIRTVGSEAEQTAALANHRQIYSASSSPPQPHAAPPSLHLRTTRRSPPMACCPALSPLPAVNPRLLPPHSCFSCSIQYKGVVAVAHSHQGEDSHELTRRTAPTGASLALQLAPATEIAESLSAEEGGRRRKSSVRSRRPWVTEAVGQLPTLEDRRPCAAVALASRPIDLATRGALAGTKVDENGRIVAIHALHAKPNSHHASCPNKYSTTTTCADDARVMCCRNLQHGSWIGVREVTSHQIRPDRGWISPSTSCQTDTWP >Dexi8B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:8B:22657881:22662047:1 gene:Dexi8B01G0012930 transcript:Dexi8B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAASAPASTLERRLCLGGRRGGMGLGGLGLLAPPDVAVDDPAPPEMPGLLRLRCAAQHYDWGRRGADSLVARLSRRGVVGDADDRPFAELWMGTHPAAPSSLAPDVSLRDWIARNPAALGRAVAARWGGDLPFLFKVLSVAKALSIQAHPDRELAAALHARRPATYRDGNHKPEMAVAITEFHALCGFAATKELKEVLRTVPEVQELVGKEESSKLLSVKEQDGGIGVRSYLKSAFTKLMVASEEAVSEAISKLKSRLSGESKVRTLTKKEQLVLSLEKQYPGDVGVLAAFFLNYVKLSPGEALYVGSNEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYNQTFPEVLRGVPVQPYVTRYTPSTDEFEVDLYLLPPGKSVTMSPVPGPSIFLVMTGEGDIQAGSMLDSAKAKEGDIYFVPAHTEVKLHTSGPRSLQLYRSGVNSRFLS >Dexi3B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:3B:4196995:4197441:1 gene:Dexi3B01G0006080 transcript:Dexi3B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASGPSPCANGCGFFGSPGTMNLCSVCFANHLHDAAASNKTAEAVLPQEEKALTEAEMMSKIEHEDWRTKKAKENPFYSNRCAECFKKMGLAMLFQCRCGKSYCLNHRNSEAHHCSFDYQRAGVISIIRNNPLVEADKLRHRI >Dexi3B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:3B:10154231:10163429:-1 gene:Dexi3B01G0014120 transcript:Dexi3B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTHFAKRLPQQQQLCYTSAPAVVAQPRPPPHHSSSTSFPGLGVPLLPSSLPSLGPPVMEAVKNEPGQPSSSRRILSFGGRPPTPSTAINNYLSGGDWPDGGGFEAAAAMQQQAPERRSRAHWNTQEHVVAERKRREKMQQQFTTLATIVPDLTKTDKISLLGSTIKYVKQLEEKIGEAFSTTVELVKNLTTALRGFSTRDEEEN >Dexi7B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:7B:18204584:18205615:-1 gene:Dexi7B01G0011050 transcript:Dexi7B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGDLETLAAVVAVVTACMGYARFAARRLRPGLPRLAALLPVLAVLPLPPLAFRALHPRLISGFFLAWIAEFRLLLLAFGQGPLHPSLSLPDFVVGATFPVTLRDPRSAARRPGLGLVESAAMAALLAAIVSLYVLLSLYSVHMYLALELILAATAAAARAFLGLDLEPQFDRPYLSASLRDFWGRRWNLSVSALLRRSVFRPVRARLGTSAGVMATFAVSGVMHEAMFSYATLRPPTGEAAAFFTLHGACAVAEGWWAAHERWPRPPRAVATPMTLAFVGATAFWLFFPPITRPGADKQVVAECEAMVAFVQDAAGWAVDSVRSIFAGRS >Dexi5B01G0028110.1:cds pep primary_assembly:Fonio_CM05836:5B:29571031:29572411:-1 gene:Dexi5B01G0028110 transcript:Dexi5B01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKARTRRQRVPAFGEWNYDYVGAGDWPVTQYFDSAMQAGLVVAMPPSPKPAKKVVKWSDSATLELEDEKQRQRVVVGLGEHGAVKKQGKHSRVADASPAYKACRVVVKAVDQDLYQIPPDMLCHEPRKRLTKRNLWMGCLGLSCIA >Dexi7A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:7A:23714571:23718110:-1 gene:Dexi7A01G0013740 transcript:Dexi7A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTQEPEAAAPAAAEPAKEDVAEEKAVIPATEPPAAEEKPADDSKALAIVEKVADEPVAEKPAAEKQGSSNDRDLALARVETEKRNSLIKAWEENEKTKAENKAAKKISAILSWENTKKANIEAELKKIEEQLEKKKAEYAEKMKNKVAIIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKALGCFGA >Dexi4B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:4B:8087174:8088441:-1 gene:Dexi4B01G0010740 transcript:Dexi4B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPSSPETCPRYKAATTAGHRCPSPSRGTLVACSVGRGGRNGRWGQDKDSPRPRSTVFLLPKHHQSSTPRNPIPKDLGGSAMASPSPPVPQSDRAALIKAFDEARTGVRGLVESGVSSVPALFVHPDPYASAPLAPPGVSIPVVDLSLPAPLAAAAAAEAARTWGFFHLVSHHQALGVPEEYPARALAAVRAFNELPATERAAHYGRAMPGGVSYSSNVDLFRAPAASWRDTIQIAFGPQRPDLSRIPAVCRDEAVEWDAHATAVGRVVLELLSEGLGLGPTRLEEASCLEGRAMVCHYYPVCPEPERTMGVVPHTDPGVLTVLAQDGVGGLQVKQTDDDGGSHWVDVKPVPGALVINVGDLLQVSANSVQYAALRNSLGPLSL >Dexi9A01G0047930.1:cds pep primary_assembly:Fonio_CM05836:9A:50855287:50861593:1 gene:Dexi9A01G0047930 transcript:Dexi9A01G0047930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAAAARGRAVKVAPGPGPGCGSCAGVWRSRSEAIFPIYVMGSSRASTVAAARGIVDSAEDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDICRSIRLDAQAFKDRDPACAQYSWALLYLKGVTLGGTGKEHGDRHPKIGQGALIGAGATILGNINVGEGAMIAAGSLVLKDVPSHSTAVGNPAKIVGYTEKEDPSLTMKHGIQSAEQKLQTLKFTTRVNSAMLHLGAIQTERQLPRVPPKDRST >Dexi6A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:6A:6851327:6852062:-1 gene:Dexi6A01G0007010 transcript:Dexi6A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWADGLAADVLLEIFHRLDHIDVLMAADRVCHTWRATARDEPSLWRRITMRGHEGIARRINRCGMACEAVRRSAGQCEAFCGEFAGDDGFLIYLAQHGVTDLGFTEVVKALPLLEELELSLCDNVLMGCTKLLVRYAHN >Dexi2B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:2B:21944129:21949561:1 gene:Dexi2B01G0013430 transcript:Dexi2B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESREDERKGSGGAATGVSTPGANLKDLVSREYYGHKKKVHSVAWNCLGTKLASGSIDHTARVWTIDPHGHSKVKDIELKGHTDSVDQLCWDPNHPDTVATAAADKSIRLWDARSGKCQVIELSGENINITYKHDSTQIAVGNKEDDLTILDVRTLKVVKKHKSNYEMNEIAWNKAGDLFFITTGLGHVEVVKDLEFLKPCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCVRTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANVETGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFETHN >Dexi7B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:7B:19739218:19742893:-1 gene:Dexi7B01G0013170 transcript:Dexi7B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFVWLAVLLAHELLPCPCVLAIDRGEFPEDFLFGTSTSAYQIEGAYLEGNRGLSNWDVFAHKPGIIEDGSNGDITDDHYHHYMGRQGGQIGISMCSRWYVPFRNTTIDILAVERALAFSGPWFLDPIIFGDYPIEMHRILGPNLPEFTSQQKKKLRSTKLDIIGLNHYTTLYMKDCIFSPCEMDPVDGDARVFSSPVGDDGSLIGEVHLLVTIFSGYAQASNSSMTARDFTNDTQRVDYIHDYLTSLASSIRKGADVRGYFVWSLLDCFEWTSGYTLRLGLYHVDFKTLKRTPKLSVEWFRNFLKGSLVGTRLRKENYQLYAGQ >Dexi9B01G0023060.1:cds pep primary_assembly:Fonio_CM05836:9B:18051676:18056392:-1 gene:Dexi9B01G0023060 transcript:Dexi9B01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRHLFLSAAVALLLGDGLVCATASSRGASAFDPSRVVQLSWRPRAFLHKGFLTDAECDHLIALAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVMRIEERIAAWTFLPPENGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKMLQPKDDTWSECARNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDLPVKQTGLSDGCEDDNVLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAE >Dexi2B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:2B:24872445:24874207:1 gene:Dexi2B01G0014920 transcript:Dexi2B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPQSVQQHDTSPTSPQDPHIPNFMQINWNLMSSNLPTDTQWWLQLQPNFGSQMALAREHLSSMSGEAGEKKMEGLASLSKPEDNGAKKGADPFEPPWIISTAFMKQSSETSLEELKTLAGYSPTSLKCKGNANNCVYQGKEFTEFKAFDPLFPKNPQKEHCGMDAPWEENRKSQPWWQVSDVDGLASLIAERAMENIANNDLPRPAQAVRVHGAELNSPGNKVDYGLPLPPGKEPDPVHDTISCSYSVSSTNETNSSDGGGWERQRRNNEPGGTQDSYSSTNSTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKEHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKDHQIATMFPELPWMMVKEKVAPSQEHKDGTKKRGRRPNRKSGLRNTVAFAVGVGIIGAGLLLGWTLGWLLPKP >Dexi4B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:4B:19149222:19149455:1 gene:Dexi4B01G0017010 transcript:Dexi4B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAKSWFPLREACCAAATPQGLLCRLSLLLHPRPIGSVPPRPLLLHMVSSSIASLLLCSHRRDCCRKLGQRGTR >Dexi9B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:9B:6282325:6283664:1 gene:Dexi9B01G0010120 transcript:Dexi9B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDALLEQYTEQQIAVAFGQGEVDDQAAFAAALMPLQQQQPAAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHAAAAFAKAEVPTSAPCYYGGAPAKAPKQEVLDATAPSSHQAKRSYDAMVAEVAMARAPATTRPASQNQDHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEDEARRRPVEAAVLVKRSQLSADDDDGSSCDENFVGAEAAAGTALPEIEARVSDRTVLIRIHCENRKGVLIAALSEIERLGLTIMNTNVLPFTTSSLDITIMAMTGDDFCLSVKDIVKKLNQAFKTSFSRV >Dexi6B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:6B:1763498:1763833:1 gene:Dexi6B01G0002030 transcript:Dexi6B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESVLATNSESWDMDVYVVSSYLSLLAMLMDDVHQLRKSGILISSFSDAQTLDLFKCLSLHLRPGNEFFSTLDEIEWCLRNRKVSIAIRKFVSNNYKATEPSPEI >Dexi5A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:5A:4777898:4778457:-1 gene:Dexi5A01G0006500 transcript:Dexi5A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEKHKEQIPNIVRLILSVGNDILEARKPSKKEASSSTSGEAYSESLLWLKWLMFHYEPEAMLDDLERSSADERAVCGSVWGQNDLAYRCRTCENDPTCAICVPCFQNGDHKDHDYSIMYTGGGCCDCGDATAWKREGFCSRHKGADQIKPLKEELAFLEGEDMPGGTTPCKG >Dexi1B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:1B:5966484:5967774:1 gene:Dexi1B01G0007200 transcript:Dexi1B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGEIQLQIAGIRGQEGGDDHGQAAGIGNGGGARPQTAAARRALSKRRLAWWALVIVNIVFVLAGQSVATLLGRIYYDHGGKSLWMQTVVQSCGTPLAIPLLIYFRTTKSSSSVAAAVSSSRPPVVKLAAIYAGLGVLLAGDNLMYSYGLLYLPMSTYSIILASQVSFNAVFSYLLNKEKLRALVLNSVVLLTFSAALVGVSHGSDGSSGSDIPKGKFPAGFALTLAASALFSLILSLMQLTFEEVLKSDTLATVLEMQFCSNTAAALVSVSGLFASGEWRAIAGEMAAYDKGEAAYAMTLAWTAVSWQLCTMGLMGLVAAVSSLFTNVIGTVGTPLSPVVAVIFLGDRMDGVKLIAMLMAVWGLLSYVYQQYLDDRHAKAMERGEKSDQQLQATKISAE >Dexi9A01G0028580.1:cds pep primary_assembly:Fonio_CM05836:9A:33303010:33305098:-1 gene:Dexi9A01G0028580 transcript:Dexi9A01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAGSPFLVAGSSSSRRPLGAAPTRRVGLRVAALKYDPAKKSAGGVLLPKSAVKFERYLMGEILSIGADVSEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAIVE >Dexi4A01G0024640.1:cds pep primary_assembly:Fonio_CM05836:4A:27341133:27355132:1 gene:Dexi4A01G0024640 transcript:Dexi4A01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSPLAASSVPEAPVFHPTEEEFTDPLAYVARIRPLAEAYGICRIVPPSSWSPPHALDFASLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLRASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYDGACQGKRWGEVVRLVDDRAPVHVSECTKHVLAQLYYEHLYDYEKFTNRSVSRDGEKGKQPCVESDEQPSVSGSQDEERNDSDTGGIVEDVSGVRSQKGRIAFRKKAGGGTSRGRYGSDGDITGNSAAFSGARKRKMRKFDGAVTVVNEVSAVVRKRKRGKTDAGATVFNEAVDQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPPGNWYCSDCLNSNRDCFGFIHRRKSCLLETFQRFDERVRKRWFGQRNPSRVQVEKQFWEIVEGNAGELEVMYGSDLDTSIYGSGFPRLSDPVPSSVDQETWQKYCSSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRQALPDLFDAQPDLLFHLVTMLNPSILQANGVPVYSVMQVQNCGTIGLLNADVDASEGRWMPSLNCAEAVNFAPADWLPHGGIGADLYRLYRKAPVLSHEELLYVVAKNGVDTESLPHLKAELESLFINERRRREELWINGIVKSSPMSPRSNPNFIGSEEDPTCIICRQYLYLSAVSCDCRLSSYVCLEHWKHLCECNPEKHCLLYRHTLAELSDLVCEVSLASLPGDDIKQNPQLLNDVCLPSKKLQLLWPAAERLAVQLQQKQKREAQYFALITFYLFSQVNDQYISYAQLAEDWVSKSEHILQIPFLDRSYIGALEVAEQFLWGDHRMDSVRNMTRRLKEAKSWALGVRKCLSKIEDFLKDSCSEKVNYMEIEELVAMKSTPCCEPSLKRLQVYAEKGKTLIDEVDIALSSRLTVDKLETLYSRIQEFPVKLTQSSTLFREISSAKSWLKNANDCLEQNKLGTIDMDVLNKLRLEIVELRVLLPEIDLISNLWKDAESWQMRCRLYLQDLPGLKELEGFLLAADGASFSIPELNRLKQRYLDGCSWVNRAKNILGKLYARSDCHNVVEELTGILKDAEFIGVKGMLYFYFIDIVVSETLIIVSHLRELLFYAVDELPIVEKELKRSLCRKQAAEALATVMSMTVVDEVLKEASILTIEEEQPFVDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRCSEDIRMILPSKLRMKAEIDIAKLWIDKCQSYLRPRCNKLASGGFLKVEDIKDLISQASNLKVILDTSALSSVLNAVEKWEGNSLSLLSSLRALLHLNHIGSTVDPLKRNLEELQDKMNTEIRSGLSLGFALRVLDELKDSFLVLRWILDALSLSSMVPLLQLILISQDVDRLTEAAVHLPGSSSDCSLVTLLMRGLSCLRKALTLLPDPEKSAKSKLNDVENILAEFKEIDVPYPIIIAKLEDAVSKHISWTEQCNTFFMLPDGQSWARLLTLRDNGLSVAFDCPEMDKVIVEVKKVEEWINQCHCTLFLDGSNSSSLLSVLVKIRQSLDDVCTLYAEDCIKKGFCVICSCDIGESLTSRCVTCQDLIEEISLLEEIVQKASSLNAYLMQILDDSDSYHGEDLTVICESLLVAVKGSRLEISGQDFFRLEISKIKETSLQWLAKAEKVTCDSGELALDLVYGLIVEGENLSVHVEEELKLLRDRSVLYCICCKPYDNRAMIACDQCDEWYHFDCINLHGPPPETFFCPACHPNNGEESVSLPRSNHDEDRSSTGSGVAPHTPPASCDEPDKATEANKCGKQREKSQIRVDLIKILRCHGEMDSSWRENKRVPHRTARRRSSFVGLL >Dexi2A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:2A:27552397:27554989:-1 gene:Dexi2A01G0016070 transcript:Dexi2A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPPGTWRPLLCCLFLFLFLSLSTTAVAARTTTRRHEWEISYQLKSPDCVRKLAITINGQTPGPTIRAVQGDTVVVRVNNSLVTENVAIHWHGIRQHGTPWADGTEGVTQCPILPGDVFTYTFVVDRPGTYMYHGHYGMQRSAGLHGLIVVAAKPAGPDAEPFAYDGEHDVLLNDWWHNSTYEQAAGLASVPIRWVGEPHSLLINGRGRFNCSAAGVEEGSCDAGLPECATPVFAVVPGKTYRFRIASITSLSALNFEIEGHPMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPGTATGTAILSYYGSRSSPRRGPPTTTPTGPAWNDTMYRFNQSVATVAHPAHVEPPPRTADRTILLLNTQNKIDGHIKWALNNVSFTLPHTPYLVATKSGLLGAFDQRPPPETYAHQSYDIYAKPTNPNTTASDGLYRLRFGSVVDVVLQNANMLDDNKSETHPWHLHGHDFWVLGYGIGRFDPAVHPATYNLKDPVLKNTVALHPYGWTALRFKADNPGVWAFHCHIESHFFMGMSIVFEEGIERVPELPKEIMGCGKTKGAGHG >Dexi1B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:1B:23159518:23160272:-1 gene:Dexi1B01G0016660 transcript:Dexi1B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSLLPLRSFFLLPTSTSSAACRSPPSATVPFPRISLGRGRGRRRLDASATKSSEEEAAAAGSTNGSVPGQPPVEEELDDDDLCSVDCVTEFKTDEEFQWYLERSKASGVLLVVDFYRPSCGSCKYIEKRFIRLCKGSREDGAPVVFLKHNVIDDYDELSEVAGRLRIKVVPSFHFYKGGLLVDSFATRDKERIIAAIQKHTSPEPDETT >Dexi6B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:6B:13253952:13255213:1 gene:Dexi6B01G0009150 transcript:Dexi6B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLALVAGTDDDLPPSYQNSRGMKGSGRITGNGRDAIGAGPYSRVQPQTDMETQIHQLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNRVNSDDIIRRIRYCLKDDYIFNCAIPYLPPFIIFFLTSGVLLSREWRESTGGLQMNSVNNAQRLHDPIPSPTTSARKRQKTSQPIPSASVTAPSAMHPQPLAAPMQPSSSGAKKAAPLGTKVKKTKPGQKIPGGPAVKSVPSSAGPSGRGPVTNRNASAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAAKDVYALVYDMNTAHETWEWVDFKEVIVRYCE >Dexi2A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:2A:6493048:6495742:1 gene:Dexi2A01G0006890 transcript:Dexi2A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGGGLAAWVVAVAAAWVLWAAAVAGAKSPAGRVHRHLKRLNKPAVKSIQSPDGDIIDCVHISHQPAFDHPLLKNHTIQFRPAYHPEGLYDDAKSSIGSNNGGEKPMLQMWHRNGRCPEGTVPMRRTRKDDLLRASSMRRYGRKHHTAPNPLSVDPNMLSEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNYAGSQYDISILIWKQDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGTHTSTQMGSGHFPEEGFGKASYFKNIQVVDSSNQLSAPKGVGTFTEQSNCYDVQSGNNGDWGTYFYYGGPGKNSNCP >Dexi8A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:8A:29918868:29921392:1 gene:Dexi8A01G0017780 transcript:Dexi8A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGTPFTNLFPSPQQHKVTQCTVDHSMQQLQLVAMDFITSSTPLLLTTIAISIALYYLLFRTKKPSPSSGKRAPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKTYGPLLHLRFGSSDVVVAGSAAMAEQFLRVHDANFSCRPPNSGGEHMAYNYQDVVFAPYGPRWRAMRKVAAVNLFSGRALDALRHVREHEAKLMVTSLSSSAPAMSVVKVGEVVNVCTTNALARAAVGRRVFAGGDAGGGGDEEGGAREFKEIVLEVMRVGGVLNVGDFVPALRWLDPQGVVARLKKLHRRFDDMMNGIIEERRKVAGDAAGEEEGKDLLGLLLKMVHDEQRLAGGEEDRITETDAKALILNLFVAGTDTTSTIVEWSLAELIRHPDILKQAQEELDTVVGRSRLVSESDLPRLTFFNAVIKETFRLHPSTPLSLPRMAAEECEVAGYHIPKGSELLVNVWGIARDPALWPDPLEFRPARFLPGGSHEDVDVKGGDFGLIPFGAGRRICAGLSWGLRMVTLTSATLVHAFHWELPAGQTPNKLNMEEAFTLLLQRAVPLMARPVPRLLPSAYEELA >Dexi2B01G0023210.1:cds pep primary_assembly:Fonio_CM05836:2B:32752882:32755638:1 gene:Dexi2B01G0023210 transcript:Dexi2B01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPAAPHPPRRKPVTMASAADDLDLLLSLGEAVPETPPSSPRAADGPESNSAFTPPRAARPGGTDMSVFRDAVKDYLEAAPESTSPPPERPKRPKASETLVDSYSGLRIKHLTLSPLEISNRFADIRFVRITAMRNSVGSDRFSGCWATAGVLLDKGVPRVSAKGTDYSIWKMGALDETEVSVFLFGDAHVHYSGAAVGSVFALFNGNVRMDNGGKGFSVSVASVGQMLKMGVAADFGLCKGKRKDGVACTMAVNKYVSPPNGLTKGSYCKFHSSKTSQKYTTGRVELKGGNFQFASKLRSEGIYMVNRSSEGPNPRKPFQPVKVMSIDGLKKALSNADRVTTKNQSQGIRFLSHVTANTDNAKPPVQSNGSTNQQKSKFTLNKSSGSSGPKAPPKQGWKKPEQDFKRRKINNPTGNIVELDAVSSDDDEINIVLRR >Dexi9B01G0037600.1:cds pep primary_assembly:Fonio_CM05836:9B:38954156:38955130:1 gene:Dexi9B01G0037600 transcript:Dexi9B01G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCSLSSSASSSAASESESDGSQSRTARGEGDGDGSLASSSAERNGWRSSCSQVHRCPASRRRHLSRKSRHASERHAGIAGRFPPNPTRSSSSPTSPPASSDQGRLPVRSSSAVHPRDQTSDAGVAAPPRATSGAIHAGVPRGPHGASSETSLAAPKSASFAPPHPPPRSTFRPLTSPWTTPASSWRYRSAAATLLRTPRAARSPSPPICLAAASAAHPPGTSSMCRHLVPAVVSPSPRYATTYGELRRFRILISLSKHASAAAGAASASTDFTAKARPVALSTARAEQSRRV >Dexi5A01G0024690.1:cds pep primary_assembly:Fonio_CM05836:5A:28546290:28551781:1 gene:Dexi5A01G0024690 transcript:Dexi5A01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRLTRLQSWQTGQGQSTERAPRPQQATRRRSGEEGAAAGVGRLAWPLRRALRVRRPHGSKSIQFGNSTFLPTKIRSACVGTKKSPPLLLLPVRFPLAAGGRVRLRRSSLSIFQSTAATNKSGASDRSGGWRVTTPRSVARLFSHGAGWIRLDFRIKPGEKRPGRREAEAEMNRTKGKLSGVLNKGFKPDKCKTSLRMAVARIKLLRNRKEVQVRQMRREVAQLLETNQDMTARIRVEHVIREEKFMQAYELIELYCELIVSRLSIIDSQKVCPIDLKEAIASVIFASMRCSDVTELPDIRKQFTSKYGKEFAAAALEVRPDSGVNRLVIEKLSAGAPDVQTKIKTLTSIAEEHNIKWEPKAFEEKLQKPNEDHLYGSATYSGGNISTMGPSTSTMSTPQPTYSGVPAVTKESATSHVPAGSYSPPIDVAAHRNSNAFSQESTRDGSSASVPPSSQHGASSYYSGPNNISHGNTEAPPYTQYGTAVPDTVSKNEGMNQHRERKPSVSGANWNMEFKDATSAAQAAAESAEMASIAARAAAQLASRGNYSGDHSTGAYEAAAYSRESTPRKQPAEYFVKDEKRSFHDHSSGINDPRVTQYVRKSSGRAETNSVESQNTSTAHSPAQSQNISTVHAPAQQFHSYSPESHVFEMPTEPPRARSPEPPHFDDLYERESSIGRSYEMPTESPRAHSPEPPHFDDLYERESNIGRSDVDPFDFPGENLQESGLGGRNIKDVEMRKPSFDQQSTNDYYGNFSSSQDTFTYGSSTVWDKQNDKTQNSSSVVFDQYYSDVEEENLLDTFSSKHTEQPPGVQDHMGFTTADWSQHHRSESPNHRTSMLFSRTETQKSDNLGANRRDVPSPVTYDNLPPTFDSDDGSSDEEITTATRTESLKSVSSGLNKEANIISGKVVPDVKESIEDKSSSSQKYMASPGLDLSYKEQHSGGTGGSPSISDYSGTQAQRHLNRLQSRDSDLSDDETEADKIGSASSPGANENQSLPFTIRTSATSDDTEGDLGLNFGRLTPGLRNKPRQPYTKNSRENILSKQSLPRSSASAEELVNPEQNTTSFELNRSSPKSLLSTRTSSGGNYTSEFDDRNKIVGTRGEARSTMARNFFDSDDDEKLSEKYVNPSPPTTKSSERVNSSQEIYNERAGTGARQEMRSRMARNYFDSDDSEQELEQQQTTQPNRSGVKIQSRRTRDLTSETKRGGHVRTGARYSDETESLPKETKAPQFHNSSTEQRRVAPVHPRVAAPRSSPKGEHVESPMAARGNSQEAEMNRSSVPGVVGNTETSAGTAEESTPKTPPAHVHPKLPDYDSFAAHFKSLRTNR >Dexi2B01G0029560.1:cds pep primary_assembly:Fonio_CM05836:2B:37918374:37925290:1 gene:Dexi2B01G0029560 transcript:Dexi2B01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDDDDDLHTRRSKGTMAARRSAGISQGSKRGSHARTSRGRGAKAAAASQSRIPSPPTIRPRIIPTWGSKRARTAIGDPWWLPAPPSPTDDPIPPSPSSWRDWANLSDGPAGLIADRLLADDVVDYLSFRAACRPWRLCCSTDPREHGVLDDRRFHPRQWVMLRTEGGRRYRRRFMNVTAGSCRHVPLPELRGHDVFGLTSEGLLVLLHRATYVVRLLNPFTGQAPANLPPATTLMSQWDLETRRRSRDKVLEISGAGLADGDSTTVAVHFADILMLAVARPGDASWTVVDHGHDLSQAMSFAGRFYCATREAVMVVEIRADGQPPRLAVAAELPRLLSSVMMDTVHLMDNGGELTLVDRKRNGSRGRREYTVYRVDLDARKVVHVPGLGGRAGFIGWERALCVSPSVFPSVSGDTIYLGYDDLLTGEMDDSPIDLVHGTSEPRLSDGEGVTPICGPSGLSSPLRLKGQMLIDLRLWWRSREHRASVGHKGSEDRKPRGGQQGQGMDGGCWNTLTRRDSTSALVAAYNDRGAVHWLSQPRPRTHKETRRCMCTFECGGDGGGGGGGGDDGGGDDGGGGGEQPAMQNASSTSNANINGEGTESRKL >Dexi8B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:8B:25224023:25227277:-1 gene:Dexi8B01G0014590 transcript:Dexi8B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRSSMAATCISIVLLVLSAAAAAAAAPIPANGNGIDTDLAALLAIKVQLPDPLGILSGNWTTAVTFCHWVGVSCSQHRNRVTAVQLQHLPLNGVLPPQLGNLSFLTVLNLTNTSLTGTIPDDLGRLHRLKVMDLMMNSLSGSIPPSIGNLTSLEVNHLSGPVPPTIFNISTLQILALTYNYGLTDTQLHSAIPESIVMLENLQWLALERNEMFGPIPTNLAMLKNMPSNVLFDEDMTAHVADFGIARLLLGDDSSVISVSMPGTFGYIAPEYGAYGKASRKSDVFSFGVMLLEVFTRKRPTDAMFVGDLILRQWVFQAFPAELVRVVDDELLQWLSSCNLEDLSVL >Dexi9A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:9A:7785064:7789454:-1 gene:Dexi9A01G0012300 transcript:Dexi9A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERERERERIETGEEMAGRALGSGGRGLMEEDSAGRVEGAGGKNFSSGESWQLKPRPRGWSHLPLFAGLLDFVGDGKDAPGISDPQGFVFKTRMVVGFGVGWAGKKAPPPTTFTQTTHLTGRHYQATHPSSSGPAQAAVRSHINQNPKTHILLSFPLPFRRPESRPPTPPPPCRSTSGLR >Dexi7A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:7A:24995774:24996722:-1 gene:Dexi7A01G0015180 transcript:Dexi7A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSDELAVPVIREPQKLLKKVVTGGSWTNNGGVSTEIGKGIAQENSIKNHVMSERRRRGKLNEMFLILKSLVPAINKMDKASILAGAIAYLKELERKVQELESIRGGISRSHGKTMLRCHGNEVIGMSLSAANKRKKASEPSGGMEEREHHWELSKDGSSNVINVSIMGTDVIVQVQCRWKELLMARVFDALKNLHLDVLSVQASTPDGLFGLNIKAQFSSSATFAPGIIREALQNAIN >Dexi2A01G0037290.1:cds pep primary_assembly:Fonio_CM05836:2A:46875311:46879135:-1 gene:Dexi2A01G0037290 transcript:Dexi2A01G0037290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAKDSEDEIEDASRGSSSGRRIGRGTPVTEIRMKSHVHSAVDASSRGPTISNSNAGGHLGTPEQIMEAGDASTKSSGIRTDSGSASDAPADQVCRDGAKDDHKMEPDTRSLCTPSVHKSIPPAVPTGNKENIDGNCPHSSNQVNANNDLCSNSSEENRSKKKVSSTEMSRKDDQKDDEHMADLQPTISQSFVEENLNKHGSNRRSGDNSAARNNQISGYYRRRASKSVSPEANLRSAQQTSQFFEGNTSRIERNATPRKNDQDFDEHAGTQSLQENEVIKHANRSCGVLARRKNILSSVISKAPSEAPDSGTGISSSPFSSKESASEVAVSNLCRSPAESAKVDGHLSSGPTMNLTEKQMPGSSKSNLLSCRRKSLKLVNSAEVEKLPQNSANDENMRARGEVKIPALHEPAIEKRCAISPSVNSEVRKESADVSLQDGDTEMTDAQQVNKTKAAAPCSKSDKVVSHQNLETGSEDIPVNKITDKHGTFPSKVSTSRVRNAGAKRSRTGVSKAIAKSINRKSEVAPSKPMHDEVASHDNLGAQQAEGCGGLNATESTPSFVPEVLNNKTGNEVLISALGPSRKTNESLLASKALSANKSLQKNMKGKHRKLSNTATVDKNRGSPSQRVLNCISSKSVSKGSRTADVNMADSPIIDKTETIPPNASFNEAVPPENGEEIHQKLSSSASADDHEICNNRVRKVVAKRKLSSVQKHKSGSEPFKAGSVLVSEDRAVSSVRAALGSRDAEKVTVDPDLQNTNDGRANITVGPFCKDAMEERSKDMRSSKSRSSKRQRSADLVDGSTDHDKENIPVNSNLTSKARAGDNSMSSKSTKKASQNSKVVLVERGMIQGNKCGTLNMMEPTWFILSGHRLLRKEYKAILRRLKGRVCRDSHHWCFQATHFVTTELRRTEKFFAAAAAGRWILKPDYLTACNEAGKFLEEEPFEWHGSGINSSDTISLDAPRKWRQLKQRTGHGAFYGMQVVIYGECIAPTLDTLKRTIRSGDGTILATSPPYTRFLKSSIDFAVVSAGMPSVDAWVQEFMRHNIPCISADYLVEYVCKPGHPLSKHVLFNMHDLAEKSLQKLLRNQEDVMDAEAAEEGEGDVGCSTCGSNDQEGLMLTCGSGGNQAGCGVRVHVGCCNPPVEAAPDGEWLCSRCDEHKKPSKKVKKSAGKSRVLKCR >Dexi4B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:4B:2315433:2316524:1 gene:Dexi4B01G0003330 transcript:Dexi4B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFGTDDDDDDDGGMALLRSCRSCPLLPSLELNPDQGDDRISSFLSSSDEDEYQLQQPDQGDGFDDDVVSDNDEGSVETTKTKTTTTWATARKWTSKLITSSWRSVTAAIRSCFRSSDGGDEHHQLLPANQGDGFIIASDSDDEGSEQSDQEADGISVADEVEWEPPEQSDEEEDDTSIADEDGFDDHDELDQQYSDQEDDGISTAADEEEDFVERGEQAGRFAADLFIMALQTGAIRSITPDLYAFLAEAATIAAALEAAEAPPDDCCPVCLVQEDDGEGATWCLVAACGHRFHVACVDKWLRVKPTCPVCRCSALGAAVAACDDDAAEPAEQQQLPSVEETMEWGRVFSVLTLLSLSVPR >Dexi9B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:9B:555158:556968:-1 gene:Dexi9B01G0000930 transcript:Dexi9B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIGANTWVVSGSPQTKSNTHPSSYKMFCLGSLTNWVCPDNMEHLKRIAEEMQKQVAAAGAVAQAKEDNDDDVPELVPGETFEEVAEEAKA >Dexi5A01G0026210.1:cds pep primary_assembly:Fonio_CM05836:5A:29915710:29917208:1 gene:Dexi5A01G0026210 transcript:Dexi5A01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEPVMESVMDKISEKFHGGDSSSSSDSDDEKKKGSSSASAAEAMKDKIYRLFGRERPVHSVLGGGKHADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLLCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDVAVNVALSLRYEINRGFATLREIGHGRDLKKFLIVIAGFWLLSVLGSCCNFLTLFYIVFVVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKDKKH >Dexi2A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:2A:22017232:22028962:1 gene:Dexi2A01G0013480 transcript:Dexi2A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGFRGSCRVGAVLLFSAWVALAALSRLLRPVPNGCVMTYMYPTYIPIADAPRNVSSDRYGLFLYHEGWKQIDFAKHIRGLRGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPNFYREASSIVSGNEVKDFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESDLERSKGGAQSSRYLPSSVILVGHSMGGFVARAALVHPNLRRSSVETILTLSSPHQYPPIALQPSLGHFFSHVNDEWRKGYKTAVSQSSPKLSNVVVVSVSGGIHDYQIRSRLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLAAQVAHTLLSIIDPVNGQPFLSTQKRLFVFTKMLQSALPQSLSSMTHIPGSLSSNLPASDNREAGEVQQKDSLSCPPSTEWASDGLEKDLYIQSNSVTVLAMDGRRRWLDVKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSPVQDGVPASKKVVEVTSKMVQIPAGPAPKQTISGRPPPAASMAVGQFFNPEEGASTLSVGRIIRSSFAPEEIFLSEDHPLALNLSFSASLGLLPVTLSLKTAGCGIKNAGDQMEAERNSETLLSCSVNTYFQSEIPYLCKLRCFPPVALAWDSVSGLHIIPNIYSETVVVDSSPAFWDSPEGTDRTAVMILADPHCSYKVTARASLSAAASRFFLLYSSEILGFMVAIMFFGLMRQSSAWE >Dexi3A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:3A:3352811:3353613:-1 gene:Dexi3A01G0005190 transcript:Dexi3A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQVQAEHGAMAATASMKAAEAAQGMATLLNLLRASALEEKSAVSSAGTGEDKVEWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRSLRYIEDYLVNEVLPFYGNTHTEDSHVGNKTTRLVHKASRYVKRCMGAGAGDALLFCGSGTTAAIKRLQEVLGIAVASVELLGRLAAQLRTEERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDADGLVDVAAL >Dexi1B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:1B:23997375:24002556:-1 gene:Dexi1B01G0017670 transcript:Dexi1B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVGRGGSSPAIKPINKAVVHRICSGQVIFDLSSAVKELVENSLDAGATSVEVSLKAYGEEWFKVADNGCGISPANFQALALKHHTSKISDFSDLGSVVTFGFRGEALSSLCALGKLTVETRTKDEPIGTHLEFEHSGVVVSERKAARQVGTTVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLLCTNTVGKNSKMVVLRTQGSSSMKDNIITVFGLNTFKCLESFSVTISDDCQVEGFLSKPGPGTGRNSGDRQFFYVNGRPVDMPKVTKLVNELYRSSNAKQYPVAILDFHIPTTSYDVNVAPDKRKIFFSSESIIMCSLREAVENLYSPQQCSFSVNKAEDPEKEEGTVADGHNEDTNSIPAENVSSPDNSDDKEETDSEDQVSPENQKEPSSAQADRSAWLPSFSYEQPKRLPKEGKGYASGVNNFRTGLAAKSTHSPTVQSSLMNFVSLNKRKHEDDCNLVSEAPVLRRGTCLEQVRRTSLEANFVSPNKPDYTDDCCLISEAPVLRRGACSEQVRRTSFEGNIVSPNKKHKDTCGLISETPILRRGAYSEQVRRTSLDANSPAALSQRTSNISEFNLPLETNSLKQQSPQAFVSEMADVSPHHSKPPNAVICGEEVSSPCDVDTTEPDVDFLLESMQEEPHGRSLSISGAPNKHSEAEYLNTLTDSPSPDANDSDNGAAVYSAAVQYPIMQFTVAGLKRRRKNGFMISHANKANCLEKTTRCYKAATLDIYLPSGEEAKSNSLAAATNELDRLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFEGLSQSTTLNIQPLLQPLRLDLSPEEEVIVSMNMNTIRYSLKNGFVLEEDLHASPGNHYLLKAVPFSKNITFGIQDVKELISMLADSQGDCSIISTYKLDKTDSVCPSRVRAMLASRACRMSTMIGDPLTKTEMRKILKNLTGLRSPWNCPHGRPTMRHLVDLRAIKNKGES >Dexi7B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:7B:14207197:14212208:1 gene:Dexi7B01G0006480 transcript:Dexi7B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIYSTSSGRCGCSSSARPAIPLLFPAPTFPSPSPSSLRRRRTSTMGATGHLGGLAMASKPRNGGRLCAAAAVLGEPLPALDDPDLLVHPSADFAAQALVSSTEQYREMYQRSIDDPAGFWSDIGEEFYWEQKWNPDEVCAENLDVTKGPIKIEWFKGGKTNICYNAVDRNVESGNGDKMAMYWEGNEPSDDGKLTYSELLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIVDCKPKVVITCNAVKRGSKLIALKEIVDASLVESAKNGVNVDICLTYENQSALNKVDTRWKTGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGVMEHFVDDAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVARYSRKSLRVLGSVGEPINPTAWRWFYDVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVAYSDDLRKSLIMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVEQLIALTDS >Dexi4A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:4A:8421848:8424842:-1 gene:Dexi4A01G0010530 transcript:Dexi4A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSSLERANATAPRSWGRGGDCCSWERVNCSGSARRVSHLYLSKLYDIFTFPSTYGGRFFWSFNTTVFSAFSELQSLDLSSNYPSSLGSDGLVGLNLTKLQYLNLSGNWLSESLLAPLGELVSLQVLDISSNGMSGVLLVAGQITPNLCKLCPRIIDLSHNKLSVEDTRGFTFGTKGNQCTYGFNFIDVMSGIDLSMNMLSGEIPSELGNLSHIKSLNLSNNFFSGQIPESFANMSDIESLDLSHNELTGSIPWQLTKLWMLESFSVAYNNLSGCIPNSGQFGSFTAESYQGNGNLHSLSQEGVCSSHGSGAGDMPVEGSDRIADDPVLYAVSAASFVLAFWATVAFMVCHPVGRLVILNLRS >Dexi2A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:2A:5469909:5477489:-1 gene:Dexi2A01G0005680 transcript:Dexi2A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAGGRGGRGGAGGRGPDGGRGGGGGYGRGGGGGRGGGGYPQPPLARGAPGRGGVEAAAAPARPAAPRPLAPGSASARPAAPAAAPAPGPGPAPAARAAAADADAVARGMGRLAVADHAGTVPPAAAGRSAAETPASQPPPVSSKGIAHPGRPGYGSIGRSIRLRANHFLVEVADKDIFHYDVSINPEPKARRTNRVLLSELVKVHGATSLANKMPAYDGSRSLYTAGELPFKSMDFVVKLGRREIEYKVTIRYAAQANLYHLQQFLHGQQRDLPHDTIQALDVVMRESPSLNYVTVSRSFFSKKFDEPKDIGAGLECWKGYYQSLRPTQMGLSLNIDFVCKFLPGTNPAQPLLDRDRLKIKKALRGVRVETTHQKGKRSMCKITGITSVPLAQLSFSCNDDGSQLSVVQYFKERYNYRLEYTAWPCLQSGNDSKPIYLPMEVCEIIEGQKYTRKLSDTQVTNILTQTCKRPQERESDIIKMVRQNNYSADRPAQVFGITVANQMANVQARVLPPPMLKYHESGREKTVVPSIGQWNMINKKMVNGGTVDSWTCLSFSRKLQRHDVDMICDGLVQMCNSIGMVFNPRPVIEVHQASPNHMEAALRDVHTRAPNLQLLVVILPDVTGYYGKIKRLCETELDIVSQCINPKPRKTKQYFENVALKINVKVGGRNTVLERATVRNGIPFVSDVATIIFGADVTHPVAGEDSSASIAAVVASMDWPQVTTYKALVSAQAHRQEIIQNLFWTATDLEKGTPVNGGMIRDGVSEGQFSHVLLHEMDAIRKACASLQQDYLPRVTFVVVQKRHHTRLFPEVHEQRGLTDNKSGNILPGTVVDTSICHPNEFDFYLCSHAGIKGTSRPAHYHVLYDENRFSADALQMLTNSLCYTYARCTRSVSVVPPAYYAHLAAFRARYYDEQAEGTDGASVVSGGPAAFRRLPQLKDKVKEVMFFC >Dexi4B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:4B:17580536:17581616:-1 gene:Dexi4B01G0015720 transcript:Dexi4B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVINITQLYGDKRSETLSLLHNACAQWGFFWLENHGVSEDLMNKMKGLVNKYYEQDMEKNFYGSGMAKMLGDEKVSSNVDWECSFMYRHQPKSNSHDIPKLLQTTVFEYAEEVIKLAEQLAAAMSENLGLDKDYIKKAFSEPSVGIKVAKYPKCSHPELVMGLREHTDAGGIILLFQDDLVPGLEFLKDGKWIPVPPTEGNRIFVNLGDQIEVMTNGIYKSICHRVLPNKNGSRLSVATFYNPGDDAIISPAPKLTYPSQYRFQDYLNFYSTTKFNDKVSRFQTTKEILK >Dexi7B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:7B:16278037:16279167:-1 gene:Dexi7B01G0008480 transcript:Dexi7B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFLYLVADDCVERGYSLRRIDMSRFFFKTPSEGTPTPLDSSGEAGATDPLATEDSGSLPDPVLSFRAAQDLDQVSALINFVLFDKKGRDGENSKVVTIGHTGRTLMCDPSLPPSFLPLPMEATHSHLYKSWYWQSLPLPPNLYGRGDLADCIESYAVVDGTDILLSNRDKHTFRFDTVKGTWRKAGDWAMPFRRLAEYVPEHKLWFGISCKGNGYSFLAANLMHTSDSEEMVSPPVVWDSWNEYVQPPPEWSLAESHAVHLGSSKFCIIRFFHVGELCVCTVTHRTVVVEEELQALLTGVEVESCGEKLRVVKHKSGRYKLDIKSDYWLL >Dexi2A01G0036380.1:cds pep primary_assembly:Fonio_CM05836:2A:45926266:45929756:1 gene:Dexi2A01G0036380 transcript:Dexi2A01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVAAAAAFPFRLFSVEARRNTRSAGGKRSAAKPLKSSPPPRPPSSSSSVGGGVAATTFTRLPLRDAPESAEVTLDRFPTAPANPETPAPASAPPRGRSVQRLDDEEFELGLGSVAQIPLQDSQGGVEELTIGEFQARAAGRKSAGGRGFARQMVEHLDDNDGEEEELVVNSRDVFEVKKGRNARALVPEVLDDDDDVVVFDPDYGVDSDDEDEVFDMFPIKQSHKAGATPRTELGELEYDDEEEDNDEVVVFHPDDDDEEDEEAFEEEDDDDYEEEDAEEGEGKEKGVPAVMRCFDTAKIFAKAGDGGNGVVAFRREKFVPYGGPSGGDGGRGGDVYVEVDGEMNSLLPFRKSVHFRAGRGAHGMGQQQAGAKGEDVVVKVPPGTVVRSADGGIELLELMKPGQRALLLPGGRGGRGNAAFKSGTNKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVITAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGYEFLRHSERCSVLVHVVDGSAQQPEYEFEAVRLELELFSPALVDKPYVVVYNKMDLPEASERWNTFREKLQAQGIEPYCISAINRQGTQDVIHAAYKLLQKERQRIKETEEWSGQENLNHVSDAIKKERRAPMNEFEIFHDKGTNTWTVVGGGIERFVQMTNWQYSDSLKRFQHALEACGVNRTLTKRGVKEGDTVIIGEMEMVWNDEPKSNRPSKTMNTKDDAVRWPEFG >Dexi1A01G0030000.1:cds pep primary_assembly:Fonio_CM05836:1A:35372687:35375106:-1 gene:Dexi1A01G0030000 transcript:Dexi1A01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAAGFAFAPAVSRAPYRPGAAFPHSPGPSSSARFPARPWARAPARLVVVARYSPSYENEEEEEEDEEGLGGGGWGRRDRGPDPDYDPALDIERIESPTVRLLDEQKRMVGVVSVNEAVQIADDNDLILAILSLDGDPPVLRLFEERDYKYNIDIHDYSVRLKAAKKFLKAGDKVKIIVNLKGRENLYKKEAIELLRRFQTDVGELATEESKNFAERNIYLVLVPNKIAIQKEQDGLNKKDTGTEEKDQSDSDEPLTEELEESIEPEAEVSANV >Dexi6B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:6B:9483281:9484273:-1 gene:Dexi6B01G0007880 transcript:Dexi6B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSIDTFLFGSSWIGAGDLPSYVVLRGAFYTCTKSPVIHRDLKPSNVLLDSDMNPKISDFGLARAFADDQSRDITRQPVGTPGYMSPEYAYWGQVSTKSDMFSFGVIVLEMVWDKWRSGSALDVMDPLLAESQYNESEVLSCIEIGLLCVQENPAERPDASTVRSSLHAGWQTSSAVSAGICLQLQWCGTYW >Dexi2B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:2B:4390758:4394466:-1 gene:Dexi2B01G0004740 transcript:Dexi2B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWWEEAVALLRRPAVAEMAVDVLLCAVPIWAAVMIGLAVGWSWRPRWTGLLFLGLRSRLRLLWVPPGLGARRLWLACTALSACSVAPKLLSSTFRRCRGKHQDKASTEDDAAGADGGCADGRTIFEGEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWQREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEVPQNGTTIVHYIKKFPFFCSDREYIFGGRIWESEKTYYCVTKVDIHLTSLNNLCLQGVPYLPLPKKEKPRRVELYFSSWRIRAVQSPKHAGQLSACEVTLVHYEDMGIPKDVARVAIRHGMWGAVKKLQSGFRAYQQMRATENTLSHSAIMARVTSKVSIDGSNGPLDQILSRAEKTSDSEENSRAVQHGFDWKWVVVGGAVAAVCVLNTGLVGKVLLLGAARRQARK >Dexi3B01G0026770.1:cds pep primary_assembly:Fonio_CM05836:3B:22190799:22194070:-1 gene:Dexi3B01G0026770 transcript:Dexi3B01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTRTCRHVFVLLSLQLLLVAPWQGETTAARALNFTRQDFPRDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGADGYHKYKEDVNLMGDTGLEAYRFSISWSRLIPRIEIHVTLYHLDFPQILEDEYHGWLSPRVDFAAYADACFREFGDRVKHWTTMDEPNVISIAAYDNGAFPPCRCSAPFGINCTAGNSTVEPYIVGYNSILAHAAAVKLYREKYQATQKGVVGMNIYSFWNYPFSQSPADVAATQRSLDFMIGWIINPLVYGDYPESMKRIVGSRLPKFTKEQSEMIRGTADFIGINHYTSVYVSDRPNSADAGQRDYNGDIAAIFRFSRNDPPTGQFIPINMPSDPQGLQCMLEYLNNTYKNVPVYVQENGK >Dexi2B01G0028160.1:cds pep primary_assembly:Fonio_CM05836:2B:36791831:36794884:1 gene:Dexi2B01G0028160 transcript:Dexi2B01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRHKDRSHSLLQRLIFAEIRERGRRKSNTQFPFPGMGKSIFLPPLRCFLPLFLWRGASPKRGKKKWKRERGKGRRRGESKKREVGVAAQYASPHLSSSVRDDPHDPVKRLVGLILARSVTGCSSVRVPESCQIDRYVRRHRGGQSGETMDLLSNGFEELCKEDQILVFFERLLEGWRQEQEPGWHGMTAARRLGPLFELSRKKLVPDDIREALLGIVGCCMNRYGQHLELRHFDPEQAVEVVAAGHLEPLQRGGADGEEGVVMVIISLTQTSFKPGRGQEGRAARGQHVCQLAAVHARVAYLEGGQRRRREARTAACGRSWATRGNRRDRLPPPPMMRSAPPPPGHAPSTSPVRGYVVLPESRVGYEYRSPMFEAVWYSERAAGHLEHQTLFSPRKRSAAPSACRTMDLLKRELQKKRKAATQDFAGKSFVRRSELDQKQIQKRRPKASPLAPLASSSLEPAAASGSDPSGGNPSAAAAGDPSTSSSDELGLPRHEVIRRLRLLREPATMFGEGDAARVDRLKHALSVRMISSAPKGTKAFGLDLRSDRGRPILMRYIERGGGHGSNHEVELSTHPTYSKTLYRSRGSTTPSIAVPGQSRPTAQGYGNLYSDRRCPCVELPQRTRYGIHIAIQLLYS >Dexi9B01G0023110.1:cds pep primary_assembly:Fonio_CM05836:9B:18128208:18131101:-1 gene:Dexi9B01G0023110 transcript:Dexi9B01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVDDNMGRLFIRSLSFDDMDAAADSPSSLPPAFGHGKLIIEGSLSFKRREADNVQMQALMSVRSPKPVRDSCNVSPCGGTACSSRFGPARDRPPDYPVKENGSPRHQAAALRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCETKQPFFYWLDVGEGKDVNLEEHCPRWKLHKQCIKYLGPKERESYEVIVEDKRLMYKLSRQIVHTTGSAKGTKWIFVLSTCRTLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGLLKAVWPHSGHYRPTEQNFQEFMTFLKDRSMDLSDVKLSPSECEEDGDFSLRSNQSQLDLTELCIEEEENSQEQEPQTPQRHGEDEAETQNEEPTLPSTETSTPATMRKASSDNRLHGKRPPRLLISSNNRVPLPPSNSSSRPSPSPSPCGKDMDPDSAMFGECLDFCKRNLFAEDWYEDHGMDDLAEVPEELILSRINSKRAMRSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGRPARFPSPRPGVLTPNSIPAGKCSGSLTADGDGVSMSLSLKPRQRSATWTAF >Dexi5B01G0027390.1:cds pep primary_assembly:Fonio_CM05836:5B:28954104:28954394:-1 gene:Dexi5B01G0027390 transcript:Dexi5B01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFPWSTWAMTATLRIRDGSITPPGAKAGEAAVDAAAEERDDPSADGDEAWEREWVCARLADDRRPRSRRAAAISFAAAAAGKGGRGGS >Dexi9A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:9A:1732554:1733441:1 gene:Dexi9A01G0003260 transcript:Dexi9A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHDWNITWYSLLNSIQVLKPSTKQKLRFEGDSFKKTLAEFLQVVPAFLGGKCRCPQCEKPRDGSVMHAGEGSKSQPRLISADDGSPVTDFDFDESEISSPYSCENTIRAAIIGLLMVCIFIAFLAGMNDPASVPSSA >Dexi5B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:5B:8825729:8828749:1 gene:Dexi5B01G0012480 transcript:Dexi5B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Dexi3A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:3A:4712628:4712894:-1 gene:Dexi3A01G0006970 transcript:Dexi3A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMWDALVSWQIDDGDVDPRGVVEVPNSRSNSCRSCWIPIAAPSGGRRRRWMILPLETSRWPAAVFSSTRVDDPAGHGGMPIAALSG >Dexi5B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:5B:4043256:4045320:1 gene:Dexi5B01G0005980 transcript:Dexi5B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNHGHIQLPQMDHIQPKNVPHNESLPLGAPGLTSRALERTYYHAIGSSNSSVAAVPAVPSSGSATFANGGYAPRTVNHGTVTIYPHPAPADSSVSRAMPHASSTSRAMPREAVIRSYPPATSTSVRMQPLPARTAAPSRNARHVSVGHASNGRNRRARSSYYALHPFMIEAERYMMLDQLVFYESRAAAADPHRNMRLDIDNMSYEDLLALGEFMGNVNTGLADEKISKCVREVVCCSSDQMKNDQDDQDDGSCVICLEGYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >Dexi6B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:6B:24078889:24080388:1 gene:Dexi6B01G0016790 transcript:Dexi6B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQHQPKAAAAAPSTTTGGDLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGVNKEGQTILYLVFEYMDTDLKKFIRSHRSSREKIPALTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAIIVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELVTNNPLFPGDSELQQILHIFKLLGTPNEQVWPGVGKLPNWHEYPQWKPTKLCTLVPGLDDIGYDLLGKMLEYEPAKRISAKKALEHPYFNDVNKELY >Dexi9A01G0049510.1:cds pep primary_assembly:Fonio_CM05836:9A:52121146:52122320:1 gene:Dexi9A01G0049510 transcript:Dexi9A01G0049510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRGMTAAEAAWFRYSAWTPDRCLYYHNVVILMVVYTLAPLPLALLELRAPPKLTGPYKLQPRVRLTAGDFLRCYRDTARVLLLTVGALQLVSFPAVQLVGIRTGLPLPSLGETAAQLLVYFLVEDYLNYWLHRLLHTPWAYRTIHRVHHEYAAPMGFAAPYAHWAEVLILGVPAFAGPAMVPCHMTTFWLWFLLRHVEAVDTHSGFTFPFNPTRFIPFYGGAEYHDYHHFVGGQSQSNFASVFTFCDYIYGTDKGYRYHKASLLAKKD >Dexi6A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:6A:24425582:24427036:1 gene:Dexi6A01G0016570 transcript:Dexi6A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLTPAAHAAGLRRLSTRAAAGPSPASALAAAGSVEAVAMVDVDRRMAGLTPAAHAAGLRRLSTRAAAGPSPASASPRHGLHSFAPLAAAVLGHLRASGVAILPGLTELELARAEAEMGFAFPPDLRAVLAAGLPSGPGFPDWRTRAGLRSAFDLPIAAASLQIARGALWPRCWGPRPGDPDRALRLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPVFFVTDDRVLCCGLDVLHFFTRDSCFQPLDPRAPPLPTPSQQHGEASAAAATPCTRRSLDAACGGAAPRWIEFWSDAASDRRRRDSSSSEASTASSLSSGGASPPPPRRSRTPHWVDTYLDRLGSVLRQGGWRDTEVTEMVEVAASGMFDGGEEAAAPPAADADAVLDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPALRIPPEIAVKVQRLAKSVARP >Dexi9A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:9A:7329761:7330903:-1 gene:Dexi9A01G0011710 transcript:Dexi9A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWTSLHGDLLDLVVVRLPSLDLLRFRAVCASWRAAAAFTARRGHPRPDRPWLLLPTDVAAADHDHGRLIVCRDREVPVATLPARLGRVTSRRFVPLGSARGAIVAADDRGEMHLLDLVTGGRRPLPPVSTLPLVASVEGLQVQHHGGGVSPVNVLIQKAVPVPTPGGGVMVLAILRQKNQRNQWATARPGDRAWKSVAPTSIPAVVDVVVHRAQLYANTRYGMMYVFPELHGLGSASPEIIPSVTRRPSAYVERSFLVESPRGELMQVELLRPVAAAGGEGFVVRVLDECSETWEEAEDIGDAAVLVDATGAVAASTTECPALRANTVYFAVDLAGETRVWAYSLAGKHKRIEVVETLPTADGYKPPCFWVTPVYSQP >Dexi8B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:8B:313125:316041:1 gene:Dexi8B01G0000470 transcript:Dexi8B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQSPMAAASAAHRHSKRCRLSPVPLDSPADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRVLRPLRADLLPAALVRYPSASRLDLSLCARVPDAALAAVPSSGSSSLRAVDLSRSSGFGAAGIAALARACPCLADLDLSNGVHLGDAAAAEVARMRKLQRLSLSRCKQVTDMGLGCVAVGCTDLKDLSLKWCIGITDLGLNLLALKCTKLTTLDLSYTMITKESLLSIIKLTNLQVLTLVGCIGIDDDALSSLEKEYSKSIQVLDMSHCQNVTDVGVSSVVKSIPNLLELNLSYCCPVTPSMVRCLQKVSKLQTLKLEGCKFMADGLKAIGSSCVSIRELSLSKSAGVTDTELSFAVSKLKNLLKLDITCCRNITDVSVVSITRSCTSLISLRMESCSHVSSGALQLIGKHCSQLEELDLTDSDLDDEGLKALTGCTNLSSLKIGICLRISDEGLTHIGKSCPKLRDIDLYRCGGISDDGVIQIAQGCPMLESINLSYCTELTDRSLMSLSKCTKLNTLEIRGCPRISSAGLSEIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHALRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLIAALMVCGGLTKVKLHEAFKSMMPLHMLKNVEARGCMFQWINKPFKVLYIFFQPACLPLRYV >Dexi9A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:9A:12367517:12368939:-1 gene:Dexi9A01G0017360 transcript:Dexi9A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGDGNCDAWAARDPSGVLSPYKFNRRHEIAGIVIEVGSDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENHCPKSVYTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGVTVYNPMVRHSMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKRDEAINILRADNFVISSNTQQMEVLHIAWFQCT >Dexi2B01G0022410.1:cds pep primary_assembly:Fonio_CM05836:2B:32004481:32005185:-1 gene:Dexi2B01G0022410 transcript:Dexi2B01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMIAESGSPCSSPTASTSTSSEHHQTVWTSPPKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAVSGAGACLNFADSAWLLAVPASYASLAEVRHAVAEAVEEFMRREAVHKDDALSATSSTPSSPASDDGSATEGEESTDTSPDAGVSPFELDVFNDMSWDLYYASLAQGMLMELPAAAMELGEATVIDVPLWSY >Dexi4B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:4B:10738093:10740497:-1 gene:Dexi4B01G0012740 transcript:Dexi4B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVSAASSCSAKPHEEPPQRREVEQERRRAGEECSSAAAAGAMEDEEEERVGEVDSKLSEVSLCGCGTTEEETEEEDDEEEEEAAAKDAIELGPRVSIKEQLDKDKDDESLRRWKEQLLGSVDLSSVGETLEPDVKITGLSILSPGRPDMVLPLPPQPKSKEPWFTLKEGSAYRLKFTFAVVGNIVSGLRYTNTVWKTGIRVDSTKEMLGTFSPQAEPYTYLTPEETTPSGIFARGSYSARTKFLDDDRKCYLEMNYTFDIRRDWPSS >Dexi2B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:2B:13757245:13758652:1 gene:Dexi2B01G0011950 transcript:Dexi2B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCCFQSHAGDGSSQHDQGIVSSSAASSSSTSSSCRNKERPIPERRPGEDMSSRNNNSVDYSNLVALVNEIVADSVSYRHKRVAEEILKMGKAGKVTARAFTYAELSEATGGFRPESLLGEGGFGPVYRGRLGPKSSTGSPEVAVKQLDRNGMQGTREFLVEALMLSLLKHPNLVTLLGFCTDADHRMLVYEYMAMGSLEDHLLDLPPGRAPLEWATRMRVAQGAARGLEYLHDAARPPVIYRDFKASNILLDAGFHARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGRRAIDTARPPDQHNLVLWAGPRFKDKRRFAEMADPLLQGAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGGGAADDEPASDTDLAPDDQQQKTDDNDDAQA >Dexi1A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:1A:5769952:5770587:-1 gene:Dexi1A01G0007470 transcript:Dexi1A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHRGCCSISRQHVHQQTSPAPARVIAGDGSLKEFPAASPLISVSDELGLEDGGDTSSFLCSADALYFNEHPPAMSSGNVLLPGQMYFVLSGDLLGRPLSAADMAALAARASSALASSSGKQPQRRRRCGGGKRKKKTLRVMPVQEQQDAESVGLFNEKLNELTLGQVRKKMNDEMITAAERSRHKRALSIIREDAE >Dexi2B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:2B:6434634:6436117:1 gene:Dexi2B01G0006510 transcript:Dexi2B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADVPPPWRRRLILAGRLRPDTKMLISARAGSQKRSREDEVVAGESNTVAAGVDGHDATKRKNQFRGVRRRPWGKWAAEITDARKGARVWLGTYNTPEEAAKAYDAEARRIRGKKAKVNFPDEVPVASQKPIQVPTSLEVAKNAASSIQEPLVNLSPDQGSNSFSTSNSSMKNDSRTTDITSVLAPIPTLTEDDESAFLQDTANASMPVVARDACVDHYELYMNFLMTSSDESTNTVWSYDDEPEDVGSNMNLWNFDDMPMTGDIVF >Dexi2A01G0035440.1:cds pep primary_assembly:Fonio_CM05836:2A:45080306:45081118:-1 gene:Dexi2A01G0035440 transcript:Dexi2A01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEYPTFSDVSGASDLLFLADSTPASPPPLAALSDELSCYSGSSSSSSYSGASARSCVSDSARRGRPVDPLRVLSVVASLRLINPKVLAEATSTLFHRGEEKKRKGVWIEIDSFHDDDDDQSERSSAVASEGSTVTAAASAGSTATSGRCRRPPRRSGDDGGEKALRRADVIMQWFSRPGAGPATENDIRAAVGDNSGTGKAIRW >Dexi4A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:4A:23173304:23174746:1 gene:Dexi4A01G0019370 transcript:Dexi4A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLLYYCLVTCIQVLVVVGTVASSRPPAMFVFGSSIVDVGNNNYLPGPSVPRANRPFNGIDFPGSIPTGRFSNGYNTADYIAKNMGFACSPPAYLSLAPTSPYGPLVPTALTNGVNYASGGAGILDSTNAGNNIPLSKQVQYFKATKAKMVAAAGPVAVNAVLSRSVFLLNAGNNDMYVFAAAELARNRSAADQRSDAAALYASLVSNYSSTITELYSMGARRFAIINVWLVGCVPAVRVLSPTGACSGLLNQLAGGFNDALRSLLAGDDLAQRLPGLVYSLADFFGFTQDTLADPRASGFTDIAGACCGSGRLGGEAECFPNSTLCDDRDRHVFWDLAHPSQRAAFLAAQAFYDGPDHYTTPINFMQLAQSSY >Dexi2B01G0034900.1:cds pep primary_assembly:Fonio_CM05836:2B:42083533:42087749:1 gene:Dexi2B01G0034900 transcript:Dexi2B01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTTSPAAPVASSRRRDHPAVSQPEQEGVDSSDAAAATAEASAAPEQQAAEKPEKPAQVKRERRSRSSRSATAAAAAAAHAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLNHPNVVKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDVKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPVMPGRTEFFTTEPHACEPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGDGTRKTRTRDRPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGAVPTWSGPLADNAAGNQKRKHKSGRSSKQPSTARAR >Dexi2B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:2B:12961436:12968185:-1 gene:Dexi2B01G0011350 transcript:Dexi2B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPGAARRSTYSLLSQFPDDAAGPAAPAANVLQRQSSGSSYGAGSSISASSDYPFHLAPPASAAAAAPGGSSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDPGSGRGSGNGRAFPLAQPAPTAESLSHRFWVSSLITAPLPFPPTLSVHLMLCVVFWYPSFAIVWNSGFENAAILAVNGSLSYNSTIPDGFYVIHGMDPFVWSLCTDVHEENRIPSMESLKSVRPDDSPIQAILIDRRTDFDLGMLESYASSLLSSCTDAKDVMLADKVNIPCRVVKGCKYCKSDDASSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVSSPLHPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFSDSSTGAATSAVVSLDQPYSKKYVAGDENINSWMPGKGQASMKPDIIVPEAPREVLPLITSSNMKLDKKKELKLIEETQHLRHTVSDLSLAADDLIIPWNELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRFGSSFWVIPLGSLYKLLHRSGAREVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPKDLNPL >Dexi9B01G0045720.1:cds pep primary_assembly:Fonio_CM05836:9B:45169707:45171860:-1 gene:Dexi9B01G0045720 transcript:Dexi9B01G0045720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEQAAADAGNSGSSPRNRRSETCLLRGPIIVGAGPSGLAVAATLSLHAVPFTVLERSDDIADLWTNRTYDRLRLHLPKAFCELPHVGFPGDFPTYPTKHDFLRYLKSYADRFAVSPLFGRTVTRARFDAAAALWRVTAVASSPAAANGGEEEGAEVATEYASPWLVVASGENAEVVVPKVKGKERFAGETLHSSAYRSGERFKGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGVAMKLLKWLPIKLVDRFLLLVAKMVLGDTEKHGLRRPKLGPLEIKKVTGKSPVLDVGAWSLIKTGNIKIVPEVESFTGGSGVRFVDGNEMAFDAVIFATGYRSNVPSWLKEGDVFTEDGKPKAAQEATSWRGPNGLYCVGFSGRGLLGAGADALRAATDIAGRWQAAAGAETTASV >Dexi2B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:2B:26618872:26619237:-1 gene:Dexi2B01G0016470 transcript:Dexi2B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRRGRSRAPSSASLLHSSEVPLEEQKSTSEVGHITCHLDFAFPQLNAIHSCPRQAQRRGARGTAMPGVPTATAAVVAFAAGQGWPCHHRQRQDPTRMHGEVACRGPPLDLAWPGRLQ >Dexi1B01G0024060.1:cds pep primary_assembly:Fonio_CM05836:1B:29538580:29543079:-1 gene:Dexi1B01G0024060 transcript:Dexi1B01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRVGGRVAAAARRALADAAGGSFPLPVLRITNRLAMISLVLASCHLLRLCSDRDRPLRFPLGGREVATVLCQLASVAYLLSLLGITSAAHPKVPTSDDDGDGEGCSPRPARAAADADAVAPMHDGVEGEDEEIIAKVVSGALPSHQLESRLGDCHRAARVRREALRQMTGRGVEGLPFDGMDYQAILGQCCEMPVGYVQVPVGVAGPLLLDGRQYHVPMATTEGCLVASINRGCKAIAESGGAESVLFRDAMSRAPVVKLPSAKRAAELMSFVEAAANFETLASVFNGTGDAMGMNMVSKGVENVLGYLRNVFADMDLISLSDKKPAAVNWIEGRGKSVVCEATIKRAVVENVLKTTVQKLIELNIIKNLAGSAVAGALGGFNAHASNIVSALFIATGQDPAQNVESSQCITMLEEVNDGEDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVRGSNLASPGANAKLLATIVAGSVLAGELSLLAALASGKLVESHMKYNRSSKDVASAASSEDT >Dexi7A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:7A:2011161:2012220:1 gene:Dexi7A01G0000710 transcript:Dexi7A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNPPGMQMPQQNSQPGQFNNPLYGASSGLIKSGLEVYGEKFFGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFTRALIGWAFQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLSVVARLVWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSIGA >Dexi1B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:1B:8368242:8374469:1 gene:Dexi1B01G0009330 transcript:Dexi1B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEIAEFELRREERLKAAEERTAKKRLKRQKKKQRKKAKQTKTSNGGEEPNRVETSDDEEGSDGDDKSKQ >Dexi9B01G0038870.1:cds pep primary_assembly:Fonio_CM05836:9B:39726112:39727589:1 gene:Dexi9B01G0038870 transcript:Dexi9B01G0038870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPSWNNQQQGAQQQARASGGGDAAPLRPSVGPAPSATAAAAPDATSGLPSSSSGAAAAAAVASLARPNSMAERARLARMPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGTLRNVPVGGGCRRNKRSAKSSASSSSKPSSATATRQQLGGGQSSSTPSTAVTGAIIPPGLGSFSHHHHLPFLGSAVHQPNLGLAFSAGLPPLGMQLMGTVDQFPVASGGGATIGASLEQWRVQQQPQHQQQFPFLTGGGILELPPPTMYQLGLDANNSRGGGGGSGGGSAATAAGTFTLGQTTTGATTAARQQEGSMKPAAEDSSKGQAAEMRQYMAALRHGSQGVVWDGNNGGSSGGDGGNGGSSWPMSIPGFHASSAGGGNGSSLL >Dexi5B01G0038500.1:cds pep primary_assembly:Fonio_CM05836:5B:37715781:37716485:1 gene:Dexi5B01G0038500 transcript:Dexi5B01G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSQLLLNHNPVHKKVPVLLHGDRAIAESLVIVEYVDEAFHGPPLLPTDPYERAMARFWARFLEEKCLEPLRTALFADGEAQKASMKEARESLVVVEEQLRGRRFLGGDAIGLADIAAGGLLAHWLGVLEDVAGVRILSDDEEDYPALRRWTAEYTSSEAVKECLPDRGRLLTYFAAIRDKCVSVANSMLPK >Dexi7A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:7A:1249697:1251438:1 gene:Dexi7A01G0000470 transcript:Dexi7A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSALASAVKATAISLAVPPPVLRRLPVPAILRAAGGSARRGAALVASAAVDDPRPAIDENPEGVLSGEWPENFSLLSYTDLRAYLESQIATTGEMSPTAKLGDVMTRPVRVATPGQRLAEVDVFFAAQQFSGLPVVDEEGRCVGVISKKDKAKALNGMEATVGGVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGKIYELMIFLTMVT >Dexi6B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:6B:18336899:18338434:1 gene:Dexi6B01G0011240 transcript:Dexi6B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSARPLLVLVLLLALFCSHIALCASAEPGKPKATGGRKALLAASAADDDGEDAPAAKPAKNAAAAAGGKIKKKLAGDGKNQTKVAKTKKSDSAAATKGASKKATGKSAAGADAAIGKVPKGDKAKVPKPDKAAAAKSKGADSTKPTKVKGEDSAKPAKVKGDDFGKPAKVKGDDSTKPAKIAKAGSKAGKPAKTAKSEAAVGKTKKSANSTADAGIKPAKSGKKAQVVADAKANATVVTSEEETTAGAEVEEDVVFADEAEGTGDLISEFRGLPARLQETLMPDLARLSHHSKVYLSAANAGIADGVRPILGGRWAAAAASAASVALLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPPEEDGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGTDGAAEEWKKS >DexiUA01G0014390.1:cds pep primary_assembly:Fonio_CM05836:UA:30561171:30566073:1 gene:DexiUA01G0014390 transcript:DexiUA01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEGVPETGKTKAPAPAVRPFASLFMHADVVDMALMVLGLVGAIGDGMSTPMMLFLANRIFNDIGSGPDRLQQFSSKMNENARNILYLAAGNWVMAFLEGYCWTRTAERQASRMRLRYLRAVLRQDMEYFDLNSGGSTSSEVITSVTNDSLLIQDVLSEKLPNFITNCSMFLGGYAIGLALLWKLTLVMLPSVPLLVVPGFLYGRVLTGLARRIRDQYAGPGTRRIRDQYAGPGAIAEQAVSSVRTVYSFAAERSTMARFSAALEETTRLGIKQGFTKGVAIGSSGISFAIYAFSV >Dexi2B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:2B:795349:796065:-1 gene:Dexi2B01G0001240 transcript:Dexi2B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWKRSKSAFHRSSVTPSSAPGSPARASTSRVQPGGRRGAADEGGGEGDFLAVVPPKLTRQRKLRHVDGIDVDCLGDLNAAAAAEPGRRASSSPPLQRGRAAAEAVGIPGSIPISWSASSREAVVQPPRSASSPVLHPLPLPSPRPPDLEPQDPPGVADGWGLSERTPYAPRY >Dexi5B01G0036080.1:cds pep primary_assembly:Fonio_CM05836:5B:35919241:35919928:-1 gene:Dexi5B01G0036080 transcript:Dexi5B01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLGNMKVSGTSAKEPTRLTKSPMNGNSAVTKMLKPKMSPLAKKRRVRKAAALMSTAAQRGRPSSLGRYSDTDDPYAQ >Dexi9A01G0048540.1:cds pep primary_assembly:Fonio_CM05836:9A:51301255:51301553:-1 gene:Dexi9A01G0048540 transcript:Dexi9A01G0048540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPIFLDTLVPSFVAVLISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIIFFPVAYPISKVSGG >DexiUA01G0005950.1:cds pep primary_assembly:Fonio_CM05836:UA:10854263:10857403:-1 gene:DexiUA01G0005950 transcript:DexiUA01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRSIVGALFVVTLILVAPSVFSGEIANSVSTLVGKVAECNKECEGKGTPADIKLCKEGCTIFKHLTYAMIGASACRESCDKVKNDQSSFKLCEEKCREKYEAALSKIKQGQTLLLTLIGTALSVVIGGLLALARLSSSWLLSSLAWGYIWLFRSLPLIVVLIILYNFSYLYDTLSLGVPFTAITWGRFETINVLGQFSTAVVGLTLVQSAYTAEIIRGGFLGVDHGQYEAAAALGLPAWRRTVRIILPQALRTILPSGFNEIISLAKGTAMVYVLAMPELFYTIQMIYNRTQEVIPLLMVGAVWYLVITTVLSAIQHVVERGLARSERRSAVNQNRVASRVRSFFGRHKALDNVSLEIPPGSVTVILGPSGSGKSTLLRTINHLERVDEGFIQIDGDYIGYRRQGDKLYELKEKEILKQRVNVGYVFQNFNLFPHLTVLENLIEAPIAHKKLSKKEAVERAYSLLDVVGLRDKADAWSRHLSGGQQQRIAIARALALRPRVMLFDEPTSALDPELVGEVLDVIKKLARSGTTLVVVTHEIGFAREVADQVVFMVDGKIVEQGSSDDVLTHRQAVAKIPANYKFVEPGTLTVAISALNSPPLALLASDNRTRIGSDPDIARLLAGSLGLKLKLVPTAWEDWPLGISSGRYDVALVNIAVTEQRKEKFDFATYRVDSLAFSVKSTSAVQAINSAKDLAGKKVIVGSGTNQERILLGWNEENKKAGREPALPVYLTDDASGNLYIQSGRADVFFGPQSVSAYKAALTGKTRVVGLGPKRAYVATTTKKGNGLVYALQAALEGAITRGEYQRVLARWGEEGEAVTQSDVNPPGITY >DexiUA01G0001110.1:cds pep primary_assembly:Fonio_CM05836:UA:3488956:3490537:-1 gene:DexiUA01G0001110 transcript:DexiUA01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGVLGTRLGLDGGGGGSDLPPGFRFHPTDEELITYYLLRKAMDGSFCGRAIAEIDLNKCEPWELPDKAKMGEKEWYFYSLRDRKYPTGLRTNRATVAGYWKATGKDREIRSGRSGALVGMKKTLVFYRGRAPKGQKTHWVMHEYRLDGTYAYHYLPNSTRDEWVIARVFQKPGEVPPARKHRLGGESCFSDSTSASIGGGGGASASSAPRPLLTDASSLFAAAAASADADTGSYCGAASANGKTV >Dexi1B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:1B:7916160:7920442:1 gene:Dexi1B01G0008970 transcript:Dexi1B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDPPPPAVAVAVRPGGSGSRLAARWVAASLPEGDGRAAAVSVAVVHVIPTLSHVPSPTGERVPVALVGKEPAEAYARDRRARAEEALLPFCRLNCGRANVTVETVVVEGDDVAEALLRYVHESGVRSLVLGSASFRWFRRVLSIPDVPESDTLRIESISHETFAQSHRSLLFDNFADYEAHSNSFSQAYSSQSASNVVPSSESSEQVASESSGANAAGTEGSKNYDSLSSLGEAPCATSNSSEDCQSIDEVEKLRKELQDTLVVYDKACVDLVNAKKKIQVLSTECSEEARKVEHALEWEEALKKTVANEKAKQLEAINQVEHARRSFTREAYSRHKAEMTTNMVSKDRAQIVDAILSKSRTCRRYSKQDIELATDNFSEDRKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLKEVEILSQLRHPNLVLLLGFCAEIGCLVYEYLKNGSLEDQLFNNKGLQPLHWFLRIQIIFEVSCGLAFLHARNPEPIVHRDLKPANILLDRSYVGKIGDVGFAKLISDLVPDWQTEYKETIVAGTLYYMDPEYQQTGTVRPKSDVFALGVIILQLLTGRRPNGLIVSAENAIKNGRLHDILDKSQSDWPVEEAEMFAKLGLKCTALKCRDRPDLESEVLPKLDEILHRITAAVNLRNPKISVPSHFICPITQELMEDPHVAADGHTYEHYAIRAWFKRHKTSPVTRSKLANLSVIPNHSLHAAIQQWKSQLPDQTKV >Dexi6A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:6A:18449803:18450138:1 gene:Dexi6A01G0012220 transcript:Dexi6A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHRARRTRGCRPSWHRLVRLPRRGLLCLRLAQLFDRRLFINPAAVLRPPVRGSIIQCWHCVLLLLLSTRSLGLNPPVCLSSSGVICRLLLSSVCCLCTLPAAHMLSQW >Dexi3B01G0029400.1:cds pep primary_assembly:Fonio_CM05836:3B:28606423:28606737:1 gene:Dexi3B01G0029400 transcript:Dexi3B01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPTAPLCAPPATFIGLAPSTTGGAVGRCNPRRATLRYEIEEGNSCSASEDSGRHPQPKNEPWSVPWTFRGLKGWTKEESVDGELMARSSQTGLPTIGGEPE >Dexi3A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:3A:15025005:15027494:1 gene:Dexi3A01G0019180 transcript:Dexi3A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVESAPASPAQAPPSSASSLPKEQSQVELELRLLQALEFYPPSKLKGIHRHFVLYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFSQGEEFSLPESFFNKEE >DexiUA01G0005800.1:cds pep primary_assembly:Fonio_CM05836:UA:10505941:10507848:1 gene:DexiUA01G0005800 transcript:DexiUA01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGAPALVAFAVACAGLIWLRSRSSSKEMRDIPGTMGWPVIGETFSFISAFSNPAGILSFMRDRQKRFGKVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKHFDFINELAVQTLDTWPGRKVLVLEEASSFTLKVIANMLVSLEPEGEEQEKFRANFKVISSSFASLPLKVPGTAFHRGLKARNRMYAMLDSVISRRRDGGEVRSDFLQTLLRKHAGDEADKLTDSQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPDVMEKLRDEHLEIKERLKGERLGWSDVGNMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGYDVKKGTSVNLDVVSIHHDPAVFADPERFHPDRFDETLKPYSFLGFGSGPRMCPGMNLARLEICIFVHHLLCRYRWKPLEDDDSVQPTLVRMPKNKYPIIATEL >Dexi5A01G0033640.1:cds pep primary_assembly:Fonio_CM05836:5A:35947772:35949251:1 gene:Dexi5A01G0033640 transcript:Dexi5A01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSTSVSGGRALPSVQPAPYRRLALPSVHRHSNKSVSVKTMAIFGKSKPAKAAPAKKAPAPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGTFVDDVTGLDKAVIQPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVLFFFIAAINPGTGKFIISDEEDK >DexiUA01G0010590.1:cds pep primary_assembly:Fonio_CM05836:UA:21037458:21038316:-1 gene:DexiUA01G0010590 transcript:DexiUA01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDPSNKGYIELEDMKSLLHQALGNSPFRHLLKRHLSLELSTLESSSSSSYPLRRWCRRAHYFLEDNWRHVCLMLTWLSVCAGFFAWKFLQYRHHHHDVFEVMGYCVCVAKGSAETLKLNMALVLLLPVCRSNTITTWFRTRVVPVNDTVNLHEVIAVGAVAGAGVHTISHLACGFPRLKGTEGWTGMVMLVLMVVASTLATPWLRRARLGPMEWLTGHEAFWWNC >Dexi5A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:5A:4045627:4046337:1 gene:Dexi5A01G0005420 transcript:Dexi5A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSTGPDSIAETIKRWKEQNQKLQDESGSRKAPAKGSKKGCMAGKGGPDNGNCTYRGVRQRTWGKWVAEIREPNRGKRLWLGSFPTAVLAAHAYDEAARAMYGPKARVNFSENSADANSGCTVPAASAALHGFNERDEVESVETEVHEVKAEMKDDLGSIHVECKSMKVLQSEESGLRKEGNVSYDYFNVEEVVEMIIIELNADKKIEVHEECLGGDDGFSLFAY >Dexi1A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:1A:602884:603280:-1 gene:Dexi1A01G0000950 transcript:Dexi1A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEQSLQAGKAQAAESESHAEQAAQSVKDAAGATAADATGAAADSAQQQQHRAAGTVEQAADTVAQAVQGAVAAVKDAVSGGH >Dexi2A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:2A:9083467:9083791:1 gene:Dexi2A01G0009050 transcript:Dexi2A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTGVSGDEKVTVRTSGHGHGYSSSHSGGHTSGGTAEQGGAGVVDPRNLSARSHPRSGAIRRAAVGGYSSVVVACGLVGAILAVLP >Dexi8B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:8B:23047293:23048498:-1 gene:Dexi8B01G0013180 transcript:Dexi8B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPTPSPSWSDIPLELAGLVLLRLPALADRAHFAAVCQQWRVATKEVPLPAPLPLFALPDGTMYSLPESKPFHFPGCAGYVDACGDWLAFSGEDGFFLKNPFSHATVTLPQQFRVQDHRHHAGVRDRRRRANDGTGIKWMEMEDDPNRLTMCKLLYCSPQLVAAFVRVQRNIRIAVCQPGAASWWTVYMGYSFPLSVDMAFHQGKIYVIQEPWEILFTIVIRVHPGTGDPWVYGVRYDIKKNPSVAITRATNEDVTMKMFYLVELDGALLIVRRKMPGRRRVRPTLPETGIATPTGGNEFKVFRADPQQSKWIELTTIGNDKILFLRRRCSRFVPVSEEDMPGDRIVFMDNDDEDSWYEVGTSNSCSVYDMRDGKVSAFPPMVSWAQGPVPATWLFPQG >Dexi9B01G0033570.1:cds pep primary_assembly:Fonio_CM05836:9B:35718022:35718308:1 gene:Dexi9B01G0033570 transcript:Dexi9B01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPDSGESTNLAGDVADEETGLRRRPTVPTPGPASAATTPAVAVARVVVAHAPRGAPAVPPPRAVAPARAPAPAAVAGHRSSRMPRREGLC >Dexi6A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:6A:4885154:4886878:1 gene:Dexi6A01G0005440 transcript:Dexi6A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSRALWSWSTRRSSRREDSTSPPRLLPTLPPHRIAAWRSPSVDQRSSPPPAPPAPQLHSRLGSPSLGGGDVPPRRRDVRAIRFATPPPPPSSAGAAHRPWRSATPFLPRSAPPPSDAASPTTGSSITEVHMSYVDMESSRRLAYAFIDPPRADPGHFIWLALERRGGDPPVRLAPSSHSAMMVVFHHSYFRETTVRRGPITWDGHRLSLVHHEEAVFRFVHNYNKLVEISAHNFPPEHHNKDGICEAFQVFGQVCCMDPSCLREVDEERDRGIADYSVVRVLVLLDAGRRITPGLLVRNPQWFPAGIAQLRILGEWDHPRGAPPPTEHDFSSDGGATPPNPDTPPSSRRGLWMGSTAGGRAMPPTSTTGGAGAPLQRVVGALLYPSVPLWSFVSGAVCAMARAMSLSGVPGLVILDLPTPNHPRTPPPAPTLVEITEEEGGTPDHRPVSQPIPSLEELLAEEEHEVSIRHRRARRKRAADSASKIHRSRRLAAKEVPFYMDAVTKASRVKAEKMNIAGASNRMKVALEQAAILERPPPPRIKVSKLKCLGRVCGLGRLSEIDDEEVPTTT >Dexi4A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:4A:4335675:4352295:-1 gene:Dexi4A01G0006070 transcript:Dexi4A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEDRPGDRARGGGGGAAAKMTAPRGGGDEPPPPPPSAGNEPVTPTSAQVSGLNRRGSRGAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRVKKNDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIIRLHKDVQEKKDIYAPFNILPLDAASASQSIMQLEEIKAAVTALRNTRGLTWPSTFEPQRQKGGDLDLLDWLRAMFGFQMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIRKEAGKSQHGKTPHSAWSNYDDLNEYFWTSDCFSLGWPMRDDGDFFKSVHDSRPVTMAGSSPPKVSTKSTGKTNFVETRTFWHIFRSFDRMWTFYILALQAMLIIAWNDYSVTQIFQKDLLYSLSSVFVTAAFLQFLQSMLPFLHKRIYVGRGMHESQVSLFKYTFFWILLLCSKFSFSYFVQVSSPIRRFTMMLLHAGSEPHSHLGLQIQPLIKPTKDVMGVHNIHYEWHEFFPNASYNIGAILSLWAPVLLVYLMDTQIWYAIFSTIFGGMTGALGRLGEIRTLGMLRSRFHSLPGAFNTYLVPSDKSRKRRFSLAKRFAEIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNVLVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSSLPVFCKKFVELISTLKERDASKFDNVVLLLQDMLEVITRDMMVNEIKELAEFGHGNKERRQLFAGSGTKPAIVFPPPISSQWEEQIKRLHLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRMNGIISWKELIAKEKVKYGETRKMSYNFVIGLLLEAKHSVEQAFLDMASESEILEGYKAVADPAEEEKKSQISLSSQLEAVADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLEFAIMKQALMRGNSALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVRFAENYRMYSRSHFVKGLELMLLLVVYQLYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPSNKAWESWWEEEQEHLQSTGLLGHFWEIILSLRFFIFQYGIIYHLNISAGNKSLSVYGLSWLVIIVVVLVLKVVSMGRKKFSADYQLMFRLLKLSLFIGSVGTLAVLFTVLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMSIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >Dexi9A01G0026960.1:cds pep primary_assembly:Fonio_CM05836:9A:31159264:31161515:1 gene:Dexi9A01G0026960 transcript:Dexi9A01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METADEETLLAHLNPPSQVVASEYARDGSVDIKKQPALKQSTGKWRACYFILGVEFCESMAYAAICTNLVTYLTTVLHERKVDAARNFSAWLGACFLSPLLGAFIADTYLGRYWTIVVSVPLYIIGMLVLIVSASLPVFSTSHGDGVHSSVVYLGLYLLAISNGGLKPCISTFGADQFDTNDPVELAQKGSFFNWFLDKAAIAPSDNESMVQMSPWRVCTVTQVEELKMLLSMSPIWGSFVIFYAVATQMQSTLVEQGMFMDNHVGSFAIPPASMPIVSVCSFLIWVPIYETILIPFARRFTGKEKGFSQSQRLGIGQALSTLTMVLAAMLETRRLAIAEANGLRDQDVPVPISILWQGPLYLVHGASAVFGGIGLTEFFYDEAPVTMRSLCAALGQLATAAGSYFSSAILSIVAVATTRDGAPGWIPDNLNEGHLDYFFWMMATLSLLNFALFVGYSMRPKGREYF >Dexi9A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:9A:2918799:2921269:1 gene:Dexi9A01G0005270 transcript:Dexi9A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPLVSVKALEGDMATDSAGAPLPDVLRAPIRPDIVRFVHKLLSCNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNIHLRRVAIASALAATAVPSLVLARGHRVETVPELPLVVSDSAESIEKTAQAIKILKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLVVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGTFETPSAKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKVVKRREARKNPLKNMSAVLKLNPYLGTARKMATLAEAARVKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMVSDSDYTEFENFTKWLGVTQ >DexiUA01G0015590.1:cds pep primary_assembly:Fonio_CM05836:UA:32687432:32697577:-1 gene:DexiUA01G0015590 transcript:DexiUA01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDARAATATATSSSAATSDGQQQPPPPPPPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLVTHPPIFSRADEPLEADTWSRAIESKFTILATPCTANRKVVFAAQQLRGPALLWWENYLALQQEASIDPRPPAKSREFWLYVRSCVVCSRFVQMEGIAGAIRQARAKQSRPALPD >Dexi4B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:4B:19251969:19258177:-1 gene:Dexi4B01G0017150 transcript:Dexi4B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLEELQAADPDGCRRPPFLSFSLHGTQPDKGETRKRDTAQLVVCPVATGDTVSDSVVVAVVSGGHKQAGCGAGYLRMATWAAVMVMAAAAAAAAAKECTNIPTQLSSHTVRARLLSTPGAAEWRWRELFHDHLNPTDEAAWMDLMPPLHGVAAATGAGEDEELDWAILYKSLKGQVPGGGGGAGEFLEEVSLHDVRLDLDGDAVYGRAQRTNLEYLLMLDPDRLVWSFRTQLARESIIGGSRSPSPGGDADMGVVTMTPLWVMAWPTTKTCFGHYLSATARMWASTHNATLAGNMAAVVDVLHECQRAAGDTGYLSAFPAEFFDRFEAIQPIVQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVIRKYSIERHWTSLNEETGGMNDVLYQLYTITNDQKHLVLAHLFDKPCFLGLLAVQADSLSKFHANTHIPVVVGGQMRYEVTGDPLYKVKLTTVMNQEIATFFLDTVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKVSRHLFRWTKEVAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIVQFIPSTFNWRTAGLTVTQELKPLSSSDQYLQVSLSVSAKTKGQYATLNVRIPSWTPLNGAKATLNGKDLELASPGTFLTITKQWDSDDQLSLQFPIHLRTEAIKDDRPEYNSIQAVLFGPFLLAGLTTGDWDANTGGGATAAASDWITPISPDSNSQLVTLVQESDGGKAFVLSAMNVSLRMQERPKDSGGSDEAVHATFRLIPQGSVVSVSGAGAATNATFPAMMLEPFDMPGMVVTDKLTVSADKSSGALLDVVPGLDGSQGSVSLEIRARPGCFLVAGGDEVQVGCGGGEGFRRAASFARAEPMRRYHPMSFAARGVRRNFLLEPLFTLRDEFYTIYFNLGA >Dexi5A01G0032490.1:cds pep primary_assembly:Fonio_CM05836:5A:35006181:35010604:-1 gene:Dexi5A01G0032490 transcript:Dexi5A01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGGYGHGGAGSGSAAEHNCHGHGDFLLHHHAQHVAAAQQLYHVPQHSRREKLRFPPDDDSPPQHHHHHAGAPAAAWPPPPPGFYSYASSSSTSSYSPHSPTTLAQQAHQLVVAHGGLGPPPPPPLSSASQIPTHNFALSLSSSSSNPPPPPPTQARRPLAAPSSGPFGPFTGYAAVLGRSRFLAPAEKLLEEICDVGGAASHVDRTASDEGLLDADPMEGIDHDLDGAERAAASDAGPISGAEQQWKKTKLISMMEEVCKRYRLYYQQVQTVINSFETVAGFSNAAPFAAMALRAMAKHFKCLKSMILSQLRNTSKAGAGKEGLSKDIAIFGLASGSTAIQRASSVAAFGHPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHPALENHKSQHAMHHQAQHSSESIGKPSDPSDSQLGQSSSITRNHAIPASQGFPDELSQMPHSIQQGQVTFSYNGLSTPQHQHGLALSQQHHQQVGPMSGIGGPGNGGVSLTLGLHQNNRVCIAEPLPASLPPNLAHRFGLEEVSDAYMMGSFGGQDRHFGKEIGGHLVHDFVG >Dexi5A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:5A:8393467:8400551:-1 gene:Dexi5A01G0011230 transcript:Dexi5A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGAGDDGTLGFPAARAAQATSAAPSVPGGASPSSEARGSSTWRAPAAAAAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAALPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAASSIPVPPNQAVSMLKRPNISDYQSAESEQLTKRLRPGGHVVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSKPFKIRDINSCSPQFQNAVVKDSSISINRVTWSPDGDLIGIAFAKHLIHLHSYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMNGHKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYTGFRKRSAGVGGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRILRAFGNRAFEAFRPQYEASSMKVSGAPVVASISPNIGRMDHLDRNSPAKPSPIMNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNDQNPSGKATAGVVPLHWQPNSGLVMTNDAADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTKLKGHQKRITGLAFSTNLGVLVSSGADAQVTYY >Dexi4A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:4A:14460019:14466507:1 gene:Dexi4A01G0013790 transcript:Dexi4A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPKPSPPTPSAGAVFFLPEMDFQVVLLAGGTSETLSPLVSRDVPKALLPVANRPLLSYPLDLLEASDLKDLIVVVEGQEAARLVGAWVSSAYLDRLRVEVVAVSEDLGSAGALRAISKRLTANDILVISGDLVTDVLPGAVAATHRRNGAVVTALLCSVPVSGPSDTPSSGKDKAKKPNRLNIVGLDKTKQFLLHIVSGTEVEKDVRVHKRKIQAVGQMEIRSDLMDAHLYAFKRTILQDVLELKEAYRSIRLEVLPYLVRSQLRSAPSVGNGRSVDETGNSAVLSSGNLQCLSQHRVIAPSAFKQDVIGEASHLSGCSFSAHNNIIHPSCVLGSKTTIGPHCILAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERAVLKDCQVLFGTL >Dexi6A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:6A:23744984:23746122:1 gene:Dexi6A01G0015840 transcript:Dexi6A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLQYIRKNGHGSWRTLPRLAGTQSAPSRLIQMGFDPMTHRPRTDFFAALPQLIALAALRDQLAAGDPSPAANVQLHAGDFAGVDVAIQAAKLQYLQCLLQSAAATIASTAAAPDAEAAAMVLAGDLCSPEGTRDSAAPVSMAGGQLLSCTFTDQVAAVSSEEGNQELSFGADVDMFACHGGGGGSLPPLTDVSDAGNNAGDGCSATASSSFGVGGGESSPLPWPEFFPDDPFITDFL >Dexi9B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:9B:14773288:14777856:-1 gene:Dexi9B01G0020040 transcript:Dexi9B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHRLPSPRPRRRLPLRALRRLAMSSASATPPSSSTSTSAAADYHCRTKHNLTAGYARGPGRLDWANQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFPAPAPSPPTPSPPPPRHVLRLRHPSLQLHLDLRCRRLPLPHQTQPHRRLRARPGPPRLGQPAQPLPPXXXXXXXXXXXXXXXXXXNPPLSSAPVPYPALFHSPPPPPPPPQPLTVDSLSALLFHSLALSAWKSTGLSTWSLRVNPSSGNLHPTEAHLLFPHPQEPGRLAVAHYAPRDHLLEVRATAPADECAAILPAPATAVLTLSSVFWREAWKYGERALRYCNHDVGHALAAVAIAAAALGWDAKLLDGLSCEDLGRLIGMEKGRPAAMPEELPDKVVKGKAPWVERQHPDCAVLLFPPGSEPEVDYGRMSEMLRRFDGLDWAGKANALSKDHVVWDVIYRTAEEVKKHGPAPEECFSVMPWRKSPAMLEGLYKEFTVQEVVRRRRSAVDMDGVHVMERDTFYQMLLHCLPSGEVSSGERQGQQCALPFRVLPWDAEVHAALFVHRISGLPKGLYFLVRNEEHFGALQRAMRQDFEWVRPEGCPDGLPLYRLMKGDCQRLSMQISCFQEIASHGCFSLGMIARFEPVLHDKGEWMYPRLFWETGILGQVLYLEAHAVGISATGIGCYFDDAVHDVLGLKDLEFQSLYHFTVGAPVLDRRIMSLPAYPGPGIDA >Dexi9B01G0029290.1:cds pep primary_assembly:Fonio_CM05836:9B:31890335:31891182:-1 gene:Dexi9B01G0029290 transcript:Dexi9B01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGKCGESQCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLIACIVGNSELSEIAHVISCMSNLVYWT >Dexi9B01G0027520.1:cds pep primary_assembly:Fonio_CM05836:9B:30005492:30008925:-1 gene:Dexi9B01G0027520 transcript:Dexi9B01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHLPAAAASSPESAAAATDTPPPPVPDPSSLRIHIPSSPHHALPSTPHKRPVVMTSSSSSSSTPTRPSPSPFTPPRRRKVPAPAAPAGAAAARHLLRCLHLRLRILLLISLPTLYFLSPTPAILPRSLLADFLSAAAFSCALLLLLCLSLPRLPFALPLPLPLRRARRSPILWSIGSSPSASATTPTTGHFVQVYSNGDVYEGQFHRGRCTGSGVYYYYMSGRYEGDWVDGKYDGFGVETWARGSRYRGQYRQGLRHGCGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYSFRNGETQAGHWQNGVLDTLSTQNFIPGSPVAVNHSKVLNAVQEARRAAEKAYDTPRVDDKVNRAVAAANKAANAARVAAVKAAQKRIPNNGDDLPLSVV >Dexi6B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:6B:10349503:10351988:1 gene:Dexi6B01G0008290 transcript:Dexi6B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRLLAPAPPPAATSRRLPSNRVAVARLRCCASVSPAGGAALLERDGTAVAVREFVTLDELRAAVRLRVRTFYEYAVDSIGAEFVEDGEERVVVGTLDINQCLWLPDELTGKRPGVNEDSKTRAYLSNVCVAKELQKKGLGYALVDKSKKLAREWGITDLYVHVAINNIAGQKLYKKSGFVYEGEEPAWRARFLARPRRLLLWLDMNKEPL >Dexi3B01G0023610.1:cds pep primary_assembly:Fonio_CM05836:3B:18413330:18415992:1 gene:Dexi3B01G0023610 transcript:Dexi3B01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSWIRLRPPRAALLILLLLALNLTFAIAANFEGFDSDDLPSAADGLDVDDNEEGLDGVDLPPPPPISLSTSAPSPPVTTTSAPNPNPAKPTPPNPTPALDLWDEDEFEGIPVPEAISSEDSAAPAEAAPSDPTAEAAAEAAPAPKKTPAELLRAFSVEIACVSFLICFLLNYFTGKKQNENIALAWATKFATRNSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKFVELVFPRKDTITFEVVMNEDAMDHVVLAVARKKAAKTMQKEERDLQKFANVLTSAPAGRKWVSDELAVVAESKEVAGDMITEAVLDQVLGEKAFEKFGKWFISLHFSDQLAGSYKKVLTFKFILPDASNMSEMTRLVALVPYYIDLVGRYKLSSHARLKTDAARTKAAQEAFRELQGARQEALQRKKAEKKKLMEEADAKLSAEALRRKEEKERARQMKKSGPKVKMLRS >Dexi2A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:2A:28760291:28764228:1 gene:Dexi2A01G0016950 transcript:Dexi2A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYRVDAGAGQDVGKSCVVATIGGRRVMFDCGVHLFCRGRSSYPEFDRILAASGATDFTAAISCVVITHSHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPMMLEDYRKVMVDQQWEEGQYSYEDILRCLKKVIPLDLKQTIQVDKDLVIRAYYAGHHRWCGYFSVYTTEFYFSFCRSTYAKTTRDSRRAREREFLQAVHKCVSGGGKVLIPLFAVGRAQELCMLLDDYWERMNWKIPIYFSAGLTIQSNTYYKMLIGWTSQKIKDNYRAHNPFDFKHVCHFERSFINNPGPCVLFATPGMISNSGGFSFEVFKKWAPSEKNLVTLPGYCAPGSIGHKLMSGKPTRIEVDKDTHIDVRSIS >Dexi7B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:7B:19731068:19732241:1 gene:Dexi7B01G0013140 transcript:Dexi7B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRDPKPPTHPPSVATGGVEHPPAPRRAPPRKHTHSKTKARTMAPPMASLSPVCCVVPFPASRPRRATTSSTRRLPRFAARSSSGGGPEPKPGDNESKAVLDAFFLGKAFAEALTERVESAVGEVFSVVGQWQAEQQKQVQEFQEEVVQRAQKAKERAATEVTDDKGPKTLREPSATIVTPAPTSPPSVTPTQAE >Dexi9A01G0028530.1:cds pep primary_assembly:Fonio_CM05836:9A:33260557:33261449:-1 gene:Dexi9A01G0028530 transcript:Dexi9A01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRVRKVQEEMDLWDNFNSRLLTNFNRATLVIGRLKVIGEDKDYGSLRGVDNIREDLMGKQIEILELLFVSLRETLEKLNGVVKALNKALRDTTQMVRGGSALTAKQMQLQVGLLPTIAECLDGLRILCEMHQAE >Dexi2A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:2A:33731809:33734315:-1 gene:Dexi2A01G0021690 transcript:Dexi2A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGCTEGAAGSGRRETVCVTGAGGYIASWLVKLLLARGYTVHGTVRDLGEKKIAHLKRLENASGNLKLFKADLLDYDAMAAAIVGCQGVFHVATPVPSGKITDPEREMLGPAVHGTTNVLKAASVANVRRVVVVSSMVAVEIDPKDWPKDKIKDEDCWSDKEACRNNEDWYSVAKISSERAALEYGNQSGLDVVTVSPALVFGPLLQPTLNTSCQFLVYFLKGGPDKMRNKLWHIVDVRDTADALLLVYEAPEASGRHISAPHFISARDLLDLLKSMYTEYPFMSKENICDMDHPAPMTSDKLKKLGWKIRPLKETIADTIEFCKHAGFLDDAAGKTCRFPDVYNKI >Dexi2A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:2A:1782510:1783770:-1 gene:Dexi2A01G0002300 transcript:Dexi2A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCSVLVPPPRTSSLLVLVRRLLRLPDQRRKVKVPAAVNACIVNALRSVISNGSLSDGVRSLRRSQVGESFIWACDGEGTSDTILLWHIATCILEVRHPCRHDQEQGSPPLSNISDHKIVATHLSRYCAYLVTWSPKLLPDNVAWSKSLYKAVKKDAEDALVAGHSAARSLTPVVEYQQLVETLSANSKHEVLKNGVKLGEQLVETIEEEETAWKLLADFWSEMILYVAPSDNLEGHKEAIARGGELITLLWAMLFHAGIVSRPGEEDGSAATTTSAEN >Dexi9A01G0041280.1:cds pep primary_assembly:Fonio_CM05836:9A:44940035:44941493:-1 gene:Dexi9A01G0041280 transcript:Dexi9A01G0041280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPPPPFPTVASEPKQQQQRGGTKAAPEKANKKYAHVPTPLHHVPGGASKQKTPRGAKGTDDAAAYVAAVSCSDCRFKQRPALAPASPGAVIRSLFVSLTRRSTPRSSPSPTSSASVAAAAGDAADGEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLAELELKLARLEARVLPPQPTTAAVFPVDAFLRAVSTARAAVRNLARALSTHLRSSSSPAPNLESFLNRAFHADFELDTDADVHTPDPEGRCEANLAAYHAVAVLTWEEVLIHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRAERGARFDARFMEDAAAGRAGRLEPASVKMMVAPGFHVYLAGAGVVKCRVVCFYSSSSSGSNGRTGGHRDGGSSTNGSVGLGSSCSDMNGSATDVADSCKSSRG >Dexi8A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:8A:634405:635449:-1 gene:Dexi8A01G0000940 transcript:Dexi8A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKWKNAQHLGSVVTQAPYFDGYQWRKYGQKWISKSKHSRSYYRCANSKGKSCLATKTVQQKETNGMVRLFNVDYYGRHICKRDEIIHPTIVDTAHHSAPIVKQNQSSSPALVNHVHGILDGNFENFSPACSTGFENSFMVPDMPEFLTDFTDIDMARALDITSMNLSLISEDIWA >Dexi9B01G0039110.1:cds pep primary_assembly:Fonio_CM05836:9B:39937187:39941500:-1 gene:Dexi9B01G0039110 transcript:Dexi9B01G0039110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASQGISLRSPPAGPRGHGGSRSSSVTAAAASRGWGQPHAGQALSISSARYESAARPRRGGSSSSSSSAIRAAASTGAHPGGDRVPAEPRIELPAVFTLFSESAKTGAAFFIASSGAAFLLGSFGGFDGGAGGLFGGGGGGGGGWGAGGGGAGGGGGGGFWSQLFSVGAANADDKSSADWDAHGLPVNMTVPLTKLSGLKRYKLSELKFFDRAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEVKPKPDNTIGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTNEVVCEVVEGDITKVEYQFQDKLGNIVEGNTQLPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWIDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAIIGDRYIFQLDQGLGIGSKNPFFNRHQLTMTKFINLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFALGGPYSVRGFSNGELGASRNILELATELRIPVRNTHVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGLVRGEYIVDHNAGTGTIFFRFGERF >Dexi8B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:8B:122788:125152:-1 gene:Dexi8B01G0000150 transcript:Dexi8B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASDSPFEAFLAATRGAIAHLHLPIIHIPGSTSSPKEHKQKQQEPEPDCFLHLHVVVTNFLHKPLRSFSRCLAKPKRRGSKHSRPLRDREPSAAAAPQQQLELLLCIAFDALAHSLHVLECACKQKGAEFGIATRQFHQFEFLRKVTDGKRADFDGFLSNLGFAKVGALPPPAAIMGARPDPAQVSDQEEDGGGIPGDGVDNANGTTQPSQKLPGRLLNIPLSNVERLRSTLSSVSLTELIELVPQLRSRSSTSADGHPDKKKLFSESGSFKSWTEMVMAKSL >Dexi3B01G0035930.1:cds pep primary_assembly:Fonio_CM05836:3B:38895722:38898653:1 gene:Dexi3B01G0035930 transcript:Dexi3B01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLTRALLPALNPTPSGHTTRGGGGGGAATAAVSFRDGHGRLPTVRASVSTTSPSPPPQPAAAAPAAAAPKHCFSRGADGYLYCEGVRVEDAMAAAARTPFYLYSKPQVLRNFAAYREALGGLRSVVGYAVKANNNLPVLRLLRELGCGAVLVSGNELRLALHAGFDPTRCIFNGNGKTLEDLKLAAESGVFVNVDSEFDLENIVRAARATGKRVPVLLRINPDVDPQVDIFRDAAVLMVNFVDEIRAQGFELEYLNIGGGLGIDYHHTDAILPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNKVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPGAEVATFDIVGPVCESADFLGKDRELPTPDEGTGLVVHDAGAYCMSMASTYNLKLRPPEYWVEEDGSIVKIRHEEKLDDYMKFFDGIHA >Dexi2B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:2B:961683:969815:-1 gene:Dexi2B01G0001470 transcript:Dexi2B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKMIGAGAVPELEDPVEARPAADTWPLPATEKESRRRRRALLVAGVVSLLLVAFFVLVRESASTLWEITSGKLTGMNDVATHHHDDGELAGNVTNRNDVDELLGGLLTAGMDRRSCRSRYESSWYYKHFPYKPSTHLLGKLRAYEARHRRCAPGTPLYAMAVDHLKSGGGADEAAMEECRYIVWLPFDGLGNRMLSMASGFLYALLTDRVFLVALPPDSDELFCEPFPDTTWRLPLEDFPIANLFNLGPNPDVSLTALLNSKKIVVDVDGDNATAATPLPAYVYLSLGYQMTDGPFFCDENQAVLGKVSWLLLYSDLYFAPSLYPIAEFQDELRRLFPAKESAGHLLLRYLLHPTNPVWDMVTTYYNTYLAYAHKRIGLQIRMFNFASIPADDLYNQILSCSQQEHILPETGDEVAAGNSTAIFIASLYNDYYDRLRVRYHDDKAKVDVFQRTHEQWQATENLAHNQRALAEIFLLSFSDELVTSGMSTFGYVSYSLAGVRPAILLPAHGHKVPAMPCRRAVSMEPCNLTPPRGVTCRGKGVDGEDLARHLKSDKERQASAATICLAELMIDGHGQGDEWRRGAAPNLEEEPPASTSTERLPPVREMQSRRRPVLLVAGLMVLPLLAFFVLGRKSAWDIAAAKFIAMNNGGFMNAAHGHDELLGGLLSPDMDNLSCRSRYQSWRYFKHFPYAPSPHLLRSLRAYEDRHRRCAPGTPLYAEAIDHLKSGGRSAAADEAAMEEECRYVVWLPFDGLGNRMLSMASGFLYALLTGRVFLVALPPDSGDLFCEPFPNTTWLLPLKDFPVDNLFRLGLNPNVSLTSLLANKKIVVDVDTDDQAAVAATPLPAYVYLSLGWEMTDVAFFCGEHQAALEKVSWLLLYSDLYFVPSLYPIAKFQDELRLLFPAAMESISHLLLRYLFHPSNTVWGMVTRYYHSYLAHADRRIGIQIRMFNFGAIPVDDMYNQILACSRQEHILLETDGGSDAVDDTATATISGGGSDSNNNGSSTTTAILVVSLYADYYERLRSRYYEHAAAKRGGDTWVGVFQPTHEERQATESLAHNQKALAEVYLLSFSDELITTGRSTFGYVSSSLAGVRPTILLTALGHKVPETPCQRAVSMDPCNHKPPRGVVCWRGMAVDRDDLARHVRVCEDYDIGVKLFD >Dexi1A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:1A:9103430:9104024:1 gene:Dexi1A01G0010590 transcript:Dexi1A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWYRAAAAGFLVNSFYEMENTNADEINNLAAEQDDDALPPAYTVGPLVRRSSGSDENGGAAAATCLEWLDHQPAGSVVYVSFGSGGSLSVEQMAELAAGLEISGHRFLWVVRAPSLKGPYSMAMKSHDDGQDKQQDPLVWLPNGFIERTSSRGLVVAVWAPQVCMLSRPATAASVSHCGWNLAQESMAAGG >Dexi9B01G0036450.1:cds pep primary_assembly:Fonio_CM05836:9B:37978887:37982066:1 gene:Dexi9B01G0036450 transcript:Dexi9B01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCEPRGERWMEWAARICTGTGLYTSTGMQGHAWACSRPNVRVSIDQLSGRQLASAWVCWWRPPLLCGPELSVSVAVGTPLQSDSVAVWSVVAADSRSSRDRRSGVNRRSGVSDADMHDAMGFGRPPGRLGFIFRTGTSNRRPATAPNRYRSGAVWSGGPICEGRHTGSSSDGTLEHLSLELGVSVRLAEGGMLGPGPDPSRTQFSDRFSLAFPPAAKSTSYARRTTTTSSYGRTTLSAARRRAAGGASQPASKSARAPDRYRAESCGAPGSRNVHACADAVQTDGHHGLPASVHYWWSRRASRARTRLHTARATPIQKPTGSIAGQPRQRLARRSTGHMRMLPLPPRGGCCCKPAGHARPPPGGYATCMREVGRARQAGGAREQACAHRPS >Dexi5A01G0027450.1:cds pep primary_assembly:Fonio_CM05836:5A:30940818:30942182:-1 gene:Dexi5A01G0027450 transcript:Dexi5A01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSQPPPQRASPRAPLHLKTTACSDANGAHHRPVVDRSKVADRHSPRSPLHEARFHLFPPKKRAAGTRVAELETKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKRVGTKGSPASTATSPLSTNSAAVSSAKKTEELKAPPPASEEEEESSINSPATDVFEVVRAESGDKENQSAAAAVDVCEAVSCGEKAALAEKEEVEEEETKKMIEEESKNEAVGTDGAEKESPEVTELKAKLAEKDTEIAALAAENAELKKNAEEAAEAAKKAEEDTAAKASQAEHDLKEGAAREARLGEQLRASEAAREALDGEMRRLRVQTEQWRKAAEAAAAVLGGDNHLTGLAGNGNGWGSPATMPDDVDDEGFGGKRKGAGIRMLGDLWKKKGNSK >Dexi9A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:9A:11947974:11954348:-1 gene:Dexi9A01G0016840 transcript:Dexi9A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEPEGAAVSAAGDGGGGEVKRGSKGRGRGKGKKRRRGDRAVAAPAMDGVAVGDRVLRERRHPPNVFVERDTDDDEEALNNQVKPKRPKTSGPPKKKGPRKAKAVQMDIEAQFPNGKSHGDMNGAKSIYLQYDAETVSDKDSKNGKEQMEEKKLEAKIKGVLVNELKLEEAECDQNERAYCNNCKTSIVDFHRSCKCCFYDLCLACCGEIRKVKLPEGVLKPDLGPKTYIAYGFNQELGRGDSVTKLHCDMSDAVNILMHTAEVPYETYTRTLKNIEKIRGKMKEQDLEELYGVSEFSTERDLSPTSTDSRNITVDKTSTISCNDGLDINAVPPIDTPSDVKDKPQSHESKESGKHERTGGALWDIFRREDSEKLQDYIKKHSSEFRHIHCNPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCAHQVRNLKSCIKVAMDFVSPENVNECIKLTEEFRRLPSEHRAKEDKLEIKKIALHALKEAINFLDVSSDGLKSGGGQPSNEGETEDEKKPKRKSGRRRCEVKSEDSKSHDEAVDKKPKRKGRGRRCELKNEDTKSHDEAVDKKPKRKGRGRRSELKSEDVKSNDDAADEMPPRRRSSRLKGSGNGK >Dexi9A01G0034160.1:cds pep primary_assembly:Fonio_CM05836:9A:38939536:38940231:1 gene:Dexi9A01G0034160 transcript:Dexi9A01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKTIARSEEDSSNREKYIGWSEEATKYMLEWHIDIRKDKAATFKFKKQHHLPCADALNAKFADGVTQNQVDRHYRSWKSGVGCGGLCQTVKTTVNYLTRPIRFYHLLEELFIDQSQADGSFAADQTTVNIPDGSYDNDGIKEIEGYNFTVGTDEDADSDNIARHSPKTVGLSEV >Dexi3A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:3A:8809412:8811011:1 gene:Dexi3A01G0012210 transcript:Dexi3A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKYSDQTTGGPAPEQPPAEMGSAWGAEQSDGSSREQGGRATHLGGEVLEEAVLADAVLEAELLPELHPDLVPALPHLDRDDLARHFPLLLRRQRRVREGRRRREGGSPAGSGGGGGRLGFWRAPTASDIGGRGNGGGGGRLGFWRAPTASDIGGRGNQLASPRPADRKALSSSPRSSPPPVFLTQAVAFLRSPSPSPAARRSPSPRVAQSFSDLCSPSPLLRGCAVMEYARMSRG >Dexi2B01G0028480.1:cds pep primary_assembly:Fonio_CM05836:2B:37096144:37096959:1 gene:Dexi2B01G0028480 transcript:Dexi2B01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGIGRPRPRGVTDCISGLPDDLLHDILLRLRCTKAAARTGVLSRRWRSVWTRLPDFYLWSRQPVLSTSRVAIVEGALAACSAPTLRRLAIRVDNVNLPWEGVTAAHVAPWLRFASDRVAGELFLWLPDEALAGGRKEQDLDLPVFPAMRTIQIRLRRSFRLRPPPVAGGAFAALTCLGIYNGEMDGRELGSLVSSSQCPCLEELSLTVTLAAASEVAICSDSLKRLRFHVEKTRRLVVASPMLLEMHVSKAFEAGFWDSKSKTFRCPPK >Dexi5A01G0025710.1:cds pep primary_assembly:Fonio_CM05836:5A:29521537:29523044:1 gene:Dexi5A01G0025710 transcript:Dexi5A01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSASLEITDGAAGADTSLTGVFCGNLSSDVSEGSTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYTPQDRWQTLKIPLDHYLPTWRGNVIEAKLEMNPARIVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRTV >Dexi9B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:9B:4655237:4658746:1 gene:Dexi9B01G0007610 transcript:Dexi9B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFTPDDPEYPADEKPSGRHNAHGPGTTSRSSPAKAGFLREKGANSTGREQSSSRSEGIAADPGWGLGKELDLMGQGADCVRIPFFRRLGYCRP >Dexi3A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:3A:9779978:9787019:1 gene:Dexi3A01G0013460 transcript:Dexi3A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNNIYLGGRGGGGLIHEVFSMVLRGLSGAKVTRPSTLRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVDGKHLKILNLGDGQGRTGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVAEKDDSGSPTDDSDVDRSDASLSGGEKENIKNSNPELATTEIAKKLGERWQKMTAEERQPFIEQSQVDKQRYAEESAAYRGANTQQASGDGSE >Dexi3A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:3A:3412486:3415107:1 gene:Dexi3A01G0005300 transcript:Dexi3A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANGGRLPKQALLPPRSPFPVAPAPAPHAELGPIARPREPHHRHGHQRTSSESFLADEQPSWLEDLLDEPETPARAHGRPGHRRSSSDSFALFEGGGGASAGVYDNVLDGMRGGGGQVASWAGAPEFFPEPSSFGRPQGRPWESKQMYRQGGGMPMPGREKNGVRHGPSSSFGDHEHGHVLNGVERKVHGDSAHDQRIGAERKEGLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVTAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRYQQEMFEREIGRLRQLFQQQQQHVPQQQPPTHSRSNSRDLDSQFANLSLKHSDPNSARDAVSGLRI >Dexi5A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:5A:5365341:5365814:-1 gene:Dexi5A01G0007220 transcript:Dexi5A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGTFKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVIVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >Dexi2B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:2B:84140:86475:-1 gene:Dexi2B01G0000190 transcript:Dexi2B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKDEEREAQERLLEEEARARKEKEEEAAALEFEKWKGAFSVDAEGTTESETQDDGQGLLHNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRILTLESMDRLSGVMDDRGKFIYISTEEMKAVAEYIRKQGRVSISHLANNSNQFIDLEPKAQYEEESHQDGNAAADTEL >Dexi4B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:4B:19009257:19013998:-1 gene:Dexi4B01G0016860 transcript:Dexi4B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCDTLLANPSTLLVVSAIGIIVFALWGFLPLMRDIRNCFDHGGNWKKSPTYLISSSYLQPLLLWTGATLICSLIQQVQKFLVDMRNSNDTRNLLGFNTQKWITAGGFGTVLLTLAGREILTNFLSSVMINATRPFVVNEWITANIGGVEISGIVEHVGWWSPTIIRGEDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKSQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEGMYSRSHVRPLLIDTSARISDDKAKPRPVSSREEQKVKTNGPLEIKSAPPENASLSNSEKKEQKKPVHEDARVRNRQSDNVTPVAPSSDVVTSTSKAGKVKAQEPEAVERHGDGSVSVANEKKLRI >DexiUA01G0019010.1:cds pep primary_assembly:Fonio_CM05836:UA:39772691:39775526:-1 gene:DexiUA01G0019010 transcript:DexiUA01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERGSVYPTRSSYVRDDRAMSVTIELSSSFRHDLRPHDRTLEAPPSPSSSTYPRATFRHPRGSAAKLEDLPSSDVPSRSRLRSQARGGTYPRATFRHARGSAAKLEGALTLERRSVTLEAPQPSSRGALTLEWRFRPFEARQARACGSSSKRRSAWSKCLVQARKLCPTPQTPTFNFTRFKVQFEFLEKIRIWKSELHGHATVPLARAALAGARPPRGRRAAQAALSWPSRGGRRRFHHFAAVASPRLAPRSAIIRSRAAVRTAGGRVHSPRAPSMATATATHALARSRGRTVPPLRAQSLHRALTPLLSLAHLAISTSPRSLGLLLPRAARTEPSFSEKFTLHTPPFPSSSRTKLALYSSSIFPHFPQAIVPSPARIRSFPPTSPCLVRRSLPGATPARSQGPFFIVYLCLGASVQKCRTEGAIPDGDYTLIPADEERAPEPGAGADVNNPEANSQSEQEGKPRSMT >Dexi9A01G0043280.1:cds pep primary_assembly:Fonio_CM05836:9A:46782904:46786168:1 gene:Dexi9A01G0043280 transcript:Dexi9A01G0043280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLARAVSLLAAAVLLLAGAAQGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELDLHNNKLTGPIPPQIGRLKHLRIFIVVLHLSKFACDLRNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFSSLRNLYINNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVHIPRLIYLYLDNNNFIGRIPEGLYKHPFLKELYIEGNHFRPGSRSKGTHKVLELPDADILV >Dexi3A01G0034750.1:cds pep primary_assembly:Fonio_CM05836:3A:40034217:40034691:-1 gene:Dexi3A01G0034750 transcript:Dexi3A01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWSSSSEEAMTVFILAMALGLICTLYIADTSWMEQKPWRAFAMVSWAPYLMLAFMIVDYMDLCLPLAPIAARCGLIFILGVGGSAFVLASVFVTGFGHTSAIIVLACTLTVALAGLVALWIWVDRMYRTVDS >Dexi9A01G0028490.1:cds pep primary_assembly:Fonio_CM05836:9A:33146631:33147174:-1 gene:Dexi9A01G0028490 transcript:Dexi9A01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding STAFVKDDAAVLPLHVVLVFPVLLIAAAVLLLFLRKSNASAAHPVFLVFPVLLAASAAPLLGFLLRCVSNASGVVHHRVHLVFAVLLVIAVLLVLLLLLIGRGSSGQPCWWKAWEQGIALRVSSARKSFRQMAHSSPKQWRPVTSCRPRMASLEAATRARLLLR >Dexi1A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:1A:25951361:25955109:-1 gene:Dexi1A01G0018760 transcript:Dexi1A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVLLVACFIVVMALLAAVVFRHLLPLFRNPGAPSGSFGLPLVGETLGFLRPHASNTTGAFLHDHITRYGTVFKSHLFGSPTVVSCDEELNHFVLQNEERLFQCSYPGPIRGILGGSSLLVVTGERHRRIRAMALAFVASAGLKPAYLADVDRAARAVVASLRRRRAVAFCAQARQFAFGVIVEQVLGLSPDEPVTGRILEDYSTFMKGLARRKISVTLEGIMDERWKGDGSIKKSAFLDVLLENKDLSHDDKVTFVLDSLLAGYETTSVLLSMLVYFVGQSLKCLDQLKLEHESIRSSKGTEEFLTADDYKKMEYTQRVINETLRCGNIVKFVHRRALKDVRYKGYVIPAGWKVLPILGSVHLDPALYVNPHEFDPSRWEGLNQMAGKKFAPFGGGLRLCPGSELGKVEVAFFLHHLVLNYRWRLDGVDTPMAHPYVEFRRGLPIEISCHQPDPTPWFVGQ >Dexi5A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:5A:8612134:8612535:-1 gene:Dexi5A01G0011490 transcript:Dexi5A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRAAALLLAVVAVSMAALSAPASAGGAVSAGDLLVVRRGGGRACRGGTVGECMEYFGVDAEGEGDVAGMATGGSKRRVLQGGSGYIGYDALRRDNVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >Dexi2B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:2B:4199731:4199964:-1 gene:Dexi2B01G0004600 transcript:Dexi2B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSVRPPLPRHPSLRRLQGSSPAASSSSAPLPLPPPPPPPFPASSATRSGHHRYHGTPRSRLPPRRGGTPPPSN >Dexi3B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:3B:8648066:8648314:1 gene:Dexi3B01G0012340 transcript:Dexi3B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLPTRDQLGLDVELADASYRTFYVLHDPSATSHQHTIDNTSLQLAAIAAVPSLQQARTYGCLPDGHRRLCCAAVERISL >Dexi6A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:6A:18049949:18052607:-1 gene:Dexi6A01G0011910 transcript:Dexi6A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPEAAAGTAVELPRDSSEYKLRKQLLLLATLVLSVTYVAGLEPPGGVWKDDGAGGGAMAGGSILRSTKKARYLFFYYCNSTSLVASLVVMSLLLLKNPNRVQLAALRLVMVLDLLALMGAYLAGSCQRRPATVYAASLVLALSAYVGLHILQALAHNTQPPPQRVTVTEQHEEEDDGEEEEEQEDASVVLRAKERRKVVLLLATFAVAVTYVAGLNPPGGFWDSSAAAQGYRPGDPLVEAHHKGHYRMFFYCNTTAFVASLYIIVVLLVKKLSARTARSIALYVFVLAALLGLVAAYTAGSCRDADCSVYVVSLFGAVLAFVCLVMGVVTVALKCFRANHRYCHATKKVKSLVLLLANLAATITYQAGLDPPGGFWPDGRDGHSAGDAILLSELPARHKAFFYCNSAAFVASVVAIVMVQSVKLVRSQTLLAVMVLDMFALIGAYAAGSSRDLRTTLTVVALAVVVLLYVVLQVLYFAMRAAKQGTSTLPEKKHKNLLLLAILVATITYQVGLIPPGGFWVTNDRRLGRRAGYPVLLDIYPRRYEAFFYCNTASFMASMALILLLVNPNLHRLAIRCYPLYACQVAGLIGLMGAYAAGSARSMRASIFVLVGAAIAFVVLNMTVFNLFSKSDDDDVREEHGPRNEDEREYRDEVYAKRKYLMLLGILAASVTYQAGLAPPGGVWQDNGGGGGRRHEAGSSVLHDIDVGRYHMFFYSNSTSFIASVAVIALLLQQMLRRRRRGSAAEESTDLLLVATNTAVVLDLLGLLAAYAAGSTREWESVVALTVVVVLFKVIHAAVWLYRERRRCAGGCGNSNYSYK >Dexi3A01G0028360.1:cds pep primary_assembly:Fonio_CM05836:3A:28996081:28997367:-1 gene:Dexi3A01G0028360 transcript:Dexi3A01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVEMPEWLRNLPVAPEYRPTAAEFVDPIAYILKIEAEASRYGICKIVPPFAAPPKEATVERLKASFAANAAASGGVDGAAPAPTFPTRLQQVGFSVKNRRPASRRVWESGERYTLEAFRAKARDIELPRHAVPPRNATELQLEALFWRACAARPFNVEYGNDMPGSGFAAPEEMHLDLDGGGGNAALGARDVGETEWNMRLAPRARGSLLRAMGRDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKPKTWYGVPRDAMLAFEDAVRVHGYADDLNAIR >Dexi9B01G0027140.1:cds pep primary_assembly:Fonio_CM05836:9B:29576096:29580342:1 gene:Dexi9B01G0027140 transcript:Dexi9B01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVQSGGQSPKQLNGPSASQQLKTAPDGTQNCGLSKGKKRERGEQGIDLVKRDRDRLLVDDSESGSKLDDMKSEIAKIEKGGLPNAEAVEKLVRLMQRDQTEQRMDFAGRIMLADVIAATENPDCLGRFVESRGLSVLDSWLQEAHKGKSGDGSSSKETDKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKSLEIQKKAKFLVENWKKRVDAEMKSNDTKPLVSGQSASWPGKAGFQEISNAGNKRGGSSEHSPKNQASTVSSPKVLTDKPGSADAVVKLNHVVSVSTKVQHMQTGNVATNSKDQPSKSTGGSELPTVKEEKSSSSSQSPNDSQSCSSEPSKDARSSTAASGGASKTSGSSSRGHRRANNGIASENLKGASVARSASLDRPDKSSQTGTASEKRVDIQSEHGNNHRLIVRFPNPGRSPARSASGGSFEDPSVTGGTASSPMVADRHDQIDRRVRMKTESSRPHLASDANTESWHSNDIKGSAGSDEGCAMLDDDNRRTPDDSGEDRDACAAHGKIKGGCTDKDGAVESGVVGSNRNSSLILAMESSLPSAGKQAQGLLKPTNHKQPVGVLDKPGGFDGCDSTVGTLDLMAADEEVKKTDAVGDSSTMQKEDEKNEPSSSLADVPKLDVAAASPLGGASMIKKMDGSKDSSSESSGHVKSEGINFQKNERSSKQCSKKSDDGVSGKEDGKELVSSGEVSSPAPHAKSSATARLDFDLNEGIPGDDGHQSDPTISPVICSSAIHVAGILPFSSPVTNGLQPAPITVAAPVKGPFVPPENLLRAKPETGWKGSAATSAFRPAEPRMVLEVSLTTHDILGSDVAEKQSRPTLGFDLNVADDQALEDDVPQSSAQTTCSESGNNRSRDGSSRSGGIELDLNRADEVAENGQFAPNTSHRVEVPRLPVRPLPGVFSNTGMSISRDFDLNNGPGLDEAGTEPAPKNPPAKSTSSIQFLPQVPGVRMNNATMSNMSPWFASANPCGPVTIQSFLPARGEQPYPIELTPGTQRIVPPTADGGQFRGDPSRAPVISTAPTVVFHPPAYPYAGFPFPPSVHLQTPGFSIGSTAFGNSVPAGMPYFPSISPSLVGPTGALPAQHSRQYAINLPEGSSSSGRDSNHKWDSQVLDLNSGPGSIDIEGKDERLPLPSRQNLISAPNAFTDEQGRIYQIPGVGTKRKEPDGSWDTERSTYKQLPWQ >Dexi2B01G0025560.1:cds pep primary_assembly:Fonio_CM05836:2B:34764174:34764869:1 gene:Dexi2B01G0025560 transcript:Dexi2B01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLPRRSSSGMQSIRRELQRRRPKPLAPKSSTTKKTSAPLRLSPPLEGAHQEHSSSSAASKSPRPRPPHPSSRAAAVHRSSGSACPSTNGSDERLRPGTAVGTTKLKTGKVLVLWLRATIVSPTHHGYEVIYDGSWPPSDPYGTVHVPRRHVRMIKPSSSPTTSPPQQTPPSRAPSSSASDDATATAKKKEMGPAPRPTTAGKSVHLVRSLFPELERQARAALPYY >Dexi2B01G0021620.1:cds pep primary_assembly:Fonio_CM05836:2B:31359285:31360144:-1 gene:Dexi2B01G0021620 transcript:Dexi2B01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYVKADLSRLDQKLRDYSYDTAVTINGSFLPNSLFGLTNDFMKSAPWALKKLLKHLRVKYKNPAGYFVWSFLDVFEYVFGYRVRFGVYGVDFNSRARTRYQRHSAKWYSSFLQGQELRPVALPNQAYSQ >Dexi2A01G0022650.1:cds pep primary_assembly:Fonio_CM05836:2A:34488414:34489682:1 gene:Dexi2A01G0022650 transcript:Dexi2A01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTTMMTLAWASSSPWSVKLSFTITGGGNNLQTTSTTMPLATKRSPSPSSAHLITTNGRARRSAVAATTATAGEQQLHQQATSAELLMRRLDEDGWVEEHMLSLLTPVADAWQPADLLPNFAATADEHRHQVAELQARAAGVPDDILVCLVGNTVTEEALPTYMTMGNRVGSAANDATGHDGHGWARWLRGWTAEENRHGDLLSRYLYLSGRVDMSRVERTVHHLLRGGMRMLEPQCPYHAFVYGAFQERATFVSHARTAGRAARHGDACLARLCGVVAADERRHEAAYTRAVARCLEDDPDAVVRALAAVMRAKVTMPGELMADGRDEDLFGHFAAVAERAGVYTAADYGDMVEHFVRRWRVAELDQGLSGEGRRAQDYVCGLPRKIRRMEELAHDRAARMEARSVSFSWVFDRPVRVH >Dexi5A01G0026780.1:cds pep primary_assembly:Fonio_CM05836:5A:30344657:30345219:1 gene:Dexi5A01G0026780 transcript:Dexi5A01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHFSDLCSITETKEALKRRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVTVNPKQSKCTVTGYVEPAKVLERVKATGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVKYMNMFSDENVNSCTVM >Dexi5B01G0031270.1:cds pep primary_assembly:Fonio_CM05836:5B:32092093:32092872:-1 gene:Dexi5B01G0031270 transcript:Dexi5B01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMAPMAGAFFLLLYPSDFFLYLSTAVIGTCTGAITSVAVSATSELFGTKNFGVNHNVVVSNIPVGSLCFGYFAAYLYQRGARGSHRCIGAGCYQETFVVWGATCAVGTLLCAVLFARSRSFAGRLTPPATGGGAK >Dexi9B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:9B:11384222:11386111:1 gene:Dexi9B01G0016520 transcript:Dexi9B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQDAGGSGGDAGSSKDKMMAAAGAGEDDDDVDELLAALGYKVRSSEMADVAQKLEQLEMAMGIGGGVAVAGAAADDAFVSHLSTDTVQYNPSDLSSWVESMLSELNAPPPPLPPAPAPPAPRLASTSSTVTGSGYFDLPPAVDSSSSTYALKPIPSPTVAASADPSTDSARDPKRMRTGGGSTSSSSSSSSSLDGGRTRSSVVEAPPPATQAPAAANGPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFTAAEALVKQIPMLASSQGGAMRKVAAYFGEALARRVYRFRPAPDSSLLDSAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPDGEDKEDEPEVIAVNSVFELHRLLAQPGALEKVLGTVRAVRPKIITVVEQEAKHNSGSFLDRFTESLHYYSTMFDSLEGAGGGSGSGGQSADASPAAAAASGGTDQVMSEVYLGRQICNVVACEGAERTERHECLEQWRSRMGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVDEKDGCLTLGWHSRPLIATSAWRLTAP >Dexi2A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:2A:12685888:12687195:1 gene:Dexi2A01G0011080 transcript:Dexi2A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRQHAAAAAFLLVVLFIASTAAAGGGREGKHGQTSGVYMVMVKPPAQGVDCDAYHLHILAAVLGSEERAKKAMVYSYTTVVSGFAAKLTPAQLAALQKHPEVLQALPDVKYTLQQGDSNHLN >Dexi5A01G0029120.1:cds pep primary_assembly:Fonio_CM05836:5A:32296375:32296839:-1 gene:Dexi5A01G0029120 transcript:Dexi5A01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRVAALLIASLLVAVAVADARLTKPRHARVTLHYDAHGRAYFVSDAAKVPALTCSKAHAVKVGETCFSIAEAAGLTQDQFLGFNPNINCEKVFIGQWVCIAATSA >Dexi2A01G0037370.1:cds pep primary_assembly:Fonio_CM05836:2A:46962278:46966170:-1 gene:Dexi2A01G0037370 transcript:Dexi2A01G0037370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAGDRKPGDGADKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKISNRIYLGVVDHLKLPLQAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLYM >Dexi9B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:9B:2724203:2728183:-1 gene:Dexi9B01G0004760 transcript:Dexi9B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHHPRLPSHPLREATHHHHAISDGTRLVALAGLARKRTPFGVTCRAARVKEAAPTTRAAPLPPASLAKEAHKYFDHALVTVRAGDGGHGAVLAMPPAPSADAAKPRGRFNRGEKKGKKVSYKRNYDGSVALPMGGHGGDVVVYADEGEETLLRFHEKARYCAKRGGNVGAAGATLSSRMHSGFAGETLRIPVPVGTVVKRKKGTVLADLAHPGDEVLVARGGQGGISLIDAPEYRRRKAMALSPNIMRDSTDKVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGRGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAHDRLPSLALEISSIGCEEAHGENASNDNLNGNISKHQIELETKVEGSEKELGDYPRPQAVVAASVLRHIGIDEMLKEIRAALRKCFDHKLPEP >Dexi7A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:7A:16872803:16873703:-1 gene:Dexi7A01G0005610 transcript:Dexi7A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGREDFIPKPEGTRRLVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGSTLGTDSGILRAQISKDVETLVDKY >Dexi3B01G0031290.1:cds pep primary_assembly:Fonio_CM05836:3B:32405144:32407607:1 gene:Dexi3B01G0031290 transcript:Dexi3B01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGEPPSSLCPLCGHPSSYASPPSAAAAAASASAASSPARPPLRRPAGAPPEAPPAVVRVEIGDEAAALREALSRQQSALGDLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMAHDAAELASLEDALASAKQAASAAAAATLPSRTPRHPHHPFASAPSGASTPRGRYPSSATPRHHPPSSPSPAASSGGGGGGGYYPPLRCFIDHPQTTSEADALETPHDQLTRLAHRVHLLERGGGATPMAATTTPIIRVAPGSAFPRHHARAYSDDGSLNFYDGSECFPDDDDDDDGDICSAASDRVYTVDAIHGGGAPPPYAVPEGSYGGRTPVGSDCCYGGGGAPWAPEDEEVRKLSARMQALEADRETMRQAIISMGAEKAQVMLLKEIAQKLCKEAAVPTATAVAAQHSFYKGGNTEPAMTVTVRPPRHPPVLMQRKVVKSQTSLFAAVVKWVTSIMWWRKQSSRVKYPIGQCGNNVGLLLLLDKAPRAGYGHQRPPKKI >Dexi6B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:6B:5271597:5272485:1 gene:Dexi6B01G0005750 transcript:Dexi6B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYFLLAVFLALVTSQAIASDPSPLQDFCVADKDFPVKVNGFVCKDPVHVTVDDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFTKVLHKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGVITLANAVFGSKPPISDDILAKAIQVEKGTIDWLQAQFWENNHY >Dexi2B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:2B:4995004:4995202:-1 gene:Dexi2B01G0005380 transcript:Dexi2B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPGNAGMNDLKYGAGQLNPVKARDPGLVYEASEGDYITMLATTPHSYHGMCHQWLDGHQL >Dexi4A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:4A:8175919:8176506:1 gene:Dexi4A01G0010250 transcript:Dexi4A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAEIKKQPATATPPRPPAAAAEPAAAKKKPTTLLDAYEVECIRRELERLALALNHNHHQIAAAAPKNARGHRHDNHHLRRRQRISSSTAKPTSTKRVSPSQPSPPPSNPPGGSKKKKGHRGVTPLLGRHAVAMCSGTTVPVAGAVTGGGGRGRRAAVAMCSGGAHVACATVGVGVGGGGRRPRGGLREVEKV >Dexi2A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:2A:30212818:30213132:-1 gene:Dexi2A01G0018180 transcript:Dexi2A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSGGGGGGGPGGGMGPGMGGPVGGGGDGRHDDEAALTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRL >Dexi9A01G0022850.1:cds pep primary_assembly:Fonio_CM05836:9A:17982640:17985152:1 gene:Dexi9A01G0022850 transcript:Dexi9A01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAADTHKAGEPLLLTVSESSSSLKRKDGSAEPSVSGAVFNVSTSIVGAGIMSIPAAMRVLGVIPCVLIAAVAALANSSVEFLLRYTRCSGGSYAGVMGDAFGRAGAVVLNVCVAFTTMGTLIVYLIIIGDVMSGSAVGKDAHVGVLQELFGERWWTTRVAVLLVTAVVILLPLVLRRRVDSLRYTSAVSILLAVVFIIISLGIAVYTIFTGTVNMPRMFPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELKNSSDMKAAVRISLVLCSAIYVLVGFFGFLLFGEATMADVLVNFDRSSGAGVPQALNDLARLSYALHLVLVFPLLNFSLRINVDELLFQGKRSPLASDTPRFMFLTAALMVLLYALAIVIPSIWTLIQYGGSVFPVSLSLIFPGAIVLR >Dexi9B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:9B:9747811:9748143:1 gene:Dexi9B01G0014600 transcript:Dexi9B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARLAYDPAVSPHFQVFLLPYFLGLRGYGSGHELVDLAIEQTEWPPATYDLYVFSSVTGQWEQRSFVREGEAAGVTVAYLRKSWHHHLNAVYRRGQLYVHCQTDFVMR >Dexi2A01G0024820.1:cds pep primary_assembly:Fonio_CM05836:2A:36558409:36565122:-1 gene:Dexi2A01G0024820 transcript:Dexi2A01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPSPTRVFVYGTLKRGFPNHGLLAACGSPFVGAASTASPASLVIGPYSVPFLLPTPPSSPSAGRVVSGELYAPSPAALVELDALEASAPPRLLIRFAFPFRTTLPPRRPITVVADGSGELVEAEAYFAHPSYAEALWRRCGGEAAEIEEYTADHAARRRRDKGEEGRKGIAMAASPQQQGQGAQGGSGGGGWSPEQFWSLLDKADRRFARVRDLPLLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFLDSDHQLAPAKHLRFLARFLLVALLLGRRAHTVPRLAGDIRALLDDSKKSFQEAEYKEWKHVVQEIFRFLRADSPFMNMRPLRYSYAFDPAPDKLPTVPPTVKKRGLILSDAILCSYYPNEVKFTDLSIDVFRMLQCLEWEPCGSFALNNGYSAQDEGGQNQPNLLKDLRDAALPPNPLKMILNRPSVTHFLTVLATKCEELPSNGIMLIYLSAAGEMGASGFSLDTGEKVRSNFSKFDISSTSHTSSKEDKEPCLWLGCRETEGSNCIYPGDLIPFTRRTLFLVIDSSISYAFKAAPLQAFCLLIGNNGTDINRDSYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPILRRLLLRFIFCRATHSLFKPTSGKAEFLPTCMPPLPDSVDAQSMLSQSCVMRLASHFGAASQFAFAEITTWPDGDAEEAGVSVVGSSASGHK >Dexi7B01G0022610.1:cds pep primary_assembly:Fonio_CM05836:7B:27484867:27485281:-1 gene:Dexi7B01G0022610 transcript:Dexi7B01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIFSSFNSKLWELFLLCTTNLCCIFCSIDPRRDLPTVVKPKDPETAESVASSRDKALVRGAARSVVSVFSTALDGKVIERCTGIFVGWKETKKCARVSTGYDIVRGFHPKK >Dexi1B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:1B:4123663:4124811:-1 gene:Dexi1B01G0005060 transcript:Dexi1B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTPAAAAAATGGVAPAGAEQAAFRLVGNRNFVRVNPRSDRFGALAFHHVELWCADAASAAGRFSFGLGAPLAARSDLSTGNSAHASLLLRSGSLAFLFTAPYAAADAAAATASLPSFSAPAARRFAADHGLAVRAVALRVADAEDAFRASVDAGARPAFEPVELGLGFRLAEVELYGDVVLRYVSYPDAEDAPFLPGFENVSNPGALDYGLRRFDHIVGNVPELAPVAAYVAGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAENVLLPLNEPTYLDHHGGPGVQHMALASNDVLRTLREMQARSAMGGFEFLEPPPPNYYDGVRRRAGDVLTEEQIKECQELGVLVDRDDQGVLLQIFTKPVGDR >Dexi8B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:8B:15272549:15273893:-1 gene:Dexi8B01G0009040 transcript:Dexi8B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHRAFTGPPFFFFPLHDAAAQGVVPRRQAIAAMRKPARRRSSLIKGSRGGDVSKASRLVFGHGGGVDAFDRLPDDLVLAVLAGVAAHAASPADLAAAAMTCRRFRELAAHPVVLSRASAAAVAVRAARWSEAAHRFLRRCAHAGNLHACYFLGMVSFYCLGGSSNRATGTARLARAASGGHAAALYALAVMRFNGSGGAGKADRDPRGGVALCARAAWLGHVPALRELGHCIQDGYGARRDVAAGRRLLLHAAAHELSVAEDDDEDAASRFMVEWWALRGTIEKSSVAAAGGEGGDDAEKRRLCSQARCGRRETRRHEFRRCSVCGTASYCSRACQAMDWKRAHRGQCGPAAARWLAAGNAF >Dexi8B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:8B:3669052:3669543:1 gene:Dexi8B01G0004180 transcript:Dexi8B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDNMDVADNVAATDGGIAPPPPSAEQRLHQLNQRLHHLQHLAPGFDERVRRRMIGELQEELDLELDFDVVIDSGGGGGASAVAVGGLEKQTFHAAGCGGGTECAICLVDFEDGEEVSVMPCFHGHGFHQDCIAKWLWRSNKCPLCRHQIPTGIMDG >DexiUA01G0007900.1:cds pep primary_assembly:Fonio_CM05836:UA:14785123:14789063:1 gene:DexiUA01G0007900 transcript:DexiUA01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSALPAGGGAAAAAAVGGLLAAAALAGRAGLVGPRKNRSNAPPEQKDETLKLFYFFHAAVPGLPLLGNLHQLKEKKPHQTFTKWAEIYGSIYTIKTGASSAVVLNSTQVAKEAMIAKFSSISTRKLSKALSILTHDKTMVATSDYGDFHKMVKRFVMTSMLGTSGQKQFRDTRNMMIDNMLGTFQTLVNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKVISKEEIYHATVVDVMMCAIEVDWRDFFPYLSWIPNRSFETRLLTTEGRRTAVMRALINQQKKRIARGEARISYLDFLLEENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMYEIAKHPEKQDRLYQEIQEVCGNEIVTEDHLPRLPYLNAVFHETLRRHSPVPLVPPRFVHENTNLAGYEVPAGTEMIINLYGCNMNKNDWDEPEEWKPERFLDGRFETADMYKTMAFGAGRRACAGSMQAMNISCTAIARFVQEFAWRLKEGDEDKADTIQLTTNRLYPLHVYLTPRARK >Dexi7B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:7B:24468334:24469423:1 gene:Dexi7B01G0018820 transcript:Dexi7B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDGGRELAVSGHGEPAALAVSGHDERAALAVSGHGERAAVEVLDSLDYPCTARLRFRRLLGYLRENTSRRGELYSVVRARLGVIFHVDDLVEQVKEGKLREALSYVRIYAPLEQSSDEAELLVSFLYDLMAISSFAEGDIMVAGIVCDWFKKLYKHPLLSKYPCFASLVAHVLFLRPHHVRNSLDWQLVRNKAAELVEEMAYKAPELRDATHYPRGQNNLYDVMPIRSRRQLKNTGQKQSADVAQFYLQLKKR >DexiUA01G0018210.1:cds pep primary_assembly:Fonio_CM05836:UA:38818068:38827441:1 gene:DexiUA01G0018210 transcript:DexiUA01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGPSEAMARALLQRHQPFAPSPGEYHSFAAPAAPGEEMVEAIVLRTPLKRKRNREVNEAAESNDWMTSPGYANAGSSPIPTPPSGKGLKPSAKPKATKGQKPCPQTPLNFGSPGNPSTPAGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAESLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTLQDNNLDGRINDMRDRLRELTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMGTPPRPTRAISMDSLENPRTPLPAECSKAAGTEPNIQEGFTLPPDAPSSSQDIGGMMKIVPSELDTDADYWLLSDTGASITDMWMSAPQVQWDEIEKFNAEDFLEVSTPRQQDKPAEVVDGPSCPIASAMPSAPAVVPTESPKLSPTSQQGLRRPLTNGSAAGDFELRHWRTPKKRAAAPSPPPLIDIPNGGAGSDDESNSSGGGGGGGHGYTSLRDILSSPEYAATAGGGSPAACGITIGGGCGSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPGRRGRRWRGPLCRLLLGCLSFIGALFRP >Dexi8A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:8A:23425897:23427137:1 gene:Dexi8A01G0013430 transcript:Dexi8A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHRRCSGEDRISELPDELLHVILLRLGSSRAAARTSALSRRWRRVWAHLPELRLGTHHDPPPLPASLLDAVDGALAGYSATAPLEHLGILFFTGGPNVTASRAAPWLRFAAKHVVGEFNLRVPYQKMGKDQEELELPACTRAKTIKLKLQDPWRLRPQLACTFAALISLTIRDCRMEAKELTAMVSKHCLCLRDLILFIDLVEVSDVSVLSDTLHSLTFFVANTRQLEVIAGNLEKLTVDDAAKARISSSKLAEVDAYDPRHHKFDNVGRHLRRLEVGQNSAVASFMQQFDEVDDLKLEIFVPWESP >DexiUA01G0021940.1:cds pep primary_assembly:Fonio_CM05836:UA:45131581:45134783:1 gene:DexiUA01G0021940 transcript:DexiUA01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRDPPTGCAMFGIYSGMFRRSRRSASMTSLQRVNGGGAASDADPQEASPANRKPAAAAVSHDSSLVRRPSTLPAVPAQNGVGSRAAAPGNERGRPVAKASAMNGVAGARTTATAAEPASEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGGGGSSARNSVERAAKQANERKAAAPTNGYAFSGMGNIVKEAKPAGGDLCRALSHRTDPEKLKEMGNEEYRQGHYAEAVALYDQAIMMDARRPAYWSNKAAALAALGRLIEAVGDCKEAVRIDPSFDRAHHRLGGLYLRLGEPDKAIYHLKQSSKESTGADVSRAQSVKSRIAKSNDSRRLKNWITVLQEAQAAVSDGADCAPQVMALQAEALLRLQRHDEADALFTGAAALRFGVDESTKFFGTFGHAYVLIVRAQVDMAAGRFEDAVATAQTAFQLDPSNREVTNVQRRAKAAAAARLRGNDLFKAAKFAEACAAYGEGLEREPGNAVLLCNRAACHAKLGRHEKAVEDCSGALVVRPSYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVQAKLNSQRNGGAPARSQH >Dexi4A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:4A:18277011:18278362:-1 gene:Dexi4A01G0015360 transcript:Dexi4A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFYSTSAASVQCHWQLRNGSVHHELIRPSHYRPRNVINCCSTAGGRTRDYYYQVLGVTVHSTPQEIKEAYRKLQKQHHPDIAGYKGHEHTLLLNEAYKVLARNPSRRVDGKSRAGFGNSYTGDGYSSWNGPVRNQALFVDENKCIGCRECVHHAARTFAMDDVLGSAHVEIQFGDLEQQIQLAVESCPVNCIHWVESQELPVLEFLSRPQPKECHGIFGGGWERPRNVFAAAKNFIKRLEREQELEHCEAESEAQAKARRHAWEELRWKPLVDIWNGLRGWKKGGTYL >Dexi6B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:6B:1486394:1487439:-1 gene:Dexi6B01G0001710 transcript:Dexi6B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTMRRIPPAPGPSRPKGPIIKELSSDDEDDARHDEEDDKKKANFRKHPRESKQPYVEDPDEVEGSLID >Dexi2A01G0022340.1:cds pep primary_assembly:Fonio_CM05836:2A:34176703:34180840:1 gene:Dexi2A01G0022340 transcript:Dexi2A01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTPNLTAAALQLPLQQPRLPLQSAKLLLSGYLDADLELRHVARFLRRDCETQKSDRIGSEIAAWGRALHEIKAGAGVYSPTSSSAAAATRRQRRSCGFAMACASEEEFADLGEQTRAAPPRYNRAAGRQEASGYWAHIVRWLNPLRHNTV >Dexi1A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:1A:23833037:23839071:-1 gene:Dexi1A01G0016600 transcript:Dexi1A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVEDLRMEEEEEEEQRPPLTRALLHRSATNNTSQVAMVGSNPCPIESLDYEMIENDLFDQNWRTRSKADQVRYVVLKWTFCFAIGILTGIVGFVINLAVENVAGIKYEAVSALMASSSSYWTAFWVFTGSNLALLLFATSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVAGNVAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGKGRCGMFGQGGLIMYDVSTMFDNLMTYHLKDIPIVILIGVTGALLGGLYNFLMIKVLRVYTKINEGGRVHKLLLAAVVSIITSCCVFGLPWLAPCRPCPRTGPRASPDGTCHALNRFRRFHCPQGHYNDLASLFLNINDDAIRNLYTTGTNDVYHPGTMVAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGARSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILSHKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFAGVEKVGNVVHTLRTTGHHAFPVVDEPPFSPVPVLYGLVLRAHLLVLLKKREFLARPERCPKEYVEGRFQAEDFDKRGSGKQDTIDDVQLSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGSRWKRLRWQKGAVAKHFRSLLMWIANSG >Dexi2B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:2B:27495309:27497371:-1 gene:Dexi2B01G0017080 transcript:Dexi2B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFPSTRHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSTREE >Dexi2B01G0028960.1:cds pep primary_assembly:Fonio_CM05836:2B:37449821:37450075:-1 gene:Dexi2B01G0028960 transcript:Dexi2B01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLPQANVEHKSKSRSLLGSKKELGQGKQLYCILHRANY >Dexi9A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:9A:7729260:7735370:-1 gene:Dexi9A01G0012230 transcript:Dexi9A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVRSIESLAAGDGQHNLLRTLGPTLLISMGYIDLGKWVATVDAGSRFGYDLVLLVVLFNFSAILYQYQSVCIGMVTGKNLAEICHQEYSRIICFVLGLQAGLSLLTAELTMISGIAIGFNLVFEYGDVITGICFSSVVVNLLPYAVSHLDKRMAGIVNACVAGFALLCFVLGLLVSQPKVPVNMNVMFPKLSGESAYSLMALLGGNVIAHNFYVHSSVVQELSEHSQRQTLDTTHHREETETYLENVAHEEVQWPSVQKESSESHQKSAVGHMEISDTSTESDHDVQPPAAHREINPEAHPSSSIFHKELKSVEVDLVEPMSKVSTDALVEQSTAEMKSATEKIVQVEPGVCTQKVTEVPHALEFEKSPGVKEPPSSSDCPPSLTLSRGDDTDAANVSGVGILSRQSGLGRAARRQLAAILDEFWGCLFDYHGKLTQEANTKRISLLIGLDLRAAGSAVRKDNLSIENYRSPGIIEPAFSKPRKPLTRCACLKNVGTVGEPIPGSFTTAAEILEVIKGVEQAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKTPARQ >Dexi9A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:9A:14576583:14576885:1 gene:Dexi9A01G0019630 transcript:Dexi9A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKKLEFALLPIVELLPLKPLPAPHPAPPVVRKEGPRRMAPAMRKENERGTTPAAGRRRGPVAPTVRKEGMAAHALRKEGDDAGCCSRGRGGVRCRRP >Dexi7B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:7B:18029629:18030657:-1 gene:Dexi7B01G0010800 transcript:Dexi7B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVGVPMLILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMENLCKLHGWKVRDTPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGIKKPLHFQENRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPDVLHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPSYLLKNIDRFRYLLPGNCRRESG >Dexi2A01G0030650.1:cds pep primary_assembly:Fonio_CM05836:2A:41524971:41526193:-1 gene:Dexi2A01G0030650 transcript:Dexi2A01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPVKLAASAVGGGGGFTAVLLPRPLREWRGRTSRKVVSFSSSGKGGEEEAARGETPEEARRRLAELDALLEGLVEPKMRPPTPPPPPADPYLDRAMMTGQSSTDELPEFSPTYVAFSTLALVILTVFTNVMFNLYVKPSVDGVDQPVRIQRVPLVDPGDRKFE >Dexi3B01G0027260.1:cds pep primary_assembly:Fonio_CM05836:3B:22789843:22796200:-1 gene:Dexi3B01G0027260 transcript:Dexi3B01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRLLTLWFNHGATSEVQIALQKGFSLVKIEMWLVVLPQIIARIHSNNRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGSLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENAFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYAAGRVVADRIFTLALLLGESILHAPLVTIQYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRQSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAHTVVSSEEAAANQELMQPQRGTRERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSASGAVSSTHHGSEHWASGDARDAEPGLSVKVQVQKLILQATSHENLCQNYVGYVLISGAHFGELLCKP >Dexi1B01G0022400.1:cds pep primary_assembly:Fonio_CM05836:1B:28127507:28130587:-1 gene:Dexi1B01G0022400 transcript:Dexi1B01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSLSSSGSSPNPEAVMEQIKAQLAQAYAQEFLETVGNKCFEKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSTQR >Dexi8B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:8B:6086419:6086695:-1 gene:Dexi8B01G0005800 transcript:Dexi8B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNSSAAAPPPPPAPPVASVMELHKVSVPERRTTAKAPRQRLAEVFFLDDPLHQFKNQSSVRRLSTTLASSAST >Dexi6B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:6B:2540990:2542019:1 gene:Dexi6B01G0003060 transcript:Dexi6B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQLASPLGSLRHLASTHHRPKASTSASTTAMPTTSSSSSPSEPLKPRPRLPQPQPRQSPVPRPSTARLPSLCAAIERHAAAGRHAEALDLFRLARAAAPFEPLPASTYHALIAAAAALRDPGAAAAVAWHIESSGSEPDVFTDNLILGMYLRCGMLGEARQVFEGMPERNAVTWGIMMGGLVDRGRPRAALALFRKMWAEADGCEAPPRAVVVAVRAAAVAGSVRAGRQLHCCVVKMGPCGDDGDRYLSCALLDMYSKCGRVDCARQVFDGMVPCQRTVVAWNAMLAGYVLHGRSEEALELYHEMQGSSVDMDQFTFSTMLGVFSRRMLA >Dexi1B01G0028190.1:cds pep primary_assembly:Fonio_CM05836:1B:32759694:32767762:1 gene:Dexi1B01G0028190 transcript:Dexi1B01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMSELPQTPRSTAGDDDLSLLYGESDLAAAILERLGGSPREDHQHLCATAAAMARAVRDRGVDATPVAYFAAAAAALAPLARAGAGGADNHVAGALLAFISAALPALPAAVVRTRGREVADDLVRVLELPSTPDSGVRAGLRCLAHLISAGDKANWETVEPLYTVILRLATDYRPKGIAQIKARRQGLKSDPTVIEKICAILEGLLDVRYNDVWDKSFHVISMAFDKLGEFSADLFPEAVKDLADMQNMSDDDFSFRKQLNACLGSAVSAMGPKNVLEILQIRSICAENEWILPILDKHIIGASLQFFLKDILGIVKAIEKSITKLLKDDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSSNFRVLQSILCDTLQSQPELRGIVCSSIQPAISEIASISDKDVVGKFFIDSIRKLLDATKAVNAEPVDDNSMQVETNGTTNSMTRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDPLIQKRAYKVLSMLVKDAEFVEKNLDVLLELMISSMPCQFPSKRYRLDCLYHLIVYILKDSSMVRKREIISSFITEILLALKEANKKTRNRAYDLLIEIARACEDAGNDESRESLHQFFGMVAGGLVSGQTPYAISAVVTGLARLTYEFSELIGVAYRLLPQTFLLMQRNNREIVKANLGFVKALVAKSKADVLHEHLKGVVEGLLSWQSDTKNSFKAKVKSLIEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKSKSSEDGDAMSVVSGATRQSRWNHTQMFSDFGSDDDESDGPFSTHRTVTSRAGSKASTWSSRRRQSDKSLLEKSIDHSTGEPLDLLDQKTVRLALKSGKKRTAPDDDDDEFEMDPEGRIIVRDEREKRRKKPVSRDDDGDFDGKSSIRSQSVKKRKTSSSGWAYTGHEYTSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMSSVMKVTKKLEGKSASAVLASKRTEKMKHKKNK >Dexi9A01G0034590.1:cds pep primary_assembly:Fonio_CM05836:9A:39365237:39368628:1 gene:Dexi9A01G0034590 transcript:Dexi9A01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPMSPELEQIDGQIHDIFRALQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRILKEEESNNPPEINKQLNDRKQFMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQMASGEITMVVAQTVESGAQTAAALTQQVATDKCIMVFLFLIVVGVIAVIVVKNGTM >Dexi9B01G0034260.1:cds pep primary_assembly:Fonio_CM05836:9B:36263151:36278663:-1 gene:Dexi9B01G0034260 transcript:Dexi9B01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGLVQLLVAFVVAWEAIELVLRHGLLLSVFKLGVLAALAAAAGCVAVILLARAVAWVLRRAAKLSIGCRSYGLNYLRDITISSPKGAVESICIGEIRLDLRKPLTQLGFTILTHGPILQLQISDLDFVLRQPAKSANKKKPSPRKPSSSSSIKSKGKSKGQAKWRLITSVASLLSLSIVELRLKAPKAALGIKDLKIDISKTGGLDPVLNVQINLIPLFVQALESDSIDSNTSVFSKLDWWVSGQYCSAMDTSDCSTFLFEDISLSCDLHQRDKGIKVKNLDLILGPIVVNLEEKLFTKKKQSASTVADQKDGSSVDNKSAVRSEGGKLASLNKKISLFPEKVSFNMSKLDLKFLPKDHGLSVNNEIGSISLKCTRLQPQQDFGESATHLRLETDVTEIHLLMDGATSVLEVAKISTVVSANIPTQPSLPVQAEVDVKISGFQCNLIISRIKPLIRINSDKKKPLVLHESSQQEKAPKEKLALAWSCTLSAPDLTLVLHSLDDVPLYHENAQKSSKKAKGAQLLKINVAQCFIMYDGDMRLEDMTIADPKRVNFGSQGGRVVIINEADGTPRMAYAKHSKQVELESFRLTHKEDQLDNKPVEETKLFDVRKAKFVQRSGGQNDVATCSLINVTDIAIRWEPDPYLELLEVATRLKSVLHRIKLHNSVTEVKDDTLSMDIPAKKEDHGQHEKAQRKRESVIAIDLESLKISGEFADGVEAMVQINNMPLQPDDPAKDLTLHFTKFRVEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLVKVFLNRTPEASTFKDSKIENKSTKDRDSPGCEKGKKKTNSTEKSRDDGFFLHSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLIKSEFDGGDESDHDQSGSDDEGFNVVVADSCQRVFVHGLKILWNLENRAAILSWVGGLTQAFQPPKPSPSRQYTQRKILEKKQLIKEAEMPKDGALNSVSSASQPSEPQQIKSSESPPSSGSSKSDQTSSSETALKPSNNSDAEEEGTRHFMVNVVQPQFNLHSEEANVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARTPRKPKSNLSYPLDNDDDDIEEASDAIVPDGVEEVELAKISVEVKERARNLLLDDIRALCTSAESSHDQSSSPKADDSTWIVSGSRLMLVKQLKKGLVNIRNGRKEAYSTLRIAMQKAAQLRLMEKEKNKSPSCAMRVSTRINKIVWSMLADGKSFAEAEINDMIFDFDRDYKDIGIAQLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESFLVDIYPLKIYLTEAMYRMMWGYFFPGEEQQPQKRQELFKVSTTAGTRRKKSTSGVETNSPNNQSSKESTFSQKPELRRTSSFDRTWEETVAESVANELVSQIQVHSNAQTESQDTAKDSKLLRPVRSTREDKKIEPNEVKQTRPQKLMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWPRLFARVKKHIVWGVLKSVTGMQARNFYFLITFEGKKFKAKSTSQKEPSAALISASDFNLSDSDGDDAGNSDQLPAFLKKPSDGAGDGFATSVKGLFNSQKKKAKAFVLKTMKGEADHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKLNKSKVHKNAGGILTIDWHYDG >Dexi9A01G0029800.1:cds pep primary_assembly:Fonio_CM05836:9A:34701959:34702562:1 gene:Dexi9A01G0029800 transcript:Dexi9A01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSCLASTSVPRKKHAHSRALSATFLPSSRRRSSEPLDDDGVALAEEVGQGAVVADEHVGDEVGDDELDLGGGGRGVRDGALEDEPAEADAGLGGVGGELGERVGGGDVEDELVLERGEHGDHEPGRAGEGEPVGPEAAVLELPRARPNVHGARAGRPRPPRGGGEGAEEGGERRRGGGGLRVGDGARGGR >Dexi3B01G0031850.1:cds pep primary_assembly:Fonio_CM05836:3B:33880062:33886338:1 gene:Dexi3B01G0031850 transcript:Dexi3B01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLAASLPVAAARFRLRARFRRAMASASATGGAPARVGVVQMTSEAAASGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLAKFDVDVPGNMVYKESRFTTAGDTIVAVDSPFGRLGLTVCYDLRFPELYQILRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADLDLSKVEAVRTRMPISEHRKFDSDWKTSTKH >Dexi2B01G0032490.1:cds pep primary_assembly:Fonio_CM05836:2B:40346171:40348403:-1 gene:Dexi2B01G0032490 transcript:Dexi2B01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGMMDDDERPTHAQIPTSFGPELRACLRCRLVKTYDQFRENGCENCPFLEMERENENVVNCTTPNFTGFIPGCYTLAVSEELPEEYQGICQDNNVQYVPPKRV >Dexi2B01G0026830.1:cds pep primary_assembly:Fonio_CM05836:2B:35931531:35931905:1 gene:Dexi2B01G0026830 transcript:Dexi2B01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSSLGGGGGGGAATHYAKPNAVWVEEEVGRKEEEACKGEGEPPPATTEVKIRITRKQLEELLRRVEDGKHGEGEGGVPVRDVVSELLSVASTSSNFRHREEGHQWRPSLQSIPE >Dexi1A01G0026860.1:cds pep primary_assembly:Fonio_CM05836:1A:32739694:32748073:-1 gene:Dexi1A01G0026860 transcript:Dexi1A01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAMEAIDELVQLSESMRQAASLLADDDPSDETATRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLARDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGSGRGRSDEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKEIDPDGTRTIGVLSKIDQAAADAKTVSCVQAILSNKGAPRAAADIEWVALIGQSVSIASAQSGSVGSDNSLETAWRAEAETLKSILTGAPQSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQIVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNAAANATPGLGRYPPFKREVIAIASNALDAFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNSRSSKKGHDADQSKMNRASSPQTGSDEAGGSMKSMKDKSNQQDKDTKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSRRWFVLNEKSGKECNLEEIEEEEISKSSKDSKKANGQEKGPSLVFKITNRVAYKSVLKAHSAVVLKAESMADKIEWIKKIKGVIQSKGGSVKGPNTPEDGPMRQSRSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIILCQVEKSKEDMLNQLYSSISAQSNAKIEELLQEDHNAKRRREKCQKQSSLLSKLTRQLSIHDNRAASYTDDGSGAESSPQSPGHSGQDWKSAFDSASNGSVDRSSSHETRSRSADSRGRRYENGDANSGSRRTPNRLPPAPPSGGRY >Dexi5B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:5B:4290334:4291285:1 gene:Dexi5B01G0006340 transcript:Dexi5B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRKQAWKKLLKIPNPSSWSREELQQEPHRSRSPRRDSRDERRSMSPRDSHSPRRSPRDSRSPMRSPRDSRSPRRSPRDSRSPRRSPPPSKGRDRSPSRSPAPREHNGSARSMSPRRADSGPADHEPRDVSPAANGRSPSPGDYKENGNEHLSPRGSASP >Dexi7A01G0022300.1:cds pep primary_assembly:Fonio_CM05836:7A:30647230:30648628:-1 gene:Dexi7A01G0022300 transcript:Dexi7A01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASASPLPAALSAFPPAAAPSPAPGFLPLRARRAGAGAPRLGTVATWRRRRLRAEAIKTEREKQQTEVPVEDSFPVREAAAPPDGADDPTVVPSDEGWAVKLEQSVNIFLTDAVIMLLDLFYPDPNYARFFVLETIARVPYFVRAKSC >Dexi4B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:4B:9028605:9031482:1 gene:Dexi4B01G0011590 transcript:Dexi4B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSNVFHPTTVGGGVLPTFKIFCKADENFCLTVHDGAAVLAPADASDERQHWYKDKRFGTLVQDEEEKPAFSLINKATGLAVQHIGGPFHPVKLVRFDQDSFDNTMMWTLSNEDSRDGGFGFIRTLNDISLKLAAFHHGDNGVTTVKLSDSCDGDNHQWKILPWRDEAIVGVGGEAMRIYCKADEGFSVTIRNGTVCLAPTDPGDEHQHWVEDTRYGDFIKDEDGFPAIAMVNRATGDAIKKSEGKVGPVQLVPYDPHHMDKSLLWSKSGDIKDGFHYIRMVDNIYRNLDICDKGDHDKYQSGVQDGTKVMVSHWCDEGDSQYWRMASWSSLPT >Dexi5B01G0032280.1:cds pep primary_assembly:Fonio_CM05836:5B:32901040:32901327:1 gene:Dexi5B01G0032280 transcript:Dexi5B01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLHGGAGLPELEIHEEGLDPMPLPQATRTFVHLLSFSWESRASRRSSPPRLESWTATGRRRLPSREREEGRKAAQATATWRCSEIWEERRRR >Dexi7B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:7B:25693351:25693578:-1 gene:Dexi7B01G0020350 transcript:Dexi7B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSYWTAAERSDWRRAEEQGSAAAARAIHGGAGRCRQGSLDSTSAVLDLKSSVLDSRASMLDPRMVVLDLGAR >Dexi5A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:5A:5928826:5929062:1 gene:Dexi5A01G0007980 transcript:Dexi5A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCTWPRKPLEISLTGAAGPEIPHPCIDWRLSSHRCWRACERMVRSLQAPAGRPVVRRACGRSLAASVDPNRRRCTC >Dexi1B01G0025450.1:cds pep primary_assembly:Fonio_CM05836:1B:30481088:30482564:1 gene:Dexi1B01G0025450 transcript:Dexi1B01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPRRPAAAAASSLLLFAVLFVGRAYGGGGGETELHMHAAFFDRDGDGVITFSETFGAFRALGFGLGVSSASAAFINGALGSKCRPGNATLSKLDIYIEDIQKGKHGSDTGSYDNEGRFVPDKFEEIFSKHAMTVPDALTSDEIDQMLQANRQPGDYSGWAGAEAEWKILYSLGKDKDGLLHKDVVRSVYDGSLFHRLAPNWNPHDKEKLNGIREN >Dexi2B01G0029280.1:cds pep primary_assembly:Fonio_CM05836:2B:37728884:37730851:-1 gene:Dexi2B01G0029280 transcript:Dexi2B01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQASPPKVEPPVPKVASPPQPQKSPAKVETTPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEESESSSNDDNAWDGFQLPSSEKKDSAKPAENKPQSTSGIEDLFKDSPAVSLSSAPAASQQLAFMSQQQALLMAALKAGNAPQMVPGNANQLNANGSNPPLGTLPFQNWTNLGYQNPGLTPAAAAPPPPNGAAKVVQR >Dexi7A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:7A:2650527:2650775:1 gene:Dexi7A01G0000960 transcript:Dexi7A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAETSISGPLPASLGKLKSLDTITMYTPLLSAPIPSELHECSSLAHVYENALSGSIPSQLGKLSNLKNLLLWQNKLCIEL >DexiUA01G0006130.1:cds pep primary_assembly:Fonio_CM05836:UA:11547966:11551118:-1 gene:DexiUA01G0006130 transcript:DexiUA01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGFASKEVSSHGVSFLLGAALPTALLIFLASDRLGDGLSTTISSSQGNGAVLPAAADPNEAIFKDLPELLRKVAMEDRTVIITSVNEAWSQPGSLLDLYLDSFKNGEDIAHLLDHLIVVALDAGGFERCKVVHPHCYYLLNATSTDISSANSYMTPAYLELVWTKLTFQQQDSDMVLFRNPLRHIPVYADMSCSSDVFKPWRAPLDQDFNTGLYYMKATNRTIEMMKYWIASRERFPGEHDQTVFVRIKHELVSELQAKIEPLDTVYFGGFCQYHDDLEKVCTMHACCCVGMENKLHDLKDIAADWKNYTSLTPELREKGGFKWTYPTVCRDSMGWREP >Dexi5A01G0029680.1:cds pep primary_assembly:Fonio_CM05836:5A:32707488:32707838:-1 gene:Dexi5A01G0029680 transcript:Dexi5A01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASIEDPTHTVQVPPLSPRGPPLRWLPVPVAPPAPREPRPPLPPPPPPLASARLAAQQRSTTSRSAHSGRTHLVVAFILISRSQAIATLFSRNWALPAVVNGFFPEFPRFRSW >Dexi2B01G0021600.1:cds pep primary_assembly:Fonio_CM05836:2B:31353386:31353585:-1 gene:Dexi2B01G0021600 transcript:Dexi2B01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCDVFEYLSGYGLQFGIYGVDFNSEARTRYPRHSAKRYSSFLQGGKLRSVALSDGAHSQ >Dexi1B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:1B:7678927:7682911:1 gene:Dexi1B01G0008840 transcript:Dexi1B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAGSGGNSLPSVGPDGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPIPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKTGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNKRHQLDDIRSKLLDNLSKLRHAPSVQFQERVPDTELPEPDEDQADPDERHDPDSDMEVDDHKAVEESTRRSNILGIRVKREFGENETKVQDASRVTSEHKGMEPIMEDIDPSKQVPADANAMAIDEPGNVKNEPESSTKVPDQSAMYHKP >Dexi1B01G0022880.1:cds pep primary_assembly:Fonio_CM05836:1B:28549453:28554456:1 gene:Dexi1B01G0022880 transcript:Dexi1B01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDASAGHSPPDSAPGVSAENRDENTEQHQPFFSMCQPIRSVRYSNSWESICAPVANGNDDNSGLNSMADDQVLSTPATLGSKQPQPEGISGAVDGMGKSWSPHSINKEANLVQDVMEMEQPLDEIDMPHGETNEQPEPLSTVQPNSIDGMDLWDDNDNQKLLPLNSDQCNSNIGETCDVEDKQFPLTFSYRRQPQSVGAGLSNMGNTCFLNATLQCITHTVPLFLKLRSTDHSIPCSYNKDGFCSFCALKEHVDESIRRSGSVIKPAKFRDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPMSKGKGSSFDEESLVKDIFGGQLKSQLSCCECGHSSETFEPFLDLSLEIDQVDHLVDALESFTKVEQIGDSEDKLTCEQCNAQVCKKKQLTLHRAPDVVAFHLKRFTTLDNSVEKIDKHVAYPLEVDLKPFHSNPDTAGDLKYDLYGVIEHSGLPNYGHYVCNVRSSPSTWYLMNDSHVCSTTQLTFPLGSYTDDEDTPLLPDLKFQSKAKKAKAASASKAVKGPCIDQNARRLMSGMTSSRRKGLIDCMLSQQNANQESRSRRCPASDPVDKKKRKLVAQC >Dexi2B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:2B:30512510:30512948:1 gene:Dexi2B01G0020480 transcript:Dexi2B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDDSYVSSSCVWENLPRIKPRRSLLAGPVLRLRCDAVSQQQCAADESGKAVDSGLLGSLQVELKRMTASA >Dexi7B01G0021680.1:cds pep primary_assembly:Fonio_CM05836:7B:26715529:26722025:1 gene:Dexi7B01G0021680 transcript:Dexi7B01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTDATVDQAARNELPRNPCLNTDRYKASIDGSQGMALEKEINREGGGGTSSMLLNKFKEKIALLEKSLQDLNEQLSCAHAECIEKDGWEKAEAEALDLKAQLDDTLDEKTAMEQRICQLDEALNVAMVERDTLIKETTEMISYEQGKVQKLEENLMEKVNIITSLDAENDKLSEILSVKENLISELIESKQVIESNFKDLTIKLESSERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADVAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRNEVETLGGDTATTRVRKLNPARSQMGSVQNSHDASHGNSPLLARLHAIEDENKALKESLSRKDGELQFSRNMLARTTSKLSQVKDQLEELSRGRTAAELVKVSPMVVENPLSSISEDCCNKDNVSCSGSWASALLSELEHFKKGKLTAHSSKSTGLSDMSFMDDFAEIEQLASEDKPVEPYGSKREAVESSGKELVPIDVPTGTTDQIRQPKIEKAVFKLIELIEGVIQRSLKDCSSTAVLSGSNEDNGQGTLSGYVARAFLWNTSELTSVLQNFLFVCNELLHGSTDVESFVHDLQHTLDWIVNHCFSLRDVSDMKETIMKHLELKNNDGLEIVAVTRHTGIHTEDEPRMPENFQTSLLAVSNSINIGSNADFRTQKTGNEVAYSKFQGITEKTSHLRAELNELKESGKIMTHADGESTMNDSSTYKAISKSGLNKGKQELVGCLETEHQLECCPAKDGSKNVADNEEKHKQMQLEISTASEKLIECRETILNLGKQLKALASPKDAILFDQVLQTAARSDQKPRSQSLSEMLTMEDGGFYDPSSPKTKEIISTEPRVLSERDCSADEGDEGSLACTSSLHPMPVVQPIKRACRANGTCKGEADVKVVTLAVVPRKQKGNSSLLKRILTGRRKEAMAKPQVVLSS >Dexi9A01G0027880.1:cds pep primary_assembly:Fonio_CM05836:9A:32496484:32498298:1 gene:Dexi9A01G0027880 transcript:Dexi9A01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSTRRITRSLAAAAAASAQKSAAAGADSAGAGLIPRAKTAAAAGETQPRAVLHDITNDSPIVGLAAGSLLQHGTDKTPASTAAKTRRRAPRRTPGSGEALLRGQVKALLHKVEEEQGCAAPEALVRPAARIQALLGVSRSPAQLLAPTPANTPQIVPVSVARDGLLVPDGVPVVPCVLKEELLLPKLQVIAASLPPTQPKENLGECQLNRALVFDDSPEKSDASDGSAVSFQVSSTGSCMGKSSPPEDDSSSAWSIQVHASSEKGDEELLGVEELEGEYTEEEDWEEEDSDDDCFDDLCEEMSRMTVVDEEERKAGLPQFEGKHTRFIYNSDDEIEREEVVDAAEARAELAALVLRGMPVPQGRHLRFHDDEEDEK >Dexi4A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:4A:17976582:17980672:1 gene:Dexi4A01G0015220 transcript:Dexi4A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMRGGTMELDDRMYTLPRVRGDRVDDDGNEGRSGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVTGPLTLVLFAVITLYTCGLLADCYRVGDPVTGKRNHTYTEAVKSNLGGWYVWFCGFCQYANMLGTGIGYTITASISAAAIYKSNCFHWYGHSEDCSQNTSVYIIFFGAVQVIFSQLHNFHKLWWLSIIAAAMSFCYATIAVCLSLAQTISSPMGKTTMTGTQVGVDVDSAEKVWMTFQALGNVAFAYSYAIILIEIQDTLRSPPAENKTMRRATSMGISVTTAFYMLCGCLGYSAFGNGAPGNILTGFGFYEPFWLVDFANACIVVHLVGGFQVFCQPLFAAVEGAVAARWWPEGSSARRRLNVFRLVWRTSYVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQIPRFSTKGAALQGLSFVCFLVTVAACAASVQGVLDSLKTYVPFKTRS >Dexi4A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:4A:2259629:2260855:-1 gene:Dexi4A01G0003080 transcript:Dexi4A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >Dexi5A01G0034470.1:cds pep primary_assembly:Fonio_CM05836:5A:36508281:36511962:1 gene:Dexi5A01G0034470 transcript:Dexi5A01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGACGSCRTGVGFAADMATEASTSAAGAGGGSWVEGMSADNIKGLVLALSSSIFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIVSAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQECAIESVAEVWILATEPAFLSYAAIVLAATFMLIYYFIPQYGQTHIMVYIGVCSLVGSLSLLLLLVKTLNIVIDNYSTCMQDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPVRIPKHTDEDDYGAEGIPLRSAAEGIPLRSPRAAESFRSTSL >Dexi8B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:8B:2161758:2164423:-1 gene:Dexi8B01G0003020 transcript:Dexi8B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVVASFLLLLCCQAAAAAAAGAISSHRRPPPLTRHYASPLVRRRHVTSPGTAAATVPAMVQYETRYYTQRLDHFNALPASYGTFQQRYLINDTFWAGKTSPIFLYAGNEGDIDLFTNNTGFMWESAPRFGAMLVFVEHRYYGESMPFGSKEKATKGYLSVTQALADFTSFVLSLKSNLSAPAAPVVVFGGSYGGMLAVWMRLKYPHIVMGAVASSAPIRSFYHLTDPYAFYDRVTDDFKSESNNCYDVLRGSWAELDGLLATKNGSALLRRSFNMCNGSSVRDIPSLLESAMVNAAMTDYPTPSGFLAPLPGYPVREMCRAVDHPSSGNDTLAKVRDAMNVYYNSTGASPCLGGEEDGDPYGMYDGWNWQACTEMVLMTYGLSNRSIIQPPWPFNFTDDIGNVLKGSASNIIFFNGLRDPWSTGGVLKSISKSIIALVEPKGAHHVDLRFSSKEDPEWLKKVRAKETRIIARWLKQYYKDEAVSGPVWIPPN >Dexi9A01G0045980.1:cds pep primary_assembly:Fonio_CM05836:9A:49413067:49414033:1 gene:Dexi9A01G0045980 transcript:Dexi9A01G0045980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRRPAEPGESSAVLLDPVPLPTGVRVHYIKEFGVAPNSGHGGHHYLIAALCFQFDPLFYPLHGYSSENKTWTPIQLPNPCPEIDRVSTCKVVTIEEGVTAWVDFGHGMLMINLHEETKPSARYLPLPDPLPKNFNEIVNEFGSGPWARRFRDLACVDGVLKLVEMEHRVRVVTETPPAPREKDKLHDSDLIAMSRKRKRGEVKVFDASDITLDGSVHSSLLRGLKGVAAADDDKVAFKDLYSAYPTLSAEGDDVLYLWSTTNFNDPDGWMVAVDLATKRVKAIAGRNKA >Dexi7A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:7A:28760544:28761188:1 gene:Dexi7A01G0019640 transcript:Dexi7A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEASSSTGFIAGRIRRPLPAERPHLTRCTKLLCSAFLTLLLLAGVLVFVVYLAVRPHRPRFHVTAFSATGVASGGPVVVSGQVSVRNSNRDIAYFFDRFYLSVEYRGEDVAKEQPLTATPLYQPPKTTTPLPFQGLELPSTAGQDMAQDLADGGGGRRVEMTVKVRSRIRARLAFWGNRHWHPLNVRCQVAVGPDGQLLAEYLQKRCSIDFF >Dexi9A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:9A:9124368:9126443:1 gene:Dexi9A01G0013890 transcript:Dexi9A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEDDAAWERAIAAATKSTSAPKALTLDGAVKSTTGRLPSPALLERFAASLEDLSVAGARLSSLEGLPRLPALRRLSLPDNRLSGAAALAAVAEACGATLRHLDLGNNRFAGVEELEPLAKLGVEALDLYQCPVNKVKGYRDKVFALIPSLKYLDGADAEGNERLETDDEEDDDDEEEGEEGEEGEEDDGEEGDEEDGEDGEEEDEEGEGDEEGEDDDDEAEDDESDADEEDKENIESKAGSSLPNKRKRDNNDDTNGD >Dexi7B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:7B:2339929:2343563:1 gene:Dexi7B01G0001420 transcript:Dexi7B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLPSPSPMRRHHLLPLPLLLLHLLFLLLAATSPSPATATSSEVAFLTSWLNTTAARPPDWSPSSSSPCNWSHISCSSGAAVTSISFQSIHLAVTFPSGLCAALPNLVTFVVSDANLTGDLPPDLWRCLRLTTLDVSGNALTGPIPDSIANASSLETLALNSNQLSGPIPPSLSSLSPTLKNLHLFDNRLSGELPPSLGELRLLESLRAGGNHELTGSMPESFSKLSNLVVLGLADTKISGELPPSLGQLQSLETLSIYTTGLSGAIPSELGNCSNLTNIYLYENSLSGPLPPSLGSLQNLQKLLLWQNSLTGPIPDTFGNLTSLVSLDLSINSISGAIPASLGRLQFLQDLMLSDNNITGTIPPSLSNATSLVQLQVDTNEISGLIPPELGRLTNLQVFFAWQNELEGSIPSTLVSLANLQALDLSHNHLTGVIPPGLFLLRNLTKLLLLSNDLSGELPPEIGKAGSLVRLRLGGNRIAGTIPATVSGMKSITFLDLGSNRLAGPIPAELGNCSGLQMLDLSNNSLSGSLPDTLAAVHVLQELDVSHNRLTGVVPDALGRLETLSRLVLAGNSLSGPIPPALGQCRSLELLDLSDNDLTGDIPDELCDIDGLDIALNLSRNSLTGPIPGKISSLSKLSVLDLSYNALDGSLTPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLTGNAGLCTKGGDVCFVSVDGNGHPVRMSTAEDAQRVHRLKLAIALLVTATVAMVLGMVGILRARRMGGFGGKGGNGGGGGSDSESGGGELSWPWQFTPFQKLSFSVDQVVRNLVDANIIGKGCSGVVYRVSIDTGEVIAVKKLWPSTHTASCNKKEDGGTSGRVRDSFSAEVCTLGSIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDLGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRCRDRAAVLDPALRGRSSAEVEEMLQVMGVALLCVSSTPDDRPAMKDVAAMLKEIRMEREDLANMDVLLKGGASPPPSHHAPVAAAVADKLASSSTSSTPPYRQGPSNSNSCSSSSFSAIYSSSNNKAKSPFG >Dexi2A01G0027030.1:cds pep primary_assembly:Fonio_CM05836:2A:38460096:38471204:-1 gene:Dexi2A01G0027030 transcript:Dexi2A01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGARDPLVASEIHGFLTCQDLNFDKLMAEAGTRWFRPNEIYAVLANHARFKVHAQPIDKPVVRNFRKDGHTWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEDDPNFFRRCYWLLDKELERIVLVHYRQTSEAIFSSPDNVVSEAYATNPVLNQVSESYYGALNHQVNQSPNLLTSDLNSQSKAPASSLIRTPMDGFSLDFRDSSGWTALHWAAYHGREKMVAALLSAGANPSLVTDPTHDAPGGHTAADLAAKQGFDGLAAYLAEKGLTAHFEAMSLSKDKRSASRTQSIKQYSKEFENLSEQELCLRESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEAATIVAAMKIQHAFRNYNRKKVMRAAARIQSHFRTWQMRRNFMNMRRQAIKIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIATGMPVAMATDTEAASTAEEDYYQVGRQQAEDRFNRSVVRVQALFRSHRAQQEYRRMKVAHEEAKVEFSQK >Dexi8B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:8B:22454068:22457935:1 gene:Dexi8B01G0012880 transcript:Dexi8B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSVFFCLRKQAAAEEQNSVDSTAKRGAGGQEPAKLLEASPWGPSRCTRTGCRSPPAPSSSSAGDPPMNRIDFEEVTVDQFKAEHRTPEFKKINPMGQVPAIVDGRFKLFESHAILRYLASVFPGVADHWYPADLFTRAKIDSILDWHHSNLRRGAATFVLQTALAPFFGLTPCPSTVKQAENLLERSLAKIESVWLKGEAKFLLGSLQPSIADLSLVCEIMQLEPEETKFHGDVANCDNVLTAPHGFQVLGDDTRDRLLGGHEKILTWINNVKKATSPHFDEAHIFLFQMKAQMQSKAAATAAAPTANHGGSEPSSKLKISSRL >Dexi5A01G0035990.1:cds pep primary_assembly:Fonio_CM05836:5A:37652961:37654519:1 gene:Dexi5A01G0035990 transcript:Dexi5A01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDDAPAAPPPVASTSGSQPASNPASSGSSNSPLRTTKPGVKRLVLTASVLLSFLLGLPFLLKSTEIHRSPLPSDAITALAHRLHSNPPSFPCGLHAVFLRSGSGPPDASLATRLERAISAQLQLIPAASTAGNVSVSVTVESAGGCSSSSSSVGSRWQCCVVTTADLVLGDEVFDELLHSALSSGNGDGSRVYTVVIVEIDDAEGMRLIIGKHRHAWVAGKVDEAKAVSVIGKVFVKYFMNGGIEEGEAGIGKGEFMPVGSDGNVVLSFSLLNADPSDWVYDWEFEKIGERMLNPVAEALRPIAEINIESQVLYHTPKSSYSYSDDKLGGNVLSMGDIPFFVCF >Dexi5A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:5A:9764991:9768960:1 gene:Dexi5A01G0013070 transcript:Dexi5A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSKGKGPVRDEDKELEWQAAMQLCSLGIAGSSTSAAATGAAAPKVSTKLADCVRSNDGIPCLPNKPLNIGESLFGLEGRLHAISPQHWKVEADSKSDKAKNDLQAIGSQQWKLEADFKSEEVMTKSEGSFKETEFKKPNMILNCPRCKSGNTKFCYFNNYNVNQPRHHCKNCRRYWTDGGIMRDVPEVSGRRYRNKQPANHPRATKPCDANGYVSDAISQHVLPSSAEENEAMTKSESEIVLSLSLSPPHNTKEQKNSANLVSLGSGNNKEGKSCLSSAVVSDSSENSMPEKKASNILGYGTSMKEPPPHTQSNPASSALMYPYTGSESNSAAITASTQFPSYGTLMENRLANLHAWLPPIMPGQGIHAPAVPFPQVLLSQNCVPSWPNGAWSTPWSGSSGTTLPSLPPNGVAGSGNGSLTLGKHPREANSQEEEEEEKTLWFPKALRITDPEEAAKSTIWKSLAIKPDERLFRKSTHSKDKNGKTPESPQAP >Dexi4A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:4A:3187143:3188990:-1 gene:Dexi4A01G0004490 transcript:Dexi4A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEDAEGARKKKPSAGEVDLISGLDDDVLLRILALVPDAKDAARAAALSRQWRRLWMRVPTLRFVCRPLPRAASGAASPRKAPAPTTMEFTSLRDLSLKKIRIEYGGGARFFAHLVSSANCPHLRKVHMATVRFPNFNAEMRLEADAHALSELWLEDISVVSLELRTPSLRVLLIDKVYHKVLKISAPGLEELTLFFRQGCSPRRGIEIDGDLPCVRILKLYMWSYHTPAAHYGDPIGTNAVNMLILSRCSSITCLDVTLDGPEVFPEDVEIIKSRVPNLPHITSLTINVSMSFEWRNFGVGVANLLTRFTNLKRLSLHLPFPL >Dexi9B01G0030080.1:cds pep primary_assembly:Fonio_CM05836:9B:32573350:32575272:-1 gene:Dexi9B01G0030080 transcript:Dexi9B01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHEEATNMAAASGGLPDGAAPRKKTNVRFAFACAILASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFFVGAFMMGFSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINIGILLGYVSNFAFSHLSLKLGWRLMLGIGAAPSVVLALMVLAMPESPRWLVMKGRLADARAVLDKTSDTAEEASLRLAEIMEAAGIAAGDDDLDGDDVIAVPKRSGGEKRVWKELILSPTPGVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSAGITDKNKLLGTTCAVGVTKTVSILVATFTLDRFGRRPLLLASTGGMVVSLLGLGFGLTVIGHHHEGTSIPWAIGVSIASILGVVAFFSIGLGPITWVYSSEIFPMHLRALGCALGVGLNRVTSGVISMTFLSLSKGITIGGSFFLYAGIASLAWVFFFTYLPETRGRTLEQMGELFGISNMAGDDGGGGFQQSSSSPEKKDNNNNVEMSSTATASDDVRNERSVMDIEKDI >Dexi5B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:5B:5296442:5296809:-1 gene:Dexi5B01G0007800 transcript:Dexi5B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDANQNLIFVYNCQVPQSVRLQQPCANHTMGAYIAGSGDEPPWVGRPNNCSTVTVPPIPDNYKRLITNGFLLEWWTPEDCDNECTGRGGECRFVEVAFQCICPDGLLCPPGN >Dexi1B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:1B:3655620:3657747:1 gene:Dexi1B01G0004530 transcript:Dexi1B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPDGSLDFGVVGPVRRKALLWESHQGCGIGLLCAMGSGRRSGKAVALQIQALISKEVFPLTASNQDPVEMVETDIKHDNATGSQQL >Dexi5B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:5B:9661484:9661684:-1 gene:Dexi5B01G0013540 transcript:Dexi5B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPINPYAASAGKDRAMYCYCGVLSRWSIVRKPGPMQGRYFYGCGNWTATRRAICPYFAWAS >Dexi7B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:7B:18135760:18139023:-1 gene:Dexi7B01G0010960 transcript:Dexi7B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVHPAAALPAITAPPPPRPPPSRAALGHVPQLHASLIKSGELTASPKSFHSLLEAAAHGATPAQLAYAVRLFRLGPRPPLSTPCYNVLMRAFLRAGHLEDALHLFVEMLDGASIWPDQHTVACALKSCSRMCALDAGCCVQAYSVKRGLMVDRFVLSSLIHMYASCGDVAAARMLFDAVEEKGVVMWNAIIAGYFKNGAWEEVLELFKGMLEVGAPFDEVTLVSVATACGKTCNSNLGKWIGGYVEEKGMLGNRNLVTGLVDMYAKCGELGKARSLFDRMQSRDVVAWSAMISGYTQADQCYEALALFSEMQVEEVEPNDVTMVSVLSACAVLGALETGKWVHSYIRKKCLPLTVVLGTALVDFYAKCGCIESAVEAFESMPVKNSWTWTALIKGMASNGRGREALEVFSSMCKAGIEPTDVTFIGVLMACSHNCLVEEGRQHFNSMTQDYGIQPRIEHYGCVVDLFGRAGLIDEAYQFIRAMPIEPNTVIWRALLSSCTTQKNVKVGEEALKQIISLDPSHSGDYILLSNIYASVGRWKDAAMIRRKMKDRGIQKTPGCSLIELDGMIFEFFAEDRDHRQLREIYEKVEEMIDKIKMAGYVPNTADARIDVDEHEKEVSVSHHTLDVIAGDSNRDAHSGDWSLALGASVLRCDCADAVGGRRPSGA >Dexi9A01G0042070.1:cds pep primary_assembly:Fonio_CM05836:9A:45608231:45614052:1 gene:Dexi9A01G0042070 transcript:Dexi9A01G0042070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCLLRAAAAPRLLLHRTAASRQLTSPLTVLRKGLSDQSVLPITDSIESFQGPSVQNTPRIPLYDDSISSGILDTSSNPTEGVARGDPSNGRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLEFIPSHAAVVFDHDGMTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVPAGYKVRIVSPDKDFFQILSPSLCLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVMALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLKSVDEVEDERIKQALISDSEQAILCKSLATLRSDLPPYMVPFKTADLTFQKPQDDGTKFIKLLRALEAYAEGSSADPIIRRATYLWNKVKS >Dexi3A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:3A:2989038:2990432:1 gene:Dexi3A01G0004570 transcript:Dexi3A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVPLPQTDFWGSDLDYIPSASVDTCTTLCLRTCSCLAFEYKLDSYGCYLKSVLLNGKTVPGYPGTAYLKVPESLLSEAISNVFENSETLDCDASKPKVEVLVFDSDAHNDGGNSTMWHYYYGFLAAFFLIEVCFIAFGWWFMARKQSTQSKIWVAEEGYRMVTDYFRSFTYKELREATKNFKDELGRGRYGLVYKGILHDKRVVAVKKLGDMKQGEDEFQTEVSVIGRIYHMNLVRVWGVCSERNHRLLIFEYVENGSLAMFLFGSKGLLQWDQRYKIAVGVAKGLAYLHHECLDWIVHCDIKPENILLDQDFEPKISDFGVAKLLQRDQTDPNISKVRGTRGYAAPEWASNIPINEKVDVYSYGVVLLELVAGRRASELAATDTGDAEFAMRQLVRTIREKLKSGDWSWITGFVDPKLNNNIVHSEVPLMLEVASMCMYKERSHRPSMNDIVEKFQFLSCE >Dexi4B01G0022170.1:cds pep primary_assembly:Fonio_CM05836:4B:23863752:23866985:-1 gene:Dexi4B01G0022170 transcript:Dexi4B01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPPPPPAATAPAADATKAGGPHSVFVYGSLMADEVVRAILNRVPPAAPALLPNYHRFNVKGRVYPGILPVDSKKVAGMVLMGVTDEELQLLDAFEDVEYTRTRVEISLNVSISQPCSFASQDSSEKMLADTYVWSDAHDSNLYGEWDFEVSVQGFNFVKRRAPATHGLGHGELRWPHGLGRVSSGVPMAAAIRAYPLPSGLPNLASSEYLIEEELRAPGLLKPSLHVVIIVGRAPYSDVVVQEWKKVHMKAFLAMTNGFMHGLQQSETKTRVETYESFMQQQEHPTLETHAEG >Dexi1A01G0029650.1:cds pep primary_assembly:Fonio_CM05836:1A:35136923:35138500:1 gene:Dexi1A01G0029650 transcript:Dexi1A01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLTLLEAQGHTGMNTLRMEQATGVGGPEHIVIIDIPRDTGSSASVSRSVDRENHEELNPVDRPSTRALVPALQAPSAIGGAPNAGQTSGTRRSDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLFLSRKEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHEPAHAPQGSSHNNSTEATHAASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYSSGSEEGQEGGIVAAGTEKERALSAEDAVCCICLAKYAHNDELRELPCSHCFHKDCVDKWLKINALCPLCKSEIASSSSSSGTRQPDQTAIPVQEIEMH >Dexi7A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:7A:14284698:14285213:1 gene:Dexi7A01G0004070 transcript:Dexi7A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSKQSPDFTPSRNSTPDHPRLMTSFSGRMPVVDISKPSLIQKKQRLLELLQEKQHYDDDEDSVTDVSSELENGTVHDEEHMKTSRKGKKSNKSSRSGCFPSLFWKHSFTSSRKKRKGHKDKVN >Dexi1A01G0024950.1:cds pep primary_assembly:Fonio_CM05836:1A:31322912:31330480:-1 gene:Dexi1A01G0024950 transcript:Dexi1A01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQDAGAAAEGGSPRSPDLYDFADDSDYAAAASSDHTAMRNDLADRGSDETARIDVVYEKERVTIHPTQYGSGRISGKLRLFLQQGSLFLSWEPSEGADSLSTSSMGVELEKCLAFPPFYFYNGGIRELFATLKQHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVASVANAMSRQNSLSFTGSVDEARHGEASSMSHYGSKQKHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGAHAYGRQQHEYVFDNKASDTHKNQYIAPEKESIPSAPLESDPLPLVWGKQRDRPLSVEEWTAFLDPEGRVMDSKALRKKVFYGGVDHVLRKEVWKFLLGCHEYDSTYAEREYLAAMKRAEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFASLMERLGANFNRDQNGMHAQLLALSKVYNIVGLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFDFDQIMLLWEVLWTHYLSEHFHLYLCVAILKRYRQRIIGEQMDFDTLLKFINELSGQINLDRAIQDAEALCTIAGENGASCIPPGTPPSMPIETDGGLYVQQDEVL >Dexi5A01G0026570.1:cds pep primary_assembly:Fonio_CM05836:5A:30178475:30181094:-1 gene:Dexi5A01G0026570 transcript:Dexi5A01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPRCRRGAAMAVPREQFFACIAMAAVTLLAPPACGVAGHSHGMRPGAHGGAAEPPPFTVNATRAEAIERQFMEWVRYMGGLRHSTFQHALARAFPSYSLVVDKNPALGDFTTIQAAIDSLPAINLVRVVIRVNAGVYTEKVTISAMRAFITLEGAGADSTIVQWGDTADSPTGPKGRPLGTFNSATFAVNAQYFLARNITFKNTSPVPKPGATGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEMAVAIVSGHQAPLLPAARPLAGVRLRGAPPHGLATRRPIETCSITGTVLRTTCGVLTGVEVDVFSVQDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASYAGRVAWSHELTDDEAKPFISLSFIDGTEWVRL >Dexi6A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:6A:1836500:1845706:1 gene:Dexi6A01G0001910 transcript:Dexi6A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRHGKFPILPGAPPFPAAAPAPPPKLDLVPMSLQPPPAGEVVTLNRSALFCFPPNNSAATSSGIFAGDDPLKFYFPQFLYHVCIVFALSRAIHSLLRRANVPLVISQILAGVLLGPSFLGKVFPHVGELFATPEGWVQINTFGGYAFMLQLFVVGVKTDLGMVVKSGKKAVAIAFFGTAASYVAMLVAGGALQPHLPETWKKTFMLMALSSSWSLSAFIVVCCTLDGLNLLSSKLGRLAMSAALIGDFGNNFTIAGVTTILLASSPSKEIQRIGFLSITAFLTFIGFMVFVARPAILRLMRDVPEGALLCEARLVAVLLITIFCSFVSEILGLHATYGPFMLGLMLPGGAPLGVTLAERLDRLVAGVLLPLMFAQGGMRVNVFELTDASTCLLLEVFLVVGVVAKFVSCVLPCLYCGMSYREAFVLGLMMNFKGITDVVYASSFMDAKIFDDQVYTAFIINVLVMGATTASVVKKIYHPEEKYVAHRRRTVEHRKVGEELRLLTCVHSQADVEPMLAFLDASSPTPASPVAVYLLHLAPLVGLTTSVLRPFRHGERNCKSATLIVVPFHRSLAIDGSVENTTENAGAVQASNINVLHYSPCSVAILVNRRSLTVVPSGAGGGAAADIDVFPHRVALYFLGGPDDREALALATYMAEDAEIGLTVYRFLLPPERRRGAGDEEEDKLDDEAVEEYVRRWVDEQRLVYSENVVNASDEMVDVIRKTSTAADLVIVGRRADSPESPLTAGISDWIEHLELGVLGDLLTSTDFGCRVTTLVVQQQTRAAAGETSSRSPEKEGQRPESDGHV >Dexi4A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:4A:21503702:21505337:1 gene:Dexi4A01G0017680 transcript:Dexi4A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYELAMKLLVLSLVLLVSAVAGSIYPSEEIVQQVPAIYVFGDSTMDVGNNNYLPGKNVPRANQPYYGIDMPGSGKPTGRFSNGYNTADFVAKSMGFVSSPPPYLSLAPSSEKLVMIAMATGVSYASSGAGILDSTNAGNNIPLSRQVEYFNTTWSKMVTSKGSDAVSALLSRSIFLIGIGGNDLTAFANTGQAPSNDATFYSSLLSNYKATITELHAMGARKFAIINVGLAGCLPVSRVLDPTGACSGRRNQLAGGFNEDLRSLLAGLAPRLPGLVYSLADSYGIMVDIFADPQAYGFTDVTSGCCGEGRLAAGGCMPTSHLCSHHDRHYFWDGIHPCQRAASLRVQAFYDGPAQYTTPINFSWQWVSDTCLRDH >Dexi5B01G0039650.1:cds pep primary_assembly:Fonio_CM05836:5B:38387736:38390922:1 gene:Dexi5B01G0039650 transcript:Dexi5B01G0039650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMLRPWAFLLFLVLVSYDESGRLQSSRSGVAQATQRIFLYPQSPKVSSIVSTKYRTSYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATVLKGDRPAIIYTGADTEMRQVQNIVFPKNVSDPYLREWIKPDNNPLIQPVGQGLNSTQFRDPTTGWIGPDGLWRIAVGAELNGYGAALLYKSEDFVNWTRVDHPLYSSNASTMWECPDFFAVLPGKKSGLDLSAAIPDGAKHVLKMSLDNCDKYMVGIYDLKSDTFVPDTVLDDRRLWSRIDYGNYYASKSFFDLKRGRRIIWGWTNETDSSSDDVAKGWAGIHADVEIDFELTSIDNGDPFEPSWLLDIEKHCREADSSSMRPELYTPAYGGFFEFDLEKEKTISLRTLIDRSAVESFGGGGRLCITARVYPVTIINSDTRMYAFNNGTSTVKVPQMKAWSMRRAQVNVKKG >Dexi3A01G0033340.1:cds pep primary_assembly:Fonio_CM05836:3A:38141826:38145373:-1 gene:Dexi3A01G0033340 transcript:Dexi3A01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAESTHLVINFVESTETTIAATGSQGLTALHHRGSRLLLSPHGAYFSSSTLQITIFTTDTCPQLFLFPFAIARFKVPLLPDRVSDELTAAGVDIPRHSLAVKIDMDAPTVSASTGVTRTLLPKLSKLIEGEHNLQSGVKRDIAFLKDELIKLRARVEEEGHRRKRYRFDGPSSQVVVRVDPRLPALFVEAERLVGIDGPGQKIIELLENDGYGQQQLKVVSIVGFGGLGKTTLANQVYQKIKEDTRIGRDDLIWRWIAEGFIAEARGQTADQVALSYFNELINRSLIQPVEILFDGQVEECREHDMVLELIVSLSAEENFASIVEGKSYNAGGHKIRRLSIQSKHVGDEVMQEIVGSLFQLTFLSISSKAITELPENIGDLRHLQTLDIRGSRITKLPSSTSRLQKLVRLLVSTHVEFPDQIGDLQALQELSRINHFSINFVEDLRRLTKLKRLGMDLPDNREKLGGDMGRYEEALKSSLSEMGKHGLQSLDICATGFLEEELIDIMCCTLPCLQKLALYRFHLSNLPKQMVSLVNLTHLSIWVGRIKQEDLCIIGDMPALLFAELHVKHSPDERLTISGQRFLCLKEFKFSNDFFSSGGGLEMLFLQEAMPELRRLHLQFRAQEAESKMGFEFSFEHLASLEHITVTVHSGGAAMSRVEAAKAAVREAARIHPGNPTLDLHVDWY >Dexi5A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:5A:6183543:6184917:-1 gene:Dexi5A01G0008280 transcript:Dexi5A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPEDEEKVGFLWELEGAGERLQLLRADLMVEGSFDEAVSGVDGVFHAASPVVVVASAGDKDDDVQETLVDPIVRGAANVLRSCARAPDRPRRVVFTSSCSCVRYCHANTLNESHWSDADYCKSYNLWYAYAKTVAEKEAWRLAKEHGIDLVVVNPSFVIGPALGPRPTSTILIVLAMLKGELGKYPNTTIGFVHVDDVVLCHVLAMEDARASGRLICSCDVAHWSEILGSLRERYPQYPIPTECSAQKGDDRPHRMDTSKIRALGFPPFLSVQQMFDDCIKSFQDKGLLP >Dexi9A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:9A:6265400:6267190:-1 gene:Dexi9A01G0010330 transcript:Dexi9A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSFPLRRGLSTAAAPRLLPFAHSLLSARPDHDRPAIFLSLLESLSKAGHVATAEQLVEELQPRIPLSLRHYTALLYGWCRQGKLDEAKHVLARMKAADVALDVVAFNTLLAGFVADGRFEDAFELTREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCPPDAVTYGTLVSAFCKAGKISQGYEFLDAMSRDGLRVDAAMYQGFFVAHEKKEQLEECLELMERMRECRCPPDVKIYNVVIRLACKLGETKQAMTLWNEMENGGLSPGVDTFAIMVSGLIGQGALIEACSYFKDMAGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWECIVSKGCELNVSAWTIWIHALYAKKHVKEACLYCIDMLEAGLMPQPVTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRRRGQKKSRCRQSDQGRSSRNADLLDASDDEEFSG >Dexi9B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:9B:5177838:5183974:1 gene:Dexi9B01G0008450 transcript:Dexi9B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLRRGLGILLVPITNAPSRLPPISLEALLLHPRLLYGFSRRSLCSFTGGGRAVEQFSDDEYDHEYEDLRPSSSVVNIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLSLDRNGGNTESYSEVDKKADNVNMDEQHDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKDKERLLAAIACNQVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERSGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKNSSFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKVVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPLAVQNAVEFLKMIGALDGNENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSVRDPFLLPQDKKDLNPFYFVLPLQHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMGGHLKMLDGYVDFFMDPSLSECYLQLKEELDKLIQQKLEDPNFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLRSPEEEDGKSNLIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAMVEFKGMQFVGKPKRNKQLAERDAAIEALGWLTQTSGVKQQDQGDDDSPLDLTDSMLKLLTRPRRHSKNNQRKR >Dexi5B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:5B:22108957:22117312:-1 gene:Dexi5B01G0019890 transcript:Dexi5B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVSRTQGSGAPFCSSPPPPPCLPRSPEAASRVAFAAKSRVSATPRVPRTRSPPRQPAGALARSPAGGWAGAGEERGAWESERAEGAVRRRAPPGTAAMSQSQTNWEADKMLDVYIYDYFVKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLIKAREQQHQQPPQQQQQQQQQQQLQMQQMLLQRAAQQQQQQQQQQQQQQRRDGSLLNGAASGFSGNDALIRQNPATVNSMAAKMYEERLKLPPQRDSLDEASMKLQQRYGENAGQVIDPNQALLKAAATGQSSGQILHGTASGLSGAPQQVQARSPQPPMGDQNIRTEINPVLTPRAAGSEGSLVGLQGSNQAGNNLTLKGWPLTGLEQLRSGILQQKSFMQSPQQFQQLQFLTPQQQQQLLLHAQQNISSSISDVDTRRLRMLLNNRNVVLGQDGQTNSGSDIVPNIASPGQSGGSRNDIDMLIKKKLALLQQQQQQSQQLGHSQQQQQQQLLQQPALSGQQSQSSNQLLHQHGKPGVGSMPIDGNLPNSFGYPEQAPKKRKKPVSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQYNGGPSKPLMMFGSDGTGSLTSPANPLGDVDRLLEDGSLDENVESFLSQDDMDPRETMGHCMDSSKGLGFTEIAKARASTNKVVCCHFSLDGKLLATGGHDKKVVLWFTDVDMLKPKSTLEEHSLLITDVRFSPGMTRLATSSFDKTVRVWDADNPNYSLRTFTGHSASVMSLDFHPNKEDIICSCDSDGEVRFWSITNGSCVTCVRVFNGGATQLRFQPRQGKYLAAASEKAISILDVETLQVCRTPLQSLELWDTREKNTMTISNAHDGMVAALAASHSTGLVASVSHDQLVKLWK >Dexi3A01G0029970.1:cds pep primary_assembly:Fonio_CM05836:3A:32912559:32912953:1 gene:Dexi3A01G0029970 transcript:Dexi3A01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHWFQYYNVGKLTDDTGRRAFVLVNPATGQAIVDTYDEFFLSNSDNELELLAYSGNDDVMTPMLWSQGHQLEGGFTEIRTFKDSYMTLTALGGSAVEDGTVVRIFHSEPWHAESVWKVEPIPSHQQ >Dexi9B01G0048280.1:cds pep primary_assembly:Fonio_CM05836:9B:47276795:47278223:-1 gene:Dexi9B01G0048280 transcript:Dexi9B01G0048280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNQIGPQWNVQAKQKGEIGYECNDAVERGEGVEGPPPQHQQQPRTRPPWPREHHSPRLLLLGIGPIGRIKSNQDKARECVARRACDWESRAGSNRIEFVAAADWLGLGNCVAEVRGDETDGLNPRARCRGPGVWVLERWWPSIGGCVDVVIRFTATVRGVWHSYNRHFASDQATGYCDTSASRSSLARASENDHASHERCLGIPLACLNSQQSGSTHGTRVMEWTEKRQESGGQ >Dexi9B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:9B:5824826:5825176:-1 gene:Dexi9B01G0009490 transcript:Dexi9B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMKLSFAFILLLSGLMVLGEMGCTEAACAVQCIQGGYITCDNYPGKQLEGCACECAPKDGQNCVLHHLRTKDTFNCPAQ >Dexi9A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:9A:8665361:8666053:-1 gene:Dexi9A01G0013460 transcript:Dexi9A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANVGESTSAGSSGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHVHAHSPECPVCKALVEEDKLVPLYGRGKDRVDPRSKNMPESDIPHRPTGQRPATAPQANANNNFPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDAGAYAQPAGFHYGYGHGHGFHGGHMGVGHTHGVHRQAPLGQQQQADIYLKFLLLVVGALVITSLLAF >Dexi9A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:9A:1543961:1545094:1 gene:Dexi9A01G0002890 transcript:Dexi9A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARPRKLGLGTAYLHGLKHASGEFVVIMDADLSHHQPKYLPSFIRKQKETGADVVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDVLEDLISSCVSKGYVFQMEMIVRATRKGCHIEEVPITFVDRVFGTSKLGGSEIVGYLKGLVYLLLTT >Dexi4A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:4A:23655781:23656207:1 gene:Dexi4A01G0019780 transcript:Dexi4A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYSERELRAAQDLSDFILSKASPPYFVGSPPVRATNPLVHDAQFCARKVQSVDQSLGIPIPTKGYNTRYCSRKGSFTKA >Dexi2A01G0036870.1:cds pep primary_assembly:Fonio_CM05836:2A:46344562:46345875:1 gene:Dexi2A01G0036870 transcript:Dexi2A01G0036870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATGAVAPAAAAPGEVLLGRYELGDLLGRGASAKVYHARDLHTGEPVAIKSFPNPRAASGGAEVRRPGAIEREAAILRRLRHRHVVRLHEILATRKKVHFVLDLAGGGELFSLLDASGRMTEDLARHYFRQLVSAVRYCHARGVFHRDIKPENLLLDDHGQLKVADFGLGAVATDGNLLCHTLCGTPAYVAPEILSRKAYDPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGRFRCPAWFSPALRDLLRRVLDPNPDSRIDADGIVSHPWFCDGAGDEEMARLMRGGHEEEEAWFEPGELKEEDMGREMTAFDILSFSPGSDLSALFGAGPGKERVFVGEPAAAVLSRVEAAGRKEGYRVRRSQGKAGGTTGPVYLEEESGGGIVAKVSVFKLAGAVSVVEVVKGDGAEAALFWTERLEPAVKPPVLS >DexiUA01G0006060.1:cds pep primary_assembly:Fonio_CM05836:UA:11235342:11236582:-1 gene:DexiUA01G0006060 transcript:DexiUA01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVLKVDPAPGLFAVTSRPAAATGGDSTAPRVLILPGLAAGSFSFRRVLSSLSSRGILAAALDLPGQGLAPAPPAAPAPARTSALREIMDRGIFHAFEHLVQTGEVPYQEEPAAPPALSPHGPAEAAAAVARAVEALGVAPVHLVLHDSSLAAGAAFLSANPGAVRSVTLVDATASLPAFPAAVFDVPVLGKLVLRVPALFRGLVRLCCVRGMGAEEAEAHRAAMRGEGRTHGVIEAWKAMNHSFQMGEWRGSSDELRRLPMMVLWSGSWSDMWIDEGKKVAASLPDAKFVYHSVMT >Dexi1A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:1A:6163818:6166096:-1 gene:Dexi1A01G0008010 transcript:Dexi1A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDGLQLAAENGYERLPTLLFPLPRAPRLSRPPARQRSLSLSPAPCSRSLSSLADKPAPLVSFTPYLTTGSRETHDPVAGRPPALSQPPREPSGSRSRALHARAARDPANPLKTLAVSPLDARRVSLTGVTVRCRRPSRHEPYLPPHDEEEEGERKEKRGRRRRPRQGGTLPLPGAKEELAEEDRACAEAYVDYAAAGDEEDDAEAIPSPRRPATSLHCTTARPATRSPSFSSPKVRPFSHRALALLRRARREPSLSAAMARNPFSLCPAVEQPFGHGHDRGHGKPLPRELDVAASRSGRRAASPRLAMPRTHSCASHWLAHANAPKHTHAREHATRTARRRSVVPLRHSVERLASNARGHTPLMRTVGHTALAARDRRAAAADPGPRLVLGRGPRGFLAREPSRAQPSCIDRGGPPVSGVPPLTSWAHVLTCGTH >DexiUA01G0001620.1:cds pep primary_assembly:Fonio_CM05836:UA:4279022:4280475:-1 gene:DexiUA01G0001620 transcript:DexiUA01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFLSSGRDGGLTASPPPPATDWAALPSDIVLDVFLRLGPHEVMLGAERLCKPWRRVALEEPTLWRRVGFDRVDYYDERWMRCHYSVEEKMLLAAVDRAKGQCEAFKGDCEDDYLRRLVRSAPFLKSLSIKHYSYYQSGERRLVVEALKKLSFLEDLEINFTYSIVWDKSMLQSICKACPRLKKLLVMYASAYDLECDEDEFDKEPVDGPIPVMRNLHTLKLYDCDLTCKGLNAILDGCPRLEILLIDGYFDDKRYMNTKLKMKCARVKNLTLDTRKKPYYCSYSSEEYY >Dexi4A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:4A:17422620:17423836:-1 gene:Dexi4A01G0014920 transcript:Dexi4A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRSTTAFLALAAAAVVLAVLGGAADAQKLSPNFYSKTCPNVATIVRQGMASAVQKEKRMGASILRMFFHDCFVNGCDGSILLDDTATFTGEKNAGPNAKSVRGYEVIDAIKTQVEASCKATVSCADIVALAARDGVNLLGGPTWSVPLGRKDSRTASQSLANTNLPGPGSSLATLISKFGNQGLSARDMTALSGAHTIGRSQCQFFRSRIYTEPNINATFAALRQGTCPRSGGDANLAPFDVQTPDGFDNAYYQNLVAQKGLLHSDQELFNGGSQDALVRQYSTNPAQFSSDFVTAMIKMGNLLPSSGTKTEVRLNCRKVN >Dexi6B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:6B:6895573:6898816:-1 gene:Dexi6B01G0006290 transcript:Dexi6B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEASEPEATAAGAKQHQQHTGKAAAAALAAHDASSRLEPLTISLCTGDDDVAINILYCGICHSDLHSIKNEWHDAMYPIVPGHEIAGVVTEVGKKVTKFKPGDRVGVGCMANSCQSCASCDEGFENHCQSIIFTYNSVDRDGTVTYGGYSTSVVVHERFIVQFPDTMPLDRGAPLLCAGITVYTPMKYHGLNAPGKHVGVVGLGGLGHIAVKFAKAFGMKVTVISSSPAKKEEAMERLGADAFIFSKNANEMKAAAGTVDGIINTVSANIPVAPYMGLLKPNGKMIMIGLPEMPLEIPPFDLITGSKTLAGSHIGGIGDTQEMIEVAAKHGVMADVEVIGAEYVNEAMERLAKADVRYRFVIDIGNTLKTSSD >Dexi8A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:8A:11454037:11456201:1 gene:Dexi8A01G0009030 transcript:Dexi8A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKWTFSSYTILKTAEQAPRTPSFVDQLLEADNGLGEVIKPPEKSFWAKYRQTYQRNKQQGRANLEHEHLLLLLQGEDDC >Dexi5A01G0025600.1:cds pep primary_assembly:Fonio_CM05836:5A:29428721:29437020:1 gene:Dexi5A01G0025600 transcript:Dexi5A01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSRSLHGMASPDATPYFSGASSRRRSGADEVDDEEALQWAAMERLPSFERLRTGLVRAGGGDSSISVDGGRRRRAAHEEVDVRALATPQRQAFVDRVFRVAEEDNERFLKKLRARIDRAGIQIPTVEVRFRNLNVEAECHVGARALPTLTNTAMDVAESMLRLVGVSVGKRRPLHILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLEVSGEVTYNGYGLDEFVPQKTAAYISQNDVHDGEMTVKEVINFSARCQGVGQRYELLKELAKKERQQGIYPDPEVDLFMKATSVHGATLQTDYILRILGLDMCADVIVGNELMRGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVKCIQQIVHLGEATVLVSLLQPAPEVFELFDDVMLLSEGQIVYQGPREYVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQNEKPYHYVSVPDFVAKFKKFHMGKSLKKQLSVPFHKRKIHKSALVFSEQSVPTLELLKTSWSKEWLLMKRNSFFYVFKTVQGTFIALVASTVFLRTHMHTRNEEDGQLYIGALVYVMIVNMFNVPASLFESITWAVITYYTIGFAPEASRFFKHLIAVFLIQQMAGGLFRLVSGICRTVVITNTAGSLAVLFMFVLGGFILPKDAISKWLIWGYYSSPLTYGYIALASNEMHSPRWMDKFAPDGRRLGVAILENSGIFTNNEWYWIATGALLGFSILFNVLFTLSLMYLNPIGKPQSILPEETDTSLEDSEEGKEKSDITEKTKVPTSESLSPNSMITLDKVLEQLRGRSPNISDRSHMNASVRIAPRRGMILPFEPLSMSFSEINYYVDMPAEMKSQGVTADRLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITIGESLLFSAFMRLPKEVSDREKKIFVEEVMELVELNGLRDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTIVCTIHQPSIDIFEAFDELLLMKRGGQIIFSGSLGRNSHKVIEYFEAIHGVPKIKEGCNPATWMLDVSSAATEIQLKIDFAEYYKSSAVYQRTKALVKELSKPPPGSSDLYFPTQYSRSNFDQFKFCLWKQWLTYWRSPDYNLVRMFFALFTALLLGIIFWRVGRKMKSSTDLLIIIGSMYFAVAFVGFENCITAQPVIAVERTVFYRERAAGMYSAIPYALSQVVVEIPYVFVESVIYTLIVYSMMSFQWTPAKFFWFFYISFLSFLYFTYYGMMSVALTPNPQVASIFAAAFYSLFNLFSGFIIPRSKIPVWWIWYYWICPVAWTVYGLIVSQYGDVEDLIKVPGQPDKQVRAFIKDFFGYDTEFMGVVAGVLAGFTVLFAFIYVYCIKRFNFQQR >Dexi2A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:2A:30843860:30847487:1 gene:Dexi2A01G0018670 transcript:Dexi2A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETAAVSSPRASAAAAAAAAGAAAAATAPVSSPRRGGGAAAHHHRRWGPSPFRACLVAVWLLGFALVFLWQSTSVGRVRLYMRPPMPKRAPASTMGQWVASPPVYDLREFGAVGDGRTVNTAAFEAAVAAIAERGGGRLTVPAGRWLTAPFNLTSRMVLFLAAGAEILGIQLQFPEVVLFIFVDIQSRLTPLLIGHNGTINGQGQSWWVKFRRKLLNHTRGPLVQLMRSSNIIISNIALRDSPFWTLHTYDCKNVTISETTILAPIAGAPNTDGIDPAATKPFCNSSSLHASFTKLFVIHIVYADSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSANIIIQNVVIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNIVYRNISLSNIRVGIVIKTDYNEHPDEGFDPKAVPIIENISYTSIHGQGVRVPVRIQGSAEIPVKNVTFHDMSVGIADRKHHVFQCSFVQGQVIGYVFPVPCKNLDMYNERRELVKQSTFQNISDIDYSF >Dexi1A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:1A:7815218:7820026:1 gene:Dexi1A01G0009520 transcript:Dexi1A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKDGAELEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLENSGKHKEGGGDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDATH >DexiUA01G0004760.1:cds pep primary_assembly:Fonio_CM05836:UA:8851071:8851283:-1 gene:DexiUA01G0004760 transcript:DexiUA01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGGGEGNSNKQREEASAGGRSKQQQQSGLRRSASMGGRLASAAREQRARLYIMRRCVSMLVRCCREDD >Dexi7A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:7A:1487394:1488001:-1 gene:Dexi7A01G0000540 transcript:Dexi7A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIVTLDLDCFRWRKRIEEIISCIQARTEFVFEKVEYEKNKVIVSGPFDADKLCCMLRCKIGCLIKNMEVVKPPPPPPPPPEKPCQHQPCPQPCPTPRPCCQGHCRAPSPPPCPTPRPCCQGHCRPPSPPPCQCPAQIACRCRCCCRGMPCPLVIIIIEDRPGPCGIM >Dexi1B01G0025870.1:cds pep primary_assembly:Fonio_CM05836:1B:30815264:30819438:1 gene:Dexi1B01G0025870 transcript:Dexi1B01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGFSWALPDHPKLPKGKPVAVVVLDGWGEANPDEYNCIHVAQTPVMDSLKNGAPEKWTLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGDGFNYIKDSFENGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSIGFVETLENDLSQLQEKGIDARIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPKANDQYLPPFVIVDDSGNAVGPVLDGDAVVTINFRADRMVMLATALDETVKFGHVTFFWNGNRSGYFDEAKEEYVEIPSDSGITFNVAPKMKALEIAEKARDAILSGRFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKSGKPLLDKSGGIQILTSHTLQPVPVAIGGPGLHPGVKFRTDIQTPGLANVAATVMNLHGFEAPADYESTLIEVADN >Dexi2B01G0025770.1:cds pep primary_assembly:Fonio_CM05836:2B:34976957:34983231:1 gene:Dexi2B01G0025770 transcript:Dexi2B01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIVASPSRESPADTPTTAPSXXXLASAPPAVDRSGGSSPASAHSGDQLSAPDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFPNAIEIVAGSKRHFFGSFLSRDEAFRIIVDGWEQHVSDARLLLERQETKSASSSEENGYVLLEGTKESKRDEDSSPLDRSVSGKAISSSSADGGDSNINISKRFSKVEENGAEENIIALNPFNFEPVDEAAPTVPESYTMIAESKFQVPVEVLFNFLFSDGAFDFLDDYHKKCGDKDFSCSKWRSDDQGGLVRDVSFLHPIKIYLGAKFGTCQEVQKLRVYKNRHLVIQTSQSIGDAPYGDHFTVEGFWDVEPDSLDGNCCFLRIYINVAFSKKTIFRGKIDQSTKDECREVFGLWIKLGHDLLKQENNRPKDTPTSTDAGLDLGATENGENAAPMVSSTQDESGAKSLVPPIQDHQHRTGRDSSIASTSQELWGSLTSYVRSSQLGPVLAVALVAIIILMQASYSKESIDWVQKRLSLLSEEMQLAEVHMVKMRQEFAWLRSHLERLERLRGST >Dexi3A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:3A:3025407:3028735:-1 gene:Dexi3A01G0004660 transcript:Dexi3A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFERDRYQRLDGGGGGANRRPPSSSFCSSATIVVFVALCLVAAWMMASSNNIAVTVSPENKSEAKDQGASLNVAQSGDTAGDAVSDTPRTTGDEAGDSGKKDDVAGGDTGGKTPTSDEAGSGDTSSKVDDGKKNDDVNQSDVAGATDATANITTTGGTDSEESGDKQAASGTVAEGETPSSSKNQTFSDENGKTEGGEVAKPEDPDKKVEQSAELAMTDDANTTTTTSSGQAEKKNTDQSTDESGGQEDKNNNTEASSTDAKDTVSQTDKDAEEASTDSNDTTSKTGTNSEEASTNDKNTGGKHTDNSFQDADNAGDKSNNSTTKETPSTESEETVVVGGDGTAKNQTTFDDANGKMDGVQAVKEDEKVTDKNSDEASSTDDKAESTDDDTNTDAAASKNATAQGQNVAAETTAFATSDGTNGTTTPPDTEKSGAVNSSATAEETKPAAGDGDDAAEKSGELLPSGQAELLNETASNESFPTQATESSQEKKARASKNKKIKTTTSKEDNGGETTAATYTWKLCNVSTRADYIPCLDNEAAIKKLKSNKHYEHRERHCPVTAPTCLVPLPEGYRQPIQWPYSRDKIWYHNVPHTGLASYKGHQNWVKVSGEHLTFPGGGTQFPHGALHYIDVIQEALPEVAWGRRSRVVLDVGCGVASFGGFLFERDALTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGDVFDVIHCARCRVPWHIEGGTLLLEVNRLLRPGGLFIWSATPVYRKDPENVEIWRAMAALTKSMCWEMIKKTRDTVDQTAMVIFKKPTSNDCYDARGATSAAAEPPMCEASDDQDAAWNITLRPCMHRVPTGPSARGSRWPPQWPDRLATAPYWLTADQVGVYGKPAPADFAADQEHWRKVVHNSYLHGMGIDWNNVRNVMDMRAVYGGFAAALRDMKLWVMNVVTIDSPDTLPVIYERGLLGMYHDWCESFSTYPRSYDLVHADHLFSNLKSRCKLLPVMVEVDRILRPGGKLIVRDDMATVEEVQSVARSLHWEVRMTVSEQGEGLLCVGKTMWRPTEGEALS >Dexi6A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:6A:26113957:26120696:1 gene:Dexi6A01G0018400 transcript:Dexi6A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLPCVSAARPLLAAPAGLGLWRRAARGRRANVARGERRGGAGLRAAGATARPVASLAVEPEADEDEEEAEEEVERYAFGGACRVLAGMPAPLGATAFDGGVNFAVYSSGATAASLCLFTPDDLKAETVTEEVPLDPVRNRTGNVWHVFIQGEKVHAMLYGYRFDGVFAPERGQYYDASNIVVDPYAKAVVSRGEYGVPAPDDDCWPQMAGMIPLPHSTFDWQGDLPLQLHQKDLVIYEMHLRGFTKHDSSNTKHPGTYIGAVSKLDYLKELGVNCIELMPCHEFNELEYFSSSSKYVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRACSLWDPVNVYGGPMDGDMITTGTPLVTPPLVDMISNDPILGGVKLIAEAWDAGGLYQVGHFPHWNIWSEWNGKYRDTVRQFIKGTDGFAGAFAECLCGSPQLYQAGGRKPWHSVNFVCAHDGFTLADLVTYNNKYNLSNGENNRDGENHNLSWNCGEGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEEQSSDLYRFCRLMTKFRKECESLGLEDFPTSEQLHWHGHQPGKPDWSEGSRFVAFSMKDETKGEIYVAFNTSHLPVVVGLPERPGFRWEPVVDTGKAAPYDFLTEDLPDRALTIKQFSHFLNSNLYPMLSYSSIILVLHPDI >Dexi5A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:5A:1279478:1280660:-1 gene:Dexi5A01G0001800 transcript:Dexi5A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPFSDAGLFDGVFYGGFDVGHGYGHGEYEYGLAGQQVVGASTPSPVVLDGGVTTEEVDVAAAADASAAELDAPPERKGDDRRSEKAAMALKSHSEAERRRRERINAHLATLRTMVPSNDKMDKAALLAEVITHVKKLKTSAARIRSQCDVPADDDDVTVELVAPGAATPSPSPSHGGGVLVKATLSCDDGADVYADVKSALRPLGLRVVGSEVTTLGGRVRFTFLMSSSPCGGGGNVSGGVADSVRQALQSVIDKANSALEFAPRTSLLNKRRRVSTFESSSSSS >Dexi8A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:8A:20533528:20535217:1 gene:Dexi8A01G0011580 transcript:Dexi8A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVLDVERALAEHSDASSESSSRWQRHCIYRVPACIKNHNPKAYKPQVVSLGPFHHGDAELVPMEDHKRRALRHLLRRSGRPLEAFAAAVEEVAEQLEDAYQDLDAEWRAGDEGGRERFMEMLVIDGCFLLELMMVAGAGEDEGRSSGGGGGGYAPNDPVFSRHGMLYMVPFIRRDMLMLENQLPLLSHDEIKRMVLRFVSPSSRPTPDGDELGLHPLAIYHGSLGHGPYRVSRRHDVPDTGGAEIIRPATELHEAGIKFKKSWTDSLHDVRFFRRGGVLSVPAMSVDDSTEYALLNAMAFERLHAGVAAGAGNDVAAYVFFMGKLLDSARDVALLGSMGIVQNAAGSDEAVAKLFERMSKDMVLDPSETELDAVHRQVNAYCRRRRPWRMCGGGGGARQSRSDAWLRTPWVLFAIIVLLVMVIVQTIYIVLQFYELRHR >Dexi5A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:5A:4016729:4019621:-1 gene:Dexi5A01G0005380 transcript:Dexi5A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASHLSPPRFHVPRRSPPPPLRRTRFSPVRACKLEAVLSIGTHLIPHPRKAKTGGEDAFFANSDAGGVFAIADGVSGWAEKDVNPALFSRELMRNSSNFLNDEEVNRDPQILLMKAHAATSSIGSATVIIAMLEKTGTLKIASVGDCGLKIIRKGQVMFSIYPQEHYFDCPYQISSEATGQTYRDALVCSVNLMEGDIIVSGSDGLFDNIFDQEIVSVISESPGVDKAAKALAELARKHSVDVKFDSPYSMEARSRGFDVPWWKKLLGAKLIGGKTDDITVVVAQVKTVVVPEGEGGDTEEQKGTEQGVAVAVAYAEQNEG >Dexi1B01G0030760.1:cds pep primary_assembly:Fonio_CM05836:1B:34626117:34626686:-1 gene:Dexi1B01G0030760 transcript:Dexi1B01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQETSKDDGASARTLSPSGGDQAGDDDKEMQKQRAGSGDDEDDDDEGTRQPYKCTFCRRGFPTAQALGGHMNVHRRHRGRSSATAPIAAAAAHHQGSSSSCYEQHPSTAVVAFGLTHPATSLSERKRPYELRLFGRDDCAAAAGGRRGNKEGDVRRDRCYARDDGDGGADHVGGEAELDLELRLGP >Dexi6A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:6A:23066145:23066668:-1 gene:Dexi6A01G0015620 transcript:Dexi6A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVSTDIAATSSSSTRSPARSSPCHRRHRFTYHPATGLYKVVHLPFHGEVGTFNTVNVFTLGDTSWREVPVRLGSSCLLKFGIVSVNGATYWVSNDGHSVMSFDLNGDERQLFVATLPVRVGLLLDLDIYCQLTTDMSGKLGVAVCSHDMKRNTTLKDQD >Dexi9B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:9B:2199116:2202795:1 gene:Dexi9B01G0003820 transcript:Dexi9B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPFDEAELLVLPASPAVSPPRRLKRLKKFSSQTTTTTVAATPGPPAGSPPPHPSSPSQERASPGGETLAPSPSRPPNPSPRPPTPSDADAPSPLPHSSPTPVSSSLPPTPPDADADAPTPLHHSSPAPVSSPLPPTDTPGSDDEEDDGLDPLFSETVGGAAGWDPLGLPAEGDEEEEEMLEGGLIEELRRESAAKKRLDMDEADGGIAAGAEGKGKRSKRKRKEEAPKESAREKKRSEKERRAQLDSIHAESQRLLRETRSASFRPTVQPVCKPISSVLEKIRLRKLEILKKSNITIEDNDDSDSASEPASDSAVGLDVPQVKEVTTDEKGLRIDDVDKELGTNCHDLDQCDVEEDGDDLNCKEKDLRKSDAKVSYEETSDKLQKNQEENTQSTDTHNNSVDQTQVPPSSSPAESTDDSSSEDEEDDDKENMDPIAQNNDVNTREHLQQAIGGDSCPDNAILKDFLDDEAEEEDDSDNDMMRFKDDEEDDGSDENEVFNDLIAAGYEEKEVDHEKRNELHQKWLQQQDAAETNNFMQRLKFGHQVQKESVHEDEDDDEDEDVEDCEVKSEKEMSYDLTPANVARQNSEKAKQMIAKMFADDNDTYEHSDDEEIEEHLARQRISKREVDNNTFISPLEDDSSREVFGLIKKLNIAPQPKKKGKQSTSNHEMLMAGRNSSASKVSDY >Dexi5B01G0036750.1:cds pep primary_assembly:Fonio_CM05836:5B:36386376:36387933:1 gene:Dexi5B01G0036750 transcript:Dexi5B01G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLIVPSDDLPQGPISNDTSHKRFFSIAPPAVLLFFVLIFVAGAIVTLDHKENLSILQLQPKEVFATEEIRTPATSELRSEPTEEPNICENQCRPPGSEALPRGIVQDKSNFEMDTLGGNPERKEDDKPSKSLLAIPVGIKQKAVVDKLVSKFPAANFIVMLFHYDGMVDGWRDLKWSDRAIHVAVRDQTKWWFAKRFLHPDLVSEYEYIFLWDEDIEVDSFDPLEYLKIVRREGLEISQPALDRRSQIHHRLTARGRKGDVHRRFYKTNGHGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCSWHMIQNDLIYAWGLDFKLGYCAQGDRRRNVGVVDSQFVLHRGIPTLGDGGDKATPVSARSTSATDRLAVRQRSYTELKVFNRRWKDAVAEDGCWTDPYPNPATTD >Dexi3B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:3B:15023116:15023912:1 gene:Dexi3B01G0020030 transcript:Dexi3B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAEMPQPDDNASTPADDRLIAFLHQKLAGEALPAAAAAHFHDADIYSADPATLTLGFDPSPAKKCDEGGSWFFFTHVKPKSCNDSRKSRMVGGGAGTGLHGPVLDDEGSCVGHSQYFSYKRKTGKNCSERTDWYMVEFTEGQEGDHERVHGGEPMLVLCKIYRAHSSSRSSASSRYARKRKPTDKHVDQSSAPVKAKRRLFAPAPTKAAVSQKQVSSRVTMDGLQGEAAKSELES >Dexi4A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:4A:5016901:5023669:1 gene:Dexi4A01G0006920 transcript:Dexi4A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVIGGNEEDKGQMSRKDKAQKKRKKDKSKDHGGDGNRSIQSDERNHTLDMEKAEVPAEMAENSCLEHAEGSNEEDKGQMSKTDKAQKKRKKDKSKGHGGDGDRSIQSGERNHIFDMEKAEVSAEMAEKPCLEHAEGIITKSDVKKDRKKKRKDKEADTIIQKQIHDANDDSIGSESVERNKGEGEQDIKSKKSKQKHQDGETSSNASSGDQIVSGGDKKRKRKDLSVTMEEGNEVDISQLGQKTKGKKKKKRKERDNVGVDLSQNTAAVDGKNSNKDKKPSKDENDGGKRKKVNSPHRKGKGKQVSFTDDVEVFNIDGGDDGKGDGSSDDGLVHGRRFSCEEDAKLMEAIVQYAEMKQLGEKGLEMIRSSIKHPEVKGCWAEIAKSLPHRPVMAVYKRARILLYRSVERKWTQEEYDIIRRFVEKNGTSWKELATELGKSEIHVKDAWRRMKPKNLKRGTWTQDEYQNLFDLVNLDLRVKAHQKIAPSHRQLRDNISWEAISEKLTTRSNKDCCLKWYQQLASPLVKEGTWADTDDYLLMEALQKVDAVCVEDVDWERLLDHRSGELCRRRWNQMVRMLGGYREKPFIEQVEVLAKRYSPEMLDYRKPEASNLFPDELAEESD >Dexi6B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:6B:25521383:25522560:-1 gene:Dexi6B01G0018580 transcript:Dexi6B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCGNIISFLVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLVYAPRKARLRTLAYFFLMDVAAFALIVAVTLFAVPKHAQVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLWFWYRKPRNTNAVLPTTGGASAVQVQGQVIELAANTIAILSVSPIPIVGVHKIEVVEQQLKDAAVAAEACRMVAANPDGPPPQVIEIIPAA >Dexi2B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:2B:20263465:20268761:-1 gene:Dexi2B01G0013000 transcript:Dexi2B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVEERVGRRALPTLPNAVFNGAKAIADSVNIWTPQKKTFKIINGVSGIIRPSRMTLVLGAPGSGKTTFLKALAGKLDSSLKLQGKVFYNGKTIHSTPHYLCSYVSQYDLHHAEMTVREIIDFSSNLLGANNEFGMIL >Dexi4B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:4B:16000089:16005630:-1 gene:Dexi4B01G0014770 transcript:Dexi4B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPGHSIDAQLRLLAPGKVSEDDKLVEYDALLIDRFLDMLQDLHGPSIREFESYELAAQYEGGHDAARLSELGTRLTGLAPADAIVVASSISNMLNLTNLAEEVQIAHRRRNKQNQHGDFTDEGSAITESDIEETLKRLVNELGKSKEEVFEALKSQTVDLVLTAHPTQSIRRSLLQKHARIRNCLTQLYAKDITEDDKKELDEALQREETIWKGVPKFLRRVDTALKSIGIDERLPYKTPIIQFCSWMGGDRDEFWKQIPINEPYRVVLGAVRDKLYNTRERSLHLLTAGTSTISEESTIKSVEEFLEPLELCYQSLCASGDKTIADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTEVIDTITTHLGIGSYRSWSEEKRVEWLLSELRGKRPLLTHDMPMSEEVADAIGTFRVLAELPADNFGPYIISMCTAPSDVLAVELLQRECHIKNPLPVVPLFERLADLQNAPGSMELLFSVDWYKERINGKQQVMIGYSDSGKDAGRLSAAWQLYRAQEDLAKVAKKYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSIRVTIQGEVIEYSFGEEHLCFKTLQRFTAATLEHGMHPPVSPKPEWRALMEEMAVVATEEYRSVVFREPRFVEYFRSATPETEYGKMNIGSRPAKRKPKGGIESLRAIPWIFSWTQTRFHLPVWLGVGGAFQAAIKKDSKNLDLLKEMYKEWPFFRVTLDLLEMVFAKGNPGIAGLYDNLLVAEELKPFGEQLRNKYVETEKLLLEIAGHKEILEGDPYLKQRLRLRDPYITTLNVLQAYTLKRIRDPSFKVTSQPPLSKEFADENQRADLEKLNPASEYAPGLEDTLIITMKGIAAGMQNTG >Dexi3A01G0027300.1:cds pep primary_assembly:Fonio_CM05836:3A:24190435:24190861:-1 gene:Dexi3A01G0027300 transcript:Dexi3A01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPDAQLKPLDDQEAQDRQSRKKGGWITLPFIAGSMLGLGLAVNGTSTNLLLYLLKEYNVESIDAAQIANIVRGSLNLVPVAGAIISDSYFGCFPVILAGTAINVL >Dexi1B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:1B:12423330:12424171:-1 gene:Dexi1B01G0011960 transcript:Dexi1B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEVKKQLCLAGPLVAGFLLQYAVQMVSIMFVGHLGELALAGAAVATSFAAATGFGLLFGMVSSLDTLCGQAFGAGEHRQLGVHKQRAMLVLSLVSVPVAAVWACAGKVLARCGQDPEIAAAAGSYIRWLIPALFAFGPLQCHVRFLQAQNLVVPVMLSSGAAALGHPAVCWLLVRRLGFGFRGAALANGVSYLANLLFLALYVRLSPSCKTTWRGFCREALSGIPGFLKLAMPSALMMW >Dexi5A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:5A:3166719:3167879:-1 gene:Dexi5A01G0004210 transcript:Dexi5A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMEHAIKQHEEARATMAASGKMTEGGDLVDVLLRIQKEGGLNVPLTNGTIKALIVSSLDTRR >Dexi2B01G0027580.1:cds pep primary_assembly:Fonio_CM05836:2B:36460513:36463793:1 gene:Dexi2B01G0027580 transcript:Dexi2B01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIGEHGGSSCSRLPHHRAHLLRRGHLGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLYSSVPLPSLPSFLSPEPSPVPLPPSGDDSRTVVDLHHRNIFSEVEISGAAPEPSARIAVDLVGPRVLYCRDEAVDLLLRSGGSHHAIFGNTATLNHTEKTFLFNLFKLVRAHIAAADEDGESEASDKISEEDLDLPFIKYLKKHQLQPKMIAGVLYAIAMADYDQDTDCSEKLLIAREGIQTIALYSSSIGRFANAQGAFMYPMYGHGELPQAFCRCAAVKGALYVLRMPVSALLMDEQCYICFDMTPSLHLALEEQQIAAIRVLQLSSNLAVCPPGMFMVYLSTPCADTSTGTQCIKKAIDALFAPQASDPLEGHLEETSENNEDLKPTVIWSCVYAQEIMEGTSSSLLSCPTPDEHLDYRSILDSSKKVLHCILHLIGMYLHQNYGSMLFADICPNEEFLPNTAIYADDDSDSAE >Dexi8A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:8A:29197750:29198058:-1 gene:Dexi8A01G0017520 transcript:Dexi8A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAASFLAAGVAFAPGALRLGGDGAAAAARLFHVLSFATAWGAGLWVAFIGATVMSKSEASRRYRYRY >Dexi3A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:3A:6232162:6233839:-1 gene:Dexi3A01G0008980 transcript:Dexi3A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVEAVKKEGGSFQKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDWICMLPTSSRA >Dexi2B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:2B:2680429:2682210:1 gene:Dexi2B01G0003070 transcript:Dexi2B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAPPPPATAPATAPTSKSKKPSHANPAPIKARPTFPARPMRATPSRRRGGDSPATTTTTTTTGNPSWNPLQRLAAAALDAVEENLISNLLERAHPLPRTADPTIQIAGNYAPVGEHPPSPPLPISGSLPPCLDGVYVRNGANPLHAPRGGHHLFDGDGMLHAVRLHGGAAVSYACRFTETSRLRQERAVGRPVFPKAIGELHGHSGVARLLLFGARSLAGVIDASEGIGVANAGLVFHSGRLLAMSEDDLPYHVRVTDDGDLVTVGRYDFDGQLDTAMIAHPKLDPVTGELFALSYNVVTKPYLKYFFFTADGRKSPDVEIPVDAPTMMHDFAVTENYAIIPDQQIVFKLQEMVFGGSPVVYDKKKTARFGVLPKRAGDSSELRWVEVPDCFCFHLWNAWEDDFTGEVVVIGSCMTPADAVFNDSGEESFRSVLSEIRLDVRTGVSRRRAVIAGGDGEQVNLEAGMVNRQLLGKKTRYAYLAIAEPWPKVSGFAKVDLEDGTVEKFVYGEGRYGGEPCFVPRPGGGAGEEEDDGYVLCYVHDEGRGASEMLVVNARDMREEAAVELPGRVPYGLHGTFIAGDELRRQA >Dexi1B01G0031350.1:cds pep primary_assembly:Fonio_CM05836:1B:34972769:34977329:-1 gene:Dexi1B01G0031350 transcript:Dexi1B01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGARPRRRQHIQVLLAAAMLLLSSFTARLPLAVSARLPHSATARLLLFAGLPPSSPLRAFCPRARPSPATCAAFSSSSSTMAAAADDNPLLAADFDFPPFDRVEPSHVRPGIRHLLTRLEAELEDLEKDVQPSWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWDTLSDARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLSQKFSENVLDATKKFEKLITDKKEIDGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYVAVMQHARNRDLREEVYRAYLTRASSGELDNTNIISQILKLRLEKAKILGYKNYAEVSMAQKMATVERVQELLEKLRAASWDHAVKDMEDLKIFAKDSGSPEATDLTHWDLTFWSERLRESKYDINEEALRPYFALPKVMDGLFTLANKLFGVTVEPADGLAPVWHSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGLPARLPVAHMVCNQTPPVGEKPSLMTFHEVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKDTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPYGSLSIYDVDRRVAEQTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNDKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >Dexi9A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:9A:7567017:7567468:-1 gene:Dexi9A01G0011930 transcript:Dexi9A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPRPQAPPMRRRRQGRLAGDTAAGRCCSRTRSSCGVDGDSSRLARRCLTGANGRRVAASPAATSLITDSFVWLVWQMTRVVAGRRSWCSRLRSCVRLWIRTFLLRARRIREDASCRKNK >Dexi9B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:9B:11786109:11788866:-1 gene:Dexi9B01G0017080 transcript:Dexi9B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPGAALLASSSPEEEEEKTAAAEEGRRHGEAAAAEQQQEEEDEAAAVPVVLKKGPWTTSEDAMLVDHVRRHGEGNWNAVQRLTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMAAHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPEVQLQLALSNSKRCRYDEFSNVQALDAAASAAGYTSSRPAPLDLARQLAATSQMVQILSSPPPAFSAPASPWPAARPFARNAQYFQFAAHHSSPVSPSTPLHPADLSLGYGGGVRAGAEQSRLAPLSPASHHGPRVLELPSNQFLQPMPPASAAAGGGGGAAALLLDHHQHQNQNAESLEKMLQELHDAIKVDPSPAASGGGGGVLERRHGGGGENKSGGQHRDDDMDTLFDMMIPTLNAPAAAATTNHSGSTSQHSSDDQEPSAVDLAVDLQATGGASSSAQDWGLDGVCQWSSMSRIC >Dexi5B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:5B:10809938:10810804:-1 gene:Dexi5B01G0014390 transcript:Dexi5B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGGGGGDAKTKIRFDFSWADEVEREEREQVAMQQVRRMEEKKREQIKPDPFGAARPREVVLAEKGVDWRARDLELELAAAAAAPRPPRSAARGHRHAASTAEARSGRATGGISASACAETPARGVPLDRDAGSGRAPHPRRQAAAAASTPRPPTGRMNATSVSRSARGGGKRKFAGEGPVRRARPVGDHAEQGRRVFGELNVGNGCDSSFSASDAGNSCDCNPGGGQTKGMKSAAAAASAADGVPSTVVTATGFDGSAAGQKRRRGKGRNGRGSGKTKKQQTQLV >Dexi4A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:4A:1274169:1275036:-1 gene:Dexi4A01G0001920 transcript:Dexi4A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEAAPAVVVAPAPEKVDEVKEAGAVEAPEKMEEAPKPAAQGKKAEDGEAKKAEEVKKTKKPRSRKPKSAGPHHPPYFEMIKEAILAQDGGKVGASPYAIAKHMGEKHRDVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLAAAEEKKAAAAKAKKPAPAPSKRKRAAAPAKKPAAPAEARKARAKRARKAAPAPAQPMPKPKQQEQGRPVRAAVARKAANKASA >Dexi8B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:8B:4863644:4865416:-1 gene:Dexi8B01G0005040 transcript:Dexi8B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFASAGGAGAMAAELLNPRFIRQVVSGRWFTLFASLLILSSGGATYAFGIYSRDLRSAMAYDQRAIATLAFFKDLGANVGIPAGLLLEVAPPWLVLAAGAAMNLAGYLMVYLSLAGHVTRPPLWLMCFYICAGANSQTFSCTGALVTAVKNFPECSRGPLLGLLKGYVGISSAIVAQLYLAIYGGGGDGGDDTKSLVLLVAWLPAAASVVFLPTVRVLPPQQRSCRSQSSGHGSGGEVFVSLLYISMALAAYILAMIVVQRQVVFSRTGYAASAAGLLLILFLPLAVVVKQEYQTNKELQLQEPLLMAPTTVTIVDETASSPMPESPANTPPSWLTRTFTPPAHGEDYTISQAVVSIDMAILFVVIAVGTGGTLTAIDNMGQIGQSLGYPTKTIDASVSLISVWNYAGRVAAGYLSESLVTSRRYKLPRPAMITAVLVVSCAGHLLIAAGAPRGTLYAASVIVGFCFGALWPLLFAVVSELFGLRRYSTLYNVSAAASPVGSYVLNVLVAGRLYDDEAARQHGGGGGGDKMCMGVECFGRSFMIVAAATAAGALVSMVLVWRTREFYRGDIYAKFRDTTATERKESPGV >Dexi7A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:7A:8896897:8898507:1 gene:Dexi7A01G0002210 transcript:Dexi7A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLFYTTCLILAVSSVYLLGQLANKRRNLPPGPRPLPLIGNLLSLGKLPHRSLARLANRHGHDLMTIRLGTVTTIVASSADAARDVLHRHDAAFSGRFLFDGTHVFAHYTHSVVWLPATSPRWRALRKVCSGELFAPHRLDVNGSLRGDKVEELVSHVARLASEGKHVRVGRLAFVTALNLLSSTIFSTDLVSLDDRLGLGREFKDVLAELNYTVGLPNLSDFYSELARLDPQGIRRRIEGLFRRLHAMINDQIEKRLRERAVTGESSTQKNFLDVLLDYRNADDGRGFERQTILSLLSDFFSAGTDTSSATVEWVMAELLLNPSCMARARKELDQVVGSKQVIEESDIGQLKYLQAIVKETFRLHPPAPLLLPHLAGTATQVRGYTVPKGARLLVNVWAIGHDARVWPEPEKFMPERFLEKEVDFKGRDFELIPFGSGRRMCPGSPLAMRMVHLMLASLLHRFHWSLPTDVEKNGVLNMDERLGLNLAMATPLQAIATPV >Dexi5A01G0024570.1:cds pep primary_assembly:Fonio_CM05836:5A:28398890:28400512:-1 gene:Dexi5A01G0024570 transcript:Dexi5A01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFVNMTLFLLLAVLTRSAPIPEPQNHEEIKPPPLNTYIVHADHLAKPSPLSTHEDWYTSMVATHSPRPAVLLYTYDTVMRQITRDKARRMSEAPGVTGVHEDRVLQLLTTRSPGFLGLDPGFGAWKVTDLGDGVIIGFVDTGIWPESRSFNDRGLGPVRPSWKGKCVDAPGFNATSSCNNKIVGAKVFAAGKGAMRRTPRDKDGHGTHVASTAAGSEVRNIGIGMFARGTARGVAPKARIATYKAASDTPITDVVAAVDAAVKDGVDIISLGFYDLSPFHNDSFAIAVFGADRKGVFVVLAGGNTGPAASSVINVAPWMTTVGAGTVDRLFPVGLKLGDGTVITGQALYNKTTKSTMTPLLSVSCSQPLTPDKIRGGIVICVDGSASQVEVRDAGGAGQIIIETWNWNIGGTEAGVFDTPAVVLSRAAGKHLRAYMDSVPFPVASLSFTCETVIGENRSPLVVSFSSRGPNPVVPELLKPDVIAPGQNVLAAWKGGYIMESGTYVAPIRHHAAVWTRGTRGYTKYVGDTRVPAYPDF >Dexi7B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:7B:23107413:23108399:-1 gene:Dexi7B01G0017130 transcript:Dexi7B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSVARREAFLLLLLAATVALAVPQQQQELELQDTVLLDDVVQEAAEAWYHGSSHRRRTGVAYPLPLPGSLSGVEATVARFRSGSLKRYGVRQFGEFAVPPGLAVCDAAAHHLIAVRVNLGNVSSVYDEYATGAGYRLASPVLGLTFYGVARRRNGSSSTTAALEIDLTRAAIRVNFTVAVPALRPGLAAAGALCMAVGLNGSVTVTDVEEGTNTCHASDQGHFALVVGGAGDNGGGAEAEIGEVSKWKLALFGAALGAGGTVLLGMVAVAVLSIRRRKSEMEEMERRAYEEEALRVSMVGHVRAPSAAGSRTTPDELESEYCATL >Dexi9B01G0024930.1:cds pep primary_assembly:Fonio_CM05836:9B:24129579:24130341:1 gene:Dexi9B01G0024930 transcript:Dexi9B01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLKELSKKADGKVLAIDLRTDLLEKKDCKTTRGARRKGCYNADEVLGFLRNDDIIPAEKKGEFLKSSNSDLARALDLEICSQSDVFIPAVPGLFYGHVTGRRIALGHTQILVPSQSSASTQASDVISTYISNKNHLAYKCYC >Dexi3B01G0027700.1:cds pep primary_assembly:Fonio_CM05836:3B:23379716:23380757:-1 gene:Dexi3B01G0027700 transcript:Dexi3B01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEMEKLRCVNEVVSLKNNELSLELENLKKHQAERELTRCTCNGQVHEGTTTANAAEEGWFDPAQLNRFINESHDRQIKLTEIREKLIEVFTNIGHCGPITGIKRLGEINEKLFLDAARSNGMYKVQAAEKCKAWQEKIHGSNWHPYKRITEDGPSEEVLDEEDEALKELEACGQGIYDVVVEALKEMDKYNPSGRTIVPELWNYREGRKATVVEGIDCLKKKMMGHENEKNYNKRRRSLRPR >Dexi1B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:1B:10622201:10626578:1 gene:Dexi1B01G0010780 transcript:Dexi1B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDDAPGSSGGAGGGGGLADWARHRKRRREELLKEKESTTPMSDQTNCNEVEAEECDAYEENQEEPVAMIEESPPDVEQDGDDGPGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPNLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADTLTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKRDECSRLFPSGRIYSQAFHLAGQGFFLSAHCNVEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTKPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >Dexi5A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:5A:2407381:2407983:1 gene:Dexi5A01G0003250 transcript:Dexi5A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRLDGDGVGESPGELAKPMDMDGDTTQSSAEFAKPVYLVAVREDDPAAYSVLQIDAAAVASGDGDDEPPRVRSVAGLPIADEPGMSFVAAHSKHGSWIVGVGGGQRARTIIFDPRTLETFQGPRLAYPKHEPILISHGGEVYALTRRPRVVPPIDREPWFESITFNKGVPSRECGGWAHWDILPPPPFFPCFTGPL >Dexi4B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:4B:6228911:6230269:-1 gene:Dexi4B01G0008660 transcript:Dexi4B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHRHHAAPPPLAAAVLILLFPLLLLVPASAQPPPSRDKDNGGDDDGGGGGGYMQNQSQGFSAPMVVLLVALIVAFFFIGFFSVYMRRCGRGVSSSGGPTTIPAAALLALSRQEQREQEQQQQRGLDPAVVASFPTMRYAEAKELRVGGKDAALECAVCLSEFDDEEELRFLPRCSHAFHPDCIGEWLAGHVTCPVCRCNLDPDHLAAAAVAMNTGEVAVAREEDQQQQQDQVAIDLGRGGDEEEEMRRREEAMELERIGSQRRAVRLRSRSVRQPPAPLHVPRSHSTGHSLATRRLDGDLERFTLRLPEHVRREMVAAGEESLRRTAPAAGRDHQQEEGRGARLGRSDRWPSFITRTFSSRVPFWSASRRAPDAEAAVAGATAEACTATARAAKREKTATDGGSVTPATKGSVRFDCLGGGAAAAVSGARVGDGETEDEDEEKPIARQA >Dexi7A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:7A:28681785:28685448:1 gene:Dexi7A01G0019530 transcript:Dexi7A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKAKPPPPPKAAAPSLAEALLLATVCMVGLPVEVQVRDGSAYAGVLHTVCVDDGYGEQPVPFPSLSLLVETCPFVGLVDRVHVGFLGSWEWRVVLKKAKKITNGKGDANMSLGAFVDTLVVHPDDLFQVIAKGLTLPIKGVGRTPDCNAVAASGSLKPQTSHANDVKISKTEKMSSPEQAVKCTMADKAKNTSVKKNGTSVGSSTAHVESMNGASRSAALAHKVDVTNSVIASPNVASDVKASRSANNSANMIVTSSKTTAKIMGHNASRLDPARVGSPYHPIQVGATYTSPTPQPVMNGKFSPVVYVHPISQDAMHGTPVSSQGWSRPVLLNSYQASMQKLQGNVPVYLAPPVMATGSLPLVVPCPAPLVQPFQAMHPIMVPAASSMVPGKYM >Dexi4B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:4B:5600198:5601093:1 gene:Dexi4B01G0007770 transcript:Dexi4B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRNASPAAAAEPVGKDSPHPDSPTMMTSVPLGAPVGSLLDAGEPKESVTVTVRFRPLSPREVRLGEEIAWYADGDTIVRSEQNHSIAYAYDRVFGPTTTTRHVYDAAALHVVSGAMDGVNGN >Dexi7A01G0017110.2:cds pep primary_assembly:Fonio_CM05836:7A:26891616:26892284:-1 gene:Dexi7A01G0017110 transcript:Dexi7A01G0017110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLSAGATAHAASHSTLTASLVATPDTRSIVLATAATAIGCSAKASLPSGHLHNRFRRSSARSTTRRSASTPARSSTSTHTAPTSTVLPRRAPRERAATSSSTSSAREVSLGEAPSERSSRRDLIRSSTSTPAVQSRPLALETLETMAMAWSLASELPHWTRATTGLMHSASSERGSAARTEAASSHVRNAEKSPPAMLSASACVMTRPST >Dexi7A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:7A:26891244:26891615:-1 gene:Dexi7A01G0017110 transcript:Dexi7A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQATNSSCAATSPSTQALRFLSAVTLNGVASMPASTDGSLSRPSFLHVDVLDVDESQEEMTLLRVWLGVRSKEVTPVDTVTGSSISSMEMGHRNS >Dexi4B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:4B:6163778:6166344:1 gene:Dexi4B01G0008570 transcript:Dexi4B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVLFHCWYNNDIDFDFLNSMNDIIDKYSTNSKNLGKSQQQPSIDLNVEQSKQMRGEELEGLSVEELHQMEKKLEAGLHRVLSTKGAQLEDENKRLKKQVTNHICSDNIHLSDRRIGQVYNSILILGSMQAPEVIAGAEVAADTEICPR >Dexi4A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:4A:5534311:5552086:1 gene:Dexi4A01G0007440 transcript:Dexi4A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRNASPAAAEPVGKDSPTMMASVLLGVPVGPLLDAGEAKESVTVTVRFRPLSPREVRLGEEIAWYADGDTIVRSEQNHSIAYAYGMCLVLFGVFEGVYMVENISYFLNRHFLLGQGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNSSGQNLRIREDLQVRNFHKKPSRFMASISPFLYATLYLLFQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESSEGETVMFSQLVGSSSYFLLLKCFQTTKMHRFLNFVVHLQNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHVPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKQIEIQASQNKKHDDGSAALTRSYGDQSSLRKAFTAPSTPLGVGLNFQTEQGMSNSFLPENVSADLLSVDHEEFHSDGLRGGEAPLDSRKTTDHVHVDLLREQLKILSGEVALHTSVLKRLMEDAGRSTTNVHIEFEMKRVNDEIKGKQQQIANLERQIKGKLDHLEHPLVKTADNRIMQDQLQHKANECQKLQETVAHLQEQLSEALKANDLLSGSIIFQHCTNTSLQTGSQVHKLDPASIDVFDEHRKAQLLEIDELKQKLHELTEAKAQLEAHNQKLLEESMYAKGLASAAGTELKALSGEVTKLMNHNERLASELASARNSTQRRVNNGQRFSRRDSYTKRHEPASRRDVHASYEREQALEVMLMEKDQREAELQKKIEEAKQKEAFLEGELANMWVLVAKLKKGQGVDQDDMDAKHNGS >Dexi3B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:3B:2203559:2206218:1 gene:Dexi3B01G0003400 transcript:Dexi3B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFLSCSYSSHSPFMHARMRMLLASKLRRHSEQRDTSPPLWRYDEQRPSPTGYGQAEHHAILKKPTINYSATRRGRGRSRRSEHHPVAVCMHRVTIDPSTWRWSTYLLINNLW >Dexi1B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:1B:11632504:11633380:-1 gene:Dexi1B01G0011480 transcript:Dexi1B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEEQFDELPLSALTIATRFFSDDPLGPVASSASFFHLAALLRISSLPITSLTRSATCSLLTSASSRTPTPHASQAYLQLVYWSANTGQHAIGTPSARLSHVEFHPLCVRKHPTAGCFSTFSCGHHEHRPAASGTNAGGSAARPPAAAGEVQQHILRHRSVGCFVNHAGFSSVVEGIVAGCRLVLLPMKTDQYFNAKLFARELRVAVEVARRDEDGWFGRGDVRDAVAAAVATEGEGESRKWRDFFTADAVQDKFVVDFVRELKEVVRA >Dexi7B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:7B:16960145:16963491:-1 gene:Dexi7B01G0009340 transcript:Dexi7B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTSTADLLSWPATGPDAPATASPAASSRPSLKPAAGITPAMFGAPVSEEEVEDLSKSERKFCSGSKLKEMSGSGIFAEKSENGDSEASNHANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSIPEVAKQRELSGTQEDADAKMNKQLSEAKTKELSGSDIFGPPPEIPARPLAARNKELQGNVDFSLPQRSVHTSVKVSNPAGGPSNISFSEEPVVKTAKKIHNQKFQELTGNNIFKEEAPASAEKSLSSAKLKEMSGSDIFADGTPAPREYLGGVRKPPGGESTITLI >Dexi1A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:1A:9807152:9807782:-1 gene:Dexi1A01G0011040 transcript:Dexi1A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKQQVGGGVKVTYIETSFVTSDAASFKDLVQRLTGKSPTAAGAKPAAPHKPGAFHAGGARTTAAAGAGAETCHYYRQAGGEVGPAAVASRAPPCQEDLLLGGEFFSDLFYVGAGEQRVFLCKD >Dexi6A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:6A:27324748:27330574:-1 gene:Dexi6A01G0019680 transcript:Dexi6A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCCSEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKIREKYLSLKKGTRPDITTAIEDVTSLSHIEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSVNGLNDCYNGDGIQTIGRSSHKMIEAVMQSATRGKGGCSIQRSVNPPEHGSGLLSRLFSSHYHGIVHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLTSQSPEQCFMSSPKGSGHDRSASESSSFTSSVEFEPSLNDDLVLEKNSGNGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNICADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNDIWEEMLPSSNNGRPDDNLSADGSDTSQYLSVRKPKHKDAFSTKEKFIHAKYVDKEFIRNHSMDESQLAHQMWNSVAVNDKKGAYGLIVRSRANVNLVWGDMSSTSCLTLGKALQLEQPVSTPDGSPRFFDCNSHEKISPREPLSPASTSSRTDDMEDGCEGFSLLHLACRLADVGMVELLLQYGASVNMIDSRGRTPLHHCILKGRHQHAKLLLSRGADSQAMDRDGRTALQYAIDGGTSDDDILILLEDHSR >Dexi1A01G0025790.1:cds pep primary_assembly:Fonio_CM05836:1A:31932020:31933388:1 gene:Dexi1A01G0025790 transcript:Dexi1A01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCDFCGKLRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCGSQPAAVRCVEENTSLCQNCDWNGHDAASGSTGHKRQAINCYSGCPSSAELSRIWSFIMDIPAVAAEPNCEDGISMMTIDDGDVNNLCGASDDKRLLEIASTTLMSDPPTGEKLKPLIGSSSGDGFNILPPATDQPAGPVSTTPKVPYAMENDKFNDGSMYEDLCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFELKEMPPFDSNEVCPVLVCYI >Dexi8A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:8A:23421135:23423089:1 gene:Dexi8A01G0013420 transcript:Dexi8A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEDRISGLPDKLLLCILARLGSARAAVRTGVLSRRWRHILGPLPLLLLDGNHGAPPPPLESVLDKIDAALAACDAPIFKRLDIGFGLWSTADGLGVPAMRAARWLRFASERVVDGLYIYLPRPEIADGEQEAVLELPACEAVTTIQLVLVATWQIQPSSAGLFRALTDLRIRCGSMDGGELAALVCTQCPCLVNLKLSLMELVNSPDVSIRSDSMQSLDFAVNGTLRLQIVAPRLEKLSLSCIKINEARICAPKLAELGWSNGSYDPDRHQFEGVGRRLRVLKPGRNASLMRQLFEQVDELSLSIWIGRGIAEYRRFLNETKNLPKCNILNISVVWNHHGFVPCMLHLLRSCNSTRKVSLFMHVSAYEKRRRCDPSCPCRFEKSHRIDDISLNSLEEVEITSYTSSQNVLEFVEQLSRCSAAQLKKVVMKHKMKKIKPAPPPTKEAREKICRMFQPNIEVEFYVFSKLELVRLD >Dexi9B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:9B:15182653:15187901:1 gene:Dexi9B01G0020440 transcript:Dexi9B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKRRGRFRNSLRRMAMECLCSGEQLKGADETVRSSDSTITKDYSASGYSSRNGEIERYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKADRRKTHSQWDTPPMPLHAVSLLMEAIYLKARALHDLGKYKEEDDLSALNLLKRILKSGEDSDCLKELLLASKACVEKSAHTEDRASWQYEALEVLGNAEKKMHGRDSRVLYSLSIENAEQRKLESASLYAKKLVKLEAGSELRSWVLLARILSAQKQFADAETVVDAALDQIDDQYRVSVYLKQNAFTYEVNIWKAPPWKP >Dexi1A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:1A:20935600:20936053:-1 gene:Dexi1A01G0014360 transcript:Dexi1A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMLLEAAHDGDLLTFNKMVRFLDKGRGRLRETVEAVTMDTDEEELKGIGALHLVASNGKLEMCRYLVEGLRVDVDIIPWLFL >Dexi1A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:1A:21930284:21931880:1 gene:Dexi1A01G0015060 transcript:Dexi1A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDSADRRDPPELSSGQPAVSDDDMNAFRAVLGADLPVAAAVDALTRCGGDTERAIKWLLDNAAADRDGGDVESGNGAAPVPAPRGVKAEPGIGDAAYPPSPLPPVKIEAAREVKVEIKTEPIDVHPDEEEVKVKVEASGEEEAKVEASGEVEAKVEAPGEAEVKVKTEPIEAGGPAVRRVKEEEKADEVDVKDEEEPPLDSPIKGEVLSPRRVKEDESDCSEGEVEMMDPAPRSKKRPYEEDGVVFIDPTTSHPAPYLNPKPIRAMPPRGAIPTNEWRMVVAPPLAELDECPPDRREWCIFKKSYATGLSTCRGRKLLDGGEVVHFAFPSYDRIHGGLRVSYRQAAALAEIVRFSTNRSGELGKLSPVWAKCLAPLVNSSTIMVQGKIVFPMMELRLMQEVLLYVSFYVHRSSMCLIAPENANHPDNPLRGLFKLLRRFGVPDV >Dexi3A01G0024680.1:cds pep primary_assembly:Fonio_CM05836:3A:20315883:20316677:-1 gene:Dexi3A01G0024680 transcript:Dexi3A01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIAPALGFDAAAASLHQRRGVAAVAPAACGVMPRKPVLRVGAAVSSAPTKKRSRDGFDGEIGQGEGLAGVKVSAIPAPGKGQKRLEERMSAELDAIRVLHRKAVLLCRGAARSGAAAPAAKGDAKFSAAGLRRREPLEAEAKRKKTSLSKPTPDAKKQSTEAVKQKQQHRCPIQRATPPPTTTMRSVAKAVDKAGEIEKTRLLEEIAVAREKCRQEVLEIERTALPDETIYPRDLEELGIAFQYAVTRTWKQAHDPAVSCLR >Dexi4B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:4B:11749865:11751462:1 gene:Dexi4B01G0013300 transcript:Dexi4B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPSLEFFLYVFMERTFSFYSLGIYFAPQNCLIKFYRCLKLPLYQLVEADPSSAFQPDNDGLFPVHVAASAGNLVSVIILLIMCPGCAGLRDSQGRTFLHTAVEKRSHSIVKFVRMRPQFNSILNIQDNQGNTALHLAVLEGHLCIFQTLIQNPHVRVNLPNHEGKTPMDLAESKAPPGFYFGMHAQRRILGTLTFVNAQNGNSRRDHFKEKFLPKLDKDEESKKITEFAQIVGICTVLVATATFAAVSTMPGGFRTDDSTGNSNKAPAAAPSPGGLIGTPIMAGKYAFDGFVLANTLAFSCSSIATFSLVYCGMAAVDIEKRIKLVSISLALLNGAA >Dexi5B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:5B:7341723:7343035:-1 gene:Dexi5B01G0010420 transcript:Dexi5B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKELLARSSAAAAAAVLRMNSSRQGKTSYTNNSDVQRAIATVTKKALQDMAAALYRALGRPASMAIADLGCATGPNALLLVSDAVEAVLAEKSKGSSDGEAQLQLLVFLNDLPGNDFNAVFRLLPSSPLAAGNGCLVSAWPGSFYGRIFPDASLDYAVSSSSLHFLSKAPAMEVTNRGRVYVSVESPAAVKDAYRAQFEADFSTFLGCRLLLTFVARRTAAPTAHDCYLWDVLADALMAMAAAGLIDEEQVHGFNVPFYAACPDELVEVVSNEGSFTVVGDAMELFESTRLLLASPSQHPTEEEDEEEEELPRWLAVETVSTIRAVLEPMLQAHFGLAAMDELFSRYRILLEAYYRDKASINKDDITNVFLVLEKKHH >Dexi9B01G0036970.1:cds pep primary_assembly:Fonio_CM05836:9B:38438747:38439319:1 gene:Dexi9B01G0036970 transcript:Dexi9B01G0036970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSARHSRRWRGRVAAVLCLYATFCKPGASSSPDGDVPLPLDPLPTGLMLPARSLQCFEDGQVYSCCEGAYRLNPSGILAVPAGEVDYYCGGACVVETEDVLNCVAAALDGFAFYNGASVEDVRYALRRGCSHTVRRGDFNDLEPHLGDYPDIYGDEDEGSDGAKVAASLKLMLAFLGGSCLFLLVGP >Dexi5A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:5A:9418771:9422231:1 gene:Dexi5A01G0012590 transcript:Dexi5A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGIYRYLQCLSGGVAPRSRRLPVLDLTDRDFTRGQPPARAVATVARCLRVHAEHGAPLDAFRAALVAPSTSDLVGDGGAFGRDVVGWVAAAVARGAREVAVDLMAPPSQDEDASPRDDRGREELCLELASDAFEARNSLEHLALGRISLRAVPLPAAGLAALRSLSLSHALDVTGEAVEGMLANCAALESLTLTGCHLLTSVSAASERLRCLELVRLPRLRLLQRDRRLLRSHRLG >Dexi4A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:4A:2941809:2942994:1 gene:Dexi4A01G0004110 transcript:Dexi4A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRNRDDPLVIGRVVGDVINPFVRTTNLRVSYGTRIISNGCELKPSMVTHHPRVEIGGVDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAALGQVVTCYENPRPTMGIHRFVFVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGSPVAAVYFNCQREAGSGGRRIYSN >Dexi5B01G0029510.1:cds pep primary_assembly:Fonio_CM05836:5B:30742258:30742454:1 gene:Dexi5B01G0029510 transcript:Dexi5B01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTATTREEEDEWRNGCSSAAVATAAMAIDTRFSSRRTCPSMAPVEGDAAPLCHHEK >DexiUA01G0023110.1:cds pep primary_assembly:Fonio_CM05836:UA:47120285:47121187:1 gene:DexiUA01G0023110 transcript:DexiUA01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEVSCLGQYSHPNLVELIGYCCEGEHRLLVYEFMAKGSLEHHLFRRACNLSWTTRVGIALDVARGLAFLHGAERPIIYRDFKTSNILLDADFNAKLSDFGLAKEGPMGGETHVSTRVMGTYGYAAPEYMATDTDMYGFGVVLLEMLVGRRAMEPSRAGAREGSLVDWARPILIRPKKLERILDRRMGGGPEPGLGRVARLAYDCLSQNPKVRPAMARVVLTLEAVLAAGADDGEEQEDAPAG >Dexi3A01G0024820.1:cds pep primary_assembly:Fonio_CM05836:3A:20417839:20418638:-1 gene:Dexi3A01G0024820 transcript:Dexi3A01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAIMSAAAASSLPSRGRVAPFGSRALPPPSRRRRAPPRLSRVLALGGGGEGEAGRILDPRATPFQILGLDATACYSAAQLKAAFRTRI >Dexi3A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:3A:12776841:12777173:-1 gene:Dexi3A01G0016850 transcript:Dexi3A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESHSVGRALSSPWSPRAVQARCSLLTREIRFSMAAAPGGRDLAGSREAAREARSRRGAGGKGGAGEVSRLPSRVEASVVGLERWPGWCAGSGGGLWCWRASAGS >Dexi6B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:6B:8456311:8456634:-1 gene:Dexi6B01G0007250 transcript:Dexi6B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDAGEYEALVKHLLVAADRYGMGRMKLMCESILSGRLSVHRVAATLVLADQHHCSQLQDACVRFINVSNRMDDGAASEGYQHLKRACPTLTSELWERSAKHRKL >Dexi8B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:8B:4170270:4170645:1 gene:Dexi8B01G0004580 transcript:Dexi8B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGKAKRCLDTYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDRATPTVEFTQTEQGRRNSCDMMGFYR >Dexi2B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:2B:6748458:6752263:-1 gene:Dexi2B01G0006780 transcript:Dexi2B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSKEDAEGGAASRCRERKHLLRAAVQARHAMAGAHAGHTAALRNVGAALSDYAAGEADRHDAPVVPRSASAAAALGGSHAAAAALKALPPPPLDAVLPPPPPLPPGAADGESPAPLQRSMSAPLQPQIRKARSGEAPIIEEEEDGEGDAGDDAPAPAPRRREVDDTPPPPPPLPPADAGPPSRAPPPVPASQEGNFLNDYFFGNPDAMPPPTLDPGAAAAESSWAAERREPAPPPPPPETEQQPPPRQEVSEGKRLAVEPAARRAATQKASRKAEGKKARIAMVAPQPVRLGDVLRKLDEHFLKASEGAHEVSKMLEAARMHYHSNFAETRGIPKPENAKNELDDDEWETHATVLDKLLAWEKKLYHEVKEFEVIKVTYQRKLAVLNRKKQRGVSSSSIEKTKSIVSHLHTKYIVDSQTMESTVAEINRLRDQQLYPKLLELVKGLWHMWDIIYFHHKAQLRIIIELKSSDISVAARETSEQHHERTVQLWHVVQEWHAQFDKFMTYQKEYVGSLYSWIKLNVIPIDTNLKPNSSQPHETTPPIKRLLHAWHDILEKLPHASAKKAINTFAEVVNTILVQQEDELKLRIKIEETRRDFEKKRRQFDEWAQKNWDRGASIPDGNNPGRSDPAAERKAVVDRLENVLKDLEDQYKTQCKVVRDKSLNLLRSNLPELFSVVSDFSLQSAGYFKGLWSIAQTNDQLDD >Dexi1A01G0024920.1:cds pep primary_assembly:Fonio_CM05836:1A:31303692:31304002:1 gene:Dexi1A01G0024920 transcript:Dexi1A01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYGERVLRWRRMKESPDGRCFASGAAGSSTGAVDDMGRKDALPFGRRLSPAKWEAAAGDGFRMRNWVEQSINEAAAPSRARATGVAKGKGRAVSRT >Dexi6B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:6B:20934980:20939862:-1 gene:Dexi6B01G0013260 transcript:Dexi6B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIWEASSDVAWLQTCCMPPPPPPPPLPGKRPRHAAAGRIQSTSFVCSIRRLIEDGDLAISGSSAEEVARALRNHRPDLRRKKLKPFTAAVRRVLSTIPSPSSDSDDDDDSASSRRRHQGAHSTVSSTTTSLSDESAAHPPPPPLDFNAMLRSQYAWQTSKQNPGTNHQQLEIEMARRLITSDCGGGDAKPEASAVSEGGGVGNGDKWPSFDDLGGMEQVIEQLLVEVVVPLCSPELPHDLGVRPLSGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPDIVSGVSGYVIVIGATNRPDAVDQALRRPGRFDREIYLGVPDVNSRKQILMMLARKLRLEGQFDFLKEATKLVPPTLRREGFSSVPEVTWDDVGGLDSLRKEFRRYIRCIKSPEDCDVFGVRMQDGFMLFGPPGCGKTLIAQAMAHEAGANFIHIKGPELLNKYVGESESEIRKIFNRARTNAPCIVFFDEVDALATKRGKEGGWVVERLLNQLLVELDGAGQRKGVYVIGATNRIDVIDDAILRPGRLGKKHYVNEAAMLAVEERWRLLDNGTSVSPSRLIELSHFEQALSKVKPSVSKQQREHYETFRKEYLSTT >Dexi8B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:8B:19223889:19228409:-1 gene:Dexi8B01G0010630 transcript:Dexi8B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAARRWPPLLRRLSSDTASSKAPRQRVAALWGNGDYGRLGLGALESRWSPTACPFFLDRAADPPASLSCGGAHTLFLTQSGRVFATGLNDFGQLGVGSSVTHTLW >DexiUA01G0003450.1:cds pep primary_assembly:Fonio_CM05836:UA:7130110:7131102:1 gene:DexiUA01G0003450 transcript:DexiUA01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVSSHQGLTGPPPPAARDWAALPSDILLDVFLRLGPREVMLGAEQACKPWLRVAVEEPMLWRRVGLDEKDYSDKRRWRRSIYDVEEDMRLASVDRSKGQCEAFDGSCNDYDLLDLMRRAPYLKSLSIEHYYDEESHSIKHLVKPLKKLTLLEDLQIRFTYGSLQDENKLRSVCKA >Dexi3B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:3B:3947505:3950083:-1 gene:Dexi3B01G0005800 transcript:Dexi3B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATPQPRHPTPAAASSSSPSCVDIEDLAPAVPAAAPAALRAQLLRWYDAHRRDLPWRRASGSEEERAYAVWVSEVMLQQTRVPVVVGYYDRWMARWPTVRSLAAATQEDVNEVWAGLGYYRRARFLLEGAKQIVEKGEFPHTASALREVRGIGDYTAGAIASIAFNEVAPVVDGNVVRVISRLYAIADNPKESSTMKRFWELAGQLVDPLRPGDFNQAMMELGATLCSKTKPGCSGCPVSSHCQALALSMENSSVQVTDYPRVVPKAKPRRDFAAVCVVQIAEGLGKEVTDTKDNGDIFLLIKRPEEGLLAGLWEFPLVLVDEGKTDSQNRRKAMDKYLTKLLNIDVGRKSDVILREDVGEHVHVFSHIRLTMYVELMIINLKDGVDQLSKKEDDRTKLKFANKSSVESMGLTSGIRKVYNMVQAFKEKTLCVSERGQVPTRKRNRRSKQ >Dexi9B01G0046990.1:cds pep primary_assembly:Fonio_CM05836:9B:46223948:46229338:1 gene:Dexi9B01G0046990 transcript:Dexi9B01G0046990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAPDVGHDGRGDGDGGNDIIWMGTGCDGAADPSWSTAVAARVASAAVGDGNGSGGSEGRCRRRSRMPASWWLRLRTAVVNCAGVMTKLPVAAVDPVGTGSGGEDEATIARLDDGGGSGMEVVGGGGVEVHRWVSPGGIDAIREPKRGTRSTCGAGEDGRRHHVIVDAQRLSLATRRVFVRSGTELHNLADLAKSIDLHGRLASIKWLGNSGVILILFETPTGFALLNHSKVKLFLPNAIEVLNFVISLGFFINVLSCLCHFPPNFWRVVNYRKSGQILARRPRY >Dexi9B01G0022420.1:cds pep primary_assembly:Fonio_CM05836:9B:17089967:17098595:-1 gene:Dexi9B01G0022420 transcript:Dexi9B01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFQDGKQEVIEAWYMDDSQEDQRLPHHREPKEFIPLEKLSELGIISWRLNPDNWEKDENLKKIREARGYTYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDKWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSAVPQSHQQQTPASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQTTKDHVRAMEERLRVAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNTPFYSPGETSQSEQLDSQFMFDSGVLNGLSEPGMPELENLAVNIQELGKGSTDGAKIDQASGQSELNDDFWAELLIEDFGDKAGQSDLEARPEDVDDLAQQLGYLSSSSPK >Dexi2B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:2B:26014872:26015408:-1 gene:Dexi2B01G0015880 transcript:Dexi2B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSDTHSTGASWKREFRRPYLRRGVWGPRREPYPLREPDATPAVRSDRAADARPYDGLPPPASNVVGGGEEISASDEIAPRPPRRARAVAYVSAWRAPRHVPRPSCRRGATPEANGAPPPPSTSRELLGRRPEIDGEVQRVIRVCDEVDSEETSAAKAATASNRGRIVPSTRRTRP >Dexi2B01G0027870.1:cds pep primary_assembly:Fonio_CM05836:2B:36620205:36623368:1 gene:Dexi2B01G0027870 transcript:Dexi2B01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVSARLLFLLALVSVLAPPAAAEPWQMCGNSGNYTTNSTYQGNLARLATAISLNASASPALFAKGSVGSDATIYYDACYLRFSNQNFLPDTGSNDNELIVWNGQNVSSPVRAFDAAVGVLLNATGDNVTANPTRLFATGEEAFDASNPTIYGLTQCTPDMSPAECRSCLGGIIGLLPGYLSGRKGRRVLGVRCNFRYEIYSFFSGAPTLRLSAQSSPPPALPPAPVNATPTAPPPPPDSTNNSGDIGSIDSLLLDISTLRAATDNFAENNRLGEGGFGAVYKWVYGTRIEEYAMRGHYSIKSDVFSFGILILEILIGRRSSGSFNIEQSVDLLSLVWEHWTTGTIVDIMDPSLRGKAPAEQMLRCVHIGLLCLQDNPVDRPMMSTINIMLSSGTVSLQAPLKPVFFIPKSGYYSTVYSESYPTAFQSTGNVKSGAISPNEVSITEMEPR >DexiUA01G0006640.1:cds pep primary_assembly:Fonio_CM05836:UA:12826391:12827595:1 gene:DexiUA01G0006640 transcript:DexiUA01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRRFLPARPPRRRHPKHPGKAEPSPPAAPVYTRDVVRRVTTILRDHAWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAASASPTFRHDRFTYTSMIHLLGEAGRVPAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGSMRVWKEMKARSRPTVVSYTACVKILFDAGRADEARMVFGEMVAEGLRPTCKTYTVLIEHLADAD >Dexi2A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:2A:9873933:9874536:1 gene:Dexi2A01G0009380 transcript:Dexi2A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGFELHELESATSNFADDNKLGRGGFGPVYRGYLKDLDLDVAIKVLSEKQSSQEESEQGLREFKAEVKVMTQLRHRNIVKLVGWFDSNKRLLLVYELMTQGSLDKHLYNQERILTWKQRIVLDLGSGLLYLHRDCEKCIVHGDIKPANIMLD >Dexi6A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:6A:25248251:25251957:-1 gene:Dexi6A01G0017430 transcript:Dexi6A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDEAPEADTDMPALEEDAGESKMEEVD >Dexi1B01G0025650.1:cds pep primary_assembly:Fonio_CM05836:1B:30615966:30629072:-1 gene:Dexi1B01G0025650 transcript:Dexi1B01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARRHGLGLQPDTSASTVQVGNRPGSFDAGAIGAVHGVCPRTSREWIEARRHGHGDFVGGSIARSWYMVTGMFGGKGDGGRGLYRTRRRMPARTSDVEDATPRRTRRRVWRLVHEQFVLTFECVKVFDRVATETDEACTKGAVKLKTESWGEQRLCEEFGEGQQEAFGHEQGAEGVLVWPARDGVEAESIETRYRRWVRDPAIRWAFAMADNKTDDKTPPSSPRKLSGGKGGDGAGGSGKEEAVRVVREIGGLGNWPMLTKENYTEWALVMKIKMKARNLWNAIEPGGCRSDGHGGVPGSEGHRVGSVERAVRKTKAQRLRREFESLKFKKDESVDDFVVRLSNLVAALSTVDEAMADRKVVEKLLRAVPKRLATVAVAIEVSANMETLTLEDAGGRLHAAEEREAEDDDEEPPMRADGKLYLTEEQWEVRRRERRDKERARSGGARHGKGSKKGGRSSGHGDDSDDDDGGSSVRSGTSGRGRSSSKGKCFNCGVRCHFSRECPKPRKEQAIANTPVGRDAGDVVFVLTFECVKVFDRVATETDEACTKGAVKLKTESWGEQRLCEEFGEGQQEAFSALQPSLVQQSTGETEQGITVNTTPPRHGVSAWNPRALLTCDLWSVKPEPQTTAHRRPNRGPLLRPRRRKPATNGAARTPAPGSLIEASNLKHWGLILRHSISGASPAPRPPRRGLTELPLLRPAPPPAAPPGPRTKLFSWEAPPSSLIEGTALARCPGGVSAVLPLLPPRGCSSLPSPLPVKEIQLGQMGLLQRGDDLSSPAKGLAARTATAENTKDAMIAGRTKLLVSAMISGSFSLRVSPFDLLYPSRFPRLPGTFHPIPITQTHWKAWKPEFLPSQASPVGVQKSLKERLRYKPDVALNTDSNTASPAFPVAMDNTTAGIKAGSDSIYFRIRSKLDNAGPESAVFAGERSDDPRAVAASRVDGKPTGSFAAGAVSGDVAGVPSASGHEIMPSPPRQRPAGRQKLKGAEAPRIPHVVHERRTTQQLDRARWRETPIVGRQTSPSAGWRELKSGVQWRWWYRDVWQKGKLGAENAGLVGDFPTRTHLPSLHERTVTERASVPRRRRLPVMPASAVRQHPPFGFQPPLMYLPEKPDYDGLAGGGLAALAAAAENTKNTMIAGTTNLLTTDTAMISSFSLRLLSLLRLLSPHTDLANSSPSNGRATPSTTENTMTNMITGRTRLLSTAMISRLCFHVSLP >Dexi5A01G0033810.1:cds pep primary_assembly:Fonio_CM05836:5A:36044396:36046350:1 gene:Dexi5A01G0033810 transcript:Dexi5A01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLPRPEPPLSAVDHLGRPAARGSSGRWRAALFIIGVEVAERFAFYGIMGNLIIYLTGPLGQPMSSAAAAVNAWLGAGFLLPLLGSAVADSWLGRYRTIIFAALLYTLALGMLTFSTVLVPRERGVCESAECSAQVAFFYVSLYLVALAQGGHRPCVQAFGADQFDENDPEELASRSSFFNWWSFASFGGNAIIVSMLNYVQESVSWRLGFGIPCVAMALSLAVFCLGTKTYRFYPLKTDGNNMFRQAAEPLAAWMRVRKYTKNPSGITVLQRIGIGLAISIVAVVVAALVEMRRLKIARDYGLLDEPEVVIPMGIVWMVPQYILVGVSDSFALVGLQEFFYGQVPNNLRSMGLALYLSIAGVGSFISSFLIFAIDKVTSCTGSGSWFNNNLNRAHLDYFYWSVALLSGFALAAYVYCAQVYAHKGIISVQ >Dexi6A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:6A:8062301:8066564:-1 gene:Dexi6A01G0008000 transcript:Dexi6A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGSRGAEFKAVIDSGNDRGCESNDQGPVGSGRAMVKGGVQALEPRKMIFMSGKHEFGVTELVSSPRRISRFSNGLERAEEMEEDQELVEMGMHREDDVVIKEPKGKGVLEEDHTVKRQPYKRNQGFTTPIRLYAVECYTCHKWRTIPTKEEYEEIRENFTEDKWICNKRPDGSCDYPADMEYDRIGTWVVDKPGIPKAPLGTERIEVMRSDFSKMDTYYIMPTGKRVKGPADVEKFLETNPEYKSRMSASEFNFATPKVPGKNAYARRKAAKRTRQAPQEA >Dexi8A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:8A:24971996:24972938:-1 gene:Dexi8A01G0014500 transcript:Dexi8A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTGPSWSDIPVDLAGRILRHLPAYVYRLRFAAVCPQWRAAARLGPLPPPLPLLLLSDSTVYSLPGSKPSHLLVPGCCTGYTDVCGNWLFFSGDDGCFLRNPFSNTTMALPALSLDRIRKMSLEYEPERCKLLFCSLHLVVAIVSLWSGRRLAVCKPGAATSWLYVSADSQYSDFVGMTFHQGKLYALTSMDELFAIEVSLDHSTGDPWPCYAQGVTGIAIFETTAGVQNEFEVFEANFRQSQWTKVTTLGDD >Dexi6A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:6A:12024583:12042920:1 gene:Dexi6A01G0009950 transcript:Dexi6A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDNEKYRDYISDIVTQLRSHFADASFMTIRGMSTAYNRNDSSLLKVCFLSVTQADCELIKIDIHCHIQGDVVLECISLDADQEREEMMFRVMFNTAFIRSNILMLNRDEIDMLWDAKERFPKEFRAEVIFSEMDTANQLDPVEVAGIGEKEGLPVEAFAKVQEMFSNVDWLDPTGDAAIQLFQRLTSSENIQLRQGFLSPSTHVVTTGMPPPPPPPSPPALLVSNDILRSEAKDSSQHDLKNSGLPSHTERKSTLKPLGTSTLPTHHKKSSTSVAELLPTSPAPPPPPPSPPPLCLSSSIYHVHPDPVLAPTSFRPAPAPPSPPASPMLSPVRSHAPLPPPLLPPAASISSPLKLIGTPPFTPPPPSLKSSPSTSRPPAPPPPPQLASTSSIVRPAPPPLPLFASNSSPLRHAAPPPPPAPTSSPIGAAAPPPPPPPGTNSGPPPPPPPCYSSKQSSNPMDKSMPSPPVPPPPSHGGRNGASGNIVPPPAPPGGNAKLFGSQGRGPAPPSGPMLKSFHSGQAVSRRSNLKPLHWVKVTRAMHGSLWAESQKPDETLKAPVFDMSELETLFSAVLPSSDSRRSDKSGSRASGSKTEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVDNLIKFTPTKEEIELLKGYKGDKQVLGECEQFFMELMKVPRVESKLRVFSFKIQFRSQVSDLKRNLNIVNSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSDKLPELLDFPKDLASLELAAKIQLKSLAEEMQAVNKGLEKVEQELTTSENDGPVSEIFRKVITTATGKPLTFCQTLKDFLNGAEAKVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFTRSHEENCKQLDLEKKKALKEAEENSKQLDLEKKKAQKEAEENSNQIGLEKGKGQMESETEKTKIKSDNDKACEKASENDKGTKKEMANEKAKLNNSIKELDISLQSPAQTASAK >Dexi2B01G0025690.1:cds pep primary_assembly:Fonio_CM05836:2B:34933683:34937028:-1 gene:Dexi2B01G0025690 transcript:Dexi2B01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAAASRIAAAAASRNSAAEPRVLSRACAKAPALPPLQTFSRTFSKSAAAAATATSPTCGASSPPAGPRPEIRLNSMFLSKPCSLALPPDSPLRAADPQYEGIKRFLLTLLLFYIFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYEMYNHDVELRVSKAGVNLLLIKWMKELEKIFYGNIVKYDAAISPEARQDDLVNVIWRNIYAEEGSEALDAAAAPAVQASSFPNGKDGH >Dexi1B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:1B:5982215:5982643:-1 gene:Dexi1B01G0007240 transcript:Dexi1B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQQQPMQPVPEGRCHRFPLLPTVIGFVFLTFNSAMAAYKFSDDMGAISFVVFSYLDIVLLFYSQTEVADDPPNAFLRRNLRVSVWMLTTLLTIMFCYKIALFMPLPMAAIVWCMAAASLLGASTLHMAVGRAPRRQIPL >Dexi9B01G0028690.1:cds pep primary_assembly:Fonio_CM05836:9B:31308433:31312841:1 gene:Dexi9B01G0028690 transcript:Dexi9B01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGSGSRGRRRRSAAAPPVVQVSSSGSGDERGSSEEEEESERSSDGALMRDGGGGKARVSATEGKSAATVGDAEGSNLPSCPICMLAWTADGAHRVSCIPCGHVYGRYCLERWLQQCGKKKAPCPQCGKRYKQNYIINLYLPEIAVPNNDLEQQLLMLREKNESLKKQQAKLLEEIKEHKNEFLVDGARVMGIDASNQIILASGRGPIGAEHILTKISMLARQRMQKIYIPPDIKAIRDICILPGGQAVFASLGRKLSLFSMATNNVVLQYDLPAPGWSCSGDPTSSTHLYAGLQVDFSNGMLLVFDVRQTSAPLHSMTGLSTHPVHTIHSAVDDSGSRKVFSASSIGPCIWDVDGSTDRPNLLSGMENQGVCISLACTPPSSDLLVASYRPKVELLDGGTTPQVITPQSQAPTGSGKLGCHTLLRRTTTASFAKDQTCNGNVSELRMSKSAIIPCGGNQYLFTYGDESLYGIRTWQLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSEEKLQVFTVR >Dexi3B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:3B:11721771:11725727:1 gene:Dexi3B01G0016160 transcript:Dexi3B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIHHLDPSSSISPPRYLLKPYPPPPHAAAPQLAYSETRPSEPNSGAAMRSSLLKSTSELLRRSRGYASSANPERKVAVLGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFMGDDQLGEALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKALCTAIAKHCPNALINMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAGVPVTEVNVPVVGGHAGITILPLFSQATPASNSLSQEDIEALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSDFEKKGLENLKGELKASIEKGIKFAHGN >Dexi7B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:7B:16819952:16820191:1 gene:Dexi7B01G0009150 transcript:Dexi7B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARSLPAVSVPVSDLQNVGAARPWTGNGGGDAPETTAAVAVDVPAALWSDEGRMKRELVAWARAVASMAIRESMQC >DexiUA01G0011840.1:cds pep primary_assembly:Fonio_CM05836:UA:23667945:23668036:-1 gene:DexiUA01G0011840 transcript:DexiUA01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLDGCFLRLASSSGS >Dexi6B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:6B:21563834:21564731:-1 gene:Dexi6B01G0013820 transcript:Dexi6B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEPLLNGTDPEIKHVGDKDESLVWSEVKKQLCLAGPLVPGYLLQYVVQLLSLMFVGHLGELQLAGASVATSFATVTGFSVLGGMSTSLETLCGQAFGAKQHHLLGIYKQRAMLVLILVSIPVSAMWAYTGEILVWFGQDTEIAAAAASYIRGLIPALLVNGPLNCHIRFLQAQNAVVPVMLSSGVTAAAHVPVCWLLVRALGMGAAGAALAIAVSYAANLCFMAVYVRVSPRCRSTWTGFPREAFRGIPAFFKLAVPSAMMVW >Dexi9A01G0027020.1:cds pep primary_assembly:Fonio_CM05836:9A:31265814:31268060:1 gene:Dexi9A01G0027020 transcript:Dexi9A01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYVILAIVLVLPQVAISNVPSCDSGGQVGSYTANSTFQRNLDLLAATLPGNTSSAPAGFGNASVGTSPDQVYALALCRGDINASSCSACVAAAFPDAEQACPGNMGVSMYEDACVLRFSGQQFTDFLRADQWKVSEIIWVPETANTTVDVPADWFSAAVTKILAAVIDHAGNSTAATTKKYFATGEEDFEPKIYGLAQCLPITTPAQCQECLANFLTFMGTQYLGQRLQWVRLYSVWCSLMYSARPFYEGPAMLQLSAPPAPAAMPPSATPKPGPVKKRSVAGILAGVVCSVVIILILSVFVFFYPRRSAKPAEEDNPLKKITRAQCMIFDLPALQEATENFSENNKLGEGGFGTVYKGILSDGQQIAVKKLLGSTGHGLQQLHNEIVLLAELQHKNLVSILFPIDDSGENALNWEQRYNIILGIAKGIMYLHEESSTRIIHRDLKANNILLDEYMDPKIADFGLAKLLGEDHTHMKTTKVVGTL >Dexi2B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:2B:4645418:4646152:-1 gene:Dexi2B01G0004930 transcript:Dexi2B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAAAVLVSNGAVSPRAPPSAAAFLEATTGAYTTARGSLLWWPRHLRRLAESAALLARSHPHLLGLPRPRSLDSLFSETPIHALINPSVRIALHEMRSRLPVMKADELALTALIRGGDSVSRDGLDVFVHVGTYTPPVFGDSGARVAVAGTGRDAAVAKYASWARASVD >Dexi2A01G0034140.1:cds pep primary_assembly:Fonio_CM05836:2A:44227885:44229417:1 gene:Dexi2A01G0034140 transcript:Dexi2A01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALFSTSLSPRFLALSSPKPAAPVACAFLPFRLPLRAVAAPGRRVFEPVAVAVSSEYETEGAEQDEEGAEFSEDLKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDSAPRAPRGGGGGGGSFVDSGNKLYVGNLAWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGSSEEVNNAISNLDGVELDGRQIRVTVAESKPRRQF >Dexi5A01G0031080.1:cds pep primary_assembly:Fonio_CM05836:5A:33931442:33933729:1 gene:Dexi5A01G0031080 transcript:Dexi5A01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLLGLMDDAFPSKYLRNQWIEIDNQILNALFTLLSIYEHPKLIHHTVLLYMWKPEDAVELRKYYCKNVARRPNERAHISFVVALLHITCISQYADCSLYWAYPSKSRSEFADNFFFVLGIVAPVLAGVYAGYSPLGRDYDAVTDEETEELDTVLVESSETRTVVTNPAWAGGLFDCREDPTACYLSFLFTFCVFGWNMERLGFGNMDNPVLLWPTIWGFLEDSDEEDIWASQKQKQEVRTGNLYDAKDGNFYEKAMDGGYVESGSGLLVVTELPVSIGVEEGNGISVKLVADGEMIPPTQQVVELKVGMHCERCIKAIKKAIKTIDDMESYQLETEINKVTVTGNVTPDEVVKALHKIGKTATSWAED >DexiUA01G0002270.1:cds pep primary_assembly:Fonio_CM05836:UA:5185912:5186277:1 gene:DexiUA01G0002270 transcript:DexiUA01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAGVLYYSVQTQRSFPLGWLYEQGRLTVTHGLDDTTVAAGRWWSGPKNRSLRTSQSSVVEDEESFGVRQTYRYVADDGCYFRNVTSSNYNIVSEHNDEVCGKGESLDGVGVVAAANLP >Dexi9B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:9B:5169368:5170859:-1 gene:Dexi9B01G0008430 transcript:Dexi9B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPEWLDKGDNAWQLAAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAATFVCWCLWAFRMSFGDRLLPFVGRPDLAALDQAFLTQQGFAGAYPAATLLFFQFVFAAITLILVAGSLLGRVNFRAWMLFVPLWLTFSYTIGAFSLWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAYWVGPRTAKDRETFPPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHLCTATSLLVWLCLDCLVFGRPSVIGAVQGMITGLVCITPAAGLVQGWAAMLMGVVSGSVPWLTMMVLHRRCGMLRRVDDTLAVLHTHGVAGSIGGVLTGVLAEPRLCRLFFGDDPKYVGLAYAVKDGRAAAGLRQVGMQVAGVVFVVVLNVVVTSVVCLVVRMIVPLRLGEEQLVAGDDAIHGEDAYAVWGDGETYEQSVHGNNHAMMSNPMASKADEMI >Dexi3A01G0036800.1:cds pep primary_assembly:Fonio_CM05836:3A:42321226:42325448:-1 gene:Dexi3A01G0036800 transcript:Dexi3A01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPSPSPPSPPKHSRSPDDPQPDASPKRRKRHHHRRHHRRHRDADSPVAVATQDEVEEGEILDDAAATDVDADLAAHAPAHFGNGADTEPNADATKPQASALPTLPSSKDGSKSLCSAPEYESGGIISSDAEDTKAYEQSHSLSKSPKSRRDKESRRRDGHRSSSSKDHHSKNHSREYLSSRHDSGEARSRDRSRPREKNDAANGSRASLRDGSDHDSNDRNGKSGRHMTSRQDNGRERSSSRVAHDRHGDRHSSRERYRDDRIDRDKVNSLEAARKHRERSRSHSRSDPRESSRGRDQSGEREKWSGSSRDKDHERKRGTSKDRHRESDRVDRAHEREKARNDRDREWHRVKGSETHRTREGRDRVSNSDRHKDSTRSKYGTSDGYNERARSGEKSRDAEQKSRKSEELKENSFKEEDEDEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMTKYRQQQLQKQRMESIPSSYNEEAGAMGGDGTAHLKDDNDSSSTGNDEAENKHDSSDVFVGKEDFVVGKSPAHNDTGVGASSDDRMIGVSGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHIERNALHDNWDDADGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNDTMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKMVQ >Dexi3B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:3B:372838:375246:1 gene:Dexi3B01G0000540 transcript:Dexi3B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEATVKPLAAACYDNNLVNSQGMFLGDQPLRFALPLLLVSVILVLSAAAHVVLRRLGQSRFVTHMLVGVFLGPTVLGRSESVRGVLFSDRGTYILESVSLVALILFLFSMGVKTDLSLLRRPSGRAVAVGITGALVPLAVTLPVFHALQPSLPDDLRGSSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWGLRACSAAVFLVSEASSPAFTAKILASFVAFVLFVAFVARPAGRYIAYRRTPAGALLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLSEVTKEEASEKWCALELFVALCVSGKLVGCVAAGLFFAMPFRDAAVLALMLNIRGIVEVAAINNWGDTMKATAEHYSILTLSMVLITAVSTPLIKLLYDPSGQFAGAGKHRRTMEEARPSADLRVLVCLFNEDHAAPLIDLLEASSGPSRESPVSLIVLHLTELVGRAASVLKPHRRRTGGDPTPSDRIVNAFRHLAEQQPDCSMTVSPYVAQAPYSSMHHDVCSLAHGRKASLILLPFHIMSSSLLGNNNANTNAIRSVNRAVLRYAPCSVAIMVDHGLAAGSACATAANSLLQRAALYFLGGPDDREALAYAARMPLALTVVRFKLRNWVGMGGRDEVRDEEVLHHFWTRHRDNDRVVYVEKTVEDAEGTSSVVRSMSEKFDLLIVGRRAGCSGDDLEGSSSSAAALTSGLSEWSEFPELGVLGDMLASADFASKVSILVIQQQPDKNAAGGASSAINP >Dexi4B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:4B:7356516:7358123:1 gene:Dexi4B01G0010070 transcript:Dexi4B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTDRPWWAPPLSTVTTPSASPFPSPPSSFTADPPAEFLCPISGSLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLAASSVSSSSPLVLVPNVALRTAILNWCDRLGLPHPSPLSPDTAHDIVRRLMPPPRREDYLQSSRSQRRPQPQLASSARVRRPSVDVDDFAQELISKQRGGALEEEIMAVLGSEGGASPEELASAMASLRQATREKEVRRQLCTPRLLAALRPMLLSGDAGVQVNAAAAVVNLSLEPENKVRIVRSGAVSPLVEVLRGGHPEARDHAAGAMYSLAVEDENRAAIGVLGAIPPLLELFSGGAATGYRARREAGMALYHVSLSGMNRSKIARSPGAVRTLLAAAAEARGDHRNNEADAAALRRLAVMILANLAGCPDGRAALMDGGAVAAVVGLMRGGAAAAGSAEEEYCISTLYGMSRGSMRFRGLARAAGVEAALQPVAEGGAGVGRDMARRTLRAMRGEDDDAAPVTATGILGRQWGDDGSVVSEGLVSIRRPPHHRSNYAGGTSGSNTTQF >Dexi1B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:1B:26085820:26090996:1 gene:Dexi1B01G0019870 transcript:Dexi1B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARCSLLLALALALSSLVCSPGAAAAQQVDAVAAAQQAADRVAGLPGQPPVGFAQYAGYVTVNETHGRALFYWFFEATSAPDKKPLVLWLNGGPGCSSIGYGEAEELGPFLVQKGKPELRWNNYSWNTGTHC >Dexi3A01G0030950.1:cds pep primary_assembly:Fonio_CM05836:3A:35277066:35277500:-1 gene:Dexi3A01G0030950 transcript:Dexi3A01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVAVVAKNAAIFMGKTAAGAAISYFVNKALGRLSAEDEDIHKKLMDKLPIIEAVFDAGNQPQVWENPALAAPMWQFRDALQEAEDALDELEYMDLEKEVKDRRAQEAKGWKVRLSARLPSAVDFDGLSVLLPLVVRQSV >Dexi4A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:4A:24039158:24041088:-1 gene:Dexi4A01G0020400 transcript:Dexi4A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAMQMIYVYGSEILATMSALSLMAWMVVLQTWLNLLVTARIGYYKPLVSNVQVLYIILFLFADEKSTSLLSVCRGILNQSPLIILVFISTLVGWAVKQVTNVIQMKTATDACVVYDLKRSK >Dexi5A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:5A:10996118:10997055:-1 gene:Dexi5A01G0014160 transcript:Dexi5A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDTRTLKDELTSMDRRPLLDLGHPLLNRVADSFIRAAGVGAARAVSREAYFITVEGLSGDSAGLDANGSKRSHFSSIRGDDGQKSLDVVVKTAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAVAGAIAGAAVALTGDAGGHSDKLVHFAITGAALSSAASLLSGIF >Dexi9A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:9A:16116331:16116585:-1 gene:Dexi9A01G0021150 transcript:Dexi9A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLGKYTGGGSRRSVHARSGGRSASAAAKQLLLRLRSAWRSGGAARPRRVAVRFGYDLQSYCQNFDDGGLGSSGHPLAVIP >Dexi9B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:9B:4150101:4150475:-1 gene:Dexi9B01G0006870 transcript:Dexi9B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEFEPRKLFVGGLPPSVVTPDGLRAHFSTYGLVVEARVMLTPDGTGRGFGFVEFDDEVGALRALDARENAAHNAFFGRRLGKG >Dexi4B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:4B:22688737:22690762:-1 gene:Dexi4B01G0020540 transcript:Dexi4B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSETGLREDIHLVGERKHSKSPDGGKTDSSGSFRTSDDEAREKDERYFISEEKNGCEAQTILEKKTDEERKLCSRVDDLESQLNKEKDDCQRMTSKTKKLIKAHGRYIKAKEDLKRSQARFEREATERQ >Dexi9A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:9A:8113410:8117837:1 gene:Dexi9A01G0012720 transcript:Dexi9A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRGAGGLRPLLLLIPFAALLSVATFSLHYSSDRLLLVIDTPRQASPSSSRHVHRLPVSGLGSAAPPLHAAAARAFRSGGRLLRDVLSSPSSTAPAAPPSGIAGAAVRCPPSVAVSGARLRVKGGGGVDLSLPCGLTLGSHVTVVGSPRRRGDVAQFAVELRGEGDGDAAPTILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCDGHRSRPDEETGQNGRREVNENLLKEANFFGDIVIVPFIDSYDLVVLKTVAICDYAARVVPAKYVMKCDDDTFVRLDSVMAEVKKISDGKSLYLGNMNYYHRPLREGKWAVSFEEWPQEEYPPYADGAGYIVSSDIASFVASEMEKGRLNLFKMEDVSMGMWVGRFDGSRNAVVYAHSPNFCQSGCVDGYLTAHYQSPAQMVCLWEKLRLGTPQCCNAR >Dexi6B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:6B:25940795:25943686:-1 gene:Dexi6B01G0019110 transcript:Dexi6B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNTTSIPGGIVGGGGEEGGGVRGCAGNVAAVESSCDAAAAVAASPATPHTDCDMSRMPESPLRKPGHRRALSDIIGLPDDLDLGAPGSAGDGPAMSDENEEELFSMFLDVEKLNSRCGASESESSAGGGGGETTTTQASAAAPGAAGLRPKHHQRHSMDASSSIDTEHLFGTSAMDGVSPAEAKKAMSAAKLAELALIDPKKAKSELKIRLQSTEQQVHLQDDLNEALKSELQRLKMATGQMVNGGGLAMNFGSSPHPFGTNQQVFHHNQAMPPPFLAMQQHPNQPLHPLQAQQLQQAALNLNMKGPVPAPNQWQWGDAWSKSSSS >Dexi2B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:2B:4401877:4402413:-1 gene:Dexi2B01G0004750 transcript:Dexi2B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLTSPCPRAPLLRAVPSPSARALPRTLAFPARPVPRGPLRLSPSPPRAAAEASASAAATALGGLLASPLSTLEAGLRSVNLAPLRAPVAAAMSAAVRWLGVYREVLLVGVLLSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDIIIMILRPQPRM >Dexi9B01G0024750.1:cds pep primary_assembly:Fonio_CM05836:9B:21483642:21483959:1 gene:Dexi9B01G0024750 transcript:Dexi9B01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSGGGGRWCRSSGGAGSMDAASLCGAASSGGAASTDAACLCGAASTGAASFQSSSFSSSAPPPRTTVLSNRTTVVSNQAIAILNQAMAAHGCGWLCKEKKR >Dexi7B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:7B:21716423:21717494:-1 gene:Dexi7B01G0015620 transcript:Dexi7B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQIGVLVRQVPDLSPRLRAAHGTSRLSTGAHGHRHRLVVVRTAGRARLGRVVAASRGASPGPKQYCRLRGVAAVAAPETSRELLFDQNGNAASNQLHQLITPTTMSKDRFFEIEMVVGDGDLDEYGVVNNAIYASYLHSGRDVLLEKMGISVDYWTSTGNAMAVSELNLKYFAPLKSGDRFVVKVKPVQIKGVRIVVEHMIETLPDRKLVLEGRATAVCLNKDFRPTRVFPELSASLVEVFSCKVA >Dexi9B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:9B:1594227:1596199:-1 gene:Dexi9B01G0002890 transcript:Dexi9B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAKTECEILQSANVKIFSFNNLKAATRNFRPDSVLGEGGFGSVYKGWVDENSLAPCRPGTGIAVAVKRLNQEGLQGHREWLAEVNYLGQFCHPNLVKLLGYCSEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSLTGAQTIAALALECLSYEAKMRPSMDAVVTILEELQDSIETEKKHQERKAATKQTPAAVSASKSSLKARRKSLGALKETVGPNPKPLAHSR >Dexi3A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:3A:2071563:2074502:-1 gene:Dexi3A01G0003190 transcript:Dexi3A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASHHGLVAVAPTASPGAAAPVLQPRGFVRFRAASLAPLAAARRVCCQSINSANVLGASSATSDEAVPDPVVMIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVATDSSVTQTKFHIMRFGRKVEDPDMLERIRLTIINNLLKYHPESSEKLAMGEFFGIKPPEKKASIVDVDIATHVVVEDDGPKRSMLYIETADRPGLLLEIIKIIADTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLINCLRYYLRRPETDEDSY >Dexi3A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:3A:15650689:15652142:1 gene:Dexi3A01G0019750 transcript:Dexi3A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARSKKLRVLLFPFFATSHIGPFTDPAFHLVTARPGVVEATVAVTPANASVVRSALARRGPIAGVTVQVATYPFPAVDGLPSGVENLSTVAAADAWRIDKAAWDENLMRPGQEALIRECLPDAIITDVHFIWNVDVAADLRVSCVMFHVIDTFSTLAITNLLSGGVHDASGSEVTVPGFPSPDIRVPITELPEFLRSGEIIPPHLPCWALSLSSLSSAGASIDNDSQCIRWLDTKPTQSVVYLCFGSLTHVSEVQLRELALGLEASGKFFLWVVRANAWTPPEGWKERVGGRGMVVTGWAPQIAILAHPTVGALVTHCGWNSVMETIAAGVPVLTWPMVVEQFITERFVTEVLGIGERLWPEGAGVRSTKSEEHELIPAEAVARSVARFMEPGGSGDAARSKVKELSAKAHAAMAEGGSSHHDLRRLIDDLIKERTAGVAV >Dexi6B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:6B:379558:381318:1 gene:Dexi6B01G0000550 transcript:Dexi6B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAAQAACVSHAFLRFWRSYARLNFDVYTLGLMDLGRRVPPSFGPIDKQEFDDDYLKKNSPIIRDFSARVDHIMHNHIGTGVQTFRLVPPYGLYIDPALLNRWFQAVIAPGISEFYLYLDMGDEGLGYSFPCSLLSSSNRPGCSTITSFSIAGCGLRSLDWVGCLTTLCTVHLHRMRVTGEQLTCFLSSSPGLQELQLSYCNDIVCLKIPCLLSRLRLLLIATPMKKLNKFRRLKYLEIQLHTPRRCPDFDFYSLVSILNACPVLATFILRLEMPDADDAIPGDFHGDSSQRKRLMMGQGHRKLKNVLMSGFRSAKGLVELTSHILETAASLKHLILDTAYGCNWRDCIGICSPLTSKALMEARKAVDVIKTYIEDKVPSSVNFKVIEPCAKCHADDA >DexiUA01G0010980.1:cds pep primary_assembly:Fonio_CM05836:UA:21941931:21943934:1 gene:DexiUA01G0010980 transcript:DexiUA01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSMGRSYDYSPSPPRGYRRRYRSPSPRGRYGGRARDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDVYLPRDYYTGDPRGFGFIQYFDPDDAADAKYHMDGQMFLGREITVVFAEENRKKPSEMRSRERIR >Dexi9A01G0025040.1:cds pep primary_assembly:Fonio_CM05836:9A:22176397:22177429:-1 gene:Dexi9A01G0025040 transcript:Dexi9A01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEGMMERMKLSAAERKGIRVQVTFLDQPNPSGPQAISKALAEKLVSEEGLKQTLGRICYGRWSRAASGETAQRLRGSSEWRDGAAAARPERATRLRTASMRLKRDMVVAGGGCLIQLGLAPYVANGGGYATPTSTDVV >Dexi1A01G0029490.1:cds pep primary_assembly:Fonio_CM05836:1A:34965703:34966230:1 gene:Dexi1A01G0029490 transcript:Dexi1A01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLGGASAGGMDEAEAAFFARRGRWCCCFPWPTSSSSHQRMGAGAAAGLTEEEPWWQRAADAVLKVREWSELVAGPRWKTFIRRFGRSGPPTRPHHHHFGGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFVGPPASAKSSMDLGGRDAPPLFNPPPPPPHDGNGRA >Dexi4A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:4A:2358992:2359390:1 gene:Dexi4A01G0003260 transcript:Dexi4A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHRDNDDHHHNLAPTWVTRAGFGFLTLNSGLAIYRSKGDPSSIMLVAASYSALLLLFRCLRDYERAPPGSPARDRARRAVWPLTSLLTLAFAWKVAGVMPSAVAAAVVWALAVATTVGGFFAIFAPGGG >Dexi5A01G0039190.1:cds pep primary_assembly:Fonio_CM05836:5A:39912540:39915988:1 gene:Dexi5A01G0039190 transcript:Dexi5A01G0039190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASPITCRPSAARRPLLLRAPAPRVGVTALARCGLPMREPLLAAAHAHARGIGAARPWLGTATRREAAVAETTRCAAAGQVAGSTSVGRGAGLEVPLAAAAVVAMATGNRVLYKLALVPLRQYPFFLAQFATFGGSGTGASLKSTGIVWPLLMIISFFFQAADTVLKEIIFRDASKKLKCGSVDLFVVNSYGSAYQAVKGHRYYRYYLCWLTWGTIYHYYTY >Dexi3B01G0031040.1:cds pep primary_assembly:Fonio_CM05836:3B:31381249:31386602:-1 gene:Dexi3B01G0031040 transcript:Dexi3B01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCADSAHNLLERMLLDANTEPTSLPLSLLKDITDDFSDDQIIGRGGSAVVYKVCNFRLPSGVLRNGTVAVKQLSQAVDIDERNFNQEVRNLVLEVHPLEVCFPFEPNKLLECPVTLTNRTDHHVGVWITPTYPDVCSSLGFPYMWEQPYYNDKGSTLIRRLEPHSSLVVYITMTKQQKPPLRADTGMFEVVMIGTESEEDLIRLESYLGEGVTRQKDPLDRVKELGTEVHHATLRAVTCGAANKYQEIPHQLIQSREFGDVRSIDVHPTQTWVLVGHDEGYVSIWNYETQIWDLQTEKHVHTLYHIKGRSIDQVACHPTRPILATITELNGSNEKHVFLWDARTYSFLQPPFAKHTAVN >Dexi7A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:7A:20183449:20184368:1 gene:Dexi7A01G0009050 transcript:Dexi7A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRATDEIWDEIIEEMPRARKYQNKSFPLLELLEVLFDGPIPEGQNPPPNMIENVDEGGNNMSTTPDMSARPIGAINANFDETWNNIGLLQRTALVPQGIDDLNVLHNHTEEVLERQQRGADARPQRADEQAQSSSCVEPQRDRRRKRKAPDKATDIQQIIEGYLNFKMKQARMKEQKAKEADQFTISNCIKAMNTMTDVSDEIKVLASDVFKDAENREIFISYEPRVRALWLKREVGRLLT >Dexi9B01G0030040.1:cds pep primary_assembly:Fonio_CM05836:9B:32510572:32514272:1 gene:Dexi9B01G0030040 transcript:Dexi9B01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKVSYFQAITGISDPDLCTEILAAHNWDLQVAVSSITANPSSPSASASTSSREPAPSAPLEDAELVAPPPPMPPPPPQQQQQPGIAWKLVTLPFYVISGGVGLVAGTFRLGAWVAGGVLSRSLSILGLAGQAGGDRLLELPPSAAEAADFVAEFEREFGAGRGPRFVAEGFADALQRAQREFKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVSWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIMLLQQVEGPKSPEEMITILQRVVEECATSLVAARIEAEERLSNQRLREEQDAAYRAALEADQARERERLEELERCEREAAEAERKRKEEEEALVRAAQEAAEREAALARRRQEKAMTLGAEPEKGPGVTQVLIRFPTGERKERRFHSSATITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKHSLTLEEAGLHPQASLFIEVEQ >Dexi4A01G0023630.1:cds pep primary_assembly:Fonio_CM05836:4A:26666210:26667899:-1 gene:Dexi4A01G0023630 transcript:Dexi4A01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALHFGWQIKRVDVTRLCRQRSILTVNGQFPGPTIRARRGDVLVVNVHNHGDKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGNTFVYRVILSKEEGTLWWHAHTGFDRATVHGAVVILPNHGDAVAFPFLQTHPHVEVEEIPPIILGEWWRDDDANELLEESMRTGRDVKPSDATTINGEPGDMFPCSKSGTFRSRVEHSKTYLLRVINAGLTNDMFFAVAGHRLTVVATDARYTKPFATDHLMVASGQTVDALLHANITAGDGVRRRRRRYYMAARTFASNTIVAVFNNSTATAILEYAGDDAPSSTTSPAFPTTTLPAVDDIDAAMAYTARLRSLASVEHPVDVPATVDERLLVTMAVNLIPCGGGAKATCTGPQGDRLAASLNNVSFVNPSGVDILSAYYHHHHHHRRSVYGDDFPNEPASRFNFTDPGLPAEGLGPFTERGTRVKVVEYGAAVEVVFQDTAVLGTESHPMHLHGYSFYVVGRGIGNFDQGKDPAVYNLVDPPYQNTVAVPKGGWAAIRFRATNPGE >Dexi2A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:2A:3655761:3656256:1 gene:Dexi2A01G0004080 transcript:Dexi2A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCTSNPWKHPRVRNLSAGCWHLRATSASITGVSSVKSSSAGRAANKGISPATVMAASSPWLVDASCVRVSLRHLAATVRSASSPTKSICREELPETGAGYRRCPPPPKLVGGAKSIRGDRQAEVDLGKRGGVGRVHGERRGDVLRPAVELGEIEPAP >Dexi3A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:3A:13449557:13450867:1 gene:Dexi3A01G0017640 transcript:Dexi3A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYFVPFVSCCGLRSLTRQLILLCRRREMATVPGDLIWQIVRKNNSFLVKQFGNGNAKVQFTKEPNNLYNVHSYKYSGLANKKTVTIQPASGKESAVVLSTTKTKKQNTPAKLSHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVHRSLQVTKSGVKKKNRQPKH >Dexi5B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:5B:2312282:2315631:1 gene:Dexi5B01G0003460 transcript:Dexi5B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESSGTEEKASSHANEEKRWVLSDFEVGKPLGRGKFGHVYLAREKRTRVYLILEYAAKGELYKELTRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGLPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKVLEHPWIVQNADPSGVYRG >Dexi9B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:9B:14248777:14257860:1 gene:Dexi9B01G0019690 transcript:Dexi9B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRPVRKSTALRARNGPSKLQPARSMPVGYRYSAAANGSGGGPKANGGSRLAAAAEADDEEMEEEVVRLEGDDADSPYSSKAATVEEVEDVAEKGGDGEEVDSAATPRRLSPTAATSPSQGDARWGDTSSYGAKKYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQSAVGERSYHIFYQLCAGAPASLKEKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFTVIDNENHVEIVVDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLTQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQHCLNLFEKVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGIRGSLVRRCNGNIDLINVLREFESKQEAEGDQILIKASVLAELQRRILKAEATIREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWEINGNHVGSGSQLVPRTAGREMNAGLSVINRLTEEFEQRSQVFADDATFIVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGGRIRETKVILNKLGNGNESSPNSVKRKWWGRLNTSKFS >Dexi2A01G0027960.1:cds pep primary_assembly:Fonio_CM05836:2A:39266032:39269557:1 gene:Dexi2A01G0027960 transcript:Dexi2A01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWRVSHAMRRRAARARSGLQQRRNSFEDGVAGTTCPIPPGANFTYIMQAKDQIGTYYYFPSLAFHKAAGGFGGIRVLSRPQIPVPFPPPAADYTLLIGDWYKANHTALRYMLDSGKALGFPDGLLINGRGPNGYTFTVQPGMTYRFRITNVGLATSLNIRFQGHTMKLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPGFDYAIVASTRFTTKILSTTAVLHYANSAGKAPGPLPGGPTIQIDWSLNQARSVRWNLTASGPRPNPQGSYHYGMVPVTRTIRLANSAAIINGKQRYAVNGVSYVSPDTPLKVADYYKIGGVFSVGTIADNPSSGAAYLQTSVMGVNYRDYVEVVFENNEDEVQSWHIDGYAFWVVGMDGGKWSPASRQGYNLRDGVSRYTVQVYPRSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVWTPSTSLRDEYPIPKNALLCGRAAGRRTRPL >Dexi4B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:4B:15556130:15563898:-1 gene:Dexi4B01G0014520 transcript:Dexi4B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHAPQRRRGVLLLLLVAALAAALPATCAAARSKKTYSAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFRKPTGRCSNGRLVVDFLAEHFGLPLPPPSQAQGKDFRKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLENMKPSLCKSDKECKDYFSKSLFVVGEFGGNDYNAPLFSGVPFSDVKTYVPLVAKAIANGVEKLIELGATDLLVPGILPIGCFPLYLTLYNTSKKSDYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPKTKIMYGDYFKAAMQFVVSPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTEAAYKKAQRQAWPTRRARGQASPRVAPAAAQLTSPPKKERKPSQSRTAVLLRRLRAQIQGAASAMAGDGGTAARARWVCAVLALLPLLAAASAAAPGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPFLPPSKAKNASFAQGANFAITGATALDTEFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCNSEIPECKEFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPLGCFPVYLTMYTDPKEGHGSRTGCLKRFNTFSWVHNAMLKRALEKLCAKHPGARIMYGDYFTPVIQFILQPEKFGEILSYLFALQLYR >DexiUA01G0014020.1:cds pep primary_assembly:Fonio_CM05836:UA:29815634:29816514:1 gene:DexiUA01G0014020 transcript:DexiUA01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAFAWPYAKFCIVGGLFGRTFADWCASVSSVDGESMSPTLDGHQAERMLVEKRCLYTYDFTRGDVVVLRSPRSHREVLVKRLIALPGDWIQVPENQEIRQIPQGHCWVEGDNAANSSDSRSYGPAT >Dexi3A01G0034880.1:cds pep primary_assembly:Fonio_CM05836:3A:40176625:40177819:1 gene:Dexi3A01G0034880 transcript:Dexi3A01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLTVVALLWALAVAAGQDDNNAVVLPGWPSCSTTDNYTDGSQYKKNLDQLLAALPAAAGDNGWFYKGSAGAGGADEVFGLIMCYADWNAIQCQYCLADAGAGIKHWCPGSRSASAIYNACVLRYSDEPIPATADLEVVFNAYVSGEPVTSQGLSDAWLRLMTKLTSGVTADPLRLANDTAPYSSSQEMYGLAQCTRDLNGTECSKCINSYIGQLGDMFPNDTGGAIKGHSCYLIYQVGKLDITLPPAPRNNVDVVRSTEPSSSSKTGIVIVVYVGSVLIILGLAMWFLLRRRKSKKQAKIFEQGREHEMKKGGDFDDDDEPEMENEFEKGTGPKRFGYSELAIAADNFSDTHKLGEEDF >Dexi6B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:6B:26331673:26334527:1 gene:Dexi6B01G0019660 transcript:Dexi6B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRGRSPPPAAAPPPRDPVPAAPSPPSHFPPDLLRDVASRVTSLHEFFALRAVCRAALPLAAPSLAPQPPLLLVPDTAAASHALLHVHRGFHRFRLTRTHLTGETADVHSLGCRVAVDLRERCQLRIVHVLTGERTRLPSPPSPFSGLLLSGDLVVAWDSNHPSLQHCSLGNPKWRVASAIDPFRFHDLIFESGTLYALVTPGYRLAVVRLSDNSNSDELELEFLGGELDAETSRQNSVFCLAECCSELLLVTRSEEHHHRRQTFHVFRWRSGEQEWDRIARLGGCALFLANYRFAGCLGPHHPEIRPRRRTHQRAPLFVIPRAKALELEESCLAICSVVKY >Dexi2B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:2B:18804962:18805479:-1 gene:Dexi2B01G0012550 transcript:Dexi2B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVVP >Dexi5A01G0029770.1:cds pep primary_assembly:Fonio_CM05836:5A:32830656:32834484:1 gene:Dexi5A01G0029770 transcript:Dexi5A01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFGSGVVLLLGLCYCAAVSCSSAPPEMHESYAGKSEFRTVNRKELESCLNPSPYLAINVSAGGAPLPDEAFLEVTVAGVLKPEASDWVAMVTPSNSSVSGCPLSGVNYVETGDLANLPLLCHYPVKAQYLTSDPGYMGCKNAGCGKRDASGACEAQTCAATLTFHVVNFRTDVEFVLFSGGFKTPCLLKRSGVLRFANPASPLYGHLSSTDSTATSMKLTWVSGDRNPQQVQYGDGKSATSEVATFTQDDMCSIAVLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYTYRYGRDYANSASVYVTPDSGGECGVAYESYFPMPAVGKDKPWYSIEQGSVHFIVMSTEHEWSEKSEQGNCNGMPTKDANGIDVYDNSNYTAPIHAIVGAGGFSLDNFPNNVRVTGEAWSLSRVSEFGYARVHATRTDMLVQFVHSSTMEVRDQFKIVKGSSAKNSGSLMIQE >Dexi9A01G0047770.1:cds pep primary_assembly:Fonio_CM05836:9A:50769522:50770937:-1 gene:Dexi9A01G0047770 transcript:Dexi9A01G0047770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGSKERRRRRRRKRSPAQSPNGRARAAPRVTPKKVDLDGEVVSAAAPLLATLLELRDSTDDMCLAVVKKKVTFDPNVTTYEAATIPEEEGEGADPEEDGARKEEQWMLTPECAKSEAFPFNHRYSNCADSDNDSEYEDAEEEEYDEFEDDEEDEEEEDGIDECAIDDDDEEHGLLGIGRSDEEVCESLFLLPISKTSKESGGQVEAPGVAAPEAPVVLNSVENLTQWKEAKPRAAAKPNSSDKENVTLEQENRMDLLVEPAVASKKKDERPALSDYSYTPSTPSKQEASVDASLSTWLGSSGTPESNSVRSYSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSAKGADPVTRGGFMRTRTRFGQVSVEPHQSDCW >Dexi4B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:4B:7191198:7192613:-1 gene:Dexi4B01G0009870 transcript:Dexi4B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKPLDPRNQLKPSSKTPGCFLSKPVCASLVCGVLSLALLHLLCCSSPGTQQAVLSPLRQYINNTYSFVSSVPGNNGKSCNYSEGRWVWAPGHARRYNATECNVKERQDCIRNGRPDTKYLDWRWQPTGCPLPAFDARTFLSAVRGKHVAFIGDSMARNQAQSLICLLTAVFPYHLVYRDTGEHKHNFWRYAFPSHDVTVSYYWAPFLVRGKGKPEDDGIKENHVHLDVPGDRWAADVDTIDVAVLAAGHWLLNGAVYYNSSQVIGAHNAPPEYSNYTKIGYAWPLHMAYRKAVERLSSGGRPRTVVLATFSPSHFAGRPTDSPTACTKMEPYEEGEEELVWIFKEVRDIVCEEAELAKARVVAGEGGGGGATRIEVLDVTKLAWMRPDGHPSVYMNYHPFEHGVPERMYSDCLHFCLPGPVDTFNEILVQILKRRR >Dexi6A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:6A:283282:289440:-1 gene:Dexi6A01G0000480 transcript:Dexi6A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDMDPHHHHATREAASEVLDANGDHHSLHAAHHLGQNGDTNHSHTKNHPSTDDNFSSNGHRHGGMSRRPSTSSVDDRSVKSGDDSDGAESTNGAKGSDTEISGLLNDTIWIPPEAADKEDEAVSFDDDDDYSDGIKWGQSSFPSPGKEHEASPSNPREEREKAMLEAMNGQLKILVSRFLASAGISSSDGEDSNSWLDIVTSLSWEAALLIKPDGTMGKDMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSFHSPRLLLLKGVLGHSDVGLSSFNSMDQVHARGTALYSKVATLLQKLKNEHPDAITVAINVGLSLPFKDFSELEELLIKEKASLEGSMDKAIDQNGRPSSSVHELLNINWSYQDLLLRVYIWDRRLHQLFYCKSVVLETAVNCNNPADTVNGISDDNSEIGKKINGVTYDESMTTFVSASATESARNKLDHQSGETGAPLFDESPEAGHSELSCNGGSKDEESSIVPGEVDSTTEAPKGPCLEISDDKGIQGNVKVADPTPVEQEPSSSPQQFKYPYWDGRERTRHTLRSSQLVQVKQIRHGKEVKIDLMVMENLLFGHNISRTYDLKGATFSRRVADSNDHDTVYLDQNYVEDMGVSPIYIGGRTKHLLQRAIWNDTAFLTSVNVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPKEYKKRFRKFMGKYFLTVPDTWSPDSPSKKCKSIGHSNHKSVEAQDGDSLLQHPNQAEACA >DexiUA01G0000950.1:cds pep primary_assembly:Fonio_CM05836:UA:2996826:2997570:-1 gene:DexiUA01G0000950 transcript:DexiUA01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDYLHNHCQPPIIHCDLKPSNILLAEDMSARVGDFGISKIHPDNTSTTLLNSISFTGLRGSIGYAAPEYGEGCAVSTLGDVYSLGILLLEMFTGRSPTDDMFRDSLDLHKFAETALPNRALEIADPAIWLSIEAENDHASAAAIVRCRSEGCLASAIGIGVACSKQQPRDRIPVRDAVVEMRAIRDTFHMVARSLDGKL >DexiUA01G0016520.1:cds pep primary_assembly:Fonio_CM05836:UA:35164969:35166830:-1 gene:DexiUA01G0016520 transcript:DexiUA01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGAEQGLSFDIWTTYLAELLKVHHSRQTHQMGGNFLSDEQVLCIGSSKKRPVLKWEENTAWPGNLTLTDKALYFEQAIGLSGTKKPMRLDLTNQNSRVEKAKVGPFGSKLFDSAVSVSSGSTSDEWTLEFVDFSGEMRRDVWLAFIGEITSVYRFIREYGPGDDDPAIHQVH >Dexi2A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:2A:25746103:25746579:1 gene:Dexi2A01G0015110 transcript:Dexi2A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILLPDEHDGLQSLQDKVASNPGFLMVMDHMPYGQHRVGEFRVPKFKLSFATSATNALQDLGVQAVFSAGAELPGMLEDDGSQEPLFLGDVFHKAVIEVNEEGTEAAAVTASVGFRGCRPLPPPAHFVADHPFAFFVVEEVSGAILFAGHVLDPTRP >Dexi2B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:2B:30229173:30232239:-1 gene:Dexi2B01G0020100 transcript:Dexi2B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTGMAKRVLSVLLLAALVCNVAYAKFGRNSFPEGFIFGTGSASYQYEGAYKEGGKGPSVWDTFSHIPDGSLSGGVNKEGVAFYNNLINEVIANGLKPFVTIFHWDTPQALESKYKGFLSENIIKDYVDFADVCFREFGDRVKFWTTFNEPWTYASQGYGTGAHAPGRCSPFISKSCTPGDSSREPYIVTHYILLAHAEAVKLYRAKYKPYQHGQIGLTAVSHWFVPNTDSPADVKAVKRSLDFMYGWFLDPIVHGEYPGTMRAYLGDRLPRFTPEEVSLVKGSYDFIGVNYYTTYSTSNKPPANGLELSYDGDIRANTSGFRDGMLVGEPEFVPIFFNSPKGLRELLLYTAREYNNPVIYVTENGIAEENSKSIPLKNALKDGHRIRFHSQHLQFVKHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESSYWIENFLKRDKY >Dexi5A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:5A:9881435:9882816:-1 gene:Dexi5A01G0013200 transcript:Dexi5A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLISPTVSFLATPSAPRSRALPAAASVSYPAPRLQCKNLASLQSYLNVTATCASFAEKRVVLVCATAEGGEADGEQPEEPKPAVKIEEMPLESKQKMIMEQRAKMKIAKKLRQRRKRLVRKRRLRKKGRWPPSKMKKLKNV >DexiUA01G0023450.1:cds pep primary_assembly:Fonio_CM05836:UA:47936175:47938745:1 gene:DexiUA01G0023450 transcript:DexiUA01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEGSAITGPIGKECADLWPRIASAANAIV >Dexi4A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:4A:1122847:1129484:-1 gene:Dexi4A01G0001710 transcript:Dexi4A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEAEPAGGDGSSPSGVDEGGSSSGSGAELADALARRRLYREVTLALRSGLRDAMADFSFRRARGLRSLLGFLRSTASAEDDARLLLFRHSQSIPDLQVIPVLFQNSLHQPKEVPVVTLDHIIGTEPMRITSPPTDSEIALALRVLEDWLGQNDVSSNNCIEIECPRAEYDHYVKMLKSIYLPRESLIDSFDSVKSAVGVLRASRSLGCEFVTKSCIQYIEASSWDEMEEEEILEVAQTLGSDAVPLLARLQAPSADAAKNVFISAIRFATCMEAPFPPFSDDLKTSAQEQIDFMIHDDDDTALVTTDEDVKSVVREGLRKLLSALRTGLDLLSTEFDQSHHQAEQRILCSLADIDWIASLLGKIEMMHDFVSGWLKISDHVLSVVQDKKYTSDLWAVKAKLIEVTGKALDAVGYGSVVLPSSSRVHFLKTWLPYIQMTKRLLDENSKDETSLQMDSDSYQNIESAIVSMVLALPSDDQADILSEWMKKAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGANNPTVSL >Dexi3A01G0036320.1:cds pep primary_assembly:Fonio_CM05836:3A:41732769:41735187:1 gene:Dexi3A01G0036320 transcript:Dexi3A01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSSPDGSNSLGSASAPSLASSSRSDKKKTKSETLRKPDKLFDSAAAAHNSAASSASSSSAGDGRESVAQLRDASGGGASAAAPSPIMASSLGLNQIKTTSGPLPPEGQRMAAALGSSNLSRGQSQAEPSDASGGVGGRKGVSSSADSSTTSRGKGKTAEMPMRTAAGTSLGAEGKSAVKANSSALQNYSGDLKTPTHRPEAVEVLKVIQMRFEKAKEEVNSDLAVFAGDLMYKAAPVPQGELR >Dexi3A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:3A:10514713:10515369:1 gene:Dexi3A01G0014420 transcript:Dexi3A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLLLLAVVAVALAVVPSATTGSSSDDDSSHPFNDLVHSFSGGASYGGAARQAAMHHDAAAAAASERGEHHIPAEGALAHEFLEAHNALRAKYGVPPLRWSSKLARYARRWSWLRRFDCVLMHSPASPYGENVFRGSGTDWRASDAIRDWASEAANFDWRAQACHPGQECGHFTQLVWNDTQYVGCGRTECFTQHVFITCSYDPAGNYKGEVPLT >Dexi5B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:5B:20420073:20434686:-1 gene:Dexi5B01G0018320 transcript:Dexi5B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSRIFEYFVVCGLGPEIRALDGAKGFHGVEEMYMPAFLDQFPPSNHALYPPPPPQLPTCVLPAGVRIYSSGLDTDDVSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPANSFADKCICLVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHMVSHVTLPTPGKNRVLFSIENCLLSAEAPPKEWLPHADISFQPLVQCLDVDKLILLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPVRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSAVTMDGVVVVDLEYNRITTSEEIPPIPETEHSFLRGEILKLLQPNVMGIDHMKINLGSMGDHSLRSGTKSWGQEHDFQLRLIFLRFFAQILSGYRNFIDTASTTGFNSQAFLKKRSRATNQPVESMSMIMQFLETQGFLDYLERCNSAEENPNNLLDKLQDATGRGQNPLSIFPSEFADPEIVTIADPETEGSEPGIRHCYKRFPANARTEEQDEKRKSILALASGASKQVPSSPSIRVNGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRSDLATIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSANYVTLVTAQLIIMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGRSQPLQSYGMASPHAIDVSDESQQPAEASGLGRSWVHSMFSRDRSLRASSFNRTNDSTAIATTGKTDISAAPKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCKSAVLCMEYDDSTGILAAAGRDVMAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLNRGTCDAVLACHAGPILCVEYSPSDKGIVTGSSDGLIRFWENEGGIKCVKNLTLHTASVLSISAGDHWLGIGAADNSMSLFHRPQERFGGFSNAGSKVAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTSI >Dexi5B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:5B:21613183:21616680:1 gene:Dexi5B01G0019290 transcript:Dexi5B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNPRIVGHGERTVVLSHGYGGSQAIWDIVLPHLSRRNKVLLFDWDFSSGPDAGEEHQGAEHYTFSRFADELVALMDDMKLSSAVYVGHSMAGMVGCIASIKRPDLFSHLVLVGASPRYMNSSDYEGGFDESDIEAMLSTISSDFRAWAEGFVPLAVGTATDSAAVERVARSFFAMDPAVAHGLARMIFLGDQRAVLDDVAVPCTLVHVSRDFAAPPCVGRYMLARLLAAGCAAAFVTIDSVGHFPQLVAPDEMVEILDLVLGGEDDDNEEEEETAEMAEMVAMAEEMSSKEVPAGGLAEAAPEVQGDIDVAT >Dexi3B01G0031060.1:cds pep primary_assembly:Fonio_CM05836:3B:31417142:31417492:-1 gene:Dexi3B01G0031060 transcript:Dexi3B01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTKVEEEALQKLTSLQELLGPKDCLPRSLTELSIIGCPAIRSLPKGILPSSLQTLDVRYCCEELRRQCSKLRGKIPIIKT >Dexi7A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:7A:27473205:27475571:1 gene:Dexi7A01G0017940 transcript:Dexi7A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPRCAVSLPLAPANASATGTGGGGKKAQQQPTASQVRRLCKQGQLDRARRLLLDALPRPPPALLCNAVLIAYVARALPDHALRLYALLNHAARPAPRSDHYTYSCALTACARTGRLRLGRSVHAHLLRRARALPDTAVLRNSLLNLYASCLRYYRGGDSGVDVVRRLFDAMPKRNAVSWNTLFGWYVKTGRPREALEMFARMLEDGVRPTPVSFVNVFPAAASDDPSWSLALYGLLLKHGVEYVSDLFVVSSAIVMFSELGDVQSASMVFEHTSKNTEVWNSMITGFVQNGKFAEAMDLFIQLLGSKEVPLDVVTFLSALTAASQSQDGRLGQQLHGYLIKGMHGMLPVILGNALVVMYSRCGNVQTAFELFDRLPEKDVVSWNTMITAFVQNDFDLEGLLLVYQMQKSGFAADSVTLTAVLSAASNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRIEIAQRVFDGCGNSKRDEVTWNAMIAGYTQSGQPEQVILAFRSMLEVGLEPTSVTLASVLPACEPLGAGVCAGKQIHCFAVRRCLDSNVFVGTALVDMYSKCGEITTAEHVFATTTEKSIVSYTIMIYGLGQHGFGERALSLFHSMREKGLKPDAVTFLAVISACNYSGLVNEGLALYRSMEEFGIAPTPQHHCCVVDLLAKAGRVEEAYEFVEGLGEEGDFVSIWGALLTSCNAQGKQELANLVTERLLRVEKKYGHAGYNVLLSHIFAAESNWSRADSLRKEMGLRGLRKVAGSSWIKVQDAALQNYPQIDHVLDAPWG >Dexi5A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:5A:1388886:1392452:-1 gene:Dexi5A01G0001980 transcript:Dexi5A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRLACFVAALLLLAAAVAEAKEYAAIFNFGDSLVDAGNLVVDGIPDYLATGRLPYGMTYFGYPTGRCSDGRLVVDFIAQELGLPLLPPSKAKNATFHRGANFAITGATSLDTPFFVARGLGKTVWNSGSLHTQIKWFQDMKPKLCSSPQECRELFRRSLFIVGEFGGNDYNSPLFAFRPLSEAHDFIPHVVDSIAEGVEKLIAEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGAKTGCIRDLNTLSWVHNAALQRKIVELRAKHPDVRIIYADYYTPAIQFQTPRACCGAPGVGEYNFNLTSKCGEPGAYSCDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILDNKHLG >Dexi4A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:4A:389171:389902:1 gene:Dexi4A01G0000610 transcript:Dexi4A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLFFFLDTSPRDAEFASARRPRLFRRPSSGGAPPPPLPLSTAANANLLELDAPAASTAQPGVLRRPAPSATTAGRRAAGSSPLQLQATALLNSVLFFFSTTSSDSSPQIRVWKALVCLRVSSSAASAPATGGSNPGPLPGHRRPSLVRCGDPPGSCWIGFIVCW >Dexi2A01G0032070.1:cds pep primary_assembly:Fonio_CM05836:2A:42692181:42692393:1 gene:Dexi2A01G0032070 transcript:Dexi2A01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRPPPPASSGAAAPGISGSALLLGPRRGGSSSSEERWWSGGRETAEVEAPWDWDASSSTYCCLLLLA >Dexi3B01G0031510.1:cds pep primary_assembly:Fonio_CM05836:3B:33058040:33059841:1 gene:Dexi3B01G0031510 transcript:Dexi3B01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLLHLIRPRPYVQSQESCPVPAPAGRGAESARVFTIVNRCKTTIWPAAIPGDSFGGGGFELRPGQSAVFNAPASWTSGRIWARTDCNFDARGNGSCVTGPCGPTLKCGVAGSTPASLAEFTLAGSGGKDYYDVSLVDGFNLPVMITPVNGKGKCGAAGCVGDLRDDCPPELAVKVEGRTVACRSACDVFDTDQYCCRGVYGGPNTCKPTVYSNKFKDACPTAYSYAYDDPTSLFTCYDADYIITFCSSRY >Dexi1A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:1A:3198165:3201209:-1 gene:Dexi1A01G0004350 transcript:Dexi1A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLQFLAGLSQDGGLGLSWKNSTDCCTWEGVACGTDGTVTDVSVTSKDLEGHISASLGMLSGLLRLNLSHNLLSGGLPLELMSSNSINVLDVSFNRLNGNLHELPSSTPSRPLQVLNISGNLFTGEFPSGTWEVMSSLVSLNASNNSFTGQIPSHLCSSSPVLAMIALCYNQLSGSIPPALGNCSMLKVLKAGHNTLSGPLPDELFNATSLEYLSFPDNGLQGILDGGRLINLRNLVNLDLGGNRLNGKIPDSIGQLKRLEELHLDHNNMSGELPSTLSNCTNLITIDLKGNSFSGELQKVNFFKLPNLKTLDLLYNSFTGKSLITGSKTHNNEDVEASSHLSDSEQSLVIVPRGNGETNKLKFVDIVKATNNFHQENIIGCGGCGLVYKATLPDGTKLAIKKLNGEMWPMEREFAAEVEALSMAQHENLVPLWGYCIQGDSGFLIYSYMENGSLDDWLHNSDDNANSFLNWPMRLRIAHGASMGLSYIHGVCKPYIVHRDIKSSNILLDKKFKAYVADFGLSRLVHANKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGIVLLELLTGRRPVLTLSSSKELAKWVQEMKSEGKQIDVLDPALRGTGHEEQMLKVLEVACKCVNHNPCMRPTIQEVVSFLDSLDPSLQMQNSVEIERI >Dexi6B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:6B:2221871:2222088:1 gene:Dexi6B01G0002560 transcript:Dexi6B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADLPSPSGERAGSPPERCEALAAAIAGVLGGALREHEARAAATARSQDEVAAAVDRLNGGG >Dexi8A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:8A:25026018:25026794:1 gene:Dexi8A01G0014560 transcript:Dexi8A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKLKLQWITDRETRKAAYKRRCRSLMKKTSELVTLTGTKACVVVYGESDDVAGAMAPVPEVWPSSAEATTLLTKFKEIPDDSRLKWTRNHEQHLEERVLKVREEAKRLEAKNSEFATSKLLHQSLAGLRPGLEGTTSMELVKLNDMVVEKMGKLWARMLELGLVGEGVHDAHPPRLPAIGGELDAVVGGALGVAGGGGHPPMAMNDNGGELAAVVGGALTAGDSSGGDGGPSGGGSDAVEAFSQGCAMGFPWARE >Dexi9A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:9A:620131:620425:-1 gene:Dexi9A01G0001200 transcript:Dexi9A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSNADYNRSNQGRKYESSVSPLQTNIS >Dexi9A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:9A:14061798:14063502:1 gene:Dexi9A01G0019100 transcript:Dexi9A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCLASPAGAVLCRPRRPRCRVACSAADTGGSTEPAWRSAAGAKNAGRLACGVLAAWAVASASNPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSEAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFENADLTGAIFKNTVLSGSTFDDAKMEDVVFEDTIIGYIDLQKLCTNKSISADARLELGCR >Dexi3A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:3A:5041323:5045452:1 gene:Dexi3A01G0007380 transcript:Dexi3A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQMWWSASPPSPWGRGAGKGWALGGPLAVKAVGFLLLAGLLFRVLCSFPSSPAPALQIAQGPSDIHFLEMVYKSALVALLNALIQSYFPGEEPVDVYHDKEFKNRRWHFKSYNFTVSLIWAPFLIKSDVFENENGESTSEIQLHLDTLDASWTSQYESFDYVIISGGQWFLRTAVYWENGAVVGCHYCQNKSLLELGFEHLYRKTLQSVFNFIISAKHKPVIFFRTWSPDHFENGEWFNGGSCNRVTPYKKGEHREGYNGRVMREIELKEFSKAAAALRGSPDVERLKLMDTYSLSSLRPDGHVGPYRTPYPFAKDSKNTARVQNDCLHWCVPGPIDAWNNLVMKMALDQ >Dexi3B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:3B:5214604:5215251:1 gene:Dexi3B01G0007340 transcript:Dexi3B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLVILILSLVLAIFGMTILISILIIHTHHVRKVFVGSVGVLSAMLMYSSPLVAVKQVVRTRSVEFMPFSLSLFTFLTSLIWMIYGLLGRDPYIMVSNLICNLVK >Dexi9A01G0042490.1:cds pep primary_assembly:Fonio_CM05836:9A:46103592:46105225:1 gene:Dexi9A01G0042490 transcript:Dexi9A01G0042490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAAAQRRRRQWTLALVTAAALLERADEALLPAVYREVGAALGASPTALGSLTLCRALVQAVCYPLATCAAARYDRARVVAAGAFLWAVATLLVGASATFLQMALARGFNGVGLALVVPAIYSLVADYSDDGTRGTAFGWVVMAQSMGHVAGNSLGVLLAATSFAGVPGWRLAFHVLALVSASLAALTWLLGADPRPRTAKATTAVATLAELVREAKDVVRVPTFQIIVAQGVAGSIPWSALSFAAMWLELVGFTHWQTTLITNLNSLANALGALFAGFVGDPLAVRFPNTGRIALAQVCTASTVPLAAVLLLALPDDPTAGASYAAAFFVLGFAMPWCPVSTNNPIFAEIVPEKTRTTVYAMDRCFETVFASFAPPLVGILAERVFGYQPGASGTSVDGDRENAAALGKAVFAEIAVPIAICCLTYSALYWTYPADRERAQMAVLQGAADDQDCDCEASVVGNGTAVDGLNQALLPGNRAENSAD >Dexi1A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:1A:4082230:4083291:-1 gene:Dexi1A01G0005580 transcript:Dexi1A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLIKSSLKELIEQYQHSTNTHFEEINQDQQIFVEMTRMKNEMEKLETGIRRYAGEDLSSLSLDDISDLEQQLEYSVSKVRARKHQLLNQQLENLRNKEHILEDQNTFLYRMIHENQQAAAALGGEVKLGDQMAPLAMLPPPPAFSAAAYYYGGESSSTALQLTPAAPQQQLHSVDVGFRLQPTQPNLQDPGCSGLHGHGLQLW >Dexi4A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:4A:22812402:22814059:1 gene:Dexi4A01G0018970 transcript:Dexi4A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILYHAVLSANDDKETMIKGIKNGACDYLVKPARLEQLRNIWMHVARNNMKNPRNKVRSGKDGAGHKLQSADGENSEDGANHTKKYSKKNKKYGNQAEEDKDGTSTQKKQRVQWTGQLHHKFLEAVNTIGMDKAVPTNILKEMNVDGLTRDCVASHLQKYRIYLRKLSDGMSITESSQHQKELGRFEPSPPIVGASSSGDPFARMNSPSALGMHSVLPTQSAQHMSTQENLGIPLQQDTQPDAHGVNLPKDVVSGNSFATVLSGGMSSASQCFPSIGSCATSGSSWASISNDSLHLGTSMRIPSSSRASYASLLRGKVVDANRGIPFNVDNFFEEVMPTHPSHVPLQSPS >Dexi7B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:7B:16086244:16091338:1 gene:Dexi7B01G0008230 transcript:Dexi7B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGKPREVSISVASSIMDIPAADWDACACDPAGPEMFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDENGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRNTSYRDQVFDALVKGLKSLTTKIPAQSLRMKRLRGDEIKSSHWDAFYKFYRNTTDNHWGRPYLTRDFFHLLGEKMGDNVMLIIAEKDDNLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSDPGFGVAIGNFLAHETAQVKRAIKILHDSGPYKEDILKELAPPQNDGM >Dexi2B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:2B:1269461:1287605:-1 gene:Dexi2B01G0001750 transcript:Dexi2B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSAALPGGGGKSRRRGKLPPGGCGSGSLRRGQLRRGGGGEEEAPPGFPPATKWARHPEVRLPPRQHRDDNIRNQRENVVLTLANAQSRLGLPVGTEPMAKELDGIIDSSEAEFAKSCITSDGSTSYLEKIITPIYETIAAEASINDGKAAHSAWRNYDDFNEYFWSRSCFELGWPPAESSKFLCKPAKGKRIRPLVEPTNIIVQLHDLNYSWHDLVSKRNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARERLGEKERVKRLHLLLTVKDSSANIPKNLEARRRLQFFTNSLFMDMTAAKPVSEMIPFSVVTPYYKETVLYSMSDLYVENEDGISILFYLQKIYPGIEDGNSAAEYIDIRGYELNEALRVAFIHEEDNGGHGPKEYYSKLVKADVHGKDQMTVLTVYIFLYGRVYLALSGLDYSISQQTKFLGNTALDAALNAQFLVQIGMFTAIPMIMGFILELGLIKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGDAKYHATGRGFVVRHIKFSENYRLYSRSHFVKALEVALLLIVYIATVEDFDDWTNWLLYKGGVGVKGDHSWESWWDEEQYGIVYKLKVMEHNTSLVLAVTWKRVVKTLGLWDSVREIARLYDAGMGAVIFVPIALFSWFPFVSTFQSRNLFNQAFSRGLEISLILAGNKANKQR >Dexi5B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:5B:20278893:20279466:-1 gene:Dexi5B01G0018210 transcript:Dexi5B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKSHPEVVEKVKDEVKSLAEALHLRRHGSEDKEPEVEEKAQEGEAAPNVDSGASADKTEESNELEQAVEEIQAVVAAVQQQQTAPTTETETPTDVAATAETSAEGEKPEETNREVEKDDPKKRLDFLGYFAMLFERFCSPANKKKD >Dexi5B01G0018210.2:cds pep primary_assembly:Fonio_CM05836:5B:20279475:20282782:-1 gene:Dexi5B01G0018210 transcript:Dexi5B01G0018210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSEGSQKKGKDSRGKNDLFDRAKGGLEALAGNLQSAKNDAETATEKLQGDSKEVKNKETRTWMP >Dexi3B01G0032070.1:cds pep primary_assembly:Fonio_CM05836:3B:34465848:34466578:1 gene:Dexi3B01G0032070 transcript:Dexi3B01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDATGQPICQYDLVMFQTTDGESHGRGLAVKSLPGKKISVLCVDGTLVAMPACHVTIVDRSLTGLGMAVAPASDPYGQVGVVTGATTELDLVVHLDGKRVVATGVSPEQVRPVKGLTLGDYVFFSGGTWLGRVIELSVDVDVLFDDRSVCRVTQAGDKLRFVCDNYLTGMDKNGFCPGDRVAGDAAVFKASRWLKGHWKSTHGEGTVARVEMGGVLVYWVASSVASSSVSAQR >Dexi9B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:9B:14047861:14048842:1 gene:Dexi9B01G0019470 transcript:Dexi9B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFRAKVGERYQLPHKGIIPRELGVVARYKGQRKIADPGYQNPRWVDGELLILDGKVNSINSAHHWSMLFFLTYLYFANLQFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >Dexi3B01G0037080.1:cds pep primary_assembly:Fonio_CM05836:3B:39924816:39929528:1 gene:Dexi3B01G0037080 transcript:Dexi3B01G0037080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAALSVVGTAMAPFKDSLLEKWAASVNLGPNVKALELELLAVQALLEPAIGKEIVDNSALKKLLVILQELGYDAEDVLDELDYFRIQDELDNTSDTAEKHAKSCAHNLAFHAKAVGKQICLPACLFATNAGAERKTNWRFNGCKPKLSSRACCNASHVVVEELNIEKWSASGKELTQLLTYFPKLSELMLWHCENISGLGVMGQQPMETPGPSSSAKKLDEQCQQQDDLIVLEQDGLFLLPPQLQVLWIYDCPKLSLCSNPHDDNKEEGRTGGGGGGGGGGGLQGSSLRRLSINSLPNFLSSYSSSAVYSFLFPNSLENLILLNEVGMETLPLSNLSSLTRLDIEGCGDLRGKGLLSLLAQGCLTELIVKGTPNFFVDSDISQVHEQEFPSYSSKLQLLSTDDVAGVTAAPICRLLVSSLTKLSIWDDKVVERFTEEQEILLFANSLEEIMFSYCDNLQYLPAWLHRLPNLKRLLIDGCKSIQMLPKGSLPSSLQELQIKYCPKIRSLPEEGLPSSVQVLEIWDCPKIGSLTKDGLPSSLQKLVIFECPGIQSLPKVDDLPSSLRELDIMRCGNEELKRQCRRLIGIIPIVKA >Dexi7B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:7B:19018606:19019345:-1 gene:Dexi7B01G0012190 transcript:Dexi7B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEQQPGWSNLPSDLLRLIAQRSRDAVTGLAAFRSVCRTWRAAVGPAPRLLLPRAGSASDPTLVFPLARGWSIVVDARDASCHLSHLATGAAAALPKLTNAVRDIYGHRPDAVRSWAFHRTYAAFTYSLRFAIHVPPDDSDPTAAAAGMTVMMYHLMHGRTGMLFCRPGDAAWTKVKKPNPLQHGCLRYGFFDFVYHDGKMFGMGGTNGQMAVYDAATLDVLHNN >Dexi4B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:4B:3841110:3847019:-1 gene:Dexi4B01G0005430 transcript:Dexi4B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPVAREVAEIAAEPDRATAYAWLLHLQRGCADDLSAAADLAAELPSPLLPLLLRDAADPDEAVADSALKCLGFALYHPVLVSTISAQMAQAILDSLVQLIMNTRMKSVCNLGTWCISVQKLEPLIIEDRADPVVTAIVHALDNPFGSLSTTFEAAQAIMKLACQIHTKMRDLSSLWVPPIYRRLLSADKPERDMTERCLIKVSCVILPPQPCLSKAVALDLEQKLLSHMMNMLDDPSKKIQAVRSWGWIISLLGQDAVNNRPRLNKLLKVPEQMFIDLDTQVQIATMLDILGTILNPELLQDMIPDKMLIVMNSSTEIFRFLLGGVQIELKDKRSDEQVRLCITDVCKFVKTKLFLDCVGKHSGNSLSALRNAENGSCALVTQDLEVALAIDVYRSLYTGSCNSKAASKIIGDQLTDWLSLSTTLYCEMQQGKIIYQLEKLWLNVLDCLNNNQLIHDLPFSQNQQLLQVARNHPHQAISVATKSACRAEENIKTSLRSGFLGSELDGLSLDKRKDHNSSSGADKAIAREEIGISSRPALPMSKKRTKHAVNDSGSLKISAGLGRKQLKIMKYSTKPRELNKNTASIGGLSARIDTVLSPRCIESKECRKPELILEMLKRKR >Dexi6B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:6B:22534907:22537151:1 gene:Dexi6B01G0015150 transcript:Dexi6B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTMPPGASPDEGPEPSVAREMDDEDLVEELLVTVNSARAFAEFRRTQRKECANLLRWLQLVLPLLEELRDAAPRLTDDAYRRLTLLGRALAAARRLLRSCNDGSKIFLALESETVLTKFRNVYEKMHSALDGMPYAELAISDEVKEQVELMNTQLMRCQKRADTQDMELSMDLMVILQNKEDERNADRAILERLAKKLELQTLAELRSETKAIKKIINERNGQQADSTKQIIDLLNKFKEIAGVDEKNVLGDVSMPKSLNKCPSLVIPNDFLCPITLEIMTDPVIVATGQTYERRSIEKWLDSGERTCPKSRQPLAHLSLAPNYALKNLILQWCEKNMVELQKREPEPAAEQDDNQQRKEDIPSLVEGMSSIHPDVQRKAVKKIRMLSKESPENRSLIADNGGIPALIGLLASPDKKVQENTVTSLLNLSIDDKNKQLITRGGAIPLIIEILRNGSPEAQENSAATLFSLSMLDENKAAIGSLGGLAPLVELLRNGTARGKKDAATAIFNLVLTPQNKARATHAGVVPALLGVIDDKGLGMVDEALSIFLLLSSHATCRAEIGTTAFVEKLVRLIKDGTPKNKECALSVLLELGTNSKPLLVHGLRFGLHEDLSKISKNGTSRAQRKANSLIQLARKC >Dexi8B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:8B:15815001:15815549:-1 gene:Dexi8B01G0009130 transcript:Dexi8B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATRARVMLLLVAAAEAVCLVGRATAQQASGVVATYNLYNPEQNNWDLGAAGAFCATWDADMPLAWRQQYGWTAFCGPTGDQGEPSCGRCLLVTNTATGAQAVARVVDQCDNGGLDLDAAVFSEIDTDGVGAASGNLVVDYQFVDC >Dexi3B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:3B:4737913:4740575:1 gene:Dexi3B01G0006800 transcript:Dexi3B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCSELPPAKRRRLCFVLLLLAIFLSHANAKRTPPPRPVTKPSPSPSPSQVPAYPSLPVRAVSLGGWLVTEGWIFPPLFGDIPNRDLLDGTQLQFKSALRKTYITADQGGGGAVLATNLTQPSDWETFKLWRINETTFNFRTSGSEFVGIGASDGLIVATATAPGLPETFQIVRCPFDKNRVRIKAANGYYVQAIATGEVIADYGEPTRWSDWDASVFLMTTVGEQMQGEFQLCNGYGADKAASVLREHWSTYIVEDDFKFLALNGLTAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWADAFSYELVVALCSDNNGHVPRKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQAYAASPSLFAVELLNEPRAPGASLESLKRYYHDGYAAVRRHSPTAYVVMSNRMSSGEPKELLRLAGELQGAVVDVHYYTVFNKMFDNFTVQQNIDFVRGNFSAELASITSQNGPLTFVGEWVAEWKVPNATKEDYQRYATAQMSVYGQATFGWSYWTVKNAKNHWDLEWMIKNGYISLKA >Dexi5B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:5B:13260426:13260855:-1 gene:Dexi5B01G0015800 transcript:Dexi5B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKQGRDAAGVRAHHGRPGHDVEVAAKTGPADPRGRTGGTDARILSPGAKTSGLSTPGKLFDGPREEYFATTGAGCVPRIVCWNLMVAVGSSREWMYPNRIGASSARMTRAGNVNRTELTSCSETKMAASTPASSTALI >Dexi9B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:9B:6517028:6518853:1 gene:Dexi9B01G0010430 transcript:Dexi9B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGRARLDLRMWCCSGAEEEPLAAPPAGNPAYTPPRAPAAQPRGPNMPRTGAASAAKVLPIDVPAFPLSELNRLTGNFGDRALVGEGSYGRVYRAKLGTGEVVAVKMFDNGSSSGQSESEFCEQLSVVSRLKCEHFTQLLGYCLELNNRIVLYQFATMGSLYDILHGKKGVHGAEPGPVLTWSQRARIAYGAARGLEYLHEKARPSIVHRDVRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVNARPAGGDHQ >Dexi6A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:6A:7998372:8001088:-1 gene:Dexi6A01G0007940 transcript:Dexi6A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGDGGDSAAAARGSGGGGTGAGRWALSAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >Dexi8A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:8A:26787587:26788447:1 gene:Dexi8A01G0015690 transcript:Dexi8A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASSIVADVVSGSHVLKIEDYSRTKALGKGESIKSSAFDATGHRWYIGYYPNGYASDNAGWISFHLNLQHTVATADEVKASFRFSLLDEMGELVQSYSKDSAQICTFKRGKYWGFNRFIKNAALEKSTYLKDDCFRVRCDVMITREFRAEHTKQFVRVPLSDMHQHMGRLLSSGQEADVTFQVGEETVAAHKLVLGARSSVFMAELFGPMKEKHESHIQIHDMEPGVFRAMIHFIYTDTMPSEMDKGDTYVMAEHLLVAADRYDPQRLKLICEDRLCNLSALAR >Dexi8A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:8A:23455997:23456965:-1 gene:Dexi8A01G0013490 transcript:Dexi8A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEDRISGLPDELLHYILVRLGSARDAVRTRVLSRRWRHILGPLPELLLDGDPDAPPPPPLESLLDNAGLLPELVLDLDGDLGALESVLDKIDTALAACNARIVKRLDIDFAMSSVAIADDGRGIPAMRAARWLRFVSERVVDDFYVYLPRPEVDDGKEEAVLELPACEAVKSIQLMLERTWRIQPPAVGLFRELTDLTMHCGSMDGRELTALVCAQCPCLVHLKIYLTQLVNSLDVSIRSDSLRSLLFKARIIRGLEIVAPRLEKLSLSSADIDKARISAPKLAELVWSYSVYDPRRHQFDGVGRRLRTLEPSQNISLV >Dexi4B01G0024040.1:cds pep primary_assembly:Fonio_CM05836:4B:25239979:25244011:1 gene:Dexi4B01G0024040 transcript:Dexi4B01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDEGSTMEEEEEANSFVLRSGVRTGLKREFAFAIASQAALASPLGRTRRSSRTLSTPADAKPKPKPKRPRPAADPPQGGAHAVHQPAGSVLALMAADPSPQPPLEADPDIIIPPESPPRRITRSMLQDKTPLPPHTENENDNAIATPVKPTAEPPEEEEDAKPSPALRRFTRSLLFRDKDSGNEEDLSGTTTASNASSPSPNTTTSINSSKWNNANKVPTNLKELLATGLLEGQPVKYIMRKGKVCIDTLVYAISFDQGYVSALISAGSSFSTPRSGKFALFCDLCLESKGSKKNARPSKVARGSTSSAKGYRSASPGVKSLSIGRLTRKDKGMHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKELGIYCHCCNTVVSPSQFEAHAGRAARRKPLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTVIFLT >Dexi9B01G0025700.1:cds pep primary_assembly:Fonio_CM05836:9B:26964654:26968273:-1 gene:Dexi9B01G0025700 transcript:Dexi9B01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNGGNSYAANSRLPKKALIKTRPVLEKATVELYNSLSPGDETTMVFADLGCSSGPNTLLVVSEVMSTLRACTLQEIAPCNDFNLVFRSLEQQLPSFVPEENKDESVGLSCYVAGLPGSFYTRLFPNHSVHLFHSSCSLMWRSKVPGDLSNGSHQNEENIYIGKTTTPTVVALFKEQFQKDFKLFLTLRYKELVSGGRMGRVEKAKLMSFNLPYYAPSVNEVNELIMEDHHFSIDDINVFESNWDPYDDSDSDVVLDCASSGKNVANKSIRAVMEPLIVEHFGEAILDELFMVFASMVSKHLEIMKAKYPVIVVSLKKTMH >Dexi7B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:7B:20220174:20221131:1 gene:Dexi7B01G0013760 transcript:Dexi7B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSSPHTQSRIKAMDHEMELKGCFRRIKNCAIELFSTMEEDMEIDDEDSWDLVGRDIRLKATFLYIDLSRVIACCEGEEHKKALTALANRFFVSMDELGDAVESRSLPLTQARCSDTAGALREVFAVLAPSLQQPGPRDPEE >Dexi6B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:6B:23838:24179:1 gene:Dexi6B01G0000030 transcript:Dexi6B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRCRLAAATLLLLVLVLVILLAAGASASNSSSGSSSPSSSPSPGAAGGGEKKESSNGGNKDKKKPSKCVTTKDCHLKRLVCAKKCTMAAHKKCAAKCSRSCTGGLPICT >Dexi5A01G0039260.1:cds pep primary_assembly:Fonio_CM05836:5A:39985161:39989627:-1 gene:Dexi5A01G0039260 transcript:Dexi5A01G0039260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKKLPLQDLQNKKLCVDLSCWLVQLCSTNRSPVFLKDKVYLKNLFHRIRALLALNCSLVFVTDGAIPSVKLATYRRRLGSNVAEVAREEANSQPLTSLRRNKSSEFSRMIKEAKHLGMTLGIPCLDGVEEAEAQCAVLNFASLCDGCFTSDSDSFLFGARTVYRDVFIGEGGYVICYEMEDIEKKLGFGRNSLISLAVLLGSDYSNGVHGFGPEAACRLVKSVGDDAILDQILSDGVKPTRKCKAKNSGVNAGRLGGTCPKGSTCEVGMSQGSGDQFRGIINAYLEPKCHSPDSEDVQRACIQRPFLRSQLQQICEQYFEWSPEKTDEYILPKIAERELRKFSNLRSTSSDVGMKPSLNEIPVQCPLLAIVKQRKVHGSEYYEVSWKNIDGLQVSVVPGDLVRSACPEKITEFLEKKDEQKKNKRRARPKKSAPAAIKDVDAQLQELLLGIESESGNFPSTGTGPQTAVHTVAPLQDFVDLSSPSPPIRAKIARSRKFSESDVVPMDGTDLQSQSLIPGNTESQEKTILSDAQDSTQDNTPVDLSSPLPRAAHKPHAALDWQLCLEGGGRAFSDISNFPEKGSMLGASCYKHGARGSDVQLEEVSPLISGTGLAAKVDDSSGRCDVENNAVAEAVTIDLSSPSPVIGGKGKKIVDVIDICEADSDRSPEHESKARELRSFLASIRDELY >Dexi7A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:7A:19940207:19941299:1 gene:Dexi7A01G0008710 transcript:Dexi7A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKPCPSAMDCFNTIIQQANTKEISLFLDYDGTLAPIVSNPEAAYMSDEVRAVLQEVAVLFKTSVVSGRARGIKVSNFVKVKEINCAGSHGLDIKLASTTESASTSEIIEDHDSYQPAKEHLSMINKYSSACNWRY >Dexi5A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:5A:7118938:7119474:-1 gene:Dexi5A01G0009490 transcript:Dexi5A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAWPCDLLVVLMALLLFAGAAVADDGGDEKCGNPCGNPCGVPCIYASPPPPPVYSPPPPTPEYYPPPPPEYYPPPTPDYYPPPTPTTPGCSPPPSGGGGYEPAPYTPGGGGGGYNPTPSGWYTPPYLPSYNTPPGTLYPQDPGFRPNGAPGRGGGAAVAAFAAAAAVVVAGALAL >Dexi4B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:4B:11646108:11649541:-1 gene:Dexi4B01G0013270 transcript:Dexi4B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTERRLVAFPVSLLVVVFIFRILVGNRTATGSGLPGPVPLRVGVIIDLASGSGNRLQTSIRMAVEDYYSVHPSSATKVELHFRHSPGDGDAVAAISAAEDLITSEQVHAIIGPQTPADVEFVATVGSRARVPVLSYSATAPPMSPSGTPFLLRTCADDSFLAAPIADVLAGLAWRQVVLVHEESRVGTGIVPALADALRDVGVSIAGRAAVPVDASGDRLDAVLCRVKSKTTRVFVVHMSWSLALRAFHAAKKAGMMSQGYVWISTGGQWGEDRLRAEDVDAMQGVLVVRPHVLPTIQAANFAARFKARLNQEKAGRQDIHHGPSVPMLWAYDTAWAVAAAAEAAAISMTAALPIPGASRAGPQQEDRLGISDVGETLLRAVLDTTFDGLAGRFKLVDRQLQMPAYEVVNIVADGTRTVGFWTEHSRVSEDTNPRSPKGLKQIIWPGEKSPSRVTKGWAVSPSGRDLVVVVPVKHGFNQFVDVSSDQSTNGRPRITGYCIDVFDAVIKSLPYPVSYQYVPFNGSSDSYDQLVRLVPQQKADVVVGDVTITSSRMGEVDYTMPFAESGWSMVVALQTGTRTSMFFFLKPLTASLWLASFAFFVFTGFVVWVLEHRINPEFRGTPLQQLGLIFYYAFSTLVFAHREKLESNLSRFVVIIWVFVVLILTSSYTASPTSMLTVQQLQPAVTDVKELIRRGDYIGYQEGSFVPSELKKMKLDESKLRSYSTPDQYAEALSKGSAHGGVAAIFDEIPYLKLFLSQYCDGYAMVGPIYKDAGFGFVFPKGSPMAPDVSRSIVSLTEGDEMALIERKWFGDPGSCDSQGSGMAAASLSFWSFSGLFLVTGVASGLMLVVYLATFVYRERNELQAAEPGAGTMSLRRLRAWLQHYDRKDTRSRSFMGWNNGDGSLRNNGVDANKTNGSAKDDASTRDVIAAPSPPAVRSFDHLVDSDTSVAATSPEEEVTNADRTSFEQRTEKAAVPFGQPSLKSLFNKEDHPEICTNQSSN >Dexi9B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:9B:1826664:1829640:1 gene:Dexi9B01G0003200 transcript:Dexi9B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAPASISSNPRSVEEIYKDFTARRGGLVRALTSDVDDFYSSCDPDKENLCLYGLPNGGWAVAPPAEEVPPEMPEPALGINFARDGMQRRDWLSLVAVHSDSWLISVAFFFGARLNANDRKRLFSMISDLPSVFEAFSDRKHGRDRSGVDSSGKSRHSSKRGSDSHVKSSRPAAPVAKDYDDDDEDDEEHTETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPARAEHIKHYKCPDCSSKKMRQ >Dexi6A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:6A:28847301:28847881:1 gene:Dexi6A01G0021650 transcript:Dexi6A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGMTLCRLPTATLGLSLSLPRFLSRPSLSLAARRARAVAVRAASSSSSSPDSSFGSRMEETVKKTVADNPVVIYSKSWCSYSMEVKALFKRIGVEPHVIELDHLAE >Dexi4B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:4B:6794463:6794843:1 gene:Dexi4B01G0009440 transcript:Dexi4B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGRQRIPFTDVSNTVNRDMCQLYQEYQHRQMTSKKGKRKTENNENGVQEERLSKPSSKERREIKSNVNTEPGGKAESSTPSVGDITQTSIPATIGDSQK >Dexi9A01G0046500.1:cds pep primary_assembly:Fonio_CM05836:9A:49898779:49900393:-1 gene:Dexi9A01G0046500 transcript:Dexi9A01G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRRLWRGMGTAAAAAASGTDVSLLARLVSEPEGRVKATVEEVASSAQHRDGGFWEPLAAALLRASSPTKAHLVLEWKLEKLLKEEIHDCEPYSTIIRFCAQTRNAALAMRVFECAEAQGIHLNTGIFNALLNTFLSAGDLLSAVSLYETMEGMDSCKPDSTTYDAFISAFSLLGSGHAMMSWYVAAKNAGFTPSIQAFESLITGFVRLDMLDDAKMVFEQMISFGIKPNSVILETNLDMLSRQKEANTVRDFLKRVSDGNWELNNATVGRLTRICIDGGEIDEMKQLLVLIQKGTHLSSEAQLYHGIIRFYAKADRLAEMEDAICRMLDNGVMFMCPEDIEVIICTYFRHKEFDKLELFLNRIRSFFKLNRSTYDILVAGYRKFDLHERLYATINDMRQAEFA >Dexi9A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:9A:4811811:4818263:1 gene:Dexi9A01G0008120 transcript:Dexi9A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASAPLQLATTFRPLASSAAGGGGPLAGGSGGGVATGRGRGRTQRRVAARSVASDRDVQGPVSPQEGLSSVLNSIDSSAIASNIKHHAEFTPLFSPEHFSPLKAYYATAKSVLDALLINWNATYEYYNKMNVKQAYYLSMEFLQGRALTNAIGNLELTGEYAEALKQLGQNLEDVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEIAENWLEMGYPWEMVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTRTTNNLRLWSTTVPAKDFDLGAFNTGDHTKAYEAHLNAEKICHVLYPGDESPEGKILRLKQQYTLCSASLQDIIARFESRAGDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILIDFKGLSWDEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEQIDEELVNKIVSKYGTADTALLKNKLKEMRILDNVDLPASIAQLFVKPKETKESPAKSKKKLLVKSLETEVEETTESEEEETDVLSEIEEEKVESEEVEEEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSTILSKWIGSDDWVLNTDKLVELKKFADNEDLHSEWRAAKRANKMKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAEERVKNFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDSDIGDLLKVVFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIVGLRKERAEGKFVPDPRFEEVKGFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQQKVDEAYRDQKLWTKMSILNTACSSKFSSDRTIHEYAKDIWNIGPVVLP >Dexi4B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:4B:8014306:8015485:-1 gene:Dexi4B01G0010650 transcript:Dexi4B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMPSVLLVWIVCCSWAQLSAAAPPYGPNMTDSCFTSSSSTRTKTASSLSPSIKAFIAIGCSPAFAVSAATSTHSAFGPLTTPPGKIASTNIHVSHIHQAVHGSDSGAYDKKGNFVPNKFERIFQRFARSEKDALSWLEVETMLTANRELLKPWTWPAAETEWQLIHFLGKDRRGYLHKDTLRGIYDGTVFPKLRDNTIPRSGHSDA >Dexi3B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:3B:11370726:11373438:1 gene:Dexi3B01G0015700 transcript:Dexi3B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALVWSDWLRRDDSMGTVRCSLEWLLALCPAVDLRRGRRARCPAAVRPLRCWRLDATSIADPDLPLAVTIIAAQLLTPSRAA >Dexi4B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:4B:7328807:7332746:-1 gene:Dexi4B01G0010050 transcript:Dexi4B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDSAASAAAASGGAAQRRDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDKFQEVTFSYNILSDPDKRRQYDTSGFEAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMLLYFELEENGGLSLALQIDQLLKERNEIHASYTNNAPLKRSSSRNKAKSPSKMPKSDTEKHHQKDKKVKDHCMEGYGSEDDNSSENKPKERFPRKKW >Dexi9B01G0035320.1:cds pep primary_assembly:Fonio_CM05836:9B:37017867:37019121:-1 gene:Dexi9B01G0035320 transcript:Dexi9B01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRDVPAERATAAVNDLIEAREGAATLRIFLLQLDDHRAPHAVRVVDGVLDRLSSAMSALEVSGAAVAGVQSPAAGSGSGGPRPQLSVSSSGNKKKRSFSRRSYYRCTHKSDQGCNAKRQVQSSDTDQSKYVVTYYGKHTCRDPSTIPPLVVHAAAGDAPPDHAAGNLISFGPISIANNTSSAAASSSQLYLVSGSGAAVDQLSTSWCASDDVFSSSAGSFMHMDELIGAVVVGSAPVVTTTSTAAVVGSSASGMDRGGGVGGTAARGGGAASFRPSSPNGLGFVVGSLGSIDGDDDDDLFPMDP >Dexi5A01G0027610.1:cds pep primary_assembly:Fonio_CM05836:5A:31067223:31068123:-1 gene:Dexi5A01G0027610 transcript:Dexi5A01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQEAQTGNVPASAASGPKPASAEAGKGAEGQSVVRRLQSELMALMMGGDPGVSAFPDGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTAEYPYKPPKVRFDTPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWANQEGIRHLALGLSSGRWWRSSTGRLHRGYEERGRRPALMLGFAGGGMEKLCKHDLAWRLDVLKHWCSRLE >Dexi2B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:2B:73947:75862:-1 gene:Dexi2B01G0000170 transcript:Dexi2B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESGDVKCTGAANSKVAAEEADAGGAASSAPATPFKFNVHAPEFVPMSPNAGSPLASPMSAPAASGYYSPFMQMQAGMGPADWSFFHDHEPVFFMPDFAHAKFGAAAAAAAGSNSSAQAKGTGATADVTQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQAFTEKHKEELQSRMIIAENLPEDSSRNSLEKVFGVVGSVKNIKICHPQEPSTARASSKSSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDMHFVGSDDDSPHSSQMSNESPTAADYSSSSSSPAAEAAAHHHHHHHQHHQQQEENSSSNKQQKGSWNRGRAANKLHVTAPASPQSAPAGMAGHFEPSSFSPRQQQQSKCPSSPSSKHQQHKQQQQQQCPLSPRQPLPHPHPHGPRMPDGTRGFTMGRGKPAAAPPPSSSSPAPRSPVAAAPAPVLV >Dexi9B01G0025500.1:cds pep primary_assembly:Fonio_CM05836:9B:26085236:26099842:1 gene:Dexi9B01G0025500 transcript:Dexi9B01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPLLMLLLAAVVLAAPAATEGMAFAAASNATTTSPVTGKLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVLNTLDSVVDALARDPARKFVVVEQVRSSYILFRNGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKADKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEVLDENIIPVQDDLSLFDYNVEERVNDFVAAAIAQANVTRTNHIMWTMGDDFNYQYAESWFRNMDKLIQHVNKDGRVHALYSTPSIYTDAKHASNESWPVKYDDHFPYADSTNAYWTGYFTSRPTFKRYVRAYSGYYLAARQIEFLVGKSSSGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRIALGASKVEKGVNTALTCLTSSNRTFMSVKFNQCPLLNLSYCPSTEETNSATKSLVIVAYNPLGWERSDFIRVPVNDENLTVKSSDGTIVESQLVEVDNVTGNLRQLYVKAYLGITPDKTPKYWLVFQASVPPMGWDSYYISRGTREGYNSTVSTMVSPSNDTIEVGPGPLKVSFSSTSGQLKRIFNSISGVDLPIQQSFLWYRSSEGDAMDSQASGAYIFRPDGNTPTTVSSSVPLKVIRGPLVDEVHQQFSSWIYQHISGNLSFETELIILLLSHVKKIGPVPVDDDVGKEVITRLTANMVTNSTFYTDSNARDFIKRIHRYFNFQVRNYREDWDLQVTQPVAGNYYPVNLGVYVADGKYELSVLVDRAVGASSIQDGQLEIMLHRRLLKDDARGVGEPLDEIVCVDKDCEGLTARGTYYVNVEKLGHGAHWRRTYGQQVYSPFLLAFTHEEETSWKSYSVPKASMMDANYSLPDNVAIITLQNLDDGTTLLRLAHLFQAAEDPQYSVLTKVDLRKVFAKRTIKEFTETNLSANQKKSEMKKLNWKVVGETENGPSPIKGGPVDSQALVVELGPMEIRTFLLKF >Dexi2A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:2A:11605039:11605266:-1 gene:Dexi2A01G0010440 transcript:Dexi2A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAAARATAGGLGSGATAYTLSFTRHTLRRSHANLPTPPAGGHRGSTTARSGARRLVGGPPPLNTHDLFYGRPG >Dexi1A01G0021790.1:cds pep primary_assembly:Fonio_CM05836:1A:28502426:28505241:-1 gene:Dexi1A01G0021790 transcript:Dexi1A01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGELLGIEPIELRFPFELNKQISCALQLTNKTDKQVAFKVKTTSPKKYCVRPNNGIVAPRATADVVVTMQAQREAPPDMQCKDKFLVQSAILPNEDIPKEVTGDMVNHILLCLRFSPFALISKLMEEKNSAVELNNKLRQELDLLRRDISRQRGGFSLVLVLVVAIMGILLGLLMKR >Dexi2B01G0035280.1:cds pep primary_assembly:Fonio_CM05836:2B:42379742:42380813:-1 gene:Dexi2B01G0035280 transcript:Dexi2B01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVHYSKQGQEFKAQAQSLHIEILQPPWLCELMAFYMNLRRKRKNKAAMELFGDCSLIFDDDRPTLSCNLFDSMHVEISLTCSICLDTVFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSADHRSKCPLCRQQGVFPDAVHLDELNMLLRHSCPEYWEKRLQSERVERVRLAKEHWESQCRAFLGI >DexiUA01G0006910.1:cds pep primary_assembly:Fonio_CM05836:UA:13320263:13322456:1 gene:DexiUA01G0006910 transcript:DexiUA01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNVQALQITATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNAVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAFCSVGVLKVAVTIAVREACGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYHEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHGVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAQFIESVKSCPDEKTREVLKLVCDLYALGRIWKDIGTYWNVDYAAPNKAKAIHKLTDYLSYQVRLVAKELVDAFDLPDLIIRAPIGMRSEAYAQYTQYVGF >Dexi3B01G0031860.1:cds pep primary_assembly:Fonio_CM05836:3B:33885787:33885993:-1 gene:Dexi3B01G0031860 transcript:Dexi3B01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSGSNKRRTRRGRPNPTALLTDDLLVEIFARAPYRSLCHFRCVCKHWSALIAHPDHRAHRPLDNV >Dexi2B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:2B:30591113:30592458:-1 gene:Dexi2B01G0020550 transcript:Dexi2B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLLIAIWVCAAVARADPMLERFERWMGKHGRLYADAGEKQRRLEVYRRNVELVEQFNSMNNGGYKLADNKFADLTNEEFRAKMLGFGPHSRTGHTTAPGTMACADSGLAEDYSDLPKSVDWREKGAVAPVKNQGECGSCWAFSAVAAIEGANQIKNGKMVSLSEQELVDCDTEAVGCAGGYMSWAFEFVMKNRGLTTEGNYPYLGMNGNCQTPKLNESAVTISGYRNVTASSEPDLLRAAAAQPVSVAVDAGGFVWQLYGGGVFTGPCTSELNHGVTVVGYGETQGDTDGDGGETPGQKYWVVKNSWGAEWGEAGYIRMQRQAVAGAADGLCGIAVLPSYPVM >Dexi5B01G0031930.1:cds pep primary_assembly:Fonio_CM05836:5B:32590592:32593273:1 gene:Dexi5B01G0031930 transcript:Dexi5B01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNKMHFLKLYSSSNSSRNGSVEHPTRTSSQGSNKAGRTGRMAESPTGLSPKVDRRTAMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEVDDARAQAAAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEAMQKQHAADSAALAAAMGEVHRLRVQLAAASRADRRQDVVEALATIDELRVKLEASEEAEAQARAMHEECKQQLESSRATIDSLLTDGSKLMDSFGLVVKELEESRAKVKALEEEISEASSRAVPVFERCNCSGSEVAELRSELEVAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRAMDANMKLQAEARTDTSADALKEQLQGALQENGQLRQELRQYEAEKGSATARTTAAEADAAAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGGNGKVVERSESWEGGKYAGLCEDLDEDAAARKNGNVLRRISGMWKK >Dexi1B01G0026260.1:cds pep primary_assembly:Fonio_CM05836:1B:31151770:31154606:1 gene:Dexi1B01G0026260 transcript:Dexi1B01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSGSFLKVLVNNLDVLAGIFNPIFVFLCRPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYAKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKDESDRPDDVISAAQKYIKQNGSEAFENLVNKFKASNPRRSILEEVEVERRARIQRESEAREVNPFFSPDYRY >Dexi5B01G0022930.1:cds pep primary_assembly:Fonio_CM05836:5B:25181023:25181827:-1 gene:Dexi5B01G0022930 transcript:Dexi5B01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIYQWLFCLSEQHATIMDFSRLYEVSNPVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKSLIVDKLRTSLYVPGTPSMSDQPSKSSLENDACIICQEEYQVKDCIGTLDCGHCYHAECVKQWLMVKNLCPICKTTALSADRRHGQ >Dexi9B01G0039080.1:cds pep primary_assembly:Fonio_CM05836:9B:39930663:39931234:-1 gene:Dexi9B01G0039080 transcript:Dexi9B01G0039080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSLSVLPLAPRRPLLLRRSAKNVEGGVGGGVASRPLRLARLRLGRGRLTAAAVAGEAELPLEDAEAAMRVAADEDSVTATVVSVLLTVAFVGLSILTLGVIYLSVQDFLQKREKEKFEKEETEKQKEEARKKRAKTRKKRRNY >Dexi2B01G0021970.1:cds pep primary_assembly:Fonio_CM05836:2B:31626915:31629854:1 gene:Dexi2B01G0021970 transcript:Dexi2B01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVLLVHLLLLFHPAASAADHQHHHHYRYRRSLHEPLFPLESTPALPPPPPAPFFPFLPGAAAPLAPTLTPDVGSASTPANAGAGDAYASSSSSSSSPHPTAPANISSLAAMPVSPSAPLRSFLSSHRLLTVVVAVAGVAAAVLAAALVYILTRRRCRPAPKEDPAVVYTKPSLLPPANPMLYECAAEQHGRGSTATVSSTSSPELRPMPPLPRQFQQTRMNLPSSSKAILDSGTGVKRAPESAPPPPPPPPPPPPPAMPPVKGNGGTQAAAAPPAPPPPLPRAGNGSGWLPRRLTERPVTTVIRASAGAVHPEESPGRAPSEKDAESDAAALPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRSSKGGVKGPNSGVCSQENKVLDPKKSQNIAIMLRALNATKEEVCKALLDGQAESLGTELLEMLLKMAPSREEEIKLKEFREDAMCKLGPAESFLKAVLAIPFAFKRVEAMLYITNFDSEVDYLNTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLRLVDVKGADGKTTLLHFVVEEIIKSEGANILATGHPTDQAGTLADDLQCKKVGLKIVASLGGELNSVKKAAAMDSDALASCVAKLSSGLSKISEVLRLNQHLSSEDSCKRFRASIGEFLQKAETEIAAVQAQEGRALALVRETTEFFHGDSAKEEGHPLRLFMVVRDFLAALDHVCKDVGKMNERAATGGSLRRVENAPVPPKFNTAQSTSSESEENSSSSS >Dexi7A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:7A:17917967:17919934:1 gene:Dexi7A01G0006540 transcript:Dexi7A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQRQQQQQARHAKTDSEVTSSMAPSSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGRASRDSSSSRFSASAKSRAAGAAGAGGGAVPTAGGGGGGRRAWAKEAAIEEEGLLGMDDDGDDDGYGGSGGGWSGIPRRVRYGILFVGAFFGLFFFFALILWGASRNQRPVVTLQTVTFHRFVVQAGTDASLVPTEMASLNATVKLTFRNTGTFFGVHVSAEPVTLFYTQLQLAAGDIKYFYQARKSQRSLTVAVVGDKVPLYGGGSSLSSTPTTLPPPKKKMPSVVVPPPPVPLELTVRVRSRAFVLGRLVKPKFYSEARCSVTMDQTKLGKPVSLKKRCTYSH >Dexi1A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:1A:770119:772032:1 gene:Dexi1A01G0001220 transcript:Dexi1A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGAAGEDVRDEKNIVEPSVGRLITFLFLVSFSGLFILMPFRKVMIIRHRLTYPSGMATAHLINSFHTPQGASNARKVHVLFRSMGGTILWNVFQWFFAAARSCGFRDFPIFGLEAYKRGFYFDFCMTNVAVGMLCPYMITVSLFIGCVVSWGIVTPFLATKEGIWYSADLGPESLGGIRGYKIFIGVSMILADGLFNFLSIMVCTLCTMYKGRSQPMQGDSNDDSVMQLPFHCLNAAEQEKTKQSFDDRRRAQVFVRDHIPNSFSILCYILLSVVSTVAIPYLYPQMRPHHVAFIYLAAPVFAFCNAYGFGMTDMNLSSTYGKLAMLLVGSAVGRSNGGVVAGLVSCGIVMGAMSNSNNLLQELRTGYLTLTSPRAVFISQAIGTALGCVINPVMFWAFYKLQHGDADLFEVPYAWVYRGIAMLGAGQKGLPAHSLWLCKLFFALVLALSVLRGEASRRRWRVAEYMPSTICVAIAFVVPARIPIDMFVGSVAMFLWSRADSGRAREFSAAMASGLICGDGLGVLLSSMMELTHARAPICIKFLSSIDNVKLDAFLATLPRT >Dexi5B01G0033650.1:cds pep primary_assembly:Fonio_CM05836:5B:34006744:34008131:-1 gene:Dexi5B01G0033650 transcript:Dexi5B01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEDGRPGGGEPSRPAPWLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEISKGSKVKYELDKKTGLIKEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVDEVQPAGTARDAIQYSMDLYAQYILQSLRQ >Dexi4A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:4A:23982383:23983438:-1 gene:Dexi4A01G0020270 transcript:Dexi4A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLETLTEVVHSSKQIKIRGFSVTSAMTEDDFFTSGRWKVGGYDWEVRVLPNKPIGGHNRNGVAVKLYCLSEVPTAKASGVKVNFSCLLIDPTGKLKPFEGDSSTYNFKRSGDCSYLHALMKRNDLQTSGYLKDDAFTVEYTLKLLREVPIKATTHRPADYLLPSSALPHHLGDLLQKGTGADVTFVVSGESFRAHKAILASRSLVFTAEFFGYMKEKRSPVVEVKDMRPAVYGIDRLKLICEDKLYDGVCVDTAAMTLVLAEQHGCSHLKTRCVEVIVANLEAVMTTEGYKHLMATCPSVMNDLLKAVHGRKN >Dexi7A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:7A:28711013:28711315:-1 gene:Dexi7A01G0019560 transcript:Dexi7A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAAWAYGPPPPEELRQDDRADSTTATFAGVTATVALMSFGLAAARFTARSVKGRMWLVPKKGKRMMCTFLLGCLPQEAIFLFARGNLCTLSSGSF >Dexi7A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:7A:25855551:25857435:1 gene:Dexi7A01G0015950 transcript:Dexi7A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVEALGPGHGDAVAARRRDEAGGRLATRGTVALAVVVHLAAEAGAAARGRRSTSEAAHGGTAASPGRATGSGPPRRGVKTRARKTTTARRKTAETTSRNDHCQPPERAPPRRCGGRELRSPMGFPCACARDSSTDEEPLPASSTMVGHRSKNKV >Dexi3A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:3A:16684702:16689476:-1 gene:Dexi3A01G0021060 transcript:Dexi3A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHNLDLARRVIRSPGEYMQPASDAVSEVARNLDPKFLKEGERVMVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVAKCSLVRPKVVKSVHFCPVTGQFLSREYRDITSFVGLPTGSVYPTRDDNGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDVNMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKAGYAEEDDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDGGANAKVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGANDGTADEHGSSGNDPMDVDVGNTSNDQDIPAERIEAFEAILGQHVLANHVDQMSIDDIEQTVNRESAAPYTRRQVEVILERMQDANRIMIRDGIVRII >Dexi5B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:5B:1691307:1692869:1 gene:Dexi5B01G0002690 transcript:Dexi5B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVAAPHHHHHHQQKAANLARTFTKLLRRKRADAAAPQEEAPAPVPASVARADYEEDRPEPPAVPSLSKLKLSGNLAAAYSFDAFFRSAAEKKAAAAASGGGAGAGAGRPLVPGEITPEAAADSLLATLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVAELTRLSDTKRRYLRDPVGAARGAAAAGNTALAAHAEEQRNLLKTYQITARKLESDLRTKEAEADRARASLTAELRAERALEARLHPGRTLASLDDLHLSGLNATHFLTALRHTVKSIRSFARSMLNSMQSAGWDLAAAATAVHPGVPLRRAGDTKFVFESYVAMKMFANFHRRDFNFSFLDEREFYDRRRFFEEFTELKGEPASAFLDVRNPRWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFAEFAEMTRRVWLLHCLFFAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRADDAAGAAAAEDRVVGFTVLPGFRVGRTLIQCRVYLSRPVRRP >Dexi9B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:9B:8694084:8695524:-1 gene:Dexi9B01G0012890 transcript:Dexi9B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKKLVAEIKKTAKTGNEAMYAGTSISAGMKGASKAMAAMNKLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKKVDNSQARNAAAPARNVTAPPESSAEVDDLERRLASLRRI >Dexi7A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:7A:27081315:27084626:1 gene:Dexi7A01G0017360 transcript:Dexi7A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRPRGAAAQGPVGSTAVPRPPFKGAAPNPSPAAEPPQAAAPAVDEALERLHLDPVSDGDPPPPEPEPEPEAPAPSPPPPVEASSSGRSAAGGSLEEEEALRKLHELAEVGGEEVALTDDEVGANDQRQEDEICALEAIFGDAVVIFNRKGGQRCFQVHVHIEIPDAINVSTRLNYGDGTLKYGSASDADDLVYKFRVEHLPPILLTCLLPTSYPSHRPPLFTISSYWLDKEMISSLCHMLDMLWEEQQGMEVTYQWVQWLQNSSLSHLGFDNEIVLSKNDVACEADKRACLDNASPDVIIPRMMRYNENRHHEAFLNAIHDCMICFSECPGNSFTFVVSAKRQQSGHVQADQMRILEELRSLKEIMKDSKQCPKCNMAISKTEGCNKMECWNCKEYFCYQCNRAITGYDHFRCLIF >Dexi1A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:1A:4868271:4870318:-1 gene:Dexi1A01G0006460 transcript:Dexi1A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAATPNPKREPLRPRSSNAPAAPPHTPARRGTPASVEKENLGLKSLGKGKEEKGKPPPAAAAAEVSTPARPTPCVAPPAPPALKPSSLQHRMKDESTEAAAAAGAPAVFVGPRGRELLPPPSSSYEAWDLSDSESAPASSWATLPNRPLPQDVGRCTCVIAREAATGARGVALYSLYTNEGQGRQDRKLAVARHRRRKGRSEFIVAQNQDGIFCTSDKNFLGTVGSNLVGSKYQIWDQGNRVDELKSNSKRLLGVVAERAGRMGYKVQTSVKNFQMTMEENGRQTVLQLGRVGKSKYIMDFRYPLTGYQAFSICLASIDSKLCCTL >Dexi2A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:2A:13910503:13912743:-1 gene:Dexi2A01G0011940 transcript:Dexi2A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVTLRRVLLASAALRLGLVVFGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLLPNSLLHAAWGKLLFSASGNCFKKLFASLSLVSCDANDLLVGLFIDTILKLRGVPEKMRMWSVIAWLFNPFTFTIGTRGNCEPIVCAVMLWTLICLMKGVMTAQYFVWFFCLLPLILPWSSMKLKWKGLACMLVWMGTQLHWLIWAYLLEFKGRNVFVQLWVAGLVFLAANTFVMLMVIKHHKYTPPFLSSVKPGSKVATKKE >Dexi6B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:6B:2312474:2316145:1 gene:Dexi6B01G0002690 transcript:Dexi6B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLRPSHLVLALGAAYLLLVSLKFRRVLDLATADLAAADFSSPSSTDHLPPGTTSSSSNSTSSTTTFSVQPFWHRYDRVSLPDPTSRTHALTSALDRMADDAWSLGLAAWDEAAAFAGDPWELLASATARASDASRCPSAVAQRARGRVVFLPCGLAAGSSVTVHIRLKPAISFLIFVTVDGFPKCEKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAANIMKCDDDTFVRVNVVLRHIKLNNGGKPLYMGNLNLLHRPLRTGKWAVTDEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNSTTPVQYSHSWKFCQYGCLENYFTAHYQSPRQMLCLWDKLSRGRASCCNYR >Dexi1A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:1A:8081479:8084229:-1 gene:Dexi1A01G0009730 transcript:Dexi1A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGAKGGKGEGSLAYRAWRQYLLQLQQHPLRTKLFGFAYGGPFGHYLHKILDYIFKGKKDTKTIAKKVLLEQVTSSPLNNVLFLFYYAYVVERRPLKEVKVRVQKQYPSVQLSAWMFWPIVGWINHQYMPLQFRVIFHSLVACCWGIFLNLRARSMSLKQA >Dexi9A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:9A:7255925:7259050:1 gene:Dexi9A01G0011570 transcript:Dexi9A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQRGIVKVVAQGTRVKKSGSIQLGVVIKEESAEKFEQLVANLGGNDPEKHNAEARKRGDVTLKKYREMPLEKRHQGIVCCRNNEGTSGNQKTMGISGHGEGILMSERSEDIFVNDIFGESPIGAQGLGKKHGFHIKENAHCDNWDDPGGYYTYRCGEVLHGRYEIIAGHGMGVFSNVVRAKDLKARKGDSDEVAIKILRNIPAIYKAGKQEISILENLGSANPKDRRHCVRFISSFMYRKHLCLIFESLHMNLRELLKKFGSDVGLKLTAVRTYSKQLFIALKHLKSCNILHCDIKLDNVLVNESKTKLKLCDFGSAMSAGTNETTPYLVSRFYRAPEISNSWVALRSPLDMWSVGCTLFELYTGKVLFPGKTNNDMLRLHMELRGAFPKKMLRKGAFTKEHFDQDFNYFHEKDLVTKMIVKRHPVMSIKRLDVSSLVSSFPDEDLKMLSNFNDLLKKILVLDPDKRLKVEQALSHQFVCGK >Dexi6A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:6A:1234808:1236226:1 gene:Dexi6A01G0001420 transcript:Dexi6A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEDYDNAKKTRSSDHQQQHRRSRAMILLLILTNTTSILLFSGAGAALHAHIGKHYPSITTWGSTKLLRELNVTGLALAASHAEVVDLSARLTAANKLLEAILSGKAAKRDMEAAKQEQREASTTGMLWRRDRRLPSGELRRAVGPHRLPLGPSSSPSRRLIGGEMFPALGQACHRYVAEVEQYMNYTAGEECPSDEAFAQRLMLKGCEPLPRRRCRPRTPAGYVEPTPLPASLWAIPPDTSIVWDAYTCKNYSCLVNRGKTKGSYDCKDCFDLLHGREKDRWMRRRTGAGDEDDDDPNSLDYTIDGVLATMPRGTVRVGLDIGGGSGTFAARMRERGVTVVTTTMNFDGPFNSFVASRGLVPLHLSVASRLPFFDGTLDVVHSMHVLSSWIPDVMLEFALFDVYRVLRPGGVFWLDHFFCLGTQMDATYLPMFERIGFEKLRWNAGRKLDRGIEMDEWYISALLQKPRR >Dexi7A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:7A:25499308:25501501:1 gene:Dexi7A01G0015640 transcript:Dexi7A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWDCHPAHRLLLLFNRDEYHSRPTQPTQWWAPGEGSKEILGGRDELGGGTWMGCTRDGRLAFLTNVREPSSLIGGKTRGQLPVRFLQGSHGPLEYATEIAKEADQYNGFNLILVDLNLGTMVYISNRPGGDPVIQTVAPGLHVLSNAAIDSPWPKALRLGQTFERYLETHDDADTSLKHMVEELMMDTIKPDRCMVPETGVDPEWEYKISSIFIDTAKGQARYGTRSMVALAVKLDGEITFYERYLENTLWKENFIQFHMEKEQQREP >Dexi1B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:1B:24165621:24165847:1 gene:Dexi1B01G0017840 transcript:Dexi1B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLDGRGASASSRLGFLRLLAALALAALAAEALIEVAEIEVGGHGGIDGALEAADLREREDEGWGA >Dexi6A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:6A:24150410:24151735:1 gene:Dexi6A01G0016260 transcript:Dexi6A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRASPRFRSLPLLLSQPDAAASVRRSFSCASASASASPAPARAMASGAPSSAAPSPYTTLVGRVSCEREIKRSKFIAIAAPVPNERAAMAFLDEVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARAGMEVPFDLLGTVYHQVIIPFF >Dexi2B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:2B:5923268:5927229:-1 gene:Dexi2B01G0006330 transcript:Dexi2B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAAAQAPVLLVTNDDGIDAPGLRFLVDQLVAAGRYRVLVCAPDTCCFVEILRLCGTITGFWDKSGVSHCITWRPALRCKRVDISGATAFGVSGTPADCTSLGISGKLFDGVVPDLVLSGINIGNNCGYHVIYSGTVAGAREAFLYGIPAISMSYDWVAGQSSVNDLKVSAEVCMPLINTIVTEIKNGTYPKGSFLNVDVPTDAAHHKIVGRNSDGVEGEDTDHNSLADGYITVTPLGALSRTEPDAIPYFKACVSRLVSKFASLGPSFYRSRVA >Dexi1B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:1B:23614310:23615753:-1 gene:Dexi1B01G0017260 transcript:Dexi1B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKNLSQDTVQISGHAALQNVYYDKDVVEIKLADTVDSDNCGGNFVKDVCVDEGALPHWKISEEKPVDKIDPNSIKYGKKDDSKKSVHALKPEAVVPVDFAPDCDNEERHSSGKEYDLEDHITTVYISGDPGEKKISLQELLRLESAEESRHASTMNSKSREKQKYPLHEEVGQTSKDGFPDVQAITNTSDCSGTMSEHHDAASTLDLRELHKIDRYNPFIDHRLEDKSEPECSVPGTTDASSTNSMCTVDNMECGYTGLDEVETGSRTDVMSTSSSDSQQSGKSDDHSESIIDSKVVTGAVGETAVATSSSSNTEPSDANMENHEKCMSASVADQIDQEHGVCTEDSVSKGTALAQDHSVVEQMAPESSRSTALIGIGNGGDNPTELNCGPSIMSGPVSMSGHIAYSGNVSLRSDSSTTSTRSFAFPV >Dexi4A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:4A:4182738:4184558:1 gene:Dexi4A01G0005850 transcript:Dexi4A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGQQGTVAGNQPGSPPLAFDEVRWVVRIRQSLADDAPGSDDDDTGIPVSVFNVPKPLQVHKPEAYTPQLIALGPYHHWRPEFYEMERYKLAAARRAQRRLLSPPGLKLDGLVDTFKRLERRVRAHYHRYLDFNGETLAWMMLVDGAFLLEFLQIYAVVANDGKVAGVVSGDCGGKAAALRRVSSRMQHLVDFAGRKSAHNLILRDMFMLENQVPLFLLRRILEPQCVSTDDAGELLARMVTGLMKELCPFKMMETFPAAIDVGKHAHLLEVLYYLLVPKPAAEDDHDDVVHGNHHDEGYDIEEQLVDAGAGEEEDEQKPAAGGWEYVKQLLVTVGSMASGGRMRYVTKPIAFLVKAPWKMLTILSGISAMKQPVEAFFFMSGSGADESPHHRRDTNGTGSYYLTRPPLIEEIMIPSVSELINAGVNFSPTSGDLSTIAFDAKTATFHLPMVTLDSNTEVVLRNLVAYEASAASGPLVLARYTELMNGIIDADDDVAALRRRGVVLNRMKSDGEVAKLWNGMSRSVRLTKVAFVDRAVEEVNRYYNSRWRVKTKRFMRKYVFGSWQVLTFLAAVLMLLLTTLQAFCSVYTCSRSFGAVTVATAD >Dexi2B01G0027600.1:cds pep primary_assembly:Fonio_CM05836:2B:36468310:36469581:-1 gene:Dexi2B01G0027600 transcript:Dexi2B01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLISLVACATLATGAAGVRVELTRVHSSPFVRDAVRRDIHRHAARRLAVSGDTVSAPTRKDTARGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGDQCFNQSTPLYNPANSTTFSNLPCDSPLSICEAASLPACVCPGYNYTYGTGWTSGVQGSETFTFGSSPADETSVSDIVFGCSNASSSDFNGSSGLVGLGRGSLSLVSQLGADKFSYCLTPFQDTNSTSTLLLGPSASLNDTGVGSTPFVTSPDMALTNTFYYLNLTDISLGTRALSIPADAFSFTANGTGGLFIDSGTTFTSLVDAAYQHVRAAVLSLVTLPTTDGSEATGLDLCFALPSLMWAPPAMPSMMLHFDGADMVIPADSYMILESGLWCLAMLNNTDGEASVLGNYQQQNMHILYDISQETLSFASANCSTF >Dexi1B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:1B:26299656:26301415:-1 gene:Dexi1B01G0020090 transcript:Dexi1B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRGCLCRSEEDFGVLVSFFSDEAGVLNLENLRLFSYKEIRAATNNFDQRNKLGRGGFGTVYKGVWGDGSTFAAKVLSSESEQGVKEFLAEIESISQVKHANLVRLLGCCIQRKNRILIYEYQENNSLDHALQGSGKNGGGLTWSTRSDICVGTAKGLSYLHEEHEPNIVHRDIKASNVLLDRDYRPKIGDFGLAKLFPDNVTHISTGVVGTCGYLAPEYFVHGQLTKKADVYSFGVLVLEIISGRRISQTIRSDSDMFLVREAWVLYQQGRLLDMVDSRMEGCYPEEEVLRYIRVGLACTQATPSSRPTMSQVVAMLSRLHEDEAAIPMRPPSFAEHYGDRTATDGPSVGPLLQASPKARWPDAAAAAVAAAAEPPVCSASFTYSEVAPR >Dexi3B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:3B:9163427:9167660:-1 gene:Dexi3B01G0012990 transcript:Dexi3B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPFPWLNPQIAKEDEMDVMELGKDERRKTACDRRSDRLCPERQMILLSVEELFGRSLFQLLLLKLGLFQPLLTSTSLLLPLRRRSATFTNFPLLTTPWMLAGDA >Dexi5A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:5A:564869:565537:-1 gene:Dexi5A01G0000810 transcript:Dexi5A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEARAPRPAAVARKWLEDPGGGHGPVAAAREEFTCLVMAGARASVAEPGRVICSLRVRAPLADAEGRWHAGAIAAAVDNVCAAVVFSVEGKPSITVDYALSYFAPARHDEEVELEGRVACRNGQLTAAEVEVRSKDSGELLAIGRQWMTALPSKTSRSSKL >Dexi5A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:5A:4639800:4640685:-1 gene:Dexi5A01G0006220 transcript:Dexi5A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSALAVAAAGAEDEAALLAFKAAASIGGSSNALVSWNGVRCRGRHRRVVALILPSHGLAGVLSPVVGNLSSLRVLDLNSNGFSGNIPSSLVRLHHLRVLNLSRNAFYGPLPANLSSCTSLSVMHLRFNQLSGHVPSEFGSKLTRLRFLILGNNSLTGFIPPSLANLTSLINLELMVNQLEGTIPTSLGILKDLQYLGLAFNNLSGEPPISLYSLSSLIWLQIQSNMLNGNIPTDIGKRFPSVQLLALNANQFTGPIPPSVSNLTSLQILEIASAEMCLAQWVDFELCND >Dexi3B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:3B:7712321:7712605:-1 gene:Dexi3B01G0010970 transcript:Dexi3B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRAGATEAAAMAAVAVDSVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLALARAYLKASNRPLHW >Dexi1B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:1B:10142620:10143017:-1 gene:Dexi1B01G0010500 transcript:Dexi1B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIRVPKSQRARRELLKHAPKLLVDIWCHVAVCRYLIVSPESAAAMSDMADERLSCFWVIVQEPS >Dexi4B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:4B:4795091:4796035:1 gene:Dexi4B01G0006850 transcript:Dexi4B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSASIVTKFEAGATFVFGSWLCMANQEGELQHQLRDMIPARAGHVNLTPVKRQDQATRPRLPGGLQITSDFRQGSTIRTVTTTPRAPKNSGSDSRGTKTSPRRSRAPQFPFGLTNSASIYQNHLKRKFRQPRRTTSDLVMTTTPLGVIVHWPDMDPEVALHEANVPSTVRDILPLLPFQEGRELPVTMSNRKTGPDNPGSQSCVILNDHSDDEVVSDDAPTDDGETDADRELRIERNRNPCLHGFMNFFTVRIHVFMVSIPVLVDLFDDQH >Dexi2A01G0024100.1:cds pep primary_assembly:Fonio_CM05836:2A:35781359:35782888:-1 gene:Dexi2A01G0024100 transcript:Dexi2A01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSQALRAEAKPYIPLPPTFVRPPPIAQLPPPGFFPPTALCPYQAPPPQAMRGFSGCWGTPLGPGAVGMPLTPAPHGAIAMPATGTPGVAVTARAVPQAHVVPDKQQQPSGSVTRAAGRAPGARARPRQHLDVPPRMQRAARHGAPAACRAAKAAGAVTGDAASENEPSPRSVLYSATSTSPPITPTTTSLPVPCSPPATAALAAPEELPPHHSVELGAASKPAGPGKQKFLRGCRRAAQSAPRGGAVRRIAPRLMFDPSSKRTSLMIRDIPNDFSRRRMMSIIDEHCFIENQRIPDGGVKSEYDFLYVPMDFRTLANKGYAFVNMTSPEAARRLWEHLHSHRWEVRRCGKTCAVDYAATQGLDNLLDRFSGSSFCCATEEFLPARFEPPRDGTRPAVSVIHVLGRLIRRHS >Dexi5A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:5A:7331938:7336008:1 gene:Dexi5A01G0009780 transcript:Dexi5A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSANVQASTGYRHLNNRNLSVFNEFSKQLKGEVKSNPELQKTIKEFGEKLGVVKDDLKVRTKKTTETIYRSVDDVWSEAEETSKKVTANIKEKVFAAKEEVKESFGIGKEEATSFKDGSPGASEHEKTEASSHSDGTSEDATSSHTVFTKLKSTISSASPVVSGAFAKLKDTRVSTLAKQGYEIVKDELSASSSRKKKHQARHASAKVEKSTRTDLVITPTKKSVLGEKWEAFKNKVAEDVRERWETSDNPVVQKIQDLNESLLEETTTAVTFREIRQRDPSFSLSDFVADVQEMIKPVLTAYSKGDVEALKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADASETKMFGSSPMIILRFQTQQIYCVRDREGQVTDGGQDTIQTVYYSWAMQLMDSDEVPEEESYYPVWRLREMQQAGVKALI >Dexi9A01G0023880.1:cds pep primary_assembly:Fonio_CM05836:9A:19361244:19361805:1 gene:Dexi9A01G0023880 transcript:Dexi9A01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLFCETHPSSPWRRPNPRHNPSNPPSGDPRGRPAAGQGVTPASPSSGSDTMPPPASPYGALPLREGEPPPAAAGEELLLVPPLNFAMVDRGVYRSGFPDVSNLPFLESLRLRSVLCLCPEPYPEVNLEFLHAHGIRLFQFGIDGSKVMKSGKLNY >Dexi5A01G0028460.1:cds pep primary_assembly:Fonio_CM05836:5A:31743136:31746960:1 gene:Dexi5A01G0028460 transcript:Dexi5A01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSDMKSSNASWVCLLLLCFAAMGDAEYMKYKDPKQPINTRIKDLIGRMTLAEKIGQMTQIEREVASADVMKKYFIGSILSGGGSVPAPQAPPSIWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRDPDLVKRIGEATALEVRATGIQYTFAPYIAGFVISDWLGIDSITSPPGANYTYSVQAGINAGIDMVMVPYNYTDYIDDLTSLVSKGIVNMSRIDDAVRRILRVKFTMGLFENPLADLSFADQLGKKVGKLFTFISFPHFGILHTTFSSYIMIKTELLWTIRLRFMIEELSYCVLQFIQEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARRILVAGSHASNLGYQCGGWSIQWMGGSGDITTGMCTCISAGTTILDAIKSTVADSTPVIYSENPDDSFMNHNDFSFAIVVVGEPPYSETVGDSTNLTILDSGPDTIRTVCSAVKCAVVVVSGRPVVIEPYVPLMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLYPFGFGLTINSSLPG >Dexi8A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:8A:2370459:2371674:-1 gene:Dexi8A01G0003320 transcript:Dexi8A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMSAACAGEAPAADDVVCHGCFDGWLLYGPDDRDTYDRRHKCFLSNPLTGATVEMPLRFNDLANSSMLYVHKLISLCPPDVACAGYGGRRWYIDFVFHLGKIYAINTAYELFVHDVGDAEQAIKKVQGPKSTVAVEDQEEKFIRRYHYLVVP >Dexi8A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:8A:9592808:9593647:1 gene:Dexi8A01G0008190 transcript:Dexi8A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMPIVLSLLLVLCFPYTMVVVSAGQAPSCYKRLFSLGDSITDTGNLARVTPNIPTMAFPYGETFFHRPTGRYCDGRLIVDFIGMGANFAVASATALSLQFFKDMGLDVKKIPPFSLDVQVEWFKRVLHMLGPTEQERKEIMSNSLFLLGEIGGNDHNHPFFQNRSFSAESSP >Dexi2A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:2A:31632246:31634055:-1 gene:Dexi2A01G0019450 transcript:Dexi2A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGYRRKDGFPIGFRFKPKDVELVEHYLLPRLQGRRTVPNDSVVEANVYACHPETLANEYKSGGQDEWYFLSPRARMYGNGVRPARKTLDGRGRWKASTATKEVAQEVVCNGIRFCKNVLNYFEGIPKKEVRTKWIMLELTVPEYEIKLDKPGPKKMLDEYVMCKIYVSPLHKKKGDADDDEEEEGSSSACEEEEVACSSTQHSQVTAESSKQAGKRPMSEQPLLLGGARPAPKQARHGSLNIGPTQASCTGSNASRTEVYHGVPGRPIGGGLQAPPRMQQQRPAGAYYNGQAPPRPTPTPPVVQHQPFSQQYPFDEPYGLMPPPMPVQYQPFSSPIDEPFGLMTRPSNPGNQAATARQPFFPGNPQPTRLPVSSQCFYEQNYRAMQPMGNASSSSQQPIYNGDASRRRGAPATVSRGQIHNSYQPSSSSTPAASGQGGPAKEKNVIVNAEEYFAQLANFNPSLVVGRMQPEPPHFVEAPAPPAAGAARVRTGTGEASGLNAPDAA >Dexi7A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:7A:29460718:29463183:1 gene:Dexi7A01G0020750 transcript:Dexi7A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSSAGVVFFVLLLLLAGASVSHGSFQGRRVLSDEQGRGIVAQWRRSLADAAPPANNSLVLAAARTHRSDPFANLTAYTGGWNISNQHYWGSVGYTAVPLFVLAFLWFIGFGVVLLVISCCCCFCRSKNNDYSPGCYFSSLAILIILTLATIFGCVILHCGSDLFHDSTMRTVDYVFGQGNLTVNNLRNFAGGLAAAKNITVDQIFLPADVQQKIDIVEEKLNSSANEFSTRAMENSRKIKNVVNHMEHELMATAAVMGGLALLGFLFSILGLRFFVSILVIMAWINLTITLMTSGAFLLLHNVVADTCVAMDEWVNHPQAHTALDDILPCVDVATADESLYRSQEVTAQLVALVNNVVVNISNRDFPPGLRPLYFNQSGPLMPVLCNPFNHDMSPRACAPGEVDFKSAASEWKRFECQTTRSPEGSEVCSTPGRVTPAAYGQMIAAARVSQGLYEFGPFLVQLQDCSFVRETFTAISDNNCPGLERYSRDVYVGLLIISAAVMVSVVFWMVHTRHRRRRAMSK >Dexi9B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:9B:1278638:1279446:-1 gene:Dexi9B01G0002240 transcript:Dexi9B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAFVFAGKSVATPAISFLVNKAFGYLNQYWKTEAVDEMKKRILLALPKIQAVFDVVNPGHIKDESSALDAWLWQLRDAVEKAEDAVDEVEYYELKVKAKDAKVSDWGSPFAKMKHSAIKSVKHASIVEKAIRGFSHHGTIRRLEKALEGLDKAAAGVVDFLALADQLRGSTSRQEEHSLNKDRETGSIITATKVFGRSKESKEVIGWLTKPSDRDAEIEL >Dexi9A01G0047850.1:cds pep primary_assembly:Fonio_CM05836:9A:50828656:50829668:1 gene:Dexi9A01G0047850 transcript:Dexi9A01G0047850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPAISPAVARVAACLLEKDVPFQIEPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADRGNQALLGKKEDGAVGRAAIEQWIESEGQSFNPPSLAIIFQLAFAPMMGRATDMAVVEQNEAKLTKVLDVYDQRLGESQYFAGDDFSLADLVHLPNADFLVNRTNKSGLITERKNLARWWDDVSARPAWKKVIEMQSAPRPS >Dexi7B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:7B:11695028:11698571:-1 gene:Dexi7B01G0004730 transcript:Dexi7B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAAPGGARSFLQAVAKVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPVREEDDEADADGANYQLDMMKCLREVNVDNNTIGWYQSCLLGSYQTVELIETFMNYQESIRRCVCIIYDPSMSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVAQCDYDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYRFYYIYYACLF >Dexi8B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:8B:19591317:19594317:-1 gene:Dexi8B01G0011000 transcript:Dexi8B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSSAASPQHFKLILGSSSVARKHILEEMGLEFQVMTADIDEKSIRRDNPDDLVMVLAEAKADAIMSRLNIADYRKEGDQPTLLITSDIVCLANLCLEFLCHVDFEVVVHKGIIREKPTTKEEARQFLKGYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEVANKLIHDALST >Dexi8A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:8A:24801602:24802733:1 gene:Dexi8A01G0014350 transcript:Dexi8A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFDRLPFWPSMKLIFICWLVLPYFNGAAYVYQNYVRPAFVKNQMVNIWYVPQKKGLFGKSDDFLTALDKFVEENGTEALKKLANKAGKSFKQSGKSSKDSKESKRAKESKESKSSKDSKEPKASKDAKQPKASKDSKEPKPSKDSKSPKDSKEQKKAAPKDPKKASLKDSKELKKALKDSKEQESFKDPKEYTPKKRVTFAEVEPEKELKASSSDWHPSSDFHGSYPEQNSWASGFMIFEDENSYWNRGPLNW >Dexi7B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:7B:16580574:16582216:-1 gene:Dexi7B01G0008900 transcript:Dexi7B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLCSRNRKPRPPDKRAAAAAFRPIHRPRLSSAVSSKVSYRRRGLRASCHEDCLSALPDDLLLLILKRLDTRSVLATATLSKRWAWLPRLWMPSISGYERRAMRAMTSCINNFLDVDDDQDRGGLGLRSVRRLRLEFFTNHCSSGIHRLIAKAADSWGVEDLEISLVKSTFQKHYAHSFPHHGLCNNPRKSQLRSLKLSGCYIPPLKGFHALTTLVLQNLPRSTPNAAYEAIFTLCPQLQTLHLKSCKLHEGVVAVHAPQSEIKQLIMEHCWFGQIKLYALPMLESMAVVETMVSYKLSSFPYLTHLNITFHCGVGKTRFIRIRNDYDLSDYLGGTPGITDLIIRFTGYQRWFKPWSPTLLLPKLKRLLVADVPSSWDVSWPRLLIEAAPCLESLHIHITPWEEEPHDDISWQPSEFRHNQLKDLVIIGFQGTERQIYFVNFVIKVSTSLQLVSLYKNGHVQDRGHWDWDMLSRQNQWVNEEKVKILNHIADSASCTATLIQVVLE >Dexi9B01G0039930.1:cds pep primary_assembly:Fonio_CM05836:9B:40620017:40623102:1 gene:Dexi9B01G0039930 transcript:Dexi9B01G0039930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPTLFLLVTLDHLVAAMNETAVFTFNGFSGTNLSLDGMATVTPDGLLMLTNGSTALKGHAFYPFPLRFHGASNHVMASFSTAFVFGIIDQYFDVSSQGMAFVVSGSSNFSTALPGHFLGLVNASDNGDASDHLFAVELDTVLNAEFRDIDDNHVGVDVNSLTSVQAASAGYYDDATGSFRNLSLISRKAMQVWVEYDGQAMELNVTMAPVEMPRPKKPLLSTFVDLSAVITDLAYVGFSSSTGIIFSHHYVLGWSFKTNGMAPALNVSMLPALPRTSTNGARTKVLEVVLPISSVLFVLALAAAAVVMAKRRAKFAELREDWEAGFGSHRFAYKDLFYATDGFKDTNVLGKGGFGSVFMGVLPKSKTNVAVKRVSHESRQGMKEFLAEIVSLGRLRHRSVVQLLGYCRRKGELLLVYDYMPNGSLDMYLHDQDKPILGWGQRFKVIKGVASGLLYLHEDWEKAVIHRDIKPSNVLLDAEMNGRLGDFGLARLYDHGTDPNTTHVVGTMGYLAPELGHRAKATPCTDVFAFGVFLLEEDAQGSVAVLVDWVLHHWRNGLIMEAADPRLGSEYVHEEVELVLKLGLLCSHPLASARPSMRRIVQCLDGDIAFKTEHQAMHMDFSMTTLMKDQGFDPDAMEYEQFAFEGFASANLTLDGAAKVTPSGLLALTNDKHTQGHVFFPTPIRFYAASNGTIAASFSATFVFAIISEHAQLSDHGLAFVVAPSSNLSAATGAQYLGLLNISNNGKASNHILAIELDTVLSPEFHDRQQPCRS >Dexi8B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:8B:4154511:4155095:-1 gene:Dexi8B01G0004550 transcript:Dexi8B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAIFLRVTYAAALAYAGAGLLGPVTGMCLAHLTTAWAAGLLGYALAEYRIADGGELAADEAAARGPAASRSEGEGITFSHSVFLTVLGTLYLGSGVAWILFFTPDDGEVSVVLLSVLIWMILYMWAIFFNRFLLHEALVSSDFMGRLVWYYVASIVVSMLSVRPDGPMVLGVLLGVEDDGHGGVLWVHSCR >Dexi2B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:2B:30057326:30062038:-1 gene:Dexi2B01G0019910 transcript:Dexi2B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALACLRRNPKRRRDAPDHALPPSSKQPLMAGADSAESSSSAAASASGADGASASAGAAAASSPPASPREAHFFVRATDSKTIAMHAGWDDTVGAVLQHLGACGYGRDLRLLHEGRQLAPEATLAELRLPKDSTLHLAARLRSTQHPHAWQLAAHIAATAAGAESGPASVPPAAFSLDELVKEFILRGHRANLGLSRHDRGGEDRATGDHAAEYLDIFIQAGAALALVRLYLSKSPFRSYAERAIKYFIATDPSSLPMDVLVLTAPVLLEFCRLLSLAAGKKELLYRSCRRSLASVLSSRPKLPPSMNSPTRLIEQILPFARETVDMVLEGLTSMSMIVSPIDLDEFTNFFKVMCQQTRHWISGDGPMPRNLYSRESEHGNTWIWWFHSMSMDVLKRLDECLKRLEMDLSLSSENTGVVESQTVWAARSHILVVLTELDFISGIYEDVGHNLQLVLMAHRAPLNALVRCSKRNERLHWLRKYKNLLCFEARRNLVFMMLPEGRDDFGELHEMLIDRSHLLDESFNYIAQARHSELRGGLFMEFKNEEATGPGVLREWFCLVCQALFSPKQVLFSPCPEDKRRFYLNETQVTHFTQGFADILVNPERRKDFFECLDLEDFDRLLGGSNDTINLQDWKSNTQYNGYKEKDRQITWFWKAVERMPIEQQRQLLFFWTSVKYLPSDGFSGLSSKLYIYKTSDSPDRLPSSQTCFYRLCLPPYTSLKMMETQLQKITQEHVSCSFGAW >Dexi6B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:6B:5252826:5253705:1 gene:Dexi6B01G0005720 transcript:Dexi6B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFFLLAVFLALASSIAFSSDPSLLQDFCVADKLSPVKVNGLVCKDPMEVNADDFFKQAYLDKPRDTTKSMVGSAVTLINAMELPGLNTLGISLARIDYAPFGENPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPTVAIAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHK >Dexi8A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:8A:20375249:20375830:1 gene:Dexi8A01G0011540 transcript:Dexi8A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLEHTDPSSEAARWNKSSIYRVPERVKRQTRAEDFEPQLVSLGPFHHGKRRVLPMEEHKRRAVLHLARRARAPLRAFAAAVEAAADELVDAYDGLLDDDGWRGVGNGDSFVEVMLTDGCFLLEMMRVGRLVLKGKPVRDYAPNDPVFSIHGFYFLRPDIKSDMILMENQLPLLVLQRILAVERGTSSPVK >Dexi1A01G0027290.1:cds pep primary_assembly:Fonio_CM05836:1A:33131805:33137111:-1 gene:Dexi1A01G0027290 transcript:Dexi1A01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGRRLPDAGARPRSHSLGQSAPSSTSSKQENRILVWVGHDDAPGAAAERVRCGRRRIGADARRDDKPRVTPRSTTYGRAIMPCVSGEEEAVDLWAMATELERQFAGYKQRLAERDTGAAAIEHVENAAATAAACNDNGGGEEEDGIISEVMRGRMYEAYVRRRDERLREGWRARMERKEAEVKALWAQLDLTARAAADRAPGAGADGDPSATTTTDDAGDERKTEPKDDDKIRRSSDTAPAPRRITGKKHARTRSFSSSITTTTSNTRSDVVGRRRAVSQEPPPSSEPQAAVDVTKDNGRVGPAGSAAAARTTTATARPKTSSSLHRKSSVKGHGSAKPKLPRSLPRPASSGGFEALGREVVLPVVTGEAALVQSCSSEYAANGETPKVSASSPMQFVVKDNSSDVTGATNTRVSSPELSDPGEVVETVAHGEHESKDADVEERGEEKKIDDSPEKHGSPNGEITSDSETEPSYVVYIHKDTAKQEQAMAVSEPLKLAGSDAAMDSDVRTNEEENSEEIMPAPAGSTAVEIATTNAEEAPARESSDESPFSVRSSGLRSARPSCSSIERLLEEDAALLRRKREERAEKKSGGGAPKTPPGSAGSRVSGGAARSPRETVRGFKRFLSFGKKNRGREVTVIECASPSVSSLADDDSGSGGWQSAGSIKPRMGSSDAASDDTEHGYPVSSPRASCSLQSLVAASPAKSELAEISPQEKSPKAHRSFFSFRSLNCGRG >Dexi4B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:4B:18179140:18180691:-1 gene:Dexi4B01G0016170 transcript:Dexi4B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRPHFLVLTFPFQGHIAPALRLAQRLLADVPDALVTFSTTEAAHRHMFPAKPGDGDGAKVPADEHDSRLEFLPFSDGTEAGILPNMALNAYLASFHAEGARTVAEILDDLATRGRPVTRVVYTLLLPWAADVARDRGVPSALYWIQPVAVFAIYFHYFHGHGTVVAEHRHDPSFVVELPGLAPLTIGDLPNFLTDAVDPSDIFHSVFITSRDLMETVAPEPPDALRRRWKETLEHTHARWKKHRRETSVLKHVARRCHDAAISKPPQ >Dexi4A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:4A:23979861:23980407:-1 gene:Dexi4A01G0020260 transcript:Dexi4A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPRPWRRRPDSLPSRSLVVGGYDWEVHYTPSIVNKYWIAFRLVLLAAPCRDDVKASLKCRIVDDYSNTSGQHQCHQCVAGASRDANAQLNAGECQLSHAFKRANESSGWLPLRRRSILEASGCVKEDSFTVECTITVITEQLPDTAAHILTGRHAGSRPWAMTSASSCGRGRDRM >Dexi2B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:2B:24340592:24343621:1 gene:Dexi2B01G0014520 transcript:Dexi2B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMWPWQIVAALSIACTAAVVAADSGLSHAKAASEPPGMDNSTRKSNGNSYHHIWPPMEFGWRIVVGSLIGLFGSAFGSVGGVGGGGIFLPMLALIIGFDPKSSTAMSKCMITGTCVSTVYYNLKLKHPSLDIPLIDYDLAMLIQPMLMLGISIGVIFNVVFPDWLVTALMIILFLGSSTVLSAPLYTDWVLNSLLIPVAVGVTMYEAHGLVTGKKVLSSKGSKHNALKPHQLFVYCLLGVVAGLVGGLLGVGGGFIIGPLFLELGIPPQVSSATATFSMMFSSSMSVVEFYLLHRFPVPYAFYFVAVAFIAATISQHYVRKLISSLGRASLIIFILASMIFISAISLGGVGISNIIHKIEHHKYMGFETLCKNGA >Dexi7A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:7A:28450581:28451033:-1 gene:Dexi7A01G0019170 transcript:Dexi7A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPLHSTPIRKACQLKRRLTQQHTAAPTHTQKSKRTNTNDITPLPSSPLRRPAPPQTSIIFPRRVKSQDDAIEPSELTASNWELEGGAAEAPTPGTATRRRRGRRVVGGGGGSE >Dexi9B01G0047300.1:cds pep primary_assembly:Fonio_CM05836:9B:46459791:46460787:-1 gene:Dexi9B01G0047300 transcript:Dexi9B01G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGLCCLASPLRGKASDADSRHRRSTAACICCIGPHHKPSAGAPDADLSVRLPLTSCCGTGDARGGARTPRTPCTPTARSLCGVRSSSSRTPRRRGQVCCFPAAASASDAAPAGGTAAAARTPTTPLGRRRQGSAKLGRRRSWFRSARQAVAQTTPRFRGGAGESARAGSNSAVKVYDARHAEAEAAASAAAAVMEEETCSNDEYALLCREGFSREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVATHCMQAMVRLQMRVRARQMLTKPRDKEGQQQQQLLQS >Dexi5B01G0032500.1:cds pep primary_assembly:Fonio_CM05836:5B:33136267:33139361:1 gene:Dexi5B01G0032500 transcript:Dexi5B01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYVHSCELLKACIEDILSTIKPGEDDQKKRLCAIQELEDSIYSSGTLRGSVVKPFGSFVSNLYAKSGDLDVSVDLCSSPRISISEKKKQNALRELMRALQIRGVAKYMDFIPTARVPIIHYMSNRFGISCDISINNYPGRIKARIFYWINTIDERFGDMVLLVRSLPLISLLYIYQRCKPAILPPLKEIYDGNVAKETVFYDEKHVDEVCAANIARFLSENMGQRNRTSLSHLFVSFFDEVSEYLVYNIDKWKIPSRDLIMPLEQ >Dexi1A01G0030540.1:cds pep primary_assembly:Fonio_CM05836:1A:35800831:35805403:1 gene:Dexi1A01G0030540 transcript:Dexi1A01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQESVHFDKITARIKKLSYGLSAEHCDPVLVAQKVCTGVYKGVTTTQIGELAAETAAAMTASHPDYASLAGRIAVSNLHKNTMESFSETVKLLYMHHDERSGLMAPMIAGDVYEIVMKNAAHLDSEIKDNRDFNYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECAAISKCAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHPDIFDFLDLRKNHGKGKAKKVIAAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPSETAVCNLASIALPRFVREKGVPIESDPAKIFGSSGSKNRYFDFDKLAEITSTVTCNLNKIIDVNYYPIENARRSNIRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASADLAAKEGPYETYAGSPVSKGILQPDMWNVVPSDRWNWSALREMISQVGLRNCLLVAPMPTASTSQILGNNECFEPYTSNVYSRRVLSGEFVIVNKHLLHDLTEIGVWSPILKNKIIYEDGSVQNVAEVPDDLKALYKTVWEIKQKTIVDMAADRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQQTSEEEDVQAKMAQLFQQR >Dexi3B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:3B:9591901:9592668:1 gene:Dexi3B01G0013450 transcript:Dexi3B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKSKKPRNEEGNEGGGGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSTTVPAIGFGRRHSDNRVGNDIESQQ >Dexi7B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:7B:1335874:1336418:1 gene:Dexi7B01G0000570 transcript:Dexi7B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAPGKPLVLLAQQPFPTFAEAVGAHYRFVLAAEADAAAAGEARVLLVPGLKAVTGELIGRLPALELVVATSVGLDHIDLDACRRRGIAVTNAGGSYTVDSADYAVGLVVAVLRRVAAADSYLRRGRWAVDGDYPLAAKPMSGQTLG >Dexi2A01G0035690.1:cds pep primary_assembly:Fonio_CM05836:2A:45237615:45251689:-1 gene:Dexi2A01G0035690 transcript:Dexi2A01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRVAPPPTTTLLPLPRAAPPLLLAGRAAAVRRSSLRARGPSSTAARRSWVVAASSFSSSARAALGGGGAARREAPPAPRKPTQQEFLFLYQAADLNDILSERGACGVGFVANLKNVPSFNIVRDALTALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFDDWANKQGLAPFDRTNTGVGMVFLPQDEKSMEEAKAVTEKVFTDEGLEVLGWRLVPSNVSVVGRYAKVTMPNIQQIFVKVAKEDNPDDIERELYICRKLIERAAKSSSWADELYFCSLSCRTIVYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRYSTNTTPRWPLAQPMRLLGHNGEINTIQNIHCVLFSLQGNLNWMRSREATLQSPVWRGREHEIAPFGDHKASDSANLDSTAELLLRSGRSPAEALMILVPEAYKKHPTLLIKYPEVSDGRTVGAALDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTAVKKSVASANPYGTWLQERTRSIKPVNFLTATTMDNETVLRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAVLSQKSHLLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPDNADQASSVALSSPVLNEGELESLLKDAQLKPKVLSTYFNIRKGLDGSLDKTIKALCEEADAAVRSGCQLLVLSDRSEAPEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFVQSRPGGEYHANNPEMSKLLHKAIREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWNPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVAKANADIIQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGYEKLDDIIGRTDLLKQKHVSLVKTQHIDLGYLLSNAGLPEWSSSKIRSQDVHTNGPVLDDTILADPEIADAIENEKEVSKTFQIYNVDRAVCGRVAGAIAKKYGDSGFAGQLNITFNGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDKTGFVPEDATIVGNTCLYGATGGQVFVRGNAGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDENDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACTEFERVLAKQATTQLSAK >Dexi5B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:5B:6030825:6033267:1 gene:Dexi5B01G0008920 transcript:Dexi5B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACGSSPSFRSPPPSSPLVGRQAAATRPTRTEVATAAPFAARGALPRLLKPPGNLGKNRYTRSSHSHRANPRHPSILTIFLHFGSGFRRTPLLSMARRLVARHFLSHLRQQAPRPQSVPPLAMGTVTRCVGPSSSPLLAPQGSRYFADNRSQYDLFGKRRPGDEEFRKAWQEDVDEEDCLWTASEEDDEEEESDTKLEREIKKVKRQAKENANLIDADDSDELRSICPESDEDDMTLWSGSEDDDDDDIPSEAHPSERSDSYIDKVFEFDESPKYRTISELLKAENEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVSRKKWQKAKARKRKNDRR >Dexi5A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:5A:841982:842632:-1 gene:Dexi5A01G0001240 transcript:Dexi5A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPPPASAAAGLASSTELPTLSKIASSTELPTLSKKQLRKSARKAERAAAAEQQQMHPPAPVAEDPFVANYGDVPVEETQSKAVTARSWTEVAELDAAAAAVGRSVLVRGFAQDICSLGSMAFVVVRQGRSSVQCVLAANAGAGVSRQMVAFAKSLTKESVVDVEGVVAFPKEPVVSTTQQSNLLIVDHW >Dexi9B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:9B:1628343:1631166:1 gene:Dexi9B01G0002910 transcript:Dexi9B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKHSPEDAREQRSSGWTRHRPAVGDAMVAAVWSLSAVAGLIPASLSLTLLLATLVSILVLGAATVFFEHIRKIGCMHSLERSAISDAFFEDPNSLNKVPCPSIIDPPEKYISLIVPAYNEEHRLPEALTETLDYLKRRSAADKSFSYEVLIVDDGSTDRTSRVAFNFVRQHRIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVYAMAQKVKSSPGTSSSPQKVSDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQFFFCCLGRWCFDVELVYLCKHLRIPMVEVSVNWTEIPGSKVRMTSIMHMVFELLLIKVGYGLGIWKIYT >Dexi2B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:2B:8433583:8436159:-1 gene:Dexi2B01G0008370 transcript:Dexi2B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELLRILRSLRSPRHLLQVHAQLLARGLAAHPRHLPAFVSAALTAFVAPSSAAPRHAAAAPAAAILRAAGAAASTVAHNTLIERLAGRRGGVGCGSAEEALGAYAAMRAAGVAPNGFTFTFLLRACEFLRRLPPCRCVHGQIVRCGFGSDVVVQNALLKVYYKCGDPGDVGAARKVFDGMADRDVVSWNSIVGAYMSSGDAAGAMELFEAMPERNVMSWNTVVAGFTRVGDMVSARSVFDRMPIRDAISWNLMISGYATSGEVEAARMLFDEMDQKDVVSWTAMVSAYAKIGDIDSAKVLFDHMPVKNLVSWNAMITAYNHNSRTAINMSEAYAGLQEDLLSILDVSV >Dexi5B01G0021230.1:cds pep primary_assembly:Fonio_CM05836:5B:23548637:23554111:1 gene:Dexi5B01G0021230 transcript:Dexi5B01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPAGVFPKLAAQWLFTFYRAARKLRRHAFQLYYRNTTTTKPSPAAASPQQGQHATTTVKSSVAVLDLTGAAVAAAAADGTVVFDMHGALLGSTSLFPYFMLVAFEGGSLLRAMLLLCALPLVWALGGERSIAGIRVMAFVAFAGLTTRDMDLVARAVMPKHYMEQLNAAVYERLWLPSKKKVVVTAAPRVMSEWFLMEYMAADAVVGLELRLVTVGRRRYFTGLLDGPEPRQEAMKEAIAAEVTMADVGIVSNSNPRDQQLFVPYCKEVYVVSRESTKSATLPRDKYPKPLIFHDGRLAFLPTPSAMLAFFLFLPLGVILSVIRINIGIVLPYKINFLAGAIFGIRFRTSGLRRDLPPPADAKQQQQQRKGVLYVCTHRTLVDPIMLTTALQKPVPALTYSLSRLSELIAPIKTVRLTRDRARDAETMSRLLRRGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTMLYGTTASGHKWMDPVAFFANPVPAYRVEFLGAVPREWTRAAGERTGVEVANWVQRRLGEALGFECTGLTRRDKYMMLAGNDGVVAK >Dexi6B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:6B:21240202:21242060:1 gene:Dexi6B01G0013550 transcript:Dexi6B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMGMLILFLLSVVRHGCAQSYNAIFSFGHSISDTGNLCTGSGGCPSWLTTGQAVREHPLRAPHRPHFGLPLLPPSKASGGDFKKGANMVIIGATTMDFEFFKSHGLGNSIWNNGALGTQIQWFQQLMPSICGSDCRTYLNSSLFVVGEFGGNDYNAPLFGGKNVRTYAPQIIDKITSSVDALIELGATELVVPGVLPIGCFPLYLPLYPSSNKDDYDEIGCLKSFNNLSGYHNNLLKQAVSGLQSKHAGVRLMYADFYAQVVDMGSYNYNNRAWCGMSGSSACGDPEKHLVWDGIHLTDAAYHAVADGWLNGTYCSPGILH >Dexi8B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:8B:23085668:23095956:1 gene:Dexi8B01G0013270 transcript:Dexi8B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLMVCVISVALARSDAPATGLSASLACLGLTLGYLVAEAGGSLSVEFSTSRASGAAFSLQLHGGRGHPDESNVEAFWPPPSSSGPEKHCSPPLPAGSYSSSSSSSLAYLAYDANDDSACYNSDDYQTFSMRSAVTSGPPPSPTSSIDTTLQQLRKTMMDESLVALRRWSASSRGGGSRRSRYESSVARQDRDAAAPAGSVDESGYDGDYSSSDSSSGRGSQRFGVEGAPSIGPEVASPEVKVGAGANVLVFSSFISEICFEERVGVVVRIGKAVRIKKPDIKASIEMSDIIRHRSVSYSEIVRATGNFREDYLLGAGSSGKVFKGQLDDGTVVAIKVFNMQVVQAMQRFDAECKALRMVRHHNLIRILGTCSNLDFKALLIQYMPNGSLEEHLHSGSRPYMGFLTRLSIMLDISMAIKYLHHDHHELVLHCDLKPSNVLLDDEMTAHVADFGIAKLLSGDDNSLI >Dexi5B01G0038660.1:cds pep primary_assembly:Fonio_CM05836:5B:37755467:37757411:-1 gene:Dexi5B01G0038660 transcript:Dexi5B01G0038660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYSIEWEPTSPEDREDLVPCASPSRVAPPSPLPPQVVGRRSEPSRAPLLARSLDGGPTRRGRRSSRPSSLPPQVAGQRSDPLWASLLTPLLAAGRWLELRASSPPPQVVSWSSEPSQAPLFASSCLSLPPQRVVGRSSLRSVVAKRDSVGESTRHNISHVYLLDVQIKVTSFSQIRDIQLVRAKQLAARSPAARASCAVRLWSTSSWFRHPTLPGQGTRTAGHLWEQARWAGVLRADGRDGIEYAVLEQFEHDGGGSRRACKRWI >Dexi6A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:6A:28207052:28207887:-1 gene:Dexi6A01G0020820 transcript:Dexi6A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAVSPTSSSTSVASPAHATRHHGEASGGGSRSRSMSRRVAGAVARGVVTFVFAAVGMVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSHDSGIWTILYVLDVIWSLLTGRLVREKVDPAVQSAVDSQMNAAESSSGRETSPTTLADVFETATAATGMAAAAIEALPVTTFTAEHVGDHRTGCSVCLQDFEAGEEARSLPECGHTFHLPCIDVWLLRHASCPLCRRAVG >Dexi2A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:2A:11713455:11714163:-1 gene:Dexi2A01G0010550 transcript:Dexi2A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPALAAGACNDDGGGGRAGSCGGGSGWCDGRHRRARASPVPEGRRRPRRGQGRGGGRGLPSFLNFFYRELVSGDKEGLLQLPSDKALLSDPVFRPLVEKYAADEKAFFDDYKEAHLKLSELGRVPFIAQNLCL >Dexi2B01G0021060.1:cds pep primary_assembly:Fonio_CM05836:2B:31011890:31015167:-1 gene:Dexi2B01G0021060 transcript:Dexi2B01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIAPSMLSSDFANLASEADRMVRFGADWLHMDIMSTKIPLTFVLIASSCYPQMFYIAYCKTANHHFAHDYVEPFGKAGASGFTFHIEVARDNWQELIRSIKSKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMDKVHTLRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFSAADPGEVISVLRKSVEGSQNKS >Dexi3B01G0021750.1:cds pep primary_assembly:Fonio_CM05836:3B:16611120:16613786:-1 gene:Dexi3B01G0021750 transcript:Dexi3B01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGIDCYVVPQTTGTGRNIFQGGSPLQESLPLLGVQLVLIVAITRVLYFLLKPFKQPRVGGIILGPSVLSRNPAFKETVFPARGDPVLHTIATFGLMYVIFLIGVRMDPMLVVRSGKKGVIIGLSGFILPLAMAAVGFSDASMDAEPDVTRRSTFLFALSASISITSFAVLSPILSELNLLNSDLGRTAMSASMTTDGIAWLIMVGYTLAEAFLVSPVTSLWAFLSVAALAAVILFAVRPVALKVIERTPPGKPVDENYVFFFLLIVLLVGFYSDIIGTNSFHGALMLGLAIPDGPPLGTALGEKIDAMVSGLILPLYYAMTGLSTDVWRLHWGRLQLVVFLGWFGKLVGVMAPSLYLEIPFRDAVSLSLFMNSKGIVEVITFTFFLTNKLIGENTFSVLMCSSVAITAVSVPVAACLYDPARRYAMYKRRTLQHLKADADLRVLACVHDQSHVPGTLALLEASHATPQTPIGLYLLQLVEIAGRSAPVFIPHNPRRNASRIGAPGAPSSESDRIINAFFRHELRHPEGAVSVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHHHKRHMLAGGARAAVGIRVVNRKVLEVAPCSVAVFVDRNAGSVGLSNFIPGALQQDYSGSSMGSRAASGAHQFHAAVAALFFGGGDDREAMSYVARMARHPGVTVAVVRFLPARGIKDDPADRRVDNRAIEEVKALAARSRNMRVREELVGDMERIVEVLRGLDKAGYDLVVVGMRHRWYPVMPANGLSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQAGLNAAVPGAQDLWRGGGGGSSQHRQ >Dexi2B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:2B:5190465:5205015:-1 gene:Dexi2B01G0005650 transcript:Dexi2B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGDDVGRSALTQCDKRRRKLHAPLAAAAAANDGLLPADILHEVLLRLPTDELCRLRLVCRSWRSLTSDPIFAKAHSSRHPLLVGINFLVGHGREVQFVDTSGNILR >Dexi5B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:5B:18297990:18299268:1 gene:Dexi5B01G0017240 transcript:Dexi5B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGQDTAYHPGLYLTPAQREAVEALIQELPKFRLKTVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRSTSEPDHPPASASDVTTSTVATRYVRSQPAGQSYLGLLLRPVRHESVESRGEPAVANSSSVGPEELSSIVVDDGHQLPDR >Dexi4B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:4B:21610826:21611347:1 gene:Dexi4B01G0019360 transcript:Dexi4B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSGNGGGGGGVEKMVTLVSSDGARFEVGESAASLSGTVRGMMGEAAGVGDPGTGGGGIPLPKVDGRTLAMVLEYCNKHAPAPGQEESSSAEMERFDGEFTRVDRDTLYALVMAADYLKIQGLLDLACKTVAGMIVGKTTEQIREIFGIQSDFTSEEDEELRRENAWAFH >Dexi6B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:6B:8463323:8464348:-1 gene:Dexi6B01G0007270 transcript:Dexi6B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRDSILLVSLAVSTAALYARAVSSRVRPGPTRLAMLLPVTIFFAAIPLVFSSAVLRCAAALFLSWLGTFKVVLLAVGHGPLDPTLPSLQFVLTTALPIELVIIPSGVHPDKARSMAGPVSTSSLASFTFKVAVIAAITRLYKYFHEMHLYVRLVLYGVHVWCSMELLFAGAAAACRGVLGVEVKPQFDKPYLATSLQDFWGRRWNLPVSAILRASVYDPVRARAGKEAGVVATFVVSGLMHEALVYYFTLEPPTGEMVAFFLLHGVCRVAEDWCAPRWTARGWPAPPRHVARVLVLLFFMATSFSLIFPPVYREGREEMLLKESADALEAFFAGVVV >Dexi3A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:3A:4231875:4232278:-1 gene:Dexi3A01G0006410 transcript:Dexi3A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNSACEFMRPIMEDKIVPAFKNRAYFYTLDVNDKNFKDLKKRWKVEALPDFVMVKNDARVNRLVTTDKDELMAAITNGLDKA >Dexi8B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:8B:19486914:19487375:-1 gene:Dexi8B01G0010890 transcript:Dexi8B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARGVDMYVNGHDHCLQHISSSNSGGGSKAWAGKFKTTSDKVEFIYDGQGFMSMQLSKTEAHLVFYDIAGNVLHTYDSTKPEDDEN >Dexi9A01G0042360.1:cds pep primary_assembly:Fonio_CM05836:9A:45980190:45981378:1 gene:Dexi9A01G0042360 transcript:Dexi9A01G0042360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRTIARRLWAGKNATATGAAIPKPPAAALLPPARRPPLLAVDDCPTLTFLRPRPTAVGYSTATVPLPAHCFPALPVGDHLFRRLRLDGLVVPPAVNTVTRAPEEAGGGVTVEQARKVARAAEMEVARAKLRSNAQTVVSGSEFAALCVDIAGGGVEGGRRLARALDDSGVVIVLGDAVFLRPDMLAKAIGSVILPAKKQQQQLAPLAGDGEGEAAAAERRRELDALEAEKAAIDADAASQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFISGYAFFMRTATEPSFEGFFRSRFASRQRRLMRARGFDADRYNALRNELGLGPLGHAPAPATLQSGEPATTA >Dexi2B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:2B:3001470:3001955:1 gene:Dexi2B01G0003360 transcript:Dexi2B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTARTKRPAGIIGLQGPRPQPLSLPSSATARPFKRPRGDDDNGVAGAPGPVIVYEHTPKVIHVRPDEFKALVQRLTGRPHHQQRVEPPATETTTTSSSTSAQEEEAGDTLVLTLGKQEAPALLPSPGGGGLAAADFLFSPSSFLFSPTTMQAIQELIS >Dexi5A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:5A:923140:924384:-1 gene:Dexi5A01G0001350 transcript:Dexi5A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGFLKYLRGGVVAGNQRAAMAATTIAASACEDGGGGGGAAAGAGGGDVDDDASFFDLEFAVPGDESAASDAEEERVEFNFAVAADDVASGGGEVVAVDDAVAAPAAAETGAVKDRAADAETADVTTPPPPPPASLLRPATKFRVLLLKLRKPKAAAAAPAEGNGGGAAAPKQASRFLIKFRVEDAPLVSLFTRDNSSRTSDAGADRPAAATQQTQDASSAAAAITAEERRFAKEVVLKYLSSKIKPLYVKVSRRYGERLRFGGASEGEETDLEPDLSSSPAPSPSPAPASQAPSAAATAAVATPAPQPVVVACGVRAPRASVPAGLKQVYKRLGKSRSASSAVAAAPSPPAASNSGGGGAGGQPERRDDSLLQVQDGIQSAIAHCKRSFNASSKGTCTDGVAPPPSDAAAA >Dexi3A01G0034600.1:cds pep primary_assembly:Fonio_CM05836:3A:39729750:39730672:1 gene:Dexi3A01G0034600 transcript:Dexi3A01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVESCLKATELRLGLPGTEETTAAAAALPTPPAQVVGWPPVRSYRKSCFQQSTTSAKQSKPAPAEEKAPAAAATATAAAGALFVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLCFSGGAADTPSVNPSDFAVTYEDKDGDLMLVGDVPFDMFISACKRLRIMKGSEARGLGSVKNN >Dexi9B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:9B:10419244:10426679:-1 gene:Dexi9B01G0015310 transcript:Dexi9B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDADVDAEMRAAAAAAAAPGDDDGDGEDNTGEEEDDDEDDIDDEDEQEPTAPAPAEEPPAPAPVSVLPGNPNQLTLLFQGEVYVFESVTPDKVQAVLLLLGRGELPPGSAGTFLPNQNENKGYDDILRRTDIPAKRVASLLRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPAPGCDPGSQGSGLDFISRESKSVLCHNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKAESPAVAIEQGGSDNKALVTLKNDNVSASNGEAEAKRRRLPVLSASVPGLPPASKRRWLASVQGSSPKCPFFKFRPHQGAAVLPPRRLHRCGGHLDLRHLYLGRCLCIREHHQLQQRAPVSGTAKGKAKLKAGQPLKRSTIGAKKGAPSSGGGGGGRGRREAMERITQISESCLNASTPLRHLSPKEGLREAKREELGLVSKERQRELDIAKAKAKAKSKGTGADDGGRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLRAAAMVPDTTPFPANRYMATLTPPIEGYIEEVRDAAKKHSVKEKLR >Dexi7A01G0023940.1:cds pep primary_assembly:Fonio_CM05836:7A:31818229:31819331:-1 gene:Dexi7A01G0023940 transcript:Dexi7A01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSGSRSRVVAVLLLLHAAVSLSTTTVRVNEDPIADGLSWGFYDDSCPWVEDIVRWYVTEAVRRDAGIAAGLIRIFFHDCFPQARTSMGCDASVLLSGPKSEQLEIPNQTLRPEALKLIDDIRGALSDACGGPTVSCADITTLATRDAVAASGGPLFDVPLGRRDGLAPASSNLVGTLPAPVFDVPTLLEAFSNRSLDTADLVALSGAHTVGRGHCPSFSDRLPPNADMDPALRQKLAAKCGKDPNAEQVLDVRTPNAFDNKYYLDLITKQGLFTSDQGLINHPATKRIATRFALNQAAFFDQFATSMLKMSQMDVLTGNDGEVRLNCALTNAAAGEGHAADA >Dexi1B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:1B:1517754:1517993:-1 gene:Dexi1B01G0001920 transcript:Dexi1B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGRQPGVGSRDQGQEFRGTEQGQRANQPSINGASKPSRGIPYLRKEEALTGSPAAASRLSLSFLSSVVGLPARCGD >Dexi7B01G0021270.1:cds pep primary_assembly:Fonio_CM05836:7B:26368075:26368520:-1 gene:Dexi7B01G0021270 transcript:Dexi7B01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRSRTRRAGSSSLSSSRSISEDQISELLSKLQALLPESQARNGGAHRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLSSADVTSDQAAIIRSLLM >Dexi9A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:9A:7834376:7835817:-1 gene:Dexi9A01G0012390 transcript:Dexi9A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQEKLPAESDGGGEPVPVRTICVFCGSRPGNRPSFSAAALDLGKQLVERKINLVYGGGSGGLMGLVSKAVYDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKSEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVILSWVNSLLSLFDKGVEEGFIDTKARNIFVLADTAAELLTKLTEARLAAAEDGDDTTVGAGGGEDKGDAIAGVKRKRS >Dexi9A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:9A:9385590:9387781:1 gene:Dexi9A01G0014320 transcript:Dexi9A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRARTTPLILLLLATLLCAAAASFSEDREPWRCVRRCEDRPRHERARCLQQCRQEEREERGRHELLGRRGDRRGEGSGDEREQEQGQSRQPYVFGERSFRRVVRSEQGSVWALRPFHEASKLLRGIRNYRVAVLEANPRSFIVPSHTDAHCICYVAQGEGVVTTIENGERRSYTITEGDVFVAPAGTVTYLANTDGRRKLVIAKILHTISVPGKFQFFFGPGGRNPESILSSFSKSVQKAAYKTSSDRLERLFGKQDKGIIVRASEEQVRELRRHASEGSHGPHWPLPPFGESHGPYSLLDQRPRIANRHGQLYEADARSYRDLAEHDVRVSLVNISAGSMSAPFYNTRSIKIAYVLDGEGHVEIVCPHLAQGGESEHGHSGRRSEQGRSRRSEEEQSEGEEEEQERGQQQQEEQEKAGQGYHTIRARLSRGTAFVVPVGHPVVEVASRDSNLQIVCFEIQAEKNEKVFLAGANNVLKKLDDAAKELAFAAKAKEVDEVLDAQREQGFLAGPEERSRREWEQEEGHGGRRGRREEREQEEKRQGRRGRREEREQEEQREGQRGRKEREQEEERQGRHGRGRREELAEAFLRMATA >Dexi1B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:1B:23484922:23486430:1 gene:Dexi1B01G0017100 transcript:Dexi1B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANNGNVPAGGDKHQQPHAVCVPFPAQGHVTPMVKMAKILHCKGFHVTFVNTEYNHRRLIRSRGPSAVAGLPSFRFATIPDGLPESDADATQDPASLCDSTMRTCAPHLKRLLDVLNAPDSGVPPVTCVVADNVMSFSLDAAKDAGVPCALFWTASACGFMGYRHFQLLMDEGLAPLRDEQQLTNGHLDTPVGWARGMSKHMRLRDFPSFIYTMQRGDVLLDFMKREVERADAADAVILNTFDELEPAALDAMRAILPPVYTIGPLSLVLERLAVATPDAGAALGTIRASLWKEDLTCIRWLDGRAARSVVYVNYGCITTMTNEELVEFAWGLAGSGYDFLWIIRPDLVKGDTAVLPPEFVEVTKGRCLMASWCEQEAVLRHEAVGVFLTHAGWNSTTESLTAGVPMLCWPFFAEQQTNCRYACTEDEWGVGMEVAGDVRREALVARIKEAMAGEKGKEMRRRAQEWKEAAVRATQPGGAALTNLDDMIRDVLLLPSKSS >DexiUA01G0017470.1:cds pep primary_assembly:Fonio_CM05836:UA:36777590:36777851:-1 gene:DexiUA01G0017470 transcript:DexiUA01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRADGVGRTYADGCISTVVLWMAILDSGWNPCSGDPAAAAATAASNITRAAAAAATSLRLAMGIRSGYYLANKPAGGDA >Dexi9B01G0032340.1:cds pep primary_assembly:Fonio_CM05836:9B:34579936:34580679:1 gene:Dexi9B01G0032340 transcript:Dexi9B01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFVTTFAPGLDLSALYPSHKRQLALYQENPTPAAAPLVSKVTLDPVPELQGSNETNVTDVGAEETEGIHENTDEINALLDSDSDEGCEKVQELKRARSPPPAENDTLSVESVASAGASAGSARPAKKRRLNSGTDKSVVDTASSARLDHSVEQKLLVNDSDAQSCCIGEVESYNKFAHGDGEAAEGDNPDDQKRRRERIQETVAALRKIVPGGIAKDTTAVLDEAICYLQYLKLKVKTLGAVSL >Dexi9A01G0026490.1:cds pep primary_assembly:Fonio_CM05836:9A:29580697:29581632:-1 gene:Dexi9A01G0026490 transcript:Dexi9A01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASGASGPTARTRLKPRSGSGAARYLSSSLRNVLSTNAELSRFHRKLLLSPSETSSPSLKVLYSIDICRHGYAGLPGMSGKAMDLSSSSSASDGLGIRRKSDCMTAVPYTAHGEKAIRGTPSSDASGCVHPQKKSESTATTRSGPSDLARAQERSGPRSPEKATMRDGKSAGGRCLPLSMPAGKAGWDGNGKHADLSGVRGLERRDLWGGGGSGDNGEAEAAGGKEAGEVQKREHVALRRARNHQDVRRHRGGTVVAELANLNA >Dexi9A01G0033530.1:cds pep primary_assembly:Fonio_CM05836:9A:38456542:38457612:1 gene:Dexi9A01G0033530 transcript:Dexi9A01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKEVKTRADPKVEIQEKGDIFFFYRPKVDKDEAHRPDDVQRMYIVLRPESAASRAVEEKQAPDSGKEGSKRRRRDGDEQSHHQGGHGKEEVNVEEQPLLRLVVMGKKSLPDPAKHSRPYWGYVELVTTNVDDIKDALKEEEYSTVTRGKRRRPAARALGEGVYRILKHEPGGRRAPHTHLVYKLELPTRGDGEPQEAMNVEPEASFLVQVKNPNPPPTGGRRGGDGGGGFRGLQSKRRAVFPEHLQGVFGGRRYAAADPPDMLNYEGCELLLIAASDDVEEELGLELEGEVGEEEEGEQQQRVAGCSDLVKMFGEVADVKPLLSGSWD >Dexi1A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:1A:25659276:25662458:-1 gene:Dexi1A01G0018470 transcript:Dexi1A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSAGGHGGGGYGDGEEGQQSIDFRGNPVDKSRTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGELHLSNSKSATVCVPASGGQLAMLYAALYTIAAGAGGLKANVSGFGSDQFDGRDPREEHAMVFFFNRFYFCVSLGSLFAVTVLVYVQDHVGRGWGYGVSAVAMVLAVAVFVAGTPKYRYRRPQGSPLTVIGRVLATAWRKRRLTLPADAAELHGFHAAKVAHTDRLRCLDKAAIVEADLSSTAEKQQPAAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRTLRHGGGFAIPAGSLSVFLFLSILLFTSLNERVLVPLAARLTGRSQGLTSLQRVGAGLALSVAAMAVAALVERKRRDASTMAGGVPISAFWLVPQYFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDGATRGSWIRNNLDRGKLDLFYWMLAVLGVANFAVFLVFAGRHQYKASGVAAAVAPGENNKEMDDFVAVKEAVEGMDV >Dexi9B01G0045260.1:cds pep primary_assembly:Fonio_CM05836:9B:44811720:44812363:-1 gene:Dexi9B01G0045260 transcript:Dexi9B01G0045260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPRRFPRTFRIQHLSSYVRGEAKVGGRGFANNALELSSNKGSLFRGGSSLVGGTRNSTSAGSPEEAGSDLEQKTGEKFKPGVHSAVKLCSGIGSLVISKCAHIFESRGDTFDGNCSLQDVLKPGLWLLPETLRRFWRVSELKPEDFLDILIGFGSSAAQVGVAAKQGVSTSSKVK >Dexi8B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:8B:4426816:4427740:-1 gene:Dexi8B01G0004740 transcript:Dexi8B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVHGAPVGPVVPGTVFELRLLRYQGSGGGGWSVKRPKISHYHSKGGGELPSSWRNDVIVPFGDRLCWVDLQRGLLLSDVLDEENPGLRFVPLPEDPFFGRAPYRNVCVTACGGAMKFVNIFPRCCCSGAGWSYCRSSHHAYTVHTWTLTTEDMAWVKDGTMDTTQIWAVDRYKSLPLPHVRLEFPVVSLDEPHAICFVVCEDHHVKNGDKTTWRIMVDMRSVTLRSAAFRYPEERWHNAGYRLLVPSRVSDYFNSEPSSSGSKIGVSQAGTVPNSVHLCKSIFTGDDLGRS >Dexi3A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:3A:15254026:15260856:-1 gene:Dexi3A01G0019450 transcript:Dexi3A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGTGSAAEELLAPRLLVSPTSRVQELEKFSHYVGTVPESAPASFSVADGTIGFEGFAGARQIGFDDANECPHLCTLAYDYLRKNKGYEENIFAFFQNNVDPEPLIVKFIEELDKCILGYFSFHWNYATYIITQVLTVEGATKRKFKNFVLEATREQRFERLTRRLKMTRFFSTLLEELKVIGPSSHDDSPRNDVMVPVAHCNRSPVLLLMGGGMGAGKSTILKDILKQAFWSGASANSVVVEADAFKETDVIYRAISSRGHHNDMLRTAELVHQSSLDAASSVLVTALNEGRDVILDGTLSWEPFVQQTIAMARDVHRQRYRMGRGYKVSDDGTITEEYWEPVDGSITDEENEVAARKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFATAFKSYCSLVDNARLYSTNTLGAAKLIGWKDGGSNLLVDPEEIVCLDRVGSLNEEADCVHELYADGQPTGDSSSVWEDLVMSPSRASAQRELKAAIEKGEARFRPALALPGAAAAAASTAPPRLVSPAAYPPTSRSTMADVDVDTEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALVKRLRKAKKEAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Dexi7B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:7B:20154250:20157206:1 gene:Dexi7B01G0013690 transcript:Dexi7B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAPEGGEYTAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDSAASGPDAACGGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIIAQCLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASAAKLGSSASFSR >Dexi9B01G0033540.1:cds pep primary_assembly:Fonio_CM05836:9B:35702521:35703521:1 gene:Dexi9B01G0033540 transcript:Dexi9B01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKALHVLQGLMEHHQDIVDYLNRKGAMVILLFRKNTLRRLISVLANNYDRRAKQLNGGIHKSHVHSKEEAEILAQFKPKMDLSTLIPSIRSAEHSMRTCLSRFRKTRHMTLYYEDVIHDKNALSRVQEFLGVPVMKLSSKHVKIHTSPLPDLVDNWEDVSEMLNGTKYARFLDDADYVK >Dexi6A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:6A:9557106:9558034:-1 gene:Dexi6A01G0008810 transcript:Dexi6A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSLALLAIAMAILQVASAKSWLNQFTTDGRVSTGNDASGQKVVMLNLDPSSGAAGLNSKQQYLYGEFSFEMKLIRGNSAGTVSCFYLSSGNDDYRDEIDMEFMGNETGNPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPHNILFKVDNVFIRSFKRYADLAYPCSKPMTLHATLWDGSYWATEKGKIPINWSNAPFVVSYKSFYATACVSGSACHLGRDGWMSKQLDAAEWGTVRWAERSYMRYNYCNDGWRFPQGLPAECSRN >Dexi6B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:6B:17080510:17084118:1 gene:Dexi6B01G0010650 transcript:Dexi6B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIREGPARRPAPAAAGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSVISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYSPETNGTHSRKKPLIVIGIMSSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSANRGDTFDREIDDENRSTKDFLILDDHIESDEELPKKTKSFFANAAETFDAAFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSDSTHKWYEPEWWKFGDGKSYFRHASGEMFVISRAVAQFISINRSVLRTYAHDDVSVGSWMIGLAVKHVNEAKLCCSSWPSGLSA >Dexi2A01G0029320.1:cds pep primary_assembly:Fonio_CM05836:2A:40352812:40353085:1 gene:Dexi2A01G0029320 transcript:Dexi2A01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIVRDVKLQPNPDEVADVRYVNREQLKELIQKADAGEEGVKISPWFRLIVDNLLMGWWDHVEKGTLSEAVDMETIHNLKE >Dexi9A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:9A:16392273:16399245:-1 gene:Dexi9A01G0021530 transcript:Dexi9A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGIPEVAFETFENMEYGGEGYMKPDTESYNWVIQAFTRAPSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNESIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRPVELLEALEAMANDNQSIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRRLLITLRNEGPGILGDVSEDDVRRVVERLKKLVAGPKKNVAKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEIDDDEDWFPEDPIEAFKVMREERMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKITHKVIELGGTPTIGDCAIILRAAMRAPLPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLASKRSGNSALPQPTE >Dexi7B01G0021950.1:cds pep primary_assembly:Fonio_CM05836:7B:26904595:26908987:-1 gene:Dexi7B01G0021950 transcript:Dexi7B01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERQQRVNPVIADPAERIIDQQAAESLGKSPSASDLATLQAVPSASSDALLDALPPRRPPASAGPRPSLGLRLAAKRPSPPSPGPRRSASAAAVLAVQDQASGAPPVEVVAEVKPERDRGDREDGASQSGSDDDSDGSGSDDSDDSEEERQREEERKRRRAERLAAMAARAISEREEAVARLEGEKAGLEKLLAEREKEQAQEASELQTSMIETMEAVEIEKQRHHSTRMEALARLATLEADQVAQLREEVKMKTIAQDKYKRKLAKMQKTSTPQVDEIESLRRFKLEEEIIDAEYTLTCDRIVSLKDKVGGLSKYNRSRSVLLSARKIEENIEMTRREMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLMRIEAVSRSLDNGASLLASTSSSRIDIEAGAWQESHSPKLRDRIRAGQQQLGSAFRQLDSIFSAGHIFLRRNPMAQVWALVYLVFLHLWVLYILTSHPTVSETRSGAAFSLETLNKTSI >Dexi7A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:7A:11130475:11130972:1 gene:Dexi7A01G0002620 transcript:Dexi7A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVMCIYIQQWIQDFSCAGNVTDDEGQRAFALVNKATGQALVNKSIYQSDGIVHVQLAPYFGDVRVDLSMLWTLSAKDLGGGFLEVRVLRDITQTLNALWGNVKEGTVLGIDPSSPGQSNAVWKFTPVHSAVPE >Dexi4B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:4B:3156477:3157341:-1 gene:Dexi4B01G0004410 transcript:Dexi4B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAFLACEIARLPEELLSAMISLTARRDACHAAAVSPAFLAAADSDAVWACFLPRDLPPLDDGELDPAPPSKKALFMRLSSTRSLLLADSLTVFQEAAELRSVRWLEIRGNMHSKMLSNNSEYAAYMVFKIAGDSYRLDLDSGAQEASVSFGGWESTRQERSDGWMELEMGKFDTEEGEDGEVSISLLEIGNSQ >Dexi5B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:5B:2664464:2666333:-1 gene:Dexi5B01G0003920 transcript:Dexi5B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIANIISTLPRLLIQKDSYGMRERRLVEYLKQCFPSNISLSTNKELAYALASLPPYQVPFSDVKVVHLHCEVKVRHVKLNILHKVPAGEIWRSLNATVVGLVVSGASEAARSIPYCVGLDFLSIQVRGCVSPYMSTNVLHKISERDLYAADG >Dexi3B01G0035600.1:cds pep primary_assembly:Fonio_CM05836:3B:38648599:38653471:1 gene:Dexi3B01G0035600 transcript:Dexi3B01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRCFFMVMLDEDFKNGLIIPKRFAENVGGQISERIKLKVPDGETYDIDVAKKHNEVLLQSGWALFANAYEMEQGDALVFGYCGDSHFQVQIFSPSTCEKELSCFPIYSVPCVQESITSHDTHLQSPGTERMNKGCTICKGCIANHYWHHMGDKDRCFIKVMMSNFKEKLTMPKEFVANVGGQIPEEVQLQVPNAEDVNTKEPFNSVVFQKSWLVFPMGCNMTSEQKTKIDSLEKNIKPQVPLYITAMDMTSVSVGYLIFPSRFVADHLDSKLHEITFVRPNKKDKWCVKYYHTRDAQGVRNYNFSMFVQDNRLRQGDICVFELMKGARRVTMTVHVIRKVDGRFVLVG >Dexi2A01G0032480.1:cds pep primary_assembly:Fonio_CM05836:2A:42935721:42943305:1 gene:Dexi2A01G0032480 transcript:Dexi2A01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSWSSRIRGDVPWREDPEERWCFGAHDRRGMRAAQHRSLRRKKMKWGRDNRRLLQMLMATIKMDSQIESCDMWIRFDYGSFYPAEGDASHCFIGEEAGKGYNINVPWEHGKCGDADYIAAWDHVLLPVTEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKSESEDVDHLFGADSSVNVIQVADDAISEHLSKMKLDEDNITVKTASSCSTAEQHPPGSVVDKDAPVVLSKRISDLSLAWRSDLSRTHVWLEQFNDILFQENCLVLEDGKDGNVVYPDSPLIGSSEIEFMSTNKAIHLEPIKDSWYSNVLYLGEEEELPVLTMTCPSSDIERYKSGELPLAPPSKTYAATLIKGLVEGKKLDADGAANYINAAAARGLEG >Dexi8B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:8B:12690146:12690542:-1 gene:Dexi8B01G0008660 transcript:Dexi8B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPPPAQPVLPISEHEDEIVAAVDANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSLSGLCQFSPNLP >Dexi9A01G0044690.1:cds pep primary_assembly:Fonio_CM05836:9A:48283458:48284219:-1 gene:Dexi9A01G0044690 transcript:Dexi9A01G0044690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKPNLPQPPPPLASASSSSSSSLKSLNKASYKISKQSSSASSASGSTSTVRAPSPPPPSVPRPSAPLPPPPPPAQAPSVPADHPPPQPPVYNIDKSDFRDVVQKLTGSPSHLLPPQPPAPPAAAVMAPPPPRPLMAPPPPPIMAPPMPPPTAIPSRLHRIRPPPLAPPRPAPILQQQSPAPPALSPLPPLPAVCMTAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPPSPGVAMPATSPRVRDP >Dexi3A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:3A:10742586:10755003:1 gene:Dexi3A01G0014650 transcript:Dexi3A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYDAALGEREYLAGDLVNLADVAHFGFTHYLMLHELASWMATAMTAPGRSASRAAVDRSSECPRTATVTTRRGRVGSAWRQGGFTGNGTPDDGGGSALTCRIDVDRRTNDGPRWEDDGQREEDPRGEDDGGKMPIDDVRIDAADLAIGSDRTTRGDERRNEARLSRAARTRDGTRPRSDINAQRSACVCFSSMVNAEPCSMGGIIDYGVGVGTKSSPRSLAIEKAQEELRQERDIREERRRELEFLEKGGNPLDFRFVHVETVSVHSASLTNQIEAQNVIRSKPTRESANVASVISSLPPANGYETKDDNGVLSISSIKQPGSNCDNAPKNAPSDGQEEMEVDGIQTIHERECVVNEEVKQADNHSRAQEVSSNDANHNGLSVGCGEIAAEVACAETPDTSLKVPRPCYPSASTHDERVSCVVDEKADNGHLDEHVAYIHAGELDSRRKVPVSAVEASTSHKNVVGPPCEATMNIVDDHADGDTNLVAAKIDVKSHEDLANSRCYSTNENTDLVQPEANNILHIKEEMEVCDSAIVAQKDTGCLSSGQTMNIEESPASDMKNTCDGDSNPVHPIYIGIDLPKALPSPKNDESNLETEIKNSTENINKMANKAYEDSILTNARVIEACIKRASKRSHCNIALEKRSKSHWDFVLEEMAWMANDFVQERLWKSVAASKVCHWIASDGRAKFEEANIQRKQKTVMKTIAKGIMSFWRSAEALQTAETAPKMIEANNSTMLEGTQPSGTKAEKEQVYKPLETKESRQPRQSQIQDYAVRFLEYNCRAADSHVLPEAPPTPDRLNDFGILKVSDHLAEENLFYTVAPGTMLAYRESLESLFVYHKEAGNAELNDDYEASVCDSAAVCSDMLPENAYDEDEVRTCTYVSHMGHKKKHLMHQRISVTRQYEIGTNVPYEPCLESKSGNRPLLSNGKRPTSFLAMPPKRIRTAARRVVSPFHAGACGPPQVTSKTDASSGDTNSYQDDQSSLHGGSLPWRNTDFESTVDSDRQLPYDASEACTKANKKKKVKNPGYKIAQNTIKCSVPASVKFQGRMYDPRLQVDLTNKYEQKEYLKKRSDIHQYDSNGNSVAYGGQHASKKLKMVKQGIAISQEASPATSQMSNMANSTRLIKIIANRDRGRKCKALKVTSIGGWSNFEDQALVVLVHDMGQNWELVSDAINSIVKFKYVHRRPKECKERHKVLVDRSSGDGADSAEDSGSSQHYHNPLPGIPKACSFTILFCGRRQGNRQELKPIIQPHSSHVIALSQACPNRISGGTLMPLDLCDVTSPNLDSVTPGSVYPGSHGITLANHQVSVGPSTPTSNPNSRLPGSPGVVLGSNSPSPSTLNAPRDTQKYGVPRPTLQGDEQKIQFNQMVNGRNLQQPGGSVPGAFPAGVDRGARIMPAAHGMGTVAGLNRGMPAGRPGFPRINSPAMLNAVSSGNMLTNSGQGVPNSVSVHPGAISGPGNTILRPRNSMQTLSGMEEHRHTQEFDMQVAQGSIRSLEAVDDSA >Dexi4A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:4A:5219695:5224133:1 gene:Dexi4A01G0007090 transcript:Dexi4A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSARSQIRPCWPSQASRHLLSPLARVSVPRRAAGGALSVRASADGGDAAVTVRRFPAEGAPTRPGRLEGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPGLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLAETGALDSLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECFAIAEDIGEFPLIVRPAFTLGGTGGGIAYNRDEFEDICRAGLAASHTQQVLIEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCGPIKELDWDWEKIKYSLRVPNPDRIHAIYAAFKKGMGVESIHEISFIDKWFLTELKDLVDVERFLISRSLDQLSKDDFYQVKRRGFSDKQIAFATSSSESDVRSRRLALGVTPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGFETIMMNSNPETVSTDYDTSDRLYFEPLTIEDVSNVLDLERPDGIIVQFGGQTPLKLALPIQQYIEKNKVVSASGMGNVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCMEVIRSWTTKLAKRLSVCGLMNCQYAISTFGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGMTLPELGFTKEVIPKHISVKEAVLPFEKFQGCDILLGPEMRSTGEVMGMDYEFSGAFAKAQIAAGQKLPLSGTVFLSLNDLTKRHLGEIGRGFRDLGFNIIATSGTTKVLQLEGIPVESVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATVDAIKSLKEKPIETLALQDYFPAADVSPDLQAVAQTTP >Dexi1A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:1A:9917521:9918060:1 gene:Dexi1A01G0011080 transcript:Dexi1A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTETEFVTAPSTPEPDTLQIVVEQKLRRHQDDGDGGGSSSSSMTIFRVPAHVRNASKDLYEPRLVSIGPYYHGRVELRAMEQHKWRYLHELLAQYTEASLADCVSAVRDVEHQARHCYSERTDIFDDSGDGFAEMLLLDGCFVLKFFINWYARVPDKLCDVGWGLPQILSDLELMEN >Dexi9B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:9B:1445795:1452073:-1 gene:Dexi9B01G0002510 transcript:Dexi9B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLAALAAACALLVAAAPSAAAAAAEAEAAKNSTNKFRQREASDDMLGYPHLDEDSLLKTKCPKHVELRWQTEVSSSIFATPLIADINSDGKLEVVVPSFVHYLEVLEGTDGDKLPGWPAFHQSNAHSSPLLYDIDKDGVREIALATYNGVVNFFRVSGYVMMDKLEVPRRKVRKDWYVGLNPDPVDRSHPDVHDNSIAKEAAFKESPPVDQNKPGSMEGGEALKNASEGHSVETKPNSTQAQENVELLNIANNTHSENISSVTMAADTSQTQRRLLQTADKSDDKTGSSNTHENDSGAKAATVENDEPLEEDADASFDLFRDPEDLPDEYNYDYDDYVDESMWGDEDWKEEEHEKAENYVSIDAHILSTPVIADIDKDGVQEMLIAVSYFFDHEYYDNPEHAKELEGIDIGKYVASGIVVFNLDTRQVKWTAELDLSTDSVNFRAHVYSSPTVVDLDGDGYLDILVGTGYGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMRPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRAHGRIMSPVLLLDMSKHGENAKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRHNRQGIYVKHGSRTFRDEEGKNFWLEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGDRRIVVSSVYHEPGKQRMMLPTVPVRTTGTVVVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFGVLVILRPQEGARLPSFSRNID >Dexi7B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:7B:25694334:25699255:1 gene:Dexi7B01G0020360 transcript:Dexi7B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEVAGGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSVRRVCEMCREAKGARDEMVARAFPVMSKLFQRCAAAQTQAVASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPIVAERTLEFLVANKTKILNSFPNLIPQFYPLLLKLIASNIERLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSISTIQKSAAPEMLLALMDEAYTGSAIEDSSGNLGSDDSSPLDLADPMFLDLLKDENDGIAVRKRLSDFILAAFQRSPDIIAVLKKPITDRLGEAHDNPAKESISQVLIPMFKFTELALHLCWAIGEHGAGGINRKDVARELFENLELLLYENLATSRLGLSQEPGFDSMGASSRKSSQARLLCFVVTAIAKLATCHSELLPRARVSLAKVLDSILPFCDNK >Dexi9B01G0033610.1:cds pep primary_assembly:Fonio_CM05836:9B:35743039:35743772:-1 gene:Dexi9B01G0033610 transcript:Dexi9B01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRGARAASAASAAVPASTSAGRSISIRLTAIAALSSGGRRRKGQRRGEKPPPPPPLPRNVETPSSKKKSGARPPVEAKKNRPAELEEVRAPRRPEGNEARKGGTPPPQQQLQQQKAKPKRAVRWKCASGCGACCKLDKGPDFPTPDEIFADHPDDLQLYRSMTGDDGWCINYDKTTRTCNIYEGRC >Dexi5A01G0036890.1:cds pep primary_assembly:Fonio_CM05836:5A:38294231:38295210:-1 gene:Dexi5A01G0036890 transcript:Dexi5A01G0036890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIACWARFAAGKGARCAVGWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVVADDSPVKDVEVASAAADAAVVMPEGVVPVASGKPSLLALVKVHS >Dexi7B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:7B:21098146:21098346:1 gene:Dexi7B01G0015020 transcript:Dexi7B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPELRYKSSKSSWVSAAAKPTPYYHHNPGAHERGRAASPPGDPVSSPSRPNNSPVATTGPYATRQ >Dexi5B01G0036810.1:cds pep primary_assembly:Fonio_CM05836:5B:36422882:36423419:-1 gene:Dexi5B01G0036810 transcript:Dexi5B01G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRGASINRPPTPSAEEDRDKEPSLEEAINIKLVESGEKEKLMELLRERLVECGWRDEMKALCRFGFSRIQLKYHSY >Dexi6B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:6B:12979255:12979494:1 gene:Dexi6B01G0009060 transcript:Dexi6B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRVLPPLHQFLVALLLPTCSSLILGLCRGPLRLRRNLLHRCAFAGISYTNAPSPESPTPMHPRRWWRETTCGTRSGV >Dexi7B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:7B:24363253:24364179:1 gene:Dexi7B01G0018730 transcript:Dexi7B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASPKPRSARTPTGEASANMAVDLMGCYAPRRANDQLAIQEAAAAGLRSLELLVSSLSSQSAAPHHKAAQHLQQQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVEASPSAAATAAAPVVAAPVPSPHPAPLSLVAPVSVAQPAPAPQPQTLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLMSAGKPPLSGHKRKPCAGAHSEATANGSRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPR >Dexi3B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:3B:3515269:3515610:-1 gene:Dexi3B01G0005310 transcript:Dexi3B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSFGDTTLVLRRRRTLPRLRPRRQRLRLFPALLPPQGAMEHGSLDDSSASTFSIMEEDHTLANSVRFVLNQE >DexiUA01G0004940.1:cds pep primary_assembly:Fonio_CM05836:UA:9078525:9080142:1 gene:DexiUA01G0004940 transcript:DexiUA01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKSPFFGRRSTLTSSPYFEPSPRAPSTSESATDLTGVEAAAAAPPMHRRRPFSDLPPASQPPPIDSCSPSFGRRNSGDEPRTFLHQGAVADGLDHLIPADGEGTPENGAGEGTVDPEANPQLAQEGKPRSIT >Dexi1A01G0028300.1:cds pep primary_assembly:Fonio_CM05836:1A:33984342:33984848:-1 gene:Dexi1A01G0028300 transcript:Dexi1A01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGGSATTCQDCGNQAKDCGHTGATPAAKSRGFNCSTHVKSTWVPATRRRERQHHAASGSASSSPATASTVAVASVSKKPRLLSSQTTTSHTSTSNASTPRSFDTTSSHQGMAFRGRCGRRRCSSACA >Dexi5B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:5B:10804314:10808548:1 gene:Dexi5B01G0014380 transcript:Dexi5B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTKEEDEILARYIKEHGEGAWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRAADFRDGVVVDVDLSKLPGGGKRRGGRASRGIMAAAKAGKEKKVKERVRQDKGKNKVAEAEQKQQQLKELEDMAVSTPSSHSHSQPCAAAQSEEQAQGSASSSGVTSDHGPEEEEDPLALSEEMMSALLGPGSPKLEVGPAEGSCMVDSDSGPSVVDSESGPGGPTGDVAQELGDKAIMDWDLMGLDISTADDMWDSLVWDYADMDMAVPDGDSQQQHEEVMSDLFFLDNM >Dexi1B01G0030650.1:cds pep primary_assembly:Fonio_CM05836:1B:34551314:34553089:-1 gene:Dexi1B01G0030650 transcript:Dexi1B01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALLDDVIRRLLEVKNLKPGKNAQLSESEIKQLCAAAKEIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVAEFLEKHDLDLICRAHQVHYTL >Dexi9A01G0044650.1:cds pep primary_assembly:Fonio_CM05836:9A:48257195:48261424:1 gene:Dexi9A01G0044650 transcript:Dexi9A01G0044650.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAQSRGLIRAVEKRSKTKHSDVHSGAFVSLGLAHFFAPFPSLVPTSSSNPHLRNRSSFTAPQYNSSPEPARSSDGPPPRADRAEPAAVLPAGNGSWAAAVMGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSPQEFSGNTDNGYILFYESHAEKS >Dexi3A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:3A:5495947:5496354:-1 gene:Dexi3A01G0007880 transcript:Dexi3A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITPEAAAYCLDEKKLMELRELVEEDDDAEAEAALEEDFRALLSMAADLEDEEGLTPEKWEWVEKTEALALSLTTPMREQADEIRQAAAALASLRPGEAAFAEALRKQAALTDSRRADAERLLTARAGCRRRR >Dexi1B01G0029940.1:cds pep primary_assembly:Fonio_CM05836:1B:34047904:34051075:-1 gene:Dexi1B01G0029940 transcript:Dexi1B01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQISSASRMRASERTSGAAGPSMDKIEKTPGEASGRRNPTGSMNPSDNYAQRPRETVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPGSSVVEPSEQQYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >Dexi2A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:2A:9030415:9033037:1 gene:Dexi2A01G0008980 transcript:Dexi2A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLAATSLLLFLLLLSSRLSPALGGDDLLGAARAPGFAAWLRGVRRRIHEHPELGFEEHGTSELVRAELDAIGVPYAWPVAQTGVVATIAGGGGGDGPVVALRADMDALPLQELVDWEHKSKESGKMHACGHDAHTTMLLGAAKLLHSRKDGLKGTVKLVFQPAEEGYGGAFHVLKEGVLDDVSAIFGLHVDPSLPVGAVSSKPGPFLAGSGRFLVTVTGKGGHAAGPQDAVDPIVAASSAIVSLQLIVAREIDPLQSAIVEAHARVHRCTATVDFMEDKLKPYPATVNDEGMYNNAREVAETMLGQDNVKRGVPIMGAEDFAFYAQRFAGAFFFIGVHNKTMEAMHPLHSPHFVIDEDVLPVGAALHAGVAIEYLNKNAATAN >Dexi7A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:7A:23641321:23645747:1 gene:Dexi7A01G0013650 transcript:Dexi7A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISSSPTARYGSLAVQSPYTYTMIHGTVAFVRIAVVTGGNKGIGFEVCRQLAGSEVTVILTARDEARGTAAVKKLRELGFSGVIFHQLEVTDGSSIDRLSDFLKTRFGRLDILFFRSEQLKQELNDIDRLTQERLDELLDAFLMDFAAGTVEAGGWPTEFSAYKVAKAAMNAYSRLLARRHPALRVNCVDPGYVRTDMTGHSGLLTPEEGGARVVAVALLPAGGPTGAFFDAAGEAFSLRLQSTPTIYQPRPERSDPCCSSSRRSIMEGAISTPANTRVAVVTGGNKGIGLVVCRQLAGNGGVTVVLTARDEARGAAVVHELTELGLSGVLFHQLDITDASSIARLAGFLKARFGKLDILINNAAIGGVEYAHDTDFGSVTEKEKENLSGMDMDQRLGWLWVNSRETYDTAKKGLRTNYYGTKQVIEAFLPLLQASSDGRIVNVSSHFGQLRVRSSDNYCMLDELLDMFLKDFEADKVDSRGWPKYFSAYKVAKATMNAYSRILAREHPELRVNCVHPGYASKPT >Dexi9A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:9A:641118:642296:1 gene:Dexi9A01G0001260 transcript:Dexi9A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSPGDYLIVPRNCHISVISALVLSGAIPKYIIPEYNSGWDIAGGITPLQVEEAVKELEEDGKRVGGVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHFRFHDSLPSTATEQGADLAVQSTHKVLCSLTQSSMLHMTGDLVSVDKVSQCLQVLQSSSPSYLLLSSLDAARDHLSKNTNIFDDPLAMALETKDQLTTVPGISVLDLPCFASDFPAIDPLRITLSASDLQLSGYEADDILYEGHQIVSELVGTRSVTFAVNLGTRAQDVEKLVQSAKHLSEKCFLANSSKLMKEHRVCDPLDMISVHLTPREAFFTKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHQGITISGAVDAELNSISVCDL >Dexi3B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:3B:6593694:6604489:1 gene:Dexi3B01G0009570 transcript:Dexi3B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNPLALPASVCLFSMASPRSSTSSDDDVVPPAAHSTVVQTVNIRSHVPWRYLFDSALGKFGLLRHVSSPTPMADRDAEWLLIDHSVVNWIYTTTSKAVFDMVYQPRTTAFSVWSDIEGIFLDNELQRAVYLEAEFRTLHQGDMSMTDYTAQLKRLADNLRDVGHPVSEPSQVLNLLPRHQVQVAASHVHTARSYLLIEELTADNDDKVDAGQALYAGHRSNTSSGSTDSGGKKPRNKKKSKGGGNAATGGSGGVGGSSGSGGSGGSGVQPSAGLPWAAGYNPWTVLVQAWPMSFRAPGAGVLGSRPGAPAQQAMTAQHLLPLPGPSTSSSSPWDTTALMAALQNSNTAATPPSSSEWFMDTGATSHMSNAPGTFPHLTRSMFNSSITVGNGARLPVSHMAAASIPTHSTPLHLNNVLISPSLVKNLISVRKLTCDNNVSIEFDPRGFSVKDLPTSQVMLRCESSGDLYPLQLMFGQHLSSSDVLPTIRSFHAYLSTQFRLPLLALQTDNGKEFESHAMRTFLSTHGIAFRLSSTYLMNRRPCTATSNITPFQLLLGTVLDYSSLRVFGSLCYPNLTATTAHKLCPRSTACVFIGYPDDHRGYRCYDLATKRVITSRHVVFDESQFPFRRPLDRLPSTVPSPAAIIDDTPPVRLQHLVAPAPARVPSTPLASATTPATRSSPGDTASATAHIPSDAFHATCGRYRGHAADYDASTALTASTSHDHPCEGGHSTPTSQVCGARSHDHVYVAVHVIGRVHVAVLVHVDDLSTTKAAMQAEFDALQHNSTWTLVDRPPGAQTITGKWVFKHKLRSDGTLERYKARWVVRGFNQRPGVDFGETFTPVVKLATIRSVLAVVANKRWSAHQLDVSNAFLHGHLHEKVYCQQPIGFVDPDRPNAVCQLSRSLYGLRQAPRVWFTRFTDYVKSIGFTQTRSDSSLFVLRGVGGVVAYLLLYVDDMVLAASSTALLQQLVSKLKEAFAVKDMGPLAYFLGIDVQRSDDGFFLSQAQYVDDLLERAGMSTCKPVATPADTKPKPSTSDGQPVSAADASYYRSMAGALQYLTMTRPDIAYAVQQLCLHIHAPHDVHATMLKRVLRYIKGTPSVGVHLRATSSSSLTAYSDADWAGCPDTRRSTSGFCVFLGDSLVSWSSKRQPTVSRSSAEAEYRGVANAVAECSWLRSLLGELGCPVGSATIVFCDNVSAVYMSRNPVHHKRTKHIELDIHFVREKVALGEVRVLHVPSSGQFADVFTKGLPTALFIDFSNNWGGVKHSSHKHNGHRQTDAADFSPRAATDSLASHTRDPCTPWTRSSCAPAMLTTPPMAPLKPRRSEARLTRHQIGGFRSEVRQLRRSPPMELAIAARLEEVTILDRFNVKLHQLTLNSFVQLSKFFWGVKTFGGEIDLDTFGRFNELHLQKRSVFLEEGGEEHVGQFGVTTFTSRRRSAALKITKVDFTYAQRNRWDVNWPKSWFYVKVGFASSDSNDINYPFAGPLGNVEVSTVIDFDKKSEAFKKNSRCFIHATRRLTGRDVVEEFLAAEIWPLGNDWKPFRVEERQIPGFDLPTTFVIFGLTKPADMSEDDLISKVEWAAKALIGPLTEKEKKAMIDLFEKPSRLNRPWLEMGVKYGDRVKPSKPQKRMKPTKTKLLEAQKRKTACVQQSTSAPNKKPRLTRKVDLSLLDSPEAEAEAEKEKETIEAACGLLGIAGIVGDDLGTSGFMKELAGTSAASGEEPAVEGVNVEEDKVAEAEHKEEDEDAEAEEEKEEKSDEEENDAPDSPSEDDGANSSQEEGEI >Dexi2B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:2B:30187029:30188926:1 gene:Dexi2B01G0020050 transcript:Dexi2B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAVGEATPPPELPPSRVSVSSPSPYSRRRCALASRFREPAAPRRHAWVSLQGRLVGAEEASSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSIHLRDEVLEGMQQKLDDLLVEMNFLQQQYVTCDSYISSEREKADSAGSKKIGDEEGSRCCACARPEAAATPEKAKNHSGTDDGRSDVIDRSSLSFMDHEERRMSDLSDFCWSVVSSVDNQINGDNQLSFLAADQQLYNLQKECEEKDATIKELAAAAHASSTADAKRIAELQEVLKRKNMVISKLKKDMDALKQMVVELSRAKRASSAISPICTDLPVMSNNVLYDMSSSSPSSSDSESPVAPRYHDERLVDSAPGDRDSKGSHEVSATKTTVPSKMSFPHKPRPSSPLKEIRINPKVETNAFGRQKQATSSNGDFKKIRRQSHQDSRTKASRRWV >Dexi1B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:1B:23340798:23342171:1 gene:Dexi1B01G0016930 transcript:Dexi1B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVAHGAAAAAAPAEHERREELSDSESGSESIEISDLKRRMWKDQMLLNKLEGRAGAFRHTSTAGAGPSRPLAPPPPPGAGEEEETPEVRCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDRVSFDRSGPMALTVTGPEGSSSSSSPLGGIASSSCLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGTEAWWGSQGEVQAHQGVPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKETDTWSKILCQEEVLSRRLKSSLQITPLDDDEEEEDDDDVEDDVAGRAHYKRKREVISPRHSSNSGGGSRELAVVLPELAGLVGDEERLSSIDELAKLYYSYGPDLVGGGRTEDDDAVAWLPAGETEFDLDMMCSVDAVPPDVLFDLIGSCSGLDDVFRLIQEDDD >Dexi5A01G0025030.1:cds pep primary_assembly:Fonio_CM05836:5A:28901890:28903951:1 gene:Dexi5A01G0025030 transcript:Dexi5A01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAHDDGAVRAAALPAAGAERGGRACAAASGDDAAPGSGGRRRSVYLMDCAPAWGSATTRGRGAAMEDACAAVPRFAEVPVRMLAGARELDALGIGVDAAAALQLPMHLFGVYDGHGGSEVANYCGDRIHVVLRELLSRAARRSEELGEELDIKEHWEKVFGDCFQRVDDEVSGEASSRSCSVVSDVRCNPVAAANVGSTAVVAVVCSSHVIVANCGDSRVVLCRGKEPMALSIDHKPDRKDECARIEAAGGKVINWNGHRVSGILAMSRSIGDRYVKPFLIPKPEVRVIPRAKDDDCLILASDGLWDVISNEDACRVARLQILLWHKKNDGMYSDEDGELTINPAAQAAADYLVKLALMKGSEDNITVTVIDLKSRKKIKDKS >DexiUA01G0014930.1:cds pep primary_assembly:Fonio_CM05836:UA:31208478:31211814:-1 gene:DexiUA01G0014930 transcript:DexiUA01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRSANSFKKKESFLDLHPEVSLLRGEKNVEVVDPLKGAKDGSPLEGLGVPPDRSDYSEARIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDMQAIRMSPVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALDGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGMDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDLSLSGQVFFSNTQWSNFLENAYAHSVCLQ >Dexi1B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:1B:26655952:26657508:1 gene:Dexi1B01G0020550 transcript:Dexi1B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQTLISSSAPAPAFSPASHFLHASPPLLFTRSSSPTSCSPSAAPAMASFVAHHHGSLGERMDVLRSSLRPCVAAEEVDAAAAAAAGPAAWGAADRGAGLLGDGFSVEDLLDLEDLCEVDKDCVELGEPTPAPAPLAVEEDKLSTDSHVSSVVSYELVPLPVQPVIDLPLPAHDAEELEWVSRVMDDSLAELPPPPKLPAAAISAAAARRPPVERAVVPAAAEPKRTPTICALSTEVLVPVKAKRSKRSRGSVWSRSGGAPLSDSTSSSSTTSSCSSSGSFSPFLFLPLDSQPPSFWAAHLLGEAPPLGSKSKKSKHGKNSGGGKPKKRGRKPKHHPFRPQLAGGGASAAPVAGDRRCSHCGVNKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGVLLLPGPPPLPAAAQAVASF >Dexi1A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:1A:21022157:21029925:1 gene:Dexi1A01G0014430 transcript:Dexi1A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGVKLSSTSGAASRAPSVEQLHRRRERTPWVPTGDLRLLHMGRQFMPEDTLAQARPPAGVNNPLDPTPRHAEPASGEASQNPCNRGAPGPPVSGATCPLPPESPNGNWQVATVAPPPSSLLLLSPPRTTGGAVKRKNRGHFTPSSHPRGINARAGVGALESAASFSSVSSPPTQRLLPGLLPTRRGASAMAAVEEAEEAADLGPRLGISPATPGIGRKRARPSRTTGADGSCSTAPFTSTATAPAVGPGKAESNSFASVPSPVHEQSQSAPEELSDVDCAPVSTQESSTLPPLVGGSSGKVAQQEQQQQSVASGNDAGGAVPVPTPEKVESTPRRRWKKSTKGVLRFKVMKDKVMKPKVTPKTATPRKVKKDKKQMPEDGTQHVGAGGSNKVRRKLDLDSSQSKTCFSRVELMDNLRCLANSRGLSGELTRRMRSKRGRKRKLTISPYQGTSFGGSSSALIPLWGSAQLDIACRGNHGKKLLNKVLGLTEETLRVCDVLAKWDGSDSESFEGFDIGSGPEWDQTRHMFERAVDIFIAEIVDLLGPRKCSPWGGSLIDSVVGTFLTQNVSDHLSSHAFMNLAAKFPPRKRCHKSEDCSNNTPSVDGVDENLNPFEASDTFYSVDSDFYECIDSEEEDGHDTEIKGHYGEEYNRLIGDFISNLKEKNISTWDSDLVNLVKDKSGTPICTERTLRKFIASLRPVPSSIWKELREEAYRKGYSDRSQTGDAVDWESVLHAPIAKVAKCIEARGQHYILALRIQVFLMHVKNAQDGSFDLDWLRYVSREKAKNFLLSIHGIGVKSADCIRLLSLRHKAFPVDVNVARIVTRLGWVKLQPLNGVEFHLINSYPIMRDVQRYLWPRLCTIDKEKLYELHCLMITFGKVCVANKLYRHFSYE >Dexi3B01G0028340.1:cds pep primary_assembly:Fonio_CM05836:3B:24465726:24467622:-1 gene:Dexi3B01G0028340 transcript:Dexi3B01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQQLWPYGGGAFADTAAARLSRPPVVPPRCHLPTNASGQAPGSGKINHQEQPTQEDVAAVVPQGNLGEPPLAIELHRTESISVLRSTHEYGLTEIVALFMSCAERPNGTSSRLAACGDGTARSNESTVLLLAWRLGRRTPLLVIIPTPQCQRQRRRWWERGRRLHASGEADVAGLEEECATTSPIDLQSSGVDDQHWALRAPPAHGHGGRRRIEASAVASMEERGRGPLAEDEADLDEECAGGVASDVQPWLGDDRLIDVANMLRGLGSTTKTGCSSSQLLCTPRPSRCLASPPP >Dexi5A01G0022320.1:cds pep primary_assembly:Fonio_CM05836:5A:26370705:26371414:1 gene:Dexi5A01G0022320 transcript:Dexi5A01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALALTQTEDSTPPTPPKEAFSTEASPIGSPEKESAVTIAKLLSGEDPAAAAAKPAVDKVAPPSDTGSLPAAAAVTGVGGGGGGVGSKRWLLGGRVPEKVRRTELRRAELGFRVSAAVFCLVALSVVAADTTVGWSGDSFRRYNEYRYVLAASVVAFTYSGFQLVAEVHYLVTGRRIIRGPWRGYFNLAMDQASMIEL >Dexi6A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:6A:17252764:17253498:1 gene:Dexi6A01G0011640 transcript:Dexi6A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHGHATTGVDAYGNPVAVQGHGQAVAGVPAAGTGAPQFQPAAAVEQKPRGILHRSSSSSSSSSSEDDGMGGRRKKGIKQKIKEKLPGGKKTNQPQPGTTAAGTFAPPQGPVGTYGQQGHAGTGVAGTYVQPVQQGHAGTATNAYEHGQAGTAGTYGQPQAGTTGTYGQAGHAGVTGPVGTHGGATGEKKGIMDKIKEKLPGHH >Dexi8A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:8A:29046246:29049784:1 gene:Dexi8A01G0017330 transcript:Dexi8A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPARKPTPKSMALWNGVGQVASVAQLAGVDAYGLISMIVEAARTVKRNRETCQLLARRARMIGDLVQQLERTQLMQHMETRNPVEQLEETLRHAYILITSCRDSGYLHSFCMGRNQSDQLREVQNEITFYLQLFPLVSFVDNTRNWERLLSRACPLCSRETTDDLHAVHHAEHENRLRAEALMATKFENLGTHSPSKPAEEKTEDETKRVLLNWSKRLQVIKGIADGLVYLHGNSQMCIVHRDIKSSNILLDHEMNAKITDFGLALMLAPNTTAEVVVMGTYGYVDPEYVATGIISEKTDVYGFGIVLLEIINGKLIGSYTAKTKDHSGLSLPDYARKYQMKLHKLVDPLLRVNDHESAQIMECVKVALLCTHHHAKHRPTMSQVVAMLGSINVS >Dexi9B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:9B:8736892:8738340:1 gene:Dexi9B01G0012970 transcript:Dexi9B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKRRPAFIAPASPDQNETTPPHPPPPPEPEEEEREPALPADLLPEIAARSDVTTFVRFAACCKPLRREILRPSFIRRACRGPSAAAVVPPCVLGFLHAYDRARMGEEYQDHPPAPFFSAAHPATPGVASFFDKHLAPFAARTAGSSSLLGDYEPLTSSRDGLVVLRRRYLGDGDQSSDMCVYDPMSGDRAFLPSPPGFKIWERGASEYGVSYTYVLLTAAADGIGSSFLVLAAAFDRLGRVTDNLMVQTVASSSSGKADDGGTYTWAPVTMATHAPSQWFSLQPHSDAVVLRGYIHWLMYDFLGPVRFRILTYDVGTATAGSIELPKEKDALPFSCDRILLGSSPDGRLSLHVAKKLKISVWLRRPAAGGDGDGWSRHAVIDIARAARSLTPPGMPYYWCIKDAVDFASSGVRSGAVLVRPFNAYFTERMMEEGCEEVLAVLDMETKKMRRVNKRKNITLFPYEVDLEARLLAMKTFV >Dexi9A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:9A:1505477:1506892:1 gene:Dexi9A01G0002800 transcript:Dexi9A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPVTVGDLIHRVASSCLSNRLPCNYTIRDSVDSDLDDDEDDPFADAVSSSDKCRRSPSSAEVVEEEGDEDKKLKIWEEGGQEDRKAAAAAKGAERARDAEALMAEVFDAVSGVRRAYAALQGAHCPWDPDKMRAADAAVVAELRHLARLRDRFRRSAAAGHIPRPNPSAPPLREAVAPYEAALDDLQRQLQSKQAEVDGLKEKLAAATARRNGRHHHPSSKHQSGGAPTVELFTSIAEQARAATRAFAGHLLHLMRAAGVDVTAATRSLTKIPVSSPQLAKHAMEAHVTRSLLGGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPSELLGVLPTCAFGRYAAAKFASLLPPRVEEAILGDGEHRRAVNGGAHPRTPFYGEFLRAAKAVWMLHLLAFALEPPPSHFDAGRGAEFHPEYMESVAGAPPRAGMVVGFAVAPGFRLGNGAVVRARVYLVPRGGRP >Dexi9B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:9B:13284998:13286268:-1 gene:Dexi9B01G0018620 transcript:Dexi9B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASMVAMPRTVPAAAAFPASARAGERRNNAFRPPAACGGDDQQPPPSSMAAVPPSLRAIQAKRKEAAEHQRGAVVPRATAAAGTGTSAAGCAVAALVKAVEAVQGAAAGGAAEAARGAGDAVAWVFSKVHLQSPDLAVGLLGMVACCLGTAVQAERDRRVKKANKAEASGNAAEAADDDGSDDDGDAAEEEPDGEDMPELVEGDMEKELWGRIGILHEEGGGLYGGDAQEEELDEEEIKEIDGARARRRKAAYERVIASGGANSLILSNYAQLLYEVDKDINRAEMYFKQAVAAEPVDGEALRRYGMFLWHARGDIGGAEDMFTSAIDEEPESSHHRSSYAWFLWMTGGVETCLMDTGKNNGNDAE >Dexi5A01G0036860.1:cds pep primary_assembly:Fonio_CM05836:5A:38273384:38274008:1 gene:Dexi5A01G0036860 transcript:Dexi5A01G0036860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKALNNLAHAALLLGDPLDEHVNTLLSLGTNSRHEPPRATNACPVISLVDTPEVNFFKAPPEPVKEVPKEPKFTCPICMNELTEAASTVCGHIFCQKCIKAAILAQKKCPTCRKTLNKNQQHRVYLPTTE >Dexi2A01G0027700.1:cds pep primary_assembly:Fonio_CM05836:2A:39029016:39033623:1 gene:Dexi2A01G0027700 transcript:Dexi2A01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSVDKSPAETETTASSASERLTDEQDTPKSSPKSTQSPEISSKELEDDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATAKNSALEDRLVHLDGALKECVRQLRRAKEDQDQTVQDALSQQARQWESHKADLELRIVELTARLEAKSERSVATTDGNTGSSRLAAMEKENSSLKAQLVAKTEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRKLQAAARRPSMAVELRRSPSSACAESVTDCQSDCSDSWASGMIAELDQFKNDKSSASTRTASLATAADIGVMDDFLEMERLASANDSSKGDAVVEDASGQLAKLEEKVKKLAAEKAEREKALHDAQRELRTSRHRVMVAEEKTAELQRQLNLANGQKHAMETEMEAAEAKRSELEGKLELARAEIAGLLDKGRILEERLESEKALTLELAAKYQDMEALGAETRELSAQLEAARSEAKRFSDKITLLERKLEVEKALSIRLATKCHGIDALEAKKKGVELEMESAREEIASLHKKVSSLELEVQEEKASSAELAARCEELEVLGKHRDELRNQLDSANSEIVKLNDKIKMLEDAMEKQRPATMELESQLQSRQAEIESLKENVSLLETKLESQKNLSSAYISALGASETEKKELATRFELKEKEAEELFRKMSLLEEQIYKQSSEFAEKCLKIEEQVPSRSLGRQPVKSASVKDLQIRKEKELAKAAGKLEDCQKTIASLSSQLKSLADFDEFLPGTETGGVASADSWDEDLKLLHPASYPAQIGCLAVT >Dexi4B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:4B:7174482:7175931:-1 gene:Dexi4B01G0009850 transcript:Dexi4B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKPLHSSSQKPPPVRITNPGYFLSKTVGIWLAFGSVSLALLHLICCSPRGAQEAAFTQVLQYANDAYSKLRSSGGGQPCDYSDGRWVWAPGHARRYNATLCNVKEAQDCLRNGRPDTGYLDWRWQPAGCHLPAFDAAAFLTAVRGKHVAFVGDSMARNQGESLACLLTAGAPHRVVHLDPDYKRHFMRWAFPTHDVTVSVYWAPFLARATGKCEDYSQPYTYVHLDMPGDRWAKDVDTLDVVVLAASHWVLNPAIYHNGSEVVGAHGFPELNHTEIGYATPMREVYRMALERLSSGGGRPRTVVAATFSPTHFENHGFDDPMACSKKQPYKEGEKELGDMDKQLRSIVIEEAEAAAQRNGPSGAVRIEVLDVTKLAAMRPDGHPGPYMHRNPFANGVPEKMSTDCLHFCLPGPADTFNEILQQILMKRR >Dexi1A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:1A:24091214:24094739:-1 gene:Dexi1A01G0016800 transcript:Dexi1A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAASLLPAAASPSPSARRATVPAAASFPSSCSARAAGLRLRSSRSAAGRFSKAAAGRRGGGGALRVVRCMAASDAAELKSAREDIKDLLKTTYCHPILVRLGWHDSGTYDKNIEEWPQRGGADGSLRFEPELNHGANAGLINALKLIQPIKDRYPSITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAPEQCPPEGRLPDAGPRDPAEHLREVFYRMGLDDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDMKFLSQLPSEEQKEQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGFSLDDDMSDRPADEKKEEAAAALEPVVAAVSTATSDDNNGAAPQPEPFVAAKYSYGKRELSDSMKQKIRAEYEGFGGSPDKPMQSNYFLNIMILIAGLAFLTSLVGN >Dexi4B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:4B:2584495:2586221:-1 gene:Dexi4B01G0003720 transcript:Dexi4B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPPVAATPRYSLPPVRRPEEDILFCVDVDLEALTEMKPPAAASASGPSPGSASTGSPQQPAGAGGAARPALRRLDAVKQALLLFVHGKRKICPGHRFAFASLGETVSMVKKDFSSDPGSAMEAVHSLAASGSRYETADLTPLFKIAYQEGKRAESQGRLLRVILIYCRSSTKPHHQWPVKPKNFTLDIFYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETSQGLARVIFREMCILLSHPMQRCIQDDLDIPKQVAKKTLATEAAQNEDGTPVSSQQ >Dexi1B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:1B:8427387:8428292:-1 gene:Dexi1B01G0009400 transcript:Dexi1B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCNSPSHMVPLCDDESFNERSGDHSESASSSGVSSRSLCSSASNLSDDATSSPPLHPSETSSASSSMLQLDAEDPSYELSSLLAQLPIRNGLSKYYQGKSQSFTSISDATCVQDLAKKISYSKRMKTCKSYSAGLDMNRRSNNLPRASNKVIAKQPSNGSVGRVMSRAAHQERCTNVYWSVTK >Dexi9A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:9A:6576668:6577084:1 gene:Dexi9A01G0010760 transcript:Dexi9A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSASTAHLLSAISPLPLPSLTHNQNRSDGLCVPPQRSAASYPAAFGPSLFPAGRGDPTLVGRAGLPHRLLSEEETERLRRTLGSALVAAFCGAIAAGPCQAFAKLKRVEKQATKALKPLSSASAENKAAALASRL >Dexi5B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:5B:1381633:1383684:1 gene:Dexi5B01G0002130 transcript:Dexi5B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRLVRCSEEMVHEQSNGSHHHHHQQLLPRYGSGAATGVARASKKNKPKKIPQRGLGVAQLEKLRIEEQKKMEGSAAAVSSGVAHSHALAGAGGGGGSLGHLLSMHPPPPISLSALPRPVPDGGGGGFSPVLSWDPADAMRHHQYKRSLPLPTVTTGLSLTVSSSHPTEPPSNQMYCSSITRNSGPPAEDDRDAASVDRSWPFMFEGMNMAAFRRTTGKAPFAARPTRDAAGLPDVCPDLSFRAVNYFSTNANYPDWPSEFAPCKSSKENGCTGEPAYLTLNAQPVPHIKQPPHMMPSIHLPEYSDFGVMQSQASFQGSVSSSSSRPFYSFMPVGPVRCERSLGDIKFDVSDGVDLELRL >Dexi2B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:2B:27619034:27619606:-1 gene:Dexi2B01G0017170 transcript:Dexi2B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVDHDDSSTSSEASAGDQEDSVDDLLPLFPGGGGHYRPATDVTAACALRWLPFAAAVSATRALLGASHEDLRLRAHQLSRALSGAFFFDRDDAAPPCCPVGGGGARFPEDELYVCVDLPPLCQALMAVQRALMQVVVKEASHDPCGWYYDTLGEVMRLLVGDDGGGRGPAEFDRVKFESAFALEWTE >Dexi6A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:6A:2822954:2824267:-1 gene:Dexi6A01G0003100 transcript:Dexi6A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRRLVREIAQVHKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRMTIMPKDSDLCFQSHAVFALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRRERQ >Dexi4B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:4B:8313530:8314607:1 gene:Dexi4B01G0010960 transcript:Dexi4B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGVKKGPWTAEEDQKLVGFLLTHGHCCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEVQLIIDLHAQLGNRWSKIAAQLPGRTDNEIKNHWNTHIRKKLLRMGIDPVTHLPLHNEPPAPAPPQDQPDQPPPQQQQEHQAQNDGGEHMQEDAGEVHLPPVIQPHDITTTAAASNCGSVSSVSSASVLSPSCSSSASASAPAASGVEAAEWPEPMYLFGMDGIMDAAWDGLFPGAGGGMGVDPFDGYPAGGFDQDDDWM >Dexi4B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:4B:19153011:19154381:-1 gene:Dexi4B01G0017020 transcript:Dexi4B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQRHGIATEYSTVHSDGRTSASSFTSSGRPFRISFALSPPPANSTIEYDWAGFPAGQDESSTQPDIIAAHGSCVLISVMGPHARNSGLNAVGYFLYEPAGGDRRLPLLRRLPRCYFARLYERYRARERRGEDAQENSYYYHFEEDEDDSWPPCGQTSTTTNTRFLMKEEAGVLRRGEDDVVVAQLDVPPRRNRRAHGAAELCVLRVGRGEWELKRVPIVFFSGDDTGKEREGMKDWWETDVAVPVGDRFLCFVDYFRGFLLYDATVAADVDLTLRYVPLPVEVPDGNPDTDDYGRPKMEHSRNLADAGDMGGQSTVRFVSIEPRCCCGQPGRSTCDRSRSAFLVTTWTLTLPSTGEEPQQPAAVRWVKNSVLDCDELWAQPRYGSLPRVGLQYPVIVSSDNPDVVCFIVYDFNSSNMDHWMLEVDTVSKVLRSVVLYTSPDQPYWRVPVKFPCC >Dexi5B01G0027210.1:cds pep primary_assembly:Fonio_CM05836:5B:28783621:28784001:1 gene:Dexi5B01G0027210 transcript:Dexi5B01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMPSRSCRSSESSSQEKDHPRPSGRRRATTGSWLETTMSRSAASDEGTSEATLLVASRSDSSSPSSSDNVPGTVITLDIRFSPNDPCLRRQPPPPGPSAAKLVYDRDIMAETPSR >Dexi1B01G0029040.1:cds pep primary_assembly:Fonio_CM05836:1B:33446079:33449618:1 gene:Dexi1B01G0029040 transcript:Dexi1B01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGQWVLMATGRTPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRRRAREFEDEKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGLACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKKDDGSDSIAVQLADETHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRVLKMEEAIKNQDFESFAKLTCADSNQFHAVCLDTTPPIFYMNDTSHRIISLVEKWNHSEGTPQVFSIVAYTFDAGPNAVLIARNRKTAALLLQKLLYCFPPQDRDLSSYLVGDKSILGDAGLHSIEDVDALPAPPEMKIPDQKFKGDVSYFICSRLGAGPKVVADENQALIDSVTGLPKGV >Dexi8A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:8A:4805823:4807747:-1 gene:Dexi8A01G0005300 transcript:Dexi8A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTCATSLGTFRFFHHRMGNEARRRALLLKTTDFDTIESMLQDPDPYAAPLCLPIDFLKAITLDFSREQELGRGGHGVVYKGVLQNGKAIAVKKLSEMHLEDAQFHNEVTYLIGLKHQNIVQLVGYCAESRWEATQLNLVDLNGTGATKLYGTLMLYCRGYMAPEYLRNGLISNKSDIFSFGVIVIELITGSRDYPQSGAFGQYMENVAANWRNRLEKVHRYMPLEIYSQQVNTCIMIGLKCVDPDPEKRPAALDIIQMLDKIESTDRLTSVHVLSLPVGNICTATSSNQQKSFGPIIDKVSTSKTANTSSAFTFSGKGTKAPILAFQIANTVVKGSCLMNTVSKQNIQHLKEGVLRSKGVRLLISEDYSQLLHLVQADIR >Dexi2B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:2B:28972792:28973593:1 gene:Dexi2B01G0018750 transcript:Dexi2B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGSDEAELATMDGECSPPAAATTTTRSVPSRSHSEAERKRRQRINAHLATLRTLLPAASRMDKAALLGEVVRHVRVLRGEADAAAAGAAVAVPGEGDEVGVEEGQPQHCSCHGAGESSRAAAGGATRRVRAWVCCADRPGLMSELGRAVRSVGGARAVRAEIATVGGRTRSVLELDVGGEGTSSSPKPALQAALRAVLLSREDLLAAECYKRQRFSANLARV >Dexi3A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:3A:9845650:9846052:-1 gene:Dexi3A01G0013530 transcript:Dexi3A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRFVASLPLLSASSAAAARAQSAPSTAAPRRVRTLLSVSTGGEQQVITAQEQTQGELLLAQHQL >Dexi5B01G0025110.1:cds pep primary_assembly:Fonio_CM05836:5B:27228362:27228616:-1 gene:Dexi5B01G0025110 transcript:Dexi5B01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAATVCSFSRVRSHTYLAYPSAGGQPATPCSSPCVCSCPALAHTRPLPAPLRAFVAAQRWPARGCLLLRQALISSSVPVAAP >Dexi3B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:3B:3866822:3872651:-1 gene:Dexi3B01G0005670 transcript:Dexi3B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSSSSSTFLLLVVVAAAYFAGSFCRAAPAGAQVTSLPGFAGGKLPSKHYAGYVTVDESHGRRLFYYLVESERDPAKDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNVSDYETGDLKTAADSHTFLLKWFQLYPEFLKNPFYIAGESYAGVYVPTLSHEVVKGIHEGVKPAINFKGYMVGNGVCDTAFDGNALVPFAHGMALISEDIYKEANTACQGNYWNGSSADCEEALSKVDTAVEELNIYDILEPCYHGTNVNKSRVPQSFKDLGATSKPLPVRTRMTGRAWPLRAPVRDGRVPSWQELAVSAPSGVPCMSDVVATAWLNNDNVRSAIHAEPVSSIGPWLLCTDQLDFRHDAGSMIVYHKNLTSQGYPALIYSGDHDMCVPHTGTEAWTSSLGYGVIDSWRPWFVNEQVSGYTQGYEKGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL >Dexi7B01G0022340.1:cds pep primary_assembly:Fonio_CM05836:7B:27285917:27289649:-1 gene:Dexi7B01G0022340 transcript:Dexi7B01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVVPAMQCQVGAKATVRVRPAAAGAGGRVWGVRRTGRGTSGFKVMALSTASTGVVPRLEQLLNMDTKSVTDKVIAEYIWVGGSGIDIRSKSRTLSKPVEDPSELPKWNYDGSSTGQAPGQDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRHRAAQIFSDPKVVEQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYLLERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDTFSWGVANRGCSIRVGRETEAKGKGYLEDRRPASNMDPYIVTGLLAETTILWEPTLEAEALAAKKLALNV >Dexi4B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:4B:3290047:3291818:1 gene:Dexi4B01G0004620 transcript:Dexi4B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLNAYNVIAVRCAAEYLGMTEDVEKSNLIFKMEVFLNSGIFRSWKDSIIALQTTDALLPWSEELKLVGRCIDSIAAKATVNPSNVMWSYTYNRKSASSDEIVEARKSSQAVPKDWWVEDLRVMVAVKSRGRSPSDVIGEALKAYASRWLPECCDTLVDDVYSESYKHLLETIVWLLPSDKGSSGISCRFFLKLLKVTVLIGAGELLKEELMDRIVLQLHKASVHDLLIPSKPPAQTIYDIQLVQTLIGRYMRHAGVAEDGIFLNNLDQEMFETNVENESLVALCKLVDRYLAEVASDPNLSVSSFVDLATSMPESARTTHDGLYTAIDVFLKLHPGLPKAEKRKISSLMDVKKLSKGACIHAAQNDRLPLRVVVQVLFFEQLRAASAAAGPNGSVARCMARLEEEDDEDDWRGEGRAVAATEATTPGGALKKQLGSLKLVAPPDYQAGAGDDGRRLVARSSSVANQSSRLSLSSRSRRIFDKLWVGGGGGGKIAGEATGKGSSDTSGSSQSPRSSAKPLESKSSSSSSRNRRYSVS >Dexi7B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:7B:3823582:3824687:1 gene:Dexi7B01G0002230 transcript:Dexi7B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPKALVLAILCCTCFFGAVLAARELSDDSAMAVRHEQWMAQYSRIYKDEAEKARRFEVFKANVKFIESFNAGGNRKFWLGVNQFADLTNDEFRATKTNKGFNPNAAKVVTGFRYNNFSIDTLPATVDWRTKGAVTPIKDQGQCAVAAMEGIVKISTGKLISLSEQELVDCDVHGEDQGCNGGEMDDAFKFIIKNGGLTTESNYPYNAQDGQCKAGSNSAATIKGYEDVPANDEASLMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTDSDGTKYWLMKNSWGPTWGENGFLRMEKDISDKRGMCGLAMQPSYPTE >Dexi2B01G0030270.1:cds pep primary_assembly:Fonio_CM05836:2B:38546693:38548304:-1 gene:Dexi2B01G0030270 transcript:Dexi2B01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLPETVEAKKRRNVKYVVTCAVLACTTSIILGYDVAVMSGASLYIKKEMKITDVQLEMLMGIISLCSPLGSFAAGRTCDWIGRRYTVVLTGGIFFAAALLMGFSVNYPMLMVGQCVAGIGGGYALTIAPVYTAEISPASARGLLTSFLGLSINFGAVLGYVSNYVFAHLPLHLGWRIMLGISAAPSILTALLVFGMPESPRWLVMKGRLADAKAVLDKISDTSDEVAERLADIKAAAGIPANLDGDVVPVPGRDRGEEKRVWKELVFSPTPAMRRILLSAIVLNFLHQASGIDSVVLYTPRVFMSAGITDSNRLLGMTCAVGVVKLLSIFVATFLLDRVGRSPLLLSSTGGMIVSLVGLGVGLTVVGHHPGTKITWAVALCVVSNLAFVSFFSIGLGPIAFVYTSEIFPLRVRALGCAISMATNRFTGGVVTMTFLSLSKAITIGGSFFLYAGIAAIAWVFFYTYLPETRGRTLEEIGKLFGMAGDTGPEAEGEAAKVEVEMPTK >Dexi3A01G0027150.1:cds pep primary_assembly:Fonio_CM05836:3A:23923202:23923549:1 gene:Dexi3A01G0027150 transcript:Dexi3A01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSKDGDAGNLPCSACRKLDAGPPRRQPLPSRPQVLHMVDRASPLQLSSLSSPLVPASPTPTPAACLPRPPSLVVLSTDVDGLLPAAAEPASRSPPVNLITGDSPLHPPYSQT >Dexi9A01G0045330.1:cds pep primary_assembly:Fonio_CM05836:9A:48905529:48910872:-1 gene:Dexi9A01G0045330 transcript:Dexi9A01G0045330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCREFTAALRRAARPAPGRRGTSALCLAPFHDGAPRQQGRRQRRGVKAVAAISEDLPRLAAPGKKKGAPPEGGERPEKVLMRAALTVRRKQKEDLKEALAGHLDALWDMVGQNVALELISTKIHPGTKKPMQSGQASIKDWCQKRGIKGEHVVYTAEFMVDSDFGEPGAITVANRHHREFFLESIVVEGGLPCGPVHFACNSWVQSTMELQTKRVFFSNKPYLPSETPPGLRELRDKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHRIYVPRDEAFEELKQGAFSAGRLRAVLHTLIPSMIATISADTHSFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSRDKFAWLRDDEFARQTVAGINPVNIARLTVFPPVSKLDPAIYGSPESSITEADIACQLNGLTVQQAMDEAKLFILDYHDVYLPFLDRINVIEGRKAYATRTILFLTQAGTLKPIAIELSLPPSQPGEPRPSKVLTPPCDATTNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPIYKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSGEISAAYYRNHWRFDLEGLPSDLVRRHAICPFHHHTPFPDYAKSQSSAVSWSLTSSHFGFILLRGVAVEDASQPHGIRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQSDEELQGWYHETVHVGHADIRHASWWPALSTPGDLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPGRDAGEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTADAGAVAAHAMFAADVRRAEETIERRNADQGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >Dexi9B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:9B:10203313:10209966:1 gene:Dexi9B01G0015110 transcript:Dexi9B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIRRDGGPGGGDFGMQMEAFMGLMGSAAMGSRTAGISTCDDAPPPEQSGGFLCSEMADQLKRNIASADCDGRSFMGEIDIPTSPKMAFILIFEKIVSYLSVRPVEFVDRITEDSDDKDEHLGPLHDAAREGKLDTCKHLVENLGFDVDVPANDSSGKTPLACSVSCDKVVAVRYLLHKGADLNKQDVMGFAPLHYAAKRGYDGIARLLLSKGANVDMISSERTPLHVAAAHGRFRVMQVLLEHHADPDRVSPDLCTPMAEVLCAALEKVAESTCLKCIKLLIKAGADLNSTNPDTPLVIATSKGLSSCVDYLLEVGADANIPTKDESLLMQNQDTQKTSRLTINLTHGQESDEGSNIQKNRHDESAARKYADASRACAEDKLSEEDRKAQLKLHGGQAVARRDYASASKFYTEAIMLDPADATLYSNRSFCHLKMGEKRDALVDANACISLRPDWPKGYYRRGAAHMSLKEYKEARDAFMDGLKLDPSNLDIQNAYWEADEAMIKKHSSGQSA >Dexi1A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:1A:26945661:26951733:-1 gene:Dexi1A01G0019990 transcript:Dexi1A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAISRRRKYLLDHVNTTILSASSSTFQHGRIGLETEPRIAQRFLGQSSEDSKSEKGQYNVNLTKRNLEGPASGFLQRPAHGHAISLSYRGIGKNDFGLPLAARSMLQSLRMSSTATAGQPKLDIDNEQSEDQKQNTKIKEPSPEECDQAVEGLSTAKAKAKAKQAPETLKASQSVMQKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQASRSGDIKQTAEDLDEFLNKVRRGERVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLRDIKNDDKMIQAEGIESLSEEELRQACRERGLLGLLSTEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEERKKEKEEKAKQDKEEKAKPKEQDGVSEDLALKEMTEATAKEEEELQKAKQHDKEKLCNISRALAVLASASSVSKERQEFLGLVNKEIELYNTMLEKEGTEGEEEAKRAYIAAREESDHHAEAAAGEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVKLSSQTENNEEEEEAQQ >Dexi2B01G0025260.1:cds pep primary_assembly:Fonio_CM05836:2B:34534073:34535548:1 gene:Dexi2B01G0025260 transcript:Dexi2B01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSGERAAVVAALVVVVLAMAATIVPGADAQPSPGYYPSSRFRPIPFNRGYTNKWGPQHQTLSGDHSSLTIWLDRTCGSGFKSKHAYRNGYFSTRIKLPSGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTVPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAGYHTYAILWNPDAITFFVDDVPVRRYERRAELTFPDRPMWAYGSIWDASDWATDDGRHRADYRYQPFVAHLDRFVIAGCSAAAPPSCRPVRASPAGAGLTSQQMAAMRWAQQGHMVYYYCNDFRRDHSLTPEC >Dexi7B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:7B:13269770:13273303:1 gene:Dexi7B01G0005760 transcript:Dexi7B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFLVLTVLYLQTLGLLRSYTHVRNYSSQLSALIPATSQGSKLTRRRYYMPNASPYQVWSRSFSSDDGDKVEAVVPFMGESVTDGTLANFLKKPGDRVEADEVIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAVISKSAQPAETHVAPSEEATPKESSPPKVEEKPKVEEKAPKVEPPKTQAPKPTPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDEFVAKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINSLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGEILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >DexiUA01G0014630.1:cds pep primary_assembly:Fonio_CM05836:UA:30659298:30661268:-1 gene:DexiUA01G0014630 transcript:DexiUA01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEGIPEGGAGEGSTNPEANPQLELEGKPRSIT >Dexi2A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:2A:8951854:8952501:1 gene:Dexi2A01G0008880 transcript:Dexi2A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLDDMLYKLGSMWEDSKPCLACIGIVTALLLFAVLLAGFGFVRHVSISVTDASLTHFTLSTSPVTSLFYNLSLTLVIHNPNWAMGLKNTKPLEASYKFDGQVFDRYELAGKGGKHPPGKTRVYHIDGGGGAARPVVLGNAGEVEYRRENRTGVFEVEVDVTGEVRYTLRYTRCKIEASCPLKLQLGGGDGGGGGAVVFQRVKCKLAKAEKNC >Dexi9A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:9A:11285986:11288109:-1 gene:Dexi9A01G0016370 transcript:Dexi9A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDMRLSVAHQTGFGLRLAVALSSPSSRFSSVNTVFSPLSIHVALSLLAAGAGGATRGQLLAALGGGDGPDAADSLHALAEQVAQLVLADGSEVGGPRVAFADAIFVDASLKLKPAFEHVAVGKYKAETWSVDFQKKAAEVAGQVNSWVEKVTLGLIKELLSRDSLDETTRLVLANALYFKGAWTEKFDESETRDSEFHLLDGSSVQAPFMSSRDDQYVRCYSDFKVLKLPYQKGGDKRQFSMYILLPEARDGLWSLAEKLSSEPEFLEKHIPMRDVPVRQFKVPKFKISFGFEASDLVKGLGVQLPFSPQAELTEMVDMPAGQNLSVSSIFHKAFVEVNEEGTEAAAATAMRIVLCSYTPPVDFVADHPFLFLIREDTTGVVLFLGHVVNPLLAA >Dexi3A01G0029930.1:cds pep primary_assembly:Fonio_CM05836:3A:32652733:32653522:-1 gene:Dexi3A01G0029930 transcript:Dexi3A01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNIVVGAKEDRHLLTGLHTVADVYCSDCREVLGWKYERAYEETQKYKEGKFIFEKSKIIKENW >Dexi1B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:1B:7926779:7928362:1 gene:Dexi1B01G0008980 transcript:Dexi1B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGATTTTTGDEPHQQKLSPSGLPIHKIPGSYGVPFFSPLRDRLDYFYLQGADEFFRSRVARHGGATVLRLNMPPGPLISGDPRVVALLDARSFRALLDDSLVDKSGTLDGTYVPSLGLFSGHRPLAFLDSGDPRHAKLKRVALRLAAARMRHVAPAFSTAFSAMFADVEAAMVDTAGAGVEFNKYNMRHMLDFTCVALFGGAPPSKVIGDGDDGGAAAKKALKWLVFQVHPIASKIGIKPWPLEDLLLHTFRLPAFLVRRDYADLTAYFAGVAAGVLDEEAENSDDNSAIITRDELLHNLIFMAIFNAYGGFKIFLPSLVMYLARAGPELHAKLAAEVRATVISGEVAITTIAAVDKMPLVKSVVWEALRMSPPVAFQYGRARRDTVIESHDAAYEVKKGEMLFGYQPMATRDERVFDRAGEFVADRFVVVSGADGDGERRRRRMMEHVVWSNGAENGEAAEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFTATVEENPLEPVVTFTSLTKAAAVAGHGGGEEA >Dexi9B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:9B:7612417:7616582:1 gene:Dexi9B01G0011680 transcript:Dexi9B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALVYGTRDSGTPGPSDLGRRRGQDRDWKRNPNPQTLDEGDPTGPEMAASSVEKLKALWDSQVNDEEQWALNYVLLWFESVAPVAIVLVLRDLNSCDDDLPLQRIDSTARLPLYHVCAELWALPLVHKEAKRERTGDADLARLTDRSETPGTVRPPRALPPFSSDHTCRRKQLQIGNSTETESSLPLGTLPRPSKLSLLREERQEEKPREHHGFLL >Dexi9A01G0047880.1:cds pep primary_assembly:Fonio_CM05836:9A:50836581:50837616:1 gene:Dexi9A01G0047880 transcript:Dexi9A01G0047880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSAEVARVLMCLFEKDVEFQLIRVDAYRGPKRMPQYLKLQPHGEALTFEDDNTTLSESRGILRHISHKYAKQGNPDLIGTGALERASIEQWLQTEAQSFDAPSAEMVYSLALLPPNLPKQHNDNNGNGNSFNGSNGNSFNGRDVAVGNNNTNASFTTKRVAAGSHQQQHQKEEEMVKLFEQRKKDLEKLLDIYEQRLEEARYLAGDNFTIADLSHLPNADRLASDPRSRRLFESRKNVSRWWNDVSGRDTWQYVKSLQRPPSNENSQQQQQHLQSTTEDHNKNHQQQVQQRY >Dexi5B01G0022240.1:cds pep primary_assembly:Fonio_CM05836:5B:24466020:24471342:1 gene:Dexi5B01G0022240 transcript:Dexi5B01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVMEQLTCKLCARILRSKNYCGICLKSSQRRNGGRWVCCHGCESWVHAECDENCTSLKALRDDSYCCPYCRVKRNSTLPGKNANFSYAKKDSSAQKGSKPVKVALVCFDMEGTYLPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKLEGSLTPFGKWIDKYQPGVCPTNPSKRSQKMKKQKLIDLLNDPYDPINVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVSSKQDFTSWVCRACEEPEQKRECCLCPVKGGALKPTNIDNLWVHVTCAWFQPQVAFASDELMEPAIGILNIQPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMELHCLEKNGKQTTKKISYCANHRNPNPDNVLIIQTPAGTISSKKLVQSNGKVAASRLIRKDLPMDSPSEVEISENLSASRCRVYFRKDLKRSTEGAIAHRVRGPCQHRWDEIDKLNPPREERDPESFSTFRERLHYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKKVCAGDELTYDYLFDPDEADEHKVPCLCQTANCRKYMN >Dexi3B01G0035890.1:cds pep primary_assembly:Fonio_CM05836:3B:38856916:38862511:1 gene:Dexi3B01G0035890 transcript:Dexi3B01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPRLVHGAREAFDEMRRHATPRGARPCGHAYRAARAIPLPPSPPRLVLSSTAPARRSTKCDATPRRAARAHVAMRLSPWLVASLLPHPAARPRARETSLCQREAETSPHLPNAPSRLAFFDPAAASPLLRLPGLPSMSRLGCFLSPPPLPFLLCPLPTSPGIWPRTYLSPARWLSLNNRVSRGHYDGDWHEGHSSDYNRDTYGDSDNEDIDRAIALSLADEDQNKGKAPIDTHYDLEEDEQLARALQESLNAESPPRQNVPVESVPSRRNVPIEDVPPQPYVPAKEPAPHVYPASGFRTCAGCHNPIGHGRFLSCMGSVWHPQCFRCFACNKPISEYEFAMHDDQPYHRSCYKEFFHPKCDVCDNFIPTNRDGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPKAIKGTTYPKPEVYVFLKSKLSERLQTGSILAHEMMHAYLRLKGFRNLSIEVEEGICQVLSHLWLESEIIAGASSNVASSSAASSSSSSSAPTSSKKGAKTEFEKKLGAFIKNQIETDSSEAYGDGFRAGYPAVERYGLRRTLDHIKLTGSFPY >Dexi9A01G0023020.1:cds pep primary_assembly:Fonio_CM05836:9A:18166594:18167693:-1 gene:Dexi9A01G0023020 transcript:Dexi9A01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLGPAGGWTMCDTAKCGRGHCSEVQGPITTYKCTCDPGWSQPSLLNLTFDFAPCIIPHCTFDSSCYNVSLLPKAIPLPPDIDPCVAVNCGPGECKRGEGLSYSCECQDGYVNFLNSTSFPCVKNCVFGMDCSKLGIGPPSPPPPPSTAPLPPPGNHDSPAPPNGPKGNAPALLRRPPPKNRSINSSSSSRQLLLLLLLSVAMVQQLV >Dexi5B01G0023140.1:cds pep primary_assembly:Fonio_CM05836:5B:25357797:25368382:1 gene:Dexi5B01G0023140 transcript:Dexi5B01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSNLNSVPVEGQFDISPVTAFENPLVSSERRVYHDEDASRVERKRKHNEEAKIAKEVEAHERRIRKELEKQDMLNRKKEEQKRKEMERLDRERRKEEERLLRERQREEERFQREQRREHERMEKYLQKQSRRAEKQRQKEELRKEKEAARAKAANERATARRIAREYMELVEDERLELMELAAQSKGLPSMLYLDSDTLQQLDSFKGMLSQFPPQTVRLKVPFSIKPWIGSEDNVGKLLMVWKFLITFTDVLGLSPVTLDEFLQSLHDYDSRLLGELHIALLKSIIKDIEDVARTPSIALGANPGGGHPHIVEGAYAWGFNIRSWQRHLNLLTWPEILRQFALSAGFGPQLKKRNVEDSYYRNDNEGHDGENVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSESVLSAAREKIRAFQNVLSDSEAEKEADEAERDEDSECDDADDDPDGDDVNTEVENDKDPPAITAKDEIPTTTAVGGIKTEADSVGNVLNSSSSFTKSAEGVPLPSLGKSNATDTSNGSALGASSANHGLTPDNSENTQIDESNQVEPWVCALAEGDYCDLSVEERLNALVALVGVATEGNSIRGVLEERLELANALKKQMWAEAQLDKRRSKEEFASRLQYNSYMGLKADIYQENNATESTSTPARNAYKENDGHVGTINNCEILDQHSQDNAGSTSYERNGGFDSLVAALDTRGSREAQLHSMLQMIEPTFKEAIKRSATIELSAGRYPKNGATDMIRANCHSGNSIITPFSVASDNVTACSDSFKIELGLNDVEKTAISRRADAFLKWMWKECYNQELTCAMKYGKKRCSELLHSCNYCYQIYLAEERHCSCCHKTFKSIYNFSEHTTQCEEKRRTDPNWKMQIVDFSVPVGIILLKLFLVSIELLTVLEGAIRRDRLSSDFETTIECLNSQDIALQNSSSPAGSASVLPWVPDTTAAVMLRMLDLDSAVSYTQNQKMERDVGGFIKFPSRYTVAKSKQETAPLEPTGSDMYDGRWLPGSGRRGRGRGSRGGSRGGRGRSRGGRIPRGVSSSSRVEFKDDSVASDIVPRKNTRRGRARGRGRGRGRRTIRPRQPSDRARSIPKANLLGSFSILSSSKPPAIEQSPQSSGADEWGLETRMPFTEGDENSSGSQSEDNGQPMDEDYEEQVPDYSVGYSSGSRPHGMMSMMEHESDEEDEDAEGDGEGDENAEDDAGHTVDDAGVEMDEDDEIGDDGDGEDGGDGGEMNVDEDEDATSYSSDYSE >Dexi2B01G0022400.1:cds pep primary_assembly:Fonio_CM05836:2B:32001519:32002211:-1 gene:Dexi2B01G0022400 transcript:Dexi2B01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMSADSSAGSPCSWASASTSPEHQTVWTSPPKKPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDAAEDAARAHDAAMLAIAGAGACLNFADSAWLLAMPASYASLAEVRHAVAEAVEVFQRREALPEEEDARSATSSTSAANDEYGSSTDSEETSPAAEDSPFELDAFGDMSSDLYYASLAQAMLMEPPSMVSSFCDEGVADVPLWCY >Dexi6B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:6B:12710:22963:1 gene:Dexi6B01G0000020 transcript:Dexi6B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTEIIPSSGQGSETAPLDSNAETEIVNPASDGDDSNESSSQRNRTKVSTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDNEPAEVEPQAATGEALGPSVFIQAKTNATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEVQQDQDVHVPPHSQLARNEERPYENVGQPTTMPRPEPSSANRVTEQINVNTGCFNNVVPLVAVDSNGVVLYDNTPPTPEGNVVGETFHVQPSSFDQLHRTNDEEDEILICLGSTSKNQHPERKRFQAANVNDARINLSRPFSMWLHLSKFKHPSDTTSTNFLENVCHILAAQVLLQLSYSSSHANHAAIRSCAAGQGADSPAAGRLEWPPLSSCRLIYAQCELPELRRAARRPFGHSHLHPRQYASWASAPSDDDDIAAP >Dexi9B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:9B:1229834:1232741:1 gene:Dexi9B01G0002180 transcript:Dexi9B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPANGKRSPPPSPPPGRCHFWLPKKRRHCANSSLASSQYCGNHLPESASGAGRRVPCPVDPSHTVLEENLEAHVGKCPLKKRVAALAAQPYYSKGINSGGAEAGSFITSAEKRAAVYRLTEDEFRGLLGKIRSVHAAAAVAMRESFMITDACDKWMGGQIDRKVPYQEKHVAQQASIVGNMEAFGLLRKGGAEVVCGENVAVSTQAVVEFGAGRGYLTQVLVDCYGIKSVFLVERRSYKLKADRSLRQNEDVTLERLRIDNKSFLSELGITEEEFHAMTWFSSWAVDGDHSSPDSYVDVEDTSSEVREPEKPDPDMAGIERIIRSIPTGERASLGFMCKDIIDTGRLLWLRHKGLVADLVSYVPSNISPENRLLIAKCTSQ >Dexi7B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:7B:22352353:22356240:1 gene:Dexi7B01G0016390 transcript:Dexi7B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPTPTGIPREAWEGCSVLLDINDGDRLAFFRLTPAATVKIGNRTCSLKPLVGRPFGTLFRVGPDGLVPCTTGDAPSRDDSMQDGADGQVQDETRDNRSLVDNNTAQNLSSDDIETMKRDGATGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPSRIGFMRVDTLSLLLSMANIGAYSDVLVVDMVGGLIAGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLSSDTVSRIVQIPLSDLCSMGSSENTPSVLNGAAEREVVEPEDAQASLAQAVDMAVSDEKAQLSTEQPTDMEVSEPSLEVQDGNSSLDCRGGDGSIASKSKPGKRPSPEKMKYWKEHGFSSVIVAAPDHEIESLVADLLPLLSYSAPFAIYHQYLEVNIPRHRY >Dexi3B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:3B:12375653:12379354:-1 gene:Dexi3B01G0016880 transcript:Dexi3B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEVEAVETAKEWTRLYASGA >Dexi8B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:8B:2554523:2556603:-1 gene:Dexi8B01G0003410 transcript:Dexi8B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPDPLLFRSLATATASPAAPPPPPPPLPASKPPRHAAPFIAVLLRRGTEAAARVLNLRLRAAPASEAFSLLSALPTVRDTVSYTTVMRGLCAARRAGEAVSLLREMQACGVRPNVITYGTLIHGLCVAAEVDAAVELLHEMCESGIEPTVVVYTSLLRGYCKCGRWEDVGKVKDMMVKRGVQPNVVTYNVLIDALCNEGLMKEAMGLREEMIQGDIAPKPNLITFTSVIHGLCKIGRMFKAAKVLEMMAERGCMCDMVTYNCLIGGFLRVGKVEMAMKLMDELASSGLEPDSFTYSILINGFRDLEKAKMVFKQMKASRIVPDAGVFVSLIKGYSAEGQINKILYDDT >Dexi3A01G0025340.1:cds pep primary_assembly:Fonio_CM05836:3A:21013288:21016970:1 gene:Dexi3A01G0025340 transcript:Dexi3A01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRALAGGADPSPVAAPPHPSAPSSTPVDVSSFTHTPCYCEENIYMLCKELIRIGVADPMGTDLYVVFISNEERKVPLWHQKASNSDDGFICWDYHVICIQVKINFTGVMRNKGEVLDLVWDLDSDLPFPCPFSQLFRVVHTPLFLQSFASDRSHMKDPAGNWIQLPPKYDPIVAADGTTNNLKDYIAMSKEDVVNLESRVNDVYSNKHGVVVNETILPRFFSRLPGSHH >Dexi5A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:5A:10932040:10935992:1 gene:Dexi5A01G0014100 transcript:Dexi5A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNVETMAWSSMATTGQRPGTRDSHGAALVGHRMIVFGGTNGGKKVNDLHVLDLRTGEWSRPQCKGTPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLSDVHVLDVPTMTWSKPELKGDYAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDVDTMVWSRFPVKGASPGVRAGHAAISVGSKVYIIGGVGDKQYYSDVWVLDVANRSWSQLEVCGQHPQGRFSHTAVVMNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRSETTMQQKDASVSNGEMVQKPRESEIEQRNPFLRGLENGHAKRRKTNEARQNEPESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPNTSVSALQPFVRLNSNGMLRAPGPGGANATLRAPGPGGVSSRPLKTDQLLRTIAPQHRQEVQFLSSDHKPQPRPPGPPLIGAEVHGMIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPAVHHQILTSSAVPPQQRPLLAHAIPVPQATGFVLPHCAHHARQGFPGKTVKSEPERGSSDLHDVVLTLGGPGAGK >Dexi8A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:8A:5394316:5396692:1 gene:Dexi8A01G0005660 transcript:Dexi8A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWTLVAGLLVLLLLQPMAAASSMVFKLDGNVYPAGHFYVTMNIAEPSKPYFLSVDTGSDLTWLEYAASNGACERCNKGPHPHYQPGPPSYKVVPCTDPLCDTLRQDLGTTKQCTELFQCDYTLTYADGSSIGVLMTDKFSLPIAKSPTDHPDLAIGCGHDQGENAGKVVTVDGILGLGPSSVSLVSQLKNHNIITNNVIGHCFSTKGGGYLFFGKENVPSSDVTWVPMAPRTPGKPYPYSPGHATLQLDTKSIGAEPMEVVLDSGSTYTYLSEILHSQLVSALKASLSKSSIQEVHDPALPLCWKGNGELESLDDLKKEFKSLISLNFDHGVTMTIPPENYLIATEQGSTCLGILGTADTDMYLIGAIGMQDQLVIYDNETGRLGWTNSPCDRMPKATNI >Dexi3A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:3A:12879928:12885940:-1 gene:Dexi3A01G0016980 transcript:Dexi3A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDAICQKYDKYDVDKLNGANVAGDDPFARLYASVDADINQCVEKAETAKQEKNRAAVVALNAEIRRTKAKLLEEDLPKLQRLAVKKDEGLDVIGEGLETLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQCAKKVRRNPAVASSQLPFYLSVLGATTAVELRQVVSTIVSLVHPALASGPLQTSGLRGASPAKPISLPAANPSTTPQSHRIKPRPKGRALPQLANTLARNRIPSRRGSLFPPPLGSARGCPLPEAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKVLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENRTGNGDGSKPEADQ >Dexi5B01G0036220.1:cds pep primary_assembly:Fonio_CM05836:5B:36027895:36030100:-1 gene:Dexi5B01G0036220 transcript:Dexi5B01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAEANGESKTEILVRDDSPKLLAALKEMKEGLDLVRSKVQALTQKVKENQLPTANGIGYLEAKNHLLLGYCQDIVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKAEYQIQKLTNAADGATAREKAGNAEAKGKGEHSDEEDLLKYRPNPDMMDTKSAPDEQDNDGIYRPPKFMPTAMDDEDKRRKQDSRRDKAIARMAKENPYIKQMIDDAADRPEEWKETVGDESKQFMKYMRQREEQEKQEEELFTRAPVTKRDKQIEKRIRRQLHGLEGLTDGFDLGMNMLLDGDKEDDGGSSKSHGKSGKRKKHLKGSGKKRKRH >DexiUA01G0013370.1:cds pep primary_assembly:Fonio_CM05836:UA:27810808:27812042:-1 gene:DexiUA01G0013370 transcript:DexiUA01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLNGGISWSQREQLPPGILGPIKNKPFLLDDGRLLCGSSVESWDSWGAWLEVTEDAGRTWRKYGPIFVEDEKLGVIQPVPYQTSNGIIRMLLRSYQTIGRVCLADSSDGGLTWGYVRKTELPNPNSGIDGIKMKDGRVALAYNTVSRGTLKVAVSSDDGISWCEVFTLENTEGLEFSYPAVIQTMDELIHVTYTYNRTQIKHVVLRPK >Dexi6A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:6A:2329730:2333047:-1 gene:Dexi6A01G0002420 transcript:Dexi6A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAAAAAPSLALTSSLSRRGFVSLPRRAGRPVPHSLRLVASTARRPRGAVVVAADAAAAAGSGEFGDEENPYELLGIRPLDSFDHMKMAYKKKRKEAEETADDEFLAKLDRAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPIVPWGPRFSRSTVKDVRINMAISAAFVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNGYTAAINSIELSWQYTPRIVYYYQELIVTAAASVLLYITASYYR >DexiUA01G0023400.1:cds pep primary_assembly:Fonio_CM05836:UA:47851156:47851916:-1 gene:DexiUA01G0023400 transcript:DexiUA01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRLATLGGYLPKSQSTKTQGQSLIPLGWCARTTWSRPPVLRHSGRAARNSRSLRCRARSSLPRAGGTTREWRLKLSEAKKGTKTTSASACRGTRTAAQGVGAPCSEARRSRVEAPRVLRHAPAAAPDVGPHAAAEAGGPPVEQAEVELGGQVVHEDPQQRLRRVAFPPGAGAAPAAAAEAEAVVGACGGGRRARGGVEEEVPGGEEERGGEREEPGERAPARGGGGGAGGGHGA >Dexi2A01G0035310.1:cds pep primary_assembly:Fonio_CM05836:2A:44984934:44988205:1 gene:Dexi2A01G0035310 transcript:Dexi2A01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSTTLGDHEHHVHVAPADTGDTPEKKLNGFVRAVALIERAGNALGTLAFTWATVVLLGGYPTVLRQQNDFWFATAIVFLEASRMFSRNNRLDYQLFFDTKGVMVVFMMGNSDDYDEPDDYYLEKVFIFVVELCAIAVLSLGNLQIPAAIVRVVLALLRLVPHDYYGDNITKIDTSIDKNWSKINLAPSLNIFYGMVLGQGVLYSVACILEIFSFIPRRYLARRGGFGGRWGLESVNLYYAYAFEKYLHGDVLAPKNISLNNFAIDSLNSDTPKMQFTGIRIMHSLLQKHTTRRRIFLKANASTETMTRLINMLDWTSPEDTTVRLFAAKVTAELSTRIRVVTIPGTVQVVSALLGYSNNQQRKGNPLLDTNFASENVHDSILNVDENQEERLDAVPDTVSLLEFPQDRSTLHVVTTKLKSWISKCFQWISKFWSVPQDEPLTEQDLLPALGMSILNGLAGCDQGNCAEISRESGLIPKIIGFTSCRSANANTYTEAQRKEEPDGRNLELPHAEPHPFRPSPSPLVD >Dexi3A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:3A:6204819:6207604:1 gene:Dexi3A01G0008940 transcript:Dexi3A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAVSSPRLFPPVRLYPVLCCANSTFLLYFCSSATRSRSLLSEIGQSGESTNPIFLNLPSRSDTPTCVQANAASGIAVDDECKHRFLELKETRTHRFIFYEIDEGNKMVVVEKVGERVLNYDDFVAALPQDECRYAICDYDVVTESCQKSKIFFIACCNGEGLLILHA >Dexi1B01G0030890.1:cds pep primary_assembly:Fonio_CM05836:1B:34685372:34686193:-1 gene:Dexi1B01G0030890 transcript:Dexi1B01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWCAARRAGSEGKHRPCPAPKDANKGRNEMEKIEAAAQRHPHPCSLLEADPLRCHVALHGEGYKQTEGPPLGKSEAVELDDASRNTNRAWTGGSRESAHRFPHHRREF >Dexi9A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:9A:3408695:3409615:-1 gene:Dexi9A01G0006050 transcript:Dexi9A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSSSWKRGGGGDGDIESGGGGLEMSAPPGAAAGASLDKFFEDVESIKDELRDLERIQRSLHDANEDGKSLHDAAAVRQLRSRMDADVNAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLKDSMETFSSLRSRIAAEYRDTVARRYFTVTGTNADEATLDALAESGEGERFLQRAIAEQGRGEVMGVVAEIQERHGAVAELERSLLELQQVFNDMAVLVTAQGEQLDDIEGNVGRARSFVDRGREELQVARKHQKSTRKWTFIAILILLIIILIIVLPIVLKNK >Dexi1B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:1B:24528158:24528652:-1 gene:Dexi1B01G0018280 transcript:Dexi1B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAPFGAGRLLVIAVSIITYVGSMVVIVLMSLWYTGCWINIMFIGTTLLLLCIMPLMAMIMRSK >Dexi9B01G0037870.1:cds pep primary_assembly:Fonio_CM05836:9B:39137747:39139985:1 gene:Dexi9B01G0037870 transcript:Dexi9B01G0037870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSSRRGRLPIHLLVMSLIVLVAFTVRSGAEVITLTEETFSDKIKEKDTVWFVQFCVPWCKHCKNLGTLWEDLGKVMEGEDEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRDVESLKNFVLIEAEKAGEAKLQVD >Dexi5A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:5A:369206:372631:1 gene:Dexi5A01G0000510 transcript:Dexi5A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSVGVTRKRERACASGGRTGHVASRGPPEWGSPDPGRSDDTWPARAHAEADKYGKTASDWLPGCRLGLVSWSSGARAEPMGYTAQKQKTKRTRAEPPMGSDLSRREGEPDSSSSSLFLEEERRGEERIRSIHLGWVAAAQVGSPPEGRNPLAHQRATPPRYTHAAPSTAGAPPSSPLPSRRKTHSRGAGEIRAPAARIRPSCPRWIPRPPPRFGRRAPNLMEIEDDSSAGGTAGTWTEEDRALGAAVLGTDAFAYLTKGGGAISEGLVATSLPDDLQNKLQELVESEGPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVVGAAATAGSDDTKQRMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEMFFLASMYFAFPRRVGGPGQVFAAGIPLWIPNNERKVFPANYCYRGFLANSAGFKTIVLVPFESGVLELGSMQHIAESSDTIQNIRSVFAGARGNKAAVQRHEGNGSTPTPDERSPGLAKIFGKDLNLGRPSAVPAVGGVSKVDERPWEQRSAAAGTSILPNVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHRNNGAADSPSATQFHLQKAPQLQKLQLQKLPHIQKTPQLVNQQALQPQVPRQIDFSAGSSSKSGVLVTRAAVLDGENAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMETERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMDNHPVKKVFQAFEEAEVRVGESKVTGNNNGTVVHSFIIKCPGAEQQTREKVIAAMSRAMSS >Dexi9A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:9A:1170965:1175491:1 gene:Dexi9A01G0002210 transcript:Dexi9A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATVAAAAAAAASGGAFLLYLLITCRPQPPPPEDERGAEGDEASPLLRGSAAESDREEEPWPDRAPVTCCEAAAVAARTARRTWELTVGRWGLHGIAFGIKRHMKRQGNLQHEYSGNDCRQLKGHQTHTEVSSLLEYLKLCMFFSKKSFSAFLKFGGYKQEDILIHKARARVRYAHCGMLAGARWIARLAIPHLHNKIKEFPGYQIKYSFMEVPDIVARVIGHSMGAGIGSILTYILREHYEFSSCSCLAFAPPACMTWELAESGKDFITSLVNRNDVVPAISKVSSESLRSEVMVSSKLDDPQDRFHHNLFTSISQRVAFFKSHMLSVSHSSGKIQDHDSCISEPLLKDAADVVQPAANGHSIDCSGQQVVVTSEERVTLVNNGDVTCVKSAVSVLVSQEDSDSNGALDTDQSSLPPNEGKEVPNQDSAEKDKQKEPISASGSRQFFPPGRIIHMVALPPPDLAPGEGTSNNEIIGIYETPRELYGRIRLAPNMIKEHYMPSYISTMESLLEQLQKDDDDNTVCTTSNDL >Dexi8B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:8B:866699:868034:1 gene:Dexi8B01G0001240 transcript:Dexi8B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRLPNLPAGFRFHPTDEELIVHYLTNQASSLPCPVPIIAEVNIYQCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYGGKPPKGVKTDWIMHEYRLTGSANNKRTKRIGSSMRLDDWVLCRIYKKSNNFQFSDQEQDDSTVEEEISLNNNLNGASAASPKSEANDHDQFDPTTMTMTKSFSITDLLNTIDCSALSQLLDGPVEAEPPLIYPTTTQTHQSFNCNNNVNNTHFNLPQVEACSDYVAANCNGLKRKRVMTMDGAESLDDGSSNFSTKLKLPSDSRSSHSHFGSTSSYCNQQLVDTSGFQYSSLLSYPFLQMQ >Dexi5B01G0034120.1:cds pep primary_assembly:Fonio_CM05836:5B:34381928:34383042:-1 gene:Dexi5B01G0034120 transcript:Dexi5B01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDELGSAGGLSSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLASVERYCAAASCAASALDDWLQDPSPLATRQVMRAITASRREAMAAEEENRALADARIAPLSLQLDERLLLRATDARLTGFNGFRGLLYALHNASSLLLLILASGAVSCAAAPGAGGVCAGEAAGAEDAGGFMASIAMLQQRVVAEEAAESGGAPRIRMCEFRCARAAVEAVREEVERAAAAGRKCEGGGGVKDKVEELKAWLDVLRTGTDSLVCQIDDFLDDIVEGRKELSDLCSH >Dexi2B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:2B:13888078:13891779:1 gene:Dexi2B01G0012020 transcript:Dexi2B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTYKEKMAFLVVCISSLLIISISSYVFQLLSDRRSRLPPGPRPLPFIGNLLDVAGELPHRSLARLAGRHGPLMTVRLGTLLTVVASSPSTAREILQTHNGSLTGRSPPDAWLALGHAANSVFVLPPGQRWRALRRIGTEHLLSARQLDGNNRLRPLLREAVLDLLRRVSAMAEVGPAVEVGRASFAAMMDLQWRAMFSVGLDDAAERALHDAAHEAVAVSLKPNVSDFFPALAAADLQGVRRRFGRRVAVVYRMIDEQIERRMRGRREAGGGRSSGEKDLLDVMLDMSSEPGKDEDGAVTINRDLIRTFLTDIFLATVDTIASTIEWAMAELLQHPDTMSKLQEELRKVLGSKAHVEHSDINRLPYLQAVVRETLRLHPVVPLVPNEAEETVEIQGHTVPKGCTVLVNLWAVHRDAKAWPEPENFKPERFLPRLEETGFLGTTEFDFIPFSAGRRVCLGLPLATRMVHAMLGSLLHCFEWTIPQEVKENGVDMSESLGLTMIMATPLQAIAKSV >Dexi3B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:3B:11535369:11537056:1 gene:Dexi3B01G0015920 transcript:Dexi3B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSDQPSSGGAAAEAEAFVPRVGGPQGLRRRSGEASIDSSPRSGRASDGRRSTFSEDVGHAAAETYLVTSLAFTLLGYLGNAWLLGYVFTCFLIFQGRLSVDIAAYCAPSICSLTHAWLHKRLDLYIPRDHSKSSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASEGISFVCNNAVSFGGDPNR >Dexi3A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:3A:13854317:13856128:1 gene:Dexi3A01G0018180 transcript:Dexi3A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLSPSLLPDLLPTLPRSFPCSRPTRQVATVGFAGAVRSDRQGNWSSRRRGNHRICATAAEADYERQEEDVADDYYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATAINPFFDDSAPKDHVFVDEFTCIGCKNCANICPKVFQIEEDFGRSRVYSQSGSTELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNVGLMLSGMGASVDVFRMGSVSSIMDAN >Dexi2B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:2B:27906546:27910089:1 gene:Dexi2B01G0017520 transcript:Dexi2B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVASRGCARLVLPGGMHHNASSAASVASSSGSRGVAVAAAVVDGPFSGLVICVTGLSKEARTQVKEATERLGGEYSGSLHPKCTHLVVQISFAVCTHPGLLLWFLNTQHNFAGRKFEHALKHGPKNGLFVVTLGWFVDCVRRSMRLDESLYAIKNIGENGLPLGESNHFIGVPVDEKSCLPPMIYQDKACSNTIRKHLPQTPRKESSNHELIFMNDTIYIDPEVSDEMKKKISDAATREGAKLQDHWFIGCYATYVVCEDAFIKRYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARHVAMVLQNVQRSEEVSGSYPSAMCLVRKGQHTANRKLGNDPYINSSARLSTQEIAEVQQERQKFVEVAKKEVRDRRARRMQSCEMPIHPITPPTLLDSICWTISEPTSSACIYMDSSWSDDANEQQTTTYFDANGDVREPDQQTDNFSRPLKESEQSELIFKNHFLTILFPIDRFGELGPSSKTFYNNGGFTRMQVLDNIYNFYQENMSRDEMDMALHTDSRHADRLRSLYSSAESAEKGLVAFRRIDFLGSRRSFEALKRLSRESNSNVYELVIRA >Dexi7B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:7B:20081246:20084705:1 gene:Dexi7B01G0013610 transcript:Dexi7B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKEEEEEAVEVMDLSMVPSRFRRICVFCGSSQGKKKSYQDAAVELGEELVSRNIDLVYGGGSVGLMGLVSRAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHERKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPTARHIIVLAPTPKELLDKLEEYSPRHEKVVPKMQWEMEQLSYCKSFEIPGLKEGTKPIIQAQRGTML >Dexi2A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:2A:13525073:13525704:1 gene:Dexi2A01G0011620 transcript:Dexi2A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPINPQRLSPAESRERTVRFFQGLGVDVPLPASGERLDAYADLVRALLSSAVVSSSRVSCTLTMSPALANQFNTLHGGAVAAVAEAVGTACARAAAGDKEMFLGELSTAYLAAARINI >Dexi2A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:2A:26083276:26085806:1 gene:Dexi2A01G0015240 transcript:Dexi2A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVAMLAAAATRHNRAPLASLLYRVARGLHDASAAAAAGEEDKSGTPSRRRRRRSSSSLLLGPDFLDTWDPPPRAASRTQPLRDAGADYGCNATIIDGKSIAEDIRLHIAEEVRQMKSAVGHVPGLAVVLVGDRRDSESYVRYKTKGCEEVGIKSLLAKLPGNCTEDEVMDSVSRFNEDPSVHGILVQLPLPQRHHATVSVIHAFTTDPEAITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLGNTLDSAKRVYGLND >Dexi4A01G0023070.1:cds pep primary_assembly:Fonio_CM05836:4A:26230300:26234200:-1 gene:Dexi4A01G0023070 transcript:Dexi4A01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVTGSGGGIGRKMAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQVHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYVRPPGYNAESAKAAEMEDEKKRSDPDPGDMAQGAAAAASTSSMPDKAPEKTQSGSDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPVRIKPFAVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTTIKAQTDSSEPFKWELKQKPGMSPPRGGFDPDDPNQQIVAEDIFDEYGGFLGNLDIPALISNFSASKSKKRSKKKSKHRQVESDIHEESSRHESSYHLSSDSEEEKRNKTSRIKRKKKYCSDPSHSDSEVDARKGKHKSKHRHKKKNRSESSSDSEAEVCEDTRRHLKREHRREKREESPSSFPEDKGNTESKRHSRRSREKHHYDNSSSSSESEKHSLRHKDRQYYSDSSPDRGYRHSRRTRGKRDESESSSPDVSRRPRRSTEKQRHTDLSPHHTDRHSNISSSKRDYTESSRYESSRHSRRSREKRRYSDSSASDYSDSDRHNSQRHHRRRK >Dexi9B01G0022870.1:cds pep primary_assembly:Fonio_CM05836:9B:17753147:17753645:-1 gene:Dexi9B01G0022870 transcript:Dexi9B01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPWQPPRENTRPRRQRLPLRVRHRRLATGGRSPRAAAVEVHPDSASAALHHKSPATLSVLTSLVSLHLSDNALTGGGPGDALMALRMGDMASLTFLDLSANNISGGLPSSFAIYDEEDERALFVKKQALWYDSD >Dexi2A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:2A:31677240:31679910:1 gene:Dexi2A01G0019520 transcript:Dexi2A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGSSGLGFRGAVVEQEEEEEVVSAPERPMRRRRRRWGVEVDDGYSPSSTGGGGSSCCDSFGCDSPLAGFVRPDGDPDTDLETDGLATSSSSASAAFTERQDDEEVLCGVKEEEWAKVQGPAKNPAACATPECHNSRYRAEAAVLLHGRKGSKQRPASLDFGSPGFHGATFSPSFVVGGVGLMNKGLAASRIRSDVFHSPGTPNYPRHRASVLGFQKGWCSERVPHPSKGSRRYPGSSMAFPYGRTLPSKWEDAERWIFSPNSSDALGRSTAAHARRPKSKSGPLGPPGRLGGQYSSVSSVSLLDSGRAGPITSNSPLLAGVLMPEHVCGGKNVNGTYSGRSAGEETAIGSGFRFCPPNGGAHAIRSSRVRRRLDAAVDSSASFPSTQESTQGEQVEITEDSASIISRKDAATQTSPELSRSSSPNTRLPFTRSLSTQQVKESESCFSDLEVRDVQMDDRVTLTRWSKKNVTRSSNKNSTNIIEWKEKTVESKSSSWGFAEAKCISK >Dexi7B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:7B:25366558:25370873:1 gene:Dexi7B01G0019920 transcript:Dexi7B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMHRSSSDGGSSSGWSDAAAAVAAAAEERAGWEVRPSGMVVQAREDYGAAPPPRPPPPEIRVRVKYGGARHEVSVSPIATFGEFFSCPCLVLFPHCLSLKPPRQLKKLLAARTGLQPADQQVTYKGRARSNTEYLDACGVKNKSKLVLSEDPASLERRYIERQKNAKIESANRAIGAIALEVDKLADQVTSMEKSISRGNKVAEVQITTLIELLMRHAVKLESITAVGDSSSQRNIQVKRVQKCVETLDMLKVSNARLQAVVVTTKWETFDAPATTQWELFD >Dexi1A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:1A:2795407:2795868:-1 gene:Dexi1A01G0003830 transcript:Dexi1A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSDIRTKKFYSNPTQEHRPCFLVVLHPGSGRRSSPGTPSLVRHAELGMLLAKEACIPPGTPSLVHSSEACTRPSLTGPPHLARRPVAASPGEICAALGRLSTGRHGLAPHARQGTHHQGVMWGTKKACGPTLGSGQGDQNRGKRGGNFLV >Dexi3A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:3A:10000435:10002169:-1 gene:Dexi3A01G0013740 transcript:Dexi3A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQSGSMVSSCCTSELTDDDKLKNHRQTSASWRCPSCGHSLDSNLDMIGLPAGVKFDPSDQELIEHLESLVQEGGSRAHPLLDDFIPTIQGDDGICYTHPENLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQSERGLHGSEDVGEARWHKTGKTRPVIVDGQQKGCKKILVLYTNYGKQGKPEKTNWVMHQYHLGDQEEKDGELVVSKVFYQTQLRSATATVEQRTKDGEKVAEASEAIRTALPVCAANATSVTVAMLPQRQQKRQRQADGQCTFAPVQMSHEVTMLCCLHSPELGVLGS >Dexi5B01G0033990.1:cds pep primary_assembly:Fonio_CM05836:5B:34251159:34251911:1 gene:Dexi5B01G0033990 transcript:Dexi5B01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAQVSDANTTAPLGRRPITRGRKPNGSRTAKTLSAVRNSSEYAPLRRSQASQMRESSGRDSEVAMRCRITSVSDELVAQRLVVHEVAVVRHGELPEPVRGEERLHVAHRGGAGRGVPHVPDGSVAGELCEARRVAEDVPDEAQPRHRLELAIGVRGGDARALLPAQSDDASGSPMTPNTPHSSRGWSSPSASKENGVMRSGSRARAGAARAARCRSSSDDGLGEHLSW >Dexi1A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:1A:27450133:27461535:-1 gene:Dexi1A01G0020590 transcript:Dexi1A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSCWTAACRCRRRLCVWRVHVVAREPNRVLSGRLGALGWTRHKEGGARKAHLLNHLYPLPPPGTVLIPSRLRSAPLLRHPLMYLQYYINEKGDKVYTTKVVRPLRVILAPVLMLVSFFLPLQKESPLGVPTQSAHPGRPHLSALPSTSRQGHAIRMTPSPAALPRCPAAPRESSRSRSRALHAPRCPRCSLGCTPRVHAGFTAHRRRPSLPFTIRRRRHTWVHRFSSSFVRSGFTRYSAAPNRKHLTTTETSWHRIVRQLTDDGYVAQVLVPGNVLEHLEALCHRQIDLSQDDVDLTALVQLQRSRSTSCELLASPSQSRILTLLRSTNCCPPPTPAPPPPPPPTRSPSPPPTIPKPANPKHQPLISQHQPKASSSYYSAAAGASDRDAWGRRSRCWICGRLLLRPRGQQHRLVPSPHPESIRPLTPRALRRGHRWLPRVPTLLPAADGVGAGAGGALLGPSRSSPHHYPLLIYSGAAAAAATGDGVGVRPRCGAALEAKDIFLAFFWLSSVSAVGHAATKALACLLSGSRGEAGRGEEEKPASRDGAAAADSGEPAERSRPSGARGDYGGPQLGSVADRAKISSLAPAKTLRFLSTCRQPRFVSLDRVVTWTDRPAARWGPIGGPPGVVWGARHVLALIFSFPVPARRSPCFRLALAFALVEPYYTVAGSTPLASDHDAAAERSVVISADRRGTGGGRGASPPRGCGDSGSRIGHGAVFGSDYRLIRSCVRRRCPRRRSRVHAKALFSHDQTLRRPLEHGTLVAWARGGPVKTRPSLPGQAQPYLPPGQTGLACGLRRRPKPGVGYLKGPSRQTPPRRSSRLKTLERGSKLEGDVHPRAGVSATFEAQQPRSRGTFTLERAFPSRSRLGKLELAAPGTAPETLRPSSPIINDSSAVKKEDSLHHATAHGAEKTSVKSTWRGGF >DexiUA01G0007860.1:cds pep primary_assembly:Fonio_CM05836:UA:14735076:14736391:-1 gene:DexiUA01G0007860 transcript:DexiUA01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRPRIRGVPLRTLLLFLLVPLIYSVSRLHRWTPEKGVCLPPPSAPKRPDHLVLGPAAGQGRPDRLQCQGLKAQINIGLSTEGNHSGEHISFVTVFTTYNSVPDGDGKVPSDTVTVGNHSYSKTERSMAILNTFTSFIK >Dexi9A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:9A:841518:844207:1 gene:Dexi9A01G0001590 transcript:Dexi9A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKIPSAPGSGLVKAAVFGGAGLYAIFNSFYNVEGGHRAIVFNRIEGIKNKVYPEGTHLMIPWVERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPDKLPRIYRDLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKVLTERANYFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREIAHTIASSNNKVFLDSKDLLLGLQQLNVGGKQKK >Dexi9A01G0021850.1:cds pep primary_assembly:Fonio_CM05836:9A:16783912:16784769:1 gene:Dexi9A01G0021850 transcript:Dexi9A01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVAFSFIVLLSIGLSNAARVSRYVSAGGGGGGGGGGGGSGNGSGSGSGSGYGQASDSSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQHMLREVAKVEVEGVGKMVGRVMALVPVLGTVRRVGMGPMVEGMPRVMVKVEEVVVDKMAAREVGPVLALDMVKLADMVRMVVVMLRQEGKAVAAVVAVDNMVVLDKVLVLVLVMVKLVDMDLMVVDMLRPADKVVAIEAGKVVQW >Dexi9A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:9A:10622765:10623859:-1 gene:Dexi9A01G0015750 transcript:Dexi9A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPTAIRSTGVGIATAIGKIGGVVCPLVAVGMLRSCHQMAAVLVFELVLFLAGVACILFPVETKGREMD >Dexi1A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:1A:14030366:14030680:-1 gene:Dexi1A01G0012760 transcript:Dexi1A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASAYVSASSTAFHASSAGEVADREVGISSPHPIEAIPCDVVQIRGSTLSLGLAGAQSHGGGSAAPTPHDDHVVVAALLLLPATVGWWQPAALLLLRTAVAWW >Dexi1A01G0026720.1:cds pep primary_assembly:Fonio_CM05836:1A:32579683:32580216:-1 gene:Dexi1A01G0026720 transcript:Dexi1A01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARVCTAPVKYDPGWRSAAGDRRAAAWVVAGARLHVEAHGVLQLRLLYAELPGCAVVQSRWAHGGAARLAGKSSGFLLSAAVSSSGSSGGGSGGGLHKERQQPGKPEGVNIDSGVLPGGPPVPVAAQKMLRLVTMGPQDSPGYWLVTGARLDVDNGRISLHVKFSLLAPAS >Dexi6A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:6A:4333824:4335549:-1 gene:Dexi6A01G0004760 transcript:Dexi6A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMATLALCLTLIAAATTPTSSSKPPPPPPPAHDIITSCLLVNGVRNFSLPSSPTYTPLLDSTIRNLRFELPSILKPSAIILPSSKLDLKLAILCSRNSSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLSNLNNVHVDPTSATAWVESGATLGELYHAVGLSGRTLAFPAGSCSTVGVGGHLAGGGFGLLSRKFGLAGDNVVDAVVIDAAGDELTRGTMDADVFWAIRGGGGGSWGVVYAWKLRLVVVPENVTVFGVGRTGPAELVAGLVHTWQHVGPRLPDEFYLSMFIPTTSNGGNFSVSFTGQVLGPKHLAMAVLTQTFPELGLAESEVSEVSWIESVARFAGVSSVAGLMDRQPGVGNYYKSNCDEDM >Dexi6B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:6B:24780636:24780980:-1 gene:Dexi6B01G0017670 transcript:Dexi6B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFMYTDALPGDEELGDSPSEMLLQLLAAADRCSELKNKCIDFFVMEGNFKKAMLTKGFVQLGQKFPSIIDELRERVGL >Dexi9B01G0027010.1:cds pep primary_assembly:Fonio_CM05836:9B:29462938:29467983:1 gene:Dexi9B01G0027010 transcript:Dexi9B01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFVYRRFSGDDSSEMAILKAALDGDLGRIKGATPFMVSAQSGDISTVKYLFDHGGDLMKTDSKGRSCTVTEFLLSKGIPVDIDCGHGTPLYHASVNEQDKTVKILLNHNANPNTIFCGFGTPLSAALIYRSLKCMKLLIKASIFLPILFNYQLVRMLMAKLGQLPIKLAAARDCREEVEMLFPLTSPIANVKNWSIDGIISNAKQENAKPMKEEHIKVRKATIRSQADKAFRRKEYDMASKFYTVLIDVGPDATLYSNRSLCKLKLGDGEGALSDAYQCRMLRPDWAKACYRQATAHMLLKEYKQACDALLDAQKLDPGNDEIERELSKAMELMKNSPDEDEQ >Dexi9A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:9A:1539777:1540810:1 gene:Dexi9A01G0002870 transcript:Dexi9A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEESVFSTSDDASISLLHRLRAGATVHFVHRVDVCSAAPEDLVAGLQPVPGTDLAEDGYNSVWYLYCPKRFKNAQGKPSGHRQRAIAGGDTCWHSETAPKPVKGLEGATFCNLSFGRKEGSSRSFNRMGWCMTEYDDKNGGDHVLCKVHRSSSSLAKGKSKNSSAGCKRKATVEHPQAPPTKMSLCASVDDQVQPPLLTGQQMTMPECEDIDFESLYSVIVDYESLFPTEEFQQITMEIPLEEQQQPEPEQNILFPAEEQQQQLQQNISFPAEEQQQQKVLFPAEEEQFEENTLFSMEELLQSPWMLRHAESNGTT >Dexi9B01G0045630.1:cds pep primary_assembly:Fonio_CM05836:9B:45057971:45058288:1 gene:Dexi9B01G0045630 transcript:Dexi9B01G0045630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTARVAVVACSSVMILAARPLPPHDAAAADGSRRGWELGPAQQALVGQMKLSQPGQGNDNDWQDTHHPGDVDPTIGSRDPMPAVFGDESSNGMMP >Dexi1A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:1A:27877927:27878721:1 gene:Dexi1A01G0021050 transcript:Dexi1A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGMGLTATHLAPFMSCRPPTWSWESTVRKSLSVPKASGDTGHGGYRFSLRKHVVSSHPSGKKQHPSPAPAGGRRASAITRASVPASLDMAPATFRVTSF >DexiUA01G0027140.1:cds pep primary_assembly:Fonio_CM05836:UA:57912870:57919228:1 gene:DexiUA01G0027140 transcript:DexiUA01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADEPRRGQEVEASLSPGESQKVSIKSEDDKVVDGKGIGRKVIDKLLQTYSSELDGKDFAYDGEKCLFTVGPLPQNNFEFTVIMEETSSRGCLLVRQSFFSDDSRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVIDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAKHNNMEFKIIGLSDQACSKQTFPMKVRNGSIEVQTVDITVQDYFKSKQVELTMPYLPCLDVGKPKRPNYLPIELVVGNSEDCIPNRGRWNYNNKRLLDPVKIERWAIVNFSARCDMSRISRELINCGRSKGIFIERPYTLVDEDSQSRRCLPVERVERMFEKVKMSLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEEGIVTQCIAPSNKMNDQYFTNVLLKINAKLGGMNSKLALEHRQMIPVVTQVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIMR >Dexi8B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:8B:1330663:1334070:-1 gene:Dexi8B01G0001870 transcript:Dexi8B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEAVEERVYRTGYSREQQPAAAVELPGNGRALVGWKEGDYGIELGGGGVPVGDIDEPPPHPSLLLLHHSSATGTGRGRPRPWPPRPPQPASWGQTITTAARSSPSSAAARAVAAPHVCRKCHKEFATGVALGGHMRVHYTGKPIVPKRRKTNNKQRALALLPLVEHHGGIAAPSSSPGISLALSINTAEDAPSPSPAGADGSRVVRLFGIDISAQQVQESSSEQQCSGTTADVSAQE >Dexi9B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:9B:8690738:8691511:-1 gene:Dexi9B01G0012880 transcript:Dexi9B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNVEEEGDGRKRGEMEEEEKNLGFLWPCPPLPKRLHLHLPSKMQETPAREDDLS >Dexi9B01G0031170.1:cds pep primary_assembly:Fonio_CM05836:9B:33598346:33599907:-1 gene:Dexi9B01G0031170 transcript:Dexi9B01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGGVGPRAQAEDAAAGGGESDDIELSLGLSLGGRFGTDAKRQRLARSSSIASVCSVSSLDGDGDADPSPAAPLPLLRTSSLPTETEDERWRRREMQSRRRLEARRKRVERRNSMGAPPSSSGPPKPAAEAPAVVNGVQLRRSVGSPQGSTSVNTTEQGIGGSTVCQSTDAKSPSTSDNTNTNSMLPPNPNNMLPPTKAAEKPQNGTSTEQPRLRTLGSLTTRTSSCSDIRKIMMEDMPMVSSKVEGPNARRIDGFLYRYKKGEDVRIVCVCHGSFLTPAEFVKHAGGGDVSNPLRHIVVNPSPFS >Dexi5A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:5A:6976753:6980520:-1 gene:Dexi5A01G0009260 transcript:Dexi5A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRQTNRRRRPGGRMDVEGRTSPRQQRQARREHNGGGNGMATRTQWERRLPHLALAGAECGSDEGRTRSGIMRRWWGDVKLPDRRQASSVAVAMISRKRSAQSRAWVGGRVARLSDWRAAKMADGPGEQNDLSRHSAAARRDEPRAMGQLSCAVDGVARSCNGRAVPSLAVPCAHAWCLVACGSPSNARGAHALHHHAAAAACRRRWLLQSCSCRCRR >Dexi2A01G0034380.1:cds pep primary_assembly:Fonio_CM05836:2A:44350553:44354465:1 gene:Dexi2A01G0034380 transcript:Dexi2A01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSCRCGSGTRRLQPRGTQNPYPPTLNPPASPTSAAGARVPDFRRIVAPPFGAVAGSRARGSKAASEMPSFYADASPQKQQHYQRRRQQIRQERKSLPIASVEKRLVDEVRKNGTLIIVGETGSGKTTRRQYPVDTLYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGHEEIESLERLISERARLLPPESSKIWTTPIYSSLPSEQQMNAFKAAPAGSRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREEPGKCFRLFQESEFDKLVDSTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSR >Dexi2B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:2B:18635062:18636582:1 gene:Dexi2B01G0012510 transcript:Dexi2B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPVDMDGAINRHHMIDIEPDSSMGAATDDIIDGGDGGNERKWLRKLTSATVNTAVLRDLISRTPMLWYLGERSGTIFRPCARRERVEALHAVRAVAIGPFHRGDPGLPFPEDAKLPFMRYLQDQCGLDVDGYVAALCLERDRLRDEFADDDEYAAAAETLDDEDRFLEMLLLDSCFVLVVSMMLSKAGTGDDADSVARAASISRDYFILHMAVAQHADDIKLDMLVLENQVPFAAVKLLAASCPGLKLRHSVEELVLGCFDDICPKRARRAGEAGGDFHHVLHLFHWSRVPKDKYCVLSTPRKLLKIKKESERLFPCSMELRRSAVWFRQASSICGDMDMWFWCHPASPVALMSVPCFHVHEYSAAVLHNLVAFEKHFYWAHGACVTAHVARMEGLVRCSQDAAMLRKRGVLASTRRTDSELVALFRELGEETVGARLPDEYGEMLDAVARHRGRRVSGWCGGFVLHFFPSPWVAVSLAAAVALIFVPSMLQTVYTMLSYIKQG >Dexi2A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:2A:5652190:5656236:-1 gene:Dexi2A01G0005950 transcript:Dexi2A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILIRTCLLLLALYAATLGGASETLGGDGGQVRRIDRSDRYANNALFSLAAELILLCMDGIVSVFPSRTHKLLTTRSWDFLGLPQTPTEELPLQGEVIIGMLDTGIWPDSPSFSDDGFGPPPSRWKGVCQNFTCNKYEIKIIGARTYNQGRSSTSLSPLDDDGHGSHTASTAAGREVANANFGGLAVGTARGAVPGARLAIYKVCWDECADADILAAFDDAIADGVDVISISLGTFFPLRYFKDVQAIGSFHAMRRGVVTSASAGNSGLVDGLHVSNVAPWMLSVAASSIDRRFIDRMILGNGDTIDVSSADLKPMGTYINTFPRLQNASLLFPTGGSCEPDDLAGGSYKGKILLCLPKNRTDPEDGSGPLAAGAAGAVVLEDAPDAHLTMPLPGLAVSRDQFDQILTYVNNTSNPVATIDSTEATMDPQAPVAASFSSPGPNHITPGVLKPDLSAPGIDILAAWSPLASPSEIPDDKREVLYNIISGTSMACPHASGAAAYVKSFHRDWSSAMIMSALITTATPMNTPANSGSNALKYGAGQLNPVKARDPGLVYDTSESDYVAMLCAQGYNATQLTLITGSYTAVCATNSSMDSSGSDLNYPSMASRVEAEKNFTIVFPRTVTNVGAGSVVYHAMVESDKDLTVVVSPSKLEFSRQNQKASFTVTVSGMAPGPGLVYSTAIVWYNVEHEVRSPVVVYTADPPKSRV >Dexi7A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:7A:15288045:15291521:1 gene:Dexi7A01G0004490 transcript:Dexi7A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAAAGGGGGGQGALPTYRTAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKKPQEMEKLVSELWKTHMRHQDPAENLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRIESPESQRMLMTEFQLPYKALPHSEFEAVKDKLTQVARSMGQSASVESVFFKVPFQEVPDLVASRRVYLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAAEISLKDIDQLAASSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGYNFHPYSSIDNY >Dexi3A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:3A:1164310:1164885:-1 gene:Dexi3A01G0001720 transcript:Dexi3A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAIAILLCALLFAGPHQTLAEPTEVVTTSEVPSILPACKTVGGGSVFFDVQFCVEALGSDDRSADAGMNYGAYSAIAADLLTANATTTAAKIDALLLRRGNDATARCLRSCQGLYGGVVTRQPGCAAAVRGRRKGEATSCLERAASAAMECEEGFGKSKVASPVTMENDDAFKLAKLAAALLTMAH >Dexi9A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:9A:14036865:14039327:1 gene:Dexi9A01G0019060 transcript:Dexi9A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTTSATAAAAAFGATATTAKPRGSSSALCSRVTAGGRRRSGVVRCDAGVEAQAQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTGLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKTEALSARMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLSDMYEAAAEADVVFTSTASETPLFTKEHTETLPPISDTMGGFRLFVDISVPRNVSACVSEVGSARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMRRAIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKTQN >Dexi2A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:2A:23637955:23639575:1 gene:Dexi2A01G0014350 transcript:Dexi2A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPATTMGAKKTRLKEEESGGLLQEQPLGPDLISRLPDEVLGDIISLLSTGEGARTQAISRRWRPLWRAAPLNLEVGDYPRRKSKRAALVTKILSEHAGPGRCFNLYGFCLHDRYAMVDGWLRSKSLTGLQEIDFSGADFSESRRPQPMPPSALRFAATLRFAWFSCCDFPVPTTCRRRWISHISRSFLCAMSPSLRSIGFIAPAAESSVAITFQELAPKLQTVGLLSPGISRLEFGKAAFQEMKALSLTTSMRTVKILALESLEPNLDSVVDFLKCFPCVEKLYLTCNLQKTMKNERAYNPLDPIECLEVHLKKVVVNNYRGLRPEVDFAKFFVLNAKVLKGMEFAALTSCNDKWMASQHRRLQLDKRASPGARFLLEHAYRGISIPSFHMVDPFQWVWQDKLNSVWHKELNREFD >Dexi7B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:7B:20576189:20576466:1 gene:Dexi7B01G0014260 transcript:Dexi7B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPLALFLLCILLPAPPVSAALLFGAGKSAKAAAAGMDMEWHPATATWYGDAEGDGSDAQLRSAPHHTGGLYPRIYYYEHNHTQ >Dexi2A01G0027920.1:cds pep primary_assembly:Fonio_CM05836:2A:39238844:39239739:-1 gene:Dexi2A01G0027920 transcript:Dexi2A01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIFFLGLSKFPLNNFASHKFADSPTGRGYLEADVTPGDPAVTKPIHVATTQLERPTPPGPMCCAERHAQARHAVAALGGGGAANVVLGGDMSWGDDADGPFPLAAGWLDAWAAMKKPLISLPSDDWTHDAVWNEEPAAFNGHA >Dexi5B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:5B:10514654:10515154:1 gene:Dexi5B01G0014130 transcript:Dexi5B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHATTLVKSLCARGAVRHARAVFDEMPERDVVAWTAMLSGYASSGCHREAVDVFRRMLAAGVVPNEFTLSSVLTACRGGGGGGGASSIHAVAVRRGVDHMPYVVNALIDAYASRGDGLVDGRSLFDALGAGRTAASWTSMIAGYARWGLENTGLQLFRKMTQV >Dexi5B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:5B:19015196:19017109:-1 gene:Dexi5B01G0017410 transcript:Dexi5B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVCFYNHIIHWPELRLSNICFCSNKSSASAYFLFGCPVQGITLAVLCYLQVWLVDMRGPVFLSMTVPLTLVFTIILTFLIGEVVTLGSVISGVLMVGGLYNVLWGKRIEQVAMGMQCDDGRNAASDLEEQETTVSVPGQRI >Dexi7A01G0024100.1:cds pep primary_assembly:Fonio_CM05836:7A:31925329:31936040:1 gene:Dexi7A01G0024100 transcript:Dexi7A01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALWQPQEQGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGNSVEVRQAAGLLLKNNLRTTFSSMPPPSQQYIKSELLPCIGATNRAIRSTVGTVISVLFQIVRVAGWVELFQALHKCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLSERPINVFMPRILQFFQSPHATLRKLALGCINQYIVVMPSALYMSMDQYLQGLFNLAKDPAADVRKLVCSAWVQLIEVRPSILEPHLKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMVYADDDESLADAEEDESFPDRDQDLKPRFHASRLHGSEAGEDDDDDDAVNVWNLRKCKSFILMYSNFCNLQQNLARTDDDSWKERETAVLSLGAIAEGCIAGLYPHLPQSLDHPNGREQFDKILMGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPHLEVILKHLICAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPTLIAKWQQLQNSDKDLFPLLECFTSIAQIDPTAAGALYDREFIVCSLDLLSGLAEGLGAGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLTVAAKQLSPQSVKDAVSVANNACWAIGELAIKIGKEIEPVVISVVSCLVPILKSPEGLNKSLIENSAITLGRLSWVCPDIMAPHMDHFMQAWCSALCMIRDDFEKEDAFHGLCAMVAANPSGAVGSLAYICQACASWTDIKSEGLHNEVCQILNGYKQLLGNGGWEQCMATLEPAVVQKLARYGV >Dexi4B01G0022230.1:cds pep primary_assembly:Fonio_CM05836:4B:23908274:23913991:-1 gene:Dexi4B01G0022230 transcript:Dexi4B01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLALPLLGKECFKAPVRLAMQCTRAGTPTQRNATVASFTHRWELQLIFLILFGKIETFSSAMGSFGMNWNQKNLMVWNCKNLATSVPNDIARHGSMNTSGGTLTSSSELGHGSSKSSISASIDSPYRVGNSLEFNFAAVEKHVKDMGKNDRADNSRSSPPSMIAFSHGEPSISLKLGKRAYFENVCGRQDGKSSAPSTVTSASTVVKKTKVSQQNAQNSYCQVEGCKVDLSSAKAYHRKHKVCEVHAKAPKVVVADTSQQTNLFFSQPVFGQISSSVASHTHHHNLNGLMPFKGANTKVLNQGVEASATASTSNAAPELGRALSLLSDGSWGSSSTVIQQHSSHVLTGGMPRLANAAVSTPAMNLLNPSPGGFWHDDSAPLDGTLQIQASAHL >Dexi2A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:2A:29815657:29818539:-1 gene:Dexi2A01G0017800 transcript:Dexi2A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFACRGRPTADQDGGGGSHRSLDPPLTHDAGDTMLVIRDALLLQLQKDRLRHEVIMTELARLERAMALCPAAHHGIGSACLEQPKQLSFTFSEEFMSHHRWPEHCSDADEVHDPNKKDITHWSVRLNSSKPATEDRFCVCTRPCCSNVKADGVSEAFDEQKLQGSNETISQKEETIAEPSSCAGQETSAIKWSCSTCQANGTCEAELKEHLSGRTHQQNIEAQSQEGGGMVKNTELEEAKCHKSNVPQHSEKSPCSISQDHCTSESELGNQLLAKLQDLLDAISNMATISESHNDKFLPNNVSQDAEQISQSDCSICQTGSDYLSRSSEPQSENPRRIRRRRKKRGALQVEGQDAERGDMEPGDKISSDGSCSKSTGLEEKLAPYLCEVCNLDLNSKSRLADHCNEEEHLEKQKLLTFCKVCNLQCNSSKMLAHHCTGKKHRKNVSANKGNGTVASAC >Dexi6A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:6A:21578054:21578419:-1 gene:Dexi6A01G0014230 transcript:Dexi6A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAATVGSRCGGSAAAHGSCCFAGNDEQGEGGGTRDATVVEVKGSCTGASISLSSSLPCSPSLLSPDPCSPPPVKFAGHTTPLSNSLRPWDHKLVSSIHDQVLGADERHPDGNGGSRDLH >Dexi9B01G0036930.1:cds pep primary_assembly:Fonio_CM05836:9B:38394232:38395037:1 gene:Dexi9B01G0036930 transcript:Dexi9B01G0036930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSTGVAPACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRTAVESLKPKLKRTPHHQLPPRKPTCASS >Dexi4A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:4A:23742527:23744493:-1 gene:Dexi4A01G0019950 transcript:Dexi4A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSVSSLILRHHTRRPLPPTSNRPPPHHHRLLLPPPFARRRAFVCRPLAAAATEDMAAAASPPTLLEHMGQAGAAADLTVLVAHIQSACKRIAALVASPGNAELSRAKAGAGAVAAGRDAPKPLDELSNDIILSSLRSSGKVAVLASEENDLPIWMSDDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYDRLVEVDHLPLEERAQLNSLQSGSRLVAAGYVLYSSATILCISFGAGTHAFTLDWSTGEFILTHPSLQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYEV >Dexi6B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:6B:4110818:4112906:1 gene:Dexi6B01G0004840 transcript:Dexi6B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSVHIVDHQAQPQSAAAVPGAAQQQQSVCRDLFPVESDACLEPWLGMEFESGEAAKTFYIAYAGRVGFSVRIARSRKSKCSESIIMLRFVCSREGFSKEKRAAADGKKTRKRPASIREGCNAMLEVLRRGDSKWVVTKLVKEHSHEVGLPGRVHYIAIESDAVGDPYIGMEFESLESAKTFYYSYASRAGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRENNGDDTKRGQFAESFGYHAERLEDETVHKYRVTRYEGMHSVFSLLKEYAPFQKHIS >Dexi5B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:5B:1604232:1604806:1 gene:Dexi5B01G0002490 transcript:Dexi5B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASLYRRVLPSPPAVDFASPEGKRLFAEALAAGTMEGFFPLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAEGITFGKVACLARCSGANVQSFRASRASIDDLRRHLVRCVSSQDCHLIASYHRQAFKQYYP >DexiUA01G0008960.1:cds pep primary_assembly:Fonio_CM05836:UA:17076888:17077154:1 gene:DexiUA01G0008960 transcript:DexiUA01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEKSPESPSGILVSRPKAYPVEGVAAPRRRGAPAEFTGRRPLLRSAGWGPMPQRGTVRARRGGGDDGRRPEEQASHWPYGFEEDE >Dexi9A01G0024970.1:cds pep primary_assembly:Fonio_CM05836:9A:22003582:22004565:1 gene:Dexi9A01G0024970 transcript:Dexi9A01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSEIILETPMFRIYKDLHVDRLVGTDTVPAGFDAATAVASKDVAIGGDAGFSVRLYLPNRTAKQNDGQTKKRIRVLIYFHGGGFVAESAASPTYHGFLNSLAARAGMLVVSVNYRLAPEHPLPVAYEDSLRALEWAVSAGNDPWLSEHGDLGRVFLAGDSAGGNIVHNVAMMAASSADVAARIEGAVLLHAMFWGREPIAGESPEAAEMIDRLWSIVCPEATGGLDDPRLNPMAAAAPSLRDMPCGKLLVCESEGDFFRPRVRAYYEAVVASGWGGEVEWFESMGKDHVFFLSELGCHQAVALMDRLM >Dexi9B01G0028360.1:cds pep primary_assembly:Fonio_CM05836:9B:30951760:30952311:1 gene:Dexi9B01G0028360 transcript:Dexi9B01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLGLFLALNLLFAGAAHGCASYDCAPTDPTPPAEPAPSTTYSPEPPTSPAEPTPSTYTPEPPTAPAEPAPSTYSPEPPTPTTPATNDHRPAERCPKDALKLKVCASVLGDLAKAILPEEEKACCELLDGVADIDAAACLCTVLKADVLDIPLRVPINISIYLNKCGRKNYPVGFACPHRH >Dexi7A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:7A:9129175:9133717:1 gene:Dexi7A01G0002290 transcript:Dexi7A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLAAAAALRLLSSSSSSSSKVRLLSPSAFLLSRRDDDDHGREGPSSPPPLPPAHAASAFSPRPLLTSASGAAGLLGLHSGWLRRALPPAASRSHGATADAPPAQLTISRSYSLRVAKGKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWVSTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWSSQPPENIHWAALVIGGSFLIEVVYFLFICHSSPITFLLISNVVLQDGAAVTGLAIAAASLVAVQTTENAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIGIALLFWHVSIFETVTSLS >Dexi1A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:1A:10183771:10187681:1 gene:Dexi1A01G0011260 transcript:Dexi1A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSSAAPTPLTAPARPPRRRPPSAARGGLARLSGGIALGLSLSHAGAGAALAAPLSYEEMLRLSTNSDSGAGGFALPDLDLGGFALPDLGLDGLADFVSENPLVVAAGVAAVALPLLLAQLLGGGGGSKPYSVVSARAAYQRLLEEPAAQLVDIRTRKDAQEDGAPDLREAKKKVVAVPYSGEDKNGFLKKLALRLKDPENTILIILDKFDGNSELVAELVTANGYKAAFAVKDGAEGSRGWKDGSDSLPLTIGVAAATGLGVLAYTEIETLLQFLGSAAIVQLFATKLLYAEDRQKTLKQIDEFFNKKVAPKDLVDEIKEIGQALLPLPGEAKSQPALASATPAAATATAAPTEAGPAADAAAAATATAAPTTEATPLSPYTTVSYPDLKPPSPPSSTSAEGESESTTTEAPAVVNSAPVGEASTESPPARPRPQSPYPNYPDFKPPSSPTPSPP >Dexi2A01G0027720.1:cds pep primary_assembly:Fonio_CM05836:2A:39039239:39050036:-1 gene:Dexi2A01G0027720 transcript:Dexi2A01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDAGTAAAAIDEAYEFSAPRFFDFINEETEEAIRAAESWFEAALSYAPSPFHPRIKESRAVAKVAVLCDFTEAEEPALEEQEEPVEGVARGVAIGAADAVDGSMQEKKDPSFGSVSGGDSGGTFASAGSQLEESAALAEAMSESPRADEMSESPPAQEEKDESPKSFAFLPSRDLSEKSDGGSASTPKIQRPPPPTNIKAAPAISTCSTSTVKTVACTPKTQALCKAGPMAGSMSVKKSVVKGGFDLVTGKSASAGAAEIVQENQAVKKQRLDDGRARQILNVKTRVLPHKGRADFAGSSEMRRTYEDVHPVKEVTPYVSAAELVKKFESGTRKMSLTNRSLSHEDSDLQGRPKLMLTRPKEPELQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPKFPPLPRKPPQVPEFNEFHLKTMERATRYMDTCSEISSADTVRSQSKPLKSTQPKPPQLHTAMRARPPSVKSSQELELEELEKAPKFRAKPLNKKILESKGDIGVFAHPKPQVTAPKEFRFSTDVRLGPPSVADLFDKLSLHSDCSSTSNRQDVPRFTKPNPFNLHTEERGQLKERQLEAQLLQKKMEEEKARVHKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHELEQQRLMEERERLEREEAQRRIVKAQPILKEDPIPLPEKERKPLTEVQQFALHVDERAVQRSEFDNMVKEKEKTYKRLREENEFAQKIEEEKALKQLRRSMVPHARPLPKFDRPFRPQKSTKQVTRPKSPQLQVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGAHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSDPQTAIRKAYQLTDQKILEKASELGRGGSTAVTAILIGSDKSVKLVVANVGDSRAVISKNGVAEQLSVDHEPNMERQSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPYVIEETINENSDFLILASDGLWKVMSNQEAVDEIRDFKDAQAAAKHLTEQAVNRKSKDDISVIVVKFLC >Dexi9B01G0048790.1:cds pep primary_assembly:Fonio_CM05836:9B:47670534:47674275:1 gene:Dexi9B01G0048790 transcript:Dexi9B01G0048790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVPLGAASPDDEERTSSASGGSSASGSASGSGSGSDAASGTDLLTHESRGLLRPVFRFLEIEPQAWPGLEETAASPEAKHHIGAFLRIVFEENGESSSDRLEQEHALAKAVDVMVMSLGSDTALEDKIKEESEGSAVPSSSGMAQSPDQCSPEDLLGIDKLSLDDVPANHHRKMALLYALLSACVADKPVSQEEEDRKSSHFRKGYDARHRVALRLLATWLDVKWIKVEAIEVMVACSAMAAAKEQEQERENASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGGLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENIDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLPIVSK >Dexi2A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:2A:15009245:15012564:1 gene:Dexi2A01G0012840 transcript:Dexi2A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLLSARQLDGNNRLRPLLREAVHDLLRRVSGMAEVWATAAVGRASFAAMMGVQWHVLGEAGRRGGARAARGAREAVGLALKPNVSDFFPALAAADLQGVRRLFGRRVARMIDEQIERRMLMRGRREAGAGRSSGEKDLLDVMLDMSSEHGKDDGKVTINRDVIRTFLTEELRKVLGSKAHIVEHSDVDRLPYL >Dexi1B01G0031330.1:cds pep primary_assembly:Fonio_CM05836:1B:34971632:34972117:-1 gene:Dexi1B01G0031330 transcript:Dexi1B01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPRNTSLALPTRPARPQAVSTQMKVTSLGRTPSACIRPNRSMASRQRPWSDSAEIMAAKTSRCSGVAAANTCLAASRLPHLAYMSTSAVATRPSMSIEDEERRPPASRACTHLPCSSAPSAPHAVSTPSTAALLGLTPDLSISSNSRTASAKPPAAT >Dexi3A01G0023590.1:cds pep primary_assembly:Fonio_CM05836:3A:19283801:19285800:1 gene:Dexi3A01G0023590 transcript:Dexi3A01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSRPTPAALFLFAAAGICAQFAAVLADDPKDDTKAEAQPKGHTGKTVLFALLGVGAVILLSFFIFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >Dexi2A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:2A:13413553:13417966:1 gene:Dexi2A01G0011510 transcript:Dexi2A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYELGWNWNDSKELAQGTLASHLLECGCQLTGGYFMHPGDAYREFSFEQLLDLSLPYAEVSYGGEVIVGKADGSGGLLSHSTCAEQLLYEVGDPANYITPDLEGGWKGWGEISYGGHQCLKRAQAAEYLVRSWMGERYPDIDDKIVSYIMGYDSLKAIGINNDSYSSKQVIDARLRMDGLFELEEHAFEFVEEFIALYTNGPAGGGGISTGQRKEIILQKILVDRENIFWRAHAKKASIPCLQNQAAECEMVRMHILQSQKNPTNRAMGIPRVDTSIETLPSPVRASHGKKIALYHIAHSRVGDKGNDMNFSVIPHFPGDIGRLRAVITPDWVKDVVSPLLDLSSFPDERMIQRQINLLELVSVEIYNVPGISSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEVALPS >DexiUA01G0014290.1:cds pep primary_assembly:Fonio_CM05836:UA:30300649:30300858:1 gene:DexiUA01G0014290 transcript:DexiUA01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPPKLWWPELLGSPENPAVTKIKQDRPDVSVEVLPTGAPVTPEFNPERVRVFIDLNGLVAQVPVIG >Dexi3B01G0033080.1:cds pep primary_assembly:Fonio_CM05836:3B:35459500:35459817:1 gene:Dexi3B01G0033080 transcript:Dexi3B01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVMKLASERAVVVFTLSSCCMCHTMTKLMQDLSVNALVHELDSDPRGKEMERALLKMLGGKGPAVPAVFIGGKLVGGTNRVMSLHLGGELVPMLMNAGALWV >Dexi3B01G0027440.1:cds pep primary_assembly:Fonio_CM05836:3B:22965079:22965558:-1 gene:Dexi3B01G0027440 transcript:Dexi3B01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARRTIAASFPECCRRVRVRCSSIGKGAHRSSVPYRLSELRSMPMHRDPTKHASFSIPSRSPSSSLLLLAGSAVAVSGRIRRHRTWPDRPSPWRGAAPLGGIRDGPPGERRPPCADPPVALPGSRLPGLIRRPALHPAVCLAASRLCPFSLSIDALR >Dexi7B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:7B:14263432:14268090:-1 gene:Dexi7B01G0006530 transcript:Dexi7B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMAAGTPRPACAVGARGGGGGAAWSSSARLFTGSRSSCAAASIGSGRRRRRCAISCCSSQDGDGPRPAAPPTYAAPSDGSIQLYSQIERVITEAARQSREGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLERLADRGALVIATPYASGFDHFFIADEVEFKFDRCLRNLDEPVNDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKAEAAIKQLEYLSPPVVKQLLPLLQQLPPLYMDLVKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGSTLGTDSGILRAQISKDVETLVDVIVSWITSNSGPRLLRP >Dexi7A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:7A:11554400:11554693:1 gene:Dexi7A01G0002760 transcript:Dexi7A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIACLQAQQKAPISTKQRRPRTEVRSWQLQHGRRCSDFHAIPARRGKRELPAPRRSAVLLPRLASCPCFSGREGERGRPEKAKDGVVTAAAANRG >Dexi1A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:1A:2072985:2073743:1 gene:Dexi1A01G0002890 transcript:Dexi1A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAWRMEGTWELPLHQRGFLVPELGGGGLVVGFPKGAHKHSMDKERCWEVCALDVEARPPALRRVWKIPPERLAEVSLPETVSLAYIGNGRFCIARSIDVKAPTVDGYLCEVRGTSFTLLDMRRLPGGDLELAKHGKVQGHAWPWEHVGHVDG >Dexi5B01G0028750.1:cds pep primary_assembly:Fonio_CM05836:5B:30057652:30059087:-1 gene:Dexi5B01G0028750 transcript:Dexi5B01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSSWLAACSPWSAFATLAALMTGVLVLSYVSSSFLNQQAPYEYDDPATTAAVASTVALVPRKGIGYPPVFAYYITGGHGDSVRVTRLLKAVYHPRNRYLLHLDAGAGAYERARLANYVRSEQAFLEYGNVHVVGKGDALDGRGPSAVAAVLRGAAILMRIGADWDWLVNMGAADYPLVTQDDLLHAFSSLPRDLNFIEHRADSETHNVVVLDQNLLQSTNAEISFSSGHREKPDAFELFRGSPWSILSRGFVEHCVAATDNLPRTLLMYFSNTLDAAEFYFQTVMANTPRFRNSTVNHSVRSGVPPPQALDQRARYDALVGSGAAFAGRFGDDEALLQRIDEEVLRRPLDGVTPGEWCAGGTGEERAAAECSVGGDINAVRQGAAGKRLASLIAGLVGNGACHGCKS >Dexi3A01G0033630.1:cds pep primary_assembly:Fonio_CM05836:3A:38345344:38346228:1 gene:Dexi3A01G0033630 transcript:Dexi3A01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIWLKEYRYFKDKSDAINSNTGINSDLTELLQKWLCPGQKIAVGKPEYKSIIEASLDPAVMEVMLGVTNLMRSLLPQETLTKEDRPQMSQGLETFLKGYGLEVEPDMVNELIIETACVLYDIETCLKNHTKSLNCFRSLLEEVFCIKAEDWCSLKLAKALTIVCYPGLEILMA >Dexi2B01G0027550.1:cds pep primary_assembly:Fonio_CM05836:2B:36449496:36450773:-1 gene:Dexi2B01G0027550 transcript:Dexi2B01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLISLVACAALASGATGVRIELTRVHSSPFVRDAVRRDMHRHSARRLAASGDTVSAPVIEGPSSLGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGDDQCFQQSTPLYNPANSTTFSYLPCSSQLSICEAASLPACVCPGYNYTYGTGWTSGVQGSETFSFGSSPADETSVSDIVFGCSNASSSDFNGSSGLVGLGRGSLSLVSQLGAGTFSYCLTALQDSNSTSTLLLGSSASLNDTGVGTTPFVPSPDMAPFNTFYYLNLTGISLGTTALSIPVDVFTLAANGTGGLIIDSGTTITSLVDAAYQQIRAAVLSMVTLPTTDGSDATGLDLCFALPSSKSAPPAMPSMTLHFDGADMVLPAGSYMTQDSNLWCLALQNQTYGMPSILGNYQQQNMHILYDIGQETMSFAPADCSTF >Dexi5A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:5A:11553851:11554623:1 gene:Dexi5A01G0014480 transcript:Dexi5A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIFDYIPARRRVSAADFWPDSDADDSHAPHPSDERGAAPRGKPKRGRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDAAASSSPATARAAPPPPAAAAPPAVLPPPKAEEAVSDEVKELSEELMAYENYMNFLGIPYMEGGSTAAAPAAAAASAPAAVEEPQAPVPAGLWSFEDFNYYPASLALFTE >Dexi3A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:3A:9855366:9872016:1 gene:Dexi3A01G0013560 transcript:Dexi3A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGAVLRGPLTNGSSEEMAPTLNCEDESCPMEIFRPPEDCNLNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARRVTVQTSGTISATGLGCRGGIGQGKMLSSGISGGGGHGGKGGDGIYSGAHAEGGPAYGHADLPCELGSGSGNVSASSTAGGGIIGGGGRIHFHWSDIPTGDDYLPFATVEGTILTRGGVSEDHGFPGENGTVTGKDCPKGLYGTFCKECPSGTYKNITGSSKSLCSPCPPNELPHRAVYISIRGGVAETPCPYKCVSDRYRMPRCFTALEELIYTFGGPWLFGLLLSGLLFLLALVLSIARMKFVGTDDLPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYAVPSSIWHRLVAGLNAQLRLIRHGNLNATFLPVLKWLETHANPALNTYHVRVDLALFQTTVLGYCQFGLLLHAAEGEVAPELQGGSVIDTDQHSVNQNTYADSQLGHSRTNDPLLRKRITGTILSVENLRMLKDRKDLFYPLSLILHNTKPVGHQVTLSFSQL >Dexi4A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:4A:7115430:7117164:-1 gene:Dexi4A01G0009030 transcript:Dexi4A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVVAKNFDVLAGPIISLAYPLYASVRAIETKNPVDDQQWLTYWVLYSFITLFELTFAPIIEW >Dexi2A01G0030520.1:cds pep primary_assembly:Fonio_CM05836:2A:41434808:41437182:-1 gene:Dexi2A01G0030520 transcript:Dexi2A01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTHSHTARRRSGLGPQLCAAVAALLLLLSLAVLHSRLSSSSSSPFPASRSHSATAADGNTSAALLADEDVVEDVAAELDPLLTLTTTTDTDGGAGTVANPDDDRIDELDVLDEDAAGADAADDATASAAAATSLVWDHVTGAARLPFRLPAAGESVSAGLPRIDSSRRIAVAAFGSDDELVDLELRVEISSIAGIEDALLLKPASAKGADTRLRAGWARWLEDKADYLRRDRMLRSNLESLNPQNHPLLQDPDSPGLTSLTRGDRMVQWMLLAEIDKPASNNFQQRRLLSYEDKQGMGAIVKVKQQKGRRCGYFPGIDPHLGFSEFMEKFFELGKCSMRVFMVWNSPQWAYGIRHQRGLESLLKQHPDACVVMLSETLELESFREFI >Dexi6B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:6B:1939599:1941931:1 gene:Dexi6B01G0002230 transcript:Dexi6B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYDTVHGHWKHSDIKLKDTKTLLFGEKPVTVFGYRNPEEIPWGESGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKVINDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLEKAASYEDIKKAIKVASEGPLKGILGYTEEDLVSMDFVGDSRSSIFDAKAGIALNENFVKLVSWYDNEWGYSNRVVDLIRHIFKTQ >Dexi8A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:8A:1562062:1562573:1 gene:Dexi8A01G0002380 transcript:Dexi8A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGKNNEGELGARSLRRCVRLATIKEAAVSEQSEILRLKREAKIRRIMREINHTTEEEELQPQAAAGNKRRKMVIKKTLVPRGAIEFMILHPHKPLEGFPEEKLAIYSQEFRESYFRRKAIADNLLEQQRALIRQFRKKGYAEDYKEVEVTDDEGN >Dexi9A01G0046150.1:cds pep primary_assembly:Fonio_CM05836:9A:49528842:49534540:1 gene:Dexi9A01G0046150 transcript:Dexi9A01G0046150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPAALLGCAVAVAVLAAAVECAVTYDKKAVLIDGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNYYFEDRYDLVRFIKTVQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKVSLCMAICVCICKICPKKNDHNFVGSRNLVELSANDRSKISHLRLLDLYKKAVLLSITTCIMEEQILDALLGVPSSQQAMIMTLQLMNMVRLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEVCLAFLANYNSNSYAKVVFNNEHYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWADGASSMMWERYDEEVDSLASAPLLTTTGLLEQLNVTRDSSDYLWYITSVEISPSENFLQAGGKPLSLSVQSAGHTLHVFINGQLQGSAYGTREDRRIKYNGIANLRAGTNKIALLSVACGLPNVGVHYETWNTGVVGPVVLHGLNEGSRDLTWQTWSYQAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKKWQIESYGEREYHRAKVHLRCAPGQSISAIKFASFGTPMGTCGSFQQGDCHSANSHTVVEKKCIGLQKCVVAISPENFGGDPCPSVTKRVAVEAVCSPTA >Dexi9B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:9B:9741936:9742463:-1 gene:Dexi9B01G0014580 transcript:Dexi9B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAADLVALQPDDALAAVLRRLAPRDLAASRCVRRAWRGVIDDRRMLRPELLPLKVGGLFVNFHNKSFWELFSRPSTGPTVSCWFDFLAGGTVDEVMPPSLHHCDGLLLFECDHVFNPATRRWASLPRRPRPAMPPRHIFHQPYLVFDPAVSPHYEVFLVPRVRYKDQKPSKA >Dexi3A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:3A:15573866:15575707:-1 gene:Dexi3A01G0019690 transcript:Dexi3A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSSVRTEDGASACSTAVPGTDQDAAESVAGSATSADYLRPSFHVDAAAASPPPSFLADPPHMDNWAAYISGGRAAAEATIGFNAVLQLHGDSYLLDQQPDVVVDGGAAPLVAPSAAASRSASSLYVDNQYSSYGGVAMAKPFSQQQQLSGFFASSTGNFSDVASATAMTTKPLLLQDLEHKAFDSRKEPVQEDACSSATRSGVSDSSPPAKKPRIATPSSMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIRFLHDQVASLSSPYLKCGRPVQQLQQRQAKDDCGESKEDLRSRGLCLVPVASTYAVASETAPEFWHPTFGGTSR >Dexi7A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:7A:1271851:1272642:-1 gene:Dexi7A01G0000480 transcript:Dexi7A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHHGGHPLLHPPAASATLAYATSWDYTPSVAPFDSTGAGDTQLDSCASSTELRRALVRALAELDACRAAHQAELRRMESEAARHAALLSSAAAERDELRRHCHSLLLLLHHQAAAAEPTPSLHADVLGGSGGAAATAAMDEHAGYAAAACVDETELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPAAPADIPPFNPGRQSPLNTDGSNSFSSASAGSSSPESNCSGGGPPPAAAHALPSFHMSPFCM >Dexi9A01G0042220.1:cds pep primary_assembly:Fonio_CM05836:9A:45822559:45824387:1 gene:Dexi9A01G0042220 transcript:Dexi9A01G0042220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLPAAMRSFLRGRSNRALLHAARDLQAGTGGGGGERCSRVPRRWQSSLPQLDNADRSDEENGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRADRGGYTLFRPEENAKRMRYGAERMCMPAPSVEQFVNAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVEDGFHRAMPGGTGGVKTIANYAPVLKAQMDAKSKGFTDVLYLDAVHKRYLEEVSSCNVFVVKGGVVATPATRGTILAGVTRKSVIELATDRGYKVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTYQGQRHTFRTGPDTVSQELYTTLTSIQMGLAEDKKGWTVAVD >Dexi9A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:9A:11907345:11909804:-1 gene:Dexi9A01G0016810 transcript:Dexi9A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDEGYGPTWDSDDEYDNFIRKMNPPRIVIDNESSAEATIVRVDSANEYGILLEVIQVMIDLDLVIGKAYITSDGGWFMDVFNVIDKEGKKIKDEATLSKIEDYIRKSLGADSRYIPSRRRSVDVAAAADHNVIELMGTDRPGLLSEVSAVLASLNCNVVSAEIWTHKTRAAAVMRVTDKDTGLAVTDAERLERIRERLSYLFRGGDISRGAAMAVSSGTATMHAERRLHQMMLDDGDCEQLQRQDPEQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLQYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTETERLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNLQVKGQPEPPDPQKKESPTWFLFANLFRPRSLYSLGLFMR >Dexi9A01G0023030.1:cds pep primary_assembly:Fonio_CM05836:9A:18194169:18195719:-1 gene:Dexi9A01G0023030 transcript:Dexi9A01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTADFVVLCIGRFSGIPNIPTFPKGKGPEAFDGQVIHSMEYAKMGTTKAKEMIRGKRVTVVGYLKSALDIAAECAERWAFSKFAESYYSIPMKKYDMVPNHSLFDALAACLIAITPKDHYKRSGIAILHTWYNDQLCRDMGCNPRRKKGFFKELFEVYGPGDYVDLLPKKE >Dexi4A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:4A:4321455:4323716:1 gene:Dexi4A01G0006040 transcript:Dexi4A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLTAGYCAATGIYSSHHPPLAMAATSTSFPDYLFRRLLEFPPDRPAYVDASTGATLSFADLRALSLKAAAGLAALGLRRGHVVFLLSPNSLHFPVVSLGALAGQAIDSEPFLVLTTAELASKLIGSASRVVLIDQFLAGVVDDGVWSPPCSCDDADDPALLFNSSGTTGKSKGVVSTHGNVIAAGKILRSVWGRGDGDGEVYGCVVPMFHMFGFSAFVVGAPAMAATTVVVPGRFKVDKLMPAMEEHRVTTLLAVPPMVVQMAKAAGEPPSSSTSTRRRRLCLRDVVSAGAPLQQEHMARFRSCFPGVRLAQCYGLTETTGNVTMDDLCPLPHDNSDGGGAAVKEPSSLKTGRLVPSTEARIVDVESGEALPPNRAGELWIRGPSVMQGYLRGEEATATALVAPLASDDGGGGRWLRTGDL >Dexi4A01G0024540.1:cds pep primary_assembly:Fonio_CM05836:4A:27267722:27268058:-1 gene:Dexi4A01G0024540 transcript:Dexi4A01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAERWFEMSKSDPEDDEPAELQCSDEASEVLKQRLCTLNEAAAAMSRASVTKSGQMSRNRHPDYEFFLSRYR >Dexi3B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:3B:11734504:11735255:1 gene:Dexi3B01G0016190 transcript:Dexi3B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFSVASAAPRLCPVTVMLSSSSLYVSTSLLTSLNACIYRSIVYAGWTMASQPSRSPSRPLGATMAKSRSSAHSMARTVPRQATTTSRRPSAAFPSSESVRHGHGRRGVEEPGDPVRGGVARQPYTVEEARPGEPAQPRVRRDGLVVEGVVGRLRHLAVAEDGAAQVEVERVKEAVPAALAVELAPPPGRVTEAAVLRHDCNN >Dexi9A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:9A:8281780:8287045:-1 gene:Dexi9A01G0012970 transcript:Dexi9A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTASSSSAPTAAATAAAEATDGPVLSVVSKRLRALRKKLNRITQMEDSMAAGKTLNREQEEVLRSKPTVVAQIDELERLRAPLASALAEELSSRPAPTPAPAAASSSDSDSSVHDLIALVYFGSLFDVKEHTEFVSTMVTRTHERNCCITYDYVTDDAADLLAETDLDAVTALASLAASRPASAAGVSHRDALQACAHHARLWLRRADEPIHPGSTITYAGVRAKLEKIMSSDYYTAQTEIRATEALAAAVDSYGAGGATQAQESMVVSPQAPEAVEEGLAVEGHKDVQEETHATEIYIDHQAPAVAGQHVDDVALVNQTDEVPSAEVEQERFDDDVEDQEQKDQQFVQRRSYPNQRGGGRGGRRGYPNGRGGRGGRGGGYQNGRGGGGGYQNGRGGGGGYYYESGYYQPRNYNNRGRGGRSGGGDSYYNNQGGGGQGGGHGRVELGANA >Dexi3B01G0023350.1:cds pep primary_assembly:Fonio_CM05836:3B:18144535:18149055:-1 gene:Dexi3B01G0023350 transcript:Dexi3B01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDMAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEETVTTVEIDDETYEEIVRTSKRTIPFLFVRGDGVILVSPPLRTV >DexiUA01G0023130.1:cds pep primary_assembly:Fonio_CM05836:UA:47204911:47209339:1 gene:DexiUA01G0023130 transcript:DexiUA01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLVKRKKNLLVLSFDRSYPSSAAAMSSGGRYMAYSPSPSTGPHSPHLQLADHEKYIAELLAEKQKLAPFMQVLPCTSRLLNQAEILHVSALLGIPVLDQPGYQHGSPLINGGSIPNGRPVEMNGWAPAIPSEFISLLSMFCFTFQGAGMFHPPSRNWLSPQGNSSGFVVKKTLRMDIPVDKYPNFNFVGRLLGPRGNSLKRVEANTDCRVLIRGRGSIKDAAKDESMDFFKKQQLRELAMLNGTLRDDSSQKFGSVSPFHNNMGMKRAKTRG >Dexi9A01G0022900.1:cds pep primary_assembly:Fonio_CM05836:9A:18060157:18062408:-1 gene:Dexi9A01G0022900 transcript:Dexi9A01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVPNGPVPSLEPFGSAPAKTTPLQEYDHRGAAFGFDDFVRRDFLERSEYYLKDDGIKIMCEVIISKKIYTEDRAAAPRPFVVVPPSDMHRHLGDLLATEGGADVTFKVAGEIFRAHRYILAARSPVFKVELLGAMKESTATGDCIQIDDMLVQLFKALLHFVYNDSLPEMEVQEEAVMAQHLLEAADRYDMQRLKLICEDKLCNHLEVSTVATTLALAEQHNCQGLKEACIEFLISPDALEAVMVTDGFEHLTKSCPALVKELMSKLATRLYKRRKLKA >Dexi8A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:8A:4111778:4114249:-1 gene:Dexi8A01G0004670 transcript:Dexi8A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKGEWGTTRQAAGPKPVTVSMVVHASAYADELVQTAKTISSPGRGIIAMDESNATIGKRLASIGLENTEANRQAYRTVLVRTPGLGQYISGAILFEETLYQSTVDGEKIVDVLVEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQAGARFAKWRTVVSIPTGPSELAVKEAAWGLARYAAISQENGLVPIVEPEILLDGDHGIKRTFEVAQKVWAETFYYLAQNNVLFEGILLKPSMVTPGADCKEKATPEQIAHYTLKLLHRRVPPAVPGILFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSCLKTWAGRPENVKAAQNALLLRAKANSLAQLGKYSSDGEDAAAREGMYVKNYSY >Dexi1A01G0027950.1:cds pep primary_assembly:Fonio_CM05836:1A:33653022:33656825:1 gene:Dexi1A01G0027950 transcript:Dexi1A01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGGVSGSAGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFENENDEDERKEGSQEGEGQSPGNSFDNAGAKKSNLLSTSSSNSLPDAQGLVSGARATDSARIAKFTTELSRPAVILAVCPHICGLIWLQGYAPPNKDRREGVLTRKRLEYVECVSQYYDIADSERSDEEITMLRQIAVDCPRTVPDVTFFQNPQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGNMDTWSMDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEQGLEFLQFAFRWFNCLLIREWSDKLQKLDFQEMVMFLQHLPTRTWAHHELEMVLSRAYMWHTMFKSSPSHLAG >Dexi3A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:3A:696104:697725:-1 gene:Dexi3A01G0000950 transcript:Dexi3A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAAGDELLAQLRQLLFSPSPTTVVESSGGGGGAPPCCDGRRRRRRGSKRGRDDDDDKTCNHGQQQDVVDEPAADAQLRRHSRKTRRRKQEKSSKCLVTSVPDFDGYQWRKYGQKQIEGAMYARSYYRCTRSAEQGCPAKRTVQRNDDDAARPAEYTVVYMGDHTCTPNDDYSLEAPPVILETTAVPAASTTSAAGQSPAISDDMTCGSSSDYADDYYGPLRFAVNDDSWAQETMMEDLISGPIRSPLHIPASADDAWTIDHYFLMLDAAGS >Dexi1B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:1B:4330957:4332590:1 gene:Dexi1B01G0005310 transcript:Dexi1B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVPLVPNKAEDTVEIQGHIIPEGSNVIVNLWAIHQNGEVWTDPCKFIPERFLQCKEFNYQGTDDFDFVPFSAGRRLCLGLPLATRMIPALLGSLLHHFEWTLPQEAMENGLDMSEKLGLTLCNGYPATSYGYGEENVMSPAGTCCNS >Dexi9A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:9A:12297040:12298306:-1 gene:Dexi9A01G0017280 transcript:Dexi9A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELAYQYAKEGACLALVARRKQALKSVAAAALERGSPDVLVFPADVTDPEQCRLAVEATVAHYGKLNHLVANAGLWSSCAFDQVTNISAFTKLMDVNFWGSVYPTYYALPHLKASRGKLIVSSSAAGTVATSRMSFYNASKAALLRFYETLRAELGSEVGITILTPGYVESEMTMGKGIQKGGEVAVDEEARDAQIGVFPVGRVETLCEIAMDGIRSGDWYVTWPSLYRPLQLIACLAPEVLNWMSYAMYKEDARGRRRTLGQRIQEATGAKRLYPSSLLHPVVKMD >Dexi2B01G0034620.1:cds pep primary_assembly:Fonio_CM05836:2B:41927596:41935754:-1 gene:Dexi2B01G0034620 transcript:Dexi2B01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVGRAVRKAFPGFGTYAGVVESYDADAGYFRVLYEDGDSEDVDADEMAGILVGPAMPPALQQTPPRDVSSPRRPKKRRRGDGESPSPAPHAPPGDGVVLAVPAADGGSDGETEPATRAEASREPPAVATEKKRRLSPGPVSSARPVRRSARQAKAAERAAEMEAAAAVAAAAEAAEAEAAAASTPQQSGRKRPRVNGSGRYRSVSRDLEEAAVKKTPPKPVLPPKPELPPSSQGLDLGGLPVLDVFQVYSCLRSFSRQLFLSPFPLDTFVAALHSTFVNPLIDCVHFALLRALKSHLEDFANEGDPSAVHCIRNLNWELLDLATWPIYLAEYLLTRGTELRYGMKLTDLKLLSTEYYRQPAVVKLELLRSLSDDVLEIGAIRSRMSEADGNDEGFRRTGLRRKKRGSYAKGAVDSSQSPEGSDEMDDGRLNGLLTGPWQNPQYHIYWRKAVLKALDVSSLKQPLLMLESSLRRVAISGEWQKPADSVEVVGSAAHILVRSSNKSSSHGTARKPGRKPSSNGELKVDSRDVGVYWWRGGKLSRQVFHWKRLPQSLVYKAARQAGRRKIPTILYTDGSQFARRFKYIAWQAAVEMAENVAQLILQIKELEWNIKWTEILGTLPSSLMTKEMQKIARLFKKVIIRRKRIEGTNVEYLLDFGKRENIPPVISKYGKKFEEPSSERNREAVNCQYCAALFHRKHFKVPRGATDAVYVCNKCLSEKNLVVTSPQKKAAPKKSSPKKKQKKQKKQSHKIMTRRNQIVLKYKKKIGKKGKRGRPRKSPLEPSKNEPPKTRESEPSNVPKDEPVKRLSKRLYNKYMKGNSNVSEHAASCRKRKRTALQYSYWLNGLRWTQNPHDERAGNFRKERVVFPSEGTEMSEVSPVCCLCEKCYSEEDIYIACENCEDWFHGDIYSVTIENVNNLIGFKCHRCLMRSLPVCPFAQTKSDKDHGIKFVPDEVHKIDKFVADEDHNSQKDVGAHGSQKDLHDHSSLKKVDIESRLNGHITEKELSDNNCLEELDDHNNLKEVGTRSNEEELDENKSLKELGAHNELKELDSPGSEKEPVNDYCPKELDDPINLKDLDSCRADRELKNHNYLNELDGRYYWKGLNDHNSQEELYGTPSSKFAAEGTQCPTELNGFNGLKVDNHNNLEELNSHNCPVEIDNKNSSKEPDNNRSPNDSCDFLAEHFNNIRISGKEALIVTPETGPVKESPALQSKDDSEKTVPAEHDIDFHVVVT >Dexi2A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:2A:31013798:31014406:-1 gene:Dexi2A01G0018870 transcript:Dexi2A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLSGRQSVDRSRRPREQNLVDWARPYLKYPDRLYRVMDPALECQYSCKGAEVAAIVAYKCLSQNPKSRPTMREVVKALEPVLGMDDFFPAGPFVFTISVEDDKVVGVKVEVEEKPPQHQSHQDRHRKKYPNSSIHAGIVLHHRDGVVGGDYTAALRRQRRASSHRQERGA >Dexi3B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:3B:6569212:6571880:-1 gene:Dexi3B01G0009510 transcript:Dexi3B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQQTLLRRLQPMRTLAQSPPPWAGGGLGCYYGSATEGKKAKTAPLQARGMVDKFRMRAKGGDGGSGCVSLRRSRSDRQGRPDGGNGGKGGDVILECSRSIWDFSGLQHHMPVYFGFQRGGRGGNGVSKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSFAVNKPTRALDPWDIPGAAEHSSASSNQIDNAGMKGFDGGLSHRHIAPKHSTGGYEAEKESNRQSGKYLHTCSKPGFSNTDCDARTYPHQEDMDEKDQTESEDEELWEDEDEFDIDEEESEEEEEQNVQYSVAEMTQPGQRLIIARGGEGGLGNAFIMKEMRPSKAYRQEKIARLSTGHSGTETFLILELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYEFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRRGIPPWEQLHDLVTELEHYQEGLTRRPSLIVANKIDEEGADEMYKELTRRVQGVPIFPVCAILQEGVPDLRIGLRNLMDDSDPQGIDLRKNTVQ >Dexi3A01G0029640.1:cds pep primary_assembly:Fonio_CM05836:3A:31834284:31835939:-1 gene:Dexi3A01G0029640 transcript:Dexi3A01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFFAAMTKPGVYPALEILLFLAALLCGVQCQSSQGGSGGGGGGAANLTVVGAVFCDACSSSSFSKNSYFLPGVKVRLDCMIKVNSNSKEEIKITAEKTTNSYGAYQLDIPAIDGFECAAPGATAAESFCRAAVLDNPSELCNVPAVTTTAGHISFPSKDPSSCFYSLNSLYYRPGKPPEPAAHCGGGGGVSPEPAALNTSLFYCPPWPWPPIPFCTPRPWLPPIPFFTPPPQPWFPPIPFFTPPPPPPAFPFFPLPPIPFLTPPPSPPPPSFPFPHLPPIFATPSPPPPPPAFPFVLPPLPQLPPLPHLPSLYPPPPPPPPPPPPPPPSFHWPFPPLPFFPPGSSGPSPPPVRKYSRKDPTTWSPSENKP >Dexi2A01G0032750.1:cds pep primary_assembly:Fonio_CM05836:2A:43138582:43138822:1 gene:Dexi2A01G0032750 transcript:Dexi2A01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFVLVVAVACAYFLWPVAMMKAPGGAGLFISRAAFEANPQLYFQLLRTLGAKAAAAAFQPVWLAGGLGCCFGG >Dexi9B01G0023010.1:cds pep primary_assembly:Fonio_CM05836:9B:17964626:17966046:-1 gene:Dexi9B01G0023010 transcript:Dexi9B01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQDEKLVLPDDVLLAILSRLAPRSLATSPTPAAFCPETSCPVRCKKRFFDRDGEAAGSVADMQLDGRYWMRRRNSVYCHGALYVHCENDYIMRISLSANKYRMVKPPRVTCDYLEFNLGKSGKDVYYALLDDDDLLRVWILAEPSACGELEWMLKHDSGCSLSLPSLNCVPKAQGPWNLHDVTSGKGGESGAVQKEHKYEWNSDDESILHNEDSGPSRCSDGYLQILGFHPYKEIIFMHWSPTRVLAYHLNSSKLEDLGDLRPNNMTVHDIGTTFPFTPCLIAGELSEQNNN >Dexi2A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:2A:12386093:12388941:1 gene:Dexi2A01G0010950 transcript:Dexi2A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVAAGGQGPSGLLPAGNCTTAMCGDVVVPYPFGTTPGCYLPGLNLTCDTNHTPPRLSLGDATLQVVDISLENSTVHVVGPEIHMVEHSSTDYKATGTWGGQGWGLSDEGPYFLSAEHNELVLSGCGFSAELLIPVSQDDQVVNTCGAMCSVGRGSFSGLGCRARIPFGRASYKVRLKTLQDPIFTNYSVFISEKDWFQQYISSRPSPAIPAVLAWAIVSNALAHVSDDDSRDGDATCPKDLGSTACHSSESSCRNIGR >Dexi5B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:5B:12704404:12707422:1 gene:Dexi5B01G0015460 transcript:Dexi5B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSEQAGLRRSSFKASVGAADGLRRRVSSMDGIRKEVRSSALRKRRCGGAQTPAEDAHPEALERTFASLAHMVAGLYSDDSRLQFEAAREFRKLLSIEQNPPIQEVINCGVLPCLVRLLSREDYPELQFEAAWALTNIASGTSENTMLVVSNGAVPTFVKLLRSPSEDVSAKWEPQNLIAEEWYLVKPALLVLRQLIHSEDKDVLADACWALSYLTHSNGDNSNIQAVIETGACSRLVELLSHPSTSVLFPSLLVVGNIASGDEAHTQGIIDHQALPYLLNLLITNQRKSVKKQACWTISNITAGNHEQIQAVINGNLIGPLVHLMGTAESAISNEAAWAITNATCGGTHDQIKYLVSQGCIKAFCDFLGHSDPRILKVCLEGLENILMVGEAEKSLGDCDINMYAEMIEDADALDKIEDLQNHDNITIYHMAVRLLETFWVEEDDAMPSEENAPQASIHDSKLHVSVPSGALNFG >Dexi9A01G0039510.1:cds pep primary_assembly:Fonio_CM05836:9A:43448012:43448254:-1 gene:Dexi9A01G0039510 transcript:Dexi9A01G0039510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHTFCKECILECLLAQKKDIKR >Dexi5B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:5B:3282286:3284997:-1 gene:Dexi5B01G0004840 transcript:Dexi5B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDYWRLRRDQALVIAQLAVWSLISSGNWRAAAAASVRRMMMLVPGLQGTVDVRQSAHGYTVHEKTDRRKKNKAARRLTGVCRSCTRFSNHQRRSTRIEPGIPAAMAATLAAAFLIGPFKKTFSAGRTTMSYRKAASPPAGHVNQQAARWCMNMHMGYGMVLSPSAPDSVPAMELCSYTRRREQHIACSLQQTRLGETYSCPIPCTAPATGLACFQRHEAHINAAEEILFLLPACRVERRDPCSSNGRMRKAMVGGRVSRAHLSVICAAQPCDVLGVGELMGTACKELADGDVWWASYRRIAGTETLPAQLGGWPLPVSSPSWSTGLFL >Dexi3A01G0030900.1:cds pep primary_assembly:Fonio_CM05836:3A:35198513:35199969:-1 gene:Dexi3A01G0030900 transcript:Dexi3A01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPCFGSAQAAEQERRAEADRRESQEARAKAAEAAQRRQEEFDKSAAGRAAKAQMKAMKESKTSNQGEPVLKWQMGS >Dexi1B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:1B:7861134:7861652:-1 gene:Dexi1B01G0008880 transcript:Dexi1B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLQQLQVQVRAAITREVKDPADQSRVLGVHEASGRVTGGDQEAGNAAGGFSLLTLIGFLFLTFNSIMAILRSQGDAMAVAFVGFSYADLVALFVCLRMYERARAGSATREWLKVAVWILTTLLTFAFSCKVAAVMPAPVAVLVWLMAFATVAGGFVAFFIYKEKKCETI >Dexi9B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:9B:11984038:11984348:-1 gene:Dexi9B01G0017210 transcript:Dexi9B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALGAMMLCACMNFWMGKLAADEAVHEHGGGGGDLRRLAEEDEDRAGEGVEDGEWDAGGHEEDGRALQVDAQHVVLVRAVGLPAQRLHRAPHAQLH >Dexi1A01G0024780.1:cds pep primary_assembly:Fonio_CM05836:1A:31229571:31229978:-1 gene:Dexi1A01G0024780 transcript:Dexi1A01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVALKMLLLLAPLVVGLGVALPRRGLPRRELDLEAVVDVVDHGAGARHGLHGRVAADGVGAVVVVIVGGGLLTGEPAAAEPPHDAGCHGQDGDHREHGEHRAERAPRRRGVGRVRAQDGVGGGR >Dexi4A01G0022770.1:cds pep primary_assembly:Fonio_CM05836:4A:26067006:26068090:1 gene:Dexi4A01G0022770 transcript:Dexi4A01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPFLPHPPKCEQQFSAGQASSSSLLLSDDTGNALDEAEQSDDLKDFLNLSGDASDGSFHGENNALVFNEQMEFQFLSEQLGIAITDNEESPHLDDIYGAAPQLSSLPVSSCSNQSIQNQGSPVKVQLSSSRSSSGSATTNKSRLRWTLELHERFVEAVKKLEGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPEPKEDKKASLEDKKAQSGSSGSESSKTK >Dexi1B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:1B:8357892:8358962:1 gene:Dexi1B01G0009310 transcript:Dexi1B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDDVRAAPLVVFDFDKTIVDCDTDNFVVDSLGATRRFDDLLRHLPWNHAIDAMMGELHAEGKTAEDVLAALRATPLSPHVAAAIKAADARGCELRVLSDANAFFIGAILAHHGLAGYFSGTDTNPAHLDAAGRLRILPYHPFSSPSSTTHGCTLPTCPPNMCKGKVMERILLEEEAAAAMARRRRRAVVYLGDGRGDYCPSMKLGEGDYVMPRAGYPVCELIAAAPPAAVVRAWDGFEDLARVLLGIVDDEIAIAVAKAEQEQDDAPSMNVVGAAAVVPVDCRGAMPQPGRQEALLPQAVRVPN >Dexi8B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:8B:18272308:18277475:-1 gene:Dexi8B01G0009960 transcript:Dexi8B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAGATAAGGGGEGDGAAPARGGGSGGAAGGGAAEDGMYTELWNLCAGPLVTVPKVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKPEQNGSSEEMPAAPPAAPVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGMEWRFRHIFRGDHSTSLGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDRYMESLKQNYPIGMRFKMRFEGEEAPEQRFTGTIIGTVDPDQAGWAESKWRYLKVRWDEASSIPRPERVSSWQIEPAVSPPPINPLPVHRPKRPRSNAVASLPDSSVPTKEAPTKVIVESHPNALQRALHTQDNAIPKSGFGDKSEMNAAQQSILRHSGFDQEKSTIGTQRKLGSDSWMQMSRPESYNEILSGYQQPKDLQNPQDFCSLPDQIAAGRPNFWHTVNAHYQEQQGNHNMFPGSWSMMPSSTGFGSSRQNYPVMQEIRGLSQSSANTKFGNGAYAALPGRGIDQYSAGWFGHMLPGSHMDDAQPRVIKPQPLVLANGEAQKMKGNACKLFGIHLDSPAKSEPLKSPPSVAYDGMPPTPAAAEWRRVDATEGERCSDPPMTPKLLDATQADPVPEKHLSCPQASRSTQCKSVKSRSCKKVHKQGIALGRSVDLTKFNGYTELVAELDEMFDFNGVLKSSNKEWMVVYTDNEGDMMLVGDDPWKEFCDMVNKIFIYTREEVQRMNPGTLNSRSEDSPATSVERSSAAKEMHGCLSTSSLNSENC >Dexi4B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:4B:4904627:4906643:1 gene:Dexi4B01G0007070 transcript:Dexi4B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGAVRSLLDRLRPPPRGWKKNPSPAMPPPPSAAVNRGACLCRFSHSIAHSEGRPGPMLPGKMGFLDLAGGRRFAPSGALSLKGCLGWQDDGGSGGFRRRVDGEAAGIKAQVLTRQRQLMRDPEVLQLEEVAASAKSLNANGACRRGKPLGFPEQAVASKMVVAVDVDEVLGSFLAALNKFIADRYSWNHTVSEYHVYEFFRIWNCSRERANYLVHEFFTTHYFQDGIQPIPGARDALQNLSSFCSLSIVTSFGAQVLIDDNPRYAMECANDGMRVLLFDYDNSYPWCKTGVDESHPLVTKVHNWEEVEEKLLSWVAPES >Dexi5A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:5A:23019371:23022740:-1 gene:Dexi5A01G0019360 transcript:Dexi5A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDASAGGSGAGGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPALVVEPMSSMTSTQSSRPAARSSATPSSENTRAHDSGSNTRSTGASQQPSAERSANSLRLDGRTMHFSVNAWVWNDYLLTSRRNIIQTFMYFHLLKMMYHAPVTSGYHQSVWARIGRAVNPYIYRYAPFLNTPISAIQRWWLR >Dexi1A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:1A:6356651:6358637:1 gene:Dexi1A01G0008260 transcript:Dexi1A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSFLRVANREHAENQVGLTWVRQLHCLAFDMERCVEFVVNLDNSSRWGWLRRVLQTVCCRAPPLPLDLAVAEIKQPKARVEGVSQRHTRYISIRDAKKGVVTIMPPAVEPNAFYPSALLHMMCDVCEATGRQRGNMGNLQELIAREDDDLHVISVWGSTGGDLGTASILRKAYCDPKICREFKSRAWVKLMHPFNHDEFVKSLLAQFYATSHQADAGLDLWTRMKAEATEDNLMKAKLMMQRMREQRHEVKWVNFTISYNVVV >Dexi5A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:5A:7193423:7194786:1 gene:Dexi5A01G0009600 transcript:Dexi5A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRILNTAKPTPSPTHRHHPSPPQPPPKLSRRAAAVGIAIAAAPALLTLSSPSSSKAQEETEPIAAAAATDAVAEPPCLEALPVTAKAFLDVSIGGEPAGRITVGLFGDSSPAAASRFVSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAVPAVTDRLAAEADALRARCGGAAVHAAAGAVSVVVRDPSLPPPKPKLVAKGGKLEVEEEQVGVVPNGTEFVITTGAAPELDEAAVLVGRVLDGMDVVAKIAAVPTVRDNAGSPYFRVAKLIGDKRAVVAERGFNRPYTKIVVTNCGRTISSATRSFSTASVFTFSSGDTRFLSSKMP >Dexi5A01G0032250.1:cds pep primary_assembly:Fonio_CM05836:5A:34805306:34807636:-1 gene:Dexi5A01G0032250 transcript:Dexi5A01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYLLPCAVLVAVLVLFFSVDAAEGAIREYQFDVQMTNVTRLCSSKSIVTVNGQFPGPTVFAREGDFVVVRVVNHVPYNMSIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYKFTITGQRGTLWWHAHISWLRATVYGPIVILPKSGEGYPFAAPYKEVPVMFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKGDTFKLKVQPGKTYMLRIINAALNDELFFSIAGHPLTIVDVDAVYIKPITVETLIITPGQTTNVLLTTKPSYPGANFYMLAAPYSTARPGTFDNTTVAGILEYENPASPPPHAAFNKNLPVFKPTLPQINDTSFVSNYTAKLRSLATAEYPANVPQEVDHRFFFTVGLGTHPCAVNGTCQGPTNDTRFAASVNNVSFVLPTTALLQAHFTGRSNGVYSPNFPAVPLVPFNYTGTPPNNTNVSNGTKLVVLPYGATVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPVKDPAKFNLVDPVERNTVGVPAAGWVAIRFRADNPGVWFMHCHLEVHVSWGLKMAWLVLDGHKPNEKLLPPPSDLPKC >Dexi4A01G0022670.1:cds pep primary_assembly:Fonio_CM05836:4A:25973491:25981204:1 gene:Dexi4A01G0022670 transcript:Dexi4A01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSESGVGPATWITRQSYQSTSHSPTNRRDAIVSFGSQFSSQAPPRSAVASAMASAGGSPPPRPLVFAFYLTGHGFGHATRAIEVVRHLVAAGHEVHVVTAVPEFVFTAEVRSPRLRIRRVVLDCGAVQADALTVDPLATLEKYHEAAVVPRESILRAESEWLSSIKADLVVSDVVPVVCRVAADMGIRSVCIGNFSWDFIYAEYIMEAGYHHRSIVWQIAEDYAHCDILLRLPGYGPMPAFRNVIDVPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQVAAQILQDTAVGKEFVSDKFSGAGKLQDAIVSGHQLQRIPGRDVRIPDWYSLSETENGAGPTSKNVATKETAASGCFEDFEILHGDLQGLTDTMDFLKSLSELNGNNLDSPEKQHQERTAASVLFDWEKEIYIARAPGRLDVMGGIADYSGSLVLQVCSLAGEKMPLREACHVAVQRNHPSKQKIWKHTQARRLENAGLAPVIQIVSFGSELSNRAPTFDMDLSDFMDGGKPISYLKAREFFCQNPSQKWAAYVAGTILVLMTELGVQFTDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLHIAPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMICQPAEVKELVSIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAALDLVSESLTSEAPVQSDYKQNGMGLLKSEAALEYLCNLPPHRYEAAYAKDIPEVISGDAFLEKYGDHNDTVTVIDPKRSYNVKAPTRHPIYENFRVETFKTLLAAATTDEQLSAMGELMYQCHNSYSACGLGSDGTDRLVDLVQEMQHRTIPEGGNPSLFGAKITGGGSGGTVCVIGKNCARSSEEIAEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRRS >Dexi9B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:9B:865648:869855:1 gene:Dexi9B01G0001490 transcript:Dexi9B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALASHALQPPLGRRPAGPPPRARPAVSPRARGRVASASPRCQAVAADERSVDPAFPEGQNRGLPGYKPCPSAPLTKLTIQISDLTASPLPCWFWLIRAVERPEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTEFLKDLETFVGVEAVQEWKRLLDAVIPMSAAAMALPPLSIRGDLGVLSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFKEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCLLEYPLGGTGAIIDALVSGIKKFGGRIALRSHVEKILIENGRAVGVKLRSGQIVRAKKAVVSNASMWDTLDLLPPDVVPKSYEDKVKATPQCDSFMHLHLGFDVENAREDLGIHHIVVDDWNKGVDGEQNVVLISVPSVLSKDLAPPGKHILHAYTPGTEPFSLWEGMDRKSADYRRLKEERSEVMWKAVELALGPKFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEGTFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >Dexi1A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:1A:1569984:1570334:-1 gene:Dexi1A01G0002370 transcript:Dexi1A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDTGHGSVQTRTRQGPRVVDPEQLGGAGGGATGEERRAEGARGGGEVLERDNGGLKRDMTTLHGRLEEAERAAGELRKKATEMAAANYALGVQARGGADSCRFQVFRGPDVF >Dexi9A01G0038540.1:cds pep primary_assembly:Fonio_CM05836:9A:42741382:42744905:-1 gene:Dexi9A01G0038540 transcript:Dexi9A01G0038540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIKSLKLIEGCKAAQLYALSSVGASTSGSSGDAAGASTSRPQPPPPPKTISMRSGSLYYPHAAPSTSGGFAPEPHLPCGLPVADALEPSLDACLRPVDHVGALAASYRRVSAAMEGGGDDLCDEYLEQHALFQPMGDARLLRRALRAARVHADDPHRRVVLSAWLRYERREDELDPPPPPLAPCTATTPLLECPRAAVFASVSSGVDPVCPCRRPPPPPSTPPPHRDTSEMDDDDEEPETNDLWFIIGEEEVACERSCIAALSKPLNTLLYGGFAEAHRDRIDFSRDGITARGMRAVAAYSRHGLVDVDDFPPDIISQLLAFANKFCCEGLKSDCDTKLAAMVRGVDDAHSLIDIGLEEASHLLVAACLQAFLRELPKSLTNPDIARLLCSPEGRECLDVAGNASFALYYFLSHVAMEQDMRSNTTVMLLERLNECAELPWQKQLALHQLGCVMLARGEFEDAQEWFEAAVAEGHVYSLAGEARAKYKRGHKYAAYKLMNSVVAEYDEPAGWMYQERSLYCVGKEKLADLQSATELDPTMTYPYKYRACALLEEDNAESAIAEVSKVIGFKMATDCLELRAWFYLALEEYEMAVQDVRAILTLDPTYMMFHGRMHGEQLIELLRGEVQQWDMADCWMQLYDRWSAVDDIGSLAVVQQMLAREPGNSSLRFRQSLLLLRLNCQKAAMRSLRYARNSSLHEHDRLVYEGWILYDSGHRDEALAKAEQSIRLQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDMLDEAAECYGIALNIKHTRAHQGLARVHYLKNRKQAAFDEMTKLVKIATNSASAYEKRSEYGERDIAKSDLNTATLLDPTRTYPYRYRAAVLMDENKEEEAIAELSGAIAFKPDLQLLHLRAAFFDSMGDRESTLRDCEAALCLDPTHGDTLELYSKSSSRPEPES >Dexi8B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:8B:24243269:24244703:1 gene:Dexi8B01G0013830 transcript:Dexi8B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAAVSSSPSPSPSRPLRLVLCPWLAFGHILPYLEFAERLASRGHHVSFVSTPRNLARLPPRWHTAGTIDLVSLPLPPVDGLPDGAESTNDVPGDKLQPLFEAFNGFATPFSDYLAAAAADKPDWVIADTLTHWAATMAMEHKIPCVMLQSSAAAIVAIGGGAAERAELAGATVYEQLLAVEKRPESMAPYEWERMMKLLAPFGTEGMSILKRASLTLQKCTITAVRSCYEWELDAFTTAAKLLGKPLIPLGLLPPSVPAKSVVYVALGSEVPLSVELVHELAHGLELSKVRFLWALRKPRGVANDDDVLPPGFEERTHGGGHGMVTMGWVPQVAILAHGAVGAFLTHCGRSSLIEGLLYGHPLIMLPIATDQGPNARLMERRKIGLQVPRDGNDGSFDRHGIASTVRVVMVEEDARKAFVENALKMQEVVADKELHERYIDDFVEKLRSYSTVDSSSSNATSTPDIHED >Dexi5A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:5A:7090240:7093306:1 gene:Dexi5A01G0009420 transcript:Dexi5A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPARFSLGFRSFHVSLCSSQSRSPARAHYSFRTYLSPGGTHVSPSTNATRTPNHTYTRTTFPCSIDLHRDRQIEPNQARHGLHPAAMPAGVPITDTARQFSVAVGHVDLGIKRLGSR >Dexi9B01G0035610.1:cds pep primary_assembly:Fonio_CM05836:9B:37179331:37179983:-1 gene:Dexi9B01G0035610 transcript:Dexi9B01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGLGFSRQISRARVSPRAAAPGSPVFGIEVWYAGMGLWMLLEGFALLANALAILNEDRFLAPRGWSMSEVSGNGQAKSLKGQIVGLIYATQFLRVPLIALNVLIIFVKLVSG >Dexi2B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:2B:2138654:2139178:1 gene:Dexi2B01G0002570 transcript:Dexi2B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSLFATATLLMALVLAGSHTSSAARHLADTTPAAAAPAAVPGIPAIPKPPVVPTVPAVALPPMPSVPTMPAASAAPPMPAVPTLPAAGTVPQIPAVPTVTGAMPPMPAVTLPPMPAAVPKVTLPPMPAVPKVTLPPMPSVPSAGVPMPFFAPPPSA >Dexi9A01G0030170.1:cds pep primary_assembly:Fonio_CM05836:9A:35075269:35077423:-1 gene:Dexi9A01G0030170 transcript:Dexi9A01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFHCDFVFCIWVVTVVLCVRAEMAPAHAAGTCKHLSGKFRGWCLLSDHCAEVCKTEGKGYTGGLCLGALGIILRCYCLMPCTAAVPAGSGDRDGWGWWGGRINFFPWTHTSNRPSMEASHRKLSAAVVLLLVLAVETGSVQAGECLSKSTTFKGLCFKSSSCNDKCLKESSAYSGGKCRGIYFTCWCITPCATQMAPEASPPQRARMDDVGGLE >Dexi1A01G0023300.1:cds pep primary_assembly:Fonio_CM05836:1A:29952396:29952953:-1 gene:Dexi1A01G0023300 transcript:Dexi1A01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLFLASSPISTAPRNRAGPGSAASCPSSARPSLRLRQRPAPAVAAAVQAEHQPAVAAAPKPPALPFRVGHGFDLHRLEPDLPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVSFCSF >Dexi4B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:4B:18438217:18441085:-1 gene:Dexi4B01G0016360 transcript:Dexi4B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFKGTKVEVLQEAEVPFGSWRPGEIVSGNGHTYLVRYDESPVDSSVAIERVARRLMRPCPPADDPVCWTVGSILEAFDSYSWKVAEVVRVLGKKQYLIRLLGSSLELTAHASDLRLRKLWVDDKWIVTQKNSAKCLDGSFRGRSKDGTLGCNFGKDIRAQLENQNAFED >Dexi3A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:3A:10119368:10122901:1 gene:Dexi3A01G0013850 transcript:Dexi3A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDEAPPAVHRRPPRPARGSGGAVEGFAAALRRRLRSGASVAARASFAPDSGDESAAGEPSSSSRRRRDTSEDASSAGGERAGGDGGGAGAGGVDFSAFTFRASAPVHRRAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRSGFWFNATSLRDWPLLMCCLSLPAFPLGAFAVEKLAFNNLISDAAATGLHILLTTTEIVYPVLVILKCDSAVLSGFLLMFIACIVWLKLVSFAHTNHDIRKLITSGKKVCLEFYCFFIYSVDNEFSAADIDNLQAPTLGSLTYFMMAPTLCYQPSYPRTTHIRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYCLFHLW >Dexi7A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:7A:3756069:3758677:1 gene:Dexi7A01G0001480 transcript:Dexi7A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRAEGPATVLAIGTATPPNCVYQADYADYYFRVTKSEHLTDLKEKFKRICRKSMIKKRYMHLTEDILRENPNMASYSEPSLNVRQDILVEEVPKLGAAAAEKALKEWGLPRSQITHIIFCTTSGVEMPGADSRVIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIMCSEITVVTFRGPSEDHLDSHGQVLFGDGASAVIVGADPNEHVERPLFQMVSAAETILPNSDGAIEGHLKEVGLTFHLQERVPDLISANIERLLEEAFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRATRHVLAEYGNMSSACVLFILDEMRKSSVKDGRATTGDGMDWGVLFGFGPGLTVETIVLHSVPITNA >Dexi4B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:4B:17598944:17600235:-1 gene:Dexi4B01G0015750 transcript:Dexi4B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGLGMALRRSGNESDGETNIYALEVIFHNRMRQYECLTDDPTTATAVYVPYYPALELHPHLCGSFNTTARNGAGVPPVALVATFLGDPRRRDHFMVASKATWMFRRKEPSDDQGCGNSFLEQPESRNMTVLTYETDIWERPRRGYFPVPYPSYFHPSSAGEVATWQARVRATSRPWLFAFAGARRPNGTLPIRDRIFDACDGSDGRCAMLDCGGGHVSCRRSPWKVVSLFVSSRFCLQPPGDSFMRRSAVDAVMAGCIPVFFHEASTFEKQYRWHDRDDLNSDGHHRSYYVFVDQNDVLQGKVGIEEVLSRYSDEEVAAMREEVIKMIPRFLYKDPTVGFDGGTRDAFDISMDEVLARMRRIKDGELLGGKDGNDD >Dexi7B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:7B:765599:767499:1 gene:Dexi7B01G0000190 transcript:Dexi7B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPKPRGPPPLKKAGNVAGPSAADSNKTKLKPFFWDKVTANADQAMVWDQIKAGSFQFNEEMIESLFGCHAIDKKSGDGKKDLAAKDAPQFVRILDAKKAQNLAISLKALSVSAEETLIRWTPTSDEELRLRLYTGEITQLGPAEQFLRTIIDIPYLYQRLDVLLFMSSLPEEAANAEQSFKSLEVACHELRNSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAVRAVKEQSNSSISSVASDDLTEDVSDDTEHYKQLGLGVVSSLGDDLQNVRKAACMDSDALTISVASLGHKLVKANEFLNTGMKSLDEDSGFNRKLVQFIEQSQVRVTHLLEEEKKLRALVRTTVDYFHGSTGKEDGLRLFVVVRDFLAILDKVCREVKEAAAKAAANNKKPSAAGSGSGSKGRQQSQSSTSFRDPRQQLVPAIQDRRSASARSSSSSSDSDD >Dexi9A01G0049620.1:cds pep primary_assembly:Fonio_CM05836:9A:52232867:52236496:1 gene:Dexi9A01G0049620 transcript:Dexi9A01G0049620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLASRGALPAVPALGGWPKPHSLSPFHVFLGLQIQNHIYSMPSIAYKVPSMTKYQASLATNYTDTSEVVDLDWENLGFGLVETDFMYVAKCGPDGNFSKGEVRPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGAERMCMPAPTVEQFVDAVKKTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYMGERVEYGNQGVGVVSQQLYKSLTSFQMGLVEDCMGWTVQLNQ >Dexi3A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:3A:6630909:6631790:-1 gene:Dexi3A01G0009500 transcript:Dexi3A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQQQQQPVVAGLTRWSPTPEQLMILEEMYRGGLRTPSASQIQQITAHLACYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSCAHYYAAAAAHHHHPVPGDFLAAPPPSIPAAFDHQAAAAAAAAGHQLFSPTTSPTPAAAAAAAAAYGYYYPTTAAAFPASRCSGTTTPPSPTQLFHYQTGGGIAAAERPEYSLGKLDNFGVAVALDDVVVSSAAVDMVAPAAAAAFCRPLKTLDLFPGGLKEEQHDVA >Dexi6B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:6B:26827495:26827864:1 gene:Dexi6B01G0020360 transcript:Dexi6B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQNECVGARFEGRPSGLGLGAKVAPGVKRTALTNPVERRLIGKVNAQKRKALEEEENTTAKEANEANDDDSDEPESRTSAFNKKRTLPQVSCTPLGKKAK >Dexi1A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:1A:3914048:3918635:1 gene:Dexi1A01G0005350 transcript:Dexi1A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGGSDPSGDLRDPLVRENRLGDAFLNAEKTCEKLDVEAAKAGGVEEELLREANFLKSCGTISQTPPEIMEVSIPASSEDNKEDPLVGESCLGDAFLTDENSEKLDVEVANGGGVDEELLGEATYLKSCGTLSLTPPEILEVSSPASSEDTNEHTGTSTNVQVAKEAKLLEGDLSEVSKSDEPDTLIYEQNIDEENLMVESESRTSSLPQDNPSLQNIEDQKIDSSDSPYPTPLVLRDEIQTPGTVYTACMGSSKPGKRARASRQFIYPVLRPIENKLQWMELKAESPVVASNPPKRRNISADFSEKPQQIFAAATATQNELPKSESFPFYDNAEQGEVISPEEKEPRGQNVNQQLFEGGELPNQDSECGKHGASSLSYWLKPSSAYEESRSDNNTEDSFGKEPRYDKSVFDVPIFPVSGFYWDNDNPTPVLPKAWDGNGIPNTTTKYKESLRCCQDQKVNWHATPFEERLMKVLSDEKPCHEREISGKLIHLEENAE >Dexi3B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:3B:15533230:15534047:-1 gene:Dexi3B01G0020570 transcript:Dexi3B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVFWQSDVKHVRLTVRGASQKKIQDAIHFDLVPDYHRYSKGPDGSSVTVQVGGRVDVGRLHECLKKLASNVKIEAVEDLKAKMAKQEQDLSNMKKRKDELKSKLVRAGEENYRLQAKLKSVEEENKKLHKKLHKKIMDRGNNSKMLGTGQLEGHVVYRQTHISIHELEVDAKAKLKISQDGHRRIK >Dexi8A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:8A:19765036:19766996:-1 gene:Dexi8A01G0011150 transcript:Dexi8A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPKKGTNRPITAEKIKKFYLKNGPKIFSPKRRDEYGPLGRFCNFLEFLWVGPKYDGKYLHKKINDLTGKRTLANTLTKIFLPAFDVSSLNPMFFSSYKNKREVKPKLSDVCIATSAAPTYFPPHGFDEYYPSGRHRVAYHLIDGGVATNNPTMLAISRVAREVVRKNPDFHPDEPLFRKGEKLSMDDASKENMNKLINVAEVLLKEPVARMNWTAGLYMVDTNDETTNAQELVRLAMILSNERRARLATQKTSGDR >Dexi9B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:9B:3658013:3658980:-1 gene:Dexi9B01G0006160 transcript:Dexi9B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEAKDPRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPSPSESLRRAVPRSSRPLLGADIAPFRSPRRPSTLQRRKSDSQANEYKQSMTLSPEKDRYYSTRGSPFPFEGNGFDFGGLTEEKGTTALPRFFIALSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLHMVSPGAWLSDLSHERYEVREKKSSKKRARGLKALSLESDSE >Dexi6A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:6A:11341448:11345131:-1 gene:Dexi6A01G0009610 transcript:Dexi6A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQVDRVANPVAITRSDIVRPGHWFYSLCKPYISSLEQALTYLLSPKESYDDLSQKDVESLSGRSLSSSNGSGLRSAGGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTSFDEVTVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFVLLCAGCMTAQLNPSSDHVLQTPIQGWMMAIIMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGVVFNLVAICVQDYDAVMNKGFFHGYSFITVLMIVNHALSGIAVSMVMKYADNIVKVCATSVQIYA >Dexi5B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:5B:4159116:4159804:-1 gene:Dexi5B01G0006160 transcript:Dexi5B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEKSKRIQPWIQAALHFSLCFAIGALTALAPLAATGAPSAANIRASFLGRPFDNAQRALAAAPPVPDLGLLLIVTATRPDAGMAQDASLARLAHTLRHVAPPLLWIVVGAENRTATARAVKVLRGTGVMFRHLTYDASNFTGDEVDHQRNVALSHIERHRLIGVTILH >Dexi9A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:9A:2651932:2653533:-1 gene:Dexi9A01G0004840 transcript:Dexi9A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALLRLIKSLSPAAGPRAHLTASAIHCLLFKEGILHAGAHLPTALLSAYAALGRPRHARDLFDEMPEPSLVARTAMARAHAASGQAAQALSVFRDMLADGVFLDNVALAVALAACHGVGSSSGAARKPGKMVHALIVTSGIVPDVFVSTELIRVYGECGELSVSRRVFDDMPVRSSVSWNAMVHQYVRHSNVGAAYELFLAMPRRDVVSWNTMIAGYCLIGRCREALELFRQMVLPSSCPVHPNGPTMSTVLAACAGAGCLETGIWVHAYIDRNRMNDDGSLDRSLIDMYAKCGSIEKALQMFEKAPGKRDLYSWTTVICGLAMHGRAADALRMFGMMQNNGVHPDDVTLVGVLNACAHGGLVDEGLRYFYSMEEKYAIIPKIEHYGCIIDLLGRVGRLQEAYSMVRTMPMKPNVVIWGAFLNACKVHSDVELGEVAAAEVSRLDPGDPWARVMLSSMYAKAQDWSNLARERKEMNSMQMKKTPGCSSIELDGEVHEFVAGGFQHPQLGEICTALENIEAQTHAS >Dexi5B01G0023210.1:cds pep primary_assembly:Fonio_CM05836:5B:25442103:25444596:-1 gene:Dexi5B01G0023210 transcript:Dexi5B01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKSNEAEAVETAKEWTRLYASGA >Dexi7B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:7B:13610816:13612861:1 gene:Dexi7B01G0006000 transcript:Dexi7B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGGGQEAFDARVRRLFGSRLFDDVPDSSFPAGSWSVASGDVERRRWAKPSEARDAEEEAAGEAARGDTPCASAFYDANGCLRGRRRRSRQEEFEGDLDDLDEDDEEEDGEMGRKAAEDDEEEGVRVNIGLDPTLDREDPRADIRAASARLREEDGSAIDGNSHYAAHAKELPAFGMQTMKAVEDVNVKPILKRKEEQADLKPRKRVRFDASVKDPESDMFEHNEDSPMVPQSMDVVTEKEHASAPSESPGVPDYVQNPSKYTRYTLDVPESNDASNRRALADLHDLLGKSDPNKIHSETPVEIPSSVTFIPRKKSVDSMAVDEGPKTSDSSSSVIGMVARVSDETDQCEMDEDDCKASSTPQMHTNSKASSRRYRSNRTDDDERN >Dexi5A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:5A:10292818:10294345:1 gene:Dexi5A01G0013680 transcript:Dexi5A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQDEAMMNHDQPSAKDRCGKIWSGMQLLKDTTESKHFLPTGLEGIDTLLGGGLRQGQLTEVTGPSSSGKTQVCLHSASLVAAKHMGVVMYLDTSNSFSPSRVATIIDGSSDVFGQRGFDLQPKDMRLKSVMRSIICESVFDIFALFEVLHQLEGSLLNDKARRSMMISVAMILKKLADEHNLSVLVFFQL >Dexi9A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:9A:1850060:1851610:-1 gene:Dexi9A01G0003450 transcript:Dexi9A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRREEGKGETVMVTGASGFIGSTLVRGLLDRGYNVHAGVLNPDDKAETDHLLRLASDGRLRIFRCDLFDGAALLDAARGCSGVFHLASPCIVDAVTDPQRQLIVPAVEGTLNVLRAAKEAGGVRRVVVTSSIAAIVPSPGWPSGEVRDERCWADVDYCDKHGLWYSASKTLAEEAAWKFAEEKGLDVVTLNPGAVLGPIIPPTISSSMSVLLRLLQGSTEDYKDIWMGAVHVEDVALAHILLFENPSASGRHICSQSISHWSDFAAKVAELYPNYKCRFPKDTQPGLVRAEIGSKKLIALGLQISPLEKIIRDAVESLKTRGYIS >Dexi2A01G0024210.1:cds pep primary_assembly:Fonio_CM05836:2A:35928844:35929305:-1 gene:Dexi2A01G0024210 transcript:Dexi2A01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGATGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAAKEPKSPKKATKSPKKA >Dexi2A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:2A:7573426:7574492:1 gene:Dexi2A01G0007640 transcript:Dexi2A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCPPPLLGVGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAVVLDVAWFILFSHAIWYVFCN >Dexi9B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:9B:8465594:8467589:1 gene:Dexi9B01G0012600 transcript:Dexi9B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKPYCVAIAIQLIYTGMFVISKAAFNQGMNTYVFIFYRQAAGSLLLLPLALLQRKNARTVSSRVLVKLFFCALIGITLGVNLYHVSLRFTSATVASAADSCMPALTFFLAVLLRMENVKLRSSSGIAKVTGVAFCLAGVFTIAFFSGPSMSPVNHHRAFKSETQTPGSKAAVPKGAWVLKEYSDKMVMTVVQCLFSTVQSFVVAVVAERDFSQWKLRFDGFMVTGVSYYLQTWCIEMRGPMFLAAWTPICFVFTIFCSSFFLGEIVHLGSIVGGVLLVASLYTMLWGQIKESKTDDGTDDIEKYGHKKSAERHLEEQDQTTTEVKEATPIGASASVGEL >Dexi1B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:1B:11329137:11337479:-1 gene:Dexi1B01G0011250 transcript:Dexi1B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAEGRTAALVGGGCPEIEGLEGGPRDAGAADRAVQEDERERRLDLVVGEEEIGGGGEAAPGLADRAAAEERLRREVDEDLQDELIGEDGDTGTAARILLSWLHTWLPQAAGILSLATLASGCPRVLGSIVSVFFYSAGVHVKVFSCATIKVLNNISSSIFALVTEKGYNKLKIIKIWIDQDRDDTKEISYQEGMDMDRYPTKLRVLAVDDDRSGLRLLKQQLDLCNYNNVLSANDDKETMIKGIKNGACDYLVKPARLEQLRNIWMHVARNNMKNPRNKVRSGKDGGGHMLQPADGENSEDGANHTKKYSKKNKKYGNQAEEDKDGTSIQKKQRVQWTGQLHHKFLEAVNTIGMDKAVPMNILKEMNVDGLTRDCVAKVPNLPKEAE >Dexi2A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:2A:3671925:3673562:1 gene:Dexi2A01G0004100 transcript:Dexi2A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAHQVGANQPPLLSCPSRLPRGSSNAKPLLSVSSLGGRGVRLLLLPRRSSAAAGVVVRASSETKAEAETKSGEGEEEEERPYEEYEVTIEKPYGLKFAKGRDGGTYIQAIFPDGAADRTGQFTVGDKVLATSSVFGDEIWPAAGYGQTMYCIRQRIGPLYMKMERRFGKWDGAGDLTEKEIIRAERNSGVVSGRVREIQLQNYQRKMEQKMQREEDLRTGLRLYKDGKYEEALEKFESVLGSKPESNEASIASYNVACCYSKLGRIEAGLSALEDALKAGYEDFKRIRTDSDLENLRKLEQFDTLLKNYDESFINENALNALKSLFKFGDK >Dexi3B01G0030770.1:cds pep primary_assembly:Fonio_CM05836:3B:31010959:31014640:-1 gene:Dexi3B01G0030770 transcript:Dexi3B01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKTTAAAAASSSSSSTAAAAEKAANDVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSHEIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGEALDRVYERLDAMDAATAEKRAAEILFGLGFTKQMQAKKTKDFSGGWRMRIALARALFMNPTILLLDEPTNHLANSLHSFTDLEACVWLEEMLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKTLVFRFTDVGKLPPPVLQFVEVKFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLTPLDGMVRRHNHLRIAQYHQHLAEKLDMDMSALAYMMKEYPGTEEEKMRSAVGRFGLSGKAQVMPMKNLSDGQRSRVIFAWLAYRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKAHLKSKAGLSD >Dexi4A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:4A:2905040:2906245:1 gene:Dexi4A01G0004080 transcript:Dexi4A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRDRDPLVVGRVVGDVLDPFVRTTNIRVSYGARTVSNGCELKPSMVTHQPRVEVGGADMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAAFGQEVMCYENPRPTMGIHRFVFVLFQQMGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYPN >Dexi4B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:4B:9286495:9313207:1 gene:Dexi4B01G0011780 transcript:Dexi4B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPLFLVNPRWPLADAGRYPPLARSAHVATSRHPRLRLRLGRQHGYLFRCDSSSSSSAPPDRPPRPRQQRQRSQRPGGRGDAVDPVGFLAKLGVSDRAFAQFLRDRHKAFKDRKWELCSRFIDMKEASSGFELLSMHQHRQHRIDFMEWAPDAEGKQSYAVYWEPPPEEIYKWRFGRPKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLLVLLDIVHSYASADELVGLSHFDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLNWWVTEYRIDGFQFHSLSSMLYTHNGFSTFTGSMEDMEAEQNLPIQATFYPGLCEPTTQGGLGFDYCINLSVPEMWLWHLENVPEREWSMNKSISGGKSFAEIILNSGKLSVGSVNDDLIRASLLKIIKLITFTTSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFLLANRQWDLLDKGLHKHLFDFDKVYNMDELFSLSKFRICCRNNFDSSDVMSLDETERIISRGSPNIHHCDDTSMVICFTRGPFLFVFNFNPEESHQSYHVGVDEAGEYQCYQSNRGLNATSEVLVVNAFGSSFGW >Dexi1B01G0027180.1:cds pep primary_assembly:Fonio_CM05836:1B:31971828:31973548:-1 gene:Dexi1B01G0027180 transcript:Dexi1B01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPAISSSSETHPAELKNMASKGLLLALFIVLLGLSSNSAAGQVLFQGFNWESWKQNGGWYNFLMGKVDDIAEAGITHVWLPPASHSLAEQGYLPGRLYDLDASKYGNEAQLKSLIEAFHDKGVKVVADIVINHRTAEHQDSRGIYCMFEGGTPDTRLDWGPHMICSDDRTYSDGTGNPDTGADFGGAPDIDHLNTRVQQELIGWLNWLKSDIGFDAWRLDFAKGYSADVAKVYIDNTEPCFAVAEIWTSLAYGGDGKPYYEQNAHRQELVNWVDRVGGSGPATAFDFTTKGILNVAVDGELWRLRGADGKAPGLIGWWPAKAVTFIDNHDTGSTQHMWPFPADKVMQGYAYILTHPGIPMIFYDHFFDWGLKNEIAHLVAIRDRHGIQANSELHIIEADADLYLAEIDGKIIVKIGSRFDCGSLIPVGFQVVAHGDGYAVWERA >Dexi8B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:8B:7696213:7698252:-1 gene:Dexi8B01G0006560 transcript:Dexi8B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLKIWISSGHNGIIGKYGNKLELGVPNVAKPLSDNSVDPGWPDCLINVAPEAVQGWFPWQLNSFEKLGKFPFYFNNYLTPFILQVGQGTYSSVYKARDLKTGKVFALKKVRFVNVDSESVRFVAREILVLRKLNHPNIIKLEGIIASSISRSLYLVFGYMEHDLAGLAATPGLKFTEPQVKCLLQQLLSGLDHCHRNGVLHRDMKSSNILIDDNGVLKIADFGLATFFDPDNQKPLTSRVATLWYRPPELLLGATKYGPSVDMWSTGCILAELLSGKPILPGRTEVQATVTFLRIWDRMYSYLSTPTFSEQAHLPAVPQVYQSVHQAKSMMLDSEGRKIWG >Dexi2A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:2A:3010763:3016688:1 gene:Dexi2A01G0003410 transcript:Dexi2A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCARSAGQAVHAWQAKANRSHLASPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALAAASSSTAAVCLVPFRWWARVREEEAAGGVRYAATAAASPSYYGLRLLHSFLHPDLVLRLERGDGRAGAGGGCGGGAGGRSYALVPADELSRALARQNSGFGFQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNVDSQPVHVWDFSGQTNLILMNEWNRLHHDCCNADQEMYLLTLFHLHVQNLLEVQVYAMSDSLTSKIGGSNKENSGDVNDLNADLSYRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPKLVDYFLGDYARNINQTNPLGLNGELALAFGELLRSLWAIDRKPVAPHHFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKRSVTFDPFMYLSLPVPSTAKRAMTVTVFSTDGSREPCSYDVSVPKFGTLSDLIQALSIACSLGDDEILLVTEVYNNCIIRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVVFTHKHFDEHPSDDNMTPQKKEFEAPLLAALPDTVNGLSLQNIYMKLLNPFRVSMEASSLSGSAGSNGDSVDLMDAVHSDSDSSFQKIQLDDDPESSNCRTNECEITKAAIELYDGSTVDSNKEANVEDFEFYLKNERGDVQQQKIEINELDLLETIPSRLQVNVHWQQNASRQYDTTMLNDLPEIHKLELITKGTEDSVALHGCLEAFLKEEPLGPEDMW >Dexi2A01G0034960.1:cds pep primary_assembly:Fonio_CM05836:2A:44732258:44733283:1 gene:Dexi2A01G0034960 transcript:Dexi2A01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPWTVSIQAAAFAFGHRVDGTIRRSLFFLGDLKKRATPRSNASGGVRSTDITIDASRGIWARVFSPTAVAGGSTPPLPVVVFFHGGGFTVFSAASRPYDTLCRRLCRGVHAVVVSIDYRLAPEHRFPAAYDDGVAALQYLDANALPAHVAPVPIDLSSCFLAGDSSGGNMVHHVAQRWAASMSSAAAAEASRRRLRLAGAIMIQPFFGGEERTDAEAAFDKACRILTVARADHYWREFLPVGATRDHPAARVCGDGVELADAFPPAMVVVGGLDLLRDWHARYVETLRWKGKAVTVVEYPDAFHGFYAFPELADSGKFVEDMKLFVDKHRSKSNKPLL >Dexi4B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:4B:1764980:1765137:1 gene:Dexi4B01G0002750 transcript:Dexi4B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKACHLRPSRAAAAHASPRCSERSLPPRYPQTLAATTGS >Dexi2A01G0026720.1:cds pep primary_assembly:Fonio_CM05836:2A:38298279:38300444:1 gene:Dexi2A01G0026720 transcript:Dexi2A01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWTWQRCLHSGGYDSETWGVASAAEFEPVPHLCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEHTHGHAPTYLLYVDHRHSDVVLAVRGMNMAKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLRDLLEKNPGYTLTFAGHSLGSGVVAMLALVAVHNRERLGGIERKRIRCFAMAPARCMSLNLAVRYADVINAVILQDDFLPRTDIPLEDIFKSLFWVPDVVLFVQLAMPFMWKLLLENEKTMEAPEVQRMGNDVTISRDHDKEQQAALRRAVALGVADVPSTYGTFDENPTSEADEASPLLSDSGRRKAVWDEWIARIFEKDETGQMVPRR >Dexi4B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:4B:15871979:15876848:1 gene:Dexi4B01G0014710 transcript:Dexi4B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLTEDSLKRESGAKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRVAMLGLVKSSIGTKFTGQFGDLIADLAIDAAGVDLGQGMREVDIKKYIKVEKVPGGQQVLLELEEEYIKNLCAQILKFKPDLVITEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRTMTQLQGKHANGENAWVGLDGRSGDIVDMKERKVWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Dexi4B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:4B:3036244:3037689:-1 gene:Dexi4B01G0004210 transcript:Dexi4B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLAVSHFVPMMRLADALLDEGYAVMVALIDATMEHDARFAATVRRRIASSSSTPAALTFHTLPRIHDPPAVHTDERFLLGYFELVRRYNNGLLELLRSMPPGSIRALVVDALSNEAIDVAKEMGVPAYTFFAWSASAVAVFLQLFSSAHVLNGLPNFKELRDSPLNLLGVPPIPASHLIREMLEDPNGEIYQAWMSSQGKNLEANGMLVNTFVSLEARALGALKDSQFLPGNKFTLPPVYAVGPLVEGPGGETKQKNDHACLAWLHKQPEHSVVFLSFGSIGCHTEDQIKEIAVGLERSGHRFLWVVRAPSHGSTITLGADSDPDLDALLPEGFLERTNGHGLVVKEWAPQADVLRHKAIGAFVTHCGWNSVQEAIMAGVPMLCWPLYAEQKMNKVFMVEEFGVGVEVVGWQQGFVKAEEVEAKITLVLESQEGERLRARVRALKEAAAMAWNEEGGSSRTAFGHFLLDVTA >Dexi7A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:7A:28471490:28471852:1 gene:Dexi7A01G0019210 transcript:Dexi7A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAVLLKIVAMISEACRKVDKLPAALITGGIVQAAVALALAIFRPPAEVPLYLYYGILVVVIIFGLVEASAGFYVSGDLHRRRTIGMTILWISVLPIVLVAGLGGFLVVLK >Dexi4B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:4B:6549052:6550024:1 gene:Dexi4B01G0009130 transcript:Dexi4B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLLNTKVSALRRLADELASATGGDARDVRERIRRARAEATRLVRNTARRLADPGAAADVGPNLAADFQLVLGELQWVQTRIIEADHETAAARRAPPPILHPIPPLPQFNIADQQFGGIQMQQQMVESRRMQELTLLDNEIAYNEALVEEREREICKIQQEISEINEIFRDLAVLIHGQQGHVDAVESNIETAAVETRKGKEELSRAVLTQESNSSMKCLLLTVVGLVMLIFTLVFVA >Dexi9A01G0031340.1:cds pep primary_assembly:Fonio_CM05836:9A:36289323:36290414:1 gene:Dexi9A01G0031340 transcript:Dexi9A01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQPPVGVPPPQGYPGKDAYPPPGYPPQGYPPPAQGYPPQGYPPQGYPPQQPYPQQGYPPPYAQPPPPQRPQQSSGPSFMEGWLETRQPHPENPMESR >Dexi4A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:4A:5347830:5348486:-1 gene:Dexi4A01G0007230 transcript:Dexi4A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARVFNWGNLHDADGLEHQTEERGRRHLGEEQKPDTHRPLDRPTCDDDGAAAAAFAAGTDVPDNYQQYAQEVGCCSHSRQVTGSSETESAMSCARMIHATWSLQDEQKEVEQPNRRTQHELQELRQAPVDSGGTRIGHGSMEVVVNERDGGEEAPHRSQWEKKGPDRVAKRRGLMSGSRGGGGGGARSNPLRAEELERADRGAEGGNGGGGNRVPH >Dexi9B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:9B:3217562:3219116:1 gene:Dexi9B01G0005480 transcript:Dexi9B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLAALRPWLCLLVAMAAAAASWSGVARVDALGMNWGTQATHQLPPKIVVQMLKDNGIKKVKLFDADEDTLSALAGSGIEVMVAIPNVLLDRMTDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNNTFDKVTFPALQNIQNALDEAGLGDTVKATVPLNADVYMSPTDNPVPSAGRWRPDISDLMTQMVSFLSNHSAPFTVNIYPFISLFLNDNFPVDFAFFDGGATPVLDNGVSYTNVFDANFDTLVAALKSSGHGDLPVVVGEVGWPTDGDKHATNAYAQRFYNGLLKRLAANTGTPVRPNQYIEVYLFGLLDEDIKSVAPGNFERHWGILRFDGQPKFPMDLSGQGQNTMLVPAQGVEYLSRTWCVVNTESPNMDKLADNINFACTFADCTALGYGSTCGGMDSNGNASYAFNAFFQMKDQADESCDFQGLARPTQTDPSTATCNFTIQIATTSAGSRRLAGPFAAVAVLVLALLH >Dexi6A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:6A:24188553:24191444:1 gene:Dexi6A01G0016310 transcript:Dexi6A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAEGAHWMSPHELKLVTILSIDGGGIRGIIPATILAFLEEKLQELDGSDARLADYFDVVAGTSTGGLLTAMLTAPDTNGRPLFDAKDLARFYINHSPRIFQQKNRIRLKITRKLKMVWGPKYDGKYLHALLRRYLGDTRLDRTLTNVVIPTFDIAYLQPTIFSSFELKHRPWKNALLSDISMSTSAAPTFFPPHYFETKDENGRRRAFNLVDGGLAANNPTLCAMNQVSKDIILGNEHFFPVKPTDYGKFMVISLGCGSNRNRRYCAKAAAKWGIFNWLIKNGTAPIIDMYNSASADMVDINLCLTGTAGSIDDCSKENMDKLVRIGKRLLDMNVSRVDLETGRIVEVPGVGTNAEQLTRFAKQFSDERRRRQNELIYAEVGFQNEAW >Dexi7B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:7B:24510498:24510952:-1 gene:Dexi7B01G0018850 transcript:Dexi7B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAARTLTLSRATAGPFSVLRFRASRALSAKVEFVEIDLSEESPSSSSGGGGGAGDSAREQAQMGMRRLEDAIHGVLVRRAAPDWLPFVPGASYWVPPIPRPLGVADLLGAGVYTGRGSPGMTAEEAMSFTTVRGWPSAAYFVEVD >Dexi9A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:9A:5248441:5252651:-1 gene:Dexi9A01G0008880 transcript:Dexi9A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDVAVSSSRRRMEDEEGAAAAAAMEADARAGARGRGVEEADDENEEGESSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSKCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTNYRGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLVSTIDPSKDPRKSAVPLVLPSTKTEEPTPKVPAPSTNGGLSKNQKKKIRKKAKREAAATSEDSSAVASADTDGSDDKGDLGTANEGSPSQDGAKKRAKGDRQGNKGAKKKMAMEADLQCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLMEKYEFTEKNANDMADFLVPILDFVPEKRPTAAQLLQHPWLDAGPLRKQPTTLSDSAPNSADGVSEKQRKENEERDAMAVELGNIAIDGASTSRTASDPQPSTNKATAIPSKK >Dexi7B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:7B:14658554:14660916:1 gene:Dexi7B01G0006860 transcript:Dexi7B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVTALLLVVLLHWAAVVLASHIVYPELQSLEATQVDETSRTGYHFQPPKHWINGPMYYRGLYHLFYQYNPKGSVWGNIVWAHSVSTDLIDWAALDPGIYPSKPFDVNGCWSGSATVLPSGVPVMMYTGIDPNEHQVQNVAYPKNLSDPFLREWVKPNYNPIISPDTGINASAFRDPTTAWYGPDGHWRLLVGSKVNDKGLAVLYRSRDFKRWVKAHHPLHSGHTGMWECPDFFPVAVHGGSRHHRRGVDTAELRDRDVAEEVKYVLKVSLDLTRYEYYTVGSYDHGTDRYTPDAGFPDNNYGLRYDYGDFYASKSFYDPVKRRRILWGWANESDTVPDDRKKGWAGIQAIPRKLWLSPGGKQLIQWPVEEIKALRGKHVNVSDKVIKSDQYFEVTGFKSAQSDVEVAFEIKDLSKAEQFNPAWLRDPQALCKKRGARVTGEVGPFGLWVLASGDLTERTAVLFRVFKTTGSKHVVLMCNDPTNSTFETQVYRPTFAGFVNVDIAQTNKIALRTLIDHSVVESFGAGGKTCILTRVYPKKAVGDDAHLFVFNHGEVDVKVVKLDAWEMKTPKMNAPAE >Dexi2B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:2B:13833708:13834857:1 gene:Dexi2B01G0012000 transcript:Dexi2B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQDGKSERLTVCAGSNTTSVQDALTEYRVIQSCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWMPLSMTQAIDEEMMKKRKLPFGLALGGGSIMEEQPKLHLHCKQMILPDISAALQRLQSSDTDLDFSDLERLSFVAPLPLHMRLSWEILKSVGK >Dexi5B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:5B:22372017:22375540:-1 gene:Dexi5B01G0020120 transcript:Dexi5B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAWAAALPRVCALLLPFLAVATCLDVPSHETFHWVAGPWMVCSSPCDGGVRYRDVACYGSLDDKTIKHYPVDDASCSVDEMPARQEACNQQSCSDPEMTQSMNRKKSGMSGWLVALVVVLGLAAIGGIAFTSYTYYRRYA >Dexi4A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:4A:6104424:6105686:-1 gene:Dexi4A01G0008140 transcript:Dexi4A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEAMPLLTPFKMGQFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLITEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFCQMWHVGRVSTNDFQPDGQAPISSTDKQISPDAEPGMVYSKPRRLRVDEIPGIVDDFRRAARNAIEAGFDGVEIHGANGYLLEQFMKDGANDRDDEYGGSLENRCRFAVEVVDAVVREVGADRVGIRLSPFLDYMDCVDSNPVALADYMVRQLNKHEGFLYCHMVEPRMAIVDGRRQIQHGLLPFRKVFNGVFIAAGGYDREEGNKVVSEGYTDLVAYGRLFLANPDLPKRFEIGAPLNKYDRSTFYTQDPVVGYTDYPFLGEENNDITPNDAY >Dexi3A01G0024810.1:cds pep primary_assembly:Fonio_CM05836:3A:20410985:20411218:1 gene:Dexi3A01G0024810 transcript:Dexi3A01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPSARKSGFAPDLPSLPVSGVWQAEGRVARRACLGRRGFRRQSEAGHGGDAATRGAEAARRPASRTNGVAARRA >Dexi5A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:5A:25529391:25530839:-1 gene:Dexi5A01G0021720 transcript:Dexi5A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGASFPFQWPSDPGLDAVALPPLPTVVPDAATGVAYYAAADMHAAMPTAAALPDFAAALAAMRREEEEAAGIRLVHLLMSCAGAVEAGDPASASAHLADAHAALAAVSPSSGIGRVAVHFTAALSRRLFPPTPSPPTPATQPPAEAAADHAFLYHHFYEAGPYLKFAHFTANQAILEAVHGCAHVHIIDFNLMQGLQWPALIQALALRPGGPPSLRLTGIGPPSPPGRDDLRDVGVRLADLARSVRVHFSFRGVAANRLDEVSQGEAVAVNSVLQLHRLVADNSDDPPAAAPIDAVLECVASLRPRVFAVVEQEADHNKAGFLDRFTEALFYYSAVFDSLDVASGGGGAVDAAAEAYLEREICDIVCGEGSERRERHEPLRRWRDRLGRAGLAGVPLGANALRQARMLVGLFSGEGHCVEEADGCLTLGWHGRPLFSASAWRAEENNHQSDSNADASSGGGSEESNISCSS >Dexi2A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:2A:22598209:22599792:1 gene:Dexi2A01G0013810 transcript:Dexi2A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQADATLTAANNIQPFFVLHKATAASVPSSRARRRIDASLPSSPNPKSAKRPHDVDAQDEEGPELYEHLRLEAFHRTWSKIQSTIDVLRGINLKLFDQVLQWAQESFSAVRAVAKPCRTEVQQPYPLLTDVICRRIPAAFTYLGVSFR >Dexi7A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:7A:17974798:17978306:1 gene:Dexi7A01G0006610 transcript:Dexi7A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAQQQQGVSLPAPRSELHAPRMQYQHGGSRMPPFPRGGGGAYHRAQKQFYPPPPPAPPLPATVLPPPPPPLSKYEVLMEAGRLAAEYLVAKGVLPPASLQQRGDTVGAGGWGQLPPPPPLSAGLEAPAYYNARNGRRQVDDECGTRNARSRRNRGGDYSSGNSSNYNGRGKRKFGADNRYSDLGRDRGRNRGYSDTRSYDEDDEDGAPGFKRERRSSGGIDEVGSSVSGVAGEGPSSKAEAMGESELEDTGSKASSNSNVQQKDDAPQEVEGENEANKMQEDSVVSNSEVVEQTLNCEGNSNNDSSAIVQKEETIHLPASSGEKVSEERPEDSGIQNEKVEGDKALAEDDMESDEVSIMENNLPNDSINLLNFCSFARVPTRPRSVLANRNARPAQREFGVPEQVNLVPTEEVSQTAVDEEAKTNSITSIQEDSKDDLVRQEHAEPSTTCNHVAESVTFHGKGTQGETEEMEEQSEDKNIAQHCGLEDNKEPNELSPAFTSRQNSFTLQVEKGIQIYNLDTPPQDEVLIDPPDKGKTVDSELLPNIKAEIAVTEEEEKLGQSSSFKIRDLNLVGSPEVADMRADPRLGQSSTAGCSVELQNNQQVDFGATNGNNSINTDTFLLGNKAVQVIDIEDDPPIEADACDTSKAKGQMVYSNMGNMMNPPTNTDALHGIQDGYTLAISDYLGADMPCYQSIQTDLQAGMDINGSEGITVMDDSIYGSLGDIGFMEVWDQQPQDYEKFF >Dexi6A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:6A:297546:297971:1 gene:Dexi6A01G0000510 transcript:Dexi6A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLSSLRAPACFSSLRAAPATPAATVVAMPATTGRFARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIELPYSCRAGSCSSCAGKVVSGSVDQSDQSFLDDDQVAAGWVLTCAAYPTSDVVIETHKEEELTA >Dexi4B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:4B:10175037:10176130:1 gene:Dexi4B01G0012360 transcript:Dexi4B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNTTKDLKQSAVTIPTSPGFSIGTAEYVITVGVGTPAVSQVMNIDTGSDVSWVQCAPCAAAGSCYSEKDKLFDPTKSSTYAAFTCSSAQCAALDVSGCSNSQCQYIVQYGDGSVTTGTYGSDTLRLTSSAVVNNFQFGCSHRAAGFVGQTDGLMALGGDTESLVSQTSATYGKAFSYCLPRPSSSAGFLTLGAAGGASGFSRTPMVRFTNAPTFYGVFIQAISVAGTRLNIPASVFYGASIVDSGTVITQLPPTAYQALRDAFRKEMKAYPAAAAMGNLDTCFNFDGFDTIVVPKITLTFSRGAVLDVDASGILFGSCLAFTATGQDGDTGILGNVQQRTFEVLFDVGGRSLGFRSGAC >Dexi3A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:3A:9526848:9528325:1 gene:Dexi3A01G0013120 transcript:Dexi3A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMAHLLAKQGAMVTFITTPANATRIESTIDRARELNHPIHFVPLKLDCAKVGLPEGCENVDKVLGKGQVKSLTHAYSLLHKPLVSYLQAQSNPPSSIISDLCQPWTGDVARELGIPRFMFNGFCAFSSLCRYIIHQEKVFDKVADGDELIILPGFPHRLEISKARSPGNFNSPGFEQFSEKILEEERRADGVVTNSFYDLEPLYHEAYQKKIGKRVWSVGPMFLCNTEMSAIAVRGDKASVDLKHCLQWLESMKPGSVLYISFGSMARTVVSQLKEIALGLEASRRPFLWVIKSDAEASDIEKLLTEGFKERIRDRGLIIKGWAQQAMILSHPSVGGFMTHCGWNSTIEGISNGVPMITWPHCAEQFLNEKMIVDILKVGVPIGVQNITSRTMEAHEVSIVERDHIEKAVYKLMSEDTDAKERRMRARELMQKARQAIDGGSSYSNIQQLIEYVTARK >Dexi7B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:7B:11656748:11658514:-1 gene:Dexi7B01G0004680 transcript:Dexi7B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTLLDHLAAAGSPAAVKASFPLLVPILLLVLLRYLATGAKTKQARNKLPLPPSPPAMPLIGHLHLVGAHPHISMRSLAARHGDLMLLRLGTVPTLVASSPRAAQALLRTHDQSLASRPSSILGDILGYGPADVGFAPYGDGWRQAKKLVTTHLLNAKKVLSSFTTDMVSRVVAGQSFRVEGLDKKFKSVMEDSMAALGGFNLENFYPGLAKVAGGVLMWPVRRKGEKLRDRWDEVLDKVIDGHASTAAAAGASERHESDFTDVLLSVKEEYGITRNGMKGILSDMFAAGTDTAYLVLEYTMIELIQHQDIMAKLQEEVRSSIPKGQEVITEDNLTGMSYLKAVIKETLRLHPPSPLLLPHSSREDCNIDNYMVPAGTTVFVNVWAIGRDPSLWEAPEEFMPERFINKESKEGAIDFRVFAIWVRPKDVSWDEFCIGQR >Dexi2A01G0034330.1:cds pep primary_assembly:Fonio_CM05836:2A:44327428:44328390:1 gene:Dexi2A01G0034330 transcript:Dexi2A01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCFTMAHGGDEEQSQDYLFKIVLIGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVSRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFYMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQGETNETSSGGRWCCSS >Dexi4A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:4A:3845107:3846750:1 gene:Dexi4A01G0005320 transcript:Dexi4A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGLTDAADLVALSGAHTIGRAICGFFQDRTTNPGNDAFVRKLKADCDTDPNRLQQLDVVTPDAFDNGYYRALNASQGIFTSDMALIRDPTTAPIAWQFALSKDAFFAQFAKSMVKLSSVPRKPAGNRLSSPVAW >Dexi2A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:2A:836170:836761:1 gene:Dexi2A01G0001240 transcript:Dexi2A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHVFLHQPSNRYHHWPTSQNRERKRGAAFIDLPCFGNTTAVCLDVGYLGVAVVPLAGVFARLVKLRLAHVHFRRPCALGDAVSSRRCPSLEKLTVRQGRTQD >Dexi7A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:7A:1633541:1634788:1 gene:Dexi7A01G0000620 transcript:Dexi7A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRAAAARRDVPAKPTADAKVRSVSLLDLPSELLAEIHGRLDFVDRLNLAVSCRGAARASSWQQRHKTTPCLVLPGATAETATLFSLPDGRFATSRAVDPAMRGHVVLGSTDGWIVTVDVRATLRMANPVTGEQAALPPITAGTIPFFVDSWPYLIDMNLFRKLTGGHGDGPPLYTISDWQMRKWFYRKVILSASPRPGSYTAMLILYKRFGGAAAFATADDQSWRLAAGSSDGGGVEDAICHNGRFYSVTHSGDVVAWDYDRRHARAGEFASVAVGPRLPCDYLRLRRRKYIAAAPDGRLVVITGEHVDGVSTREHPGIRAGCVYYTEDELGEASLRLEDARYAPRDVGVYVLKDGTVESVHGLRRRHCSWPLPAWFTPCM >Dexi9A01G0035140.1:cds pep primary_assembly:Fonio_CM05836:9A:39785134:39786809:-1 gene:Dexi9A01G0035140 transcript:Dexi9A01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALPSSSSASFAACFVVTIIIIRLLRRPKRKHKLPPGPKPWPVIGNLNLIGPLPHRSIHEVSSLYGHLMCLRFGSFPVVVASSADMARSFLKTHDLAFIDRPKTAAGKYTTYNYSGLFSSPYGPYWRQGRKLWQAELFNARRLASLHHVRVDEVRSMLNGLHAAVAGGEHAVELREHLYMVNLNVISRMVLGRKYVVDGDGSPTTPEAFRRMIDEHFFLNGVLNVGDLIPWLGWLDIQGYVKRMKRSAEMFDRFLEHVLDEHDDRRQRREGNEFVAKDMVDVLLELADDPNLEVPIERDGVKGFTLDLIGGGTDTSAVTVEWAMSELLRNPEVLAKANEEMDRVIGRERLVEEEDIPRLPYLEAVVKETMRLHPVGPLLTPRLCREDVSVGGYDIPAGTRVLINVWTISRDPDVWDAPMEFRPERFVVVGGRGGVDVKGQDFELLPFGSGRRMCPGMSLGLRMVQVILANLVHGFAWRLPDGVAAGELSMEETFGLTVPRRVPLEAVAEPRLPARLYAAP >Dexi3B01G0036080.1:cds pep primary_assembly:Fonio_CM05836:3B:38980224:38982855:1 gene:Dexi3B01G0036080 transcript:Dexi3B01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPPLAGRRRPARRQPRLPPTLRPGAPPAHRLTLRSTRRCSLSLRLAPSHLPLLSSERASVKKSSEAMGQEDEPAAAAAEQLEVGAEEMLAQDLLGHFERILHADPLIDEVGFLHPTQFSSLGCGEAGDSSPQASVFQHRYFWCRDHKLAISAEILPELYRAARDAYYNSRNGSKMVLSEKYDLVKLKDELQLCALILSYSSKNESTWSHRRWVLKQIAEQHQDMAELVEKESVLVKEIAERSKMNYRAWRHRCWLIPYMTSKQVLDELKKSTRWCELHVADNCCFHYRRDELRWDEMLIRRYRGRESLWNHRRFLSQCWIQQLLALEETGPSTTSQVDPFITQEICLLSECLNDPADEFEESRVQAELSALYILWISKATTSQPSLLPNSLIQ >Dexi9B01G0047230.1:cds pep primary_assembly:Fonio_CM05836:9B:46410186:46410812:-1 gene:Dexi9B01G0047230 transcript:Dexi9B01G0047230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLHDGGQPRDVLLEQLQGFGAGALERCGHLPQLRHRPRRQEPRDGVERRRADGVAVPTAAVAGGEADAELAAAEVVAVEVAAGAARRLVVGVLDEGVTLALPRGLVGGEAEGADGPDQLAGVAELRLRGVVRDVADEHHAAAAAVGGGGGKGHGAVHGCVEWRMDRRECNCNGWCGGRIADPGYKASNKST >Dexi9A01G0040390.1:cds pep primary_assembly:Fonio_CM05836:9A:44158374:44161358:-1 gene:Dexi9A01G0040390 transcript:Dexi9A01G0040390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQILTYPLQTVNARQQTERDPSKPAFKDGAARQLYLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNRAEAKALERSRRGLGDGSVGMLQSLTVAALSGCVNVLLTNPIWVAVTRMQTHRKANKQQSPQVLTCAPDKALEASTTENTPYKTIDVVSDSLFLLLSDMLYLQFQELYKEAGVFGFWKGVIPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLSALEIFLLGAVAKLGATVVTYPLLVVKARLQAKQRIDDDKRHRYKGTFDALTKLVQHEGLTGMYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGNTNLVKKLPSKPSR >Dexi3A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:3A:14051708:14052784:1 gene:Dexi3A01G0018390 transcript:Dexi3A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVVDGVGVENCRVAFLDRSSTSEKCRMPMYPGDGSLGCGSLSQKNWMLLEALRGVTAVCITFDGASNRSLSMFCTLSVVDIEPQLVASTHGSSPEMKGVPEPADLDGVARNEHDGLRDLELVGVRDVHRGPEVDADVEVEDGRAAEAVVVAVHEGGPEEVFEPGHEGPERVAAARGVGEAAHVEVALAELGRDVLGRDVVVEPGVVDEGRVRGV >Dexi8A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:8A:1316777:1320289:1 gene:Dexi8A01G0001940 transcript:Dexi8A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIHEVMGSKTKIYIVLEYATGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMILYKKIANAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSEGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFFKNLSKTLKDVVWKCEDQQMHSAA >Dexi3B01G0025430.1:cds pep primary_assembly:Fonio_CM05836:3B:20179463:20179795:-1 gene:Dexi3B01G0025430 transcript:Dexi3B01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGRHLHGQQQFTVDVDPSLCRSLRSTAVRIRSSALSTWGIRNMLLPALRRYIPLGAGPPPAVGMSPKSAAATATIERCGSEFVDHSKSQSRHTKLLAEFTKKIEGRG >Dexi9B01G0048050.1:cds pep primary_assembly:Fonio_CM05836:9B:46992183:46994946:-1 gene:Dexi9B01G0048050 transcript:Dexi9B01G0048050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTITPPRPAAVALALLAAAVLASCAAGASCAAGCRKHVAKITQYGAVGDGKKLNTAAFARAVADLSERARDGGAALLVPPGKWLTGPFNLTSHFTLYLDEGAEILASQDMKHWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDGQVWWDKFHAKELKYTRGYLVELLYSDSIIISNVTFVDSPSWNLHPTYCTNVTISGVTILAPVHSPNTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDEYGIKFNMPSQHIVIRRLTCISPTSAMIALGSEMSGGIRDVRAEDSVAINTESAVRVKSGMGRGGFVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNTSDPSAKPEVTGINYSDVFAENVTMTGRMEGIPNDPYTGICISNVTAELAPDAKKLQWNCTDVKGVTYGVTPKPCPELGGQGKPCAFPEEELIIGPHELPKCTY >Dexi3A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:3A:13325595:13326846:-1 gene:Dexi3A01G0017480 transcript:Dexi3A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAPITTFLALTSPCTTDSTPWRYAMPRATSAANARTAGSGRRPPLLSASSASEPPGANSRRRWDSSTPPAAAERKPRQGSTCGERSAAMIPASRRSCAAPAAPLADLTARRGSPSSSPAAKSDTTAPDAPRPSVRSRVRRRRPPIPASIAIGLWNTGARPLVVTLNCLEDPSLEQDALSGAAAVEHAPLSALSAGRVEAAAAVLVPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEGSRR >Dexi9A01G0022760.1:cds pep primary_assembly:Fonio_CM05836:9A:17891250:17891926:-1 gene:Dexi9A01G0022760 transcript:Dexi9A01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQLANIILTKHSDDKPLVEAVRKQFFAEHLFSDLHQDSPLFRWHPRSWYVDSTFVERLKETEADRKSSVNETGSCSMQTIVNFRFGDLMDDPLACVLGSSVRDTESNNPAEHTRAVLKRSHETARELTITIAIRMLTI >Dexi9A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:9A:4102641:4103828:1 gene:Dexi9A01G0007130 transcript:Dexi9A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSEEEDRIILSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQQFMRQATESNGMKQEAAAGDATGSSGMPAVTYNWHHQAMALPVPPVPGTTMEGHRIGDEVDESIRKLLYKLGAGPFATLPLPQCTPPMYEGRPSLVPPSCTVGTTSLNEGGMQASSALPALELDQTFHFNQVKLDGLDCFFGMGADQSLRWSELSPLVCPNNAVASSSQGMQQYCLVDESANLGMK >Dexi7B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:7B:17272830:17273264:-1 gene:Dexi7B01G0009800 transcript:Dexi7B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTQLHAGEHACAATTSQNSGAGEYASGSGTATDPGKDEDEEYASGTDTTADEEASDADEEYASGPCTTTDAGNDDTDDAKFTRFSMFFSSSVSSNPASASSSLATASLMSCCWFKPPPSRSSPVNPAPAKAASAAWTT >Dexi5A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:5A:8053075:8053705:-1 gene:Dexi5A01G0010760 transcript:Dexi5A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMEVGDYGAFMEKFELLPPQSQQQLPLHGLTFAIKDIFDISGRVTGFGNPDWARTHAPAAATSPVVLATVAAGATSVGKTVMDEMAYSGSAVAVAAKLVDFALG >Dexi6B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:6B:10113207:10113608:1 gene:Dexi6B01G0008170 transcript:Dexi6B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTRSWRDARRLAACTAASQGTQSAAASAAQYTAAAPPQTAQQAAAAGLVLPSLPIISLSRCLGRVEEDEAMEEIKGASLRKVELVNRLKGHVEL >Dexi3A01G0034550.1:cds pep primary_assembly:Fonio_CM05836:3A:39682941:39687197:1 gene:Dexi3A01G0034550 transcript:Dexi3A01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAVAALHSPPPAAAAAAPPSRVLRVGAAGPAPRSSSFSFPREAFGWASRLQRRRGRRRAAAAAQPEKKMARPPILSVALPSDTGRVLSIQSHTVQVVPVASMLTPNQFEVELLTGLRITSEEDGLTACNTLHSAGPQKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQEAASCH >Dexi3B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:3B:15541990:15543133:-1 gene:Dexi3B01G0020580 transcript:Dexi3B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAAIGVNYGMVADNLPAPEQVVSMYKSRNISYVRLFRPDTSVLNALRGSGIGVVLGTLNEDLQRLASDPTFAASWVATNVQPFAGDVQFRYINAGNEVIPGDASTAQQVLPAMQNLESALRSAGVTGVPVTTAVATTVLGASYPPSQGAFSEAAAPVMAPIVSYLSSKGAPLLVNVYPYFAYSGSSGQVALGYALLSSVAGGEATTTTVEDGGVVYTNMFDAIVDAAHAAVEKAGVQDLELVVSETGWPSGGGGEGATVENAAAYNNNVVRHVGGGTPRRSGKPVETYLFAMFNEDQKAEGVERHFGLFQPDMTEVYHVDFTAGSSS >Dexi1B01G0029090.1:cds pep primary_assembly:Fonio_CM05836:1B:33487496:33488916:-1 gene:Dexi1B01G0029090 transcript:Dexi1B01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQASATEYYLHDLPSTYNLEHERRLERIRNAFKGIEGSHVWPFQVWLQTDKAAYLLRQYFFCNLHERLNTRPFLSHIAKKWLTFQEGGGAI >Dexi2B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:2B:9621448:9623380:1 gene:Dexi2B01G0008940 transcript:Dexi2B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQELMTAHIVSMTLKAAMELGLIDALIGAPAGRALTVDELAAKLPAGATNKAEVAAAVDRMLRFLASHGVVRCSTEEGAGPDSPPLRRHHLAEALLEGRVAFEIAHGMPAFEYMGKNPQLSALYNQAMSQLSTLVCGKMLESFTGFDGIGILVDVGGGIGTNLGMITSKYKNIKGINFDLPFVVRQAKPIPGVQHIGGDMLDYVPSGDAIFMKSVLHLLSDEDCVKLLKNCYRAVPDTGKVIAMEVVLPVTPEATPAGRFPFLFDIICLINGLKGGKERTEQEYARLAADAGFNGAIRSTATFGGFCVLEFTK >Dexi5B01G0037380.1:cds pep primary_assembly:Fonio_CM05836:5B:36897138:36901377:-1 gene:Dexi5B01G0037380 transcript:Dexi5B01G0037380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVRSAAAPRLLVPLRLPASAGLRGLLRFDTRTGPPGTRELSMVVADSTRRRAKEGGSGEGVLGAPDLGGPGAELGDQLSVVMKFGGSSVSSAARMEEVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIHVSEIEEWNTIKDLHIKTVDELGLPRTAIQDMLDELEQLLKGIAMMKELTLRTRDYLVSFGERMSTRIFAAYLNKRGVKARQYDAFEIGFITTDDFGNADVLEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAKTVPYLTFEEATELAYFGAQVVLTSIVLKSNVTMLDIVSTRMLGQFGFLARVFAIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQELDNVVEELEKIAIVHLLQQRAIISLIGNVRRSSLILEKAFHVLRKSGVNVQMISQGASKVNMSLIVHDSEAKACVEALHQAFFEDEDVLVQAETEKLLAG >Dexi9A01G0033630.1:cds pep primary_assembly:Fonio_CM05836:9A:38533700:38535411:1 gene:Dexi9A01G0033630 transcript:Dexi9A01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPAANTTWCARCGAYLSVSPGARSLRCPLCHGVTRVERRRPHALQRVAVGFIKGLMNAFTTPPPQASSSPAPGGSPPGSSSFSQLPASSSYPARGRGCNKRALLVGISYAGTKYELKGAVNDVNCMHYFLRERFCFPPDSILEDRDLSRVPTRANLMRALRWLVDGATAGDSLVFHFSGHGVQKLDNDGDEADGYDEALCPVDFEDPRGGVILDDEINATIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRLSRTGYWQWENQQTRFSSEQKCTSGGLAISISGCGDSQTSQDTTAFSGSTSTGAMTYSFIKAVESEPGTTYGRLLTAMRATIRDNGGEFGIPGPIGTFFRRVITFSCAQEPQLCASETFDIYRKPFLL >Dexi9A01G0047000.1:cds pep primary_assembly:Fonio_CM05836:9A:50189427:50191010:1 gene:Dexi9A01G0047000 transcript:Dexi9A01G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQLNVLFTLDHARTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDLSKPDPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGNTPKGYANKKTRGAFIAAVFAMQGFGILFGAIVALVVSAAFRNSFPAPAYSDDRAASLVPEADYVWRIILMFGALPAAITYYWRMKMPETARYTALVARNAKQAAADMSRVLHTDIEEERSESVEKAAAMVLAGGDEWGLFSSEFVRRHGLHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPAARTMNAVEELFRIARAQALIAMCGTIPGYWFTVAFIDVAGRFWIQIMGFAMMTLFMLGLAVPYNHWTTPGHHTGFVVLYGFTFFFANFGSNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPHKPEAGYPRGIGIRNALFVLAGTNLLGTVMTLLVPESKGKSLEVVSQEVADDEEAA >Dexi9B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:9B:7631428:7633599:-1 gene:Dexi9B01G0011720 transcript:Dexi9B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASSLASHLKSLPTAWQDMTLMLDQKRWKKILEKKQQRQA >Dexi4B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:4B:1735522:1737059:1 gene:Dexi4B01G0002700 transcript:Dexi4B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAGSLAAVIGSLVLLVAGVSGARLPARGAVVRGTPLPRGGAPATAVFALGSFWRSEAAFGCLHGVIRTSVGYAGGSKANPEYRNLGDHAECVKVEYDPRLIHYKQLLDVFWASHDPREVFGQGPDVGNQYRSVIFTNGTIEARLAALSKEKEQAKDRSSVITTQIQPLGVFHHAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCPANTQKRISSKIDEVAKKGWPILKEI >Dexi9B01G0036410.1:cds pep primary_assembly:Fonio_CM05836:9B:37960219:37964818:1 gene:Dexi9B01G0036410 transcript:Dexi9B01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATNGANSLPYASAVGAGGPLPDSVLLPFDDDGFTNEDLLAADAALLGGGVAADHTLLLVPSCPGTNGCAGGGGGGSGSAVEGLGVLAAPASRGFGVTDAGSFSLVRQPTPAPAPVSWKVETTAVGGGGSSSLARSPGPAPAPPSPALPLVHSTGRRTSIYRGVTRHRWTGRYEAHLWDNTCRKEGQKRKGRQGGYDKEDKAARAYDLAALKYWGPNATTNLPVSARENYIREIQVMQNMRRQDVVCSLRRGSSGFSRGASVYRGVTRHHQNGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAALKFRGENAVTNFEPSRYNLQEIAQHDIPILGAGRRPNQKLSPEAKGQVTLSAPPPFSSPSQQSSNSVPPYFLPNIQQPQPQPPSFPFYWHYGNVEQKLQPNSKLEIVNGLLQLANSPAH >Dexi9A01G0022530.1:cds pep primary_assembly:Fonio_CM05836:9A:17719339:17720683:-1 gene:Dexi9A01G0022530 transcript:Dexi9A01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSVTLKQRKRVKHAKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVKDELELSPQPEILLESTTPILSPARLNFEPFGTNKGQLLPKTPRTPDASDFGGDSRLELLPLDLLIKIMCCLHHDQLKAVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLRSVLLLSLQSVSDAQCHQDCQGLYPKLHRRLEFYDMRTSFVKQ >Dexi3A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:3A:16107634:16113792:-1 gene:Dexi3A01G0020290 transcript:Dexi3A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEAVLSAFMQALFDKVIAAAICELKFPPDVKEELQNLSSSLSIIQAHVEDAEEQQMKDKTACRWLAKLKEVAYEMDDLLDEYAAEALQSKLEGPSNHDHLKKVRSCFCCFWLDNCLFNHKIVQQIRRIEQKLDRLVKERQIFGSIMMSGTERQEIKERPKTSSLIDDSSVFGREEDKETIVKMLLAPNNSNYANLPILPIVGMGGLGKTTLTQLVYNDARIKDHFHLRVWLYVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDPEKWDREKQQHSLLTSNMLASICRRRLAIPLRRILTGGGGAGTNPFRSSPEAALLLPQGYYSTTVAAASAEPKPWPAAARNVRIRDTDRANAVAALLRECGFSEAQVTHTLRYEPLLTLDPDRIILPKLDFFSSLGFEPGFVATEPSILLRSLDNHLIPCIKNLRGILGSDDNVRTAACRVPRALGADPYKIMRAVEAFLRRGLSQEYITKLLVFHLGVLVAPLDRIDEAFDYLEELGLRVTDKGFIYCFRVIFALKRETRLRKVALYQSLGVCEADVLRAIKAQPTMLLVSDEAIRKKIRFYLDVLKLEMGDVMAQPFCLSLSLEKNITPKCAVLSVLMREGKIEKKLNLLPSLQCNSKVFSKRFVQRYAKDVPDVVKAFEGHIKFQGFGDREFELFVTE >Dexi2A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:2A:25680636:25683740:-1 gene:Dexi2A01G0015090 transcript:Dexi2A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSALVLLLVLLPPPPCASDDRLLVGKPLSPGTTIVSDDGGFALGFFSPANSSSTPANLYLGIWYADIPTLTPVWVANRGAPATNTTSSSVTAPTLSLTNTSNLALSDATGRILWSTNVTVSGEVTGGNVSGSYAVLLNTGNLVIRSANGTALWQSFEHPTDTFLPGMKIRVVYSTRAAERLVSWTSPDDPSPGRFSYGVDTFTSLQLFLWNGTVPIMRDGPWTGYSVASRYQPNASAFVYQAIVSTDEEIYLTYTLSDGAPRARYVVTGSGEYRFQSWNATLSSWAVLGVWPASDCNRYGHCGAFGYCDNTAAAPSPATCRCLEGFEPADGDEWNHGVFSAGCRRKEAVRCTDGYFAPLTGMKSPDKFVHVANRTQDECRVECANNCSCVAYAYANLSTTRTTGDVTRCLVWAGDLIDTEKMGDIVGSDTLYLRLAGLNNAPVQGVNVNGKSNALRIALPTVLATTALIITGIFLAWFKFKAYKIGQGGFGKVYKAWNMWKEGKGKDLVDPSIVDTCSLDEVLLCSHMALLCAQENPDDRPLMSSIVFSLENGSTTMPTPSNPGHYGQGSSDMEQIRDRTDTSMNSLTLTNLEGR >Dexi9A01G0026370.1:cds pep primary_assembly:Fonio_CM05836:9A:29255922:29257159:-1 gene:Dexi9A01G0026370 transcript:Dexi9A01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPARGFLLGVCATLMVIAVANAASGSAESVVVGVAKCADCARKNMNAEAAFKGLQVSIKCKNSKGDYESKAVRELDGSGAFSIPLPAELHGADCLAQLHSAAGMPCPGQEPSRIVPQSENHFIVVPGKTSKPSAECASATICGPIKKHFLDHFHKKPVPPKPKPEPKPQPEYHPPTPTYGSPTPTYGSPTPIYHPPARQLFDKKHVFDHFHKDHDHHHFLDHFHKKPAPPKPKPEPKPQPEYHAPTPTYKSPTPTYGSPTPIYHPPARHLFDKLLDHFHKGHDDHHHFFDHFHKKPVPPKPEPKPQPEYHPPTPTYGSPTPIYHPPTKQMFDKKHWLDHFHKDHKHHHFFDHFHKKPVPPKPKPEPKPQP >Dexi4B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:4B:435114:438757:1 gene:Dexi4B01G0000630 transcript:Dexi4B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMARLDEWKPVIAMLVFDIISAVTTALLKKALQEGLDRLVLITLRQLVATIFLAPIAYFKERRTRPKLTLEILVYLFFSAAFGAALSQYTFFYGLQYTTATFAITFTNMAPVLTFLIAVLLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVEPSSVTLSPDHHAAAAESSKKSWTLGTVALLANCLCFSFWLLLQSKLTKNVLGSILMILGLYILLWGKKRDASELASPSPAAAKEVED >Dexi5B01G0023690.1:cds pep primary_assembly:Fonio_CM05836:5B:25809273:25812415:-1 gene:Dexi5B01G0023690 transcript:Dexi5B01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTTLRKRALSVDTAAAAIELESKGQDRRSPKEGGSVAEEEPVSPTGRLFREPNFRCHIVSVFGLAAPVDLPALRAGVAATLARHPSFSSVQVLNEFEKNARPKWVRTAVNVDDHIIVPELDPAATSSNQDKALEDYVASLSTRPMDHSIPLWEIHVLGFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSASDPGALPSLQPARRRRAGPAVYAARRRQWPLVSSSTAAGALDAVVVMAMWAVSLLVLAWHTVVDVACFVATAVGLLGDAPTVLKGEEGTEFRSKRFVNRTLSLDDVKFVKNALSCTVNDVLLGITSAALSRYYFRKTGESDSQSITVRSAVLVNLRPTPGIQALASMMESGKHNGSSWGNKIGYMLIPFHLAKHDDPIEYVRRATKVARRKKSSMESVFTFWSGDMVLKLFGIKAAAALCYGMFTNTTLSFSNMVGPTEQVLFCGNPIVYIASGTYGHPHALTVHYQSYMNTVKLVLTVDESRFPDCHQLLDDFAESLRLIREAASRKSDKAHDGTAG >Dexi3B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:3B:4004054:4004915:1 gene:Dexi3B01G0005860 transcript:Dexi3B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTTTLPLLMIQTTWCLVFLSLSTQSTSTAAAGRCAPHERDALLAFRASFTDGKYLSSWRGEDCCQWNGVHCSNLTRRVVELQIRHSRVANSSIGFRGGQMNSSLLGLQHLRSLDLSYNDFNGMPVPEFIGGLTNLRYLYLSYSNFGGRVPPQLGNLSRLLHLDLGFSNVNTYYASVSDIHSDDLTWLSRLTKLHYLDLTKVNLSTVVDWAHVVNKLPSLVTLKMRLCCLLEPIAYFFGIYPVSFTLTWRAVASKG >Dexi3B01G0032760.1:cds pep primary_assembly:Fonio_CM05836:3B:35209923:35213282:-1 gene:Dexi3B01G0032760 transcript:Dexi3B01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMGSNGCNTITRVLFCGPYWPDATVYTKDYLQNYPFIQVDEVGLEEVPDVIQNYHLCIVRNRRIDSDIIARATQMKIIMQNGVGLEGVDIGAATKHNIKVARIPGRTTGNAIACAEMAIYLTLGVLRKQVCYIDTNLHHMKDQNGPQKEMDRAVNQRELGTPAGETIYGKTIFILGFGAIGYKLAKRLRVFGVKILATKRNWSSNTLPCDAEVLVDKKGGPEHIYEFAREADIVITCMALTNETVGIVDNKFLAAMKKGSYLVNIARGRLLDYKAVLSHLESGHLSGLGIDVAWMEPFDPRDPILKLSNVLITPHVAGITEYSLRTAAKIVGDVALQLHSGKPFTGIEFVN >Dexi5B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:5B:4581124:4582985:-1 gene:Dexi5B01G0006830 transcript:Dexi5B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLTLSLLLLLLLLSTASANDEAALLAFKAAAIGGGGLPSRGLTGVLSPAIGNLSSLRFLNLSNNGLQNDIPASLGRLRHLHTLDLSSNGFSGEIPANLSSCTNLTTVLLYSNQLSGLIPVELGDKLPRLKNLRVYKNNLVGEIPASLANLSSLLVLSLSFNQLEGIIPPGLGGILGLRRLDLAFNRLSGEPPASLFPRMLILRLYMNQFTGSIPASLCNLTTLEELELQENRLSGHVPSAMGRLQGLQRLNLQYNMLEADNKEGWEFIASLSNCSQLQHLLIGSNAAFTGQIPSSIGNLSTTLQTLLLADTGISGTIPSTIGNLVNLEYLHMANNSINGVIPESIGKLGNLVVLALYNTDLSGLIPTSIGNLTRQQFFRTNPYITAESNIIDSIGSVIQ >Dexi3B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:3B:15221471:15222367:-1 gene:Dexi3B01G0020210 transcript:Dexi3B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPTAGAGGDRSPSGPPPVRLSAAQAVAAIQPTSPRYFFSSLAAASAAASSPHRRIGIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDPEADIAEGAARGAASEEEPEEAKKKREEDFDTFTSTKAQDLAQPLVVAQIPFKIHIVKDHDMKERLCLEAERLGLSALIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDAIGAGSGDAFGDELRTVPENEPVFHEAPEAQRGVY >Dexi3B01G0023580.1:cds pep primary_assembly:Fonio_CM05836:3B:18399412:18405250:1 gene:Dexi3B01G0023580 transcript:Dexi3B01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVATDGESAGELLLRAAALVPWTTYALAALALASALLYRFLELHFLGDLLRGLRGGRVALTFHPDSQVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRSPSFTYRRQLYTVRDGGTIALDWLLASDLEDADDGSCDESISKDDSTPLLVVIPGLSSDSSAAYVKHLVFSMARKGWNVVVSNHRGLGGISITSDCFYNAGWTEDLREIVNFLHQKYPDAPLFTVGTSIGANIVVKYLGEEGESTPVAGAASICSPWDLLVTMQLNNIGSNIFLFHAQLIVFPLSYFLRHQPVLARLANWEAIRSSSSIREFDRHATCVVAKYETVDTFYRKCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFYEGLTAGRLWWVRPVSEFLCALHDSSYMHRQKEQGDGLHSSLESSIDKSPYVNFMEDGMVAAVTNDGPDNDDYLHNQIVDEIKSDGMVAIQQNEHTGEIHNENDSGGVGDKNNSEHIVTSVQGHEENHKQREEPCANDIGDVVAPVRRSINQITRSQGKSVWLLAYIAVVTSWPLLRALGFFLFRKRSSNSLLAKKLKKP >Dexi6A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:6A:26864754:26870733:1 gene:Dexi6A01G0019250 transcript:Dexi6A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMDSAVGPHFSGLRLDSRRLSSSSLPSPPHSNGNGAPKGLSSPQAGGGRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYHGLTEEQSEHVQDYNFDHPDAFDTDQLLECMGKLKSGQPVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVASVLEQYGRFVKPAFDDFVLPSKKYADVIIPKGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVFVVHTTFQIRGMHTLIRDSNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGAPEGVHCVCKRFPRLKIVTSEIDGGLNEEYRVIPGLGEYGDRYFGTD >Dexi2A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:2A:9086054:9087866:1 gene:Dexi2A01G0009060 transcript:Dexi2A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIFFAKEMPASTDQAAEASKDTGVRTIGSLGNKIRIRLPPRKRLAEGTQTQTMSTVGPDDTKNHLAEVSEHTDNNTPNTTLVDTKVKAEEVCSKAAGVGQCEEANSNILIKTMSKDTVSEEANLIVSSLNLTTATEVQVKEEISCPVRKELCEEGNKNIMSKVPLEDSSNTARKESYVGAIDNTTSKNMTIIRVHDELEKKNSINIIPSNRLIYETNRNSRSKGVTQEAKIKNPRKNLTTSAVNGEEENDKSLGNNLFEEARTNIMSTEAINYAPNRRPADSANDKKSSKRPRTSVIHVHAAGTSQNTSGMKLSTSVDLAVEQSTRAAFLEATKEYKEFEEKVKRTVYLDNLSPQATDAVITMALNQFGNVKTVNCLTNCTVPFDIPQSALVEMETQKDAEHVVKMLNEFPFMVSGMPRPNQLQEEAILAKHQQENLNCEYRKLESIDSVIMTGWVDHLTRIYNLSFDGMY >Dexi8B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:8B:3541375:3542866:-1 gene:Dexi8B01G0004050 transcript:Dexi8B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPSVALEAIFRRLPQRSLAACRCVCRKWLTIIDARRLLRRDLLPFSMGGIFITLGFSAQAPPAFFARPSSSSSSSSSAIAAGRDLDSYALETNSPGLVRILDCCNGLLLLRNRVVNPATWQWARVPPPRHVAWADNGGYGAIGDAYLVYDPSTSPDSFEVVLIQDASFLEDELEDGSEWPPPSYTMSIYSSAAATAAGARQGRWEERTFVRDGEPAGTFGVARLAQEPKARHGVYWHGALYVHCTGYFIIRLTFSDNKYKVWFLNEVNGQTDWLFKYDVDLRAMNAHFRADHKHPVDRPWILQHGEYRRNKAAG >Dexi7B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:7B:20547088:20548557:1 gene:Dexi7B01G0014210 transcript:Dexi7B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVASTSDKPRYMNDRIDNLRKPGGSVAALKDLCMVEGYNLVFRAQPSTDGSAGTEARAQVEVGGQILGNGVGATWADAKLQVAADEAYGTLKSMLGPLAQKQSASPRSLAPNFSKGFKPDFSHAMQRIPSGRYSRGDSHFP >Dexi2B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:2B:29396198:29397022:-1 gene:Dexi2B01G0019230 transcript:Dexi2B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAALVDLRALSQPDLVSLAAASPYAVDPRRGRRRDGDFLPPPKIDRAVFNESAGSRKQTFSRHRPAANISHNLTLSPAAAASSSSPTTAAAPAEEDSENRLILFHLQRLFSRDDPSYPAPPTIPHRQQTLTAPATAAVAPPAASRPPPPLAAAGADPDREVLNPKGVAVDLARLAELVDPYGEELRQRTAGMGSESELLGFMNALEGQWGSRRRRRKFVDAGMFADHLPRGWKLLLGLKRKERVS >Dexi2B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:2B:6954045:6957349:1 gene:Dexi2B01G0007000 transcript:Dexi2B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAPAPAPEPSHTQLHTANTMFAAPTPAPEPSQTQLHKANVNGAARANGTPVNSLVTPLQTPPSTGMQESGKRKRGRPKRVPDATAPLTPLAPTVPSVPSAPDDNVVSPTPSSANPQDSGKRKRGRPPKRVQDVPVLGPTASQVDSTPVLHTLPGTTVHESGTRKRGRPKRLQDSSDISTPPIHSKDSVPPLQQPSAGASPESGKRKRGRPKRILDGSVTPSSLSDYSINDDVVDTPKRGRPKKIDTNLLQLPSLFSDDPRETADNVLMMFDALRRRLMQLDDVKQTAKQQHNLKAGSIMTSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLHSHSMAGIDYMVAKFGNEDDPVAISIVSAGVYDNTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNSIRVIRSVKDLISPTGKIYIYDGLYKIKEAWMEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRDNPSSRDRVILLDLSYGVESKPICLVNEVDDEKGPTHFTYTTKLTYGNSLSSMRKMQDCKCASVVVMACFKEQGLPVFHGFCRSSRYNAK >Dexi3B01G0030260.1:cds pep primary_assembly:Fonio_CM05836:3B:29821999:29827810:-1 gene:Dexi3B01G0030260 transcript:Dexi3B01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGAPGDDDWRPHAEAAGMGYDAGTRDQEASDGLDDTLREAYVLIVSCQNCSIMYRFFMGWKQAEQFREVQKKIDGLPVFRLSELRAATNNFSSENLIGCGGFGKVYKAWKMWTAGRSLELVDPLQRDEPRIAEILRCIQIALLCVEPRQDDRPNMRDVILMLSCDSLRIPSPKRRGYESPQIAPAPPCSKEQITDGAQSLDAH >Dexi9A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:9A:4613085:4613930:1 gene:Dexi9A01G0007870 transcript:Dexi9A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEARLQMEDVEKGMPAPEKAKDEAPAKELDLLGVLGSEAPEAVSPPVEAQPVAPGKGKEKAAMVAEEEEEEKAPATESSGGDEKKRSFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRGAAAAAAAGRGLYGAADPFLPPHHLRFLHAWPYSVAGGRPPSSFLGLGRAASVAAALPFYHGWAAHAHGHAQLPSMAGGLARHGYAPQGYGGSHGASARGPSSSPAVLDSSGMAGFRWAGVATGASASGDNNNGVAHEVTQQEEEEEAQSCKLDLNLRL >Dexi6A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:6A:2772105:2778335:-1 gene:Dexi6A01G0003030 transcript:Dexi6A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSPPPPPRLGAALPTSPTPAPTPGPAATSPFSPAPRSSPFPPASPVSAISAAGRSKTQRWCHDSPPSGKSGGGASSFMEALLMGARPGASPPAPPEAASKAAASVLLPASAASPVSRAASSRIVLVGGDRRPRRAPPSPDPDGWSKVMCHPRKVPRDEVFRSRRRVPVDLRGRCFNCFSTDHRAASCRSMTRCFRCRDLGHRTSGCTRQDGLRPAAPRRLHKVWRPKVDQAVKEAMKDATAAVSIAPGVARQGSAGRKRRRRWMRKRGARHDKQDDLSGDEAMYGPPSMSSGDDQPPAAGPRPQKILDRSAAISQREDGLTRALVVTVLSGSHESILACVAGRFDVDPASMTVQHFGMARFLLTLPSVDLVVRVFDDGRPFISTSPPLRLHVRRWSRLLDSRAASLSSPIEVDIHGIPAHAWELSTAELLLSDYCWIGAVHPEVAERRDVFKVMAWSSSPALIPPGLDLELVEPPAHVEDHQPAKRTLVYSVTFPVAPAGRAEDTADPPPPPPAAAGGRRRRWRRRSRSPPDASATHDVPRASVHDRLGPRPVRGGHVASRGSGPEARDAPALVTPLPVAPPSPESATSGADTRPHAGAEAIKVGLFPQAATSPPAILGVGGPLEASAAAPEGDDPVCAGNLAPVHLGPSSEFSPAGFSTGPGQSAQCSFQAPQVVDESLQALEALVFVESSVAAAPSGPVVGRLKVYYRRKGRPRPSPPGTASSQPQAAENPPAPTGTSLPTAQESQVDDPAAVSRKTFIDNLTKRTEGLLVLPPPVCKRQSRAPPPTSAPRRSRRGAGLEAEFTGIPNRGARKTVIRSLEIAMEQEHANQKRCPLCDQEDETINHLLAGCVFARQFWHGILSLFGLQEITPLTGELDFFCWWEQASDRLPSALQQGFNTLEEAEFWMLARAKGLSALVAIRLLG >Dexi6B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:6B:24887561:24894694:1 gene:Dexi6B01G0017840 transcript:Dexi6B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDDAAPAQPQRMGLVSPYAQAQPLPHAMDPVPVPARHAALRVRSFGGDEPVASSASAAQRERHDPARCATFPQRHGETTAHAPAASFAAWVGGGGVSAAALERALTESEYAAGGGGVGGALPEFIGAGGGEGIFRVPLRAAMHPGRPPSLEVRPHPLRETQVGAFLRTLACDPRRRQLWAGAESGVRVWALDEAFDAWPGDAALRRGDEESAPFRESVPTPPALCAAVDGANRLVWTGHKDGRIRAWRMDLATAAGGGGGNATLFEEALAWQAFSRTPVLAIVVTSYGSEGGIIKAWPWDAIAKSLSLTSEEKHMASLLIEKAYIDLRNHATVGNMSSLPAADVKHMLADHCQAKVWSITSMRFALWDARTRELLKVFGIDGQVDLARLEAPVMPEQFIEEEIKVKPTKKEKPQGSFTFFQKSRNALIGAADAVRRAATKGTFVEDNRRTEAVAQAMDGTIWSGCTNGSIIVWDGSGNKLQEFQYHSSSVRCIKALGERVWVGYASGTIQVMDVEGNLLAEWTGHSCPVITMAIGGSHIFSLAHHGGIRGWPLTSPSPLDDILRTELANRELSYTKIENIKILVGTWNVAQEKASFESLRSWLGSALTDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIGAWARNDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVSNHFAAHQENVSRRNADFDHIYRTMSFNKPHGSTGILLSYSQLKANGNQDDEDIPELAEADMVVFLGDFNYRLDGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGYDSSEKKRIPAWCDRIVYRDSRPVSIAECSLNCPVVASVTAYEACMDVTDSDHKPVRCTFSVDIARVDELIRRQEFGKIIESNIEVRSFLWESHVVPDTIVSTNNIILENQEDVILRISNNCETRKAAFEILCEAQSITNKDGTKYEIPPRASFGFPLWLEVQPSVGLIEPGETMEVAVHHEDFFTQEEFVDGVQQNWWCEATRDMVAVLLVNVTGSASTETITHRINVRHCCPVPSAPPPVKPRSITDAPSDNVSGSKNNQSNHLQRSDFANFGSSEVHDLCGVPKLNM >Dexi7B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:7B:18668936:18672748:-1 gene:Dexi7B01G0011660 transcript:Dexi7B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVYVDWRGNAVDERRHGGIRATLFLSVLFMLRSCPSSSNFSVVAYFHGTLHLDIVTSSTVIGYLVGAVLISAALMNFISDAYIKRTTAIFIFGPCVVLVLVMSLRKRQAIVDDIELHETNRADRVPKPGATQVDETKGITRMLPIFISCLLIYLPFMLLMTLTIQVGSTMDRRVGTIKIPSASLIAIPTAFHMLMQPCYSRILTPLLRTTTGHEFRITPLKRIGAGSVCGTAAACVATLVEVKRLTVAEQHGLTLAGTGVPMSIFWLVIQFFLLSIMDVASFSGLIEFIKSESPPAMKPIAPAVQSFLAGVAAWSCCAFIQLVNRATRGRDGGRGWLDGTNFNRTRLDHFFLLLAAFELVALMNYTFWARRYTRKLQRISTFLLEDDN >Dexi3A01G0031770.1:cds pep primary_assembly:Fonio_CM05836:3A:36123367:36124134:1 gene:Dexi3A01G0031770 transcript:Dexi3A01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNADVTAPTKNAHGVLEERDPSYEEMLKHMVGRITTKPGGKPEMGDAAVVQRYDRPLPKVRTSKADPGQSGGRQLPSGALNVQHIQEIIQLYQGKSSTHHGPMSVDDIASKFRVEASIVRNIVQSVSLPQDEPAEKKEER >Dexi5A01G0027940.1:cds pep primary_assembly:Fonio_CM05836:5A:31279070:31281762:-1 gene:Dexi5A01G0027940 transcript:Dexi5A01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLHMKTNGRRMKAMRTNARWLFGGDGRSSDARLERSESANEDILIFYFQMDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKALNLLRVRADLQKAIDSENYALAAGLRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRGVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYVDPELLVAYVAEENLSAAEESEKGRFDHPYIEFLFYGEDTAGDFIPVKQLREKYDQPRYEASEDENDDDGDTNS >Dexi9A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:9A:1354667:1356668:1 gene:Dexi9A01G0002540 transcript:Dexi9A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQPAVAATILLIFLLASSAVAYYNAAESPASDGPPPPSFVGVDGTQFVTTSGGGSGNDDRGATTVYFSGFNAYWLMLLASDPARRPQVVAAFRQAAAHGLNLARTWAFSDGGDTPLQSSPGVYDEAMFQGLDFVIAEARRHGVYLLLCLTNNFHDFGGKRQYVAWARDAGHHNLTSDDDFFNSTVVKGYYKDHVKAVLTRVNTFTGVAYRDDPTILAWELMNEPRCDADPTGAMVQAWVEEMAPYVKFIDGNKHLVTPGLEGFYGDGTHESKDLNPWSIYYGTNFIATHLAAGVDFATIHLYPDLWLWGSGPAAQLAFLRNWTRSHAMDAELYLAKPLLVTEYGKFLWDGVANSTQRDYFLDVVLDSIYASAADGGPLVGGAFWQLLDPGMDALRDGYEIILAEDRRAASIIGNHSRQLAELNGQDVEEVRRRRRRRKWGAVRKVHVGSSSLGRSSRDTSQLHVVVLVRRFMSLVFRSISSLFVSSGV >Dexi8A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:8A:26602483:26605642:-1 gene:Dexi8A01G0015490 transcript:Dexi8A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSSCSYLQPASSTLPSSTRRNSFHGVGAAAATGGGKGSGGSVFGPTFSDRLCSLNLNETSELVVRPSFPMATMARSSSSNGHGRTSHHEASTTTSSSSSSAQSRRAEPPPPHQVVPATPGRPLQFFAASPAHHHQLVAPARRSVPSKWEDAEKWLRQSSSDSDHGNGKTAFSRQRSTGLGQRCGGAIAAGEEEKRAAVRRRSVDALTIYAPPAEVLLKDKFTDNEEPSKETFVFQSAYDGGDSSPAKGTAVDAGDEQRRDIGTEMTPLGSSCHTPVKSTSPARHNTPASRSGPLVPYAGGGMDISELADCHLAKLDLGARFDAMLVNWSSKEEEEEVSKSLRHFEATVGAAGGVPPCDNKRGGGGDCRWDDDDRAKSCIRYQREEAKIQAWVNLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLRRAAADSTRRIKATASHHHHRHHHLPGSDAAASCGCFPCSNNNNVISGNLLNYY >DexiUA01G0018590.1:cds pep primary_assembly:Fonio_CM05836:UA:39308881:39309456:-1 gene:DexiUA01G0018590 transcript:DexiUA01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIKKPKAMEKAQQEVRKVLGGSRGVISNTELVGLDYLRMVIKEVLRLHPPNPLLVPRESREDCEIMGYHVPKGTKVLVNAFAISRDPRYWENPEDFNPERFENSNVDYKGTNFEFTPFGAGRRQCPAIMFGTSTLEIALANLLYHFDWVLPEGVSPESVDMSEQYGMGVCKKFDLHLRAIPYVHSSDA >Dexi2A01G0030430.1:cds pep primary_assembly:Fonio_CM05836:2A:41363801:41364985:1 gene:Dexi2A01G0030430 transcript:Dexi2A01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQATTTAAAPYLPDELIAEILSRLPARSRCRSKCVSRRWRRLITDPSPCFYFWTHYDGVQHRWDFVATNGDGGQPHQVDSSLSFLPPHPRAGTGRRWRCSTPATGSSSCAAASPATGVAPPQPAFYVVCNPATKEWVALPQPSLEPGFDDFYTKTCRAALGFDPSVSSHFHVFQLEESERCYDHYVSAVEIYSSETGAWARKEKRWYRLTGHMAFFNGFLHLTTWENVLATVDVRGQTWRTIRVPYNGGYGSGFVSHSQGRLVYMDVRTRRMGALVIYVLEDYDSEWWTLKHKVSQVVLFGLGELPPDLEWNPTAGFHPTCHKVFFYDRPGRRLMSYDINEGRVRVVCTLGAVVWDEMHPFFLYVPLYSGKLDHQIQMSSSPIKASQAEIGS >Dexi9B01G0037360.1:cds pep primary_assembly:Fonio_CM05836:9B:38818162:38821033:-1 gene:Dexi9B01G0037360 transcript:Dexi9B01G0037360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLEIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDSILDALTYEEKETGPDGQEVTKVVPAVTPEVKDSLVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMKKAEASGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKYKGKLVVKEPPRAVSEREDKLFLDQIDSLMEQNAEVDGDDDSEEEEDTGMGDVDLTNSGVTAY >Dexi5B01G0033340.1:cds pep primary_assembly:Fonio_CM05836:5B:33767608:33769232:1 gene:Dexi5B01G0033340 transcript:Dexi5B01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNASALDEQEVTSHQRDQGVRARPGGAAAAAEEQADQLARQASIMSLTLEELQNSLCEPGRNFGSMNMDEFMANIWNAEEFQATTGGCKEDNREHDAAAAGMVVPMGTGENGGGGGLVRQGSFALPPPLSRKTVEEVWAEINQEDPTDAQAQPTPQAMAQPPQVGSGGVVAGGRQATLGEMTLEDFLVKAGVVRGSFAVGGHGQAAAVGGMVVPAMGRHMQQQQQGHQLGAPMMYQVGAPAANAVYPAVMGDGMGYHHNGRYPGGMAVVVPPSQQCVAAAVSPGSSDGMSAMTQAEMMSCIGNGGGMVRNGGGNARKRDSPEDGCTEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNHLKEENARLRAEEKTILLSKKKMLVEKMMEQARENVSAKKSARGLRRCGSAMW >Dexi9B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:9B:13694694:13697724:-1 gene:Dexi9B01G0019100 transcript:Dexi9B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAASGAAAPGGASAAAGAAAALGGGVPESVTVACPDHLVIADLAVAKSLGAVTTSAAAAARAIGRRSRRPLGERVHICSRCEFPIAIYGRLRFHLSRVNAISFCLRVIAFSLFQIPCEHAFCLTCARSDSSCYLCDERIQKIQSVKMMEGIFICAAPMCLKSFLKKADFESHVPEVHANLLQTNVEKEERNGSDAPNISRASAGDTQRQSQMPEMSTARAPPRPGVSPTSTSHMQDREERSRYHQSREQTPLRPPMLSKPPSFHGRHSYPPGDTQAENNPPQGFDRPYNWASQSRQESPGAATPLRQESDHSTQDKQQMMANAPFMFPPIPPHQANFMIPMNMNQPMIPNSPFNYPIQQDGNPQYFAAPFQMQLPDTGSDQGSMSGVQPPAGPMGFPEGLQRPWAMGLMGNPFQSMALGQGMADGSGDPQGGGGMAFMQAGFGGIPDGSMNPGMSDRGDGRGILTQMPMPMQMSLPPPPPTQPPSGSQQSFNRT >Dexi1B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:1B:6289951:6291443:-1 gene:Dexi1B01G0007590 transcript:Dexi1B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESLLYWLETEAGYGKMGICGLSMAQKTGITIEQVRDRLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >Dexi1B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:1B:19142099:19144189:1 gene:Dexi1B01G0013460 transcript:Dexi1B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHGKKVVESFTIRETDEVIRGTYELSSRLLAPAVCVYLLVYGNLRPGTAWPSGRHGRRRRELVLGAGGEAGDVLRVQVRWYYQPWQTKPGSRTFHGKKELHLSDRIDTRSAYTFEAKCVVHTLKEYSKLSKARIEDFFCRLEYKVDSATFMPDQLSVYCICEMPYNPDIPMISCPGCKERTSA >Dexi3B01G0027080.1:cds pep primary_assembly:Fonio_CM05836:3B:22590836:22597995:-1 gene:Dexi3B01G0027080 transcript:Dexi3B01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRPRTGHRRSVRTTTYLLPCAEQPVVGASSARDFSHRRPGPSRVLLIRAIALGSRQVQAVGNDSHHQGAAAPRAAHRRARVRALLSHIDTLRLVFASATTSCPKPRRERQHAEPSQAILSSVRAHACSSSAISSAQCRHDRRLLLAAAQSDLPLALSTIKSEGDNNDSVAGKGYGSGACLASCGELQLQGWSFMVFGVNLQAGFLLFGPPGCGKTLIAKVVANEAGTKFIHIKETPQAPPHRGLSKGINQGLSDGINQSHVITKRKLAPRPSDRKGKMSKRVNFVKGLIREVAGFAPYEKRITELLKSGKDKRALKVAKRKLGTHKRAKKKINEMANVFRKKRKSGASLTTRTPRGDLQGWADLPEELLHSFMSLMGSFVQLLPFSGTCKSWRAAFSSYPAKPALCGVLPPLLVRPMDNWDAPNHRGHDGFGLRKCKVMDVVNQKTALWCQIPDLADGELFFAGSGSWSEAIKCPRIDQVIQFNGQLIARDYNYKLYVLSVVPNLGLEQIISESDDADPTPFVSPWLVACGGMLVMVSRDMNDNLKHEFNAYKLDRCPKLACESPGVWGGSCNHVIYAHEGDPAWSTHEIADEHGIDVMAGLEFRTDHMYENVPSFWVYPSFMYS >Dexi8B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:8B:18635834:18637567:1 gene:Dexi8B01G0010200 transcript:Dexi8B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTEDTTQDQLQAHLQLLHESLGYIKSTALAVALDVGIADAIHHYGGSATVSQILAKIDVSPSKHRGLRRLMRMLTVSGIFTIQHPSPSSSSDEHEAVYQLTQEEQPDLSAFGIVHGGTVWDVADQEAAFNISLHDAIAADTRFLMPIVLKECGEVFQGIDSLVDVGGGPYGSAASTIAAAFPHLKCSVLDLPHVVAQADPSDTNVELIAGD >Dexi3B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:3B:11103769:11104657:1 gene:Dexi3B01G0015320 transcript:Dexi3B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHALRKLPQVGHRLEKARHCAGIRHQPVFQHAPNNAKCFPVAPPDSTVFHVAKLGTGPDFAMRPASSSASSTSPSRAQQASTAFHATAAASVVSSTRVAAATSAFSAYMPARNPGTSGCAPMCPALAACACTARPSRSAPARPHAWSTRAHAYPSAGTPRACISTNTATASHHSSASASMATCLFHSTASLPSSAPAASASSRRLDGPRPSRARRSTSAHATCGSRARPERTASECSCGASRRATGTHPASSSLRRSSAHCRRRDTRRGPSRKESTPRSATERELDLRR >Dexi7B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:7B:24933489:24937900:1 gene:Dexi7B01G0019440 transcript:Dexi7B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRPDVLRGKAALVTGGGSGIGFEVAAQLARHGAQVALMGRRREVLDKAVAALRSEGLTAVGFDGDVRKQEDASRVLAATVEHFGKLDILVNGAAGNFLASPEDLKPKGFRTVLDIDTVGTYTMCYEAMKYLKKGASGRGPSSGGLIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGMRKLAPEEMSAGRREIVPLFKLGEKWDIAMAALYLASDAAKAMESPFRADVLKGKAALVTGGGSGIGFEIVTQLARHGAQVAIMGRRREVLDKAVAVLRSQGLRAVGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGLIINISATLHYTATWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGMRKLGPEEMSKGNREMMPLFKLGEKRDIAMAVLYLASDAGKYVNGATLVVDGGFWLSHPRHIPKEEVKELSKAVEKKVRTSGVGIPSSKL >Dexi9B01G0043140.1:cds pep primary_assembly:Fonio_CM05836:9B:43184434:43188365:1 gene:Dexi9B01G0043140 transcript:Dexi9B01G0043140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAPPTLPRASPAVAGAARGRMVRVRVRAASAALGGGCCGGGGDDGMEQHRGDGSRSPVLVAGMIVSEVVRCSKLAAEQLRQEFHANCYIFGAGEVAKLFADAGIICIASLISPYRRDRDACRALLPDSKFIEVFIDLPLKICEARDPKGLYKLARTGKIKGANDCSFTGIDDPYEPPVNGEIVIKMKDGECPSPKAMAKQVLCYLEENGFLQA >Dexi5A01G0021800.1:cds pep primary_assembly:Fonio_CM05836:5A:25633980:25652686:1 gene:Dexi5A01G0021800 transcript:Dexi5A01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATSRSRPPPRRWQPAQTGSCNAASCVATCSPISLSSGSCSFLGLRFGMACMTTHVAASSPWRVGLSKSAKGLSELECEKDCVLQVDDLWCLEKFRDSPLPRWAPEFPVVSKHDSDVVHFVLRGRYSGAKAWVITLRARGAASAADAEDTQLESPVLKEEEEAEYSSTRVHHTTFHIEELPRKFSYNEIRAMTGDLGAMVGRGGSGEVFRGLLDDGAPVAVKRIATVAGEAEFIREVSVVASVHHRSLVRLLGYCFVRRGGSSHTHSQYYLVYPFFENGSLDWWLFNGGEERRRLLPWPTRRRIAVDVARALAYLHHKCHRQILHLDVKPANILLDGGLRAHVSDFGVSMSIAQDLTSVDTRGRGTPGYMAPEISMNKLSAMSDVYSYGMTILELVTGRRSYESGKGSSETTPGLFARDVREKMARGALMEVVDVAMARVDEREVEALVKVAFCCVQHRRDIRPSMLTVVDILEGRVTVDLPVETRVSVHRAAGCVSFVFHHGCRRQILLDVKPANILQDLDVRLRAHVSDFGVSMSIAQELRASPVEFRGRQRLVVLRDARLFARVVMARAALMDGVDAAMARVDDGEVEALVKVALCCCVQQRRDVDLPLETRVTVLNFVEPPSSSMDNQASSFRNLYLG >Dexi6A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:6A:17934717:17935014:1 gene:Dexi6A01G0011840 transcript:Dexi6A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPDGVMKLKKLQKLILKELQECGVTEDKEGLCATLMDKIASSSRFSVDGKRIRLVAKNEEEY >Dexi2B01G0028730.1:cds pep primary_assembly:Fonio_CM05836:2B:37266768:37269092:1 gene:Dexi2B01G0028730 transcript:Dexi2B01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLTDDLLSDIFLRLPEPADLVRTSAACVPFRRLVTDRAFLRRFRALHPAPLLGFLDVHNGFHPALPPHASAPAARAVSLAADFSFSFLPSSSSSTGRGWVVRDVRDGRVLLDRAPDDGGDSPSFFTEIAVCDPLHRRCVLLPEIPDDLAAAVDHPLRVEFDRWGEPFLAPHGHGDTDDDDEASFAVIWMAQCKAKLVAFAFSSTTGQWRAVASLPWRDLMSGVGVSSRSPAFSGRQYACGSFYWVMDWRDKLLLLDTGRMEFSIADLPPVCHRRQIAIVEAGGDRIGMFALRDHVADGAVSLHYTVRQDDANGASHWQMKKTIPLDPGFRHYIRGAMESFLGS >Dexi9B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:9B:8062580:8064092:-1 gene:Dexi9B01G0012120 transcript:Dexi9B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEGDSSSAGCSFSLMCLEDSADIDGGAGDEELLLLLCGDAEVEDDEEEYMGHLVSKESSFCCSPSSSSSSPAFSDFSEAGDESSSMPSSDDDWFRCARRATVKWILEVTPPPPPLLQTRACFGFSHRTAYLSVAYFDRFCLHRCFDRSVMPWAARLLAVACVSVAAKMEEYSAPALSEFSTGDDDEYEFSCVSIRRMELLVLSTLEWRMGGVTPFDYLPCLRSMLRRRNNASDGGIVAAKAAALIFASAQAASVLDYRPSTVAVAAVLAAIHGAMTKETLESKMGTLSPSCLLDKEDVYACHSLMLSESESSSAATSKTAKRPPPSSSDSIGAASSYESIDAAATARCSKRAKLVELPAIGR >Dexi9A01G0024360.1:cds pep primary_assembly:Fonio_CM05836:9A:20609515:20610351:1 gene:Dexi9A01G0024360 transcript:Dexi9A01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSFPSSCGRHDHQVHIAVHTLGDGTGAWRQYSYSSRFCPVFTSPPVHIDGKLYVVTTDYQKRERTAQMLVLDVAAEARRTFRLPYYYDDYHWNLSEMMASGFDLNGEMCLAVHVFGRGTRRTLQFWVMKPPGELQDEDNHEQLCWDLRYSFDLDDSYCIDRPKGGWLDHAQMLAPSLSPNVSEDWSSLIWLQARRWNIHGGYRPSLLSPLIFAALPPQDEKGEETRQFEHALLSAIRQT >Dexi8A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:8A:25483028:25484159:1 gene:Dexi8A01G0014860 transcript:Dexi8A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLTVFLQLVEMDKKESGIEELESVFTYESSQTIRISYSAIKFITKKFCQVIGRGGYGTVYLGSLRNNVMVAVKKLDTSRNFSDEKFLGELKCLKTVNHKNIVRFLGYCACTDGEVMEIQGKDTVADELRRFLCFEYAPNGNLHDYLKGICYITPLLYPVMVMTAPLVLSAEKPPGYEWSIRYKIIKGICQGLDYLHGKGIKHLDLKPENVLLGAEMEPKITDFGLSRNDGTQSTIVINNTLGTPGYIAPEMIDGHKISFKSDIYSLGIIMKRLLMGTHEYIPQNEVLY >Dexi6B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:6B:21813406:21815045:-1 gene:Dexi6B01G0014230 transcript:Dexi6B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLDDTTTSGFLLRWDPQLSYFGLAPWGAHAGDLDTHHGRQLELVVPKCMESPMSEASTATVTGVPTPQDATAMPGELDELLQSLWDWDEYAGGFSPCSALKEEASAVSPQYDDHFAVNPILPTSPEKTLTQPQAEPPSSSSSHCGVDPSASDTSVAPDQMTHAKFANRSSKRSAPEGEADLQEEEEGTVVYLRQRCRDGGVARPFTVVKPGGADGNVTLADINRWILTPPARPVRHPVGEFACAPRVAAGNRPAPSGKTVAGFTRLRTGGRGTITIVRTRG >Dexi7B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:7B:9422312:9422698:1 gene:Dexi7B01G0003830 transcript:Dexi7B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVEEGNVKYIGLSEASASTIRRAHAVHPISAVQLEWSIWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSGGAKLVDSLSEQDSRKLNSYE >Dexi4A01G0024110.1:cds pep primary_assembly:Fonio_CM05836:4A:26953704:26955137:1 gene:Dexi4A01G0024110 transcript:Dexi4A01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGYLELDMDLERAKVLKKEEQIQHIKSLVPEFSVGGGDVRVPERWLIELGIGWVLHLPLADAAASAGELGQTYDALMTWIRALAQVVEIIGYTEPLFPEQAVSQLSNTFEEEEQALVLGQLQFARFFQEAMFKMLPFVDRIVGEALVASNGMETPYENLSTLLGVHEVVRIQHDVVGLLSAKEAKVVGAIWSTMKEIRTRILAPMEDNGDDSSRTATLGGSSDIHKATRSLISYIELLRANYSSFAPVVSVNYQSHNAAVPPLDSMIIEIGSCLEEKLAKESESFPDRSLRFLFLFNNSYLISQKIDPIWSVIEFNPVAANSESFLSFIKAHQEATIRKVQSYMESYLQGSWVPVLSCLFNNPTPLSLAKDKNYSPLAKFESEFQKVYTSQKLWKVPDPGLRKTLCKAITMKIIPDYTKYIEDNNITTKRIAQRELEKMLQELFEG >Dexi9A01G0023040.1:cds pep primary_assembly:Fonio_CM05836:9A:18219653:18219865:1 gene:Dexi9A01G0023040 transcript:Dexi9A01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSAADGEVALPAEGEAARERHQPGRGGSPAGGGSGGGVPPERRRKNSMPRWGWVDNLQGRKSGLQSV >Dexi5A01G0029170.1:cds pep primary_assembly:Fonio_CM05836:5A:32323453:32323994:1 gene:Dexi5A01G0029170 transcript:Dexi5A01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEHGVGDDAGLAPTQEHIVKQAERVGDPATVAEAADQWRVRVRVGLDGHGRDEPARGVEVAVAAVPRDEGVVGEDVDGRARPLGGAEHARGVGGAAPAAEVADELGAEVHVGGLPVAGGGALDEARGRDEVLAGDEAAEADAGLVGARGRVG >Dexi1B01G0021200.1:cds pep primary_assembly:Fonio_CM05836:1B:27164825:27165397:1 gene:Dexi1B01G0021200 transcript:Dexi1B01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVAPLPLSASVRDIQHLLFSVTDPSRRVKPVAASDIMWAEAMGKLECMDSADRKRLWPHLVQGFKDLSNRLK >Dexi1A01G0028890.1:cds pep primary_assembly:Fonio_CM05836:1A:34470942:34471520:1 gene:Dexi1A01G0028890 transcript:Dexi1A01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPAETDSSSPSVVDAEHLGESGGDAAEDSASGEEPPLLESARDWQMALGVDGGVERAGQVRAAAAEGREVEARHVAAEAERRLVVGERGVLGGVEGAQPQPRAVLGEADLRHPLAPVALAHAASLDCAASGGEPDCFIGCARGPRNAAWRPLCCCCNMNWAWICWIWAGPSWFRPIDPVTPDAAEAAAA >Dexi9A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:9A:567059:567647:-1 gene:Dexi9A01G0001100 transcript:Dexi9A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRKPRLLKKVQDEVRRERVQPDDLPKLKYLKMVLKETLRLHPAVPLLAPRESPSAATTLFVNAWAIGRDPAIWGNNPEVFDPERFDGSDTDFNGAHFELLPFGAGRRMCPGVAMGVATIELTLANLLYCFDWAMPEGMAPEDVNMEEAGGLTVHKKTPLLLVPTRYNTAGCIADEINLH >Dexi5B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:5B:1867577:1871292:-1 gene:Dexi5B01G0002890 transcript:Dexi5B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARPDHRRHHPPFLRDLSSPISSSLRLPPAWLRRETQASTPPPPPPLRSLDDLSHLSPSPQPATPPQATMTPSPTPPRGPGLFASPLRSNGSPAPSAWWSPAREEKPREGSPMDGVVQNQQQSPTGQQSQQQQHQQQQHQQQKVALITLPPPREVARPEMPRDLAPASGQVDEEEWVTVFGFSLGDTNLVLREFEKCGVVLRHVPGPRNANWMHILYQSRHDAHKALARHGQQLNSVLIIGVKPVDTWQLKYLNENTNENYQDSATVPFPSQPIAPSGFATRNALAPLPSNSIMNGSSNESGRGGSGAIASPSKSVLSKVMDLMFGL >Dexi5B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:5B:2129703:2136871:1 gene:Dexi5B01G0003220 transcript:Dexi5B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPNPAAYNLDVHCTHPRNNTLVIARISASTYTARVKVATPWLPLSRGSQAKPVCRLPLEAFKLPVGVSDRDAAPSPRHAGDKPARQSPAQLLVLAVVVALSSSCLRGGTFPSLPPRLPPLAPPLAPPHGFGAVHGVPPKCRSVSVVLLRFRGFLRLRGEERRRGGAGVVVICAGIDGCCCCCWAFQGTTLAPSPAVTDSPANQGQTSSPPEPAFALGPVTVPTAPSTPSASPSPEKAADSPAAPTELQNAPSPVTPPKGYNAPPPIEVAPPDPIDEVPAPVASPQAAVEKPTPVLPGTPALLPSVQAPAPSVALKPNPPLVPPPSVNNQPNMPVGSVPPHPPPALAPPANDVPPYPPSGSFPAIPPASAVPHVNPPIASPVIVQAPRQQAEAPSSEHNNGNTVPPSNISPPPNLKKHHVPHAPPPKESTGQTAPVHKSPVTGSAPATSPLPQNTNMPSIPKNASSVSHAQPSPPSLAPKSAPTSRSHTRGKSNNPKNRANRSIAPSFPPAHSQGPEVSQAPRHAGTKRQNHHAPPPIPQGHPSFPAHSPSASPASSRGPTNGKKNCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWNKKISLKQSVFGDYEILYVVYPGLPPSPPSAPEGVGDGAFGNNRNARAMKPLGVDVSRHKRKANGSLIAIAVLSTVIALIICCLAAWLLIVRFRSQNDTARGFPHGVLPKFSRSSGTGHTLLAGAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIDKATNGFDDSKVLGEGGFGCVYQGTLEDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDCLASGRFSLELPMQTTAVYDATGMEAERVLISEIFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSGR >DexiUA01G0017660.1:cds pep primary_assembly:Fonio_CM05836:UA:37334049:37336258:1 gene:DexiUA01G0017660 transcript:DexiUA01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRSIFSCFSSRTRSRAPSRTEIKVGLISFFLLLLLMQHGLWSSGASDKNKSMVEQLQRYGVIRSGRVAEVMEAIDRGLFVPSGGSPYFDSPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSGTGYLTACFALMVGSEGRAVGVEHIPELVATSIENIKKSAAAPMLNDGSLSIHIADGREGWPELAPYDAIHVGAAAPQIPEALIEQLKPGGRMVIPVGTVFQELKVVDKKLDGTVSIRDETSVRYVPLTSKESQLHAN >DexiUA01G0027980.1:cds pep primary_assembly:Fonio_CM05836:UA:59885552:59886078:-1 gene:DexiUA01G0027980 transcript:DexiUA01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRAIGAHRAAPYHRRGWLNDDGGGLLVSDEDDGEPLPIPDDALSPVLARLPSGADVVRSAATCRRWARLVAKDGAVLSRALPQLPCLTLGFLHQEDAGTTARRRKASSGAAAHPCFVPTASAARLIGLQAPSSTALADAVLGLGDVLEHARPVASRNGWLEGTLHR >Dexi1B01G0021940.1:cds pep primary_assembly:Fonio_CM05836:1B:27682327:27684656:-1 gene:Dexi1B01G0021940 transcript:Dexi1B01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCFGSDVPEVGAVKAMAHAHHAHPQVAMAKRVMAVPGRPLPSAPTTSTAGTTGTKRPANGSSEASVDGRILEVPNLRVFTFAELRAATRNFKADTVLGEGGFGRVYKGWVDERTMSPARNGAGMPVAVKKLNPESLQGVQEWQTEVNFLGRLSHPNLVRLLGYCWEEKELLLVYEYMAKGSLENHLFRSEPRSKGTAGLQPLPWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMVTGMRALDTDRPAAQHNLVDWAKPFLADRKKLARLVDPRLEGQYSSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEIDSMSRAPATTRLDGSASPRPAAAARNGQGRQRPGSSSGSSSMDWAGPAGGRGTHPSPRAG >Dexi3B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:3B:9128400:9128633:-1 gene:Dexi3B01G0012970 transcript:Dexi3B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATANDGAAMTVGYTLTIPEKGGASGIKLWLWLPQWMIPNRLMVVICTLLGPSRSSSSSSTSRPCRCRRSRNAWRA >Dexi2A01G0022660.1:cds pep primary_assembly:Fonio_CM05836:2A:34490156:34491832:-1 gene:Dexi2A01G0022660 transcript:Dexi2A01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVPPAAARRSSLSFPRSPFAAPVSVHVPRRVPPLCPGPNPSPQRSRLVVASAQFDFARAVRKAWSVGNDVLEAGSNLVPGAIPRPIARIGVAFAAVAVALFLVKSIVSTAFFVLGMMGLIYLAFLAMNPKEASGSRIDETGGNTSEDPVEEARRIMEKYK >Dexi1B01G0021060.1:cds pep primary_assembly:Fonio_CM05836:1B:27026004:27026384:-1 gene:Dexi1B01G0021060 transcript:Dexi1B01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRWPHTPWARKRAHTREWGEEKQLPVAPPPGKVPRSRPTAEPSRLGMRRRTQLPVAPPKPRKTPRSRPTAELLRLGTRGRTPLPRHHPRGAGDPAPQTPDPVTLATKNSPGLQSCHVAVAPQAA >Dexi5B01G0031790.1:cds pep primary_assembly:Fonio_CM05836:5B:32443256:32447013:1 gene:Dexi5B01G0031790 transcript:Dexi5B01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASYSIRAPLKFAAGHDSDVLANNHSSKSFKSSVSYPVAQGWSSDGSSVAMRHVASHCHLIVHASPRWRSNLKASETNAGIVAGIAQSGDATCISDLDISSSDDVISFCRKRGVGMVVVGPEAPLVAGLANDLVKVGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDAVEAKKYVKHEGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLLEGSFGSAGSRVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKRIVMESIITPTVKGMEAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAKVLLSACRGELSNVSLTWSPEMAMVVVMASQGYPGSYKKGTVIKNLNKAEQVSPAVKIFHAGTALDRDGNLVAVGGRVLGVTAKGKDIEEARARAYVAVDAVDWPEGFFRRDIGWRALRHKEVVN >Dexi1B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:1B:2589308:2594209:1 gene:Dexi1B01G0003220 transcript:Dexi1B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRFRTLAVRSAPALPCRPKQPTMGAPRALLRLLLPLLAALLLPRSAATGVFQVRRRVPVADGPGAAALRAHDGRRHGRLLAAADLPLGGLGLPTDTGYVPPLLRLRRSFFFFPFGDSAAAPVVVVVVADSVTGIAWPRARRLYFTEIKLGTPPKRYYVQVDTGSDLLWVNCISCVRCPHKSGLGLDLTLYDPKASSTGSTVSCDQGFCAATYQGQLLGCTPNVPCEYNVVYGDGSSTTGFFVTDVLQFDQVTGNGQTQPGNGTVTFGCGAQQGGDLGSSNQALDGILGFGQANTSMLSQLAAAGKVKKIFAHCLDTIKGGGIFAIGDVVQPKVKATPLVAGMYVCKPYHTNYYFGCIALMVFNKHQDIKFHNVQDFLCFQYSGSVDDAFPTITFHFADNLALHVYPHEYFFPNGNDMYCVGFQNGALQSKDGKDIVLLGDLVLSNKLVVYDLEKQVIGWTDYNCSSSIKVKDDKTGATYTVNSHDISSAWRFHWHESLVLLLVTVVCSYLIR >Dexi9A01G0024480.1:cds pep primary_assembly:Fonio_CM05836:9A:20807084:20809408:-1 gene:Dexi9A01G0024480 transcript:Dexi9A01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIRMGIFMLFFKWRISTALLMMSSLDPQEASTAVGAMCTVSIAGELWFALMWVLDQLPKMQPVRRAVDITALDDSLLPAMDVFVTTADPDKEPPMVTANTILSILAADYPAETLTCYVSDDGGALLTRETIAEAARFAKLWVPFCRKHGIEPRNPEAYFFSTGGPKARAVTRGSDYKGRSWPELARDKRRVRREYEELRLRIDALQAGDMRRRCSMADGRRGTKAEDHAGVVQVLIDPPSSSTPQLGVDASDLVDLSDIDVRVPAVVYMCREKRRGRAHHRKAGAMNALLRASAVLSNAPLILNLDCDHYVNNSQALRAGACLMLDRDDVAFAQFPQRFDGVDPADRYANHNRVFFDCTELGLDGLQGPIYVGTGCVFRRSALYGADPPRWRPHGVASAAAAHNNFGVSTPFLGSVRAAALNHSSPEQRSTTRSSPSWFDDGAAIDGEAAAVVSCGYEDGTAWGRDMGWMYGTVTEDVATGLCLHRRGWRSAYCTTAPDAFRGTVPINLTDRLHQVLRWAAGSLEIFFSRNNALLRPGRLHPLQRVAYLNTTVYPLTSVFLILYCVSPAIPLLSGSASLSMGTPTTSTSSATYIAFLAALMLTLAMVAVLEVRWSGVTLGEWWRNQQFWMVSATSAYLAAVVQVALKVAAGKEISFKLTCKPKPAARSGAAGVKESLFAELYAVRWTVLMVPAAAALAVNVVSMAASIEGGRWRNGPAAVLALAFNAWVVMHLYPFALGLIGRWSKTAPLLLLVALLFTIRLLCFVLHLHML >Dexi4A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:4A:16900340:16900579:1 gene:Dexi4A01G0014620 transcript:Dexi4A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDAAPALTSPSSRSSRTPSLHGEMVRRLPLCKHLYHVECIDMWLASHTTCPLCRADVEPPGDDQAEPAETQQELPV >Dexi7A01G0022930.1:cds pep primary_assembly:Fonio_CM05836:7A:31065805:31070018:-1 gene:Dexi7A01G0022930 transcript:Dexi7A01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALDFLPAPLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLSENGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAIVPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDARLFKSFLRITLTCVITVGTLALVIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQVTSGKTTGSKAAAKQGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAYTTSNWIVRIYKVKPPKNRS >Dexi4B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:4B:20556784:20559114:-1 gene:Dexi4B01G0018180 transcript:Dexi4B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFADELLPPSPPSPHHHHHPAAKRARRSPPHRGRREALLLQLASFFPDMDPQVLEKALEASGDDLDSAIKSLNELRLESTGFKSENGQPTLIQPSVEDIPNGGVDAATEHPPVVDNYQTNNNGSEWVELFVREMTNASDIDDARARASRALEALEKSIVERAGAEASQNLHKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSHEVQSLKQLVLQYQEQVRTLEINNYALTMHLKQAQQNSSIPGRFNPDVF >Dexi9A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:9A:12858499:12869681:1 gene:Dexi9A01G0017770 transcript:Dexi9A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLVWTMATMDRRLLKAATSGDAASLKHLASQEPGVLLGTTPPGNTCLHISSIQGHDEFSESILALDQSPALHSAVNKDGETPMLAAVARGHASLASALLRRCIERQLCEAILKQDRHGCNALHHAVSRGHTKLALELVEAEPALSRAVNGPHGPANPGVAAGNPSSGEHLPPLHIACTHGHERFCRDVVLALTDHQSPAATLLGAVNADSETPLLAAVTSGHASLASFILRCCRDEQLGEAILAQDRRGFNALHHAIRSGHRKLALELIDAEPGLSRAVNQYDESPMFIAVMRNYADVSEKLLEIPDSAHGGAYGYNALHAAVRSGNPAVAKRIMERRSGLAREEDEHKTTPMHMAVHWDKTDVLRVLLEHDWSLGYVIDSEGRPILASAASRGYVGAARELLKHCPHAPYADADGTITCLHQAVLGGHIELLQFFLGSKHLGKLVNMRDVVNETPLHDAVRNCNPKIVGALLLHPDTDVTMLNGSGNPATWVLPDDDAKTLNWERTLIFSILTGLIFSPHNEISMLMLKADPDAANDTYNLHKQMKDRVTSESKKDIKELTQTYTSNTSLVAILIATITFAAAFTLPGGYSNDAGSEGLPIMGRKVAFQAFLISDSLAMFSSMAVAFISIMARWEDFEFLLYYRSFTKRLMWVGYIATTIAFATGLYTILAPRLLWLAITICLMSVLLPILTWLLGEWPVLKLRFNLGNTFKSELLDMV >Dexi9A01G0031360.1:cds pep primary_assembly:Fonio_CM05836:9A:36295319:36302413:-1 gene:Dexi9A01G0031360 transcript:Dexi9A01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAAGASARFVAGGEASPARPRPAAPARVAWRSRPPAAGVRCRGARAPAGGVLPEEADDGARFVGWFREAWPYIRGHRGSTFVVVVSGEVVAGPHFDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGRRGVVAGIDYGFTGEVKKIDVSRIRERLDRDSIVVVSNMGYSSAGEHVR >Dexi8B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:8B:1460051:1464212:-1 gene:Dexi8B01G0002090 transcript:Dexi8B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLRGRKETLASAMASPVAQLGYLNPSSDLSDPTLGPDHGSPITYPKVVEEDPIDYEMTCPARSTLGFRRPQQMMQVFSLRFSSYNFKSYPISIYGIIAIRDDLEPLRNYVFNCSRDDPVMIHQPFGTTYLSFELSKMDRLSASKLVAALLLLIAHFGAVSAQYGSSGAAGTGPADGAGYLLGIAAAVVAVAAFVWN >Dexi1B01G0027070.1:cds pep primary_assembly:Fonio_CM05836:1B:31876408:31879543:-1 gene:Dexi1B01G0027070 transcript:Dexi1B01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIARAVSFGGRATAGWCSYRRVTVAVCLGNLVAALLVLRSLTAPTSFTPTAPNRSEVLQTVQYTEEQIRRVEESIRIRREAEPVELVQAVEKLKKVFAREEKRRKELPLVLKQKISYDIIRRLQDLGDNSSLAQQRGMLKRALEFNWRMVMQDIGLWIPEEVSHTVHDDKPENEPEG >Dexi3B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:3B:3491452:3492139:-1 gene:Dexi3B01G0005260 transcript:Dexi3B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKWGRSIKDAVKAAIEGGATIVQLREKDTGTREFLEAAKACVDICRSSGVPLLINDRIDVALACNADGVHVGQTDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGADYIGCGGVFPTTTKANNPTLGFEGLRTVCSASKLPVVAIGGINAGNAGSVMELGLPNLKGVAVVSALFDRECVTTETRSLRSILMNACSKS >DexiUA01G0021590.1:cds pep primary_assembly:Fonio_CM05836:UA:44768104:44769077:1 gene:DexiUA01G0021590 transcript:DexiUA01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASMAMQSRAPGVGVGAVAGGRRSAFLGWEKQTQAGSLRVGGTAGTAAVAVRARGAKPVVAPLRCVKASRGNESLHSSVDEALLLKRKSEEVLFHLNGRCIYLVG >Dexi4A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:4A:13206466:13210146:-1 gene:Dexi4A01G0013530 transcript:Dexi4A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSGCYFVPLLLLASPATAALASSKPGRGDAGNATAAAARLRPGKEWLKYKRIRALLRKLNKPSLKTIKSPDGDIIDCVPSHLQPTFDHPKLKGQKILDPPGRPKNYNFTVAGSGSDRVSEVVVQAWHATGEVCPEGTVPIRRTTEKDVLRASSLRRYGRKTVRRGVRRDSTSSGHEHAVGYVNSEQYYGAKASVNVWSPRIGDPSEFSLSQIWVISGTFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCQGFVQTTNKIAIGAAITPESVYNGQQFDITLMLWKDPKHGHWWLELGSGLVVGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTATQMGSGHFPSEGFDRAAYFRNLQVVDWDNNLIPAASLKLLADHSDCYDIQGGSNSYWGSYFYYGGPGRNVKCP >Dexi9A01G0038550.1:cds pep primary_assembly:Fonio_CM05836:9A:42752535:42753296:-1 gene:Dexi9A01G0038550 transcript:Dexi9A01G0038550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRRPPPPPPVWTPEPWSDGETSELLDAWGPRHLRARGGALRPGDWRACAAAVTSRRAAEGRAPRTVDQCKNRVDYLKKRLRAERARPKGAPPPPPPVSGWLDRLRALLRLAPSTPHHRPGAASAESKEEEDEEDKESGGAPLPRDWPPVPKRPRTAVLLLSPLTAASGEHAEGGGKRCTEVAAALDRLAGTYERVEAAKQREATRLEERRLETMRDLQIERMRLLVDVAVTTSVGLDGAAAAATAGGDF >Dexi5A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:5A:2842716:2844293:1 gene:Dexi5A01G0003730 transcript:Dexi5A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAARISASCPNLPISHCRPPTPVVFQLQHQQPSTTGRLFCNAMQRCHPRRRSRHVASVATTNRQAPPVTGDMDHGSHLAETTTSGRRSGQGLAARWREIHGANNWEGLLDPMDPVLHTELIRYGELAQATYDSFDYDRFSPYSGSCRFPTKTFFHDVNLAAAGYDVTRYLYATWNDRTLPNFGINHNHKPTNKLWSESGTFIGYVAVSSDEEASRIGRRDIAVAWRGTITRLEWVADLTANQRPLSEMGVPCRDPNVKVEKGFAELYTSKDEHCRFCRYSAREQALAEVRKLVELYHGRGEEVSVTVTGHSLGSALAMLNAFDIAETGANATPAMTAPVCVFSFAGPRVGNLSFKRRFEQDLGVRALRVVNVHDGVPKVPGVFFNESALPEDVLRAVDELGVAGVYAHLGVVLELDHEASPFLRKTMDVTCYHNLEAYLHLLDGFRGSGEVFELRGRDPALVNKSADFLRDECMVPPVWYQAENKGMVRMEDGRWVLAPRHREIEEHPEDTEHHLQQLGLTA >Dexi7A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:7A:23895790:23899154:-1 gene:Dexi7A01G0013960 transcript:Dexi7A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPVRAPAASRSARRAAAAAVSAASASRLLLGHRPFLAPRFAAGRAAVAGPVAGLRPRPNRPRLSVVAMAASDRQVPLQDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVVQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAKFEYRDIPADLEEMAQDYRVQMLETIIELDDEVMEKYLEGTEPDEATVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGTDPEDPELILERQPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDIPVAVTGDIVALAGLKDTITGETLCEPEKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGSVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVITPEDHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKTEQAAA >Dexi1A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:1A:25061284:25061823:1 gene:Dexi1A01G0017690 transcript:Dexi1A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWTAWVAGGLVARLLAIASLAMSVRFVYANHTDMFYDYDYNKLQSYTYAVAAAAIGMAVPVSVYLLCRSKRMTPSAVVLDVSMYADMAAGLVLASAVGAGFGATDDVLQFMEHGVDYSGDDRTRVKDDLTGYFHRAIVAVVFLLVGMVLSVCAAVASTRLRARASDDSADA >Dexi3A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:3A:3822555:3827593:-1 gene:Dexi3A01G0005910 transcript:Dexi3A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEGEIALGLFAADSAAERPGGLLRSGSSSRLNAQAPEFVPRGPPSPAPAAVVVPPPPPPQVIRVFAAPPPPPRAAFFAPPPPRPFEYYAPVGGRSGFIAKEQKMQEPELPSDVEFFQPAANVEPVVDGLDDEVVRKIAKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVIAGFKKVKASVRDSSMLAAALRTSSKLIVSDDGKRVKRKEAFTESDLQELKSRIVVAENLPGDPSYQNLKKMFSAVGSVISIRTCYPQTPNGSGPATNRSAKLDMLFSNKLHAFVEYETPEEAEKAILGLSDEENWRNGLRVRLLNTCTAKGAGKGKKGVPETNGNGEEDLSTSNQSNEKQLEESSQLLDVLPEHLFDETFNDKEVPKRGKGRGRGGRGRGRGNQHHQYNNHHHSNNQNHQPHYNHHGNNHYGGNRGGNHPVGTPPHNLVNKPEQHQQLPIGANKLPPGPRMPDGTRGFTMGRGKPQAVLPGLCAVGEA >Dexi6A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:6A:19886514:19888641:-1 gene:Dexi6A01G0012980 transcript:Dexi6A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLGTAITPAEAAAPFAPRGNNRRPRHLVAASRSQAAPARRFVLRACASPIATADAPDETVTVSASTRPAPLMHSVEQVKSTLRPHAPQAAQLNTRAYYFQEPSVEPALETKLSKLACPICYCPLVGASDQSGDTSSLECSSCKKVYPNKQDYWDLTVAVGSTEYSESMPAITELFRTLLYLQFETAIAYLKPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYLRQESISDEYDSDH >Dexi3B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:3B:4643465:4648493:1 gene:Dexi3B01G0006690 transcript:Dexi3B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFLKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYACSMELCTDPRSTAARRYISYFMHHINLLRHYKVVPVVVFDGGSMPCKAATDNERQRRRELSLNMAKEKLEQGNTAAAVDLFRKAVHITPLMAYQLIKILRSENVEFVVAPYEADAQLAYLTTLNADQGGIAAVITEDSDLIAYCCPAIIFKMDRFGNGEEFTMERTLNTEKHALSFRDFDQQLFTGCDFLPSISGIGTKRAYSIISKYKDINSVISNLKLDKRYSVPDDYDVSLWKTLAVFNHARVDLSPSIARGIAEGHLNPITMKAFDQCSRIFSPNGFLDTSAFDIAEQYGSQEISTQKSCITILSSQESKENMIVGEISSDGQKCKKGVLSLGKFLLQKQSSQVESDEVEPKNVPENNPFKKRKLATDKGQEMGPNELVVDLEDEISDLSCPALSQGSNLTSKNMKQLDFGQEDYEEPTPKRQKAPKRSMDKTNKKVNNSGGILKFFTRL >Dexi6B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:6B:23334297:23336009:1 gene:Dexi6B01G0016050 transcript:Dexi6B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSHAPLLLGRLLVAGEIHRSPDHLRRIIPLLPSHPHLAAALSSLYFPLFPSSATFLHNLLIRASAASPSPRVSFAAFSSLLRSGFLPDNFTFPPLVKSASRLPSFPRTGAQVHAQAARRGFLADIFVVNSVLAMYATLRDTASMWGVFDSCAEVADVVSWNTVIGGYVKCGEIENAKRVFDEMPQRNGVSWSAMVGAYAGAGELDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPFRNLVSWNAMIRGYTVNGEMDGARELFDTMTEKDVVSWTCMISGYAQAGRYAETLELFRSMQSQSSIRPNEVTMVSVLSACAHLTVLEEGRWAHTFIDKHKMVLDNEFNLGAALIDMYAKCGRTDMAVNVFHSLDQKNVSAWNALISGLAVNGDAAQCIDVFEQMKRSGENPNDITFVSVLTACAHAGLVDEGRQCFQSMLSAFGVQPELTHYGCMVDLLGRAGLLDEAEELIRSMPMAPDVKVLGALLGASRMHKRFDVAERVQHGILGLNTKQPGCHVLISDIYAAAGKWTDALEARGFLQRHNIRKLPASSSSMQ >Dexi2B01G0031100.1:cds pep primary_assembly:Fonio_CM05836:2B:39304440:39305054:-1 gene:Dexi2B01G0031100 transcript:Dexi2B01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSTMASLVLLMALLLSCSGMSGAARLLEEVAPQEEYPHPAVPELPKPELPPHPTVPELPEHPAVPELPKPELPPHPIVPELPKPEVPEHPEVPELPKPELPPHPAVPDLPKPEEPAHPTVPELPKPELPPHPSVPELPKPEVPEHPAVPELPKPEVPELPKPEVPEHPTVPELPHPDVPEVPKHELPPEPESHYPVPESKP >Dexi1A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:1A:14086025:14086486:-1 gene:Dexi1A01G0012780 transcript:Dexi1A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPAAAPVPAPPLRDAARQRVVDSRDGVAEARAGGGGAGDLQAKRETAAVTWGPRAARGRTGAPSEEASIRAAAGDAAQGQQQDQSNGRHPARHHGLRVEEVDPRRAPARARRTLDAVHGAPSLPPGRTVRHGRERCGTPCAPAARTLRRR >Dexi3A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:3A:3492015:3497442:1 gene:Dexi3A01G0005400 transcript:Dexi3A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCHLHSLAQGHHQMEEHHPSHLQNKMCETWIATANHWAEVEKQQGVMLAQHHDQKIEPNWFQKGVKGHCHPMLALLYLVQHLWNSMVPTVSQLLALVSSTSSQLFPSQPDELIAQTNHPIPHPREAAFAPPNPPHHAITESIA >Dexi1A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:1A:8116612:8116891:-1 gene:Dexi1A01G0009790 transcript:Dexi1A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRWWTSAPGGVRPWVPSAPVERSLPTSGGAVSIASLGRIAPLSAAVARVVSVAWGPGTAHTPAATCSGRCVGLETGGGGR >Dexi9A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:9A:9001101:9005196:1 gene:Dexi9A01G0013790 transcript:Dexi9A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPQLPARSDPHVIVYNPPACTAQVHYYSQKKSLPSHHPPAHHLRLLLLCLLRLLALARASQSQNLTLMAAYAGTAGAFPNLVARSSPAARRLRAAHPIRASAAGTTGEAAMDVVSEAELREKGFMGMRKTKLVCTVGPACVEALPALARGGMGVARVNLCHGGRERHRAAMRAVRRLNEEEGFCVSLMVDTEGSQLLFADHGGATSVKAEDGSEWLFTNKKSDEAHPFKMHVNFEKFSDGILVGDELVIDGGMATFEVTEKIGNDLRYIEFGIAEGVDCIALSFVKDDNDIKQLKAYLSRRSLEHIKIFAKIESLESLKNLEDIIHASDGVMVARGDLGVQVPLEQIPAIQESIVTLCRQLNKPVIVASQLLESMVEYPTPTRAEVADISEAVRQYADAVMLSAESAIGAYPQKALSVLRAASERMESWSREENMQKLLPQHQLAIALPDRISEQICNCAVEMANNLGVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDDANSRKSMNLFWGVIPLHLPLSDSMEDNFKKTISLMKSKGSVKPRDTILLVSDSDLNRPCAATSVFQSIQVRLVE >Dexi2A01G0023000.1:cds pep primary_assembly:Fonio_CM05836:2A:34760278:34765181:1 gene:Dexi2A01G0023000 transcript:Dexi2A01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAHSSKRPTSHLLFAISLSVSVLIPLFVPAAATAAVALGEGDGENKSALGPGSQWATGKGEGQLVAEGDTAGGGLVEEDEFAGGFGSLDSMLQWAIGNSDPEKLKEEASDVQKLSADELLKRRQEIKELMEKLKMPSDADLIKIAIADLNNSSISLEDRQRALQELLVLVEPIDNANDLDKLGGLLPVIHELNNANEEIRTTSAWVLGTASQNNALVQNQILGYGALASLVKMGYSTSTEEAAKALYAISALIRNNVNGQEAFQSENGSAMLQHILVSNSVDVRLQKKAVFLVTDLADFQLNSGNPQLSFLSDRLFVKSIVDMLSRFDLDLHEKVLLAIKSILKLSSTDVEDFEFYDLEGVLLRLGVQLEDLAPDDQKEFAGEVDALRREVQTLFQQKLKQVHS >Dexi3A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:3A:15149967:15151398:-1 gene:Dexi3A01G0019320 transcript:Dexi3A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALKFTVRRRPVVLVSPAAPTPREWKRLSDIDDQDGLRFHMPAVYFYPRHDVSIVVGGQQDPAPVISDAIARALVHYYPLAGRLRELQGRKLAVDCTGQGVLFVEADADVRLEHFGKALQPPFPCMEKLLFDVPGSSETLNSPLLFFQVTRLACGGFILATRMQHAVMDAQGFVQFLGGVAELARGALAPKVRPVWTRELLEARHPPRPSFAHREYDNDVRAPRRVPLEEPDHGSDDTTGGRQRGDAAHLHHLVFGLGQPVYGGPAMGGVGAIPRVSSFLVKGKNAMDEDGVVAPVCLPAPAMDRFAEEIRKLLRSPVVVDVPAPQQAGVVPLVMSAL >Dexi2B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:2B:27868324:27868979:1 gene:Dexi2B01G0017470 transcript:Dexi2B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGSEPEQLRWFAQAELIHGRWAMLAAVGILIPDLLSRWGFIDAGFSWYDAGSREYFADPWTLFISQMALMGWAEGRRWADLINPGCVDIEPTFPNRKKPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFLGFWFQAVYTGQGPIDNLLAHLADPGHCNVFSAFTSH >Dexi3A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:3A:9416051:9416629:-1 gene:Dexi3A01G0012930 transcript:Dexi3A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTAAAVVGLALVVSAAALLFSPITAGDVDGDEAKALVAIRAALFDPSRVLRNWDDTAGSDPCSWAMVTCYQGQVSDAPKPLR >Dexi2B01G0022300.1:cds pep primary_assembly:Fonio_CM05836:2B:31936902:31937711:-1 gene:Dexi2B01G0022300 transcript:Dexi2B01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSTFTLKIRLFGNRKKMREGYTYGYFELVIDSDLSNYKDLVGEIVEKRPPGYLEVAHCQFYDDALKNFPKIKSDQDLMLMFEKHSKTKVVDMFASYCDPSEPFEPIHEWPSCVPSCVSRDNGETEDSYLCNPLPENEHVGVDEEVLYLEKAPVEVVAEDNDKECFGHGDGEGDGEGGDHGEGGDDGEDDGEGGDDGDSELE >Dexi1A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:1A:10586327:10586803:1 gene:Dexi1A01G0011540 transcript:Dexi1A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSQCLDLTVDSTSPLRRRWHRRQLPCGSSPSLSASHEVAAARPLPVGRRRDLFLSPVGSFPVREEVVDGWTHGVEREDGRAVGGCGEAAGEGVQRRGFAGGYGAAARGRSAAAREDGMRWRREMGCGGGGMGVRHGTRKRSARRRFGGSRVSQGS >Dexi5A01G0034810.1:cds pep primary_assembly:Fonio_CM05836:5A:36783407:36784789:-1 gene:Dexi5A01G0034810 transcript:Dexi5A01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLLRIARYPPLPAPPAPSHPRPLPPLLRRHAPSAIRFAPFSLPASSVSTSTDASSDGGGGRKGGEEEGCGYVDYLGMSDEELMDQCEMGTFKASGPGGQHRNKRESAVRLKHRPTGIIAQIEHWCFVKIDSVR >Dexi3B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:3B:8489171:8489986:-1 gene:Dexi3B01G0012120 transcript:Dexi3B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYEGRRKAIPDPETMKYVKKLRSIAEALTDPQLEDKDIVEMVLFPVINEACRLLDEGVASKASDLDIASIFGMGFPPYRGGIMYWADSIGAKRIHVKLSEWEKNYGQFFRPCSYLSERAAGVVTLSASTGQAKARL >Dexi6A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:6A:473531:475344:1 gene:Dexi6A01G0000700 transcript:Dexi6A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQLFEIACIPNKVMSWRARVEKKDLDEVFQSHTVYCNVSKGVLARSKDLIKAFGTDDQTKICIEILEKGELQVSGKEREAQLSTQFRDIATIVMEKTINPDTRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLMENFPIKRAPPRVRFTAPKPSFAGLMEKVAEWNATFISKDESGTQPSIR >Dexi4A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:4A:23679975:23681573:-1 gene:Dexi4A01G0019820 transcript:Dexi4A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELQLEAFIRESGKGTPGHGDNSGGLDELGGSGVFSPGIGFGDSQNTMDGNSWWFGSIRPANPVVSQTASISASPGATTSANHDLESESDSDSESLYEIEGGSSGRGTKSIDTKRVRRMVSNRESARRSRRRKQAQLAELESQVEQLKGENATLFKQLSEANQQFTTAVTDNRILKSDVEAFRVKVKMAEDMVARSAMSCGLGDLGLAPYLNSRKMCQALNMLTVTGLDLLGSDAFRGPTIARQVQNSPVQSTASLESLDNRKSSEVTSCAADLWP >Dexi5A01G0038730.1:cds pep primary_assembly:Fonio_CM05836:5A:39646116:39646926:1 gene:Dexi5A01G0038730 transcript:Dexi5A01G0038730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKLIGSGNSPFVHRAAVALRLKGVPYELIREDLRNKSELLLQHNPVHRKVPVLLHGDRAVCESLVIVEYVDEAFDGPPLLPADPHDRATARFWAHFIDDKLRKSLWPALWTEGEVQAVPMAAARENLALLEEELQLIKGKKKRFLGGDSIGLADIAGAGMLAYWLGVLEEVAGVRVLSDEEHPAIRRWANEYLAEEAVKECLPDRDQLVAHFSATKDKAISIAKSMVSN >Dexi5A01G0023650.1:cds pep primary_assembly:Fonio_CM05836:5A:27617579:27618417:1 gene:Dexi5A01G0023650 transcript:Dexi5A01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKEQLDLVLVPLALAALVGYHLWLLYAILRHPTRTVIGLNAIARKRWVAAMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGVTSPASSSSSSSSSSSKAPWLVYGSKAGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGDETREEFAAYVARTVNRGSYAWSLGLRAFYVSLALFLWTFGPIPMLACSVLMCGLLYFLDTTSDHGHHRGSSGKDSSAV >Dexi7B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:7B:18378814:18382922:-1 gene:Dexi7B01G0011280 transcript:Dexi7B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKGKQAETPTRPSPTRSRPAFRLEPKNLFALGNPSLPMATAAASAVAAPEQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRKYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGIERDLESFREFFESPAFRADGLKIYPTLVIRGTGLYELWKTGRYFTGLALDPEHFLYYRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTRQDILIGLLRLRKCGRNVTCPELIGRCSIVRELHVYGTAVPVHGRDSDKLQHQGYGTLLMEEAERIARREHRSKKLAVISGVGTRHYYRKLGYELEGPYMVKCLA >Dexi2B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:2B:23215278:23218989:1 gene:Dexi2B01G0013970 transcript:Dexi2B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHMPMDQLQGFEYCIDSNPSWGEGIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKAKVVQTLLFVTGIKTLLQTLFGTRLPTIMGGSYAYVVPILSIIRDPSLAQITDGHTVGKCVEIGLPMLILFVALSQYLKHVHVRHVPVLERFSMLMCIALVWVYAHILTASGAYRHTALVTQINCRTDLANLISSSQWISIPYPLQWGAPTFSADHAFGMMAAVVVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVLVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAIYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYSTSSQQGPAHTKAGWFNDYINTIFSSPPTVALFVAVLLDNTLDVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >Dexi3B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:3B:12795431:12798244:1 gene:Dexi3B01G0017400 transcript:Dexi3B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVTSDDVPILQTENLTSNVKSIYYRSLCSSLFLLLPYHALDPIWGCAHQNPSVASMASSMLRSGHRLFLRRQRLTAAFSTASAEELIDVRKLPTDYDPSTFDPSSPSRPPPSDRVWRLVEDVSSLTLAESAALSSLLLRRLDIPSAPPIAILNSAAGLGGVGGATAGAAGEKAAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKDLVEKAPAVIKGGVSKEEAEAIVEKMKAVGAKVVMD >Dexi1B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:1B:25178483:25182883:1 gene:Dexi1B01G0019040 transcript:Dexi1B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALTRLRAAVGRVGPPGARVFSVAAAEAEAAAPRRESRAFAAAAVAAGSGLGIWLLPSNPQPLADSGLADAATEAGGDKGGFSAFFGGVGAAGEREEERNFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVHGAEGEMDDMSRHLAKYLSLWYIFFVTLLSIPESSFNVAFKMFDLDHNGEIEKDEFKKVMTLMRSYHRQGAAHRDGLRFGRKVGQPVENGGLVEYFFGKDGNKHLHYDKFSSFLKQLHDEIVRLKFSHYDVKSSKTIPVKDFALSMVASADMNHINKLLDRVDDLDVNPGLKDQRVTFEEFKAFADLRRRLEPFAMAIFSYGKVCGVDLTDKVVDIIFHVFDSDRDGNLSSEEFLRSDNLIDNM >Dexi6B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:6B:26110773:26112553:1 gene:Dexi6B01G0019310 transcript:Dexi6B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQGSSDAAAAGEVEDNMAAWLVAKNTLKIMPFKLPPLGPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGTEVKHLSAGDRVALEPGISCWRCRHCRGGRYNLCDDMKFFATPPIHGSLANQIVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGVSPETTAVLVIGAGPIGLVALLAARALGAPRVAIVDVDEHRLAVARSLGADAAVRVSTRAEDVAGEVERIRAALGGAQIDVTLDCAGFSKTVATALEATRAGGKVCLVGMGHNEMTVPLTSAAIREVDVVGVFRYKDTWPLCIELLRSGKVDVKPLITHRFGFSQREVEEAFEVSARGSDAIKVMFNL >Dexi5B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:5B:803872:804285:-1 gene:Dexi5B01G0001260 transcript:Dexi5B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHIMPTRYTLDVDFKDVASGGPDALSTRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >Dexi3A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:3A:16533448:16535398:1 gene:Dexi3A01G0020870 transcript:Dexi3A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRLTAIFVLSGHLLTCCMIKQGFVMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRQKYESTARSWTQKYAMG >Dexi1A01G0029950.1:cds pep primary_assembly:Fonio_CM05836:1A:35338126:35341545:1 gene:Dexi1A01G0029950 transcript:Dexi1A01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPGSAKRRAAPAPAPAVKLEVEEGFGGIDGPPHKRFKAVPPPSLLPPQDMSCDVLDEPSPLGLRLRKSPSLLDLIQMKLSQAKSAGEQSAVHKNVFDIKSGAPTAGERLKASNFHANVLKIGNWEQYISRYEGDLVAKCYFAKHKLVWEVLHDGLKNKIEIQWSDITALKATCPENEQEGVLDLVLARPPAFFKETDPQPRKHTLWQASSDFTDGQASLNRRHTLQCPSSFLSKNFEKLIQCDQRLYELSQQPDAVLETPDFEPRRSIFENPNESKDCLGFNDLKYEREASSPKFNNPASPCVFSSPSENIVQPRNIAANAKMLTGSGAPDSQGRYIPQEPKNYNQLNQLKVPGLRASISVEDLVNHLGNCIDEQMNSGDPPLANNGGQSKEVLEGLVEYLFSDTQGPPASDDKYLMARVDSLYSLLEKDTATSTIPKPDCSNSGKIGVIQVDSDGSDEELNPSPARITAGGTEMPAISRKDSFGELLLNLPRIASIPQFFFNIKDDYD >Dexi3A01G0027960.1:cds pep primary_assembly:Fonio_CM05836:3A:27945917:27946246:-1 gene:Dexi3A01G0027960 transcript:Dexi3A01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAPRHAKLKILLVVIATKLVSVYLFSGASLSVHLLASAPRAIHLWDSSTLLRDLNGTHAALAGVWAELAVLRLQCNASSLLLESVLTSLGAVHGDQRLWRVARGA >Dexi9A01G0036560.1:cds pep primary_assembly:Fonio_CM05836:9A:40959387:40960679:-1 gene:Dexi9A01G0036560 transcript:Dexi9A01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATRFFLTRSLLPLPLSKWQRRRGRPGSSIFAARAFAMAASGSGGGDSFRLSAAPGAGVLKLHKGDITLWSVNGTTDAIVNAANERILGGGGVDGGNK >Dexi4B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:4B:18585204:18588049:-1 gene:Dexi4B01G0016560 transcript:Dexi4B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPASLSAPRVLSLLPPARLSSGRWNSLSSVPVAARLVTGAVSVSAVTAQRRMVAAAAATEMAPAASGEEGSKPFVDEMRAVAMKLHTKDQAREGEKEPQAPPVAKWEPSVEGYLRFLVDSKLVFQTLEDIVERAAVPWYAEFRNTGLERSEALKMDLEWFKDQGHTIPEPSAPGTTYASLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSELLQNVRNKLNQVASSWSREEKNHCLEETEKSFAYSGELLRHIFT >Dexi4A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:4A:7509528:7511056:-1 gene:Dexi4A01G0009510 transcript:Dexi4A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEDDLDLRTTRVSLDKAFPKDDDGEIPAKDDRRLRRLAETRENKEELRADHRRIRQAEIVSTVDEQNERQEADVDEEDEEAQEERRRRIRERQLLREQEEELLPQEDEEPVEDEESEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKLETRQIVVEEIKKEQHIEKALNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLQHNKQKWKFMQKYYHKGAFFQESADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQMGY >Dexi3A01G0024390.1:cds pep primary_assembly:Fonio_CM05836:3A:20023558:20025938:1 gene:Dexi3A01G0024390 transcript:Dexi3A01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSPTGSPPSQGSQRKRGSTKDSVGLYAVQCYMCYKWRMIPTKEEFETLRENFTEDPWFCSRKPDCSCEDPADIEYDNSRIWVIDKPNIPKPPPETERLVIMRRDFTKMDTYYVMPNGKRARCAGDVDKFLEANPEYKNRMSASEFNFAPPKIVEDTVSHNSAWKAAKAKKQDKADALSAQKL >Dexi7A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:7A:26779964:26781276:1 gene:Dexi7A01G0016970 transcript:Dexi7A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHNALKGVYRLRTSLCLQFKPYHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKVPAAADEPPSQEHHQASRQSSQQNMLGHHGYDHPDPEKQNSRVPHSESKDGTVSSSEGPNASSSVMDAMKKINKDKVKAALEKRRKSKGDVSRKVDVMDDDDLIERELEHGVELAAEDEKIKQERRQSWPRPHREDHQNTNRDNTEEGELSMDSQEHRSPEHDNRKRKDPHEHRNYDREERDLKRLRS >Dexi6A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:6A:27576478:27579031:1 gene:Dexi6A01G0020010 transcript:Dexi6A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEPEGAPPSGKGEPNRKRSNEQGEGGAGGGGGGGGGGQKRKKKKEVFIYGNYRNYYGYRIDRNVGEDPRLEAFNKQWFENKDCLDIGCNQGLVTIGLAMKFKCRRILGIDIDSGLIETAKWNLRRVARQDKLATQNANAQESSNSPSQSSPGEAASELSNGKEHQDLLKIVSFRRENFVESLGGSSERYDTILCLSVTKWIHLNWGDDGLVTLFVKIWRLLRPGGVFIMEPQPWSSYRRNRLVSEVARENFNSICLYPENFREILLDKVGFRSVELIMDRLVGTVSGFDRPIEVYHK >Dexi3A01G0032380.1:cds pep primary_assembly:Fonio_CM05836:3A:37130497:37136935:1 gene:Dexi3A01G0032380 transcript:Dexi3A01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEELLKKIRELEVGQAQLKQEMSKLIPGVGERRRSQSVSPRRGAPVPPPPPPKKGTPPGRRLSGGFEGNPRAWARGSASFPHSSPLQREGRAAGAGDASTSARLPERQYSRVLQSLGQSVHILDLEGRIIYWNQSAENLFGYSASEALGQDVLMLLVDSRDHNVATKVTNKVRSRVRTDENGIEREGGSGESHCSDRVTKEEPTSSGTTTPRGDAPRAPFAMEEHSPGKATNPNSDESGEGKVGFHRILSSKAEALLNKKGISWPWKGRENEGPDERNHVILPWLHGEQENGPNHRKVSDSSIAPDTQGTEHNQPTKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRLNQVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQQ >Dexi9A01G0026010.1:cds pep primary_assembly:Fonio_CM05836:9A:27558748:27559818:1 gene:Dexi9A01G0026010 transcript:Dexi9A01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFAVERNSKTRLLLDVSSGKIRGLSSSVFFPDATCAFENGGWLLMLQRKPPSGFFTERAAGAVFLLHPGTGRRLDLPPYDSSSDDELFVFHVDSHGTPLVATRVETLGFVPTVHVACPGDTYWSVYEHDTTDIAVVDPPPPRGESRATPRRRQRRLLDPASFVDVALLGTQAVFLDANGEVVVFDLAETAWRRRTPAVRPDSGFGQYARSLVAAGGEVLLVFFKLDMEALEWSPLERRELEDTSWFLRRGQSFRAKDTGRRRVYTFSGPEHCGGGGGSVAAEASVAAGSFSLGQGMSMKAITNVYACDLDDGSVEMVMPASIVTEAHHWVRPSVFATPAT >Dexi3B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:3B:7710177:7712247:-1 gene:Dexi3B01G0010960 transcript:Dexi3B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKCLCVWLLQDERGCDICGVEITDDAQPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFAVWAGFPERSREGNKFIVAGRPQGHSRGLYCTDSIEAVIEERKMRKENACDILENNGSSHPQESNGLDLMFTD >Dexi2A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:2A:475204:479031:-1 gene:Dexi2A01G0000830 transcript:Dexi2A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDFTAADVAAASSGTTRLPRGPPIIRRVQRKKLLILDLNVFKRPHCDDFLRFCIRNFELAIWSSRFKANTDAVVDILMKDLKSHLLFCWELKKLWNKEASGLPWQQGEFSPSNTLLVDDSPYKALRNPPHTAIFPYSYSYKNMKDDSLGPGGDLRLYLEELAGADNIQRFVEEHPFGQLPITERNPNWTFYAQIRDEMGRRKA >Dexi9B01G0030730.1:cds pep primary_assembly:Fonio_CM05836:9B:33184144:33184261:1 gene:Dexi9B01G0030730 transcript:Dexi9B01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGIPAVSLLRSLSTRHGSPHCAG >Dexi9A01G0024980.1:cds pep primary_assembly:Fonio_CM05836:9A:22041474:22044156:1 gene:Dexi9A01G0024980 transcript:Dexi9A01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVALFLIACSSWLLIPHFAAAAPRPPIRAVNLGGWLVTKGWIMPGLFNGIRNNDTMDGTQLQFMSGTQSRYLVADQGGGATILADRTNASSWETFRLWRINETTFNFRVNGGQFWGVNSSGALVATATTPGPSETFQIVRRNSSFPTRVRIRAPNGLFLQNMTSITADRGEFTDWSNNDPSVFVASNVGNLYGEYQICNGYGKANATQVLRNHRNTFITEKDFDFTASSGLNAVRIPVGWKYNIGVIVDLHAAPGSQNPFEHSATRDGSQLWGNTDANINQTVQVREQHSLAVELLNEPLAPGVNLSSLTKYYQDGYKAVRKYTSTAYVIISPANFTGAVLDVHYYNLFDIKFDTLTVQQNIDFVRNNRSADLKAITNQNGRPLTFVGEWAGAWAVQGANATDYKRFARIQQDVYGNATFGWAYWTLQNVNAVWNMTYMIQNGIITLKS >Dexi4A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:4A:913672:915369:1 gene:Dexi4A01G0001420 transcript:Dexi4A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRLHTRLLRRGERRLQPLLLRVLAAGDLRYAALLLESFHPPSAPLHNRVLHALASARHPLLLPFFSRAHRFGLLAPLSFTLLFSSAAAEASASSARFAVCAHALLVKSGHFASGGDPFLASALVSFYAKNRLLDAARRVFDGLSRRDAAVYNALLSAYTRGGLVEAAEKLFEEMPEKNVVSWTAMVSGYTQNGRHDQAVEKFLEMWEKEGVQPNELTVSSVLPACAAVGAMELGRKVEQYARGRDLLKNLYVANALVDMYAKCGSIRQAWLVFQGIGKRRDLCSWNSMIMAFAVHGLWSEALVLFHKLRMAGVKPDGITLLGVILACTHGGLVDEGKLLFNSMREEFGVKPRIEHYGCMVDLLGRAGLLKEAESLNVSMPVEPDAKVWGALLGACSFHGNVELAEIAADKLMHLEPQNTANLVILSNIYASRGKWDCVAQVWKLLREKDHKKSAGYSFIELDGRMHKFLVEDKSHPRYEEVYQTLDSVTLIMKLFNLENAEEEG >Dexi1B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:1B:3351128:3355084:-1 gene:Dexi1B01G0004220 transcript:Dexi1B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASAAAMANSDEEETYEEYIPVAKRRAMEAERLRQLRLSKPAPPSAAGADLPLPPPPPLPPAQPSAPDAAAKPSLLVKATQLKRSAPEVTATEQRIQQEREVIENLSDKKSLLSVREIAKGIVYTEPIKTGWKPPLRLRRMPLAKANELRRKWHIIVDGDDVPPPARDFRDLRLPEPMLRKLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMLMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLLPLKEAGYPEIRPLLCIGGIDMRTQLDVLKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIKEVFDHFKDQRQTLLFSATMPQKIQNFAKNALVKPVTVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIDSFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEEIIAKESGVKGCAYCGGLGHRVGDCPKLEHQKSMAIAGSRKDYFGGGGYRGEI >Dexi9B01G0038920.1:cds pep primary_assembly:Fonio_CM05836:9B:39786434:39787886:-1 gene:Dexi9B01G0038920 transcript:Dexi9B01G0038920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESKTSGRLKRNLHPQVPRERLSFFTATIIPVPGFTGASVRSSIHPLKTEPKPPSPSKLNELSVPDELGALKEGLISASPSGTKMTNQNPCSPARGGRAWRSLLDSGQLSRPREGRELEEVGLLGLFRLRCVGEGDVESWLLLAGLAGCLRARVVVVG >DexiUA01G0002730.1:cds pep primary_assembly:Fonio_CM05836:UA:5983199:5984617:-1 gene:DexiUA01G0002730 transcript:DexiUA01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRGYQKWDTFCDAVGNMMSNTLLPADGKGVMLSSPFGSSPERVAAYFGDALCARVLSSYLGAYSPLSSLRPLAAAQSRRVAGAFQAYNALSPLVKFSHFTANQAILQALDGEDRLHVIDLDIMQGLQWPGLFHILASRPRKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFQPIEGKIGHVADAASLLGQRHHHQQHQQQDEATVVHWMHHCLYDVTGSDVGTVRLLRSLRPKLITIVEQDLGHKGDFLGRFVEALHYYSALFDALGDGAGAGGAAEQESAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLAGSPATQARLLLGMYPWKGYTLAEEDTCLKLGWKDLSLLTASAWEPADAAAVPASGHGSQET >Dexi2B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:2B:30700745:30701768:-1 gene:Dexi2B01G0020670 transcript:Dexi2B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAEVRPAYGFPGSGKRSSGEQAAVVAVGKRRSDGFFIDDDEAEEVLTDTSSIGAPSPSGSSIGENSSEEASGEDGEEEVESKLKEGDALGCLDALEDSLPIKTGLSSFYSGKSKSFTSLAEATSTVAAAKELAKPENPFNKRRRILANWSRRASCSSLATVTYLPPLLAPDHAVAEGDEGEEDDSDDDVEYNHVPHRGKNVQDAPALPLPPPRLIGVGMQRRNGLGSFRSPRSFSLSDLQNSRTDGSD >Dexi1A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:1A:25572201:25573946:1 gene:Dexi1A01G0018300 transcript:Dexi1A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAFIDQHGHGCWRSLPAKAASLCLAIHVRAGAGPSSPLACRPGPFHVDLATPHHSCHPGLWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADAPPGSSGSGARYRATAAHLSHTAQWETARLEAEARLAREVKLRALASSPPPPPAPAPAATGLESPTSTLSFSESAALFVDGATNHEDMRGVLTSSSYGGEAFAEQHRFISDPNDATGFLAGVLLDCSVPGGEEEQRFAAASTDASVGEQEEEEKGYWSSILNMVNSSMSSSSSSLTSEAVTDPAMYLPAAAAAAAAEF >Dexi2A01G0036930.1:cds pep primary_assembly:Fonio_CM05836:2A:46428830:46435002:-1 gene:Dexi2A01G0036930 transcript:Dexi2A01G0036930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVAKRCMNPACAAPPTGPGPDWRKGWPLRSGGFALLCDKCGSNSTIVPLFEKVLSASDAGRIGRLVLPKACAELFYQQGFRNYYFFFFFAVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSTANENLGVVSGYPGFLQSIKGAADFHPSSLYDHHMNSADGDVSWLKADKFGSRPDEGSLQFLQKRSRNIGSKSRRFLMDAEDAMELKLTWEEAQELLRPAPSAKPTIVMIEDYEFEEYDARTPCLCKEINFHHACYRVSKSLDKRYRIINFVYFEWEQDQWIQCDDCSKWRRLPLTVIVASKWTCTDNSWDPKSCSCSAPEELTPKELQSVLQQYEEMRRRKGSYGLKLNVTEMDASSLDALASAAVFGDVGNQATASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSNLSRSPQMLDTTRDSSDVTMFDKAADANKGHIDLNFHPDARDDHQGQHGAQQLRPAVSMMGLMEVASRPLDNYMKQNGLTSLAGEQGAGSSSTATTVLPAPVESEERTSNDGRVASVEREPDAMAVDEAGENQQEKAADESAPAAAAATAT >Dexi1B01G0023270.1:cds pep primary_assembly:Fonio_CM05836:1B:28919262:28919480:-1 gene:Dexi1B01G0023270 transcript:Dexi1B01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSVGADGDGGCCGVGDTSPGTIVWVRRRNGSWWPGRILGPEELPPSQIMSPRSGTPVKLLGREDASV >Dexi4A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:4A:19211862:19216306:-1 gene:Dexi4A01G0015820 transcript:Dexi4A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASPAPAPAGDSLRQKRILSSKLYLEIPSSKAPVIYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGHLEKQRVVEPLEACKEDLLVVHTEAYLNSLKSSFRVANIVEVPPVSLVPNWIVQKKLLYPFRKQVDFAAMQYIDQKIELASGTKTDEYLEKLDQALEVCKSRFQPQLIVYNAGTDILDGDPLGRLKVSPEGVVTRDEKVFRFARDQNIPLLMLTSGEIL >Dexi8A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:8A:28321814:28322485:-1 gene:Dexi8A01G0016700 transcript:Dexi8A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVGGERWSLAGATALVTGGSKGIGHAIVEELASLGARVHTCSRNAAELEECRRRWAEKGLVVTVSVCDVGDRADREKLMAVVKDTFDGKLDILVNNAAQVIFKPAVECTGEDYSRLMATNLESCFHLSQLAYPLLRNASISGGGSIVNISSTAGCLGCPGVVVYCIAKGIHCCS >Dexi6A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:6A:2922673:2924831:-1 gene:Dexi6A01G0003280 transcript:Dexi6A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIRVFYALVLCQGSLYIMACIMAFFSVLLPRWSLAQRLGDDYDLGARAVNLYYERAYTTRIEIGVFAEDTISLASFAVDSLDSASNISHELQLVGVRILHCLLQQKRSCSSNEELISVITRSEKSVPTLINMLGWTFNQDKDIRLFAVRVTAHLASYLKIAGNAGAVRLVSSLLDAENQLPYENDEGNGDDASIGQPQSADGDHEIGGRTRNEAPLTSQDSLPVLGMMILERLACDPDNCAEIVKNTNLVTKIINLICYTSNNRSSNDNALIISSSLNFVRMISTTNGKVGATLRQELCENPFLLTNLKSVLEDRRSIPEVWKLAIDIIAAMALDESARNELASVQVIIHKLLHIFIVGQDGPTNYDQSLRVAAGGALANHAMESPENCLVMLEEQLGDGYDLAKDLVAMLRSDEYTCVAVSLLQNLCECSRMGDDELPTVSLSIVTEVIRSFFLHVLVKIKNWLSDPWVSNQLSSALQVVLQNIMAAEGKQLEALIGLASQICYVLPPQPFVQVLESHIIGTTIAQKLVNTLNSNKKPSHEYPRMRRAIVHMVISVLRRYPGYAIIFSREGMIDALSKVEMTPSKVEKYRVFLGNEGVVLEHGLPLRELATTAKSLIHHATPT >Dexi3A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:3A:2059982:2060825:-1 gene:Dexi3A01G0003160 transcript:Dexi3A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFNPCVKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIISYESPRPNIGIHRFIFVLFKQKCRQTVTVPSFRDHFNTRQFAEENDLGLPVAAVYFNAQRETAARRR >DexiUA01G0014050.1:cds pep primary_assembly:Fonio_CM05836:UA:29853415:29861115:1 gene:DexiUA01G0014050 transcript:DexiUA01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGQTGPEGGRAVPPHWAPESKGPLPDPTPRSPSLPVAARADLLPSPTGPAEAMNTNHAEKDWTSGAAVSDSTGDAGMQLQQGQCGLSHRKPANQNQGVSYHMGRHDHLKTVYMSGFRCYRAQVDLLCGILDTSPVLEHDEHVNIEPIVRGPVWDGFHMLPPDLIGASSPKRPDSNAASLQPGFFYFEPDVRTADQRSTATASSTATAATTATTIVAIITIIAIITIITIITIITIITIITIIVRAAVLRLLILWTFITLTIISVFIAQNFYYFLFAFSRGLASLALGVNLARPDVVLPLPYMSVTNMMPCPITDAIIPCRSTEVCWIYFISDNMREERCVTFIIVLLLNEITLIPRRIGNIRKAQA >Dexi2B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:2B:10543861:10551783:-1 gene:Dexi2B01G0009830 transcript:Dexi2B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSTDEDDVNDEDYIPEPEVQSKRKKRHTPAQIQELRAVFEQCNHPDEKTRRALGTKIGLEARQVRFWFQNQRSQMQAKAMVEDGKLVRQEHATLMAENVSLRHAMVAKCCSACGGGTVSAEPSPEKRRLLAENSRLKDEHMRANSILHKVLLEVTPSAGHPTTHPRLSTREGWSSRAALLRLAEASMEQFLMLATKGEPLWVPTPDGEVMSYQAYQKKTLPVHHGVCPNGFFREATREAGIVRATAADIVDILTDTNRWLEMFPSVVASVTAGDVICGGVCNTRDRLIQMMNAELWVQSPRLRNHSISFLRYSRLTSERQWAVMDVSVEGSQCSHKLDLNVDSSEVPAWNTDCRLLPSGCLLEDIGDGCCKITWIVHAEYDETTVPTMFRPLFRSGKALGAHRWLASLQRQCEVLAVLRSIHVPSNHNPYTVSSMAIRGILELAQRMMASFYSAVSGPVTQPSSNIDEWHGGAGTGAERIDVAVRMVTWKKAGTMGGEPAGLVLSASTTVWLPNTPPQRVFEYLCNDQRVKTMMEDGIFVQREHAALMAENVSLRHAILAKCCSACGGGTTPFNPSPEKLRLLAENAMLHDEHMRAINLPVPSVADGWASRASLRRHTEASMEEFIVLATGWEPLWVMTPDGEALSYQVYQRETFPVQQEACPNGFFREATREAGIVRASVTDIVNILTDANRWSEMFPGIVAGANASDVVCGDGVSTRDGLIQLMNAELWVQSPRLRNRRVSFLRYSRMTAPKQWAVMDVSVDGILFLAPQRSQAWRTDCRLLPSGCLVEDMDIGYCKVTWIVHADYDLTMVPNMFKPLFRSGKALGAHRWLASLRRQCEFLAALHSSHVPSSHSTAATVSPMGSNGILELAQRMMASFYSAVSGPVTQPSSNINEWHGDTGTGAERIDVAVRMVTWQKAGSMGGEPAGLVLSASTTVWLPNTPPQLVFEYLCNDQRRGKWDTFANGGAAVLSSIATGHLDGNAVSILRPNTLVPASPSGDYAAGAFDGVGMQLCRAIKNVKAAVTAKLVVPTALM >Dexi2B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:2B:4695240:4696038:-1 gene:Dexi2B01G0005000 transcript:Dexi2B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPNPKRRSAVVAGLPDDLLADILARVPARSLCRSKCVAKSWRDLIEDPLHRKRLPQTLHGFFFVDEKIHGRRRPIEFASLQRESRSVPLEIYTSLSFLTKQPGIETLTFSDCCNGLLLFENKQKSRPFDLLSYVVCNPATEQWAVVPRHGPPALAHVREEATRYNYLVFDPSVSSHFHLVHFGWESKESMEFEGGFAFDDDDDDDGVHLVHFHNIEDGEVYRTTLHVYSSVTVKSKVSGGGANIIWKDGTIRA >Dexi2B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:2B:971143:971996:1 gene:Dexi2B01G0001480 transcript:Dexi2B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGAQDEAATFCTHEGPHRLVFESCTFRRLAAGAEQEVRVPPLLSMEACPPPPPPPDAGNWNREVDDDAIDCSWTVALSSLMAIQSEQRSGEPLVETKNLSWAMSRCVLWCFQPGRKATPAAGRQHEPPRRERAPNQGGLYANIMAGGDVASGLPARRAAPGDLWQGPPPSGPLSWLASDKSTRCFRPPREAPQRTRWPETEHRRPAAVGCRGPGWRTRRRARRLPSFRFHVRILTLN >Dexi1B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:1B:18960267:18960606:1 gene:Dexi1B01G0013370 transcript:Dexi1B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFASHARRLLIAGAGAPARSLHAEPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQRLLEARTLWLKKLGIPCKHVSLW >Dexi7B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:7B:18762952:18763830:-1 gene:Dexi7B01G0011760 transcript:Dexi7B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAEVANHSKRNHTDSYFSGKAVVTTSSEEFVGSMTSKKPRNTSPRTSPVSPKTDTSSVLQEASGYIKFLHQQLEVLSTPYMRAPPAAGSVPEDPEHYSLRNRGLCLVPVDLTLQLTQSNGADLWAPANTTRRR >Dexi5A01G0027770.1:cds pep primary_assembly:Fonio_CM05836:5A:31160104:31163889:-1 gene:Dexi5A01G0027770 transcript:Dexi5A01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIASEAPVGAFAIGPSTALGRAVALRVLFCDSAERLRHRLAAALRVAAAWLHPRDNTRGILLAVCAVALLLRGRRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLEREAAPQRASDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMLAELAEMEIKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELALDECVSLLNHLRRLKRSAERASASQGHGPTIRLCPSRRIPSWNLIARENSTGSLDEEMLASPTRTNQQGHVGVAGLSNRNQYLQRITHDSSDSESESIDLHSWTRNGGPLMRTTSANKFISFVQNLEIDTEFRTIPSREDEPDLMTPNSSSLAAQAVTRESIDRTLDNSGLDIHDTATPRTTLAPSTSIVVSEGDLLQPEKIENGILFNVVRRDTLIGSSSGAESQGSPREPDVETIQPECLDGVSASEDDDMEHDDDEAADPMSRNNLQHQGSSLEENVCCPSSLNREDETNTNSPEASSIFDICKENILPEASSMNTELETAKMECADGNSAGKKDGVV >Dexi1B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:1B:19081869:19082624:1 gene:Dexi1B01G0013420 transcript:Dexi1B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLAASLMALTCFHATASDPSLLQDFCVVDKMSKVRINGFPCKDINDVVAEDFFFSGLHMAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAALSSQNPGVITIANAVFGSKPSIADEILAKAFQVDKMTVDRIQAQF >DexiUA01G0020040.1:cds pep primary_assembly:Fonio_CM05836:UA:41727846:41728535:-1 gene:DexiUA01G0020040 transcript:DexiUA01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSNGSSTDLNTQVLNIFREPANIESEHGVHIDEIAKRLKLPEAKIREAIAFHEDAGHIYSTIDEFHYKSAFAD >Dexi1A01G0031010.1:cds pep primary_assembly:Fonio_CM05836:1A:36185755:36195315:1 gene:Dexi1A01G0031010 transcript:Dexi1A01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVRAVTDGAMIPALVGVHALVPESASMSSLERMELVPVAVEGTRNVINAAADEGARRVVFTSSYGAVHMDPNRSPDAMLDESCWSDYDFCKNTDNLYCCAKMMAEITATEQAALRGLQLAVVVPCMTMGPMLQQELNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLSYERPGAHGRYLCIGTVLHRAQLIAMLRELFPQYPVTAKCEDDGKPMAKPYRFSSQRLKDLGLEFTPLKKSLYEAVVCMQQKGHLPVIQQQQCAVQTSNSKNAHLLGLEGARERLTLRRADVLDYESLLDAFRGCHGVFHVASPVSNDPELVPVAVEGTRNVINAAADEGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCQHTDNMYCCAKMMAEITATAEAARRGLHLAVVVPCVTTGPMLQQTLNFSSNHIARYLMGTKNTYPNAVAAYVDVRDVARAHVLAYERPGAHGRYLCIGAVLHRAQLVAMLRDLFPEYPVTAKCEEDGKPMAKPYRFSNQRVRDDLGLEFTPLKKSLYEAVVCMQQKGHLPVIKQHQRSYL >Dexi7B01G0022480.1:cds pep primary_assembly:Fonio_CM05836:7B:27390202:27395183:-1 gene:Dexi7B01G0022480 transcript:Dexi7B01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHQQRRFAGSGDAPPPPQHPPHPNAQWYPAPPPPYPHHPSHPYPPQHHHQWGPPPDLQHQRHPPPPQQQLYGYQQPPPPMQQPPPPPGNPWPPHHAAGQPPPPSYPPPPPGQAWTNHSWAQNHGYPDRGPMVSPAQSFGSFPSTYEQEVSYNYSSAPGSGNNVLQYPNSQGQSFSTASTVQGGFPQAPPSVPVVPLAEQPPFGLEQQPIDPSDQPLEFNSRKAPDMAIHASSTSNIPAAPTVATNYDVGATSTHAWPPSATVGFLHRAPLPPQAAQMDPHAAPLFVAASSSNYVPHAAFGVGSVTEVFPTDPNAPFSVAEKSKKRPVPNWLREELLKKKTAPLSASAQHPTNLNSVESDDAEQLLGRPDQNDSRSNDSARSTEDNEDDEDEIEATRMTTINQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSAESDEPSDVFGSKEPGLGESRTKTSAKVVLPAKPNSSSSDHKDSTGLSSPKGALLGLASYDSDDDNDDGGDGRDKISMSDLSANAGVANEEEKYNSDKYGMYGNVDKKSSFKEGKGSGRTLKHESDTREPHSR >Dexi3A01G0025420.1:cds pep primary_assembly:Fonio_CM05836:3A:21098147:21099487:1 gene:Dexi3A01G0025420 transcript:Dexi3A01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPLPYPITVLLDPVCSTPPPRAAAASVASSSGRRFPSDTTAIAGWLPSPAAGRGDDLSLGFNAGAVSAAGSGGSSLAAGLWGPAASRQAAAAAAAALGYGAIGSAAGDVGMLVVTPAGSFHHQRSSAAAPDDPVFPLIAAAQRTLVDADAASGKPPASSAIQFWHPAEPTDGSPGKKALAMLDQGRGGGGGAGSGSGAAATCHDCGNQAKKGCVHNRCRTCCNSRGFECETHVRSTWVPAARRRERLQLAGGGGGATAGASPPPSAPAAAKKPRLACQTTTTTGTNSRTSTSNATTPRSLDTSSSNQDASFKDNLPRQVRGPAVFRCVRVTSVEDSNGCEVAYQAAVTINGHLFRGLLYDHGADTDGRAATAAAVQLGTSDLHLGSGSAAAPNLYSGVSAPLILGGLGYGNTP >Dexi9A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:9A:9623583:9625482:-1 gene:Dexi9A01G0014540 transcript:Dexi9A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGPSRQTPLRRSSRLKTLGRGSKLEGDVHPRAGVSVTFKARQPSSRETFTLELAFPSCSRLGKLELTGQARACHAGNSARYSAAITTDDNDSPLRSKRRIHCTTLPPTLRRRHRSNPPGTVGPDSDTVPTTPLTLLTSDALPSSKATLEGDYGVATLEVLSCHEKNITRSWEARFPLASALSSVSTTSTCNHALPLRPIKGEGKLMQRAEGLGSSSPSPTLLVTPYYRDLGVHLLLPLACNPLLRATRNRCSAPLLDVRPRGRNQDKNSRLSTRHRGSEWLASQSLRLISPLTDDLRPHLLLNPRASDSWNRVSTSGCSAYRRTLEKDRALSFYSSDAHGSHRRPCLNAHGPFSPSSTLFYSSILIHERSPVGGSALMIGAEDYCP >Dexi5B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:5B:5563004:5570000:-1 gene:Dexi5B01G0008250 transcript:Dexi5B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTKKPAKESSRLGGRLAGMASMDSMLLVSLGFVLDVTSSNAMFISRDCRCRGVAPRAQASGGAGCLQGGASNAHMKEKDTNKPTRASRSGGKLLYVVHMLLFVSLGFVLGMASISKFPNFNIPFVPSLPSPKQPSSSPPPPTPLAAAPQKLQMGLMSFLPPSGVVAHNMTDEELFWRASMEPKLRRTPYHRVPKIAFLFLVRGDLPLRPLWEKFFVGHQELYSIYVHADPSYTGSPPKESVFYGRMIPSQKTKWGDVSLVEAESRLLANALLDHANERFVLVSEACIPVYNFTTVYTFLTNSTTSFVDSYDTGDCLARYDRFFAEHTNITIDHWRKGAQWFEMDRAMAVEVVADEPYIQMFRDFCVGRWRCLTDEHYLPTLLSLLGWGERNANRTLTYADWKRPQGMHPHTHDKDEVTEELVRKIREDGGNRCFYNGERNGICNLFARKFAPDTIETLLRHANVQGKQSARRPGAKTHAEHFSLTHSTWPLAMKDTKKPGRASSRLGGRLAGVASMLLLVSLGFVLGVTSSNAMFIRFYLPFMSPLHSADAASPSASSSSSSPPPQPPPPSPPPPSQDQQQTSFLAPSGGVMHNMTDEELYWRASMAPMVRRAPDSRVPKVAFLFLVRGELPLRPLWEKFFAGHDGLYSIYVHAHPSYSGSPPADSVFYGRYIPSQRTKWGDASLVEAERRLLANALLDLGNERFALFSEACIPVFNFPTVYAFLTGSNSSFVDCYENGGSRSRYRPFFATRNITLARWRKGSQWFEMDRALALESVADGFCFPAFRDFCVGRSECLIDEHYLPTLVSLLGWGRRNANRTLTYADWKRAVNRHPHTHGGEEVNEKLIREIREDGGRRCYYNGAWNGICNLFARKFSPDALEPLLRLAPKVMGFG >Dexi8B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:8B:19567419:19574837:1 gene:Dexi8B01G0010960 transcript:Dexi8B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRAPLLLAAATAGVALVAASPTGDNGRSVASTLRHGVARSSRAVYTIGFVVADYKYTLRGLDSGSADYRVKLSEVHLRSAKKLLKLCKVNGGFYVKAGQYVSSLRQVPKEYSSTLSCLQDQATPSKFQDIKLVIEKNFGKELHDIFLEFDEHPIAAASIAQVHRGRLHNNQDVAVKIQYPGLEQRMKIDIMTMSLLSKSVSWFFPDYKFETILAEFEKSMSMELDFTLEAKNSERTANCFRKNAVVKVPYVFWQLTTREVLTMEFCYGHKVNDLDFLRRENINPTKVAKALIELFGEMVFVHGFVHGDPHPGNILVSPQGHGKFSLVLLDHGIYRELDQKFRLDYCKLWKALILLDTEKILELGEQFGVGKYAKYFPVIFTGRTLERYDFVLSYIPCKSALGTQMSREEQKRLKEDLSSLGMDDISSFMEALPRDFYVILRTDGLLRSILGNLGAPRHIRLLTYAKCAIHGLEKQPKLESGVINRMFLQVKTNISYLRLMVLIGKDIITAVML >Dexi5B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:5B:6250007:6252384:-1 gene:Dexi5B01G0009170 transcript:Dexi5B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPASAREKQRGRIINGAVLPRYAATTAGAPTSDARVSGHLQLLRRVRLRGKAFRLQADCDSMPRRFFGHPSSQQHGRSDWGGWPARCSYGSSSDGDGAAAANFDASGEEFVDSSIIEAVELRSVSDGFVIKMRDGKDLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIINGNEEDTISFDLKPSDAINIAFRCKRIAYNNGLKVVQPKRALAESFVGSDDIQITRLDRPDDQPCGEAQEFDLVRNMLIAAVEERYKDAGELSK >Dexi9B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:9B:5771232:5771628:1 gene:Dexi9B01G0009370 transcript:Dexi9B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGVGRCGEAYELVPTEPGETSQSSSEPSKRAAATWEAQGEVASATTGEGDHSTAPPLQRWTRSLSMGRRPDAMAAQAIRAFWKVLKEASISVPRCHRGEGGAGEEWEALERGGSPLVRVLRKREVK >Dexi7B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:7B:20646272:20647666:1 gene:Dexi7B01G0014400 transcript:Dexi7B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTISNSPNTRIAVVTGGNKGIGLEVCRQLGASGVTVVLTARDETRGAAAVEKLREQGISDVIFHQLEVTDASSIARLAEFLKTRFGRLDILVNNAAIGGVELVDDPSLGPKPVGEQFSGMGWQQRIEWMYRNSRETYSTAEEGLRTNYYGTKHVTEALLPLLQSSSEGRIVNVSSGFGLLKYFRGEELKQELNDIDNLTEERLDELLDTFLKDFEARSLEVRGWPGEFASYKVAKAALNAYSRIMARKHPALRVNCAHPGYVKTDMTRNSGLLTPEEGASNVVKVALLPAGGPTGAFFAMGKEASFL >Dexi1A01G0031280.1:cds pep primary_assembly:Fonio_CM05836:1A:36321073:36323075:-1 gene:Dexi1A01G0031280 transcript:Dexi1A01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGDMVTPGELLGNSLTLVAGRGAYTEGRSVRASVTGHRRIVPPAPGSDDQRSTVEVVGHKAHGAVPQPGSIVIARRSTVEVVGHKAHGAVPQPGSIVIARITKVMARMASADIMCVDSKAIKEKFTGMIRWYIGPNQLD >DexiUA01G0010850.1:cds pep primary_assembly:Fonio_CM05836:UA:21567585:21575243:-1 gene:DexiUA01G0010850 transcript:DexiUA01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPVLFHALLLCLSLIVSSLPGSCVDAGDENFVFSGFAGAGAGNLTLGDAAKVTPEGLLQLTNNTETTKGNAFYPTPLRFKDYSSSPNGTARSFSAAFVFAIVSAYGEEASTDGMAFLIAPTTDLSDAGWSQYMGFLDGAAMDRTFAVELDTYKNAELRDIDSNHAGVHVGGLFSTDSHAAAFHDDSNGGGALTGLSLDSGEAMQAWVDYDGKSKRVNVTLAPMGVAKPSTPLLSDVSDDLSAVLTERAYVGFSAATGPIKTRHYVLAWSFAMDGPAPPIDFTKMPKLPRSGRSNKTFKTMALPIIAAASALVLLAACSITLLRRRLKYAELREDWEVLLQGSVPRDGRVQEQQHPRRGGFGKVYKGVLPKSRSEVAVKRVSHESSQGIKEFISEVVSIGHLRHRNLVVGYCRRKGELLLVSGADPQGGQGGPPTPLWPTCHAATSARTLPHNPVSINRRHFGAVRGDVSRRVVNASIVTKFEAGTSFIFGSWLCTANQEGELQHHLRGEAVAPASPRVQTTPQGSRKSLNSDTIPGSYPTRRSTWRPKQIQSRADHDNSAPTKGQDQATCPRLPGGLRITSESRQGSTIRTVTATPRVPRNPGFNSHGTKTSPRGSRASQFPFGLTSSAAVHQKQLKKKVLQPRGATSDLVMTTTPSGVIVHWPGMDPEAALFEANVPITVRDIQPLLPFQEGRELLVTKGNKRTGPKNPGRQSCVLLSEHSDEEVVSDDAPTEEGETDADRELRIERNRNRALRRRFIKKKNLNPEFDKQEIFNSPVANILFGVSVFEGFQTTPEINLAKARLEAAAVMVDRLDGGHSSSKSKSSSRQQAPSAKRQSSHYGSSAGQNKDKNRPREEPRRPREEPPRSQRRGEPRKAKAEEAMETTERKGAEEMDHVKSSTSSPNPNPIHTKSRKTAMADGEGSSRSKGTKRRAEAMKEVVTTEKAAPTGDMPSIEDPISDWPISNLKDKHIKTLEADGFLAAQEISRWRCAYGHEYPTEETEELTPLGHTLLTRH >Dexi5B01G0022760.1:cds pep primary_assembly:Fonio_CM05836:5B:25053123:25053431:-1 gene:Dexi5B01G0022760 transcript:Dexi5B01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPFGRPGGGGVLDVMGLLPPRGGAHSNHGVPPAIGLASSHGMSGPMSTGAGAITTTNTSSAPPSLQMQHFMAQDFGLLQDMLPPPFVHSSGGGGNIQQP >Dexi5A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:5A:3107715:3108494:-1 gene:Dexi5A01G0004130 transcript:Dexi5A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSQDLLDAQAKLWQNTFAFVKSMALKSAIDLHIADTIQQHGGGATLSQIANKAMVPPSKVPYLGRLMRVLTGAGVFSTQQPPSGGDSEQILYTLTPVSRILVGSRNQAGITSLMLHPAQVTAMIELSGWLQSELPDPCMFKRSNGLTVFQLAAGPAFNAVFYEGWFSDTEFIMDIAVKEHGEVFQGVTSLIDVGGGLGAAAHAISKAFPHVRCSVMDLAHVVDMAPGNTDVKYIAGDMFESVPPANVIFLKVCVVI >Dexi2A01G0029530.1:cds pep primary_assembly:Fonio_CM05836:2A:40569959:40571160:-1 gene:Dexi2A01G0029530 transcript:Dexi2A01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQTAALTDDLLEEIFLRIASPTDLARVCTACVSFRRLITDSTFLRRYRSLHPPLLLGFLEPGPRGSFRPAEATHPNACAARALSRAADFSFDYLPRGRRWCPHDVRDGRVLLYGIHGECPVFIDLAVCDPVSRRYLLLPPVPDELLASVQVQKQHVLFLDAFLIPSGAEQGEASFSVLGRACCVSKMVALVFSSGSGHWNVGTTTSWDAPTLSAVTEDLVLESPRSYAYGCFFWKVISRSKLLNLDINRKELSVVDLPSDHAERSVVIVEAGNGKLGMFSNLSHVNSDTPIYYAIRKNVGEDSYEWQMSNIIPLPVDYTCCFVSASGGYILLLGIPKVQDL >Dexi9A01G0026240.1:cds pep primary_assembly:Fonio_CM05836:9A:28547538:28555455:1 gene:Dexi9A01G0026240 transcript:Dexi9A01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSAEGFLQATSCLPCTAEEERELVAALTREAEENVRDGDLRYLAKVHELYSLVCSLKSVEQSEIVLWDYYQKSKSKKLINLNETLDEAQITMDQEVLLEMKLAESSSDFSTRSTNNELALIPLGPSTSSISIAGGPTYSNGFSSGIGSSFSQDNSFTPLLRDSADGYSSFSNGTKEETHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLEDYTREINTDNPLGLQGELAIAFGELLRKLWSSGRSSVAPRAFKSKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDDEFAEECWNYHKARNDSIIVDKFQGQYKSTLVCPVCNKISVTFDPFMYLSLPLPSTITRMITVTVFSGTGDALPMPFTVTLQKNGNCRDLIKALTDVCCLKSSETLLLAEVYERRIYRYLTNPLEALQNIKDEDILVAYKLPAGSEKLLRFSVEPHYNTTRKLIGSPLVTCIPSDSTRKSDIYAAVSAVLLPFVRAKAHGSDVPTAKLNGSGPSLDGIILTENGTACEGLPTLSEDDSAVDDELLPFQLSLTDEKGIARNSIHTDSNRVLGIVMRVLMDWSDSEREMYNIDYMDELPEVFKHGFLSKKTRQEAVNLFSCLDAFLKEEPLGPDDM >Dexi3A01G0025490.1:cds pep primary_assembly:Fonio_CM05836:3A:21199421:21204149:1 gene:Dexi3A01G0025490 transcript:Dexi3A01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFILSDSGEVIVEKQMVGHRVDRAICGWFWDYVLANAAGDPSKVTPLLLARFRISELVGLWKILQVVVSPTHYLFQIYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKELIAPPNIVNKMLNVVTGKSSTLGSKLPDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGVLVKCEAYGEIQVNCSLPGVPELTMSFANPTIMNDFTFHPCVRFRPWESDQVLSFVPPDGQFKLMSYRVKKLKKTPIYVKPQLTSDSGNCRVSVMVGIRNDPGKPIDSITVQFQLPPLIVSADLTANYGTVDILADKTCLWTIGQIPKDKAPALSGNLRLEEGLAQLHTMPTFQVKFKIMGVALSGLQIDKLDVKNTPSAPYKGFRAQTQAGKYEVRS >Dexi2A01G0023090.1:cds pep primary_assembly:Fonio_CM05836:2A:34882691:34885576:1 gene:Dexi2A01G0023090 transcript:Dexi2A01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFRPGQVKGEVVMRRWFCCTQFHASYHEHENELPISPDEKEGNGFAASDPTKAPPPIEVPELSFEELKEKTDNFGSKALIGEGSYGRVYYAILDSGKHVAVKKLDASTDPELDNEFLTQVSIASKLKHDNFVEMLGYCLEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLEYLHEKVQPSIVHRDIRYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQPPAAVAPDAAAPSDV >Dexi1A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:1A:28251782:28252579:-1 gene:Dexi1A01G0021510 transcript:Dexi1A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARSRRRDWAKLPPDLAADIAGRLLGIDLTEYIRFRAVCKAWRQSTEDPRRLHSRFLPRNWVVLYLNQDQFRCDYGDETPRFRLLNRVTGALLTDLEFPELSGHHAMGYAKGLIVLRNTTTSGIRLLNPFTRSVTDLPDFSSILVEASTTKLGKPHRLRGFGARGTALGSR >Dexi1B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:1B:22893560:22893763:1 gene:Dexi1B01G0016390 transcript:Dexi1B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKGLNATGEFFRRRDEWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNKLYPSSGDHHH >Dexi3B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:3B:3880576:3887539:1 gene:Dexi3B01G0005690 transcript:Dexi3B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKPILYSAWISSCSFRVRIALNLKGVDYEYRAVAWNDPDYEKINPIKFVPALVDGDFVVSDSLAIILYLEDKYSQHPLLPQDLKKKAINLQGLLDGRLGSDDSFQIVHTYIDKGFRAIEKLLEGCDSKYATGDEIQLADVFLAPQIHAGVTRFQIDMSNYPLLERFYKAYMEIPAFEVAVPEKQPDAPSPV >Dexi6A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:6A:28009598:28013582:-1 gene:Dexi6A01G0020500 transcript:Dexi6A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKVAAVELLAQCNSRKAKDSNPSCSVELRRLPVPPPSAADPMSPPPRVIVTYPNGVEEAIVITEGDTAQGVRDQIIARGRLIDTELLFRNGGEEWPVVIPEEELRMPFPGIKFRSIFLLPLSLGIIGSCSSEAGTDSIGGSPTTVISSRRLGSGALGNGQDTLGSGLVPASPASERHRELRRLARYTVTVSTARTGLTDQNAPSLSSRLDATNAAHRTRAHIINARLVSCHNHTVGRKQSRVRGETHKTTTMGDITAAAAGDAPAPPQLTKENNLFMNIVVNPDGTVTRPEVPLVPPSSAAGGAASRDVPLDASAGTYLRLFLPDPIPPTPSKLPVVLYFHGGGFVILSAATAFYHAHCESMAAAVPCIVASLEYRLAPEHRLPAAYHDAAAAASWLRGGASQDPWLAAHADLSRCYLMGSSSGGNMAFFAGIQASKGGAAAVRGLMLHQPYLGGVDRTASEAGSEDDFMLPLEASDKLWSLALPEGADRDHEFCNPVKAMAPADLAGLPRCLVTGNRDDPLIDRQREFARWLQDKGGVEVVAKTDHTGFHACELFVLEKAQELFAAMREFMFADGA >DexiUA01G0020150.1:cds pep primary_assembly:Fonio_CM05836:UA:42041849:42043987:-1 gene:DexiUA01G0020150 transcript:DexiUA01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSPLARFAPAGVRPPRGRRVAETASASFCPHAFVQAFRTVTSRATAHCCLAPRSAIMTNSLGTGRSRAAPPRSHDARSLRAKEHCGGSPAITPQSSSPNRLGAHQLRVTSTFSPHARSRGNSLLFCVPKHSTESLITSLIRRLQPPAARTEPSFKATFVLTTFPSFQSPTSRELSPTLRREIGFSPTIPNSPARNRIFPKSAFPAAGARARRAPTSSPPPKPTKLSDSFPESYGCSRTPSFPATASNLAEIEPAATAPLPHVAGVLRASSGLPTVIVQLVVSHWFFSPPLRPPFTAPVVGRVLPQGALIDGFYDLVPIDGEENLEGGRASVDADSPERSSQLEQGGKPRSIT >Dexi8B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:8B:16653195:16654773:-1 gene:Dexi8B01G0009390 transcript:Dexi8B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSFVHAPDHRPNPSIPVATGIPVIDLSPLSMPDGRVDALSREVAAACRDWGFFVVLGHGIPDATVTRVAEASRAFFGQPAERKAAVRRTDDAPLIGYHEAEYTIKDVRDWKEVYDVHPRCPPPGETLRGDLVLENKWPQDLPGFRQALEEYGKEMEILAFKLLELLARSLSLRPDRLHGFFKDQTTIMRLNYYPPCPNPGLALGHSAHRDGSAFTIIYQDDVGGFDIRRRTDGEWLRVKPIQGSFIVVIGEIIQVWSNGKYKSLEHRASLNAEKERFSIPFFFNPASSTTIEPLPETVRNEDPPRYSSYNWGEYYGSRRKGSSTSYAGATIAKA >Dexi9A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:9A:4797273:4799493:1 gene:Dexi9A01G0008090 transcript:Dexi9A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTRSGTKRPAEFDVSPRPLKRRRQVATRINIKFCRPFRPDKVLLAAIDASRDEEKHQLLAAIRGVTTSFHEHEHHLNPPKHPAAATTWRRERLSSLRRERSAGVREANADASPDSAALKCSFSDGRLSSSLEVSTSIAAIISGREGKGKQAASSCAPAPSSEKDDDLGLRGFFAVSGSLWPIKLTIRSESVFAILGFRVCLDRAIAPSPRRRRPCYRRRAVLASSHSHFR >Dexi8A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:8A:1726023:1726631:-1 gene:Dexi8A01G0002630 transcript:Dexi8A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLLLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi2A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:2A:2740107:2742935:1 gene:Dexi2A01G0003190 transcript:Dexi2A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKTDDLIPMYYSHPHHTLHFLLASSALTHSPLYLQGQTQPAASRRGAAGGSLRAPAKMGEITNVMEYQAIAKQKLPKMAYDYYASAAEDEWTLQENREAFSRILFRPRILIDVSRIDITTSVLGFKISMPIMVAPTAMQKMAHPEGISLYFFIMFWLIQAFSYCHLSILLSGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLSLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGIFVGRPVVFALAAAGEAGVSNVLKMLRDEFELTMALSGCTRLADITRNHVVTESDRLRVMPSRL >Dexi2B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:2B:21718923:21722641:-1 gene:Dexi2B01G0013330 transcript:Dexi2B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQVATSRRCLKPPSSSKSRAVSLANPAPPRHSRKEGKPRALRRRRRLEPSARRPPEAPGTFFARGGAVIPSPPPRTMQSAAAIGLVRPCAARPLAAPCPSHRRGGAVAVSGGGIRPVLPPRGLCLTARAGLVPASPLEEEERRRCRAERDVAASASGAATAAQGAGEEAGSGGLVKTLQLGALFGLWYLFNIYFNIYNKQVLKVLPYPINITTVQFAVGTAIASFMWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTPWVVLSLLPIVGGVALASFTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLTPVTLLTEGVKVSPAVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPVNSLGTGIALAGVFLYSQLKRLKPKAKTA >Dexi3A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:3A:17142521:17144111:1 gene:Dexi3A01G0021520 transcript:Dexi3A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAFRRAVMPNASVMSNSAGSARPGTSQSKWNSRLASASSTLASPNAIPGHILRPAPNGRSSKSAPFKSTSTPPASASNRSGRNASGSPGQYRSSRPSAHAFTSTVAPRGTSYPSTRHASSRDSRGSSSGSGVCSRSVSRITRCRYRSSPRACSGTVPSVPKASRTSAVARRMALGFRMSSARAHSSVVADVSLPAPKMSCRRKRCLITGHQHLTKMTALSTSMSRGIWRLPSFCILSSTWRRSSFSPARPLDLHQVLLDDAIKDPVHRVAAPLGAPHGAAEPSDEPRRRPQVRRVEPCHELDGASELPQEHVTVLAPVAHHDSRRGVGDQRGQPRAHLDDAAGARRGRGARAQQRGDLLLADGAEREDAARAEELGDGDLAEVAPVVTVGREDDATGAVAEHGHGGAQRPRRERDVVRLHHLARRLTGRDHQRGHLADPEQHHRPVATGQVTHGAVRELAGDVVHAADDRQLPGPRGETQAMAVGPPALGDHHQQRHESQGEV >Dexi3B01G0021780.1:cds pep primary_assembly:Fonio_CM05836:3B:16665668:16666992:1 gene:Dexi3B01G0021780 transcript:Dexi3B01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEINPVYAELSCSLHENLFQDGNSDFGSTEVVQSVIYDIIQKGGDSGKITKGSKKLKLEKGILLDNYVQRGPRLVDVQARSLLIHSKRSKQHMSLKQHKKCSPFDLDGTYHKYDLYKPMHEMWKAFIRELTKITPYGSFVFFTK >Dexi1B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:1B:4832980:4835705:1 gene:Dexi1B01G0005950 transcript:Dexi1B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGMGLLGLGLGAGPHHHHHQPPRCQAEGCKADLTAAKHYHRRHKVCEFHAKAAAVAAGGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPAGAQGKDSPPPPPKRADTCITASCNSDHQKSASATAAAKSTAISTNASVASCLDAMENAQTSSAAAAPTSLSLAAPSLHVDEKDVDGGGLDSMLIMRQVTRGRRRDDDEDDEHRRFMTSLVTMQQQDNQHDDGGHGGHGGGGGNMLSCSPVSSDQQQNGGCNGFFEVDFI >Dexi2A01G0027510.1:cds pep primary_assembly:Fonio_CM05836:2A:38909766:38911106:-1 gene:Dexi2A01G0027510 transcript:Dexi2A01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPANVRQFWRTQRADGPAAMLAIGTANPGNCVLQDEFPDLYFRATKSEHLVGLKEKFKRICQKLGVEKRYLHHTEELLGAHPEFLDHNSPSLDARLDIVKTAVPELAAEASRKAIAEWGRPATDITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAAALRVAKDLAENNRGARVLVVCAELTVLLFARPEEGCFQTLINQGLFGDGAGAVIVGAAADDDPAMTAGERPLFEIVSTAQAIVPESEGVIEMRLTRGGYDGNISVREVPVLIGDNIERCLVDAFEPLGDIVGAGWEWNDMFWGVHPGSSAILDKVDDVLQLKPEKLASSRRVLSEYGNMFGVTVIFVLDELRRRMEKGEEVGEPEWGVMVAFGPGLTVETMVLRRCVEQGTGGASEEEEKLTGA >Dexi4A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:4A:3747091:3751028:-1 gene:Dexi4A01G0005210 transcript:Dexi4A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGIPPSGANPFPPRLLHATLPSHEPSTTPSSAAAPPTPTGPTSSCDSSSRIRASSSSRSARSFFSSPSISSLLLPPPPITPPHLDALLAAAVSPQPPKLCRRSQVATAAEINYCAFQRREAATPDSASSFRGLVLVSLRALRLVRSYLSSSRAAAAAAAAAAAAEGRMAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSYGKATPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRVTEQDLRDQFYAHGEIESIRMVLQRACAFVTYTSREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDESGRQGQVSHGGLLPRAVISQQQGGDQPQPPGMEGQQQPPASQYFNIPAPSAAERTLYPSMDPQRMGAIVKSQDGEGKPGPQQAGHAQPSSSSAQGGYPAPPPYYHGQYPPYYPPPYGGYMPPPRMPYPPLYPPYQPMLAPPAQAPSAWPATAASSSQQAGQQPPNGPPSQQQQPAHGPSSQQQQQQQQPAQN >Dexi7B01G0024280.1:cds pep primary_assembly:Fonio_CM05836:7B:28652054:28654196:-1 gene:Dexi7B01G0024280 transcript:Dexi7B01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAAASSCEVDLGSLMAYDPSHHLDASTASREELRQECLQKGTELAQAVADALFALPPTEDREGPIVQLPPPTIRVPREKHLPRPKPPTKWELFAKSKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIIDAKATDEPGVDPFAQRIQEKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAAKALPITGTKADLPKKSRKEDLENVAGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLARNSDEQLDAITMYKVKKEKQRRKDKDMSSKYDKLKPQKKSLKKSSKKKA >Dexi9A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:9A:2608599:2608972:1 gene:Dexi9A01G0004760 transcript:Dexi9A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQLLGEDGRGYELARRLEACGAWRAWLGDDAAHAALTQHLTSPATWDAFLSPATSPSPPPRPLLLLQLRVRALLFDKASAALQLAPRGAGPAGLHSINANFSGI >Dexi2A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:2A:8826744:8830573:1 gene:Dexi2A01G0008770 transcript:Dexi2A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCPLPASENAGAKYGAAMFTGALGGARRLLAGGGAANDYCQKKRSFFKQFYGSVTKEDYTAMRLGFVMKHCKGHPKFNFYKYMIRAFEADFKKVVGISVVSQLLCAYSTLPLYAIVSHMGNSFKKAIFDDNVSEGLVNWAQNARRRKGKKTTSSEAGDSSVDGRYGGSVQMANA >Dexi5B01G0031670.1:cds pep primary_assembly:Fonio_CM05836:5B:32354785:32356620:-1 gene:Dexi5B01G0031670 transcript:Dexi5B01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPEALHPPYSPSRADLSPPPPEVYSPYNPEDSPVPDAHLYMPPPEPFIPGLQSLPPPEPLRDELGYAFPPPPPPLVRASELLGWEDRPSSSTASSPFFPDTAARYRRLLEQTGSRWLRPRQFRSDLPPLSSEARPSGRDAAMPVPQHEPATDITEMNKVAANGSELGASEESTEEHGKTAATFECNICFEMANEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSDGEKAVEDGKPQGPSIPPRPHGNRLESFRQQFHHMRPISRRLGEAHGILSSWRRLLDQQIMNTVSRFEGPSESAAQEIDNSAQHATRLSRLALATRMRARRLQREAESRPDGSSTGPDTDLTQTNVSESSRRGSTLNITDRYELLDFARRRQREAEILPDGSSTGPNTGLARTISESLRRGSTLSVSDRYELLERLIGIESTERLASAFSDLRRIASPSQYGGSASSSNPQNNEPAVDGTHVAGAPSADQASNSSTVAVIQGDAGISESAGEPSNAGSSRSLRRRGRTNALGSLDVDGGGPQRNKRRRMN >Dexi2B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:2B:26319247:26321853:-1 gene:Dexi2B01G0016160 transcript:Dexi2B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLPTVPGPARLANAVAPRRRRRMAVVRAKVREIFMPALSSTMTEGKIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGGTAPVGAPIALLAESEEEVTLARDRAQALSQGQSQEPPSPHVDAAAPVPAPQPPGPVGAPATKGVATPYAKKLAKEHRVDIAGVVGTGPHGRVTAADIETAAGIKPKPKVVPPPPPAAVGPAPPVAAGAVLPPLPGGRVVPFTTMQAAVSRNMVDSLSVPTFRVGYSMITDKLDALYEKVKSKGVTKTVLLVKAAAMALTQHPVVNASCRDGKSFSYNSNINIGVAVAIEGGLLTPVLEDVDKLDIYLLARKWRVLLKKARTKQLQPNEYNSGTFTLSNLGMFGVDKFDAILPAGQGAIMAVGASRPTVVADKDGFFSIKSEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTL >Dexi2B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:2B:30384427:30384772:-1 gene:Dexi2B01G0020300 transcript:Dexi2B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEDMVVLLKSMFPSYDYVDKTVDVDYKVAFTADKLKKLGWKPRGLEETLADALQFLEKAELLREPCRLPYIYSMNPEE >Dexi2B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:2B:6588914:6589279:1 gene:Dexi2B01G0006670 transcript:Dexi2B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPGGGPRGRREAAWAPNDRRGRRREAAQVVDGAEEAVRLGSVEEHRLLLFFFLDPSWVDSSSAAPAPLLLPRTEAAGLDPAWCSLVAAAASSSWKRSSAGEAELLLFRLQLSVGSELE >Dexi1A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:1A:25708476:25711014:-1 gene:Dexi1A01G0018540 transcript:Dexi1A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAAVGRLLAEVDWEREAYPAYDDFLALPAFVLFFPTVRFFLDRYVFEVRPPFHIFGLDLENRLKLKAVYMYAAGFYTYSIFALMFWETRRADFGVSMSHHVATVVLIVLSYVFRYVSYLD >Dexi6A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:6A:24747106:24748170:1 gene:Dexi6A01G0016910 transcript:Dexi6A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTATTLPWKLLLLATAASSVLAMALASPSQLPAVGQGPPVGLQANCTTICGDVIVPYPFGITVGCYLPGYNLTCNTSHNPPRLFLGTGALQVLSISLENSTVRVVGPDIPILESKGDGYVANGTWGGDEWGLRNGPYVLSEEYNELVVLGCQLSAELVIVDPNNGDIVINNCGSICGGAISIDTECLAPEKKQSRRCTRCSGFGCCQVPVPAGRSKYKVWVQSLDEDEDVNMPNSVFISEEGWFHEPTNYSYRTWSSGIPAILAWAIVSDVLPFQSAPRDGNATCPTDLNSTSCHSSYSTCRYADRVYDKTTGSWPAWISSFSYTCRCWDGYEGNPYIPRGCQGTSLYLSF >DexiUA01G0023150.1:cds pep primary_assembly:Fonio_CM05836:UA:47226204:47230123:-1 gene:DexiUA01G0023150 transcript:DexiUA01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPRLPPCLQGPTVRAEYGDATTTIDPSCAHVVAQAFPNTYGQPLVSFVGPADAEAAAVEERAPIRMGVVFSGRQSPGGHNVVWGLHDAIKAYNPKSVLYGFVGGTEGLFSNKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVNYAMTTCRSLNLDGLVIIGGVTSNSDAAQLAETLIQNNCQTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEIINKICDGVQARAELGKYHGVLLIPEGLIESIPEMYALIQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRREIDTEQLLAHLVETEMIKRTKEGRYKGRKFSSVCHFFGYQARGSIPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGRPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIEILKECLSKVRTIVKPGCSREILKAAISSMLSVTDVLTVMSHPLNAELPLYHFK >Dexi5A01G0033130.1:cds pep primary_assembly:Fonio_CM05836:5A:35499362:35502177:1 gene:Dexi5A01G0033130 transcript:Dexi5A01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKPRESHRQGRGRRAAHFGDDDLPSSAYDAPPPHHEDSSDDDDTNEETAEEEAVEQDQWKAGCMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTALLSSEWIRTDARRTAIGLDLDLESLEWCLENNLSKIGADGYSRMLLFHGNVLQPKEARLVKQKFNDFVQGLDVNSNNGSSGGNSCEPSGLANSKCVANSTMSGAALPGRDIICAFNYSCCCLHSRKDLVLYFRHAFNALSKRGGIFVMDVYGGTSSERKLRLQRKFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSNGNAKEYNANRDVKYEESQRFNQRDAWNAYVVGVANV >DexiUA01G0011020.1:cds pep primary_assembly:Fonio_CM05836:UA:22009759:22010476:-1 gene:DexiUA01G0011020 transcript:DexiUA01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFHARRWIMLPPHHRTSGQDDRDRRRRWFLNVVTGGRIRLRLKSLRNCYVFGRTAEGLLVLCRKDTYVVRLLNPLTRQLHGAGVVDDSTVMLYFGYCSLAIAKPGDERWTRLTFHDKIFAAMPFAGRIYCVTTKNISVVETVAGLPPKLAVAVDDELDSGCTG >Dexi5B01G0038910.1:cds pep primary_assembly:Fonio_CM05836:5B:37954245:37959046:1 gene:Dexi5B01G0038910 transcript:Dexi5B01G0038910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASPITFRPSAARRPLLLRAPAPRVGVTALARCGLPRRDPLPAAAWAHAHARGIGAARPWLGTPTRREDEAAPARETTRCAAAGQVAGSTSVGRGAGLEVPLAAAAVVAMATGNRVLYKLALVPLRQYPFFLAQFATFGCTYLVWQLLLSAIFLKRRYRINEITGCLLVAIGVIITVATGSGTGASLKSTGIVWQLLMIISFFFQAADTVLKEIIFRDASKKLKCGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFHLLPTYIKDGAACFLNMGSISVPLAIYAFTLPLPYIGAPSTLPPGFVADGASLGIER >Dexi7A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:7A:16517588:16520875:1 gene:Dexi7A01G0005300 transcript:Dexi7A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKIMESKLTFSPDSPPISIICAAKVAGLPIAVDPSLPAGSAPTLQLGSGESLHGVNPILHFIARSASFSSFSGQSAIEFGHVAEWLEYAPMFLSGSEFETACSFVDGYMVSRTFLVGHGLTIADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYGHTLSETVAAYVGKRGVGKSPAPSLKEKVHDSKDPSAPEVVLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEEMRKERMDGIESKCRNNAVAENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKIEALIQFILQQVYLCAKGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLINGPEKPFVRILPRHKKCEATGKKSTTFANRIWLDYADASAISKGEEVTLMDWGNAIVKEIKMENGVITELVGELHLEGSVKTTKLKTTWLADIEELVPLSLVEFDYLISKKKASSLFGSPIAYLEEDEDFLDNLNPCTRRETPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >Dexi4A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:4A:745191:745806:-1 gene:Dexi4A01G0001120 transcript:Dexi4A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKDLPIFLRPCRTSHPSCRTAAGAGPAEAPLVESSPLRAIPDGAGGRWSSPLLAASRLDADELSISLAHSQLESSGGPPGLVKQPSTGGDAAGGGGGRTANCGGAAWWPESGERRRGAGRGEEAKDREFETGVNNYDQSRYEYYGVVESFGGCY >Dexi3A01G0022560.1:cds pep primary_assembly:Fonio_CM05836:3A:18168819:18175865:-1 gene:Dexi3A01G0022560 transcript:Dexi3A01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSQFGCLIGVIGARWLRGNCSCRDSVCDLAAETLGATLVCRQRAAAPRRIGTLSVANAAARGTPPPPPPAGQGREGVSTTQAAAGFRVRGGRVGHEAAEISPAATPYNRRRLPQFTPESEEKLRRRRCSSSLANAGGETAEVRTPLSPSAPGSGLGLMESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKSNGTYFPEECSNIFLTKDQDIRLGDFGLAKTLKEDDLASSV >Dexi4B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:4B:3607751:3610576:1 gene:Dexi4B01G0005070 transcript:Dexi4B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEAMNGLFVDGLYLRLRSRVHGTYLHAERDGVGLSLLPRGAVGYPAEAAVWRVHRFLRDGVNYVLIHGAAYGRYLALSDEPATPGCVGMRAVQRGFDDPVLDAVMWKPAAVPDAPAGHVLMRHLLNGTLRANGRFRVWNNGVSIDMYFGNRSTMRQWMVEVVPPRPQGYVPVLPAPSETPRRHTFLFWRPRAPGVARRRIIRYLLPQQPLNFGPGHKVPSFAFYSPSVYNLRTQMGIRVHDGEIGVTIMCVQAGLYGRLTPLITDLPHIDEPLHIVVYLAGTPGENFAVFLVVPAQAIVYPNVDAEGP >Dexi9A01G0028730.1:cds pep primary_assembly:Fonio_CM05836:9A:33483527:33485801:1 gene:Dexi9A01G0028730 transcript:Dexi9A01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVESAPGAGGKPPLCNDSDNGAKRFELELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRQEYIKYIMCVRNNRLKHILPRPPPEAAPAPPSSQHATVPLPPSAPTPVAPPVPAPASSMPPVAAGAASAMPPMQFVGTPGTNMPKNDMRNAMGNRKRKMG >Dexi2A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:2A:14691727:14692053:1 gene:Dexi2A01G0012640 transcript:Dexi2A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHGRAHRQPQLFTWTLGVLLGAVVFVGVMAGIAKAIAGATARPPAGGAVRPAGVTVRDRSADAMARVGQGTVTSSAPGVATPPWTAVSASPSCASRPGPPEEMVDR >Dexi9B01G0046090.1:cds pep primary_assembly:Fonio_CM05836:9B:45456268:45459100:-1 gene:Dexi9B01G0046090 transcript:Dexi9B01G0046090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVDCLQHICLPSTPVRHRCELAVGCSSVTCAHGSLHCPKTESLRAAPPAEPALCPWLVGRLRFHARTQSRAADPCHPPLLRLGQRWSHRIPSACDDGLSSRLPRAMPLLCINDGLPRHRSSTELVRRQAVQQSEASMGAAKLHGGTTVRDRLSLSGSLKKLLLCLCCQNPTLEKIEATWPNVLPELGSGARRRKPKPDMCHETREEHGRPVDSPFAKNCAFVATMQRQEVVEEVVIVGAGLAGLATALGLHRSLVLESSPALRTAGFAFTAWRNAFRALDALGVGDKIREQHLQAQAYVLTLTKSLCLRRILLRRLQAACHVFVYRGREEQVGLQPPDHALYSDMRADVMISDALNDTDQGPTRSVASGGTPAAGLPQALEEELPRGTIRYSSRIVSIEEDGDIMRSACAIPHVVIMPRDPDLPRREHARLRPILQDALIQEANRK >Dexi9A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:9A:11693709:11695708:-1 gene:Dexi9A01G0016650 transcript:Dexi9A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQQQGQEGQGSSSTPPPGSGSDGGGGGKKPRTKTMKPVAAAHLAALRGRYELLRSKGNGSFADVWEARHLRTGHRVAVKIISHAKLADEFAGDVDREIAAMRLLRHHPHIAHLHEAIRGADHTYLVMELAASGDLYDYVDAADRSRLSEPEARWIFRQLVAGVAYCHRNMIVHRDLKLENVLLDAKGNVKVADFGFSDMWSHERLLSRNCGSPEYMAPEVMERRHYRGPEVDVWSCGVILYVMLSGRMPFEGDLSDVARKVRRGSYMVPAWVSEDARDLIAGMLVVRQEKRATIAEVMAHRWLSSPADTPPFPPYLRMMPPPDAAALRARARVAAVDADAVELLVTRHGFERTAGLIESLRLDDDESSEAAFAYQLVLRNMYGAAALYQQLLSMPPPAMAAPPRRQWVLGGGLNGGELLLHECPRTTMRRIARALGQLGVWILSYQSHCHRMACAHIAGDGGVRSTATFRSFRRRQNGGGGGASRSSSASVRSAATFRSFLRHNDGGASSSLMMSSSAPEMSNNILRSLSAAVIFEIELFKAGEGENDPQQQRQYALHLKRTSGPQLPYLRVCSQLASKLRPQIS >Dexi5A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:5A:12765902:12766130:-1 gene:Dexi5A01G0015230 transcript:Dexi5A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSAAASAPDRRGREFGEEEGKSYEPRGVVASAALGSRPPRCVAEPGLAVAVAVAVALPEAAAAVIGRA >Dexi3A01G0025720.1:cds pep primary_assembly:Fonio_CM05836:3A:21480347:21483140:-1 gene:Dexi3A01G0025720 transcript:Dexi3A01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRIIATDRKARFSHLKDKTDKVRKRDVVLNFGSAPAGASVHVVQLDNAFPLGSCINGEVINIPAFVDFFTTHFSWAVFENELKCYWTEAQRGQLNYADADRLLDFCDRAGKPVRGHCIFWAVDDNVQQWIKDIGDDQAQLMSAVQARIHGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGEGAAAMMFREAARLDPAAKLFVNDYNRGGAAVGGIGLQGHVTHPLGEVICDALDELSGATGLPIWFTELDACEPDEGLRADDLEVVLREAYAHPAVEGIVFWGFMEGHMWRPDAALVGANGAPNEAGRRFVELRREWTSEAQGLLDADGQFKFRGFHGTYVAQVTTAAGKMIKAFTVDKGDDAALVLDMDT >Dexi9A01G0035000.1:cds pep primary_assembly:Fonio_CM05836:9A:39670446:39673049:1 gene:Dexi9A01G0035000 transcript:Dexi9A01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTNGRESNGDRSHKGGGGDVPPPNIQIDMSMVRRRGGGGGSPSFFEPWTPTPGSGSVVVRGGGSSGSSGDPTPHHPSGGGGREAPEKLLTLFALRLAVLEKAASGLGTLNFVWATVVLLGGFVSNLTLTDFWCITVILVGEGARVFGRSHELEWQHHATETSSTAAAAGVLRSSSRFFHRVLHFHASVSDDGGAAAGEARANTKSSQLQRQLAAVAKQQRGWHAPDVSLLPYTSWVSAATNIGWLLHWLQVISAVSCVALSLMRLWKHDFFAGGSKNMRPALLLFYTLALLEALLFLLEKAYWAWQFGHCKLLHQVTDDCHLGACGPMAIRRFFYDAYSKCIDGSIFDGIKMDLVTFAEDLILSDFLDEQFIGVRILQQFATNAAASTGDTLRKIGTSPQSVERLVEMLSWKRRYEEDLRRSAAEVVCKLAGKRQNALRLSGIPGAIESVASLLYTGRGVAVSGMQPQPNAAAGGEDGDYLAFHLLGLRILKKLARDQDNCGKIENARGLLSKIIDFTKTLPNLLQNRHASDSQVRAVKHALQVVKRLVSTTGNTGEALRRGVAANVFAVCNLRGILRYGQLHTELQKLAIDVLAALAMDDTGKEAIMATGGLVKLLLSTFAGVEEGELACNAGKALEMLALESEKGCAAILKQRADVVDLLVSALQDDGSDNRRRLNAARVLRSLCAYSSQKHRERLRVATKALPLVLRITMKENKELDNKVLEVCVGLTTQICRFIDGERFADELRAAGVEERAYVQRLARILRDYKYPEIRVPRMRRFVVQQVIWLMTCSRGHVYVELLREVGMEGLLDSVADTTSELECYHAFSGSVGIGKHRESFSGVVDIALELITGGRGGAPAQGVKP >Dexi7B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:7B:14393579:14394975:1 gene:Dexi7B01G0006660 transcript:Dexi7B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSGSKTIPVPSQRSTSFGTGLMSDAQEYDAAYAATVAAVAYAIAAREEGLGYQENPVSEKFRTPTAGKVASRKKHTSFGEPRATLQSKLADKRGESFKRPTIEGNRSSKWFSGKEPIDHGYDDNEPGANISVRRPLRPPAQKMPEGAMTSDEKVAEKVLNGSAPSIKEPTFAPKWPSRKFEKDQGNQMVPPTAGPRARPVSSYSSRGSRVATESEAFPSEAEAMANAWEKEKMAKIKKQYNEAMETIAEWEAEKKAKARRQKEQKDESDSERKRAKAMEEYNEEISRINKVAAASRLTAEEKRRNAERKVRDKSQTIRSTGKLPRTCGCF >Dexi2A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:2A:31591266:31592728:-1 gene:Dexi2A01G0019390 transcript:Dexi2A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGAAGPGGAGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKAQAHPKAPPHHFHPGGVVGPAHPLLVLALPLAFLLILLLLRGGDGHHLALLAASAAAALGAAAGAARLLRGRLRLRRSPGSGSVQWFIGDDDDKPQKRGDKASAAAAAPHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLPPSDPVVQRAVQAAQRGAENAFGLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >Dexi3A01G0026610.1:cds pep primary_assembly:Fonio_CM05836:3A:22901916:22902596:-1 gene:Dexi3A01G0026610 transcript:Dexi3A01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVNGILGNIQGYSPGLMQGVQAVFQMSCGNVGNGQQGAGGGAGGAGSGGAGGITGGGGAGGIAGGGGAGGIAGGGGAGGIAGGAGGGGIGGGTAGGGGAGGAGGGGGNTAAGSAVAAGGTASPNGGSHVAVSDLDEPDEPTSSAGGPTDSLTRAFPTVLMTWTFIWLLRLF >Dexi2A01G0030850.1:cds pep primary_assembly:Fonio_CM05836:2A:41671941:41674666:-1 gene:Dexi2A01G0030850 transcript:Dexi2A01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTDGPHEKLGLTWYLVTDTASGEATLVCQIWSLVDHTRGRFIHWTRDLFFLATLIGKAVVKVSFQTTSVITLCNNQLFDLVEKRLIWAFGNVPGVASVLLSFVGKLKTAKDKFLSAQVAASASSAGKGKWNLSFTLIWNTLVWLMVVNFIIQIVTSTAQGYLRTQQELEMSTKLSETELSASEPSSD >Dexi9A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:9A:9775144:9780891:1 gene:Dexi9A01G0014860 transcript:Dexi9A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFHPCTALKSTALARANPMVIVPMPGTTRVECRAWAAMLACTPNSPHTLPAFHGSVTAHSWKRYNPLLLRAPPHLHANAAAHRSFARHGSSTSAAAHRSSTRSPLAAPPLHSSTCAIGNYAFCRRALAVAILVMIPCLGEQHAGALIGTCPCRCLDTMALQRHGTTSKRACSAPLTIYTCTKRPCAFGATSQAGGGPGRKALPHQTRLPQWHPAIGLSMGAAPARAALAAGKRLPPSGLDCSDQCHRCMSPHAAHASGKVPWPETHCSADSALAVASPPRTAGHFRRRRCPSVARATFAPPRALFFSPCRFSPRPRASAACSTPARRVDAAHGANTAATSPSAPVSISCFPSTLPLPSHSYLSPQQFPSLPHRKNARRSKQSRRRACSRGQPSPLLPRPNRGSHELPHPPPPLLDPFLTLFLHRSRRRSSPEKTELSAAARARAQLATLLLRFALTRWCSPATSPTLSDPDVAAATVVAEHYSGHPRPRDLAQTNHGEPLFISPHFPGPVSPPFGRLYDLVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi5A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:5A:2958349:2959101:-1 gene:Dexi5A01G0003950 transcript:Dexi5A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADEPAVAIEEHLRKEGDWVDEPAEAMDQAADEPEEVGEAMEQVEEEREEAGEAAEAMEQVQEEREEAAEAMEQVEEEAEEAGEPAEAVEQVGDEHEAEAEEGASLRPSLPVRRVKRIMRLDRDIKKVTNEATLLVAAATELFLGSLAAGAHTAAARRGRRTVRAVHVRAAARAHRPTADFLLDSLPAEEEAPRTRPVAGSSGGGSGGREAKPLPRGTRRIDAFFQKVT >Dexi9B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:9B:15002013:15003937:-1 gene:Dexi9B01G0020250 transcript:Dexi9B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGAGGDDSSGGGEIDIHPAVNRRLKEGETIRINVKNKPSAGSGMLSSAATKPKTGMLLAQPAGAAGKLRSPLPPPPNDPAAARMNSGHNAGIRAPKESTKRNNDPFSDLSAIKQNLPSSAESGQTKSTGAGWAAF >Dexi9A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:9A:970322:971113:-1 gene:Dexi9A01G0001860 transcript:Dexi9A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREYEREQAEKACSHAEELFLAGNVRGAHREASRAKRLCPSLPGVANAVAAYEVLAAKGRGWRAVLGVRPGEGAATQDAIKRQYKRLSLLVHPDKARCAAADGAFKLVRDACEKALAFASGAGDTISPAPEPPRAAAPPPRAAMPLDDVTRVRMLIYCPTCKNEYAAKIGKLEQQAGMKCARCPEWLSPPWQKKPPAKKEPTAGLGRQVFQCPATCPECAAPYTSKVSVGRWCLTCKACNKSTMVDVQGPDQATAMVKMRA >Dexi7A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:7A:30034561:30034961:1 gene:Dexi7A01G0021430 transcript:Dexi7A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSSKYKNPERKTKPNDFRHRSHNGDKSTSAPAAAATTAPASAATAPAAAATAGVEGRADDRAGGQPTDRADGEPRGAGAEPAAAGPLLLRAPAGGGGG >Dexi5A01G0031700.1:cds pep primary_assembly:Fonio_CM05836:5A:34314392:34314997:-1 gene:Dexi5A01G0031700 transcript:Dexi5A01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLYRKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Dexi9A01G0041930.1:cds pep primary_assembly:Fonio_CM05836:9A:45515658:45517218:1 gene:Dexi9A01G0041930 transcript:Dexi9A01G0041930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNCNCTYMGCLTLLAALCLGAATVARGQLTDNFYNGCCPQAANIVKARVSAAMQAEARMGASLLRLHFHDCFVNAEARMGASLLRLHFHDCFVNGCDGSILLDGSNSEKLAAPNLNSVRGFDAVDAIKADLEKACPGVVSCADVLALAAKYGVLLSGGPDYDVLLGRRDGLVANQSGANSNLPSPFDPITKIIKKFSDVGLNTNDVVILSGGHTIGRARCALFSNRLSNFSATSSVDPTLDSSLASSLQWLCQGGDGNQTAALDKGLLSSDQGLFSSADGANTTKALVQLYSYNSERFRCDFGRSMVKMGNISPLTGSAGQIRTNCHAVN >Dexi4B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:4B:22416330:22420098:1 gene:Dexi4B01G0020210 transcript:Dexi4B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRLAKPVDEEAASAKAAKLRDLQVQVLQNHHSRTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNVKELSDPEAIRSAVDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLTPVDSEREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPDEEELKYTMDKIGDNFSLEALQQLVSLNISNNQISSFTALEPLTKIMFLKVLDLSFNEIGAHPIDTTRYICSSPFSHKIEACEAFEQCQKKNFNVEEYWDALLFFKSLKLKQLDIEGNAIASKENFRTLVMELIPSLKWFDGECVN >Dexi2A01G0028100.1:cds pep primary_assembly:Fonio_CM05836:2A:39419218:39421392:-1 gene:Dexi2A01G0028100 transcript:Dexi2A01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPEYPAGKTVDSEAEPFSPSVFLDLPPTPRPVGDGEDRAASSDDLVLPFISRMLMEEDMDDKFFYHYPDHPALLQAQQPYAQILSAAAAPGSFTANNNGGGPCTLSASSDDAPSFVGGMDEFTAHDANKQDAARSSFPNGGGGGGGGADIESSGLLDGAEEATTATTSPPTATVDGEHGAPLASTFFSGQNRVSMDMLNQAFLRGREEAKKFLPTDNSLLVEAGGSKLSQGIAAGQMRNANEDKVDRIGGNGGRGCKNRCDWQYLETETTARSIKLMVPEPEETGEVIDEIIVNEYRLCLSGMLDLRIPMDGSKDGKKIRKGKRNSALGRQSSNEVVDLHTLLIHCAQAVSMDDRQSATGLLRRIRQHSSPIGDANQRLAHCFAEGLEARMAGMGSQVYKSLKAKRTSLVEFLKAYQLYLTVCCFKMMAYRFSNMTIANVIAGRKKLHIVDYGVRYGIQWPSLLGWLATREGGPPEVRITGIDLPQPGFRPAAQIEETGRRLSKCAHQFGIPFRFQSIAAKWETVCVDDLNIDPEEVLIVNGLFDFGNLMDEGVDIHSPSPRDVVLGNIRKMRPDVFILCNVNGSHGAPFFVARFREVLFFFSALFDMLDATVPRDNDQRLLVERDLFGRFALNVIACEGSDRVERHETYKQWQVRNHRAGLRQLPLDLDIVKVVRKKAKDSYHKDFVIDVDHQWLLQGWKGRIICALSTWVAANAFSKH >Dexi9B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:9B:195089:199224:1 gene:Dexi9B01G0000280 transcript:Dexi9B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSSPNPTPQEHASPPPLKRSAWKNPAPNGVVDAPAPGPALIDDNHWPALSEAAKNTKLVPAPESSSSPLPAPSSAMPTSSNSHKHGSGTHHGRHKPARRGGSAGDHSPRDHPDRSTAGWDHAAGAGSGRGAHRNHNHNNGGGRRGNATTASATGVSHHGGGAAAAAGGGGGGFSGRRRGGYEPFYRGHPPMGGMGPYMRGAPPPPPPPMTVPSPPFMGPPPPPVSPMRAFAGPMVFHHDMPSPVSPVPPIYFYGPPPPPEALRGLALAPPMVGPPVYPYFQAPAAEPQPEPEPEPEPEPEPDVEEERAKLLKQIEFYFSKENLCSDVYLRQQMDGQGWVDISLIAGFKKVQELKKDLQYIKETVQSSSILEVQGDKIRKQSDWEKWVIRRESNPDVLSSAASVPRPNVNNLTAHLGGMGLHESAASSSSTMEQNHNDGIQNGSPSGKDEAPVVADNSGQQ >Dexi2A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:2A:13988945:13992206:-1 gene:Dexi2A01G0012030 transcript:Dexi2A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSLCYGDIHPDSILNKEKHIKKAEKAHRINLLNYHSKDNPGNENQVVMQPKAIKGGMPMKVTQRIDVSKFMSYIKVSRTQLNHIKRLKQSGDGIQTKHVSRVIGGLDKSHVKPYGDLLEDEQRRLREHWLNMSCNDLPSAFEVLKDRKVLMEQSRKLLGLELEEKNVSVSRKVL >Dexi4B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:4B:746359:749502:1 gene:Dexi4B01G0001170 transcript:Dexi4B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGLSDLFRNTSEEIFLKAMMENSMGVATAPRIGDDFYEAINEVNSEFLHKLELLSLSSEAAGLPNQQHDIAQQNFLTDNLVPHNSAIPPVEYPNNHNQQSLKNAAEKGMQASDILLAKTPKFVSPSSSSTSPLDNPHVMAQDTVTSVVSMLKDTLERKKLGSHANNDASAGNSFGFYDTQQFQQNILGGTDIFPLVSTSQVQDSPMLSRVERPMEPNHGNFIAPANQVWFGAPSREPSHSGSSTAMTAHSAGFEVCDELPSMGHAMSVCESTRKNASNGTTDCRSKGKEYRERVLKDNVKDDRKKAALTRMGSVTSEQADNGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTEEKERLLEEIERIV >Dexi4A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:4A:4794951:4800752:1 gene:Dexi4A01G0006690 transcript:Dexi4A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLNMEVDDDVEEEDMDFNPFLREGSPSETSSSLTSEAECEEHSSVNRPSSETYLQDNESDDDGDIQNVDEEGEYRKFLASVLSGVGDDTQGCQGDENLDEDDNDADFELEIEEALESDGDEHAENYDDRNGRKEKDGRRPQTRKRQPFTELPGAECQWMPLIKSPVISILDVAPLQFTLDYLSDVATAVIKHRKSHVDGTADRNRRKEPLFPSPVINSCKEASNIAQDRSSSAPTAPSVSSGQLQQKKTLAATLLESTKKETVALVPADIARLAQRFFSLFNFGLFPHKPPPAAMANRVLFTDAEDRLLALGIQEYNNDWGAIQKRFLPCKTKHQIFVRQKNRSSSKAPDNPVKEVRRMKTSPLTVEEKECIQEGLRVFKNDWTSVWRFVVPHRDPSLLQRQWRVASGVQKSYTKSDAEKEKRRTYEAKRRKLKALMPNSRVVHGQEADNNTSEDVENDDDSYVNEAFLEDTDSRSINMMPCQQPLPRNAGKSMTMQSGTGLDEECGATGDYVEAQKGSGTKLDVTTSYIPFMYCPSDGPSFVRAPSATAPVVSCGSLDQLQASQMSKEKGSRVVKLAPDLPPVNLPPSVRVLSQVAFHPNSTHYHGTSDNTAKDMYPVPPLTFTESAYRQLNLFPDHRANSRLQQNGIPNENTTEDGAEQDLQMHPLLFQYPRDVVSSYSHPVQNLINQSRKYDLFPFEKIQVEGSNNQNTGPTENGTINANTIDFHPLLQRTEVEVHGEVSEDDYHHSVNQSECNMRQAPVDDQSIPGQASTSPSGRETSIDLNIHLFSPAEIKDSNDFRGAFGKSNDQDEVSKKDKAGVPELEVVNSCPHHCIQESNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQGPEACPVQNKVMFANAYCFVLL >Dexi9B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:9B:12377908:12380236:1 gene:Dexi9B01G0017610 transcript:Dexi9B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMAAGECRNNGATEAGEVSDNILLDSGKLGALKRREFVDNLLKHVEDDNLHFLQRQKERIDRQGCIMFVGLKSDKVKINILEGVSGIIKPRRLTLLLGPPGCGKSTLLQALAGKLDKSLKATAIAASEKSLQTEYILKVDVAETYKFTLLKKVLLENKYKQ >Dexi8B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:8B:10272024:10275264:-1 gene:Dexi8B01G0007970 transcript:Dexi8B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKKSKPSLASQRRFELLDLNKSSSSLNMSTSSLKSVGEETKKGGAAVKASRRATRVRFAPPTVPSPAAVLAKASLGSVSQSHQVMARPATASGARPGSASGTRCRTSAGKLPEPGPKAMRRSWGWTGGADVKEKGTGNPVAAKVGTKINTRSSSVPRRLAAPDEKEKTLPKRGSKIMTISTTEILNPRTPPKTEMEGSRSPPSVARKNIKAPNTNSASLQKMDMVSAPTRTSVATIGASWVSLPSNLQDLGLEVMRFRDDAEAAAVEALKQASAAEILLRCLSAFADLTSAAAELSPQQTVDEFLALHSALTSSTASAPGDAKQEGGQAADWLRAAVSTDLARFCLCSAPSTLNSGVAVPLTGRAGEAGVEEPWVEAAWRGLGEEMRAWFLGHVEVLLDGDVAGTLGQLKRVNDWLDAVGMGPESEAVERVRKKIYGYLLDHVESAVVALNGGVAGGRRK >Dexi4A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:4A:20144442:20150440:1 gene:Dexi4A01G0016510 transcript:Dexi4A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLEMAPPPQARHQQGPTGKGGGHASGRKQPLQSSVAQPKVELPAAPPEGPKRCGGGGRRRGGRGRAKAAPAVGEPGAPLPAHTPPPSTVIGPPVPSKGLSFCRRPGFGTIGARCVVKANHFLAQLSDKDLTQYDVKITPEVSSRAVNRAIMAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDDGTGAPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDVVLRELANQRYVPIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQILGKDVISRPLSDSNRIKQIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHRHLPCLQVGNQKKANYLPMETVHQNGYEQDPYAKEFGINISEKLTSVEARLKYHDTGKEKECLPQVGQWNMVNKEFNSEPVIPIYSARPDQVVKALKHVYNIALNKLKGKELELLLAILPDNNGPLYDVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKELSLILRYAIQRSLISTSVVMLEFSYARCTRSVSVVPPAYYAHLAAFRARFYMEPEISENQTAKNSNGTNGASVKPLPAVKEKVKRVMFYC >Dexi9A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:9A:2335981:2336574:-1 gene:Dexi9A01G0004370 transcript:Dexi9A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAAIRHLLAAPANKAPRSPSQLALPSSSRGPAPLRAAAEEATAEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNTFDL >Dexi6A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:6A:19986554:19988089:1 gene:Dexi6A01G0013040 transcript:Dexi6A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSARPLLVLVLLLALFCSHIALCASAEPGKPKATGGRKALLAASAADDDGEDAPAAKPAKNAAAAAGGKIKKKLAGDGKNQTKVAKTKKSDSAAATKGASKKATGKSAAGADAAIGKVPKGDKAKVPKPDKAAAAKSKGADSTKPTKVKGEDSAKPAKVKGDDFGKPAKVKGDDSTKPAKIAKAGSKAGKPAKTAKSEAAVGKTKKSANSTADAGIKPAKSGKKAQVVADAKANATVVTSEEETTAGAEVEEDVVFADEAEGTGDLISEFRGLPARLQETLMPDLARLSHHSKVYLSAANAGIADGVRPILGGRWAAAAASAASVALLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPPEEDGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGTDGAAEEWKKS >Dexi7A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:7A:10284310:10284810:-1 gene:Dexi7A01G0002400 transcript:Dexi7A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNDSGSLFSSGKLVAEAATTVFQQKSVDNIDKKEVAGAASEILHAASSYGKLEDKPAGQYIEKAESYLKEFSSGAPAAAADAKPAGDEAPAAAAAEAPKPAEPAAEAPKEAAPAAEEGKPEGFGLDDVVKGAEQLVEKQGGGGDSASGGGAGGLFKMAQGFLK >Dexi3A01G0030300.1:cds pep primary_assembly:Fonio_CM05836:3A:34238855:34243214:1 gene:Dexi3A01G0030300 transcript:Dexi3A01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQLDASRLAVTWAPPARGFDYHGRISLPFPSPPQPPSPGLGTTRLPPPPQGPNNPIAAPTAGACASSADLPARGGRIERERAARRRGGVRMDAPKRQLRVRLRVTARRRGGDGADGGGGGGGAGSGAPKRRLDAPSLNSAAKLQRREIGGRQLAARGGGPAAAVPERFRNMRLQEEFDTYDVNAHLFVKLQFLRRRSKIIEIVAAKDIIFALAHSGLCAAFNRVTNKRIAFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVRTSELIEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVTPIGSINMSEIMTGKCIAKIAASDPALSVTPRRNGCKKRSSVWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHVWSN >Dexi2B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:2B:29730179:29733758:1 gene:Dexi2B01G0019560 transcript:Dexi2B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPLQSQCLREAPPPHQAIHPPHCRRPPRLSSRRHPPASASASPPRRAPPMPPRSRAAAPRPALLVLLLLLLLLASPCHCVNEQGQALLRWKDTLRPASAATLASWRAADATPCRWSGVSCNGGGDVVGLSITSVDLQGPLPSNLQPLAASLKTLVLSGTNLTGAIPKELGGYGELTTLDLSKNQLTGAIPPELCRLAKLESLALNTNSLRGAIPDDIGNLTNLTYLTLYDNELSGAIPASIGNLKRLQVLRAGGNQGMKGPLPPEIGGCTDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPAQIGQLKKLQTLLLWQNQLVGAIPPELGQCKELTLIDLSLNSLTGSIPASLGGLPNLQQLQLSTNQLTGVIPPELSNCTSLTDIEVDNNALSGEIRLDFSSLRNLTLFYAWKNRLTGGVPASLADAPSLQAVDLSYNNLTGAIPKELFGLQNLTKLLLLSNELSGLIPPEIGNCTSLYRLRLNGNRLSGKVPPEIGNLKSLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSTIGSMPELTKLYLGKNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPPELGRLPSLEISLNLSCNSLSGEIPSQLGGLDKLGSLDLSHNELSGSLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLVVGDGGSDNDSSRRGSISSLKIAMSVLAALSALLLVAATYMLARTRRRGEGGRIIHGEGTWEVTLYQKLDITMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTLAVKKMWSSPADEANTSAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYSYLPNGNLSALLHGGGLAAGGKGAPADEWGARFDVALGVAHAVAYLHHDCVPAILHGDVKSMNVLLGPAYEPYLADFGLARILSAASSKLDTGSKQTRIAGSYGYMAPAEYASMQRISEKSDVYSFGVVALEILTGRHPLDPTLPGGAHLVQWVREHVQAKRDATGLLDARLRAGASESDAHEMRQVLSVAVLCVSRRADDRPAMKDVVALLEEIRRPPAGDDDAKPSPAAPGAAVTAAVSPARGARSGAQSSSCSFAVSEYSA >Dexi9A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:9A:5937703:5938206:-1 gene:Dexi9A01G0009770 transcript:Dexi9A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLSPLPTLPAAAFSTAVTPTPRVSGIVDEICGLTLLEASTLADALRGRLGVDELPPLAILTGGAVPLAGGAAGPGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKIVLE >Dexi4B01G0021580.1:cds pep primary_assembly:Fonio_CM05836:4B:23535288:23537512:1 gene:Dexi4B01G0021580 transcript:Dexi4B01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGERSPVSGGGFRCRPPFACPTNLFHINAIVHLCSENGKFSYGYASSPGKRSSMEDFHETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEEAGGFVMWAGTWRVGGILAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFVILASDGLWDVEAVAMVKPILDSEQAAKRLLAEASQRGSADNITCVVVRFLEQPNGPRRATNDQAS >Dexi4B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:4B:3700160:3701560:1 gene:Dexi4B01G0005250 transcript:Dexi4B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRARIRREPLLPRQLSSSSSRLPPPPPQPAAANPPPSKTSASAPFSSRLPRPPSEPASADRPARSAFFADFRDRLGSSASASSPRNDDLRHKLRHFPRPPPSNPTTPTSSAPSSPGPSFIDVFAATPHAPNRHSPSATPLDYATLRDSLSKSGPAAGGLPFPGARKFDLKTSLSQLQGRVGSEAAFGRDQGLKSGAGSIVVQREYSYNELGKRLGDLRPAGAGKDGKEWFSLEELSARIGRLREMEKEERERATLPGKGTEKLRAMLLAHSAQSQDQKKTGGALMGFGGQTVQGKLQEELMERYFHPDHMSSAEKMKLELKRSPR >Dexi3B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:3B:1038876:1041967:1 gene:Dexi3B01G0001450 transcript:Dexi3B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAAALLLLLLLALASPYPITATAAAAAGSNNAALGRKGGYVVEEPPASPGRYAVIFDAGSTGSRVHVFKFDKKLDLVQIGDDIEFFAKVKPGLSSYAGRPQEAATSILPLLEEAKKIVPLRLQKNTPLKLGATAGLRLIGDDKAEEILEAVRDLVHTKSKFQYNPKWITVLEGSQEGSYLWVALNYLLGKLGGDYSKTVGVIDMGGGSVQMAYAISTNAAANAPTAPAGMDPYITKEYLKGKDYNVYVHSYLYYGTLAARVEILKAKNGALSHCVLRGFSGNYTYNGKEYDATASPEGAVYDKCREEIINALNLNAPCDTKNCTFNGVWNGGGGAGQDDLYVASFFFDKAAQFGFIDSEAPSAKSTPAAYKAAADKVCSLSAQEAKAVYPNVLDVPYICMDLVYQYTLLVDGFGLDPSKDITLVARVKYGEYFIEAAWPLGTAIEAVAPKKMNQDS >Dexi3B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:3B:4572107:4586469:1 gene:Dexi3B01G0006650 transcript:Dexi3B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWAPSDVFIVPYGPPDAFWVRTFVFTRDRILASRSLPMSSLIGSCKLNKSAFGWTHLRHPSTVASWQTYKFDFLILCIGKYGTPNIPTFPLNEGPEVFNGKVLHTMEYSRMHQADAAKLIQDKRVVVVGSGKSAFDTVTYFADTNEAWYKMRIPMKRHGMVPSYSFSRSIMACRLGILPDGFYDRVDRGKIVLKPCKSFRFCEDGVLLVDGGGCERVDADVVILATGYQADRHLSGLFFSPWFSKIVARQCIHPRIPQMAVIGYTESTANIHAYEMMAKWVAHLLDGEVCLPGVAEMEHSVAEWDRWGRRSTRARGSSVYSRKSMPISTWPSSAPRASGLAACKHAQARGFRPVVFEAGDGVGGVWRHTLATTRLQTSAQAYRFSDFPWPEEVAGGEEFPRHDQVVGYLAAYARRFGVLDCLRLGAKVLAVEYVGVAEEEVAAWERWSGNGEAFGDGRCGSARTAAGRGGAAVARACERSRKRRRGSEECDGPCGRCTARTRAAGNVQDGGDYVGAGTGDRGERMSNPGAERTYKFDFLILCIGRYGTPNIPTFPLSEGPEVFNGKVLHTMEYSRMHQADSAKLIQGKRVVVVGSGKSAFDTVTFCADTNGCKDPCTMIYRSTHWMVDPAPVWGVKLGSLCGTRFAELTVHKPGEGFLLSLLAAMLIPLRWLVSKLVEAWYKMRIPMKKHGMVPKYSFSRSMIACRLGILPGGFYDRVDRGKIALKACKSFRFCEDGLLLDGGGCERVDADVVILATGYQADVHLSGLFVSPCSGRQCVHPRIPQMAVIGYPESPATIQTYEMMAKWVAHLLDGEVCLPSVVEMERSVAEWDERKKGALLAEWLQPYGSADYADIQ >Dexi1B01G0028060.1:cds pep primary_assembly:Fonio_CM05836:1B:32685628:32693607:1 gene:Dexi1B01G0028060 transcript:Dexi1B01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGVVSREVLPACERLCFICPSLRTRSRHPVKRYKKLLAEIFPRNQITVYLEQKCYKEMRAERYGSVKIVMAIYRKVICSCQEQLSLFANSLLTIVETLLEQNRQDDLRKIACQTLFDFINNQVDSTYMFNLESQIPKLCHLAQEMGEKDKICFLHAAGLQALSSMIWFMGEHSHISAELDSVVSAVLENYESPYANSDNDDTTVEDRRIRWVSEALKSEGHEPRAVTILTKVPSWKDLRATHGELSLTIEESQSPNIWSGICLHNLARISREGTTVRRVLEAIFRYFDNNSLWSPSKGFALCVLLDMQIVMDKSGIRCLLNSLILLIYLRHCMPGQNAHILLSMLIKHLEHKNVLKQPDMILEIIEVTARLAEHSKPQSSTALMAAISDMVRHMGKGKSMQSLASDAGSGDNMAKWSNGYGKAVDECLVQLSRKVGDAGPILDTLAVVLENISSSTIVARSTISAAYRTAQIVASLPNLLHQSKDSGIEVLIGSFQLAFSLRSVSLQAGFLSPSRRRSLFALATSMLVFFSKAFNIPSLIPVAKHVLTESAVDPFLHLVEDSRLQVLDSAAKPCYGSKEDDDLALKSLSNIDMNVDQSKETSVSLILNSLKDLSESELSTIRKQLLEEFSADEICPLGETPKSPAPNGKLPQKSMEVIPLGYVFEDDTLIEPPDSIEEPHLRTDSSLLDVNQLLDSVCFGNIPECWEVVSINKP >Dexi5A01G0023170.1:cds pep primary_assembly:Fonio_CM05836:5A:27228466:27230552:1 gene:Dexi5A01G0023170 transcript:Dexi5A01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLRAAILSAALLLSVVVSGEAHGIGDFLNIFRPRNEHDYFHNANQGQQEEENVRPRASDQQNLITAPVSRSGLMKVPARSAPTAAAKDTVVLPVDNAAGFPGAWSTVTENAGVSAMHMVIMRNDRAVMFDTVTTGPSLLRLPKGNCRLDLRSKEPGAQDCAAHAVEFDYATGGIRALKILTDVWCSSGALDADGNLVQTGGYFEGEKVVRYGTQQALPDGRSIVLGGRRAFSYEFVPAEGQKNAQATNLQILRDTTDDVENNLYPFVHLLTDGTLFIFANDRSIVFNYQTGQVVRELPVLPGGGRNYPASGMSALLPIDLRRGADAVSPEVIVCGGTPKNAFRLGEANQFNPALRDCARINPLKPDARWAIDQMPVSRTMGDLLILPSGDLLMLNGAARGCSGWGFARQPVLTPLLYSPRQPRGSRFRALAATTIARMYHATSAVLPDATVLVAGSNTNSAYNFTGVDFPTEVRVERFTPPYLSPERAANRPAIELGTVPGGGMAYGSRFTFQFSTPAQAVAEADIKVTMYAPPFTTHGYSMNQRLVVLSVADFDAHWNRYTITVDAPGKPEIAPPGYYLLYVMAKGVPSKAAWVKVHK >Dexi9B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:9B:9651638:9653248:1 gene:Dexi9B01G0014440 transcript:Dexi9B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPVSRTSVLLVLCYINRFRTQPPSLVQRNGSKNFKLKVFHFSI >Dexi3A01G0035260.1:cds pep primary_assembly:Fonio_CM05836:3A:40583819:40589828:-1 gene:Dexi3A01G0035260 transcript:Dexi3A01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASRERLSPGAAPQVDTGKYVRYTQEQVEALERVYSECPKPSSLRRQQLIRDCPILNNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYDNGYMKNQLHSCVIFLLCSLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSVGIIAVSHNCSGVAARACGLVSLEPTKVAEVLKDRASWYRDCRHVDIVHVIPTGNGGTIELIYMQTYALTTLAGPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPCGPNAPNFIRAEVLPSGYLIRPCDGGGSMIYIVDHVDLNAKSVPEVLRPLYESPKILAQKMTAAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGPEDITISVNSSPNKLVGAHVSPSTLFSAIGGGIMCAKASMLLQNVPPSLLVRFLREHRSEWADPGVDAYSAASLRANPYAVPGLRVGGFVGNQVILPLARTLEHEESLEVIRLEGHGFSHDEMLMSRDMFLLQLCSGVDENAPGACAQLVFAPIDESFSDDAPLLPSGFRVIPLDDKMDIPSATRTLDLASALEVGSGPGSRIPNDVSGACSTRSVLAIAFQFSFENQLRESVAAMARQYVRSVMASVQRVAMAIGPSRLGSHIQLKHPHPPGSPEALALATWIGRSYRVHTGTEIRWSDTEGADNPLMPFWKHSDAILCCSLKPPFMLKFANSAGFDILETTMVNIQDMPLEAVLDDEGRKVLFSELPKIMQQGLAYLPGGVCRSSMGRQASYEQAVAWKVVGDDGAPQGLALMLVNWTFI >Dexi5A01G0021970.1:cds pep primary_assembly:Fonio_CM05836:5A:25805081:25805680:1 gene:Dexi5A01G0021970 transcript:Dexi5A01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAALTAPCAGTGPAGYADPATGCVSTLESTHLAHVVIPLEKAVSRSMSFITCAPSCT >Dexi8A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:8A:552056:556271:-1 gene:Dexi8A01G0000840 transcript:Dexi8A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRRCGSRRPAAVVGDNGNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRSPDAVQRMYSEVQLLSTLRHDAIIGFHSSWVDVHRRTFNFITELFSSGTLRSYRLRYPRVSLRAIKSWARQILGGLAYLHAHDPPVIHRDLKCDNLFVNGHQGQVKIGDLGLAAVLRDQQAAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTLEYPYSECSNPAQIYKKVTAGKLPDAFYYRVKDDDARRFIGRCLVSASKRPSAAELLLDPFLLDDHHHSTAMMPLPPAPSSACSSFDVSSSLGVDHQDVEEQEEDAQAQAPAPKMDMTITGKLNAEEDTIFLKVQISDDETGHNVRNIYFPFDMVSDTAAEVAEEMELEIKDREPSEITAMIEQEIERLLPNREQQHEYSVYGAHDYDENEEERPPPFYYLSSSPASSQSSLCGVAPYSSGGFSGSHVGAWSKDHGYYDACLSDDDDMSSIHSSGKYSALHYDTTGSNEQEEEAQQLPGDLVGPSSNKNTTRFGRAGGESSSRSPAWTAQQQSSLAHELQRQCSVSPHAGRPRQREDEEDGRHGHGRERRRMTRRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPTTTTSSSSSARARRSSTRDDNGSKHHHQYVML >DexiUA01G0002610.1:cds pep primary_assembly:Fonio_CM05836:UA:5842883:5843544:1 gene:DexiUA01G0002610 transcript:DexiUA01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHLLLLLLAVLLPAAATADSDAVQDYCVPDALLPSYPCRSPASLTAGDFAFAGVRAAGNFSPATGFAGVSVTAAQFPALHTLGMSFARADLSAGGGTALVLAGRVYAGFVDSGSRIFAKVLEKGEVMVFPRAMVHFQMNVGDKPATVYGSFNSENPGIVRIPATVFGSGIKDGVLERAFGLSPEELRRLEMTFGPPRTKLSEVEN >Dexi3A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:3A:6569155:6571131:1 gene:Dexi3A01G0009400 transcript:Dexi3A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSRGRRREAASGKGAADMDVVVVRDLGTLEKPPEAVEVCGGRVPLVELVAIVPVVVEDIGEGRP >Dexi9B01G0036590.1:cds pep primary_assembly:Fonio_CM05836:9B:38086263:38093167:-1 gene:Dexi9B01G0036590 transcript:Dexi9B01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPPIFLRILLFLAAAAAVSSAPRREAFRRDPGHLHWHHGAFHDVEESIRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHKLEEFLKMGFPLHRPSCFETGEAIDIEHHIMYNVIAAGQPELISLEKSLKEAMQPAGTARDVRNEYGRELPLFEVEATTVEPIFQRLYSFIFDMEPGHPADEMDRPVPVAIFVVNFDKVRMDPRNKENNFDDLMYGTIGRLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSMPRLSQIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEYLIAPDIRFETVDMAVRLLIPIIVLQNHNRYNILQEGHNYSIDVQAIEREVKKMVHPGQEVIIISGSHALHQHEKLAVAVSKATCSHSLHETKSDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRYFLKQNWNSGEDDVKDSIKHRPLWESYMPRNKKERRGTGKKKYGNLYRTYGTRVIPVFVLSLADVDAELLMEEESLVWTSKDVVIVLEHNNDKIPLSYVSETTRQFALPSLAQRHILAGLASAVGGLSAPYERASRIHERPIVNWLWAAGCHPFGPFSNSSQISQILQDVALVMLSALVFNFHEMHNERKNYNLCSSRRRSSQNKRHIREHLKTPLGEPVKGNKNKSTTELWVEKFYKKVTTVPEPFPHDLVEKLEEYLDKLEEQLVDLSSLLYDHRLADAYKNSTDILQSTIFTQQYVERVLANERDRMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >Dexi5A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:5A:1868856:1870213:-1 gene:Dexi5A01G0002710 transcript:Dexi5A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSGCEAAEARVLCCADEAALCALCDRDVHAANRLAGKHHRLPLHPPASSPDCDICQESHAYFFCVEDRALLCRSCDVAVHTANAFVSGHRRFLLTGVHVGAQPDAPQETADPHPHTPNAAASAAAPAPLPNPPAAKKARSSSSSPAPLYYSDDEIIDVGITGNLPDWQLVDEQLSAPPVPRPPAEPLVTRAPSKRMLAPAFTVQGGLAGGMPDWPLDDFFDGFSEFSADLGFTENGTSKADSGKHGSNDGSPTGRSSSDSAQDMFGQVPEVHQWSVPELIPSPPTASGLHWHGGAAAVSVPDISSPENPFRCFAADAGQAQTAAAKRRRRC >Dexi7B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:7B:18657551:18659871:1 gene:Dexi7B01G0011650 transcript:Dexi7B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWRRTPTRQVGTCDGVLVHQCRRPPSATTCMIGIQAETAPGANGVETAAAGSFTGGRPHLHDRHAATCRRRSQGNCRGRRPNPALTVRSLGENPEFLKPQDSTPKKHVRGQNRGTSLSVAQPNGFSSYVAPLPPSRTLVSPDLSQSHDLPPNSHIPRSSPPLPSPPSVLIPRSLLPSYDLVEENTDAPGRDVRWCSHPPAPPSSLGHDLHDRDTGRNGARSERRGNSGGRVIHRRPSSPPRSVCSNLRRRSQGNCRGRRPNSALTVRSLYMIWGDQGQDALENASIRLLNCGPTGTEALGAIGSVTVVDGSKVEASDLRRTGYWMLGATKG >Dexi9A01G0028150.1:cds pep primary_assembly:Fonio_CM05836:9A:32822745:32826403:1 gene:Dexi9A01G0028150 transcript:Dexi9A01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQDPAENHRTLAYPNWLQSTSSLPPQRSTSPRRGFSRWSPRHRPRLSRRASVSSPAKFAPRPRHAHTATSPLRRAPRSASMDVKAPSPSPAPSPGATLSAVIAEDRRREARRRAGGHHGHQGGGLLPFLVPACGRPAGQVGGKPLHSQVMIRLHRWYASLQPKSGSVSRDGERLETRRRTPSPPPRPTPPAPTQVVPPPSPPPLQRQDTPAVRVSIPERRPLREREEETAARAPAPAAGSKAIAEEDNTSAGGESVGSSERATPLTPTGWGGGTWLKVSPKTTVRSLSLQTDTSDESPQSVSYSPATMAAAETADKFVLADKYRPNFLSEFICNKNVAADLYKLVVAHECKHFIFEGPPAVGKRSMVLALIRDAFGPNELKIRDERKKFELKGEIRKHIDVRVKISDHHVEVNLGDLHGYEKYVITALLNESMPSPNSVCDHTNCRVVVIHDADKLSSDLQHYIGWFLGRYAGCNKIIFCCSDASNLEAIKHLCKVVTLQPPSFDEIIKVLEYIATQESIDLPRDLARRITVSASNNLRQAIRSFEATWKANYPFVDGQVILTGWEEDISNVARNIIEEPSSKQLFVIRGKIRKLIEHNVSPHFIFSHLVAELKRDKDEEFQHSIDELAFDVNHVSGGGIPCDY >Dexi8B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:8B:25768464:25769744:-1 gene:Dexi8B01G0014980 transcript:Dexi8B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNENFDGKLHILVNNAAQVMFKPAVESTAEDYTRLMATNLESCFHLSQLAHPMLLNATVAGGGSIVG >Dexi9B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:9B:3477565:3487224:-1 gene:Dexi9B01G0005870 transcript:Dexi9B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPSTGCGWPQKIKVHVIGDDGMGHRLTGGCVAVRTRRVLHHQSRGNVGELHQLQDLLTLPLEVVICHQSHGNVLELRMVQHLLSPALQLLLERKLTVCSNPPPKPWEIVGASCSPAPFKPPSEGSTVDVVEASGTAGHGEIVSGTWNSIAYNVNSIISRPVPPRLWQQQGCGNSYGVTHPPDSAPANLAETPSLPRARGWSPDLVVRPARRVGGSLGWTPPPPPSHLRPRRRTDPTEGAAARLIEPADQEPRHGSRFVLVSYPRGSNPPPKPWERAGASSGPAPFKPPSGGTTSEVVEASGTAKYGEVISATGNSVASNVNSNISRPVPPRPWQQQGYGNSYGGYGSNMYSSYGGFNGLYGNNMYSGYGGGYGSMYGGYGGSMYNGGMGGSYGGYGMGVGPYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVSFLVSQNTQAFHMFISALLQLCDRAGMLYGELARFVLRLLGIKTKPKKGSVKGSGAPPLEGTSQQFVEAPKATNNSWDSVWTQNGKGK >Dexi2A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:2A:82011:86338:1 gene:Dexi2A01G0000110 transcript:Dexi2A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQQQQLPLHHHHHAAAIGRAAAAAMDDMADQHQVELALEVPPETRTTTTTVVQRQDSLYRDASRAGGQESYHEGWARTLRLAFQCVGVLYGDIGTSPLYVYSSTFTGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTLALYSLISRHAKVSLLPNHQAEDELRQHQHDVDAAVLLAKPSLRGSLRRRTTVQLASPRERRAQWVKELLETSKPVRISLFLLTVLATAMVITDACLTPAISVLSAVGGLKEKAPDLTTDQMVWITVGILVVLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLLRHDVGVLRAFNPKYMVDYFARNGRDGWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFALGLVPAVLLAYMGQAAFLRRFPEHVADTFYRSTPEAVFWPTLVLALAASVVGSQAMISCAFATISHSQALGCFPRVKVLHTSRVYQGQVYVPEVNLLLAVAACVVTVAAKTTEVIAEAHGICVVLVMLITTLLLTLVMLLVWRANAAWVALFFAVFAASESAYLSSVLYRFTHGGYIPVAMSAALVAVMALWHYVHVRRYEQELERTVSHETVRELLARPDLRRVPGVGLFYTELVQGIPPVFPHLVDKIPSIHAVLLFVSVKHLPVPHVDAAERFLFRQVVSGSDGRVFRCVARYGYRDPLEEARDFAAGLLERLQYYVRDVNLYGVEATAKVSYPSSRCESNMMSRAASYTESLALARARSLHHSASCTGPGVFVEEMLTPAESFSELSRMGSVQHHLKIVSLEELARIEEEQRFIEREMSNGVVYILGETEVVARPHSSLLNKLMVNYAYAFLRNNCRQGEKMLAIPKSQLLKVGITYEI >Dexi3A01G0032700.1:cds pep primary_assembly:Fonio_CM05836:3A:37571842:37572609:-1 gene:Dexi3A01G0032700 transcript:Dexi3A01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELYRLGARRLAVFDLLPAGCLPSQRAAMADGECHADGNSLSAMFNAVLRTEIGKAVVASMPCLKYSINGLYNAYSDMIANPALAGLREVKRGCCGGGKFNGEVDCTVASNLCDDRDEYLFWDKVHATQAAYRWSVLTLFNATTKNAEPINLAQLMQEPLCTASAPYSSSI >Dexi9A01G0026130.1:cds pep primary_assembly:Fonio_CM05836:9A:27691880:27693748:1 gene:Dexi9A01G0026130 transcript:Dexi9A01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTMERALRSSSTRKTEHIFEPILGLVFDSREEAREFYNLYSWEVGFGVKLNNSRTGTKKNKIDGDEEYRSMQEIVCQRSGYDPRVKSKTKRCGCPAKIQLLRTDDYGWHKWAKPWAKDKYCARMSSTQRSESANFMLKRFVPRNSSMNHFVSQYNRLLFDRDREEDIAEDKTKQLVVVHERLWAVERHALSIYTKAAFELFRKEEGLGTCPF >Dexi3B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:3B:1021207:1021909:1 gene:Dexi3B01G0001410 transcript:Dexi3B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRGLISVGPWGGSGGQAFYMHGSSAPRLRSIVLHHSMSSGIHSMACDYYYNHLAGVGDDDGIRTAVVQIKLSAGEHLTAVEGTTGHVSNVAGAVVTSLAFRTSTGRTYGPYGSTAGTGTAFSVPVADGACIVGFWGRSGWLLDAIGVYLKPCGGSSSSNTTRSTRASGI >Dexi3B01G0030280.1:cds pep primary_assembly:Fonio_CM05836:3B:29843036:29843424:1 gene:Dexi3B01G0030280 transcript:Dexi3B01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIISAIIGDVVSRAISLLVGRFSYKGSTENKLQRISQLIIRIHSVVEEAKGRQISNHGTLQWLSELIDTEYQSFYLLDSIRCGYKEAERCDDKMYHEGCILLAP >Dexi3B01G0021000.1:cds pep primary_assembly:Fonio_CM05836:3B:15931016:15935383:1 gene:Dexi3B01G0021000 transcript:Dexi3B01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVKQVCFV >Dexi2B01G0021700.1:cds pep primary_assembly:Fonio_CM05836:2B:31405662:31406739:1 gene:Dexi2B01G0021700 transcript:Dexi2B01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAAYAVNGPYHGAYAMLPLWKTHVEPQEASHTYLLVAGTTDRTYVPFPGEDPPDLNNAVWVGVSQYPSMYGDDNPRLFVYYTTDNGGKHYCYNTGCAGFVQTSSKITLGGAFANVVGYFPHFIFPHFFYESLHNEMGGRVLNTWPQGRHTTTQMGSGVLPAASDPNKSPAAVVGAYFALNANGQDFLDIARKKTVVTAPGCYGVQLGGFDRNVQGFDTLFGGPGGQDCAT >Dexi5B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:5B:6492513:6494524:1 gene:Dexi5B01G0009460 transcript:Dexi5B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCCEERPGKGKKSWAPARTLIHLNAGFVAGVLVMLLVYVYLVVSQQQVAISGLGDEKGKVVHNTNGYYSETCEVDGDVRVNGTALSVFLVPTGTGRSSPERREWKIQPYSRKIVPGIKAVTVAQLPDDATAPACTASYTMPAVLFAVGGLTGNFWHDFNDVLVPLFIASRRYAGEVQFLITNTEPWFTVAYRPILRRLTNYDVVDLDAGDAHVRCFPRVTVGVHMQRDLGIIPEWVPGSQRFTMHDFTKLIRDIYALPRTSPTIPSPEKRPRLLVIRRTHTRRLVNEEEVVRAAEAAGFEALAVDLRRDEDKGVVANARTVNTYDVVLGVHGAGLTNMLFLPPGGVLIQVVPYGKMDHIARLEYGDPARDMGLRYLEYIVTAEESTLLEMLGPEHPAIKDPESVHRSGWNNMTDFYLNKQSVRLDVARFAPTLAQAFDHLHQQ >Dexi1A01G0023010.1:cds pep primary_assembly:Fonio_CM05836:1A:29639055:29641616:1 gene:Dexi1A01G0023010 transcript:Dexi1A01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRNGAAAVTTRPYNRSSVPRLRWTNELHRSFVRAVDCLGGQDKATPKLILQLMGVRGLTIAHVKSHLQMYRSSGHDIRKREMQPRLGHRKHSFAIDEGGPKEFFICPPMKRAKAGAEAAAMAMHESMQGNSDIVAAGTRRCGDDYTQRVPVGNRRITECLRWQWQRNAAAAPTLQELGVWGRGAEPFKIGRPITNQSPVMRHLSSKEIKNRCFLFGTSTTDEAARRPFSPSRPPSSIDPKAVSALSSWPSEASCVLSPPSSSTSFSGCSMPGSCLLAGQRINLDLSLSICGS >Dexi1B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:1B:9103502:9105461:-1 gene:Dexi1B01G0009750 transcript:Dexi1B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAVEARGDVNDGEGGAAATAAAAGGDHGAVGETLVVVAAAAGADGEAMAAGAGLRLGDGGWREEE >Dexi6B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:6B:22852486:22853286:-1 gene:Dexi6B01G0015540 transcript:Dexi6B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGHRRLGLVVRSAPRLGGSEWVGRGSYDRRGARLEVGPDADGDPSLPSWGPREKTPACLPASCAVWAQPPAPGSLCYSVHSHATNHAPRPRCTIDDSFGSGTGRRTPPWARARPEPSRSAHCTHMPPAWTVVSVAAWVGPSRHGPPSSPSRRREPHHATNRPLALAPRAPAAQATTPAGRSPTRPCQREEFGSASRRVHGAARTSAHGPHPRQRSGGLMPCLPPSVRPSVAPRSIWRGSPGAAGRTTCGGERVGSAENDVPGC >Dexi2B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:2B:12724977:12726209:-1 gene:Dexi2B01G0011150 transcript:Dexi2B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFPKRSLPCALLLLAVFLTGCSATTTTTHGGGPITMEMFRRWQAEYNKSYATAAEERHRFLVYARNVAHIKITNAEAADAGLTYELGETAFTDLTNQEFRAMYTATMPLTADDDEDDDDVAVITTRAGPVDAGGAVNLSAGDAPASVDWRASGAVTPVKNQGRCGSCWAFSTVAVVESIYQIRTGKLVSLSEQELVDCDTLDHGCNGGISYRALKWIATNGGITTEADYPYTGADGDCDDTKLFHNAVTIAGLRRVPTRSEAALAAAVAAQPVAVSIEAGGDNFQHYKKGIYNGPCGTRLNHGVTVVGYGEEEGGDKYWIVKNSWGEGWGDGGYIKMRKDVDEPEGLCGIAIRPSYPLM >Dexi5A01G0024400.1:cds pep primary_assembly:Fonio_CM05836:5A:28257627:28262737:-1 gene:Dexi5A01G0024400 transcript:Dexi5A01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEPFVSHQLAMVVESLPPLSAILFTVAALAIGAFTVYFYGPSWRVRRVPGPLALPLIGHLPLFAKHGPEVFIVLARKYGPIYRFHLGRQPLVMVASPELCREVGIKKFKSIPNRSMPTPIRSSPVHHKGLFFTRLDGLLNPELTGLPFLSCRDSRWQSMRNVLVSIYQPSHLASLIPVIQPYVERAGHLLHHGQEITFSDLTLKLFSDTIGQVAFGVDFGLTKDTTTASTPTTESVDNAATDFIQKHFYATTSLKMDLSGSLSIVLGQFIPFLQEPVRQLLMRVPGTADQRMEETNMAMSGLLDNIVAERAAQSDRGEKNFLSVLLNARESSEAMKKLFTPDYVSALTYEHLLAGSVTMSFTLSSLVYLVAMHPEVEVKLLREIDAFGPNEVVPDAEELQTKFPYVEQVLKETMRFFTVSPLIAREASEDVEIGGYVLPKGTWVWLAPGVLAKDPEQFPDPYVFRPERFDPENGECKRRHPYAFIPFGIGPRACIGQKFSMQQLKLVIIHLYRHYVFRHSPKMEVPLQFQFSIVVNFKHGVKLQVIDRKILCKQ >Dexi9B01G0023070.1:cds pep primary_assembly:Fonio_CM05836:9B:18083352:18084128:-1 gene:Dexi9B01G0023070 transcript:Dexi9B01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGRPRCSPPADRFLGLFSSPSPSLPSSSPSAGDELLEGDLLFPAPVPSSDPPPEPDASKNPGRVLRGHLGLLAALHEGDRKIPGRGGAAATVAVSAAATSGTAGTLLRRKATIAAAAAAAAAASASSVTTSRSPPSASRAIPVAPRARDPELPLVVPYHQSAPVQVPVPPPWSRGRKWDELAGGPGDGDDDEEELFRGDAAMLPPHEMVARASAGGGYGVPGKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFID >Dexi1B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:1B:4057967:4058770:1 gene:Dexi1B01G0004970 transcript:Dexi1B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKVILVALLVAAMASPLVLAYDPSPLQDFCVADKASNVFVNGQACKDPAMVSAGDFAFSGLQNAGDTANPFGSKVTLVDVKAVPGLNTLGVAMARLDLAAGGLNPPHTHPRATEILTVVEGEMYVGYLDTNGTLFAKVLHKGDVFVFPKGLVHFEFNFGDCPAFGIAGLSSQNPGLVRVADSLFGASPAITNEVLAKAFRIDAATVQRIKAQFSTKK >Dexi2B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:2B:30375108:30380328:1 gene:Dexi2B01G0020280 transcript:Dexi2B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSKFKLATALGIMLSMLSLLVHLFLANYSAGGITKGSMRMDDVLPFGPRPRPRRLWGPLSKLDHLHPFAKPSKPYAAPGKHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEDHFIDALSGDVAIVRGLPKDLREARKKIKFPTVSPRNSATPEYYITEVLPRLVKSKVIGVIVNGGNCLQSILPASLEEFQRLRCRVAFHALRLRPQIQALGSQIVGRLRASGRPYLAYHPGLLRDTLAFHGCAELFQVCMLRIVVFQYQDIHTELIQYRRNQMIKRGTVKEQLTIDSVSRKMAGLCPLMPEEVGLLLQALGYPPTTIIFLAGSETFGGQRMLIPLRAMFGNLVDRTSLCSQKELSDLVGPEDPLPSDLPHTPPPKSEKQLTEEWKRAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDASPIEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRTPKSPAVQPVKDSNGRFLFGGEEECPDWMARNLAMASAKNNEPQNEDYEDELPEDDSSPDTQQESYRSDANKSSEQDEEMDPDD >Dexi9B01G0024960.1:cds pep primary_assembly:Fonio_CM05836:9B:24139632:24143766:-1 gene:Dexi9B01G0024960 transcript:Dexi9B01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAEVTGSPTEKAILYWGLMIGMDFKDVRSKSSILHVLPFNSEKKRGGVAVQVSDAEVHIHWKGAAEILLASCGSWLSTDGSVQQMSSSKDPCRPGVRNAVQLCSIAGVKVRMVTGDNIETSKAIALECGTLDTKDVASEPYVIEGKVFREMSEVAREECADKIIADIGLSMGISGTEVSKESSDIIILDDDFTSVVKVVFSNLKSIRHSNKGLTCVRITMVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMMRHPVGRRHDPTSR >Dexi5A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:5A:5309372:5310550:-1 gene:Dexi5A01G0007180 transcript:Dexi5A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSMSPTAAAAAEDSSASSSRSSGVSTATTESGAAQLLPPSAASSPGAAAAASSSHQAAEEQAVTSSSSTPATATAVAQGSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEDAAARAYDVAALRYRGREAATNFPGAGSSAPELAFLAAHSKAEIVDMLRKHTYADELRQGLRRRANGMGAARAQPTPAWARALLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKHRPSSPETAGTGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSMYGSEKQLFIDCKKTTTTTKTATAGEAAQAPAEEEEKPKEAAARVVRLFGVDITGDGCQKRARPVAMAFEQQSQEFLKKQCVAAHHRSPALGAFLL >Dexi4A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:4A:23753319:23756743:-1 gene:Dexi4A01G0019970 transcript:Dexi4A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGAAAAAAARRHTTLPTSYAPAFSSFSGTGGGDGGFGRGRGLPPSGPPPRAPGRPISDDDRADPFSAAAPIGRGRGEFVSPSSPTIPSFSGVGRGRGSPLPPPPPSEDVPKQPAFINRFDDAPPRPYPAPPSPEASSSSSSGPPLPSALPFTGAGRGVPRMQQPPVGKPPEENRFIRRREEAKQAAAARPPSAPGPQQPKLSGEDAVKRALELLGGGRGGGRGRGRGDEDGGERGNRGRGFPNRGRVSGRGPRRDDRRSVDVDGREAVYLGDKADGERLEKKLGEEKMKILEQAFMEAADEALPHPMEDAYLDACHTNNMIEFEPEYHVNFANPDIDEKPPMYLEEMLQKVKPFIVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPENIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFTRIVSEQYK >Dexi9A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:9A:5974986:5975403:-1 gene:Dexi9A01G0009900 transcript:Dexi9A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMNFSIVAAVLLSGLLILGATEPSEAVCNLMCVQGVYMRCQNYEGNLPTCACKCKPDDGHGCVVYASNGTALEHCHRPC >Dexi5A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:5A:2990120:2990585:1 gene:Dexi5A01G0004000 transcript:Dexi5A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRVPVRCALAAVLFLAVTFNFAFAAYRARHSGRDLAFLALLWLRRRDLAAGGRVTERKWLRIAVWCVSAALANTFASRVADAMPRLELKLVVWGLTAVLLALGFYFIFFSKDAECCGDEDDVHVAGHYRPATAAHHLSPEEKV >Dexi5A01G0038120.1:cds pep primary_assembly:Fonio_CM05836:5A:39203208:39208071:-1 gene:Dexi5A01G0038120 transcript:Dexi5A01G0038120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLVEIHPRELQFTFEVKKQSSCAVHLVNKSNDYVAFKVKTTSPKRYCVRPNTGVILPRKTCEFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPAFFSKETGRYIAESKLRVVLVSASHSFEEEPINGVHDTEPAVEVPVLKEMPNIVNEVPAVAKEVLPPQEQAPAIASEIPSPVKEIPGLREIPVRLNEAPAALTEAPSVRKDSSAVAIEHASNVTIEHAPAVMIESPPPLKESIAVFKESPPLEKTPPKEAVMLSDRGLFSVQNHLLSHVTEDVQNLKSKLNNLESKLEEAEKMIIRLREESRSTTQERDKLQQETVFLRKKGAPRSQVGFPLLFVVYVALLGTSLGYLLRL >Dexi1B01G0028730.1:cds pep primary_assembly:Fonio_CM05836:1B:33212403:33214164:1 gene:Dexi1B01G0028730 transcript:Dexi1B01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTMTPPPTATVPLSPLLLLRLSSAAAAPRLRSPAATGAGAIRGVRWSGGAGRRCRAAVVEEAGAQEDGVLLPKEGEEATAAAVARYDWREEWYPLYLSKEVPDDAALPLTVFDRQLVLWRDGDGVLRCHEDRCPHRLAKLSEGQIVDGKLECLYHGWQFNGEGKCVNIPQLPEGARIPRSACARSYEVRDSQGVVWVWISDTTPPDDGKLPWFEPYAREGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQALFFDVTERTGRGFAGYWGRTRTPQLRNLLRFEAPCVLTNTLEYTDKDGKEQCFSAHFLCRPAGQGKSMLIVRFGSTVRSPIAKLLPPWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTMELYLNLRSSDTWVAEYRKWMDRAGHGMPYYFGHSSLAPPPVPAVVEQAPAGAVAGISASFPAKGGVGTVHAPNPTNRYFRHVVHCKGCRASVKKYTSLKNAFAVLAAAAVAASILAATRQWKAVLLAASAVLAAASYACDAVLSLITTNFIRTHRRL >Dexi9B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:9B:4231442:4234866:-1 gene:Dexi9B01G0007010 transcript:Dexi9B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEPEMATRRQELPAEVRIEWIRARVRERRKTARATNHRIPNKRLEREPRVGMALDDRRPWSASRGTDAPIRISRAPAGPWPMALLAKLPCVRQSEGVAPRRVMLKQWGTDAGARRGQGEDPTVDDVFYNTLSARPLFVDYARGVVIKLDAGDLAVVLVDDYFNDVDYINLDADDVTAVFADIDYINIVFYRIIIANITYDDLDVAVMMLIIAVMTARERREVAEGGATTEQVAGGCAQVGDYNWREPEEEV >Dexi9B01G0047270.1:cds pep primary_assembly:Fonio_CM05836:9B:46449738:46450771:1 gene:Dexi9B01G0047270 transcript:Dexi9B01G0047270.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDGDEAMAAPVTVYGPVISPAVARVAACLLEKDVPFQIEPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADRGNQSLLGKKEDGAVGRAAIEQWIESEGQSFNPPSLAIIFQLAFAPMMGRATDLAVVEQNEAKLTKVLDVYDQRLGESQYFAGDDFSLADLVHLPNADFLVNRTNKSGLITERKNLARWWDDVSARPAWKKVMDMQSAPRPS >Dexi5B01G0029760.1:cds pep primary_assembly:Fonio_CM05836:5B:30892733:30893779:-1 gene:Dexi5B01G0029760 transcript:Dexi5B01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKLKLLALPILLLLLCLFAAPPRVLQGFLEAPLRAPALPGDLLPLLPWPMAQPLLRRLALRGPADLLPSFVGAARAPEDGDAGRIAEWKGACFYENRAWGLDLPEIIVESEKRGITFDKLLTVPEKDNWVYEDGQSASCVAFVLMMYKEAGLFGPITSSVEVTEFTIKDAYTLNFFENNSTRLPKWCNKGDTVKLPFCQIKGRYRMELPGYNTMKPYAHMNEKCPSLPPDYKRTEGC >Dexi5A01G0022310.1:cds pep primary_assembly:Fonio_CM05836:5A:26365018:26366775:1 gene:Dexi5A01G0022310 transcript:Dexi5A01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTARSSASAPTASSSPLSSSSSAPPPPPRVAFPSLRRRDVLLLPAGLSLLLAPSAAAPAPASARGLFRMPPQRLANRYFLVRAGESVYEGQGLLRTNPVAKTSVDNGLSPVGLRQTARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANGVNRSRIVPEYSFLDARGLGAFEGKSLDTLPEVYASDNISPDLKPPPISDGTPNESVEDVFVRVTQLMSILETQYSGETVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPSSIPEYKQPTSTVFKCTNPPSCK >Dexi1B01G0021560.1:cds pep primary_assembly:Fonio_CM05836:1B:27438511:27440502:-1 gene:Dexi1B01G0021560 transcript:Dexi1B01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPLVRQCLALLLRSKKSSIPLLPATAAQLHALLLTSGHLHCDSDGLRLLFRSYCACGRPFDAHKLLAQMPQPPPVSFSNTLLRSYTDLGFHREALVLYSRTRAFDHLTFPFAAKACGGLRLRRYGRAVHCRALAAGFGGDAYVQNALVSMYTRCKEVDAAEAVFGAMRNRTVVSWNTVIAGCVKNGRAERALEVFEMMVAGGVGIDRATVLSVLPACGQAKDLRTGRAVHRLAEGRGLGNYVVVKNALIDMYGRCGSLEDAERVFEDDGYDKDVVSWTAMIGACVLNDRAGKALTIAYEMLLTGEVRPNAVTMAHLLSACASLSSGKRAKCTHALCIRLGLESDIVVETALVDSYAECGHMKMIEMIVEKGSQRPETWNAAISGYTRREQEKRAIELFKQMIAESVRPDDATMGSVLPAYAVLGDLVQAKNIHCFLLTLGFLGSAEISTSLINVYAKAGDLDVTWDLFQCLPEKDVVSWAIVIAGYGMHGHARTAIQLYDKMVELGVKPNNITMASLLYSCSHAGMIDEGLRLFDAMCNVHGLMPNAEHYSCLVDMLGRAGRIEEAYRLIENMPFKPTASVWGALLGASILHENVEFGEIAAKHLFELEPEKTGNYVLLGKVYAAADRWGDVQDLRRMMDERGLHKDPGFSAVVSKSELC >Dexi2A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:2A:2848508:2848744:1 gene:Dexi2A01G0003260 transcript:Dexi2A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITMEMACKPCLESGRSAMDLALDPNYDPDSPTNTTGGGASANEPLLADLSASSAPPAKPI >Dexi2B01G0024940.1:cds pep primary_assembly:Fonio_CM05836:2B:34182124:34184904:1 gene:Dexi2B01G0024940 transcript:Dexi2B01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTYRFVTGSDLERDLLAFLVLPVLLLRLLYAQLWITVSRYHTARSKHRIVNKGLDFDQVDRESNWDDPIILTALVLYGLQAALPMARGLPWWDSRGLAVLFLVHAGPVEILYYWFHRALHHHYLYLRYHSHHHASIVTEPITSVIHPFAEEVAYFAIFVIPLLVTMATGTASASVINAYILYIDSMNYLGHCNFELIPKWLFDAFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYLYGTLDASTDRLHDQALMRRRSKEEEEEHVDVVHLTHLTTPGSIFHQRLGFASLASAPLAVSSSTTAAALAWPLVALTSLLGTTTFRSEANRLRELNGYGELCITRKPNLKTKIVDGTSLVAAAVLHMIPEGANAVLLLANANDKMSYVLSLALCEREIRVWLVGDGLMDEEQGRAHEGVHFVPYSQFPPKIIREDCIYHNTPALLVPETFENLHACENWLPRRVMSAWRAAGIVHALEAWDEHECGGMVVTGVDKAWRAALAHGFRPYDR >Dexi9A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:9A:1602538:1604383:1 gene:Dexi9A01G0003040 transcript:Dexi9A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRGSIQQRTYLVYVAALTSPIKQRLNVTPVSSEVPTRNPNERTNAGPDLASTSSQSALPSCCLIPPWALSDAVHFFLVPLATGDADHRLIEAAATTRPTVYTNHRDARTPAACGLPDDSF >Dexi6B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:6B:4102250:4103933:1 gene:Dexi6B01G0004830 transcript:Dexi6B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPFLRLSVGQLGLKLPGGNASTSKAAATRLCDCEIRLGDFPVQTAQVPLIHSSEFIDPFTNAAVFSLDESDLKAMASPGSFFRRAPRSSSCLEVAVYLARFGARCGVAWRKRLVGVFRVELASSLEWREGKPVMLHHGWAGIGKGEARPELHLRVKMEADPRSGSEEKDMVEMARRERKGWKVAIHNLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRADTTGSSDSWHPWGRLEARRESSSSSPAPAPASSRDTVRLRLHLLRDGHDDCVLVSETPLSSEKGGEFSIDMDRETSPVMAAAAGHCAASLGVACAGGGFVMSCRVAGEARSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFPRKPNKKSTSPSPDHLDELDA >Dexi2B01G0026670.1:cds pep primary_assembly:Fonio_CM05836:2B:35785859:35787510:-1 gene:Dexi2B01G0026670 transcript:Dexi2B01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSADQEHRMQEHHHNHHHGHRRSTATGSPNGSTSSATRNPIIRRAHGMVRSLMPSCFVIHGGPPPLSPSPPAKVHHVWPGRNVFFLDGRVICSPDPRGLILSAMALLLSEWIFLTDVVDPSAPHRILISASSMILSATVSAYHRNLIATASLLLAATSDPGIIPRNPFSPSEEEGTSAVTRAPTRFVVVNGVEMRLKFCRTCKIDRPPRSSHCTVCDNCVDKFDHHCPLISQCIGLRNYRFYLLLLGSALTFYTFMFTFSVRRIRAKMKITNAGFFSLVRTLPEPLVLAAFSFMAICVIVCLLAFHVFLLAKNTTSHEMDRGRYHSSPNPYDKGALANIRECLFEELPPPRVDFRAAATEPNLGWVGGELSHSFS >Dexi1B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:1B:23331153:23333939:-1 gene:Dexi1B01G0016920 transcript:Dexi1B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLAWSEEGSAAASPPPASRPGLKPAGGITPAMFGAPVAEHEAEDLSKRKMCSGSKMKEMTSSGIFVAPGETDKPEAGSGAINPPNKTALRMYQQAVTGMSQITFSVEGNVSPKKPSSLPEVAKQRELSGTVETEANSKTAKQISESKSKELSGSDIFGPPPDVPARPLAARNLELRGNLDFALPQPRSIHTSVKVSNPAGGRSNIVFGEEPVVKTAKKIHDQKFYELTGNNIFKEDARSGSGEKALSTAKLREMSGNNIFADGKVASRDYFGGVRKPPGGGSSIALL >Dexi1B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:1B:15802649:15810310:-1 gene:Dexi1B01G0012550 transcript:Dexi1B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEANFDNILDASEEGHTAAKFYPKQRAKPRKTLQASRSAAPNPTIETGDGKLGILNQVNSSKERPSHERASLTYTGSETVDDITGSQGILDKPLEDALPGDTSASDTISQDDKHNAASSKLDIHQETLVISDIHMSPSSSCGKTIDDIVEFGEMVGAQAKEERVAKFQPKVQVKLAKAAKSQKINQKVEASAVAVATQNEMDDDIQTRFHYDQQQDPKCHGSVQTPDSEGLLAADSNDVGSLASLDSVLEESDQEETIAKFHPKLRLKAGMVSSKVVRTNDNITVATPMVRVCAENTDILTKPKDKETVTSPCTSPQDVHATVDFDNNNELINSPLDGTQLMAGEASGTLAFTDGTYLLHMTSLFFAFEMDTNYETRKSSEPDGNLSNLYQQTAEKEYNDNESLYREGTPSEHAIEQPTKSGVRSSMKLRCRKRSNKAETSKNKDDYVDEDCVEPSLGEEDNNIGDDYTAGTKRKVRKKSRVGVEESKQEKVQKDKSQVSSRGRKRTSKDALAEKPEKKLTHRIRQTRAKEVKTLLETHPDDINPMELSAAHLRLLQEARERVNAKENPSGPSSNTSSFQLNDMDDLDYRDEEARNFDNERIENHVQNVTKLNYHSYMNKQSRGKWSKSDTDMFYKGLRQFGSDFAMIQQLLPDKTRHQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKQLNIEDVAVQEVNNTHKEDGTSSEQGPKKENALDDFIQEEEYDSNWLDEEHGVQKPDVQEELASGNHDDDDLGDVFDWY >Dexi5B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:5B:7557002:7560511:-1 gene:Dexi5B01G0010740 transcript:Dexi5B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQRRPAATHLGGITSRRHAELLLHSGAGGVSVKDLRLHRVVPPAAGSLDTSPECAPPVKPGSVESTPPEAASAAAAAEDLNRKPVLPRSKLVRDPGSFGYRRLLPFLNEMAKNGSSIGKEGPSDNAAAHSKNELSRPDSRFVNEPLGDSLRESDAMDSVELTVLKTGGEPEVKDGNNNITEETNTVPNDLASSKLWLSRCTRSRLVHHSSSFSYKRMLPYLMENEISSQECERVKIRKVTEERLLASDENVVSASGQHAVSANSSQECNRAQVERMEEEEPPKADEKRVLDDRQPQLAVTKASPSEFNAAEAQNVLQQEDLPSNQNSLTSSEGDLTSDGDDVQASSQHQIVVSVDSPEECNGDEVKRTIQVERVKSDGRHVLDGREFQCCISEVSPKSSRTEVQMVTQEPLPLDGDEENSNKVVQLAHEQLQPCVTNESLSAQLQDNAEFTEVLQCQNKDSGCHDVGFVSPTKTVIPLLHRHCAQAPEDSVASHDDQFLDSDIQMICRPSDPCAVDRSLSVEEMSGCKLTEPGCKGGISQPRRTHSMEKRGLSPQKLSPQKLSPKKGILKRHTRGCKGICMCLDCCTFRLRADRAFEFSRKQMQEADDVINNLLKEVANLRSLVENPAGQVSTITCISPFVSFPYHEFVKILKFLYLFGIAGVDTSSLQTGLSGGRCGQRTLPADVHGPKFPLQNPWTEGKLHPVCGGKEDGTISTPQQPEVTFHPKVRRGPVGS >Dexi9B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:9B:11350352:11352807:-1 gene:Dexi9B01G0016500 transcript:Dexi9B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTGVSSTSFSNEWQVVAEACGNGYRPELQVTQTSVTVWTTTSISRSSAQDRRTYSLTSSGTRGFQGARPTGLDCRADDACLDWSTSNAVRPLEHVDHMARTSPGIGRGRSHDPRGRKDLTGGRGCMGGRRDPRRRASKYRLKPRTITRTHHSIRPPAIRIPALAELLERLGVWCSPISAISLSGTTAGHVGRFDPRGAVPGRFGRATPSRRGAGAAVAASRECMCRASDAKNGAGTGARAETPTKQLSLGAGGACPSRSLARSLPTLEREEERETRARFCSSLSSPITPTSGPRKRRAGEKGSSSSSSRRYAAAAGADVGGGGEDGAGGGRARRALLLHATPRAQDLAVPSSPRSASIRSD >Dexi5B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:5B:12864505:12864903:1 gene:Dexi5B01G0015630 transcript:Dexi5B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQQARSFPKGCCRSTLHPLTSTTPLVKAWKLSDGTYVDSFVAHDEPVSAKDSADGTVKGRQVYGGPCDYEAATLYGGQLRHLNAGRRPTATMSADVEDDGRPVRGGGVVGGPAAATTLGRDSCRGGGGRW >DexiUA01G0027280.1:cds pep primary_assembly:Fonio_CM05836:UA:58410974:58411978:1 gene:DexiUA01G0027280 transcript:DexiUA01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEDLNEQRRLRWLQTAKELDESSEDSCETEEAKAEFRKYKAEFASWYRKLANTKPEDVVLNHIPPSDTQEEHLSAEDMEMEARRSGWPVCPQAKHFAKLALDHYNSTKKMHKFKMATVLLSKCFLRRMAQLLLT >Dexi9B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:9B:5457267:5462030:1 gene:Dexi9B01G0008890 transcript:Dexi9B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGAEGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEVLLRDPSDENVIPVVEELRRRLAPSSASQHDGRENLSGQQDVEGADEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASAATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYSWNDGHGYIFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >Dexi3A01G0034920.1:cds pep primary_assembly:Fonio_CM05836:3A:40185329:40188624:-1 gene:Dexi3A01G0034920 transcript:Dexi3A01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSRAAAGRIGWAARAAQHGCGAPAMALGAFGIAARCNATSSSSSAVSEAAGVHALPRTEPVVSAEWLRANLNDPDVKWRASGYDVESSASGDAILKASAAGEAIEKVYQGQPC >Dexi4A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:4A:11887430:11888829:-1 gene:Dexi4A01G0013000 transcript:Dexi4A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPVIVVSSREGAEEVLKTHDAIFCSRPQMETIRSVRRRGSDIAFAPYGNEWRQLRKICILELLSRKRVQSFRTIQEEEVTRLVQAISSASTSLVNVSKLFSAYTNDVIVSSIMGDRLIDRDTLLSYITKAHQYTQTPTMADLFPSSRLACALSHKMGKMDMYIDSLFEFMGSIISARRPDKKTQRDEANQEDITSVLLRIQKEGNLQFTLTLGTIKALLFDFLVAGTDTVSTIIDWAMAELMRNPLVMSRAQSEVRRAFMEQMKVTEEGLKKLSYLHWVIKETLRLYAPGPLLIPRESQETCRVMGYDVPKGTIVLVNAWAISRDPEYWDEPETFKPERFESDTRDFRGHDFEFTPFGAGRRMCPGMSFALASVELPLANLLFHFDWNLPDVVDANELDMVEAMGFMCRRKAELWLKPIVRVPFSSEN >Dexi9B01G0033630.1:cds pep primary_assembly:Fonio_CM05836:9B:35767467:35774411:-1 gene:Dexi9B01G0033630 transcript:Dexi9B01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFWLLLATLATSLVYYLGSLRRRRQVSPRLPPGPRPLPVIGNALHLRRGQLHHALARLARTHGPVMRLQLGPIPAVVISSRDAAMEAFTKHDRRLTGRPTLDAIRALGWADRSVINSPSSDPLWRAQRGILAAHAFSPRSLAAARGVHERKVRDMVGYLRARSGKEVDVGRALYGGVINLVSSSFFSTDVVDMGDAAGESAHGIRELVENISHLVTKPNISDLVPFLRPFDLQGLRRAAARYLGEIFGIVDGIIERRLAENTSSGSGDKKHGDFLQVLIDLMSTGDIDRDTAKALVFELFLAGGETITVTVEWAMAELLRNPRVMAKLHAEINGALGGKGVIEEPDVARLPYLQAVVKEAMRLHAAAPLLVPHRAVEDGVEICGYAVPKGTTVFVNVWAIMRDPSLWDKPEEFVPERFMGRAAGMDYKGNHFEFIPLGSGRRQCPGLPVAECVVPTCWHRCCAVGINSVENNHDLRRRQPPSSIAPDIFSVAVASTTSKWPPAKAAPRKMEHGQVWLLCATLAVSLLYYLSSFRRRQAGSGRRLPPGPRPLPVIGNALDLRGNLHHTLARLARTYGPVMSLQLGPVPAVAISSRDAAMEAFTKHDRRLAGRHTVDAVRALGWADLSVVNMPSSDPLWKLQRGILAAHVFSPRSLAAARGVRERKVRELAGYFRARAGKEVDVGRGLYGGLVNLVSSAFFSVDVVDMEATTSESAHGIREHVENIAHLITKANISDLFPFLRPLDLQGLRRAAARHLGEIHRIVDGIIERRVAEDAAASGDGCSQHDDFLQVLLDLMSTGKIDRDTVKAIVFEIFLTGAITTTVTVEWAMAELLRNPSTMAKLRAEISGALGGKETIDEADVAGLPYLQAVVKEALRLHPAAPLMVPHKAIDDSVEVCGYAVPKGCTVFINVWAIMRDPALWDSPEEFLPERFLGKAAEVDFKGKDFEFIPFGYGRRQCPGIPLAERVVPHLLASLLHAFEWRLPEGMSAEQLNVSERFTTGNVLAVPLWAVPTPTVVAT >Dexi3A01G0034450.1:cds pep primary_assembly:Fonio_CM05836:3A:39610589:39614507:1 gene:Dexi3A01G0034450 transcript:Dexi3A01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKPSDSDVALRAAIGDDLRPLKSNQPPSLPLSSRMNEPSLQMAAKVDLREAKDDICRNALHLAALKGHLEVCKFLVEELGLDVNSTMVSNAKSPVHFAAAGGNKRVLGYLLDHGGDAGVPDSKGSTPLHDAAEQGHCEAIRLLLSKGVDVDRVNYRGTPLHLAASMGKDQALKILLEHGADPNKVVNHVFSPLMVACCEHSLKCVKLLVEYGKIPIMDAAVNGQRELVEILFPVTRPIPSVPDWSVDGIIRGIKHLHFEAQDAALVGEQIADAKSQGKEAFAKGEYISAIFFYSRAMEKDPFNATLLANRSLCWLRQGERDRALLDAQQCTTLCPHWSKAWYREGAALSSLKDYKGAVDAFMRALKLDPASDEIRKALRQMLFVPFYFWETIDATKSDAARTKQGDS >Dexi9B01G0022100.1:cds pep primary_assembly:Fonio_CM05836:9B:16754665:16757401:-1 gene:Dexi9B01G0022100 transcript:Dexi9B01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVLLARVLPDAADTAFQIHCLERSAYAAVLRAFYAQSDLLSRAKEGCLTELRNELKILESEHRQCLGKARSNKQINSVRYLLFACMFLQLQVKLLTKVRNELRISHIEHKEVLMKASSNEYIKSLRKFSLANLSVLTKTNPSFAVCPVGHDKINSTGHASTSSTSCLSISHQSPISEHSMSGTRDIGISDSLNGSKEGPYFEPHAMVSAKRLKSVNGHAQAYLKCALSDQLPVAVSAVMVKGRTDNALDSETISCEVKSGCTSSPIFEEKHSESNVGQVPSSVEARQESGKRKANQMGLSTSLGQRRRNKDSDLEYDSEIINLCLTANLVHK >Dexi3B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:3B:1164088:1165583:-1 gene:Dexi3B01G0001650 transcript:Dexi3B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKSPPPVSSPPSSSSSSTISMEEIIPLPPRNKRRAKAGGAPDKPKRPRKDRQSKEDPNATAASAAGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTTVQNKKKGRQGSCSCI >Dexi2A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:2A:26288216:26296014:-1 gene:Dexi2A01G0015380 transcript:Dexi2A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGSLRREGSAWSSASNNLFSLSSRGDGGAEDDEEALRSDMFVTIIKQGIANALHITPSRKKKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLEVTGSITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETVNFSARCQGIGHRYDLLVELSRREKEASIVPDPETDIYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPRENVLEFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWKHGDETYRYVPVKEFAEAFQSFHIGEAIRNELAVPFDKSTSHPAALKTSKYGASMKELLKANIDREILLLKRNSFVYIFKAVQLTLMAIIAMTVFLRTNMHRDSMENGRIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSVPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGLARHQVVASTLGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKVLPNSTEPLGKQILESRGLFPEAKWYWIGVSALFGYVLLFNILYTVCLTFLKREYKTTFHIKRELKICAVDESNDEAGSNNATLNSIPVNKGMVLPFVPLSISFEDIRYSVDMPEEIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNITVYESLVFSAWLRLPADVDSSTRKMFIDEVMELVELIPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIKYFEAIEGVSKIKDGYNPSTWMLEVTSTMQEQITGVNFTEVYKNSELHRCVAIELPYILVQALIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMMVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTVSKLATL >Dexi6B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:6B:21445804:21449285:-1 gene:Dexi6B01G0013680 transcript:Dexi6B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSESMKLLALGLVLLGYLQDFVTCDYQELALKKLSSKGLVGVLSPSIAKLTTLQQLLLDGNTISGTIPDVLGDLSSLTTLNLGRNNFNGPIPDSLGRLQKLQNLDLSENLLTGGIPTSLSNLSSLNEINLSDNNLKGEIPEQLLQVVQYNYTGNHLNCSRDTTTPCEKGINKAGTSGYMAPEYASEGLFSTKSDVFSFGVLVLEIITGKRNSGFRKHGGFLNLLGYVRMSYIL >Dexi5B01G0023490.1:cds pep primary_assembly:Fonio_CM05836:5B:25638148:25639850:1 gene:Dexi5B01G0023490 transcript:Dexi5B01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLNSSASGDGSNNAPPPPPPSSGNNKRKRSLPGNPDPDAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGAGKEAQRRKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYRCDCGTVFSRRDSFITHRAFCDALTEESAKAIGLNNAMATAPAQPQHHPLLFSPPQVMQQQVQDLDALQQQEHHHHQEVMQQQQYAMKTEMPPWPPMTYDHPLLQPLCNAATAAQSSATSAPPPQLTAASAHLSATALLQKAAQMGASISSATGAAAHMAGLATSATFGLGLPAGLNAQQQANGGGMGGLARSASSHGRSGGEDGGGGGGGGGGGDGMTRDFLGLRALSHRDILGLAGFDSSCMAAVTANTNMSCYEPNQYAQAQAQQQQNSNEPRHGMGSHS >Dexi2A01G0034540.1:cds pep primary_assembly:Fonio_CM05836:2A:44471355:44472140:1 gene:Dexi2A01G0034540 transcript:Dexi2A01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRNASGHADFTRSACAARCSGRAAAAPPRARIAPRRSSLLRREKGGKPPRLLAWLPPAALTDSQGAYWPSDRLVRDLTDPEVPPRRPWGRKPRRTGSRRSIHARLASLLHQPDAENWATPRRPRPRPRRRRGRHGRMRSSEAMELLGFPPYSRPSPSEVKAAYRRMVMESHPDRVPTHLKSQAESKFKEVR >Dexi5A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:5A:566186:567722:-1 gene:Dexi5A01G0000820 transcript:Dexi5A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPEPPAWMAAAARNWLEDAGATAEGGAGQSFNALPLSGVRVSLAERGRAVCSLRVPPHLTDAEGNWHTGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKLHEEVEMDGRVVEHKGRMTAVTVEIRKKESGELVAIGRQWMTASRPRGSKL >Dexi1A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:1A:25731596:25733337:-1 gene:Dexi1A01G0018570 transcript:Dexi1A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKNLSQDTVQISGHAALQNVYYDKDVVEIKLADTVDSDNCGGNFVKDVCVDEGALPHWKISEEKPVDKIDPNSIRYGKKDDYKKSVHAVKPEAVVPVDFAPDCDNEERHSSGKEYDLEDHITTVYTSGDPGEKKISLQELLRLESAEESRHASTMNSKSSEKQKCPLHEEVGQWQILPCVHFIKALLFLQTSKDGFPDVQATTNTSDCSGTMSEHHDAASTLDLRELHKIDRYNPFIDHRLEDKSEPECSVPGTTDASSTNSMCTVDNMVCGYKGLDEVETGPRTDVMSTSSSDSQQSGKSDDHSESIDSKVVTGAVGETAVATSSSSNTEPSDANMENHEKCMSAGVADQIDQEHAVCTEDSVSKGTALAQDHSVVEQMAPESSRSTALIGIGNGGDNPTELNFGPSIMSGPVSMSGHIAYSGNVSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRRTRRRHAWRKGLICCKF >Dexi9B01G0025610.1:cds pep primary_assembly:Fonio_CM05836:9B:26659422:26662957:-1 gene:Dexi9B01G0025610 transcript:Dexi9B01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPAQAPRKWEGLVDEALEREVLGACLDEAPERRRVREKFKDVQLNIDHCLFKSYERNSRGVEIFSKCWFPKNNRLKAIVCLCHGYGDTCTFFLDGVAKKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKVKGNPEHRGLPSFLFGQSMGGAVALKVSLPMIILHGEADLVTDPAVSKALYEKAKSQDKKLCLYKGAYHAILEGEPDDTIFQVLNDIISWLDQHSTKEQGSSS >Dexi2B01G0024750.1:cds pep primary_assembly:Fonio_CM05836:2B:34092941:34093459:-1 gene:Dexi2B01G0024750 transcript:Dexi2B01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSAANASTNELRRWNPELAHQRGRELLPNGRGNRDLDASSPGSHPTRASACRVLGSGDLAHGVACICTGCSTSPELAVVDPACPPHQRETSDASPGWHLLTRASESGGAATSARRVGERGAAISAQGVGVRGASATTSAQVVGETTASRGLWAEKVRHRGVDGSEKMGI >Dexi5A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:5A:2681198:2684633:-1 gene:Dexi5A01G0003550 transcript:Dexi5A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPISKTDLNFISLADPDIQKSVALLKQACLDSGFFYVVDHGISQDFMDEVFAQSKKFFDLPHNEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADNPEANRPFYGPNQWPTEDVLPKWREVMEQYHREALRVAKSVARIIALALDLDVDFFDRPEMLGEPIATLRLLHYEGQVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQIGNFVEPSHDCVVECLPTCKSETNPPMFAPITCSAYLSQRYKDTHADLNSYSSKA >Dexi5A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:5A:11848894:11852607:1 gene:Dexi5A01G0014700 transcript:Dexi5A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSKPCRPLLLLVAVLVVVLSCCCCCFCAEATAADEQSSSRKGAAGHGRRGMRRSRRQAAAVVAAPLMVPITVLKSAVDAGAVCMDGTPPAYHLDPGSGAGNNSWIVNLEGGGWCNNVRACQFRKTSRRGSSDLMEKEIPFGGIMSNSPADNPDFYNWNRVKIRYCDGASFAGEGFDKARQTFLYSLLPYHFSAENGFYFRGQRIWDATIRHLLSIGMASADQVLLTGCSAGGLAVILHCDEFQAFFPPATSGRGTTVKCLADAGLFLDTYCFFPQYVIDGIKTPIFLLNAAYDAWQQLELIDQIQESLAPNAADPSGAWRTCKSNRSACDASQMKFLQDFRDEMVATVRGFSGSRSNGLFINSCFAHCQSELPATWSNAAGGSPSIQNKGIAKSVGDWYFDRAEVKAVDCAYPCDGTCRHII >Dexi1A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:1A:9568197:9574250:1 gene:Dexi1A01G0010940 transcript:Dexi1A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADGTPPSSPPPGTGKCCSSSATLELVGAFTAVCLVLYGVILYMNYLYVRWSGRDGVHRTDYGAGMPARKRLPAGIDKAALAAMPVLRFKAGAHGGVAGDDGGGSPVECAVCLSAMQDGEAVRALPGCRHAFHVACVDAWLCKRATCPVCRARPALPPPQQAPKSGAKAAAVVGSSGWQPDLESQTAPAPLLLPRNDVAGLVGSAAAAASSIWKRSSAGEAELLLLRLQIHAGSELEQGAGEETALLGLLLLRCSSAREASLSTEQGRRQRCSASVVEEIESKPRGLLLLAMAGGGEDGGSRFDAVEHDSAGRFNVVELDSGADPLSVRWSSTRREEPVCRRLQCRFAVVVRRVASPSSSTEPAARSVALPPRAAPVMAILNLGGGGGDDKENAPPPPSAARGVAVKSHTAMKKKPGLGSRAMKKKPGLGSRATRRWRQPLRDITNLFVSVQCQSPATAELPLAGTETEVAVAETVRVDASATGGVTNLNQGRYSLVKGFRI >DexiUA01G0015000.1:cds pep primary_assembly:Fonio_CM05836:UA:31418969:31421133:-1 gene:DexiUA01G0015000 transcript:DexiUA01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKRLLRCFGCGGEEEQKQEAAAAPRPAVKRPGGGGKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKSATKNFSTTNFLGEGGFGPVYKGFVDGRLRPGLEPQHVAVKYLDLESEGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPKGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPDKLHRLMDPSLEMQYSARAAEKAAKVAHQCLQSVPKARPSMRDVVDALEPLLALDDDVPMGPFVFTVGGGDAETAPAAQADDEAEAGSRQGKRHVMSAVHAESPLKYANAVRRPESPPTLSRA >Dexi4A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:4A:5962251:5966056:-1 gene:Dexi4A01G0007940 transcript:Dexi4A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPESAPPGQARGVAVFFAWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKATSLAAGVISELVKASELKIKPLPTVLASFSGGSKGCMYKVIQLLDGRCEGDATMKDYRLVRNCICGQIYDSSPVDFTSDVGTQFLQKPAVGNSSQSFILRSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLIFCSEDDNLAPSHVICGFARRLIELGTDVKLMKWSDSQHVGHYNSHEAEYRTAVNDMLKKALITFCHRSQLVDSNMACDPEYKIAHSVCSLHNAAANSNESLRRVANSPSDHFFLPSSKDHDESREPGSLFEDQRRHLSHPPSMEPKGVLGQILFDVCVPNNVEGWDIKPTVSPNGRPAFASARQLGPFNPIRYFRRSRL >Dexi6B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:6B:5225897:5229982:1 gene:Dexi6B01G0005700 transcript:Dexi6B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSVSLTSSLLDPMAVNVDDFFKQAYLDKPRDTTKNKVGSTITLINAMELPGLNTLGISLARIDYAPFGENPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVANAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHN >Dexi2B01G0034670.1:cds pep primary_assembly:Fonio_CM05836:2B:41951226:41952743:1 gene:Dexi2B01G0034670 transcript:Dexi2B01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTADGLKALEAHLAGKTYVSGDAISKDDVKVFAAVPSKPGAEFPNAARWYETVSAALASRFPGKPVGVNLPGAGSAAPAAEAAKDDDDDDLDLFGDETEEDKKAADERAAAKASAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKMTIMLTIVDDLVSVDSLIEDHLTAEPINEYVQSCDIVAFNKI >Dexi3B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:3B:5902979:5903350:1 gene:Dexi3B01G0008390 transcript:Dexi3B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLVLSTDKMVNAIKGLFISCDIPMAQFIVNLNASMPASERFIVHMLDPTHMFVQPHVAEMIRSKIGEFRDQNSYEKPQ >Dexi1B01G0021160.1:cds pep primary_assembly:Fonio_CM05836:1B:27109701:27110552:1 gene:Dexi1B01G0021160 transcript:Dexi1B01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGIASHAQCLPVMEEELALSPASDASTTCTSSGPCSPAASASSASLDDSSAGVATAASSSSRKRPRCRELKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAALAIKGAARAAAHLNFPEMSHALPRAASAAPDDVRAAAALAAAMEMSSAAASSDDESSRGGAAGNDEDDEEDEAEPAASAASPSSSSGSVHDASSNAQDDEEKVTAPSSEHEHEALADGHVLDFAFLELPDVLLEFGFEFALPPTTPCCYDLSWDEPLLLWEH >Dexi9B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:9B:11655162:11655486:-1 gene:Dexi9B01G0016930 transcript:Dexi9B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPRLMSASPAMALLCGNPSNTPGVGSWRPRKPSQASVARRGGRGGGGSREGGRHSRCPSVPRRPGPFVSPAVIDDDDAVAAQLSPLLWPINFIL >Dexi6B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:6B:743563:744158:-1 gene:Dexi6B01G0000850 transcript:Dexi6B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVFVMRCDKVIRYARANLPPIMPNLETLELGSTFEVNTPMLPSKFLNLKHLIIQVNGETLSPSYDYFSLISFLDASPSLETWWLDVHQTHMEHESVFEGSSHLRQLPECHHDRLKSFEVIGFSSAKGLVELTCCIVKSAAT >Dexi2B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:2B:2878975:2880514:-1 gene:Dexi2B01G0003220 transcript:Dexi2B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCLRRRLCLGGTRTPPPPFVRRFTAREVEAATRGFTAVLDADAAGGARGTAYRARFAGGLVATVRRAGAGEDGGKAAFYLELQLLARLNHRHLVRLRGFADGHHARFLPLLFPRQLAMLSTDEFLTQEYLYYFCDPPVFHVSVNSGNVLMDSNFVAKVEQRRAGLVFEYGVLVLELVTGQSPGSDGELVRWVQEPGFAGTMNRMVDADLGGVYDARELRDLVIVARLCTRRSDDDDDVISIPQIVRYLQGKVERLGGEARC >Dexi5B01G0030170.1:cds pep primary_assembly:Fonio_CM05836:5B:31154593:31156808:-1 gene:Dexi5B01G0030170 transcript:Dexi5B01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAPPPAAGAASSRISSVLRLLSSSSSTGGSGGGDEWGASSIPSGGGGGDEWGSTWSTGLTKDHFDGSSPSVGRPVPVPSPSAPVSRELAAVRAMDEKDKLMRDLEQDNRQSKAYVDSWGNRMRDTCALLKQVREPGTRGSYLKDSEKQEMYRLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGQPLDDSIEILLDSCPEFFNSHDREFHVATLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLEFNKKKVAGEVKCHKYSRRKPDDGWSYMVEKLGAQGKRGAGGGWKFISLPDGSSRPLNDMEKMYVKRETPRRRRRIIAPYK >Dexi9A01G0014390.2:cds pep primary_assembly:Fonio_CM05836:9A:9506570:9507120:1 gene:Dexi9A01G0014390 transcript:Dexi9A01G0014390.2 gene_biotype:protein_coding transcript_biotype:protein_coding GRVLLASVITGYEQNGLAMEAMEFFARNVVGQGVVLSQNSRYDLAPVNAVLGFYVKIGDVMAARRLFEGMANRDVVTWSTMIKGYVQSGAAHEALKVYREMVQVGVQPNSVTLVNVLQACALAVLWSSMIVGYGTHGLGTEAVALYQRMIATSISRTV >Dexi9A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:9A:9502595:9506695:1 gene:Dexi9A01G0014390 transcript:Dexi9A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMARTTPCCCWRAVVERSTPAAAPPLHPAAAAPPSRRRAAPRRRAAQPPPQNPNPIPFVRRRHVVLPPEVAKLLPKNRLLSENGLAMEAMEFFARNVVGQGVVLSQSRL >Dexi9A01G0035380.1:cds pep primary_assembly:Fonio_CM05836:9A:40077616:40079910:-1 gene:Dexi9A01G0035380 transcript:Dexi9A01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNKVQVNLRRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKLSEYSEKIEALAARLAAPVPENEKPVVECTKEEVSDEIAKVESPVSLSSGLRRRSSAHQERKGDVGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTERAVEHSLASTGRATSRAAEVYSLTSKTTCFQWLLIFVMTCMFVMVVLLIRIT >Dexi6B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:6B:8683763:8686894:1 gene:Dexi6B01G0007450 transcript:Dexi6B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQQQQEHVLPTMVAAGMGMAAPACTVRTKLLLCAALGFALGVVATAFLLVTSDYSYTANSSPPHGATLAGLFLPPSPSAAVHRDMQPPATHPPPPVPILLPAAIHPRSSSEPPPASISAATPPPAAATGLASGGGGVINSTVIGDEDDDDELMAMAATAPREVPAGVVPKVAFLFLTRWDLPMAPLWEKFFDGHRGLYSVFVHTDPAFNGSEPPETSAFYRRRIPSKVRPAILLHFLHYASSAFIQQKNPLEVKWGQISMVEAERRLLAHALLDDHSNARFVLLSESHVPLFDFPTVHSYLTNSTQVYLESYDQPGATGRGRYNRRMSPIVTASQWRKGSQWFELDRRLAADVVADDVYFPAFKRFCKRNCYADEHYLPTFLNIRRPSGGANRSVTWVDWSRGGPHPARFTRMEVTVDFLRWLRGGSTCTYNGRTTDVCFLFARKFLPNSLTRFLRFAPKVMGFG >DexiUA01G0014170.1:cds pep primary_assembly:Fonio_CM05836:UA:30036333:30037070:1 gene:DexiUA01G0014170 transcript:DexiUA01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRAIEVVEPVAEGADGADDERRGHEELRDARGGVEREGDAGALGERDNTTHCGGAIDGVSFPRIGMSFPPIEGGEGKLRVGIRQRGRGKFGGLWKLEAETPVRLHNTGGRDSPRPTRAERPISSKKQQQAPHDLERPGKRSAH >Dexi9B01G0045250.1:cds pep primary_assembly:Fonio_CM05836:9B:44800592:44807033:1 gene:Dexi9B01G0045250 transcript:Dexi9B01G0045250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSGTQRRQPALWRRCRSLRQIKQVHALMVLQGFLSDPSALRELIFASAVAVRGGIAYARLVFDRIPNPDQFMYNTLIRGAAHSATPRDAVSIYARMARHRGGVRPDKLTFPFVLRACAAMDAWSTGAQVHARVVKVGCQSDSFVRNALIGMHASCGDLGVASALFESGARGDAVAWSAMISGCARRGDIAAARELFDESPVKDLVSWNVMITAYAKRGEMAMARELFDRVPERDVVSWNAMISGYVRCGSHRHAVELFEQMQCMGEKPDTVTVLSLLSACADSGDLDIGRRLHSYLTERFSRTGLTTVLRNALIDISMMSMASALTNHWVAACSSCRGYTSNRSDGCFKVLSCNHKGKHFLPTEKVASTAWQITRAAPKDPKKGIDTKWWEKDMKSNMKNIRSQEDFDEQLPMAGDKFTVVHFFSPSCGACKALHSKIHKFKDALKRHGVQTLSLATDKGSEEYEPKGLAPPTDIPNASDASPNMDGDDGPVVEPNND >Dexi7B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:7B:24973718:24977580:1 gene:Dexi7B01G0019500 transcript:Dexi7B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEELIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIAGSILEAVDEAGNKERVWVRILAAEHEFREKLWSKFDASTGRTVSVNEKTRIVVPEDRYGLYAIDTLDPDMIIGDETVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSKKLESVYTASGGKKINLITHSMGGLLVKCFVSLHSDVFEKYVKSWIAIAAPFQALLNGNFYLTKQPATGAPGYITTSLLNGMSFVEGWESKFFISKWCMQQLLLECPSIYELLANPNFQWKDIPLLQIWRENLDSNGEKSALLESYEPAEAIKMIEKALSSNEIIADGVHIAVPLNLDILTWAKETHDILSSAKLPESVEFYNIYGTDYDTPHTVCYGSKLHPVSNLSNLLYAQGDYVYVDGDGSVPTESAKADGFNAVARVGVAADHRGIVCNHYVFRIVQHWLHAGEPDPFYNPLNDYVILPTSYEVEKHHEKCGDLTSVSEDWEIISPSDDKTMRPAELPPMVSTLSASREGKEGTLEEAKATVVVHPENKGRQHVEVRAVGVSHGG >Dexi3A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:3A:2167428:2170754:-1 gene:Dexi3A01G0003340 transcript:Dexi3A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPANLASNASRASASSSLRYLANADSDVLPGSGSPEHSAGSTGSRRLQEQREQEGAGGSEEEEEEERWSFLALLFELLRKSLLGCRTVGGGGEGERGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKMADPLTALMYAVQVMNFLKMLVQKTLKDREVSNPEDVLLPQKDGSDENGHQKPSVTLDSLLEEGSRRPSFAKEEPLLNSPAHSTGDTPNETNTTEGVTAALTAQESEVLTTIEDSTSCSQPAIASSAAIADASGATATNSLQGKGIRSPNRRRNRKGKGQSGTRTTPAAEKKRGVSIVSRINSKVERIEAWR >Dexi5B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:5B:4488489:4489275:-1 gene:Dexi5B01G0006680 transcript:Dexi5B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWILFSLLVLPVGAAVKLVGKDAMAGSIGNLYRSVENLECTYVQPGAAKDALLRPVVLSSPDTSSLLRLPSPCDGGQLRSSRTLMQLYRCTSIFNSSCRAYITDAYGKACPTCGNRMAAAAQYLPAAGGEMMDATGFVKGVVTYTVMDDLTVMPMSAISSFSLLNAFAVTDLAALQEKTVQLGYSKGLEILRASLQSKTVLTDVFLGRKGSAGDA >Dexi3A01G0032200.1:cds pep primary_assembly:Fonio_CM05836:3A:36971547:36972193:-1 gene:Dexi3A01G0032200 transcript:Dexi3A01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLGEPSPRQPRRSPCRYGRNVRRRISSASARRASYRRACADDLALPRPRDGAGEGFLLGAGRGVDGLEDKGGCLPLSDLLRWRRSFKNPHLRFLTRKTTRRTQSRRTTTTATPMGPPHEPLPRRSSPPEQDMTTATASRCGTGRRSGTGGAPTGSGNGRARPPALPSPPPPAAAAPDGMLGRLR >Dexi9B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:9B:181680:184499:1 gene:Dexi9B01G0000250 transcript:Dexi9B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTTDSAFLLGSSAALHGRRSRRASAARLPGPARRRPQAVVRASAKDIAFDQSSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGASLIREVASKTNDSAGDGTTTASVLAREIIKLGMLSVTSGANPVSVKKGIDKTVQKLVEELEKKSRPVKGGGDIKAVAAISAGNDEIVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIITGAEYQSKDLGLLVENTTVEQLGIARKVTISSSSTTIIADAASKEDIQARIAQLKRELSQTDSTYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTFVPAIKEKFDDPEERLGADIIQKP >DexiUA01G0018160.1:cds pep primary_assembly:Fonio_CM05836:UA:38741161:38742192:1 gene:DexiUA01G0018160 transcript:DexiUA01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKELEDVKASVERAKDEAKCLRVAAASMRDVLEKEKAELAVVRRREGLSSASIHSLREELSRAASELAVAEAAAKADSGEGSKMAEQVGEARREAEEAKAKARSAREAVAKAREEAGVAKAAVATVEARLEAVAREILAATSSEEMAKATAAALVQQDGKPSKKSSSQQSNKAAADGGVTLTMEEYSELSRRARETEEVAGKRVMEAVKLIKEAKDAEVRSLEKLAKAGRQTEQRRQALEASTLEAEEAEFERMSAERELRQWHADHHGSPRAGLAEISVLGDRTAGGNNPHILSPRGGYMPRTTDLMMAAADADAAARQRKTTFFPRMVMFLARRRAQAWK >Dexi3B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:3B:9910690:9913368:1 gene:Dexi3B01G0013800 transcript:Dexi3B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPGGCSTSSGRGGSGGGRPSGGDAKDLPQLLLRVGAAVTLSVAGLLFSRRQRPPRQLLLPPPHPPSGSDDAPSMKARTGLKELRILKNEDTRAKIISGNSVHTTTTTTTTTTAALVPLAPKCRNVADDEGFLLPEFNEMVLKEFGRDIDSITTTPAARVKEDVSNDHEIHKLRDLVRSLQEREKTLELQLLECFGLQEQDAAVRELENQLKINSVESKLYLLKIESLQSENQRLQTQLSENSKIISELEATRARCKLLKKKLALDEEQAKEKITSLQKMVDSLQHKEIDDQSNHIEIEKKLKRLEELEKEATELRAVNVRLQQENAHLIRRLELTRLPPVPKPKNNMEVKALEDADRLKQENEKLAKEVEQLQSDRFADVEELVYLKWINACLRYELRNKDAPSGKTVARDLSKTLSPKSELKAKQLIMEYANVGAEDSHFSHVEFGSECASSRASSGELDDISIDIASMTKQKNPKKKKFFSKLRKLVLGKGKEDREVSTLERRVSISSCSFDDFNGRDSHDSYASFMTEPNISDSQRHGDQGFGMHSSLYSVKSCPIGTETGNERSDHSGIKSLSSREERVNAFGHSARLDSGNVIPEDAEIHKFADALITSRSSSMSSRRSSSFRH >Dexi1B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:1B:4527154:4529854:-1 gene:Dexi1B01G0005510 transcript:Dexi1B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATGVVRVEKVRGRSALTRYFARYPLKLIAPSKVGPAACDAVWLYALTYGGGIVSGDTVSCTVTVGDGCTAAITTQASTKVYKAVGSKCSQQLLEARVGEEAMLAVIPDPITCFSAARYHQKQVFQVSSNSNLVLVDWFTSGRYENGEKWDFSFYKSVNHIFLGDQPLFIDSVLLEQGSNCSIAKQMQEYNVIAMVVLLGPKLKHIQEQMQDEVRKLMSGQLRPPTSGGSLYTMRTQHPQRSQMPPLVASCSPFGRTGTSMVARVAAVSTELVYSFLRHHLAALQPFPGASPYAAS >Dexi2B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:2B:29240691:29242123:1 gene:Dexi2B01G0019040 transcript:Dexi2B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVLSQSSQQQGSLFVGCGAMAGVPWNGLFGVEEAGVVELSGTGGCWESATPTRASSMLLQGFQEPAGNILTAVEPPPVESGGRVGQEATASATPPVGRRKRRRARAVKNKEEVESQRMTHIAVERNRRKQMNDYLAVLRSLMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEARRRRSSQRPSPGVNGDDDYAAPFTGFFTFPQYSMRVAATDTAPEHAPLAAAADATHREGDEDASGSKPSAVADVEATMVESHANLRVLSRRRPRQLLRLVVGLQGHRLTVLHLNMSSSGQMVMYSFSLKVEDDCQLTSVDEIAAAAHQILETTHREQQGRRLE >Dexi9B01G0041200.1:cds pep primary_assembly:Fonio_CM05836:9B:41641942:41647659:1 gene:Dexi9B01G0041200 transcript:Dexi9B01G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCLLRAAAAPRLLLHRTAASRQLTSPLTVLRKGLSDQSVLPITDSIESFQGPSVQNTPRIPLYDDSISSGILDTSSNPTEGVARGDPSNGRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLEFIPSHAAVVFDHDGMTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVMALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLKSVDEVEDERIKQDDGTKFIKLLRALEAYAEGSSADPIIRRATYLWNKLKS >Dexi1B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:1B:22681664:22683073:-1 gene:Dexi1B01G0016100 transcript:Dexi1B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGILGVLPVASRAPLRVLFTRQLVAATRWLHGGGVHDDDPKEEDEAVPYADVPRPGRRWERKPYVTPMKVLIRRAKEERQARGENPCRVLEHPPDNGLLVPHLVEVAHRVHAARERLLDGLTRLVEGENAIPVKRCRFCSEVHVGRVGHEIRTCEGRNSGARNSLHVWRPGTVRDVVGFPYCYHLFDRVGKPRVVHKEKYDVPRLPAILELCIQAGVDVERYPTKRRTRPVYSIEGRITDFEPDGAGDSEPGTLPEPPACSPLPSPAVPATICYASTNASDEQQQEEITVPELAARTLQAWLDMRSGAARLMKKYSVHTCGYCPEVQVGPKGHKVRMCKATKHQQRDGQHAWQEATVDDLVRPGYVWHVVADTGGEESPPLANELKRYYGKAPAVVELCVQAGAPVPAAYRSMMRLDVVPPARDEYDLVA >Dexi5B01G0022270.1:cds pep primary_assembly:Fonio_CM05836:5B:24498680:24506297:1 gene:Dexi5B01G0022270 transcript:Dexi5B01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLAGTAHEGRRCHDSRAASRREMENVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGMDSCYDIFRMAVEKYPNNRMLGHREIVDGKAGAYVWKTYKEVFDLASKIGNSIRSCGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLLKTLPKSNEFLKTIVSFGKVTQEQKEEASKYGLSVYSWDEFLSLAAGQEFDLPVKEKTDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNCVNEELAESDVYMSYLPLAHIFDRVVEELFIFHGASIGFWRGDVKLLVEDIGVLKPTIFCAVPRVLDRIFSGLQAKISSGGFIKSTLFNLAYKFKQYRMMSGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVIQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDVRLESVPEMDYDALASTARGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWIYGNSFESFLVAVVNPNKEALESWAATNGITGDFESLCQNPKVKEYILGELSRIGKEKKLKGFEFIKDVHLESVPFDMDRDLITPTYKKKRPQLLKYYQGVIDNMYKSAK >Dexi5A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:5A:9220462:9223271:1 gene:Dexi5A01G0012270 transcript:Dexi5A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRDDADCTAALLCGDTRKQEELHQQESRDLWRRVWEESKKLWEIVGPAIFTRTATYSLNVIMQAFAGHLGDLDLASVSFACTVLAGFNYGLMLGMASALETLCGQAYGAKKHHMMGVYMQRSWIVLLVFAVLLTPMYLFAEDLLLLTGQPPELAAMAGQVSVWFIPLHFSLPILFPMQRFLQCQRKNLVNAVAAAAALCIHLFVSWLFGSKLRFGLVGVALTLGFSWWAITAMLFVYVTCGGCPETSHGFTVEAFAGLGEFVRLSAASGVMLCLENWYYRILILLTGNLKNAAVAVDALSIWVRVANELGAGNGKGAKFAATVSSTTSLVIGLFFWVLIIGLHDKIALIFTTSAAVLDAVDKLWLLLAFTILLNSIQPVLSGVAVGSGWQATVAYVNIGSYYIIGIPLGVLLGWLFNLGVLGIWAGMIGGTAVQTLILAAITARCDWEKEAMIASTRMDKLSSQVR >Dexi2A01G0025410.1:cds pep primary_assembly:Fonio_CM05836:2A:37045795:37048619:-1 gene:Dexi2A01G0025410 transcript:Dexi2A01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLFGNGGYGYSSGSDGEDEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLHSRFVALKIQKSARDYAHAALHEIELLSAVAQGDPTNSKCVVRLLDHFKHVGPNGQHVCLITEFLGDSLLRLIRYNRNKGIGLRRVKEICRSLLVGLDYLHRELGIIHTDLKPENILLVSTINPAKDPVRSGFTPILDRPVGNQYGGTVISFSEKMLKMRARLAVAKISQRRVSLGGVAAEFEKERNLDGISLKCKIVDFGNACWADQQLAGEIQTRQYRAPEVIIGSGYSYSADMWSFACIAFELATGELLFAPKNCQGCSEDEDHLALMMETLGKMPRKISSSGTRSKDYFDRHGDLKRIRRLKFWPLDCVLVERYNFTESDAQGFADFLLPILDFTPENRPSAAQCLKHPWLN >Dexi1B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:1B:18727357:18727992:1 gene:Dexi1B01G0013230 transcript:Dexi1B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSRPSSGGDAEAPLLSSNGGNGIAAQAAPTTTVVGKALSSTADLAKHLPTGAVLAFEMLSPTFTADGSCNAANRALTGCLIGVCALCCFVLCFTDSYRDPTTGALRYGFVTPSGRLLPVEGGGSPPPRDERYRLNARDVLHGMLSFAVFLAVAMVDRNVVACFYPVESASTRQLMAAVPVAAGAAGSFLFAMFPSTRRGIGFPVGSS >Dexi7B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:7B:25780817:25795058:-1 gene:Dexi7B01G0020510 transcript:Dexi7B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNAAPAPASHRQEEKSRPSSCSPPPPPPSFSSLRKSKSLDPALPDPHAALPGIEDRSPPSLKPPPPASPGISVCSPVSPSSSSCWWCEQQQRHGPTAALSVRKKGKKICQKEFCQFRSLSIRENNTHCPGGILADDQGLGKTISTIALIQKERLQQSRFMTNDSERDISTDEDDGEVLVTGKKERQAHASQSRALKAQPKKKTRGSSPTSTLRPTSRPAAGTLVVCPASVLKQWANELSVKVNKSAEFSVLVYHGGSRTRDRTDLADYDAVVTTYTIVALEVPRESIDGGKNAAAKAKKKKKPNNPNGGPLAMGRSKEYMQKNYVHILALLSQLRQACNHPFLLKEKQSFDHSLGLAKQLPVEIATNVLENIERGAAKCTITKCRVSKQLPWNNFYLTYSRLRVAFHSSSDILNSIINTWDDHDAIGSVPSEIAPTKDIVFTQWTGMLDLLEHSLGSNHIEFRRLDGSMPLNVRERGVKEFNTDPEEGKRKMIQSALGEDQSGDNAATRLTVEDLRYLFKI >Dexi1A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:1A:27734847:27735134:1 gene:Dexi1A01G0020890 transcript:Dexi1A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEELWWAGGAAAELVYGEGGVEVELLYGDSAREAWWRELLDSATAVDARRRSSWTVREAWRWSSRTARQARRWELLDGCRSFWTAREARRRR >Dexi2B01G0030590.1:cds pep primary_assembly:Fonio_CM05836:2B:38851640:38851942:-1 gene:Dexi2B01G0030590 transcript:Dexi2B01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVIDAIRRSNGRSGYLSVSSDGGSSRGGGSSRRHLMDYSELPDSAAASASADRASSGALHRRARSEFVETTAVRRGEEHGRPAAVVAGSAYRRK >Dexi9A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:9A:4491069:4493282:-1 gene:Dexi9A01G0007680 transcript:Dexi9A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIRILAVFLAALAAAAGVAAQLREDYYAAVCPDLETIVRDAVTKKVQAQPVAVGATIRLFFHDCFVEGCDASVILVSTGNNTAEKDHPSNLSLAGDGFDTVIQAKAAVDAVPACANQVSCADILALATRDVIVLAGGPSYTVELGRLDGLVSLSTNVDGKLPPPSFNLDQLLSVFVPNNLTLADLIALSAAHTVGLGHCGTFANRIQPASVDPTMNATYAADLLAACPAGVDRNVALELDPVTPDAFDNQYFVNLVNGRGLLASDQVLYSDARSQPTVVAWSQNADAFNAAFVDAITKLGRVGVKTDPSMGNIRRDCAFLNN >DexiUA01G0008790.1:cds pep primary_assembly:Fonio_CM05836:UA:16677538:16679118:1 gene:DexiUA01G0008790 transcript:DexiUA01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGTASASADTTVPQSPPSTRKLTTILSIDGGGIRGLIPATIIAFLESKLQAINFSKLCYNFFSWQELDGPDARITDYFDIIAGTSTGALLTSLLAAPDEKNQPLFDARMLISFYLDNGPKIFPAKKYVRRAGGHLTVAKLLGAVRGPKYEGALLHDKMRTLMRDLKVADTVTNVVVPAFDAKCLQPVIFNTYEAKHEPIKNAYLSDICICTTMAPTNFRAQFLTITDHDSGQSREYHLVDGSITAKNPTIAAMSVLIKEVLRRNPDFNPGRHDCTDYRNYLIISVGTGSNKQRAEKYTAPQRAKRGLLQLLYNGGFTPIIDIFSHASTDMDDCLKGNTLEENIATRENMESLMRIGRELLKKPVARVNIETGVYEPVDGEGTNEEALARFAKILSEERKLRQRNLNS >Dexi5A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:5A:25139270:25140069:-1 gene:Dexi5A01G0021280 transcript:Dexi5A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGDRAAFYGCRFVSFQDTLLDDTGRHYYRGCYKCHLHSTSPPGAGGAFTAHRRSSESEDTGFSFVGCKLTGLGVGTSILGRPWGPYSRVVFALSFMSSTVRPEGWDDWNDPAKQRTAFYGQYQCYGEGSKTDGRVAWSHDLSQAQAAPFITKAWVSGQEWLR >Dexi1A01G0027590.1:cds pep primary_assembly:Fonio_CM05836:1A:33311773:33314029:1 gene:Dexi1A01G0027590 transcript:Dexi1A01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMLFRCFAGSEPAADSESVDDEASRPAAKASMRRAQSATGRLRSLSPDDLSRTLATSGLRAFTVAELRAATRGFSGGNFIGEGGFGPVYRGILRLGDGDLQPPPQQHVAVKCLDADGPQGHREWLAEVVYLGMLSHPHLVKLIGYGCHDEQRMLVYEYMARGSLEHHLFKNLLSSLPWCTRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDDTHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQHLVDWARPYLRHPGRLHRVMDPSLDGQYSGEAAHEAAVVAYHCLRSVPKTRPAMRDVVAALEPLLHVCADVPAGPFVYTAPADDGKTDEAAPAPRKKSLASAVHAEDELPVGNRRYASSVAGRRRSSPEQSRDRGA >Dexi9A01G0041920.1:cds pep primary_assembly:Fonio_CM05836:9A:45505448:45508664:-1 gene:Dexi9A01G0041920 transcript:Dexi9A01G0041920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSLASMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRMESGTQPEIDLATITDRMEVKKAVQSGNVQEAIEKINDLNPTVSGFNWGCFKETAVTSAFPLILQQTFLEEIEKTVALLVFEDGKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKG >Dexi9A01G0038320.1:cds pep primary_assembly:Fonio_CM05836:9A:42620450:42622884:-1 gene:Dexi9A01G0038320 transcript:Dexi9A01G0038320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGLTMKLLCSSALLLLCCLLLPGALAEERFYEFVVQETLVKRLCKTQKIITVNGQFPGPTIEVYNGDTLAIKAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFTIQDQEGTLWWHAHSSWLRATVHGALIIHPRRGLPYPFPKPQKEFPVILGIYIPWWRRDPIAVLRQSMITGAPPNVSDALLINGQPGDLLPCSSQETSIIPVVAGETSLLRIINAAMNTELFVSLAGHQMTVVAADAMYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATGIFQYKNAPGCPTTSSGSGAGMGPNTFNGPVGRSSRSSSSPGHLGRTEPQPMLPFLPAFNDTNTATAFSNSLRSPKPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTVDFPAFPPVLFDFTSRNVPRPLWQPVKGTKLYRVRYGAVVQIVFQDTGIFTAEEHPMHIHGYHFYVLATGFGNYDPRRDEAKFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLSGGLAMALLVEDGESELEATVAPPLDLPVCVL >Dexi3A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:3A:16690419:16694668:-1 gene:Dexi3A01G0021070 transcript:Dexi3A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVARRAVAPAGGGEEAAAAAEAMRRRGEVDTSSPFQSVRQAVDLFGGGAAAVSQWRHPQAPPPVQLRPEEEELMKVEEQTVKLEMELFVKEKETFKVLKELQDTKQVIDGLKVQIEKATSESTITTKGHADMRKVHSLPAIEQASSSHTEPPIQSAKGAQSAITTLIKLNQAKAFLNTDTVNILKSEIEKQKALLEKTRERLQLNLGKASSLESDLTKTVAQLQAVKTPQPVLEPSEIWLQMKHLNSEKAKHRKVSEDLKNEIYELTAAIEHTRSKTKTLQFRIIMAEKLKEASQRGEAIAVAEMKNLSNGQDLNTTASDVTLSVEEHSMFVLKAQEADGACRKKIDAAMQELDQANHCKLELLERVEEAMSAVETSRKALEEAQKREESANKAKLAAEETLRRLRSDQIIQNWRPINNNSIKFKNTALTPRRASSGIYDVNGLSLVTTAPKNMKTVSIGQILSMKLDRELEMPKTTSTRKKVSLGQILSQKYEVFSPLRIDHDGASRKQFQPRRKKMGFVVYALLLAKKRHRKRQTSCTHGSFS >Dexi1A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:1A:22182857:22184443:1 gene:Dexi1A01G0015230 transcript:Dexi1A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHNQNILTFSVFYFGVQMHMAEGSLGGTAASNLGAVRLLMEGSVTCTEQEMDIKHEGENREKRRRVKKPKKAVKPQENVTTAAIAGSSTQQMGVLLIATPVTNHDMLTKGVTVTGQAAITPPQIVAPLSMKTPTAYGSITSVDREAGANRATLGL >Dexi9A01G0032740.1:cds pep primary_assembly:Fonio_CM05836:9A:37617657:37618145:1 gene:Dexi9A01G0032740 transcript:Dexi9A01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVLDGSTVRAFVADEAAFARSVEARFAALDANGDGVLSRGELRRALESFRLLDGAGGFGSDEPAPLPAEVAALYDAVFEQFDADRSGAVDRAEFRDEMRRIMLAVADGLGSQPLQVAVDDEGGSFLLEAAQHEAAAIAAKVDAERKAKAAADGASADAK >Dexi2A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:2A:31608886:31609801:-1 gene:Dexi2A01G0019410 transcript:Dexi2A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAGGLEIAGGGGGAGGRVGSCGAIGRAVSFRCVSMLLLAAGVLVPVLFLLVPSHHEGYISDDPDVLAAHQT >Dexi8B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:8B:18581782:18585781:-1 gene:Dexi8B01G0010150 transcript:Dexi8B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAGGGEGRPATASAAAMEAKGKAKRDGVVKEVIRLERESVIPILKPKLIMKLAYLIERDNDRAEFMKLCKRVEYTIRAWYLLQFDDLMQLYALFDPVNGDQSLELQGMTSSELDTLELNFLTYIFQIMEKSNFKLLSDEEYEVAQSGQYLLNLPIKVDESKVDSKLLTRYFKEHPHDNLPSFADKYIVFRRGIGIDRTTDYFFMEKVDVIISRAWRSLLRVTRIDKLFSKKQVSSKMDKKKTDEINEDAEEPDLYVERVRLERMELSIKNLLRKMTIQEPTFEGMIVVYRKASTESKPDRGIYVKHFKHIPMADMELVLPEKKNPSLTPMDWVTFLISAVIGLVTLISSLEMPKADIWFVTAILSGLIGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATIQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRILCVPLKRANEIIGTTTEEMVIRAQQAPAGS >Dexi4A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:4A:2459023:2462407:-1 gene:Dexi4A01G0003430 transcript:Dexi4A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMCQQSYGFLTCTTTVLGNLFLIPTYGFLMYKGATYLSHGSELLLEIMGPGLVAGLLVPILGVIPEALTVLVSGLSGSRDTAQSQVLTGMGLLAGSTVFLLTLLWGTCVVVGKCDLGPNHDAVDLTNTKGFSLTGTGVSTDPRTTNLARIMAISVIPFIIVGLPNMMKMTHHGQRLAVLMALIVSFLLALFYCLYQVAHPWVQERRLAYVKHKLVIAAILRHAQMHALGQLISDDGTPNEDGIRKLFCIIDMDESRTLSRAELHAFIVGINFEDIKSDRMDAVDSFMAEFDESRNDTVEEGEFVCGMKRCIHKAKSSSMKKKSSLKGSGNFLIDFIHDYHEIVKQEHDELLDKPDEEERRMENPDGWFIAKAVGLLLLGTAMAALFADPLVDAVQNISNATRVPPFFISFVILPLVTNSIGEPVFSVMCVSNKRQRTASLTFSELYSGVAMKNTLCLGVFLALIYVRNLRWEFSSEMLMVVLVCVVVGLLASFRTTFPLWTCLVAYTMYPLSLVVVYVLDHVFHWS >Dexi6B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:6B:13460371:13466871:-1 gene:Dexi6B01G0009250 transcript:Dexi6B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCNYKKKLYDLVKEHVESADDVGEYKMPEAYDMGENVNQEKRFSVAMQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDSIDFVKSSVIEGTQPEDYSDQEDIDAKDILKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVRSMKRARDIRDQLDGLMERVEIEICSNASDLDAIKKAITSGFFHHSARLQRDGTYKTVKNPQTVHIHPSSGLAEAILTFDLILHACFQIRPRWVVYHELVLTTKEFMRQVTELKPEWLVEIAPHYYQLKDVDDCKCRLWNKEASERPRKSSVVAWTHV >Dexi5A01G0026460.1:cds pep primary_assembly:Fonio_CM05836:5A:30100340:30101062:1 gene:Dexi5A01G0026460 transcript:Dexi5A01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAAAATTLDEEKKAAPACHGFKFGDNKVSDALLSRLSELGASAPWFVDSKRLQSTDARVNQNRLQLSSRGSISSRAFTDAEKDLARTTDGIPVTAFDASGRGYGMTCKLWKNDKHYRFMGQGWKLFREAHRLTIAKDDHLTRRVTVELWAFRSRALLVKGESGEEEPGGGHPDGAIGLVVLLGEDDGGVKEEVAGAAEAAVAPEESRYLRWFLELMAAVGLLKLRTMGRTKRKRDGD >Dexi6B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:6B:22444474:22451627:-1 gene:Dexi6B01G0015010 transcript:Dexi6B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGRRLLVLIAVALAGAAMDTAAAQSSSPPGGPGPNYFDPKNISPNMAIVMVVLVLAFFLLGFFSIYLRRCAGPPLGEPDDDEYPSPYNRRHDRHGLGFTYASRSSRRAPRGLDRAVLESFPTMAYADVKAHKGGGPPLECAVCLSEFDDDETLRLLLPRCAHAFHVDCIDAWLASHVTCPVCRAVLSPNYDAPATPAAASFATEQAVPVAPPQEQVEAVVVVDVEDGEETTEEERIRREEAEELMRIGGVKRALRSKSGRAPFRRSHTTGHSLAAAPSPAPETEERYTLRLPEHVLREVLAAGSLRRSASVQTGGGGGSARRAGRSVRLGSSSGRWPYMSVLARNFSARLPAWGSGRRGEADAPGKGAKKVAGDGRAVEECDGGALCGGEARLLNHVASIQRRAVHDWHLSFYAHRFDAAANACMPLPLQNHLLSLHCIMAETPLNHSTDPCTNGEHSGHPAVPSSPTAMGTRGGDDDIPTVDFDVLIHGAADQRTQAIRDLRRACEDWGFFMVINLGVPEELKEAMMETCKELFSLPEEDKAEYLEAGPMDPIRIGTGFLSVVDGVRYWRDYLKMFAHPELHCPAKPAKLRDVAAEYAAKTRDLLLSLAEAISESLGLDGGRISEALDLESCFQILVANHYPPYTGSDSRTGLGMPAHSDHGLLTLLFQNGIDGLQVEHNGQWLLAKPLPGAFFVIAGDQLEIVSNGRYKGVLHRAVVGGERARMSMVSMISPCLDTVVEPVPELVHDGQGLEFRGVRYRDYMEHQQSNKLNGKAALDIARVQIDIAGLRK >DexiUA01G0021190.1:cds pep primary_assembly:Fonio_CM05836:UA:43817609:43822881:-1 gene:DexiUA01G0021190 transcript:DexiUA01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPISSRPQDPASGKIKSPATVMKLESRSPEAMRSLAYGSKVISPGDDDACEWRSERSKLMHERRRKTLGASGSAGMLLHRTANQKSNGPRSFSSQPADANDLDLVSDVEQAMKSLVGPFTEYKKTTSSQNNPKTKQLEAQNKRPRLSHKMDPSLLDSPTAEAANEEETVHAACGLLLFAGAPGTDLERRGQAQGGEPATAAPHRSEEEAADRPWCVAACGVPPVCGGGRKGIWGWRLACGIPARARIRGQNCHPDASVSGLPRRTSLCNPHRISVPHLARFAQEFARRRLGNIVAPTWLLFTIKQMTGRASSPTPTTTPFRFLKDAEEKLSNRALAEEATRARRSPPPPAAMNRLQLDSMDPLLDSTTVLLNLMADGLDSTTGASPPVGEEAREEKVGPPGLSRDLLDISPQSALAGFQPAEQGQLLPTLPYIHHNERAHDPEKEPDVAGGAEQSRWAQRELEAVNSYCGSNREIRSLTLPLLRDGSFSASAAALVKRWKEIEVDDSLPDWAWKPCCKTGGPSEVSAQT >Dexi7B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:7B:13183355:13185948:1 gene:Dexi7B01G0005680 transcript:Dexi7B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRGAIPPPQGFVGAGMPPPTPQGAAGRSPSAAVAAAQQAARAAAQQAAGAAAEQMALEDAWKALHPDFRTPFSSVEDAVSRLLPYHVFADYEEEDDAIDATVTGKSSAQKWEDDMVTRMEGFLEDFEKQVLTLNIMNQQHAAGFNRGEEQLVLERALLEDERRQSERVRAAIVQRQQQQEHQRQKQEALRAQMAAFAQAQQAAAGAWPAAAAQPNAAAAVSWQQALAAAARGGEGSSSGGQAQQAAAMMMQQQQPQQQPETMMTAGAWQALAAAARGEGGSGGNALIQAVMMQHVQRQRQQEEMMAAASRGESVPGGQVAALPPAVLMQLMQQQRRRRQQEEMMAAASRGEFVGGQAALPPAALMELMQQHQQQRQQQGMMVTAASRGEVGPGEQALVSAAMIRQLQLMQQQQQQQEMMMAAAGGWQLAGRQTYSASPRGDGSSSSQAALPPPVLQQPGQQGQVSSAAAAAGLMALPWRGSAEGREQ >Dexi3B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:3B:10088764:10089984:-1 gene:Dexi3B01G0014050 transcript:Dexi3B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGEGAYAPPWQQQQQTASGGMDAADDASPYSLLAALRHYLPSNEAAAAAGYAAEEDDDADEVAALAAVDAYACDEFRMYEFKVRRCSRGRSHDWTDCPYAHPGEKARRRDPRRYHYSGAACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTGCRRRVCFFAHTPEQLRVLPPQQSSPRGGAGGASPALAESYDGSPLRRQAFESYLTKSGIMSSSPTSTLVSPPRSPPSESPPMSPDAAGGALRRGSWPGVGSPVNEVLASLRQLRLGGSGSGSPRSAPSGGSFLAGYPFGSPKSPAAALYSLPSTPTRPSHVTVTTPSGATVMTVERLNLGLIGDDEPVMERVESGRALREKVFERLSKEATVTNEAAAADANAEGVAPAAAPDVGWVSDLIN >Dexi2A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:2A:31818095:31819865:-1 gene:Dexi2A01G0019630 transcript:Dexi2A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPKRPPRAPPQPGARSAAWPVGLLVALCFTTLPLFLALSPGRPTLFDLWQQMGIKVTVRYGYDDETTKPPEPSGSPPESRRRDVLLGGLLSPEIDEASCLSRYTSSLYRDPSPHSPSPYLVSRLRKYEALHRRCGPGTPSYDKSVRQLATAHNSMGLAECSYLVWTPGNHLGDRMVSMASAFLYALLTHRVFLVHMAKDMEGLFCEPFPGASWELPLAGFPVQNLTQFRRGSEHSYGSLVGANKVSNEEDPTGVRSESLPSYAYLHLAHDYQLHDQLFFCDGDQTLLGKVNWLILRSDLYFAPGLFLVPQFEDELRWMFPATDTVFHHVGRYLFQPSNKVWKVIAGYYASYMAKFGDRVGIQIMTLDWNPVSTEAYFEQVTACTRKEKILPEVNPDAPSGDYEATATSSKAVLVSSAQPEYAERLTSMYYEHATVTGESVSVLQQPAAGNLPRNQKVLVEMFLQSYCKVSVVSGWSTVGYVGHGLAGVKPWLLQPPPSRNKTAASSPCVLATSMEPCFHAPPRYDCRAKKSGDLGAVLHYVRHCEDVHDGLKLFD >Dexi7A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:7A:26732261:26732788:-1 gene:Dexi7A01G0016910 transcript:Dexi7A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYNPAFVGAYGDLEAGFSGHSATPLKPAASPRRPGRMFCDPCDEADDLHGHHHYLDICFRCRKLLSGNRDIFMYRGDMPFCSEECRQEQIEIDDAKEQRSKQTGRAEQQRQRQQKQSPQRIPIWAW >Dexi1B01G0028220.1:cds pep primary_assembly:Fonio_CM05836:1B:32802294:32802939:1 gene:Dexi1B01G0028220 transcript:Dexi1B01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFDTAVTSLLHLPEVLDRLAAADGDRRSAAHNGAHHHHHHGHARVHGLGGVGGGAPVDIVETPGEYAFLIDVPGLSKSDIQVTLEEEDRVLVMKGGNNNGKRKRDEEEGEGCRYIRLERRAAPRSFVRKFRLPEDADTGGIAARCENGVLTVTVKKKPPPEKKTKSVQVTIA >Dexi9B01G0048560.1:cds pep primary_assembly:Fonio_CM05836:9B:47485071:47487427:-1 gene:Dexi9B01G0048560 transcript:Dexi9B01G0048560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLAGVEPSGKVARAGLGDLPELCAAEVLLHLDAPDICRLARLNRAFRGAAAADFVWETKLPGNYGHLLHLVGDDAKEGGEMGKKDIFARLAKPVPFDDGKREFWLEKSKGGVCMALSSKALIITGIDDRRYWVNMPTAESRFHSIAYLQQIWWFEVVGEVDFCFPAGTYSLYFRLHLGMSSTRFGRRTCSSEQIHGWDKKPVRFQLSTSDGQHAVSQCYLDERGSWILYHVGDFVASSSGQAIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPERVIRAQK >Dexi6B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:6B:24306304:24306987:-1 gene:Dexi6B01G0017130 transcript:Dexi6B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLFSQWPNPPVALSPTSFIAPPSSPAPAFTSFLGSPQSLTPPASTSTGPKPDSSAATKFMRMSSQLRSRSTTARKAASSGEHESVPSTMNLVSTMEYLPGCAAVYAHLPRARSRWCDRGKNPTSGATPERPTAAGVCCCCCQVANAKERASASGSTSSSATATADVRMSEGRGRKRELRDAEAARRSVTRGGNPPPPRSRTRSGVEGLHQSTTTASTNG >Dexi9B01G0040010.1:cds pep primary_assembly:Fonio_CM05836:9B:40654309:40662606:1 gene:Dexi9B01G0040010 transcript:Dexi9B01G0040010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFFCCRSLRVEIYCSHLKNAPPPRGSEPIVTLGGIDLNNTASMIVKEERKIITVVFPDGRDGRTFTLKAETTEDLNEWRSALENALAQAPSVVNTTGQNPIVSTDITEPVEAAVEQSEDKSVIGRPAEFALVDADGTTAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYILREMPSSPVPASCCTALVRAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQSKIKDHGTHCFSFRILKMMQIVGAHKAVNRMSSSALAACMAPLLLRPLLLGECEIDNDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDIEDGSYSSDAYTESDKEYSSDNDIPEDDGSYGSGEDDAEEDLNDNTERSSGSRGECDSNIRIGNADDQVLHLIITEFISKTLLKRGYFARKDLSTEEADCCRDDDDEAHIVKLENNKSHLQSKITEEVRENTVLQASLERRKETLHERRVALEKEVENLRDQLQKERNLRASLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADIMNLKQKVSDLRGQLNNQAQLSSNSLCESCNNKRLQNADKLVE >Dexi2B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:2B:25792463:25798326:-1 gene:Dexi2B01G0015710 transcript:Dexi2B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARKNILVHGVAARRAREGGAMGAGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVSGAAGAVEDMQLQSDRDDLYSSTIKSSRLPEDLVETACERSIRKACETLAADMIETIRSSFPAFEGSGINSSCQLDAAKLGIDLDGEIPTDVKAVALDSLKNPSVLLQSIITYTSRMKTLIHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTVDMAGSKKLPTGNTSQNVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALRRANMALLEAVGSSGVTGSEALAAAEKHAALLTARAGARDPSAVPSICRISAALQYNSGTEVTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVSERWLPELRNAVQEARRCFEDCHRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSVGDD >Dexi1B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:1B:10722064:10723073:-1 gene:Dexi1B01G0010910 transcript:Dexi1B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASADGVATSAPPPPSSAPAPPIGWLRAHATFYGGADASGTMGGACGYGNLYAQGYGSRTAALSTVLFNDGASCGQCYKIACDRKLEPTWCKPGVTVTVTATNFCPPNLELPDGGWCNSQRPHFDMAQPAWEKIGVASRGIIPVMYQRVPCVRRGGVRFMINGHDYFNLVLVTNVAGAGSIKSMDVKSSDSADWMPMARNWGANWHSMAYLSGKMLSFRVTITDGQTLVFTDVVPAGWTFGMTFASNLQFKSFP >Dexi3B01G0024340.1:cds pep primary_assembly:Fonio_CM05836:3B:18988244:18991883:1 gene:Dexi3B01G0024340 transcript:Dexi3B01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPPFFHHSPSSVHSSPHRHNNPMWSSSSDRERYVTPATGFCVPCDISDKHLGVLLSPSMVWNEAPGVVGIPVVKKVVRIDIPVDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFPADIVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPSVSPFNSTGMKRAKTGR >Dexi1B01G0030110.1:cds pep primary_assembly:Fonio_CM05836:1B:34194975:34196026:-1 gene:Dexi1B01G0030110 transcript:Dexi1B01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSTPAGSTPYGRPVRVVELGVTHLPREVFEDYLRDIAPRYTAETYRLLTHNCNNFSNEVAQFLVGSGIPDYILNLPNEVMSSPMGPLIMPMIQNLESTLRNNAAPQATQFVPTPASVSAPPAAAEKAAAKQEEPAPAAAAEKVAKEAAAPAPTPAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQG >Dexi9B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:9B:12988424:12996266:-1 gene:Dexi9B01G0018270 transcript:Dexi9B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILSPSPALHRTLSVADRWTPLVIPNLPAAPELDSFESELRTARRTPSPGLYMSRRTSSCSLRPRPQTLAPKLPRVTAIACRSRTVGALLHADSPQAEGQGRKPKSPKASPRGAAAAPPPFETQLSPEKLRRGDTKPAPPLPSIRRLGAPTDQSSTTMSFIESWRRQCSGSHRHSFTRAPPPRAGRASAAALPKSGLVSIPSGLSRPPLRFPPLICIPYSPPKVAAAHHRSLRSPQSPARSAAQRNLNRPIEIRRPAIDPIGVNRVSRGSFAEKPPGDEQPESPDLQFARVAMGGHGGLNILPQKRWNVYNFDNREKVRNDEAAAAREEQLQREAERRRESDLRLAALRRNRGLVQEEPPSATPPPSDGTSGGAAAEDPADALPSPASDGDHINLFSGRSGAAADFSALASANGGRGAARERDPDANPNQKNPKKRKKEEEVRAVGPDEEKYRLGYGLAGKGVAVPWYMASPAAATAKEGRARDAGEGSGGKRCSGKKTIEELREERRKREAKEKERERAVVAAAGRKERQADRGRQPR >Dexi1A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:1A:6510388:6512674:1 gene:Dexi1A01G0008400 transcript:Dexi1A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKKLNDQMVETLPEWRDKFLAYKNLKKRLKQIGERRRKRQRVGDGGSWLAAITAEEAEFVALLDAELDKFNAFFLEKEEEYVIRQKELQDRVVSAAETGSAEELLRVRKEIVDFHGEMVLLENYSALNYIGLVKILKKYDKRTGALIRPPFIQNVMQEPFFTTDLLYKLVKECEAMLDQLLPRSQPPVPSEDSDGDDKSESVGASSSSLANGGGGALELEEIEDMESTYMKSTVAALRSLREIRGGSSTPNPFSLPPLQEG >Dexi5B01G0038080.1:cds pep primary_assembly:Fonio_CM05836:5B:37365644:37368254:1 gene:Dexi5B01G0038080 transcript:Dexi5B01G0038080.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVVLFRQCDFVRVPKVDDAFASPRLALTMETEEQILQAMQKVYEDHHMSVVQVLKYNLEDMLEVGTGFIIKSREVCICDDMPTCRRTSRPLFASPSNTELTEVVHSCLSKSGCSGGPRIYGGKAMDKL >Dexi2B01G0029940.1:cds pep primary_assembly:Fonio_CM05836:2B:38244289:38247173:1 gene:Dexi2B01G0029940 transcript:Dexi2B01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIEADGTMPSDTSVGVAHDAFNTFFSETVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGEDY >Dexi5B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:5B:4638993:4641452:-1 gene:Dexi5B01G0006920 transcript:Dexi5B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKALLSSSLLPALPRAGGGAGPASPLPPLRLRRGRRAAAGCAVRAGLHGLGPLGGGAHLQAVLERAEAALYTLADAAVVAADAAAGGDAGQAATEAVQKNGGWFGFISDALEVVLKVLKDGLTAVHVPYSYGFAIILLTIIVKAATLPLTKKQVESTLAMQNLQPQLKAIQQRYAGNQERVQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTQLVLKFLPLMIGWFSLSVPSGLSIYW >Dexi1A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:1A:3811697:3814120:-1 gene:Dexi1A01G0005210 transcript:Dexi1A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAQLAAVLQACIKRSGGLKPSRAHATAAHARVLAAGLAADTFLLNRLIELYSLSGLPCHALRAFRALPHPNVYSHNAAISAACRAGDLAAARDLLGGMPGRNDVSWNTVISAVARSESPADALGMYRDMRREGLAPTHFTFASVLSACGAVAALDDGRRCHGLAVKVGHDGNQFVENALLGMYTKCGTVGDAVRMFDGMASPNEVSFTAMMGGLAQSGAVDEALRLFARMSRSGVRVDPVAVSSVLGACAQACAGEYSVVRAIRLGRSIHAMIVRKGFGSDLHVGNSLMDMYAKCMEVDEAMKVFESMPRVSIVSWNILVTGYGQVGLYAKAMEVLELMQESGFEPNEVTYSNMLACCVKARNVLSARAMFDKIPKPSVTTWNTLLSGYCQEELHQDTIELFRRMQHQNVQPDRTTLAVILSSCSRLGNLELGKQVHSASVRLLLHNDMFVANGLIDMYSKCGQVEVAQTIFHRMTERDVVCWNSMISGFAIHSLNEEAFDFFKQMRENGMFPTESSYASMMNSCARLSSIPQGRQIHAQVIKDSYDQNVYVGSALIDMYAKCGNMDDARLFFDCMIAKNIVAWNEMIHGYAQNGFGEKAVELFEFMLTTKEKPDTVTFIAVLTGCSHSGLADEAIAFFNSMESNYGITPLVEHYTCLIDALGRACRFVEVEAVIDKMPYKDDPIVWEVLLAACVVHHNAELGECAAKHLFRIDPKNPSPYVLLSNIYASLGRHGDASAVRALMSSRGVVKGRGYSWVDHKDGAHAFMVADYLGTNVGQSTMFSDNEDTCRITEVDTDETCVG >Dexi2A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:2A:31283900:31287298:1 gene:Dexi2A01G0019130 transcript:Dexi2A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAPPTNYPKTLSSIILRRRRPDSRAARRHDTINNCASQWLPRPISSPPSSVHDHPSLLQLAPPGPGRDLVVARSSAVVSPPPLPPPLLLPEMPNHTIRRLDVASPVPADIDIANSVEPLNIVDIAYELGLGPEHYDLYGRYKAKVLLSVLDDLKEQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLMAAAIDTRIFHENSQSDKALFNRLCPANKEGKRRFADVMLRRLTKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLVPQCAIIVATIRALKMHGGGPEVVAGKPLDHAYVSENVALVEAGCVNLAKHISNTRSYGVNVVVAINKFATDTEAEMNAVRNASMAAGAFDAVVCTHHAHGGKGAVELGLAVQRACESQTEPLKFLYPLESSIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTTTGKVMGLS >Dexi4A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:4A:3140876:3141811:1 gene:Dexi4A01G0004420 transcript:Dexi4A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVEDIGIMRTVLYVGGSDHFSAVFEDAIRPVNLSQITQQNSYKEFLGTPLEQTVPNTCAIVSSTMCVEVHHRWESGCARNKALQELSKKCFGHNKVGYHSVLCFEYRVRDAQLEVRILDNHSPSGPERWIHFYELEEVHTIRVERMDLDGRTLTYPRSAVV >Dexi4B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:4B:588355:588871:-1 gene:Dexi4B01G0000930 transcript:Dexi4B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHASAAITPTNPSITGRAAGCEVSSVSSSASFLLGRIFIQAPARRGQGEPESRRGEKRGRWCATDCWFGGPGIGSSSSSTDHGGPRLLFFIACSIYRRAVRRRSQIVLASGSICSQRERSIQFVVGISSIHPHWKP >Dexi5B01G0028420.1:cds pep primary_assembly:Fonio_CM05836:5B:29782376:29789242:1 gene:Dexi5B01G0028420 transcript:Dexi5B01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPEASCSRDAPPAASSSAAAAAGGGGGVGGPNPCCAKLWKKCQKLETSRTALREAVKLLQAESEKLQKENSELSKVCKEERLRGDSAEAARATESDARDLLEKELIELKTQNSVLQQSQNICKNDNELLRISELEEENRKLRQVLGEERKKITSEKKKAEEEKSKALETQKVLKSETQKSEEFRRVADTERKVANDWRASCERLRSEANEVRAQLAAQIRKTEEALKRVETEKQTVAREKKRADSEKSLSEKNKTLIEVERKKVSAEKSRADNLFAKLEEQKRLNEHLRTSIQVETKKAIDEKKRVDHLFQKLEEEKKQSEYLWRKTNELCSGRDAISSGNCERKHVDTASGGANVKLLKEKLKLKKEQLKHVKNVSKLDKAKNALIRRELQRLKQDWMQLLSRFNMLDDHLAGGVEGIHVLTELKQHPEIHGNFEQKLLPNDSVPAPYFGLQAGMVPFVSSIPREYASYHVFKQGKLAEDNDKHPERINLCYFTELISLFELFGIYMSCEWTYTNVAVRLLEILESCMCDEFAAALLVLVSQLGRFFVDDVGYEQRRVSEMRDKLSSLLGGTSFTKSRSLSVQFSAIGALLSVIPLPFDSIVATQSTQLSGPFAMSARQISEWFVQLSDEHQSLARSFFG >Dexi2B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:2B:2312131:2312574:-1 gene:Dexi2B01G0002770 transcript:Dexi2B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSIVVIFVSLPIFLHTREQESKLWAKNIEAERPLPEIPHRRTFAVAAVVCILSFARARRSPSPAWRMHLLSFGSAAVGSPSSKLRLYRSSWLARHRRQRPPAWGRCWLGVARSWPLLLLLLSRGGAGSAGGERGGLQERHQQLR >Dexi9A01G0048040.1:cds pep primary_assembly:Fonio_CM05836:9A:50901031:50902963:-1 gene:Dexi9A01G0048040 transcript:Dexi9A01G0048040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLHRRRRLLLLAGAATKHAAEGVLHQRLCSTSAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGGHPRLEQFMGSHVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEIWYRPDMYFYRDMLYMLARNKKVDETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMLVYDPPDSLSDVDDEFKF >Dexi1B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:1B:24196104:24197881:-1 gene:Dexi1B01G0017890 transcript:Dexi1B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQAAMASSLIAAVLLGACLPAPAAAGVHLSTLTKALAVTASAKSGQVLHAGVDKLTVTWSLNTSEPAGTDAAYKNVKVNLCYAPASQKDRGWRKSNDDLSKDKACQFKLTQQAYAASGGSFEYTVARDIPTGSYYVRAYALDASGTQVGYGQTGADVAFDVAGITGIHTSIKVAAGVFSAFSVAALAFFFVVESRKKNK >Dexi9B01G0026510.1:cds pep primary_assembly:Fonio_CM05836:9B:28719578:28727262:-1 gene:Dexi9B01G0026510 transcript:Dexi9B01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVVYEGWMVRYGRRKIGRSFVHTRYFVLEPRMLSYYKRKPQNRADKVGGKLPIKSLPIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHNRITMAAFNIQEALIWKEKIEMVIDQQQGATQTDGNRVFSSSQQKANIENGRKSSSSDHESQYSHEEEEEEDDNQRSLLRRTTIGNGPPESLYDWTRENDLGISNQGSPDQASAFLRSSKMLITLWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPIEHPNCGPQPGFVRAHIERLREWFSQSDESQVLPRIPVMVNMTPSVPSKKGRKAEENTMQTSLPMDPSRPSTVLEEESDEDDEFLIPECEQEEQESSTHEDAADVKQPGALGRDEEGSDQIDLSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFVYDKSKVPAGKPLMELVAVDWFKDTKRMDHVARRKGCAVQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRMSHIELSSAIVPVLED >Dexi8A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:8A:17702343:17702856:1 gene:Dexi8A01G0010470 transcript:Dexi8A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMKLSLLVPAILLILVVHVQGARPAARGPKCTASSVTVEQANTGEKAGYDPVFEVTVRNGCGCAVRGVYLRSEGFSSSVAVDPRLFRRDGRDYLIGDGRRIEAASAVRFRYAWDRAFRMTPAAVHDDCS >Dexi9A01G0026310.1:cds pep primary_assembly:Fonio_CM05836:9A:28848994:28850412:1 gene:Dexi9A01G0026310 transcript:Dexi9A01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATLFRFGSPSCKPNNAPPAVAAASNGVLAFNRSSSWYVTTCRSKATASREAMAEAGRRRERKSAADEETNEIEWPSYLTPERLGVLSEMEPWVEDHVLPLLKPVEASWQPTDMLPDPATLGADGFHAACLDLRAAAEGVSDELLVCLVANMVTEEALPTYPSGFNRFKVVRDATGADATAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIRDGMSFHGPVSSPFHGFVYVTFQERATAISHGNMARLVGARGSGDAALARICGTVAADEKRHEAAYTRIMGKLFEADPDASVRAMAYTMRHRVEMPTAYINDGRHSVGDFYARFIAIAEQAGTYTISDYRSILEHLIQQWGVEDLAEGLSGEGRQARDYLCALPHKIKRMEEKVIDRAAKAQNKTPTAIPINWIFDRTITVVLP >Dexi7B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:7B:15424915:15427103:-1 gene:Dexi7B01G0007630 transcript:Dexi7B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFIVRVMSGYEERRIRSYRLQLQKRLEMAMLNINMLLRAQARKEELRKQPEKAILSEVRQVVQQMQALNQHLEEAETAIDEYFKPIDKNAKIITDMQLEKEEKQMKEMKKVMQEQIQMQREIAMKRAEAASQSNDAQVSEKVAEIPPKQETVK >Dexi9B01G0026970.1:cds pep primary_assembly:Fonio_CM05836:9B:29350220:29358733:-1 gene:Dexi9B01G0026970 transcript:Dexi9B01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKRAKKKNKKTPQGTPNYVAIFMLSNMKGKMQKPGGECDMDSNRSSDDREDTKNVTHVWDFSSFLNSLADSGVEDQIIHKHVPLKIFSGHKDEGFAIDWSDFNKCIHLWEPTSSDWNIDTNPFVGLSKSVEDLQWSPTEANVFASSSADKTIAIWDIRTGKKPLANRMIASGSDDGSVSVHDYRLIKGQKHWKEVHWHSQIPGMIVATGIDGLDVLMPNIAAT >Dexi1A01G0029470.1:cds pep primary_assembly:Fonio_CM05836:1A:34945171:34947699:1 gene:Dexi1A01G0029470 transcript:Dexi1A01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os02g0743400)] MITGADFYHVMTAMVPLYVAMILAYGSVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSKRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASRPKYPLPVVNAAAAPGAGHYPAPNPAVAPKGAKKVATNGQAKGGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAVKSPRKVEGAKERDDYVERDEFSFGNRGGAMDRDAEAGDEKAVAAAGGDPNAVAGPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >Dexi1A01G0029040.1:cds pep primary_assembly:Fonio_CM05836:1A:34575328:34575869:1 gene:Dexi1A01G0029040 transcript:Dexi1A01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICCARRRRVDDKLDLLKKALRWFEAEVLKGKGMLKKDAGFYSVVSLNEKTFAQKFLDPYKESVPWLADAYVAASVSQSPPVLWFLVN >Dexi1B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:1B:24521583:24524596:1 gene:Dexi1B01G0018270 transcript:Dexi1B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAPANDHVRELVLSPVWATECEGNSRIESEKAVRSYAEMVKKNTFEKVGKGAVDAEHVSSGDESSDGFDDLDCGYTPPAREEYAPSDKAVEMKQSEVLSFNRVLEGHAPLPAQQWNPNLYANATETEDNDACSFKYAQEVKCAILDGHSNLPETLDAEVNQLSLGHSNLLVQLFDSESCTEAKLIDVVKELSGRIKLMEKKQAWSNKEVKHLQGVNERLLKRIVELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGLSFLPSLDSFSPSLDILTPLRPMPVGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWITCPALTHDKGSLAGDSVNGKIYAFGGGDGSQCFSDVDIFDPTHGKWIKNQPILEKRFALAGVALNGAIYAVGGFNGIQYLRWHPFPALRGTQEDFSVNFVMSVPSSAERLDPREANWKMLPMMSAGRGCHTLAVLNEKIFLIGGYDTRAKAMVSTVEVFEPRMPSWVMVEPMNYTRGYHSSAVLGGSIFTFGGGER >Dexi5A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:5A:8323292:8325970:-1 gene:Dexi5A01G0011090 transcript:Dexi5A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTARRPLLVLLLVAGAAAVAESKQYTPEDRFLLNCGSTTDGLDADGRKWIADSNDNSWLVDSGKSSLMMAADKMDTGLPSTIPYMTARVFTMESVYNFTVNPRDRHWVRLHFYPSSYNGLPADTFHFSVTTSTGVTLLRNFSVFTYSKALSQAYVVKEFSLPPNPAGFLSVAFTPVAVEGNNETYAFVNGVEVISMPELFADPATMVGFADQTVDVAGSVLETMYRFNVGGQYIPPPNDTGLTRHWYDDTPYVLGPAQGVTYKAGPHFQLKYPTELAEYAAPPEVYLGTRSMGSDPRMNQNYNLTWVMPVDGNFTYVARLHFCELLLTRPNQRAFDIYVNNKTAQSDADVIGMTSEKGIPMYKDYAVHVADEPGDEAMWVALHPSVALRPQFYDAILNGLEIFKLNDTGGNLAAPDPEPSSMLARAELGARGLPHPGAHRNMTTVMGGTAGGAAAIGLVVAICVAWYQDKKTKDPTGAVAGGSHTSGWLPLYHSYTSGKSSGHLAANLAGMCRHFSFAEIKVATRNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDGDWVAKVSDFGLSKSGPTTVNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPREQVSLADYAALCQRNGTLPDVVDPAIKDQIAPECLKKFADTAEKCIGEQGIERPSMGDVLWNLEFAMQLQDTFEGGSSCGRRVATAGGGEGSGKGAPVSVTLDPSNSNGSAASVTTLETSSTTRAHETAVIVEEDDDDEVANSAAFSQLVRPAGR >Dexi3A01G0027050.1:cds pep primary_assembly:Fonio_CM05836:3A:23667779:23668250:1 gene:Dexi3A01G0027050 transcript:Dexi3A01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTGLLAKHPTSPYVLLDLILVCIEVLWDAVILKMFKNFGTS >Dexi3B01G0026150.1:cds pep primary_assembly:Fonio_CM05836:3B:21110935:21111923:-1 gene:Dexi3B01G0026150 transcript:Dexi3B01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYRFLSYRGDDAAVESLSQRVMSKVRKVAGFFGIGETRMKLFWVSKILDTTYEALDDKITECLVTEIRRIQGQGEGGTYSRKWRYIGPLVEHATTFGDFTYMVSMLHLLTECCLKETPNTGGDDGVDLPYTCRKLSNYMLYLVSPRRNGVKYEGELQGDTGEELRDLWVWLLLYAAGKSRPAAHATQLARGGELLTFVWLLMAHYELGDSSLYKRIELKKRNPDNFTI >Dexi2A01G0022800.1:cds pep primary_assembly:Fonio_CM05836:2A:34596773:34602878:1 gene:Dexi2A01G0022800 transcript:Dexi2A01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAAAAADELLTAAQDMADMRSCYDNLLSVAAAIANSAYDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELFEFLLNSQKEKGRYKSTKGDTGASEQLKQAQEDYQEDATLFLFRLKSLKQGQFRSLFTQAARHHAAQGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYLVEDENDDDYNDSHDGELSFDYGENKEAESGIL >Dexi5B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:5B:879993:885867:-1 gene:Dexi5B01G0001360 transcript:Dexi5B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRPGLFESRQGSLRTTRVNQPRGRNQDKNPRLFTHHQGNEWISTAITCLCRCDQIRHRHAAQPSFPMANFGQGRPPLGESNLLPVGQEIWFGSLRFQTCGDDYHMRILQEEPSDQLEPPHSPSASSRRWSPRAARRAADVSIPPPAREGEIPRSGSQERAAPFLPQPMFAPRATTAHPYPYGLCGPTVTYASFVRTAMSCHPASDHDLAAPTPDDSRTESEDGYAFEREGPGWDYSGLRDSEAFLAFQTAADYCFGYSDDEYDPTRECFVISDGRVSEGSTSDDDGEDDDQGGNNGVGPVGAQPPDPSDHSPSKDERHPRRLPRVARPHDLGSGGVSPSARSDHEPPKQGHEHSTDARRAGQVAQARILAEDKDDDLAPRTNQKLIAVAALLRAMPEAATPEGRKLHLEAQKLVENATRQQAESSASRLRRSPVSKGERGGESSVRSPRPNGRARAQSRGDSHRDMARCHTRAPEAGTLPARVPARSRLRDTRGAINGGDARNTLNQMRQPEGSRTHQRGRTNVGWNRDVVPEPAGMRVFSRNIRTAPIPPRFRQPTTITKYSGQTDPRVWLNDYRLACQLGGATDDAMVIRNLPLHLADSARTWLEHLPPNRIHDWNDLVETFVRNFQGTYVRPGNMWDLRGCNQKRGESLRDFIRRFSKRCTKLPNITDTQIIHFFLESTTSYNRICKLGRDPPPDANRLFEVASTYVSGEEAANAIFNGKKGKRPEETPAEGSKPRKPSRKKPTAANEVPVVDPSHKGPRGLPCGGESLMPTTCSSTWDDPPPTEILANACDIQQLRQGRLSRVLAYASRLRQHLTSLRLSHSRTIDPSSDFLSRRMLSGSAPTIKVVPAAAIYARERTLFRRHATPEKARTLPHEVAVTALTVIMTPHQEVEGTFNTPTLERAAFVLLIRGSNSGPPKGFDRGPRSTESGIIDGTLEARALEETNRLYRGTPRGGASSPRPLSNEPTLIEQGMDVRSNYPLSAHLGILGSIPIVGSMDRLLLRTKKNLGHLEPNEARGLLVLWTNPHDRVPPHIGHDSHRNTWRERSRLPPRREEVIVLLIRGSNLGPSKGFDKGPRSTESGIIDAWVASSSVPSNEEHEVRRKLEISRTTPNKARFEDLRRSLGGYTRGCASAPHGFPEPAKEQSTSLRRIEFHSRGGSGATQRPTIATTPRHPSRSRWTTTLEQGPTSRETFTLERVISVSLRSRHELATLGMTPKALRPINPSHDDAPLQSKSRGSLLHATAHTPERTPVKSTRNNLTWQQHCSNHSFDFSRLGHATILEGDPRRRLQRLDLDAKEGHHVSHCHVVSRSWKARFPLAYNVNTTNTCTRTLPPWSIKGEGKPMQRGKGQQELISFSHLACNPLIRATRKPVHTPLLDVRPRGRNQDKNPVSSPTIRATSGLAPQSLVCADHGTGGMDYWNAWSSSKSMGCQQEEW >Dexi4B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:4B:4343519:4346283:-1 gene:Dexi4B01G0006170 transcript:Dexi4B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAASSPPRSPKRGGGGGGAAAGGNNYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGGERKALNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTVFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNSAPMFGIHVTSGPIHLTYSEISIAAGQLRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFSKKACSVYKA >Dexi1A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:1A:16256899:16265318:-1 gene:Dexi1A01G0013290 transcript:Dexi1A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTAPAPSAATPMAPPPSYPASSAASATTASAEEEDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETEFDFYK >Dexi4B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:4B:9639417:9641377:1 gene:Dexi4B01G0011990 transcript:Dexi4B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKRLLLFAIFAASHGDGGRRAGDPILLHNYPRRYVALFYCNTVSFISSVAVVVLLVNPSLYRPAMRSYALSVCTHVRPPGRLRRRKLPAPHDNRRGWYNAFFYCNSTSFVASVIVIVLLLLEEPDNNPESSLTKAINMTIMLDLLGLLVAYAAGSGRDWAAIGSVVAIAAAVLGSYIAVYAALC >Dexi8A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:8A:10307428:10307712:-1 gene:Dexi8A01G0008520 transcript:Dexi8A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHWIPATRKDNGAAGPGGGAGRGRGGGIEGIGASHGWAGAAGGESKFTSGVGARGEDPCLRRAPPLPFVAMDALTPVGGRKGGGGDFGKGMR >Dexi8A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:8A:16355459:16358380:1 gene:Dexi8A01G0010110 transcript:Dexi8A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATGDESMLTREQLLHLFSRFSFLTSLPEVKQRIADAVRDKQEAVAVTTELQEEILREMGIDPRLGIGCLGKVNTVYENDKDLMVKFYQFVAKEEMAIDEAELQPREMSEKLHAQQILHEQQLNMLVEMRKYSAESQSVILGTLRKQLEEANFDVNASIFSPEQIQEIIQK >Dexi9A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:9A:5729516:5733601:1 gene:Dexi9A01G0009430 transcript:Dexi9A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPSARYYGGNEFIDMAESLCQKRALEAFRLDTAKWGVNVQPLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGLIDYDQICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEILYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNCAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFAEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVATLQSDSNIQAEIAKLRHDVEEYAKPFPTIGFEKETMKYKN >Dexi9A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:9A:284174:284409:1 gene:Dexi9A01G0000460 transcript:Dexi9A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIDPILSTPPFRARLHDKIPPCLPILWGRIAPSATLLPPRNTHKQCRAPTTTGHVLQQQR >Dexi1A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:1A:25078418:25084337:-1 gene:Dexi1A01G0017740 transcript:Dexi1A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLGAHLVRLRCDVRGRGCNYLTADEDARGVRFTTNRQERASIWAVEFSEGWALFRSDYGGYLSAAKNIARTGGRSGVVLAVGQHPMPVRNQAPPEMLWRAARREGHVVLRNRAGGYLRANGRYLRWSTAVSVAEDDTTPMMMWTVEVVPLGLRALLIDPPALQGQVHRNEIKAILDMQSNRETNEQSQEKGTSISLSPPPVPQECELASAGIVPERSESEKYYVVIALYARGSSSLFGHDEAFITTCSFYPKVTKWKVFDNKIDFSLKEGTALARRWKDLLLCLKQGFLGLSQTTLDASKI >Dexi9A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:9A:10485918:10487204:-1 gene:Dexi9A01G0015670 transcript:Dexi9A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLAAALTAMMAATSSQPSAAAAAPLPPLVAPAPVALPNCTSTCGDVQVPYPFGLGPPRCSWPGLNLTCSSAAPPRLLLGDGTLEVADISLRNTTIRVLRRGDIITNITSSSSSGTGSTTTSNATTTTTPFGGSFTGYYGGYTLSDRNELVVTGCNVVATLIGDLDDYSNIITGCASFCSSSNTTKADINQPGATGSSKYCSGLGCCQAQITMNYSRPQGVQVSWLRGGDDLQQDLLRLDPFVLVAEKGWFGQRPVVDQLVGPPGELQRSHAATIEVPLVLEWTVTNVAPIDDRFPGPDCSPAAAQRLCKSANSECTTDGNGGYSCQCQDGYDGNPYLDGGCQDIDECKMPPEVNGCFGDCTNTPGGFVCQCPPRTQGDYTQRGGCVPPVLTGQY >Dexi1B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:1B:2918126:2919836:1 gene:Dexi1B01G0003690 transcript:Dexi1B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPSSILTHFAWCRNITTGSESSEPVSSPEPIPTTADTAGCGCADPATPFLLFPIFSPLPPTDSPGDDDKEGDRIYPLFSEAVGGAAGLYPLGAPSVGNDEEEVLEGGLIKELRRETSAKKRLDMDETMVGTAARTEAKGNRSKRKKRSKKVTVLSPDHDDVKRSSGSSNITIEDDDSASDPVSDSAARLDVPKVKEVTTDDKGFRIDDVDKELGAN >DexiUA01G0014450.1:cds pep primary_assembly:Fonio_CM05836:UA:30580435:30581421:1 gene:DexiUA01G0014450 transcript:DexiUA01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVDGVWQDVWYDTKSTGGRFKRSVSAFRNWLTADGAPGPSGEGGFAAEKDRYHLYVSLACPWAHRTLIVRKLKGLESLIPVSVVNPLMLENGWTFDSDFPAATGDDLYHHDFLYQLYLRADPHYTGRVTVPVLWDKKNQTIVSNESAEIIRMFNTAFDAHGTRAGDYYPVELRDKIDELNSWIYDNVNNGVYKAGFATSQEAYDDAVGKVFESLERLEQILGQHRYLTGDRLTEADIRLWTTLVRFDPVYVTHFKCDKHRISDYLNLYGFLRDIYQMPGIAETVDFNHVRTHYFRSHKTINPTGIISVGPWQDLDEPHGRDVRFG >Dexi3B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:3B:8648959:8649853:-1 gene:Dexi3B01G0012350 transcript:Dexi3B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTVAVELFIPLASLIGIAFAVLQWYVVAKVPVPTPTGVAAGDKHGGGDQSDDDDAHEEDDEEEEEGIDQVAVEIRCAEIQRAISVGATSFLLTEYRYLAAFMASFAAVIFVFLGSAQRFSTRPQPCPSNPSLQCRPPLANAAFTAVAFLLGALTSVMSGYLGMRVATFANARTALEARRGIGRGFAVAFRSGAAMGFLLASSALLVLYATVNLFGLYYGDDWVGLYESITGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAL >Dexi8B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:8B:894769:895451:1 gene:Dexi8B01G0001310 transcript:Dexi8B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALPLLLICFLFLLALASAKIVADNGIAGQDLMNEGDVVHGHKDNNNGKGNLKPSKCAGECRRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGNKETCPCYSNWKTKKGGPKCP >Dexi7B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:7B:17089151:17089789:1 gene:Dexi7B01G0009490 transcript:Dexi7B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKESSRVRAPTPAALVLALLLLMGSPSWSRIVAHGVRITPRAGASGPVDGGVLGLGEAAQAAAASSGRRSTDDKPGRTGASPENDEELWAKERTATGSWLPDCAHACGPCSPCRRVMVSFMCAQASESCPVAYRCMCRGRFFRVPAL >Dexi5B01G0031590.1:cds pep primary_assembly:Fonio_CM05836:5B:32254690:32255344:1 gene:Dexi5B01G0031590 transcript:Dexi5B01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPADPTADQASNPATDEPAGKAVDAPAHPDHGGSNAEAADEDYEEENEEEEELDGPAAEAAENEKVKAVFRRLSEAPVGIRVHDVTIRGNTKTRDALIEAEAVDLIRSAATVQDLVRAASIANARLRRLEVFDSVLITLDSGPPELPGTTNVVIEVVEAANPIDGNVGCFSKPEL >Dexi4A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:4A:24788509:24791649:-1 gene:Dexi4A01G0021090 transcript:Dexi4A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPQDYAAAAAAMAYAQAQQQPPPQYGGYHPQAPPQYPPHPYGAPLPQFPPAPYARPMPPAYSHLPPHQQPPPPYAAHPPPPHVMSTPSPPPHHPYMHPPPYESAPPPAAPPADPELQKRIDKLVEYIGKNGPEFEAMIRDKQHDNPDYAFVFGGEGHAYYRYMLWLLPRPPVPYPPGSMHMMLPMGPMMRGAPIHQAGYPPFYDQHQQFASAHGHGEYEAAVQPFKGLSGPLPADVAAELQDVLNNLNGTKESIKGAKSWFMQRLPFTPGLAEALRERVFSLEDSERQLHIIFLVNDILFESLQRRANIRDLDNEAIAFKSVLGSMLARIYNNPQSQDDSQTRVDKILQFWGSKEDQHQCPQNGPPNLQRRKRSILFLVHPYLHPLHSFQQTNSQLVTAAPTNDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILERVSKFFSEIGEVNPSEGPMRHNEHDDYDDYERELPARKGGACIPPPPNLLVNPETGMRADGSVESKPGSSGRLGLGATADPNEVSQYDDVYSSYRKQRSTTYHSFITARSTSR >Dexi5B01G0023990.1:cds pep primary_assembly:Fonio_CM05836:5B:26079865:26080500:1 gene:Dexi5B01G0023990 transcript:Dexi5B01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSPPLLRRFFSCAASSASPGGGSAAGKKNLVFLGSPQVAASVLDTLLAASGSPDSGFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPEELIFTPERAREESFLSDLKEVKPDVCITAAYGNILPQKFLDIPLRGKLAFPSTI >Dexi7A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:7A:20825494:20827699:1 gene:Dexi7A01G0009890 transcript:Dexi7A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKLGARLDTFFTSGPVRSVYTEVATDLDVLVDHCLFRLHKFPLLSKCQLLQTLCAESDAVELAGFPGGAEAFEACAKFCYGIAITVGAHNLVPLRCAAARLGMTEAADNLAGKLDAFMASCLLRRWRDALAVLRSTARHAASCDELGVTSRCVEAVAILATAAGTTNASASAAGCSTTSSSSPAWWARDVSELGVDLFFRVMVAIKAAGTVKGRAIGDTLKVYARRWLPPNVGCLVDVEQTGRSSSSSPGIAGEEVVVAAASHRILVEKMVSLLPSERNAVSCSFLLKLLKAANVLRASSATKAELTRRAAQQLEDASVSDLLIPSPCETLYDVDAVMAILEELALRQAAAVAEGMSVASPAHARRGHRRSRSAESSEFEGARRSTSAAAASHGEMVRIGRLVDGFLMEVAKDPNLPLDKLIAIAEAVPDCARPVHDDLYRAVDTYLRVRPEMDKGSRKKLCRVLNCRKLSETASMHAAQNELLPLRVVVQVLFFENARAAAVSGHLPSGNRVAGVAGGVKALLAKAAATRSREADDGEEAINKGEQRLRGLAAGELGKGDGDGWSVEGLKRAASRVSTLRMKLEEDDEDDADDGAFVHRARPGLVRSASSRVRALCAIPAGKPKRMLRRLWPSSRSVAESDRH >Dexi7A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:7A:22058151:22059515:-1 gene:Dexi7A01G0011660 transcript:Dexi7A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQKRKANACSGKPNPPSIEDLEQEVREDRNHSRAGYLEEIVSDECPAEAEQSHVDQQVRDLNRSEIDSTESRDRSAYLLACHWDWSRSSRRYSVFKMPDLAASSASEARRKRLKRLGSLKADAHGKVFTCVSNRAWIVGVGGDHRDTIIFDASNNSSSKKKNKKKKVIQGPKLNSAKWSPVLTTVGDKVYAMSKTPSWVSGRDFPPWFEVLDLSKAKIVKVDGKLHLEDCSWIPLPHPPCMPWELSPTGYIRMTIVILMSCVVVRPYILISFNRPWGTYAFDTNTQEPFQWHKVHKDNLPFIGCATPLGSIFLASSRKGPINAYRINVAPSDDEDNALKLSITVLPVKYMEREVDVGPCFTSLDSESFCSLSLSVDKNSITRKCENGELFPEKVHVNLKTYQIDNPSLLQNRDETVLAVNPEVAVSSQQERTLNIADSTHGFSPVGFSLVSM >Dexi5A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:5A:208903:210228:1 gene:Dexi5A01G0000280 transcript:Dexi5A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSSSSPSCLVFRGWQLPPGFRFQPTDQEIIVCYLKKKIAGAATAVTSIVADVDIYKFDPWDLPEKALFGDGEWFFFSPRDRKYPNGARPNRTAGSGYWKATGTDKPILATGGAQCLGVKKALVFYQGRSPKGTKTHWVMHEYRLLDADVTKPSSSCSSSMRLDDWVLCRVRNKQLHLQAGVDDGGSTYHYHSSAPSSELAPPPIPASSSSCTGTATTTNDHLLHYLTMVQHPEAQDEQDHEPAAAAQLVSSVLESIKRNLSFQAIDELYLLHQPTTKRANCTTADDAGDDHHRLILNTTTSFSISPEAADDVF >Dexi9A01G0036320.1:cds pep primary_assembly:Fonio_CM05836:9A:40791694:40792772:1 gene:Dexi9A01G0036320 transcript:Dexi9A01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGAAAAGVGGAAVPAFEETTGVFFLAQRAHQGADDAAGTGGDIVGEAAAIAMDEEAIEPDFATLLAEIDAFLTAYRDGEVFLASRRRRSSAVEQEILRRRELTTHGSPRLLARPAAPRRCMPAPRTTGACWTAAAAALRSRSRRR >Dexi4A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:4A:219424:221596:-1 gene:Dexi4A01G0000300 transcript:Dexi4A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRHLDDPFFPFPPPPPSSSCPFLDLDFSSTSPFPPLDDLFLPPSSDPFLPAPSPYPFLLRDLTDRVAALEIAVAGRRRPEPTTRRCTYVTEAHGRKVKWTSVEKPRSGDRTLKWEAEVKSPSEDGFDRKWKWEAKGGGPSASAAPRKLKWGAALKGKGCLEPWSQAYTWEEDFTASDTDDSDDDVKIHKNKTLANKVVTDKKNKDKKKEDKAVVNKEKKCPVATIKIEEIPDDNQAGCVAIRKAFAKGNGKGKKRKELSPQDAALLIQMTYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRIAHDHEERQRFSEKIIVLLITVDALEGPDYMVRTAQKSMLEELEAMLEVVDPQPPGKQRSLSRRKFDLPEGGAVSGEKADGVNKAVKIIQEGK >Dexi3A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:3A:5579956:5586699:-1 gene:Dexi3A01G0007990 transcript:Dexi3A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNTRAVVVAVEVHRHLFQLVGHLSLNNMNSKDAVVIRAVVAHPRSCSIQVVDHWSLNHVTTRSVVDIWAVEDVHHVLVVDHLSLNCLYTRAVVDTRAVEAHLHSVLVVGHVSINHRNTRCVVFRAPLVECLSHTMAGMGELVLDQVFLQPAEAEVSTGQVQQRFQQLAIHGQSSTSQAVQVAPASSKSVKFPLRPGKGTYGSRCIVKANHFFAELPDRDLHHYDVSITPEVTSRGVNRAVMAKLVTLYRQSHLDGRLPAYDGRKSLYTAGPLPFTSRAFEITLQDEEDSLGGGRRRERVFKVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYCPVGRSFYSPNLGRCQHLGDGLETWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDCVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDDQGTVKTVLQYFLETYGFSIQHSSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPHERERDILQTVQHNAYSEDPYAQEFGIKIDERLASVEARVLPPPRLKYNDSGREKDVLPRVGQWNMMNKKMVNGGRVSSWACMNFSRSVNDNAARMFCQELAQMCQVSGMDFALEPVLPVLTARPENVERALKGRYQDAMNKLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKANKQQYLANVALKINVKVGGRNTVLVDALARRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMVKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRSVDKSGNILPGTVVDSKICHPTEFDFFLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMVSGATSRGPPPGSARSSRAVGNVAVRPLPALKENVKRVMFYC >Dexi9B01G0042360.1:cds pep primary_assembly:Fonio_CM05836:9B:42593589:42615125:1 gene:Dexi9B01G0042360 transcript:Dexi9B01G0042360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPHPWLDATGFHRPGQRSLVRNCSGGSNLAEDFGWQLRGEQVGRRLASPSEEVMRPCQDPPHGEWELARKIVPGWDDGTSSEVRQAYKQFIGAVVELLNGEVVSEELHEVAQTVYALFSGDGTEYDATQRALVKRNELEKLVGYTVQDSILKKLAQLAQKLGSLQRASTHEFVHRAAEDVDKNERTEFGADFDFKPPARFVIDVSLDMPLESAELCSGTFEKGQYDAWSAPTTLNSTAVRGSVSLRWLKHQCDLITRSGGSLSGDELAMTLCGVLLSNKAGDEIAGELLDLVGDTAFEIVQDLLLHRKELVGAIQHGLTILKSEKMSSSNQPKMPTYGTQVTVQTESERQLDKIRRKEEKRGKRGADTGNSDIGVDDFSSLLLASERKQPFDDVIGTGEGSDSFAVTSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISERDYTKRSELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGELELFSSADHPQFPLIKKDVSKAKSREVVEFFESGFGIHNAGMMRSDRSMMERLFGDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVLGDPSMGAKQRAFIVDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESVVITMVAHSSEFENIVVREEEQEELEALARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMTSLLLEYCKAVDRKIWPHLHPLRQFDKDLSPQILWKLEERNVDLDRLYEMDENEIGALIRFSHQGKLVKQYVGYLPYVNLSATVSPITRTVLKVDLHITPEFVWKDRYHGMSERWLIIVEDSENDTIYHSELFTLTKKMARGTPTKISFNVPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQISHTELLDLKPLPLSALGNKTYEDLYRFSHFNPIQTQAFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVTDDGLFNFKPSVRPVPLEVHIQLAASDEKPRQFLSMADNALDMVLSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFTNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTIGNKEEAIIYLTWTYLYRRLVFISCKIIFGTLFYVVNPAYYGLEDTETSTLNSYLSRLVETTFEDLEDSGCVRVDDHSVKYLILGKIASQYYLSYLTVSMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRTLSGNVPYPVDQHRLDDPHVKANLLFQAHFSRAELPISDYVTDLKSVLDQSIRIIQAMIDVCANSGWLSSALSCMHLLQMIIQVNFTGLWFERDSPLRMLPSMNDDILDHLRSRGVSTVRELLDLSREELHRFLQPFSASELYQDLQHFPRVNVKLQLQNEQERSKPPTLNIRVQLKNSRRSTSRAFAPRFPKAKQEAWWLVLGNATSSELYGLKRISFADRVVNTRMELPQLFNIQETKLILVSDSYLGFDQEYSLEHLTQG >Dexi5B01G0028550.1:cds pep primary_assembly:Fonio_CM05836:5B:29942270:29946370:1 gene:Dexi5B01G0028550 transcript:Dexi5B01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASMLAGVARRASARSASLAARSGNTGEALILGWRSIAAVHPQSKLTGDASPKRLIQFGCAYGVARRQFHGVDTVEDQPDKFVAEEEDMKSDEALWALYQRWCIHFKVERDHDDMLSTPQRPPPSSAQIIGGRAWPLASGLACTDHRAIGGTRREAEPAAEPVLATPTWELKLARGGKRDVVAWFRGGGTLSRVDLPQPLGPRSIQSCPGGTWREQPLSTGSIRPLRVVTVSPTSRPSMAGEASGGEAEARTLRLRRGVASRMDQAVVGEEDAQAQQWRGPTPPARPCAISGKLRENKSSAWAGALPG >Dexi9B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:9B:9595545:9598445:-1 gene:Dexi9B01G0014330 transcript:Dexi9B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSVLRDYAARKELDKIIFSGEDILFGSDYTFPANTPTAFASKQSGRPYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRFGHNSLPSADPLLPSAFPPQEAHLHPPSPPPEGSGAADEPTTGAQIRALERPFKDRNSLLDSRGRDFLAVLQGALRRQEEQRKGGGKDSAPSSRPDSGAAAASLSKPKLVDRSLGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRAERAGAAGGAVSFEVRDKPASLKPDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEIWERLEEFMRSRT >Dexi7B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:7B:20540813:20546218:1 gene:Dexi7B01G0014200 transcript:Dexi7B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLVYYGNILVGEAELSPKGETDLVWAREIRVDRLSPPSERCPPLAVLHAVAPGDRCLVMESTPTSTADEPPPTLVAMHTACLRDNKVRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQRRVHVVPAFAPYYAPQAEANFPVPVLCVARNVACNVRGSFFKEFDEGILPRISEVRYEDEMDSTPSAPDVSNYLISEDENAAISNAKQDPRAFDGMAGAEVEKRMKEASSSFQAVNPISADVSVVSVAAKQHFIAPTSSSIPIAPPLGTMPLNNEQVPQPPSSSWPVTQSGLVDSLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPDGPPAQVSVPPVQSHGNWFSLEGEMNPRNLSKASTELHLESDTVNYDKKQLQKLSYLPDGDNPISADRYSCKNQRYPSQVSDPKLEILLECCGCVLSLEYSKLDLQCEGEDIVSRHAPSRQRSSKMESGRYSVQYGGILGVLEEIAVKCGFKVEYRSTLCDTTDLQFSIEVDAN >Dexi2A01G0028630.1:cds pep primary_assembly:Fonio_CM05836:2A:39862293:39863501:-1 gene:Dexi2A01G0028630 transcript:Dexi2A01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAANYPILAPGDVGQPSFGATNSSAAATGGGFVALDVGALSSLAGDAGPPGTPTTAPPRTPTAPPRTPKVVRSLSRKGDRKPAEADANGTAGGGSERPQLFVHVAAGDLGDAPGSARLVVHTPLASTPGSKSRRFGRRPAPWLDPRRVVFLFATL >Dexi8A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:8A:30617746:30618189:1 gene:Dexi8A01G0018290 transcript:Dexi8A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCSCGKSSMYPDLEEKSSAGAQSTVVLGVAPERKQAQLEAAAESGETAHGCSCGANCKCDPCTC >DexiUA01G0020020.1:cds pep primary_assembly:Fonio_CM05836:UA:41547009:41553745:-1 gene:DexiUA01G0020020 transcript:DexiUA01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTTAAMVKAAVLVAVVLMQCCNAIVAARPLLGVAAPAVAGGDGGWLGFIMQMLDKGGPSGPPPGGNPCCGQSACVRDDLTYYYMRPLGTAFDQDGRIGALTCRDQSQRRNHEPREDKEPIGSTPAGQLALGRSRPVVTNRATTRKRPTPRPPPWPSRRRSPAGRPGPGRPATHRRPPIKAGAEVSLRHTKAQRAAGKLKKKLTPSSSRHSHSSASSQDNMLVDMPVHRNVLYEEEEEVSTPPQDEEEGTSTPQCGWDTTNNTQTEAGTSQMPSSGEPRTSQMPPPNPTANMTFEQTYDYFQGGSGQYTGTMPGYGQDPGYYYSGGMGSYGPQVGPSTSARYDYENPFTQEISQINTRLSKLYQQQQQMNQDMAHNTDLTQQNWAMVTPMHYDISTIFKRMDLNPNQQNPDQSVGQANKRKFSAGASAILSRGFEPRFPRTIFLFLPDSFCLHWKLLIPAFLSETASLQEAGRALAIGPHPSILLPKQVTIAIPFASPAGEPPGQDKGGGGQPSTLDMHIDQSISASCQGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Dexi3A01G0028900.1:cds pep primary_assembly:Fonio_CM05836:3A:29855089:29856090:1 gene:Dexi3A01G0028900 transcript:Dexi3A01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERYERLGKIADGASGAVYKARDRRTGETVAIKRLRAGGGNGEGDAFSAAFLREARCLEALRGHPRVVALRAAHLDPSGGGAFLVMEFAGRSLAEATRDGGAPVQEAEARRVMRGLLEGAAALHARGVLHRDLKPDNVLLDARTGAVKICDFGLSRSTTDAEDGDAPYTAGVATLWYRAPEVILGSREYDAGVDTWALGCIMAELLAVAPIFPGRTEMDQLNRVFDTLGMQEMSSWPGFARLPRAGSGLCNRTRPPSRLREMFPALSAAGFDVLTGLLAIRPDRRLAAADALRCPWFADTAAPEAAADQLRASCAAAMAASVPGVAEAIIA >Dexi6B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:6B:15235740:15236117:1 gene:Dexi6B01G0009740 transcript:Dexi6B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGTTHLTGAFADDALADSVFMSCFVKCLQYDDYWIRPECFGYRIFLNPEVLAILNIEWTKRDTSEPRYSQFAAVSALQRCLPFTNLKKTK >Dexi3B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:3B:2391278:2399224:1 gene:Dexi3B01G0003570 transcript:Dexi3B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSKILLLLFGYAMPAFECFKTVEMRPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLLLRAKSGQLLSFYVKNFADKGTAFFMDVLRYVVSEKPEGSNAEQRNKKSGWSPFATKRRPPSPPPLESIFYSNPDAAVVAEALRATIGAKPRRAPNGKHY >Dexi1B01G0028590.1:cds pep primary_assembly:Fonio_CM05836:1B:33083013:33086419:-1 gene:Dexi1B01G0028590 transcript:Dexi1B01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADWKKKERLVMEDGDIEDCLLGGSEEDDDKAEAEAEAEAEAGSLDSQRWPRSFREATDTFTIAAPAPGFGQLGGGGGSDLKLPLLSDKPNDGKQGSVKDLLAAGTLGSVLCDGKLSATAPVVTQQGCSLTQTVFNGLDIYVSCVSLLYLSIGVNMLAGIGIFSAPYTINESGWASLVVLAFFAIVSCYTGVLLKYCFESKDGIKTFPDIGEVAFGRTGRLLISIVLYTELYSFCVEFINLEGDNLASIFTSTTFDWHGIHADGRHFFGVLFALVVLPSVWLRDLRVISYISAGGVFATLLVFLSVGLVGATGNVGFHMTGKAVKWDGIPFAIGIYGFCYAGHSVFPNIYQSMSDRTKFNKALYICSILKCMQIFYSDKPIGAEHRRVASSRVSDRQSLLCYLEDCPCCINCMHCFSPAVLWYGVFFFLIINVEQHVIVSCCAGLVMALIGSLLSILVALIMPALCFLKIARNKATRSQVIASVATVVLGAVCGVLGTYNAVTKIAENY >Dexi1B01G0024160.1:cds pep primary_assembly:Fonio_CM05836:1B:29578217:29579818:1 gene:Dexi1B01G0024160 transcript:Dexi1B01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVACLISDKTHIWCIQVGEIISRFEKKGFSLKGLKLFRCPKDLAQEHYKDLKDKPFFPTLIDYITSGPVVCMAWEGAGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCQWESVQTPWLVE >Dexi5A01G0036090.1:cds pep primary_assembly:Fonio_CM05836:5A:37768071:37771481:-1 gene:Dexi5A01G0036090 transcript:Dexi5A01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHATGTGGGGGVGRRKAGAAAAAASREWMVVPASGPARVEEAGKYAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFTRFVHDLQTRAADLTDMEGDSSAVASPFPVPNSSKGHELEMTNKSTVVPEMTSSSSMPNLAAAKDGNTKTSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMDEDYRSEPDGSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNSDTEEETRAGNVRFWETTFGTISGCVILYIIAMGWGKRSGLLQ >Dexi4B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:4B:14852941:14859059:1 gene:Dexi4B01G0014170 transcript:Dexi4B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLLLLLHFLSADATAAAAVVNPRRSLAAQGKSDMASMAAGSPMVAGMMNERLKALTTSFAQQMGREFHYCIKNMDQEWNTAYNFSNDPTFLTNCMKKTDGDLPQRVCTAAEMKFYFESFLEGNGRKNYVKPNKNCNLTSWIDGCEPGWSCSAGKDQDVNLKDAVNIPSRTLDCQGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGVCDPYHYQPPAGKPNHTCGGADRWADVVSTDDVFCPPGYYCPSTIQKFDCSSGFYCRKGSTTETKCFHKGSCPPNSANQDITIFGALLVGALSLVLLIIYNFSGQLLINREKKQAKSREAAARHARETAAARERWKTAKDVAKKHAAGLQSSLSRTFSRKKTLRTHEPSKGGNGLPSTEPDDGPSNESGGKKESLTDMVRSLDDNPEKGEGFHVQIGEKKKPRGKHAHTQSQIFKYAYGQIEKEKAMENETKNLTFSGVISMATEDDLMKRPTIEIAFKDLTLTLKGSKKKLLRSVTGKLMAGRVAAVMGPSGAGKTTFLSAIAGKATGCQTTGMILINGKMEPIRAYKKIIGFVPQDDVVHGNLTVQENLWFSARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNAKEPVNVKDLPIRWMLHNGYEVPRDMLQSSSDSESSFRGGGSHASGDDTGPSIAGEVWGNVKDIVGQKKDEYDYNKTSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGMGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTIVANLCYTKWALEAFVIANAQKYSGVWLITRCGSLVKSGYDINDKILCIVVLVANGMIFRCVAFFCMVIFQKH >Dexi4B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:4B:8060631:8061987:-1 gene:Dexi4B01G0010710 transcript:Dexi4B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVFVWVEVYVWVVVEVYVWVVVEVFVWVEEWEQRVWVQASAQGLMSARVLELVLVWKQGSVMVWMLESVSGQESRPVWAQAPVQGLALT >DexiUA01G0004510.1:cds pep primary_assembly:Fonio_CM05836:UA:8605070:8612733:1 gene:DexiUA01G0004510 transcript:DexiUA01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPETIVLVLIALLVLLSVLGRLCRDECKNDDDQEVANNNDQEAGRVAESSSSRAGEGQQQQLVCTYLRADGWRESSCGVCLAELADGEAVRVLPACMHYFHAACVDEVFFAQHPQARSMQRAEQPRQRL >Dexi1B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:1B:25803700:25806866:1 gene:Dexi1B01G0019570 transcript:Dexi1B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVDKEAPAVPSRFRRICVFCGSSHGKKKSYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREISGETVGEVKAVVDMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLTFIDKAVEEGFIKPTARSIIVLAPTPKELIQKLEEYSPQHEEIVPKMKWEMEQASYPQKYEIPRANEGKIIVEEQRGSNLWM >Dexi2A01G0028050.1:cds pep primary_assembly:Fonio_CM05836:2A:39357071:39357885:-1 gene:Dexi2A01G0028050 transcript:Dexi2A01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMELLIISKKTLRDAGVVGSARAAELYVPATFGTYYLGITFYNQVFDDAMQLSYVSVPDKHCFRS >Dexi2B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:2B:8336246:8341247:1 gene:Dexi2B01G0008240 transcript:Dexi2B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRESGGGANGGGGAAVARRAEAPCQICGDEVGVGFDGEPFVACNECAFPVCRACYEYERREGSQACPQCRTRYKRLKGCPRVAGDEEEDGVDDLDGEFGLQGGAGHDDDPQHVAESMLRAHMSYGRGDAHPGFAGPVPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSLPVQPRSMDPSKDLAAYGYGSVAWSDRMERWKKKQEQLQHVRSEGGGDWDGDDADLPLMDEARQPLSRKVPIASSKINPYRMIIVIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRDRRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLSCCCSRNKNKKKATKPKTEKKKRLFFKKAENPSPAYALGEIEEGAPGADIEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELSNVASIWFMALFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Dexi9B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:9B:11562965:11567637:1 gene:Dexi9B01G0016840 transcript:Dexi9B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCSGGESTKPIFVGIYGAVLGGFAVSALFFLLSSFSSLSAPPLPLPTTALSTAAANLSGPTPSQPETMYNCPIWKPPPRGSRMPSPRAFRLTRDMVAARARGGVIVVTFGNYAFLDFILTWARHLTDLGVDNLLVGAMDTKLLRELYLRGVPVFDMGSGMAPEDAGWGSPTFHKMGREKVLLINTLLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKRMAKEWKDLVLSDDKLWDQNAFNDLVRKVFGQPVEGQGDLVYSYDGKLKLAVLPASIFCSGHTYFVQFLSFACSCVCSVLLISTSSVFIFLDYQAMYKQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDQPSYYDSPGGFLSFKPNIPKHLLLDGAHNVESHFALVNYQLKQVRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHIFEVHVMMKDLPKEEFGPHIDFREYSFLENPSLPKELLNIFSPYKSVKIIQFSSMVDAFGGFSDAAVETKFRNRVKRYVGIWCCVELREIGHIYYDMYWDEKPGWKPHPPETREQDHPPWS >Dexi2A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:2A:30288415:30289362:-1 gene:Dexi2A01G0018220 transcript:Dexi2A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTPTSYLLLAPLAILLLVFLLPSLRPSPRDASDGLGVLCARRAGLSNATAVAAPPPVDVSSPPPSEPIDFSLLVGVLTMPSRRERRDIVRMAYALQPRAARARVDVRFVFCDVTDPVDAALLAVEIKRHGDIMVLNCTENMNDGKTYAYFSSVPRLFASGRRYDYVMKTDDDTYLRVAELAKELRGKPREDVYLGYGYAMGGQPMPFMHGMGYVVSWDVASWVAGAEEILARNDTLGPEDLMFGKWLNLAGRGRNRYDLKPRMYDLNWDMDNFRPDTVAVHTLKTNQRWAATFKYFNVTAGIKPSDLHHLP >Dexi1B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:1B:25461800:25463370:1 gene:Dexi1B01G0019210 transcript:Dexi1B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALVPLLVSLLCLVSSALPAASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGEKLLVGANFASAGVGILNDTGIQFVNIIRIGDQLQYFQEYQRKLRALVGPEQARQVVNQALVLITLGGNDFVNNYFVVPMSVRSRQYALPDYVRFIVSEYRKILLRLHELGARKVIVTGTGQLGCVPAELALHSQNGECAGELMRAVNLFNPQLVEMVRGLNRVLGADVFVTANTLRINFDYLNDPQRYGFTNVQVACCGQGPYNGVGLCTAASNVCDNRDVFAFWDAFHPTERANRIIVGQFMHGDTDYMHPMNLSTILAMDREGL >Dexi7B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:7B:13200141:13201317:-1 gene:Dexi7B01G0005700 transcript:Dexi7B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPSPRPVGDPSRRPQREICVIPRSTAIDQREALLSANALVAMVGGPRPPISTAQVASYLQQFHGVQPEGLLVHHATPDDFLVVFASAASATAVLHSPPPVGAPFQLIWRRWRRQARASLATLRFRVLVELRGIPDHARNLDTAQRILNPACAELVEPPPELAGDDRGFLYAAAWCVHPDLIPVTKLLLIPEPREPHVPGNLFLREEEIIQSKMDGLWYRVAVRIIEVHDWDPDDSSDDGTPPDRYNSSEEDDDYPGSSRQPRSGPWPKTTKFGNADGERTAPVVLGPGWGGPFAAAAGNGGTAATLIRFGSGWGLRSASFKGKPLARRVAMLAQSLGLLRGVRVTSTMLLHFALLQYQPCVTRSSRSKWTPCCMRRPIA >Dexi5A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:5A:39209:40702:1 gene:Dexi5A01G0000030 transcript:Dexi5A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVKWPTRGRAAHTDRRRIACRHAPPPSAAPAMRKAIVFLMALALALSLAAASPPPLRRSRFLGGGGNNNSPPPPLSFYDCSKKPPTICLQPGSPGATCCKGTCVDTDHSFEHCGNCNKTCKYAQTCCQGKCVNTFTDAKNCGGCGKRCRTKCTNGYCDYAA >Dexi3A01G0028830.1:cds pep primary_assembly:Fonio_CM05836:3A:29759975:29762389:-1 gene:Dexi3A01G0028830 transcript:Dexi3A01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLHLAAPPPLVLLPHRRSLHRRSPPRPPPPGPSLPSPARAAPLLLPPRLRLSRAGRPVRAVGGGAEDGAGAGLAEGKEEEAEEEAAGVGAGGGAGLVGEDSAAFRLGDQSVASWAYFAVILAAVLWALNVLWIDPGTGVGTKFLDAVGSVSDSHEMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGAWNGDRRLASRYGEAFEVLKKRTSVVPFAAIIDGRQKLPKDYYKEFFRLPYIAITALTLGAYFAHPLMQASSYQLPW >Dexi5A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:5A:21442080:21443783:1 gene:Dexi5A01G0017970 transcript:Dexi5A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNPNPTGATPQQRPPHPQQQPPPGGSPATPMSHLRPPSLAGSPFQGLFHTPPSHNPAFQIHMGAATSPQTPLMAAAAAVAAQSAKRPPQKPPMRPQAPGSTSAAAAASAAAAYKAAAAAAAVGNAGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQGPRTIPPPKNADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFVANIRLEMNYSPEKFKLSQPLMEVLGVEVDTRARVIAALWQYVKAKKLQNPNDPSFFMCDPQLKKVFGEDKLKFAMLSQKISQHLSAPPPINLEHKIKLSGNGASGSACYDVLVDVPFPLQKEMMAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNIEKERRADFYNQPWVEDAVIRYLNRKPANEGPGGGAGGS >Dexi1B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:1B:24271154:24275605:1 gene:Dexi1B01G0017970 transcript:Dexi1B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPWAQRRRVGKEAGGKRLRVWEPEAELVHDFRLMNDGYASQQIKTESASIFVKDAPTRSRALSPPAPRSLSSCAWYSSSRRAAAAHSAAPTDFTGSLLGLCCPLARAPPPAQPHKLTMPRRRRRRRSYLRATQRPAAARARRASLVVIYAAPPSLGLDLGCDKPFWPSPLPSTPAPPVALADIAVQTVTHPPRAMLGAQGRAGPDGRCNCTAGHIDALSYTETW >Dexi6B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:6B:16824722:16830412:-1 gene:Dexi6B01G0010440 transcript:Dexi6B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSKRCGRRWRALCRREQAMGEEVDGVEAKAAMESTGDEASHSILEAKEVFVQGINRVPCKPLIKGLIQFMSTHGGPTEIPLLDSVISNAVAPGSDVEPQVERDIVDSGGHNDSGEHKALENLDSNKETSRTSQECTDMVQSQHRDKYGIQNQTNSYAKEETNQDLSLHEQNYERTSHEARSSEAPAAESVDCASPSKAIASSETINSQDNVTEASASSHQEILCSKSDLPCGSSMPKEGSSSDPARISPELEERQPVEVQDGRNKQMDHGQQSEASQSDGSKLRSGEQSELSYPSTPQSQR >Dexi1B01G0021670.1:cds pep primary_assembly:Fonio_CM05836:1B:27558248:27561237:1 gene:Dexi1B01G0021670 transcript:Dexi1B01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFVYRSPWFVEKLLSRSSALTTGTMKDFAGLVHLFVASFLFYFASFMVIPAITDVTMEAVCPGRDECSVAIYLSGFQNAVMGLGALLVTPIVGNLSDRYGRKALLTLPVTMAIFPLFVLACSRTETYFYVYYAANIVAGMFCSGSTHCLCLAYVADHVGPRRRAAAFGLLSGVSAAGFVAGTLTARFLSTSSTFQVATAVAVAAALYLRAFLPDSGGGVSCGDEACDPLLQDSPCSSSASSSDEELSPKLPPHKGGLPSLSDMTTLLTGSLALSGAATITFFYSLGEHGLQTALMYYLKAQFGYSKDEFANLLLIAGTAGMLSQLTVMPILAPILGEEILLTIGLLGGCTHVFLYGIAWSYWVPYFGASFVILSAFVHPAIRTNVSKNVGSNEQGIAQGCISGISSFASILGPLIFTPLTAWFLSESQPFNFKGFSILCAGFCSLIAFIISLRMRGAQSSGCKKNTVQHEQA >Dexi7A01G0024210.1:cds pep primary_assembly:Fonio_CM05836:7A:31991558:31993152:1 gene:Dexi7A01G0024210 transcript:Dexi7A01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAAAAALRPTAAALLFSPPHLHRRTVEHLPFARRRRHSYSSTATVTSPSPTLEDSEGKASRRRRARGSPEGLLRHQLDMCSRDADLTTALRLYDAALSPDSPVPLSLHHYNCLLYLCSNAAAASDPDSSAAAAQRGFDIFARMEADGVQPNEATLTSVARLAAATRDPAMAFSVVRRMAAAGIPPRLRTYGPALFAYCDAKDAHGAEQVEAHMDASGVVPEEPELAALLRVNADKGRADEVYRLLHRTRALVRQVCDTSAQVIEAWFRSDEASEAGVDKWDPSKVREGVVKGGGGWHGQGWLGKGPWSVSRSEMDKDGTCQRCGERLVCIDIDPSETDNFANSLTELAIKREAREDFLGFQNWLRRHGPFDAVIDAANVGLYNSKAFSFSQVNSVVNAIQRVTKSNKLPLIILHRNRVNGGPAKAPFNQKILEGWRNAGALYATPPGSNDDW >Dexi2A01G0032820.1:cds pep primary_assembly:Fonio_CM05836:2A:43174743:43176811:-1 gene:Dexi2A01G0032820 transcript:Dexi2A01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTIVLKVDLECQRCYKKIRKVLCKIQDKMKITTIAFDDKANTVTISGPFDADKVCNKLCCEAGRVIKEMDVKGKEKAKDGGGGGDKAKDAGKADKEGAKAEKKEDKAEKKEVKADKDAKPDKPAEKGDKDGKAEAKKVKFADEAAPAGGDAKPGKAAAMPAAISKADLGPLLEKMKAAKAGPEPPIAAAPAMVPGAAQGVAVPSIWPAPAGSVAGYGYNPGYDAQPYYGGGAYGCGCGGYNGYCRCGKPAAPGGYYGVPVYDNQGWYYGGAGRQPYYQQQQPGYEDPNAGCSVM >Dexi1B01G0024900.1:cds pep primary_assembly:Fonio_CM05836:1B:30050705:30051370:1 gene:Dexi1B01G0024900 transcript:Dexi1B01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISLLLLPSPSPRPLPRGGASGLLCCPRRHRVLLPSPGLVRAPHRLLAAAPPPRASNNNDNSGAVEAPDRLVAAVAYLYPFLDGAHHGRFLLAQFPFFSDLLRPLGPAARLFHSSPLTPFLLFLTLYFAVVRNQRAFSRFVRFNAMQAVVLDVLLIFPDLLAQSFAPSGGVGFEIFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >Dexi1B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:1B:2905131:2908808:1 gene:Dexi1B01G0003660 transcript:Dexi1B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKGSVFWDWENLPLVDANGNENIKMIVPQPEAKFAGVEVTRHGSVYSPCGTFSSSSEMGYGSSKSSISASIDSSPKAGNNMELNFAPVRMPDKNTDLGKVDDARTSPSSVIGVSSGEPVLSLKLGKRNYFEDLCGGQSVKSSPSDMSEVTPPAALVKKAKVPQNSYCQVEGCKIDLSSAKDYHRKHKVCEAHAKAPKVVVAGLERRFCQQCSRISAEVVVVTFLTEARQPTSFLFGQAPYGQMRSCVSSSWDNSGGTFKFAETKAPWLKPARAVGIDGLHLSSQQVWNNIMPPGAHQDFDGFMAFKGTSAEVLNQGVEASVVVSDSNGSPDLQRALSLLSNNSAGAGNTQPTTQLHPGLSTLASASNTAMQASSPGFWQDGAALDLHARFQALDPLGNNAIATAHQLQLPKPSSYDGSDSHYDQMH >Dexi4A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:4A:24555026:24555786:1 gene:Dexi4A01G0020790 transcript:Dexi4A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLKSQKTMILDAPVSGGVPAAEAGKLMLMLGGLGETYLAAKPLLLSMGKKAIYCVGAGNGSASFAAKICNMAMMISMLGVSEAFALGLNLGIKGGTLTDIFNCTSTRCWSSDTYNPVPGVMEGVPSSRNYVVVLPPN >Dexi1A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:1A:16300513:16300791:-1 gene:Dexi1A01G0013320 transcript:Dexi1A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSRSPPTTTPPPPWPQQPPARPPWLAASTLRRRRHLLSLLHCHRCLQPPPSLQPRLARIRPPPLLQPRLARIWLPLLQLGAPRAARSL >Dexi4A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:4A:22780389:22780904:-1 gene:Dexi4A01G0018920 transcript:Dexi4A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLFPRPSIRQGKGIINAQNQFCLSGEAHRRPMGTTLHHPPSRPASVGRRPHNLALYCTLLFPRSTPSWNVSSAVTIRAVTAGRWIFIRRSVRLVSRR >Dexi8A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:8A:5623029:5624475:-1 gene:Dexi8A01G0005830 transcript:Dexi8A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGPERAETMAAIAAGFEDVGFFQLVNTGIPVELLERVKKVCSECYKLREQDFKECNPAVKALAELVEKEGEGIAPKKIENMDWEDVFTLQDDLPWPSNPPAFKETMMEYRKELKKLAEKLLGVMEELLGLEEGHIKKAFTNDGDFEAFYGTKVSHYPPCPRPDLIDGLRAHTDAGGLILLFQDDRFGGLQLKLPDGSWVDVQPLENAIVINTGDQIEVLSNGRYKSAWHRILASRDGNRRSIASFYNPARLANIAPATPAAAGEGATADYPSFVFGDYMEVYVKQKFTDKEPRFAAMAATTTK >Dexi3A01G0031240.1:cds pep primary_assembly:Fonio_CM05836:3A:35685384:35689423:1 gene:Dexi3A01G0031240 transcript:Dexi3A01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDTVAFSRRFAVVEKRWRASWEAEGGGGREGDLGTAAGTPPQLPADYEIAVAFAERRKRFAAVPLVLQSAYSVADASLLAFILTPPVNDRAFMVAHVIGDIITGDTAEPEVIVNSGPSVGGLLDSLTGSIGISARSKPIAAPVAAPTASVSSPVGAAQSESLKGGLRPFDKELLRNFIIGAMPFGTPQDLNYTNVTSIKTTGFSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLTGLKTAHVEVSSFNHCVQASEPTDNKQTLVFQPPLGNFVLMHYQAPCNIAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFTMEFCMITMPFPRRRVASYEGNPSIGTVSMTEHSIEWRIVSSGRGLSGRSIEATFPGTVKFLPRTAQRTNSSFRSVSSITYAEDSDSEQDNVKNGASLDDYIMEKINKDLQAVDLEEPLSWQAYNYAKVSFKITGGTLSGLTIDPKSVNIYPSVKAPAEYSMQLHA >Dexi3A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:3A:11827863:11830740:-1 gene:Dexi3A01G0015890 transcript:Dexi3A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADGCAAASMRAAVRRLSFGGAEEKREAAAEVARLARSDERRKRLLPELGVVPPLVAMLADARDGTAARLAAAGALLELARGTHRNKVHIVKAGLLEKLPQLMDDKDLLRSQDLALLLLAISSLANTDFPLSAASELLPFLVSTLSAADVPAATKLPCLAALRNLSTKLEHVRDVVSSGAVHALLALVVPADKHKTSEAALSILGELATTSAAGKKAMEGDEAAPRALLDAMTWHESPRCQEHAAYLVMVLLAHGGSSGGGPALRREMRRLGVVQALLEVSLLGSPLAQRRAAKVLEWFKEEGQSRIRAHSGPRMEPCQHDKDIGEGSSVTVGHGQEVKDCQDTVGKIVKQSLDRNMKSILRRATASVDLTNVKLLVTSSSSKSLPC >Dexi1A01G0029670.1:cds pep primary_assembly:Fonio_CM05836:1A:35154211:35157645:-1 gene:Dexi1A01G0029670 transcript:Dexi1A01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVIFIRRKGNQFHRQESFHSLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWELVPMPSRQVVDFFYIKQDTAKSLKDH >Dexi1A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:1A:6274288:6279031:-1 gene:Dexi1A01G0008150 transcript:Dexi1A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVRGLKHRKAIWFSSTMSTNQTTFAELRSSLAGNRSLALPSARHGRLALVDPPPAFPPRPGAPCSVPSPRALQRAGSLASRSAMMASRARPLALTRGRFPPATRQASLRRISPRSRHDPHAQPPPFAPPELTGALMATPTARARPALAAPFASPSDLFPLPSLVPQPFLLLRARALRLQPPAARTELERWSKFVPVPPPFPNSPHTELDHFLSFLFPHFSRAPLNSPARNRDFPRNPHFRPPEQLHHPNVFVKSHWWSRAPPTPVKATDLAGVEAAAAAPPLLRRRRPPSLLRPLNRHHSTRGELLVLFPHLSDLLPPSFGRRNAADEPRAYLHLLPFHRVPSERKGALADGTYNLVPINEEEVPEGGANVVVIDPEPDSQLAQEGKPRSMT >Dexi4A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:4A:11017678:11018646:1 gene:Dexi4A01G0012480 transcript:Dexi4A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSDLSWVQCAPCNATACYPQKDPLFDPSKSSTYAPIPCDTDACRNLTVFYRDGCTSGGAQCAFAIEYGDGSHSRGVYSKDTLTLAPEVTVKDFHFGCANDQDRQNDKYDGLIGLGGASESLVGQTTSVYGGAFSYCLPAQNGEAGFLTLGAGSTNTSGFVFTPMKREFGIYLVNLTGISVGGKQLDLPPSVFSNGMLVDSGTVATELPATAYSALRNAFRSAMSAYPLLPPNDELGVDTCYNFTGFSNVTVPTVSLTFDGGATIDLDVPNGVLVEDCLVFQESGPPDDVPGILGNVNQRTFEVLYDVGDGKVGFRAGAC >Dexi7B01G0021250.1:cds pep primary_assembly:Fonio_CM05836:7B:26357953:26358789:-1 gene:Dexi7B01G0021250 transcript:Dexi7B01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSLQSGHRRNSPTSRVVLDEDLAASVARIARHEPYVAAGGARLHVPELARRRGVAVLQGAPELAGLVQVVHVLRAADVLPVDEHPRQRRGAGESQELGELGLEDAVHGDVALVDAHAVAPQDAAHGAAYTTAFLSPPGGDRGDTLASRPLMLRLRSIFSWNALMRARTMPESSGMLPAAAGDIGTPHSSASFVSFFLGVSFSVDEISVGFDGGVSSRASRNPERISGSKSKATGGMSSMSPCIHSGRVGGASSMAHS >Dexi3A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:3A:7488739:7493625:1 gene:Dexi3A01G0010480 transcript:Dexi3A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIGFPVTRTSSSLVAPSSATPHETLLLSVIDRVAGLRHLVRSLHVFDGKAAAGGESTPAKTLREALGKALVDYYPFAGRFVAEEDGEVKVACTAEGVWFVEAAAACTLEEVKHLDHPMLMPKEDLLPEQAPDVDPLDMPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLPKPRVTPVWSRDAIPSPSKIISPPPRFELLDLRYFTADLTPDHIAKVKSSFFASTGQRCSAFDVCVAKTWQSRTRALLLDGAGDPVHVCFFANTRHLLPAAAMAGFYGNCFYTVKATRPCGEVAAADVVEVVRAIREAKARLPADFARWAAGGFEKDPYELTFSYDSLFVSDWTRLGFLEADYGWGTPAHVVPFSYHPFMAVAVIGAPPVPKLGARVMTMCVTEKHLPVFQEQMNAFTAAGN >Dexi1A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:1A:6280888:6282470:-1 gene:Dexi1A01G0008170 transcript:Dexi1A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAARKQKRSSDAAAATAAQARTLGCGGPNSVATAFGRGGGPAAAPHSLGRGGGPFAPPRPLGSGAAPSSAPTHSLGVGRNAFTSSVAAQMDYRGFPSSASCMDGFPFLNSSANLEADNADSSSPGSWENDVRPPGGFMSYFGNQAQNSHFVGAPIHHSPFSSPLCTNNDASSPPSVECLQGNGNGNIRTEKRIMWTIEEDVRVMSAWIEHSTDSSCGADRGGNQYWGDVVESYNKTTPPLRKRNLKQCKDRWHMINRWTDLFECAYVKARRVFTSGYSDQMWIDAAHNFYVVDNKESGLGPYVLMEVWKMCRDVPKWKTYNEELRNAHKRKSYHLEGDSQEEEAIPDDMPKRPMGQKQAKMAARAANGKNKESGDESGNSKESPVDLDKFAKYSKFQEENHEKRLQILQVQQKLLSEKIEASKIAHLTAQENKEVKKLEKEPKMMEAYLSISSQDTSSMSDVEKAERVAVMKCLRQKLFPVTE >Dexi6B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:6B:808293:808633:-1 gene:Dexi6B01G0000970 transcript:Dexi6B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSSGEFTATDMWDQIKKHGSFGHVGDAVRSASKAGSSVAAAVAASTAVPAGATRVVSFSLAWACPDVKFPAGTTYHR >Dexi7A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:7A:28475419:28483475:1 gene:Dexi7A01G0019220 transcript:Dexi7A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDPHVEDAVLWLAQTILEVLFAGEMEAWIRRIGLADDTERLKSEVEMVEAVVGAAKGRVAGNRPLVRSLGRLKELLYDADDMVDELDYYRLQHQVEGGVIAWDCDDQPQGTDVNGGAQLVDGSRDNSGILNKNDRKKRSKAWEEFNITEEDATGKPVKAECIHCGTQVKCETSKGTSVLHNHLKSDSCKRKRAAIEQTPNPSSADDGAQNGATILTHDSDRRKRMRSDEGNKEGFSGFTSLEELTIRRCPKLIPSLVQKYQNNDPGNGRWLLPHSLRTLDITGSPEMLQPCFLEGGNCLIKLVIDYSPSLKILQLCFCTALEELIIHYCESLAALEGNFTCLKELVLGGNSGLESLELYSCTSLEELMVASCEALTALEGNFTSLRKLDLSCSPRLKLLRLGFCTALEHLEIYYCHVLDTLEDLGSLRGLSKRLLLPTAVLISLQRAGIMDILVKIARAISDALRDPEKLPRALILCGIVEAAAALSLVFFRVPGGRLFLGHHHGDKQALVYVYYGVLGAVVAFGLGEASMGFWVSGDPVGRRAVGMTMLWVSVLPLVIVAGLAGGLSSAASK >Dexi8A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:8A:21117420:21118013:-1 gene:Dexi8A01G0012150 transcript:Dexi8A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLAAALSGDGGKNDAGKGSGGALDVGVMVANDLLVDARLGRARDCPAAYPGRKGAGSAPPGPRKGQLQPVLGVPTPRELEVAARIEEVKSQPTRSSPRLTGVADHHILDKAKLRTAWKNLYHPDSVSLLNKLELHNAEPSLDFNMVCSGSEDEHFDNDEE >Dexi5B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:5B:12105875:12107507:-1 gene:Dexi5B01G0015120 transcript:Dexi5B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVLEEAQEEPDVKLMIERSMEEEDEHKEEDGGGADEVEKNGGGCDTLGEDSDDHMGGWDENGDPCLPFIGSWHRPVEVAYLKEKMVTVTFRSLNSLVVQVV >Dexi9A01G0025540.1:cds pep primary_assembly:Fonio_CM05836:9A:26133096:26133360:1 gene:Dexi9A01G0025540 transcript:Dexi9A01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQPWVKSTVFRMSLWYERLGLLHDDFKNPGSLERVQRVNNMASKFWELYASDNSTATSLGICSANQWKWPRMVL >Dexi2A01G0030770.1:cds pep primary_assembly:Fonio_CM05836:2A:41598022:41598321:-1 gene:Dexi2A01G0030770 transcript:Dexi2A01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGGQGRVPGQVCGGVEQGLTPRRGGTDVRLLLLVRLQHEGAVAEQQAEEVSRRRGGETGRVARVTGVREKPGDGETDPCSLMPACGLGRIVGLAPR >Dexi4B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:4B:18930998:18939624:-1 gene:Dexi4B01G0016780 transcript:Dexi4B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGSGGGLGGGIISSPVTEDDKFARRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSAPPSSGRSSAEREDGDDTDEGFRRPPVIFLPDVDSSSPGSAAGSMASTDDDENFFGPVSTSFIQIGRPSDSGMSEDDNHDITMDSRTFSLHFRNIAPPDDCTANSAASLMTPNMASEGPLKEMNSGRTLSRDRTDMSLLTGKGPLKELIVSDSGRTLSTDRTDMSLLSGNPRCYDYGKLSPTLCSMVRKVKGDQQTESPNSSIADVSLDRVLTLSASGEENREANLCTGNGISSDELPTINSVGHISMSHPVSTSTRLIQEDDEIIIDGHKNSQLDMLSDKLDEVHMARKYRRFSTAVRIKDYSGDRQQRLEEARSLHEKLFYAKAKLQINNMKLTKLKKKAQLYQDGIEECRILKSKILGASQMKDACLPGATSVSATDKQEELPILIEKRLELNNIQQKVENLRSSLACFRNIEGQLSLHLCFMSFKSGKKIAFQIDMTDLNRSVYPSDPSELAIKICEAQTTLSQPNIEETMASIKNLQHGHT >Dexi4A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:4A:10427709:10430462:1 gene:Dexi4A01G0012040 transcript:Dexi4A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLSDIRDLVSSLFIMLNKTEFILFRIEFLVVLVTFLFLAMFIMDIFRRFIHSSIMKAIFSLFDAVSDSIVIYLLGAMQTAPFKNQLLPVWALVLVTFRHNIDFISGYGVTDRGGRRLLRCRFEDVMLPKDMYFINRELIKTKIIEEQDAKRAFRVMELQLAYVNDYFNTRYPMVFWWGLRSLGINLLQSVVTIGVVLWLSIDIRRVYKPPDGELAHLVQEVNVDIIITWVFMFFMTFKEILEMSYDDRPKVWNWFHTVTTGLVPKKEDGAKLGSAHPVPDCVLSNIREKLNVILEQAISEKPTVNPDINSDDEQTYRLSLPGSINALSETNMRYDWALQLETSSEIILVWHIATSFCEMELANNYNVDLSNPGYNKLSLEAYKAAEDPRSVIAGEEVVKQEAHKNCLESGGEFITIIWALLWHCGIEKSKLWQEDDASENNATTDIHASDAGNNINNAGIDTTNHQTRSNVPEMEEDIETGAGQENAPKRVK >Dexi2B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:2B:27820036:27823812:1 gene:Dexi2B01G0017400 transcript:Dexi2B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRVWNGAAAGSGDGVGAREEKPTTTAAVAAGAPLDVPTSAASVDISLPLPEMTPRIMQLVPWACTRSLLARRRGSRARMVGLCKELVKGWSSLDSSCFSVETVSGGITNLYMREPRIAAEIAKELHRFHQVDIPGSKEPQLWNDIFKFLKKASALKFEDNEKRKRYETISFREIQDEVKELKDLSDLLHAPVVFAHNDLLSGNLMLNDFEGKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNMYPDKDVQYHFFRNYLQPDRPSEAQDLEALYVETNTFRLASHVYWALWALIQANVSPIDFDYLGYFFLRYSEYKKQRESCLSLAQNFLSELRNG >Dexi3A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:3A:16887451:16887861:-1 gene:Dexi3A01G0021240 transcript:Dexi3A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLVLLLLVNSGLAPAVLGSEDCWVDDRVDDVVCTKTDRCRRSCVGHGFEDGRCQWGFPDLLPYCQCRRSDCHTKATGASD >Dexi6A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:6A:21958586:21960097:1 gene:Dexi6A01G0014550 transcript:Dexi6A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKNGTATTTSFRRRLKATLCLLAPPLLLLPVFFYLQLQSLGLFSPVARCATQTAAINDLRRRLSSLTTFHPLKDPSVPTAGDWFISALNDTNTTTSPESGEARHLVIPSPASSGRVLCVHAPPGIDATYALAWRDALPRGAALRRGPAFVSESPYDYNNLWHGLTTLVPFASWHAGSGCRAVPATWALFQRGGARMGMGGWLTSLAEATMGNEMAVETFRREPFATGPVCFEKAVVFRRQMDGLSRERLRAAFDFMRCKARARCGVDADVPARAGNGSSPAALRVTLLFRRGARAFKDEPAVARVFEKECARVAGCVITTAHTDNLTFCDQVRLMSATDVLVTPHGAQVTNLLFMDRNSSIMEFYPLGWRQRAGGGQFVYRWMASRAGMQHEGSWWDPHGEPCPDSPDILSCYKSRQIGHDLAYFAKWAAKVFAAANERKMLAAAVAPTVERPRGTAACDCT >Dexi2A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:2A:33753773:33754124:-1 gene:Dexi2A01G0021730 transcript:Dexi2A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLKKAYPNYNYVNCDNQDYESSILPVTSEKLRNLGWKPRKMEETLCDSVEYYEKAGFLQDVEGCPCRLPHLFHFASDE >Dexi1B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:1B:21125812:21129448:1 gene:Dexi1B01G0014750 transcript:Dexi1B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHHPSLLRPPVLTLRAGALGWRPTAPAFLSTTVSAPPPPPLLRLRPLRAAADGASPISGDGGKRVVPPPSLQAALLGFVRSNFLPLGEFLSSFFHAIPSAASYSWVPCSQILPVELQLDCIGLTLRTKELGAALEAWPAGLYGLTCLILTITMHLTGLAMFCCMPTTLSSGVTLTQLVGGNSALALAMTVLSNLLGIITVPLSLAKYIGAGAGVSLPTEQLFRSMVTRLLIPLIIGKVARETSKGIADFVDRHRQGFSIASAVLLSLVCL >Dexi5B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:5B:20436993:20437870:-1 gene:Dexi5B01G0018330 transcript:Dexi5B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHVLIVDDSCVDRLVASRVLQSRNIKVTVVEGPKEALKFLAMEHDVNLILTDYCMPEMTGYDLLVEVKNSPKISHLPVVIMCTDDVPARIKKCLDGGAKGYIIKPIKVIDVPSLLHYI >Dexi2A01G0032970.1:cds pep primary_assembly:Fonio_CM05836:2A:43261789:43265772:1 gene:Dexi2A01G0032970 transcript:Dexi2A01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHFADPHHPLVWTHHSHAEAGLCSICLLPLAGRSGYGCYTCNIQLHGACADHFGEAISFFAHPSHALTLSRSPSPDGRACDICRGHCPPGSFVYRCVECGFDAHPLCTLLPERVGDDLRMVCSSSSSPAAGCCSACPHPLPTWHYACSDSRRLHVTCAVDIIGKTTSGDAVVRDCPADAFKGGVKDAAARGIHGAQGWERGLDGPAGHGWSYGPATPGYSYSYSPAMPAYGGPAGPAQGGYFGGPFNYGGYWPPFQGGYYGAGGVPVIQGGYGPPFQGGYYPHVMPAGGHGNGGGGGHGIFHNPGTAGSLMTGIAGFLADVAISAVVPDLASGLLSAILDGKLGDSRSASKAASLLIQNKSTYIHTVLSDMASDHFDPLHQLVKTRYGDEAGHHCDICLSKLAGDVGHSCRACNIDLHDACSGYFKETISFFAHPWHTLKLSRIPSAAVGRWWCDLCMEELPPGSFVYRCALCNFDVHPLCTMLPQTIHIPQHPEHDFRMVPSSGNCSMCDKSLPVWHYVCPCSARLHIGCASGVASPGQDDDDAADGEVAQTTSSAVTVSKHRRRKAIAKILLKTSIRIAINAATGGTASPVLDVLATVFS >Dexi9B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:9B:7031337:7031573:1 gene:Dexi9B01G0011090 transcript:Dexi9B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAEDAAQLVSMLAPLLAVALVAAVVASAWADGGVDAQADEWARYVFGSEDAEPAAAPSALPMAWQGEHG >Dexi7B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:7B:17182433:17184511:-1 gene:Dexi7B01G0009630 transcript:Dexi7B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEACVPRALFGGAISTAFPARFQDVSDIREVPDHQEVFVDPARDESLIFELLDLKGEVDDAGSALWFLRDIANEQDAGDNLVIEHSGTLELAGLRLGEAPAVAGTSVGQMAVSKGRQGREAQNIVRPIE >Dexi2A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:2A:5591262:5597103:1 gene:Dexi2A01G0005830 transcript:Dexi2A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKAANLDAVLKEAVDLAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKILHLAHNKSDIERRVRAVIDKFAERGLRALGVAYQEVPDGRKESPGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIASLPVDDLIEKADGFAGVFPEHKYEIVKRLQAMKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGVVLGGYLAMMTVIFFWAAYKTDFFPRVFGVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWAFAAIKGIGWGWAGVIWLYNVVFYFPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGREERELRWAHAQRTLHGLQAPESSIFENKTTFNELNQLAEEARRRAEMARLREVNTLKGRMESVVRQKGLDIETIQQSYTV >Dexi1B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:1B:11780000:11786934:1 gene:Dexi1B01G0011570 transcript:Dexi1B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKCCSQLKCSLCSNGCLGQTPDSPRESRGKSSRGRGKAGYSSGSDDSSDDLGEDDDAFNQMNTTRESTVGISRLSRVSSQFLPPDASRKVQVPLGNYDLRYSFLSQRGYYPESLDKANQDSYCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDYRFRTDAVLALHSAFVATNSQLHADSLDDSMSGTTAITILVRGKTIYVANTGDSRAVIAEKRGDDIVAVDLSIDQTPYRLDEFERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIADPEIFVLDLNSKNPFFVLASDGVFEFLSSQTVVDMAHIERVLHDHFLFRKLTDSQCHVLLDCMQRVEVKPGDIVVQQGGEGDCFYVVGSGEYEVLAIQEEDGKEITKVLHRYTADKLSSFGELALMHNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRFTVLQLSQLAESLVEVSFADGQTIVDKNDDVSALYVIRRGSVRLILAADQMNSNTWDLISAQTKQAQSIQENGNYVVEIDEGGHFGEWTIIGETITFTAIAVGDVTCSTILKERFDAIVGPLPKLSQADSRIKESLVTKENVADDDFPYRRVQLSNLEWKMCIYAADCSEIGLVQVKGTDKIRSLKRFYIKRVQDLHKEVQVFEEKELMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHTPLNESSAKFFAASVVVALEGLHQKSILYRGVSADILMLDRSGHLQLVDFRFAKKMEGERTYTICGIADSLAPEIVLGRGHGYPADWWALGVLIYFMLQSDMPFGSWRESELEPVTKIAKGHLVMPSTFSTEVCDLITKLLVVDENVRLGTSGAEAVKKHLWFDGIEWEQIASRTYAVPDEITERINSCIETLNEDLTASPSVPIEDPDNLTAPEWIQDW >Dexi5A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:5A:22912041:22919548:1 gene:Dexi5A01G0019320 transcript:Dexi5A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISSFAYAQEIKGGCFAYERDALLSFKSGIECDPLNLLISWNGQNCCQWSGIKCSNSTGHVNKIDLRNSFFLDDLFGAPMDSYNPHGMRGKIGSIPVELKDLGYLQFLDLAHNRISGSIPDSLATLKAMTQDQDHRQTLENPLYWGYERPGSADTYDLPKYDDSLEAVLKGQYLNYTANIMSMVGLDLSCNNLAGEIPNEITSLVGLMSLNISHNQLSGEIPEKIGQLQSLESLDLSWNKLSGQIPSSLSGMTMLSKLNLSYNNLSGRIPSGNQLQTLIDPASSYIGNNYLCGPPVSRNCSGPEMAMARGHQDEHQPDSDMFDKLQCRLETSVAANFRSLDLNEPINWDEIDDFEGNVHDLDYDYVWESGNEGDGNTTDEEDEIVPEDVLVEPEAGGDAHTVQQVEEASMHHVEEADAVPQADAGDEAVFVAFDSGTPANIKRRRYYPPDIKRIFSSCSDTSFFLHVLKRLRKARQAATVVTHISSLPDDILREIFLRLPSLATLVRAALTCRAWRRAVASSPAFRSRFRALHRAPLLGVFADARRRARRPPHLRCRSPPGRPGHDDSGGGNKWIRKNVLKYTDEMAALLHIVNFDTPNVVAVRDGFVYLVTYGGFVSFCLETWKLEKLLFPRYFGDYYYPYFVAWPSSLVGSYGRFAVLQDQDGPSNNT >Dexi3B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:3B:1739541:1742023:-1 gene:Dexi3B01G0002570 transcript:Dexi3B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGSTVATAALRPNGAAPVDDDDGVPEVASCISSMVDRGGSVESHRLFLARRTALEMLRDRGYSVPEADLARTLPEFRAWWGDIPELERLAFSTTHATDPSNKVKVVFCRPEPVKIAVIRELYQGVKDDNLSRLILILQSRIMSKARESIKEIFPFKVDIFQITELLVNITKHVLKPKHEVLSAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDSELTGNHVTYRCIF >Dexi3B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:3B:7835963:7843947:-1 gene:Dexi3B01G0011140 transcript:Dexi3B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVVCVTGAGGFIASWIVKLLLERGYTVRGTLRDPGPPVYLNYSFGWVNVKDVALAHVLASETPSASGRYCMVDKVVHFSEVVKIIKDMYPSLPVPEKCADDEPFALTYQVSRDRITSLGVELTPFETSLKEAIECLKEKGFVSF >Dexi1A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:1A:22621194:22625806:1 gene:Dexi1A01G0015540 transcript:Dexi1A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSTVPAGRRGGSRRLASPAAARWGAAGFGTRAVVMLAHPLLPRPSSSSSSAGRRPSPRRARRGGVIRAVFERFTERAVKAVVLSQREARGLGEAAVAPRHLFLGLVAEDRSSGGYLSSGISIERAREACRGIGDGADGGTAYAPSKPGSGGLDTDVPFSATSKQVFEVAVVLSKNMGCSFISPEHLAIALFTLDDPTTNNLLRSLGADPSQLSSVAVDRLKAELAKDGRDPAGASSFKVPEKAPAAAGRSAFSQSLTKKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIVCRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRILSLDVGLLIAGAKERGELESRVTSIIREVREAGDVILFIDEVHNLIGSGTVGKGKGSGLDIANLLKPALARGELQCIAATTLDEHRMHFEKDKALSRRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQAMHEVVLSNKAKYSPNVNNQESGSAGVEAPYGDNSGSTSTSSPSADEPIVVGTEEVARVASLWSGIPVQQLTADDKKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISKGRQSIGFLKEDTESSSYFAMKFLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILKEVKGRLLALGIGLEVSDAMKDLICQEGYDKSYGARPLRRAVTHMIEDVISEAILFGEFKPGDTILMDIDAEGKPCMSHLDQQIIQVTDATRAF >Dexi1B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:1B:2556287:2559616:-1 gene:Dexi1B01G0003150 transcript:Dexi1B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDACERYRSWYLSAEEIERGSPSARDGVGAAKEAELRVTYSSFIRDVCIRLQLPQITIATAILLCHRFYLRQSHAKNEWQTMATACVLLASKIEDTPCSLKSVVIVAYETMYRKNTDAAKRIHQEEVLAKQKALILVAETLLLSTIRFDFNIQHPYEPLKLALESLGISHKEVRQVAMSLINDTLPTTLVVQFKPHFIAAGSLFLAAKLHNVILPSQNGRVWWNAFDVAPRQLQAVIHQMTELFKKRFPCSMAPVIKPVPTSTPTDKHQITPPPTLILAPMNEQKIKPTPTLTMMPTLTMMNKQQVKPTPTLTMMNKQQIKQTLTDKQKIKPSQIPAPMDKQMPTPNLMGKQKIKAIPAPTPTDKQRIISTSDPALRHTQSSRRNFNNSNAEASRHVPLGSSFDNKSTSWSARYEEKKYRRTYEENLYQETYEENLYRRRHINHTMDQRLEDRSYRGTCVNEAGTRDLKKRRIQEAVELPTPDYTSETNCWRFGRRDSMLKQSHLGRNRR >Dexi3A01G0034380.1:cds pep primary_assembly:Fonio_CM05836:3A:39559505:39560065:-1 gene:Dexi3A01G0034380 transcript:Dexi3A01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLHPLLAAALFLFFLSCAAAAADPVPDSCEAIRDFVDVSFCASRLRSVPGAAAADRHGHLLMAADLAAASGASARDAAAALARRVAGDDQAARRREDALEACGILYGAASVPALRLMRGYAAARSWATARALLPLTGQAGIGCDAALGGSPALAGGGGEMAAANREFDQLSTMATALLNKVSVS >Dexi1A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:1A:26014694:26015179:1 gene:Dexi1A01G0018840 transcript:Dexi1A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLMLTLLGLLASVLLVASANNEQPRPNKEEENKAGVPQDYRGGGYPYGGYPGGGWHGGGYPGGGYPGRGGGYPGHGGGGGYCRWGCCNRGYYGGCRCCSRPDQIPEPMYRPEFVEVHN >Dexi9B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:9B:3182022:3182682:-1 gene:Dexi9B01G0005420 transcript:Dexi9B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLVLAMAALAVAFLPAFTAATEHWVGDNTGWTLGFNYSAWAETKQFKVGDTLVFKYNEPSHTVVEVSGADFAACNIPGSSKVLTTGNDQVTLDKAGRRWFICGVGAHCKNGMKVKITVLTAEEAAAAAAPAPSPPPSPAVKVQAGLVQAVLAVTAVIAAALVF >Dexi6B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:6B:18708302:18714622:-1 gene:Dexi6B01G0011500 transcript:Dexi6B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNPSKSKSPRKPRPSSSSGMDSAAEPNPEAPRRSRQDRSAGGGGSKKSKSGASKKPKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKTMPLILAGADIAAMARTGSGKTAAFLVPMLQRLRRRDPGAGVRALILSPTRDLAMQTLKFTNQLGKFTDLKTSVIVGGDSMESQFEELSECPDIIIATPGRLMHHLNDVKDMTLRSVEYVVFDEADSLFSMGFAKHLHDILKKLSDTRQTLLFSATLPSALADFAKAGLRDPQIVRLDLDKRISPDLKLVFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARMIHISKFRARKTMLLIVTDVAARGLDIPLLDNIVNWDFPAKPKPKQTILEAEGEAAKARNSKEEEDDISNWEKKEVCGTKRKSQSFRDEDHYISSVPQNQHLEAGLSVRNNEGFVENRLDAAVLDLVDDEASGMQSQKTRYHWMKNKFVKLNSGDRVTATGKGGNKKPFSGGRGRRSIPNANVPSEIRNPEQMQKSRQQKAMEITRLKNKSAKGGKFQNKFQKNRRPEGSGKGRGSGKGGAGKGGFGKGSAKGGKGKGKVKGKGGR >DexiUA01G0022670.1:cds pep primary_assembly:Fonio_CM05836:UA:46112174:46113521:1 gene:DexiUA01G0022670 transcript:DexiUA01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQQRQDLQMNIPALCKLDDMLLEYLDSFKDKQEFWYVSKDADKSEKGNMPRQDDKWWLPTVRVPPNGLSDAYRKWLQHQKDLVAQVLKAAMAINANILMEMEVPEAYMESLPKNGKSTLGDSMYKLITDDYFDPEELLSSVDLSDEHNIVDLKNRVEASVVIWQKKMTHKDSKLSWGHGVSHEKRGKFEGRAENVLLLIKHRFPGIAQSALDISKIQCNRDVGLAILESYSRTLESLAFTVISRIEDVLNADLAALDPKNTDSMRIPSLTSDDTDRVVSDAKAEVEKLRRMEPVTATLFDLVGPRDQDLSTYAKEGANGPKLTKITSIATKRFSYLDNLGGTRSPIARH >Dexi9A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:9A:583727:584982:1 gene:Dexi9A01G0001140 transcript:Dexi9A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRIASLGSSSGAGGCSRSVSFTTILRYLSFAMSSASTRGLAPAAALTSSCTFFIIAGLRISSDMAHRMVTELQSPSWVFITSLAASGDDTTTAGTPKLHHRAMAALQLTQAPVRQWAQQVKVAQDRHLLGSRWQPQRPVDVLGRRNAAATSAPGGQKQDGEEGQEAELPLLRQAAGEDLRGEQCRGHCPFPS >Dexi7B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:7B:10333345:10334607:1 gene:Dexi7B01G0004210 transcript:Dexi7B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKQCTSPLVEQSSGDKVLLGTRRSRMSKAPLSVLQSPLTRSKLKAPSITTPDSVKMKRSRSGRVIVPRLDPGSQNIIYDRDGSISGVTNLEVQFPQGISSEPPSKRRSQRLSSEGVH >Dexi1A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:1A:5487729:5489188:-1 gene:Dexi1A01G0007150 transcript:Dexi1A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTCMLSTLLQLPLAPFSGKSSPPSVVRVARRAPTAVVAAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYENKTDEKKRKAREAGRRNRRRFPEEDAGAAGGARDEDDDNWEIDGLLASVFGCNPITDKTCKPKVPTVLPGGGVDIDGDGDEDELPGFDPHLTILGHAH >Dexi2B01G0036120.1:cds pep primary_assembly:Fonio_CM05836:2B:43038294:43039670:1 gene:Dexi2B01G0036120 transcript:Dexi2B01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLVVSGVAAAIVSIQLILPSLLFVVLPRWPFSAANKQPPKCVVFNFGDSNSDTGNLAAGAGVRLHRPLGRRFFGKPSGRYSDGRLYIDFICESLELDHLSPYLESSGVSFRHGANFAVAGATTGGAAEGTPFSLATQVRQFRHFRRRTASLHRRGLGSGIAMLEFHNAVYTFDIGQNDLQAAFTAGLSYHRVLDTIPAIVTRINNAVTMVHEAGGRKFLLYNTGPLGCLPSMLARWSRRGGGGEVDGAGCLVELNGAARAFNEQLGRLCGELRGKLANATVVCVDMYAIKYGLVANHTAHGFSEPLMACCGGGGPPYNYRAGEACGSPKVKACADGDRRISWDGLHYTEAANRVVAEDILSAEYSDPPLQLQTLCASTS >Dexi3B01G0037010.1:cds pep primary_assembly:Fonio_CM05836:3B:39807560:39808273:1 gene:Dexi3B01G0037010 transcript:Dexi3B01G0037010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAAELINVNGGPTITTTSLADDLNAVAEILLRLPSPAALVRAALASTRWRQVASSPVFLRRYRSRHPSPPLLGLYAQRSAHAGGLPSFQLADSVRSDRALARFVRAGDFNLTGLDSHPEWRLLDCHNSRLLLSRGESRAVYDPNSPLQEGTSIISECLLQGRGDDAASFRVVSVEHRGRDQMVRAAEYDSCTRQWRRHQWVKNINRPQDDHLLEIPRYFTALA >Dexi5A01G0033610.1:cds pep primary_assembly:Fonio_CM05836:5A:35924353:35937802:-1 gene:Dexi5A01G0033610 transcript:Dexi5A01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPEQIVRVVGERLAQPRLGKDALVKLLKVTTAPFHPLLLLSHLLLDLTQDKDVKLLVAVCFIEVMRVLAPDPPFSNEILKGPSHKLAVDIIEKCARILEPIICSFLSSCILNKDLPVNDLKKLHHKVIVEIFQCAPKILFAVIPNLTHELLSDQVDIRLEAVHLIGRLLALSNLHFGRENKSVFREFLRRFSDKSAEVRIAAIDAAKACYMDKSSGDEARNILTSLQGRFLDFEEKVRMRAVNTVCDLAKSNPSSFPHGVVLLAAERLRDKKELAHRSELWLLYYDRPQSMELIFAEELFPSSLSPKERATHWIQFFSYFKPEHIKALKVIFSQKRRLQSEMQAYLSLRAKKDSFLKRIGHKHPMHSFFKILSVKCMYSIFNWEMICAIFETLLSSGNELTNYVESACYLLLVVAMVFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAQLAKSTHHLSINLRNAVYPLLEQKCVEGTRAESKYAIAAITSLRPLDDQKFVKLCKKVVTGLNDKRNVPTVLQSLGSILEQSPSVYELYGKQIINSIQDILLSTEVYCLKALVKGFLPRITSHARINNMLEKLLDYEKGLFPDIALCENDSPHLQLAAAKSVLQLATRWDSQISPQLFRNTILMARSASYLSEVLKEQRRVFLQQIKTSKDSVVDSPAYAVVFLIHTLAHGEELPSNYYEDETSFPEFCRYFLMLDLSALLQTPLVHKWFLWLEKSDAHNHFLTCVPCFAYSPLYVMLRELVEIDSFNGTEHGPAASSVSVLSGIFRAIQKAEDPSDSEITQKLHILAKIGLLIVKELDKNCNMSSPRHILLPSSYYKLSGSEIKADEGCQENFISDKLVKRILKAHEPCKRQENVKCSVVSERVSNEPVPEREACSSLSKSVAQNASDCCNGKGNKRSASGKALPKKKDQNTNNSLGKENVSSCGSAGTKLSSPGSLGLAKEADSRECVSLLDKQNHPMSRCSTGETRASKADHNLCRQTVVCSYF >Dexi6A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:6A:24306527:24306876:-1 gene:Dexi6A01G0016430 transcript:Dexi6A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPFVNPLAMTAAEWASLGCRRVLVAVAELDTMSERGRRYVEALRGSAWAGEEAVLYVTQGEGHVFFLRNFKESDRAMQDMITAVASFLASWWLVESICALLGLSSTPHAKL >Dexi7B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:7B:6385306:6385725:1 gene:Dexi7B01G0002900 transcript:Dexi7B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCDPAIEVTPHCQDTLHGEEDLLAQGPARRSSWRLSTAKSGLRTPVVPSSPLASTSDHQHPPQLGFVRPEVEARLAESRLPMPSKLPFRNPREGRHAEAVRGLPRGAAAAGWQRQARGRPSRAPAMQPGAAAQSRSS >Dexi1B01G0028670.1:cds pep primary_assembly:Fonio_CM05836:1B:33146250:33146546:-1 gene:Dexi1B01G0028670 transcript:Dexi1B01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAGLSFIAGILWQLRERKEDEPIGGMRPHWNSVRYRWAASEAEESEFTAGHVVQNDNERLFLGSSLIGLVPGRAMKEMIGCLQRGHGIAPAGLADV >Dexi3B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:3B:9488536:9491257:1 gene:Dexi3B01G0013310 transcript:Dexi3B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTVAAGRAGGVLPLASLNHISIVCRSVEESLRFYTDVLGFVPIRRPGSFDFDGAWLFNYGIGIHLLQSEDPGSLPEKREINPKDNHISFQCESMAAVERRLKEMGIPYVVRCVEEGGINVDQIFFHDPDGFMIEICNCDNLPVVPIAGDRVPVLGACKRAAAAVVKQQQQQQSSAVPVPSSAPAAAQCVPSAAAAIRVGEEAHISCA >Dexi9B01G0038580.1:cds pep primary_assembly:Fonio_CM05836:9B:39578693:39580636:-1 gene:Dexi9B01G0038580 transcript:Dexi9B01G0038580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPVQCASTPSGEHIAIVRDPLPFPVARSRGRGLPVFAKKHVITSNRLDGFVTDGGYACACPAAVSCGYRGKVLSALQFEKHEEAESKNQSGHIFLSNGTSLHALFQALGGRGGVPAEAFADKLEAAAGVDGVTVEPPSALSPSRDDVEMLTEEEKASLCLLGLSCSTTQSVPMYGVEGPAAEQIEEAAGRDDALHDVEIRNGADEQRPRCGSLSTITPIKVRVAETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRISGQGYSCGCPECNYSTNVRNACEFEQHSGQSSNNQNDHIFLETGVSLFKVVKALKHYKLYMLGDFIQPAIGMPPNMAEYKKWKASFQKRKDYSDAVDSDDCSTQR >Dexi9B01G0023120.1:cds pep primary_assembly:Fonio_CM05836:9B:18137430:18141330:1 gene:Dexi9B01G0023120 transcript:Dexi9B01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRRLLSTAAAAARGPPPIRVDVTESAGRGVFATRPVPAGELLHSAQPLVCHPSPSLLNEVCYSCLRRKPGEGRVSSGGSYFCSDACRDHAKGFHDVEKNADWSSFDDHCSSRGLKYPYMAKRLACMVISGAANADCLNILQPARLHQGTLIEVVAAYLPITPISLSFDPNTHIVWLENVDAKLKALRDIEEGEELRICYIDTSMDVNARQKILADGFGFECQCLRCLSGD >Dexi1A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:1A:27160861:27162407:1 gene:Dexi1A01G0020310 transcript:Dexi1A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGVSQTSNTFSSPCNAKRRSPPMEKSQMAPPLLHRNVDVITWSGPLNTEMASPVDANSRPPCAASFGLLRDLHRTPPEEAAFFRRSRKPRSSYWLTRHTDLPSSVATTSTACLSSSDAGVPTSDHDQTTLLSRKSSRLTKDESVRGNIVATMSVLPLEPVGFAERAPGRLVEETRLLPGVAVPHGDAVLVDPSLAAGEDVASSVEGQSGCGEGPGLAIVRDAEAVARLAGERGEGRHATVVVAASRTLPRGEEERGRVEVGRVVDGRVGGVVHGAALLEHPVVDAHDAGGAAVGDAAAAVGSGADGDAVAGRAAVDGGGEAEAVGVEAAEAGGADAVEEAVVERLAVEGGFREARGHVEVARAEEVEEHREAGRVAVDEVLGWGRVVVDAGVPGGVDHGAEHGVTSGGGERGGRRLEHAPADVEPHAAAGGGGGGGVHGRPVTKQEGLRFGQHRRLDQTGCAREARPFLLGAYYWPIRWSGGSRI >Dexi7B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:7B:13569309:13576474:-1 gene:Dexi7B01G0005970 transcript:Dexi7B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVATRREVKEREEERTKRKRRLPAAAAATNGEAVCAGSRATQLTGNVDIVRIDVQRNGCSDKNHQLSLENEHSNNAAGKFSDLPALQGQGDSRIGLNSNQDSCVGGLGDKHTSIGDGRENEDMAAGKMLLPLNPQQIPWKKYRTDRRDKNLLLPNLSWDEKVVFTLNMGETLTLTGPYRALAGHVLVFEFSLKIIKGDEEALDQEFSK >Dexi9A01G0038070.1:cds pep primary_assembly:Fonio_CM05836:9A:42378163:42379707:-1 gene:Dexi9A01G0038070 transcript:Dexi9A01G0038070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEEPPPARRENGGRRRRWMGEEDDDDDEEYVLEEEEEEDVAEELSASSAGEEGEDSDAEYQEDEEEEEEEEIETPRPKRPVKGSDRGKKRKVDPAAARSRRRKYEEDDDYEEEEVEEEEEEVEEFHDELEEAEDEEEVLPQPKSAAKCGGRGRNVEPPTAAKRSHQQRHVEEDDMDFDPELDVEEDELDEDIDFDPEVDDEDEDEYQEEEEEELAATRARKVTVKNSAKRKSVSKGRTLNKKKKKSKGSKVSGRKSASAKSKKSAPVRRRRKRSVIDEYEDDEDDDDDDFIVEDERQRPRKRARTRSRREAEVDPQESPDEEETWPTLDSDTSDYEFATSDEEPNNIETPVVEQITVKKGRKKRMSVSESSSDSEFVVSDKELEDLREPELPKPVPMFPASVRRLCIARHGEGKGKEKQELDEAGKPICGICLSEEQRATVQGSRCPLCKQRFTTITKSSKIDLGLGVRKAVIKVEERDQVRFCFVVVVS >Dexi9A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:9A:13508268:13511832:1 gene:Dexi9A01G0018410 transcript:Dexi9A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGSTPPNGSAPATPGTPAPLFSGEARIDSASYDRKSNQSMPRCRCLPAVEGWGIATHTCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVSVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGALAGAGVYTAVKLRDENGETPRTQRSFRR >Dexi2B01G0033530.1:cds pep primary_assembly:Fonio_CM05836:2B:41093044:41097164:1 gene:Dexi2B01G0033530 transcript:Dexi2B01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKINQCRARASAAARHDPGHRPPPSRTRLIGRDAELESGSTMANCTSRESGVISHRERRRRLFAHAQRWEDYGRRRESAKPRETNDEEDDQDDAVEDQNERDREVIRMDESSWMTVSQYRRRWIESGFYDGSFEDTIQPMRFTDKPGSGYSAYAMDTVQVFSVKLAAPRTRLLQQPLHVYGMVAIRDPVDHKRNILFQRTRDDCQTLSMQDPYLALTGPSRAVMVVVSDPTIIEADLKVKAATELEDECLAFLVMPVVCLSSMYSRMLSYAYTSECSTLEFKVGHIVSSVEATIFVRVMHGSWPHGCRGVFAAFATGIYDGHAFLERRVAGVGHERIVLLDSRGGRLHVDGDGDVELSRRVVSAEDAGRVIVRVEAFVEGDGEKGVEREARFESLRDGRSPPLGVPAPELRRPMAKAAASRVLLLVVACALAGGGAEQPRRSGCFTRLFSFGDSITDNGNWMSYARPPYGETFFRRPNGRFCDGRIIIDHLGERAAAAVLSDALGIPFLTSYLAGNESGDYAHGANFAVGGATALGHAYLEGRSSTPSSLFLVGEIGGNDYNQALFQGRSVDEVKTYVPDVIAGISASVTELIGLGGKTVVVPGNFPIGCNPGYLTKFQTNDTAQYDSMGCLRWPNDLVKLHNRALRAELAELGRQYPGIAVVYADYYAAAMDLTGDPRKHGFGSEPLVSCCGGGGPYNTNLTVHCGTRAATTCRDPYAAVSWDGFHYTDHAYKVIADGVLRGPHATPPVLARCDRRRRP >Dexi9A01G0043340.1:cds pep primary_assembly:Fonio_CM05836:9A:46824796:46825285:-1 gene:Dexi9A01G0043340 transcript:Dexi9A01G0043340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHVGTGTVAPVPHACTPALPLAHRLAPRPAARGRLRPCSCRAKKPEANEDGRAAGGSSVLSKSVLLRSGVALFALGFVDAGYSGDWSRIGAISKDTEELLKLGAYVVVPLCVALVFSLSEDSNSKP >Dexi3B01G0023870.1:cds pep primary_assembly:Fonio_CM05836:3B:18627525:18629853:1 gene:Dexi3B01G0023870 transcript:Dexi3B01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAWSVLLILMCFWASPLRISGISWNIFSSSTSGSSSGTANKRAPVMELEGTVADFAMEFANDPRGLKLLENARSKLAGQRSCWQEAYRKLFASCGEIMADQEMQSRLAWHLSSCFQEDSGRPPFPSCVEGSKMVNCRKRLSDSEDKVFLEFFLQTNTICHQLQAEAFKYTTERLVNDLIRTSKSAEEKLEVIEERSDQIIKESDKVQDTLISIEMQADHLAKMSKNVGERISDVLADSKAIFEQSKEIATAQAALKEGQTEMKEKIDAGMARLKESYESLGNEMDKLTQETGYIQREVKSVGDSISSKMKDLQSTADDIGSVADKSLENQMQLLAGQSRAMEGLNNLHTFQAQALEESREIVQKLAQFGQRQQEELLARQEQIRQAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYSCIVFLIYMLTSAKQTFSIRGQLYFGLCITLVLEISLIKVGADDFDKQFWVMSKVFLVRMVFMGVATVQILHSIFTYRDYEMLNHGLLHTLVDKVRALEENAGGRALPCDMEYSEGSLRDYSWVFDELEDEVDSKMDPSYTLPLEGVGENSITTSIGRRYNLRSRK >Dexi4B01G0023820.1:cds pep primary_assembly:Fonio_CM05836:4B:25100768:25101251:-1 gene:Dexi4B01G0023820 transcript:Dexi4B01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGWGVDGASSAYRARFRRSSSDLRADERIRCCNRKGKKGRKARVCHQAIWWCLAPDCSLQSGVSCCCGRLASAPLRPPLSSPLPDGLGRTAHTDGTGAKQDDKNLSRCPVDDADADAAIGVGAQ >Dexi5A01G0031000.1:cds pep primary_assembly:Fonio_CM05836:5A:33888839:33890785:-1 gene:Dexi5A01G0031000 transcript:Dexi5A01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSIWLQTINGPNADFPVYSSQLKDLKHISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWLVAFVGAAFGLVGYGVQYLFLDTPGLRYWHLFLLTSLAGNGICWINTVCYLLCINNFGSSSRVAVSLATSYLGLSAKVYTSLADSIPGLADSKAKSYLLLNAVVPMLVTLVVAPSLRVVDLTGVANMDAAFLAMFAITLATGACAVVGSIGSTSSNGLSSREHMLSLGVLLATPILIPMALRIRESLNKIRETKRENRIHDLGTDDAIERVVAIDIADVESKEGDDGGSGVDQKPQEEVGGLRLLRRVDFWLYFFSYMFSATLGLVFLNNLGQIAESRRFAQTSTLVSLSSSFGFFGRLLPSFLDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLYPSDFFLYLSTAVIGTCTGAITSVAVSATSELFGTKNFGVNHNVVVSNIPVGSLCFGYFAAYLYQRGARGSHRCIGAGCYQETFVVWGATCAVGTLLCAVLFARSRSFAGRVPPPAAAAGGGGAVRTSCLARLANLVSYRW >Dexi9A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:9A:9279623:9282339:1 gene:Dexi9A01G0014140 transcript:Dexi9A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAYKNQAQALMRDYLLADPLVPYTSVLIGIILCKMAYDFTRILSSFYFKGYTSLTKIQRIEWNNRGMSSAHAIFITAVSLYLVASTDLFSDRIKGPITFRNSIISTSALGVSVGYFITDLAMIFWLYPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVRTVARIFLFIYVFYHIYLHYSQIMQMHAFGYYLTFLVPSVLFVMNTMWFMKILKGVMKTLSKWP >Dexi5B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:5B:22201608:22208909:-1 gene:Dexi5B01G0019940 transcript:Dexi5B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLQVSGVVAYNGCTMDEFVPQRFATYVSQEDMHLAEMTVRETFSFSAQCQGTGTRKASYLQCPCHGNNDNQSNVENWKSHNERASSLKGHADIVTDYILKVTSKRDQQQYWVKDAPYQYVSATEFAEAFRSFHVSTGLQADLRAPFNKKSSHPVALTSGKYGTSKMELLQACFSREYLLMNRNSFVYIFKLMQMAYGKFRLLAAVGRDMVTTSTIQSFADSMLLALGGFFMSRKNIKEWFIWGYWSSPLMYAMNAITANEFLGKQWTNIVLSEETIKERQSTRTKVCTESSNGKNFLHYQEMSEDGKPTTKKCQAGILNKPDGMALPFIPLTVVFEGIKYSIDMPKVFVKEVMELVELTGLKDAIIGLPGISGLHNRQGLFDGMGLMYTAVFFVGIQNTITVQPVVDAERTVFYREKAAGMYSSYPYAVAQVMIEIPYALIQSVMFSLVLYPMVGYAWALTKFLWFLYFIFTAFLYFTYYGMVSVSLSPNCGIAAVISAAFYGIWTLFSGFMIPYGYGDLEGALGDANGKSIKDYLLCSNFQHK >Dexi9A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:9A:10653001:10658918:1 gene:Dexi9A01G0015760 transcript:Dexi9A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPTNTRRKYSWWWDSHICPKNSKWLQENLSGKLFQRCNLKPQYNVGLCFLECLINADMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPADTASIETDMDNPDMSPYFLSFINASDSKKRNKDDQDYERLHKELASLSEENQDLKDRISSMLEQNNRAECEILRLKESLTQQEAEKEAAVSLYQQSTARLQNLKSEIMHTQEKFNRLKEDMQTGPQPLGKGDEQFFLLERANQDLLLELDNMKLLFKQKHDELNDKKAELEKLHISTEEEHLKRMQAEMAQLSLEKQLLLAQDKLRQLALEKQSEVSKMKIITESKAVLQKELEKTLEENQKLNDQSHSSSAMIIRLQDEIISMKNVQRRLEEEVCQHSEEKKKLQNELCHLKEDRSDLERNHSSIKEQIQSVNLNVESLQALAQELRDGNFELKEIVKNHESIELLRIDNLRQLERMSETNAQLEKSLSAVTIELEGLRLNKVALEESCMHLKSKITTHQSERAVLVAQIEVVSQTMEELLEKNVFLENSLSDANAELESLRRKLKELEESSQALQNQNSILQHEKKTLIHQVDSITVTLLSLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFNAVQKKINLLLEEGRNREVQLGKEELKIVKAQIEIFVLQQCLNDMAEVNSDISAQLQKKTETCKVQEDKMYSLSQHNEKLTEGIGSVVRVLHLDRKYESVNQMKLEIIVQLILNEISCLLNNISDAQDVKQNELLEKSLVVTLLEHFGQEVADLRSERNVLKQDQQTKDEELLQLQREKEELMKISDEFLEEVEARNHKVDELKAEAKFLVGRLSELQESRRSLQSEMTKLLQTNSFLSNELNDSIEKRKMFEHDLSNLVTEAVNKDILSVIFRSLHEERTLQLKSLHNNFGCLQTAGSELYQEIKMMNKRLGDIEIENNYLGKELSRIMSVYGGCIVQTAAGKGHPGQRDASVLNSDRNSQEDYHVNMEVGQQKEFGEADLQELNEVLQEEVFKLRSEVEMLRSNKKTVFDIRSCDEEVMKLLANMQMAITNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFTMLGSLQTEVNALEERTLSLSNDCLQSNKLRMEENVLSPEVKTAIQSSGDENAMRKVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDIIEMNYEQMLKDIQLDLIQTSWGRRTSPFGQEKRSVPQVDDKTVNLRGTVGPSCGHMADDLRPPQSESFGRDNNQMVVKELSIDKQELPRLASTEPHQEWRNKVVERLSSDAQRLNALQSSIQELKANAETSEELELESVRYQIREAEGIIMQLIDTNSKLSKKAEEFTSADGLDAENTDLRSRHQRKILERARKMSEKIGRLEIEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKPQRSSPCGCMRAKTIDD >Dexi2B01G0026490.1:cds pep primary_assembly:Fonio_CM05836:2B:35630393:35630744:-1 gene:Dexi2B01G0026490 transcript:Dexi2B01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEKETMQMACHKDITESPCEKEAMQMACHKDITECPWDPSHLFMDYKKVDLEKMDELFKQRSVRMAKALPNDIIIPDPTPKVCFF >Dexi8A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:8A:29516039:29518521:1 gene:Dexi8A01G0017690 transcript:Dexi8A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMSSNKLHLAHKLYSAMLSLLFHPHRSSTTTTRPTTTTPPPPPPPSSPPPVVHHCAVPPPSLLTSTRDHQQTTTTLVVDVDSTLLLRSSSGDAAVNLFPYFMLVALEAGGFLRGMVLLLLYPFIRLMTHTAAVRAMSAVAFIGLRSGARFRAGRAVLPKWLMEDVAAEAFDAVRTAAAGGRRVVCITAMPRVMVESFVREYLLGMEEDVVVVAPEMKVVWGFYTGIMEDEGRDDQHEKVVMATEREDKEKPAAVVGFAGSSEFLKHPLARRCKEIFMVSSDEKLQWRPLSRDKYPKPIIFHDGRLAFLPTATNTAAMFTWLPFGIFLGAARLAVALTVPYKYSTPILAATGMSWRLKNNHRPPLSAGGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDGDGAKMATLLDAGNLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVAIAVETSMFYATTAGGFKCFDPLYYMVNPRMCYTVQFLDKVDTSPVRTRVVPSTDMANLVQRKMGEALGYGCTMLTRKDKYLMLAGNDGVVRTTGDDKSTAVGGIGKNK >Dexi2A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:2A:336784:338390:-1 gene:Dexi2A01G0000640 transcript:Dexi2A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCVVGAGFAGLASARELRREGHDVTVMEQNAGVGGQWLYDPATDAGDPLGVAGVHSSIYASLRINFPRETSSFSDFPFFLRTDNGAGDPRRYPGHGEFRYSRDFCDAFQLMDAVRLNTKVLRVAPRGDGGDDGVMRWTVRSAAKKQGEVVTTTEEEEEVFDAVVVAVGQFTQPRLPNIDGMDTWTRRHLHSHSYRVPESFHGQVVVIVGFNESGKDIAMELRGVARDVHISIDCLHEDGRVVFADGSSIVADAIIYCTGYNYSFPFLDTGDLVTVDDNRVGPLYEHTWVAQVLSGRRSLPAPEEMMRAAEEYNRAREIAGVPKRHTHDIFDLEYCDEYGEKHCGFPRLQEWKKDLLWSSFANWRENDRESFRDDYRDDSQLVREGLRSQGWLASHKDDDGHEEERLPN >Dexi5A01G0026150.1:cds pep primary_assembly:Fonio_CM05836:5A:29871069:29872259:1 gene:Dexi5A01G0026150 transcript:Dexi5A01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIDVMRVASLALREFVRSLPDVEALVLDMFCTDALDVAAELGIPAYFFFHCAVGALAIMLQLPEYYPAAPCSFKDMPAETVLNFAGVPPIRALDMATPVQDRDSDVAKARLAQCARMLEARGILVNSFDWLESRALTAVRSGLCTPGRSAPAVYCIGPLVLPGHTGGISERRHECLDLGRFSTAQLREMARGLENSGESFLWVVRNPPPEHGSNSVVEPDLESLLPEGFLERTRERGFVVRNWAPQSEVLRHRSIGAFVTHCGWNSVLEGIASGVPMICWPLYAEQRMNKMHMVEEMKVGVVMEGYEQGLVKAEEVETKVRLVMAPGEGDELRRRIVTAKEMAVDAREGGASSSDVAFNEFLADLQKNSTSAKKCAT >Dexi5A01G0022150.1:cds pep primary_assembly:Fonio_CM05836:5A:26075005:26076348:-1 gene:Dexi5A01G0022150 transcript:Dexi5A01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSVERRRSRRRLLADLCGRDEAEEGEFVPGDHSDADTEEYYNNNRRGGCSSDSEGTISDSAPANHGGASASSSPTAINNGGASSAAAAAVLACPFCGKEFRNHKAVCGHMKVHREQGIGKKGKKGKKVHQEQGIVKDKKKKGIKRDVAVVGGWGGTAKRGCSGTKGWAAESDQSMAAAVAEAKMIVLAPMPLAFAPPNSPPVRMTPAKPNPPREPTASAASNLSSVAVESDAMANDDSVESSSAMEAVAAGAASPASEAAVHAAGEQTPPVHQRPVAPPPGGRQDPRGYTCNKCNKWFRTHQGLGGHVVGHKNRELAAALHGGAVPDARIAKPAKAHVCKVCGAEFPGGIQLGGHMRKHYTGAPLNKKPRHVTPPGTATVGVAGLTVALSVKSDEASPAAKPAVVGRVLLFGIDIGTGVKTPAAQEGSSATEASASTTTGGEQ >Dexi5A01G0028710.1:cds pep primary_assembly:Fonio_CM05836:5A:31928397:31932502:-1 gene:Dexi5A01G0028710 transcript:Dexi5A01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLVFMLLFLISSLISTVGLPVEPPAELLGDVGRLSVDASDLHEASRDFGGVARAEPVAVFQPRAAADVAGLVQAAYGSARGFRVSARGHGHSISGQAQVAGGVVVDMSHGPGAAARAEEARALPAYSSALGGYYVDVWGGELWIDVLNWTLSHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSETENPDLFFGVLGGLGQFGIITRARIALERAPRRVRWIRALYSNFTEFTADQERLISLGAGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDDTAASVDQDVDALLGELNFIPGTVFTTDVSYVDFLDRVHKAELKLRAKGMWEVPHPWLNLFVPASRIADFDQGVFRGILGGRTAGAGGPILIYPMNNHKWDPRSSVVTPDEEVFYLVAFLRSALPGASESLEALARQNQMILDFCAEAGIGAKQYLPNHKAQREWAEHFGAARWERFARLKAQFDPRAILATGQGIFPPPGSPALVSDS >Dexi8B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:8B:1449816:1450981:1 gene:Dexi8B01G0002070 transcript:Dexi8B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGPEVFSLGFRFDPKPIDVVSYYLPHLIAGAQLHVAMSPFVHDADVYAGEPGELARMFRPLPKTGQRFFFTSRKLQPQRAGKAIKATRAAGAGSWQSQGSKDVLNKDKEKVGEDAIVGGDRQLVFCNIYVSPRARQDSAAHQESAAFFAPPPPSAPVVVMAQAAAANPKRPVAPPKIASPPCPKRMRVAAVAPSHQVVQLPRPCVPHYGVAPPSSAPSVTRPSPASAQTPAPAPTRLAMQPPAPPRKLGQPKQQQPTPTPPVVHMPVQAPALHCRPQASVQRILDPLEAMRDEAGDEGESPAALQDGGDDLAKSLEDALAEAEAEDEAAANSEGSPMSFDDMVQLLEKEILLVPKEEILA >Dexi5B01G0039380.1:cds pep primary_assembly:Fonio_CM05836:5B:38255666:38258948:-1 gene:Dexi5B01G0039380 transcript:Dexi5B01G0039380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSYEVIYIQIDKLQSTFHRWEQTASNTGEYVHLTKELLTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRKKWTGSARNQVGTVRRSVEKGKSNSAASKYQDTSRTNLYSSQDNDDFISSESDRQQLLMRQQDEELDELSASVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLIVFLVVLFIILFVLVFLT >Dexi4A01G0024340.1:cds pep primary_assembly:Fonio_CM05836:4A:27145813:27148053:1 gene:Dexi4A01G0024340 transcript:Dexi4A01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMQSPRRRICRALRVLLVVALALAALLALLTSTTTPTALPPPPPQRTTSTHQQHRHTLRAVQEEVITSSTPTAAHDDDEDDAVLLPDWEVLVLLRPGAPAPATTTSWCVFPGSGAASPARSLGRMPTSGRHAYTCAMPVPERRHNKPFFRAPTLRVMTTPTPSSSSETPSSSSSSSETPSSSSETPPREGREMLRWRSGRLAYDAAALRDTGDVLVFAKGVNPRQGVNRNASDVRCVYYRRSDGVVASLPAATSAQQVFRCPPPPPGTATTGKGILRVTLAVAGGEPIPSMATYTPPPPPPEEKAAVVCACTMVRDVAKFLREWVVYHSAIGVDRFVLYDNGSQDELEGQVRQLVTAGGFDVSMHIWPWPKTQEAGFSYAAAAHRGSCQWMAFVDVDEFIFSQAWAHDQSKPSKSMEMVLAGVEADVGQVTLGCKDFGPSGHTKHPPEGVTQGYTCRRRAEERHKSLVRLDALEPSLINSIHHFQVRPQFRWQRLTKAQVNHYKYQAWDEFKLKFRRRVSSYVADWTEPLNHGSRDRTPGLGLQAVEPPGWPHSFCEVEDAMLADVTRRWFGVL >Dexi4A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:4A:231168:232706:1 gene:Dexi4A01G0000330 transcript:Dexi4A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALRLRGPMSSNLDDGETPSPAKRPRRSPEPTSVLYNRSPSPPTSSSLASSSAAPDPPPISAEDWEAVLSGDMAAAPLSHSQDSCFLRWIMDADAQVDAFDPFLPPPPPCQDPAAVEIFLQQPQAPPLLASDDFLEPRAVVDELLEAARRADSGDSTDAREILTRLNHRLPSPTLGIGQPPLLRAAAHLRDALLRLLVTPPGQGSSVSSSPLDVALKLAAHKALADASPTVQFAGFTSTQLLLDALGGARRVHIVDLDIGFGARWPPLMQELALQWRRASAAAQLPPPSIKVTALVSPDSAHPLELHLTHESLTRFAADLGVSFEFNAVVFDPSSDPSPPLAVSAAPGEAVAVHLPTIGSGTFSPATLHVVKQLHPAIVVCVDDHGCHRGDLALSHHALNVVRSSAAFLESLDAAGAPADAVAKVEQYILRPRVERLLLGDRMMMTPSPWQVMFASAGFSPVQLSGAAEAQAECLVRRTPTPGFHVEKRQAALALRWQQSELVTVSAWRC >Dexi7B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:7B:19329427:19335379:-1 gene:Dexi7B01G0012600 transcript:Dexi7B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRALLLGVAFTFLLASGSQGLNHEGWLLLALKSQMIDTLHHLDSWDARDSTPCAWRGVNCSSAPNPAVVSLDLNNMNLSGTIAPSIGGLTELTLLDLSFNGFGGPIPAQIGNLSKLEVLNLFNNNFFSIIPPEVGKLANLVTLNLCNNKLHGQIPDEIGSLPNEVGRLPQLELLSFADNRLTGQIPPILGKLSHLTALQIGGNQLSGEIPKELGLLSSLQIAMNLSYNNLSGSIPSELEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPLEQGALLAHGHVPAFLRLAALLSVGRPGLDVTLVSTPRILGSLSLPPDASRLRLHAIPFSPADHGLPPGAHTLADVQLHQFVPFFLASEALRPAFEEFVSGIGSPVCIVADAFLAWTADVARARGASHAVFFPGGAFGNAVLFSVREHLPHARTAADEFPLPDFPDVVLHRTQIPWYILTATEANPYTAFFRRVIASCRKTDALLVNTVQELEPYGLDMLRRSLCAQTWPIGPVLAAPTPSGSRDDTSIIQWLDAHPPRSVLYISFGSQNSVNADQMRELAQGLEASGRPFLWALRPPLGFDAKDGFKPEWLPAGFEERAARASTGLLVRGWAPQVRILAHPSTGAFLSHCGWNSILESLSHGVPLIGWPLGAEQFFNAKLVVEWGVCVEVARGNTESSGGGEGEGGGGRADADRGDGHRRGDEEEGGGDRGHPSGGVGSAGRVGGGEPGSVHGIRRDVCAVRCPALRLVRSINY >Dexi1B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:1B:6511729:6513047:-1 gene:Dexi1B01G0007860 transcript:Dexi1B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWLTSLANPFPCTSQSRLSCRRKKAFSLKATCGLYRRSAVSGMVVLGAAASIDPLSLSMPVKAAMPDADVIRYRKLDSGVKLEDVVEGEGPEAQEGDLVQFNYVCRRANGYFVHSTVDQFSGESKPVTLPLGGEEMIRGLKDVLIGMKVGGKRRALIPPEVGYISETLKPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >Dexi9B01G0041060.1:cds pep primary_assembly:Fonio_CM05836:9B:41538771:41539191:-1 gene:Dexi9B01G0041060 transcript:Dexi9B01G0041060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSLASMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRIESGTQPEIDLATITDRMEVKKAVQSGNVQEAIEKINDLNPTVRF >Dexi3B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:3B:2313279:2314331:-1 gene:Dexi3B01G0003500 transcript:Dexi3B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHLAQGHPQAWPWGVAMYTNLHYHHHQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGAAGIGGGDGSEKGLLLAFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVRFERVRGGLGASDRLFIGCRRRGESAAPAPTPPPPVRAPAPVLNAGEQQPWSPMCYSTSGSSYPTSPASPYAYHSDMAHAGEADTKSSGTPTAPSRKLRLFGVNLDCGPEPEPEAATAMYGYMHQSPYTAVSTVPNYWGSS >Dexi7B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:7B:20597498:20597788:1 gene:Dexi7B01G0014310 transcript:Dexi7B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTEDGQEFDATRRDATRSGGREGLGIGSAARPGEMGLVWRCLARSAGGGGCGGPGGRRGEFGWDKAEEEGDDEERRPSSGGGGGIRWLPSGDI >Dexi9A01G0029970.1:cds pep primary_assembly:Fonio_CM05836:9A:34879313:34881991:-1 gene:Dexi9A01G0029970 transcript:Dexi9A01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASMALLVFLSALSLLPRWLIAFSALLPALDGSACVLRGGALQLTDDGNMLFGVRRLLRYGLLLSHRDRRPRWSRLRGHDLQGTPRCKQHGFSSGNTTGDRDPSNHTSSPSSSIYTIPDQTAERDKQQPRRHVGEDLNNSVSNVSEPAGYFNSPTTHPLLAFHVTTDHNRPLGQEFGLLNETKQAKSDVGERDESGHLATRPPFAGATRSAAGDWLNGECCSRLPSSVSSMPPTTSMALLVCLSALSLLPHHRLIAFSALLPALDGSACVLRGGALQLTNDGRQQPHGSWATPSSTRLSELLG >Dexi4B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:4B:18654753:18658593:-1 gene:Dexi4B01G0016580 transcript:Dexi4B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGASGAGNGAERQAKRARRDDVGEADASAAAGAAAMTPEEADFMQLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGQESKEALMWVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCETMLEQLLPTSEPSVSREKGKEDSNDEEKPAKPTSSLVNGGGIPELDEIEYMECMYTKSTVAALRSLKEIRGKSSTVSRFSLPPLQGNNAPEEPERWNKIPVLEQVAK >Dexi9B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:9B:2145592:2151226:1 gene:Dexi9B01G0003740 transcript:Dexi9B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSPAAAANLAGKSGVRVVVIGDPGTGKSSLVVALATEQFPENVPKVMPPTRLPADYFPDRVPITIIDTSSSPEQKPKLIAECQAADAVVLTYACDRPATLERLSSFWLPELRRLQLKTPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFIICDNDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNESGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDELIAMPIKRAPDQTLEMTSEVVDFLKGIFNMFDIDNDGALLPAELEDLFSTAPENPWSSDPYKDCAEKNVLGGLSLEGFLSKGTRKTLILREIPEGDVRSLLSDRESLAPCDVAVFVYDRIVQAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAAAVVGIAAYRVYAARKSSSS >Dexi7B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:7B:15798417:15799588:-1 gene:Dexi7B01G0008000 transcript:Dexi7B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRAPAGSATTIVVSVRFYHMLKIDGYTRTLDAHNGRRPSFNSRPFRAGGRTWHVSYRPMGSTHHPENTDSIAVYLALDDVVDETVNAQATFSLLDQDEKPVHTHSWTTRMNNFSKSRDRAFGHERFIEREALERSEYLKDDRFAVGVSVHVVRETPSPAVPDSNMHRHLGGLLSTGEGSDVKLRVGDETFSAHRLVLTARSPVFRAALYGPMREGTTTDAIRIDDMEAQVFQALLVFVYTDTFGIQR >Dexi9B01G0027040.1:cds pep primary_assembly:Fonio_CM05836:9B:29500855:29501100:1 gene:Dexi9B01G0027040 transcript:Dexi9B01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLERQRQIPATMVHQGADVVLQLHLVGTVVVPELQLVGMVVVPELQLLAATGRAAAPDAAAGPAPDAVAGPAPDAAPAP >Dexi9A01G0028210.1:cds pep primary_assembly:Fonio_CM05836:9A:32862110:32863012:1 gene:Dexi9A01G0028210 transcript:Dexi9A01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIADIEEKRDVSSDDDECIEIGPAEFVKKLNLKETDDVVLIAAKGKIVKVEVDHPEGVAKVLYGFGSEANHKHVPGGDCIDSPYKIDEDEMSLLKAKIEDMFVIDKPSAKLHGADHKDSQFFCEDQDETGCDTANILHGKLVVKLEPGDSIGVEVIPDKTLAKCEPVGNNGVECAADEEGSYDHCLDISTKRIFDEEDDDDVVVV >Dexi5B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:5B:390551:392005:1 gene:Dexi5B01G0000620 transcript:Dexi5B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWKKVLPSLNKIFDKDGKKAAAAEFLKSFNKEEIDKEIEDKKTELEPKVVEAYEASPPEVKALFKDSKPVKISKKNSAAATKFLDELAKIDFPGAKLVSEAVAKSGTTPLSPAITFILDKVAPFIPKEEPKAEPEPAAEAAAEATSREVAVEEKKEEAEAAAPATEEAAPAAETSEAVAEEKPAEAEAAAAPPAEEEKK >Dexi2A01G0028160.1:cds pep primary_assembly:Fonio_CM05836:2A:39466623:39471937:1 gene:Dexi2A01G0028160 transcript:Dexi2A01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFARRKSAALAALSSPAPDKSPKGGVDAPIAPLLEVLNSHPDLFTTSSCSGRVSVLAQPQEGQGQGGAAKPKKKARGGGWVYVSHEPANPDAVVEQLFGGSGSAAAGDELVFRFEPMIVAVECRDSASAAALVAAAISAGFRESGITSLQKRAMVAIRCSIRMEVPLGQTDQLVVSPEYIRYLVRIANCKMEANKKRMDGFLDLLQTKVLSFTNIHYLSYCVTGTGLSGLSDSCNGSSSQPIDHRASLGLEVKIPLDKGAKINDDCLAKKRRNGRNNCHADDRGASEIGEVSLEAQYFENQDSTWSKGVEHGFGNAKRHFPSPRMGHTLTSVGNSTYVIGGRGGPSEILDDVWVLQSSENTWLRLECSGNTFRPRWETLGDIIILPKTCFKDPLWESVSEELWPLVSKSLGAQRLARQGKIMPNGTRDSTLELLLGDNGWVTHHENGIRYSLDATKCMFSSGNRSEKLRMGQLNCKDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPYALEALRRNVRDNHVQDRCLVLEGDNRVTAPKGVADRVCLGLLPSSECSWDTAVASLR >Dexi2A01G0033770.1:cds pep primary_assembly:Fonio_CM05836:2A:43942501:43943474:-1 gene:Dexi2A01G0033770 transcript:Dexi2A01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRHPHGLTSPVVAVLNLSLLALAAAALGPVLLLRPRPMPFGWAVVSVHAATLLTALAALCAHLAAARLRLAAYTAFALAALCCHALLAALCCHALLTAAFVLHRDSSLRLLESARDRREQLVLKAALMSQIGELHPRVGGCLSEPPLLLRGFSAPAATHRGLAPPSPCSRGSSARTARVPSQLQIHHACGRPQCHPDYRSATSVDVPHRSNCRSPAAAPAACFLQLPSSWLLGGDASGLPLTAVEPHHRFVFFFG >Dexi6A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:6A:6641086:6641586:1 gene:Dexi6A01G0006810 transcript:Dexi6A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKQGLNGAISKLSMLEELELSLTSDTALFRSGGLAETSAVAAGACPLLKHLRLNKYRFQWLSNVGDSEAIEVAKMRGLRSLQLFGSNLSNAGLEAILDGCVSLESLDIRHCFNVEMNDEMRGKCARLKTLKLPEDSTDGYKLSFGCPEMDPGSPDRILYYFSPI >Dexi6B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:6B:8792885:8794342:1 gene:Dexi6B01G0007550 transcript:Dexi6B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQPFVCVAVLMAGMAAKAQAKHSQCLDNPPDMSLRGDEAGVVVSDLPGGFRGYVTGSASSSHAIVLASDVFGFEAPLFRKIADKVAEAGYFAVAPDFFNGDYLDLIENLTEWLKSHSPVKAAEDAKPLFAALKKDGKSMGVGGYCWGGKFSVEMAKTDDVKVVSIAHPFNVTAEDMKDIQCPIEILGAQYDSSTPPKLVYQFVDVLRKRSEIPYFTKVFPGVGHGFACRYNSTDPFAIKTAEQALALMIGWFDKYLPSTVVQIE >Dexi9A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:9A:1292423:1295367:1 gene:Dexi9A01G0002430 transcript:Dexi9A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPANGKRSPPPSPPPGRCHFWLPKKRRHWANSSLASSQYCGNHLPESASGAGRRVPCPVDPSHTVLEENLEAHVGKCPLKKRVAALAAQPYYSKGINSGRAEAGSFITSAEKRAAVYRLTEDEFRGLLGKIRSVHAAAAVAMRESFMIADACDKWMGGQIDRKVPYQEKHVAQQASIVGNMEAFGLLRKGGAEVVCGENVAVSAQAVVEFGAGRGYLTQVLVDCYGIKSVFLVERRSYKLKADRSLRQNEDVTLEHLRIDNKSFLSELGITEEEFHAMTWFSSWAVDGDHSSPDSYVDVEDTSSEVREPEKPDPDMAGIERMIRSIPTGERASLGFMCKDIIDTGRLLWLRHKGLVADLVSYVPSNISPENRLLIAKCTSQ >Dexi4B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:4B:9357930:9359781:1 gene:Dexi4B01G0011820 transcript:Dexi4B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLVGRTPGAVGELAPSVSVKAEARELEGEANRAEPQPPRREVTDLGGGSKVVYIPRFVAREKAWEWFDCLDKTIPWKRPEIRVFGRTAVQHRDVCYIADEGLTDLKYSGHQPHAHSWDEFPILKDILKAVHEALPGSYFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTFGCEREFLLRKKPTKSHGTIGLVIDTSRHLPAASGSEEAARKRLKVTAPQQRHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRHVLT >Dexi5B01G0038880.1:cds pep primary_assembly:Fonio_CM05836:5B:37946502:37948900:-1 gene:Dexi5B01G0038880 transcript:Dexi5B01G0038880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGELRRVFASFDQDGDGKISAAELRLRGREGARGVGVASADADGDGLLDEAEFLRLAGEVSAGDEEDERRRWLREAFAMYDEMEGSGRITALSLKLMLGRLGAHRDIGECQAMICRFDLDGDGVLKLPWIRRVTSSSTLARDPPGGQVGAENTAATNVGTSSASSSVFSPRNQDRKPVETNPGATLKHRLLRHGISIPIRVSSRPRPRLDGPCCHTARHRRRQFCLVAILPPFREASKIRVQAQRQGVVDIDQTSQAASLAPKSRMVVASSSSSSASSTSRDLGSLFAAFDKDADGRISAAELRLCMRATLGEEVSSEEAEALVASVDADGDGLLDADEFARLALAEVGEEERHRGLRQAFGMYEMEGEGCITPTSLKRMLSKLGAHQEIDDCRAMICRFDLDGDGVLSFDEFKIMMNA >Dexi8A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:8A:20593411:20598125:-1 gene:Dexi8A01G0011630 transcript:Dexi8A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNVDGFPAGGSAISDPNEGHGGGVPDIEAGGTAGAPPAPAPATTRPRGQRLVSLDLMIIVDDVGGLVPAISHSPWDGVTLADFVFPFFLFIVGVSLAYAYKRVPNKAVATKKAAIRASKLFLLGLLLQGGFFHSIHDLTYGVDIRNMRLMGVLQRIAIAYLAVALCEIWLRGGAGDIGAGGYALLRTYRHQLFVGLVLTVTYVTLLYGMYVPDWEYEFTSEDTTLKHFTVKCGVRGHTGPGCTAVGMIDRHVLGIQHLYKHPVYLKTVQCSINSPQNGPLPSDAPTWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHCKEHAERIVRWSIPSLSFLILGFWLDLFGLHMNKSLYSMSYTCVTTGTAGLFFAGIYLLVDVYGCKKPLFPMEWVGKHALLIFVLVACDIVPILVHGFYWREPQNNLVGHITSFHCSFLAYSKS >Dexi1A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:1A:25809900:25814359:1 gene:Dexi1A01G0018650 transcript:Dexi1A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGNNFYDTTTAEWTCRNTGSPTCKRGFVKFCYEPVKEVTEICMTNDKSRLLNALDERGLVLTEDEMKLEGEDLMKCVMNRWLPAGKVETGMKVRVMGPNHVPGEKDYHIENLQAIEIFKGRKRKCTKSVKCGNVIALVGLNKYTDARTERNLEQVVMEGGLLRTTYGMFSKVKDTMLGVDVEIWDYVFMDEGHRAKNDKAEVAKSLTTMKCIHKIIMTGTPLQNNLMEFHTLMGICKPLLLGDADSFHQKFALPINRGKHRDADPDYKKESTQLASINYYKALVTSDLLRVQGKALAATKTPVSFDTKLLTRSINK >Dexi8A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:8A:25127735:25128605:-1 gene:Dexi8A01G0014660 transcript:Dexi8A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIMIPYGYKFEKDRLFRKLFCETSIPYFNSAEVDRYFSDLVNLNAISDEAANSRLGDADEAGAWQWNVNQHDHQVLVSESAGMDFIYTSDTLNLLEADFATYIRKEASRTPRRLALHHDVPDIQSLLQYVDLLQTRSLAVSGAVSRSVPLHRFRNLVVLDVEGWKNFGDAELFRICKAKMFFLVYLSITNTGVSKFPPEIKELCSLQVLDASYTQVTELHLGDFEETRLHRLDLRGTPIRKLTIPKQTLELQEWLSPQKQHQYCHMTYGISSGYAY >DexiUA01G0020430.1:cds pep primary_assembly:Fonio_CM05836:UA:42722183:42722942:-1 gene:DexiUA01G0020430 transcript:DexiUA01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVRLLPRLLLLPSTHTSTPLRPSRLPRRLSFSARFSALSHLAVPQDVDQSDNEGAPHGDVQVKLPLDRLFVPPGATVDVRDQEAVSARVLKGSNIVLGPYARGDAHVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGFL >Dexi2A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:2A:3859634:3860176:1 gene:Dexi2A01G0004430 transcript:Dexi2A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSVATLAAAALMAAVFLAGGASAQSSSPSSSSCTSVLVSLSPCLNYISGNVSTPPASCCTQLGNVVKSNPACLCVALNADPASLGITVNRTLALGLPAACNVATPDVSNCSKGGAGAPASSPESGTTTTPAGATGSKATPATGSVAGAAAALNLGYGGLKVAGFVAAAVLAVVAA >Dexi4B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:4B:19326616:19328003:1 gene:Dexi4B01G0017230 transcript:Dexi4B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNLTGSVPASVCELRDLKLLNLSDNHLSGAFPAAVLYACRHLRYLDLSHNGFTGPLPADINGLSPVMEHLNLSSNHFDGAVPAAVAELSALKSLLLDNNSFAGAYPAAGISELAGLKLLTLSGNAFAPGPVPPEFSKLTKLTFLMMDEMHLTGEIPEAFGNLTELTVLYMPWNELTGSIPAWVWHHRKLEYVDLSYNCRSGELTRNITAVNLIGLDASSNQFTGPVPAWVFQHQKLEYIHLIGILPWLYDFWVSGNQLSGELPQELGKHSPLGTITVYDNNNISGPLPKTLCAKGQLHHIAASNNIFSGELPANLEIQMGNNMFSGSIPTSAIGLEVFRADNNRFSGSLPADMSKLSNLNVLSLRGNRIAGPLP >DexiUA01G0012710.1:cds pep primary_assembly:Fonio_CM05836:UA:26393462:26395201:1 gene:DexiUA01G0012710 transcript:DexiUA01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVFTLEEVAKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >Dexi9B01G0046800.1:cds pep primary_assembly:Fonio_CM05836:9B:45994033:46003176:1 gene:Dexi9B01G0046800 transcript:Dexi9B01G0046800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEQQPQLGTTRVSSSSTSPRGRAATGSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFENVSITRVSSVQVGCGAGNTIFPLLSTYPDIFVHACDFSPRAVDLVKKHKDFRSDRINAFVCDITSEQLTENMEPSSANIVTMQIFVLSAVAPDKMPLVVQNVKNVLKHGGRVLFRDYANGDLAQERLMSKGQQISENFYVRGDGTVQIMGIKAKGHDFKIKVLTKEYQHTCKLTGLMLWESAQLMCGLLAENPSIVAGKRVLELGGGSAGICSMVAASFAKFVVATDGDAESLDLLRQNISSNLEPNLLDRIMIRKLFWGNNDDVRAVRELSDNGAGFDCIIGTDVTYNPDAILPLFKTARELISDKANEDSRAAFILSYIQRRVDENSILSNAMAQGFRLVDKWINGVHESNGIISSWFSGNDVCSAYQNTTLSILYFEL >Dexi7A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:7A:18575561:18577605:1 gene:Dexi7A01G0007200 transcript:Dexi7A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSADDDGELRCPCPAAAAEDVTLVLVGKVGSGKSATANSILGFNAFPSEYSYSSVTENCQMRSTTLSFGDAAAPRAVHVIDTPGLFDMNITTEDARKEIARCLDMSRDGIHAMLMVFSAATRFTPEDADTVKSIKMFFGDKIVDHMIIVFTYGDQVGERNWRKMLTDKNARYLQDIVRLCGDRVLLFDNRSSVELQQIKQLAELFVAVDSVIAHNGGKPFTNQMFSEIQEAYARKEEIRFDEHSTERILKSQKEIYDGHIMQISKMVEEKLNSTIENLQQQLRKEQRARQEAEKNVTEAMLKSAEETQRLRENLEKTQQDSEKARQFYEKFKWIECSIM >Dexi1A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:1A:12421313:12425517:-1 gene:Dexi1A01G0012440 transcript:Dexi1A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQAMAQSIGREPSSVESPASRSGKAATPEKMLNCFVRSIALVERAGNALGTLAFTWATVVLLGGYPTVLRPTDDFWFATTIVFLEAARMFSRNNRLDYQIFFHTRGAVRPLGWKGLVIIVSLSNVLNYLFMIWRKRLEYSSDKILALRNCMIGMLILAPALGRLMSPGALKLVGNMQLRRSMSLCSPLVAILLLAPSIQYRYNDEVSLVTIRYPVGWWVVFIVLFLAVLLLTLSRLRCQRITKLVDYTLGSKRIFWRRLIMNLCMLTALAMVVLLQDPLFGAALLVYEVYAIVVVSFGNLQIPAAILRVVLALMRLIQHDYYGRGDNMEDNTNLAPSLNIFYAMVLGQGALYLVACTLDIFTFIPRRSLARHGGLKGQLGMESIILYHGYVLEKCMERDALAPKKISLSSFAMDSLNSDSPKKQLHGIQFMQKLLQAEPTKSQLLGKLTTSANTSARLINMLGWTNPRNATTRLFAAKVIAELAKNFRADTIPGTIQNVSSLLDDYSNKRRKGGNPLMDTADEQEAMQDPVLHASDNHEERRIAVSDTGNLLQTQVDQSTQKGSTSEQGGRIFRCWRGMPSTSIPEEVALAEADQDLLPALGMSILYNLAGCYQSNCEEIAKATGIIPKIIGFTSHRTTDTTYTDTQGKVLVTSSLQLLHRLTGITGEIGIKLRHKISKHAFLLGNLAKILRDGSSSQESRKLVAGIFRNLAVDGKARTEIGCIQAIITRLVQEFLSLGQPLGTQADHKLRLVAGQALAMLAMESEHNCLAILNETGHVFIRELTTLIHDGRLRCVAASLLRNMCLHARHELDESDLQAISYAVREVLERIMDAEGAELQILIGLGSQICEVIPEEFVRELEHGYIRDRFVKRLVDALNANMEPSALYPGIRRVILEQVISMMEHDPRYASCLNNCRMTEAMSMVEDTVSKVENYSIFLGDVGLMEHREPLTCLIVRVKQLLAARSSTPPVQRSGQ >Dexi5A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:5A:5578062:5581693:1 gene:Dexi5A01G0007510 transcript:Dexi5A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAMLLFFITTSLWVASPPSPLMRVVAAGDGQRGIKRCPPTSCGGVNISTPFGVLQEQASESSCGAIGFQVSCSNNTPYLAYNEKDHQFQILKIFYGNSSLLVADPHKLQALDGSANESCRVPKNNSSAKIGFPFSISPSNRILVLYNCTEAPASSEGLRETTVCGNTTTFARVGGRYDDHYGNYSVEGCDSTIVPVLGERYGKANASNYEELIRRGFLLTWSPPPATAAAIIVCSDRILLRLSSHNPSIPFFFVVVFFFTEFFSRSFNPLFFSPGVRSKPCYVFVLMAPSFISPAMAVWSSLILILAAAAPASVQGEGDCSASNRCGNMTILEPFVIVPEDATEANCGEMGFQVNCQNNTPYLGYYHQSYESHAHPLQILHIFYGNSSLLVADTGKLSDLTNLSRRDCQHYKFPSTNTSSKIALPFTISPVNKNLILYSCGEPPPASPEEGFVEERTCGNSTFVARVGGSYGDPDNSGRRYFLEGCDHVIVLPMLGESSGEANASNFVELISNGFLLTWQSREGEFILGSS >DexiUA01G0024890.1:cds pep primary_assembly:Fonio_CM05836:UA:52004906:52010598:1 gene:DexiUA01G0024890 transcript:DexiUA01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKKRGFFSWLGFGEKEQETEQKTEEQQAVEEQSQPETPVETAAVVDAEEHAHSKEETEAFAEEVVEVTEQVQESEKPEPVVVEDVIDTPQAIIEHETLPLPEEVKTEEVSAQEWQAEAETVEIVEAVEEEAQDEPALTDEELEDQALAAEAAEEAVIVVPVEAQPGQGEEVAQEQEKPTKEGFFARLKRSLLKTKENLGSGFISLFRGKKIDDDLFEELEEQLLIADVGVETTRKIIASLTEGASRKALRDAEALYGLLKDEMGEILAKVDEPLNIEGKTPFVILMVGVNGVGKTTTIGKLARQFEQQGKSVMLAAGDTFRAAAVEQLQVWGQRNNIPVIAQHTGADSASVIFDAIQAAKSRNVDVLIADTAGRLQNKAHLMEELKKIVRVMKKLDEEAPHEIMLTIDASTGQNAISQAKLFHEAVGLTGITLTKLDGTAKGGVIFSVADQFNIPIRYIGVGERIEDLPLQGVTFHLQPGEMAFLTGHSGAGKSTLLKLICGIERPSAGKIWFSGHDISRLKNREVPFLRRQIGMIFQDHHLLMDRTVFDNVAIPLIIAGASYDDIRRRVSAALDKVGLLDKAKNFPIQLSGGEQQRVGIARAVVNKPAVLLADEPTGNLDDALSEGILRLFEEFNRVGVTVLMATHDIGLISRPTVICTEASVNKRDAINQIRQFGNRFDRFRKPQGGGDGNPAPKPNSRKTNVFNEQDLKSKPLATFLTVMVIAISLTLPSVCYMVYKNVNQAASQYYPSPQITVYLDKALDDNAAAQVVGQIQAEQGVEKVNYLSREEALGEFRNWSGFGGALDMLEENPLPAVAVVIPKLDFQGTDSLNTLRDRITRINGIDEVRMDDSWFARLAALTGLVGRVAAMIGVLMVAAVFLVIGNSVRLSIFARRDTINVQKLIGATDGFILRPFLYGGALLGFSGAFLSLILSEILVMRLSSAVTEVAQVFGTKFDISGLGFDECLLLLLVCSMIGWVAAWLATEEKELAEKLHYQGDLEAAKKLILSHLRFVVHIARNYAGYGLPQADLIQEGNIGLMKAVRRFNPEVGVRLVSFAVHWIKAEIHEYVLRNWRIVKVATTKAQRKLFFNLRKTKQRLGWFNQDEVEMVARELGVSSKDVREMESRMAAQDMTFDMSSDDDGSDSQPMAPVLYLQDKTSNFADGIEEDNWEDQAANKLTSAMEGLDERSQDIIRARWLDEDNKSTLQELADRYGVSAERVRQLEKNAMKKLRAAIEAVKNSELNDRRLQATPRGIGVMCNFYADKAENATVWDVEGNEYIDFAAGIAVLNTGHRHPKVIAAIEKQLHAFTHTAYQIVPYEGYVALAERINQHVPVDGPAKTAFFSTGAEAVENAVKIARAYTRRPGLIAFGGAFHGRTFMTMALTGKVAPYKLGFGPFPGSVYHAHYPNDLYGVSTAEALKSLERIFKADIAPDQVAAIILEPVQGEGGFNIAPADFMQALRELCDTHGILLIADEVQSGFARTGKLFSMEHHCVKPDLITMAKSLAGGMPLSAVSGRAEVMDAPAPGGLGGTYAGNPLAIAAAHAVLDVIDEEDLCTRAAHLGHHLVEVLNKAKGDCPFIADIRAQGSMVAVEFNDPQTGLPSPEFTRQVQERALQEGLLLLSCGVYGNVIRFLYPLTIPEVQFRKALDIISASLTR >Dexi5B01G0026610.1:cds pep primary_assembly:Fonio_CM05836:5B:28341337:28344087:1 gene:Dexi5B01G0026610 transcript:Dexi5B01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICVLGDGDVGLTGQQEQGEEEVEESGGGEVVRLKAKHALVGAGARVLFYPTLLYNVLRNRFESDFRWWDRVDKFILLGAVPFPSDVLRLKQLGVQGVVTLNEPYETLVPTSLYQIKYRSMTPEAALDHVRSIRPRVLLAPSQWQAVSTFGTLVTGQLPVRSTNLGSFLEAIEACRMNTESNDYHAMEFDYEDGGLPLTQIMLPRPTSPTGYIDAVLITEADLEGYDTYVDARKDALEVAAPRPIMRRLSCLFGSLKLNSSNCEPAPSRFTEVRAC >DexiUA01G0007190.1:cds pep primary_assembly:Fonio_CM05836:UA:13740207:13741102:-1 gene:DexiUA01G0007190 transcript:DexiUA01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKGTKRVYLTWTDEMDAALLAVLVEHHNNGDHAQNGWKRYVYNAAIRNVHEKCGVEIAKGNISSRCKTSDKHYEIISKILSQSGFGWDWENDKLLIDSDDVWNRYVGYVHANKAAACYKTKVVKNWEAISIIYSKDHANGEGARIGAESAQLPAEEVEEASPDAPQKRQRTGEAILSVLGVLGDMRSSFEDALKSTEPLLMPQAAPPAQILATLEQIPDLARSDLLRSYGKLILNERLFHALMELPMDIRKDWLLMLN >Dexi3B01G0034180.1:cds pep primary_assembly:Fonio_CM05836:3B:36934409:36942349:1 gene:Dexi3B01G0034180 transcript:Dexi3B01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRPALAATQNPSAPPQPPPRPPAPPPPGSTSAAARAREEGELSSGADDDENADQNTRLLSLLEREELQEKELEDAQEHRRKCEVQEREALRAYRKAQRALLEANERCTILRRKREICSAQVHGLIAENSSLVQPLSIQNTEDGVAMPSLLNSQIHANSQMPENEGGRHSLHPEEPPQQPVDKHEALPHSSRYDNLVASTADPNFVSTANDNNMPLDYMDDDLLFPASQARSERALDLENQMEETIHAYAENIQASGDSVQDYELLEASLRSRLVERFGKKPCLNCTSEGTEELAVGNVVAEHGKQSAHVLKLQEAEQNDVTTPEGTMELENDGAEKTGGLSNSSSGPSMGNCDPEDNKRAISRIFGILPTATPDNSGDKKLPEEIVDQLEFQKALPRSLVWSPIDPNIDNRNQIIELLNYAADKMAEDITVEGFKSSADMLVKYMKEYPMCPQILLISARLDRNHGAYPDLKGFHELILNWPKEVQGVQYLWNQYFEHALAADTKLAEKLLNCWFEEYGKDCDVQSNAAVGAVEFSNEEPGPPSLVSVQDVGSGPSASEDHVFWLLNLSLYKVLEDDLHEAQVAVDKALKLTHGECYEHCLREHAAIHLLELEKSSSSLDAQTRSTFSFIIGHLADHRNLPTRELLSRRFCQNVKRHRLRQLIDDTIGPVPADSTLVNSILEVCFGPSLLPGRIGDVKYLVDFVETVMEVLPANYRLALAVGQFIAKHYRGSDATSMGTRFWASSVLINTIFRAVPVAPESVWLEGASLLEKLQTTEIVKRFYQQATSVYPFSFKLWDAHLNSSKASGGNSEGIVESARQRGIELNMAPT >Dexi8A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:8A:2822811:2823380:-1 gene:Dexi8A01G0003660 transcript:Dexi8A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLAAIVGAFLLLGTATFIVWLLLRPRAPAFSLASLALSRVAYFPSNSSLSASFDAVLLAANPNSKLSVTYFSPLASVSFAPSSPIAVASLAPFSQGPGNDTRLAFRLVVDDAYVGPDDAAPLKTGDGGAVDVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVQVVFRGKNSTEAAFNGPPKRCDVVV >Dexi5B01G0022390.1:cds pep primary_assembly:Fonio_CM05836:5B:24688291:24689363:-1 gene:Dexi5B01G0022390 transcript:Dexi5B01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFYNAGSLSHEAKEVFLAALVSEGRAEWMDKGHKRCLILWLRIQDWANLIINFVKDNGLEVMTIEEIRSGIDTRGTELEGIDRSVLMRALRQLEQKGKAAIFKGTSADDEGVKFSV >Dexi9A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:9A:1346288:1346742:1 gene:Dexi9A01G0002520 transcript:Dexi9A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIRIANIDPKKTIFFDDSTRNIASAKAAGFHTVGRSSLVPGADHALESIHNIKQALPEIWDGQDRSESDVVLASSAVGTAVVA >Dexi1A01G0026330.1:cds pep primary_assembly:Fonio_CM05836:1A:32279514:32283400:1 gene:Dexi1A01G0026330 transcript:Dexi1A01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRGSTPVVALLLAALLLVGACAPASASSYPARVVSGVLSNAASAVMKRLWSLKSTTKTGHYCGLPAGFLLLPFRLGFPDTGLHFPFGAATGGKSMVKYEGGYTVETVFDGSKLGIEPYSVEVTQGGELLVMDSMNSNIYRMALPLSRYSRPKLVAGSPEGFPGHVDGKLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTACIQHLDPKLHSNEDAWVTTIAGGKSGRGGHVDGPSDEAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIPLHFDDCVYQYEAGFPLGVALLLAAAFFGYMLGLLQRRALGMYSNGDEQEQEAPSPVQAKLSSIPPPYQKPLKPSLRPPLIPSEDEPVKQEEEEGFFTSISKLVGGAKSSIAEIVRAAFSRKKHVNVHHHQVGLGRPASWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRPQLHGWTGEAPHQQQQPPPPPQQVHHQQYLQHHRQYSAGPQTFYEPSCEATKEIVFGAVQEADTGRRGVETKAVNHGDQNGLRYRSGYNVGYTGNN >Dexi1A01G0025180.1:cds pep primary_assembly:Fonio_CM05836:1A:31478478:31481724:-1 gene:Dexi1A01G0025180 transcript:Dexi1A01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPRRRGGRATTSLALLLLLLACVAACSIPAARAQATTFTGPVDGREITNFSFPSFDKSLLQSNKPLTFSSNTSVSQGSLQVTPDSINDPQKFLVNQAGQIFYSTPFVLWASNASNSAADGRHVASFSTVFRANLYRGANRSVKGEGFAFVVASAINGPPPGSYGAYLGLTNASTDGLPTNGFAAVELDTVKQSFDPDDNHVGLDINGVHSKVANPLAPFGIELAPNDTTETNDGSNYVWVEYNGTARYVRVYMSANATKPATPVLNASLDISAILLDKIGYFGFSASTGEDYQLNCVNMWNMTVEVLPHDRVSKKLSGWKLGVAIGVPCGAVALALGLLAGVYLMKKRKKVGDDPSSVFNSAIDLRSIPGVPKEFDFKELRKGTNNFDEKMKLGQGGYGVVYRATVAGDNGRSMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHDNGVLLLVYDYMPNGSLDRHLFGGKDTPTLDWQQRHTVVAGVASALNYLHHEFDQTVIHRDIKPSNIMLDTSFHARLGDFGLARALESDKTSYTDKVGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEVVCGRRISCSNPAGCSQLLEGVWKLHGAGRILEAVDPRLAGEYDQEEAERLLLLGLACSHPNPRKRPTARDILQNLQTRSVPPLPVPTSKPVFMWPVPLAEGEEGMYGDETTQTSMSHSEVTVSDVTSSSYYAYASSSGYTTQNYPVSRDAVERDVTTV >Dexi8A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:8A:16103844:16111909:-1 gene:Dexi8A01G0010030 transcript:Dexi8A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGDAAAVPVPVPEAYLAGPAVQEARELVAELCRHFYVPGWVTGTGGSITVKVNDPDVPLSDRLIVMSPSGVQKERMVAEDMYVFSADGKVLSTPVAKPWPNKPPKCTDCAPLFMKAYQMRGVGAVIHSHGIETCIASMLEPGAREFRMTHMEMIKAIKGHGYRDELVIPIIENTPYEYELTDSLSEAAESYHYLLDAAIKLYQLGIDWTTPEHGPINRAKRLCTILNTGVSNECHAAETLKQCIVLDIEGTTTPISFVTDVMFPYARDNVRNHLTSTFYSEETKEDIKLLRIQIEDDLRNGILGAVSVPPDEAGKEEVINSLVSNVKSMIKADRKVTSLKQLQGHIWRTGFEAKEIQGDVFDDVPKALKNWHLSSIKGIVNTLNKKETRSYIEIAQSLGLDSPSQILFITDVFQEAIAAKNAGFEVAISIRPGNAPVPENNGFRTIKSFSEI >Dexi4B01G0021920.1:cds pep primary_assembly:Fonio_CM05836:4B:23766167:23766331:-1 gene:Dexi4B01G0021920 transcript:Dexi4B01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIVGAVGAAVGGGAASGGAAAEAQAPQEEEKEESDDDMGFSMFD >Dexi1A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:1A:781426:784516:1 gene:Dexi1A01G0001250 transcript:Dexi1A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELRHRRAPEPEDVGEVPSSGGKEEGAEEWRGKKRDALGWLEWGRGWMAVVGEFLFQRIAASHLANPLELPPLEGVSIIVTGATSGIGLEIARQLAQAGAHVVMAVRRPKVAQELIQKWQNENSETGTPINAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLIPSLLRGSPSRIVNVNSIVSSFLSLILLQWMHTVGFVDAEDMNLTKRKYKSWLGYSNSKLAQVKFSSMLHKRIPAEAAVNVICASPGIVDTNVARDLPKVVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPEYCEMLKSEDWPVCACISYDCNPMNASEEAHNLETSQLVWEKTLEMIGLPPDALEKLIAGESVQCRYGQQEAE >Dexi5A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:5A:3583233:3586144:1 gene:Dexi5A01G0004720 transcript:Dexi5A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSSVASGAKRPFEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVSVFGGFPSRAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNRIESSPEAAQKASSIPLLLCHGKADDVVSYKHGERSADALRANGFSNVLFKSYNSLGHYTVPEEMDEVCKWFKANLELGTSSS >Dexi5B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:5B:11735324:11737946:1 gene:Dexi5B01G0014860 transcript:Dexi5B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDESHVSVLQEEMLAADVLRRLPPRSLAASRCVVCKSWHAVVDGRRLLRPELLPRTLGGIFVDFNMLARPEFFSASSGGATAVSRDLGYMPRPKGPVVDHCNGLLLRSRDVVNPATRQWAPLPPRPPPRMSSAEAFFADPYLVFDPSISPHYEVFLMPVVARWARLGTAMATSEWPPSPCETHVFSSRTGRWEERSFVREGEPAGTVAAMAKAFTMQKRYSVHWRGAFYVHCQNDFICRVSMADGKYRVIKPPAENEADDHHEPYLGRSEKGVYYAILDRGFRLRVWFLDESSTTGQMEWVLRHQSDLERVLATQDDSYQRDDYGAGWCFKDINQHYAEYPEDDDDEEATKLHFPLRFLRRGWRRLVKASDTSNEPHVLHLSNLDLLMHNIRASTFCIYPKPSTDVGGFDAGAVHAFESGLPSFLNHSFLFAGRIATNPSSGIPEVHCGNQGAELLVGWDRPQPSSRWRAWGRPCCRMGWMVDGRARIAGGDPERRAASVKEVMRMPLPGSQRPYYSSNISGVPLMLLQAHGMLQDILVMDGLPVLDLRHAQIRSHAPYHHRAQHSENKGSCSTNRHPQWRKKVGLLRFSIVGQ >Dexi1A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:1A:839925:844088:1 gene:Dexi1A01G0001330 transcript:Dexi1A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSLFLFPSGICKSLAARPSVVNIGSILRLNSTTGGVAAVAIRAALEDINSDMTVLNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYIDDDYGRSGIAALDDELTARRCKISYKVGFPSNAKRSELLNLLVTVSNMESRVIVLHTGADPGLRLLSLANGLNMMGNGYVWIATDWLSSYLDANSSVPAETINGMQGVLTVRPHSPNSKMKSNLMSKWSSLSKRYNYSDLRLSAYGFYVYDSVWTVARALDAFFDDGGRISFTNDSRLHDETGGSLHLEAMSIFDMGKRLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYSKPPNTSLANQHLYDVIWPGETAQKPRGWVFPSNAKELIIGVPNRFSFKAFVTLDNATGKMTGYCIDVFTQALALLPYPVTYRFQAFGNGTANPHYDQLVQKVVDNEFDAAIGDIAITMSRTQTLDFTQPFIEFSFSTLFFAHKEYMVKELNISRSRLKALGSPEEYADNLKLGPKKGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTSDCSADNTEFVDSNQLRLESFMGLFLICGVACVLALLIYFGIMLRRYLKHEPPESISVEPGSSKSKRSLKREVLHFSPSLFDFPLHHGFLVCSSSFEGDLRPLLAPGVVAVRLCSTAGFLTAVWPPPPLRSPRGEDAF >Dexi2B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:2B:620569:621195:-1 gene:Dexi2B01G0001020 transcript:Dexi2B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPMELEEEVLLRFPPHVPELLVRASLVCKRWRRLVSAPAFRRRLRDLHRAPPMLGFLCNIAEDPEGGGMAAFVSTGAFRPPGAGLGHRLPIDARHGRVLLHYYCPESGLALADGTPYALHD >Dexi8A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:8A:25420590:25421896:-1 gene:Dexi8A01G0014850 transcript:Dexi8A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVLLGPSEFLTTISGTIDSYEDHSQVITSLMLVTNARSYGPFGRTQGTPFQVPLQSNGCIVGFFGRADQYLNAIGVYTNHNLEIMQQEEAGVGRIGPWGGDGGRSYDINATPHHLESVTICSCIVIDSIAFSFRDHNDRQHHAGPWGGYGGNDHKIQLEPSESVVKLYGTIGTFNGIPNVVTSLTFVTSSAHRYGPYEREEGTAFYIPVQSNGSIVGFFAQADEYIRAIGVYARTPYFNSILPVQCLHLHQDQGIFIRLEFG >Dexi3A01G0024630.1:cds pep primary_assembly:Fonio_CM05836:3A:20233168:20234706:-1 gene:Dexi3A01G0024630 transcript:Dexi3A01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSATWIMVTAVVTFLAVALASLRILRTSSSKKMKPLPPGSFGLPLVGQTLSLLRALHANAGEAWLTRWVAAYGPVTRLSFFGCPTAMLAGASGNKFIFSSAALTPKATASMSRMVGRRTIRDVSGDEHRRVRAMMAQFLRPDACRRHVAAMDAEVRRHLDGGQWHGKATVAVMPAMKDLTFDVMCTALFRLGRDGKSEAVRRELSTEFQQLVKGISVIPMDLPFTSFRKCLAASRRGRRAVAGIIQERRVKLETGQSSAADDVVTHMIAEGLPDEEIIDNVMFLMIAAHDTTAALLTFLIRYLDTNREAYDKVVHEQEEIARCKEPDEPLSWEDLSKMRYTWAAALETLRLVPPVFSILRKATADIEFGGYHIPKGWQVIQPMSTTQWDAAIFPEPGRFVPERFEDTSATPPFCFIPFGGGPRVCPGNEFARVETLVTMHYIVTRFKWKLAPGCDGSYARFPMPYPSQGLLIDIEPR >DexiUA01G0014770.1:cds pep primary_assembly:Fonio_CM05836:UA:30946472:30947798:-1 gene:DexiUA01G0014770 transcript:DexiUA01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKNVLESEHVLGNRTLEVKIATPKAF >Dexi3A01G0034740.1:cds pep primary_assembly:Fonio_CM05836:3A:39991933:39995283:-1 gene:Dexi3A01G0034740 transcript:Dexi3A01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSEKNVFLENLLSDNNTELEILRRKLNDSEESTHTFRNQNSVDSINSALLSLETQYAELEGRYLDLEQDRNKALDEVIKLRELLRLEKEKHKEATNSGTTQFSAIQKQIILLLKEVKHKENQLQQEEQKIVEAQTEIFILRRCLGDMAEANSDAMSQLRKQQELLLHEIKCLFNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLKQEWHAKSEELVKLQSERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLTELQESRQSLQGEIIKLIEENTSLSSKVYGSREKEKSFEDDFSTLVCEAVRTDILGVIFRSLHDERTSQLQCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSGTEISTGSRRRAMRRDTKLLKSGRKSQESGQNMEQRKEVDNAGLEKLNEMLREELQKLKNELHVLRSKEQPVIDVKSCDAEITKLLANMQVATANASLFKEKVLELIVTCESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTLLGVLQTEVDALQKQTLSLAKDCLPPNMLKEKLHGTIKALQKVVSDTGVVLEQERLDFNSNLQDARKQIEMLKLKEILDSDASDTNYERMMKDIQLDLVQTPSRRTAAALGRHRKNSVASQSDEKMLALWSVDRVSSGSRRHDVDLRPPQSEAASENDNKGKKRSSSESVVTVKDLSVDKQEVLPRPVVTTIAATMNEPHREWKKKVIDRLSSEAQRLLDLRSIIQELRAGVEASSDAELDSVKAQMADAEDAIAELIDANGKLLKKAEEFTSAGTGDDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLRHEEERAARRAAKAVATTVQVQRRSRVQLVEYLYGRPRDNRRPKQKSRGPSCCMRAKAIDD >Dexi5B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:5B:18206836:18209073:1 gene:Dexi5B01G0017170 transcript:Dexi5B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRHAREKLEREQRERMQRAKAKADRERRAKAEASRRREALEASHRERRLDAARAQEEADQKMEEVMQLGKGVSFVHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDTVPGTSMEQDTEEATCCGVLEFTAREGSAELPLHVWNNLFRNDTPDVPLIEVRYVSLPKGTYAKLKPEGAGFSDLPNHRAVLETALRNHATLSKNDVVVVNYGQLQYKLKVLELKPESSVSVLETDVEVDIEGSDSILDNEENQHVLVPLAIGKVESSVVEEGKFRYYKFSVEESASEKIASGRANIEVKLDTDASGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPKDSSLVSGLYSIGVYGFKGTSKYQLSVAIKDVNGQRIGEHACALGSVDIDSVLCRNCKRHIASRSAHLHEAYCMRHNVACPHDGCGVVLRKEEAADHVHCNKCGRAFQQREMEKHMKVFHEPLQCPCGVILEKEDMVQHQSSTCPLRLIVCRFCGDTVQAGGEPIDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHHIAVHQKS >Dexi5B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:5B:17867143:17868193:-1 gene:Dexi5B01G0016940 transcript:Dexi5B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPDPEALLLHATHALGASELGDLPQTGRENRALHDVVAPRPWATPRPRRLNASTWPALLDVEDGRFDDALAWLAQESLASRLCLAAFCDLLGRTDLCDHCLACFPEHHHPLLRQQSLYQIGIVSATLGGIQLVKFAGSEGRVAAAGFQIIDESVTKGKMSAFQIFVAAMLKRAAKRAIRDPAALNSDDGTGMFGAFIKALFGGPNTEGPPFFVLKAWQALLSAVVLGAPPLSGERVRAMLHSAERDLEHAVREREDPAVIADLRLLVAFLAARDGRFDDALERYVEMERADSSDPRPHCLAHLVCRFDERPEESDKWLGSYDRLVTVIVSGRAGRADFPRR >Dexi9B01G0026710.1:cds pep primary_assembly:Fonio_CM05836:9B:28883098:28886151:1 gene:Dexi9B01G0026710 transcript:Dexi9B01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNGKVLGKCDRNINSLKRKWDSPAAYDADACRNSELHQRPAEDSAVRFHVDQDRKAKIVCHFNKQVLQSYRNFMSSAPPKRILLRQGAGWKDFPEKIVKLAQADFRSQKTITETGYQNQLFLLDFVHMTFIDSKSGLQKPIAWIDENGKGYFPETFLQDQKLFMKKDFGNGNHEYISVEPNGTREMNDQLGASESSAESSNFDSSTEDVSSPKRARAEKSSIGTDGDMGEAIGENEPCALLPTACNLLPHQANLGEVSRAQSTMEAVEKLLMQGMGSVIGSKDVIGIYRTPVLDDCRQEMNLEGPPALGGGCAAPMLGDTMEKAPSSPWMPFSMLFAAISTKVSPENMDMVIGCYEEFKSKRISRAELVKKLRHVVGDRVLISTIMRLQDKVCKLPLRTLLQIPPVGMPEAPLVAKP >Dexi7A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:7A:20380319:20381071:-1 gene:Dexi7A01G0009330 transcript:Dexi7A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRVQTNSEKGHCKAIKVAAAISGVESVTIAGEDKNLLLVIGVGIDSNRITEKLRRKVGHAEVVELRTVDAAGVDELGDHHAYRYHPSPSPYKHTPARDHYYGGGGGRDHRYYTGVSAYAPRADYYYGGGGYPAAQYQQQQQDYLYYPAAANTHTVVHHEYASDPNSCSVM >Dexi9A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:9A:13864810:13866481:1 gene:Dexi9A01G0018810 transcript:Dexi9A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSPTHTLPPRGSKMERLKSAVPAELRRAVGEGTAADLAATTSRLFAFFDSLPLFHQVMRELTDPELALCRKDKGRAVELKGQGNACFSRREFGEALRFYSQALRHAPINSDGINVNLVSAIYVNRASTMHKLGLFKESLRDCDRAIAVSHNYSKACCFFFI >Dexi3B01G0031920.1:cds pep primary_assembly:Fonio_CM05836:3B:33937064:33939029:1 gene:Dexi3B01G0031920 transcript:Dexi3B01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIEDKGGAIVVMLVSLFFIGTFPAIMTLLERRGRLPQHTYLEYSLTNLLAAVLIAVTLGQAGEAKPGMPNFFTQLTQYAWAFAGLSVTNVICSSMTVVIGTTMNYFLDGRINRAEILFPGVGFFLIAVFLGAAVHSSNAKDDAQKLSRVESRGVELAKDFAGTATVLPDPEQPKNTKGSGEDINALAQTKPGSAEFIIEVEKRRSIKVIGSDKLIGLGLIFFAGTCFSLFAPAINLATNDQWHVLRGKGTPHLVVYTAFFYFSISAFVLGIGLNVWFLYRPMAGVPASTIGAYARDWNGRAWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTIWAVIFFGEYRRSSRRTYLLLAAMLSMFVVAVAVLMASAGHRKT >Dexi9A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:9A:6642652:6644713:1 gene:Dexi9A01G0010830 transcript:Dexi9A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRCRSSGAELHSVRCSSRARGGQIRQAGKTARAMGHGWGGCFSGGDVRVGGPPLEEPDPEVFSFAEPLPPWPPGGGFARGRMCIGGGELELAAATSFQKICTLSPRRRQRRCGSSVTFYRPVGVPEGFSLLGHYCQPNCRPLHGHLLVARACAPPASASPQPPPPPLCAPRDYTLVWEFCAGGLGGSGNRGNAGSCYGLNDAYFWVPVPPEGYRALGCLVTTEPHKPPLDEVACVRADLTDECEPHGSLLHLQLAQPSSSSDPCAAAFAVRGVRPVHRGMWGKGIGAGTFCCAADGSSPREQGMACLSNVELDLSAMPTLEQAHAVIQHYGPTLFFHPKEKYLPSSVSWYFKNGAALYRRGGEGGEEVDGEGSNLPGGGCNDGECWIDVPGSERGRAVCRGNIDSAELYAHVKPAMGGACTDVAMWVFCPFNGPARLKLGPVSLPLGKTGRHVGDWEHFTLRVSNLTGELMGVYYSQHSGGHWVDASALEYTAGNKPVAYSSRNGHASYAYPGVYLQGSAALGIGIRNDAARSRLFVDSSAKYRIVAAEYLGEGAVAEPQWLQFMREWGPTTVYKSRKAMEWMVGRLPVRLRCGAEKMLNKMPNELSSEEGPTGPKEKNNWEGDERW >Dexi9B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:9B:11029761:11030464:1 gene:Dexi9B01G0016120 transcript:Dexi9B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRVWWASGRQPGKRKARLERLRPHSLLAKDIGHSSGLSHVAKGPMSCAGWQPIEAAPSPLRRLERASPHALASFSFLVSLPSTSDCYTCS >Dexi5A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:5A:6217933:6222463:1 gene:Dexi5A01G0008340 transcript:Dexi5A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVSNIAESLRLLSLNDNRFLRYFKGHLDRVVCISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFSVGNDDSEAHVIKFSTDGRRILLTTKAGRVHVLDSFHGSSIASYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLPSFTITK >Dexi8A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:8A:1069011:1071952:-1 gene:Dexi8A01G0001510 transcript:Dexi8A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHDNQGVSIRVVGVAGSSSLLVAEDVHSSGLDDALLTNLCAAKSAGSPLSSLLGQGQYQLFQNPEARSKVGAGTLGYVMSELEDGKRFSEVALILARLLGQQISMDNISVESLYPSELGPEKVSTKDFLESGLGQLDKSMEERVKAASSRGNVLRYVCEIESTGCQVGLKELPKDSALGRLRGSDNVVEIYSRCYESSPLVIQGAGAGNDTTAAGVLADIIDLQDLFQKTA >Dexi4B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:4B:4852008:4853063:1 gene:Dexi4B01G0006970 transcript:Dexi4B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGFPTQPQQPGTPGRARRRPDLTLPMPQREVATSLAVPLPLPPPSSSAPGGPTPPSAGAAGAGAQQQQQQPPPLAELERVRRVGSGAGGTVWLVRHRGTGRSYALKVLYGNHDDAVRRQIAREIAILRAADHPAVVRCHGMYERGGELQILLEYMDGGSLDGRRIAAEPFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDAARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGNYDGYAGDIWSFGLSILEFYLGRFPFGENLGKQGDWAALMVAICYSDPPEPPPTASPEFRGFISCCLQKNPAKRLTAAQLLQHPFVAGPQPQPLAAPPPS >Dexi5B01G0029930.1:cds pep primary_assembly:Fonio_CM05836:5B:31019783:31020289:1 gene:Dexi5B01G0029930 transcript:Dexi5B01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLRAVLSHGAAGDGASGYIGGGDAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPVEAALAYDRAARALRGAKAKTNFPDHAAGHHQRLHLAPQAVPFGSVDLACPSPWHFVPVVADGVAAPPATQAAAAHPPSTALELGTGQRPGGLPFDLNEAPSC >Dexi9A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:9A:9518368:9519618:-1 gene:Dexi9A01G0014410 transcript:Dexi9A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKERPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAVASPSPSPSPSTSPPPASRPPPPPAAAVSPSDRPRPDLPPARPARRGGEGGGGFGLGLGFLAFSGVVSLALLVIWSKKVVAAVTVAAFSLFLLESVRSSLRPRRPRPVVATETPLYLDGRGRVSPIREVDAETEPSRPCCSDTDRGSDEVSILAAGVEKSGALDGSATPTAKTKKRSWKHKLIAGAKKLNKGRKSKEASVDSPCSFRSDGAQSDASVRGGNARAADPSDSGRFVANRMDAAVPEEPESLRGSRRSQGIEIVAAQVEIDAQAAGLLADEEDGEVGRGAGSRFPAALVLVAVALVGLVAGKLPAVALIVLCYAFFSSSVQGLPRGGGGGGGSSPERRLEVPVA >Dexi3A01G0023740.1:cds pep primary_assembly:Fonio_CM05836:3A:19393270:19394319:-1 gene:Dexi3A01G0023740 transcript:Dexi3A01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTARGSGRRPSCWLVAASASHARGDGDGNAMDELPANFTLGKPPASGSNLQDLPETASPDSASSTTHATSTSSGAQAAVTASRNNRRRRRDRASDQAAMDSARPCRTSIKSHRGDWRSELRTNCLKRVKKDRISLLWKIRAQGRLPANDMV >Dexi6B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:6B:24171075:24172885:1 gene:Dexi6B01G0016880 transcript:Dexi6B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVASALHYLHDEYDQRVIHRDLKALNIMLDVSFNARLGDFGLARAIEIDKTSYADEAAGGGIHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVAGRLPRCDVEGFHFLVDWVWRLHRDGRVLDALV >Dexi3A01G0028140.1:cds pep primary_assembly:Fonio_CM05836:3A:28484605:28487066:-1 gene:Dexi3A01G0028140 transcript:Dexi3A01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGRSRSRHRRLHPQEDLLQKMKQDFQAWQASRAALVDACSATHQPLDGRCIQMTAQENLRRQKMARYGFNVADDCSKVVALEKKGLRNAVVVREENDSETAATTDEPLEPPSQETVITVLRATSPCAAAVSEKFKDFEPGKDGHDRISSASDEEMLCSTAPIVLLKPASSDILLLDVADGCKKPLFGLPKLNRNGNISRLLQEVKEMLHKELQQVNASSSNKVTWATGAKEQIGRSVAKQTREETAAEDRLSKRFSRSESFRGFRSDRRRNEATTTTTKQASPERVGILTRNNILARKPLKRVTSLRDETVSSPTNKDEESMSSCSITSRGRFRSLADVSPSGVGFGEQSFRSECLMMRHNVSPARALFRSFSAPESGFFSLGLFGDGGVRSATHEASEGAAAVMTSQRSTSFSFIRGTVSSLRHSFNLRRHLFRRKMHWSKKMSLEVGEIQPQMATGTTPPSPETFNLFKANLTELPPSPVSPLEVVGHSCRHFFSDLNCTFPELSPKCLSEFEAPASELSYRTDITVETACNHDKAYIRDILVAAGLYDDDGSLDNKANGRVDSMARPICDDIFEEVEDIYYYRGKYCDDAIGVQILRNPEMQEMQTIDSMVAYEIRKSVWADVLYEDVYVVGRKIERAIFDELIEDLLIEVFI >Dexi7A01G0022590.1:cds pep primary_assembly:Fonio_CM05836:7A:30785314:30787499:-1 gene:Dexi7A01G0022590 transcript:Dexi7A01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRAIRACAAASRRTLAAASAAALPKKAVGVPGCPGVGKGTYASRLSQLLDVPHIATGDLVRDALASPGPLSKQLAEIVNHGKLVSDEIIINLLSKRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDIEGENGGPRMYMPPLLPPPECESKLITRADDTEEVVKERLRVYHDLSEPVEEFYRARGKLLEFNLPGGIPESWPKLLQALNIEDPDNKRSAAA >Dexi9B01G0041320.1:cds pep primary_assembly:Fonio_CM05836:9B:41772210:41773305:-1 gene:Dexi9B01G0041320 transcript:Dexi9B01G0041320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALRLILGSSSSSRRLILSEMGYKFTLLSADIDEKAIRKEKPEELVVALAHAKADAILEKMKSNGMMKEIVDSQETTLMITADQR >Dexi8B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:8B:5691676:5692198:-1 gene:Dexi8B01G0005530 transcript:Dexi8B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSSVVGNLSNLAFQETTFLSGVRNEVGFLKDELSRLQCFLKDADAKTRAGNASATNWVQQIKDAAYEAENIIEVTGFADERKMLKKDFAGFISRMT >Dexi9A01G0048510.1:cds pep primary_assembly:Fonio_CM05836:9A:51290878:51294429:1 gene:Dexi9A01G0048510 transcript:Dexi9A01G0048510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLKLASPKPLAPAASALLPRGGAAAQARPLPARRGPTPALVAVQSPAAPPRVGSFDKVLEALIAGADFSEEDAEATLRLLLEERDEARIAAFLVLLRAKGETYEEIVGLAKAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKNLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGEKGSIADALVLNAAASLLVSGKVKNLHDGVALAQETQRSGKAIQTLESWIKISNSSK >Dexi5A01G0026020.1:cds pep primary_assembly:Fonio_CM05836:5A:29743359:29745780:1 gene:Dexi5A01G0026020 transcript:Dexi5A01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDAGGTTVNVGKGGVHVDAGHGKPGGTTVDVGKGGVGVNVNPGSAKKQPSGTTVGVGKGGVGVTVKPGYGKPGGTTVGVGKGGVGVNVNPGKPGGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVNPGKPGGAGTTVGVGKGGVGVGVNPGYGKPGGTTVGVGKGGVGVHVTPRKKPVYVPVGPFQYAYAASETQLHDDPSVALFFKKEDIHPGKKVTVQFTNAEGASGAKFLPRGEAEAIPFSSEKMPEILSRFSVSSDSVEAAEMAQTLRDCEAPAAKGERKACATSLESMVDFATSSLGTSHVRAVSTVVVAKEEGSPSPKQEYTVTGVKRGAGAEEDGRLVACHAEPYAYAVFACHLTRETRAYSVSMVGRDGTAVEAVAVCHADTSGWNPKHVAFQVLDVKPGTVPVCHFLPQDHVVWTRSG >Dexi8A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:8A:4416534:4417173:-1 gene:Dexi8A01G0005000 transcript:Dexi8A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVMDGMVDATELWASDAYEGLPRVPLLCPLVSMEDPHIICFSLCGAKTQWLLLVDIRRKSLLSVYCRSDELSRISGRQLHLSMVSCYLDPNPSCRNGASSSKEGYTDLIPSLVMDAVDEARKNDAGNSAPSSCKSLPEPGMHASDILAGFQEVPSYGLDRDDMVKAYNILGLDNGRRIRSLLGLPKNMRKDWLLMEIKSMIA >DexiUA01G0014330.1:cds pep primary_assembly:Fonio_CM05836:UA:30453178:30454763:-1 gene:DexiUA01G0014330 transcript:DexiUA01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWNQHQQQQQQPHHGQLPSGGGGGAGAGVEVHAHHHHHQLPPMPPPPGALMAPRPDMAIAITASGGAGAAAGGSSVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSSNSSSAAASASASGTGTSSSTSSTTTGGNSAAAAAMMAPPQGHHQQLPFLASLHHTLGGGDHYSTGASRLGFPGLSSLDPVDYQLGAGIGMDQWRLPQMQQFPFLSRHDGSGLPPSMSSIYPFDVEGHGDGGGGGFAGGHMLGGGSKVPGGGSAGLITQLASVKMEDNNPAASTAMTTSDSPREFLGLPGNLQFWGGGGGNGASGGGNNNGGGAAGANAGGGGASAPGNSWVDLSGFNSSSSGNLL >Dexi2A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:2A:3081246:3081749:1 gene:Dexi2A01G0003520 transcript:Dexi2A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTARAKRPAGIIGLHGPRPQPLSLPSSATARPSKRPRGEDDDGVVAGNGAPGPVIVYEHTPKVIHVRPDEFKALVQRLTGRPHHHQQQQRVEPPATETTTTSSSTSAQEEEAGDTLVLTLGKQEAPALLPSPGGGGLAAGDFLFSPSSFLFSPTTMQAIQELIS >Dexi1B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:1B:26733847:26734707:-1 gene:Dexi1B01G0020600 transcript:Dexi1B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRRQFMVVPPPLPPRQYEEGHSFMHQMMDHDTVGSGGGGGGGGERGKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAQYDALHARVESLKQDKLALAAQLDELKGRLNGRQDHQQQSGSCEVNGGEAADDRRNSASCVVEDDGGAVTPPVDASEESTAAADYYCYDDHVEYGSLMPEPEPFCTTPDLLWDTWPLLEWNAVA >Dexi3B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:3B:9043086:9044891:-1 gene:Dexi3B01G0012890 transcript:Dexi3B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEWTDHELGKKIKRESAAAAADRMTAARRSCSLPAAGAAASAGPGSALNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFAAVHKVFGASNVSKMLLEVHESQRADTANSLVYEANLRLRDPVYGCMGAILTLQQQVQAMEAELAAVRAEIVRHRYRPAAAAAAASSSPVATVLPSSHASQLLAASAAAASRGMHAGGRSVGTSRAATLAAASSSSSSAVYAAAASSSTDYSSITNENNVPYFG >Dexi5B01G0037920.1:cds pep primary_assembly:Fonio_CM05836:5B:37258729:37260863:1 gene:Dexi5B01G0037920 transcript:Dexi5B01G0037920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGREGDANLGEVERGADRRLPRRRAGGGRVLGESGERAERPSAGDRGRRGRGSGSGQESRRHSLSRAPARSRARGEEKPAGRAAGGRAAFDLYKSLISLAWSSSPQHTQNTQQQQKQPAPRSSIDCDLEQRAVVIFGTSSCCMCHAVKTLFSELGVSWAVCELDKEPRGKDIEKALARMVGRTPPVPAVFIGGKLVGPTDQVMALHLGGKLKPLLREAGALWL >Dexi9B01G0048100.1:cds pep primary_assembly:Fonio_CM05836:9B:47103078:47107070:-1 gene:Dexi9B01G0048100 transcript:Dexi9B01G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSETRAGSNSEKRREKKRGGANYNRSNSESVLRTHIHPSPSSPPRRLAPSRSPNPLLAPPPMDHHHHFTPPPPSPMQNSTAGASSKPPTPASTPNSRLTSGAPSSRHSSTPSHASTAASAPTAASRTVYSDRFIPSRAGSNLALFDLAPSPSSSHDAAAGGPTASTGSAPATSPYCTLLRAALFGPDTPDRVTSSATACSSSSSAGASAVGTPATGNIFRFKAEVPRNAKRALFSGEDEEDALFPGIFTTRGAGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKTILHHDIRAQDDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNPHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCIGGTSFVRSYIR >Dexi3B01G0035170.1:cds pep primary_assembly:Fonio_CM05836:3B:37779066:37779805:-1 gene:Dexi3B01G0035170 transcript:Dexi3B01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTDRVLVCNPATRELAALPLGTHNAELDHCEYLVPGVAIGFDQWRNLHVIARYFYRQYGEIFVDQVSGERYADKDHNVGHEIFTLGGGSSWELTQDPPYPVGVQRPICTRQAIYWHADEPRPQLMRFGLQHRGFAVVPRPPTAGWNPLHDMAAGLDRGKLCYVHAVAEASFEVWMADEDDDVADDLEWSLRCRVHLPAYLARNNVNYSFMPVIVDGDGDTLVWRKW >Dexi1B01G0029410.1:cds pep primary_assembly:Fonio_CM05836:1B:33735062:33735359:-1 gene:Dexi1B01G0029410 transcript:Dexi1B01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Dexi6A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:6A:12972404:12973647:-1 gene:Dexi6A01G0010520 transcript:Dexi6A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLKNLVVAFLVPLPSILFYLYFVRPGGDADASPLSSWCAEQPLLLANILFFFNVNVLFWLVGILLSNHWLIDLYWTVIPVMLLHYYRGHPASVTDAARSAVAVALTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSNEPWGIWDVVATATCIAGIIIAHFADTQLHKFVTMNEKLKKLGEPTVPTLEEGLWQYSRHPNYFGEQLWWWGLYLFAWNLGQQWMFVGPLVNSMCLGYVTVLVERRMLKQEHRAEAYKLYQKRTSVWIPWFRKAAPELKQKET >Dexi8B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:8B:8101501:8102357:1 gene:Dexi8B01G0006940 transcript:Dexi8B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQEQHSTDQQALLDAQLELWHNTFAFIKSMALKSAMQLRIADAIHHHGGTATITQIATKVQLQPSKIPCMSRLMRVLTVTGIFSIAKHPSAEDADSVYGLTPVSLLLVGSMSLAPTLSLFLNNTFVSPFLSLGTWFENEQPDLTLFEMAHRKTVWDVIGHDETMSQLFNAGMVADSRFLMDIAIKECGHVFQGISSLIDVGGGHGAAAQAISKAFPRIKCSVLDLAHVVASAPASTEVSYIAGDME >Dexi5B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:5B:1102721:1105605:1 gene:Dexi5B01G0001770 transcript:Dexi5B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRVMRCRLLVLLVLVAHTRPPAAVAREYAAIFNFGDSLADAGNLCVDGIPDYLATARLPYGMTYFGYPTGRVSDGRLVVDFIAQELGLPLLPPSKAKNATFHRGANFAITGATALDLSFFQARGLGHAVWSSGSLHTQIEWFQDMKPKLCSSPQECRELFRRSLFIVGEFGGNDYGSTLFSFRPMLEVHDLVPHIVDSIGRGIEKLIAEGAVELVVPGVMPNGCFPMYLSMFPKPPEMYGARSGCIKELNTLSWAHNAALQRKIAELRAKHPGVRIIYADYYTPTIQFVLHAEKYGFLKQKPRACCGAPGVGEYNFNLTSKCGEPGAYSCDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILDNKHLG >Dexi6A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:6A:6200981:6202285:-1 gene:Dexi6A01G0006440 transcript:Dexi6A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFPNSGLPPLPPSLIATPWPRSNLLMSSVTDKSSSPAGDSRASSVGVSPPQIVDTPPPADVLMVAPYSSIAVQSHVPMQLDLRASNYTKRKFGLLAHIDGTPTPNPLTDNWLRSQLVLWLRRQLWVAIQQRFEVNKAPRGDLSIEDYGKAMKKAADSDTRNNISKTSNL >Dexi7B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:7B:9396218:9396466:-1 gene:Dexi7B01G0003810 transcript:Dexi7B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWELVGGGAAAAVRRAARRRMGGGADAVKAQGEEGSRGREHALTEGLPYGGDATGALQQRGETCSGQWAGQVSGGGVAAPA >Dexi6B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:6B:569966:574291:-1 gene:Dexi6B01G0000660 transcript:Dexi6B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLDLHRASIVYYARAKLPSIMPNLETPFLHTNAKVALEDMDHESIFEGSLRLRQLPEIHHHHLKSVEIIESSSTKSLVQLTSCIVKSAVSLERLTLDTLRGDFFSTWSGVDLLNLQFAPRSLTDHGANDKFQPRRRQCAEGEHAVPGGRYAQIHPGRDIGTVEETSSGSREGGGERRGRRAAAAEEAASCSDLEGGDGRRRGRGRWRRRRQAAVVEAWKEGQPGNSGGGVWEGSGGVGGRRLSRNG >Dexi9B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:9B:11477004:11477530:-1 gene:Dexi9B01G0016700 transcript:Dexi9B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGRGSARGNTAAVLALVLLCVLVHSELAESAVYTVGDRGGWSFNSANWPRGKRFRAGDVLVFKYSPKEHNVVPVSAAGYKSCSAPRGVRALTSGNDRVTLKRGVNYFICSFPGHCQSGMKVAITAA >Dexi2A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:2A:27500887:27503187:1 gene:Dexi2A01G0016020 transcript:Dexi2A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRARRLHPTLRRLLLPTTPAPSRAPPLPAHHSAAAQTTSKPFPILFRRHLCSPPPPTPPPPAAPHPPAVVSSDLPAVSANSVCPGCGIAMQSSDPALPGFFSLPSPKSPDYRARLAPITADDTQISSSLKSGHLREGLEPSRGAEPPPAVAAAAADTAEARGEGKVLVCARCHSLRHYGRVKHPDAERLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHRADWKHGAPANLPRALLVVTKLDLLPTPSLAPDDVHAWAHSRARAGAGGDLQLAGVHLVSAARGWGVRDLLDHVRELAGARGNVWAVGARNVGKSTLLNAIARCSGVVGQPTLTEAPVPGTTLDVIKVDGVLGAQAKLFDTPGLLHGHQLTSRLTSEEMKLVQVRKEMRPRTYRIKTGQSIHIGGLVCLEIEELTVGSIYVTVWASPLVPLHMGKTENAGTMIKEHFGLQLQPPIGQDRVKELGKWVKKQFKVSGNSWDTNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVISRSSLVHERASIFEEAGFTVSQIVSKADSMTNKMKGTKKTNKRKESKTPTSPITAPDAAESASTADA >Dexi6B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:6B:8127156:8129192:1 gene:Dexi6B01G0007040 transcript:Dexi6B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSRCSPQTARGTHAFEVAGYSLHRGLGSGHFIRSAAFDVGGYRWCIRFYPDGYVNDDDVEDSSDNDMVAVFLELLSVDAVVRAHYDFRLLDHATGSSSSVVSSAVPMVFDTLHDGREENAYAWGTDEFMERSELEASSYLLDDRLVVECDVTVIEEALVEVLEDDTVTSSSRHGVELPSKDLSRSLGKLLEMKEQADVIFKVEDKVFHAHKLVLAVRSPNFVSQDQPDGLLEGMKRGNIEIEDMQPAVFKALLHFVYTDTLPAMEGLYGDEKEDFVKQLLVASEREFKDLSCY >Dexi5B01G0035470.1:cds pep primary_assembly:Fonio_CM05836:5B:35468197:35472886:1 gene:Dexi5B01G0035470 transcript:Dexi5B01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPASSHGGGAAHRRLGLPVPIILLPQGFGEPVAVAAAEMNREVECSVCHAKVPVPPAVSKAYDSHRSTVSSRQRVLNILLVSGDCVLAGLQVKPASHPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLNVGDKPLLTVSTFMQAARNNVLLAVPAFLYAINNYLKFIMQLYFNPASVKMLGNLKWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVVTAIIQGPNSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDEVPTSKIEMPEQDHRLNTAMDLMRGNRFFLYDFTAEVVLLFSGSP >Dexi7B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:7B:22569898:22576938:1 gene:Dexi7B01G0016540 transcript:Dexi7B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDMENGDEKSVLASVAIVMTGTRESVVVEGVGHGPMVGIGVESPAARRLRPGRASAKRSWPPGCGRTPAPPPAALPAAAAGDGDKVTDGGAGVLGGRAGEAIAPAAVSPPAQNGSLLRQEGSDNGEGAAAPAAVSPVAQNVALPRREGTDRVEAAAAPAAAASPVALNGDLPQQQGSDKMEEDAALGAAQNGAPPQQGQNKVEELASPAAVLSAVCNGSLSHALPQLGPESAGEDGDNVEIGEAQLLGNEGVMSLDRQEGIRVVEMAMAPAVKVSESCDIVGVSSSVQNGDEVGLLADKEHGGGQNSEVVREKASPDGDAMESKENVVAGSRAKRWLTSAVNPPPKMRAVSAIRRFPPGCGRTPITTEASGVLEVSPVRTFPPECGRPSVTTIDAEILDVSPIRTFPPGCGRSAAATTVSGDEARLQLEATPVIDGDALVATPVLGGAASFTLPLEATNEKLGGKRMVDKEQIWAHSSVKQPDDFVGTEQGGDLQQNVVAEASLRNSSNEKMKGKLSPREGNQTVVDDKMKNKLEGSLHRSILRTPLSDPVDAKTKGRRLESDKMNAALICNAKAPVSGKMQSKTLSTKKEVGFSINMKQNESARKLKGDDMGKDNLNRSARETKIGKNAGNSMNLVPDQLIVQALMAPDKCPWSRGRKSIASASKSIPARNRRKVNNATPIKLLTGKVTSRESINDETMEENADSNMEDYDNSRALVLYGENQEICAADPPSVPFGSHHRQPEDHDIDARSKVRKLLQFFQAICRKLMQVDEQGIRNVGRIDLEAVETLKKDPTYKKPGPIVGNIPGVEVGDEFHSRVELSIVGLHRIYQAGIDTSKVNGVLVAISIVASGGYSDELSSSDELIYTGSGGKAGGNKEGDDQKLERGNLALKNCIDTKTPVRVIHGFKGQSSSKAGNSKGRQTSTFIYDGLYEVVECWKEGPKGEMVFKYKLQRMAGQPELSLHAVKATRKSKDREGLCLPDISQGIESIPISVINTIDDMRPAPFKYINKVIYPTWYEKNSPVGCDCTNGCSDSVRCACVVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTSKTGWGVRSLSSISSGTFICEYTGKLLKDKEAENRQNDEYLFDIGNNYHDEKLWEGLKSVIGVQSSTSSSKTMKGFTIDAADCGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYKVGQVHDNDGEEKVKHCYCGAADCSGRLY >Dexi1A01G0024060.1:cds pep primary_assembly:Fonio_CM05836:1A:30704805:30707638:1 gene:Dexi1A01G0024060 transcript:Dexi1A01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICKIVSPVSASIPAGSVLMKELGGIKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFREFEKMANKEFIRRYSSAGCLPSRYMEEEFWHEIAFGKMESVEYACDIDGSAFSSSPNDQLGRSKWNLKRFSRLPDSTLRLLRAAVPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGSAASDFEKVV >Dexi2A01G0026580.1:cds pep primary_assembly:Fonio_CM05836:2A:38153456:38154195:1 gene:Dexi2A01G0026580 transcript:Dexi2A01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPRPLTPPQAAQSSPGVRVSWRYLLELRRTPTLTHLATLTVWTTSAVFPMIYLLIQVLVRLLCARAAAHTSLISRRWRGLWRYIPELYFRDITPGALDTGLSQVARGQLCLLDIDVPDGHRYSASPPQALLRCSAPPRFCDCDIPVEVPIFERATSIKLEVANLSLVPPAQGGDFTVLEKLSVSGCRVDNGC >Dexi4A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:4A:7531404:7532790:-1 gene:Dexi4A01G0009540 transcript:Dexi4A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASYQPLDPQDLKPSGKNHAHFLSNPVISWLCCGFISLALLHLLIFAPSGTQWPAFSPLLQYIDDTYNFVSSEPGGNESCDYSVGKWVRSPGYARRYNATECNVKESHDCIRNGRPDTGYLDWRWQPTGCPLPAFNARTFLRAMRGKHVAFIGDSMARNQAQSLVCLLSAAFRSRLVYRDEDAQHSYNNWRYAFPLHDVKVSYYWNPFIVKSTGKSEDENIQESHVHLDTPGDRWGADADTIDVTVLSASHWLLNGAIYHNGSEVVGAHNAPPELNYTGLGYAWPLKMAYRTAVERLSSGVRPRTVVLATFSPAHFEGRPSDSPTACTKMEPYKEGEKEVDWISKELRDIVYEEAVAAKARSVAGESATRIELLDVTKVAVMRPDGHPSVYMHRDPFEHGVPERMYSDCLHFCLPGPVDTFNEILLQILRKRR >Dexi9B01G0035290.1:cds pep primary_assembly:Fonio_CM05836:9B:37001907:37003694:-1 gene:Dexi9B01G0035290 transcript:Dexi9B01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKHRSHSPVEHKEDRDKDYESSGRKDKSRDLEESSDTRLRRGHESGRHTDRHSYGRSRESKRHDDYRRYHDKHSDDYGRSHPRASRSDRRSRYGEKSVNRDQRSTNEGKKSSPHRNQRNDGGENNKYTDARKQEYRGYGGDRDHYKVTCNNKETIKEEDVLKKRNGKEIDKEALVETRQKRRSLFSSTGPNVDNPLDAKPSSVSNEALDTSAATLDDGVNAAKVAAMKAAELVNRNIAAFGAGTGRLSTDQKKKLLWGNKKSNPSEETSNRWDLNLFSDRERQEKFNKLMGVKSGAPVLENKVENKGGSSDEAKKLEELDTNLEKHYIAGLRRRDGRTVGLGL >Dexi5B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:5B:6115854:6116793:-1 gene:Dexi5B01G0009000 transcript:Dexi5B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQQQDEGEERRTLDVTVDDLDALDCPLCFSPFDAPIFQCKNGHAACETCCTRIHDGLCSSCHEPIGEIRCRPLERAIACMLAPCAFAEHGCTRRLRYAEKAVHEALLCHYAPCVCPLPGCGYAGLDLRDHIQGAHAAAGDHVVVRFVGSATVTLRRGTPLVVLLQETDARVFLLLNGGDVPSGRSLSVVCVGPRLGSGNTSCGSSAAGGGGGGALSLSASGPVACTRMWAGHHPTEGFLFVPDAYWSSSSAVSVTVHVRKLNGGERQGLSA >Dexi7A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:7A:27563725:27574185:-1 gene:Dexi7A01G0018110 transcript:Dexi7A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSGGGSARRRSGGGGGGSFGGDDDPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKKAQKEEIRRKIRAQAHVIRAAFRFKEAGRVHHSKETTVPPADGALGFGIKEDQLTALTRDHNYSALQQYGGIPGVANMLKTDKEKGISGDDADLTARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVIPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGSVEWYFTGHTYDPDGSVQYVKGKMGVGQTIRGVVKIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMKDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMESPDNTQMLSADVTSLIVEGIAQNTSGSIFEPGVGQLSFLGGQEPEVTGSPTEKAILSWGLKLGMKFNETRSKASILHVFPFNSEKKRGGVAVHLVTEFKKFIEDMAAASLRCVAFAYRTYEMGDVPNEDQRAEWKLPEDNLIVLGIVGIKDPCRPGVRDSVRLCQAAGIKVRMVTGDNLQTARAIALECGILDDPNVSEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMERPPVGRREPLVTNIMWRNLIIMALFQVSVLLTLNFKGISLLQLKNDDKAHADKVKNTFIFNTFVLCQVFNEFNSRKPDELNIFKGISGNHLFIAIITITIILQALIVEFLGKFASTVKLSWQLWLVSIGLAFFSWPLALVGKLIPVPKRPFGEFFACCCKRSKEASDDATSDDKQSKSEHRDVV >Dexi5A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:5A:3897556:3903104:1 gene:Dexi5A01G0005150 transcript:Dexi5A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSASGSPSSASPHQHHRDAIKSSVHNSASSRRREHAIAVGKERREALMRAKRVCRAPLSGSDEAAMEEGDMVIDEEKANLEARTTQAVEELKSALSTQGKGAQKKKIEVLRALRRLLSQSEVPPVETAIKAGAIPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGADLRSKLLAQGALWPLARLMLSNKGSTARTAAWALSNLIKGPDPKAANELISIDGVLNAIVRNLEKADEELATEVAWVVVYLSALSEKATSLIVLRSLGNLVAGDAYMVDSVLTVGNSITDQAVSSLIKCLKSDSRVLKKEASWAMSNIAAGSFEHKKLIFASEAMPLLMHLLATAQFDIRREAAYTLGNLCVVPTGNAAPPNIIVNHLVAIVNGGALPGFINLVRSADIESARLGLQFLELVMRGYPNGQGPQLVEREDGIEAMERFQFHENELMRNMANGLVDKYFGEDYGLE >Dexi9A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:9A:11167759:11168598:-1 gene:Dexi9A01G0016210 transcript:Dexi9A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNTPKYPYTPPVAIVSLVHCFVSPRLADPTRPQQSKASSMELMEEELIEEVLICVPPDEPAYLVRAALVCKPWRRVLSDHGFLRRYRVFHRMTPPLLGYLHNLYSRGPIPRFVPTTTTTSPFAAAPPPPLGGCERWRALDCRQGRVLARALFDPDDDPLVRCLAMLTTYPRTERRFTGAVLCAAVDGWDHLGCHGGPFMVVYMVADEHHAVRVSVYSFETDAWGPPSAAVHVDRLFDGRSSLLAGGALHFALYGGEGILKLMELKGMT >Dexi8B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:8B:2586851:2587156:1 gene:Dexi8B01G0003440 transcript:Dexi8B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDGALPTPLLPPPPRECFRRRPGKLCPELQWRSDPVSPSPDPKGTPPDLTSPRRYLLVALDGNDNWSWLACGPSQEQGTTMPRPPPHAAEVIHLLLSL >Dexi7B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:7B:23239890:23240120:-1 gene:Dexi7B01G0017230 transcript:Dexi7B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSHCGIKRRFSLLASATLSILILCSCSTCSCLQYSYPSFSIANEANFSFSTGPWIANGALQITQNSGDISHTM >Dexi9B01G0037370.1:cds pep primary_assembly:Fonio_CM05836:9B:38821341:38821740:-1 gene:Dexi9B01G0037370 transcript:Dexi9B01G0037370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTTLRTAQLFQMSCPLISSAVGIRIGSTAAAWLDDSPPAPAPAADGSGEAMSRYLVPFPPPLAAAAPQLARSIGEWGWSGGEDPSSVSVPPAAASLSTPSSKKRVGASQGIKGEAGKGIRASLT >Dexi4B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:4B:20296302:20300351:-1 gene:Dexi4B01G0017860 transcript:Dexi4B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGLVTAPRGATVPAAGRLRRGGCHLLHLRSGAWRCSGPPPNIKRGGDALSQMAAHSLSLPITRSRGAALPLVALRRLPLLRPACLAAAPPRPHRGLSSSSSPSSTTSSVSRALGLAGCRHRPRAALLATGGAGRRRRGELGRRAMSGSTNSTVAPELAVAEMCGTVQQLPFVNDKHGGVIIEMVAPMDPGVFSASLKAQLAKWREQGIRGVWIKLPITLSNLITPAVEVLAVQEKSGVLRGLGVWKFPTGIVEPGEDINVGAVREVKEETGIDAEFVEVLAFRQSHRAFFDKSDLFFVCLLRPLSYDITKQDSEIEACQWMPLEEFAAQPFVQKHELVKYILQVGLAKVDKEYAGFSPISIKSAFTDKLSLFYFNQRDLDRASGSSS >Dexi8B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:8B:15172323:15178877:1 gene:Dexi8B01G0009000 transcript:Dexi8B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGLRLQDDSENNAPSTPGSPGFSTDRLPPNNTTSRGATDPSSYSDDDGEAEVDPYVLPEDDDPAAAAAPDEEDEEGEDLYNDNYLDDYRRMDDHDQYESVGLDDSLEDERNLDEIIADRRAAEAELDAREVRTGAAADRKLPRMLDDQDTDEDMNFRRPKRHRTSFRPPSGPRTPRSDDDGATPSSPGRSQRGYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGAILGPFFQNSYTEVKVGSCPECQSKGPFTVNVEQASYLNKQHLFTLLYSCYMIFVFEETIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIVIVLHLSFVIQYTTVDIELMFSAYHFQEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVDDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDALHFEEIVSGSTSRLTHVEIKVDDLKNKAQEYEIYDLKPFFSSAHFRDNSFVLDEGRGIIRHPLAA >Dexi9A01G0034260.1:cds pep primary_assembly:Fonio_CM05836:9A:39047697:39048173:-1 gene:Dexi9A01G0034260 transcript:Dexi9A01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLADHQLQELDLELSLLHPCSDSPQQADDPPGFFLCTYCGRKFYTSQALGGHQNAHKYERTLAKRRREIAAATRAHGASAVGAWVDDAFRTGGGGAGRVDAAAAAAAGAGELYRARPAALEAAEESSRLLPGGKKKSSSEYGVDRANELDLSLRL >Dexi5B01G0025980.1:cds pep primary_assembly:Fonio_CM05836:5B:27868645:27869677:1 gene:Dexi5B01G0025980 transcript:Dexi5B01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSASLEITDGAAGADASLTVFQFDGFIDLDAYDTIAMKIKGDGRCYISTVCISSQESV >Dexi6A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:6A:13369304:13369740:-1 gene:Dexi6A01G0010720 transcript:Dexi6A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSWPRISSAATSAVLATTQCVEPSWMCMRGPTRVASSWKVRCTGGRRRWRWPITGRLVGPGGRRDRSRHEETRWRRAMAVAAATTRAAKMDIGLVLLVRDDSTMMPTGWSLDYADA >Dexi2A01G0030990.1:cds pep primary_assembly:Fonio_CM05836:2A:41813592:41815581:-1 gene:Dexi2A01G0030990 transcript:Dexi2A01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSHHHSPFLLLTIFAYLSTRCTVHGELANGGHQDLPALLSFKAYNPNATALGTWAGPNPCSGTWLGVRCSRGRVVGVFLDGASLAGAVAPLLRLGQIRALAVRNNSLTGDLPPLDNATASPWLRHLLVSHNQLTGSLNISLGALLTLRAEHNGFRGGLDALRAPSLRSFNVSGNKLAGEISGDLSRFPSSSFAGNLALCGTPLPKCVRAYNALGSADSSSNATATNIAAESPSTASANNVSSVSASSSSNNGGFSKISVTALMATGIGNAVLITFSLAISVAMFVYVRRKLRSAKGASDAAALGFEDQEHKRATNGDDKCHQKSGGLVCFDGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKRLSALQFPASRSKAFDRHMRLVGRLRHRHVVSLRGYCNSNGERLLVYDFLPNGSLQSLLQATGCGARSLDWAARKAILLGVAQGLNYIHTFPARPGLVHANVKPSNILLDERGGACVSECGLMRHATNIQRSIVSQSSRCPPDLFLERATTTTTAASSGGWHGYAAPELAPGGRATQESDVYSFGMVLLEVVTDRKGAPDGEEGGDVGEQTIGMVKIGMMCTAEVPEERPTMAQVLAMMSELM >Dexi4A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:4A:12966330:12984897:-1 gene:Dexi4A01G0013450 transcript:Dexi4A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKNSEGGGPWLRSRNNFLGRAVWEFDAQLGTAEERAEVERMRREFTEHRFERRESQDLLLRMQIFALYVTKSLNVVLSSEHCEEICRYIYNHQAWRFWCFCRMVYMPMAFLYGKKFVGPITDTILSIREEIYSVPYNEVDWNIARTSCAKVYDGCQSWETAFIIQAYCETGLVPELGSFLHRAYDFLKKSQALLCVSKASTNPVNEPMEVQHLYDAVDCLLSYMNKDGTFSTYESKRAPSWLEVKGLTRGISMIYRSVECTSSVLQALIMFGGLYPGYRTSEIEFCIKNGAKFIESKQNKDGSWYLETKEELNIRRGYLCIISMQIYSNFGIILPFSLSQQQIYVEGNRPHVVNTSWAMLALIYAGQVERDPAPLYHAAKELINMQKDTGEFPQQEHIGCSNRSIYFNYANYRYLFPIWALGALRNSLLKKNSNGGT >Dexi4B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:4B:10768836:10772637:1 gene:Dexi4B01G0012790 transcript:Dexi4B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTNRSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGNTVIAKNIRLSDFLSRMHL >Dexi9A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:9A:284845:290145:-1 gene:Dexi9A01G0000470 transcript:Dexi9A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAGGVGVSTAGATTVPARFVWPYGGKRVFVSGSFTRWSEHLQMSPVEGCPTVFQAICSLSPGIYQYKFFVDGEWRHDERQPTISGEYGIVNTLYLTREYNQINSVLSPSTPGTRMDVDNENFQRTVTLSDGNIQEGSARVSEAAIQISRCRVSEYLSLHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILKELETHGSNLTEEQLETHTISAWKEAKRQTYVRNDGHWRPHQPLVHATPYESLRDIALKILQNGISTVPVIYSSSTDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCTIPLGSWVPKIGDPNSHPLAMLRPHSSLSSALNMLVQAGVSSIAIVDENDSLLDTYSRSDITALAKDKVYTHVRLEEMTIHQALQLGQDANTPFGYFNGQRCQMCLRSDPLLKVMERLSNPGVRRVFIVEAGSKRVEGIISLSDIFKFLLGL >Dexi7B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:7B:3067009:3068673:-1 gene:Dexi7B01G0001800 transcript:Dexi7B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLSMATSSSKKARPAASAKVAVAGAAAAAEDGRLGILSFEVANAMSRAAILYRSLSDAEAARLLGPLCLGSHAVRALVAGDDARLLALALAEKLDALNRVASVATRLGRRCTVPALIGFDHVYSDLLSGRCSDAGAFAVASPSEATSLVRKLDRLASATAALYAELETLAELEQSARKLPTDEARRALEQRTRWRRHDARRLRESSLWNWTYDKAVLLLARAVCAIYERIRLVFGDPMMGLDLLATTRESSSGQCDQQSRQLSGPICSAPIKNNNLNKTGPISRVDYSDMPRSVNFRSSCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDSSYISTIRSGMLVQFSGDQGLSATPTKSGKIDRRVRFGPKSTVTSLAPPSTIGGSALALHYANIVIIIEKLLRYPHLVGEEARDDLYQMLPSSLKVALRKNLKTYVKSMAIYDAFLAHDWRETLEKTLAWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCVEWQLE >Dexi7B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:7B:12447502:12449438:1 gene:Dexi7B01G0005190 transcript:Dexi7B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVATPIAPPRSTDMGAIAPPIAPAAPRPTKFAAECMFLMVQLEEQTGRVLDEDDVDTLLDQYEALRAASPSLRPHLRELGAARRTSAPLWGPGLTEREWASVLESIGRTDEEDDVDCMLFIRIFFESQLLRARCGGVGSELLRAECGGRRGGSYPGATPSKLRYMEVESYACHINAYLGNDPFLKDVLPIHPQNFFDAVKDGVLLCKLINLAVPGTIDERAINSKGSLTPQDKIDNHTLFLMSAMAIGLTSDITAGELAEAGELAERKIQLLADVNLIRTPGLLELAENNQDSEKLMRSPPDMLLLKWINHMFRKGGVCRRVSNFSSDMKV >Dexi3B01G0035640.1:cds pep primary_assembly:Fonio_CM05836:3B:38667549:38668288:1 gene:Dexi3B01G0035640 transcript:Dexi3B01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSESKELTRIPRASGIRSATASMASRPCGRSATLSGGAPWNVRTVETASAKVAARVEGRARKTASPAAPEGKKKYAGMASSAATPSAPAQNMSSTSALADGSERRRSRSGALAARRKPSIRVKASSSVSGGGGGGSPEFGGVS >Dexi1B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:1B:24844501:24845413:1 gene:Dexi1B01G0018660 transcript:Dexi1B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVVLVSAVVVALGVAAAVLGFIAEATKSKASETTTFFFFFRLAFFLTNCLRWSCHDRSIGRPRQSEQAFVGYDGRRCLYRRTPALGFGVLAALLALAGLALATAASGCFGRYGRGGGALATGRNRASAFKLSIVAWVLVAVAAALFLYGASQNRGGTRGLSTSRRGPRYSRTYYYGCAVLKNGIFSLASILSAAATACAVAAYVFLQRADEPYPPPGQFAGPGVAMGQPQWAQPYPPPPAYPPPPMAYPAPPPYGGYGAKQPGGAS >Dexi5B01G0034040.1:cds pep primary_assembly:Fonio_CM05836:5B:34305155:34306095:1 gene:Dexi5B01G0034040 transcript:Dexi5B01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPLSGGSAAAASGLTTSSTAGFPSPSPAAPRPARPAIVIPPNVMFASAPAPAEDGHSSNSGGSTDAPRCPDLNLDLSVGPPPCSPPNTLAAAASTPTSQQQQQQWATTICLCYHLGVRSGEACSCKQTASPAAAGFRFLRPLEEGQYI >Dexi5B01G0038760.1:cds pep primary_assembly:Fonio_CM05836:5B:37850954:37853339:1 gene:Dexi5B01G0038760 transcript:Dexi5B01G0038760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSIIDRKLIERLLKNSSYQVTTVDSGSKALELLGLRDEADDASSPSSSSPDHQGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQPKCQPQIQPEPEQKLKPPPQPAPKPEEAVVEAIADGISISDCNGSSSSKRKAAAMEQQEGLTSPESTKPRLSNSSLAVET >Dexi9B01G0038540.1:cds pep primary_assembly:Fonio_CM05836:9B:39567938:39568837:-1 gene:Dexi9B01G0038540 transcript:Dexi9B01G0038540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLSECLPDDDIPFQFWASARIILSHLGYPPSGLAPDIPSGPHFFATPFSLTPLHCQLKIQRRILSVNSSHSQIQRSSSRHIIDPRPTPLDQSSPSAALHIHFSSPNRHYTPRKASLSDPRFPDSMAPKAEKKPAEKKPAGEEKAEKTPAGKKPKAEKRIPASKSAGKEGGEKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >Dexi2B01G0026730.1:cds pep primary_assembly:Fonio_CM05836:2B:35836224:35843585:-1 gene:Dexi2B01G0026730 transcript:Dexi2B01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATLRSRAAVAAAACLAVLAAAALLHRRRRRNRAPASPRRLGAGGRRGRPRRACEEEEKPQARFKRVLADNSYSPFKHLRRQVAQPGGAEGEAPPLPSQESSERVHPFEDEITSLLNNPPDFHNSTLGDQCPEMNTSYNWVDTEAQLEHLARLLTEEKAFAVDTEQHSVRSFLGYTALMQISTQKEDYLIDTIALHDAMGILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEILSKPQKSLAYLLEVYCGVTTDKTMQVSSYSSSDRLNFFFEARHRSNMVCMQLYAKEIECPPGASSATSILTRNLQTHGLDSKRSSEVKDLVWKFCAWRDLMARMHDESLRYVLSDQAIAALSISLPKGSTEVFTVIADTDIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLEKKKGYSFKFQAQNIITKSSSNTISENSGHGSENNHALSEQFPENGTESKGQQEFDETQSLSQLEDLALSQGSLSLPVSTEDTTFDHDIVTHDTDAGQLENGVHSPANGHLDKDPSICNNSNQAISKNAEKISLLGHGHHGKQVVELLLSNGGEEAINQFCQKWRQIFVEAVHPRYLPSGWNINHSGRRDFGDFSVYKPAKSGAPTRAD >Dexi1B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:1B:26203044:26205688:-1 gene:Dexi1B01G0019980 transcript:Dexi1B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAASSSPPAAITCRAAVAWGPGQPLVMEEVEVAPPGPTEIRVKVVSTSVCRSDVTAWQSKAQPDLFPRIFGHEASGVVESVGEGVTEFQVGDHVLTVFIGECKSCKHCLSGKSNMCQKLGLERKGVMHSDQKTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRVCLLSCGVSAGLGAAWNVADVSEGSSVVIFGLGTVGLSVAQGAKLRGASKIIGVDINPDKQEKGKSFGITDFINPNELSEPVQQVFTAHDWALAHHSPFGITPFFLTVIKRMTAGGADYSFECVGDTGVVSTALQSCSDEIQVDGLVTHDIPFNDINRALELMLENKCLRCVIHMSQ >Dexi2B01G0031760.1:cds pep primary_assembly:Fonio_CM05836:2B:39774676:39775306:-1 gene:Dexi2B01G0031760 transcript:Dexi2B01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNHFTDPHHPLHETPQYSHDQAGNCSICLLGLAGHRGRGCNFCNIHLHAACAGYFQETITFFAHPLHALRLIRSPGRLCDICDRDCPPGSFVYSCIGCGFDVHALCTMLPERVANPYHPGHELRMVFSLHGSGSCSACHQQLPVWRYSCSPGEELHIACAVNPPTATPASGSMGSYAQSIHHGASLRGG >Dexi5A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:5A:10175878:10176688:-1 gene:Dexi5A01G0013580 transcript:Dexi5A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHLYVAAACAVVLLLAAPAIAGDPDMLQDVCVADRDSPIKINGFPCKANITADDFFFPGLRNPGNTNNPAGSMVTAANVDTFAGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKVVCKGDVFVFPRGLVHFQQNRGHGPAAVIAGFNSQLQGTQVIAMTLFGASPPVSSDILAKAFRIDDGEVDAIKAKFAPK >Dexi7A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:7A:19618866:19620509:-1 gene:Dexi7A01G0008310 transcript:Dexi7A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDHDPNVERSVIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIKVENIDHTAELLVLASDGLWKVMNNQEVVDVANRFKDPYAAAKQLTAEAIRRDSKDDISCIVVRFKA >Dexi7B01G0023890.1:cds pep primary_assembly:Fonio_CM05836:7B:28355124:28357318:-1 gene:Dexi7B01G0023890 transcript:Dexi7B01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTRGYLAPEYAWHGQLTKKADIYSFGVLVLEIISGTSSSRSILADDKILLEKTWELYEAKKLKELVDPVLVNYPEDEVIRYIKVALFCIQAAAARRPSMPQVVTMLSKPIRINESELTSPGYIHEYRSNVSKASTSSHSRSKNSPSLDSNMFSTVVPPTVTEMSPR >Dexi9B01G0039570.1:cds pep primary_assembly:Fonio_CM05836:9B:40229324:40233701:-1 gene:Dexi9B01G0039570 transcript:Dexi9B01G0039570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQPHPQQAPPPPQHQQQPVVPSFRNALPVQVDGQIPAPLAFFNPPPPFPEQPAQAPLVDAVGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGGGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIKDKEAEVETINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQNKDFKEGCGDSEVDDTASCCNGGAVNLQLMPKENRQPKDLTVCRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >Dexi6B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:6B:113444:117402:-1 gene:Dexi6B01G0000190 transcript:Dexi6B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGRLLLSAAVVLLLLAAQHPAAAGQQVGDACSSDAGCGAALHCSPCGPGGDKICTRAKPIDPATHGTGLPFNNYTWLTTHNSYALAGAVSATGAAIISPTNQEDTVTAQLKVSEFLPLSFRSIPGPFLVFFFFFFPSDSDDAAGIAAEWLRLNNSIVSIRPGLARLSPSLGRLDWTSRLAYALCNGVRGLMLDTYDFNNDVWLCHSFGGTCYNITAFQPAINVLKEIQTFLDANPSEVITIFLEDYTAAGSLPKVFKASGLMKYWFPVDKMPKSGGNWPLLKDMISQNQRLLVFTSKKSKEASEGIAYEWNYVVENQYGNEGMVPGKCPSRSESPPMDSKSQSLVLMNFFTTDPSQTGVCGNNSAPLVSMLKTCHDASGNRWPNYIAVDFYMRSDGGGAPLATDVANGHTANSTFGTCVIPPPPPPSKASKPDSGGTKAGDASAAMGQSNHQWSFFLGLSSLLALLL >Dexi5B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:5B:12814643:12814972:-1 gene:Dexi5B01G0015580 transcript:Dexi5B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASMAGAMDAAAVDEVLRRLVEGGRGGRQVQLSEAEIRQLCVEAKQVLLSQPNLLRIHAPVKICGERPRRLACPCLPAPRLCLG >Dexi2A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:2A:25660184:25661942:-1 gene:Dexi2A01G0015060 transcript:Dexi2A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYFSLERAAAPYFGIKAYGVHMNGYVEKGDQKFLWIGKRSDVKQTYPGMLDHLVAGGLL >Dexi1B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:1B:4999149:5000060:-1 gene:Dexi1B01G0006120 transcript:Dexi1B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKQPPVVRRRYALLLALWDSDYATKVYGGYHNVFVSAFGSGAGDERWDSYRVIAGEFPSPDDLSSYDGFVVSGSPHDAHGEDPWVHRLCALLRTLHAMGKRVLGVCFGHQLLCRALGGKVARARSGWDVGVRNVTFARGLIDNGGLGGELLVLPTSAAIVEVHQDEVWEIPPGAAVVAYSEKTRVEAFVVGENVLGIQGHPEYTTDILLNLVDRLAGQNAIDGSVGDEARRTVAVTGGPDRAFWTGLCKGFLRGGLKSGGATDAKGVNVNARAAAAGVAVGSCFAGGAPMVQLACRAGIN >Dexi2A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:2A:23281639:23292115:-1 gene:Dexi2A01G0014240 transcript:Dexi2A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALAVASPLPPAAAAARRRPRASSSGRNAPATEFAIALRVGVAGCAVVVEGQAQSRDDSYTIALEEVFEKHMAAAKDLLDGEDLASWMDTRDVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAETIIATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGIFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNISAPGTMICKQPANDNGDLDACVKSFATIDNLALVNVEGTGMAGVPGTSSAIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVRFREALAAGRLSKVEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQQDCVRALRAAHSRFFLSKTTLAVAIIGPGLIGGTLLNQLKDQAAVLKENMNIDLRVIGITGSRTMLLSHTGIDLTQWKELLRKEGEPADVANFIRHLSDNHVFPNKYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVKSLVPEALASCSSADEFMQKLPSFDEEWARQRGDAEAAGEVLRYVGVVDAVNKKGQVELRRYKRDHPFAQLCGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >Dexi2A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:2A:30170463:30173072:-1 gene:Dexi2A01G0018140 transcript:Dexi2A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAARTTFLFFVNLILAHRAAVNGAVTFNVGVILDLQSLVGKMAHTSILMAMEDFYVAHRNYTTKMFLHIRDSNADNLQAASEVPYEYVAFDNEDSRSYNDFVYQVHLGVYDAAIGDITIRYNRTSYADFTLPYTESGVAMIVPVKDDTDKNAWVFLKPLTTDLWFGSIAFFIYTGIVIWLLERRINNAELTGSFFRQLGIAIYFSLFADSE >Dexi3A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:3A:6278510:6279585:1 gene:Dexi3A01G0009050 transcript:Dexi3A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSMCSKSKQKKDGEHSSSSGSQQQTAAPPGMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILELHAQYGSRWSRIARSLPGRTDNEIKNYWRTRTRKQKAAAHQATAAAASSSSTVTTTTASCSGGSPSSGDCGSVLTESALREIGCGDDDAELDEAASTTTASHQHHHQQQQKQQDSTITMDQFWNEIAAADAAASYMMVDGGCWGGAGHPGAAAVEPSMPASPVWEDCSDYSLWRIDDEEYYKKMLHAS >Dexi1A01G0024180.1:cds pep primary_assembly:Fonio_CM05836:1A:30802054:30810051:1 gene:Dexi1A01G0024180 transcript:Dexi1A01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding EREPAPARLARMTPSPASASDYGMLGRRLALLPAAAGARGAATTRARMTVGCVLLDHMAPKLAVASTALVGAREVIAAAAAAGAGGSGAAHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYQIFEDPKVQKAIVFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVVDDTSESLKTIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEVCSLPLVFNACQANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPVPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQIFKKIRSELTLMWNRTGKSKSMRRSSIRSELLASMKDRHMTSIHDLFSSPNQEKTNMKDLLQAVLPFDIFLDRKRRSYFLSNLNSSSGEPIPNPKIVEDAAVALASLASCEEELERELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKDKGIRQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEHGLAAHWLYKESKVEYRSGMSKRIGQSTSYSSSSSEDESSIQDDIPSKYSSLKVGHPVLRIEGSHLLAAVIVSIDKGGKELIVAVSFSLEASEAVAQRRSSFQLKRWEAYARLHKKVSEKWWCAPGHGDWSTNLERLSEYKTDLACKLGIIPQSENTRLIQQDQFGRLLPTFIQIIDLTEEEEEEYWMVVSAIFEGRETSNLPSELSYTEKSSDPLSSTPLSDPINNKVRLLRTMLQWEEQVRRDASLAEKSLGVSTCTKPILREVAIIFWPNGKIMRMSTGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEARV >Dexi7A01G0022160.1:cds pep primary_assembly:Fonio_CM05836:7A:30547685:30555549:-1 gene:Dexi7A01G0022160 transcript:Dexi7A01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVGPAQHQASNGGSGSVSNGGGAASAAATPLHSSAASTANCAAADGYDSDGYSFAPPTPSTLSMSIPPELAGAIPLIDRFQDPIPTSLLKISSDLVSRSIKLFQVILKYMGIDSPAILSLEERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRGWSIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFIDNPESCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARTATSVTSQNDANQSYKPPNTEMYEKQVQELTKTVEESQKIADQLREDLQLKTKQEAKMQEELEGLRDILQSERQGFKDVKNELDKLKSLFDEKEYALQAALMEKGRLETRLTSGQGRERDTLTTVGSINSDIEMLTKVKEELKSCQKELDASREVSKKLMSEKHLLEQKVQRLERMKSEEKSAIEKAYADECCKLKSQIAELEQKYEATSRSLNVAESNLAARNSEVDNLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLVELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLSDKEVSFQEKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRATSELFRVIKRDGNKYSFSLKGVVTVENATVVSISSIEELRAIIFRGSERRHIAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKVG >Dexi2A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:2A:29919043:29921340:1 gene:Dexi2A01G0017930 transcript:Dexi2A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPCTAHAPQQSRATNHGGSVLARHWLSGSSGALFHTSVVMARWGCGGKAARSIPHVLDGKVSSTGMAAGCCSFVETDASRTHRRAARALTARTSCVADDDGRASERLFVGTPVIGSSLNVLRPYVWPLSASGCQERSSFAIVWQKAWFVFGNVAAADWRRMQCEEIVNYTKYSPASPFDWPIPSSSPLLLLAAARHRVGVSPSRAPLPPSLNPKLSGRPFTLQVTAATATPPRSPGVLASVVLLIGTERRYSS >Dexi2B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:2B:10353429:10354232:-1 gene:Dexi2B01G0009630 transcript:Dexi2B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHRPSLLLRRRRSIPVASSLLVLLRRQPFASSPSPPLPPSPPSPSSSKPPALSARLAFVFDQLDAIDRSRSSDISARDAALRRIQSWRRPPPPPEAPPLEAEPGPGPGTDEPKKKEVEAVEAAAAGDEVARMSMEEVLRREVELVHPWPEWIELMERLAQQRYFDLGRAGGADEASMAAAVPMDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILKSK >DexiUA01G0022990.1:cds pep primary_assembly:Fonio_CM05836:UA:46943555:46943881:1 gene:DexiUA01G0022990 transcript:DexiUA01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPAIPAASLPPMPAIPAIPAATTLPPMPAIPAIPAVPAAMPNAAAALPPMRAGVPAVTLPPIPTVPKVTLPPMPAGVPKVTLPPMPTIPGVPMPFLAPPPSA >Dexi8B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:8B:21960305:21960973:1 gene:Dexi8B01G0012590 transcript:Dexi8B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIPSLTQLTGINAIGFYLPVLLRSIGMGESASLLSTILLVIISSASTFVSMLAADRFGRRTLLLAGGVQMLAAEVLIGAVMAAKLGDEGDLSKPYAAALLVLVGVCSTGFGWSWGPLSWLVPSEIFPLEVRSAGQSVTVASGFVFTILVAQFFLAMLCRMKAALFFFFAGWIAAMTAFTKGLPIEHIDKVWAEHWFWRRVIGVDEAQASEKL >Dexi9B01G0042670.1:cds pep primary_assembly:Fonio_CM05836:9B:42880381:42882392:1 gene:Dexi9B01G0042670 transcript:Dexi9B01G0042670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLESVQTAVGIFDRGGDQSRLCQDRHEQEIAILTKELATCKLQLEVRESQHKQATLKIEALQKAVQDLSDQYEKDCMDAHMRIAQLEAENIAIMSRQAEADGERRALRGELAAARGELDEARASVAFVLREVEAMEMKAILERESTKDALARILRLNETVLSSAVSAIRAEEERSVFFQEAMIQFLNSDRDLEGIRKEMERMEAELLAKTVEVEYLRSELKQVQELYVSVSPQGGASDADVVTAAGCDKLDGDDDQVQACGTTAKWTDAHAEFTFQHSPEECFVSEIFRKDGHVTPLSDGNKTEIEISEDVVVEVKQGAGVYMVQDTTVPEENPDARETRGLVAEISSEDHHAVQSDDKNILAENNHLLQVQDHEDSKADASFVLESSRDDFHSVRSDAKDMSSIAEPSNVAIAGGDGGNQEPQTEAAPAPTSTSTPRECNAGTCAMAKEIVSKDEDEFYTKELDSEQPEHGGSSRLEGYVLVSRIAAGEGDAVAKDKQLDAARTEISDLRFSLEEAVRRAELAEEAKAALERELREELRRKQQQQHQHHTPSRPRRRAASDSEDAPVREGLPPPTLVRTRPTLTTPSPTPGTTPSSSRALRKPRGEDMPTPRCLTLGKVLSMKYK >Dexi7A01G0023170.1:cds pep primary_assembly:Fonio_CM05836:7A:31237730:31238419:1 gene:Dexi7A01G0023170 transcript:Dexi7A01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLAATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTIGMTVCLVILSAALFLLAHGLVSRGVGIAIAILTVCGDVAFFSVGIGPICWVVSSEIFPLRLRAQAAALGAVANRVTSGAVAMSFLSICRAISVAGAFSAFAAISALSVVFVHRFVPETSGKTLEQIESLFGGGGDGEDVDELELGDVEQLVHKG >Dexi9B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:9B:1798518:1801806:-1 gene:Dexi9B01G0003160 transcript:Dexi9B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSYTDGEHPVVSHAALAFYLDFAASTIHASALLTLDAPHSGDLLLDTRALAIHSASTAADPPEPIPFSLAADLDPVLGSALTLTLPPDTTSFRLTFSTSPAASALQWLAPPQTASGQPFVFSQCQSIHARSVFPCHDTPAARITFSLLLNVPAQLSAVAAARHVARRDPLPADHRGACDDELWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPNMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFQSIDTETFLEFLKTNVPGIENQIDLKLWVEGTGIPPDAMEPDSSTYKKICALAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCKCYFNEVEKCLKQVGRMKYLRPLYSSLAKCSGEEKMLAQRIFSEAQEFYHPIARSVAETILSKHS >Dexi3B01G0031000.1:cds pep primary_assembly:Fonio_CM05836:3B:31331312:31334384:-1 gene:Dexi3B01G0031000 transcript:Dexi3B01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVESPEEEFDSTPIGGSSLLHIKLRDEEKRSKVHDYPVEDDLDQLLKAIDSRTFRRALSPGTTGIDALGKSVHKKPARSGLSQNAGIGMSSKTVNMKQALRRLCISQASEMAAMKRLPMSPGSSSSSEAGTIHRLYASLMVQSSTEGLLHDDEKMNLIEISITPEKIDKSSSRGTSEFSEDCEFETADGSAVTSIRSVSSASAEIRKIRIQDVISGDPIDCESSLVENGKLGSKVSAGTDDGSPRVPILRKPITTSRLAKPVFRCKTTTKKKVKEEPSSLGDSSNSTKFCSSKESISLASTSCSSTSSITNPTSCAEEEKSTPGPEKSDETSSEWLGVEEKGECSQNSKSSISEYGCSTSISDESQFGLCGYSNRPHMAKDLRWLTIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVTANHQPRKLTGPCAENYCINSSCLQQPSCAQTSCFTPRLPSIPKPRKPKSSQKRLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFKGPGNDETLANVVSQNLRFPDNPAVSSNAKDLIRGLLLKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETQPCNVVTLAKERKKEGKCLEFRSNSEDLEFEVF >Dexi1B01G0028370.1:cds pep primary_assembly:Fonio_CM05836:1B:32940259:32940882:1 gene:Dexi1B01G0028370 transcript:Dexi1B01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAPPSRVSSLRSRAVPSPTLALSSGGSSGSGEMVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYSGKRMAYIYKAKTNSSGSRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Dexi9A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:9A:12973873:12974628:-1 gene:Dexi9A01G0017870 transcript:Dexi9A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDAASKEEQARRARALAEKCFLAGNVYGARQWMQSALRLAPGLPGTAQIVAAYDVHAAAAARRPPDWYAVLGLRPPGVTHDDVKRHHRRLCLLVHPDKNPSAAADGAFKLVQAAWHPPGAPAPPPRPQPPPQQRQPQPRAPEPQPRPRPQVVQMQRRAPAPPSSTAPRTTRPSYAQQASAPKPPEKGPPMPTASRSSASPDKCPACGASTPNCNSSFRCGSCHWSPMDGRPDDDFFEDDYYF >Dexi1A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:1A:1193943:1196565:1 gene:Dexi1A01G0001780 transcript:Dexi1A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPASSFSYSSVEPLLLSASPATAAVNEQQGRPLMAASKPLLHSDFDLQESLTEIQKILQQRRSSGSREIMAAIDDLKRLCIDHYFEEEIESAMGACMDLIHSDDLYDATLAFRLMREAGHHVSADDVLRRFTDDDTGEFKLALSKDIRGLLNLHDISHMDMGQEASLCKAKEFSSKHLASAIRYLEPGLARYVRQSLDHPYHLSLMPYKARHHLSYLQSLPNRDTAAMEELAIAEFQLNKCLHQKEMQEVKRWWMDLGLSDEIPVVRDQVVKWYMWPMTTLHGPSLSRYRVETTKIISLVYVVDDIFDLVGTQEELSLFTEAIKMWNTAAADSLPSGMRSCYTAIYTTTNEITDLVEEVHGFNPVNHLRNSWEVLFNGFMVEARWLATDQVPTAEDYLTNGAITSGVPLTFAHIFAMLGYDHSNEDAELADSITSIISCPAKILRLWDDMGSAEDEAQEGLDGSYRDFYLMENPGCTPADAEEHMKRLIAREWEEFNRECFSRKTTSSMFTQACLNAARMVSVMYSYDKEQRLLVLEDYARMLLL >Dexi3B01G0024030.1:cds pep primary_assembly:Fonio_CM05836:3B:18738883:18742873:1 gene:Dexi3B01G0024030 transcript:Dexi3B01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLEPRYRPAGAPEDTTKRRTSKSKSFKDVENFEVLVLEKNCGCKFKSLKIMIIAIISATVLTLITPTLYEHQLQSASRFVDVGWMWDKTSSDPRYASSVDVQWEDVYRALRNLKSGNQDLQIGLLNFNSTEYGSWTQLLPDSHISIIRLQHAMDSITWQTLYPEWIDEEEETEIPSCPSLPEPNVQRGARFDVIAVKLPCTRVAGWSRDVARLHLQLSAAKLAVAFSKRNHKVHVLFVSDCFPIPNLFPCKNLVRHEGNAWLYSPDSKALREKLRLPIGSCELAVPLKAKSQSIRQAGSTRDLVILVDETISDHHRRGLEAAGWKVRIIQRIRNPKATRDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEIAATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDEEMKAKKTQLFGADPPILYVLHYLGLKPWLCFKDYDCNWNNAAMRGFASDVAHARWWKVHDKMPRKLQSYCLLKTRQKAGLEWDRRQAEKANSEDGHWRRNITDARLKICSEKFCFWESMLLHWGENNNRTKTSPATVTAASLASS >Dexi6B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:6B:19161318:19162289:-1 gene:Dexi6B01G0011820 transcript:Dexi6B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFPLIDAAIEASDAGGLLSRDEFRSARSRIVELLCDAADDGEKAEGLCALLDEAMAESLVTLRMVPAEKIQLASGDLVGAVAALMRDHASERVRGLARDVVRGWKAGVGAELAKARAAMDALNGLSTTPPPVDKAVVVPRADSDTKAKKIQEMQQPRPRKTAVVTTSGCVTESCAPFPKKDTAPAADSNAKAKIQEEKPSCPWKKIPEEKLCPRKTTLIANSRRVTESYAPLPNKKAPIVLSSSNAKPSANHGAPAAIPAQQKKTLPIVRSSIAEEEKMIATKRKLEERYQEVEDAKRRRMVQMIKPPRPPP >Dexi9A01G0025160.1:cds pep primary_assembly:Fonio_CM05836:9A:23021501:23032345:1 gene:Dexi9A01G0025160 transcript:Dexi9A01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLWLTTFFPGLPAPITSLLSLSLSHPPFKPEVLAAAPGRAAEATAFALAACSSAGSFLAWSAVAEKGGRGEPISRKPRVPKWKIEKHKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPASIALSLRGSDSGTLLHITAQLLTTKTGFREFEQQRETGARSSQQLLNQRSHDPAEVAAASSDMGTDKVNARIKLKETSLGFPLVEDSAGSTEDYENSSHTSDGIFTEKYDPCGAHEISSFRSPGDLPLCPTSQSPTPEKGARWGKQLSSPGSNDWTHGWSPEYYADKDLTAAHDENSRLTTRLEVAESAFSQLKTETTSLEHVTDKLGTETKGLAQQLAVELMSRNQLTTEVSLLRTECSNLKEELEEIKSSKLLQKKSDAEGNTMGKHGNDILAADPVHQLQTEWLQGLLLLESKLQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVLPGQMKENHYAEHLVPLPNTAHLSNSGHHDTLKKSSGGRTGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEESQKQTAIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALESQNKELERRAAATETALKRVRFNYSAAVERLQKDLELLSFQEVNISGLDEASLLHELQSKNYAGVMKSLEFFHQQTCNKVLHVQQEKEVVVKMCDALQRRSENAESELYDMKQKYACDLDATKQKLNFSEELVEKLQQELQDMANKLRISIVLEAMLSSEIEASYMRSQVREAVVQLNMLRNDFEKLQLKNNDADELLRVHMSTQAELTDRNSALQAAIHSLEINLCSVVQEKKGLEEIMKGHEEAFTKVSNNSHDAAVDNSEKIFEDQNEVSLRVLLTDLEEQIDNVKSTKDENEILNIILRSKLEEQHTMMSSLLQNQRQELTNLIEENKDLTQKLAEQSLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLHAMQDSLRIAFIKEQYESKVQELKGQVFVNKKYAEEMLLKLQSALDDVETGKKNEIALAKKIEELSMKISEMEVEMQDLSADKRELSNAYDSMMTELECTKLNLDCCNEEKQKIELSFDECNEERNRIRVELDLVKKLLKNMALTDNNTSCNSYGSGTPGATSIGNILGDAKAESASRATPNKIEMDPELQEHEIQSRSLTSNLSRADDAGKLGEDEVSKNLELERLKNENMSHLLPLDVNLIDPSLSGLERTLSQLDMANEHLQSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHSDEAAVFQSFRDINELIQDTIELKRRQVAVESELKEMQGRYSELSVQFAEVEGERQKLEMNLKNRSPWNS >Dexi9A01G0023330.1:cds pep primary_assembly:Fonio_CM05836:9A:18586125:18586990:1 gene:Dexi9A01G0023330 transcript:Dexi9A01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTATIGGGRNRKRSRSASAIVSGTASGYHILRINNYSRTKVIPTRACLKSRPFTVAAHRWHIDYHPNCHKWDTKDFISLFLVLDEPAHGSNPSEVKAQHRFRFVDVAAAQEEVDEPPPAFMAEEEEVSNYGSHHGWGNGRFIKIDDLEKSEHLKDDSFAVPAIVVTMPPPDLARHLGDLHSTGNGADVVFEVAGETFPAHRCVLAALSPVFVAEFFGAMMRESESGMVHVHIDDMEARVVKALLRFIYTDEVFHEASNGDDRVC >Dexi9B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:9B:10994561:10995003:1 gene:Dexi9B01G0016060 transcript:Dexi9B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAIPWVGVPRPGGAERSTGLPVDVLENHAATSLRFTFAQLRPPIPLRSFQPDQCFAPTCAEGARLRPPPKEIPSRS >Dexi2A01G0022710.1:cds pep primary_assembly:Fonio_CM05836:2A:34510085:34511502:-1 gene:Dexi2A01G0022710 transcript:Dexi2A01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLFSMEHPWASVFGILGNIVSFLVFLAPMPTFLRVYRKKSTEGFSSLPYVVALFSCMLWILYALVKTNSSPLLTINAFGCAVESVYILLYLVYAPRAARLRALASFLLLDVAAFSLIVVVTVVLVAEPHRVKVLGTICLAFSMAVFVAPMSVIFVVIRTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCIQMVLYCCYRKPKSASVVLPTTGPTAAQQAAEMELPLAALDAVAVLPACAVPVLAVASGLQKLEEAATGSPRKGSFKAI >Dexi9A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:9A:15683264:15686979:-1 gene:Dexi9A01G0020600 transcript:Dexi9A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHRAGSTAFEYGTKFSRTLGWGSDDGEDGSEESIFGTGDADDIKPKNFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANEMWQQRVENYWSLLGPKVKPDAIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKSIVIEFIKKYLHALHWEAITVVDIEPSPESEENEMIMIIRKKLWLPEAGSQDSST >Dexi2A01G0031300.1:cds pep primary_assembly:Fonio_CM05836:2A:42064042:42068544:1 gene:Dexi2A01G0031300 transcript:Dexi2A01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQISLQPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKKELDSATESLPKPERYRLYRELRSCLDITEPKDYSSPEEMVQRLTSASTALKRMLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTIVSVWSMALKDADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKAKPHWSKAYFYLWDEVCYLPLLLVLTPRNAHAEAWSPSGSELAPSTFEAFVKVPSVLRPHTQIFCTSEWVLGTREDLVKDIVAEIRPDLGEVRRFYSSK >Dexi2A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:2A:27842920:27844522:-1 gene:Dexi2A01G0016250 transcript:Dexi2A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQGRSRQRRSRRATLLLAFAALAMERADAALLPAVYREIGAALHASPSALGSIALSRSVVQTACYPLAAYLAARHDRLTVIALGAFIWAAATFLIGFSTTFPQMAVTAALNGVGLALQIPAIYAFVADSVDGASRGVAFGWLAVAGKAGTVAGTSLGLLMAPTSFLGLPGWRLAFLLLGVLGAAVGVSIRAFAASDAAAKARVLVGPAAAAKPKPVRQELQEFAREAKAVMRVPSFQVIIAQGLTGSFPWSALLFTPMWLELVGFTHGETAALMTLFKISSGSAIPLAGVLLLALPNDPSTTARHGAALFVLGIMASWNGTATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGMLAERLYGYMLVSGTAATSGGVEERAGIDVEMERHNALSLARAIYTSVAIPMVLCCSIYSFLYCTYPRDREMARAEAARDRGGGHGGDGEGSDSEDEGDGERKLLPQ >Dexi9A01G0031570.1:cds pep primary_assembly:Fonio_CM05836:9A:36550941:36555894:1 gene:Dexi9A01G0031570 transcript:Dexi9A01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPAAMGSGKELANPPTDGISNLRFSNHSNNLLVSSWDKTVRLYDADANVLKGEFVHPGPVLDCCFHDDSSGFSAGADHTVRRLVFSSAKEDVLGRHDGPVRCVEYSYAAGYALSSVEGRVSMEFFDLSEAAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRTVNEVEVKPKPKALAAPQ >Dexi3A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:3A:2952798:2953072:1 gene:Dexi3A01G0004500 transcript:Dexi3A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAATGAAHWAPPAPSSSSSSSSSSMFLWGICVVMLTEMLRSSEGSCRIVVVWEPCAKIGCHHVHWPASAR >Dexi2A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:2A:3856134:3857068:-1 gene:Dexi2A01G0004410 transcript:Dexi2A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGKGIHGGCAMKSSVVRRGAENGAARPSNAALRSGTFSGETSAVDSAPSGSPSTAGRSSVTTRTRGDSSGGTGRSLAAFLGVDTKVMAWPRRASRFESSRNGIMWPNASHGKTTMWSGDDDAASAMAAGSMRIES >Dexi4A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:4A:22723313:22724644:-1 gene:Dexi4A01G0018780 transcript:Dexi4A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVRAAATAAATSSSPRPSPQLPRRARVAGPCRPRARRPGFPSLRAAAAAAIAVEPETKDQQNSISEAEVFAPAIYRSGFKCTKCNKSFTSKDIFLDLTVTSGTKEYTEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEWLVY >Dexi8B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:8B:4674290:4675330:-1 gene:Dexi8B01G0004940 transcript:Dexi8B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAISRPHGADDALVDYFVYQATTDALRRPSLLLLLPTARYDDEEERSAQGRGGRPLRQVLLSRDGTGIMSCSRRKDSESTSFVVAKLMIKSGSRPEIQVHLFRSGSESKWKVFKNLHVHGENGGRDLNWWSTDAVVPYQHRFLIWVDYYRGMIMVDMSSSESEEKDVAPPRLRYVPLPVEKVLGDPDHIEYGRGFPQGCRCVCATRDGLKFVSVDHRHTSNWGVGHREVLKWNHTFRITIWSLHEGDYTWRKDVTMYEEEFWEAVGSGEHLIPHVPPEYPVVNMDYPDIVCFRLKKISYSFEDPIWMIEVDIKKKALLAATAYSMEQAQMPRKIPSTMPEQHPR >Dexi6A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:6A:7668882:7669711:1 gene:Dexi6A01G0007760 transcript:Dexi6A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGHADHLSDDMVSEILVRLPAADAVRSRAVCRAWRRIAGSPAFLAAHARRQPLELILGDDGGWLHTATLAALGDTWRWKRRHLPPLQGCQLVGACGGLLLLEILLVRICGLYIHGPSGEHRLLYLAGDDRSSERGQRTASHRVLSLGGAGEARRIGPPVAAIEMWTQMPEAYLQHRGKLHWLHHPEVHDTDAVLAFDTVSESFRRIPRPPPVRSQSSDDLLRCTMPPYRRMDTGDTGIRQIRRGYACPRVS >Dexi7B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:7B:24485497:24485865:1 gene:Dexi7B01G0018840 transcript:Dexi7B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELFPSEQETAGTETRASGLRGGEWNTSAYLERNARHVAPLGRRLVRVLRFIFPPRRRDSARAVAEWGRWRRWGCEVMREQARSAAGGGEADREEELGRGERIKGGRGGERWRREKIMERE >Dexi7A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:7A:11430417:11431901:-1 gene:Dexi7A01G0002680 transcript:Dexi7A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASYLSPFLYAHPLAAVHPRILRPTNPTAKAHLTSLVVRCAVATEAPRPGPPPLPPPRLVRFPALDRHAARASRLRFARKLLTLLLSKPRHFLPLRVLRRCRRFLGLPRRGRPLIPMVLRYPTLFRLFQAPTSLPLSPSFSTLAVGLTPAAEALAADLAALRATSSGADALADKIHRILLMATRRSILVNRLAHLAPDLGLAMDFRGTLCPRHPDLFTLVHTSRGHVLQLTDPLPPSPPPLPSLRPTASPDRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSHPEVSPFEPLNEGASLEMLERRACAVVREVLGMTVEKRTLVDHLTHFRKDFGLPNRLRALLVRHSELFYVSVKGVRHSVFLVEAFDDDGRLLVQDDMLVGRDRLEELVREGKRMRRARKKGVLAFDGDSDEEENDNEVIEEGLLEVDDEFGNLFEDGIIGDDWEQVADESISEADEELDAESDAMEEFWVNKAVAEGLVSSSNEPDVW >Dexi7A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:7A:24347566:24348316:-1 gene:Dexi7A01G0014420 transcript:Dexi7A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTQRYGYGSAGFFEVVQGFHPRGIPKSEARGGAGVAVNKVKKYLAKMDRAVDYDFYDDDDLRYVRFKSPFNRRPLIGRRPPVGKNAGKRTLRLLGSSSMDYLRQCEEAAFGDFDDSDDWDEV >Dexi4B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:4B:7168930:7170372:-1 gene:Dexi4B01G0009840 transcript:Dexi4B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLHSSSQKPPAARTTNPGYFLSKPVVLWLSFGSVSLALLHLICCPPRGAQEAAFTQVLQYANDAYFKLTSGGGDQQRCDYSDGRWVWAPGHARRYNATVCDVKEEQDCLRNGRPDTGYLDWRWQPAGCHLPAFDAAAFLTAARGKHVAFVGDSMARNQGESLICLLTAAAPHRLVDQDPMNYRRRFVRWAFPTHNVTVSVYWAPFLAQATGRCDNYDMPYTFVHLDEPGHRWAPHADTMDVVVLAAGHWLINPAVYHKGSKVVGVHGNPELNHTKIGYATPLREVYRMSLERLSSGGRSRTVVVATLSPSHFEDHRMDDPMACAKKRPYREGEKELNDMEKELRRIVVEEAEAAAERNGPNGEVRIEVLDVTKLAAMRPDGHPGPYMHRNPFANGVPEKLSTDCLHFCLPGPVDTFNEILQQIVMMRR >Dexi3B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:3B:2399839:2400363:-1 gene:Dexi3B01G0003580 transcript:Dexi3B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPAVVPTESPKLSPTSQQGLRRPLTNGSAAGDFELRHWRTPKKRAAAPSPPPLIDIPNGGAGSDDDGNSSSGGGGGHGYTSLRDILSSPEYAATAGGGSPAACGITIGGGCGSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPGRRGRRWRGPLCRLLLGCLSFIGALFRP >Dexi1A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:1A:22286695:22289590:1 gene:Dexi1A01G0015310 transcript:Dexi1A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGARAAALLAVASLSCLLLSSPAASAVAGAEVASGAAHRNIERIEGDPICFCSLAVLSQEHSPAGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEEADWFYVPVYTTCDLTPAGLPLPFKSPRMMRSAIQFISNKWPFWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILPMLSRATLVQTFGQKNHVCLKEGSIIIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTDHPSTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEDVPKLDSILTSIPIEDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHTQGVYLQPGEKHLNWTAGPVGDLKPW >Dexi3B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:3B:14138254:14145113:1 gene:Dexi3B01G0019070 transcript:Dexi3B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKRSGSEAKGRQRPAPEEEAEAKNPAPHCPETLRFGPSRARIRAVPPPAGSIWAGASARRRRRRGMGSVDGEADGIHEANRRAELVKWLNTLFSEFNLPSDSSDEELRELLGDGVVLCRIANTLIPGVLEGSWGGYASLDQRSGNVKKFLSVVADMGLPGFSVKDLDENAPTQSLISVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNIIIKTREAKYCSKIKALEILVNGTNEENQMASNRLQIVKDVRISSRLIKNDMYAIQMKWRDEMSNLGSGLKSLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTIDYMGENGELLISNPYKQGKDGHRMFKFNKVFNPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMVASLKDTISRKDMEIEQLQLTKDKVKSPNLSSDRNGASLTKNTVNQPSQLLFGEKMLKSSDRVLSDPQNFSEANGNYNHTSTEVAPVRFDEAEHEDTSDDDLPAGENENSNSDKAAEMTTERHRVSSRISRFTLTKNGQPSMSRSKPKDAVLKTPGTTKAPSSQLIGGSSARGSKRWQ >DexiUA01G0020370.1:cds pep primary_assembly:Fonio_CM05836:UA:42623210:42623906:-1 gene:DexiUA01G0020370 transcript:DexiUA01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEQTMLNVADNSGARRVMCIKVLGGSHRRYAGVGDIIKITIKEAIPRGKVKKGDVLKAVVVRTKKGVRRPDGSVIRFDGKRGKVKNVLSSGKVIVEGINLVKKHQKPVPALNQPGGIVEKEAAIQVSNVAIFNAATGKADRVGFRFEDGKKVRFFKSNSETIK >Dexi2B01G0035790.1:cds pep primary_assembly:Fonio_CM05836:2B:42755604:42757253:-1 gene:Dexi2B01G0035790 transcript:Dexi2B01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFISGYDHVYLPIFLNVLQLAPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVTEPAELNFTGMKKKKKKQVDLDSSLADLGDGEDTQGDQAEEEQGEGIELGGGPKYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQ >Dexi1A01G0025950.1:cds pep primary_assembly:Fonio_CM05836:1A:31992094:31992904:-1 gene:Dexi1A01G0025950 transcript:Dexi1A01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFRVAVFAISLALLASSVSSLAPAVFKVGDERGWAVPSGNGTETYNHWAKRNRFQVGDILNFKYANDSVLLVTHDDYKQCSTETPVARFASGDTKFRLDGYGPFYFISGVPGHCEAGQRMIVRARAPSSLTGGVPAAAPGMPPTVSGGGLAPGSAPAAAPGSSPAAAPFVGYGSSATPIPIPTSTTPSPSPMPPASGASRPALSVVASSIVLGFVVVGVVNLFVVV >Dexi9B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:9B:11531918:11537333:1 gene:Dexi9B01G0016800 transcript:Dexi9B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGLAEQLAGLSAGGGGGGGGDDKQNPAPAGEGGEEPQLSKNAKKREEKRKKQEEERRIKEEEKKNKAAAAAASGKTQKASAADDEDMDPTQYFQNRLAILDSLKATGVNPYPHKFPVGISVADYIEKYKSLNDGEKKTNVECLAGRIMNKRTSSSKLFFYDLYGGGVKVQVMADAGTSELGDAEFTKYHSGVKRGDIVGICGYPGGDSNDEHDCWWSSCKAFYYNDLMELTETMLSGMVKELTGGYKIKYHANGVTNPPIEIDFTPPFRRIDMIQDLEAMANLNIPKDLSSDEANRYLIEACAKYDVKCPPPQTTSRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQE >Dexi4A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:4A:12716016:12721303:1 gene:Dexi4A01G0013340 transcript:Dexi4A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSDPNKARISKADFQTTFGMNKKADVDISSLEITTRSQNEPNTTLKNEGKLREEEDSCKPSSQRTDLIHEELHACTSTNMEKVPSDDASNKNGHGGMCEAALTPSRKRSVFVCLLLMQLTFIRKGAAPANFSMRLRSRKEEVVLLDGDTPHPESAEEASNNQDAKKLYYPSREHPNSIEISSDDIRCLQPESLLSSPIMNFYIM >Dexi2A01G0033270.1:cds pep primary_assembly:Fonio_CM05836:2A:43526866:43527332:-1 gene:Dexi2A01G0033270 transcript:Dexi2A01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAESHTWTQVGEWTLPFSGKVEHVPELNLWFGLAADAKALAAADLSPMGCRPRLVGPWKELHDGTPEECQDPQIVNLGSGRFCIARFFRAAAKDADEDFVVLTGVEVAWNGGLP >Dexi4B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:4B:311589:313243:1 gene:Dexi4B01G0000500 transcript:Dexi4B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKKERERMTTGMATPAALLLSNHSPPHRRRCLLLRPPGFLLQKLHRPRTCITLHQDDKRLRHHVLRPPDAPAIIAPGDHWGNWAFLLSAAAFGTWSEENTPWGAALSGALVSIMAGLAATAAGFISPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRATGDLLKAFLIGSVATIIGTMVAYVLVPMRSLGQDSWKLAAALMGSYIGGAVNYVAISEALGVTPSVLAAGVAADNLISALYFMALFSLASNIPTEPPENATATDTRCHSRLTALNGGMAIALSFVICKAGSAIAHRLGIHGGTLPCVTALVVFLATAFPRQLGELAPAGETMSLILMQLFFAVVGANGNVVDAVTKAPAFFAFALVQVSVHVAVVLGVGKLMGIDRKPLLIASNANVGGPTTAAAMATAKGWTSLVVPGILVGIFGISIATFLGIGFGMLVLRRMCG >Dexi1B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:1B:8770288:8771362:1 gene:Dexi1B01G0009550 transcript:Dexi1B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPPPPPPPPPFRGPAGSGGGKPDRKTVERNRRNQMNTLYSRLDTLVRSGSSPSSAAAVVRRGAPAMTRPERLEEAAAYIRQTTERVERLKERKRELTAARASSSSSGAAAAPEVEVQHLGSGLHAILVTGSAAPASDEASFHRAVRAVEEAGGEVQNAHFSVVVEVGIERVVQRLKAALRGDV >DexiUA01G0017170.1:cds pep primary_assembly:Fonio_CM05836:UA:36301642:36302182:-1 gene:DexiUA01G0017170 transcript:DexiUA01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLDPRAPHGKTVLGLSVVAWYDRSGAGSGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHKNTKACVASYPCVVQNNILWFYPRAEPEYKDVLQRKRPPLVPEIDDPEFVTVYGIRDLPYG >Dexi7A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:7A:15145548:15145898:1 gene:Dexi7A01G0004360 transcript:Dexi7A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIVLAASAGLGMLAGIAAADRCSSSSGAGTNNLPLAGGAWPSCAACGGTGEVACLCARWSDGDVGCRPCAGPAARRAGDAAAPAAPAGGAHPGLWWLLTRDK >Dexi2A01G0033800.1:cds pep primary_assembly:Fonio_CM05836:2A:43988609:43988833:-1 gene:Dexi2A01G0033800 transcript:Dexi2A01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVRGCAHGLENMTWLSWSNSAAADRDETSRWIQCCGHEMGMRSGAYTRRQSGGSGVKRLSGGRPGGGAMVA >Dexi2A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:2A:6727283:6728534:1 gene:Dexi2A01G0006970 transcript:Dexi2A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSWRQLRKICVLELLTARRVRSFCAAREDEAAVLLRAVAAASSSSSSPAAKAVDLGGRISAPSLPDLFPSSRLAMLVSRRPGQIKRHSAKMMAFMDTIILEHQLQKVDEDDKEDVLLRIQRDGNETSATVLQWAMSELMRNPRVKEKAQDEVQRVLKGQDRVTEECLSRLHYLHLVIKETLRLHPPVPLLLPRQCRSPCQISGFDIPVGATVFVNAWAIGRDPTRWDAPEEFMPERFECKDVDFKGTDFEYIPFGAGRRMCPGSTFGLANVDLVLASMLYHFDWKLPYETMPEDLDVTEVWGVTTRRKADLLLVPVIRVPV >Dexi8A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:8A:6574306:6574906:1 gene:Dexi8A01G0006420 transcript:Dexi8A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASAVLKLAGGKLVSLISSEFASITGVKKDLCELQGKHQEISSWLAEVRDKATGSGAQLPWVKELRNVAYDIDDLLYEVHFEAEKHKIHSDSGKQLIADCICAKPKSFLFRCKMARKVKAIKVNYDKVVKQASDANTIRNNLQIDHTFQSNKGTAAGEPSILCNVEDSKIPRRDQENLTKRRMAAQ >Dexi4A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:4A:16604505:16606100:1 gene:Dexi4A01G0014450 transcript:Dexi4A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALLSKSQLRPVICALLCISLFSDHYDVLRRASALGINYGQVGNNLPSPPQVVQLLTSLRISKVRIYDVNPQVLSAFANTGIELIVTVPDDLVPGMAASASQALQWLTAGVRPYFPATRVTGIAVGNEVFTGDDEQLKASLVPAMRNLHAALAQLGMDPYVRVSTANSLAVLATSYPPSQGVFTQQAAPYMAQLLRFLAETSSPFWINAYPYFAYKDDPTKVSLDYALSNPYHVGAVDPYTRLQYTSMLYAQVDAVTFAAARLGYGNVAVHVSETGWPSKGDANEAGATVENARQYNRNLLMRQVSGEGTPLRPRLRLEVYLFALFNEDMKPGPTSERNYGLYQPDMSMVYNVGLNQLSTTSAASLSSLATSPASRRVSTSTNS >Dexi5B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:5B:6536284:6542369:-1 gene:Dexi5B01G0009530 transcript:Dexi5B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAWASLKRIIDVARQIKQTVDTIKHNKKECVRIERCVADVSLILEQFDKKKVTAAMRGPLEGIAKSVDDALDAVNEWKRKNSVFQFFGANSMKTKLDEVQKEIETNMRTSGFAMHVQSFLKDQELDAQTSQLRKINWCREVGLKCIKKDPKDRHTMEWVLKMLNYDPSPDAIIGIDLGTTHSCVAIWQYGRVEIIPNDQGNRTTPSYVSFVGSKKLIGDAAKNQAETNLTNTIFGGFAIPIYLLLSLHFAAEDISSMVLVKMRETAEAYLGTTVKHAVVTVPACSTDMQRKATFDAGVLAGLNVLRIIPEPTAAAMAYGLQEKAAKAGLEEIVLVFDLGGGTCEAERIFDVKATAGDAHIGGEDFDNRMVSHFVQEFKRKNKKDISGNPRALRRLRTACERAKMTLSSTAIATIEIDSLYEGIDFHSTITRARFEELNMDLFRKCVDIVHNGREISPVLVGGSSRIPRIRQLLQELFNGKELCMSINPDEAVAYGAAVQAAILSGEGDEKIQECLLSDVTPLSLGLETDGGVMFVVIPSNTTFPTKKCVTFTDSDNAGIMNVTASKFRTGQLHLSSDSKNQPCIRMQVYEGERTRTRDNNLLGEFEFSIPPAPRGPLQITVTFDIDANGILNISAENEATGQKNRVTITKRFSLAC >Dexi9B01G0032410.1:cds pep primary_assembly:Fonio_CM05836:9B:34636302:34640382:1 gene:Dexi9B01G0032410 transcript:Dexi9B01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEIDEHVQREIMNHRSLKHPNIIRFKEQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSMECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQQSTQLAEMNTPEQTLEEVMAIIQEARKPGEAMKLAGQLPCLGSMDLDDIDLDDIDDIDIESSGDFVCAM >Dexi5B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:5B:5340113:5342358:1 gene:Dexi5B01G0007880 transcript:Dexi5B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASGGAVLVDAAFLDAAPLLRCVDATGIRVDHADVAGCARRGVAVADTARSSPSTSPTTPYGSSSMCSASYRPPISYILQYWKTLEQFSGHEPYICACFRCLSSPWNLKLEIAELKSMHELSVVYLLLAYKKWILKIIEEYWNLFHKKFVELWNKHKEGNEEVYLPDIYNSNLLSLAQKRYMTNLFHDSLGFGSAKIIRLL >Dexi9B01G0028480.1:cds pep primary_assembly:Fonio_CM05836:9B:31111377:31111615:-1 gene:Dexi9B01G0028480 transcript:Dexi9B01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGILFPTNGEADNRKFLNLVKQNMSLFYFDAALLGLLGADLVQRIEQCPIAPSSMSASLSQAAPSSRAE >Dexi3B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:3B:4065293:4067163:1 gene:Dexi3B01G0005950 transcript:Dexi3B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAVTQAEGEEVTNRQVILKRYVSGCPTVDDMEVVTGTVRLVLPPGSTTGILVKNLYLSCDPYMRTRMTRHTDDPNFVPDYVPGKVLDNCNVCKVVLSAHPDFKPGDFLWGVTGWEEYSLIPNPVLCNKINHREFPLSYYTGVLGVPGLTAYAGLFEVAKAKKGEYVFLSAAAGAVGQIVGQLAKIAGCYVVGSAGSDQKVNLLKTKFGFDDAFNYKEEADLNAALKRCFPLGIDVYFDNVGGATLDAVLRRMRPRGRVAVCGQVSQYNLERPDGVDLFQLVGKHIRMEGFLVSEYGGDYYRRFEEEMARHLREGKVVCVEDVVEGIDAAPAALVGLFAGRNVGKQVVAVARE >Dexi5B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:5B:21110101:21110361:-1 gene:Dexi5B01G0018730 transcript:Dexi5B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLVIRLCGVSPFSLRHGEEQQELQQGLSPNESSSTTPSTPLSPSPSVSLHWDGAQVRALYAKWFVAPLRYHRWMWCSKADTGR >Dexi3B01G0036050.1:cds pep primary_assembly:Fonio_CM05836:3B:38964279:38965263:-1 gene:Dexi3B01G0036050 transcript:Dexi3B01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATSHLLFILLLVVGVAAAGAHDDAAVRRTLEEFAGFPASDDGEGPSAAFRVDAEGLQRQIDELASFSDSPAPSVTRVLYSDKDVQARRYIKGIMNQLGLAVREDAVGNIFGRWVLKLN >Dexi9B01G0028780.1:cds pep primary_assembly:Fonio_CM05836:9B:31337829:31338950:-1 gene:Dexi9B01G0028780 transcript:Dexi9B01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRSCWGPGSPAGRPRRLSDAAGRQEGLLWYKDGGQVVDGEFSMAMVQANNLLEDQGQVESGPLSTTEPDLQGTFVGVYDGHGGPETACYINDHLFNHLRRFASEHKCMSADVIRKAFQATEEGFISVVSNQWSLRPQLAAVGSCCLVGVVCSGTLYVANLGDSRAVLGRLVRGTGEVLAMQLSAEHNASYEEVRQELQASHPDDPHIVVLRHNVWRVKGIIQV >Dexi9B01G0030060.1:cds pep primary_assembly:Fonio_CM05836:9B:32533947:32537935:1 gene:Dexi9B01G0030060 transcript:Dexi9B01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTNLDSAPRRSPRLKKIHIIYEEDSDGDSSTFKRVKTEVIDSEEIDSPTTSELGLASVSDKDGGQDCHEVSLKDLRAQCKAKNRKTSKIMVEGCGTKYQNKTKEEFDLDKPLIALKQKRPKTSPGKANKKMESLTSSPCATEVEDTTSNSYNTHSPAQSSPLKATMHDPQLEKLGMRAKDLEHSKISSKLSDSTEEIVGEQICCAEVKNTADAAIRAASEDALEAEKTTRKWLSIMNKDCNRFCKILSLAKKNDVSNPEVPRKQRKITFADETGGMLCHVKVFKDGQTSFSECQSDL >Dexi3A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:3A:14744499:14747943:1 gene:Dexi3A01G0018890 transcript:Dexi3A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARAPHLIFLLCLLLVSLLAPPPGGAAAAPGFIRLPSAAGKAGARACGAQPDPAAYDRPVIGIVSHPGDGAGGRISNGTATSYIGASYVKFVEAAGARVIPLVYNEPEERLLEKLSLVNGVLFTGGSEKQGVYFETIKKVFQYVLDKNDAGEPFPLFAQCLGFELVSMIVSKDNNILETFDAQNQASTLQFPSYSFEGTVFQRFDPDLIKKVSTSCLVMQNHMYGISPKRLRDNGELSSFFRILTTSPDENGKVYVSTVQANKYPITCTQWHPEKAIFEWRKPMIPHSEDAVQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYNPTFSGKISKSFEEVYIFS >Dexi3B01G0034140.1:cds pep primary_assembly:Fonio_CM05836:3B:36903750:36907032:-1 gene:Dexi3B01G0034140 transcript:Dexi3B01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQRAPSSPCDATWHTAAAGVAARHARSQSPVRGRSATPSRWSSTIDDDLVYVAGGVVTMPPARGSETPARMLPGASPEGARAMIGAASPGTARRVALRLYESLGRHGLRREADRAFRDAVVESPADGGGGGAAVEQELTGMACLLKDGFVGYLRELAKITPPVPKQAHPLPLSSRNS >Dexi3A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:3A:15044595:15045399:-1 gene:Dexi3A01G0019210 transcript:Dexi3A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIAAETPQPGDPAPTPSDDMLLAFLRRKLAGEALPLATAAHFHDADIYAADPATLTLGFDPSPAKKCEDGGSWFFFTHVKPKSRNDSRKSRMVGGGAGTWHSERAPRAVFDDEGRCVGHSQYFSYKRKMGNNCSERTDWYMVEFTDGQEGDHDRVHGGEPMLVLCKIYRAHSGSRSSSSSSRSARKRKPTDENAHVDQSSAPVKAKRRLFAPAPTKVAASQEQVSSRVNMAGSQGEVAKSELEVSNGNIGGASYDLMLS >Dexi6B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:6B:190503:190925:1 gene:Dexi6B01G0000310 transcript:Dexi6B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLSSLRAPACFSSLRAAPAAPAATVAMPVTTGRFARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIELPYSCRAGSCSSCAGKVVSGSVDQSDQSFLDDDQVAAGWVLTCAAYPTSDVVIETHKEEELTA >Dexi2A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:2A:23485554:23488442:1 gene:Dexi2A01G0014300 transcript:Dexi2A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPVLLCSAAPPSAAPRWRPRRRRSTSPVEASWQELTGVLVFSAIPFTAVKALANSPLGARLRRRLEDRKADAAAEADALRAAARDARNNSFWYGGDRPRWLGPVPYDYPKHLTGEYPGDYGFDIAGLGRDPVALANYFNFEILHCRWAMLAALGVVIPELLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVQNLVEHLSDPLHNNILSSFQ >Dexi6B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:6B:19584258:19585116:1 gene:Dexi6B01G0012050 transcript:Dexi6B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLVPMKPLDGPGGYLRWKESVLLRLHTLGVARVLSVDRPFAAGDEATAKNWDHDDAICRGHILTTLSDRLLPDYARIATAGDLWRALARTYDVDSRRAWRDRFDGFEFHHKAPGDVLLEEMAHAEALGVAAKLPDDHVASALCGKLPEVVGTAAVARPDAEIGMSVVWDVARRVVASDIGPEWLWKTPETDDEEQGGYYLDGPKPPGQSTGRRERGEHGHVARNCRRRGFGL >Dexi7B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:7B:24635097:24637667:-1 gene:Dexi7B01G0019020 transcript:Dexi7B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGIGGHDNGGNFCDMAYYRKIGEGSNMSIDSLNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVVGNYSVGGHSIFRHGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLEKPEADPERTGLMEQQFVQEVMMLATLRHQNIVKFIGACRKPVVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPHDCLPTLGEIMTRCWDPNPDVRPPFTEVVRMLEHAEMEILSTVRKARFRCCISQPMTTD >DexiUA01G0016460.1:cds pep primary_assembly:Fonio_CM05836:UA:35073008:35082242:1 gene:DexiUA01G0016460 transcript:DexiUA01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi3B01G0022130.1:cds pep primary_assembly:Fonio_CM05836:3B:17005776:17008092:-1 gene:Dexi3B01G0022130 transcript:Dexi3B01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTMKGQQPKGFAIDATAAKKLMGIWPRYFPEEAGSSASDGKVRIVAALVASRFSDIVHKLLSRLIWCLSMLKNC >Dexi2A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:2A:3049946:3054747:-1 gene:Dexi2A01G0003470 transcript:Dexi2A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKDARMSAMSEILQNMRILKLQADLILVMSDGKIMQSGDYTEILKSGEELMELVGSHKDALSTLDILERPSSDFDSTSHPGGNGRTLFIVEDKCDDNEEERIVQNGQLVQEEEREKGRVGLTIYWKYITMAYNGALVPFILLAQIIFQVLQIGSNLWMAWAAPISKDVNPPVSSLLMVNVYVALAIVSSLCIFVRSHLLVMAGCKTATILFEKMHECIFRAPMSFFDSTPSGRILNRASTDQGTVDTRIFDLMGYLLFPAIEILGTIVLMSQVAWPVFIIFIPIIIASLWYQVRYASQLPFVLKGLMCTFPGGKKTGIVGRTGGGKSTLIQALFRIVDPCIGQVLIDDIDICTIGLHDLRTKLSIIPQDPVMFEGTLRSNIDPVGEYSDEQIWEALDACHLEDEVRKNEHKLDSKVTESGKNWSAGQRQLVCLGRVILKRRKILVLDEATSYVDPITDNLIQKTLKHQFAECTMITIAHRITSVLDSDRVLLLDKG >Dexi4B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:4B:3595629:3596009:1 gene:Dexi4B01G0005020 transcript:Dexi4B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQMKTSSLLVAAAIVLLLVMARVEGIRLDSESHEAFSNQMVHKSKEMAVKNTGNEPSGEKMEGSVSEEKDRVGHMLPEIHVDYYGPRGHQSRHH >Dexi5B01G0038710.1:cds pep primary_assembly:Fonio_CM05836:5B:37802826:37811542:1 gene:Dexi5B01G0038710 transcript:Dexi5B01G0038710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLSSSCRRPDRRSHGHAGAQAPQKLRAPAGDARRAPLFGCGTGSVLPSLITHARTALAETIADGSQKGSFQMSTAKVVDPAFQGAGQKVGSEIWRIEDFKPVALPKSDYGKFYCGDSYIVLQTTCAKGGAYLYDIHFWIGKDSSQDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFATGFKKPEEEKFETRLYICRGKRAIRVKEVPFARSSLNHDDVFVLDTENKIYQFNGANSNIQERAKALEVIQHLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTVNDDDIVLETTAPKLYSINDGQLKLEETALTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEQFLVNQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNSAGSPGAEEGRGKVAALLKQQGVDVKGAPKSTTPVNEEVPPLLEGSGKLEVWCVDGNAKTALPKEDIGKLYSGDCYIILYTYHSGDKKEEYYLNYWIGKDSLADDQVMASQLTNAMCNSLKGRPLLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGVTGETYSSEGIALIRVSGTSVHNNKTLQVDAVATSLSSTECFVLQSGNAMFTWFGNSSTYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTNRNATQDIIAREPHLYAFSFKNGRLEVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYIEHANSIEDLSPHVPLYKVMEGNEPCFFKTYFSWDNTKSVVFYFYVHGNSFQKKLSLLFGLRSEGAPRSSGNGGPTQRASALAALSSAFNPSSQQKLSNERPKSTGDGPTQRASALAALSNAFNPSSKPKTPTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTTDKTDVDRVVITPSGASSPQSEAGESSVFHQEKDVAVDEAPSEADGAEAEAPVEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQSVFGMTKDAFYQQPNWKQELQKRKADLF >Dexi1B01G0030490.1:cds pep primary_assembly:Fonio_CM05836:1B:34445175:34447631:-1 gene:Dexi1B01G0030490 transcript:Dexi1B01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHCFAATTAPAMAAATGSAGVSSAPPFRLLGSSSGSVSGPVHLRLPPAAAACRRRLLLRCAASGGGDGGGGGGSDPALEEQRRRQAELAARIASGEFTVQGPGWIAPLVGRLSKLGPPGELAAALLTRLAGAAAARGGPEIPQAVGSISAVVGQAFFVPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRENSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALKLINTTLDELIAICKRLVEQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPKVMAKLQDEVDSVLGDGLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGGYPIGR >Dexi5A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:5A:3433520:3435166:1 gene:Dexi5A01G0004540 transcript:Dexi5A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLNNPLYFDFRAARRVPESHAWTGLDDHPVVDGGAPGSPDAVPVVDLRDGDLAGAAASVASAAEQWGAFLLTGHGVSAELLARVEDRIACMFALPAADKMRAVRRPGDACGYGSPPISSFFTKCMWSEGYTFSPASLRGDLRKLWPKAGHDYASFCDVMEEFHKEMRVLADKLLELFLRALGLTHEQVAAVEAERRIAETMTATMHLNWYPRCPDPRRAVGLIAHTDSGFFTFVLQSLVRGLQLFRHGPDRWVSVPAVPGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDMDRISLGYFLGPPPHAKVAPLPEAVTPGRAPAYRAVTWPEYMSFRKKAFATGGSALKMDTL >Dexi3A01G0026810.1:cds pep primary_assembly:Fonio_CM05836:3A:23368050:23376484:1 gene:Dexi3A01G0026810 transcript:Dexi3A01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTKSDLVSGSPDGHGYFNAQRVPYAAASLERSGSFREGGDGYAMFPSSSSRSATVDSVNLLKSLAVELRTVTVDHKASRLDLKKSISSIFGTTTEDSKSIPSLGRNLPNSIEEIRRMRSNLNDVSNKARERSRAFGGAVTKIDKLCPNIVRKRSRGDGSSNERILSSGGVIPKNVPQSHLNGDDMEVGLQRGEERTKDAGQNRRMRTSMAEMDARNAGPGSIDRISDPGKATNGSSAAPEEKIRGLATGIDGWEKPKMKKKRSAIKADMSLAGVSRSVDVDRESKQGMQHKFSSDGRARLASSPSFRSGTVASGTSKADLLSAQNGLAGRPLNRSDQDSGFHPTNKRERQVVLDKETPSPRTINKPNEDDSGGNITFLPKANGSARGPRSNSGSLLKSSPNIHRLQTSSDGWEHPSGTNKLISAGGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDGALVPGSLDSPVNEDSAGLPRRASVNGLQQTKRGDHGLSTGSECDEPVVAEKKLRDKSKRAGELDDVQGSGFQKIAMLGHPSKRNKLSGDEDIGDAARRQGRVGRGFTPTRPGTPVPIDKLENAPTTKQRSVRTVSERNESKSGRPMMKKISERKGNARPRQANSSVQSDSPVQSDDDHEELLAAANAALRSACASPFWQQVEPFFAFLTAEDMAYLSQQIHLPDDSTASRSVEGDEGQKYKGGLEYISQPSTPAASNKDDHIALPNGFGLHQLDNGIGVAWEASCIEPILDQLVHGIGVQGGSSVGQRLIQALIDEDKVESITNNAYISEGYPFDTHEIHFDGGGWKSHSHDYKLEPLMNFEASVRGPNGLMMDSDWKYNGELSHKSGNVMDKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDIDAEICKLESQLHKEVVQKKNLLLKLDGIVRTAKESQWREFSRRAMERLLLRAYERYMAFCGSNVSSSKNVNRAGRHAALSFVKRALARCQNYEEVGTSCFDEPTFKDMFLSATSQRSNLDAASQDNNTTVKSVHMASASDASRASSHLSDLSFTKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTPLVSNTKGKRSERDREGKGHNRDGGRTGRPSSSNAKGERKNKTKPKQKTANISAPSNNPRDPQLPAKITPSNNGKDSTAAPAAARRDDLANASNDAEMPDLSNLELPGMDVDFGGWLNMDDDDGLQDLDLMGLEIPMDDINEINLMI >Dexi4B01G0022600.1:cds pep primary_assembly:Fonio_CM05836:4B:24124896:24127035:1 gene:Dexi4B01G0022600 transcript:Dexi4B01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQGDEVSMEDDPFPEEGFVDPVEVSEAELGSRSDDEEEEEDVDGLASFLESEILSGSSGEDPTDKQPEEGEGDAAKNKRKHDSESDGDGDNGSGSGSSSEGEQNKRMRMEEKRRQAKGKAVAPQIDTGMFSSIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRECAWKNLYIQRDKEDMVDFVRNTPTEFREYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSTWKSSRGLTDESVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDEACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDTDQQQGAVADEPEPFMGSGRFGRSMVLV >Dexi6A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:6A:18406695:18410165:-1 gene:Dexi6A01G0012180 transcript:Dexi6A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPPSSSPSATGANPGRNPKRKRKPKPKAAGPSTLNPNWAQLQSKLPQRPASTHLGKRKHDAGPPPQRPTSAEPSPPAEAEVKLEPTSDDASLTKAVAIDCEMVGVGSDGGKSALGRVTLVLLLSHPKKDIRDTSEYEIFRRERKRRSLKDLAAEVLGAKIQQSEHCPIEDARAAMFIYNKHKKGWEKNMKEQFRFKKKLKKRGKKKPAESNVNDPNIPTVLL >Dexi2A01G0022730.1:cds pep primary_assembly:Fonio_CM05836:2A:34541888:34542802:1 gene:Dexi2A01G0022730 transcript:Dexi2A01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRIKGPTIQRKELEKGRVRALTLLPRQAWHRLPRRHGTAARTSPTALHLLPLHRAANLSSLHPSTQRRPLPNQLTPRSPEPGAHDAHTWRPGAAPPEPTVAGDEELGGIEGTLGECRAETAEVRAKRERGLVLEHSLGGWVHGGVSGAAGGVPPPLIFLGGPIWQRTSWLRGPGWSVDGLVNGRAPPATVQPFDRIY >Dexi7B01G0023050.1:cds pep primary_assembly:Fonio_CM05836:7B:27773988:27775865:-1 gene:Dexi7B01G0023050 transcript:Dexi7B01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSAIKSQVPALLLRRLFGASRRDEAKQLSRGPAPSPAPVGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEQKDCSGLVEVLGCSSSCDRVRRLVDDVVTAIRSGVDPVPISSGLGGSYYFRNVIGDKVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPATALVKITHSIFNINCPVNGGGPAPAHDQKQQVNSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLNGGTGRFGCQTELFPIDHGMCLPENLEDPYFEWIHWAQASIPFSEEELEYIRNLDPGKDVMMLRRELPMIREACLRVLVLCTVFLKEAAASGLCLAEIGEMMTREFRGMEEEPSQLEVVCMEARKRVAEWEPSSATPEQEEDIDFQFSMDMLGGYNDIIRSPRFNGSGFKGSAFRRPLSKLVESIDEGNDGHEDQNEPDRVFYAGWNTPNGPSKAIGQNGSATKSAMNRSADEQLPSSMCFVRLSDMNVDEWNVFLEKFQELLKEALQERAHAAAGQRMKQRLGTSCKF >Dexi5B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:5B:2249971:2256471:-1 gene:Dexi5B01G0003400 transcript:Dexi5B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARQMQLEPLLTAPSRSRHKPRDDESSPPRTNAPSPNGGGNQEKKSLKKARTLPPKKIRSTSIDTEPLESPMAGASLNPPPLPLEAAAPPPPPAPPTSPTNKPLFSPSPASPPNKLLHRRRVSSPRPTKNKNWSEIHTFVSPSFLAGPTCHWDTCAARLTTRWGHGVSGGGRLLGAHRRVVLGAPCVDGYEFLTANSDRFPGPTPQPAKAEGGWAPPHLLKSWAPGAGAGHGFLLIGRAAPCLLRSWISPPTAPHALFLLQSSCVVVARAGVTDLALAEDGSKNKQGDAQQSSFVGMQQPAATHATKLLEMGARRVDRAGGEHARTHAASHAEDAWRRWSMAHARGSAFCRQPQLVTRVQKTEPVGQTADRRRAVWAVSRPAWTFYKGLPDCRCRHSGSSSHDAHHHASMTLKAWWQLAWPAVAHQSWDGPAADVRVNGGGRSLCLQRKHQQIACPFLASSAPASSSSRLAAACTNNASAAVATTMTRREPNSAATYPGALRLTERHV >Dexi3A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:3A:2004314:2009514:-1 gene:Dexi3A01G0003040 transcript:Dexi3A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNMGGFGGDDMRVVMRPLLHLMVGMVLYGVAEEMVVPALVDKVTAALCPQSGSSSTSCSEAIYLTGLQASVGGIFRTIGLTLMGQLADEYGRKPLLLLSASTSIIPFALLAWNTSRKAVYAYLIMRTFSYMIGQGTLTCLAVAYTADVIEPTKRAAAFGFLTGTFSASHALGNICSRFLPVKWIFQVSVVLSICSVLYMKIYLVETVQRTPSAPCQRLVLSSLVVRLPQQRWQCIKENISIIKNSETLTRISVVSFFYELGMMGISDVLLYYLKSVFGFDKDQFSEILMVVDIGSIFSQILVLPIISRMIGGKGALYISILVSIAYAFLYGVAWAWWVPYVSSSLGVIYVLAKPATYTLISEEVLSTDQCIPVLSFSTSVFQLTSFHPKLPSIAEDLVSLWQVSSWPSPFGLLGLSIQKAGTSAPKLLSQTILTRKQSKHHFWLSGPNS >Dexi7B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:7B:19123211:19126567:1 gene:Dexi7B01G0012330 transcript:Dexi7B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHLKDRLLLPSSRAPANGPHQRRAAPTAGGPGGGAGVSIDVNGLKRRGGVRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLHEQDAAGGAAADEAVRRYVVELQRRLVDRTDDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTAKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLMEKKMRMEESLLDDEDLQGVGNNHNNHNGFSSSVSAPVSPASTPPASRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVALFSVPHAFEWSLIITGVCGAVIFCCFLWYFKKRRFFPL >Dexi4B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:4B:18364714:18368704:1 gene:Dexi4B01G0016310 transcript:Dexi4B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRETPPTQTKPNGMATTTSLRSRLLILPSPAASPTAVYLRLRPCAAALPSSSRKRNPCLAARAAPPGGAVAPASSSTPAAAAEKDEKGGVEGLSAADAERLCEFLRADLPHLFDDVGIDRSAYDDRVRFRDPITRHDTIIGYLFNIRLLKLIFRPDFYLHDVKQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVFSDTLKVQDLWDSIQNNEYFSFEGLMDVFKQLRIYKTPNIETPNYLILKRTAHYEVRSYEPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQASDDTLSDVSIQIVLPMNKDLNRYIQGFRSQLQAVISLPAPNTEVTLRKVEGGIAAVKKFSGRPKEEIVLQKEKDLRSQLLKDGLKPQQGCLLARYNDPRTKSFVMRNEVLIRLNDFTLEL >Dexi7B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:7B:23427292:23428999:-1 gene:Dexi7B01G0017480 transcript:Dexi7B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTAASLRPPLRRIRGGASPLAASRAVICGANPLFPRTRRRTLACRADLQQDAPFAAAIGACVLSSLVLPPPRPRGEAGEEVDEEGELGATDTRMAVMGIISFLPYFNWLSWIFAWLDSGRRRYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHIQLEAGIRSGDIESFNFMERARKLIFPSAAKEKDGRRGNKRDSIGMGDRDNRRIPSAHESRERLRNSDIFKRKLDEPSEDKQNKSDWN >Dexi6B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:6B:3025519:3029642:-1 gene:Dexi6B01G0003700 transcript:Dexi6B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNKGEVAAETARRMNSSSPSSCAVRLLATYDHTISHSIIIGKVYLTVLANPRSGEPGLLTQPGCETMMEGDKKSKMTTSTNKTEIIPDDDVFNGCTPHDARASRFLCTKDGLYWPSLSECVINCPCKVRCNG >Dexi6B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:6B:2632345:2633916:1 gene:Dexi6B01G0003200 transcript:Dexi6B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPNGLHLPPTAIKADEDDDVFRPLNPDDVRSYLHKAVDFIADYLNSVETLPVLPDVEPGYLRHLLNPTPPPSSAPFDVAMKELKSSVLPGMTHWASPNFFAYFPATNSAAAIAGDLLASAMNTVGFTWQASPASTELEALALDWLAQLLHLPPSFITRGVTGGGGSVILGTTSEAMLVTLVAARDAALRRTRHGGGVSVSGAGGLSRLTVYASDQTHATFFKADMDAGLVPIYVCATVGTTSTNAVDPIGAIADVASSAHGSTSTPPTPAAACVCPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLWVRDTCRLTASLETKPEYLKNDASESGHVTDVKDMQVGVGHRFRGLKLWMVMRTYGVSKLQQHIRSDVAMAMAFEQWVMADERFEVVVKRSFALVCFRIRARGGDMAEEDADVASRELMARMNMTGKEERHVRAAWELIKKTTGEILNGQAS >Dexi1B01G0024740.1:cds pep primary_assembly:Fonio_CM05836:1B:29955785:29960563:1 gene:Dexi1B01G0024740 transcript:Dexi1B01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKSKPPPPPLAPAGKKGSKAAPPSKAAKRVPKKQMLLESSDDSDTEQPQQLQEEDSDIDVPSDSDAEELSGSDAEVEGGSSSGEEVEDDEDEGDDESDDDSLADDFLADSDEGSEGGDSGLDSDDSDDLEAKSRAIDERQQKAEDDAEEELKTNIRSESDEFKLPTAEELEEEAHLPPNLPNLKRRISEIVRVLSNFNKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLIETLIEMFPAVELVEVLEAFEKRPPECLRTNTLKVGLVVYDSTISAGATTEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKDIEDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSIMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRYREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKASEGAAEMADSSEDDEDKKTVNDEQEKGVPDKEEKAVQMKNRKGTKKTNKGANITKETKHVPDRPAKHIKNHKKDAMETDGPESTEPNGDGKEVHRKETKQAGQKKKSTSDRTKKFGPKSTSGLKEKKPVSDKKRKRKWQFKLRRDWEAEKKSDKRRKV >Dexi2B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:2B:4849709:4851457:1 gene:Dexi2B01G0005170 transcript:Dexi2B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLSMRLIFRRRGARVVDVAGNPSSYHHSSRIATVSRQEPELVGPARPTPRETKRLSDIDDQQGLRLHVPLVLFYRRRVAGGHGDDPAAVVRRALGEALVPYHPLAGRLREVEGRKLVVDCTGEGVLFVEADADFLSAVGELARGLPAPTVSPPWSRELLDARSPPEPTFPHPEYDPVPPPSGDMTTRTFTFTPTDVVALKKRRLPPHLRDTATTFDVLVASLWIARTAALDIPHDEETRLAFPADVRGVRELGLPVGYYGNALVLPAAVAAAGALRGGGGGALGDAVELVREAKKAATASGEYARSTADLLALRGRPLPAMRNMFGVSDNRRVGFDRVDFGWGKPVYAGSVAPILGMTFLVAVRNDDGEDAIAVPIMLPPPAMDRFASELKILLGVDPYV >Dexi9B01G0021930.1:cds pep primary_assembly:Fonio_CM05836:9B:16603412:16603738:1 gene:Dexi9B01G0021930 transcript:Dexi9B01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDAHRETRRDEKDSEPRNVRTDLHGERVHVGPERDDGPIAAVAGDGGDDAGLGDRPRVGDADGVELCAHELAGEVLLERQLRPLVDAPPHAANPGDRASPRMFSG >Dexi9A01G0035040.1:cds pep primary_assembly:Fonio_CM05836:9A:39720724:39722862:-1 gene:Dexi9A01G0035040 transcript:Dexi9A01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRADELKVEVCRMFETYKSMGASDMVTLVDALERLGIDNHFIEEIDMALGHAYNEGLEFGSDSSGLYSIALSFRILRQHGFWVPAGVFDRFKDNTGNFKATLSSDPRSLLSLYNAAHLATSRDEQALDEAISFSRRHLESMKGKLMSPMAEQVSRALDIPLPRTPKRLETMRYITEYGEEESHDDVLLELAMLDFDLVRCLHNKELKTLSLWWRDLYDDVKLSYARDRLVKNYFWTCGILHEEQWDESAVSILPEYLRKFYIKLLTNFEEFENSLTSNDKYRMTFVIEALGKNKKDAASSVECYMKEHQSTGDEAAAVVSARVEDMWRKLNQACMEIDHELQPLVHLVVKIARTNEIMYVGGRDAYTFGKDLQDHVNSLFLKPTPYQKHSTMRKMYKC >Dexi4A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:4A:4377940:4381373:1 gene:Dexi4A01G0006110 transcript:Dexi4A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAARMEEKKRAVMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLEIYKELKPPSTDSCYGHNQIVGGASDQSGRISKKRKEMHSDEEDDGDENDFQEGDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGEMKIHPLTSDDKLKQKNIYDFGIPKLHGGFSSSSCNFDGLLSSMIKAEKDDLSFADNDLGGDFFPLGACI >Dexi3B01G0038190.1:cds pep primary_assembly:Fonio_CM05836:3B:41006403:41015891:1 gene:Dexi3B01G0038190 transcript:Dexi3B01G0038190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSVSHGALGSLLGKLGDLLTARYKLLKEAKGQVMFLKAELESMQAFMKKISDAEEPDEQDKCWAKEVRELSYDIEDSVSEFMLCVERDSSRPRGFKEFISRSTKLLTTMNIRHQIAKEFEGLKIRVKEVSERHTRYKMDDVAPKENNTTIDLCTIDLRLLALHAETASLVGVKGPRDQLIQLMDEEGAAANQLKVLSIVGFGGLGKTTLANEIYRKLEEKFHCQAFISVSQKPNIRKILRTILSQVGFVAPKDVNIEMWEESELIIALKKFLLDMRYLIVIDDIWDASAWDIIGYALPENKNVPASFSHLESFHARFDPLFSRIPKWIVQLHSLNDLRLTIEVVEDADVGLLALLPSLVYLHLHIEGAPKDRILIRQGSGLFPVLKRFIFACRMISYLSFEAGAMPMLESLDLYFNAHGWDKHSAAPAGIEHLSGLKEIYVCIGGGRAKKCNKRAAESALRDAADLHPGVVRKPRAVVDFRGSKDKKANTPMEAAAVSVSHGAMGSLLGKLGELLTSKYKLLKEAKGQIMFLKAELESMYVFLKKISDTEETDEQDKCWAKEVRELSYDIEDRVSEFMLRVERDSGKPRGFKGFIKRTMKLLTTMNIRHEIAKEFEGLKIRVKEVSERHTRYLIVIDDIWDVSAWAIIRCALPENNNVPDSFIHLQSLHVNFWHSRVIPEWIGQLRSLYDLELVVQEVPEDGVGVLARLPSLIHLYLHIYGAPKDKIRIQGGSGLFPVLRHFMVGCSRISYLIFEAGAMPMLERLQLHFNAQEWDRHGAAPAGIEHLSGLKEICADIGDARAKESNIRAAESAVRDVADMHPGRPVANIKCIGTSYVFDGIDNEPDERKEDGDGGRQSST >Dexi2A01G0028110.1:cds pep primary_assembly:Fonio_CM05836:2A:39434025:39435731:1 gene:Dexi2A01G0028110 transcript:Dexi2A01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHNRSTAPRTAPPAQLGSQLKENEEDGSAQLSLAGEGVSAGDDLEDLPGDLGLALAIVGDGEVLAELDGVVGGAAHGAHPGGELAGERLLQGTEDLAVEVEREQRVEDLHGVLLELHHGGEGLRLDLNLLALHGELPLLGGEAEQLVLGGVHADAIDVADLALGGHGQQRLDDWVGAHQGHELGVEQLHLVHLLGDEERVDQVADGLRVLHGGHAVHLQLPLQRDVGAALEVGVALLAHADDGVLEAQLLELVDAGVRLLEHVVVEAAAEAALAGEDHERHLLDGAGAGEREVDVLRLDLLVHVVEHLDEGLREGAGGDDGLLRAPDLGGGHELHGLGDLLRVADGVDAAAELAEGAPHHQAAAAGARGGGAPPGGGGAAGQSRGGPGGGEVGARHGWLLVRGDWGSPAAAATAAGRKWTGRGDGMGGREMDLEGLGLGGD >Dexi6A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:6A:26850880:26852314:-1 gene:Dexi6A01G0019220 transcript:Dexi6A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVFLRASAADLTAGKPPLRGVPASAPLSAAAAAIPASQEADVAVWHDGASPLAPAAATVIGLLSSFDVVAFLASHPAGTAAALCTPAGDVVAHEPALIVELMKQGARRILVRKNITEPCTVDKKPFAPFYKAVLKITGTPRAAAAAKQTLNRSSSSSSSSSSSPTTFACDRYCCLTREDIVRFLINCLGALAPTPLQSISSLGAVNRGYAHVEASSPAIEASWSVHPTEPRAVAVVKTNRDGSHKVLADVSAHRLWRRDYVAAADAMASLSSLNFAAGVDAHGMAAPPPEDDDTSAKLGSSSSRRDEFEASFVGQMMMASHGGNAALRCRSSSSLAAVMAQMLSYRTTHIWVTDGEDDVLVGVVGYMEILSAVTRGVVAPPSA >Dexi1A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:1A:702681:704720:1 gene:Dexi1A01G0001080 transcript:Dexi1A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPGGRHPRFPPVHAYDASSHPRRTVAADLDGTLLASSSAFPYYFLVALEAGSYLRAAALLLAAPLLLVLYTFVSEAAAIALLVFVTFSGLRVRDVEAVARGVLPRHYAAGVRADTWAVFRGCEERRVVVTASPAVMVGEFVREFLGAEVAGTELETCAGGKRFTGRIKAVLVGERKREVVTELFAAGDMPDVGLGDRESDHDFMAICKEAYMVPPDRRAPRAAADSLLSRAIFHDGRLVRRPDPAQALFALAYLPFGFLLALFRVFFNLMMPSHLVGHTYRLTGIDLAIRGTPPPPPRRGAPGSLLVCNHRTALDPIIISVALGRPVTCVTYSVSRLSTAISPIRAAALTRDRRADAATMAALLEEGDVVVCPEGTTCREPALLRFSALFAELTDRIVPVAMEAKQGTYYGSTARGWKWMDPYFFYMNPRPGYEVTFLPALRKEDTCGGGGRSAVEVANHVQRVIAKELGFECTKLTRKDKYMKLAGNDGTVVSSKVKQQDDNGGKLD >Dexi4B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:4B:19038215:19040096:1 gene:Dexi4B01G0016880 transcript:Dexi4B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICCGLVAHCTVFQRLMSLFPNSDKMRKLRELLHKSENRICADCSAPDPKWASANIGVFICLKCSGVRRSLGTHVSKQVFDYDMLSKDDIMGEAEIDLQPMISSALAFGDAELLSDMQIGKWLKSPDNALVRDSLVNVVNGKVKQEVSLKLQNVESGEVELELEWIPLSQ >Dexi9B01G0044540.1:cds pep primary_assembly:Fonio_CM05836:9B:44292971:44293936:-1 gene:Dexi9B01G0044540 transcript:Dexi9B01G0044540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLNWMQNKLHGSHHRRRTSEFGADSAWSVDPSSNRPQTDKLNDGLTTAMLSIGTFGAREGHRLKNCERFDELTKLQEELKSLVRARTAGTADDLGRVHHLQVERPLRCSSSSKNGGIVRQRSFRKLATRAFGGFLPRPSFRETVPEMRFNEMIWGLLLKSAHPENSSFTDPVTRDYRAVQMAPKGKAELEDDGSKWIRTDSECKFI >Dexi3B01G0027720.1:cds pep primary_assembly:Fonio_CM05836:3B:23391001:23391453:-1 gene:Dexi3B01G0027720 transcript:Dexi3B01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHLSSSGTSMAAPMRQRTSVQLQHKIHRKKNKARTRARAEHRASSFLRPLTICSRNSVVPNHTRTPAFKRNSSQLRATAPSNAQSAAEHGAKPRVEFQQRVDGGQRVHEHHGRAYGEDGEKACGGGAEEPNSATAEELKRARWAAPL >Dexi4B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:4B:888099:888479:1 gene:Dexi4B01G0001480 transcript:Dexi4B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMEARYVKVASRFFLDVGKGTNRNGGGHHHFLDACFLCKRDITPDRHIFMYRGDAAFCSDDCRQDQRAMDAALKAARRRHRCLLRSASLPAAASAPPVAAAAMPRRPTVVASLAAQSSTSCPAR >Dexi3B01G0032810.1:cds pep primary_assembly:Fonio_CM05836:3B:35260050:35264545:-1 gene:Dexi3B01G0032810 transcript:Dexi3B01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGDAPGIPVLTDLSNAASTPEENRLAGDSNTSSESAQEPLNSFLEQESNDATPLNYSSRFWKRQLVVAKDARRVDILCSRIYLSHRLLDGTTRFKELHQIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIEKADEWLRSNIPSETRQIDTLPAACKFKFEDITTSSLVLVLKEAVSSQYHAIKGYKLWYWNSREPPYTGEPSVFPKDQRRILISNLQPCTEYSFRIISFTEEGELGHSESKIFTKSVEIIRKNKENGAEGCSSSAKRAGKRQNGTVSGFQVRHLSNVLQKAQANENGYPSACCKDEIEDSCDQSDSVIPGKDQVPCGASRKLDLNETSVPDLNAEVIMPTEFCRDENGCSSRKNTLTKSNGCGDSETFAEGRVGEAPVMESQSQSHKQTSDLEQETCADDSKLAAVSARLFSRRLGQLDDNYEYCVKIIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLLDDPSSLAGQLLDSFEEIVASKKPRTGFCTKLWH >Dexi7A01G0022070.1:cds pep primary_assembly:Fonio_CM05836:7A:30471169:30471621:-1 gene:Dexi7A01G0022070 transcript:Dexi7A01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITEALRVQLDVQRRLHEQLEIQRNLQMRIEAQGKKLQKMFEEQLKASRTVMEPREELQGVGITAGAGEQEEEDAFDEVQLLSVSSGGYNDAGFHSKIS >Dexi2B01G0022090.1:cds pep primary_assembly:Fonio_CM05836:2B:31771496:31785200:1 gene:Dexi2B01G0022090 transcript:Dexi2B01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGTGLDLDGETERRSGPGYLRAKRRSGGASPGDPDAAAARLPRGGEQVERGGGGGGGRESTRTERKGNENPASVGGSPEESIRRAESERR >Dexi1B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:1B:9251869:9261129:1 gene:Dexi1B01G0009880 transcript:Dexi1B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHLPANMDQAHLLCRCARASPPTNHHHRLTKVDLICGVSKEGTTPLVPTSHVHSGLGSHPREPRAGVTWCLAMVPPPPLIEHRLRPRLSLPPPPRARPPASPATPPRPAAPDWARFARAARLGIPRRAFAARATVSSDETVVPRWQSIDALLAVNFRGNKDAGTSELMDGLPGDEGRSQTQPSDPNWPSHLDHKFQSLSMTKQEKNLDSASSTLDCREAGRSLQATQTLWSTGSLSGPIPNGFYSIIPEKRLKECFDTIPSPDDLYSLGIEGFKAEIILVDIERDKKVSALKQLCTALVKGLNSNPAAIIKKVAGLVSDFYKRSNPHLSPARTSSEDLSHFLENRGVQLLGQIRHGSCRPRALLFKVLADSVGIDSKLLVASARGAPGSGAVPSAAGQVASAAGGGGLGMPPAPTPDPCLHPLRLRRPPRRWASILCFECCSRLKWQEQDDINRSFKVPSLRNIMLKSTNSMEGKMRCSSHSDPNVANAFCGRNPKKVVDEHQRTASSRFSF >Dexi3B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:3B:11142915:11145639:-1 gene:Dexi3B01G0015360 transcript:Dexi3B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGTPILLSPATGLAAAPSPSSAVFDAEAAGAAAGKTLPLAADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWGLGLAALTVIFSVTFYAYFLVSRVLDHCEARGRRHIRFRELAADIMYSNLSPHGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFGSLILSFGYTILVSAACIRAGVSSNAPPKDYTLSPSKSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYTVIFFTFYFPAIIGYWAFGNQVQSNLLKSLMPDEGPALAPTWLLGLSVVLVLLQLFAIALVYSQVAYEIMEKNSADVAHGRFSRRNLVPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPVYLVNVTIMVVFIGVGVIGAVASVRKLVLDAGKFKLFSDNVVD >Dexi6A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:6A:6765960:6766844:1 gene:Dexi6A01G0006950 transcript:Dexi6A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSDHRPHKKPGPSAPTSRDWTTLPHDILFAVCLKLELREILRGADRVCKGWRHVTVGEPALWRHLDMGTMHLSQCRDLVSRGGVTCEFFTARCDSDVSLRFLVRGHPAPCLKSLRLLDANVSFEALNESVQKLAQLEELDVELPYCGYKWYKKLIQCICEARPQLRRLSVTVGLPVQLYPKNPDREKFVIPVMCELRSFELTQCNFTVDELVATIDNCPLLESLQIGGLDRDMLRQLRERCEAKNLTITS >Dexi3B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:3B:13988289:13991632:-1 gene:Dexi3B01G0018820 transcript:Dexi3B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASGGCGSSGQAQRRRLGLARGPRLSLRGAPLSLRRRMRCTTPWPGGGAPTADAALSLSLLLQCCGGHAQPVNPDGSSCSHVEPPSLVRQAEGNQGEEVGFEDLGLWRQGQRRRLGGTPLRRPRQGQRDGVPAIPVRPPAAVVIAVVPSPADTADPIDGFHCNPTA >Dexi1B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:1B:20982990:20983418:-1 gene:Dexi1B01G0014630 transcript:Dexi1B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRRPRADDGSNSNPDTPYSAAAAALLLEPKLEDEELPLQHLESPGPFVSLDQLMPPAVAETPPRPLEALLQGSQLPPFLSKTYDLVSEPALDRVISWGAAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTYVRT >Dexi4B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:4B:8089110:8115124:1 gene:Dexi4B01G0010750 transcript:Dexi4B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTRRQTWRCSCSPHAQLRDRPTCRVVLEHARSHDHEQRRRRCSDDMKNLSSVNFSAPARAVVVLQLMLLLDDHRSCLAAWQGQVAAHLLLHEQERRGNDRVDMSYVGRGAVNSEMASSQHVEMEAAKLLHKLIQESKDEPVKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVNQHGIDMEALRSSRIPFAGGPQAGDSSGASMSKDKEVIGNQSPMIGSDASLNSGQAGLWQFPSGSSDMIRHGASISGRVPAGPNRGDFSAADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTRKVNIGAQGAFNSTSAPQMGFATIPPYSSSSFGGSSQFLDKGKELASGSIGSELHSTTKVASQSGIPHGSPMQERQGIIRAPQRAEASFQDGRLSALPNRSTGPSPIPQASPNTPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGRGPPAESDSASQRGSESRVADGLGKENGSSRENSGVFCRQSDLSRLPSTSAGSIAEVDSFPKDPENATKKIKVAEQEKSMMELGNIQQASVMQGTSSEMRSHETASPIPSGPQQSYFQGDTRRVAPDVHRTDGDNLNRNLSWGGLGPTALAGNRQHLNQETKGSLAPSKSHHIPVDGYNSNMPGIDQTSEAVGAGNDVENSGHVAEIVPEQTADEGEDDLSEHDDLPSSPPKHTVTEKWILDYQKRIYNERQKRTLEQHKLHSKMSASYEKLKESVNSSEDLSAKTKSVIELKKLQLLPLQRRVRSEFLMDFFKPSTTDLERIKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADVEAHREKLEDSFKVKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQNAKSTDGRASNVLDKSDPANDIEDESYQPQHYLESNEKYYKLAHSVKETVNDQPSYLQGGKLREYQMNGLRWLISLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRRMFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSDDFSQWFNKPFESNGDNSPDEALLSEEENLLIINRLHQVENELPEKIERLVRCEASAYQKLLMKRVEENLGGIGAVKVRSVHNSVMELRNICNHPYLSQLHVEEIEGYLPKHFLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDKFNDPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVRTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSEAEIDVFESIDKQRREAEMAAWQKVVQDGSTSGLDPAVLPSRLVTDDDLEPFCHAMKLYEPSNVKNVKVNVRKKGALGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESPQPGGMLKDLGVPKGIKPEIPVESSKESEQMGKETSPTVGDSPQAKRRRGRPKRSDIFLSPTTAPTDAVKPETGTTQDGSSATPATIIHSESDTPATPIHSATSDVNLHSISPADINKQEFGTETKPSSSVSVLEGSVAKEISTPLENAQNVAAPAAPHQPARGRKGQAGETPRRRGRKPKSLTSPGADDVSLSPTVLVGSGVADTSRGSSYAQVNMPPSQGSAMSVAGIQKDLDAVKLDTLLPDSANRIAAVHEGDKGTNVTASLAKDICAGTVMSENTTTQAPNTPNENVGLLQVATVPTVPMVSEGISETSHVAVADKPVEKQGASRRRRKKTSGSEDTGVSTRQRSAMKKAYYSTSVDEVGSGNTTSEKSRITKERDGSSFQDSSNELPNINLPLHEKSGYDSQPSTPIAVPIDEATLPSSFNDTSATDSKITRATSANAAVDDKPVDLHLDAPVSVASRNQEQLKTGKDHLAVCSDVPARHLEMVSADPSSDHQPASAQFDQSASLPQNSGRDAIVVPSEIDSVAPNKASSRRRKGSSREPRNRSVSATAASERRGRLTGSKQADINKLEISEGPAVCLSSVEQQGADSLRVEVTTASVSEAQKNSGSRVSSDISIPVGSHVPGPASTQETIPMITHTPSLAKSEQGKLPEGIEFNSSVSQKNVASVVGPAPANDGQKQGTEANSSELTKVVPAAEPAPANDEHMQGIEVDSSERPTKIVSAAESAPSNDEEHTANEVHLKTADVNMLTSSTTTEMLQDKIGSSAAYQSGAPCKEETTSQSDASLQDSKVVDALARCPPGSIKDDNASHSEGTGVNAILCKQDDVKIDDTQADDASKVSSSHLTANLQSTESDQPPDQEEISESTKERVKMEETLDKSSSDNQTPSRGSEMSPDITLVRNSSENLNECSAHVDGDTLKMKDDIAEVPDIMYTDGPEVVLDASSTQYKKEAIMADGSTKGDTVETNASMNTDGPEEAQGASSTQSDKEASVAVVDISTGGSPTVCRAHNDLEGQVSFRETLDTAGGDNQTHCNTNDDSSNKNEDTMVNPVDTCIDDSENKIEESMVNPVDSTRETIGESTISVSENPDLNKQSCTLHFGNDPPASTLATVEFNEVTGDAEILFPSRLESSGIDTETVDIQETAVADLERTGDLDNKTSNRDAVLGTSRSIGVICEKTSTEDLTACSHSEAPSSIIAVEPTQKSSVADTVVFMDTCNTEPCGDSTNAEGEKLTVEVVHTNEEQSAVPEHAEAQTKPTAICGPMLNEYPQTAGLEDQCSLPKHSGPTSELVLPPNPIGETSAIQVEPEAIESGYCTAEGSRASSETVMELEPNKETVAPMQEDIAEANDAESLECSDASLEMHSSEIKAVSSINSSAGSLSTQTPALPDGAEQVDMPSASGVAPANDEHMQVSEVNSSGQPTKMVSPATDEHVQDIMVHSSEQQTRMLSAAEFDTLCVQETAIIDHCGATETVNLNEKSSLLGAEAHSSEQKIASSPGDDVNSSEQTKIDLVTEIGTADVNETAIADGETQDVKETDMADDETVDIKETAIADHEETGHKSGRSVESEVHYSEQIKMVSAVEPASAPGIEDYGSEQMKMASVAQVASNVVLVGYSSSEDSMLEDPARAADGGDFVDSKAGVDGQEATSTQTASTLAENTDMDWQSCPLHSANESPAMIESDKDTGDVGIPCVGKIESSSGVGNEMMGVQETSIADQQGKKGTSDLNEENGSPQHDDGCGTSCSKLIMASEKASSDEVVTVVVHSEVPTSVELVTAKPTEEATISNREETIIYEKATSGEEPTVGSCSDAPTSAELVGVESTQEANVATARGADRLEVVKAAEEEPTEICGPIQEETIRIENLESDVPEPKDGSPITPSERVAEPKQIDETCVMQVELVTSAGDGCAADDHKGVSSEIVMESEPVVEIVVPMQEDGKEGTDAGTVCEDLEDHAPGDALMPIESEITVPMQESGSEANDTTTVSEVCEGTKSHVSEYEGRSMAHLDAVADDLPVTVGGESTPGGANANLGVADTEQKLLSSSGEAMVYISSEPPNQVAEESSSADRSGNDENGKMEEAAATQGPLHTEPSHGSENVKLDEADNAMQLPHSSGEETMVDRCSEPPSDEVKESPSIDPSMNIEDVKTEEANVTQGLVNSELAHGGETDTELQLLFSSGEPMVDTCSELPSQDVNEAPISDPLVNNEILETENATAAQDLLNIESTAGGENAKHDEADTEEQLPPSGEATVDITCEVPIQVQEAPSTDLPGNDEDAKMEEASTAQGLLNTESVHGGENAKHCEAVMDLQSPPSVDAMAEISSELVTQEVKEAVNAGPSGNHEDAKMEEATAAAHVLLNAEPAPSGEASKLAGARTEVQLPSSGEAVLDMPSELSSCQEVTEAPSIDTLGNDENAKMEEATIAHGMLNTGSAHGGENAKLDEAGMELQLPPSGDTMVEISSELVSQEVKEAASPGPSGNDENAKMEEGTAAAHVLLNAEPAPSGEASRLAGVHTEQPSSGEAMVDVSSEPSGCQEVKEAPRSDTLGNDENSMTEKTAAAGGENTELGEADTEEQAVLVSAEVIVESSSELPSQEVKETTVTDLSGDDEKAKSTRAAVVAELFGDTTEGALDQPLESPRGQGEDADADEFVE >Dexi3A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:3A:1083611:1083874:-1 gene:Dexi3A01G0001610 transcript:Dexi3A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLLLASSALPAWCQNILVAGRARSPAPRGHPRLLPHRRRELLMASRASSSPGGGASSPRAAALAPPAAEEWSSYDWRKWRSRDL >Dexi2B01G0022850.1:cds pep primary_assembly:Fonio_CM05836:2B:32468419:32473213:1 gene:Dexi2B01G0022850 transcript:Dexi2B01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGTLLPAQTHRRRILRNRRQGRIVASNATNPVRDGGAAAVVWFKHDLRIDDHPGLAAAVAEPRRPVVPLYVFDRRILAGYSDKMLELLLFALKDLKMALKSQESDLFIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRDVLANVASSLSNGSFSWGSPPKIVAWSAPLYDYKNLKEVSTSHDQFLKTKLAMATPLAAANLPALNLELDTGFVPTLDELKGFLKDSRTPEDNWVPLKNTSARSILKKILSQKKIKSNTTLSTSNGENIDDISMDSGTPGKRIMNSMFASENSLEVRGGTDITLDALAAYLRYLEGTGNASWQELHDKVRIAETRDGASFYTLFGPAIHLGVISRRKAYNDTIQYEKDRNAGFLSPFGYSTPTVKAAVDAICSMEWYWLLALKSQVSAEGNYPIRIWRWKGYLVQYTFVGNEGPDVLLVHGFGASLDHFRDNIDNIADMGHRVWAITLVGFGKSEKPNVSYSELFWSELLRNFIIDVVREPVHLVGNSIGGYICALAAGLWPSLAKSLVLLNSAGSVVPNYSFVPLGEERRTSWLSRLQAQLLLFFLRSRVEGILKEYYPTRTKRVDKTLVDQIIRASYDPGAITVLESVFNFNLSIPLNFLFDSFGGKVLVIQVMLHKMKFQMK >Dexi5A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:5A:10075089:10075340:-1 gene:Dexi5A01G0013420 transcript:Dexi5A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFIGNSTSIQEMFRRFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEAIHDE >Dexi1B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:1B:4371522:4372274:-1 gene:Dexi1B01G0005360 transcript:Dexi1B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATGGSQAYPVAAAAYAPPAMVPGAPAVVPPGSQPAASFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWSTQLDEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGMPPAMGAAAADSYPPYYYMPAQQMPPGGGMMYGGQQGHTVAYMWQQPQGQEEEPPEEQQQQRST >Dexi1A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:1A:10427894:10429650:-1 gene:Dexi1A01G0011430 transcript:Dexi1A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAAETEAVLERIWDLHDRLSDAILASSRDNLLLPPPPPPSLPPQPAPSAPAAKGAHRGGGGRNGCVYVKGGVGGGGECGGAAALAAAAEALAEARSLHAIRSALEDLEDHLEFLHTVQSQQRAEQDAAIARLEQSRLALAMRLAEHQGKRYRVIDEALAFVGEVSDKSRFISPEDVRATQSEDNAEDKRGSSSRIMSNMVSCSLSLAKNSFRLDKIGGALGNAAVFAVSMLAFLQLHQIAFGSRTPAMGYRKRIEYSFQSGSSQQNGKGKHLEVYLARG >Dexi2B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:2B:26701922:26705379:-1 gene:Dexi2B01G0016500 transcript:Dexi2B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPESTHSSRTGTYPARYAAAAVALAVREAQAPCQPPHDLVGKMFRSERFWVTRPETLSPNLPASPDAADGEPCYASSPSEAAARGQDLPCSCLSSHPNVVEMEAMTVAVPEWATKEPCLMGIDEAGRGPVLGPMVYGSMYCVRSYNNTLATLKFAVIIFLLGKVYIDTVGDPEKYRIKLTEKFPGIKFLVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGDPNTKQWLEDHKHLVFGFPTLVRFSWGTCTPFFKDPIEITWETDEVDEDATNNGNVKRKAKLSSLGFTGFKKKTEEIKSSGKGRFFQVRNLELVRKFQ >Dexi3A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:3A:3855981:3857842:1 gene:Dexi3A01G0005950 transcript:Dexi3A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAEAEGEEVTNRQVILKLYVTGYPTVDDMEVVTGTVRLALPPGSTTAILVKNLYLSCDPYMRTRMTRHADDPNFVPDYVPGKVVLSAHPDFKPGDFLWGVTGWEEYSLIPNPVLCNKINHREFPLSYYTGVLGVPGLTAYAGLFEVAKAKKGEYVFVSAAAGAVGQIVGQLAMLTGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKEEADLNAALKRCFPEGIDVYFDNVGGATLDAVLRRMRPRGRVAVCGQVSQYNLERPYGVDLFQLVGKHIRMEGFLVSEYGGEYYRRFEEEMARHLREGKVVCVEDIVEGIDAAPAALVGLFAGRNVGKQVVAIARE >Dexi3B01G0034540.1:cds pep primary_assembly:Fonio_CM05836:3B:37283689:37284388:-1 gene:Dexi3B01G0034540 transcript:Dexi3B01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDELLTTTTTTTSGTIKLTSFDRVFVKVPFTALLVFEPMGHEAVDIIKRALSKALVHYYPFVGRIVSSGAIDGDEFSIGCTGDGVEFLPASVDNSLKEAKIFDESSGAKTKALLDDLAVFYPAGSYGFDDPLLSLQVTEFSCGGLVLGVTWNHAIADGTGIAQFLAAVGELARGSPSPSVIPARWDDAVSKLHPWSDPGAYCPS >Dexi9A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:9A:5334079:5335481:-1 gene:Dexi9A01G0009010 transcript:Dexi9A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERRGTDPPPPPAPGPAPRRPPAAASSTPTASRGSSTASSTSSNSHPSASASVSAGTPPSAVVPWAARAGDSCYYPGCRKDANCACEMCLASIDATRDLVRAPEAASARRFFAGAAAAATRARRPALFGRGAGEGSELADQPWTPPMRSTAKSRRPPGKAAAVAALGNGGARGSHDWALYAATVLGFLLLLWVDTGLVPEAAARGFGPKVSPEAVARVGAEARLAPGGLEHKIRVLERRVVQLVGGERATNCSSQESVWRLHQNDQHVFHWRCTVYKSVAEEISVWGSPLRTSGLLPSALSARHITLLSGEITEWSDGRLWPTVRASNGSSWSYRKHSAAAVRLEPETWVVEYQRSALFEGTRLIPAAAELLASRCSTMARRARRRLQAKRRLFGGAQANPT >Dexi9A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:9A:2293123:2294587:-1 gene:Dexi9A01G0004280 transcript:Dexi9A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVVPLLALLLAFGALEAAAGETNGTSPADGMITGRSLGSSSQSVFSLDRYGARGDGRHDDTPALAKAWKAACASPRPAVVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLVASPNMADWSDRDRRHWIVFRSVDKLMVNGGGAIDGNGETWWKHSCKINRTMPCKEAPTALSFHYCTSLRVEDLKIVNSQQIHMSVEDCTNVQLERLSITASGTSPNTDGIHITRSRDVKVTNCKIKTGDDCMSIEDGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVELYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTITKDSIKMNCSKNVPCHGITLQNINLKMEGGKGAIRSTCQNANWRKSGTVLPQPCTAKN >Dexi7A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:7A:27896195:27898543:-1 gene:Dexi7A01G0018490 transcript:Dexi7A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVGGLARSTRLRGGAVQLFLPRCGRGLSSGPPPPQDPEWTDTVEYLDESGALLSTAPGARPAVPGADATILSGASAHPLPRPAAAARLAALALRHRSGAPLSAALSALPSAPDPPLLLLAAASLPASDPVPLISLVAWARLQPWFVPSDDLSSLLAARLPPATHSSELLALFDDTLGLPDPAAFPKTLNAVVSALATHGLLEPAFYCFKRLRDAGFKGLETPAYNALLSLLLTRGLAFKAFEVLDEMASSGCALDEGTYELAVPALARAGRIDAARKMFDEMKQREGVVRAPAGVYSVMVDVLAKAGRLDAAMGMYREMVAVGHRVSTAVSTAMVEGLVRSGKLDAGMELWEEMRRGGLRPNFGLYTMVVEANARSGRLNIAAKLFADMEKAGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTRPGLSTFTALLTMLANKGMLDLAAKVLLEMKSSGFPIEVTASDLLMIYIKDGSTDLALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDESSERAIMDILSASKHKAHDFMCGLFTGPEQRKQPVLSFVREFFQGIDYDVEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFAKAIVFDQHIAWSLDVRSLSVGAALIATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPDVRSLSFPRSLGMSR >DexiUA01G0017060.1:cds pep primary_assembly:Fonio_CM05836:UA:36217848:36219190:-1 gene:DexiUA01G0017060 transcript:DexiUA01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLLQLKSVAVPLLALLFLSTALTASSQSVFSLDRYGGRGDGRHDDTPALAKAWKAACASPRPAIVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLVASPNMADWSDKDRRHWIVFRSVDKLTVNGGGGVDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVQDLKVVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSQIVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYDVKNPIIIDQDYCDKAKPCKAQGSAVEVSNLVFKNIRGTTVTKDAIKLNCSKNVPCHGITLQNIDLKMQGGDGAAQSTCENAKWRKSGTVLPQPCSSKD >Dexi9A01G0042650.1:cds pep primary_assembly:Fonio_CM05836:9A:46207605:46212094:-1 gene:Dexi9A01G0042650 transcript:Dexi9A01G0042650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTRPAARWPPSSSMSATALFLPANLSPPPATTSGELHFRLRLPRHARSRTSLPAAYGRGSPAAARREKDYYATLNIRRDATLQEVKAAYRTLARKYHPDMNKNPGAEEKFKEISAAYEILSDEDKRSMYDRFGEEGLRGDYVHGDIGAHGIDPYELFNAFFGGSDKLFGDSMGPGGFHYSAKVNNNRGLDISYDLLISFEESILGGKREINIFRHETCGTCHGTGAKSSNGITECTRCRGQGRLMETQRTPFGIVSQISSCLNCSGSGKVITEHCTECHGSGKVQVERNIKVDIPGGIDHGSAIRIRGEGSVDKQRDASGDLYIYVRIHEKQGILRDGLNLYSDVSVDYTDAILGTTVKVETVEGFKDLHIPSGAQPGENLKFPRLGVPDIKRPNVRGDHYFLIRVKIPKNISDRERALVEELAALNKAQNISVPETTNIGIFQNRNQASARRKRSFLGSIWNLFR >Dexi1A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:1A:22163082:22166342:-1 gene:Dexi1A01G0015220 transcript:Dexi1A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGDPAGAAAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRVAAAAAAAVASASGGEAAAAAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKDWERGTAASREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILASRSAGNAAEEEEAVPAARVGEEKEAGPAEAVFDSGRPATEEALFSEEEEEEEEEEETPAAVPPPPPQPVIAVPVSGPSKDKQPEQSTERDAPAQQGGQKRPRNEEEAGETADLQSKLIEILDRNSRMVAAQLEAQNQNCELDREQRKDQANSLVLVLGRLADALGRIADKL >Dexi9B01G0023230.1:cds pep primary_assembly:Fonio_CM05836:9B:18279007:18283770:1 gene:Dexi9B01G0023230 transcript:Dexi9B01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding FARTGIASQTAHSTGGAGGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASWQLSLDVLAPLPRVAAAHATAPPSKDHSYHRHHPPPRPFKTHPKKAHAARAASTRAHPPTHTPLPSGFRFHSVRSKQGTTTARIPMPALSAAAAAAATAAAAWALRAAVWACLAASAMLVAEAAYMGLASLAAAASLWRRPERRYRWEPMPGGGSDGDVEADPTAAEFPMVLVQIPMYNEREVYKLSIGAACALTWPPDRIIIQVLDDSTDPIIKVSSDNPAALVYYMHDVMAHEQAAGHGPSQPDTAGQQGVQGGQGAAPKPLLTLKQARHISLPGLKGRTPTLRLSLFLLCLATPQRPCAVIVALHLHLAIPTDYELVELECLDWASKKINIKYEVRNNRKGYKAVNYDVCLMTRIQKMSLDYHFKVEQESGSFVYSFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRANLKGWEFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAWEIITNKVCFLGPHFIRHFPGVSIPVWGLVYIPTAITFMNAIRNPWSLHLMPFWILFENVMSMHRMRAALTGLLETARANDWVVTEKVGDLAKDDLDVPLLEPLKPTECVERIYIPELLLAMYLLICASYDFFLGSHKYYLYIYLQAFAYVVIGFGFVGTRTPCS >Dexi5B01G0022870.1:cds pep primary_assembly:Fonio_CM05836:5B:25147525:25152010:-1 gene:Dexi5B01G0022870 transcript:Dexi5B01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDPVDKTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGAKSVISRASRAKADHFVDHGDKIHFGNLFLEVRATPGHTAGCVTYITGDADGQPSPRMAFTGDALIIRACGRTDFQGGSADLLYQSVHSQASIFTLPKDTLLYPAHDYKGFTVSTVEEEVAYNARLTKDKETFKTIMDNLNLPYPKMLDVAVPANLVCGIQDPPPKI >Dexi1A01G0031560.1:cds pep primary_assembly:Fonio_CM05836:1A:36476140:36476885:1 gene:Dexi1A01G0031560 transcript:Dexi1A01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGGNNKQGAFVLRVPMHCRCDGCADKIRAGVKDLMLHHDIEALDQSALWTKGELRVASSTADPDKLRRRLKKATGKSVDLLLPKPPAADKDAAAAAKAAADKDATAAAMEALLRRSIMQQQQAQAHQYGGSHGQVVLPAAWGAALQQQQQPAYPWAGVQVQHHQPVAEAAYYPSSYGLAAAYPAADWGAYAYPPAAPHGGGAGYGGGWLY >Dexi3B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:3B:3220726:3222371:1 gene:Dexi3B01G0004800 transcript:Dexi3B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNGPNKHVSPMEVSVEAGNAGAAEWLDDDGRPLRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVAFCGVIQYANLVGVAIGYTIASSISMQAIRRAGCFHKNGHGDPCKSSSNPYMILFGITQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGISIGADVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEATVMKKATSLSVATTTIFYMLCGCMGYAAFGDASPDNLLTGFGFYEPFWLLDVANIAIIVHLVGAYQVFCQPIFAFVERRANATWPDSAFITWELHVGPLALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWVCLQTLSVSCLVVSIAAATGSIANVIDALKVYRPFSG >Dexi3A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:3A:16095918:16096622:-1 gene:Dexi3A01G0020260 transcript:Dexi3A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIVLVAKLQHKDLVRLLGFCMEKKRSSSSTSPARQQELSWGQRKKIIEGIGKGLTYLHEDSRLTVIHRDLKADNILLDVDMNPKISDFGLAKLFSINASDIWHRSMPSMASSLPSQTFTATASLSLRSSPSGVFMKIS >Dexi1A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:1A:2673694:2674156:1 gene:Dexi1A01G0003680 transcript:Dexi1A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGRGGRASATAAASTRQHQEHLDAHEEVGAVLEVVPPLDEGPPRGVDGGVGLGGGVELPDLSADVAVLRAQPWVPTPVRDLQPPHLFPLLLLLSPRTMRPRRRREIPAGESAALLGSSLARGSSLLRSGGGGPL >Dexi9B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:9B:8374057:8379607:1 gene:Dexi9B01G0012460 transcript:Dexi9B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKSTTGSFRPFFSFFLLRFHSLIYGLCFPAAEGELVRALVLHVAALSTAGAVAAAAVMARRRFKGGMRKKTQKQPQVPAMAEMPRFQVTESGHLKDLEKFSHYVARQMGFEDINECPNLCKLANNFLRSSKNCMEDIYGFFANVKDAESLYVKFIEELDKCILGYFAFHWDHATDLISSALTVESGTTKKLRNMFMEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGIVPANGGDEAASECTDVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKEVKKEAFWTNAEGNAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHKSSTDAASSLLVTALNEGRDVILDGTLSWEPYVEQTIAMARSVHRQRYRMGVGYNKADDGTVTERYWEVDDDASAAEATRKPYRIEIVGVVCDAYLAVARGIRRAIVTRRAVRVRSQLQSHKRFAAAFQRYSRLVDGARLYSTNSLGSAQLIAWKDGINSSLLVEPREFDCLEKVSRLNENATSVHDLYPDGTTTCGARSIWEDMIASPSRVAIQSELREAIRSVEAADNGGETPNKATKHEQVDFDLFG >Dexi9B01G0029740.1:cds pep primary_assembly:Fonio_CM05836:9B:32176494:32177295:-1 gene:Dexi9B01G0029740 transcript:Dexi9B01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKLLGFWGSPFVLRARLALSFKGLSYEYVEEDLKNKSELLLHSNPVHKKVPVLIHNGKPICETQIIVQYIDEVHGASGNSLLPADPYDRAMARFWAAYIDDKLLSSLLMISMGKTDEERAEGRKQSFAAAEILEKALKECSKGRPIFFGGNSVGYVDIVLGGFVPWVRLIDHSTGSKQFDAAKTPLLAAWLQHFSSLDPAKAVMPDLERLVAITKTNSQGN >Dexi4B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:4B:7441450:7441750:1 gene:Dexi4B01G0010170 transcript:Dexi4B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSFGTSWADQWDTGGDPSPRAARDDGRGGGKGNGGGVGEKTKAAAATGLRKVKEGTANGFAWIKDKCQRKSGGGGGEKQQESEVAGY >Dexi1A01G0029160.1:cds pep primary_assembly:Fonio_CM05836:1A:34704064:34705341:1 gene:Dexi1A01G0029160 transcript:Dexi1A01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLSSSCDQESRLAYMTYHLLEITRSKRIPGTLSIEHDVAAVAALTKRIKSSENQKAEPLDSQGNNDQADSDSSTLISSIGRDNSINCLARCSRSDYGSIASLNRSFRLLVRSGDLYKERRQLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDADGRVLRSAELYNSETKRWTILPSMNKARRMCSGVFMDGKFYVIGGMTSNTEVLTCGEEYDLDRGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNKRDNSWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPSGNFVYNCAVMGC >Dexi9A01G0037140.1:cds pep primary_assembly:Fonio_CM05836:9A:41483623:41484602:-1 gene:Dexi9A01G0037140 transcript:Dexi9A01G0037140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPAAALVLLALASALSPHPPGARAQQISAAPLGAPSPSSLDCGGALRNLTPCLTYVERRSALTRPDKGCCGALAAVVGGGDEAACLCALLGGYGVVGVRVDPVRALALPTICRVDAPPPRLCAALGMPVAEPPGGAAASPMDSGSDVPTTPPATAAANGGPATQRRLFLAALPHFCIVVILATLLL >Dexi7A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:7A:21175180:21177541:-1 gene:Dexi7A01G0010400 transcript:Dexi7A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMACEEHGQPPAWALALAALGLLVTARAAARLALWLYAAFLRPARPLRRRYGAWAVVTGATDGIGRALAFRLAASGLDLVLVGRSPDKLAAVSAELKSKRLGAQVRTFVLDFVADGDGDLAARVGKLGEFLRDLDVGVLVNNVGASYPYARYFHEVDEELARKLIRLNVEAVTRVTHAVLPGMVERGRGAIMNMGSGASAIMPSDPLYTLYVATKAYVDQFSRCLYVEYKSKGIDVQCQVPIQVATKLASIRKPTFLAPSPETYARAAVRYIGYEPQCTPYWTHALVGFFISLVPEPIADRMFLNRNLKIRTKGRAKDARKKAQ >Dexi4A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:4A:23537232:23538090:-1 gene:Dexi4A01G0019670 transcript:Dexi4A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLHRRRRSRFLLPEDIIFDVLSRLRAKVLCRFKCVCKGWRALISDQAFVADHKSRAAPLIAGVFRSQRMGHKFELRVMDMDDGSILRVFKDMPMYLAPTRIDLICAYDQDGSAMIVDPAPGRVLAVSEHNPWIDKATLPRSHYILGRAAPSGTYKVLHIHESFDGNDQLCEIAAINDCGTEPTWRQRPVPAVVTHRGRYQGATVNGVLYFLSYTDDDHTLEGWYRVASFNLEINATT >Dexi2A01G0028570.1:cds pep primary_assembly:Fonio_CM05836:2A:39835253:39843377:-1 gene:Dexi2A01G0028570 transcript:Dexi2A01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRVRVGTLVSFKDSAGSSNGSVSTIPIFEGINVVGRNHLVVPDKRISRKHLSLKTSADGSIEITVEGPNPIVVRSEGQRRKVCAQEKTKITHDDVLELIPGDYLMKYIDKTDECKSSTPSGSCGVKGKRHSEEDSAAVKRNRQIMEDETLARILQESIAEESTVVFDIASSQTSSLLDSAGSSKRSNGRMHSVGPSNDVPSLTFRLMRVQGLQPWANSSSVTVQDVIQVLLIQLMVMCFLQFSQIIWWTLIGCLLMLHSPACPSLRKVPHVLVIHGEDGASLERLKKMKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANMIHVDWNNKSQGLWMQDFPWKDANDTSKKVPFENDLVDYLGALKVGGYFLFAAVVGDVNINAAFFRRFDYSTSMVRLIGSVPGYHVGPNVKKWGHMKLRSVLEECMFEKQFSQSPLIYQGYAAGSCIPSPQKNVEKDFLRKYWTRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLKSIPQFSCTEKNLSSLDSLTLGKTIKTKLVTLCWKGDEEKESSTKIVRLPVPYQLPPQHYGAHDVPWSWDRRYTEKDVYGSVWPRHG >Dexi9A01G0044580.1:cds pep primary_assembly:Fonio_CM05836:9A:48195787:48200569:1 gene:Dexi9A01G0044580 transcript:Dexi9A01G0044580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRGAKAAAAAAERTEEKEMDLLLREIPHVTSPQGQRGAIGHGNGVHGAAAGHGYAAQGRGEDAYYSMALHRRDDAAPLQGGGGAPGGVPFAAPLPVVSAPSPVSGPFVGSAPPPLARAVDDPEQQWLANHLRELRIGDAPAAGAQSVLQRHGPPPVKSAVPTPTDASAARDAYHGYNFAAPGSSVHHEPVFLDQTKAVGYVAARPQRFVSDVGFDAYGGFPRGLDTSIGGLVYNRVGHGTGIGWGQGLVHPDLADSYMLSRHSGAEFFCPSPVALDVRGWPKLQYAYTVPVADNGFARSGNQFEAFHSENSLMFDGKKNMNFIERGRERRVQQFVNNRALDLGNSRTPRYENMVRVKEYIYLMAKDQYGCRYLQQKFEEGKHHVDVIFEGIINHIADLMINSFANYLVQKMLEVCAEEQRLRIIAVLTQDPVRLVAISLNMHGTRAVQKLIETVTTREQVVLIISALQPGFMELVNDLNGNHVIQKCLTNFGAEENKFIFEAAAANCFNMAVHRHGCCVLQRCISSARGGYQAKLIVEICAHGFELAQDPFGNYVVQYVLNLKIPSANAHLASQFEGRYLYLSKQKVSSNVVEKCLKLFPDDAKAVIVRELLSGSHFEQLLQDPYANYVIYTALLNTRGHLHNALVEAIRPHEDAIRTSPCCKRISRALSRR >Dexi9B01G0027110.1:cds pep primary_assembly:Fonio_CM05836:9B:29557664:29560611:-1 gene:Dexi9B01G0027110 transcript:Dexi9B01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASAATACSPSRLFRRRLSPPPLPSSLLPLAAREGGRSSPRACSYHRFVVRWEGRPRALLGGFSDAGASESDEDDEDALRGGQREAEGAVEPAAAAAAGPERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRKLVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSSQSAGYPELKIAMAGSVGSDPLGSFYREKLRRANVHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLTYDSDLADLVSKSNVLIVEGYLFELPHTIEAIKQACEDAHRNGALVAVTASDVSCIKRCYNDFWDIVGSYADILFANANEARAFCELPSTESPMSATRYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCVPVDTCGAGDAYTSGILYGILRGASDLKGIGLLASQVAAVVVGQQGTRLRVQDADRLAESFAHHLDSLEFCSDV >Dexi1A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:1A:6126519:6131479:1 gene:Dexi1A01G0007960 transcript:Dexi1A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPTKTVAFPSKFAPNSPRNINQTPSPSPPSPPRRTTRRAALLHAALAVRRVFFWCGLRRPSRPHHQSLLLLVRRKTTSPQPAQAPPPATAAGGPQWSPEEEARPAGRVRSSAPGTQGKTLAVASSSYVVPCVRGMEPNGESHLREPLLPASSGASPAGASPRKERKTRKVMLSVRGISCASCAVSIETVVAGLKGVESIQVSPLQGQAVVQYRPEETDTRTIKEAIEDLNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHYDPNITSRDLIVEAVEGAGFGADLISSGDDVNKVHLKLEGVNSPEDTKLIQSVLEAAEGVNNVEWDTLEQTIKVAYDPDITGPRLLIQCIKNAAHPPKVFNATLHSPPKQREAERNHEIRNYRNQFLWSCLFSVPVFLFSMVLPMLSPFGDWLSYRICNNMTIGMLLRWLLCSPVQFFVGWRFYIGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSDSFEGQDFFETSAMLISFILLGKYLEVMAKGKTSDALSKLTELAPETACLLTFDKDGNVISETEISTQLLQRNDVIKIVPGTKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDRVIGGTVNDNGCIIVKATHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVVAAFLTWLGWFIPGQFHLYPKEWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKIKAIIFDKTGTLTVGKPSVVQTKIFSKIPLLELCDLAAGAEANSEHPLSKAIVEHTNKLREQYGSHSDHMMESRDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPLSSDVEAYMSETEELARTCVLVAIDKIICGALSVSDPLKPEAGRVISYLKSMGISSIMVTGDNWATAKSIAKEVGISQVFAEIDPVGKAEKIKDLQMQGLTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTRIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEDAPRSTDGSDLV >Dexi2B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:2B:192565:198794:1 gene:Dexi2B01G0000480 transcript:Dexi2B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPLVVINMLPGVYRDPEAFDPDRFAHGRAEDKAAGALAYTSFGAGKHACMGEGYAYLQIKVILSHLLTNFELHLVSPFPETDNMISMRPKGEVMDHKIKYHLPGEQLNMLISISSDDDLRNMIDECIVLEATRERLTMYLFSANDDEHSVHFLVTRLSDAEKEAQFIALINGLTRPTVASRMQSLGSTSTSDLDQMMLGIKEGRLPAGTEEEDSLCTKGKPSQRIVVEPPKASSGPLEKTLPTPNFLTQVAKKDKVQSSEGNLITSGRTGVHSSPSVPSETIHGAKRGAGSDQAISRHQAELQQTTTIITEKGHQAAGPQDKISPRKELLGALYNRNENMLSSKSNNYSPTSHTSRGTYEVTASLSSSSEKTANQQTSSDNSKMKPGRHKSQEEDMFHSEHEPPMKNKNYQLQNKMGIPGHGPESATPMQCHDDVCIIPNQHTLEKSVISNSRVKQQPAVPIMCTNTLKKDHPSKLSSDSEEKILSNSFTSSDKTTVLKPHTLARASSERQQERPSSPRPDEQSSMMIKSRSVGADRNSPQIIIPSEDVKDNTVLLISELEEHETKKSEQGLPKTVALGRDLTSNVQIISNEDLEDLREMGSGAFGTVFHGKWKGTDVAIKRIKNSCFMLPSPQADKLITEFWREAAIISKLHHPNILALYGVVNNGPGATLATVTEFMVNGSLKKVLIRKDKYLDWRKRIMIAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMGGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPASCHPEWKRLMEQCWSTEPERRPSFTEIASRLRAFLEANEREALT >Dexi9A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:9A:14917855:14938306:-1 gene:Dexi9A01G0019910 transcript:Dexi9A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGVHERRIEERFGRFFSFDEDSESEDISLDELEEELEEHKNYDSYKNLYAAFCCLQVLITILTNGEKQRGMATLVEGNLGNIEESLIQDYIEDNDSLALLHDQIHECDNILSQIGSILGGFQEHIGSISSEIRSLQVKSLDISLKLKNRKLVETKLAGFVEEIIAPPGLVDILVNGEVNDGYARSLEILSKKLKFVQVDPLINASNALKDIKQELERLRQKALSKVSSHILEIFFAMRKPGTNIQILQQNLLQKHRYLVLFLKEHGSETYANLCASYVGTMNKVLSTYFRVYVEALERLKLDNGVSSDLSGYDTSLIDIITRGREHLGDHRFMFSLGERANILKAIKDLGARVQALETAPLATTVAMPEGFQFGLPGYGGIPPTSEQTTTIPPGTSAPKKITEIPYLFIEAFFGEESLFYQVFEGPFAVIDQHLDLTLPNCHDAVCLMLMICITRKHQLVMSYRRLPCLENYFDKAIMYLWPRFKVVFDMYLQSLYQCDAKTLWIDGTHPNHIVRCYVEFTASLVQLNAECGDGQLDMNLERLRSAIDVLLVKLAQNFTTSKLQHLFVLNNYDMAISVLKEAGDEAKNLQRYFEEKLESNMMAFVDDLLMEHFRELLRFVRSRVSEELLFYTECSNSADLEPVVKNFAIKWRNALEIMHNEVVTSCSNLLSGMAIIKVAMAQLLNDYNRLSECVKQIPGGSASNRHLVSITSISYEIRKYSRAL >Dexi9A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:9A:859262:862411:1 gene:Dexi9A01G0001640 transcript:Dexi9A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPRSMLPQRERRPPDHRLPRRHPAHPDQVPPPSLARPPEASQRSRLPSLVPSAYAGILRCASRARSLALARLAHSHMVRAGYRSGLFLGNNLLAAYARCGDMRHARLLLDGMPRRDAVSWNTLIAGYSSQGAARLALGAFRDARRDGGVAVDRFTYAAVLAACGGARDGRSGRAAHGLAVVSGLARTAFVTNSVLDMYAKCGMIDEVRLVFDRAEERDEVSWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDAFALGGILKACSELEDSEDVRMMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPDQNVVIYNAMIAGFARLGNEPCPETRIEAVRLYVNLLQRRIRPSKFTFKSLLEVCNLTKAVRCGRQIHAHVILSGFEEDEFIGNALINLYAKARLVDHSLRCFHRTPKREIFTWTSMITAFVHDEHSEKALDLFRELRYLEKEPDQFTMSSVMNACAALSVPATCEQIHCYAVKSGFDKFTHCGNSQIEMYRCMGDLKAAKKTFEKISCLDTFSWSQMILSYAVHGHEREALQLFKKMRDCGVMINEFTFLVVLIACSRQGLVDEGFRHYESMLSEYGIVPDEKHVACMVDLLGHAGKVANAEDFIMSSGLENDKVLWHALLRACRIHGDKDRGIKIGEKLMALEPCAASSYVMLYNLYMDAGKISLAMRTRGQMRERGMAKEAGISWVEFGGSIHRFADGDHSCSLKAEAFTRLEELLVRVKQKTEHGGVNVWELGFQARKVGNTISKHGELFAVSLGLSTVPDTAPVRVMKNQNMSWESHEMLKLLSEGENREIVIRDPACFHHFSQGSCSCRDYW >Dexi1A01G0021840.1:cds pep primary_assembly:Fonio_CM05836:1A:28563662:28565480:1 gene:Dexi1A01G0021840 transcript:Dexi1A01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIISCLAAVVVLFLHVGVAPSADAAPGTFVSAGCSPSRYAPNTAFEGNLNSLLASMASAASSGSTYNTFTSSAAAAVSTGRDAASSSAAYGLYQCRGDLRPGECVACVRDTVARLGDVCAHAYAASLQSEGCYVRYDDARDFGVVDTSSSSVAYRRCSAGTSGDAGILSARGAVLAELQQGVAEGGGYKVSSSGPVHGVAQCLGGVTASACAACVSQAVAQLGGGACAAALAADVYMVQCSVRYWANSNYFRPSQDNPGDDLGRTLAIIIGIMAGLALVVVFISFLRKACD >Dexi9B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:9B:1057258:1057798:-1 gene:Dexi9B01G0001840 transcript:Dexi9B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAEKHGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi7B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:7B:24626189:24627463:-1 gene:Dexi7B01G0019000 transcript:Dexi7B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFVSLDHDETSFLDHFKSMPWLTLPFNTSLRQKLCNYFGIERIPALIPLSETPSRGLGFEEDAVKLVEEYGADAYPFSTKRRRELESMDDARRQGGKLQELLGGKDRDYVISADGIKISIADLTGKTVGLYFGAYWCPPCRAFTKQLTEVYNELKILRPGSFEVIFISVDRSKEEFQASLSAMPWLAIPYSDTARQELTRIFAIKGIPALLLLGLDGKVLKTDGRRAISIYGVTAFPFTESRVSEVDEALRKEGDNLPRRVSNPRHSHELELDMAKAYICDECQQKGRYWVFSCKQCNFDLHPSCVRESNAESI >Dexi2A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:2A:3844872:3845796:1 gene:Dexi2A01G0004390 transcript:Dexi2A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVLKLLAMAMAAAIMALASSPTPVSGQVVVPPGSPLAGPLTCTTSLISSFAPCLNFVINSTASPTADCCRSLGALMKASSGCACLILTGSVPLGVPVNRTMAINLPRACNNASVPLQCRNTAAGSAEAPAPGPVADAPAPSSLLAPSLAPLPPVTAPVETPVTEARAPAATVEPTATAPVSQGQTRPTVVATSAAWRERAHVASGPAVVLLLAVAGAALV >Dexi8A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:8A:27779540:27784229:1 gene:Dexi8A01G0016380 transcript:Dexi8A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINAALPDELLEDVIRRVGGGKRDLDACALVCRRWRRLERASRRAARLAASGERADEVLRLVAERFPALADVSVDERLTAAGAAGAAPRSRRPRQVRPVLPSCFWSLSFIAKGCKNLTDLILNDCQLLTDSSLEYVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIFCPRIQNSAFLEIGRGCSLLRSLYLVDCSRISDSALCHIAQGCKNLTELSIRRGYEIGDKALISVAENCKSLRELTLQFCERVSDAGLTAIAENCSLHKLNLCGCTLITDSGLTAIARGSPDLVVLDISVLRIISDIALAEIGDGCPKLKEIALSHCPEVTDVGLGHLVRGCLQVESCQMVYCRQITSAGVATIVSGCTRLKKLLVEEWKVSERTRRRAGPVLSFLCTGL >Dexi3A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:3A:16020370:16020845:-1 gene:Dexi3A01G0020130 transcript:Dexi3A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVTLLGKLQHRNLVRLLGCCIEEHERLLVYEFLSNNSLHKIIFDPGRQQELTWGQRHKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDADMNPKISDFGLAKLFSIDSSVGSTNRIAGT >Dexi5A01G0029070.1:cds pep primary_assembly:Fonio_CM05836:5A:32240685:32241111:-1 gene:Dexi5A01G0029070 transcript:Dexi5A01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGVAALLIASLLVAVTLADARPPLTVRHNKLGGYAVADTARPQPPELVCTKIYGVQQRHETCSALAQAGELTLEQFFSFNPNINCLKLFIGQWVCLSADFGA >Dexi7B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:7B:20567889:20568143:-1 gene:Dexi7B01G0014240 transcript:Dexi7B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKMRPATKREYKRMELGEGEEVDEAEWLHRAEAARQRRRRGQRYAFSCALFASLNGILLGYGQSMLRSSHFLLYMLPLLFL >Dexi5A01G0034100.1:cds pep primary_assembly:Fonio_CM05836:5A:36238336:36239355:1 gene:Dexi5A01G0034100 transcript:Dexi5A01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLDEKVSERVLYEILIQAALSGGLVHSHGQVSNGTYDYSRQPFGSVLNMAYGGPVMNAFAQGTVNQPIMYPS >Dexi1B01G0022290.1:cds pep primary_assembly:Fonio_CM05836:1B:28027074:28028667:1 gene:Dexi1B01G0022290 transcript:Dexi1B01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSTPSSPPVPPLTHGASTAAPASSVVLLRGAAARRDAELTSALHAALLKSGALHPTQPLAASNSLLHAYLQCGLLSRALRLLDETPRRDAATYASLISAHCRRGAPMDALRAFLDMLAWGGADDQAEDVAVRPNEFTAAAVLQACGIARDGRLGRMVHGYLVAGGFCGNPFVVGSLVNMYAKVGDAASARRLVLRLPSRDVVSWTAIISGCVLNGMLEEALEVFIMMLEDGVLPNNVTMLSVIQACSLMGASDLFGPVHALVVLLELGDDASVVNSLVMMYAKNGFVEEAVWLFNGLYLKSGNLCSNEDVLAAILYGCTISGSQKNGEGIHAHLIKMGAFPSISVENSLMGMYARFELVDAVHLVFSSMEVKDIVSWNTIISCLAKSDHVDEAMELFSILHAGGGGLAPDYVTVLSIVQACSNAGLLHQGQMLHGCIMKSGFICDVSICNALISMYAKLGRIDFAEMIFERMDIKDLVSWNSMINAYGMHGDVTLV >Dexi2A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:2A:4551781:4557357:-1 gene:Dexi2A01G0004910 transcript:Dexi2A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGESLVANVRQVLGDEYRLLSGVGGEVTELRDDLATMNALLRMQSEADEGAVDHFDQEWMKQLRELAYDAEDSVDLYKLRVKCRHGDGMAALWFKMVHLARTLTQRHRLAGEIRDLRAHAITISERHARYDIDRKALRSSATFAPVVALRCMPELQRGKSPDDSNKFVDIGGQAESFIKQLKKDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQMFQPDRDLENLLKGILEQVVMPKTNDGKGVKEEKTVRIGELGAYLSDKSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVPSFIGFDGKVESCRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIEHRMEVKHVRSLSMFQLQKGHKLLDNLDRFVLLLVLDLEGCMGVTNQHVLHACKLYLLRFLSFKNTGISKVPPQVKKLEHLQVLNLEGTMISDGGLSDNVTTLKKLELLLCDWWILPKGIGKMKALRELDAVKLEDSVEIAQELGELKQLEAINITVGNSVSKEEVRQALATSLSKMYFLRSCSIFSDDDNLEFLHDLRTLPRRLRDIWIVGTVGPSLPAWIGQLTHLVTFDMSLARLNCDQLLGVLFKLPCLKRIDVGYLCCVDRELVARTNYRFPSLVSLEVSACETEEPGKFLFEQGSMPELERFQIDFGYRDNQVSIVGMEHLTNLKEVRLTGNKSSPALEHALQQVKAEKSKREESHQFQIPLSGTARCSTTLFDHQPIRCNLERVAEFDDESTGHERHGDIFDGSDLVAGRASWMTGSAMAAAMESSYLVDHRYCTRQMWQDGPE >Dexi2B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:2B:28041363:28045911:1 gene:Dexi2B01G0017660 transcript:Dexi2B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTLLVLAVLAVAATAAAAEPGFAESNLIRPVTDRAASALESTVLAALGHTRDALRFARYAVRHGKSYESVAEVHRRFRIFSESLELVRSTNRKGLPYRLGINRFADMSWEEFRATRLGAAQNCSATLAGNHRMRAAAAALPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCAFGYNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYQGVNGVCHFKSENVGVKVLDSVNITLGAEDELKDAVGLVRPVSVAFEVINGFRLYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGVATCASYPVVAA >Dexi5B01G0023620.1:cds pep primary_assembly:Fonio_CM05836:5B:25741881:25743585:1 gene:Dexi5B01G0023620 transcript:Dexi5B01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKDGSAARGTVGTDSATIALYKDGSAARGTVGTDSATIALSGHGPAAATRKQQQQQRRAKLRGVVLGCTTSYNGDSFLASDGVLSLGYSNISFASHAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPALSSSSSSTSSSYNTACAGGAASPPPATKVPGGGARQTPLLLDHRMHPFYAVSINGVSVDGELLKIPRRVWDIEKGGGAILDSGTSLTVLVSPAYRAVVGALSKKLAGLPRVAMDPFDYCYNWTSPETGEDVAVAVPELALHLAGSARLQPPAKSYVIDAAPGVKCIGLQEGEWPGVSVIGNILQQEHLWEFDIKNRRLRFKRS >Dexi5B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:5B:463279:463929:-1 gene:Dexi5B01G0000740 transcript:Dexi5B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSFPGGEIMCMEGGRMRRGGNKKQQAEQKASRQPQRGLGVAQLEKIRLHNQMMAAYRSGAGLHQDAVRPQHHHLQVPGASSSFQPYGGLTPNCLEETERGIVAVHYQLPPFASSPPPPSLFAHDVRDSSGHRLGQPPPTSPPQQQQQQHYWISCTSDGPSSRSGHGAGAAEELDLELRL >Dexi1A01G0030870.1:cds pep primary_assembly:Fonio_CM05836:1A:36063250:36069015:-1 gene:Dexi1A01G0030870 transcript:Dexi1A01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSWRTSYGLRSTTAQSPNSKPVTMGTECIMATLNEDPEPSFPPGFGPFVLRSIQSDVKPVDIHSSSMQALQCIEEDANMLEPNSAHCRSGTPASTSGSCRKSLRNRPPIDYSLFDLTSDDESGVESAEKGVRSMRRRQQLPKGVVRGCAECADCQKVVARWKPSGARRPVLEEAPVYYPSEEEFKDTLKYIESIRSTAEPYGICRIVPPPSWKPSCLLKEKNIWECSKFPTRVQKVDKLQNRKSSKKSRRGGMMKKRRKLSDPEDIGNVDHNQTVMQQNQERFGFEPGPEFTLQTFKKYADDFSDQYFNKDTFGESVPSVEDIEGEYWRIVERPTEEIEVIYGADLESGTFGSGFPKFSAEVKSDVEHKYAESGWNLNNLPRLQGSVLSFEDGDISGVLIPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPDLFEEQPDLLHNLDAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKSMCGPDSAICKSLKKRSGNKMLNPGFRSRVKYFSIVDPTQMAYYISQILDGGLHGPLFMVSLENCPGEVFINVSPAKCWSMAIEARDRDRICTEYWRSRPHVVAGDHDRWHMPPSQGPPHIALRGLFQRASRDELQALRSLLTSNSSLDESSRQQAAQILDEEIAKQWHGQA >Dexi8B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:8B:26973726:26981008:1 gene:Dexi8B01G0016050 transcript:Dexi8B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYAATVACRVCYRDQYGTPPPRELSCAPQKKWINADPLIFRLCQWKPKGRSCDVRCRSCGCPNGSETKECQGSEDVAGPYRDFKQHSRGNTQISDDQVVSKKKLAYASQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACEDAAVLGMGFLKLDARARKDTQKIDHSVKERAARLNHFARAFKERAESDLKKAADRHWSDGALEADLRRADLVVKRRAMEDAFMALKFVRDVHDMMVNRLYEQLPKDGASSRTNPTGFITLEKNGKTLELFPGEVSADQIFAIEEAYRSMASAFSEADGIDYTDPEELELLVATLIDLDAMDGKRSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDPNYAVARAASRAIDELKKQWELEEGDSLRFVMNQNVASEATDDESSAADDTS >Dexi4A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:4A:1764103:1765053:1 gene:Dexi4A01G0002550 transcript:Dexi4A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRDGGPAVIHPHHPPSPPTTSTFVHVVPHYYPAAAMVPPPSSYSPAMFSTASAVVVAKAEVRDVWAFNLEEELTNIAAMLPYYPCVCVDTEFPGAVHDDPGTPRYLRGPRESYALVKRNVDDLKLLQVGVALSSGAAGGRCRIAWQFNIAGFDPARDPHAPASIAMLRAHGMDLAALRDHGVRPDDFAAGFYRCGLGCGQLTWAAFAGAYDFAYLAKALTCGAPLPETLEGFHALVKGLFGPKVLDVKHLAKCCGIQGGLEQVAAAIGVERVAGRAHCAGSDSLLTIDVLMVMVDRFFRNCNVLSHAGTIADLA >Dexi3B01G0033740.1:cds pep primary_assembly:Fonio_CM05836:3B:36562278:36563167:-1 gene:Dexi3B01G0033740 transcript:Dexi3B01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSPTPRGVEGHVFSEATKVYGIREFMAWKDLARSGCVKDDAFTVRCDITVFQDWTKVDDDTSGGGAATPATPRVVVPPSNLREHMNDLLWKKKGADVTIHVGEESFDAHGWLLMARSPVFEAELIAAPASKEKLSGGVGRRVEIKGVEPKVFKAMLHFMYTDALPETMEEEEEEEEEETVVAMAQGLLAAANRFKLERLKVMCEEILCRHIDVSSVAVTLAVAEENGCHALKAACLEFIARPGNMKKVMETEGFEKTKDSCLAAMLDVAMKQLAS >Dexi1B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:1B:14459309:14460100:1 gene:Dexi1B01G0012200 transcript:Dexi1B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDGIHHVGSSAPEKQFLMSFTLTNLSWMGVKSSMKLRCRKSSNKAETSKNKDDYVDEDSVEPSLVEEDNDSGDDYTAGSK >Dexi5A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:5A:9618702:9620074:-1 gene:Dexi5A01G0012810 transcript:Dexi5A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPEQLQRTMKVRESVNLTEKEERIFRLLLDVVCHFDLGTQLRVAGGWVRDKLLGEESADIDIAVDNMTGRDFCEKVKEFNELIGEKEKINHVPSNPDKSKHLETAMIFVFDTKG >Dexi3B01G0022480.1:cds pep primary_assembly:Fonio_CM05836:3B:17299946:17301285:1 gene:Dexi3B01G0022480 transcript:Dexi3B01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKAMAEAIDLSGDGGVLKTVVRKAKDDAIAPSDSLPLVDDFKYEDPLNMVHTRMSVYLSNLSAVHYEGTLAENGVGEVAKIICKPEYAYGAAGSPPELPPNSTLIFEVELVACRPRKGSSVGSVSYEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >Dexi2B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:2B:10908468:10909265:-1 gene:Dexi2B01G0010070 transcript:Dexi2B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLPKLAALLTDEYKLHRGLRGQIMFLKAELESMQLALERVSEAPVIEKQVRIWARDVRELSYDIEDSIAEFMVHIDTNPSAKPHGFTGFIHRSLSLLTTANLRHKIATDITGIMALVSELASRRDRYKIDNDCFIGPATTTIDLRLIGIYEDTMKLVGISGPQEELVKLLMDPGTTSRHRVKVISIVGVGGLGKTTLANAVYQQLRGQFQCHAFVSVSLNPDFRKILSSILRQVTGEDYVAIETWDVMEIINKTRLFLVDKR >Dexi4B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:4B:6584702:6592382:1 gene:Dexi4B01G0009180 transcript:Dexi4B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGDGDDVAPPPLLLRDEEPLFRGRFLVYMVTSDGSLVVVVATGTAAAACSRRLLGEGSSEGEWGERVARQWDGQSSSSPLAQRRRPLRRRHAAPAKAPAPSPPHRHPPASLPIRHRGCLLLSSSATPFCLEDYLVAACGLAPEQARKTAQKAFDEAPRCSRKDSFENPYMSRLHSASNPDAVLALLSGVGLSRDSIAAVVSADPLLLCSSAEKIGPRLTDLRDRLGLSTPQIVRFLLVGSYALRKCDVVPRLEFFISLYGSFEELIWTLKKSDRVLLSDLETVLKPNIALFRQEGGLSVRDIAQLCSRWPWLLSYNPERVKELMPRAKELGVHGSSRMFCQAVGVAAHNTKEKVAGRLDFLKSTLGCYESDVATAVSKMPSILGISEDCLRRKIEFLINEVRLEPRYIVQRPLLCTLSLEKRMIPRYCVMKVLMAKGFSSNIKFYSLLALGEKTFKLRYIDHHKDSVPELADVYANARAGIVPTGV >Dexi2A01G0029190.1:cds pep primary_assembly:Fonio_CM05836:2A:40254118:40255070:-1 gene:Dexi2A01G0029190 transcript:Dexi2A01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHRAAGVPVLALLVAAAALALTTTIPSVAAQPWPGCDAQSGNYSAGSAYATDLQQLISDLQTKASTSPALFASSSGDAGADTVYGLMLCRGDISASDCFDCGTRAGQDVEQFCNRTRDTALVYNQCYVRVSPVDFLASPNNTGLVQLRAGVSIPAGVDVGAYDAAVTQLLNATSRYAVDSSSSSSSSSAAARMYFATGQLVGLDPRVPNIWSTAQCAGDLSPEQCRGCLGVLVARWWNGSGFDLNEEGARLTGSRCNLRSELGDKFYTGAPMVKLQMNGEEAVPAPAPSTTVAASTGGGDT >Dexi4A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:4A:24607423:24608570:1 gene:Dexi4A01G0020830 transcript:Dexi4A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTIGSVLPRQRSSDQHLAGGGGHQSLAPDDFRDVYGGPPRTVLLRSFAGEAVDYHSPTSHHHQYMSYGGGAEAFFRRPYAGDGGRAAAAVVPTEQGFFDDIFGARRQMRSRSRSNKSKSSSAVSSDELPSGFCRPVNTTGGRADATLSSFTSRLRPVTIPSRRYDSSPPSSTSTIGEYQSSFTCSTAAYPAARYYYGDGNKAATGGGEGHRRRHERGSSNFCCFTSNPETSSNAASFRQARGGARSPAAETTITDYSGGGDYGYYYSPPSATSSLLFTNPMAARTPRRMEEMVMEVRERAPLLMDDGDDIDSVGAAAVDEAIAWAKERFWSQAR >Dexi7A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:7A:11801652:11802475:-1 gene:Dexi7A01G0002930 transcript:Dexi7A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRAALLLAVLLLAAAAPGATAAPGGGVFQVRRKFPAGGDASGGNISAFRAHDGRRHGRLLAAAELPLGGLGLPTDTGLYFTDIKLGTPPKRFYVQVDTGSDILWVNCISCDQCPRKSGLGMDLTLFDPKASSTGSMVECDQEFCVSTYGGKLPKCSANVPCEYKVVYGDGSSTKGFFITDAVQFDQVTGDGQTKPGNASVTFG >Dexi5B01G0036540.1:cds pep primary_assembly:Fonio_CM05836:5B:36226939:36228762:1 gene:Dexi5B01G0036540 transcript:Dexi5B01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSGGPISGEAAQAFGEGIGLVWGRWTALQMAVENQWGGRDSRAKADQFGESILSWFCGSKGPHYYEDLVDMMYDYLSENFNADFEDNSVEEH >Dexi9B01G0022110.1:cds pep primary_assembly:Fonio_CM05836:9B:16758991:16759294:1 gene:Dexi9B01G0022110 transcript:Dexi9B01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRTYPKTIGEAEGWTKVTVLLLLHKDGWLRHGHVLALVLSELKLSLAGLSAAVASKHARTVGAASSDIIHLEHSCTKGVANGDKKHSMVH >Dexi3B01G0033420.1:cds pep primary_assembly:Fonio_CM05836:3B:36093726:36095452:1 gene:Dexi3B01G0033420 transcript:Dexi3B01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNKTTEPCAAVGERVTILTIDGGGIRGLIPATVLAHLEKKLQDLEDRPEARLADYFDYIAGTSTGGLIAAMLAGPAPGEGRRPLFAAKEIESFYTKHGPRIFPQKWCGLAAGIAAVWGPKYDGEYLRDVVRGTLGKTRVRNTLTNVIIPTFDVRLLQPIIFSTRDAKITPSKNVLLSDVCIGSSAAPTYLPAHYFWTKNANRADREYHLIDGGVAANNPTMVAMTTITEEIILAAEEEKKATNNDVLKAFKEGHGRFLVLSIGTGLRSEEGLTANACSKWGLLGWLWKKGGMKPIIDIFMAASSDLVDFHVAVKFKLFGSEKNYLRIQDSKLCGATAAVDSATPENMRKLVEIGKRMLKQRVSRVNVDTGEYEHVMGDHRRNAEALDEFAMELYKERKARRKTMKDDGPVRRVLARLRATTSSS >Dexi3A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:3A:16895630:16896886:-1 gene:Dexi3A01G0021260 transcript:Dexi3A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSGGSDHRRRRKGSSISGHAVVAQLLESPLPTPRRSFCGRAAADASPLRGGHVPFKWESSPGVPKGASGEGNERVAPPLLLPKPPPGRCGGAARRAYHHHHSNTTTDSTSSGDHGDGDTFSDALDRTSSSDRLAALSARLSAIDGAFGSRSRRSPSFIMDRFLQAANAIATTSADKHLRRSSPPPRRSKSSSKHAMEEVAHIRRRALAGKQPDRLSSPPPRRGVEAAAQLPACGDDEDMEVEQMTPRACGLIFFVPWSVKPVLLGFQRNPARSRTPRRADDIAASVITASSSSPPRRSITLGDALEKERRLGPHWHDEKSGGSGKEEWSNPGWGAAFLGTSKRYCADARKALLSRLTRSGTEGGDSPRIGREQRSGKPIASMLRSTSVRMPPLSPPSESWLSNARRSNAGNNKR >Dexi2A01G0027160.1:cds pep primary_assembly:Fonio_CM05836:2A:38553311:38555004:-1 gene:Dexi2A01G0027160 transcript:Dexi2A01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKSFAQQHYADLSDKPFFTGLVEYIISGPVVAMVWEGKDVVNVIHGSDSVDNGKKEIALWFPEGLAEWRSNLHPWIYEA >Dexi5B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:5B:1547653:1548718:-1 gene:Dexi5B01G0002390 transcript:Dexi5B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASAGFSASGQGTPVRRRQRGARLRLRRRRSPTPTSHDATTQELIPSSSAASFSQQLPPYIPDPEPDDAIVSYAAVAPLPVFRGDPGECPDAHVARFERVCRANGAVTPAAAARIFPASLDGDAALWHDLTASSSSPPWHDVRAAFLDFFRAPGAADLARADLVALRQGSGEGVNRYHLRMQGILRRCADLGVVVDISGAFLKDAFVDGLRGEFQDWVSLQQPETLDEAVALALTWERAGSVRRRRRPEGHDEARCEVRTGMRELWLRGSSSSGRGGAAAMAVVANDGEQAEEGGGRMALARLESAVSTRSTQCQCRKHQCGRKSPSPAAMEVAGGSDVDGVVWDE >Dexi9B01G0023480.1:cds pep primary_assembly:Fonio_CM05836:9B:18769853:18770980:1 gene:Dexi9B01G0023480 transcript:Dexi9B01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSQYGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFISDRLSKMGIKSTFIDLDNIELLEDVLEKDDVTLFYADSPTNPLLKCVDIKLVAELCHRKGTLVCIDSTLASPINQKPLTLGADIVLHSATKYMAGHHDVIAGCVSGSEVLISKIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTALEMACLLEGHPKIERVHYPGLESNPWHQIAKRQMTGYGGVVSFEVKSDLRGTMRFVDALEIPLIATSLGGCESLQPAVMSFWGKSDNEKAINGIKDNLVRFSFGIEKFEDLRDDILQALEKI >DexiUA01G0026660.1:cds pep primary_assembly:Fonio_CM05836:UA:56973709:56974053:-1 gene:DexiUA01G0026660 transcript:DexiUA01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDERDPMGSGCDAAGEHERPVEAVGAGEEALEGDGRWWRIGVVVGIVEGRLCVVVGRRCAVPSEAEVCSVGGGRLRRLGGLGDGSEGLDMGRGPAEEPPRDHGDELSSKAS >Dexi4A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:4A:3671018:3673745:-1 gene:Dexi4A01G0005190 transcript:Dexi4A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFILTGTLRFQSKAAVLPGPSCPKKCGDVDIEFPFGIGAGCALMEDFELKCNKTKNGSSDETFHLNRSITYVDWSLDFSNLPFTFSEKLNMFTVVGINTLAYMIGYTQVLGCVSRSLPYGYNPTGVTAQDGVCGGVGCCQVALSSNMSYYSVNFDEQYNTSSLSITDNKDYCGYAVMMETDKFKFHTTYLNTSAFWDDHAGRVPVVLNWAVGNESCAIASTKIDYACRSNYSVCTDSTSGPGYLCNCREGYKGNPYLPDGCQDIDECVENYPLPCPGRCKNTPGNFSCPDQKPPRSSHFGTTLLVVAYLHSWASPPILHGDVKSSNILLDENYEAKVSDFGASILAPADKSQFMTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKLPFNLEGPESERSLSIRFLSAMKEGKLMDVIDDRIKSDSNAWLLEEVAELARQCLEMVGERRPAMRDVAEKLDRLSKIIQHPWAPAQHHPEEMESLLGESSVTSLEMINTGNFSMEKRIVQGLLESGR >DexiUA01G0010770.1:cds pep primary_assembly:Fonio_CM05836:UA:21355307:21357001:1 gene:DexiUA01G0010770 transcript:DexiUA01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRDFVADQMALRGITFHSEQSPQAVTKSNDGLLSLKTNKETIGGFSHVMFATGRRPNTKNLGLEDVGVQMDKNGAIVVDEYSRTSVESIWAVGDVTNRVNLTPVALMEGGAFVRTAFGNEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAVEEYGDVDVFIANFRPLKATLSGLPDRVLMKIIVCATTNKVVGAHMCGDDAPEIIQGIAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKIRKKSTDQVESKMRL >Dexi9B01G0048860.1:cds pep primary_assembly:Fonio_CM05836:9B:47736704:47740792:1 gene:Dexi9B01G0048860 transcript:Dexi9B01G0048860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSGGGGGTHGGAAGTTTNPFLLHPPTRTRMRSPPPLAARLRRLLLLSPSAHLTVARAMASTVAAQAGERPPPGPSFACSLAHAQPRRLRAAHILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSSGFHTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPAYFRFLALLAFKIFSSEQVDVAVLEVGLGGKFDATNVVCDVLLQYNVQAPIVCGISSLGYDHMEILAGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMISLKQRASELGISLQVADPLEPYHLKGQHLGLHGEHQYINAGLAVALASTWLEKQGHKDSIPLNRTVSLFNHVAFPNEIWHNNFFCCDGIQDPLPDNFIRGLSNASLQGRAQIVPDSQVNSGESKNSSLVFYLDGAHSPESMEICAKWFSNITNDDSKLTSSAEQSQNSKSQKILLFNCMSVRDPMRLLPHLLDVSTQNGVHFDVALFVPNQSQYNKLGSNTSAPAEPEEIDLSWQLSLQAVWEKLLQDKGINRANSSQVLVTGSLHLVGDVLRLIKK >Dexi5A01G0025180.1:cds pep primary_assembly:Fonio_CM05836:5A:29012744:29013507:-1 gene:Dexi5A01G0025180 transcript:Dexi5A01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADRVAAPAAVAEASDDAIQEESAATAPAPDQKPGSGATAASAPEVEVQLFRRGRPVAVFRSPLGGWTQDQLEVGDILEQHGLKSVFAFDPEARKRGVAIRFNPRNGRSLLTYAPGSTIFLDGERKDSLLKPITKMVMGVAAMSVVAAVLLKEAKVPEWVKTSRLGAVNFPPWVLACMVIVFMRLRKRTKDIIKKFGWAS >Dexi3A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:3A:12107816:12108122:1 gene:Dexi3A01G0016190 transcript:Dexi3A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGVQSIEHIPKEKQMVSIPGDQRHISMWTLLFLRSDELAWKARVHRMPWSNKLQS >Dexi9A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:9A:5761357:5770889:-1 gene:Dexi9A01G0009500 transcript:Dexi9A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGAPPPAMDEKARRTRDLLASFYNTDPSAAAAAGAAAPASLARPSPTAAPASPLDSINSTSFDPEIYMNVLVQQSNLEGLLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKFIYDLPSRLNKCIKTEAYADAVKFFTGAKPIFEAYGDTSFQDCKKASEEAMDLVIQHLQAKLYSDSEPIEARAEAVVLLKQLKFPVDNLKSNLLEKLEDCLLNLQNEPTQASIGDISKTFRAYLIIFPDSERRLIELAQALFSNRYETVRENLKKRISSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDALVRTNSRPNEKLDESQLQTAMDTSKIKVSQGCIDLLQELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHQYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEYIFSFIYGNITQLLSIVIPCIHGKFQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFVDDEAAISFLLKEVNNAAHERSHDPIPLEPPILDKLINAKLTKIKEQNQNMQ >Dexi1A01G0025550.1:cds pep primary_assembly:Fonio_CM05836:1A:31723495:31725725:1 gene:Dexi1A01G0025550 transcript:Dexi1A01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAISCSKVDVPAGPEPDESAAAAAKAKNAAAAASPAEHSECGEVTPHCHEDEEDEEEAPKVIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVSNNIVSGLRYTNTVWKTGLKVDRAKEMLGTFSPQLEPYTYVTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPSTS >DexiUA01G0017550.1:cds pep primary_assembly:Fonio_CM05836:UA:36865241:36871098:-1 gene:DexiUA01G0017550 transcript:DexiUA01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAAATSRALVAFFLLSVAISTNLQSVVSPFVVPMRLVLHLPGSTLLEIKKSFRNVGNVLYDWSGEDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGSLKSLSSIDLKSNGLSGQIPDEIGDCSSLRTLDLSFNNLDGDIPFSISNLKHLENLILKNNQLIGAIPSTLSQLPNLKILDLAQNKLTGEIPRLIYWNEVLQYLGLRGNHLEGSLSPDMCQLTGLWYFDVKNNSLTGVIPDTIGNCTSFQVLDLSYNQFTGPIPFNIGFLQVATLSLQGNNFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGSIPPELGNMSTLHYLELNDNQLTGSIPPELGKLTGLFDLNLANNHLEGPIPDNLSSCVNLNSFNAYGNRLNGSIPRSLRKLESMTYLNLSANFLSGPIPIELSRINNLDTFFLGNPGLCGYWLGSSCRSSNHQEKPPISKAAILGIAVGGLVILLMILVAVCRPHRPPVFKDVTVSKPVSSGPPKLVILHMNMALHAFEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVVNELPAATHHRISRCVSPSRRLLAYVAAGRLNSPAGC >Dexi8B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:8B:1476653:1482317:1 gene:Dexi8B01G0002120 transcript:Dexi8B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLEKNLQDNFDLPPKHPSDEALRRWRSAVSFVKNRRRRFRMVADLDSRSQNETKRRSLQENFRNLRVAFFVHQAAATLIDGAKNKEYRVTEDIRNAGFSINPDELASITSKHDVKALRMHGEVDGISKKIRSTFGRGISVSDLETRQGIYGVNRYTEKPARSFWMFVWDALQDMTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLTGESDPVYISQDKPFILAGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVIGNNTLQDLNSVISSTTLGLLLQGIFENTSAEVVKEKDDTQTVLGTPTERAILEFGLKLQGGHNGEDMTCTKVKVEPFNSVKKKMAVLVSLPNGTHRWFSKGASEIIVQMCDRMVDADGNSVPLSEAQRKNILDIIHSFASDALRTLCLAYKEVDDFNENSDSPTDGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVFNEINSREMQKINVFRGMFSNSLFIGIIAVTVAFQVVIIEFLGTFASTVPLNWQLWLVSVGLGSISLIVGAILKCIPVKSDENPSSPNGYLPLSSGPDNI >Dexi5A01G0029200.1:cds pep primary_assembly:Fonio_CM05836:5A:32338161:32339759:1 gene:Dexi5A01G0029200 transcript:Dexi5A01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLLLGLTAGRRLRSRTQQLFWPANLPEATTSRSLAAAATAAQQSGGLPAALLFSSRTISSTRPATQSAGDAPGPSALDHKLVMPEDEFHKLADETIHDLLEKLEEYGDSIQMDGFDIDYGNQVLTLRLGDLGTYVVNKQTPNRQIWLSSPMSGPSRFDWDASTDGWIYKRTGANLVQLLEKEIGELCGTPVELS >Dexi3A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:3A:1264271:1264808:-1 gene:Dexi3A01G0001870 transcript:Dexi3A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQQLSKQQIEEFREALASSTKMATELGTVMGSLGQSPTEEELQEMVNEVDADGSGAIDFEEFLTLLARQMREANGADEDELREAFRVFDQDQNGFISRDELRHVLQNLGERLSDEELAEMLREADADGDGQINYNEFAKVMLAK >Dexi8A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:8A:24164823:24167960:-1 gene:Dexi8A01G0013870 transcript:Dexi8A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIKTVVVVIQENRSFDHMLGWMKSLNPAIDGVTGAETNHAVAGDASSPAVHFGNASQYVDPDPGHSFMAIYEQIYGDPYTWGSGAPATKPGVAVPPMSGFAQQAEKEKAGMSATVMNGFRPDAVPVYRELVKEFAVCDRWFASVPTSTQPNRMFVHSATSHGLVGNDRNMLIAGMPQRTIFDNIHDAGLTFGVYYQFPPAVLLYRNMRQLKYWGNYHPYDLSFKRDCEEGKLPNYVVIEQRYLDFKLFPGNDDHPSHDVGNGQRLVKEVYEALRSSPQWNEILLVITYDEHGGFFDHVPTPIDGVPNPDGIVSAAPINFAFDRLGVRVPAMFISPWIEPGTVIHQPSGPEPTSQYEHSSIPATVKKIFNLKEFLTKRDAWAGTFESVLTRATPRTDCPETLPEPVRLRSAEPEEEKRGISEFQAELVQLGAALKGDHADEAYETDKLVGEMTVAEAADYCHGAFAKFREECQRCHEGGMDGSHVPEIKPPATTAPPPPPSSTSKHCSCFPCFS >Dexi9A01G0043250.1:cds pep primary_assembly:Fonio_CM05836:9A:46730206:46731999:-1 gene:Dexi9A01G0043250 transcript:Dexi9A01G0043250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQENSEPFVRHHSEQLACCNMRGADNGRPNFLPEKCQIAVCQRDEKIGLFDEEEVHGVLANATVQSPLLASCVKEAPTVDHNKRPASSDMTDIVECKRQKQEDQIIPKDNYTSADDVVPQYPSFTSYERGFNSQIYESEESEDEGVDSPVHFSLAHTYVEDDLWPASFHQSVGPCPTRKPVPIGPNHQAELPECRPFGEKTEDDESNKWIRNIVMPMPGTRALSLMLTPVHCKASCDCLDEDSIDCVRKHVREAREKLKISIGADTFRELGFYDMGEEVASRWTEEEEHLFQEVVSSNPASLRRNFWDELPLAFPSKSSKELVSYYFNVFMLRKRAEQNRFDPMNVDSDDDEWQAGGDGEFAVTGRADEYLPTESLTDQDDVACNQVLPQGDLYEDSEDELDTASGDRHGDLQRVGMFSECLPAMSFMEHNHQAVKLDADAQDDSCTSFEAHQVGVEDGTPTDIAEDHYRSDGFGGVAEHGFFGDHCDTKGWEFGFSTGWDKHDFLSTNNVIEEVFGKGSSEDGSDTASGQDLI >Dexi1A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:1A:26762926:26764589:1 gene:Dexi1A01G0019750 transcript:Dexi1A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRRQWNQDRKRAAHGEPGTGKLKQRNAPISMSGKRKRKLERRHNREQKEAAMIKALENNMGDIDMVSAEESSEAAKGKSQMKLSVKKNSRIQIKRLKGKGTVQLKHKIPIPSLLTVPL >Dexi3A01G0034520.1:cds pep primary_assembly:Fonio_CM05836:3A:39654513:39654885:1 gene:Dexi3A01G0034520 transcript:Dexi3A01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVDLRAVKDNKGCHVLHAAAAKGHFGVCKFLVEEMAFDVNSTSDEGVTPMLLAAIDGNVPILRYLLDRGGDPEAPTCRGSMPLHNAVEFGA >Dexi4A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:4A:24686100:24692322:1 gene:Dexi4A01G0020960 transcript:Dexi4A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHNARLIGSHAASASASHAPFSSVKRLLVGATGRDPASSLLRDLPRFPFPISFGADGGALVHADHMGRRIEFSPTHLLSMLLGYLKQLAEADLSGAPVADCVISVPCYFTQAQRRAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGAGGPTRVAFVDVGQCDTQVAVVSFDALGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDRYKIDVVGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGVIRREEFEKLCAGLLERVVEPCKRAVADSGIGLERLHSVELVGSGSRVPAIAKLIDDSQRNANSADHMEVDSSGDDMGDKSRSEMSIQRQDLPIAEYIYGAMSKQELLEAQEQEQQLAYQDKLVERTKERKNALESYVYDTRNKLSERYRSFATDSEREEISVKLQHTEEWLYEEGDDETEAVYCSKLDELKKVKEISCCSFCLDASCYIFFNFCLCLCLYLKLVDPIENRCKDDEMRAEVTRELLKCIVDHRMAAKSLSATERDAVDNECNKAEQWLREGLQLQESLPKNVDPVLWSYEIKRQEQDLDMYVFCRNISRHKGSPARTDGSRGSDHMATSDRD >Dexi5A01G0034990.1:cds pep primary_assembly:Fonio_CM05836:5A:36912107:36915655:-1 gene:Dexi5A01G0034990 transcript:Dexi5A01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRLLLAFLAIGSCIAADNIDLWPMPKTVSHGTQRLYVKKDITMSMVGSTYSDEKSILKDAFQRMVDLITLNHVIDGINPSSLVLTCVNIVVHTPDDELNFGADESYNLTVPTTGDPLYAQIEAQTVFGALHGLQNVLHWHIVDEQSFPIEVPSYPKLWNGSYSYSERYTMSDAIDIVRLNNNHMNVSDAYRDFVLRSQKIAISHGYDIINWEETFNNFGDKLDPNTVVHNCF >Dexi1B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:1B:21646039:21654574:1 gene:Dexi1B01G0015260 transcript:Dexi1B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQESRTPRKRLRRTEGATKSLEDSVKRKMEQFYEGADGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMEQLIKKRLKEFGIFLSSRLKVLRIKKRFQAGPFEVEPIRVTHSIPDCCGLVLRCGDGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLVFVGMSLRTYLEAAFKDGKAPLDPSTLVKVEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLSDLGPKIVMGKDSGLHTSGHAYRDELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIKHTTVIKNGEMLGVSHLRNRRVLSNGDGDKAFGTSTDLCIDERLRIASDGIIFVSIIVSLNKVLRIMSLEPYKPHMSLEPSRLVCKEETLPEAVSTTPDDATTSSNGEAFFSSDSHQPKTLEHFWDSFKSPTAVKIARIVNGGNKQNIGKIGIMSKDSIQSAPAPVKSSKKNKWKPEEIKSLIQMRGEMNDKFQSVKGRMVLWEEISGSLLNQGISRTPAQCKSLWTSLIQKYEESKKDEESMKTWPYFSAMDRILSREGEMATK >Dexi9B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:9B:13073351:13073635:1 gene:Dexi9B01G0018370 transcript:Dexi9B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVALLAALALALVAAGGAAPAQAQQCDATKLAVCAPAIIGGSAPTEPCCSALRAQEGCFCQYARDPAYSGYINSPNSRRALAACSIPVPHC >Dexi6B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:6B:1623563:1627153:1 gene:Dexi6B01G0001920 transcript:Dexi6B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEALVAHIQGLSGSPEERAHLHGLLKQADGDSLRAHAAALVPFLAQLSPAAHSLGYLYLLEACVTSGANLSEFGGGDFLVTVADFLTSCSTDQIRLAPDKFLNVCRVLKDQVMQLNTPIRGIAPLRVAVRKIQASPEQLTPVHADYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFAKALELLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSATAQRNLKNHAQIYVELSTCYGNGRYTELETFIESNAASFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLDTPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVDHIDSSIQRLTALSKKLASIDENMACDPAYLLKTGRDRGGRFDYDDFDNAPHKYF >Dexi2A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:2A:32815924:32816319:-1 gene:Dexi2A01G0020660 transcript:Dexi2A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRSGALLRLFATSCRRSSLHPSRPHLPTPAALPPPPPVAKKVPFTVSAHGRSWSDPYHWMRDTSDPDLAALLAAENTYADAFVGSAGGGGLRARLAAEMRARLPPSAATPPQPWGPWFVSRCLVVL >Dexi4B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:4B:751719:753431:1 gene:Dexi4B01G0001190 transcript:Dexi4B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGSGSAAAAAADGEATRSTGSGHGTAMGRRILNDALRTMVNAERRGKATAQLQPISGVIVSFLNIMKHRGYIKNFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVVTTPNGVLDHEEAIRQNVGGQVLGYFH >Dexi9A01G0034300.1:cds pep primary_assembly:Fonio_CM05836:9A:39073124:39073345:-1 gene:Dexi9A01G0034300 transcript:Dexi9A01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGIATNCGEGREGWRWRWVRARGPRGVLGVGVARCTKCSGDLSDAEFSSVLAFYARGWYGAGASYSYHHL >Dexi9B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:9B:6416369:6418704:1 gene:Dexi9B01G0010310 transcript:Dexi9B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLTCFALPEDALGEILRRLPPRGLAASRCVCKAWRAIVDARRLLLTELLPRSVRGIFLEYNDLNYPAFLSHPSMEPDIIGKLDFYREHEPFSWRSTAYVLGHCNGLLLYQDRRGLHVANPATQRRALLPPPPPPPSGRRWWGSDHLVFDPAESPHYKVLLVPNSPVTEEVGKELRQLVGEEPPVEWPASTLALCVFSSYTGRWEERVFVWEGKAAGMASDEVLQWNWSTKTAALIGGACYNEGPWKLRDANNVEGSVQQNLEDGNNDDESKNALVNSEVEWDSDTDNIVGDDIVQRFQGFVRVLGFHPYKDVIFFNLSLDRAVAYHLDTSVIQDLALCFKTPRFDAGHQDGVVRSPPP >Dexi8B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:8B:21800262:21800850:1 gene:Dexi8B01G0012430 transcript:Dexi8B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKMTGGRVALVAALLCAAAAMAAAQSASNVRATYNFYNPQNINWDLNTASVYCATWDANQPLSWRSEYGWTAFCGPAGPTGQASCGQCLQVTNQATGASIKVRIVDQCSNGGLDMDYATAFSKIDTNGQGVNDGHLTVSYSFVGC >Dexi7B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:7B:23012803:23013335:1 gene:Dexi7B01G0017030 transcript:Dexi7B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAMSSGGGRSSLGYLFEPEETALYHTAAKSNHETEKIADTNSIGVKDDDKTTIGGAEADQEPPQLPPQKREASNPILSCNIPPCNIYRTSKSSCNSGLLITDRPSTRVRCAPGGPSSLGFLFGEEHEK >Dexi2B01G0021020.1:cds pep primary_assembly:Fonio_CM05836:2B:30993127:30994299:1 gene:Dexi2B01G0021020 transcript:Dexi2B01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVMRNLLRFLVVAAISTVASVVLLLQRSPCPCGRGVALVPPAHGEPATVGNGSQPHPTMRAAAASANNKDKDDKLAEVLRRAAMEDKDNTIIMTFTNEAFAAPGSLMDLFLESFRQGIKTEHLIKHLVIVAADANAFARCEQVHPHCYALAMGATNFTGEQQFMAKDYLDMMWRRNRFQARVLALGYSFVFTDVDIVWFRNPLLRIPVAVDFAMSCDMYYGDNPYDLNKRANGGFVYAKASARTVAFYDDWYEARTAHPGKNEQDLFDKLKREMSARHGVAVQFVDTEYVGGFCERHKRRDFNKLCTYHGNCLVGLRTKLERLRRVLDEWKDFKAKAGKPGTNITALTD >Dexi5A01G0023700.1:cds pep primary_assembly:Fonio_CM05836:5A:27647756:27651017:-1 gene:Dexi5A01G0023700 transcript:Dexi5A01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLSSPDASKSLSINSSDLFLSSLASLPSPHAHPILTSASTLLPPVPASVPPTAVIPGSRFLVDAFRHAGDFSVAYFLSHFHSDHYGGLGSSWRRGLIICSAPTARLVSSVLSVPPQLIVALDVGVRVTVDGWGVTAVDANHCPGAVQFLFSSPGPNIERYVHTGDFRYAESMTRDPNLLEFVRADAVFLDTTYCNPKFSFPSQEESVDYVVNAIKCVKEESSAAGERVLCLIAAYVVGKERILLEVARRCGCSIHVDSRKMEILTVLGFGGENGVFTEDAAVTDVHVIGWNVLGETWPYFRPDFVKMKKIMVERGYMKAVGFVPTGWMYETKKEGFAVRVKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPIVGADPGKLDSKEAIAMQKHFSGLVDETANKQEFLMAFHRRSTDATHSCKDVAECSTQHDGEDAAFLPATTSASEQLDTLRDNITEEMKKELSDFLPSWVSKEQIMDLLTNSGGDVVKAASDFFERERDFFEEANGSCSGTPKSEKNRTSDHGSSADVSSQHGCPLFSQKPVEHSTKPVNLTPMRVKPNTPKKEKKRGSGASNKPKKKGRLTSSTESGGRKQSTITNYFIRASDTTSESAKADKVIVEARQNNLESDYQLTDIAKTQDQSVDQLLQIVDGGMSREYAVSLLEKAKGDVTVAVDIFYSSSESNNVIVDRNILLQNMQNETTDKCSNTDLACDSSQAIPKMPNLHVQTSLAQTDSANISLPIEKYLPIEHACWTEGQPAPYLHLARTFDMVEKEKGKIKTTAIFCNMFRSLLALSPDDVLPAVYLCTNKISPDHENMELNIGGSLVVTALEESLGISRSKIHEMYKTYGDLGKYQFVILY >Dexi2B01G0031880.1:cds pep primary_assembly:Fonio_CM05836:2B:39883733:39886430:-1 gene:Dexi2B01G0031880 transcript:Dexi2B01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGAFSKEGALSYDGGGVAFFGNIDKDVMSYNHLVELARSVGFKDGDTLFYVIPSRSLDDGIDLLKDDTSTLEMMKYANQTNCFEVYIQHKEHNIGGHPKVADTVQEVITTQLEDLMESEGHDEHNLAVVDRTESSAEWTNFRDGSGWKADTGHKCGYMTYIEKELAKRFPNSNIKADPHIQSEVKKLKKMLSYVLDIQQHRSGFGWDDERKMVVGDLEIFSGWAKSRNGALNLYMKPFVNYDKLCEIYANDLAKGSNAKGPGDHIDLLEEQSAENTSEPAHQSESVVDSQSHLQCPGSNPSNGNKPSGSRKRKFVEEDAMSWEFSNLSKSLKNLVEVQTSNAAAMIVIQSAYAHELEAQKQTDKRREQLFSVLTKFPQLTRDELVKAALIIGQDATKLNMFFTTPEDFKSAFISEVLRSSN >Dexi5B01G0036590.1:cds pep primary_assembly:Fonio_CM05836:5B:36261121:36261768:-1 gene:Dexi5B01G0036590 transcript:Dexi5B01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPQAQRTDLNPIALQSLQKQDNQGLRSQTSASSHREVAAIWTVISGGEGGVCHLCGSSRATRRPCPSTASPSSAAAAEGRLPCVVSSRFRWIRSPVPRPCPLTAASADDLAEKIAALTLSDRPPSSPPPAQKHQPAPSLHTPIGSPSALLPRSHTGGPGRCRAQQPAIVAAAAPLPLAAFLRASGGVGSLLASLRLGTGASSQLFCFLLHRD >Dexi3A01G0035030.1:cds pep primary_assembly:Fonio_CM05836:3A:40317273:40317695:-1 gene:Dexi3A01G0035030 transcript:Dexi3A01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVPAVQWVADHEDFAFAPLEEDEAIACVADGPMNPSPRLGEEGEGGVGGSLDSAGWKPSRSTSPSRGGAWRDLKRRRKRRAEATRRKDVQAADARARSAASSRWRKISSSSSSGKGSVSAAGASPVIWRAAEVVIASI >Dexi5B01G0030220.1:cds pep primary_assembly:Fonio_CM05836:5B:31184264:31184548:1 gene:Dexi5B01G0030220 transcript:Dexi5B01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELGRALSGRSRRGGKRRRRCDLGEGNTAAALGQSSGGGAGGGMAKGRLMRGGKAAARAEVRCGVEAAATPSLCESGRLGARARGMGGLGL >Dexi3B01G0030720.1:cds pep primary_assembly:Fonio_CM05836:3B:30899526:30901596:1 gene:Dexi3B01G0030720 transcript:Dexi3B01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHGRKSLLGGCDTGNPVDHCWRCDPNWANNRHRLADCAVGFGRDAMGGKNGKTYVVTDPRDDPVNPSPGTLRYGLAQDEPLWITFATDMTITPKHNLAVTSYKTIDGRGAAVVVGEGGGCLELKDVNHVIVVGLTVRNCRPTRQPDGAMSDGDGITVFRSTDVWIDHCTLEKCTDGLVDVTEGSTRVTLTNNLFRNHNKAVLLGHSDVFTQDKVMRVTVAFNRFGPGLVQRMPRCRLGLFHVINNDYVDWKLYAIGGSAAPTILSHGNRFLADKQKEITKRDDTSKSVWSSWTWVSEGDLMLNGAFFRSSGDGGGPDVKTPSFAQSASLMSAMTASVGVLSCKKGSLC >Dexi3B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:3B:1670657:1679419:-1 gene:Dexi3B01G0002450 transcript:Dexi3B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTEETGRARRLAHLGWALCECAADIEAGSMEKAAHRLSQAVGLKAATGDGPLPRLAVPAVDCLARRLIRGMVPAVADALIDPSDHVDRRCAMAARRSFFDLSPFPKAAVAVSNRVILEAMENEKNVHVIDFAGPAAQPCQWIQLLRDFKSRPEGPPHLRLTIVYDDEEFLATVSESLVDEADELDVPLQLHCVAAQIEALDFNDLHGVLGLKSGEARALVCTQQLHRLLAAAADDDGGGVARSFSAAGRHFNKQMANDDDESAYRSPATPLSFVSPPLTTPPPQFQMPPPALASFLAAARTTISPKVAVLVEQEASHNGVSFRKRFAEALHYYGAVYDSLDAAAAAYRRPDAERAEVERAVLAVEIRDVLLHEGARRRERHDRLHQWGFRMEVAGFRRVPLSYVAIREGDDMVRSVMYRPLMQDQGTADHLAQLGPILYACAAHVIEGSFEKTDICLRQIKRLASIVDGPLQRLSLITADSLARRLLCPIQGFAGALIHPSDYFEQPILQTARCNHAELSPYISTGFVTINRAILEAVEDEKVVHIIDLSCSTSHPRQWLNLLHDFHGRKGGPPEVRLTVVHDDKDFLATMRRLLSEEADMLNIPFQFNSVIGRLETLEFRNLRDTLNVKYGEAIAISCSLQMHRLLAVDDNLSYSGIGQLQKMANIARLKQMASSGYSPVSTLSPQCQTPKLLASFLNAIRALKPNIMLVMEQDADHNALLFCDRFVEALNYYAALFDSFHAVAAGNPRRADERTRVERMILGEEIKNILVCEGVHRHERHERLRQWATHMDRSGFEHVPLSFDAIRKARQNLMSFGLDERNGKVESDCLLLCWGSTHLYSISAWRPHQGSTSGSRDHMDVQPQAIKHLRSL >Dexi3B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:3B:5880794:5881815:-1 gene:Dexi3B01G0008350 transcript:Dexi3B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRPSSSSPLLRLPRRLFSLTATPYPLYYDLIVHRPADPKPPKSSSDAGADRQPQSPPDEQPLDRAKRRYLRKRSSRLLPDPDAAAKSSSSSSEFVELRPEVVDFPRLHAREEALYFHDTFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVPADAGPTSDADRSLVFFEDEKKDDDGVDGVSNKKDGGNDKGEVLERKVEDFFRSLKKGPGEAETRAKRPAPAGADPRQVKREVPREEERPQPYLVTRTTELPPRWDGPGGTVVLIDKPKGIHVVKCE >Dexi3A01G0031380.1:cds pep primary_assembly:Fonio_CM05836:3A:35856785:35859051:1 gene:Dexi3A01G0031380 transcript:Dexi3A01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSSAGCKGGGGGGGGKRRSSGGGGGVGEEDQRQRVAVLELLLAAVRRSVVACRVERGGAGAGWPGAEEEEDGAAAAELGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEDEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQETLYAQGGLKAEGIFRINPENDQEEHVRDQLNRGVVPEDIDVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSEGEFLELVTLLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDNAATGEEYTPYSSPASSGRQYDAECCYGSERDMDRSCELSDMHSQISKSGRHADYLVRYNTCFDSEQEMGDRLSEVEEGFLRRLENNIEVDRPEESAKEQSEMNLEVMAMEDVELKAEDEAAEKGLQKEEGLEPMA >Dexi4A01G0022960.1:cds pep primary_assembly:Fonio_CM05836:4A:26187807:26190935:-1 gene:Dexi4A01G0022960 transcript:Dexi4A01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELAARTSQTGKAEETPPPSPAADATPSAAEDAPLLHDGGVRRRPGCGRFAQRSTSFRREVGRAAAETFLLTRLTLILLRYLGVKASRGICDWWSMDHRDPLRGGRDKMLEEITSVIHSEDSDTSNHLDSDTSNHLVVPVARRLVPEFMLKLAGRVSPF >DexiUA01G0016570.1:cds pep primary_assembly:Fonio_CM05836:UA:35211276:35213222:-1 gene:DexiUA01G0016570 transcript:DexiUA01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQKAKSGHPGAPMGMADIAEVLWRDFLNHNPQNPSWADRDRFVLSNGHGSMLIYSLLHLTGYDLPIEELKNFRQLHSKTPGHPEVGYTAGVETTTGPLGQGIANAVGMAIAEKTLAAQFNRPGHDIVDHFTYAFMGDGCMMEGISHEVCSLAGTLKLGKLVAFYDDNGISIDGHVEGWFTDDTAKRFEAYGWHVVRGVDGHDADSIKRAVEEARAVTDKPSLLMCKTIIGFGSPNKAGTHDSHGAPLGDAEIALTREALGWKYPAFEIPSEIYAQWDAKEVGQAKESAWNEKFAAYAKAFPQEAAEFTRRMKGDMPSDFDAKANEFIAKLQANPSKIASRKASQNAIEAFGPLLPEFLGGSADLAPSNLTIWSGSKAINEDTAGNYIHYGVREFGMTAIANGISLHGGFLPYTSTFLMFVEYARNAVRMAALMKQRQVMVYTHDSIGLGEDGPTHQPVEQVASLRVTPNMSTWRPCDQVESAVAWKYGVERQDGPTALILSRQNLAQQERTPEQLANIARGGYVLKDCAGQPELIFIATGSEVELAVAAWEKLSAEGVKARVVSMPSTDAFDKQDAAYRESVLPKAVTARVAVEAGIADYWFKYVGLNGAIVGMTTFGESAPAELLFEEFGFTVENVVAKAKELL >Dexi9A01G0044360.1:cds pep primary_assembly:Fonio_CM05836:9A:48012573:48015435:1 gene:Dexi9A01G0044360 transcript:Dexi9A01G0044360.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHTLIIFNFRDEGPGTSLPRQEEDTEEEFNGPRIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKADHPIVQRKGLAVVDCSWARLNDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHSFLSLNRDLLKAYSQCENGSEIINVQKSWLSSNSSVPKPPVTNEKSRRSTEEGSEGDSDDDLPPLEENLNHLNRNEDGESEEGSEGDSDDDLPPLEENLNRVNLNQDEESEQESESE >Dexi2B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:2B:4776643:4778628:-1 gene:Dexi2B01G0005090 transcript:Dexi2B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGAGGSSPPATSPPPPSGNPLRVILTRGFARQVLLGRWFTVFASLLIMSASGATYIFSTYSNTLKSSLGYDQRTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVSGHTPHPPLWLLCAYIFVGANSQSFANTGALVTCVKNFPESRGVVIGILKGFVGLSGAVYTQLYLAFYGGEDAESLILLIAWLPAAMSVVFVHTIRYMPLPSRRRGGQETSSDPFFCFLYLSIALASFLLVMIVVQKQVTFSRDAYGLAALPLFILLLMPLGVVVKQEYKIFRERQLDAALLAADDTPPSITVVSGADDVQLSNTKKTEQQGNNKTEHHREAAMAPPSTSSSSSSSCLGNFGVCLKTMFRPPARGEDYTILQALVSIDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPSKSINTFVSLISIWNYAGRVTAGYASEIILAKHRVPRPLLLTCVLLLACAGHLLIAFGVPQSLYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYVLNVRVAGRMYDAEAARQRNGGVGVGGKHDRVCLGVECFKRSFLVITAATVAGAVVSLVLVWRTWRFYKGDIYARFREEQAEAERSGGEGNGRDGRLPVGRPGGAAAAEEEKKEEEAAVNGKKG >Dexi1B01G0022200.1:cds pep primary_assembly:Fonio_CM05836:1B:27946400:27946736:1 gene:Dexi1B01G0022200 transcript:Dexi1B01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADADATADAAAVAAARAMAQPPAPFDFDVEFRNVADLDRTGNGH >Dexi2A01G0037580.1:cds pep primary_assembly:Fonio_CM05836:2A:47091101:47091780:-1 gene:Dexi2A01G0037580 transcript:Dexi2A01G0037580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLERDRWTYWLSLVSGSDHLRQVFSTQMGLSDQDIVALSGAHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKYVGWESSLLKLNTTLAGRELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAADEDAFFADYAEAHLKLSELG >Dexi9B01G0032690.1:cds pep primary_assembly:Fonio_CM05836:9B:34858587:34862067:-1 gene:Dexi9B01G0032690 transcript:Dexi9B01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCWRCPPLASTIRRDAFAIQLFCLILVQASLNDESGRLQEFSRSRMMVQHDRIDNEMLVSGSMDHPIYVSPQDGLKKVDKITELPGQPGKAAFDQYAGYVTVDATSGKALFYYFAEAVEEPSTKPLVLWLNGGPGCSSLGGAMLEIGPFFVNRDNKTLSRNKFAWNNVANMLFLESPAGVGFSYSNRTSDYNNTGDRSTAEDAYTFLINWLDRFPEYKGRSFFITGESYGGHYIPQLANTILSNNKIINTTMINLKGVAIGNAYLDDDTNTRATIDYYWTHAMISKETHKAVQENCSFNGNYTEFCRTAIEAANNEKGLIDQSNIYASFCWDASAPQQHHASVTKSDPCASYYMRSYMNRQEVQKAFHANTTGLKQPWSGCSGDIDAVCPVTSTLYSLDILGLQINSPWRAWYSDDGEVGGYVVEYKGLVFSTVRGAGHMVPTYQPQRALTLFSSFLQGKLPPK >Dexi5B01G0036450.1:cds pep primary_assembly:Fonio_CM05836:5B:36161175:36163319:-1 gene:Dexi5B01G0036450 transcript:Dexi5B01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPASAPSCFLNLNWNQSMAAAAGDHLDPALSSMVSSPASNSTAAAATDGLALHGISPQPQYGGTPLSSPPKLNLSMMGQFHHYQPPHVGGAGPSGLPILENLMPMGHLDQFLADPGFAERAARLSGFDGHTGGSGYGGAVPGQFGLPDAGPVGALKELELGNGRDESSVSDPASASAEMALKGPSDGNAKKRKASGKGKGKDGPGSTAAKDLAKDESSGKRCKSADESNGAEDNSSKGKAAQSNSENGGKKQGKDTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDIHQSCGPLQNSHFPLETSGAPLPYLNQTHQGSPLGCSLTNGMDNQSNMHPLDPAFCRQMNSQHPFLNGASDAASQVGTFWQDDLQSVVHMDIGQNQEIPATSSNSYNGSLQTVHMKMEL >Dexi9A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:9A:11962764:11969933:1 gene:Dexi9A01G0016850 transcript:Dexi9A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENPTQATEDPPPFVNGESAATDKKNNAEKYGIEDSREASVTSNNADEVKNGCENGTEGEDANIVEANDTEGHNSGCDNVVSAEDVTMVDTQHEANIEGVDIKMVDTQHEAKIEAADVKMVGTQDVKMGHTKHATPIQAEEDACKDKEVGNGDNQHAKATEGEGIKMVEAKADARNSEAQDRKEDVCQKTNSTSIEDKDMQIVDKEDMYQKQDTEGKNEDCQDAKVANTDEMEIVEAKTYVRNTDAQGDEKEELEDMVEEKKNNANIEDDGLKTVDREVASHKEDEGDNEDSHDANVEEADKIMTVTSKSDAGNTEVEENEKTVKEDACLEEHTNIEDDGVKTVDKEVASHKEGEGNNLDNHEASVAEGDEIMTATAKTNAVNTEVEENEKKEENAKKTEEVTEKVQMEDNVEENKNDANIDAENVMMVDIENACQNKDKERKTDGSQDANAAEGEGIVMVEAKSDAGNAGVKEIGKKEEKEEQNAEKADGANNKADNVKIVDKEDACQKEDMDKERKDVNSHSSKAEEGEEIMVVETKSAVVLTEVKENGKKEADENMTEEKQNDNKEGSICVEKQDEDRMEPTEAHKQDGLTQEEKCGFDKHGMSDREETVKESQEVLKREEKGNVDKQEVDDTEQNVKEKQEELEEEGRVGSSNHEVAKDEQDGTAEKQEEEKPDVNVSAEKKENQEFDNIQNAKESEGEDMKIVEGNADAVIPEAIENTKRVEMEDNVEENRNDANIDAENVIMVDDGNACQNKDKERKTNGSQDANAAEGVGIMMVETKSDAGNAGVKENGKKEEKEEQNAEKADGVNNKADNVKSVDKEDACQKEDIDKERKNENSHSAKAAEGEIMVAETKSAVVHAGVKENGKKEADENTTEEKQNDNKEGEKLDVNVAAEKKEKQDVKLTAEKKDKTQDVKVAAEKKEEVNENVAFEKNAEIVIEIGVSEKYVEMETNGNTTVDKQEGKKDKHIDDASKQEGQKKGAKRSNADMEEAANGRASKFEKDGEGEVEEAVSYRVSRKKPADLKFLHQILFGRFGKAADFKNHILEFSGFVWHESDEKHIAKAKEKLNKCSNDTLFDLCHLLAIPVSRIISSKKEDIVAMLLDFIAEPHAYDDSTVSDDQGSNSRKRKRGGSAAKDSEDTRKRSRKRKYSKLESDEEGVEEGHVAEHMKSDSEENKEDSGEADSEQEDSYDARKLMASEKSMKGKAAKRKAITGNVPKTAPAATLSKCTSRVSSSLKSSKDRQSSADDPNSRKSKPITPKLKENSQKETYQRRSSGMAKGLTTSKGKSAERQKALPSTDELQNTIVEILEKVNFNTATYSDVLKLLDKHYKMDLSSRKDAIKSMIYDELIKLAEADKD >Dexi9B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:9B:1120645:1120899:-1 gene:Dexi9B01G0001950 transcript:Dexi9B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNWELAAPWADAKVQVPTRYIVGDGDLTYHYPGIQDYIHKDGFKADVPMLEDVVVIPGAGHFIQQEKADEVSDHIYDFISKF >Dexi3A01G0028930.1:cds pep primary_assembly:Fonio_CM05836:3A:30090607:30092522:-1 gene:Dexi3A01G0028930 transcript:Dexi3A01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQIEHSHLPIRGLNLHVAQTGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVALDWRGYGLSDQPSEPESASYDDLVEDLLAILDALSIPKEPGRAEADFGRYDVKRVVRTIYILFSRSEIPIAKEDQEILDLADLSTPLPEWFSEDDLSVYASLYEKSGFRYPLEMPYRSLHKTKAIEDPKFQVPVFVVMGEKDYVFKFPGIESVLKDGIMKKFAPDLKVTYIPEGSHFIQEQFPDKVNNILISFLKDHPATK >Dexi9A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:9A:6711033:6711278:1 gene:Dexi9A01G0010920 transcript:Dexi9A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRLGISSPTFLFALRCRGFRGGKTAAAIAGHVMTSLPRRVMERTTAAAMVAHGEGRCAAMRRWPPILSELNPVRKVWM >Dexi6B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:6B:24913755:24915406:1 gene:Dexi6B01G0017870 transcript:Dexi6B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKSTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >Dexi3A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:3A:6374011:6376748:-1 gene:Dexi3A01G0009200 transcript:Dexi3A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVCVKAATGAPDTLGDCPFCQRVLLTLEEKKVPYELKLVDLSNKPEWFLKISPEGKVPVFNSGDGNWIADSDVITQVIEEKFPTPSLVTPPEYASVGSKIFPSFVKFLKSKDASDGSEKALLDELQALDEHLKAHGPYINGENISAADLSLGPKLFHLKVALEHFKGWKIPENLTSVHAYTKALFSRESFVKTKPAKEEYLIAGWAPKVNS >Dexi9B01G0023680.1:cds pep primary_assembly:Fonio_CM05836:9B:19102211:19108288:1 gene:Dexi9B01G0023680 transcript:Dexi9B01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSSANQAAALADAVRAVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDREDDDRPSLSAAVLAAHARNALRAPSLQTLLEDIPVSPAISRTASSTGDSDGKSKSAGDKTSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDYVPPKLVSLFVTDTGGHSPSYMYRLIAEYYSADDLVVRRKSTA >DexiUA01G0007510.1:cds pep primary_assembly:Fonio_CM05836:UA:14132117:14132815:-1 gene:DexiUA01G0007510 transcript:DexiUA01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVRLWAGVALCTNGGAQLAMMAHPLDASRTAQGDTPSNVSLQTFSAESLIYLNPRQFFWEFHDPKERRLFLRDPLNYALLTARLVFHFLRLLRRATRFLPALVPRHRFQPCHLPEEPHVGDQSKPRFSFCRRGRRQRFGSITSRPTPVPDLRL >Dexi3A01G0033210.1:cds pep primary_assembly:Fonio_CM05836:3A:37993439:37994060:1 gene:Dexi3A01G0033210 transcript:Dexi3A01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFLRLQDSRGQIPEDVLRWKLQLRFELRLWQRLWMYPDLAEKNTAPAAMVLGVASEKGRFEEGFEKAAESGEAGHGCSCGSSCTCNPCNC >Dexi5B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:5B:13204730:13212285:-1 gene:Dexi5B01G0015780 transcript:Dexi5B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKDAGVPVVDADVVARNVVQKGTGGWKKIVKAFGDEILLDNGEIDRARLGQIVFSDPSKRQLLNRLLAPHISSGIFWEMAKLWMKGCKVIVLDIPLLFETKMDKWTNPVIVVWVNPETQIERLMSRDGCSEEQAQSRINAQLPLDWKKSEADIVIDNSGSLDDTKQQFQEALRKISEPLTWKERLRSRDGLISVIMCTAVGVLLAQKNLL >Dexi3B01G0025750.1:cds pep primary_assembly:Fonio_CM05836:3B:20622499:20629082:-1 gene:Dexi3B01G0025750 transcript:Dexi3B01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGQGRSSLGAKAAGSQAGGVLILCDNTTERLCDNTPEKAALLCNMLWSQRPYEVVMSFTRSKPGPRAVRRIGRYLSGTTHPTLLPIGGRPREPPSLPYVRLSPHTARTKTPKSIPSFLFHLSSPILDQTSLPQQENALGDSCFKTKGLKHSSFEAGVLALQLGGRFLRLSYVSLGFVPNQGGMMAGGVRVPTALSGSSPITLGPGTNQEEAGLSPIIPKSRDLAPHGSLSSLAEFLDQVDLDRRGSLRFSTRSSQLSKPASKLQGVR >Dexi1B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:1B:5176275:5181152:-1 gene:Dexi1B01G0006280 transcript:Dexi1B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASRLARTARAAALATARRQAPGGGREVLPRALAPLAGDASAFNAAATRRPAWFAPPLERFPVGAGGAGLMAPSRRMFHSTTPAQYSAAASSSQITPEQFTEMAWEGIVGAVDAARSSKQQIVESEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDDFISRQPKVGGDTSGPIIGSSFVSILDNAKKHKKEYGDEFVSVEHILQAFASDKRFGQQLFKDLKIVENDIKEAISAVRGSQRVTDQNPEGKYQALEKYGIDLTESARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRRLISLDMGALLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAVGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTESVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKEAVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDTSEINHIVEIQLNRVKNRLKQQKIHLQYTPEAVELLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDLKEDDTVLVDVSSAAIAKGLAPQKKLVLQRVENRNEELVAND >Dexi2B01G0036620.1:cds pep primary_assembly:Fonio_CM05836:2B:43356208:43359616:-1 gene:Dexi2B01G0036620 transcript:Dexi2B01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSRLQSLLRPLLLLPSRTTSGALQTLALRSPLPPPCLPSSFLLLHPRRLPPPHPHCVPSRLLLRPFASVSPAPVPGRDHLDSKDQGPLPAPLPPPPPEELASDDEAYYHEHLLEVAQENQTRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >Dexi1A01G0024470.1:cds pep primary_assembly:Fonio_CM05836:1A:31016540:31016873:1 gene:Dexi1A01G0024470 transcript:Dexi1A01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRDTGRQLHLGDDLQRRRPRVHHHEPVLAGAFVVDHTKYPSSSFPSPSTSSGTKNGSPAKHPGPKSRRATVQSPVPASSKSSLATPAILGMGSPIPMYPYLLRLPR >Dexi1A01G0024470.2:cds pep primary_assembly:Fonio_CM05836:1A:31016883:31018106:1 gene:Dexi1A01G0024470 transcript:Dexi1A01G0024470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSRFPAESGVRDTAPVRRSMRTFSTHECTSSIRSSACSTDSTLGATSTSSSPPASSHAFTVCMMLKPGTSNHRISDSSAACLGSTPIRGTLPESDPTGAPPATIPMGCITIWMEDGVAFGHGEVVEERRLLHGEDGHVGALVPRRVETEVGDERWDWPVTERVDLAGDGVFLGVGCHAHGEAAVEVAAAVGGHLAGGRVDAHGVGEVGLAQRVEAAAEEGEAGVGEPDALGWVHLPREHPGRHHGAAGEPGEAVEAGEDARHHRRSGVALHEVLVRLHESRAQDWRVGRRRWRRREEEGVEHAVAVEEVVGPAREVLRVGPVADAPPARQRGMAPRTTGHGVGGSSSTGAQLEDSTLRGSTDGRSTGGSTNVLIASSSKLQNAIGSDDDVAAGAGRRRGRA >Dexi8A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:8A:30433236:30438879:1 gene:Dexi8A01G0018100 transcript:Dexi8A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLLHLTGPVEQQIHIQRAQGITASLLQTKEASLPYCMAAGFSVGDDRPLPVGLGIAPENTRVPVKWPLDTSVDDLMSYLPIRIRHCRCLPPLLDAAKVRDINTTEMLLRGYVDAIGNIGLYWFLICTSSTQEPSYAGPDASAGLVSTIFSAQVAWMKSQCDLKQGFKFEIAPVNRRVPVKWPLDTSVHDLLSYLQDKSSFKGWREKFKNDDGIELMIPAKNKDLIPDILRNWIKSKKVDIKSEYDVPAPYAILHTQA >Dexi2B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:2B:27972053:27973753:-1 gene:Dexi2B01G0017580 transcript:Dexi2B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIYNLPPGPKPWPIIGNLNLIAGDELPHRSIHELSKRYGPLMQLRFGSLPVVVGSSAEMARFFLKTKDATFSNRPRFAIAKYASYDASDILWSQYGPYLRHVRKVCATELFSAKRLESFEYIRHEEVHGMLRDLREASSAGGVVRLRDYVRMTTLGVISRMVLGKKYIVQEEEVAMEGASPSKAVATPAEFREMVDEFFLLNGVMNIGDFIPWLDWLDLQGYIRRMKRTSQKLDRFLDHVLDEHNQRRQHEGDSFVARDLVDVMLQLADDPNLEVQLSRDNVKAITQDIVIGGSDTSAMTIEWAISELIKNPKLLAKATKELDRVVGNGRIVTERDLPTLPYIEAILKETLRLHPVAPLLAPHLAREDACVDGYDIPAGTIALINVWSIARDPTLWDAPEEFRPERFIGTKIDVKGQDFELLPFGSGRRMCAGISLGLKLTLLSIANLLHSFTWRLPNGMAMEELNMEEIFLLALPRKNPLEAIIEPRLLRHLNMFA >Dexi6A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:6A:27501896:27506901:-1 gene:Dexi6A01G0019860 transcript:Dexi6A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLDGALGYAKSAIAEEVALQLGVQRDHAFIRDELEMMQSFLMAAHEDGDEHKAKVFMTWVKQVRDVAYDAEDCLHNFSVHLHKPSWWRLPSTLRERRRIAKQMKELRARVGDVSQRNLRYQLVRSAGSKSATGAEHSSITAAAIFGIDDARRAARHDKPKEDLVDLINQEGEDLRVIALWGTSGDLGLTSIINMAYENPDIRKKFTCRAWVRISHPFNANDFIQSIVKQFRSAVGIDILLLESEKTGKELAQEFTGYINDNSYLVVLNDLTTFEDWKGIKAYLPNHKKGSRIIVSSPQVEVASLCAGQESHALELKQLSADQTIYAFYEKVFKEAKDLDKHPELMEEARKILNKCNGLPLAIVTIGGFLANQPKVAVVWRKLNEHISAELEMNPELEAIKTMLVHMPRGIGKLKALHTLGLVNLAWDKAILQDIKRLTQLRKLAVTGINKKNGQEFCSVVANLNCLESLLVQSRGYPGICDCLDGISSPPKNLQSLKLYGDLAKLPRWIEGLHTLVKLTLRSSRILEYDEAMQVLGKLQNLASLRLWAKALQGEDFCLTFHPEAFPSLTVLGLNDIDGLRSVEFEEGAMLQLERVDFSGRHGQVNAGMFSGLAALPSLREFMLDSDKYKEDFVKDVQAQLAQNPNAPVLKR >Dexi5A01G0038650.1:cds pep primary_assembly:Fonio_CM05836:5A:39567976:39568654:-1 gene:Dexi5A01G0038650 transcript:Dexi5A01G0038650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKDESRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRELALGLASQTVLGAATMVSETGKHPGQLKDQVTSPAGTTIAGVQELEKGAFRGTLISAVVAAAKRCRELS >Dexi6B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:6B:19987683:19988119:1 gene:Dexi6B01G0012370 transcript:Dexi6B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHQTGERGKSEARNSGGDRIRTQARAAPTEASGAESWSPEWAEAGEDPPDLSTAGGADASRRGGEAGEGAVVGVSAGLGRTGGGGVEDGGERPTVDVPPVAPPSACRPTPVS >Dexi5B01G0028260.1:cds pep primary_assembly:Fonio_CM05836:5B:29643558:29644396:-1 gene:Dexi5B01G0028260 transcript:Dexi5B01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKRERPLHQGSPRRSPKALRPGAVAEADENASPKPPVPAWAASPPRKKVLGERNDGGGGAGMEAAATPPPQQQPKLAPSPPTLTGRGAGAYDPKTNYTTPRPEFLRYDPERRREILLRVARAAEVEEDDCSSSGSASVASDDDGGSVASNVAAASPVSSPRSSDSEAGIDDSDDEEEEEEEENETPPRRGRWARRLFLLLKN >Dexi2A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:2A:33240686:33242910:1 gene:Dexi2A01G0021080 transcript:Dexi2A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLLSSKAKQYQGGCGLEQSATTPRSVLRSLLFLEGGRGRAGEGGEKSRREKGGLTEERKRWEGRPGGFFVPAIAGGLGGSQAPDPTRQSLICPMNQPALGWNH >Dexi9B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:9B:305935:307452:1 gene:Dexi9B01G0000460 transcript:Dexi9B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSDGNILIERYCPPPLPLSARPPRDLAASCMRSAGIRRFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTTIGDVCLYIVGKDEYDELALAEVIFAVTSAVKDVCAKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >Dexi9A01G0030390.1:cds pep primary_assembly:Fonio_CM05836:9A:35409443:35410750:-1 gene:Dexi9A01G0030390 transcript:Dexi9A01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSEAMIEKMQLRQSYRNIWHTDLTNAVRSMRVLHAAQTRALQRHVKCGESQCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLIACIVGNSELSEIAHVISCMSNLVYWTVCSCMQTQHKVEMDKRDGTLNTMSVPPMQQMSRW >Dexi3B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:3B:8444848:8445197:-1 gene:Dexi3B01G0012050 transcript:Dexi3B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGSISEQYQQNSSSSLFAGAHRSGGMRPAPAPENPTFSLFPPGSSGHNSMETDDVGGSRFYAWERDRFAPYPLMPLVDFTAIAQHIRACICTKETIRAMAWRRQVIS >Dexi6B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:6B:26810783:26811983:1 gene:Dexi6B01G0020320 transcript:Dexi6B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPAVVIGTAVFQPGPHRVDTKHVDQSQVPSVPKPVMVVTPTDAGTYPVAVFLHGCNLVNSWYESLLTHVASHGFIALYGVALNLNDLKDIDATRLVAAWLADKDQGLAHVLADVLGLHGVKPDLSRLALAGHSRGGDTAFATALGLGVATALGLGGRANGTTPPPLSKSFSALIAVDPVAGLSKEMQVEPKVLTFKPRSLDPGMPVLVFGTGKGPKHIGGPPCAPAGVNHVEFYDECATTPRCHVVLKDYGHMDMLDDGVPYVINNCMCMRNLEGTKDAARRAIGGVVVAFLRATLEGDDRDLNTVLDNPGLAPAVLDPVEHDLAATDR >Dexi1B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:1B:25284547:25284813:1 gene:Dexi1B01G0019170 transcript:Dexi1B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVAYRHCLLACAPARWPPETYTGASRRPILGAPPPAPDPYTTAGRLLIPIMASPARSPRCAAAPQLSPLPFGLLFTRDAVAPPLLP >Dexi4A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:4A:21062945:21064159:-1 gene:Dexi4A01G0017380 transcript:Dexi4A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLLLVALVCAAHAAKDAPVAKDGAAAASCDGGTCDITKLGASGNGKTDSTKALETAWASACGGTGKQKILIPKGDYLTGPLNFKGPCKGDVTIQVDGNLLASTDLSQYKSNWIEILRVDNLVITGKGKLDGQGPAVWNKNSCAKKYDCKILPNSLVLDYVNNGEVSGITLLNSKFFHMNVFQCKDMVIKDVTVSAPGDSPNTDGIHMGDSTGVSIINTNIACGDDCISIGPGSTKINITGVTCGPGHGISIGSLGRYKDEKDVTDITVKDCTLKKSSNGLRIKAYEDAKSVLTASKIHYENIKMEDAGNPIIIDMKYCPNKICTASGASKVTVKDVSFKNVTGTSSTPEAVSLLCSDKIPCTGVTMDGVEVEYSGTNNKTMAVCKNAKGSATGCLKELACL >Dexi9B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:9B:8027966:8031601:1 gene:Dexi9B01G0012060 transcript:Dexi9B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPALALGTGTSSLLRLSFRLLQLQCRALLLCSPSTSSYGFGRPGRKPRKQMTFGEQNTEKEAHDMLSYSFDQGINILDTAEMYPVPAKKETQGSTDLYIGRWMQSKPRDKIIVATKVAGYSERLTYLRDNEKEMRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNPTKWRPSVPFENQLKAFQELIDEGKVRYIGVSNETSFGVMEFVHAAKAHGLPKIVSIQNSYSLIVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYLDASASISNRSRLNLFPGYMARYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATNMDQLKENIDAFTSAPRPLPQEVLAGIEDLFKRYKDPAIL >Dexi4A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:4A:24864829:24867855:1 gene:Dexi4A01G0021250 transcript:Dexi4A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTLVHILISFAACTEALRRADFPQGFVFGTASSAYQYEGAVDEGQRGPTIWDTLTRRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGMDAYRFSISWSRIFPNGTGEPNEEGLNYYNSLIDTLLDKGIQPYVTLFHWDLPQALEDRYGGWLNLQIVEGESSTEPYVVAHNILLAHAGAFHTYKQHFKNEQGGVIGIALDSKWYEPLSDVDEDTEAAARAMDFELGWFLDPLMFGRYPPSMQKLAGDRLPKFSSHASKLASGSVDFVGINHYTTLYVRNDRMRIRKLVMNDASTDSATIPTAYRHGKRIGETAASRWLHIVPWGMFNLMKHIKEKYGNPPVIVTENGMDDSNSPFSRLENVLQDDKRIQYHSDYMSNLLDAIRKEGCNVLGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVKWFSQFLAQNTAII >Dexi3B01G0034020.1:cds pep primary_assembly:Fonio_CM05836:3B:36792553:36795440:1 gene:Dexi3B01G0034020 transcript:Dexi3B01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRQQDQGRGDGAEDRYGVLLYYKYAEVPDAAALAAFYEAHCGGLALVGRVRVGPDGVNATLGGRMTALEKHIAEMSSNTLFEGTDFKLASCEDPVDERVARECGFTSLSVRVVKELVTLCSNPTSAPPEISSAGRHLSAAEFHSVLQNVAGTSLDVVASAEKNEVVVVDARNMYETRIGKFNVPNVETLDPEIRQYSDLPSWIDEHTEKLRGKSILMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFEGKNFVFDHRISVGSLKDNILGTCLICDSSYDDYSSRCRCSHCRMLVLACPTCQDSIKEYVCELCQNNGKEPSQISTKQDCEIQIGLCEPSGVEKPSMSNHNVNSKVPWSNGKVYCEPLKRLRILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYQPILGHCSDKPSPLSVTPKPKFAWLIAPNSSCNTEQEWKAADAPFDPLQYQQQTEGFEESYTYLENKISQMGSFDGILGFSQGAAMAALFCRQQQKTCGAPKFRFGVFCSGYPAPVGDFDGEPIKLPSLHCFGNGEGHDRQIANKASVELAGLFQQDCCSVVEHDMGHIIPTRPPYIDQIKSFLSSFL >Dexi5A01G0029190.1:cds pep primary_assembly:Fonio_CM05836:5A:32328719:32329483:-1 gene:Dexi5A01G0029190 transcript:Dexi5A01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNGSNITPEPKDKDITPSVYVATHAMNSESYYGIQVTSDVYDFPLKQDERSRIFIQINHIGDGRESIRNSISVGWHVNPSLYGDSKAHFYVYWTRDGYKST >Dexi5B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:5B:4381205:4382058:1 gene:Dexi5B01G0006550 transcript:Dexi5B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICANNLHGCTSRLTRATHAVVSLPSLIITVLSPDHPPCNVYVHAEQRGHDARSPLAKPADLSPAAGNARRCRRGRRELLTAGMRHLRLPSRRRRKRDVAAASSSLERYAGDGGSCRCVKFVGEKYAGVGRCRRHLKFVGAKLFYLSFKPANISRNFSLLPECSKSRRLPRGPGCHTYGYTRRAHVRT >Dexi2B01G0029790.1:cds pep primary_assembly:Fonio_CM05836:2B:38153131:38156466:1 gene:Dexi2B01G0029790 transcript:Dexi2B01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACWLPMTAARAFLVALVVAAALFLDGAAAAAGGNAGAASPRQRLVRSHLKRLNKAPVATIESPDGDFIDCVHISNQPAFDHPFLKNHTIQMRPAYHPEGLYDESKVASQQQTQIITQMWHQNGKCPENTIPIRRTKKEDVLRASSVRRYGKKRHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGNNYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGGHTTTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKPPKGVGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >Dexi3A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:3A:16519350:16519980:1 gene:Dexi3A01G0020840 transcript:Dexi3A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQFPLDQQEDFSSQHKHFISHFKTYNPYCCQDHSPTYFQTINSKFSVPYNAQFIFCSFSCNKSPKCILWYNEPTRVFLRQYSWDKPCYLIILKFCSFNEPFQLKIIYTYTPACSSFISPSYRSLSLCWAEF >Dexi2B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:2B:27613306:27613617:1 gene:Dexi2B01G0017150 transcript:Dexi2B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi8B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:8B:407775:409215:-1 gene:Dexi8B01G0000600 transcript:Dexi8B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPMATMYRLPRNAICAPCYEGAKAIIGFLNKDEQEDDHGSANSRVSTKLNGSTKGMRDAWEQVKEMRDREDDTNQRAAFLEQGFALAWKEEIHTDIVMKPGTGPPIPAHKAILAARSEVFRHMLSGDSQCKAAAGESFSLPELSHDELYLLLSFLYTGVLHQKVPERHLHALLVAADKYDVPFLRRACEARLAAAVEPRNVLRTLEVADLSSSEVLRERAMDTVLEHAEQVVFSPEYEGFAVRNAGLCVEITRALLAKQMSTTSAKAPCIQDHV >Dexi5A01G0026710.1:cds pep primary_assembly:Fonio_CM05836:5A:30272528:30278708:1 gene:Dexi5A01G0026710 transcript:Dexi5A01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMPTDGGGGGAIVPFRGEPDQGTPSPPAVRPIRHGVAPPIFRVYISWSSGNLLQVACFRPPNSEGRGRSEEVAGSVVEVNFGCGGSGGAEVEEEIDEAEMRRIEYGSVPAFALLQSRKNALADAAAMSRMSSVPDYADWWQYVLEYSKAIGNLLGNPDSPLAFMIEDPKTILKVREKPTSLKAAWELLEIFYVDKQLQSWLPERLVDWLADFDSLLSGTESTVYSKLSNFQKKLINLQIIEDDPDYWNGLSSALSVGWLDIVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRLRPNLPTGKLGQCCKTRPDFVKGFEGMHHLAQKCIQLKPSSGTNGLTGLVIGVLSENPEVVLAECTKNFGPWLVTHAMELLTADNDYADTMLHEERPNLGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLEICRLYELDDVSRNIMKIAGIYHWKHGRKGAGVYWFQQAHDKVRLDRIAQQLFERIGKSVTDDSFKQWEGLLELLGPDIGSAGGLEFLHRYRDFKRSLQQALAGRSGEAARQTADFLIQLMRNPSTPQRFWLPLLHDSVELLNCKPSPLINVAETTLLLNKLQELSLAKLRPDFSNNHLPSHALSSVRLALASNLARAILEDP >Dexi9A01G0025700.1:cds pep primary_assembly:Fonio_CM05836:9A:26386190:26386396:1 gene:Dexi9A01G0025700 transcript:Dexi9A01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGVNDGRYFLRLFHCIDKQGNIILPDAVEYRSARHSSPPTEQRPPCRSSCLVDCSIDEKMSLLSLE >Dexi4A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:4A:24825896:24826853:-1 gene:Dexi4A01G0021180 transcript:Dexi4A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRASARGPTLTTAVDDGQRKAVVDESCLRDLADVWRTKSVGWRRQRSGDPKLYALLVSVHSRFGCLPVAHIQDACDAHAFLMESPRADGRYLCVAGGHQMAQIARLLAARYPPFKPGERLSRDFDASCSSSMVSSKRLLDLGFRFQYGVTDVVADSVAQCVDHGFLEHPET >Dexi1A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:1A:6981356:6982244:-1 gene:Dexi1A01G0008820 transcript:Dexi1A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERDEPTGLAAGARFVVVGGYATAAQGRFVGFGDVFDTATWAWGPVTERVVEDGVRHGAGRRRDGDGECGAWRTVAPVPEEAAAAEAVAIGRDGRVAVVGGEQNVYVLSHGVGTAAPSWTSAAAPRRAAPRRGSLPCNWNAGFGLGVHLAPAMAASGRKRPAGAEKDWRREEEEEKLGKVPKWNLREDEKREDISPEVRELLHQGHIIILRSAATRARNGKWMEELARVAPKAFALKGFCLDLK >Dexi1A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:1A:6737769:6737982:-1 gene:Dexi1A01G0008620 transcript:Dexi1A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHKHDNLKDVSIFGFCSAKSMVELTCHIVENAASLECITLDSILDQEDDDDLGVVQLLPAKQVHAGH >Dexi4A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:4A:11729683:11731988:1 gene:Dexi4A01G0012840 transcript:Dexi4A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLQGDNRDSEHDNTAPSIYTNDGTVDFRGNPVVKATTGGKRTTAILLVNYALMNLAFGGVAVDLVVFLQKVLHQQNAEAANSVSKWTGTVYIFALFGAFLSDSYIGRYITCIVFQVIYLLGLVMLSLSSSFMLVEPSGCGDGVGLKPCRRPSHHGMVMFYVSTYTTAFGMGGYQPSVATFGADQFDDSDTTERSSKLAFFSYFYVALNIGSLFSNTFLAFYEDRGKWVMGFWASTIAVALGLLLFLLGTPYYRHYRPTGNPLTRMAQVFIAAFHKRHLQVPPGEDLHEVEDDDSEARGSRKLLHRDQLRYLDKAAIVTEEDCNNAANGNNPWRLCTVTQVEEVKSVLGTIPIWVCTIIYSLEFTQMGSTFVEQGTAMDTNLFGKFRVPAASMSAFDICSVLLSVLAYGFVFAPMASRFTKNPHGITDLQRMGVGLIIALIGMVAAAVVEINRRHRVVKMDQPSKMSVLWQAPQYALIGASEVFMYIGQLDFFSGQMPDGMKCLGSSLCMASISLGNFMSMLTVSAVTGITRKRSKTRGWITRNLNHGHLERFFLLLVLLSVFDFIVFVTLAIIYKGTEFNKGGREISHL >Dexi8B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:8B:20052886:20055118:-1 gene:Dexi8B01G0011190 transcript:Dexi8B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGDPSTFKCFRTAPEAVDAVAGALRSGEHNFYPTCVGLEPARRSIAQYLSNDLPYELSPDDVYLTNGCAQAIEIMCSVLARPGANILLPRPGYLFYQAHAVFNGMEARYYDLLPEKDWEVDIHGVQGLADEKTIAMVIVNPGNPCGNIAETARNLGIFVIADEVYAHLTFGERKFVPMGVFGAVIPVFTLGSISKRWLVPGRRLGWIVTNDPNGVFQKTKVVDSIKSYLEICSDPTTFVQGAIPNLLDNTKDEFFNKTIKVLRETADICWEKLKGINAITCPSKPEGSM >Dexi2A01G0032030.1:cds pep primary_assembly:Fonio_CM05836:2A:42658409:42660834:1 gene:Dexi2A01G0032030 transcript:Dexi2A01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAEARARQEKVKKFEEFVDRRLKPDLAKAVAQRDKLFEQQKTFLDLKRNIENLERNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKLVCEGIRELMQLPPETEISPRNTW >Dexi6A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:6A:6198233:6204941:1 gene:Dexi6A01G0006430 transcript:Dexi6A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEHLVMIEEQKSIRLDEEQWLATKEGMSMNEAEFSPFSEKVISWAEDEVGVTEEEEDETSVFVDQVLQRTLQGLAEKNYSLGSKTFVFPEVLKADSTVDLYFNRDLSALAKEPNILIKGAFNGWKWSFFTEKLHMSELEGEWWCCKLYIPKQAYRLDFVFFNNDTVYENNENNDFMIQIESTMDEHLFEDFLVEEKQRELEKLAIEEAERRRHAEKQQRMEEKRAEHEADKAQANAEVEMKKNKLQCSFSVKVPQDAYMMDFVFSESKEGGVYDNRNGLDYHIPVFGSTAKESPLHVVQIAVEMAPIAKVGGLADVVTSLSRAVQDLGHNVEVILPKHDCLNLSHSIEVYERMMKVKNLHMHRSFSFGGSEIKVWHGVVEDLRVYFLEPQNGTYYTAMIGQVSNAYSREVSGHGAITPHLGKFYGILNGIDPDIWDPYNDNLIPIHYTSENVVEGKSAAKKALQQKLGLEQNDVPLVGIVTRLTGQKGIHLIKHAIHQTLERNGQVVLLGSAPDPRIQGDFVHLANTLLGIYAGSDFILVPSIFEPCGLTQLVAMRAISAWFDARSWFHSLCKRVMEQDWSWNRPALDYIELYHSASKL >Dexi5A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:5A:6156098:6159589:-1 gene:Dexi5A01G0008240 transcript:Dexi5A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASWAWACGCCVRRRKGGGETGAGAGASGRAEEGDEWSLFIDLPVLEAATDGFSDDNLLGRGGFGPVYKAINPRFAPLHFPSLPVLGSGVLQDGQQIAVKKLSLRSRQGVQEFLNEVRLLLKVQHRNLVSLLGCCASSGQKMLVYPYFPNGSLDHILFKYAMNGYLSTKTDVFSFGILVLEIVSGRKNMVRHEDDEKTDLLNHTWKLFEEGRSLEIVDPSLSDADAEQTLLCIQLGLLCCQAVVSDRPDMHSVQLILSSDSFTLPKPGKPAIHGRTGRWMTTTTASGSASTSATTGASNTKTNSTFGTDTNTNTNTMRGSVLANIDEDESRNSISISFTTEGR >Dexi2B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:2B:1938608:1942527:-1 gene:Dexi2B01G0002340 transcript:Dexi2B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAGKQVAAFNLAEAGYGDRPDLDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLVAFSVITWFCSSLLADCYRSPDPVNGKRNYTYGQAYRLCSLAQYINLVGVTIGYTITTAISMGAISRSNCFHRNGHSADCEASNTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSSIGLGLSIAKIAGGVHAKTTLTGATVGVDVSATEKIWKTFQSLGDIAFAYSYSNVLIEIQDTLRSSPPENVVMKKASFIGVSTTTMFYMLCGVLGYAAFGNDAPGNFLTGFGFYEPFWLIDVGNVCIAVHLIGAYQVFCQPIFAFVESWARDTWPDSGFLAAERVVRVAGGEFPVSPFRMVWRTAYVVVTALVAMIFPFFNDFLGLIGAVSFWPLTVYFPVQMYMAQAKTRRFTPTWTWMNVLSFSCLVVSLLAAAGSVQGLVKDLKGYKPFKIS >Dexi1A01G0025010.1:cds pep primary_assembly:Fonio_CM05836:1A:31367852:31369096:1 gene:Dexi1A01G0025010 transcript:Dexi1A01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHSGYVGFVDGYGSDAFDALGYAHDDAMLGFDAASLFAGAGSHTGGGEGYMADGGSVVWPGAARASSSVLAFDRATAAVVSGEEGDDEERDAWIDAVNQSYAGDDAAARHATTTTTVSVGFDANTGCFTLTERAASSGGTGRSPFGLLFPTSTAAAATSPERASPAPARASQKRTYVGVEPPASVSPKKHCGAGRKAISKAKSAPAIPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPEQGGKAPEISQVREALDAILSAAAQRGQLN >Dexi2B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:2B:23744260:23745387:1 gene:Dexi2B01G0014200 transcript:Dexi2B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQVCCDSATPAAAAVVGPEAEARARARAERRRRAGEAGRWKHAPAAAAAATGTTAEAAAAATRKRRVEAGELLVARKHGAASVTGRRREMEDAVSVREAFVAPADAASDGAAGGRCDFYGVFDGHGCSHVAEACRDRMHELLAEELVAGDGDDVSSSSPREPAAWTSAMERCFARMDAEVTSSGGRAASAANASCRCDAHKCDHVGSTAVVAVVEERRVVVGHCGDSRAVLCRGDGAPPVALSSDHKPDRPDEQERIESAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVSSVPEVTVTDLADGDECLILASDGLWDVVSNEAACEVARACLRRGREKWCAEAAALLTKLALARRSADNVSVVVVDLRRKH >Dexi9B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:9B:395225:395761:-1 gene:Dexi9B01G0000610 transcript:Dexi9B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAPAAEQQQRYSHRTLVFTYGTLKRGFSNHPLVQELVQSGDASFVGAAVTASRLPLVCGPYRVPFLLNLPGEGDRVAGELYAVTPRGLARLDDLEGVSRAHYERLPIAVDLAEGARVDGAFAYYAHRDYAAELWRRSGEKGYPEYSHAVADGYIRRKDRPQGQTFLEQIRIFVST >Dexi8A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:8A:30756864:30758238:1 gene:Dexi8A01G0018470 transcript:Dexi8A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGSKVDISFAGRFTASAIAACFAEICTIPLDTAKVRLQLQKNVAAGDALPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGKDHVGDVPLSKKIAAGFTTGAIAIAIANPTDLVKVRLQAEGKLAPGVPRRYAGAMDAYAKIARQEGVARLWTGLGPNIARNGIINAAELASYDQVKQTILKLPGFKDDVFTHLVAGLGAGFFAVCVGSPVDVVRCPLVPHATVSENST >Dexi9B01G0048730.1:cds pep primary_assembly:Fonio_CM05836:9B:47599730:47601277:1 gene:Dexi9B01G0048730 transcript:Dexi9B01G0048730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAAASCVGAGALGALAYAALALAALRLLLSYKSALYALRRLWRCADEWAQAYQYVDVPRFGCDGGENPLFRKVAAYVAALPSLEDADAASVLSSASRTNGGLSLQLGPGHTARDAFLGARLAWTKASGGESERLVLRVRRHDRTRVLRPYLQHVEAVADEMEQRRRELRLFANTGGAAPRWASAPFTHPATLDAVAMDPDLKSLVRADLESFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRAATDDLRALLLTTTPRSLILVEDLDRYLLQGGGAAGDEARAARVLSFMDGVASCCGEERVMVFTMRGGKDAVDAAVLRPGRLDVHIHFTLCDFEAFKALASNYLGLKDHKLYPQVEEGFHGGARLSPAELGEIMLANRGSPSRALRNVITKLQHVSAKVHRRNTSWSGAGQQWEDQQSSARASADSAEADEVGAPASCGTVFGKDAPIREIKKLYGLIKIRSRREGPGVLVPLEGDAHGPPTPGHQDRER >Dexi1A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:1A:3845143:3845787:1 gene:Dexi1A01G0005270 transcript:Dexi1A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEDYEDEEEEDVAA >Dexi1A01G0031230.1:cds pep primary_assembly:Fonio_CM05836:1A:36289971:36291659:1 gene:Dexi1A01G0031230 transcript:Dexi1A01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCLSTAARAALRLPCPMFSGDATGPQLQVQVGQQCAPVFPRPARAHHRLVVVSVHVVVPVARRRELAVTAMASQEEAAPTAVEEEEDVAEEQLREQDNEVAEQEQEQERDGVVEASSDDGGSSEAPSTTATKLYFGNLPYNCDSSQLAGIVQEYATPEMVEVLYDRTTGRSRGFAFVTMTTVQDCELVIKNLDGTLFGGRTMKVNFADRPKPKQPLYPETEHKLFVGNLSWTVTSEMLTEAFQRCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSLLNGMEMEGREIRVNLALGKN >Dexi8A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:8A:7822822:7823431:1 gene:Dexi8A01G0007130 transcript:Dexi8A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERLLNAATTGDGASMKRLALRDPSMLLATNPQGNTCLHIASMYGHKSFCIDVITLNLSLLAEVNMDVETPLLVAVTSGHVDLASVLLKWCHEWQLSCTILKQDQHGCNVLHHAIRSGHTALALELIVAEPALSRASNCDDESPMFIAARRDFMDVFEKLLNTPGSADCGSWGYNVLHAAVCNDNQGIVKC >Dexi1B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:1B:8618165:8618572:-1 gene:Dexi1B01G0009500 transcript:Dexi1B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRNLSATAAVFLLLVIVSAEMGAVAADTCRHLSGTYHGLCDTSYTPCEDTCIAESKDNTGGACFDSPPRCYCFTNC >Dexi6B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:6B:20990958:20994537:-1 gene:Dexi6B01G0013310 transcript:Dexi6B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLDLSQNSLSGSIPASMGNLKRLSWLSLYINSLSGTIPEELFKNQFLEQVYLHSNQLSGSIPFSVGEMTSLKSLWLHENMLSGALPASIGNCTKLEELYLLNNQLSGSLPETLSEIKGLRIFDASYNSFTGEITFSFENCKLENFSLSFNYIKGEIPSWLGNCSSLIQLGFVNNSLSGKIPSSLGLLSNLTYLILSQNSLSGPIPPDIGNCRLLQWLELDANQLEGTVPKELANLQNLTKLFLFENRLAGEFPENIWSIPTLESVLIYSNSFTGKLPSVLAELKSLQNITLFDNFFTGVIPPKLGVNSRLLQIDFTNNSFVGGIPPNICSGKALRLLDLGLNQLNGSIPSSVLDCPSLERLILQNNDLDGTFPKFELAFSTKSSKESDVYSYGVVLLELLTRKMAVDPSFPDNMDIVSWVSSALKGTDKIEAVCDPDLMEEVYGTVEMEEVSKVLALALRCAAREASQRPSMAAVVKELTDARPPAGAGRSLSKKQGKPGPGSQSQSSSY >Dexi5B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:5B:7218596:7272196:-1 gene:Dexi5B01G0010300 transcript:Dexi5B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVATARDVEEVIEKLHSDRARVRDEGVKLLGTWLQGHRAASFCRLLGRNTARGKPGHGHLTGAATWPFLILALLNCVKEDISGKKRGATKSTAARMLRIAIQCAEDVKLSGFGLMGFSILRLPVIAGHSLLLISVARQLFGHVWEVVKDSPSFQLEYSMILRQLLAVKEYRYQMKPRTYSSFVAFYMKKVAAVFDAKFSNHASSKDESFRCTLTLHVLLENPPGDYPDIMREDVINGFIAIFSHIRQVICILSLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNANTGPGFLWCEAPRDEKAGSSRCFQEELMDLSATVFYLAHKITSNTPNKEKRLKKEHIMDLIIDGLSKGSLFWSGSVCFLIQKYGYRVDKPLLISWFEASCKALRSVMSHANAIRFQDSILWLLRAFKEFLMVLTFNPREEAEFCLTKSETDSALVLLGGMIVRDQVHTSFISEDTWNLQIFKQLPSPSALYFIACYFSRTGFQGDLSNSIFIRKNLLRSTLELVHSKEFSLLNEQNVVLIPEAIFSLCAAFSSSPIISSDTVEQFGDCKIYCKSLEDESWVLKDELLYSVEALSEISLDNSSKVISDKCHRIHLPGTIQQPMLLELMDFIKGFVASNDQFQKLDLSNLVFVCSLLCNLVHCALLSRFATSSCLTFAETPQLHLKLYLPWEDQLCFCFLQNQDILLDLVNKATELSYADWFSRIKLIDCISSFIFLFPDVAQDLIGCLLDMLHDTDYRVLSDSPTAENQPAGAQNVRPRQISGTSAASGSSEVLLRKLVVAAAAEAEVEAAAAETEVEAAATKAPTRGRRRTTSFNDELRTTRSVQNEEQLMEIGKRKIRSTIGAKMVAFSINSPVTAREVLAVGPQPVPVIETALITLAHLSVHSEDVEVECVFMISAAAAIQPSQRELVYALFDSISRRLGYSSRSKYLGHVMGPILFRWVACGMSLVSLVEVQEMFGYRPAEPKNFVEHCSSWLLPFLILRGGDADLNWISKILSQPLSLIIKRYFVQIFGLSIAARCGTAPEKDLAETALCESLLQLGEISEVERDDLIKKHMVSIVAFLLSISSSAHGPQIPYFSKEIIALAVKMVVDGFVDTMDDDLTDTVVIDKINIFRPDRVFKVFKICYIICIVGNYIQRKQLQGQCCNILSKLLASFDGNSSAETVEVLGRQLQVVVPTLLNACLTNEKEERSGTPDSSRILSLLQQLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLSVSYASRDQFLKGILSTAKGLNVLQSLDSLDRSLLMVHSRGVNIQIVEQTILGMEKYSSVSLEDSDMWQTDSRTYKQWLCTLVSSLICHCDDIILRLCRSLVFLKVKAAELLLASTLVNIAGNVDSNAAICRLVSTKVEKIIFSDSNQSLKSIHLFLDALNVIRSFYVAEKARTCPSNPLKVYWLSVDYLVAARAASLPPHVGLLVAAFTRINEPDSIYGITLANEITSQIIRYEHEGDWSGALEYYDLLVRSSPKEHLGNLTGPVLTGPSAFRAEDKMLNWKMHKGLMRSLQKTGCSHVLNVYCEGLTHQKGSLQQDAEFIDIQYEAAWRAGNWDFSFFIPYSSHSSTRTQSYCLFNENLHSCLRSLENGDSEEFHAKLCQAKTDLVLALSNTSKESTKYIHSTILKLQMLDHVTMVWDLRWNLCHNQTSESLLGTKKFSPVSTVPTRMQLGMLDKEWNFIMFQTEDNLDLYEPFLAFRRALLKVLGCEEHLVTHLFQSASALRKLEEAKLLRAQGQHDMAISLGKYILQRHSDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLRPSVDLTEFKKSTDKRYMSRQCRTHFHMAHYTYSLFKSYEERLSSNEWQAALRLRKYKARELETLIKRLKSSSKARSKNRLLGKNPRVAETTCARQRRSREDSVTYRANPWLDDRDNFLNLALQGYQHSLVFGGKYDLQVVFRLVSLWFSLFSRDQVVKAMMKTTKEIALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFVVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEDTNKRIPLPREVRSICQLELVPVVTATIPVDPSCRYEDGSFPHFSGLADSIMIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVVPFTPSAGVVEWVNGTVPLGDYLLGSTRISGAHGRYGTGDWTYLQCREYLMSEKDKRRAFLKICNNFRPVMHHFFLERFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEKTVSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKDTDYTDSCLDDSQEAYEGNKDAARAILRIKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >Dexi5B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:5B:8683605:8685472:1 gene:Dexi5B01G0012260 transcript:Dexi5B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVLLCLVSPLLLASAIHGHPWGGLFPQFYDHSCPKAKEIVQSIVAQAVAKETRMAASLGCDASVLLDNSSSIVSEKGSNPNKNSLRGFGVVDQIKAALEAACPGTVSCADILALAARDSTALMVFLNSNLQVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNVVDVVALSGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSYAAQLRQGCPRSGGDNNLFPLDFVTPAKFDNFYYKNILAGKGLLSSDEVLLTKSAETAALVKAYAADVNLFFQHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNDH >Dexi6B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:6B:20764150:20768017:1 gene:Dexi6B01G0013030 transcript:Dexi6B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTGVFAEILDGEVYKYYADGEWHASSSGKSVAIINPTTRKTQYKVQACTQEEVNKVMEAAKVAQKAWARTPLWKRAELLHKAAAIMKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESIADAVVQKVNAKLAKLKVGPPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >Dexi9B01G0034930.1:cds pep primary_assembly:Fonio_CM05836:9B:36729970:36733732:-1 gene:Dexi9B01G0034930 transcript:Dexi9B01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPAMRRGKPDRGPDKKRRHGPRLPRAMRQALDAMGNGTSRGSDDEEVSDAGAEDLYEYEEGVPEEEARKNGRYDDVAKYEYEFDSDASGADDNVTSEEEEDENDDGEDEEKQIRILEETTGMPKEAFSAKKKKQPLELPSQPGDGPVTIHDLLDNIQGKPGYSKVRKRLQQQEKKTMVVAAPLPKVEREKLERAVTYKEANKELTKWERIVKPNREASTLFFENDVNLGVNTIAAIANKFEPRNRFEKRMNEITQSNEIMEAHRNDGAKILELNKIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKAASADFEADPEAAKDYAMKQELKRAEERMTLKHKNTSKWAKRILKRGLSVQDEGTRAAIAAQLQQNALLTRKMNSMKDDSSSEESSDDDEDENDSEAKILNRGKERILKVLEEDKEIPNSGVFSLPFMERAMKKQQEAAYEEARQAVEEYDDSLRKLEDDSTERNEDSIKVTGKRTFGPAKNLPKDVNKKQKLEESENSDGEYDSDPAQHFGNNEATTKKDVQLGTALLDDEQNGLHKSFDVIMKNPGPKTTFEVGMLASDSWKTVKSSKGNGNSSVSGITNKSKIQASTVVPNPKQRDNNPDSDSDSDEEMVEGFLTISDTKENYELPSQAELIRQAFAGDDVEAEFEKDKMEVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMIKEHDVAKRNREEALKRRKDSKLKHVIISEHVDKKVEKYLARNLPFPFTSKDVYEQSIRMPIGPDFNPAISVSALNRPAVSASH >Dexi5A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:5A:10565997:10567905:-1 gene:Dexi5A01G0013810 transcript:Dexi5A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSIAIFLVSLFSYFKLLALVADCKISLNYDQPQGSDAYRTADPTPRGAQPVKVQPIAVPTIPVEEIREVTKGFGDEALIGEGSFGRVYFGTLKNGRSAAVKKLDSSKQPEQEFLAQVCFDFVNVLFILASLFAFYKVCLHLQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDILHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLNGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPGEHSGS >Dexi3B01G0038560.1:cds pep primary_assembly:Fonio_CM05836:3B:41332429:41337253:1 gene:Dexi3B01G0038560 transcript:Dexi3B01G0038560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLIPQSLHAAAVDRRWLLPLALGSALSLLLLVALTTFPLPFPASSSSPSPSLFVEHKLAPTPPSPAAGLPRIAFLISGSAGDASALRRVLLALYHPRNRYILHLDAEAPDSDRRDLAAGLAAHPVIAAAANVRVVDRANLITYRGPTMVANTLHAAAAFLWGHAGAGGSDWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPFVEYCIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDTIDKEILSRSVDMPTPGGWCAGTRENGSDPCSVIGNNSHLEPGRGAVRLQRLITLLLSEEKFHPRQCNTCFLNCLVADQVIQAAGPSEPEGSSMAQPPEGALIYAMVARGTVAVAEHTSYTGNFRDIAAQCLNRLPAGNNRFTYTCDGHTFNFLVADGYAYCVVATESAGREIPMAFLEMIKEDFNKRYAGGKAATATANSLSRDFGPRLRDQMQYCTDHPEEVSRLSKVKAQVNQVKGVMMENIDKVIDRREQIDGLVTRTEQLHDQAADFRQQGARVRRKMWYQNMKIKLIVLGIVIALILIIILSVCHGICK >Dexi2B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:2B:3540845:3542678:1 gene:Dexi2B01G0003990 transcript:Dexi2B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPALLCCSSLSSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Dexi4A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:4A:5420052:5421832:-1 gene:Dexi4A01G0007300 transcript:Dexi4A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAISLLMFLLTFSYLAADPTLFRTVSRGFYLGRMILAHLCDEPRGLKSGMFMSLVFLCFPVANALIAKINDGAPLVDEPVLLLLYCVYAGELMGLYLYLAVSVVHEIKDALGIYCFRITRKEA >Dexi9B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:9B:12898000:12898698:1 gene:Dexi9B01G0018150 transcript:Dexi9B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARGASLLLLLSLSCWCGAATAARSAPSSSASAAASSDFVSSWCAGTEYPALCGATLSPYAARVGSNPSRLSWAALTVAHDAARDAAASVRAMAAAGHLAPAAAEAAARDCVATLEDAADKLRRSVDAVAARLGGEALKQEDVDGVRTWASAALADAGTCVEGFKGEVAGGASREAVRGHVAGVARLTANALGIVDKAAMVAKPVVVDICRGSCRSVPVPPPSASP >Dexi9A01G0044850.1:cds pep primary_assembly:Fonio_CM05836:9A:48451415:48453693:-1 gene:Dexi9A01G0044850 transcript:Dexi9A01G0044850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVEVAADAAAAMETEAPAVAGQKREREEGGDPAAVGGEAAAAAEEEEAAAAKKPRVEGDAKEEKEGETKQEKEADGKPVKLGPKEFASGVEMFDYFFALLHSWAPQLDFNKYEQMVLEDLLKKGHAEPEKKIGPGIEAFEIRNHPVWQSRCFFVRRVDGSADDFSFRKCVDNILPLPEDMKIGNGKKSGGHHKGGGGGRGGGRGGGGRGGWRGGRGRGRRGG >Dexi9A01G0022550.1:cds pep primary_assembly:Fonio_CM05836:9A:17726218:17727124:-1 gene:Dexi9A01G0022550 transcript:Dexi9A01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAAADKREGKRPMAPEEDPAAAAIADGDTAEQAAGGADSDDLVLVAECGTEVRLSRSAARMSTTILHMMEDGCAEGRVPVKGVHAGTLRMVVAYCERHAPHYDPAASAARLRNPFPPFPIDFPNAANAIRPVTDPGPDPHGLEAWDKKFIGDLPDNSALFAIILAANFLGIEELLDLGCTAVADKMRGKTADEIRTALDIENDYTPEQEAEVRRENAWAFEE >Dexi2A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:2A:2533748:2534866:1 gene:Dexi2A01G0002970 transcript:Dexi2A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLFPNDARNNTALSKAVAGFGLLRYWYDSTNNARVVCKILLHDEAKIPDDVVVSVGLEPRVRTWTCPVYVLKRKGVQIPGDEDVFPPPDGGLAHPFPPPPPRWMGMDGPNDGPVQVSGDDVVSHSAHGPSGDDVMSGASAIGEEEAIAQPEGTENVQLVDPEAALQPEVVGDARSDPLAMEVQPREVFVSSPPLIVPPLFPPGFENVIIKVNNRSFLISSIPKPIIFFDSRQIKYFFCNLDTIVPSYVSDDNTRRFLASIAFDPLAQEEKTPGLIGPLPLLGPLVPYPASDDEEVQEIESLPPSSSTCKRHLRTMREPLDVAFLRRSARLAQDDGFIDNASAEVAVVDNPSVYTAQHAGPPFVGCTLPQH >Dexi4A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:4A:20423590:20425059:1 gene:Dexi4A01G0016700 transcript:Dexi4A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTAGVNNLAITEQHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDESRSRPIQKAKIEIILGKTEKFDELMAAAEEEREAAKAEEQS >Dexi3B01G0030920.1:cds pep primary_assembly:Fonio_CM05836:3B:31175788:31176767:1 gene:Dexi3B01G0030920 transcript:Dexi3B01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKPRLPPGFRFRPTDEELVIHYLRRRALESPLPAAVDIPDIRILAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVAVPVPAAAAAAARGPQAQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLAACRRAAAGDAAAEAAAVSRPAEGWVLCRVFRKKGSASANAAAAAAGVSAGDRSEGEAEEGEEEDGGGGGEAAEEGGRRFIDFFARAAAEAGRGRRAASPVVSSSCLTDEQQQGREQQETTSRGGRA >Dexi7B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:7B:16601601:16603425:-1 gene:Dexi7B01G0008920 transcript:Dexi7B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQQLGSRSKLPMRPTTVLLTTASAAAVLLMAAVLFGSRWTPSSDTETWVSAGVRVVMNAVSSDQGTVPLATVPDPSDRLLGGLLSPDFDDRSCLSRYRAGRYRRTSTHALSPHLVSALRRYESLHRLCAPGTPAYARALERLRASSPNATAAGDNAGDDPSGGAAAPCDYLVWTPDAGLGNRILSITAGFLYGLLTDRVLLLNGSRGDLDDLFCEPFPGSTWILPQGEFPVRGKLGIDTFQSLGNTLARGEPLAGRPWVYVHLVHNYLTQDRRFFCDDVQGELRRVRWLVFKADNYFVPGLFLIPRYERELARLFPRRDAVFHHLGRYLFHPSNTVWGMVTRYHGSYFAMADERVGIQVRMFKWAPISIDDFYGQILKCTHRENILPATVAAASRAAANTSAAAAKHKAVAVVSLHGEYSDRLRDLYHEHGNAAGEAVSVFQPTHLGAQHFGDTQQNQKAFAEMMLLSFSDVVVTTCVSTFGYVSQGLAGLRPWVLTPPDHGKAPDTPCRLAPTIEPCFHRPPHYNCRAKARGDSGRTVQYIRHCEDFPDGVQLLES >Dexi1A01G0022810.1:cds pep primary_assembly:Fonio_CM05836:1A:29414707:29416329:1 gene:Dexi1A01G0022810 transcript:Dexi1A01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFTARHASHLHHSRLVASSSQAAAPRLPLLPRRLPSLAVTVASPPPRRILPVSPRSSSSSSESDLSPTPQSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSAIQGVATVAFANLVVLVTLVLTAAPAVTHGLPFPVLARAAFGVRGAHIPAVLRALVGCGWFGIESWIGGRAIFLLLPSSLKSHPPLLAPVPGLGAAPLEFACFLFFWAAQLGVIMRGMEGIRKLEKFSAPVLIVLTSALLAWAYASAGGFGRILSLPPRLTGVEFWKVFFPALTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAITSSTEVIFGHVVSDPIELLGRIGGPVTTFLAIFGIGLATITTNIAANVVAPANALVSMSPRRFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLVGYSALMGPIGGVILADHYVVRRTALDVDALYSEDSGSPYYFQGGFNVAAMVAMAAGVAPIVPGFLQKVGVLPSVPKAFITAYNNAWFVSFLVAGAVYSLLCARGRVQAKQHCN >Dexi2A01G0025720.1:cds pep primary_assembly:Fonio_CM05836:2A:37395006:37398130:-1 gene:Dexi2A01G0025720 transcript:Dexi2A01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDESAAAAAAAAEVEAALGLSPQLFVDEVLDIIADISAQAFEEAASVLGASTAAQKAEELQRGLNAIHHVVKGALDKRMTIWEKYCFQHCFGIPEGFIVSEDVRTLYLKDNPCSKESHKDGTSESDLDVELDSLRRKLEIAYKESENLQREISSLERQTTYKRKLDSAIAEIQKLFEDKSVQEKFEGMALYLFCPAFPEIVALLFCCCTAHAEDIQEIINILQNK >Dexi9A01G0028200.1:cds pep primary_assembly:Fonio_CM05836:9A:32859243:32861253:1 gene:Dexi9A01G0028200 transcript:Dexi9A01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVRRSKPAPAGLTATKSPASPPIMIEIPSSPDPSVGIGCKGSNNSMSKARKRPVPPPPPFDLDDEIEMWTPGQKRRLDEDCQILSEDPLSATTEVTHSPATAANDEISVVAERGKVACRDYPHPRSDCAKNPFGSTPHERHCDKCFCYVCDVTAPCVSWKGHGGHCHASDKDKRWKSMRLMMKRATQVKPS >Dexi9A01G0025900.1:cds pep primary_assembly:Fonio_CM05836:9A:27379682:27390597:-1 gene:Dexi9A01G0025900 transcript:Dexi9A01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATHALRVRPLLATARPTPLRATAAVCRGPAPAFVVVRCSSAGAPSAAQAFKINLIPTKPVDGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDFVGGTLVLGGDGRYFNKDAAQIIIKIAAGNGVGKILVGRNGMLSTPAVSAVIRKRAANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSIGVVSYGDFTIEVIDPVSDYLDLMENVFDFQLIKNLLSRPDFRFIFDAMHAITGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAQAAIPYFQSGTNGLARSMPTSGALDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKNVGDRLVSVEDVAREHWATYGRNFFSRYDYEACESDGANKMMDHLRDVISNSNPGQKYGDYTLKFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQYQSDISRHGLDAQTALKPLIDLALSVSNLKDFTGRERPTVIT >Dexi7B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:7B:2909841:2916482:1 gene:Dexi7B01G0001720 transcript:Dexi7B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKDAILCCLLLVLVLHADPALAASTPPPEVVAPEEYFAPTPAVADSAAQEGAAASEVTNAEAKGGGEDAKRPNMDGEPDAASDLTWTVSPPPPQVDLSTDRSSLSPSVHRRCAMSAVSPDLGLTFPQEGSASAAVVEVCELGLRVDQYDIPTYPSYHLEACHYTSKGCSILTRKQPPPPSPVAVAGDPLASPAAGDPSWPDPPLGDAQPASSTTGDRPSRTRLPPRLAGALPPRGISSDGRVAALPDSHGPCVGVLWVCPWYFNCDAGRDDTMVYRRCSRSEQDRLESLRLYGGNGNGVGSHVQMHVLVLNNHDAATGPWRWIDVEEFDMFHTLTVEEEYQNVALFYMTGMFFTGML >Dexi6B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:6B:353603:354672:1 gene:Dexi6B01G0000490 transcript:Dexi6B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELPRGLPFAVDTWTPSSALKRHRFLTHAHRDHLAGIATTSAVSASSPVYASRLTVLIALCIFPQVYRVGFVELEVGDPPLRIPDPDGDFTVTAFDANHCPGTVMFLFEGPFGTILHTGDCRFTPDCLSALLPFLARRIDCCFLDCTFARCPLQFPTKEDSIRQVINCIWKHPNATLVYLVCDMLGQEDVLIEVSKAFGSNIYVDREKNSDCHQTLTRVAPEILAPADAASSSRFHVIPFPRLSERATEILALARAKQQPEPLIIRPSAQWYNHYEPPDGSMQ >Dexi9B01G0031300.1:cds pep primary_assembly:Fonio_CM05836:9B:33700192:33702964:-1 gene:Dexi9B01G0031300 transcript:Dexi9B01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTMSTLLLVSISAILFVVALKRRLFPSSPSQPNKETPRLPPGTTGLPLLWDMLRLVSMFMLPNPELFSHPQRHGGALFITHLFGERTVFSADPSFNRLVLNGDGRTVETSYTTPVNTLLGAKSVLVTHGPAHKGLHSLALSRLGYSVLPDLIADIDRLVMATMGQWEPGTTVKLLDGAKKIAMSINIKHLLGIEPGTWSRASARKNVALALEEVIRKRMDEKLMDGGVEGRKENNRGKKDMVDLLLEAEGGSFFMERMVDICVNLLAAGYDTTSLTMTLAAKFLAETPTALAQLREEHDSIRKSKGENQLLEWSDYQSMAFTQCIINETLRVCNVVNGVMRRANTNIYYKDYIIPKGYKIYASFGAVHLNSEHYENARTFHPWRWQSKNNKDQDMRASSLFSPFGGGPRYCPGNELARGVISVFLHHFVTRFRLVLYILLFHWDAAEEDKIVSFPTTGILKGYPINLRLLSGSKNNQLTSLIIC >Dexi6B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:6B:19526252:19531603:-1 gene:Dexi6B01G0011950 transcript:Dexi6B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSSDAMAPALAAAVPLPAHLSPNPRSPPQLADSVMLCSDGRQCRPLTPPPDLPRLQSAQDRATVDERSITHLVRAGLPLRFAARRGVVCRLRRASSHRRVLSCRRAWIAFATSEKHTLRGDETVDLAEHQRVRGLEELHLGDLGSSAAAAAGGGRGGVRLVGADAGDAEDDEGDVVPGVASHHLAGGGRPVQPLHLPAGVLDHHGRRLRRLAIAHLLLLSSSSCSRDLFAAANELRVLDLSRRGRWPGVFIWVRVVGGDGDREGGGAEEMMDLLGAGKMAGRERCNSGCLWRQVDFPFCPFFFPPGHDMDAHHISSRGAQVICGPPTAPSMVDWFPPTQRQPAPSSSSHDSLHKSPPSRLSSAFVAVLALHGFPRSRIGSNPGMCETLLDRCNRDRYLSH >Dexi6B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:6B:21803157:21803611:-1 gene:Dexi6B01G0014170 transcript:Dexi6B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVAATGPGTDAEPVNSTFFASRYVRDPLPRAGRQQFPFQFQYAARRPMVGPARRGFHY >Dexi3B01G0035340.1:cds pep primary_assembly:Fonio_CM05836:3B:38134609:38139215:1 gene:Dexi3B01G0035340 transcript:Dexi3B01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHLCIVATLFSCVYHYRNYMLPTVSFCHLILPLLLLPYVFVNIFHVLQEYKYISSKRLEYFMTSKPLPQHFTVLVRAIPVSSGDSVSDAVEKYFREYHPSTYLSHTVVHQTGKLRLLLNDAENICSKLGNLKYVHRSSGDAPRKFLGLFGRNDLRDKYQKRLEDVEENVRLEQSDAIRRQSLIKKDREEQSKPEMLEFFSNLVSAYRDPALKPIQRASNSDERTTPLLANI >Dexi2A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:2A:13558397:13561311:1 gene:Dexi2A01G0011680 transcript:Dexi2A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEYNDMDMGYEDEPPEPEIEEGAEEELENNNEDAPDDVVGAEAEDKEQEKKARDRKTTKYMTKYELMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDTWKRQVGGD >Dexi2A01G0011680.2:cds pep primary_assembly:Fonio_CM05836:2A:13559837:13561311:1 gene:Dexi2A01G0011680 transcript:Dexi2A01G0011680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLMMWLGQKLKTRNRKRRHAIGKQQIMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDTWKRQVGGD >Dexi5A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:5A:3389566:3389787:1 gene:Dexi5A01G0004490 transcript:Dexi5A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPATQEMSYYRHVQKRHYNRGCLDPSLFLLILFFAIFFTACCCFCCYETCECCLDCLCCCG >Dexi6A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:6A:27958768:27962475:1 gene:Dexi6A01G0020460 transcript:Dexi6A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEPTRGTKRPLAAADDDDGRERKPRFPKGKKAKYRDAGAEGGPSAAAAALDIDSMLNPELAAERRARLRHGQVRERDDDKGGAADVKGFEVHYNDFEICFDAQQDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGDQIKDAWLDSVEVDPTYAAKIQNKGKEKVDEIEDLTSDEIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMGEGTKRIFDDLTEAAMKLMENGDYNVYSDDRETFEREAAGYERLARARLGLPEVEDASADKKDNQAPSSILEMDQPSSILEMNLGASTANISTATAAFNDDDSNLDMFGDDDNDDVKRSSDANTVGSVSNPGTVPQGTLGSSEADNGSVNTDYAYDPSSGYYYSSSTGYYYDPNSGYYGSASTGIWYSYDEQTGTYNEIQNEKTGVAKEEPVDAIKE >Dexi6B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:6B:921532:924165:1 gene:Dexi6B01G0001130 transcript:Dexi6B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKELLSSAPWRTGEATEDEEAARLSREGKVSVTNNPGETATMNVPRSRRQEVDLAVDDFDEEEIDPELRYSFQRNNRFLKRVFSVDTLVKPLPPVMAYSVSRNVNFFFRIFTQFWGKLYEEGIANAQKSLGLGSDDGSRRMR >Dexi6A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:6A:24862990:24865160:1 gene:Dexi6A01G0017020 transcript:Dexi6A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPHRKPRTEGEAATVAAPDLLSQLPIEVLDKILSRLHIYDVVRTSVLSRAWRRRWETLPTVNICRSPPIPADELDVILLRRTAPPRNFRLLACGHWYVDALYDWLLYLSRNGLTSLDLTSCRLPHTPAGFAGFPNLKRLKFDKVTIPDHGGKQLAALIARSPSIERVELTRVELIGDDPEAEDEWAIQAPNLRELTIASRFPYGGRVEDLPRLRKGVLVGCNYAKFLMGMAQITKLEFACGVDWYGSRKRTTVDTSISCCFQFAEVDVLDRLPFLIENLRSLVITVDFTEMFAILAFFCLLRSAPVLEELTVCGWNDGPEVFNADYNFLNAQWVDGMFAKLHVVRMKNILCFPNEMHFVEFILSKAMVLQVLSVRLGPDSLCGIEEAAVTIKEYTKASPDAQVIFLGSESTNA >Dexi2B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:2B:1752225:1757261:1 gene:Dexi2B01G0002200 transcript:Dexi2B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSFGNLGAAGGSSSGGSNSVKPSAASSSFLQLPLSTAAAAAAGASPTGGGVAYYGAPLALLHQSAAAGPSHPPPSYAKLAAEISPAEADAIKAKIVAHPQYSALLAAYLDCQKVGAPPDVLERLTAMAAKLDARPPGRHEPRDPELDQFMEAYCNMLVKYREELTRPIDEAMEFLKRVEAQLDSIAGDGSSAARLSLADGKSEGVGSSEDDMDPSGRENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRH >Dexi7B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:7B:24956060:24962777:1 gene:Dexi7B01G0019470 transcript:Dexi7B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHSDEKENLPNGSSNLQVKEAHNDEDDGTGSDGFELIDVKENFDSAKVEEETAPPRSTTVDTSQQSDEPEEKTTALEEQSVAVNTRHLDSSMLNQQTEKLDELTRRIEELESEKDKLIKDLAETENKQSLHHSSLQEAQGSLAVKENELAEATESLKELSSELEASKKRIQEIEAELDSSADKLRKLEELKDERSLHAAQEAKRASELDKMLELAQSNTKEMEKHISSLQEEIKGHQVKATEQQQIEETLRSTISELKGVQEALELSKSQVADLEQKLSSQDADISKLTEELNLRCSSEESLKEKTLKLENELTTAHEELQAKLLSLQELEAKLDEQAKDREIGEAALEKQNEQIVILQAELEKLKDEKETQQGSLVDLNTKLSEKDSMLHQAEDELAKSQLSLSEALSQKQELELNLNSLTEKHGASEAFAENANHKIIDLEAQIQKMHAAEEALKSQLKEAEASVEAAEKKGSDLEQQLNEIENKLVASSEEIELLKERIQQEVAVSAEKRMQLEEAVASAEGYKEKISELQLSLDSAVSKSQLLEQEVKELTDKCSEHQEQAHSVRQRSLELEDLLHTSKTDAEGAYSRTQELEQELNSTCEKLKGVEKELEQYKSKASQLSDDVEAYQTKAASLEAVVEAASEKEKDLMDSLSQITEEKKKIEELMAEYEAKLQETLKEKQSFEESLQSQESKVLDLQQELVKLREEKEHHENTIAGLNLELTTKNDMYSQLESQLNEAGEDHSKTRSLLTEAQSHKEELELNLRSLNDLHTASKTAADAAMQRVSELETQIQELTASEQGLKLQLTELESKLASAEKKGIDLEHELEAATVECSNCHVKIDEISGELEAYKEKSTNLETSLAEAKQTEAELSEKLAQVDEEKEKFEELAKKTTIKHLEAEKQVQTLQDELESARVKIEEVENELQSLGIRESSVLEKLKSAEEQLEHKGRALEHATSKKIDLEALYQSLLEDTEMKLQQSADNLAQKDTECQQLSEKLKLAEEQAASYQSRATTATEEVESMKVELEAFESEISTHEATIEELKTKVSDAESKAEQVLAEVAMLSGTNQVLKEELDAKLALLHEVQEQLNSTQAEKEEVAAKLAEHEKTVEHLTEVHSRGIELQSAAESRNAEIESQLREALEAAEQKEVEVKHLNEKLVALESEIESLTHVNETLKQEINAKLVMVDELQEKCSSINSEKEEFAEKLAVLEKKIEHLTEEHSRGLELRSVAESRNAEIDSQLREVFEKAAQKEEEVTDLTERLTLLKAENEKLAVSNKALKEELDAKLTMFDDLQERFNSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIEAQLRDALEMIAQKEGEVKELSKKLDALEIELGYYEEQATEAAAAEENHKVKFDEASQKLMILQEQLEEAQSKLEHFLTEKESLAQANSNLNVELDVHQNKLNELQLALASAVAEKEGASEEIHSLRKTLDGMIERKAELEAQVSSTMEDHEELKSIYQNTLEEKQILSEKYENTKAELEEAIAKLEEEMNVDKSEKESHISKLERQITLSEIKYMEEIKTMQVETSEKDEALTAKMQEHANLQHEKDELEQQLLEIRKELDGAYHTIANQEEQASVREIKWDAYRKYSEDQLEAEQQRAAELELQVTALKQQLQEADVHYKQKEEQVSLRAVQWEADKNHSLDELEAQRQYANDLEKQIEALTQKLQSVDAHYKQKVTEERDKLAEVTTELNKLTQKVSKSVELEKKVQDLEQKLQVAYSKSEEQAKDSVELRSREFTLDSSAISSKQNDKLQAADTASVNPTQQEVQEQPSGIMAFKFILGVALLSILFGVFLGKRY >Dexi5A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:5A:9855531:9857553:-1 gene:Dexi5A01G0013160 transcript:Dexi5A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPASMRHHRGLAGKPSAAGRSMVRFRVSATAATPAAPPKASGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTSFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKIIAIGQSDDMPLLKNLKRIPLVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >Dexi9A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:9A:12174734:12179420:1 gene:Dexi9A01G0017160 transcript:Dexi9A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCSGGESTKPIFVGIYGAVLGGFAVSALFFLLSSFSSLSAPPLPLPTTALSTAAAAANLSGPTPPAQPETMYNRPIWKPPPRGSRMPSPRAFRLTRDMVAARARGGVIVVTFGNYAFLDFILTWARHLTDLGVDSLLVGAMDTKLLRELYLRGVPVFDMGSGMAPEDAGWGSPTFHKMGREKVHLINTLLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKRLAKEWKDLVLSDDKLWDQNAFNDLVRKVFGQPVEGQGDLVYSYDGKLKLAVLPASIFCSGHTYFVQAMYKQLHLEPYAVHTTFQYAGGFLSFKPNIPKHLLLDGAHTVESHFALVNYQLKQVRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHIFEVHVMMKDLPKEEFGPHIDFREYSFLENPSLPKEVKESFLEVQLCDDHSSRCSAVDGTDKHRPLRLPKNSTEEKVNCYFFQLLNIFSPYKSVKIIQFSSMVDAFGGFSDATVEAKFRNRVKRYVGIWCCVELREIGHIYYDMYWDEKPGWKPHPPETREQDHPPWS >Dexi5B01G0026570.1:cds pep primary_assembly:Fonio_CM05836:5B:28315818:28318705:1 gene:Dexi5B01G0026570 transcript:Dexi5B01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVLDQTVREIKREVTLKVLKVPEIEQKVLDATSDEPRGPHGSDLADIARATKSYSDCAMIMNVLWQRLGATGANWRHVYKTLSVIEYLLANGTERAVDDIIDNSSQIAKLVNFEYVEPRKDAGLNVRKKAENVLAIVDDREKLQQVREKAAVTRDKYFGLSSTGVTYKSGSGSYSAGSRYGMTRSSKEADTYRGSHRGKEWSNSSKETIPDFTKSRQMSIGNTRSTTNHKSTKGEGHRGRNQNSLTSQLKLSSNIRSTSGDTNSQKVDNEDDFNPRGSSTSDFQSANAQLESATGSHAKISTQEDIDLFVGRSPFAGSVNSDTEFSVRGSPNKSSEQNVASLTHSCATAFDSFQPSFVASFPSDIEFSVRDTPSKTKNPRHQHSSAVDFDPFSAIPAKTLDGFDSFGTFSSNTGSGQTKMESVKGSDNSPLEELNFGAFTSPTASTTKPMSKSPTKQGLASMSEPKPDMKKGAFQVKSSIWADSLSRGLIFEYNCR >Dexi8A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:8A:21007284:21007721:-1 gene:Dexi8A01G0012000 transcript:Dexi8A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRGVDMYVNGHDHCLQHISSSNSPVQFMTSGGGSKAWAGKFKTTSDKVEFIYDGQGFMSMQLSKTEAHLVFYDVAGNVLHTYDSTKTEDEEN >Dexi7B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:7B:14340611:14341703:-1 gene:Dexi7B01G0006590 transcript:Dexi7B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGVEQPMQMVLRVKHPSSLGGGGGGDEEEAGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLGKTCVKKEKEYKEVLEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >Dexi2A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:2A:8273101:8275526:-1 gene:Dexi2A01G0008010 transcript:Dexi2A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRGGALVLFAVVAALLLLLAPAAHADDGACDCGATAAGDGASGGDHACAKTLKVAAFFSILVCGALGCLLPVLGRRVPALRADGDVFFLVKAFAAGLPFAGLGTMVGAIGTLVVDTVATGYFTRLHFKDTVGGGGVGVSAAAVGDEEKQQREAAAHGGGGGGEDHEGHLHMHTHATHGHAHGSTALVAAVGGGGGDGEKEHALRHRVIAQVLELGIVVHSVIIGISLGASEETSTIKPLVVALSFHQMFEGMGLGGCIVQAKFKVRSIVTMVLFFCLTTPVGILVGIGISSVYNENSPTALIVEGILNSVAAGILVYMALVDLLAEDFMNPKVQSRGKLQLGINVSMLIGAGLMSMLAKWA >Dexi9A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:9A:4628919:4630718:-1 gene:Dexi9A01G0007910 transcript:Dexi9A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAYVSILFLSFLFLFSLHCLLGRRHRKINDTKTTQRRLPPSPPAIPVLGHLHLLGKPIHATLARLAERYGPVFSLRLGSRHAVVVSSANLARECFTEHDVTFANRPRFPTLELVSFGGATLPACSYGPYWRNLRRVATVHLLSAHRVSSMLPVISGEVRAMVRRMYRSSAATPGGAARVELKRRLFEVSLSALMETIARRKTSRGVGEADADDTDMSPEAQELMKALDVFIPLLSAANKWDYLPVLRWLDVFGVRRKIMAAVGARDAFLRRLIDAERRRFEDEDHGSDGEKKSMIGVLLSLQKSEPEVYTDTTIMALCTSMFNAGTETTATTSEWAMSLLLNHPDVLRKAQAEIDATVGTSRLLAADDVPRLGYLNCILTETLRLYPVVPTLIPHESAADCEVGGHLVPRGTMLLVNAYAIHRDPAAWPDPAAFRPERFEVEEGGDEGGARLLMPFGMGRRKCPGETLALRTLGLVLGTLIQCFDWATVDGAAGVEMAEGVGLTLPRAVPLEAMCRPRQGMLHVLKEL >Dexi2A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:2A:32773667:32774017:-1 gene:Dexi2A01G0020590 transcript:Dexi2A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYGDRSGARARASGAGGDKGPCACADRPLGWWPGHALPRTPPRPDTNNNSSARSNAGADRARPRGLPVASGKGTDQFWNRCGGDQGRGAPGARSRVCAGTRARANSGGTYCCGI >Dexi9A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:9A:4574108:4576846:-1 gene:Dexi9A01G0007820 transcript:Dexi9A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVRHPRVLAPSAGRPRRRPGTVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLAFEDREYTVQDLAAPGAFDGVDIALFSAGGGVSRKYGPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMASVRLGQGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTKEVLEGKAPTCNIFKQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKSLDEDTAREILRAAPGVTIVDDRSANRFPTPLEVSDKDDVAVGRIRQDLSQDDKRGLDIFVCGDQIRKGAALNAVQIAEMLLK >Dexi9A01G0049970.1:cds pep primary_assembly:Fonio_CM05836:9A:52431560:52432325:1 gene:Dexi9A01G0049970 transcript:Dexi9A01G0049970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAAVVATLLALNLLFFAFADACGCRCGGACPSPGGGGDGGGGSGGGGGGGGGGTGGGGGGTGGGGSGGGGGGTGGGGSGGGSGGGGGGSGGGGNGGGGGGGGNGGGGGGGGGGGRARCPIDALKLGVCANVLNGLINVNLGTPPRTPCCTLIQGLADLEAAVCLCTALRANVLGITLNVPINLSLLVNYCGRRVPTGFQCS >Dexi3B01G0030910.1:cds pep primary_assembly:Fonio_CM05836:3B:31146958:31149086:1 gene:Dexi3B01G0030910 transcript:Dexi3B01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDGKHDAGAAAAAAPPAGAPQPAAPSAGPAGQPQPQPPEAARWGTRQMGPPAAPGAHPENQEAARWTAARGDQELPPYVIMGDPAAAPQQQQQQQQRHKGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFSSGAEEQVKKTFACYLSTATGPVAGTLYLTNINVAFCSDRPLTFTAPSGQTAWSYYKVMIPLAKIAAVEPVTMKQSPPEKYVHMVTVDSHDFWFMGFVSYDKAVHHLTEAVSRRPQDQQGVAGAATATAGTN >Dexi6B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:6B:4545166:4545534:1 gene:Dexi6B01G0005270 transcript:Dexi6B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFIDTGVLYCQLYPKKYKREEELAKICRERGYSYMDLIEIFPDKLENYEDLKNFFTEHMHADEDVCYCLEGSRYFDVHDNDHKWIVFG >Dexi6A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:6A:21498062:21500074:1 gene:Dexi6A01G0014110 transcript:Dexi6A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSSTAKRYLECMLFDESLEAKALPLSLLKYITNDFSDNLEIGRGGSAVVYKVCCYCKGLLENGEVAVKKLFQTSDIDDIKFHGEVNCLIKAKHKNIVRFLGYCSDTQGQIEKHNGKSVMAEVRQRLLCFEYHLNGSLYEYIKGMIMGYLAEEFIYDGKLSFKSDIYSLGVIIIEILTAKKESPDVKDVLERWWHKVEKSQKEQQLEQIRLCTKLGQRCLAKDPAKRPSIHSVINVLEQTETKKLRIETGASSSMVLQASSVVEEKASIGKFIMEAHWRDPKTVATTFKMVTQAICECYAQGRTNVSLEDLRR >Dexi9B01G0041540.1:cds pep primary_assembly:Fonio_CM05836:9B:42009684:42015254:-1 gene:Dexi9B01G0041540 transcript:Dexi9B01G0041540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYVQNTPQARRNLKSSIEQRALAINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALSSCSASTGDIISTTERLQQELEAITQRQEIVSCFLQDYQLSNEEINALREEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGEMLGWLHQALASERELIVVLIDPDAMTDSGPTSRRQSGRDTDSSRGEPDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTIAELLGEDTALCNTIWSLRDAAQQTFFNILKSRGDKLLRYPPLVAVDLSPPPAVREGISLLLELIDTYNSMMVSASGKRPNFDPVISALLDPIIQMCEQAAEAQKSNGALARRGRTSSDPSGINRDSISVDAILSKNLSTSVLSAESSSKVYLINCLSAIQEPLMGQEVATSYVNNLRSMIEVHIRALVDKEVDSILRKCGLSSKMAYIKDYGNSDDDKPLADVVETSPQMLLECLKTFYGIVTGTEGSLPEFEQLQVPRLRSDACYGLARTLAETYELIYKAVTDPKNNYPDPRSLVKHSPEQIRTILEI >Dexi7A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:7A:26286822:26288048:1 gene:Dexi7A01G0016430 transcript:Dexi7A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHPSPATSRLTTGHRVGSATVFHRRRETVPRSRLLSRARKPASGGSPAAGAEDTGTSSSRSENAVLKAAWYGSELLGIAASLFRPPPSSTVVGSDGEAEGGGGAAGSSLDRTGVVEAIKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFRGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDISIGHWRFSCVMSFPWRPILSATGYTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWAWEKR >Dexi8A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:8A:10008587:10016033:-1 gene:Dexi8A01G0008460 transcript:Dexi8A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVWLVERRGVTVEQRYRTERPWAVVAQRLMVSSKAAGMGFTRQIWEAVSSDSSGPDGVQSMNPYGPDGIQSIGDNQHTMEMSTEVTMKSPGPDEVQSIGQERLANEQFSSDVTMISPGPDKMLPIGKKRLAEEVSSYDTMISLGPDEVQSIGEKRIAIELSSDVTMVSPGSGGKHFGSDGVVNSAETQCALEVVSSDASMLNNSPSWSNKDRGKHDDIGIESEDAENLRNLLTQIEMEENAEGGLESVDMKVSRHNKPKKVHVKVICAQDYACDEQDKQIIKSIGGLGDDRTVVRVGDAFVTMHNFKCLLERSEYLNGDGDMEKFRLKLAAILLESDNNTAIESEDTESNADETIDPNDCVILETPQSFQCTYTSFRDTAEAICDYILSIADGDALGEPKCHFLDLKFFWDCNYRRHPLHRVKPEPKKLATYFDVWPNSGVTFSECQLLFDGVLSFRTHEQLGWSTIPSFASCG >Dexi4A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:4A:8094443:8096242:-1 gene:Dexi4A01G0010170 transcript:Dexi4A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRRLVAPAAFNRARSLRRLSSSSPSSPSAQNAVILLSSLLRDPTPSSATLSLLRAKPGLASKLYNLIAASGKSRVPLTPASLAVLHLLAACYRIPPSSASLLCQLLKRFRCPADAASFLRDSLAAGAPAPGIYAFNFLLTALGRAGNLRGMTELFTTMRDVSVRPDVITYAILFNALCKAGRVEEALKVLGRMSRPDSEVRPSIFILNIIVDGLCKTGRLQDAIAFVDERMRRDYRCAPDKFTFNSLVDAFCRVGDVGMACEVVERMEKEGVAPDVITMNTIVGGLCRVGRVGAALEFFRDKGTAWSEARGNAVTYSTLISAFLHCNNVDMAMELFHEFADQGHLPDALIYFTMISGLTDAGRLKDACSMATSMKKAGFKLDVKAYNILICGFCRMKRLSKARKLLGEMKGAGLQPDVYTYNTLLSCLCKSGDFSAVDECLANMIDDGCQPSVVTFGTLIHGYCKAGKTDEAFRIFKSMGESGILPVTETYNTLIGFLGKSGDIDQAIKLFDEMRVKNVPANVITYTTLLKGLQDKNMPGKAFELMDQMIEERCTPDYMTVGVLMEWLSEIGETERLNRFMQHWVQKDNHVRALRA >Dexi2B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:2B:20256692:20257188:-1 gene:Dexi2B01G0012990 transcript:Dexi2B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALTPNEEIAAVLSFFIFLIWNVFAGFIVPRKMMPIWWRWMYWADPAAWTMYGLMFSQLGDHMELIRVPGLPDQPVSEFLKEYLGLQDDYIALVTTLHIALSILLGVVFFLGIKYLKFQRR >Dexi1A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:1A:7077188:7077592:1 gene:Dexi1A01G0009000 transcript:Dexi1A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLLLHLHAIASAATSGGNHSNAAAAVAAVAAFLAVCALALALCASHSVPGASSRLRRALASVSRRRTEPVIAAVHQVQPGGGDASPCVWQKGILMGGKCQLPDFSGVINYDPAGNLVAPARPGRAVAALGW >Dexi9B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:9B:6003082:6003379:-1 gene:Dexi9B01G0009730 transcript:Dexi9B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAMPLGCCASSPAFSSRGRRSPARRSVTGYVPVDDETGAELFYYFLWHSSGPDCSAFSALAFHNPRFVERRYDGTYIAAAVP >Dexi3B01G0024250.1:cds pep primary_assembly:Fonio_CM05836:3B:18920010:18924527:1 gene:Dexi3B01G0024250 transcript:Dexi3B01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENPHHNSGSRSDHPVPVAMDDDAARRVLLSASADAAMDDLWGQQPNKIPDPFVWPHADALASSERELDAPVVDVGAAMRDAGDGEGMRRAAELVAAACASHGMFQVTGHGLDPALARAALDGAAGFFRLPLATKQRARRSPGNLTGFAAAHIDRFTSNLPWKETLSFAHRDHHHRHVVVDYFTSVLGTDFKPLGAVYQEYCDAMKRVALAIMEVIGSWYRDFFSDGCSIMRCNYYPACPEPERTLGTGPHCDPSALTLLLQDGDVDGLQVLAAGEWRPVRPRPGALVVNIGDTFMALSNGRYRSCLHRAVVHRERERRSLAFFLCPREDRVVRPPTRLLAAAGQHHEDDDEEDKQQQQQQQPRRYPDFTWAELAHFTQRHYRADARTVEAFACWLGAGAPTCAAATSAAACDESQADEAQGTF >Dexi4A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:4A:5308798:5309112:1 gene:Dexi4A01G0007190 transcript:Dexi4A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPEITMFVLCVAFTGLLVLEPVLDILHELSKHHPVTAAAATAATLIVVPTTYLMGVTLVYLQVTMVRPAAFRWFASLACAMASCALLLLVAMPLVHCLFSM >Dexi4A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:4A:22737266:22737806:-1 gene:Dexi4A01G0018820 transcript:Dexi4A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNWPSSPTISPQTTETVRYVALVHWPARFHRSPTLQSACLLIEQLPSAAQHSSRVHPSTPNNQVDSWVSIAIAPDSPRLFPALGNKNPVPPPKNRRKKSKP >Dexi1A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:1A:14719643:14722310:1 gene:Dexi1A01G0012890 transcript:Dexi1A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNYEKRKSSEPDGNLSHLSQQTAEKEHNDIESLYREGEPSEHGIEQPTKSGVRSSMELRCRKRSNKSETSKNKDDYVDEDCVEPSLGEEDNDSGDDYTVGTKRNVRKKSKAKENPSGPSSNTSFQLNDMDDLD >Dexi4B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:4B:5185773:5187486:-1 gene:Dexi4B01G0007310 transcript:Dexi4B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTEEKKTAAEIVAALDLQRHPDGGFYLETFRDPSISLPTSALPSHYKVERAVSSAIYFLLPAGEIARLHRIPCAETWHYYLGEPLTVFEVHDDGQIKITVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSIFVKTPGRDPKVHYSFVGVTCAPAFQFEDNELATREDMKSLAPKAEAFINYLVPS >Dexi3A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:3A:6941263:6943590:1 gene:Dexi3A01G0009870 transcript:Dexi3A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACFKSEKKMPSRRTESREITIVKAASNQNEAPPRESGSIKPSPFSSKHKPSSEAATSTVPPKGSSAASKNAKAFTFRELATATKNFRSDCLLGEGGFGRVYKGKLENGQLVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVSLVGYCADGDQRLLVYEYMALGSLADHLLDMAPEQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDEEYNPKLSDFGLAKLGPVEGKTHISTRVMGTYGYCAPEYIRTGQLTVKTDVYSFGVFLLELITGRRAVDSSRPTSEQVLVNWAKPMLRDRKRYSELVDPLLRGEYPERDLGQAVGVAAMCLQEESSVRPFMSDAVVALGFLAEVPSGYKAKSGPIPQMKQVEGSSLPSSGKQDKSAYDRQKAVAEAIEWGSLRQKQKAQSPEKKAKSQGITSPPDTNRL >Dexi7A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:7A:19417747:19418019:1 gene:Dexi7A01G0008290 transcript:Dexi7A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARALREAEAEAAVVPALDDAGTTADRWSSSGNGGASPDEMAAAAAVTTVGVPPVVLWSGDDRRMKQELVAWAKAVASMVVRGSMYC >Dexi8B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:8B:9318429:9322385:1 gene:Dexi8B01G0007600 transcript:Dexi8B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASTAAPLLRPSASVARSLPLAPSSVSKAAPPPQAPWRLLPGAAAGFRSTAAAAARGGADYGAEDSKISPDEILFEGCDYNHWLITMDFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYNGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYEDGIITPRPPPVHYSKPSRTDRNRTYRGNYQDGPPQQENYQGSPPPQRGYQNSQPQQGNFQTYRTQQDGRGYAPQQNYAQGGQDARGFGRNDYADHSGYNGPPGGGFQGRPQYQGPVNPAGQGYNNPQGQGGGFRSGVPSTPGSYGQPSPPGNYGQAPPSSYPGGNRVPGVNPSYDGDRRQGAGPGYGDNWQRGGQHHNPGEGQGNWQGRQ >Dexi8A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:8A:7414280:7418686:1 gene:Dexi8A01G0006910 transcript:Dexi8A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFTLSPFDTLDGYTELGAGSSVDDSVMSAFCFSPNSEEQAEADASISDGDDSSDMSGSVDLPKSIHDAAITLPERMLKALAILQESSNVFGAILVQVWMPVKHGECQVLTTSDQPFLLDQSLTGYREISRQFMFSAAGGPGQFPGLPGRVFMSGMPEWTSNVMYYHDSEYLRAEHAVRHEVRGSLAVPVFDFSGPLDSCCVVLEVVMTQEKDNFCSEIDDISKALQSVHLGTVKDWTYPQKLTRNQDSAFAEILDVMRAVCHAHMLPLALVWVPICSSINGNVSMEYGDQDIKLSLRKKELLCIQESACYVNDMRMHYFVCACAEHPLERGQGVVGNAIVSNSPFFSIDVRDYDICDYPLAHHARKFGLQAAIAIRLRSTYTGSDDYVLEYFLPPMCKGCDEQQRLLDCISETMHRVCKSLRTVSDSELMADTMVKPSKEKACGIGCSSSDISVNSGHKVSLEPCTTSQGENKYTSTEKNINMSVLQKYFSGSLKDAAKSLGDRLNSDEPERRTSSDIIQAYTTSYLSNNIKKDMPSTWNITVAISQGNDASGDVFLVLQKSKIGNGTKNHDKNFKEKLPSSSSMTEYTSDSMSSHGTFQKCLNSQAPANDSNTPVTVKANYKDDAVRFRLLPSMRYHHLLDEIARPLKISVGTFQLKYRDDEDEWVILGNDADLQECLDILETTRSHVLKVQVRDVPCAAACSGSNSILGT >Dexi2B01G0035130.1:cds pep primary_assembly:Fonio_CM05836:2B:42228171:42228890:1 gene:Dexi2B01G0035130 transcript:Dexi2B01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLITSRTWLPSFWRLYKSSTQRIRFHAVARHFVEQVAGVGDAAGLAEAVDDHRRGERRHRVGTGGERPPKDVGGGGELAGAAEGEEGGRERAGVEAAEPGDGEVERLGRPVGGARVGARKSMSWKAATACSGAARGREAAARSANGTARRCGVSREGSADLACAKRRAVRRDAKEASDGARPAAAAAEVDTAAIALV >Dexi8A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:8A:26743674:26744260:1 gene:Dexi8A01G0015610 transcript:Dexi8A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQDSSVGAPPPSDSSPEFVAKEVSGSHVITIKGYSLTKKLPTRDMITAATFNAAGHRWSILYYPNDTTYDDSQFISLYLMKIDPNHGHDTMAQFSFSLLSVDGVPQHIRSTIAFTAMVEKTGFPRFIKKFALEQSGCLKDDCFSIRCDMTVIKMTQLDTGGVPGDRFVLVPPSKLDRDLGNLLTSGK >Dexi7A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:7A:2983233:2983704:-1 gene:Dexi7A01G0001200 transcript:Dexi7A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCSSSDNGNKLCKGKGKAKAAAAWLPPQHSWTKINLDGSFVAQTGGAGAGIVAQNSKGKVIFTACQVLHRCSDAAEFEASACVIGLHLAAQWAPGRVMLETDCARAARALQADVDRSELGFIFSEARDYARMLEELKVIQLS >Dexi5A01G0023350.1:cds pep primary_assembly:Fonio_CM05836:5A:27370988:27376296:1 gene:Dexi5A01G0023350 transcript:Dexi5A01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRSPVRSHGRTESPCQCDVCTDASFLSPCSGGRSRLGYPAAPGPLLGRAGGHAQQPRVLLRRAVVWSDGAQGRAGPEAYPSFSFRAASVEERRIRWWISGVRVFAVAIEEAMDSLKIDASTKASNVNLPAKKDASSSDAVSCISSGDAASTVKESEMNQEASIGDQGMYYYGYYYPGSYGGWDENGYFVGYNGLEMHPAVVQADNGSCLCYLPGYENGYASYSPVVPGGIASVDGQYVSKEPYYTTAFPMQDPSTPGIFSQPIAYGPELVPAYSWDPSVVLLDGVQGHPVGLTQTNYAARQKYSSNKHGVPSSKASRSAKSSPETIEGSSSALEPVPNAVRSNALAKGVNKASGASITKGYLPSSKFVTHGNNQGKGTVYQNKGINAKESGRNWNNSEKLKTRSKLNGHGDSDVSNENNHTDNSKHSLSPRGDVGISSAGDANDIIPSPVTISKNAYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYRLAQERMAEKGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFSVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGTEMLNIFKNFLCKTAILDDFDFYENRQKVMQDRRGKPITTSLDHPLPKAERPAEIKKQIQLISSTELDRTKSNEGQGTNVGMVLDTTKKNEQSNNVAEVLDAAQSNNE >Dexi2B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:2B:26511151:26511354:-1 gene:Dexi2B01G0016360 transcript:Dexi2B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLTSPRRRLTPVADRDFRERGGAREFGMMEDGPSNGLEDRVSPRQPANEIYGAAVQEGHGVLK >Dexi1B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:1B:1483940:1485723:-1 gene:Dexi1B01G0001860 transcript:Dexi1B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPVAPVVKAEPLKNQWADEDVEEDDVKDSWEEEEEEEKPKPPPVEKAPVKPSSKAPAKKGKQQASTSAEEPDEPPLSPTSEKIRQQRLVEEADFKATTELFKQKGGEQKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMTPLKGADAKEISSSVTAIANEKIKAEKEAAAGKKKQGAKKKQLHIEKGDDDFIPGRGGGYDDPDEYDFM >Dexi1B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:1B:5986262:5986933:-1 gene:Dexi1B01G0007250 transcript:Dexi1B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTASLSPAACRRFVLSSPSLSFSSRRLTTSPAGPGLRLRAATASRTTRRRAVVAAAIAVGDKLPDATLSYFEDGDLKTVTVADLTAGKKAVLFAVPGAFTPTCSQKHLPGFVEKAGELRARGVDTIACVSVNDAFVLKAWKEALGVADDAGVMLLSDGNLELTRALGVEMDLSEKPVGLGVRYALLAEDGVVKVLNLEDGGAFTTSSAEEILKALG >Dexi6A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:6A:6553262:6554505:1 gene:Dexi6A01G0006750 transcript:Dexi6A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHVVECRRHPHKDDDYMLFVFGDAFVDAGNRPPTTQSTSRSRSWFYPYGISDSTHHMNATGRFSDGLVQSDYVARMLGYDESPPAYRLRESDELDPSGVNFAMSGSGVAPSSATDSPSLSRQIDQFRMLVRHGIIDDDDLDDSVALISISGNHDYSGINMAASEDHITSMAQDVTEKIADGVKRLLDLGVSKVLVNSAPPIGCQPYNTRLNNYAQCDSQINRVTIIHNAALKKRLDGLEDVLLLDLDSAFTDLVQSKGYAPCCDTASRPQGYCGQEDAQGRAMYSVCPNPQDYFYWDNVYPTQAGWEAVMNRLQGPIMDFLGIVY >Dexi1B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:1B:1848488:1850622:1 gene:Dexi1B01G0002260 transcript:Dexi1B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCAAVRVALVCVCRLRDVVVVHDERCMYIVFQYLDLDIKKYMDSSPEFNDHRVVKSFLYQILQGTAYCHSHRVLHRDLKPQNLLINRRTNVVKLADFGLARAFGVPVRVLTHEPNFLLGGDALYRAPEILLGTKHYSTPVDVWSAGCIFAEMVNQKPLFLGYSEIDQLFKIFRSHLQVLFRLSILGTPNEATWPGIASLRYPTTCQLPQSGHLWTLQQWSQHSNLQELIFYLDTVFP >Dexi6B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:6B:5047691:5053958:-1 gene:Dexi6B01G0005580 transcript:Dexi6B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPAEIEGVKVPVNTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEEERLRAEFEREGRKLPAKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVVYTPGQQDKCFICGQVGHLAANCEGKAKRKAGEFDDKGEPIVPKKPYQFLNIWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQHQAERIKREKAQAKRGDDLDPHVRDDLIVPVANFRGSRLASGAVPSPYEQNGAHRERTGKARKAARVSSSDSSISAAIVEAENDLEEQERENKEDLKSRLKDALREKSDIFNSENPEEDKVKLGEPGWRDRYYEEKFGARTSDQMEEIRRDVALKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRSLNQLNITFELGSPFKPFDQLMGVFPAASSHALPLQYRQLMTDPNSPIIDFYPTDFEVDMNGKRFAWQGIAKLPFIDEGRLLAEIKKVEHTLTPEEARRNSTMNNMLFVNGSHPLSPYIYSLNSKFGNLPDKDCIGIKEKLDPSPSGGMNGYIALCAGDPSPPVFRSPVDGLEDIMDNQVICSVYKLPDPHKHIARPPAGVIIPKKTVEAGDLKPPPVLWHEDNGRRPHDNNHRRPHGNNHQGPYNISNRYCTTSPPGFVIFCRHIEIHAYL >Dexi9B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:9B:269928:271025:1 gene:Dexi9B01G0000410 transcript:Dexi9B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKEQDGLVVFDASRLSAQSDIPQEFIWPSDESPTPEAAEELAVPLIDLSGGAANPEVVRQVGDACALHGFFQVVNHGIDPELVADAHRCMDAFFTRPLAEKQRAQRRPGDSCGYASSFTGRFATKLPWKETLSFRSYSPASAGGEQQQEVVLDYFVRTLGEDYRRHGEVYARYCSEMSRLSLQIMEVLGCSLGVGPTHFRRFFQGNDSIMRLNYYPPCQRPLDTLGTGPHCDPTSLTILHQDDVAGLQVFSGGRWLSIRPHAAAFVVNIGDTFMALSNARYRSCLHRAVVNSRVPRRSLAFFLCPDMDKLVRPPPELVDDTHPRAYPDFTWRALLDFTMRHYRADMRTLQAFTHWLQGSSSS >Dexi3A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:3A:16891372:16895172:1 gene:Dexi3A01G0021250 transcript:Dexi3A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRVLAVSLLFWFLMGFQLCASLNREGAALLKFKEAIDADPYSALKNWDEGSMSPCSWFGVECSDDGLVLTLNLANLGLKGVLPPEIGKLGHMKSLILRNNSFYGIIPIEIGDLQDIKMLDLGYNNFSGPIPSELQNILSLEFLFLKGNGLSGGLPVGLNWLNRLPTARFATIKIRRLLVSTQKDPENIHLPGHTGRLLPPPHHKVAPSPSEPISPPPASPTTEHTNPPHHKVAPSPSEPISPPPASPTTEHTNPPHHKVAPSPSEPISPPPASPTTEHTNPSQDTTPNQESKNSSSRTIYASIGAAIGFLVVALSAVCFFYYCRRKTSTVVPLSAPTSSRQLQTTAMKGITLFRRSELETACEGFSNIIGTQPGFTLYKGTLPCGAEIAVVSTAVAYAGGWSDIAEARYMNKVEALSKVNHKNLMNLVGYCEDEKPFIRMMVFEYVSNGSLFERLHVKEAEPLEWQSRLRIAMGVLYCLGYRHQQNVPVTLRNLNSSCIYLTEDNAAKVSDISFGIGKKEDEDDSDAPDEYSTVYKFALLLLEMISGRCPYSDDDGLRILWAHRYLNGVSPVMGMVDPTLNSVPEEHVKAFSELIRLCISEDRRQRPTMAELTKRMQEITGITQDQAIPRNSALWWAELEIITA >Dexi2A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:2A:22415927:22418546:1 gene:Dexi2A01G0013710 transcript:Dexi2A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAVARRAALENGLGRTPQMGWNSWNHFHCKINEEIIKQMADAMVENGLSKLGYEYINIDDCWAAHDRDSQGNLAANAIAFPSGIAALAGYVHGKGLKLGIYGDAGSRTCSKLMPGSLGYEDQDAKTFASWGIDYLKYDNCNNQGMSPQPRYNAMSKAILNSGRNIFFSLCEWGVDDPATWAGGAGNSWRTTGDIKDTWASMMAIADKNDKWASYAGPGGWNG >Dexi7A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:7A:18991148:18994485:1 gene:Dexi7A01G0007720 transcript:Dexi7A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFWGVEVKPGKPYTLTHSDFGGRLRLTQATLGDEVGKGEKGASRKKCVLQCSVESKDPVYLCALVPEQSETCHLELEFDEEEVTFSVIGQRSVHLVGYYIADAYDENMSDSDDENDSLQGSDEDGYLENDDDTNVVMGYSDSEDDSDYDSETDDEEMAYDQHRGKSSVVIEEIQEDDKPSVGEVQKGSNKKQSSANGDKSQLQLVVRAPAAESLESEDEDGFPVSFSETKESSESVSKKKGGKDKEASNEDRKRKSGAITNLGDSSGDVKAENDGASKKKKKTKDKSTAVDDKKVNNDVKEIKQQDPVSAKQKKKNKNTSVSEAGTDEQSAKKNNIQKDVEVAAQETGKKNKKNKAQDTDKSKNHSPKSESKESLQKRTFANGMIIEEMVLGKPDGKKASNGKKVAMRYIGKLKNGTIFDSNVSGRPFEFRLGVGEVIKGWDVGVNGMRVGDKRRLTIPPSMGYGSERVGKIPQNSTLIFDVELVNVK >Dexi9A01G0035900.1:cds pep primary_assembly:Fonio_CM05836:9A:40443826:40446280:-1 gene:Dexi9A01G0035900 transcript:Dexi9A01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHLLPIINCHLIVSWWHLMTWTYHVEFSVYSQKEVLDGTMGWYTFTRLKSVIYHFRRNREFCRLRIGIGRPPGQMDPKAFVLQKFNRTGRERIDSAIKEGVDILKMVAIKGLTEAARLSNADQKYKHLRSHDLQD >DexiUA01G0011990.1:cds pep primary_assembly:Fonio_CM05836:UA:23895160:23895803:-1 gene:DexiUA01G0011990 transcript:DexiUA01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKEQQGPPERQPGKNLIKIPSYQEVFGTGATSSSSTPPSYNPPPASAATPAASTSSSSSGSFSQAFSFLKSSEFYSPPPPPPPQPASTPRPPQASSSAAVPQSKNAILVSHRQRGNPLLKHIRNARWTFADVVPDYVLGQSSCALYL >Dexi9B01G0038880.1:cds pep primary_assembly:Fonio_CM05836:9B:39739151:39744057:-1 gene:Dexi9B01G0038880 transcript:Dexi9B01G0038880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWRSHGSYLLEVGFYSFGAPAGAEPSRRGGGRQRLGDLDIGAGMTLTGGTERVARMAEWSYTRRGWRSLGLSRLLQIPFPTLRHHARSPATVLRAGRGPCLSSSCTTMDEEAKAGSKSDTNTNAAAEAEAAAAAAAPPPPPSSSEAAETEAKVEEPEEEGEVVERLVELVGEIAAISDFRNSYRRQFCNLSRRIRLLVPMLEEAKEAPTPLPVASEAALRRLKEALDGARELLRLGSSGSKIFLVLEREKIMKTFQDITERLEQALAGISFDDLNISDEVREQVELVHAQFKRAKERSDSPEDDLFNDLMSIYNSSSSSDVDPDILRRLSEKLQLVTIYDLNQESLALHEMASGGDPGAVVERMTMLLKKIKDFMQTQDPDMGAQASTTDIPSKDNSTSPVIPDDFRCPISLDLMKDPVIVSTGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNFVLRSLITQWCEANGIEPPKRPAQHSKAPGPCTAAEHSNVVELLQKLSSQNLEDQRGAAGMLRQLAKRSAENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSIVDENKVTIGASGAIPALVQLLSNGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILLELLTESESGMVDEALAILAILSGHPEGKAAISAASAIPVLVGVIRNGSARNKENAAAVMVHLCNGEHQQQHLAEAQEQGIVSLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQAEAMAQAQAQAQVVQAQAQADMPVERSLLPTSSHIPD >Dexi6A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:6A:26235756:26237092:1 gene:Dexi6A01G0018510 transcript:Dexi6A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAHQDHACSSTRPRRRRRVVVADGTARDESLWASLPEDLISLVAWRVLAGDLRDYVRLRAVCRRWRSGTPSPRGRGVLRGYVRFFNLSTGAFVRVRLPIFRDHCVLDSIDGILLLQRDHDTAVRLLHPFTGDILDFPPLETLLAHVNPWFPGENNSTYIRKVLAASISVGADGLVKVMMSLHHIQNVCFATSGDQQWRVSSWLQDVCSVPLGFQGKLYMLRRPESNGAQPEVVQVDPPQQEDVDFGSPLLPPPKFVAKCPQVSS >DexiUA01G0000170.1:cds pep primary_assembly:Fonio_CM05836:UA:1350205:1351092:1 gene:DexiUA01G0000170 transcript:DexiUA01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALADLASHPNDVSFSDCLGWEGFPSILWSVLQALGYPTPPQYERTIIRDRGVVRSRVRLVVHRHPPCPSSPTWTVEVHGHHMETTCELAVLNGISSFCAQNQSLVEHQLLGLFPPTQPDDPHWMRRYHSSPLRMAENPVAAAALMMRWIRAYHRLQALLGRSQSEMLNIAMDMSARARDIGVERTSLSIEVTTRDAMIADLERQLNDLQIAHNNTQNELAQTREELEEVHGTLEHANAMLAAHDAQHLLEQEGGNGEGEAPDSDMDTEDDMPSLPAPPSPMGSEATANNLDDF >Dexi7A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:7A:25458285:25476950:-1 gene:Dexi7A01G0015610 transcript:Dexi7A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGRRQGLAAAAIALMQISFLVVPISAQQSNGSRVVSAEGYCSMYGICAQRSDGKVLNCANATKAVKLVLATSGSDGSAAPSIVNDNNMKLLFQIQKKVDDLRANYSGSTVALGDICLKPLSTDCATQSVLQYFQLDPKTYDDSGIDHAKFCFQHYTSEETCLSTFQSPIDPSTILGGFSGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAFINLVKDIHGPILSYRAVKFVVITVFVGLAFASIDYFNDLAKYMKVGPPLYFVVKDFNYSLHAANLIRIQCFLHSDLDNGRPSTTQFKDKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYESGIIQASAFRTYHTPLNKQSDYVNSMRAARDFSSKMSKDLQGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMIGSGDRETRSRQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPVGI >Dexi1B01G0024180.1:cds pep primary_assembly:Fonio_CM05836:1B:29589435:29591319:1 gene:Dexi1B01G0024180 transcript:Dexi1B01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIAAAAAAKPKAKKHIHLFYCSECEELALKIAASSDAIELQSINWRSFDDGFPNLFINKAHDIRGQHVAFLASFSSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPEADNITIAFPDDGAWKRFHKLLQHFPMIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLASHGAAKVSAYVTHAVFPKQSYERFMTSNSAGPGDQFAYFWITDSCPHTVKAIGQRPPFEVLSLASSIADALQI >Dexi1A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:1A:4165535:4167409:1 gene:Dexi1A01G0005650 transcript:Dexi1A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFLVCTTSFLLILLCSYLHQILHRTRSRLPPGPVPLPIIGNLLDVASKLPHRSLWRLAERYGPLVSVRIGTAVVVVVSSPSAAREVLKTHNGSITGRRPPDAWNAAGHAANSIFTICPGRRWRELRRIGAEHLLSPRRLDGHGLRHAMRAALLGMRRRVEEKAAAARPVELGEVTFAAMAELMWRAMFSCGLDAATLRELHGVAKEAVRLALTPNVSDFFPAVAAMDLQGVRRGMAKQVGKVYELIDQEIDKRRRAREEKETGGGDEHKAADLLEVLLDMWEVDEVVMNRDIIRTFLTDLFLAAVDTIPCAIEWGMAKLLQNPEIMKKLKEQLNSVVGSKECVEYADVDSVPYLQAVVKETLRLYAVVPLVPNKVEETVEIQGHIIPKGSNVIVNLWAIQHNAKVWTDPFKFIPERFLQCKEFNYQGTEDFEFMPFSAGKRLCLGLPLATRMLPALLGSLLHHFEWTLPKEAMKNGLDMSEKLGLTLAMATPLQAMVKAM >Dexi2A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:2A:33024314:33027751:1 gene:Dexi2A01G0020840 transcript:Dexi2A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTQRDRCAIAPPPEQGHVATTWRALRFRTWTRTGGMDVCAFVPERFSTVIAMSSQAYTNTLSVEKSATKMPPDVVEEILLRLPINSLLRLRRVCKRWCDMISSPHFIKEHACRAPKRLLLYLPKFDISAPFHPKTVKPCRAIIFDEKWCPSTWTAAHTDPDDHLFASCNGLLCFYKTNTLKIVNPTTGHCLHLSKPDGILLRDFHYLYSFGFHPVTREYKLVHFLRQPERCKSGLPFHFDTIQVYTLGDNRWRNIKAPKPCCMVNLGVVNVDGAIYWLTEDEGTSHGMTVLSFDLREETFTSIQLPPLEVKETASCSNPRVTYYITEIDEKVCIVTIPYQSHVPRWRRYNAELFGRMDIWALENQTEHKWFLKYSIQSPLVPRSVPQPCFIHRGKILMHDREGSTWCHDLRGKNVHIEHREEVELLNIGPYRFYETQSYFYKETLVPLSLYARTTAIVRTSPRSP >Dexi4A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:4A:22614782:22617823:1 gene:Dexi4A01G0018660 transcript:Dexi4A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFHRRGHLLLLLLAAVASACLGTAAANQAGSGEGYTIAGRIKIDGANVKGFGLPAKTSNTKVILNGGQRVTFARPDGYFALCSWYSVSSAFYTVLSLLLDHIYLSHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRALNELVLEPLKEEQYYEVREPFSVMSLLKSPMGLMVGFMVLMVFVMPKMMENIDPEEMKQAQEQMRNNPVSFSSLLSRAQG >Dexi4A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:4A:5075295:5077198:-1 gene:Dexi4A01G0006980 transcript:Dexi4A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTEEKKTAAEIVAALDLQRHPDGGFYLETFRDPSISLPTSALPSHYKVERAVSSAIYFLLPAGEIARLHRIPCAETWHYYLGEPLTVFEVHDDGQIKITVVGPDLRHDQRPQYTVPPNVWFGAFLTCDIESFTEDGSIFVKTPGRDPEVHYSFVGVTCAPAFQFEDDELATREDMKALAPKAEAFINYLVPS >Dexi1B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:1B:4352580:4354424:1 gene:Dexi1B01G0005340 transcript:Dexi1B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFLVCTTSFLLILLCSYLHQILHRTRSRLPPGPVPLPFIGNLLDVASKLPHRSLWRLAERYGPLVSVRIGTAVVVVVSSPSAAREVLKTHNGSITGRRPPDAWNAAGHAANSIFTICPGRRWRELRRIGAEHLLSPRRLDGHGGLRHAMRAALLGMRRRVVVSAAAARPVEVGEVTFAAMAELMWRAMFSCGLDAATLRELHGVAREAVRLALTPNVSDFFPAVAAMDLQGVRRGMAKQVGKVYELIDQEIDKRRRAREEKETGGGGDEHKAADLLEVLLDMWEVDEVVMNRDIIRTFLTDLFLAAVDTIPCAIEWGMAKLLQNPETMKKLKEQLNSVLGSKECVEYADVDSVPYLQAVVKETLRLYAVVPLVPNKVEETVEIQGHIIPKGSNVIVNLWAIQHNAKVWTDPFKFIPERFLQCKEFNYQGTEDFEFMPFSAGKRLCLGLPLATRMLPALLGSLLHHFEWTLPKEAKENGLDMSEKLGLTLAMATPLQAMVKAM >Dexi2A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:2A:26367482:26369816:-1 gene:Dexi2A01G0015430 transcript:Dexi2A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRSRSLLSTTLWCCLLCYLLLSHVPRAASLSFSFNFSDPSSTCTAHDADLTCSGDAYFHSTENAIELTKNDISDLNYHSVGRVWHAQPVPLWDPTTGEVASFTTSFTFRIKPAGASSPELSADGMAFFLSSRHPSGVPPGSYGRNLGLFTDGSNRNATGDDRVVAVEFDTYRNDEWEEDGNHVGIDVNSIVSAASTSPDKSMKSGDILAAEVAFDNGTETLSVKLWMNGMTSHSVKAKVDMRRSLPEVVAVGFSAATGANVEVHRLLTWSFNSTLASRDEAITSPGAAPPPPVLVPPEAIMSSKKQAKAHSTIAVSAATVFVVVCVLMGFLLRRKFRTWKKHKVVDGGDDLEEEQEHSNDELEKGVGVGPRRYKIMIGLGSALRYLHSEWEQCVVHGDIKPSNIMLDSSRDAKLGDFGLARLFDHGVQPATTRVVLGTAGYIDPELVNTRRPSTESDMYSFGVVLLELVTGRRPVEEPDDSDELFVLVKWVWRLYSAGDVADAVDERLLRSSGQGNVVGANEEERRQMERALVVGLWCAHPDSSRRPSAAQAMNVLQAAADLALPVLRPQMYGGAEPFLGVGWDDLSVAVTSSTGTGSSATSGGTARSKLMKWSSR >Dexi9A01G0034720.1:cds pep primary_assembly:Fonio_CM05836:9A:39471589:39471906:-1 gene:Dexi9A01G0034720 transcript:Dexi9A01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLPRIAGGGGGRKPRVPPLPPARTLLTAFSAAAALAVLCLLTSSPAASLSGAWRSGARSGDKYLYWGGRVDCPGKHCGSCAGLGHQESSLRCALEEALFLGR >Dexi1A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:1A:4226156:4226597:1 gene:Dexi1A01G0005760 transcript:Dexi1A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHPSKIVSAAAALIFMLLLTNGAGKAAIAEKIGGDQCYSVYHSNTFKGPCVTDPPCIAACAREGHPGGLCFFDVGHRVCICTAPCPLPSATTTTTSRGETV >Dexi6B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:6B:5644264:5647764:-1 gene:Dexi6B01G0006040 transcript:Dexi6B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKAAAAVAAAPAAVPKGKKREAEDEIEKALSSKKQKAAPPAKAVPPSKEDAKKAKKQPPPKAESSSSEEDSDSEEEVKVQTKKAPPKAVKQESSGDDSSDETSESDEEPAKKPAAKPSSAITKNGSKKGKEESSSDDDSSDEESSDDDKPILVKKPSVPAAQKEKEETESSESESDDDSEEEAPSKPKASAAATKKEDSSDSDSESESEDEDESETAKAAKPAKRAASESSDNSDSDDSDEEPPQKKQKDAAPSAAAKSAAAKKEGKSDDEGDSSDDSSDDEEDSEDDEEPSKTPKKEAPTSGKQTTAKEPKTPMNTQSQATGSKTLFVANVPFRAEVEDVKEFFAGAGEVVDARFPTHEDGNRKGFCYVEFVSHEDAKKALETMNGQELQGRAVRLDFAQERNAYTPRSGNDAGSFQKQVRGSSSSVFVRGFDKALDEDKIRSSLEKHFGGCGEITRASIPTDYETGAIKGIAYLDFKDQDSVSKALELSGSEMDGYELYVDEARPKGDGQGGGGRSGGRSGGRFGGDRSGGRRGGGGRFGDRSGGRDGGRFGRGGGRGGNRGGGRGFGNRQSAGTPSAGRKTTFGDD >Dexi2B01G0034470.1:cds pep primary_assembly:Fonio_CM05836:2B:41723422:41724516:-1 gene:Dexi2B01G0034470 transcript:Dexi2B01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGTGEGRSSRGVAAEALGESRRGRGIGSSNVEPTDFTLQPAHPYRPRESNGDHIFQHSFPIDEMGLGPTNKHGLRGLTELRSLSTSLAAARRQAAVERNCRAWASRTSSSIPASLASTAPRELELPAFVPASPAARHRELHPSEPGSLESQRRRARASWSSPMGGAGAGADSSMEAPSTQSTAQSSSLKMQTGQQTILHGDFTTKQHLNSVRRSQRSTATSSWAADGPRRSPARKTAADEEDAGAQRDEEDGGGRSSTRLQATRAVLVGLRPNSWMGNECLVNVSVGSSWTVQMSDCRSAE >Dexi5B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:5B:12216091:12219329:1 gene:Dexi5B01G0015210 transcript:Dexi5B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVLFQGVSENYANPKTCFFHVLFKAAALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLQADYLLVIGVCLSLSIANIVGFTKCNKDAKKNIQDWTTNALLSGSVRSHLQSAFGV >Dexi9B01G0022710.1:cds pep primary_assembly:Fonio_CM05836:9B:17534906:17535868:1 gene:Dexi9B01G0022710 transcript:Dexi9B01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTATVGGSRNRKRSRSSSSAIVAGTASGYHILRINNYSRTKVIPNGDCLKLRPFTVGGHRWHIDHHPNGHKWDTKDFISLFLVLDEPAHGSNPNEVKAQHRFRFLDTVAHEEEVDELPPAFMEEEEEVSNYRSHRGFIKIDDLERSEHLKGDSFAVRCDVVVISEFRAAAAAADDTAAVVSVPPPDLPRHLGELLFTGKGADVVFEVAGETFPAHRCVLAARSPVFAAEFFSAMRESESGVVHIDDMDARVFRALLHFIYTDDFFGEASNGDDGVGEEEEDVMVMAQHLLVAADRYDLGRLKLMCEDNIEV >Dexi1A01G0029510.1:cds pep primary_assembly:Fonio_CM05836:1A:34975535:34982320:1 gene:Dexi1A01G0029510 transcript:Dexi1A01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEAAAAPQQKDSVKVAVNVRPLITVELQDGCTDCVTVTPGEPQVQIGPHVFTFDHVYGNSGPPSSLIFEQCVHPLIDALFSGYNATVLAYGQTGSGKTYTMGTDYSGEGNCGGIIPQVMETIFRKVEASKDGSEFLIRVSFIEIFKEEVFDLLDSNHASARLDSVPVAKSSAPARVPIQIRETAAGGITLAGVTEAEVKSKEEMASYLIRGSSSRATASTNMNRQSSRSHAIFTICVEQKKTSGTSDKSASSDYDILSSKFHLVDLAGSERAKRTGADGHRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETINTLKYANRARNIQNKAVVNRDPATAEMQKLRSQLEQLQTELLFSRSGGASLEELQLLQKKVSLLELKISELNHELKERELSCEQLAERARAAQLERDQLMLKIESARNEKSWDAFENAGNSQDIDLLKSYISKIQQLESELMRQNFSSACRHDQLVMERDILLNDLGAECEVGTPDASSEVDEEEKEREHSSMQDQLDKELQELDKRLQQKEAEMKQFAKSDTSVLKQHYEKKLNELEQEKKALQKEIENLRHALTNISSSTDESAQKLKENYLQKLNALESQVSELKKKQDAQQQLLRQKQRSDEAAKRLQEDIQRIKSQKVQLQHKIKQESEQFRSWKSAREKELLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAAATKRLKDLLEAKKSSRETYGGANGSGMQAVMRAIDDELEVTVRAHELRSYYERQMQDDCPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERLFSGKGRWYNVRSLPEAKNIMNYLFQLASSARCQVQDKEVSCNEKEHFNTELKEKVVVLNSRVRQLEMQLKDLGHQNMQLFTALNNAKRSASSSRNETCDSEDGQIYDLRKNVRSSQYFGTSKNFNCLDDMDTSDSDYSEELEYMSDESDVDWGIMLLENAFAEKDVHDAKPRKPLTDIGNNVVNQTAETKKKPRKNWRKSTIQLVPAPSAPAVPETTDAAPRERADIPLKLPRAMSSTPESNPPPLTDRNAAKPDEPVGTGTKEGTAATRPPSQLRKNATTEKENQLR >Dexi3B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:3B:11848651:11849757:-1 gene:Dexi3B01G0016290 transcript:Dexi3B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDGEKRALAAQLAQVLAMVRELESRMDQDLPAAARELCGELASSVDRSIRIARSVDGCPRGDGSQHPGGSNAQSKRRQVQPPIFCFIVDTRKGTPCARRQVRVASVQDMAPLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHSQGCLATKHVQRADGDPLLYDVVYHGAHICAQAAHPAAEQLSRQLQPGGLADAGQEQSSPLEPENEGLQAGLEPMTPYSFAPATGAAAGGFPLLSPTGLEWQLSSHTVGGVGVGIEHEPQFAEFFTNSADPFQWEYQDDYLNLYATN >Dexi4A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:4A:875428:876969:-1 gene:Dexi4A01G0001340 transcript:Dexi4A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGLPLLLVLCVCSSPTAYGKAAGSSLKSNAICSGHRVSIPLSNHTWLPLNHRHGPCSPFSPETIATTAAADMLRHDQHRADNIRKRLNGTAAAKHGADVSIPTTLGSSLNTLEYVVTVGLGTPAITQTVHIDTGSDITWVQCRPCPAATCHPQKDKLFNPAMSATYFAFDCRSAACRSLRRDLYNNGCSRHRRHCQYIVKYGDGSNTTGTYSSDKLTLISNHAVVDHFQFGCSHVTQLFTGDKADGLMGLGGGLPSLVSQMKTKAFSYCLPPAASYSGFLTLGVPPQLPRMSSTNKFAMAPMYRSDVVDTFYLVRLQGIAVAGRRLHVASSAFTAGAVMDSGTVITRLPETAYRALRAAFRKEMRMYKRVSSSTILDTCFNFSAAAGGEDDVKVPSVELVFERGATLELDRSGIILDGCLAFASTGDDESAGIIGNVQQRTLEVMYDVGGGAVGFRLGAC >Dexi3A01G0022420.1:cds pep primary_assembly:Fonio_CM05836:3A:18078758:18082500:-1 gene:Dexi3A01G0022420 transcript:Dexi3A01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVQQAYSGNSHSVGSTTDSSSDQNTMPQAELVSPGSMRTYSEQQQEVLMVTVDDYNYDQELGAAATTAPIFQQHITGQDKRKHGSTRKDGKLLDAKAERRLAQNREAARKSRLRKKGLFPGGGSASGSGDRSSGALMFDMEYARWLEDDSKHMTELQSILQAQNIDANLGAIIEECMRHYDELFHLRAILARSDVFHLMTGLWATTAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMCNLQRSSEQTEEALVQGLQQLHQSLANAVGASPLTDSANVANYTALMALALDRLDTLESFYRQADNLRQQTLHHMRRLLTTRQTARCFLSIGEYHRRLRALSSVWASRPRE >Dexi7B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:7B:25518057:25520148:-1 gene:Dexi7B01G0020070 transcript:Dexi7B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLRFSLLRNNIRSSCPVRFLKQHAGFSSGKLLQPGSYEKRHFTTKLTETTSWHKPNSGSCIPSIPPLWLQQTSEHDQPATIIVFDIETTGFLHADHRIVEIAIQDLSGGKNCTFQTLINPERHVPRHIAKLNEITTELVCRPDVPRFSDVLPILLAYVQSRQAPGKPVLWVAHNARQFDIPFLVQEFERCSTQVPTDWLFVDSLCLARKLKKSDGTLPVTLTYLFDHFYQFSGIFFSLAGTINRIGLEGLAEHYCVSSKGPSHRAMPDVQALCDIFSNITLGLKLTRDDLMSEASIFYDFRKLPRE >Dexi9A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:9A:764678:765515:-1 gene:Dexi9A01G0001430 transcript:Dexi9A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAGAGQVLNSSNPAVVRVRQLIGGRGPEYSPDGWSRCWEEGVTPWDLGQPTPAVVELAKSGTLPGDAATILVPGCGAGYDVVALSGPGRFVVGLDICETAVAKAKQWSEAAAADGSLFAFVAADFFTWEPPELFDLIFDYTFFCAFHPSMRPAWAKRMADLLKPNGELITLMYLHH >Dexi3A01G0029790.1:cds pep primary_assembly:Fonio_CM05836:3A:32123636:32133975:-1 gene:Dexi3A01G0029790 transcript:Dexi3A01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFRFDPDGSDDEAPAARRKPAAQSPWEFSSYAESVAEEHARRRTTSIDEKISQLRNGRGKPVLSGDSESGSGEDDSDDEEVEGESGDEEDELEESEDEEELEGSGDEEEEEKEEEAEGSGDEEAGSEGEAEEEEGSEQREEEEEEEKEDANEEEDKAKTVDPSKFFASSEGASFHANSFLELNLSRPLIRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQIHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHVRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEIDELVKLSLNKPVRLEADPSLKRPATLTEEFVRIRRARESNQEAVLLALCLKTFKKNVIIFSGTKQSAHRLKIIFGLSGLKAAELHGNLTQAQRLEGIDIVGVQTVINFACPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVADCAKLIEQLEGQISIIFREEREEREVRKAEMELAKDSLDQGKSTSGVISAKQAEELRLNEKRRRENEKNLPRKKRRRLEAQREMLEDDEEDDEEAKEHNKGGKRANKGQSVVDVAYRKAKSMKAASKRGSGAGKGKNEKNARQHSEKAPTRQEEMHDLFQSDMSEWKQGRSLKKNNNFSRKKAKNAFKSKSRYATMLMRRFALALVQAPKVEDSSLKGSAVVSFLTEWS >Dexi5B01G0025530.1:cds pep primary_assembly:Fonio_CM05836:5B:27559079:27559902:-1 gene:Dexi5B01G0025530 transcript:Dexi5B01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAALPEQQPLPFTFTSPVSSERHEDALDFDYGSWIQQSKMTNCYSKLWLEDAADAQAINRHHREMMLQAVDNLPEEAYELSLRDLSDLALTSRAATTDDSALSSSKRLSTARSPSNSKSWRKKTPAMEGAGFIIKLFMPSPSRRAGGGGGRKKRLSSSTLSTLRESNASINDSSTENVASETRIDHGYTFSHSMPRKSHRDTTSRKLMGCYPFFNSSKYRVKGT >Dexi8B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:8B:4983870:4987579:-1 gene:Dexi8B01G0005100 transcript:Dexi8B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSAAAAVLFQVAVAALMAMAAPHQHLAAAAAAAGPPTNIGKPGCKTTCGNVSVPFPFGLSPGCYWPGLNLTCDTSHVNTPRLLLGDGTLRVTEISIENATVRVMRAGPIINATRNYRSDGWNVSFGRSFTDYGYKLSSSNELVVSGCNVVARILGDETPRVIAGCASFCSIWELDNGPIILSMTSTVTATSKYCARKSGCCQASVSISRAPKEVEAKWLYSGNHTMEQYLASPFVFVAEDGWVDKNGRLVANELEEVPIVLEWSVTQGLLQSDDHSCSDNVSRTLCKSEHSQCSSEKPGYTGVGGAPSSTGVATSTTTTASPPHLFPAVVRGTDPTATAAG >Dexi2A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:2A:7118898:7119983:1 gene:Dexi2A01G0007300 transcript:Dexi2A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLASTATTIVPAATTTFPNLLPPPPIDLRRLERGMSLPMPPAPPPPSLVATNNRYLGTKHKAIVVLGATGTGKSRLAVDLALTFDGEVINADKIQVHAGLDVATNKVTDAERAGVPHHLLGVVPPDAEFTAADFRRDATRVAGEVTARGRVPIVAGGSNSFIEELVDGGDRRAFREVFDLCFLWVDVCLPVLHVHVARRVDEMRRRGMVDEVAAAFDPRRVDYTRGVWRAIGAPELDAYLRWSGHGGEGERARLLEEAMEEIKSNTRRLACRQRGKIQRLARTWRVRRVDATEVFRRRGGDADEAWRRLVAAPCIEAVRSFLNDEDDDDEEEEDDDLLLPQVVPVFAPTPAAAAAVAV >Dexi7A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:7A:29066878:29069060:1 gene:Dexi7A01G0020220 transcript:Dexi7A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICITEPESDVIVEVGEISFHLHKSPLISRSGTLQKLINESTGDNNDDDSKMCTLELDDIPGGPEAFQLAAMFCYDVKIELNAGNVVPLRCAAEHLAMTEDYAEGNLVEQAETFLSTVLSTWNDTVRALHACDAVLPDAEDLLIVPRCIDSLATKACADPSIFGRPMLEYYTAKSLEETAVWNGINTAGKPRSLGPDWWYKQASTFRLPVYKRLIASVQSMGMSPENVAGSLIHYARRHLSGLRRFSDNSDGSGASSGKTMAAVLSDGEQRTLLEEAHPWLVGSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVLQVLFFEQLRLRTTVARWFYVPDNSDQGSSSNNCVLPRRPDDDRGDFAAGSEETTDEGSFVAARHGESSPPAMSVQEIKQRMSELEEECSSMKQEIHKLGKPKSALSRLFSKLGLGGRSSRERQNQQEALPLPGAGDKRRKSFGC >Dexi7A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:7A:3679711:3681744:-1 gene:Dexi7A01G0001450 transcript:Dexi7A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRADGPATVLAIGTATPPNCVYQEDYADYYFRVTRSEQLTDLKEKFKRICRKSMIKKRYMHLTEDILLANPNMASYSEPSLNVRQDILVEEVPKLGAAAAEKALKEWGLPRSQITHIIFCTTSGVEMPGADSRIIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEDHLDSLVGQVLFGDGASSVIVGADPNEHVERPLFQMVSAAETILPNSDGAIEGHLKEVGLTFHLQERVPDLISTNIERLLEESFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRATRHVLSEYGNMSSACVLFILDEMRKSSVKDGRATTGDGMDWGVLFGFGPGLTVETIVLHSVPITNAA >Dexi5B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:5B:21439361:21442488:-1 gene:Dexi5B01G0019120 transcript:Dexi5B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSLFHKFFVNAIKVATMKNRSLPAAAAAVAAAIVFFVFATALPMAIAVVEHTFVVSQVHMTHLCKKTLVTVVNGQFPGPAIEVMEGDSVAVHIVNKSPYNITIHWHGVQQRLTCWSDGVPMITQCPIPPNQNFTYRFNVAGQEGTLWWHAHVPCLRASLHGALIIRPRDGASSNPFPEPHEEIPIIIGEWWEKDLSKVGMNLRDGSLNDDPSASTINGKLGDLFNCSGVAEDGYVLDVEPGKTYMLRVINAALFYEYYLKIAGHKFTVVAADANYVNPYTTDIIAVSPGETVDAILIADAPPGRYYIVAQPVEPPLLDTQAPVYVTRGVVQYNRNHNYDNSTASQSSSTHGANGVDTHRLGETPVVPEMPGMHDTMVSFNFHGNLTSLHHPLRPTPMVPVRTDEHLFIALGLGMVPCQVGQSCSTRKGEENIIVATMNNVSFHLSSTTTPLLEAHYYHTGRMDDVALELPDRPPRAFNFTDQALIPEGPKEALLEPTSKATVARRFRHGTVVEVVFQSTALLQG >Dexi1A01G0024640.1:cds pep primary_assembly:Fonio_CM05836:1A:31122559:31122921:-1 gene:Dexi1A01G0024640 transcript:Dexi1A01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMCASAQSKACLEFGPKSTATRRSLPRRRSTVSRSSSGAASGTTGTRCGSTTYRQLYSSTSSSSVSIDDAPPSGNYRRRLRAAAKPRGRRFPLTDTSVIVVAVARPAAFNSRRGSVCD >Dexi5A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:5A:19994605:19995021:1 gene:Dexi5A01G0017000 transcript:Dexi5A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAVSAVGATSPSSGSDAPAVCNPQLAAVTAERKRKRKESNRLSAQRSRARKQRQLDELTVQVAALRARNGAMAAAAHDVQRRCAAVQAENALLQAMNLELGERLQSLTELIRCMEEATMYNQPQLLDANMYNYY >Dexi9B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:9B:13206728:13211369:-1 gene:Dexi9B01G0018500 transcript:Dexi9B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSAARRTAQIRRLLSSSAPPAGAGAAVPGPCIVHKRGNDILHDPWYNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYERFINSYRSLEHNTRGEPDSIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPADKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNEKLLEDKLYLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLQDFTNQKIVVVGAGSAGIGVLSMAKQAMLRMPGIHRTGEGHNQFWVLDKDGLITKARKGLDPAVARFARGYGPDEIPDLPEGASLVEVFDTDLQVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAVFAMSNPTTKAECTPEDVFKLVGENAIFASGSPFGNVSLGNGKTGFANQANNMYLFPGIGLGALLSGARHISDGMLQAAAECLASYITDNEIRKGILFPEVSSIRHITARVGAAVVRAAVAEDLAEGCCDVGPRELGSMSESETVDYVARKMWYPIYSPLVSDK >Dexi6A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:6A:28828158:28828661:1 gene:Dexi6A01G0021610 transcript:Dexi6A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAARALAYLHAGQGEAHGIVRSSTVLVVDEVARLAEHAVHRLLVPPAAVRADGYKAPELQSMRRCSARTDVYAFWILLLELLMGRRRRSWRRRRWRSPAEEGMLQALKLAMGCCAPVPAMPEVVRQLEDIRPRHPRSSPAESRSDAGTPTTTT >Dexi3B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:3B:2237192:2237593:-1 gene:Dexi3B01G0003450 transcript:Dexi3B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGTAGLWRSCLLRGQLRPFEMKQLDLTKLGHGRTGRCPWKMSQEARPAPPPASASSSCGAPPLVLLHPRPSSFPTMVGSATRGLEAAWSTAALSNATSRSIGFTSTLIPSRAAVGGRVVVSGGGGSWGGA >Dexi8A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:8A:27282970:27284196:-1 gene:Dexi8A01G0016120 transcript:Dexi8A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFMLVSILAAGWFADAIGRRGTIVLANAFLMAGALAMSLGATYTSLLAARFVTSVGVGFAVVVAPVYAAEIAPASSRGLLSSLVDFFITAGILLSYVSNYALAGLPLDLGWRAMFAMGVLPPLLLAAGVLAMPESPRWLAMRRRDGEARSVLERTSDTPEEARHRLDDIRRAVAASDAVVSGAGGVWHELFVSPSPAVRRIVTNVLVLYSFQQASGIDAIVLYSPLVFKAAGISSNTTVLTATIGVGVVKTLSIFVATFLSDRLGRRPLLLASAAAIAVSLTSLGTTLCFATGDDASTATAAACVATVAAFVAAFSIGLGPVAPTYAAEILPLRLRAQGMSLGIAANRTKGRNLEDIGVLFAK >Dexi8B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:8B:22948485:22964791:-1 gene:Dexi8B01G0013070 transcript:Dexi8B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKAAGSAAREPEIEAAVRAPVVPLGGAARLDIMPECYGVECPQRPPPRPSLPHTLQPPRPAAVQENRREGSPRTTDQRHDWRWCHHLLSEAVPSPTGVPPDSYGDETGSLGTDGAVGRCLNFTSEGGLLLHDGNGTMVWSTATKSQSIAGMDLDVSGNLVLFNQDNSSVWQSFDHPTDTLVMGQSLCTDAVEAMKLAMWCLQVDSSKRPLMSTIAKVLEGVMSMEAVPDCTLVPSFASNDTVGSGSSYVPSESQLSGPRNFTFQIVAGKHQRLEARYLPTKKSRSEIQRDHTGRKPCTTGDPWPAAEVERGPPAHHASLPFLASLGPSSSSPSAPHRIRNSASHESRAPSPSTVRHPPPPATARLRLHHVTPPPVRHPAAKYGSFEKASRKNDFLASGSGLIKAFSSEIVHVVDEHSNSPSNATTSSTPGFPLPSPTGVSVDSQGGKRGSLGNAGAVGRRRSRLERLRRPAADVDSAGI >Dexi2A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:2A:14313449:14316275:1 gene:Dexi2A01G0012300 transcript:Dexi2A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLQTEVLKDAIALVVLEAREKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEELGSVRLRSHASPGSRQHLSSAPPDFVPHQSSRQHRSPAYLSPSCRARMARWPLPRATRAGLSSSGGRGPAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >Dexi5A01G0028670.1:cds pep primary_assembly:Fonio_CM05836:5A:31869409:31870767:1 gene:Dexi5A01G0028670 transcript:Dexi5A01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPFFYFGNDVDDEDYLMSLGLILPPTPPQPPAAPPPGSAFEAYRRRAATATLLEMGRGQHNNSGGEGNVHRRMFGYLRRIDHRHHDSAGFVVRSATAAADEATTLASSSPQQQQAPRSSRFRHITRERLRRERLSQGFADLHALLPPGASSRGGKNNIVGAAAGYIRELEGRKGWLSARNQELLELEASRRRWSGDMVVKVRAESEDHATAVDVLEAVLRRLKAMEELRVTAIRSCFCGGGMWMDVGVEGGRQVSTREVDKAITNALTELAGTKELGKRGPGSRKPSFSCLVESGVPMG >Dexi8B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:8B:5730409:5738099:-1 gene:Dexi8B01G0005550 transcript:Dexi8B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGNMVSATRKGAAVLDQHIPDRIKQAYHVLQVGDQIYDATLNQTNVGSNNNKFYVIQVLESDAGGHFMIYHRWGRVGAAGKDNLLSFPTQGRAIYEFKKFLEKTNNFWSNRKKFKPNAKKYTWLETDYGESDKETNKTEKKGSNITGWIKETQLETRIAQFIYLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKGHDVLKRISNVISNPEGGQLEQLTGEFYTVIPHDFGFRKMSEFIIDSPQKLKAKLEMVEALGQIEIATKLLEDDSNARGLAHVEFFLPDNIVLGPDVWGRERADGGAGARKRRADGRTGRSRGWTKDRWERGRRRWSVGRRARRQDLRMIHYILDTSNFVVTSRLLKLIQKNTLWLLSFITQIFANKIKTYLINTHEETHSGYTVDIVQIFKMSSHGETKRFQKLYFTVVTYLHSVFCYCGLRFHMFGKGVYFADMFSKSANCCYGSKASRSGVLLLCEVALGDMNEVLNGDYKANDLPKGKLRTTDDGVLVPLGKPTKHESSEMARSRYNDYIVYNTDQIKMRYALHVTFNFKS >Dexi1B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:1B:19860428:19861169:-1 gene:Dexi1B01G0013880 transcript:Dexi1B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLHMLSSALVAAATLLLAASATDDGAALLAFKAGLSDPLGVLRHNWTNGGGASVCSWAGVSCSSRRHPGRVTSLELPDVLLQGELAPSLGNHSFLLVLNLTNASLTGPIPPALGSLHRLRYLDLNLNKLSGSIPVALGNLTRLQLLDLYHNELSGEIPLELQNLSSLEYIRLSTNYLTGMIPDGMFNNTPLLSVLNLGNNSLSGPIPANYSSCKITDCLAPCLLPSLTSPCFRLWH >Dexi7A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:7A:21319151:21321157:-1 gene:Dexi7A01G0010570 transcript:Dexi7A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTPAAATPCYDAPEGVDIRGRYDPAFASVLTRDALAFVASLQREFRGAVRYAMEQRREAQRRYDAGELPRFDPATRPVREGDWACAPVPPPVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMNGQVNLRDAVAGTITYRDAARGREYKLNDQTAKLFVRPRGWHLPEAHVLIDGEPAIGCLVDFGLYFFHNHAAFRAGQGAGFGPFFYLPKMEHSREARIWNGVFERAEQKAGIERGSIRATVLVETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMSQHFMRSYSHLLIHTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLAGKPNQIIGDATGPNEVVTAEDLIQPPVGARTVDGLRLNTRVGVQYLAAWLAGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALAHGAAGVEVRATPELLARVLEEEMGRVEAEVGKERFRQGRYAEAGRIFARQCTAPELDDFLTLDAYGLIVVHHPGGASPCKL >Dexi2B01G0034630.1:cds pep primary_assembly:Fonio_CM05836:2B:41938334:41940878:-1 gene:Dexi2B01G0034630 transcript:Dexi2B01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASEFRRDYDGACLQMRMAYCPAAHFFLFLVQWTDCSLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICVERYRKRDEDPKMVISEIDDNIEEECGICMEINTKVVLPTCSHAMCIKCYRDWYKFALPLLMRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRRENLRRLFMYIDKLPTVIPESVFDVYDSHVK >Dexi9B01G0036870.1:cds pep primary_assembly:Fonio_CM05836:9B:38353152:38353639:-1 gene:Dexi9B01G0036870 transcript:Dexi9B01G0036870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVETHARAFADEVRGGLETKNWMLDLGHPLLNRIAESFVKAAGIGAVQAVARESYFMAIEGEGGSVSGSTGSRKRSFPELNGN >Dexi2B01G0032860.1:cds pep primary_assembly:Fonio_CM05836:2B:40630821:40635042:-1 gene:Dexi2B01G0032860 transcript:Dexi2B01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFVAAAAACPAAAEAFAKYYGIVSGGTNAKARQGLVELSQAIDGIEGMRDGIFADIPKLMPFIDLEDMGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLGRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCTISTTFKGLDLLPGSKRKCPPHFRSNEEDVELSDSFTRSVHLTPIKRVKESHGTKYGVGESYRGTHFSDISSDYREDTNLHNPRGCLQNSPCNVEDALSKGFEGCISMKCSF >Dexi4B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:4B:10362303:10363795:1 gene:Dexi4B01G0012550 transcript:Dexi4B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPLLLYVLLFTYCFIALGADEHGFVVVPTSSPSSEPDCSSASQATSDPNRASVPLVHRHGPCAPASAAGKPSLSERLRRDRARRSHIISKATGRTVTLSDVSGGVTIPTSIGSAVDSLEYVVTLGFGTPAVDQTVLIDTGSDLSWVQCKPCDSSSCYPQKDPLFDPRASSTYVPVPCDSDACKALADGYNDGCTNTTGTSLCQYGIEYGNFDTTVGVYSTETLTLNKHGVSVTNFSFGCGLRQQGTFDKFDGLLGLGGAPESLVSQTAHIYGGAFSYCLPPGNKTTGFLSLGAPRNNTAGFVFTPLHSSPEGATFYVVNLTGISVAGKKLDIPATAFAQGMIIDSGTVITGLPQTAYEALRTAFRSAMSKYPLLPPKDDLDTCYDFTGLSNVTVPTVSLTFDGGAMVDLDVPSGILLEDCLAFAGDGADDTGIIGNVNQRTFEVLYDSARGHVGFRPGAC >Dexi1B01G0026150.1:cds pep primary_assembly:Fonio_CM05836:1B:31054361:31054962:-1 gene:Dexi1B01G0026150 transcript:Dexi1B01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPFYEEGSFFYQGLKLQEDDSYFQFQDFSADYELGEETWFPAQHFYAIPYPGISFYQDDLGCLSKVDFASFWAQFEEDDARGKSKEGDREKEHMKLVSGSGTSVQAGIGSVLKGVETRGPPRRGLAKEELTFEL >Dexi6B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:6B:25337443:25345561:-1 gene:Dexi6B01G0018350 transcript:Dexi6B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEPELQNMTRFFDLSPRSCPPSPLFCSAPGGLEPRPTRPRALAGQSQAGRVSRSSELPHFAPSKSTTSRGVLPCGSPACQHLPRWSCGGGDFWGNGSCVYTYSYGDNSLTNGVIAVDTFSFPSQLKVANFSHCFTAITVTGSSSKPSSLLLDLPPNLYRGDAHGAVKQTTPLVKNPATSPTFYYLSLKGITVGHTRLAVPELTFASTSNGTGGTIIDSGSSLTSFPSQVYRLLLDAFASQTKLPAAATATGGVLAKAGSLCFTVAPGVTPEGATLDLPRENYMFEIQGEGASRDEETIIGNYQQQNLHVLYDLANNKLSFVPAQCDKL >Dexi5A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:5A:24982789:24988195:-1 gene:Dexi5A01G0021100 transcript:Dexi5A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDQRKKSSAEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVAPRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPIPFSQKFPNADPLALDLLQRLLAFDPKDRPNAEQALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPRSTIVHSAPIPVKEQPRIGPSREKPSSDESYKNPRETEKYSGNVPRMSQAPQRVPTARPGRVVGPVMPFENGNTKDPYDARRLAMNSAYSPQQQIPQTYGYYQTPGKPACSELSQAERYTLHQQAYACANSTTVADVALDMRAPPFHHPSAGPKSDSSDRLAADTNLYTRSLNGITATAAGVAASAHRKVGVVPFGMSRMY >Dexi9B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:9B:2639600:2641201:-1 gene:Dexi9B01G0004610 transcript:Dexi9B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALLRLIKSLSPAAGPRAHLTASAIHCLLFKEGILHAGAHLPTALLSAYAALGRPRDARDLFDEMPEPSLIARTAMARAHAASGQAAQALAVFRDMLADGVFLDNVALAVALAACHGVGSSSGAARKPGKMVHALIVTSGIVPDVFVSTELIRVYGECGELSVSRRVFDDMPVRSSVSWNAMVHQYFRHSNVGAAYELFLAMPRRDVVSWNTMIAGYCLIGRFREALELFRQMVSPSSCPVHPNGPTMSTVLAACAGAGCLETGIWVHAYIDRNRMNDDGSLDRSLIDMYAKCGSIEKALQMFEKAPGKRDLYSWTTVICGLAMHGRAADALRMFGMMQNNGVHPDDVTLVGVLNACAHGGLVDEGLCYFDSMEEKYAIVPKIEHYGCIIDLLGRVGRLQEAYNMIMTMPVKPNVIIWGAFLNACKVHSDVELGEIAAAEVSRLDPDDPWARVMLSSMYAKAQDWSNLARERKEMNSMQMKKTPGCSSIKLDGEVHEFIAGGFQHPQLGEICTALENIEAQTHAS >Dexi8B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:8B:24080766:24084414:-1 gene:Dexi8B01G0013700 transcript:Dexi8B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKYGVVLAVEKRVTSTLLEPSSVEKIMEIDSHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLEEAETIALSILKQVMEEKVTPNNVDIAKVAPSYHLYTPAEVEAVIARL >Dexi9A01G0030300.1:cds pep primary_assembly:Fonio_CM05836:9A:35231279:35234895:-1 gene:Dexi9A01G0030300 transcript:Dexi9A01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLAVEAAGAAASSASASVLNGAVDWWRDVNESPLWQDRIFHALAVLYGIVSAVALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSAVFVLRRNVQLVQPEIIQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINGVVYAIQIILWLVLWWKPVRVMVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >Dexi5A01G0025340.1:cds pep primary_assembly:Fonio_CM05836:5A:29232527:29236208:1 gene:Dexi5A01G0025340 transcript:Dexi5A01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGGEAEAEYESGLDDAHLPAARCRAAASDDEEEEEVDDEGGGGSGRGSPPPPSTVFDSESEPDGQGKAELYDDGGDEGVYGTDDDECDDLFEEGEAAAIGGGGGGEVQVVTNEVAVAPEDEGKYEEYEAAVEWEKEADVKGEEEVKKGSEPYAEPTAGAFYQHDTRCRVWEDSSRGHQRKAIVPSASIEHGTAIDSHRIVPIDTMTCSALHPVATSHNYSKDSKFWDQDRDLNISEIARPSSEPQIAFYQQRSVQPPVLPMPRAAAQIIVQKDTITNNIQSHPRTTLISVSDDDEATALPETNSSLVLSAVTAQDDMKEAERSCFLDGGNLVVGDTGERSFTLDEPCSTGTPAKLPVMLFGDLHPRGSGFPSVAMVLPGFVVQQCDGNSEIGRMTCTLPILTGATGVREGTSSPPNFGSNCPQPSGFASSLPSPRFR >Dexi9A01G0023510.1:cds pep primary_assembly:Fonio_CM05836:9A:18910426:18911042:-1 gene:Dexi9A01G0023510 transcript:Dexi9A01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPWGYWYVSFFQQVAAVGNNIAIQIAAGSSLKAVYKHYYTADDGAMTLQQFILVFGLFELLLSQLPDIHSLRALCVNR >Dexi9A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:9A:2319336:2320727:1 gene:Dexi9A01G0004320 transcript:Dexi9A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVLLAPLLVLLSVSGALEAAAGTTNGTSPADGMIRGRSLGSSSQSVFSLDRYGARGDGRHDDTQALAKAWKAACASPRPAIVLVPGGRRYLLKLVKLSGPCKSSVTLTVKGTLMASPNMADWSDKDRRHWIVFRSVDKLTVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVQDLKIVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSQVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTISKDAIKMNCSKNVPCHGITLQNIDLKMQGGRGTTKSTCQNVKWRRSGTVLPQPCTAKN >Dexi3B01G0024160.1:cds pep primary_assembly:Fonio_CM05836:3B:18866112:18868421:-1 gene:Dexi3B01G0024160 transcript:Dexi3B01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPSPRSVADLPGDLQRLILDHIPCSADLARMSIVLDIVPPNARCFGSHDGVWLFLATLEPRAHVALNIRSGHTRAIPTDLLRRTDPQRLVHGMIIHAAALTTSPEEAGCVGAGVVTSWPLPVPGAEAGLPPHRRCIAVWRQDRPLACDFVMPPGDGAFAFLTSGENFRACTARPHGNDWLETEWETVRFRPNHHLYDPSVHASSQ >DexiUA01G0002950.1:cds pep primary_assembly:Fonio_CM05836:UA:6313746:6314492:1 gene:DexiUA01G0002950 transcript:DexiUA01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAKEFWVVQKEVAELIKGRILVGHALPNDLKVLLLSHPKKDIRDTSEYEIFRRERKRRSLKDLAAEVLGAKIQQSEHCPIEDARAAMFIYNKHKKGWEKNMKEQFRFKKKLKKRGKKKPAESNVNDPNIPTVLL >Dexi3A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:3A:5653564:5661108:-1 gene:Dexi3A01G0008120 transcript:Dexi3A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFREGAGTGDAHAPPPTPTPSLSQYLCLDSPAWAADPKQQQQQQHWRHPELRRALAADDQADELRRIRASVQDSTGKAKYAPPPLFASCPLPVPPALLIAAPPPIPAREKVRSLHEAIQKLDKYKNIVTRKRQRTTDAGPDKLASSSGALRIGAQNSSSVLSKRVRSSLADARVEGRASVPTRQSPLVSNDKNSSVEKEKSSTRMSATVSGLSEDKLRGLSTGGEGWEKKMKRKRSVGTMLGRGSDADRDVKSVGQHRPANEVRSRSSDGLPYRHGASAGALAGNKVDGASQQNNIVSRIQSKTDVDYGIGTQPNERRERHAGVDKERTTVKGNKASTSEDMQNGSLSPLPKAKACRAPRTGSLVMNSSSNFQRSTGGSDEWEEAPPYTNKASPLGGITNRKRSTHSNASSPPIAWVGQRSQKMSRTRRANVVSPVSNFDEVLSEGSPLETTTRSTPIESGSVLVTKNTATTKMDSISSPAGLSESEGSAATEGKSKEKAMHSGEVGNDGANAAHAAMGLIFSSNKNRIPLKEELEDGGVRRQGRSGRGTMHVKGCSPIPKEKLDTAETRKPIKGGRPGSEKNESKLGRPPMKKGSDRKASSWHSQALNCEPTDITGEPEDDQEELLAAVTAARSSIVGAYSGPFWKKMEPMLTFISSENLSFLKNQINLVEELEMSMSCISDGEHDIIASSDYRRMQKLPKLEDGEDEDINQMISELRKRLHDQVNQKKCRLHKLDKSIQDTKDLEERSLEQHAMNKLVERAYRKLKGGRVGSSHKAGVSKSANKAAKQLALAFAKRTLARCQKFDETGKSCFSEPSLWSVLSAPLPSSDAKSTEGVERLKHQKLDRTPFDQAGGTKWKKSDRERDHNRDASAKGSGLKSGRHSSGGSGRSGERKNKTKTKQKLAQLSTSGNVLGRVVEPLSSPAVQEPPLEPPSERKTQHPTRNTSSHATQRPTTDAALPVLPGLDDILDVGGLDGQGNDISSWFTDGLDDSLQDIDLSGALEIPDDDLTQLGFI >Dexi5B01G0025830.1:cds pep primary_assembly:Fonio_CM05836:5B:27749139:27754742:1 gene:Dexi5B01G0025830 transcript:Dexi5B01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQLLLGALLFLLPATLLRLLRARSKHPRLPPGPPSLPLLGSMVWLTNSPSEIEPLLRRLVERYGPIVALRMGLSLSVFIADRRLAHAALVESGAALADRPTLTSAGLLGETQNAIGRGSYGPVWRLLRRNLVAETVHPSRVKLFAPARAWVRRVLLEKLGEPRPGAAPAAPCVVETFQYAMFCLLVLMCFGERLDEPAVRAIAAAAREALIYRSKSMPVFAFFPAVTKHVFRSRLHRVRALKRRLGELFMPLIDARRERKERGGESKKETTFEHSYVDSLLDIKIHEDGDRALTDDEMIILCCEFLDGGTDTTSTGLQWIMAELVKNPGIQEKLYNEIKATIDDDKEEVTEEDVHKIPYLKAVILEGLRKHPPAHFVLPHKAAEDMEIGGYTIPEGTTVNFMVAEMGRDEREWKNPMEFSPERFLPGGDGEGVDVTGTKAIKMMPFGAGRRICAAFGMAMLHLEYFVANMVREFEWHEVVGDEVDFAEKNEFTVVMKKPLRPRLVPRRSQASQGLGKRKRVVLDDEVAFFIGLTDAINGFAKAVMDTNTPKAAPGIYSAVMGCPNFSREALMFCLNYMMKEKETAMGFLDMEPDDRELWLRDHLGRNNFYG >Dexi8B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:8B:9414481:9416104:1 gene:Dexi8B01G0007640 transcript:Dexi8B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETAVDSPAGGLVCVIGGSGFIGSWLVRRLLDRGYSVHATVKNIQDERETKHLQALDGAASQLLEPAVKGTLNVLRAAKDSGIGRVVLMSSKAAMVPNPDWPADKVIDDDSWADIEILKKRQLWYNVSKTLAEKAAWDFCAREEGLQLVVINPGMVLGPFLTPSVNASLHWLLQLVQGQKMDMDLYMGCVDVRDVVDSLIVLYESPSAQGRHLCMESVERLVDFTNHVADLFPELPVQRIKEDKQGWVVRAKDPSKKLIDLGVHFTRLGVTIRDTVDCFKSKGLI >Dexi9A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:9A:6238183:6240309:1 gene:Dexi9A01G0010280 transcript:Dexi9A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLASSLLCSSSGSTSRTPAVPRAIRIPLFTKNQYRPPLRPLRSTSLVRRSLQQEQEERADQASSVAVASGEQQQEETATSSHHVRGEDGATVSGHAGGGGAEGGQGHGDGEEEKRSTDEQQEVDWKSDEEFKSFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLLRGLAKDQLAREKQRLELAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVDEVRPKLEKKIAEAAGTEVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTTWGYLSAVALAVTTFGTVALMSGFFLKPGATFDDYVSDVLPLFGGFLTILGVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYITSVVLAVSAFIADGSFNGGENALFVRPEFFYNNPLLSFVQVVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPVGRLEGGRIAQALFGRGTAAVLSFITSILLGAGAISGSVLCLAWGLFATFIRGGEEIPAQDEITPLGNDRVAWGFVLAVVCLLTLFPNGGGTYSTSFLGDPFFRGGI >DexiUA01G0024250.1:cds pep primary_assembly:Fonio_CM05836:UA:50087886:50089825:1 gene:DexiUA01G0024250 transcript:DexiUA01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPFLDPERAHEFTFQQLRRITGTPLAALVRQNVPEKPVQCMGLTFKNPLGLAAGLDKNGECIDALGAMGFGSIEIGTVTPRPQPGNDKPRLFRLPGNDKPRLFRLVEAEGLINRMGFNNLGVDHLVENGMKNCDEAGGLSGRPVQLKSTEIIRALSAELKGRLPIIGVGGIDSVIAAREKMAAANGMLFRSRFARRMLTPDAFSPSGFCVDDAALYFSFEEKCRDLVLSKEQRAELVLNALVAIRFLKPQMPKSWHFLPHSMNWTPATGDAASVNLSDTAEEVSLLVVEPGENAALCLLAQPGVTIAGRTMQLGDAIKVMNDRLKPQQRVDAFSLEQAV >Dexi1A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:1A:25290791:25291842:-1 gene:Dexi1A01G0018020 transcript:Dexi1A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTLIPRAIRSLSSWCGSLAFFVGIDILMARLCDRTLIYNHLYGRQFILGHNPNLQGESAVAMENNELCNEQPFFWTLFGHKGAYVRLALQFICCPASLKVVDVTEKKLSFNRQCIRSGYNANTFWRFISGNEQFLAYMIVLVALQIFLQLTRVNVTTLFLPMLSRATSSRTSPAVIGNIVLVLVNSCGVLGSALATKHYGREVTFTIGAVLMVFCQVAIPLILEVQIGVGGGTRMPTGYTTAMFALTCVVSCGLSWCWGSFFWTIPGRKFHSAGQVLTMILNFGVCFAQMQYFLLILCRLKNAIFAYYAMWIWS >Dexi1A01G0025260.1:cds pep primary_assembly:Fonio_CM05836:1A:31566043:31566303:-1 gene:Dexi1A01G0025260 transcript:Dexi1A01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAARALAALLLSTFAALRPRPTRPRVPVEATEKERDADGRTEAEGRRPVPASGDAVLASTAMVSILPTSPCKKEHEDYEDWKR >Dexi5A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:5A:4914268:4915459:-1 gene:Dexi5A01G0006670 transcript:Dexi5A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGVVLGTEDAVVAAAARHFSFPPPRTGGDSCSKLVAQGKQVAVFLDYDGTLSPIVEDPGSAVMTDETPHKSKPITKMLPGPGNSRRPEEVEESGGASKLHHGGLLGLATCSMAINLVVCEPPPGLEKNAYFLLALSVIFFSGVAHVFAAIVASDDPRGRAGRRAAAAAPSELKYIVSIAPFVVAAGLSVASFMW >Dexi5B01G0027290.1:cds pep primary_assembly:Fonio_CM05836:5B:28899266:28899983:1 gene:Dexi5B01G0027290 transcript:Dexi5B01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVALTPDGDLDGDADVADDGVGGGGASDTRDGGGGGARGAGTPLGGGGEEGTASGGGGDSGGTPLGGGGDSGTGGGGDAVGAVAGGGGDGVGTDAGGGGDGVTTTGGGGDGDGGGGDGGVGVGVGAGVGGGGEGDGGNGDGVGDTGDGVGVTGEGVGVTGGGGGGLSGAGGDGVSGDDGAGADMASSLLAPGGGALTNDEHHHQRRSEDQTRDIYQSMCWTHGAS >Dexi8B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:8B:15487299:15490036:1 gene:Dexi8B01G0009100 transcript:Dexi8B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIENKGGAVSLMLAALLFGGSMGPPMIYMERRGRLPQHIYLDYSIANFISAIMFPLTLGQIGASKPGMPNFFTQLSQVHDNWPSVLIAMAGGLALSLGNVVSTTMNYFLDGRINRAEILFPGAACFLIAVFLAAAVHSSNAKDKEEKIGTMATNG >Dexi7A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:7A:26869026:26870751:-1 gene:Dexi7A01G0017060 transcript:Dexi7A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPGTGPGCLGPPAQAPAGSLAGVQGAPRRPSVSKPSWVSNVRREKPKRPDPPCTICKGTGRIDCRNCFGRGAEFVVAVD >Dexi2A01G0027420.1:cds pep primary_assembly:Fonio_CM05836:2A:38834034:38838515:-1 gene:Dexi2A01G0027420 transcript:Dexi2A01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQGGAGGGAGLDRKRISDVLDKHLEKVVGSPSTSRGSAGGGGRDHHRLVVPSSASSIPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESAHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKASQRYIPRVFGFKLHKP >Dexi5B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:5B:20632566:20635544:1 gene:Dexi5B01G0018450 transcript:Dexi5B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPVGLAAMAVAVAMLAAAASAAVTYDRKAVVVNGQRRILLSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAEMQKFTTKIVDMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAVALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPSMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKELHKAIKLCEPALVAGDPIVTSLGNAQQVASVFRTTGACVAFLENKDKASYSRVAFNGMHYDLPPWSISILPDCKTTVYNTAKVSLGT >DexiUA01G0014140.1:cds pep primary_assembly:Fonio_CM05836:UA:29997771:30009717:1 gene:DexiUA01G0014140 transcript:DexiUA01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASCSNANPQGQEGQQPPPPPPQQPPTWQQLYATETEILHNLQQQQQMMQQQMQKQQGGHRAPPPRVARYEDFLATHPPVFSRAEEPLEADAWNRAIESKFSTLATPSLLWWENYLALQQENHVIEWEEFKTAFKAHHIPAGLVERKLNEFLALIQGTRTVLQYSQGFNGLCPYAGHHADYNEKTIERFRRGLNTKLKAQLTTTRRFRLVPPAAPQGQLRAPQGGRWVARPPQQGAPHFPPPPQQQQAPRQNVQQSLHPGGGYSCFKCGSSSHFIKDCPQNKPQNQCPGNQQNKGKQQRVQVRQGRLNYTTLADLPEGAPDMTGTFPIHNQPIINSPSHGPSALYLPHQKCANACAYTMVETQLKDIPVVCEDIEFVIELQPGTAPISKTPYRMPPNELPELKIQLQELLDKGFIRLSVSPWGCPAIFVKKKDHSLRLCIDNRPLNTVTIKNKYPLPRIDVFFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYELYEFLVMSSGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLGIVLQRLRDHQLYAKFSKCELWLESVKLLGHTVSKDGISVDPTKFRSFLGLASYYPRFIPDFSRIAKPMTELLKKGVKFEWSDKCEEAFHTLRKHLTSALVLAQPDSTKPYDVYCDASGTGLGCVLMQEIRVIAYASRPLRPHEQNYPTHDLELAAVIHALKIWRHYLIGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLGLAKDYDLIVHYHPGKANGVADALSRKAHFHCLSMKSYADTLCHEMAKLNLETVPHGYFKLISVEPTMHDQSVVAQLNDASIKILKRKLAKGKAKYKCFRLDGQGVMWFGHRIVVPKNVELRRKIMDEAHLFKFSIHPGSTKMYQDLKQNFWLTRMKREIAKYVSECDTCQRVKASHLKVAGTLQPLPIPSWMWEDISMDFIVGLPRTPKGHNSIWVIVDRLTKTTHFIPVNTTYTAMKYAEIYLEQIVCLHGVPKTIISDHGTQFVAHFWEHLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACAGERYTYSPDLVKEAEEKVRIIRENLRTAQSRQKSYFDQRRKPLQFEVGDHVYLKVSPIKGVQRFGLNGKLPPRYIGPYEITQQCGLVAYHVRLPEKLSTVHNVFHVFQLKKCLRVPTEVVEQEELSVEPDLSYDEHPIKIVDEKERTTQRKEVKMYKIQWSHHSKDEATWETEEYLKKNFPDILPKTKLHDRPDSLPGRSVSGHRSGATWPASGGDLDCAAKPSKTMSQLPAHASNCSLCLVLHRAHHARLVPPARIMPAYQPELPRDPASSPITGPKLVGASPAYRARSLTANPLARLAQSSQAHSWRPASSPAVTSPPPPPLASPVPPLLMWTRVPDLPKGSDKRRFWRSRDTNRSGFPTTRPEPRNRSTTSPQVIDLNHAKSSTSSPNPNPIHTKSRKTAMASGEGSSRSKGTKRRAEAMKEVVTTEKAAPTGDMPSIEDPISDWPTSNLKDKHIKTLEADGFLAAQEISRWRCAYVHEYPTEETEELTGMRPVYLEYTTKESLKDWQKEWFYPWNQQPQLPSRSGNPPIPELLNMIRDLKAQGLTGAMVYRLPPLDPTNAEEASLLARCVDPGVRDQVRQCKQPAIEEPDEPHAHVEQQVPEESQAKAGMTSKRGEEPKRTATIELNAPVPKRARTLSKPRARIIPEERAKISPQLKSSSSTEDSLGEVHYKNSSPPMMAVKPDVVVHDIPACIVAEHYLRLPWEGSFSSELPPA >Dexi2B01G0036030.1:cds pep primary_assembly:Fonio_CM05836:2B:42963478:42964765:1 gene:Dexi2B01G0036030 transcript:Dexi2B01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTRGSGRHVFGDLTNVLCKRPAPSDPEMSMGGIKIRHIEKDAVTWKEFDEYAKNSSRGKGIVYGHLFDGVAKENFEMPSIFRNSKVQHMAAEAAGLLSKGDSELRNRCASTESFDLSDKEQDSSLESEGDYDDENDDEMDGELPGHFSSSELANKTTANDDECLTQEEVVGSSGNQKPLSSLDVTTGGEMPSSSVQHASARTSGSEEAVATKSCSCSFCIKAASMWTNLHYLDARSRLSGL >Dexi6B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:6B:2343204:2348456:-1 gene:Dexi6B01G0002780 transcript:Dexi6B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASAAAALLRHLRRHRTGPAAAALLPRGLVSSDTAPAYSSLGALHGASHLSGGGGGRLAFHPRPRFPPQQHAAAMATRAAHQAASSSESPVAAAAPSEASTSTIPAASQTEEIVDFIKSTFGKLEGQNHCWLNAMNGIWRNLNQEGIYLVLLYQSCGTLDSKNNHSVAFERLKYLQQRYPRLNVFAVQHGSDITSAQSQAVRTIVTEYIAFPVLMLDKDFSNVRLTPMPFKCRLMSVQMTNGDCYLLFEGSKDPMLFTKWVEEPDVMIKAIEELSVLKEEPPENVLSRVSWQKEEVVKEPYVGSFRNLLLYHPACVSVDEDGDRIFISDSNHHRIIISNGDGMILDCIGSSPGFEDGEFESAKFLRPASLFYHGDEDCLYITDSENHAIRKADLERRTLETVYPVSDKSSGIWSWITDKLGLRKEVAPSIRDFDADSVALPWHLIQISDDELLVADRSFESPWILRISTGKKQDIGRAEVMETYQQTVNERCALLKDIHMNRSSITKELSDSLDKVTRKELVSSVSRFHNYIIFGDTDGQRVLKHDLDTKTTSNINFSNCEVLGLPYWSICNLERVATWGRSTEQFQEHLRQVDVLPGRCNITVSIDIPVDTELAAPLAENCICRQVRGSGAEISGSDGPETPTEKVGIAQQWYDELDNLAFSEVAEEPSTVHGGDEKPADQSYQDQRRVQFTCTVNVSPGTCELLAAAALYLKPARATGGHVDQRALVRRILGGCQRREEHAGVELLMGSREDVRDLVVMRPVHLRLRLECGDHPAGATNKETISTESSLKIDVALD >Dexi2B01G0035860.1:cds pep primary_assembly:Fonio_CM05836:2B:42806067:42811738:1 gene:Dexi2B01G0035860 transcript:Dexi2B01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVASGVHEALVTAGVKSGIYHGQMGSRAREESHRSFIRDEVVVMMATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKADFYCAEAKNVCNCGTQRKAIMDSFMAAQKYCLLPTCRRRFLLQYFGEELNSDCGNCDNCTAVKNVRDLSKETFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLQMHGRDYLRETVRDTFRTVSVSPKGVKFLSTADKMDGTPLVLQLTAEMIDLEEHGSSQHKEGGGLNPVPTLESEKFSEAGFSNAIFDESKLYQMLLNVRMKLAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFVSRFSGTFIQNITQLSKELNLPLDNSPLPPPIATDSAGENVAGLPKPAQNNLPGILGDAKLTAWELWQKQEFSFLKIAYFRRAVPIKEQTVIAYILDAAREGCEMDWSRFCREVGLTPEIASGIRVAIAKVGSRDKLKPIKEELPENVTYDMIKTFLTVEACGLSEQILGNAHASSNASKASGDDNPGDGALAADAGDANASSKRGQTDGMVGSAEEPATKLQKIEEHGAESTGRTSATVESVLELVGSRDGVLLDDVVEHFNGSKRESVVEILDSLECEFSIYKKNGKYMIM >Dexi9B01G0025350.1:cds pep primary_assembly:Fonio_CM05836:9B:25564185:25565036:-1 gene:Dexi9B01G0025350 transcript:Dexi9B01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAACTRMGGSLSAVAKEEQTPACTSFSTLSASHPSSPSARAAASWPSSVPSLSSLSSGGTASSSIMSLAKCWLSRASEARLAAESARAAGEPVCRRATWSQMKKRMGSFCAMLGRPSSESSSPSPAAPGEEMRSSHATSSSAVSSAATARGKPAAGLSVKRLRSRGWPRAHRAARPEALDSAVVAPAIIRAAGRSSGARAACRSSAASLSARALSSVHSARKLRAAAHCLATSSASWIGRASIAFTPSPISVPRSKSGFFWPGNGASARDTGDWGPN >Dexi4A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:4A:9881003:9881594:-1 gene:Dexi4A01G0011700 transcript:Dexi4A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PALPVIPFLPRPHPTQPAVVTNPGISEARGAARRWGSGRHFEGVKQHWARNFAFLDYFKKVYGRAEPLPKWSDADVEELIVPTRSTGPRSMRFLQLKALQESRKFALVGALVGATHLGGVAFKYSKAPHGTFGL >Dexi7B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:7B:25075526:25076131:1 gene:Dexi7B01G0019640 transcript:Dexi7B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKKATSSMLGLIIIALLGLQQAGVVAHGERSRRRLISSSEDEPCMRMNVYYHDILYNGENNGNATSAAATQPTALSRSVIVFDDVVTAGQALASEPVARAQGFYFYDNKERLNAWFAFSLVFNSTAHKGTLNVMGADLIFNKTKDFSIVGGTGDFFMSRGIATVRTDSYEGLYYFRLHMDIKLYECYV >Dexi5B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:5B:6595977:6598608:1 gene:Dexi5B01G0009590 transcript:Dexi5B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGDKAGRGGLRLESPRFRLLSIVVGCFVFCLVFLLSSRPDVTSSFDIVSPRASLANARRPAAVKTLRTSSATGAGEGGGGDFHVDIRTQQQESKIVEQSGDKTATEFVRDTVIVEERSDAEAIEAEHEEAERENDGNAATAASNSDDQPAPGTNPAGGETKKIQDDAAKTAVGTTATAPNRQAEEKTQAAVATGQSKLQEQPARQQPQEDLHEPAQSGGGDHQQQQQPLCDFSDFRSDICDLAGDIRLDANASSFIVVDPATSGADVATTHKVRPYPRKGDMTCMNRITEITVRRATTTGDAPPRCTVTHTSPAVVFSIGGYTGNIFHDFSDVLVPLYNTAHRYAGDVQLVMANAASWWLVKYAKLLRELSRHPPLDLAKAAASGEVHCFSHAVVSLSAHKELIIEKDRSVDGNLSTTDFTRFVRRALSLPRDSPTRLGDATGKRPRLLVISRHRTRLLLNLDAVIRAAEEVGFEAVVNESDVGNDISEVGKLINSCDAMVGVHGAGLTNMMFLPPGATLVQIVPWGGLQWMARADYGDPAEAMGLKYVQYEVGVGESTLKDKFPAGHKIFTEPTSLHKKGFAFIRQVLMDGQDIVVDVGRFREVLLQVLNNLSQ >Dexi5B01G0031450.1:cds pep primary_assembly:Fonio_CM05836:5B:32188001:32189489:1 gene:Dexi5B01G0031450 transcript:Dexi5B01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEADGSGGGLVCVTGGSGFIGSWLVRRLLDRGYTVHATVKNFSAWPAGKVIDEDCWADVELLKELQLWYHVSKTLAEKAAWNFAKEEGLQLVVLNPGMVWGPTLTQSAGCSLQLLLLLLRGGRLDMDGYQVGCVDVRDVACSLIALYENPSAQGRHLCLESIERMVDLTDRLAELYPELPVNRISKDGQGWVVRAKDPSKKLINLGVRFTPLDKTIRDAVDCLMTKGLI >Dexi3A01G0030920.1:cds pep primary_assembly:Fonio_CM05836:3A:35223627:35224704:-1 gene:Dexi3A01G0030920 transcript:Dexi3A01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAAAAAGTGTSIEDLPEDALLCILALLAPPDAAAAACACRRLASAVSSPXXXXARPPARPPATMESSPAAAAAGTGTSIEDLPEDALLCILALLAPPDAAAAACACRRLASAVSSPLLRSLHRLRRLLGLWRRLPSSASASFSHSHSPPAPLAAFEWAPRATLAASFLAPFSSSSARGGDDAAVSIARSPFVTLCVADSGDTVAAVGDVPVSVNFVGNNHIVVEALAAGEDDEEVEMVSGSPPEEMYAHFANRRSPGSGRRRRGKNGRKGGLMEPEHFVRIADPEPTQARPLQGLWKVPPLYTELAWLWPHHAC >Dexi1A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:1A:243062:243328:1 gene:Dexi1A01G0000380 transcript:Dexi1A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNQAAGGSSESSLVVTVDVTAARDLLAGDGGHRYLDVRTEEELSRLGRPVVDSKPFLNVPYMFITPQGKQASATNYLYNLLADFA >Dexi7A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:7A:2606006:2606649:1 gene:Dexi7A01G0000930 transcript:Dexi7A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGSAARALVIEDINVDRVILLRMLQKLNCEATVARNGKEAIDLFLQGKTFDIVFSDQEMPVMTGPEIRAMGATEVKIVGLSADFGGMEAFMRAGADVFVPKPMKLETLESMLQDVIHKKNVRG >Dexi3B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:3B:10605551:10607517:-1 gene:Dexi3B01G0014730 transcript:Dexi3B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Dexi9B01G0041300.1:cds pep primary_assembly:Fonio_CM05836:9B:41729668:41733422:-1 gene:Dexi9B01G0041300 transcript:Dexi9B01G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPDQQQYYTPPAMAPPRPNHHQGSGFPGFGVPFGAVAIKNEPAGQQPSSSSSSIFAFGGQHPSTLNFSGGDSWPDGGIEAFEAVQQPQAPSERRSRAHWNTQEHVIAERKRREKMQQQFVALATIVPDLTKTDKISLLGSTIEYVKQLEEKVKTLEGQNARRTSEPTVFERKCRISTDSDASGSSGSAFAAGAFSPSVEASIHGDTVLLKINCKERRGVLVMIISELENQGLSIINTSVLPFTDSCLNITITAKAIYG >Dexi3B01G0025720.1:cds pep primary_assembly:Fonio_CM05836:3B:20569837:20571163:1 gene:Dexi3B01G0025720 transcript:Dexi3B01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPQHHKPSKPSAPSCCSSWIRRSPPPSPPHKKPGGGGRSRYACRLVPLLVLTIYSLVTVLRIPSSSLVVTTADSERVERREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFISGEAGYGEEGVLCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSTPEKVRPYTRKFEDSIMSTIDEVSIVPVPGAYNASGGGALRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLEGLTNYKVVDFRSDRRVHCFDEMIVGLRIHGELVVDPKLMPNGTPSISPNCPQFLSRIAILPSPASHSTSHQTSFFPLRRQFLLLVKSR >Dexi5A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:5A:7009770:7016015:1 gene:Dexi5A01G0009320 transcript:Dexi5A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLLSTILAQPRLTLTGDPVSTFQNEEAACVQFVALMRRPNMHTILLHPVLGPSKARLAAGHQQLLLLLLGDEDVEETHDAGSMSTCQRCIPRPRPGGLLTLSVAGGGKRQGDGRQEDHEEGWMEANLRRRRGATAAASLVALAVSCFSVGAETKGYEGGSPIRSNTEHQGSESSAGARKGGRFPRVPNCRSRPSPEQRAAAVLCNSSDEENYHQRQAVVQFSGLPEGSNDEGWMGYDQWSRGGDRGCSGCSGDGGGARVPGVSLYFRGAQAQAPSPPQMWCVAVSRIPLFSGFPLRYPGRGGDLYGSSQRRRCPAALLGVDASRRPTNHGALLGTSSNAALDAFLLCTAHSG >Dexi7B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:7B:22696484:22698964:-1 gene:Dexi7B01G0016660 transcript:Dexi7B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYMRLPGAAAFAALVLLMLLLLLLLYAAHRWRNPRCHGRLPPGSMGFPLVGETLQFFSPDDDDSFDVPRFVRHRLARYGPVFKTSLVGHAVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGAMFRYLKNMVLRYFGPESLRDSTMLRDVEHAVASSLCTWSTLPAVELKEAVSTMVFDLSANKLLGLEPSRSKVLRKSFFDFVRGLISFPLYLPGTAYYSCMQGRQSAMEVLQEVLEERKRSVLVHGGPGGNERAGRYGDFLDCVVQEITREKPLVTDKMALDLMFVLLFASFHTTSLALTLAVKLLADHPHVLEELTVEHETILKDRKAGRESDGITWTEYKSMTFTSQVINETVRLANIAPGIFRKTLKDVQFKGYTIPAGWGVMVCPPAVHLNPSIYPDPLTFNPSRFKDKPEINRGSRHFMAFGGGLRFCVGADFSKLQMSIFLHFLVTRYRWKNLGGGKIVRTPGLEFPDGYHVQIRHSD >Dexi9B01G0030600.1:cds pep primary_assembly:Fonio_CM05836:9B:33072187:33073380:1 gene:Dexi9B01G0030600 transcript:Dexi9B01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWTGAIGAVKKRQDEQAAAAEPTYQSVALVVGSTGIVGTSLLDILPLADTPGGPWKVYALSRRPLPPWSPPAPSPAVTHLHLDLADAAAVAGALRPLTDITHVFYVAWTSRPTEAQNREANAAMLRNVLSVVVPNCPALVHVCLQTGRKHYVGPFEALGRVPFPDPPFTEDMPRLDCPNFYYDMEDILFDEVSRRDGAVSWSVHRPTTVFGYSPRSAMNLVGSLCVYAAICRKEGATLRWPGSRVSWEGFSDASDADLIAEHEIWAAVDPFAKNEAFNCSNGDLFKWKQLWPILADHFGVEWAGYEGEDNRFKLEEAMAGKEAVWAEIVRENELIATQLDEITNWWFVDAMFSVESELLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >Dexi9B01G0029280.1:cds pep primary_assembly:Fonio_CM05836:9B:31887888:31888754:-1 gene:Dexi9B01G0029280 transcript:Dexi9B01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTALLALVIALGCASTTANARFTALQWTPAHATFYGDETAAETMGGACGYGNLYATGYGTDTAALSTTLFKDGYGCGTCYQIRCTGSPSCYTGSPVITVTATNLCPPNWAKDTNNGGWCNPPRTHFDLSKPAFMKMAQWRAGIVPVMYRRVPCVRRGGLRFALQGNTYWLLAYVMNVAGAGDVAEMSVRSGAGAWVRMSHNWGASFQAFAQLGGRALSFKVTSYTTRQTVVAENVTPANWCLGLTYQARVNFS >Dexi2A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:2A:14606356:14610621:1 gene:Dexi2A01G0012580 transcript:Dexi2A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRERMASAAADRRQQVHGGRDDERWRETRRAGAGMERPETEAGSSRLQPSKLLGSSSEGKDRKAVMSSSEEGAAVEGRAPRRRHGSPGQSGVSVTVVYYLCRSGRHLEYPHLMEMHLTSPNQALYLRDVIRRLDALRGKGMAAMYSWSCKRRYKTGFVWHDVLEDDLLLPAQDSEYVLKGSLLLPQHSSPPAFVATPSPADHDHTNVGTTIIPRVHCVKPTTDEEVPTHSQEAWTANSSLSSPPTIKVEVEVKAPPPALHQEPQPELSPTSPSSSGTTGDRGEEAASARSSSSGSPSSPNKLTRGSAGSIGSPSGSTSSPTLPSLMLHNKQQVSVITDEATQTQGTSTGRDLHKKGTNCSTGSTPTKATVPTDDKQPGRTESFSYSTSRNRTLESLIRAEALGRRSVTAKRILEEEDDDDRAAQSIITKLNPANLLMRLMACGSTMSARQHLPACGIMRPTPKPQYLSQHVELLPSSPVLSPLGALIMRPVTAAGASVVSDSGDCSYCSGSMLQTAPKGCESGKVKSTSIKPPSSNEKYCVSEKEAPVQDLDNLEGRSKIISKTIKMAPCQQPTSGTLVTITTDVRHNNDEQESSNEASSKTLSRSTSKRMTDPSSQSSRVVSFHDEKEKVVKIEERLASGARVVIQCAPLLKGTYASAKAM >Dexi8B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:8B:25525825:25527866:-1 gene:Dexi8B01G0014820 transcript:Dexi8B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEKYSHSSWVVEMERILERKNPWMPEMKQWMRPSIYRVPEWLNSMTKREAYQPQVVSLGPFHHGERNLKPMEEHKHRLMLHMVKRSGKPLAQFIAAIKKVAERLQEAYDGLDEKWCGENTDRFVDVMVTDGAFLLEMMTCTSTGQVPSDYAPYDPIFSDHSILSLWAYIQSDMILVENQVPLLALQTLEAVRNNGTSPGNTYINKIVGNFVCPKLSKGNLPTEIIDVLGLHPLDVFHKCFCALSHTWSPDLKRSGQRETLMLSAAELREAGVHFKKSKTQSFRDIEFNKGVLSMPLVEIGDTSERMFLNLMAFERLHCSAGNDVTAYIIFMDNIIDSERDVALLKSKGVLKNFLSSDKAAAELFNSLGNGITLDPNSKICHVQWKVDMHCKKPWPKLQASFKHTYMRNPWVFISGVAAVILLSLTILQTIYTIMPFYKKN >Dexi2B01G0022740.1:cds pep primary_assembly:Fonio_CM05836:2B:32329451:32332266:-1 gene:Dexi2B01G0022740 transcript:Dexi2B01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAAASTSLRPPSASQRRIAVKCFTLSIPIFPPSRTRIYYSSSVPSYHPWLYSAESAPSVVLGHSGAIRRVECIPYGAAEAVQLVILLNRSTLLCAADKAPEESLKKTIEVDRLIDMLRDANPREKDYEELAENVMNIVDRLVHKTDQKIEQSTDVLKAIISPAMHGGDVKWPPRDPDTIKLMEKEISRREQEGQLDEGFLSEVSAQLRQAKQDGDKPGLQAMLQKVLQLYASKSLQKRSYAYKGGQVVVPEKFLESIIQAPENEWNKVLLDGLTVGKGDVAPDDLYTVINKRIERVVIRTEGGSYQQRVLVEYLKEIQTRAEEVVKVLQGPTI >Dexi8B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:8B:26084943:26096344:1 gene:Dexi8B01G0015280 transcript:Dexi8B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVMLLETTTASQQKHHLLRHAARTTPLSCPSSSSPSRAPATASCSSFLQRCFLCRRELAGGMDIYIYRGGRAFFSEEWRWTIMMTALGPWRPTGTTAAARPLAVSHFEMLGGKEVEDEQALPLVITELEPNESKVRTDKGNSDQGIDDQYNDRMRHDNFENEDWNENVEQDKVNRDGVHDAMKDNETKMKESMDSMKILLEKYPELHTVVQMLVAGCNMSTKREGKQCDSSDSSKPRESYFSVHEESEREFPADNIDKSEEVNPCPQEQGTADEIPVDNMDKSEEVKACPEEPGTADETVTLPSNISSATKRKNDVVSSPTLVEKVKTRTNRQPSKVCKSPYADTKQKRVSKKKKNGVSVGEVTKGLKLSDLEVHAITFVLDEIATHPNNDIIIDSYVTMFNSDWEKGKKEMKHAISAVHMAVGSSSLDPHHSPPARGQENARWRSVVVPSVGGTVEAALPPMRQPAPKSVRLRWRRLRRD >Dexi9A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:9A:851579:852541:-1 gene:Dexi9A01G0001620 transcript:Dexi9A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSAEPESAVLLLHGDLDIRIVEAKCLPNMDIMSERMRRCFSACGGGGAGACGGARSSHAPADGLRRGRSTKMKSIISDPYVSVCLAGATVAQTRVIPNSENPCWEEHFRVEVAHAAARVEFHVKDNDVFGAQLIGVASVPVEEVAAGPPVAGWFPIEGHCSTSPRPAPELQLSIRYEPIHGNPVYKDGVGASPRYSGVPNAYFPLRGGGRVTLYQDAHVADGDLPKIEIDGGRIYEHGKCWEDICHAIIQAHHLVYMVGWSIYHPVKLVREPTKPLPGGTPSTLGELLKGKIREGVRVVVLLWDDKTSHDKFLLKTV >Dexi2A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:2A:14590854:14596531:1 gene:Dexi2A01G0012540 transcript:Dexi2A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKGIAEGNLKAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALLKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIALSWKPDAQNNDTQQTVVFPKGNVIPSSKALTFYRASTFAVDVVNVDANDAQVEPKISTYTVGPFQSSNGGKAKVKVKVRLNIHGIISIESATMLEEEEVEVPVSAATNEAQKEATKMDTDDTPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKSVPMDTDVKAEPSKKKVKKTNVPVSELVYGALGAADLNKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYNDFVTPEDKEGLIANLQEIGDPIEARFKEWEIRDSAVSQLVYCINSFREAALSNDQKFEHIDLSEKQKVINECSEAEAWLGEKKQQQDALPKHANPVLLAADLKKKAEALDRFCKPIMTKPKPAPKPQTPPPTETQAPEPQTPEQQQSNGENSAGEPTGDQAAEEPAAEQMETDKPEGAADATS >Dexi9B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:9B:1641116:1641682:1 gene:Dexi9B01G0002940 transcript:Dexi9B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHRNRVYYASEALVRRATAVARPCLAAVGTPIGKFTHHGSFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELM >Dexi3B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:3B:9511138:9513593:1 gene:Dexi3B01G0013330 transcript:Dexi3B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLADTNLALPGCISKCGEVSVPYPFGVGVGCYHKGFELTCNETYNPPKLILEGAEVLYISLRHGKVFVDNGIVRLTGSNAYNITWGIPLDDSIFTVSPFWNNFVVMGCGFEFLVSPADVDNMVVRCTSSCLLGRPAVATNGFCSGVGCCEASMPGAGNMYSIKLASYPAGNDLTMQGQPFNATLVMVDSEWWGTGNNSLSLQKAVSDALVTSRGISGSAGPVQAKAVVKWNFSNSSCTDARSSSDYGCLSYNSHCHDHWTGESSGYICRCSDGYEGNPYILNGCQGLAVATGFGTGACLLLLTFAAILLRRKLRARKAKRDIKATNILLDDNLTAKVSDFGASRGIPIDETRVTTAIQGTFGYLDPECYKTRQLTEKSDVYSFGVMLVELLTRQKPHIYMSPAGNSLVEQFLLLQKQNKLSEILDPQVAKEGDEDAREVAEVAAMCVSSSGEDRPTMKQVEMRLEALQSASTNIAEDPRAGEHAVSIPSAGRRSSNADGSRRFSMEREILLSMDFPR >Dexi3A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:3A:13538244:13538949:-1 gene:Dexi3A01G0017730 transcript:Dexi3A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFWRWYADRQFHKWEKTVVWDMVEPYRPPRSFAPLVGTYVAAFYTGVIGAAVTEQLYKEKYWEDHPGEAVPIMPPKFYWGPWRVMNGEVPRFIQPPEEAKTA >Dexi9A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:9A:273142:274266:1 gene:Dexi9A01G0000420 transcript:Dexi9A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAETMKEQGLVVFDASRLSAQPDIPQEFIWPSDESPTPDAAEELAVPLIDLSGGAANPEVVRQVGEACALHGFFQVVNHGIDPELVADAHRCMDAFFTRPLAEKQRAQRRPGDSCGYASSFTGRFATKLPWKETLSFRSYSPAAAGGDHHQQVVLDYFVVTLGEDYRRHGEVYARYCSEMSRLSLEIMEVLGCSLGVGPTHFRRFFQGNDSIMRLNYYPPCQRPLDTLGTGPHCDPTSLTILHQDDVAGLQVFSGGRWLSIRPHAAAFVVNIGDTFMALSNARYRSCLHRAVVNSRVPRRSLAFFLCPAMDKLVRPPPELVDDSHPRAYPDFTWRALLDFTMRHYRADMRTLQAFTHWLQGSSSSSSTSISTC >Dexi3A01G0035270.1:cds pep primary_assembly:Fonio_CM05836:3A:40608808:40611707:-1 gene:Dexi3A01G0035270 transcript:Dexi3A01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWAGDHRAEDQHQQQKQAQPEDQQEAPVTSSDSQPTVGTPSADYVSPYAPHDMAHAMGPYAYPNIDPYYGSLYAAYGGQPLMHPPLVVHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEENSDSSHKEKQNGVAPHKSGQ >Dexi5A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:5A:3029271:3029852:1 gene:Dexi5A01G0004070 transcript:Dexi5A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNRGPHHDAQGRCRTVAEAVGAAAEETEPSNSPAAPPPSEAAATSRAAATAALGGSTSPHHIRQHADGAPPSPGASRSSHGRSGHSKRRSGQHPCGSGRQPWPARRSTTTKSMPRRRIEHGRRKIVGEMGMGGPAAALLAVHQASGGQLWRRQGPGMRAPGLGPAGGGAARAAQRERRGGEPLLKEDSNF >Dexi6A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:6A:26854788:26855963:1 gene:Dexi6A01G0019230 transcript:Dexi6A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTRHSSIENVRSLGNDMVSEIILRLPIESVARSKCVSKAWHATISDDYLRRKLPLRLSVLYFPDAVANTTTKSPPRFACASAAGAGGGGGKLEDRDLGFFPFMDTAVVCDASNGLLLLRAAGTTRFFVVDPVTRRWSALPPPSRDPRLSMLAFDPSSSVSSGAGGGGGCYRVVNFTGRWRESGGEVEVFSSETWAWAPPRDVEFGVSPAALSGSMHFHGGAVYALAADPDCVVRMDLAGGDLTCAVAELPEAAGGDGRLAHSGGRLHYVATTTSDGGGARGVVKVWVLDGESPAALRWRLKHAVRLDGVAGDGDDEEVRFMALHPEKDAAYMSSAGRVVELDLARKEVTGVWKVGGEGEKNRVVKAWLVPSSLYLSDCLADAHVQVQC >Dexi5A01G0030190.1:cds pep primary_assembly:Fonio_CM05836:5A:33213349:33213913:1 gene:Dexi5A01G0030190 transcript:Dexi5A01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFDYSVNPNRCSVFYPVVRKYFPNLKDGSLEPGYSGIRPKLSGPGQPPSDFVIQGQDIHGIPGLVNLFGIESPGLTSSLAIAEHIVSRYL >Dexi2A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:2A:1981592:1983105:-1 gene:Dexi2A01G0002490 transcript:Dexi2A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPAATEPRGGGGGGGGSSGAGVHPTTAPCYCRIRLNKLPYQAASAPLLPAAEEGPASCTGAFAAAFHVSKADLDRAASKPALFGARRRTARLKVAVYAGRRGTACGGNSSSGRLIGKVVVPLDLRAAAAKPVVFHSGWVSIGKRRAGRNKASSSAGQAHAHAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVQGRMKQPMFTCKFSCRSNSDLRTRSVKSDPGTGGRNWLAKFGSERERSGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPIDGTWTPWGRLECWRERGGAGGGGDSLGYRFELVPDHTNTGGGVGVCVAESAVSSSRGGRFAIDLTAAQPFGSPGCSPRRSSGDLGQHGGMWPFGSFRGFVMSAAVQGEGRCSRPMVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRGELLR >Dexi3B01G0033710.1:cds pep primary_assembly:Fonio_CM05836:3B:36539224:36539517:1 gene:Dexi3B01G0033710 transcript:Dexi3B01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPPMKVAQRAAPQEVAKESRWASLAAVTAPAPEGEPRMASGTKRTPAAKKHTAARKRVAHPIARCRSPPRRCRERSEEGVVRGEFGIGDSRGD >Dexi4B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:4B:4240679:4241975:1 gene:Dexi4B01G0006040 transcript:Dexi4B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRIFSFNTVLLSLFLTSSIALANDILERGSSISTGDDTITILVSADGVFSCGFYKVATNAFTFSIWFSQTADKTIAWTANRDTPVNGKGSRITFRKDGNLALVDRNGTDVWSTYTTATGARTTYNNSLYGCLDDKGQFVASDNLRFQASDSGHDQIRRRLTLDYDDKVNPAKLLK >Dexi2B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:2B:28508962:28513099:1 gene:Dexi2B01G0018170 transcript:Dexi2B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAFPASIGTPAAFPGHEMPLNPSSAFSRSASALLRRSLRASLRSISPMASAAAPTSAPAAAAAKATEQRPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGFGVPELNSAIAERFLKDSGLQVDPDKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRAPDFAVPLEELKAAVSKNTKAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFSDEVYDKLAFEADHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGLRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYSAKKAILLEGLKSAGFIAHPSSGTYFIMVDHTPFGFDNDIEFCEYLICEVGVVAIPPSVFYLNPEEGKNLVRFTFCKDEDTLRAAVERMKTKLRKK >Dexi7A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:7A:21096184:21097807:-1 gene:Dexi7A01G0010320 transcript:Dexi7A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEEKEKLKQQCGGSWKLVLGYLLVGERNYRREKSQVVAGPGHSIVVTTKGDVYSFGANSSGQLGLGNTDHQFKPCLIRSLQGIRITQGSRRTMLVSDTGSVYRFGQDAFGGMEFSGTYTSSPKLVESLKDIFVVQASIGGYFSAVLSREGQVYTFSWGRNERLGHHSDLTDVEPRVLSGPLENALVVQIAAGNCYLLMLAYKPTGMSVYSVGCGEGGKLGHGHMTSLGIPKLIKHFQTLDVKPLSVSAGAFHCAALALDGSVLTWGWKNYGCLGVGHIDCKTLPVEVEGLKDVKARHLSAGSYTTFVVADNGDVYSFGLGHSLNLGLQGVEAANVWSPKLATSIVALNQKVVQISATNTWDPINGHSGRSHTLALTESGRVYSFGAGAKGQLGVKLVDGQERRATPERVEIDLA >Dexi6A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:6A:21942424:21942654:-1 gene:Dexi6A01G0014520 transcript:Dexi6A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVSQFMGCELKECQALMKSPSKSGASGSVIFEEFFMKPGNAMGCFMISRQKLNILET >Dexi6B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:6B:16263363:16264385:-1 gene:Dexi6B01G0010110 transcript:Dexi6B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWVLGKLGFLYHDPFNFQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFTSLVIILGGAVGYVMTDSAFSLTAYSWALAYLITITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGLLTGEHLSIFRAIESRGQGWFELDAFLAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASTFGLVCLLFTLAGGVLYQQSVTTKGNSPGQREAAEKQGRGEYDTDELDEENQMLVSSPKVV >Dexi7A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:7A:15582875:15585810:1 gene:Dexi7A01G0004660 transcript:Dexi7A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGERLRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKEALGYNQRQVAALGVAKDLGDCVGFFAGSLSAMLPSWAMLLIGAAQNFLGYGWLWLIVTRQAPPLPLWMMCVLIFVGTNGETYFNTTALVTCIQNFPKSRGPIVGIMKGFAGLSSAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFIIRPVGGHRQVRPSDKNSFLFIYTICLLLASYLVGVMIVQDFMQLSDDLVNFITVILLILLISPIAIPVILTLSPKAQHPTEEALLSEPSKGETSTSQDKEDQPEVILSEVEEQKPKDIDSLPPSERRKRIAELQTKLVQAAARGGVRIRRQPHRGENFTLMQAFVKADFWLIWFSLLLGSGSGLTVIDNLGQMSQSVGFKDPHIFVSLTSIWNFLGRVGGGYFSETIVRYQDRVTHPMFTVCNGKSG >Dexi5B01G0024040.1:cds pep primary_assembly:Fonio_CM05836:5B:26121475:26121962:-1 gene:Dexi5B01G0024040 transcript:Dexi5B01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPITAGILSALRRVLARRVSLKNQLKRRLHAITIASATCFLFPFAMWDTILGSASDSIVKLQLPSWAYLSTVVFGMVLIFYVDNVAEEK >Dexi9A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:9A:2226886:2233643:-1 gene:Dexi9A01G0004230 transcript:Dexi9A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIARLPTETELKKKNRTPSKVSASDGLDVTDSWAGEKKARIQSPPTSENLGKNVRADKTSRDDADSSDNSADAIDDVHEEEEWLKQIEGFNWSADDLRMGEYEQWEYYRKTCNTLQGDQEYIQFWEKLSSETKYQTYLAHIDENFSEDRAHILIREAVEIFVSRHKTYYDYAKKKLDIAEKIGLIVPSSPDCTKALIADGPAGDTSPLCITALGLAGDTMKRAPRQEFTAEAVQPIAPGPEDLNLTWAEPTLGYPVCLADATAERGISRVVTGGFKECTRPGGLKPPAVCKARPSPPRRQGLACGSAQMSVPDDEAKPSAADGHLGAIFAGGLLRFGPDIVA >Dexi1B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:1B:14943993:14948534:1 gene:Dexi1B01G0012270 transcript:Dexi1B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSCDEVAWRFGAVNPSLEAASAVSIRALVHGLYNCVDRSDPRPLAPLGHGDPSPFACFRAAAAAEEAVAAAATSGKYNSYPTAAGLTEACSAVASYLSRYLPYELSTGDIVLTSGCNHAIEVMMAVLATPGANVLLPRPGYPLYEARAALSGLEFRHYDLLPEKGWEVDIEGVEALADDNTVAIVIVNPNNPCGSVYSYEHLTKIFEAIIIYRGISVDPATIVQGAIPQIIAKTDDTFFRNAMNMMREAAEICYQKLKGIECITCPHKPEGSMFVMVKLDMSYFEGIDDDINFCTKLAKEESVVICPGSGLGMKNWLRITFAVDPSLLEDGLERLKSFCLRHAHRKQKQVPL >Dexi2A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:2A:4190081:4193687:1 gene:Dexi2A01G0004590 transcript:Dexi2A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISKSGAPQKMEHYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKEAWVEKRLCTWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPTYMCPELLADIPYGSKSDIWSVGCCIYEMAALKPAFKAFDMQTLINKINKSVVAPLPTMYSGAFRGLVKSMLRKSPDHRPSSITKDKCTFQVLRRNIENYTDSPDIDLLGAENSPRSSADWTHKRFDMRSYQQRAEALEGLLEFSAQLLQQERFVELGILLKPFGPGKASPRETAIWLSRSLKEVGL >Dexi9B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:9B:10510642:10511886:-1 gene:Dexi9B01G0015430 transcript:Dexi9B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAPRLLLPHDVLADILARLAPRGLAVSRSVCKEWRATVDARCHCQLLPISLGGIFIWTNASEIPDFFVRPSMAQKIAFGLDYYLTMGCYPDIGDCCNGLLLLEHHHVVNPATRQWARLPPCPPSPEEAGGISRSYLLFDPTLSPHYKHRLQVWFLDESEGKMEWVLKHGAQLQAVELNPNNTDRPWILQYGYHDDDQENGLGRKELDWDSDDDNADDIEEWGQKSSSGQYIEADVLGFHPYREIIFLLMWSSRVM >Dexi9A01G0039070.1:cds pep primary_assembly:Fonio_CM05836:9A:43183059:43193968:1 gene:Dexi9A01G0039070 transcript:Dexi9A01G0039070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSSSPQPPPPSHRRRHRPQPATPTPNASPKPKPKPRAKALPLLSDVGVGRDPAAIKYYAHIASNLAGAGRLRDFLLAAEGLRAAAGDDPSFAARISASLLSRGVAAAVRDHGLAHVLEFLRDAERVRVPAAQMLDADASDAVAGACRMLLEERRMTEFVEVVEALARYGFYVQGIVNPMDVLKIFVKKRDPDMAISSSLHSYITHVTGMQGLLADKITPNTYVFNSLMNVNAHSLSYNLSVYKHMQNLGVAPDLTSYNILLKTCCNAREFNLAQEIYEDMKKKESDGILKLDVFTYSTMMKVFADAKMWKMASNIKEDMQEGGVRLNLVTWSSLINAYANSGLVDRAIEILEEMIRDGCQPTAPCFNIILTACVKSCQYDRAFRLFYSWKKSGIKISLSPEQKRCLDGAFTFCNEYPSNGRTILVVPFRPTVTTYNILMKACGTNAERAKSVMNEMRRNGLCPDLISWSILIDIHGTSQNRDGAVQALRRMQRIGMKLNVSAYTVAIKACVANKDLKLALHLFDEMKTHQLKPNLVTYKTLLTARSNYGSLQEVQQCLAIYQEMRKAGYQANDYYLKELIVEWCEGVLSSGSDDRDFYNLDLQPKRKESFNLFLEKVVTVLQKDVDQNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRAIQDDVVIITGHENTSRAEVETSAIDVEHAIVTVLTDDLGLEVLIGPGSCPPLSAKPKAPTKPRSNEQVPKKPTRRPQGVIKIPINSLNHWLKKKALRVVQ >Dexi7B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:7B:17699627:17701794:1 gene:Dexi7B01G0010340 transcript:Dexi7B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNESLANLEALVPRPLKVVAAAANQLM >Dexi9B01G0047560.1:cds pep primary_assembly:Fonio_CM05836:9B:46629133:46634918:1 gene:Dexi9B01G0047560 transcript:Dexi9B01G0047560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADQNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIATGSAPPQMGAAAAPRPQGSAAMAPTPGQVGVAAAAPRPQGSGVIPTSTQVSMPQVNPGAASRPQGINSMMPAASQGGALQASQFAGPRAMQSQPPNMGYNQQPPSSTGFMRPPQLGVTASSLQAQGPGTNQGPLGAGGMGGSVSWQGSNVTSVGGIPQATPGSAPSQTTRSGFGIGLPGPTGMAPGQQVQAMSSSPLPPQSNIAVSPQDSKALVLSGNGPASTSGSSTDIFSALTQPKPTVSASAPQTSSIPSSSSFMSTPTGSQNLPSLGQLGSLQGSSQPQQTQPITRPSLSAPTTPVVSAGISNSASQWPKISQSDIQKYMKVFGDVDRDRDGKITGTEARTLFLSWRLPREVLKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPTLPDSLRHDETLLRATGLPSTAYNGPSWQQNQGLTQRGPGVPGVPTGGVRPPLPLHLQSQTDGMSRPGQPRSNMPGMDNHVITQGSEDDRSGVNSAVHEVADAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAAFRDVQERKIELNDALIKMVQGGSVDGLLQVRADRIQHQLEEMEKALSERCKHFGLQFKSSATVELPSGWEPGPQEGTIEWDEDWDKFEDEGFGIVKDNGTIHENPVSAENTKVPSLWDDGDDMSPVASSNGHIKDERHYSGGDQVAESEIGYDFGDESVRSPGSAGRSASGSPFKSSRFGMQDSSPSKRESYSDHGGSESVFGDKFADETSWNFDDQDADSVWGSTALNTEGDHRGGTHNSFFGSEAGSPSGASVFGKKRSSFFDDSVPSSPAYTSGFSPKFGESRDDSSSYNFGRFDSFRSQDTGFFPQESRFSRFDSISSSKGDTVSGIADSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >Dexi4A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:4A:4221883:4228492:1 gene:Dexi4A01G0005900 transcript:Dexi4A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAPSPDILGERQSGQDVRTQNVMACGAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVKNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINSAKTSMSSKLITSDSDFFATMVVEAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMISTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTRNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEDGNEEE >Dexi9B01G0038460.1:cds pep primary_assembly:Fonio_CM05836:9B:39523591:39525158:-1 gene:Dexi9B01G0038460 transcript:Dexi9B01G0038460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNLICSVSKRLEPCAEFQLVAPNHAVTAKLNGAPPSVVSASSSEASMDEKIVTKRRLALLGAGALATGLLKTSSAIAEAGVFFCITEVPENFKSYVDAKDGYSYLYPAEWRDFDFLGHDSAFKDRNLALQCVRVGFTPTDKTDIRDLGPMDERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKLSDLTA >Dexi8B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:8B:214678:215127:-1 gene:Dexi8B01G0000280 transcript:Dexi8B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGFIASVLYMCYFNIFGFIEKSWTMVGAFVIISLVAAIVESLPISTRLDDNLTVPLASVLVGALLFYFLGATTNLCCMSREGCSGSISTMVQMVLAVGSSGN >Dexi2B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:2B:27193655:27198254:1 gene:Dexi2B01G0016870 transcript:Dexi2B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPENKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRVQFPKGDVPQWVRNAMEVAGIDISECCASTKCLTPSIDEQPPLPIPVEANGGVPN >Dexi2A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:2A:11384815:11385597:-1 gene:Dexi2A01G0010220 transcript:Dexi2A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWYCGNSYGDYWEGRTCNWESFPDREQMMRGLATQAEPLRTRTTLEIKEEIRRKNGVPLPSHHNLFSPVAIDYDANYGKPTKFNTGIVEDLVKFWRDNRDKYNFGVTTERKMKEAEERMQMMREEMLWRENFKFDPLKVYPPGSWEDDRQQYLAYWEGIDLSTIRIKAKLAQMEAMRALVRELPGNKDTIQEDKEMDMDAHIEALVSNLLAHVTKGDDKLRKCVRFAKSCE >Dexi9A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:9A:1518698:1522962:-1 gene:Dexi9A01G0002830 transcript:Dexi9A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPMLENGAADDDGSGVPVFAEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSGRLANLIGCCCESGERLLVAEFMPHETLAKHLFHWETNPLSWAMRMRAALYVAQALEYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVSPESVVYSFGTVLLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHTPSHTLLGIQHDKRSSDQVQLSAIGKAFAKADLNEVHEILQDDGYNEDDMANAELSLQSWTGELSESLVVKRHADNAFKSKDFGTAIECYSRFLDSGAAVAPTMLARRCFAYMVAGKLQEGLEDAKRAEDLAPGWPMGHYLQSLALHSLGREAEGQEALKKGTVLEAERDSRARTV >Dexi1B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:1B:20210033:20215926:1 gene:Dexi1B01G0014060 transcript:Dexi1B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAAERLAAHWVADALAADETIDFSVIKALVGVSSEYLVGAPDATRERVALRCLQELATYAVAEEDGEAAAAAEQSGMLRVDAARSCEEVLVELTGKVGSSRSFDKDKILPFRQDIQNFISIKKPTLPETSLELLREVDPEIQSVASPSPVDQNGIKKHENNKPLCNANHLYSNAEEPRLPLVSAELQPENLTNVVIVTEIGNFQRCPIEPTVDLDRPCASDSMIYNQPQEDAVNAASFGARSPEKNLSNVDSISDIAVSAGPASASCNTTLQENIEAPLSERDAVDKTTVVQSQHCKGDSQNPNDDGTSDQSLKDPSHERQSVQVTIAPAFDGSNVVLPTNASEANHLVNQDLDGSASTPHIEKDPIHEELTLQAASKPSVTCKDAMQEDNSGTNHPSCNTTEHTRMFEEEIGDKSQLESSCANKNNHTLYDDATMLEKNKKNSNQPHDAEKDHQVENTEARDTAGNGHSPHERGNSSQNRCSPAADPEVEADKDDAPTNSHRPEDSSEIEASSSNDSGKRSLDIMICVIQEAMEKFTPRDNGDIPWVHILEYGRNVFHRTRLPCDLRVKWRNMKKKEGF >Dexi7A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:7A:28871644:28872132:1 gene:Dexi7A01G0019890 transcript:Dexi7A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDDLCRAEIFVAEREASSGRYVCCGLNTTVVELARFLAHKYPQYNVVKTDVELHEKPRVSLSSAKLVKEGFQFKYKTLDDMYDDVVEYGKALGILRLSS >Dexi1B01G0024480.1:cds pep primary_assembly:Fonio_CM05836:1B:29770452:29775828:1 gene:Dexi1B01G0024480 transcript:Dexi1B01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQVCHLHSKPAQPEKFPEEQQHLLNNTGEAPPMLSYISEQNRSNQRNDVSMENSADAAVGSIGDTVEGSFDQRFATLKSIGEDRVNDRELELLLKKKSAPVCYVWFDPSPWMHISEGILKTLCVNKMVKSGCKVKIIMTDWFAQMNDNIGGNLNKMQTIGSYNIEMWKAAGMALDKVELVWLSDEINQHANEYWPLAMDVSRKTTVRRIKRCYGNRDPFEEFTAADIFYPPLQCATILFQKCTSLIQYTIAPERQLPNLLRYPEEEHRRNALLAIYMEDNKVDIRRKIIHAFCPPKLVEGNPCLEYIKHIILPWHGKFEVVRKKEDGSDKTFLNMEEVTTDYASGALQPGDMKLALAKSLNEILQA >Dexi5B01G0028560.1:cds pep primary_assembly:Fonio_CM05836:5B:29953213:29953710:1 gene:Dexi5B01G0028560 transcript:Dexi5B01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGGGGHDMGGMMAPPPSPPGMGKMRMRYTHMTFFWGTKSEILFTGWPGANAGLYALALAAVLALAFVLEFLGSRRLDALLPAAGTSRRVAAGASRAVVHAVRVGGAYLLMLALMSFNGGVLLVAVAGHAAGFLAFKAGLFGDRRAQVEDGFDKGEPAPAACC >Dexi1A01G0028980.1:cds pep primary_assembly:Fonio_CM05836:1A:34526861:34527785:-1 gene:Dexi1A01G0028980 transcript:Dexi1A01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVMFPVLLLAAAAAASEAEAAATLSSRMVHRLSDEARLEAGPRAGEWWPRRGSGEYYRLLARSDLQRQKRRLGGKYELLSLSKGGSIFSPGNDLGWLYYTWVDVGTPKTSFLVALDTGNIIL >Dexi8A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:8A:364433:366859:1 gene:Dexi8A01G0000520 transcript:Dexi8A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANMSSEATVKPLAAACYDNNLVNSQGMFLGDQPLRFALPLLLVQVSVILVLSAAAHVVLRRLGQSRFVTHMLVGVFLGPTVLGRSESVRGVLFSDRGTYILESVSLVALILFLFSMGVKTDLSLLRRPSGRAVAVGITGALVPLAVTLPVFQALQPSLPDDLRGSSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWGLRACSAAVFLVSEASSPAFTAKILASFVAFVLFVAFVARPAGRYIAYRRTPAGALLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLSEVTKEEASEKWCALELFVALCVSGKLVGCVAAGLFFAMPFRDAAVLALMLNIRGIVEVAAINNWGDTMKATAEHYSILTLSMVLITAVSTPLIKLLYDPSGQFAGAGKHRRTMEEARPSADLRVLVCLFNEDHAAPLIDLLEASSGPSRESPVSLIVLHLTELVGSAAAVLKPHRRRTGGDPTPSDRIVNAFRHLAEQQPDCSMTVSPYVAQAPYSSMHHDVCSLAHGRKASLILLPFHIMSSSLLGNNNANTNAIRSVNRAVLRYAPCSVAIMVDHGLAAGSACATAANSLLQRAALYFLGGPDDREALAYAARMPLALTVVRFKLRNWVGMGGRDEVRDEEVLHDFWTRHRDNDRVVYVEKTVEDAEGTSSVVRSMSEKFDLLIVGRRGGCSGDDLEGSSSSAAALTSGLSEWSEFPELGVLGDMLASADFASKVSILVIQQQPDKNAAGGASSAINP >Dexi8A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:8A:604536:605943:-1 gene:Dexi8A01G0000910 transcript:Dexi8A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVACAVGSESETVTVEGIPFPAEITVGNPLSLVATGITDIEIHFLQIKYTAIGIYLHVNDSPLLDHHLGSWKGIKSADELLGDEAFFEAVVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAADKYDDDEEEALEKLAGFFQSKYFKPGSVVTFHFTFTPAGAWVVEVTFATEGKDVAKLTVDNGNVAGMIQKWYLGGDSAVSPTTVRSLAHRFATLLSSASA >Dexi6A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:6A:3900786:3903122:-1 gene:Dexi6A01G0004240 transcript:Dexi6A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLNPPVPQQDSNWEIRVAIQLSLFLQILLIFIGPMRKRSSSPIPRFTVWSCYLVADWVADLTLGLLLNNMGFGSSDTSSSSSSSSSTFGHHHISHTTAGGPSSGGGSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSAFVVFLSSLHGNPMIPATVLVFIAGVIKYGERTFSLYSGSVDGFRSRILDPPSPGPNYAKLMTEFDAKEKAGLDVAIVIANGEATEAQRNMEKLETQRLLSDKCVEARAYELYHVFRRLFVNLILSFKERRRSQAFFVDHANADEAFEVVEVELNFIYDMVYTKAPVAHTKLGWILRSVCSGCLIAALVIFFNLDKRRHQIEGVDVAITYALLIGGLALDAVALLMLLFSNRARVFLEEESSGGRRLRWLAPVVDSIKRWRGTRRRRWSGKTSQMNLIDYCLGKPERNSRRGWRLLMVADKVGLEEVVDDFFFIRRVPLRDLTTKKSSVLHFVFDGLKNAAMEVEEHNKDEDEMKDKNKEEEKDKKAKIMEVCNRRGNGIIDHHSERIKAALKNDNDEKQFNLIRASVVESNFDESLLLWHIATNMCRCRRLDDGDKKTPVTTVVTQSEARWRGVSKTLSEYMLYLLIKQPEMLSTTAGIGLLRYRDTCAEARRFLGSMEEWINGHDDAVEALLKVNTSESPAAVKGDASKSALFDGVILAKALRGLADGGEEELMWEVIAGVWVEMLTYAAGKCRGSSHVRQLSRGGELITLVWFLMAHMGLGDMYQIQDGDAKAKLIVKGQ >Dexi1B01G0021610.1:cds pep primary_assembly:Fonio_CM05836:1B:27469744:27472886:-1 gene:Dexi1B01G0021610 transcript:Dexi1B01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGPWKDGALAHGAWKRKTRARLYIPGGAHAPARPASLFSSDQTKPQGRCVDFVTTSRRHRDLFRRFRRFPSSIDRLCDLHAFSQQEFEDEYFDQDEDDLDDFIVYSDDEDDKLSKHKHQRQQELEDDMEGEAEEEVEKVEEDDVEEVEEVEEEEEAPVGQQEILSLREQLKEEIRRKNAAMAAGSCKASCSTYVNQTIIPPAKDGYGTFFGPSKPVLARRVIEEGCSSTIKELKNVPSKKVTQLVSKAQPGAVKNMQKPKFISEEKRKVDALRENRNYSSLFSDDADKPPPTKEQPDNRPTLVPKSESRVGVLVNSAGKRKAPAVQPARLSSKCHGLKVAAAASLQACDQSKAGSLGKNSLSGRERMIAAGRNGSNLKTPGLQPSSIRQKMQPSVQSMRPQKSVPSQRQQPETQSQRMQQQMHSHRPQGNGRQNSLQGRRPDPSVQRQHIGQNGRLKSEQKQSVPSSKFKSPRPMERSGVKRKSHDDDGRSNFSSIIQKIFRYDRKKYIDRDEDDRNMVVGFASIEKEERRSAALARKEDEEQRLLIEEEERRERAMKKKRTAHKE >Dexi1B01G0031270.1:cds pep primary_assembly:Fonio_CM05836:1B:34961220:34964302:-1 gene:Dexi1B01G0031270 transcript:Dexi1B01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPRRLGALRRRLHTNAVDAIAQSLARHGAAALDLPAPDAAIVRCGLESARSFFRARPGGLYVYRAGRPPDDGELSPACMVDAFRCLGKAARAALSAIARNLRLCSDAFSHLLDDNPLPLNTVSASELLVSFSHRHLQSGQAPIADLLCSSMPQVDSGFVTLVTSDHPGIEVCNPNGHWYLADGVAGPNDLLLLTGRALSHVTAGLQLNSQYRTTNIENRASLMFRLMPRANAILDCAPISAAGHCIPQIYQPISASQFIDGLHAEEHIVSHHLEAPSESQGNFVNEPSLRSVLSDPLSGAFLEDAMVLSCGHSFGGLMLKKVLEMESSEAGLDAESSRACKGVQYPFMVGDRVLVMGNKRTPGKFVGKEAVITSQCLNGWYLVKAIDSGESIRLQYRSLKKAGEPLVQAQAEMRSQQALAFLQNKQ >Dexi4A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:4A:10841296:10842846:1 gene:Dexi4A01G0012290 transcript:Dexi4A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFLPLLVLLLAGSCCSIVLSVDEQRYMVVTSSSLKPSKTCSGPKVTPSKKDGATLLPLTHRHGPCSPSISKEKPSLQETLHRDQLRAAHIHAKVSGTNGTKDLKQSAVTIPTSPGFSIGTAEYVITVGVGTPAVSQVMNIDTGSDVSWVQCAPCAAAGSCYSQKDKLFDPTKSSTYTAFTCSSAQCAALDVNGCSNSQCQYIVQYGDGSVTTGTYGSDTLSLTSSAVVNNFQFGCSHRAAGFVGQTDGLMALGGDTESLVSQTSATYGKAFSYCLPRPSSSAGFLTLGAAGGASGFSRTPMVRFTNAPTFYGVFIQAISVAGTRLNIPASVFSGAAIVDSGTVITQLPPTAYEALREAFRKEMKAYPAAAAMGNLDTCFNFDGFDTIVVPKITLTFSRGAVLDVDASGILFGSCLAFTATGQDGDTGILGNVQQRTFEVLFDVGGRSLGFRSGAC >DexiUA01G0003690.1:cds pep primary_assembly:Fonio_CM05836:UA:7550555:7552507:-1 gene:DexiUA01G0003690 transcript:DexiUA01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVGDGAKLQPSREGGRLCVRCGERKAALKRPKTLEQICRECFYVVFEDEIHQTIANNSLFKAGERVAIGASGGKDSTVLAYVLSELNRRYNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIASGNKALF >Dexi4A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:4A:683872:684201:-1 gene:Dexi4A01G0000950 transcript:Dexi4A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIIAGLAASAAIAYASDVLVAQKKVFGGTTPRTVSDKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLKP >Dexi2A01G0029070.1:cds pep primary_assembly:Fonio_CM05836:2A:40208212:40211912:1 gene:Dexi2A01G0029070 transcript:Dexi2A01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPGYSLRCFSSNIVYTLLLSFLLAPPAAAQLPWTDCGDSGAGVFTQNSTYQSNLGLASTIFPRKASSSASLFAAGSIGAAPDVVYVLSLCRGDVNASTCAESLGVAFQDAQQLCAYSKDVFIYYDLCYLRFSSLNFLAGTDNDQMYLEKTENVSAPTAAFDAAVGALLNATAERAAADPIRRFATGEEASGGSVPAIYALVQCTPDMSPAACRSCLANITQMAPKVFSGSPSGRYIRVRCNYRYELYRFFSGSPLVQLPALASPAPQSHPRVPVPAASSTSPVNGPDINGGETLNWEQKYNIILGIAKGILYLHEDSSIRIIHRDLKSNNILLDENMNPKIADFGLAKLLGGGHTQTKTASVAGTYGYMAPEYALFGEVSPKIDVFSFGVLVLEIIIEKRNTNSDDTDKETNLLTDVWNCWTKGYPLQLVNKPLDRHTRSKLQRCIHIALLCVQENPDDRPSISSVVVMLTRSRVRLQPPRQPAFYFGRDSSSIPDRCIHGNYVYEKYDVIVEDNFSVNDVTNTDPDPR >Dexi2A01G0027060.1:cds pep primary_assembly:Fonio_CM05836:2A:38487018:38492129:1 gene:Dexi2A01G0027060 transcript:Dexi2A01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRTTGRGGGAGDGGDGGEEGVPRFMGNNRDHNPRELRSWARRTGFHSSAFFSGESNASSAAPQPPPPPPPATSRRPPRAAPAPVRQRGPDPDTEDDLDPAPPLDLERGPAPGRGRGGHGGRPRRHIDLRGELEIPPGFGREEAVPDLDAGRGGGGGGRGDARRRNGGVERDQAAANAGRNVNGALGDAEARKKAEEAEAKRKAEEAEARRKKEEEERDAELAAYYQEQWANEEEEEGVAHAAAGETAPLYGASGLRCGITENPGWVPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDSINLSLFYGMQKFKHIMRELQGAILVGSVFQIILGYTGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISLPLILLVLLCTLYMRKISLFGNRIFLVYAVPLSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHVETMRRCRTDVSTAWKTAAWVRVPYPFQWGPPTFHFKTGIIMLIVSLVASVDSLSSYHAASLLLNYVVNALLSINVVVALLVALLLDNTVPGSRQERGVYIWSDPKSLEVDPATLEPYRLPEKISCWFRWAKCVGI >Dexi3B01G0034430.1:cds pep primary_assembly:Fonio_CM05836:3B:37174739:37175083:-1 gene:Dexi3B01G0034430 transcript:Dexi3B01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALERSRHRIGVDEQRMIAAAHRGLEEVKSRLGGGEVVTKQHSTAQARGGLRSRFCSRWMAARGFVSSRLRAPASLDCVVVDGELADGVFIDSSRSSLQSPSPPCCSARGRS >Dexi3B01G0027640.1:cds pep primary_assembly:Fonio_CM05836:3B:23298275:23298765:1 gene:Dexi3B01G0027640 transcript:Dexi3B01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSGAAIDHCSAGGLGRGSDRDAGGYGHDGDAAPFHGGGREFQGSNLGFEPGYGGDGQDRGWQRGGFWPRGPRSFAPRRGGFAGRQWRGARAAYHGGQTSEVAAAGRGYRPVQSVHPEARPQHVPGPVLDASAAAAVAEPAAVVGHDAIAGEGGG >Dexi8A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:8A:26888888:26890178:1 gene:Dexi8A01G0015810 transcript:Dexi8A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVDLLYPPGPPHAPIPIALQSEYDRRCRSSSSSSSTLAAAAAGGEGSTSTITTSTTTGWHELKIPGGYSTTKEGNGKGIDSIPFTVGGHTWYIKYYPDGNNDKSAGWVSIYLYLVVGDHPSNVNVKAKYKFTLISDDDVDLSSYTRTCSGYSFWSPGKARGFYQFVKSTDMEASLKGHAFRVRCDVTVVKETCVNTTPTTTDTSLITIPPPDLHHHLGVLLDDTKVGGDVSFTVSGERFVAHKYVLAARSPVLMAELFGPMKENTATMSSIQIHDVEPSVFRAMLRFIYTDSMPEVEDDEEFSEVGMAQHLLVAADKYGLQRLKAMCEVKLLELMDVRDVATTMTLAEQHGCEGLKEGCFRFMRCPGVIKAVMASEGFQHLRTSCPFLIEEMLAKLAP >Dexi3A01G0031750.1:cds pep primary_assembly:Fonio_CM05836:3A:36116135:36117793:-1 gene:Dexi3A01G0031750 transcript:Dexi3A01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVFGNEGLESKEDSYVERYLDRISNGTIPDDRRSAMTELQSLVAESHSAQMSFGAMGFPILLNVLKEDREDVELVRGALETFVIILDQHG >Dexi5A01G0023880.1:cds pep primary_assembly:Fonio_CM05836:5A:27837494:27838445:1 gene:Dexi5A01G0023880 transcript:Dexi5A01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLPVLLLLAAADAFPASCLNATCGGHAIHYPFWLNDSTSDCGYPGLGLVCENNDTLILPVKLHRYRVGHIDYQKHTLVVSDADVVESEIDYGCPRLHVNLTIDYASSWLQLTQSDSNVTFLYGCNKNISSSSSAVELRGCPESFAGKMSFVLLDGVATGTEAYEYECEAVVVAPVLEEHKKELGGGGSSPPAPENASSFGGPVGVVWAGFQLEYSAHSPQCDRCERSGGWCGYRHNQTNGGDMMFTCFCNSGPTPGRCVR >Dexi3B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:3B:12054843:12062276:-1 gene:Dexi3B01G0016580 transcript:Dexi3B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGGKGAAAPVPAGSRKLVQSLKEIVNRPESEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEIKEVPEPRSRSHTSTSRGVRGGADRGGRSNSVHSSSTDNAAARPPVSGPPAASINSNQKQTIASGSSVNKHTVADGPAVPLQTSSGSQHGWSGTPGQLSMADIVKMGRPQGKASSKPVVTADRGYAGQYPSLSSAVNQNIKQSGSTVPPADLDKGLPPAQDSVQVQNHGHSAADIKHAYDGGEWPPQDEPASSNHLPLPETSGHPSLYEASLQSSTFVADTVNSHENSHLDENIGMRPASDSERHLEYSEGISQYNDGMSYQPQQYSYMQHEGEDSSADVSAAAANFQSLSLHNEELAAKKTAEDNPAVIIPDHLQVTNTECVSLSFGSFGSGAFSGLLPQKTTDNNVELPVQEESTPVDQIDARNQDYYESGAVNSSAEENLETMMGANMENVNAPSVSQANEHRPEALDPSGLQYDMPTFTLKIHILIKVALQANPLSTSSLLGSNQNHSTLHGLEFDLQPYMEPKYNTGSTTNPRPAISMQEALKTGVFSNTQSTQNLPSTFQQAYPSNGPFHQSAAALLGSGMKYSTPEYKTNMSATGLQQQQQPPQQQPPSSVISGYGGFGNSSNLPGNFALNQNTGSASTLGFDEALNRQYKDTSQYMALQQGDNSAMWLHGSGSRATSALAPGHFYGFQGQSQLGGFRQAQQPQPSQFGGHGYPTFYQSQGGLTQEHPQNLAEGSLNGFPAAPSQQSHQSWQHQHTY >Dexi6A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:6A:27194591:27197885:-1 gene:Dexi6A01G0019570 transcript:Dexi6A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPPLPGDAGGRDDAAAGDQPRVYQVWRGSNEFFLQGRFIFGPDVRSVFLTMFLIIAPVVAFCVFVARHLMNEFPDSWGVSVMDLTLLLCTSGRDPGIIPRNTHPPEPESIDGINDTGGILNNFLEIFCSAIPPSKNNFRARVTLEQGLQQTRSQSREGFMSPNMGKPIGDLEMGRKPVAWDEPRTAADISDLEAGLGGMFEEKEGRITHASPDLSRDELPAEFVEGRAGTHSRESSFIRRATDPMEASFAVSEANAREEANGGKNVARSGSH >Dexi9B01G0032830.1:cds pep primary_assembly:Fonio_CM05836:9B:35004326:35005651:1 gene:Dexi9B01G0032830 transcript:Dexi9B01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGKGAVLLCIAVVLLGIGNGGVPGAAARKQGKQSLGFYELRRGEFSMVVTNWGATILAVRIPDKNGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTIKDHAYHTYKNDGKNTLHGGHRGFNQVFWSVRERVTGEFPHITFSYRSYDGEQGFPGNLDVLVTYKIDGDLSYSVTMYARPIDKPTPVNLAQHTYWNLRGHGNGTVLNHSVQIFASAVTPVRAGGDLIPTGAVAPVAGTPFDFRFPAAAGARIGEVEGGYDINYVLDGAADGQGVRKVAVVSEAESGRVMELWANQPGLQFYTGNFLKGDEGKGGAAYAKYGGMCLETQDYPDAVHEPEFPGEVYRPGQVYKHYMLYKFSLKN >Dexi2B01G0023670.1:cds pep primary_assembly:Fonio_CM05836:2B:33232810:33236375:1 gene:Dexi2B01G0023670 transcript:Dexi2B01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGARVAPRPPAAGGFLPARSPRVGGGAIGIGWSRRAAAPRLSLPPAAAKRSVSAEAGGGHLLPRRVVVRSTGGGGGGFRGEDTEGDRSSPSDVPKGATAARNSVEDHPGGIRKELINLAVPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSLSALGNIPSNIGERKRLPSISSALLLAAAIGVIEASALILGSGILLSIMGVSQASSMHNPARLFLSARALGAPAVVVSLAIQGVFRGLKDTKTPLLYSGLGNISAVVLLPFFVYSLKLGLTGAALATVASQYLGMFLLLWSLSRRAVLLPPKIEDLEFVEFVGYVKSGELSGMLLGRTLSVLITMTLGTAMAARHGALAMAAHQICLQALIASSLAKLDYEKVKEVTSYVLKTGVIVGIALAVLLSASLGRLAELFSKDPMVIQIVRSGVLFVSASQPINALAFIFDGLHYGVSDFSYSAFSMVYA >Dexi6B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:6B:24915988:24918682:-1 gene:Dexi6B01G0017880 transcript:Dexi6B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGPVSRYRRPAVRPTDGPHCSFHADPRRMADASALDKTFLSLMSTRSTDITVFRPLDDMVVDQAQDRASWHKLLQLLAWISLQDQISIAARKAFLPPRRRRDFLAEPERPRGEGHTAAVFTPAIAVHDDELEDLHMERSSAAGNRSLIVIVSGAGMEELPADGGGGSPMKRNWESPAAAANMSSHITVPWSRSTQQRLFPPTPSTVSAVKENSLTSVDLYPDGKGSALDPSPLLYTISKGEAQRKIDWGGEDMPPRLHSTVMWRSASPRHNPRRGGQAEAGCPQRPPTKASAGCPAGKRLVGEERARDSRAAMEPAVVCFSCCFTVLLQVHREREDGR >Dexi2A01G0024090.1:cds pep primary_assembly:Fonio_CM05836:2A:35752444:35755723:-1 gene:Dexi2A01G0024090 transcript:Dexi2A01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAATAASTSLRPPSASQRRIAVKCFTLSIPIFPPSRTRIYYSSSVPSYHPWLYSAESAPSVVLGHSGAIRRVECITYGAAEAVQLVILLNRSTLLCAADKAPEESLKKTIEVDRLIDMLRDANPREKDYEELAENVMNIVDRLIHKTDQKIEQSTDVLKAIISPAMHEGDVKWPPRDPDTIKLMEKEISRREQEGQLDEGFLSEVSAQLRQAKQDGDKPGLQAMLQKVLQLYASRSIQKRSYAYKGGQVVVPEKFLESIVQAPENEWNKVLLDGLTVGKGDVAPDDLYTVINKRIERVVIRTEGGSYQQRVLVEYLKEIQTRAEEVVKVLQGPTI >Dexi2A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:2A:2062775:2066913:1 gene:Dexi2A01G0002540 transcript:Dexi2A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRRLILVAALLLVAAAAVAQAQSRADMAGLYSLRASLGLRARDWPLKSDPCTSWVGVACRGGRVVGVTVAGLRRTRLGALSPRIALDGLRNLTALERFNASGFALPGQVPAWFGAALPPSLAVLDLTSAAVNGTLPADLGASGNLTEVRLAGNSISGPVPAPLLLAGGGPRVLDLSRNNFTGALPLPNASVVVAGAAIAAASSLFNISGNSLYGVAGDAIAALGRRFQVVDVSSNYLDGALNGSSDGTVLATMNCFYGVPGQRSRSDCEEFYKKQGVGLVDAPAPSPLPSPLPQPSPEVKKKHSISKNVLVGVLVAAGTLMVVFMAVLLLCLVKRRSRGRSVGRGIEPNEEGSGTRSVRRRDSTVNPVASSPSAVSPPRANGEPNKDASAIAGELSYEDLIHATGGFADDKFIKHGHSGDIYHGVLENGSHVVVKKVGSKGVNKHAAELDFYTRYSHDRIVPLLGYLSKDDEEFLAYKYIPKGDLTNALHKKSVDTPDSLPSLDWITRLKIATGVAEAMCFLHDECIPPLVHRSLDKHASGPPASCSYDVLCFGKVLLELVTGNFGISGSNDGGASEEWLSSTLSRINGGDKASIADIIDPLLVVDEDHQEEVWAVAIIAKTCLSTKPSRRPSARYVLRALENPLRVVRRQGSSRSNSARLRSSSSRSSWQSVFQGNNGHHLDRRHSMRSHGSGRGEASFSFSFKRAAMATPEVATEPAAAVVEEEDAVVV >DexiUA01G0027010.1:cds pep primary_assembly:Fonio_CM05836:UA:57550302:57550669:-1 gene:DexiUA01G0027010 transcript:DexiUA01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARVASAASRSAAAARSAPLAGRAASAARRAPCFSRMPVELGCCAGLSLLPLHSAVAAARLTSRLSTDSSCRALSQGILCRTYPGL >Dexi3A01G0031110.1:cds pep primary_assembly:Fonio_CM05836:3A:35513577:35513909:-1 gene:Dexi3A01G0031110 transcript:Dexi3A01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRNPELIGRNSSKKVSQGCLPAQEGTQSSREWIYPERGAAAGGSGGGGEEKGRGAASPAVLRSASTRRELVKAWWCGGARAPGRACEWGLRVWEADGSTRPYASSHS >Dexi6B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:6B:26340964:26342273:1 gene:Dexi6B01G0019680 transcript:Dexi6B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSNTIGDDTGASLPEDQVLDVLTRVPLDDLAACRVVSTRWRSITYDPAFAPLHCRRTATVSGYFLQGMDHNRHRVEFVSMHASPAISLDFLPSSNARIEAVAAHRGLACCVGFFDTPRRRPPCYYVCKPATRQWRALPSPRTRFHTAAMAMAAKPCSDAAVKAVFKIVRFSIPKVHNYLHCEVFDSRRFAWRRAPDVHCEVSLPLTPAVGAHGAMHWLRWYGQDVFAFDLESEAWRVIALPREVDERRDPRARKQLSAMAGRLCLLVTTTTEAGAGEEVLDVWEMANYAEGRWERKMTVSLKSLRAEEGAMMLRHLYSSDVGFLDSLIYKAMWYDFRRGKKVAEVKVDQACVEHVFKFESDMIPCKLR >Dexi6A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:6A:4262747:4264618:-1 gene:Dexi6A01G0004700 transcript:Dexi6A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADVAAVADEEACMYALQLVSSSILPMTLKNAIELGLLEVLQKDPSAALSPEEVVAKLPVAPTNPDAAAMVDRMLRLLASYDVVRCKMEDKDGKYSRRYSAAPVGKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFNGIATLVDVGGGIGATLHAITSHYPQIKGINFDLPHVISEAPPFPGVEHVGGDMFKSVPAGDAILMKWILHDWSDAHCAAILKNCYDALPVNGKVIIVECVLPVNPEATPKAQGVFHVDMIMLAHNPGGKERYEREFQELAKGAGFSGFKATYIYANAWAIEFTK >Dexi9A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:9A:6760317:6768251:1 gene:Dexi9A01G0010970 transcript:Dexi9A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINNPDGNSLLLHFPHFSLNFDVVTEFIEGLVETYPGLQYLDGFPQMKVVLRADVERGTYDKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGPKGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLADVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPIDVVVEHVLKQILSQETQYLPITRGSNAVLLINGLGATPIMELMIAARKAVPELQLAYGIAVDRVYTGTLMTSLDMAGLSITIMKSDENILKRLDAPTKAPAWPVGSEGNRPPAKFPVPAPPSPSMKDDEILAQPQELSKEGCILEAAIEASATEIINMKDSLNEWDSKVGDGDCGTTMYRGATAILEDMNKRYPMNDAAGTINEIGATVRRVMGGTSGILYDILFKAAYASLKQSTTVTANEWADALEASVGAVSKYGGASVGYRTMLDALIPASTVLKQRLKAGDDPVTAFIASAEAASDGAESTKQMQAKAGRSSYIAPDLLASIPDPGAMAAAAWYRAAALAVKKKLHG >Dexi5A01G0031220.1:cds pep primary_assembly:Fonio_CM05836:5A:34017412:34017849:1 gene:Dexi5A01G0031220 transcript:Dexi5A01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRPAVVTAALARSARPAMPAQPALAAKPARSKASAARGCGRGEGCLACSCGCCCAPVAAAAASSAAAAAAATDGGAQAVVGSCRPAAAGSGGRSSVPAAGGGGSAQGEAAALDPDLDVAAAQDRKEGEGREQAGGGCCAGEM >Dexi5A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:5A:7831810:7835318:1 gene:Dexi5A01G0010290 transcript:Dexi5A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPLLLILILLLLPTPFASSSGDDLAALLALKAAVTNDPSGALSAWSGASATSYCRWRGVTCNPSSLAVEAIDLPGASLSGTLPADLPLPPRLRRLDLAANNFSGSVPDAFLASSTLRYLDLSFNGLSGPLKIPLPLGNSSSPPCAALTDLRLAGNLLVDQIPAKIAQCRSLRVLDLSRNVLEGAIPRELGRLAALRVLDVSRNSLTDRIPVELANCRELAVLVLTNLTASPGEQPEFNAFVGGLPTEVLTIPALEVLWAPRANLDGRLPLSRNGTCALRAVNLGHNYIAGVVPPWFGECHGMAFLDLSSNSLEGSMPAELAIGCLTYLNVSANSLSGQLLSSTKSQCPSSLNGDDIVMQYYDELVHNVLIGNPFAPEMGNIANVALHDFSNNDLSGPLPSLTVSLDRNNSYGQIPGLFGDLAALEVLDLSRNSLTGSIPIHLTDALRLQVLRLDHNRLSGSIPPSFSDLTQLTVLDVSFNNLSGDIPNLRHPADCGGFGATYKAELAPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHKNLVTLIGYHIGDSDTFLIYNFLSGGNLETFIHEMGSRNVCWTEVHKIAVDVAQALAFLHCSCTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLIEVTQTHATTDVAGSTKMVC >Dexi9A01G0022390.1:cds pep primary_assembly:Fonio_CM05836:9A:17595285:17597260:1 gene:Dexi9A01G0022390 transcript:Dexi9A01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLPFASKMNKTFLNYLLLSLLLLSLTLYLATIMEDNKALLAVAMAVLIVVLSKLKSLLISKPKLNLPPGPWTLPVIGSIHHLVTSPVIYRGMRDLAQKHGPLMMLRLGEVPTVVASSPEAAQAITKTHDITFADRHLNATIDVLTYNGTDLVFAPYGERWRQLRKICVLELLSVARVQSFRRIREEEVSRLLQRLATSAAAGATVNLTKMISQLVNDTFVRESVGGRCKYQDEYLEAFDLAVRQTSGLTAADLFPSSRLMRALGSAPRKALECRKRMERILAQIIEEKRQAFESGDEVAHEGLLGVLLKLQKERSTPIPLTNDTISTLMFDLFGAGSDTSSTALNWCMTELIRKPEAMAKAQAEVREALKGKSTLTEDDLAGLSYLKLVIKEALRLHCPLPLLLPRQCREACQVMGYDIPKGTSVFINAWAICRDPKYWDDAEEFKPERFEKSSMDYKGTNYEFLPFGSGRRMCPGVNLGLANVEVALASLLYHFNWELPSGMEPKDVQISEASGLIGKKDTGLILHPVTHIAPLDV >Dexi9A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:9A:885409:889557:1 gene:Dexi9A01G0001690 transcript:Dexi9A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRKQPPKQQQQEEGPSEAMDAAAVHGEEEAGCSGKAPEAEAEGRTVVVGVRADVESRALLTWVLVNAAAPGDRVVAVHIVVASGAEAAAAVDFDAMLGVYEGFCNLKQINLKVKICKDSSVRKALVREANLFGASKLVLGLAKKRRAISSPHSVAKYCAKKLQARCAVLAVNNGKIEFSSESNVHSGKVSAEVPPCGDDEMYCVVPFQARQIKGDKLSLDEPKDGCEGDNTHDAGTKGCKAEDTIREEQPVSCLDPVDLSRDQVQMDADPSVKAEESTAELKDGTAELPGQGASVLYCVLPARNSDSVASTSSRQDHDPIDLPAERAEELYCVLPPRNGHSSRSVGDSKRSTASQKDDKSADLALQGDSDLYCQLPRSGRSGRSSGGSKRSVGIRSVIRRSNSFSSDIHLNSETSPSKRDGSVSMASTERSSSTVSTEAEDSPNYTARISETPSSSPMSLRRMIEGRSDRCHLRRRIFSHHRSSSFEWAKISMVQWAMRLPSRYTSVHPDSRFLKSDASSRLNCDSETDSPSAVESESMFSFAFYDVAWPPSELESLREKYSTVCRLFSYEELKLATDNYSPDMLIGKGGTSQVYKAQLDDGTYSAIKILKPSVDAIHEFITEIEIVTSLQHENIVALKGFSFENYNLVLVYDHMPHGSLDKALHEINGDKSFLIWERRNKIAIDIARALEFLHLGGVTQSVIHGDVKSSNVLLSEDFGARLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSYGKVNEKIDVYAFGVVLLEIISGRRPITPGSAKGQESLVGWAKPLLSSGEIKQLVDPLLGNDYDCDEMERMTLAASLCTRTSSHSRPEMPLVLKLLQGDDEMIAWARLQVTASFDGSDEETVTPDANMQSHLNLALLGVEEDDTVSHCSSTVDTLADGYWSRSSSFD >Dexi1A01G0025500.1:cds pep primary_assembly:Fonio_CM05836:1A:31691219:31691739:1 gene:Dexi1A01G0025500 transcript:Dexi1A01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRLTLRTTTSMARCLASTSELSTQWQKAEPLGDAVADGRRRRRMKGSRGVRTVMERAAWRRPVSGSRRRWRVWTSATCESTVRESGSVNLRHAAAVTRSHGCRVAGAGGRGGEDRKKVEVGKGEVEEERRFL >Dexi4A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:4A:2969996:2977769:1 gene:Dexi4A01G0004150 transcript:Dexi4A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVEVEPATATAGPAYRNARAKDGLLQPPPGLHSCWDIFRTAVEKYPNNPMLGRRRVVDGKAGEYTWLTYQEVYDVVMKLAASITKSGIKQGECCGIYGANCPEWIISMEACNALGVCCVPLYDSLGAGAVEFTICHAEIQIVFVEEKKIGELLKTCHTTSKYLKTIISFGGVTNDHKDEAKNHGLSIFSWEEFLITGGSHQVHLPEKKRSDICTIMYTSGTTGDPKGVMLSNESLLVNVVGPVSVIQYAGEVFDQDDVYLSYLPLAHVFDRMFEEVFIYHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSSLTAKISSGGMLKKTLFNITYKMKLDSMRKGIKHQKAAPFFDKLVFSKVKEGLGGQLRVIVSGGAPLAAPVEEFLRVVTCASVVQGYGLTETCAGSIVSIPNEFSMLGTVGPPVQHIDVRLESVPEMGYDALSSIPRGEICIRGSVLFSGYYKREDLVQEVVIDGWFHTGDVGEWQPDGSLKVIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWVYGNSFESFLVAVVNPNQLVLERWAEQNGITGSFAELCENSRAKDYVLAELTKIAKEKKLKGFEFIKAIHLEPLPFDIERDLITPTYKKKRPQLLKYYQGEIDALYKALK >Dexi5A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:5A:19959667:19962043:-1 gene:Dexi5A01G0016980 transcript:Dexi5A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLLVMASIDAGHPTAVLVRPGTASDAGKAKIMETFKSCGARLVYGDINDHKGLVAAIKQAEVVIKQAEVGHSSPEEAESQLKILVAIQEAGGVKRFVPSEYGCDVEFAEHMLEPAKSILGAKVRVREAVRAAGIPHTIISSNWLQRYLLPRAGNPEANGPPNNSVTIFGDGKPQVSPFLLNFQLAVLHATLVAGEAKLTAMAGATVASTGVGVEAIELYPDMSYVTVEDYMDGLQ >Dexi3A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:3A:4748564:4750087:-1 gene:Dexi3A01G0007000 transcript:Dexi3A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGPLGAAEPTKKCKNKIKAIAASSPGGPVEARNQENGGNSERPGGPRQEPKMTAEATLELPLPPRPPEKPKRRRDATSEIFWVSKKLRSSVTAAREGGNVIAGVNRAAAAAEVTSSAIAEQSAVSMDGGERICKKFRRSPGGSMGGGGEPICKKAHLSPRGSMDGGERICKNSRRSPGGSISRAVDKIEDAKLRPCVLAAPIHGLDWIRQDAEAAVLDIASPSRRAKKPMKIDHHKIVEKASWQIKRIYSAPASAVGEGSSNSSIDQETTRVLSDPCPRTPESARRRRHHKTSKHSDGNATRQPSMSLSPELQRMGITNVTPIVSKILTNTDCNSNAHRLLLPRLSMLNSPLMSMLTQEEHEAVGEKKGKSLEVLDRHGRSYQMDLKFLKSDKEYRLIGEWPKLVEQNGMRKGDLVHLGAFRFGERLLLTLLHHATEVQTCEETEVAEGTEEWVPNKIEAAAVGGAREEQTSADFEEEKWTHEEMEAAEGLLALSRFSDAHCSES >Dexi5B01G0039360.1:cds pep primary_assembly:Fonio_CM05836:5B:38249858:38251016:1 gene:Dexi5B01G0039360 transcript:Dexi5B01G0039360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGRAAAAVVVALAALLCGVHTVQAQPPVARGLSFEFYRRSCPKAEAIVRGLVQDAVRRDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPSAFKAINDIHQRLAKECGGGGKPVVSCSDVVALAARDAVAVSGGPSYRVPLGRRDSPSFATQQDVLSGLPPPTATVPALLGVLSKINLDATDLVALSGGHTIGLGHCTSFEGRLFPRPDATMNATFAGQLKKTCPVKGTDRRTALDVRTPNAFDNKYYVNLVNREGLFTSDQDLFTNPATRPLVARFAQSQKAFFDQFAFSMVKMGQIKVLTGSQGQIRTNCSARNPADGLPSWSILDDAAEGLVF >Dexi9B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:9B:6355356:6356986:1 gene:Dexi9B01G0010230 transcript:Dexi9B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHKVNTHKRHVFRDRGFRGLNPARICRPTQPTTNKKPSQPQPPHLAGPRGNQNQTKPPPVPPCRFTSSSHFTPLAAKAAAAASSGDHGAVTTTASIVTTPHFAALAPPSVPVHVAELRCPGGHEDFSLLPDDASSSSSAPATFFAAAEAALAPALASALRAHQGRPLAVVSDAVLYWAPRVARECGGVPHVTFHTIGAFAAAAMVAVHLHRRPEVLLPDPFVVPGGFPRSVKLRRAHVNEEALAHLPLFRAAEAESFAVAFNTFSAIEADFASYYQNNLAGRPKKVFLVGPTRSATVVSPGEVTGGEERDPILQWLDGRPAGSVVYVCFGSTCSFGESQLGELGAGLRASGRPFLWVIPSHGGGAMAGEEERASSHGMVVAGRWAPQAEILGHGAVGGFVTHCGWNSAMEAVANGVPVATWPLRAEQFVNEAFLVDVLRVGVRVREEEDLVEEEGVVVPAGAVARAVGRLMGSGGGQGEEEVEVEVAARRARARELGAAARAAVAEGGSSCGDWARLVEELEALHGSKSDDAPM >Dexi2B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:2B:24523113:24527870:1 gene:Dexi2B01G0014710 transcript:Dexi2B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDAAAAASDSKKRRRVGFSGIDAGIEANEYSYLFFIDNFIIAKNVDEAGTEDCTSIQPFDLNHIFGEDGKIYGYKNLKINVWISAISFHGYADISFDETSDSIFGENLVEKAEFLQTFSKECEYIRDVVTNGSAIKHDGTNESDPAVELESVISLEVQVQPVVQGAAAFLYSRLVPLVLLLVEGSTPIDIGEHGWEMLLVVKKTTQESVSRFQLLGFAAVHNFYHYPESTRLRISQILVLPPHQGEGHGLSLLEAINSIALSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPVLSAMVTSLKETNLSKRTCSLRMVPPADLTETVRQKLKINKKQFMRCWEILIYLSLDSEDRKSMDNFRACIYDRIKSEILGGAAGTNGKRLVQMPSSLNEEMSFAVYWTLEGGDAEDQTVEQQPEDLKTQEQQLNELVDNQMEEIVGIAKNVTSRGKDKLA >Dexi2B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:2B:28319107:28322488:1 gene:Dexi2B01G0017950 transcript:Dexi2B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYILMHSLNSEQGSNFRKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLASPTKDDYMGLYSIMFWTLTLIGVVKYVGIALNADDHGEGNCSGSPICCNTYRLILAAKIWDFKSVEAMFADLGHFSKKGIQVIFINITSLNYVLIAFLSSIYPSLVLTYAGQTAYLINNLNDFSDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVVLGFGAGNAIGNAFGVVVIMVLLITTIMLSLVMTIIWRTPPVLVLLYFVPFFVIEGSYVSAVFTKIPEGGWLPFAVSMILALIMFGWYYGRQRKMEYEMANKVTVERLAELLARPEVQRVPGLCFFYSNIQDGLTPILSHYIKNMSSLHEATVFVTLRYLLVAKVDEHERILVRSLGPTGVYGCTVQYGYADSLAGGGLVAQVTSCLRRHVETEAGGHEAARLGAARNAGVVHVRGKMRFYVGEDAGWFDRVMLGFYEFLHGICRSALPALGTPLQQRVEIGMLYKV >Dexi5A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:5A:5438763:5440768:-1 gene:Dexi5A01G0007280 transcript:Dexi5A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWEHARARRSVTTRAAYDIPASEGDGCRVESWPRLKPLRWLGFPSAAGRLWQRGRRDAAAATWARVVASNGHVHVPREWGAVAANRLIGCWMDGPPRAALPQPRGPPAQLGPTLTGAHGRSVIGCQVSSTPALSSTPDPIPDLLRARIAAVFLSPVRVVWARSRSRKATAAAEDGTTVRERDRGSAARLRLWPKRSARGRSAWDSLVALDLSLALARSARNKIPRPRRITEDLEKRGPPHLTRVASLHQSREIIRNSASRLPGPAVNSATLQSEIISTRSSKLRDTRNQCDQIATESRSMY >Dexi3A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:3A:2045367:2046548:1 gene:Dexi3A01G0003130 transcript:Dexi3A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLLLLALSLLSVSFRRHLFLPQGPSQYAADDGLLRRLAAIDVGGDQVLAEAAALLANASVSSYPSLGNHHRLLYLRLAYRRNATSAPRQRAVSRLRVPFQTVPTDDSLLAAFRASLRAFLLARHPRRGSGDDVVAGVMGDLAGFLGRPRRFPTCAVVGNSGILLGSGRGAQIDAHDLVVRLNNARVAGYAADVGAKTSVSFVNSNILHYCAVRSAVATAGCNCHPYGRAVPMAMYVCQAAHLLDALVCNATATPASPFPLLVTDARLDALCARIAKYYSLRRFVATTGEPPSNWTRMHDERYFHYSSGLQAVVMALGACDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYRDLQERPEAVPFLDEAPAFKVPPVKLYR >Dexi9A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:9A:519993:521802:-1 gene:Dexi9A01G0001030 transcript:Dexi9A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIGANTWVVSGSPQTKSNTHPSSYKMFCLGSLTNWVCPDNMEHLKRIAEEMQKQVAAAGAAAQAKEDNDDDVPELVPGETFEEVAEAAKA >Dexi2A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:2A:30089617:30092730:1 gene:Dexi2A01G0018110 transcript:Dexi2A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSLAATATATAAAALVATAAAVVLSSVLYRRKCGRLAARVRELEAALAAATEKAASERRGRVRAQQSLRRAVSEQEPRSDEARPAMAAAAAQGSYPMAPIGTVQSCFSTRNGTPRQPLVVTLARATVAFDPARVPAAALEGLANYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGDKMGVLATRSPHRPNPIGLSVAKVEAVDGHAVLLSGVDLVDGTPVLDIKPYLPYSDIVNGAAVPNWLEVCTMLHINSRISFTLTFDSFMVDGALAVESIHFSEHFISALPICWVHAQKQSLYASADEFRDLIKQVLSWDIRSLSQRIRPHRVNMETEANGHIGKEADEHHGDKACCGVIYHLHLEGIDVSYRIDQCSNIVVEDATLIPGARNQNRHGYLAWRDKLGGSGL >Dexi3A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:3A:10368168:10374819:1 gene:Dexi3A01G0014230 transcript:Dexi3A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDELGRRLAAVGVSEPPTAAVALESSNNNLFQVMRAVEDAEATIRQQLQENSRLKDELMLKTQELNRIRSEATNTNQITSRVIHQDHTHTDTLSSAPLGSTADAFKWGAGEPMLQDAMRHKYLEATQSNGISRKLSGDQSAVDSAFPSQLSTPSSRSLSPTRNRKEPDYDSRFNMSGQGALPVSELNSNVIWKQDLLAKVKEHEEEIAQLRRHLSDYSVKEAQILSEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERTTFVSSLLPLLSEYNLQPSVLDAQSIVSNLKVLFKHLQEKLIITEVKANLDIVPQQAYSQIQSPASSPVRGRRDWDFNHNMKDVPQGTEHDSHAVRFNIESKDQNPSFKDLIRNDATESLEGAEAQISQEPSTQWESDGSPHLASGLDDATQPYPYLPTVLEEPSSSFSEVVEDDPLPAIDGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLDNGSVHFIEGARQPTYLVTADDVDSVLAIEVQPLDDRKRKGEIVKVYANDQRNITCDPETKEHIKKILSTGHVSYEVLLPINIPYGRPNEFSIGSADGAEYTLKPAENAPSRDAIVLVLRLFRMKAVEKSKGRRKGIFFK >Dexi6B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:6B:896355:898930:-1 gene:Dexi6B01G0001090 transcript:Dexi6B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNCRDSAVACPELRHKQNKKKKKIKGEVPKRTLEAAVVACSDPSSEPAGPLRVRSLASWGRTGRCRRVAAAAAAAADVGGGEARVRRKTQAEQRQTRALQTCGSLPIHPPPPSPPAARCDATKAAVAAAGHSMRPPPLPPPPAALSRHHLLRIRRCLPPDWLNAAPARSPSPPPPPPPPPPASSFSASSLSSLLYTCTAGRARFPGEQVHARAVALGVAAHPSVLPRLASFYLALGDLSAARAAVDLAAGKARAFPWNLLIWGYAERGMWADVVRAYGRMLALGVGADRFTYPSVLRACGELRDASIGRGIARRIERWMYGLDMYVWNALVGMYAKCGALEDARRVFDGMPARDVVSWNAMVSGYASAGMWGEAFELLQQVPGANIVTWNIVAAGNLKAGNYDEVVRLVSQMRSSQGPGLDFVTFVIGLKACGRNGYLRIGRELHGVAVRLCFDRLERVECSLITMYSRCQMMSSSYCLFRACSVRSMATWNSLLAGFAFMDQVEEAVLLFREMIESSFFPNDVTLLTMLSLSARVGHLCHGREMHCYILKHGLSGSNLLQNSLVDMYSKSGQMEAARRVFDQTQCQDRHAYTSLILGYGMQREGLVSLKLFDEMIANNIKVDHVTMVAVLSACSHSGLVTQGQLRFAEMIDVFCIEPRVEHFSCMVDLYCREGLLRMAEEIINKMPFQPTAAMLATLIEACRIHGKIEIGDRAAKRLLAMKTNNPGHYKLVANMYISAKRWPELAKVRSLMSAMELNMIPTHSLLESEYVISPVEQDHSSNRSMPGGLSDDMTDTDFSSEESKFSEAFGG >Dexi9B01G0023570.1:cds pep primary_assembly:Fonio_CM05836:9B:18912427:18925938:1 gene:Dexi9B01G0023570 transcript:Dexi9B01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRSSRELRTSNFLRRAAMSSRCSFSVAAKEASADLPLTSSPMAQDQRVDANLLLSTLCCRTIKTPEGNIIKAVDSSAAVIGRDTLAKTVYARLFDWLVDNINKSIGQDMESRSQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYTTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFATKLFQNLRAHPRLEKPKLSKTDFSLSHFAGKACSVTYQTDLFLEKNRDYVIAEHQNLLSSSKCSFISGLFASQQDDPSKSSYKFSSVASRFKQQLQALMETLSSTEPHYVRCIKPNSLNCPQKFENGSVLQQLRSGVRCLARNVLETKRQIAAAVSVERYARRWLCRCAYLHLRSAALLIQSGIRYILAVQRLLHLKRAKASTVIQAWWRMRKLHNFHQQYRQATVLIQCCWRQKLAKRALRNLKHAAYETGALREAKGKLEKSLEDLTLRFTLERRQRVAVEESKALEISKLLKILDSVKLELQASNEENKNNCTKIASLQHQLELSSKDQEALRNSLSQIEDVKRENISLKEKNAEMEQELLIAQKCSHDNMDKLQDVEKNYVHLRDNLKNLEGKISNLEDENHLLRQKALNLSPRHSRTGSHHIGASPCSPRPLFESSPVKLAPLPHNLTEPRRSRMNSDRHEDYHDVLQRCIKDDMGFRKGKPVAACIIYKCLLHWGVFEAERTTIFDFIIHTINTILKTENENDILPYWLANASALLCMLQRNLRSKGFIMAPSRSSSDTHLSEKANETFRSPLKAFGQRTSISHIDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVATQQPISTHWDRIVKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLEKWIVDAEEEHAGTAWDELKFIKQAVDFLALSVRQIYRICTMYWDDKYGTHSVSAEVVAKMRDMVSNDVQNPVSNTFLLDDDLRLVIPGEVHELISLSLICMIHAHKTHIYTVTGNLHNCSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQSSYLSR >DexiUA01G0005870.1:cds pep primary_assembly:Fonio_CM05836:UA:10593559:10594283:1 gene:DexiUA01G0005870 transcript:DexiUA01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSCRVMDRLPGSRIAFIGDGPFRAELEQMFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVGARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIELLLSCEELRETMGRAARKEMEKFDWRAATRKIRNEQYSAAIWFWRRKRAQLLRPVQWVVRRLMRVTTPGADIAVAKQS >Dexi4A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:4A:3416756:3417856:-1 gene:Dexi4A01G0004870 transcript:Dexi4A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIALSNDQSYLARGSSIPTGDDTSTILVSPNGAFTCGFYKVATNAFTFSIWFSWATDKTVAWTANRDAPVNGKGSRLIFRKDGALALLDYNSTVVWSTNTTGTQADRAMLLSSGNLVVIDTYGRHLWRSFESPTDTLLPWQPMTRNTKLKGRFMASDQLEFEASDLGDEIIRRLTLDYDGFEMVEPSDWSKGCRRKISSMPNQDFLFRELTGMDLWGYDLNYSESSPWRVCRDMCLNSTDCQAFGYRKGT >Dexi7A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:7A:28866353:28867722:1 gene:Dexi7A01G0019860 transcript:Dexi7A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNRKKVACVTGGTGYIASALIKMLLEKGYAVKMTVRNPDDTAKNCHLKELQGLGPLEILRADLDDEGSFDEAIAGCEYAFLVAAPASLKSLEDPELLRAKKPPGWGYAVSKVLLEKAASRFAEEHGISLVTVCPVVTAGAAPATRVRTSVPNCLSLLSGEATIRAEPS >Dexi4A01G0024130.1:cds pep primary_assembly:Fonio_CM05836:4A:26968161:26968752:1 gene:Dexi4A01G0024130 transcript:Dexi4A01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVALVAMLATALSAFASSARAEQCGSQANGALCPNGQCCSKYGWCGTTSDYCTNGCQSQCSGGGVGSIISESLFNQMLLHRNDAGCPANGFYTYAAFLAAANAFPGFGTTGSSLDVQKRELAAFLAQTSDETTGGWATAPDGPYAPSSYCQPSSQWQCAAGKQYYGRGPIQMGSSPTSSMAGSSVPMPRR >Dexi9B01G0046340.1:cds pep primary_assembly:Fonio_CM05836:9B:45578652:45578864:-1 gene:Dexi9B01G0046340 transcript:Dexi9B01G0046340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFSEQTTVGSVSKSAASSSGQWTQRCERCGGSERQKETWIAWPESVKASAVVPLGRSSMMI >Dexi1B01G0025610.1:cds pep primary_assembly:Fonio_CM05836:1B:30576728:30584848:1 gene:Dexi1B01G0025610 transcript:Dexi1B01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLAASGDVNSNRDSPLPPCRWLLVNYNGELSLMIRIFFGLGDRGLWAVASSFCAGAVANVVASEATHLPHVPNPGGIRATQIDTSACMASSVTKFLASLLLAPVLALLLQSQLASAAEEEFAKA >Dexi9A01G0036070.1:cds pep primary_assembly:Fonio_CM05836:9A:40620617:40622651:1 gene:Dexi9A01G0036070 transcript:Dexi9A01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMDEYFYQSLLLSVVAVALLQLVKLALKPRPRLPPGPWKLPVIGSMHHLINVLPHRALRDLADAHGPLMMLQLGQTPLVVASSKETARAVLKTHDTNFATRPKLLAGEIVGYEWVDILFAPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVRLKVEEIRAAGPSTPVNLSVMFHSITNSVVSRAAFGKKRKNAAEFLAATKAVVGLSSGFNIPDLFPTWTTLLAKLTGMTRSLKEIHKTVDTILEEIIEERKGIRQEKLRSGVSADDVDENLVDLMRYPAVMKKLQGQIREAFKGKTVVTEGDLQASNLQYMKLVIKEALRLHPPAPLLVPRESIEACELDGYMIPAKSRVIINAWAIGRDPKYWEDADEFKPERFEDGSRDFTGGSYEFLPFGSGRRMCPGFNYGLASMELAFVGLLYHFDWSLPEGVKEVDMGEAPGLGVRRRTPLVLCATPYVPVAA >Dexi5B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:5B:8221815:8222482:-1 gene:Dexi5B01G0011580 transcript:Dexi5B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGNGVIHVQMAADLDLDQPPQSRDNHQQNAGVGHKPDFATNSRDTLLVVATLISALSYQLGTNIPGGYWQDDTGGHVAGDPIMRDKHRRRYWLFMAGSWVAFGISMLLTVGLLTGVPPKSRLVRGAFQVAYASLVLTFLASQPRTSLTLDLAIWVGVMAALAFATTKVRLDKLPCWAKEKW >Dexi6B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:6B:23521432:23522576:-1 gene:Dexi6B01G0016260 transcript:Dexi6B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSSKLRRLTFSAAAGEVSPDWASLNGDLVELIGWRVLAGDLHDYVRFRAVCSHWRTTTTTPHGRGVADRRLHPRRSHLPLLDGHVILDSVDGLLLLHRDVDTAIRILHPFTGDVVEFPPLVSLLPQMKSLNCSLLNKYSRLFKVCTSIAVSSTTGAITAMLALDLAYRVAYATAGDRRWALSDWEFKPMLKPVSFQGKIYSLQFAFGEIRKVYIYQFNPPCPDVDGGPSQLPLPEKIAACPMDKFRHPLYFVECGSELLLVAYNDASYSKLLVYRVADIVRGKFEPMTSIGDHTLLVLSNTT >Dexi3A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:3A:9969203:9969640:-1 gene:Dexi3A01G0013680 transcript:Dexi3A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKEESPLARPGGRRPMRCRCRSPRSRCAVLCIHPPPLPRIHGAINTSPEIHSFRSPRRRIIILSAQLKRAPHHTGRKDNTNRIDHSSIAGTHLHCVALQLAGQCQFPWKRE >Dexi8B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:8B:4907420:4912862:-1 gene:Dexi8B01G0005050 transcript:Dexi8B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVCAPHGPAKFPAAPAASLAGARVAARLWWRPAAAVRHGVAARASSFVCRIGLDSQNFHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAMLGCMLALLNRGRNEVLSGRSGVASAFQGSEDSTSDRIPPLALFRGDMKRCCESMQVALASYLAPMYYSTASDDSGTEELEVAFWRGGKVSEEGLAWLLEKGFKTIVDLREEDVKDDLYLSAVQEAVSSGKIEVVNLPVEIGTAPSAEQFEGFKQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVDSAHLELKIPDDARSNAWVSFDGKRRQQLSRGDSVHISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >Dexi5A01G0026750.1:cds pep primary_assembly:Fonio_CM05836:5A:30306850:30310128:-1 gene:Dexi5A01G0026750 transcript:Dexi5A01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAAGRPAGASGAAVPGGRLATSQGVSKSKRAVAQPLAASVVTETPTPAIAAPAPPARAPVVDAPRRRGGRGGAGEHAAWKSVRQERWEGALELEGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYAMLVRVSFRNGRAVGAHRQIESGAYKAARASGKVCYREFSEVPKTDGFLSYVGQLASLFSGSSLTDNSNTGVVRLGDGRVLCLTETVKGSFVVDPDTLDTIGKFEYTDKLGGLIHAAHPIVTDTEFWTLIPDLIRPGYSVVRMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTDHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHPESGSYMHVMCKASGKVVASVEVPPYVTFHYINAYEETDEEGRVTAIVADCCEHNANTSILDKLRLHNLRSSTGQDVLPDARIPFDGSPFGELETALDPDEHGRGMDMCSINPAHVGKKYRYAYACGANRPCNFPNTLTKVDLVEKTAKNWYEEGSVPSEPFFDGSAYALVLDAKTFQEVARAKFPYAMPYGLHCCWVPRG >Dexi9B01G0031250.1:cds pep primary_assembly:Fonio_CM05836:9B:33652626:33655113:1 gene:Dexi9B01G0031250 transcript:Dexi9B01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIMASYETKKNCEIRVFESSDEMATDLAEFISQVSEISVKERGYFAIALSGGPLIRHMRKLCEAPYNKTLDWSKWYVFWADERAVAKNHIESNYKLAKQEFLSKVPILNGHVYSINDTATVEKAAADYEFVIRQLVKVRTVGVSESNDCPKFDLILLNIGPDGHVASLFPNHPALELKDDWVTYITDSPEPPPERITFTLPVINSASNIAIVASEEDKAKAVSCAISEGPGATSVPAGMVQPTDGKLVWFLDEAAATSLEARNDDYKHQY >Dexi4B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:4B:21437175:21439655:1 gene:Dexi4B01G0019180 transcript:Dexi4B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPPMKTHLLPARTISPVSNGCAAAAIPSSPSMAARPRRIPSGLQSVTGRGKFSLAAVTLDDYLPMRSTEVKNRTSTDDITSLRLITAAKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSIEGMISHFEAVLPMGPTIIYNVPSRTAQDIPPEVIMAISGYPNMAGVKECVGHERVKHYTDKGIAIWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSLMYKGENATLNEKLLPLMKWLFCQPNPIALNTALAQLGVSRPVFRLPYVPLPLEKRIEFVRIVEAIGRDNFVGQKEARILDDDEFVLISRY >Dexi5B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:5B:5106954:5108795:-1 gene:Dexi5B01G0007570 transcript:Dexi5B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGNGETMRLIGLWVWGLWLEASDHPQADVFRLIRGSVASRTTPTLEGVVSVSLEARARLDGNDAQRTATHRTGITAPRHHQLSGEDIGQIHSQQPNPSSSTVPTTPLTLPPAGHAATLEGSLEGDHGTPCARHHPRRRELSREGRTIPELEGAIPAHVLRSMDEQSSSPSPTLLVNPYYEQHVTRCIAPLLDVRPRGRNQDKPPSLTLAIRKTSG >Dexi9B01G0047070.1:cds pep primary_assembly:Fonio_CM05836:9B:46280590:46282845:-1 gene:Dexi9B01G0047070 transcript:Dexi9B01G0047070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Dexi5B01G0039690.1:cds pep primary_assembly:Fonio_CM05836:5B:38419461:38422053:-1 gene:Dexi5B01G0039690 transcript:Dexi5B01G0039690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRRRPPNSDLFRTLTNCTRRGDAAAAMAAFDAAVSDPDAPPRLAAHQYNQLLHLVATADRSSVPSPAAAARRVFSHMLQAGAPPSEATITSLARVTAADDPDEAFHLVATMKDKHGLAPRLRSYSPVLAAFRRTGDAAKAYAVEAHMAASGVPPEEPELAALLDVSSAAGDADKVYEYMHKLRQTVGCVTEETAQLVEGWFRTDQAAMTGKPQWDAAQVKDAIVLNGGGCHQLGWLGAGPWTVQRVRAEADGQCGGCKCRLACVDIDMQETQRFADSVAGLALERETKANFSQFQEWLEANKGYEAIVDAANIALYQQNFAEGGFSLTQVKYTFNKGKAVLLMPPPYSSEIQESEMGSWHMPMEEKSGDERIRIWLCISRTGSCKEPDEVTATNGVVQEAPPTEAYNGVQQGLTENKAESITGGKRKDRD >Dexi5A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:5A:24956887:24958842:1 gene:Dexi5A01G0021060 transcript:Dexi5A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALELLYVLGGLAALWLVWRALEWGWLRPRRLGRALRAQGLRGTAFRFPSGDLAEETRLLAEQRAKPLPLSSAHDIAARVEPLLHKAINEHGKISMIWEGPTPSVILSDPKLVREVLANKSDYGKHELPSNFVKLIGKGLLTHEGEKWAVHRKIINPAFHLEKLKKMVPAFTNCTIELMGKWEDMIGSDGSAREIDIWPELQDLTGDAISRAAFGSNLSEGRRIFRIQSEQIQLATTMTNLFIPGYSYLPTKLNRRVKRNAREVEALLKAIITKKEVALRNGHADDTDMLGLLMLSNMKESQESGSSEPMMTIDDIVGELKIFYFAGMDTTSVTLTWTMIMLSMHPEWQERARDEVLQVTMVLYEVLRLYPPFTFLARQPHKEVELGGVKYPPGVQLFLPILTIHHDPDVWGKDVNEFKPARFAEGISKASKDGAGFFPFGWGPRRCIGQNFALLEAKVALSMILQRFSFELSPSYTHSPFRVASLQPDHGAQIMLKKI >Dexi1A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:1A:2143978:2146517:1 gene:Dexi1A01G0002970 transcript:Dexi1A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLVMLLQLYSAQAAFVNGGATQVRSFAKDAAPADRPPVSGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVREKAELLSESQKIKHTIDTFTRGIPDARTYLNTLQEIRVKSGIEDHLNIEPLMMEALDKIEKDIKKPLLRSDKKNMATLVAEFDKINKKLGFRKEDLPKIEEELELDIAKSQLTELKKECIEAMETQLKREEFKDEEMPDVKKLDIRNFL >Dexi5A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:5A:419097:424310:-1 gene:Dexi5A01G0000620 transcript:Dexi5A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGACRWGPRVGAGCPHRLVSQPNGRSSYFCWGPLTVSLSGRKVVRLTGPMNSKSYNKLSSANDKMDSKTWGNTHSNGTLERNQSGLSMDPSAASKPAAYLHTPSDKKSRHMASHPDDKKFPGPTANRAANPVPMTVSETGATPTEVRQVSFDSSRSNSFDSSSTSHIKRHTGSDCRWEAIQLATCRDSPLSLVHFRLLKRLGYGDIGSVYLVQLRGTEAFFAMKVMDKESLISRNKLVRAETEKEILGLLDHPFLPTLYTHFETDKFYCLVMEYCCGGNLHSLRQKQPNRHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSVHAGANGVEKGLGHAAGANQGCMQPSAFFPRILPKKSRKTKSDFNVNGSLLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGSNNRATLCNVVEQPLRFPDNPPVTNVARDLIRGLLVKDPLKRIATKRGATEIKQHPFFEGVNWALVRGAHPPSVPDPVDFSQFRSKEKKAMESTTVTTPSNLPAAVAKSTKTADSAAKFEYF >Dexi5A01G0022360.1:cds pep primary_assembly:Fonio_CM05836:5A:26392609:26399738:1 gene:Dexi5A01G0022360 transcript:Dexi5A01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNAVSATHVKREDKFFGQAVSLSMNPFRTKANDSARTATYNEGAVLSATLAARLACVRTSPRPGRATAALAAGVVKGGSPGRPSATRTAPRGARRCQPWTRRGPAPVLLLLRLSARVRAGGCGVVAVPGRRQERSLQGQASAVRPCLTALFILY >Dexi4B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:4B:878628:883097:1 gene:Dexi4B01G0001470 transcript:Dexi4B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIPFDGRRRRPWRLLLAACTTLRLCPQEINEYINNLTSSYTEKSNEPSMVSASVIMFVLAGLFFNLNLFSGISDVSAILDPKVRLFLTSALSLFLPVMSYLFSEAKNTAKNSSASPAIDLSFRAGLILTWMLLVELLRKKVDEIRMRGYSGTIQRAGRVAWLGSLVFFNIKSAGRKAVFSILWILCATKVLQRIAFTEVGKRSYGHGKNARLISSYMSQMLLEQEHHQAAGDEGDVLLKTCKYIVMGEEKLEDIEPTAEGYKQLRQNDDTIVTVGKVWELDDKFFSSHQIQGLRSICLSFALFKLLRRRFEHLPAVTKEEARNSRNLILKGLYNKSQAEAVFQVLNDEVNFLSEYYHSVVPVVLASPFFLFVNYFLVNIVVAVLCFMTVILCGNGDVRYALHSLHADNYTLQSGVAKIAICLLINATANSPSAFFSLVDLSITVLLFIIFFYEEIWEFVVFLLSNWFMVSLLYNYINKPSWLKRSTFRGALFWAGFSIIMWLRSKMSHADLRMKQFSMLNLRWPLKLPLLPTFTSMAVHTVPVPTSVKESIMKYLVEEHGLTQENPLTNGKSSLRKYGIDASRLSPSQQPSCVSEAILMWHIATIILEVKCPPPSNNKQQEASRRTAMSLSKYCAYLVAFHPELLPDNPEKTELVLENMKAELKGMLGCRQYFLSSQSARVDKIMMDKNNTGQEGDDPNNSKVLVVYVAPSNEEERVKGHEDALVQGGEFITVIWALATHIGVARPPAKKPTADVQPGITMGACATKPKTLEGKAPDEAAPISTPKVAPENTIPTEVVEKVVVEEAKEEPTAAAAVIEELLAAPVVEPEQKVEAIPEAIVEPEQNKEEEEEVVLEKIVEEEEKPAAPVEEKKTATVEVKKDVEEEEDKKPMQS >Dexi7B01G0021280.1:cds pep primary_assembly:Fonio_CM05836:7B:26375311:26381407:-1 gene:Dexi7B01G0021280 transcript:Dexi7B01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVADGKAANGGGAGGGDAAAGEGKKRADQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPLFFLFFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEISCWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKMAGYKLLEVIRQKPSIVNDHKDGKWLAEVHGNIEFKEVTFSYPSRPDVIIFRDFSLFFPSGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAAATASNAHSFISLLPNGYNTTVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELVVKSSGAYASLVRFQESTRNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFLKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGIYAIVAYLVQYYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVIVQNMTSLMTSFIFGFIIEWRVALLILATFPLLVLANFAQQISMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSHELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATKIEPDDPESERITTIRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVSIDGKDIRRLNLKSLRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIEAAKTANVHGFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTSVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSELLTRPEGAYARLLQLQLNRV >Dexi9A01G0049580.1:cds pep primary_assembly:Fonio_CM05836:9A:52165343:52171941:-1 gene:Dexi9A01G0049580 transcript:Dexi9A01G0049580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRAGERYDFRFSNFRAVQVPAVSDRLFISIVSVDTGKTIAKSSKAASRSGICQWPDTMLEPIWFTKDEVSKEYEECQYKIIVSVGSTKSGILGEIFLNLSNFLNLVDPTVISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMSPRLEDRSPTPTNDDMDNRSDCSDNMFNRGVRSSAESHLGATNQDEAGNRVSIVSNNLLFPKFFTSILIRHETETNFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYVGRQDSASSHVSYVSAGRGDDGFRSNNSSFSSRASGPTVLQGNTPKTFGNGLSQLSMGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLELLKKECSEKSKQQAELAVELSAAQAERDSYRHEIEELKSSLKDVNTWQTITGTPKRADWIDLQKEFEEEVKYLKESNADLTIQVNKTQEANIELLSILQELEETIEEQRVEISNISKVKHTADPENGLLVRGDTEWAKKLSMKEDEIKMLREKLDRALNVGNAGGADSNAVYLELEKENGILRAKVQELEKDCSELTDENLELIYKLKENGLTKGQVPHILNNNELQFEKLTSRIHQLEEELRNKEVLRDGSFSGASISSVDELQRKCADLELKLLKFRSQTCELEEKFQKSQRDLEQRDIELSELRRKINGFHSTEPEVCESGGTRKCQSRTADVEGTESETDMLKARFELQLQENDSLRRSKVEMENFISGIQAEKSQLEERLSASLKESSITSKCLDEVRQDILVLSSSIDSHVLANKALERKIIELESCKAELELHISELEQENIDLSERISGLEAQLTYLTNEKESSELQMHESRSLIVNLKDKVERQQSEMETQRLEFKQKQHESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLIADLKKQKLELHSHLTQKEQELDDSKKRNFDFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEERINRAHFMLNKIENEKTLEVENLEREVISLTAQVSSTHEERENATLDAIREVSVLRADKAKLEANLQDVSAQLRHYESQLEDLRKESKDKIKGLVDSLNASKQSEEMLTSDAEHMKKLMEAAKSNEDTLRKTSNELELKLKSSHYEKQQMLEEISGLNLQVQKIMNLQDEVFKLQSSLDEAKFEKGKLEELLRSVTEECEELKAQKAMLTDKVADMQETLKNGEEERRSRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKLQSIEQENEDLTRRVQAMDKGLEQISHIKEENPGKQEFGGDDQAALHSKIQLLESKLAEALEENKLYRAQQKCPMPEEQSVGEDGKEGNTDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAVKKGRWF >Dexi3A01G0026910.1:cds pep primary_assembly:Fonio_CM05836:3A:23482749:23486700:-1 gene:Dexi3A01G0026910 transcript:Dexi3A01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESRPSCSGSGAQPGQGERVGGATAAAAPGTEQGRPILGGQAPATERMNRVGVAEEGCSAAMATAKVERLLEELSLKNKEMTNQMEKLRRVNEEVSVKNNELSLELENLKRISQDKQEVERQLEELSLKNKEMKNEMEKLRCVNEVVSLKNNDLSLELENLKKHQAERELTRCTCNGQVHEGTTTANAAEEGWFDPAQLNRFINESHERQIKLTEIRGKLIEEVLDEEDEALKELKACGQGIYDVVVEALKEMDKYNSSGRTIVPELWNYREGRKATVVEGIDCLKKKMMEHENEKNCNKRRRSLRPR >Dexi7B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:7B:22713668:22715185:1 gene:Dexi7B01G0016690 transcript:Dexi7B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARRSTTGKEDAPVCYMNAPLPYAIEENHGGCFFDDDDDLAEVLQDQVCHGLSANLLSQITHLGRKPPSSSASDRGNCSASRPPQVVEEDGIDPDNMTYEV >Dexi5B01G0032150.1:cds pep primary_assembly:Fonio_CM05836:5B:32764779:32765015:1 gene:Dexi5B01G0032150 transcript:Dexi5B01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVEIAVAFAGSKPSGHRAQDENPSGAGAALHDVKPPEHRARKRWHGRHGPGARRSLLPCPRGRFVILGNRRRVAR >Dexi3A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:3A:4095993:4097300:1 gene:Dexi3A01G0006250 transcript:Dexi3A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVKKLHHHHHQEAAESLSLRKRMELQPELSLAPAWPGFAATAPAPPAPPARSSSSESDGTSRKKRKHYTAGAVWEQPPASLELQLNDPLPLDWEQCLDLQSGQMYYLNRKTLKKSWMRPREQSVNLDLNISTAAIVDSSARGVVAAPGEDAEPTKRHVASAVSSGGNNMVAVPCANCHLLVMLCKSSPSCPNCKFVQPLAPATPHHQAAPPPPPPAHRRLHATVRPLETLSLLH >Dexi2A01G0029470.1:cds pep primary_assembly:Fonio_CM05836:2A:40512381:40512850:-1 gene:Dexi2A01G0029470 transcript:Dexi2A01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLKIASSVVAAVLLLLVLATPQARGWTPEEDDHQVPDMAPVQAPAAGKVMVPIPGAAGTTTEGSPVCLQCRCCYKSSPGNCKITTCCSSFSCDPAGKCHLVPGVCGCSGCGDAH >Dexi9A01G0044600.1:cds pep primary_assembly:Fonio_CM05836:9A:48204220:48204819:1 gene:Dexi9A01G0044600 transcript:Dexi9A01G0044600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEDEPISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAPGLLSHEQAVSINPPPCFTQK >Dexi4B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:4B:3621706:3624605:1 gene:Dexi4B01G0005100 transcript:Dexi4B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVAVKAGSRPPWLGLGAAAWVQVAGGASSTFALYSHALKVALGADQRRLALLGVACDVGDNLGLFPGVLCNRLHPALLLLVGGAACLLGYGAVWLLVSGAAPALPYWLIWFALCLAANGGAWMGTAVLVTNMRNFPVSRGSVAGILKGYSGLSAAVFTEIYTGVLRDSPTNLLLFLTLGVPAVCLLTMYFVRPLLDHVLTLNDIVNYSLLVIMAVLIFAPLAIPLKMTLFPRRKSPSNSSDCLPKADNGHTEALLPSSSESNLGNFEDDDSMDIDILYAEGEGAIKPERRRPRRGEDFRSRTLPRSVLIVCTQVALIVAYLLLAWGHHATLYVSVALLGISYGVQFTVMISTSSELFGLKHFGKIYNFIALANPVGAFLFNTLLGYVYDLEVQKQKAGMTDTDIACHGPNCFRLTFFVFSGAACLGTLLSTVLTVRVRPVYQMLYGGGSFSQPRSSAH >Dexi7A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:7A:10919554:10922454:1 gene:Dexi7A01G0002530 transcript:Dexi7A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWVTFSHLIPTGSGIDVYNMSWKPPGRSIVGFDVMNVYFTGCNFDVYQLYDLYPKTLICTVTCPSDGVVTEIDPEFYEPQQCNGTGCCNGQLKGGQLSSLNFQFVRHDHKLQGEAEMQPPTPNSLWDRIEPSEYDAENVTAVVLYHG >Dexi2A01G0036990.1:cds pep primary_assembly:Fonio_CM05836:2A:46478177:46479764:-1 gene:Dexi2A01G0036990 transcript:Dexi2A01G0036990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVTEPAELNFTGMKKKKKKQVDLDSSLADLGDGEDTQAEEEQGEGIELGGGPKYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQ >Dexi8B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:8B:8894882:8896643:-1 gene:Dexi8B01G0007410 transcript:Dexi8B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSSLRRALHPRRPCAALPLPFLSVARPRPFASASAAPAPAPSPKDARDAAPPPPMPTRHWEEALAASQRAFCLPLAGRVLAASATGNAAVAPTAVHASLALAAAGARGATRRQVLQVLGCGGGGKGAAADAANVASRMVKRVLRDRSPSGGPRLMFAGGIWADASTRLSPAFVEIARNVYSSAARTAEFVSEPENAVTQINLWVKETTKCTVTSLQPDLPVDQNTGLVLSSVLYFSGRWLYRPDIRTTAAQKFCCLDGTCVDVPFVAYDRTRLFAAHEGFKVIKLPYQQGKNERKFAMYIFLPDAHDGLFELTKKVFAEPSFLEEHLPTEKRHVDIRVPNFTVSFQIDMKEILKDMGLELPFHRDADFADMVKDDESSGPLFLSDVLHKAVLEVNDKVIEETSFSTGIGKPSPAEHFVADHPFLFVIREEVSGSIIFMGHILDPSSQS >Dexi9A01G0030820.1:cds pep primary_assembly:Fonio_CM05836:9A:35724332:35726920:-1 gene:Dexi9A01G0030820 transcript:Dexi9A01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDELKLLGMCASPFVLRARLALSFKGLRYEYVEEIFGNKSELLLKSNPVHKKVPVLIHNGKPICESQFIVQYIDEVYAGTGPSLLPNDPYDRAVARFWAAYIDDKVVFSSFMMMITGKTDKEKAEGMKQLFAAAEILEGVLKKGVLKEHLPVGYVDIALGGFVAWVQTRDRFSGLKHFDVDKTPLLAAWLERFGALEEIKGVMPDVEKLVELSKRRQAQADAAAALKLLGFWGSPFVLRARLALCFKSLSYEYVEEDLKNKSELLLKSNPVHKKVPVLIHNGKPICETQIIVQYIDEVHGASGNSLLPADPYDRAMARFWAAYIDDKVHDTASFLMISMGKTDEERAEGRKQSFAAAEILEKALKECSKGRPFFGGDSVGYVDIVLGGFVPWVRLIGRSTGSKQFDAAKTPLLAAWLEHFSSLDSAKAVMPDLERLVAITKTSSQGN >DexiUA01G0026000.1:cds pep primary_assembly:Fonio_CM05836:UA:54777630:54777953:1 gene:DexiUA01G0026000 transcript:DexiUA01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLSDSAAARVSSFLANRGKGFGLRLGFVDEPASDDTVFEDKGVKVVVDGKSLQFLNGTQLDFVKEGLNEGFKFTNPNVKDECGCGESFHV >Dexi4A01G0021820.1:cds pep primary_assembly:Fonio_CM05836:4A:25212047:25212521:-1 gene:Dexi4A01G0021820 transcript:Dexi4A01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASAILLVATLLGLVSASLADQGTATYYTTYTPSACYGFTDEGTMIAAASEALWNGGAACGSFYTVTCTGGTNETPNPCNPGTSVTVKIVDLCPSPGCQATLDLSEQAFSIIGNLNAGKIDINYEL >Dexi5A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:5A:12362161:12364988:1 gene:Dexi5A01G0015050 transcript:Dexi5A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGTRNNKGSGGAAAVLVVAALVAAATAAGQGGGGDDPGAGASCARRPVVFAFGDSNTDTGGVAAGLGNYYPLPEGRAFFRRATGRLCDGRLVIDYLCESLNMSYLSPYLEALGSDFTGGANFAISGSSTLPRSVPFSLHVQVQQFIHFKQRSFELVAHGGSAPVDADGFRNALYLIDIGQNDLSAAFGSGAPYDDIIHQKIPDFISEIKEAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRADDSDLDYSGCLKTLNNGAYEFNNQLCTACDELRSQLRGVTLVYTDVLLIKYELIANHSTYGFEEPLMACCGYGGAPYNYNANVSCLAPGFRVCEDGAKFVSWDGVHYTDAANAVVAAKILSGDFSTPKLPFDYFCKA >Dexi8B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:8B:9799375:9802853:1 gene:Dexi8B01G0007810 transcript:Dexi8B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGASGNDTSSSTTLLSLLRTRSERSVRADEKVEWVRSQLVGRDAEFETPFGRRALLYADHTASGRSLRYIEDYILHHVLPFYGNTHTEDSYVGSRTTRTAKKASRYIKRCMGAGADDALIFCGSGATAAAKRLQEAIGVAPCTASLRARAAGQLRNDERWVVFVGPYEHHSNLLSWRRSLADVVEVPAGDDGLVDLDALRDALGKPEYADRPMLGSFSACSNVTGVVTDTRAIARLLHQHGAFACFDFAARYVYFVCDFVVVVSSFTFTGPYVDIDMRSGQMDGYDAVFLSPHKFVGGPGTPGILLMNRALYRLAGHPPSTCGGGTVAYVNGFSEEDTVYYDDIEEREDAGTPPIVQKVRASLAFWVKEHVGLDAITLRERAYAEAAMARLLANPNVKVLGNVTARRLPIFSFLIYPPCSDTDKDRRLPLHGRFVAKLMNDLFGIQARGGCACAGPYGHALLGVGDELSLRIRDAIVRGYHGVKPGWTRVSFTYYLSREEFRFVLDAVDFVAAHGHRFLPLYGFDWATGNWAFRRRTFKHHVMREELLRGDHVVVGGGGDADDDEWPMKKKGSVAGGGGLLVGDKYERYMESATRIAMSLPDTYDELVASVPKGLDPDIILFRV >Dexi8A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:8A:17399875:17400520:-1 gene:Dexi8A01G0010410 transcript:Dexi8A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPVVSAATVQPGIRFKPSDQEIVSHYLRPRSVNKPLTSDVIVDADILRYNPWELVTDRCTEKYFFSQRVLRWRHGNQWNRAAGDGHYKTSGKVEPIFSSSINGGETEKIGLKRTLVFYRGRTVVGQNTEWVMKEYSLVEAGLKPYRVMKPSGSKVLQRISVLN >Dexi5A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:5A:8899261:8904713:-1 gene:Dexi5A01G0011860 transcript:Dexi5A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPSSSAAAAAGGRGSAHQRTRLLLLLLVAVAAVASTAGFLLRGALRDPCDAHGDSASLTAAAEESPLAFMRSKLVLLVSHELSLSGGPLLLMELAFLLRHVGSQVVWVTNQRSEETNDITYSLEHKMLIHGVQVLPARGQEAVDTARKADLVILNTAVAGKWLDPVLKDHVPEVLPKILWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHATAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFHQSLQLIQQKKLKVPTMHAVIVGSDMNAQTKFETQLRDFVVKNGIHDRVHFINKTLAVAPYLSAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGILHPAGKEGVAPLAKNIVRLASHAEQRVSMGKKGYDRVKERFMEHHMAERIAAVLKEVLQKSQEHTRS >Dexi7B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:7B:23812192:23813669:-1 gene:Dexi7B01G0017920 transcript:Dexi7B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAGKDNIAEDHGEVGGPVVPRKRKRAATDGATSGPGLLCDDVLINIFARLPARAAVACTSLSKHHHRLIRSPEFAILHSRLGAPPLPRPHIAYLATAPIKRRPGQKKPVNVFHDFHVAGGGLRRGGAAAPMRSLSGWRYLEMSYINTCNGVVLLAKKEFSRPCRCILWNPAVADGDGVEEVTVPGRDYQVLGLGYGPRSETYKLLLCRSDRRRGCYHNEYSLAICSLGGSSVELDGKHDGNIRLQMLYADGTIYLAERETRWILAFDVDDEAITSIDLPTRRYVSNLMELSGRPCIAVEDDSGSKALWVLSVDHQWVKRYLIAEQPVEARFYIFSLTAVWDCGGVLVLYSDGIRDDVDKLFVYNVATGKMFKAALHRDMAPDSSEYAICWGYTPTLVSPGSMVGKVDQGVVGRRRRELPADMVKALQPVVERDRKQGHDATVDTVSFMDVLVCIMRRLPDGVQDVQDLVELLTA >Dexi9A01G0029720.1:cds pep primary_assembly:Fonio_CM05836:9A:34622972:34630190:1 gene:Dexi9A01G0029720 transcript:Dexi9A01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSSDRLGERVQFRFSNLRAVQVPVVSDRLLLSIISVDTGKTIARSSKAAARNGICQWPDSILESIWFSQDETSKEFENCQCRIAVSMGSTKSGIIGEVSLNLTNYLSSTDSNAISSPLKKCNSGTVLQFKIQCLGTKFKSSPMDDVMDSKSDGSGGMISMNPPSSRNYLGGVHPDEVGIRDASFSSPRNDSDGGLYIGRQDTASENMLQESTAEPSLSSHAQLSSGASGSSKYLLDTAEETIEELLAEAQMWETHSRQLKTDLETLQKECDEKSEKQSELLLELSASQVERESLRQEIEDLKLSFELATARTNITGIVKSDDAIDVEHELKDEVQFLRESNENLTIQLKKTQGANIELVSILQELEETVESQRAEIYTISQMSNVIDNEVPINALSVQEDADWARKLSLKEDEIVELKERLDRVLNIENAGGTGSDAIYLELEKENDFLKVKMEELENDCSELTEENLELIHKLKEVSGVEVQDSCISDIQEMLNAGDLSGTSKSRVKYLERKCADLEMRMLNFQSESRELEEKFQKSQEELKEKNLELSELRDNLSGSHVTELEGGEIDVRSQLDDTESELNLLKGRVQLQDKEIEGLQHSKLEMETFIDNVLQQKMHELESCRVELELHISTLEDEKIELLESICGMEAELTNLTSEYESCIVQMDDSRTLIIDLKDKAEWQQAELEAQKVELKQKQVEFQKRYSEVQEDSEALRRLNAKLQAKVDNLVEECSSLESLTADLKRQKLELHSCATQLEQELEHSKRKTTDFCKTVEFLEAKLSSIQKDISSKEQSFLLELENVFQEHKENEERINRAHCLLNKIEKEKIIEVENLEREVMSLTAQLSSTHEERESSTLDTIREASILRTDKAKLEANLHDVNEQLRHYESQLEDIRRESKSKIKSLVDSLNASKQNEEMLKTDAEDMRSPMPEGKSGSRDGEENNDEKITQLEAELKDMQDRLLNMSMQYAEVEAQREELVMELKNANAKKGGRWF >Dexi2B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:2B:9973618:9974112:-1 gene:Dexi2B01G0009190 transcript:Dexi2B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEFLSLLAVSSTPVAELLLVAVAGAYLATARCGVLTPSALADVNRVVYAVFTPALMLASLASTVTLRDVVAWWFMPVNIGIAFFAGGALRWVVVLLLRPPQQLRGPCSWA >Dexi7A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:7A:29128890:29130065:-1 gene:Dexi7A01G0020310 transcript:Dexi7A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTIVDVSYVAAPPHAAIKLNAMEAQWVVTPVLQHLLLFDADGHLPPFHEAVQSLRSSLAATLATHAPLAGKVHYLADTGEVAICCSSDDGNGGGVRLRRRPTLGPHRVAEVKMPAVLQEDRLRFTRRTFTLDAAQIARLKETIIHVTEEGQGGAPLRHAPSTFVAVVALLWTCAVRGRSIPPDDDVFLFFLADIRDRLDPPAGADYLGTCLAACLTRLPARELHGEGALVAAAAAVQGTIREMAEDPLGFWLGWEFLKVPSDRTVSVDRLVNVSGSSGFGAYDAGDFGWGKPRRTENVRMNHDGQVALVRARDGGGVQVAVSMLRRDHFDAFQSELHKLLG >Dexi3A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:3A:2246576:2247571:-1 gene:Dexi3A01G0003460 transcript:Dexi3A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRSTAVADESFFSAAFASDQAGGETTIEDLPTDVLGQVLRRLDGASLAALGCSSTAFRDLTADPDAWRALCLALWPSLRDVPSAADHHKDHRRRLFADAFPFPAMSPASSPHGCGDGGDIPTRLISAVDLHHRGACIMSRVVETDAASGWFLGAPFRVDALVQEGFSSPAGPITPADLSLSWVLIDPDSGRAVNASSRRPVSVDRRWLTGETVARFAVVLAGGVALDAAVTCDERFGHVREVSLCIEDGEGGGVSGRDGLAVVAAAMAGARQGRGAEEAARLRYDEFVKGREARKERKARREGIVDLCCSGVGAAAFLGFLVMLTFR >Dexi6B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:6B:3341612:3349599:-1 gene:Dexi6B01G0004100 transcript:Dexi6B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVIQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQDQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHLESESGFITPGDTMVRIERGELLSGTLCKKSLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQHGFSIGIGDTIADAATMNKITETINKAKDDVKELIKLAHDKQLEAEPGRTMMESFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIERTLPHFTKNDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKAEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGTEIATTGDNSWPMPVNLKRIIWNAQKTFKIDFRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINREFDDDLSCIFNDDNADKLILRIRITNDDAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKKGKVNKFEQDEGFKADNEWMLDTEGVNLLAVMCHDDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDHLRGPYVSFIQPYVSFIQPDLPIIQPDIPLIQPDIPCIQSYLTWIQPDIAKLQPDIAKLQSYFSKLQSFFSQVQPFACLLSKQPEDDTIQSDFSKLQSNFTDVLSYITIIFTTNIIALVSHLIPCLISAAPSIPLGDLALTTVQRLQITGNPSGSYSPTAPGYSPSSTGQANDKDDESAR >Dexi3B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:3B:490111:490995:1 gene:Dexi3B01G0000630 transcript:Dexi3B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDPRPQLPPRRALLPHLLFPGDVPPHRPLRPPPRRTAPHAAPDAATFRIFTSALCRAQRPTAADDLLRCMPGLLLDPDPRHCRAVLASLCRFAPAPDALAFLDDMRRWGVPPSRSDHGAVLDALLREGMVAEAYELVAKQMDSYDGVAPGLPEFERVLRAFRESGSFDAVEEVFEEMLLRGLVPGARVYDVYVGALCDKGDLAGARRMLGCMDRAGCPPDVATFGVVVAGCVAAGDVDAAREVAREAELVAALRGGGHLAPARGLLLDILRCPDGCVGV >Dexi4B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:4B:3550680:3550904:-1 gene:Dexi4B01G0004940 transcript:Dexi4B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGGIAVPLVLFGIGGGGGVLVPSNRLLWIGICLLPVRGILRRLTVAKPPAASTLLASPAATAALIAQRVR >Dexi8A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:8A:27442422:27447628:-1 gene:Dexi8A01G0016180 transcript:Dexi8A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARKDNTDAELLRSSSPNTSNQDLQAFIRFVACGVGKIHNHVPSLPFHCSVLPAAESTIHMVPKIADFGLSRCFDEKQSHVITSKLFGSLGYLAPEFYCGRITFKSDIYSLGVIMIEILTRERMYPEDYDTDGMNYPRHLFGSASVGHKAYLAGGSDCYGTVLSSVEIYDSDNRDWEILPDMNRARKLCSGVFMDGKLFVIGGRGSIKEELLTCGEEYDFERGSWRVIENMCEGLNRQVKDYAPPMVAVVSNELYGCDYTEKNVKRYDKENNRWITLGKWPVNVSSMNGWGIGFKACGDKLIIIGGRKTYHDDMVELHSWVPDGRPLIWKLISRRRMAYFVNATVMSC >Dexi3B01G0027280.1:cds pep primary_assembly:Fonio_CM05836:3B:22801079:22819748:-1 gene:Dexi3B01G0027280 transcript:Dexi3B01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPHFPEIGKKPKDLIAKDHAFNIAAYISSGADVIAAALRKHVEEEARDLGGEAFLRFMDQLYEQISSLLKSNDVAENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASAVLGHLAKAGGAMTADEVERQIKTALVWLGGDRVEYRRFAAVLILKVITSGPHLRKDIRKTFFSLPGGWYYRMCEAAQVGLGRNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLEYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKVCMDHILFVLRTPEERASGFVALGEMAGALGIELVPYLPAITSHLQDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRSGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSIRPGASVGRASRSNSLQQLVDSSSPVLVQLALRTLANFNFKGHELLEFARESVILYLEDEDSSTRKAASLCCCKLVAHSLSASSSSQFSSNRSNRMGGAKRRRLIEEIVEKLLIAAVADADVGVRSSVFKALYRNPAFDDFLAQADILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPVHKALVTRLCEGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGGAVSKREVAVATLGQIIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHSLPGQPREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILRDPSLSSYHQMVVNSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLISIVRQVLHLVEQLCLALNDEFRMYLLKILPNCIQVLGDAERCNDYCYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIITLTKLIPKVQVGTHVSALVHHLKLVLDGNNADLRKDAAEALCCLAHALGEEFTIFVPSIRKILVKHQLRYKKWDEIENRLLRREPLITENLSLQKYTQCPPDVISDPLDDFDVTPSEIADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMSESSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCTKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQNLEVQLKESWYEKLHRWDEALRAYTMKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGAEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRSKKYDEARIFVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESPIAEGRKELIRNMWKERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRISQARSTLVKLLQFDPESSELTLYHAHPQVALAYLEYQYAVGDELKRRDAFSRLQELSTQLATTMDSFPGMSTTHGTMSNAGVPLIARVYLTLGSWKRALSPALDDESIKEILISYNNATLSAKDWGKAWHTWALFNTEVMSRYTLRGRPDVAGKYVVAAVTGYFYSIACASTTKGVDDSLQVNVLCSDFCSAEFI >Dexi8A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:8A:1245664:1247010:1 gene:Dexi8A01G0001830 transcript:Dexi8A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIVEEDAVIRENEIDIVQCLKKLLMLSTNGTLNMHALWLVRRELGLPDDYRSSILPRCQHDLYLESPDTLSLVSRDEKLAVAKIEEWRKKEYTEKWLAESETKYAFPISFPTGFKIEKGFREKLKNWQRLPYTKPYEKNDSHPIHNVERLEKRIVGILHELLSLTVEKMIPLERLSHFRRVFAMEIENFNAVRLTISAFGL >Dexi1B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:1B:8799591:8800835:1 gene:Dexi1B01G0009570 transcript:Dexi1B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVLLVSAVLVGVVTLSSCRSLGELSEQKTYSSTPSYGTSPTPTYGTGGGYKPTPTPDYSTTPTPSYGSTPSTPSTPSYGIPEIPKHGFTGSCDYWKSHPDMIVAVVGSLGNIGKTFGTACSLIVGKKLENLHDALSNTRSDGIGALLREGAAAYLNSIVNKKFPFTTQEVKDCIVVAVTSDGAASAQARIFKKANEHHY >Dexi3B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:3B:14828957:14830878:1 gene:Dexi3B01G0019830 transcript:Dexi3B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSVGREAPASVAHDDGGPTCLIAPATVLLSRENEDGTSWYAVAALSVKAPIYHGRSVLVRWDFYLHLYKSNSSEGWISKVMSLSDLVRDRLIPLPDTVGDRLYHDTDKSITIGGEGGTVAWVDLWRGILFCDVLSDCPVLRDVPLPVPARGNWNQLLLDVDPCNFRDVTISRNRDSIKYIETESWSTRDELNTAPASYTEWVHNKSRKLRVFRGGWKATTWTMTIPVDFDDSRPLENCWHRHSEIDGKDVTLQLDASNVYPSKLLHMLHSNTTMLKGLHMACPIISMDDDIVYVLSTIKLMHMDEFEVVLAIDVSKGVLRGLAELDAQKDFIFKDDIISSDICWHLRKVAGSRAYQVNALNTGARAW >Dexi5A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:5A:14281512:14285184:-1 gene:Dexi5A01G0016080 transcript:Dexi5A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEDTETALRVYGCDLIQESGILLRLLRTTLCVRFKSEVVACGVVYAAARRRGIPLPEDPPWWTVFDADEAGIQEVCRVLAHLYSLPKSQYIPVYKDNDSFTVRRTSDQHASKESPANAAASDKGTPVPPSSSQEKDLVTKTAADKVKEKSDEESKPLPAEVNGKRDPEVNMKSEKSEPGVDKRRERERSRGRDRDVRGRDSDRDGRGRDSDRDSRGRDSDRERDRRRRSRERSSGHSDKEKSRGHSSRDRSDYYSSHSSREKDRHRHH >Dexi7B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:7B:20770141:20770504:-1 gene:Dexi7B01G0014590 transcript:Dexi7B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKVKQKAMETVADLYGIDSIGADLKDQKMTVIGDMDPVDIAKKLKKFGKIDIVSVGPAKEEKKDDKKGAKK >Dexi8B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:8B:6182839:6185674:1 gene:Dexi8B01G0005840 transcript:Dexi8B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTRAHRSLKARRRHRKAAVERGFLDPVPPSGEDLIGALPDDVLGVIFSRLPSDEAVRTSVLARRWRHLWTSAPAVRIVARFPDQSGWTPCTLNNFVTHLLVLRGAPMDEFEIICGELDAGAYVEYSPYIYGASRSSADELARFAGLWIRQALGLCKARMLKLSVRTKKRRLQLPEHTVPLVSGLLTTVELAHVSLTFETLYFSGCPSLEDLKMCTCKVHVDSVVSPSLRRLGIAGCNFHRETRTRIAAPRVVSLQLSVASGRVPALEDMPLPVQADVSLDVMMKTALGFLKAC >Dexi1B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:1B:21522511:21525827:1 gene:Dexi1B01G0015150 transcript:Dexi1B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFAAATAVSSAPAHAARALAAAPQSVSVTRSAAAARPLRLASSRSARATRLVARAGGVDDLPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPSQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGDRSMKPDPKGSKEYFAAI >Dexi5B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:5B:12875026:12880330:-1 gene:Dexi5B01G0015640 transcript:Dexi5B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAQEDEEETAVAAEGGSGEEREQVAEEGGREEGQAAEDVGDEDGEAKAAPVVSCSICLDTVVPDSEERSTARLKCGHEFHLDCIGSAFNAKGIMQCPNCRKIEMGNWIYANGSRSSQAQDASNDEWGHHDEDDPLRIQWCPIGRLPALLEELDNAPPTTFNDFMGPNFNPEQVPVSLPATAHPGPYLAYFQPVPVQPPASVSSLVAERTMDGAAYHDHWNPMAGPSDGRPVQTVQPIDFHHTPWAHMPHSYSQSNHTNGVAEQPVLPAGVMRVAGVDSDSQQRGSLPSFYGNGSGAPRIPTVPPMAPQFIRAHGNINDQLQQSSSLFAGSQRSGGMHPLGIGGGSAVASPENTFCLFPPASSGPSTMEPEDIRGSQYYAWERDRLAPYPLVPVNNEGTWWSSSQQQQPHGTPESASASRRLPGQWIGGAARSPPQENRLPDDSPFRPLHIPRM >Dexi2A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:2A:31772066:31773650:1 gene:Dexi2A01G0019590 transcript:Dexi2A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLGQMAKHSAAMCSLLVLLLVGLGSQLAQSQVLFQGFNWESWKKQGGWYSYLKGQVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLVAALHAKGVQCVADIVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGQGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKVYVDNTAPTFVVAEIWSSLQYDGNGEPSSNQDRDRQELVNWAQDVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHAFDWNLKQEINVLSSVRSRNGIHPGSKLDILASDGDLYLAKIDDKVMVKIGSRYDVGNMIPSDFHPVAHGNNYCVWEKSGLRVPAGRHH >Dexi4B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:4B:4678801:4682182:1 gene:Dexi4B01G0006690 transcript:Dexi4B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGGKYGHFTDPNLEGIEGLEVAVLAEMLRGYLQDKRYVMILDDIWSISSWESIRCALPDNKKGSRRLSDATSRELFFKRIFGSEDKLPNDELEEVSNSILNKCGGLPLAIVSIGSLLASKTTRTKQEWQKVCDNLGSELESNPTLEGAKQVLTLSYDDLPYHLKACFLYLSIFPENYEIKRGPLVRRWIAEGFVSQRDGLSMEQIAESYFDQFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIVSKSLEENFASFLRDNGSLLVSHDKIRRLSIHSSHNLAQKTSTSVSHVRSFTMPASVEEIPDFFPQLRLLRVLDMEGNLKHLETLDIRSTHVKKLPTSAKNLISMKHLLVGHKEQLTRTGSVKFLKHCSGLEMAPGVVKNMACLQSLVHIVVKDQPLVIWEIGLLQKLRKLKILLRNVEVNWKAFVGCLGKLASSLSSLSIHIINEKEYGSSLDILAFVESPPLLVTNFTLSGKLDSLPPWISSLRSLSKFTLRRTGLHAEAIKVLGDLPNLLCPKLYHKSYAEDCIVFPLGKFAKLSMLVIDNLDNIDRVRFEEGSLPNLERLTLSFLQEPKDGISGLEYLQKLKEIEFFGNIIFICSEQTRFMCQDTSKSSKSYR >Dexi2A01G0026730.1:cds pep primary_assembly:Fonio_CM05836:2A:38301415:38301772:1 gene:Dexi2A01G0026730 transcript:Dexi2A01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPQLAAMMIASAALPAASCLVKEILEGGGGVPADDLRRLERKLDKARGLAADAEAKEGRDASARAWLRELRDVLYLLRDGFDDFRRAAALRNQQGRRSI >Dexi4A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:4A:1145384:1146114:1 gene:Dexi4A01G0001750 transcript:Dexi4A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRSPASTGRGRLDSSGSKEASAWACAIPVLSGAPPPLPPGADVEVRIDGRGFFGNWYAATVIRYDPARGRRSTARYIVTYTDLLDNDHGGALTERFAATHVRPRPPPPESPPRFLLYDKVEAFHNDGWWSGIVFSTAPESVTVAFPITREVLSFSPDLVRPRRDYIGGGDWVRSTAVVTVWRKGEVGVYEVGEKVKVWKKRHFFLGTVIKLIDDLSYVVEYSDLGAVGLRR >Dexi7A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:7A:1704839:1705150:1 gene:Dexi7A01G0000680 transcript:Dexi7A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMSRARNALVATGLLIFAGAGLSFPFLFVKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >Dexi9B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:9B:6846214:6852527:-1 gene:Dexi9B01G0010870 transcript:Dexi9B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTVLGDHGGGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDGGHEYAEFLHAPRKRFSDFAAVRKEIADETDRITGKTKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMLSARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIVAMINKTIDEIEAQLDKLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHLVLKELVRRSIAATDELKRFPTLQLDIAAAANESLERFREDGRKTVLRLVEMEASYLTVEFFRKLPTEPEKAADKNTPKKQLSAMLDEDPALMEKRDALVKRLELYKSARNEIDSVAWK >Dexi2A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:2A:4838931:4840086:-1 gene:Dexi2A01G0005160 transcript:Dexi2A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESRRALQRAVAEQLQLPDEVMELFNREDEEDDFKGVPLGSRTELPQVLRAMYQHIHKLNRRFLVIFHNGSNEEIDLASFCGFPLSGYSANKVLWTFQGRFRLKPRTKVDKAMASIETTDVFVSAVPQDKDEDPQVFWSYLVQQEASEVASLYNINASISCNIDQPEVANCFLYMLELCFSGRHSIDYDLATHTANYWACDGIIQQMQQGERNITAATTDHDDSLWRAADALQREILLDVDYHQYLPSHLTRCAERKAYLTSPTYGVVLIPTGVIPNGDMFQHFKLNVLKLSRCTFDFQSPPFIYCHSLRFLWLDHCQDTETSTAGAEKEDVHRCFQRLWVLDVRYTDCGQILSAQILDLMTQLRELNIIGTLASCRD >Dexi6A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:6A:12854751:12858647:-1 gene:Dexi6A01G0010430 transcript:Dexi6A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEAPPPPPSPAATTTASNVAADRRRLPLPEWQRCLSAVGRFADEKVGRIARDDPRRVAHSFKVGLALTLVSVLYYVTPLFNGFASAATFSRFIPEIKARYDYGVGIFILTFSLVAVSSYRVEELMPLALQRTSTIFVGVAICLCTTVLVFPVWAGDDLHKLAGYPGFRMESTRMETECFGENARSGNLEGKDFHDAYMSILSSKDKEDSLYPEANPQLYMKVQKTCSQMSMYSARTLRELSSAVRSMTTPSAMNKDLSAAMKSANGCRNELLQDSALLQVMHIAVVASHLSDMVTQINEITESVNNLARLARFKNPEKERNDVVINVRSQ >Dexi4A01G0023510.1:cds pep primary_assembly:Fonio_CM05836:4A:26572099:26577524:1 gene:Dexi4A01G0023510 transcript:Dexi4A01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFHQEPAPRALTDTYTARRGRYGPGYYDSGELAIPPPKPPPSHKVFKHVTDMDLKNECNREELTVFSKEVIRFGDLCKDPIWHNLGRYFEKYSPEKLATDNTPQDHSKESMEATVQYLVNLAQNTSELYHELHALDRFEQDFKRKFHEEEYVPATRRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEIVEKLVDIVIFLHKQIRDSFKEAETGFFDSEHTQNRKLGSCGLALHYANIINQIENIRTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMGKKSGSQHSITRIQTLHHADKDKTEQFILELVVLLHHLVLQVKNRGYGNKSTKHERSRSKVTIDLQAPETRHNTSPVNAGVVASSPLSECERAALDHVSFRRASYGRSQSCEPPPGRGRNKAHRSWDACRSQGSSPARERGRSPAGAAMDRDTLRDLDVIDGLDRLTSYSHPSSPTFC >Dexi1B01G0021900.1:cds pep primary_assembly:Fonio_CM05836:1B:27648364:27648866:-1 gene:Dexi1B01G0021900 transcript:Dexi1B01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFSVQRSTGARSTCSGRPLYRPNSARLGLARFWLGSFWLDSFWLVNKTSKKFGSAQLVKLASQNWLEQLVENAAWLVKFLTEPS >Dexi3B01G0035500.1:cds pep primary_assembly:Fonio_CM05836:3B:38483427:38487923:1 gene:Dexi3B01G0035500 transcript:Dexi3B01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEERFGGVGPKNSSEEALRRWRRLCSVVKNPKRRFRFTANLEKRGEAEAIKHANHVRPPLPPSLHPSLPRLSRATSLFLRDPRAPSALRLRGSGPAASRRAWPPWWQIAAVLHRRAGFSEINAAGEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGAIVEGHDSKKLIIHGGVDGIAEKLATSKTDGITTDEDSIKRRQDIYGINKFTESEVRCFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVAVNEDNPFLLSGTKVQDGSCQMLVTTIGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFVAVITFIVLSQGLFRNKYHEGLLLSWSADEALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNGPQNASKLCSELPEVVIKTLLESIFNNTGGEVVFNQDGKYQILGTPTEAALLEFALALGGDFKVKRDETKIVKVEPFNSTKKRMSVILELPGGGLRAHCKGASEIVLAACDKFMDETGSVHPLDQTTSDKLNGIIDSFAGEALRTLCLAYREMEEGFSIMEHIPSQGYTCIGIVGIKDPVRPGVRESVATCRAAGIMVRMVTGDNVNTAKAIARECGILTEDGIAIEGPEFREKKLDELLELVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACFTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVFNEISSREMEKINVLKGMMSNYVFMAVLTSTVIFQFIMVQFLGEFANTTPLTVHQWLASVLLGLAGMPIAVAIKLIPVGSS >Dexi9B01G0029590.1:cds pep primary_assembly:Fonio_CM05836:9B:32094304:32096208:-1 gene:Dexi9B01G0029590 transcript:Dexi9B01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDLKLLSLLVSPFAVRVRMALSMKGVSYEYIEEDMFNKSELLLKMNPVHRMVPVLIHSGKPICESLLCPAWIGILKCKTEDERAEKIKETFAAIGQIEEAFAKFFERKAFFGGDSIGYLDIVLGSCLFWFEAVRRLFGVEIINSTKAPFLAAWAERFGESTEAKEVVPEADEAVQYANKLAAAAAK >Dexi4A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:4A:21327414:21329931:1 gene:Dexi4A01G0017620 transcript:Dexi4A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQASRTTRRTWRIGHKPEGLCANSPRPFLFSTEDTRALDAPHRQRGAVGPPRTVFSWVVGCGESAPVGPARAGGGRARRGLTEPRGLRSGHALAAAGLARCYRCPLDWPDGPERPVCGKEEAQLRPGNTNTRPRPLCLFGHFLDFGHYGELGTRYRRPAFRSSDSVL >Dexi2B01G0036040.1:cds pep primary_assembly:Fonio_CM05836:2B:42980723:42982884:1 gene:Dexi2B01G0036040 transcript:Dexi2B01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTAEAATGAAHDSPKPRLHAVRHERAEVIPERLAVVTGGNKGIGLEVCRQLALQGVTVNNAAISGIVADEEGLKALNIDAETWTSGRAANLLKEVFQNTYDEAFNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNCSSLASELKRMPNEKLRNDLCNINIWDEDRIEAVLKTFLEDLKNGRLEEAGWPMMLPTYSVSKMVINLYTRIMARRYPEMRINCVRPGFVKTDLCWNLGVLTPEQGARGPVMLALLPDDGPTGCYFDQTEMVKVW >Dexi4B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:4B:3937088:3937627:1 gene:Dexi4B01G0005540 transcript:Dexi4B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSISKRLRPVAPEVIPDEVLLFKILVHLPVKSLVRFKSVCKAWRATIASAHFVRLHLELARACPPSAVLVPRKWQPEPTKVASRFVYIYSFQQPPVQVAKLIMKTKPCPTGCIPRFTIPLHCDGLILIPSVTGHIFVCNPATKEFVELPPGTPNALLDQRVAFGFDPSSGTYKVAGG >Dexi2A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:2A:29842047:29846437:-1 gene:Dexi2A01G0017840 transcript:Dexi2A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVPPSEATPRHALWLSNLDLAVPKTHTPLVYYYPKPAAGAEGFFDPARLRDALARALVPFYPLAGRLAAGPGGRIEIDCTGEGALFSVARADFAGDEVFRDFEPSPEARRLLVPFAESGDPPCVLAMVQVTFLKCGGVTVGTGMHHVSMDGAGAFQFIRTWTALARGESPPTVATAPFHDRTLLRARSQPHVTSEHPVYSPSNLNGAPRPFVTRVYAVPPKLLADIRSQCAPGASTYAAVTAHLWRAMCVARGLPVDSDTRLRVPANIRQRLRPPLPATYFGNAIVRDLVTVKVGDVLSQPLGFVADRIKRAVSRVDDAFVRSVIDYLELESEKGSQAARGQFMPESDLWVVSWLGMPIYDADFGWGRPGLVAPAQMFGSGTAYVTQGPEKDDPINVLFALEPEYLQTFEKAFYGE >Dexi9B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:9B:5959371:5959665:-1 gene:Dexi9B01G0009660 transcript:Dexi9B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRGAGGHSARGATALGSHPFTTTTPRRAVPATEREPVHKFCSAATSRRTATGTNPSSQAGGGGGGAAGATGGAAGGGEGRRSTSR >Dexi2A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:2A:29521325:29522511:-1 gene:Dexi2A01G0017630 transcript:Dexi2A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARRAGFSPVCLDLSVGLSPSPQKMAAETGRPDPPASACRMASSMSDEQAKTLEAKLTQISEDNRRLTETIAYLCASQIARQSPDDAPDSPPPPVSRKRSRDSLEPPNSGDHAIASSKAAHAVESSLSDEYEGSSAEDSSVLVATYEGEHNHPCPTRAGELPSCGTRGGSVPCSISINSSGPTITLDLTKNGAAGGVRVLEAAEEAPDAKRLCQEIASSPEFRTALVEQMASSLTRDSKFTDALAAAILKQLPDY >Dexi1A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:1A:9319358:9321424:-1 gene:Dexi1A01G0010780 transcript:Dexi1A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGTGLTDTLDELVSSGAVSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDATFKSEEITETLSKMKIVACDSKLLQPNQP >Dexi2B01G0028570.1:cds pep primary_assembly:Fonio_CM05836:2B:37161320:37162437:-1 gene:Dexi2B01G0028570 transcript:Dexi2B01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDVFAKDGPKHMVGIEIDWGNDEHRRCITASLVNGTYVMESDRTSKKEGTSTALAPAWWETFHFRPQCDYKLEFPCRCVLCPRLFIIYGAIFEYAPPPGAKVANRRLAPSYIVAFRGTMLAGDPTCPSDVVNDISIVLNKQHSCPRFCHARETVEKLVDESGGSSVWLAGHSLGAAIALDVGRHMVINLGFNLQTFLFNPPLPSLDPVIGEEARRVVNIFGDIGRCILAEIFPHRQDQMEELFQRLAPWVPNLYVNPKDAFCKRFIAYFERREHRHPLSRAIAREATVMSYRDVIFNRKSERPHLLPCAKLWKNQSRLKNGGHGLKRGHGLSQ >Dexi8B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:8B:357788:366313:-1 gene:Dexi8B01G0000570 transcript:Dexi8B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSPSPSPSPAAPSAPLPNHHHHSRVTDGLAVANGPEPRNGLEAAEVERPAPVAYLPQVVVLCEQRHEGLDEAAAATGPSTSGLVSKWRPKDRVMDAIQYSDAASADSAITQTVCWIRRVFTWGDSRIELQLAGLCTNTVIAHVNCLEICSWIFVSFFSDPFSMAPPKALENIGKTLHTQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTTNGEIWVFNKRLDWSSSSSASSQKDCILLAACEAHQTLPQSVEFPADVFTACLTTPIKMALHWFCKRSLLRDSMDNSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLSCQVDLVKDGGHAYFVRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEVCINAGLVDVCLRHLQPENPHDAQSEPLLLQWLCLCLGKLWEDFPEAQLLGLHSNAPEILIYLLSEPQPEVRAAAVFALGNLLDMRSTSVNGVDDDSDDDEKLRAEINVVRSLLQISSDASPLVRSEVAIALTRFALGHNKHLKSVAAEYWKPQTNSLLKSRPSLANISSPNHVYSHNNIIQGSSGLASHIGPVLRVGSDSSATGRDGRISSSSPIATNSVMHGSPQSDDSSQHSDSGILLKESASVMGSNGGLSYTRSRPVDGGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNTRFSSGGAHLGETSTPPSNFGMARSSSWFDMNSGSFSVAFRTPPVSPPQHDYLTGLRRVCSMEFKSHHMNSPEGLADPLLSSAAAPSNAELSIFPLSTIYNWSCGHFSKPLLTGSDDNEEANARREEREQTALDCIAKCQRSPCKMTSQIASWDTRFESGTKATLLLPFSPIVVAADENEQIRVWNYEDALPVNSFQNHKLSDRGLSRLLLINELDESLLLAASSDGNVRVWKNFTQKGGQKLVTAFSSIQGHRAAGRSIVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQIRPGHFAAGFADGSVRIFDVRSPDRLIYMARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi4A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:4A:1166441:1180074:-1 gene:Dexi4A01G0001790 transcript:Dexi4A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLPSLAASVGLFLLSVLAVALLAVTLYILGVVASFAVFCIREFARRDQDRPPLIGTVFRQLRNFDSLFDEHVRYALAHRTSRLVFPGHSEFNTADPAVIEHVLKTSFSKYSRGAFNYSVMKDLFGDGIFATDGEKWRHQRKLASHEFSTKVLREFSSVVFRTNATKLAEKISSAANNGTSIDMQDGKMADEDDVLPNGYRVMKGDGMNYTICAMGRMTYLWGEDAEDFKPERWLANGVFQQESPYKFASFNLRNFDRLFDGHVRDALAHRTCRLVYPRHSEVNTVDPAVIEHVLKTSFSKYSKGSFSYSIMKDLFGDGIFATDGEKWRHQRKLASHEFSTKVLRAFSSVVFRTNATKLADKISSAANNGTVIDMQDLLMKTTMDSIFKVGFGFELNTLSGSDESSIQFSNAFDEANSLVFHRYVDIFWQLKRYFNIGSEAKLRRNIQIIDDFRAREDILSRFIMQSKTDPKTMNDRYLRDIVLNFLIAGKDTTGNTLTWFFYMLCKNPIVQNKVELEINESVQWAEKDSADDFTARLNEGAIEKMHYLHAAISETLRLYPAVPVDGKMADEDDILPNGYRVMKGDGVYYTIYAMGRMTYLWGEDAEDFKPERWLVNGVFQQESPYKFVSFNVSIIGNSHITDPY >DexiUA01G0027350.1:cds pep primary_assembly:Fonio_CM05836:UA:58495103:58495702:1 gene:DexiUA01G0027350 transcript:DexiUA01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDEQSAPPVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLGLEAVAASGMLNDLSYAVLWRVAERQVQLGLSVVIDSPLSRRAHLDALTRLPGALVVIVECHPDDKEEWRRRLEKRGAAVANGGGGDGWHKPKTWEELQRLVEGIVVDTTDPEVDAEAIAAKVVGFIRSHLSCRQ >Dexi1A01G0023630.1:cds pep primary_assembly:Fonio_CM05836:1A:30255430:30258256:-1 gene:Dexi1A01G0023630 transcript:Dexi1A01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNTSLKRLGLTKAVNDSGLAPLAAFGYVMATHLSLYPAILIVPVILLLGYGPDAPPTRVFILKGSSASKSDMSEYDKQTSLKVQRFSWMTVLHFIFWLFIWSCYVLLLSSIILKKVGGLNEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRGFFLIVFNMNIIFMVLPLAIRLKHRPCFLVFVYTAIVAMLKSYPSAGDSALYLGLLGLFASELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVGSMIKHDRKLRLLVTS >Dexi4B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:4B:4105440:4106192:1 gene:Dexi4B01G0005810 transcript:Dexi4B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHVSCAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPDALGKLLAKAGLTQPAPEA >Dexi9B01G0024020.1:cds pep primary_assembly:Fonio_CM05836:9B:19540526:19543710:1 gene:Dexi9B01G0024020 transcript:Dexi9B01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLAAPLIPRCTAGARPLRGRSTTALRAGAASPSSATRSTREEAVARMPRLAHREVMLALAREAEARLGGALLPSEVPAEVTCFGDGAALGSVDVRRGAPGSSYSICSMYLPSVGSSSARAVIVQPLLPIILAGGSSADPALHRRAARPRKIDFMLEAWFHRILPTGIAIDITSLIIFLNGTTDALHFLMELIQGGPASLVVLLDLFPRRDLPLHPDYIDKYYGATGADDHRRSIARVPEVRPYVSPSLLVRSLWSPTAVVVDVQCGEKNGAVLEEIVVGQVATSAMAVLGVWLEHCAGSIVEMETAERESLVARDKMISTTSVKLNLSANLPKMFENDVSDRV >Dexi8A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:8A:23629735:23633151:1 gene:Dexi8A01G0013550 transcript:Dexi8A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGCDMSMLSKIILLRRFQATESSGRSKNKDGTFSTYECKRTATLLEVLNPSETFLNIVVDHPFGTWGVCFTYGTLFAMQGLVAAGRTYENSCSIRKACSFLLSKQLRTGGWGEIYPSSETEVINS >Dexi5A01G0033000.1:cds pep primary_assembly:Fonio_CM05836:5A:35416247:35417665:1 gene:Dexi5A01G0033000 transcript:Dexi5A01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADVDALVTVVVVAVAAAADDDDGATEKLNPLVAAAWNNDPDVAEAAAADEPKEKPDVVAEEVPAAPVLENNEGAGAACEVAKEKPVAAEDTGVVGVADVLLVKANAGAEEAEENKEEAVLPVVVFAGGGVKPKDGVVVAAAAGEEAAVVVLKSGADVVDPNSDEPVAAPNPKAGEDAAAVGVLDAAAPELNEKPNDGAEAAAVVVVEADADEPKPKPVAAPEKRLGVDAAEDAAPNGLGVVAAAEVAPNRPGVVAAEEVAPNSPGVVAAEAVAPNRPGVVVAEPVADAPKRLGLLAGAEAAPKRPGLLAAEVEAPNMLGVVVPGVAAAPKRDGAGAADEAAAVDCPNENAVDPKLKGEEAAVEAGAAADEAPNREEPKVGAEEAGAEDAEKREEPKAGAGEEAAAGWEKEKADGVEEKEKGEEAVEPAAADPPKPKEVAMAVAAGIWARF >Dexi3B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:3B:4846307:4852236:-1 gene:Dexi3B01G0006950 transcript:Dexi3B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLKRFKESDAAPEPFYETEMEAMRSRESTTMYVDFAHVMHFNDVLQKAIAEEYLRFEPCLRNACKRFVLEHRAGENRAPIISEDSPNKDINIAIYNIPMLKKLRELGTAEIGKLTSVMGVVTRTSEVRPELLQGTFKCLECGNVVKNVDQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDTDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAVLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERTVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPSDNDAGQPAEADAAPQQQDAENDQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVAKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIDEGTAVAAEDGAAARRTSESRILAVNPNYVID >Dexi1A01G0023240.1:cds pep primary_assembly:Fonio_CM05836:1A:29888687:29892098:1 gene:Dexi1A01G0023240 transcript:Dexi1A01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWREYYINYKMMKKKVKQYVQQTQTGGKNREQVLKEFSRVLDDQIEKIVLFLLQQQGHLASRIETLGEQRAALMEQSDFSQISQLRDAYREVGYDLVKLLRFLDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIFKQVGVVAVVGALSRNLAYLQDHRGSFSSIYDHPSITIKDPVIEQINHSVQKLTHSTNFLQFLGQHALIVPGDMQSGSDLVDDQSYHFLSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDLNSLTVLIVARLMCGLVTPLALGSARAVNRRYISDCVPLKTRLQASAGDNLSSKFSAKIAHQVSGNLEDGLGQPLLLDAKERHDEDGEDNDDNEEDPEESHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTYYFNWTTSTVAIFLAVLGLTVLPVNVIVGTYVTNLFQDRQILVASEIMVLIGIVMSFCFTPQYSVPQYVTSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTESGTLARVAADMMITAAGYLGQSRLLNATLVPSLVICVGSIVATFCTYNSLY >Dexi5B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:5B:20356415:20356753:-1 gene:Dexi5B01G0018280 transcript:Dexi5B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFLGASRAAPALLLPSRGLPRRLLVSAASSSSSSGEGAAGGVVEFQGKVGFLGLGIMGAPMASNLIKAG >Dexi9A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:9A:307952:310002:1 gene:Dexi9A01G0000540 transcript:Dexi9A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPPKSESPLPSASRRSHDTWRGTIASSSPSSPGGPPQARGGGGAASSSSSEIHHGHLLLELRGIELLLRRALPSVTAPPLSLPAASFPPRPQAVFLPMLSLSLAGAAGSGPPRHVARSGARLLLRYNAAPCSARRCGPKNAIRCRISG >Dexi1B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:1B:25616202:25619224:-1 gene:Dexi1B01G0019420 transcript:Dexi1B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEKRGHPLLRGGGARKEERYTHGFSASQMAALTALCGTLVPSLPPQHHQNGHHAQDDGGRRGVASNKVIDEFLLASAADPPVPNEVAELMSRRCLPEALALVRTVLWLLGTRLGSLALCGARCLSWRFPFVLRFAELPLEQREGAVRRWSIQTLLPPLRMFFLITKVFCLYVFYSWTDENSENPQWHAIGYSPPLPGDEPEAASAKEDPPSTKRPLDDGLVETINETDSSLPASLAAKGLAVTHDATRIRVDCDIVIVGSGCGGGVSASVLAAAGYKVVVLEKGNYFTSRDYTAVEAPSMSELYEAGGFVSTLSGSALLLAGSTVGGGTAVNWSACIKTPDDVRAEWAHDQGLPLFATGEYADAMDRVFERLGVTHGCTEEGLQNKVLRKGCETLGYKVEPVSRNSSEGHYCGSCGFGCRAGDKRGTDTTWLVDAVRHGAVVVTGCKAEKLLLEQEHRDGRAKRCVGVVARSTNPAVTTILEVRARATISACGSLQTPVLLRRSGLSNRHIGKNLHLHPTALVWGYFPDTTPDLKGKTYEGGIITSLHKVEGRRAILETPAMGLAAAGTQFPWVSGRDMKERMLRYGRTVHLFSMVRDRGSGAVHGDRRVAYHLDAADGEDMREGMRRALRVLAAAGAAEIGTHRSDGQ >Dexi4A01G0022460.1:cds pep primary_assembly:Fonio_CM05836:4A:25722626:25723114:-1 gene:Dexi4A01G0022460 transcript:Dexi4A01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQERGGGAGAAPTGARGVDSPQIWGAGGSGEGKAAGAGEDAARGVGEERASERGGEPRALWPWPCYFYCGDGWERREGKEGKQSTTRQPWRGSGRGGYLAVSGLRSCSGSGLTSARAAGVALGAPRHQTAAAPRLFPLPFAPHPHHSPPADAANISHLIRF >Dexi5B01G0035050.1:cds pep primary_assembly:Fonio_CM05836:5B:35155748:35156563:-1 gene:Dexi5B01G0035050 transcript:Dexi5B01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLEEQQHLPEQAADCVVINMEHVVMDGNIAAAASQHDDVNPLPCRVVMNDDDDDDGSSCCCVVCTEPMEWVAVGRCGHRVMCSQCMVRIRFFHQNKRCCICRTRCPKVIVTRWPGAAVGNPPQLPLFAFREGRVGHLWYHKLTAAYFEDEQQYSVARAACHGILSPFYQPLFWFIFWYTLVISVGAFMGSSFAALTKETVLQFRAYALAIFCAVLVATFFWSIPKCTQDPLQLESSMHK >Dexi7B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:7B:17062490:17063441:-1 gene:Dexi7B01G0009450 transcript:Dexi7B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATASAAAVLKTPFLGARRALANAASVAAKPSPRRALVVAAAVAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANFTGDQGYPGGKFFDPLGLAGTVKDGVYIPDTEKLERLKLAEIKHARIAMLAMLTFYFEAGQGKTPLGALGL >Dexi4A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:4A:12350273:12353670:-1 gene:Dexi4A01G0013210 transcript:Dexi4A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWTERRLVAFPVSLLVVVFFIRILVGNRTSTGSDLPGPVPLRVGVIIDLASGSGNRLQTSIRMAVEDYYSVHPSSATKVELHFRHSPGDGDAVAAISAAEDLVTSEQVHAMIGPQTPADVEFVATVGSRARVPVLSYSATAPPMSPSGTPFLLRTCADDSFLAAPIADILAGLAWRQVVLVHEESRVGTGIVPALADAFRDVGVSIAGRAAVPVDASGDRLDAVLYRVKSKTTRVFVVHMSWSLALRAFHAAKKADMMSQGYVWISTGGQWGEDRLRAEDIDAMQGVLMVRPHVLPTIQAANFAALFKARLNQEKAGSQAIHGPTVPMLWAYDTAWAVAAAAEAAAISMTAALPIPGASRAGPDRLGISDVGETSQGSARHDHSRVSEDTNPRSPKGLKQIIWPGAKSPSRVPKGWAVSPSGRDLVVVVPVKHGFNQFVDVSSDQSTNGRPRITGYCIDVFDAVIKSLPYPVSYQYVPFNGSSDSYDQLVRLVPQQKADVVVGDVTITSSRMGEVDYTMPFAESGWSMVVALQTGTRTSMFFFLKPLTASLWLASFAFFVFTGFVVWVLEHRINPEFRGTPLQQLGLIFYYAFSTLVFAHREKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQQLQPAVTDVKELIRRGDYIGYQEGSFVPSELKKMKLDESKLRSYSTPDQYAEALSKGSAHGGVAAIFDEIRYLKLFLSQYCDGYAMVGPIYKDAGFGFVFPKGSPMAPDVSRSIVSLTEGDEMALIERKWFGDPGSCDSQGSGVAAASLSSWSFSGLFLVTGVASGLMLVVYLATFVYRERNELRAAEPGAGIMSLRRLRAWLQHYDRKDTRSRSFMGLNDDGSLRNNGVDANKTNGSTCDIAAPPPPAVRSRLVDSDTSVAASSPEEEGTNADRTSFEQRTDKAAVPFGQPSLKSLFNCEDKQDHPEICTNQSAN >Dexi1A01G0032550.1:cds pep primary_assembly:Fonio_CM05836:1A:37138611:37139276:-1 gene:Dexi1A01G0032550 transcript:Dexi1A01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIAVMKTVGVYDRNRPKKKQKTKKNGKGKEWLLRKKEQMRRKGHDVPADTKYTGRKRKTYF >Dexi5A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:5A:8495860:8497821:-1 gene:Dexi5A01G0011340 transcript:Dexi5A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKSSTPSPSFFKILKDGALLPTRNRRLFMAVFVLLVAYTSLLLLVDNLAIQPREDEVFHNVMAFSNGTDAISPDELDLLLQDLRKHTWMLLWDGAAYLLLDVTIGSAVLIIALFAAVATYAGETSCSFAAVLGKARAQLKGTALTVAFVYGITYTVLILSIVAAVLLDLDLNLLFVKVPTRLLLLGWLLVEYFVFICELSVVVAVAEPGRHGTNAVGRAWRLLRGRRIRAVLFVAMTSTLTFVCNRAYGLAKTRAVGCPVSVVLLGFMYAITMAAVELFAVCAITAFYYECKERNDASTPGQDQRHDITVNLSKVSDSFPDMAAVGKSSTPPSTSFFKTLKDAALLPARNRSLFTAVFALAVAYTSLRHLVNYYLTVQPSADEGDELLCDFLAFNNGTDAISSEEVHKFLRDVAKDMLKHFWPSGAKRLLGVTVGNAVWIVSLFAAVATYAGETCSFGALLGKVRAQLKGVAFTVAFAYVLDVAYTVLLLSTMAGLLVVDRHFETGPTWYLLLGWLLIIAAAVFLKYFAFVCELGVVVAVAEPGKHSASAVRRAWRLLRGRRRRAVMLVAVTSALAFGCNKAYALAKTHTVSGHASGLLLKFLFAVVMDVVELFAVCAITAFYYECKERHDAATTTEYVKLASDEEVIGA >Dexi8A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:8A:21083484:21084002:-1 gene:Dexi8A01G0012080 transcript:Dexi8A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAVVILRLLTLALLVTSLALIAADKLKDDIDDPPQTFTFKDVHTYRYLLAVSVIGCAYTLLHLPFAIIAGSKPVTGAAALLVVLADVAFALLLATGAAAGLGFTHDVKRYFDDVLFRGADDGSSPEIAGLHRDVDRFFDMAFASSGLMLAAAACTVVMIVMSVHSLAK >Dexi6A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:6A:1005710:1014426:-1 gene:Dexi6A01G0001140 transcript:Dexi6A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNESWAGGGTGHASSSGASTSGGASTSRAAAGPAGDAEGASPDSLRNTASNIRRLQDAIGHCAARRKYLARTKSPSDGQEVRWYFCKLPLADTVLSSSVPRTEIVGKGDYFRFSQRDSLALEASFLEREEELLAYWWREYAECSQGPRGSMVKSEDSEYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVSEQLELAYNCQPLALGMTTKLASPARKIVEHPVVVKPRSGIVVNFNLQANLQHGLISKEVYRYDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGEHTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLWAPFPTEYLNMECTSDRSQGEKSANEVNGHDSDTEGHDTTILRHSCADNVNGGVDESSTRTDASHMDGVLPSCVLENSQNSDDTVVSAGTVDAEQNEENTVENHQAIYTEEGTTAAVSTKHDEGSSISRSAEEVHEEVLDKDKLIVSLEEEVKRLKARLELLEQQNHLVTESISGVEHHEGKSSNHAMNSGKLFTTQSSTNQSYSPQIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGKGQDYWQNENIIEEMPCCRQMFNIFHPFDPVAYRVEPLVCEDYLKKRPVIVPYHRGGKRIHVGVQEFTEDVVARSQAIAHQLKSLKVKAVAAMLALSRNDTEEDGEGANEKDRSYGSMMMERLTGSPDGRIDHVLQNPGEVSIIQRGENLSTPISLCSGSSYIFTDPGIDPCSNYWRDHDTALFILRHLYRDIPEEPPADAIERMPIKLFYERDLFVEETPLTFADEASVKEFSRKMRTYSRKEENDANCEAS >Dexi2A01G0035030.1:cds pep primary_assembly:Fonio_CM05836:2A:44764844:44765917:-1 gene:Dexi2A01G0035030 transcript:Dexi2A01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISNQEEATTKRPTPPPLPLSVKIQLVGLTAAIDAVERSDGTVNRCLYGVIDRLLSARPSPRPDKSTGVRSYDITMDASRSIWARVFVPTSGAGDHPLPVVVYYHGGGFALFSPAIGPFNGVCRRLCSTLGAVVVSVNYRLAPEHRYPAAYDDGVDALRFLDAHGGVPGLDADVHVDLGSCFLAGESAGGNIVHHVANRWATRWQASAKSLRLAGIFPVQPYFGGVERTESELALEGVAPVVNLKRSDFSWTAFLPVGATRDHPAAHVTDENADLAEEFPPAMVIVGGFDPLVDWQRRYADVLRRKGKEVQVAEYPGMFHGFYGFPEIPEATKVLQDMKAFVDTHRATTKRGAAA >Dexi4A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:4A:3112180:3113157:1 gene:Dexi4A01G0004360 transcript:Dexi4A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAATIHKVVKKQQRKIKQESAAEPTTVRKLVRVFCVDADATDSSGDEEATGARGVRKFVKEIHVEEQSVKVITPAMAPAGRLAAGAGAGGGGKRKSPPEIPAEGVAAAERKYRGVRKRPWGKYAAEIRNPHEGVRVWLGTFDTAEEAAREYDIAARQLRGASATTNFPASPAKSDLAAPPPSAIPAAAAVVYLSSAEDSSDESQLVGSPVSVLPETTSEMPCDTAAQKPTDVAGGEPTTGGKDIAMVCHDELLPHIDLDYYPGVVITPYHGNPALGVMFDVLDEPKLPHLVDDDDDSELAPIWRFSNIRDDDLFPSPIRSPGL >Dexi4B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:4B:18959150:18960324:1 gene:Dexi4B01G0016820 transcript:Dexi4B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELIKTAKYIATPGKGILASDESTGTIGKRLSSINLENVELNRQALRELLFTAPGVFDYLSGVILFEETLYQKTSGGTPFVDLLVAGGVVPGIKVDKGTVEIAGTNGETVTQGLDSLGARCAKYYDAGARFAKWRAVLKVGPTGEPSELAVRQNAEGLARYALICQENGLVPIVEPEILTDGDHDINGCAAATERVLAAVFKSLNDHKVLLEGTLLKCNMVGAEVIAQDTVAALRRTVPPAVPGVVFLSGGQSEEEATKNLDAMNKLEVLKPWTLSFSFGRALQQSSLKKWLGKKENVAAAQAAFLVRCKANSEASLGKYAGAGDGDAAASESLYVKGYKY >Dexi9A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:9A:13644008:13645666:-1 gene:Dexi9A01G0018590 transcript:Dexi9A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGITDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >Dexi7A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:7A:18898011:18901070:1 gene:Dexi7A01G0007560 transcript:Dexi7A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHRPPLPLLSGRLWRARAAAMDDSGSAPIYPNVRTPEDVFRDFRGRRAGILKALTTDVERFYKLCDPEKENLCLYGLSNETWEVTLPAEEVPPELPEPALGINFARDGMAEKDWLTLVAVHSDAWLIAVAFYFGARFGFDKDARRRLFTMISNLPTVYDIVTGNGKKQSKPLNSNGKSKSGSKPSKKPNSNSKPAKQPLPKQEDQILKEEGGDKEQAYLCGTCGGSYSNNGEFWIGCDICENWYHGECVRITPAKAEHIKQYKCPACSNKRSRE >Dexi6B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:6B:4226519:4229130:1 gene:Dexi6B01G0004940 transcript:Dexi6B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPQPHAVVIPYPGSGNINPALQLSKLLRRHGVFITFVVTEHNLRRAEAAAAAAARGTEGFRIETIPDGMLDADRDAQDYDLGLSMATTHRCAAPLRELVARLRGGGGDGVPPVTCVLPTSLMSFALEVARELGVPSMVLWGSSAAALMGHMRLRELKERGYLPLKDESCLTNGHLEKTIINWIPGMPPISLGDVSSFVRTTDADDFGLWFNITEANNCKNAGALIINTFDALEPDVLAALRSEYPCVFTVGQLGTMLRRSHDDNDEDAGDSIDLSLWKQDTECLTWLDAQDPSSVVYVNFGSLTVLTAGELAEFAWGLAATGRPFLLVIREDLVVPVAGGGAAALPPEFLAETAARGRLATWCPQERVLRHRAVGCFVTHCGWNSACEGLAAGVPMVCWPVFADQFTICKYAWEVWGVGLRLDAEVRRGQVTGHVNEAMESEEIRRSAARWKMEAELAAGHGGSSRENLLSMVKALGVSEHEDQFTSQI >Dexi3B01G0024570.1:cds pep primary_assembly:Fonio_CM05836:3B:19223880:19224274:-1 gene:Dexi3B01G0024570 transcript:Dexi3B01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPNPEEVADVKYMNRDQLKELLRKADAGEDGVKLSPWFRLVVDNFLMGWWDHVEQGTLQEAADMKTIHK >Dexi9A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:9A:9990958:9994520:1 gene:Dexi9A01G0015040 transcript:Dexi9A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVARHHAAPQPTRKRMRVAMGTTDDYEEEEGSFLGEGGFGNVVRARHRATGQPVAIKRLRAGEDQTALLRESLFLKAASARNPFVVGSQGLARNPSTLELCLVMECGGTSLDDALRVTPPLSEATVRAAMWQLLTGAKKMHDAHIMHRDIKPENILVGDDDQVLRFCDYGLAVYMAEPPPYDQAGTLGYMAPEMLLGKTDYDALVDTWSLGCVMAELINGGSPLFEGVDCPHQLCDIFKLLGVPDEKAWPWFASTPFSLLKFGEDDDVVVEQLAGVELRRPSGENFWVVTLSKAGFEVLSGLLRPNPDKRLTAAAALRHAWFNDVVASAVQSGSGPL >Dexi1B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:1B:20668229:20669867:1 gene:Dexi1B01G0014390 transcript:Dexi1B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICFCSLAVLSQEHSPAGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEEADWFYVPVYTTCDLTPAGLPLPFKSPRMMRSAIQFISNKWPFWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILSMLSRATLVQTFGQKNHVCLKEGSIIIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTDHPSTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEDVPKLDSILTSIPIEDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHTQGVYLQPGEKHLNWTAGPVGDLKPW >Dexi3B01G0025300.1:cds pep primary_assembly:Fonio_CM05836:3B:20083467:20085010:-1 gene:Dexi3B01G0025300 transcript:Dexi3B01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSATTWIMVAAAVTFLAVALASLRILRTSSSKKMKPLPPGSFGLPLVGQTLSLLRALHANAGEAWLTRWVAAYGPVTRLSFFGCPTAMLAGASGNKFIFSSASVTPKATASMARMVGRRTIRDVSGDEHRRVRAMMAQFLRPDACRRHVAAMDAEVRRHLGGGQWHGKATVAVMPAMKDLTFDVMCTVLFMFGRDSKGEAIRRELSTEFQQLVKGISVIPLDLPFTSFRKCLAASRRGRRAVAGIIQERRVKLETGQSSAADDVVTHMIAEGLPDEEIIDNVMFLMIAAHDTTAALLTFLIRYLDANREAYDKVEADEPLSWEDLSKMRYTWAAALETLRLVPPVFSILRKATADIEFGGYHIPKGWQLIQPMSTTQWDAAIFPEPGRFVPERFEDTSATPPFCFIPFGGGPRVCPGNEFARVETLVTMHYIVTRFKWKLASGCDGSYARFPLPYPSQGLLIDVEPR >Dexi2A01G0027020.1:cds pep primary_assembly:Fonio_CM05836:2A:38453547:38454258:1 gene:Dexi2A01G0027020 transcript:Dexi2A01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLASLLLAGLALLATVADAGKPHVSHGKFKAGPWTVGHATFYGGRDGSGTTDGGACGYKDALAKDYGVLTAAVSPELYDSGAGCGACYDVVACVKQGGIRGRGGAHGEGNKRVKWTPMKRSWGQLWTTEVDLTGESLTFRVMNGDHRKATSWHVMPRDWQFGKTYQATKNF >Dexi8B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:8B:456278:458531:1 gene:Dexi8B01G0000670 transcript:Dexi8B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLCSVQRWSRRVATMLPWLVIPLIGIWATTQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPTGWPCSQDCGYWLDLRYSSGNNNSFMALSWRLLSSFCSAAVSWFLRKVLRFTSSGDDEGLGPDGNRLSKRGENGGKAEESRVEKAKRKAEEKRLARLEREMLEEEERKQREEVAKLVEERRRLRDEKAEAEERSKSVTPVGEKDARREAERRRQERRKKEDKGSSKSNSDCEDIDRRSSREGDRKRDFDRKSDLDKREGYKPHYFDANNHNNKTVESRTKYFGRMTGGFLSSSRGFGGGSFFGRSAQTPVPQVNKVSPNVQPHPTGLKKSWHQLFSRSASVSPCPDVSTSALDMNRKPEPHGAQISNAHTFLSQYPPLESKPSSSQPMQFPGFPPLSGAPPNKLLPHFPAGHMLFYDDAESTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLNCGFISSGVTKESHGRPSPIESPLSRSRMVEEKPIKTPHSSTTKGPGGSILPDGSSEQGTWQMWSTPLVQETLGLQESTVPAVAT >Dexi9A01G0045280.1:cds pep primary_assembly:Fonio_CM05836:9A:48861606:48862673:-1 gene:Dexi9A01G0045280 transcript:Dexi9A01G0045280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLHWMQNKLHGSHHRRRTSEFVADSARSVDPSTDRPQTDKLNDGLNTAMLSIGTFGMREGHRLKNCERFDELTKLQEELKSLVRARAADTADDPGRVHHLQVERPLRCSSSSNNGRIVRQRSFRKLATRAFGGFLPRPSFRETVPEMRFNEIIWGLLLKSAHPENSSFTDPVMRDHRAVQMAPKVKAEVEDDGGKWIRTDSEYIVLEI >Dexi1B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:1B:7190001:7190310:-1 gene:Dexi1B01G0008570 transcript:Dexi1B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGTVKKFGGGRPPTGTPSLAWSSVVIVASLLAGASIVHNIYKPDMTIPPVESASGGSGKES >Dexi9B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:9B:995967:996759:1 gene:Dexi9B01G0001740 transcript:Dexi9B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLALASAARVGCVGELEVQRRAAAHRRRAVVAAHAHVAAVAEHPALVLHRRPLRRRGVAAARHAVGAEVSVGVEAHEPQHVDGLAIVAAVRHHGLWCQLAAGGQTHEAVGLYVPLLVHLRVRLPVCLSTVKTYGAEVRFMPAVKFWDTTTVSVGWSNDCHKVVPVEFLSTRLPFSRTAAAATPWPPVLEDQSTAPDPLRRRRSPLDVRRSEPPESTAAPAPADQTGTPPA >Dexi7B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:7B:21220875:21221258:-1 gene:Dexi7B01G0015170 transcript:Dexi7B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEELVDAPRVVGVLSSLLERVVERNDAVAYELMASAAAPASSLAPASAFRATARPDISVRAYMARIASPACYVVAYVYLDRLLRRGGRRGRGALAVDSYSVHRLLITAVLAAVKFMDDV >Dexi1A01G0026230.1:cds pep primary_assembly:Fonio_CM05836:1A:32213633:32215571:1 gene:Dexi1A01G0026230 transcript:Dexi1A01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPMAMEHALDDDLMESFSANRGHGQGQPRRPTTMPVDAGHRGATWPRSTVTVTHGGSRRVAGLPEPGAKRCNGEPATRPRKRPLEAQARHDPSFYPKPKIPRPNLIRHMKNRVLLDPNLTRPHAGANTVRLPRRPPTAATKGQNRQPTLSRRL >Dexi5A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:5A:21812907:21817261:1 gene:Dexi5A01G0018270 transcript:Dexi5A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMDGSTWEDMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYDLPRVDPVEIPAIPTAEPVSGPKVLGGLPVAPVVEPIRLPVSRITRCADPVSTQAGGSSESVLHNEEFDDDNDDSRSQSHGSAQSSPGPQNRPEMQEGRRAPVVTFGFTPDSKYESKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKESCLVCDARYCSYCVLRMMGSMPEGRKCVTCIGQPINESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPEELTELLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPDKIISSNLNFTGKLQANASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIRGKIWESALTRVACVLFSLPVPPGNSNGTRDEIPYSARNVPDYLDKKRIQKLLLLGPPGAGTSTIFKQAKYLYGTRFTQEELEGIKLMIQSNMFKYLGILLEGRERFEEEALSRLNCTVSEGEETQHDENKANGSYSCIYSINARLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATFKRKEELHFLPDVAEHFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFVEFTLDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFCIALSDYDQLGPPVNGSGRPLVNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKIKRAPLSSCEWFNDFCPVRTHHNNQSLAHQAYYYIAMKFKDLYFAHTNRKLFVWQARARDRQTVDEAFKYIREVLKWEDEKDENYYQEESFYSTTEMSSSPFIRAE >Dexi4B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:4B:19240173:19242113:1 gene:Dexi4B01G0017120 transcript:Dexi4B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNIPSQTPQQGLPPHSSVSSQSVQPYQQGMTVSQQVHSQSSQPYTQHVQTSAGRPASHLAPPQQFQHQQPSTHKTQSPAAGRPIGQGSSELETHASRSGKPEIASNAADNTAVSENKNNGADSALMRPTTSQSLGDENMNNKQNGVGGVRKDPSGHMSQQNAGMLGPYVPPGMGQQHPSGPDVMIPRHMMHPGHKNDFSENIRPPLQQPYGLFHSGMTSRPLGENQIQIPMSQPGGVRHGDAMIRPHLVGPLPGHNDAMLPPFVDHLGQPPVGGRAFQEEGFNASGEHLRSRAAYPGRHDNMEDGLKQFQGPAHLDGQGLHTGPRLFERALGRPDGFFDSLQGRPPFPNQRSPFPVGPHEDFSRKPNTTSGHPDFLSHGAEFDQHRANGMPIFRNPGPFAQGMSGSPHGPRQDQLGSGNLPGNLQQSFGGPDFPPTRFNPGDSFPSTILHAFGLVRTTHWTWPLHFTEPSSHHFAGHMHPVDPNLVADYAHHAFPKESAHFGLAGPLRNGNIGWCRICMFNCGSAENLDLHVQTREHQQCAMDIVLQMKQDVAKRQKL >Dexi5A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:5A:7702259:7703690:1 gene:Dexi5A01G0010130 transcript:Dexi5A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLRAAADRAIRRQALTLTHAAASRIRQLLSLRQRPYLKLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLKSEFVFINPNSKGECGCGESFMTTSSKGSTS >Dexi4A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:4A:8634227:8634807:1 gene:Dexi4A01G0010700 transcript:Dexi4A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLNSAATLVAVLVLLCLSSLHHHAFAQNKSSHKAAFLSPHNAARAEVGVAKLTWNATLATYARRYAARRAAEDCKLVHSRGPYGENIFWGSARGGGRDEWAAADAVASWVKEKGSYDCEGNRCAARRKCGHYTQVVWAGTKRLGCAAVECEDGGGTFIICSYDPPGNVDGKPPYPGCVTI >Dexi6B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:6B:26522943:26523376:-1 gene:Dexi6B01G0019950 transcript:Dexi6B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGEADAATAAGVDGALLASCVPNIVGTGPRVAPANSWVRSWVLGSSSPAAAALPCSCPAAVGEAAGGCSSAMCGVSDDRSLCFK >Dexi9A01G0034570.1:cds pep primary_assembly:Fonio_CM05836:9A:39349791:39352709:-1 gene:Dexi9A01G0034570 transcript:Dexi9A01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECAPPAAAPAPAPTTAKTSVWWDIDKCAVPRGRCDPHRIAHNLIAALAAAGYAGPVSIAAYGDAARVPPPVLAALSATGICLNHVPAGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLLRPPNASSQALAAAAKKVWLWENLTAGELLLPEPPPARSVLGCKLNVNSSGTLSLGYKLNANGTDTMKCSQSKVCSEYGKGDINGKTGRYLSSTGNPYFQVAISEICVIYSFTTSGGNTQHLRYSEAQNKVHYEFTTGGNKGEAVDLPGVKPLKKYVKKTKVASCSANKQAQKPVASAHLHEVKAPHESILVKKPRTPVEQVKAHESILVKPSTSAEEVRVSHESILGFDKSISSLGFNTSSYVNQSTDPQSSQPPCGNNCRAVHQPNNPPNSPEIEGFDVKKALELAIRHETVVMKKLLHDMPLFVAKDESLWKCVNVTNSKAKNPIEELETVYNYMLSTDGYSAIKNSQSR >Dexi8B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:8B:2198497:2199732:1 gene:Dexi8B01G0003040 transcript:Dexi8B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGGGGIASIFSSKPKLTTTDSPSTPWPWPSCATTPQTASFRHHPRGHGDDRRPCTTAGLHRSSEPTPLAAGRLRPPRKAAAAAGDDEMYKTVNSVYFDDAAAADSSGRFFFHDDGELEVADEVDDDDDGFSTTTASEEWSEAIIRSLGRSTSTDRFFFDAGPAAPASNSILAASPSPNRTTTSTRSLPAPPPLASKLHALSSSPAAAAAGLSDDDGEPPAPSSLVEESVAVAVDSDDPFGDFRASMEEMVAAHGLRDWAALQEMLLWYLRINGKRNHALIVGAFVDLLVGLASNNGTASASTVATTATTTTTTATTATTTTTTATTTTTTASACSTSTTSSSSSSGSSSGGDVATGDAAATVAVEEQCGGGGGSVGASCSSASSSDLEEEEEEKASKRLALHDQWRD >Dexi7A01G0023130.1:cds pep primary_assembly:Fonio_CM05836:7A:31229768:31230724:-1 gene:Dexi7A01G0023130 transcript:Dexi7A01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGFGWWTVTEIRRRCSPSSLARRSAMVLAPAPRGRCMAHGTRAQASHSLASCISSNELGMKIVSVSGRVWGSPLAIPGSAAVVGPDAGDVARDDCGGGVGGGGKSVAAGAGSGVLRRGRRSSVPWLECAAFRPAG >Dexi7B01G0024720.1:cds pep primary_assembly:Fonio_CM05836:7B:28997526:28998145:1 gene:Dexi7B01G0024720 transcript:Dexi7B01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSPVPPALCKRGLQQLVLVHAAKPPLPLVSRRHVSVSVRAAPPRQHQHQQSRARPRPPPRNKRRVDPSPSPRPAHRPPRGSPLDPDYDEDGGYDQEEGYDEGRFAGGTRAAAMPKPPAGFVLDDKGRCIAAASKRIVTIIDDTNKRPLECIIRRVFRSSHGHECMLLCPVDM >Dexi7A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:7A:16507499:16510629:1 gene:Dexi7A01G0005290 transcript:Dexi7A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVEHPGGSESPNRLSLKRHDSLFGDAEKVSGGKYHGSEGSWVRTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKYNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSHYTIEAPNSQLRRAQWFKQKLESSKVAKIVLFTLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQTEVVWISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGMYNLVVHDIGVLRAFNPWYIVQYFKRNGKDGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVVLCYIGQAAYLRKFPEDVADTFYRSIPGPMFWPTFIVAIFAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGMASIIVTIVFRTTTSIGNAYGICVVSTFSITTHLVTVVMLLIWKKHIVFVLLFYVVFACTELIYLSSILSKFIQGGYLPFCFSLVLMTLMATWHYVHVKRYWYELDHIVPTNEMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSVFLFMSIKHLPIPHVIPGERFIFRQVGPREHRMFRCVARYGYSDSLEEPKEFAGFLVDRLKMFIQEEIAFAQNDTGENEEDTSSATEVPEAQARARRSTTSVVHSEEAIESRVSSHSGRITLNANQTAEEEKLLIDREVERGVVYLMGEANVSAGPKSSVLKKVVVNYIYTFLRKNLTEGHRALAIPKDQLLKVGITYEI >Dexi5B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:5B:4937396:4938875:1 gene:Dexi5B01G0007350 transcript:Dexi5B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGRLLPLLLLFIPAALREHLSPVIDDHRPDHQDDVVLHPIVLVPGLTCSELEVRLTDAYHPSLPRCGAMKGKGWFGLWANCSDISTHHYLPCFMEQMSLVYDPIADDYRNIAGVETRVPNFGSSKGFQKNPVHTDWCFEVLRKELEKVGYVDGDTMFGAPYDLRHAPPVPGQKSAVFSTYFRRLSRLIEDASRSKNNQKVILFGHSLGGMVALEFYIKHLLLVAPLPAEGFVASLQNFVSGSGLLYVPGVDTLALTLRPMWRTFESSIANFPSPAVFGTGKPVVITGDRNYTACEMEELIADVGYVAGVEPFRRRAVPKMNYFEAPMVPTTCINGVGNDTPEQLVYWDGDFDAEPEVVYGDGDQEINLVSMLAFDDKMRRETKQNRVYKSIKIHGARHGTIITEDWALKRVMQEILEANRI >Dexi1B01G0023600.1:cds pep primary_assembly:Fonio_CM05836:1B:29152878:29156501:1 gene:Dexi1B01G0023600 transcript:Dexi1B01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEDTRLAELEAEKVQHAINEKLRDIERKKKEADDYSNNLQRQAQAKAQKLRYEDELARKRMQTERDGQRRRDAELVKMQEASAIRKEEARRATEQKILEEMIRTEKEKAEIERKTDKVTAIAEAEARAHEKKLSEDITRRMMIERMNGEKEKWLAAINTTFSHVEGGFRTLFTDRSKLIMGIGGITALAAGVYTTREGARVTWGYINRILGQPSLIRESSMPKFPLPLPRLLKPSSSSLSSGAGFENVILHPSLKRRIEHLARATANTKSHGAPFRNMLFYGPPGTGKTLVAREMARNSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSNKGMLVFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDTAITDRIDEVIEFPLPGEEERFELLKLYLNQYVRKEDGKASSWGALFKKQQRKIHVEGIDDDLLREAARKINGFSGREIAKLVASVQAAVYGRPDCRLDPQLFSEVVDYKVTEHHQRIKLASEGMA >Dexi1B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:1B:21452429:21453279:1 gene:Dexi1B01G0015040 transcript:Dexi1B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDGLGAPRGDDPVATGGTGRLLGRRRRLRTVGEAAAIWANMFDHFGPYRLAARVKPEPYPYGHEYDCGEPSRFRAAVYPDYDEDRLPMHECPMLPVKRRLAASSSAAAVNPLRRASLAPTLRRFDPVAPAATPPNGNASPAAAGAVPSAAVPAPRSAATTLIAPPNVGQPAPAATRFSPRARSCRFTARRSTPLSSAEGVMWNAAAGLSGGSGSVVSAPNAAAAAALGKYCQDMTAQASKMDPVISRDEEIDRIRLLVGAPGVGKTAIV >Dexi5A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:5A:452813:456350:-1 gene:Dexi5A01G0000670 transcript:Dexi5A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEYLGMQQGSSSSCRTADAASWGAAQKRQRCQGSSSTNSSLQINEPEPLDLDSGKIEEEEDYYMEDDCDDDDDGYDEDDYEFDEADFNQHLADKFDDLDLPPGVEATVPWLQKIAPKVEPKEPPKSSNADENANKYKQFKQFDTIQNFSDHYFAKVPPTEPEPGQREFNMTGNFWRRIYQHFADLVAGHFRVHGHTILAACKHYMEGNDVGSVVPEEEEEESECKSSDVGASSSSSNEPKLGVVKAKPPTRRTTFNTNVKTLYEDLLMEFNVKGADTRKFLVEKLKKNEPAAA >Dexi4A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:4A:24444019:24444852:-1 gene:Dexi4A01G0020670 transcript:Dexi4A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLGSAAESSERRGTSSWSWLEEEDEEEREKRDEARESDASESELSRRSGSDADDEAPDPRPPQPAAFRWRRSFSDLAAAEFAALDAGVLAAAGDGEGLAFAAAAALLSPSSSRHRLEQLGALGENTGLIGSAGAGIASACGGGGGGGAVVASGSGVGAAGDGFRSGSSLPSAKSSSAGSMVAEPPRLNSKSMKSLEMAGSGVATAAAIVAAAVGSRSASGLKDTRGLIQPYGTAVGGRLLATAEAMGGAHQSQSTALAAD >Dexi3B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:3B:1006234:1008029:1 gene:Dexi3B01G0001370 transcript:Dexi3B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWRTAASRLLLLPRSPPLSRLPSATASSYALLPQSRPFSPPPPRPPPAEAEVTPAEARRLVRLVGVEALKRHLRDGQDEVVGYSDLLDACVEAGAARTHAEAEALARAMDDAGVVLLFRDKAYLHPEKVVDLVRRSVPLALGPENDPRKEEFKQLQQKKEEIDKLAHKQVRRILWSGLGFLMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMDRLFLSRQKKLCAAQKFDMDRYLELQKHCRCPLEGHHPHDPKLHGL >Dexi9A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:9A:3996486:4009337:-1 gene:Dexi9A01G0007030 transcript:Dexi9A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAPGTESVAGHSAGSWPIDQGWWPSSTALRNNALDCFLVSVVRSSHVGLALQSRLGPGHDRSLDPAPGMNSAHRGLSGRNVISTPGSNSTPSSNSDHYLEHVDAHHLADFKRGNLHLVDFPTGGPPPGLLWRRHAQGPAALPRQPRHECAPIHALWGAHRIHDQRILSTPNYNGYMVVFLEHVPDTNRARVITFRCEDGTCRTASQLISEVVLPPVNPPWIMLNDLFQLDGLEDDDNGVPTTELEEEGVSLGLATRQCASVQAARTGRKTSYVETYPFSSGVRRRYPPPPSRPAPSLPSRAATHPNPTPGRRRVPKRLLGSISLVPSSACTPRCFFTDSVDTSSFTVLALKQPATSSSHPISSSQPRPKSAQTAAYPPPEQGIRLCRYQWRWSGDGIILLGLSLVSLASHSGVNGEAGEGSMVVLASIFSEGAEMAEEFVVGGRKFSLNGELRSRGVGVWAVAPIDGKQTTSATPPTLVRSGELLPWRRADSSPPRPPASCYPGLPADFPPRRATPPATCRLSASASCSSGDACLPGDAKSLLRRVELILLYPSRRPCQTLRRRFTLRTGELQTVAVRVGILRPRRPPVDASRSKLRTGIRVKVAFSQRRTKSLTHEPTRRAANAAVLDMDPDAPPPLHPPVSPAGQQHASASQLSAGHMRRPASPGAGQAAAGAAAAWFGSYHVKKNAQGAPARQLQREKKTGLKNFDEDAGPWARRRGATLRPQRTRETRRELQTGVAFKNFD >Dexi8B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:8B:25112247:25113341:-1 gene:Dexi8B01G0014510 transcript:Dexi8B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSLYSLLAASSALLLQIVIASSTLLLLVLISSSAIPPPIGLDNCTTTCGGVEVPYPFGLGPPRCYLPGFNLTCDESRPGRPRLLLDGGLRVVDISIANHSVTVAHTGGSVNITSRAVGPPLPAHYYMLSRRNELVLVGCNVQVMLVGSQGDHTEQIISGCASFCNDLDYNSRWLISGPGVCFGIGCCQAAISDSSKHWGAHYWQFDLNTSGVPAIGKAERDPDGVMAFVAQEGWFDRVVAVKILLSGPSPTTAGLDVPVVLDWMVPVNDDPVDGDDCSNKTASTVCRSSHSMCFWGYGRTALCM >Dexi1A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:1A:26141526:26144616:1 gene:Dexi1A01G0018970 transcript:Dexi1A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVHPASPIVRLAISNPTDLLKGKDSEAGGGAGLRKGLANYMMPEVRTASRPVLASHSGGGFFIRRVASPGIVVAKCTIKPLARRSRLRLSNKDKENVPPAGSVKVARKIRSPLPDWYPRTPLRDITSIVKALERSRLEDGVARQQIQSVDPRTPVQVEQNDPRSTLQAQDTLGAVTSGLGSTSSVANLATSVSEGAPSSPSDSSSQTVPFKPNDSALADLMEKKLSSSIEQIEKIVSRRLRETPKAAQPSKVAVQRRTLMSMR >Dexi9A01G0041690.1:cds pep primary_assembly:Fonio_CM05836:9A:45296215:45297035:-1 gene:Dexi9A01G0041690 transcript:Dexi9A01G0041690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHRRRKLPFASDRERIAGSLAAGVTTAGSHGGGGGFQSLSVRSGSSPASTAPPPPPTAELSAAFPTAAVGLKLPGHPKLTSSSPTKNGIGPRSPRAGFSSAATSSRRCCCCSGCAAAGDACAWMPMGYMPEPYSGRDATSA >Dexi1A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:1A:14482802:14483596:-1 gene:Dexi1A01G0012830 transcript:Dexi1A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKSRQALLDAQLELWHHAFGYIKSMALKSALDLRIPDAIYDHGCAATLSEIVTKVTVHPSKFSCLRRLMRVLTAAGIFSVRCSDDDGGEQVYGLTPASHLLVGNPNNIAPFLTLMLDRIMASPLNDLSKWFQLELPDPSLFEVMHGQPGWDVLRNNPSFGVLFNEGMLADSNFVTDIIIKEGSDLFQDIISLIDVAGGLGGAAHAISNAFPHLECSVLELPHVVANAPTGTKVKYMAGDMFESIPPANAIFLKVFIIYVLH >Dexi5A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:5A:793963:796149:1 gene:Dexi5A01G0001190 transcript:Dexi5A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASGSGPPPPPSPPPAAERDMGFAERAVSAAGAAVVSAILVNPLDVAKTRLQAQAAGVVYNPVSMTHFPSAYSGLAFRWIKLANCNAKMAKLLVSPLLSISLHCTFLFPVKSSVVMINKHLQEGLFRLWRGTGASLALAVPTVGIYLPTYDLLRNWIEEYSDHNCPNLRPYAPLISGSIARSLACITCSPIELARTRMQAFKESNAGGKPPGMWKTLLGVLSSRQSISSPENSTILHKCVLMKTWNLSAMFLLIIVRRYHLLWTGLGAQLARDVPFSAICWTVLEPTRRRLTGLVGDKSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEASLGLWARL >Dexi3B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:3B:14969462:14971638:1 gene:Dexi3B01G0019970 transcript:Dexi3B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSTIQPLSSQNFSSSWTRLVGDTSSRGASSSSVAESVPSSLMPPPPPRAPAPGGRVRQEAVEAEREWVGDARRGGPARTMLCGGCQQKVPREQGSTDLDGRGNGQPTHQPVAWPSSRTPTESTPRRALNHGGGGCGGALRDARWGRWEDGSGMEWNGGHGWLARGLRSLRTRCVGGVWVEMADGSGGSSFAKRQRSTARSPWPICSTNSRHGWRLARHGGPRRTRSPYYNHPRLFLDQGGGGHATLSSPPPCRDVKRMMTLMPRRPIFEEFGVGTRAPVLAWPGFGPYRACVHWFQSITVFVIPICRGNLVFSITN >Dexi6B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:6B:918673:921191:-1 gene:Dexi6B01G0001120 transcript:Dexi6B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGVSPAAVSSPLRALSHCLPQRPDWCSLACDSHVIRAVLTHATIEEIEAEKSVIEEQAKEKMDKAIETVQTNFNTVRTGRANPAMLDRIEVEYYGTPVSLKSIAQISTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEDGKVAIRNIRRDAIKAYDKLQKEKKLSEDNVKDLSADLQKVTDGYMKKIDSIQKQKEEVLPFIH >Dexi6A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:6A:24053465:24054422:-1 gene:Dexi6A01G0016220 transcript:Dexi6A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASTTTPPLTMKLLVDTSPARRPRVVFAEAGKDTVDFLFSLLAVPAGTAVRLLGKDDDHDQSSMPGSMGNLYSSAEKLAGGPYAHPGTAKDAVLCVTMPSPAAVAGAPNSWLFRLPAPAAAPKKFFYCTSYSYANCRGYVTEVSGTRCPNCNSQMMTEAKIVGSSSPAPGPAVGFVQGGMATYTVTDSLVISPMSNVSTIALLNACSVRDFGSLQERVVQIGYKEGLEILRASLQSKTVLTDVFLGKNNPKPTTMSNGRGTNTSSSNGRRPESLAWRA >Dexi5A01G0026940.1:cds pep primary_assembly:Fonio_CM05836:5A:30499602:30502058:1 gene:Dexi5A01G0026940 transcript:Dexi5A01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding GETRVVGGNRYEVIEGKVDWRGRPALRGRHGGVGNSFFILANFGFENMASLSLAVNLILYFMTIMHIPLADASNLLTNYMGTSYMVAVLISVFADIFVGRYMTVIISSVIELVGLLLLTLQAHSGRLKPPGCQFPFDPTCQRVHGGNEVHLYMALYLIATGSAGIKAALPAHCADQFDEKHPEEKLQMSSCFNWLLLSLCTGGAISVTVFVWIQNKDWAKGFAAATGAMGLALVVFVAGLPKYRIATVQGSSALTEIFQVYVAAFRNRNLQLPENPDELYEISRSKAPPDTEFVAHRDRPFRFLDRAAIVQTPKDEAPNPWRQCRVTQVEHAKTVLAMVPIFCSAIIMGTCLAQLQTFSIAQGSTLDTRLGKHFKMPVASLPIIALVILIFAVPIYERLFVPFARRLTGVPTGIKYLQRVGVGLVLSIISMVIAALVEVRRKRVAVDSGMVVMIPTLQHLPMSCFWLAPQFAVFGVADMFTYVGLMEFFYSQAPRALKSMSSSFLWCSLSFGYFMSTIIVQAVNAATKGSTPTGGWLSSNSINGDRLDLFFWLLAVLSTLNFINYLCWASWYKYKPVVAEVGPEEEQQMQA >Dexi5B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:5B:3304831:3306584:-1 gene:Dexi5B01G0004910 transcript:Dexi5B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPDWTAVDRPFVRIFLLPPPRPLPARYSTFWNLELTDPHDIDRSEHQLQPAACCMQGARLLFVSSRLLFRFAGKSASTFCLGAPTTGQSYMQEIPKLMMNSQRTYWYVQIISPSRPVSAHGATVRGMARHVGRGLPRRPSVGVRTRRDIRGVRTRALARSISSSLMHVMAGAGGGGGCARWPAWLAGQLRASPGQHEVKLRFVSLHWPAGAGQVADRAMGQLSRSSEPAGGRVNFGPLRKQAPTRPRERGPAVVSPALRAVDSN >Dexi3B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:3B:1868820:1872049:1 gene:Dexi3B01G0002790 transcript:Dexi3B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDFDFGDFTFAPAPAAPQPTLADPGPAAFAAFDDDWGDFVASDLGSNADASAPPTPPTASAASSWEKPRGPLPLSLFGADDDQEEAVRGEEAPSGPPSTAAANWKAPAFPSNGSRPADLRDLIAGLYGSQPAPAVGAADEGPQEEAEDGEGFEGDDDWEFTAATAEPSDQDGGGRAPGDEIGKVEDLTKSLSTDQEEWSSFTSVDDKLNHVGQTTDDIGSHESTGECVKASSYPPANNSAILNLYKESDRADTIDIVQNSAECVQNPSDLFPINEMNSSFQADENHSTISASGSILIEFYHRLREESLAAIFRHVKDLKVSTLSDENGKATAIGREIQEIYDKLKDYSLPKGFSTEDHPKDVCITELLNCIKEEQLKDFEQEYCLAEKIAQVCIIVYAIEDTSVAVELYKHSVSTPRTLELQKGGYISAWYSMLRSCAQELQHGAAIWQESCHANVCNRMISEGGHYFIALGEIYRVAQILSFSLNCFKPWVLAELGMLSKMLACLDICSNAWISGLETALKRVVDTNLLGASVAKALVESINNIHELEVPKLQNFLPTNEMACSLSLLPPSSLPGMKLIMWNGNHYIAKVANLWANRISSYPPQLSCTPIKE >Dexi1B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:1B:5958852:5960016:-1 gene:Dexi1B01G0007180 transcript:Dexi1B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYHSYAFIACQSIHTVNKLNPSYVYPLLEKFFKYQEGYYNQPTYMKSRAAVVDEIIKNLVVPIIGETNLSAYTTGFNDSQSGQAARISFKNGCLRGVTGTPYFFVNGIPINGSGSPVDYKHWISILDPLVSKM >Dexi7A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:7A:24102466:24105951:1 gene:Dexi7A01G0014120 transcript:Dexi7A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKTTHAGHAKSLVSTFDFSTCPNGIVCVGGDGIVNEVLNGLLIRDDRTEAVSIPVGIIPAGSDNSLVWTVLGVRDPISASLLIVKLEYLPSSKEMAMNGKGTDQDKNNLSSVYTDVMHGRSKRDGIPRASSLSSIDSIMTPSRMSLGDGDTSEVTLFQNLKKCCARNHIMDHSGQEPAPSNHDKEDNSSTISDPGPIWDSEPKWDTGPKWDNELTWEPDAPIELPGPPEDRELGAPMELVPNLDEKWVVRKGHFLGVLVKSVKLKPGANTHNGCGIDGELCRVKGQLGDVMVIRDCMVGFLALLLW >Dexi8B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:8B:16042726:16052679:1 gene:Dexi8B01G0009230 transcript:Dexi8B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMTGAMSSLLPKLATLLTDEYKLQRRLRGEIMFLKAELESMQAALEKLSDAPVTENQVRIWARNVRELSYDIEDSIDKFMVRIDSNQSAKPHGLRAFIDRSLKLLTTANIRHRIARDIIDIKALVNEVASRRERYNIDSFSSARSSKATTIDPRLIGIYEETTKLVGISGPMEELKVLLELEPITMYGLKVISVVGVGGLERLVIGIDHGEFQSVVKFSFVSNAMNLIFTNRAMPRLETLELAFKVQETKDFDLGLENLSSLKHAMIRIDCRNSNVNVVEQADATMRMAVSVNPNRPRLDIFRHFESFMLRSDRDLRVPDETEETKEEVVVAKVGPWGGNGGNSCDIKVIPRHLESVRICSGTVINALAFSYWDINGKRQTTQFWGGILGNVNTVLLEASEYLIEVCGTYGPFIDVSEAAISHGCASHGNDSGSMGKTASSRPRSGLACRRRHSDLAAKSDLAIAGEPRPPPAKSTPSPLGVGRPACGTDGNRSSQTRPPPRLAGALLPRGISYDRRPRRPAATTSASKKRCSCSHHGRVRVSEWRCGSSVDNSLGNAIITGFDPASGASSDTSCDASACATTAAWAPYEMDQQMLSLLEEETVMVPKEEIPDAVA >Dexi4A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:4A:8482757:8483236:1 gene:Dexi4A01G0010600 transcript:Dexi4A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETSAELWVIAIGTTLFVAPPAPERQRRNLTPEQSQRAALAALASLEPPVAAARPAAAVLPRFPYAQGRASETLVCAICLEALRDGELCSEVPGCRHVFHGDCVGAWTTRNGSCPLCREKIVKGLGGAAIAVADDMVLVIGKSLLV >Dexi5B01G0032650.1:cds pep primary_assembly:Fonio_CM05836:5B:33207680:33214144:1 gene:Dexi5B01G0032650 transcript:Dexi5B01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRHQRRRDPVLTSRRHGEESGERMGRGGIGGAAAMEAADSTRAFVRDVRRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYELILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMDFDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFALLDLKVIPLFNENDAISTRRQYEDPSGIFWDNDSLAALLAVELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGRLISFGEKSCGGRGGMQAKVAAAANAASKGVPVVIASGFATDSIMKILKGEKIGTLFHNEANLWESSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADIEAAQVAGYEKSLIARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIATLAIRSGNGLLLKGGKEAMRSNAILHKVITGVIPDAVGKKLVGLVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHSDGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMVCSLMILLMPWHIANLVVWPETLLVHKDLNKSEGLDGLLVELEKEGLNSELRTQIALSQLMKRQQKLFCSKLIGRMIVSILRGSGQVVNGDKGVVYTHRDLPLQ >Dexi7A01G0022530.1:cds pep primary_assembly:Fonio_CM05836:7A:30765142:30765767:-1 gene:Dexi7A01G0022530 transcript:Dexi7A01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVTKIWPAAAMKRLAVLAVSVLLLLFSISFFLLRPTSPPLLNSRQAFEPDRRLRVYIADLPRALNYGLLDRYWSLPAADSRIPASSDPDHPAPHGHPPYPENPLIKQYSAEYWLLASLRTAATPAVRVVADWREADVVFVPFFATLSAEMELGWGTKGAFRKKDGNEDYRRQREVVDRVTAHPAWRRSGGRDHIFF >Dexi9B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:9B:12221228:12224761:1 gene:Dexi9B01G0017390 transcript:Dexi9B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEQPLVEESTVPIASEGDPSRPCVRPALFLRPRTGDGGPLPPPPGEPASGPVRVRGIQAEFRGWACVPRRWREWVEKLRPRHEPLWRELGILGAVLASTCRVRRSKHERALLQLAAFWSGSTGTFVFPWGEATVTLEDVAALTGLPLLGGPVRAPMSDELEKEVAAIEGVRNVMNRSKKKKAGFAAWVKHFVESSLEKEEVLSAGDGGGELLEHGSFLAMWLSIFVLPSPPFDVVRREVFPLAARLARGQRVALAPAALASIYGDLSALKSHISLGMENEPFISSAPMHILQLWVWERFPQLRPELASSPAPGDHDISRIARWHDVVKRFSSKHVHAVFMSPEEFEWRPYGSCSFFALQPETGGSWINSQDLATSELGFDQDIPGIIPCANSDWEKQWDTYNIDAESSVFIVPNHKPGVTVQYARWWKPYSSACGPAIANASKMKEQHAFVSSVKRKKRKMVGIPASNSGKKLRVDTATPGQPNILAGANVYPSNFSASQAAIKRAAAAPGLVLRACPPHLRSRAMPATAAAGMPQPMPDASNDPLDDIPLSERLDGITKKRKKQITECLVKGGDQEKNVGSVKSFITRSARVGSKMDVIPKDVGQSFADAVANTDIVEDDIPLSERLDGIIKMRKKQITECLVKGGDQEKNVGSVQSFITRSASVGSKKDVIPKDVGQAFADAVANTAIIVEVLSGGSVTKKAQGKCVQENREENLNLTNEENNSITEYCDVLLPNVVLGAVSAGSNEAIVSGTGFDMLPLHEAFVISDDDESDKSSCKDREVNAMHVKSHLLGAKASHIRVGNEDIQLVDARNDAQDSQVSKKVSVQGNHANIVEISDDDLVEEASKENMVFDEETTRQDELGILHANLKSPKMEAIQEPNGEEHLVSERNNEQDNLVGKEATVQNSRDYNIGSVPSNITLREEGDVITQTAARQTSDGLLDEPIEEMHGCIVTGEIDNTDKVPMEKVGFLDYNEKGNEDILVSNQDLESPMEDPAGANRKRAGDSERFSSRMLNGNTELINSEVCTKTLYYLSRFDRMRDAWDKDANSTATDQDVYLPRRAIGTMEMIKKASAIRHAEIAELKKKIHNLKEGILVLEAAELREPLR >Dexi2B01G0035360.1:cds pep primary_assembly:Fonio_CM05836:2B:42410833:42412197:-1 gene:Dexi2B01G0035360 transcript:Dexi2B01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYSIEIVRSSVTVDFIVTILRILDVVLLTLWHIWKVRNTAIFDHHTMTGTEVLHRIISDMDSWSCRYKKLIADWTAWRNYYNNWPRPPAASNDSSSRFLQQQQ >Dexi7B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:7B:15365724:15366092:-1 gene:Dexi7B01G0007510 transcript:Dexi7B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLTWPLPKSSGVPGDPAVVIIADRIAAGVQSRCTDRSSAATPATCGDAMDVPETSAYLVKSFFSPISMISLLGTHAARMSTPGATTSGFRIVGLICPGPRAEK >DexiUA01G0005820.1:cds pep primary_assembly:Fonio_CM05836:UA:10511286:10513085:1 gene:DexiUA01G0005820 transcript:DexiUA01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAAHTCGESREEEAHVESEQRVWIRARSVASALYAAEIFPCLARHVGPPDTVVWARNQHDGIGLPDWIAATLLRTTRQLDWAGLGCSTTPLKPSSSSAPLCHRLNCATTNRCPAAGVMEQRHSQITTVTSPFLYAHWLPRADAATTSRSPPQVFQPATAIYCSELLGAAEFSTAAAMALYEDWGWKDNDCYCCGWKACAIWTAGLVVAGGVIAVLVVAFAVVYPPKATADDAVLERPAANSTISYNLTATVTLKNPNIYRAIEYGPLAVSFSFNGSGFDDSAAVPAFEAKARKSATVHVTVGGAGKLIKLTSPGVREFQAENDTGKFDVEMRLDTTLQYKGRSAKCPLVVICPLQLQLIDPAVAATAFQRTKCTILRAKKSGC >Dexi3B01G0036890.1:cds pep primary_assembly:Fonio_CM05836:3B:39702317:39703597:-1 gene:Dexi3B01G0036890 transcript:Dexi3B01G0036890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAPSDLPILPDEVTEDILVRLDAAADVARAAIACRSHLRVVRDRRFLLRLRRSLRPPPVLGFLSTSVRDGPRLRFHRPKAGHGGAAAARAVARAGDFAFSFLPRDAATFTDWSVRDVRDGRVLLSRRRLAGAVNSTFLDLAVADPLHRRYVLIPTIPDELVLKRYSAEMGSEPFFAVAAAPAAARKEDDDDGGEVVVVEEEMGSQFHFQVIYNWMSQYKIDTFVFSSVTGKWRGATSFSLLPSRVIQDPRGMARYHVGNCFYWVHNHYDHALVLDELEMRFSLLALPFPDMMCKKVQSQGIAVFDAGEHGLGLIVIRFQVMGLEMYCKKKNDGGGGAEEEWRRHKLIPYPEPACGFTIVAATEGCLMLEGTTSCSDDSKRSSRYFTLDLKTFLAERWFIPSAKGIGRPYLYANYPPLLSQPSI >Dexi5A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:5A:623295:624983:1 gene:Dexi5A01G0000910 transcript:Dexi5A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALIVTVSLIIVPLLSLLVLAATKHQRQQRTAGAEQRKLPPSPPGALPLLGHIHLLGRLPHRGLRSLSTSHGPVMLLRLGPHPTVVASSAAAADEALRTRDASFASRPRLPMVDRLVYGSRDLSFARYGDYWRQARRVCVLHLLSHRRVRAFRRAREREAAALVARVRRDGAAAVVNLSDALVSYAKAVVARAAFGDGDYVVDGDRGGDKLRRVVTDLQQLIMATPVREIAPWLGWVDTLTGLEAKAKRTFEAMDGLLDRMIADHRARRRQDGHRRRVEDDDDRDFVDVLLDVNEMENDTGLRLDMDNIKGLIMVHYACFMCLAYHDSSAYLYKFLLKLSLVHGEKELQDMFVAGTDTSYTVLEWAMAELINHPDQMRKLQEEVRGAITGGHVTEDHLDGMPYLKGVISETMRLHAPVPLLLPRETTEDTELLGYHIPAGTRVLINAWAIGRDAATWDHAEEFMPERFAGAATMDYTKVGQDMRFLAFGAGRRGCPGVGFAAPSVELALANMVYHFDWESTTTSHGRRKEGPPVLEMSEAFGLTVRRKEPLLLVAKPWSG >Dexi3A01G0022190.1:cds pep primary_assembly:Fonio_CM05836:3A:17755425:17757884:1 gene:Dexi3A01G0022190 transcript:Dexi3A01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDAHLSPEEAARVEAEVRGYFDSVAPRRPSKPPRSDPSEDAGAEADAGDHDLPELRKLRDLEAKPQKLVLEGGGDVDIGEEYVETRYYDGLIGIDKQHHTTGTGFIKVERPNGSAFSVTTNGYSSGSFVRCTSNPATNDWIPSAETVIPASSKPSRSDS >Dexi5A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:5A:23923319:23926338:1 gene:Dexi5A01G0020060 transcript:Dexi5A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRGVCSGGAMSYGGGSSGGRGGRRLEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNNSLQIKWICPTAPTRPVAAFGGFPCTAWFDVEETSLDGSDDTEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAAAALHSAACYAHGRFTNGIVYPITLSVVVGLSGWLPCSRTLRSKIESSQTCLRKASALPILLNHGRADEVVTYRNGERSAEILRSSGFQYTCFKAYNGYKFLFFNFVMNTFTFFSFLRFRLTRYFLCRLGHYTIPEEMDDVSKWLSSRLGLDRPRG >Dexi2A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:2A:22727487:22739671:-1 gene:Dexi2A01G0013940 transcript:Dexi2A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDAPPLTLEENEEFLRILRDVRQWAGHEAPEKVEVVFDNMSVEVEERVGRRALLTLPNAVFNGAKVCYSRFLEYLNTIKKDIQDNKWTEWDNQTIQGKVFYNGKTIPSTPHYLCSYVSQYDLHHAEMTVREIIDFSSNLLGTNNEFVYPGLTISKMPFLQATKLGEGSNLKTNYIIKIFGLSDCADTIVGDALLRGISGGQKKRTTIELAMTIKRLATFYKQRELLGLPGWAILGSIFLVNIPMSVLETGLWTCSTYHSIGYAPSPIRFCQQLLVLFAMHQMSMSLYRLIASIGRTQVMTNILGTEALIAIFILGGFIISKDDLQPWLHWGHWASPFTYSLNAAALNEFADKRWATVFHFDKVNTTGEAILKARGFLIEWHWYWVCVVVLFGFSLIFNLLSIFSLELLNYPQEHHLKVKPRKAIPGVPTIKEGQNPAAWALDISSHAMEYAIGVDYSEIYRNSSLHSEDEQDVLNILGTAYTFALFLGYVNCATFQPTITMERVVYYREKASGMYSSMPYVIAQVGVEILYMFIQVFMFSAVVYPMVGFEPTATKFFWFVLYMILSFIDFTLYGMMAVALTPNEEIATVLSFFIFLIWNVFAGFIVPRKMMPTWWRWMYWADPAAWTMYGLMFSQLGDHMELIRVPGQPDQPVSEFLKEYLGLQGDYIALVTTLHIALSILLGVVFFLGIKYLKFQRR >Dexi4B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:4B:2458727:2461866:-1 gene:Dexi4B01G0003520 transcript:Dexi4B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRCSTLLSKLGVAVLAFNSVLAVYRSWGDAASVAFVLAADVALLLLFLCLGEVERAQQHDRAAGAGRNDISNKAAVWVLTTLLTGMFASRVAALVPPAVAVAVWTMAAATAAAGFWAFFLH >Dexi2A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:2A:1934979:1935626:1 gene:Dexi2A01G0002440 transcript:Dexi2A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNFVKILCKDGDNLYVSIHGSEVVLAREDPNDKTQQWFMDYSRARWVTDDNGQRAFTLVNKAREQVLVNKNKKRGDGCVQVQLAPYTGVIYLWRFLCSGPWSRRSTATDFTK >DexiUA01G0021440.1:cds pep primary_assembly:Fonio_CM05836:UA:44425029:44426138:-1 gene:DexiUA01G0021440 transcript:DexiUA01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRQREARKRFREANPERFPPQPAPSADGAKKKSKKNKKSMFKKVKKGGTGRSKHPLRVPGMRPGECCFICKSTDHVAKACPEKAIWDKNKVRRTNPLVPSENDVVSCEAPLFSICLLCRERGHSLKNCPEKSEGNLKKFCYNCGESGHALSKCPKPIENGGTKFASCFVCKQQGHLSKDCPENKHGIYPK >Dexi6B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:6B:23186136:23186454:-1 gene:Dexi6B01G0015890 transcript:Dexi6B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKPEGHFHFTAKGANGMPYDLDHELFNSINIEEIKKTIGPQDVRYLLKKANSELWPRLLKTEVKVPFLKIDSVSI >Dexi6B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:6B:1736287:1737142:1 gene:Dexi6B01G0002020 transcript:Dexi6B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGAIELVQSGVKLTASKAGCFPDMRCQKNKFFFGELSLSPLVLDDVMACWLVNMAALESVEATTAVTWDVDGYVVSSYLSMLAMLKDREEDVHELRKSGILTSNFSNAQTLAFFKGLSQHLRLGYNYLNTLGRIEEYMSRRPVRIAIHKFVYNNYKTIAAVLS >Dexi9B01G0044840.1:cds pep primary_assembly:Fonio_CM05836:9B:44499517:44521849:-1 gene:Dexi9B01G0044840 transcript:Dexi9B01G0044840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRPATAPWSDRSGGEEDDAARSRGFTVGRHCRTTGLASTSSCDNLMASAQPQSTSAQHFRDEAAHAEAYGGGMCAADDGGWRDPARPRASAMQSTDGPEEAKATGEKPRLPRPRHHRPPEVDYTVPYKNYTWSNLLSDLKERVSWGDAQEFRATLHKQLRVGLDNDLVVMALDSGDFELHVEEELDPTDIAAKKKEKRLAKGKSLCCDLQDHFNQARNKEPFFPGKILDHLNKLGGDFDDPTHQHRDAEEFLRSTEFISETSFLFAPEILIVKLERKEHVTPKDVRTFTFPQELDLNPYTRSAEDLYDLYGVIVHDEYSMNFGHYISYVKTSDQSWFCCNDKTVTPFKGDIFKLQAYLLFYKRHKDTHSSASREEDKEEQSSAFWEEGEEQLDSTSEEGEEQFDSTSDSDAYSSQKDDEGQRYLHAAAMLNNIESLRILIEEGADINIRISQNGTPLHVALKYKALKCVEYLLEKKADCNLMDDEGQSPLHIAAMHNDTNSVRGLQSFSAKDTDQYCIKFEDHIRYFVWFGDMDAECIDKAFSSIGSNDRKRWILDYESGLHEGLYYDIIANIWTKTLGYAEFIKKNLRGSGITKFPYLKVAISSFADLVFPNEDYPFLTPLEEEGKLVQPKWYAPIFPLVLVNGCKGFLSAAAVPLRIHLIRTRRSVPSRSFAPTIWIPLADAAGASRIRPWPEELTGFVGVVVSDCQELDTDPTMQGIDPQSLEDKFLSPNEAKEISSYANHINTYLCEDPYLKNYLPMDPTGNQLFDLIRDGVLLCKLINLAVPGTIDEGYINKKTRLNLWERYEKHTLCLKYAEAIGCTVVNIRAHDLIEGRDIDKSDSCEKMTNGDSPKVVCSSDDMTEDGNLPEDSGDEDMGDADSDDIYLEGFRSPDETESEDDEEDSVDYLKKLAYYEFEAYNHNQSLEALDKKAGGAEEKRPEEKDTTREIVDVLDDRYELQVVKRLVRLHRLELPLVREAPTIIFGADMVRGEGFDSIASVVASVDWPKFRRCLPLEVMNRDCFAKIMRHQRTRAPELLHHHDMVDMPDCPFYPGTTEDADHLFATCPPLASL >Dexi8A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:8A:28419338:28422790:-1 gene:Dexi8A01G0016820 transcript:Dexi8A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGALDSFLGRLAAVLVNEAQLLGGIRGDVEFIKDEMESMNGLLLHLTEAQYRDHQVRAWMKQVAGLTRDCEGNVELYVHHCGDGLEGKGILIYLLRIPRLYMNIPVRHQIARRIRELKVRARDIGERRLRLAKKMKMRSNSPENFRSVLKAAL >Dexi2A01G0025910.1:cds pep primary_assembly:Fonio_CM05836:2A:37529660:37545374:1 gene:Dexi2A01G0025910 transcript:Dexi2A01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREECGSVSVRRATESQRFAAVSCRRPAGLGEFLCAAAASGKGCAVPVRIESVTVPNCSGKQDERMMNVKQEESTGCIPSPLLSGIKPKKRLTSKVWDDFIPTFINGKVAQAKCMHCHQVFNCNGTSGTTGLRNHQAKCSPGTHKRPRLQEPTSLPSTQQNMVVASSDPKQKKLPVLLSSHKKGLGTVDAMPERDLALIDTHINTDRKNMEVDQNRLDEELATGKQNTLTLPVISTDKNKKNQGVDHNISHEELVRALAVHGHATRMVEQDDFGKLVAHLNPVVKVPSHYDLMWKTFDLFDQEKSKLKEKLTALSCRVCLSAYIWHYDPLLAFFTEELSSTILWAIGAWGLDDKVFCIILDDAFVDDSVASNVKTGLQKRNKVAANKSLFVARYATHVLDEVIQVGLDELDTVMEKFAKCSSSKGFVGSNYLEVVATVSRIKDFNWAQFTLEHLLENLASFKTNKRTGLSGNLALLQVITELEPNESKVRTDKGNSDQGIDDQYNDRMRHDNFENEDWNENVEQDKVNRDGVHDAMKDNETKMKESMDSMKILLEKYPELHTVVQMLVAGCNMSTKREGKQCDSSDSSKPRESYFSVHEESEREFPVDNIDKSEEVNPCPQEQGTADEIHVDNMDKSEEVKACPEEPGTADETVTLPSNISSAAKRKNDVVSSPTLVEKVKTRTNRQPSKVCKSPYADTKQKRVSKEKKNGVSVGEVTKGLKLSDLEVHAITFVLYEIATHPNNDIIIDSYVTMFNSDWEKGNKEMKHAISAVHMTVGSSSLDPHHSPPARGQENARWRSVVVPSVGGTVEAALPPMRQPAPKSVLQLWTQPLIVKAEHFILPESNVQCMEDVIDNVNNDIVEQEESTGSIPSPLLRSTRPNKRLRSKVWDDFIPTFVDGKA >Dexi2B01G0025550.1:cds pep primary_assembly:Fonio_CM05836:2B:34753935:34758726:1 gene:Dexi2B01G0025550 transcript:Dexi2B01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAESPQWRRKATDFFSTSSVKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQETRQQQQQQQRSPGETVQERFITAAASTGLLFRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLNNEHLFKSEGDRKVLQQLVSLYNEDSGASLPEGVNPIDVGALVKCYLASIPEPLTTFALYDELRDARVSIPDLRNILKKLPNVNYMTLEFVTALLLRMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKFTLKPPPKIVDTTSNTAAWDLLDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >Dexi7A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:7A:17784424:17785566:1 gene:Dexi7A01G0006430 transcript:Dexi7A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRIDTNDSLRLASLWHSMHAISQQLSPIPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAQNMETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIHKYRVALLGR >Dexi6B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:6B:24424395:24424983:1 gene:Dexi6B01G0017220 transcript:Dexi6B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVLHCPAETAELVGELAAEHPDAAGEVVPFPAELVFLPPELVLLPPERVLLPPDLVLLLPKLVLLPPPVELVHLPTPAELVVILAEHVVLPAAAELAVPPRWKEVEEGSSWLVGKLGEEELLLSPGAACRPSERRGEVPEGELEAAMAAALCGGGGCDRAIVRSSTTEPGGPAGEQGGARRRRGEREEQP >Dexi3B01G0026510.1:cds pep primary_assembly:Fonio_CM05836:3B:21707248:21709365:-1 gene:Dexi3B01G0026510 transcript:Dexi3B01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi2B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:2B:1855632:1858126:-1 gene:Dexi2B01G0002310 transcript:Dexi2B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKISTDITPAMDLHSPTRICSNAALQEQIHCPSVAVADFYNTMGRQIWLGNTLLLVSAAMAAIMVGIGGYGRRYQHHRFTRSIFLGANILFLPFISYVVSTLSDNSNDYADSHNDGTTLAADGEHDRVFMVIADELSFLNDYYYSSLPFRCTCKSKAAIRFNVSSGALNYRLWKIVNHWDEKIGHCSVLVLHPTARITLLGHLRRLLHLPDQKTKVKLPTAVKVCIMDALRIAASSNGCHLGNVRISLHRSQVGKSFLWACNGKSTSDIILRWHIATCILEVRHPLPISDKNKIAATHLSRYCAYLMTWSPELLPDDVAWSKDLYEAVKEDAARVLAARAMTGLLTPEAEYHDLVQLLSEGSRHLVLKNGVWLGKQLVGLDEGGETAWAITAGFWAEMILFVAPSNNLKGHKTAIARG >Dexi4A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:4A:22807476:22808955:1 gene:Dexi4A01G0018960 transcript:Dexi4A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHTAVLLFIFSNAAAAVLDQTPAGRMVQSLAAPWSDGLTSTVNPLPLAAFLFTLNSASSHLLSITSCYSGREVLEGKPLQQLRVLAVDDDRSGLRLLKQQLHLCNYNNAAAWDMLRERKGRDDQFDLVISDIFMVDGGIDGLKLLEHVSLEMDIPVIRSGDPYGSMQLRP >Dexi7A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:7A:20740501:20742889:1 gene:Dexi7A01G0009830 transcript:Dexi7A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAQPSASLLLFSLGLVFLYFTAGSTVRLVEAQASEPDPVRSMAMKYEMKQKTWCIAKPSASNEILAQNLNYACSQVSCAVIQKGGPCYYPDSLVSRAAVAMNLYYAFSGRHAWNCYFNNSALVVQSDPSTLRILHLLLTAMPVEDRLASEQHQEDKQDPPPRPTATARQPEPSPLSDTMTTGSPLILFDPALPSAAGSRGSSGPALDFLTDNTEQDQQRVHQDDDDGGGVEEDIARLMALLGLSPTDGDGSHDDAEEDGAGGCDCSGADGFMAKVVGVVGPKCDVEKRRLDAWIRHYHRVEGGGCREPARLAHLLLARASSDTAAVEFPVTVTSFLDRDAPRESNE >DexiUA01G0007640.1:cds pep primary_assembly:Fonio_CM05836:UA:14331655:14331993:1 gene:DexiUA01G0007640 transcript:DexiUA01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLRRQMPATESYTCTPTVGVPAHRAPAPQCPWPPSHRNHGLPRPVLPQLWIRLALAARSPDQAMTMVIFVFVHFVPFLLAHMLNKSTSSSSPSVGLCEMSLLCVDFGML >Dexi9A01G0038330.1:cds pep primary_assembly:Fonio_CM05836:9A:42629790:42630911:1 gene:Dexi9A01G0038330 transcript:Dexi9A01G0038330.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQEADYSVIVDFVSSVTTLVAVAVVWLGSPVKEEAAETAIEGLICSSCRSTCCASGLAGGEGSTQCNASFSVLTSSSPVSEPAAASFMSSRSNTSLVHSSSTTEATHCGRSRPLVVSPGDFPELEHDHAEAVDVGLGVGFLQLRELRRAVAERARGGDHAGVGRRAHEARQAAVGDLGVVVLVQEDVVAGDVAVHHVRVGGADPLPRQSRCSSSSASPPGCYPAHELRDKNTTNFLALRPQVVGPEGEEVGVPELSEHVHFRLKFLLVLGDLLPKPLHRDVAAVLHGRLVHRSVGALPQDLRGRAQQIVRREREGPIKMDQLAAAVTAAVSA >Dexi7A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:7A:12580790:12582337:1 gene:Dexi7A01G0003300 transcript:Dexi7A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEIKLKPYSGALEIDFGKGVRKKNVYLLNLPEVKGDFKIIGVPTISAPFATASFFWNWGMQAFANFSPVVCRLGVFKWPEYYWIIYTLKAINVSNRSVGFATAAFALAVLEGNTQPSIWFPEVVCNVLLEEEEKCQAPTIEVVNK >Dexi3B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:3B:4547048:4548448:-1 gene:Dexi3B01G0006580 transcript:Dexi3B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGKTNKPEEKAAADSASASAVAAAEYASAFSPKMKAKKKQSKSAGMKTTSKKPEEKAATKKPEEKAPAGGFASPSLDQEEEKSQIEKIPSGSEVRPPSLYLVVRHAVVRPAYSVYRIKHPLVSADHPLPVPCRVKYLDAEHDMSFAAVSSRRRSWIVGVGGFRGGRQGGRGQTIVFDCKTGLVAKGPSPMASKYQPVLFVVGEKVYALAHMPNVWRQPDAAPWFEVLDLSGASCVDGKLTGCDWFPLPSPPSFPCFNMEGVRINRGPPVVEVESYTVVSHYILLSIVTDPFTDEEAGTVAFDTVTDRGHYVDRQKNLPFIGEAVPYDGLYLGRSKSKEWDDITAYQLSMTKTNGTYQTPKLSIVEVPVIAPTYMSGQFFASLGKGVICAVGCSTQNWTGNEELEMDDIYMNIHTCVDAKEESKAKKWPGELMLSNKPAMYAFSVQEPIYQLIAPSLVAALRGHV >Dexi2A01G0026120.1:cds pep primary_assembly:Fonio_CM05836:2A:37685505:37691552:1 gene:Dexi2A01G0026120 transcript:Dexi2A01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYQRLVVSFFDGVQSHASVEAEEEGFCLLSTPYHSSCEAITWMTDDTRSRLPPCSPATTRALSLPSPTGWSQPPVSSHFLFFFPFQLGAGRPPFHLSAPPPLGDKTSRALALPPRTPQTLGALPPHRLALTHGMRAAILAARVANRLLGSSLLRAAPNSARPSPLATGPLHVREEGREGEGEGEGRKGEKEEDPPPLPCFASSPPSRPVFPAPASLFLSGSTAPPWCSATASRTWSRARALYVNPVFMPLLRPSPQSRYRVALRLAAAASGTPERFDAASQAPRRGRRSGHRSGRSAPRPLLAAVVAAMLRGTSAYLGREMKGGYHRLPRRRPTSTARRDELMSRRRASASPGSKTLV >Dexi5A01G0031230.1:cds pep primary_assembly:Fonio_CM05836:5A:34021470:34022933:1 gene:Dexi5A01G0031230 transcript:Dexi5A01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGGSGGGLVCVTGGSGFIGSWLVRRLLDRGYTVHATVKNLSAWPAGKVVDEDCWADVELLKELQLWYHVSKTLAEKAAWNFAKEEGLQLVVLNPGMVWGPTLTPSAGCSLQLLLLILRGGRLDMDGYQIGCVDVRDVACSLIVLYENPSAQGRHLCLESIERMVDLTNRLAELYPDLPVNRISKDGQGWVVRAKDSSKKLINLGLRFTPLDKTIRDAVDCLMIKGLI >Dexi7B01G0023460.1:cds pep primary_assembly:Fonio_CM05836:7B:28068516:28070219:-1 gene:Dexi7B01G0023460 transcript:Dexi7B01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVAVSGVTTAAHRRCCLASAPRRSQHRCRRPAPPRASGAVEVRVCTSRTCARQGAREVLAALAGLAPPRVDVGSCGCLGRCGAGPNVAASVAGSAAVFGHVGTAGRAAQLLEHLLGAAEFDASAGLAALAAREKAELALEKGNADEAEALLNEVIGLNASGGLHLVYSSRSKARLAIGNISGALEDAEEAIRVAPKFHQAHLLRGDALLAMGEYCAAEDAYAKALDLHPSIRRSKSFKARLERLREELVSAANP >Dexi1B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:1B:23657177:23657664:-1 gene:Dexi1B01G0017330 transcript:Dexi1B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVQMGSDKCRSRAMALVASTGGVDSVAIAGDGKDQVVVVGEGVDSIGLTSALRKKIGYAELVQVGEDKKKEEEKKPDPVAAAVAEYNYSQWYYHHYPPPHVYAHHPAGYGNDPCSIM >Dexi4A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:4A:20562512:20563243:1 gene:Dexi4A01G0016810 transcript:Dexi4A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTAAHAVETRGGAPAPQPPAAAPAAAAKGAGGREQQARKAAPVTMEHVLLALHETEAEREARIRDMFAFFDATGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAAV >DexiUA01G0001760.1:cds pep primary_assembly:Fonio_CM05836:UA:4689976:4691241:1 gene:DexiUA01G0001760 transcript:DexiUA01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLLAFEEGSKQRPQQLIFYRDGVSEGQFKQVLEKEIPEIEKAWKSLYNEKPHITFIVVQKRHHTRLFPDNHNDRRWTDNSGNILAGTVIDKNICHPTQFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADGLQSLTYNLCYMYSSCTRSVSIAPPAYYAHKLAFRAHFYVNQASDVAMSVGSGNAPAHVAVVNPLPQIKNELKRTMFYC >Dexi4B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:4B:16237549:16241563:-1 gene:Dexi4B01G0015020 transcript:Dexi4B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSKGRQRIEIRLIKDADRLEVTFSKRKSGLLKKASELSLLCGAHVAFVVFSPGGRAFALGTPSVDHVLRRFDPLPGDEEDGDLFSLAQDGDGVSAIADRGAVEAIVRRTEETKSRVEAEKVRMDAVGEKVRQAMTKAGGKFWWEADVEALGEAELPEFARALRRLRDNVQRHVDLISDDAEDNLTFNDTMIALQLMRTQFPKLEKSFKKDKVLRIFKLSSGQDDHAIMFLDDYLKQVAFAIKRSGGKEQDGSEVFDWFEKYVIPSKLDVSINQLQLCSLLSQGGDVTDKHITLLMNAGLLTRQLIDPNIYWFSIPRIGPILKGLSQGRKEILSLLNRKKYKGMLLSSLEKTKLRLSPLDTRFLIRDLIGSGQIKTVQTPTGLLARITRD >Dexi1A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:1A:10621657:10624029:1 gene:Dexi1A01G0011560 transcript:Dexi1A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAASPRDGVTEETASPAAAARSRGFWLLGEDKSVHKALGGGKTADVLLWKDKKTSAAVVGGATLLWVLFEVVEYHLLTLVSHVLIAALTILFLWANATVFIKKSPPDVPEVQISEELAVNIALALRADINKALVLLREIALGHDLIKFLGVIVALWILSEIGELCDLLRLMYIVVLILHTVPILYHKYQDQVDDFAAKAHVELCKQYKVLDAKVLSKIPRAPLKDKKEN >Dexi2B01G0034020.1:cds pep primary_assembly:Fonio_CM05836:2B:41347603:41352075:1 gene:Dexi2B01G0034020 transcript:Dexi2B01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPDPDPASPPPEQDPEPVHAGSTSSAAPSGGGGWGFGGLLKTLTSQSETVLETYRRDLAEFSTGLRRETEVLREAAARAARDLPSSAHALDGLADIVAQGKDALSQVASAAAAAAPVSGHSDGGESEQSSASGAHVRYSRFEAQLRALQADPATFAADPEDAEDFAAWSKGFSLDERKDEIEALCYDSDALEAMLDRLVPGTVESKVFWARYFYRVHKLKQQEDARVKLVQRVIAQEEDEDLSWEVDDDEDEDDEEEQQKEDAKELVAKQELVKEEVEHEMETKENERVVEEPAALKEEQKNDDEPQPVVFGSSLVVVDEKEEQNNADEQQPVVFGSSLVVVDEEEKEGHSKSNLEDSGDKKEAAKHDNSDSSKDSDYSIVSRQRTMEEEDLEWDEIEDLGDHEEKKGTTHDTSPALKEELRKRLSVAEDDEDLSWDIEDDDDKMTEAPFLPRERLFKQQSYFQNLTKHTYLKGRYDVVTSVIIPLALAGSSMFMIGRGVYNMSHGIGKKE >Dexi2A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:2A:14074129:14078065:1 gene:Dexi2A01G0012120 transcript:Dexi2A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLMARSLCSRFRPTLAVAAFSSAPSAASVSAAAAEAERTIRDGPRYDWSRPEIQAVYDSPLLDILFHGAQVHRHVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRSMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMIRMIGTARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEEAATPADTERSEQAVSM >Dexi9A01G0028690.1:cds pep primary_assembly:Fonio_CM05836:9A:33464958:33466143:-1 gene:Dexi9A01G0028690 transcript:Dexi9A01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTEIISFLVTAVLFFHSLIPAASVSATTTAHQDQFVFTGFAGANLTLDGTATVTADGLLELTNGSVQLKGHAFLPAPVRFRSSPGSTVRSFSVSFACHGIAFVVAPGTDFSSALAAQYMGLANIDGNTTSRFFATEMDTMQNVEFQDVNNNHAWVDYDGESAWIHVTIAPIGVTTSHSFAVEGLAPTIDVAKLPKLPCLGPKPRSKVLEILLPIATAALIVTLGTLVVILVRRRMRGVLPKSKVDAAVKRVSHESRQGMKEFVAEVVSTGHI >Dexi5B01G0032360.1:cds pep primary_assembly:Fonio_CM05836:5B:32996391:32997900:-1 gene:Dexi5B01G0032360 transcript:Dexi5B01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLINAALNDELFFSIAEHSLTIVEVDAVYVKPFTVDTLIIAPGQTTNVLLTAKPHYPGANYYMSAAPYSTARPATFDNTTVAGILEYEYPDFPSAPSFNKDLPLYKPTLPALNDTNFVGNFTAKLRNLATSEYPAAVPKTVDKRFFFTVGLGTHPCPANTTCQGPTNTTQFAASVNNVSFVLPTKALLHSHFTGLSSGVYEPDFPVSPLTPFNYTGTPPNNTNVSNGTKLVVIPYGTSVELVMQGTSILGIESHPLHLHGFNFFVVGQGYGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGY >Dexi3B01G0025920.1:cds pep primary_assembly:Fonio_CM05836:3B:20881428:20882137:-1 gene:Dexi3B01G0025920 transcript:Dexi3B01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGKRTLAMVPYDPTVAASQPVKRARPSSSPADAGAMVPYDASKLAADDTEPIDAVPLKAVAPRRKRPRLPLVRPLANPEEPSFLREHILPRLGLRKQLQVHFIHTKRVTDTDLEAHQNRFRIPTEGAVQRLRPIMTAEELDAANLLHDPAAAARGRRRRRRXXXXEEEEEGERLAAEQGEKKKRRRKKRKGKVHGGLPVRLVDLAAGVSDSLLLTRWTSSHGTIGT >Dexi3B01G0036340.1:cds pep primary_assembly:Fonio_CM05836:3B:39158362:39161998:-1 gene:Dexi3B01G0036340 transcript:Dexi3B01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLGFEETELRLGLPGGGGGGDGEGARVMSSSGKRGFAETIDLKLKLEPAAVVTDEEAVDAAAAAAAKDAAAAEETSPAAGKMKRSPSQSSVVTAAAVQADPAEKPRAPKAQVVGWPPVRSFRKNIMSVQSEKVAGGSKDAADGDKSSPAAGGAAAFVKVSLDGAPYLRKVDLKMYKSYQELSKALEKMFSSFTIGSCGSQGMNGMNESKLVDLLNGSEYVPTYEDKDGDWMLVGDSCKRLRIMKGSEAIGLG >Dexi3A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:3A:7508345:7510418:1 gene:Dexi3A01G0010510 transcript:Dexi3A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEIHLFNMRFPRSSRGIDLGFPDRFRKSPRLSPKSPPRPSCHASQATYSPTCNASRPSIPQTAATNRHDLPAARARHARGSGCRQPLTARHVLATEGITTRRSVGRQPTVREKEKGSGKVSSRRRDVTTWSSPAPCSVGLCRRLPRRRRWGGGAGRRLGFVDEGHLGYYEAAAPRRKAVEAVARDLARIRAMGLVAGDAAKEKILSELNLIKDEEYKMKKTQKEEKASMKALKQQEKEAKKAATTAMMECEDESSESSESDCEDEQAMNVGQGMVISAAVPEVVASDISTVSAMECEKAAMKAMKKMEKEQMKAMKKMEKEQKKAAKKAMKMEKEAKKMAMATLNGCMDEDDSSCSSESSDSEYQGEVVRMSRCATITAPETPSPSAVFPIVVPQIPASLPPELAQASEPATAVQVSSTSNVAVAETSTANRIEVCMGGKCKKSGALALLQEFEKTVGTGGAVVGCKCVGKCGLGPNVRLRSEVSAEGSAKRNRLCIGVGLEDVGTIVAGLFGDGDLGMTPA >Dexi9B01G0045680.1:cds pep primary_assembly:Fonio_CM05836:9B:45095065:45098580:-1 gene:Dexi9B01G0045680 transcript:Dexi9B01G0045680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHATLPFSCSSTLQTLSRTLSPRGALQLRRGFLRLPSHAALTRLPRPCCRRHVSASAAASPNGASADGKYDYDLFTIGAGSGGVRASRFASTLHGARVAICEMPFATIASDEHGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESRGFGWTYETEPKHDWGTLIANKNTELQRLVGIYKNILNNAEVTLIEGRGKIVDPHTVSVNGKLYTAKNILIGVGGRPSMPDIPGIELAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFVADQMALRGITFHSEQSPQAVTKSNDGLLSLKTNKETIGGFSHNLGLEDVGVQMDKNGAIVVDEYSRTSVQSIWAVGDVTNRVNLTPVALMEGGAFVKTAFGNEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAVEEYGDVDVFVANFRPLKATLSGLPDRVLMKIIVCATTNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKIRKKSTDQVESKDEAVAKQ >Dexi9B01G0047740.1:cds pep primary_assembly:Fonio_CM05836:9B:46772851:46775959:1 gene:Dexi9B01G0047740 transcript:Dexi9B01G0047740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPMPMERKQAAYSSLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPQLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAFVTGVVVALHGKETSAGNFLVEDVLEAGLPPQTALSSADEDKYVVFVSGLSVGSGTFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFVNGQTVASKDQSRIAEPIKELDIMLTQLVASLPVDIMPGCNDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVQFLGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGPEKQKVRLICIPRFSESGVAVMFILIGQNEISW >Dexi5A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:5A:6191799:6192188:-1 gene:Dexi5A01G0008290 transcript:Dexi5A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKITSTLLAILVLQAVLVSAAVVTEANGVGASAKKKACSCGFCNSWSGVYTCDDLLTKCPATCKTCAPVPTDKGTRYMCRDFLPQGCGCKPN >Dexi3A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:3A:13985366:13987494:-1 gene:Dexi3A01G0018290 transcript:Dexi3A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRQPSRLLPPPPAPGLPRTGPGPVPPDARRAPPHGARPRRLPPLPPLRRRGARARPLCVDALDAAASAGVPAYFYYTSCAGDLAAFLNLPHYFAATDGGASFKDMGKAPLRFPDVPPIPASDMPHTVLDRADRTCAARIGHYGRIPEARGVLINTYEWLEARAVRALRDGVCVPGRRTPPVYPIGPLIVNGQGGAAAVEGERHACLAWLDTQPERSVVFLCFGSLGALSAAQLKEIARGLESSGQRFLWVVRTPPDDPSKFFLSRPEPDLDALLPEGFLERTKDKGMVVKMWAPQVEVLRHASTGAFVTHCGWNSVLEASSAGVPMLCWPLYAEQRLNKVFVVDEMKVGVVVDGYDEEMVTAEEVEKKVSLVMESEEGEKLRERLAMAKEKAAEALADGGPSRVAFEEFLNDLKLKLAK >Dexi3B01G0021580.1:cds pep primary_assembly:Fonio_CM05836:3B:16451014:16454722:1 gene:Dexi3B01G0021580 transcript:Dexi3B01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGERRPLPLILLCGAVVGAARRRGGRSGAAAWRQERAAVRARAQRPAGRRAWPPVKGQDGTARVEGKAKRLRKRVPAASASRPMPGGGPGLLLPSWPMEHMAVDLISCHACTAQVTGQTCISDGRF >Dexi5B01G0039870.1:cds pep primary_assembly:Fonio_CM05836:5B:38534213:38536805:-1 gene:Dexi5B01G0039870 transcript:Dexi5B01G0039870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHAKYRVAVIGSGNWGSVASRLIASNTAKLPSFYDEVRMWVFEEMLPTGKKLSESINEENENCKYLPGIKLGANVIADPDLESAVGGRNRRVAEAFARNGGKRSFDELEAEMLRGQKLQGVSTAREVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSLIGGPTPFY >Dexi1B01G0030470.1:cds pep primary_assembly:Fonio_CM05836:1B:34439310:34440350:1 gene:Dexi1B01G0030470 transcript:Dexi1B01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIISKSEEDSSNREKYISWSEEATKYMLEWYIDIRKDKPATFRFKKQHHLQCADALNAKFALGVTQNQVDRHYRSCKEKWGWVRRAPSNSGNGFDSTLCKFTLSESEKENLSKATVNYLTRPIRFYHLLEELFIDQSQADGSFAADQTTVNIPDGSDDNEGIKEIEGYNFTADTDEDADSDNIARHSPKTDLDGNPPSKKRKCEKSNSKKSAKSKVSTTDVSASIAMLVDSLLKPPPPPPIPVQPVVPADPYANLWKRINDLTITSKDKLVIVDHLSKPNQDVLRSYLNCSPDSMLHEWVINFFEHAGGSSSAF >Dexi8B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:8B:11251721:11253380:-1 gene:Dexi8B01G0008410 transcript:Dexi8B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISTTSASHIFLVHGLCHGGWCWYKVATRLGCLQSPAGRPWRVVALDLRRRASTPASCVRDYTAPLLDALRSLPDGEKAILVGHSLGGLNVALAFPEKVAAAVFLCAYMPDCTSTPGSVLVEDITLAKSVMRVGSMFLEDLQVMEPFSKDRYGSVHKVYIIGNQDRALPEEFQRWMVSNNPVDEVKEIDGADHMAMLSTPDEVVQCIVDITEKYS >Dexi1B01G0024630.1:cds pep primary_assembly:Fonio_CM05836:1B:29893042:29896573:1 gene:Dexi1B01G0024630 transcript:Dexi1B01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGAGAGCEQGDRQAQPLLGKLSESSYSSSDEHLVKRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPVAMVFFAGVTAVQSSLIADCYICHDPERGVVRNRSYVDASHLFCGFFLNFSLFGTGVVYTLTSATSMRAIQRANCYHRDGHDAPCSVGGDAYYMFLFGLAQAVLSQIPGFHEMAGLSVFAAVMSFFYSFVGVGLGVAKVIGRCCLASPRRNGVIMGSIGGIPMVSTTQKVWRVSQAVGDILFAYPFSLVLLEIEDTLKSTPPENKTMKTATRASLVITAFFYLCCGCLGYAAFGDGTPGNLLTGFGFYEPYWLIDLANLCIVLHLLGGYQVYTQPVFGFADRHFAGGEVAVEVPVPLLGKRRVSVFRLCFRTAYVAATTALAVWFPYFNQVIGLLGAFTFWPLAIYFPVEMYLTRNKVTPWSKQWVAIHGFSFVCLLISAFASIGSAVGVFGSETS >Dexi4A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:4A:23203849:23209138:1 gene:Dexi4A01G0019440 transcript:Dexi4A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLASWPWASLGSYKYLLYGPLVSKVAQAWRETGSLPVGSWCLHLLLLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDQEWDWDNLVILQTLMAAMVASSPAFPGVAELRAWDPRGWALALLLHVAVSEPAFYWAHRALHRGPLFSRYHAKHHSSPVTQPFTAGFGTPVEALVLTVAMAAPLVGAFAAGAGSVSLVYGHVLLFDYLRCMGYSNVEIISHRAFAAVPALRYLIYTPTYLSLHHREKDCNFCLFMPLFDALGGTLNSKSWELQKEVDQGMNDRVPDFVFLAHVVDVVSSMHVPFAFRSCSSLPFSTHLVLLPIWPLAFAFMLLQWFCSKTFTVSFYFLRGRLHQTWSVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVISLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKQIRVLMLTLSTERFLKIQREAPTESQHYLVQVTKYQAAQSCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLGSCEYTMERGVVHACHAGGVVHCLEGWDHHEVGAIDVDRIDVVWKAALKNGLTPA >Dexi5A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:5A:1742940:1746184:1 gene:Dexi5A01G0002500 transcript:Dexi5A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGPEPMVLDAPPADDAAAAVPPAGVEKKKEDEEGDRVPGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETFAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTPRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRALNARLPNGRPFPPLFNFKHELANASPDLINRLIPEHIRRQHGVNFGHTGS >Dexi2B01G0035710.1:cds pep primary_assembly:Fonio_CM05836:2B:42684463:42685039:-1 gene:Dexi2B01G0035710 transcript:Dexi2B01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAYYGGRGRGGPRWFVPGADRGLPWGSAAGSSETLAAAMARRAPPPSAIRRDAVRVAEAAAGEVVLRVHPTVEAERRRQDVIGYLKRLIGSTVGCERSALTGAGYGVFNSSARGGGCAPGKMQNCQLDVRGVGAARLD >Dexi3B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:3B:10787328:10791455:-1 gene:Dexi3B01G0014970 transcript:Dexi3B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSSAERRNVSPLGEKGTVGGKRSAGVGCWIRLCVSPSSSTRAKVDTALCGARASGTALYLALLSERFIDYHNLMFLFMHAEIKGKNDAIQNQPVRQIVPASSSSSNADNISSPSVVADGLTVAFQLRKFTFNELRFATRNFRPESLLGEGGFGRVYKGWIGENGTGSVRPGVGLTVAVKTLNCEGQQGHKEWVDYNAKLSDFGLARDGPIGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLELMTGRRSMDKNRPTGEHNLVEWARPHLKQRQGFHTLMDPKLGGNISMKGAYKVTQLARACLTRDPKARPLMSQVVEILKPLPDLKDMASSSGLYYSLQAEQAARLGYPSGSRSMTPQSSLARNGHQPMRSLSHGPRGHASPYRPQGHGSPYLQLPRSSAK >Dexi9A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:9A:15469970:15474001:-1 gene:Dexi9A01G0020440 transcript:Dexi9A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSVDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINPSARRIIVLAPTAQELMDKLEEYVPYHDRVASTLNWEVAHLDY >Dexi1B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:1B:9208454:9209750:1 gene:Dexi1B01G0009850 transcript:Dexi1B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTSGHHCLFLALLLLSTAVYGQPLSTSFYATTCPSLESIVRTAVTNAIASESRMGASLLRLFFHDCFVQGCDASILLDDTGSFTGEKTAFPNVNSVRGYEVIDQIKTNVEAACPGIVSCADIVALAARDGTFLLGGPTWNVSLGRRDSTTASWSQANSDLPNPASSLATLLAAFGNKGLNATDMTALSGAHTVGFTQCQVYRDRIYNDTNINTPFARLRQSNCPATKGVNDTVLAPLDVQTQVTFDNAYYGDLTKYKGLLHSDQELYNGGSQDALVKKYSSNSAVFFADFVAAMIKMGNISPLTGTDGQIRANCRVVNSS >Dexi5A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:5A:25129749:25130165:-1 gene:Dexi5A01G0021270 transcript:Dexi5A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVATAPPPRRPHRERRHRRKASDAAAAALAAQAAASSYGDVFGGVAASCSIPYLDLPAAVADGAGAAAGGYGEIFGCFDFGDFAVPYEEMLPGADCLAEEIVSPSGSSR >Dexi2A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:2A:3626116:3632052:1 gene:Dexi2A01G0004070 transcript:Dexi2A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDEEIHSLHRSTGHRNAGTGKINPPEQTTTQRLGKHSARCPPRVRHGVSLDRCPDPACDSPTLADGALIEYVIQLRSSLAVLCPAPARTASAACPRSRNKAIHACYARPPARRSPQLGEPRLCTFLRKRRAAWPPSTALNGDRESTATRFLVSRCLLCIDPAMLATQARLTATEDRPSIRSLEVSRDATPHDPQERLAPTRCCNQEEAGRPKP >Dexi3A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:3A:3416373:3418116:-1 gene:Dexi3A01G0005310 transcript:Dexi3A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSLDDSSASTFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPAENKVNIRVQTTGDPAKDVVKDALQDLMVMCQHIRGTFDTAVADFQGNKPAEAMDIDLNKK >Dexi8B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:8B:3458976:3459377:1 gene:Dexi8B01G0003970 transcript:Dexi8B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWTKTKVFRIVLRIATVVFSIWSWIKTTKASQTMQDGTTITSSDYPSFQYSSVSELVSAILQGVAILLEMVGHKKCSKCSTR >Dexi5B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:5B:3849878:3850709:-1 gene:Dexi5B01G0005690 transcript:Dexi5B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGIYFGDAKLLCSAALPATQAHAVLVVPVDTQEAGIWLVHWESQARMSRTGLWALPDPEKPWEWRRKRRIGAM >Dexi6B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:6B:4034094:4037895:-1 gene:Dexi6B01G0004730 transcript:Dexi6B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPATVNNRNPTGLSSIRASQRGPSRAGGRATAGVCYASQAVELLPALCPEIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRIDRYIALLAGFTVPPGCMRTCLVAVNPDPVNGGFDVECGDAKDADFQKYSLSRGSIAGILTIDTVAEYLPRRGHLKHRSYSTSNSNLCRTGIAYIANVAVRKEERRKGIAKMLVQEAEARARSWGCRSMALHCDVNNIAALRLYKNQGFKCIRVPEGARWPEPKIAKGVQYNFMMKLVPKA >Dexi5B01G0023520.1:cds pep primary_assembly:Fonio_CM05836:5B:25651862:25654836:1 gene:Dexi5B01G0023520 transcript:Dexi5B01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHNSVVCRLCVLLLLLVVSTTTLSSAASSSDPFLCTMPSPAPQHVPAGEDALPILRSLELNAGYFTGGEDIHFTKDEHNATSSYSYVSRFFSLLPLHSDRTSNTTLFHVAATLTLFGGRARDYLGDHSRRRHHYVVVQMVTFHFDGYYNSDSGELCMAGSGSYREDDGSNARLLGVVLHLRVPNPSSIMDPFVTGRLRGKDMETISLVAYAENDDTYKYGSESASCPASSQPSATRRGSNDDDDDFSCAYLKGQLVGSYELQHGTPPPLLDLRLQEPSMHIGQVQCTEEGAVRAYVVFSNDTDTTQLWRRRRMQPIIGRRRPPFVVGDEVVVAEGRWNSVRRMLCLRACRARVVREEMSVVVEKEECGIGMSFWFPGVWTMRDRSAIAGMLWNSSQAKVAPGTNDGSSGVISVSRTTSAYIHRSNFSDVKYVYNDTMVEAAKKHYRDLTSELSSYKKIISGSFVPPNYTNHDLEFQFYDTEHGGHGEAYPVTIGSTIVYGDQLAADDSFSRDVVVDMKKGAISSLRDKATDPLFFEKIDIRLYGMFSGQLAESISRMDLESVMLVISTTLPCVFAILQILHVKRRPEASAATSVTMLVVLAMGHVAPMVPSSEALFMSRRRHYYPFAFQNYLPFELSQAMMRAPTLIALLLQLRLIQLALTARKRDTDRTRAEASSAAERRSLWLCLPLYLIGGALTIIFHAMNARREDSLTVRIGPEPATLWEDLVSSAGLALDAFLLPQIAMNALSAGARVRALSPWFYVGGTVVRAMPHVYEVVRGWGYVPSMRASYVYASPRFDRFGVGWDVVVPCVAAMLAVLVFLQQRVRLVPAPLFPAASPRRRLGDYEMVSNL >Dexi3A01G0036290.1:cds pep primary_assembly:Fonio_CM05836:3A:41693352:41696615:1 gene:Dexi3A01G0036290 transcript:Dexi3A01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSVSHGALGSLLGKRGELLTAKYKLLKEAKDHITFLKAELESMHAFMKKISDADEEPDEQDKCWAKEVRELSYDIEDSVSEFMLRVEHDSCQPHGIKGFISRSKKLVTTMKIKHEIAKEFEGLKIRVQEVSERRSRYKMDYPVSKANKTTVDTRLLALHAETASLVGITGPRDQLIQLMDEEGTPPHQLKVFSIVGFGGLGKTTLANEIYRNMKSLRSLYGFDLGNSLDNIKAPRELTNLTDLQIVKRHDYTSDEISREVFHALENLCNLKHLRFNRAHFDASVDEWSSVPVSFIYLHSLHVRFLFFGVPEWIGQLHRLYDLTLTFREVSEDDVGLLARLPSLTHLDLCIEGTPKHTIVIRGGSGLFPVLKHFKVSCSGIPHLNFESGAMPKVEKLKLNFNAQGWDRYGAAPAGVEHLSGLQEIHVNIGCRGVKESNKRAAESALRRVAAMHPGRPVANIKCFVNDRLVIDDGTDETKLEGDGGGSSS >Dexi3A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:3A:3801182:3802184:-1 gene:Dexi3A01G0005860 transcript:Dexi3A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQHDGTRRPIGGVPPPTWAALGGRDHFMVAAKTTWMFRRDPGAGDDGCGNNFLGQPEVANMSVLTYESNIWAPRDIAVPYPSYFHPSSSGEVSTWQSRARAAHRPFLFAFAGARRLKGQLAIRDRVFDVCEASARCGMVDCSHGLEGSITCRTAAKLVGLFTSARFCLQPRGDSFMRRSSIDSVMAGCIPVFFHRASTLEAQYRWHEPEETTGGRRSNGDGDGRRRRYYVLLNSDDVLEGRVDIEEELSRYSDEEVAAMREEVIRMIPRFMYRDPRVRFEGEKMRDAFDITMDGMMDRMRRIKNGEDVALKGEDDSDEAAMAANDS >Dexi4B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:4B:8260969:8261250:1 gene:Dexi4B01G0010880 transcript:Dexi4B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSGQRYRPCYESGGPPSRYSKRTSRASAIRHCGIGPRAPAPTTLPSRLGASRGVADGLGGRKGPPPPWSKRQAPGLASLPPSSHWSRERQG >Dexi5B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:5B:7457687:7461742:-1 gene:Dexi5B01G0010510 transcript:Dexi5B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAGSTTGGGVCPVKSDKSSAGVCPAKSDKSISGVCPITGKGQGSEQKESADHAEEKGSDPRMVPAKCPFGYDSNTFKLGPLSCIICQALLHESSKCKPCAHKFCKACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSHAAGDMEAAGGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLTMCADDIREELKSSEDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAAFLSKLPTKDLELVHTLSVSLNKIGDLRYYDGDLQSARNYYARSLDVRRNAVKEHSSVASQVIDLATSLAKVADVDRNLGNESAAVEGFEEAIQCLEKLKLDSEQANLEQRRLSVLDFLHNQLADK >Dexi8B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:8B:1595235:1595610:1 gene:Dexi8B01G0002330 transcript:Dexi8B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVILGRINELLQHILRELDGDMTAAAILRIKELLRQIVGELERAGLHRLSSTRTAGPDYVDLSDGRFVPASSEAMARLRETTAEETREDEECASYEEGVEISAMPCSHEFHDGCIQR >Dexi5B01G0030620.1:cds pep primary_assembly:Fonio_CM05836:5B:31570408:31573393:-1 gene:Dexi5B01G0030620 transcript:Dexi5B01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSSAQPPSATASGDRLAVIASHLSHSCLGRPATHMAGEKEAALAAEPIDGPTIFDKIIRKEIPSQVVYEDQKVLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEALGSLLYAAKVVAKQEGLDDGFRIVINDGPKGCQSVYHLDVHLLGGRQMNWPPG >Dexi5A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:5A:4113097:4113825:-1 gene:Dexi5A01G0005530 transcript:Dexi5A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGKDMEDSLQLKHEGGSKVFSKLFTRESSAAAPSFRVYYGVASAGSVPFMWESQPGTPKNDAISTATLPPLTPPPSYYTAAHSHRRSSASAVPSGAGGGKKHSTTKQYMSFLSTILPKIILHRRRSGRSSSSSSGSPSAASSCSSSSSSAFSSVSFRSAQSPAACSSMRRRVYAFSVGDAGDGEEEERRPAATCFSGVRHESFRAFKGSRVAMTVKSALASVGGHGHHGPTAATGQKV >Dexi1B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:1B:23050409:23051423:1 gene:Dexi1B01G0016540 transcript:Dexi1B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSRTAWIAGGLIARLLMIAFLAMTVQLTVNNQTKFKYEDDFYKLESYSYTVAVASIGMAGSLLQIPVSVYLLCKSKRMTPSALVLDISMYADVDFIHYYNKAFYPVVFLLAGMVLSMAATVASARLRARAANEDADV >Dexi6A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:6A:25783615:25784570:-1 gene:Dexi6A01G0017950 transcript:Dexi6A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLNASILLSAKACDSSDLAALLKIKKQLGNPPDLSKWLANTGCCTWLQALGDLSMLETIQLRRIPGLYGSIPSTLDKLSRLVLLQISDSSVSGPIPEFLLKMNLSALAITNSKLTGSIPQFLSHLPNLRYINLSGNKLTGSIPPGLLHASFRVLILSNNHLTGEIPEGYGNDDIDTIDLSHSQLTGDPSFLFSTTKPTTKIDLSLNMLEFDMTQVRFPYHMTYLDLSHNHIKGEVAKSLRDINLEYFNVSYNELCGEIPSGRFMASHGTDSYLHNKCLCGTPLPPCKKW >Dexi5A01G0030400.1:cds pep primary_assembly:Fonio_CM05836:5A:33367665:33368947:1 gene:Dexi5A01G0030400 transcript:Dexi5A01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPARSSSTSVLAVAAVAVALAFAMAAAQGPAAAPGPAPGISDECLNAVLNMSDCLSYVTNGSTVRHPDKPCCPELAGLLESHPVCLCQLLAGGAESYGVSVDYKRALALPGICRLTAPPVSACAAFGSPIPAGLVPTAAPSPMSSSGLSPSISPEVPANTPAGSAAKSTNHAPGRVTAGGLVALASLPLAVAAGMML >Dexi2B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:2B:7810891:7812485:1 gene:Dexi2B01G0007550 transcript:Dexi2B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAQRTRNGAAAIVDGHGAPPPPHRRRRVLLFPLPFQGHIDPMMHLAGVLHGRGLAITVLHTRFNALSATRHPEFRLVEVPDGVPPEVAGEGKILDVILAMNAAMEASPAAVRDALATAALAGADEDDRDAGAACLFIDANLLAAHKVAAALGLPTMVLRTGSAACMNCFLAYPMLHDKGYLPPQESQLYMPVPELPPLRVKDLIFSKVSSSHELLRQVITRNTQTVRTCSGVVINTLEALEATELERLRGELDHLPVVLAAGPLHKLSSMTSGSSLLDQDHTCISWLDTQPTRSVLYVSFGSLAAMDPGEFVEVAWGLANSGHPFLWVVRPKLVRGCDGGSSTTTMTATLLPEGFEDAVKGRGMVIRWAPQQEVLAHRAVGGFWTHSGWNSTLESVGEGVPMMCRPEAVDQTMNARYVEHVWGVGFEMEGEMERGRIVDAIGRLMGEEGDEMRERAEVLRRRVEAECVGSSGSSQMAVDKLVDYIFSL >Dexi3B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:3B:2781216:2781816:-1 gene:Dexi3B01G0004010 transcript:Dexi3B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKARLAAVALLLLLTVAAPAAARVGPAAVPPLAARLHAFSAALNDGGEAGGGFVECWDALTRLGSCTSEIVLFFVNGESYIGPECCVAIRGATRHCWPSMLAAAGFTAEEADVLRGFCDAEVAKQLPPAGPVPAPGKP >Dexi4B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:4B:7431715:7434051:1 gene:Dexi4B01G0010150 transcript:Dexi4B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCCSTAAGRGFFLSRRPLLNLRTTATVSRVAAAATATSSLSAPQQRQVAVYVEALLDWNQRMNLTAVTDEAEVMTRHVEDSLAVLPPLERAYRSRSVTGGGDIDGISLIDVGSGAGLPGLILAVARPSWRFTLLESMKKRCTFLEHAVEAMGLSNVDVVCDRAENVGQSLDYREAYDITAARAVAELKVLAEYCLPLVRIGGLFIAAKGHDPHEEVKNAKGAVQKLGASMLEMCNVESMGPHGQRTAVIYLKERATPRKYPRLPGTPSKMPL >Dexi3B01G0033700.1:cds pep primary_assembly:Fonio_CM05836:3B:36534251:36539414:-1 gene:Dexi3B01G0033700 transcript:Dexi3B01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVLFVPEAILGSPSGAGAVTAAKLAHLLSFATSWGAALWATFIGGIIMFKNLPRHMFGNLQSKMFPAYFTLISACAALSVAAFAYLHPWKTASTVERYQLGFLLSALGCNLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQQVAKSNPTLKAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKIEL >Dexi9A01G0044220.1:cds pep primary_assembly:Fonio_CM05836:9A:47833614:47838188:1 gene:Dexi9A01G0044220 transcript:Dexi9A01G0044220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALASAAAIADQRQKIEQYRHILASVLSSSPPDISQAKRFLSHMVSDEVPLVVSRQLLQTFAQDLGKLESDAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLAKCVQIARLYLEDDDAVNAEAFINKASFLVTNSQQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRKIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDRSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQVSFAV >Dexi3B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:3B:14110290:14111055:-1 gene:Dexi3B01G0019020 transcript:Dexi3B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPCRWRRRSCGARFPDACRFPAGVAPERPADRSPAARDAAAAAGRLGCHVHAIARNYGALTAQNRQSLLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMSVALFFLCSSIGASGAQRTVFYGQYKCTGPGANYAGRVQWSRELTDEEAKPFISLDFIDGLQWIRL >Dexi1B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:1B:2487737:2491105:1 gene:Dexi1B01G0003070 transcript:Dexi1B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARLAARSRQLYSAQAAFVNGGATQVRSFAKDAAPADRPPVSGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVREKAELLSESQKIKHTIDTFTRGIPDARTYLNTLQEIRVKSGIEDHLNIEPLMMEALDKIEKDIKKPLLRSDKKNMATLVAEFDKINKKLGFRKEDLPKIEEELELDIAKSQLTELKKECIEAMETQLKREEFKDEEMPDVKKLDIRNFL >Dexi4A01G0024570.1:cds pep primary_assembly:Fonio_CM05836:4A:27288818:27291512:1 gene:Dexi4A01G0024570 transcript:Dexi4A01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDERRRLRSLAFSNGLLQRGDPPAPRAPLAPSAAVARLQGRDIVRRGGQRKSRFLFSFPGLLAPAASAGRLGELADLGTKNPVLYLEFPKGRMKLFGTHVYPKNKYLTLQMTRSAKGVFCEDVFESLIVFSEAWWVGTKEENPEELRLEFPKELQNDGVAADCDFKGGAGATIDETTGNKAAKEITEPLSPKLQSDGDASDGSDRDDGNGTQTTSGTPSVRQSARNAGKALKKYTDLSSGDDSSSSDNEMKVAEELDDKEALEEMESPAVKIESQSEDIKPSDSSARPFASKKEPLVQATLSSMFKKAEEKKRSTRSPRGSPATKGPAAKKQRASPMAKQPAGTKKASRTRRKQTAKVLFSEIPLLVALVEEDEIEELSSSPSQV >Dexi9A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:9A:3538051:3540390:1 gene:Dexi9A01G0006300 transcript:Dexi9A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLFLGVRFILKLSFDVTRYRDVLFAVIISSYFKLFLFTMMVWEFPSSVILIVEMFVLSSNVVALRVVSQFPKAHCFGVCFMAHAAKYLTETWILRKP >Dexi4A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:4A:7810096:7811446:1 gene:Dexi4A01G0009880 transcript:Dexi4A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVRPVLEKVVTDVYTALSPRTMVVADLGCSSGPNTLHFVSDVIRVTGDCCSRACRDPPELQFFLNDMPGNDFNSLFRSLDAQRKNTAASMPPHYVVGLPGSFYTRLFPERSVHFFHSSYCLMWLSQLPKELQVNSKIHLNEGNIYLRTTTSPSVVQMYQEQFQKDFLLFIKLRAKELGIVEKARLDSFNLPIYGPSVDEVVAAVKQSEVYDINHIQLFESNWDPYDDSEGDFVVDTVQSGINVAKCLRAVMEPLLVRHFGEYILDELFERDAFNVAKHLEREKTRYSVIVVSLRSRP >Dexi6B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:6B:22921163:22923984:1 gene:Dexi6B01G0015620 transcript:Dexi6B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQVELSHLDGRDAKPGRTDSAEEVDDCPIEEVRLTVPITDNPALPALTFRTWFLGLISCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKAVKIWGTKWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISLACLIWKNSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIMNIMAGFFLIVYVIVPVAYWTDAYGAKRFPIISSHVFMANGSRYDVNKVLDASTFQFSQAGYDGAGQINLSIFFSFTYGLSFATLAATLSHVALYHGRSIWEQTKATVRAQTGDVHTRLMKKNYAAVPQWWFQVMLVLVLGLSIFTCEGFGRQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVPNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPFWALSRAFPGSKWAPWLRLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKAWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGVNGVDWWGLQVDDHCALARCPTAPGVQAPGCPVR >Dexi1B01G0022560.1:cds pep primary_assembly:Fonio_CM05836:1B:28283564:28284523:1 gene:Dexi1B01G0022560 transcript:Dexi1B01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLPGELCLKIFQLLDHQSLASAPQVCRKWRALTSDDELWRKLFNDRWGADAAAFYAPEGSKTWKDVFIVQDRCDRYGLGVRIIREGKDYYLIYQGEIQRYLGSRQGTDGDAGKDAPRHDAEGDQRQISNRILFFLGDLEAACADAKRVKA >Dexi1B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:1B:2015881:2017439:1 gene:Dexi1B01G0002510 transcript:Dexi1B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSSSGDAAAAALELQESGWEELRREARKLEGDLDVKLSSYARVAARSSSAASGAASPTADRSSWKSMEFEIQSLLGKLQDLNDAMSRCAASAAPTTSVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPIIRGLLGAIKRKKSKDTIILSAVIAACTMFLIIYWLSK >Dexi3A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:3A:15252883:15253369:-1 gene:Dexi3A01G0019440 transcript:Dexi3A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICAATLFAVARPSQVHGLPQLRARGEKVRCGASSKQQDSNGKAPAATATAGASLLAAASAMTAASPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDEDSGLSL >Dexi1A01G0030940.1:cds pep primary_assembly:Fonio_CM05836:1A:36115677:36119670:-1 gene:Dexi1A01G0030940 transcript:Dexi1A01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPTARTGPPPTPQGGGLATPLRTPASKHRLHFAAATPKTTHGGGAGAATEHPVEVIGRIRNLSSSGGASSALEIAGGGTAVRVRGDAGGCRDFTLDGASVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCPKQPGIVYRALRDILEGGAGEGDGSSGGGGEDDAGFGAGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKQARLEVMGKKAKNATYICGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQVCDASATDSCAYAGSMCSNCPANEIAAPYFIQYSTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYKLQKENKLREKERNEAQNVLRLKEEELTQLRAKLMLIEGQEKAAKEEEINSRVMEKTQTLRNELMKMEEAMLRQQEELTALKQRLQEVESEKTDTRQPVQQDFIGGRLLARLSEMPAGLDQSMSMVMSMELDTGDLPQDVKIIKEDTRHQGHIWNHSTTAGVCTGAAVQEDGVRLSGYPEKLVLSTVFEEGDEEDAERDSGLEEVCKEVVEESFKVDKTQNALVEPEDSATRKHRIENIFRLCGNHRELAKKPKIQLPENEVFGDENRSPAKYAFGEEGKSPAKQVFGAEKSLAKQPFADENKEPTAWGAIETPMCDVKVADSPVSSQLSPIVCQAVYEPLSEQLKSCNTVEESDQNKENSFACQEQQDGLLDVYIKWESGNLIKGLKLLQNSCLSDLRKLIEAHFKEAGGKQHHEFTFLLLKDPSGAPVSREKEATVQITKLPHWNDHPESYLACLRAAKKSTVDHMPFSPLDSKLNSVVKDAHLAAGVLSPKVNQMSPNYNREMRA >Dexi5A01G0039170.1:cds pep primary_assembly:Fonio_CM05836:5A:39885087:39885621:1 gene:Dexi5A01G0039170 transcript:Dexi5A01G0039170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVVLRMDMHCARCARRIRKFVKSLSGVPDLWVSPDTGMMWWIQSKTGRLVDVVNDGAAEEFPPETGQMVHLGPTPAYSSYPYPYAGYGGGGGWVPSQHAFPYMAHGDVRRQYMPNEAPVCFNDDNPNGCCTMQ >Dexi2B01G0030180.1:cds pep primary_assembly:Fonio_CM05836:2B:38433859:38436620:-1 gene:Dexi2B01G0030180 transcript:Dexi2B01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPVVSDMGAWAMNVVSSVGIIMANKQLMSSSGYAFAFGTLPATRLLPPPLISSSSSTAGRDRIWATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAISLIILGPFVDYYLNGRSLLKYEFSGGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVEAEKKAVTPIPRNKSDMLDGEDVPLKARVSGLPSVDLEEGEMKS >Dexi3B01G0031280.1:cds pep primary_assembly:Fonio_CM05836:3B:32398947:32403561:1 gene:Dexi3B01G0031280 transcript:Dexi3B01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIERTGKGPKRASHSPPRFVPERSDPFRISLAAAAAASPRLPCVRSWAAGPRSSAPPQQPRGRRRGLPSLRSAASSTPSAAASFFKCIYLQNIPAFCSSGYCTLIAPSNEVLIPPELLSSQTVWTPERKIGQYEDLVARVTNFHNEDKGYMVLDGDVFEVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNIVNYIRQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIIERMHTTINR >Dexi9A01G0026940.1:cds pep primary_assembly:Fonio_CM05836:9A:31130070:31133048:-1 gene:Dexi9A01G0026940 transcript:Dexi9A01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSSCGADRRMAMPAVALSLGKPMPRIGFGTATATLGQAEGRSGATEAILNALSAGYRHFDTAAANLQMEYVDLYLIHFPVSMRPPEDDGSNVLVKENLVEMDMKGVWKEMEECHRLGLARAIGVSNFSCKKLENLLFFAKIPPAANQVEVHPYCRQNKLRAFCKVKGIQLCAYSPLGGKGAVWANNSVMDCPVLKQIACEKGKSVAQVCIRWVFEQGDCVIVKSFNEQRMRENLDIFSWELSDDDKHRISALPESRGTYDFFVHESGPYKTADDFWDGEIVAGQSTGQTAVSSNPTN >Dexi4B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:4B:2557952:2558694:-1 gene:Dexi4B01G0003650 transcript:Dexi4B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEDLTRVLAELGARLSGPPAVGAAASAGDALSASISSLAATLNPNGGRGGASSGTQVLDAALSLMCFDPIEARIPPRRVNKARVDFLVRTLVSALSASVSCRVVRPDGGAVEEMLCVGSSVSPGDCRELLRSCKAFL >Dexi9B01G0031010.1:cds pep primary_assembly:Fonio_CM05836:9B:33469518:33471576:1 gene:Dexi9B01G0031010 transcript:Dexi9B01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYRTAAEAEAALGRAMTWPEAAWFRYSAAMPDYCLYYHTVFILLVVYTLAPLPLALLELWAPAKKLTLRCKVQPRVHRTPADFIRCYKDTVSMLVPTAGALHLVSYPVIKTMAQLVVYFLVEDYLAYWFHRLLHTSWGYDKIHYVHHEYAAPMGFAAPYSHWADLIILGSAAFAGPALVPCHVTTFWLWYVLSALETIDKHSGFNFPFNLANFIPFYGGAEYHDYHHYVGRQSKGNFAFVFTFCDYIYGTDKGYRCYKASLAKGYRYHKMSLAKVMI >Dexi5B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:5B:625061:626638:-1 gene:Dexi5B01G0000980 transcript:Dexi5B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAFAALLLVALLSLLFFATVRNRKPSDSDGRRRRLPPSPPGLPILGHLPLLGPLPHRKLHAMAASHGPVMLLRLGRVPTVVASSAAAAEEVMRTHDLAFASRPRMRMAERLVYGRDMAFVPYGDYWRQARRVCVLHLLSHRRVQSFRHAREQEAAAMVARVRRRAGEDAAAVNVTALIISYTNGVISRAAFGDDRSFGDDGGEELTKLFADFEELLGTVTIGDFVPWLAWIDKLTGLDAKAARTSAQMDALLERIISDHRQRRRRDGRRRREEDDGHHRDFVDVMLDVNEEAAAQQENNYGGVVVTFDDVAIKAIVLDMFAAATDTTYTTLVWAMAELINHPDEMRKVQDEVRAAVVSGDGVTEDHLPKLRYLKCVIKETFRLRTPLPLLLPRETMVDTELLGYHVPASSRVIVNAWAIARDPSTWDRPEEFLPERFAGDDMTADYLLGHDFRFVPFGAGRRGCPGVGFAVPSMELALASLLYHFDWELPVGVGSKVEMDELNGLSVRLKATLHLVAKPWDP >Dexi2A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:2A:2232724:2233362:-1 gene:Dexi2A01G0002760 transcript:Dexi2A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDNCVHACLFNVYAEGGGAKNGIGTPGMEGIGGSVSLGTPAGIGGKVTLGTVGIGGIVTFGTAGTPAGIGGSAAAAFGMAAGTAGIAGTAGMGGKVVAAGIAGIAGMGGKLAAAGIAGTWGTAGTVGIGGRATAGTVVTGGFGTAGMPGMAAGAAAGVVSARRRAAWLGVLPASRSAMTSAVAKRGEPEAMDDQTLMRSMTQVTEKKAGY >Dexi2A01G0035770.1:cds pep primary_assembly:Fonio_CM05836:2A:45312984:45319865:-1 gene:Dexi2A01G0035770 transcript:Dexi2A01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPPSLRDLERDADDEPSLRPLNPVAVATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDVIYSIVRDFKSLPPGLKFNLVEALRSNLSVLLPNIDSLSRASMPSPSDATPISDRIASHRNALKIYSFFLLSIVLTEESAAESCTGAKVTAHGRKKNPVYTWNWEAQRGRIMNLISNSLEADLSLLFGPGATDEQYLSFISKCTFVLYENQNVLKDEATRNGLCRIIGAIATKHQRISQISASVLHLIHKFDFTVAHLAETVASAEKKFGDGSLAISLIREIGRTDPKDYARDSAGADNVGRFLVELAERLPKLMSTNIGVLVPHFGGDSYKIRNALVGVLGKLVAKAFKDVEGDNNARLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENSISIGHWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRIATFEGTLEKYKERLQGMEPPNPDEDEPVNDSSLGEVLMGQNDSVSDSCVADSQDQNDPDPTIVEITNLEQIRALVASLEAGLRFSKCITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTRKSPTETAKSLLNLAIDCSIGDLAALESLVSSLVSKAEISSSMVNSSFPLQFAFATTLNATTLFQLKKIQKQKRKNENSQPTTEDPQLDAAKRSEAQGINAELGLGATIDIAIESLAERAEKEIVCCSSEKNLIGHCGPFLSKLCRNLALLQKFPELQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSDIVRSNCTIALGDLVVRFPNLLEPWTEYIYARLRDPSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDQDDRISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLNEETFCNIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGMKKLIDNFKMFEHGLSEDSVMNHFRTVVSKCKKFAKPELKVCIEEFEDKLSKVHQEKKEQEATTKNAEAHRQRVGSLDEFLASKEVAHSSGHSVEEETSEVVDPSMDNSTENKENMPECSDNISTENSPESTTFPESEGGGEEIQSTQTVRKGLSRSRVKKTRDPAVEDSADSAPVRPSTKR >Dexi4B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:4B:9349972:9351202:1 gene:Dexi4B01G0011810 transcript:Dexi4B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAAMRAVLEVPGVTGQEVLRLPCDAANAKDAVTGLVRRIITTGDPPVTSAFNVLDLGKVAELFAAWRRGLKGVPPYYAVKCNSNPALLGALAALGSRFDCASPAEMDAVLALGVTADRIIYANPCKPESHIAYAASVGVGVATFDSVEELRKIKRFHPGCKLLLRLKVPDAGDAARWDLGTKYGALEDEVAPLLLAAQSAGLAVWGVAFHVGSEVSRVGVYDAAVQAARTAFAAAAALGMPRMHVLDIGGGFAAASFKDACAVINAALARHFGDMPDVEVIGEPGRYFAETPFALAARVFGKRARGETRHLSTVFGPTCDSLDVVVQGYPLPELGIGDWLVFHDVGAYTTELSCNFNGFSAAEM >Dexi2A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:2A:11819514:11819837:1 gene:Dexi2A01G0010650 transcript:Dexi2A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPASSTALPVSGGSRRRIHHRRLDHPRAKSSPVLAPAASTALPVSGGSRRRIRRRRLDHSHAKSSSVLAPAASTAQPGILRHPAPAALPRHLHSAAVSSTTTAGR >Dexi9B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:9B:1568738:1571314:-1 gene:Dexi9B01G0002830 transcript:Dexi9B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGGPDWNGLLKWSLAHGDGTNPPRALSEEDRKWFMEAMQANTIDVVKRMKEITQVMKTPEDVLESQGVTPENIEALNLIQYLLHNDKTDRSIATELGLPKLMMHLASSDDSLVREAALSGLLELAQDKTSGNSLPDQDKLKDILKTRIEGISIMDKDDLHAAREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVAGKMFEPPLRAWAAARPAPKDDSDAGSEKKDAPPLLLGPGPSSNSNS >Dexi9B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:9B:12645105:12646938:-1 gene:Dexi9B01G0017880 transcript:Dexi9B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVLRQFKISNNSEMGGVIGGESPRNSSPASKLERKMVEAMKQRALKGTSVKSFNSVIMKFPKIDESLRNCKTIFQQFDEDSNGEIDQQELKHCFQNLGIESTDDEIKDLFQACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGSLEPTFETLVESFVFLDKNKDGYVSKSEMIQSINETTAGERSSGRIGVKRFGELLSSQGILSSHNLMPPNFYSVTMLAYFVQSSFL >Dexi9A01G0045840.1:cds pep primary_assembly:Fonio_CM05836:9A:49349024:49349811:1 gene:Dexi9A01G0045840 transcript:Dexi9A01G0045840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLAAIGQPLSPCPALSACRASALAIGSGLRFEFPRPRHPDVRCAYPRIEATARRGARTENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAVLADDHNKKILFYGSTLQKSICGDPPCSTVEAARKVGEELVRVCEELGISEISSYDRNGFARGEKMMAFEVPVSQHGFLPR >Dexi9B01G0034790.1:cds pep primary_assembly:Fonio_CM05836:9B:36665202:36669701:1 gene:Dexi9B01G0034790 transcript:Dexi9B01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASPAFSSNRRKRHLDASPQPRHFHGPQSPIRLSQRLRRLLHFSPFDMGNFLSGLLGGRQHKDEGLGLYKSWVGGQSRDLTVATEVEAGAAPHLLERKVDSRKAVEAAKRPLWQKRPPFYKEARERARQGDPRLEEISINVRILEQSLAEIQKADKTAKKDLSELFKPLTDAEENEVYGCFTGGPSSKVLVLHEPSNIEITKEKFQCLRPRCWLNDELAKNGYDYKSVKRWTTRRKLGYELIDCDKIFVPVHKDVHWCLAIINMKENTFQYLDSLGGMDHNVTNILAQYITEEVKEKSSKAIDARLWREEIVDIPLQQNGWDCGMFMLKYIDFHSRGLSLDFSQNDT >Dexi1A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:1A:26585509:26586281:-1 gene:Dexi1A01G0019500 transcript:Dexi1A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHVEFLRAQPAWALALAAVGLLVALRAAIRLALWVYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRFAAAGLGLVLVGRNPEKLAAVAAEIKAKHPKVPEVRTFVLDFAGEGLAEGVEALKESIRGLDVGVLVNNAGLSYPYARYFHEVDEELMRNLIRINVEGVTRVTHAVLPGMVERKRGAIVNMGSGSASVLPSDPLYAVYASTKALR >Dexi9B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:9B:3726629:3736406:1 gene:Dexi9B01G0006300 transcript:Dexi9B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAAALAQAASSDPPPEPGATARPNGAADEEGTAPAGVAAAAAGPFPPQQDGDVDEEDAAASPVGAAGERCRAMMEVVAKDGAGGKWKVSKLVVEHNHELQVAPGEVAATVPALGMEFDSADAAKEFYYGYGERVGFKARTGSNRRSVGDGEKIMQRFLCWRGNYANRKGKGKDSDEGKETEEVAEAAADAGKRKREPYKTRSRNPGKKDAEVIEAEKGVGMGGVENGLEVQNVRGSRRGRSKKGMVEQDGESAAGLEVEKDVVEGEAAAAASDDEEDQGGVEEEVQVEVKRGRGRPRKAVTEENTQQARVLRELGVRASQYNNEERKKILNKYLSKRQSRPASSRPTKPSRQPSERRSKRLEKQNLKMEEKAESKEDEIIEAEPDPEVEVVAGPGGEPKIGMVFLNEDKAYEFYANYAGAEGFTKASESNEAYAFLENQYEQLVEQVESEVNNSEPRRVTAKKNKNVEPRRQQQSPLDSSLLEPEEIEIPARALPPTVSNDIPNHLRTPTNQFLAPSHIMQAPYVAQQFGLGSLQGFPGMSPFGQAPPPDMQALQFLSSNPQLGHQTTDQGQYTIPVWDFL >Dexi5B01G0027880.1:cds pep primary_assembly:Fonio_CM05836:5B:29397674:29399007:-1 gene:Dexi5B01G0027880 transcript:Dexi5B01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAGEAGMKQPGAAASTSGAAAPFGRSSSRLGAPGAESFDGALRELKDLRSQLHEAADCCEKSFLKTEKKKLVLEGTKSYICDAVVAVIDHLGTVSSKLEHKLHEKTDLTQAERKINFLKQIAMVVP >DexiUA01G0007930.1:cds pep primary_assembly:Fonio_CM05836:UA:14816467:14820188:1 gene:DexiUA01G0007930 transcript:DexiUA01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGGLRPRHLLTLLAAAALYAVSSPAGAFYLPGVAPRDIQKDDELQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKIVCRRKLSQEASKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDQTSPDARIVGFHVIPSSIKHEYGAWDDNNPTAQTCNANIKITPGSHTPQEVAPDAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFAVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >Dexi2B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:2B:26289401:26291731:-1 gene:Dexi2B01G0016110 transcript:Dexi2B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLVLAALLLLRAAWVTLSCYLLTPLRIRRIMARQGVHGPPPRLLVGNLRDVSALAAQATADDMPSLTHDIVARLMPHYVLWSKIYGKLFVYWYGSEPRLCLTDAAMIKEFLSSKYAANATGKSWMQREGTKHFIGRGLLMANGARWSHQRHVVAPAFMADKLKGRVGHMVECTKQTIRALREAAATPSARRREVDVAAHMTRLTGDIISRTEFDTSYETGKRIFHLLEDLQRLTARSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLTESIGRSREIADEGRTTSAYGRGLLAMLLSEMEGSKKDGAKFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHHEWQEKARAEVARVCGDGPPSYDDLSKLTVLQMIIHETLRLYPPATLLPRMVFEDIHLTGGLHLPAGLSVWIPVLAIHHDESIWGPDAHEFKPERFAAGRRPAFLPFASGPRNCVGQAYALVEAKVVLAMLLAHFRFAISDDYRHAPVNVLTLRPKHGVPVHLRPLRP >Dexi5B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:5B:4433853:4438935:-1 gene:Dexi5B01G0006610 transcript:Dexi5B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQNLQTKKDEKATTTTTTTLLTMKLLVDTKSQRVLFAEAGKDVVDFLLSILALPVVTAVKLLGEDAMVGCVGSLYASVDKLDATCLLPGVPKGALLRPTVASPAVYGSGAGASLLLSALEPAPEQQQQQPKSFFKYSYQLDRCHDYVTDVRGASCPICHHPMSYELRYVEPVAELDDVLVDKKVEEEDETAAAAAKGFVQGQGVVMYTVTDDLAVSPITFALTTDDLAALEEMTVQLGHAEGLEILKASLQSKTVLTDVFLAKKDQGCTLPTRSAVGKKFLAGGLHSALHAAPPPMCAAWTDHVSNGGPEETSPAASRENRSSHPVTAAVPQSPDHRPPFLFLSGRIRSVRLLDLVVDSKGTQPATQPRFILTRKPVAAQADELLLTRAALPVATEAAAPPQNPPRRHQESPLPHMPLTSGSSRRLQERCPSCARPPGRIRRRRHLVKAFPVKINQERLNETAFGLIVHIFKSRLISTCVYCLPLDTWSVHAAHIGEALLQGIFFRAVACGSACFGRSALASRLSCARLKGYGRRRSWVAFDAPATAIPAEAHGDGARGQRVFSGGSCVYGAPAVTSVNGSIPSASDTDVALRTRGPLTAPPPCDRAAPTMASGTTDPTMKIFINAEREVLFAVANQEALDFLYALLVFPDTAVSPDDATEHGCIDDLVDTSDEVRYLDGRPPSPVPVTVLQEEQKPRQARRFFLCWRRRGNGCDSYIAARSGLRCPSCGGKMDAEAPPGAPGAGGSGDAAAATAALAAGAMVLMDDLSLGPTLALLIVGHATLEEATVRLGRKEPCRATSGCRAKYPVPLGTTARHAYIGPLSVAESAAAASRHGRLAAVEADLPPLRCANRASDEPLPRLDLGVDAREEREGRGLKGGAAMAKRGRPTLGRERGNAEGGGGPAQTRHRDGGDSAIEIRSRRTDGYERWGD >Dexi5B01G0026270.1:cds pep primary_assembly:Fonio_CM05836:5B:28044312:28047177:-1 gene:Dexi5B01G0026270 transcript:Dexi5B01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARPKRPTTARLRRVQRKLNSRFSRPAFHSSIHRFLFTSIPPPILSSSLALLVGDGWRALCCGGGFVGATVRGTTTDSSVFVQSSQLAKSEMASGAAEKGKALVDGSESAAESAARWAREWSTWAMKKAKVVAHYGFIPFVILVGMNSEPKPRLAQLLSPI >Dexi2B01G0030230.1:cds pep primary_assembly:Fonio_CM05836:2B:38480801:38481741:1 gene:Dexi2B01G0030230 transcript:Dexi2B01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYDELFPSAYVDSSSSLLVPNGAPQGDRPRTRRRRRRAARCGGADGGEVDGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDANILHKCHLENELMRVKERLEVAEEELTRLRSAGSHAVSGDGGDVMDRVVCSGSPSSSFSTGTCQQPGGDHLGDDDLLYVPEYAYADNSVVEWFSLYGLM >Dexi2B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:2B:6453764:6455322:1 gene:Dexi2B01G0006530 transcript:Dexi2B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMVFYFSSTLLLFTLSSVLADEDISAIPLRGRSLESKKVFNVLGYGAHGDGHHDDTKAITITWAAACSASQPAAMLIPRGQRYLINHVTLSGPCKSSIMLMIEGTLMAPPKGPHWIKKTNRHWIMFSDVNGLTITGGGTGTIDGNGKTWWQNSCKINSRLPCKQAPTALTFYSCKNLKFENVKLVNSQQIHISIEDCRHVKIARLSITAPATSPNTDGIHVTRSKHVQVTDCRIKTGDDCMSIEDGTKNLHVKNIVCGPGHGISIGSLGDHGSRAHVVNVTVDTAWLYCTMNGARIKTWQGGRGYAKNIVFKNIVMGNVRNPIIIDQNYCDSATPCREQKSAVEVSNVLFKNITGTSASKEAIKLRCSTSVPCYSIALENVKLTLKGGDVVAESTCENAKWRKSGAVSPQPCTLGN >Dexi5B01G0035200.1:cds pep primary_assembly:Fonio_CM05836:5B:35262152:35265244:-1 gene:Dexi5B01G0035200 transcript:Dexi5B01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGVKIPIIDDLTGANKNAHLKGNVVLMRKTVLDLDVTSIAGSVIDGVSEFLGRGVTCQLISSTVVDPNNGNRGKVGTEASLEQWLLNPPPLLAGENQFRVTFNWEVEKHGVPGAIIVKNNHASEFFLKTITLDNVPGHGTIVFVANSWIYPQFKYRYNRVFFTNDTYLPSQMPEALKPYRDDELRNLRGDDQQGPYQAHDRVYRYDVYNDLGEPDSGNPRPTLGGSKDHPYPRRGRTGRKSTPTDPNAESRLTLLDDNVYVPRDERFGHIKSSDFLGYSIKALVDGIVPALKGYIGLEFNSFKDILQLYEGGIKIPDVPALEAIRKQFPLQVIKDIMPVGGDFLLKLPMPKIIKEDKKAWMTDDEFAREILAGVNPMIIKRLTEFPPKSTLDPSKYGDHTSTITEAHICKSLEGLTVQQALDGNRLYILDHHDHYMPYLVAINSLDDNFIYATRTLLFLRCDGTLAPVAIELSLPELKNGLTTAKSAVYTPTSNTGVEAWVWHLAKAYVSVNDYCWHQGISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLYPHYRDTMNINALARQKLINAGGIFELTVFPRKYALEISSKVYGSWSFADQALPNDLIRRGMAVEDPSSPYKVKLLIEDYPYASDGLAIWNAIEQWVSEYLAIYYPNDGVLQSDVELQAWWKEAREVGHADLKDEPWWPKMQTVSELTRACTTIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPAPGTKEYAELERDPEKFFVRSITSQFQAVVGISLLEILSTHSSDEVYLGQRDTKEWTSDAKAQEVFKRFGERLKEIEKRVEAMNADPKFKNRNSPAKFPYTLLFPNASNKENAGITARGIPNSISI >Dexi9A01G0045080.1:cds pep primary_assembly:Fonio_CM05836:9A:48703697:48704683:-1 gene:Dexi9A01G0045080 transcript:Dexi9A01G0045080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYKDFVGIDVFSDVGTKDAILLAAGAVVQACHEMATNKCLSAYAIVRPPGHHAKRAMANGFCFMNNVAIGARYLQMEHVSFFNVKKILLVDFDVHHGDGTQDIFYDDDSVMFISVHSSEIFPKDSLKEAEHIGDKKGKGHNVNVNLPINFEDDDLSAVWDYVVLPLAMQFEPEIILVSAGFDAGISFVLF >Dexi4B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:4B:15664024:15664965:1 gene:Dexi4B01G0014550 transcript:Dexi4B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPDHSGDGPGLPSTNSSNFTLLYIIIAVLLSVILYMAIRYSRSVMAEWRQLQAGGHAGPGPGLSVDDIAALPTFTYHARATSASASPSPSPIGGRRSRSKGRATPGAVECVVCLQELEDGDVVRVLPACRHFFHDRCIDAWLCAHSSCPVCRAHPEPERARLLEGFLTPPLPQLRRCGLSPERPTASSVLKDILARSPLRSGSKDMVVSKSPSPRIQFGSRSPSPTPPVYGGVCDRCSNSSPQGMSEIVVVPSKSPSPMRFSTSRQLSARSIGTLESVEVITPASPSPVLIREDGGGSLSKSKPPSPSPH >Dexi9B01G0027490.1:cds pep primary_assembly:Fonio_CM05836:9B:29946417:29978327:1 gene:Dexi9B01G0027490 transcript:Dexi9B01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLNKEALKISVWRAEPATQVEGCSEDAVQEAKRSRVREMEIKLLERQQQLKSELNSSWLGSFISTVIGNIKLSIGNIHIRYEDVESNPGHPFAAGLVLSKLSAVTVDDLGKETFATGGDLDRVKKSVELESLAMYFNSDSSPWTVDKPWEDLVPSEWTQDGYRDILKMADNFSSFNQRLRYAHLGPSLPVKSDPRAWWKYAYKVVTQEIKKSSGSLSWEQLLRNARLRKTYVSLYASLLKSDMSRLVVDDHDEIKRLDRELDMEVILQWRMLAHKFVEQSAETYQTGSSKDEEDSKSFTDEDWERLNRIIGYKENNEYIPDQQDMKLMQFDFEIRMKHNASKLTIDDSEFLADLSCQDFCCNLKMYPEAKIFDLKLGSYRLLSPYGLLAESANVGDSFVGIFSYKPFDEQLDWSLTAKASPCYITYLKDSIDQIVGFFKSSPTISQNLALETAAAVQMTLDEMKRTAQQQMTRVLKDQSRFSLNMDIAAPKITVPTKFRPDDVHETKLLLDLGNLVLRTEEIWDSYSSEEQDIYLNFNLVLSDVSAFLVDGDYHWNETSDGINLLPVIDKCGIALKLQQILEDTGALILLFDNDEGRKIWQSRLQGAIYRASGSAALSSFPEVALSSETNSFKGNFPDVDTEKLFVAGILDELKICFSCGYESNHKLKKILLAKESSLFEFRAVGGQVVVNIATLTFFCHRPTVIAIMEFMNAINLANGADTGKDKDAYPVTVRDEAQILLMNESGDRLATLSQNNLSTDVKVFTSSFSIKAALGNLKISDDSLRSNHPYFWVCDMRNPGGRSFVEIDFTSYNAGDEDYCGYDYSLAGQLSEVRIVYLNRFVQEIITYFMGLVPKSSDGVVKLKDDVTNSEKWWIGGDKNEMSAVHLDILTVTVKDINLVIGMDMVRGETIIQDVEGLSFELRRSLRDLWHQLPAVEAAIKVDVLKAALSNREYEIISECALSNFAETPHPVPALDDPQYGTSTTPSHASASSSSERSRRDSPLASVQASGAWLLYKSNTRGESFLYATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSVDVYVNPNELDSASENDSVYLIREDDGFLSSPSEEIAEDPVKNASADMMTEFIMELQAIGPELTFYSTSRNAGENLALSTKVIHARTDAYCRLIMKGGSMEMNGNILGLKMESNGIRVIEPFDMDNGNMIFWRADNAFGSFLPGDPASMSMHGKAYDLRHMLFDGADSSSKTISRRQNSRNDASQLQRSALTSGMFYFGDIAVNGYEPPNSAVVLRDTGEDTFLRAPEGYDLVGRIKKHRGTEGISFWFPKAPSGFVALGCIASKSSPEKEDFSLLRCIRSDMVTGGQFSEESVWDSSNARTSEPFSLWTVDNDAGTFLVRSGYRKPPKRLALKLAGPPTSSSSDSINIDAEIKTFSAVSFDDYGGMMVPLFGMSFDSVGLSYHGGSHHLNATIKVDEGLATGEYMTAVRLLSENSSVSDAQQQSARTCAAAGEHSSQNIRKVNWNEMFFFKVESEEPILISHPTQAPSKFINLRSSLSERIVQILLEQSSDNDYLMARDGAVDLSAYDDDGKCMHILLCSKPSSFQAVPTKVINVRPYMTFTNRIGQDLYIKLSVEDEPKVLHAYDWRVSFMYSEGDTDKLQVQLVDTDWCQPLEIVKEDTIIIAMRKQDGSQKFVKAEIRGYEEGSRFLIVFRLGPAYGPIRIENRTSSTTISTRQSSLGEDSWIQVKPLSTRKYSWDDPYGQKAIDVSIQKGDVTSVLCVDLENPIGSYTSFREHGLNFSIIETGDIKIWKFTDYLRKEEVYGLPGSEFIDDQALALKENEIETDTSPLELIVELGVVGISLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRFKLILGQLQLDNQLPLSTMPVVLATESRPDSNRPVFKANIAVSNVTSNGIQVYPHVYIRVIDETWRLNIHEPIIWALVDFYNNLRFVSGSSSTTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNAFKIQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGLVGIAPGLGRAFVPSGQRHVYFASDDDKRESHSLSRSLISSRGTSSDVEQRFTNDTVNFEKMWSSEPEIRSRCKLVAKQVADDGRVFSIWRPLCPNGYVSIGDVAHVGTHAPHCAAVYKNDNGNFALPLGYDLVWRNCAEDYKSPVSIWLPRPPGGYVALGCIAVPAFEEPPLDSAFCVDERLTEDAEYEEQIIWASSDAYPWGCYVYQVQSNSLQFMALRVPKEQSELRPKKILESIVQRPSTTPRQEKQTCRG >Dexi5B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:5B:19730502:19734060:1 gene:Dexi5B01G0017840 transcript:Dexi5B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAEEEWPGGVTGADAEVGALVWVRRRNGSWWPGRILGMDELPENTVIPPRSAGTPIKLLGRADGSIDWYNLEKSKRVKSFRCGEYDECIEKAKALARHQKRTQTEGRYVRREDAIIHALEIERSRFPNNGDDIEEDTDDDVCASQNVYSTKSKNINGLSKKSSRGARSLYDIEESSAQDMSQALTVYKRPQNLSSSSTRYASSKKKKRKGHKDFEDDTVQGFQRMRDLREIGTKSVTKQKSGAGIFSDVPLLESGPSFGYDLSSANGMKKGKQSHSSIKKKRSNIGQSYENSRKKDRHRPLLKLCEDSDVSETYKWDPSGQSSSQYPGGQMPNMFEPSRTKAIFSTDVNNCSYSSGTSSLETLLDPSHINHKGSVKALTVKDAEVPCTTKFLNEGCSDGDEYFDDVLEEGHFDTYGSYTPIKDQISKADNEIDDSGVIGTSSTRHHRSSKKNISSVTLVPKESHKKDINSQQQQYEGTIKLDSSVFRPIEPEDSIQYVIPEHEESSETISNHSNSEKGTTSFPYYVPLQVLPPPEQQPDLKPPRCPVTKPTKRARAHHRLYDVELTVQRSYKGHHVPLVSLLSKWTGKPIVGYPVTVEVLEDSRPTANRDKHRPAIGSLDSLLKSRVTEPRQARSSHASRSKSKSSGRKKASEHDLDKSWRPHTKKPASSPRKMRRLSSFAGSRRESGDREPVVAKTGGPAVACVPLRLVFSRINEALSFPARQENPT >Dexi4A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:4A:1739749:1740687:-1 gene:Dexi4A01G0002500 transcript:Dexi4A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAQQLPSFSFASPALRGIRLICPLKRPTPSSRCFVHSHSQFHAPRRRRYPVAYPPDPFLEGRGSDSDDDDDGEESVRSWGGPDSPFRAHHRVGVRDYYTDGEGGDASEDEEELQESDEDEKVVGEEVGEWDPPVSPFRSQQREEPHYQEEGEEEEEDEGGCQWLDPTSFLPSQGGLRGVCTTTTAAMEEILAFARSPAVAGDSAFTEFLAGYNHGDLSEEQCVELMRRMSEEGLALGCANLFQWLQEKQPVPVSRQLWLAGIVALGRCQMTDEVLEIVARLPSQREFREAVVYNAAISAVAYCERCAFS >Dexi3A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:3A:16230784:16241512:-1 gene:Dexi3A01G0020430 transcript:Dexi3A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKPDAYVRRGDGRTGRRGFGTAARPPRWARHHAGHRCDGEPTSSPELKTKPSAPSLHISREKKEDDLPEGVLTVSLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRSQQSEFMSVDSDRLKYEALNLDEDDEGEQTVNDEPKKDQGASSSSTADGTSIVEPSVSQSNTVPDKMAESKKKAKAYSSSGSTTRSMARPAAGTLIVCPASILKQWANELADKVSEGAKLSVLVYHGGLRTKDPRELAKYDVVVTTYTIVANEVPKQIADDDTDRKNSEESSAGNKRKPPSSAKSKYKKKKKKLKDSDFDLDSGPIARDTPEDAVVTLCGHVFCYQCALRICISGKSSSAGASSSADDESSSISQSSYISSKIQAAIDILNTIINTDALTESDTMESNRNRVTPVKAIVFSQWTGMLDLLEFSLNMNLIQYRRLDGTMSLNLRDKAVKEFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVEDLRYLFGI >Dexi9B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:9B:15036435:15041368:1 gene:Dexi9B01G0020290 transcript:Dexi9B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGEEREKAAAARGHPLLRGWRRERYTHGMRPAQMEALRAMCGALIPSLPAADADGGHGRGDPPGGKDLERFYLASAADAAIPDEVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCLAGGFPYVRKFADMPPERREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYSVHEPRDGQAEAAPSPPPSRPLDAGVVETRLLDNNSLLRSLVAKGLTVKPSSSDPNHHVIQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAGDYTSIEGPSMERLFERGGIFCTSDVTTMIFTGATVGGGSAVNWSASIRTPREVTEEWATQHGLPVFASPRYVQAMDAVCERLEVTDACEEEGFQNKVVRRGCDALGLCPDAVPRNSSRGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGGAVVLTGCKAERFVLERNGGRDRSKKCVGLVATCTSGGVTKKLRIEAKVSIAACGALMTPPLLRSSGLRNRHIGRNLHLHPVSMAWGYFPETRQQADPNLITGKCYEGGIITTMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGDGFVDGEGRVRFAPSREDVEELRNGLRRALRILVAAGAAEVGTHRSDGQRLRCKGVRDEDLEALKFR >Dexi6B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:6B:1636111:1636905:1 gene:Dexi6B01G0001940 transcript:Dexi6B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADSKPPRAAALPARPLLLALPFVSLLLLLYVYSTSPTSTSISTTTATAVPLTPSPPSPHIRMRRSRFSSYDDYLKHQLNKTLDPRLRRVWATRDWRRKVTAFAAAFSALQREGLLSNTSRALCVGARLGQEVAALRQVGVSDAVGIDLAPAPPLVVKGDFHAQPFADDAFDFEFSNVFDHALYPDRFAGEIERTLRPGGVAVIHVAVHRRGDKYSANDLLDVQGLVGLFRRCDVVRVSKVDAFGLDTEVVLRKKRSAAAHP >DexiUA01G0019660.1:cds pep primary_assembly:Fonio_CM05836:UA:40873403:40875164:-1 gene:DexiUA01G0019660 transcript:DexiUA01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTAGFTGRIVRGGQRVRAQGAALGLLYAKSPGAMYKSCGDTRALPNTDVQRQRSVKRWPPVPARAHEDVTRRVAHVAADGAYAISWLFTIAKGDKSTRHARAAMMCRASQARRKRHRVAGTGMMPRSGSLAGKLPMPSTAKLMLPVPCFHAGNVGICLNNRRCHRPNNASASREDVEALSYVPYRADGRYHHVFAMKIEERARRHPRSADVEQQCCSAPAASSLIHGLVLLCALRASRSSRLAERKQNCASRSTLLNNRVRRDVTCRTASAHGRSPRRDDGICPCRLEVGTTGPERAGKWKWGAGAPIMHAGSTHYAHAAHRALQLRRTPEPPNRTEPNQNLQRHV >Dexi3A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:3A:13743849:13744097:-1 gene:Dexi3A01G0018040 transcript:Dexi3A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRLAQLQAKACEATRFVAKHGCAYQRSLVEKNSKYVVEPPTIEKCQELSKQLFYTRLASL >Dexi1B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:1B:15285912:15295009:-1 gene:Dexi1B01G0012410 transcript:Dexi1B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMLGLRGSASKDRGRGGDASPSSSSAAAGGSGTPRSPWSASSPRSPFAAEAGGEGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDSEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRSLFPDRECFTLVRPLNNENQLQRLDQIPVSYSSIFIMELEKLRPEFQAGLDELTRFIFERTRPKQVAGTIMTGPVLAGVTQSFLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEIYMSSFGRSRLAEEDALRDAHEAALRKALDAYNTAAVGTGTSRAHYEKVLNNFCRKAFQDYKRNAFLEADKQCSNAIQDMEKKIRAACAAPGVKVSAVIQVFVLETSLVEYEASCTGPAKWRMLAAFLKQCLEGPILDLCLKLINEAESEKISFALRCRSNEDQLELLKKQLEANEAHKSEYLKRYETAISEKQRTSADLSGHLANLRTKCSTLEERCVSISKELDHVRHECTDWRAKYEQSSSQLKAEQDRYVAQLASLESRYSSAEGKLGAAREQATSAQEEAAEWKKNYESAALQAKTALERLASVQEQINKIAQERESAIRVEFATHLEEKEEEIRKLVAKIRQAESEESVLTERLQVAESKAQGHNKETAVLKDEIKELTSKLEFLRDRAVSYEKQARMLEQEKKHLEEKFVSECKKYDEAEQRYKSAERDAKKATELADVARTEAIASQKEKDEAQRLSMEKVAVIERIQRQVDRLEQEKVNLLGELQRMRNSESDAWSKVTLLESRVAEREKEMDDLLNRSNEQRSSTVHVLESLLATERAARAEANKRAEALSLQLQSTQGKLDILHQELTSIRLVETALDSKLRTTTRGKRLRDNEVGVDSVQDMDIDLPERSGKRTKSNISPLKAVHTEDGGSVHIGDDSNTVSTDMKDGNPDGYKKFTIAKLKEELTKHGFGVQLLEIKNPNKKDILALYKKHVLCE >Dexi2B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:2B:27933139:27933609:1 gene:Dexi2B01G0017540 transcript:Dexi2B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSTWTGYLLSLVALLLAAAFYQYLEALRIRLVAGGGGAAKQAIPPPASSDPSRAPLLAPGAFFAAAAGRWPARVAVAAMFGVNSGLGYLLMLAVMSFNGGVFIAVIVGLALGYLAFRSSDGEDLVVVDNPCACA >Dexi9B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:9B:10976700:10979571:1 gene:Dexi9B01G0016020 transcript:Dexi9B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLSLDPPPFPLLRPAAGSGGGRVLPGPAPRLCRPLRAAPVVPATAEEPSSSAAARGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCEGRLLVSDRAHLLFDLHQIVDGLREAELGNSLIGTTRRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFKDFEYDNNILKEEVEKYKRFAERLAPFIADTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDVIGVVKAYTTRVGSGPFPTELLGKTGDLLRATGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGISYYTDDGNTVQSFPADLDLLEKIKVKYEALPGWEEDISSIRDYNALPEAARRYVGRIEELVGIPIHYIGVGPGRDALIYK >Dexi5A01G0038320.1:cds pep primary_assembly:Fonio_CM05836:5A:39348436:39352419:1 gene:Dexi5A01G0038320 transcript:Dexi5A01G0038320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPPPAAALEQLSSSKMFGGHNLRFRHQSATLGCPMTFSVYLPPSPASSIPVLYWLSGLTCTDENFIIKSGAQRAAAAHGIALVAPDTSPRGLNIEGESDSYDFGVGVPYRLGYKAYISLKVPWMCLIIYSHLLLLGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDHFEQLDTVHASIFGHSMGGHGALTVYLKNTDKYKSVSAFAPITNPINCPWGQKAFSNYLGSTKSDWEDYDATCLIKKNNNVSNPILIDQGEDDKFLAEQLLPRNFEEACKAVGVPLILRMQPGYDHSYFFIATFIDDHIAHHAQFLKSA >Dexi6B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:6B:20352419:20353261:1 gene:Dexi6B01G0012670 transcript:Dexi6B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRMQSLLRNVCRASSCGAAARLLEFAAPVATQLAATQSSSAIQYLRPYGFSRPIGVGSLVEGQIIPHDGLPSAFCAMRGFSTVGSAEVASDEDDSTSPAVEHPPRIKFKRLDKTARHIMNVGLILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGIGVESVFPL >Dexi8B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:8B:22167136:22170217:1 gene:Dexi8B01G0012820 transcript:Dexi8B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIYCKMAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRVFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTGTCQREYESRAAVNTVVLHPNQVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >Dexi9B01G0025310.1:cds pep primary_assembly:Fonio_CM05836:9B:25521148:25522181:1 gene:Dexi9B01G0025310 transcript:Dexi9B01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPRGLLAGICAVLAATVPVHAAEPNAASMVVGLAKCADCTRKNMKAEAAFDGLKVAVKCKNADGMFETKAVGKVDKSGAFSVPLTADLLREDGELKQDCFAQLHSATNQPCPGQEPSWIARPSSYTDKKTTFVAVAGKMHYASKECASAFLCDHLHKKHITIPLPHFHKKPVPEHKSPTPVPVYHAPVPETPVPVYHSPVPEYKTPTPEHAHPKPIYHPPADQKTQNPETDPEKFKKLLPFVKKFFPKFGKFPPAADQKTQNPETDPEKFKKLLPFIKKFFPKFGKFPPAKKEIKA >Dexi8A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:8A:24973071:24973301:1 gene:Dexi8A01G0014510 transcript:Dexi8A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPRTRDTRRGQEERSGVCRGRNGGAAYRIDVRGRGTVLPLLFRWRRPPAEVGGGLAGVRELSASGVVEAVVDS >Dexi9A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:9A:2107272:2110555:1 gene:Dexi9A01G0004020 transcript:Dexi9A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGEASVGAFRIGPSTLLGRGIALRVLLFSSLWRLRARAYAAISRVRSTTVPAVMSWLHLRNTHSVLLMVVLFALFVRKLSGARSRAALARRRRLCEKAMRHAATYEDWARAAKVLDKMSEQVHEADFYDEELIRSRLEELRRRREDGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKEYIDEVSTQLKMLARLAEMEVKYRCNQILEMDLPMGGLAKLFAQDWEGDVTMVMPATLAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRTIERTLAPSQGHSSYVRLKTPRRVPSWNRISREDSSESLSEEISAVVASSTQQGAILVGTANISHHVRHGSHDGSESESETIDLNSWTRSGGPLMRTASADEFISFIQNLEIDTDFSRPYTVEGENTGILSEPVFPNDPRLNNNSRVTTPDRCTEVCETQSCNTVNTRASQASTPSSITVSEGDLLQPESTTDGILLNIVKRDALHSHHNNATELAETSLAEACVEVEPCDAISVCDSAEDNKDAADSSNPSLDIADFVTSQRSSAGD >Dexi4B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:4B:15150933:15152088:-1 gene:Dexi4B01G0014330 transcript:Dexi4B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWISIGIAMGAYDVSLRYLGERKQFGAPLASSQMNQEKLVRMLGNIQAMSLLGWRLCKLHDAGKITAGQASLGKAWITKQARETVALGRELLGGNGIVIDFHVGKAFCDMESVYSYEGSYDLNALVAAREITGISAIRPASRSYSPTLW >Dexi4B01G0022190.1:cds pep primary_assembly:Fonio_CM05836:4B:23868111:23870399:1 gene:Dexi4B01G0022190 transcript:Dexi4B01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAQEPALWKQIDDAEHYLVSGSFEQAVSAALSVSDQIRNASLEDACDRGELLEMLESAGMVLVQALKELRRASEMFVQLKAMFGSVASVPVKLFHTGATMQMAAGSVAELRPIFEEYLGQWKYTVLLFSLLFSTIYVLRRKAAGLRRTMFQQASSLRQAFFDALQLAFSVQMNPLAAVQQLPQARGSCLL >Dexi5A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:5A:21818927:21819319:1 gene:Dexi5A01G0018280 transcript:Dexi5A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTVRVRAESSGGATGARRSPPLQELCEEVWRRAAPTPSAMQPRRHAAPSGAGCLGPAAARQAAAATGRPVLSSAATNPGGLRRAGIEVLVDCAPADGQRDGKREHWGLELLLRWSRGRASAHHGRLP >Dexi1A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:1A:21701736:21704604:1 gene:Dexi1A01G0014870 transcript:Dexi1A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRGLELRLLPALAPFRRAPLAARVSAHRLRASSVRCCAAAGDDQAEAPQDAVLKAISQIASSKGRVAQTTNVIMGGTVTYDSTDEWLVLDKKVNTYPTVRGFTAIGTGGDDFVQSMVVAVESVIEERIPEGQISQKISAKGKYVSVKIGPIRVVSSEQVQAVYNAMKKDVRMKFFL >Dexi9A01G0028220.1:cds pep primary_assembly:Fonio_CM05836:9A:32863880:32865610:-1 gene:Dexi9A01G0028220 transcript:Dexi9A01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATEEMAVYCFDTLIAHYNGDQPPPPAFVDGNHPLFVTWKKATNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFSPIQSKELPTLECTVSILTDYEAAEDYLDWEVYFRTVGKHGLIIEFTDPDYNIRRSATYLPEVAIHEGWGHVETIDTLMKKAGYNGVITEALRKKIRVTRYQSTLYTMHYGEYVAYVKKNRGGAPAINGVPVVNGFKPGH >Dexi9A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:9A:1655060:1663382:1 gene:Dexi9A01G0003190 transcript:Dexi9A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPPSLPRHLRRRRFSTAPASHHPPPASRLAEQHCLRLLERSSTPAAVLQSLAFLLKSGLNSNPLVLTRLFASSACAAPALLEPLVAALLSSSVPIDAFLVNTLIRAHATSPFASARLRAAAFFPLMLRSAVLPNKFTFPFLLKACAALPGSPGVGLQAHAAVLKFGFATDQYASNTLIHMYSCFGGGFLGDARNVFDRMPKSSAVTWSAMIGGYVRGGLSSDAVGLFREMQASGVRPDEVTVLGVLAAAADLGALELARWVGRFVEREGIGKPVTLCNALIDALAKCGDVDGAVAVFQGMEKRTVVSWTSVIDALAMEGRGKEAVGLFEEMKTAGVPPDDVVFIGVLTACSHAGMVDEGRGYFDSMKMEYGIEPKIEHYGCMVDMFGRAGMVEQALEFVRTMPMKPNPIIWRTLVAACRAHGRLELGESITRNLLNEYPAHEANYVMLSNVYALTQRWKEKSEIRREMSKRGIKKVPGCSIVELDGEVHEFIAGDESHPQWKEIYMMVEEMARELRRIGHISATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTQVRVVKNLRAPPEPAPSTAARADNPRLVALARMPLALLLSRICLSPAAMPNTRSSSSAKLVRADLNPVVREVKRESNISFDISVPESPGSVKRKRVKRELEENGEHPKKQVVPDIEDFRYDKTKALASSSKAAPPLVKVEKKVRVSSVIKGILVSIYHKKTKLSICAVGAPENWEAILGGIKNMRLSGQAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLAENGLLDPDAIVRTDEALLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNELLALRGVGPKMAHLVMSVAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRISLEKWLPKDEWEPINPLLLVLFYAI >Dexi9B01G0046960.1:cds pep primary_assembly:Fonio_CM05836:9B:46188368:46189041:1 gene:Dexi9B01G0046960 transcript:Dexi9B01G0046960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSMRKSFRDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAHFFLFLVQWTDCSLAGALGLLRILIYKASGFYAIVFSRNPGFFAVCRCM >Dexi2B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:2B:25251930:25252334:1 gene:Dexi2B01G0015210 transcript:Dexi2B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKLVVFLAVLCFAAGVVLSCEPSCPTPTPPVAPSPPAVPTPPSSSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAAICLCTAIKANVLGINLNADIDVSILLNHCGKTCPEDFKCSSN >Dexi9B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:9B:2462760:2466434:-1 gene:Dexi9B01G0004320 transcript:Dexi9B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHGGLDEQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLRLIARAHQLVMEGFNWAHFEPAPRRGEPDVTRRTPDYFL >Dexi1A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:1A:26921221:26922116:1 gene:Dexi1A01G0019940 transcript:Dexi1A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVVLVSAVVVALGVAAAAFVGYDGRRCLYRRTPALGFGVLAALLALAGLALATAASGCFGRYGRGGGAPATGRNRASAFKLSIVAWVLVAVAAALFLYGASLNRGGTRGLSTSRRGPRYSRTYYYGCAVLKNGIFSLASILSAAATACAVAAYVFLQRADEPYPQPGQFAGPGVAMGQPQWAQPYPPPPMAYPAPPPYGGYGAKQPGGAS >Dexi4B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:4B:3135156:3141129:-1 gene:Dexi4B01G0004370 transcript:Dexi4B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSKTKSSPAKPIAASAVPQIDLCTPSKPTPRRKSKSATSPAPMSPATPSTVRRSRRLLDTPTKDNPEVPVKATPTPTSRGKRAAPSPKTPAQREPKRQWRQPRKRAYYRKVVYDGGEFEVGDDVYVKRRENAESDAEDPEDEECRVCFRAGGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAVQRPCPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGMFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLGDIEMETILRHCAVMCPKDFRDASDGGDDVFYCEYEYDIHWHNFKRLADIDDESETKEDPSDEPYNAGNEYVSDTDEDSEYDEEEEPKSCFSARRNQSHELAANSRKGRTYGLQKIGIRQIPEHVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEICTFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGTLKPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGASKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNFRQLQEIITSRLKGIDAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFADYRIKQSGQTSFSANKGTVDICVVCMSDIEAAIQEVFQAPHIQVMKNCPKFGKVILVAMVHELYKSGLGEVTFDKLATTVLSWCQVNRELLPGYDTLMKLCCKLGESKVILCEEGSKHKLQKLQLNYPSDDVTFALKESPDLPWLSKYL >Dexi8B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:8B:1664634:1668414:-1 gene:Dexi8B01G0002410 transcript:Dexi8B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKKMSHHQLPAPASRLWEASIRKLQTIRRVGTVVPADAAVTPSLLSVPSSSSASSNTIYQYHHDADDSDTSSNNDSDDAAGNDDAEAITTTTTNSEQLLPSGNFYQGDLRGDLPHGAGKFLWTDGSMYEGSWRHGRASGRGKFSWTSGATYEGDFAGGYMHGHGTYIGEFGDTFAGLWSTNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWKAGDMHGCGTVIWADGDRYDGAWEDAKPKGQGTLRWADGGMYIGTWCEESGAVHADGVYYPPSGGPAVPVPREPCEPITALLQELEACEGKKASLMPSQKVLTWPGVEAVQKKPVWRPPKDQPQPGRMSSVGSRRMSTASLDMDSLVGDGDGEEGSTAARSCLRTSSSSRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLITKFFGLHCVKLTGATQKKESIIKTRNLHALKSGERSEES >Dexi4A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:4A:8274754:8275795:-1 gene:Dexi4A01G0010400 transcript:Dexi4A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVVEVYVWVVVEVFVWVVVYVWVVVEVYVWVVVEASAQGLMPAWVLESVVVWKQGSVMVWMLESVSGLELRPAWA >Dexi9B01G0047580.1:cds pep primary_assembly:Fonio_CM05836:9B:46640869:46641209:-1 gene:Dexi9B01G0047580 transcript:Dexi9B01G0047580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRKLFSAVLLVLLLLVATEVGGPVGVAEARTCQSQSHRFRGPCVRRANCANVCRTEGFPDGRCHGFRRRCFCTTHCRD >Dexi9B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:9B:8270757:8284541:-1 gene:Dexi9B01G0012340 transcript:Dexi9B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACASAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLALTGRKDEQLPPDEADWMDLFGRPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKVVGIDIVELALWAKENTSTIDNQVNTQDGQETTVVTQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPIIEEVLQGLDSASAVVDDMDEWLRIFNLKLRHMREDIASSVNNKALMDELDKLIERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVSKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISAQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYANEPIMSVAEMKDILATF >Dexi3B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:3B:12826695:12829412:1 gene:Dexi3B01G0017460 transcript:Dexi3B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVASSLLRSASRLRAASPAAPRPRAPPHRSSPAGYLFNRAAAYASSAAAQAAPATPPPATGKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Dexi9B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:9B:4348051:4348858:-1 gene:Dexi9B01G0007190 transcript:Dexi9B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAYPFEDPEEPKNFRKTIQRILNVQYAIPDNVNISPECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPADLMDDDSMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVLADGLDMDDDMDDLESDSDLDIDSSGEIVYAM >Dexi5B01G0035360.1:cds pep primary_assembly:Fonio_CM05836:5B:35367921:35369016:-1 gene:Dexi5B01G0035360 transcript:Dexi5B01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSCNKRRRNEDDPCSHCAEKKHLYLVLDDWIGGYSIHKLDADNMEEHLPKHAALRVASPERGNPMAFATLGTNIFIVTNPHSSHDRAPPILVYDTANAALAVGPRPPVGYLGDFGQSVGVNGKLYALTTVIDDHRQSSLQLQFCSWGANPDQHEPWDPPMAWTWNTSPAPPSQLIGEHLVTAYALHPDGHTVFVSTDGGHTHFRWTPVMACGETLGHGRCLSKAKPTTTANLMLGLGSITNRTEKLFRLGDVEEYPSGRYLSATLTYMGDSRFCLVENVLRKANLDAVVHVTLFGLEYDHKAQLRAKICRTTRSYAFSKNTMMFSHAAFWL >Dexi5B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:5B:320631:321800:-1 gene:Dexi5B01G0000480 transcript:Dexi5B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSKAGADAVAVADVVYRPPPTSVSLFDISAVEEPWLIAKNKAADDDDDDEEEETTDDDEEEEDKAAANKTIVPLLEKLEGYELMAPASWSEVSKALEDMKPVLDSKPPPAAAAPPSIKKKIKKKKKKKKKKKTTQTAAEEAGKKQAPPPSALGTVDVDTSATTPTKAAPPELAGRRVVKDNPFLMRDRESNSSSSNNNKWKRRDPFEGCPERRPPGATGGGVVLYTTTLHGVRRTFEDCERARELVEACAEAAGVGAVDERDVSLHGEYLRELRELLLAGGDGAAVAPPRLFVMGRYVGGAEEIVALADSGKLREMMRWVKARGEAACCAAKDGRGCEGCGGARFVPCWECGGSCKVPAPAKGDAQVERCAKCNENGLMMCPICH >Dexi6B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:6B:26176269:26180644:-1 gene:Dexi6B01G0019410 transcript:Dexi6B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTQEAIDTFVSITGADEAAAVRVLEVTTLLARPHFPTPYLLRPLVLWTLIMTDLFLSPQEHGNDLNEAVNAYFNEGDRSTTRINQNPVPADDDDMELDEPLDPMFHRPLFPRTLGNPLSLLGPGFADMTAADIFGGGPRVTHPRDVRQIPIEVKDSNTQTGSSGQGPVIEDVTGRESFYGPEVHGNVIVDEDDEDLPSTPSAHDPNITSSTSRPNQSMPSAPPLDDVSDYNNDIEEEMIRAAIEASKREAEGIKNDPNSGEAENMSRGRGDDEIARAVSLSLETAERERALRQEGMHVVDHSPDLSDKEDTERANHQMIDRRGLTTGEVGTSEQTVDEENFQEDIEDDDEQPLVRRRSRRLRDRTTDLVEAVQMDDSPPLSPHGHQHDGGFPSEWGGISSEEHDEAVMLEAAMFGGIPEGAAYPFSFPTRGRTHYPRVARPPSPTLTAQRLLREQQDDEYLAALQADREKELKAVEEAELRRAEEAAAREAARERQRKEEEEKLKKQREEEELESELAAKQASLPKEPLQNDEGAVTVVVRMPDGSRRGRRFLKSDKLQYLFDFIDISRIFKPGTYRLVRSYPRRAFTDGESQMSLSDLGLTSKQEALFLEKISG >Dexi9B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:9B:7617045:7619171:1 gene:Dexi9B01G0011690 transcript:Dexi9B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTGHISIIVLVLLFVESRASQGAPPGSQLDVNHKKPLQTFRPYNIAHRGSNGELPEETEAAYLRAIEEGADFIETDILSSKDGHLVCFHDVTLDATTDVANRTEFADRKRTYEVQGENMTGWFVVDFTLKELKSLRVKQRFSFRDQRYNGKYQIITFEEFILIALYAERIVGIYPEIKNPVFINQHVKWSNGKKFEDKFVETLLKYGYKGEYMSGDWLKQPLFIQSFAPTSLIYISNMTKSPKVFLIDDTTIPTQDTNQSYYEITSNAYLAFIRNYVIGIGPWKDTIVPPKDNYLGQPTDLVARAHALNLQVHPYTFRNENSYLHFNFHQDPYVEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYPKKKKNAEALLHEIANMLKDDGYGA >Dexi5A01G0031290.1:cds pep primary_assembly:Fonio_CM05836:5A:34039382:34040173:1 gene:Dexi5A01G0031290 transcript:Dexi5A01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAVSFLSDRKRPIVIAVTVFIVLSSLFILFNPAPSPLQFFSSPSSRISSSETSIPVSSNASPPQAPTSVASNGLSSSTPADPVPVSADASPPVTATGIASDGVGGSSSTADPPRPDPAAAANDAEADAPQPDHGTPSASADESGSAGDSDTTPGVSGERDGEGPGGGGGSGSGAEAEPVRLPSWELCEVGKGVAAADYIPCLDNVKAIKSLKSTRHMEHRERHCPEPRPRCLVPLPERYRRPVPWPLSRDMVRMPALLR >Dexi2A01G0030010.1:cds pep primary_assembly:Fonio_CM05836:2A:40989888:40996411:-1 gene:Dexi2A01G0030010 transcript:Dexi2A01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGANKPWSSLLLVALAAVMLIAAKPAAADAAAAAPYSDPFCSSKHLPDTCSADKFSNCKYVPFPFSLIWPSCSFQDKARDCCDALEDMSRHRCATCALLEEFKGVPQFDPATDCQNSVKRPAEWCKVFCANNPDNASSTNKYLFNKYRNYNTVGSSISPPQIKKSSPRKQELGQGKQLYNILHRAN >Dexi1A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:1A:5471742:5472044:-1 gene:Dexi1A01G0007130 transcript:Dexi1A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIRATSPGAVDALALEDLEAAGGGGADCIGGALSCGRRSSFSYHRLPDPRLRLTVRKLDGSFFDSTFCPPP >Dexi3A01G0024360.1:cds pep primary_assembly:Fonio_CM05836:3A:19998494:20012044:1 gene:Dexi3A01G0024360 transcript:Dexi3A01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTKVVIGLQRPGSNGLRNREVVPSSGRRSVATPCARHAAKAAVIRSESSSGRAQHCAPAKAVADAAPIQATKKRVFNFGKGKSEGNKTMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACKQYQEAGYNLPQGLWDEVLEGLQWVEEYMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGERFAYDSYRRFLDMFGNVVMDIPHALFEEKLEHMKETKGAKSDTDLTAADLKELVRQYQDVYIEAKGEPFPSDPKKQLELAVLAVFNSWDSPRAKKYRSINQISGLVGTAVNVQSMVFGNMGETSGTGVLFTRNPSTGENKLYGEFLVNAQGEDVVAGIRTPEDLDKMKEVMPEAYQELVENCSILESHYKEMMENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVDRHQAIKMVEPGHLDQLLHPQFENPKAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWNAQGKPAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSVLVNDAEKSVVIGDKVLHEGDWLSLNGSTGEVILGKQPLSPPALSGDLGTFMSWVDEVRKLKVLANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDIELGHQVAVIREIANKVFTDTGKTVGYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLGQGILQHDPFEVLDQRGVGELVKFATERGRKARPNLKVGICGEHGGEPSSVAFFAKSGLDYVSCSPFRVPIARLAAAQVLV >Dexi1B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:1B:23797012:23801510:-1 gene:Dexi1B01G0017490 transcript:Dexi1B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFFGVLVSDQGLQSQFTQVQLRTLKTKFVAAKRPDAEHVTIKDLPPVMEKLRGIHEVLSEEEVSRFLSETYPDMNQPIEFEPFLKSIHKYSINLHHLGTKVSYASLQPHLVLGLLSQIIKIQLLANLNLKKTPQLAEIVADDNGKEVEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSSDVKDGEAYAYLLSTLAPEHSSKTMIKTSDPKERAKKVLETAEKLDCTRYVTSKDITEGSANLNLAFVAQIFQNRNGLSNNTVAPVEDTPDDVEASREERAFRLWINSLGIPTYVNNLFEDVRTGWVLLEVLDKISRGSVNWKHASKPPIIMPFRKVENCNQVIKIGKELNFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLRNLRSHSKEKEITDADILIWANNKVKESGKTSHIESFKDKRIADGVFFLELLSAVQSRVVDWSMVKKGEDEEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQSPGPQHDALPEEEEGEEEEEEEEEEEDFEGGIEDGVSNLTT >Dexi9A01G0041500.1:cds pep primary_assembly:Fonio_CM05836:9A:45171870:45173303:-1 gene:Dexi9A01G0041500 transcript:Dexi9A01G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFCELLLTAAVTLLAAFLLATLFAANDPPPRRAADRVAAAAAIVEEVVEEERIIEVDEVRRGEGRDVAAPVEVEEWVEVEKVQAVVAEEEDPECLPEEEGVPVKADREAPLGNGVDDGEEGGGGVELSDLTPAAAGAAVVAEASPQVSRGVEAVSRDVIGVAALEEGRVQADKVKQHDLGAEVAPIEVVEAGSEKQGAEVVVEAAELFPLETEAVEVKHHHLVADVTPAEDVLDAGLAENSVQAIQARSDELDSETVSEDVLDVVLEKKEEQVVQGKEHELPVEAAAQSVLEVPLAEKEELKDHQPVEESVDVLEEVQSKEEAKCEAHPVDRQEELVPEEESMATRTGDVNVNHEGCSSDKVATELPVEAVTLPGLPEGESESDMEFEEWEGIERSEVEKRFGAAAAFAASGAGAAVLSRLDSDVQMQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWYTFFFLILCIYHCHAVW >Dexi5B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:5B:1617799:1618093:-1 gene:Dexi5B01G0002520 transcript:Dexi5B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEASNKLLRFLYFVGAGVICTKAINTYRDYEQKKEASAAVAAAEAALTSAAAPEPAPATAAASGKP >Dexi7A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:7A:30214289:30217879:-1 gene:Dexi7A01G0021680 transcript:Dexi7A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKDQAGTGRQEAEPSKPPCSITNVPTPSVASFRLRSSQIYPSGLDASGRRFAGSPLPDSSEIVDAAGDLRGMGYLSTVIGNPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETKIDCVDGQIVGLFGVFDGHGGAKVAEYVKENLFSHLLRHPKFMSDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLFGDRLFVANVGDSRAIICRGGNGNEYFTIAVSKDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >Dexi7A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:7A:26005062:26009484:1 gene:Dexi7A01G0016090 transcript:Dexi7A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPSKRVMDGPSFDVHRAESSHQHLMAGPATLDPGRAEAASKHVRALNNQFASWVQLQQQNHPDELWEDGLKDYLTHASGIMEKFKDVVNWLRQKQAGSTDVSPPSPLKDEKTNTPAADGSKLLVQPSSDNTQKATVMASSSSPFQSSSSPSQNPFSFPSKSQAPDFSGMFGEKKNTSSDNSKPPFQFGGNNSIFGDKKNTSSDSSKPAFQFGANNGIFGDKKSTPGDNSKASFQFGHVINLIFWVEHKFLVALSGNQNTSEASADADEDAEPEKPSSPSVKKAEEKGIVVVHEARCKVYVKHDDATKGWKDIGVGQLSIRSKEGAEKGSKESTPTVVIRNDIGKILLNALIYKGIKMNVQKNTVASIFHTSDAQSDESTSGTVVARTYLFRLKNEEEATKLSTAIKENAPAE >Dexi3B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:3B:5294800:5296930:1 gene:Dexi3B01G0007430 transcript:Dexi3B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVMALPALLALAATVVRMASGAGGHDYALALKKSILYFKAQRSGVLPPNQRVTWRENSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGKEMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMSTSRQAFRIDPQNPGSDLAGETAAAMAAASLVFRNTYPGYANLLLEHAKQLFTFADKYRGKYDASITVARNYYGSLSGYGDELLWAAAWLYEATEEGCYLEYLARNGDALGGTGWSINQFGWDVKYPGVQVMAAKFLLQGRAGAHAATLERYHQNAEFFVCSCIGKGSVNVPRTPGGMMYHQRWNNLQFVTSASFLLTVYADYATKLPGGNGGGGVRCPGGAARPYEILAFVRSQVNYILGDNPRGTSYMVGYGGRFPRQVHHRGASIVSFRKNPSFVSCQEGYSSWYPRQAGNPNVLDGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACAAGMEEYQLPPPVVNHTAAPASSIPHRRRSPASSSSPVEIEQNVTRTWVRRGATYRRYTVAVTNRSPRKKTVRELHLGVSELRGRLWGLDKARYGYVPPKWRPELRAGESLRFVYVQTGTPANVWVTGYKLV >Dexi6B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:6B:22348873:22351053:1 gene:Dexi6B01G0014930 transcript:Dexi6B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCYDMAASMLFCAEEHSSILCLDEEEEDVAAAAAAAPGRKRGRSPDYGADLFPPQSEECVAGLVEREEEHMPRSDYGERLRRAGAGVDLCVRREAVDWIWKVYAYFNFGPVTAYLAVNYLDRFLSWYELPEGKDWMTQLLSVVCLSLAAKIEETFVPPSLDVQVGDSRYEFEAKTVQRMELLVLSSLNWRMQAVTPFSYLDYFLNKLNGGIKAPTSWLFQSAELILCIARGTGCIGFRPSEIAAAVAAIVVGEAAVEGIENACAHVNKERVLRCQDAIQSMASPAINTVPPKSASGRASPAPQSPVGVLDAGCLSYKSDDDAAATVTVASHGTSSAYGSATSSPITSKRRKTTR >Dexi5B01G0031650.1:cds pep primary_assembly:Fonio_CM05836:5B:32350260:32350627:-1 gene:Dexi5B01G0031650 transcript:Dexi5B01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGSATERNSSVPTQVEASSGVNTMWLRGATQTTSYPPVSRSFMSRDPAQPVPSTTTLAFYPFAVGPSPGNPLLSSSRRAPAPAAAAVVENGDQGWWRCGSAARVPGERAEQGGGGHG >Dexi5B01G0032890.1:cds pep primary_assembly:Fonio_CM05836:5B:33418269:33424143:-1 gene:Dexi5B01G0032890 transcript:Dexi5B01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNLSDPSPSSRDPLPSPRKGATGFQSSSSLPFHPSPLPLGACPRLRRSLIAYSSSPSHVHCSTQFPTRRVPAMPESAAEKVKPAASPASEKPADIAGNISYHAQYNPHFSPHAFGPEEAFYATAESVRDRLIERWNDTYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALAGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQHITKEGQEEIAEDWLDKFSPWEIPRHDVVFPVRFFGHVEILPDGSRKWVGGEVLKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDMIARFKERKADRVSGKWSEFPTKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDITYRTISYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFRELVISKHKEMEGKIDSMKVLDSSNPQKPVVRMANLCVVSSHSVNGVAELHSNILKQELFADYVSIWPTKFQNKTNGITPRRWLKFCNPELSEIITKWLKTDQWTSDLDLLTGLRKFADDEKLHAEWAAAKLSCKKRLAKHVLDVTGVTIDPTSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEERQKVTPRTVMVGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEDNFFLFGAEAHQVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGSYDYEPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQDRVDAAYKDKKKWTKMSILNTAGSGKFSSDRTIAQYAKEIWDIKASPVV >Dexi9B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:9B:3177588:3178242:-1 gene:Dexi9B01G0005410 transcript:Dexi9B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKQMTLATVAAAVAAVVVFLPALASATDHVVGDSAGWTLGFDYAAWAQTKQFTVGDTLVFEYSTSSHDVVEVSGPDFKACNKAATTSVWSSGHDRVVLDKPGRRWFVCSVGSHCQDGMKIAVTVLPGTTMGPAPAPAPTPAGLYSRRSLSTSRWW >Dexi9A01G0024120.1:cds pep primary_assembly:Fonio_CM05836:9A:20304333:20307987:-1 gene:Dexi9A01G0024120 transcript:Dexi9A01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNPQPFISDEPLTMPASAAKRHSSYISSMEASFVDQLYGIQNHGLDANKKNLGDNGFKVFQEGVCKNVRFQRNQPHTRDAGINYLPENPWVTRFRPRNVCVEAMADDYGSGKDTVREKWVSARPPRVLIAAFTS >Dexi3B01G0026390.1:cds pep primary_assembly:Fonio_CM05836:3B:21432189:21436560:1 gene:Dexi3B01G0026390 transcript:Dexi3B01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKTTAEPEPAPAFSIGNCKVEIHGSGLQCESTEQGLTVSGPRGAKVIVSVHGDQKSAPSGVGEGRQFILLNPGDADSQTKSLLQEVLTLYKKELPSMDFAADTGRKSGFLEKCTTNGKYKTLILMSSATAQNEEVIAAVSYQIVPADTQYAEIPLAVVRSSHQHAGIGHLLYKELSQRLQNVGVTTIFCWAYDISEGFWLKQASISPDDINVPLCEMVLHTYKRRNVRKTAKVERNEAGIDSNENSLSEQEPKKRIYEMSSSSLKM >Dexi5B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:5B:22191932:22192675:1 gene:Dexi5B01G0019920 transcript:Dexi5B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPSTATTTAAADVIRESSAAPSPLRGGVATTPPPRPLKASSPLPPAPAAPPTAIDKTLASVANLAKLLPTGTALAFNSLSPSFTNHGACLSSNRYLTAALLYLCFLSCVFFSFTDSFVGADGKLYYGLATAKGFLVFNYTGDTGDDAEEDAERRGQVFGDDLDRLRIRCVDYAHAALSAAVFVTVALSDAGVQSCYFPNATGNMKQVLTNLPLGAGFLSSMVFLVFPTTRKGFDYTGRSTNA >Dexi7B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:7B:5460743:5461343:-1 gene:Dexi7B01G0002790 transcript:Dexi7B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECRYEVAQAAYVKLALHALKHPSAAVNGLLVGRLIEPSSIPAVISVVDAVPLSHHPHHLPLLPTLELALTLVEDHLATQGEGLAVVGYYHANPRRDDADLPAVAKRVGDHIFRYFPRSAVLLIDNKKLEKAIKGKSREPVVQECWY >Dexi6B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:6B:26927004:26930150:1 gene:Dexi6B01G0020490 transcript:Dexi6B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTAAYSPAGSPTPLGTATARRRSVHQFLRPRRPLLATVRCSVDGAAAVATETPASRKDCFGVFCNIYDLKAEDTTKSWKKLVNIAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFQDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVLVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGRLVKDVIKDTKWLEEEFTMTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVSDVLMDDFLWERIKKSEAELLAEKKCVAHLTGEGNAFCDLPEDTMLPGEM >Dexi2A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:2A:32478412:32482740:1 gene:Dexi2A01G0020360 transcript:Dexi2A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVPAVPRWTPSPPRRQDDRHDDDAADSELGASSSMRSTGGFPFGSGRSFAPPPFPLPQPSSLEIGVAGGGASVAREKSLRRTDEGVVISWEDLWVSAGGGKGGGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGEILINGRRQKLAYGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPSAMSARAKRERAEETLREMGLEGAADTRIGGWMHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVGRIARLARREGMTVVAAVHQPSTEVYGLFSGLCLLAYGRTVFFGPAAETNQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGEKMTTAQAIDTLVNSYKSSAYMEKVTRQIADIREIGGAVVKKEWQPSFLTQSLVLTKRSFVNMYRDLGYYWLRFAIYIMLCLCVGTIFYDIGHSYGSIQARGSMLNFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFTIANTVSAAPFLLLISVAPGAMAYYMVGLQSSFGHFAYFALVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYISFHKYANQGFYKNEFMGLTFPSNQVAGGTITGGEVLRDYWQVQMGYSKWVDLAILAGMVVLYRMLFLAIVKLTEKVKPMIKGFRFRNAAPSVHVADKGSGSP >Dexi4B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:4B:11176604:11179616:1 gene:Dexi4B01G0013030 transcript:Dexi4B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGARKDAGAGPGVAAFGVSCFDIKSFVASLALLTLVMALWQLHPYEPLLSASRSSSASCPLLPSQPISASSRAAAAAATAAGDAFPSANFTAADTKTTPSTVPAVARTKPAAAVLPRDPNKRELRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPAHVFGTPYFKCEWVPNRDPSSPAPPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPTNPNAGNRGGKLLVHAYYSTASRRYERFVALEEAPGSYDESRFRPPFPYEYLYCGSSLYGNLSAARMREWLAYHAHFFGPSSHFVLHDAGGVSPEVRAVLDPWVRAGRVTVQDIRVQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLQEVLGELERYTQFTIEQNPMSSKLCVEDPNKEYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVIGRTTHKTESLIRYYHYHNSINVMGEPCREFVPKPTNGSKVMFEGIPYVYDDNMKRLAAEIKSFEEDTIGITHT >Dexi3B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:3B:1373079:1378033:-1 gene:Dexi3B01G0001960 transcript:Dexi3B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEAPLLLPAPSVEGCPGCVMEWRKANSKGRVPYMELFFVGVTSLASCTVRSSCYRPIGDERRRRQADWNMNLDSVSSRLDRAPLPITCLFPFMYFMVRDFHIAKTEEDIGFYAGFLGIVNTAWALGLIVGPALGGYLAQILSLWAVSDRKYGGLSFSTEGIAEVLSMAGASLLVYQLIIYRWVHKILGTVNSSRVASITATTGICLLQNNVVCQEQRGAANGISTTAMSFFKSIAPIGAGALFSWAQKRQDATFLPGKLSMFYPC >Dexi3A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:3A:15141370:15143094:1 gene:Dexi3A01G0019310 transcript:Dexi3A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNHTVTTTANGTNHAAAMAPAIPPLGHPAPLDADEFRRQGRLVVDFIADYHTRIDEYPVRPSVAPGFLARKLPETAPERPEPGDALAAALRDVRDLILPGVTHWQSPRHFAHFAATASNVGALGEALAAGLNINPFTWAASPAATELEVVVTDWLGKALHLPEALLFCGGGGGTLLGTSCEAMICTIVAARDRKLAEIGEERIGDLVVYCSDQTHFSFQKAARIAGIRRGNYREIPTSRESGFTLSPKALLAAVRADVAAGRIPLFLCATVGTTPTAAVDPIRELCAVVAGHDVWVHVDAAYAGAACVCPEFRHAIDGVESVDSFSTNPHKWLLANMDCCALWVRRPEALTSALGTDHDVILKDPSSSAQNGHSNVVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRSFVRSHVRMAVAFEAMVRGDARFEVPVPRRFALVCFRLRRRSIAGAVGEKRARDEVEEHNNELNRRLLEAVNATGRAYMSSAVVGGIYVLRCAIGNSLTEERHVQEAWAVVQEQATVVLAAETTTCTDGTNERAVRCARWVESDADAPAPVPPVQMRFPSIQS >Dexi5A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:5A:106920:107411:1 gene:Dexi5A01G0000100 transcript:Dexi5A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNKKKTPPVVIAAHDCPSAMRAHVLEVPPGRDVLSCVSSFARRGRRGAVVLGAAGRVADVVLRRREVLRGSMEILGMAGCFFPFPRPSPATAVFLAGPRGTVLGGAVALGGLVAAGPVVVVVATFVAAALDRLPLIKDMPTTGQSTPAAADHRDNVVCM >Dexi9A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:9A:3877498:3880101:1 gene:Dexi9A01G0006840 transcript:Dexi9A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAAMAAGAAAARPAATAAVAAASDHYARLLQLCQTAANPSAGRAIHAHAVKAGLLVSAYLCNNLFSYYAGAGAKGGSFRDARRLFEEIPPARRNAFTWNSHLSMYAKSGRLDDARAMFAEMPEPDAVSWTVMIVGLNRAGRFWEAVKTFLDMVGQGLTPTQFTLTNMLSSCAATEASGIGRKVHSFVVKLGLSTCVPVANSVLNMYGKIGDAETAKAVFERMPVRSISSWNAMVSLYARQGRMELAVSMFENMEERTIVSWNAVIAGYNQNGLDGMALKFFSRMLSDSSMEPDAFTVTSVLSACANLRMLKMGKQMHSYILRTGMPYSGQIINALISTYAKSGSVEVARRIMDQAVVTDLNVISFTALLEGYVKLGDMKQAREIFDVMNNRDVIAWTAMIVGYQKNGQNDEAVELFRSMIKSGPEPNSYTLAAILSACASLACLDYGKQIQCKAIRSLQEQSVSVSNAIITMYSRSGSVPLARKVFDRIRWRKETVTWTSMIVALAQHGFGGEAINLFEEMLHVGVKPDRITYIGVFSACTHAGFVDRGKMYFDQMQNEHSIVPEMSHYACMVDLLARAGLLREAQEFIQQMPVTPDAIVWGSLLSGCRVRKNADLAELAAEKLLSIDPDNGGAYSALANVYSACGRWNDAARIWKLSKDKAVKKETGFSWTHAHNKVHVFGADDVLHPQRNAIYKKAAELWEEIKKAGFVPDLNSVLHDVDDELKEELLSRHSEKLAIAFGLINTPEKTTLRIMKNLRVCNDCHAAIKFMSKA >Dexi4A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:4A:5313518:5314333:1 gene:Dexi4A01G0007200 transcript:Dexi4A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAMASSVPAIVVLALGAGALGGFDTFQLLFAYAGRKSPIVDIAICVFVIAVLTAQLLATVLLVRFVRKAPPPPTGARDEHPTDYVTGTTLLLSLAAALTVTACLVLVPGGAPVRVLVDFAPKCFPFVITASAGALLLPYAMPPLLRRVVANRGPWWDARGGAAPGAGSATTTTHHAAARFVVIVLTLVAAVCACCALVAVPLGGLDTPRRISHGGLFTNTLVAGVVAASAALVVGFYRGRARNAGPLFGGGDAVPAPATVTRTRGASAG >Dexi5A01G0028620.1:cds pep primary_assembly:Fonio_CM05836:5A:31838493:31841479:1 gene:Dexi5A01G0028620 transcript:Dexi5A01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNVTGQQVSDEAAYRIDKTIEPSNGAPRLNSTDSETNNEKDGTEDVNLSKSLKGLIITNAEDKKVASYQNISSDTIEGLDEKKRGSIEDNTSTTQEDDQSSYLPKDGESLRKEAFETTVFSTEIVALVGNTNKDVTSNKDSSVSCEKMKQLMHGTREDTSEENIESNYKSLLQENTEDPVEDQEETSSHENASMGGNIDGEDTTEILEKGYIEVPKVEVEMQKDTTSSVESNVSTYLDADDSEIKEVVVEDKPGQRDSPPDEQALYGINLETDKNDNIEAEMAHDMEEISAISKTATVNIMVEIDEALEDDKHIHELGNQYENIIECTSCDNLCNNEVLAKCQSSSRNPIAINDRELGNELNERVVASRQISGSVTAKVEHTGIETLHKDEGILEKIVSVWNLEDKFAVKNETEEYDGDLINIAEVNANDHTGLHSSSLDHLVVNEVEVQRKINGVNVKVEFEEILEEGDKVNTAEGLGIHVDSDGSHVVAKEGGDSSNLLMTTPSTPLQVLENIEKEVSITRDTQEIMTNSQDDQPQQILLEEYEVVKLENGEILSNCMQLVGNSLNGDMISADGISHEKADPNTRASYFTFEANQKVVTVTASTAATGFTTECNQAKATASVDMATKEQRPLQTSTSGREAGEETPLLQEVKNIEKHNQVDVEIPVADIAITQFNAEVEEESEKSPLLTPRETSGDFRIKNHSATNQKPFQTLLTEGGVGMWSPLEEPESNPKRTITTSSPRSKEKQRPRSSLFTSCMCCATATN >Dexi5A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:5A:4931472:4932061:-1 gene:Dexi5A01G0006680 transcript:Dexi5A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPGNSRRPEEPAESGGGASKLHHGGLLGLATCSMAINLVVVCEPSPGLDKNAYYLLALSVIFFSGVAHVFAAVSASDDPRGRAGVHAAAAAPSKLKYVLSIAPI >Dexi8B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:8B:19592:29862:-1 gene:Dexi8B01G0000030 transcript:Dexi8B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDDQEGGHGGERERDTSGGRRSSLSLDMERDNKQQQHQVAAASSWRAAAASFRESLSRSLSVNQLEQQEKDDEVELKWAALERLPTLDRLHTSLFAGDGVQVVDVRRLGAAERRMAVDALIADIHRDNLRLLRKQRQRMDRVGVRPPTVEVRWRDLCVEAECQVVQGKPLPTIWNAAISNISAAFSMLGFNRQHARISILHGVSGVVKPSRLTLLLGPPGCGKTTLLKALAGKLNGAASSLKVTGEIEYNGVSLKDFVPEKTAVYIDQYDLHVPEMTVRETIDFSARFQGVGSRAEIMKEVIKREKEAGITPDPDVDTYMKVLSRKDQQQYWSCREEPYNFVTVDQFHDKFIVSQVGQNLAGEISMPYDKSKGHKNALAYSIYSLSKWELLKACFARELLLMKRNAFIYITKTVQEMKDQGYMERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIKVGGYPKVQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPTEIDSKTRNEFVNQVLETIELDEIKDALVGIPGINGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDEAIPGVPKIDDNYNPSTWMLEVTSTSMEAHLRINFAQIYRDSSMYKNDQQGLFTILGCMYGTTLFVGINNCQSVMPFVSIERSVVYRERFAGMYSSWAYSFAQVNIDLVSMEIPYVFVQIVLFMFIAYPMIGYTWEAAKFFWFFYTMFCTVLYFLYLGMMMVSLTPNIQVASILASMFYTIQNLMSGFIVPSPQVPKWWLWLYYTSPMSWTLNVFFTTQFGYEDDKKIEVFGETKSIAAFVRDYFGFRRELLPLTAIVMAAFPILFATLFGYNISKLNFQRR >Dexi8A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:8A:610313:614044:-1 gene:Dexi8A01G0000930 transcript:Dexi8A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLVWAALLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGTADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPDAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETKNGRTYSACTDDGCKCPDGFKGDGKHRCEDIDECKERIACQCKECKCKNTWGSYECGCSGGLLYMREHDTCISKNGATETGWGFLWAIFFGLVAAGIGGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >Dexi3B01G0027470.1:cds pep primary_assembly:Fonio_CM05836:3B:22992373:22995160:-1 gene:Dexi3B01G0027470 transcript:Dexi3B01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVCERETPTSVGERRSVSACRRAAPASRSAASRGGRKMSARSERNGRLAAGRMGMEGMGIEGLNKQGKEEEVVLVLVLVLGEQQVVPVVLQVVRWCPEHRMPSKLWHRLLSVLTIDM >Dexi5A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:5A:330561:331148:-1 gene:Dexi5A01G0000470 transcript:Dexi5A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACVFRDTHLVAELPADDADLPPLAAALVAAAPPHHRSVTHSAAGRAHALLLAPPLALAAVSRAPHLPAAQLLLFLRRLRCLPEDRMRDEMPRLAMRLPLPTDDEAALAREAQDVAAAEAEAEEAARRDAELAAARRTPKRDRHGGAAASAWRRQLWMVILVDLVLLAVLFAAWLAVCRGFSCIGR >Dexi1A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:1A:87090:89374:-1 gene:Dexi1A01G0000110 transcript:Dexi1A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAMAQPRREAMAARGGLSHLLDNFEDSRRPSSPSGLGSRFSSKGGRTASETGWAGRPGPLLRLLQAQPHFLSFGFGPFPFSPSLGHPAAHQPAQSASFSLSLTDSWAQGVSPFFLLPPSQQRALPPRRLISPPASLSDVTPRLPVRASPHPAPPHVFTPFHFVWKWPPPSPLMATKSFTEFLPLLSRAPFLPSSAPPRPPLPPPAKVRYASSTLWLHCFLADKLAEFHPSRGLSSKRHLTGY >Dexi9A01G0024160.1:cds pep primary_assembly:Fonio_CM05836:9A:20357640:20360557:-1 gene:Dexi9A01G0024160 transcript:Dexi9A01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGKPVKDSANTNIFEHAGRVFAIAENHLPYEINIDSLETLRPYNINGDWEQPFTSHPKKVCSSGELVIMGINPQKPHYLVGVISSDGQRLLHKVDLGFEEAKFIHDIGVTTKYNIIMDYPLRFGISRTLQQKPIFDNDMNGKSRIGVMPRFGDAESIRWFDVENHCSYHLFNCFEDENEVTHERKKVYIINQKYVWVNAVREDVAMDFPVINENFTGITNKYGYTQVVDSIATSKTGLFKYKMIAKLHFDEPDKKNGRDEDDGWVITYVHDEGTNISQVYIIDAKRFSDEPTAKITLPQRVPYGFHGNFFYK >Dexi2A01G0025770.1:cds pep primary_assembly:Fonio_CM05836:2A:37431976:37432221:-1 gene:Dexi2A01G0025770 transcript:Dexi2A01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAILAVVFLAGPFPSTTPPATGGAAAAAFAHVAACLAVLTIFVRMAPAEAHFVAMMEKLLVSAATAAAVASVALLYL >Dexi8B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:8B:6136470:6138969:-1 gene:Dexi8B01G0005830 transcript:Dexi8B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASARATDAETVKLTTTPIFPQIPRSQTSKDFQVLVTVDAPSPPVAGQKGRRVPIDLAVVLNVGGDRARLDSVKKAVRFIIWQLHDDDRLAVIGPSTTRLFGETATGFLDILNGRRNAESSLEKLEPRPRDGQTQQASGLKEAIKMLSELPASTSNRASFIIFVTDTKESVRFSKLAREFPKNLPVVHTFGVGSGHDPKSLLTIAEESHGTYSFVDDAENAIAGAIAVTVSGLKSVAAVKTRLRLEAPAGSGVKIERVESGGYTSAIAGDKNSGEVTVGVLYAGEAKSFIVHLHVPAVHATSSASVEGACDKQHLLTASFFVTDAGDDSGGEGSPPPTSILSVQRPLPDCIAVAATLQKVPVPIVMDHIAQFGVLELVTTFIENEIWELSSITAEVGPTMAAKLQTKWEEFVQARQFWIGLDLATFEVEITKMVNLLAAAGTGGSSPVMVTAYMFSWLSSYQMQRPTAMGSPSSVAPTFVTVTLQLTLQQVTTIVEVHGGGGGGGGGCPPCVCDDACVDAKPPPVFVPSGRHGDSYNINAAYPAELLDVINQAINQMYLALVQASNVKQCNSSGSVEGQRPPRAIA >Dexi2B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:2B:5134972:5135573:-1 gene:Dexi2B01G0005580 transcript:Dexi2B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSSSSRTSIAPSTSPASARRRGQRSPSPDYAGDDHEKPSKVTLSGLLNFIDGLWSASGSERIVVFTRRTLAKNYLGVDEHPLFAAVEELLGEVKFTPADVAECLTCTRVGRGVDSALAHLIEELKKAKSPEKDADGEADSEVTEAGAPAPAAAAESAGQEKKI >Dexi4B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:4B:4521241:4526201:1 gene:Dexi4B01G0006440 transcript:Dexi4B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAGAEVDNLAAERAAARFDVEEMKVAWAGSRHAVEVGDRMARLVASDPVFRKDNRTMISRKELFKDTLRKAAHAWKRISELRLTEEEASLLRQYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYRFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGITLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVFVRQTIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETQDAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKAVSQLTSGKQPVGTMAYMGKVQYLMQCKCAVNAAEDWLNPVAIQEAFEARALRMVVNCAQNIGQAANQEEGFYERSPDLLEAAVAHIQLIIVTKFIEKVQQDIPGHGVKEQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANELLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLKLSRL >Dexi1B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:1B:4730409:4733026:-1 gene:Dexi1B01G0005810 transcript:Dexi1B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGRRFRAGAPVVLVLLMIAAAALPRRALAVTDAADVSAINGLYVALGSPTLPGCVFNAANLGGQLGSLGKFTSITEINLSNNNIGGTIPDDLPVTLRNFFLSDNQLTGSIPVSLSKLQSLTAMSLNGNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPPSMGSLTSLTTLHLQDNQLTGTLNVLQDLPLKDLYVHILLSVVN >Dexi5A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:5A:404308:405216:-1 gene:Dexi5A01G0000590 transcript:Dexi5A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPARRGEAPAAVPPGHYAAAGQPFFRPPPDRPSPTRPAPPGDHQRHGSAAPAPPPERPLPRPPGSDHRPVPPTIRTPDRPAPPAPPPPPPPGLGQQHAAYYPPAPPEPPLPRPRRASSALASCLVATAFLVLSAGGAGAALFLLFRPRPPDIAVAAVRLPSFAAANGTVAFTLEQTAAVRNPNRSPLAHFDSSLRVAYAGGELGSPVYIPAGLIDGGRTKDMSASFQVPAIPVAAPSQQPTVAQQMEQQPQPPEVIEVDSLLVVKGRVKMLGLLTHRVQAAKLCRVALSPIDGRVLGVRC >Dexi2A01G0025790.1:cds pep primary_assembly:Fonio_CM05836:2A:37457772:37462128:-1 gene:Dexi2A01G0025790 transcript:Dexi2A01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPLLPLLAGALIVVALVAAAPPSARAAAAASCPYTNLTAANFSADLTMLQHQLRGTVRLAANGTCALELTRFDLLPASPSARFWAAEGPSMADLAAGSAFSPLPLNRTFRNASLELPFDSPLPPLLALYDPDTSSDLGHVFLPNGTASDLESSEAADAAVERAPTMFDNCIPLSETETYRLRWTLNASAGTVEIGLEAAIDSEYYMAFGWTDPKANSPAMIHADVVVTGFTEEGTPFAEDYYISDYTECTLGMDDSPVSGVCPDKVYEDGNNDSTSLVYGHRRDGVSFVRYARKLDSEDAKYDVPVGATEEMAVLWAIGKLRPPDTLRQHYLPQNHGGPKDTTFGFARLNLSEAVDNCIGPLDADNKEDQERIIADRETPLVVTSAPAVRYPNPPNPDKVLYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPDTHGVLATPKELVWLPDRNTPDLVYYQSLYEEKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWSLSQDSISIAARGEKKSGYLAIGFGSGMVNSYTYVAWVGDDGVGRVKSYWIDGKSAAAELRGFFVTSVHVKFGVVALLLAILQPLNAKFRPSKPAHGEVPSQNRILWEYLHVITGRSAVIVGVVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVIVLCLEYKEVKRRGSDRSARGHWLLRQPPLRQGPTPSPHLEAGEGQQQLRAGEGSSSDRCPEQQLGVAISAEPGSASTAEEPSPNKVKSSNNRIKSSSNTSKSKTTKAESDDNEPSTRPCPLPRRVLPAPFYAELHHRPGELLLRCRAAPPAGASLSSTAAGKSSGGWRDPQLPHGQAPAPRAGAPHGSRTNAANRIQREKPMAEDNGESRRVGRKKKIRKDKI >Dexi9A01G0037280.1:cds pep primary_assembly:Fonio_CM05836:9A:41554322:41555059:1 gene:Dexi9A01G0037280 transcript:Dexi9A01G0037280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRVLEAWRLGMVKYGDALQLQERLVADRKAGRVGDLVLSLQHPPTYTLGKRREKAERNLLAPEAELRALGAEIHRTERGGDVTFHGPRQAVLYPILSLRPLGLGARRYVEGLESTMIQIAALHGVTARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPDLGYFEHIVPCGIAGKGVTSLRREVRDGVELPGDEVIHDQLVRCLGRTLGFTDVEFRDDSECGDMIAAAAATQS >Dexi3B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:3B:11222708:11224333:-1 gene:Dexi3B01G0015480 transcript:Dexi3B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESTHHEVKLDVHDHDAGVRFLHTQPTLTIRSEEPPLQQPRQATLLARNDSGTRDRRFDSFKTFAGRLERQLSSIRGGSSHHRADDNEPSATGESKTTTTSDDDETDEDNDEVPTADRYFAALEGPELETLRPTEVPVLPEDATWPFLLRFPISAFGMCMGVGSQAMLWKTLEQEPSTAFLHVSGGANDAFWCLSVALMCLVSATYLLKLVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGTPQPQQVSTAHHVAWYVLMAPILALDLKMYGQWMSGGEWRLSRVANPTSHLAVVGNFVGAQLGARMGLHEAPLFFFAVGLVHYVVLFVTLYQRLPTNVQLPKELHPVFFLFIATPSVASVAWARISGGFGHGARVAYYISLFLYLSLVVRVKFFRGVRFSLAWWAYTFPMTSAAIATAVFATEVDNVLTRAMAVGLAGVATVTVMGVLAATMYHAFVLRDLFPNDVSIAIRRRPKAKFGKILARIRRTSSVDIRELVSRHAGSETSSVSEPATPTGHGRGRAEP >Dexi5A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:5A:6040273:6041638:-1 gene:Dexi5A01G0008120 transcript:Dexi5A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNSRRQEGSPLDLNNLPEEYGKQAVESSTTTATSSADAVRIKKKRGGGKDDASKVYECRFCSLKFGKSQALGGHMNRHRQERETETLNRARQLVFGNESLATVGAQMSFRDVNMRSTAPSTILGGGATGGGVAGDPCLSFRPVHPRLSSSPQPPLHYLYTAAPSTLHPAMSYPSTYPGPPPRQQPAVGDYVIGHAVTAGDALMQPPPPHHGSSFCFGAPLTTAPPPAVATTAAAAANVQAADKALQLQLRLRRSQQK >Dexi6B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:6B:7838143:7839114:-1 gene:Dexi6B01G0006890 transcript:Dexi6B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSRIDEESHGDNLIATLPTREGLSTPLTLFKNCWLRPQVLKNCLPVQDKFMPRSEDIILATHPKCGTTWLKALAFAITNRVHYALADHPLLEKNPHKVVTIIEAQFLSERGGDLDYIESLPSPRLLATHLPLSLLPSGVCKAGCRIVYLCREPKDAFTSMWHFENKVRKGDPISLDEAFNMFCEGCSSFGPFWDHYLQYWKENLARPQEVLFLKYEEIMLDPLKAVRKIAKFLDFPFTEEEESRGDDKELVRLCSFEVLSNLDANKTGGIERPGNMFIEHSSLFRKGEVGDWVNHMSKEMGEKLDILVEEKFKGSGLQF >Dexi3B01G0026270.1:cds pep primary_assembly:Fonio_CM05836:3B:21228348:21231150:-1 gene:Dexi3B01G0026270 transcript:Dexi3B01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGMEGNNLLHFLDTPSAHYRRTCDGFEAENDGDDHSDASDTDPANAREMLECLLNQPANKFCADCGIPDPKWAALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAESGGNSVVNKRYEAFLPENKKIKQDCSTEERNDFIRRKYQFQQFVCDPQFSCPLPLNKRHAADKHQQHNNNKHGFGHAFRNSWRKKDSDNKGLKKMSDVGMIEFVGLIKVNIVKGTDLAVRDVMSSDPYVMINLGHQSMKTRVIKNTLNPVWNERLMLSIPDPIPPLKLQVFDKDTFSSDDRMGEADVDIRPLIAATKEHENSTINELTELYRWSASEDSNGVLAKDSVISIVNGKVKQEITLKLQNVERGEVEIEIECVPLSQ >Dexi1B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:1B:4693700:4694570:1 gene:Dexi1B01G0005740 transcript:Dexi1B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCLNPSPSSPLPLQASTTSSSASRLPPTTAARGALARSRRRRAPDPAARVAPGRAPPDSSLLAPHHARAPPDQAEEATLEA >Dexi5A01G0023180.1:cds pep primary_assembly:Fonio_CM05836:5A:27244004:27244895:1 gene:Dexi5A01G0023180 transcript:Dexi5A01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASASSPLPALSNGYQPLPSMYLGFLAIWAASGFSWAFSSWRNRHFQTCSLWMSFGAYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAVLGCLLYLSLIGYKAAVPYFTVSPRST >Dexi3B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:3B:673124:678661:1 gene:Dexi3B01G0000780 transcript:Dexi3B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding STQPEAAGYWRAGMTTLRRLLRARAEAAVASVVTVLPHEIPPLLSAAATFFFILSAYFVVLPLRDEGAISLGLDTLPGLFAGSLLLTILAAPVASLAFSLPSVPKPRVLMLLFSSLLMELAAVSSKGICIDDSQGSMELSPTGAEKIQNTEADDEMSSLKVTIIATTISSPAARRRTFALINSFIAVFILVGQLTLTGHILTVAGVTVAICASPLVASSNMVALAVWPTWIAVAITETIRKVVTYVLTRPGRELLFTVVSLDEKYKAKVCIDVIVQRLGDATAAGIYSLLFSRLEKKATMATLYALPV >Dexi4A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:4A:21595721:21599400:1 gene:Dexi4A01G0017730 transcript:Dexi4A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGAQAREEVPVTPEPQQPEEDQAVSDRRVLRSQYHAVKSLISDDKDVMASVDSDSSAPSSTRLRACTCVKFGKPGGPDDEHAWLDWARVGRAASHVFMAAPGCATMVGPMKTEVKARRVFNRRKRTAKPLGSSCPEQLSDPTEKTKSDTDKNMSAIFNLLRRKKNARLEHLVLNRNSFAQTVENIFALSFLVKDGRVEIGVNDEGHHIVYPRNAPAASAIASGEVVYNHCVFRFDFKDWKLMEGVVPDGEELMPHRSSQDAPGTAGNRHPEPELPTHTQAPPIRKRCRNRGLVLQDETVATGEHEVTAGETVASGTQQVVEDEMAVKEVVEDDEGEATGAKGVMDDNIVAKHRKEINLTYKRRRLFQDN >Dexi3A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:3A:14735371:14735804:-1 gene:Dexi3A01G0018860 transcript:Dexi3A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDQSPEEVYSVWAFPPEPVRDRLRRIMEGLRAPLGGPAFEPHATVVGDFRSRRSAAIEVLHTAAAGVQPYTARVTGVARGTFFYQCVYLLLEPTPENFVFTR >Dexi2B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:2B:5047449:5048323:-1 gene:Dexi2B01G0005480 transcript:Dexi2B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGIWPNSPSFSDDGFSPPPSRWKGICQNFTCNNKIIGARAYMEGSTDGLSPLDEQGHGSHTASTVAGRAVSNVSFDGLATGVARGAVPGARLAIYKVCWSGGGCGEADILAAFDDAIADGVDVISFSIGSSEPSQYFESAQAIGSFHAMRRGVLTSASAGNSGLRGGHDCNVAPWMLSVAASSIDRQFIVRLVLGNGETIVGIAINTSPTIVNAKIAYPTGG >Dexi3A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:3A:1124917:1125452:-1 gene:Dexi3A01G0001660 transcript:Dexi3A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTALPLLLARRPVSPRPALAHLHSPRLALAPLRPATLSAAAATRPRMAGRLQQLNAASCCGNSAPAAGTTGGSAKDH >Dexi6B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:6B:2690885:2693653:-1 gene:Dexi6B01G0003260 transcript:Dexi6B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGMIRHYKKEIDDILRAVYDDHEDGGSDGDDLYVTSLRFYVLRKHGYAVPSGNISSDDVNTLLTLYDATHLTVHGEDILDNIIAFNKSRLRSLMKTNLESDLLEEIRVTLETTLFRRVERVEARHFISVYEKKATRDNTILEFAKLDYNLVQVVYCNKLKELTIWWKDLRSRVDLTFSRDRNVICCTDKVVEELKVKNNKHAEVVREMALHVAKSYHAEVTWRDEHYVPADVDEHLQISLGSIAAMQTVVLAFVSLGDVATREAIDWAMTYPDIVRGVTVIARIMNDIMPHEREQASDHMESTVQTCMKQYGVTVEEAIEKLNVIVEKAWMDMIQECLDQKYPMLLLEKVVSFAQSIDFFYKSEDLYTLPWNLKDTLTSMYAKFI >Dexi9B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:9B:4943211:4944448:-1 gene:Dexi9B01G0008020 transcript:Dexi9B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTRAAKIPSFQQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVVKTRMQVASGDALRRNALATFKNILKVDGVPGLYRGFPTVIIGAVPTRIIFLTALETTKAASLKLVEPFKLSEPVRAAFANGLAGLSASTCSQAIFVPIDVISQKLMVQGYSGHARYKGGIDVARKRAG >Dexi5B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:5B:1191112:1194501:-1 gene:Dexi5B01G0001860 transcript:Dexi5B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSLMRRAAAAAASRRLLHARAFASGGGETTKRVLVPVAAGTEPIEAATTADVLNRAGARVTVATADPAGDGGLVVEAAYGVKLVADGRVADLQGEAFDLIALPGGMPGSVNLRECKALEKMVKNHAENGGLYGAICAAPAATLAYWGMLKGLKATCYPSFMEKFTTEVIPVNSRVVVDRNAVTSQGPGTAVEFALALVERLYGKEKMEEVAGPLYVRPQHGVEYTIKELNSVEWKCSGTPKDKKATAFPPMSHLLTDQSACEHRVVIDGNLITSQAPGTATEFALVIVEKLLGRDKAIGMAKELIFM >Dexi4B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:4B:15822664:15823203:1 gene:Dexi4B01G0014680 transcript:Dexi4B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLPPASGIFFPPPPPYPPPPPWSPPPPSPPTQKPVGGVIAGVSIAVAILIFLLACICSLIRGHRQSLANAAAAEAAADAASPRTPTLRPRMTAADLPSFTYSQSVKRNVTGAGEEAATCSVCLGVFQNGEMVRLLPVCLHLYHVECIDPWLDAHSSCPICRAGMDPAVDGGQLPPV >Dexi8A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:8A:2870285:2875118:1 gene:Dexi8A01G0003770 transcript:Dexi8A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVRLVWEDGYCGHTSCPVGSEPPEALPSDTGCSVTAADTICSLVNKVMASEVHIVGQGTVGRAAFSGNHQWIVHGTANGHGLSSEVVAEMNNQFRVGIQTIAIIPVLPRGVLQLGSTGLCSIDGLLEKTVKHMLFLQSVTKNADKLKDSTESKILGTENGPLWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEMRKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDGSRAAENANNMSKPLGLVHQPVIPATGRIQ >Dexi2B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:2B:25837939:25840797:-1 gene:Dexi2B01G0015760 transcript:Dexi2B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCKQCDQRIYCVPCISRRYPTMSEAEVKEQCPYCCGVCNCSRCVGRDKQAGPETSGLNKCNGNGLATREKRPASAGVKSPRACNATRRSKGIGHSFVRSGMNSPALLDEVDTSDVGTDEVDPETKRKYASYLLHYLLPCLTQINKDQMKEREVEARIQGLELSELIVDCADTSKDERVFCDNCRTSIFDLHRSCPDCSYDLCIVCCKELREYHLEGSCQEAPVQVNDRGERWSNYMHGGDAPPDSSKETGSPSHQSESIKWEADPNGAIHCPPSELGGCGKHLLKLRRIFEKDRLSNLEMVGLQISKQLQPPDIITADICECSCSANHLSSRKAATRESSTDNYIYCPVSDDGKPDDLKHFQKHWVKGEPVIVQGVLKKMSDFDLSWKPEDMWSEVYGTNTSSGKEKVKAIDCMSCCEVEIGNAEFFRGYYEGLEYHNLWPEMLKLKDWPTSNHFENILPSHGMKYINSLPFQPYTNLKSGLLNVSVLLPDDILKLDMGPKSYIAYGCAEELSRGDSVTKLHCDLSDAVSQ >Dexi4B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:4B:5201465:5207178:-1 gene:Dexi4B01G0007360 transcript:Dexi4B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGVASPPFLFPSSSSSPHHLRRVATTAAAAVSSASEDFDYPLADPSVRWPHLRFPHLPAPRFPATVTAAPPAPTTRPPQVEEDDEPGETSALVEPLDARAHRGRVKKLSKLALRRARDWRARVAGLTDAVLALPPGAPVDDVLESARAAPDEVALVVRTVGETSWRRALDVFEWLARSSAPAPRAVAVVLGVLGRARQDAVAEELFLRFAGEGATVQVFNAMMGVYARSGRFDDARQLLDTMHDRGIEPDLVSFNTLINARAKSGCLPAGVALDLLSEVRQAGLRPDVITYNTLISACSQSSNLEDAVTVFEEMLASECRPDLWTYNSMVTVHGRCGKAKEAEQLFRELVEKGFTPDAVTYNSLLYAFAKEGDVEKVEHTCEDLVKAGFKKNEITYNTMIHMYGKMGRLDLAVGLYDEMRAMGCTPDAVTYTVLIDSLGKMDRVAEAGKLLEEMAGAGLKPTLVTFSALICAYAKGGRRAEAEKTFDSMVASGVKPDRFAYLVMLDVFARSGETKKMLNLYRTMMKDSYRPDDGMYQALLAALAKEDKYEEIEEVIQDMELLCQMSPGVISTILIKARCISQGAKLLKKACLQGYEPDIKSLRCIIDGYVTTGKHEEGLSLLECIREHVSSSHDLVSECSIMLLCRKQTSIAAYDEYSRTQMLKYESFGRNCNLYGYLITCLEESELFSEACQVFCDMQFIGIEASKNIYESMISTYCKLGFPETAHRLMDDALQSGIQLSVLSSRVLIIEAYGKMKLWQQAEILVKGLRQTSGIDRRIWNALIYAYAESGLYEQARAVFDNMIKTGPLPTVDSINGMMRALIVDGRLDELYVVVQELQDMDFKISKSTILLMLDAFAKSGDVFEVMKIYNGMKAAGYLPNMHLYRSMISLLCRHNRFRDVELMIAEMEEVGFKPDVAILNALLMMYTATGNFDRTIQVYQSILEAGLEPDEDTYNTLIVMYCRNLRPEEGFTLVNEMGKRGLTPKLQSYKSLLAACEKAEFREQAEQLFEEMRLKSYQLNRSIYHMMMKIYRNAGNHSKAENLLAVMKEDGIEPTIATMHILMTSYGTAGHPQEAEYVLNSLKSSSLEVSMLPYSTVFDAYLKNGDYKLGITKLLEMKRDGVGPDHLVWTCFIRAASLCEQTDDAVLLLKSLQDCGFDLPIRLLTERKPSVLSEVTNYLEELRALEDSAALNFVNALEDLLWAFECRATASWIFQLAVKRSIYRDNVFRYLWQFIRAKFYLILLQFFVFKYLYFIVVEKDWGADFRKMSAGAALVGLTLWLDHMQDASLQGSPESPKSVVLVTGEGEYNMVSLHKTIRAYLLEMGSPFLPCRARSGRFVAKAYSLKMWLKDSPFCMDLELKDIPAIPKLNSMKLIDGYFMRAGLVPAFKDIHERLGEVWPKKFSRLALLSEESRDEVIKAEIQGKKEKLERMKKGLVTARKSKRKPRRTKFVREQEQGMKAVSK >Dexi4A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:4A:4629959:4636095:1 gene:Dexi4A01G0006430 transcript:Dexi4A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRPKSKTKSSPAKPIAASPVPQMDLCTPSKATPRRKSKSATSPAPMSPATPSTVRRSRRLLDTPTKDNPEVPLKATPTPTSRGKRAAPSPKTPAQREPKRQRRQPRKRAYYRKVVYDGGEFEVGDDVYVKRRENAESDAEDPEDEECRVCFRAGGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAVQRPCPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGMFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLGDIEVMETILRHCSVMCPKDFRDASDGGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPSDEPYNAGNEYVSDTDEDSEYDEEEEPKSCFSARRNQSHELAANSRKGRTYGLQKIGIRQIPERVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGTLKPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGASKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNFRQLQEIITSRLKGIDAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFTDYRIKQSGQTSFSANKGTVDICVVCMSDIEAAIQEVFQAPHIQVMKNCPKFGKVILVAMVHELYKSGLGEVTFDKLARTVLSWCQVNRELLPGYDTLMKLCCKLGESKVILCEEGSKHKLQKLQLNYPSDDVTFALKESTDLPWLSKYL >Dexi5A01G0036080.1:cds pep primary_assembly:Fonio_CM05836:5A:37760412:37763895:1 gene:Dexi5A01G0036080 transcript:Dexi5A01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPRPPCAAAGDAAAADVDVVTTGGRRRIPAHSSVLAMASPVLASILERRLKKDRESGKAGRSVVRIRGVTDAAAAAFLRLLYAGRCGDGEEEEDMEKHAVQVLALAHAYQVPWLKRACEGAIGARLTADSVVDVLQLAALCDAPRLHLRCARLLAKEFPAVERTEAWRFLQENDPWQELQLLQGLHEADMRRRRWRRKRAEQRVYVELSEAMDCLDHICTEGCTEVGPAGRAPAATPCARYATTCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDTCNTPLCRRFKEKEQEKAAAMKAGDDGDKWGLLVKKVKAARVFSSLANRKPQMSSTSQC >Dexi7A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:7A:28787884:28790197:1 gene:Dexi7A01G0019680 transcript:Dexi7A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPATAPAAGSGAGGGKDDELADLLRRLVDALARYADRLPFDLDRQLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSAVEVLLEIAKFCDVYLMEHVLDDGSEEKVLSSLSEAGLFASGVLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSQTLIVAITKGLSDINCTFPRSDQKE >Dexi2A01G0025980.1:cds pep primary_assembly:Fonio_CM05836:2A:37588641:37589233:-1 gene:Dexi2A01G0025980 transcript:Dexi2A01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLTKAVQWWQEEELRILVLASLVVQLFLIASTSLRKYAIWSWVRLLIWVAYLGCDALATLFNRHREQGGTSLLVLLWAPILLMHIGGHGDITAYNIEDNELWMRLVVTAWSQITVAVYVFCKSWPAGGDRMLLGAAILLFLQGAEECMNKASRLRFGTTSSISDSDEDGPLARLSN >Dexi6A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:6A:393267:396876:-1 gene:Dexi6A01G0000640 transcript:Dexi6A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMKPLLTKLVTLMGDKYKKLKGVHKEVLFLKDELGTMNALLEKMDDIDELDPLAKNWRKHVVDMAYDIEDCIDDFMHRAREAEGKVGILQKASHCLKTFKDHYLIANEIHKIKIRVIEASKRRLRYKLDDFISNTTLVAVDPRISALYKETASLVGIDTPMKEVIKLLRDEGQQLIVVPIIGFGGLGKTTLANEVYREIGGQFNCNAFVAASQKPNITTLRLTVKEMSKSSWEDHSIIGKLPSLILLNLKITGVLTERIVIGGSTGFALLQSFEFNYDGTSHLTFESGAMPKLRELMLRLDPWAWDKATPVGLHHLSSLKEINVYTLELRGSTTRSGESGQKIMNSVFSKAAAAIPSRPAVAVWCG >Dexi3A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:3A:14173160:14181672:1 gene:Dexi3A01G0018630 transcript:Dexi3A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGEADGIHEANRRAELVKWLNTLFSEFNLPSDSSDEELRELLGDGVVLCRIANTLIPGVLEGSWGGYASLDQRSGNVKKFLSVVADMGLPGFSVKDLDERVVYLLRKVVQEIERRLCIQAEHIRSQNIIIKTREAKYCSKIKALEILVNGTNEENQMATNRLQIVKDVRISSRLIKNDMYAIQMKWRDEMSNLGSGLKSLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTIDYMGENGELLISNPYKQGKDGHRMFKFNKVFNPFASQAEVFSDIQPLIRSILDGFNVCIFAYGQTGSGKTYTMIYIYNSILSGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQLTKDKVKSPNLSSDRNGASLTKNTVNQPSQLLFGEKMLKSSDRVLSDPQSYSEANGNYNHTSTEVAPVRFDEAEYEDNTSDDDLPAGENENSNSDKAAEMTTERHRVSSRISRFTLTKNGQPSMSRSKPKDAVLKTPGGPEFRAGTPYPHGRKKQQTTQPLRPPSPLSSGSSLLVSSGQWRSPDGSTAGASALR >Dexi7B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:7B:20632100:20634174:-1 gene:Dexi7B01G0014370 transcript:Dexi7B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESNPTSFCISASVLTHVGATSGIGASPSRYCATCRIPGRASGTGCAHARPSLITILASSASYLPHSLGSTRGAPALVLLHPVQQHVALVVVFVAGDEPAAERATAAGDLEHEHAEGEHVGDGGGLPRAHQLRGEVAHGAHDVGGLGVHAVVVEPREPEVAEAAAHVGVEEHVAGLDVTVDHDHLPVLVESSLYEKRCGPNSTSQSSRTSAYLIRLRTNRNTPTSSARTNAAVAIGSKISSNLDRGLGPNRGSFGSFECRGCAAVHGEAPAKDVVPREDDTGGRREADVGVGVRSDGREVDRRGDERLLGLRHGHGCHGDLEPRGGAVVVDPDLHGLAADEAEVAERPRGVVVVAGAVVLQRPHAVHVDADVVAVHVLEFRVEHGVELDEEHAVAVVAVVADVEDAEVESLVLGATTNAMPLSLSTVKLDTMANTKDAEKDLAAPPGTSRRRTGVGWNAWPAIWLEPVRGHHGHAVEREVGAGEAVVGELLGGEHGRMVGARRGEEEEGEWEEEEQAEAPHLAYHQLTASGSWKMDDSLLSTETILD >Dexi8B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:8B:26074025:26077049:-1 gene:Dexi8B01G0015270 transcript:Dexi8B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDGNPSSAGAVAGVDALSQDLLAHALAGVHDPRDRKSCRLASRAFARAEAASRRSARPLRREALPRVLRAFPSLTSLDLSACAGLDDASLAAALSSAGGTELGVRRVSLARASGVGWRGLEAMVAACPRLEAVDLSHCVAAGDREMAALAAAAGLRELVMDKCLGVTDVGLAKVAVGCPGLERLSVKWCREISDIGIELLAKKCPNLRSVDISYLKVSNESLRSLSTLEKLEEIALVGCMFIDDGGLRMLCAGNSLQSIDVSRCHHVTSQGLASLIDSQRFIQKINAGDSLHEIESCFLSKLPTIGETLTVLRLDGLEIFASNLQAIGDSCKNLAEIGLSKCNGVTDDGIASLVVHCGYLRIIDVTCCHLLTNDALAVIAENCRMVECLRLESCPFINEKGLERIATHCSHLKEIDLTDCRINDEALQHLASCSELETLKLGLCSSISDKGLVYIGSNCAKLVELDLYRCSAITDEGLAAVAQGCKKIRMLNLCYCTQITDAGLKHLSTLEELTNLEMRCLVRITGIGITSIAIGCTSLIELDLKRCYSVDDAGLWALSRYSQNLRQLTISYCQVTGLGLCHLLGSLRSLQDLKMVHLSWVSIEGFEMSLRAACGRLKKLKLLGGLRSVLSPELLQTLQACGCRVRWVDKPLVYKG >Dexi6B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:6B:26821659:26826003:1 gene:Dexi6B01G0020350 transcript:Dexi6B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALTSSSLPAAGLDTGRRSRRLQRVRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNAYDIVSAFQCYGEYVSGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDNFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDHPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEEAMITAISESPATFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDIITIDVSKRVIDVDLTEAQLEERRRKWSPPSYKATRGALWKYIKLVSPASRGCVTDE >Dexi1B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:1B:4398397:4398735:-1 gene:Dexi1B01G0005410 transcript:Dexi1B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRRSRRSPHRDLAEAEVNLPRQAPPRRENPATEVVAQMPAPRHAMERGMGRWREMGRWRRCPNPPPPPSPPVACAPPVVATVRDCGGRRYEEAAETPRRIERGRDRIRL >Dexi2A01G0037120.1:cds pep primary_assembly:Fonio_CM05836:2A:46769224:46772195:-1 gene:Dexi2A01G0037120 transcript:Dexi2A01G0037120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAEMGLERRAYARVGLLGNPSDVYGGRALSFAFTDFYATVRLRPSPELLIQPHPHHDLVAFPSLPHLVSRLQSEGYYGGVRLLMAICKVFYNHCIQNNISLKPENFTLSYDTNIPRQAGLSGSSAIVCAALSCLLDFYDVRHLIKVELRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSKEHMDKLGHGIYRPLDVNLLPPLYLIYAENPSDSGKVHSTVRRRWLDGDEFIILRMEEVAQLALDGHRALLQKNYAELARLMNRNFDLRREMFGDDVLGSVNIKMVEVARSVGAASKFTGSGGAVVALCPDGEAQVELLHKACQEAGFVVQQVKIAPTSLSDGELSSLLSC >Dexi2A01G0027170.1:cds pep primary_assembly:Fonio_CM05836:2A:38561109:38563791:1 gene:Dexi2A01G0027170 transcript:Dexi2A01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCNPELDLCKKSTASSPAEQTIFNWTIDGFSSLLDKGAGWTYSSVFEIMGMNCLAKADMRIAPFTSNPAYRGLRFIKMCTSQDGNHLSMYLSMKKPNHLPKDSANLVECTLSIKDQESGKHQKLTGSPFSRNLLDEQESGY >Dexi8A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:8A:22485765:22489604:-1 gene:Dexi8A01G0012750 transcript:Dexi8A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAEAEEEVRLELEAVAAVYGDDARVLRDLPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKEPPHIYAVESKGLDENRQSYIISSIENKAKELLNYQMLVALCENHHMQEAGEILSNMNHPAGDCPLCLYPLRGEDKDGSEFPFMKLMSCYHCFHSECIMRWWEWLQHSDANPKERNTGGVDIVTVLSGFDLSSSDKHYNVNQHKGFCPVCRKVFDEKDIEHVRDLLGECTSHMVSLTLDLGEDEKELLYSEAEQIRRKRIESLVNLQQECNGLIEPKKDLAIQPGMYVTLPSNTPDTATEENADPSEEITTSTSGTEQLSQANNTTSTTKPKNSGYRRRNRANASRRQPHGQPGRQQWQRKEADNSQQ >Dexi9A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:9A:948368:948713:1 gene:Dexi9A01G0001800 transcript:Dexi9A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYSIEIVRSSVTADFIVTILWIPLVELDSTVESALGNIFVY >Dexi2B01G0035080.1:cds pep primary_assembly:Fonio_CM05836:2B:42181260:42185611:-1 gene:Dexi2B01G0035080 transcript:Dexi2B01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIGGAAARQVTPPPPPLCCLLSTLSGAARTSLDFGRRRAIQDAKRFIVSQVHWKSYCKTLSLLAFQSFGVVYGDLSTSPLYVYRNSLSGRLNGYLDETTIFGLFSLIFWTFTLVPLLKYVLIVLSADDNGEVLSAISGLQDPATSGLADGTHRVAFMFAPIVMLWLFTIGAIGVYNIIHWNPRILVALSPHYIVKFFKTTGKDGWMSLGGVLLAITGTEAMFADLGHFTAASIRYMGQAAFLTKNIAAVNSSFYLSIPSLACITVMFITTCLMSLVIIFVWQKNILISLLFLVFFGSLEAAYLSSAVMKVPQGGWAPVALALVFMSVMYVWHYGTRRKYLFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPADERYLIGRIGPREYRLYRCIVRYGYKDVQKDDENFENHLVMSIARFIQMEAEESASSASYESSTEGRMAVVHTTDTAGTGLVTRDPGDDVDTAAGPSSLLTRSSKSETLRGLQSIYELESAGSVSRRRRVRFQIDEEERIDPRVRDELSDLLEAKEAGVAYIIGHSYVKARKNSNFLKTFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Dexi3B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:3B:8808184:8811329:-1 gene:Dexi3B01G0012540 transcript:Dexi3B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEHHDLIFGEEFCFPATTTYYPPLYAPTGTNVPTQLYQHQTISRCASRAPNYAGHQAPGISCMYVVPDYGIAHSPHGPHAFRPCAIGDGRFIRTQEYRTETVEHTYHQPVPTPQYAAFPTAAIRNPATAAQSLAYTNGLFVPGGLQQTVPVASERGVAWNQSVQATISPMKFQGHTMLPKEQPRRPAPWKQQFSGGAMVPTRLPHARQVNGSSNFCGVAEMVGPGSVLDDFMVHEEEEARSRQLQKFKLRRCAPHFIPAWHGPRPFRPVLPNYYSVLMDRVVSETNNLTDKLQNLKLDRLQGSSRELGNLASEASNTNTQKESHCYGNEAHENRVKAIPSPTPTYQPMAKDVKSASDGKQPCWKKVEIIQTGKPKPETVASVLSKGQCEEHPKE >Dexi5A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:5A:1429238:1433765:-1 gene:Dexi5A01G0002050 transcript:Dexi5A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCYSDTPIFWINFVMWDFRRRNVTDEWRNSVLPILWRCQWLELRMKELSSQVSKYDRELALIKKEKEIQQPVSKANGSMSESMQSHKGHGSSIMKRRKRKRHEENADASLYICEHQILSYFHGKQNQGGTRGLLIDDDRGSTGMIGELDTVTLPNSENYDTIFEQLTLKGTLVTIDGLLSRVHLLQDCLSKAHSGGENLAPSEDNTHSTDDILIDNQAANEACQQFDNAKHLPSGTSSKGQNISGPAETKSTCAPVEAKNSCAPVVEPVSPQRKQEPKPKKMMKKHSFSTKKQRKEASKTHATKKITEDVAAAAKNKTRSTFSAAAAEKTERKPSGAPGPGTMAARSAGKKHKNGNEPPDKKCESLASKKQETVKLSSAAKKQETVKLSSAAKKQETVKLSSAAKKQETMKLSPSANRQKTENPSSTGKKQETMKLSSSANRQKTENPSSAGKKQKTENPSSAAKKQETENMPSSTKETESSPLNLKIEKSVVVAVNSRRSQRVRKPKVFAE >Dexi9B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:9B:5821682:5822091:-1 gene:Dexi9B01G0009480 transcript:Dexi9B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMKLSLTFILLLSGLVVFGEVAAAGRTSVDCSLVRCIQGGYITCDNYPYQKLDGCICGCAPKNGKNCVLHLQSGSDQQCDTTEQS >Dexi6A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:6A:26347441:26348306:-1 gene:Dexi6A01G0018600 transcript:Dexi6A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTGAGSGGFDMEVVDHHQQQDYGGGGSNVPRRCAACKYLRRRCAPDCVLAPYFPASQPRRYADVHAVFGTSNATRVLQSLPVQERGRAADTMAAEARWRVEDPVYGCTGVIDRLQQEIRAVQHKLATTRAQLAAVHARAAMAPPPPQGAPPQPMMMMPPPLLLLPPPPPPPQHLAAATSAAAAGVEVVHGAGAHGVAAAVHEEEDEAPLMDPDEFLDLDGRL >Dexi7A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:7A:21049533:21050710:-1 gene:Dexi7A01G0010230 transcript:Dexi7A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADAAASPALAPDADAPAGEGLALAQFAAGCFWSVELVYQRLPGVARTEVGYSQGHRHAPTYRDVCGGGTGHAEVVRVHYDPEACPYAVLLDVFWAKHNPTTLNKQVRARFDPPRSDVNRSQRHHLYLSPAPAPAPPLADPMSVAGVVAGGLDGNDVGTQYRSGIYYYTAAQEKLARESLAAKQKEWKDTIVTEVLPARRFYPAEDYHQQYLEKGGQSAQKGCSDPIRCYG >Dexi7A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:7A:16719781:16720782:1 gene:Dexi7A01G0005450 transcript:Dexi7A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWETMEGGKDKHGDGDRGLVSDIMHSVAGGQQGYPPQGYPQQGYPPQGYPPPPGAYPPPPGAYPPSGAYPPPPGAYPPQYGYPQPGGYPPQGGYPPAGYPGSSYQSLGGSHGGMGSMGTVLAGGAAAAVAAYGAHELSHGHSGRGGFGIFGGRGGGRGRGGGRGRGFGLFGGKFNTWK >Dexi2A01G0035380.1:cds pep primary_assembly:Fonio_CM05836:2A:45028361:45031514:-1 gene:Dexi2A01G0035380 transcript:Dexi2A01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPGDAYPSLIQAVLPRIIYASAPPGNSAWENRRQCLKASDSVLRLWLERKTLSEYIICHHIKELEALNEASFGSSRRPSGTERALNDPLRDDEAFLVDEYGSNAGFHLSNLISTKVLDDEDGRSSERSFEGLTPEHEVTGASEQEASQLHVTKHQLILEEVDGELEMEDAAPSTGVEASSKCQDLTNIASCTRTAQHLSSIPPLPDDKAPSPPPLPSSPPPLPRPPCPGSQASQVQGALPVAAGCVEQQHPGANYNVEGQHPYSVANNRGNMDACVASSQPPVHYNSGYAGHNNQMFQPPPPPPPPPPPQQPIAAFHPSGPHGSLCVPSVPHHGNNYHQPPSVPPPNSAYHLQPPPHPPPPGPNQFPYPPEPEQRAQPWNYGPPYPESCQYGGHDRGHHGYNRRPHFDDRAHPFDDRGHRFDGGGHYFDRMHPFDDRWQQFHDRGQMHHEVMDGGRFPPFFPPGIFLSFIYPALKFCMVPHFLITLKHRLTNFIVDNHWNLHQVHVLGGLCLIGDPSTLLIPDKQWSHQFPMEEVC >Dexi4A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:4A:11966494:11968208:1 gene:Dexi4A01G0013040 transcript:Dexi4A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRSNFVETERERAGEERGAMAPVLETHMVPGDGDTSYSRNSTLPAGEQGNVKPMIEEAIESLPDANLVSDALMVADLGCSSGPNALVLVSTAVDAVRRRCLQLQQPPPELCLHLNDLPGNDFNSVIKSLATYREAQEVISPVITSVVPGSFHGRLFSKRSLHLVCSTTSLHWLSKVTTYHMMTSCLVSFYVTRRAHKFYNVWYQKQAPEELVQNGIPFYDRDEVVRRSRRSMIVDAYARQFEKDFTSFLRGRAQEMVPGGRMVFSMIGQRPEEERENSLLQLDFLTAILREMASVGLIDKEKLDSFYIPAYGPSEKELREIIEAEASFTIVKMAIHEPTICVGRDATTPYTRARGFRAVMEPMILQHFGSSAAEVMDEFVTIAERLIKMSALDEYPNKPRAFVAASLVRRT >Dexi4B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:4B:18043476:18043969:-1 gene:Dexi4B01G0016010 transcript:Dexi4B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETYGDDFIRNFVLYTIGFYLCPTLQPHVKSEYLGLIDNIENIKNLNWTSLVLNFLIASIREYKETKAANLKGNVALLQVWYWEKISLSHIHPSLEHHAYEKPLMQYWDDTRATERCQIGRKHQFGEGKVNAD >Dexi9A01G0047730.1:cds pep primary_assembly:Fonio_CM05836:9A:50748820:50750641:-1 gene:Dexi9A01G0047730 transcript:Dexi9A01G0047730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >DexiUA01G0025070.1:cds pep primary_assembly:Fonio_CM05836:UA:52580608:52581148:-1 gene:DexiUA01G0025070 transcript:DexiUA01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKSATVAACILMIIVLISGQQQQAAAMSKFCRCYQNCYTECRKTLGTYPCNIQCVQDCINGQPPPSSAAGCRNVCQLDSICGVMQTDMAADACVASCTNKLGVFAPNAEKINY >Dexi6A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:6A:135900:136586:1 gene:Dexi6A01G0000200 transcript:Dexi6A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATVIHMDDSKAAGASSSYATAPATTTTDAAAAGATTHKAAAARRGLPLLLRSGADGFRRCLAVIDFLLRVAAFGPTLAAAISTGTADERLSVFTQFFQFHARFDDFTAFTFFVVANAIAAGYLVLSLPFSAVGIVRPKATGVRLFLLLCDVVVMCLLTAAGAAAAAIVYVAHWGSRRANWVPICMQFHGFCQRTSGAVVASFLAVLVFIVLILMAACTIRRRRS >Dexi5B01G0031720.1:cds pep primary_assembly:Fonio_CM05836:5B:32404981:32407527:1 gene:Dexi5B01G0031720 transcript:Dexi5B01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRSAGRDAEGEWEVRPGGMLVQRRDAEAAGPTIRIRVSHGATFREVIVPAQATFGELKRILVQTTGVEPERQRLFFRGKEKSDNEFLHASGVKDGAKLLLLEKPAPATMEQKAEPVIMDESMIRACEAVARVRAEVDKLSAKVCDLEKSVLGGRKVEDKEFVVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRVQSLVETLDKLKARNANPFSDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQANTDWEQFD >Dexi9B01G0027320.1:cds pep primary_assembly:Fonio_CM05836:9B:29798020:29800106:1 gene:Dexi9B01G0027320 transcript:Dexi9B01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGSRIGFNEGVPITEEFYSANISGGHNDDLARSLFPDMDHEKAMQFMDDKEALFRKLAPGQLKAVDGLHDLCRWIEGHNLKRAAVTNAPRANAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALELIDASPDHTFIFENKFLNVFHHHPRH >Dexi2A01G0028150.1:cds pep primary_assembly:Fonio_CM05836:2A:39455486:39460914:1 gene:Dexi2A01G0028150 transcript:Dexi2A01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAAASTLRHSLRRLPFSSSSVSDPLAGAARFLATSSERRLHAPASSRPFGTAAARGSCSGAAVTERPAFASRGLATMAEGNSRFGHVLTSLPKPGGGEYGKYYSLPALNDPRIERLPYSIRYLLESAIRNCDGFQITEKDVENIIDWENTAPKLVEIPFKPARVLLQDFTGVPAIVDLASMRDAMARLGDDPGKIDPLIPVDLVIDHSVQADVVRSENALQANMQCEFDRNKERFAFLRWGSMAFNNMLIVPPGSGIVHQVNLEYLGRVVLDTDGILYPDSVLGTDSHTTMIDGMGVAGWGVGGIEAEATMLGQPMSMVLPSVVGFKLSGKLRDGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELAVANRATIASMSPEYGATMGFFPVDHVTLGYLKLTGRSDEKVEMVEAYLRANNMFVDYNKTQTERVYSSYLELDLADVEPCLSGPKRPHDRVALKDMKADWHACLGNKVGFKGFGVPKEQHDKVVKFAFHGQPAEIRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVNPWIKTSLAPGSGAVTKYLQKSGLQKYLDHLGFNLIGYGCTTCIGNSGELDEDVAKAITDNDIIAAAVLSGNRNFEGRIHALVRANYLASPPLVVAYALAGTVDIDFEKEPIGKGKDGKDAYFKDIWPSNEEIAEVEQSSVLPDMFRSTYEAITQGNPMWNQLSVPKAKRFPWDPNSTYIHDPPFFKDITPTPPGPQSVENAYCLLKFGDSITTDHISPAGSIPRDSPAGKYLLERGVQPKDFNSYGSRRGNDEVMARGTFANIRIVNRLLNGEVGPKTVHVPTGEKLFVFDAAMGVKAVIAKSFERIHRSNLVGMGVIPLCFKPGEDADSLGLTGHERYTIRLPSNVSEIQPGQDVQVVTDNGKSFTCKLRIDTLVELAYFDHGGILHYVLRNLVKRQQ >Dexi6B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:6B:8551019:8551237:1 gene:Dexi6B01G0007370 transcript:Dexi6B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACCGMEEETVMGEQKAPGACPRCGGAVVATDVESARRVLCCLPLCVKNKRKFSCTRCRRSLAALYTNA >Dexi9B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:9B:8286716:8286928:1 gene:Dexi9B01G0012350 transcript:Dexi9B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVLAVAAASSHLRGRRLLRAAILSHQAACSALPPSYPLPLGLRLPSSDLEEERRWREMREEAAAGER >Dexi9B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:9B:10128030:10128509:-1 gene:Dexi9B01G0015040 transcript:Dexi9B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIAVEAHGINGVAVPIPGDSSSGDLGRLSVFEASDPLFLGDAMVLTIFFRRVIQRPKCMSIRCATQDGNKKIVNLAREEVGFRRCAPAADGEEDRE >Dexi1B01G0027420.1:cds pep primary_assembly:Fonio_CM05836:1B:32155444:32156696:1 gene:Dexi1B01G0027420 transcript:Dexi1B01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRHQSGRPPRLTRRNAIRQSAYVPGLSPPPDQTDAAGSIGADDSDLEEGDELGAAEEIGEVVETGDETALSEPSDSSVLAVVKRRITNWRKLDLVGAGSFGRVYKAVSEDGFVFAVKEASLIGPESNATQSASQLEQVLW >Dexi9B01G0044690.1:cds pep primary_assembly:Fonio_CM05836:9B:44381843:44383561:1 gene:Dexi9B01G0044690 transcript:Dexi9B01G0044690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPVLDYFARREFLAAGLRPSAVTLPYPDGGAGATCTVHYWAPPGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHVIVPDLLGFGGTTYPSEAAAPPPSEATQAATLAALLDALPGMEGKRVAAAGTSYGGFVTYWLARAAGSGRVGPVVIASSDLLKTAADDRGFLKRAGEGWSSVDEILLPAEPAAMRKLLELASYRPPPRPMMPDFMLRDFIQKLFTENRERLVHLLKGITVGTDKFQVTPISQDVLILWGDHDQLFPLEKAFAVQRSLNGSARLEVIKKTGHAPQLEDPARFNKVMLDFLLAAQKPDPSVNGGSQ >Dexi3A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:3A:7873575:7882108:-1 gene:Dexi3A01G0011070 transcript:Dexi3A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDAAAAVAPPVVAPAADEAGDAVEQARTLIGALNLLSRNLPLPPDVLRAVSSIYHDGGAGDAEEDEEGEGRVEKEGDEEMAVVDAAEEGSTEGAVDGAAEVRAGDSSGPTLIEELEDAMFKNQRMPISYSELAALKEGRFNASIQHRLAELEGLPSTRGEDLQMKCLLELYGLKVTGSSRRLEHKLPAVLTFSSVPADLICDFSYQSLLIILILLQLLDLQKKVRSDISAEYWLHKKCAYPERQLFDWGMMRIQYPYTMYGIGDSFLMDADEVHRKKRFTERMSRLEEEEKNQADIRKRKFFTEILNAYREHQVQLATTFKQRKQRNDGVQAWHLRARQRISRQEKSRLNLLKIGDQEAYMRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVNQPEGVDVPKGSESDDYSQISDAKNESPGESPSDDDADIPGSADESKFNAGRRLDFTVHSIEEKVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSRERVALGSGNALTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKSRLMDGNEVPDWVFANNNEPAKRTVADEFENISVGSKRRRKEVVYSDSFGDQWMKSDEGFDEIRKITPRAKRTSYLSDIQEEVDFSERRKRPRSLENSADGASNPSWTPDKGRAGVSSYSKDETEEDGEDEVITSGLQKGNSFTWKTLGRKRSNHFNSGRPSF >Dexi3B01G0032630.1:cds pep primary_assembly:Fonio_CM05836:3B:35064157:35070637:-1 gene:Dexi3B01G0032630 transcript:Dexi3B01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWRVCDCELGFGAAAAWTKGGAGGGTAAAVWAGAAAALEGFLADPWLLRPPGAGEAGGATVQVEVPPLEPSPEDEEDEARRAAAQRGAAAAEDYARRLESGALGSPDAEGDEDDWDGEDQGNASVKVTCRICYTGENEGSTKAAKMLPCKLCGKRYHRNCLKSWGEHRDLFHWSSWICSSCRTCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTNGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLHYTCAACRGECSQIRDTEDAIRELWKRRDVVDHELMVSLRSAAALPSLEDVSPSYPNSDDEKPGAYVLKNDGRNTLKFSLKSNSNKPPDTPEQEKIVLKSSGSNKKPSKKKGGPSNKTDDEIFLERRNDAKSSNSRLRDQSIDGNHDKSPFKNDGNAYISSSTRSSEKNMKSPIMKAMANNADMIPKVKIKGSKVSSLQFKDTGEENAPKNDTGKGTKLVIHLGSRHKTRSGSPKSELSNSQREQDLGSIHGGKIDVTSQLKSSRSEIKEKSVMKLVRETGTQQRNSLLGDLGTSKKHATGKRSNALISGMENSNETGTRNRSFGLKQSHSNQVDENQGTTLFSSDSSDNLKPSLLKLKFKRPHFEQLNTQASQPEEPATWVSQQEEQLNVAKGQRSKRKRPSVEKVDDSDGKTPAKRHQQSTDDGSMDANWILRKLGKDAIGKRIEVHQSSDSKWHQGVVSNVISSTLCIQLDNGRSENVELGKQAIRLIAGSKGRKR >Dexi5A01G0024780.1:cds pep primary_assembly:Fonio_CM05836:5A:28635537:28640154:1 gene:Dexi5A01G0024780 transcript:Dexi5A01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLINRVEFVHCKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEGLCRGYPSEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSGLAPALQNDRQSGHEEARTSGWSSMDRRRTPPPITSVGTLSKQKAPVGNDVTVSKDAVVSGPNFLGRSSGSSRRPVVSSSRDVVATDSSEPSRTRTADASPGAFRRTSGPQRSSPVHSAEQKRSSTSGRHPSNVKNYDSTLKGIESLNFDGDEKDVVT >Dexi7B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:7B:20855915:20861629:1 gene:Dexi7B01G0014720 transcript:Dexi7B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADITDIGCCSCFSFLRKPSVPVRQPRDSDGMLSEDLLKRQSAEDPDGSFYTGDDPDASFYNGDDLDRSFYNGDDPDRSFYERDDTDYPDASDDGPPRKSSEDIIQSRAQSGFACREIPVKETKKVFRSEHAVWGNFTLTLDTRITLSLLACKDGDGNKMVNQYVHLGKIGSGSYGKVVLNKPYMMKVRVVRTETAMTDVLRELNNYHGYADLQLHFLSEVMEFALNFELLMYSFLFFAPPFFLYWRQVSIMKMLNHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARKYLRDIISGLMYLHSHDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGQYPFLGDTLQDTYDKIANDPVQIPGDMSPQLADLLLRLLCKDPGDRITLQAAAEHPWVAGDEGPVPEFICRCGFGRRKRNDFREEVQ >Dexi3B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:3B:15675476:15675970:1 gene:Dexi3B01G0020720 transcript:Dexi3B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHGLTSSPLLLSSATLPPERPGTARSTTTAPRASTSQPPAPPPWRCRTGVPVKKGKGSPSHLTTAPVVVALPPRPGHSHGPEQAEPAGAATAEVDATALAATTTTLAPSTPAAAAAIAKLQPPPPPVPSAPLAAAAAATAAPAAPPRTVARPSRAATRSG >Dexi5A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:5A:858765:862489:1 gene:Dexi5A01G0001270 transcript:Dexi5A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVLRAALRRGRPAVGGILRHRSIPSSRSLPPPPPLGPALPPLPRLPFSYGLGYSTAAEELVPPARPKGKARKKPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTLVAMNKSESLDEHGVLTAAAGEAHKLGFGDPVAISAETGLGMAELYEVLRPLFEEYMSQLPNNGLNQDDPTSEVETESVEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKVMSRHSWKDTATQPKIKYFTQVKARPPTFVAFMSGKTQLSDTDIRFLTKSLKEDFNIGGIPIRIVQRSIPRKVSAKSNTRHVGPRIARMRTDKRTTVSDPTQS >Dexi8A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:8A:24983756:24984239:-1 gene:Dexi8A01G0014530 transcript:Dexi8A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPLSRLPLSWSDIPVELASMVLGRLAAHVDRVRFAAVCPQWRLAARQGGLPPPMPLLLLPDANVYSLPGSGPLHFPSCAGYKDACGDWLVFSGEDGCFLRDPFSNATVTLPPLSRIRFQHVGDEFVNEAAHDWMEIYEGEELQ >Dexi3B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:3B:724584:728758:-1 gene:Dexi3B01G0000910 transcript:Dexi3B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRRCGGRRPPAVVGDNGNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRSPDAVQRMYSEVQLLSTLRHDAIIGFHSSWVDVHRRTFNFITELFSSGTLRSYRLRYPRVSLRAIKSWARQILGGLEYLHGHDPPVIHRDLKCDNLFVNGHQGQVKIGDLGLAAVLRDQQAAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTLEYPYCECSNPAQIYKKVTAGKLPDAFYSRVKDEDARRFIGRCLVAATKRPSAAELLQDPFLLDDHHHRSTAMILPPAPSSACGSSDVSSSLGDDHQDVEQEDEEPPAPKMDMTITGKLNAEEDTIFLKVQMADETGHNVRNIYFPFDMVSDTAAEVAEEMVKELEIRDREPSEIAAMIELEIERLLPNREQQHEYSVYGANDDDENEEERSPPPLYYLSSSPASSQSSLCGVAPYSSGGFSGSHVGAWSKDHGYHYACLSDDDDMSSMHSSGKYSALHYDTTGNEEEDEVQLPGDAVGPSSSDKKNSRFGPSGGGQSPAWTAHQQAFSLARQLQRQCSMSPHAGRPRRRDDEQDGRHGNRRKMVRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPTTTTTTSSSSSSARARRSSTRDDKHRHQYAML >Dexi9A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:9A:11994073:11994974:1 gene:Dexi9A01G0016920 transcript:Dexi9A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTMVYPREHECLRELRIITQEHTLGFMASTPDAALLLSMLLKLMGAKNTIEVGVFTGFSVLATALALPSDGKALAVDVCRDYFDLGLPVIEKAGVSHKVDFLQGPATAVLGKLLADPGNEGRFDFAFVDADKLSYGIYHELLLRLVRVGDVLAYDNTLFHGAVATPPHETVSLLPREGDHKIRDFMRSFNAKIAADPRIEAVQLPVADGITLCRRVV >Dexi3A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:3A:9300668:9301377:1 gene:Dexi3A01G0012730 transcript:Dexi3A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATIISLTPTILRLAIGTLGRTILEISLSDNLAAGDTRHIDIRLRQNNRSRPADSGGVAPVVQAAEDAPAGNVIAAGPAQAEAPVQPGNQAASPPGSRPPSSSSRLKITVAADESDPEDADEKYLDKMRGWLMTVATLVVGITFQAAIQPPDWVKRGLSDGEDKDRILKLQI >Dexi3A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:3A:10599495:10601282:1 gene:Dexi3A01G0014580 transcript:Dexi3A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRADVCHAYQIMRKGGLKDENIVVFMYDDIANNPENPRPGVIINHPSGGDVYAGVPKDYTDKDVNVNNFLAALLGNKSAITGGGGGSGKVIASGADDHVFVYYSDHGGPGVLGMPSDDGDGYLYAKDLVGALKAKHAAGGYKSLVFYLEACESGSIFEGLLPSDMGVYATTAANAEESSWGTYCPGDDDHPAPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYELVKDRTSANGSYNVGSHVMQYGDKSLDGQSMYNFIGTDPANDNATFARDNSLLRRRFSGGAAVNQRDADLVYFWQKYKKSTEGTPEKVETRRRLLEVMSRRSHVDSSMELIGSLLFGSDKGSKVLGAVRPAGQPLADDWDCLKSMVRAYEAQCGSLAQYGMKHMRSFANICNAGVREEAMAKVASQACAAVAR >Dexi5B01G0036710.1:cds pep primary_assembly:Fonio_CM05836:5B:36351046:36353591:1 gene:Dexi5B01G0036710 transcript:Dexi5B01G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHPSAAHTTPEAEQRPPPSPPPEHQQQTELAVAVAPAAAAEKGNGPADGGDGSPAAAAVKAPMLGGPRRTGLHLFAMNIRSVFKLDELGGEVLGIAVPASLALTADPLASLIDTAFIGRLGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAVLSKGGAKVVDGEEEEAEEVDPDHHAVVAAVDPEKQQQSAEEDDKKNGDSNTESGETTSPAELAGDEGCAAPAVVKSGKKRRFVPSVTSALIVGALLGLFQTVLLVAAGKPLLRLMGVKSGSSMMTPALRYLTLRALGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLANIVLDPILIFGCRMGVIGAAIDHVLSQYLITLIMLSRLVRKVDVVPPSLRCLKFRRFLGCGFLLLARVVAVTFCVTMAASLAARHGPTAMAAFHICSQVWLATSLLADGLAVAGQAMIASAFAKNDHYKVAATTARVLQLGVVLGAALTVILGLGMQFGAGVFTKDAAVIKTIHKAVPFVAGTQTLNTLAFVFDGINFGASDYAFRRLLHGRRGGRWEQPGGLGGFFASEQSSAD >Dexi4A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:4A:9949117:9949397:1 gene:Dexi4A01G0011720 transcript:Dexi4A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLVAPEVTKKKDRLVKVWAKQVRDLSYDIEDCLAEFTVHVGSQRLSHSEPQIKSRRSEQQECTLQLDQDGGFQHH >Dexi6B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:6B:20570543:20572056:1 gene:Dexi6B01G0012840 transcript:Dexi6B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKNGAATTTSFRRRLKATLCLLAPPLLLLPVFFYLQLQSLGLFSPVARCAAQTAAVDDLRRRLSSLTTFHTLKDPSVPTAGDWFISALNDTTSPDSGEARHLVIPSPASSGRVLCVHAPPGIDATYALAWRDALPRGAALRRGLAFVSESPYDYNNLWHGLTTLVPFASWHARSGCRAVPAVWALFQRGGARMGMGGWLTSLAEATMGNQMAVETFRREPFATGPVCFEKAVVFRRQMDGLSRERLRGAFDFMRCKARARCGVDADVPTRAGNGSSPAALRVTLLFRRGARAFKDEPAVARVFEKECARVAGCVVTTAHTDNLTFCDQVRLMSATDVLITPHGAQVTNLLFMDRNSSVMEFYPLGWRQRAGGGQFVYRWMASRAGMQHEGSWWDPHGEPCPDSPDILSCYKSRQIGHDLPYFAKWAAKVFAAAKERKMFAAAVAPMVERPRGTGACDCS >Dexi6B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:6B:26884495:26886924:-1 gene:Dexi6B01G0020430 transcript:Dexi6B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRPPIAATAARRLLARARSRRSFWSSSWAADPSTPSASSPPPDTNKKKPPPASAPHRLAAVMDAVNERKLPPELRGRGNAVRILSKNGCAPEWVELNKEIRGMIASWRSALRKALANRSEDDYSSWNDDCRVLHEQIRQINGKVFRYNLIVPFGRQMFGLNWDKEVDKLQSK >Dexi1B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:1B:7033118:7033596:-1 gene:Dexi1B01G0008410 transcript:Dexi1B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGPPTATAPAPARLTRQTTKPAALIPAFAPCGGGGGGGGGYEWLGSFGDGEFGRRAPAAARGEAAGGGVGAAARALWAWIGRKKKAVNMMSRSASMKKDRLYGHEEYAQNFDEGAAAAAGEEAENLSRSFSARYARRASPPWDGPR >Dexi9A01G0024900.1:cds pep primary_assembly:Fonio_CM05836:9A:21635890:21642817:1 gene:Dexi9A01G0024900 transcript:Dexi9A01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDSRFVLGDEEAWMAVALPYHPLQVVAIAVFLALGFAFYVFFVPFVGGDELQYVVVGLYTPLVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFVLMASAVLLGISTYDYIVALRGQEEQQDHVDHQSPQVSIISSVAGFSTTSSVGPLRRGSWCTPPRLLLEDQHVLSLFFNVLGILNAHAIFLQYVSYPDMPQNSVVKKMKKDEGTKSKPHVKISPWTLARLNAEEVSKAAAEAKMKSKVLQPIARRVEVPKPEKWGDDKSRVFVPEQSPDARAKTCASGTDSNFSDDGIETPDSLAPLQHEARSAFQPSIASSIRNLNLTSSPESSLDSPDLHPFRVSMSGADELRSFMSLAASESTVQKSIALSRSTSGGYEASGGEDSDRIPSRIVHRASNWTNVILNSGRRDIVSDLRVPTSGGFAANARLT >Dexi5A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:5A:20792125:20795085:-1 gene:Dexi5A01G0017540 transcript:Dexi5A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLDESRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGASRPATVQMRGQPVAQQSSCCS >Dexi2A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:2A:11214941:11219152:-1 gene:Dexi2A01G0010050 transcript:Dexi2A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSSPLFGTPSSTPAFGTPSTTPAFGTPSSTPAFGATPSTPAFGTPSTTPAFGAPSSMPSFGTPASTPAFGTGSTPAFGGLSAFGTISSTPAFGAPSTTPAFGAPSTTPAFGATPSPSPFGFQQQATPSPSPFGMLGGGGGQITTQMAPVAPLPLSASDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSNRLKLQDEVLVSDTDRLSMTHSNVKKLKGPNADLSKRVNTLVSASRLLANTGGAGGPVYIPSSAKVDERSVTELLEALQQQTEAVAKLGNVLKRDIRDLEIMQTEDTDMAEDTVGRRALKI >Dexi9A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:9A:1557578:1558128:1 gene:Dexi9A01G0002950 transcript:Dexi9A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRCYCTVDLSKYSNPGVATYHYQSPEATAPPEMETEAAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAAAAAPTPVSNGAAAPAPATASLSPSGLRRP >Dexi9A01G0042190.1:cds pep primary_assembly:Fonio_CM05836:9A:45764133:45766079:-1 gene:Dexi9A01G0042190 transcript:Dexi9A01G0042190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALKLILGSSSASRRQILSEMGYKFTLLSADIDEKAIRKERPEELVVALAHAKADAILEKMKNNGMMKEIVDSQETTLMITADQVVVHDGEIREKPSTPEEARKFIKDWS >Dexi3B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:3B:2904779:2906432:1 gene:Dexi3B01G0004210 transcript:Dexi3B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLINGPEKPFVRILPRHKKCEAARKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVIIELVGELHLEGSVKTTKLKTTWLADIEELVPPSLVEFDYLISKKKLKEDEDFLDNLNPCTRRETPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >Dexi6B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:6B:2715283:2717171:1 gene:Dexi6B01G0003310 transcript:Dexi6B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFSSRSGEWVKFEEQDIIDSISKIQADGMAVGRFAYWRTDKKDKKCEHSKYVERILLLDTSTMQWSVIAAPFPPGESYCVADMPEHGGLCLFSSKEQCLQLWVRNSIGKWILKKEISLMNERMKKLRRDEWMKRVITASICGGHQFLQFRSSYYV >Dexi2A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:2A:31399828:31403515:1 gene:Dexi2A01G0019190 transcript:Dexi2A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTNALPFLTTSISAATLTTGSSHALLCTLRPTPASCSCVRRRAPAPAVPVAARSGGSCRALSPLRSLLPHRAAASSSAAAAAAAAEGMSDPELRLVLELATDEELMELEEIIYGTSYFSPLLKSIARRPNSDSVVVLDDIEERDNFISKLESRFLYLAADARSIISHQKDPVSFPWDKQKTPNEISSLGVNKWKVLTDVAWRIGAKGLESTFLKGGSALTVKTIYESLASRLSGKLLMETANYEIKKELVKQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAIAQIRLTRTSYMEPHEE >Dexi3A01G0031780.1:cds pep primary_assembly:Fonio_CM05836:3A:36125373:36129451:1 gene:Dexi3A01G0031780 transcript:Dexi3A01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVQVSVPTGPVSHNSIASEEPKAVVAENGKTVDVQDKEVSMEGLCSISAYDQWTPLAVSGQLPRPRYKHGAAVVQQKMYVFGGNHNGRYLGDIQVLDFKSLSWSKLEAKPESAEAVSFAARAGHSLIPWGNKILCLAGHAREPTESLSVQEFDPQTCTWSTLRTYGSSPSSRGGQSVTLVGDTLVVFGGEGHGRSLLNDLHILDLETMTWDEFETTGTPPSPRSEHAAACFAERYLLIFGGGSHSTCFSDLHLLDTQTMEWSRPEQQGVTPEPRAGHAGVTIGEYWFIAGGGNNRKGISETLVLNMSTYEWSVVNGLETRAPPTSEVYVLKTSLKSSVPFQQINETETNGTTPATNSSRKVIFEIEELQDKEIQLVRDQLSAEQARASKLENEVSEIQERLQKMDDLEKEFELLRGEIISGSDEVTASSDQPHRGGGFWRWNG >Dexi6B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:6B:5683446:5684963:-1 gene:Dexi6B01G0006110 transcript:Dexi6B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAIEILMGAGIVCRSWLAAAKTPELWRFVDMTRHKLVFLKNEGTMCAMAKVAIDRSNGRMESFWAQKFVTCFYDVGQFFEEHSTHCMRICLGVSLAKLADKCPLLEEIECSYQTLPAEFFRYIGRVRPNLKRLRIHIEEWYDSDQIMREMEEENRQRYDYDDEDEDEEPEEGSFEQWEARKNEGAFAIAESLPELRLLQMAGNSLTNKGLYAILDGCPHLECLDISDCSNLHVDSELKARCTKLKHVWMPRQPNKVRCPDLHVIGEHEGEDYSDIMNSLSEEEDMNLYEDMDDDSYCGNYWQDYPSSPSSPDESSGPDLSKVTCDDTSFYTSMSTILFDYLCLV >Dexi5A01G0025480.1:cds pep primary_assembly:Fonio_CM05836:5A:29335989:29337422:1 gene:Dexi5A01G0025480 transcript:Dexi5A01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKTKVKRGPWSQEEDVILRSFVERFGNAGNWIALPQKAGLRRCGKSCRLRWLNYLRPELRHGGFTDEEDNLILSLYGEMGSKWSVIASRLAGRTDNDVKNYWNTKLKKRYLASTREEKRPPPSSDDDTSADSQSQDDEHPAPATPDLPVLATLEDIDETAGGIAVDYDALLLKSEELYAELVGLIEQQSSSLATTTGQASSMAEEASLSSATTTTTPSSSSGTSPTASSSGSSCTTVWPNSMDVHDTPLLSESASSIGSGLLDAYYGDGDDAFVGGAPLPVYSFQYLLAASYDEFTAVTQGLPY >Dexi7A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:7A:698156:698458:1 gene:Dexi7A01G0000390 transcript:Dexi7A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRATAMSKYGAVMLSLLLVIYVSATAAGGDSCGIPTTALEKCVLDVINSLSFVQPACCDEMANEVGCGCVLRDILVKYGHYDPQKPFCPTGTACDTV >Dexi2B01G0035900.1:cds pep primary_assembly:Fonio_CM05836:2B:42843424:42845610:-1 gene:Dexi2B01G0035900 transcript:Dexi2B01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYLDDDEFDQYNPHPYAGGYDISTTYGTPLPPSPATCYPVASPAALPVPTAPQPRFPLPNQPSPRPQPPAAPAPAPAPPSPPVQPPVAEPYYWPKPYDYGDAPVYQPAYATPEVFRRWPYLPGPQCHSSCGRDYWRHCMRGLDYFFGHTDGYGERRIGVDCLGVPVYANRKGGVEDAVVVEVAPPPTGTVEWHDAAEDQYQSNRLSWYGNTQEETYAYAQPTYNSYDSYYDDNQRSYSVPDETTWLPNQSYQEVYKEEESRYQEFLSYNEDAKVSSQPIFSYNQHFAEEPLHFHVEPPETVSSHKLEYYENFSSYNDQNNVDNLESFGQSYEMRPYAQMPYDELEPYRPSWSQNPGYYQALTEGMTMTPEYDTHTMASGECWDMSSLFMSPFYPQEVQVYGQSNGDENV >Dexi1B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:1B:12159822:12161602:1 gene:Dexi1B01G0011740 transcript:Dexi1B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAAGGSRSRGGGWVAFEDGSTTSYALLNAQERVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMSKNPKISKKK >Dexi7A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:7A:23752502:23757253:1 gene:Dexi7A01G0013800 transcript:Dexi7A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFHRPATVLIPSFPLRWFSVTRGRRSGMSTARLLGISILCLLLVRNSESVSDEVSALLAFKIAIYDDPLSKLSDWNSKDKDPCSWSGVGCSALNSRVVTLELSNSSLQGFLAPEIGSLRSLQKLVLDHNTFMGSIPKDISMLKNLIELNLSTNQLAGPIPSEIGDMTKIAKIDLHANRLDGTIPPELGRLGSLVELRLSNNNLTGIIPASNDSNIDSEQVHYIIITGEINQDTSKDNHTDENEQKGLPEPLWLLILEVIAAVSFLSLLTLCTITGLRRCRARSSGSENSVPWTRAVSWKENTVISIDDDLLINVPKISRQELAEACEDFSNIIGSSHETVVYKGTLKDGREIAVVSLSVSVIEMARLSHENVAKMVGYCKESDPFSRMLVFQYPPNGTLYEHLHDSEGWQVSWPRRMKLALAIARVLRYLHTELQPPFAVAALTSSSIYLTEDFSPKIIDFERWRHLVTKPELGSVNGASINNITDSRHKRFMDVQANTFAFGVILLELISGKASVSSKDTGDLVDWARKYLEQPEEFSKLVDPRLQRQSVNQESLGIVCNVVNLCIDPEPSRRPSMSMIAAILEEGIETSAATLLRDSSLAWAEAELAIS >Dexi8B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:8B:26449801:26450155:1 gene:Dexi8B01G0015730 transcript:Dexi8B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVTCKPASILSETLKEVSDIILELDGPRRHAAGAFTQLIFGVRIHPQPRTKSVRCATCGDGVARLLPEIRLILQATVRCEESMTLFFVCCNPSCGRRCRD >Dexi8A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:8A:2608775:2609194:-1 gene:Dexi8A01G0003530 transcript:Dexi8A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYKWLAGKYPNIVVPAKDADDTPSLAGEAGPNGVFHNLYLDMNGIIHPCFHPEDQVYPPTTFDEVFDAMFEYIDRLFRIVRSTRLLYLAVGN >Dexi9A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:9A:1619933:1620416:-1 gene:Dexi9A01G0003090 transcript:Dexi9A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSTSPRVATHRLYLPLHQLARLACDVTGRDTRGGEHCFKSVPIEIERLMEVEYHGEEAMMVAAAAEAEEGWQTPRREDCRIPVVPPCPAAPARKRAVALPELVGASGQRREPPKGGYFQPPDLESLFVLAPPRRQAASTCA >Dexi9A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:9A:2049827:2051884:-1 gene:Dexi9A01G0003890 transcript:Dexi9A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPWRVPRLVSEREEMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKS >Dexi2A01G0035620.1:cds pep primary_assembly:Fonio_CM05836:2A:45199748:45200891:1 gene:Dexi2A01G0035620 transcript:Dexi2A01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromeric histone 3 (Histone H3) [Source: Projected from Oryza sativa (Os05g0489800)] MARTKHAAVRSVREEPKKKLQFRRSTRGRAASTGGASTSASPGTGAGTGDGAAAGGTPGRAQQKVKKPHRWKPGTVALREIKKLQKTTELLIPFAPFVRLVREISDAYARDVSRWTPEALLALQE >Dexi4B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:4B:20684801:20691858:1 gene:Dexi4B01G0018350 transcript:Dexi4B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGSETFVTVSIGKAFHVYNCAKLNLVLAGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSRHEEKVNMLYLFGEYVLSADVKGNIFIWAFRGAEPNSEPLGSISLGDGFTPTCIMHPDTYLNKIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSIVSLHFFANEPVLMSSAADNSIKMWIFDNNDGDARLLRFRSGHSAPPRCVRFYGNGKFILSAGQDRAFRLFSVVQDQQSRELSQRHVTKRAKRLRVKEEEIKLKPVITFDCGTVLVSCNISESEIRARDWCNVVTCHMDTPQAYVWRLQNFVIGEHILTPSSGIETPIKACVISACGNFAILGTEGGWIEKFNLQSGISRGSYIDTSLATQCAHDGEVVGLACDATNGSLISAGYHGDIKVWDFKSCKLKSRLDVGKSVTKIAYHRANGILATVVDDMVLILFDTVAMKMVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPAMNSSFTDYMKGMSPSSLDMELRLLQIIDDDEESEDLEQRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHSETIRRHSILQSKVKKLLEVQSLVWQKIDKMFQSARCMVTFLSNSQF >Dexi4A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:4A:96456:100711:-1 gene:Dexi4A01G0000120 transcript:Dexi4A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNPWRVPPPPLLNARAGLGDASLFSTSLPLLPHEKLNFPDSTHGTPSMDDSSAKTKVFDDDLEEKDYKFDFDLRQIDDLLPDEDEFFAGITDEVEPVIHTNNTEELEEFDVFGSGGGMELDLDPLENVTASFANSSIADGTRGNGISPFGVPSTVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRSARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQIGHDLDQEEPRSYRHPHVGSPMANSPPGAPFESHFGFLPESPETSFMKQIRFGNMGNIGTGRNGAGLMLNMAGRASVNPVSSLSGSLTDNSPTNFRPMLSPRLGQAFYGNPTYQGPGSFGLDSSIDRGRNRRVDSSALQTDSKRQYQLDLEKISKGDDARTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPTHIISFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFNPNGLESGDQETFPVNGICIHMPVEGGAGDSLDDEDDVKMGGGESSMHMGGSL >Dexi4A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:4A:5683007:5683684:1 gene:Dexi4A01G0007540 transcript:Dexi4A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGASFMDKVQAAAEEDGGGGVDGASVDNNEEEVEEMAPVEPLPEPPDDGGPVGWPMPDFCPLTIDGEMKESFLETIRKDAAETERPPREEEEAEEVVLSPDSRPSSSKRHRAGTASPSSRSPYRNILQVFQQCRQDVVGEAPAKNC >Dexi3A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:3A:12632267:12632482:-1 gene:Dexi3A01G0016690 transcript:Dexi3A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQQRGLELLAPGDWGGSTLGSHVASKIDASDNAAPISSAAQSRETDTCCGTGRVAAPELARQRDHVAPT >Dexi4B01G0021690.1:cds pep primary_assembly:Fonio_CM05836:4B:23615852:23617206:-1 gene:Dexi4B01G0021690 transcript:Dexi4B01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGTKPYQPRRGPERHPQPADEVAAAPPPAPAAVDHLAAAAAEAEARNHYTQESHQHQHQHQQLLQGQGHEQVGEEEEEDDDEDDEMEDEDDEQEGQDGGVGGEHVPMDADAAAAAAAAAAAGAQMDPHGAMVPGAVPPMATNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNNTGLGGASSSPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKPDEIAASEMVTADGSQNWASVEGRPPSSAE >Dexi1B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:1B:2342052:2344740:-1 gene:Dexi1B01G0002820 transcript:Dexi1B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAFRLGAHLGSSHPSTTMEDPMQRQNQSQFMLNANSAPLQLQLFGEPAAPAVGPSGYINYIGSNHLPVMNQARNTEVETGNDKQLKLQMSLNSYQQNDAGQLVHVGNPSAVSTGLRLSYEDDERNSSITSGSGSMSSLPATAPLVDDLMAEIDKENKEISYYLRLQVNQRRMISFLANLERAVGKKLREKELEAEAMNRKSKELNEQIRQVAMEVQTWQSAALYNQSVANSLKSRLMQVVSQNTNLNREGTGDSEEDNAASSQNPNAGAAPGGFFQHNVWSMSKLGAISNEMWTLAGQAAEAAKSPCPNGEVECVSIKVVPGLYRHL >Dexi1B01G0029800.1:cds pep primary_assembly:Fonio_CM05836:1B:33970837:33970979:-1 gene:Dexi1B01G0029800 transcript:Dexi1B01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDQLDLLDHEPNQPGHASWTQMQPNHPIREPPRQKTLI >Dexi9A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:9A:3869325:3871541:-1 gene:Dexi9A01G0006820 transcript:Dexi9A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVAFEAARKIIMHPLYAPRSSPWLDLKVFYIRVSNCVVDESAPEHLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKKTEEATFVSEFQDSKSETDVDVDYNSLYPRQDFLEGEDGELSWFNAGVRVGVGIGLGVCVGIGLGVGLLVRTYQSTSRNFRRRLP >Dexi1B01G0024520.1:cds pep primary_assembly:Fonio_CM05836:1B:29800561:29802022:-1 gene:Dexi1B01G0024520 transcript:Dexi1B01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLKLLPLLLFCSSYHYLIAHAGDDHSYKVLSLDSLKSDAVCSGPKAAPSSDGASTVPLHHRHGPCSPLATKKTLTLEEILRGDKLRASYIQRKFSKAGAGDVQQSDAATVPTTLGTSLDTLEYLITVKLGSQAATQTMLIDTGSDVSWVQCKPCSQCHSQADPLFNPSSSRTYSPFSCSSATCTQLGQEGNGCSTSSQCQYIVTYGDGSSTTGTYSSDTLALGSNTVRNFQFGCSQVESGFNDQTDGLMGLGGGPQSLTSQTAGTFGTAFSYCLPATSSSSGFLKLGAGTSGFVKTPMLRSSQVPTFYGVRIQAIRVGGKQLSIPASVFSAGTVMDSGTVITRLPQTAYSALSSAFKAGMKQYPPAPPSDPFDTCFDFSGQSSVTIPTVAFVFSGGAVVNLDPNGIILDSCLAFVSNGDDSSLGIIGNVQQRTFEVLYDVGGGAVGFKAGAC >Dexi3B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:3B:8917700:8921177:-1 gene:Dexi3B01G0012710 transcript:Dexi3B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRAGSGGGSTPRTVEDIYKDYRARRSAILRALTHEVEDFYAMCDPEKENLCLYGYANETWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNERKRLFDMMNDLPTVFEVVSGGPKQSRDRDRPTSENSGRNKLSVKQTSEPRIENNVREPDEGFDEDDGDHSETLCGTCGGIYSADEFWIGCDICEKWYHGKCVKITPAKAESIKQYKCPSCSKRPRPM >Dexi3A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:3A:9167744:9169967:1 gene:Dexi3A01G0012620 transcript:Dexi3A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGGAGAMVGLPALDVALAFPQATPASSLFPPAVCSMSVISCHCPLVAVSDYYQFDDLLTDDEKALRQKVRGIMEKEIAPIMTQYWEKAEFPFHAIPKLATLGLAAGITKGYGCPGLSLTANAISTAEVARVDASCSTFILVHASLVMPTIDLCGSEAQKQKYLPSLAQFKTLGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADMLIILARNADTNQLNGFIVKKGVPGLKSTKIENKIGLRMVQNGDIILNKVFVPEEDRLPGINSFQDINKVMDTSFTTSFDTCSVCQ >Dexi5A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:5A:863419:867742:1 gene:Dexi5A01G0001280 transcript:Dexi5A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSNPFHSLASTFPFLSSPSPAAATSSPASPTPHLAVPLLLPVSSESRRAPEPLPGARMAGGKPGGGGVKAAGGGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPRFLTNRTPAWFKRILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKVQELMNEIGPTGIPLNVITKAAEIQLFDVVDRVLLGNKWLRKAAGIQPKFPYVVDSFEERYAEMNANLQANPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQTGEKNTFGDEKDPLFVANVGDYSRITKISST >Dexi1A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:1A:5594781:5596964:-1 gene:Dexi1A01G0007300 transcript:Dexi1A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSSSDVLLTSQKAVQDETTPLLPVKAEEDAIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGETFGQWGRIALQASVVINNIGVLVVYMIIIGDVLSGTSTTGVHHSGIFEGWFGPHMWNSRLVVLLATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGVAILRLVQGTVEIPKLFPEIDGISSVWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQIKPIVQTSLGLCSTVYIATSFFAYLLFGEATLADVLSNFDSDLHIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISHDNRRFAIITISLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYDVSTKRDKVLAVTMIVLAVVSNSVALYCDTLNIFYRKQEA >Dexi2A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:2A:10928334:10930331:-1 gene:Dexi2A01G0009910 transcript:Dexi2A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLPWLLLPLGLHLASISTGDSHGDIQFLYLGFVGTNLSVDGTAAITTNGLLELTNGTLGSKGHAFYPSPLHFLKPNGMVQSFSVAFVFGIRSSYLSMTRQGLAFVVAPNMNFSDALANQYLGLTNIAKNNNPTNHFFAIELDTVQNVEFKDIDSNHVGIDINGLTSIEAHTVGYYDDISGTFHNLSLNSGNAMQVWVDYSGEARQINVTMASLEMEKPARSLISYTYDLSTVITESAYIGFSSSTDEIDSRHYILGWSFSMNRPAPKIDVAKLPKLPPNGKKPHSKLLEIILPIAIALFVTLVGAGIILLLRRRMRYVELKEDWEVEFGPHRFSYKDLYHATEGFKNKNLLGAGGFGKVYKGVLKSSKLEIAVKKVSHESRQGMKEFISEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYCVEGKVTLNWNERFRIIKGIASGLLYLHEKWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDLQTTHAVGTMGYMAPELICTGKASPLTDVFAFGIFLLEVTCGKRPVDHNMDDDRTVLVDWVLKHLREGSLTEAVDTRLHGDYNVDEACFALKLGLLCSHPFTNERPNMQQVMQYLDSELPLPELTHADLSFDMLSLMQDEGFDPFTLSSSIGTISSISGGR >Dexi1B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:1B:17683029:17683695:1 gene:Dexi1B01G0012870 transcript:Dexi1B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEKAEVGDGGMGGWRRRRRRRAAAVVEAEEGSGGGRGGGGEQAAAAAVRLEEGRRQRRDERQQIG >Dexi9B01G0035900.1:cds pep primary_assembly:Fonio_CM05836:9B:37480652:37483882:-1 gene:Dexi9B01G0035900 transcript:Dexi9B01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRLVASAFLTASCVYFFGRAGGLSILCGQPPQVWDLRSLYRQQGLFKPIELISSNHAARNIPCFTFHGADKVTSHRRNAGGLVPVRVETPPEAYEWGPLVIGKDGGNGSCWWIAGRRSRASPADEPAPVGGDLSDPRAAMGPARQAIQSFNSWLMLLTKSLALLFTIQTLTVMLPRRRRRVGSLVPTSSAARDHNQVTEQKNQAGKKKRRIPSSSFVTIAFRYSLPAVGSRACL >Dexi3A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:3A:14076424:14081392:-1 gene:Dexi3A01G0018440 transcript:Dexi3A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNYAGVNFWSMVNVSTPDGVVTLEAVVVVPDDSVQVCLVDTGSGTPFISALELRPLKNSLYPQANATQGLVLFVRRNFGPTDATDIVRYPDDPHDRVWIPLMNTAIWDMISTTSKVQNLDNDIFEAPSKVMQTAITPLNGSSNISLFWDSAPQPRDPTPRYMAIMHFSELVRLSGTAVREFFIEVNDVVWQSSLGLRPDYLFSDSSYSTAPLPASTRYTIPINATANSTLPPFINAIEVYSVISTTNVGTESSDVSAITAIKDKYRVQKNWAGGPCRSMSTNNTLKPQNETPMSYATEPRPPPGDAYEESSLHLENRRFTYKELEMITNNFQRVLGRGGFGKVYDGFLEDGTQVAVKLRSQSSNQGVKEFLSEAQILTRIHHKNLVSMIGYCKDGQYMALVYEYMPEGTLQEQIAGNGRNGKRLTWRQRLLIALDSAQGLEYLRKGCNPPLIHRDVKATNILLNTKLVAKIADFGLSKAFNHDYEAHVSTNTLVGTPGYVDPEYQATMHPTTKGDVYSFGVVLLELVTGRQAILSDPEPTSIIHWVRRRLARGNIEDVVDKRMHGEYDVNSVWKVADIALKCTMQVTAQRPTMTDVVAHLQECLELEEGHRVGDSTTGSLFTGSSGDLDLGYNTYVAGSQSTEVSQTSTIFEMDHNFGKVPRMGRGPVAR >Dexi9B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:9B:12809467:12810633:1 gene:Dexi9B01G0018020 transcript:Dexi9B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLRRVPARLLHARGKTTSAQHVAARHLDHAFERLAAAHLPLVAASPLVDALRASPAETLALPDLARRLPLRLHRRGPLHFLRLFPRIFSLRPPLPLSLSLTPDAAGLLAVASSPADAARTLHRLLAMSDSRALPLRAVFRVWRELALPDDFEDSVVAGHPHLFRLAPNPTEPNTHVLHLVADPAAEDFTPAVDKTRPDRYAFKLQYPPGFRLTKEYRKKVKEWQQLPYTGPYEVVCPRAGKSKRVSKLARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLVAPNEVSEARAKLVELMLLRRRGLGNANSSANMASGATADAKESGADFVENEDCLLDESET >Dexi3B01G0032730.1:cds pep primary_assembly:Fonio_CM05836:3B:35186520:35188440:1 gene:Dexi3B01G0032730 transcript:Dexi3B01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVFLAVAIAVLLIVVSKLKSHLLPSSTKPPKKKLNLPPGPWMLPVIGSIHHLITGGPLIHRTLRDLAGEHGPLMMLRLGEVPTLVVTSPEAAREVTKTHDVAFADRFVNATLSALTFDATDMACAPYGERWRQLRKICVLELLSASRVQSFSRIREEEVARFLHTLSSAAAGAGDDGGGAAVDMSRGISEFINDAFMRECIGSRCKYQEEYDDAFHAAVRETSGLSVADLFPSSRVMGMLAMAPRRALACRHRMQRVLEKVMKEKKQAMDRGDEAAQESFIGVLLRLQRDGSSPIELTNDTIVALMFDIFSAGSDTSASQLTWCMTELVRSPRVMAKAQAEVRKAFGEKERRITEEDLAMANLGYLKLVIKETMRMHPQLPLLIPRQCRETCKVMGYDIPKGTAVLINAWAISRDPKFWDDPEEFKPERFEDNDLDYKGTNYQYLPFGSGRRMCPGLNLGLANTNLVLACLLYHFDWKLPDGLEPKDVDATEAVGLIANKKTKLVLRPIARIALAKA >Dexi9B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:9B:12240445:12241595:1 gene:Dexi9B01G0017410 transcript:Dexi9B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGEEVLVQESTAPVISPADPSRRSVRLAYTLLPGVEHGFRLPALPSPPRDPGPALARGAALAEFRGWASCSKLWRRWVDKLRPRHEVQWQELGFLDAILTTTYKVGRDESALLQLAAFWSPDTNTFAFPWGEATVTLQDVAALAGLPLVGAPVRAPVSYELEKDVGALEAVRVVLNQSKNRKPSYGLWVKHFLERAPAPDKEVEHRAFLSMWLSRFVLPSPPLDVVQPGTFPIAVRLARGQSVALAPAALASIYSDLSALKCHLNLREKKEPPFGVSAPMHILQLWLWEHFPELHPEMASSLAPDDMPRVERWQNVRNVLDSRYVYTVLMSPKEFEWRPYGSSSVALQPKAGGCWE >Dexi7A01G0022770.1:cds pep primary_assembly:Fonio_CM05836:7A:30931761:30932817:1 gene:Dexi7A01G0022770 transcript:Dexi7A01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAHVQLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSTEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCPDLKKRSV >Dexi7A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:7A:28876130:28877624:1 gene:Dexi7A01G0019900 transcript:Dexi7A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEERKKTACVTGGNGYIASLLIKMLLEKGYVVKTTVRHPEDKEANSHLEDLKKLGTLEVFRADLAEEGSYDEAVAGCDYAFLLAAPVNYTSTNPEKELIELGVQGTLNVMRSCAKAGTVKRVILTSSTAAVSSKPLDGDGHVLDEESFSDVEYLTAKRTGLWAYPVSKVLMEKAASKFAEEHGMDLVTVCPSVTVGEAPDRQVYTTVPAILSLLSGDEAELAVLKGIERASGSVPLVHVHDVCRAEIFVAETPEVAAGRYICNALDTTIVDMARFLADTYPQYKVNTDLSGELLAKPIALLPSTKMEKDGFEFKYKTLEHIYDDMVEYGKTLGILSN >Dexi1B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:1B:25871235:25871843:-1 gene:Dexi1B01G0019650 transcript:Dexi1B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAGSAAEPPLVDSYHALLRRCGDDGVPIVEEAQTPSLPVVECELPMIDVGCLTSGGGSSSPEQRAACAAAIVRAAQEWGFFQVRNHGVARELLDAMRREQARLFRLPFEAKATAGLLDGSYRWGTPTATSPRQLSWSEAFHVPLAGVSGDGGCDFGDLTALRFVRSFLQLDSITTSLHRDECEPRPGVRHLSTPDPDSH >Dexi3B01G0038250.1:cds pep primary_assembly:Fonio_CM05836:3B:41050154:41050654:-1 gene:Dexi3B01G0038250 transcript:Dexi3B01G0038250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLSEAGAGNSKVEDDFRSCCGDEEEWEDTEESFTAAVAKGELDETSVRLFFKGVSSPEVEGKKLSGIGVVMERSPGVPVLMVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQQ >Dexi2B01G0033030.1:cds pep primary_assembly:Fonio_CM05836:2B:40736010:40737839:-1 gene:Dexi2B01G0033030 transcript:Dexi2B01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVTPAAPGAEATAAAFPGGDRDEQASTSRAPGMPEAPRDGGEGPGRGAGGDEHARLVVAMPPPPPPAMAALPAYVPAPLPVHARPWPGSRASIPWVRLVVGLLLMVLMGYAFIKWGLPFLSEKVMILLCLPAMWLTGIIFGYGFGFLIIVAGITIGMSIPYWIGLLFRNRLNLWLEKRWPRQVALIKLAGEGSWFQQFRVVALLRISPFPYALLNYAVTVTEMKFNPYLCGSLVGMVPDVFINIYSGRLIRTLAELDYHKHRMTKVEIVYNVISVIVAVVFAIGFTIYARRALDNMERSESICVESVGVPPASTEFRNNLQVQGCSTARSVPIDVV >Dexi7A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:7A:20691058:20696275:-1 gene:Dexi7A01G0009740 transcript:Dexi7A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLLLPFLLIAFASGTCDGDGAGQTPISRRSFPEGFIFGTSSASYQYEGGVMEGGRGPSIWDTFTHQHPGISNGDVAVDSYHLYKFFLIILPARSYYMLFQTTNMNMSPLIHMGLATKTQEDVRLMKDMGMDAYRFSISWTRILPKMTIRTMLKSASKSSVTESNTGSRSMNLGASAQQGMHLAYLHQVGVRHGSRASAALGIQERSLTVYVIISYSPMQKQFAYTERSTRFMDPLIGGDYPLSMKGLVGNRLPRFTKEQSEMIKGAFDFIGLNYYTTYYAASLPPSNGLNISYNTDSRANLSGVRNGVPIGPQGASPFIYIYPRGLHEILLYIKENYGNPAIYITENGIDEANNMSLPLHEALKDDTRVEYHSKHLLALLSAIRDGANVKGYFAWSLLDNFEWVYGYTVRFGLNFVDYKDGLKRYPKKSAHWFKDFLQK >Dexi5A01G0031740.1:cds pep primary_assembly:Fonio_CM05836:5A:34348041:34356518:-1 gene:Dexi5A01G0031740 transcript:Dexi5A01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKALPFIAFEHKRFVPDSPACAHLGDVDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDRQAEDGESSGEDVKVAPSNEDDGPPGKNSEDAKPDEKTLRQPRPHRIHIWTEIMPSLGHIQEMMNSRVKKKQSSSVKEGYMGDELHPDNPEESKPSEDSDDEFYDVEKVDPSQEGPAADIANADSGTNRGADQEDFYPWKEELECLVRDGLPMALRGELWQAFIGIGARRVKGYYEGLLAADGEREDNKCSDSPTAECGDGKPKASQPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVGEARLQELRNKHRPSVISSMEQRARGLRVWRDTNSLASKLYNFKRDTEPLVSLSEEQSNDSTEGDKNEETSSGIMNDMYRGLTVNSEIDSLPDPKDQVVWLKGELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQDLSELRQALSDKQEQEQAMLQVLMRVEQEQKVTEDARICAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRGVGFSRILGKQNGTDESTNGMLNNNTERVETPKKDYEKQGDSPKEGEQTVETPKRGSEPRLETPKMDGDTPSVESTDNTGGLEDQLEEIKLD >Dexi9B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:9B:13908858:13909819:-1 gene:Dexi9B01G0019330 transcript:Dexi9B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQACAAVSELELARARCGALRSRLAASPDLRGKPALGSLLRLVDAELRFLSSLRPAPSLATPLSSNLPHLAALHLLLTHPAVRSPSRLSPFPGVDFACAFRGRPAWALVSASNPARFAWTPRGALRARVTAVLKAARGAPPATRPEKLLLAFSRGVGADIALGLAEEFRTVETDLLAEFVGVSEDEDEEGWVAVSFNTSEEMRSFRAFEIDVVEGAGEVLLPQEAVVAEGSASADEDVPLGLEGAFGAFVGKMWRESRELVNLDTTALVAIVSGISNGGVGKLMAAPEEVTRARFKCNYKFVMDQYRS >Dexi5A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:5A:11345295:11348848:1 gene:Dexi5A01G0014360 transcript:Dexi5A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGAAMVLYFVLSRRLAGEDVSVGGGGGGGAGKRRRGRAARRPTQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSNCIELKGPEIMEELIVLRRLIDLCFIFSKKPFPVFRELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHLSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLREAVRQCPDYQIKVHIHFFSHFMIVGHSLGGGTAALLTYILRENTEFSSTTCVAFAPESGKHFVTTIVNGADLVPTVSTASIDDLRSEVVMKQAQNVAQAVARSRTALSSWSCMGARRRGVGVVPANSKDDMTTETHVTSTVESESFIVDQHGTKAIDELQYAAASVSVHGEADEEEALLSEHEHETSREHAEEEITDGELWYEFEKDLDRQVEVEAQTREEEAAAAKEIMEEESAVLKNVEDRQSFSSESLERQQFYPPGRIMHMVAMPPTDAGPDDPVAADECSVGIFETPSDLYSKIRLSNTMINDHYMPMYKKMMELLIEKFAKDEDNICTGSTVQ >Dexi2B01G0014630.1:cds pep primary_assembly:Fonio_CM05836:2B:24464492:24465860:-1 gene:Dexi2B01G0014630 transcript:Dexi2B01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADPGVVETKIMRELPQCISWFAFLVLRILNLLQAPDTGVGAILDAALALPEKSGEYFFGGKGRTIRSSQLSYDTEVSKKLWEESSAIFKELHLREGNIGNS >DexiUA01G0025130.1:cds pep primary_assembly:Fonio_CM05836:UA:52688942:52691947:-1 gene:DexiUA01G0025130 transcript:DexiUA01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQFAVFSPAVARTWCGARALARHPNLRALAEQLLRAEEEEERQSRRRDDSMAMHPGAGASSASSAPCNDERSSRPLRQPTPPLSAAASPTDLPVPVPLFPVSGGSTPSWTLTPPSFQDHIDTTTHHTTNTKLAR >DexiUA01G0014160.1:cds pep primary_assembly:Fonio_CM05836:UA:30030394:30031150:1 gene:DexiUA01G0014160 transcript:DexiUA01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFRRVNVKELISNASVYASATESSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLNGRKWVHVDPVAGHMLHPVYASGSTAADLDAQL >Dexi9B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:9B:9251805:9252982:1 gene:Dexi9B01G0013780 transcript:Dexi9B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVVKKEMQLVPYNFTRQLGWASIQLGETGQVLSPHHLAGILISELKHMAEAHLGRQIENALVAVPRHVTYDGREHVRFAATCQAGFRVAKIVDEHIAAAAADGHHAEHGDGGGAVLVFPVGGRTSHATIFKFLIKQQHGFDIRQDTTALLRLKAECERAKKALSYQQETAVPLLDGVDSSLLTRAKFEELNRDLFERAMALVDSVVMQAPVVGEHRKGRSLLDTAMDMFTGRRSRAAESRRVDMVDEIVVVGGSTSIPKIRHLVRDYFRGREPSNRGGVEPDEAVWHHGFI >Dexi6B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:6B:26077032:26081071:1 gene:Dexi6B01G0019290 transcript:Dexi6B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWALLSSVYLISIPISLVETGLWTGLTYYVIGYAPSPVRFIQHFLVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDDLQPWLRWGYWTSPFTYAQNAVALNEFNDKRWATEFYYADANTVGEAILKIRGLLTEWHWYWICVSILFGYSLVFNILSIFALEFMNSPHKHQVNIKTTKANFEYHSQMVGNGNSSNEILPFRPLSLVFDHINYFVDMPKEMTKNGVTEKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKVAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLKFSAWLRLPSNVRPHQREMFIDEVMSLVELTDLKNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGLLGPLSSNIIKYFEAIPGVPRIKKGQNPAAWMLDISSHITEYEIGVDYAEIYRNSSLYSILQPVVATERVVLYREKAAGMYSTMAYAIAQVSVELPYMLVQVLIFSSIVYPMIGFELTAAKFFWFFLYLVMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIIAREMMPVWWRWVYWADPAAWTVYGLMFSQLGDRTEQILVPGVGEQTVREFLQGYLGLQDRYFDLVTCLHLAIIGLFAFLFFLAIKHLNFQRR >Dexi2B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:2B:26127629:26128614:1 gene:Dexi2B01G0016030 transcript:Dexi2B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLSRFTATVADPPSSVARYVVPSQPFPITSADECSSDSRSYGVSGADPKNTSLLALLLLLPAVGCECDDDSDRFVPLLLPMLATVTITGESPSAAAISADFSAAFVLRHRKQRKKITAIKTKNATPAPTAMLAIAPRPERAAVMETAAVSGTGTPQSAVSPKALAGLPARDEGTGPRAIEAIVLQVERRETREPVELRRDGAGDPVAGEVEPLQQREPKEPLGYLAVEPVAVEVEVPELGAAPDVGGDLAGERVEAEREGPEGREVSDRTRWHRADEAGARELQGHDA >Dexi7B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:7B:13761554:13763023:-1 gene:Dexi7B01G0006150 transcript:Dexi7B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVITLYNPQCPRCRAKITKILECLEEKVEFDDKNNKVVVRGNFDGQKLACKVRYKARKIIKEVIIVEVWPPPPPPPAPEPKPEPKPEPKPEPAPEPKPEPTPEPKPTPPPKPKPEVKVVPYPYPIPYPMSSSSQSWLSSCPCPPQQPCQCHPTPPWSPSPPQQPCQCHPTPPPTWCSCPPHQTCQCGKPHPLPWPPRPPLCSCSWPKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKDGCHCCGGKPTWPPCGYCGPRPPVCWPPPPPGIVCPPYWCNVVTEENPGCSIM >Dexi9A01G0023820.1:cds pep primary_assembly:Fonio_CM05836:9A:19253642:19258319:-1 gene:Dexi9A01G0023820 transcript:Dexi9A01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELIGFLSDANPQVRSAAVDIVRGLTGGEDGLRALTARAGRALPALLRLLASAGGSGAGEAAADSLVNLSQDAALAARLVALGAVDAAMDVVAKRAGEQPALARSLVMLLVNLTHVESGVAALLQVGDEKVQGLYVAKLVRSFCRSSSDSEEQDTFEYVASILVNISKVEAGRRILMEPKRGLLKQIIRQIDSTNQLRKKGIAGTIRNCCFEADTQLQNLLSLAEYLWPALLLPVAGKKDDGRRSFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLVYPLNFL >Dexi8B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:8B:17087180:17087955:-1 gene:Dexi8B01G0009590 transcript:Dexi8B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFATAEEAEGALGRAMTWTEAAWLRYSGSTPDYCLCFLNFFILFASYTLAAVPIALLELCAPCKLIMSYKLQPEVRLSPNVFLRCYKDTARILALITIGPLLMVPYPALKVAGIRTGLPLPPAWEVAAQLLMYMLIEDYLGYWFHRLQHTKWFYNNIHYVHHEFKAPMGFVAAYAHWSESFIVGFASFVGMVMVPCHMTTCWLWFAIRGIVGVDIHCGYTR >Dexi7B01G0023840.1:cds pep primary_assembly:Fonio_CM05836:7B:28338478:28338984:1 gene:Dexi7B01G0023840 transcript:Dexi7B01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLCMLFAAGAVLLWAISLGRILSFPAPSCLPPVPGFLPPLRGDRRSRNVLLVVAHPDDESIVG >Dexi6B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:6B:279576:280595:1 gene:Dexi6B01G0000390 transcript:Dexi6B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDKVGPVVTEEDIRHIVSTWTGVPVQKVTTDETNRLLNMEETLHRRVVGQDEAVRAISRAIRRGRAGLNEPDRPIGSFIFAGPTGVGKTELAKAVAALYYGPEDAMVRLDMSEFMEKYSVTKLIGASPGYVGHDDGGQLTNAVRHRPHTLILLDEIEKAHPDVFNLMLQILDDGRLTDSKGRTVDFKNTLIVMTSNIGGSLVVAEGHGSSYGRMKELVGEEMKRHFRPEFLNRLDDMIVFKQLSKAEVKEIAGIMLSSVAARVKKKGIEVTESFKDLVVEEGLDTSYGARPLKRAIVRLLEDTLADKMLAGEINEGCSVTVDVDLAAGKVVFRHQ >Dexi1A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:1A:7584829:7589360:1 gene:Dexi1A01G0009330 transcript:Dexi1A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQRQSRAQPEDSRALSKNNTPPRLARRPERSGSTRLARRSRRHILVLPGRLTTALRPCRKKKKEHAFMAASGGPPPPAVAVAVRPGGSGSRLAARWVAAGLPDDDAAVAVVHVIPTLSHVPSPTGERVPVALVGREPAEAYARERRARAEEALLPFRGINCGRANVTVETVVVEGDDVAEALLRYAHESGVRSLVLGSASFRWFRREALTVSSSSILQGVEHSRCPRYCHSSNTEFMQCICRVQTKTYYETRKISSNQRMLIYLGTPISAESDTNLRIESISHETFAQSHRNLLFDIFVDDEAHSNSFSQSYSSQSASNVVPSSESSEQVASESSGANAAGTEGSKNYDSLSSLGEAPCATSNSSEDCQCTDEVEKLRKELQDTLVVYDKACVDLVNTKKKIQVLSTECSEEARKVEHALEWEEALKKTVANEKAKQLEAMNQVEHARRSFTREAYSRHKAEMTTNMVSKDRAQIVDAILSKSRTCRRYSKQDIELATDNFSEDRKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLKEVEILSQLRHPNLVLLLGFCSEIGCLVYEYLKNGSLEDQLFNNKGLQPLHWFLRIQIIFEVSCGLAFLHARNPEPIVHRDLKPANILLDRSYVGKIGDVGFAKLISDLVPDWQTEYKETIVAGTLYYMDPEYQQTGTVRPKSDVFALGVIMLQLLTGRRPNGLIVSAENAIKNGRLHEILDKSQSDWPVEEAEMFAKLGLKCTALKCRDRPDLESEVLPKLDEILHRITAAVNLRNPKMSVPSHFICPITQELMEDPHVAADGHTYEHYAIRAWLKRHKTSPVTRSKLPNLSVIPNHSLHADTAVEVTVTGSDKGMKKEPACK >DexiUA01G0000280.1:cds pep primary_assembly:Fonio_CM05836:UA:1708063:1710350:-1 gene:DexiUA01G0000280 transcript:DexiUA01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDGDRAMGGSNAAGTMRFALHVMRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAGMNLAGYLMIYLSVTGRTSRPPVWLMCFYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQIYRAIYGTDNDGSELVLLMAWLPAAISLVFIPTIRIMPRPNAGAGPASGERKAFFLFLYASILLAVYLLVINVVELEVTSFPKSAYYVTVVVLLLLIFFPIVIVVKQELKTYIQPAPAPATTPASSSIVAITVVDDDEKTKSQPAEDDKSPSCLQDVFRPPARGQDYTILQALFSVDMLVLFVATICGIGGTLTAVDNMGQIGQSLGYPQRTISTFVSLVSIWNYAGRVVAGFASEYVLARYKVPRPLALTVVLLLACVGHALIAFGVSNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPKAEAWGDGTAIVRKATRRMGNEWLPVPAAGEAVLRGGAGDLEAYI >Dexi4B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:4B:5484647:5488935:-1 gene:Dexi4B01G0007620 transcript:Dexi4B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLYIFQGRRCWRSRSLSATSVTYCTIGPAKTTAPGAASCATTNLSGLNLGGEISPAVGSLKSLSSIFLTWALRDLKSNGLSGQIPDEIGDCSSLRTLILKNNQLIGAIPSTLSQLPNLKILNLSANFLSGPIPIELSRINNLDTFFLAPISKAAILGIAVGGLVILLMILWSPQAGDPSYEHGPSCV >Dexi3B01G0028660.1:cds pep primary_assembly:Fonio_CM05836:3B:27163267:27163838:-1 gene:Dexi3B01G0028660 transcript:Dexi3B01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLPAVAVSTVWSAKPTMVAGSAKTSGMETISMPLTNAYDALFIAVGVKVSDSVPPVTGGSVNSLMMYAWLSALAVMYTLKLRRSVMPVDVDTEKKKCSAGRPWRSQCLSLSSTEKLYAVSLVNTRADIRVLDVRVGHDGRLAAINEPLAVLVGHPFPPVRVLALLGRAAVD >Dexi2A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:2A:902701:903673:-1 gene:Dexi2A01G0001360 transcript:Dexi2A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISRARGKKTGVDGEDHISRLPDDILGDIISLLPTKDGARTQIILLLELEILYHFWVPLRRANLQRPPLPASARRFSSTLRLASFGGCSFPDGDAGGGGALQLPHLKQLGLFNVRISERSLHGLLAGCPVLQSLVLAEDIAGCSCIRIASRTIRSIGVRHSSQSGDAMLQQLIIDDAPCLERLLLFGQIFHEDMVISVISAPKLYVLGQLPVEHQPTLKFAATVIQVSLHPAFTLFMFISEFSRFA >Dexi3B01G0031490.1:cds pep primary_assembly:Fonio_CM05836:3B:32935694:32937908:1 gene:Dexi3B01G0031490 transcript:Dexi3B01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSESRPSGRRLIRSPELGRMKLDKEMEMLLNEIPLLHHGGLLAGGGDDAAVDADLSFLIHELAAMGIVDADDDPPAPPLSTAGGIGLSFFAGINYPKKCDNLVASHPFSIANYSSHMPSLFDPLPFAASGDGWDTARCSPPPPASPPPPTPAATPRARCKNARRKNNGGGATASPKTKCAAAAAMAKPAHVGDEGLAGLRGFMYHVARDQHGCRFLQQRLDDGKREVDLIFSGVSRHASQLMVDPFGNYLMQKLLAVCDAGQRMALVVTLTSDPFVLVRISLNVHGTRAVQKLIESLKTREEISLVVDALRPGLLELIKDPNGNHVVQKCLQSFEANDNKAIFDAASIHCLDIGMQCHGCCVLQRCIARSRGEHREKLVAAIGCNGFELAQDAYGNYVVQYVIDLKIPDANSSLAQQFEGKYIHLSMQKFSSNVVEKCLKVFKEADKAKIILELLSMPHLEQLLQHPYANYVIYSALQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >Dexi9A01G0032600.1:cds pep primary_assembly:Fonio_CM05836:9A:37542131:37544766:-1 gene:Dexi9A01G0032600 transcript:Dexi9A01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALHFHRAAFLSPAHPRDAGAKWPLHHCSPHRIPVARRACFLKAKSLNGRPQIGASFGNTNEVRDGRPAGDGRQEEQGGSTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRNLISTTLTCRIDKRENCGDKMGQFLQRCFYQSGQYNSEEGFAELDRKLKEKEAGKLPNRLFYLSIPPNIFVDVVRSASRTASSSSGWTRFIVEKPFGRDSESSGQLTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLVQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLKLDDVVVGQYKGHTRAGRSFPGYADDPTVPNGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVHFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRGEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLRELEEKRVAPELYPYGSRGPVGAHYLAANYNVRWGDVNIDDDGSLF >Dexi9B01G0042400.1:cds pep primary_assembly:Fonio_CM05836:9B:42635655:42637912:1 gene:Dexi9B01G0042400 transcript:Dexi9B01G0042400.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKWKQLNWAGFKWLLLNSFVGMEKLVKGTKSGTAKDNWSTLVLRRSTIDQLLQCKGTIHLYYERGGYPKTSEMAMGRHQLRGTTLLVGDCISTALWYPIQWKLHELYPWKLWSTYFTCILGGLQTLIIGLAITREPLAWKIGWNLQMLTIVYSAALGMAMKYCLNIYVVSKRGPAYTSMFSSLSVIFTMLGALLLGEKVTFGR >Dexi1B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:1B:279069:280931:-1 gene:Dexi1B01G0000200 transcript:Dexi1B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADGEKKVITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNAKILSKVIEYCNKHVAAAKPADDGAAADASAGGEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFE >Dexi8A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:8A:43932:49327:1 gene:Dexi8A01G0000050 transcript:Dexi8A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPRDSRGSLEVFNPDASASASAAGWIRPPANSKPTSPFLLPPAAPAGDDDQQETAVGRAAQRAAEWGLVLHTDEHTGQPQGITARTSGSARTSDSLVDDERAAASGTARAALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIANIRQALAAGSNYCGRLLNYKKDGTPFWNLLTIAPIKDEDGRVLKFIGMQVEVSKYTEGDKDTAIRPNGLPESLIKYDEKSMLKPREDPLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYLIGVQLDGTERARDAAAKDGAMLVLGSDESIDLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSIMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLTEDAVRFYAAEVVIALEYLHCQENSDKKKRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDMRFPASIQVSLAARQLMYRLLHRDPANRLGSYEGASEIKKHPFFRGINWALVRAAMPPTNLLLEKETAADGTHTDAIF >Dexi1B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:1B:18731061:18731512:1 gene:Dexi1B01G0013240 transcript:Dexi1B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSFTADGSSNAANHSHTGCLNDVCTLGGLPLLGDKRYRLTTHDVFHRMLLFAVFMAVAMVDRNLVACFYPMELVSMRHLMVDTPMAAGAAGSFLFAMFPSTHRGIGSPMGSS >Dexi3A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:3A:3726839:3729464:-1 gene:Dexi3A01G0005750 transcript:Dexi3A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPTAATTRRGGRPAMKATPQPRRPTPAAASTSSPSCVDIEDLAPAVPAATPAALRAQLLRWYDAHRRDLPWRRASGSEEERAYAVWVSEVMLQQTRVPVVVGYYDRWMARWPTVRSLAAATQEEVNEVWAGLGYYRRARFLLEGAKQIVEKGEFPRTTSALREVRGIGDYTAGAIASIAFNEVVHSLATGDMMVAPVVDGNVVRVTSRLYAIADNPKESSTMKRFWELAGQLVDPLRPGDFNQAMMELGATLCSKTKPGCSGCPVSSHCQALALSMENSSVQVTDYPRVVPKAKPRRDFAAVCVVQIAEGLGKEVADTKDNGDIFLLIKRPEEGLLAGLWEFPLVLVDEGKTDSQNRRKAMDKYLTKLLNIDVGRKSDVILREDVGEHVHVFSHIRLTMYVELMIINLKDGVDQLSKKEDDCTKLKFANKSSMESMGLTSGIRKVYNMVQAFKEKTLCVFQRGQVPTRKRNRRSKQ >Dexi8B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:8B:8146371:8149378:1 gene:Dexi8B01G0006960 transcript:Dexi8B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHIHLCLPLITLLSLSLTSFSQIDPQTQALLQFKAGLNDPLNHLASWTNTTTSPCRFFGVRCENDTGSATVTEISLSNMNLSGGISPSIGDLHGLTRLELDSNSLSGPVPPELAKCTHLLFLNLSCNALSGELPDLSSLAVLDTLDVETNGFTGRFPAWVGNLTALTTLSVGLNSYDQGDTPATIGNLKNLTYLYLAGCSLTGVIPDSIFGLAALETLDMSMNNLAGSIPAAIGNLTNLWKIELYKNNLTGELPPELGKLTKLREIDLSRNQITGEIPPAFAALKGFTVIQLYHNNLSGSIPEEWGELRSLTSFSIYENRFSGEFPANFGRFSPLNSVDISENEFTGPFPRFLCHSKNLQYLLALQNGFSGEFPAEYSTCKSLQRFRINKNQFNGSLPEGLWGLPAATIIDVSDNGFTGSMSPVIAQAQNLNQLWVQNNNFAGEIPPEVGQLAQVQKLYLSNNSFSGEIPAAIGTSLSQLTALHLEDNSLTGEIPASIGGCVRLVEIDVSRNALSGQIPASLSLLSSLNSVNLSYNELTGAIPTSLQALKLSSIDFSWNRLTGDVPPALLVIAGDQAFVGNPGLCIDGGGTCHVDGGHKDGFARKSAVLVPVLVAATLVLVAGIMFVSYRSFKLDELRRRHGDMEHGGGDAGAQWKLESFHPMELDADEICGVGEENLIGSGGTGRVYRLELKNGRGGGGGVVAVKRLWKGNAARVMAAEMAILGKVRHRNILKLHACLSRGDLHFIVYEYMPRGNLHQALRREAKGTGRPEMDWPRRRKVALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKVVADDSAEFSCFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLLELVTGRSPIDPRFGEGRDIVFWLSSKLAMDSLEDVLDARVAASARERDDMLKVLRIAVLCTAKLPAGRPTMRDVVKMLTDAGAGLGSPRGPPPARVCSNKSCR >Dexi3B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:3B:12027967:12030956:1 gene:Dexi3B01G0016550 transcript:Dexi3B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLVAPPPPCISRRCLALPSDFISPCVLRERSVRASRLEHGAGVVSSASMTYSGVEEEEEEEEETEPAMSTRPRLELIEKPDWSLALLDEYESEELSTSHCANHRSGYVAVLGKPNVGKSTLINQMVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVVDACKAPEKIDEMLEEGVGNKSIGVPVLLVLNKKDLIKPGEIAKKLEWYQKFTNVDDVIPISAKFGNGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYSCQVNVVSYKSRPTAKDFIQVEILVEKETQRSIILGKDGRAIKMLATAARLDIEDFLQKKVYLEIEVKVKENWRQDERLLKRYGYGGEIQAL >Dexi8B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:8B:8026668:8026907:1 gene:Dexi8B01G0006890 transcript:Dexi8B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSLLFLCPSRLYLSGDSSIVQPPSAFDSWEAVLPPARRGRTGPEKSLSTREQERGIGAAGAGAAAVSYCRLSSSRDW >Dexi6B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:6B:1603406:1603699:1 gene:Dexi6B01G0001860 transcript:Dexi6B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGHLLTRLHLARSRSPSPAAAEVPRGHLAVYVGEGRKRLVVPTACLSHPAFVTMLKRVEDEFGFDHRCGGLTIPCASEGDFADIVGGGVDGSH >Dexi7A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:7A:21254556:21256893:1 gene:Dexi7A01G0010460 transcript:Dexi7A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGEDGKQLPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDGSTSAAPPPFLEYASNWRRCFGFDLTGVFAADMAALYESLAADGVLEMDAALLAEMRARIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFTYDTFIFYTVLTSVISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFIAFSGLTEQVKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Dexi7A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:7A:27097374:27100462:-1 gene:Dexi7A01G0017400 transcript:Dexi7A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPAGAASTRPLEPHRILIQETPADACSSSLPSTSGAADLRTAACEAGGEEGVLLDLDSPWAAQAEAERILVEAAATDAAAALQISREVEREQEDEIRDNQQRQEDELMALEAIYGHDLAVFENKRGLRYFQICIRYDLPDGIEVCSKLSSANVCAKDEGCSDGTEHGDGSDVFSYRCNFEYLPPLILTCLLPRSYPSKDPPYFTVTAKWVDAPQVSQLCEMLNTIWAELKGQEVVYQWVEWIRDSSKSHLWFDGKITLGPDIIPYNRDDRAISRTNPLESVIPLMMSYNNKKHHQAFLEDLHMCMICLNQSKGKMSEKEMARELLAVRKMFSDIQICPKCKMPIVKTEGCNKMSCGNCGQLLCFRCGRAIDGYDHFWNECELFELRQYDDVTPFERHMEEVQIGRRVKVQLTPIGSTIRCPKCRQRNFKENEEYIFCWACRIHYCSLCRIRVDDKYMKSGHYRSSECVGLGNF >Dexi4B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:4B:3564896:3565001:1 gene:Dexi4B01G0004970 transcript:Dexi4B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPEPLDWSGDNCCSWERVVSRAST >Dexi5B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:5B:9503627:9509429:1 gene:Dexi5B01G0013390 transcript:Dexi5B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGSAAVPLLGKKRRREGCPGCRLDEANKTATGIPYLNFFYIWIVCLTSTLPIQSLFPYLYFMIRDLKIAKQEQDIGFYAGFVGASYFLARTFSSVPWGIFADKYGRKPCIVISILAVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYATEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLAQPAEKYPNLFSKESIFGRFPYFLPSFVISILAAGSCIACIWLPETLHFHDDEKVEAIDELEAQVADLNLQGEKAQESSDTSTKNLLKNWQLMSAIIIYCVFCLHDTAYIEVLSIILLIAYPFMVNLQETELKVLINVSSLLKNVFSATITTACNILQNTAVTQEQRGVANGISVTLMSLFKGVAPAAAGILFSWAQKHMTGLLLPGDQILFLMINMVSVIGLLLTFKPFFNMSSALRRS >Dexi9B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:9B:10468267:10471069:1 gene:Dexi9B01G0015380 transcript:Dexi9B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATTARIVGAPSLWRSERSAGVLAGFASPPPSLRPARNTRARPSELQQAPRPPASGAVPTHKVTVHDRQRGVVHEFVVPEDQYILHTAEAQDISLPFACRHVRIKSGQIRQPEALGISAELKDQGYALLCVGFPSGDVEVETQDEDEAST >Dexi9B01G0022930.1:cds pep primary_assembly:Fonio_CM05836:9B:17811698:17812725:-1 gene:Dexi9B01G0022930 transcript:Dexi9B01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQDTEKNEAAINCIGKDIEMNMQELAQSLLLRSDEKTSNSKTKQTLWDVLRSSYYASHCPEHVIDRHVSKVVFEPV >Dexi9B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:9B:9901041:9902060:1 gene:Dexi9B01G0014810 transcript:Dexi9B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSLVFTKWASPNPGAAAADHGSSRATFPTLYALREASHAAEMVQELIADDVVHAPNSSSSGDIIAANNWNLGATSAALPGSNNRRDGGDPGHSRYAAGSGVRAAATAAADDDEEEEISRRPSKERGGIHVGIDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATSYVEELQEKVKDMEAGRSSIVETRLVVVKRPCLHLHASPVTDDDGSPSPGTPSTAWQELPEIEARFSESEKSVMVRVHCENSKGVLVKVLTEMEELKLTIIHANVMMFPACTLTMAITAKASVSSAFD >Dexi7B01G0023520.1:cds pep primary_assembly:Fonio_CM05836:7B:28108496:28110465:-1 gene:Dexi7B01G0023520 transcript:Dexi7B01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMSERISEQSGETLDEHNIKNPALGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFAGSFAWGFFQWFYTAGEGCGFMSFPTLGLEAYRQKFFFDFSATYVGVGMICPYLVNVSVLLGGVVSWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFVSIALILGDGLYNFLKVMTKTVTALVVQVRGMMSGPTLPISGAGRDDLRALPEESFDDQRRTELFLKDEIPNKLALSAYAVIAVVSIATVPHIFHQLRWYHVAVSYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAGADEGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVVAPSVFWLFYNAFGDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCVAFFAAAIGINLARDLAGPAAAAYIPLPMAMAIPFYLGPYFGIDMCLGSLVRLVWDRLDPARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRTANIQVDAFLRAAKRH >Dexi5A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:5A:20805354:20805988:-1 gene:Dexi5A01G0017560 transcript:Dexi5A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVAAAPARAPLAGHRIEDLDRHLLLRILSYLDSPQAVQTCLLSQLWRDLWRDVPRSKASIRMFEIEGGDRDHDVRNPLFKKFVNRLLLLRNPVALDVFHLGYCMSRQDEADFHRS >Dexi5A01G0026080.1:cds pep primary_assembly:Fonio_CM05836:5A:29823263:29824414:1 gene:Dexi5A01G0026080 transcript:Dexi5A01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERLKAMSAPLRDFLRSRLPAVDALVLDMFCGNALDVAAELNLPVYYFYASGAGDVAVFVNLSRSNTTTSSSVELDGDTVLSFPGAPPFKASDLPKEISNASPGAKPILHALSRLPEADGILINTFESLEPRAVRALRDGLCVPDHSTPPVYCIGPLVSGGGENEHECLRWLDTQPENSVVFLSFGSMGTFPTKQLEEIAVGLERSGQRFLWVVRSPRDPEDMSGKPLPEPDLDALLPEGFLGRTKDMGLVVKSWAPQVDVLRHRATGAFVTHCGWNSTLEGITTGLPLLCWPLYAEQRMNKVFIVEEMKVGVEMSGYDEEVVTAEEVETKVRWVMESEGGQALRKRVEEVKDKASQALKEGGSSHAAFVEFLKDLDNMRSL >Dexi6A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:6A:28877103:28878209:1 gene:Dexi6A01G0021730 transcript:Dexi6A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLLRSARPGGVKMSEVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAVAQKISGVTRLQLRRLLLIALYGFAYAGPFGHFLHKLMDRFFKGKKGKETTAKKSPNSLTKVAPFCPYAMCRS >Dexi7B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:7B:3309389:3310126:-1 gene:Dexi7B01G0001890 transcript:Dexi7B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSPAAAARFRVVVIGDPDTGKSSLTTEQLPDGVPITFIDTPSSPEQTPKLIAECQAADAVVLMYACDRPATLDGLISFWLPVLRQLEAPAFVIAGSSTRVCKA >Dexi5B01G0025180.1:cds pep primary_assembly:Fonio_CM05836:5B:27278769:27281258:1 gene:Dexi5B01G0025180 transcript:Dexi5B01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGQVLELAAIDGKTVLTPEQKAALVTSLKNKLEGLVAQHTDMLENLTPKVRKRVDVLRDIQTKHDELEAKLIEERAALETKYQKLYEPLYSKRYDIVTGVAEVDGITKGGDETLVDQKEKGVPDFWLNAMKNNEVLAEEIRKRDEEALKYLRNIKWCKTDNPQGFKIEFSFDTNPFFKNSVLIKTYHMIDGDEPILEKAIGTEIEWYPGKCLTQKVVEKKPKKGSKDTKPIIKTEDCESFFNFFSPPQVPDNDEEIDEDTAEQLQEQMEQDYDIGSTIRDKIIPHAVSWFTGEAQDEDYDSTILEDDLNSSDDDEDDEEDE >Dexi2B01G0035750.1:cds pep primary_assembly:Fonio_CM05836:2B:42730051:42731916:-1 gene:Dexi2B01G0035750 transcript:Dexi2B01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGVDGGGGRSSPPPAAAPRAVVDSLMDLRGQAEMLRNIIVHQGSPTPPGTPADAAAPAAPGTSELIDGMMSSLSSALSALDTTTGAGQGRRRRRRASAVAGSARPQRRTSTRRRSYYRCTHRPDQGCRATRQVQTSDDNPSQFVISYYGQHTCRDPSTIPLVIDAGAPPDCANLISFGSTTMGASTSTHAATIIPPQQAFDPTSMLFVSRLVGYSSSLPSQLENRCGSEEVHSSSSPASELAAVVGSAGMTSSVTVGSAPAEYWPGGGGDMACGPAGTASFPSSPSSLGIVTGSFGSFGNAGDDDLFGFDL >Dexi3A01G0025920.1:cds pep primary_assembly:Fonio_CM05836:3A:21936490:21939051:1 gene:Dexi3A01G0025920 transcript:Dexi3A01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDVTGTRMSLPIALVVSIAAAAATLLAAAAVLLALWCAARRRARRNSNSDTGSSDPSTLVEWGKVGRSSLAPEPETEHQVARQFSLEELVQATKNFCDTNIVGAGSFGLVYMGLLLDGTVVAIKRRVGAARQEFVDEVRGLSEIRHRNIVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYDNGKGSTARLEFKRRLSIAIGAAKGLNHLHSRDAPLIHKNFKTHNVLVDENFIAKVADAGLARLLRGSDDAGPSHGFCISVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREAASLMPPESREYLAHWMEAHFSSNELIDPRLGGSFTSEGMKELVGLAFQCLNPSSRRRPRMRLVATELDRILETEMSMTTFMGDGTTIVTLGSQLFTS >Dexi4B01G0023200.1:cds pep primary_assembly:Fonio_CM05836:4B:24625895:24626188:1 gene:Dexi4B01G0023200 transcript:Dexi4B01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGRAVAERGRVRAIIIIGWPRLSRHEATPTILASPPSLGAHGMPADPCRHAALRKDSKAPPLPSQMVADPCSAGYVQVSPPCSEPFTGHQPFTF >Dexi9B01G0030130.1:cds pep primary_assembly:Fonio_CM05836:9B:32619468:32624426:-1 gene:Dexi9B01G0030130 transcript:Dexi9B01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICAMRSLLAAAAATAGPTVLRAGAFPPRPPLLSLPLRRVRAAGNMLGAARSASAAAQSRIGGEAEVRASLSGEIHVIVGPMFAGKTTALLRRVQAEAGNGRTVALIKSNKDNRYGLDSVVTHDGTKMACWALSDLSSFHDKLGVEAYDKVDVIGIDEAQFFDDLYDFCCKAADCDGKIIVVAGLDGDYKRKKFGSVLDVVPLADSVTKLTARCELCGCRAFFTLRKTQETKTKLIGGADVYMPVCRQHYMDGHIVVEATRIVLDIDRSTVTAQALK >Dexi9B01G0044300.1:cds pep primary_assembly:Fonio_CM05836:9B:44092221:44093660:1 gene:Dexi9B01G0044300 transcript:Dexi9B01G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAKQGMSPYEAARERTVLENKRKMEALNLRHLSAAIKPPKTPSPMKQKRRRIIEDAVVVPSPPRRSRRLANLPEVKYAEVAPHSADRMTRSPRKPTDLLYLVSRGSVSMKARLEAARKAEELESQLDPEFPSFVKAMLHSHVVRGFWLGLPSHFCDTYMPKQDSIVTLVDEKDEEFDTNYLAYKKGLSGGWAGFAICHGMQDGDAAVFQLIKPTTFKVHIIRAASDEDQ >Dexi3B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:3B:15519187:15520053:1 gene:Dexi3B01G0020540 transcript:Dexi3B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSCHSGGLIDKTKEQIGNSTKQNRAQHRDRGIKPPSASCTCTSFLRTIRLAFESLGIHLPRRGHHQQSTQNGVNNEPDAKTDAANASVATTTNRSLPLSTFIEMLRDKTGRHDVGVGSIRTTLFYHFGHDASPKVKKFVKVMVAKLRHDDVKLDEEAAGHPHPHALVREAMEQDVHSVQEVYAGPPPAAAARMMPRNGVLISGCQTDETSADATTDDGVSYGALSNAIQDVLLAAGSGRRRKKRRAAVTNRELVVRARELLSKQGYTQQPGLYCSDDHAKRPFIC >Dexi3B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:3B:13954284:13955099:1 gene:Dexi3B01G0018750 transcript:Dexi3B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRPSRSAGADNKSDPATPFALPSPTVYPAAAQDVETTAAQTATGWRSMQHHLPKHRRRSLCCCGCCVTTVVIVGVVILVLALTVFKVKNPHLTVNAVWLTAISAGSGSGPGVAGPGSGPGVARPPVAANATLTADVSIKNPNAAAFKFSRTETDVYYKGQTVSVAYAPAGRVGADRTVRMNVTVDLLADRIARAMNGTGLVFGQEYGLDTYTEINGTVSVLGIVKKDIEIKLNCAVVVALAGAAAALESGFTSTVQSKSVNCVADVSM >Dexi4A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:4A:8959022:8962716:1 gene:Dexi4A01G0011050 transcript:Dexi4A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARILHADATRGLLPGVLQRFSTAAAAEEPISPPVQVNYTKLLINGNFVDSASGKSFPTLDPRTGEVIAHVAEGDAEDINRAVAAARKAFDVGPWPKMTAYERSRILLRFADLIEKHNDELAALETWDNGKPYEQAAHIEVPMVARLMRYYAGWADKIHGLIVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYVTKLLHEAGLPEGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPFVIMDDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFRKGVEQGPQIDDEQFNKILRCIRSGVDSGATLVTGGDRLGDKGFYIQPTIFSDVQDGMKIAQEEIFGPVQSILKFKGLNEVIERANASQYGLAAGVFTKSLDTANTLTRALKVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPLKNSAWL >Dexi2A01G0036260.1:cds pep primary_assembly:Fonio_CM05836:2A:45826658:45830758:1 gene:Dexi2A01G0036260 transcript:Dexi2A01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTTSPAAPVASSRRRDHPAVSQPEQEGVDSSDAAAATVEAGAAPEQQAAEKPEKPAQVKRERRSRSSRSATAAGAAAAHAEVRLGGSFANKARGEQVAAGWPSWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLNHPNVVKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDVKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIADFGLASFFDPDHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEFFTTEPHACEPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGDGTRKTRTRDRPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGAVPTWSGPLADNAAGNQKRKHKSGRSSKQPSTARAR >Dexi2A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:2A:930640:932500:-1 gene:Dexi2A01G0001410 transcript:Dexi2A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRLSGGHEGQLQLAAKLAEDVAGVDRLSALPNDVLLRILARLGDAAAAARTSVLAGRWRRLWALLPELRFPSSPFPRRHILSALLAHEAPLTCLDVGGEDAAPESVAAWLPTAARRLSGSLVFTNRVPGLKNDLDAGEERGTFELPCFENATTFSPDLGWLGLAVPRAGGVFAHLTELSLSHVRFRGPCELDDAVSSRRCPCLEKLTVQYTLGLTNLRIFSNSVRHMDLAYMRGFQKLTVDAPTLEHLSVQLCFYRDQNQAVANISARQVKRLRWADLFDQRSVKLGKMKHLQSVCPIFFLVYGFMPNDAFQKFLRCYKIIQELCITLVFLPEAGPETFIHRFGGML >Dexi7A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:7A:15354807:15355342:1 gene:Dexi7A01G0004530 transcript:Dexi7A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLASLRKLKICECKGIKTLPDSIHKLPRLETLKVVNCENIVSLPERLGDLTSLEELKILGCTGIKTLPESLRQLTLLWNLTVKDCESITSLPEGLGDLASLMWLEIRGCSGIKTLPDTIHKLTNLQQLRISGCHELIHWCESKENKMKLTHIKERS >Dexi7B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:7B:19733040:19736645:-1 gene:Dexi7B01G0013150 transcript:Dexi7B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAMVGVALLLAHGLLPCASAVDRGDFPENFLFGTSTSAYQIEGGYLEGNKGLSNWDVFTHKSGTIQDGSNGDTADDHYHHYMEDINLMHSLGVDSYRFSIAWARILPNGRFGKVNPDGVAFYNAIIDALLRKGYAQASNSSMTTKDFTNDTGRVDYIQGYLSFLASAIRKGADVRGYFVWSLLDNFEWSSGYTQRFGLYHVDYKTQKRTPKLSAKWYSEFLKGSPLKMRLRNGYSHQYIA >Dexi3A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:3A:11673117:11674066:-1 gene:Dexi3A01G0015670 transcript:Dexi3A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNSSPSAEDLSAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASDMGFSLFD >Dexi6A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:6A:21194527:21199596:1 gene:Dexi6A01G0013850 transcript:Dexi6A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVPLRQLFVDGEWRAPAQGRRLPVINPATEAHIGEIPAGTAEDVEAAVAAARAALKRNRGRDWARAPGAVRAKYLRAIAAKIIEKKAELAKLEALDCGKPYDEAAWDMDDVAGCFEYFADQAEALDKRQNSPVSLPMETFKCHLRREPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPDAGAPLAAHPDVDKVAFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHTKIAQEFKERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFISNAKSEGATILTGGVRPAHLEKGFYLEPTIITDVSTSMEIWREEVFGPVLCVKEFSTEDEAIELANDTHYGLAGAVISSDRERCQRLSEEIDAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSIKQVTEYISDEPWGWYQSPAKL >Dexi2A01G0023230.1:cds pep primary_assembly:Fonio_CM05836:2A:34981748:34983196:-1 gene:Dexi2A01G0023230 transcript:Dexi2A01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTPTLSTPQGIFGKPPYPQLGFPPAHINWLSLSARRRHGIPRRSAAATTNPRGKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLCVFDAEKANKLKQSLPPG >Dexi4B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:4B:1167468:1171868:-1 gene:Dexi4B01G0001900 transcript:Dexi4B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLPSLAASAALFLLSVLAVALLAVTLYILGVVASFAVFCAREFARRDRDRPPLVGTVFRQLSGSFSYSIMKDLFGDGIFATDGEKWRQQRKLASHEFSTKVLREFSSVVFRTNATKLADKISSTANNGTVIDMQDLLMKTTMDSIFKVGFGFELNTLSGSDESSIQFSNAFDEANSLVFHRYVDIFWQLKSKTLSLWCMQRAREDILSRFIMQSKTDPKTMNDRYLRDIVLNFLIAGKDTTGNTLTWFFYMLCKNPIVQHKVELEINESVEWAEKDNADDFTARLNEGAIENMHYLHSAISETLRLYPAVPVDGKMADEDDVLPNGYRAGPHICLGKEFAYRQMKIMAATLIHFFRFKLADESKDATYKTMFTLHMDKGLHLHAYPRST >Dexi7A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:7A:17314142:17314975:1 gene:Dexi7A01G0006040 transcript:Dexi7A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAVSGLAGSALASRPAFSTTFTRGARVSARNPLMKRNLERNGRITCMTFPRDWLRRDLSVIGFGLIGWIGPSSIPVINGNSLTGLFFSSIGEELAHFPTPPPVTSQFWLWLVTWHLGLFIVLTFGQIGFKGRTEDYFEK >DexiUA01G0013910.1:cds pep primary_assembly:Fonio_CM05836:UA:29272523:29279726:-1 gene:DexiUA01G0013910 transcript:DexiUA01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVQDTFSGAGGHGGAANTTTTTHDSVAGDTNAMSAVVGDYAEEGKAKAKGVADAAMGKAAETKDATMGKAVETKDAAEEKARGTMDAAAEKARGARDAAAEKAEGARDL >Dexi7B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:7B:10470891:10472293:-1 gene:Dexi7B01G0004310 transcript:Dexi7B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNYLIICRYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAAAEVTKKSNHVQRKLEKRQQGRALDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >Dexi1A01G0023950.1:cds pep primary_assembly:Fonio_CM05836:1A:30633832:30635107:-1 gene:Dexi1A01G0023950 transcript:Dexi1A01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLMAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTVEEEETIIRLHGMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKKAALREQQKPCAAKNDDVGAATGDASTTPLTASSSSSASSSTTTTNNESSGGSDSGDQCGTSKEPDAVVDLSPLHLEDIDVSDMLVDAPTTAAAQPMLSSSCSSSSLTTTCAAGGVEDLMIELPVIDIEPDIWSIIDGGGDATAPCTGGGASSEAGEEAANDDWWLENLEKELGLWGPIEDPQAQPDLLVHTGLGPLGDSEGDPVSTYFQNANAAADAEFLDVELEPSAALL >Dexi3B01G0035680.1:cds pep primary_assembly:Fonio_CM05836:3B:38688450:38689060:-1 gene:Dexi3B01G0035680 transcript:Dexi3B01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGPATRAVLAAVRRPAAAPAAAAARLRAPPPFAAPRRRVPSAFTTSSSSPLPSARPLAALMGSPVTAAAVMARLTAHPGASARACCELSQVGLLD >Dexi6B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:6B:1934419:1937443:1 gene:Dexi6B01G0002220 transcript:Dexi6B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDIKLKDSKTLLFGEKPVAVFGCRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKVINDNFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLEKGASYEDIKKAIKAASEGPLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNENFVKLVSWYDNEWGYSNRVVDLIRHIFKTQ >Dexi5B01G0023430.1:cds pep primary_assembly:Fonio_CM05836:5B:25590286:25591413:1 gene:Dexi5B01G0023430 transcript:Dexi5B01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSSGRSSGSRRGKRGGGSGAADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPPSLIHRTGSLNLEDARASTSSLSSSPSSSFHATTVSSQFPTIHPNFAVPYGERGDLRYGEFQAPIIR >Dexi4A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:4A:20624289:20626441:-1 gene:Dexi4A01G0016890 transcript:Dexi4A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLHVVKMKDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQIRLEGMSSNRMGQFAVVLEVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPVEVSAKSSLLRTTTC >Dexi4A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:4A:22466069:22468470:-1 gene:Dexi4A01G0018470 transcript:Dexi4A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRSFHSGGVVDGIARAVAEEELQVDRRPAEEGASRMIFGFPVPAGVPTRQAAVTQQFFPATVAATSPAAPPQAVEQCHVAASASAAEQWARSASRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVNADINFTLTDYKDEIKKMKNFSKEEFVQVLRRQGAGFVRGSSRFRGVTQHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAQAYDKAAIKCYGKEAVTNFEPQTYDEELQVQSWDGELDLELSLGFAGSDPSSTVAVEAAPSRQRTMTLTLDLPEEEAGTGYPRRSIRTRPSSPTPATLRLLLADDDHVHHPVATGSSRDMLHMLQMHLTDAQGQVGSSGGGGGAAAATHTRWPSSGGSNNWAPPYYATGVHDDIDDAAASSGFPLGQLAMAAARGGVQRRPRR >Dexi3B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:3B:9367737:9370275:-1 gene:Dexi3B01G0013150 transcript:Dexi3B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRCIGSGSPIIASFVPFLLFSLLVVSSSSSVPASAPGGGNGTTVMFRSARELLRFQRIKARLAKTRDASVKTIQACIILSCTWSSSSLRAEVNNGCCLVGVAPWFSGLQSPDGDVIDCVPTHLQPAFEHPKLRGHKPEKEPAERPRSSVRYVGAADEDEQEDALPQTWRRSGESCPGGTIPVRRTTEDDVLRASSVSRFGMKAWGGGVARRDSTDIGHEHAIGYVSGSQFYGAKASLNVWPAQVASPAEFSLSQIWVSPQLYGDNNPRFFTYWTDDAYQETGCYNLHCSGFVQTSSRVAIGAAISPFSSYAGRQFDITVLIWKDPRQGHWWLQLGSGDLVGYWPSSLFTHLGTRAGMVQFGGEVVNARPAGAPHTATQMGSGRFPGEGYARAAYFRNLQVVDWDNSLVPAAGLQLLADRPGRYDIAGGSGGAWGTYFYYGGPGRNAQCP >Dexi3B01G0029920.1:cds pep primary_assembly:Fonio_CM05836:3B:29226001:29228369:-1 gene:Dexi3B01G0029920 transcript:Dexi3B01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLHLAAPPPLVLLPHRRSLHRRSPPRPPPPGPYLPSPARAAPLLLPPRLRLSRAGRPVRAVGGGAEDGAGAGLAEGNEQEEAGGVGAGGGAGLVGEDSAAFRLGDQSVASWAYFAVILAAVLWALNVLWIDPGTGVGTKFLDAVGSVSDSHEMVGQVIWCLAHTLWIGNSVAAAASVGLIGHHLFGAWNGDRRLASRYGEAFEVLKERTSVVPFSAIIDGRQKLPKDYYKEFFRLPYIAITALTLGAYFAHPLMQASSYQLPW >Dexi9B01G0042110.1:cds pep primary_assembly:Fonio_CM05836:9B:42420883:42425410:-1 gene:Dexi9B01G0042110 transcript:Dexi9B01G0042110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEVDHGKEMFHGHGHSDPVVDELNRLENLLREKERELGHAYSEIKGLKVTEALKDKAIAELSKELKKQDEKMRSLEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEESVPFDAIIAPLESDIRKYRHEIAVLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKANRQKVLEVEKLTHTISELEESILATGEVANAVHFYQNQAAKLNEEKKTLERELARAKVYVNRVASTAANEWKDDSDKLMPVKRWLEERRLLQGEIQRLRDKIAIAEKSAKVEAQLNDKLKRRLKSLEEDMRNEMSNSSTKEINKKVTSKRSTSQPRQPNTARVSPQPSSPEIIDRRRPISQPRPSIAGKVLKQPNSEIEPAENRVAKRFESPRARMVAGKGERPTKNHLWAPRSKVAADAGKENKEQNPNSKAHLNVSHLQGHGDAKIFDESEECGVQCVEHQEDKENLGNVDSSNAERSP >Dexi9A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:9A:15187508:15190504:-1 gene:Dexi9A01G0020260 transcript:Dexi9A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAGMIDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Dexi6A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:6A:1863345:1866325:1 gene:Dexi6A01G0001950 transcript:Dexi6A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSGEASAGPAPAPMAAAAAATAAAPVVTEATASFQSPAPEAAPSAAVEKGSSSGVLVSPPATGPPPAVAAGAGGALALGPVMMKVPKKRGRPRKYGPDGSLIRPLNATPISASAPMAAAVAAGQYTPASAVGAAMKRGRGRPLDFAAAAGKHHQHHQFHHHHQQQPFGFHFDSIGDMVACSAGANFTPHIITVAPGEDVTMKVISFAQQGPRAICVLSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKARVDPTPALPQTPPAIPIASTDAHSVEQGQNSSASHQRTTTVMTSAYGADQSWASQPTPEASRTPSGDQKMTTSGS >Dexi9A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:9A:5361022:5362031:1 gene:Dexi9A01G0009050 transcript:Dexi9A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAEESVIQEMILRRRSRSMNNTTGAHRSHAPDQLAISSTAPCDGDGAGAGSKSATARALFASPRLLHSSSLPTGTVVLSKSPATPDAGESETTAFSMSPTSVLDAASFRSGGGSDAVGNSKRRPWRDNALHGLAGALDCNDQQQQQQEGIVLAATSPSLLIRSCSLDRRVEFGVKNKSSWLPLRACSGREDASSPALAAEDPWEMEPSSEDYTCVISRGPNPRTVHIFGDRVVEADDAESSPRPINLPACGDRGFLSL >Dexi6A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:6A:28672506:28674286:-1 gene:Dexi6A01G0021430 transcript:Dexi6A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRLIARARSRRSFWSSSWAADPSTPSASSPPPDTNKKKPPPASAPHRLAAVMDAVNERKLPPELRGRGNAVRSETDIANVVEQRIWHSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSKNGCAPEWVELNKEIRGMIASWRSALRKALANRSEDDYSSWNDDCRVLQEQIRQINDKVFRYNLIVPFGRQMFGLNWDKEVDKLQSK >Dexi3A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:3A:17073527:17076240:1 gene:Dexi3A01G0021470 transcript:Dexi3A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLETTVGEMEDRVQAMLKLIGADADSFGKKAELYFRSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATVFPDQVQFSMQEGDGEGFPKAIGAIDLSNFKFPALEGLPMGSRSASRGTSPVPKRGAQAHRRVASNMTKEKAHEEIDKLQKQILVLQTEKEFLKTSYDSSLRKYLDIEKQVAELQEEVCSLQDAFSTGAAIEDNEARALMAAQAIVSCEDTLVSLQDQQKRSTEETKAEFRRANEAIEKLKTFKNECGLPHAQMEEHDHHDTKLSHALPLEDADDSSLNEGRLDLQEICEKVKEIIERHPELSVAELADKVDRLVEKVINLELATTSQNAQIDRMRIEIDGLHERLHSLEQDKAALVVDSSNLADRLKKVEEMLQEVQQIWKSVQNGTENICKQMTEASHELTEFVETLHAPEPEISGVMDSLRDSEVNASLEDDSGLTSLSVKNDPSSSFHDTTSEIEKHDGILEGSVAQKQLASKEPEGEEKILLEDYASVLQSYKDTEQKISEIEKRNQENHLEAMSELKELKSANATKDEEIHSLRRMLSSLQKKMSASITESIEKSEEISKISTNPATEDKEIAEIEEYIKQCQVDDSLATSVAEEKFRGEIDRVLGENLDFWLRFSTSYHQIRNFQTSFDKLKTEMHKLTDEQEQRATYGFAASYQVAKLESAVLEKKFRDLNTELQVWIEKNVLLKGELENRFSSLCSIQEDISKITTLDKSDEVHFNPFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLELRENLELSIARSHRAQQNFRNLSTKARVPLRTFLFGSKPKKPSLFSCMGPGVHKQRGSKAGRR >Dexi3B01G0035990.1:cds pep primary_assembly:Fonio_CM05836:3B:38936521:38937552:-1 gene:Dexi3B01G0035990 transcript:Dexi3B01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAPLITDDATSSGPSIKSALLIIGGLLLFAVAATVLFRYLIRRWGSSSSSSRPRRGASFEAEVGEGGAATARRSAAAAGREMVEAAAEAGAARRIVEVVGRAEAHSARGRVEAEVEQRETGGGAADDIELLIASLPLFTMASALAAVPKNSPDCAVCLSPFDPDVELRLLPACRHAFHAACVDGWLRTNPVCPICRNPVSFPLPPLPSAPAVPAAAAGQEPLGWRASGRSFRVELGSVSNRCSFCADDRRTYSIGGSFDYRVDEEVEAIVSSIIRPAASRPSRPPRLVCPTAPAAPGEGLAEVVGSRGWLGEYVDRVSRRWSGRLSLGRRSHSRRHGDS >Dexi2B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:2B:20246294:20248809:1 gene:Dexi2B01G0012970 transcript:Dexi2B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLICRVLSVLGNRVSKLTLKDVTRKCSVPSTHVYSGRQTDKIALGKIERSVQAVGAALKKLETGDNVNDAKAVCEPDVLKQLSKWHVSNYSISKEWGDVKKTVEEAKLFYILLINFTGMWNLVTRYVFPPVLLPRIVYHHLA >DexiUA01G0011000.1:cds pep primary_assembly:Fonio_CM05836:UA:21983121:21983873:1 gene:DexiUA01G0011000 transcript:DexiUA01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHEPHREQAPLVIDAVNGDAGLLLLDGGAEHPRHVGRCGLWVPGDERRVPSGLRQLLGLGPRHDGGHDEHDGVARGDGDALRGVHGGEERPPLALAGVLRHDGRAQGVSTAGPDAEPEAEEAERGHDGLRRVAKGETRRSGARGDERQREAVGAAAADRIAEPAEEEVAHQGAAVHGGVQRRSRRGVGRPSSGIGEVDAAEQAVDERDGVEVVGAGEESCAGDHGC >Dexi7A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:7A:15670027:15672740:-1 gene:Dexi7A01G0004720 transcript:Dexi7A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVNSTHPTGLGNAFRVGRTTETVVEDHVSPPVVVNTAQGQIQDVQEINMEDLSTYHTREDTCTSSHTVNEYDRTHIIERSTQTIIEECVGPPIRSNNAHEQIQCVHEISMQEQATAFGMTENIEERVDSPIRSSNAHEQIQHAQEITLQEQATAFGTIERISSVSSPFGYVSQHVSHIIADTAANDVEAAQSPNVDVINQKEHSFILSGKNEKPFTYICSMLADWGRQAVTKASIQGKIKGVFTSVKCFQFRQRTKYELYVYIDDGSSISEAIVHHDIVKKVLGLSPGELTAALAGVFEFTSTSEVIETVKGFQRFLAKFEGMMLIECNKDSSIPIIRDLDDGCSSSNAWLLLRRLKTFSSRRCMWNHDCMDTTP >Dexi7B01G0021710.1:cds pep primary_assembly:Fonio_CM05836:7B:26742505:26756429:-1 gene:Dexi7B01G0021710 transcript:Dexi7B01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRWRNRLTCTTPTTATLGSLKIRGSLPLRLRAQPRRRANRVAEKESSDESITTVPDKEETLCKQGDHPQGGESSTDSRPNLPERINTPMVADKFLHVKHLNVFLRDDDDGASCYPDLRLFVLGFISESCPVLKSFILSVDQHDMQHDSVFGDASPHLGPISVHKHDSLTLDCIFGAEAIGYSVRCATGQYVGFDCLRSLTKLHLSEVCITGDELGNLFSNSFALEELELRWCVELICLKIPFWLKRFSYLRVSECYKLQVIENTAPNLSTVAFFGDPVQLMLRESSQVKNLKVAYSFEPNAVNYAITKLPSIAPHLETLTIYSTCERVNAPIVADKFLHLKHLDIYMGDDDDEAANHIYDYLSLISFLYASPSLESFILSVDLDDMKHDVVFGDASTIRQVPRHRHDKLKKVQVAAECWSRARRGDEAGAERGHSWMQLQQHCQWALAYGTGLLSLPVGLDLELVLVAITAKRRADSHSASAIYSEPAAACTALLPI >Dexi3A01G0029490.1:cds pep primary_assembly:Fonio_CM05836:3A:31368339:31374164:1 gene:Dexi3A01G0029490 transcript:Dexi3A01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLAVAASSLPFLNPSLRSRSGETLAPHRRRMEPRGYDYGRGSGAGGKIRGHHASRAAAASPYARPAPAPVPSAAAAAASQGGGWFSRVIAAGASRLLPSVFRKPPPQLPAPAPPPPPPPEALEVPPSRDLLPELRPEPLDAPPSPQPPPLEDDLPEGEENSGTIVNDDQVASPAEIAKAYMGSKSSKGSPLRLRLHDPSYVPKLMEASMIQKAKPPTIPLLQSSRLQTSKTSDRLESSYTTPNRSAMYKMSSSPYFKSGVSSRDLFSPVSSPCPTSSSVHTFGRQVLKRKSIAVNNETASVCPVRRLHQSLDYRAHGNSGQAPPQSAEMAAKILKQLDTLVPMQKENMSEPKQKHKNAMDFISRENEVSAQSNHLGLSPSKVKDTPAAVTEKIADGASNKSDNEKTTTSSLGSHAPNLVLSSEIDRNKMLIPSNGFTFPVPAGLGAHALAPPTPTLTSPPILPVEKQQPSAVFSANTSMETNPRISQSVPEEGSKVHKLDNKLNADDKPMPSKSSGQGASFTSNPVFKVVNSTPTSLSNGAGHKLNSTTSDIQPSNGSTNSVSFQYTGTTISTNAMKSPPKLTSNMFAGTSQSVAVSSLASSGTGSPSAPFGFSPLFGTASSSATQDISKAASPEAAVLFGNQNALSGNSLSFKSSEKSNNGISQSFADSQAGSAPMGSAPNPSSIFPWATGSLSGSTSVAATALPSSAFGSSPASSASLMFGSKSTTLFSPSFGMPNTSPATSLFSPPPSAIFSFSSSTPSVPNPSPTTPFGGPTVQINGGNVAADRNGSPFPTASPFGLPSSLPSTPAFSTPASQFASNTPTSPGIFGFSQQSQASSGGFSLGTGGGNDKSSRRIIRVKKRK >Dexi7B01G0022660.1:cds pep primary_assembly:Fonio_CM05836:7B:27527063:27528565:-1 gene:Dexi7B01G0022660 transcript:Dexi7B01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQICQVRLFLSEDSPSQAGLRPQENLQAKGSWLMHAAGPTSDDSLPPGFESLQATNDHKIDISQIPLIRWRCPPQILYNPDWLVVAGEESVETALQNERIFGALEAIYPRPSNIPLNPFVSPDVKDSHFDDSWTLLVPLIPVEEDDASDQLEEPHVGPPISYHQSDKYESAIVRVSQASDAPFTTAQQQPSGSINTASAARISTEPDAVAAASAAYTAIMQSNQLGNMIDQELLIKILSDPAQLERLMKEYGTLKNEQSTNSCVPAPIPPGPPPQLTASVPVSFPVHMTTFPNINPTLRPPVVMSQLPPAIPSVGMNPPASSSQTVNLSNGPGRGISYYKTLIHQHGGERQEPPQQHGTQFAMHHHSVPSQTSAIDVVSNGTLPGRETKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGAKRIKLDSRIAGRY >Dexi5A01G0021820.1:cds pep primary_assembly:Fonio_CM05836:5A:25667442:25668512:-1 gene:Dexi5A01G0021820 transcript:Dexi5A01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTKRSPPGSFDESRHPRRRTAADADAPSSGAGAGGAVRRCDLSRAFGRCRALLDDLLRHDDGWVFEAPVDARALGLRDYYTVVADPMDLGTVLRRLERRRYADPSAFAADVRLTFRNAMSYNNEGDPVYESAAELSGIFEDGWASIQAELPPPPPTDAERKVRLNGDLMRLPVAAQWNVARLLKERGACLLEMKGKVELLCI >Dexi8B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:8B:8597471:8597845:1 gene:Dexi8B01G0007280 transcript:Dexi8B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAATGTEGASGTEVTWTPSTVMDRGDGFGCGGGVEGLTEAAHCCSRGGDAASCTGRRWRRELNQGRGQTMWWSWSLSGKEGSAAEIHDEDAVAAFVREVGVVRRMRPLSGRTALVGSGGSRR >Dexi3B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:3B:1020297:1020742:1 gene:Dexi3B01G0001400 transcript:Dexi3B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKLSGAAVLLIVVVPVFMYAGALLIGIQLGRALERRHDSVTVSFSIRGALAYVAKG >Dexi3B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:3B:4652727:4654274:1 gene:Dexi3B01G0006700 transcript:Dexi3B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPWWFCVMHAAAPYVVAAMALLILLMAEQLSYRRKKGPLPGPPLVVPFFGSIFQLIGDPAAYWDSLAARAKESPLGLSADYFLGRFAVFIRDAELTHRVLANVRPDAFHFIGHPFGKKLFGDHNLIYMFGDDHRDLRRRIAPNFSPRALSTYADVQQRVIVSHLRRWLRNAGDGERRRKKPFLLRLPCRDMNLETSQTVFVGPYLAAAAREEFARDYNLFNVGLMTMPVDLPGFAFRRAKRAVARLVRTLGDCARQSKARMRAGGEPECLMDYWMQDTVREVDEAAANGCSPPAHSSDEEIGGYVFDFLFAAQDASTSSLCWAVAALWSPESGEPITAAVMAEMRYTQAVAREVVRYRPPATMVPHVAGEAFRLADGYTVPRGATVFPSLYEASFQGFRDPAAFDPDRFFSDERREDVAFRRNFLPFGAGAHQCVGQRYALNHLVLFMALFVSLVDFQRHVTKGCDDLVYIPTIAPKDDCAVYLEQRCAELPSF >Dexi3B01G0034620.1:cds pep primary_assembly:Fonio_CM05836:3B:37325917:37330032:1 gene:Dexi3B01G0034620 transcript:Dexi3B01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAPDAGHDGIGDGGNDIMGTGCDGAADPSWSMTVAAASAAIAAVGDGNGGGCSEGRCRRRSRIPANCWPRLRTAAVNCAGVMTKLLVAAVDPVGKGGDEVTVARVDDGGGGGMEFVLSEGNQQHFPTYKGPHVRVEDTRVQEENTRERSKEDQLTGQHPVLVTSAALQINSSLQMLRLREVDFVGSLEENFRSILRSPSLSSLSQTFTHRRINSLPSFDRSFFQDHTASFTEHSVADSEPPLENLQLEDEDWLVKPPESGAYAADFKDDEWLVTPLKHSGVLNGIGHEDQEWLVKASQLSEPLHIYVSDKNEADFQYVKDILKKSGFSCGDVDWRMLLFDLINEILLDIYDSSLVIGPWHSRFDLRTRPIPMGSHVLEEVWMKVSCFLSLHWREGQTVEDIVGHDLTRKENWMNLVYDAECTALDMEDLMVEDLLDDVVIQIVLESIDE >Dexi9A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:9A:8623307:8625005:-1 gene:Dexi9A01G0013400 transcript:Dexi9A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQLRERITLALELDNFDATLYSNRSLCYLNIGEAQKGLLDAESCINIPPKWVKGYYRKGAALMSLKGGT >Dexi4A01G0023390.1:cds pep primary_assembly:Fonio_CM05836:4A:26491684:26492163:1 gene:Dexi4A01G0023390 transcript:Dexi4A01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYVQVASRFFRLKPAGDDVPRRLHYLEQCFLCKGTIAGNRDVFMYKGDAAFCSDDCRQEQMDMDDALHAVARRHLVMPSSSAEAAASSRPPVMRRRPTIANLAARSRPVVAS >Dexi8A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:8A:30492800:30499616:1 gene:Dexi8A01G0018140 transcript:Dexi8A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPHPTRACCPSPPAAPPPLAMVDPVDLGNTARQEVEVLRQTAMRPAPTTKVRTSLHSGTMDGGVRKLRDDMDRQVIKDRENMDNILKAHREDIDRKVQIQRATTNLRIRENEVQPRIHLAYRRKRSHVAYRRKIIHLTYRRKRSHLTYRVRKVMPNYRMMEQWALETLSDHPEGTTRPWPRPRSAARATGKEAPATSRDEGDQQGGHDDGLRRERRVGQNKETPRGGPARRPRRLSPARTTGDDATATYTEAAAPRRRRRRRHGGEVCGGDGHGDERR >Dexi7A01G0022800.1:cds pep primary_assembly:Fonio_CM05836:7A:30949953:30952273:1 gene:Dexi7A01G0022800 transcript:Dexi7A01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALVVTDEVALPIRAVGDLAAAADVSREEVAVITQCAALVGAVLAVIKNVESLREQLVAEINRVLKAGGRVLVQSPASSSSQKPNTDIERKLLMGGFVEVQASAATSQDTLQSVTAKKANWSMGSSFPLKKATRALPKIEINDDSELIDEDSLLTEEDLKKPQLPVVGDCEVSATRKACKNCSCGRAEAEAKVEKLELTAEQINNPQSACGSCNLGDAFRCGTCPYRGLPPFKPGEKVSLAGNFLAADI >Dexi4B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:4B:6449462:6449733:-1 gene:Dexi4B01G0009040 transcript:Dexi4B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEERRSSGSAATTASMSARERPTLESSARTASGLEAELRRGKESWGEAAGGGEVFPDGESGGGGGR >DexiUA01G0010410.1:cds pep primary_assembly:Fonio_CM05836:UA:20779062:20780045:1 gene:DexiUA01G0010410 transcript:DexiUA01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSPSPLSSFFSSTSSLTDQDEEDGDGATSCSRPDRDVSSSSLSSLTSSGSETVQMTGPLDALSTMLEDLPALRTGLSKHYQGRSQSFTSLADVSCVEDLAKKATPYIRRKKAPRRYGEVLGATNRLSKTITKKAPRGKPPAYQSKREMYRC >Dexi2A01G0031290.1:cds pep primary_assembly:Fonio_CM05836:2A:42036831:42039652:-1 gene:Dexi2A01G0031290 transcript:Dexi2A01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPVVSDMGAWAMNVVSSVGIIMANKQLMSSSGYAFAFGTLPATRLLPPPLVSSSSVGRERIWTTTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAISLVILGPFVDYYLNGRSLLKYDFSGGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVEAEKKAVTPIPRNKSDMLDGEDVPLKARVSGLPSVDLEEGEMKS >Dexi6B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:6B:10901027:10901707:1 gene:Dexi6B01G0008630 transcript:Dexi6B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALFLCVSVVLAAATAAEARDFAVDLKAQAQPDALTKWASINRFQVGDNLVFKLGAGESVLEVSRDDFNRCSTAAPLATHKATAGGSAATVPLPRSGPYYFVGGAPGSCQKGERLFLVVMSQKHSRGHHRGLAPAPAPEAESPLAASFVGGPAAAPAPVTGAAGKTTAAGNGALLLGAAAVLGALLVGW >Dexi3A01G0029450.1:cds pep primary_assembly:Fonio_CM05836:3A:31247004:31248840:-1 gene:Dexi3A01G0029450 transcript:Dexi3A01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKVRRSEPELVAPAWPTPLETKALSDLDDQHTLRYYETVVAFFRSRPGHHHNMPGDPAKAIKAALAEALVYYYPIAGRLREAAGGKLVVDCTAEGVVFVEADVDVGIEEFGNPLLPPYPCVEELLCEAGETRVVIGKPLVFMQVTRLQCGGFVIGFHMCHNIADGFGMLNWLVVKQFPPFYLYGIGMF >Dexi9A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:9A:9663828:9666040:1 gene:Dexi9A01G0014630 transcript:Dexi9A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYLARGASKVGLIGDFGDGFGIQLVRRINSEVSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHQPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILHTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLSRLPPPHNAAEVLLINFPKGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCVAIAQSLLIISSRKHYSVDVVVAWYTVNLVVFFVDKKLTGED >Dexi5A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:5A:2594636:2596826:1 gene:Dexi5A01G0003460 transcript:Dexi5A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGPNREETASVIASRRTEAAETMSWQSYVDEHLLGDDVDGQRLTAAAILGLDGAVWGQSNAFPQVEPEEITAIVNEFNELGGSLAPSGLYLGGSKYMVIQGEPGAVIRGKKGPDGVTIKKTNQAIIIGIYEEPMTPSQCNIIVERHGDNLIDQGI >Dexi6A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:6A:24990547:24994490:1 gene:Dexi6A01G0017120 transcript:Dexi6A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGIGVQDGSLVALGAKILWEVRGNVVVTPAAGGGLTNGAFLGVRSAPAGSRSIFPVGKLRDQRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGTEGSQFTGDSTEQPVVYTVFLPILEGSFRAVLQGNADDELEICLESGDPDVESFEGTHLVFVGAGSDPFEVITSSVKAVERHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVTAEGVKEGLQSFEKGGVSPKFAIIDDGWQSVSMDPVGIACLADNSAKLTNIKENHKFQKNGREGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGGVGMEHYESKMQHPVSSPGVQKNEPCDALNSITTNGLGLVNPDKVFSFYNELHSYLASAGVDGVKVDVQNILETLGAGHGGRVLLARMYQQALEASIARNFPDNGIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGCHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPGTVTGVIRAQDVGYLSKVADQSWNGDVIVYSHIGGEVVYLPKNASMPVTLRSREYEVFTVVPVKLLPNGAPFAPVGLIGMFNSGGAVREVRYGENADIELKVRGSGTVGAYSSMKPKGVAVDSKAVNFSFNDACGLITFELGVPEQELYMWSVSVEY >Dexi8A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:8A:20679519:20680280:-1 gene:Dexi8A01G0011680 transcript:Dexi8A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCIHIQIEKEKEAALNEAKLKAEREKQEREEQEKKLAEEKKKAEEALMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQRQNQMKLLGKNKSRPKLSFALGMK >DexiUA01G0015870.1:cds pep primary_assembly:Fonio_CM05836:UA:34025545:34026211:1 gene:DexiUA01G0015870 transcript:DexiUA01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPTSRIWGSLLTASRNKNDIDIAEYAAERIFQLEHNNTGCYVVLSSMYADAGRWEDVERIRSVMKEKGVRRTEARSLVELHDKECSFVNEDMSHPQSEEIHEFSDILSREIGETFDSPSDLSDSDPSSPRRTVLPNKHSVRLAVAFGLISSEAGAPVLVKKNMALVAVATTGDCFSVTLDGMLE >Dexi1B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:1B:19836428:19837749:-1 gene:Dexi1B01G0013850 transcript:Dexi1B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTASYAQVPHFGNNLCKRLIHVKDPGDLLRRAGAHGHGIPKAENLHNASFGGLKEALLHKVIGRGEHLVTEAALTSEQDADDRLDPVHPLLHLVVEQLPLDALHLVDAEALDAEHGEVLIEQIASDAAVGVGELSGVARARHPVGDGAQLVDGLWAHGVEHLVLEGVGRQCGAAGGLRPGGGAVQAVRRGGVELGGGVEVVHGLEREAPEREEEPRGVDRDGVADHEVAELLLEPRHGELDGDPRARAAGPAARVVVDGDVDGGEVLRDVFVGGLEDERLRGGVPELDELLGSVEGGGGGGDGGDLGGGAGGGRVRGGEEEVVVGDGGEAVVEAGEDADHVGGGVLVGGEGLDDVLRRGEDLVAAASGGGGGVLRLGRRGRRGRHRRRPAGRLGRGVGNFWSRAAERERKGKWLGCYAVVADASAAAAFV >Dexi9A01G0049000.1:cds pep primary_assembly:Fonio_CM05836:9A:51720910:51726787:-1 gene:Dexi9A01G0049000 transcript:Dexi9A01G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGPLTLRDFLELACDSSSDGFRSYPRSLPSHGGGANNDTLLRRSPSRSPSSSFFRSPSALARISSLSRSFSRRIKDGFWRRRDYYDDDHHHHLYDDLDDRDSCGFPSPLVSSCSSASSEHDAESESDDDVAAVVDKMASTSASERGKPATPSSSSSSSTSASDHHCTDTDAAAAAAGKNEMMQSDGKLGREEDKQQLSPVSVLDFPFDDDDRSDAGTCSPSFSFQGCCPTTTPPEDLLLHHSRTTTKQVQLLHKIRRYDGESVEPVDLEARFTATSESGESLEASTHLATTTTTDTSDTTSATTTTAPCHGEEHQSVERPSSPDDQDEEPDEHRLLARLLQDDTAAAAAAVVDDEVSRAIVLDFFAEGIHRLRCSTFADTVVLMRSVDDGDHRDKQVAAEALLVGAAAEWLRGAGLQWGIRDVMLSGKAALEDMERGRRWMSVGDEERDVGAEVEGVVMDELVDEMVADLVLPRWHNDGRRWRCH >Dexi4B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:4B:4693000:4698772:-1 gene:Dexi4B01G0006720 transcript:Dexi4B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAARALALLAVTSVALLLPLVSAGDEGYRHCEGVVRGWAASSSTGRDKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRERTSVVTILRNPVDRRDARIDKLHSSKKVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRQHPDLGRIVLEVAKNRLDQMLYVGLTEDHEESARLFAHMVGGQVLSQSGTLNLDLKEDLPSENDSHPSMVEPEDEETNEHLNSTHGWQNNEALNSTSDEQANGNMTVGKLMEAYETCIAKLRKSQSNRRKISLKKVEEANFSKEARKLVPEAILKQIISLNSLDMELYDHAKKIFTQEHLMLKAQQSMVGQHRQLAEQKYYLDSSANNGVRFISTINYFDYVGSTIGWVG >Dexi9B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:9B:8196743:8201938:1 gene:Dexi9B01G0012260 transcript:Dexi9B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGSNMRKPGKGCTERDACYFWNDYTEDQDKHFFKVLIGDFQKKLVLPDKLALHFRGKMARSIKLESRSGHTIKVQIAKNLGKVVLQSGWESFVSAHDLKMLDFLVFKYDGISRMKVLIFGPSGCEKVPPCFVTNNDISCGEREEPNDISSNYAHLPMGTSETKKKQRDRSKATNISSLSSPSESSGGTASSEEHEAHSVPRYILPRRTNLTNVQKKKVVKKVEAICSEIPIYGCAMKKNNISGKCQDVYFSGEYSDAYLPFKERMLTLHHRGKIWEVMCRIQRQTNAVEGLASSVIKMRKPGKRCKERDACSYPSHSDVQERYFFKVMTGDFREQLGIPDKFVQHFGERIEKTVKLESRTGYTFDVEVTRSLRKIVLKTGWNAFVCAHDLKMGDFLVFRYNGTSRLKVLIFDLSCCEKMSPCLVARSPVRGRGKREEHIDISDSCDDLPMKLPGSKRKGWEQREASMNVNNSPSTLPSGSSGYEAPC >Dexi3B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:3B:11430906:11435538:-1 gene:Dexi3B01G0015780 transcript:Dexi3B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLGVERLGTGDGRAADQVSGGAVGFGRAPVRAPAMCASAMQFSSVFPLEGKACVSPVRRGGEGYGTERVRIGDSSSIRQNRALRRICFGARGSANGAQCVLTSDAGQDTLVVRTSFRRNYANPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEQMKVDTSFLNFAIDDPSKFPYIASMGVYVFKKDVLLNLLKSRYAELHDFGSEILPKALHEHNVQAYVFTDYWEDIGTLRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSGCEVKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNSEGVQEADRPEEGYYIRSGIVVVLKNATIKDGTVI >Dexi3B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:3B:8202009:8208986:1 gene:Dexi3B01G0011710 transcript:Dexi3B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSLGRDQLFIALGRTYKQEEFDALCFEFGIELDDVTTEKAIIRKEKHLEDDGEVEGDDEVIYKIEVAANRYDLLCLEGLARALRVFIGTEAIPVFRVSSIPHGSMIQMHVKPQTSQIRPHVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLLPPFSYEALPPHEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLRTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVNHDGSKTVYPDLSCYQMEAPLSDIVGPIGISLDEKQVVSLLNKMQLQAESHSSKGEPWISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILSSHEENFDMLNRTDDKSKAVIIANPRTSEFEVRTHQSALLCVLNCLYSKNSERLVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDPSRDVGASNNRRLAALYCNRVSGFEEIMGLVDSIVKIVRAPHVKFGEKYYVPTDEPEFFPKRQCKIVTIDGKQVGYLGIVHAEVLRKFGIPDPCTFVEMDIEALL >Dexi3A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:3A:13387540:13390932:-1 gene:Dexi3A01G0017560 transcript:Dexi3A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVVAALPDEIMTDVFLRLPIKSILRFRAVCRSWAALLSSEEFCSLHMVATEVAATPPKLLFILPTANFNSTAAYSCSLLGHGDDLLFTLEDARGNFVDVAQAPCRGLTLLYDAVAPAYYVCNAATRAVTRLPPCQVASSATAGLGFDTRTKEYKVVRLSLGRSHDIQPVFCEVYTLGGDDRWRPVAEGVPFRAGILSFSLTSETFSWVRSPPFEVSSQVHLVELDGHLCMVRDLRSGLPVGGMLEIWKLKDYRSGDWSLNHQIDLSGNVPREFLEPQVAKVIGSFGNSKSS >Dexi2B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:2B:13622902:13626468:1 gene:Dexi2B01G0011880 transcript:Dexi2B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLLYIVVVDENGSSFRYTRSLLHSTLQLMGCKPRHAFEISRKVFDVIRGDGNDEMAASAAARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLVCDALALYKYVAPNQRADLMLACRKLMAIINVDGSVSKAWPVESSGDGKCSSDNSIQKSVGNPIYGPLNIGRAESVNLQFGTFGISAWPTDTGCTSQAGNADESWAIATNGSSRHVPSSSGSPKKLDGHCKEIKESSAASGSDEEEEEEADVRPNSGSDEDLSEEDNREIHEEMEGSVDEDCNRSDEEYDDLAMLDSMDNGYLTDDVVFYTGLSNQRSLSTPRKHQGKLDAGVPETARSTSSAVPAGTSSKRHAARRWKRSLSDSFRSRPRSAPELVLTNKGSPPVPVAPDGR >Dexi1B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:1B:1108285:1111795:-1 gene:Dexi1B01G0001430 transcript:Dexi1B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATAAASLPPPPPEVAHLVDQLQRHHLAPDASLLSNSAHSDLLQAREEVAAERALYLEALAVYAEAVAMVEEYHAAGGAGAGKKLNCSPQVYESLEHRLAVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRSSFDSTLTSATPSSSSISTSYNNYSSTASAVTGAAHGSGGSEAVEPGVGGVPDRFLGITSDYLYQVQQEQPAMSVDMVDYQRTLAREIEARLEAKCDALADLFSMDERDSSSISQISSARLPERVKLITEEIEKEEAHLLDDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKDTVPALHRIRKYLVEATKEASNSYIEAISRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHTAV >Dexi2A01G0023610.1:cds pep primary_assembly:Fonio_CM05836:2A:35309366:35313163:1 gene:Dexi2A01G0023610 transcript:Dexi2A01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQTGGSTLHLNRWQGRTRAGAALPGVATRFTTTAADTQRLAGKVAVITGAASGIGAATAREFVRNGAKVVLADVQDDLGHALAAELGAADAAAACYTRCDVTDEAQVAAAVDLAVARHGRLDVVLNNAGTVGSSSSSLSRPSLRALDLADLDRVMAVNARGVAAGVKHAARAMVPRRRGSIICMASVAGVLGGGGTPHAYSVSKAAVLGLVRAAAGELARSGVRVNAISPSYIATPLVMRMMEEWYPEMSADERRRAVEEGANEMEGAVLEVEDVARTALYLASDESRYVNGHNLVVDGGYTVWKAPNMPASAT >Dexi7A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:7A:15899968:15903498:-1 gene:Dexi7A01G0004900 transcript:Dexi7A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESSSPASNADRLEVPSPKEENNSTNSEAATDTEDFEISDDDDRNHKHRRREARPQSDENTEEQHPGAPFKKRSRVSGNGQPFGGAGSQGEAQKDFVPKFKRRPGGGAHSRAPRMNQSFRADSSASVAARPPMTRGRGRNGAPWTQHDPRFSTLDMMDFASQMASQGPLAHPSLFMGTALPNGPYGFMPGMPHGILDPVHPLGMQGPIQPAVSPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSVPNAQGLGIQNEGGSGSVNLSNLGVSKSISAKDVKSGVASDALKLNGSAPSGDADADVYDPDQPLWNNEHPEPSGAGFVHTDAGMWNPESLGYEMGQERSNQVFAADGSQSSKSSVWGRIASKRKSGGSNAAKIVSTSNTGNQKSDYDEMAPGTAQVKSAAAAAKDTNGQSTSRIYGDMGRQSNRAAHKASRTLYVHGIPQESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKSPDAVMGNRFIRLWWANRDRIPDEGVKSSQLSTTLANSATQPSYPNRVKENVQSTISRPSSGSSAEPLSSGTGSKILPASSMKPIPHAPKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGSANSVKHAEAGGKEIASNDASKVKDAGSMSASDERPHEVTGSLEKKSSGELASCSQKSAVISTQKPAVVTKQTTLLAPPQNRFKLDNRTTSFRILPPLPSEIANESILADHFSSFGELSSVVLEDTEAHDHDATLKPSL >Dexi9B01G0036170.1:cds pep primary_assembly:Fonio_CM05836:9B:37732690:37734664:1 gene:Dexi9B01G0036170 transcript:Dexi9B01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPPPSRARIRPWLVVGDLALAAAWVCVGALVKLLVYGPLGFGGRPEAEAVKVSLSIVYMFLFAWLEGATGGASYNPLTVLAAAVASHGGPAVYLFTAFVRIPAQVIGAVLGVQLIRFTFPNVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKKEMKSFFMKTWITSIWKNTIHILSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLFGVWVVTFLTKPKKIKEQEADESKSKKE >Dexi1B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:1B:24351115:24353071:1 gene:Dexi1B01G0018020 transcript:Dexi1B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSGVVRVLGAPSAAVAAFLRFLYRCPDDPMEEEEEEALLALAHAYRVPWLKRRAEAGVAARLNAERAVDAMKLAALCDAPRLYMACARLAGKDLAAVQASEGWRFAGRHDVALQLELLQLLHDADQRKERWERVRASQHVYRQLSDAMALLDSIFFDAGEEACVEASPPCELDGVVRRGLEHLMRHFAACGRRTRKPVAACPRCRRAFQLLRLHASVCDLAGGEPCRVPLCSNLKAKMQEEGVDKTWKLLVKKVIRARVMSALANREVPEVVKKSWAKYNSRRTARFR >Dexi8A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:8A:67131:68334:-1 gene:Dexi8A01G0000100 transcript:Dexi8A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAALLLFLHLQLLLLLPAPSAAQPGFISLDCGGAHDHADAIGIQWTSDASFVSGGQTAQLLVQNGLQSQQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVIDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLALSARINFGAESNDSIP >Dexi5A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:5A:12810405:12811599:-1 gene:Dexi5A01G0015280 transcript:Dexi5A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPQITSPPDWTSLPQELLESIAKRLASGHDAASFRSACSSWRAAVPFATAFGPLLLLPLHPDSDTVSFHSVSEKQTFSLTLPFVLGKVPCGSSCGWVALMDESASVTLLNPFTGAHVELPPADELVAAASSPERTVSKIHGRWWWVIHSGDGGHGNNAPPPPASKAIKLEDMRGVFFHEIVLSAPPADERECVVAMAVLASSTEVAFCRVGLDAAWTLLDTKMEFSVASIVRCQGKFLAIDVTGDISVFSSNAAGATPTATPLPSLSPPDGLCHRSYLESNGELHVVGAMVSMFHDETWSFTYRTELYKCNLLDRVPEWSRVKDVGEVTLFVSKCLGESFSGTSVSLYEKNSIYFSEPFVLKL >DexiUA01G0005650.1:cds pep primary_assembly:Fonio_CM05836:UA:10237948:10238506:1 gene:DexiUA01G0005650 transcript:DexiUA01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLRIHHDSSTPISLQEDQLEVAVNLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINR >Dexi7A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:7A:20008765:20010921:-1 gene:Dexi7A01G0008770 transcript:Dexi7A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEACVPRALFGGAISTAFPARFQDVSDIREVPDHQEVFVDPARDESLIFELLDLKGELDDAGSALWFLRDIANEQDAGDNLVIEHSGTLELAGLRLGEAPTVAGTSVGQMAVSKGRQGREAQNIVRPIE >Dexi2A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:2A:3168397:3170483:-1 gene:Dexi2A01G0003650 transcript:Dexi2A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSTASSEERGAPAKSVENQCTNDVKTDKENPDSLKCEVKICSRKQEILLEYSAADTWSKESDAIQNSALKVDFGCGSGSLLDSLLEHPTTLEKLVGVDISRKGLTRAAKSLHQKLSKKLLVQTTVPTAVLYDGSITDYDSRLYGFDIGTCLEVIEHMEEDQASLFGNVVLSSFRPLVLIVSTPNYEYNPILQRSAMPNKDEDADENAGPCKFRNHDHKFEWTRAQFQCWATDLAVKHNYSVEFCGVGGSGEEPGYASQIAVFRRMTRNLEPICQNEDQDQPYELLWEWPNASIPV >Dexi4B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:4B:21692958:21694167:-1 gene:Dexi4B01G0019530 transcript:Dexi4B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLSSMSLLSRLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRMHEGFKVAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFMRWAREKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGMVGVEKPDPRIYKIALEMAGNIAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRQSGATVLPDLVATREWLTKNHDEEQKQETVASQVLNRMSEKLKMGA >Dexi6A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:6A:28852888:28856460:-1 gene:Dexi6A01G0021660 transcript:Dexi6A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAAVVAEGSAARRFWIAASTREAAFAAYTPFLLSLAAGNLRLDAFRHYIAQDAHFLHAFARAYEMAEDCADDDDDRATIAALRKAILQELNLHASVLKEWGVDPTKEIPPSVATSKYTDFLLATAAGKVDGTKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELMVFLKQDENHPYRKWINTYASSDFEDNALQIEELLDKLSVSLTGEELEIIGKLYQQAMKLEVEFFSAQLVDQHVVAPLSRYCDPKYKLLVFSDFDLTCTVVDSSAVLAEIAILSFQKSSQSGVDNALDPKSLDYDQLYKGLEVLAEFEKLANSRVVDSGVLGGMNLEDIRKAVGCLDGLNIHSNEFAFEGSVSTGHIDRKIQSPLDKVEKFKNIKSGTDSTSPLLSVYIGDSVGDLLCLLEADIGIVVGSSTSLRRVGKQFGVSFVPLFPGLVEKQRQLTDEQEASSVFKARSGVLYTVSSWSEIHAFILGSDFS >Dexi9B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:9B:6020074:6021542:-1 gene:Dexi9B01G0009780 transcript:Dexi9B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFHVTDQIGRKLTDPSLPEFIQRALVPLHRLGTGRSAPKFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITSVLADNGCHVASGQAWTHNGRAAGVLYVTATAGGATPHPSRWARIERLVNAVVDARENVTGERRWVCMSEPVQGRVHTERRLHQLMHDDRDYESGPAPTPVDEELFSMGDKAATALLMARRVETRVSIDSWEERGYAVVKMTSRDRPRLLFDTVCALTDMHYVVFHATVGSQGSLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRATHVRLDHYSSPWLYSAAAGAVHDDGSLTHGVAHWRVVQGMMVEVRAADRSGLLSDFTRVLREHGLSLLRVELKRHKDEAIGIFYLVTDTGGEVCTEAVRAVQVRVAEMDISLDIVREAPGWPPVRKTSVPAPPVAGQLAAQERPRPSLGSLLWSHLGKLSNNLSYIRS >Dexi3B01G0029330.1:cds pep primary_assembly:Fonio_CM05836:3B:28531505:28535056:1 gene:Dexi3B01G0029330 transcript:Dexi3B01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGRCLTMSTPKSYRFIGAGQGYGGGGRGNLRPTNSTTSPPSPLPPRCLPPTPHPSNDERHQSFQRSQRLCFGDSAPGEFPLAANPSIVLHVLTSCDLDPEDLAHLEASEACCRREKSQAIAGPGHSIAVTASGAVYTFGSNNSGQLGHGNLEEEWRPRVVRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGGQGSRVVTTPQMVESLKDIYVVQAAIGNFFTAVLSREGRVYTFSWGNDTRLGHQTEPNDMQPHLLTGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQNLNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESIPKVVEALSGVKAVHVATGDYTTFIVSDKGDVYSFGCGESSSLGHNTITEK >Dexi5A01G0039130.1:cds pep primary_assembly:Fonio_CM05836:5A:39850375:39851519:-1 gene:Dexi5A01G0039130 transcript:Dexi5A01G0039130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAALNYGMADVGMVVVAPAASFHHTHHHHHHHDAAAAAAAADPIFPLLSGGPFVLDPDTAKSAAASAGPGNAIQFWQPPPPHSPSSANPNPSGGPFAYLKTKPLPMLDTGGGGSSGSGGATTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQHLAASGSASSSPATASAAAVASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDGLPRQVRAPAVFKCVRVTSIEDGDDEYAYQATVTINGHIFKGFLYDQGPDDGRHSNDDSTAGGVPNISELHLGAASGSGAGGSGVREGGTSVVPTELYGGGGQHHILGGSSYGNTMN >Dexi9A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:9A:8338017:8342927:-1 gene:Dexi9A01G0013000 transcript:Dexi9A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFVPSLLILPTPTPSLVVLPPHFHRRRLCLPAPLAASASSRRPYYRRRRDAPRQPPLRSPTPSLHQHQQQPRPQQQRPANAAAPGGRSQEELEEAIFEFMRRSDKPGAFPTREELLAAGRADLAAAVASSGGWLSLGWSSAGSEGPTRRSSGGGHPDYPPSTGVYRHDGLAPGSEGDSRWEEAEEEVSPSGRQPDMEETKEVTLKAGIEGMLTRLQKDRERARPPPRRSDNGALAGNSGIPSHTVAGDRHNRRAPDNGSVHGSYPQNGTLYSNNTLQNSSKDAWQTWNLGKSDLSNFEAAEVLPTETRKLSRHDRQDIALAQNDFLKSSNGVAVSEYPSDDVDSERDEIHSRLQNLELDLSAALKTLRSRFDRVLSNMSNDKAAVLDDISDDWEFEETKRKLERSESGMFSLNLRLYPGRYEIKFIVDGVWKNDPLRPTVYNNGHENNLLVVT >Dexi7B01G0021830.1:cds pep primary_assembly:Fonio_CM05836:7B:26837301:26837592:-1 gene:Dexi7B01G0021830 transcript:Dexi7B01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFTLDKPGGVGDGGDSGNGAVEEHGDEGGAADEDGQAADERVVAPNPALHPLLPRPQRPADAASMVSLQKTKENRITEHITVAFLLGGYEE >Dexi2B01G0030050.1:cds pep primary_assembly:Fonio_CM05836:2B:38317328:38322861:1 gene:Dexi2B01G0030050 transcript:Dexi2B01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVASPPLPSRAPGFLAAPAIRSSRRPPCLLGPSRSASPLLSAAPAGGFPRASRAPSSAASERHRDTVRTFSQADAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENSHLINWWLLSKAFYGLLALICGNGYIVGINQIYDVAIDKVNKPYLPIAAGDLSVESAWLLVILFAAAGFSIVVSTFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLVANYIAAIVVAFIMPQVILLLLLTSLASFPLLTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >Dexi5A01G0027660.1:cds pep primary_assembly:Fonio_CM05836:5A:31101585:31105863:-1 gene:Dexi5A01G0027660 transcript:Dexi5A01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVRAAQAQAQPQEPSADRRLSTLVRHLLPSSPRRTAEADTSATLESFPTMASQQGSSVFATLAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVKSYRYYDPATRGLDFKGLLEDLSSAPLGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVKVESQLKLVIRPMYSNPPLHGASIVATILKDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSEQVAFMRQEYHIYMTSDG >Dexi3B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:3B:614931:616404:-1 gene:Dexi3B01G0000700 transcript:Dexi3B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPVATMYRLPRNCICAPCYEGAKAIIGFLNKDEQEDDHGSVNSRVSRKLNGSTKGMRDAWEQVKKMRDREDDTNQRAAFLEQGLALAWMEGIHTDIVVKPGTGPPIPAHKAILAARSEVFRHMLSGDSQCKAAAGESFSLPELSHDELSILLSFLYAGVLHQKVPERHLHALLVAGDKYDVPFLRRACEARLAAAVEPRNVLRTLEVADLSSSEVLRERAMDTVLEHAEQVVFSPEYDEFAVRNAGLCVEITRALLAKQMSTTTSTK >Dexi3B01G0038300.1:cds pep primary_assembly:Fonio_CM05836:3B:41083781:41086327:1 gene:Dexi3B01G0038300 transcript:Dexi3B01G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSSPAPQQGSNQHARLRLQKSRTTKPAEAGPLAVCLTGSGHLPAAAMAPGGGAPLLRCGWAPVGERAWPLAGEQGHAWPPDLQCLRAGGVAASRQGRRICEMRVVVRPCDVRCLRRCESGRDEGERGYPAKHIPNPKGTKPHPADMWAPPCPYPASDQVAVRSDHDGRGGFVSGIKPHPRPPRLLRAFSEVGWLLLPLRFEAEMAASDVEYRCFVGGLAWATDDNSLHNAFSSFGEVLESKRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRSITVNEAQSRGGRSGGGGGGYGGSRGGGGYGGGGGGYGRREGGGGYGGGGRGGYGGGGGGGYGGSRGGGGYGNSDGNWRS >Dexi3B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:3B:5033321:5041907:1 gene:Dexi3B01G0007140 transcript:Dexi3B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPDPTSPATAPEAGPAAGSSSPAGGAAEGDDAEGEFGAELDLEPLWSLLFGDPAELEPMWEFGAGFAAPEAEPEDGHAEGPWDGAPWRSTGVVAGEGAANTSLLGVPSPVAAAGFPELDPTAAAAPPGDALEVTRPLDSAPDSSSPLPACPQAPVDLEARAPELIPSCEPAAALQPAAVASSEAELEERILECTLNSVPSPPPPQDHDLGAEHGPNNNNNVSSHKVTSGTTVVIALSAVKRPKVVSAASCSTPDVVAGDATSLRRSSRIVSIKAKANATPLEPKADSSRASKLHLVVPEQSSISEGNRHPVSSSSSFEEAMVAPTKPVELLDGVKPQGPQDSVAAQRSTDVVVALPVVNKRSLKNKAVSMSSPRKTKSASKALANSNRVSAVSVPVINCGPAVHKAVSCIPPRKHKLPSEKCLPSFGGVDAVVHNSGLVSANEMVHEMPQELQAASSQPPKAKRARVSSRKCSVNLKGAENNSSSISELPMADPKLVFDKNSTDSEMVDSKDGSCFFMGEAVPDEEARQRWPNRYSSNHCLLKKDERSNTQTFSSVGNAVLDVKCHYLQASACGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETIAGERYFSVQWFFRAEDTVMEDQAQSHDPRRLFYSDLKDDNRLDCIVSKVTILQTSPGVGLHVSGKSRSIPSFHYYYDMKYSLDYTTFSAIEMGDTNGTLRSQYTSSNNNVKRIDVTESQKSPASEMKELSLLDLYCGCGGMSTGLCLGAHGGGVNLIARWAVDGDEVACESFRLNHPETRVRNETTDDFLELLKEWEKLCKTYPKPRNKVKSRSNVSTQSSSETTDCSTIPPEEFEVWKLVDICFGDPNGVRNRGLYFKVRWKGYGPNDDTWEPIEGLKSCKEAIRDFVIEGQKDKILPLPKLPPFPLPTHEAIVKNGCPLAFERNLVGWPEGMPMQLEKPIVLEDALSDLPVVANGEKREEMLYVKGPQTEFQRYIRSFDSEVLGSRAHVTKDSKSKLYDHRPKALDDDNYLRVMQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCILTYEHGKSLRYRQIGNAVAVPVGRALGYALAMSILNKTGDDHLMVLPPKFAFSHDIQSLPCPNSLQSGNI >Dexi6A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:6A:7871999:7872649:-1 gene:Dexi6A01G0007810 transcript:Dexi6A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRWTSPAGSGSSSGSGASAEQPSLRGVRKRPWGRYAAEIRDPVRKSRVWLGTFDTPEEAARAYDAAARKLRGPSATTNYPAADGRVEPKSPAASGSGVASSAEDAAWSDSSSSPSRDVVTVAVAAPPPSLDLSLALPAAVSGEQQMFLDPTAAAMVAVAPALLQFLPPKSEEEEAPPRRWSGSSTSSAVVFDAAPAVGLRLDLNLGLPTEMVM >Dexi3A01G0022480.1:cds pep primary_assembly:Fonio_CM05836:3A:18113076:18117725:1 gene:Dexi3A01G0022480 transcript:Dexi3A01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPATPEALESCAVQWGVAVTPFAAADERGQPPITGSGGDRVPRCEHCWAYFSNHCDLERWGWSCALCGTLNGFDDDTARRFQRPDACPELNASFVDLEIPVDETEGGGDGVRARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDLQGPIPVVKNVFIPPDLEEDGLPVALEDAMPILSFLAPVDTCKDRIAAALDTLRPTSSWERGAASGQEEDTVLLGGRGFGTAMSGLIDYLSSEYGSTFALARVFAFLSGAPDYGAGLLDTRRYGEQYASKRVDADLALLPEQIPFYRDLAAVAVQAGVCIDIFAVTDEYTDLASLKFLSIDSGGSLFFYANTDDSTLPQDIYRLLNRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFDFSHNDGFSRLTDPAVIQIAFQYSVIEPIEETSGDESQSSASYKFCLKRRLRIRTLQYRPARNISEIYDSVDPEVVLHILVHKCTGAIFSS >Dexi9B01G0039790.1:cds pep primary_assembly:Fonio_CM05836:9B:40484009:40485851:1 gene:Dexi9B01G0039790 transcript:Dexi9B01G0039790.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRDSKRHRDDQIRPVLDAVDRMRHLKVTQEGIQLPTIVVVGDHSSGKSIELESLASISLPRGQGICTRVPLLVMRLQDDPRREQTDLLGGVTERAQEELALMVADAINTATEEIAGSRKGISDAPITLVVRKRGVPDLTRVPVQGQPEDIYDQIDPKD >Dexi3B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:3B:6090864:6091688:1 gene:Dexi3B01G0008820 transcript:Dexi3B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRPSFHGAAVMGLLLFFLGPTVAALSLSSAKTFNGSCIEAEREALFSFKAGVTSDPSRRLRSWRGQDCCHWYGVRCSTRTGHVVKLDLRNGFFQYRFLRDDEQVVNSLGGQISSSLLALRHLKHLDLSGNVLGGGTSIPEFIGSLKSLTYLNLSYINFGGRVLPQLGNLTKLHHNFTVLEELDLSDNNSLLLRPIGTGM >Dexi5A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:5A:5265293:5266156:1 gene:Dexi5A01G0007120 transcript:Dexi5A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEGTLPFNVLPLEGEQDCRLGRAAGPLSTEEDLELGAPGLPRVAEVGLSGSDVLRFGGASREKPGISDGFHGLDLTVGELPLEGVGAVVFAGEEPLDIVEPGLEIEDDLTGAAKLPCWTDGAEGIVDLEVGVDDLLAGADALPGGAGFLLAGPTALLEGVVDLAKGSVDLEVGVDDLGAETPVLAGTVAREVGVEGLEPLEVAVNAGRPVGVEGLDELEVSPPDDDGRRVVAAEFKLFDDAVLNGRLVLDGGSG >Dexi9B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:9B:1174464:1174946:1 gene:Dexi9B01G0002060 transcript:Dexi9B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPGVAEKEFSPANARYILRQILCNRFTSGAAGGKKPEADDDEPLPPWLTEADVDHFASEFERTGFTGAINYYRNMDRNWELAAPWADAKVQVPTRFIVGDGDLTYHYPGIQDYIHKGGFKADVPMLEDVVVIPGAGHFIQQEKADEVSDHIYDFISKF >Dexi5B01G0037240.1:cds pep primary_assembly:Fonio_CM05836:5B:36814886:36816429:1 gene:Dexi5B01G0037240 transcript:Dexi5B01G0037240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRSSSDAAAAEAELRRGFETLAVDSSPAGVFEVRLNRPAQRNALSPAAFAEIPRAMSLLDRLPTARAVVVSASGPHFCAGIELGGPGNPLAAAPSKGADPVAAAEALRSAILEMQDALTAVERCRKPVIAAVHGACVGGGVDLVAACDIRCCSRDATFVLKEVDMAIVADLGALQRLPRIIGYGNAADLALTGRKITAMEAKEMGLVSRVFDSKKELDAGVAKIAKDIAEKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAAMLRSNDLKEAIRAFLEKRKPVFSKL >Dexi1B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:1B:6668362:6671180:-1 gene:Dexi1B01G0008010 transcript:Dexi1B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVQAVEPRPAAATATATAAAAVGPKAAATQPAARGCGGTAAVAAGKAATMEHVLLALRETEAEREERIRAVFAFFDAAGRGHLDHAQIVAGLAALRVPEGGPEAGAGAEDYARALLRGCDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIGDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPEGISKHVGASKYLIAGGIAGAASRTATAPLDRLKVNMQVQTNRTTVAHAVKSIWRDGGLLGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGEEKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTYEGGRIPSLGALSRDIWIHEGPRAFYRGLVPSLLGMVPYAGIDLTLYETLKEMSKTYVLKDSGTLLLPESAHAFTLTSQLVPLSYDFMM >DexiUA01G0025620.1:cds pep primary_assembly:Fonio_CM05836:UA:54013400:54017059:-1 gene:DexiUA01G0025620 transcript:DexiUA01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGQQQPPPPPPPQQPPTWQQLYAMQTEILQNLQHQQQLMQQQMLQQGGQRAPQPQVARYEDFLATHPPIFSRADEPLEADTWIRAIESKFTILATPCTSNRKVVFAAHQLRGPALLWWENYLALQQEVSYHMGRHDHLKTVYMSGFRCYRAQVDLLCGILDTSPVLEHDEHVNIEPIVRGPVWDGFHMLPPDLIGASSPKRPDSNAASLQPGFFYFEPDVRTVDQRSTATASSTATAATTATTIVAIITIIAIITIITIITIITIITIITIIVRAAVLRLLILWTFITLTIISVFIAQNFYYFLFAFSRGLASLALGVNLARPDVVLPLPYMSVTNMMPCPITDAIIPCRSTEVCWIYFISDNMRGERCVTFIIVLLLNEITLIPRRIGNIRKAQA >Dexi8B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:8B:19381902:19382764:-1 gene:Dexi8B01G0010820 transcript:Dexi8B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSRRELAGRQPLRQRSRGSHRLLVPLLLLLLLAVVDHHPFAAASIFGSITASECSRTCESEHCTAPLMRYGKYCGVRYTGCPGESPCDALDACCMLHDACVQATDDDYFNMWCNQSLLDCVATARPTAAAATFEGNQCNVTEVADEITSVVEAAVYAREILHHKP >Dexi1A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:1A:3536086:3538587:1 gene:Dexi1A01G0004880 transcript:Dexi1A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVASHKHKKNYSTRPYVRLRAIVAFSTKHFRSNISRSPTGGMEEAIFKQASPSRADTEFSCHSPPNPKESRPKPSSPLQAGSSKGNRHLLDAPRDGILGIASPSRGRSISREERIESKPGQQLPHPASPFDAWKEPSFPFSSSHLLSASSQLAHMRSLMAESTTWDRDSHRGPATVSGSHMRTVRAQGGGGWPSPEARMAGADRVEASGVWCEWPPAHATRLAFRRFSFPRASWRETVNVSFYRWPTPRAVRPNL >Dexi2A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:2A:1609931:1611777:1 gene:Dexi2A01G0002100 transcript:Dexi2A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRALAFARRRRRWILWACGAAGCFLLYRHPAVAARRRRLASALASLADAAAAVASDLAAFLRSDSDAVPQSLRQVAKLAASPEAAASASALSGALAAGVLRGYHAAAPGSGASPGSGSALSDRVLDRVLAPDGERLAAAVAGSFGRHLVLVFYSAPSQSSTESWVDALTTPRCQRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPAYSARLQELFVALCSTSVETLVKTSHGVLSSATSTAGNSNACANSRSGSGAGEAWVETVSSALAVPSNRKLVLDLTGRTTFEAVRSFLEFVLWKLHAGARAGSDAMIAAGLHALRHMSYRSMIG >Dexi7A01G0022570.1:cds pep primary_assembly:Fonio_CM05836:7A:30772654:30775696:-1 gene:Dexi7A01G0022570 transcript:Dexi7A01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFKLSSDSEWCITGAGLVVAQQLALSHCERSPAARYVQISGSGPRMQAVKGTILHAGSRACIVIDRRSQQASRSLALSCPLNALQTCSSSPGSKQAARATAGIERESRDAAKKRSTWALWIAATRRHQDSKRAAQAPAADRARTGAGASETPAPAGRLSINAYSAEQTKHTHLSLPAAGTTALQANHKRRAHSGSQTCPVKTPPFPQTGKSLSTLSLSLPPYTATPSFSSAARGEEVITPAERHRSLRLTVGCPHAGDLGSIPSVGTGSGKPSCPRPQPEESRMTTRAAVERPLPNRNPAEKVPASSRFFRERRIIWLHIYAGLIFFLGFSFSHVISSI >Dexi2A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:2A:10829078:10841122:1 gene:Dexi2A01G0009770 transcript:Dexi2A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDKLVFALNGRRYEVAGADPSTRLLEFIRTQTPFKGTKLGCGEAPVSLRRRNLQPRGSLASFADPRNEESPFLFLSDLRQRMTPLMALVWGRPGKAWRAMRPLPLALDLPCSFVSAFALSPLKYNVPSILKSIPLEAEACRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDVSELPSYSLGGGVCTFPDFLNDTVKVVVGNTITGIPGYKDQDLYYKYIDIGGIPELSNIVKKESGFEIGAAITISKTIEILKQECESVIAEQRQITLEEFLEQPPLDPTTLLLSIFIPNWISDYQKDTNTLVLRNLRLAFGAYGTEHAIRAKKVEEFLTDTDDVRNHPVSSRREIIPNDDYKPVGEPIKKYDVELQASGEAVYVDDIPAPKNCLYGEFIYSTQALAYVKCIKFRSSLASERIIDVVSAKDIPIGGENIGSTFTFGDEPLFGDPIAAYAGQALGVVIAETQRYADMAAKQVIVEYETEGLSPPILTVEEAVENSSYFEVPPELYPKEVGDVSKGMAEADHKIPSTEVRLASEYYFYMETQTALAIPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSKVRVITRRAGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGYKSDGKITALHLELLINAGISPDASPQIPGTIMSSVKKYNWGALSFDIKVCKTNNTSKSVMRAPGDTQGSLIADAVIEHVASVLSVDANSVREKNFHTYDSLQLYYPDSAGEASTYTLHSIFDRLVSTSSYVDRAESIKQYNNSNKWRKRGISCVPLIFRVEPRAAPGRVSVLNDGSIVVEVGGTEIGQGLWTKVQQVTAFALGKLWPDGADGLLERVRILQADTLNLIQGGLTAGSTTSESSCAATLQACNMLIDRLKPVLDRLQQQSENVSWDTLISQASKENVNLSASAYWVPGQESNKYLNYGAGISELEIDVLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYITNSEGLMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKNRVLSSKASGEPALILASSVHCALREAIRAARKEFAYSAGSGTSPSEFQMDVPAPMTLVKELCGFDIVDKYLESLSTQERASLA >Dexi5B01G0039560.1:cds pep primary_assembly:Fonio_CM05836:5B:38343524:38346600:1 gene:Dexi5B01G0039560 transcript:Dexi5B01G0039560.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKEGRKETETAPPPPAAPPRSLPAAMGRRAPSSTGSSPELRRKRTAALPPELPTPRRFCSMADVMRRSRPVDAPPPVARSREEIYETLLCDTCGSGDQDEELLLCDRCDRGRHIFCLRPIAAKVPIGPWFCPDCAPPAKPLKKFPMKQTKIVDFFRIQKDDQDSVPAKCSLSQDIRRRRKRSLVMHKKRRRILPFVPTEDRARKLKQMASLATALVSSKTEFSNELTYMPDMAPRSSNQARLEEGGMQVLPKEDKETIELCRTMQQRGECPPLLVVFDSREGFTVQVDADIKDMTFIAEYTGDVDYLENRANDDCDCIMTLLLTTDPSQRLVICPDKRGNISRFISGINNHTPDGKKKQNVKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHAYPTQHFL >Dexi1B01G0024960.1:cds pep primary_assembly:Fonio_CM05836:1B:30176283:30180264:-1 gene:Dexi1B01G0024960 transcript:Dexi1B01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLAASSLVLLLFFSACRPARSLLSPKGVNYEVQALMTIKNYLKDPRGVLKNWDQDSVDPCSWTMNNNINGLIPADIGKLTKLKTLDLSSNHFSGEIPSSVGHLESLQYLVPDKDSQAATENFSSKNILGKGGFGIVYRGQLPDGTLVAVKRLKDGSAAGGEAQFQTEVEMISLAVHRNLLRLYGFCMTTRKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDCCEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKQLDILVDKGLGSKYDRIELEEMVQVALLCTQFLPGHRPKMSEVVRMLEGDGLAERWEASQHTDSHKFKLPEFTFSRCYSDLTDDSSLLVQAVELSGPR >Dexi6B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:6B:26554302:26558508:1 gene:Dexi6B01G0020000 transcript:Dexi6B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGALAGAAAGGEEQSGRRPAGQAKRPSGSGAGAGRQAATGHSGQAAGGWRLGSTAPASSGSSAPPPRPPPSAAAAAVTPPPVAHHQQQGVHQQGHARAAAIAMAPPPPSRPTTPTASAAAATSSSRIDSPSLKAALAMALIHYNRLPGKAAAAAAAGASSSSPPLLHWKRKAKERKRENLHLLEEIKLLQDGAHREEMELPVASCRCHFFDGCGDLTPQPGGSCGEHWDEVLRRRFLRLVRLKQKRRRVDRLSSLIDFNTEDEMQQLSMATDFLVELSDGIFAKKEASLSFATLSHQAVDFILASLKNILSSEREKELFWEIIDGLVSRLMKRMCAVPENAGTSNSGSIDCSDAQFSVQHLFRKLGNEQFVGQRIILAVSQKISNASERLLLLDPFDDAFPDMHGNMFIMIQLIEFLISDYMKDWLCCEHFDKRLFEECVRSILKARNDLQILENMNGLYVVYIERVVARMAREVAPAAHQGKLDLEVFSKLLR >Dexi4B01G0023430.1:cds pep primary_assembly:Fonio_CM05836:4B:24747506:24748333:1 gene:Dexi4B01G0023430 transcript:Dexi4B01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFGRSISFPLSPARSSKPRAAAYHVRSISLPCRAHPLLTHLHTHTAAARAWSSTTSPSSGLAVIDALLAALAEVLLLPEAQSAVHSSDRLLDAFLVLADAHRGFHESLLALRSDGADVRAALRRRDAARLASAARSQRRTEKDLARLAGAVSSVAVAGKCGGARQLALVSGATAEESEMAAALVDAAAAAAVASAAVFSAAASVSAAVVSSSKKAPTFAAAFGTKKEAADVAPEKLDELDKCIDECETGSEVVFRSIVRTRVSLLNIRTPAI >Dexi7B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:7B:8328330:8329103:1 gene:Dexi7B01G0003450 transcript:Dexi7B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAASPPPPGSPLSQDELKRVAAHRAVEFVEPGMTLGLGTGSTAAHALDRLGDLLRAGALPGVAGVPTSLKTELHAARAGIPLLPLDAASGARIRLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAVPVEVIPFGAPHTLGLIRKVFEGLPGFHARLRTVKTEADDVPFVTDNGNYIVEMFFEDGIRGDLRDISDRLLRITGVIEHGMFLGMATTVIVANKDGTVSVLHKKK >Dexi1B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:1B:25189908:25191801:-1 gene:Dexi1B01G0019050 transcript:Dexi1B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSGGGGRVAAIAVPLVAFALLAAAFVLPPPAFLIGAESAASRERRHEHFLRHVPHELSKAAATSPVPATAAESARRSFKDVGDAFVPRGAIYRNPRAFHRSYMEMERKFKIWTYREGEPPLAHIGPSADIYSIEGQFLEEIEDPRNPFAARDPGEAHAFLLPISVCNLVHYIYRLNTSAYVAPMRHMLADYIDVVANKYPYWNRSRGADHVIVSCHDWAPLVSEGSRELYTNAIRVLCNANTSEGFNPSKDATLPEVNLADGILRRPTFGLPPENRTTLAFFAGGMHGHIRKALLGHWLLGSNNNTDPDMDVHEYLPAGQDGDYHARMARARFCLCPSGFEVASPRVVESVFAGCVPVIISDGYPPPFSDVLDWSKMSVAVPSARIPELKAVLRGVSERRYRVLRARVLQAQRHFVVHRPARRFDMIQMVMHSIWLRRLNVRLPY >Dexi1A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:1A:6107480:6114805:-1 gene:Dexi1A01G0007950 transcript:Dexi1A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDSEQCLHEGVASKRRKVPGNSLCNLPMLPSDFKGFLNLKSLTLVDVRIIDDDVQHAASSSSTPTAASSYAPTVVASSAQAAAQAEALRAPLNPLTSIVGLLGRTVQPPPLTPAVAAAYHAELTALATATGLADPAGLADLAATTGLRGFAGSLPLDGGMRMWTGLMCRERPYCKEDGELRTGLPHEHAHLKSVRICGFFGYKDQLELALHILHSSTVLEKMEITPKIRDK >Dexi2B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:2B:9504984:9505622:1 gene:Dexi2B01G0008790 transcript:Dexi2B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGVVIACHTKEEFYAKMGKAKETKKLVVINFTASWCGPSRSIAPVFVEFAKKYPHVVFLKVDIDELRDVAEEYEIEGVPTFHFVKGGEKIDIVVGANKDELQTKVEKHAGQPA >Dexi7B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:7B:1188215:1189529:1 gene:Dexi7B01G0000510 transcript:Dexi7B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFYAATHTALRQRYRVLDFYASGEPLPAFLAAAAALPDPPRAAVIVGGRYIRVDAALLDAVPSLAFVCNTGAGVDHVDLHECARRGVAVANSGNVYSADVADQAVGLLIDVLRRVSAAGRFVRTGLWPVQGDYPLGRKLGGKRVGIIGMGNIGSLIAKRLEAFGCVIYYNSRRQKDSVPYKYFLNIHELASESDVLVVACALNKDTRHIVDKGVLEALGKDGIIINIGRGAHVDEVELVRALKEGRIAGAGLDVFENEPKVPPELFSMDNVVMTHHIAIFTAESMYDLRDRTIGNLEAFFSGKPLLTPVHPW >Dexi5B01G0037140.1:cds pep primary_assembly:Fonio_CM05836:5B:36722320:36723802:1 gene:Dexi5B01G0037140 transcript:Dexi5B01G0037140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFSLAGAFKGLSLASPSSSSLRPSFLRGDRAALSVGGAAVGVPVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTKVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARIEGTIEPQLVLASVDENSEVSADC >Dexi6A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:6A:24696061:24699722:-1 gene:Dexi6A01G0016870 transcript:Dexi6A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRVAGNGASRLPPGPRPLPLVGNLLDLRGHLHHTLARLARIHGPVMRLKMGLTTAVVVSSRDAAREAFTRHDRRLAARAVPDAARASRFVERSMIWLPSSDPRWRSLRGVVASHVFSPRSLAAARGARERKVLDLLRYLRDHAGGEVDVGHAVYGGVLNLVPSAFFSIDVVDVGAESAQGLRKLVEGIIEAIAKPNVSDLFPFLRPLDLQGLRRRTGRQYEEIFGVLDGIIDGRLASKDTHGDFLDALLELMSLGKVARDNLTAILFDIFAAGTDTIAITVEWAMAELLKNPGIMAKVRMELESALGNKETIEEVDVASLPYLVAVVKEAMRLHPVAPILLPHLAEEDGVEIGGYTVPKGSSVIFNAWAIMRDPAVWERPEEFMPERFLDKQAANVDFKGKDFEFIPFGAGRRLCPGLSMSERVVPHIVASLLHGFDWRLPEGVSAEQLDLSEKFTTVNVLAVPLRAVPVLRT >Dexi2B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:2B:13802293:13805631:-1 gene:Dexi2B01G0011970 transcript:Dexi2B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKKEEAASAAGKTPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIIIRPDEGYYMGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQNYFPRCA >Dexi6B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:6B:19913730:19916679:-1 gene:Dexi6B01G0012320 transcript:Dexi6B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRSPACPVTGPTRHCGPGPTRDASNSAHNPPATQWQFPAKSGSAPAFMSFRTAREEGSKEFSISGFRPAAANGDAFDGIKKQASLPVMPQQRQFGVSNQVTAHQYPVAPHGQRVQGMDYNMSAHHHLPGGSRMVQPVSVRHPAPFNQANSMIRSQSFHSGAGMPVKNQPFTMSNGFGGSTVGVYGSRNPRNQTSTQLTIFYNGSVNVFDNVPVEKAKEIMMLASRASIPSPPSVSHKPDSPISAPAKVSVPEVLPVRQIVIQKPEPEVLPARQIVNQKPEPEVLPARQIVTQKSEPSVPYLSRTSSPIPVMPQVVTLSRNTSNCTTEATGPKPAVQMPVTAPNSQASSSQLLPMTATSNAATAVPRAVPQARKASLARFLEKRKERVTTVEPYPTSKSSLQSSDTIGSPNAPIKSSSTDIASTSKNSEEPLCFGQPRNISFSSEACPSMKLHI >Dexi7B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:7B:23805368:23810547:-1 gene:Dexi7B01G0017910 transcript:Dexi7B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMDRLIGLGWGPYWAGRPPHLTTWIIVEFVQSIMEKAAAEDEPEKAAQGAAAPRKKQMASATIDGGASGQARICDDVAVSILARLPARAAVSCTALSKHHRRLIRSLEFASLHCRLGAPLPPPHIAYLATAPIKRRPEQTDPDSVFHGFHLAGAVGLRRGDAPMMRALTGWRHLGTSYVNTCNGVVLLAPKEFSKTCKCTLWNPAVADVSREVTVRRPSPVSKCLVMGLGYGRRSKTYKLLVCHKDAHPSEVSEIPSDTSSFAQLPRVPRDPPVSPAVPRGAEHQLAPPPSSLSTLTPSLFLLTQPLRRFQGPSLKASKSSPELDAGELLHHHAIVSTKLEQGEASPSSSPIAPLLKPKVKNKLTSLLLALHQPATFGGVKQTAPKTEVGAAGGELFFPGRRAVRKIRVRAGKIETPGQGEVEYGPDPVMAESVTPIHLRPPSHRRVWPVFGYFYPADGVVRTLGDDDDEMETPLRTVLSEGVDGQIKQKSLYMDGTIYLLHLEKSAILAFDVDDETATKISIPGERQQDDRPLHDGKFELIEMSGRPCMVTIDGCCLALWLLTADHQWDQKCVILDESSIYLHSIIGVWDCGGLLVLYFELSIRGLWLYDVAKNTIFKADLPGELTVERLDYEISWGYKPTLVSPGSIVGEISQDLERRRNSSAHIAEVINPLGAQDRRKGEEATLNTVCLMEFLVRIMQKLPNDMRDINGTPGFFPSHLKITAEQNTDTLTSSSPGTQQPTRILAAAA >Dexi3A01G0035490.1:cds pep primary_assembly:Fonio_CM05836:3A:40749725:40750654:1 gene:Dexi3A01G0035490 transcript:Dexi3A01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLFLVLIIFPAMEVLAISSGLAISLPGCLDKCGNVSIPYPFGIGDACSFSPNFTVTCNNSFQPPRPTIGDPSTAVAEIIDFSLEHGEMRVYSDVSYYCFTSNTTISDSNIAGFDLEGTPFIVSASRNSFTVIGCNTLGLIGGYTHNNPDLSVAGCYSYCEDINSTSDGVPCAGKGCCETTISPNLTDFEAALVNNQSSVWNFNPCFYAMLAEEGWYSFRRQDLVEHLGFINERANRGVPVVGDWAIRNDSCPKEGATAPKDYACVSTNSYCVSASNGPGYLCNCSKGYEGNPYLSKGCQGKYFLK >Dexi9A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:9A:14283989:14286046:-1 gene:Dexi9A01G0019380 transcript:Dexi9A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPHHRVITLLLLLLPCGSRADDTTSGSYHGEFVYNGFAGANLTLDGAATVTPDGLLMLTNGNGSIQMMGHALHPSPLPFHNASFSTTFAFAIFGQYEDLSASGLAFVVAADRSVLSTAMPGEFLGLLNGSDDGNRSTHVFAVELDTLFNADLGDMNSNHVGVDVDSLVSTAAESAGYYDDATGVFRNLTLISRKAMQVWVDYDAGATLVTVTMAPLGLPRPKKPLLQTTVDPSDVIVKQGATATAYVGFSSATGMLFSRHFVLGWSLSLHGGPAPELNISELPALPPAGPTKARSSKQVTEMIVIPIASAALVLVVGVAIYAMARRRSKYAELREDWEMPFGAHRFSYRDLFRATRGLHIIRGVASALLYLHEDWEQVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGADAYSTHVMGTTGYLAPELGHTGKATPAADVFAFGAFLLEVTCGRRPVEQDEHQHNYSSAMLVDRVMEHLRDGSIVDAVDSRIIQVGGYNPEEVSLVLKLGLLCSHPLPSGRPTMRQVIQYLDGNLILPDLSQAYFSFTEMYSWNYLNHMSSTSSMGTFSDLSGGR >Dexi7B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:7B:11442182:11443451:1 gene:Dexi7B01G0004520 transcript:Dexi7B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAISDWRSKRVAVVTGGNKGIGLEVCRQLAGNGVAVVLTARDKARGEAAVEKLRGDGLSSVIFHQLEVTDARSVARLADFLKAHVGKLDFAGMDEGQMSERMQRNTRETYNSAKETLETNYYGTKHVTQALLLLLHSSTDGRIVNVSSVIGQLRYFVSEELKQELNDVGKLSKQRLDEMVAAFMEDFTAGTVGARGWPVGFSAYKVAKAAVNAYTRVLARRHPELRVNCVHPGFVKPT >Dexi9A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:9A:12988045:12988276:1 gene:Dexi9A01G0017930 transcript:Dexi9A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGRDEERHGEHPPAEEVEEPEPHVHSMFTATLMPCPAGLISSGNTSLGTRMPSGPHDHAKPAT >Dexi9A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:9A:8101395:8102619:1 gene:Dexi9A01G0012690 transcript:Dexi9A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNGHVLPGTLFLAVGLWRVWSAVARFAADPPAFRIRAWSPLSKGPPLLELYVVAGGAFLDMCLEVAFGMFAGGGRVDQASLIYLEQGGMLLMFFLFGALALLSQKTRYLPLTDGELCLVAATAFTSEFVLFSYHSATHTGLEGYYHHLLVILIGLCILATVLGALLPVSFPVDLAAGVLIALQGLWFYQTALTLYGPMLPDGCGRDADGTVDCRSRAAEERAEQLANLQLFGVVFVAFVYVLGCYAVAAARYGHPDLVAMHDEHVAALECRGAVGARSVAEECEI >Dexi4B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:4B:7966291:7969698:-1 gene:Dexi4B01G0010610 transcript:Dexi4B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSATDRRRGGGPVATASRPVWRPRSSAPAAGPDAAAAPILPLPTPAASESRPPHRRPRRPNHGNNQNRRPAPPQEQQHDGAAQPPRSAPHQEQQNNNAGRHRRPGPHQEHNDNAGHHRRGPPLERPAPARERAPPTAAAAPIRGDGSVPQLVQEIQDKLARGAVECMICYDMVRRSAPIWSCDSCFSIFHLPCIRKWARSPASAADASPAADPANPSWRCPGCQSVYNTPARDLAYTCFCGRRREPPNDHYLTPHSCGEPCSKPLERAEPLGAKGEDADATRCPHVCVLQCHPGPCPPCKAFAPDRPCPCGKQIIVRRCADRSTPVTCGRPCERMLPCKRHRCEKVCHTGPCGDCSVLISARCFCRKKNETLLCGDMVVKGKLSEEGGVFSCSELCGHTLSCGNHVCKDMCHPGPCGECELMPGKVTTCHCGKTRLQETRASCLDPIPTCDKICDKKLPCGAHSCKVNCHEGECPPCLARVEQKCRCGSSGRMVECYQVKKEEFRCNKPCGRKKNCGRHRCSECCCPLSRKFAQLEGSDWDPHLCQISCGKKLRCGQHNFYPTTSALWHIDSFMPTSVFSPATLWTSSLTFMPFWGLPALRRARVAILPLVISHQQMGMLAQALEAKLLVDRYVVPLGENPVESNGKRVPLGQRKLSCDDECAKMEKKRVLAEAFDITPPNLDALHFGENTSASDLVSDLFRRDPKWVMAIEERCKFLVLGKVRGNSSSNLKLHVFCPMLKDKRDAIRLIADRWKLSVQAAGWDPKRFITIHVTPKSKPPARILGSKAGAPVTAAHPYFDPLVDMDPRLVVAMLDLPRDADVNALVLRFGGECELVWLNDKNAIAVFSDPARAATALRRLDYGSAYQGAAMFMPSSTQASSGNVWVGGQKDGGLAARSNPWKKPAAAEPDLPSGDWTGVAGHAPAAGWRGASTASQVMGTQNRWNVLESDAATSSGPAEDRKTAPRTDAGYSAVQNSGNAGPSVSKLQADVEVDNWEEAYE >Dexi5A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:5A:9113971:9115212:1 gene:Dexi5A01G0012200 transcript:Dexi5A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIKEFSYEEVEAATGGFAAKNLVGKGSHGSVYRAKLRVGGGRTAVAVVAVKRPSHAQGEAKLANEIAVLSAAPRHPGVVSFLGVAAPAATAHEETKGAAPPPPQLLVMEYAPNGSLHDLLHRAPKPPPWPRRVEIALDVARAVHALHAAAPRAIIHRDVKSANVLLGLDGRARLADFSLAVTVAEASRKASPDGADGEEEDDGEEEEEDEDSVGPAPAGTMGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNSSPSSIVAWAAPRIAAGRAREVLDGRVAAPHTATAEAAVARVLAVAARCVSEAVERRPDMAEVVAELRGALESTGWRRRRDDGWHGARGVVERACRRVASWGRSVRRSKRVRATKIECTEHSDSTVVALDREGSPSPCTLLSHPNKDMTT >Dexi5A01G0030200.1:cds pep primary_assembly:Fonio_CM05836:5A:33214967:33217216:-1 gene:Dexi5A01G0030200 transcript:Dexi5A01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAISRRAHKERAQPEARKRFGLLEKHKDYVVRAKAFHRKEEIIGKLKEKAAFRNPDEFYFKMINSKTVGGVHRPKEEAKEIQSRIGEGSNTPSFDNIPSRIKKKTASSYRELEERKQRLQKLEKLYGELALQKELKKPGRKRKLREDEMVNPTSQPVYKWRAQRKR >Dexi6B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:6B:16457585:16462393:-1 gene:Dexi6B01G0010220 transcript:Dexi6B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGRLWARQPAARSPPAVGGGGGRRDLGFWVYGVGVVGEVSMTGGLRGMAASGGSGGGALRHRSRAAGDPRWVGLAVGARKGRLGRAGKRATAGCGWPGKMINLFKIKGQKKDDAATTNGKPAAKKQSPGELRLHKDIAELNLPKTTKISFPHGKDDLMNFEATIKPDEGYYVGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDDPKKFEKNVHRAMAGGYVGETHFPRC >Dexi1A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:1A:26591014:26593511:-1 gene:Dexi1A01G0019510 transcript:Dexi1A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRLRSHDRAMIIIQSDEDEEGKKMSGSVNVVKNRKTSTAALLEKGKQKEGRNNSNKNMLSDDQEKEDKMKKSRKKVSTASLEKMKKRKLCDDNKRKKMKIRDDKEGKNRFTSDHKLKNMKEPTTLSEKEKNKQKMNKTHKEKMCAADSKERKIRSGHNRVQKSGELSKALFGKEKKEKMMNKTISEELQSDEDGQEEVWKYGSKVKNGKVAATFCEEDKRKKGPNNTNTEKGIAPLTPAVKEKKMRPSESKEMMFHDKPNQRNVSSNVSKEKKMDTSSGSNYKKRKREEPQSLSKKEKRGWCDDNDKKTHHVFTMPVEESSSADRVSKCRHGGTEIDHNIIEKGGGK >Dexi1A01G0030300.1:cds pep primary_assembly:Fonio_CM05836:1A:35614480:35617051:-1 gene:Dexi1A01G0030300 transcript:Dexi1A01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSFGPKSTAQPKAPLIRKLECFLLFEPSSSPTCSVRIAPPAAARCGAALTLVVVAVSV >Dexi3A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:3A:928010:931336:-1 gene:Dexi3A01G0001320 transcript:Dexi3A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWEATKLVFDRVYTLDPANASKIMGHLLIQDNSKKELIRLAFGPDHLLHSFITTACANLAAKPVSLPSPMHDPLLTALSWSLQKPLGGDHQLPFITDQVGNDVNGMFYPKSEYDCGSPASDGHRQSFSLSNTKAGAWRPCIFFSRGYCKNGSSCRFVHSLPKDDTIAAERDMRVMRAKAFVTASPRQFMASIFPFSTSLSQGINHNFLLHHHQHHKTKRMAAAAAMLLDGEDMRQFPVHSPQMDQGDVITSSIARQIYMTFPADSTFSVEDVTNYFSSMYGPVQDVRIPYQKKRMFGFVAFVYVESVKIILSKGNPHFVSKARVLVKPYKEKGKVPDRKLHNDFARCTSPTNLFDSRDPFDLQKPQIGPRMMYGNTTNLEAFMRRKLKEQWQEDDLHQDFGMDENHFMGLQILDLKSKGHNLGSSMPLGQANGQGNTNGNGNVNHLEDVTIQVSKMKNSFLAMGVAAVAVVSATNVEGKHEEQWEEDGDAGPKQVAGLREEEKRESSIVIETHNVSCGFNESGVVEHILPESPFASSTKTSIEAWTIGQNVNASNSPHHVASSLLPPTSTLELSPYNCFQVPRDNA >Dexi7B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:7B:20942264:20943030:1 gene:Dexi7B01G0014830 transcript:Dexi7B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFFSSETISPDIAFAVRYAPAAPNPARPTLLAAHTATILAHHEGTNTPDSSGRRAPNANASADASAACVGLGSASRAASSRSVPSRLSWDTSMSYWAYTDTNSPMAMLHAPATSPARPDTSMALPVAFTAPTPIMREATETSPSLAPRTAARSHCARAM >Dexi9A01G0026210.1:cds pep primary_assembly:Fonio_CM05836:9A:28475077:28477257:-1 gene:Dexi9A01G0026210 transcript:Dexi9A01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLSSKGKVAGGLQEHVRKVKETFNSRIVELNGISNELKHKSELSFESLNSQELSRNLERTKSVSATTMNFFRTIDSHALELKKVLEESHMAHQKQLFQLQNKFEVIVADEEKYLMEKVAGLLAESNARKKNLTAIITGNANKSLGEDYTA >Dexi9A01G0044840.1:cds pep primary_assembly:Fonio_CM05836:9A:48440899:48447665:-1 gene:Dexi9A01G0044840 transcript:Dexi9A01G0044840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGRGGGRGGGGGASKRPPAPHGRGRGGSSSIGGMGAPPRGRAAAAAQPAGRDESFRLESSGPPAFAAIIRLTPDLVDEIRRAEEAGGGARIKFNPNMYNSSENVIDVNGKEFKFTWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGSMRRMPWKKNEFFKKNKAAAIAPTKSISKVKLSNNIPKGNFSTSPAPSPEQPGANIPSFPVGSDANNEVITPFDLNKEENSKTEKATPNKMSKGINRRASAHSASVDDNTNEVRSLLISVLSENPKGMSLKALEKAVADVLPNASKKIESSIKNIANYQAPGRYVLKPEFEVENSKRHVEGGRSINENTEEFAPSLKIDDPDIFESIDVVGSPATTAGDGKVNNGSEDKAGTSSESGSDSDSDSDSSDSGSDSGSQSRSAADSGSGSSSDSDSDASSSSKDGSDAFVDITSDDDRANTAQTKVSDDLNLSTSPRDLTRLDVDDEQIDIGTNMDYRTASPHIDLNNFNTDNDDAEAEGFAAGNLKKPSEIPGSKNTPSTRMDPTHVDSKYNEMSYQADLFDDSLKTISENLPNEEAGQLTKQHSNRRKSTSKDGSNHGPMSIADKSAKPKLKRSSGNENSTTKPESAKKIKVDVASPGITGPLSEHRKGLPPEKHSNDRLNKEAGSVSRNVSRDSSPAMKGRPLASGNIQKIDHSPNVPIPTMHSERPKENIEKSSLKKKTEKMQKPWHGIDGDFGIGYSHGEGHHANFDGSDDSSARKKSRYGDPLDDKMLKRSKDANPNINSMNLTKSSSGNAGPDEIAAFPGLNESNGELSTSQRVNVERSPHGKKRLQRELSDLELGEFRETSLENDNERTRKQFERNNSSKSLDAKLASIDNSYPGMNNRKAHVSGFHDRGKPSPQEYGVGGQINQEAFPRKAAGYDFDDNGPQQRGNIPENQHFPRTDASDSDNISYRPGEKTSKRDSRMAQGGVLEHAEKKKKATSRLPQNGYNNATVTRTQKSISPSDNEERSRNNSLIESETGRKRDSSSDDDNLFFSKYDKDEPELKAPIKDFSQYKDYVQEYNEKYGVYTYLNSQIEKTKSEFLKVQEDLNVAKERDKEQYYNIVGRLRDMYRESGARHKLMKKVFVLLHEELQTMKQRITDFTEAYSNE >Dexi3A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:3A:16987863:16989125:1 gene:Dexi3A01G0021330 transcript:Dexi3A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFLLLAALLISFSSPPSAASANHDIPAVFAFGDSTLDPGNNNGMATLARANHAPYGCDFPGGAATGRFSNGKLITDYIVESLGIKALLPAHRDAAGLGVAELSTGVSFASGGSGIDDLTAHTAMVSTFASQISDFHGLLGRIGAPKASEIASRSLYVFSTGTNDVATTYFILRARVKAFPTFELYTAFLMDKLQDYIKTLYSMGARKFIVAGLPPLGCLPVNKGLNMGSKGCVADLNVAAERYNVSLRQTLAKLQAASPGATVAYVDVYTPLMDMVTQPQKYGFTETGKGCCGDGIPAAGVLCNRMVPRCQTPAHYMFFDTAHPSQATYKALADLIIHSHIPKFIK >Dexi1A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:1A:1990083:1993344:-1 gene:Dexi1A01G0002790 transcript:Dexi1A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRGGTRTMVFFVVLVLFMAMMARVAVGSGGDGGGGGGGAGGEDGERLLRLWTYGGGEADDDHQEDVFKWDDEDDGEEEDEEEEEEEGHIMMWGKKGTGRPPACRNVVNVDTFGAAGDGDADDTEAFANAWKTACSLDNAVFLVPAGRRYKVGAITFMGPCKDRLIIQIQGTIVAPEEPSEWDPRSPRLWLLFSGLAGARIQGGGVIDGSGSKWWANSCKINKSNPCKAAPTAVTIDSCRGVRVKGLHVQNAQQMHLTVYRSKNVRLAGVHIDSPEDSPNTDGIHVAESTAVSITNCRIGTGDDCISIVNASFNIRMKNIVCGPGHGISIGSLGKGGTFAAVENVALDTARISRAQNGVRIKTWQGGSGYVKNVRFSNVVVDDVDHPIIIDQFYCDASAAVAAPCGGATSSSAVEVAGVMYRNISGTSRRAEAIKFACSDAVPCTGIVLRDIDLRRSDGDDGEVQTVCNCAIGLDYGRVSPAADCLRSSTCGGAPDGHRDDDDRPGNNADSRSTSAAAPVLHTEL >Dexi2B01G0030130.1:cds pep primary_assembly:Fonio_CM05836:2B:38380349:38383480:1 gene:Dexi2B01G0030130 transcript:Dexi2B01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSCFPDNWRMDSQQYLSVNWYDPRNNMIHVANLSLYGIALLIFTLLQSVSVIVQVLLVISNMFTMCLIFWILIIFFQYFGQYRWIAYVSISLGSCFVVMFLVGTKEPGSIQHCVDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLKMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLHNVMYAISIIIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGSTKISPNLGTAFGYTVTRLGLGLVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >Dexi5B01G0039260.1:cds pep primary_assembly:Fonio_CM05836:5B:38218704:38221207:-1 gene:Dexi5B01G0039260 transcript:Dexi5B01G0039260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRRKKWVAWAVAVAIFVVLMVVTPAIPQNEDYHDFADQRTLFLGIPNTLNGELWSWSLFFAGVTAVAFGSSYYHLNPNDATLVWDRLPDSTSLLK >Dexi8A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:8A:26322140:26325925:1 gene:Dexi8A01G0015280 transcript:Dexi8A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGRFLSTSSATTASFSPRRTLTRSLLRRPHPRLLSSASAAAITTAVEPDTKGSGGGASGGGGDAVRPQWKASIDFKWIRDNRDTVADNIRSRNSAANLDLVFELYDQYLALQKEVERLRAERNAVANKMKGKLDPSVRQALVEEGKNLKEALTGLEEDLVELTDKLQLEAQSIPNTTHPDVPVGGEESSVIRKQVGSQRSFGFTIKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAISEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIENSDQCLIGTAEIPVGGIHMDSILLESTLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKWHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLEQYGEISSASNCTDYQSRRLGIRYRPAPSEPPLPSAKKGKAAGGPTQFVHTLNATAVAVPRLIVCILENFQQEDGSIVIPEPLRPFMGGLEVLSPKSK >Dexi3A01G0030820.1:cds pep primary_assembly:Fonio_CM05836:3A:35150975:35151323:-1 gene:Dexi3A01G0030820 transcript:Dexi3A01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGEDASSSRKGKEREEDGAGGSRREEGAGLAEGEVDLGDLYGAAAGWVEARTSCPHLGTLPPAGAVDVTRVPPPDSPCC >Dexi7A01G0023100.1:cds pep primary_assembly:Fonio_CM05836:7A:31221848:31223179:1 gene:Dexi7A01G0023100 transcript:Dexi7A01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAALFPSALSVPKKKGHLAAAVKDTSFLTVPQKKLQVASLSVRAQAVATAPVATPGASTSTKDSKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAAKAAKGAGMADGSYTIMHLDLASLDSVRQFVDNFRRAGMPLDSLVCNAAIYRPTARSPTFTADGYEMSVGVNHLGHFLLARLLLDDLQKSDYPSRRLIILGSITGNTNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMIDGSESFDGAKAYKDSKICNMLTMQELHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >Dexi5A01G0027320.1:cds pep primary_assembly:Fonio_CM05836:5A:30819735:30820481:1 gene:Dexi5A01G0027320 transcript:Dexi5A01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSVKASDPGSAWFGSGARTAPSSSSSSGGGIGGHNARLITTAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAEAAAALPITSPAAAKAGLDPTAIAALPTAVYANKAEDGECAICLGAVAEGEVVRALPACGHVFHVPCVDTWLASSSSCPVCRAEVEPPQEDEEVIAAARLVQEKLQDAVKEEAGSCSSTPERGISACASLMKMLSRERPAAPRRPQQSAAAVHADAGELDDLERQLHAVNNN >Dexi3A01G0032150.1:cds pep primary_assembly:Fonio_CM05836:3A:36854060:36854341:1 gene:Dexi3A01G0032150 transcript:Dexi3A01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQAPEHEQHVLVQAPEQHVSSVMAPEHEQHVPVQAPEQHVSSVKAPEHEQHVPEQELEQHVWVVVEAAAEVPVSAAAPHRQHGWKKPRAA >Dexi9A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:9A:10136896:10144166:-1 gene:Dexi9A01G0015240 transcript:Dexi9A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMGYGAHRGGHAGYVEDDEEEAGYGGGGGDEMEEDGEGDAELRDDEEITQDDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVTKKGHDCEEVTETADYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKSHIRALQQRETPDEGWHELVSKGYIEYIDTEEEETTMISMTINDLIGARTKPDVAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGREGDATPFTDVTVDNISKALHKCNYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDMKTGKDQKKR >Dexi8B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:8B:21690824:21693342:-1 gene:Dexi8B01G0012350 transcript:Dexi8B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAVDDDEERRFDERPMHQGCMAGFLHLFDRPQILSGRRLHGSHRRLMSSGSTTGSATPSERSMPLERATPAPSSPDMTPPAAPRPSLQLPPLDLKDGGALAAPSWRLPRLSLDSRAVVDARGKLRPREIRTSPSPGAPPSPSAGGDDRRSPSVVARLMGLDALPQGEVSAVDGGHGGGDRSAAASPAALRRSASERVPRDPSHHFRFVDPAFFERPSSPLPPLMERPSPASMPPVAATEAAAMRRTPADPACHRAFQRRSRFDAREVFPDPAKRVCGDTASVPGGGKHGGEIALYGEIERRLRKRGIAEPTRDLETLKQILEALQLKGLLHHHTPPPPVRTSTTPPPPPIVVMRPSHRSSPPPPARLTPARRLRVDVDRARRPRSPDPSASPARSPASPARRGPSSPQRRVSPPLQSPKQQQPPPPLRKPSGFDSAAARSRIARRAAQNAAAALSPDDEASTTFSDGGSSSSFSASSRWDLEPQLPDSRTDRGLLERCGKLLSSIQAFTGGDVAGTDQQPSPVSVLDAAAFLADEDSPSSSGSKRAIDFSTSSSIGRPKPPAGATVVSDPEDDEWVHASWQVAGGDPDYAYVAEVVRLFGGARRRRDPSDVYKAAEQAQRQRGDADDDDHRRLLLCGAACEALERQRAAWPWEPAAWLVGGELVDHVWAEVRRAAAAAGDHVAEGADVEEVLNDLTLDAIRRDLAVDGGRWAPRQQKQQQQQRVVVAGEEAAEAVLQIERMVFRDLVADTIRELADADRPLPRRKLVF >Dexi5B01G0025720.1:cds pep primary_assembly:Fonio_CM05836:5B:27683124:27685699:-1 gene:Dexi5B01G0025720 transcript:Dexi5B01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFDLLGDDDGDDPTKLLAAAAAAAQKAEAKKAAAAPAGKAAQPAAAAKFPTKPAPTSQAVRDARGGGAPARGGFGRGERGRGRGGRGYGQSRDFGGDNANGFQGGYGGGGFGDGAVTGGAEGERERGPRPPYRAGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEILAQETEEALKVEEAAPIAEKQGENNDAPAADENKDNKDAADDEEEKEEDKEMTLEEFEKIREEKRKALLALKAEERKVEVDKDLQSLQPLSNKKENDEVFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGERGGYRGGYGGGYNRGPAAAPAIEDQAQFPSLGGK >Dexi9B01G0020900.1:cds pep primary_assembly:Fonio_CM05836:9B:15567402:15568149:1 gene:Dexi9B01G0020900 transcript:Dexi9B01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSLEALGRRNVAGPLLLLNSALYVFMMGFASWALNSFVDGHQQQYYYPPGPRSAGDEATLQFIQFALLASVVGAAAKAAAAFHARAWRPQGLAAAAATGTVAWAATALAFGLACKEMRATAGSGAGSRVVARGWRMRALEGLTAVLALTQLLYVLMLHAAVAGDRGEPGCHTEDGDQQAQRGGPSCSVM >Dexi5A01G0033530.1:cds pep primary_assembly:Fonio_CM05836:5A:35858404:35860694:-1 gene:Dexi5A01G0033530 transcript:Dexi5A01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTNSTRKVRICPLRFFARLVIRGSIALACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQVLGFDAC >Dexi9B01G0042740.1:cds pep primary_assembly:Fonio_CM05836:9B:42912808:42917084:1 gene:Dexi9B01G0042740 transcript:Dexi9B01G0042740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLIGFYEEREFALYVSSISNELRIPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFSAIEDVLKQKKDRANLRRVSSFEALQSATKALPADFVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTNPHLLASGDGKRTATIVGDVYIHPSAKVHPTSKIGPNVSISANARVGAGARLINCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Dexi3A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:3A:1270655:1271543:-1 gene:Dexi3A01G0001900 transcript:Dexi3A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLVGCNYGGTRYELRGCINDVLAMRDTLVARFGFAPTDITVLTDDGPSSGGVLLPTGANIKRALADMVSRAAPGDVLFFHYSGHGTLVPRRHGHGHGVDEAIVPCDFNLIIDVDFREVVDRVPQGATLTMISDSCHSGGLIDQEKEQIGPSVDGGDIAAPPSTTRRRFLPYGAVVGHLSATSGVDASHHVAEHLLALFGDDASAKFHGHQQQQQRRRRRFHDDGGVLLSGCQTDETSADVPAGEDSNSKACGAFSSAVW >Dexi1B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:1B:26478697:26479258:1 gene:Dexi1B01G0020390 transcript:Dexi1B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDINGNHVGVDVNSLVSNLSEPAAYYAGDDDDNPNKLAPVTLESAQPIQAWIDYDGGILNVTVAPVSVPADGRPRRPLISTKLDLRPIFKDKQDMYYVGFSPSTSGGVARGLLYLHEEWEHVVVHRDVKANNVLLGADMGARLGDFGLARLHEHGATPATTR >Dexi4B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:4B:2434561:2435075:-1 gene:Dexi4B01G0003480 transcript:Dexi4B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQYSGLTKLGFVALAFNSALAIHNSWGDAGSVAFVLAADAALVLLFRCLRELEFERGEGGARGRSKAAVWTLTTMLTAMFAARVAALMPPVVAAVVWAVAVATTGAGFWALFLHNHAYESQSSRSLGVAGQ >Dexi5A01G0025920.1:cds pep primary_assembly:Fonio_CM05836:5A:29640998:29642022:-1 gene:Dexi5A01G0025920 transcript:Dexi5A01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAAVSSPTVSGGDGKVDCPGSSPVSPAAPSTAAPAATGRRRSANKRVVTVPLADVSGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPSHDVAAGVLGTEGGAAAAATAVVEVHDDFRWLYDGVSVTSSTSPSDVDAVADEMLYGAMFFGGAVDGAPLSDEFGDVGGLFDGGEGGGEEDAMFAGLGELPECAMVFRRHAGDGKVEQQPAESAAMS >Dexi9A01G0047910.1:cds pep primary_assembly:Fonio_CM05836:9A:50848993:50852799:-1 gene:Dexi9A01G0047910 transcript:Dexi9A01G0047910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLAARLHPTAIPLPQSPSPPPSFPLRHPRAASARSLASRAAASATAVSTKPASAAPLSADRNVVRIGLPSKGRMAEQTLSLLKSCQLAVRQLNPRQYTADVPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDTVSEYGQASDDLVVVHDALDFGQCRLSLAVPKGGAFENINSVEDLAKMPEWTEERPLRVVTGFGYLGAKFLKENGFKHVTLLSADGALESFPPMGMADAIVDLVSSGTTLRENNLKEIEGGVVLESQATLVASRRSLHKREGVLEITHELLERLEAHLRASTELMVTANMRGNSAEEVAERVLSLTSICGLQGPTISPVYCRRDGKVALEYYAMNVVVPQKMIYKCIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLTELGL >Dexi9B01G0023540.1:cds pep primary_assembly:Fonio_CM05836:9B:18890953:18892552:1 gene:Dexi9B01G0023540 transcript:Dexi9B01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQRLGTPFPQNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >Dexi3B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:3B:13850488:13854664:-1 gene:Dexi3B01G0018610 transcript:Dexi3B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAPDRATASVAAGSSGGGGGGEHHLRGHAHLTNCIHLRHHHAHAGASGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSASNNNNSRVAADPDAADHPGRGALKTLLDQLAENPHPKPARRPRRRFRRAAGSRRAAPAAAASGGALDRPAAAPRASVNSSSQEAVCGNKYLFGAGGADGGGDELMRQQVSQESRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSASAARRSEAATSAASCGNMNGSRSHPHFPVTARLTSSTSSDSDSLPLLVDGVRGVGGISSSFSGELGIFSKSSDLDSDLASEARSGQKSRGSHGGRHRSLAQKYAPRTFKDVVGQSLVVQALSNAILRKKIGLVYVFYGPHGTGKTSCARWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFSRERVRRKFFKRPSSPTVQLLFSSRVNKSKAEKYRGQILQAFESVLSSAIILEIRYESKDDLAAGDWSLDPVCFVGNLQALPEG >Dexi3B01G0023460.1:cds pep primary_assembly:Fonio_CM05836:3B:18242409:18244809:-1 gene:Dexi3B01G0023460 transcript:Dexi3B01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQNGTEREGMKILSDADDWTEEQDMALRKAYFNAQPSPHFWKRVSKLVPGRSAEDCFNRIHADLSTLTPVAPRPRRSKTTFSPIGNFSLSDSKLPDLLEPTAGRQKTAKQKSLETQRTVRHLLQKHCLIDQAQDADHFSLFETSPSALQLNISFEDSPGTPESYLNSGSLGKSGSSSPRKKQFSKLRAERAEPSPAVLEPIKNVILHEKYVDQLSRKRTPGSKAVDSGKTLSRQQAGGLKAAKTALISEATDFINLFKKLQANSLALIVENNEDDDIDGI >Dexi2A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:2A:1223669:1225036:-1 gene:Dexi2A01G0001720 transcript:Dexi2A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVTWPAQSSFAAQLLLLPAFSFSPLAQPRPNFLSGPFRRRGPVVRFLFPFFLPVADMWARPVSFHGRDSSGESEPFPRRFAPRASFPAFISRRPTLSCLLNPKPSFRVAIAALLAAVAIRAFEITFVAPSSSSRFALRFGTPISRSCALVVVAEPPFTVESFLPLSRANHGEKPSSLAPVSSKSGEVPAARRHAPPRKLPLCPGPFDLNPTTVIRFDRIGTGQR >Dexi6A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:6A:4371215:4372060:1 gene:Dexi6A01G0004810 transcript:Dexi6A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVTGALGSLLPMLGELLKDEFKLQTGVKKDIESVQRELGSMNAALCKVADVPRDQLDEQVRHWADEVREMSYDADDIVDTFLVRVVGHQLKEHSCFKRLLEKMANLFKKAKARRQIAIAIRDIKAQVQDVAARRNRYKLDGVGANSPASTPTTIDPRLLSLYKENKEIVGIQEPMDNIIKRLTDGHGDDHVSKCQLKIISIFGPGGMGKTTLAKAVYDRLQWQFVRRSFVSVGRNPDAKKVLKDILLQLDKEKYMNSNMAIFDERQLIDEVRGLLDSER >Dexi5B01G0021910.1:cds pep primary_assembly:Fonio_CM05836:5B:24213913:24214691:1 gene:Dexi5B01G0021910 transcript:Dexi5B01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSASGWGGRWLLLPAAVTAVVLALVGGAAPAAGCYPRIFSFGDSLADTGNYAFVFGNDSGAPQLQPPYGETFFHRPTGRASNGRLAIDFIANALGLPFVRPYLSGQSAEDFACGANFARGFDGMGDDRVHLDMEMEWFRQLLDLLCPGNLADGIWWNGGD >Dexi2B01G0026860.1:cds pep primary_assembly:Fonio_CM05836:2B:35943418:35946422:1 gene:Dexi2B01G0026860 transcript:Dexi2B01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGDHTAGAGEADGRLEALLGEADGRLEALLSGAGERGLEPWPRRMALAAAVELRLLVPLAAPAVAVYMLAMATTSSTQIFCGHLGNVQLAAASLGNNGIQLFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRATVLLTVAGVPLAAAYAFSEPMLRLLGQSPEIAGAAAEFAYGLVPQIFAFAANCPIQKFLQAQSIVAPSAYILAASLVLHVALSWLAVSVLGLGLFGASLTLSLTWWVLVLGQFAYIVWSPKCRATWAGFTWAAFADLSGFAKLSAASAVMLVLEVWYFQLLILLAGMLPDPQVALDALTVCTSIQSWVFMISAGLQAAASVRVGNELGAGNARSAAFSAWMVTAVSAFVSAIAGLVTFLLRDKLSYVFTGGEVVSRAVADLCPLLVGTIVVCGIQPVLSGVAVGCGWQATVAYINIGCYYLIGVPLGLLLGFKFDFGVKGLWGGMIGGTLMQTLILIWITFRTDWNREVEEARKRLDKWDDTRQPLLANKE >Dexi7A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:7A:20302106:20302799:1 gene:Dexi7A01G0009230 transcript:Dexi7A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTCAMSPRLVAALLIIVSLLTLHVPIACARHVVVLNPSNGLHNRGGNQNHAKVLASASTDDVVAANKGLFSGRKLGAPNKEEGAKTTTMGSTATSAAGWRPRTVEMRAARKHGDAAAEVYDMLRRDYAWKASRRRPINNGATPLQVKKP >Dexi1B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:1B:6309260:6316649:1 gene:Dexi1B01G0007620 transcript:Dexi1B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEQSTRWGAEQTLTLREEDAEAEPEEACGDWNREEEELRNGGVVGARGRVINLVPCFFSFLPLKILGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDAEKRALYDETGITDDDALVGDAADNLQEYFRTMYKKVTEADIEEFEANYRGSDSEKKDLKDLYTKYKGNMNRLFCSMICSEPKLDSHRFKDIIDEAIAEGELESTKAYEKWAKKISKMEPPTNPLERRVKKKRKSEENDLILAISQRRAERKNQFNSILSSIMSKCDPKASSSEPTEEEFEQARQRLESKRAKKRK >Dexi9A01G0036740.1:cds pep primary_assembly:Fonio_CM05836:9A:41096665:41104560:-1 gene:Dexi9A01G0036740 transcript:Dexi9A01G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLALLLAACGAAAAQAAGGGSNSTAFVPRDDILLDCGATGQGNDTDGRMWNGDAGSKYAPPSNLAAAATASGQDPSVPQVPYLTARVSAKPFTYSFPQGAGRKFLRLHFYPANYSNRDAADGLFSVTVGNITLLSNFSAYQTAAAITYSYLVREFSVNVSTPTLDVTFTPEKDHPNAYAFINGIEVVSSPNLFGISTPNLVTGDGNNLQYPIDATTAMQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYIFGASFGVSYPSDQNVTITYPGNDPEYMAPVDVYSTARSMGPDKNVNLNYNLTWMLQVDAGFTYLVRMHFCEIQYPITKINQRVFDIYINNQTAMKGADVIAWAIMSGATTYIGTPVYQDYVVNTYGLGPMDLWVALHPDVDAKPERYDAILNGLEVFKIQLSNGSLAGLNPIPVVEPTEDGATKKKLAVGPIVGGVVGGLVVLALGYCLFVVCRRRKSAGKDAGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSYASSLPSNLCRHFSFAEIKSATNNFDESLILGVGGFGKVYRGEIDGGTTKVAIKRGNPLSEQGIHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCEMSDEGTPLVMVGKKDPNDPYIESSTTTTTTTSISMGDQSFQPPAVRREEGVAMADDEQPERKEEVPELAPFDPTKKKKKKKVVIQEPSDEVDKLAEKTESLTVTESSEPSFAGMKKKKKKHVELDPSLTEAGDGDDAGDDQVKEDEEGEGIMLGGAAATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRD >Dexi5B01G0031500.1:cds pep primary_assembly:Fonio_CM05836:5B:32200156:32201687:1 gene:Dexi5B01G0031500 transcript:Dexi5B01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAFSSIAMASPTLPPLLLRLVPLVLFCLSPACAAGRVTVSVYYETLCPFCSAFVVNDLARIFHNGVSSIADLRLVPFGNGRVSADGSITCQHGEGECQLNAIEACVIKLWPNAEQHFPFIHCVEHLALTRKWDAWQSCFQETGLASQPVIDCYNSGYGRQLELQYAAETNALQPPHQFVPWVVVNGKPLAEDYMNFEAYICSVYDGELPQACKGKHLAIAQHTKASGGDKVCLVSKIIS >Dexi1A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:1A:4884394:4886415:-1 gene:Dexi1A01G0006480 transcript:Dexi1A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATAEATYILVGPPEARHVAARATDAAPAAAAAAAAAAAAAAPTGEEFLDVMDASFNKPAAPAAGPDGKALTENLSPTFGSSGDPCLDFFFHVVPGTPAASVAELLAAAWDADPATALRLVANLRGVRGSGKSDREGFYAAALWLHAHHPRTLALNAASVAAFGYLKDLPELLHRIVHGGKSTRTPGKKARLAADGGGFVGRRGRGQRFSFSGSRKPRCGPKSGDTAAERAGTAEERIAASLERDRDLAAAAAAARRTRRAEAAARAVEMYNTDPTYRILHDRTADLFTGLLAEDMRKLAAGNVKEFSLAAKWCPSLDKSYDRSTLLCEAIARRLFPKGSSPELAADLADEHYAYRARERLRRDALVPLRAALKLPEVFMSARAWDSVVYTRVASVAMKNYKDIFAKHDAERFNAYLADVKSGKKKIAAGALLPHEIVASLAETIDGEVAELQWQRMVDDMRALGKLTNCVAVCDVSGSMSGLPMDVCVALGLLVSELSDEPWRGRVITFSERPELHRITGETLADKISFIRYMDWGMNTNFQAVFDKILEVAVGAELAPERMVRRVFVFSDMEFDQASAQPWETDYEAIVRKFTEAGYGEAVPEVVFWNLRDSKAVPVEAGQKGVALVSGFSKNLLKLFLDGDGIISPRAVMEKAIAGPEYDRLAVFD >Dexi9B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:9B:13192706:13192983:-1 gene:Dexi9B01G0018450 transcript:Dexi9B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVVHRSSDIQHNRSSKHYDEKSCEVHQQGFRAVPAKAAGLKEDDKPRANVPWKHSAPDCWRSTREEEGCNAK >Dexi6B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:6B:3266199:3267197:1 gene:Dexi6B01G0004010 transcript:Dexi6B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRGSAHFLVIVLVAFIPHHRAAAHPRPVAVGEAELLLEIKRAWGDPPVLAAWNASSSAAGAHCRWPYVRCDSAGRVTRLALTNTNVAGPIPNAIGNLSSLVHLNLFNNSIFGVFPTVLYRCRSLRYLNLTLNHLGGELPNDIGHGFTTNMSTLSLSANYFNGSIPVSLSMLRNLHHLALGLNGFTGTIPVELGKLTSLHTLWLGDNPLAPSELPMSFKNLTNLVSLWVANCSLVGNFPNYIMVKMPKLESLCLSRNSLTGSIPPGIWRLENLQYLMVDYNNFTGDVVVDGFAAMNLILINLAGNNLSVPEVFGLAKPRGFVPLQQQLL >Dexi9B01G0045830.1:cds pep primary_assembly:Fonio_CM05836:9B:45295158:45296791:-1 gene:Dexi9B01G0045830 transcript:Dexi9B01G0045830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAARRRLWRGMGTVAAAAAAASGTDGTLLARLVSEPEGRVKATMEEVASSAQHRDGGFWEPLAAALLRASSPTKAHLVLEWKLEKLLKEEIHDCEPYSTIIRFCAQTRNAALAMRVFECAEAQGIHLNTGIFNALLNTFLSAGDLLSAVSLYETMEGMDGCKPDSTTYDAFISAFSLLGSGHAMMSWYVAAKNAGFTPSIQAFESLITGFVRLDMLDDAKMVFEQMISFGIKPNSVILEANLDMLSRQKEANTVRDFLKRVSDGNWELNNATVGRLTRICIDGGEIDEMKQLLVLIQKGTHLSSEAQLYHGIIRFYAKADRLAEMEDVICRMLDNGVMFMCPEDIEVIICTYFRHKEFDKLELFLNRIRSFFKLNRSTYDILVAGYRKFDLHERLYATINDMRQAEFA >Dexi7B01G0024830.1:cds pep primary_assembly:Fonio_CM05836:7B:29052959:29055924:-1 gene:Dexi7B01G0024830 transcript:Dexi7B01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLSQQPEFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIGGKKLKLAIWDTVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGTKKNIFKQKQPEADGAASSCC >Dexi5A01G0034070.1:cds pep primary_assembly:Fonio_CM05836:5A:36223457:36226824:1 gene:Dexi5A01G0034070 transcript:Dexi5A01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARGSGLGGAESSPSTPLATPMATPVSTRSVKWEKDVEDAHGMLDRPLLYKSCTNTTSQMAIVGVNNCPIESLDYEYVPCLYMAAFVVYIGCSTALAAAAAALCAYIAPAAAGSGIPEVKAYLNGIDAHSILAPSTLLVKIVGSILGVSAGFVVGKEGPMVHIGACVASLLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVTSWWRSALLWRTFSTTAVVAMVLRALINYCRSGHCGLFGKGGLIMFDLSSRQATYTATDLATVMLLGILGGLLGALFNFLVDGILRVYSLLNEKGERYKIILTVTISVITSCCTFGLPWLTTCTPCPPELAGKCPTIGRSGNFKNFQCPPGHYNALASLFFNTNDDAIRNLFSAGTHGEFGVSTLLTFFTTVYTLGILTYGVAVPSGLFIPVILAGASFGRLIGTLLGSISGLDLGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHLLPLIMLVLLIAKTVADCFNKGVYEQMVRMKGFPFLEVHAEPCMRSLVASDVVSGPLVTFSSVERVGTVVETLRHTGHNGFPVIEEPPSAPAPELCGLVLRSHLLVLLQGRIFTRGRAKTSAGEVFRTLAPFDFAKAGSGKGLKVEDLELTPEEMDMYVDLHPITNRSPYTVVENMSLAKAAGLFRGLGLRHMCVVPKTQGRSPVVGILTRHDFMPQYIRGLYPNTIPR >Dexi2B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:2B:30454922:30458317:1 gene:Dexi2B01G0020380 transcript:Dexi2B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLVVRGNKALVGDGKGIEVGGALGDGVLRRHAEVGSIVLQSPAPVLFSEFNRPLQITLNSFRPQVRILKTHEGALDMSPRSSYSKSTTHRDKIFNRRHSLNLPEQLPGHYSRKATERTQKATSKSVADLVGEIAALEQEVIRKELHLLSLYRKAFDQRRNDSWSPRYNFDSPRQYGFEKDRSEQNIGMIVVPRIRVDADKFDYASKMLETIRSLIQRLEKVDPMKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQTIQNSILGCQSHRPSLWVRALFTPSKRSTAGTARHPYALHHPEPIAHFALSTGAFSDPPVRLYTAKKIQQQLEVARTEFIQGNVVVRKQALLLPKVLHYYARDAGLELRHLVELVCESMSDTQREHCPRKRADKCVEWMPYKSSFRYVVHRDLAD >Dexi3A01G0022460.1:cds pep primary_assembly:Fonio_CM05836:3A:18108353:18109902:1 gene:Dexi3A01G0022460 transcript:Dexi3A01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLIISTSFDVVSSATAPLKFGINYGQIANNLPHPTQVSGLLQSLNVNRVKLYDADPTVLTAFAGTGVEFIVGNEDLQNLSDARSARAWVSQHVQPFIPNTRITCIIVGNEVLSGTDTAAMQSLLPAMQAVYQAVSDLGLASQVNVSTAHSVNILASSYPPSSGAFREELGQYIQPILNFHAEVRSPFLVNAYPFFAYKASPGSVSLPYVLFEPNAGVVDPSTNLTYDNMLYAQIDAVYAAMKAMGHTDLTVRVSETGWPSKGDDDEVGATVANAAAYNGNLMKRIAMGQGTPLKPDVPVDVFVFALFNEDLKPGPTSERNYGLFYPNGTPVYNLGFNGASFGPSPTFSSSSKPTITLLMAVVVVLAGFFL >Dexi9A01G0049350.1:cds pep primary_assembly:Fonio_CM05836:9A:52000030:52003775:1 gene:Dexi9A01G0049350 transcript:Dexi9A01G0049350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVPLGAASPDDEERTSSASGGSSASSTATTTASGSDAASGTDLWTHESRGLLRPVFRFLEIEPQAWPGLEETAASPEAKHHIGAFLRIVFEENGESSSDRLEQEHALAKAADVMVMSLSSDTAPDDKIKEETGDSTVPSSSGKAQSPDYGSPEDLLGIDKLSLDDVPANHHRKMALLYALLSACVADKPVSQEEEDRKSSHFRKGYDARHRVALRLLATWLDVKWIKMEAIEVMVACSAMAAAKEQEQERENSSPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGGLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAVQQILEHLELNTYPVFVPLPAVSK >Dexi6B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:6B:20910420:20910900:-1 gene:Dexi6B01G0013220 transcript:Dexi6B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGGGGVAVARLGNVRQFGLRELQAATDGFSAKHILGKGGFGNVYRGRLADGTTVAVKRLNDPASASGEAQFRTEVEMISLAVHRHLLRLVGFCAAGGERLLVYPYMSNGSVASRLRACHMLYCSPYRYPDRHTVHVPAVESE >Dexi3A01G0027400.1:cds pep primary_assembly:Fonio_CM05836:3A:24675768:24677655:-1 gene:Dexi3A01G0027400 transcript:Dexi3A01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNFVPLPLSPSGLGGRERGRNRTCDAGGRVEAVLGHLGRYDAGGGSGGSAGFGGISMDGDPLVTKGATLVPLLGIDVWEHAYYLQYKNVRPDYLNNIWKVMNWKYAGEVYDNALA >Dexi9A01G0022910.1:cds pep primary_assembly:Fonio_CM05836:9A:18063519:18066695:-1 gene:Dexi9A01G0022910 transcript:Dexi9A01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLGRVLLLLLVCSAWLLPKSGAWVPRIRATSLGGWLVTEGWIKPSLFDGIPNNDLLDGTQLQFKSVTQNRYLVAEDGGGAGILANRAQASGWETFKLWRINATTFHLRVFGNQFVGVDCTGRVVATATTPGPSETFQLVRRPGDKSRVRIRAPNGLFLQAKTRESVTADRREYTDWSDDDPSVFVTNSVKQLQGEYQLCNGYGTAKATQVLRNHWSTFIKESDFRFMASSGLNAVRIPVGWWIASDPYPPFPFVGGSLQALDNAFRWAEKYNLGVIVDLHAAPGAQNPSEHSGTRDGSQEWGTTDASIAETVRVIDFLASRYASSPSLLAVSLLNSPEAPGVTLSSLMKYYQDAYNAVRRHTSTAYVVMPARFSADAAELLGFVGSFPLAVLDVHYYNLFSSKFNGLTVDQNIDFVRKNRSSELAAITRPNGRPLTFVGEWTAEWNVKGATKVEYQRFAKAQLDVYGQATFGWAYWMLKNVNNHWSMEWMIQNGYIKLNCSCSQSQNAMISLEPNCHGKMEPSDNAEGEYYSSD >Dexi7A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:7A:14872172:14876075:-1 gene:Dexi7A01G0004280 transcript:Dexi7A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVRLTGQRRLSGSSVLVVGAGELGSPVAMYLAACGVGCLGIADSDGVELGKLHRQQCMCISSTRPGVPSSHPNSPQAAAVLTSDALPPQQGCSSPPAVQTSHLPAGLLLLPAGQQTRHLRAGLLLPLHADRACCSCRGAVEARGSMQPSWNWSAPRPINSSVKVIQYHLKLKPKVALDIVRQYDIVVDATNNVTSRVVAVPFSTRVPEITPMTLVNFSNSWLFIITMEAHVTGVFFQTHQYQQHAKVILTMVFLELSPLPKNSPAASTKRVLDCGEPHLLQFSHHFEIASIPCSLNKKQKQVSP >Dexi7B01G0020860.1:cds pep primary_assembly:Fonio_CM05836:7B:26083111:26083804:1 gene:Dexi7B01G0020860 transcript:Dexi7B01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVMSACKPHHLQPPAAALLPARSLPGRAAFRRLPLPRYASPARLVVRRRCQEEDKQEQEAAPGGGGAGEEQEKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFLKDKLKREQGVGTAVLQWDDPGF >Dexi9B01G0047430.1:cds pep primary_assembly:Fonio_CM05836:9B:46525600:46527907:-1 gene:Dexi9B01G0047430 transcript:Dexi9B01G0047430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAALAISFLPSPTRFAAPATPSSSSRIKKAARFRCCAGPSSREQDQEISAAPPTRLPEKPARTPPSSLLGISTSTWSAGLAGLGFLETGYLTYLKLTGSEAFCPISGAGCGDVLEGDYSVVFGIPLPLVGLVAYGLVAALSLQEDGKDLLPGLDDLDIQLTLLLIATSMATASAYFLYILSTKFVGVSCSYCLLSAFLSFSLLFIRVKDFGLERIQKFVGLQLSVAVIIALALTNSYSSATTQLKGTDDFVLEPYETEITTESSPFAIALARHLHSIGAKMYGAFWCSHCNEQKQMFGREAAKILDYVECFPNGAGKGKKMTQECQAAGLEGFPTWVINGKVLSGDQELEVLAEASGFVADGTEQPKEITPN >Dexi3A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:3A:5095451:5096099:1 gene:Dexi3A01G0007410 transcript:Dexi3A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLVILILSLVLAIFGMTILISILIIHTHHVRKVFVGSVGVLSAMLMYSSPLVAVKQVVRTRSVEFMPFSLSLFTFLTSLIWMIYGLLGRDPYIMVSNLICNLVK >Dexi2A01G0026570.1:cds pep primary_assembly:Fonio_CM05836:2A:38139318:38140160:1 gene:Dexi2A01G0026570 transcript:Dexi2A01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRLVSPTVGLIPTTELDDEGQSIEPSVSDPSVTAAMFAAAATPGPALDPHGVTERTYGFFTSSQNSLAATGAPAGLNGAEVGPLGRVGLAEDDCAGAAEAGHHAGVAGDDGAEQREGSRRGVEPVARGDVVLEEHGDAVQGAAAGGRGGGRALGVGALGLRERVRVDLDDGVEERV >Dexi9B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:9B:11760325:11761347:-1 gene:Dexi9B01G0017050 transcript:Dexi9B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQERIGQEFYGQDSVDPFPALEKLEFQDMPNWVEWDEVSETDFPSLCELKIKDSNELRVLPQKLPSHLKKLVIINCEKSQEPDGASYDQEVLETLRDDSEDDFKVLSEDEDDDDFYDRMFEVGQSSGMAIDYNDDSDDAC >Dexi1A01G0027520.1:cds pep primary_assembly:Fonio_CM05836:1A:33267890:33269754:-1 gene:Dexi1A01G0027520 transcript:Dexi1A01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAATVIPPFENISPKMLAESLALGKDGAHIREPVDQPYSEENRSGCACVAVSRLILPEDATEPKTGIKFPTLLEDNSNPSTEVILPACFCRILFLNESFFHISVLVGVGFRSMRIMRVKNLNLYAFGLYIQPNSICKKLGPKYACIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYRCLKTFGSYFSEDIRIPTGTKIDFRQTSDGQLITEIDGKQIGTVQSKDLCRAFFDMYIGDPPVSVETKQDIAQNVAGLIRRC >Dexi5A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:5A:2430974:2434144:1 gene:Dexi5A01G0003280 transcript:Dexi5A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGAKPPAGARRSGGVRVGPARLEGLPAAWSPGAAAVKVKWPAPGGALAHMLTGRWARGVTAVEPVAAAGTVRWEPRDANRFTLHLDPAGAAARGRTERGVFFSVLYGFQEQGRGKDLVRLEEIGTAMISLEECCWEMQLQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDMNARSDIERAVSFREKPRTNMPPPPSMRDHRKSLEAAAYHDVLDLKQLLELAEKEGRVAVYGNKRNSDTSSVSSISSSSSSSSTISISSASTSGGASPEPSSTSKRRLLPWMRRSRDFDKRSTESLSQELPMKCMDDDPAGSWETREFTSRDAETKLRTPVFFASFDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDDAHMTQFPNRHFDLETVLAARTRPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGEREHGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLNEGCDRAYMLRFDGSSEMSIPAEDKEEVIVTGKECCREFIKRFLAAIPLREELEIEKNGAGDGVGAPHRRLQIEFHFTVLQDDER >Dexi4A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:4A:6358270:6358509:1 gene:Dexi4A01G0008490 transcript:Dexi4A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVASCAPHPYEVARGVDLEEVVLLRVAGADSDDHGAYMSGCEVASLGTEELTPSRLVWTLCKCRKPSLPRRTAVWLKS >Dexi5A01G0039650.1:cds pep primary_assembly:Fonio_CM05836:5A:40173619:40174753:-1 gene:Dexi5A01G0039650 transcript:Dexi5A01G0039650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNLFSIWIEHHRRLIGTYCDRSIRLPPYISTRHAARVFSPRSAPPLSLAPHPNPGAAVVVSGPRPPPW >Dexi9B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:9B:8877449:8877754:-1 gene:Dexi9B01G0013170 transcript:Dexi9B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCGPVQRSGAAAAMAPAVPRVRGGFRGMEVALCGVGARRSGGASDAVRVGWRRGGERMLEVEERREWEQSLCSDLPLLEKSRHDMESDPHLPRNGSDGW >Dexi2B01G0029110.1:cds pep primary_assembly:Fonio_CM05836:2B:37628302:37628949:-1 gene:Dexi2B01G0029110 transcript:Dexi2B01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTSSSPSPSPPPAQEVPAAGDRQQQQHHHHPLVAAAAAASLLAVLYLPRPLLLFVLSPASLSSLLLLLSLLRLGSSPPPPAPTPINPPTSPPPEEEEEELHVAAQQPPPPLPPPPEREIVFPAEALEFASWVAKGRALEVIHEEFEAEWGRPEEMMGLSWASDSDLDSDLDYDSGGGGGGGSDASGGEDGMIEILLEEDSLIEIDISRCR >Dexi1B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:1B:22545413:22549194:1 gene:Dexi1B01G0016010 transcript:Dexi1B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPVDVKTVQRLSGADKKKLRRTAKERFPQASDADLDAILPPKGEMTLAKYPNHALVYAIEGEFPMIFNIDARGHDLFPTVYALWKVPHLLPAFTLKGGEVSRYVIGGADLMFPGISIPPEGFPSFEAGQPWAVKVPGNPAPIAVGITTMSSTEALKAGLRGKALRIVHYYRDMLWDSADGRYVPNEGFFEDIVVEDPNYVSTSQSPDPAEETAEVTHDTEDAAADSSDTADPGLHSEATEEITDGVNELKLPEDKSNEQAPDEKEHQNLTTEEIDSLLDKCLLQALYTILSSVYLFRSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLISAKEDKYKKEVILQSINRKHPDYMAFKPEKRVLEPVEHEKAVAESSVTKQLEVAEIYKPSSHVKPIFVAVEADMEKYYSASEASDIVFRYVEKENLVKPTDKAKVMLDVTLCDALYKGAIKKGSAYPTEIHKKDLGSTFLNRMQVHHKVTRGAQEVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTVELPGKKGQYEVLVQGGVIDDLAKHLVDHYGVPKRYIEVYDKTKR >Dexi3A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:3A:4467747:4469173:1 gene:Dexi3A01G0006720 transcript:Dexi3A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPWWLSSTMRAAAPYVVAAMALLILLMAEQLSYRRKKGPLPGPPLVVPFFGSIFQLIGDPAAYWDSLAARAKESPMGLSADYFLGRFAVFIRDAELTHRVLANVRPDAFHFIGHPFGKKLFGDHNLIYMFGDDHRDLRRRIAPNFSPLALSTYADVQQRVIVSHLRRWLRDASDGERRKKKPFLLRLPCRDMNLETSQTVFVGPYLAAAAREEFARDYNLFNVGLMAMPVDLPGFAFRRAKRAVARLVRTLGDCARQSKARMRAGGEPECLMDYWMQDTVREVDEAAANGCSPPAHSSDDEIGGYVFDFLFAAQDASTSSLCWAVSALASHPDVLAGVRAEVAALWSPESGEPITAAVMAEMRYTQAVAREVVRYRPPATMVPHVAGEAFRLADGYTVPRGATVFPSLYEASFQGFRDPAAFDPDRFFSDERREDVAFRRNFLPFCPVGG >Dexi1B01G0025400.1:cds pep primary_assembly:Fonio_CM05836:1B:30442512:30443196:-1 gene:Dexi1B01G0025400 transcript:Dexi1B01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGDTIPSSPSAETSPSSSDIATESTGSFFRDYRSTTFGTLMGVSLADEEQEQGQDNQEGRDAELDAGEGTDTPRAPAHEGEGWRWHRRWSRRRWRSSGGGWRRLCRDDDDGRVPTSLGHFLENERQLSGDGLLCGDGSGERDAVAPVLENDGRVRPSAAGVVGEESGSWKLRRSAQGASSSLARLPVLLTAICSGGAA >Dexi7B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:7B:20039192:20040570:1 gene:Dexi7B01G0013570 transcript:Dexi7B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATEAGGDAVDVAAVGEAVEHPLAAGLDAGAGLLVELDGHAGRVLGGGHGRHLSDAERGALDLDDGLAELRHHALHLVEVAPRQLRGRRAPVERKKSSGGGV >DexiUA01G0010090.1:cds pep primary_assembly:Fonio_CM05836:UA:19943428:19947672:-1 gene:DexiUA01G0010090 transcript:DexiUA01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNRAPRGTSQKEKAAAAVRAKKEETADPQGKKEAPANETEHIQELMRLGFLSEEVISGWRISEEDSAFPSEDTGEVTVFRRYFEVGFGLPTHEFFRGVLGHYGIQAAHLSLNSYLHLSIFIHVCENFLGIDLHFELFLNLFRLKSVPKDEDPKYISGATFQFKQGMQEKYIPYKTKDTHLNWEQEGFYVSNYAPSLPDRMPDRPWRQNCWNQIFKESATDQIEVLLEKIGKLREAGLTGAGLYLRFLQWRIQPLRIRQNTGYQYVGRKDPDQSRPELLSNDEAMVFVMKMPPQIPKYSAANPPTEARHAREKTRARGPSKPVVIVYNSTAWASTLLRKWELTSPQAWVNVVPPLHPDAGWRRAAIEEATDPLSPTSQVKLLPPFPKYILHLQRACALRPCPRKMSLLTLLRPTLQPLLDQDAHQLSSQPSQGDEEDVGLVVCVVEEVGAMSAEEVEEIPRVIWPQEEEMRPVGDSFRMVFIDEDEEAQMFHRELNHVKLRKGQDLAVSCLHKSTLLQCFVKQQAVMDFLENRLREERVTIKKSHDEMEAFKIVMNERNAAIEKAEARIVAETEKDQLKVQAVEDQTEVLTSSLQVARDHYQQVSDAAAPLVDIIGTAGNSRSHEACLQELRDAAKELPMHVRDTASTCVTHVRNLEDVEYTALKEEVVPLCLREDHRRDRHCRPARTWPAGLATPPNGLHFTYMP >Dexi1B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:1B:6430432:6430695:1 gene:Dexi1B01G0007770 transcript:Dexi1B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKDDLMGEAEIDLQTMINAAAAFGDPELLGDIQIGRWLKSEDNVLVRDSAVVVAGGKGVALKLQHTESGELELEMEWMPLNM >Dexi6A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:6A:18503293:18507075:1 gene:Dexi6A01G0012260 transcript:Dexi6A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHLLLTPALTVTTVVAAAAPGRRVSASSAGPRLRVPPRAQAALFSCSPGRGRGRLRVAASADGADPGAGGPAGAIRLNEYMVTVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGGGEQGLVTIKDLGDTEYGTSNVFISPMECSSEISPLSFSFSVIALRDKSGPCSLVLERPFAPFPIHQLHQNEDYHLLFNRGQVSVASWNNGLLSTNLKESSTGDGKSGFAMFSPRLLSPQGWSFLSREKGGSNQSSTNLANRITEIVGLYSDEDDVNAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKGITAVLNFQSESERINWGINSEAINNSCRENNILMVNYPIRAAIVWATWDLIALVENGRHDGSPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCHHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSSSLPTETDEHGNVNNVIRVGDIARIRPAPSQLHIRDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >Dexi1A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:1A:11691390:11694551:1 gene:Dexi1A01G0012170 transcript:Dexi1A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPPTACAAARALVASSRLPPSRLRCSHITSAFPRLRKYGHRRREPVAGPFSDDDDDDEEEEEEAEDEDYDEEDEDGEEMAVDEDEFLATRPKPVGFGEGKTYSTDIEEQLLREMGLGRARRKGDATSANRREGNGSAKETSADLRDNGVLVRVWNLPKKKNIHKDLKQAFKGFPGLLSIDPAVSANKKTRDPICKGFGYLKLESADAATRFIEIYSRKPVAFGKVQKPISCCIVDGNSSAEPSNKASRAISQPRLKRQDLVAAS >Dexi6A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:6A:21746098:21746892:-1 gene:Dexi6A01G0014410 transcript:Dexi6A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMLSLRAPGPVRLPWLSSPADAASGGIGSGGRYGEPPLLLPVVPGGWIDGGHHGEPHQFVLDHGASAAAFEGTGATRQGAGVHFDSAAAAPPPAFIDFLGVGAT >Dexi7B01G0020940.1:cds pep primary_assembly:Fonio_CM05836:7B:26118225:26120025:1 gene:Dexi7B01G0020940 transcript:Dexi7B01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGKGLRAAALMLVVLASAAPVARSWSKEGHMMTCQIAQDLLEPDAAHAVRSLLPDDVGGDLSALCVWPDQVRHWYKYSWTGPLHFIDTPDKACSFDYSRDCHGPDGAKDMCVAGAIANFTSQLLHYKHGSADRKYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSIDLRWFRHKSNLHHVWDREIIQTALAEFYDKDMDTFRKQLEHNLTKGTWSDDMSSWGDCEDLLSCPTKYAKESISLACKWAYNGVHEGETLSEDYFDSRLPIVSRRIAQGGVRLAMFLNRIFGHHNRDVAAPSWPHLQSDY >Dexi3A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:3A:3884611:3889102:-1 gene:Dexi3A01G0006000 transcript:Dexi3A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGSTKELEVETGAPVPSLLSLCLDAAAARLTRDCAGVGTGGAGWPGGFVEEGGGEADEDHLGPEQVAEALPWELLHRLASRLPPAALELLHHAAQARCCYPSDTTAGLGEQDDDRRGMKRSRCEDFNTAWQSLFKLRWPHNFNTGHDSMVTVNWQQQYWERHLQECLDEATESALLPSFCGSIGDLSVSAKIMNSIYRSEDISQQHSRLTCQLRRFGCYARCLRLQGVLCTTETYDLLQYCKLERLMFIRIISEPEISGWLSKVNKSSTSLSTLKSDISLNSLSVLNLRGNNLQDDDVVDLHKILFKMPNLRDLDISCNPIMDEGISIGVGTLLECLTSAKQPLDMLSIADNSLGSRVAPALAKFLGSHVRDLNVEDIDLGTLGFQILEEALPMEVALSHINISKNRGGIRAAYFVSRLILRGPNLISVNAAANILPSESLEVICNTLKQRTGNLERVDLSGNIHLSGAISPAFLEFKKHGKSILVIQSNFRTYVPYDDDP >Dexi4A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:4A:1135751:1136335:-1 gene:Dexi4A01G0001730 transcript:Dexi4A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDSGHILFRNSPRVAPRRATRAAAPPLRRTTAQARSLPPLSPERPVGSPASCEEDGGGANWLLPFCFLFPPALRLRAVIHGAGSLPSSMVVTQPLPTGSGSLGPDPDPPGPDLRRQAVVRRGAAALSGGGGLVRGLYTGRPCHLSPLAPLGLNFDAVVGVLVRVAAPAPRASVPRRFARPPPPPACPPAAVA >Dexi1B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:1B:19946765:19956169:1 gene:Dexi1B01G0013910 transcript:Dexi1B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRRAAYAGSWYTSDGRKLEEELDGWLRAARLTKSPDVRAIIAPHAGYMHAGSYAAYAFGNIDPASFSRVFLLSPSHRYYTAKCALTKATVYSTPIGDLRVDQEVTGELYNTGKFELMDLSVDEAEHGFEMLLPYLFKVFEGHTVKLVPVLIGSLDSESEATYGQLLGKYLDDPKNLFVVSTDFCHWGSKYKYTYYDENHGPIHKSIEALDRNGMEIIEVGNPSAFSQYMQEYKNTICGRHPISVFLHMLKHCLVKVKVKFIRYDQSSQCNGMEDSSVSYACAVGKVDSSCEDEKKE >Dexi6A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:6A:26486962:26488282:-1 gene:Dexi6A01G0018850 transcript:Dexi6A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSLEFKFDYFGTKNLGIGEAVYSNLFSAGGHQWRIVCFPHGYRKKDEGKYLSIYLQLMGESKNVKAIFEAFAMGRDGKPSSSHAERCVRVYPPDGYSSWGYARFVNRSDLEKVYVVNGFATIMCVPVGERVGGYYRRHTGLRQTYDCPELKKKCIDFVAEEKNFKKTVLTDGDGFVRLAHKFGSIGAQISSSPIDQEQPQKKKVICANQESHHTIGFLTMTAVCTLSARRDRPPE >Dexi9A01G0047590.1:cds pep primary_assembly:Fonio_CM05836:9A:50648346:50649301:1 gene:Dexi9A01G0047590 transcript:Dexi9A01G0047590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRKTRDWSPPASPSLVMRSPRQTVSRLRSRQARRDWEPSSRSPSFAARDHGPKPSEVYGFVGSITTVIATVVYLVWAYTPEPCLRSLGITYYPSKYWALAVPSFVIVAVALSMVIYIGLNFLATPPPTSFSTIFDENSRERIVFSSAMKEERPIEPISDVSVVQTNNLMFGDT >Dexi1A01G0021800.1:cds pep primary_assembly:Fonio_CM05836:1A:28513011:28515515:-1 gene:Dexi1A01G0021800 transcript:Dexi1A01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPANQLSSFGHSLLSPTSPHGLLDEIALQAPSILMDQTTSANLSSVTTGRSNNSSCGSNLPAPVPMTAAKPPPVQQEPELPNKSAPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTFKAQDSAEDMLLKDSLYAAAAAAANMGITPF >Dexi5B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:5B:22687585:22689818:1 gene:Dexi5B01G0020490 transcript:Dexi5B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRAAGARAVLRRLGAAAETSGRRDGGVLAAICSSSGNAPSGLGQYANLFRARAFASRSIPVNFHQLIRNAGISTTRNLLADDAMVPISSPLTPPLGDSEETDKKGAVVKKLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGIMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEEAGATA >Dexi5B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:5B:21330110:21343935:1 gene:Dexi5B01G0019030 transcript:Dexi5B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRPPAPPLPSSSPFSSALTAPARPPAPPKQQQHSRADPDAAVRCCCRRSWCWRIYARRRSGSAAAAVRSAPLPPPLQPPEPPLLQPPSSDLRPPPLTHPANLQAPTGPAVGPTVGSTLGPMLRPPPLTPAVAAAYHAELADLATAAGLTDPADLANLAATAGPRGYAGSLPLDRGMRVFDNAGSAEASDVVKCLQLHFDCILSVCGHICIDVLFLFSDKLYKEIRDLNFEVVVQTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFELCFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMHLLYNLEKASLFKRQESRSNWVGITRALQLVVDVNDTANPSDISYIYSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTINSSLEALPGSGSQQSIDRVGHRSLVLVVFVGGVTFAEIAALRFLSAQEGMGYDFFVATTKVVNGNTILKPIIASSKEGMM >Dexi3B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:3B:4020914:4022580:-1 gene:Dexi3B01G0005880 transcript:Dexi3B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHYPKYLLYCLLIAGSWLLSCVLHFQSLSSSFAPPRRAALVVLPAALDASFLPSPTVEADGKTVVPSSPSPSSPPPPPPSCEGRYVYMLNLPSRFDMLRDCVEGSPLFDDIWSWCAITVNAGLGPKISPTAGNGTAGIIPSTGWYSTDQYALEVMFHNWMRRYECLTDDASTATAVYVPYYPALELHQHLCGYNTTARDGPSEAFLRWLSSQPTWAALGGRDHFMVAAKTTWMFRRDPGAGDDGCGNNFLGQPEVANMSVLTYESNIWAPRDIAVPYPSYFHPSSSGEVSTWQSRARAAPRPFLFAFAGARRLKGQLAIRDRVFDVCEASARCGMVDCSHGLEGSITCRTAAKLVGLFTSARFCLQPRGDSFMRRSSIDSVMAGCIPVFFHRASTLEAQYRWHEPEEMTGGRRSNGDGDGRRRRYYVLLNSDDVLEGRVDIEEELSRYSDEEVAAMREEVIRMIPRFMYRDPRVRFEGEKMRDAFDITMDGMMDRMRRIKKGEDVVLKGEDESYEATMAANDS >Dexi8A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:8A:17951173:17951748:1 gene:Dexi8A01G0010510 transcript:Dexi8A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSMMSPFATAPLFAMTNCPGTVMSTQARSSNGSRLVIRCDNEPTDEGRLGSESSCLTGTMEGDELPLASSPTACKNWAHPAPSPTPWLPVTPTANPPHENSVTCINIKGSPGPQRMPW >Dexi8A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:8A:7381263:7384027:1 gene:Dexi8A01G0006880 transcript:Dexi8A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEHEDLERILFEKNANAIPLSYTFLKYITNDFSDERVIGSGGFGVVYKLHTSQVIDSPQMERCIEIAQLCMDADEHKRPTIDEIIGMLNEAQRSRSNTLPSLEVN >Dexi5B01G0023510.1:cds pep primary_assembly:Fonio_CM05836:5B:25644492:25651369:1 gene:Dexi5B01G0023510 transcript:Dexi5B01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQASPVAIGSAIVYGDRLAADDSFSQHAVVNVSHQELMSVSYDIRRHVPPEGWERPKNTSFYSVTLEERKITAEGVFDPKTGVLCMMACRELHNSSTTDCQILIAVHLASLDSKAQGQGHGKGAISSLRNKTTDPLFFDKIEIVLYGMYSEQISESISRVDLESIMLVISTTLPCVFTVVQILHARRRPEAAAATSVTMLVVLALGYVAPLVVSSEALFLSRRRQFVPVPFRSYVPYELSQAMMRAPTLIALLLQLRLIQLALTARKRDTDRTRAEASSAAERRSLWLCLPLYLIGGALTIIFHAMNARREDSLTVSIGPEPATLWEDFVSSAGLALDAFLLPQIAMNAFSAGARIRALSPWFYVGGTVVRAMPHVYDVVRGWGYVPSMRASYVYTSPRFDRFGVGWDVVVPCVAAMLAVLLFLQQRQL >Dexi7B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:7B:2260206:2261273:-1 gene:Dexi7B01G0001280 transcript:Dexi7B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPGAGASSASSAPCNDEYDDFHWDDAAEVELQAIEAAYASAKRRRLPDWTSPSPSPSCRLRYSQSPVSGGSTPSWALTPPSFQGNVRARHQPISFSGKIVYCRRPAEVEKAAIDILSRIESIKAPGPENHHARLL >Dexi4B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:4B:823157:823572:1 gene:Dexi4B01G0001360 transcript:Dexi4B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVNIRAYVFDKNTSASSSPTPEYDSIFYFKPDGIDVLQQEAVDSLMTAKMAKDQVTPPYYDMLYNGSSISDVTLRLDGELVTEVNSRLNETRPMTSYYCEQLLVGGDSDDLKGRQDAAGKKLSYLGLIKLAS >Dexi2A01G0032430.1:cds pep primary_assembly:Fonio_CM05836:2A:42913773:42914913:-1 gene:Dexi2A01G0032430 transcript:Dexi2A01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANGLAIVGPVPFTDVCGARPDPVATMPNKPDGEDDSAAAAAAALPSGISRVLRCYQGAWLASEWVPGIVAIQQGSFVPRRGDVVLASQPKCGTTWLKALAFATMARGAYPPAHDAGHPLLRLNPHDCVPFIDMLFADGGGATGSRKMDALPEPKDMLVSLWQFTRKFDANLAFSDVFEHACEGVSFSGPIWDHVLGYWNASKKSPDTVLFLKYEEILRDPVESVKKLARFVGLPLSPAEEAAGVAEDIVRLCSFDKLKGLEVNKQAGSRYLFPNNSYFRRGEPGDWANHMTPEMARRLDAIMENKLQGSGLFFA >Dexi1B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:1B:25223120:25224960:1 gene:Dexi1B01G0019080 transcript:Dexi1B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAHQHQFILHAALDVVQDLAWTTNAMFLRSVDRFSDLVVSVYVTAGHILPIFLNPLYLPGSRITSSHFDTKVRALARKYL >Dexi3A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:3A:3770476:3771337:1 gene:Dexi3A01G0005840 transcript:Dexi3A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTTTLPLLMIQTTWCLVFLSLSTQSTSTAAAGRCAPHERDALLAFRASFTDGKYLSSWRGEDCCQWNGVHCSNLTRRVVELRIRSLRVANSSIGFRGGQMNSSLLGLQHLRSLDLSYNDFNGMPVPEFIGGLTNLRYLYLSYSNFGGRVPPQLGNLSRLLYLDLGFSNVNTYYASVSDIHSDDLTWLSRLTKLHYLDLTKVNLSTVVDWAHVVNKLPSLVTLKMRLCRLLEPIAYFFGIYPVSFILIWWAVASKG >Dexi5B01G0025380.1:cds pep primary_assembly:Fonio_CM05836:5B:27418296:27421717:1 gene:Dexi5B01G0025380 transcript:Dexi5B01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSGCIPAIPGAAPPARRGLLGGAFLQVSAARPRAGRCRATQHGRVRLGGRVVARAGAAETPVAGTDEDAGAAFSEKFPLRRCQTVEGKAWVRVDAEPDGDGKCKVVVGCDVAGRWILHWGVSYDGEQGSEWDQPPSEMRPTGSVPIKDYAIETPLEILPNSEGRYEVQIKFDKDTLIAAINFVLKEEETGAWFQHKGRDFRIPLSGSFDGGVPLGANQDIGVWPGDLGHLKKNQGSNAQPQETIPGGTGLSGKHISGFYQEFQIIKSEYTQNFVTVTVKRDNETHKRLVEFDTDIPGEVVIHWGVCRDNNMTWEIPPEPHPPTTKVFRQKALQTLLQVFRIICWRSKGTELTVLVKRKVK >Dexi7B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:7B:12084650:12085883:-1 gene:Dexi7B01G0005040 transcript:Dexi7B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVAPREPFVQSQACISARVDLKFSAEQKLPSRTVIQQQNDSYSVLIPGLPEDLAKICLALVPRSYFPVMGSVSKSWMAFIGSKEFISVRKEVGKLEEWIYVLTAGAGGQGSRWEVMGGLDQMKRILPLMPGPNKVGFGVVILDGKLFIMAGYAADHGKEFVSDEVYRYDACLNRWTVLAKMNIARRDFACAEVNAVIYVAGGFGPDGDSLSSVEAYIPEHNKWILIQSLRRPRWGCFACGFNGKLYVMGGRSSFNIGNSRSVDVYNPDDHCWEEIKRGCVMVTSHAVLDKRLFCLEWKNQRSIAVFNPADNSWQRVPVPLTGSSSTRFCLGVLSKKVLLFSLEEEPGYQTLMYDPAAPTGCEWQTSELKPSGSCLCSVTIEV >Dexi1A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:1A:14808403:14808759:-1 gene:Dexi1A01G0012900 transcript:Dexi1A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSGYTTLGSIIPNGLGVLFAVAQLVLYAIYYKSTQQIIEARKRKADQVAMTEVVVDATRASNNTGY >Dexi9A01G0044490.1:cds pep primary_assembly:Fonio_CM05836:9A:48098662:48100275:-1 gene:Dexi9A01G0044490 transcript:Dexi9A01G0044490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELASAVFLALLRAVARAPLPPHHPWIRAVGEEQSQELRIIVEAAASRRDRSLMSVANSAAGNNALRELFGAVAKNDGLCRRLFNCLVDEWIMGQRNGPELLQYLFTQLKYVYCSIFINRLALPRFADMVTSKFGWRCMLLCLQNAMSDVKQTEFLALKEAILRDTVGMAKSRYGNRFLQEILKGGYGILIKNPIRERVEQDLQELSTHPLGSNVVEACYVPDPEEPDLFLVQRGLDAFLGLTETQLVQLVPDSSASRVLCNLLGIGKDIEPLSAWARSMKLARRIEKVLGAADQGNPFVRQVMEVVREVLSRQQRR >Dexi2A01G0023520.1:cds pep primary_assembly:Fonio_CM05836:2A:35210595:35211032:-1 gene:Dexi2A01G0023520 transcript:Dexi2A01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLISFVYGTIKKRRRERRATEYNRLSSGGAPSTQWRSERYITSPTAYGGGGRSQSCRFVERPPADDFDLSHGERSLQLGGRATQEEGLPENERRLSRRFSSLRLFGFGRLPEKEPFPPAGDGRRLSSRSRRFSSLRLFG >Dexi2B01G0021440.1:cds pep primary_assembly:Fonio_CM05836:2B:31239915:31240459:1 gene:Dexi2B01G0021440 transcript:Dexi2B01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKVPQSYPPQGKSSSSPFSSQSFFFRGNSSQSFTSWNIEPSHSGRYPPPGAQYPPPQGPYYPPQQQPPPGYQGYFNDGQHPYGYPPPHGGHHHHGHHHHHDDHHHHHHHHEEDDCCLGFLKGW >Dexi1B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:1B:12138137:12138965:1 gene:Dexi1B01G0011720 transcript:Dexi1B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETKRLRTLPTPAAAAAAENAAVVVALVLGNIDILREILLLLDAPSHLVRAALVSKDWLRAAADPSFLRRFRARSPPRLLGFLASFASPPTNPPVEGAAFTRFVPLPHPPELDVAARLVDAAFNGGAGGQTKGLVVGCRNGRVLVKHGGYYGKRYSVRTPLRPSSAVGPTTVARPSVVPPQRVRDLMEPDVRCAQLELLPYPGVDDRRDALSGFGDLTNCSTDRRCISGREVDGLPTSRLPCTFPFQSMTTKNPTACWWGEGGYA >Dexi7B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:7B:3427289:3427967:-1 gene:Dexi7B01G0001990 transcript:Dexi7B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQGPAAPRALVVEDIKVDLVVLLRMLRKLNCEATVAHNGKEAVDLFLEGKTFDIVFFDKDMPVMTGPEAVTKIRAMGASEVKMVGVSADFGGLDAFMQAGADVFVPKPMKLETLDAMLQEVIDKNMSG >Dexi1A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:1A:4033448:4034339:1 gene:Dexi1A01G0005540 transcript:Dexi1A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLQCITVVDYYVPLLFLEVLVMLQNPNTQLEVIELLLKICSKAQNYEQQCKRLVLKYISLILVKGQKFLETNDVLAQNEIYCIEV >Dexi8B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:8B:3469756:3474264:-1 gene:Dexi8B01G0004010 transcript:Dexi8B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWKRKSSDKSPGESDSSGSVSSHSEPYFDDQEVKPVSSISSPNHSPSPEVSTRMMTGDETQEAETEKPLNEKILLATILNDSSPQHVQSPQPEVSPNVEDGDVQDSVKSLNEKVSPKVQDDDVQDSVKSLSEKLSSALMTINAKEELVKQHTRVAEEAVAGWEQAEAEVASLKQLLETASQKNTSLEDQVSHLDDALKECVRQLRQAREEQDKKIRDAVAKKSKQLESEKSDLQNHIAELSKQLEATKVEATAVHVQRNLQEKLRIVEKENKDLKFELLTLSKDLKVLARERDLGNQAAETASKLHLESVKKITRVEAECLKLRHITRRASLVNDSRPIANSACMESQTDSQSDSGERMLVVDTEMKNSDSWASTLIAELDQFKNGNSGPRNLVNNAVEIDLMDDFLEMEKLAALPEVDSVSSKSVDETDSDQSVTRDKSLKAETESLQRQVADLHGKVEKIEVEKRELEMALMDARDQLGTSCDALTVANNKLIDLQMQLDLANESKHAALGQVERMDGERKDFVLQLESKSAQVEELQLMVASLEEKVDRKDLELQLELISAEAADLRKMVASLEEKIDAEKTLSMQHKENADIAEASKELLEAQLQSANVEIGKLKGIVQNFESEMQNEKVSREGLVKQIETMKIESERSLSSVSAKDSLEAQLQIVNSEVAKLHGTVNALECDAAKEKAFSSDLQMQLEAVEGIRKVLESELESSHQETMKLREKVSSLETRLKDQTSLLVEFTAKSEDAVSRRKSMEGQLEAANLELIKLRNKVSLLQGKVEQEKLLSEEYEAKCRKMEAQLSKDSREAKLWRLANSNGDLKVKQEKDLTNAAGKLAECQKTIANLGRQLKSLTDLDDVASEPEKLESKDTHLDFRDGDDDLLLSVDMADGMYELGPPQRTGSHFSPIRPKPSSSPPQGSPVFSGSLTSFSSYLSKTKK >Dexi9A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:9A:2374678:2376777:-1 gene:Dexi9A01G0004440 transcript:Dexi9A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQTQFSGRPCVISVTWSKSLMGQGLSIGVDDLSGQCLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSGAKFGAGPEPVEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRSMLNAALVARKEHIYGKKIYSAKAQFCDNGQFHDIVIECDTIGLKDPCLEIRVDKKPLMQESDPSD >Dexi9B01G0023300.1:cds pep primary_assembly:Fonio_CM05836:9B:18367779:18369960:-1 gene:Dexi9B01G0023300 transcript:Dexi9B01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWGIRLKVAIGAAKGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYIATGRLSVKADVYSFGVVLLELLTGRRALDKSKPSSEQNLVEWARPYLRDKRRLYRIMDSKLGGQYPKKGAHAVAGIALQCIGINSKERPAMSEVVEKLEQLQDSKYNVAAPQVDTRRSSSSGSVPRSPMKAQPSPRRLSGSASPLPAAVGSPAAVGSPLPACRTAQVH >Dexi2A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:2A:131520:132643:-1 gene:Dexi2A01G0000200 transcript:Dexi2A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAIWKASSWAARTKKGYCDEVSAGSVAAKDTSGTRSSFTTTLRLVPLSTVESRLMSSTASWMAVAAELPMTESKVKRAPMVTLGGRAGRATARALHRHSTRRSRCRAISVQNSL >DexiUA01G0007420.1:cds pep primary_assembly:Fonio_CM05836:UA:14033119:14034948:1 gene:DexiUA01G0007420 transcript:DexiUA01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVPIPAPPPPPPPMPPSAVEEAEKEKRKNRRRPTRRSKQQQQQGAAPTAAPQGGPHADAAGPVSVRSMPPMHVGGGARADAEAEASAAGTSHSWPLLPTPRPAEALVPGADQHPLSPLRTGTASPPRYQFQILEKTARSPPPPRSDPPPPTAAAALRRTTSPPIPGKPTEDSNPSRSPSLRQGFATPIPPSAPARRLVDRSAPRLLACAVHAELLASWVLALLHAPLLLSDLFLSERCLAARLRSEI >Dexi5B01G0026750.1:cds pep primary_assembly:Fonio_CM05836:5B:28442939:28446196:1 gene:Dexi5B01G0026750 transcript:Dexi5B01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTCHAFRYLLAFLLLLLRGGLVRHAAAQQQQPGAEAGVLLQIKRAWGDPPVLAAWNGTATAASAHCTWPYVRCDKAGRVASLSLASVNVAGPFPDAIGNLSGLTHLDVSNNSIAGAFPTAIYRCTSLRYLDLSENYLGGELPADMGSRLAGNLTTLVLRGNNFNGTIPASLSSLGNLQHLKLDNNRFTGTIPAELGALTGLQELWLANNPFEPGELPDSFKNLTNMTSLWAQQCNLVGVFPSYVGEMLELELLDLSINMLTGSIPPKVWSLNKLQQLTVYRNNLTGNVAVDGFAAMGLTIIDVSENNLTGVVPEVFGQLENLTKLYLFDNKFYGEIPASIGRLPSLRILRLTGNRFTGTLPPELGKHSALIYVEADDNELAGAIPEGLCAKGQLWTFTAKDNYLNGSIPEGLANCTTLLSLQLDSNQLSGEVPQALWTVTHIETVLLHNNRLTGRLPATLYSNLSIVNIESNQFSGSIPATAAALQVFIADNNQFSGELPDSLGDGMPLLDNLNLSDGWKTTPFVQGLGFGEASILRGLVEENLIGRGGSGRVYRVTYTHRLNGRVSAVAVKHIRVAAGTPDEKVEREFESEASVLGGVRHNNIVRLLCCLSGAEARLLVYDYMDNGSLDRWLHGDGGGHLTARPPLDWMTRLRVAVGAAQGLCYMHHECSPPIVHRDVKASNILLDSEFRAKVADFGLARTLAQAGASETMSAVAGSFGYMAPECAYTKRVDEKVDVYSFGVVLLELITGKEANDGGEYGNLAEWARHHYRSGGSIPDATDRSIRYAGYSEEIEIVFRLAVQCTGDLPSSRPTMKAVLQILLICSEQTHKKSKTELGLEYEAAPLLLPLSNGTEIDVEDNSDFDSIT >Dexi6A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:6A:7991561:7992711:-1 gene:Dexi6A01G0007920 transcript:Dexi6A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNIKRKDAEVASHGFSIFLDPKRIKLQASDAYALLVVKMPFACNDLVQIPEMMEEEKPLAYPDTAAPPPANLPIPLLSTPTQGQEAAAHGITVSTETGDRSSETPLAADQAAPMDIEDDVRQTQPGQHPQFWSGFF >Dexi4A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:4A:4893268:4894819:-1 gene:Dexi4A01G0006810 transcript:Dexi4A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSKEFISSRLPSFASVQETNLAASGFKTQKQEQNPMCNVPIPTFSAGNQMAVTATQGAQIPQKMPSWVNENKSECPVSRPSDAQTQTNSAANGVGVKPRVRARRGQATDPHSIAERLRREKISDRMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLTESQSEVPNLLISSNFHES >Dexi4A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:4A:4093347:4093886:1 gene:Dexi4A01G0005680 transcript:Dexi4A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPRPCALLRLPTAPQQGQCLGGSSQATTTFPLCSPKYKYPTDDGHYYAEITGMQVGEEAVQTLQSSASGSRQRSCRRSRSSTRGPAAAYPRHIAAPRRRARAAVAPPHGRPQYHPGRRSTAPTADLDSPLLPGGNTGGLLPAAAELVAPRRRRRQLACHSHGARIIVSYYFRVTQ >Dexi6B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:6B:9466719:9469600:-1 gene:Dexi6B01G0007870 transcript:Dexi6B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIALSSSEADSVPISGITVEKSVEFSYTELFDATEGFSMSNKIGQGGFGVVYYADLRGMVSSNILQWPDNKKAANKKMDMQATHEFLAELKVLTHVHHLNLAHEVSIKLELGLFIGSGNKVRIIGYCIESSLFIVYEYIDNGNLSQHLRGTGGYEPLSWARRVQIALDSARGLEYIHEHTVPVYIQRDVKSANILIDKNYWAKVRIVHGFLVADFGMTKLVEVGNTSLPTRGIVGTFGYMSPEYGQYGDVFPKVDVYAFGVVIYELISAKEAIMRSAESCSDSKGLIYLFEDALSTPDRKEGLQRLLDPTLGEDCPIDSVLEMTVLARACTQLDPKSRPTMRSVVVALMTLIVKMSDRVL >Dexi5A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:5A:1776636:1778062:1 gene:Dexi5A01G0002580 transcript:Dexi5A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHASSFLCLLLAAVAGSLLAQAQGGLFPTPASTNVSASWTVSLKATGHGGSQQSFGYMDGMSVSIFLLQSVDGSGGGLSFSACFYCTDTCSDFYFGVCILQTDSGGFLTWPNAGTLQVVWSANRGHPVSENATLTFAATGDLLLQDTDGSFVWSTNTSGQSVAGMTVTKSGDLVLFDGKNTPVWQSFSHPTDCLLPGQQLTEGMKLTPNASATNWTANNQLYVTVRADGLYAFVESSPPQLYHQKTVPNSGNNRKTYMTMANDSLAIVASSSSANVSTLPTHSGINMTAGGMMYIRFQSDGHLKLYQYNGIEGWVMTQDILQGQVDDCAYPTVCGQYGICDNGKCTCPINSTGTYFKQIDDRRINLGCAPVTPISCAATAMQNHQLLALSNVSYFNYVDSKAALPQMIDEESCKKACLQNCSCKAAFFQYGGSDCTVTP >Dexi8A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:8A:28796375:28797163:1 gene:Dexi8A01G0017080 transcript:Dexi8A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKETVAILPEDLLEVVLGRLPARSLVASQCVCKAWRDLVDERLMRRRPPPGERPLHQLRDAPPATLLRPAAGGCGRDVRVQPHHVAVDPPAPALRRAQLGPKEQCRRTYYKVLLEPKEPSKKNDVEENDDEDDRRRFMEWPPSPWTWQEFSSATGRWEMKVFVREGDAAGTDGDLLFESVIYGGIEPRWRYAAYWQGQLYVHCHGEYVSRYVMK >Dexi3B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:3B:11480550:11481302:-1 gene:Dexi3B01G0015860 transcript:Dexi3B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPAPPPLTPRASAHAAPPEAAVRYHECLRNHAAALGGHVVDGCGEFMPGAGTGDDALKCAACGCHRSFHRKDDGHRRHQLLLPAPAPPPPPPVTPTPSRVPLLLPPPHPYAAAGSSHPHYAPLFPYHGTPSGSGGTTTESSSEERGPPHAAAAAQGHLRRKRFRTKFTPEQKEQMLAFAERLGWRMQKQDEALVQQFCEQVFKVWMHNNKHSGSGSRRQPPQLPLQEQQSQQQPQPPPQQQ >Dexi5B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:5B:6405318:6413200:1 gene:Dexi5B01G0009390 transcript:Dexi5B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALALQWQLQLPLPLQSRPPALAAAHRRQQRRLLAPVRHAPPLRARCCAGAADDAGKTQAAARRAYPYDEIEPRWQRYWEEHRTFRTPDIGEGLDTSRPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNIARFRTQDSVFMFLVLHLWFSMIFRLALLSYNQAEVPVNWCPALGTVLANEEVVDGVSERGGYPVIRKPMRQWMLKITSYADRLLEDLNELDWPESIKEMQRNWIGRSKGAELVFSAVDKEGHDLGATLLVYTTRPDTIFGATYLVVAPEHVLLSSLTSEEQRAHVDEYRELAARKSELERTDLQKEKTGVFSGSYAKNPANGEMIPIWVADYVLGSYGTGAIMAVPAHDSRDHEFAVKYELPIIKVVSPPNGSCDPAEAYADDGIMINSSSTSSGLDINGMLSQDAAKKVIAWVESNGFGKKKVNYKLRDWLFARQRYWGEPFPVSYLDDTNEMVPLSEDELPLTLPELDDFTPTGTGEPPLTKATNWVRTIDPLSGKPARRETSTMPQWAGSCWYYLRFMDPENSSILVDKAKERYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDMGVVSTKEPFKCLINQGLILGEVEYTAYRDSKGRWVSADSDSSLIDCYQEKVPADKVTKVGDHYVLKDDPNIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGPPLPDGSYNDGTMTTEDEPTLDQLRILHKCIARVTEEINETRFNTAISAMMEFVNAAYKWETQPQSVTESFVLLLSPFAPHLAEELWFRLGHRQSLAYEQFPEAKSEYLEESRVVLPVQINGKTRGTILVDKACSEDDVFQIAASDEKLSKYLAGKGIRKRIYVPGRILNVILDQQKART >DexiUA01G0024560.1:cds pep primary_assembly:Fonio_CM05836:UA:51123577:51123756:-1 gene:DexiUA01G0024560 transcript:DexiUA01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSCSLQCRHAAAAGASIRMVPGCLPRSLTQGANAGNRSKPPTRAAVLASS >Dexi9B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:9B:1539477:1540063:1 gene:Dexi9B01G0002740 transcript:Dexi9B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQVLFITFALLAVLAQSSDRHHHHHSHVQSKGHGGGRGGGGGELASRAKAAARAWPCCDSCGGCTKSEPARCQCLDAAPRGCHPACQDCVKSSLSVDPPVYQCMDRVPNFCLRRCTAAAAAH >Dexi8A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:8A:24497716:24501667:1 gene:Dexi8A01G0014140 transcript:Dexi8A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCIAGSRLRFFCLKENKQQQRSRAPLTPPRSETPEARSPRSFQKLLHGVHQGVLQPVVAALPRHHHLLQVILRPLFGCPCRPAPAARFLMFAAACRMNRIDFEEVTVDLFKAEHRTPEFKKINPMGQVPAIVDGRFKLFESHAILRYLASVFPGVADHWYPADLFTRAKIDSILDWHHSNLRRGAATFVLHTALAPFFGLTPCPSTVKQAENLLERSLAKIESVWLKGKAKFLLGSLQPSIADLSLVCEIMQLEVLGDDMRDRLLGGHEKILNWINNVKKATSPHFEEGHIFLFQMKAQMQSKTAETAAAPTANHGGSEPISKLKISSRL >Dexi2B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:2B:26303063:26307233:-1 gene:Dexi2B01G0016130 transcript:Dexi2B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVVAEADLADPNPDVEDLFQHYDRLYFQGALADAGFVVKWGSPQASRLGFSRSRPPPTCGHAGAASPGASVLVLLFQAAALRAVRLWASRGLRPDGGYNITTRHDFSPEEPHSLKGSLWKCESCGDTLLRATNQGPPSDACCIENVDSAASCGNMLCHWHKVLHLMPAALRTLTALPPVGTCFVTGTSAQLLLTYPLEMSKSEGAIQVYSSSALQVRTTEPNAEDKHLSLVSGSNGKLPGSSSSKKAGKRRRPEVVMETSIVLAESRRKAKEKQGIAAKDDLLSLVSGTSAKSPRTRNQSKLFKAAKQHKSEDVQKPSGLPASPQGKQNWKHGLVATEKSVEGYNDAPSSGSDGSNKAGKRHKRADVQKPSVEPSAPLGTPKLHHALVATEKNKLSSAKGCNDAKSPGRNTSKKAGEQHEPQITITACSQPAYSQERLKQDTVAPEKKELPPAMCCSNEKLMDKRSSKKAHRQHESKNQRKGKLKTKPTREKEYAVMSLMLDYYESDRSSGSTEPLVNKRTERIRRERERERERARARIQTYSRSKKINSAQFVCSRTDASTSSHRIKLSPCKDELMEYWPPAPCSDAAVRTTANQVVATPATGGDHSQPSAPCLDIIPLKPADPPSLTPPDPSTTPDIIDISDDDSP >Dexi3B01G0036580.1:cds pep primary_assembly:Fonio_CM05836:3B:39448105:39456677:1 gene:Dexi3B01G0036580 transcript:Dexi3B01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDGASAAAKNETYVRADKIDLESLDIQLEKQLAKTWEKHKGNPVQGPREDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVHSLMVYFSEQAIAHSVFLMISTVKLLDWGEDGYATENETAALRASFKQEVAVWHELSHPNVTKFVGASMGTTDLKIPANSSNTGGRTELPPRACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQIALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLEGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAMANIMRKCWDANPDKRPDMDEVVRLLEALDTTKGGGMIPEGQTGGCLCFFRARGP >Dexi7A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:7A:1690526:1691563:-1 gene:Dexi7A01G0000670 transcript:Dexi7A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSSGAAAVVPHTATDVLAYHVAVLRDKVQQLEPLVSMFLSPPNPHHHHSRYEATSMVAHNACSVLQEITAAATTMAHRLSFNRASNEHLFSAATGAAETLPFFGHEPTALFSCNGASTSTAAHPQEAVSFSIQAASTSGHHTNTGELGVAGADVSATVVELDASYLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTSAALSNPSSSSTAAAAATARRTYSCPAEGCRWNMRHPRFQPLKSVVCAKNHYRRSHCPKVYACRRCDGKQFAVLSDLRTHEKHCGELRWRCTCGTFFSRKDKLMGHVALFATGHAPVLETVAPRGDRDRQSNSLGHGLLPPA >Dexi7A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:7A:17903472:17908488:1 gene:Dexi7A01G0006530 transcript:Dexi7A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALLLAAALLLLLLAARPPPAAIAAGSRCMNTWKTGAFKITYIVISPSKHLLPWKNRIQVAIDVANALEYLHFYCDPPLYHGDVRPSNVFLDKNYLAKLAGCGLVHRPSSGNTTPSSTSTPVNVKIQATPGYVDPEYVVTQEVTPKSDVYSYGVLLLELVTGKPVIQGNNRSLVEWSRELIGTDYRLHELVDPAVADAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILHERLDPLSGRFSRAVEGEEGYYYCGGVGGRVAKGKQAGAGGEVMIQFSGEAARSWLPSSSSTSRSHCSRSVLLECNSPEQQLSSPPAHGNGAFLA >Dexi1A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:1A:3535342:3536724:-1 gene:Dexi1A01G0004870 transcript:Dexi1A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRSILMDRYEIGRQLGQGNFAKVYYARNLTSTQAVAIKVIDKDKITKVGLIVQIKREISIMRLVRHPNILQLFEVLASKSKIYFVLEYAKGGELFNKISKGKFSEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNDNLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGSKADIWSCGVILFVLVAGHLPFHDPNLIEMYRKISKAEYRCPRFFSGELRDLLFRMLDPDPSTRISISRIKRSNWYRKPFESNAPRTKNDTARDKVCNGEATTSDSIGCSNSEENQGSSSLPNLNAFDIISLSTGFDLSNLFEEKYGRKEERFTTRQPAGIVFAKLKELAERLKLKIKKKENGVMKLAAPKEGIKGCLELDAEVFEFAPSFLLVELKKTNGDTIEYQKLVKDEIRPALKDVVWAWQSDRKQQREQIMQGELQQSPLPQQQ >Dexi7A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:7A:27902367:27903627:-1 gene:Dexi7A01G0018500 transcript:Dexi7A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKHTLKVLPWLLLFAQLAVASTSNCTNATAGTTTDKRGAMKLKMIAIASILTAGAAGVLVPVLGRSMAALNPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLFKGGSDGSIFPFAALIAMSAAMATMVIDSLAAGYYRRSHFKKARPIDNLEILEQPGDEERTGHTQHAHVQADVISSPEEASIAEKIRHRVVSQA >Dexi1A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:1A:448139:449047:1 gene:Dexi1A01G0000710 transcript:Dexi1A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQEDSARRPKGAAPSSNLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQARPPELEEKLNKSRTKKTKRDTAAADPDLPRRDAKRRRRAASTQNVSVLNLTDEVVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLSTLKNDKIKNPDKKKDIEQLLNPIPNQLFDQLVSIGKLITDFQDPAAADGAGAPSGDAMDTTLDDDVGVAVEFEESDEDEESDFDQVTHKKTFAS >Dexi3A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:3A:7683258:7684813:1 gene:Dexi3A01G0010730 transcript:Dexi3A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAMALTFTMRRREPELVGPAVPTPHDTKRLSDIDDQETVRSQAPSMFFYRGGPHAADDSAGVIRRALGEALVPYYPIAGRLREVEGRKLVVDCTGEGVMFVEADADVRLAALEAAGLTPPFPCADQLLFDVEGSGGILNCPLLLIQVTRLLCGGFVFALRLNHAICDAIGITQFLTAVSELARGLPAPTVAPSWSRELLEARNPPRPTFPHHKVFDAAPPTAAPPPPPPVDMATRTFTFLPSNIDALRKRLPPNLRDTATTFELLAAALWRARTAALELSGDEHVRLMFICNIRGMPELGLPAGYYGNAAAPTAALVTVEALLAGTLGDTVELVRKAKAAVTVDYVRSILDLLVLRGRPYVAVTNLFVVSDNRRTGFHGVDFGWGPPVFDGPTSAMFGGSYVLGVRNGGDGENNAAALPIMLPRPAMDRFASELEKLLKVV >Dexi9B01G0036760.1:cds pep primary_assembly:Fonio_CM05836:9B:38226513:38227356:1 gene:Dexi9B01G0036760 transcript:Dexi9B01G0036760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITELITSSSGWYTASTSNPPRRMSSRSTMGYRNPRAPCALLTTSAAPSAMCLPRCATTLALSSAAIPTSAARKTTSYFPSSSPVRLATSAVWNDMRAFRSVSARTSFRARSLASAHRSWRDASTSELNGKGPDPTNATRDGVVRDVADEEVVLELAQVDVVAVVREEAEVVERVVEGGEHVGVVSLEVALGVGAEADELLPHPLGVRGELGHVDGAWWDAGRDELGEERVDSGGRAQRRQLGDGGVEAGDLLDQGSNLHVLGLHE >Dexi9A01G0039010.1:cds pep primary_assembly:Fonio_CM05836:9A:43106577:43107473:1 gene:Dexi9A01G0039010 transcript:Dexi9A01G0039010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAGGLRKGSDCRDAGRLALRSGGCGLWVRGSAIWNRKYLSQQLYLRVWTPQQSFSNEYNILTKAILCGRAADLPH >Dexi4B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:4B:3420744:3421504:-1 gene:Dexi4B01G0004760 transcript:Dexi4B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIIWLEVQRRYLMLLQVWVQEWTISVDGIGYLVLVTMVIQQAISNLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANNTIYLNGAVVPRVRYAQASPSLEQNQPDGSVLVAPPTLRAFTIADLSEGRVEKISEVWVLVSGARTELGEEVVLYNHPREHM >Dexi3A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:3A:16268385:16270828:-1 gene:Dexi3A01G0020480 transcript:Dexi3A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDELLKSVFPGGVDPDVSVAGKPDPASNLQRQGSIVMPPQLSRKTVDEVWKGIQDGPETSATEGGRHRRERQPTLGEMTLEDFLVKAGVVPEGLLRDSDDLPGNMDIVGSNVMLPVTSSLNPGAQWLPQYQQQALEPQQTSLVGSYMASQLAPQPLSVATGAMLDSVYSDGQITSPTLGSFSDPQTPGRKRSASGEVVDKVLSGEVVDKAYTNELENKVSLLEEENERLKKQKVTVAR >Dexi5B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:5B:936984:938664:1 gene:Dexi5B01G0001450 transcript:Dexi5B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQEFQIEKLQLVEAEKKKVRQEYDRKEKQVGIKKKIDYSMQLNASRIKVLQAQDDLVTNMMESARKELLYISRDHQTYKKLLRILIVQSLLRLKEPAVLLRCRKEDLELVDSVLVSARNDSGGVVLASRDGKIVCENTLDARLQVVFRKKLPVVSNTFFHPPKPFRAGSCITNS >Dexi9A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:9A:8006449:8007381:-1 gene:Dexi9A01G0012530 transcript:Dexi9A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLILVLMFLGHLPTWIAAATPANRGDAAAMLALANSTGTASPLGWGVKSSDPCDGTWAGVTCNAVGRVTSIHASHAGLAGVLHASDLGNLTFLAELDLSFNSLTTPTGDLPLLSSPLQHLRSLDLRNNSFYGIPEGFFAGFPNIETIALDDNPMISQIRPDVLTCSRLRSFSANNIRLLIRFPYYFGSTLVFPALERLSLAGNEITGTVPPGFGNHSKIKYLDISRQKDSLEPGSSLMGRMDFISGMESLVEVRMDHDGFVGPLPDTSKLVNLRVFSAAGNKLCGVPKFAGSVAVNLDGNPDVGSPC >Dexi3A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:3A:2887985:2888314:-1 gene:Dexi3A01G0004400 transcript:Dexi3A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVGSPPTWRAVAAAAVALWLLPVVLALALLWLPLLCCAVAALRFRRVRRRRMLRGCCGGGGWREEEQVMVEDGGGDRLRLLHQYLDDQMELVGGAAGQLDPAQR >Dexi3B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:3B:12542259:12549128:1 gene:Dexi3B01G0017100 transcript:Dexi3B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSASNLVPGVRVFISHFVNDASPVPPLPRLPRLSRRRNRCFSPAPAPAPAPAAATASRCSRVILVKERAAAQEYLATYLGCLIPYGMTKCGVAGLGIMDLFAVDSDSESYTGTSDSEDQEECGFTYSDHAQNILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIIKDVNSKKLSRVRSFVSGDTVVMGPWIGRVISAYDLVTVLFSDGAKCEMQLRDSEALKPIPPLLFEDAPYFYYPGQRVRIVQPSVFKSATWLCGSWRPSRDEGVVSRVDVGLVHVNWITSVTNVWGDKSSSPPNFQDPKKLTLLSCFPYANWQLGDWCALTADNNGCLWMDSGKPCILSEAWKCDSHGHMGLQSYSSGCSQTYVVSKTKSTVDVLWQNGSVSLGLEPQTLVPVSTLGDYDFWPGQFVLEKLAVEDSARYQRTGIVRDVDALERTVHVTWISPAGSDTNSYGSDPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIDKSEADLTNGPLASSESLVTHSDFLSCIGNVLGYKDDGIEVQWANGVISKVHHFEIIGLDKLLDGSLESMNEEQATGDSVDMTEPEEMQHDNANIVLESTEDCTGSLCKATAFLFPRTAFDFLTNVAASLFGTHGSRSPSSVTRGWVKKVQQEWTILQNDLPDGIHVRVFEERMDLLRSCIVGAAGTPYHDNLFFFDIFFPPDYPHEPPAVHYHSGGLRLNPNLYESGKVCLSLLKTWTGTGNEVWNPENSSVLQLLLSLQALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYILHKPPKHFEKLVKEHFTCRAPHILDACEAYLGGDLVGHAHNKAYISEDGSKSCSTGFKIMLGKLLPKLAAAFSEAGITRA >Dexi5B01G0024800.1:cds pep primary_assembly:Fonio_CM05836:5B:26823139:26824680:1 gene:Dexi5B01G0024800 transcript:Dexi5B01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGELKKPAAMEVEGAEAASKPRFRMPVDSDNKATEFWLFSFARPHMSAFHLSWFSFFCCFLSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARVAMGTACDLVGPRLASASIILLTTPAVYCSSIITSASSYLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLLMPLVYDAILKVGSTPFTAWRVAFFIPGVLQTCSAIAVLALGQDMPGGNYRKLHKSGDMHRDSFLNVLRHAVTNYRGWILALTYGYCFGVELAVDNIIAQYFYDRFGVKLRTAGFIAASFGMANIVSRPGGGLLSDWLSSRFGMRGRLWGLWVVQTIGGVLCVTLGVVDYSFGASVGVMILFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQLIFFHGSKYTTETGIKYMGLMILACTLPITLIYFPQWGGMFVGPRPGATAEEYYNGEWTEEERAKGYNAAVVRFAENSVREGGRSSGSQSKHTVPVEV >Dexi5A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:5A:25336206:25336536:-1 gene:Dexi5A01G0021530 transcript:Dexi5A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVVKVAGAAAQAKEPAPKLSETATKPSAAKSGGVKKAEQKPRETKKKVKSSKAAAAKK >Dexi5B01G0022920.1:cds pep primary_assembly:Fonio_CM05836:5B:25179077:25180193:1 gene:Dexi5B01G0022920 transcript:Dexi5B01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVQVDDKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRVTFENAERWLRELRDHTDANIVVMLVGNKADLRHLRAVSPEDAAAFAERHGTFSMETSALDATNVERAFAEVLRQINHVVSRNALDIGEDPAAPPRGKTIDVGAAKDEVSPVNSGGCCSA >Dexi9B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:9B:9944354:9947015:-1 gene:Dexi9B01G0014850 transcript:Dexi9B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAARSPSPGPAARPCCVLRRSADSSPFRPAASPPGSPRRSSSVCRNGGGGGRASPRPSGAEKENDPRDVAPAARTHKVRSSGGCGGGGVAKSFMAPTFSAASKAVGPSASPRKKILGERNDPVPSSPGDLAHGTKPSRGPPPPEAALGAPRRLRLSLDGEPAPPPAAAPVASSHAARHSFGGQEEVEDPAWKSHQEMGSAAAPYDPKTNYLSPRPRFLRYKPNPRVEMYRQSDGGCVRPLEDDFASESSREEADTATTTEDDGSEAEQETTLSSAPHAFTEETSALAPAPEARAAPAALVVAGVLQPHPAPDSPLDRVEQESPRSGGLLTPEREHAGSPAPARSRKKKRSSLRFLLAPLALVLFMAAALVCVPPPPGSPVMLNTSLSKVSEFLSVQELHPVELAARLKQWSSSSLDFVTSYWEAFTSSQEHGVFGPHFAANLSAAPADIDADHAVGFYYGAAQTGLISVEQELEIQDFVSESRTEMIAEEMTNIGDAEVEEPIDDAEMEHESAVLSVTEEANVSGNSEEEEFNAEMVEDVSGSSAEEMAEEVSGSGSEEMAVSIQDSDTPSQSAAYLEQEEDMAKPSLQQDVQIEDSEGDHADGKEDQQGHLGGKLGSDMWPSYLDRISNPAALGAALAAIIIPAALAVVYLRQKQARVALDSNEPAEQVEQVEQVEQFEQVKYLYGSGSSEGHVVSKSSQFQYPVVGETEKLGGSGASQYSSSLSSGLGRRRKAREEASLGLEPEVSRRDSTAQSIASYGSFTTYEKIPAKKKGNKEDEAMTPVRRSRRNIKTPEA >Dexi4B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:4B:7809572:7810488:-1 gene:Dexi4B01G0010460 transcript:Dexi4B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTISSHPSEASSSGALSPTTYQLYGEVWLPAGETVSGYGVLQFLQQMYLQKLGAGPSCRTNSSNGGCPRRP >Dexi9A01G0036280.1:cds pep primary_assembly:Fonio_CM05836:9A:40775617:40777631:1 gene:Dexi9A01G0036280 transcript:Dexi9A01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSDFNAVILKRLFMSKTNRPPLSLRRLVKFMEGKENQIAVIVGTVTDDKRVYEVPALKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Dexi8A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:8A:20591079:20592859:1 gene:Dexi8A01G0011620 transcript:Dexi8A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGRRAWVVDVEKTLVDADASVEVSRWQRHSIYRVPACIKDLRPKAYKPQVVSLGPFHHGDPELVSMEEHKCRALRHLLRRAKKPLEEFAAAVEEVADELASAYLDLGAEWREGEGRERFLEMMIVDGCFLLEVMRATGLDRTAKNTGDYAPNDPIFSHHGVLYMVPYIRRDMLMLENQLPLLLLERLVAVETSKPPNNDVINRMILRFMSTSPRLPLSGASLGLHALDLHRRNMLYGHYQPPHWRSGDVPEADIIRSAVELYEAGIRFKKSHSESLHDIRFRHGVLSMPAVTVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEDDSALDAVHREVNAYCRKPWNMWRANLVHTYFRSPWAFMSLAAAIFLLVMTIMQTVYTVLSYYQQSNGNDNSSVAPAPM >Dexi4B01G0022010.1:cds pep primary_assembly:Fonio_CM05836:4B:23792460:23793832:1 gene:Dexi4B01G0022010 transcript:Dexi4B01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISHFFGYSKYRRSTSQYRWDTWRCTIGGQPWPKRPTSRYETVEEPGVRPEIRWPAAFNHRTPPPSSPANARRGTHARYAAIIRFAGQPAQLPNVTSSSLNA >Dexi7A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:7A:28115752:28117831:-1 gene:Dexi7A01G0018830 transcript:Dexi7A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQNEAGELAAACGGASREIVADEAAPVQDGAAEQQREDGDNVPAMEVAAEEVVGEEAETTADSAISRSTGEEGEEGDMAANVDTTVPVPWRCACMRRMQGVPPPPPTALPVAEAGKRKRDVVDPAEDDGSSSSEEEEEEAVVAAAAAAPEEWPTAPLTPRPLQRLLDACRTFFGVPGAPPMSVIVHCIRGIMDTIGPNDVGVRDDVRFFNRMNIRRLQNPPIITTKTIYECNNFKIAAFFIPYGGVMPLHDHPSMTVFSKVIIGAARMKAYDWVLPRVLWRSGPWMLAEKVRDHVVTSALPTWVLFPDAGGNMHRFVAEEVDHCMFLDVLTPPYAPAEQRRCAYYEEHSSGELSKYI >Dexi9A01G0035240.1:cds pep primary_assembly:Fonio_CM05836:9A:39862766:39866780:-1 gene:Dexi9A01G0035240 transcript:Dexi9A01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRLAEAVDLIRRWSDEARVDHRGGQERAGVDAYRCGDQGGGGAHRVEAGSSLSALPPRKPRSSVTDASFTGGGGGGGGGGSGLGKDTRGRRLEGAGSRGARAAMGEDLASLLSSASSVAGGELPSQAAGGLYAAKVCVMGMAEWV >Dexi9B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:9B:9838146:9838883:1 gene:Dexi9B01G0014720 transcript:Dexi9B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRNILVVLVLVLVLLAVAAAPGAAAAAAPAPVRGCRADVLAPNVALFCGGGLPAEYCCQALSHSAIVGGGALCLCRLASEAPLVSAALNATSLLRLYAACSGTFGHAGGGASSSYPPAPSPSACDGEGHVPAAAPPSADSTGCATAVLADQMNLFCRGAGGSSSSTTTSPTWPCCEAVVGSGRRGRDGVPCFCHVPQATGGGFGVGRISRIYAACVASGPGEGLHISGNMCKGPPHGDGNIV >Dexi4B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:4B:3451637:3453011:-1 gene:Dexi4B01G0004810 transcript:Dexi4B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLCAVVPLYVAMILAYGSVRWWGILTPDQCSGINRFVAVFAVPLLSFHCIASTDPYAMNLRFVAADTLQKVLVLAILAAWSYLPFRRAGAALDWSITFFSISTLPNTLIMGIPLLAAMYGRYSGDLLVQVVVLQCIVWYTLLLVLFELRAASALIAAAAPPDTVAAAAAASIAGVHVDADVVSLAGSHAEAQAEVGPDGRTHLVVRRSTSVSRRRSLATAATPRPSNLTGVEIYSVSSSRGDDDDDAIPRVSCSFGRADIIVSGAGAASTRMSSFGAADLFSLHSSRQHTPRPSNFDDRARSAAAVAPSHDDDEHAFEWSSGGSEVAGLPVFRGAGDHRAMATRVGERVAGGGLKAEAVDDALAKLESGGSTEKKEAAAAGGKQAAPAGVMMRLIVTMVWRRLMRNPNTYASIVGLAWSLISFRALLL >Dexi2B01G0027000.1:cds pep primary_assembly:Fonio_CM05836:2B:35990941:35992369:1 gene:Dexi2B01G0027000 transcript:Dexi2B01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSVTASPSYAKAVDTYKKAVAVAAATTVTAYTALARSMSRELLPDELRATARWAASVLRGRFLLPRQNKPRAKTIFIAQFVDVEGGRVENRLYHDARAYLATRIDPDAMDQLCLTACSDQLLPHPHQQQRQVASMVPGDSMTDVFEGVEFTWLLTTVSAAVRRRGDEEIDGGDYGVRAYRGGGGDGPQALALSFDAEHMEVALRRYVPSIVAEIQETRRRERTLSIFMNKDTSSWEGFNHPATSSWQGFNHNHPATFDTVALDPALKQSIVDDLDRFLGRRDYYRRIGKAWKRGYLLYGPPGTGNDVPDFYK >Dexi9A01G0043430.1:cds pep primary_assembly:Fonio_CM05836:9A:46893240:46896729:1 gene:Dexi9A01G0043430 transcript:Dexi9A01G0043430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRALLGAPCSSLAAGARRLAFASPQPRALVPALRRRVPGRCVTSVSSSPGAASAPEPYVLTTPLYYVNAPPHMGSAYTTIAADAIARFQASLLLVRLQSLMLMLLLWLQRLLGKKVIFITGTDEHGEKIATSAEASGRNPKEHCDAISSSYKILWDDLDIEYDKFIRTTDHKHEAVVNEFYSRVLNSGDIYRADYEGLYCVSCEEYKVQGWIKSGLRDFSISRASVDWGIPVPNDTKQTIYVWFDALLGYISALIDDGEQASLQQAIDCGWPASLHLIGKVCSKHFL >Dexi7A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:7A:21346400:21357484:-1 gene:Dexi7A01G0010620 transcript:Dexi7A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQRGRSPRYGGGSAQQQQQQFPFVVDPTEAGAGVRAFFPAHGGEPPPPPSSGGHRYHQFGVEGKHHHQDGAGTSAAAATLLPRHSSSPPGFFSSPVVMHNNGFSSARAGVGGDDVHHAMSSYHKKMKSPVNLAGQGTLSHISEDGIPGLNSTVHGIGHSEENITANSVARSFSTGFSIGPWEDSNSIVFSNPASKAGIHNDEDIIASLSNNYELQFGGMKEAAGMDKYLQMQQDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTADMLDLAVDHIRGLQSELQVCALYPSCYKHTTCKPATVCNRAALNFPIRSQSLKEDKEKCTCHGNYPSRR >Dexi6A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:6A:125000:126264:1 gene:Dexi6A01G0000170 transcript:Dexi6A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRRRPQHRQHQQYFRFIHSDLWPWRETGITREAVERARAKAAFRLVVVDGRAYVETYHRVFQTRDTFTQWGIAQLLARYPGRVPDLDLMFNCEDMPEVKTTTTTAIMMPLFRYCKDGSTVDIVFPDWSFWGWPEVNIRPWTPLLDEMAANMARLPWPRREPYAYWKGNPGVSPERRDLLRCNKTWFKAHLFAQNWGAAIRAGFKGSNLAEQCRYRYKIYVRGRSWSVSEKYILACDSPVLLVDTPFQDFFSRGLVAGKHYWPIDPKRKCHAIRAAVDWGNAHPDEALQMAQQGSGFARDDLSMDYVYDYMLHLLTQYAALLRYKPTVPEKAVELSAEALACPAQGRVRDFMMQSRERFVAGYDPCVLPPPFTADELSQMATREQEVRAKVRKITTMDMDHHK >Dexi5B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:5B:1033218:1033607:-1 gene:Dexi5B01G0001610 transcript:Dexi5B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCMAPIITPVSPGGEAAAALRESLLQRPPAATATTSSRREAIEQLRERVEGLRRELDAANDGAEAAEASTRHAERREREAAAELHATARTSKMQGEKLRELEDELRYKDGRIKVLEAIVRTMTTKKR >Dexi9A01G0036120.1:cds pep primary_assembly:Fonio_CM05836:9A:40659110:40660473:-1 gene:Dexi9A01G0036120 transcript:Dexi9A01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKSSCSVMSTVSTSAGGSHSISLTRLPTKNSTCSNPSVYPGHIRRPAPKGIILISLLPVHVAPLAAVQEPLRPELHRRLPHLTVAAEPGEQHVHLGALGHPVAVDLRVVALHAVREEEVRRRVQPETLQHHGLEVRRLLEVPVAELRLRFHLLVHLGLHIRVVHELRHDPLQQSQRRVRAGVEELGAEADHLGVRERAAALVGHAQRQDGVRVARRVLAAARGGAACVDEREEDLVLPAAHGGVRLPPLAEEPLGDRREESEDGEAALDLVEHLRAQPGAEAHVHEQLEHGAPERRPHRDGGDRSVASAAASAEEEEVGHERVPRRPPRRLVEADAGGVERLGGEVAADEAPVGAVGRGADVARAGGEEAPRLGEWRAIGEGGAALDEDAVRHTAVCDEDERAEEADGDDGAVAGMQLEEERAEVKDGSPERQELGEED >Dexi1B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:1B:3144698:3150989:-1 gene:Dexi1B01G0003950 transcript:Dexi1B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSTNNFLGRAVWEFDAGDGTPEELAEVERARREFTDHRFQRRESADLLMRMQCAKQSSHRRDLPHIKLKEDEQVTEEIALNSLRRALDQFSSLQASDGHWPGDFSGIMFNEDGGWGTLILGSSSMFGTCSNYITLRLLGEELNDKDGALAKGRAWILSHGGATLVPQWGKIWLSEDLLCPRTRLQNAVWTSLYNYVEPVLSSWPVNKLRGRALGNLMEHIHYEDENTQYVCICSVNKALNMVCCWVDNPNSDAFKRHLARIPDFLWLSEDGMKAQNKDGTFSTYECKRTSSWIEVLNPCESFPNMVVDYP >Dexi2A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:2A:30443957:30444600:1 gene:Dexi2A01G0018410 transcript:Dexi2A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHSFQSASHLGELRKPGAVALVTSTCTGIPGTTNFSWSSAAAAPVISGVDVAEGVEAGRPMEEEPGDAEACGNAGRPAAVAPVGDEAARPRRQTSEVHLEGPGELLQGVEQGDGVVFVRDLVHVLDARRQAVDAGRERELDELQGEVGAGGLLSDAAQPSAVHRRRDEARARAALGEEAGEVDHGDGMALRHEGDDDKVSRWRRRR >Dexi5A01G0035570.1:cds pep primary_assembly:Fonio_CM05836:5A:37336809:37343091:1 gene:Dexi5A01G0035570 transcript:Dexi5A01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLSTVRWATACAALLNAATASTGAAVAAVALRRCGGGGALGPAAAVASAAAAARLLASAVAGFAQGAAASAIAAGAIGAHVDSERDLRQLSRNYLSTSCFCPEEFHERSEMVVAVGLLIANLDLYFTPSVLSLPFLVAVSEALFFCEQLRYKRWLWWARFGMIITMLQFVLALYLMCIIMKDVLAGGPLKQCFSGQNQGNIDWKRILSVLEDDDICVVAKLLGDLMAYRASGTGHLELVAGLSLLQKPKSSTVISKELVEAPQDLIQEAVLFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGVLTPWTRARRPILEGDNCWRGHAAAFLKYANVAPEVLRKGRVSQTKREAAYFVVVLHDLSTVVIAVRGTETPEDVITDGLCKECSLTLDDLDGLINSDQLSPQLKNAVLSSFPHYGHAGIVESARELYTVLEGQPIHQDNSDTAAAGFLSSLLGYGCECHGYNIEIVGHSLGGSVAALLGIKLYSRFPKLHVYAYGAAPCVDYVIADACSSFVTRCQYAMQKVIETRKREQLTHDLSAAEYVV >Dexi5B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:5B:19032081:19036940:1 gene:Dexi5B01G0017420 transcript:Dexi5B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRLLLLAAAAAFIYIQVRLFVTQSHYADRLAEAEKSENQCTSQLKSLIDQVSIQQEKIVALEEMKMRQDEERAHLKILIQDLEKRSAQKLVNKNVVPVSAVVIMACNRPDYLERTVESILKYQTSVASKFPLFISQDGTNGAVKKKALDYKQITYMQHVDLEPVRTERPGELTAYYKIANDMEIALDFFDYFEAAAKLLDSDKTIMAVSSWNDNGQKQFVNDPNFTYWDDWVRLKEVHGNQQFIRPEICRTYNFGKHFVVGYIKLCLSHRHFHFGIMLSLQGSSLGQFYEQYLEPIKLNDVHIDWNSEDLSYLGEDNFVTKFGKEVASAIPLHGSDAVLKAHNMAADVRIQYSDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYNSSPRRIFLVSPDSLHQLGV >Dexi3B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:3B:227166:228852:-1 gene:Dexi3B01G0000290 transcript:Dexi3B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDSPLVRDAGAALLTGVAAAVVLRFWEEVANRALLDQKLCRKLVHITVGLVYFLMWPLFSSDDVYAPFLAPLIVVINIIKVIVIGLGLVKDEGVVNSMTRHGDRRYMCYFNIFGFIEKSWTMVGAFVIISLVAAIVESLPISTRLDDNLTVPLASVLVGALLFYFLGATTNLCCMSREGCSGSISTMVQMVLAVGSSGN >Dexi9B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:9B:3221021:3221549:-1 gene:Dexi9B01G0005490 transcript:Dexi9B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATPLVATVITVIIIASVAALLPATTSAASSYRVGDDAGWDNGIDYDAWASSKSFRVGDTLEFLYSEGFHNVVVVDAQSYAACAVPSNAPTLGSGDDRVALRQPGQWFFICGVEGHCQTGMKLAVNVQ >DexiUA01G0006750.1:cds pep primary_assembly:Fonio_CM05836:UA:13047187:13048484:-1 gene:DexiUA01G0006750 transcript:DexiUA01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFVSLLLVVFQDAIREICIDERLMERWLPCRRPSQVAHHRSIFSIFGDGAGARRMLGGTAALFVTCICVQMRKWMHWENAIQEEGDSAPKMITRVQKIKFIQDWCKGHEKVAMRSFFKQFYGSVSNDDYVAMRLGFVM >Dexi6A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:6A:24194274:24196148:1 gene:Dexi6A01G0016320 transcript:Dexi6A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVHASEPATVNGSTGLTLDPVAQRALSRGASVLGTPKSPPPAYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVVSGTSTGGLVTAMLTAPNKDGRPLFAAKDINDFYLKHCPKIFPARSAGPMGLLRSVLSGPKYDGKYLHSVVRDLLGDTKISQALQNIVIPTFDIKLLQPTIFSRYDAMNDVSKDALLSDVCISTSAAPTYLPGHQFETKDKDGKPRVFNLIDGGVAANNPTLLAMTHVSKQILLGNKDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAVESSKWGLLGWLYNKGTTPIIDSFSQASADLVDIHASVFFQALHSEKSYLRIQDDELKGDTSSVDVSTEKNLNSLVEVGKGLLKKPVGKVNVETGKNEPDVSRGTNEQELIRFAQMLVQERRARLQKKGNSS >Dexi5A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:5A:6125304:6126592:1 gene:Dexi5A01G0008190 transcript:Dexi5A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSSKQHEEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLRVDANSAVFRDAVRCYWMPRLLVKMAAATNPVDHPALFHPESNITATTGMASSPATNAPPGSHGGYGGDNNSNQRSYVVDPSPSTSATSGSGSTSLPPVPCFSELNWVVDQYGVPCYTDVDGSGAGAFDSAALGSLGLDGLDLGPADCDVDYSDSTLLDYLNSTCCTGGGAGAMMTTAMGGGAMGGHGDYGPPSWRTTDELCHKLGDHQWGGGGGI >Dexi9A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:9A:8231782:8249580:-1 gene:Dexi9A01G0012900 transcript:Dexi9A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEGNGGTGKITIGVCVMEKKVRILVLCAYASISSAYVSIGRFLLLDFSKNAGCGSCVVTSRICSYLTKFLISLFLQVFSSPMEQILERLRAFGEFEIIIFGDKVILDDPIEMYVMRTQHLTYPQFIFSWPNCDCLIAFYSSGFPLQKVQAYAALRRPFLVNELEPQYLLHDRRKVYEHLEKYGIPVPDYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGDDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPMEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPNLPWKSEPVQSTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAIQLQDLLDATRQLVPPTRSGRESDSDAEDIEHVEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWMKVTKRNGDGQEERPIEALMILKYGGVLTHAGRKQVETQNACLKLSNISRGIGKVFQKQHISRLFIFSLPLYHTVKRMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASTEMNEAKARLHEIIISNAKAKDTVESAEFPWMVDGAGLPVNASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTSSFSKYDQAKALGKTTIDVARIAAGRFDITQIPDVYDSCKYDLLHNAHLDLEGLEELFKVAQTLADGVIPNEYGINPKQKLKIGSKVCSGAKFTPQYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTKELDYMSNIVLRMFENIEVWTLYHLYLVFVQSCYCPIHSAYNSFCLPLQVPLEDEKRFRIEMTFSRGADLSPLEDKTSESSSLLQEHTLPIMGPERLQEVGSCLTMDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGMLERLASLWPFHKGAGATNGK >Dexi4A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:4A:3542038:3542319:1 gene:Dexi4A01G0005020 transcript:Dexi4A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTPKPTPRKPPKLETEFSCPFCSHRDAVSCLIDLKERFAKVECRICNESYWTRANALTAPVDVYAEWIDACEDANEGVDVRHRRPRL >Dexi5A01G0030530.1:cds pep primary_assembly:Fonio_CM05836:5A:33431296:33431658:-1 gene:Dexi5A01G0030530 transcript:Dexi5A01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFPPSGGTKATIPCRCDLVALLSRHQDRRRQLLQIHAQLVVHQVFDQSPAPWRALLKAYSHGPFAQDALLLFRLARRNLADDTFAFAFSLKACAGLGRASAQLHGLEGV >Dexi9A01G0025850.1:cds pep primary_assembly:Fonio_CM05836:9A:27094281:27099283:-1 gene:Dexi9A01G0025850 transcript:Dexi9A01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPRLRCPAFHRPWCHSAHTPACLRTAAVVQGRCLAAALPQLCAFGWEQAEAEAWTLKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGELKLHDVVLAKATHWEKIRNELETKLVEFEQDLIRAAAENDALSRSLEEKANLLLKVNEEKAKAEAQIELLKSTIQSGDKEINSLKYELHVVAKELDIRNEEKNMSVRSADVATKQHAEDVKKISKLEAECQRLRGLVRKNLPGPAALAQMKMEVDSWGRDPGDNKMRRSPSRSSMLQYPMSPSPDCAHESMQRMQKENELLTARLLSMDEETKMLKDALSKCNQELQASKNMCAKTSSKLRSMELNMSSSNLYKSPTNSYVDTSASSQKGSNPPSLTSMSEDGVDDARSCEESWANTLVSELSYNKKYKGGKHNLTENSNQMSLMDDFLEMERLACLSSEAQECEGIVEKKSDVVKVLDGIRNILADIQHEVESVNINKLEHDDMFEVTGQFSTLVDEVVSDGNGLKQIVIALSEILVESGEFKVILLRKTANEGQSPSDAFDVKTTVQMCALEEYEKLRLEKRNLEMEVTKCNDMMESANLKFCGMEKNIEDLTSKLAACEKSNSLAETQLKCMAESYKTLESQKVKLEEEIRVLQAMIDTLSADLAEERRSHQDDMTKYRDLEEKMERYEKSSLFVTEEPDVKLKKVSC >Dexi3B01G0035900.1:cds pep primary_assembly:Fonio_CM05836:3B:38875972:38883572:1 gene:Dexi3B01G0035900 transcript:Dexi3B01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSSPSRRSRQGPCCSASIRRAPELPPRGLQCLPCTRQQSSAMASAIVGAVEKRCGAAAARSVAVSRTAEAIPARSTTTQLRDRRRGLRPPGPPNGRRGQRLGAEPLTPKESKGRHVWKVAQPGRGEGRDARRPVGATRTRRCACDGRRREDGAGSGEWANRRVLQNYSSESKPGIYPLTMPQLDHQLTRNAESPRQNAPVDNVTWNDILAMDHQLTRNAESPRQNAPIDNVTWNDILAMEPPP >Dexi8B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:8B:575113:578889:-1 gene:Dexi8B01G0000840 transcript:Dexi8B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSAGGMLRLLVWAALLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGTADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPDAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWVWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIYKCIGDPDADEENPVLKAEQDAQDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETKNGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMREHDTCISKNGATETGWGFLWAIFFGLVAAGIGGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >Dexi7B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:7B:17598116:17598868:1 gene:Dexi7B01G0010210 transcript:Dexi7B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMVCTTCGKKGDHLAIDCPYKDLLQRAYMDHGDPPPAPDRASPPVCPVCRCNPDPGSRCRDDDSSLRVTNLPEAITTERDLYTLLAPFGIVLRVSLSDSEAASSNSPGRGRCGVVEFDQVEDAQEAIGWLSGDDPYYGNLGLRVEWLLPLDPLPPPICAWCLRRSETWICVANLSERAGERDLRNLACPFGIILRLHLAVAEDDGEQAGLGRKVGVVELSRERMLRTPSGGSMGMFLTTWFYELRAH >Dexi5B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:5B:6223968:6234554:-1 gene:Dexi5B01G0009140 transcript:Dexi5B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQSKRPSLLESGNQGHSGKKARALAMLRSVIKQEQKEVGGVEEEEEKEEDKQEEGEVNQGSSAGAMVAVEDMETEPQLNVRLGLSLFHCRACHQPLKPPTFKCEAGHVICASCCNSHGEVCSAAAIFSPCVEVDAFVRDAKQPCAFEEFDCESAVVYFDAADHHRACRWAPCSCPDPGCGFLSSPARLVDHFAAAHAWPVTEVSYGKPHRGCHVLVGRDDRCVFLLSPCALGGAAAAVALVCVRANGGGDAAAQFNCKLWAETAGSSGAVSMTMMMCEVGSSSMSGGFSAADQEMFMVVPPRILHEVPGEVPFNCFCCRCRRGQVHNAIRQERELKEDAVVAWQFRLQSTLHASPPKSIVLPLAMSEQQRVSTPESHGGKRTRAQAIADGGVKLERREQREASQGGDGEVEGEGALVVAVQAMEEPQIGVRISVSRLHCHACHLPLKPPTFKCEAGHVVCFPCRGSHGQYCAGAAVYAACVELDNIVRDTKVPCAYEAYGCTSWPVYYEVEDHHRSCRCRPCFCPESGCEFFSSPARLAEHFASEHDWPVTKIAYQKPCKLAVAGPQDREVLVAESDGCVFLVSTCAFGAATSLSLVCVRSIGDAAAAVPQFRCKLWAEVEANKENLSLVTSLVANSDLSGGFVAADQGMFLAVQPPLLLDESGEAPVLKVRIDKCQVPVRHSAAEEAHQETAVVDELTKRLQAMSEQRPSESEWRKKTRARVPATEGEPLQPAAAVELQAMEEPQLNLMIGVSLLHCQACFLPLNPPTFTCQAGLVVCCTCRGKLSHAQPCPELDAFVRDAKMPCQNEEFGCKSLVVYYLAADHRGACQWAPCFCPVPGCKFVASPARLGEHLNTRHRWPITTVRYGEPCKLPVPTPERGCHAHVLVGEGDRSVFLVSPSALGAATAVSLVCVRANAAGGQFKSTLWVELPCNKDKLVLMMTAVRSGDLSGGSPEAGTNLVLTVPPVPLHDASGEAPNLFVCIDKANAAAPNSTPAMVE >Dexi3B01G0030780.1:cds pep primary_assembly:Fonio_CM05836:3B:31018880:31019149:1 gene:Dexi3B01G0030780 transcript:Dexi3B01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAVPGGSCTKKIGTRVRQGAATAMCVRLLLAGMGSAIDVAWFRGADSKPLPSCACALEREEEERCRGFDLDDGDGAANGPGWQHPQ >Dexi9B01G0024060.1:cds pep primary_assembly:Fonio_CM05836:9B:19706771:19707628:1 gene:Dexi9B01G0024060 transcript:Dexi9B01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAAPERGRRILVAVDEGDESVQALRWCLSTFAPAARGDTVILLYVRPPPPTYSVLDASGYVFADDVTAAIDRYSREVADAVVEKAQKLCTLYGKEEGESDHEMKVEVKVAVGDARTVICHMADKLGADLLVMGSHGYGFFKRALLGSVSDYCLRNASCPVLVVKS >Dexi4A01G0022660.1:cds pep primary_assembly:Fonio_CM05836:4A:25970282:25971293:-1 gene:Dexi4A01G0022660 transcript:Dexi4A01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFTMDRSSKKRLLFDVTTRTIRSVTSSVFPDATCAFENGGWLLMLQRNNPPPLGFVEQHQAAVFLLHPTTGTRLDLPPLSSSSSSSSGLFVFYVNSHGAPLVVARVEAISLVPTVHVACPGDLFWSVYKHDVDVAAMEEETHQHQPWRRQRRRRLVEPVSIADVALVGTQAVCLDAGGEVLGFDVAEMTWRRRTPAVIPDSGGIALYARSLVAAGGEVVLVSRPRGVGLEWSPMERRELDDTRWFFRKGQSFRAMDPGKRRVYVFGGGSTAAEASVAGGSSGLKSTTNVYGYDLDDAPVEMVIPASIVTEGCRWVRPSVLATSAAT >Dexi1A01G0028190.1:cds pep primary_assembly:Fonio_CM05836:1A:33905811:33908750:1 gene:Dexi1A01G0028190 transcript:Dexi1A01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYASSARSFAAASPARFPVTAPAPAAAGAGAGAPFSIQAPKEKIELYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKTTQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVQKMGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGPAPAAAESKASA >Dexi1B01G0021850.1:cds pep primary_assembly:Fonio_CM05836:1B:27630465:27630690:1 gene:Dexi1B01G0021850 transcript:Dexi1B01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQGRNKSSPPRDHALVPLSLPFGPHHRSGTIAPPERIPASSLLPKSSLPTPPEPRGAGDLPRSFY >Dexi6A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:6A:5661610:5664718:-1 gene:Dexi6A01G0006060 transcript:Dexi6A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATTGALSHVLGVLHGHGQVGSGRLREEELRCPLIGTNYRTDHKRIELLLDDKRLDRLYRESDRPSQGVRPSGVDVVGRVLGQPASLRTPSMAVEITMDGKGDWKRLGFEDKSKNLLSFCRISYRAQPAKPDRLAITFGRQQVATTTEQDAHRLTPRRSKPQQVLCPLSSSSRQSSPAPVSITNARCGLWAVSLVSDTVHVAVAITHRPPMDTCRPGRPLVHLPSDLLAVFLHPPPVPIPTTSSLPSINQPKLRTGEESNKPKKLSSWIDQEEDMAGGKATCIDILVAIVVPPLGVFLKHGCGHEFWICLFLTFLGYIPGIIYAVYAITKY >Dexi6B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:6B:21357235:21359250:1 gene:Dexi6B01G0013640 transcript:Dexi6B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSFAIPSGKLHPGHIRRPDPNGSSSKSCPFTSTLLPTNLSGVNSSGLSHRVGSLPIVHTLMSTTVPLGMSYRATAASCRATRGTSSGTIGCMRIVSLTMALRHVKSFSVTHRPRPTTRSSSSVIVAKMEGFFRSSEIAHSTVADVLSVPPTMRSCVNAFTPTRPIWTSEVGSSAICISTSTMSRATKLSPSRRRRSACSSTTWSMNALNRSPILFIRRTPRAAAGKHTTYNYADITWSPYDAYWRHARRICATQLFSPGLLASFEPIRADELSRDHMSTLSMNVITRMVLGKRLFDAAGDNGAAAADGPVSSMAEFKCMMDELLLLNGVLNVGDWIPWLDCLDLQGYVRRMKRIGERFSAFIDHFVDEHAERRRREGDNFVARDMVDVLMQIADDPAFEVQIGRVGVKAFTQDLIVCGTDSSSSTVEWAISELLKKPSIFATVTDELDRVVGRGRWITEKDLTCLPYLMAIVKETMRMHPIVPLLVPRVAREDAAVAGYDIPKGTMMLINVWTIGRDPTLWDKPEEFTPERFVGSKVDVKGHDFELLPFGSGRRMCPGYNLGLKEVQLSLANLLHGFRWSLPEGMAKEDLSMDEVFGLSATRKYPLEVVVQPRLSSELYA >Dexi2B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:2B:28823504:28824460:1 gene:Dexi2B01G0018520 transcript:Dexi2B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNADEVVFDAPEHFRIYKSGRIDRFHRPVLVAAGDEDEATGVASKDVVLDAGTGLSVRLFLPKRQDQPPSKKKKLPVLVYFHGGGFFIESAKSATYHNYLTSLAAAAGVLAVSVDYRLAPEHPLPASYDDCWAALRWASSAQDTWIAEHADVSRVFVAGDSAGGNIVHNVLMRASSSCSEDAARIEGAVMLHPFFGGSTAIEGEPERAVAITEKVWAFACPDAAEGADDPRINPTAPGAPGLDGLGCERVMVCAAEKDWLVPRNRAYYDAVVASAWPGSAAWVETEGEEHVFFLHKPDCDRAKALMDHVVAFIAGT >Dexi4B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:4B:21630675:21631667:-1 gene:Dexi4B01G0019420 transcript:Dexi4B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRLLDGLLDVLADLLGLVLDVSPGFLHLLRRALRLPADVLRRASHRTAIASSITRPACPPPTPSPEPGKRGAAHLKLKHVGRLEKARSHRSISRACMGRARGGNDRRDRVTRRTLVAASAWLAGDGDLTAPRPPSTRREAIIGGDRTVVLFNAAIQVVGRSAEVQLCARRRRAVLARRAVQFTRIPATCVRACNFTSLTPAGGGG >Dexi3B01G0023340.1:cds pep primary_assembly:Fonio_CM05836:3B:18142077:18143455:-1 gene:Dexi3B01G0023340 transcript:Dexi3B01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKGATNGHAAEEQQDVMEVEPRRRAPRLNERILSSLSRRSVAAHPWHDLEIAKDACLIPLRRRPTIEQVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPSATAREAIQYSMDLYGQYIMQTLRR >Dexi4B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:4B:5553444:5555684:1 gene:Dexi4B01G0007700 transcript:Dexi4B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLVSLPPLRHPQANVQKYKVALCQLLVSPDKEDNLTRARARIEAAADAGSMLIEIWSCPYSLETLPSDAEDIDGGASPSISMLSEVAAARKITIVGGSIPEKASGKVFNTCCVIGPDGHILAKHRKLHLFEIDIPGDIKFKESDAFTGGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRSRARDRDAKSQYMIWGHSSLIGPFGEVLAAAGHEETTAIGEIDLSMIQSTRHVKN >Dexi3A01G0024660.1:cds pep primary_assembly:Fonio_CM05836:3A:20272312:20278134:-1 gene:Dexi3A01G0024660 transcript:Dexi3A01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPTAGEGKVVDSFGGLKAYVAGSDDAKAAVILISDVFGFEAPNLRKIADKVALSGYFVVLPDFLHGDPYKHENAEFIPVWMKSHTPKKGLEDAKTIIATLKEKGMSSIGVAGYCWGGMVLVELAKTDEIQAAVILHPGPITVEDIKDIKCPILILGAEIDELCPPELVKQFEQVLSAKSEVPHFVKIFPGVAHGWSLRYNHDDVAAVKSADEALEDTINWFNKNVK >Dexi2A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:2A:2553174:2555217:1 gene:Dexi2A01G0002990 transcript:Dexi2A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPKRKRRQAGRVSAQQAPARPRAPRLDLSRSSSRRRERRLLSHLRRADPPNTLLTHASYAFNIFYQQNGNSDIACSFGGVGALVKCDLSFGSCKFLAQDFCICFLGDAGKSVDLIV >DexiUA01G0019540.1:cds pep primary_assembly:Fonio_CM05836:UA:40796239:40796651:1 gene:DexiUA01G0019540 transcript:DexiUA01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASHTKLSAAVFLLLPVLAVETGPVQAGECLSKSTTFKGLCFKSSSCNDKCLKESSAYSGGKCRGIYFTCWCITPCAMQLAPEASPPQRARMGDVGGLE >Dexi9A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:9A:10922773:10924659:-1 gene:Dexi9A01G0016010 transcript:Dexi9A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSRALPKWRSQLQNKSLVSIDDGGTHDGIKTIPIQKVCEFTTNAVLCVCIITWNMNGKMSVEDITKLVRSNRKFDLLVVGLQEAPNCDVSQVMQETMADTHILLGQKNMQSLQMLLFGSKSSEKYIREMKVDKHAVGGFGGMIGRKKGAVAMYINFSGIRMVFVSCHFAAHEHKVEKRNSEFQHISRSLFSKYGKPYAQSADITVWLGDLNYRLEGISSAPARRMIEENRQSKLRGKDQLLQEAEKGEVFNGYYEGTLSFKPTYKYDIGSSIYDTSHKIRVPSWTDRILFKVDHSSGLDAVLSSYESLDCVRSSDHKPVKAHLCLKVRSGDD >Dexi9A01G0032060.1:cds pep primary_assembly:Fonio_CM05836:9A:36859117:36862017:1 gene:Dexi9A01G0032060 transcript:Dexi9A01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIVVQIIRSLRIAAPLMMKMILMMVLAVTGVVAVAVKVVVVAAVAMMVAVAVAMVVVAGAVVLAGVVAPLPPAGGSANRFGFVNPGLVSSLKASGGSGHGPLYYSTPHALHCYCKVYRPSLQLCLMTRSAPPQLQDHGTRTLVHALHLRLAVRRVPSLRDMRYERDTQHIKSGSSMACSAAANVHWINYPTATPCP >Dexi9A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:9A:2099873:2105629:-1 gene:Dexi9A01G0004010 transcript:Dexi9A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTLSTSLPHLPPRRVTSFLSSSSAAAPLHSRAARLREARLAAAAPTAASEVLESTNGAVPTAAKSGAARGYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNFPDEWEDGLADQLQYDADGNIKTEIVKTPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDDGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVEIATQFQESSKEVFKMVEEETEVAKTQVELVILPRSIISDNPQDQQQEQPPPPPPPPPPPPENQDSSEDQDEEEEDDQEDEENEQQDQQIPEEFIFDAEGGLVDDKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRRQKDQDKARKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAATASDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALTDLKGS >Dexi4A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:4A:25089841:25090178:-1 gene:Dexi4A01G0021660 transcript:Dexi4A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKLVASASGFQLPLVL >Dexi9B01G0027900.1:cds pep primary_assembly:Fonio_CM05836:9B:30436116:30437242:-1 gene:Dexi9B01G0027900 transcript:Dexi9B01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLEARSLLRATRPIALAPQPAAPETFAGPGPWDRAAVEIRLDSHTLLQWLAEGGETVEQEEKLDGNLILFSGNDYMGLSSHPAVREAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAEDERIAIFSDALNHASIIDGIRLLERQQEASVFVYKHCDMFHLDFLLSSCPMEKKVVVTDRQVITKLLDIDD >Dexi3B01G0023500.1:cds pep primary_assembly:Fonio_CM05836:3B:18304837:18305811:-1 gene:Dexi3B01G0023500 transcript:Dexi3B01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVIVLSFVVGLFGVASAVLGFIAEGTKLTPRDIGVDFYTGECQYPGNPAYLLALIAIPLLAVAQVIASLAAGCCGCCRPRHGASESKRVTGIIAAVLSWIAALLAGAFYAEGAVWNFPVTRWNDTWCRVLNDGVFRRAALLSLAATVLSIASYVMLRARAPASTPSTAPAPAGPSGPKPDVPPSGEAVAIPLAQWSGSSQQGRGHGQEPLPEVPRHPVGGYSRAPYRQLDSPPRRQAQPAVEVMMA >Dexi2A01G0034800.1:cds pep primary_assembly:Fonio_CM05836:2A:44630857:44635376:-1 gene:Dexi2A01G0034800 transcript:Dexi2A01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPTQIPESDAEEDPHVTSGAGDTADGASSPPPPARAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPEDENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTGDAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >Dexi4A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:4A:288165:290257:-1 gene:Dexi4A01G0000420 transcript:Dexi4A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLKLNASPLLLQLLRWVCVVVLAASLGTTVVSGRHHSSAASSSSGSTGQSMYLAPSCRSHTASLADFGGVGDGTTSNTAAFRSAVEHLSQYSGDGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQNISEWPVIAPLPSYGRGRDHAGGRYASLIGGSNLTDVVITGNNGTIDGQGAMWWSKFKSSKLKYTRGYLIELMWSDTVFISNVTLLNSPAWNIHPVYSSNVVVQWVTILAPTHSPNTDGINPDSCTNVRIEDCYVVSGDDCVAIKSGWDEYGISYNMPSQHIVVRRLTCVSPTSATIAIGSEMSGGVSDVRAEDIVAVDTESAVRIKTAVGRGAYVRDVFARRMTLTTMKRVFWMTGDYKSHPDDGYDPNAIPVVENISYQDVVATGVYKEAARLEGIDGAPFKGICIANVTAELSKSKKYPWMCTAVEGVSAANVSPAPCEALQQNKDGECPFPTDTLPIDDVAVQQCAYDVPAPAAEAAAAAGPKA >Dexi4A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:4A:4554735:4556343:1 gene:Dexi4A01G0006330 transcript:Dexi4A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAAFTSLVPAAASASAAASRLPSAAGAAASFVRLPHHPTGWAGASLAAAPRAARRRAPGVAYATAATEKSIYDYTVKDIDGKDVPLKKFKNKVLLIVNVASQCGLTTANYSELSHIYEKYKTQGNIFYIALNIF >Dexi9B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:9B:7085456:7085826:1 gene:Dexi9B01G0011120 transcript:Dexi9B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQSYVNAWDARAGNIVHGCALHREGGYARYLDWLKENTRLKLKVAMVGHQIEDLPSDPEDVFDEYDEVTRKGTQPERGPLQDYIVRSTSWPLC >Dexi3A01G0025030.1:cds pep primary_assembly:Fonio_CM05836:3A:20656559:20657822:-1 gene:Dexi3A01G0025030 transcript:Dexi3A01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANSGGGDDDSGGKLLVGRYLKGENVGEGTYGVVNKAVDTKTGKIVAIKRIRIGEHKEGVNFTALREIKLLKELKDPNIIELIDCFPYKKGLHLVFEFMETDLEAVIKDKQFVLSPADVKSYAQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARMFGSPGRNFTHQSIVP >Dexi4A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:4A:9819716:9833623:-1 gene:Dexi4A01G0011630 transcript:Dexi4A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQLKELGEKLLEAAPAPADELAKLLEVRTSGLSGRSPAPGWLLAHPSVEAGGARGVVRVDLCARRGNSADTLLMLILLQKAAECLHGIEQSPGSSLMEAIQPTLKAVTREEFLKHEDEDVKVLLATCFCEITRITAPDAPYDDDVLRDIFYLIVGTFRGLSDVNSQTFGRRVAILETVARYRACVVMLDLECDDLIADMFRTFLEVVSDSHEENIVKSMQTIMTLIIDESEDIQESLLRVLLSALGQKKTGAAMSGRKLARSVIGNSAGKLEPHIKKFLTSSWAGDGSSSDQIDHHGIIFDIYQCAPKVLKVTVPYITGELLADEVDIRSKSVELLGEIFSLPGTPIVEYFKTLFAEFLKRLADRVVEIRISVVEHLKRCLISNPLRPEAPEIIKALCDRLLDYEENVRKGVVAALCDVACHSPDAISIDTIKVVAERIRDKSLAVKCYTMERLADIYKLYCQRGSDSSTTSDDFEWIPGKILRCLYDKDFRPESVESILCAALFPPEFPTKERVKHWVKAVTHFDKIEMKALESILLHKQRLQQEMLKYLSLRQLSQEDAPDLQKRIVGCFRSMSRLFSDPAKCEENFNMLHQLKDGDIWKMFTSLLDCSTAFGEAWTLRADLLKLLGEKHALYDFLGALTMRCSYLLVNKEYAKEILAEASELKTSENTKLISACMNLLTAISSFFPSLLFGLEEDIVELLKEDNEVLKEGIAHVLSKAGGNIREQLASSSSLDLLLERLCLEGTRRQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQISIPIFETREEEIISFITKKILECHDDMIENSSHKSEWGDSTQNCMLKIYGIKTLVKSYLPCKDAHSRPGIEKLFDILKNILTYGDISPNMVSSAADKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRVSQDDFPQVRKLFICKVHQYIKERALDAKYACAFLYGVNDYHAPQYEEVHILNMLTNWRLHLILSILLGEEGLQHSVPGMKKESFTTIISIFKSIKRSQDVVDGNKTKILHAICDLGTLIAKRLCNDQTNLSEAQTVPLPAQLYMPLQDNQTENSVESDEKMWLGCEKVLAHFEAVITASIDEVKSPKHKMLIDETDEFGNEIPLGKIVKLLKSQGEKKAGRKQKMPSSSVNAGNDDDVLGLLREINLDNQGDLDESQKSKPKKRQTETKESTKKPLDFSSPKRKRSISNNRPHSAKGSKNSDERLLHTPNKDRIHSSLESKLKEKKGKHDSTDTELLVSPSSKTPVSKGSKGDKKPHTDTLSSGPKCSTNDSSGRDLVGHRIKVWWPLDKRFYGGIVQSYDSSKKKHTVLYDDGDIEVLNLAKEKWMMVESNDSSVKKQKKDHIVTNQGRAQERTPSSSKSQPSRQKSKKRSLPPKRKGQPKNKRRKTAGGNKSVEGSSGAGGNDSDSSSSLAHSDGDKDVNSDGQMEEEVISSAEKEKAGRDSKDVEMKEKARKESKGVEMKEKARKESKDVEMKEKAVKDSKDTEMKAKARKESKDVEMKEKLGKDLQNVKLKDKAVKESKDVEGKEKAGKESKDIKMKEKAIEPKDVEVKEKAKEPKDVEVKEKAGNDSKDMGMKEKSGRESEDAQMKQKAGKESKDVDVEEDDDLSLSSKEESDNETLSVWKKRTAKAT >Dexi2B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:2B:27686931:27687893:1 gene:Dexi2B01G0017280 transcript:Dexi2B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDTIQPTNSNGRSNASATSIDPTDARSDRRLNWSNEEDIRLEAPKKRPIGRDKAKEERKEKRKEPEAIVAIGEKLDKFMEATTKAEKIAQVQQNLADTKLEVAKEQTKSKMLDLYKELLCAPTSEFSEEAKAERSKALELMASAIFSKDN >Dexi7A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:7A:24152188:24154457:-1 gene:Dexi7A01G0014160 transcript:Dexi7A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSFLLGLNKKTYDLSGGESSPGNGPGSYKDPGIGWMTGFLLSVSFVGLLSLLPLRKVRGFLKYFGISFLWSFFQWFYTAGEACGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISTQKGNWYSATASASNMSSIYGYKAFLCIALLLGDGLYNFVKVMVKWYYVIAAYLMAPALGFCNAYGTGLTDMNMGYNYGKIALFIVAAWAGKDSGVVAGLVVCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTFMGCVLAPLTFMLFYKAFDVGNPDGYWKAPYALIYRNMAILGVEGFSALPRHCLQLCAAFFAFAVVANLARDFMPGRFARFVPLPMAMAVPFLVGASFAIDMCVGTLVVFVWHKLDGKKAALLVPAVASGLICGDGIWTFPSSLLALAKIKPPICMQFTPGS >Dexi5A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:5A:5083419:5083841:-1 gene:Dexi5A01G0006800 transcript:Dexi5A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRIASNNSDDNNNNNDVAIDIPGAEEMLQQVADHGLPANPRQLARSMFWVATCTIVTILNRIIYKLPPGPIFEGNETAYYLTLFFAFLAGVAEVYAAILLSSAAARVEAWAAPLLCASVVLLAAVIALGGSPVLVMG >Dexi2B01G0022700.1:cds pep primary_assembly:Fonio_CM05836:2B:32292424:32294092:1 gene:Dexi2B01G0022700 transcript:Dexi2B01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAVPHGSQGGFPIGQLISPPRRSGEEGDGEKIERGMGEASPESGGGAAGGAAGTAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPNYVVDEPKEEAMSQGHDLRKFRYGCAGYSMYVDSRDGQGGESEGKTLLPYCRGLEVGFSLLFTLLVDSRLVERKPSTAEQAPARVSKDGM >Dexi9A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:9A:4821299:4826476:1 gene:Dexi9A01G0008130 transcript:Dexi9A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGDSSREGVGGDGDWAFAEYTSSVFAELGWPDDLAAELPVLDLPEAAAPPLGEVTRPEEIVAPARSGDAAAASSSSSGDGDGAATGSDDRKPAAETAELTLGAARGSPAVRSGAVILGHAARKRGRPLRQQVFTWSGYGRGNLVNRWRRSACRVVGSRAAICPAVAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAKRVERSSADPSVVITTYEGQHCHHIGSFQRGSSASTQHIHSAAAVALAEQMSPFIPAAQQLYSLPRLHLQSSPSSETVLSSASTSLQHLNGGDELRRRTSYSPRVPSMMSSPQTPSSVPPSISVEMAGLLDDMMQALRAGRPAAAVAFMSSLSPQAEARSFRNSGGGARRLASAAKRAAVSCEPELEGVSASRVSSGTAWIEASVGKFPEIKLMRAAPLCCRRGWVAMRITMARLVQKTRHMPWSRPQRESADVGAPFRFRLGSRHEYERGLFWYFVGCRRASLARPA >Dexi5A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:5A:23739591:23740097:1 gene:Dexi5A01G0019830 transcript:Dexi5A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGPIPNARRTGAIFSEAQFCLQCRIQSDARFSPTRSIPTPSPVSLASLRSGPEPPDRPSPPPASSSAAGPELRLRSNLRLPRLAPLQPQVAGHRARPPDQPPSPPASDLELLPDPAGPSRDARAGRKSRPPSSAPTRPGSSRRRSRRGRAFRRRRPAAPAWRTRF >Dexi2B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:2B:6363279:6364672:1 gene:Dexi2B01G0006470 transcript:Dexi2B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGEVRHWNAAINGISIHVAELGPATGPAVLLLHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSAAAGDADDYSILHLAGDAVGLLDHLGVADAIVVGHDWGALVAWHLCLFRPDRVRAVVALAVPYLPRGPRSLMETFAAHGDGFYIVQFQEPGRAERAFARYDVVTVLNKFFSIESEDFTAPPGVEIIDSLEAPSSPPPWMTKEELAQYADKFQKSGFTGPLNYYRMFDMNWRLTAPWKGAKITVPAKFIVGDKDIGFKSGTEDYIKSEDFRSSVPGVEVAIIDGHHFIQQEHPERVNAEILSFLEKFTSKEA >Dexi6A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:6A:21367631:21368454:1 gene:Dexi6A01G0014020 transcript:Dexi6A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGDQQSADMEVDLSAAAAEEKPVVRFSINGEQPSPHLVWRSGPVARFLDRSCLSLAVLELMREAQMQHGLRQSDYTRYRRYCSARLRRLYKSLKFLHGRGKYTRRNITESTVTDVRCGTFC >Dexi1B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:1B:19856283:19856901:1 gene:Dexi1B01G0013870 transcript:Dexi1B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVMNAISLLGSSTWSATSRQTRHRRDTSENTLLRATLGCIVSVSPATGGGEAEHQARGGAGEAAIEEEQLHALLRDLDALKQHPDDLASIDRLPPSHRAAPWISAMRERVVAMMSPAAGAASRSKIKVRSDADFHLRNMSAEVVDNNPYSRHMALQP >Dexi6B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:6B:20634794:20640861:-1 gene:Dexi6B01G0012900 transcript:Dexi6B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLSRWAKGDVSIESLARPVAVDHRISIEYYFRIADNLLRQADVYREEKNLIDLLLLETIPKHRDYNSFKSREKEFLKKGPHNSEKLRRVLNELESLKPVVQKQFANRNSGGAEEPNSVHGAYAASSGMEQYIPSPYMSKSLAGNPIGVLQKPFSSSKHEATSLQNVQPERHIIKPYSTLPCPKDETLSRHSILGPNGLHGQWAGPVTGIRIEYPRNPELTQTDFSSLVPSISSQDSFHGHITVSQDKNEDMLSVLSLDDGRWSLPVEEPASVSPGLQEEFSQLNIRQPSVPPVVAQMHSERAPIPASRVADPRPGLGTSETGRYQSLHVPVALMECFLRVAEANTAKNLETCGILAGILKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKGSLLSLGWIHVSTLLGLVTAVLLIKSIMLPEAIAIVMAPTDTTRKHGIFHLTDPCGMGVIHDCDATGFHPHEEPLDGTPIYEHCSHVYMNPNVKFEMVDLREA >Dexi3B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:3B:1457540:1459169:-1 gene:Dexi3B01G0002050 transcript:Dexi3B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALDLYHVLTAVVPLYVAMTLAYGSVRWWHIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLSFLALASRLSHFLSLDWSITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEYRAARSLVMDHFPGGAAVDIVSFRVDSDVVSLASADKGDLETDAHVAEDGRVRVTVRKSTSSRSEAAGSHSQYSQSMQMQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGGGDEEKGATGGHSPAAAKRKDLHMFVWSSSASPVSDRAAAGAVHAFGADHGDVLAKGGGTQAYDEYGRDDFSRAKNGNGADKGGPALSKLGSNSTAQLYPKDDGEGRPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGIIWSLVGD >Dexi2A01G0032060.1:cds pep primary_assembly:Fonio_CM05836:2A:42682343:42687838:-1 gene:Dexi2A01G0032060 transcript:Dexi2A01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGQAPHLLHPLQVLVRALHLLHPLQVHGQVLHLHRLLLVHDQELHLLQVHGQELHLPHPHLEVVGEHPHHLLHLEGGLVALLRLLLQVGVHQVPLPHLGHQEYCRYQEPLHWVKVTRALQGSLWEELQRNDDSQSVSEFDISELESLFPAAVPKSDDSSKSERRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLSDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKDNLGRCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNIIDSSCNEIRTSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAARSPQLLNFYVDLVSLDAASKIQLKMLAEEMQAVSKGLEKVQLEYDASERDGPVSEIFREKLKEFTDNAGADVQLLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTTFRKAHEENLKQAELEKKKAEKEAEAEKAKSTQLTSKNDSKPSNPSRQAKQTIERTRSASRRGRDVG >Dexi2B01G0027810.1:cds pep primary_assembly:Fonio_CM05836:2B:36577566:36578033:1 gene:Dexi2B01G0027810 transcript:Dexi2B01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSLLSLLVLVAAWPLTAAEWSRVSCGAGGSYYTANSTYQANLRRLAAVVPAEAAAAAASHRPRYGGYKQRAFGYWPNRLQAAWSCDARDETSDGACAACVAEAFKSVERECPFHREAFFFNGSCSLRLSEYRILGSDAFGRY >Dexi4B01G0021010.1:cds pep primary_assembly:Fonio_CM05836:4B:23068455:23069957:1 gene:Dexi4B01G0021010 transcript:Dexi4B01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRSPLLAAAVLALTVALALMATAASARHHSSNSIVQPPAERPDEEVRRMYEEWKSEHGHRRRPSRSKCDLAGDGEEDRLRLEVFRDNLRFIDAHNAEADAGLHTFRLGLTPFTDLTVEEYRARVLGFRARRRAAARRDGTTRHLRRHGGDQVPDAIDWRQLGAVTDVKDQEQCGGCWAFSAVAAMEGINEIVTGNLISLSEQEVIDCDSQDSGCNGGNMQDAFEFVINNGGIDTEADYPFTGTDGACDANRVNEKVVTIDDFMNVAANSEAALQEAVASQPGIFNGICGTKLDHGVTVVGYGSENGKDFWIVKNSWNTTWGEGGYIRMARNVLSPRGKCGIAMDASYPVKYSSSSNNPMARAAMTLLKMAVA >Dexi7A01G0023760.1:cds pep primary_assembly:Fonio_CM05836:7A:31672486:31672992:1 gene:Dexi7A01G0023760 transcript:Dexi7A01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQQHQLQGPRPATLKVSKRPRPPVQQPVIIYLESPKVVHAHPGEFKSVVQRLTGRRAPPPTQLLDDLQFQLYGGQMMPLVASSSMPAGAADAVRGSSLGFFITDGHHHPEQNPLGGAAALYHQLLPSSSTIGACYDLRGGDISLRQPPAATTNDDLVYAYYMHQRM >Dexi5B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:5B:8673671:8675295:-1 gene:Dexi5B01G0012250 transcript:Dexi5B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSCIDNSMAVSMGGCLVLFSFLLLAGAGHGHPWGGLFPQFYDHSCPQAKEIVRSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTSIVSEKGSNPNKNSLRGFDVVDEIKAALEHACPGTVSCADILALAARDSTALVGGPYWAVPLGRRDSLGASIQGSNNDIPAPNNTLPTIVTKFRRQGLDVADVVALSGAHTIGFSRCTSFRQRLYNQTGNGVADATLDASYAAYLRQGCPSSGGDDNLFPLGLVTPARFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYAEDVELFFRHFAESMVKMGNISPLTGEKGEIRKNCRRVNGNGY >Dexi7A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:7A:21916835:21917432:-1 gene:Dexi7A01G0011430 transcript:Dexi7A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTAKGLTLDDLVTLSGGHTIGSAHCNTFRERFQVANGSMTPVDGSMNTDYANELIRACSANGTVAAGTAVDCDAGSASVFDNRYFANLLDGRGLLRTDAVLVQNATTRAKVAEFAQSQDGFFASWAESYARLTTLGVKTGADGEIRRTCSSVNG >Dexi9A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:9A:474226:475938:-1 gene:Dexi9A01G0000910 transcript:Dexi9A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEEKTATVKTEEPSSPAAAAEQQQPTEAKPAAAGATRRAGPSAPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTQMAEQLQKTVVSPRRAPATAPEAAAALDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAMATMLGGLTNPAHKEQLEARIARMKEDPTLKPILDEIETGGPAAMMKYWNDPEALQKFGRAMGVGPSASSEATTAAAAGEAEDDGGEEEEYEEESIIHHTASVGDVEGLKKALEDGVDKDEEDSEGRRGLHFACGYGEVKCAQVLLDAGAAVDAVDKNKNTALHYAAGYGRKECVALLLQSGAAVTLQNLDGKTPMDVAKLNNQDDVLKLLEKHAFV >Dexi6A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:6A:2754914:2758034:1 gene:Dexi6A01G0002990 transcript:Dexi6A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGCFLLEFMRSVKSLKRGDIALSEYAPNDPVFSMRGLLSKYARIYSDVLLMENQIPLLVLKQLLTVVQDQYGTPATDEGVNNLVLEFLGIDKEPLFNNQQLGMHPLDIFHISLCGPRLKSPEWHGWVDTVPSAMELGETGIHFKRSNTNKLCDIDFKNSVLSMPQIVVRDGTKKEFLNLMAFEHLHRDIVTNVSVTDFVSFMDNIIDTEADVELLKKTEVIEHTLGTNMEVANMFNTMKTDAMMSPYGKLAKVKGEVNMYKWRASFIQTKPT >Dexi1A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:1A:3827288:3828074:1 gene:Dexi1A01G0005250 transcript:Dexi1A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKVILVALLVAAMASPLALAYDPSPLQDFCVADKASNVFVNGQVCKDPAMVSAGDFAFSGLQNAGDTANPFGSKVTLVDVKAVPGLNTLGVAMARLDLAAGGLNPPHTHPRATEILTVVEGEMFVGYLDTNGTLFAKVLHKGDVFVFPKGLVHFEFNFGDCPAFGIAGLSSQNPGLVRVADSLFGASPAITDEVLAKAFRIDAGTVQRIKAQFATKK >Dexi5B01G0031900.1:cds pep primary_assembly:Fonio_CM05836:5B:32554599:32559248:-1 gene:Dexi5B01G0031900 transcript:Dexi5B01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRLPPMDHLRSEKMCFVQLIIPAESARVAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKASVRSSVRPALEPEIDLEELEVRLGEHEHELLEMNTNSDKLQQTYNELLEFKLVLTKAGGILASSHNHAASAERELDENIYDREVDEGNAYLLEQGVHQGSSGNSGVRFVSGIILKSKALAFERMLFRATRGNMLFNQAPAGEPVTDPISGEEVEKTVFVVFFSGEQAKAKILKICDSFGASCYPVPEEMIKQRQIFNEVSARLSDLEVTLDAGIQHRNKALESVGSQLWRWTIMVQKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDCLQRATLHSNSQVGIIFHEMDTIESPPTYFRTDKFTNAFQEIVDAYGYVHRFQTCHDK >Dexi1B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:1B:25626999:25632365:1 gene:Dexi1B01G0019430 transcript:Dexi1B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGKKIGSGSFGELYLGVNIQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNFCSRRFSLKTVLMLADQMASSLMVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFVTYFHYCRSLRFEDKPDYSYLKKIFRDLFIREGYQHDYVFDWTVARQAADNNRLRLSGRGGLVGPSADRAERAAARPDVPVPDRFPGPVDAFGRRTSSGHYGENTKQRTLLDTLLAPKTTVDPDRRKPSSSRNGSTSRKALLSSSRGSGDLSDPNRSSHLLPTSSGSSRPSTNQRLYQSTGLEGRSSSLSKPGRIVHDDPTMRNFERLTISADRRK >Dexi4B01G0023990.1:cds pep primary_assembly:Fonio_CM05836:4B:25187076:25189218:1 gene:Dexi4B01G0023990 transcript:Dexi4B01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPCCCCSSFSSRSLLLVPTTTATATLFFLPTARRRRWRPPSACSSSYNGWSDLAAAPDLPLFPLPLQHRHGLLLLLPGAAAAALALSLLPPLPLAAAAFTAGFAARHLSSAQSNPALLADLDAQLRALRGHLLSEADPGLLVQAVDRLRDALADAAAQGDTNPGPVLGVLGDLAECLAAWARHAVRELTLSAPRKKQQPSPSAPPVPSPKNNANSDVAKAQALDGGMLQFDDEDGSGPTDAGKLGGARLERLMFKHRHGRDRAKDDQFQPASTSSRFSTETIQSSLLERTLEIRDRSYRFKIEHASQGQDRADESFVDNAATLDPLYDESSATDSDSEEFSRNIKEAAEILRKARESMVAMADEETADALLYKSASLLSAAVALKPTSLVAVGQLGNTYLLRGELKLKISRELRTLLANGGAFLNGRDRVSRSSRKVDSRVLSRENISSALVQVCEECESLLVEAGRSYRTALSIDSGDVKALYNWGLALIFRAQLLADTGSEAAVDADRMYLAAIDKFDAMLSRSNTYAPEALYRWGTALQQRSQLRPRNNREKIRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWL >Dexi3B01G0031560.1:cds pep primary_assembly:Fonio_CM05836:3B:33536383:33540281:1 gene:Dexi3B01G0031560 transcript:Dexi3B01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSDSPDYQEPQPRSKQFRNQLAAAVRSINWSYAIFWSVSSTGPAGVLTWRDGFYNGETKTRKVTSGSSRLTAGQLALQRSEQLRELYESLQPPGDGRATPRPVAALSPEDLGDTEWFYLVCMTYAFRPGQGLPGKSLARNEHVWLRNAHLADNKTFPRALIAKTIVCIPLMGGVLELGTTESVMEDHDMVNRATAPFREPPPQLPACTEEPTSSPSSDDNRDQQASEDTIAFDFEDIDDHTAAMEPMIFTDTEHPPDASNLEDQVAMEIGELYGLELEDVQVQLVEDDWFLTIPPSPPAQPAATKLAGADDGDHALMNTPGDGSRFTSFKPWTRSDAGDMAGVTAATGREPQKLLKKVVAGGGAWASNGGGGDGSMTRATTQESGVVRNHVMSERKRREKLNEMFVILKSLIPSTRKRRVHELESRNEPVEVVGTTNKKKVAVASGGAKRRKKGSDDELDAGDHRRQSWVGSPSNVISITVEDREVVLDVKCRWEERLMARVFDAVKSFHLNILSVQSSTLDGIMGLKVRAQFASSAVVAPGMMITEALQEAISSRKD >Dexi9B01G0024850.1:cds pep primary_assembly:Fonio_CM05836:9B:22942154:22942726:-1 gene:Dexi9B01G0024850 transcript:Dexi9B01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVEQMRNPACEPRKRTPLLLAAGPFTWERTEATDRECTQSVSIQSDLLPHRFLHLSIPSSTLSTGLSPSLANHSPDGSPAVRRRHPSASAAAAPRRSSIRREVDGAGGSSQRRQLESVPAAAARIGTGSGSIRDAGLMQIEQPLRREAQGRRKEQGPARRGGGGAWRGQRRDMRCEAAVRGSGCDAK >Dexi6A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:6A:25311335:25316854:1 gene:Dexi6A01G0017460 transcript:Dexi6A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIASVNDITPNDLPESSAQIKDENKDNDSDGKPATIPVYEQNSKSTAKKLKRQSDIASTDMVTRKKWRAVKDPHHDGSTTSEVKHQACTCGVNTEKKRRKSSMGKVSAEEGKASSNKETTTQGGTTPQADLTSKVKSRRKLGIQKSLSQECKPTEGAGDSGSDKLSYSLSNIIDVKDIDCMPLHPPENLPESLRQHIVNEFYSRFSDINEDQMKELVTGGAARFASNLNGADASFHIPAGHPMSTLMKQAKEALLVELRHMNEEVSGKQKEGEIIRDLEHFRKQYAMVLVQLRDSNDQVAAALLSLRQRNTYHGNPVESYPKSMENGIAFAGAPDPYNLFRYINPESGSQVIEVIETSKCRAKMMVNVAIQAMCKVSEGENAFAKIGEALDDLNSRGTGSGSSILGIRRIPPDSGQSNTSYQENGTPAPSTNMSSRLPNGCDSDGQFPTELISSCVAMMLMIKNCTEKQYQPAEVAHILDSALSGLQPCSSQNIPIFREIEMFMSIIKNQIVTLVPTPSG >Dexi4A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:4A:244020:244865:-1 gene:Dexi4A01G0000350 transcript:Dexi4A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALEDDRSALARGRFVLYLYNSKVHSWSVANVSLEAQHWQRYQDDGYFMHRNTRAIAVGGADATIAFVDLWRGILLCDLSHAKDKPWLRYSCLDSQLLPKPVDNEQPFLTLNVAHPTLSWHDDHTVWFMLKMAQFDAKAWVIAVDVVNNRLQGVAHFDAERYTAIGFAYLHSRISKYLNKATTSSSPHCKH >Dexi3A01G0028520.1:cds pep primary_assembly:Fonio_CM05836:3A:29339094:29348374:1 gene:Dexi3A01G0028520 transcript:Dexi3A01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRPQIDQFFPAKKRRAPSRKDDPPRPGSQHGSPGGAKGSLEGYLVRSPSTRATAAASVAPEGSPRGGDAGAKRSLSAAMDVDVGSSVPTAEAVDDGADLELRRFTTDFLSHCCSAIPPLRDDSEYGERLEKNHKRCASQSFLVPCDNASVKKQCVSHCGGLEALKESDDNVAFKEQCRKVHHGGSEATEELIEGAKVSCMGVSALQRCSFTPSITQKKIGFSLAPGETPKSVSRNSLTSPGEDFWNAAMEFADGISAQADKVRGRTDFDGVEDKSSCAVAVCSKTLSRSGKDELNCINTVGSNDTHQLGKLSNEVELLAANSQHKISSPLPVKHLDFFHEDDINVSGVKCEEKSSNEASYNVQKNNVQMKDSGLQRNENRIDPVDAMKTSALDLHSDSADIIHCQGVFKSTTKEKVHSTREGDKDFHHQSKSLAAYSNGCKAKKDFKSKFVSQEVEASTPTSSVPLKDYSKLSSWLPPELCAVYMKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAEHLEQLLEPLGRHVHSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEEGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGSSESSSGETSGSSSGKLVTHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKEMNVVRVLPKVADLGGKDPDHIVELCNEIVLQGHSVLLFCSSRKGCESTARHVAKFLKTNSIGPSDVGSEFSDAASAIEALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMSGRAGRTGIDTKGESVVLDDLSRAREGFVLASDLHLVYLVTPINIDLELDWELYYERFMQLSSLEQAPPLRPDLQQAPPPPRMPLRQSRP >Dexi9B01G0047870.1:cds pep primary_assembly:Fonio_CM05836:9B:46877364:46879562:1 gene:Dexi9B01G0047870 transcript:Dexi9B01G0047870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTRSGAAAAGGGDHMDAMESCVPPGFRFHPTDDELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLEEGWVVCRAFKKRTAYPARSSMAMAWESSYSYHREVTAMAAEAAAFVNPTNATKSARFKQETGAELDAGAAALLQYSTTHLVELPQLESPSAPLAPNRRSQASSADEVVDSGSRRGKKKARAHDDDKVAAAATDWRALDKFVASQLSPVECLEAMAAGDVGSSQLEHCGEEDDDMAALLFLNSDGREEAERWTGLLGPAAGDGDFGLCVFEK >Dexi4A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:4A:180598:181732:-1 gene:Dexi4A01G0000240 transcript:Dexi4A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKVRLDIATVIGLLAYVVNYKFESLASSPALLILVFRVALGYKQTRDRYQIEMPIDKAMETLVRLGLVIELPSNGGSSVIGLPCSEAYETLRS >Dexi5B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:5B:4280177:4280482:-1 gene:Dexi5B01G0006300 transcript:Dexi5B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSAASATPRRRRMKDMTPEERSAAMAFIWAAIRDLEEYMDMTEEDLEDQYRRAGKLHKYDPEMELKKRYARVAKKQPPPAGLVPKMDEYLKLIEDEDD >Dexi9B01G0044410.1:cds pep primary_assembly:Fonio_CM05836:9B:44173609:44176441:1 gene:Dexi9B01G0044410 transcript:Dexi9B01G0044410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSIQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEAAKNRHILEVVPGFEQAIQTYAIHLLSLTYQKVPRPVLAEAINIEGLALDKFLEYHAANSGWVIEKGGQSQVIVLPRNEFNHPELKKNTADSVPFEHVTRIFPVLS >Dexi9B01G0024720.1:cds pep primary_assembly:Fonio_CM05836:9B:21376811:21381231:-1 gene:Dexi9B01G0024720 transcript:Dexi9B01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPSRPPACGPPPPGVIVSKNGGAGGCAVRQQDRAAAREASSAASSGGWSGRGAAAREAWRRCRQRRDDASSAASAASSGGWADKGGTMKVYGMYHFDKMQKASTVAELTRNFSKNKNTLTFGVLYTIDAQTMVKARFNNNGSLAALLQLEVKPKTHLTVSGEFDMKALERPPKIGLALALIRCSNGTT >Dexi3A01G0024500.1:cds pep primary_assembly:Fonio_CM05836:3A:20169175:20170264:1 gene:Dexi3A01G0024500 transcript:Dexi3A01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSTLFTLLRGTTRRPAAKSTTISCAALKKPLSASSSSHGEYSGGAGRMSWVSFLHHGLAAAALSLAISLAPAPSPALASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEARKNIRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGNNKGIIVLVTSQKEGAVTGGPAFIKAVGDQILDATVSENLPVLATDEKYNEAIFSTAKRLAAAIDGLPDTGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Dexi7B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:7B:16279640:16282974:-1 gene:Dexi7B01G0008490 transcript:Dexi7B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEATLEDTPTWIVASVCSVIVLISLIFERGLHHLGKALERRRVTLYEALLKLKEELMMLGFISLLLVVFQNLIQKICIDESLMEHWLPCRGGKKAAVAHYVGASSTFAGGGRRLLTGGAAFGHCLSKIHIFIFVLAITHVALSAVTVLLGLLQRSFFKQFYGSVSNDDYVAMRLGFVKEHFRGHPKFNFYDYMIKALENDFKRLLLLIGTKLEHIINKLAYEVASKHAVSQGEGGVVMRLSDELFWLHSPRLVLVLIHFILFQNAFEFAYFFWTLAMFGVNSCIMDRLGYSISRIVICVIVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVADHLRGWADEARQRMRRSATTGNAGCLGAAAAGRRWEAAGWRSMPERSTAQLRSISF >DexiUA01G0001930.1:cds pep primary_assembly:Fonio_CM05836:UA:4810859:4812870:-1 gene:DexiUA01G0001930 transcript:DexiUA01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKSGCSCIGQVSYGSFTIAEVFADKLLSSVPLYYHVKFAILVWLQFPSNGGSKHVYKKYLRPFFLKHQAKIDRFLNILSKELTKFVSRHEDEIHFIENMAIRGATTANYIVNGLDQPDESQATNAIEGPNPTATEEADGLGTET >Dexi9A01G0022470.1:cds pep primary_assembly:Fonio_CM05836:9A:17657353:17658105:1 gene:Dexi9A01G0022470 transcript:Dexi9A01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWLGLWGVLAACAAAGAAAWSQGTSTFYGGDDGSGTMGGACGYGNLYDAGYGINNAALSTALFNDGASCGQCYAVTCDTSRPGGQWCRPGTSVTVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAWANIGVVTAGVIPVLYQQVKCSRSGGVRFSIAGSNYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGLVGQGLSFAVASTGGQHVEFLNVAPAWWLFGQTYNTYQQFAY >Dexi3A01G0027730.1:cds pep primary_assembly:Fonio_CM05836:3A:27354442:27361735:1 gene:Dexi3A01G0027730 transcript:Dexi3A01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHYKGKNAGPMARKRAKDFVDIESEDSDLHGALSGDSSDTDTLSQSDGHTSSDYQYNFGDSNENIKRAAYLQVLKDDLIFMLNRFSISLDAYFNEQLDSVAGRVLPVIVKEKICKLLESFCFGSVLSVNLDIHSINFLPDEFKSTFALIMNHLYSVDFRAEKLVLDVIKLISQSAPTPHIPVSPLPQSTPATHRATGSQDFNAVPSLEDLNGNIKFDLSQMRDVDHAESKETCADDAEESLPLPVPKLNLSASKTPLNLSNDSAARVMQKLSGNHFSSQSPSSSTPSRFKTPLSGHQSNSRKRRLDSQRKSELQHHCEYNRTHLQDSTNAMPVKRINKSVDNLYVPDSITPSPKPRISRFHSQKSAFGKENSSPALSENENLLKDPDEVDQAILARAFQRSSKARPLHQSNMLSLGSRLITR >Dexi6B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:6B:5865101:5865331:1 gene:Dexi6B01G0006230 transcript:Dexi6B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMRRRRATAAGYGGKVRWRTAASRDAVGDKRMAASSDAVGDKWAGGDAVGDKRTAASSDAVGDKRTGGVERRGG >Dexi3A01G0023230.1:cds pep primary_assembly:Fonio_CM05836:3A:18878362:18878993:-1 gene:Dexi3A01G0023230 transcript:Dexi3A01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAGDIVLSQFPGAMRSISSLLEEGTIRRYRQGGATCNKLLLQGLSILERLASDRHNCSDICSTAGLLPMITAPVYSATLIQDTENSVEWACVVNASLKLLCPLVRAPVEAGRRLRHEFSSNTIAVSNLLQILNQDSGAGQEVQIGAMEILTELAKDFYVEARQSHCWEDACGAVKR >Dexi3A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:3A:10269221:10269583:1 gene:Dexi3A01G0014040 transcript:Dexi3A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVPVEWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRQAEEERRAPRPPLVVSHHPPAPPPKPAAAAAVGASAQ >Dexi8A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:8A:28653001:28653027:-1 gene:Dexi8A01G0016980 transcript:Dexi8A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPSTIELV >Dexi1A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:1A:7094760:7100919:1 gene:Dexi1A01G0009020 transcript:Dexi1A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANCLAQTNALFRKNLVIQRRNCRANCCLVCFPFLICSLLGVTQLIIVLSFVSSRARTPGTDCGYCNASTKSWMENTVGGLNCPTECPLPIAPKWPPVLQLPVDSDIENGPGSFNSTDLTDTSIRRQSPTTFLVTGTNQAFAESVMSNMFPKHDDGLKFVADIAALADYALGTNAMRIISIGDNELGSDFDNNSHLFFLQNNCTVNSKLSFPVQEGHKNFTKAYDLTSSDLNKFDLVVSYNSTYRGTTQIQSSIPALSSLSLSPIMLRLPRLLNLVILSNLVYEKQQKLRTIMKMHGLGDVTYWTISHCYFLLLSLLYMFFLVFFGSCAGIKLFTLNDYRVQFVVYFAYMNLQISFAFLMATYFSNVRTASVVAYLFTIGSGYFGEYLFRPIFEDMSLSRSWTTLMEFFPPFSLYRIVYEFSPPPSPLYRTDFSGLHWGDLGDGKNGMKDILIIMALEWATFLLLTFFLDEFGTLRNGIRKMASVCHSSVDGSSQASQKQTIQLQEFEYSVEMDRTDVLREREIVEQLLQESDGSYSVICDNLKKVYHAKDGNPEKIAVTELSLYMQRGQCFGILGPNGAGKTSLISMLTGFTKPTSGTAYINGMDIRSDMGKIYTGIGVCPQFDLLWETLTGREHLLFYGRLKNLRCAALDRAVEQSLKNVRLFDGGVADKRVEEYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRRALWNAVMSAKQNTAIILTTHSMEEAEALCDRIGIMVNGSLQCIGNSKELKAKYGGTYVLTVTTAAAEEEVVEQLVRSLCPAANRVYGISGTQKFEMPKQGLRISQVFHAMQHAKTWLNISAWGLSDATLEDAFIKVASESDISSL >Dexi2A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:2A:28124986:28125315:1 gene:Dexi2A01G0016480 transcript:Dexi2A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSESTRRGRLPSRRRNDTRDAGAAEGSVETAPADRSSPAPSDHPADSDESTSLPSTQREPPERVGVRNRADVGGSSWIPPSSIAPPPSSSSSSSSGDGAGGR >Dexi9A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:9A:203609:205449:1 gene:Dexi9A01G0000290 transcript:Dexi9A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSCCLRPPPAPSSGAKAAATASSSSPPNLKATKSGIGRRDFVLRSSELATLAAIFHFSGTKPSYLGVQKNPPSLALCPATNNCVSTSEEISDSNHYAPPWNYNPKDGRRGKPISKEEAMKELLEAVTKTRPDNFTPRVVEKADDYVRVEYESPIFGFVDDVEFWFPPGNKPIVQYRSASRSGFVDFNANKKRVKELRLALEKKGWASESNF >Dexi2A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:2A:29438610:29439449:1 gene:Dexi2A01G0017580 transcript:Dexi2A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVAEKPDDVEIREVWADNLEAEFAVIRDIVDDYPYVAMDTEFPGVVCRPLGTYKSAAEFNYATLKANVDMLKLIQLGLTFSDEHGGLPALGPGGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFARHGADGADARRFAELLMSSGVVMNSDVRWVTFHSGYDFGYLLKLLTGTNLPDTMSGFFDLIKIYFPVIYDIKHLMRFCNSLHGGLNKLAELLDVARVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGFEGGETTSAH >Dexi6B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:6B:26901090:26902476:1 gene:Dexi6B01G0020450 transcript:Dexi6B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLNSVPAITFAMAAALRIEKVRLDRRDGVAKVVGTLACVAGASVITLYKGPTIFGPSGGGGEDKHVQLIGGGGEMKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWKFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIIGLYLVLWGKSEERARLARDATAAVMPPPAEATITAARSAKMITQPLLLPTSTGDNV >Dexi9B01G0029830.1:cds pep primary_assembly:Fonio_CM05836:9B:32223545:32224148:-1 gene:Dexi9B01G0029830 transcript:Dexi9B01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRGSPGTRGWKSRGWRPRPPRRRVGLDSGNWRLEPGIGSSGTVVAWCRVRPADWKLEMNPTDHHPAAGAYLDVDPFVGTPSPRSYRSRRLRLHVMGPARQPPSPGGRARVGEEEVVVWRVSENGKIVICTSDARCSSSNLLAEPRFRHDGEPHGTNWLDPFTA >Dexi4B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:4B:17715523:17715738:1 gene:Dexi4B01G0015830 transcript:Dexi4B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAYLLSARALPAHLPPLRPNAASPPLARLPPPLRPVAARSDKGVAHPATVQLDDARGDPVPDERRGGL >Dexi1A01G0028860.1:cds pep primary_assembly:Fonio_CM05836:1A:34430112:34430797:-1 gene:Dexi1A01G0028860 transcript:Dexi1A01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAFLLLLAVAASATALVHGRELPTRIKLIRGAAAGVGGDSMECVYTVFIRTGSIWKAGTDSNITLELAAEDGNGVGISDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMARPPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLDAVVDHCSSDAGTAAVAA >Dexi2A01G0037670.1:cds pep primary_assembly:Fonio_CM05836:2A:47179333:47181759:1 gene:Dexi2A01G0037670 transcript:Dexi2A01G0037670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVHMASESGNGNCNAWAAKDPSGILSPYKFNRRHEIAGVVTEVGSDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENYCPKSVFTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPVDYPLAKAAPLLCAGITVYTPMVQHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKRDEAINLLGADNFVISSNTKEMESLKNSLHFIVDTASGDHPFDPYLSLLQVGGVMSLVGFPSEIKLQPVNLNCGARTLSGSMVGGTKVIQEMLNFCAENKIYPEIEVIKIDYINEALARLVNRDVKYRFVIDIENSFK >Dexi7A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:7A:26648434:26648667:-1 gene:Dexi7A01G0016820 transcript:Dexi7A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQKGEQRDLTGEDGSLADAGGADEEDTGPRLQGSLHSLATKALYPTLDQSGKFGDATRDRKKTS >Dexi1B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:1B:25466019:25475316:1 gene:Dexi1B01G0019220 transcript:Dexi1B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLADPYGLRCVSDLPPPFRPVFRFRYFNSLQSECFQVCFLSDVNMVISAPTGSGKTVLFELCILRLFSRFLSPDLRFNLIKGTLKTIYIAPSKALVQEKLRDWNTKLSPLGINCLEMTGDNEFYNNKAIHDADLILTTPEKFDSMSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAVVSRIKMLSRHGNMKSAPLADVRLIAVSATIPNIEDIAEWLLAPPQGIKKFGEEMRPVKLTTKVFGYAPAKNDFLFERRLQSFIYDILMEHSRGKSALVFCSTRKGAQEAAQCLSQTGASLGYSNPFMQSMQQYEHLKQASLTCSDKQLQACIVHGGGIFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCELVESQLLPCIVEHLNAEIVQLTIANTSLASEWIKCSYLYIRIKKNPENYGVKRGTPPDLEKQIQDICVKKIHELVEYGLIWRDEEYAFLLQPLGIQLRRNEKKILNDINTDKDGRLLFHIVMANGKKKKRIQTREEKIFLLANDCLTGDPQIHDLSLNQEMNSICSNGCRIARCMREYFIYKKSYRSAINSMILANSLHQKLWESSPFLLKQLSGVGIVTAKALKSAGICDFETLATADARKIESATGRNYPFGNHIKESMSSLPPKIDIQIEDSGNRLGKSTITVTLTRLSQAIRSNKQNFADMVVGSDEDNVILFHEKIRSDSRIPQNITIEVSTCAVDTLFNLKVVHNSSLANLLKCSPYSVKVFVPCPQNARVTMKVDLIFEDYVGLDVHKKHVISREDDLHVTKERGICKPEPVYNHPAEICLVNSRTTRTSRSQSHPDQSPLSKEVYVIEDKAAAGALKKADNGLGTRKFNKLASLEVPSFDLLPEEEYEDVQAASTPEAAAAECISATNNTIFDHIRKKSKDFPTLMLSKSMDSSYEPLILKKMKTSRDQFGVEQSSLHAGEVTPTDSEPVEPRISPTNTAEKCRGILNRTSEKSRVLFAGRRDNPSEKTKILTSEKSSVLFADRRDSPSEKTKILTTAGESSLRIAGEKGSPLEKSKILINAPAENSLQLAAKPDSPSEKSKMPTALDECSLGHVSGKHSPLVKSNILIRTPAETSPQFAAKRDSPSEKRKFCVSSPLPCFEAAQRTKQVRAASQPFNIEEYVKDILGKRKDSESGDSFLNCRSVFSFL >Dexi3A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:3A:9104213:9105426:-1 gene:Dexi3A01G0012530 transcript:Dexi3A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVAGTNDSSGTMPPAPGKKTRKPYQITRPREKWTADEHERFLHALHRFGRDWKRIEQFVATKTATQIRSHAQKYFLKAQKLGLGAALPPPHPRRGAVIAAHAACSGHPDDGNAVASMAAPMWPTTAAPPMSSTDFVAPSSSAQQNADDWAARASAGAHRHHWPSCGGGEPSGAQGNETIQLPLSPDDPRFALVYRFVGDVFGSGAARPVEEQLQRLRGVDPAVVDTILLVLRNLQDNLFA >Dexi2A01G0031270.1:cds pep primary_assembly:Fonio_CM05836:2A:42022773:42028911:-1 gene:Dexi2A01G0031270 transcript:Dexi2A01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGLQLHGVLQLCLCTASSCLPFDPSHLGNRSADDRAHGYTRFADKGDWQQDAGHAPLLPFDGGDVTRGPPASPGPLRLATFVLTHVDVERGGGTAINVSGVLVLSIARESTGDEIIPWMRVPAASPEFEILPGTTKLKILFEDRGHVSARNTLRPPVIADNNIVLVVRYPKELTLTTRAVHGEMWSTNAVSDAAYFDAVRLMSKLPEGWRCEEFSDAVAAGSHSGELYKGISICEVLHRGYAHGNKLSVVPNWQCSSKDQPCRWPWPFETSTAADGAGEALAFDVGAIALQDVQCFPASYEDGSEYSRVSAVFQALPPRSIGSRRQCETSWTTCRSRLRACGRPRQGRPAWWGASAVGGTRATTVCVCLYIPRTFSITRSSIMLGRITSINAGGDDDEETHRPVLLELRVPPVRLWGLSKALRFRMAYNYTVVKQAGEFLRRSGSAFSTGRDMVAKSLFLSYPYPKKDTTGGDQVTSLFRLGDELMLWFTAVPALFPSGSIERPVLLLEMLSVEQAVGPIAPRSFWHGSLSMAASQPSKEPAAAAGAGTGWRPLLNVSAELRIFGKPFGWTTALSLEGVYDPEDGRMFLIGCRNVGVPESNVSTSRAEADLEEGMDCSIKVKVKYPPTTTHWLISSTAKVHITTTRSAGDPLYFAAVRLEAWPALSQLRHLKSHADVAPHVSHAMLAVQIVGYGVRLITGFEAAGTDDLYRAVDLTARALVLAALLLTLWINEMVGQSRAWMLARSPLEPWRVPSDRKVLVYNCGAPLVAPALGTNGQAISTEQLAAVMHDLFLLPQLIGNAVWRVNCKPPRESYYLGVTAARLLPHAYDYLRPPAPVVDPYSNQYSGHEYFHMPKPVDLVVPLFGMVLALVVYMQQRWNFAIANKTRKVEQ >Dexi7A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:7A:1222778:1223560:-1 gene:Dexi7A01G0000460 transcript:Dexi7A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYECFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNIVRMQLHKDPNVLFAGYKLPHPLQYKIITRIHTTSQSSPAQAYNLAVKDLDKELESLKQAFEVCI >Dexi9B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:9B:2046102:2046743:-1 gene:Dexi9B01G0003580 transcript:Dexi9B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVETVFDQHGCLIVSVSSFLIMVLLQLQLLVDDVGSASRSILSASRAVVRMLTRDDSVVVVDALAADDDEDGDRGVAPHPRRGRHCERCAKRGASRSDVVAVMRSLRLLPAGDEDDGGGGGCAAMWEEVDELLESKVASEAELREAFYVFDRDEDGFVDAGELWNVLRRLGMADGAKHEEDCRRMIAAHDGDGDGRISFPEFRAMMENAL >Dexi8B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:8B:9105987:9106898:1 gene:Dexi8B01G0007510 transcript:Dexi8B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAALLIPLSLALLVATATAKQTGQLTVFWGRNANEGTLRETCDTGLYNTVIISFYSVFGHGRYWGDLSGHPLNTIGADIKHCQSSNILVLLSIGGDASKTYSLPSSQSAADVADNLWNAHLGGRRPGVFRPFGGDAAVDGIDFYIDNGGPAHYDELARRLDGYNKFYRGRKGVRLTATPRCGFPDGRLDRALRTGLFERIHVRFYGNDTCSLGKGGTSGVAEAWGKWTAAYPKSEVCLGLAPAESGVPEGAQGTVAVYLKYLYYDLLPKVQKAPNYGGVMVWDRFADKKTRWSGVVKGWA >Dexi4A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:4A:20418955:20420156:-1 gene:Dexi4A01G0016690 transcript:Dexi4A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITRSTAFLALAAAAVVLAVLGGGTTAQQLSPTFYSTTCPKLSTIVRSAMAVAVRKEKRMGASILRMFFHDCFVNGCDGSILLDDTPSFTGEKGAGPNFMSVRGYEVIDAIKAQVEASCNATVSCADILALAARDGVNLLGGPTWSVPLGRKDSRTASQGGANTNLPGPGSSLATLISMFSNKNLTARDMTALSGAHTIGRAQCQFFRSRVYTERNINATFAKQRRRGCPKSGNDTLVSPFDVQTADVFDNAYYQNLVAQEGLLHSDQELFNGGSQDALVKMYSSSGAQFFADFVAAMVKMGNLMPSSGTPTEVRLNCRKVN >Dexi4B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:4B:8558101:8560223:-1 gene:Dexi4B01G0011160 transcript:Dexi4B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVVSSRAEQGAGGYLHHHHNHVGDSSALLPELPRSPNPSSKSSSNLTVATFVPPLAVAHGGVAPSLGMAPPGMATTADDGRFCLPWAAAAAAGAGQFENWGDSGFVVTSPLTEATSTDVSGDQQHGQMGGAMTQSVGVYVDSCAASKDGSSRDHKVQRRLAQNREAARKSRMRKKAYIVELESSRAKLAQLEQELQRARQQGMFIGSGRTGDHGGSTGGPSAFELEYARWLDEHQRHMNDLRVALSSPQIGDDDLRVLVDAAMLHYDHMFRLKGAATRADVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLAADGPADGPAMHS >Dexi7A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:7A:16735011:16736324:1 gene:Dexi7A01G0005460 transcript:Dexi7A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAPHHLLSHAAFLPHHDLAHAFRALDGAAVGVGSAFLGELGIAGCAAGIGDAAFGGATRSNLTCNGGSDYDGLQPRKRARVAQGLMECGGQQGGLVLPLAEPHGQVFAGDVQSRAVGCGAASTSGRAVATNGVLSQLYHQGVEIDALVRLEIRLI >Dexi5A01G0028520.1:cds pep primary_assembly:Fonio_CM05836:5A:31762256:31763086:1 gene:Dexi5A01G0028520 transcript:Dexi5A01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKSGFLPRKAATKSSPFPHASPNPPSPLLRPAFPSRAFSGDDPRRGTPNLGFPLSRHGAGSRSGGASAMLPCARSVLRRRGLASSLLRRCGGEAECTAGAGEALANARCASTLSAFGGERVLGRGGRWADPRAGVMGAGWMARAQTRCFLGCGDGEEGSVLSKVYEERRLRYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHDDGSFDAELEIGFKFLVESYVSRVEMEKPKYIKVRIV >Dexi9A01G0024760.1:cds pep primary_assembly:Fonio_CM05836:9A:21429408:21433482:-1 gene:Dexi9A01G0024760 transcript:Dexi9A01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPPAPPSALRPEIGPDGLARDSPVIAYTEKVILEEQLQLKKYIQENYSKIRDVERELDSLTLEMKLTAGPKKAALEHLRKKIEMSTEKIRLAKVKEEHAKKAWEAAAQVVKDEEDAKQKLCDDLNHLVQESAATQYTRLEELKKRLESLNPCRPSVDVSGVNTAQHATTTSVPQPPMSQNPATPNDPVNTEPASTGQPRRPAQEEKRRSSNARGRSCVMILPKGRPSSGSGWTGAGFDVDSGT >Dexi9A01G0030730.1:cds pep primary_assembly:Fonio_CM05836:9A:35669207:35670031:-1 gene:Dexi9A01G0030730 transcript:Dexi9A01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGELKLLGLWASPFVTRAKLALQIKGLSYEYVEEDLANKSELLLSSNPVHKAVPVLIHNGKPVCESSVVVQYIDEAFAGTGPSLLPFDPYERAVARFWAAYLEDKLVTPWGRVFRVMSDEERAEAMRQTIAAVDALEGGLKECSGGKGPFFGGESVGYVDVLLGGLVSWVKATELLSGAKIIDATKAPLLGAWMERFCELDAAKAVLQEVGAVVDYARAVQARVAAATTPNNN >Dexi2B01G0027820.1:cds pep primary_assembly:Fonio_CM05836:2B:36582219:36583264:1 gene:Dexi2B01G0027820 transcript:Dexi2B01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLLFLLCLVVLLLPLAANCDLQDVVCLGHGYRTAIDSNYETNIHHLTAILPSKISSSLGFSTGHEVGEFPEAHFGSTGLTNLGSETPERDFRGRWIPLSRCRNGTDSSSCRACITMALKEGRIACPDHREFVFSNGNCTLQLYGFQFDDLNTMESGIVSMAPENKRSSF >Dexi5B01G0025440.1:cds pep primary_assembly:Fonio_CM05836:5B:27456124:27457472:-1 gene:Dexi5B01G0025440 transcript:Dexi5B01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSRTPTSPAAAGSSRLWRSPKRTGTRRRSRSREKRTDWSEYLDDTGEHRDGCGGTGADASDEAQKSKWSKYLDAGFFEERKRPEDSGLHWTELEECANTEATIDVVVDEEIHPDFI >Dexi2A01G0035080.1:cds pep primary_assembly:Fonio_CM05836:2A:44787643:44791463:1 gene:Dexi2A01G0035080 transcript:Dexi2A01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQISTSNLRRRRGVRADGSPDAMPPSFQTLATATVLLLLFTASAVSCASLPPEDGIRIVSAEKRIDLTGPIVKVYLTLKVENVAAASDAYQVLLAFTPTEVQHLAIVKATRAEGKRKKKTYVPLAVEASDLATSAPNGANLYSVLLDAPLKPGETITLEVLYVLTHSLEPFPAEISQSESQLVYYRDSAVLLSPYHVLEQVTYIKMPSNRIESFTRVDPTSRAGTEVKYGTYSNQAPNSYLPILVHYENNRPFAVVEELVRKVEISHWGNVQITEHYKLRHGGARHKGVFSRLEYQSRPSISGASSFKNLLARLPPRSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRFINLTFGCPLLDTVVDDLTIKVVLPEGSKNPQPIVPFATEKHLETSYSYLDVVGRTTVVLKKNNVVGEHNVPFQVHYEFNPIFMLAEPMMLISAVLLFFVACIAYLHMDLSIGKSS >Dexi7A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:7A:20981048:20983788:1 gene:Dexi7A01G0010140 transcript:Dexi7A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPLAACLAIAMVALLACRHEHAAAAAVPTLSTASRWVVDESGSRVKLACVNWPSHLEPMLAEGLSKRPVGAITASVAAMGFNCVRLTWPTFMVTNASYGDLTVAQSFHRLNLTDTLAGIRANNPAVVDLKLIDAFKASLPLARDDVPCAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDANFDPDVWVDGLTRMATMFAAVPNVVGMSLRNELRGPRQNANDWYKYMQRGAEAVHAANPRVLVILSGISFDNDLSFLNTRPVNLTFTGKTAFEVHWYSFSNSREWSSGNANQACARITSGVTSHALYLLDKGWPVILSEFGVDNRGGNANDNRYYGCAAAVAADLDLDWALWALQGSYYLREGVVGLDEVYGVFDWAWRGPRNATALRRVQALQRPLRGPGLAEAAAPYTTLFHPVTGTCVVVRRRSPPAMELLELGPCDETEAWDFSATQQRLAVRDSSGCLRAEGAGRPVSIGVSCGDAMARWSLVSDSKLHVAVNATAAGDGALCLDVGADGRSVVTNPCRCLRDDNSCDPESQWFKLVASTRSVAGKQTLLAQQLPLKRKNWRIRSF >Dexi2A01G0022220.1:cds pep primary_assembly:Fonio_CM05836:2A:34097878:34102207:1 gene:Dexi2A01G0022220 transcript:Dexi2A01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMPGERGGTGPPVPSPAAKARPPPRPGLRDGREAGEAGEVSRAQGRGARTSARQLGEEGGPSDGGDCGAL >DexiUA01G0003250.1:cds pep primary_assembly:Fonio_CM05836:UA:6591345:6593919:-1 gene:DexiUA01G0003250 transcript:DexiUA01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKERIRRSPPPPQAEAAPAHPRGGRKGRVAAALPLSVAALVACGVLLLLLAGGGSAARRGGRFLDADPGSLAGGDGRGDLHQARPRDGGHVTTVSSKDHRDKLIGGLLAPGFDERSCLSRYQSALYRKESSHLPSTYLLEKLREHEVLQKKCGPHKESYNKAIEQLKSGQNTEVGDCNYLVWVSYSGLGNRILTISSAFLYAILTNRVLLVDGDKGTADLFCEPFPETSWLLPVDFPINQFKNFSIGSHESYGNMVKTEDIRSDGSFKDPKPTFIYLHLAHDYGDYDKLFFCEHSQQHLQRIPWLILRSDNYFVPSLFLIPAYQEELMRLFPQKDAVFHHLGRYLFHPTNVVWGLITRYYDSYLARADERLGIQIRVFDSETGPFQHVLDQVLSCALKENLLPDVNAQQPIVSTKDVRLKAVLITSLNSGYYERIRNMYWEHPATDGEIISLHQPSHEEHQDSDKNMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKTWLMFKPENCTVPNPPCRQVTSMEPCFHAPPFYDCKARRGTDTGKLVPHVRHCEDMSWGLKLVGTDEW >Dexi1A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:1A:11738788:11739477:1 gene:Dexi1A01G0012220 transcript:Dexi1A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQTGKVKTLLGLALTRLAVLMLLVDRYQAAMSASSLPSAISIAEHVIEEDNILEAFNTIELYCNRLIENAKQLDKPHECGEDTREAVAGVMFAAGWCGDLPELLFARTILENKFGGDFAMMAKDGTGVVDPMNGKQG >Dexi1B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:1B:3812853:3813203:1 gene:Dexi1B01G0004770 transcript:Dexi1B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKMCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRADQIPCRGLGFVVHCEC >Dexi2B01G0032470.1:cds pep primary_assembly:Fonio_CM05836:2B:40337523:40338077:-1 gene:Dexi2B01G0032470 transcript:Dexi2B01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGKYPPNPSSPLSLSSFPIPRRRRSLLAKRAAWLTLSPLPSPGRRYSFFKALVVLLYVQPAGDSALFLSLASTWLDTMGTAATDLGRTACGSDCVVPIAGAKIRFVSRFALAAIFVPALLVFAHLVAVDVSTCDAEGPSLFRSHPIPMSLYALRSRPSKAAIVWWPSNPYSVSLLSDLDAS >Dexi7B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:7B:1645086:1645985:-1 gene:Dexi7B01G0000830 transcript:Dexi7B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLAVVLPLLCAALVSGAAASRVDIFSYPSFDATTTQDLVAASNAWLLLSASALFIRGDVFAKYNRTEGFLLLSRAVDVWRPGPTAIPALEASFHTSFKLAGVAPVAFVVLIDRYPTLGGRDSLRGSGNYSSPYDGVSAAVDTLASVEVGPVRSYGRDDPAVGLNVTVTPNVTAAMTRTVWIDYDAAAHRLSVRVAGDGEPRPSKALLDAPLALAGRRTTETAFVGFFAAALQDIIVGVRDWDLTVDSFRKKRGTSWWVILLAVLGSVAATASIVTVAVCYFQCRRRRRQQLDMQSKM >DexiUA01G0008120.1:cds pep primary_assembly:Fonio_CM05836:UA:15253650:15254496:1 gene:DexiUA01G0008120 transcript:DexiUA01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSNGLVNSQLKLYRRIQKAPRARRTPQRLCLCSSHLAPPRRPPQCRRRLVARARRGAAEAERRDREARAQEEAYWQAAEGPKSRSARRREEDAEKRAEAAARRAENRRLAELEQQQLAAAARRPDRKAARVGGPAVPKVTKAELARRREEERLRLQREADAAKKRQARTADEEEYERVVLVANTNRDDSVIEARSVEDAIAKMAIAAEPALPPDRHPERRLKVSYKKQSLQSSRKRNLV >Dexi1B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:1B:21031713:21041144:-1 gene:Dexi1B01G0014670 transcript:Dexi1B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPALAVSGAALGGGAVVRALRPTGGGGERRRGSPSLAFFTGNNNPATPPPRSDVGDELMMMVRAGGARGGGSGPRGAMRAAAASGKVVVPEGENDGLTSSTDSAQFPSDELEVPDIPEGQIESSETTHRAREADALNREVLGSALQEKPRVVPPPGDGQKIFQIDPMLQNYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGVTYREWAPGAHSAALVGDFNNWDPNADRMSKNEFGVWEVFLPNNADGSSPIPHGSRVKVRMDTPSGIKDSIPAWIRYSVQAPGAIPYDGIYYDPPEEEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGNWEVIRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSGMPTFALPVHDGGVGFDYRLHMAVADKWIELMKQSDESWKMGDIVHTLTNRRWLEKCVTYSESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPTIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQRLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEAKYGVCFMTDDHQYISRKHEEDKVALDSDAGLFGGFGRIQHAAEHFTTDCSHDNRPHSFSVYTPSRTCVVYAPAD >Dexi4A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:4A:24448185:24452349:-1 gene:Dexi4A01G0020690 transcript:Dexi4A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFSATRPSPSGREKGRRKGGGADQLLTDQVLTLRSRLHDALALGLANNLMAKLTRYCLSAEITVAAKILKLYAALALCGDGALILLSSEELVAKIGVLMGKSHPTFARIEALKLCQVLLKVLEYVYTGFVTVDADIVKPVKTLAKYCYLKSLQEMLQKEQPRWNSNCPKYDLTAAVKPAQDSFSDIILEAQSKDKMDCHRSSCELSTPHVHSHKIVLIMNSDYLRALFRSGMHESFAEAIKVPVGWQALDKLVKWFYSGELPRIAPDCRWKNMNSEEQLSHLKAYAELSSLAEFWFLEGVKEESLDVVTSCLNSSTNASVEFISFAANLGQWELVEATICSVAHLYPRLRDSGQLEQLDEDVLNMLRTEYVRYSQHRGGRN >Dexi2B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:2B:5742987:5744749:1 gene:Dexi2B01G0006160 transcript:Dexi2B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETRRQEGAAAAACPPLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVTTPGNAARLLGAAATPPHLLRVATLALPEVEGLPGGAESTADVPPEKVGLLKKAFDGLAAPFADLVAESCSGDGDGAAAGFSRKADFIIHDFAHNWIWPIAEEHEIPCAVFLILPAAIIAFLGSREANEAHPRSTVEDYMVAPPWIDFPTTISHRRHEAIAVAAAFQPNDSGVSDMDRFWEMQHRPCCRLIVLRSCPEAEPRLFPLLTNMMARPVVPSGLLLPGGEVAAVDEDDDVDVVRWLDSQPRRSVMYVALGSEAPVTASHVRELAVGLELSGARFLWALRRPVAGDDAGGEVVVLPDGFEERVSGRGVVATGWVPQVRVLGHGAVGAFVTHCGWGSTVESLFRFGLPLVMLPFVADQGLIARAMAARGVGVEVPRDEDDGTFRGEDVAAAVRKVMEEEEGQEMARRAMEMREVVGDRRKQEEYLDELVECLQSCR >Dexi5A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:5A:463590:469409:-1 gene:Dexi5A01G0000690 transcript:Dexi5A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVAAPLRLLLPLSQTLVPTAPLLHLSRRLFASSSPSLGRAATLRALAYRAQPGRRHHQPRRGSSTLRKAPKEEMAGAGDKEVAFNRKRAEGMDGRKRGTMELKTRKLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGDEGMSVNIWGPSDLDFLAGAMRSFIPNRAMLHTHSFGAERNVSSSQSKDSVIILDDEVVRISAMFVKPRYCNGTGSLNDTDSKPGDTAIVYACELPEIKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDKFDEMLFPSSGFWSVKPANDVMENDKNTSSEASGSVSAQNLLKFHLRPYAQLGLDNASIPSLFTYKDIVEELVSEIPEVKEVPEQGHPSLQNIGMFGVNGADEAVKNLRCIWISHIHADHHTGLARVLALRCTLKPSVDAFLCENVTGSGTPQLESTMFAPGSRMENYNRKPASPRDTTALANFKEVLQESGLEILYSVPVVHCPQAFGVVFRAMEKVNSAGKATFEDSMKDEAIARNHSTTKEAIEVGTAGGAYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLVDLPVLPKVLPHLKVLFKDELVVEEADDIQEAAVY >Dexi1B01G0020890.1:cds pep primary_assembly:Fonio_CM05836:1B:26875563:26877764:1 gene:Dexi1B01G0020890 transcript:Dexi1B01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPPAPAMHSPERRPRLSDGPLGLRALPALSYNAHRALVLGLTFLAYALYHASRKPPSIVKRELARAWPPFADPALLGATDVAFLTSYSLGMFVAGHLGDRLDLRRFLAFGMVSGGAAVALFGAGYFLALHSLAFYVVAQVIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGIIALGGVLVLFFLAPYPQCVGFGPSPIEPVTEESTTDGEDSSSSTAGGAGKDRRDAVGILKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTDIGGEHMSAASAGYLSVLFDVGGIVGGILAGFISDQLNARATTAAVFMYLAIPSLFLFHAYGSISKVTNIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALEIPQIIQKWKNWSTNMQNGHADSDVQPLLVEES >Dexi5B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:5B:8874683:8881168:-1 gene:Dexi5B01G0012520 transcript:Dexi5B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGETTAMGEPLLAKKGRAAYRPGCPGCRVDRLNAEREGVFPLKDLFLIWLVTITCTLPIQSLFPFLYFMIRDLGIAKQTQDIGFYAGFVGASYMFGRAFSSTVWGIVADKHGRKPVIVLTLVSIIIFNTLFGLSINYWMALVTRCLLGVLCGYLGPIKAYATEVCRKEYNHLALAVVSSSRGIGLIIGPAIGGYLAQETLHKHNEDAVDNSVEAVEESLAGTDTEENESGGCLQLFKNWPLMSAITLYCIFSLQDVAYAEVFSLWAVSDRSYGGLSFTSTDVGNVLAASGLFLFLYQMLVYPFLAKAVDHITLVRAVAVTTITVFNILMNEAVTQDVRAAANGIAVTLMSISKAVAPAVAGIVFSWAQRRQTAAFLPGDHLVFFMLNVFTVTGLVFTFRPFFVRGSAKH >Dexi4B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:4B:12141286:12142092:-1 gene:Dexi4B01G0013480 transcript:Dexi4B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDPGGLLFDETATGTMTTDWFVGGGGGDEVEEEEGGGLRGKGGEVLELPPPFREDSEGGFGGGEDFELPPLQEDGEGGGLGDGSDDGGDDESEGGVLPEGEGGLEDESSESGGGFDFELSELGDGGGLDQPFELGGDLEPESELELLGGGALESLFLLLFSSLDDEELGDGAISDMLSFSDDSSYYVPQLRETSSSSS >Dexi3A01G0029020.1:cds pep primary_assembly:Fonio_CM05836:3A:30347325:30347729:-1 gene:Dexi3A01G0029020 transcript:Dexi3A01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSPRLGVVRLAVARREEVLTSLEATRAAAGGGRRPPHDPRTPPGAAAAGAPLLSKRGGDRGSRHGGGRGRPRAGKRRGGGRGSRRGDGRGRCGKGKRCGGGRGVGVEALRSESGPGAELPIRSGRRDGERR >Dexi9B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:9B:7017004:7018762:1 gene:Dexi9B01G0011070 transcript:Dexi9B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRTQMPWSSAQASPQEQLCEGTAAVVAARQGMETPLKEVAEAFEELARGMEADAGELRLAPFGETCALVSVLFSSLGMAFRFAEIEYVTKVNDLIGAGKSYRTLSDILDKDIENDSVKKQGSHSRNLRRVRLGLGLIKALFEQFLATDGCSLYDAATTAYGQVCAPFHSWAIRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIEYIDNLFLSRNISLDW >Dexi6B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:6B:20157596:20158762:-1 gene:Dexi6B01G0012530 transcript:Dexi6B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQREAGILRQQLHNLQEHHVYESVNYLPYNVCDCRQLLGQQLSGLDVKDLQNIENTLEMSLRNIRLKKDQLMTDQIQEINRKGSLMHKENIELCNKLNHIHQENSELKKKVYGQGLNEHPTDTAIKYSIVNKDDESVPVNLELSLPQNVESEKSGTPSL >Dexi3B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:3B:9642061:9643637:-1 gene:Dexi3B01G0013510 transcript:Dexi3B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVFGNEEGETVVLAHGYGGTRFIWEDVVPALGARFRVVVFDWSFSGAGKDDGGEVKYCCSYHGLADELVALMDELELRRATFVGHSMAGMIGCIASVARPDLFTHLVLVGASPRYINEDGYEGGFEPGDVDAMLAAAGADFAAWAPRFAEAVVGPGHPSAVARFAKQLGAMRPDAALRVLRAVLTCDARSVLPDVSARCTIVHCAHDAVAPLAVARYMERAMAGCGGGEGADTVVIESSGHFPQLTAPKEFVRVLEGILLDN >Dexi3A01G0024010.1:cds pep primary_assembly:Fonio_CM05836:3A:19620355:19622347:-1 gene:Dexi3A01G0024010 transcript:Dexi3A01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADEAGSPKKTKQGGFKTMPFIFANEICDRFATAGFNANLISYLTQQLHLPLVEASNLLTNLNGTAAFTPVLGAIVADSFAGRFSTIAGGGALYQLGMLGLVLSALSPSLRPAPCSATTATAPSLCQRANAGQLAMLYMSLLLTALGGGGIRPCVVAFGADQFGNRQGRRPGGEQKWSYFNLYFFTMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFLSVMSFVAGYPLYVKVLVAAFRKRKEDVPEDAGLLYHNKELDAPIAADGRLLHTHQLRFLDRAAVLKTGDVVDSGEPDLWRVSTVHRVEELKSIVRMLPLWAASFTSIAAASHNFTFAIQQARTMDRHVTPNFQIPPATMIIFTTLTMLVTLALYDRAFVPLARRLTGRRSGITYFQRMGAGFAVSVLGVMAGAFVEAKRRGVAAEHGLADSPSAVVPISVFWLVPQYALHGVSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASRGVWLQDNINRGRLDYYYWLVTFLLLLNLVYYIVCFHFYTLKTRW >Dexi6A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:6A:27638274:27638968:-1 gene:Dexi6A01G0020100 transcript:Dexi6A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSEVKVAGEHRSSLLQVISIVPALAGSDLFTNQGFYLKVSDSSHATYVTLPDEQHDLILSDTIQLGQFIHVDRFEAATPVPILRGVRPVPGRHACVGSPEDLVVTSSTTFLGGKKAQPSINGNSKDAGALSLEKEQSKLEKINASVKNNGTESKKPQLTKSNSSLSKQALNSLTDKKDIVISKAKSSTARSMPSSPTST >Dexi1B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:1B:21457138:21458076:1 gene:Dexi1B01G0015050 transcript:Dexi1B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARDAVVKQAQKHFRPEFLNRLSELVIFEPLSQNKLREVAEVQMKGIIARAGNKGITLSVSNAALDVVLSESHNPMLFKGQIDADTTVFIEASEDKKDLKYDVIKNTDEREARRRDKMPLVEIPSDSDSDEDINPAAPVAKKMKGVELSSPAKK >Dexi9B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:9B:13013534:13015502:-1 gene:Dexi9B01G0018320 transcript:Dexi9B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSNYPLPAHPGIRGSIPIVGSTDSLLLRTKKNLGHLEPSEARGLLVPWTKPHDRVPPHIGHDSHRNTWRERSRFPPRREEAIVLLIRGSNLGPSKGFDKGPRSTESGIIDGTLEIEPRSSNVRGPRPQSNEPTLIERGMDVHSNHPLPAQPGIHGSIPPREARVASSSTPSNRERKTLRECQPLSQGLGGYTRGCASAPPRILGTHEEIKASKASTNSSLRGIKLHPCEGSGATVGYLRKGYPNLDPKLLGMKAHNDIGLALQAQQRPTVATNPRHPSRSRWTTTLERGFPPRSRLSGLEGDFHPRASLSVSFEAWRARACGPSSSRLCDPSIQIMMTLLCGQKAGLTAPRHHLLSGEDIGQIHSRQPNPASSTPPSKAASKETMERRTQDTTLDAVNCHEKEGRYQSWKARFPLTHARNDKHMYSHPVPLVYKRGREAQAKGKQQDTQRTQGPRSSSPSPTLLVNPYHEQHATRCIAPLLDVRPRGRNQDKTPSLTLAIRETSG >Dexi3B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:3B:6057564:6057838:1 gene:Dexi3B01G0008730 transcript:Dexi3B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLSRGAVATMSRHQGEGLRPVLQVVDAPRIVGSSAAAAALVLSDGAHLLRGLLPASLNHLIAGGALRRGSVVRVLEYLHPEPEVY >Dexi2B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:2B:8318886:8321863:-1 gene:Dexi2B01G0008220 transcript:Dexi2B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAPISSEGRWSRVASSLPVRNVQDLAECGEELKSETLQRYIQMDVPDCEVLVERSGEVPVIDLGRLLDPQFAEQEADRLRFACEDWGFFQLVNHGIPEEIITNVRSAIHKFFQLPLEVKNTYAQLPGDIQGYGQSYSVRTGQLKNTFTFPIMSNGKYKSIENRVTINANQERITVSAFHVPPLGGVISPVTGVTEEKILYKTMGVEEYAKLYLSNKLDGKRALDHAKLF >Dexi6A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:6A:27810164:27811939:1 gene:Dexi6A01G0020290 transcript:Dexi6A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQGSSDAAAAGEVEENMAAWLVAKNTLKIMPFKLPSLGPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGTEVKHLSAGDRVALEPGISCWRCRHCRGGRYNLCDDMKFFATPPIHGSLANQIVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGVSPETTAVLVIGAGPIGLVALLAARALGAPRVAIVDVDEHRLAVARSLGADAAVRVSTHPEDVAGEVERIKAALGGAQIDVTLDCAGFSKTVATALEATRAGGKVCLVGMGHNEMTVPLTSAAIREVDVVGVFRYKDTWPLCIELLRSGKVDVKPLITHRFGFSQREVEEAFEVSARGSDAIKVMFNL >Dexi4A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:4A:20265616:20265801:1 gene:Dexi4A01G0016620 transcript:Dexi4A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILSCDCKGYGQNFFRMISHGFGFASSSNTLQGSCQHFELG >Dexi6A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:6A:1229729:1233391:1 gene:Dexi6A01G0001410 transcript:Dexi6A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADGGAESQRQADRLKQEGNALFRKERLSAAIDAYTGAITLCPTVAVYWTNRALCYKKRSEWAKVEEDCRTAIRLDSQSVKGHYMLGLALVNSQRLSEGIKALEKSLELGRGAHPASYMVEEIWQELSKAKYIEWEGLSRERASQLLKLKVTCKEALRNYNSLGNPGADVPEEQFNVLEDVFKKASKADTPVEVPDHLCCKITLDIFRDPVITPSGVTYERAVLLDHLQTVGKFDPVTREALEPHQLVPNLAIKDAVHAFLSEHGWAYKIR >DexiUA01G0020230.1:cds pep primary_assembly:Fonio_CM05836:UA:42208538:42209993:1 gene:DexiUA01G0020230 transcript:DexiUA01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGREGKVRTPGGVMSARFCLIPSASVHWQMGEHILHQKKANKVFGKRCARLLKTLVLRRTIVCNIVYCAPSKFGAATYRRLQHRLLRSEFLRASNNNNNKGKNKPMKTTAFKKKKHKAEQKCFTCGELGHFSKDCPDRPQGKQS >Dexi5A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:5A:7053985:7055488:1 gene:Dexi5A01G0009370 transcript:Dexi5A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEVKNFIRTPSIADSMLAATIDEQQWLNFASRALPVFPLLPCLIPQGSAAFEDHWGAPALGRMAAAAHDEAKGTWSLAEAKALNPAPPENLGRERKGEGGGRPRRVASTSWRAAKAWHEATVSARKRARVGGELEEEPAVATAPRPDPVHPRLPSCDCGRLEGVVAAPRWCVWCRASAPPPAGSMEAQAADREAARKRENRRHGKRGFGNLIKEQGAEKGLIPGDDEGAKQLGE >Dexi3B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:3B:9317724:9319841:1 gene:Dexi3B01G0013110 transcript:Dexi3B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGSSSAAGKIAVVASVAVNLAWLATYIHRRYFGGNNGRSDGGEIATVEPSKGKPPVTEHSVVNLDHGDPTLYVEFWRGTGDRATIVIPGWQTMSYFSDLVGFCWFVEPTFEREVRRLHRLVGNAVVEGYHLLVGTGSTQLFQAALYALSPAQDGSPMSVVSPAPYYSSYPSVTNFLNSALYRWDGDANFFGGDTCIELVCSPNNPDGAIRKPVIKSESRKTIHDFAYYWPQYTPITEAGDHDIMLFTVSKCTGHAGTRLGWALVKDVEVAQKMVKFMELNTIGVSKDSQLRAAKIIKAICDGYELSPSNEANRLFHFGQRKMAERWSRLRATVTASDIFSLPNELSGYCNFVKENVASNPPFAWLRCHKDGVEDFEGFLREHKILTRGGPKFGVDEKVVRVSMLDTDEAFNMFINRVASLK >Dexi9B01G0048960.1:cds pep primary_assembly:Fonio_CM05836:9B:47803945:47804279:-1 gene:Dexi9B01G0048960 transcript:Dexi9B01G0048960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVAVMPSSSPWGNAEPAGCPVAGAAGGESPAPTSKTASFDPSIPQQGSAWAWLVVASADASDESTSPPVPEELPPMSSSSDNRDDVVAAKPKRR >Dexi3B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:3B:5286284:5290236:1 gene:Dexi3B01G0007420 transcript:Dexi3B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIESKEKLHSPVQGGDEEQAGELNTRVTDAPGGDSGSLSASSNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLGEVFFAVNNMPMGYPVLQQPGMAAPGQPHVSPMACGPPSSHVVNGIPAPGGYHPICMNSGNGFCCYLPSDLSALENYSGNPFLPSDSDLLLESPDHDDIVEYFADAINGPSQSDEEKP >Dexi9A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:9A:4932359:4934415:1 gene:Dexi9A01G0008370 transcript:Dexi9A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding IELARTLTSHRLTAECSMEVAARLFLLVAVAAALAGRSDAGWCVCRTDMGDVALQKTLDYACGAGADCKPILQNGACYNPDNVKAHCSYAVNSFYQRNNQNAQACIFSGTSVVVSSDPSGNGCTYPATPSAAATRTGVDSPPATGPSSANDSSGTGALPVAGVAARLVILASCSLMALYLSA >Dexi4A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:4A:20223211:20226019:-1 gene:Dexi4A01G0016600 transcript:Dexi4A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFKGSKVEVLQEAEVPFGSWRPGEIVSGNGHTYLVRYDESSVDSSVAIERVARRLMRPCPPADDPVCWTVGSILEAFDSYSWKVAEVVRVLGKKHYLVRLLGSSLELTAHASDLRLRKLWLDDKWVATQKYSAKCLDGSFRGRSKDGTLGCNFGKDIRAQLENQNAFED >Dexi3B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:3B:8073628:8079792:1 gene:Dexi3B01G0011500 transcript:Dexi3B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAGAIVPTAGGGSAWGNGGPRFGDMVWGKVKSHPWWPGHIYSVDLTDDDEVLRGRREGLVLVAFFGDSSYGWFEPHELVPFEDHFAEKAAQGGGSRSSFAAAVAEAVDEVARRAALALLCPCRNPDAFRPNPGNGNFFSVDVPGFDTDADYHPDQIQAARDRFVPRMALDFLLDAGVKQREAAESAARTVPGMELAGLFMAYRRTVFAPRDNTYAEAFGVDPEKALEAEKQAAADRAQRARPLKGGLRKTPEQAAPTPGRRRGGAGGAAARLMEKIVPGASAMKAKTSKKDQYLLKRRDTPEPTHRTPPPQLPDVPPPAPAPAPLALDEGPPGFRSGDPPTPPLPGSSLTEEEEFMLQPRAPLVEVAPAAQATEVGAAAPKKATKAKKPRKREREEAADEDPAAVGDGEPKKKKKKKKLTGLEGEAPSPAAAAGSRKAAGFAAPNVTDPNGLDLTQVISDVRNLPLAPFHGAERRLSDAARSFVLAFRSKYYKKSYENDPPEEYKKTLVKPGATAAAAAADGQPVKKKKLPVVRPGAGNDPTKAGVKRAPSDRQEELAVKKKAKLEKIKTLSSEKKTAGLELQRDSTASAAAQQQQARAGAKEKSELAPAKKKEPAPAPRIRTPSPTALMMKFPIKSTLPSVASLKARFARFGPLDIDGIRVYWKSHMCRVIYRFRSDAEAALRYAKANAMFGQVDTQYHLREVESAGGREPPAPEAAPPQRSELRLMETAPFRPGSSGNGAPLPMSRAMPARPATGQPPKSILKKSTDDGTAGSSRDAAPRVKFMLDGGDSKLEPPPVTGIASPGGKVTKSVGFAAQPPARTLQPAMRPAQPPSLQQPPRAATQQLPPAPPLPFPTSGQQQQLPYPLRHSEAPPASFSTSQQLPSYPLPGPPPLPPYSPRTVGFPGQQQQQEVPAWKRSKEEFKDEVWRLMTGIAKIVEPLTDRNGYFPYHLFRAQ >Dexi1B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:1B:20623125:20630375:-1 gene:Dexi1B01G0014340 transcript:Dexi1B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTSSEDSGSPEPSVIVSVSGAGESDPAGSQVDGSGRSNVSWKNPLVEGIMEVPRVTRHIDLQEVFDNVLLQRQWTDTEEMEMQQQGKQPTMWPEKVYKKSFWSKFKGSMEKMFSERIENQMHGSGQDSTVMAPHVNHQAFKMLIKKIMRWKKHSRWKRVPTTNSYRKQKLLKFFSDYVRTNDIFTFLRLVAAIWICSHSEEFEPLVLPELNEDYNLRDWCSREVLQCQVFTDHVQMTALVTALGVPLRVEYLLQGVGQDFYTDQEDSQDDTPRSTCWPHRQYQTPHGHVVPYVTVLYTNAHYDILYPHCRDVRSIDGRCNQLTAQVQRPTAASLSQQIARGESWSGADSSHRIIQESSTGVRIQINMKCTRKLPLTGAHEYDEHRSILSEATDN >Dexi4A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:4A:7317801:7318996:-1 gene:Dexi4A01G0009310 transcript:Dexi4A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYDRTRGRAADEADDFDEFDPTPFGGGYDLFATFGRPLPPTEETCYPCSEPSTSYDAPHYSASEPSPYGHHAKAKPNYGFRPQQEQQQPSYGGGGGGYGSRPQPAAEEAGGYGSGYGRKNQEESYGSGYGSGYGRKPQAEESYGSGGYGGQARPGGSYGSAVPGSGYGATPPAESYGSGYGRKPQVEESYDSGYGRKPQVEESYGSGYGRKPQVEQSYGSEYGSGYGAKPQVEESYGTEYGSGYGRKPQVEPVYGRPQGAEEYGSGGYGRKTQEESYGSSGYGYGKKTEEQSYGGSGYGYGKKASEDEGAYGSGGYGRPKPYGEETQGYGYGEEKPKYQSGGYERPSYGGGDEYRGSYGRKKDVT >Dexi3B01G0021130.1:cds pep primary_assembly:Fonio_CM05836:3B:16034946:16039760:1 gene:Dexi3B01G0021130 transcript:Dexi3B01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVSTIDPPRAPRVWFNGDIYINLESEIRRASRLRCKRCGLRGAALGCFDKDCRKTFHVPCALQITECHWDNEGNVLCPEHVPKRLLCDKLSTHTEENGDSSSLCQSQCSDKEENFDDHDREIQQTNQLSTSNASSLPQSQCSQKGRKGTSTSGSRDDQQIDPLDNRSSSLPLDEHDDEEIYKNHERDDQWKHKRKTSNLSSLPHSCHPDEEGISNAHQRKERAYQPHISTCPSDQLVLLGLSLNASEKDFLQEFAYWTNAILTKQWAKNVTHVIVGKGAGSSWSRSFEVLMGILLGKWVVHFEWIADCTLETNPLPEASYEVACSMDSLRTIDGPKKGRIRATKGVSNLFSGLRFCLSAYMNPDNRNRVRDLIAAAEGRVLEGGDLELLLKDSDGSLVKPYFVYDVDAPKEAVALSTLREELAEVRKHAAAGAQVICYLRVLDAVAAYDAEILNAKKGHSTP >Dexi5B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:5B:20557914:20559617:1 gene:Dexi5B01G0018370 transcript:Dexi5B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNPNPTGAPPQQRPPHPQQQPPPGGSPATPMSHLRPPSLAGSPFQGLFHTPPSHNPAFQIHMGAATSPQTPLMAAAAAVAAQSAKRPPQKPPMRPQAPGSTSAAAAASAAAAYKAAAAAAAVGNSGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQGPRTIPPPKSADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFVANIRLEMNYSPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPNDPSFFTCDPQLKKVFGEDKLKFAMLSQKISQHLSAPPPINLEHKIKLSGNGASGSACYDVLVDVPFPLQKEMMAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNIEKERRADFYNQPWVEDAVIRYLNRKPANEGPGGGAGGS >Dexi1B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:1B:4112363:4113132:-1 gene:Dexi1B01G0005050 transcript:Dexi1B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAEVQVARPTWAEAQAMKKRLSLINQKIEDICMMIKDEKLFSGLIEAEREAKQAKLVEERRVLLREYERLGAEMSDPDFSGMSEADRAAEAERLRQEFLEEARRLQMVGDRDGFSREASARFLDFDPKQRGRYFNRYVFVDHATFDHDEECKSD >Dexi9A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:9A:12135788:12142656:-1 gene:Dexi9A01G0017100 transcript:Dexi9A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSRQVTLFLGSHESDPVGAAAHGLGLCGPQQQPSLWFQPNTAQVDGFSAEAIGNRLVSNSSHTGQAVAGRGGGGGGGKGAAGRMVSLQEFVSSMAPLIDLEKAAEISAESETSAKSLERRGCVIANLKCTDAQTGLMGKTLLEFQPNKGDVLPSHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAVQTGPCANLVPVLFGEKTPMCSKDAMKFSPFNKNLDDSQKNAISKALGSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLARYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDWNTKRDIRKELKTLAKEERKRQQLAVTDVLKNADVVLTTLTGASSKKLNGITFDLVVIDEAAQALEVACWIALLKGPRCILAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEAYGEEITSMLTVQYRMHEHIMNWSSKELYNSKIKAHSSVAGHMLYDLEEVTRSSSTEPTIVLIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVPASDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSSDKFLKRLIEYFEENGEYLSASEYQSS >Dexi2A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:2A:27074069:27074745:1 gene:Dexi2A01G0015710 transcript:Dexi2A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVQSPPPTPTLSRECLTTGYRPAWAMPPAAGRCFAYTSNRADDSGWCLSSMCFLQDWIPTVFDNSSANVSMDGSIVNLGLWDTTGQLDYSRLRSFSYRGADVFILCFSLVSRASYENVLKRVTQHTYSIYTFREHC >Dexi9B01G0045960.1:cds pep primary_assembly:Fonio_CM05836:9B:45383348:45388635:1 gene:Dexi9B01G0045960 transcript:Dexi9B01G0045960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPTVDAATAGGGGGGIGRTKSEQLAPPSQSSLSRTPSAETVLSNNADTTATSMSRKSSFARKQRSASVGGGGNNRHIRKSRSAQLKLDMEDLVGSGAALSRASSASLGFSFTFTGFTPPPQYMHSADPAPFSDDDSPMDIEAGTRRRKLITEPTLPIYLKFTEVKYRVAGKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRPGGGALEGSVSYNDEPYCKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENHNLENNNSKNDHRPSAQDVHEYLVDAYETRVAFKEKKKLLAPLPISDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSFILGLLWWHSDPRTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILTTTAHMDNGATEVAALVAMIIGYRVLAYLSLRRVKTPSS >Dexi4A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:4A:4719164:4722381:-1 gene:Dexi4A01G0006570 transcript:Dexi4A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAGEPSSILSLAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATALLIHFGQVLGMSKRKDFSWITAKKLLPVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVSGCIRGKGKPPTQVTLSVVCTAAGVLIAALGDFSFDLYGYCMALTSVFFQTMYLVLVEKSGAEDGLSSVDLMFYNSILSLPFLFFLIIATGEFPHSLAVLSAKTASLSFTVILVVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVMLGGVEVHALNVTGLVINTFGGVWYSYAKYKQKKRTTRKTEPDVESHAHK >Dexi9B01G0037450.1:cds pep primary_assembly:Fonio_CM05836:9B:38861165:38863957:1 gene:Dexi9B01G0037450 transcript:Dexi9B01G0037450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLPTCADSTYSGEPTSAPVPPKKWQRLGRNFAGAIAAFKNTLNMDGGGLPRDPSPRAEKPPPLLLRGLAQLYSRGASNQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVNIEEIHGRGGGDEGTTFQLTFACVAPLSWQSMSGSLDSPLFCCKKIQIFEKRGLTLGVVTILVQSGNEAVFKNRVESALKSVVKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEQIRRPQLPTPLPQSSVFVSVDEWQTIRSGGEELGRWMLHSEEIEFIDWVGTNSFKGVFRGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTVRIALDVADGLAFMNSYGIAYRDLNSRKILLDRQGNACLGDMGIVTPCNNVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPKDCPPFLRSLMNRCWDNSPLKRPQFSEIISILQRQNVR >Dexi4B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:4B:1692921:1693649:-1 gene:Dexi4B01G0002640 transcript:Dexi4B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGLVRLLVTLVLLMLAMLLAAAAAVAEATAPEVELAPAHNASDDASETEGAHQQQQQQPPPPQHHLLPRPLVIELPSSAPARSAAAGEGPQDDVPADAVVASREAEVVPTAPEQQQDHHLLPRPLAIDLPPALRNGGEAAAPDDVTADVRCASWRLAAEANNLAPWKSVPAECLAHVRGYVTGVAYRSDLELVARESAAYARAAPLRGDGRDAWVFDVDETLLSNLPYYADHGYG >Dexi5B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:5B:4536838:4545735:-1 gene:Dexi5B01G0006800 transcript:Dexi5B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRSVTVVSLLLLLLSATMSVPAPVAAEADDEAALLAFKAAAIGGLGGVTCGSRHRRVVALSLPSLGLTGVLSPAVGNLSFLRALNLSSNAFSGDIPASLGRLRRLQILDLSYNTFSGEIPANLSSCTSLLLMRLRFNQFRGHVPSELGDKLVNLVVLSLWNNSLTGTIPASLANLSSLNILSLGLIQLHGTIPPGLGGIQTLLHLDLNNNNLSGKLPKSLYNLSSLERLQVQGNMIQDKISASIGNRLSRLQILELYANQFTGPIPVSLSNLTALQILDLSENMLSGYVPREMGRSGSLQSLLLENNMLEADDKEGWEFITALSNCTEFTEFEIGVNAGLTGQLPSSIVNLTSVEFLRFDQSGISGSIPSAISNLLNLEVLEANLLGKGSFGAVYKCTLPDEETMAAVKVFNLEQSGSARSFIVECEALRRPSNILLAEDMSARVGDFGISRILPQSASKTQQNSNSTIGIKGSIGYVAPEYGEGSAVTTYGDVYSLATPANDEAALLAFKTAAIRCGVGDPLASWNTSAAAGDGASYCSWEGVRCEGSGRHRRVVALSLYSYGLPGTLSPAIGNLTFLQVLNLSSNWFHGTIPAGVGRLVRLKTLDLSYNAFSVANNSMTGAIPGSLSNMSSLNFLDLTINQLDGPIPPELGSMGALQVLELFGNSLSGVLPHSLYNLSLLTYLGLHYNMLSGTIPADIGNRFPRMEILSFSGNLFSGSIPPSLSNLSALTKLGLAGNGFIGYVPAALGKLQGLTDLYLNDNRLESNDGQGWELITFLTNCSNLQHLVLGNNSFSGELPSSIANLSTTLQTLYLGDNNISGPIPSNIGNLIGLIALEMANSFLSGAIPDSIEDLSARVEDFGISKILPDDTSKTLLNSISFTGLRGSIGYVAPARTESSDQTTVMAAEYGEGCAVSTLGDVYSLGILLLELFTGRSPADDMFKDSLGLHKFAETALPNRALEIADPAIWLPIEAAKDHASAAAMVRRRSEGCLVSAIGLGVACSKHQPRERMPVRDACDQGHVPHGRQVAGWETVKTVTIAAEVRRLRAPATGSRGHRPPRASCAPAAVGSGLRDI >Dexi9A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:9A:359105:360190:1 gene:Dexi9A01G0000620 transcript:Dexi9A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPLPSIPHARRARVRSLPDPPRSGSGSRPLGAERRRRRLLPSGPATPCHLRLAPPGAATSQHLPPPPGTLAALASDARPPLAAPTARRNGSSPPRRGTGAAPTQAADVVHLVAMDSSGCPIWIDPGNAFRLVVKVSKYVADGEYGNVEMTNQEHDLWFDRTELFTLEKFHDEMATKIIWGTSQTLSVWEAGVLITHLRMQRALVIHVVLHLLLFLLMYPSQLIGLISSYYQM >Dexi3A01G0031310.1:cds pep primary_assembly:Fonio_CM05836:3A:35771714:35772543:1 gene:Dexi3A01G0031310 transcript:Dexi3A01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITSDRVQHPWVEEVEDEEVEEEEEEEVMDPAMEALFRFHLAEWQNSARERMAFWAERGEAGKEHGYHPTPPPFEILDHPDLFERAWGWDTIYPWDTVHPLSQYKRYLQDYYNHNQRETNAVGAGLNGDDHVNGLAALARSMEDHLMFLWDRRARDLITTDEMELKLTSEKITKRAREMISALESEFPAAAISFKVCLDPSL >Dexi1B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:1B:5092419:5094503:1 gene:Dexi1B01G0006220 transcript:Dexi1B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVCVTGAGGFIGSWIVKLLLDRGFAVRGTSRRADDPKNAHLWGLDGAAERLTMLQVDLLDRASLRAAFDGCDGVIHLASPMHDNPEEIIEPIIAGTRNVVEAAADAGVRRLVISSTIGTMYMNPHRDPNAPLDESSWSDLEYCKKTKNWYCYAKTIAEQSAWETARARGLDLAVVIPVVVLGELMQPSMNTSTLHILKYLTGKAKDYVNESHAYVHVKDAAEAHVRVLEAPGAGGRRYVCAERTLHRGELCRILSELFPEYPIPTRCKDEVNPPKKGYKFTNQPLKDLGIRFTPVHEYLFEAVKSLQEKGFLPKVSVTKVKKKSTV >Dexi5B01G0037340.1:cds pep primary_assembly:Fonio_CM05836:5B:36871724:36874649:1 gene:Dexi5B01G0037340 transcript:Dexi5B01G0037340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNPTKTQPLLAILLLLLPLLILCAAPASSQPLHSEPMATQSPPPSPAPPETRIPRAQTGGAARLRRIALGVFFGSLSGFLLALAFLYAIRVAILHAKSVPAIVKGPVSFTPQISPRNLLAALPSAQPLAHGPHGQYYKLDLDNDLTVAVKRLEAANRPEASPSMSPSTSKSDMRRMQRQLEALARVRHQNVMTLKAYVREADRLSLVYDFIPGGSLEDVMKRVRSQQVSLNWDARSRIAVGIAKGLKHLHFEYTPRIVHCNLKPSNVMLGEGFEPILADCGIARLITAGTGDPELCSGLYAAPECYQSSRYTDKSDVYALGMILGVLLTGRDPTDPFFSGETGRGGLARWLRHMQQSADPKEALDSSILGDEGEEEEMLMAIRVAIVCLSDSPVDRPSSDELVAMLTQLHSL >Dexi9B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:9B:15023202:15024946:1 gene:Dexi9B01G0020260 transcript:Dexi9B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIESRARNISHNVRCTECGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWALPKA >Dexi5B01G0023280.1:cds pep primary_assembly:Fonio_CM05836:5B:25515743:25516108:-1 gene:Dexi5B01G0023280 transcript:Dexi5B01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCALECPSAQETWENSLKTKFRRPMGPTTLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADINDPPGTVDEETVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLACE >Dexi5B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:5B:5367013:5378054:-1 gene:Dexi5B01G0007960 transcript:Dexi5B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMLLFFFTTSVWVASPPLPLLHVLAAGDGQRVKPCSPTSCGGVNITTPFGVIPEQASESGCGAIGFQVRCSNNSNPYLAYNEQEHQLQILNIFYGNSSLLVVDSHKLQALDGSVDESCLVPKNNSSARIGFPFSISPSNRILVLYNCTKAPVSSEGLVETNLCGTTTNFFRVGGGYDDDYDNYSVEGCDSTIVPVFGGRYGKANASNYKQLIRQGFLLTWSPAPAVARRAAGSSTGAARSTMRRLTRWPAGSVLAGAGRARTPHLERPGGASPTTTDSRALLLSRLLSVFPSAAPAVPSPNPPVVYLALLHLLVPPPRHHPAAPRRSSGISSYITRSPPSSPATTTHQGLFGRAPAPAPAAASAEALPNGWSGSDSTSGAPENTLRGARIGGRSQKNRSSPCLRHSPSTGALEVPRAVTGAAVPSLGGSGSASRRAVTEAAGGGTRDGGAGAAA >Dexi9A01G0022930.1:cds pep primary_assembly:Fonio_CM05836:9A:18095903:18099042:1 gene:Dexi9A01G0022930 transcript:Dexi9A01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVEEGKVKYVGLSEASADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPVCRELGIGIVPYSPLARGFFGGRAAVESSKHPRYTGENLEKNKILYTRLQVLSKKYGCTPAQLALSWVLHQGQDVVPIPGTTKLKNLDDNIGAAKVKLNKEDVEEISSVVPAGEVSGSRLLGVLEPYSWRLANTPLPK >Dexi6A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:6A:7068099:7068431:-1 gene:Dexi6A01G0007160 transcript:Dexi6A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVFDNSSPMSRWMGVNLGLWDTTGQEDYSRLRPFSYRGADVFILSFSLVSRASYENVLKKVTQHTYFHMTN >Dexi7A01G0023650.1:cds pep primary_assembly:Fonio_CM05836:7A:31600830:31602957:-1 gene:Dexi7A01G0023650 transcript:Dexi7A01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPAAAASSCEVDLGNLMAYDPSHHLDASTASREELRQECLQKGTELAQAVADALFALQPTEDREGPIVQLPPPTVRVPREKHLPRPKPPTKWELFAKSKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIIDAKATDEPGVDPFAQRIQEKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAAKALPIAGTKADLLKKSRKEDLENVAGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLARNSDEQLDAITMYKVKKEKQRRKDKDMSSKSDKLKPQKKSLKKSSKKKA >Dexi4A01G0023950.1:cds pep primary_assembly:Fonio_CM05836:4A:26858826:26860824:-1 gene:Dexi4A01G0023950 transcript:Dexi4A01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNNLAMKVLVVSIVLGVVVAGVEPAGNKKVARQVPAIYVFGDSTMDVGNNNYLPGNEVPRADHPYYGVDLPGSGKPTGRFSNGYNVADFKSPLAYLVLKARNYLIPSSITRGVSYASAGSGILDSTNAGGNIPLSQQVRLFESTKAEMEATVGPQAVSHLLSQSFFLVGIGSNDFFAFTRELAKQNRSATQSDVAALYGSLIANYTASITELYKLGARKFGIINVGPVGCVPRVRVLNATGGCVDALNQLAAGFDVALSSKLAELAAKLPGMAYSVADSFGYASGTDPKAAGFVSADSACCGGGRLGADADCQLGATLCADRDRFLFWDRVHPSQRSAMLSAQAFYDGPAHFTSPITFKQLAQHNI >Dexi6B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:6B:4041744:4043174:1 gene:Dexi6B01G0004750 transcript:Dexi6B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVAVKYNSADEGEERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIMGFLLFALIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVLLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGSVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAVPVVRENWSDGYFSDIARILGGIWLHSWIQAAAALSNMGNFLTEMSSDSYQLLGMAERGMLPDFFAKRSRYGTPLIGILFSAFGVILLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVTHPNASRPYKIPLGTIGAVLMIIPPALLIVVVMALASFKVMVVSVMAMIVGFMLQPCLVYVDKKRWLRFSISADLPDLPDSQEAAEDDTVPLVF >Dexi1B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:1B:22322715:22333981:1 gene:Dexi1B01G0015780 transcript:Dexi1B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGAAARGREDHGADAQRLRGAEDALSVAVATEQVTATEVCGAPVARLSIDATQEKGTDFATGLVVPVEAVSASTDGRRFQIGQGNGSSLEQRVEEEKGGSLVPAPQSTAEPGSLQTCHEANDGFSSKTLCALKDTYSMLGETAEGSPFEQEGLVCNGGDWGAEARVIKATVEDLRIAFDEAHLDYAGLSGSMSHGSEQVPRAGSITDATDEVGNGADLGGKVQVQKAEDLRIACDEAQCDDAGVLGLISHGSEQVPRGGSIMDANDEVQQDVLMPDIEAEVSRPAQIDSVPSVSGDVDLSLDGKTGQFGGISGDLMACHVSDRKMCDGLCAAGGKGSQFIDARCMYDTVDMATGGPCQQDILATAAHDNVDLSDPREHHSGKLPCGADALPLITGDNHGLEKVGFLPNVNAVTSGPVDENILSSIDVPLDGQVASVTCEGNLDPATKFAMKNSGPMSADLAGNAPCKTDGASVPPPPPPPPRAAWVCCDDCQKWRCIPAELVDAIGETRWTCMDNEDKAFANCSIPQEKTNAEINAELDLSDVSADEADNSASNSRVAVKAPSWTSIRSNLFLHRHRSTQSIDESMVCNCKPPQDGRLGCRDRCLNRILSIECDKRTCPCGEQCSNQKFQRRSYAKLRWFHSGKKGYGLQLQEDVTEGRFLIEYVGEVLDITSYESRQSYYASKGQKHFYFMALNGGEVIDACSKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTTKCRGYIGGDISVLDTVTHADAEEAHFEQLDKDSEELIVTNGSDSDGSHPNITEPEFSIQREDLHDCSAAKADLEPLEQTGGTFVETSEADNSLEAWSLQEDEDVTRTPVHVSQSYEISLQQFPVHDPRSSDLLQKTAKPTEGSKAPNAINGSMPSSDFRNNLVPGFNDKKRNSLTQHKNPKPQLFSIDNEHILGVEGRLNNLLDVNGGISKRKDATNGYLKLLVVTAAEGDNAGGTSKSVRDLSLILDALLKTKSRSVLLDIINKNGLQMLHNMLKQNREKFHRTPIIRKLLKVLEFLAQKGILTSKHIHEGPRFAGVESFRESMLSLVRHRNFQVQQIARSFRDRWILHNSARSEPMEYPHPSTSAQNIQGTNMIWSSARRKRKSRWDYQPDEHYKMVGLKIQKVCSGQGELDVNKKLQRHQGTNNCHNDFHGMQSSTEGADDEVPPGFEPQQQCQPAQLPIGSEVAPGLCMERYQPSLGISYGVPVALVEHFGTPESEGGQCHKKWKVAPGVPFSPFPPLPPYPRGSPCPSTSSSQMFQHDGTSPVNHNGSGHCGRTAARDGRVHRTWRNGPRTKPPYHQQGRRFLSNHHRSERFEPPRPQ >Dexi9A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:9A:12327872:12328640:1 gene:Dexi9A01G0017300 transcript:Dexi9A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRSLLVVVNPSPEVVVIAPAAYLLVELCAAQPDRALARSAASSTYRPGSEDAQLVVLVSPRQSARPHDLSGARPTTPVAKPTAELDALAARELNRATTLDW >Dexi7B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:7B:14685979:14690099:1 gene:Dexi7B01G0006910 transcript:Dexi7B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPEPEPPGGATPEIFAAGAGVAVVRRAVVIGNGCAGAENQCLGLLRALGLADRFTLYIQHPRFHLDRFDLVVTPRHDYYALTAKGHQEIPRLLRRWITPQKPPGPNVVLTAGALHQADSAVLRFAAADWHAELAPLPKPLVVVNIGGPTRNCKYDVGLAKQLVSSLQNVLKTCGSVRISFSRRTPEKMSDIILKEFKTHPKVYIWDGQDPNPHLGHLAWADAFIVTADSISMLSEACSTGKPVYVIGGEHCRWKFSDFHNRLRERRAVRPFTGSEDMSESWSYPPVNDAADVAARVREVLAKRGWTLS >Dexi7A01G0023120.1:cds pep primary_assembly:Fonio_CM05836:7A:31229299:31229592:1 gene:Dexi7A01G0023120 transcript:Dexi7A01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSAELPATSGANGLPTLPDFMSRKSKYVRMDDVLPPEQEGEDDGGVRVRERQSSRRYVFACSVFASLNSVLLGYGKLNVPVQLKEINATKLLLV >Dexi6A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:6A:25734888:25735766:-1 gene:Dexi6A01G0017910 transcript:Dexi6A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNPRTASTTTTRTTSSARDPDANPDKARPVLGGSEQFPYPRRCRTEHGLRLTIKDYPFANDGLLIWDAIKGWVKAYVARFYPDAGSIAGDVELQKFWNDVRTVGHADKKDASGWPTLDSPESLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPVDAAAYAAFLDNPDQALRECFPSQVQATLVMAVLDLLSTHSPDEEYLGGPETAPWNDDGEVRAAYGKFTARLKEIEGIIDGRNKDRKLKNRCGAGILPYELMKPFSQPGVTGKGIPNSTSI >DexiUA01G0007250.1:cds pep primary_assembly:Fonio_CM05836:UA:13814114:13817603:-1 gene:DexiUA01G0007250 transcript:DexiUA01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLGALAEDRRPDIHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGQALSSSPSVGGDCTRFSPQIVPEKNSSKIWADNQRAPAAEPSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERPFKCSPMLKSSFDSENGLDYPEDLITKPDNMPTAQSSLRTLGIKNLVKDSIYKDSRDLSVRVCNGEEVKDHPCNFVDPPRQLDGPPSDITQGKSKGLMDINESLRMLAKLREASWTPSDSGHHARLSYDAPRFSYDGKEAASRLREVPRLSLDIKEGHLWNREMNSRSKSGLSSSDRSSSNGTGSIAALETQQEQPVCKRLPSVVAKLMGLEELPEHNESTASSQACKAIKESKQEAMLNPLSISSHNGPAPRQQRNRDSAVRNLSNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVASVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGKHDGQEMTNLNTRLNSNTNTISPEESNTESSFRSPIVIMKPSKSANLFGEVDSSVIPLGGSSDLPQLQAGNSTDKRKSLTTNRIAKEQQAKWSPRVPTSQPLVSYDRKTNGRNDDSSNKQKSSSLLVTESSSRRQQLPRDSSISLQKNKNSTSPRLLQKKLDSERKVRPPIPSAESNKSQRQSGDRNNLDTVSPRSKLRRRPVRAQECDDGLPNRLNNRTRSLNQQGNDMSTRSDGSMCVASEVDIEVISNDRSTEVNVSNFEQGNGTPSGRNPQNVKTSYDASKDVSSVDPSASLSERPSPVSVLDSSFDQEDLFPTSRTSNSLTAGKIIFLRLQTLGC >Dexi3A01G0030350.1:cds pep primary_assembly:Fonio_CM05836:3A:34337161:34337854:1 gene:Dexi3A01G0030350 transcript:Dexi3A01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVMRGKEARSSGYINDTSTSITVECTIIVFRDGIQVPSSPSLAEHLGQLLESKAGIDVTFAVSGESFAAHKNVLAARSPVFKAEFFGEMIQKSSGHVEIKEMEPSVFAAMLGFLYTDAVPELEDKTMEKMTEASTVALAAADRYGLDRLKVMCERRLAFAINTSTAATMLALAQRHCCEKLKAKCVEFIARGSRGNLDATEGFKDLEANSPSLLVELLVAAHGRMD >Dexi2B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:2B:10814570:10828626:1 gene:Dexi2B01G0010030 transcript:Dexi2B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPAPTRSPASLRLAPHYSRFRFHHQRESRGTGTGAGAAGPRRPVLLRCRGGTTPPAAAAGAAAEEGRMAEAAAAWMRVLEEGVFRFDTSEAAHAAAGPSLSFADPRLREAPREGADAPAVVPAFRVAAGGAQEVVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSNRFPDPKSMVDDLHSIGCKAIWMLDPGIKKEEGYFVYDSGSKNDVWIQKADGSPFVGLRNANGKVYLQRNGNGTLPDKGAHECAHKLPKGIWLPFDFADSHPDLPVLYLQGGAILPVGRPIKHVGEASLEDDLTLFIALDENGKAEGVLFEDAGDGYTFTKGDYLLTYYIAELHSSVVTVKVFKSEGSWNRPKRNLKINVLLGGGAMISADGVDGEEIHLTMPPESELSSLVAASEFEHKKRLEMIQPIPDIDEPSGQEGAELSKIPVDLKSGEWSLKVVPWIGGRILSMTHHPSDTQWLHGRIEINGYEEYSGTEYRSAGCTEEYKVIRMYLEQSGEEESICMEGDIGGGLVLQRQISILKDNPKIVQIESSIRARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVVFTAINGSKQEISPESGEIAFEGDLRPNGEWMLVDKCVGLSLVNRFDPSEVSKCLVHWGTGDVNMELWSEERPVSKQTPLRICHQYEVRQTN >DexiUA01G0022450.1:cds pep primary_assembly:Fonio_CM05836:UA:45660759:45661604:-1 gene:DexiUA01G0022450 transcript:DexiUA01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVMAPGSNGCVGGGGGGEGKAAEEGQQQGQGQVLALVLAALRKSVVLPCQMADADDPAGGGAWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYAQDGLKAEGIFRITPENSQEEHVREQLNSGIVPDDIDVHCLASLIKVSGNVMLVYLSN >Dexi8A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:8A:473642:478282:-1 gene:Dexi8A01G0000710 transcript:Dexi8A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNPTLQNTRRNQSKDKSIDEGGAGEQEAIWAGERKLPCRLGSVIGLALRGGSDLEPCRVRVSNHFGFLTKSSPPTTHLCSDCDWGGGRRLLQVEPNRFQVCLLNHSDISTLTLRRSTAQPGPAQTLSLCLSFLLSFSHASPISLLPSPRSRPKPAQLALALLLTARPGPPVSRFPLSRTRPALAPSPADRVAPPVRSVSFLQPRTEPSRTGLPRHIAPPPSLRFNSNHCQSSAFTLSFTPSAATALNRRNGHHDRHSFTFKAIKAVMAPARHGNRLGRPIKPQTHPELSPHLVSHSLVPTPPLWKLAIAIVAAGRSSPCFSVVVSFLPFVPPSVFYFHRDIVSRRRSPRPPPLVAGIPNSNLAVESRPPPLVAGSRCRGSCTPNLTSGLLVQKPLKTAHSSLGRNLVVARHPPPLVAGHPRRSKWFSNPTFRFAVALARLRTSNRAIWCSLAPDQLNTGEALPQRCRQPRCRRPLLSPFRLQPSDRDPTVQVNPESSQRGRRRSAKPNPQSELLVLRVEPYV >Dexi6B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:6B:1836003:1839164:-1 gene:Dexi6B01G0002120 transcript:Dexi6B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPPPELCFGRTIYVDCSSWTSKRAMQKVIAQQLRLNYKTMTMFDEQDEEDDFNGVDLGSREAIRSVAIVIDRHLRESRFMMIFINGGDEEVVLGTLGIPQSSDNVIILWTFSARLVTMHTRGDLHEIERKLRYTDVFLWTGYLDSLPNSEFIALLHQEAANIIALYPCMWGMDLARVVDCCLYGLLLMYHTSRSSTGFAWSAHASNFWICDGIIQGDRAWEISNALDTEISFEGYNVHDVLRRLNRDRKTLFFLVDSRLIDVYKERPCRWISITTLRNNTTQEEVQTALAVASSIFLAFNNKHDPVGLPNGYFKQYTNLAVLVLSCCAFNFLSPPFLHCYTLRFLGLDHCKHNNRVIELEGGGGCATTWAFLKSVQVIDLYYTEWVEILSEEKIKLMANLMELNIEGVRWPRCTINHRIQKKLFNLQRLRMIMSTYNVIAETETTNISNSFLLMDNTCLEILDLSGSNINIIIGRNLAESISKARRLQVLILDGCDGLGDVMLPNNSSLRSFSFDGYGISEQSHRGSTVELPPKMSRPEQASADADKKKGVVKTSIVSLQGCGCLDNLFLRGLPNLVELDLSGCAIKVLDFGSMVVDVPMLKRLFLIGCERLRAIKWGDKMAGELQMICIDTRPRSGMSLGCAPPHPPSLDALQKPFELQVHAISMDARLARSLYAHIDCASSRGSYYYFNIRITSSSALISNNSTEAALQPAKKASEEMMMVGSSSGQRRENYHVEGGMLLYGDVFTKVSDGPTLMQDFPQAPTEQSNGHIEIGGGSHSVQSEVELPYADNLSSLPYVNNLALLMCSYTKSLHVHDVSTCSSTMPAEYWQLLRLCRVERCPSLQVVFPPGAEDINSRLETIWVSDLLMARCVWSKSKFGFDANHLRSLRHLHLRCCPSLWFALPTMSNRPSFPSLETLHIIHCGNLKHIFVPNDEKNKHTSSVHFPKLTTIHLHDLPALQQICKGAETLALALETIRIRGCPNLRRLPALRGREDNMNKPDVEVEKDVGGGEGCMGHWSGTGWTPATTLPSTRRPCTRASTRGTCSEALY >Dexi5A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:5A:3587140:3595606:-1 gene:Dexi5A01G0004730 transcript:Dexi5A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFGVASSFAWHLWTPLKRHESTGWIGGTQDGGLEASDRPAALPPPPKERTETELELPMSLIQLRREPGAIPLPLLAEELQYCMATRQVHTPQAKGWRIPCLIAVFIDVFFLLQGTMARLVSTALMRGLMRSSRSARAAAVARPPIQQFMNYSSGLGGAPNANGDTTTTRVAADPDTHQDFQPTSKISEMSFDDIVAQDIKEHPVVIYMKGYPDAPSNWPTFPQVFIKGEFVGGSDIILSMHQASELTMADLISLLDYP >Dexi5A01G0029360.1:cds pep primary_assembly:Fonio_CM05836:5A:32451680:32453715:-1 gene:Dexi5A01G0029360 transcript:Dexi5A01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRGGASPLATAPGGNGGARAEEAKTAAPATGKAPPPTVWFALKRSLHCRSEPSEVHVPRAKPSPTTSTGNGGHLSSIVTTKRATARSGCSRSIANLRDVIHGSKRHPGQPPSSCSPRSIGSSDFLNPIAHEVVLSTNSRCELKITGFGGCGGLGAVGTLAPSAAADGGDGVVSSFVGTLRPGTPGPAWAGGHGLPYSGSMRGGGGLRCTPPRSPNVLLERNGSVAAGHRASCDENGKSGGGNNKGSGGGLSCHRCGEHFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIDRVFKVHNTQRTLSRFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGSGSGSGASSSSSSSLCASDKCAVCRIIRHGFSSKKEGKAGVGVFTTSTSGRAFEAIDAAAPVAGDDGDPAAAAAPRKALLVCRVIAGRVHKPLENLREFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKP >Dexi8A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:8A:24325450:24327048:1 gene:Dexi8A01G0014010 transcript:Dexi8A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWDESDVSLLPEYLKKFFLRVIENFRDFDELLEPHEKYRSAYLRSVYQNICKSYLQEAEWSHSGYIPSFHDQVNVSVMSAGGELVAIGLLFGLGDIATKEVFEWAIQNSYTVTACGEVSRFMDDLADFKRGRNKMDVASSVECYMNENNVESEVALAKVGSLVDHAWKTLNQELFEHHDILSIVNQITNFGRSMMFLYHDKRDGYTNSKQVKDALESHFIKPIPM >Dexi1B01G0030840.1:cds pep primary_assembly:Fonio_CM05836:1B:34657613:34660202:1 gene:Dexi1B01G0030840 transcript:Dexi1B01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLIVSLHVGRGGGRGGRFDGGGRGGGGGRGFGGGRGGRGDRGGRGGRTPRGGGRGGRGGRGGGMKGGSKAVVVPHKHNGIFISKSKEDALCTKNMVPGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPARILALNASYFLKNGGHFVISIKANCIDSTQPAEAVFAAEVEKLKADQFKPSEQVTLEPYERDHACVVGGYRMPKKQKAT >Dexi3A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:3A:8646224:8648398:1 gene:Dexi3A01G0011920 transcript:Dexi3A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRAPMGPMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVDGKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELTSLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPTDLGPPHARKQIPNKVCQQPSHRIIIVL >Dexi7A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:7A:1556189:1556524:-1 gene:Dexi7A01G0000580 transcript:Dexi7A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPCGHARQVQRRRRRGQHAGHVRRRGSSAAGGRPAGAREQAWAHGRQPAYEHAGAGVQATRRFGLRARGSGTRRPVRAAGAQVLASMLGQVNMLQRVLVNCCSAHEAAT >Dexi8B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:8B:18264651:18267818:1 gene:Dexi8B01G0009950 transcript:Dexi8B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFVNPLWVPDDTDTQQAPPPTPMMMGSTQSMEQEHHQNLLALAAAADMGGGVFGSTSVLDDEWFFSTAAGNSTAAQGSLLLPPQGQGAAALSLGAGSSQMFSLFNNMGGATTFDLHAFDLGLAGVSGGGGVSGGELSSFVGAGSASNSAPLSAIPAGNAGFLGSFGGFGTAPAQMPEFGGFNMFTNAAGSSSAAAAAVSAPPASASLTAPFSARGKAAVLRPLEIFPPVGAQPTLFQKRALRRNAGEEEDDRKRKAEALAAAAGASSAGGGDAVLDDADDGGSIDASGLNYDSEDARGVEESGKKDDKDSNANSTVTAGGAGDGKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPSTASLPPTPTSFHPLTPTLPTLPSRVKEELCTNALPSPTSQQPRVEVRMREGRAVNIHMFCPRRPGLLLNAMKAIEGLGLDVQQAVASCFNGFTLDVFKAELCKDGPGLLPEEIKNVLLQSAGVQGMV >Dexi8A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:8A:30728232:30729830:-1 gene:Dexi8A01G0018440 transcript:Dexi8A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDELLVGSSGSRSNNISWSSSFLYCHEDPLLDSSTPGGAPQEAAADHQLQQQLHDDEEKLINDLLEQYIARQGYYAPSSTGGYYMQLQQELDAGAGVAAARSRGVHYILYAFGRLGLAASTAFNAVNYLDRFLSINCHLRWDEAWMVELVSLACLSLACKLDEVNIPSLHHLQMEEVMTHSFRPSTVRDMELTLLKALQWRLACITPYSFLHLLLPLVVSNEEEEAAAASQRLLLRSLAEPSLLLRFEPSVMAASALRCCMPAAAATNGVISRLLTNMRPPPDHHNTARDDAAQDECFKMMRALFLSTTTVNDSRSASHCR >Dexi9B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:9B:8790363:8794060:-1 gene:Dexi9B01G0013020 transcript:Dexi9B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDATNGGGGGGGVRQRQRGCTCTKADFFPEESFSSWSAYGRALRSTGSRLADRLTSRSLDSTELHEVRAQSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEARDAVGPAVVVSYVISGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCISGAAVARAWTSYFATLLNHKPNDFRIHVSSLSSDYSELDPIAVVVIALVCIFTVVSTKGTSRFNYVLSVVHIAIIVFIIVAGLTKADTANMRDFTPYGVRGIFSASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGAMALTTALYCVLAVTLCLMQPYKSIDTDAPFSVAFSDRGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPRLGTPVNATVVMLVATAIIAFFTDLNILSNLLSISTLFIFMLVAVALLVRRYYVSGETTNANRNKLVACIVAILASSSAAATCWGVNVEGWVPYAVTVPAWLVSTVCLWAFVPQARAPKVWGVPLVPWLPSASIAINVFLLGSIDSKSFMRFGVWTAGLLVYYLFVGLHASYDTAKTLAAESAAAKVEDGESKPARGGVHSVEY >Dexi8B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:8B:14387844:14388880:1 gene:Dexi8B01G0008780 transcript:Dexi8B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRRPAAGAAATQASSKREVGFAKLQGECFEYYMQNYSIIIGRSSRRSSKDPAAAQPPEADEGVDLDLGVLGGGMNVSRRHARIFYDFDLQRFQLEVLGKNGCLVEGVHHFPRSPYIKLDSQDLQMGDTKFYFLLPSRSVYGASVAVPSAIPPPPSDDDEDEGEQGEDMAAAAKRLRNGYSVLVGDD >Dexi5A01G0029110.1:cds pep primary_assembly:Fonio_CM05836:5A:32293422:32293676:-1 gene:Dexi5A01G0029110 transcript:Dexi5A01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRGTTALVIAYLLVAVTLVDALPPALPLVCDKVYGVQKHETCFAVSQAEGLSLKKFLRFNPNINCNNLFIGQWVCLHAHHA >Dexi2B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:2B:25180015:25180419:1 gene:Dexi2B01G0015130 transcript:Dexi2B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKLVVFLAVLCFAAGVVLSCEPSCPTPTPPVAPSPPAVPTPPSSSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAAICLCTAIKANVLGINLNADIDVSILLNHCGKTCPEDFKCPSN >Dexi7B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:7B:18276997:18278317:1 gene:Dexi7B01G0011190 transcript:Dexi7B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKKLFRRSSSKSSTTSSSSSSDGGDVGAGGGRGEIEWEVRPGGMLVQKRDGRGDAEVITVRVATGFSWHDVSIGATCTFGELKVVLSMVAGLEPREQRLLFRGKEREDTDHLHMIGVRDRDKVLLLEDPALKDMKLRAALAAQPVQSPYRPFIKV >Dexi8A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:8A:1844452:1846704:1 gene:Dexi8A01G0002820 transcript:Dexi8A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYSSLFDSAKGEGVRWRSQLIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPLSAFCRTSAGEWDAFRSIDMDAEERVRAFIDDASDKDVLVLNFQDPFQRLLLHGVCEFYNVTSTTSSSVRDGKPWKTTTIKKRQGTGVPSKITLVGYLRMKKNGTQ >Dexi6B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:6B:6977580:6977825:-1 gene:Dexi6B01G0006370 transcript:Dexi6B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAAGPAMVADAGVARPAARAEGSATGAITGVVQKGGVTGGVREVGGRHNSEVAAGRGRRGRRTGAATRKKKTTRKKKW >Dexi4A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:4A:3224794:3225072:-1 gene:Dexi4A01G0004570 transcript:Dexi4A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRSSKLAAQPKKAPRLEKVFTCPFCNHPETVRCVIDLELGIAEASCLVCEEAYCTVPDNLTEPIDVYHEWIDECERANQGAAPPPPP >Dexi4A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:4A:7802755:7804585:-1 gene:Dexi4A01G0009870 transcript:Dexi4A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKHHEKKLLKKTNFLEYKREGGHREALVTQRYRLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEVTEMLLDKLRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPDTVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMF >Dexi3B01G0015120.2:cds pep primary_assembly:Fonio_CM05836:3B:10971763:10976537:1 gene:Dexi3B01G0015120 transcript:Dexi3B01G0015120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRPAPRQAGRQPIVCAKIKYALPPSASSPSLPRAIAEAASPVVWVPACPRELSTATAAACLRSLCQPRSSPRRAALRCCAPEMAPSGEPGGEDLLKRAFETHSQDAVGFLILAKTNLGDEAYKQLIKTVQEIVKQSSCTEGGITVKECEEILSEVFASQTHVLKGFQHLLEGRSPFHEHDSPQDLEGAQSFLVNVKRSCVSDEDYNDLLSALSQATVTKKVEVEEIYARVKRAMRQCPEFIEMFETYLPDHLRMTLPNEQSCRSPKTSSADKTVVCCTPDANHSWDGTGESLLAQEHDGDKTDPLPDWSPSRENELPLKVNLDMCTRSTTSYYLLPKNCLTLKSSYRTELGRSIFNDTLVSATSGREDCFKFRTKNHYEENIFKCEDDMFESDMLLHRYKATADFIGNLLQDHVDSDMRIQEHLTDIEDGEFIPDVGTVQLGYRIGPGNTAESYDVAVPSEDECDHCDKSEILYERLLKAKNLSRESRTCGVYEGFMEELFSLLTGSTNSSNFENYCLTLLGPKSYVLFTLHEVIGRLIRQSVCLPDPVNFSSSSAKFVQVRNTTHFFSHMRQF >Dexi3B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:3B:10971763:10972758:1 gene:Dexi3B01G0015120 transcript:Dexi3B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRPAPRQAGRQPIVCAKIKYALPPSASSPSLPRAIAEAASPVVWVPACPRELSTATAAACLRSLCQPRSSPRRAALRCCAPEMAPSGEPGGEDLLKRAFETHSQDAVGFLILAKTNLGDEAYKQLIKTVQEIVKQSSCTEGGITVKECEEILSEVFASQTHVLKGFQHLLEGRSPFHEHDSPQDLEGAQSFLVNVKVM >Dexi9B01G0045560.1:cds pep primary_assembly:Fonio_CM05836:9B:45020289:45020494:1 gene:Dexi9B01G0045560 transcript:Dexi9B01G0045560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLTRKHRAGDDAVNGLLQP >Dexi4A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:4A:11084600:11084967:-1 gene:Dexi4A01G0012510 transcript:Dexi4A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAFVADEAAFARSVEVQFAALDANGDGVLSRGEFRRTLESFRLLDSSTMRSPRSTTLSSSSSTFRDEMRRIMLAVAEGQLGSQPLQVAIDDEDGSFLLEAVEHEAAAIASKVDADRK >Dexi5B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:5B:4439921:4444655:-1 gene:Dexi5B01G0006620 transcript:Dexi5B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTSPTLKMKLLIDTKANCVLYAEADKDVVDFLFSLLSLPVATIVKMLGKGSMPVSFGNLYGSVEKLDHTYVLPHVEKRDILRPTVMQSAACTSRSSLLFMPAHSSTGAGGKGFVKGVVTYTIKDDLTVTPMSTISSITMLNAAAVRNFGDLREKIVRLGYTEGLKIVKASLQSKIVLTDVFLAKKRRYYKNVVDFLFSLLALPVATIVNMLGKGSIAGSFGNLYGSVENLDHTYVLPPYGEGTYPKSYGNGIAGEHQPQLPPPADAFVRPARTSLQMRLLWLKAPSQGFVQGVVTYTVRDNLTVTPMSTISTITMLNASGASNFGDLREMTVLLGYAEGLAIVKVSLQSKTVLTDVFLKRTYY >Dexi9B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:9B:5764256:5765764:1 gene:Dexi9B01G0009350 transcript:Dexi9B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKATFAFCHSLLSSRLLPSAASPSSPLLPVQALLTTAGLLPCHPDLSLIALNSLLRVLSRRAASAAHPRLALGLLRDMLSPATPLPSPDHISFPFALSAAASLDGPDSSEIGAGAQLHALLVRNALFPADHYVTTALLQLYAPRPELARRVFDELPRREAIHYDLVIGAYARAGMPAEGLAVFRAMFEHGVDPDAVVLTTAVAACAQAGALDCGAWVHRYVERAAPGLLGDAFVGSALVTMYAKCGCLEEAVRVFDGMPERNEYVWGTMVGAT >Dexi1B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:1B:21051662:21055757:1 gene:Dexi1B01G0014680 transcript:Dexi1B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHTMPGAFAAHSPPYNLPHGADSRRRKRNTNSVLNARALQGLLNIPRVRSVRLQCQRIDDLARVTEENGTWVKDVMNNASQVLGDASVPDQAVGGNGSLNGNTTKPPPQRRKTSSVEDEAWELLQESMVYYCGSPVGTIAANDPNDSDPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWLDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTQQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPELAAKAIEVAERRIATDKWPEYYDTKRARFIGKQARLYQTWSIAGFLVAKLLNEKPDAARILWNDEDAEILNAFSTNRKRGKKVLKKTYIV >Dexi5A01G0040130.1:cds pep primary_assembly:Fonio_CM05836:5A:40389264:40391664:-1 gene:Dexi5A01G0040130 transcript:Dexi5A01G0040130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEKMAPALSSVRVNWPVAVANRVMGAVHAALVAAVIGHRAQQLLVSGGKSLVVGHGCAMVVADLTLLFLWALSQSGLWRPVSRVAFPERLASRGLRLPGVDVLVVTADPDKEPALGVMNTVLSAMALDYPGERLSVYLSDDAGSPLTLLAARKAYAFARAWVPFCRTYSVHCPCPERYFFNPSGDLVADDAAAEERLRIKKMYETFKGEIEEACRKDKGRWTKEERQDHDAHVEVISNDGDQQELPSLVYVSREKRRRSPHHFKAGALNALLRVSALLTNAPYVLVLDCDMACNSRASALEAMCFHLDPSPPEPDKKMGFVQFPQMFHNLSHNDIYTNDLRHIFATRWIGLDGLRGPLLSGTGFYARRDALYGDTELLDATELARRFGHSDQLVASVRNLHADLQQSSKGKAKKLELELLEAVASCSFEAAGTGWGDEVGFMYQSVIEDYFTGYRRFFCRGWTSAYCYPAPSARPPFLGSVPTNLNDVLVQNKRWMSGMLAVGLSSTYCPLACRELLAVSVPQAMAIAYFGFLALYAIPALCYATLPQLCFLRGVPLFCPWYAASFASSQLQHLVEASVANRRLALRTWWNEQRFWMLNAVTAQLFGCVSAAQDLLGVAAIDFDLTSKASDATLYHRGVFDFTGCSTLLLPATTLCVLNAAALVGGTWRRMMSRGGGFSRELFPQLFLLSYIAALSYPLLEGMFLRWDPARVPGRITALSVALAAVLLALFSG >Dexi9B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:9B:2503588:2504253:-1 gene:Dexi9B01G0004390 transcript:Dexi9B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSLLLLLVSAAALVATCHGDPDPVQDFCVATKVGDGEAAAFPYLPCKPSSSVISDDFFFGAQAHGASTDNAMGAGVTPGNVDAFPGLNTLGLSINRVDLAPGGVNPLHTHPRSAELVHVEAGEMLVGFVSTDGTFYSKVVKAGESFVIPRGMMHFQYNVGKSAARAMTVFNSQLPGVVFAAQSLFAAKPEIPDAVLAKSFMVDVEIVKLLKSKFRQG >Dexi9B01G0049470.1:cds pep primary_assembly:Fonio_CM05836:9B:48080168:48086231:1 gene:Dexi9B01G0049470 transcript:Dexi9B01G0049470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYSRRLLAAADFVLSADAQDQWTRLPALDVTADLKPHQLDGVAWLIRRYRLGVNVVLGTSSPFMGLGKTLQAISLLSHLKIQRIASGPFLVLCPLSVTDGWISEFSKFCPSLRVLQYVGDKVHRRDLRTTLYEHVQRTKSSHSNVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHELGHRVLLFAQMTQTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSSLSTKGLMRDDNQSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRAHRIGQLNHVLSMSLVSEHTIEEVIMRRAERKLKLSYDIIGEDNKTDVKGGDLGNEASGMRSIIFGLHLFDPADTTTETINDYTSETINVEKLAKLKIMSEEIVMMRSHEPSEKHERLFEINLNSADGSGTMIRRASDSISVDPALNEEAYLSWVKKFKEASHSIEDATAGLGTQRSAPEEKFLKREVNRKKIEEKRLAKWETLGYKTLAVREPDIARNQNISDSGSVHLVYGDCTNPSKVCPAKPAIIFSCIDNSGTWGHGGMFDALSGLSTCIPDAYHRASEFDDLHMGDLHLIQLDG >Dexi3B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:3B:10769964:10771100:-1 gene:Dexi3B01G0014950 transcript:Dexi3B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKQQGAGKPQQAAAAAAGQEFDPKYEWQENDTTFVLRLHLSGFRKEDFRVQVDGSGRLTVRGQRADGGRHSSFNKIFQLPSTSNLDAIAGRFNAGVLTLTVPKKVAVDTKQHKAKEDASKAPPPPQGQAKPKEEDEAKKPQVEPKVAAADMAATKKPKDDAAKPKEDATTSKKPPADKKPVDAKVAKPEQEQRTAAAPPPATMRKEEAKPKAAEAAAPPPPAADDKEQQAAARPPQQPDAERKAVDPESLAAVTARRRAEEEKTKAAAEEAERQRTTRRGFKERVQEELQGIAGSEWAEGLVETVKKNKEVIAVAVAAFSLGLFVSSRLCSRN >Dexi1B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:1B:24457333:24458843:-1 gene:Dexi1B01G0018180 transcript:Dexi1B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSLRPSSAQVPARIRHLSSVDVGGGHLVFRAAPPRRQPAARRALVVEARGGRSWSERQMQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNVITGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDEIIDTAKAQYRVLKTENEFRYGYKVVQEKGNIRSALNTSNVIELPKKDELKTVVDKVKDFFGDVTAGAKESFAQITGSAVSKEEEEAEGKEEKFRSKRRKKRKSKQGLKAEN >Dexi9A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:9A:11536689:11538632:1 gene:Dexi9A01G0016500 transcript:Dexi9A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQGFFAALREEVARGLSPARARRKAEAAADLAAAFRFTGGGGGEMLAPLMEGPDPESGDGEGGGGGGRGGARGGRKEGWGHWVRGQLARAPSSAAGAGAPRNDLRMLLGVMGAPLAPVHVCTADPLPHLSVKDTPIETSSAQYILQQYLAASGGHKLLASVRNTYTMGKVRMVATEIEKGSRLTKNRNAGRGGDPGRFVLWQMAPQMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRQLRRALQGLDPLITASMFAGARCVGEKKVNGDDCFILKLSTDAETLKARSEGLAEMIRHVMFGHFSQRTGLLVHIEDSHLTRIQSNTGGDAIYWETTISSFMEDYRPVDGIMIAHSGRSTVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIIKSGSVDETMELTQGERSRAGPPPGHRAKVAALEKTEGNKVAWGGGTILENHN >Dexi5A01G0039700.1:cds pep primary_assembly:Fonio_CM05836:5A:40188431:40188748:-1 gene:Dexi5A01G0039700 transcript:Dexi5A01G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDPVRYVKKLALFLGVPFTCREEEDGVVEQVVRLCSFEMLSGLEGNKTGSLKLRPRPNVVYEKSAFFRTCKVGDWVNHMSEEMRRKLDRIMAEKLKGSGLVFS >Dexi6A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:6A:21227519:21228734:-1 gene:Dexi6A01G0013890 transcript:Dexi6A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVGEVEPDQVAIRAAKILVSLRFRELRERPEWATASREAYEPAPATVEVPERWGRRRPRSCRSRPGSFRPWLKALREMELAGSGGEAVDAATFCAAAAGSGLPSTSSAERAPRAQTRPGDKAAAAKEPMKAPSPDTPLDYGAGGSGASCSADDAARPAQKRRSPGARGSGGGASSADNDDEGCSSPAKRPRVATEEEKPIPMRERRGEFRCLPPAGHMLTLPATDGPGAEYAE >Dexi1A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:1A:5736723:5738839:1 gene:Dexi1A01G0007430 transcript:Dexi1A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPWLPGAALVLLPLILLLLAAGARGQQEYEANKQDACYATNASSVLGYTCNATTASTNSCSTYLIFRSNPPYATPVTISYLLNASPSAVADATAVPSTVSTLPASALALVPVPCACTAGGYFQHNASHTIELADETYFIIANITYQGLTTCQALIAQNPLHDSRGLVRGNNLTVPLRCACPSPAQAAGGVRYLLSYLVMWGDTVTSIAGRFRVDEQDVLDANSLAEGDIIFPFTTLLIPLKVSPTADMLVSPAPPPAPTPPETPPSSPAGGSGSGKWIGVGVGLGAGAIADGESGRQGKVVVDVSSSAEYGALASGKQTTNTTTTSTSTSSAARSLVASDVRGAVEALTVYKYSELEKATDGFAEERQVPGTSVYRGVINGDAAAVKRVAGDVSGEVGILMRVNHSSLVRLSGLCVHRGDTFLVFEFAENGALSDWLHGGAAAGNTLRWRQRVQVAFDVADGLNYLHHYTNPPCVHKNLKSSNVLLDGDLRGKVSSFGLARAVDGGDAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVILLELLSGKAAAFVDAETGEEMLLWETAAEALVAAADGGAASEGVVDRAKVRAFADPRLHGDYPMDLALAVAAMALRCVAREPHARPAMDEVFVSLSAVYNSTLDWDPSDYGTSGSSMVGR >Dexi2A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:2A:33315050:33315404:-1 gene:Dexi2A01G0021160 transcript:Dexi2A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKERLERSRAAEEGGDTVQLPTETSPATSRSPTCPTAAPAPTRPPSRAPPPKRQDDVQQRDLGGLGGGTKDSAGRRRGDAATDVINRHGVP >Dexi2B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:2B:5553936:5556308:-1 gene:Dexi2B01G0005970 transcript:Dexi2B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPTSSNIGALPLDAVYEILLCLPAKLLCRLRAVCRPWRALLSDPQFALAHAARHPEPLIALAVAPYAGNKGDGVIVDIMDLSGQIVKRVRRVQRNDRVISMELDLAFVKNVNNDSYKFLNLATGVAHHLPDGFAEEHVAFGVNRDSDSVFILGQLPNTGEYKVLKLIHHVSNNLSSQLAEVDHCLVGTDIPSGGMRFEG >Dexi1B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:1B:603703:610633:-1 gene:Dexi1B01G0000680 transcript:Dexi1B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRGGARGRPRKAPAPPAHAHRDPATKENGESKGEEHDLPQHLDSAKGNGENKCEEDMPEHQDSAKQTNDENIKEEMPQASPEKGKQMDGNSTMCHQCQRNDSGRVVRCQRCIEGGKRYRYCVKCIKRWYPHLSEDDFEKNCPVCRKNCNCKACLRGEPTRVKRSTKSCPIEEGDTWSVSEEDKIKYSMRAVHFLLPWLKELHQEQTLEKSVEASIRGIDLCKVEVPLVTCKSNERIYCNNCRTSIVDFHRSCNTCSYDLCLSCCRELRQGHPRVGVTSDKVSLPDVGDNEDWQEGISQNKDIRQEPSDGHNDILIDGVLPSEDQTPDLRKWRVNSNGSIPCPPKELNGCGSSLLELKCLFEGKFIPDLLEKANSVVNNAKVLELGDSKCSCFTECSKMNDGSSRKAAYRENSNDNYIYCPTARDVQNASLDHFQEHWLKGQPVIVRDTLALTSGLSWEPMVMWRALREKRERRDRLSVTALECLTWCEVDINTHMFFDGYSRGAVGSEDLPVLLKLKDWPPHSSFEKRLPRHNAEFMSALPFREYTDPKSGPLNLAVKLPKRVNKPDLGPKTYIAYGVTQELGIGDSVTKIHCDMSDAVNILTHTDEIKLKPQRITAIEKKKESLNKKKESGNCQASRTDTDVLTPTPLSESTMVPKPVLDADVEEQEDSKEAVIAEGNLTEPDGQLTNQNNGVHVEVSFFKGKAGDSFINSGGRTVGNGFSCEAKIESANYSEGKFEPTSRPRRKTNMATSKTNNVGKLAISLEPKDDEALLVKENHTEGGALWDIFRREDVSKLHDYLMKHAVEFRHWNYEPVKEVAHPIHDQSFYLTNEHKMKLKEEYGIEPWTFEQNLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPAGHRVNEDKLEVKKMALYALNQAIKDITGIGCKESVKNEDEGEPSSSSSSEASEGEEEQEE >Dexi3B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:3B:1957226:1959502:-1 gene:Dexi3B01G0002960 transcript:Dexi3B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEAGGPDLIVDDGGDATLLIHEGVKAEEEYEKSGKIPDPESTDNAEFKIVLTIIRDGLKTDHKRYRKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKSQADYISVPVEGPYKPAHYRY >Dexi9B01G0041090.1:cds pep primary_assembly:Fonio_CM05836:9B:41549367:41550681:-1 gene:Dexi9B01G0041090 transcript:Dexi9B01G0041090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAPTTHSPRAARARCSACFLLGSSRPACAKPPPSGLLQRRCRSLFPPRDAGKQGAAAGRIGAAASCSPVGLYRGPRGGRGGEMQGLGFFLPTAAADEAGTAAALGFGGRRRLAERREEEREKNESDKRRARGRDREEPRAPVLCG >Dexi9A01G0047370.1:cds pep primary_assembly:Fonio_CM05836:9A:50510940:50514132:-1 gene:Dexi9A01G0047370 transcript:Dexi9A01G0047370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFDLSVGASAKVASRDGSPVRGTQSERKEYARSITVTGYTRRSSSDRSGGTPMKMLIAQEMAKEDDTNQKTTNVVARLMGLEDNTDLPNKLIVSSNRRSFPDGHLSATLARVNNQMSFEKHASFTEDVEYKDVYEVGYQPPRGECLSNESQRRRKPNEDHDKRRMDLVRQKFVEAKRLASHDNLLQSKEFHDALEVLNSNKDLFVKFLEEPNSLFAKQSEELHSAPTSPQRKRITVLKPSKSVDTKGEKAIKRQKNHAVDGNRVERSNIHKPDAAHRKEERLQKHTRIVVLKPTSAIASMEQFEQNYHADLDDSEAPAISRRLSDEIDWSMHGMCRHHNDSLQACIQSDTIRTDRPYDHYAEREGTSLSDSDIGTPTSHHSWDYIYRFSNPYFGSSLSHASCSPESHVTKETKRYTSDRWAIVPSSETIKEKVSVRRSLSTLGEMLAMADMKKEEVAEQASQDATSRLCSNEPTVGVSSNCAADDGEGENSLRKISRSRSVPVSSSAFDSIRLDGGCSDAQHKESTLPKEVKPKNGKSSLKGKISSFFSKRKKADKEKVKPSPMENLNSKVSSASAVAMDVPGHACTSLHDDVALDYSEEKFKNGPLVAPVDELEAPSTSNSKYPVSLEKALSFEIRNSHFDQPSPTSVLDAQFEDINEKSPISSESAITAKQEPLSRSLPIGSIARTLSWDDASQEAPLYCTRDDSHEQEQYEFVEKILMSVGFCNEKAQDIFFRWHSLDCPLDPAVLDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCAARYSNTLRVATCDALVTDEAWRLVKSWLFDDENDIAGLGDNAGLAADWVVGKDIHGKGWSDMLRLEVDEISKEICGDVLGELVGEAFSDLADAGCH >Dexi2A01G0035530.1:cds pep primary_assembly:Fonio_CM05836:2A:45159873:45161496:1 gene:Dexi2A01G0035530 transcript:Dexi2A01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSDNAVAASTCAHCQREIPSSNIALHSAHCARNLQKCEHCGDMVPRKLMDEHYEENHAPDVCGNRTEYCQTCRKYIRLREWIGHELQFHTGSNAGAGLSSDGAPAAAGQPVPRPARPAAAHGSQRKQILLTIAVAGFAVLIGSILYQRKGQ >Dexi2A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:2A:32321046:32322079:1 gene:Dexi2A01G0020230 transcript:Dexi2A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPPSHAAGQTTTQEDSAGGVKKPADAAADPGGMDSGWVVLGNSDIVPADMAAAAAAAGHGRLNFSPLPMIPIWMQMVLGGVVYTAVPFYKRARKMEGETLENVETAVGVVEHVAEVTEKLAANAANSLPENGSLHKVAVEIEYIAEVVDKDAHKVEAIIKKVCAITPLA >Dexi9A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:9A:4978649:4984301:-1 gene:Dexi9A01G0008420 transcript:Dexi9A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNMRIKTAPRANRVPLLGNGETSRGLSDLEEGSNVQPANVGFCRVIKLAKHDAGKLVLATIALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALEDVKGTILYIVIIVESFGAIRTVRSFAQESHEISRYGEKVEETLKLGLKQAKVVGLFSGGLNAASTLSVVVVVIYGANLTINGYMTTGSLTSFILYSLTDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLVEISHQYLHSKVSIVSQEPTLFNCTIEENIAYGLEGKSNFADVESAAKMANAHSFICSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQSKVVRLLLVELERDIYPLHVPQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLARDGIYTALVKRQLQGPKFEATSSISETSEIEAEPSRNGQ >Dexi9A01G0043580.1:cds pep primary_assembly:Fonio_CM05836:9A:46975903:46978317:1 gene:Dexi9A01G0043580 transcript:Dexi9A01G0043580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFDTPAMKRTSDWILSQEFPILPQEFPSDITITVGDATFNLHMLPLASRCGYIRKHVVGINGCQVTHIDITGLPGGSRAFELVAKFCYGEDFEFTEHNVAMLRCAAEHLEMTDDESMGESLVGRTEAYLEDVALTSLAGAVTVLRRSEELLPVAEEVDLVGRSIDAIAYHIVCSDGHFSVSQGNTTAGGYYGVGVAKAVDDWWADELTSLRIDTFQRVLIAMKARGFKGIALGTLIMLYAQKSLRRLDMNGGDKKKMDPRQEHEKRVVLETIVSLLPKEKNSAHPYLSEAERKKRENEELKMELLRLKLHQRDQSSPSLNSPTSGGGGALPPSGRSPLPKKAAGGGGGGGFMNNVSKKLGRLNPFQRVDAVGGAGKVRTKPAKDRRNSIGDLPHKDRRHSIGW >Dexi7B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:7B:2422269:2424372:-1 gene:Dexi7B01G0001490 transcript:Dexi7B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPLDLWNEWATQILVLLSLTLQVVLLLFAGIRRREASMLPTFLLWLAYLMADSTAIYAVGHLSLSSVPVDHQLVAFWAPFLLLHPGGPDNITAYSLEDSKLWLRHLLNLVVQVLGAAYVLYKYVAGGDSFILLAPILMFGVGVLKYGERTLALKRSNLDSIRRSVEKQVMEDAIPHQHFHPPDYGSMVAAVEGDEFYIRRAHSMFHVCKRAIVDSWVVRVEKDPESQSFSEERNHQAESQRLVVDMDIKKEEDNYSAMWTLMEMELSLMYDLLYTKAGIIHTWSGYTIRVASSLAAAASLMLFKFSNKGGHSTVDVAVSYLVGRGLRLGGSITIESASVNLDVRLPVQHAVELAPVRCSLHWKVGSASLACQGDHRKRWGEADIGEKIAWMLGYEDWWIRYHYSGTVQFPEDLKRRMFKYIKHLTSRSGLNMQGVIRRNWGRGAFRVRGEMDLYNSLYDANLLGVEFQEGIIIWHIATEVFIAESRGPGAEDSGGLVKTIRTLSNYIIFLLVDRPYMLPGLAHRMLYRQTCDNLADIQQHSSENQRKGMCTKLKDFFRLYDGPRAGGKHVEDLAKTLYKEKPTPTLAVPRLYFSYLVARKLIRRIEETGRVAMLKLLRDVWIDFLVYAANRCSRESHAKRLGNGGELTTILWLMTDYLHLQANNAAQDRH >Dexi6A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:6A:28014161:28015834:-1 gene:Dexi6A01G0020510 transcript:Dexi6A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAAASTTLFYAALLLAAASFLYLASIRRRRRSDLPPGPRGLPLVGSLPSLDPQLHDYFARLASRYGPIFSIRLGSKLGVVVTSPSLAREVLRDNDLVFSNRDVPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLGPAGLENVRALREREFRATLRHLRASAGEPVDVGAQMFLTTMNVITGTLWGGNIGGEEERAAVGKEFRHLVAEITEMLGAPNVSDFFPALARFDLQGIRKKSDVLKERFNQMFARIIEQRVKADHAGGEPPAPDFLEYMLKLEKEGGDGKTTFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMQKPKLLAKVREELDAVVGRDAVVEESHLPRLPYLHAVIKETLRLHPALPLMVPHCPDADATVGGYRVPAGCRVFVNVWAIMRDPSVWKDPTVFVPERFLAGAAEGEGRKLDFTGGEMDYLPFGSGRRICAGIAMADRMTAYSLAMLLQAFDWELPAGSRLDMDEKFAIVMKKATPLVAVPTPRLSRPELYDAY >Dexi8B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:8B:27291211:27291530:-1 gene:Dexi8B01G0016220 transcript:Dexi8B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKKGTGGALLFIAFVVAMAMVISSCHAADYCHAIFPCSDETCTNYCQKNNYKNFQTYCTSGQYYPNCCCRVPDA >Dexi5B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:5B:22073037:22074102:1 gene:Dexi5B01G0019850 transcript:Dexi5B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLGYSPLAGDDEAAISAATGGEGDDVKLRLLGYKPQLKRDLSVVSNFAVTFSIVSVVTGVTTLFGTGLQFGGPVTMVYGWPIAGAFTLAVGLAMAEICSAYPTSGGLYFWSARLCTRRRWGPFAAWLTGW >Dexi8A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:8A:1899998:1904756:-1 gene:Dexi8A01G0002860 transcript:Dexi8A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKSETPISLEENGTVVLTPHQGQEASSSVLPINFAGLAKAVTPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNLDVYYKRAVKYVEEPMSHLESIASSAVRAAINVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSGTNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKILELDD >Dexi7A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:7A:13976988:13977437:1 gene:Dexi7A01G0003860 transcript:Dexi7A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding EATFDIKLPRRSLLVQFTCNACGARTQRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLVVEYDLREENALQEENLLQEENVVSTDSED >Dexi5B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:5B:2032968:2034666:-1 gene:Dexi5B01G0003090 transcript:Dexi5B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATMAVATHHPRARPRLRICAAWDMDPGAATVAVPKPSKAKAKPPATPTTPVRPPPPTHADLFARSSEGQGVKTSTYMGFEKWWLPPPPAVKKPRSLYSAASLAYLGDCIYELLGEDFLTEEER >Dexi9A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:9A:16401582:16402074:-1 gene:Dexi9A01G0021540 transcript:Dexi9A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSTSAPAPASSAFPLTTAARFPRSSACPVRASALAERRRTRRRRAPEGGGAGGDRSAAAGAVEKGLRLAFLEQLAERARAADAVGVADTIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAVATG >Dexi9B01G0024420.1:cds pep primary_assembly:Fonio_CM05836:9B:20539291:20539557:1 gene:Dexi9B01G0024420 transcript:Dexi9B01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMYLAFIDWSPHCGMATIGCPSTSNCGLHVTIRPLSLALSVKPTGTFEVSEALTTHRKSLPLL >Dexi2A01G0036090.1:cds pep primary_assembly:Fonio_CM05836:2A:45685488:45686015:-1 gene:Dexi2A01G0036090 transcript:Dexi2A01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHPPPPQPFALLPRRRAAADDVETMDDGDSDTESVAESCPCPRPYPRRRAAIDDSSSSSSSSSSSCGGGGACCHGDEDGEGMDEDYDDDGCSSCVEGDEWSSYQEAAADEDRRNEAGTGAWWEKLPPVPRGATALPLAPPARAPEAEDPKRAAARQEEDRKFWEDCLASGYP >Dexi6A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:6A:20928837:20929944:1 gene:Dexi6A01G0013660 transcript:Dexi6A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPALAPADVVLEVLPQQPPQEPAVPFRGLAVARVVQYLYLASLWVACAGVAASAIARRASGVDSSLTWTFIKVSIGSLAFPALVILVSGLRLLRATCAAGFRPSLRTSAKGIQIARKVFGALTWKVVLPVVLVLLVTFLFFLLMGAGVMVFEGLLPVEKSQKKRVGYALFDTGVLGAMAMFGFVIIPSCVFMLWKSK >Dexi9B01G0029040.1:cds pep primary_assembly:Fonio_CM05836:9B:31602447:31602932:-1 gene:Dexi9B01G0029040 transcript:Dexi9B01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTHARRRRRRGPWPSSGVVSDGGGERPSEGGVASRAASNTVGGGVSHVGKETHSSSAASDRRRSRRAARGSSPDTVVVVAVKPEKEEEDGKGKREQEDWRRGLTGARRCDAWRREQGLAAGKGCGTRRMRRLRGRKKVAGNEAFSREKKTSGGPPISA >Dexi9B01G0043880.1:cds pep primary_assembly:Fonio_CM05836:9B:43801950:43805113:1 gene:Dexi9B01G0043880 transcript:Dexi9B01G0043880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLEMWLPPAAEEGAVAAGLFLDAGDAAAHGALLAAMPGCSVSFGSRSRRRRGAPPGFLSLTMSVKGGRGFVAGSVGLLAGAEEKSGAEEAEALVAGKAVEEVAVAEGKVLVLQEKEKEKGAHAGAGAMNTTKHLWAGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELMHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGAFAGVTATLMCLPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLRSPEGKERLSMMKQQKQDANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVLPSASISYFVYELMKIVLKVE >Dexi8A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:8A:15322914:15323389:-1 gene:Dexi8A01G0009820 transcript:Dexi8A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCSSPWPPILLLPLFFFLLVSPSVLASPAASSRQAESGGGNATAVWTPRMRKTFLDGGSGMEWWRGRRLVGRFQVCAVCTCCGGPHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >Dexi3B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:3B:9974408:9977129:1 gene:Dexi3B01G0013890 transcript:Dexi3B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLSWPARRDLYADLHLPGLHLQAWVVEARSYRTTWHGVHPLSFPPCSSRWSPAPASEQGNRERVAGEAKSSTNTGKSTKNGDRIEDQNNQIEHDDSNSLPRCLAEQQLSAPRHSRLEEAAVDEELEEEEEPRSVALELAPGAPPRVAAPLRSVATKGAGRRWRERGRGGGMEEMAAERARGEAREFWMGEAAPPRLCGAPHMIPWVTNHIAGEPDRRTVVNFLLELEPASGEPPVPFSPDFSLHRVRLRLLPACYNTCSNPF >Dexi1B01G0029070.1:cds pep primary_assembly:Fonio_CM05836:1B:33472987:33473286:-1 gene:Dexi1B01G0029070 transcript:Dexi1B01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTADEIVDFTHGKRLWELAKEKYEPLWIKGGGHCNLETYPEYIRHLRKFINAMEKLAKDKAPKPPQLPSSSMTEVKHNKCLRFGKR >Dexi6A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:6A:6550985:6551385:-1 gene:Dexi6A01G0006740 transcript:Dexi6A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGDAEPATEPASARDWSELPRDAIASVFTKLGAVETLMGAGLVCHSWLDAAMEPYLWQSLNMAHPNIFVVKEKFGPNKYRGVLRAMAKEAVDRSAGQLLEVFIRQGFVNDGFLNYIGENFVL >Dexi9A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:9A:7052760:7056296:1 gene:Dexi9A01G0011310 transcript:Dexi9A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLARALRQSNSRLSSRCPAMAASCRWISPTAAAGSPEAGAAVAPADPELPPPREPVGGARVELPPNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDALAVVAEVLHQVKPEEITGVAGKLSDAESMMALKDFINRMGSDKVLCEGNGPNPPADLRSNYLMNTGIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVKATQAKVGYIGPPSEFNYDHEHLGTGPQTLVEIAEGRHPFCSVLQSAKNPVIIAGAGLFEREDQGALFSTIEAVAKKFNVTRPDWNGLNVLLLHAAQAAALDLGLVANPAESIKSAKFLYLMGADDISLDKLPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAQLPYDSLSAVRDRIITVAPNLIHVDEKEPCTISAEVKPPVKQQVSSTPFKTVIENFYMTDAITRASKIMAQCSATLLKK >Dexi6B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:6B:21956521:21957991:-1 gene:Dexi6B01G0014420 transcript:Dexi6B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMELDGGMDALPDGVVQHILSRLSNARDVAACGAVARCWRGCVPYLPSLYFPRGAFESSRGGASAAAADDTIGRMVAAAERLEELVVYSPFSASSLPGWLAARAATLRVLELRVDAPADKTGHLDSIAVAAGLEELRLWGLTMWRPPAWGRMERLRVLEVVGAVFGEAAVNGAVAACPNLTDLALLGCECSGEAAISLPLLERCRLDFGGGNCSLRLAAPRVESLEIQGFCWISLQGGDRLKHLTISKNTGSVYHVEMGKLPELDKLSLRGVQWSWGAISSVLQCATEVKHLVMKVEFCGDFETLQPFPEIDLVEFFNSHPKLCKFEIHGAMFAALCQKNSLKNLDSRFVIPCLEHVLITVRSPLNAEQKLSTLESLVRYCVRLRRMIIRISQLKNCHESSDDFFGEICKFTYLNSGRVCIE >Dexi3A01G0025370.1:cds pep primary_assembly:Fonio_CM05836:3A:21058058:21058859:-1 gene:Dexi3A01G0025370 transcript:Dexi3A01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAETPQPGDSASTPADDRLLAFLRQKLAGEVLPAAAAAHFHDADIYAADPATLTLGFDPSPAKKCEEGGSWFFFTHVKPKSRNDSRKSRMVGGGAGTWHSERAPRAVFDGEGSCVGHSQYFSYKRKTGKNCSERTDWYMVEFTEGQEGDHERIHGGEPMLVLCKIYRAHSSSRSSASSRSGRKRKPTDEHVHVDQSSAPVKAKRRLFAPAPPKAAASQEQVSSRVIMAGSQGETSKSELER >Dexi5B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:5B:8742004:8744565:-1 gene:Dexi5B01G0012330 transcript:Dexi5B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIAQEAETGKIYRADYKDKHGRTVLVLRPGLENTTSATGQIKYLVYSLEKAIMNLTEDQEKMVWLTDFQCWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETRKKVKFVYSDDKESQKIMAEVFDMDELDSAFGGNNPATFEYNSYAERMQEDDKKMGSLHSSTDSLLESAEKGTNGADSDASSEASFYSGSDSPKHEDGEHSAPKKNG >Dexi3B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:3B:1877045:1877693:-1 gene:Dexi3B01G0002810 transcript:Dexi3B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVGTLGPKSRSVDTISTCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKKETPISLEENGTVVLTPHQGQEASSSLLPINFSGLAKI >Dexi9B01G0034380.1:cds pep primary_assembly:Fonio_CM05836:9B:36359065:36359469:-1 gene:Dexi9B01G0034380 transcript:Dexi9B01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYCCVEALPAGSPPAKLLATQRGAIPSPRRPGCFIHPAPLDNNALLVARRHSPWAASEASQECEDSDLGTNQRRINVRDKDNAHMASMLSINCPWFKIQGRLTPLRHHVVAKVHLEISSCNTARRRRTGNLA >Dexi3A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:3A:3734382:3735269:1 gene:Dexi3A01G0005760 transcript:Dexi3A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLQATIAEPQPSQQPRPITLAGCPDKCGEISIPYPFGVKPGCFLDGFQVTCNYSFQPPRLFIESEGMNDPSQHAAALVYYTVETKDWDLAGNIWFRPVELMDISVAHAEARAYGAVRSDCSINATHHIRQLQLTTLAGPFILAANRNMLVGVGWSILVDMRTSLFSSGSTLSCVSNMRYDPGYAENGSCSSGFGCCQAFVAPTLGPVSKFGVGFNYPPYGNGMGDLSPCSYGMVVERSWYNLSAEDLYGYDFLTQKYPRGVPFVIDFAVRNRSCPTPGGPAPPDYACRSGNS >Dexi4B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:4B:11636086:11637083:-1 gene:Dexi4B01G0013260 transcript:Dexi4B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFHAASSPSPATVPASPLPSKNTGLANLQWLLRKRATNKVQHGNQEHHHNDDDDDECTSMFAGATPNVAPGAAGSTDASPASPYCRAKRGGEALSRLRSAILAVVARARRGRGRRGMGSSVTGTIFGRRRGRVHLALQTDPRAPPALMVELAAYSTGALVREMASGLVRLALECEKKPSPPQQTTGDHHNQRRPALVEEATWRAYCNGRKCGYAVRRECGADEWRVLRAVEPVSVGAGVLPDSGGSSGGEGDLMYMRANFERVVGSRDSEAYYMVNPDGAGGGPELSIYLLRV >Dexi5B01G0021210.1:cds pep primary_assembly:Fonio_CM05836:5B:23509000:23509818:-1 gene:Dexi5B01G0021210 transcript:Dexi5B01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATPASSAADIVPLPPPLPVPEHEEVERDADQQPRVVVHGGRRRHAGRRSDEHRQVDHPYPRLLQERPVERVHYGRAHGPHEEEPVESSVISQRPKDASRPDEPPDDGRVEEDAVARARPRAVGREQRVLADVSDGPQQPVGHGEVHRAGHDGAHQLHQEHGLGWYLHCPSLKSARNDTACDMLMYPYTLKQTLAMGLPGRMSPMTYSDRTLSPGVWFVVAVMMLMGSVNANAMAQANRSPHHGSWTSFLRTVQRTSETAADSASSE >Dexi2B01G0025170.1:cds pep primary_assembly:Fonio_CM05836:2B:34489094:34491068:-1 gene:Dexi2B01G0025170 transcript:Dexi2B01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGCIGNLSKSQSLSDSKLKGSLGKKSQYSSIGNRRQWQSSLEAMENNVSISVSLEGNISSIPNSIVNDSKMSIDNGVDTSFINHAAISWAEMRKQWVGHQAEVPRKAPRDPVISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >DexiUA01G0004810.1:cds pep primary_assembly:Fonio_CM05836:UA:8905481:8906014:1 gene:DexiUA01G0004810 transcript:DexiUA01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGTSGRPVRLLLVLMASGVLLQALSAHAITRHYRFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVTHNVTIHW >Dexi1A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:1A:23207998:23211290:1 gene:Dexi1A01G0016220 transcript:Dexi1A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSVPASSSFLNQTLVHPAHSRTPRPTISNPSTDVLGRTDDSGQLRMPSFLPPDRRYTTSANDLCASTASLRGTSASTSNVWETLASSSQVAMASNSNMLRHASLATPRALASAAFYPNLVNQVPPQATGAIAPSLAAPATLGAALRDALLAGSAAQHGLTKPNSLSAYGRDMMADAGKMEPVIGRDDEIDRVIRILCRCNKNNAILVGAPGVGKTAIGKGLAQRIAVGHNVPATLSGVRVVELDLAAMMAGAILRGMFEERLKNMIQEAEVGANGKVILFIDDMHMLLGAGNNNTGSLSAANLLKPGLARGHIRCMGTTTCDEYRKHIEKDAAFERQFQVVLVKEPSLAATIAILRGLKRKYEEHPSAITRIHTDKQLNGNNTLHSLVDGVKKAIVCPDQAVSQFTGIPVNTLAQDEKVKLMHLADRLQERVVGQQEAATLVAQAVLRSRAGLDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMTEFVGSHSVLRLIGAPPSYHGYEDGGQLIEKVRQRPYSVILFDEIEKADPAVFNVFLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEYLVKAMTGEISMEAARNLVIKQAEKHFKPEFLNRLSEIVVFEPLSQDKLRLVANAQMKGIIARLADKGINISASEAALDVVLSGSYNPLAEMHFKGEVDVDTTVTIDASEDKKDLKYKVVKNVRRPDKRVLVEVSSDSGYDHGIDLNRAPVEKKMKGVAYIL >Dexi4A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:4A:13300842:13302744:-1 gene:Dexi4A01G0013600 transcript:Dexi4A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAYSRPSKPPGPAAGDRRGPRLAKELGRIEPKKLGIGLVAGCCLALLTYLSFARLFAIYSPVFDSSSLVLKNASPATATMPATEAAPVHQKTEVEKQKDVTDPEADPNMLNIPEATRKDEQEAAPAMKPAATEAKITCDENGVDEGFPYARPPVCELAGDIRIRPKEKTMYFVNPSGAGPFDANGEKKIRPFARKDDFLLPGVVEVTIKSVASAEVAPQCTRQHDVPVVVFSVAGYTDNFFHDNTDVLIPLFLTTAHLKGEVQLLITNFKPWWVHKFTPLLKKLSNYDVINFDKDEGVHCFRAGHLGLYRDRDLIISPHPTRNPHNYSMVDYNRFLRRAFNLPRDAPAVLGEETGAKPKMLIIERKGTRKLLNLREVLATCEDLGFAVTVAEAGADVRGFAESVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFTDPMRIHGQGWPAIAEIIMKQDVMVNLTRFKPFLLKALDELQE >Dexi3B01G0038720.1:cds pep primary_assembly:Fonio_CM05836:3B:41460699:41460929:-1 gene:Dexi3B01G0038720 transcript:Dexi3B01G0038720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGACRTGGDQGGNVPAAVAVLRSVPRPAPAMAADEEAAEIPGLGRRRVEEGRGEETRMVDGIAGSGLGEIRDSLK >DexiUA01G0006770.1:cds pep primary_assembly:Fonio_CM05836:UA:13050270:13051400:-1 gene:DexiUA01G0006770 transcript:DexiUA01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFLYLVADDCVERGYSLRRIDMSRFFFKTPSEGTPTPLDSSGEAGATDPLATEDSGSLPDPVLSFRAAQDLDQVSALINFVLFDKKGRDGENSKVVTIGHTGRTLMCDPSLPPSFLPLPMEATHSHLYKSWYWQSLPLPPNLYGRGDLADCIESYAVVDGTDILLSNRDKHTFRFDTVKGTWRKAGDWAMPFRRLAEYVPEHKLWFGISCKGNGYSFLAANLMHTSDSEEMVSPPVVWDSWNEYVQPPPEWSLAESHAVHLGSSKFCIIRFFHVGELCVCTVTHRTVVVEEELQALLTGVEVESCGEKLRVVKHKSGRYKLDIKSDYWLL >Dexi3A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:3A:6168971:6170188:1 gene:Dexi3A01G0008880 transcript:Dexi3A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANGIAKRWRELHGAQSWNGLLDPLDLDLRKSIISYGELAQATYDGFNNERRSPHAGACMHSYDELLSKSGAAAAGHYKITKFIYATSGLPLPDAFLFLPLAEVRDAWCRESNFMGYVAVATDEGAAALGRRDIVVAWRGTIRPLEWSNDVDVTPVPAGPVLGSKAGSYPLALVHRGFLSLYTSSKPSSKFNQKSARDQVFEEVKRLMELYKDEEKSITVTGHSLGAALSMISSVDMAAAGLNVSPAGSTTQQPPCPVTAVVFACPNVGNFFFKSAFGSFKELRALHVKNFGDVVPLFPALTYVDVSSAALSLTASRSPFLKWDPANVAAAHNLELYLHGVAGEQGSAGGFKLEVQRDVALVNKGTDALKYEYPVPANWWVTQHRCMVKNAKGQWELQDFKHV >Dexi9A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:9A:2724169:2729575:-1 gene:Dexi9A01G0004950 transcript:Dexi9A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRDRESEGRRRSSSSRRRRSPSPSDSDADSGSSVSPRRSRSHRRRRSRRRRDTPSSSSDASGSGSEDSGSESGGGRGRRGKSGSRRRRDVTEEQIVEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTENFVWRKKIERDVSQGQKVEISVKSEKKRQQERMAEIEKVKKRREERAIEKAQHEEEMQLLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILLKNLNFSDEYDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCNWELGEARKRDALDRARVRGEEPPPEVLAEERGLHASIEGDVKSLLDGKTSTELEDMQNQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLNRLEQHPVAAEQIVESEKEIDDKVEDEMHEDEDHRRYSPEPIPQQTDNNLEEDDGSFSPQLMHGNEDEDAIDPDEDKAELDRKREAVVLEHQRKVQEAIKAKARVPDEMEMKAIKTMGAMEEGDAVFGAGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYSIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >Dexi6B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:6B:25315664:25316569:1 gene:Dexi6B01G0018330 transcript:Dexi6B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGFVEFKLDWAATKTLAVDDVVLSDEFSAGGHIWRVRCYPHGTNGGYGLHLSVYLQLVSKSEDVIFDAFLMRSDGEPSASVYLPEGFNSWGFVKRTDLESSDCVKDGHYVLPCDEDGNGHRAMPSSDIGGHLGRLLDGHDLLGSSMAAEATTRMRCVTLHSIKPATFQILLRFMYTDQLPRDEELEQSSSPATIEEVFQNLLAAADMFQLERLKLVYAQKLWERVSPENVATVLCCAETHRCPELKNRCLEFFVVEKNFKVAVLTEGYSRSPG >Dexi9A01G0044480.1:cds pep primary_assembly:Fonio_CM05836:9A:48083152:48085423:-1 gene:Dexi9A01G0044480 transcript:Dexi9A01G0044480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTAQNAAAHPKPTAVADLGAYFRVMRLGDDSSSPQPPQVPVPTTTADALMQQLHTAARHYFPHQQPQSYGVGGGETSTSAAAGYAPPPYPYASRGGPRDFYASHFGMHSPRSHHAAAAPAAPTRVTPELDADAAGHFDPISSSSSSTPSPNPSAAATHYQFVPNAAPRLGAAACFPQRDGNSSILNPHASAFQSMIHAANPNQMGMGYYHHQSWLPPIMPQQVRSRLRERPLEMDLLAFPQTAAHVVRLLDEGDDEQVRRSVLAGVRRDVRGVMESAEGHAVLVALVRACAGSRDELHDIVKSLYNGNCFLTKYNHGLANLLKELVTALTPYPQMLVQFICWLLRERLMEQYKGAELLQCCFKTMSYEDSKVIIQFATMIIDELLFSSFGSRCLAECLLCARNVELYALERIILDRTIEIAMGQYSNYFLQRAIECGSEHLQVAIAERVAADVVNLSTHRFGSYVVEACFLLARTAVPLQRLLAAFLCLRGDELAELVRGSYSNYVVSKLLDAGKNLLTLTAVIRSMQHFPKETRELARLIDKLPASVHREMHARGVMMVVNKLIHKHHRVHATLNY >Dexi5B01G0039750.1:cds pep primary_assembly:Fonio_CM05836:5B:38456895:38458011:1 gene:Dexi5B01G0039750 transcript:Dexi5B01G0039750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMMKVVLVVACLQLAAFAVVQSSSWHQQRLMLATPSTQNKCTNTKTNKTTCSASCNKRCPHKCLIQCPSCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCIVSDSDLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHHLYVGAQKTTRWDAATDHLSVAFDDESVELPRFVGSRWAAPSAPALSITRTAQVNTVVVELRGVFRIMANVVPITAEDSRIHNYGVTEEDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRPNYVNRLNVTSNMPVMGGAPDYLSSDLFSTDCAVARFGRRIRQGAAATTTTIGGPANIAMDTHQTE >Dexi3A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:3A:12563535:12564089:-1 gene:Dexi3A01G0016610 transcript:Dexi3A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAVSVQPVAVKGLAGSSISGRRLAVVRPSPRAACRSSTRRPRAAVVAKYGDKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLLAYVSASASPDLLPIKKGPQQPPQPGPRGKI >Dexi2A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:2A:5330627:5331807:-1 gene:Dexi2A01G0005550 transcript:Dexi2A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPRRGAAAVAGLPDDPLVEILSRVPVKSLCRFKCVSKAWRDLISDPLHRRKLPQTLEGFFCGHMRTHGEIRGGDGVSGGGDAGDAYGGEDGCGGDGVGDSDDAGDACGGDDGCGGCSHGLDYAFVDLMGRSVPLVAPSFSFSFLKEELPGIEHTRILHSCNGLILLGHWRAPGHTMGYVVCNPATEEWMSVPSSGWVYTDPPKWLPVPSSVSSYPKVEEEQTFLIFDPTVSSHFKLLQFLRNASGLKEVGLRTYSSETGVWTDRSGERRRLEEGGERLQLGSFGRILSMLGSAFVNGMLHFIVYHIQKYQEVIVAVDWEGTTRRVISWPPRHGCCWSAPAFFGQSQGRLYCIMEQIEANSAQITIWVLEDYDKEEWVMKHSLWKY >Dexi8A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:8A:20051709:20051998:-1 gene:Dexi8A01G0011350 transcript:Dexi8A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCVQCPRRGDESEEESNGRRGEAPARCVVVVAAYEWSGFDGSTLSDGVWCCNGTKATSPAQRWFARCGAVWFSRFSLSALGYVLMATSR >Dexi3B01G0036720.1:cds pep primary_assembly:Fonio_CM05836:3B:39575391:39576465:1 gene:Dexi3B01G0036720 transcript:Dexi3B01G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVVVVVLISLLLDGPVAAAVDDSGGGCNRRCNDLVAPYPFGFSGDCPIVLDCNATASAALLPSTTASPYPVVSFNPIASTFAVSLPPSCNGRTVRDADASLNTASSLSGGGGYAVSSDTSLFLRSDCNRTATNATSCSVPSEIMTKLLDTARCGNDTAWACGGQRGNDTSHTTGVAGTMGYMDPECMLTGRASVESDVYSFGVLLLEVTCGRRPAVRVGDDNDEDCFVHLVQWVWDSYGGGTIFDAADARLAGEFDGREMACTMLVGLWCAHPDRSLRPTIRQAVNVLRFEAPPPILPAKMPVATYGPPPPAAGHPSSTSTRRRIVATSATMATLGIIPAHRSCRRSSPV >Dexi9B01G0031180.1:cds pep primary_assembly:Fonio_CM05836:9B:33604046:33607066:-1 gene:Dexi9B01G0031180 transcript:Dexi9B01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAGNPTNHISPHVIGGAFVQQYYHILHEQPDQVHKFYQESSILGRPESNGTMAYVTTLNSIDEQILSMDFRNYLTEIETADAQLSHKDGVLIVVTGSMTSEQGVCRRFTQSFFLAPQESGGYFVLNDVFRFISERKPAEINQVVTQENGSSQNGISAPEPCSALPEPTPANRNVILDHVTTENIVTERQISNPSVNGAAVENNVNAKPPVQVAKEDSKKAQATAPPPPAPTQTDVTRKSYASIVKDMKEGPPTTQVAKTTPSVAKQKPAPKPVSKAVEGPEKSSVKPTQANETSDGIVAQNNSSRNEQGYSIFVKHLPYSTNVEILEEEFKRFGAIKPGGVQVRHNKDDHFVYGFVEYESQQSMQAAIEASSIHMEDKEVRIEAKRANSRGGRFQSGRGVYHGDNFRGRGGGYVDNANYRGGDNFNRRNEENFNRRIEGESYNRRNEGEFYNRRNDGEMYNRRNDGENYNRRNDGENYNRRNDGENYNRRNDGENYNRRNDGENYNRRNDGENYNRRNDGENYNRRNDGENYNRRNDSGENYNRRSNFRNQNEFSGRGRGPPPPGNGYEQNGNGVHPPRPFQNGNARFGRVNSGPKQAPVAA >Dexi7A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:7A:24046813:24048299:1 gene:Dexi7A01G0014070 transcript:Dexi7A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPSPSSSSGQQKPPLCDICQERKGFLFCKEDRAILCRECDVPVHTASEITRRHSRFLLTGVRLSSAPVDSPAASEQDDQEEEEENSGSPCNADSACSGGAGATAAASASDGSSISEYLTKTLPGWHVEDFLVDDASAWDVGACSDAALFQGEQGQMGGLLQEAYTPWTGREQLLGDVVVVTADERASRERWVPQMHAEFAGSNKRPRASPPCGSYW >Dexi5B01G0037300.1:cds pep primary_assembly:Fonio_CM05836:5B:36841986:36844561:-1 gene:Dexi5B01G0037300 transcript:Dexi5B01G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRVQKELTECNRDREVSGVSIALHDGASISHLTGTIAGPADSPYEGGTFVIDIHLPASKDAIHHQSMYLRDYPTFAATARYWTEAFAKSTSTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >Dexi8B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:8B:220317:221112:-1 gene:Dexi8B01G0000300 transcript:Dexi8B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSKKIDDSGQVIGGSWRDQHQLQEGGQVYNLAHLSLHHGHTGASTTTIFSARFGAWPYWNNLHQPYWHHGHHLLGSKPYYSSATEADAYRAQYVARATSSTPASILQGCSSYQNDQSINNNQRLLSRNEDNHHHDPLDLELTLDIRPRRDKRIKRSGCSWGREDEENAGDQEAESATDTGLCLSLFSSSPPARISNASVCQYE >Dexi1A01G0022310.1:cds pep primary_assembly:Fonio_CM05836:1A:28998295:29000523:-1 gene:Dexi1A01G0022310 transcript:Dexi1A01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGAAEGDTPSQEPVRKGRSNTILLPIVGILFAYLLYRFLRPRLRGLRLDRYLPSWARLPGWLRRRTSGGGRTSTALLPYFAPIADRLGALPYLGPFAERLGVGPQGGYGGHGCGGGAQALVKFPGGEALSVAAILEAPGEVVAKSAHSTLYRAAVRAGEAAVLLRFVRPACAVGADEAYAAAGRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLHRFLQEGIAESQRWNIVCSLSLGIAKGLDHLHTGLEKPMVHGNLKTSNILLDANYECRVSDYGLYLLLNPGAAQEMLEASAAQGYKAPELIKMRDATRESDIYSLGVVLLEMLAQKEHTDDDGRPNPRDILLPASFKNLVLERKISEAFSSDLARHCRRSGKEKSLNAYFELATACCSPSPSLRPNTKHILKRLEEIAR >Dexi5A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:5A:10378420:10383379:1 gene:Dexi5A01G0013730 transcript:Dexi5A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKPEPRHRHDHVLHEEDEAHQEREENATTTMDHGPLSLHHRGATLFGHRHDEAAAAARRGEIREVDFFSLDSGARQQQDGGGGGRRVPGGGWDDVNIGLDLLTTATDATTSAEEKATARNQMEASAVEAELRRVLDENRRLRGMLEELTRSYGTLYQQLLQVTQHHPHQHQHPDHLMNNRSSLPRTHLNTMGMPNNTSTRQLLEGRAASSTAQTQPDADEASDEAGEASPSLSNNAGNNDGKRKISQDGTAPPRENGEQASSAELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKTILVTTYEGHHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGALLGHPAALFHHPHHAATTIPYASTMATLSASAPFPTITLDLTQSPGAPGLPLAHGGLQRPPVLGIHPTAAPAIPIPVASALAMFLPQQRGPMAAAANMPAAPGLVASRQQQQHSVMETVTAAITADPNFTTALAAAISSVMAGGGGGAHQSTTPRGGSNNGIAGEVNGSAGAAPALAQTTAGGTNVANGSPRFATQSCTTSTN >Dexi4B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:4B:6053979:6055232:1 gene:Dexi4B01G0008390 transcript:Dexi4B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEMELRPPPASPSPKHFRLASAAASPPYATAPSSPRGRLVVPAAEEAAAGGPFLTAPPSPNPFDLLPPATPRLTGGNPFDLFHHFTSAPASPRRAAAIYAHFADGNGDGDGDDDEEEEFQPRGSYATGGGSSVPFEWEERPGTPKRGLGAEAAWDDTDFEFGTVAGDKAAPPETLTTADELFEKGKIRPLKPQLMKTGDEPKVRPLKPPPGLLDGGSVASSPRSPAMAPRGAGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPLGGKEEANGGGGGVNAPGSPPKKAATRPAASRSGAGWRRWRLSDLLLFRSSSDGGHVSKQEPIFKPAEQLDAPVKTKAIAQPGMMKMIKANGKGDDTGKAKKQHANRSAAAAAESVAGCARLSPLQRLAKGLGAYPWHIGRGMAAPASRG >Dexi5A01G0028950.1:cds pep primary_assembly:Fonio_CM05836:5A:32135372:32135743:1 gene:Dexi5A01G0028950 transcript:Dexi5A01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAEQAASREAREDTSERSPCCVAYKEAEASEWNGTYYSGVLRVVVVAAAVVRGVGGEPWPRGERRAWRARAAGDRVACGGSALASHRRMLNC >DexiUA01G0026790.1:cds pep primary_assembly:Fonio_CM05836:UA:57319750:57321803:-1 gene:DexiUA01G0026790 transcript:DexiUA01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYFASVQGALKEKNFDIRKTAGGKSYVAVYKKYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAIAGIVIGASVLGLAALVGVFMLVQKRRRVSQQQEELYNMVGRPNIFSSAELKLATDNFSSQNILGEGGYGPVYKFLETYTVKHMCNFKFVLQGKLPDGRVIAVKQLSKTSHQGKNQFVTEVATISAVQHRNLVKLYGCCIDSSTPLLVYEYHVNGSLDRALFGAHTSAYHHHHHLSVEHISQGFVSECAGDSGLNLDWATRFEVILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLFDEKKTHVSTNIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETIAGRPNTDNSLAEDRIYLFEWAWELYERDEALGILDPRIEEFDSEEALRVIHVALLCTQGSPHQRPPMSMVVKMLTGDIEMTEVVTKPSYITEWQRRGGNTSYVTTDYSGDTTGEFSAQRETITPLTPSPAMTGVIDEGR >Dexi2A01G0028930.1:cds pep primary_assembly:Fonio_CM05836:2A:40055833:40056866:1 gene:Dexi2A01G0028930 transcript:Dexi2A01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLLSLVVFLLAVSLPPTAVAITRFVPAGTFSQCVGVGVYTANSTFEANRRRLTGVLLAESAARDRPYYTQRAVGYWPNRPQASFFCRLRRRHGVDSGGDSSCSACIAGALLELDRGCPYHREAFFSSRNCTLELGEYRILATGRVLGTGGIIREGNILTGALASGLIFQAIGFAWLFFLLLQEWRSRKRGTMM >Dexi6B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:6B:1723006:1723452:1 gene:Dexi6B01G0002010 transcript:Dexi6B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKTLLSSELSLSPLLLNVDVMTCWLVNMAALESAKATTPMSFDADGYVVSSYLSILAMLMDREEDVRELRRRGAITNIFSDEQTLAIFKCFGLHLRMGYNYMDILQAMDHYMRHRPLRIAIYKFFFYNNKTIAAVLPSLGLSSAS >Dexi7B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:7B:19165910:19166435:-1 gene:Dexi7B01G0012360 transcript:Dexi7B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFDFAKPVYLVAEHEGEKTAYSVFKVDAGGCGGRTKPLRVHTVAALPNTTRGMSFVTAHSKLGSWIVGVGGKSRAGTIILDPSTPETYQGPRFLHPRSNPVLISLAGEVYVLSRRPRVVPGIDFEPWFYSLSFKEEIPIGGSVGCTFWCKLPPPPFFPCFAIHRRL >Dexi8A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:8A:9946896:9948197:-1 gene:Dexi8A01G0008430 transcript:Dexi8A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVALVTLVVSFLATARPAYSAPQGFRAAMSRREPTINFTRASHNSYERLLMLAARQDAATGVSHGVSAQAPLRLDAGGAGYDMTFSIGTPPQKLSALADTGSDLIWTKCGACHQCTPYATPSYYPNNSSSFSKLPCTSNLCTDLGEEAATACSGAGEAECDYVYFYGLAEDPNHYTLGYLATETFTLGGDAVSGIGFGCTNMSEGGYGTAGSGLVGLGRGPISLVSQLNAGAFSYCLTTKFSKSSPLLFGALAGLSGASVQSTPLLPSSIFYVVNLKKITIGSKTTAGTGTAGVVFDSGTTVTFLAEPAYTAALKAVRRETKLQKTAGIAGFDACFREKRGEGFGDVGDSWKTAIPPMVLHFDGADMFLPLENYFGEVEDGVVCWIVQESPSLSIIGNIMQMSFHIRYGVDEEVLSFQPANCDKFRLRNH >Dexi9A01G0027700.1:cds pep primary_assembly:Fonio_CM05836:9A:32364571:32368177:1 gene:Dexi9A01G0027700 transcript:Dexi9A01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPHEPSSNSNKQGWGEHEEIHVSTGGVQAYTDDDADCESRRPLLLGTPASAECYSVSAAVLPFFFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSVQTGLVVSGSLYGALIGSVLAYTIADLLGRRKELILASISYLIGALLTAVAPNFAIMVAGRFLYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIVGNLFVEVVSGWRYMYATSTLLCIIMGIGMCWLPSSPRWLLLCAIQGKGNLPESKEIATRCLCQLRGQASPDMVSDQVNLILEELSYVDQEKQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGIAVLVVDRLGRRPLLIGGVSGITVSLFLLSSYYTLLKDASFVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGLLFCGFGVIAVASLVFIFWIVPETKGLTLEEIEASL >Dexi4B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:4B:21617953:21620995:-1 gene:Dexi4B01G0019400 transcript:Dexi4B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFRPLMATAAKARSPPAVAASTAMDRDEHVHYKHTDGCHHLRWTAKESYEYMYARPWSRVLDFYAELVRTGAGSAGLAKLFAKDEVAREFHPNFAAKWRRYMYIFPLDEDAKLIFGDEHSSEALENSEHNIKPQNFDVAKADEILRKLSGKTLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLFSSNEDCKEGMRVMCVELVANRFLRKMVRVLVATAIREAAAGAREDALLNLMEATDRRATAPPAPPEGLCLVDVGYKDFDKQRCFIVD >Dexi4B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:4B:22341310:22345882:1 gene:Dexi4B01G0020110 transcript:Dexi4B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGEDRQAMFLSEWNATSVWRAQRSQAVDSSGERAGRGLGDRIGGFGGRRAGGSRVTEWAISPVSWMMELLRGWPSVSSD >Dexi5B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:5B:5966626:5968243:-1 gene:Dexi5B01G0008820 transcript:Dexi5B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAAEFNAPVTAFLAAAADTDTAASAPRFHIRWFTTVTEVELCGHSTLAAAYFLLTGGVVGSGTDAIEFVTLSGMVLTARLLVKEAGNGKRACNDFSVHRVDRGGFCIELDFPVATVEECVPGEVMPTMPDTLNGASVVNVCKTSTIGDLMASSRGGTLRLELDEHAQRVRIRGEAVTVMVGTLLA >Dexi6A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:6A:3556480:3557367:1 gene:Dexi6A01G0003860 transcript:Dexi6A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHTTPSGDDQRQVAISMCSTPSDRSIAGAVAGAITGVSTPRSSKLVPVDSLQKLMLKSPLASIHDDVEQEGWRRAPPVPLVKKMAAEFIGTFILIFTVLSTIIADAQHSGVEGLLGVAFSAGLAVVAVVLAVVHISGSHLNPAVSLAMAIFGHLPLSHVAPYAVAQTVGSTAATFLAKGIFRPPDPAVMATVPRVGAGEAFFLELVLTFVLVFVITAVATDPASSKEVVAISIAAAIMMNALVGAPATGPSMNPARTIAAAMATGKYKDIWVYLVAPPIGAIAGAGTYTLIKP >Dexi2A01G0033380.1:cds pep primary_assembly:Fonio_CM05836:2A:43607748:43609599:1 gene:Dexi2A01G0033380 transcript:Dexi2A01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNISTIPSSTTQSNPKPKKPASSQQKASATSSSNSRLNICISVNTVDQMFVLWTDHLISSCRYYCCCSPFLNIGLMSNDSNDKGLTDYEVSGEAALFNQTEIALILMDHGANVQSKNGQGETPLDCAPAMLQYKMRQRMEELVASQRPS >Dexi3A01G0025080.1:cds pep primary_assembly:Fonio_CM05836:3A:20699202:20699788:1 gene:Dexi3A01G0025080 transcript:Dexi3A01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDYPSLLNKVLKWDLRRCPTFNNLKKLSVGDWCVDGGLHGLIQLLVCSPILEKLRLHLGLIGASASHNNTDESEARKVNCKHLKKVKITCVQGDTRVPDIVRLYLPMTNVCRKLLSSHTSVGIRQTMQILRT >Dexi4A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:4A:24797190:24797504:-1 gene:Dexi4A01G0021110 transcript:Dexi4A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGMRDRDRSADASGAPPFRGPAYKTKLCALWRGRGGCPRPNCGFAHGEAELRRPPPPPYCPPVILP >Dexi3B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:3B:10649644:10651687:-1 gene:Dexi3B01G0014820 transcript:Dexi3B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVAGDVPARSPPKLLRTNSSKKVSAASSLERAILSFKTWQPAAADCAARAPAADDHAPPPTPRRRIHGARPGPLDLDLHHHHQSPGRRTPAVADGVARSPLQEAAATTVQKMFKGHRTRRSLADCAIVVEELWWKLYDQASLDRKSVSFFTGKQETAASRWLRAGKRIAKVGKGLCKDDKAQQLALRHWLEAIDPRHRYGHNLHLYYDIWFQSSSTEPFFYWLDIGAGREIHHPSCPRSKLNSQLVMYLGMNERAAYEVVVEDGRLTYLQSGLLVNTTDESKWIFVLSTSRSLYVGQKRKGQFQHSSFLAGGATSAAGRLVAKEGVLKAIWPYSGHYLPTEENFNEFISFLQEHNVDLTNVKRCSVDDDEYPSLKRKQSSAVDPSSQQEEEEPKETMAGQTTTAMEEDIGATAETPADAAEEDEASSSSSRALVKWTSGAGARIGCVRDYPAELQSRALEQVNLSPSRSSAAAPPLLPWPVPSPRPSPRIRLSPRGQYMGVAASPGVRHPAKQQCLGIRPPMVNLTLPTGRNSKGIDR >Dexi1B01G0029020.1:cds pep primary_assembly:Fonio_CM05836:1B:33434844:33439370:-1 gene:Dexi1B01G0029020 transcript:Dexi1B01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAGVGAAVPIVAASSSFRWKPIAPVGFGTVQPNTLFGGPTLCGGGPIECSPTHLTRRRRQRSAPYFLRRVGSLMVAMATKGEEEVPADRVPHLPWMRYPVDIDAFSGCPVAKLPRLDPRLAEALQRMGIESFFPVQEAAWMETIGPGAFERDVCINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLVRKSKQDLYPTIDEEYVKMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLICKSNLKPLSLIVLLQELQGSKCLVFTSSVESSHRLSTLLGFFENLPFKFSEYSRLQRESTRRKTLEAFKEGGIDVLIGTDRMARGIHIDGLRYVKTFDKMLKKADNASCSLHSLPEESIETLRPVFSSALKKLEESLESEASKKSNSGDKVPSGSKRKRTNQK >Dexi2A01G0026050.1:cds pep primary_assembly:Fonio_CM05836:2A:37621038:37625218:-1 gene:Dexi2A01G0026050 transcript:Dexi2A01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHPLPPPQLVGLAIFLSVAAAAVAKTDQHDVTALNVMFDSMSKPSQLSGWKSSGGDPCGNDEDWKGIKCSGSSVTEISNLSGLGLSGSLGYQLSSLKSVTKFDASNNNINEDIPYQLPPKMVQLNLYGNSFTGGIPYSISQMDDLETLIHILLNGRNVGKNHLNGQLTDMFSQLPKLSTLDLSFNGFSGSLPQSFQHLRNLKTLNVESNQFSGHIDVLSIPLEDLNLQNNKFTGWIPSKLKDINNLQIGGNQWSSGSAPPGMEKGSALGSSIGGGGGSGINGFIIAAIVIAVLLVALILLSVLKRNSSSPVSSHYLMDESGHNRSFAPLVDDTGGHKQSSAINMKPLENPSSISRTPSAVPRKSISDNEFENKLNYSRRSTDPINLVTCSSSDLQAATGNFHSSRLLGQGTISGVYKAKYADGRVLVVKKFDPLSFSGSSDFMDLVNSISKLCHPNISELVGYCPEAGHYMLVYDYHINGSLYDFLHLSDDYSKPLTWDTRVRIAIGTASALEYLHDGCSPSVIHKNIKASNVLLDDDLNPRLTDCGLAYFYEDTNESLGPGYNPPECTRSAGYVMKSDVYCFGVVMLQLLTGRKPYDSSKSRTEQSLVKFVTPQLHDIEALGALADPALRGLYPPKALSRFADVLARCVQSDPEFRPSMSEVVQSLLQCIQRTTSSRRIAGHRSISQRSEDSDW >Dexi7B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:7B:12495607:12497409:-1 gene:Dexi7B01G0005220 transcript:Dexi7B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELRWRTGPKVGEAEVAWRASSLSSGAGVAWIQELQRARCLGIADSDGVELGKLHRQAPACIIHIEAYVGHPKVKSAAAALPLFLKIRINSYVKVTQYHLKLKPKVALEIVRQYP >Dexi5A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:5A:12928918:12931506:1 gene:Dexi5A01G0015360 transcript:Dexi5A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSGRAELRRRSFKPSVAVWALVNMASNTAICHDVVRAHGALFPVLQQLCGHAKLSMLHNASWSLSNICHGLSQSNSEQVKLAHPVVRQLIHSQDDVVLKTSGSWSFLCYYYLQLISKCLIDHQALPYLLNLLTTNQTKGIQPEVFRIISNIMAGNKEQIQVVS >Dexi9B01G0044250.1:cds pep primary_assembly:Fonio_CM05836:9B:44057296:44057612:-1 gene:Dexi9B01G0044250 transcript:Dexi9B01G0044250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFSSSLAHFSSPLGTFRAHPAGRLRRGASGKFVACSSPPPDVVVTRERGKNAKLIAALTPA >Dexi9A01G0024590.1:cds pep primary_assembly:Fonio_CM05836:9A:20983973:20987136:-1 gene:Dexi9A01G0024590 transcript:Dexi9A01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKSSSSSWSSLFGGLGCFSSTHTGHSGDSGSGSAKVASSSSSRPPAPAPLPSPEDLSLSLVGSDVLAFTVEELRVATRDFSMSNFVGEGGFGPVYKGRVDERVRPGLPQPQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHPHLVKLIGYCYQDQHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKISIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKDGPGEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKAVDKNRPPREQNLVEWARPCLNDSRRLDRVIDRRLNGQYPTRAAQKAAAIAHKCLNVSPKSRPQMSAVVEALESLLALDDATIEPFVYTAPPETR >Dexi7A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:7A:19047864:19048172:-1 gene:Dexi7A01G0007760 transcript:Dexi7A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSPPPGRQSANSFATLSLFATWDGRDSGILESPATPAAPDGSDVEKDTGNRGLFPSVALAESGTVVRSPLPHWPLVGLYGYAAQAQLG >Dexi4B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:4B:12203096:12203440:1 gene:Dexi4B01G0013520 transcript:Dexi4B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLVPNLDGGFCGANMISNASSTSWTVARELGRGLMTSRCFVPEPFSASEVCCPDPEEMPLEDEPLPHSRPATQAATPRNEITTITRSTGPKDPGLSSGTDLPERCTEPEEG >Dexi1A01G0030900.1:cds pep primary_assembly:Fonio_CM05836:1A:36092247:36096489:-1 gene:Dexi1A01G0030900 transcript:Dexi1A01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLACCYNDPEMQIDPDTVYPIRPDCRDDAPKTRFKPRPGLTLSPRRWKLLHNEEGCLDIAGMIKRVQRGGVHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIENPNSDGGTSVGSEQQTSGAPLPKEVIQWKLLLHQIGKHCLDVNRTDRVLVYYESQENLARLWDILAVYSWIDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMRRVRGNFISTSTSIGVRAQLTTLSSIMKSVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWEVSCLDKISYCIVINLMWSMEYNPNLFSMLESDTGISRESTKDEGVLKQCGKFERKNLQAAKKDEIPLSIFVVASVLEARNKKLLVEAKGLDDVVKILNEITGSLDAKKACREALQIHEKYLNTVSPRFIHKS >Dexi1B01G0021970.1:cds pep primary_assembly:Fonio_CM05836:1B:27706083:27708611:-1 gene:Dexi1B01G0021970 transcript:Dexi1B01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHASGSVHLQYFMPQKHQPQEATDLVQADYYSSSSSSAARSSSSSTSPAPSSSAMWEYQQAAAHAASLQLQTASSPASSFPSWSSYGGATTAAALLGAGSAFATDTASSSPNMRLPSAAGEYGHGHAWSQHSEQNNRTGYRENFLDLLASKNVTREMFEDVPAGHHYAATHQTLSGVRLGGSDVAPIKYEATGGSPLFFGNTTTTPGIHHQGMDMVGCRTPCYSYGDHHQIKEGSNQQQELAAPAMSPFLQQLSSNNASVGMHASLDYSGIGLDKICQEGGATEGSSSFGMRSLLPDLSSFSGYRSSNAESPSSVQTYLRSSNLSDSTKQEQDIVPARSSSSGSGAASDRKKRPSEERTTSTGKKSKQEGSKASPPKQQVPKVKIGEKITALQQIVSPFGKLLSEPYTNSSRNKGNLPWAVDHHAEGSKQQLGDQAEHDLRDRGLCLVPVSWTPEVYRDGTAMDYWTPAYRGGLYR >Dexi1A01G0028350.1:cds pep primary_assembly:Fonio_CM05836:1A:34016577:34019818:1 gene:Dexi1A01G0028350 transcript:Dexi1A01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIARAVSFGGRATAGWCSYRRVTVAVCLGNLVAALLVLRSLTAPTSFTPTAPNRSEVLQTVQYTEEQIRRVEESIRIRREAEPVELVQAVEKLKKVFAREEKRRKELPLVLKQKISYDIIRRLQDLGDNSSLAQQREVVESWRAEKLKDIKSAFAQNQSNLDISSEETRMLKRALEFNWRMVMQDIGLWIPEEVSHTVHDDKPENEPEEEEIVAGPPLSPQCNPELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKNAKPGELKCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDAHPNAPVVVPWMSGVVSA >Dexi4B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:4B:14092236:14093505:-1 gene:Dexi4B01G0013880 transcript:Dexi4B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAKSVLVAAVALVAVVLEIGLVGANFQDDCDITWEPQNAKMDEGGNHLTLSLVSNSSGCMLRTKKQFIFGSVSTRIKLVKGNSAGTVTTYYTSSIGDNHDEIDYEFLGNVSGQPYTVHTNVFADGVGQKEMQFRPWFDPTADYHNYTIFWNECMIVWFIDSIPIRVFRNYSAQGVPFPTRRQMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDINLQVCDCSSYGGDAGCPESCASPSNWYAALDLCQLSKAQLRQMRAVQLGYTIYDYCADSERYNGTEPPECGMPQY >Dexi1A01G0031330.1:cds pep primary_assembly:Fonio_CM05836:1A:36346110:36348025:-1 gene:Dexi1A01G0031330 transcript:Dexi1A01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIPGPLLLGPQRLLLGPLARGRRAAVAGVRAAMSSAAPRSRRPLRGVVFDMDGTLTVPVIDFPAMYREVLGGDEAYAAARAAGGGAVDILHCIEGWAPDKQRHAYEVIARFEKEGLDRLQIMPADQMTFAPALSREFRPYKPDPAPLLHICSTWNIPPHEVIMVGRYGPHDSLPEEVKPDFMVSSLTEVFTVLEENFDLAPVPAE >Dexi3B01G0029070.1:cds pep primary_assembly:Fonio_CM05836:3B:28008347:28008685:-1 gene:Dexi3B01G0029070 transcript:Dexi3B01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSRVLVVGGTGFIGRRIVRASLAQGHPTLVLMRPEIGLDVDKLQMLLSLKKQGARLVEASLDDHAGLVAAVAQADVVISAMSGKHSHNLLLQHKLVEAIKEAGNVKVRV >Dexi9B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:9B:12572529:12573521:1 gene:Dexi9B01G0017850 transcript:Dexi9B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPASPLLPPGGEPFRVFVGYDPREHEAYEVCRRSLLRHATIPLDVRPIRQQDLRDAGLYWRARGPTESTEFSFTRFLTPCLAGYRGWALFVDCDFLYLADIADLLAAAVPPGADAAADADRLAVVCVKHEYEPAEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPDAVPFVWNFLVGHNKVDPADPATRPRAIHYTCGGPWFERYRDCEFADLWIKEAEDLRAEKEKLKLLEDEDAKEEDKDAKEAEDLRAEKEKLKLLEDEFADLWIKEAEDLRAEKDKLKLLEDEDAKEEDKNKEGN >Dexi2A01G0024250.1:cds pep primary_assembly:Fonio_CM05836:2A:35985639:35989037:-1 gene:Dexi2A01G0024250 transcript:Dexi2A01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYTRGCCGWLIVALIAALVATAAMFAIMKRKPGGGSRHLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKSGKLENNQIPWRGDSAVTDGKEAGLDLSKGMYDAGDHIKFTFPMAFTATVLSWSVLEYGDQMSAAKQLDPALDALKWITDFLIAAHPSDNVLYIQVGDPDLDHNCWERPETMTEKRPLTQINKKSPGSDVAAEAAAAMAAASIVFKSTDTKYSDVLLQHAQKLFTFADTYRGLSSESYPKLQDFYNSTDYVDELLWAASWLYHATGDQTYLSYVTVQNGQTFANWGKPTWFSWDDKNPGTQVLLSRLNFFGSKQISNAENEGLKSYRDTAEAVICGLIPDSPQATASRTGGGLIWISGWNSLQHATNAAFLATVYSDYMLSSRTAAVQCSGKYYSPTDIRNFAASQANYILGDNPMKLSYLVGYGSTYPQQVHHRGASIPADAKTGCKGFQYLHSSDPNPNIAMGALVGGPFQNDTFVDLRDNAVQTESSTYNSGTLVGLLSGLVTTSSVVQSFT >Dexi2B01G0021670.1:cds pep primary_assembly:Fonio_CM05836:2B:31384250:31387259:1 gene:Dexi2B01G0021670 transcript:Dexi2B01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATALPFSAAAVVSARGGWCTAARPRPRRQGQGRWRGASVVAKLEGGLGKGVVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVHWYHANRMLSFYAPGWCGEVRDVIYSDNGTVTVVYRVILKGTDGEAHRDATGTAQVHEGRREDAVAAAEEEAFCKACARFGFGLYLYHQDDTHHDDHFH >Dexi9A01G0048390.1:cds pep primary_assembly:Fonio_CM05836:9A:51184443:51185894:-1 gene:Dexi9A01G0048390 transcript:Dexi9A01G0048390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELRSTSVVQKVHGQSVLLSRISSYSAMNNPVFNNAYSAYDVPQRSYHGMNATVGLSSVMAPSPIFVSAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGECFTRTIKDEGFVSLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGIQGLYRGFSISCVGIIVYRGLYFGMYDSLKPVLLVGSLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKNSLDAFKQIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVVVFGKKYGSGGG >Dexi9B01G0034590.1:cds pep primary_assembly:Fonio_CM05836:9B:36503173:36503967:-1 gene:Dexi9B01G0034590 transcript:Dexi9B01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELLVYMSLGARLSAEVLDEVEGLEMDILRSITSFPIFAFFPAVTKRLFRKRWEAYAAVRRRQDEIFLPLIHARRAAARRGDDPPCYADSVLALRVAEEGGRALTDAEVVSLCSEFLNAGTDTSLTLLEWIMAELVNHPDVQAKVYEEVKSKPELSDRDLQEAPYLKAVVLEGLRLHPPAHFLLPHGVQGDDAEIEMMPFGAGRRMCPGYTLGLLQVEFFVGSLVRELEWLPRAEVEAVDMTEQLDFTTVMKHPLRARIIPRN >Dexi7A01G0015240.2:cds pep primary_assembly:Fonio_CM05836:7A:25092604:25092951:-1 gene:Dexi7A01G0015240 transcript:Dexi7A01G0015240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLRTTYLPETHLSCPSTGLAGARPSADLMVTEKLRTSSSALNVSLGGFTSTFTDAGTSTSTAYVEVAAPTLVTERLAVAVKGGWSRMAVMDGSSCSSLDRLAHEMGFSG >Dexi7A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:7A:25092037:25092600:-1 gene:Dexi7A01G0015240 transcript:Dexi7A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTFWSLSPHRYGTYPAAVMLYTSPGSTALCGFTCPAPRARNVAGLPSTSAIGFRRLSVGSAVVISADLIAAGDHVGCACLISAAIPLRCGAAMDVPDLMSNLGTSALGANSTSSIDGTPARTFTPGPTMSGFKIPRLLLLGPREEKGATIGDCGVRNVDPLKTNVAVPSCEVWTYSFIFCPVA >Dexi4A01G0022150.1:cds pep primary_assembly:Fonio_CM05836:4A:25454599:25455724:-1 gene:Dexi4A01G0022150 transcript:Dexi4A01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVFPEVYGDSRTYFYTKWTNDGFQSTGCLNMECPGFRPEKGAAIAPGQDGTSGDWLIHAGLNREPALIGRFPRSLFTGGFSDKANAVLFGGVVAAPITNPPPMGSGYLPTSENSAASISNIQLIDQNGRGWPLTGDLPKFETNGNAYAVSPIVNGKFFYGGYEHPKM >Dexi4A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:4A:23968764:23969828:1 gene:Dexi4A01G0020230 transcript:Dexi4A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATVNLTEAARSVQVFKISGFTATKEKPRGYTASRPCAVGGHDWPIEFHPRIWRQSFGNVSHDWIMFRARLMSKGSSGVSASFTCRLVDPTPPANSPEETKSSILRENGSLDVFLVRLIDLQSQRYRKPKDDCILVQCTLTVLEPKKAMSPSDAMAASAPSSDLHEQFGELLRSQEGADITFVVAGESIPAHRSVLAARSPVFMAGFFGDMGEKASTRIEIHDMEVEAFRAMLHFLYTDTVPELDDQKGEQATLMAQHLLEAADRYGLERLKRICVDELCAGISVGTVATTLALAEQHGCSELKAKCMKFILDAPSNLHAVAATDGYRHLEASCPSVLSELLKLMFVKVQEQ >Dexi1A01G0031760.1:cds pep primary_assembly:Fonio_CM05836:1A:36590740:36591994:1 gene:Dexi1A01G0031760 transcript:Dexi1A01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSTRTSLFDGIEEGGIRATSYSSHEIDEQENDRAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >Dexi5A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:5A:21682494:21688364:1 gene:Dexi5A01G0018150 transcript:Dexi5A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPITANERRLTLVPEASADGEHEPASGGSSVRSMFKIQLIDEERDMSEKLDAIRAALKSMVDGMINVSAYDTAWVALVKNVDGGDAPQFPSCIDWIVRNQLPDGSWGDGTLFLAQDRIINTLACIIALKSWNIHHDEYRKAVIVNLEGINLIYVGLSFVHENLWRLTEDDEDWMLAGFEITLPTLLDMAKNLGLGMPSYEPVLQEIYAKREIKLSKIPKDILHAMPTTLLLSLEGMTGLDWERLFRLQCQDGSFMSSPAPTAYALMQTGDEKCLQFLDRIVHDSNGGVPFTYPVEIFERLWVVDRLQRLGISRYFTSEIAECLDYAYRHWTQKGLPVSRDCPLNDIDDTAMGFRLLRLHGYNVSPDVFTHFEKDGVFVCYPGQSNQSISATYNLYRAAQIAFPGEDELERANTYSRAFLNERRASGKLKDKWVIAKDLPAEVGFALDFPWRANLPRIETRMYLEQYGGSADVWIGKVLYRMPLICNDLYLEAAKADFSSFQRRCRLEWNDLRKWLEKNDLGAFGVTPERSLRAYFLAVATIFEPNRAAERLAWARRWWWLRLCLGTCNAILVMPAKGKGSFITWRTVAAMN >Dexi6B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:6B:23581995:23585403:-1 gene:Dexi6B01G0016310 transcript:Dexi6B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGAASPEPDAGVVQLLLRNIDSRTAVVRVRREDTVGEVLDRLGTGKDGGAGAAELRAVHGGRELPLGATVGELGLPRDATLHVSYRLSSSAPRPGAAWGLASEIAAAAAGAHPYAAPDASSLHKLVVRFLASAASAAAAHPRAIADHMDVFRRSGVIDVLAQLYHNSDHDEDRRSGAERAIRCFLYPEANDPTTTPVKPWTAPVLVELCRCIGIYSPAGDDELYIALRATLATVLSDPKWTPEHWHVVPRRWLAEQLTWLAGDAANAIVQEIAGVYGSWSVPAAAIRGNLAEFKTFSSVLRQQVLELDVDARLHPWRVGLSQMLVSLLMAINDSMARFEMTLTSPENTLPKWTATSLETVWIVLAELDEWPDLHGEMRAMLAAHRSAVTALVLSAGMDDEFSENIRWITRHRDVLEFEARRHLAMAMLPELVSGSYALLPFEMLIDRARLLPDTFGYIAHATVQELRADLSVAFRHEQATGPGVLREWMCLVFQALFNPRLVLFSACPHDRRRFFINPDEVLGFRTVTELFPGGKEIAVTSDNRRKYIDLLIQDRFVNCTRRQLACFTRGFSTMFKEWKQWTEFFASLDIEDFDKMLGGSKGTIDVNEWRAHTDYRGYKENDCQIKWFWKVVKNMTAEQQGRLLFFWTSVKCLPSDGFLGLGYRLLIYKASNSHDHLPTSQTCFYHLHLPAYTSSSMMQSRLHVIVEEHVSSSFGAS >Dexi6B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:6B:3237704:3242544:1 gene:Dexi6B01G0003980 transcript:Dexi6B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNSNSPVLFDNDDDDGPISFKRTSASVKSSRPTPSKQEGSSVGAAAPVRSSKPVAPNQQKNGVSSAGASRPLHMKPPSSSPNHRPSGSGQPNSSAGHSSQNNSTDKSKLKRPLVKEENSDDSDDDVPIGLRRKAEEKKLKRADKKADDSDDDRKPLSHKINASKISSTSASKPVMQKTTPKVEQMDEDSDDDKPLASRLPSNAAPKSGGDVSEDSEDEKPLATRFSKVSGSGNLKSASASKGLNNATNGPHNLGKRPLDNSNQTSSAIKKAKPSNASSSAIVKKSIKADDNDNTPLAQRLKIGESSKSKSSAKNVVKKSPASVKKNMKKMKGKVKTKKMMKNSQFSKKMKVPPGSGDGQKWTTLEHNGVIFPPPYKPHGVKMLYNGKPVDLTPEQEEVATMFAVMKDTDYATKPTFIENFFTDWRQLLGKNHIIKEFERCDFTPIYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGIEATPPECPIPGEKWKEIRHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKDDDEADTVGCCTLKVANVTCLPPNKIQFDFLGKDSVRYFNTVEVEELVYKAIEGFRTGKEPGKDLFDQLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDGILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHESQMTRLNEKIDDLKAQRDELKVDLSKAKKGKPLGKDKDGKAKRNLAPEAIEKKIAAIETKIEKMEMDKKIKDDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFGWAMDVDPEFRF >Dexi9B01G0021170.1:cds pep primary_assembly:Fonio_CM05836:9B:15820081:15852219:1 gene:Dexi9B01G0021170 transcript:Dexi9B01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSTAAALARLLARCPALHSDPRLHALASSAAAAPPASDDVAAALAEPLLHPLYTVPVLGCFLPLAPALVERAVALLRAVGPHSLRADDVARLEEEAGEGNVHVVEFYLSRGRGLRLHELACLALARSLDIAPYLLRSVLSYFKFSPPPFQRLCAGLPSQIPSKEVHLFLDATRVSYRFLQLEPRLFCGQWDWSCFLDIVYSTADYSLVDNSLYSVGLNLRWCTIQILMVVFKASDMAIESFGLGADEAYTCFLRWKEYCMDTSLEKASLYLPNEDGNPKSSVDGLTTLADCLSNWPEIATGRDHSVGIYQCPFVLTATLRKSYEVALMAVSQKWPVLLYGAVGAGKTALINKLAQICGKRGIYICLKIYAIVKGFWIVFEDIDKAPSDVQSILLPLLEGSSAFSVGHAEPISSKLIDTFEKVNSLGSICGPNMAGGLSDGALHRFSLRDLLKWCKRILGFDLKFENLGFANSGCKLIYYEALIQKGPFANIRRALDVLERVACSIKFNEPVLLVGETGTGKTTIVQNLAAWLKQPLTVVNLSQQSDISDLLGGFKPTDARSICFPLYIEFKDIFCRSFPAKEVAREDNLSLDAIRLRVEECFGKSREPVLLVGETGCGKTTVCQVLSVVLGSRLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKHLVAKIKQMKIFVCVAGDTPLETDISGADSVMSRLNEILDRYRKEKYLFPEVSAQDLDTLEQCKLDLMHLKKRWQAIFLWQDGPLVQAMRNGDLFLIDEISLADDSVLERLNSVLEPERKLVLDRINSLVEQERSIVVNECGLVDGNPVVIKAHPKFRMFLTVNANYGEVSRAMRNRGVEIFLMDQRWDLDGYINGPDLADQMLFFAANWVMEQSTENDLELYDIWFEWSIWKMMISSQCEGLPVVWNLLRKEILKLQPKFPVEVGRFLMESLNLNNLHDFNFQYGKPTLWVYGGHPLVPSSRIVFDEIQAIMAFSVAVWQKKNLLNIPLDDKKQLTDAMLSANQDLRRLAMEGLSMAIVATKTDEDVSTIVDRLDDVHQRLRGKVDFERSKLLSQTSVPEVKSCCSVSSDILCNINGFSGWLASLPLLNLKSINLDGLLLERIMKYAQKDPSEARKIIMNSEYLLNYAKDYSLQSSSKSPLEYVQHQIISWINDAWATVDNVHVEVANSILEMWYNYHSSLWTYCSGSPKSLSVVHDETCDLAHLTKMDAINTIMQRDLRVVDYQKNCFMLRISSGNLWEGVSCGGNFVASLHSVADSLFKQIIFAHKEHFEEEDFNKLEAVLLQQPKRYIEKEGLGTICALLSSSSHAILASLAGSDELIESLLMDLYPSYSRDSLFHTGAAWMHIGMLRFRLLLSSYSPDPAFESAYIHSNIIEKISLVKLEGKVRHDCEELAGSSSPEDNHDKLLQDLETEEKGIRAKVVFRPQQSKHKSFIAACFEFENRLSDCKDLLANLNCEGAGQLEVDRVCNWQITSMNFIKRLTEEYGEYTDLVQPIQVAVYEMKLGLAIALSGYLQKEYLKKIKEDGIEKVLGTIVAVMEFPSGSITERFVTDMTDVTEYAVDDKLKTQNSGSRDVDVLKKLAIVSSQLNVGKVGDKVKSHSEMLVSIHHMVLVRTAYHVSCSLIMDSSSYLSLKETFDHFTSMWIDMKSHLKAKENDDSHYYKFKSRTIDIEEIFKDVPLLLDMDIEGNIVPDNEEKLEQEFSKITERINGDNGVIEHSWDAIPESVLKCIVIIHNQLFGSPDLFEKPSKCPISDAQKIQSFIDSYDLGTRILKDLPELTCSMFDEKLMPEHLFRVCLEYQQTSAAFFNCRGYNAYKDPNPSVIYKMVEPLSTLQKKVREYLEEWPDHPGLLKILDTTASMLAMPLSTPISKALLGLQLLASKAQTLQENDSKFFLKDHLPPIFLLVYSWQKLELDCWPLLVEEVQEKYDMDAIKLWFPLRALLTQTSGISTDEDLSITRSIEEFVQTSNLGEFKRRLHLLLAFHGEISDGASVGAYSSARVKKIQNILYNVFGYYMQFLSLVHKEIEAGKQPIEQQLKDRVNLYSWEQAPYSTTSIENFKRARQKIFKLLQKFNEVLRKPVIVLLSEEATARKVPCWLDPRWPESQFPLDTEKFNKRYVWYKKWASDTSLSLQGLLHANVVGVPTVKEYTDIVQNVNHRQDEIEHNDRLKCFWAALERICGAANFAHTLKHGKKNQKKAALSNLFKTLEECGLTKHRPMGHEWRDELSAPNSLFLEQSYDAAHLLQQVSSQKKLEDVSIVHCTQLTTDNWKHANCQYFKCLAMMQQLRQVSLKFNKDLGLEEVNRATSFMNHLLTILSEQRHFAYNLFNQLNQFQHAIFLLGSGGGRSLSSCQNVLLSSMWQQKKLFDNVLTLTTDTNLLLKSFKDCHDTSCSNFEEVAAMSTLLEKFVSRFSESKDLLDKHLLGSNNIFAGERKNVPLATREMEELFSENCQLVDLLREHIQVVRREEASMRSIKEVLISRLEDLLDKGKMAMENPRKVEDNHGICSDVLPELEASYEGILKEIFMIAVGVLGKLTDLEICNNGAEDSLEGTITSWKDVLQSYTVNLKLNHICDASEKLHTRVKRLVECKPETLSSIEVHLNHLHTWLGVILSSVEGILSELLEAHRTTADITHALGDIFIYLFGEGFGSTEDTSEDAEDEQQQDAVETGTGMGDGDGGKNVSTEIEDESQIEDLAKDVPHKPDQAPKNDDEAVEMKEDFDAPLSDVSEDPEGKDSGNEDEDMNLDSQMGDTGDSSEVVGKKSWDKDEDDDPKTSTEKYDSASSVKGTEKNDRELRAKDDGSLEEEDQMEMDNDEQGKNNDLEEEPVTCEETDPNTEEVMDKTDAYDDRTGPELPEPDNDSEDIDMERQESDEEDAGNEDIDSEEVEHADQRSDASDEMELGDIAQHGDSAVDNEGDHIEDANTEPGDIDKLQLDEVDSLKHPSGQPDNMQVDNNREPEANLANSSDMNGAVAPSVNFSGNEVPNMEISVPNSGNDSRLSNAKSEMQNDVPQSNIKQANPFRSIGDAMEDWKERARVSADTQDHPPETGHQSDDEDATQFRYVPEGEQSTSQALGAATADQISDDTQIKQSFMEDESRAKKLEQSEERSPDNTGVPHIQASQALTSKSENVNELEGPEIQTNTSIQDLVEVREDSSFQNLVSFKRPLADDKIDLDGLTVGTELSTQMDLDIPDAETERAIVDWKNIELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFSVASFGKMGNVTVLHDFDHIFNSEAGVKIISSLSFEQENKIEDQPVSDLLTNLNTMLDTAVARARTPSGQNPLQQLILIISDGKFHEKENLRRHVRDVLNRKRMVAYVLLDSPEDSIMNLGEACFEAGEDVKLKKYMDSFPFPYYVMLQNIEALPRTLADLLRQWFEIMQSANE >DexiUA01G0005070.1:cds pep primary_assembly:Fonio_CM05836:UA:9212642:9213606:-1 gene:DexiUA01G0005070 transcript:DexiUA01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTHSHTARRRSGLGPQLCAAVAALLLLLSLAVLHSRLSSSSSSPFPASRSHSATAADGNSSAALLADEDVVEDVAAELDPLLTLTTTTATDGGAGAVTNPDDDRIDELDVLDEDAAGADTADDATASAAAATTSLVWDHVAGAARLPFRLPAAGESLSAGLPRIDSPRRIAVAAFGSDDELVDLELRVEISSIAGIEDALLLKPASAKGAETRLRAGWARWLEGKADYLRRDRMLRSNLESLNPRNHPLLQDPDSPGLTSLTRGDRMVQRMILAEIDKPASKNFEQRRLLSYEDKQGMGAIVKVKQHKGRRD >Dexi5A01G0030650.1:cds pep primary_assembly:Fonio_CM05836:5A:33551834:33552417:1 gene:Dexi5A01G0030650 transcript:Dexi5A01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAWSARPNLRHPRSRALAQKRSWARPQRRARAWSASRWCGEGAEEKSGGKEKASGAEAAEDPERQRVGQRECRVVAGERVGGLEEGRDGGVQRGRGGARVEGDEGGERRRGGGEAAGHDEVRVEREEEVWRWWARAGEDRAERSAQRRHLLAAASGRWWRAGGPVHGGGGGRGDLNVCVPDTWGPSCS >Dexi5B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:5B:12006664:12008050:-1 gene:Dexi5B01G0015030 transcript:Dexi5B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTMSRNQEILVHDSIVDDEDEETLGAWATAPPGNQDIHNDYCPGWDWALLPSDLLTLVFSTLEVLDLVSSGAVCKSWNTSYSEVQRLNLCLPDKSPCLLCDLVKKPVINEVVVYNIENDSFVDAPSFGSWMDCPPPIWIRPSFSKHK >Dexi9B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:9B:1551990:1554098:1 gene:Dexi9B01G0002770 transcript:Dexi9B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTILAVTVAILLALARTRSTTAAATEFVFTGFARDNVTANGAAVVTPSGVLQLTNQTNEVFGHGFFPTPLSFKDASNGAPLSFSTTFVFSITPRYPDAHGHGLAFALAPSPTMPRAIAGKNLGLFNTSNHLGDGRSEVVAVELDTAMDEEFSDIDANHVGVDVNSLKSVCSKSAAYVEAGNPTNISLVSGDLLQVWIEYDGASTRLDVTISPAGVPRPAVPLVSCIVNLSTVIADDTYVGFSGANGAASSSHYVLGWSFRLGGGSSPDLDVSKLPRPPSPRSKTAMHPQLLVSLILLAVVALILVSAGATLFVMWRRRFAEEQEDWEIEYGPHRISYKDLHDATRGFRDVIGSGGFGTVYHGVLRRSSGGAAAVEIAVKKVAHDSRQGLREFVSEIASMSRLRHRNLVHLLGYCRRRGELLLVYDYMVNGSLDKHLFVVDGDNNNYKPALSWERRAKIIRDVAAGLLYLHEGWEQVVVHRDIKAGNVLLDADMNGKLSDFGLARLYDHGGDSTLTTHVIGTFGYLAPEMVKTGKATPSADVFAFGAFLLEVACAKRPMETLSSNQNNHNNNGDTAAGLVDDVLECWKAGRIRDAMDPRMGKCDEEDVELVLKLGLVCSHPDPRCRPTMRQVVQILEGAVPVPETPPEDLGSSGRIFGHCETFDEFVDVFSTTMTIEMATVTPEPPSSRSSGEHQQLISG >Dexi4B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:4B:5192606:5193181:1 gene:Dexi4B01G0007330 transcript:Dexi4B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRDAHHRRAMTPHRYVLAEKSSSPGGGKNSSSLATSSSNASSLASAPPAETSNKRHRSHRHRIIGFVVGSIAGVISGLVLCVLFRLALNCIRGRYRTRSGMVIFTPKLIHRAEHLAFLEKVDGLASLSVIGRGGCGEVFKAQLPAEKEGDEPRFIAIKKIKKQSTDTPNNLRDEESRQLVEWSR >Dexi5A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:5A:11723528:11724772:-1 gene:Dexi5A01G0014620 transcript:Dexi5A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAESLEAAAAVRRFDYDVIVVGAGIMGSCAAHAAASRGARVLLLERFDLLHHLGSSHGESRTIRDAYPKPQYPPMVRLARRLWADAEAESGYRVLTPAPQLSIGPRTNPSLLASIKSSSAEEVDLAQRWGGAFRVPDGDGWVAAVSEHGGGVLNATKAVAMFQALAAKNGAVLRDKTEVVDIRKGPAPEGGVAVATSSGEVFHAAKCVVTVGAWTSKLLRSVAGVELPIQPLHTMVLYWRAKPGHERDLASDSGFPTFSSYGDPHVYGTPSLELPGLIKINYDGGPRCDPDGRDWASGGGDVAGRVARWIEEFMPDHVETAGGPVIRQPCMYSMTPDKDFVIDFLGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAIDGNANTAAEAGVELGHFRISRFEGNPMGNAKN >Dexi9B01G0028030.1:cds pep primary_assembly:Fonio_CM05836:9B:30662754:30666814:1 gene:Dexi9B01G0028030 transcript:Dexi9B01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPAVVAGGGGLNLNPVAAAGAHRGAVITTAGGGAFAPVVVPMSDQYYEVAELTWHKGNISSHGLLNRPAPNTNKDPPTTAAAPQLQGIAGGGDGGAAGEAAGGGDQETLEAVVGEAAARSHFLSQQQPTPPVVVHVHPAAWLGGGGAVAADALVPCAAARAVAEDRDAGAGGEGEGDASRMRKRARVVMGEDGMVCASQGSAAAGPPRRESALLTLDDYGCGGGGGGADDMCGFTTTTTNNSTSLERAGDKGSPDTENTSIGGGASDSRCFSHGLCDEGENVVINGDGAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMSSMMMPMAMPQLQMSAVMAQMAQMAQMAQMAQGMMNMGPLAQPAYAAAGLTPPLMPPFVPTMSWDPTATSTAATDRTQPPPGGVPDAFSAAFLACQAQQNGQQQQQQQGSMEVYNKMLSLYQKMNQQQQQQGQQPSSSSKQ >Dexi9B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:9B:106296:107973:1 gene:Dexi9B01G0000090 transcript:Dexi9B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSPSVSFQSPCFKLQALAPQPRLLQLSRRQETSRARTKPLHAALGADRYGGLNKANQRDIDATLPSSPLSDVIHEFYSSLNDKNSKRLDSLIAPDCIVEDTAYYKPLDVKCTRIYFKRLMESMGDNVKFVIGEVCQGAEHTAAVMWRLEWNGYVIPFTKGCSFYICSENGAVLLIRKVHIFDESPLKPGKWALNLLNIVTYLLNMFPKMAEGFLKDPEAVVQPFVKLYNFYVEPFILPFLAYYTHFWAYVARGLTVVLHILYSLFKRLI >Dexi1B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:1B:19862037:19862537:-1 gene:Dexi1B01G0013890 transcript:Dexi1B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAAPASPDQAPAATLRVSVYPPPPHAVTQVATPRRRSLPLQRSRVVLPLIVPSAGLRASASLLSAPEASGRESSSLLPAESLVWRSRRAWHGGGQSAGQGRCPGWGRDGRGGNLGSKEALRGIGDGGGLATRAARRQRRRQGNRVNGHTCYLPSPYLSIPYPL >Dexi2B01G0030520.1:cds pep primary_assembly:Fonio_CM05836:2B:38768623:38772620:1 gene:Dexi2B01G0030520 transcript:Dexi2B01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAPLLRSHPHRRALCPSPPRHRPAAASVSLLGFPPRKAGSSRRLPYTAVRSSSTASPSVPAEEAAAAEAPTNDAEEQERVVLPTNESSDRLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIRQNLPLVREEVSREEAQKRIEALNEPYKLEILESIKEEPITIYHIGEEWWDLCAGPHVESTGKINRKAVELESVAGAYWRGDEKNQMLQRIYGTAWENEDQLKAYIYFKEEAKRRDHRRLGQDLDLFSIQDDAHIFCLEDQIQDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGNDDIWDKATIALKNALNDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPIQARILPVTDNELQYCNEVASELKSRGFRVKVCHGERLPKLIRNAETQKVPLMAVVGPKEVQARALTIRSRHNGEIGTMPVDEFITRLQLAVTNKSSL >Dexi5A01G0033390.1:cds pep primary_assembly:Fonio_CM05836:5A:35741240:35741926:-1 gene:Dexi5A01G0033390 transcript:Dexi5A01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTARLIRIPPDLAGLLRVVDVHLPRVDRLPEGAEASIDLPSDDLRPYLRVAYDTAFEAKLSDILRAPPPERPDWVLTDYAAYWAPAAATRHGVPCAYVCLFAAAAMSFYGSPETLMGRGEHAKTKPEDLTVVPAYVPFPTTVVHRAFEARDLFSGLLPDDSGVSEGYRFGMAIQESQVVGFRSSAEFEPE >Dexi6B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:6B:25088325:25091602:-1 gene:Dexi6B01G0018020 transcript:Dexi6B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVSGAAAVVRGAGGDGEAGGPRWGAGPGRSFHLLWLWVGIGGGEGDAMSRCFPFPPPGYEARPRRDQQRKDGDLLKKEKHKEKKYRKEKDRAKGEIVEKDRDRRKDKRNKKHKREKRRERGKNEDRDKDKKQSWAQQTQKNDDPGNIIREERGQNEAAKDIKPTVDLDNHKCDNNRSFFPRSADSIGAAVSKEKERNSLGRTIKKSAETTQDNHGIVRRRDSTVHDNRKGAGRGVDSKTNIKNKKSLQVGSAPDFEISIHSAKGKNVRIDTKGGMMGKENQSANNWLAKMNQLSVQDQDGQIEGKCKAVKEKDRGKDNLMELGFYNENKYTSDDRKKRKDFDTTNSQHELNMKTTKLPRVFPTKDEQICRHSQQTAPYSSTKLLCPNACETDRNNTVTGSRCSEENIASVSSSGCKNNKGYLKRPHSDTKYLSQLYSIPSVQDFSDYIDQGWLFSQDRDGRKPAALEAAESDQVWSDARLIDIADVIALPYVVPL >Dexi6A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:6A:26978510:26980662:1 gene:Dexi6A01G0019340 transcript:Dexi6A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFEMGSLKMTEKAPGAALPESSCAYLLQELKMIWDEVGQDQNERERIMEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRTEKMAGTLKEQLNSITPALQEMQMRKEARVKQFMEVQTEIQRIASEIAGRLGNEAVNVNEEDLSLKKLEEFQSELQRLKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDDILKKLNMTVQQLKEEKNNRREKVESEVQQLNQLKASKMKELFFKKRTEVDEICKKSHMDMPYQTEMDKIMNLIMSGDVVHDDLLKTMDEYIYKAKEEATSRKDIMDKVEKWMASCDEERWLEEYSRVH >Dexi3A01G0024470.1:cds pep primary_assembly:Fonio_CM05836:3A:20109867:20112784:1 gene:Dexi3A01G0024470 transcript:Dexi3A01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEMQPLAPAGYRRAPEMKEKVDASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSDSPALALVLAVLPFILMIPLYHYQHKHPHNFVFLGLFTLCLSFSIGVACANTQGKIVLEALVLTAGVVASLTAYAFWASKKGKEFGYLGPILSSALTILVLTSFLQIFFPLGPVSVALFGGLGALVFSGFILYDTENLIRRHTYDEYIWASVGLYLDILNLFLSILNMLRSMQSDS >DexiUA01G0016470.1:cds pep primary_assembly:Fonio_CM05836:UA:35117021:35120627:-1 gene:DexiUA01G0016470 transcript:DexiUA01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARGSAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTISDKDKLADKLESEEKEKVEEAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQRSGGAPGGGADGEGGVDDDHDEL >Dexi2B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:2B:30733479:30734244:1 gene:Dexi2B01G0020710 transcript:Dexi2B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFYYAQLPTITPKLEALTIDSIGQIANIPSVQSKFCQLKYLNICVPGESRGPDGYDYLSLASFLDASPCLETFILRVHVEELRVEHGLFSGDPSHLRQMPEHRYAKLKRAKITGFYPAKGLLELGSHVLEAATSLECLTLDTFYCSCRGRGTDRAKCYLKAIRRYFEGKVPSTARLDVEGPCSGVRLDDHTWQRFTVFDGRM >Dexi5B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:5B:1034826:1036178:1 gene:Dexi5B01G0001620 transcript:Dexi5B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNTVRVAVGILGNAASMLLYAAPILTFRRVAKKGNVAEFSCVPYILALFNCLLYTWYGLPVRFALQLVLPVLTLFGLTAFFSSFMVHTHRMRKIFVGSVGLVASISMYSSPMVAAKQVIATKSVEFMPFYLSLFSFLSSSLWMIYGLLGRDLFIASPNFVGVPMGILQLVLYCIYRRSDAAVGKLHATFTDQEKGLKAVVPMHPQDTTASKPEGQK >Dexi9A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:9A:13707798:13709810:-1 gene:Dexi9A01G0018640 transcript:Dexi9A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESARGLLGTAGAEINALITDMERFKQDYQQHLQKAQHLLMQSKELHNNLELAQKNIVDHTSAQRKRRARVISEYAVMARSIIRKKFQQFQESAIAERVREEEKAVNNAKSERVKDPVPASTAAMAKTQNTDTNLGAAADDEEDGIPEVGDSVYVPKLKNQATVVKIDSSKNELQVQAGMMKLKLKLQDVKVQKRKVSR >Dexi1A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:1A:38615:38821:1 gene:Dexi1A01G0000030 transcript:Dexi1A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETESRAVVFSTIHRLVALGDVAMGQERKTAVFVEAGIREVEQLQRRPAAIVGLVHQVVNVSPAVE >Dexi5B01G0035140.1:cds pep primary_assembly:Fonio_CM05836:5B:35192364:35192704:-1 gene:Dexi5B01G0035140 transcript:Dexi5B01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPPRFAVSAAAPWLVLLLAVAALSFSVPVAEAAELVELTLLANAREKGAARPATISREALAPENTAGSSILR >Dexi3A01G0022900.1:cds pep primary_assembly:Fonio_CM05836:3A:18522150:18522902:1 gene:Dexi3A01G0022900 transcript:Dexi3A01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPQLSLSGCSSLFSLSSTSTSRDDDSAAAAPPPPSLHPLPPRRPLLSLSVGGGEEEQEDEEEYLLGGLDLQLTGAGGSSNNSGCCDGDDERKNMRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRQCKQLKVDMAALIQSSSSKSSSHIRRTSSSTQL >Dexi2A01G0025830.1:cds pep primary_assembly:Fonio_CM05836:2A:37482755:37487345:-1 gene:Dexi2A01G0025830 transcript:Dexi2A01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVTPPPPSTHGDRALPPAARRGGGGGQGALLTYRTAPQLEVRLEEFELFAIDRLLVLKGISDGLSRGKRPEEMEKLVSELWETHMRHQDPAETLNKDIISHSVLRLVYCRTEELRKWFLSMETTLFRYRFRIESPESQRMLMTEFQLPYKALPHSEFETVKDKLTQVARSMGQSASVESLFFKVPFQEVPDLVASRRVYLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQACLLNPKDAAEISLKDIDQLAKSSFPLCMRHMLDKLRENHHLKHGGRIFFLSFYCLIYAVSSVDKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNRMGVSGHALEEIMDKVKNRHYQVFLMRRTKQWRTEQQPKLYCRKVASVDMLTLYVL >Dexi3B01G0026490.1:cds pep primary_assembly:Fonio_CM05836:3B:21688515:21690505:-1 gene:Dexi3B01G0026490 transcript:Dexi3B01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi3B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:3B:5960185:5963945:-1 gene:Dexi3B01G0008520 transcript:Dexi3B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFRPQHHKSRSSCHIAATVASRLLRRQQCRSISWRRQQQQHTALPQQCRQLQQDLSAARTRHHLGEDDSRLMQNAVILERSIWRDFFRGYSNPENSSQQQAWIDRADELKEQVAQIMVASSTTSDLHGRLHLIDVLEHLCLDHLFEEDINAAWDLKAAHDLPECIRFALRKVLDSYEIIENMLQKEEKYRMLYLRYFIEDLVRGFNMEVKMLQEGYIPNSVEEHLKVSLRTGGCPFLSCASFVGINDVITKDCFDWVASVPKMVQALSIILRLLNDLQSSEREQLIGHVALTIDSYMKEHNVSIEEAREKIQILKENTWKDFNSKWLDPNTNAYPKQLLERIFNLTRTMEFMYNQEDNFTNCSNLKDTIQSLLAEPFKILI >Dexi4B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:4B:13364236:13367003:-1 gene:Dexi4B01G0013690 transcript:Dexi4B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPIVSVHPQRDVLLLPPLSTSLAHQSPYLPPGFVLIGKGAMSHMNYREDDFAVLANEMTLSHDKHCGRYPLLWLNSRKGIIVGNEQEGDDTVVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAARGTE >Dexi5A01G0026200.1:cds pep primary_assembly:Fonio_CM05836:5A:29896034:29897131:-1 gene:Dexi5A01G0026200 transcript:Dexi5A01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPEMARHDDKTKKHVVIYAPPVMIPHLVTLVELGELLAAHSLDVTIALAGQTDDDKAAGSFAEGAAAAHPELSFHRLPHVTRPRDVPAHDPVAQTFELARASNSDLREFLRAASPSPAALVLDFFCGSAVDVGAEVGIPTYFFFTSSISGLAELLYHPVIHEHTILSLGGGGGILHVPGIPSIRVEDLPASYHDRDGLGHRRFLALSEQMCDSHGIIVNSFRSLEPRATDAVVSGLCTAPGRRTPPLHCVGPLVKLEDAGGAPVRRHECLAWLDGQPEASVVFLCFGTMGRFTAEQTRQVARGLEMSGQRFLWVVRRPPGEDDADLDAILPDGFVARTEGKGLVVMSWAPQSRCWRTPLSAGS >Dexi3B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:3B:12700038:12701041:1 gene:Dexi3B01G0017250 transcript:Dexi3B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMGAYGGAVRPVESAAGETMLLWGLGQPTAHRNNALVRQAAHSFELDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSQQLLLRDTRAVDLGSGCGLVGCVAALLGADVVLTDLPDRLKLLRKNVSLNIDDPHVPGSARVTELVWGDDPHHELLREPLPDFVLGSDVIYNEEAVADLLLTLNQLSGQHTTILLAGELRNDAVLECFLEAAMEDFLISCIEQDQWHPEFRSNRVALFILVKKPPIVKTD >Dexi3A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:3A:4265756:4274557:-1 gene:Dexi3A01G0006480 transcript:Dexi3A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAADPAAALPLTLDLEDFKGDFSFDALFGGLVDELLPEYRGEDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVAVQDTKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVASAVGSANASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADSSYIADGLSTLYKEIADTVRKEATTITAVFPSPNEVMAILVQRVLEQRVTTILDRILIKPSLASLPPLEEGGLLQYLRILAIAYDKTKELAKDLQSIGCGDLDIEGLIESIYVAHKDEYTEFEQASLRQLYQAKMAELKAEAKQHYESTGSIGRAKGASLTTSPQQLISVTVVTEFVRWNEEAIARCTLLFSQPTTVAANVRSIFACVLDQVSQYLTEGIDRARDSLNEAANMREKYSIGSSVSRRVAQAAANAAEAAATAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFNALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGDFVRSFNAPSIDEKFELLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKSAKIASMLNNITAE >DexiUA01G0027440.1:cds pep primary_assembly:Fonio_CM05836:UA:58724312:58727685:-1 gene:DexiUA01G0027440 transcript:DexiUA01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRASLPAALKSAGQLFHNLGTTLDSLLLGGSDDDTDAVNRRLPLYKMTPDADVTGTTMAAEPLPVATAVQRARRAVDKLPDDPWAVPMRPEDGYVSLGVSRSQYSKPPVPEDKAVNRALAEKAKEAKARREARWQRKDRKRKKLEAENRERAKQGLSPLPTPESFTDPDGSDRDGGARSPSPLELPIGGPAPAAVSGGGGEEVVDLGTPPSTVVPSAECPSGAATAVPEETQGRGEAPSSTVGPASEGPSGAASAALEEPQDRGEAPERPSAVEEAPARGPEVEVPQVEPVSSTGGEEASRVTPRGEAVVSAGGEVPGMAPQGEAGATTGGEVPGAAPVPTSRTKRKLPFPFFSRSGGRSTPSLAPTKALKIGPSSSPHSSSQLLGPANEVVQDFVAFFDTQAELQVEQQPREEAPRVLEELRPPQLLEAAAEPHAEVARPEEATPVPGEALRVEEPSAAPVEADAAVVPPHEGGEGRTHGGGFPHLKELAEALGVGAPVTQGRESGEAAPLTLIVAPPGPAPAWSYGAHARGSRFVDEAVAEEHLWEVQSSHGQDVRRALRDILCLHDEAGKVHQRFRRTLFFKIGLARLLQELRNQAFAKNDQIAELLLELRRLSGALEARERQLDDLRGARDRAVAQGREKGEVIARLEVSVSALRELVQAASAAYNEIAGAGLQSGSSLASRLRALGGHFTSRVKEALLLGVRKALGVVTTHYQADLSKLAAGYVVADDLNDEEAVAAMEEADAAADGTARVLAGHFEGVLFPGEDGGGWDDLGGGGDP >Dexi3B01G0030830.1:cds pep primary_assembly:Fonio_CM05836:3B:31034841:31037946:1 gene:Dexi3B01G0030830 transcript:Dexi3B01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAASRRASSAATAKRPAVVAAESAGGVGTKATRQANKRAALGDVTNVTVEGGGTGGRAAGSRKVPAAAAASKVNSAISAAPVKKVSLASSCNVGSGRASAVKSASAKSVLAVSRHDSTTKKHDVPPAEVVTVVHMLNDTPATALCNSIVSPPNSEDAVSIDGTMSTCNSTESPDFECSNNGDSTMLASLEEQANEQVHILENRDETTKLKKNVPDPMEIGHVCAVENKDDPQFYPTLASDIYTLLREAESEKRPSTDFMVTIQKDITPSMRAILIDWLVEVAEEYHLVPDTLYLTVNYIDRYLSGNKIGRQRLQLLGVACMLIAAKNEEICAPQAEEFCYITDNSYFRDEDPAYVQALANYITELSLLEYNLLCYPPSQIAASAIFLAKYVLYPTKHPWQNPTLARYTRYKPSELCKCVKALHHIFDAGPLNNLPAVKEKYGQDKYKSVAKMPCPAPIPTGFFEDATC >Dexi9A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:9A:2027397:2028305:-1 gene:Dexi9A01G0003870 transcript:Dexi9A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMKCPSCDGGFVEEMNSEGFEPATDPRSDRSLSLLAPLLLGMLGGSSRRSRLRRQAMEGIDDDEADDEDDSDRELEVPSRRQRRRGSSALASLLQTIRDDIRGLDDTDSDTERDMERERRERERHRQRLERRSERQRDRLREIERLSNSGRERTESLILINSNNEAIILQGTFGHDDNQEESSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVAALPTVTIKEALGCSVCLEDFEMGTEAKQMPCHHKFHSHCILPWLELHSSCPVCRFQLPTEETKNPCESASMPGP >Dexi4B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:4B:22893401:22906616:-1 gene:Dexi4B01G0020800 transcript:Dexi4B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAAKRAKLVAAPPPPPERGEDDYVAGNIVEIELCNFITYDRLVCRPGPRLNLVVGPNGSGKSSLVCAVALGLAGEPNILGRASSVGAFVKRGEVAGHVKISLRGETPDDRICITRKIDTENKSEWLLNGAIVLKKEVIDIIKKFNIQVNNLTQFLPQDRVSEFAKLSPIQLLEETEKAVGDPDLPVQHRQLVERSKELKALEVALKQKEHTLNNLKALNAEHEKDVERIRLRDNLLRKAELMKKKLPWLKFDKMKKEFEDVVQKQEKTAKEKMEEAARIWDDAKRPIEYSFITQDAYDRDYMVGQMKKYGIPVLNKVVDEGIRRRPLDINLEMKKLGIYSRLDQVFDAPNTVKDVLISQAILDDSDFLIEAVALKWSHTEKQMAAIELNAKIWEMEKGVNSFEKLEKDANMAARDYEDCKRMTQEYKMKLSMVKRHAESITKITRDLAKEFLEMPDTVEDLEAAIQDNESEANSMLFLNQNVLQEYLNRQHKIESISNKLNDDKDQYDICCSEIETVKGKWLPTLRTLVSKINETFSRNFQEMAVAGEVSLDEHGVDFDHYSILIKVKFRQTSQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGKATVIVPNPSTVCFLLTPKLLPDLEYSDACSILNIMNGPWIEEPAKVWSTGDCWRTVMSTAGHY >Dexi4B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:4B:18561092:18565065:-1 gene:Dexi4B01G0016520 transcript:Dexi4B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPAGTNLFLYLLLLFVLTAAVAASAAAPEEEFTEELLLRPLPDRKALAHFYFRSSAPPAASVGRHHHLFPKAISQLGFLHIDEYPPDANQGFDIPSALVSFPELNAARNYPETDPLLGSPLLENFREDTVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELTKTVCD >Dexi2B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:2B:24031903:24034200:-1 gene:Dexi2B01G0014410 transcript:Dexi2B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRSRSLLSTTLWCCLLCYLLLSHVIPRAASLSFSFNFSDPSSTCTAHDADLACSGDAYFHSTENAIELTKNDISDLNYHSVGRVWHAQPVPLWDPTTGEVASFTTSFTFRIKPAGASSPELSADGMAFFLSAGHPSGVPPGSYGRNLGLFTDGNNMNATGDDRVVAVEFDTYRNEEWEEDGNHVGIDVNSIVSAASTSPDYSIKSGEILAAEVAFDNSTDILAVKLWMNGTSLYSVKAKVDMRRSLPEVVAVGFSAATGANVEVHRLLSWSFNSTLASRDEAITSPGAAPPPPVLVPPEAIMSSKKQAKAHSTIAVSAATVFVAVCALMGFLLRRKFRTWKKHKVVDGGDDLEEQAHSNDELEKGVGVGPRRYRIIIGLGSALRYLHSEWEQCVVHGDIKPSNIMLDASCDAKLGDFGLARLFDHGVRPATTRVVLGTAGYIDPELVNTRRPSTESDMYSFGVVLLELVTGRRPVEEPDDSDELFVLVKWVWRLYSSGDVADAVDERLMMRPDDEEAAEEERRQMERALVVGLWCAHPDSSRRPSAAQAMHVLQAAADVALPVLRPQVYGAAEPFLGVGWDDSCSDQSVAVTSSTGTGSSATSGGTARSKLMKWSSR >Dexi4A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:4A:10799463:10805058:1 gene:Dexi4A01G0012240 transcript:Dexi4A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFELEEFLHASVEILGNDGCVKESQTGDWEVSSPPRPACLCPEQAVQGNATWAVSRRKGELLLVYDYMPNGSLDKYLYGKEGKTTLDLDWGKRIQIIKGVASGLLYLHEECEKVIIHRDIKASNVLLDNEIKGRIGDFGLARLYEHGTNPEATHVVGTIGYLAPELARTGKATPLTDVFAFGVFILEVICGQRPIKRNMEDGQLMLVDWVLEHWHNGSLSDTVDIKLQGEYDIDEACLALKLALLCSHPFTNARPNMRQAMQYLNKEMPIPELTPNLSISMLALMQNDEFNPNILSQCPSESIISGGR >Dexi9B01G0049090.1:cds pep primary_assembly:Fonio_CM05836:9B:47889389:47890164:-1 gene:Dexi9B01G0049090 transcript:Dexi9B01G0049090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGGLFLSLGRPTMEQQKSCLAAAGGFNYDPALHGATRPRSASTSASEVAGAETSDKALTDRGYFVNRSRVLIGSGADTFLHAKSALLSWRQLALGWANVEADTPVKVGTRFCICYKEVIPWVILPLQIAYVVSKSSESKGGSMFALGSGTLQGHLLAGEERFSVEVDEEDRVWYEVVSLSKPAHLLATLCYPYVQLSPSSRGRPSSGM >Dexi6B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:6B:16880755:16882257:-1 gene:Dexi6B01G0010510 transcript:Dexi6B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAFLSSSIPATSYSSSSFLLPQPPQAPARLPLPSSAPTRVAVARRAAEAGRRPGRRCVPASASSSPATTAAATEVPGTMKAWAYDAYGDATVLKLDEVAAVPPVADDQVLVKVAAAALNPVDFKRRAGKFQATDSPLPTVPGYDVAGVVVKVGGEVKSFKEGDEVYGMISEKPLDGPKQLGSLAEYVAVDEKLLAPKPNGLDFAEAAGLPLAILTANGGLEKAGLSAGKSVLVLGGAGGVGSLAIQLAKQVYGASTVAATASTNKIELLKSLGADVAIDYTKENFEELPEKYDVVFDAVGQGEKAVKVVKEGGSVVVLTGAVTPPGFRFVVTSDGSTLEKLNPYLESGKVKPLIDPTGPYPFSQVVEAFSYLETGRATGKVVISPVP >Dexi5A01G0025360.1:cds pep primary_assembly:Fonio_CM05836:5A:29246766:29247059:1 gene:Dexi5A01G0025360 transcript:Dexi5A01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVDDAKKDVLIALSQIIDPDFGTDIVSCGFVKDLEISDALDEVSFRLELTTPACPIKDEAR >Dexi6A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:6A:7138961:7139743:-1 gene:Dexi6A01G0007180 transcript:Dexi6A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPPRRRRRRAGEEGAQGVVRTCVLSRRWRRVWASAPCVDLRPRHGLDDGDDGAPEDLARFACRLFRGRDASAAVDTLRLRSSDPDGAFSEEDARAWIRAAIEPKVRALHLVGYRDGPAALERAAFVSGHLKVLKLPYARLDDDILRQLSSRPSSMF >DexiUA01G0007120.1:cds pep primary_assembly:Fonio_CM05836:UA:13631495:13637524:-1 gene:DexiUA01G0007120 transcript:DexiUA01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPREGAQQRPGTTLSELAYQKARIADPSYKPASEFLAIVLTDLGTSLKLGGNTEEGIQKYCEALEVENHYAKAALERPLYAEAYCNMGVIYKNRGELEAAIACYEWCLSISPNFEIAKNNMVIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAVYNLGFAYAEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPSFSQSLNNLGVVCTVQGKMDAAASMIEKAIIANPAYAEAYNNLGALYRDAGSVTFAIQAYERSLQIDPDSRNAGQNRLLAMNYIDEGLDDKLYQAHREWGKRFMKLYPQHTSLGNSKIADRPLIIGYVSPDYFTHYVSYFIEAPLTHHDYANCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVGSLVGEDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYQITDSLADPPSTKQKHVEELVRLPESFLCYTPSPEAGPVCPTPAISNGFITFGSFNNLAKITPKVLQVWARIPCAVESCIGV >Dexi1A01G0031690.1:cds pep primary_assembly:Fonio_CM05836:1A:36556270:36556824:-1 gene:Dexi1A01G0031690 transcript:Dexi1A01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALLDDVIRRLLEVKNLKPGKNAQLSESEIKQLCAAAKEIFLQQPNLLELEAPIKICDFARVFN >Dexi1B01G0026160.1:cds pep primary_assembly:Fonio_CM05836:1B:31057424:31060360:-1 gene:Dexi1B01G0026160 transcript:Dexi1B01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATASPGLQAAARRLTLAAPAAAQARAFVRLPRRRPLRSASLRAAASAAAPPAKEGAEELGYQEMTSGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGTLVQVEGPDAPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGVLAEQLGELKLGELLDTPPPGLDEALAISKVMQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRNKIASATSAIKSVFGQEVQQQDAANKLEQLRERMLKVRELFRDTESTEFIIVTIPTVMAISESSRLHSSLQKESVPVRRLIVNQVLPPSTSDCKFCAIKRKDQTRALDMIRSDPELMGLSIIQAPLVDMEIRGVPALKFLGDIVWK >Dexi5B01G0035840.1:cds pep primary_assembly:Fonio_CM05836:5B:35710697:35712332:1 gene:Dexi5B01G0035840 transcript:Dexi5B01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAVIRRLHAAAAQPPRMTKLALQAPKSVEVEFADGKSFHLSAEFLRVYSPAADSKIRSVGGEKDTLR >Dexi1B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:1B:1760967:1761191:1 gene:Dexi1B01G0002120 transcript:Dexi1B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGLLSEINNSMWPGLVGHQLTEVVGVIKSQRPDVHIKLFAATDPEPRDFDPHRVCLFVDDNFAVARMPVVG >Dexi2B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:2B:2897970:2900309:1 gene:Dexi2B01G0003230 transcript:Dexi2B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQSILVLAAAVACLLLLPAATAATSVEYCNKGKQYPVKVSGVEISPDPVQPGKAATFKISASTGMVDKSIEKGKLTIDVKYFFFYVHSETRDICGETTCPASGEFVLSHEQTLPSFTPPGSYTITMKILGDNNEELSCISFGFSIGFVASS >Dexi9A01G0031440.1:cds pep primary_assembly:Fonio_CM05836:9A:36386678:36387865:-1 gene:Dexi9A01G0031440 transcript:Dexi9A01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALLTWTLMDSPKKSASAAAADLTDDLVIEILSRLPAKSICRFKCVSWHWYGLITDAEHRRKIPQTLSGFFYTSYRGTLEDLAKMLPDFVGIVGDEEPFSDPSLTFLAGYNSIIPKICSNGLLFCLCWKDSPRDEADYVVCNPATEKWVVLPDSGDESISLAYHFGFDPTISPHFYVFQIIDTDENYGYIGDMNIYSSEAGVRIC >Dexi9A01G0035280.1:cds pep primary_assembly:Fonio_CM05836:9A:39886658:39887515:1 gene:Dexi9A01G0035280 transcript:Dexi9A01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTPAAVLAAALLLLLPSLLAHVAVAQPKGAKAFCISQFAIASQACSILPPSPPDEHHHHDDEDEDEDDDEHEDDDEHDDEHHDDEHRDHRDRHHHRDHRRAASISISDLVASSNGSHGAAVVAVAAGNRTGGHHHGNRTRGGHGRRGRGRGRRGRLRDGEDGGGDDHDDADDPDHDDDHHEDDDEHHDEDDDDHHHDADDPDHDDDHEDDDDDDDDDDDDDHHDEELRAYRDCCRWLKEVQKDCVCEALLRLPPFLVKPQHTYVVRVGRTCKITYRCGGV >Dexi9A01G0048170.1:cds pep primary_assembly:Fonio_CM05836:9A:51006020:51006336:-1 gene:Dexi9A01G0048170 transcript:Dexi9A01G0048170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRKLFSAVLLVLLLLVATEVGGPVGVAEARTCQSQSHRFRGPCVRRANCANVCRTEGFPDGKCHGFRRRCFCTTHCRD >Dexi3A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:3A:9439389:9442795:1 gene:Dexi3A01G0012980 transcript:Dexi3A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAASCSPWPSRAWAVARRSPSFPSLPLPSPSHQVVSWPSPSSSPSHGCRWPVAALPLGIRGGLRPLPAGASRAGAAVRTRTAAVAAAADGGSKPETAAGISRTLQLGAMILVWYMLNICFNIYNKLVLKAVPFPYTITTFQFASGSFYITLMWLLNLHPRPRLSLKQYAKILPLALIHMLGNVFTNVSLGKVAISFTHTIKAMEPFFSVLLSVLFLGETPSILVLGSLVPIVGGVVLASMTEVSFNWQQPHGRRLLLLLAIEELCGYPHRDCCIVVSWTQALPDSLDDINLFSIMTVMAFLLSAPLMLSVEGIKFSPSYLQSAGVNIKELCVKAALAGTCFHFYQQILEYLYLSVAMKLFDVELSITKFLFASWQVSYSLLARVSPVTHSVTNSLKRVVVIVSTVLFFRTPISPINALGTGVALAGVFLYSQLRKAKPKAKAA >Dexi3B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:3B:6036432:6036964:-1 gene:Dexi3B01G0008700 transcript:Dexi3B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQAQPSATHVSIHQSVIRAVRRRRRRPGPHGCRSSPGAGTEARRKYCSQQFGEGAEVSRRRGHTCARRRAVPAGVSRVRLTPPLAGATWPPRLRPRRHAVARRRAAAPPPAVSPLSLSLPSPPLPAFIASACMPTASPHLPTSSPRRAL >Dexi5B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:5B:9217434:9218385:-1 gene:Dexi5B01G0012990 transcript:Dexi5B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSGRAPPAPALSPFLHEEHKQAVVSPKVYHGHVEDYPEETTCGSGHGKLFARGHWRPAEDAKLWELVAQHGPQNWNLIADKLDGRSGKSCRLRWFNQLDPLINRRAFSEEEEERLMAAHRAYGNKWALIARLFSGRTDNAVKNRWHVLAARRQRHHSGGATRRRKPSSSSPASSSSFSSHFTPAAAVRRHYGIHTEAAYSGGESEESASTCTTDLSLGAAAALAPASAFSVCSTVRHRAASSSYDGCDKLARPFFDFLGVGAT >Dexi2B01G0030930.1:cds pep primary_assembly:Fonio_CM05836:2B:39156325:39160083:-1 gene:Dexi2B01G0030930 transcript:Dexi2B01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKNVVSVTPAADSSGVLRERTQPRAHESSAPVPLPVPAAALSSLRSSSSAARRSEKFKDEAEEPGKTLVAVPAASRSFRLRSLRKSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLESGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLCSSPDIKFTEAQLKCYMNQLLSGLEHCHSRRVVHRDIKGANLLVNNEGILKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSVGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHQPYPSTLRDAFKEVPENALSLLETLLSVEPYKRGTASGALSSEFFRTKPYACEPSSLPKYTPNKEMDAKLREDALRRKASRGHGTEASKKSSRLSRAAREPSAVPKQINNTEVSKSSVNGTKDGTIQDRVKLNGDDRLFADLQQVSVVHVRQRAQHFKNDSREEVPFSGPLSVSSSSGFAWAKRPQEDRSFTRSRTRSSSRGQFVAEIDQDSKSQAKENIGLRELPSRDVPVSISRVNSKVRDQEPHDVAKRAVLKKWSQLERPESFDSCDTYHSQNFSNAMYLGGTLSSKNSFKGDHDQEEKVEYSGPLLSQSHKVDELLQKHERHIRQVVRTSWFRRGNCDHN >Dexi5A01G0036760.1:cds pep primary_assembly:Fonio_CM05836:5A:38217319:38218060:-1 gene:Dexi5A01G0036760 transcript:Dexi5A01G0036760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQPPRRFITIPFASGCRSHSSVDVVDTARHAGKKPHPQGIEFGVVPGGGAPARPPASGKGESLVARLLRGFKNLSQIFAVYDEEEEEEREMVIGLPTDVKHVAHIGWDGSTSTTSSLGSWNRAAPPPPPPPAMASSSSSSSASASTSYAAPAAQYQRQHQQPEEQYPLPLPAVDMRQFEHAMAAQAATTSSSGGGPHRRHS >Dexi8A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:8A:1321121:1321659:-1 gene:Dexi8A01G0001950 transcript:Dexi8A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQQLSKQQIEEFREAFSLFDKDGDGTITTKELGTVMGSLGQSPTEEELQEMVNEVDADGSGAIDFEEFLTLLARQMREANGADDDELREAFRVFDQDQNGFISRDELRHVLQNLGERLSDEELAEMLREADADGDGQINYNEFAKVMLAK >Dexi5A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:5A:10667143:10668312:1 gene:Dexi5A01G0013890 transcript:Dexi5A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCGALLFALVAVQAAVLLSAVPSAQASELQVGYYSKKCKGLENVVKWHVVKALKAHRRTGAALVRLLFHDCFVRGCDGSVLLDKSYDNPHPEKEAPVNIGLAAFDLLEEIKADLEHRCPGVVSCSDILIYAARDAASFLSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSTDDVEKLIANFARKNFTVEELVILSGAHSIGQGHCSSFTGRLSEPADQITPAYRELLKYKCSSGSNPPVVNNVRDEDYNTVGRFMPGFVSRLRKVPDFLDNSYYHNNLAKIVTFHSDWTLLTQKEALGHVHEYADNGTLWDEDFSDSLVKLSKLPMPAGSKGEIRKKCSVINHRLY >Dexi3B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:3B:7857283:7857937:-1 gene:Dexi3B01G0011170 transcript:Dexi3B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSWKRVELAALVLYALGFYLVVIQRSLRLSHESRDCCVVAYTVLELSMLSSRCLCCVHSSNFID >Dexi6A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:6A:20411318:20413699:-1 gene:Dexi6A01G0013340 transcript:Dexi6A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSERQGVQQKKSRKPYSIPRRAAAPPTGGPTAGSPSNVALADGPAAGSRGNRAPAGSPGSASPSTGAPTSGQTGHHPPHVFLPATSLGSTFTVDSSPGSSEWLYPPGGFMSYLQMPQTSPPQPYPFVNYPSASYLPENSHFVGAPSCRGTPSPNGNGLAMDAADTQEEESIDIDDDDTLEPARTEKRLNYSHEEDIRLIWACSFGVAATEEPLIC >Dexi2B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:2B:11625109:11628047:-1 gene:Dexi2B01G0010360 transcript:Dexi2B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMDSIASLTMSVVVNENEEDGEPKPEQPPQQQKKRLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIREKSILRMQTMLSDMLFREASPLSIISGSPNIMDLVRCDGAALLHGDKVWRLQTAPTESQIRDIAFWLSEVHRDSTRLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDIVFWFRSHTAAEIKWAGANHDPSNKDDNRRMHPRFSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRDTLKDAMKPTQTSGLDNQIGDLKLDGLAELQAVTSEMVRMMETATVPILALDAHGSVNGWNQKAAELTGLRIDEAIGRHILTLVEDSSVSTVQRMLYLALQGREEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLAMDKFTRVEGDYKAIIHNPNPLIPPIFGADQFGWCSEWNAAMMKLTGWHRDDVIDKMLLGEVFGSSNASCLLKNKDAFVRLCIIMNSALAGDEAEKAPFGFFDRNGKHIECLLSVNRKINADHVVTGVFCFIYVPSDELQHAMHVQQASEQTAVRRLKAFSYMRHTINKPLSGMLYSREALKNTGLNEEQMRQVHVADSCHRQLNKILTDLDQDNVTHKSSCLDLDMDEFVLQDVVVAAVSQVLIGCQGKGIRVSCNLPERFMKQKVYGDGIRLQQILSDFLNVSVKFSPVGGSVDVSSKLTKNSIGENLHVIDLELRISHQGTGVPKEIISQIYEEDNKEHSEEAFSLLVSRNLLRLMDGDIRHLREAGKSTFILTAELTSAPAAS >Dexi1A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:1A:24071336:24072465:-1 gene:Dexi1A01G0016780 transcript:Dexi1A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKAAAPSSSTAFYATLARGLDDLDRSLASSPFLSLPSLRAALALLRAAHAGLARHVASLHLPGGAAWLDEYMDEASRLCDACRALRLGAAAVEGFASSASQLSSLLVQAPSNPHLSRQVVRAISVCRREAMALKEENRALVEARAEALALRLSEGVPADAKLGGFNGFRGVLCATRMLTSFLLTLLSWGVLHYHPPNAGGGSGGDCGGAAAYFGAAFASALSRAQQRAAAEAGRSVADAAGGGGVMMHEFRRARAAVEEAKEAVERGGGGDVVAAAAAEVGVRAGALRAACEDVLAMIDDLFDEVVEARKKLLDLCSGGN >Dexi7B01G0022430.1:cds pep primary_assembly:Fonio_CM05836:7B:27366449:27366981:-1 gene:Dexi7B01G0022430 transcript:Dexi7B01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTVLKVDTSCAKCKRKVLQAVSGLHGVDKIEVDSEKSTMTVTGTVDPVDVVKQARKAGRRASVLTIGPPPKPAEEKKPEQGKKTEEKKASGDGEKKTTASASAEKKAPEQPATVFVHHVPSWPPACHRYQERVVYEQDPPPCSIM >Dexi5B01G0027270.1:cds pep primary_assembly:Fonio_CM05836:5B:28893857:28895842:-1 gene:Dexi5B01G0027270 transcript:Dexi5B01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCL >Dexi6A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:6A:26900069:26909632:-1 gene:Dexi6A01G0019280 transcript:Dexi6A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVDNEDLVFIFESIIHKFGEEMAPYALQLCQLLATTFWRCMANREAGDVAADSSTLAAVGCLGSINAILLSIRNCPNIFCEIEQIMIPILRRVLIPDFRGVYGRGMEMISYITFHSPDISLDMWSLWPLMMDVNDWANDLMEDIRVPLQNYISRGTEHFIACRDPDHQESLWRVLSSIMSDENVDDYVIVPAAKIINVLFRNCNGRMSHWVEPYLRLTVSWLHRTEKPYLEHLLVEVVRNKFHIANVLYENPLLTLTTLYEVGVAVEFFKLWRKAKLKSERDKKVCVLGLTSLIGLPATDTTEALELISRAMVELLASYKDQVTGAVCYCLITFCISNRAKHDAAVDSEASGADEDNDESNIEAGFVYEDPDEDNRIHLTEIMRGALKQ >Dexi3B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:3B:5715019:5722506:-1 gene:Dexi3B01G0008110 transcript:Dexi3B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFREGAGTGDAHAPPPTPTPPLSQYLCLDSPTWASDPKQQQQQPHWRHPELRRALAADDQADELRRIRASVQDSTGKAKEKVRSLHEAIQKLDKYKNIVTRKRQRTADAGPDKLASSSGALRIGAQNSSAVLSKRVRSSLADARVEGRASVPTRQSPLVSNDKNSSVEKEKSSTRMSATVSGLSEDKLRGLSTGGEGWEKKMKRKRSVGTMLGRGSDADRDVKSVGQHRPANEVRSRSSDGLPYRHGASAGALAGNKVDGASQQNNIVSRIQSKTDVDYGIGTQPNERRERHAGVDKERTTVKGNKASTSEDMQNGSLSPLPKAKACRAPRTGSLVMNSSSNFQRSTGGSDEWEEAPPYTNKASPLGGITNRKRSTHSNASSPPIAWVGQRSQKMSRTRRANVVSPVSNFDEVLSEGSPLETTTRSTPIESGSVLVTKNTATTKMDSISSPAGLSESEGSAATEGKSKEKAMHSGEVGNDGANAAHAAMGLIFSSNKNRIPLKEELEDGGVRRQGRSGRGTMHVKGCSPIPKEKMDTAETRKPIKGGRPGSEKNESKLGRPPMKKGSDRKASSWHSQALNCEPTDITGEPEDDQEELLAAVTAARSSIVGAYSGPFWKKMEPMLTFISSENLSFLKNQINLVEELEMSMSCISDGEHDIIASSDYRRMQKMPKLEDGEDEDINKMISELRKRLHDQVNQKKCRLHKLDKSIQDTKDLEERSLEQHAMNKLVERAYRKLKGGRVGSSHKAGVSKSANKAAKQLALAFAKRTLARCQKFDETGKSCFNEPSLWSVLSAPLPSSDAKSTEGVERLKHQKLDRTPFDQGNLAVVMMIVLGDVRRSLVFDQVYQLVADTVAGGTKWKKSDRERDHNRDASAKGSGLKSGRHSSGGSGRSGERKNKTKPKQKLAQLSTSGNVLGRVVEPLSSPAVQEPPLEPPSERKTQHPTRNTSSHATQRPTTDAALPVLPGLDDILDVGGLDGQGNDISSWFTDGLDDSLQDIDLSGALEIPDDDLTQLGFI >Dexi5A01G0029760.1:cds pep primary_assembly:Fonio_CM05836:5A:32810977:32812204:1 gene:Dexi5A01G0029760 transcript:Dexi5A01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKFVDSLAEEEAAAATGLPFTEQFHYMRAVLEKAAISPDNADELRECLYELNDLLANRPKPRRFFALPDAWRFAKTKNRVAAVSRRVLLCVGNNSGGNAAASPEEDGAAAAGLDRWTTPWLLQPSRIHGFDQQLAVLESMAFRDCDEGTLNGVGIVGMGGIGKTALAQLLFSSALARGRFFPRIWMCMSRTSCAGADRRKEVLQGILMALGHEEDAILSMDGSDSLAELVITVHEQLKGKRYVIVFDDVWHIDSWYDDVVGALNAPQRADDLSVLSERLAFGLPKDRGGLVVVTSRLEQAAEAMVGKSYLHRVRPLADSESCWAIFMDAFSQSKERGTVDLATVDSMKQEILETCGGLPSAAKTMGDIFARSSFSSPASTSSQELSKSDRIITVEV >Dexi6B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:6B:12488689:12490862:-1 gene:Dexi6B01G0008990 transcript:Dexi6B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVLHSVFLSSCSWLSPPPMVHLELLYYPYAKHDGISNPFANQIQLTSLEKVLKTESNGYDVNQRKNVIMRGVLSVMVISAEDLPPMDIGGKADPFVVLYLKKGETKKKTRVVTDTLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVLLEGEFQDTFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >Dexi2A01G0032980.1:cds pep primary_assembly:Fonio_CM05836:2A:43287480:43291047:-1 gene:Dexi2A01G0032980 transcript:Dexi2A01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGLRSGWVRGCRGRALHGRRAWRRACGDGGSTVARRRGKADGGGEGLPQLADGGVEGGLWVTIMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMPETEQRPHKVSFFVDKKSAQEVIKSVAEKLEKRGLDAKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYTENAKDNPKIIHASERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKEASFKPTDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITTESPEGFVVTHIQKTWLNGYSSGVEQASKL >Dexi9A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:9A:16154026:16154624:-1 gene:Dexi9A01G0021200 transcript:Dexi9A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMSPGRMPAATEASTLEDQSFKSARISVEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGDTSREMQGHDGYDQIAGLKGEDMLDPDALQAHLSGMNSYMYNHTVESWM >Dexi4B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:4B:18383812:18389137:-1 gene:Dexi4B01G0016320 transcript:Dexi4B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSAIPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWSCCLDRNALRAASASADFSAKVWDALSGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVYDMNRPDAAPRELEKSPGSVRTVAWLHSDQTILSSCTDMGGVRLWDVRTGNIVQTLETKASVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKTGSKFVAGGEDLWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSQANADDNEASNGKPTAGLNEVTTKIEGFHIPKEGQTEG >Dexi3B01G0032180.1:cds pep primary_assembly:Fonio_CM05836:3B:34560454:34560692:-1 gene:Dexi3B01G0032180 transcript:Dexi3B01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTPPHHHSSGVSPVPGYLRPPAGHHDDEFEDKEMMTTKKKKPHPKPRKQQPAESQSRMMVKVRSVFRRHQG >Dexi5B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:5B:14223791:14226822:1 gene:Dexi5B01G0016280 transcript:Dexi5B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAKPQVAMDEDATIGNSHAAETFDTGFEQDLIHAIFKLVWRRRAEKGRGGNEDVNIEPAPETSRRNQSTTAKGSQLDYIQLKVTSVVTLLCIVHDMLTCCLHLTANASALKVSCELLRIFVAEAIQRSAFIAEAEDATVIEPTHLERVLPQLLLDF >Dexi1B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:1B:2595507:2598324:1 gene:Dexi1B01G0003230 transcript:Dexi1B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASARVLVAVAHRRAVLVRVPAAASLSFPRRHPAPFRGRAAGISCSAASASLSLPSSAGGGPVPFNLLPPDSEPFIEWDPPPADPAASPLGGAGGAGEGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVCELIGLDLGRHVERRVADLSAEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRADITERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSAESLDGPIKFFEVVLYVPDINKRLCKVLSVLSDKQPPCPQFYLYSSADRVIPGECVESFMDLQRSLGRSVFAHNFVSSPHVDHYRSFPHIYSAKIDEFLKICSTVKVS >Dexi5B01G0032370.1:cds pep primary_assembly:Fonio_CM05836:5B:32998901:32999411:-1 gene:Dexi5B01G0032370 transcript:Dexi5B01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTRLCGTKSIVTVNGQFPGPELVAREGDRVVVRVTNFAQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPYKEVPIIFGEYLVAI >Dexi9B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:9B:11657515:11658509:-1 gene:Dexi9B01G0016940 transcript:Dexi9B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSKFISCKLYISESRDAMAVDAIEHASKSDPQVVVVSQFGDCHYNRFRYTLVSYITDDDPAEEVICSPIRKVLLSMIEAAFSTINLESQSGAHPRIGVVDDLSFHPLGQATMEDAASLAKQVASDIGNGLQVPVFLYAAAHPTGKSAGAIRRELGYYRPNYKDNQWSGSILPDILQVKPDVGPTRVSHKRGATTVGVTPWIENYNVPVLSKDVATVRRITRRVSGRGGGLPTVQALALFHGDDCTEIACLLDPDHVSAYQVQTVVEQIAGEQGLEVEQGYSTDITKDAMLDKYLMKIA >Dexi1A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:1A:4722695:4725017:-1 gene:Dexi1A01G0006320 transcript:Dexi1A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLSDSDSEDAESRASDNSSDYGTPPPASASSKASGGVRAAATPASIDAIDRHLRSLHLKYNDPISPNPSPGLGPAANPAALNAVKLYLHIGGSSPSAKWIVSDRLAAASFVRNGDDEDDDAPAAGPWCLVVGSKIRARVAPELQLKTFPAQRRVDFVADGVWALKFLHSDGFGDFCAKYHSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFSPGPKGSPMPPRTPTLKPLMEDLTEFEEPVEEGSGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGMSVKFSGGSRNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFEKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLNPLDSHLAGANNRFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDKYAISDSPEAPLVVATPMKVTSFSISSRH >Dexi2A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:2A:368043:368450:-1 gene:Dexi2A01G0000700 transcript:Dexi2A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRDNGVVVQDEEGVRDRAGVSGLASARELLREGHAVTVMEQSAGVGGQWLYDPATDAGDPLGAAGVHSGVYASLRLNAPRDSMGFSDFPFFPQATTPAAIHVGIPAMASS >Dexi7A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:7A:16334331:16341410:-1 gene:Dexi7A01G0005210 transcript:Dexi7A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGPSRPATSTATGGDFPELLGFCARAEALIAELLLLSDHVPSEFADRRFDSVLFDFRYFDSPGDFESRIEGNIVLQALEDQLRESCGSYMQRFFSLLDGAVTYHEELCNYLNELQEGLYVHCTLDHVLENNSACQLLVESMALFGCMILLMEHKISGLPREKLLVAHLRYDRCFSYPNLERVCELCRRHVPTPATPASSGSSPFSSDIISVQKPEDLLRRFPFPDPVVDAVITCLRNGDVYNSIWFYPDPQHRTTALSLQGGHLCVLLFYSNDLLHRGLAMREIIDRFFKDNWVVPIFLHFSVDLLVSWDAYKEAKLSLVSCLSLASIRDISLYHYTKVTHFLADLDIHIHAINKEYVLDNSLSLISVIRECNFTLRWLLLHRMTSDKKARDLIISIGSSQQVDEGNMLQLLLKTAKLEFEVKQLRVELLRTRESMWCEKRHGALECMKDLSQNYLGTWAASCKFKNKTLKDWLEHLSLELISLNYTSIGSCGRTIHRVLSTLKDIEMLHQITDAKYAWVYLTLFETLLKKNISQDPSETLYLHKVFLKFQSWLSAPLQRIKQCESPDLHSISMYYSSKYAAKIFAVLDIIPEILLKISTVVNHVNAEQPTHLVNRINQEALQELMQVLNLWISNYVKHGRLPNCALFLSMEAFVLLAQQNLGGWLKQVMKKELATQLERTLKCLSPFATLVAGDMEGNLNSLSKFLLSQMQRMEFLEVIIPFLILVSL >Dexi5B01G0027330.1:cds pep primary_assembly:Fonio_CM05836:5B:28928754:28932320:-1 gene:Dexi5B01G0027330 transcript:Dexi5B01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHTSPDEVVLRQETGAARVITLNRPRQLNGISDRVVYLLAQFLEKWEKDDSAKLVVFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLVMGGGAAMVAPLKFAVVTEKTIFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMISAGLATHFVSSENLEELEKRLLNLDSGDESAVRAVIEEFSTDVQLDEDSVLNKLSTINKCFSAETVEDIIKAFESEESIDGNQWIPPVLKGLRRSSPTAMKITLRSVQSHVIVNLMIREGRKQSLPECLTKEFRLTMNILRSVVNGDVYEGIRALSIDKDNAPKWNPPTLEEVKNEDIDRVFQPFSPEQELQVPCNDSNRWSGKYENTIYAKTSQ >Dexi4B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:4B:8302184:8303766:1 gene:Dexi4B01G0010940 transcript:Dexi4B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTLAEWEALAARAEAALAAAPADAAALLPIRRRGVVGDGGVLDLPRDASAMAALQRVKLDDGSLGAPVVLPHFPYAQQAVAEPAACAICLDELRHGELCSEVPACRHIFHESCIRAWTKKMNSCPLCRAKIVPGGAPAAADGMV >DexiUA01G0010600.1:cds pep primary_assembly:Fonio_CM05836:UA:21038856:21039342:-1 gene:DexiUA01G0010600 transcript:DexiUA01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGGARHRMEAVAAALRLRRVDRSKPAVAQNFMEFKLISEAEIGKGWEAVQERFDRFADNGLLHCSKFGQCIGMSEQEFAGELFDALARRRNISGDSISKAELYEFWDQIVGTSVDSRVQIFLDM >Dexi2A01G0031250.1:cds pep primary_assembly:Fonio_CM05836:2A:42006966:42010364:1 gene:Dexi2A01G0031250 transcript:Dexi2A01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNAVASLMVRLHHLPLPRAAPTPTYRRHHHDHLALRLLRPTSASVRPVAVAAAMSTAAEQAVADQKRALRPEVRKALKALSPDQRASEGQEELAKDLYVPRVEDKNRNMRMLRITTMDDLVKNSMNILEPSPVDASGNDREDGQAFDRTGRRLGRGGGYYDTFLLKYQELAKEKGWNRPLLVALSYSVQIMEEGVIPVNSTDVPIDALVTSSGVIPISPAALERMQ >Dexi1B01G0024300.1:cds pep primary_assembly:Fonio_CM05836:1B:29659937:29668324:-1 gene:Dexi1B01G0024300 transcript:Dexi1B01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGAKNKGKAQGASQPVPSEPEVPVTDGAEVINPENVEVSESPAVEGVVTDVEKSEGVVTDVEKSDGDAPESAQPAKKPAEGNMSQAGELHLYPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHQLEDYNEISEIADITSGGCSLEMVAVNLLSAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSAGSEKTVIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGSSKSFYVNCSNGSILDPKLTKQGFREVLDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHISKDHKPDFQNGPSRSTKVSSQDTEAKPDMNHTESKGVSHSVSVNSSDASLEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVAEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELVASFVEAESTKQSTRLKVPDALEESNDQIASTSDAKASSVEGDDKSEETSAHTREENDNLSPEILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLLEIVIPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGRIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVLGASTKGSLGHTQSKTPKGHENSQSQKSMKGQKLNTPASRKCPSTYSHLTSDGIWSSIKEFAKSKYQFEVPDEARLSAKRVSVLRNLCQKGTLNEAYALFSEAFSLLQQITGPMHKDAANCCR >Dexi7B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:7B:24619164:24624938:-1 gene:Dexi7B01G0018990 transcript:Dexi7B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATVSSASGLLAMLQEPAPELKLHALASLNSVVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSDDSDYAHALLGEKAKALDEYASIKTRVSKATEEEENIDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVRCDNIQGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPNPDYLSICQCLMFLGEPETVASILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLALPAPVPSNPDTGSALQDDQTASTGTGAETAGDVQMRDDTTTPNGNAHTVDPNEVAHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLVVIHFYLLPLHRIAALAISLSHYGVVLQEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEESDTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKTTEEASGSTSGKAAKTQEKDADAMQVDNTAEKKAPEPEPTFQHLTNPARVIPAQEKFIRFIEGSRYVPVKPAPSGFILLRDLQPTEAEDLALTDAPSTVAGTTSNTAAAAGQQGSGSSAMAVDEEPQPPQPFEYTS >Dexi3A01G0028590.1:cds pep primary_assembly:Fonio_CM05836:3A:29416977:29420299:1 gene:Dexi3A01G0028590 transcript:Dexi3A01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQISLLRGGASQEMFTFSFGGSSGNLLVAGSNAQVLLWDWRNSKQVACLEESHMDDVTQVMNAETSVAKVGFFGNMYQKLWCLTHIETLSVWDWNDGSRELNIEDARSLATDKWNLDQVSIQ >Dexi4B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:4B:21040565:21041602:-1 gene:Dexi4B01G0018680 transcript:Dexi4B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAMHHSVATVPLAATAGMLYARAVAASTRPGLLRLLALAPVLALLLVLPLTIPLYGLRGLSAFFLVWLGEFKLLLLAFGHGPLHPSLRPLPFVFTASLPVKLRRPQQTQANATAVAPAAKAAVALPPLVSSGIKFTVMASVFRIIFLYKETIHPYASFSLYGVAIYCYLDSLLPCLAMIGTALGMEMEPQFNKPYLSSSLQDFWGRRWNLMVSAILRPSVYDPVRKRLGAPVAVLATFLVSGLMHEVVVWYLTFRPPTGQLTAFFALHGACVCAERWWCGATTTRVVATLLVVGFVAGTAFWLFFPAIVGDGMGEVYLAEMASQASLFLDAGGRLLRLVGLG >Dexi5B01G0026520.1:cds pep primary_assembly:Fonio_CM05836:5B:28282026:28282343:-1 gene:Dexi5B01G0026520 transcript:Dexi5B01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPEPEPEPGPPTGGDPAPADPEVPRLTVTQVEQMNVEARVTDIYRVLWGGSPNTQSIMI >Dexi9A01G0043620.1:cds pep primary_assembly:Fonio_CM05836:9A:46995254:46995955:1 gene:Dexi9A01G0043620 transcript:Dexi9A01G0043620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGWNPLAPVLQTVAAFSRGLVIAPDAGPDDHRLRPLLSLSLSPPPTPPPPPEEKEAKAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELVSVIDDAANLI >Dexi4A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:4A:21056210:21058445:-1 gene:Dexi4A01G0017370 transcript:Dexi4A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNPPTKSSDDSPEAAAATCPPWVILQRHGIATEYSNVHGDGRTSASSFTSSGRPFRISFALSPPPANSTLEYDWAGFPAEQDESFNQPDIIAAHGNCVLISVMAPHARNSDLNVVVDYFLYEPAGGDRRLPLLRRLPHCYFARLYERYRARERRGEDAQENSYYYHFEEDEDDSWPTTSWWPNSTCRRAATARRAHDAAELCVLRLGRGEWELKRVPIVFFSSDDTAKEREGLQDWWETDVVVPVGDRFLCFVDYFRGFLPYDATVAADVDLTLRYVPLPVEVPDGNPDTDDYGRPKMEHSRNLADAGDMGGQSTVRFVSIEPRCCCGQPGRSTCDRSRSAFLVTTWTLTLPSTGEEPPQPAAVRWVKNSVLDCDELWVQPRYGSLPRVGLQYPVIVSSDNPDVVCFIVYDFNSSDKDHWMLEVDTIK >Dexi3B01G0023720.1:cds pep primary_assembly:Fonio_CM05836:3B:18456776:18460152:1 gene:Dexi3B01G0023720 transcript:Dexi3B01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDHGSSDPLLPRRCAPAPPKGGWKSALFIIWVEVAERFAYYGISSNLISYLTGPLGQTTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYIMGLGMLTLSSMLPSPQQCGAAADSRGACPPSSIQTAFFYISLYLVAIAQSGHKPCVQAFGADQFDAADPNESSSRASFFNWWYFGICSSATVTIAIMSYVQDNVGWALGFGVPSMVMLLALAVFLLGTRTYRFYGSGGGNGGGTGTFSLVGKAFVAWRTKRSKEASPVEPEHGDGELAEDAVLAGEVNGLARLFPIWATCLLYGVVFAQPATLFTKQAGTLDRRVGRSFQVPPAALQCFLGASIMACIALYDRVLVPVARRVSGAASGITMLQRIGTGIALSLVTLVVAALVEMKRLRVARDAGLVADDGSFGTVGVPMSLWWIVPQYLLLGAADVFTMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLITVIDGLTKRNGGTSWFADDLNQGHLDYFYLLLAALTALELLAFTYFSTSYVYRRKTGNDN >Dexi2A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:2A:28526365:28530882:-1 gene:Dexi2A01G0016790 transcript:Dexi2A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVDHWVGPAGWTMAEEEEGREEEDCKDEDWGVEKERKKSRGRRKRPAPGPKRRRVAAAAVAPEPAASPEGPRPSGEDVPCAEETTEPSPEEGVNAAASAAAPAAAAAAEVEVEAGVRKEAESVAPKASGRGRAGRGRTSCHQCKRVRQNPNEMIRCKQCDQRIYCVPCISRRYPTMSEAEVKEQCPYCCGVCNCSRCVGRDKQAGPETSGLNKCNGNGLATRKKRPASAGVKSPRACNATRRSKGIGHSFVRSGMNSPALLDEVDTSDVGTDEVDPETKRKYASYLLHYLLPCLTQINKDQMKEREVEARIQGLELSELSVDCADTSKDERVFCDNCRTSIFDLHRSCPDCSYDLCIVCCKELREYHLEGSCQEVPVRVNDRGERWSNYMHGGDPPPDSSKETGSPSHQSESIKWEADPNGAIHCPPSELGGCGKHLLKLRRIFEKDRLSNLEMVGLQISKKLQPPDIITADICECSCSANHLSSRKAATRENSTDNYIYCPVSDDGKPDDLKHFQKHWVKGEPVIVQGVLKKMSDFDLSWKPEDMWSEVYGTDTSSGKEKVKAIDCMSCCEVNVLMHTAEAKPKKGQEQAIRNLKIRHREQDKKEFGNVVIDANNESMEHADISSNHCTDDEAGALWDIFRRDDVGKLKEYLTKHFKEFRHLCCRPVEKIFNPVHDETFYLTNKHKRKLKEEYGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENIQQCLSLTEDFRRLPKNHRAKEDKLEVCLFVARLSRIISFFFSKSKDLAEL >Dexi5B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:5B:5881804:5882169:-1 gene:Dexi5B01G0008670 transcript:Dexi5B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGAINNYTEQLYSYGKKTSYNLTESLMFLAHFVGDVHQPLHVSYEEDEGGNTIIVHWYRRKTNLHHVCSSNSHINSLPTFRFTP >Dexi3A01G0026870.1:cds pep primary_assembly:Fonio_CM05836:3A:23408415:23411590:1 gene:Dexi3A01G0026870 transcript:Dexi3A01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPGGASPDPYGGGGGGSIHLVCENCMLGDDYSADDAEDGLFTCRNCSVVHATQATAADPHDFLATGDISVRRVATQPKPKLGTQTPAPYPRTPHATAGRAPAAAAGFDDFAEPSEPRDFAPAAGAWGAPEDLAARVRWRYVRGLQVILQRQLEVLVERHRVGALVCGVAGTVWLRWVAASKVFDEMWARQVIAEHEAAGREKRPGSGDNNKPDKVKLECDDDIVPRQKDTRRVEFAFLRSLRMLLPVYSTLAVCFLSCHIAREAVLPSDIYRWAMEAKIPYLAAFTEADRLLGSSLQLQGCPLDARQLFRPVRVIGAWQLEAAAGSIAQRIGLRLPSVNFYAIAERCLKDLSLPVDKILPHACRIYEWALPAELWLSSNPARVPTRVCVMAILVVTLRIMYNINGQGIWEVSLHIDSFIFFDKCKWTEGFFLSFLQKICEEGSDPDANLPTLSKLDDTNSKEFGMRELLCAVAAAYDKINVVHDYSNDLRSYLKYCKEVIFTGVTVSTEEEHLIDIFWDMYKAREDDNPKEHVKSQSQGIEETTITNGVNKRYRDRTFVEASCISSSSGHDAMQILKSEMQDHGFHYMPPRKARKSDGYLRYRRRRLSGGFIDVAHADYYMLLRSFAKLAEVNVRIMHISVLKLERRLACIEDRIERSLNTLKNLSSSSKDELRSVSS >Dexi2B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:2B:13191746:13192916:1 gene:Dexi2B01G0011590 transcript:Dexi2B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLHLRVCVSTRDKMASAPVQAMAALEPAAAVTSVFQPGKLPVEVISVDHDTLPTPPPPPPLPVLIAAPKDAGTYPVAILLHGFFLQNRFYEQLLKHVASFGFVMVAPQFHTSLISNSDADDIDAAAKVTNWLPEGLPSVLPVGVEADLSRLALAGHSRGGHTAFSLALGRATTTTTTTATLNFSALIGIDPVAGTGRSSQLPPAILTYEPSSFALASPVMVVGTGLGGDRENALFPPCAPPEVNHAEFYYECKPPCYHLVVRDYGHLDMLDDDAPKLVTCLCKEGNSCKDVMRRTVAGIMVAFLRSALGEGGGEDLKAVLGDPGLAPTTLDPVEYRLA >Dexi9A01G0034040.1:cds pep primary_assembly:Fonio_CM05836:9A:38857369:38858799:-1 gene:Dexi9A01G0034040 transcript:Dexi9A01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVVCSTRSDNVCLFNADGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVDSEKHIDFSLTSPLGGGEPGRVKRKNQKKASGGGDDGDEDEE >Dexi3A01G0023510.1:cds pep primary_assembly:Fonio_CM05836:3A:19198982:19199986:-1 gene:Dexi3A01G0023510 transcript:Dexi3A01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPLLHQSSHRPLIVAWHRLPHKDPHHFSRNRASRLSRILEKYQTNSGKILWGEKHKSLSAEIDRVKKENDNMQNELRPVHLKGEDLNSLQPRELIAIEEALQNGQTNLREKQANISFIR >Dexi2B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:2B:10646372:10649617:-1 gene:Dexi2B01G0009930 transcript:Dexi2B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARFLGTASGHLERVVGALPAAGSLSGRSTLPIGRSRPTFIRSTPPLGGAGRRGVRAARPTRAAMGGGDLFALDFDGVLCDSCGESSRSAVKAAKVRWPWVFEQVDSAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIQIPFIRKSSVADGLSIQEILENWLKLKPTLMDEWQEDRESLVDLFGRVRDDWIENDLSGWIGANRFYPGTADALKLSSSETYIVTTKQSRFAEALLKELAGIDFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFIEDRLATLKNVIKEPALDKWNLYLVTWGYNTQKEREEAQDISRVQLVDLPDFSKKLK >Dexi7B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:7B:3896202:3905530:1 gene:Dexi7B01G0002320 transcript:Dexi7B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSDRSFLIESDEEEDDAAAVENGRRGGHGGEESGDDDGGSGSDSSSSCDSPRVVAAHCSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPSLSRLSNSFLTNSFRGKPPEILSSLIKPLLPTSTAPTSEDQQQQKQEDVRKSSHYLPPSRKASSLQRIPEDHRPMVGGHEVGPYRQCSYIQGVMNGVNVLCGVGILSTPYAVRQGGWLGLVILVVLGALAWYTGILLRRCLDSKEGLETYPDIGHAAFGTAGRIIISIILYMELYACCIEYLILESDNLSKLFPNAHLTIGSLTLDAHVLFAILTALIVMPTTWLRDLSCLSFVSAGGVIASIIIVSCLFWVGLVDHVGPVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPAVLFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTELLPPSQQTYPNIVMLRSAMVLSSLVVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRSKVTWYQVNDVSSSAIITYFAFTMYYFKE >Dexi7B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:7B:17911451:17912049:1 gene:Dexi7B01G0010620 transcript:Dexi7B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVITTQGTVTNTTPATDTGGRAVSGPLRARRRRAYAASSASPHRSGAGSIPTEGTSGGGSWKGHRDRQDDGGRQRRAARGRKTEASAQRRSEAPGQRKGGYGVVEPGPDGGHGTREATKSCEWSDGEWDGWVRMWGLGPLRPRGNGSETSLRAGVGLLRHAGCAVRGWETAAAEAGPQEGERRAREHGDGWTGS >Dexi1A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:1A:7909849:7912109:1 gene:Dexi1A01G0009600 transcript:Dexi1A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQNNDLRISEENFRTCCNVEAQGGATATAERSFLDPLPFHTADPCGWRRKNGEPHAGAGAGAGELRTRPPGIGGSRDKIQPAQVLLAPVGARAFSQMRVAHSRRRVWPCLRSPSTSCKCANLRAPSVTHHSDPVTMTHKDDKMGLGNRTSTTIVVFGIDIYRSDGSFAGSQGEMNLRKERARENLAAPAVIDLEWRNMGERGKKEGAPPTTVLAPARGDG >Dexi9A01G0039860.1:cds pep primary_assembly:Fonio_CM05836:9A:43677740:43678598:-1 gene:Dexi9A01G0039860 transcript:Dexi9A01G0039860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLATGLQRLRRSSSSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASAPLFVLFNILLMWGVYVFVIRRG >Dexi2B01G0028010.1:cds pep primary_assembly:Fonio_CM05836:2B:36727274:36729029:-1 gene:Dexi2B01G0028010 transcript:Dexi2B01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALQNIKGSQCTIFDLPTLQEATRHFSKKNKLGEGGFGCVYKGILPDGQGIAVKKLLGSTGRGLHQLHNEVLVLAELQHKNLVRLQGFCSHQNDTMLVYEYIKNGSLDNFLFGNLVTTDYSKRNALSWEQQYNIIVGIAKGILYLHEESSMRIIHRDLKANNILLDNNMEPKIADFGLARLLGEGHTHTRTSKVAGTFGYMAPEYVMRGSVSPKIDIFSFGVLVLETVTKRSNCSSGNHYNLNLLSDVWDHWMKGTIPQMLHRSMDGHARSQALRCIHVGLLCVQPDPTDRPDISTVVFMLTKDSMELRPPAQPAFFFGRKSPSGSRSDGQSSYSDRSSSILEQGISVNGNTLSELYPR >Dexi8A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:8A:402343:402782:-1 gene:Dexi8A01G0000620 transcript:Dexi8A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSPSPSPSPAAPSAPLPNHHHHSRVTDGLAVANGPEPRNGLEAAEVERPAPVAYLPQVLVLCEQRHEGLDEAAAATGPSTSGLVSKWRPKDRLAG >Dexi3B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:3B:1606077:1606619:1 gene:Dexi3B01G0002300 transcript:Dexi3B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDKKNEGELGAHSLRSLRRCVRLATIKEAATSEQSEILRLKREAKDLMKRTPEEEAEIRRLEREADALMNRTAAAEEEPQPQPAAGNKRRRTVIKKTLEVREMYFRRKAIADNFLEYQRALIKQFRKKGYAEDYTEVEVTDGEDN >Dexi9B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:9B:7755207:7772551:-1 gene:Dexi9B01G0011830 transcript:Dexi9B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLHLVTRLPQQLQLQLDGETLIASAVDAERRRAFFASSDNFIYTVQLPASSTQGQEPLQWSKIATQHSDVDEVVLEPGDCIVAMDYLMERESLLLGSSAGCLLLYNVEEKTTEVVGRLEGGVNTIASSPDGALLSVTTGLGQLLVITQDWEVLSETSLDPQATSAIPVVRSKAQFLGGEMGRKLHSSSDAKNFMGAPLDWMPSGAKVATAHDRRTEGKCPLIVFYEKNGLERSHFSIDEPAEAVIHALKWNCNSEILAALVSSEQHDVIKIWSCRNNHWYLKHELRYTKDERVKFFWDPTKPMLLICWTLGGKVIIHSSFTFYSSAYGTTERIMSHLLVTTKQDLLYIVDVSEIFLKDNELTIGIHALAQRRFKDAMDMVRRHRIDFNIIVDYCGCSAFIELAADFVKQVNNLSHITEFVCSVKNSNVSSKLYEAYISFPDQGAVPMGDANKVTSVLMAVRKALEEQIEESSSRELCVLTTLARSEPPLLEEALNRIKVTRELELLGLDDDRRKLYPSAEESLKHLLWLTDTEAVFGAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECLPPAVMRYTIDLRLGRYESALRNIVSAGNEYHKDFMKLLHANPQLFPLGLQLFHEPDKRNEILEAWGDHLSEEKCFGDAALTYQCCSSYQKSLKAYRACGDWRGVFTVAGLLELEKEEITQLAHELCDEFQALGKPGDAARVALEYCSDAERGVSYYIMAREWEEALRVAYMRSGHDLVGTVRDAASECATSLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLKSEDRFYDVEDDNISEVGTSFSEMSAYTTSPGEEMALVEHLKGMALTGSAEKELKSLLVVLIQLGKEECARQLQLAADNFEVSQRAAVKLTEDTEAAAKVKAAHCLSTRRDTQVVYVDPTTGALRYLGKHGEDAFDSEAAALNYITDGSRILSKSTTYAKAVLGYAVLGSYALLLVATQLSSTVPNLPGGGCIYTIAESQWIKIQLQNPQPQGIGEQKNIRELADLDIDGKYYFSETRDITRPFPSRMTLWEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWHVKDFRSCRYVSKGKGLNFEEMMKLEIKRLHLGLSAAERDRALLSIGVIPATVDPNHSVDYPYLLKLSSLADNLALLGHAVLEDRVNASIGLEKGSEHTIDFWNLSENDESCYDGACEVRVESAHDSEPLFSLLAPLGSGNYKSYWKAPGDNTSVEFSIVLGGLSDVAGVAIIVSSCGYSTSDCPIIPVEAERLRDNDLVLEQYLLPNSPGIAGFRLDFFNVIRPRVTHSPSSSELDTREFSLTRLEDGYVNQAILCMQVTIVKESGKLVVEEYRLPEVKANTPLYFDFPDLQQDVRCVIFRLLGDVTAFVDDISELDGLNFRNLPLASGLSLSNKIKLYYYADTYEMGKIGSFSAV >Dexi6A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:6A:12286989:12289085:1 gene:Dexi6A01G0010070 transcript:Dexi6A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCTSTTTVPAMLLILLLIHSVHFPAAAFYLPGSFPQRYRPGDTLAAKVNSLTSPTSKLPYPYYSLPFCAPQDGVHHAAESLGELLLGDRIETSPYRFSMLNNTTPLFLCRTDPLNPDTTKLIRSRIDDTYQVNLLLDTLPVMRYVKSPIVPDVLLRSTGFPVGVRADDGEYYVYNHLRLTVLVNKQNGTNRVESLMATADGAELIGFNGGGKEGGGYTVVGFEVVPCSVDHDPAAVKGRNMYDEIKSKAAAGCGPSVVGMRVQDNRPLVFSYEVTFVESSIQWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAIVLVIILRTVRRDLAQYEELGSKAGAQADELAGWKLVAGDVFREPSHPVLLCVLAGDGVRILGMGVVTIVFAALGFMSPACRGALVTGMLCFYLVLGVAAGYTAVSLWKTVRQGDTAGWKSVAWRTSFAFPGIGFAVFTVLNCVLWYNGSTGAVPFLLFLVILLMWFFVSVPLTLAGGLIASRVRHVEFPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVLALLVIVCAEVSVVLTYMGMCVEDWRWWWRSFFASGSVALYILGYAVYYLVFDLHSLAGPVSAALYVGYSLLMAVAVMLATGAIGLGASFCFVHYLFSTVKLD >Dexi2B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:2B:29058626:29059064:1 gene:Dexi2B01G0018850 transcript:Dexi2B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQHLGTSLVHVTDHVAASARLRLTPETYRDYSHGSGLRRSRTLQKVSGTSGDREGNGLLDSATCQDPRPPLHL >Dexi7B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:7B:13296418:13302565:1 gene:Dexi7B01G0005790 transcript:Dexi7B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRFRGLGHHHREKKDHAPPPAKLDELVYAAQEMDEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKAALNYDDDESGRVLMMLGKAQFELQKFVDSYRTNIINTITNPSESLLKELQVVETYLLSFMLMQEMKDICDQKRLLVIMLLRRGLKHLEALEPYVKAVAEKQHIDYHFSGLDDDSDIDDYSSYQDNHSDGSELSFDYGINDRDKDLPASRSPMDLDQAQPTSSPTPRPLKEHEQENAEEIKAAFVVPRVKPEIGTQSAPIFAENVPDPSMRFRKMNTSNRTFHSYKLPTPADDKNPTSVVPDPPAKSS >Dexi5B01G0021400.1:cds pep primary_assembly:Fonio_CM05836:5B:23693077:23698066:-1 gene:Dexi5B01G0021400 transcript:Dexi5B01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPYYPDQPVVDQYLPVWAKQPAFGPKPAFIWADDDDTTPSSSYATLTYSDLNAAVQRMSVALLDTVSRGDTVLLLASPGLRLVKLIFACQRAGLVAVPIVPPHPSNLAAHRHLLRAVSQTSPAAAVADASYISALMDSPPAFSSAALKRLRWLPVGLLETIPAGDGGEDDPSPATRTPTARCYKGCAAGEAYLIQYTSGATGEARPVVVTAGAAAHNVRAARKAYGLCPGSVVVSWLPQYHDCGLMFLLLTVVSGATCVLASPAAFLRRPRLWLELVDAFGATCTPVPSFALPLVLRRGGRRSGNVTRPLDLGSLRNLILINEPIYESSVDEFVREFGRAGLDPASISPSYGLAENCTFVSTAWCGTTEPKQLPSYKKLLPSARLPSSSLASSEPEIDIVVVDGQTGEPVEDGVEGEIWVSSPSNASGYLGQPSASREVFCATLPAGTSRTGARFVRTGDCGVVVRGGTERYLYVLGRNSDAIFIATDDGQRRVHAHYIETAAFGSSPDSLRGGCIAAFAATSASSVVVVVAELQKGRSGNVHLRSICDGIRRAVWEEEGVKVGRVVLAESGGVPKTTSGKLRRGMAREMLAGELIPKVFEALYDDEDDKGAATCALGADNETQVCGGTSWVVGEEAGGEVAGVVAMASGSTMLLMLLIAAWRTTPVLSHAKPEPGLDYGPGMKKAPSAAAVSKGGKVHASNQAAADAAILLRAKEEMAKRMVKHIEIIINSVKIAIQLKAALLVKSAEFMSAMAGEVSVHLTKVAQAHAQVAAADCVAALKLQQEILKKASESCKAITNDVAATHKARQEMLKGVAHDLVKAAGDIALSMKTMAEVAAGVAGGVSIEGNIHFRAGVNARASAKAQAAAAAAAGAQVSGGAGGEAKASKSAAISAGGDAGAGADANAGAGGDAGVSGGISKSATVGGGAGGYAGGSTDAGAGVGGDAAVSGGAKIGGGVGGNVGGKADANIGAGISAGAKTGAGVGGSVGGSGKAGAGVGGDAGIYKGAEIGGGGDVAKTTEVGGGIGGNANAGIGGSVGFSKSATIGGAVGGNAGGSAGVGGGIGGNAGGSGGASAGAGIGANAGIGAGVGFSGGAKVGGGVGGSVGGSAGGSGGAGVVVGGNAGGSAKANVGSAGGSGGAGVVVGGNAGGSAKANVGAGIGANAGVGAGVGFSGGAKVGGGVGGGIGGNAGGSGNANAGAGIDANAGIGAGIGVSGGAKVGGGVGGNIGGSANGNAGTTADVGISKTAAVGGGVGGNVGGSGNANAGIGAAIGAGVSGGAKVGGGIGGNAGGSGNAYTGTGAGVSGGGGVAASGSGGANAGVGVSKSIGTGIGAGFGVSKSIGGGVGAGVGAQGGIGGQANVGGNAGVGGNAAASASGGGKANTEVAGSKSSGGGGDFGYGSSAKEL >Dexi9B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:9B:6734523:6745190:1 gene:Dexi9B01G0010680 transcript:Dexi9B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDAVELVAGVPGRIEAVASHAGKLLVAASDSEGLFRGSDCSLRIYSSPASSPEGVGEIRRDGPYTLERQEQRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAVVSNKTKGANIFAWDDRRGLLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKIRSLRAPNALVQTAVLRDVQKLVQTDNCILAALANSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGDHDKLLDMPELARESSDVTDEMESYSLQLHESDDKSPLEVKKMSHNALVALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSESYKPKKPNKPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKEEGNWTEKTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKMNQHLRALSLYVHKLQMPERAVAYCDRVYVEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIVPVASQYPGIQKSSSTKFKGGRTGKKVVEIEGADEIRFTPSGTDSGRSDGDGDGDDASDGGPIMLNDALELLSQRWERINGAQALRLLPRDTKLQVNFFILSKISFDHDLVSFLEPLLRNSSEHLRNYLVIKNLIFRANLQVKDDLYKRRQAVVKIDGNSMCSLCHKRIANSAFAIYPNGETLVHFVCFRESQQIKAVRGANSVKRR >DexiUA01G0021130.1:cds pep primary_assembly:Fonio_CM05836:UA:43549965:43551392:1 gene:DexiUA01G0021130 transcript:DexiUA01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRLTNEAPTERCGDRFCTLPDAVVQHILGFLPALDAVRTCVLGRRWRHLWRSAPRLRIATADVPALRFVGSLNRFIRQVLLLRDPGAPLDECEFDLRGYSRLYGSCPDLWIRHCTMHRVQVTFKRDMKCCPTFINLKTLLLDDWCLAADLCALLCFLKHTPILENLILQLCKKPKPAVEMEDINRMGQSLALRQLKLVKVKCPIIDERVEIILKILRACNSCLDQVIVQEI >Dexi9A01G0042000.1:cds pep primary_assembly:Fonio_CM05836:9A:45565636:45566013:-1 gene:Dexi9A01G0042000 transcript:Dexi9A01G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSERRTFIDPVSFHPRSPGPPSRQPPTLPPPVLQAGSCSTRFCTSAIRRTRRSPRPSRARTAPVVGVSLWLTDPPPPSHMCFYLSGLKVTDLFIEPDVICLGNGITIIRVIYNLGVTFAVLSSS >Dexi3A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:3A:17288092:17288667:1 gene:Dexi3A01G0021670 transcript:Dexi3A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLISVGFIVLISMGLANSVRVARYSADGTGTGGGGGGGYVNGGGSGSGSGTGSADSGPNGVHATAGGGGGGGGTSQYGGSGYGGGSGSGSGSSTYSQGRYSGYGESSNAGGTGGGGGGGQAGGYWGSSAQGSGSGTGSGSSYANRYWYGPSYAGADANGNGGGSGNSQNGGGGGGSGAGSGYGNANP >Dexi1B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:1B:11183686:11184203:-1 gene:Dexi1B01G0011120 transcript:Dexi1B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVLIPMPSRDRDRDRDLLVPPAAVAAHASLATRAGADSNDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCR >Dexi9B01G0024910.1:cds pep primary_assembly:Fonio_CM05836:9B:23903262:23903748:-1 gene:Dexi9B01G0024910 transcript:Dexi9B01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRASTAIPRLLMSSSAASASPRFSSAASPRFSSAAXXXXASPRFSSAASASPRFLSPSPRLLGYFHYPGRMRDLAGSLYPTRSEPGVRFEPHAAASAKLSLDFLPGDAAVGFNLDAKCRV >Dexi5A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:5A:2981949:2982741:1 gene:Dexi5A01G0003990 transcript:Dexi5A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRTVMPLGHSQQHTSSTAGAAMAAAAAAAAASGSSSTPTITFTFHPPPTSSLTHHGVLGYSSSLLLDHPPTAATTTSSSSSHAPPSTIPPHNLQHLHAAHVSPPMRSSPPTHPWSTCDEGRDQGQGRQRGKGAAASEGLAAGHNVAVAAAALGVGAVRMKKGGGGGGGKARRKLREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRYYYTTTA >Dexi7A01G0022120.1:cds pep primary_assembly:Fonio_CM05836:7A:30523314:30524548:1 gene:Dexi7A01G0022120 transcript:Dexi7A01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRAIQLVLHVLKETLDTIDLPSLPENKLLTVADLGCSCGQNALDVADAIVQHVAEQCDARGQAAPEFCFYFSDLPSNDFNTLFHRLHDNYSTAKTSENGSQRRRRRPYFAAGVPGSFYGRLFPERSIDAFTSTFSLHWLSQVHREVVDERSPAYNRRNVFVHGAPEEPGVAYRRQFQLDLARFLSSRAAELRLGGAMFLVCIGRPSSASPTDQGNLGQHQQMLQDSWDDIVGEGLMDAEKTTRFNVPMYAPTLEEFREAVKADGSFRINRLEMVTGSSLVGDRPGDPSAVGRVVTNMVRSVFGVLIDAHIGSVLGGEVFRRLERRVADRAEEMVQGLRLPNVVCSLSLA >Dexi4A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:4A:18689696:18691321:1 gene:Dexi4A01G0015660 transcript:Dexi4A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSTASCAASDEEEECRDEAAALGLKMVAVAAILVSSATGVAIPLLGRRWRRRASPSSTTSGGGGLFVLATAFAAGVILATGFVHVLPDADEALSDPCLPAVPWRRFPFPGFVAMLAALGTLVVDFVGTHMCERRRRTEEAAATAAAGAGDGGREEMGAALLLEDGELVGRGRNGVEGRSDGGGRKEDDGMHSHSHAHGHGGASFDGHGHGHRHGDEPSQSRHAVVAQVLELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFNSFSALLMAFFFAITTSAGIIVGAGIASFYNPNSPRALVVEGILDAMSAGILIYMALVDLIAADFLSKRMTCNPRLQVGSYIALFLGAMAMASLAIWV >Dexi9B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:9B:13181876:13182481:1 gene:Dexi9B01G0018410 transcript:Dexi9B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASNTRPPSSSLACATNSCHVSGNGHPPEKSIFTTYAKSYAPPNAVHVSSPPRLAGDEDDDERTAVSLAPNAAELTPRAREASKSTPRDARNATDSGAWRSRRGSKSEKLGGRWMLPGYSVSMVTKGYLVSKEPMASSSAA >Dexi4B01G0023160.1:cds pep primary_assembly:Fonio_CM05836:4B:24609146:24612193:-1 gene:Dexi4B01G0023160 transcript:Dexi4B01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAEATDAAVGAPGRRAPRCARVWLRVRFSPPSFPGGVRSECLFLRMVVFVGQRPQGICHSTNGLLLDRHGEEKDQEAHRPRKPWSAAAAAPAMSPERKRMAGLWEREDFVQSLTIHKRLRKHRGSVNTISFNADGRLLLSGADDRTVVLWDWVEAVPTFSFHSGHSNNVMHAQFMPFSDDRSIVTCAVDGEVRHSQIREGGRVTIDKLVELDFAVHRLAVEPGSPYTFHCCCQDSSVWLFDLRAKDAMELFKCRASDHFTSDAIELFAMAIDPRKPCSFAVGGSDEYVRIYDTRKICLDGSSGGGHPTEHFCPPHLIGENKDGITGLAYSQTSELLASYSHENIYLFSREHGLHFNNIEVDERLLMDGTEPLPFCRDRLPIPKTFRGHQNKLTLKGVNFLGPNCDYVTSGSDCGRIFIWGKKDGELIRVMNGDRHIVNCVEQHPSGIVVASSGIDNDVKIWEPGEGEDPSIAPVDRVEEDMWLSSSSDSYSYLYDGDADNENDYFDHDMGLVDVTLYGNGDEHSSEEDEDASSEEDDSSDNSAKEDDDSDNGAEEDLDGENSAEDLSDG >Dexi5A01G0032090.1:cds pep primary_assembly:Fonio_CM05836:5A:34686879:34689330:-1 gene:Dexi5A01G0032090 transcript:Dexi5A01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPQQAGGVEVPTGGGAPTSPPLSPASAAAAALANARWNPTKDQVALLEGLYEHGLRTPTAEQIKQIAARLREHGHVEAKNVFYWFQNHKARQRQKQKQDTFAYFTGLLRRPPPLNLLFRPPAGGPPPPYPHHGRLPVPSPPPAAACSNNNNNADRHGVMYRPQFYMPAPQGHPANNPAYYHHLQQQQMPAMYPRMEVTSMISAAVQQHQHPGGAMYHQYQATAPGNASSTPLDVDELPSPAAAAGPTRQTLQLFPMQPTFLLPSDHKGFAAAAAVSPASMASASFSEESEGSGSPESDVEAPALPYYDFFGLQSGGR >Dexi1A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:1A:24746980:24747888:-1 gene:Dexi1A01G0017390 transcript:Dexi1A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAAGDDDMNSPQPSGGAVAGAPTALTTMGAAAGGVMTVGSVTTVVVPIMIFMAIAAGLLFLQHYFDADNQSHGRSQASRRRGGGGASPGRGSVGIARGGLDPDVLRSLPVTVYRSKESLVECAVCLAELKDSEAARFLPRCGHGFHAECVDTWLASHTTCPLCRLTVAKPDDASPSPSLALHPVPPEPPPSYHAANLPPPASVSNQGAVNTVAMASDDGDTSASAGTMAVLVIEIPEVAVATLLTPRGAAKTPGSARPRSFRRLWSFGRQGAGPSSSCSCGGAGEGADVEQGVSSA >Dexi8A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:8A:29747846:29749075:-1 gene:Dexi8A01G0017760 transcript:Dexi8A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDANTWASLPVDLLVEILRRLDTTDTTVVAFAGTCKPWRRAIIANVSSLKLHPDRFNPNLLLGFFHRQWLAGRHLPRLQHVPGPFDKMLRPDVAADTMASMAVDAKLYDEPLSSRDGFVLVGGSAAGGLCLCNPLAGTCRFIPSPVIGASRPCRFVLVTDPDEPPTATYAGGVRILAVMERDDCHYRGGMIYQIFSSNSGEWGPVRRSTVGDDHRFRFFLCDGDKDVVVCRSSVVYWLVTLVAHYGHDMRGRRCVLAVDVNDERAWMERVELPETIMEMGSGVVSDSMLALATTEDGRLSLVSQLPGQPLQVWELGGGGEWTLRRRIDVPEEVMIPSNCFLNVGHAHVHISGFCPRSGCLFGDVEGEDILIDVERGVVRRTRRYGVGRVTKYPYEMDWPTYISKMKYF >Dexi9A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:9A:8992215:8992824:1 gene:Dexi9A01G0013770 transcript:Dexi9A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRSAMAQAKKECGGVPALGWWLMAVGTVRLAFTWSCFFGSATYPQANVSDVHGRTVGVWTLLSCTLCFLCAFNLGSRPIYAATFLSLVYAYGHFVVEYLVFHTVRAANLAGLGFFAAMVWMLLQWNTYAPRADANKQA >Dexi2A01G0026210.1:cds pep primary_assembly:Fonio_CM05836:2A:37743773:37744655:-1 gene:Dexi2A01G0026210 transcript:Dexi2A01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCHLAAPLGLAPLPRSRAAVVAVSQCGFKISRGGVAIRATSGGEQATEDVPEIVKAAQDAWDKVEDKYAVATIGVVAIVALWTAVGALKAIDKLPILPGVFELVGLGYTGWFTYRNLIFQPDR >Dexi5A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:5A:24974886:24979722:1 gene:Dexi5A01G0021090 transcript:Dexi5A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding KARRLEESLQLCWAQDYSCERSSAVLRARLLGMNKMLSNDCLGTQEHHTFCKTTETLEHSYSQDIVLGKTAVGSTLISHQNGKLDCKTFVPYKFRSPFTVCFTAEVSGENTEIMEISLLQDEPDAAATSLLPLPLLSCGPRSMVPISVPSSSDLESILSPDPIYSDLQLKEINCNASAMDESTEFLHLILSGNDEGYNTTEFQVWDVLDFYVTENFSSLQFDSLMGFTNEVSTSYNDCMNLVDMVERPVARLSLDDTPEPDNTSNEVQVDHNTLDPDETSLYLQTKPIDSETESSSAAGDVVETEYLDQKLLSRGLPDLMDVDSPSRSTKTPVRTKHVTLVLDLDGEQALNVFQLQVDNGIPIKSWFDDPSDQELIELLPFLESLVDAEDVRPIISKAFHDKLDQN >Dexi2A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:2A:10840947:10849019:-1 gene:Dexi2A01G0009780 transcript:Dexi2A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIKPVSMLLHLLLILNLNLAALTTGDNHGQFVYSGFSDNDLVVDGATTITSNGLLELTNGTNQQKGHAFYPTPLQFVRSPNGTVQSFSTSFVFAILSVYTDLSAHGMAFVVAPSRNFSAAMPEQFLGLTNIQNNGNVSNHFFAVELDTIQNKEFNDLNANHAGANVNGLKSLKSYYAGYYAEEDGNFRNLSLISREAMQVWIDYDHKVSSITVTMAPLKIARPTKPLFTATYNLTGVVTDVAYIGFSAATGTIDVRHYVLGWSFSMNGTAPTIDTARLPKLPRVGPKPRSKLLEIVLPIATASFILIVGTVVFLLIRRHLKYAELREDWEVEFGPHRFSYKDLFYATEGFKEKHLLGIGGFGRVMQYLDSEMVLPEMSPADMRFHMMAIMQNEGFDDYITGSTASTASDDQFVYNGFAGVNLTLDGNALVTPDGLLELTNDTVNLGHAFYPAPLNFHQQHNGTVPSFSVSFVFAILSVHADISADGMAFFVAPTKNLSNTWAQYIGLLNSGNDGNASNHMFAVELDTTQNTEFKDIDNNHVGININSLTSLQAHHTGYCEDKSGFFNNLTLISGKAMQVWADYDRETTRIDVTLAPLGASKPVRPLLSAIYNLSLILKDKSYIGFSATTGAISTRHCVLGWSFAMNGSAPAIDISKLPKLPRLGPKPRSKVLEITLPIATAAFVLTVGLVVVLLVYRRLRYKEVKEDWEVDFGPHRFSFKDLFHATRGFRRKNLLGAGGFGKVYKGVLPKSKVEVAVKRVSHESRQGMKEFIAEVASIGRLRHRNIVPLLGYCRRQGELLLVYDYMSNGSLNNYLYHEDGQPTLCWARRFHIIKGVAAGLFYLHEKWEKVVVHRDIKPSNILLDNEMNGRIGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVHPSGILTVRFQIQRCMQTPFSLLG >Dexi9B01G0021960.1:cds pep primary_assembly:Fonio_CM05836:9B:16636336:16638150:1 gene:Dexi9B01G0021960 transcript:Dexi9B01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSVYIEPLPNCFLSPSPRKRAIPTKKAKKVAMDLSLLLASLAVAVAICYLLLRRGGGGAGGKQQLPLPPGPRGWPVLGNLPQVGTKPHHTMAALAREHGPLFRLRFGVAEVVVAASASVATQFLRTHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALDDLRAVREGEVALMVRELVRRGGGAAVALGQEANVCATNTLARATVGRRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALAWLDPQGVVRRMKRLHRRYDDMMDRIIREREAAEEGKDLLSVMLARMRHHQPLADGDDTRINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLAKAQEELDAVVGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVNGYRIPRGTTLLVNVWAIARDPEAWPEPLEFRPGRFLPGGEHAGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTATLVHALDWELAGGVTADKLDMEEAYGLTLQRAVPLMVKPAPRLLPSAYAVE >Dexi4A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:4A:7569064:7570971:1 gene:Dexi4A01G0009570 transcript:Dexi4A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMRSNPGHYVALVTHRPGKPAEEERRGAARVTRVKLLKPKDTLLLGQAYRLITVAEVTKALQAKKEERTRRAQQQPVVPLQPNKHAGGRLDSGV >Dexi3B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:3B:2617073:2621571:1 gene:Dexi3B01G0003840 transcript:Dexi3B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQILLRRLSAHSLGARAHGCRRLSSAVYGGGGERRRPSAQPPQEEESRAVRVAVWWDFENCNIPNGVNVCRLAPRVAAAVRAAGIRGPLSITAFGDVLQLARSSQEALAATGVSISHVPRSGKNSSDRSFMADLVYWIAQNPPPVHFFLISGDTDFANLLHRLRMSNYNVLLACPSYATSVLCSASTFMWQWDALVKGEDFSPKHFNHPPDGLHGSWYGYYKGALDDPFLEKESREPIKVPSDSQLCSVPSDTKHCSIPTYVTNAIVEALRLNPKGMRLSLLREELTRNNVYMGTDFFGHKKFTCLLQSMPDIVELIDSPPGENQQYAILANKRLQSGDGSSKTLSSAQRNVRENNFTQAAQNDKYPSLMSTPEAKPQSPSESVGRSRSLTETVSENPPTFSDSSSPLVVLSEDQKEYKTANESAQTESPAKHMEVDEKAIPGTPSSSGLENAVNKDGLLKRIWVLWNGPENAESKVSQNCESTSTELVDDLRMPLQEDSADHRVKLPISSDGEDSENMKRDPSLLENLEPCSRPASVSRSKAGEKDSSEKNEGLFSWASRWWTSGKSDAGNSRTKTVVDETTDSNEEFESSNASAGGRGQQLVNEIFAKAHLWDVLEQQLSKPLGSELVSKAKTSCCDFELELKGPVRLAGAEGLTRGVQGPREELARGLQKLGCWPLKGLTEKDLHHLVHLLISEQKWIEETSSRLFPFRLTLPHKRTCVPSNSSKSGVLSSIFVKGKPQKGKYADDNSRRNKLLTREEILSDCHKLLKELLSQHKYGFNISIFKRIFAQKHGYELDHQMLGKLGYPDLASLLQIMPDARIKFPRVLPMESGNGQAGSKGTGNQNNGDDLIWEELGPVSATTGTSASEVDKEMCYRPPTPSDDEFSDNDNQAGQQPRTHAEHSSLLQIIDSWNSSKDDGSSKKSQDIDGLVDCSKSNLGSLDNLTAENLQRPTRPLQKQYSFVSDSEEGKEKDKLVESVLGSLQKARSSKLRN >Dexi3B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:3B:13378475:13382136:1 gene:Dexi3B01G0018040 transcript:Dexi3B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYADGDKWEGVRLFYRRYGRGATKVLLIIGLAGTHDSWGPQIKGLTGSLEPADDEPTRPDEEAGAGAAGAAEAAPADGDDAGGDGGIEVCCFDNRGVGRSSVPPNKSYYSTEIMAKDALALMDHLGWKKAHVFGHSMGAMISCKLAAIAPHRLCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTKEYLDETVGSSTRRMILYQEYVKGISSTGMQANCGFEGQINACWTHKMTTKELDTIRSAGFLISVIHGRYDIIAQLCHAKRLAERLLPAARMVELHGAHLVSHERPDEVNNAFMDLIKASKSAMKPEEWSAQPENVSETGALISTGPITVTMRTDEGANAANAAVAVYNLLGKLQLSFLYLIGVIVMGFEHMRNIVKVMKPVRVAAIES >Dexi2A01G0034360.1:cds pep primary_assembly:Fonio_CM05836:2A:44337541:44338840:-1 gene:Dexi2A01G0034360 transcript:Dexi2A01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLYPLSLGINLACRKNIEDYMLHKLQIEESQVPKMCLDLYKEHGTTMAGLKACLVLGYEFDYDDFHACVHGTLPYEKLKPDTVLRQLLLSLPQRKIIFTNSDKAHAARVLEKLGLEDCFEGIICFETLNPTTEQDANEQKNADEADTDGGSREGSDDSNPPRKGILCKPSLESMEAVIEIAKLDAKRTVFFDDSARNIAAGKAAGFHTVIVGTSVLVAGADVALESIHNIKEALPELWDAAGEHVEAVLRPTAVETTVLA >Dexi9B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:9B:4396326:4397156:1 gene:Dexi9B01G0007250 transcript:Dexi9B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMELLHAVIPEKQHHEEEASLATSSATATSGEEGAHVPPQGWAKRKRSRRQRSEEENLALCLLMLSRGGNHRVQAPQPPVVPAAAPTAAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPASSSAAAADLAAAAPVVVEAPVPITAVPPPIEVREPATSSTAASSEGTAVSSRVHKCSICHKEFPTGQALGGHKRKHYDGGAAASTDHLMVATETSEVGSSGNGSAAARAFDLNLPAVPEFVFRCGKAGKMWEDEEEVQSPLAFKKPRLLMTA >DexiUA01G0005090.1:cds pep primary_assembly:Fonio_CM05836:UA:9237537:9238812:1 gene:DexiUA01G0005090 transcript:DexiUA01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLKIQTVSVNLTCTMEWRYAREPNITKYIKIAAMLPTKTIRDVALRCCWTPGKESSSRNLDGYYAGKNMSYTKL >Dexi1B01G0025810.1:cds pep primary_assembly:Fonio_CM05836:1B:30792370:30793706:-1 gene:Dexi1B01G0025810 transcript:Dexi1B01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSIASLNKSVIMVLVVLVLVCVGLLASPAAAATGGEEVHLVPAVYVFGDSTVDVGNNQYLPGNSALQLPYGIDFPHSRPTGRFSNGYNVADFVAKLLGFKRSPPAYLSLTPETSRQILRGLRGANYASGGSGILDTTGNTITLTKQIEYFADTKAKMVANVDSQGGSPSVDELLSKSLFLISDGGNDMFAFLKQNRSAMEAPSFYSDLLSNYTRHLLALHRLGARRFGIVDVPPLGCVPSVRVTSPDGESHCVDGANALAGGFNDLLRSLMANLTASGALPGATYSVGSSYAVVSYLTAHPAAAGFREVAAACCGGGRLNAETGCTPNATYCSDRDEYMFWDGVHGTQATSRKGAVAIFSAPTKMGFAAPINFKQLVSSS >Dexi9B01G0041410.1:cds pep primary_assembly:Fonio_CM05836:9B:41869599:41870933:-1 gene:Dexi9B01G0041410 transcript:Dexi9B01G0041410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLDHSMDFDEPIVFPLNNVGLQEGVQLYNSAGDTQLSRNMNTDQCLKGGKRKGSGEDGSSLHSLVRKLEAITVLSHWLSCSQSSLFKDVFHSTVLVNVLLQDQTGALLQREVGMECTDEKAGDSGTKREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELSFDLNLQDILCSQDANTAFPGNSPQMSSVHLNLFRVSQQGFSRSEAYGMTPNPANVPMSRPVQQLLQVPAFPWF >Dexi2A01G0033960.1:cds pep primary_assembly:Fonio_CM05836:2A:44111100:44114590:-1 gene:Dexi2A01G0033960 transcript:Dexi2A01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLPYWTTGWTIIAAQFKDKTARQCRRRWYTYLNSECKKGGWSQEEDMLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPYSNSNAKRVLAQTGCVTPGAGGSSLPKQMRSCNSNLKENIVPNMRLFGQEKGTQHDARQPLATISSNDQHNVNTVKSQDLATTAKPSIGPEHRVKQEGNFMKKDDPKVAILLQQADLLCSLATKIKTDDTSQSMDEAWQQLQHHLVKKDDDGVSENSGSGMASLLEELDDLIVDPYESKEEGEQNEQTQTDVGNEQCNGPSQTSMEVTSNMPPNEMMEDHSVDTCKEVSSLCRNILSGSMQAFPGTKIPASENLSKVAEDSMLQRVESTSPVLTDFDDYIIDPYESKEEDEQNLREQNGQIDVHDDQSLDPSQARMEVTSDMALAELMEACPIDNCKEDSSLCPIVLSGSMEPCPGNLLCSEKSASENLNEVAEDSRFQCMESTSPVQRISHTKEDGETPAQKDLNEAAEDSRHQCVELSSPVHTVLRAKSGAETFASPRIAVVAKDSKLPSTEFTSPAHTVATFQPYTDDMPTPKFTASERNFLLSVLELTSPGSKPETSQQPSCKRALLNIL >Dexi3B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:3B:5516581:5517453:-1 gene:Dexi3B01G0007800 transcript:Dexi3B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSHTRPAVRATYGRIQESEEDKPRDDARSHHHQPPHAASLHAPRHHSSPPPESPRPRARNATIDGEGGGGGGGGRRAATAAGRAVAGRGAAEATLPSTPTSAAWAHIAAFCALHRYLPGIDVCELAAGEDGRPGCVRYVASLHPPVAGAGEDEEREVASWAREELLEIDHGARRLAYAVVASSMGFGRYVATMTVVADEDEEKGCRLVWAFECDPVQGWSLDGLRSYLDAGVKGVAARIEDAEKTAAAPAAGGAPAEGGDAAIAAT >DexiUA01G0008600.1:cds pep primary_assembly:Fonio_CM05836:UA:16238217:16242888:-1 gene:DexiUA01G0008600 transcript:DexiUA01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSASLSSCQPSAISPHSLLSPVFLPPLAGYILDIDITKPVCLAARSTEVAWQWHARFGHLGFQGLKTLSKGGMVRGLPPIEQVDQLCDSCLAGKQRRRPFPATSKYRAQHLLELVHADLCGPITPETPDRKRLFLLVVDDKSRYMWLILLASKDQAAAAIIHLQARAEAESGRKLGTLRTDRGGEFTAHAFGDYCAEQGVQCHLTAPYTPQQNGVVERRNQTVLGMARSMMKAMKLPGWLWGEAVLIAVFILNRSPTRSVDGMTPFEAWYGIKPPVHFLRTFGCVAHVKVAGGHQQKLADRSMPMIFIGYEPGSKAYRFYSPDTGRVIISRDAVFDKARAWDWSSTAEPEAEGSEPFIVESLTVPIYRGAMAGPAGGETAAEPVAEPDASDDPLVCDVRRWNAYSGWDTDVVHALAELHASLRRRVGLAVDGHLGQEPPHRRRHLEPVPGEPDSDHHVLPEFPAEEHMHDCRITGPPAASTWLGMASSIHLATRSVISLSPARLFWPIFFFLARSRALRRRPPGWTPRVAHRRRLVGAAAVDHLTILGARAGWEANRGAPEQRRVRRAHAATGRRSVAAPSGHAPVTMAGFIPFYRPSSDATFRVLILLPAICHFPSFFALASLSSSSGRPKQSSTSSQSPARYSQAPPWISNPLQPRRHNLPSFLLDLPFATLGDRIAGNRRSPNGRPPLTTENHLHVELPSPATISSARPSSPCSIGFRAHFRGSPPADLGAIAAGRDRLVFCIVLDLSLVAGELAVGRNGRSKAALWSYVSVEFHTRNVCYKLPKAHTIKGAQGRRLTPDFSPDDPTKDPGSLGSQPGHPVNER >Dexi1A01G0026190.1:cds pep primary_assembly:Fonio_CM05836:1A:32183825:32185292:1 gene:Dexi1A01G0026190 transcript:Dexi1A01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHKSNPSSRKLSAAIVTPILVLLALALVSLYDMTFSNRLRPRIDRVSSPPSSSLPSPTNSSSSASPVTTVESCNLTRGQWVPDDEAPYYTNLTCPFIDDHQNCMKFGKPSLEFMRWRWRPDGCDLPRFDATRFLESMRGKSMAFVGDSLARNHLKSLLCLLSKEAQPVEVGTSPEIDVTGRAVQRDYHFGNHGFTISLFWSPFLVKANQSNATLGQWDLHLDTADARWAAHIAGFDYIVLSGTNWFLRPSLYREGGRLVGRNDAAGIAGGGNLTEVVPVPVAVRAAFRTALSAIAAREGFRGKVVLRTVTPAHFENGEWNTGGDCVRTLPFRRGERTLGAVEAEYRAAQVDALRETEAAARRNGVEMLLLDITEAMDLRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLLQMLIAHPS >Dexi7B01G0021120.1:cds pep primary_assembly:Fonio_CM05836:7B:26275698:26276360:1 gene:Dexi7B01G0021120 transcript:Dexi7B01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARATVCELAPQKAAASVPTPAKKRDAGKVVLQPRLCTLRSYGTGSGGVVTRRILAGEAEGSGNADSGGSAASPFFASLADYIESSRKSQDFETISGRLAMVAFAAAVAVEMTTGSSLFKKLDTMEIEEAAGVCVAVVACAAAFAWATSARNRIGQMFTLGCNAFVDSLIDNIVEAIFSESEIQDWSDDI >Dexi2A01G0035490.1:cds pep primary_assembly:Fonio_CM05836:2A:45115925:45119456:-1 gene:Dexi2A01G0035490 transcript:Dexi2A01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSTTMAPLAATLFLAVLLPIAAAGNGGRPRQGDYLNRLRGSPATPSVHVESSLAVVSPSPAAADRAPAAVGRKEDDFVSQLPGQPSGVDFAQYAGYVTVDATAGRALFYYLAESTGGAAAAASRPLVLWLNGGPGCSSLGYGAMEELGPFRVKSDGRTLYRNPYAWNEAANVLFLESPAGVGFSYSNTTADYGRSGDNKTADDALLFLLNWMEKFPEYKGRDFYLAGESYAGHYVPQLAHAILRHAAATAEKKGSSPINLKGIMIGNAVINDETDTKGMYDFFWTHALMSDQAAEGINKYCGNFSAAASNSDKCDSATSEADVDLNDIDIYNIYAPNCQSASLVSPPITPSIDNFDPCTDYYVEAYLNHPDVQRALHANVTRLGHPWSACSASTVLPIIQELLKNDIRVWVYSGDTDGRVPVTSSRYSVNQLQLPVAAKWRPWFSDTKGAGEVGGYVVQYKGKEKGSLSLVTVRGAGHEVPSYQPKRALVLVQSFLAGKTLPDCKKCESF >Dexi7B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:7B:10509102:10509395:1 gene:Dexi7B01G0004330 transcript:Dexi7B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDAQACPEEASKEGERKVGEVGTAAATDEDVVEGDGEGEAVAASCGRRRAAKRGEEVVEPAPWDAGGLTQQLVEQV >Dexi3A01G0029460.1:cds pep primary_assembly:Fonio_CM05836:3A:31311309:31316778:1 gene:Dexi3A01G0029460 transcript:Dexi3A01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNQLRREYPNPTEYRRIHCRISLPLHRPAPLSAHPSISLLLLPSLACFPRVVRLSFAIAPLLSPSLLLQTADLAAAAAAAAAPGGGGGGGTWPSFSTPFEGSFQGDDGYVGGEESEADGFGEISDSEPDEEDPAETELNVNGNSDAYCEPDEEDLAETELNVNGNSDAYREAYEASKTESNVSEKISHTEEVAGEDSDDEEEMTVDVDLSQEIYIDAAQAGVPYKKRKRHPRNVHRPNCRAPRMMSTMERALRSSSTRKTEHIFEPILGLVFDSREEAREFYNLYSWEVGFGVKLNNSRTGTKKNNKIDGDEEYRSMQEIVCQRSGYDPRVKSKTKRCGCPAKIQLLRTDDHGWHKWAKPWAKDKYCARMSSTQRSESANFMLKRFVPRNSSMNHFVSQYNRLLFDRDREEDIAEDKTKQLVVVHERLWAVERHALSIYTKAAFELFRKELMLKLDIGFIPEAHVMKRWTRDARDIIPENMSVFKKDHSVKHSFTFRRRMLQLKNAELVRMGDMDMELFDIVFGYMKVAEKQCKEVIAARERKALAVTATQQIEESDEYDADDDNIAVHSDGEALRGNKYGASGSSAGLSDTEIIKLKAPLVVRKHGHPRTRRYKGSTDAFRKKKPDMKLKDGPVSGRATMSVGTSIDLLTTSGNAGIEKEYVPVWLDMTLGDNLVAEQDADEQGKGQSTQANSAKGLGPQKGGCTPGLPRQTICCSKCGNPGHNKNKCVVAYVPKAPKKPRVTKCGKCGMTGHKGSQCYSLEISPTTAADYI >Dexi2A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:2A:23877911:23881721:1 gene:Dexi2A01G0014440 transcript:Dexi2A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMIRKLGTRDGSGDHSDISVNRQPAIPEHQDSLTLERSPKATPAVPKKVKDERKPARENYKTIPVVPENEIGEKKASTYRTFPVMKENDEKNTGMSGTKEATKKSTNGSRSPSPPTGKDGDTAKKDVKEAQSRNLEQYDARHTTVSDGKEKSRNEMKGMSSGNETVQAASVEYSCEEELPTRKHDDKRASRDAMKEKNKDMTVVESEAAKDEDRTFRSNLSEPDAAVRIQSAYRGYDVRRWQPLEKLRKIMNVQEQMKGVKKQLQCLEVPRHRSMRRQRERKGADGVGGAPLAINGK >Dexi8A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:8A:23797982:23800138:1 gene:Dexi8A01G0013630 transcript:Dexi8A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFLMFPAREYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHADLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVASKATAS >Dexi4B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:4B:5890136:5890861:1 gene:Dexi4B01G0008150 transcript:Dexi4B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHLATDAGKEITKKVGLVYQLNIAPKKLGVDEEIFVVDLKKGEVTKGPYAGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSIGAAQKFTPDIFPKPAKL >Dexi4B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:4B:8990080:8990550:-1 gene:Dexi4B01G0011550 transcript:Dexi4B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERFSLTVRDGAVCLAPTNADDKYQHWIQDTRPGNWIRDMEGYPPFALVNRVTGDAISSNGDGHAVELVLYNPCYLDASMLWTTSRDMAHGFRCIHSLDNISMNFDAVPDGGRLCDGNRVSLSHWRQNDYMLWKIAPWSFVKIIH >Dexi2A01G0022770.1:cds pep primary_assembly:Fonio_CM05836:2A:34580647:34581070:-1 gene:Dexi2A01G0022770 transcript:Dexi2A01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRDLDNSPEQAELRQFLEQEKEQLMAKQMVSKLISVCWDKCITSTPGSKFSPGETACLSNCAHGGSLT >Dexi2B01G0033630.1:cds pep primary_assembly:Fonio_CM05836:2B:41133988:41134422:-1 gene:Dexi2B01G0033630 transcript:Dexi2B01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESYRAGAAVVRGDAAACKKAAVELLGEIGLPKGLFPLDDMQEFGYNREAGFMWLIHGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKVIGVKTKELMLWLSIVEVYVDEAAPGKVTFKTGTGLSDSFDATSLELGM >Dexi9B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:9B:9008905:9010183:-1 gene:Dexi9B01G0013390 transcript:Dexi9B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSAAEQAGREAMVVATASLPSGAVTSSSSSKRKRDTEETESGSDEPQQVEQPTSPAPAHHAVLRTLPSLQGLVDVCRYELDGSTTPPTAAVVRLIRFNFFVALLLYGGRGVPDKIGPDDVGLGEELRFFNEVNTTGRKNEPIISCKPIFQCKNFEIAVFFLPQGTVMPLHDHPGMTVFSKLLIGSAHIEGYDWIRGPRVFSGPGSSKLAEKVLDKDVTEDSGAWVSFPDAGGNMRRFVAGAETHCAWRSGLMEARRQGGPLAWLHKMAKPRRLQIVNLTYQGPTIF >Dexi1B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:1B:8900411:8906617:-1 gene:Dexi1B01G0009630 transcript:Dexi1B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRGLRASAGASVAPTGGDESAAPGGSSATASRPPLRAIQQPRPAPAASSRKPPWPASALAPSRGPGARAAGKAPARRSASLAPARSLRKPASRLGIATTGAASSGEVSPFKVREAISFWEENRRSVQDALFMLQQHDSDAIAKIDRCSDEGSVSNTEDILSLQLELDMLKTILMEEVKAHAQAADRAAALGDELKAANFHILEARRQKEVTEKKLNKQRTSIEYLELELQERVIELNEMKEKGEVCREAEIATSEVISSLTEQLSSVKLQLDSSKKNELLAKQNLDEVKLQLDSSKKNELLAKQNLDEVKLQLDSSKKNELLAKQNLDESIEALMQKEVLEQNYISLIRGMEEEIRQLDSQLYQSNRFYEVRLKELEIKMQELDDEASTSLASWNKEREIAEQRQAYIEQLELGNDDLRIDVCELEKKVNFMEEEAEHQRVQRDKIEAELQNVKLQLQAVTSYGKPGSFLEDGIVDLADTTRYQNDMNIELSGAQEVRIFQREVSVGPAPQVEHSKESFSNEHMQEVDQSDVEMEKAQSDMVYWSENSHPSASEELGQISAGKQPELFGSGAYNVQPLSPYDSEQVRPPAVESVIEVLEVNELPPVAPVRPNDPRDYMRAPSDEMKRLRSRNHYEGPRTATDMRFWSIEQQDLYSSIYSKAKLFAMRWIDWGHIDRMDQSACVREQCAHLGLEMIMSYRCDWNSELIKQFYSTVHISDDKSSMTWMADGRRITTNKRAWEKMFGVPGGVQTKIHSQFLFDDDDKRILYSDAECTLGQTIGLSPLPSIANKIIRKTIYPRAGNTLHGHNWNLLHHIVEQQPFDIIALIFDEIELFISDRNRTKDQLLYAPYIMGMINEAFQYDGHKESTHLSYKPKVSYKLKRTKRVGGPPAHPVAAPSEQPPSTFQPEVEAHVDADHHSQFKAAGHWPHGEGEEAVKGQTVLAQAATPAPAVSSSELSSGMHTHNSSVSAQPAHMSP >Dexi4B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:4B:21284140:21287363:-1 gene:Dexi4B01G0019000 transcript:Dexi4B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRHHLPLAAAPAVAPDPATPSLVATRGALPPRWARLRSSLAASPSSEPRPTRSLTPPAAAAAGGRPTVLVTEKLGPLGLDLLRSFANVDCSYELTAEELRAKVSLVDALVVRSGTRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHTIALLTAMARNVAQADASLKAGKWERSKYVGVTLVGKTLAVMGFGKVGSEVARRAKGLGMDIIAHDPYAAVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTEKLFNDETFAEMKEGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFIEEPPPRDSKLVEHENVTVTPHLGASTTEAQEGVSLEIAEAVIGALRGDLAATAVNAPMVPAEVLSELSPYVVLAEKLGRLVVQLVSGGSGVKVVKVVYSSTRDPDDLDTRILRAMVTKGIVEPISSAFVNIVNADYVAKQRGLQIIEERILLDGSPEVPLDSIEVHLANVESKFAGALSDAGDIKVEGKVKDGSPHLTLVGSFSVDVGLEGNLILCCQVDQPGIIGKVGSILGKMNVNVSFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGETPFVEEFVFLKL >Dexi2A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:2A:11685916:11689245:1 gene:Dexi2A01G0010510 transcript:Dexi2A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAANGGASRRARPNLLVTGTPGTGKTTTCSLLAEAAGLRHVNIGDLVREKSLHDGWDDDLECHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYTGSKLSNNIECEIFQVLLEEAKESYKDDIVMPLRSDNVEDISSNVGTLTDWVNNWRPS >Dexi4B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:4B:14573666:14574994:-1 gene:Dexi4B01G0014080 transcript:Dexi4B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLGWFSSSGSNNWDLHAVVRFACTGASGRVAAPPPASNDSFSWLHTPTPSASEESSAGLPQPMTTDQLMDAATASQSQPLLTDPAVDDLCLQAFFASPKPETPQPSPPRIEAQTQRPPTDGPPGKQPRTSGRASGGRPSRSKRKSKKSQVSKEVTRVPVGGPPADLWAWRKYGQKPIKGSPYPRGYYRCSTDKECKARKQVERCRTDPGTLIVTYTGGEHSHAVPLHRNSLAGTTRNKAQPPSSPSPAGEENPTKPEAALSASATTTDTKSQGSPSASTGGLSPSTPLRSPSLGVDYEEDDDGVPVKLLLEGSTEMEGEDDVLLYLMPEEETAPGYGHGSGGYEEDVVLFPSLEGPSPAAIPSRTNGAEASAMNIGEEKFSTSTLLACGSEAASVTGNWG >Dexi4B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:4B:8588933:8589409:-1 gene:Dexi4B01G0011190 transcript:Dexi4B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKAVVSFLVLALLLLAVTFPMEVAAGGYGKGNGNGNGNGNGNGGGGNLNPWDCSPKCAQRCSKTQYHKACITLCNKCCAKCLCVPPGFYGNKGVCPCYNNWKTKEGGPKCP >Dexi4A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:4A:22346915:22352288:-1 gene:Dexi4A01G0018370 transcript:Dexi4A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGHHLRPSYAAALLILALQLSYCGGARSSSSSSSPATSPSPKVPAVIVFGDSTVDTGNNNAIGTILKSDFAPYGRDMAGGPKPTGRFCNGRLPPDFISEALGLPPLVPAYLDPAYGIDDFARGVCFASAGTGLDNKTADVLSVIPLWKEVEYFKEYQRRLRRHVGRVRARRIVSDALYVVSIGTNDFLENYYLFVTGRFMEFTVEEFEDFLVSQAEWFLAEIHRLGARRVTFAGLSPIGCLPLERTLNVFRGGCIEEYNQVARDYNEKLVAMLGRLMASRPKLKVAYINVYKNMLDLITNPSTLGLENVEEGCCATGKVEMSYMCNDKSPMTCEDAGKFFFWDSFHPTEKVNKFFAKETLDLCYEQLL >Dexi6B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:6B:23752292:23756421:-1 gene:Dexi6B01G0016510 transcript:Dexi6B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNDPPRHACPRVTQGTRPRAQDAVPRQPVQSLLIRSPAVIRLPPAASPALSRPGLVAPAAAAAEDYPVFAPSYDEEPLGGMNYIRQDNRSLSENWSGIGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRMACRNHPTVLQTALSADNLLRSASRMTDLTESKAVTTCNTCKPATISRDTDADAKSLKDLNSTAPLSNYHPVAFSRTRHKGPHILSWLIPKSKRKPKSDMSPNTIECENMSQLLKEWGVFSLESLKKEVTEANEHRDAALQEVSEMKSSLGELTTKLVSLEAYCSELKKALKQATSAKNMQSHSKRSTRSVSGSRDNSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQITLSDKQPKLVLYHLEALINQAMYQDFENCTFQKNGSPRCLDPKQDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDHKMSCIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLTILRVEENRAFDQLYMEDVFDKQRAQNHPSSQVKLMVMPGFYVQDRVLKCRVLCRYS >Dexi2B01G0022050.1:cds pep primary_assembly:Fonio_CM05836:2B:31736297:31738432:-1 gene:Dexi2B01G0022050 transcript:Dexi2B01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGSLSNESPNILLSDGPCFTEVALGGPLVGIDGRFHGMIFDLCHDAGESIKSAKFLSLKSLYDRLELFQILNPKQLHFRGYKLPKGVSSVVPSGFMKTSYRIRSLGYPMPPPLVLELNGKLRNQFEDRFGELRAWKGYPFGDLPNGPRERAWNKLQKEVVTNISRRVVSLASFNSDDTRSFAYTGLLISMYRRNSIHTVVLTSASLIRSHDNEDKIDKNLRAGIGGPLIGFDGSFVGMNFYDGSNLTPFLPRDKIINVLENVWEIPSERGYNPWHIDLTEGKEEN >Dexi1A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:1A:27212117:27220434:-1 gene:Dexi1A01G0020400 transcript:Dexi1A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTSAAAAATTTTAAVTTDDPSPSPSESTSATFTVDRRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPVSSSSSTTTTTSSKWDCFLSYRLSVVHPTDPAKSLWRDSWHRFSSKKRSHGWCDFAPSSSAAFLFQPNDALVIAADISVLSETASFADADGRFTWKVLNFSLFREMIRTQKIMSPAFFPAAASAGGSDCGLRISIYQSNVSGADHLSVCLESKEPVVQVASGSSASALPQSGAGSGVPDGDRGCWCLFRISILNQRSGGSHVHKDSYGRFGADNASLGWGDYIKMDEFLAADSGYVVDGAVVFSASVHVIKESNSFTRSLPMVLGIGGSGGGRAGARKSDGHFGKFVWRIESFTKLKELLKKRKITGQSQPPCHLSVFLEVTDPRNTTSEWSCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETVTMQELSDADSEICSSTSGLQIEALPKRPSFTWKVENFLSFKEIMETRKIFSKFFHAGGCELRIGVYESFDTICIYLESDQSSGYDPDKNFWVHYKMAIVNQKNSAKTVCKESSICTKTWNNSVLQFMKIPDMLDTDAGFLVRETVIFTCEIIDCCPWFDFSDLEVWASDDDQDELSTDPDELVDSEDSEDMSGHEEDMFQNLLSRAGFSLTYGDNNTQPQVAMREKILTDASAIAGFLTGLRVYLDNPAKVKHMLLPTKVSTKSGGKKDASKCDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEESGSSVSTKASPDSNGVSSPSELSGEGELTECACSNMYVIGEPNSNDIRGSPAIQDADLATSEVTANNIEHSYFPPETSATDLPADEGPNQSARVFGALSELEFEGDIWKQASVHALELLSDSNDEPLVAAITYVLKVASHCQHLALAVRAIRWRLRDLGTEVPHCVLDLLSKIIQSQPDVAEAILKDIDSDCEPDNNCLSSTSSCSTCSTDGLSAEGMYSWQEQPVHGRNNLSDVFALIEMLSIPGLFVEVAQVFERALLRGAFGLQLVAMVLERRHSYRSSSKSGSVVNDSQNKQVLLDGQPESLSVQENDFTSVLALGEVLSLSSETKVQDFVRMLYAIIFKIYCEDHYRYRILKGLVERATNTSENCRAVDIDMDVLVFLVKEEYGIARPVLNMLREVAEVAQADRSNLWHQICATEDENVRLREDMEMEQMNFTNKKTALNQQITESEATIGHLRSELKAERDRFTREKKMLSDQMREFENQLEWVRSEKDEQIAKLSAEKRNLQVRLNDAESQLSMVKAQKREELKVEVVQYIRTLETSLQEEMARHAPLYGVGVESLSLDELEALANIHEQSLRQIKTIQQRKGSSHLLGGPSLSHIPGLFSSPPPPSPVGTPSSRIPTSPIAPNGARIHRNGHAPGRWFNPT >Dexi2B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:2B:5920799:5921224:1 gene:Dexi2B01G0006320 transcript:Dexi2B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRMWVRAHSERRNRRGMHLLYKDVQSCQDEDVQVLWSILIDSHRHPALLKLKL >Dexi9B01G0042420.1:cds pep primary_assembly:Fonio_CM05836:9B:42679011:42681834:-1 gene:Dexi9B01G0042420 transcript:Dexi9B01G0042420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIQNIDHFSFPGHRKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Dexi7B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:7B:9350883:9351657:1 gene:Dexi7B01G0003760 transcript:Dexi7B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAHPRFPLLELAYDDTHRAHMITNQNMVLPCLRARVHAPMHWDSRYAPYHFNVCPVDADDDIIERHARVWLWHFVSSFLFPRRMGVL >Dexi5B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:5B:2361042:2366218:1 gene:Dexi5B01G0003510 transcript:Dexi5B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGQAHLIEVVRPVCPSLLVRLQTLSLSDRLLASPACASSIDAVLPLVYALVAWWATGLERRAAAARPGGAWTLAALVQCNTGLVHAADASAGQASRDDRTGPNKKRNFHIITTTPDHNMETQLYEAYLCIVGWEVTGGWGLRAISLLTLIDHLTGDARVSYGEGLYNTQRWIIDPASGKDTVLCARHSFLRRMSGGSFLRHTTCGAPQSPGTFLIHWAPTTIHQRTMPPWPPATSSLADAPPRQHTSASPATIHQHAMPSWPPPASRCATAAALAPRDKLRPPPTGRVDPRASAMSTPRQRLSGGVSGRAPPWWSPTAAPRSHSSCLLRKHGSGSTRSVHRIGALKLRARRARQDEARALFFRPGNIRVASAVRSPKAPTLLCVVSSARELSTVARTAGRRVVSTTVVGGLDSERSPVSPWPSHHSEWVCAFSPGPGRKHGHMRATRPVLDTQPAPGAQPGSVTTRSLSTSSPCSFWSFMSDRHTLPGIRGSDRIRPSVAINPSRACRIQARTTV >Dexi5A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:5A:1119753:1120986:1 gene:Dexi5A01G0001580 transcript:Dexi5A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNTVRVAVGILGNAASMLLYAAPILTFRRVAKKGNVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENFPVSTINGVGILLEITFISMYIWFAPRDKEASHLMFFISFWQRFALQLVLPVLTLFGLTAFFSSFMVRTHRMRKVFVGSVGLVASISMYSSPMVAAKQVIATKSVEFMPFYLSLFSFLSSSLWMIYGLLGRDMFIASPNFIGVPMGILQLVLYCIYRRSDAAVGKLRATATDQEKGLKAVVPMHPQDTTASKPEGQK >Dexi1A01G0028220.1:cds pep primary_assembly:Fonio_CM05836:1A:33923579:33926600:1 gene:Dexi1A01G0028220 transcript:Dexi1A01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRPDKGGFYDMFHLLWSCKVAENAAVDCPAGTEIADRRRRWAVFVSLVAQVLLLWVKKPVTLLGKVTEYWMNLLHDWRWRPHGPRQSSARLKGKQRLIERCNIFPGKLKFPDRSSPNYRSCIGLLDTRVELDKEIKHGDSNYNAALSIMAAKLAYENELVIKIVVEKHWKMTLLACYNCWNDFQGDYTTQAFVLADKAADATLAVVAFGGTRPFDTEQWCIDVDISWYEVPGIGKIHGGFMKALGLQRHGGWPKDLDDGDHRKPPFAYYAIRETLRSFLSENAGARFAVAGHSLGGALAVLFPTILALHGEEDVLARLEGVYTFGQPRVGDEEVGRFMGRYLDRPSRYFRFVYCNDIVPRVPYDDSALQFKHFGTCLYFDSLYQGRVVHEEPNKNYFSLLTVAPKVVNAAWELARSFLIVYVAGPEYAEGWLMRLARVAGLVLPGLPPHSPRDYVNSTRLGAGSLGPLS >Dexi4A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:4A:2551528:2553869:1 gene:Dexi4A01G0003540 transcript:Dexi4A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPGRRGVPSLLNPSSSSSEEQQEHIASDVTQARTLSLSSSFLIGWTPLIELKRITDKDGVDARIIGKVEAYQPLCSVKDRSALRMIEDAEERGLITPGVTTLVEPTSGNLGLGIVLIALRKGYRFVAVMPGQYSLDKQILLRYMGAELYLTGPEIWKDTAGKVDIFVAGSGSGGTVTGVGKYLKTQNPGIKIICVEPAESPGIGPGFIPEVLDTSVIDEAVTVTTEEAMVNARRLAKEEGLL >Dexi9B01G0021140.1:cds pep primary_assembly:Fonio_CM05836:9B:15791575:15791906:1 gene:Dexi9B01G0021140 transcript:Dexi9B01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQERKTGEDAAAVALWAWARMKSGSEVAPRPAHGAEAPDDAGREAEDYLAEHIVGETAAAGDAHDGFHGSDVVHACKGYATRVAWCVTRGLCHRFCPLPETGSQIKP >Dexi9A01G0039850.1:cds pep primary_assembly:Fonio_CM05836:9A:43641267:43646078:-1 gene:Dexi9A01G0039850 transcript:Dexi9A01G0039850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSGTGRLARMAEWSYTRRGRRSLSLSRLLQIPFPTLRHHARSPATVLRAGRGPCLSSSCTAMDEEAKTGPKSDTNTNAAAAAEAAAAAAAPPPPPPSSSEAAETEAMVEEPEEGGEVVERLVELVGEIAAISDFRNSYRRQFCNLSRRIRLLVPMLEEAKEAPTPLPVASEAALCRLKEALDGARELLRLGSSGSKIFLVLEREKIMKTFQDITERLEQALAGISFDDLNISDEVELVHAQFKRAKERSDSPEDDLFNDLMSIYNSSSSSDVDPDILRRLSEKLQLVTIYDLNQESLALHEMASGGDPGAVVERMSMLLKKIKDFMQTQDPDMGAQASTTDIPSKDNSTSPVIPDDFRCPISLDLMKDPVIVSTGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNFVLRSLITQWCEANGIEPPKRPAQHSKAPGPCTAAEHSNVVELLQKLSSQNLEDQRGAAGMLRQLAKRSAENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSIVDENKVTIGASGAIPALVQLLSNGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILLELLTESESGMVDEALAILAILSGHPEGKAAISAASAIPVLVGVIRNGSARNKENAAAVMVHLCNGEHQQQHLAEAQEQGIVSLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQAEAMAQAQAQAQAQAQAQALVQAQAQADMPVERSLLPTSSHIPD >Dexi9A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:9A:3754225:3756834:1 gene:Dexi9A01G0006590 transcript:Dexi9A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSLYYCSCLLLLLVLAHLLYSLAKCYYGSGHRGGLRLPPCPWQLPVIGSLHHVLGDLPHRSLRRLSRRYGPHLMLLRFGEVPVVVVSSGEAARAVMRTHDAAFATRPQTATVRILSKEGQAIALAPYGDHWRWLRKVCAVELLSAARVRSFQPVREMMAARLVGAVASGGSSDNKLVNLSEMMAAYVADTAVHAITGRRRLLHDRDGFLRYVDEAIRVASGVSLADLFPSSWIAGALSWRQAHKAEVYRQGLFQFLDAVITEHMERKSQDRQGKLQEEDLIDVLLMRNPDVMSRAQAEVRGAFMSQSKVLEEGLSNLTYLHWVIKETLRLHTPGPLLIPRECQETCKVLGYDAPKGAMVLVNAWAISRDPQSWEEPEQFKPERFQSDTRDFRGNDFEFTPFGAGRRICPGMSFGLANVELALANLLFYFDWSLPDGILPNEVDMTEAMGITARRKSDL >Dexi5A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:5A:16065007:16065942:-1 gene:Dexi5A01G0016320 transcript:Dexi5A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAVAHQHQHHHPQQGGGSGLVPLAALIKEDARTERCSGGGGDVGSRICARDEDVGGSGAGGEAAEEEARRQRPLLRYGCAAQSKKGEDFFLLRTDCPRPSTSASSSVASPYPTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGFVKTDKEFQSKGMMLH >Dexi1A01G0024390.1:cds pep primary_assembly:Fonio_CM05836:1A:30951696:30959519:-1 gene:Dexi1A01G0024390 transcript:Dexi1A01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVREGASQVPSWAPSESTAFRAYAAAVRERAEASPSAPGNGVSARSSNLRAVSKRPDTTLQCHPSSCLFMQFVARLTTDITQTFEKCNPEFKYSESLNPKRFLTNPAVPVHNDGLDNANSDLILYVNLELVNRKSNRRYVVQEMLGQGTFGQVAKCWDAETNNYVAVKVIKNQPAFYQQAIMEVSLLSLLNEKFDPDDQHHIVRMLDFFLYHNHLCIAFEMLGNNLYELLKRNNLRGLQMKYVRTFSRQILDALIVMKDAGIIHCDLKPENILIAPTVKTAAGVKVIDLGSACMEGKTIYSYIQSRYYRSPEVLLGYPKDHMEVKQIYSHLLFCSDAEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPVQETPRIPVGRAAVVDHNPGGGHLLGAGLSPQVGSINRCLRLNNALQPKIPLSYGSSCGSHCSFNDNAGLASSYGSYDFNCINIYNSPMDPSGFNLRSQAGGSFLGSSPDIRRRPHVSHGGGFRLSPGGPGPMSLGVSPSQFTPPNSQMQIPGANGKYGASPSRGAHGSSLGKAATVGQYNRRRNQGYPPMPMPPHEHPSQLIQGHQSDVSAARFDAYRRGNSGNLHSALPNSGHYGWRPQRGVSSGVPSDPSCHGSFPPTNYNGFPPLHSPDVSADTLPSTSSIPDPADWDPNYSDESLLQEDRSLSVELSGLHLRDASGQTNRSSRLAPFQSHDISNSNPSASNQRYNIIFMMWFYWRESWSLVCSKRTATFRCKKGFWEDLLVMDLEERGMPPN >DexiUA01G0018860.1:cds pep primary_assembly:Fonio_CM05836:UA:39543190:39547762:1 gene:DexiUA01G0018860 transcript:DexiUA01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTHFEIRVQPNDTIMAVKKKIEEKQGKDNYPWGQQMLIFNGQVLKDESTLDENKVSEDAFLVVMFSKSKTAGSSGASSAQPSSTPATRQAPPVDAPQQPHQPPVAATTTSQPEGLPAQAPSNTYDNAASNLLAGNNLDTMISQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPVTSEVAVPVGGQGEHTTGQAPGEAGLSGIPNAAPLNLFPQGGSNAGGGAGGGPLDFLRNNQQLRINSISIVLQFQALREMVHTNPQILQPMLQELSKQNPQILRLIQENHAEFLQLLNEPFEGGEEDFLEQPEQDEMPHAISVTPEEQEAIGRLESMGFERARVIEAFFACDRNEELAANYLLEHTGEED >Dexi1A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:1A:27334253:27338056:-1 gene:Dexi1A01G0020510 transcript:Dexi1A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQRRNPSNRSHSTSLRPPRPPRGPINQQPPASRALAEPSSPDGRQRKKVRFANEGEDGGQHIGRRQVTNTREIAKNKLQVCDAKTAEYKFFMKLCEQSGHSSHSYNKHPHQSIEPKISRQKRESQNVTTLRKFSVRGNTVCYDDPPATPAKNEEIPSEQGNVQSSHSEYDNKDAPQFNPHCCLSSVHVLTPIAQTPFDVTVTSGNIDGEPVSGQIFLEKRSKLLKIAAKTVSMGSAELVQRRSEFLGDILQRLGAKNIIKKKEELIRHRKSDCREAPAIPISQFDSLLDYRQRDFTSSTNLRRTGKNSSSNANDEACEFMALPWGHNQGLPSCIDWKNALPHGDSKARECMALPWVCVNDVEPYIHRRPASANELSLKVQTDSNGQLGWSPMWSVELAESFRDRLSFPCQIEEQHYAVPYAFLNTSWQPDHHSAERRVSSSVGLEREDPNDPGSFDISDTRFSTRFDRLPAKSAASNFLESGNGILENNDFTCISNFHSSQSNNMVFSANTDCLNSMFSSSEHACEMGRKSLHDSAGGVSCLAGLQEKHSREVELSDNSDRLLQVLDQVPVKFTPSVFSNDESRIQDDHFLRYITSCHPEEHSNILTLDANDTVLNSLSSYSAHPCKPDSNSLHDSSTELWSSVHQVQSHSDWGTMLGFMPNGNACSDLVEGHQSLMLVQGNLNNNILGTADLSFFGSCYALDKIREAPMLSSDGITW >Dexi1A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:1A:2041563:2045567:-1 gene:Dexi1A01G0002860 transcript:Dexi1A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHESGGTRRVATAAACLLLLQLCSCLAAALPMKSGDGGGAKYKDPTQPLNTRIDDLLGRMTLAEKIGQMSQIEREKATPDVISKYFIGSVLSGGGSVPAKNAPPEAWVDLVNGMQGGSLSTRLGIPIMYGIDAVHGHGNVYKATIFPHNVALGCTRDPRWGRCYESFSENPELVQNMTSIISGFQGEIPAGGALGVPFMAGQRNVAACSKHYVGDGGTTKGINENNTVATFHELLSIHMKPYYNAVIRGVSTVMISYNSWNGVKMHANQFLITDILKRQLRFRTGKDLTESPPLNMLTTLLNNGTIPMSRIDDAVRRILRVKFTMGLFENPYADHSLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDKPLLPLPKKAYGSILVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKHTVFPGTDVVYSENPDVSFIQQNKAKFDYAIVVVGEPPYAETFGDNLNLTIPAPGPDVIQNVCGSIKCVVVLISGRPLVVEPYMNTIDALVAAWLPGTEGMGVADVLFGDYGFTGKLSRTWFRSVEQLPMNVGDAHYDPLFPFGFGLETHGSAY >Dexi1B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:1B:1972891:1976303:1 gene:Dexi1B01G0002420 transcript:Dexi1B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCAEPPPKLKIPIPSEITEMTEDANHDSPKLEKRTRMHHIERHRSCVVTLSDMELNDSYPRRLLQTLEVSKSPGGGSQCSLHEETPTDTNASHRHAIADAAWEALKRSIVYFRGQPIGTVAAIDKSQGAALNYDQGIYGYPIEIQALFFMAMRCALSMLKQDSDADFVSHITKRIKALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPGRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGVMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHLARRAMEMMERRLAKDDFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSHLRIIALEDDGHSRFRAPCLKRSNSCP >Dexi8B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:8B:201180:201687:1 gene:Dexi8B01G0000240 transcript:Dexi8B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKELGSEAT >Dexi9B01G0034480.1:cds pep primary_assembly:Fonio_CM05836:9B:36426388:36427799:1 gene:Dexi9B01G0034480 transcript:Dexi9B01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPDLSTMINSLRAGGGRANGDGSKKTRTRDRPKAVPAPEANAELQVNIDVDLADNMSLSISCRNGDKKLRQLGYDWFDISSVKLA >Dexi7B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:7B:14197591:14198878:-1 gene:Dexi7B01G0006470 transcript:Dexi7B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAPATTTTTAVAVAMVVVVALLCGGVARAQDMGKEWARPPYRGFFGAPGSMLPQSDVDLLEFPLNLEYLETEFFCWSALGYGLDAIDANLTGGGPPSIGGQSASLTPFVRDVATQFCYQEVGHLRAIKQTVRGFPRPLLDISAANFGKIVEQALNATLDPPFNPYENSVNFLIASYIIPYVGLTGYVGANPKLLTPQARKRGMTRVASYGVGVAEITAHISDLRNELGRRGVKDEGLVVAPGQGPEGLTVGNVIAGDHLSLAYDRTPEEILGIVYGTGNPAQHGGFFPQGADGRIARGFLVA >Dexi3B01G0037550.1:cds pep primary_assembly:Fonio_CM05836:3B:40329934:40330865:-1 gene:Dexi3B01G0037550 transcript:Dexi3B01G0037550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAFQSDRRIKTSAPSVQRQEMQRWHPPPVDVLKINTDGSFREKEKDGAWGFVIRDSEGHGVLAGSGRLVAVHDALSAEGEACLAAIHAAMARGISQVIIETDSANLASALRSTSFDQAVGGAIFREARDLLSHGCAGLGVRSVEADTPGSVKCGLYIRERLHHLGRRSLRRSTCRRCTPTSSKMNWPGDAAVLVFDIPTETFQIFPTPPPS >Dexi1B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:1B:21319906:21323526:1 gene:Dexi1B01G0014920 transcript:Dexi1B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPAVDGMETPEIFSGGGGGATVVRRAVLIGNGSPGAENQCLGLARALGLADNLTLYRVTRPSGGINEWLHFLPISLHKFIDQVLRQFFRNTRFAIVVQGRRPYRVPNAGSVGLSTVLEADVKKIVTVARDTYEKEGPTLVVACGWDTISYSSSIRKLASDNVFVIQIQHPRSCLDRFDLVVTPRHDYYALTASGQQEVPRLFRRWITPQEPPRSNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLVVNIGGPTRNCKYGVDLAKQLVTSLYNVLDSCGSVRISFSRRTPWKVANIVLKEFAGHPKVYIWNGEEPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVIGTEHCKWKFSAFHKTLRERGVVRPFTGLEDVSLSILPHDHLP >Dexi3B01G0030140.1:cds pep primary_assembly:Fonio_CM05836:3B:29602283:29603503:1 gene:Dexi3B01G0030140 transcript:Dexi3B01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSQQAPRRRRLPPTAKPQAPTDTTIHDLGDDLLLAIFLRLPSLPSLVRAAFACRSFLAAVRSSPAFRRRFRDLHPPPLLGLFFDPCGPYVPSFTPLRRQSDPDLAAAVRGADFFLTRVPATDDASPGWGVLDCRGGYLFLLNSEDEETATYNPVTRVLDLIPLPPDEIMDEVLACHGVHLISSDEAPGSFRVVYVFSNESRVRATVFSSCTREWRVLPWEEPAPEQQGWLPQGTQVNGSLYWAHKEQAYMMVLDTATLQFSSIDLPEQMKGQGHLYRVGETKDGKLCIVRVIEFDMFVWHRGPDADGADKWKLGKIFRLEEDVLKATGGSHLEHSVLKVLEIMDGIVYLFLQTSEDAHLLCWFLTLCLKTGKLDKLFRKQNDSHVHPYIMAWPPSLVSEIMNP >DexiUA01G0021730.1:cds pep primary_assembly:Fonio_CM05836:UA:44946577:44951011:1 gene:DexiUA01G0021730 transcript:DexiUA01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMAAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRAPRFRRPMRYRPYF >Dexi3A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:3A:41411:43965:1 gene:Dexi3A01G0000030 transcript:Dexi3A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALDLYHVLTAVVPLYVAMTLAYGSVRWWHIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLSFLALASRLSHFLSLDWSITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEYRAARSLVMDHFPGGAAVDIVSFRVDSDVVSLASADKGDLETDAHVAEDGRVRVTVRKSTSSRSEAAGSHSQYSQSMQMQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGGGDEEKGATGGHSPAAAKRKDLHMFVWSSSASPVSDRAAAGAVHAFGADHGDVLAKGGGTQAYDEYGRDDFSRAKNGNGADKGGPALSKLGSNSTAQLYPKDDGEGRPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGIIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNKLATYAMAVRFLMGPAVMAAASLAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >DexiUA01G0010720.1:cds pep primary_assembly:Fonio_CM05836:UA:21260781:21262950:1 gene:DexiUA01G0010720 transcript:DexiUA01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVIGLLQMVVKKGCESCRKWQEHYYWEHMDVTKIRFFKIMAGDFTQGISIPEKFVKNFNGHITKGLDLKVPSGETWHVGVEKHDEELHITSGWKDFVKAHELKENDLLTFTCRGNSKFDILIFEASGCEKLSSLFSNRIGHDLHKHLNGMGQHAEPYSPTDSEEATMPPAKLGGSTHMASNSRKCNCKTKPTKESQSLNSSSYHVKHESSEEEESDDSYAHSKFYYSRTGNQLTEEEKENILSLSSIQPENPAFVTVLQKTHRQRRCNLLVVPSRFAADHLQERTHEIILCRPSRNDKWFVRYCYTSYTRGFQNLQFFNFVHEHKLREGDICVFELMKGAKRVTMTVHVIRKVHDRFVLVR >Dexi5A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:5A:4155244:4157154:1 gene:Dexi5A01G0005610 transcript:Dexi5A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSAATTTTRVALYLRRARLIDSLRLRLRSSPPSSPPPPPPPDDPVVALHAIRAAPTPSSALSLFRALPSTPPPPLPLYHALASRLASLAALPDLRAHLASFPLPAPPLTRLRLLAAAGDRASALETFGSLPSAPRRPAEAHNVVIELHARDGGHAAAVEAFRNMVREGALPNARTYTVVIAHLASAGFVDEALEVFRVLPSLRVRRTTRQYNVLAEALAAAERFDQLRWLVREMAAVDGVMPGPQMRAAIAAMREAGHIDGTEDFVEELSPNARIGYAVDDVEGEGDSEEEEEEDGDNGDVNHHDRGRGNSEKQTLKPWLDPRELARALDGWDPREVAELEAAGIIWTPRLVCKLLRAFKKAETAWDFFCWVACRPGGFAHDRHTVARMVAILARAGHVELVERLLAKVRADGIALPFATVRLVIDFYGLSKKADAATRVFREAEFICGPISPPNLALLCSSLLRTMAKCRRALDAMDLLDEMMARGVLPDLQTFSGLMEHLAGAGDLKGVHRMLGLVRQCELQPDGYMYSVLVRAYCKRERAALALRVFDEMRAAGVAPDAPTKALLVKSLWREGKLREAALVEERCEDMAGGLPEASPGHVWTASAADLNKVLDIYSGCFAQLADEAGTG >Dexi8B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:8B:4161732:4168142:1 gene:Dexi8B01G0004570 transcript:Dexi8B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPVQPPSLAVDDFYNDDGFDWEAAVREIDEACARSSVSAPAPSAPAHHSLPPRHPEPSVTAPLHRPPAAAPAGGGAARQSTLDRFVGSFTKRRQEEERPGPATASAPAAGVEPSGGDAGRPGGRAGEGCSRQADEKAVEDRFVESFTRRQREKETAAPPAATAGGRKRPAARARKGCTPRANVEVELDPCAVALDHEAVQTWIYPRKIIFAAPSRPLVAQQIEACHNTVGIPQLLAAHVPLRILALTATPGSKHAGIQNVINNLYISELIYRDEEDSLVKDYVNTRKIQLVTVPVGSDVNQVEDMLLDIIRPRLNRLRDARVIDHRDYAKGVYMLKFINVLVYRGSLNLMRKNELFWQVKEKMKLVSSQGLTPKIQELIKQIVEYFGLLPIHVLNTDCLDTDKNDSKDSRIIVFSHFRGSVKEIYCSLQNINDKIRPVEFIGQSSAGKWLKGQTQKTQQAILQKFRNGEYNVLVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGQVDILLW >Dexi5A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:5A:22069758:22070382:-1 gene:Dexi5A01G0018520 transcript:Dexi5A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMPQRAAKKGGAAAPPPPDACVADIDPKHEWLDDASTYIIHLNLPGFKKEDFKVQVDSGGRLTVRGERPAGYVRFHKAFQLPLTANLDGVAGRFDGAVLSLTVPKQQRMVSGTDMVAARLAEAKECAAAAARRAAASEAGTTMTWAEALGGRGQMVAAAVAGFALGAFLAHRLLSVTNS >Dexi3A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:3A:9340940:9343017:1 gene:Dexi3A01G0012790 transcript:Dexi3A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAPHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIMPDGVNAKLLGNHGRLEKRAPGKAFLEAVA >Dexi2B01G0026080.1:cds pep primary_assembly:Fonio_CM05836:2B:35238833:35240678:1 gene:Dexi2B01G0026080 transcript:Dexi2B01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPANVTREIWRTQRGDGPAAVLAIGTANPANCVLQDEFPDLFFRATKCEHLVSLKEKFKRVCQRLGVAKRHLHYTEELLSAHPEFLDPNSPSLDARLDIVKTAVPELAAAASRKAIAEWGRPATDITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRSMLYLNGCFAGAGALRLAKDLAENNRGARVLVVCAEINVLLVTRPEEECFQMLVKQGLFGDGAGAVIVGADPTTTNTAAERPLFEIVSTAQAIIPESEDFITMHLTKSGYGGNISVRQIPVLIGDNIERCLLDALEPLGDIIGDAAEWNDLFWDVHPGSLAILDKVEAVLQLKHEKLAASRRVLSEYGNMMGVTVIFVLDELRRRMEKGEEVGAPEWGVMVAFGPGLTVETMVLCRCVAQGTSAMAEEKLTGA >Dexi3B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:3B:10370771:10372068:1 gene:Dexi3B01G0014370 transcript:Dexi3B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAVVVATAVVRMNSSVEGKTSYANNSDFQRVIASVTRKARRDMAAALYRALGRPASLSIADLGCASGPNALLMVSDAVDAVLAESRKANAPPPQLHVFLNDLPANDFNAVFRLLPSSPLASTGCCFVSAWPGSFYGRLFPDASLDYVVSSSSLHFLSKAPRLATEHLNRGRVYVSEAGPAAVLDAYRAQFDADFLAFLSCRAAETRPRGVLLLTFVARRGARPTAHDCYLWDLLADALMGMAAAGLVDEGKVHSFDAPYYAPCPDDLARVVAKEGSFAVRTMQLFDTTRRRLRRRRPSSTAKNKHGDGDGDDELPRWLAAQTAGTVRAVVEPMMRTHFGWAAMDGLFCRYRLLLEAYYRSKTTRNKDDITNVFLVLEKKQSY >Dexi5B01G0025960.1:cds pep primary_assembly:Fonio_CM05836:5B:27855404:27857160:1 gene:Dexi5B01G0025960 transcript:Dexi5B01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALVFVCCCLLLASSAAARGLSDGEPFSLRKNAARRLRQRDPFGARKNPADPHNSQGTPNCSPAPPQSGGGGYYSPPTPSSGASPTTPGGSGGGYYPPSPSVGTTPTIPSPDIGTSPTTPTTPGCGGGGYSAPPSPSSGTSPSTPGCGSGGGYSAPPSPSSDTSPSTPGCGGGCSAPPSPSSDTSPSTPGTGGGGYGAPPSPSSDTSPSTPGGGGYGAPPSPSSDTSPSTPGCGGGCSAPPSPSSDTSPSTPGTGGGGYGAPPSPSSDTSPSTPGGGGYGAPPSPSSDTSPSTPGGGGYYGPPSPSSDTSPTTPSTPSAGGYYGPPSPSSDTSPTTPSTPSGGGYYSPPSPSSDTSPTTPDAPLTPIYTPPTPFSPLTPTPTSPTPYDPNTGPCTYWMTHPGVVWGLFGFWCPLVRLFGPSAAVPFGHDLTVPEALANTRQDGVGELFREGTASLLNSMVNTRFAFTTQEVKDAFAAALSSGDDGAALAQAQLFKRANEGRAN >Dexi9A01G0021990.1:cds pep primary_assembly:Fonio_CM05836:9A:16956510:16957585:1 gene:Dexi9A01G0021990 transcript:Dexi9A01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSISSSHGSAASSPAISTCRLAACGRFPALVGGSAASQKRSLTVMTGSEMRGVTAVRSSSLETTSVGAEAVTGQVTEVTKDTFWPIVKAAGDKVVVLDMYTQWCGPCKVMAPKFQEMSEKDLDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKDGKVVKEVTGAKIEELAHAIETAKAS >DexiUA01G0023250.1:cds pep primary_assembly:Fonio_CM05836:UA:47554437:47554671:-1 gene:DexiUA01G0023250 transcript:DexiUA01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMKFEDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Dexi1B01G0025460.1:cds pep primary_assembly:Fonio_CM05836:1B:30484305:30492149:1 gene:Dexi1B01G0025460 transcript:Dexi1B01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLNESTLTTRRIGNIRKSKAEDDLHCPDTNIGSLAMTQMTAMSTMIDTRSLPAAMMTIMSITTMTVAPMTMTLTPTSDIHAADTYCHSRLCGLCPTSLLAGPALLFLDIKARPPPFHASRKAPPPLLKPSCHCQLAIPRPTISSARFAFSNSPAKFPPKPERERKPQAGKAINQGMATLATAATLLTTLSTLLLLLRAGTCAADDYSAFVYAGCSQGHYDASSPYASAVDSVLTSVANSAPYSPYANFTAPSDASMVGLYQCRSDLPSSICGGCVRSAISRLSTLCAWSAGGGVQLRACFVRYGNDSFLGKQDTAVLFKKCGGTPGDAGGVAMRDSALGALVAAAAPEGGGYRAGGSGGVQAMSQCVGDLGAKACSDCVSAAAAQLKAGCGYATAGEVYLGKCYARFWANGGGGFSSSSAGRHGFGLVHNAWEIAATDLLDLRFIAGQPHCTRTRQASFNSLAKRALTADQARPRGSPAQLRTRSFPYTYDSATGFLERVTPSTQRVIDPPPSSPLLSLKPKNPTHLTPDLRPQISIPKPSPLLSSPTPRSESGGLDPASMALEWVVLGYAAGAEAVMLLLLTLPGLDGLRRGLISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTKLVVRLEQLQQRVDKLKKRDD >Dexi1A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:1A:21809769:21811681:-1 gene:Dexi1A01G0014930 transcript:Dexi1A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGSDKPGSGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRSLTDGL >DexiUA01G0026690.1:cds pep primary_assembly:Fonio_CM05836:UA:57109435:57110401:-1 gene:DexiUA01G0026690 transcript:DexiUA01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGLGRRRADAHQFIGLPPVAAPEGAADSNARGSAADAKWRRRRHWRPVVCRASAAPRRLAMFPSAGGRRRRRHREAGVQVVGVSPLIQVPPSPPRRLPDPFIRPFSPRHGLSTETKGEVFCAIRRAGGINNQKGFGCAVPSIESVLESPPRPRSPAGCDLLHEWR >Dexi6B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:6B:15978759:15980787:-1 gene:Dexi6B01G0009880 transcript:Dexi6B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLRYPLKGNTIPFWAVPNYDNITTDVICHGDKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLKAFDRKGHIAILCLVFLPLLAASLVAVSRVDDYWHHWQDVFSGGILGLTVASFCYLQFFPYPFDNDAIWPHAYFEQLADMHSNGNVNSFETRPTEFEDEEDGHGGIALRDTSPILESMESGRRP >Dexi1B01G0026830.1:cds pep primary_assembly:Fonio_CM05836:1B:31632079:31633053:-1 gene:Dexi1B01G0026830 transcript:Dexi1B01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSSIGVRHGTSHVALALLSVLLLSSARLSVGARSLLELYKPPVNASLTYHNGAVLQGHIPVSILWYGRFTPSQKAVVTDFLQSLTGTTSPAPTTPSVSQWWETINKLYLSKTPSSNGAAQVALAGQVTDEGCSMGKRLTLAQLPQLAARSGAAKKGGIALVLTAQDVAVEGFCVSRCGLHGSDASAGTAYAWVGNSATQCPGQCAWPFHQPLYGPQTPALVPPSGDVGMDGMVINIASMVAGTVTNPFRNGFYQGDKDAPLEAATACTGVYGSGAYPGFAGNLAVDRTTGASDNANGAHGRKYLLPALFDPATSTCSTLL >Dexi9B01G0043540.1:cds pep primary_assembly:Fonio_CM05836:9B:43491786:43493482:-1 gene:Dexi9B01G0043540 transcript:Dexi9B01G0043540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDADATVPAAMAPAKRAPINKYAFACALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLAAGVTSDWLGRRYTMVLAAAIFFTGALLMGLAPSYALLMVGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDDARRVLQRTSDSHGEAEERLRDIKKVVGIPDDVSDADDMAAIVRVRNKVSRSSDGGGVWKELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGACKTFFIPISTLLLDRIGRRPLLLASGGGMAIFLFTLATSLHMMDRRPEGKETAALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSNAITIAGSFYLYACIAAAGWVFMYLFLPETMGKSLEDTAKLFGKDADDEDAAPAAGGRRERSKPSAELGDVQH >Dexi8A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:8A:22433804:22435553:1 gene:Dexi8A01G0012700 transcript:Dexi8A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding IATTMALFSKANDGKLEQLVCVTGAGGFIGSWVVRELLLRGYRVRGTARDPGDSRNAHLHELEGAKEKLSLLRADLMDPESLRAAFRGCHGVFHVASPVSNDPDLMPVAVDGTRNVMSVAAAEGVRRVVFTSSYGAAHMDPNRSPDDILDEACWSDYDFCARTGVRSNWYCCAKMMAEMTAKEEATRLGLELAVVVPCVTTGPMLQKDINASNNQIGRYLMGTKRAYPNAVASYVDVRDVARAHVLAYETPGAAGRYLCAGVVLHRAQLLGMLKDLFPQYPVTAKCEDDCNPRARPYKFSNQRLKDLGLEFTPLEKSLYETVICMQKKGHLPVIAKQQLRANL >Dexi6B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:6B:4420505:4420846:1 gene:Dexi6B01G0005130 transcript:Dexi6B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEPALDGGRQRTGGRWLLGSLRVPRANVDEDLRGCDPPTLGANCCCDGAVCGLRARSRWIPAGDGEVPPLLAGSRLVMGEGPPLDAAGEGEASGQSDGGGGRRGARPRAD >Dexi3B01G0028610.1:cds pep primary_assembly:Fonio_CM05836:3B:26814716:26814949:-1 gene:Dexi3B01G0028610 transcript:Dexi3B01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVRSLFYPPFTYFSPPVLPIGASGRAALCRHATPQSLVVLSHTTTTAGQITYCGQQFGPSTSKIGRP >Dexi4B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:4B:3796041:3800164:-1 gene:Dexi4B01G0005390 transcript:Dexi4B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGASAPAAAMAVDDAEDDQLATMSTDDIVRASRLLDNEIRVLKDELQRTNLELESVKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQRLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIVQVQAKKKSSLNYYA >Dexi8B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:8B:18587432:18588552:-1 gene:Dexi8B01G0010160 transcript:Dexi8B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPSLLRAPAAAERSAAAPPLRSSFSAPWAVRVPAPAARRRVARAAATAARITMRVASKQAYICRDCGYIYNDRTPFEKQADNYFCPVCGAPKRRFRPYEPAVSKNANATDVRKARKEQLKKDEAIG >Dexi4A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:4A:22918083:22919954:1 gene:Dexi4A01G0019070 transcript:Dexi4A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDMEKKVKEYLHRKGLRVAELAPQGDRSRHPASAPPGVPLHSMPGGFLHFPPNGHAYFAPPWPPQPLPVSMAQHAALASKGNPVININDGDDVRIEKRLTWKPDEDLRLVRAWLDHSNDLASGNVKKNDQYWRDVLASYNSTTSERRKRKVKHLKDRFQKIKRSVGFFCWSLKKAASTDDSEQSDDQLIEKALQFYLDDYKEGPFIIMHCWKALRDEPKWHAVLEDLDEKSNKRKLGDEGEVGNNTPTSEDTREMEQPTVVKEAKRQCNGKGKVKANDNGLDEDIKKYLEIQAGAKRRHDEFIKVQLRISDAKVEAARLKREAAMLKTYNSFMGMNTRVMTDEVRTEHAIGLKLLRERLFGSNN >Dexi4B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:4B:8866868:8867937:1 gene:Dexi4B01G0011430 transcript:Dexi4B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAAAAGGHAAEAAGGEDAMVRPAAEGGGEAQVGSTLCCSNTTAYHECVVACFDYYPRQQAEKICDPGCQEAYQCRVVSGDKCPTGGYHDPSSDDLPIADDHSAAGEARAVTTTLCCSNMTGFQECVHDCFAYFPREQAEKICDPGCKEAYQCRTVSGDKCPSGHLTNSVDFLTYKKKKLTSLFVAAEGNASLEKCLSGCRSSVCNKMVTGVGSKRVEAVKHAIGRCNNACYNFCTKGLSAGTATA >Dexi2A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:2A:9525479:9526390:-1 gene:Dexi2A01G0009270 transcript:Dexi2A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYSLASVSIPVVTIDAAAFFSFSRQQPGAEPPPPRDGAALLRPPGKLLLSSSVVARPSLLRRFSHPIPTTDACRFRGTHLSSTESPGPSAPPATGSRLAAATNAGVLPLDVLFDVLLRLSAKQLCRLRAVCRSWRTLTSDPLFTGAHAARHPLFLAYFRGVYTHIHVVGLSGSVVKQIPNVYGRLLPTSLDLARAVWNTCHVLDPATGSVCVLPENPATEHVGGENLSKPYATSLAFGKIAAAGEYKVVRMLRNPTLTPFNQEYLYEVLTINSGSGSSQPQWRATRGHDDFVHPDNPIRHR >Dexi1A01G0023040.1:cds pep primary_assembly:Fonio_CM05836:1A:29654564:29655053:1 gene:Dexi1A01G0023040 transcript:Dexi1A01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVVAMASAKLQERVPIRRTAWKLADLVVLSLLLALLAHRAASLLQGSAAAAAAPRCWLAVTALVCEAWFTLLWLLNMNCKWCPVRFETCPGRLLQRGDELPAVDVFVTTADPELEPPVVTVNTVLRF >Dexi1B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:1B:23186630:23188081:-1 gene:Dexi1B01G0016700 transcript:Dexi1B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLIGAAITHYASKWLPGLTKDGPHGGALADEPWAHAQATPGGGLHMIISGAGAGAAKDDITGGTSAPAREQRMVVESLISIIPPQRDAVSCGFLLRLLRLAIMLRAAPALVTELEKRVGMQLEQAALGDLLIPSYGGRGDTTAYDVDLVQRLVEHFLVQEQTELLASSSSPGRGDPPAAGMNAKARVARLLDSYLSEVSRDRNLSLTKLQVLAESLPDSARSCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAAASSSSSSSVAAALAANKAPEAVATTRRQLLDGTPQSFQDGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVERGGAPSPAQAGHKVAGGGKNQGPASAWSSGWRKLGRLAKMSGADAAGTDGHVPVGAPGEAPRKPRRWRNSIS >Dexi7B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:7B:21290157:21290960:-1 gene:Dexi7B01G0015290 transcript:Dexi7B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYYRYGYGSPRFQEIAQGFHPREIPWSVARGGAGVAVKNVKHYLAKMDKAVNYDYYCDDELRYTRFKSPFDRRPIVGRRTRHRCLDAFGDFDSYDWDWEDEV >Dexi3B01G0025240.1:cds pep primary_assembly:Fonio_CM05836:3B:20033398:20033734:-1 gene:Dexi3B01G0025240 transcript:Dexi3B01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTGGLQCGATSGGCVAFYKQYCGVLGISYGPNLDCVGAVERCHHVIVSAVDVPCCHVGMNINK >Dexi6A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:6A:5135748:5141226:-1 gene:Dexi6A01G0005600 transcript:Dexi6A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQCVNVWAEVGQEKRAIGELSSMKPDVAVPPVVLSGEFVLRHDLAVAAAVRLHYRVLDPSSAATSCDVVQEQQEERFLVLGDHDEDDDQEETDDDYDDSDDEGGFGVPLLAAPAAPTLDVCIFTSVSPFPRPQQPRNPADLALRHAAIILTKDQLESVVRVKAGAVVKSSNPDLLRPPHHHCDDNAREPRHTHRWTPLNASRNTARGGLTTLRQAKLLLASSSDDVLHRAPAAIHCKPSRPAMPVLQAPFFDTVPHRTDNCQATLAAMAKMGLPIDASNKLSGPRKPARDRRSRYAITLTFQPHLHRGMTCPPDRPCSHCPTQPPRRHNHHRREKGEQRRGRSRLAATSRMPRPPPAAAPAASRSPAFTGLDDDAPPRRRHHVPPSMGNWPVSLDINLPAHKSQLVLVANHPSAPAPPCTGGFLHMSSPEDGRFLCITRLSLSDPAMAGGQCVNIWAEVGQEKRAIGELSSTKPDVAVPPVVLGGEFVLRHDLAVAAAVRLHYSVLDPKNNRRRGFVLGDHDEDDDQEETDDDDDSDDEGGFGVPLFAAPAGSVVVPDDGEFLGPARFAAVENAAAFMRVAAAAASSETNAGGGESKEIVVLYRYTRFSTTTRGGVEPCRRTKLHRLRFVVAHSGDMASSLAMAGSSLGPLIYPGLFRQQLHDLWKSLVAPPAMETIPPGATRLHVVVDAGILRREDYSPERMAHVHGALATRILDAWPPEYYHVGMELHLPEAVTASRRIGEGEEEEDDECCVSFELLKSGMAAWPGCGHVFHGACLEKALERSQTCPLCRRKLSDPIAQ >Dexi5A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:5A:161928:162495:-1 gene:Dexi5A01G0000230 transcript:Dexi5A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGACAVCKHQRRKCEPNCELAAYFPAHRMNDFRALHLVFGVANLTKLIKANATDAGRRRAAETLTWEARWRERDPSEGCYREVACLRRDNAALRADNAALRRQLAEHHQLLLLCSGDMPAPAAQAMPVVCPATTRAAHDPSNNNRDSNVNTSNARR >Dexi8A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:8A:244830:246954:1 gene:Dexi8A01G0000330 transcript:Dexi8A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGSPKMTVLHAPVGVRSIVSSLVAFFIVASSVTFMLDRGQEAQVQMAVEHGRQETQVKVEAGLQEPAMRGTTVAGDASEEECNWSRGRWVYDNVSRPLYSGLKCAFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKIRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMAKAKSYFKTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >Dexi9A01G0029780.1:cds pep primary_assembly:Fonio_CM05836:9A:34684796:34688582:1 gene:Dexi9A01G0029780 transcript:Dexi9A01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQRYQRAPPGIRPDLLYTRAAAPVQASAVFNSSPKDEKSSAEDRRGDPACRFPPSEHPNRTASSPSFDSPTQPRPYITRTPASRAPDVPVQRGIQQVPALLLVTGDRCCVRVWGRPSRPRLPSQRMPPGHHRLPFLFFSLGLQTLFSFCRPISFTRPTKPPAPLLSSPLTGCSLPLADMAVPPVIPLPPARPLLSPRHTSRCLLRLLSRLTPADECAFKVLDAPSRAPDVSFFSMAMTTHSKAIKVVMAQAPSCLLGAFPFALPILPNRVPPFYISLTSTHVVTIVAIGQDRATSLSSLPLCDLAIIVVCKVVSNSSKPFPLFLSVSSLLHGFQLWEIMVPSPGFVCAKLDCPPPLDETPLLKLSSEPRTDQFEAYHRHHRRSPLTSSIQVLELLENEVSSPGFACLPRYIAVAPPPTIVVGDQTSPNQP >Dexi5B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:5B:1627309:1628544:-1 gene:Dexi5B01G0002540 transcript:Dexi5B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPSCSRSLWLSALAVAAALASAAAGAQQLSPGFYDATCPALQATVRRGVARAVRREPRMGASILRLFFHDCFVNVIKPFRGVDRADGCDASVLLDDVPGNFAGEKNAGPNANSLRGYEVIDSIKAQVEASCKSTVSCADILALAARDAVNLVSHSWTVPLGRRDARNTSAGAANANLPPPDASLATLLSTFRGKGLDARDLTALSGAHTVGRARCAVFRSHIYNDTAINASFAAELRASGVCPFTGGDGNLAPLELQAPDAFDNGYFRDLVTRRVLLRSDQALYGGGGNDTTDALVLAYAANATAFAVVFAAAMVRMGSIAPADGSVGEVRLNCRRVN >Dexi3B01G0029650.1:cds pep primary_assembly:Fonio_CM05836:3B:28931858:28933288:1 gene:Dexi3B01G0029650 transcript:Dexi3B01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHEERHHFLLVVYGLQSHINPGRVLAHRLTRLGVDGSVLVTLAVPVATYRSMFPSKQDGVGDVSMTTNGVVSYVPYSDGVDDGSMPMNADDRAHRRRASSKSLSAIIACLADRGQPATCIMCTMAFLPVIDVAREHNIPVTIYWIQPATLLAMNYHYFHGYSKLITLHANDPAHKVSLPWLGRPLQISNFPSYLIDMSGSEMIKNINESSQELFEYMDQWRPKVLANTFDELEANVLMKMKQHLDVFTIGPMVRSSMETQIHLFNHDTIAKKRYMEWLQAHLDKSVVYVSFGSMSKYTKHQMDEIVGGLTRCGRPYLLVLRRDGLEDDESHNLENMQSQGMVVDWCDQLEVLSHFAVGCFVSHCGWNSTVEALVAGVPIIGVPNVFDQSTNIYLIEEEWKVGVKAERNSDGVLTGTELARCIELIMGDGERAKVIRERAKVLKEIAKQAANTGGSADRNLRDFLRTIHVHDTFA >Dexi7A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:7A:27514276:27521570:-1 gene:Dexi7A01G0018030 transcript:Dexi7A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSFSGLEVWRIENFKPVPVPTSAHGKFYMGDSYIILKVPFARSSLNHEDIFILDTKSKIFQFNGSNSCIQERAKALEVMQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWALFGGFAPLPKKSPSEDNGNERENVVKLLCINQGNAEQINFDSLVRELLESNKCYFLDCGAEMYVWMGRSTSLQERKGASEAAEKLLIDDSRTKSHVIKVIEGFETVMFKSKFVEWPPTPDLKLSSEDGRGKVAALLKSQGLDVKGLMKAAPVKEEPQPYIDCTGHLQVWRVNGSDKALLSTPDQSKFYTGDCYIFQYTYTGDDKEECLIGTWFGEKSVEVERASAMSLASKMVQSAKFQVVQARLYEGKEPIQFFVMFQSLQVFKGGLSSGYKNFIAENDIADDTYCEDGIALFRIQGSGSENMQALQVDAVCLGVFYYVLYRGMFLCCVGLIEILMHGSSYQRKLAVLKGRAPPSLDEEVRDKINLNAQEVCPPAQIVPVFEEDLQPSACESEIEDDQGRTIYPYERLTTTAEDPVPDIDVTKRESYLSSAEFREKLSMTRAAFYKLPKWKQNKLKSGVQLF >Dexi5B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:5B:16643767:16647672:1 gene:Dexi5B01G0016670 transcript:Dexi5B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLVVVSDPYLQRRFTQADLRVLQAQYAALRDASPSGRLRIRDLPAAISRAATIAAKGDAEKENSAPEPDPAPSLTDDEWASVLKAVARADERPHLDASFELFLRVYAEMQLRLKVAGGVGSEKKVGGGIARSLSSSAVAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKSALPIDPATDHIFHVTKDGVLLCKLINLAVPGTIDERAINTKRVLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRKKLNELAFCHNNNQIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGYQRTVTNFSSDIKVCTMIHSPCEDLFFTFPTQKMQDSEAYACLLNVLAPECSTKPSAMSVKDLLHRARLILEHADRMGCKRYLTPKDIIDGLPNLNLAFVAHIFQKRNGLSIQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEMIDKIAPGSVNWKMANRPPIKLPFKKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILGAYQLRRVCLSK >Dexi8A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:8A:24780706:24780992:-1 gene:Dexi8A01G0014310 transcript:Dexi8A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRRPGDEGVLRPGDDGGAWEKWAAAYPRVFAGMVASPEADKDDYMFQKACCSSQRNCPKPHDLRQVEPVHHH >Dexi9A01G0032640.1:cds pep primary_assembly:Fonio_CM05836:9A:37566993:37571050:1 gene:Dexi9A01G0032640 transcript:Dexi9A01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSAMARFLLLCLSAAGTAALAHGSTSTNPDLRARGLLETKLWCLAVVFAGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDANETFEDLLPDSGYPWAFMLACVGYVVITLADVVVSHIVSRGRAGGAAGLEEGKVSTTNDDTSSKPQPAETHGSDHSVASMLRNVSTLGDSILLIAALCFHSVFEGVAIGVAETKVDAWKVLWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFMFAISSPIGVATGIVIDATTQGQVANWIFAVSMGLATGIFIYVSINHLLSKGYKPQRPVAIDTPVGRWLAVVLGVAVIAVVMIWDT >Dexi8A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:8A:1399919:1400311:1 gene:Dexi8A01G0002090 transcript:Dexi8A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTPGRRTSSAAKSCPVLTVVVFRGPRRLDLPQARFIGDRRRRPPPPRGGRGSWVELPHRRLQTGLVQSGMGNEHDTFDTNSHGGHKVEDDRLPFAGRDTQHGSVPPSSSPHLQRRMGPSMPTVSLS >Dexi9B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:9B:3325745:3331298:-1 gene:Dexi9B01G0005630 transcript:Dexi9B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVLARLPPGSVRLVAAFGLLLLVSLLVLGRRRPPGPVARTSNGGSRVFDPAALFLSLSAGANATIKADLRALTAGPHLAGTPAAAGPVAHVLGRFRVAGLRTLTREYAPLLSYPGNASSLSLLRADGSPLARLSLQEPADVGGRGGLVRPYHAYAPSGAAVAEAVFVNLGREEDYAALDKLGVGVRGRIAVARRGGGYRGAVVARAAEKGAVAVLIAGRADGGVERGVVMLGGPGDPLTPGWAATVGAERLGFDNEAVKRRFPTIPSMPVPAETAAAIIRSLGGPAVPAEWKVGLGVDAGGLGPGPTLVNFTYQIDQIMIAFISLFKEDRKFEMIRDIFGIIKGSEEPDRYVILGNHRDAWTYGAVDPNSGTAALLNIAQRLGKMLQSGWKPRRSIILCSWDAEEFGMIGSTEWVEENLADLHLKAVAYLNVDCAVQGVGFFAGSTPQLDKLLVDVTRQVKDPDVMGKMVHDTWNEMNGGISVRIKNFKLQSAYIEMFSSYAAVEQHTIEFIERLARTDSDFAPFVHHAGIPSMDLYYGQEFSGYHTALDSYSWMEKHGDPLFLRHLAITEIWGLLALRLADDPVLPFDYQDYASQLLEHINALSVLLGNSQAVNVMNGSIDDLFGAAMEALKEAKLYSPPEDYESKLSFFPGIADAISRSGDLSAKEREAAIQHEVWKVSRAIQRAASVLRGDFNQQNKPSNFSSLVTP >Dexi4B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:4B:9681250:9684645:-1 gene:Dexi4B01G0012040 transcript:Dexi4B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQWAFGIPSSPVAAGASSSARTATPVRQRIKPSLTLSHSYLSGRALDELRGSLYNDFHTSEGAKRQQQRFCGPVVALTFNFVVAVGIIMANKMVMGTVGFNFPVALSLIHYLFALALMAVLKALYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVVAEFVLFQKKVSLRKVITLVVVSFGVAVATVTDLEFNFFGACVALAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITIFFFIVLMPLLDPPGLLSFNWNFKNSSAIIISGLFGFLLQWSGALALGATSALAHVVLGQFKTIVIVLSGYLVFNSDPGFTSLCGAVVALAGMSVYTYLGMKESTTTARRNSLNSRQNSHLPKSKVIVDGEKQETRTIDSV >Dexi4A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:4A:22084667:22087566:-1 gene:Dexi4A01G0018130 transcript:Dexi4A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGARKKVELVAAVLLLEMLLAGFHVASGAALDMGVSKMAFLVYRNGSALAVVAPIAYFLEKKDRPPLTLRLMAEFFVLAAIGVTFTQGLYILGLYYLAPTYVSAIQNSVPAVTFVMAAALRIEQVNINSRHGLAKIAGTLATIAGATIITLYKGMLLTKDSEGTHKQKDIGVVLSPGSTWIAGCLIMFVNCLCLSGWMVLQVPVLKKYTAKLSSFTITLALGLIQLIAVAPFFESNIESWKVQSGGQLFTILYAGIVVLGVAWNIKIWCISKGGPLFVAAFQPLQTVMVAIMEAIFLGDRLYIGG >Dexi3A01G0031150.1:cds pep primary_assembly:Fonio_CM05836:3A:35567505:35570160:-1 gene:Dexi3A01G0031150 transcript:Dexi3A01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVEFSVEKVVEDCKQSVVDIGDKTYVIGRSDDDLKSSIIVKLLDKRTQTWVVPTVLGTQPTLTKSQSAIPVNDDKILIIEKGVPFNESIWFLEVDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSMFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLETCYEKLKELLSLDGDQEDSADLFMTDDKQAGSYSIVSKNDSEILLKPETSEAKNGAASLLALDLSSLSGGAPGRTRGLKIRSINSF >Dexi6A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:6A:4470672:4473854:-1 gene:Dexi6A01G0004910 transcript:Dexi6A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDERSTSKHHHRDKDKDRDRERSSSRHHRDKDRDRERSSSRHHREDGDRDRDRHHRDKEKDRDRDERKEREREERKAREREERDREKERAREEKDKERARRREERDREERDRSRRRGDAEGEEDEDRDRKRRRRSSHHHHHHRDSEPEAAPPREEEVDEEEAERRRQRKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQQDGGGSAGASAAAAAEADGAKAGKKWTLDGEESDEEGDKEDGNKAEENGGGDMDVDLPNAGGDANGGAGMEEDEIDPLDAFMNSMVLPEVAKLESAAATVDTAPATGVDDKNGKSAKDGVSNGDKKGPRRAMGRIMQGDDSESDYDDADDEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITRMASEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPDSERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQTDRESTIADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQAVPEDLKSLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDMAAQAIANAQAAAALVANKAASSANQQVPGNATVPLIPFIAVSSQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVI >Dexi4A01G0022690.1:cds pep primary_assembly:Fonio_CM05836:4A:26002308:26003750:1 gene:Dexi4A01G0022690 transcript:Dexi4A01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNEKVPQSTTPMSIPSSLEAVTGIPRSTKELPKLNSNVKQSMMASKLPNAGPVPQNLVTSAPPTDYLETASSATSVWLSQADGLLHQGFPMPNFNQQQAFKDAPPETDIQGADPSNNALFGINNDSQLGFPMGADGFLSNGIDASKYHNHISTDIDGNYRIPKDGQQEISSSMVSQSFGASDMAFNSIDSAMNDGGFLNRTSWPPAAPLKRMRTFTKVYKRGAVGRSIDISQYSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNSILPNQACSSSDGGNAWRARCDQNSGNPSTGSYDQFE >Dexi9A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:9A:6183137:6184653:-1 gene:Dexi9A01G0010190 transcript:Dexi9A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFHVTDQIGRKLTDPSLPEFIQRALVPLHRLGTGRSPPPKFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITSVLADNGCHVASGQAWTHNGRAAGVLYVTATAGGATPHPSRWARIERLVNAVVDARENVTGERRWVCMSEPVQGRVHTERRLHQLMHDDRDYESGPAPTPVDEGLFSMGDKAATALLMARRVETRVSIDSWEERGYAVVKMTSRDRPRLLFDTVCALTDMHYVVFHATVGSQGSLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRATHVRLDHYSSPSLYSAAAGAVHEDGSLTHGVAHWRVVQGMMVEVRAADRSGLLSDFTRVLREHGLSLLRVELKRHKDEAIGIFYLVTDTGGEVRTEAVRAVQVRVAEMDISLDIVREAPGWPPVRKTSVPAPPVAGQLAAQERPRPSLGSLLWSHLGKLSNNLSYIRS >Dexi9B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:9B:2742067:2746610:-1 gene:Dexi9B01G0004810 transcript:Dexi9B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGESGGDPAAARRRWDLSNKGPESTPMVKEAVEMSTDEESDGVVICHSNGNTDGCDEAISSNHDDDSPEGQVTSFIKEPGVEGDTQEDKCVNQDSLKLIDQEKSAPPKSPAKSASSGSERPKRVVPQPFSLSTQRRSSGGNGAVTNPSISKEKSGEKSSISPTSMAKKFYTKLEEKHKALEAEKDEAEARKKEEQEQALKQLRKSLVIKAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTSSRRKSCSDTPQTPEAKTTSASSTRSHRHSIGSSKDANRVQCSPKNGVATKTRAVKPELKAI >Dexi9A01G0032450.1:cds pep primary_assembly:Fonio_CM05836:9A:37343400:37344582:1 gene:Dexi9A01G0032450 transcript:Dexi9A01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFSIIKMSRGGSAGGGQSSLGYLFGGGEAPKPAAPAAPAAPAASAPPAEKPAAAKPDVTKQIPAGVTSQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >Dexi9B01G0024150.1:cds pep primary_assembly:Fonio_CM05836:9B:19951630:19953449:-1 gene:Dexi9B01G0024150 transcript:Dexi9B01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENGNQELKSDLKDLYINSAFQMDVAGSRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVFVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGSKIIKIFLNPKERINTEYKLETFSAVYRRLCGKDVVYEYPVTETA >Dexi8B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:8B:26708520:26710886:1 gene:Dexi8B01G0015880 transcript:Dexi8B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSSSKLLLLHKLYSAMLSLLFHPHRSSPTTTTPPPPPPPPSSPPPVVHHGAVPPSSMLTSTGDHQQTATTLVVDVDSTLLLRSSSGDVVVNLFPYFMLVALEAGGFLRGMVLLLLYPFIRLMTHTAAVRAMSAVTFIGLRSGARFRAGRAVLPKWLMEDLAAEAFDAVRSAAAGGRRVVCVTAMPRVMVESFIREYLLGMVEDVVVVAPEMKVVWGFYAGFMEEDGRDDQHEKVVMATEREEKEKPAAVVGFAGSSEFLKHPLARCCKEIFMVSSDQKLKWRPLSRDKYPKPIIFHDGRLAFLPTATNTTTMFTWLPFGIFLGAARLAVALTVPYKYSTPILAATGMSWRLKNNHRPPLPAGGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGAKMARLLDAGDLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVAIAVETSMFYATTAGGFKCFDPLYYMVNPRMCYTVQFLDKVDTSPVRTRVAPSTDMANLVQRKMGEALGYGCTMLTRKDKYLMLAGNDGVVRTTGDDKSAAVGGIRKNK >Dexi3B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:3B:15497401:15507194:-1 gene:Dexi3B01G0020490 transcript:Dexi3B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPSQSPEPAPARAARPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVDGSDFVISRVAFRDNASKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTIQYVEKIEEAYKQLEVLNDKRTASVQMLKLAEKERDSLEGAKNEAETYMLKELSLLKWQEKATKLASDDAISRVAQCQENVADLEKNLAAEREKIQENSQTVKEMESIYNKHVKRQEDLENNMKTCKDQFKEFERKDVKYREDLKHLKQKIKKQEDKAQKDMSKRDETTKEMEESSNLIPQLEGEIPKLQDLLNEEEKVLERIKESSREETERLRAKLTQVRTELEPWENQIIEHKGRLDVASAEKELIKQKNFCHELLSVVYAKSPVFIAQNLLQESQKQEDSLIPMEQAARQKVAEMKATRDSEKNQSTALKAILQAKESNEIQGIYGRLGDLGAIDAKYDVAISTAASSGLNYIVVETINSAQACIELLRRRNREETVTCLILEKQTHLLNKSKEKVKTPEGVPRLFDLVKVKDEKLKLAFFHVLGNTVVANDLDQASRIAYNAPKEFRRVVTLGGELFEKSGTMSGGGKRVQRGMMGTAIRESISEEAIKNAENDLNKLVDELNMLREKINDARKHYRSMEEAKSRLEMELAKAKKEVESMNAQYIYNENRLDALKAASQPKADEASELQQKIENAGGQVLKDQKTKVANIQSELDKTSSEINRHKVKIASGEKLLKRLTKSIEDSKNDTEKFLAEKEKMMSMFKEIEKKAFVVQEDYKKTQEMLDSHKDELDKTKEEYNKVKKALDELRASEVDAEYKLQDTKKLAKEWEMKVKAFSKRLDDIQTNLAKHMDQIQKDAIDPEKLKVTLGDEELNDTCGMKRAMEMVALLEAQLKDLSPNLDSIAEYRTKARLYSERVDELNTTTQERDDLKKLYDGLDEFMAGFNVISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAENMEVV >Dexi2A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:2A:28370050:28370834:-1 gene:Dexi2A01G0016670 transcript:Dexi2A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVCGSAWIQSPEEVYSVWALPPEPARRRICRLMAELRAAHGGPAFEPHVTVVGAIRLRRSAAVEALRAAAAGVRPYIARVVSNREGFYRCGCLLLEPTPEVMAASDHCCGHFGYERPIPYVPHVSLIYGDRTEEQEAAAMRKVQELDEDIRELQFEISDIALYKTDPEDVDSWELVEACNLTT >Dexi8B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:8B:27846104:27848181:-1 gene:Dexi8B01G0016720 transcript:Dexi8B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKKKTPAELLRENKRMLEKSIREIERERQGLQAQEKKLINEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVSQVLDEIGIDVNSELVKAPATAVAKPVAAGKVPAQAEAAGGPDGGIDDDLQARLDNLRNM >Dexi6B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:6B:23021631:23023681:-1 gene:Dexi6B01G0015740 transcript:Dexi6B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLKREGDMATQLANPGTLNDRIEEIQREVYSSQQQLHASEERLRMFEPGPATFGSTTEIDDCEKLLVDVLARVIQRKNYLLGDHMNPFDPIPSGVEGANGAEVYVKQEESLGGLAGDAALWAAAEVESDAGHQMFGASDPFIYLRDQDVYDATSQVAVLHGGDPCAAGVDAGGEVEVDAEAEAWRQAYTCTELLSTLIPATPFPLTPHCLGLDEQFIPLQDGGMAAAQEQVEASASCSYVPSDDAGTPAMANDGTAAPGNVA >Dexi4B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:4B:5556971:5557613:1 gene:Dexi4B01G0007710 transcript:Dexi4B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRARAGSDRAAGTGAAAARSPTTTTAIQSTIQSIKEVVGGHSDADILDTLRESNMDPNETAQKLLNQGEFVR >Dexi8A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:8A:25253547:25254212:-1 gene:Dexi8A01G0014720 transcript:Dexi8A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGSLLSGRRPRNLEKFKVTVGRFAGVPLWFPRPVHLCFVQITRCKLEAHDLDILRDLPKLECLVLGLDFIPKEAIVIQNEGFRELQRLSIECPVPWLTFESGAMPMLTYLQLEFNASPTSQGCFPTGISNLRRLAKVALWYNVRYANSSSVKRTVGAVREEVAKCRNATQMVSLFINGAEQDDVQAVVEETESATGAPSGTGAGAQDDVIEVDEITEE >Dexi1B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:1B:17575255:17575930:1 gene:Dexi1B01G0012820 transcript:Dexi1B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLALELGFANIDHLQARPWQPWEYSSPFCRQCCPQAHFGRRRPPMMRGYFAKLTYRRIGHFTNLQIIKIIGILVGYLGDTSGIPPNPITTRSLRKNPVRPTAVLASQSESRSRASLTHGLRPRCRSAPRRSTAPSPLRRSTAAVATGSLLRSTSSPDHAFKLLPPPPRAVSDRRLAAAAPSSSVW >Dexi7B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:7B:15926725:15927077:-1 gene:Dexi7B01G0008120 transcript:Dexi7B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKRVRTGGGSAPDRLSALSDELLCHVLSFLPSRQAVQTTTLSKRWVDLWLSMPSINLDISDFPDTGRFSFGNFVKLKDFITNLLMLHNAQFLDVIRLGCR >Dexi2A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:2A:4666213:4671904:-1 gene:Dexi2A01G0005000 transcript:Dexi2A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPPPPPALSSAAGAAATSWPELLAPFDLSRLRATLTSHPLTPRRLARLLALPLSPSTSLLLLNWYASSHPGLSSLSSLPLRPILAAADPDQALAFLSSLPSSRLPPLRESLLIPLLRSLPPGRALHLLDQMPARFAVAPSFRSYNVVLATLAKADCHADALALYRRMLRERVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVAEACTLLDEMFLMGCPADVNTFDDVVRGLCGLGRVRDAARLVDRMMMKGCTPSMLTYGFLLEGLCRTRQMDEALAMLGRVPEVNVVLFNTVIGGCLAEGKLDKATELYEIMGSKGCLPDVHTYSILIHGLCKLGRLGSAVRLLHEMEEKGCAPNIMTYTTLLHSFCRNGMWDDTRAILDQMSAKGLSMNSQGYNGMIYALCKDGKFDDAMRLIQEMKSQGCKPDICTYNTVIYHLCNSDRMEEAEHIFGNLLEEGVVANGITYNTLIHALLRNGRWQQGLRLADEMCRTTDGWAGGVFAKLTGDHTCSHTDTGGGSYAGRLTGNGCTSPSLNHRARGSAADAERGGFIASKVFPKHDAPMAEEAAMRSLREAEAERDYVSAVGPAKDWANQF >Dexi2B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:2B:11639537:11642185:1 gene:Dexi2B01G0010380 transcript:Dexi2B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYADAGEGTTKPANAIANKARLNRPWMKKESASFGFFSSMGQLLQLHTWNSTTLEQLRLFCPGLPRSHHQIQWAIALSSVLGSTAAAAITGTIVYFNSKFRRWKREQEKLVKTMQNLPGVPTQVDYSEIRKATRNFHGTMKLGKGGFGAVYRCKLPAAASRTGQAMEVAVKKFLREVEHRRYDDFLAEVSIINRLRHKNIVPLIGWSYNQGEPILIYEYMTNGSLDQHLFMKGGQRQHQQEQEAQAPIGKWQTRYSITKDIAVGLHYVHHEHEPMVLHRDIKASNIMLDSTFRARLGDFGIASTVAVDRSSVTGIAGTWGYIAPEYAMTYRSTRQTDTYAFGVLILEIVTGNKNGDVPPEDDHITNWVWRLHREGRLLEAVDSTVPTAVDDFVTEEAERLLLLGLACTNPNPSNRPSMEEAVQVITKLAPPPDVPLERLTFMWPPKIGDYATLCIARH >Dexi9B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:9B:745380:750263:1 gene:Dexi9B01G0001280 transcript:Dexi9B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHLHLLGCPRTLALTPPSPRGPSSSSSSAAAPALRFHRLRLRPAAALGPADAGDLLARAEALLYTVADAAVSEAPEAREATGGDWLSGITNSMETVLKVLKDGLSALHVPYSYGFAIILLTVLVKAATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQVEFSGLAKSIAVFVTMFCKKVLWLNLDVCFYLFYQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAAQRNGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYISAQVMQPPQSNDPSQQGAQAVTKFLPLLIGYFALSVPSGLSLYW >Dexi6B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:6B:23240924:23242789:-1 gene:Dexi6B01G0015930 transcript:Dexi6B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDNRRVLMKDWMLPSSSPRTLMSSFLDEEFSSGQFSSVFSDNGSNRPHDGIEKSKTFVGSSIEETVQDTKAPLQLESSIFNADKRSTSPRGLAERMAARAGFGVLKIDTSRVSSSVPIRSPVTIPPGVSPRELLESLVFLPNAIVQPSPTTGKLPFLMPNNFKSMMSSVPEKAEEHSHDDLAFSFQPMLSSKLPSFSTAGKILSDLQKNQSLTNHCQQELSLQANTAETKDEAEENLVKPSTCDSMLDNDHPSPTDEQEESKENHNREDSSVALITPTKDGYNWRKYGQKLVKNSEYPRSYYRCTHPNCPVKKKVERSQEGHITEIVYEGSHNHPSPPPNRQPSAPLSYVNELQADGSENCGSKPGHNTETLRGMASNDKFQDVHSGVLERKLSGSLTTTEIADTCVMESQEAVGVSSTLSSNEKDDGATHHTIHSTYHGDEDDTESKRRLGLVTNYIICIIVLNQCSFVPLNFFQEYG >Dexi1B01G0028830.1:cds pep primary_assembly:Fonio_CM05836:1B:33277758:33280154:-1 gene:Dexi1B01G0028830 transcript:Dexi1B01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAAGFAFAPAVSRAPYRPGAAFPHSPGPSSSARFPARPWARAPARLVVVSRYSPSYENEEEEDEEGLGGGGWGRRDRGPDPDYDPALDIERIESPTVRLLDEQKRMVGVVSVNEAVQIADDNDLILAILSLDGDPPVLRLFEERDYKYNIDIHDYSVRLKAAKKFLKAGDKVKIIVNLKGRENLYKKEAIELLRRFQTDVGEVATEESKNFAERNIYLVLVPNKIAIQKEQDGLNKKDTGTEEKDQSDSDEPLTTEELEESIEPEAEVSANV >DexiUA01G0022600.1:cds pep primary_assembly:Fonio_CM05836:UA:45893079:45893979:1 gene:DexiUA01G0022600 transcript:DexiUA01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKFSTGAPPTAPAAAAYQQQGVSMNPSRPGGGLRKWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCSCIASGCLYGLICASTGMGCLYSCFYRSKLRADYDLEEGECPDFLVHCCCEPLALCQEYRELKNHGFDLGIGWEANMDRQKRGVAGGAVMGAPAMPMGMIR >Dexi4A01G0022570.1:cds pep primary_assembly:Fonio_CM05836:4A:25855472:25862329:-1 gene:Dexi4A01G0022570 transcript:Dexi4A01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADAAAAGVAALGISGAGAGDEWAEACPPLRRNLRLLAPDEVELAKMLLNEGQMHLFEHWAEPGVDDDKKKGFFDQVRRLNSSYPGGLVSYIQNAKKLLADSKEGKNPYDGFTPSVPSGEVLTFGDDNFVSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKIALPRETTTGKCFLQHYIESILSLQEASCKMVDDGCDMKIPFAIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYKIQVGKQNHMGMEMFILFYIQVACLSNGMLIDSRIHNWGQKSEGRKWAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSARVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVVDTFNGQEVEVWPRLTWSPRWGLTFKDVKEKVRGNSSISQRSAFVINGRNIFLEDLSLDGTLIVNSVDEAEVKVTGHIQNKGWTIQHVDYKDTSEKEEIRIRGFKFEKVEQLEVNYTEPGKHSLRA >Dexi9B01G0034950.1:cds pep primary_assembly:Fonio_CM05836:9B:36742929:36749694:1 gene:Dexi9B01G0034950 transcript:Dexi9B01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPALTDDLVDEVLLRFPPEEPELLVRATLVCKRWFRVISDPRFRRRFRELHRAAPMLGFFCADYWGASRFVLVSSTPLPHAIRGDWRAVDVRHGRHLEQPLHVRNNKKVLRVHEVLNGARSSKSRMKCLVVTVDGNSERLIMFSALLEKIINEPLKITSEADLGAG >Dexi9B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:9B:4325511:4328079:-1 gene:Dexi9B01G0007160 transcript:Dexi9B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPLPLASLLLLGLLLISAPSPSDSASLSFLTDSSNASITTFADPELEDPAPEPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAVRVGEVQRYEFRARAGGVVALARFSDEAVEWRRPTSPAVEEVDGRDGVDVIPGDGAFGFGTGVRDVELVGPVELKLSGNEDGGLVELQLPSGNVTYTRLKRILVTDGVGLKLERDITEEDSMWKDVPEWKTQPMVQRLTLDIVAKVEDDDRLKAISVKKARQPFPIVDTTSWGSLTSNVSFTQFMSFVLPPEPLSLDVKW >Dexi6B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:6B:25034452:25036108:-1 gene:Dexi6B01G0017950 transcript:Dexi6B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNISTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >Dexi9A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:9A:14688666:14689434:-1 gene:Dexi9A01G0019770 transcript:Dexi9A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDLGSANLGDVVASYIIKIDHYWHMKQLHPNGQRFAFSPFEAGGCSWRIHYYPNGVSSSCKDYISISVVLDSRLSGPIKAWSTFSLLDWALEPVQGHSVRTDVSKCSEVGARHGCDLFIRKKFLEASEHLKNGFFFVRWDMFVDRASSDLQRYPLDTTDVVFQVSGKTFCAHRCVLAARAPALEAQLFSATMEEGATAGNCIQIVDMLPEVFESLLHFVYTDSLPEMTEEEESWMPEHLLVAADRVSS >Dexi1A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:1A:762527:763142:-1 gene:Dexi1A01G0001190 transcript:Dexi1A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGDEIDGDVSVGQKGFRDIRRYKCEFCAVVRSKKCLIQAHMVAHHKDELDISETYNSNGEKIVHEEGHRCLECGACFRKPAHLKQHMQSHSHEVGIQHLLSSYS >Dexi5A01G0021770.1:cds pep primary_assembly:Fonio_CM05836:5A:25582491:25587802:-1 gene:Dexi5A01G0021770 transcript:Dexi5A01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGSSRVAACGPWGGSAAAIERELSRDGSHYSISSGILPSLGARSNRRVKLRPFIVSPYDRRYRFAASSSSLLLLLLCRIWETFLIILVAYSAWVSPFEFGFIRKPTGGLAIVDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDLASTIPTEIARKILPPNLRSYGFFNMLRLWRLRRVSSLFARYVNGVERVSESNALLEKDRHFNYFWVRCAKLICVYLFEGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVTGSAELIELQNGAEQASPLLLLEWLSQEMLLEKLGFFATALNYLQFEQNRYASFSLLKQQKDNSVMVGVLKEIENMLAGGRLDLPITLCFAVTRGDDLLLHQLLKRGLDPNESDNNGHTALVYYEGKVPLWEALCEKHAAVVELLVKSGANLSSGDTALYACVAIEENNTDLLKDIVRYGGDINRSTRDGTTPLHRAVCDGNVHMVELLLEHGADIDKQDNNGWSPRDLAEHQGHDDIQVLFKSKSRVAPSRHASNSRVAPTLIGRFNSEPSMLNMHHEDAEVRSKVVPQKLLRKRVSFQNSLFGVISSSHAHQDSGRLHSRDIAPTGGPSCPVDSLIRVTISCPETGNAARKLVHLPQSMKELLELGAKKFGFTPTKVLTIEGAEIDELELIRDGDHIVLASDEWVPDVAQIRHDKK >Dexi4A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:4A:5553849:5554322:1 gene:Dexi4A01G0007460 transcript:Dexi4A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYYSPYYQPAAPYYYNYQHQQRVRGGGGVYVFFLLATVSVIAATTLYAWCESAVESLLDQLKPLLILSPLLLIVAVQLWVATGGGSGIMCTLAEMVAGDHRRQHYYGYGYGYGGAASAGSSPWGVAVALVLVLLLVSYHSSFQEKWFPLLGR >Dexi7A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:7A:11654588:11655366:-1 gene:Dexi7A01G0002850 transcript:Dexi7A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGASSSSKVLMTTADACDMPAVSWMKKLSMTRAGDPVDDGVVEVAVGELGRVAERDEAAVDEVAVVVVGDEAARASRPERGAAEAEVTRGAEREGHGAVGDDAGDTWPVGVSVEGGEEVLEAGEAGGHGGVAEGGDVLGGVGVGAGEAVVDGGEAEARRAVERVGPPRAEVAAVVELVVDEGDVEATGVEELGELEHGRDVALRWGIITACGGCRPSVVPSGAGGREPILLIDR >Dexi5A01G0028650.1:cds pep primary_assembly:Fonio_CM05836:5A:31854876:31864563:1 gene:Dexi5A01G0028650 transcript:Dexi5A01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMRRCRRGFPLLCLAAALLVAAALLPGAAVAEGVTPSEARRLRDEVKDMFYHAFDGYMKYAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFGAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLLASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSVNLMYGVDENESKASFFISLIFLYAIQITSTAGGGTLTLEFGILSRLTNNTVFELVTKNSVRGIWSRRSKLNLVGAHINIFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLYKATRDYRYLDVGRDILTSLQYGARCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLGAGPDNIVENGPYK >Dexi5B01G0028590.1:cds pep primary_assembly:Fonio_CM05836:5B:29963718:29966454:-1 gene:Dexi5B01G0028590 transcript:Dexi5B01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLLGSHAADDGHQERRRRPSWLGRVVDTEEAWAQLRFSAPMILMNMFYYGIPLVSVMFSGHLGDVQLAGATLANSWVTVTGYAFVIGLSGGLETLCGQAYGAGLYIRLGLYLQSSLIVSAAASALISVLWAFTEPLLLLLRQDPRVSRAAAVFARAQIPGLFAFSSMQCLLRYLQMQSVVAPLVAFSAASFAFHLALACLLVGPLGLGLAGASAAVSASYWAACVALLAYVLRSGAFSETWKGFSAEAFRYVLPTVKLAAPSAVMVCLEYWAFELLVLVAGLLPNSTVSTSLIAMCTNTEAIAYMITYGFSAAVSTRVSNEIGAGNVAKAKNAVKVTLKLSLLLAISFVLLLAFGHNLWASLFSGSATIISEFGSIAPLVIISIVLDSAQGVLSGVSRGCGWQHLAALTNLVAFYFIGMPLAILFAFKLKLYAKGLWAGMICGLACQACSLLVITVRTKWSKIAEAMEQEKDNYGYGVA >Dexi9B01G0034030.1:cds pep primary_assembly:Fonio_CM05836:9B:36122939:36124162:-1 gene:Dexi9B01G0034030 transcript:Dexi9B01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERARHATRVSPMPPPPAGGEDPGADAAPSSSGSADDEADAQERSLPNGDIYTGQWRGAVPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGAYVGAAGDTYRGAWAKNLEHGAGEKRYANGDRYDGEWRAGLPDGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGSSPRSRDPREVFARELPECVRSGTEAQSALTSLRSLKWLMRSVSGRGSSSSGRSNASSGGSLVHFWGSDGEVKCDIGDDWRRRSVREGRGLPPPSPAPAPHLTNGGPLRVSKRQGVTIAKGHKNYELMLNLQLGISSTWGSREAGNW >Dexi1B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:1B:26253690:26256764:-1 gene:Dexi1B01G0020060 transcript:Dexi1B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPPMLSVPEKRTAAAELFRDRHFFGAAAFSDIRDARAAVAVPNPQAQPPASRRALLLRYHRLLFSARDDPCAFDEALSFTWNDAFRPHLKHAAASLRFEKAALVFNIGAAASRIAAAHSRATEEGVRAACWEFQRAAGAFRAVGQMMEEEAATTVDMSSQASAMLERLMLGQAQECCFERALAGGKSPAVCSKVARQAALYYEEAYAALVAPPLQNHFERSWVSQVQLKAALFNAEACYRHAIDLREKTEIGEEIARLQVGINAIVDAKRTARGAPGPLYDYVSRLEQDMNKSLEIAKEENYRIYLFRIPVATSLVPLPAASLVRFAPLNEILEAKSENGTQSP >Dexi5A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:5A:3850188:3850920:1 gene:Dexi5A01G0005060 transcript:Dexi5A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSAKLKNVTAPNEIPADTIHRTLRAYAEILVQTADDAYNRRVGIETITSFLDALRGMAYVAHILLDDALEALSHEHPIESLSEYALNRDVEIMQHEYNRRMHELQDDINNVAAVYHTHEVVSNTIHHAIEATKSIVRLMMARRERALGKSNGKVV >Dexi6B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:6B:22939586:22940068:-1 gene:Dexi6B01G0015650 transcript:Dexi6B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSLMGFVLYNTAASVAILAGLVRAALVFLGLAAPSPWDWEGLAADDHHHHHRPVVSITPPSGPTLADRFRSRFRPSRFGRRRGGDCRVCLVRFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRLRLLPPADDDRPAATALGLVRF >Dexi1A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:1A:27191509:27191850:1 gene:Dexi1A01G0020360 transcript:Dexi1A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRSDRSIEDCSSSLPHLAPSSSAPSLLVVAQEVLDPLERPSIGGRLSSLPHLAPSSSAPSFCLPPSVALFWASNGLARPRDLAPYFTSIPRSYFARAQRIVVLSTKSYNA >Dexi6A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:6A:27407039:27407380:-1 gene:Dexi6A01G0019750 transcript:Dexi6A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHSSRAEAERGREEVMEHTRLPAAEPKRGSCSTDRVERQSSTPGRLLFIRLRGWVPAGRWPPRGGASLTTALASASGACCRVGWCGAARDGSNGSDAANAALRNKLTRPSF >Dexi5B01G0027980.1:cds pep primary_assembly:Fonio_CM05836:5B:29480197:29480968:1 gene:Dexi5B01G0027980 transcript:Dexi5B01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASMQKSVVCRKAASRVAVSEAYVAAATAALHPNPAAVKEFLASPDSVEKLEHISYVLLRCVHDGNQIMTFQFHMLCTEVSKCASSIGMSHQPQEPNPTKIDEDTYLFVSVCIARFWHQHSRVFIKMKVALEDFNKTMASNYQFHVICGVNELVCGPHFCPSFDNIGYNPWTPHRYLFSHANFLATTIDDAGNQTATLFFAEFDNHGTGTRCVPIAWSSQFAGELHF >Dexi3B01G0025590.1:cds pep primary_assembly:Fonio_CM05836:3B:20412751:20413035:-1 gene:Dexi3B01G0025590 transcript:Dexi3B01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNIPCISCVESAGLKGIGQLQQKFRLDLDDEDAIHFFQDLINESVGALFPQMVETIHRWA >Dexi5A01G0028170.1:cds pep primary_assembly:Fonio_CM05836:5A:31497151:31499154:-1 gene:Dexi5A01G0028170 transcript:Dexi5A01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPTPAASTATASQPPGPEPEPAPAPAAGLPDAIAAALPPDPYEQLEVARKITAVAVAARASRLELEAARLRQRLADRDRVAAELAEKAARLELALRDADARLRAALDDNLVHFVAILVSAKAKLAKERDSLAQTTKKLARDLAKLETFKRYLMQSLGDDNSPIQETVDIRTCEQSVAKANSWKDGLANSHPVSSLSDGSNEAESVNQEVTRPFEQKLTITHITPRLTSDQAPKLRTAATSPRRYSTAVSPKLTSGATSPRLEGHMAMSPWLPSSKMSSAANSPPRGHSISGRTTRVDGKEFFRQARSRLSYEQFAAFLANIKELNAHRQSQEETLRKADEIFGAENKDLFMSFQGLLSRSLS >Dexi8B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:8B:27933961:27937688:-1 gene:Dexi8B01G0016770 transcript:Dexi8B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLAAASHGGAAAQGPPIGVNYGANADNLPSPSAVATFLATQTTINRVKLFDANPTFLDAFAANAPSISIAVSIPNSALPSFADQSTGLDAARGWVRDNLVPHSGANVTLLLAGNEILGPVVVPDLVVALLPAMRRLAQALTLEGLPNVRVTTPHYLGILAPSDGIPSNARFRPGFDNKVLAPMLKFHRDSGSPLMVNAYPYFSYNAQNLNYAIFRPNAGVYDPNTKLNYTSMFDAQMDAIYTAMKKLGYGDVEIAVGEAGWPTQAEASQVGVGPEEAKDFNAGMIRVCSGGKGTPLMPGKSFETYIFSLFDENQKPGPIAERNFGIFNTDFTPKYDLGLLRQGSSGSPNPSPNPSPKPSPNPSPNPSPSGGGKWCVAKAGADATTLQNNINYACGYVDCKPIQSGGGCFDPNNVQSHASFVMNAYYQANGRHDYDCDFKGTGTVTSSDPSYGSCKYVS >Dexi5A01G0022010.1:cds pep primary_assembly:Fonio_CM05836:5A:25895266:25907053:-1 gene:Dexi5A01G0022010 transcript:Dexi5A01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGSMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAIACLMTYMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISMKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAIAPLPTPTGNKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDTGRSRNDSIDQLVVKQTKKHISTPAPHDSYSVLSVSSSGKYVAIVWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALAPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVIGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQCVFVDAGVAAIDIETKKRKEEMKAREAQGRAVAEHGDLALITVEAPQVTVVRLASFQHAPSIPPFIVPKQSKMDGDDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWLEFDLAMQSNDLKRALACLLTMSNSRDVGQETAAADATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQSDIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKNSGTKPGLPNAAQASNGPIGAPMVQGATAPQGTPMVQGAPGAQVPMIQSAPAPSQGTDEAKPSEATAADAAPPVADAAAAPGSGEATAAPGNEEAPAAPGNEEAAAAPVTDASSNTDPAAAAPAPAAGSNSTGAPGVTPVEAAASAPSTETSEATDKPSSTEASPPPPPPPPPPPAYESVV >Dexi9B01G0049170.1:cds pep primary_assembly:Fonio_CM05836:9B:47942727:47943320:1 gene:Dexi9B01G0049170 transcript:Dexi9B01G0049170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLGSISVLPPRCTRTGGTDGVGALGSSAASLAGSQQPRSQSLSQQSFSQGAGGSSGGGSSLLHSQSQLSQGSLDENILSLHLASPTRDQATSNVL >Dexi1A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:1A:8450792:8458112:-1 gene:Dexi1A01G0010000 transcript:Dexi1A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQDSPRTAHHTTDEESVMGSRPEARPRPSRRRLAVDVVATGVPSSPLAETPDEHQWRQPLWFPTPFPFPTALRFPSSCSSSRRTAAPALGHPKIAGAGRGEDRRLTGGREREREWPGHTRPPPPRLPLAPFPPVLTPAHPLPLAHGTGLKSPTRPSPPPRFVSSPPPMSLRDPNAAPPDPTRRREDAVGWLRSLFPEVRLPQQTTDDDLRAALGNGRLLCALLRRLLPGALLDNAATDNLGRFRAAVERMGVPTFSAYDLERGEVSAVVTCILALKDRFSSRLGEDHDSSTFLTRCDSEGSRKNSKLQRVLSTPIMSEPYSPSFGADVYSPSRVFQPKQGYSDLPGCKISDLMKSSSLENAPTQSLLGVVNSILDESIERKNGQIPYRIACMLRKVIVEIERRLSTQAGHIRNQNNLIKAREEKYQSRIRVLEALACGATGQTHVERDRLEGKGQLAEDDMARLMQYEEDLVRLMKEKEDMVRFLKEKEDVIRLLKEKEDMVRLLKVKEDTSDLSNEKVDKLLKEKDDAVFRLAKEKEDMVRLLKDKEDIIRLMKEKEDMVIVKDVKGEDTQRTTDESKDILLKEKDAVVFQLKKEKEDMISLLKEKEDVIMLMKKKENMVNLGSGQFSDRKQAIDDDRDRLIKENNDALTRLTMEKEAITKLLKEKEDVIRLMKEKECKADMKKDNAEDRNQGTDEDADRLIEQKNNIVRLMKEKEDSSNTIMKLKKELESLRSSHEESFKLLESKKGDVVKLLADKETNENIILKLKEDSSNTIMKFKKELESLRSSHEERFKLLESEKGDVVKLLADKETNENIIVKLREELEANKKLHEAHSQQLETKAAKVNKELEQRIKEIEFMLEDSTKRRRELEESAKSRIQFWKHKEILVNKFVGLQVKNAQASTKTSIYFSTGQNLKVVTNAAEKYHAALADNRKLFNEIQELKAGNIRVYCRIRPFRPWEDEKSTSVEYIGENGELVLSNPTKKGKEGGKNFTFNKVFGPTITQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPDNGTEKEWGVNYRALNDLFIISHDRRDTIKYELGVQMVEIYNEQIRDLLGSGGSQKKYPSYSRLGHNNRSMSATAMNERSSRSHSVVTIHVQGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGDLLCGHAKTLMFVQVNPDVTSYTETFSTLKFAERVSGVELGVARTNKEGKDVKELMDQLALLKDTISKKDDEIDRLQLVNTSTSRLKSTKPGESMLKHSSSSPGMTSLGKVASFGSGVASDLDNFSDTSDRHSEAGSIFSTDENQQLVQSSANPEGSALGEVDSDGRLSDVSDGGISVGVEIDSSVSNVADQEQEKTSSAGKERLAKAVNRVQKLTVPKVGQASSLRPKPRDPSAPKSSVATGVRKSTTTQATPPARAGSTSKRVP >Dexi2B01G0034420.1:cds pep primary_assembly:Fonio_CM05836:2B:41657754:41671427:-1 gene:Dexi2B01G0034420 transcript:Dexi2B01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRVAPPPTTTLLPLPRAAPPLLLAGRAAAVRRSSLRARGPSSAAARRSWVVAASSFSSSARAALGGGGGVARREAPPAPRKPTQQAADLNDILSERGACGVGFVANLKNVPSFNIVRDALTALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFDDWANKQGLAPFDRTNTGVGMVFLPQDEKSMEEAKAATEKVFTDEGLEVLGWRPVPSNVSVVGRYAKVTMPNIQQIFVKVAKEDNPDDIERELYICRKLIERAAKSSSWADELYFCSLSCRTIVYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRYSTNTTPRWPLAQPMRLLGHNGEINTIQNIHCVLFSLQGNLNWMRSREATLQSPVWRGREHEIAPFGDHKASDSANLDSTAELLLRSGRSPAEALMILVPEAYKKHPTLLIKYPEVSDGRTVGAALDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVIMKGRLGPGMMITVDLQTGQVLENTAVKKSVASANPYGTWLQERTRSIKPVNFLTATTMDNETVLRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAVLSQKSHLLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPDNADQASSVALSSPVLNEGELESLLKDAQLKPKVLSTYFNIRKGLDGSLDKTIKALCEEADAAVRSGCQLLVLSDRSEAPEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFVQSRPGGEYHANNPEMSKLLHKAIREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWNPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVAKANADIIQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGYEKLDEIIGRTDLLKQKHVSLVKTQHIDLGYLLSNAGLPEWSSSKIRSQDVHTNGPVLDDTILADPEIADAIENEKEVSKTFQIYSVDRAVCGRVAGAIAKKYGDSGFAGQLNITFNGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDKTGFVPEDATIVGNTCLYGATGGQVFVRGNAGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDENDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACTEFERVLAKQATTQLSAK >Dexi4A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:4A:3786517:3788398:1 gene:Dexi4A01G0005270 transcript:Dexi4A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPVAAPSSISVPSTRRHRALPASAAPREPPRAWGAAGAEERARRGKEAEVDDEEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEAFHDRVAALRWDSALKVFELMRDQVWYRPHMGIYIKLITMLGKCKQPEKAHELFQAMIDEGCAPNLESYTALVSAYSRSGRLREAFDLLDRMKGTPGCVPDVQTYSILIKSCLHAYDFEKVKILLADMARAGIRPNTVTYNTLIDAYGKAGKFAEMESTLLKMLSQNCKPDVWTMNSTLRAFGSSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDIEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRADEVKKIKTVLRIVENSDITLDIVFFNCLVDAYGRVGCLAEMWEILDLMKEHRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRITDDT >Dexi1B01G0022620.1:cds pep primary_assembly:Fonio_CM05836:1B:28307731:28309108:-1 gene:Dexi1B01G0022620 transcript:Dexi1B01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFHDRGEPERKEMDMPRPPQLAGVSPAAVYFSGGGASGNWRKRPREAVMAPPPPSAAKEEYVNLFTLQPHQSTSFANMAAHFQSQNNRVSSSPSPAATALVSTGLRLAFDEQQQQHQQQQESKQMNALRYSSSPSLFSSVSDELAAQVKQHDDEIDRFIREQGEQLRRAMADRLRRHNRALLVKADQSAARRLREKAAEAEREARRGAELEERLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAAAARASVEELAAGEAVGPAESSSSAYVDPRRGAGPSSDRACVGCRLRPASVVLLQCRHLSLCGECFAAGDAEAAMACPVCLCVRTGSVEAILC >Dexi5B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:5B:19580678:19581498:1 gene:Dexi5B01G0017710 transcript:Dexi5B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGEDGKLKVLGAWPSPFVNRVRMALHLKGLDYENVEEDLANKSDLLLASNPVHKKVPVLLHGGRPVSESLIILDYLDDAFPGSGQAVLPTDPYERAVARFWAAYVDSKLHAAMLGALIGPTEEERAAATAETLAALDTLEGALAERSGGKGFFAGDAPGYLDVVLGGFIGWLRAWDRITGLTLLDAGRIPQLVAWAERVAALDAAKGVIPEPDHIAEFAKVLQARAAAAAATAAAASN >Dexi1B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:1B:4309370:4310433:-1 gene:Dexi1B01G0005270 transcript:Dexi1B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLIKSSLKELIEQYQHSTNTQFEEINQDQQIFVEMTRMKNEMEKLETGIRRYAGEDLSSLSLDDISDLEQQLEYSVSKVRARKHQLLNQQLENLRNKEHILEDQNTFLYRMIHENQQAAAALGGEVKLGDQMAPLAMLPPPPAFSAAAYYYGGESSSTALQLTPAAPQQQLHSVDVGFRLQPTQPNLQDPGCSGLHGHGLQLW >Dexi8B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:8B:25588212:25595128:-1 gene:Dexi8B01G0014840 transcript:Dexi8B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGSQQFPCSFGVQMIDMVSAIKELSGLTTKELSEMLKESDSFVLSSKTEDGCLKQVDMEKLVSSLPLHLLAVCLELGQDSDVAYVLRGVRFLHSLTELASRHTRLEQVLLDDVRLSEQVMDLIFFLLSILAHRKKENNVGASPLIHASLVATSLHLLTSYFSSQWHELVHILLAHPKGSIDLVASVSRLKAKILSILLQLCEAESVSYLDEVATSPNSMQLGQTLALEVLNLLKTAFGRKQKITSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFMTSTVPFLTQILAIPHDEFVLSWCSVNLPMIEEDANLDYDPFGAAEVALLASDDVLTEAKANYSCPFRPGLPSTAYAQTRTSCLLICVTLLKPSSIVLSTEQERDLFLQNFQKYLVSGSPKLSVDQPDSKDFKATEVCRNLGSLSDYARSLIPNFLNEEDVQLLSDFSDKLQSWCKTEVGQVAQQMTHTDTPLESKEGLHMVQQPLPTPASAPDSNMNNLPKDVQNMEVSTPMPPIKPEGNAKDETPKNSVSRNGSFLQNAVGQDLVHLGVARTASSGFSAVSTGVNTGHQRSKMDNDAASSSVDHFKTPELTKESGLQEDEKGDSSMYDERQPKRRKRTIMNDEQIDELEKALVDEPEMHKNVVLLQNWSEKLSVQGPEITASQLKNWLNNRKAKLARIAKERGVSFEGENADKPSTPATPHLGESSESAGEDSYLPPARVMNALSKGRLVSPDGNELTSQGDFSQNMMLSRPFTRSFSLEPGRLVSLVDGDGKEVGRGKIFQTPGKSPAESRVCMIDVTELRTEKWRELPHPSEASGRTFQEAKARNGGVIRIAWDVVRLAPVAP >Dexi5B01G0025390.1:cds pep primary_assembly:Fonio_CM05836:5B:27426288:27428043:1 gene:Dexi5B01G0025390 transcript:Dexi5B01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAGHWRFPYGMELQGYAYILTHPGTPAVFYDHIFSHLQPEIAKFINIRRRQKIHCRSKIKILKAERSLYAAEIDEKLIMKIGSEHFEPSGPQNWVVAAEGQDYKIWELSS >Dexi1B01G0023990.1:cds pep primary_assembly:Fonio_CM05836:1B:29446106:29453536:1 gene:Dexi1B01G0023990 transcript:Dexi1B01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCLPTGDTPALSNGGGEDHISTLDDAVLSNIISRLPLKDVVRTAVLSPRWHHVWASTPLILNDSDLLGDLQNDDDMKWHNIIGDTNDEGTKWHNIIDAVSGALYAHPGPFHLIYLSHVCNYAASQGGGALLCSWLRVLADKGVQNLRLINESLVGYADLPVDILHINSVVTLYLKLWTFPKTNNLSRNRAVFPHLYHLWLCETYIRTIDLDRLLQYSVELRKLTLNGGMDEPIDKINFNFWKEAVPIICVRSRMTKLVFKNCRGGRGEFEFLRFIWERAKVLEKMELVLADEIDLDSVHELLAKLKSLEVMEFVQTNALKVLQKLLSVTSLATDVSSDDSGLEAPAGKSRAQHGRLA >Dexi3A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:3A:2712250:2720617:1 gene:Dexi3A01G0004120 transcript:Dexi3A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWEGSCATWVRIFLSVRPNQAGRPTQVLQQVTTTTPPNRSFPLSSSERGIMANTEPKFAKVQGRSTYTLPPTRLRASAACPLPVGRHAPQQPGLVEARHGTGWPSPYPDGKCLLQYRSTPPLPYFKATQLAPRCGMTRKGCVLPSSAHRRSSPVTTTAGIMVSGKPRRAQQQQPATSPEVTPDDCSPILAWSPGSSSDPDVYLVKRKCPKSSSAPPPPAPATEPSPEAAHEQPVVQNVVRRPRQRRAVGKRCRHCTSSETSQWRPGPDGPSTLCNACGTRYRQGRLLPEYRPKASPGFRPSVHANQHKKVLQLHRRRRRRGAPPPPDPADGGGDSTDEDDVLPAGSGGDHLRYELSLPWRSHRPNDIGAHCPTDEPTSPDLWIRGRRTSNRKFNGKAASGEGLKNKCIQFQVLQILAVSRRVGLAAAGPLHTGQDEAYGNVRSQVVWCRQRRAAKADTSTKALELQCYIVPLLELLMDTDTCCHHLNVVTVCPLPLKPAVLQRVDRRARPTSSSCIGTLDQLPLGPFRDKNDSDLPRPPLQLPPDLSTMSDHAFTINDRTYPSTHVACMMLPSSNLPRHGAPRPPDSPPMTDSEYNTRQKCMDVDTSGPAVRREWRGDSARAPHDTPNRRGWRLRGLGHPISLPCHVCTPPNPAAPLYLHTAPLHTRFDLQFPVSTACAMDSRGGGARRGRHSGDGVPAWAMLLSVVVSFFDRDVRRGKRSTHLAAGGRRSKAAPTKWSDFRLSAVPPPPPPPSAPAPVEKCSDCGTTSTPQWRSGPMGPRTLCTNCGSRRRLAGERWGKPRCTWRGAVMARSAKARAWAARDQPPAAQQVSPPVLAASPPSTRICDDQGLERASPNQVTSPPPPAPATAVSDHPPPPQKILLVPASSPPSSRICAQGFGPAPNVLTSPPHDRVRTPPPATESLAAPAPTPATAVSDHPPPPATAAQEIPRPRHSINLRARVSTSSSRSRRAPGEDAIAQPRDASVSGSGDGNGGQRATATATAAGADLISPGVVVGAGQAEEAAAQAQVGEGLHVSALRVDVDPTVEGGTGWPPLAVQRGRLLPEYRPKASPTFDRNVHASWHSEVLRRRRRRNSAPMMMTPPPPATMTPPPIPLVNVVAREPRAGANNADPMEGSFLLDGPVAPMPLLMLPPPSPPVANLASEPRADGANEDDSATNAMEELGPLDPFLLDGPAAPMLIGEDGEPTRFFIFFK >Dexi9B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:9B:12043831:12047403:1 gene:Dexi9B01G0017290 transcript:Dexi9B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPPLLLLILLLAVSSCTAAAGSGARVVGEDYVRPPPARFHRKALLSLFPWSKKKASSASDPQQHLWDSFGALVEPLASTRPWMVTEGNHEKEHIPFLESGFQSYNARWKMPYEESGSTSNLYYSFEVAGAHIIMLGSYTDYDESSDQFAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMASMEPLLYSAHVDMERVYNGKLDPCGAVHITIGDGGNREGLARRYRNPKPAWSVFREASFGHGELKIMNSTHAHWTWHRNDDEEPVRTDDVWINSLSGSGCVQQGSHELRKILMSP >Dexi6B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:6B:21831186:21832567:1 gene:Dexi6B01G0014260 transcript:Dexi6B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCRLNAGLAHQPASQRWEQTSQLQPQSFPAQPAEQEAIRRPGGEASNDTDSWALGTVRLQKMAPFAHCFPARSTPSSRLNLSPNHQLRRPASLINKSQKASIFMGKHAEDPPWLKPESHGTLASFLQQLAAGATLWICQ >Dexi6B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:6B:21172626:21173302:1 gene:Dexi6B01G0013480 transcript:Dexi6B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRQSIFHLGEEGGAAAAVHHRVGVVGAAMAGGANARRARERERLAVGLQILVHHHAHSHGRHAHAANVVLKQMVRPRAAAAASRHGGHHGHAVGDQGFCSEECRWEQIMVDEGRERQEAMVSKERQRRGQAHHHSPHHTPKRGRPPPRKSLAVA >Dexi1B01G0027330.1:cds pep primary_assembly:Fonio_CM05836:1B:32072963:32074792:-1 gene:Dexi1B01G0027330 transcript:Dexi1B01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRAEQAFPNAAPATTSSHRRCGTFVGNLVNGKCVSVMLLAVGVFLSALLMLLHLRASGGGVPDVPDIPTEEIEGGFVLLVPHSEIASEDRRLEKEIYNQIGVPNSKVSVSMRPYDYTNTTYVKFCVLPDPRNTSMSIKSIDALRTSLIRLTLQQLNLSLTPCVFGNPLCLEILGFPGGITLMLPHNASHAGPVQPLFNITFDLTIREVREFLEEMKDELALILQKRPDETLNIDSVLNGL >Dexi1A01G0030550.1:cds pep primary_assembly:Fonio_CM05836:1A:35819117:35820060:-1 gene:Dexi1A01G0030550 transcript:Dexi1A01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMLIVLLVAFAVVASPSMAARDVAKVAEAPAPSSSGSGGDEVLYPMDLFGDLIDEIGKDIGEIGKDLGNLPDLPLPRILPCPPAFPIKIPFIPCYNVTPPPPPVTECRTSLTKYTPPCSGFLTGDDKSSRSSPPKKCCGAVSSFFRDNSTTPLCLCRVISGDAGKVNHTRALSVLQLCGLGVSPDQC >Dexi6A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:6A:27920585:27923398:1 gene:Dexi6A01G0020410 transcript:Dexi6A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRYADARQQQQAMVAAAAAAAGMAPSATVAGAQTIGAAAAGINQQAAAAAMAQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMASVGANDTSRAAGMGAGMAAGMGAGMAAGMGAGMAGYGVDERRMMGVVGLDSRGMGYGGRPEPPLPPDASSTLYIEGLPANCTRREVSRFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATIALEALQDVLIHF >Dexi5B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:5B:11994865:11997126:1 gene:Dexi5B01G0015010 transcript:Dexi5B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAGKGMDGAAVVAAMAAVAVALLCIQLPTVAKGQLQVGFYNTSCPNAESLVQQAVANAVANDSGLAAGLIRLHFHDCFVRGCDASVLLTSANNTAERDAAPNNPSLRGFQVIDAAKSAVEASCPNTVSCADILAFAARDSINITGNLAYQVPSGRRDGNVSLDTDAVANLPRPTFNASQLVANFAAKNLTDEEMVILSGAHTVGRSFCTSFLNRIYNGSTPIVSRSSYISVSNYNSFDIFYHNFDFSFYFKIMDVQADTGLSSGYATLLQALCPSNANSSTTITTVLDPSTPTVLDNNYYKLLPLNLGLFFSDNQLRVNSTLNASVNSFAANETLWKEKFVAAMLKMGNIEVLTGSQGEIRLNCSIVNNGSSSSSSVAAPRIKTTVPSYSGSTASLDEIATS >Dexi6B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:6B:17566542:17568332:-1 gene:Dexi6B01G0010820 transcript:Dexi6B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCLKSSKPNTIVLSGLSGGGKTTLFYQLRDGSAHQGTVTSMEENNDTFVLHSEQERKSKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRTAISSADISDEVQLGVPGESFNFSQCLNRVTVAEGAGSTGNVSAVEEFIREHVKA >Dexi9A01G0025330.1:cds pep primary_assembly:Fonio_CM05836:9A:23535186:23538525:1 gene:Dexi9A01G0025330 transcript:Dexi9A01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGFRRPRPQPPLLLALLLAAAAAEARFVVEKNSLMVTSPTSLRGRRDSAIGNFGIPQYGGSMAGAVVYPKDNTNACDDFDGKHPFRSKPGAMPTFLLVDRGGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDDIETNECLNDNGGCWQDKVANVTACRDTFRGRVCECPTFNGVQFKGDGYSSCERNIFCSHCSHPNLDLIINIVLHQLY >Dexi5A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:5A:875878:876452:-1 gene:Dexi5A01G0001310 transcript:Dexi5A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEVHLKIKSDDDAVDQDFSKDLLEHNASHHTRQPMAVPIGSCLSTVMIVAAGTQTQLGDGGCVALTRRVVAVPLDEGLVLKACHKAQCSHELVLRDDAERDVEECNLKLGSYELQVKVVWTAVDRQRRRKMWERIGDLDVLW >Dexi7B01G0024380.1:cds pep primary_assembly:Fonio_CM05836:7B:28740895:28741293:-1 gene:Dexi7B01G0024380 transcript:Dexi7B01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAVNSSVSCGGAPDEDGPWRRAPPGASEGQHSCAPTSSVGRGRVRWWALPPMELASAPTPGGLRAVGNACYQRSSPTFGKARSRAWRGLPAGGLTSASCTVRVTSTLASSRVLDAEHPQRPTGGFACS >Dexi9A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:9A:6370593:6371003:1 gene:Dexi9A01G0010460 transcript:Dexi9A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTCQSLGAHSGCATDYTCENPSCSRAFHSICLRDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVADR >Dexi5A01G0023890.1:cds pep primary_assembly:Fonio_CM05836:5A:27838891:27839790:1 gene:Dexi5A01G0023890 transcript:Dexi5A01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLQRLPVIAFLSLVFLVVHVPASHGSSSDSLPPTYDSSMCPESFSCGGVDFHYPFYLSNTTRYTANYTPYSCGYTDLEIFCQGEGPTWTPVIRLGGDTYTVLNIIYDNKTIVLADSDVLGPVKCPAVSHDVSFDKLWLRLNPGSNENLTFYFGCKSLDRVPPVLDTYRIDCTGFKNGFGDGPSFIFTPDDHDPAQEPELAALCYKLSVPVMGEAPATMNRTNFTHGGYGDMLKQGFELVWLSNSTHDECLPCEQSGGKCAYSEYREFNGCLCSEGKVVQQHPFCRPSKSFETDHSV >Dexi3B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:3B:13890849:13899050:1 gene:Dexi3B01G0018680 transcript:Dexi3B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGPGVATPGRPRWGSSGATTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLLEDDNIVLRSVEPAALAAAAAAGLGIGASPSSVAPPPPPRRHHHEPPQASSGAPSRSRSPAMRRTSSHRLLQFSQELKATASRAKQFSQDLTKRFTRTQSRANLAGEAAAAAPSGIDAALEARAQRRRRAQLDRTKSGAQRAIRGLRFISGGTKTSNAWIEVQANFDRLARDGYLSRDDFPKCIGMTESQEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIEVNNTCFILYRALSVAGVETYNGTIAVAIVVGVILHLGNHLVCDFPRLISSSEIKYAPLREYFGDKKPTYLDLVKGVEGITGIIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLSVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFECNWGFIIYYYYFLNSSLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKAEEEEEASSDLYPPIGKAHVDLDTLMRATSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQKNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVSFSALA >Dexi7B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:7B:19019869:19023093:-1 gene:Dexi7B01G0012200 transcript:Dexi7B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATCPFLQEKDFSCRAVSDVPVSFPVLGKKDVLSSSSSAPQDGPAGARRRRRLLNAGTSSAAGARRLAVAAPGSASVSERVATSVVGSGREGVLPGAGSEAVAATGASHEALVSPTRRRASWETISVSEAGSSVASAKAVACASNAGSSCASAGPKAIPTGVSGAKAVTATCHAGSACATAESDAVTALVFSGTGAKAVTFACHTGSETVPVTGFTGSAAAFAELHAVDDLDVGPALTQLLRAVLPGRRAGCEGCGQGCDASVLIQGNGTERTDPANLSLGGFNVIDAAKRLLEVVCPATVSCSDIIVLAARDAVVFTGGPAVPVTLGRRDGLVSLASNVRRNIIDTGFSVDAMASSFTAKGLTLDDLVTLSGGHTIGSAHCNTFRERFQVANGSMTPVDGSMNTDYANELIRACSANGTVAAGTAVDCDAGSASVFDNRYFANLLDGRGLLRTDAVLVQNATTRAKVAEFAQSQDGFFASWAESYARLTNLGVKTGADGEIRRTCSSVNG >Dexi4B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:4B:22138757:22139526:-1 gene:Dexi4B01G0019990 transcript:Dexi4B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVRNVNSVYQRSNQLLEQGQGSGKGQRRGRGRPKGSGVGSGSGQGDGSRSPPPPLEEEESSSEDDDFVPPAQHPESEDESEEDDDGEETDEGGRPLGIHREFGCEVRRPFRNDHRLTNAR >Dexi2A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:2A:31726577:31727342:-1 gene:Dexi2A01G0019560 transcript:Dexi2A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNSSQSTRTKLQFSPPNRPGPDDGTPTHGSPILIILMGRSLAAASSQRPLFLAAASLRSTPNSFTPFPSSPPRSACSWSTTARCCCFASPAARTTSSSCMSCPRSSCRTSRNRERKPRSSSHCRRAWASSVASVRLSFLSCSFSLLRSARGGDDADEELRSLAPDVVVADAGGAGGSGGGNAAFTTLPPDLLEDCGVVVDLRRRIPDRRPCSPRRKNSFANSHRSGTTFRKPCMNGIEK >Dexi2B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:2B:12555091:12557940:1 gene:Dexi2B01G0011010 transcript:Dexi2B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEYNDMDMGYEDEPPEPEIEEGAEEELENNNEDAPDDVVGAEAEDKEQEKKARDRKTTKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDTWKRQVGGD >Dexi9A01G0029190.1:cds pep primary_assembly:Fonio_CM05836:9A:34041252:34041497:-1 gene:Dexi9A01G0029190 transcript:Dexi9A01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALYSMAVAMAWPPMRGAVLGPMESKGCSSALYPASTTDYPVHTPPSLSPCRGCRPMAGGHLSGESIRHGALLSCQDGLR >Dexi5B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:5B:6957815:6965226:1 gene:Dexi5B01G0009980 transcript:Dexi5B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTDDIAEGISFQAFEDDCRLLATLLHDVLLRELGPRFIHVLERSRNLAQSAVSMRAAGMEDTAAVVERQLEADLAAMSLEDALCLARAFSHYLNLMGIAETHHSGVPPEQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRVAHLLEFNARPDLSHEDKEMLIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSSALKKHTGSPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIRELDNLSFELSVKRCSDKVSSLANEILLKESASEELKDNTWNQTVPQRNTKLHHSLALPAQLPSGADLPSCTECTDGESQFRIINLPRNPSRPLVKTRRRLELLLEDLPCDYDTEEYCETPDQLLEPLLLNLVDTPETLDAVTSYLDLGVYSEWDEEKKLDFLTKELKGKRPLIPPNIEVAADVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVRGDLGRPCPGGTLRVVPLFETVKDLQEAGSAIRKLLSIDWYRDHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQANCAHYRRTVYEDPEFITYFQEATPQAELGFLNIGSRPAKRKPSGGISSLRAIPWVFAWTQTRLVLPAWLGVGAGLQSAMDAGHGEELRAMYDEWPFFQSTVDLIEMVTAKADAPTAARYEEMLVAAPERRRAVGGELRRELARTVRCVLAVSGHSKLTAHNRSLRRLIESRLAYLNPMNMLQVEVLRRLRRDDDNRRLRDVLLITINGIAAGMRNTG >Dexi3B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:3B:1921908:1924227:1 gene:Dexi3B01G0002880 transcript:Dexi3B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGIAVAGGHESGHGFFRADITMTEAQEAAKGYQTSPSPSTSPTPSPPPAEAPHGGDATATPLAWSLGGDKPSEAAGDNGMQTAGQSEHANLSSGRRRGRPRGSGRRQILATLGEWYAMSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSICILAANGTISNVTLRQPDSSGSTFTYEGHFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLQQQASPTPALPAPVAPPPVLTAVMPISQAAPGNGFHAAPASAAHPQHHAAPEHGAMNLDTTGFTMVGWPASSQPMAHRASPDINVSLTLQEY >Dexi1B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:1B:766380:768993:1 gene:Dexi1B01G0000900 transcript:Dexi1B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGYRDVVLPVRALDGRTTTVRLPAAAATVKDLRAALRASFPPAQAAPAFHLFLRGGNLLLGDDLSNLSLSPDESISIIPYTARHTTTPAPPRPGRTTPATPCPGRSTPAPTPMTPWPGRSTPAPTPTSPWPGRSTPTPAPTPNPPPPRTPFASAKKRKFFESSWRGEDIYAKVAGVPNNDPTSPSFYCHGEQPLSAAAMFSHLEQGLGKHGQIKHFEEIPGREASFRPLPPRLSPATRDALGAIGVTKLYSHQAEAIDHALAGGKHVVVSTSTSSGKSLCYNVPVLESISPPASTSCALYLFPTKALAQDQLKTLLEMTNNSALNSGDVAIYDGDTPMKDRAKIRGGARLLITNPDMLHVSILPCHSQFARILSNLEHVVIDEAHSYKGAFGCHTALILRRLKRVCAEIYGSHPKFIFCTATLANPREHVMELAGLDDVELVHNDGSPCGSKLFLLWNPSVARAKERRPSPVQEVSYLFAEMVQHGLRCIAFCNTRKLCEMVLARTREILEETSPELADTICVYRGGYVAEDRRKIEADLFGGKLRGVASTNALELGIDVGNIDATLHLGFPGSIASFWQQAGRAGRRSKQSIAVYVGFEGALDQYFMNFPQNLFGEPVEHCQVDSQNQKVLGQHLVCAAFEKPLCTECDERYFGSGMKSVMLNLKEKGCLSLANNNEPEQCSGVWKYAGPDKSPSRAVSIRAIEHDRYKVIDSGGYRVLEEIEESRAFFQVYEGAVYMHQGCSYLVERLDLSSKTAYCRVADHLKYYTKVRDHTEISVHEGDISLPDPRSALSSKTAQANSCKVTTEWVSFDRIWKSNHQLSDSIKLDVPPYSFDTQAAWPWDFKLVSMLRHMLSSA >Dexi1A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:1A:9055473:9057800:-1 gene:Dexi1A01G0010470 transcript:Dexi1A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLATCRLFLLLLLLAVVTTSSAVTTSSNSNRSSSSNCTLLDAEFVRAAFLHVANFTLPSPNRRTCRPVRRLRFPSRNLSGAVEWSELGNLSSLLTVDLSGNSLQGHVDASFWRAPLLRAVDVSRNNLNGTLQFDLDVDDDPSSNKRRLASLDVSRNQFTSMEGVAGLTGLQNLNVSRNRIGEAPDGLRNLTKMLSLDLSWNGMTGRFPDDLPPLSSLQFLNISYNNFSGVVDADIVGKFGSDAFIQAGNTLQFDPPPSSGSGHKIKRVVLIAVIAAGAVVTVVAFVAVCCCCCMTRGRRKKKGNKEGKAAVWEDEEVVVGAAKVDAAAPVVVLERPLMELTLADLAAATSGFGRESQLAAETGGRSGAAYRAVLPGDLHVVVRVVEGPVAGVREEDDDEAAMAAGLRELARLRHPNILPLLGYCIAGKQKLLLFEYMEKGDLHRWLHELPVGSMDTEDIGIDTVEAIEDRKPAGDWPTRYRIILGIARGLAFLHQGSIVHGRLVPTNILLDDDMEPRICDFLPRHVSGGGGETPEGDVYRFGTLVFELVTGQARWNDASTSWARGVIRNRKGLNLVDDRLRDDETAAVEKEMEDCLQVGFLCTASSPEKRPTMQQVVGLLKDVRPAAPPDAGEPPVAGHMMV >Dexi8B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:8B:20707270:20711608:-1 gene:Dexi8B01G0011610 transcript:Dexi8B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGFEADPPCRTVVWVPREIQAARPASSSHQLTTRQLLPTLSEHIHRRVGPRRKTAHESVGVGGGGTREAAGLGVRCGAVVDRKREGVGPTGEDREGGGDRVETRHASRSPPHLTTSFSFDQGCGSIAMASGTDEKAKMESLTSAAAFVEGGIQDACDDACSICLEAFCESDPSALTSCKHEFHLQCILECQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVVGNDAELEERILQHLAAAAAMGRAHHLGRRDGHRGRSGSHGRPQFLVFSTHPNSPTAGGTISSSSAHMEGDNDSNPATPRASESSPRAIRIGDAGCVRWQS >Dexi1A01G0025460.1:cds pep primary_assembly:Fonio_CM05836:1A:31679608:31680102:1 gene:Dexi1A01G0025460 transcript:Dexi1A01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPESAAAAGEIKDPIHIRRLELSDNERGFVALLSQLSACPDLTASEFATRFGELAAQGDDHVILVAEDPSAPERRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGSGLGLRIVRHLVEIARDAGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >Dexi9B01G0029470.1:cds pep primary_assembly:Fonio_CM05836:9B:32002647:32003539:1 gene:Dexi9B01G0029470 transcript:Dexi9B01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAIKAVSTLTGIDEISVDMESHKMTVIGMVDPVNVVSKLRKASWAASIESVGPAKEPEKKEEEKKDEAKKDGEAKKEGEGEKKDGDGKKDGDGKDGDGKKAAPTEQQILAELMNQYYRAYYPPPPMAMSTQYYVHSMEENPNSCSIC >Dexi9B01G0034920.1:cds pep primary_assembly:Fonio_CM05836:9B:36725259:36728214:-1 gene:Dexi9B01G0034920 transcript:Dexi9B01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSDAGAQPREDPSLLPFASFSLSLNIRAPTAPTLASVPSTIHLPTQISTLAVCLHPSAAQSPSRRPTRLNSATSSVISPLPANTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPFRAAAGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAEAVYAHGEVSRDECRAEERVQKAVEKEGINVKYFWGSTLYHVEDLPFRLEDMPSNYGGFREAVKGLEVRKVLEAPEEVKCVPMKNLLEPGDIPTLSELGLTAPPAMAQDSKPAVGSSLIGGETEALERLKKFAAECSMQLNKADKRNNGDSIYGANFSCKISPWLATGCLSPRFMYEELKKHATRAIPSGSTPKNDDGTSDAGTNWLMFELLWRDFFRFITKKYSSVQKTSEVATGCTPAPALA >Dexi5B01G0031700.1:cds pep primary_assembly:Fonio_CM05836:5B:32372575:32377728:1 gene:Dexi5B01G0031700 transcript:Dexi5B01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAGRRKSSSLAGAGVGAGGDAFGGKHAAAASPLRKGGRLPVYVASVFFFLCVIVMYGEDIRSLTVDPLTTRVPPAPTMTVPAAGDASTAGRRGVVVPRRDISSSEKPTAVLHGSDQEKPKQHAVETTEEETKHSATSTEPSPAAEKKPEKKADGKSKKKTKKPRRRQRAAKKTVVPAALGVPETCDLSKGRWVFDNTSYPLYKEEECQFLTSQVTCMKNGRRDDTYQKWRWQPNDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSASPPGKKYVSWEGQRIVFHAWHYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADTIAKHAENWVGVDYLVFNTYIWWMNTLNMKVVRPGALSWEEHDEVVRIEAYRKVLTTWARWVDENVDPERTSVFFMSMSPLHVSPQVWGNPDGIRCAKETMPLLDWHGPLWLGMDWDMFHEANNVSRAASPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRILSRPAVQLS >DexiUA01G0025820.1:cds pep primary_assembly:Fonio_CM05836:UA:54413249:54413986:-1 gene:DexiUA01G0025820 transcript:DexiUA01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGALPSVVTKLGELLAGEYNLQKGVKGEIRKAKDRHKIAAEIRDIKSRVEEVAKRHDRYRIINNDVVKPVRVDPRLFARYENVANLVGIDEARDDVVKILMTDGDEVSTQQEKIVSVVGFGGLGKTTLANVE >Dexi5A01G0022930.1:cds pep primary_assembly:Fonio_CM05836:5A:27047102:27053364:-1 gene:Dexi5A01G0022930 transcript:Dexi5A01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSAVQQRDNVRGEKLAVLLESSIPAPAVSHSGGVTAKLNPVSVADDASSRSPDQPNRTSAAPHPSASAFRRARVARAWVRCLRRRHHPHASRARVSMADDGEPSITRWTFEDFEVYYEVGLGIRHEPAGDGDDDGYHGPPPLGSDQLASRLAVSRANGGANLAVFEQFERMERKVEVRNGAIEDGPLQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWENIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARHAMFEELLPSTPGTMEIPYDVLVDKSEGYSGSDIRLVCKEAAMQPLRRLMTVLERNQEEIPEDDLPEVGPVTIEDIELALRNTRPSAHLHAHRYEKFNQDYGVCCKAYVLIS >Dexi1B01G0031660.1:cds pep primary_assembly:Fonio_CM05836:1B:35126456:35131888:1 gene:Dexi1B01G0031660 transcript:Dexi1B01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQLAEAGGVGAPCSRITMPAAEWVLKHTVRFLSTASSPSIPRKIAAGGSGRRASGVISGGANLTSKRLELTDDAISRTASERDPGEQHLTAVAPEGVGEGYRRRRRGIPAADSNGGTRRHKRGEKTTAIRQATADGGWSRGIRKAARSQWRERRGDGNRDHEEGTEGRREQRNVAVIAGHRRISRAMETEERRACMVKPVPAQFSFAAQFLFLAQSTAAAQSFSWPSSRAPSSLFFLFSLADAWAPLVGAFFFPEPSSSRTRRRVPAAPVSTSASPSRKFLWPFIRRRLEPLTLPNQSPSFRALSSRHHSRNPRRRLAASISSPRFAVSFLSLSRALSRLRDLAVATEPPLVAVSSLRCVPHIASFLPSLESWKTEFPSSSFAHLRRNAAAVEPSHPEPLDRNPTAEITPVSRATFAEKPLKNGPFEGDQDQVYEEEPSQYFEEGNRHRFVFLRSLTLDHRAQIPFSKDQPPKTSTTFLHGIFFGNP >Dexi9B01G0021950.1:cds pep primary_assembly:Fonio_CM05836:9B:16623957:16625229:-1 gene:Dexi9B01G0021950 transcript:Dexi9B01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTASMLLSHAGASAGRRGIPQALADVLVCPLSKKPLRYCEATGSLVSDAVGVSFPVSPVHPCSDGLLCVFMP >Dexi4A01G0022340.1:cds pep primary_assembly:Fonio_CM05836:4A:25607098:25608061:-1 gene:Dexi4A01G0022340 transcript:Dexi4A01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVMDMFLDWRSLAPLITTLVLFHTAMRDAMPPEVQRYLRRFLEWVVAAFRTPRCTILVDEADGAGVANDLYLGARCLATAPTVRLRKPRESHIPVASLPDRHTTHDTFRGVRVSWTATSRSLDRGGGGGYSSPYGVYGRSKKKGTDEQSAAQLATAVVGTRESISLSRVLNFVDGLWSSCVGERLIDGRLDDALLRPGRMDKKIKLGYCRGPALRVLAKNYLGVGDEGREDADADPDTVNGLMAEAEGLLDPAAGVRITPADIAEVFMGCDGAGATAALTKLVDELRRRRDDAAAAGVPPGEWTNDETTD >Dexi2A01G0025810.1:cds pep primary_assembly:Fonio_CM05836:2A:37469208:37469813:1 gene:Dexi2A01G0025810 transcript:Dexi2A01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLVVALLVGFVVDPSAATDHIVGANHGWNPNINYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNETGYDNCTMDGVSGNWTSGKDFIKLPEARRYYFICGNGFCQQGMKVAITVHPLPHNASSNGSSGRGGTGAQEEASAAALRSRSAAWLAALAVAAAATFC >Dexi4B01G0022570.1:cds pep primary_assembly:Fonio_CM05836:4B:24116395:24117453:-1 gene:Dexi4B01G0022570 transcript:Dexi4B01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTLRLHQPAHPHRRQPAAAAAGSFATRLIPSRRWAPPLRSRAQRIRALDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRTDHSALAATLGASFFTDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPKNLLLSSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSAQVPSTSNIRK >Dexi1A01G0023080.1:cds pep primary_assembly:Fonio_CM05836:1A:29685618:29687237:1 gene:Dexi1A01G0023080 transcript:Dexi1A01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPSCGLLLQIDPGTGSHRLRLFCPVCPYVCCIQNKIVKKARLVKKEVEPIFSNADAMKLAPKTQASCPRCNHGEAYFRQMQIRSADEPMTAFYRCCREECQLEWRDD >Dexi7A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:7A:18672268:18674658:-1 gene:Dexi7A01G0007290 transcript:Dexi7A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGGVEVEEGVTRVLLVDDSPVDRRVAQLLLSSDSCAGSFHVIAVDSAKKAMEFLGLKDGKALNPLKPIPVVVMSSENEPQRISRCLNAGAEDFILKPLQSKDVQRLRNCSTVRPNNAASPPCEAIAKRKPLVLQPSAAGAALPSGRRANFAGVTMVLHSSSVEVSQYVPLLLKLVVLVYAVLCVGELLHRWSSGGGCSLSLWCA >Dexi9B01G0033900.1:cds pep primary_assembly:Fonio_CM05836:9B:35969373:35971449:-1 gene:Dexi9B01G0033900 transcript:Dexi9B01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDCNGKEVSLETYKGKVLLVVNVASKWYLEINEFIFFEWSLGLGDAETVGVLDAASGFTETNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGTDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPIYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVIDRYATSTAPMSIEVSLSLYTRDMNYLFIVGFCLDLQKDIQKALEG >Dexi9A01G0046290.1:cds pep primary_assembly:Fonio_CM05836:9A:49667314:49667526:1 gene:Dexi9A01G0046290 transcript:Dexi9A01G0046290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTATMAKAVLLAVVLMQCCNAILAARPLLGVAAPVAGSDGGWPAMIMQMLKGGSSAPPTHGNPNGGQ >Dexi9A01G0026110.1:cds pep primary_assembly:Fonio_CM05836:9A:27676978:27680402:1 gene:Dexi9A01G0026110 transcript:Dexi9A01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLLLVDGGPMTEILLLLDGGLMAEDGGCNYWAWAEGPHSEFIQEMLRDLRNEVWRVKRKNGELKALMTEMEEKEKHKEKDIQAMRVTGWNDPLGNDRMAEKTLTSPAPLLPSRRTSPVRRSPLLPSRRTSPRAPFLSFPAAPLPFAPPPLTPHIPPRAAPRRRRRHLCRLCTTTPLAPRDTVASLLLLAAAAALSAGGYLLDALVTPPPDATVSGAGDLLPGES >Dexi3B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:3B:12283690:12285538:1 gene:Dexi3B01G0016820 transcript:Dexi3B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKGASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPTVFRFNPRNAEDIFAEFFGGSSPFGGMGGGMGGGGMGGGMPGMRTGGTRFSSSIFGDDMFGSAFAGGPDGHGMHTGGRAVKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIAADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTVHLTTLDGRSLTVPINSVINPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPSRLTADQKSGVKRLLGQ >Dexi1B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:1B:6120737:6123258:1 gene:Dexi1B01G0007350 transcript:Dexi1B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSGDDFSSGKLHKKKSVYGALPSGQLNGEPELRQREIPHSSGMVLKLPKRSLKTERPATPPSDRGTPDSLPDSGPTDEYRALRRKYMMLEEQNFALDKELSMEDEEIKALEEEKLALLDELVVFEGLVEPSELQSRRRP >Dexi1B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:1B:3430792:3431509:1 gene:Dexi1B01G0004310 transcript:Dexi1B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPHGRRNITKGSGGVLGSGEEELENVGNCDTTKEFIDRCGYGRPVSVEEGDRRISLDVSYGDGEARREVLEDSEWMATDGSNGGVVISDEEVAVSWAAEIHHQSVDWVVPLNILPYSSHRDGSIYRDTDEWKKEFRIVDRSERYGVIMYDVSSENFTEDF >Dexi7A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:7A:26090351:26091217:1 gene:Dexi7A01G0016170 transcript:Dexi7A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLNSETFTWYVDDGGPTFVTELEVVVLADVVDQARVERTQGVYVASSVVERRGRPAGGRVLERPAVVISADLILAGLQSGWLALISAATPATCGHDMDVPDKMLNPTRRRSVERLDGLASPLHAAKMFTPGAMTSGLRISRVRTFGPRDENAATTGDGRIPSLVPSKLSFAVGFALVLLYFFAAMPWDSPTATAGSRWLSATSSSPLAAVLASIIPTPPAFFTT >Dexi9B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:9B:8599031:8599726:1 gene:Dexi9B01G0012750 transcript:Dexi9B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALATIAAVAIATALHLLEGKWLPFAGAHHLPPAYWAHKPVPWVGSSELGGAAAGVGAVEEGGEGEEEEEVVRDRERRHRRRPSYDADGTSTRKEQLAMWASLLNPKGRGRSDATGWLPAPWIGEAADDEPAAKASDAPPVEGAEGVGDEASAAGQSQNYWGNNGN >Dexi2B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:2B:12813826:12816269:1 gene:Dexi2B01G0011200 transcript:Dexi2B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKLRKQPSGRLIESLKMERMRNILTHRYPYPHEHLRHFMIAVFACWAFFISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFNRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPELLTIIQNCAVISIACCVFYSHCGNRTVTRDKSIDRRTASWIAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEAKSHDLLYDHLDEKDELWFDFIADTGDGGNSTYAVARLLAQPSLVIKSDDSNSRLTFPRGQLLLIGGDLAYVSH >Dexi8A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:8A:19430173:19435433:1 gene:Dexi8A01G0011000 transcript:Dexi8A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSLLRLIFLALGAALVLLAVRSAFRLPPSFTNTSPRPRPASHSHESDVPRHPLDPLTITEINRARELLRAHPPFASSPSSMLVHSLSLDEPEKSLVLRWRKGTDPLPPRRAVAVVRFRGEAFVLAVDLAGAVTPLPVPASGYPTMTMDEQVSLCYAPFADPTFNATIRRRGVRLSDVACLPISLGWYGPTEENRRLVKVQCFSAQGTANFYMRPIEGLTVLVDMDTKEIIRISDRGAGIPIPSAANTDYRYSRHNQQQEDDNVAASKKNSHGHGHGFEKVHAPSMEPGPSGPGVEVVDGHTVRWGGWEMHVKADARAGMVVSRARVEDPSTGEHREVMYKGMASELFVPYMDPSEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHAAYIDGAFVAADGRPYVREKIICVFERYAGDVAWRHSESPITGMDVTLVARMVASVANYDYIMDWEFQMDGLIRIKVGLSGILMVKGTSYSHMNQARENEDMHGTLLSENVVGVIHDHFVTFRLDMDVDGADNSFVRVDMARQETGPGESPRRSYLKATRHVAQTEKDVQVRLSLYQPAEFHVINPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWAERDRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSGFDLKPVNFFESNPILKQRPTGEDDLPICPATTA >Dexi1B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:1B:508881:511644:1 gene:Dexi1B01G0000580 transcript:Dexi1B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPVKMISSCPICNIQVLTVELEQHASSHFEDDDQLQRGMELAHQIALAESNTDIVVNGPEHCTGSFTSDSNAQGASSSHSGYPHHYAELCYVDSGIANSEEMEQLKTIDSILVRF >Dexi9A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:9A:12209333:12210010:1 gene:Dexi9A01G0017200 transcript:Dexi9A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPVLGDVIESIAGAIYLDSKCDKEIVWGSMKRLLEPLATPDTLEMDPVAELQELCDSKAYSITYTVTHDNRMSSVVAEVQANGTAYKATQTGLTQPDARKMAAKTVLQIMKAADGTM >Dexi3A01G0021960.1:cds pep primary_assembly:Fonio_CM05836:3A:17509543:17512085:1 gene:Dexi3A01G0021960 transcript:Dexi3A01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTGARIPSVGLGVWQIQPDAVGNAIYAAVKSYFFRKTKCSWVLVVCRICRHIVFPTPKIAVGFPIQAGYRHIDCAAAYRNEKEVGMALKKLFEDGVVKREDMFITSKLWSANHAPEDVPEAIDATLQDLQLDYLDLYLIHGPIRIKKGTTMSPENLIPTNIPATWGAMEKLYDSGKARAIGVSNFSCKKLEDLFAVARVPPAVNQVECHPVWQQDKLRKLCQSKGVHLSAFSPLGSPGTPGLNGPSVLNNPIVLSIAEKLQKTPAQVALRWGIQMGHSVLPKSANEARIKENIDIFDWSIPEDLMAKFSEIKQACLNVAFLC >Dexi5A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:5A:2883696:2884315:-1 gene:Dexi5A01G0003770 transcript:Dexi5A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAESLAAPLLEPSARRRDRDPFVEVRLYRRGAGPVAIFWSDLSGPRRDRLDVRRIQANHGLRALYAFKPEGSRRGLRIRCDPAAGYSALPFRDGAAIALDGEPKESWTKPVSVIVAGLLVPAVMAVVAVNGVPEPLRSWRVINGMLPPWILVSAVIIFARARTRPRAP >Dexi7A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:7A:20147570:20149711:1 gene:Dexi7A01G0008980 transcript:Dexi7A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAPKLDSSEGQ >Dexi3A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:3A:3661980:3667293:-1 gene:Dexi3A01G0005640 transcript:Dexi3A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFFLLLLVVAAAYFAGSCCRAAPAGAQVTSLPGFSGGKLPSKHYAGYVTVDEGHGRRLFYYLVESERDPAKDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNVSDYETGDLKTAADSHTFLLKWFQLYPEFLKNPFYIAGESYAGVYVPTLSHEVVKGIHEGVKPAINFKGYMVGNGVCDTAFDGNALVPFAHGMALISEEIYKEANTACQGNYWNGSSADCEEALSKVDTAVEELNIYDILEPCYHGTNVNKSRVPQSFKDLGATSKPLPVRTRMTGRAWPLRAPVRDGRVPSWQELAVSAPSGVPCMSDVVATAWLNNDNVRSAIHAEPVSSIGPWLLCTDQLDFRHDAGSMIVYHKNLTSQGYPALIYRYTQGYEKGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL >Dexi1B01G0029660.1:cds pep primary_assembly:Fonio_CM05836:1B:33899941:33904220:-1 gene:Dexi1B01G0029660 transcript:Dexi1B01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDIKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQATQNYHEYQMIMNIVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHAYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSSLATSGTHRSPGGYGGGYDNDRYEGSYGSRYDNRNGNGREREYGYRDDDRYGGSGDTPNREGDRYSRDSNERYGRDYREDEYKGSHSNHEYAEGSGRRSYGRERDSYGDDEAYSSRGRGSNADGPTQDERPIERKLSNQQIASPPPNYEDVTRHGQDNLHDDRNGGSVPAAIPKVSSPVPATTVPTGQVNGVHDSTVEDVPAPPTAHAEPNGFDEFDPRGFVPDPSPPVNPAPVANSLEMDLFGSDPISSLASVSVPQPTTTSNAEPPANSGFETNSFMGMPPTSAGFGEIDASNPFGDPTPFKAVHDENHAVPQTNAAPAGSFQSTGPSADANPFQPASAASFGFGDTLGDLTFASNTVPEKQDIFANTASLPSEVSAVNPSAFPQQPVSTNFPSQAPQPAVAGPSPVTHAASTAFAPSQAPQAAPAFAYSQAPHPADSNQSQIHQAVATSFAPSQVPQPGAPNLQPGQSNFFMQPAPGAGFNSQTGFPTQNGAPTYIPPQTSQFTAPTNQQPTQPSFLPQTGTPAPQPSLISRGASQPLGLPNSVPSATSFPLQSSSSAPPETLISAMQVSQTEPVKKFEPKSKLWSDTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKINQAPVVSTVTMGKAMGAGSGIGRAGAGAMAPSPNPMGAGRPMGMGTGAGYGGGMGMNRPMGMGMGMNQQQMGMGMNQQPMGMGMGMGMNQQPMGGMNMGMGMNQGMPMRPPMGMGPGGMPGAGYNQMGAAYGGQQPYGGYR >Dexi2A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:2A:31574717:31580947:-1 gene:Dexi2A01G0019370 transcript:Dexi2A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLDLLPRLLLRRHAHRRSKPSPPPTPPPPPNPPRPPQKPDPVSIHGETWHDNYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCNKPRADRVSNVSWAMEGKALVYVVTNEERRPYRLFCSFIGSNKDDILILEEPDENIFLNIRHTKDFRYITLNVFSDIHSKVYLIKASDPLSQMKLVWEGESQVHCIVEHHHGRLYLFTDAAREGAPVDSHYLMQTDVESSGPKSWKNVFIEESGVILEDVDFCDTHMVLTLRQDRKLRLCAVNLPLTEDINVPAHLSDFHPFDLPLPSDVCQIVSGPNYDYFSSTMRFTISSPVYYSLKAFIFRGGGGYGKKWHQAGSRTQKMNSVYDFVSCGEFLLEKGIIQENKLAGWGYSVGGLLVASAINTRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQRDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREITQYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMVNNV >Dexi6B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:6B:25389457:25389963:1 gene:Dexi6B01G0018420 transcript:Dexi6B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKYRYPLLVVVVLLLDSSSSSIQYYTSPPVATKCPRNERDRHGSEAARNSQLECDILGRRRARARA >Dexi3B01G0035800.1:cds pep primary_assembly:Fonio_CM05836:3B:38795245:38799153:1 gene:Dexi3B01G0035800 transcript:Dexi3B01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDSENRSDVLATVLPLKGGMDPANLLHLRTAPSENGPKPVENSNDNLLDMVCESPLAGKVKFMCSFGGKILPRPSDGKLRYVGGETRLISINRNFSWKELMQKTLTIYSQPHIIKYQLPDEDLDALISLSCDEDYQNMMEEYDSLEKANGSVRLRIFLVSLTESEDPSLDSKSLESEPEYNFVVAVNNLAKLDRSISGNNLMSQSNHQLDSSPALYGDSLLCQTNTETGSQDPVGAAHNESSQFFLAPYTQQMVAESSTTSSPSLGQQRTVQQSRMQPPANESTVNQEHVNRSDICYGSNLKAMPPGHLNKKQNDADKSVGTGSPMQHLYIQRQAHDARLSSNDLDALESSVPKPMHGPMDYGIKGYAHENDKVASESLSLLPINTSEVFALQRSMVNGESSVYENAMDGIPRREDPLSDLGNIACTEGVTVFDDTIINNGSMKLPPRMHDNAQMKVPVLVEDVTHNVPSGIPSSSSVVPRIVVAAEERQEVIMSSLKDDDTMSNGPEFANEDHDDGAVDGAVSDAAVAELEASMYGLQQHVIDIDNQIIKNGDLEELRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRLSEQEKLTSDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRRKLTIAMDAAFGMEYLHSRSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHCGAIIGSN >Dexi9A01G0033090.1:cds pep primary_assembly:Fonio_CM05836:9A:37948830:37951104:-1 gene:Dexi9A01G0033090 transcript:Dexi9A01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGAPRALSQREQDIQMMLAADVQLGTKNCDFQMERYVFKRRSDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEETKELEEEEAAVAPDYAAVADYGAPAADTWGNDQWGAGEAPAAALTAAPVGAEWGAAPGQLVLLMGGIQLLLSLLRLLFPLPLVGKKAVLQPPLAG >Dexi6B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:6B:3425361:3426032:1 gene:Dexi6B01G0004210 transcript:Dexi6B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWHFAVGGRNWQINCYPNGSDTTNKDSISVYLRAGPSEYHCQSKKSERVGVDYKFTLLDHSGNVAYELPADTGVVKFPAISVHRNGGYGREYSAEEIEEEEEEEDCDVHWRVGHAEFIAMEELERRRETLLKDDSLAIRCDVGVTEMGVLDVAPKESQLVEGSARDGASKRRRQMLEDDEYIRRSLAKNRGA >Dexi1A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:1A:7571177:7577722:1 gene:Dexi1A01G0009310 transcript:Dexi1A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding SESLRFSKHFLFLLLHPSIHPSIRGAMAAAAEQQQQSAGQFKGQARLPGFAAPRRYDLRLTPDLAACTFAGSVDVALAVSAPTRFLVLNAAELDVAPAGVSFAPQGSDRVLQPVAVTNVPEDEILIICFGEVLPVGEGTLTIAFNGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPAFKAVFKITLEVPSETVALSNMPVIEEKVNGPTKIVYFQESPIMSTYLVAVIVGIFDYVEDFTTDGTRVRVYTQVGKSAQGKFALEVALKTLVLFKEYFSVPYPLPKMDMIAIPDFAAGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEVFQKSLAAYIKRFAYSNAKTEDLWAALEEKSGEPVKALMHSWTKQQGYPVVSVKLKDGKLQMEQTQFLSSGSTGDGQWVVPITLCCCSYSRQEKFLLHGKQEDFDFSGLIECRKKDDFWIKLNVNQTSFYRVSYDEELASRLRYAIETNKLSAADRYGVLDDAYALCMAGKQKLVSLLHLIAAFKDETEYTVLAHTSQKIAEMVAVAAPEQLGNLKKFIIDFLEPFAQKLGWDAKSGEGHLDALLRGTLLTALAELGHDATINEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVNESNKSGYESLLKIYRETDLSQEKVRVLGSLASCPDPVVVRETLDFIMSPEVRNQDAIFLLRGVCSGGYEVAWQWLKENWDYILGAYSGTLLTYFVNITVSPLATDEKADEAEEFFKSRTKASIARTVKQSIERVRINAQWVKSIKGEAELANVIKAHAHKD >Dexi2B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:2B:23075371:23077026:-1 gene:Dexi2B01G0013920 transcript:Dexi2B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAWLNSSKTNGSKKNDAHWGNVAKLYNSSTPKDRRRARKHLKPHWHKINKKIAHFYECWCRVEEKYSSLQSDKIQMMDKTWAKYDEEARAMYLEEAKHRFTLSHLWKAVWDQPKWKNYISSLYSKGTKLSESGGGTSTSEDGSDAEKETDEQDSMPAKKKWEGESKVSSPLELQQDIQSSVDPQNMLDKNLLMADTSRLHEFEHGKETLMAGTSNFNEFDLENAIRDKMPEKGRHPQGCKVLEHAGTVRNSAPEKEKHPHGSKTAKAKRKRKGNMSSPSAEVQEDIKRAVDLQTMLQKDCEKMSEVQLRLSKEKLELARLKQEEAKDRRQTTLYEKYTELLMADTQRFSDFQKEEHKKAVKRMGEMLFCKDGM >Dexi5B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:5B:20983744:20985088:1 gene:Dexi5B01G0018610 transcript:Dexi5B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMSTCTISLAMSSTENGTYWAKVICDLCEISNVLLFEYQECDGFWGDARVTHGDVDDGGGSDDDGDGSDDDRTATPATYQVTLGDSLSATAASAGVTHG >Dexi1B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:1B:5401708:5414708:-1 gene:Dexi1B01G0006670 transcript:Dexi1B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAERADEDARRACREGRRPEDVTMGDEAWPRRATKSRGGGDEQRRRQRAEVIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVNDMLGSIREKLTSADAIVEELENSIGPVQKDLDEIQRKIKNMEHIEEITQDVGNLKKKLAWAWVYDVVKKIEQQADKLEKLKERIPACQLRIDQNTAIIEELRKESILKKENVKSFLEKTQEVQRIREKLENDMHEAVKLKIDLEKEHARGVQMLNKMNKRLTQLQAQVREFELRHMQETQAEVSQIEDNKRELHQEINSSHLNATRLKDEEKKLSEELWGISKSIGDIEKEINEDARRINHLKQQTKDFQQRQHDKQLSSDYWSVAVDCAIGKLLDAFIVTCHKDLLVLRDCAKEANYRSLQIIIYDFAKPLHDILNHLLPSTKHPTILSVIHSESPTILNVLVDQGHAERQVLVQDYEVGKSVAFDQRIRNLKEVYTPDGARMFFKGSVQTFLPPNRKGRVGRLCTSLGEKITEMQKEATGMEQINLERKGQKRKLGVQRDEIELQLRSLKKKRKDEELQLERKKVQLDDIKKFSAVNNHDTAVDTSELEPEMMQVKEDIGNEELVLQDINLKLTYALQEENKRRASCNDFISIIPFAIIAYSSHLIYYILLAYRQNYSSGTLLYHLFDSAYAEMGSISDVQHELQLVEEKIHDAQLEKAHYEDLMERKVLAPINIAESVYTDLQLLRQEYFEKASTICTESEVDALGGVAGSTVEKLNTEINKLTRRLQQESSRYNESIDDLRALYDKKRQKILRKQQMYAGFRDKLSVSTVPVVWHHLHILFSLLCIDLWPYSGMACQKALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGYINVDYKNQVLSVELTMPQDASRDTIRDTRGLSEL >Dexi5A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:5A:9026470:9029730:-1 gene:Dexi5A01G0012070 transcript:Dexi5A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGCHRFAHLRLFSFLSMAAPQQPGKTLLRPNTSRSAPKRSRTMTTDAAAAGPPASKGCPAMKAEFAKHAEYLNALNDKRERLVKASRDITMNSKKVIFQVHRISKVNKEEVLSKAENDLAAVVNQYIGKLVKELQGTDFWKLRRAYTFGVSTKPYSSTFVISCNRSDIFIVIFQVQEYVEAAMLCRFCKTGTLLSLAEINDSLLALSDKSVEPLQINVLDYLLGVADLSGELMRLAIGRISDGEVEYAKKICALVRDIYRELTLVVPLMDDNSEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >Dexi7A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:7A:28741720:28742390:1 gene:Dexi7A01G0019600 transcript:Dexi7A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGESSWTMHIANFLVATPQDREMDQQPAVSGGSFSSGFSSSFDSLDDDVSFITSELMGDEEEDESLQDTACSSAAGQKVATMENFDIKAMSTMDAFNMPQLAKYFQAVGSQQQVTKADQQLINSYRNNEKALYDSNELRKKGLCLVPISMLIDYLG >Dexi3B01G0030470.1:cds pep primary_assembly:Fonio_CM05836:3B:29969007:29975102:1 gene:Dexi3B01G0030470 transcript:Dexi3B01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKGGGAKAGGGAKGGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSELEAPIAIRPTRAVNFCGKKAIQLLQLKKRQMKRFLQIGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKGACESAVYTLNESGFRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRIVRRDNGAKVDIPVDNLVDEVKALLDDIQANLLKTAKEKRDACIEVIHTWDEFTTALNNKRLILAPWCDEEEVEKDVKTRTKGDLGAAKTLCTPFDQPELPEGTVCFASGKPAKKWSFWGRSY >Dexi3A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:3A:4537944:4541219:1 gene:Dexi3A01G0006780 transcript:Dexi3A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAWCLPRPRLERRQNIRPLLPPMHCHINTAAAPDTPGPMEFDFDSLDGGDAWLLDAMAASHHFSAASPPLPPPWPCGDHPSVPLDAAAPHPQVDAQGAPAGEAFSDPAIDFAFRFFTTPCGTSEITKKGEPISGKCQVHLSMVDEYSDSSYFLKERLTLALRYFKESTNQHVLVQVWVPIRKGDRYMLSTSGQPFVLDQRSIGLLQYRAVSIMYMFSVDGNNVKELGLPGRVYKKGVPEWTPNVQFYSSTEYARLNHAISYNVHGTVALPVFDPYKKSCIAVVELIMTSKKVNYASEVGKVCRALEAVNLKSTEVVEHPYVQICNEGHQAALVEMLEVLTVIGEELKLPLAQTWSTICRKDKEFLERHSSYTGLAFQKIMSYNNEAHLQATRDFSLAITANQKV >Dexi3B01G0036230.1:cds pep primary_assembly:Fonio_CM05836:3B:39093141:39093489:1 gene:Dexi3B01G0036230 transcript:Dexi3B01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPYDVAINAAFKDNIRLLKTKDGNGGNALYFAAANGHLEVCRFLVEESGLDVNSTNADDE >Dexi2A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:2A:8942523:8946981:-1 gene:Dexi2A01G0008860 transcript:Dexi2A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGCCIASWIAGILVVGAGAVLIAAYAVLIHVHIAVDEASLTRFHLADASSSPALAYNLSLTLTIRNPNWAITIKNKKNLEASYSFDGQVFDRVLVAEKGDKQGPKRTRVYHLAVASASPNGTAVPAFGSAGAEEFRRQNATGFFDVEVKVTGKFQYTGRYTKCELDATCPLKLQLAPPGTKAVVFEKVKCKLDKPKNGRLAWILRRRSRSTCAPVAQFTSSRSPRFLAGLADRAHKSAPLPAGFPASPSHDYGGRYCCRIPYQVWEDIKWCFILLGLIVVAVVVTGVVLIAVIFGGPLRHVKFTVEDASLTRFALVTSPTTAIAYNLTLSLAVHNPNWAIGIKHDKPLEASYSFDDQPFERVLVADEGSKQGARKTVVYRLSSGSAGRAVALGNAGEAEFRKENATGIFEVDVALTGKFKYTLRKTKCKIEATCPLRLQLDTPGTTAVVFQRVDCEVAKSDDKYC >Dexi9A01G0022570.1:cds pep primary_assembly:Fonio_CM05836:9A:17730976:17731791:-1 gene:Dexi9A01G0022570 transcript:Dexi9A01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGRVFEDFVPPHSMVREPATHTLTVDLANTGKHEHIRVQMVHSHRRLIVRGERPVAGNRWSRFRLELRVPDGCDAKAIHARFENGLVRVTMPGTAPDPVQVQTGAAAAAAARQDTSSPAPAKPTAAAAIAGAPPQQDGDDGRAARGGGGDHQGEGEKKDEAVQKERQEMRQRVTSAKDDGGHDEDDAGAVGEVTAASPSRHGYGFLQDRRRKMATTMLGVVLVLMSLGIYVKYSLWP >Dexi7A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:7A:29678662:29682538:1 gene:Dexi7A01G0020970 transcript:Dexi7A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNSMEHFTDVFGFDIGSSSGNPVMDQQAYWNNVLGSVESQNLQGYQMNHSDATIPYGNEPQHDGTFLGFWESGEASSSGSALNYGSSSNAKTEHLNIGGGLRIGERRLVADNDVSLDVDINLNANINDLCSHSSNVNRTSQGPDQYGGCGRSGINAQPSDRRLHPYRTFLEQTDSFNLNASENPLGDFSFMQEGIDQRPGSSLDGRRLACKRKNIEGANGQSSASASTSSTHRNNNALHNIASSSYTPAPIRNSSSPNCLLVPRSIEDQLPRYGTNAGLSSGSYDLNEGINNAGNPQRSFRARTTTTQQIAPCTLWPSSNAIRLPNSWNHHQSPHMQSTFDDPQEVIPVVSSLNLQYQHPVNVPGVTQAANRFTGHEASSSRAGSLENRILSSEEVPRTRRNVVPANYSDLVPPAAVDLRRLVPEPPNWISDGRGTAISGTIPPVSRANTSSTVNPPAGFTQQNLNRRHPRNMSEEISRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSMRGREGRRLMEIRNALEMIQRGENVRLEELLALEERIGNVSTGLSEEAVIKLLKQRKFSSWRLKASFDHEPCCICQVSHIILSLSELLPSSVGHRYFSEKRQTARMTEEEYADGDDLGRLDCGHDFHAVCIKQWLVLKNVCPICKNTALKT >Dexi9B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:9B:1771601:1772877:1 gene:Dexi9B01G0003110 transcript:Dexi9B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFRDIKGLSCSSPASTAICPSLEKQPMVRSHKGIASASPLSQVPKEPRTHRHDGKKGQQQKAAIVATDGGLVSPAGSSRYLLSGRAAAAVTQEIQEVEAAPAVEAKREEASQAADAKNTQAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVTSFDIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQLWAAPVPAIAA >Dexi7A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:7A:26516095:26516442:1 gene:Dexi7A01G0016630 transcript:Dexi7A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRHAVAILAAAVVAIAIAMATTGVAVSDGSGPRSYLTSWVGPGCTPGTKGRMASVGSCGCNHVRFHGGHEFNFRGEKATLYSKPGCTGTPYEVFEDTRACGDFGWHSIHIDC >DexiUA01G0016010.1:cds pep primary_assembly:Fonio_CM05836:UA:34174611:34175541:1 gene:DexiUA01G0016010 transcript:DexiUA01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGSIVIGWPVGVGGVAMMSCGNRVSAVARRRQKEAASQLDLCCGGTLAWLDDGAPCACSPAVQPQLAHGRILSAAAPLPAGYNDVASLTCVQRVPAAVAHMTTRMMQAVTTAIECMALIVLFCFEPACALLLRSGDGAFKAC >Dexi9A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:9A:9511981:9516352:-1 gene:Dexi9A01G0014400 transcript:Dexi9A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAEPSTIGATGLDAEPGDLTSNAAASPPAPPFLSSSLFKQSRGRRRHPHLSPPSASALRSANQSERHGRAGDTRIECSVSRSIDPPNALKSRSGGDPHPIPSPASTPSNRIPSTYYLSPSRPPPPAPMALPSVHVYLMAEAELLRLPRVVVLEGVEWVADAPAMLDIFEDKIDEGTGWEVPRIDQLRRASNLDARLRLVRYHILPALDANPADDGALRRLVGQAQAIRRIGTRSPEHGQLRELAIGLGNRLRKVGESKRPPSWLAERIYSLQTHCKKVHKRRYIPFL >Dexi9B01G0021260.1:cds pep primary_assembly:Fonio_CM05836:9B:15914917:15915717:-1 gene:Dexi9B01G0021260 transcript:Dexi9B01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANIVSSPLQSSAAPLPLAGRVALVTGGSRGIGREISSQLAALGARVVINYASNSGKADELVAELASRGHQSVAFRADVSDPDAVRALFDRAEEAFGSPPHIVVACAGIMDPKYPALADTAVEDFDATFSVNTRGKFLVCREAARRIPPHSGGRIVALSSTTVAVLLPGYGAYTASNAAVEAMTRILAKEVAAKGVTANVVAPGPVRTEMFFAGKDEAFVRKVEERSMGRLAETTEVASVVAFLVSEAAAWVNGQVIRVNGGFA >Dexi4A01G0023850.1:cds pep primary_assembly:Fonio_CM05836:4A:26789335:26789718:-1 gene:Dexi4A01G0023850 transcript:Dexi4A01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALERSRHRIGVDEQRMITAAHRGLEKVKSRLGGGEVVTKQHSTAQARGGLRSRFCSRWTAARGFVSSRLRAPASLDCVVVDGELADGVFIDSSRSSLQSPSPPCCSARGRSRRRGGAGGWRRQG >Dexi2A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:2A:31954684:31956214:1 gene:Dexi2A01G0019830 transcript:Dexi2A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGHDGELLDGVVAAVLGQEVDVLLALGLVELELPFPPALFDPLRVRAGPLHEPAPLRRGHQHAAGPELPPLLRPRRRVDVRVVQAELPAADELPRRVEDGAVPGVRGLRAQRLLPPEVGVQERDAADRVAVAVDGLLPQPYRGVVRHVGAGAVPGEDDAGGVPVRGEPGLGLCLGSLPAARGDDRLEGRERVLVANLLTYRTGAGAMN >Dexi7A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:7A:23236788:23239525:1 gene:Dexi7A01G0013030 transcript:Dexi7A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRSGPGKRRGHGPRIPATTLRKQQAALANVDQITGAKIPKSFVFSRGKLPPPRSATSSMTSARSCSPTLLSTSSWNNWQEKKRNNLKDFVNVAGPLGVTHFMILSNPKSLPHLRFSNSPQGPTFTLKIEEYALAADIANSQKRPRCPPGIFQNSPLVVLSGFSGLGNPFESLVEYFEHMVPAVDPSTVKLAACQRILLLKYDKEKEVIDFRHYSIKLQPVGVSRKIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSEMAKESVGKEGEVTEDGLEDESEDEMED >Dexi1B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:1B:20950969:20959339:1 gene:Dexi1B01G0014600 transcript:Dexi1B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERERQEQVTMVRAVLGDGLAEMDIIRALHMAGDDPTKAINILLDFDHKPPTPPLPPSPSPSPPPGKPAKTLAGSTPPSKPPARPKPTAEKPKAAPAPAANNGDSAGEHWWLVGSAVIAGLSTCKGRRIAPGDAVIFSFPNTAVAAVPGKSRPGRSSVASYSSEIMRFSTPNHGEVGRIPNEWARCLLPLLKENKIKVQGSCKSAPEALSIMDTVLLSVSVYINISMFRDQKQSSPNAARVAPEDSTFYPLPALFKLIGLAPFKKAACTPEDLYSRKRPMETKSSIGAPATKLRSENLRSSSGGNEDDCGEATVSDSDLDDLIGTSDSSALEERSPPDSLLCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLSRGGILADAMGLGKTIMTIALLLSDSSKGCVTTQNTAQISGEASGLGESQDAVKKLASPFSFSKHKTLKAPLIGGGNLIICPMTLISQWKAEIEAHTKPGAVNIYVHYGQNRPKEASLIGQSDIVLTTYGVVSSEFSIDVSSEIFYIFTCGSTEHGALYSVHWFRVVLDEAHMIKSSKSLISLAASALTADRRWCLTGTPIQNNLEDLYSLFRFLRVEPWRNWALWNKLVQKPYEEGDERGLKLVQSILKPIMLRRTKNSTDNEGRPILNLPPANIEVKYCDLSEAEKDFYEALFRRSKVKFDQFVAQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLARRFLRGGNGVVNGDSSCFPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSTTAGLCPVCRKSMSKQDLITAPTDSRFQIDVDKNWVESSKISALLQELEVLRSSGAKSIVFSQWTAFLDLLQIPLSRNNFSFARLDGTLNLQQREKVIKDFSEDRGILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKNVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFS >Dexi5B01G0033790.1:cds pep primary_assembly:Fonio_CM05836:5B:34111780:34114475:-1 gene:Dexi5B01G0033790 transcript:Dexi5B01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMEEIQRKLSLLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVQLVDSIADRQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEEYAETELKLREHLQSFLETVKSFNTIYTKEIHPWTHMMEIPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSASNEPSSVTKIISDCESALTFLNHSLSILSTSVAREQGETL >Dexi9A01G0038400.1:cds pep primary_assembly:Fonio_CM05836:9A:42670474:42673428:-1 gene:Dexi9A01G0038400 transcript:Dexi9A01G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPRPVEEQRKSGSGHVSAEPMPRGAEQTPNGPSLPGKGIQAPAPQRSSLGHKQTSSRASSVAPPRRMPHHLQRPLLFLLLLLAAACCCGIAGEAGGGSCELSVARGGELYSFALAAPTPAHRHGILSEDGFYKVAVNDSTLWFQLCDEMLFNFDPPMCLNCEDCGGPLRCGTQCSALVSNTIRGYDVCTTIGRLSKSQLSLIDESNPQKGLIVKMFSSKCSISVSIFCDPTVAQVPDKFSVSGRCDYATAFKHPSGCARSVSASGSGWGWLGTLFITIMSLLGGYILLGAVYRYYFLGIHSAE >Dexi6A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:6A:6053038:6054404:1 gene:Dexi6A01G0006310 transcript:Dexi6A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYYFLLAAFVALVASQATQASDPSPLQDFCVADKNSPDDFFKAAELDKPRNTKGKVGSNVTLINAMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLHKGDVFVFPKGLIHFQFNPIHDKPAVALAALSSQNPGVITIANAVFGSKPPISDDILAKAFQG >Dexi5B01G0024110.1:cds pep primary_assembly:Fonio_CM05836:5B:26222174:26222876:-1 gene:Dexi5B01G0024110 transcript:Dexi5B01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSHRALLPLLLLTMAIVTSRGDDTYATSACRWQPYLCGDVNISYPFYLASDTKAIPDHDGESYCGYPGLAISCNRSSNKAILNLAGDSYAVSSIDYTNLTVSLADADASNGDCPTVDHNVTIPPDVKLALPISAVDYLFFFVNCSFGHPDADDLDPFTTKRSERRLGWDRSSGQCGQCELSGGMCGYGSGGEFLGCLCADGRVEDGGCSKILADSYSLGT >Dexi9A01G0042010.1:cds pep primary_assembly:Fonio_CM05836:9A:45575363:45578740:-1 gene:Dexi9A01G0042010 transcript:Dexi9A01G0042010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSDEGSFILPSSFNPPILPAPKSSSSLRLTGSPARVFLDSAAYIADRKNGTFAETTTRTGQAVGVSFWIADPPAVSHMCIHCPGMKVTDLMEEPIVVGAGKDIAVIRIAYTYGARPIESMKDPGVTDFDYLVYRAHTEKPSLELLPNPKPLFFNSREIGFLASADGGGDFMMAVVRPEKGQLEYALHIFSSKTNSWTTRLVLLEPPSPRYKHEYLVHETNFVISVEGGTLGWVDLWRGIMLCNVLDSNPVLRYIHFPEPMAGNMIMYLQTCARAFRDVTCSNGFIRLIEIAETRMMVDAAKGSNGPRDRTLAAASSQIQEREDSRNKPSNAMVLVKGLDPYVTENQLKDILSMFGEPFYLKLDAKERYALVQFARRSCAEEAIRALNGARIGMML >Dexi5B01G0024380.1:cds pep primary_assembly:Fonio_CM05836:5B:26432993:26433376:1 gene:Dexi5B01G0024380 transcript:Dexi5B01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHRRVVAGCCSGCRLLLPTVAVRDREEVVPEERVPDELCEDAGGDGVRGVVSPWPRARAPAAAAPLARSTDSRLLARSTEAAPHPGEVVAHQSQVTVHHHDDPHVLASGIKGAVHHQAPMSLPPG >Dexi9A01G0041070.1:cds pep primary_assembly:Fonio_CM05836:9A:44716637:44725088:1 gene:Dexi9A01G0041070 transcript:Dexi9A01G0041070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEEEVIGGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPASLLKHPRTPTTANPSMDYPSGDSDHISKRSRPVGMAEEVNLPVNMLPVTYPQSHNYQQDDFHKTVARTLSQGSAPMSMDFHPHQQTLLLVGTNVGDIGLWDVGTKDRLVVKNFKVWELGKCSMALQASLVKDPAVSVNRIIWAPDGALFGVAYSRHIVQIYSYNGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGIKILANADGLRLLRTLENRSFDASRNAAETVTKPLINPLTAAANVAAASSSGTPAPAAITAMISRLIYTNSGVAILALTASAVHLLWKWPRSDRNSSGKATASVSPQLWQPPSGIFMTNDMTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNMDGWEKQKNRFLQLPTGRPANILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPSSYLPPNIRWASACGATNLGRADSPRV >Dexi8A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:8A:1936226:1936675:1 gene:Dexi8A01G0002910 transcript:Dexi8A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEALVLLLTCFVFHCAAAARTMPPPAAVPPLLLHQENGVVKVVVAAANDGGSGHELSSVAEEADQEPACQDQEDDECMQRRLLRDAHLDYIYTQHKGNP >Dexi2B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:2B:24845389:24846617:-1 gene:Dexi2B01G0014880 transcript:Dexi2B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQHQLEEMSVDGNLELPPGFRFHPTDEEIITYYLKPKVLQRSFICTIIGEVNLNRTEPWELPGKAKMGDKEWYFFYQKDRKYPTGMRANRATEAGYWKATGKDKDIYTATIAIPTPVLVGMKKTLVFYKGRAPRGEKTNWVMHEFRLESADKITYPTSSSNSNATMKSSSASKVDEWVICRVFHKNTGIKKAPTSSSYNVSMIGGGLHQSSIPMPMSMQFPILPDFTSDPATSYYSSAGANSSSVSPLMTPMVGMMNSSLFENLMAPAQPMPFHHQIGMGEICSPGFMATSESMPPLSMVAQNDVGLNPDQINNAEISSMVSTTPTFAETADMDGLWKKVLKK >Dexi9A01G0030550.1:cds pep primary_assembly:Fonio_CM05836:9A:35556815:35557674:1 gene:Dexi9A01G0030550 transcript:Dexi9A01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAIKAVSTLTGIDEISVDMASHKMTVIGMVDPVNVVSKLRKASWAASIESVGPAKEPEKKEEEKKDEAKKDGDAKKEGEGEKKDGDGKKDEDGKDGDGKKAAPTEQQILAELMNQYYRAYYPPPPMSTQYYVHSMEENPNSCSIC >Dexi9A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:9A:13188232:13189774:1 gene:Dexi9A01G0018150 transcript:Dexi9A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGGTPWASLPPDILLAVFSLLPSDRDRFHAVCVPWPTAASASRPRPGSSAPAPTAPAMAATPFRPSLLPGGLRLLSFATNVPAGLEYLQVVWFRVSNAFMRSLYLSSSHGYLVLTNPTVTPKAIVHFNPVAPPSPAHWVLQEATLASSTSTGNSELLVDDNEEAKPLVSGMRCVVVEAHLVECGGELLLVSMRNVGAVYDSGVTADDVTASRKAKMLCSVNGYKGDEARKVEVHRVKWDVDDDGAARLGHPDRVVRVLDIMESQRVRQEETIICPNDGHRGSSSSAGWARRQWLVLPQLLFF >Dexi6A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:6A:5341057:5343423:-1 gene:Dexi6A01G0005800 transcript:Dexi6A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEAAAAVATRDQQQSQVRSGEDNGGARGRRQLPVEEEKAVVMRCGVLKENPLENLENAAPHLHSLLLHLLPLLVASGAALGFSLTQMVSHSNGRG >Dexi5A01G0035620.1:cds pep primary_assembly:Fonio_CM05836:5A:37378258:37379462:-1 gene:Dexi5A01G0035620 transcript:Dexi5A01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFHPNPLHLLTLKVSDGVLIARITGDGRCLFRSVAYGACLRRGKQSPSDSAQKELADELRAKVADEFVKRRGDTEWFLEGDFESYVRKMRKPHAWGGEPELLMCSHVLRMPITVYMYTSSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPSLERFVDNRRLRSLSFFHWAAARVAAVQDTRM >Dexi1B01G0021180.1:cds pep primary_assembly:Fonio_CM05836:1B:27152479:27154587:-1 gene:Dexi1B01G0021180 transcript:Dexi1B01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRLSNRAVRSSSTSSGIGAGAGASTSAASPRTRAVAAGHPLRASSPPPPSAVAAAAYWDSRALRRDGEDGDWEEVVSGAPIPGEMEEEEEYRVVFWSPPTIDEVTDWIYLCVACLAMGVIVSTVFENHVGVDSDTTDRKTALLSTSGHSSGNSSGSDDWIEPAAYKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHDGKENGRKGSTNGPAEVLKWILDSAQAKIVEFLENVMKIANMLIHPQDDDEKPDAYSDAVRVSFMLAVFVFIVVAIARIK >Dexi7A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:7A:62009:65581:1 gene:Dexi7A01G0000020 transcript:Dexi7A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLSSSYAKSCKCSGATDQDLGDRYTTNTTFSKIDGDLFLMKPGTLLPDSLGFYKNHPSKLNLSDPGMFVISDEIELWVHGDNGAVHETSFTVEFSMSMYQPTTVSNYVPLQNQMSIKVIADAEGHQKNPAKFKAILPLNDIDYTSIWPYGLFTLSSSMGQLLELHAWNITVERFRESRGKKESMAIILSTVLGSAAVAAVTAAIVYWYFNSRYRRYKMDLDQLAKSMQLLPGMPTQFNFTDIQKATRNFHETMKLGQGGFGAVYRCRLPPLKMGDMLVEVAVKKFSRDDNRGYECFLAEVSIINRLRHKNVVPLIGWSYNKGEPILIYEYMPNGSLDQLLFHRSARQEHPVHIHRWDTRYNIVKDIATGLHYVHHEHEPIVLHRDIKANNIMIDSTFQARLGDFGLACVVAEGKNSYTDISAPGTLGFRAPEYIYTGKATTKSDIFAFGVLILEIVTGKTAVSMQFSHVSDWVWHLHREDRLLSAIDPMLTTNEFEANDAKRLLLLGLACSSPNPSDRPTMVVALQIITKLAPPPDVPLEKPRFVWPPEEAGRRLSCGHSTNLSILESSLTKEIEMMSIG >Dexi3B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:3B:15715381:15717922:-1 gene:Dexi3B01G0020750 transcript:Dexi3B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLPLVLLCASSSSLLLLLLAPTTNADNLTFYLSSYCPTAMNYTRGSAFEANLDALLSSLPAAAAASSGFATNTTGAAAPDQAFGLAQCRGDVSAPDCRACLAASAQQMATTKCPGQKSAVLAYEGCLLRYSNASFVGELDASNPLFMCDLYNATDLPRFAASRDALMRGLAGEAAGSPRRFAAGSANLTLDQKIYGVAQCTRDLGVEECQACLDNAVSKIQVYRNCSGRRGGRLFNWSCSIRFELAPFYNATAADPVMSTKAGGESSGTGRSTSTTLIVSIPVAIALLLLLLLFAVYICKKNTKPHRLMQIIASDGLGDEEDMRSSEPLQYNLSTLRAATNNFSEENKLGKGGFGPVYKGTIQNGNEIAVKRLSTISQQGIAEMKNEIVLVAKLQHKNLVRLLGFCIEEEEKLLVYEFLSNKSLNKFLFEPAKEQKLSWGQRYKIIKGISRGLLYLHEDSRLKIIHRDLKPGNILLDADMNPKISDFGLAKLFKVEESGVWRHWSLGSVPQVLDDYLADETDKQDMLRCIHIGLLCVQDDPQVRPRMASILHMLDNRIITMSAPTKPAFVIPGPGELPMAATPEPSINEASISHLEPR >Dexi5A01G0039610.1:cds pep primary_assembly:Fonio_CM05836:5A:40160977:40162367:1 gene:Dexi5A01G0039610 transcript:Dexi5A01G0039610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTARSGVGVANMGVMRPELVTKSIVPIVMAGVLGIYGLIVAVIITSGISPGAKPYYLYDGFVHLTGGLSAGMCSLASGMAIGIVGDAGVRANAQQPKLYPGMIIILIFAEALALYGLIIGIIISTRAGQSQVAKAAFEVAAAAARHPNPSAFALFASSMLPDVSLLLASKSGLDIDRLSSLDIRHLSSVLLPYPLPDEPCRPRQNPCPRINGP >Dexi9A01G0031820.1:cds pep primary_assembly:Fonio_CM05836:9A:36747117:36748013:1 gene:Dexi9A01G0031820 transcript:Dexi9A01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVIVEETMKQIISGGLIADTRGEKPDAVEHVERLEMAQIRLEAALETSVRWPIRDASLLRWRGKLKRAAQECKQRIIEDKGKKEHESSFPKRVARATRSYPSSLVAGGGAATHGVDARRFEWFADSASDFVRFVELGGTARRYMFFDPLVGQLLAGNELRYRLIRGSQYHLLCMRPASFEGRGMEAKMLYVYEDDDAPEKNFCLGLMLRLSESLDVVGLTIKCLQLVTPHFRSTAEAAMRELAQLPTQDFSWVPYVESSDKEHWNSIHGTMSKLLRPNPKMLQASRAQ >Dexi9B01G0032770.1:cds pep primary_assembly:Fonio_CM05836:9B:34963914:34965716:1 gene:Dexi9B01G0032770 transcript:Dexi9B01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAPSAKKRSAAEALDTGKSSTPAKERSSPEATIAKKTGSPSSQEPPPPSPPGAGEEEPNGGGGGGGVDHISGLPDAMLGDIITLLPTKDGARTQALAHRWRHLWRAAPLNLDTRHLRRRAGLPDDEEDEALAGVVTRILSAHHGPGRVFRVPAHHLHDRAAAVDAWLHSPALDSLQEIEVCHLRRPPLDHPPPPPPSLFRFSATLAVATICQCQISDEQRIPFLPQLRQLALVRVRVSEGSLHAMISSSICPALECLFLDSCHGFRCVRINDSASLRSIGVRTDYFVEDLRRFRKLVVEDAPRLEKLLCTHRDKGFHLEVSVMAAPKLETLGSLSNWGFNRSINVFGSTVFQRLHLVSFTSVVCSVKVLSVDFFSGDLDMVIDLMKCFTCLEKLYIKGSLSKSGEKNLWRRKHRHLIKCFDIHLKTLVLEKYEGIMSHVRFASFFLLNAKELEVVRLEVEEKRCNEKFFAEQRLKLEVEGWTFRGAPRVDFALNRCKCRIIHVNHVRDLAIADPFECTC >Dexi5A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:5A:1996809:1997468:1 gene:Dexi5A01G0002770 transcript:Dexi5A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAAATTARERPAPPSPVASLPMQLPQASADPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAAAGGAQASPQAPQKPAPAPTGPKKPAFKLYERRSSMKSLKMLCPLLPAAAAFAAGGSAAANGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSVEAAAAEDRAIAEKGFYLHPSPRGNAGAGGDLQAPPRLLPLFPLQSPTSRP >Dexi9A01G0034930.1:cds pep primary_assembly:Fonio_CM05836:9A:39620571:39621024:-1 gene:Dexi9A01G0034930 transcript:Dexi9A01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHLGPIPAVVISSRDAAMEAFTKHDRRLTGRPTVDAIRALGWADHAASWPRTLLAAAHGVRERKVREMVGYLRACSGKDVDIGRALYGGVINLVSSSFFSTDVVDMGDAAGDSAHGIREHVENISHLGYTHKP >Dexi4B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:4B:8460618:8462084:-1 gene:Dexi4B01G0011030 transcript:Dexi4B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPLGNNGLLKNVHRFVAAVAVPLAAAALAAAARLLPPEAAAAGWLRDARPVHLFLAVFLPAAAATVYLLLRPRAVYLVDYACFRTAPNCRVPFSTFLEHAKQVPALNERSIRFMTKLLERSGLGEETCLPPAHHYIPTYKYCTLDAARGEVDLVVFGALDDLFAKTGVSPSAIDILVVNCSLFCPTPSFVDMIINRYKLRSDVRSVHLAGMGCSAGIVSVGLARSLLQVAPENSHALVVSTETITPNYYVGSERAMLLPNCLFRIGGAAALLSNSPAKARFRLRHVVRTLTGAQDSAYACVFQEEDDMGNVGINLSKDLMTIAGNALKANITAIGPLVLPASEQLMFAAAFIARKVFGGGRFRPYIPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIQPAANAEGPWATCIHRYPVDIPDVLKH >Dexi3B01G0021010.1:cds pep primary_assembly:Fonio_CM05836:3B:15956040:15958408:1 gene:Dexi3B01G0021010 transcript:Dexi3B01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEVHPEHMCYLW >Dexi2A01G0028140.1:cds pep primary_assembly:Fonio_CM05836:2A:39451547:39452384:1 gene:Dexi2A01G0028140 transcript:Dexi2A01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREPEYGPEVPEPEPIPRCRPRPSSSSVLDSDDLLPVILRRLPPRPSSLPHASLVCRRWRGFLTSPHFLRKFRAFHRAAPVLGLFHNNTNLGGPDHRFVAAVDPPDRVPASLFRMPCGQDHRNWRFLDCRHGRALLLGPMGPRLEVLVWDPMTGTRRRAPLPPDAGNIHHGAVLCSCGHVDDCRSSQFQVVNSSKAGCCRRLLALSVFMTGNVSKPGTLACNAVYWLIPGSDILEFDAVTRNLAVISMPAYAPGFCTGNASFY >Dexi8A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:8A:1270747:1274072:1 gene:Dexi8A01G0001870 transcript:Dexi8A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEPEDEPTQKLETKPRRLYQAWKGNNIFLCGGWLIFGPDAASLLLTTFLIISPTIIFCYQMKSKLYQSNTGQQHMHRAAVLIVIITTIMDMVFLFMTSARDPGIVPRNTRAPPEADDLLLGSNTPSMDWSGGRTPRMRFRRTKDVIVNGFTVKTTYENFRYHYDKNDNPYRKTLAENFAEVFFTKIPPPMNNFRSRVGEGALEAGFYTPYIGLDVTTPREKIDLDRENKEVLIGGMQIPTVLQNIDYGSFEDSSEDKNINQGEKKVHFPSAWAQGNEGGGTSAAAATACNIETSEDDLNEIESPNTTTTQASAEANPEPLGQIDKMEGTLERKS >Dexi3A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:3A:11149981:11154316:1 gene:Dexi3A01G0015070 transcript:Dexi3A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESAANTASASAAVSEASGDSPAASEAAEVSARPSSRPSHDKRLGVRHPLKHRRFRAGGKMMVEPGGVPPARAPAEGEEEEEEASDVEEEEEASSAETEMQAADVEVSSAPAAVVQEMEVEGGEMEASPEPAVTVEDTELEAQPDEEDEVSSMVVAQGETKEAAPAASTVLAVEAPRPKEKDQDKEREEKEKRDKERERQKERERVDEVGYMSGGWKSVDGSLNCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTDTKLAISEAYRKTDSEFLDAERNSHRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIAEPEIQELEIDDELEFLIIASDGLWDVVPNEDAVSLVKMEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKPSGESPSPSGDKS >Dexi1A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:1A:24597220:24598858:1 gene:Dexi1A01G0017230 transcript:Dexi1A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVRLPKVKACGGFEDQASKQEEMDMSWMCAMTGGPTRRVATEAVPHSDTPLQRNGPRHTHRIQLSFSFSPP >Dexi9A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:9A:10839297:10840655:1 gene:Dexi9A01G0015930 transcript:Dexi9A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEEANRAAVESCHRVLALLSQPHDPAQSRSIALGTDEACAKFRKVISLLSNVGGAAVGGEAGPSGTSGSHPRAKVVSRRQNPGFLTQKGFLDSNTPVVVLNSAHPSPSSAQVYPRTGALDAQGIHPLGGPPKLVQPLSAHFQFGNVSSRYQFPHQQPQQKLQTEMFKRSNSGINLKFDSTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGTGKCAVTGRCHCSKRSRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRYIML >Dexi3A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:3A:6443103:6450053:1 gene:Dexi3A01G0009260 transcript:Dexi3A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAQVNKPHKTRFASKASRHAHKIADKVRSGKSESSHRATVKGARAARIQQSKAIRDKKRAALLKEKRSSIGSLGAPRVIVLVGLSSSANLGSLAKDLLTFAEGGDGKLRSSTVASPTYKLRTTVLQAPYGDLTSCMELAKVADLLAFVLPANSLYSSDSSSPIDEFGSQCISVFRAMGLPSTAVFIRFMWLFKEQHLSSPHWRNQRPYIMSEQVHVSGAGDFQLGQIDVLKDPYPLSERKSSDVMETEDNGTQIVNTFVPDSSNQEPLLTENVPDPLAGEQTWPTEEDMEEANTNNKQRKLVKRKLPRGTSEYQAAWIVDDTDDEDNDSDNDNQAGSGMVIDEQGDADQGSDGSDIDAVSHFTEKFDEETVGDTEMADEENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFTRTQKHVLAKLAEIDEGTKDCALVGSYVRLHVRNVPTDVASKLCHPSRIPVVVSGLLQHESKMSVLHFSIKKHDSYEAPIKSKEPLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNREGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAIVRYMFHSPEDVKWFKATC >Dexi2B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:2B:30792581:30797844:-1 gene:Dexi2B01G0020810 transcript:Dexi2B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGSPTPWPHYSSAPRVILPPTWLVKAKALVLQRRNSQRGSQTQTPPLSPSKTDSRGSLHLRPFPSSPPAAAAAISSPESERGRRQAMVETEEKRRGKEPAVLSGGHLCHACGYQYPNPNPSAKLRRSHRKHCKAPLPEAAAAEAVTEAEEAVVGVRAGVDEREEGAGKRNAAETTPFGGGGGKREEIGASEANGGGAALRGSAGEVDDSMEGKVIAAQAIATELSESNCLINCNDNLNASAEDTGTQAITSELSENGLVNCRSNSIENVNEVNGTQLQFAFTNGSQTKVGHPAEREDSFDEYQDASPFLPQPDSEDGPALSSVFSTEINNLNTVSSGSSVAENENSVEKDGLCKTQLPGEPSMRYLSCDSNVGYNMEEGALRLAEPEINLKLGGPYEHSVNVDNTYTDIVSSKSDKTPGHSEMLGHLNDSSLKENHPLILEPESEFASSGKVEGFMEDRLHDSHTMFEVSPRREVEGSDIVQLETMTNPSTSTMPIGSDLKVVCADNAPIDYSTELPTQNWTVENVSDVHEPVVDPCKKSLECPTAGLPYDLPVTNVDDVPITKVNDLESNFEERAQPDIVDKNLSVQMNNGFTEEEVHKKQTDPEIPTDDKFSVCQKHVALLKDQVPSVKNPFNLDDDRHDDLFELPTDSCFLEMPKSVEVRQQVDSTSLMVEQPTVSNLTRMAEAQQCDNSNGHILSTSSAIESGEVIDPDDMPVSSSSELVNKTCCLTDRVLQEDGHKPQITSTEFNMVSMQDISAVSAEVVEESVQAKDASAKEMTPIRSLDGIVVEQATNTDAKDAYAANAEGKKLTEDTAANMSGVEHSNHVDKEKQAVKSMGNHEENKQSEDTNAKEVNAWFNADDAEDQTQTKDTSAEKTNAVGSTYNSEEKTLAQDTNAKEMLAARSTDNIEEKQLSDVIVGQEGNTAKQNEEIVAPSVRLNSGRARVPLKVLLAEASAENQVKKPSTKERVLSFGRRASKDGNSSGKSGSEDHHWSSPAKLPRKDVDKSSKGRKQPWMPFICCHSVH >Dexi4A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:4A:387846:388177:1 gene:Dexi4A01G0000600 transcript:Dexi4A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVKRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNNAEEEGVNLS >Dexi3A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:3A:4750151:4750411:1 gene:Dexi3A01G0007010 transcript:Dexi3A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEKPRLEKRRREKGRRPAATSWEMRWGGRCRCGDAEATACGRGALWSGREERRGRIASRRGRRADGRREGGGDGRKREKGGGWF >Dexi6A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:6A:1986280:1989513:1 gene:Dexi6A01G0002140 transcript:Dexi6A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALVAIMRSRSLRDPDTNSLAKFSAKKTIWESCSLEEDEPEGNNYGRHSFSYNAYDHLQRRREEFGDSLRLGRLGNSPINIIKANAMAKAALHNQSCCSAISGMSRGSKDRTFALVTDGEELGRREASMFQESSRSLLQKYRPKSFSELVGHDVIAQSLSSAVLKGKLAAIYLFHGPQGVGKMSTARTFAAALNCRSPGGNQPCGRCEECMDIFSGSSSSVIEVDASKLDCKSRVAVLLRNACEVPASSHFKVLIVDDCQHMDKEGWYSIYNSVEGIPDSTIFVMITSDIDKLPSNSIGWCQSYRFSKIDDAVIACRLIKICTKEGMEFEVEALDLLARKANGSIRDAIQMLDQLTLLGKRISKSVTYELIGDVSDEELLDLLNLAMSSDAATIVRRARELLSSKNKVGSLDMNLGDPDVLETIWMKALENCSSHPLQTLLRKDGKLSSLYTSQGVAVAELQFCHPEDVPTSESFWKPLCASLQNLLRCNVDIRINLSPISSSRMGSKDSSVSLVMQTREDRETQDPGATNCRTVASSRRDCPSPVSGQAKEKPPHILGCLHGPADGDTVDSEPRILSYQKISVVPAASTSGNTPMKGGDTSKVDKGGVHHGCCSKLLPCGSCATCRKSQKREKRRASLFSCCFCKVRPDCKTKAEAG >Dexi8B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:8B:625806:626617:1 gene:Dexi8B01G0000910 transcript:Dexi8B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCPWLDTERTKRTMVSKEKEDGKPCPKTMRKGLWSPDEDERLYGHITNYGVGTWSSVAELAGKNILRWSAIAARMPRRTDNEIKNYWNSRIKKKLQRMGTGHYHSKSTEMRQIIQNNGGDSNTDGNLDLYRRQTTAEGQVNHSNPTLHNNYSDQPSTLCLQFSQVN >Dexi4B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:4B:16408800:16409281:1 gene:Dexi4B01G0015110 transcript:Dexi4B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSSPEIVSFRSSSSSSEKEDPPWPPAIAGDDDDDDLLVQLVPRRVSDGLLGKFADTSAFDFDYDRSGLWSPLVLRHEVLLLAAAAQSPAASSRRRSSWRPTRRRWRRNKRRKMLCCCWRLW >Dexi3B01G0030550.1:cds pep primary_assembly:Fonio_CM05836:3B:30269058:30270560:1 gene:Dexi3B01G0030550 transcript:Dexi3B01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRHVAAAVMAAAAVLVAIAPKAMAADAAPVDAPAYRNITVGGADGWFFDAKTNSTSGNYSVWANGETFYLGDFLIFNTNDNSSVVQTTNVTTYNLCDPSDDLAPTTSIYGGGGGGGGGVEENNTVAIPLVTEGTNYFFSDADGGAQCQKGMHFQIKVEHGQGLPPSLKGAPPAPKERVLAPPPAGTAFSGTGGVEPGDGAGDNGGAGRNGAAAAAGKAGGRFLGAAVAVALVVVLAA >Dexi3B01G0028450.1:cds pep primary_assembly:Fonio_CM05836:3B:24610582:24611835:-1 gene:Dexi3B01G0028450 transcript:Dexi3B01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPYAQVVEDMRRGREIAAQLQGLLRDSPEAGRLVDQILHAMSRTIETAKAVAAAEEGSEGHSEVTTCAPGAGKRKAAGGGDKRAACRRRAHHSSTVTMTIKDVEDGHAWRKYGQKEIQNSKYPKAYFRCTHKYDQQCAALRQVQRCDEDPDAFRVTYIGVHTCRDPAAVAPVVLHSADELHAGSRLISFAPNASATTASTTTTTGNTSSHHCDQKDAALLASLRPLKLESGAGGEQEEVLSSLTPAGSSAAAEAMRNAAATPGLDQGDVTSGLHNCYGGDDLADMATFNYDDDGTFDLDDLDDVFRFDHGQADY >Dexi1A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:1A:10787071:10789105:-1 gene:Dexi1A01G0011660 transcript:Dexi1A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQRRRQALEQEVAELKQQLSNEQTVHNILERALHQPSSAVLSTIPAFIPTKAKELLAELLLVEEEIARLEAQIQDMKAGAGRSRGLLPTTTDTSLPLPPPDANARSPRPSAAVAPPPSPEIKSMFFISQAMDGDYLNKHVKSPSKASSGSPKNSIFALPPTSGSTRHSLDNNNNNNKQQQQQAAANKILHELPISKNTKREDHPNKLSERIVKCLVCIFIRLLRSSRAAELDKSAGNLARSGGNLQGSFSFRIDTGLNVITKDKDRGQQDHYGIFAVQDAIVRDIGPYKNLVRFTSSSFDLRGFSSSPLLTKLRQMLEALQQVDLRSLSHQQKLAFWLNVYNTCIMHHGLPSNSEKLLALKNKATINVSGQKFNALVIENLILRQPSSVKEEFWKCDVDVEEQQVREVYGLKSSEPNILFALCCGIRSSPALRIYKADRVAMDLEKAKLEYLQASLVVTSTRRLLIPGLIHSNMHDFAKDMESLVRWICEQLPTSWSLRKSMVDCLSLRGQSTHLKMDEVVDVIPLDYEFQYLLPM >Dexi4B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:4B:20541885:20547792:1 gene:Dexi4B01G0018140 transcript:Dexi4B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPTPAMAALLLHLLLLLPLLVVISFFVAKPCSVAPSPRRRAATPSPWALPVIGHLHHLAGALPHHTMRDLAVRHVPLMLLRLGGLPVVVASSAAAAGEVMRVRDLDFAPHPVTRTLTATAACSCGRNPTSPSTFPLSSMGPTLVASRKRGTEALIGDPFDLPLLSKRGRRCAPSAVAADFGLTFPLEVDPVTSLQLVFPGADPQILQQHLQASGNVMDAAIRTYKDYLADRSMESASSVTYAASDNGVNDALLSEVNQPVATIPTNGSEWAELIVKEMSSASDLVDARNRAIKILKLWEKSAAQINPNEKHKVQKEHKIVKQMLGGLLHQNGVLKRAFLIQHNRLKEYQEMVQERSQFNQILEKYQKQIKALESTVLLDPITLRNKQRSDATALTDQELQSSNHYIFLALIFLLPLLVIKLRRRNNNHGKNPPPGPWRLPVIGSLHHLVGALPHRAMRDLARRHGPLMLLRLGELPVVSPAAAREVMRTHDAAFASRPRTATIRELTRDGVGVAFAPHGERWRHLRKLCVAELLSARRVKSLRRGRESEAANLVASIAAAASVSPSSETTKTKPAVNVSALLATYVTDAVVRAVVGDRIRDRDAFLEKLDEGVKVAAGFSLADVFPSSRLAPAFSGAARRARAHHREMTKLMDGVIEEHRKRRAAGAGNEEEDLLDDLFGAGSETTATTLQWAMAELMRNPAAMRKAQAEVRRELAGRSHVEEEALPELRYLKLVLKETLRLHAAVPLLLPRESQQETRGVLGFDVPKGAMVLVNAWAIGRDAASWGADAEEFRPERFEGVDVDFRGNDFEFVPFGAGRRMCPGIALGLAVMELGLASLLFHFDWELPGGAAPEELDMDEGLGITARRKSDLWLHATVGVPVPNIETSFFYVIKSKVSRWI >Dexi9B01G0047350.1:cds pep primary_assembly:Fonio_CM05836:9B:46482512:46485348:1 gene:Dexi9B01G0047350 transcript:Dexi9B01G0047350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVALAFRKLSHHHHHRNWIRHHFNPHLDYSNPVAAARALLNSSRRWSSSPPPATPLASSRLFFSSNLSGTEEEEEEEEVEVLDMEAGTVRCAANYAALSPISFIERAAAVYGARAAVVYGERRHTWAEARRRCVRVAAALATRFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSNLLKHSGAKVFLVESNLLDVGRAALKRLAESGAAALPVLLTISDDADSDDYEDLVRDAPEQFDIRWPLNELDPISLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITTMPVYLWTVPMFHCNGWNLPWGVAMQGGTNVCLRHFTARVIFDSIAKHKVTHMGGAPTVLNMIANTSAGDRKPLPGPVRVMTGGAPPPPRVLFAVEELGFVVYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAMQDVDVKNADTMESVPYDGQTVGEVMFRGNTVMSGYYKDLDATRESMAGGWLHTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGASATEAEIISFCRERMPRYMAPKTVVFEDLPKTSTGKTQKFVLRDKARAMGSLTKTANSKL >Dexi9B01G0031100.1:cds pep primary_assembly:Fonio_CM05836:9B:33536615:33537624:-1 gene:Dexi9B01G0031100 transcript:Dexi9B01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSCFLTAKPSPLSKTTMASPASTAARPKPHLLFGTRRSASSSITCCSFKSEDGAAVPVIDPDWRSFRAQLYFNEQSSSAKKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVRAPVGVILNRPSLMSIKEAAGSIFADDADIAGAFSGRPLFFGGPLEECFFILGPRRTAATGGDLVARTGLFEEVMPGVHYGTRETVGCAAELAKRGVVGARDLRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLAGVVKGGLWEEVQGLARLGGRGEEGVVTD >Dexi4B01G0020850.1:cds pep primary_assembly:Fonio_CM05836:4B:22955010:22958394:1 gene:Dexi4B01G0020850 transcript:Dexi4B01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSASSLLHLHLLFPRRRRRRGTTTTDATTGGGGATATATAMGCAQAKPSRGSPARSDGRGIDRLMRDNAYRPFVPNHGAVSRLSDPLPTATKDPRHVSAAPARTTTTMKTTTPDADADVALQAPTAPPPLPPPHPPPARREDELVDGWPTWLLDNVPREALEGIVPRSADAYDKIEKVGQGTYSNVYKARERETGRLVALKKVRLETSESESVRFMAREIRILRRLDHPNVMSLDGIATSRMHRSIYLVFDFMYSDLTKVISRPGERLTEPQIKSYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGPGRRRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFFGKPLLRATTEVEQLFKIFSLCGSPPDDYWRKTKLSPTFKPPKAYKSTTAERFRDLPPTAVSLLATLLALDPAARGTAGQALQSSFFTTPPLPCDISSLPVVYKEEVTDAAASHDGRRPKLRQRSQRRKEKQKAEDQQSVMNSGSSNKEEEKVTDTANSGQDSDVTVMATANASSSVKEPLDITINLASSSSYSKVPRRFSVSPDQELLQESSPAAPQDQQQLSAAKTLYGSGSDDDHENHQPLPAPDDDDVAGGEPPAASSNGGVPVNRSLERRSPDFMTDFEAAAAALRGSEELPSKQYVLVDHV >Dexi6B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:6B:21656546:21657717:-1 gene:Dexi6B01G0013970 transcript:Dexi6B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRGILFLLPKILGDGPAGLIAELVLANDVADYVRFRAVCQPWRRCSPDPRVCGLDDRFLPRRWIMLDKAISASTRCHRFLNISTGESIRMDLPELDDHRCLALTPEGLILLLHEPTLVVRLLNPLTRQLIDLPPVTTLLTEDERAMLSMGEMSLEVYGIGLVDDASSMAVAVYFSDPRVLVVAKPGDDAWAVVYNGTLYSTVSSAGRFYCCIGIDVMMLDMDQQPRLLMAAENSNSIRFSQMAHSVHLVDNAGELMLVHRLTCHDSQNNHKRKYEVYKVDLDAGALVPAKSFDGRAVFMGRYRTISVAAEAFPSIAADTLYLGCDFAERTSTGRYNLIDRSSRPIDDDSSVEMPCSIIHCLSQCIWGMGNFNGQEHPQCNDA >Dexi9B01G0046610.1:cds pep primary_assembly:Fonio_CM05836:9B:45834976:45837074:-1 gene:Dexi9B01G0046610 transcript:Dexi9B01G0046610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVQAAISDKSYSALAPLCDELLLQAASSGAATDDWPYAVHLLAHLYLNDLYVIRYPLPSGLPIFPWIWGYGFVNDDAVVWLGVSRNSARFFWKSLPQEVKDARPELAAVWRIGQCLWNRDYAGVYTASQGFEWGPELADFITAFLESYRQRIFQLLTSAYSTICVADVAHFMGMSEEDATNYALQNSWSLDAATKMLTVKKPKAQTNQKLDATKLQRLTECVFHLEH >Dexi5B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:5B:939659:943916:1 gene:Dexi5B01G0001460 transcript:Dexi5B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDWHSHDPRRRPSLSLAGEWAPPAESQIVPSWAAPFFAGSFNLSRQNFGNPLLPCQGKKNRKAKRSKIPPTHRSTQPLSSGSPPPPASSSPMTVSSGEPRRVVVLLDLDPLLPSPGSSAPASAPVASSYLAAVLPAATSLLAASPSPPSLSAGRLFFSSLSPILSSSLLPRPLSAAPTPLSFDLHAATLAALTPLRRLALRAPSHPRVPASSSIAKSLLQLQHDYSWDPDPQHARRRGFDPPPNLVVLFTAAAEFDEFGDSTSYAEKFRKVFGPVRDRLLANGLHVCWVAVASAGEEIRAAVTKLGWWFTTADAVALGSAVAPPALVWGGVGLGIAEGGRRGEVVLEIADVEGKPLLCKGCQVEVVGSARWQAGGNGVSRIHVKSVSEVGNWEHWTGGDGDVAMVRGLLRDGGKGDGEEAVDKEYFPHQILELVLGDEKDRLGGAKPIWQLILVFLSRRNYCAVVTVSDGDGNSVDGILMPFSMNCALLHFNKNDSGLGQVAAKGPETPGSHVPDAAKVQGARRKRSRLVNKLLEANTWDTFCEVLLKHADGSMPVVDFEELYFSRHELQILLRMEVIKSELGSAMEEGSKQKMIKEICSLLQFIDINLQGDSFQSDSILEYAEKNIKSRYINSMEDVIKKIYTQMEFDLFDEEDEFDCSDSIPSSSSHEDGRVDRSRGHWNSAGASTSASALHLLQRDARSSRQRDDDRHNELMVRAQERRDRQRRLSSFTSWVPDLRRVWALKHPGKEPSVPVPRSRSSSKRRKRRRAACTDMVLETPMTAKRQESGSESPPESDRGGEGSRAAALETVSKTLFDDEEIETDVSSSSM >Dexi1A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:1A:15575605:15575829:1 gene:Dexi1A01G0013230 transcript:Dexi1A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRGSSSSAPPAPLVASAVGGGRRGDVEIFNGRCVARPRGNVAAGRLVTWASARARGAVRGAWGLSLENCRGR >Dexi2B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:2B:11721432:11723515:1 gene:Dexi2B01G0010450 transcript:Dexi2B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRVNGYTTARYVEVDEADGVELFYYFVQAESESEAAAAPFVLWLTGGHRCSVFSGLAYEIGPIRFVVEPYNGTLPRLQYIPNSWTKVSHILFVDSPVGAGFSFSREPKGYDVGDISASLQLQEFLSKWFNDHPEYLGNPFYIGGDSYAGKIVPFLAQIISEGIDCTITIYDR >Dexi1A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:1A:18969458:18971072:1 gene:Dexi1A01G0013680 transcript:Dexi1A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFGLSLPNFYNYGFCFCLLVALLFARVLFSARGRSKPGRRLPPGPWQLPVIGSLHHLLLCGKLPHHAMRDLSARHGPLMLLRICERAAVVVSSAEAAREVYKGHDAAFSQRPSSPGIDELSRHGQGVIFAPYGDHWRLLRKILMTELLSARRVEAFRHIREDEAARLVASLAASSSSPAPVDVDERLRVFMADASVRAILGDRLPDRAAFLRMIKRGQDPSALFDLRDLFPSSWVVRMLPRGGKAERHRQEMFRLMDDILVNHRERTTAAGEQDKNMADVLLRIQKEGDMRVSLSNGVIRAVLIDVVGAALDTSSTTLQWAMAELIANPRVMHKAQLEVRHVMAGQQHGVSEAALRDLHYLKAVIKETLRLHPPAPFVPRVCLEDCMIQGYHVPQGTIAITNVWAISRDPKYWDDPDTFKPERFESDNCFDYKGFDFEFTPFGVGRRMCPGINFSHANVEIGLASLLYHFDWKLPNGEKPEKMDMTENWG >Dexi3B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:3B:13265421:13268156:1 gene:Dexi3B01G0017960 transcript:Dexi3B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSAYYDVLGVSVDASPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPGKKEAYDKYGKEGIPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASVASVEIEENSNSQEGRAKVQEKIKELQKEREHKLTQSLKDRLQPYVDGRKDEFVSWASAEARRLSQAAFGEAMLHTIGYIYARQAARELGKSRIYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQDGMKKMEGSENNEEQLMKSFEEKKEAMLGSLWKINVVDIESTLSRVCQAVLRDNTVSKDVLKLRAKALKKLGTIFQGAKSVYHRENSLRVETGTDQQAAPSH >Dexi8B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:8B:8689562:8690018:1 gene:Dexi8B01G0007310 transcript:Dexi8B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQPLTPKQKRILDPKQKQVSELMFMHCGYIDLLRPFRSWEEKVAMILHVVRQREFTDYDPKAGCCLPHRFSLYNIAFFDFDKESEVVHGPKFRDISPYKYDELEFSFNVI >Dexi4A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:4A:10923467:10924626:1 gene:Dexi4A01G0012360 transcript:Dexi4A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSCVGMMIPLTLLLLAGSSSAVSQLDIGYYSKTCPNVEAIVRDEMEKIISAAPSLAGPLLRLHFHDCFVRISYITTHNGCDASVLLNSTKGNLAEMDADPNKSLRGFGSVERVKAMLEAACPNTVSCADVLTLMARDAVVLGRRDGKVSSATEAANELPPAYGDIPLLIKIFASKNLDVKDLVVLSGAHTLGTAHCPSYADRLYNFSSVYNADPSLDSEYAEKLRTRCKSVDDKAMLSEIDPGSYKTFDTSYYRYVAKRRGLFQSDAALLTDAKTREYVQRIATGKFDDVFFKDFSESMIKMGNVGVLTGDEGEIRKKCSIVN >DexiUA01G0011640.1:cds pep primary_assembly:Fonio_CM05836:UA:23248470:23252146:1 gene:DexiUA01G0011640 transcript:DexiUA01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRGQRGHGGRVSGVERRGGRYSDLDNGDGDSGFGSSRGRRGRGGRISGFSQRRGRESDDSEDDDDEALGFGHSDRMQRRGGRRGGRAESRMHRGRGMSDLGVSQRGGRHNELDDDDSDIGFESLRGRREVSGRSSRLMQRRGRETDFDDEEDDVEDGDEDDSDDVVGFGHSRERQHHGEKRGARSVGAHRGGRGSSMDFGQQKGARKFDFGLSEDDDDEVGEVDDDDEPSGFEDDLFDDEGGKKNVREIAGNMSDSFVSAGGETIKQEGVAGTRSTGGGDSYLSQKRFDECPLSPLTLKGVKAAGYERMTAVQEATLPIILQGKDVLAKARTGTGKTVAFLLPAIEVVSKLPSVDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQVIEIILSN >Dexi9B01G0043650.1:cds pep primary_assembly:Fonio_CM05836:9B:43611434:43614805:-1 gene:Dexi9B01G0043650 transcript:Dexi9B01G0043650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNMISKFLEKAVLTPDVALASHVKTEVPRTMVTRNPNMEKLKKGYLFPEISIKREAHQKKYPDAKIISLGIGDTTEPIPSIIASAMAEITNLRAWNQIHIFVLFFQYALALSTPEGYQGYGPEQGQTFTEPRSALLCENLQSLRKVIAEKVYPNMGIKDSDVFISDGAQCDIARLQMLFGPNVTIAVQDPTFPVRHSPLFTGRTQIDPASSSCSILIPMGWSPPAGDLQGYVDNGVIVGQTGAADDSGRYAGIAYMRCAPENDFFPDLSRVPRTDVIFFCSPNNPTGHMASPAQLRELVDFARRNGSIIVFDSAYAWYVSEGKPRSIYEVPGAREVAIEISSFSKFAGFTGVRLGWAVVPSELRYADGHPVARDFDRIVCTCFNGASSVAQAGGLASLATEEGRDAVRRVVGVYKENARVLVDTFASLGKEVYGGVDSPYVWVRFPGRRSWDVFAEILDKTHVITVPGSGFGPGGEGFVRVSAFNSKDRVLEAAARLSKFLA >Dexi5A01G0038860.1:cds pep primary_assembly:Fonio_CM05836:5A:39671023:39671831:1 gene:Dexi5A01G0038860 transcript:Dexi5A01G0038860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVKLIGFFDSPFAHRAEVALRLKGVPYELILEEDLHNKSELLLKSNPIHKKVPVLLHGDRTICESLVILEYVDEAFQGPPLLPVDPYERAEARFWAHFLDDKCSKPFWLSLWTEGEVQKGFVKEIKENLKLLEGQLVKGRKRFFGGDAVGYLDIAACVFAHWLPVFEEVAGVRLVTEEEYPDLCRWAREYAADETVRQCLPDRAELLAHFTARKDFFVATAKAIMAPN >Dexi1B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:1B:9281392:9289836:1 gene:Dexi1B01G0009930 transcript:Dexi1B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLACRRGVGLLDVEALPPPRSWPAGCRRRAAEELASGCDANGMRVTGYIFYPTNLAAYFITRHHVALNGAAAAAGEYQDLQDPLRLSDHVSLRRADIPVVFQDCTKPTFVQLLDMGRWYRAAAAGFLVNSFYEMENINADEINKLASEQDDDALPPAYTVGPLVRRSSGSDENGGVAEAACLEWLDHQPAGSVVYVSFGSGGSLSVEQMAELTAGLEISGHRFLWVVRAPSLKGPYSMEMESHDDGQDKQQDPLVWLPNGFMERMSSRGLIVAVWVPQVRVLSSPATAASVSHCGWNLAQESMAAGVPMITGINAAILSMTIGVALRLRERRADGLIPREEIAAVIRGVMEGEEGMRHAWPG >DexiUA01G0002250.1:cds pep primary_assembly:Fonio_CM05836:UA:5102070:5104029:-1 gene:DexiUA01G0002250 transcript:DexiUA01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVILFCSKVVIENLRNIAIIAHVDHGKTTLVDKLLQQSERVMDSNDLEKERGITILAKNTAIKWNDYRINIVDTPGHADFGGEVERVMSMVDSVLLVVDAFDGPMPQTRFVTKKAFAHGLKPIVVINKVDRPGARPDWVVDQVFDLFVNLDATDEQLDFPIIYASALNGIAGLDHENMAEDMTPLYQAIVDHVPAPDVDLDGPLQMQISQLDYNNYVGVIGIGRIKRGKVKPNQQVTIIDSEGKTRNGKVGKVLTHLGLERIDSELAEAGDIIAITGLGELNISDTICDPQNVEALPALSVDEPTVTMFFNVNTSPFCGKEGKFVTSRQILDRLNKELVHNVALRVEETQDADAFRVSGRGELHLSVLIENMRREGFEMAVSRPKVIFREIDGRKQEPFENVTLDVEEQHQGSVMQALGERKGDLKNMNPDGKGRVRLDYVIPSRGLIGFRSEFMTMTSGTGLLYSTFSHYDDVRPGEVGQRNNGVLISNGQGKAVAFALFGLQDRGKLFLGHGAEVYEGQIIGIHSRSNDLTVNCLTGKKLTNMRASGTDEATVLVPPIKMTLEQALEFIDDDELVEVTPLSIRIRKRHLTENDRKRAMRGRVSACATRHVKSR >Dexi9B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:9B:6308624:6309044:-1 gene:Dexi9B01G0010170 transcript:Dexi9B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEMDSGNAQSEGSAPSVPKNPAMASCRKKKTDDATFLEDVKDHIDEFIHASMDEHKSCFKKTIQKMFGMSKAVAERSAAEAREAEVESALPLQTSVSQ >Dexi2B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:2B:3163298:3164638:1 gene:Dexi2B01G0003600 transcript:Dexi2B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFFPADGRRSSHFDATFRSSTRRSTAASEMVAEPTGKASLPCRISRETTRSSSPSVKGSAATKRRSSFHTGPPPFPRANAGVVRVLSAWNPSVARTATPASRGGAAAVSVSCASITVSRRSQSFGDTGGSGGGGGRRRRIGLPRVGEGLVGLGAGPRGDHEVSAGEASPAFEPVPLRGEGPVRWVTGVHVGGVEAEAAEEMTVVGDGHVGVGREISKVGPGESVSVSRTNAKVWSHSKKAPRRASRVDAWRPPAATRTCGCAPAGSPRARTTRTNRYDILSTRSCLLRSIAGVSSGTGGGGRRTGIDTCRGITGGGGATVCGGGGCSSSGCFSSDAASSASGSFSSGAGVAAAAGSASSGGFSMVDPSPAGAGVVSSTVFSGSGGSSAGVASLLGASLASGGGGASASAAAAGGSGSGGGGGGGRGTSR >Dexi7A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:7A:17332098:17332846:-1 gene:Dexi7A01G0006050 transcript:Dexi7A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLTYATIMSPGCAHCTAPPVELDERRADEEIGVDADADGGGVQGASSGEAGCAGTRSDDAREREGIRACASLEEELELRERGVKRGVASEGREHGVAEAERDGGGVGAGKRGDERCGDGGALEGVAGSGEAGEEGVVVVEAKADDARVELGDAARRAATAEEGGHRRGWPAGGGDGGGGHEGAVRGGEAGEARLDLRDAAGGAAAAEEGGDRREWPAGGGCHPAAAAASRR >Dexi4A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:4A:18049002:18052250:1 gene:Dexi4A01G0015250 transcript:Dexi4A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTSCSRFPLLIVLLLFLLLLAGEVHSQPATGTGAGDRDTLVTIKKDWGNPPQLNSWDPTTAPNHCNWTGITCGGGAAGAVTGLALPRLNLTGAVPASVCLLSSLARLDLSNNNLTGAFPSAALYACTELTFLDLSNNQFSGPLPRDIDRLSPETMAHLNLSAAGFSGEVPPAVGRLPALESLLLDNNHFTGAYPATEISSLAGLKILTLANNTFAPAPIPKEFANLTNLTYLWLDQMSLTGQIPEELASLTELSLFSLASNNLTGSIPAWVWQREKLEYLFLYDNGFTGELTRNVTAVNLMEIDLSSNQLTGEIPEDFGKLKNLAYLFLYQNQLTGTIPASIGLLTRLRDIRLFNNRLSGELPPELGKHSPLDNLEVSINNLSGTLPETLCANGTLWDLVVFNNTFSGEIPAKLADCVTINNLMLYNNNFSGDFPAKIWSSFPKLTVVMIQNNSFTGSLPAQISSNITRIEMGNNMFSGSFPTSAPGLKVLHAENNRLGGELPSDMSKLANLTDLSLPSNRITGSIPASIKLLQRLNTLDLSGNRISGVIPPGSFGALPALTTLDLSDNELTGSIPSDISNLINSLNLSSNQLTGEVPVPLQISAYDQSFLGNPGLCAMAGSGTNLPTCRGGGRGGHDELSKGLIILFAMLAGIVLVGSIGIAWLLFRRRKESHDVTDWKMTSFTQLNFTESDVLSNIREEHVIGSGGSGKVYRIHLAGTGEEVAGGKMVAVKKIWNAAKLDAKLDKEFESEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRDREGAPAPLDWPTRLAIAIDAAKGLCYMHHDCAQPIVHRDVKSSNILLDPDFQAKIADFGLARILVKSGEPESVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDSGADMCLAEWAWKRYQKGAPFDDVVDVAIREPAYMQDILSVFTLGVICTGENPLTRPSMKEVMHQLIRCEQIAAEAEACQVEYEGGGAPLLELRKKGSRRRSLSDSGRWNDGEDEEDSGNFVVHVV >Dexi4A01G0015250.2:cds pep primary_assembly:Fonio_CM05836:4A:18052105:18055773:1 gene:Dexi4A01G0015250 transcript:Dexi4A01G0015250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVHTVHSFCMLIHGTISPLLTRWMKKLSLPRGARTNNEAETETLGYIRHANIVKLFWRISSSDFGVVLLELTTGRRATGATGIQAGTNLHGNKTIIKL >Dexi4A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:4A:13713672:13715206:1 gene:Dexi4A01G0013630 transcript:Dexi4A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLESAEPMGTREKWKRHCIFRVPPRLKVGRGDVFTPQTVALGPFHHHHDALRPMEAHKLRAVRRLLRRAGDRPWRELAAAVGDIAEELEDAYAGLDGEWLGDENRDRFLEMMITDGCFLLEVMRGKFEDYDPHDPVFGEHAMKHIEAFVLLDMLMIENQLPLSLLRRIVEFETGKLPEERWMNNLVAEFLRVDGRCAAGIGPRLGLHPLDVYCRCLLRRRNYCLPTRRDTTGAAPPPRLRGDPTADSSDPAASNDPPPRSAKRLWEARVRFRSSETRRLDDIDFNICSRRLEMHKITLDDSTEYRFSNLGALHFDGTDNRNGVTAFVLFMRDMIDSKDDVAVLCEGKVLENELAGSDKAVVALFNRLTMDVSKFGDSKLCQVRKKIEHYCDNHKCRVFIFRSWAKLRNSHLSSPWAFMALVFSLLLIGTDITQTLYT >Dexi4A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:4A:8983751:8984293:-1 gene:Dexi4A01G0011100 transcript:Dexi4A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAKAERQRRGACQLRQRLLGIAADLRVHKLHLATVAPATAASLLPALAVIALVLLLLAASARRPPPSPSFSLDSYRSGVTIVPAAGHSRGSAAAAAARVPSGCDIFRPGEWVPDEDAPYYTNLTCPFIQEHQNCMKYGRPDTGFLRWRWRPAGCELPRFDAAAFLDAVRDTSMAFVGD >Dexi2A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:2A:11999010:12012578:1 gene:Dexi2A01G0010740 transcript:Dexi2A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGDMIVELSINVFFSFLWFLVLPLAIDITVNLPDQSDIILKGISTDRIIDVRRLLCVNTATCAITNYSLTHETRDGPLKDAADIATLKPCALTLVEEEYSEESAIEHVRRLLDIVACTTSFGPAPPPPPPPSPKDADAAKEPSSSSSASKAAAASSGGRRTASPPPASPPAGAAKDSSAAKEAAAKESAAAAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAKLCSGKFVVVEARRKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKEIDSLGIINLRYCGHVAVAKVNNIDKTKVTSSIKPINIADQPEGGAHALNINSLRMLLNEANSTGEKKASSLSQNHRHEELTTAQTFVETLLKESLQKLEQEEKEKQSFMRWELGACWVQHLQDQKNSEKDKKQGGEKDKKKAVDKSTKEAKIEGLGKPLKALKNLKNVDSADMGSSLGAKSSAESQKDKPSDIELAQAESNASENENLLKNLLPESAFTRLKESETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIASNSDTRQLALTIAAALNLLLGVPESDVSGSSPSVHPLVWRWLVTFLKKRYEFELTEKHYHDVRKYAILRGLCHKVGIELAPRDFVMDSAFPFHKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKEFSVDVVIFCYLQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDYESGKRRYSSIKVFSHSNESSNGPSPEISPRDSTPTIDEEQQVKEMTKDDSTDIVSETVVTQSPKLFEQSAPSELPLEIAEVNINVPKEVHQDENAEPEDGWQPVQRPKSAGGPGKQIKHYRPTTRKVYNPDTHDATYTSQYKARNSYSNNRYYFLRKRTVVPTTYTDPQQHVKVQTSGARFGRKIYKPVTYRVKPGTASTEVQDTSKSTEPLSGKTESQVTYSQAHSPTSVDYRESDLHGALVASSGNAPSYKDVALARPGTIAKTQIQKPRDDVLQNQPSLGQIIAQELKDSLVDSLQGEQGPVSSNANNPKEVANLPEQIQQSEETKFSGTEPEIENVGKDGSQNLVMPVIGSEPGNSKDANVFSDTNQEPSSSGNSGAIIEVSESTGSVNAGQSGKSDMEFFEALPSIAEPISVSGSATNTGSTGDSVSEKSKPNLVLSNVDFREMPNKKLSAAAPPFNPSPPAVLSPLTGNVGLPPPGAIPGVAPWPVNVSLHHGHSTMVPSGPPLCTSPHHLYPPAPRSPNLMHPVPFIYPPYSQPQVVPSTTFPMNTNIFRPSHYGWQTYMGPAPSEFVPVSAWSSSHTVDFIPPPHVVDPISQSLADKHIQSDAAVVSIGPLLDSSAVEAKEEMETPVVVGSDRFISSTHDDQDKQLKDTARIEVNPDMQADNSHDIGAANHPRGNMRNEDEGSFRIHVKGKGRRKQTLRIPISLLNKTYGSRSFKLDYNRVVRENDIFRPSSVSVPEVVSSGN >Dexi8A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:8A:3161739:3162839:1 gene:Dexi8A01G0004040 transcript:Dexi8A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDFAMPMNRATEPDDFPGFQFKEHGKSDDEEHDTTEDATDTPTGRGKKVPAWHRMKWTDSMVKLLITAVSYTGDDHGVLGGGRRKFAIIQKKGKWKAISKVMVDRHCNVSPQQCEDKFNDLNKRYKRLIDILGQGTACKVVTNTTLLDSMSHISDKMKDDVRKILNSKHLFFEEMCSYHNNNRENLHEDHALQQSLLLALRSKEEHGPQRDASGGADQDDQSADSDYEQNYEEQLPMHTNMSESSMHKRARHSDMPLVTSNSHEGSERFDPHGITVDINNAFPNGTNLASQVLEVQKRLLQIEERNLELTEQRLKWEQFRKKKDREIETMALKNKHKMVDTKRLELELRQKELELKLKGHENRA >Dexi7B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:7B:24239603:24240249:-1 gene:Dexi7B01G0018480 transcript:Dexi7B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEYDVNLDGLLDREEFAEFIRKLTADSLSAISLKLVITLVAAPAVAMATKRATEGVPGVGKVVRRVPNALYASAITLAVVLVQKSSEGVE >Dexi7A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:7A:22655684:22656619:-1 gene:Dexi7A01G0012470 transcript:Dexi7A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILKANLPTFTSEEKKLLQYKSDFIGLNHYTAIYVKDCIHSPCSLNTYEGNALVFATGERDGVKIGRDWSNVSREELINDVERLNYLQGYITYLSKAVRNGANVRGYFAWTLLDNFEWTFGYSVRYGLYHVDFDTQERTPRMSARWYRSFLTGSDLTDNVQEPRADS >Dexi2A01G0026180.1:cds pep primary_assembly:Fonio_CM05836:2A:37735659:37735961:1 gene:Dexi2A01G0026180 transcript:Dexi2A01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTDGFATHHSEPAVPGVGVPANGGGNTTGGMKLPPGGEGTAPGASGAFSGGGYGAPGGSGANSGGLGTTPGGSGAYSGGLGMIPGGYGA >Dexi5B01G0039970.1:cds pep primary_assembly:Fonio_CM05836:5B:38624045:38625490:1 gene:Dexi5B01G0039970 transcript:Dexi5B01G0039970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKEFALSPPAAVAPRRRASARVAPPARGGSPVPDLWLRTAAPAAIGTHHSHDSDMDLAMLVTDFLESGASAGGYSRASSDSDSGLPDLAHLADNISMLKQGGDDTQNELLSMVHSLLLSIHDSDLQAFKRGQCDGGCIRRLLVKLLRYSRYDAAVCTSKWQGFDKIPGGDHEYIDVIMHSDTTGPERLIVDIDFRSHFEIARAVDSYGTLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWQSKYERTSLITELGFHGTASDHVLCIGHLKRLKTSLQSELETGSLLMMPIKADKTMTPKFERRWRRSLLSC >Dexi5B01G0027960.1:cds pep primary_assembly:Fonio_CM05836:5B:29458635:29462137:-1 gene:Dexi5B01G0027960 transcript:Dexi5B01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASSRLLPRISALSFCTATPAAAAASSSSPTAAAAAASASEASSTSGDPSSQPPPAARKPWGALKFAAFATVTAAAGATGYASYAYSLEELDQMTREFRKKSKLPIPEDASSFEKFQAMAYSAAMKVPVATIEGYLDLRSQIEDQIRGFSEPVSDKLLPDRAPQEQHVLTLVLDLNETLVYSDWKYVDPVVDRLDPKGNVRHRLSRVATKYEHGKHYRVCVALPSILDLSKLNRNPAQVIYISAHAFESSLQPENSVQIKPWKLENDDTQLLDLIPFLEYVAIARPSDIRAVLASYQGSDIAAEFIERSKEHQ >Dexi7B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:7B:23604336:23607287:-1 gene:Dexi7B01G0017690 transcript:Dexi7B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRSKNTPTSAAGCLPDEIVEQILLRLPASSTVRLRAVCRAWAALLSSPSFADAYAAAVERSSRASVFVLFAPSPASPNGATAVYSSRAGAAAERPLFTIDRLRPGFLVASTKPCNGLVLLTDTRSFAYWVCNPSTGEFRRLPQQRRRRGGLSSAGLAYDDRTKEHRVVHLFCHEEDAESSTTGCEVYTLCKPSRPWRPVSVPRRLVDAATCALVFESAVTKVPPVFANGRLHWQIYPSMDMDDPRGLFPESIAYPYYTVSVLCFSVADETFGLVAGPAVDDMCCGLDDRYPAVPLHLVELQGSLCMVRDLRHHPAHGESLMEIWALRDYCTSTWSILHRVAMTPHVASGTRSPRFLTVLGYCYLGRDGASSDKKILIATSQHKVHAYDPATGDVETMLITNKEDEEAVAGVRIGLYEDSLARTGGENRRQMEVASALTEILVRLPVRCIAQCMLVCKQWHTLIESESFTTSHLLLKRQQPRKKLALVTSGRARKSFLGFMPMEARVGHPAAACLDSLLVGGDDDIVVCSKPCHGLNLISTITDDYLCNPCTGSVRCLGIHGKFRRNNPQAGNVHPPPADHRRHGLAVAGGRNVGLGFDRLTREHVVVEISRLESGGLACMLKASCTEYWSRAGEPPRPVTGMPPAHVDGTLYWMSEPKDGDRFVVAFDIPARVFSLVPCQPCNGGAGSSSDPFLVELEGALSVVVADVEGNMLRIWRMLEHGSWVNAYSIFLQDSNRHPGFSLRTGTVVPMEVAGGKDGRNKKILLNTGRALGYYDTRTRAIDALYSLDPSSSLLQAAFPMLYEESLVCIQDDEQPDHVAPPVWDEASAGWESEQPSHYIFRWCERSGCHEPAATFAASCCRRALCRGCGDRCRDHGGAEGFHAEIPPGTPSSVAGIREHLQLPLEHPSVPGPEYCYYYSMRDEDEDDVGRHVFVALKDLVRGRQPRRLVECGYRTADGGKVILETWVRRYRAHDEGNF >Dexi2A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:2A:8282073:8284133:-1 gene:Dexi2A01G0008020 transcript:Dexi2A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLLNFYVISVQVSSEENDGQLGSPKAIPVSSMSLMDVHVDSAKTAKKDGLKANGSSKDRSGNSTSVSTQDSNMKVPISQTSGGAESDVSSQAKPSSKKPAVRKKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLISLEEVKQHKTGDCVWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPNE >Dexi7A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:7A:1543926:1547159:1 gene:Dexi7A01G0000570 transcript:Dexi7A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEALPDDMEMNMGCIYGSISSPDQICSSQSRDELNPNSAEKDRSLYIQHVILCGIIQKRANMNVKFILKLPSFLQRNLRYKIQDKQKKRIQITISLSGSSNPEMRAQNIFPLYALFAKPISDVLHEGHSPVYQFSRACLLTSFDESGRNSHNEAVFIIQDLKTLANIILVSCGHVGQARDENNCSKNNLENSSVQKLQGKYFWGKIPNDFLRLSLEDCVDLMLGRTKQFAFSMTMSPGYVEVCI >Dexi6B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:6B:3310832:3314234:1 gene:Dexi6B01G0004070 transcript:Dexi6B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSASFLEIQPSELAFPFEIMKQSSCSMQLTNKTEHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTAQKELPPDMQCKDKFLVQSVAAENGATAQDINAAMFNKEPGKVVDEFKLRVVYVPTTTPSPIPEDSELGSSAHSFAQQNGISHSAMPQSVSRSSAETTKEKSSEATSVISKLTEEKRSAVQQNQKLRQELLLRKESSKSGGGYSITFLLVVGLLGAIVGYILKRR >Dexi9A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:9A:700477:709739:1 gene:Dexi9A01G0001320 transcript:Dexi9A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPDEANEAEVTSIDMYTCTAAQGQLNRDTYSDPPERRSGGVQFRPSPARRDARCFVRPNGRPLPPAPHALSAPPPAHGRAAPPPPPPPRRDAPLRGLPAALLECPFRQKCSPLLESVLLPGRSDLTVHEWKAVPDIWRTAAEKYPDLVAVVDPYHEPPTEWTYTQLEQEILDFSQGLRAIGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINTRFIVLLWGDKSCIDSQIVQDIPLYVYKDITKLGRESRNSLFLSGQPGQQDVFETISPEDVATLIYTSGTSGTPKGVMLTHRNLLHQINNLWDIVPAEPGDRFLSMLPPWHAYERACEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIISVPLVYETLYSSIHKQISSSSAARKIIALSLIKISLLYMEAKKIYEGTVLTNNPVEPSFIIYMVKWLWARFVAAFLWPLHNLAKILVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVNLTEIKVFDIETGEALPDGSKGIVKIKGPQVMKGYYKNPSATNEALDQEGWFSTGDIGWIVPHHAVGPSRKCGGMLVLEGRAKDTIVLSTGENVEPSEIEEAASRSNLISQIVVIGQDQRRLGAIIVPNEDEVLAQAKRRSMLDENGKVAKDKVMNLLYDELRTWTAHCSFRVGPIMVVDEPFTIDNGVMTPTMKIRRDKVTSKYQREIEALFK >Dexi9A01G0023140.1:cds pep primary_assembly:Fonio_CM05836:9A:18324931:18328244:1 gene:Dexi9A01G0023140 transcript:Dexi9A01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGCGGHSKMSKLSWSKSLVRKWFNIRGKSHDFHADDVAPGKSAGGDDDWMDGGFTRRNSCGAKKSRTERASRKSHERSRRSKIDLDAAEATVMLDYRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGCSGGGGSGSLQTPSPAPYPVAEMDADFERLRQNNPSFFHRRSFQSALSSRSLRADGDILAGPGPGPAARLERRYSVNDRVMYGSRLSDYEANCQWGHAGQTDDDEDDAGGGGGGSPCTIFSPMSHGGHGYGTAPPMEEYSGSARGPPARYCLVASKQMVGLFLMIWARKEIKNDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKEGDEHRRNSDVMEILRKSSRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMKQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSSWSSQLDIEELLPYSYGYTDINPYGYTDLNFF >Dexi7A01G0022470.1:cds pep primary_assembly:Fonio_CM05836:7A:30719607:30722343:-1 gene:Dexi7A01G0022470 transcript:Dexi7A01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGLLAAALLLLLASAASAAPDMSIISYNEEHGVRGLERTEAEAREMYDLWLAEHGRAYNALGERDRRFRVFCDNLRFVDAHNARADEHRYTLGMNQFADLTNEEFRAAYLGATLPAQAGNGTVGERYRHDGVEALPDSVDWREKGAVAPIKNQGQCVSTVESINQLVTGELITLSEQELVECSTNGGNSGCNGGLMDSAFAFIIQNGGIDTEADYPYKAVDGQCDINRKNAKIVSIDGYEDVPKNSEKSLQKAVAHQPVSVAIAAGGREFQLYKSGIFTGSCTTTLDHGVVAVGYGTEDGKDYWIVRNSWGPKWGEAGYVRMERNIDAATGKCGIAMMASYPTKKGPNPPKPSPTPPTPPPPPVSPDVACDATYSCEAGTTCCCAFGFRNVCLVWGCCPLQSATCCKDHVSCCPPNYPVCNIRAHTCSVSKNSPLSVPALMRTLAKLNTA >Dexi2B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:2B:26017070:26020086:1 gene:Dexi2B01G0015890 transcript:Dexi2B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLAPCAGMVSRSYSNLLELASGGSGGEPLPSLGRRRIPRVVTASGIVPDLDVSDDDAASAASDHSSHAPRERVIIVANQLPVRAARRAGAGGGWDFEWDEDSLLLQVRDSLRAHHGRADVEFVYVGGLRDDVPPADHDEVAHELLEGFRCVPTFLRADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRLLWQAYVSVNKIFADKILEVISPDEDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLQSVLNLPETGVKVAELLKQFYHRNRLLLLGVDDMDIFKGISLKLLAFEQLLLQHPEWRGRVVLVQIANPARGKGKDVKEVQEESDAMVKRINDAFGQPDYQPVILIDKPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARQGNEMIDRILGLGPSSRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEMPEGEKVLRHEKHHRYVSTHDVGYWANSFLQDLERTCLDHNRRRCWGIGFGLKFRVVALDPNFKKLAVEHLVSAYRRTTKRVILLDYDGTLMPQTSFGKSPTSKTIDMLNSLCRDKNNMVFLVSTKSRVTLTEWFSPCENLGLAAEHGYFLRLRRDAEWQTCVPVIDCSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLSNEPVSVKAGLNHVEVKPQGVSKGLVAKRILSTMQERGDLPDFILCVGDDRSDEDMFEVITTAAARGPSLHPEAEVFACTVGRKPSKAKYYLDDPADIVRLVQGLASVSDDQAHDGGGGGTPPLDDAATDTIPR >Dexi7A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:7A:18139381:18142963:-1 gene:Dexi7A01G0006820 transcript:Dexi7A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTTAAAATLRASATAAAPSARRAARASSRAFFPNSSASAARARVGLRAAPPSRLPQRAMAVRCAAVGAAVSDAAQLKASREDIRELLRTTYCHPILVRLGWHDAGTYDKNIEEWPQRGGANGSLRFDVELKHGANAGLVNALKLIQPIKDKYPSITYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPSSPADHLREVFYRMGLDDKDIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFTEIKEKRDQDLLVLPTDAALFEDPGFKVYAEKYAADQDAFFKDYAEAHAKLSNLGAKFNPPQGFSLDD >Dexi4A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:4A:3972214:3974585:1 gene:Dexi4A01G0005480 transcript:Dexi4A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLGLATAPGVLLHRRALPHASFIWGRGRCAGGRRSTNLALSASSNGAAVPSLTSDSEKKGPVIMEIPLDKIRRPLMRTRANDPVKVQELMDSIRVIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >DexiUA01G0024740.1:cds pep primary_assembly:Fonio_CM05836:UA:51367617:51369906:1 gene:DexiUA01G0024740 transcript:DexiUA01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLASVLIGSAIWLVKTFLMKLVASTFHRKTFFDRIQESVFHQYVLQTLSGPPVMELAENVGREGSGLGRVSFSRAKEEKGVPEVIDVVKLRRMSQEKVSAWTMRKLITAIRSSGLSTISNTIESFDDVDGMEQKDKEINSEWEAKVAAYAIFKNVARPGYKHIEEVDLLRFLTKEEVDLVIPLFEGASETGKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLISVIVIVIIIIVTLLLMGIATTKILLVISSQLVVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGTQMTVEEMNILTTVFLKNDNEKIYYPNSVLSTKPISNFYRSPNMYDTIDFAIDVSTSVESIGALKSKIKGYLESKPTHWNPVHTVNLKDILDVNKINMSLSVQHTMNFQNIREKNIRRSELVMELKKIFEEMSIQYHLLPQKGSGNSHEVLVPMGSRNIIQERACESSDMDDEYDMVPCSR >Dexi9B01G0022390.1:cds pep primary_assembly:Fonio_CM05836:9B:17048965:17056500:1 gene:Dexi9B01G0022390 transcript:Dexi9B01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAAAADSSSTPLPPFRLRQLSLSAAAPIPALDLEILCCRLSRRHRLACLPQPPADALLVFQRCQSREAAEAVSEVVAAFPGSSVGEEEALECSGELVAKAVGCELRSMMLEHGWRCLGESIYVHSEFDQNEERTDQCAVNVEVRLGGNNDFEFVVSPDAFQFTTHKMSDVASSNMMEAFQHGNEVFLDTRSFLTVCTILPTLQEGHVFGYSKMLPSEQCLDKLPSSLVLQGPGLQPALKSVRLSKAMAALQSFVELLKVWSFFGHNKLLIKEQVLLNCSSTLPTWNNASSNLTSHSSRTDNIEDLDLGHTDITSKVQSFILDFRTPKPAVLCSWKPKLWNTEVHKISHSLGDNDNLSGTRSINDGFQSQLLPPNVSHKSQVTLFKPSFSRSKPSEKMKLRFSSEHHDAENSNKSSHHHAVEDHPNPVSSSSAILDMPVIQVSENHGRKQASLITSSCQGGVGIAKESSMVASPAGLNLKCHLTFLHSKDYTPGIQVTKEVPDIEKDLLTTKVINTKLKTVVAKDVVTAEAKRKATQDLEKNALTAMTKQKTMPELVKNEFFTKVRDDQNDGLNKKVTKAKAKTVDKDLSNSTRTKAKPDVANDELIAKVIDNHRRGELRLLTVADLKCFLSAKKAKVGGTKEVLIQRVTELLA >Dexi5B01G0038000.1:cds pep primary_assembly:Fonio_CM05836:5B:37302423:37303688:-1 gene:Dexi5B01G0038000 transcript:Dexi5B01G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPRPSPANLLLLLATSLLALPWPASCGNPVLIPVAKDPATSLYTILIRDGAPHLVDLAGPLLWSTCAADHLPAAFTCNSTACTDANAYRAPTCHIAGHHCKTTTTKCKAFPYNPITGKCAAANLVHTRLVANTTDGKTPLQQVSVRAVAACAPPSILASMPKDVTGVAGLSAASLALPAQVAASQGVARKFLLCLPRRGEGVAIFGGGPLFLLPESSMGDLTTALAFTRLVTRKDNPLYYIPVEAIAVNNAPVTLPANVLAGGGGGGGVVLCSRVSYTMLRPDVYRPVVDAFEKALARSDAKVSAVAPFELCYKSSMLGNTRLGYAVPDVALVLEGGKSWTFFGSSSMVNVDEQTACFAFVEMKGVKSGDPSAAAAVVGGFQMEDHLLQFDIEKMQLGFAKVPFFSACSNFNFAKSSQY >DexiUA01G0027610.1:cds pep primary_assembly:Fonio_CM05836:UA:58875949:58876516:1 gene:DexiUA01G0027610 transcript:DexiUA01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLSLMHSHDYVLKGLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAMTAVLDGFQKMYSIDFGPLNVVRAAAFHGAQYISPLKKNIISYAMGDTKWPLFS >Dexi4A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:4A:1783216:1784704:1 gene:Dexi4A01G0002600 transcript:Dexi4A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGLVRLLVTLVLLMLAMLLAAAAAVAEATAAEVQVELAPAHNASDDAPAPASETEGAHQQQQQQPPPPQHHLLPRPLVIELPSSAPARAAAAGEGPQDDVPADAVVASREAQVVPTAPPQNDESDAAAAAEQQQDHHILPRPLAIDLPPALPNGVEAAAPDEVPADVRCASWRLAAEANNLSPWKAVPAECAAHVRGYVTGVAYRSDLELVARESAAYARAAPLRGDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHQKFNRWVERGEARAIPSSLKLYNEIRGLGFKTFLLTGRSEGHLDVTAENLKRQGFHDWDKLVLRAAGDREKTATVYKSEKRREMEEEGYRILGNSGDQWSDLLGSSMSVRSFKLPNPMYYIP >Dexi6B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:6B:9262284:9263995:1 gene:Dexi6B01G0007780 transcript:Dexi6B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDTDCKVDPRSFEFKKNKFGKPEILWQSDDSRMEWPLHFNISHTSSLIACGITMDTPIGIDIEEKTRKPAKNIFSLARRYFTPSEVDYLAKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIVLAAKNGIRISVILGMTGYL >Dexi9A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:9A:2585380:2585871:1 gene:Dexi9A01G0004720 transcript:Dexi9A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRCIALAALLCGVALALHAAAAARTTPPGDGSSSSSSASTTTLPVNTAAAKNAGAGVEDKKNLFVGVGGMGDLPGFPAVGAGYGAGFGNNGRGVFSGVTGPLGGVGSGIGGVSPLGGVGGFGPLGGGGGGVPFGGGGVIPFGGFGGGGGYGGGGAGGVTP >Dexi5A01G0038850.1:cds pep primary_assembly:Fonio_CM05836:5A:39668007:39670109:1 gene:Dexi5A01G0038850 transcript:Dexi5A01G0038850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAVKLIAFFGSPYAHRAEAALCLKGVPYELLLEDLLVTKSELLLQHNPVHKKVPVLLHGDRAICESLVVAEYIDEAFDGPPLLPTDPFDRAMARFWADFIENKLTKPFFMAHWVEGEARENFEQEGLELLALLEAQLKGKRFFGGDRPGYLDVAASMLGPWRSVVEEVTGVTLVNDEGHPALCQWARDYSSFEALKPENELERKPKMSPPVKLIGAFGSPFVVRAEVALRLKGVPYEIIQEDMENKSELLLQHNPIHKKVPVLLHGDRAVSESLVIVEYVDEAFHGPPLLPSDPIGRATARFWAHFMDQKCLRALVLSFCTEGEVQEGFIRETKENLALLEAQLDGKRFFGGDSIGYLDIALSGVSYWMGVFEEVNGVSLMGDGEYPALHRWAKEYTSNEAVKQCLPDRELLKGHFAAKKDKLKMVATAMLKQ >Dexi3A01G0030520.1:cds pep primary_assembly:Fonio_CM05836:3A:34499735:34502929:1 gene:Dexi3A01G0030520 transcript:Dexi3A01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGGAPKRCYYEVLGLSRDCSPTDIKLAFRRLALSLHPDKQGPGADLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDASAGGGAKSASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFDRVFAQELAYARRMGVPDPAAPPVIGNLDSPYAQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRLRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRRTEEKQKKKEEEKRKKERAMAYQEPDWARVEEDEGLYDDEEEEELRAKKKEELYCVACNKKFKSDKQWKNHEQSKKHRDKVAELRMAFKEEEESLKEAEEEGEGDWNEVDVGFDFKPTQESDDESAFSDAAEELAEDLEEVLEVHDNGDGDKIFDSTEQEVGSYDEASVLEAMLSSRKSRKGSYVAPPEEALSSAVEDDDDDRNSEVNNTKRKGRRRRAAKKQQDEGTYADNEQPGKSEVQPEESSNDVDEKMEGPSSSNDDSASASKGDEQNGKNSNPKKNKKNKKGTEKITAVSADQKGTSKADQKSTSKGKKQKEVSKAPSNDCETCGGTFESRNKLFSHLEETGHAMLKTRQKSRR >Dexi8B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:8B:855367:856686:1 gene:Dexi8B01G0001230 transcript:Dexi8B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLDTSASASAACSRLPCILLNRDPVIGICRNKSTAVGEGRDNLTIEASFCYEQPPLASTLFVYCPGLTYPRRSVLISTAEDLLLFRVPVADEPPTYYFSYDDCDYEDCDYMIYRAGRSPTLTRIPNPKANFYDDSVGLLSRAGDLFTVAALVAKSTNEFTLHRFDSEVGNWSLKTVSLDAPPKPYPIRIRTNVLLLNHHFTTTVIALGGKSGTMGWVDLWSGILLYDLCPEDQERPMLRHMRLPLPMDLGKWATELGCTKSASGIASVIKGGKPCLKLVGLQIIEERLPYDDIETNMPCYTVNNWAITTWSNTIVHFDSSDNWQDGFTVWAPDVIISDTVRSKLLASGLLHRKPSEDGEDTVELALQNLVVSDPTPSLNGEEEVVYLMARPKYFHPKAWSLAIDIKNHTLLDVAEFGTAKEGVLPDVTYCSSSISKY >Dexi2B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:2B:200885:203950:1 gene:Dexi2B01G0000490 transcript:Dexi2B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCALLLPFLLLLPLLARAAAAAVAPCHPDDLAALRAFAGNLTAGAAAAALRAAWSSSSSSAACCAWDGVACGADGRVASLRLPARGLTGPLPAPPLAGLARLRDLDLSGNALAGPISAVLAAVSPTLRAANLSSNLLDGALPDLAALPDLDALDASNNSISGALAPDLCAGAPALRLLDLSANRLAGALPSSANATTPLCAATLRELSLASNAFTGSLPATLFDLTGLHRLSLASNGLTGQVTSRLGDLKNLTFLDLSGNRFSGHLPDVFGDLALLENLVAHSNGFSGPLPPSLSLLSSLRVLDLRNNSLSGTIARVNFSAMPFLASVDLATNHLNGTLPVSLADCQELKSLSLAKNMLTGQLPKDYSRLASLSMLSLSNNSLHNISGALTVLGACKNLTTLILTKNFIGEELPGDGVGGFDSMEVLALGDCALRGRVPEWLTRCKKLEVLDLSWNQLVGTIPSWIGEFEYLSYLDLSNNTLVGEIPKSLTQLKSLVTYRQSPGMAFTSMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNFISGCIPDALSRMENLEVLDLSSNNLSGSIPSSLTELTFLSKFSVAHNHLVGQIPNGGQFLTFANSSFEGNPGLCRSSSCNLNLSMETHNGTEIEPAASMRNKKNKILGVAICIGLALAVFLAVILVNMSKREVSAIDYEDTEGSCHELYDSYSKPVLFFQNSAVKELTVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFHAEVEALSQAQHKNLVTLRGYCRYGNDRLLIYSYMENGSLDYWLHERSDGGYVLKWESRLRIAQGSARGLAYLHKVCEPNIIHRDVKSSNILLNENFEACLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSLIATPKGDVFSFGVVLLELLTGKRPVDVSKSKGSRDLISWVLQMKSEKKEEQIFDRLIWSKAHEKQLVLVLEIACKCISTDPRQRPSIEQVVSCLDNV >Dexi2B01G0035520.1:cds pep primary_assembly:Fonio_CM05836:2B:42502073:42503793:1 gene:Dexi2B01G0035520 transcript:Dexi2B01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILCLVASASAQLSPTFYDTSCPRALATIKAAVTAAVAQEPRMGASLLRLHFHDCFGCDASVLLNDTASFTGEQGALPNAGSIRGFGVIDNIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWKVLLGRRDSTTASLSQANSDLPAPSFDLANLTAAFAKKGLSRTDLVALSGAHTIGLAQCKNFRAHIYNDTNVNAAFATLRKGSCPSASGNGDGNLAPLDTTTSTTFDNAYYSNLLVQSGLLHSDQQLFNGGATDGLVRTYATTPTCFNKDFAAAMIRMGNISPLTGSQGQVRLTCSKVN >Dexi3A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:3A:8431446:8433513:1 gene:Dexi3A01G0011660 transcript:Dexi3A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGMGGARGGAGGGGWVPGERWWRVLFLALASVSFLVTLILLFLSAPRLRLPGVAPSTAAASAVRRGPDAPPCLAYLLTGARGDGRRLLRLLLAVYHPRNRYVLHLSADAPDDERKRLAAGVVAAAPAVAAFDNVVVVGEPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPLVTQDGSPWVILNRQFIEYCVLAWENLPRILLMYFNNIIQPQEGYFHSVICNSLEFRNFTVNNNLRFTLRDDPAQTEPPFLTREHYGQMVDSGAPFARPFQENDPLLAQIDGNILKRWSHGPVPGAWCSGRKKWFSDPCSQWGDVNIVRPGPQSVKLHRYVNHTLEEANSNSNSCRR >Dexi1B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:1B:10711481:10712023:-1 gene:Dexi1B01G0010880 transcript:Dexi1B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGIASRGIIPVLYQRVPCVRRGGVRFMINGHDYFNLVLVTNVGGAGSIKSMDVKSSDSADWMPMARNWGANWHSMAYLSGKMLSFRVTITDGQTLVFTNVVPPGWTFGMTFASNLQFKPSP >Dexi5A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:5A:4709239:4711251:-1 gene:Dexi5A01G0006410 transcript:Dexi5A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKALLSSSLLPALPRAGGAAAAAPASPLPPLRLRHRGRRAAAGCAVRAGLHGLGPLGGGAHLQAVLERAEAALYTLADAAVVAADAAAGGDAGQAATEAVQKNGGWFGFISDALEVVLKVLKDGLTAVHVPYSYGFAIILLTIIVKAATLPLTKKQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVVLK >Dexi3B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:3B:10424350:10426457:1 gene:Dexi3B01G0014440 transcript:Dexi3B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPFSSQQPSGDSANVGSSIDPSVHTSYTAGSPRLNQCLSDISMGNSRFFSYEELYQITDGFSAQRLLGEGGFGSVYKGRLPDGTDVAIKQLRDGGGQGEREFQAEVEIISRVHHRHLVALVGYCISNNQRLLVYEFVPNNTLHYHLHGMISFFHIFARAFPSSASHPRIIHRDIKSSNILLDNNFEAKVGDFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPKLSRALDTGDLEGLVDPRLEKKLNEAEMVRMIEAAAACIRHSASRRPRMSQVVRVLESLADIDLTNGVQPGQSQLFNVANTAEIRMFRRMVDGVQDDSSDLSLYVTD >Dexi5B01G0034770.1:cds pep primary_assembly:Fonio_CM05836:5B:34935290:34935659:-1 gene:Dexi5B01G0034770 transcript:Dexi5B01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETTTLREVGHLGDTVFLLSYPNAQALCSASEYGSKATVSIYFMHNTMKEPDGGLPHVFNLGSQVLETLRPCQDMTELIS >Dexi5A01G0022100.1:cds pep primary_assembly:Fonio_CM05836:5A:25998580:26004321:-1 gene:Dexi5A01G0022100 transcript:Dexi5A01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHKLHRSHRRGGSADRSESEGEESAPATGAREEVAAAVRVSRDPEPERRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSEAFGHADAEKLPDKSRGSGDESKRSSRRTAVVDDKAEEVVSKSDSGKRRSEKEKDVGRRESTGHYKDDRDRDRERDREREREKEWERQKERVREKSRDREREKEREREKEREREREKEREKDRDRERDRERERQKERERDRKDYDSKHERYEDAGSRKTGPKTSRAEEEAYSYRRETEISESSAKEKYGNPEVQPDKHSRRKDDSEDTDKWPADNRDSDDRKTMSRYEHGRSRSSKEQRLDDDKYKERYKDDYGRDKRQHDDKFLDERVTRGHESDRADYKSAKDGHRSSESHYRKDAVQDSDHYEDYGNRHGSKVIDHGKREVPYDERNSRQRTSSGRERTPASRLRDRDTENWSSERLKQKDDHQSRDIPLEISTSSQFSTHMRPFGWPNPLDESCPPHLQVWNGGSGVFPGEPYLYGRQEWDQNRHASTRGWELSADASKGLNEVSDAELPVAKKEPGSAATAISNSSSGQHNVQPQTEEKEIEHVTSENFDAKDDSRSALKSLEAPRGAQLMTSMLSKEGVVFCKSYLSKISVSPDLVDSELYTRCISLLGELGVAKPTHVVRNELTQRALALHQNQTGKGLVPTFASVKMEEEMDVPEDSHNTEMLDCTPKEAAVSNHALQHNTCVMEEGSLSKHPGDRIGVAPAATTESGGVEAPPVITQPDEVMEVAAPPAIAAPDKAPNKVPADGLEDGEPQATLEHIADLQEITAAGSLEDVAFSADGESGDNTEAMPPAIADPNLGKEVAPAVASPPDSLERPSIMPADAETGMEDQIDNIICDNPGDAEVSSILATKLDVAASDDGDSEALLVESRCNVFAVS >Dexi1B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:1B:3247420:3250207:1 gene:Dexi1B01G0004080 transcript:Dexi1B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAAASPGGAHSSPLRPAHSSPSSTLSEASNSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATRPPPARQLSGGLAAAFDTSSDLLPPLPVLGDSAFLLRDMPSPSPRSPSGGAKNCSSPAPVSSVFREFRDPAPSPASPDTVDVDELGEIDFDDDDGFDAESILDVDEATAGAAEGLDGIMGSLTVESNTAATAATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDLTVAPPTPPAAAPAATDTAMAAPPAPEKKKSKKKKVVKVEKIMAKGKEEMPTTKCKEEPDGSVEAANGDGDGDGVPTKAPKTGLGLKLDADEVLKAWSDKGSMFTEGGGPESPTSAADVRAKLADIDLFPDNGAGSGIREARVLRYKEKRRTRLFSKKIRYQVRKGRFVRSPSLLQQALEEES >Dexi9A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:9A:1148996:1149265:-1 gene:Dexi9A01G0002160 transcript:Dexi9A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQAAELPRRRARGEMRDLEEFRGSAPPSHGEGGGGALSSWWSGDPEAKRRRRVAGYKAYAVEARVKASLRKGFRWIKDRCTGLVRRY >Dexi8B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:8B:19104399:19105739:-1 gene:Dexi8B01G0010470 transcript:Dexi8B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMETKLMAALATIAALSLHHLSLAIAVADHTRVFSNSSAGFSLPLFTKPSPNRAIRRGTDGFLYLQHNLTAASVTANFTAIAPENNRHHVTEVHFGTGNGKRKLLLELDSRGPITWIQCIPCRPAATQTGPMFDGEASPTFRHVDSRVCRPPFRPDPAISRCLFTIKGAGGLMVKGLVSLDEYTREADGHVFPNFIFGCAHETWHFSNINTYAGVLAYRQFATQVAGHGLTRSSYCLFREVNRQGFLRFGDESDIPNKPHYQTTRILPVHGDVHESAYHVSLVGVSLGESRLDGVHPEMFARRKDGQGGSIIDVVEQAGYGLCVRATEAIKGRLQPMSLHFAEEEATLVISPKQLFLMVDDKRAGQIACLAMRPGRRNVIGTLQQVDTRFVYDLKEHMLSFAPESCIQDTVPVA >Dexi7B01G0023110.1:cds pep primary_assembly:Fonio_CM05836:7B:27809736:27810410:1 gene:Dexi7B01G0023110 transcript:Dexi7B01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPADAAAVVLPAAVLALASALTAEDQEVLAYLLSCGGAAGGRPRRRRGPHPPEMGCGCFGCYKSFWARWDASPNRHLIHRIIDAVEEGAGGGGGGGAPRRPPRRRRRGRRGSDAADDHENTTTDAGEVGAGVDHQHHPCCDGGMVDHRGEYEGDGDDEEGSSMDGDEDDASVASESDCNSVDGFGGGSAEKSTVGRLVRFIGEKVWAAWN >Dexi9A01G0032750.1:cds pep primary_assembly:Fonio_CM05836:9A:37620045:37623779:-1 gene:Dexi9A01G0032750 transcript:Dexi9A01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEMDGVAFHTMPNFDFLSGNGYSVKQLIHSNSDRDSSSTKSEQSGQALSAVSDSSLNGQHTKTQSGLFSPSDNKDSCGKRDQSMVKSVLSFGNPEAAFSPPKFDYSQTFACSSYTADPYYGGVLTGYASNAIVHPQISGATNSRVPLPVEPATEEPIFVNAKQYHAIIRRRQMRAKLEAQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQQLLQKAVPPSLQTPTGGVGKMTFGRSLCPENSTSHSPSTSSGISSVSNGGGMLAHQEHISFSSTNFLPSMNFRAENGSEKMAINGVRHRNPS >Dexi4B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:4B:553637:555027:1 gene:Dexi4B01G0000870 transcript:Dexi4B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGASATSTSTPPLPPSRAGNSDTLPSYLAALPLLAANGFPHPKSQPYTSNLGECTITTPFPCSGLVVVSVAGGTIAGSAIHAATRARMAASVCAATARPTAAAVHPCPRASDAGTTASVEMVYTLLPKYPAGSGGRRRSRLSMAKRHTVSCSDDSRRARATHRHRRELSAWNLVTAVAPSSSPAASSSSRARSLSPASDSGEKWSIISLSSGGGGGGCVFLGSTIGAAAPPSGDHRSNTGGARDAVAAAAAPGVCGGAASPARAIWAHEVPEGGAVGERVVVGERDEDAVGEVGDLGDEEGKLVAVIVDGAVEREEGPDDGGGDVVVGRDDVGDGDAVRRDGVDDLGALAGAVDGDRAACGELLGGEAAGDGVVDGERVGEGGMEVVDEVSGGDGRRREEEAVLEVVEGEQGEVPGSERDALAGTWRWLHRAPRRHGHRRCHRISFAV >Dexi9A01G0025180.1:cds pep primary_assembly:Fonio_CM05836:9A:23211008:23211524:1 gene:Dexi9A01G0025180 transcript:Dexi9A01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDTTRSSLSTDLYKPRVAGYVDADIIADGKISLRTLIDRSVVESFGAGGKTCILSRVYPTLATGRDAHLFVFNNGEEDIKINHLTAWEMNNALSTDQ >Dexi5A01G0039770.1:cds pep primary_assembly:Fonio_CM05836:5A:40210206:40210725:1 gene:Dexi5A01G0039770 transcript:Dexi5A01G0039770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLGLFHHKKEEETAAEAVVVQETTYGYGDGGYTETTAVVAAADSEEEEFRKHKKEEKEHKHKEHVGELGAIVAGALAMHERHEAKKDPEHAHRHKMEERIAEAAVAAAGGYAFHEHHEKEEAEDEAKEHKKHHHLF >Dexi9A01G0038010.1:cds pep primary_assembly:Fonio_CM05836:9A:42283935:42286279:1 gene:Dexi9A01G0038010 transcript:Dexi9A01G0038010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSSAPEKKRKWLLSNRKVIDKYLREARAILAAAAPEAGGGDAVAALGLVDAALELSPRMEAALELRARALLALRRYREVAEMLRDYIPSCGKSCSGDDTSSSSAAASLLSSGSGDLGTISRAKLLSPDRHRSDDTDTEAARPVRSFRCFDISELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSEDSFSSSSAAAAVAPVHSGKTSKSGSAFIIPAMESEAVSQLLAHVKLLLRRRAAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRARVAAGEACNIDYYLLLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFVMSAVLDEEAAVRQRSKDAAAAAALAAAAALVAKQEAAKQEPPPPQPVPEVSKNVATARPRSPPGGAPNAKPKPKAATVLAMAKAPVAVTSTAPVYQGVFCRDMAVVGTLLSRGGGFDRALPVKCEAMSC >Dexi2B01G0021530.1:cds pep primary_assembly:Fonio_CM05836:2B:31308508:31309083:1 gene:Dexi2B01G0021530 transcript:Dexi2B01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKSAPNLLKKAATSVKSKTDALRTKLILVTSLRRRMAMICAMSRQIHGLVTSDGREKQARVEHGSKALTVHKAMVTSKEPAGEHGGRAHLGIFEVAVFEEDYYHGYPDWTNSLFDDDNCYNDEEDGHDDDNHHDELEVLDAFDEPSVIEIIKSNREAQGLEFNMDDDIDEACNLFIRRCRSQMNLSLE >Dexi7A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:7A:19133654:19135236:-1 gene:Dexi7A01G0007890 transcript:Dexi7A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVFPSSHITRENSIMNSACSHVRSTTKPNTVIPARLFSRESHGVCCNTDETNRTAGARACLPSACLFWKETLVTWKDANLEVSTLSSLRDSSSSDSSPEEALATGKGLTGANALLGVLGFSWSRSRLLMVASACHAAATLVIDCVLCVILAALWRQISSPRGTHRELAGDGQVDLRVGPGGSEKGRELADGDKDEAVEYEPPVPVADPAQLDADATVLPEHGGDVGALPEIPREPRHAQDLQVAYGPALGQRGEGGARDGHGEGGEDEEDAALEAEEASEEEWSMHIQRRQERKLRSSSRACDMERVYEPRRRSSGVDTEPGSAVAAVGDGDGVSGAIAS >Dexi4B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:4B:21064370:21065392:-1 gene:Dexi4B01G0018740 transcript:Dexi4B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSPLREDSAGFKDAIDESCWTPFQLPRRLPSPGRAVPILINLQEYILSKAGVGAGDSVLQRRGEACARSRWSRCPDPSSPCALQGHTTESLECAASPVTRNARRLGRSGHSGLLQRLMGSVPLVHVDDVWDALVFCMERPSMAGLFLCAATYLTPQCV >Dexi6B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:6B:21766856:21768106:1 gene:Dexi6B01G0014120 transcript:Dexi6B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAPPPPPPPPPPPIVLYYCYECGGAVDVGDTPLPIPPSSPLRVCPFCCGFLEENPPPPSSPQEPVLLYCHECSNAVDVSDTPLAIPPSSPPLRVLCPSCHRGYLEESPPPLPELPEPVPYYCAQCGSTVDRHNPPPRLLCPRCHRGFLVESHPPPPPPPPPPPPPAFPEQFDFDDDIDLLSTDYDAARAFISGFVNQGPDEGPLLGNFAAVAAMSALRDNPHRPAIGAAFNTILHRQFAVPPPPPASEGGEPPAPAATIAALPIVEVAEPGAACAICKDDLPLASQARKLPCSHLYHSTCIVTWLEIHNSCPVCRFRIPAAAGTEGQDSPATQITIRFSTSNRRRGRFRVRGAAGASAPISASPTQLAQAVTGDAAGGPANSGETVSSEWPQHPESDTVMSEAREEDGGFFD >Dexi6B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:6B:389984:390639:1 gene:Dexi6B01G0000590 transcript:Dexi6B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGGPGGGGTGVGGGWICGGGVGAAVVVLEGEELAVAVGGLVVMAGVERGVVAVGAMKVEAERGEAVGHGAAVEGAERAEVGCGVAVEGAELAVVERGVAGEAVAAVEHAAVAEGL >Dexi4A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:4A:2116034:2117031:-1 gene:Dexi4A01G0003000 transcript:Dexi4A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSQASGTRTERAPPPARRRFRRGDEGSLAGAAPVYRQVLRAVQKHVGGDVSKHHFRDFIAAEFRAPADTEADARARLRLAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYRP >Dexi9B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:9B:10824227:10825534:-1 gene:Dexi9B01G0015810 transcript:Dexi9B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPDAKPGRGSPPVPPNYVSLRQLQELRLKEKEEQERRRREEEEAAAAAAAAKREAALKAEQERRRREEEEAAEAAAAATKRGAEKATMAVAIKREAALKAEAKGRAGSWEASFGAKERHRGGRAQGQGNQWVAVPHRVPATTLEPMARGQGVAGKRGAAIGGGDVEKGPDDVPANAARGGGKPLVKRKGKGRRRGREKLREGALASAHGGNPGEVVPASSNGGKPENKSESTAKGKGPGDEAAESSSRGGPGEPAEAAISSSRNCSRHREVIHAYARSAETCTDIAMAKAAGPSPERGVKPDGMEKPKAPAPRRADAVAGSEKPPAPRRADALAGSDSPDGKKAAPAQAPRTSAADISSKPTSGGSDGGLVEGQRWRPVVEAQAVAELNPRVARCSAGPLSSQRNLAVEQRGRVWVPKAAGAAARSSAGDGL >Dexi9A01G0044350.1:cds pep primary_assembly:Fonio_CM05836:9A:47994026:48005237:1 gene:Dexi9A01G0044350 transcript:Dexi9A01G0044350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAVDSSASMPASFEVSKRPDTAKLVLNSPSPPSLREELVAVVGKAFRRPRASGDRPPRCAWALTALQCVFPVLQWGRSYTLKSFRSDIMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQNIVDPATDPATYRSLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNSTDIVSVIKAVCSALHDPWHPGNFFIGCSFLIFILTTRFIGRRYKKLFWLSAISPLISVVLSTAAVYATRADKHGVKIIQKVTAGLNPSSAKQIHLNGPHSAECAKIAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMLAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFITLELFMKFLYYTPMAVLASIILSALPGLIDIKEACNIWKVDKMDFVTCLGAFLGVLFGSVEIGLAVAIGISFAKIIIQSLRPQVEILGRLQGTNTFCSIKQYPVACRTPSVLTIRIDTSFMCFINATFIKERIIEWVREEVETSDGKARERIQAVVLDMSSVVNIDTSGLTSLVEIHKELVSLGIQMAISSPGWNAVQKMKVARVVDRIGEDWIFLTARRCCRSVHLARHGLALGFGDDEVGGLFDDAVAAAVSSRYSLKSFQSDTMAGLTLASLSIPQEAIAVGRSFSVINGYKLDGNKEMIAMGFMNVGGSLSSCYVATGSFSRTAVNFTAGCKTAVSNVVMAAIVMVTLEVLTKLLFYTPVSILASIILSALPGLINVHEVCILWKVDKMDFLTCMGSFLGVLFGSVEIGLSVADYRIDVVDIDTAGLAALEELNGELVSRGIQMAIANPGWKFVHKMKLARLVDRIGESWIFLTVGEAVEACLGNKKGVDLDC >Dexi4B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:4B:19157223:19157654:1 gene:Dexi4B01G0017040 transcript:Dexi4B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFCFPVPPHADAHAVSNALVLSVLPLPDAPSLVMSHVPPSHDAAAAPSFAAGASLAACAAHTSATRRRPLTIAPLARVL >Dexi6B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:6B:6905945:6907057:-1 gene:Dexi6B01G0006310 transcript:Dexi6B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVPGHEIAGVVTEVGKNVTKFKPGDRVGVGCMVNSCQSCASCDDGFENNCSNIIFTYNSVDLDGTVTYGGYSSNVVVHERFVVRFPDAMPLDRGAPLLCAGITVYTPMKYHGLNSPGKHVGVVGLGGLGHVAVKFAKAFGMKVTVISSSPAKKEEAMERLGADAFVVSKNGEEMKNAAGTMDGIINTVSANVPVAPYMGLLKPNGKMIMVGLPEKPLEIPPFDLIMGSKILAGSCIGGMRDTQEMIDVAAKYGVTADVEVVGAEYVNEAMERLAKADVRYRFVIDIGNTLKASSD >Dexi5B01G0037940.1:cds pep primary_assembly:Fonio_CM05836:5B:37271366:37277011:-1 gene:Dexi5B01G0037940 transcript:Dexi5B01G0037940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRPSSSSTTILNIGVRKKKSIGIRFALAQCAARAATTESSDGLSGLWADVFFWVGSSSVKHSTFLMNRDYMLYFCQTELTIKQSPSTTIYNSTLAKILVEYASAIYTADLTQLFNWTCARCGDLIKGFKMIEIVVDVENCLQAYVGFASDINAVIVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVNYKLDGVKLMTFGQPRIGNAAFASYFKTYLPHAIRVTHAHDIVPHLPPYFSFFPEKTYHHFPREVWIHDVAIGTLVYSVEKICDDSGEDPTCSRSVIGSSVQDHIYYLGISMHAEDLSTCRIVMDYSRLQYQMDLNGNVVLSKLPDLSSDRGFSAQ >Dexi8A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:8A:28666336:28672143:-1 gene:Dexi8A01G0016990 transcript:Dexi8A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLGVLRKEALLLGRIGHDVEFIREEMESMNSFLEHLARTTPPGGEHDEQVRTWMKQVRDLAHDCSNCIDRYLQRGDLGIHRARGGLRRYAWWAYWLVQEMLAQHAAAVRLRELKERARDVGKRRMRYGVQLPSESAGVLTGRGDDDDNVHTQQATAVAGDSSDSRREALEPCALEDYCTRKLVEWFDQQAKTTKTNGVAAPPSISIVVPDAVRGRTIADEAQSLAKDYSFERSVPVDLPNGKIDLPLFTNELLCYILRECEGDNRSYIDHRGPVLDDMRTKARGYDLYSKISKIVAKVGDIEKMEAGKSTEQAGDEIKSAVSSKEPMGVILQALWPLINKEGQPPSKQDLEELTSSEKVVKKTAKMLKEYIEKEYKDGGVSPIHLEVSQYEDILRDAYPNNPGSGTKPEEDHGSSAASATTATSKQVTYNHKITLEIIRDLPPPEPQQQQQPSGSAANVEQQAGDGKDQSKEGEPSQKSSPEEKKRTRLVIKGTVDMVTLGGVKEEGSSSNEDPSAKKEASTGTLGAEVASAIKAAKGILWTIRWPIWAQLVNTGIVDKIKGKVKREKTLIIVQDEEDYVSWQWEETRKALGLLGSSFAVMIFTTNNQKAKEYCHPPREPITCSLVGFYHDTVLQLMNLQQQEAHVENEEEEDGSRNKYSKILRDILDKCDPHEFCMKMFAHALYANPNRSREELQRLHDALQQSNKSLATNAKNIIKFSYRDLPREHKTCLLYLAIFPQGHKISRSVLIGRWLTEGLITKEDWPTAVRHAEQCFDGLVDRWLLWPSDIGASGKVKSCILDDRVHGFITKAAKKQHILDGRLSYFWAHHFSLYSGLRLRASDSIEKFVKKLPNHSPQLPSLKLLDLQGCLCLENNQRYLKDICNKIFLLKYLSLRGTNITHLPSEINNLHELEVLDIRQTRVLPERDTRDIVLLKLRRLLAGHVDPKTSSHDKLARGDDMFSYVLIPHKIEKMENMEVLSKVKASSKGSELKDIRKLWQLRKLGVVIDDNEDHLKNFLRAISDLSDCLQSLSIILPETTSQNADPNSKFIGDNIYHRLIQTPKLLESLSINGFTKRIRLLSVLAKGSNELAKVTLTRTLLEEKNLAILAELPKLRCVRLRHNAYDEEELTFKKNDYMHLMYFLVEGANTSDNGKGMTKETAVNFEDEAAPELEKIVLSYSNIRSVCGIGRLKKLKELELTCNKFLLSFSNDDGAAQKKSSDSGSPNQNTQEGAPQQNTQVDGAPVQDTRSSAMEQSTEQEAVVENTQSKTTIIEDTDESKTPEQDTNKSSFIFKAKEFEHLKYFLLVEDSKITNIIFQEGAAPKLEKIVLSLSNEKSEITGGENLSKLKEVELKGGKFLLKSFLKADHIAKVTLRDTQLKKDDLKDLASKPNLRCLVLSENSFEESQISFDKNEFPKLELLKITDCSKINSLSFTDGSAPNLEKIDWSFKEMKSLSGINHLPKLQELEFIGETVPRQKCCNPAELPAHH >Dexi1B01G0022130.1:cds pep primary_assembly:Fonio_CM05836:1B:27872933:27878044:1 gene:Dexi1B01G0022130 transcript:Dexi1B01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTRPAGHPLRTKRSPIWRDHRLLTRAVDLGQIIETWTSREDDFMVAGAYEVLPRRVFCWLKTISKTPLRPRPNSVAALLGPFAKYCEPPPGGIFAEAAWRLPACVALPRFRFGGPAHQSSAPSLERQQALAVEDPAPLLPCRGRHALPRTPGRAALAPFRRRWCRALRPSRQCCSHDLAPSPASCPPRAGSCHLHAYRGNQYAVNDNQFEEDNGSNVRRRAVPLIELGKKVIGEANPAPVGALPARVRFQNRWVSHQRRRELDAPVVYGIRGFQPQTRPMNHFCVNQIAAHIRVKIKRKCLGPAHDHHEVGVRQTRNGGSYYHNITL >Dexi2A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:2A:13187002:13205372:1 gene:Dexi2A01G0011320 transcript:Dexi2A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISRIRLENFMCHSSLHIELGEHVNFVTGQNGSGKSAILTALCVAFGSRAKNTQRAASLKDFIKTGCSYASIVVDINNHGEDAFKPEVYGNVIILERRITESSSSTVLKDQHGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVNDMLGSIREKLTSADAIVEELENSIGPVLKDLDDIQRKIKNMEHIEEIAQEIDNLKKKLAWAWVYDVVKKIEGQADKLEKLKERIPACQERIDRNTAIIEELRKEVILKKENVRSFLENTQEVRRMKEKLENDMHEAVKRKIDIEKEHARGVQMLNKMNKRVTQLQAQVREFELQHMQESQAEVSQIEDKMRELHQEINSAHLNATRLKDEEKKLSEELFGISKSIGDIEKEINEDARRINHLKQQIKDFQQRQHDKVICSASVSKIKSFCAILHTITVFVLMQQLSSDYWSVAVDCAFGRLLDAFIVSCHKDSLALRECAKEANYHNLQIIIYDFAKPLHDIPNHLLPSTKHPTILSVIRSESPTILNVLVDQGHAERQVLVQDYEVGKSVAFDQRIRNLKEVYTSDGFKMFFRGSVETILPPIKNLRVGRLCTSLGEKITEMQKEATGMEQLNLEREGQKRKLGVQRDEIELQFRSLKKKREDEERHLERKKVQLDDTKKFSAVNNHDTAVDTSELESEMMQVKEDIGNKELVLQKINVKLTDALQEEDTRRASYKDFIDSTYAKMGSISDVEHELQLVEEKIHDAQQEKAHYEDLMERRVLAPIKIAESEYSDLQQLHQEYVEKASKICTESEVEALGGVAGCTVEQLSTEINKLTRRLQEESKRYTESIDDLRALYDKKRRKILRKQQMYAGFRDKLNACQKALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNQVLSVELTMPQDASRDTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWIFITPHDISMVKAGDRIKKQQMAPPRG >Dexi9B01G0022170.1:cds pep primary_assembly:Fonio_CM05836:9B:16796687:16797798:-1 gene:Dexi9B01G0022170 transcript:Dexi9B01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKEQQRRPPAEQEGQEDQQGGGAVLYGDVFAVEGELGKTPIAPRDAAMMQEAESAVLGRVPTGGGTASVMQSAARRNERLGVVSRDEASDAASDGGVAITEARVPGARVITEFVAGQPVGQYITAAEDDAGDAAELAGDELEGGGGVCGGVVDGTKITIGEALEAAALSAGDEPVEPSDVAAIAAAEARAVGADEAPPDGLAARARAAADANAKDAAARREADKATLRDVLADATSRLGADDKEVEREDAARVVGAEVRGDPDATARPGGVAASIAAAARLNRGRQ >Dexi2B01G0029800.1:cds pep primary_assembly:Fonio_CM05836:2B:38161030:38162729:1 gene:Dexi2B01G0029800 transcript:Dexi2B01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVNSRFSSNSTSCPGASFHSNSLTMLATKYSRWSMAMDSPAQILLPTPNGIIRIPLVPVMSTPAPSPPSRNLSGTNSIGLSHSVASRPISATMKFTVAPLGIRYPPTSMSSAALCGSTKWPGGCLPLEDHRLQVRHPVYGLLRDLAGVVFAAAGGHDLGVETLLDGRVLDELGHDPLQPGRGGVGPGGEELGAERDDLVVGEVTVFLVREGDVEQGVHVRVLERGLARRRVAFLELLLVLPTRVDERHEELHLAAAKGAGGSEAAAEDVLGDGREEEEDAHLVGDVEQPRALGFLDGAHRRLVETLAEAHEHEEAEHGVPERLHGVTGRRAVVSGDTTSPELVQEHAANPGAGGGEEPDAGGVERLGDEVAAEEAPEWAIVCAGDDVVGDAEERAGGGLRTVGERDGAGADERGVGEAAVGDEDGEAGAHPERHRRAVPVEELEQEGLHVGGGVAEPQEVAEQGQRRRPRREAAVARRAAAAKDEEGEQEGAREDEPAGSR >Dexi1A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:1A:28237582:28239579:-1 gene:Dexi1A01G0021490 transcript:Dexi1A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKKPPATPAGGAKKPGKVAAAIAGDDKGKKTAQQPKRAAKATGEAADAGKASVFVVRAKDAAPTGGEEKKKRPGSHEPAADKPLPVVVVPSAPVRTSSCTKEEVDAILIQCGRLSRSSSGTGRAASSETGGHRRRRSESKRSYDFDQDARPAGADEDWERQGAAAVSRPSPHRGSPQRKRSGSRERSGGGSGSRRASRSPGRRTDGAAAGSGGGERGRQQQPGKMVSVPAREKGRAPSPAAAASGKRCASPRSSSPARMVVGNENAGCGLVTGPTPALSRSSSRKAEQSPYRRNPMAELDENSLRNNNNNHNAKPQKKSIENAIAATPKKKATERGKESTVAPSCRSGMEKKTEITEEDATVVVASETRAPSSKTSATRTASVVAESLSQRQPVCRSRRASRDFDQNPGGSYTTTQLLEDIHSYHQQSSTSIAVPATTPATPSFSLPACVAKACSIVEAVADLNSTSSENRTYEYEPGFSADDKGSVNAGRVEPSAAAATARKHAQPAREFRAEAEPQESAGSNSVVSGQPWTPSWEPTSVESTDRTWSTGDEVVEQSGSYGARCSPMNNRARQSKQRSSQMEPSGRSRAGSGNGNTLHRGRSAHRGSSSSVASGRSGVRVVSAAS >Dexi2A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:2A:3526188:3529427:1 gene:Dexi2A01G0003970 transcript:Dexi2A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAVAVVVAAAFWAAAVVVPVPGAEALGMNWGTQASHPLPPKAVVQVLKDNGIKKVKLFDTDFAAMSALAGSGIEVMVAIPNNMLADLAADAGKAKDWVKSNVKRYDFDGGVTIKYVAVGNEPFLESYNGSFINVTFPALQNIQNALNDAGIGDRIKATVPLNADVYNSPASHPVPSAGRFRTDIAELMTDIVKFLAKNNAPFTVNIYPFLSLYLNDNFPLDYAFFDGGATPVNDNGVLYTNVFDANFDTLVAALKAVGNGDMPVVVGEVGWPTDGDKHAKASYAERFYAGLLKRLAANTGTPARPNQYVEVYLFGLVDEDKKSVAPGNFERHWGILRYDGQPKFPMDLTGQGRNTMLVPAKGIKYLGKTWCALNPNAKDLSKLGANIDYACTFADCTPLGFGSTCNGMDTAGNASYAFNAYYQVENQKDEACDFQGLALPTETDPSTQTCNFTIQIQTGGAAATALGGRSATVAVAAVLWALLQLLGPW >Dexi9B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:9B:3883456:3883716:1 gene:Dexi9B01G0006460 transcript:Dexi9B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGIPTRAEGGEHRRAGRRRLISSRRALASERAERRGKWRGDRVRIPDLDADAAEGELACVGSRAASVRAGEGWTGGGGTAACGG >Dexi9A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:9A:10374164:10375860:1 gene:Dexi9A01G0015540 transcript:Dexi9A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKMIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPPKTS >Dexi3B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:3B:6405771:6408525:-1 gene:Dexi3B01G0009320 transcript:Dexi3B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVCVKAATGAPDTLGDCPFCQRVLLTLEEKKVPYELKLVDLSNKPEWFLKISPEGKVPVFNSGDGNWIADSDVITQVIEEKFPTPSLTTPPEYASVGSKIFPSFVKFLKSKDASDGSEKALLDELQALDEHLKAHGPYINGENISAADLSLGPKLFHLQVALGHFKGWKIPENLTSVHAYTKALFSRESFVKTKPAKEEYLIAGWAPKVNS >Dexi4B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:4B:6692891:6694228:1 gene:Dexi4B01G0009350 transcript:Dexi4B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQGSKHALHGGCGGAPPEPRGLSGRLPPRWRRSVAARSAALGTLSLDRAAAAVAAMGVSFDDAAYGGGEGMVMNKAGDNEDADDGVGKLLGPSRSFAGWRPATPPPVAAPPKKRPKKQAVVAAPASVVAPRTPTKTPARGPPEEINVWELMNGLDDDEEEEGHEREEVEEDKDDRVVHGEERRKAQSATGSPVFDPEILDAFRKALDELTHDDDSPPLPPDFVKRGDDVVEKREIQKFPGIVRARVTVLQEKIDAKTKLAAAAAKKQASPAPPPESAGRVVVYLTSLRGIRHTYEDCWSTAAVLRGYGARVDERDLSMHAGFKDELRAALACGGDGRVPPLPQVFVDGRHLGGAEEVRRLHEAGELAAALEGCDAVVAKGCAGEVQYACGGCGGMRFVPCDACSGSCKVFVEDDVEGGAGSGAGAFRRCPECNENGLVRCPVC >Dexi9B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:9B:10759824:10760183:-1 gene:Dexi9B01G0015710 transcript:Dexi9B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNGHVLPGTLFLAVGLWRVWSAVARFAADPPAFRVRAWSPLSKGPPLLELYVVAGGAFLDMSLEVAFGMFAGGGRVDQASLIYLEHGGMLLMFFLFGALALLSQKTRSVATSFHHG >Dexi2B01G0030000.1:cds pep primary_assembly:Fonio_CM05836:2B:38296133:38300078:1 gene:Dexi2B01G0030000 transcript:Dexi2B01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAARPLPVASLSARRSAAAAPHLLGFPSRLNLKPSTGGAPRSSLRVVASSSKVEPVEERIPGTPPADVSVPADASPPGPSLGLLPQVSTGTWKWRGYNIRYQQAGTSGPALVLIHGFGANSDHWRKNIPVLAKANRVYAIDLIGYGYSDKPNPREIGENFYTFETWGEQLNKFCAEVVKSEAFFICNSIGGLVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTVVGKLFFNAVATPESVKNILCQCYYDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVDLGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVESFVQRHS >Dexi7B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:7B:20368988:20374272:-1 gene:Dexi7B01G0013950 transcript:Dexi7B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAMAVLMLALATASDAATGAPRRSQRPEADLVTGLPGQPAVGFRHYAGYVDVGSGGGKALFYWFFEGEQEPEKKPLMLWLNGGPGCSSVAYGAAQELGPFLVRSYDANLTRNAYAWNKGKQRALAPVGVGFSYTNRTADLRRLGDRVTAEDSYSFLLNWLDKFPEFKTRDFYIAGESYAGHYVPQLAELIYDGNKRASKDKVINIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCTPALRAFLGAYDDIDIYSIYTPTCLLPTGAAAAAAPRRPAARLVAAPRLFSKHGEWHRLKRVPAGYDPCTEAYVTNEVIRKWNDSPSTILPILKKLMAAGLRVWVYSGDTDGRVPVTSTRYSINAMGLRPRERRANGNRSAAASAAGVVAEWGGWRAWYYRQQVAGWAVEYEEGFTLVTVRGAGHQVPLFAPGRSLAMLYHFLRGQALPAARSS >Dexi2B01G0022120.1:cds pep primary_assembly:Fonio_CM05836:2B:31816307:31817923:-1 gene:Dexi2B01G0022120 transcript:Dexi2B01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKRFTGRAKGASAIPVRKDEDESLVLFGELYKHEKERDTNLLEPMFSVEFEAVQATPLFPSLEMEANSSQMIFQKELPILQAFSSKSDATTASTTSGSPTSSSTKSVTPTARPSSSSSKKNFNRGAAAPSKEQDSTYRIDKRSSYTPLTNRQHNSIPAAPITTTAATKASKKTSGTKSRPSNPVKNVARPDKAPKNVTETSTKSRSKDSSDGAKDQKVNARRLSGLPAAKRDNVQVTGTLKGRNKAVTGVAPATRKDCGTTDSVLNGRREGGKEQRAKLGSLSKK >Dexi5B01G0022890.1:cds pep primary_assembly:Fonio_CM05836:5B:25164830:25165495:-1 gene:Dexi5B01G0022890 transcript:Dexi5B01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFARVGLAAADGALGVTTTTGAAPVAPAPTAQEEEAGGVAALCITDSVTGRSVAYSVAAARLDVRGQFGEAALLFRCAGERGLDLEPVLVDASSGCTVHPLQHGAFYYVLV >Dexi8A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:8A:26431195:26436170:1 gene:Dexi8A01G0015350 transcript:Dexi8A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELDGPDARIADYFDVIAGTSTGALLTSMLAAPDKNNRPLFAAKDLNTYLENGPKIFPQKTAGLLTPVAKLLGAVRGPKYDGVFLHDKIKSLTHDVRVADTVTNIVVPAFDVKSLQPVIFSTYEAKHEPLKNAHMSDICISTSAAPTYFPAHFFTTNDGKGGSREYHLVDGGVAANNPTMVAMSMLTKEVLRHNPDFNPGKPSDYRNYLIISIGTGSTKMAEKYTAPECAKWGLVQWLYNGGFTPIIDIFSHASSDMVDIHAAVLVEALHCEKNYLRIQDDSLMGVTSSVDIATTENMEAQIGIGQELLKKPVSRVNIDTGVYEPVNSEGTNEEELSRFAKILSDERRLRQSNLSQLPVIHH >Dexi8B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:8B:8394462:8395121:1 gene:Dexi8B01G0007140 transcript:Dexi8B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGPAGYYVGRPMNHDEQKTQPPPPSQAADEQVNAQVPGYYAGRVQGKKNAAGDQSSAAADQTPKESGFLASCFGCFSGGQTAK >Dexi6A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:6A:7252191:7253732:1 gene:Dexi6A01G0007290 transcript:Dexi6A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAAGERGSDAAAYRRGRVGAERPASESVAMAHKRGDGAEDLGQRISFRHVLGDPGFLGRRAWPDGNHPSLLGVFVQRNRISSSLRRKVSKLFPTRAPAFVPGRRFLTSFIRDGNGLLDDAKPLAARGGLLLLRISPRPGDKNSDLRLCVCNLFTGRRDFLTPLNAAFLYGKGVQGYALLTAADHGAGPHRAADGYSTFFQVLLLGVSREERQLHLIRFSSSVAGGRKWYSQNCSRKIGFHPGWPCGCCVAAVARGTAHWFFRRNGPDVPSMYTLDVCIITGRISATMLPFDVLPNEMRVDLWNACLGTSTEERLLLFYVKDNHLMIWKHGDGDQVGTSAWRFTSNAQVGVELGLLGIESLSSVCVGEKSGTALALYHSEPECAYMLDLQSGSATKMEGWTRAFNYMTAVPNEINWPLFFMLRHGLRP >Dexi9A01G0044700.1:cds pep primary_assembly:Fonio_CM05836:9A:48294647:48296756:1 gene:Dexi9A01G0044700 transcript:Dexi9A01G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLIPTRVSSSPHTPLHSMSMNGQSPKPIRCKAAAVCRAAGEPLSIEEIVVDPPKAHEIRIKIVCTSLCHSDITFWRGKASIHTFATVFPRILGHEAYGVVESVGEHVEGFAAGDTVVPTFMSQCDHCQGCASENNNLCTTVPFVFGPGMRRDGTTRFRDAQGNPVHDLVAVSSFSEYTVVDETQVIKIDPAVPPKLACLLSCGGSTGVGAAWRVAKVEPGSSVVIFGLGSVGLAVVQGAKMCGASKIIGVDLNPDKEEVGKSPFRCRKSFGVTHFVNPLQLGKSSITEEIQKLTGGGADYSFECIGVTSVMTDAFMCTKPGKGKTIILGLEKNNEPISLPSLELLTGKCVLGSYFGGLKPKTDVPILAQKCMNKEVELDGLITHEVGLKEINEAFNLLLQGKSLRSIIWMDK >Dexi1A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:1A:20550507:20553652:1 gene:Dexi1A01G0014140 transcript:Dexi1A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRPSSDSTSSGDESFEDALLLAVERKVQENTRAISSAQPSLPPPPPRPPPGCFPSPKRLVRGVARRIFGVATGSSSSSSPRRIPPRGRASFAVADKSESAPPVQARTGSEEEARREEDQVALTRSGYGAMMRSALADIQEDAEGQEQAPFAKMQEAMTGLMKLTYGKAEPTNPSQLPREFATRWPHSDDDLSHRGLMDDPVILASGHSVDGSYHQWSCPLNNNVCPITHKTLSHSSTAPNHLLGDMIAAWRLDHMAHSPDSTADKLSIPLAPSEEQIQDILQKFSGHSVMQEEALQKIHRLSKITKGEQPCLHEWPGLVSELLDLRKNWKSTWTQRLEEQRLGVILNLSVYRPNGEILAGENRLPVALKKIVHKLHKHRSQPSAFAKVASIVAILSEFDMFRKGILDIGGMELLRDLLMIEDAVVRKEAVTAIRGLCADDEGKTNAQSCNVPDALLECLMVSDEVLLVLDCLPKDPCLVDKISEKAVQLVNIIMPEQGTAPVTPVATYSAISLVHAIIQRDPYKMEQVKNLEDFKERLVELSSGRLPMQTMLQVDAINNSSVLQFW >Dexi8A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:8A:6475892:6480512:-1 gene:Dexi8A01G0006350 transcript:Dexi8A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGTKNSKVQILTLYVLETLSKNCGDVVHQLIVERDILSEMVKIVKKKPDLTVREKILSLIDTWQVAFGGPSGSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIEKGVPPGTGATAPGSANVNQGTAPPRSARDGTMAQSNPPSAPRNERPYPSPLLPPPPASKRPVYTETSNIDYLSGDSYKSEKVSDDFINPTAPANISTSPNLKTEVNLPPSYGGRSDGVSDDFLNPTAPTFSAPSHPTSEEPTRSSVKRQESLPDDDFINPTALPGFPSSSTDSKEDLPKAPWETSAPAGSLPPPPARYGQRQQYFEQNVYSGGSNGGGYEGLVTQTENLSLNQNEMSTSRPTPSRQAKPEDSLFKDLVDFAKTKPSSPSKPANSRRTR >Dexi1A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:1A:21266056:21271996:-1 gene:Dexi1A01G0014570 transcript:Dexi1A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASTVAAALASPAALSASPARRGIVSFAAPALRSGPGIRAVALSGVRTHVAAVEQAAVEDATKLEAPVVVVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKDIEASGGQAITFGGDVSKEADVESMIKTAVDTWGTIDVMAATKVMMKKKKGRIINIASVVGLTGNAGQANYAAAKAGVIGLTKTVAREYASRNINANVIAPGFIASDMTAELGEEHEKKILSAIPLGRYGWPEDVAGLVEFLALSPAASYITGQVLTIDGGMVIG >Dexi1B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:1B:7598799:7599050:1 gene:Dexi1B01G0008740 transcript:Dexi1B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQGTRCCSDLMLLDVRSSPTGSTQSSQASARQARLAWFRWGKLTCGCAAGELAAELERGGRNSAHDGIGPRWRGKRWRSP >Dexi7A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:7A:19974805:19981027:-1 gene:Dexi7A01G0008750 transcript:Dexi7A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEAGSCSGGGGGGGGGGCEAVKKRPEQSLAFHELFSFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRCMTDEVSKALNTDFLNFCSFRALQYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDTADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMESKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSSLVAARLATDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVALLILITFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDEPDTEPVESVRGDIDFRHVDFAYPTRPDVMVFKDFSLRIRAGHSQALVGASGSGKSTVIALIERFYDPVAGKVMIDGKDIRRLNLRSLRRKIGLVQQEPVLFATSILENIAYGKDGGAVTEEEVVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDNIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG >Dexi7A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:7A:4894958:4897020:1 gene:Dexi7A01G0001850 transcript:Dexi7A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICSMWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSTATPPPHRAPRQQHHRPVNLMLQYTSPSLSQPTYNSIFPGTGAFHDPIIPSLTPPPQDYMLNSGLAIPNAPSLLHAYSATQQQFHHRHVAKEESGSMIVFGSDQQSCSTSDGAHSQPQFGHAKELSFDGYFGYNNGIIDHNQRLFQPHDHHQAQAPVEYNYEEIKQLLMSSTTGNIHGQGQEGGMEGFGSQGRR >Dexi2A01G0036770.1:cds pep primary_assembly:Fonio_CM05836:2A:46214058:46218195:-1 gene:Dexi2A01G0036770 transcript:Dexi2A01G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTTTRPKLRAASTANKLPLTATFLLSLALLLLPLGFVATHRAFSGGVVAVSASVAEERHREERVLLDGDNNKDSNADAAEHAAAVERHCAGTLHRDVCASTLATIPNLAQKPLRDVISDVVARAAAAVRASSSNCSSYLGRPHGHGLRLRDRLALSDCVELFARTLAQLGTAADELSAGNRTAEESIAGVQTVLSAALTNQYTCLDGFVGPSSSEDGRVRPYIQGRIYHVAHLVSNSLAMVRRLPQRRRRRRLLEGYGRVRRDGFPSWVSAGDRRRLQQQVVKADMVVAKDGSGNFTTVGDAVAAAPNNSEARFVIYIKEGGYFENVEVGAEKTNLMFVGDGMWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDIYGTVDFVFGDAAAVLQGCSLYARRPGPGQKNVFTAQGREDPNQNTGIVVQGGKVAAAADLIPVLANFSSYLGRPWKLYSRTVFMQTKMESLIHPRGWLEWNGTFALDTLYYAEYMNRGPGANTSARVAWPGYHVLTNATDVANFTVLNFVQGDIWLNSTSFPYTLGLLS >Dexi9A01G0047690.1:cds pep primary_assembly:Fonio_CM05836:9A:50728317:50729925:1 gene:Dexi9A01G0047690 transcript:Dexi9A01G0047690.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDISSPIAAHILDFCDDGLGDDLFAAVTSTSDPFPASSDDVSSSTTTTPPVCSYSDETPAVVPTAYSPLPSFDSTLTALLEQEQRHDLDTELLPPIDGLSEVAYYPHATNEVSIEQFSQMELPGTIAEQVPPIQMSSSAPALMPIASDFDECFTAALAGGFMGLDGAVFQQAGAILPSCNAEAPQRGFFNSASDCSNSMSMIGEFQKMMEDEGLTRTYSDTDSMQGAFNNAEVQYACRKTLADSRPRVRGRFAKNDELCEAGQSSSQNHEQYEQTDRMKEEDMMDTSDILAHLSGFNPYNYKYKCTIESWI >Dexi1B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:1B:15065468:15067321:-1 gene:Dexi1B01G0012320 transcript:Dexi1B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQGQLLSSKRPQTNAAVSPATPTPGGMDHLSSLPVEMHEEILRRLPLEDAMRTSGLANSWRYRWARCPGLKLVFGEDDSTTAAAVDAFLARYTCNVSHAQIGLGDEPHIIDIDGWLRALAAKAIRYLVLRFMPSDSSLLRIMPLAPASLFSCSQLTSLVLERCNIPALPPSFNGFPSLETLQPDVVNFAENGEKIFEALIAKSPLLRSLNCQYPSISGDDDDNGGNYCEWTIRAPNLKLLSFWAWEDYGWRVYDLPQIEEACVHLTGPDLARFLPGMTRVKGLCMVDLALHTLLTKSSQVLSMLCILKNAPNLENLHIMLVQEQEDAGDIEVNMELLDAQGTVGLFSRLKSFELYDSMGYKNEMCFIEFVMSKATILQEIGIYVHEYSSKSMEDVYDELSKYKRASPHAEIIVNRYVPLFADYFISIVTA >Dexi2B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:2B:24716104:24719190:1 gene:Dexi2B01G0014830 transcript:Dexi2B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGFYSEIGKKTRDLLYKDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITIDVKANSNSNVITTITADEFAAPGLKTILSFAVPDQRSGKFELQYSHDYAGVNASIGLTANPVVNLSGAFGTKALAVGADISLDTATGNFTKYNAGLSFTNEDLIASLNLNNKGDSLTAAYYHNVSQLTSTAVGAELTHCLSTNENSLTFGTQHALDPLTVVKARFNNSGKASALIQHEWRPKSLVTISAEVDTKTIEKSSKVGIAVALKP >Dexi4B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:4B:22863215:22864525:-1 gene:Dexi4B01G0020770 transcript:Dexi4B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSPSLFVWSIWLGFVTHRAAIALESEADGEPGAKRRREDDEQEDQHKEVAAGPVVRISALPDDVRRRILTHLPLKDAIRSSALAQRWRHLWKSRWAEPTSSLDVHVLPGDNPKKTITSLESAPRRRLDRFTFVSDNELLGPKLLSRFMEYAAACCVEDLDVEVSRRSCRDKRRLIFNFVPASPLLTRLSLRNVILGGAGLSCIGDVWPEPLPFYRLEVVRLHRVTINLALCRLMASCPRVHTLDMRRCDRAFVPQSATLRTVTVAECGRDRELKSPPG >Dexi1B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:1B:3309396:3310745:1 gene:Dexi1B01G0004150 transcript:Dexi1B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLEVFIKKYVQCYGCGNPETEILISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGKDNKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASSKESTAKVSKKKTAAAAGSDEDHSTSPTRSRDGDNAAADEDDEDDDIEWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEETEKKKKATANGSAKAHETPEPAVTKPSPYDELVGDIKASLGSASTPTQVKAVLASSALPPQDVMNALLDALFDGVGKGFAKEVVKNKKYLAAAVADEATQTLLVQAIEAFGGKCSPEALKEVPVVLKALYDGDILEEETIVEWYNAAVAAGKNSQVVKNAKPFVEWLQSAESEEEDDE >Dexi5A01G0039950.1:cds pep primary_assembly:Fonio_CM05836:5A:40301848:40305588:1 gene:Dexi5A01G0039950 transcript:Dexi5A01G0039950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMLRSSTRLLRKVSRPPLPSPAPHSDDGSFTHTCSLLQLRGLEPAAAAAARRLSAADASAAASASSSARENSLLRPLPGLDLPPPLPDNLGRCPTRITTLPNGIRVASEDVPGPSACIGVFVDSGSVYESGETTGVSYMLDKMAFKDTKHRGHRDIVHELELAGGNVGASCSREQTVYSYDTLKGYVPEAIEILIDCMRNPLFLQEEVERQLVLAREDVQDLHKNPDRFLHEQLNIVGFSGALANPLIPPEDALARINGKMIQKFYHMTHVALAFEVPGGWLQERDATVMTVIQTLMGGGGSFSSGGPGKGMHSRLFLRVLNKYHDVQSFSAFSSVYDNTGLFGISLTTPPDFVAKAVDVAISELIAVATPGEVTEVELRRAKNSTISSVLMNLESRVVVAEDIGRQLLTYGSRKPIDYFLECMEEITLDDITTFARKMLSSQPTMVSWGDVDKVPPYEFVCKRFW >Dexi3A01G0034510.1:cds pep primary_assembly:Fonio_CM05836:3A:39647580:39650258:1 gene:Dexi3A01G0034510 transcript:Dexi3A01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRARGFKGRNLLHFVAEKGRLDLCRFLIEESGFNPNSTSAEGETPILDRTDDVVPVLRYLLDHGGDPAAPDDRGYTPLHNAAEYGHHEAVRVLLSEGVPVNPLNRRGTPLHLAAAKGHDQVVKILLEHGADPNRVGYHVLSPLAMACCGHYFKCMKLLVEHGTLPIMLAAADWSVDGVIRTMKNLRTEPQATGIDPHDATLFANLSLCWLRLGEGELALSNGQQCKALTPQWVKAWYREGMALSMLKLHRQAVEVFEEALKLDPSSEEIKKGLR >Dexi2B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:2B:10262635:10263297:1 gene:Dexi2B01G0009520 transcript:Dexi2B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPSKEISSAEAVFVGALSSGVNAPTWFVLKITFLLLAFCFTAMLALAFSSKNFVIVGHVLLLVTIGTVLFVLLNRFLAEVGLVPVEQQMQEMGIHKTEATDKDKRK >Dexi5B01G0024910.1:cds pep primary_assembly:Fonio_CM05836:5B:27015450:27017930:-1 gene:Dexi5B01G0024910 transcript:Dexi5B01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEATKKKVEATVLEILRGSDMESVTEYKVRNAAANRLGIDLSAPDRKLFVRGVVEGYLTSLSSQEVEEEEEQKPQGGSGEEAKGDEEEEEEEEEEEEEEEEEEGGARKREYDDQGDLILCRLSAKRRVTLSEFKGRTLVSIREFYLKDGKELPTSKGISMTVEQWEAFRNAVPAIEDAIKKLEDSD >Dexi3B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:3B:3309581:3310622:1 gene:Dexi3B01G0004990 transcript:Dexi3B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDGLKYTQSHEWVKLHEDGVATVGITDHAQDHLGEVVFVELPETGAQVSAGGSFGNVESVKATSDVNSPISGEVVEINSKLSETPGLINTSPYEDGWMIKVKPSSPAEADGLLDAAKYTKHREEEDAH >Dexi7A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:7A:28263436:28264464:-1 gene:Dexi7A01G0018980 transcript:Dexi7A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALAALLTVVAFVGFVSVPRALATDPTQLQDFCVADNNNQAVLVNGVVCKNAKVVTANDFFFHIKPATPNAQGSGVAPVAVTELPGLNTLGISLARIDFIPGGQNPPHTHPRGSEILTVIEGTLLVGFVTSNQLLNNTLFTKQLVVGDVFVFPQGLIHFQLNNGKVPAVAIAGLSSQNPGTITIANAVFGSKPPIQDDILAKAFMLEKATVDWVEEAFGAPPMAGGGGGMPGGGGGMPGGGGGYPGGNGSGGGYPGYP >Dexi6B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:6B:8435075:8435577:-1 gene:Dexi6B01G0007230 transcript:Dexi6B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTDLEAQGYLKDEFLQIECDLAVINAAGESAIARSLQDDIGKLLESEEGADVKFKVKGEVMFQDHKLVLAMRLPVFREEYYGPTRRNRNKQKRVIVVEDMEPHVFKALLHFIYNDALPSMDDLDANEYEEMAKQLLVAAHKYGLLDRMKSMCENILEACDLQ >Dexi6B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:6B:8763315:8764222:-1 gene:Dexi6B01G0007520 transcript:Dexi6B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSLSLLAIAMAILQVASAKSWLNQFTTDGRVSTGNDASGQKVVMLNLDPSSGAAGLNSKQQYLYGEFSFEMKLIRGNSAGTVSCFYLSSGNDDYRDEIDMEFMGNETGNPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPHNILFKVDNVFIRSFKRYADLAYPTSKPMTLHATLWDGSYWATEKGKIPINWSNAPFVVSYKSFYATACIGGVCHAGRDGWMSKQLDAAEWGTVRWAERSYMRYNYCNDGWRFPQGLPAECSRN >Dexi8A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:8A:1226417:1226677:-1 gene:Dexi8A01G0001800 transcript:Dexi8A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTSVRASVIWSSGRLPSSAPQPSQAPVRIPSVARAPTTPSASPVLWSPYVCGSVRLLQRCRALVALCSHLCPTDSCSRIWRSK >Dexi8B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:8B:7243793:7244026:1 gene:Dexi8B01G0006260 transcript:Dexi8B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVEAKGHWPSVATLAWYRDDGAGRRLSRAAAVPSMQRPPGSLHLPGLLRMGVDLVTRERRSTVELRSLISIRVAP >Dexi5B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:5B:6683472:6689031:-1 gene:Dexi5B01G0009650 transcript:Dexi5B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGLGGGGGGGGGGMQFPFSGGFSSSPALSLGLDNAAGGGGGMGLGRALPVGVGGGGGGGGAARDADAENDSRSGSDHLDAMSGGGEDEDDAEPGNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRGELSRRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLCIENARLKDELSRVYALANKFLGKPTMSMLAGPMLQPPPHLSSLPIPSSSLELAVGGGGFRGLGPIHSAAMPGSMGEFAGGMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDQNSATASNAGNIRCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLAMLQRQCECLAILMSPDTVSANDSAVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVDEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMHEMAIIAKGQEHGNSVSLLRASPTAKLTVESVETVNNLISCTIKKIKTALQCDTV >Dexi1B01G0025160.1:cds pep primary_assembly:Fonio_CM05836:1B:30317898:30319504:-1 gene:Dexi1B01G0025160 transcript:Dexi1B01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETAAPIGLSWAPKLPSLATTSGSGKSVPAPKPSTTLGSLWKPASELVDGLFVPPNDPRKANKLARKNVKDTAGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQIGTIVESPSEFYSGRLTKKERKTTLADELLSDESLKRYRKRKVREIQESRTPGGNQKWKNKGKQTLKRAKDRRK >Dexi2A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:2A:5201109:5201803:-1 gene:Dexi2A01G0005380 transcript:Dexi2A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQPARVVIDFTASWCGPCRFIAPVFGEFAKKYPHVFFLKVDVDELRDVAEEYNIEGMPTFHFIKDGEKIDLVVGANKDELLTKVEKHAGQPAPSVPTST >Dexi2A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:2A:403620:415685:-1 gene:Dexi2A01G0000780 transcript:Dexi2A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAARAGFERACRLPNTVHSEIAPTVPLPNLPSAFGFDDLRDDEPLAAPDRPDMIMQAADIARILADTDVSHLGFTDADNVDVDPTQCSWLWREVLKHNPDAFRVKPSAPPPTWQGPHEGPQYQNQEPEKHFEHLTPNNMNKGRKEPVYEINSHREQLRNELTPDSVASKKPKNRKKEIDNSVSSSGPSIPNSQEVIANFCEMVEDFCGRVEIPDDADGGDWLSIPLNDVKVLVNEITMVRSKRILHEVPMDIVTRLLHVIDRQIRCSQGLSVDVKENPDATDAEHLVFSALESVHAALAIMTHPDMPKQLYREELIERIIDFSRRQITDCMAASNPTFRALHKPAKNVANDGDDDEEDAENGPVTKKRRTATNLIMRKSSSNRVSASVYSAVQKLCLILGFLKELLTAVFSSYTQHRSYLVDETIHLLRKLQFSRNAVRTYHLADEEQKQIQMITALLVHLVQFSAIVPDSLKGTVDWNTIIDASVDASYPIKCHKAATEACCLFWTSVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEFPAAASILEVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMDIAKKTEQIVDMLRKMPNHQPLITIIKRNLTLDFLPQSTKAAGINSSMVASLRKRCELICKRLLERILQVEEGAANEMEIHALPYIVALQAFCIVDPTLCIPATDPSKFVVTLQPYLKIQVDNKPAAQLLENIIFVIDAVLPLIRKPPQTVVVELEQDLKQMIVRHSYLTVVHACIKCLCALSKSAGRGPGLLEYLVNVFYKHLSGTNSDNQHATLLCLANCIQSETQMLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIIGLLQRKYLLRDDFNLKVRALQTMGYILIAKPEFMLQKEIMNLIEATLSSGVDHRLKIQGLQNLYEYLRDAESQLTADSIEKPPVQSTISGGSVVPVAAGAGDTNICGGIIQLYWSSILERCLDTSDQVRQSAIKIVEVVLRQGLVHPITCVPHLIALEMDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFIFFETTVSKHKLTANMKSNPIAFVKPGISRIYRLIRANRNNRNKFVHSIVRKFEPDGRTRSTVNFLVYCAEVLASLPFTCPDEPLYLIYDINRVIHFRAGAIEANLKKWTSVDQPQVAAGMATLPGESHVVMHEPGVYYDHNVGYIPERMNNYPCSTSDVDMAKVQEDCQDAIALQLLLKLKRHLKIVYSLTDARCQAFSLKEPPKSGETLSKQNVPFNIGNNNISLPNCLQDVASVYQDFKTVLREDSMDFSVYTPSVQKKRPTPRTTSRARKTAATSVPRARGVVRGDDDDTDDDDWTGGPRVLDFSAQASNGGRVTRQRVQV >DexiUA01G0020730.1:cds pep primary_assembly:Fonio_CM05836:UA:43052461:43055179:1 gene:DexiUA01G0020730 transcript:DexiUA01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGAEAEGAGGGFQWDADSQLYYHASTGFYHDPVAGWYYNSRDGQYYIYENGNYMPLTTDLGNKPASNDEAILESSFAGLEPAIPDDENEILGPPSEWMEETLINLYLSGYSNREGNAESSLGDTHTNEEDRTETSGNKPSALASDSGPASLNDATSHQFEDEMETKNSTAEDECLGEGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDICANPDISLNKQSSASIQSTITSTQCQSEIADETSIEGGVPTANGKAS >Dexi5B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:5B:31982:32593:1 gene:Dexi5B01G0000040 transcript:Dexi5B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLKPVALLLLLLNLCMYIILAIIGGWALNVSIDRGFIIGPELRLPAHFHPIFFPIGNFATGFFVLFSLVAGVVGIASAMVGFTHLRFWNYHSMKPAAALGLVAWALTALAMGLACQEISFDRRNAKLGTMEAFTIVLTVTQFFYVLAIHGGSHGPVPVERRGNLA >Dexi3B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:3B:7935474:7938254:1 gene:Dexi3B01G0011300 transcript:Dexi3B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRSLLRAASPSLLRSSGRLAARGEAVVAAADSASASAGLLAAGAGAGAEGGWRRAMSTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTEDEKLIYNLRRAKKKVALLLQKLKKYELPELPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPSAGTTEIKEPRDEPSEDSDQEELDRFISEIESAAEKQWEEEEAAEKEEASRMRYWERDVGERRGFNRSFENSDYEDRGQGRYSRENSTKRTSDARRWDDASEVEASGDEWESGDDGDDRGNVLSFDNDRDPPDEHPRRFESMRHGDSRSSGRRDFTPKREVSEGRGFSRSHSNSDVQDRGQGRYRRDNNKRTSGARRWDDDSEIEASGEEWDSGEDTDNFLGFDNDRDVPDDHPRRFERTRNEKNRSSGRQNSIPGGFRGSNQTPGNSVAASNSSIYRDSNEEFDTEDDDLLGSDYKGEKTNLRGPKVTFPNFHSSSDEDSNDNLKHGDTIGKMKKNADENWDSD >Dexi9A01G0038520.1:cds pep primary_assembly:Fonio_CM05836:9A:42728074:42733630:1 gene:Dexi9A01G0038520 transcript:Dexi9A01G0038520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGGGGGSGVAEIDEDLHSRQLAVYGRETMQRLFASSVLISGLDGLGAEIAKNLALAGVKSVTLHDAGNVEMWDLSGNFFLSEDDIGKNRAVACVAKLQELNNAVDISSLTEELTTEHLSKFQVVVFTDISLDKAFEFDDYCRSHQPPISFIKTEVRGLFGSVFCDFGPEFSAHDFDGEAPQTGIIASISNSNPATVYCIDDERLYFQEGDLVVFSEVQGMKELNDGKPRKIIRSRPYSFCIEEDTSNFGIYIKGGIVTQVKEQAIIQFKSLRDSIREPGNFPLSDCLKFLRPALLHFAFLALDKFRKEFGRFPAVGCGQDARRIVEFTSAFNEATIDYKIEGKLDEKLLQRFASGSRAVLNPMAAIFGGIVGQEVVKACSGKFHPLYQFFYLDAVEALPTHQLDPKDLKPLNSRYDAQISVFGYKLQKKLRDANVFVVGSGALGCEFLKNLALMGVCCSRKGKLTITDDDVIEKSNLSSQFLFRDWNIGQAKSTVAATAASAINSCLHIDALQNRACPETEHVFHDTFWESLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGTKCNTQMVIPHLTENYGASRDPAEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLDKTPNEVNSFLSNPTQYAAAMKKAGDAQARELLERVCECLEKERCERFEDCITWGRLKFEDYFSNRVKQLTFTFPEDAATSTGVPFWSAPKRFPRPLAFSATDLSHVKFIMAASILRAVSFGIVIPDWAKSTTNLIDAISKVCVPEFKPKSGVKIETDEKPDNISSASVDDAAIIEDLLTKLEACARKLPPGFQMKPIHFEKDDDTNFHMDFITSLANMRARNYGIQEVDRLKAKFIAGRIIPAISTSTAMATGLVCLELYKVLAGGHPVEDYHNTFANLALPMLTRSEPLPPTVIKHQGMRWTVWDRWSIKGDITVAELLKWLSDKGLNAYSVSCGTSLLYNTMFPRHKDRLGRKIADVAKEVAKVDVPEYRKHLDVIVACEDDKGKDIDIPIISIYFR >Dexi9B01G0026680.1:cds pep primary_assembly:Fonio_CM05836:9B:28856259:28860280:-1 gene:Dexi9B01G0026680 transcript:Dexi9B01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNSGSTRMAPHQGSGPGGKPPTAPTSSAPTVVFGRRTDSGRFISYSRDDLDSEISSVDLQDYHVTIPMTPDNQPIDDDDISATARAEERYVSGSLFTGGFNSVTRAHVMDDGGGRRGGGAAGGKGAGACMVEGCDARAMRNARGDVVLPCECDFRICLDCFTDAVKAGGAACPGCKEPYKNTEWEDLAGGGVTRALSLPRGPAGANGHHKMERRLSLVKQSNANQNGGEFDHNRWLFETKGTYGYGNAIWPQDGDDDADGGAPAGPGHPKELLAKPWRPLTRKLRIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNQDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFEMPTPNNPTGKSDLPGIDVFVSTADPEKEPVLVTANTILSILAAEYPVEKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNQKRDPFKNKVKTDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEPFEPVKIPKATWMADGTHWPGTWLQPSQDHAKGDHAGIIQVMLKPPSDMPMYGNINEKSPLDFAGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKEHSPGFCICCLPRRRKASANANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGVRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQVGDDVEDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVSITISLLWIAIKPPSQAANSQFGGSFSFP >Dexi9B01G0027180.1:cds pep primary_assembly:Fonio_CM05836:9B:29613560:29617197:1 gene:Dexi9B01G0027180 transcript:Dexi9B01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFLQDIRSMTELQSSAQGTNEVQSQQPNPMATDAPAGDSGSLSVASNENKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPSPPNVPLAPIQNGIHHMPVSNLPMGYPVLQQPIMPVPGQPHMDPMACGLSSGHVVNGIPAPGGYHPIRMNSGNDMVVDSSVPEAPHAGATGSAMSSEMAVSPSSAASSNHASFTPSEIPGMSMDVSALDSTFESDVGNAGALQLGSDGLSRDSIRSLGQLWNFSLSDLTTDLTSLGDLEALENYTGTPFLPSDSDILLDSPDQDDIVEYFADAINGSQSDEEKP >Dexi2B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:2B:30803883:30805452:-1 gene:Dexi2B01G0020820 transcript:Dexi2B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMPPLRALPFFLLLLCASFSVHAAAYVGSYGGHGLGVNYGRVADDIPSPRRSVELLRAAGAGSVKIYDANPGVLRALAGTHWPVSIMVPNEIIPDVAASAAVADRWVAENLVPYYPATRVKFLLVGNEILSDYSIANSTWPRLVPAMENIHLSLRKRGISSVKIGTTLAMDALVDGAFPRPPSAAVFRPDIAESVVRPLLHFLNGTNSYYFVDAYPYFVWAGNNLTVPLDYALFQGGNTRYVDPVTGLAYTNLLDEMLDAVSIAMAKLGYGSVKLAIAETGWPNGCDYDQIGGNVHNAAIYNRNLAARMAKNPGTPLRPGAKMPVFVFSLYNEDLKGGPGTERHWGLYYANGTAVYEIDLTGRRPLSSYPPLPAPENNTPYKGPIWCVMSAAATKKLNETAVGNALTYACGQGNGTCDAIQPGKKCYLPNTTVAHASYAFNSYWQQFRKIGATCYFNNLAEQTIKDPSKCSHTPPAL >Dexi5A01G0023620.1:cds pep primary_assembly:Fonio_CM05836:5A:27580367:27582726:-1 gene:Dexi5A01G0023620 transcript:Dexi5A01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLPKLPKKSSASGKGDRASGSGPGRNAAGNGSTIQRTSSCPSGGPARPASSVKRMSSAVFPSSVVAGIEPLVSFNDVPNGEKPNLFVSKVSLCCVVFDFSDPNKNSAEKDFKRQALVDLVDYVDSASSRFTDPMVLACCRMFAINLFRVFPPHCRSSSTGSGEGEEEEPMFDPAWPHLHLVYDLLLKFIGSSYLDSKVGKKYFDHTFIVRLLELFDSEDPRERDCLKAILHRIYGKFMVHRPFIRKAVSNIFYHFVTETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKTLGVYLQQLTYCVTQFVEKEPKLASSVILGLLRYWPITNSQKEVMFLSEIEEVLEATNMVEFQKFMVPLFRRIAHCINSSHFQVAERALFMWNNDHIISLVAQNRQAIVPIVTPALEENIQNHWNVSVLNYTANVKKMLSEMDEELFSACLAKHKEDRERQASLEQKRRLTWERLESAAAFQPVTGNTAVLVSR >Dexi9B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:9B:2321948:2323377:1 gene:Dexi9B01G0004000 transcript:Dexi9B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLQLQYLAAVPLLSLLFLSSVLMASSQSVFSLDRYGAHGDGSHDDTRALVKAWKKACTSPGPAVVLVPGGRSYLIKLVRLRGPCKSTVTLTVKGTLVASPNMADWSDKDRRLWIVFRSVDKLTVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHNCASLRVEDLNIVNSQQIHMSVKECTNVQLARLSITASGTIPNTDGIHIIRSRDVQITNCKVKTGDDCISIKTGVHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVWLHGTTNGARIKTYQGGSGYAKNITFQNMIMYNVKNPIIIDQNYCDKARPCKEQRSAVQVSNVVFKNIRGRTISKDAIKMNCSTNVPCHGITLQNINLKMQGGKGTIRSTCQNAQWRRSGTVLPQPCTAKN >Dexi3A01G0035820.1:cds pep primary_assembly:Fonio_CM05836:3A:41101020:41111308:1 gene:Dexi3A01G0035820 transcript:Dexi3A01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFETTAARSRLEKMLCTTDMDPTKLPLSLLKEITNNFSDDQQIGSGGFATVYKGLLKNGTIAVKKLKNVIMDDTNFNTEVVDNWKTRIEASDGDTKWLEQVRLCVEIGIKCSDHDANKRPDARQIIEWLDETEHSIGFVANEIPTLATQVRSLGLSENSKLLDIEPLELRFPLEQEQHSSIPVSLTNRTDHYVGVWITPTDHSALPVLLEHKPLRGPVFQMVEPRSTLALGITLEKQRSIRLPTDIILIRKRKQATKKGTLEVVMAVMGSKERLEMLKSFIDTMPHVNRYMLRIVDVVMVVMESTECLKKLKSYFAPRNWKALLQTMEDKMVAIGSRERVDSLRLYVCRMFNMQGDEVLKRMELLGGRVHRETLTVVATASDDDDDCQQAAAVIHDMDTKFVSTSLFGEASGMDVHPTKPWVEKVATLTDDVPLRGLVFTGTDFTSLGGDSKVLHPSTTPPRRSFVGGSPELDAGELLHHHVIIFPKLEQGEASSSSSLLLPSSSSRSRTSKPHSSSPYTNL >Dexi1B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:1B:470444:472630:1 gene:Dexi1B01G0000520 transcript:Dexi1B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDHFLRLLDLHADDDPSFPFPTSSCPFSSSRPSAHHHRFLLDDDYASSCPLGFTSSPSPIDTFHLDLDLLLPPRLTAPPPPPCPAFFDPYLLDALGHRVSALERALAPPPAPAPRRWYTYAAEAGGRKVKWVAEDKPAGGRAYKWEAELKTPNDDGFDRKWKWESKASAAGTTKVKWAKEIKGKGWLEPWSNSYSVEETFGDEDQDKEEKKAANNKAIKVEKEEKKDKHKKKGNVEIVEIEDNSAGCVAIRKAFEMSHAKGKKKELSPQDAAALIQLSYRAHLAHRSQVLRCLRDLAVAKAKLKEISFKGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGGAIPREMRDGVKNVVRIVEEGK >Dexi2B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:2B:22754597:22755087:-1 gene:Dexi2B01G0013750 transcript:Dexi2B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDVSPMPTAVDGAAEESIITTPWMLLELFAYFKDVENATTAATTTSDKKHIQITFCTRPPPFLSRFYIYSRDGASIRGTPFVLSMEDDLVLIRVAVGGFGGLDYYVYQADDGSGKPSLTLLPKIPDGLYFHPKDIGLLRCRRPGSTGKEYMVYAP >Dexi5B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:5B:20025152:20030307:-1 gene:Dexi5B01G0018100 transcript:Dexi5B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGAAGGGGREEQGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQFTVPSSRFSSSARPAGGSLVPSTSVSQVYSYDRPLFKPFDVPSNEPAHSSEKLKRNSIDGHSNSTRRESGRMSSHTKNNNVYASKSIAECTSQHRVGNIIKNSSEKKVGNNDEFMVPSICSPRFPRYSTQEHAGVQDKSKSLSATNPHKSCPAMPKSTAECYSAVNRHLDRIDESDMRSTSSPKAKEKEPVRGSKNVEIGERSSSVLASKENFKNKDAKACQMGNNVSNINSYENPHLGNNRRQSTSMNGSSMEAKNPTTTRNTLSCKPCTDLSNSNENPNLPERSLREAGVKRKQGYHDIEQNDDLSDSSVECVPGWEVSPDEIVGAIGSKHFWKARRAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGSALVGKKTKLTKGNLKVQTLSIANMPNKDDIQPTLEHPELSKQNTEGNPPSPSLEDGLGGNCHDQATTKETSASNPPVMPAAPENKQNNWSMNPPQNQWLVPVMSPSEGLVYKPYSGPCPPVGSLLTPFYANCTPLSLPSTPYGVPMPHQPQHMAPPGVPAMHMNYFPPFSMPVMNPVAPASAVEQGSHAAAPQPHGRMEQQSLISCNMTHPSGIWRFHASRDSEPQASSASSPFDRLQVQGDGSGPVSLFPTASVQNAQPHASSGSRDQQNHVIRVVPHNAQTASESAAWIFRSIQKERQQNDP >Dexi1A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:1A:3211270:3219379:-1 gene:Dexi1A01G0004390 transcript:Dexi1A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLQFTYKKYSDRMPIPSHGFAILLLISLATLTTSCTEQENNSLLQFLSGLSNDAGLAKSWQEGTDCCRWEGITCNGSKTVIEVSLASRGLEGNISQSLGNLTGLQLLNLSCNSLSGGLPLGLVSSRSIIVLDVSFNQLNGDLHELPSPIPGQPLQVLNISSNLFTGQFTSTTWKGMENLIALNASNNSFTGQLPSHFCSISPSLAVLELCYNKLSGSIPPGLGNCSKLRVLKAGHNNLSGTLPDDLFNATLLEYLSFYSNSLQGMFDDTDIVKLSNLAILDLGENKFGGKIPDSIGQLKRLQELHLDYNSMFGELPSTLNNCTELITLDLKGNIFSGELNKVNFSNFPNLKTIDLMRNNFNGSIPESIYSCRNLIALRLSSNKFSGQLSEGLGNLKSLSFLSLTNNSLSNITNALQILRSSKNLNTLLLGPNFMNENMPEDARIDGFKTLRVLSFDDCLLSGKIPLWISELENLEMLFLRGNRLSGPIPIWFNTLNYLFYLDISNNSLTGEIPTSLMNLTMLTSERTAADLDPRVFDLPVYDGPSRQYRIQIAFPKVISLSINKLTGMIPPEIGQLTALDSLDISFNNLTGPIPPSICNLTNLQVLDLSSNNLTGAIPSALENLHFLSSFNVSNNNLEGPVPTGGQFNTFQSSSFDGNPKLCGSILGHRCSSADAPLVSTIRRNKKAAFAIAFGVFFAAVVILLLLGRLLVSIRGNRLTANGRSEDNTEVEENPFNSSSENELTMMLQGKADRNKLTFSDIVKATNNFSKEHIIGCGGYGLVYKAELPDGCKLAIKKLNGEMCLMEREFTAEVEALSMAKHDHLVPLWGYGIKGNSRFLIYSFMENGSLDDWLHNRDDDASTFLDWPMRIRIAQGASRGLLYIHNVCKPHIVHRDIKSSNVLLDKEFKAYVADFGLSRLMLPNKTHVTTELVGTLGYIPPEYVSGWVATLRAKELIPWVLEMRSQGRQIEVLDPTLRGTGHKEQMLKLAQSRCASRQAFRIRTLGKPPSLSTERDLGDGGSASGISSNSLSALLPRRLVLRAPVIGAVAPNSPRALAALGNEYGAQWHDALLVVEVEAMQPNHFSYKKCSSRLPIPYLGLVIVLLVSSASPTSSCTELDKASLLLFLGGLSQDAGLAKSWQEGTDCCKWEGVACNRNGTVTRVSLASRGLQGRISPSLGNLTSLEHLNLSYNMLSGGLPPGLVSSSSIIVLDVSFNQLMGDLHDLPSSTADQPLEVLNISSNMFTGKFTSRTWEGMTNLVAFNASNNSFTGELPGHFCNISPSFAVLELCCNKFSGRIPPGLGNCSKLRVLKAGHNRLSGSIPDELFNATSLEQLSFPNNGLDGVLEGARIVNLIDLVALDLGRNKFTGNIPDSIGQLKRLEELHLENNNMSEELPSSLGNCTNLRTIGLKSNKFSGELRKPYRGNSNVIDEYANANFREDNSQFGPKGVIPPEIGQLKALVSLDISFNNLEGPIPNSICNLTNLQVLDLSNNNLTGAIPSALENLHFLSAFNISNNNLEGLIPTGGQFSTFQNSSFDGNPKLCSPLLGRRCSSADAPLVPTKGTDNEVIIAIAFGTFFAVISILVFLWRLLAAIKVKRLAAKSAAVANGDVETTLSNSSQEHTLVMMLGSEAEENKLTFPDIIKATNNFDTEHIIGCGGYGLVYKAELPDGCKLAIKKLNGEMCLMEREFTAEVEVLSMAQHDNLVPLWGYCVQGDSWFLIYSFMENGSLDDWLHNRDDDASTFLDWPTRLKIAQGASHGLSYIHNVCKPHIVHRDIKSSNILLDKDFKARVADFGLSRLILSSKTHVTTELVGTLGYIPPEYGQGFVATLRGDIYSFGVVLLELLTGLRPIPVLSTSKELVPWVLEMRSQGRQIEVLDPTLRGIGHEEQMLKVLEVACKCVNYNPSLRPPIMQVVTCLESIDDGRQI >Dexi3B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:3B:10150857:10151580:-1 gene:Dexi3B01G0014110 transcript:Dexi3B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLLVAAAVVMALVAGHGAAFDPNPLQDFCVADTTSKVRVNGIPCKDPAAVTPDDFFFSGVDHPGGTASRRYGFTALPVDIPGLNTLGASHARVDVAPGAVFPPHYHPRASETAVVLDDAVYFGFVTSYPGNKVYAKVLRKGDVFAVPQGLVHFLHNNGSEPAALYATLSSQSPGLVLLADALFGSGLPGELLARTFLTDGDTVHRIGAKFQSS >Dexi6A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:6A:15794057:15795303:1 gene:Dexi6A01G0011070 transcript:Dexi6A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFSAGNPDPLEMERAKKVLKEHEKSLIDAIARLAEASDGEGDDHARSLEYNRGWRNQHGGRYPDDMAMDGLMAGDADAM >Dexi6B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:6B:2601088:2602438:-1 gene:Dexi6B01G0003170 transcript:Dexi6B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWWQVPETLSDEVLEKMSAPPKRDDVPVITPADLPEADGFLFGFASRFGMMPAQFKAFMDGTGGLWCEQKLAGKPAGFFCSTGCQGCGQETTALTGVTQLAHHGMIFVPRGYTFGAGMFEMDEVKGGSPYGAGTYAGEGSRVPSALELQQAFHQGKSFAEIAKKLKGSSA >Dexi4B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:4B:20385460:20386478:-1 gene:Dexi4B01G0017970 transcript:Dexi4B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIPLWKEVEYFKEYQRRLRRHVGRARARRIVSDALYVVSIGTNDFLENYYLFVTGRFMEFTVGEFEDFLVSQAEWFLAEIHRLGARRVTFAGLSPIGCLPLERTLNVFRGGCIEEYNQVARDYNEKLVAMLGRLMVSRPKLKVAYINVYKNMLDLITNPSTLGLENVEEGCCATGKVEMSYMCNDKSPMTCEDAGKFFFWDSFHPTEKVNKFFAKETLDLCYEQLL >Dexi9A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:9A:9704830:9705505:-1 gene:Dexi9A01G0014720 transcript:Dexi9A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQPPASLLPEDTLVDILSRLAPRGLAVSRAVCRAWHAAVDGRGLLRADLLPLAVAGIFINFWNHPSPEYFFRPSTGPRVSGHQMEYVPSAGKTWMRTCILGQCNGLLLLEVADDGGVHVDVVNPATRAWAPVPPAPPLADEAPAAGIFFYRSYLVFDPTSLSPYYEVISVPHIQWESRPPLPAAVAGAEWPLSRCVLRVFSSRGEPQAPF >Dexi3A01G0029150.1:cds pep primary_assembly:Fonio_CM05836:3A:30530163:30535921:-1 gene:Dexi3A01G0029150 transcript:Dexi3A01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQSVLSAKRRILALNKKDLANPNIMNVCKTRQAQATFTFYIQRWLDHFESCKQDCISINAHSSSSVSQLLGLAELKLKEAISKEATLLIMVVGVPNVGKSALINSIHRIAASRFPVHDKMKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTDNLVLGVLLCDLVMEVQRTLCSTFLDFTGDTDEENQLETLIDMQLTALRKVFRIPHRPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >Dexi8A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:8A:3290886:3291809:-1 gene:Dexi8A01G0004190 transcript:Dexi8A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRRAPAPPRPSTGVLPPPSLLRPPLPPPRRRVDDVFVRLVWAENLMSELTTIRALLPRYPYITVHAEHGCAGEDEDDGLVAAMPPGVRLDDLPEAARYALAKIDVDAFPVLQLGLTLCDAHGRLPVLRAPGGGAAASVWQVALFPSHGGGGTAVAMKSLAYALFATGVVSTATWGKVTWVAYGGLYHLGFLLKVLIGGAPLPDTWDGFMAALRAYLGGKVFDVRYVAARLPTEVTLKGPLASLASLLGAPAAAAREPWQAGEKSLAACQVFMRIKGLYFAWDGIDMHAGCIHGLHTPPSPPESR >Dexi3A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:3A:17038488:17042137:-1 gene:Dexi3A01G0021420 transcript:Dexi3A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLVGLPALLFISDLTHIFAPPPPHLRHPHHHPPHHHHPPHHPHPPHHHPHPPHHHDHPDPAAAAIQEPRVDGAGFGTTVELQFCASCSYRGTAMTMKRMLETSFPSIHVILHNYPPPFPKRVLSKVIPIVQVGAIATIMAGDQIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGELVFSKLTEQRFPSEFELRDLIGSRLPESLFGRNLGKTLTV >Dexi7B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:7B:12328816:12330461:1 gene:Dexi7B01G0005090 transcript:Dexi7B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAPVPCWPPPPLAPPAAGLLAVASPSASPDPQTLPFVLPPAGYPLFPSRGPVVLHLVRRHPDFLAEVDRRRSSSLVEDEGAIPSPEDEKRREKVIQELKEIVMQWAKSVAREQSVPQRLATATVLTYGSYTLGAHGPESDIDVLCVGPCIATLQYHFFVVLRQILEGRPEVSGVQTVESAKVPLMRFRFAGIAIDLTYAQLPVIDASKASRFHWHHNLLLFFG >Dexi6B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:6B:10197151:10197399:-1 gene:Dexi6B01G0008220 transcript:Dexi6B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLPTRDQLGLDVELADASYRTFYVLHDPSATSHQHTIDNTSLQLAAIAAVPSLQQARTYGCLPDGHRRLCCAAVERISL >Dexi5A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:5A:25405794:25407457:1 gene:Dexi5A01G0021600 transcript:Dexi5A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMTRSDSEKRAPRTPKPPPRRAKSISPSPPPGGNSPLLAPPRPPGPPAPPGGPPRPPPPAGGGPPRPPGAPPPPRLQVGAGPPPPPGPAASKGRAPAGGRMRRAPEIVEFYQVLMRRGEAASRQTGSRGPKAPAGGSKAARSDLIGEITKNSPHLVAVQADVDTQGDFVRTLAAEVRDATFANIEDVVAFVAWLDEELSFLVDEQAVLKHFDWPEKRADALRDAAAKYNGLLQLEKQISSFVDDRALHRDAALGKMFSLFEKTEKSVYRFMQERDAADAKSNLVSRYKEQDIPVGWMSDAGIIAKIKLACVNLAKQYMMRVVSEIDSLGGKNDEQKRESALFKRLKEQNREVLLHQGVRFAFRVHQFAGGFTAESLDTFDELKRRHTSDGKN >Dexi9A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:9A:1768762:1771172:1 gene:Dexi9A01G0003350 transcript:Dexi9A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLNDLELTRFWSVLTCTQGLLMWTSNGVFVEYYQISNIAVDPQIIFLLTLGSSSTRTLTEVLQDAFHQREILQKSAMAQVYCPHAARLDSLSAIYHKEIGQASPVSVLEPLSEDCSDSENVRREAADLYDLQLRLELGTFAPTETAAEASSIGRTSECLSSEVESSNDEPVQLVEDFLEEFEDEEEREFSYLLDILIASGIHGTTEDQLYKVCQSLDCPASYNVFEKLENKYTKVVKWSKSERKLLFDMVNTVLSQILAPCLNMQPWVSTARNLAPLWGSEGLLEKVLQVLAQRREELAPSKIKPEKKGFDEKWPDLADCIDRAGREIEKMINDDLLEELVVELLSS >Dexi9B01G0047500.1:cds pep primary_assembly:Fonio_CM05836:9B:46559743:46564126:1 gene:Dexi9B01G0047500 transcript:Dexi9B01G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAAQKEENGLLGYGVMGDVAVGDLDLMEELFMAAPGFDFSDFSQPGGPGASPGACFSPLFDICSTTTTATPPAPGGDDDPAERERVDRPESAAVAMATTPPRRGWLFQPRQEVEATVKERLRRALERIASLSQTQTGELLAQVWVPTVIGDRQVLTTCGQPFWLDSRNERLASFRSVSMKYQFSADESSRADLGLPGRVFVGRVPEWTPDVRYFSTEEYPRVHHAQFFDIRGSVALPIFEPRSRACLGVVELVMTTQKVNYNAEIENICSALKEVDLRTIDVSSDPGANVADTSYRAIVPEIIDVLRTVCERHKLPLAQTWIPCICQAKRGSRHSDEKFKGEGVVGRAFGTNEPCFYPDITTYSKTQYPLSHHAKLFKLRAAVAIRLRSIRTGSLDYVLEFFLPVNCTESEEQRAMLNSLSITIQQTCYTLRVVSLKELVEEGSFEASTLTPAEFYDKPMHENLDEVCSNIEVPARTTSLETSEEVSSWIASLVGAQNKGAKEMDSDLPFGLSKQEDEGFSVTAGWHTSPALSPEGRIFSRFKQHEEYEVKEPICSRDPSPSNFDKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGAKAQTQARVVPVVQNLNRMAVLLSIAQESCVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTSRMRNKHHISLKCPVNRDQPMVKWPESSAGNALKCRLTEAEG >Dexi1A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:1A:796492:799755:1 gene:Dexi1A01G0001270 transcript:Dexi1A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRSRALAPPLLLLCAILLSRPENAAAQRCTAGDLAALRGFSAGLDAAVTGWPIANTSDDACCHWPGVVCDEAAPRRSATAAAAVVGVVLPNRTLRGEVSPSLAGLAALRVLNLSSNGLRGAIPAALLRLRSLEVVDVSANSLAGEFTGAAIELPAVRVFNLSGNAFNGTHPVLPFAGNLTDYDVSGNNFAGPIDAATLCTESPAVRVVRLSMNSFSGDFPVGFGQCRSLVELSLDGNGVTGTLPDDLFAVASLEILSLHTNAISGELSPLLRNLSSIVRLDLSFNAFSGELPDVFDALTELQELSAPSNQLSGELPTTLSRCRRLRVLNLRNNTFAGDIGRLDFRSLRNLVYLDLGVNGLTGTIPASLPECTGMTALNLGRNKLAGEIPASFANFSSLSFLSLTGNTFSNLSSMLRTLQVLPNLTSLVLTKNFHGGEEMPSDDAGIAGFPSIQVLDFPFFMRRNTSVQGRQYNQVSSFPPSMVLGHNNLTGGVPAALGNLTKLHIVDLSWNSLSSPIPPELSGMTSLESLDLSHNSLSGDIPASLTRLSFLSHFDVSFNNLSGEVPIGGQFSTFSRADFDGNPFLCGIHVARCASRDKDLAGGVDGGGKERRSAGVVAAISVGTALLLAVAGVATWRVWSKRQEDKARVAADDDLLDSAAKSTLVLLFPGDSEDDAGERTTTMTVEDVMKATRNFDESRIVGCGGFGMVYRATLPDGRDAAVKRLSGDLWQMEREFMAEVETLSRVRHRNLVPLQGYCRAGKDRLLIYPFMENGSLDHWLHDTGGELLPWPARLGIARGAARGLAHLHDGSSSEPRVLHRDIKSSNILLDAAMEPRLADFGLARLVLRPTDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLMELVTGRRPVDMAMPVGEGRDVTSWVVRMRKEGRGEEVIDATVGGGERGHREEAARVLDVACACVSENPKSRPTAQQVVEWLDAIAAAAAAASPSSTHGGDHSNVHSCNWR >Dexi9A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:9A:5877922:5880099:1 gene:Dexi9A01G0009660 transcript:Dexi9A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEPAGMDPSLYKAATQGCVRSLRKLVVKDVLNSRTPQGNTALHVAALYGHPSFARELLMVSEELLIAKNADDDTPLHLAARNNNGKAKVAEQMIVRAQAWPDDLNADDTVLLKSPLVTTNLKGNTPLHEAVLHQNNAVALTLLDADHKRAHDLNNRNESPLDMAARGGLVQVVRKIVNVPWVPTDFIQSVGGTALHQAVLGGHTRVVEILLEKHPELLDLTDPDGNNALHYAAQKDKKREVVEMLLNKRTELAYKRNSQRQTPLHVAAHRGSTDAIKALLRHCSNGQNAFHASVTSGKANALRCLLRHVRPGDLLNRADVYGDTPLHIAAKRSHVQSALLLLKDRRVDPCVLDHDGQTARSLYFERSVETYILVATLIATVTFAATFTMPGGYDQTKGIALHGHDTAFKVFVVSNTVAMCSSITVVYCFIWAWKNPLKFKVDKLVWGHRLTMIAGLGMLVSLMAAVYITVAPTSRWPAYVTI >Dexi9B01G0045790.1:cds pep primary_assembly:Fonio_CM05836:9B:45271441:45274589:1 gene:Dexi9B01G0045790 transcript:Dexi9B01G0045790.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKMGTYLRNYSDDEFSVAGEKPEVEFMDFQNDNTLQDFASEDGPVVVTEEMRAYDMEGVSMRRRGMNFLSLEVPGLAERRPSLVQGDFIVARYARNDTRPYQGFIHKVEADEIFLQFDNQFHHNHHDRNQYHVSFTYNRLNMRRLYKSIHESEFLGPGILFPCQSPCRDVKRQPFRPLNPHINDEQAAAVAMILGCRGVPPYVIYGPPGTGKTMTIVEAILQLYTANRRANILICAASNTAADHVLEKFLHASYLIRPSGIFRLNAPSRQYDDVNADFIRFCFFEDRVFKCPPIQALLRYKIVISTYMSSSLLQAEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYSKQAEKEGLGISYLQRLLFDFEQYQTGDRNYVTKLVKNYRCHPAILKLPSELFYGDELVPCKEDEVSSAYYCIGLPNKSFPVLFVGIQGCDEREGTNPSWFNRIEVSKVVSIIRNLTKGDAVSEADIGVITPYRQQVAKIKKALEAFEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDKFFNLGFLSNYKRFNVAITRAKSLLIIVGNPHIITKDRNWDRLLRYCADNGSYQGCPLPPPETYSDPEGNNSGEDQGGPAGWGYNQEESTNYNYNQEPSDFGFRRDGGAQSAATNNRMEWSEELPVDETQPFNNTEAYPDEETPKQHVEEGAEQGDVQPDQCSTNDDQLQHECPEKYTFPPGWGDFSSIPATGWGD >Dexi9B01G0024690.1:cds pep primary_assembly:Fonio_CM05836:9B:21256569:21258406:-1 gene:Dexi9B01G0024690 transcript:Dexi9B01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYNGGVIQNSEFNSGLMGWSTYRNIKAGVSSSPTGNKFAVVHNPGSFLSSSGKFLPSHSVFQRIQMQGDMHYSLSAWLQVSNGTAHVKAVIKAPNGERVIAGAIVAQSGCWTMLKGGMTTTYSSGHGEIYFESDAPVDIWVDSVSMQPFTLDEWDAHARQSADKARRRTVTFVAKGADDKPMAHANVSIELLRLGFPFGNAITKEILDLPAYEKWFSSRFTVATFENEMKWYSTEWNQNQEDYRVADAMLKLVQKYGIKVRGHNVFWDDQSSQMKWVTPMNLAQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKLGPSASAQIFNQVGQLDRNAILFMNEFNTLEQPGDPNPVPSKYVAKMNQIRTYPGNGGLKLGIGLESHFATPNIPYMRSSLDTLAKLKLPMWLTEVDVVKSPNQVKFLEQVLREGFAHPNVDGIVMWAAWHAKGCYVMCLTDNNFKNLPVGDLVDKLIAEWKTHRASATTNADGAVELNLPLGEYKFTVSHPSLKGAAVHTMTIDTSSSPSVHTIHVKS >Dexi5B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:5B:7048786:7052286:-1 gene:Dexi5B01G0010110 transcript:Dexi5B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAARAKALAERKMLEKDFVGAKRMIIKAQKLSKEVDDIDIPKMLTVCDVHCAAGVKVNAEIDWYGILQVPVGAEDAVIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANMTLTDQSKRQVYDMKRSTFRGGASRPPHQHLRKTAPTRSNATPVNLHKQQPPPQPQQASNSAGPHPTFWTVCPSCGMRYQYYLSILNKALRCQNCLQPFMAHDLNGQAIPSEANQRSAGVWKNAGTPQSFPGPQTNVTGQKAWSTTPGVHVNIGSRHADANTKRKTDGGTGGLQNKMKSDRTTRNHSKAKSSAGLKRGRRGVIESSESSISENSSDSEEEILEHGPVANSAGPGQQTRRSSRQKQEVKYTEDSDEEDVEDDDNMVDNDFVNSPVLKRLRKSGVFHGDHSNRTSKLNEDISDHNGPTNGVNDCSNTEDKKKGGASYDEEKTLNGIEQMKGLAMHARENSCSNGLGPNHVGAPDEYTVMDPEFFDFDQLRDVNQFRANQIWAIYDDQDCMPRFYARITKSREAAPYVISQGETLRFSHCVPHHLMSGTEKEGIPEGSLELDPAALPLKLEEAFPSVSPECSSVRSQESDAKHAVNLELSCDEVSGTKCNLNGSAVFELWEASPMNCQKRHVCLLLWN >Dexi5A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:5A:1164798:1167997:1 gene:Dexi5A01G0001630 transcript:Dexi5A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGGARGVVGRMAVLGLALALAAAAQVAGAGHDYGQALSKSILYFEAQRSGRLPGGQRIAWRANSGLLDGNANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGDQMAAAGELGHAMEAVKWGTDYFIKAHPEPNVLYGEVGDGDSDHDCWQRPEDMTTSRQAYRLDPQHPGSELAGETAAAMAVASLVFRSSNPGYANQLVQHSKQLFDFADKYRGRYDSSITVARKYYASSSGYGDELLWAAAWLYKATDDQRYLDYLSNNADALGGTGWSINQFGWDVKYPGVQILAAMALLQGKASTHADVLRRYKQKADLFACSCLGKAGSNNVQRTPGGMIYHQSWNNVQFVTSASFLLAAYGDHLATARQVAQCPSGTAQPAELDAFAKSQVDYILGSNPRATSYMVGYGATYPRQAHHRGASIVSIKVDPSFVSCQGGFNSWYHRQGSNPNLLVGATVGGPDEYDNFADERDNYEQTEATTYNNAPLIGVLARLAAGHGGGRFGHSLAADEVAATSIRSDNQTSLTSPSLAAEEHTSPIEIEQDATASWTERGKIYHRYSVTVTNRSPKTVHELHIGISKLYGQVWGVDKARYGYVFPSWLGSLPAGQSAAFVYIQAAPPADVWVTGYKLL >Dexi3A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:3A:7686836:7687129:1 gene:Dexi3A01G0010740 transcript:Dexi3A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASEGKRRRETRPSKQCPLETRKRAIFPEKAADMKIWEEAMRTGKREGGEEKQKFSAFPTSASRDAVSRDGAGMRKAYK >Dexi4B01G0023070.1:cds pep primary_assembly:Fonio_CM05836:4B:24562184:24563098:1 gene:Dexi4B01G0023070 transcript:Dexi4B01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVYKHLITPVAMLVVAAFALSTAAVAFQPSGWTKGSATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFNDGASCGQCFKLTCDWRSDPQWCRRGASVTITATNFCPPNYALPSDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKRHGGVRFTVSGRDYFELVLVTNVAAAGAVRAMDVRGSGGGWMPMSRNWGANWQSLAYLNGQGLSFRVTTEDGETIEFVDVVPASWTFGQTYASKLQFK >Dexi2A01G0033650.1:cds pep primary_assembly:Fonio_CM05836:2A:43843475:43845330:1 gene:Dexi2A01G0033650 transcript:Dexi2A01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTNKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRDRRSESLAKRRSKLSSAAKASAATSA >Dexi4B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:4B:1583485:1586579:1 gene:Dexi4B01G0002490 transcript:Dexi4B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKQTGKPWSWDWTRVPNPVFRPNSLALPRPSSSPGPVRHAHSGPARFLFSLSSLADEWAQAVSVIFLASSSRTRRRVRAPYAVASRLSVQVGVPSAFISRRPSSPSAALEFAPSAQTLASSRRLDSELASPSRRFQLAAKLRVEVRKLPSPLSLSLSLSLSRGLVASPPWPSRLSSSRAPSVAQPSLRTPKTESPLSQFSPKPNTARFAVPARRRRAQSLPAAGVAPSIPALGSRSDENGPFEGDQDQVYEEEPPQCFEEGKRTRVEEVEARLGEADEAPPLLHHRHAGLIHAPAEDEERAHHLGGEFVSAPRSDGVASANPSRSRAGTQHRLRLACVSPLFSGGEPPTRTI >Dexi9A01G0029410.1:cds pep primary_assembly:Fonio_CM05836:9A:34299834:34302614:-1 gene:Dexi9A01G0029410 transcript:Dexi9A01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVDFDLNYRPPSPEPAEAEEPLRAMLRQEQPFLDQMNLHKFYGSFWKQSSSAQSTLHSNHEHKLINLGARDNKNQEASTNSYKRKSSPNIAEQNSDVRTSARRKSCAYHSVIDLEKPSTSGDAVETVGCAGFSNLANQNGRSQDGSCLISPQNSSFVESGQLCRAWKSSRVSLDSVGSSYTPDCQSPIKPSNTQSRHLQIDLNVPQEENLLVSSALFHSSSTYPGNFLRSTREVSETKCSYGIGSMRGSSITVTPNSVADSSRDVVAESSVQRKGLFDLNVALENFDMPSEVISNYRDKVVSKGTALDHSFSGNNSLQAGTSMKYLAHGNEHMLARKDDNNVFLPTSTNNSISKVRSPESGTINKDLLISESPLVDSNVPRLSISHNQASNLQEGSMFQPKAHDDDITASVAATTLLSIFQHNSALTADCSGNSSQMASQNGNNEPQPSLDSFEKIALSLEEIKDDGQSINVTPPDKEGPACGIKLKRGRGMRNFQREIMPGLVSLARQEICEDLDAIGYEPKKTRSRKTRKGQGASSTRPRPRKRGSNARK >DexiUA01G0009760.1:cds pep primary_assembly:Fonio_CM05836:UA:19173543:19173848:-1 gene:DexiUA01G0009760 transcript:DexiUA01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGAEMGLEVERRGAPEGPPPAREDLGAGATDGGEQRDDAAKDLVGEAAEQVGGVAISSSSSERDFFAAAIPDGNRSKPWLQPRNSGEKFRTENHFVGT >Dexi7A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:7A:13708001:13710933:1 gene:Dexi7A01G0003630 transcript:Dexi7A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTKVPATMRAVQYDACGGGAEGLKYGGGLAEYAVASESLTVKRPSEVSAAEGAGLPIAAGTALQALRSIGAKFDGTGKPLNVLITAASGGVGLYAVQLAKLAGLHVTATCGARNVELVKSLGADEVLDYRTPEGASLQSPSGKKYDGVVHCTVGISWSTFEPVLATSGRVIDITPNFTAILKSALHKVTFSRKRLVPLLLWPNKADLEFLVGLLKDGKMKTLI >Dexi6A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:6A:19729448:19732713:1 gene:Dexi6A01G0012900 transcript:Dexi6A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLEVIQYRKMAHHKPDEAAADGTSSSTQPPSYDTSQAPRVYKVGYPPQKNLTTEFTNTLRETFFHDNPLKQYKDQSGSTKFRMGLQFLFPVFDWGRTYNLSKFKGYWLFKARLSGSTIRALLQPHALRCYIYHLVSDSSFVPPLIYAAMGSSRDIAIGPVAVVSLLLGSLLQNEVDHEKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGITNFTKETDIVSVMESVWRSVHHGWNWQTVVIGFSFLAFLLLAKYIGKKNKKYFWVPAIAPITSVILATLFVYLFRADKQGVQIVNNIKKGVNPSSVHKIYFTGPFVAKGFKIGVVCGMIGLTEAVAIGRTFAAVKGYQLDGNKEMVALGTMNVVLSHVLRSISWLGAEHQYLMSLCQWLCFSPCWSSHHCSSIPPMRSLDLSAVIGLVDYEAAILIWKVDKMDFIACMGAFFGVVFKSVEIGLLIAVAISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPDARHVPGVVIVRVDSAIYFSNSNYIRERILRWLTDEEENAKAEGLPKINFLIVEMSPVIDIDTSGIHALEDLNKNLQKRGIQILLSNPGSAVIEKLHSSKLTDHIGSNHIFLTVADAVHFCSSKSMQEP >Dexi9A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:9A:8156475:8157245:1 gene:Dexi9A01G0012800 transcript:Dexi9A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSCDGGDDIRLNCSHGGRFLPHGPDGAPRYVGGETRVLAVPRAATFRDLAARLSSEVAGGAEVRAIRHRLADEGLEDVVVSVTCDEELAHMRDEYDRLRATSPAARFRVFVITASSGGGEFQGRRAAASGLPPLAPKMRRVHSAQAQLHRRAALPAPMRRIQSAQEFARATHAQPSFLHRRQQQCCCTPAPPMPARSVEALPCMSKKVVAPSMSAAKATERVVFTDAAREMARGGAALAAMENRRAIWELAR >Dexi3A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:3A:4616470:4621504:1 gene:Dexi3A01G0006890 transcript:Dexi3A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDAAMDVDDVDSHPHHAHHGSHLGLTLHPAHLPSAGAGRVFPKVNAGAGAAAVVAAAGAAGAAGGPPATSVHELLECPVCTNSMFPPIHQVGARASLRLVRFQMGLRADLGISAGKAGIFTEALNQIGPFFGGFAMRRIGDLLRNCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >Dexi7A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:7A:19959890:19962265:1 gene:Dexi7A01G0008720 transcript:Dexi7A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQLLLALLLFVAASLGAQASGTGGRKMVGVYEQDGGFLRQGNLADVVLGKDTIAEYVRVPQSHLDGGGVCQWRPLPDSPYITLYYRSFDGEQGFPGNLDAYVTYRLSSPYTLSVHMNATALDKATPVNLLLHTYWNLAGHGSGDVLGHTLRLFASRYAVLDDELLPSSGRLAPVAGTPFDFRSPTAIGARIRDVIIMGGKVVGYDANYIIDGDQGTMRPVCQVRDGVSGRAVELWANQATMQLYMGNWLNHTKGKDGKVYNQYAGFTMETMGYVDAVNHPEFPSQTLLPGQEYKHDMVFKFSF >Dexi2A01G0024160.1:cds pep primary_assembly:Fonio_CM05836:2A:35877627:35883104:1 gene:Dexi2A01G0024160 transcript:Dexi2A01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGTLLPAQTHRRRILRNRCQGRIVASNATNPVRDGGAAAVVWFKHDLRIDDHPGLAAAVAEPRRPVVPLYVFDRRILAGYSDKMLELLLFALKDLKMALKSQESDLFIGLGNAEHVVLKLVNEVQAGLIFTEEEVEYRVRDVLANVASSLSNGSFSWGSPPKIVAWSAPLYEYKNLKEVSTSHDQFLKTKLAMATPLAAATLPALNLELDTGFVPTLDELKGFLKDSRTPEDNWVPLKNTSARCILKKTLSEKKIKSNTTLSTSNGENIEDISMDSGTPGKRIMNSMFASENSLEVRGGTDITLDALAAYLRYLEGTGNASWQELHDKVRVAETRDGASFYTLFGPAIQLGVISRRKAYNDTIQYEKDRNAGFLSPFGYSTPTVKAAADAICSMEWYWLLALKSQISAEGNHPIRIWRWKGYLVQYTFVGNQGPDVLLVHGFGAFLEHFRDNIDNIADMGHRVWAITLVGFGKSEKPNANYSELFWSELLRDFIIDVVREPVHLVGNSIGGYICAIAAGLWPSLAKSLVLLNSAGSVVPNYSFVPLGKERRTSWLSRLQAQLLLLFLRSRVEGILKEYYPTRTNRVDKTIVDQMIRASYDPGAMTVLESVFNFNLSIPLNFLFDSFGGKVLVIQFEVKLALEKTKAI >Dexi3A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:3A:1719494:1720549:1 gene:Dexi3A01G0002560 transcript:Dexi3A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSDLLPPPPSSPPADTPASAAAQTPSLPDTPASLDPDTPFSDAFPVDASDADTPALAPTPDAALASASDAPGDGEDDGINDPSGGLRKHITLAPPAPPSKKSKKKGGNSVWTRPTSRKGKKKAKQSGGHGPSGGATGAHPGPNAGEDELCQLVPATRLAAERNDDAATQPVLLSRFFKSERIEVSDDRLTAASTKGYRMVRATRGVAAGAWYFEVKVVHLGATGHTRLGWVTNRADLQTPVGYDAYGFGYRDMDGAKVHKAWRDKYADEGYGEGDVLGFYISLPDGEQYEPKQPDLIQYKGMPFHVQVPKEEQKMPPPVPVK >Dexi3A01G0026010.1:cds pep primary_assembly:Fonio_CM05836:3A:22074678:22075294:-1 gene:Dexi3A01G0026010 transcript:Dexi3A01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAGQETTKAARITATGGDGASTRASVAPPVEEEQQRRRRRRSVPPPAAAAGVAATATATTGGSPAEQRCCGEEEEDDEQVERFYTLLANIRALRGLYSAGDGPMGGGAADGRGRKRAREAEEPWRPAFQMVDFVDEEEVGQVAGGARGDGDGVARTPAAAMARAAGDAHEGDEVAEARGRKIGRRVAARG >Dexi1A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:1A:7856916:7857188:1 gene:Dexi1A01G0009540 transcript:Dexi1A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEAAAVSTCMLAGVSSTGSGQNKRTPAMVTEAARRRPTGCAGTHPPLPLRVAVMRRSSEVDGDGGARELEPARDGGAGEALRWGTNT >Dexi2A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:2A:33738020:33738464:-1 gene:Dexi2A01G0021700 transcript:Dexi2A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPSRRVCVTGGGGYIASWLVKLLLARGYAVHATVRDPGDPKNAHLGRLDGAAENLRLFKADMLDPDALAAAVAGCEGVFHVASPVPSVKVVDPEASTNLTRSN >Dexi8B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:8B:20991948:20993323:1 gene:Dexi8B01G0011800 transcript:Dexi8B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRWRSRARRDPDLDEEDSSPPSRQPRRGRGSGDDDDDDDDNEGNEDLSLDIVARARRKRRGSPAPGFADLLVVSSGDEDAVVELGEAGEPRRKQSKKQRRKQKKKQQRKEAAEAAAATAAAAAGEEENEVGGTQEGPSGTAESVLTEDGPDVPASDNMVLRKLLRIPRYFDPGETLLETCFNCSEEGHVAANCLMEKRKKPCFVCGLFGHNAKQCTQCFCY >Dexi4A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:4A:801545:805122:1 gene:Dexi4A01G0001240 transcript:Dexi4A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGPTMALTAKHYTVAALMATLAVAVVVTVVFVVLCPARVTFSLARTSHEDAPTGGLRLNLTLAIDNPSRRAAVAYESMFVDLSNSTAVVQGDNWIRATVTTPMPLRQARGSPATVDAAVDLVAGPWTVTFTGNMTSSFSVIVTAQARFKVGVAWTRLYDIKVSCRPVSFFQAAKAKLDAAAASLPVKFRCIDAARCVLALVVTLIIITVIVIAIQVLLRPDVLHVSVAGSTIYAQKLPLLQPPTLDLSLTILADNPSGRVRMYYLNITVHLFDNKTLPTTPNQDFYSMGFFRIPDIVVPQTRKVSSYVHSHATNGSFDSNYLKFLYDDQDRQIRGVTLRLDGELVTEVSSGPKANRTVQTTYFCDNLLLGGDPNDVAFKGSPDVICKNELTT >DexiUA01G0014380.1:cds pep primary_assembly:Fonio_CM05836:UA:30558183:30558576:-1 gene:DexiUA01G0014380 transcript:DexiUA01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFASVFMHADAMDVVLMLLGLVGAIGDGMSTPLMLAITSRVYDDAGIGPGHLIHQFTSKMNQNVRNTLFLAAALWFTAFLGERARRMP >Dexi8B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:8B:2514936:2520058:-1 gene:Dexi8B01G0003390 transcript:Dexi8B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGASEPPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDSTKTTSAGAGRIIERWVIKYEANRAGNGSKNTGKKSRSSSAHDHSLYRRAYSGSTVLFRSLYLVVRLLPAYHLFQELNSSGRIRPLSLSHKISSFVEPFTRAEDADMKHYAFAPIETHSGLLSLSVSYVPVLEVAAAPEPTTPMATELIMDYVGSPTTDFLRKFNSLPSDGIAPACVAMTRRHSWSTEHGAGPSASPSHMPTNNSPTTYPHQHSTSSSGKKRDTVNEEYYPSPPLSPSPSRSPSSHPRNPFFRYESAPLSIATARAGGGGTRLPPSPHRKDKQQCSFQNENLIHSPSDKSIVTNDLVRVGEVRNEKSLQKVLSFGKDDLVYFPGVKLARTSSKLFIMDELDEQELVFAWEDKDAIIDQLNRIDLSDRENPDSSQEAGGSLTRSPDAAIGILMRILKSAPGLRERLLTAPAAPVPQEPSSLQRVVTEEHGSGESSSAMVPSALLRSRTAADALEELNRYKEIRESILNRGKGHPRDTKMEEKPADGDP >Dexi2B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:2B:26959970:26960256:1 gene:Dexi2B01G0016670 transcript:Dexi2B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCPFSDCSVSLFAEDGDEGAITEAECPHCHRPAPDAWCRGMAASAARSSRSSGKTSEAKWQRYPQCRMYVDKTEGCMFMKC >Dexi5A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:5A:765693:766757:1 gene:Dexi5A01G0001140 transcript:Dexi5A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATKKEVFVVVKRTEHVEVTSRVVEVATTKARTKGPRKVRVFCDDYDATDSSGDDDDDEEFATATRRRIKRYEIRFEPAVKETPTKKVAGSLMGTVARSKLALPCLKRKADGASVSEPRFCGVRRRPWGKYASEIRDPWRRVRVWLGTFDSAEEAAKVYDSAAIQLRGPDATTNFDQVDDPIVVSPEVAKRVPQPPVASKSVSAFATLYESSEESHPVAPSPTSVLRSLLSPAAAKDTYNNKMAPEHTTTPAEPALWAQETDKSNFDGLNIFSRPVSDDDCFTGEFPPIYTDFDILTDFSEPLIDFLADIPDESLSPPSFPDASVVPIDPEPEPQPKPASPAEWQQMDEFS >Dexi7B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:7B:15379389:15381139:1 gene:Dexi7B01G0007540 transcript:Dexi7B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASTRRRHAAGVGEWAAVSGAGAWRVEAAGKHQLMRRTGLPARDIRALDPALSYPSSIMGRDRAVVVNLERVRAVITATEVLVPGPRDPAVEPLVLELHARLAATASPQEEGAALSPGHGRGGGGGKGGEGLGRDKVLPFEFRALEVCLEFACKSLEQETCTLEEEAYPALDELSSKVSTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEVDMAAMHLSEKLAYQSADGYSSRFGVDNEPSELGDESDGQAKGEGGSSEVGYGNGTSAATGFTPKIDELEILLESYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGIMLSTGTLVVSCGIAVTGVFGMNITIPLYTSATDGVFWQVTGGIAGATAAVYLVALLCYRRSGILQ >Dexi2A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:2A:5418385:5419138:1 gene:Dexi2A01G0005640 transcript:Dexi2A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKKVAVAAGLPDDSLIEILSRATARELHRSKCVSKGWRDLIADPPPPQEAASNPTGVGRSFINLLGGTPPPPFDPTLPFLKKQPGVHHICLRGSYGGLLLFCHLTCLSLRNLSYIVFNPATEQWAAVPSEHTPEDDKHCGLKQSFLVFDPAVSSHFQLVIFCEEVTFSLEPSRASPPAAMSR >Dexi7B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:7B:15159686:15159947:1 gene:Dexi7B01G0007230 transcript:Dexi7B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKRFFACTGFFIEWNGSMVILTSASLVRNSVDENKIVENLRIEVLLPHNQCRKGKLQD >Dexi3A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:3A:12511921:12515343:-1 gene:Dexi3A01G0016560 transcript:Dexi3A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEQYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEVEAVETAKEWTRLYASGA >Dexi7B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:7B:21270143:21275966:1 gene:Dexi7B01G0015240 transcript:Dexi7B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSSTDPDADRSRRDPSLSDLTGDRRRVVPEVLDNAVAGARPAPPRAHQCSARRRLWRTVAVGPALSNEQLPALYSIGKAFARSPRKGKESFCAESEKTRRKPEVAGLVPIGVQGSSVQRIGASCICRATDQSSLGASRICHATDQTSLGASGVRRATEQGRLGSSGLRRATNHGSLGAPGLRRATDDVSFAPSGLRRATDNGSFGTSGLCRVTDNVSLGTSGLCATASNSSRVGAAGLCSAAYKTSRLGAEELCLATTGLCHRTADHRCLATNGIRGGTANHSRRISAAAGCHVTYRCLSPTIRRVSRLCHATSGNTATGSGIAYRLRRRASPGDDAGSRGVPTHGNPTGGASTGHLLPSGNGSDATPRWPDHGACHGSRSSTRVTVPGSERCADAVAHRGPGPVSYTVAGACAFDGAVELGVGEPVAVAGARARAGGRVGGAERARRCRSGSGVLGGRWDGRRVLSRAWLYRCLRTVRPHDLGTTRDKKASVMKSSPEMLKVYKRVRRRTVHVVPDEIVVEILVRLPVKALTRFKSVSKAWYAIISDPFFIRLHLQQQSAKNQEQTPSFLVIPHTLYKANDGEIWPTTFSGDISFYSWKEGQDSATLVHTSNFQSEFESVFPMLHCDGLFVLPTNTKVYVFNPATHDVLKLRNDQKDEWFYPTVGLGLHLLTSK >Dexi3A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:3A:5386029:5386328:-1 gene:Dexi3A01G0007750 transcript:Dexi3A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHMAMAIFFFALLASSSPCLARARLMILSDHQAQLNSMSSSGTISDTTTSAEQQVVQGVAVSSPAVTTAAGEVDHPESTGWMPDGSVPSPGVGHHP >Dexi4B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:4B:18420790:18423009:1 gene:Dexi4B01G0016350 transcript:Dexi4B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEASGWWWWWSTWSATAAAGACLLLVLLILLHVAARVADALWWRPRRLEAHFAGQGVRGPPYRLLLGCVTEMVALMAAAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVAEPELVREILLTRADAFDRYEAHPIVRQLEGDGLVSLHDDKWALHRRVLTPAFFPDNLNRLAPHVGRSVAALAERWRAMAASVAGGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRLSWSLDREIRRGLVTLIGHRSDEAEEEDEVSEKGSNSGFRDLLGLMINAAGGGKKKAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLAVCGADELPSKEHLPKLKTLGMILNETLRLYPPAVATIRRAKRDVTLGNGLSVPRDTELLIPIMAMHHDAAFWGDDATEFNPGRFSGGAAKAAAHPLAFIPFGLGSRMCIGQNLALLEAKITLAILLQRFELARSPNYVHAPTVLMLLYPQYGAPVIFRPISSSVASD >Dexi3B01G0032350.1:cds pep primary_assembly:Fonio_CM05836:3B:34707713:34712368:-1 gene:Dexi3B01G0032350 transcript:Dexi3B01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCHAPPRPAPSPRLQKSTELDDTCIMQPSDIWKAHAGSSQSEGSALDMERNGCSHNCCPSPLQPIASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVHRKWLNNAQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPIVGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDTANVSTLVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQAALEEQRITLAAAAAAANSTATESSPVAGPQENDKVDKIYEPIGIFQPIPRDGSATSTDQPNENVEEHQFIPNGPNLIGMSPMRDGQEGNGELKNLPDLETSADDTNICIGLHLGEREPKRLRSDSTLDIDLQK >Dexi7B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:7B:19915060:19915500:-1 gene:Dexi7B01G0013410 transcript:Dexi7B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVDLRSDTVTKPSEAMRAAMAAADVDDDVVGVDPTAQRFQAEMAALMGKEAALFVPSGTMGNLISVLVILGDNSHIHVYENGGISTIGGVHPKTVRNNPDGTMDLDKIVAAIRHQDLHYPTTRLICLENTQGK >Dexi6A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:6A:11227171:11227539:1 gene:Dexi6A01G0009540 transcript:Dexi6A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSCRFRPISFTGSDCDEGGGTQEVAAAARWRLRRVRVPERHVGSHGGAHEQSSDGPHVGPWRNAGEALDKRAHQDPGRAEQCGVLTYTREELPRQRKVVVLRRRRLVGGQRRHAGRRGA >Dexi2B01G0026240.1:cds pep primary_assembly:Fonio_CM05836:2B:35374187:35374568:-1 gene:Dexi2B01G0026240 transcript:Dexi2B01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRQSSSSYYYRSPSVVCLLRLTTEDVRGDWLRFAPTEGSVAYGYRPRPSVLLPATYSSCTVEKKGGGSRTAHSAEVGTDEMKPPGSTPLLLILGTAHGLLALAHFS >Dexi4B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:4B:10640881:10645546:1 gene:Dexi4B01G0012700 transcript:Dexi4B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFERAAREAAAAAAAMEEHSSSIIASAVGIGVGVGIGLASARFSAAGRSRGPTVGPTPAEVEAELWRLVVEGRDTGVTFDDFPYYISEEMRLALTTAAYPYLNQEALPKYINVHTDVSRTILLCSQSEYCLSSLVKAVAHQFNARLLELDVLELAKRIKHKYGCLENADAVILGKSTAESTLVVTKRYNAGSSRRERGILDLRTTDCRSKNASSVRVQVSLLPGDKDHDDSESTEEYAINLHARDTNCSSSDAETVHPSWNVDEKILMRSLYKVIVSVSECSPVILYIRDVDMVLENSERTYSMFQKMLKKLSGQVLIIGSYLLTGDTDNYVDKDVSDLFPYILETKPPKEKAQYEKWKTQMETDAAKIKTDIFIKLITDVLSANKLECDDLSSLSLDEMGLIQTHLFDIIAPSVSYHLMNQKDPEYKNGKLIISSKSLSHGLRIFQESNLGKDTVVKTDEPKKVKDNEYEKRIRETVIPAGEIGVTFDDIGALDDIKQSIQELVMLPLQRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIADEAGASFINISFSSFASKWYGDAEKSIRALFSLAAKIAPAIIFLDEVDSMLGTRKQSNEHEVSRRIKTEFMTHWDGLLSKSSVKILVLAATNRPFDLDEAIIRRFEHRIMVGLPSLESREVILNKLLSKEKVENIDFKELARLTEGYSGSDLKNLCVTAAYRPMREILQKEIETKKDKDKQNQDKKEKQTQDKEDKQVAVKDERAKSSPKGEKDAVKGEKSGKPSKQGTGKGKSEKGTKSDTEEIIALRPLTMEDLKQAKEQVGPSLASEGIVMNEIKQWNELYGKGGSRKKEQLTYFL >Dexi3B01G0024580.1:cds pep primary_assembly:Fonio_CM05836:3B:19226951:19231593:-1 gene:Dexi3B01G0024580 transcript:Dexi3B01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRLLPLTLCARAAAFSSTTDADPAVSYLISRCGLSPAAAARAAASDRLASRGAAAQADAVVALLRRYGFSDAHISATVRKLPIVLASDPAKTLQPKLDFLASVGISAPLLPRLIALTPIILHRSVDDHLAPLFATLREVLGGSNARVVAVLRQMPFVVRCDPKATLLRGLPLLRDVHGLSADDVAKLVALQPGVIMQTPDRINEIVDTARRFGAKPGSSMFAHLFEILSKLTASTVESKIAIYRRLGFDNDSINLMIGRYPGVLAVSEKKIEEVVGFLTGKAGLSLEDILAYPNVLARSLKSHSRRCAVFAVLRRAGKPSGQYRLPVALVSSEARFLQLYVLPYAVELPDVLRAMNGEMPFMGFGGWLEKPTLPGRRKGSRQEVDEQMINAQNKSSSNNVRSSVCDIPPRGLPMSSTFVGNSTSIQEMFRRVSGQFAAMRGMDEMEFTEADCNMNDLDATADEPTDCEDEEEATADVTVTFRDCTVEARRINPHGPGTWLARTAATRTGRQAPRSHPAVRGAHLSPRIMAASAARPTLALLASASLGAGRRGWASLAVSSSSAGSALPVVRGGRRLFAAAAGGAVMGRAGPGAVDADAGMNAVQRRLMFEDDFVNFVSSALLVAVVGIVNLRGAAVFSSSLPAREVLTIRR >Dexi3B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:3B:10556614:10557339:-1 gene:Dexi3B01G0014660 transcript:Dexi3B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQATPAADANTSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNATQKLYLLFLLAQMENV >Dexi3B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:3B:12665090:12668203:1 gene:Dexi3B01G0017220 transcript:Dexi3B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQASTLPKTTEPSYTPRRRGDQKTPFVFPPSAALAAFLNKPSSLLCAAFLIEAAGLRRINNHSCTEYGRISRTTPIQFLRELEKRLRPKKTQANPRGLFDQLLCPTTGAATTFTNCIPTHPVYAHRSFQENMRAGGLCLCNLARNTLSSGEPAIAPGLGLPPSLEEPYEAEAPRGSALQREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >Dexi8A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:8A:3753378:3756252:1 gene:Dexi8A01G0004370 transcript:Dexi8A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVRMLKEMGMDAYRFSISWSRILPKGTLEGGINYQGIQYYKNLINLLKQNGIEPYVTIFHWDTPQALHDKYGGFLSRRIVKDYTDFAKVCFEHFGDKVKNWFTFNEPHIFSSFSYGTGGHAPGRCSPGGTCAIPHGDSLSEPYRVGHHLLLAHAEVANLYKSYKGTDGRIGMALDVMYFEPYDEETFLDKQARERAIDFNLGWFMEPVFRGDYPFSMRSLVGHRLPYFRDDEKEKLVHSYDMMGLNYYTSMFAEHIDLSSGFSPTVNTDDSYAKLTTEGNDGKSIGPETGLYWLKLYPKGLKELLMIMKDKYGNPPIYITENGTADLDTGNLSKEDALDDNIRLDYLQRHISTIKESIDLGAEVHGHFAWSLLDNFEWTNGYIPRFGLIYVDRDDGFKRYMKKSARWFSEFNRAPRKVFDDDHAIVLKPALVSGN >Dexi4B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:4B:2884783:2885403:-1 gene:Dexi4B01G0004020 transcript:Dexi4B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSGDALLPANLPIRPVMRRRDGGGGYENRLLIPLLLATSALLFFVTYHFGLAAAGAVVAVFFVFALAAHRVRLSRRFPFLHLSPGAAGSGVRRGGGVGEEEGVVLVFPAGTAGGNGMDAAAISALPAAFGYKRDGGGGAHAAATGWAQCAICLGLVRAGEAVRRLPACGHLFHAGCIEKWLRAHATCPLCRATVAAGEPEVPV >Dexi1B01G0021530.1:cds pep primary_assembly:Fonio_CM05836:1B:27410464:27411191:-1 gene:Dexi1B01G0021530 transcript:Dexi1B01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAEYDSSAGTLSTLFDLGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGVISLYWNIILMFITGMLVNGPYALITTAVSADLGTHSSLRGNSRALATVTAIIDGTGSAGAAFGPLLTGYISAKSWTAVFTMLMVAALIAGLLLSRMVMAEVSTKLESQRSAAATGLPASSVEET >Dexi8A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:8A:26891849:26894275:-1 gene:Dexi8A01G0015820 transcript:Dexi8A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFRRLAGIPWRSIAGDAFSRALLVAQAYCAVHVVDQHLCSLAFVRGPSMLPAINLAGDVLAVDRVSARLGRVENGDVVLMISPEDPRKVVAKRVLGMEGDSVTYLVDPGNSDASKTVVVPQGHVWVQGDNIYASKDSRQFGAVPYGLITGKIFCRVSSVIFFAS >Dexi2B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:2B:22720713:22720993:-1 gene:Dexi2B01G0013680 transcript:Dexi2B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKSSSRRPRLTGKPSSASDRASPRTPVASSDLGATTRSTFAAGEGSTAAGPSRSVWYRWN >Dexi9B01G0029580.1:cds pep primary_assembly:Fonio_CM05836:9B:32090065:32090835:-1 gene:Dexi9B01G0029580 transcript:Dexi9B01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDLKLLGVLLSPFAVRVSMALSLKGVSYEYVEEDLSNKSELLLKSNPVHKKVPVLIHNGKPISESLIIVQYVDEQFVDHSILPADPYERSIARFWAAYFDDKVFPEFYGMVKAKTVDEREKKMTETFAAIGQLEEAFAKFYEGKAFFGGDSIGYLDIVLGSCLFWFEGVRRVYEVEIISSTKTPLLAAWAQRFGETEVAKKEMPDADKVVQYLHNLHGTATK >Dexi9A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:9A:1139723:1143421:-1 gene:Dexi9A01G0002150 transcript:Dexi9A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPSSKGHGFFGLFDWGKKSKKRLFVGTASSSPDPKNAGDGKDVDDSTPSTRSNSFIEKLNAVSSSSNTSNSKLDVIDGDYLGLLLEQKLRELTSGVRSPYSDPAKGVKVYGTSNALEDTASACETSSIASTDFDRESLQSFNDGKATIHQADLAAKSGQVFLPDFDWAAERWLLAGEEGKGYRLRRRLLFDCVNELLNVKCAYYFNAGYGSWFMGMTVLKNLSAEEVHREMTSLKVAEEWMVDELVYREMSSPLGSWVDFKMDSYQAGGDIAADLLGSLIDEVVADLLTGSFL >Dexi9B01G0032170.1:cds pep primary_assembly:Fonio_CM05836:9B:34420503:34421157:-1 gene:Dexi9B01G0032170 transcript:Dexi9B01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPQQALQVSGTRCRVYRDDALYQATEDQQGLIPWNGKQDVLIDR >Dexi4B01G0021210.1:cds pep primary_assembly:Fonio_CM05836:4B:23215791:23217581:-1 gene:Dexi4B01G0021210 transcript:Dexi4B01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSKLECGVGDRLSKLGDHVLGNILSYLPAKEAASAALLSTRWRHVWGAVHTVSLEEPEPPTSVDELNHMTTGCDSPCHVAPPDPDAAPPPFRSRVSAALLARQRRRGPVPLRSLRVAMRSYRAAADAAEVDQWVSYAVHQASPRGEPPDYPQDPTASFSQIGDPSATSFSEIEDPSARRKRLKAGDGGSQYSDDDDDSSVVSSDEDLPPWQRPVQKQNWPEPEPEYTVPRVIFSCAALRSLSLGFCRLAPPATVSLPSLVTLLLSHVTIPGADVERLIAGCPRLADLTLEACYEVNTLSVLGGGARLRRLALRCCCNLATVAVDASELRAFEYRGAVPNPSFLIMHGGRDVHYCKVDICVAKDMSSKEKLINLMHLLNLFVNARHLHLESSAWLGSGLDKEEHDVLSMGLPRFTSLRRLEMRGHVAGTTGAIDAMCRILEHAPNLEAISLAFYPQGHDCLSDDIYRQPSEDELLDGHHLSCSPHSVVAAAAASAMTVPCCLRSRVREINLVHYQGGTAQRALAQFLLCNAPVVDELWCEFAAGPMFEQVQLMREIKGWLINKSADTHFA >Dexi7A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:7A:27769076:27774460:-1 gene:Dexi7A01G0018320 transcript:Dexi7A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATVSSASGLLAMLQEPAPELKLHALASLNSVVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSDDSDYAHALLGEKAKALDEYACIKTRVSKATEEEENIDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVRCDNIQGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPNPDYLSICQCLMFLGEPETVASILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLALPAPVPSNPDTGSALQDDQTASTGTGAETAGDVQMRDDTTTPNGNAHTVDPNEVAHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLVVIHFYLLPLHRIAALAISLSHYGVVLQEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKTTEEASGSTSGKAAKTQEKDADAMQVDNTAEKKAPEPEPTFQHLTNPARVIPAQEKFIRFIEGSRYVPVKPAPSGFILLRDLQPTEAEDLALTDAPSTVAGTTSNTAAAAGQQGSGSSAMAEEPQPPQPFEYTS >Dexi4B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:4B:3446893:3447790:1 gene:Dexi4B01G0004790 transcript:Dexi4B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGSTPTARPPRQARGGAAGTAGEAPSTPVFLNVYDVTPANGYARWLGLGVYHSGYAYGAHDGASSGIFEVVPRRCPGYTYRESVLVGTTGLTRAEVRAIMAELAAEFPGDAYNLVSRNCNHFCDAACRRLVAGRARIPRWVNRLAKIGVVFTCVIPGNGRAVVRRSGECPAGSSAAAGKHGGIRSRSARQGAAPPKPPRPRAFFRSLSVGGRRNLTTQPRPPLPASPPASPPPPSPPTQPAPASTSTSSGSTT >Dexi2A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:2A:10168999:10169295:-1 gene:Dexi2A01G0009440 transcript:Dexi2A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRFASRRSRWASWPFSPPAAHITEGRTMPRLPPDARDPRMQQLATGRPQGRNPSSLLSSTLEDFFQNIDSCTCTGDGGRWALPLRRAKTLKEAAA >Dexi3A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:3A:12751940:12752411:-1 gene:Dexi3A01G0016830 transcript:Dexi3A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLKQLSEKRTRKPAGGNRESSELLLDGGANSIRGGGGVPAVADVNTDGGTAAVPLLGGDHGRRVGRTSDHTFRPPGVVASGEGALAAAAKWLGRWLSWVEHGNGARGGRNGGRGGNAVDPGKRRRGGLKQGT >Dexi7A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:7A:12541099:12542107:1 gene:Dexi7A01G0003290 transcript:Dexi7A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKMASARLALAAVAISCVVLLSSFGTAAATGKTGRITVYWGQTSSEGSLHKACQSNLYSTVILSFLTKFGHGSYKLDLTGHSWSAVGPDIKYCQSKNVLVLLAIGGGFGDYSLASKADAKAVADHIWDVYLGGHSKTRPFGDAGKKKVWITAAPQCPFPDRMLGQALETGLFDRVHVQFYNNPVCSYRASNVEAFTKAWQRWTRSFPRSSVYLGLPASPRAAGSGYVEPATLVSKVLPIVRRSKNYGGIMLWSRYWDLQTGYSRTVKHAV >Dexi5B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:5B:4026586:4026916:-1 gene:Dexi5B01G0005960 transcript:Dexi5B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNTRLAMAAMLLLLGVLLAVQQVANAEEEAKVKPMSTKADCEVSGTCDMKLGVAAAADPATRPGAKANESTSVAADWRLVQANACHRATGIVDIQALQM >Dexi9B01G0026610.1:cds pep primary_assembly:Fonio_CM05836:9B:28792672:28794868:1 gene:Dexi9B01G0026610 transcript:Dexi9B01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRGVLRGIKEKGLGNFLREAREEGYLNCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKDRYNASQLLAQKTARYLVEHKQNYSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEQA >Dexi2B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:2B:3593294:3594016:1 gene:Dexi2B01G0004060 transcript:Dexi2B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNLIIIRLLAAAAVVAALATPAAVQGQGTGGAASCTASLITSFTPCLNFLTSSTNGGGSPPTQDCCRSLASLMSASTGCACLILTGGVPLVGPINRTLAVSLPKACNSGAVPLQCQDTSAQIPAAGPVADTPSSAGAATPATPETEAPAAPVDPTGTAPAVSQGETRPAVLPSSARRASAADGHATAAAVALLLAVGAALM >Dexi3B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:3B:8343257:8344491:-1 gene:Dexi3B01G0011950 transcript:Dexi3B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEASLGRKKTRITPPPPPSLPHVTGSNGSFGDIDEIIIEESQGNGANLESAVGTEFAEEHEEEPMDTSPGVDEQVSPERTSPSPVVADVTVTDGDALRCGVCFLALRPPIFQCEVGHVVCSSCRDKLEDESAAAGNKCHVCGVAMTTRGYRRCHAMERLVDSIRVPCPYATHGCAATPAFHGRDAHALACPHAPCPCPGKACGFVGSTAALLDHFAATHSWPIATNVRAGERFTARLRYGFNFVLLADDDGGVDQKRLFLLNWTRDSLGDAVSVICIHPHASGGSASDAQPQCELVFSRYGDDGTLYTRHYQKSEFQVACTDLFDGLPSTDVASSQSHYLTS >Dexi9A01G0038110.1:cds pep primary_assembly:Fonio_CM05836:9A:42411341:42413412:-1 gene:Dexi9A01G0038110 transcript:Dexi9A01G0038110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELGFISPARPSRVTSERGDGRGIGSPTRSSPPSSCVPEGHACGDGFSGFSSPTWGSPLEKLFNSPPSCASDDSRAGGNRSGFCSPTWGSSTLFSSPSSCASDSGGGGNVSGSGFSTTKQASPVETLFSSPSSSCVSDSRGGGSGSGYSMPKQASPLETTLLNSPSSCVSDSRDCGNSSSPRISKEQDSAVQKAEGLLRAITERYNDCFLRLHNATAELADLRRERIRLGAENLHLSVLLEELVIAEQSKQASAVALTPPLKPVQAEAAFGCAPKSISIRSKGYLSPKQPQHETQPQRLRVRASPAMEDAGDKKDDGEVEMEAYRQGAMKTELCNKWERGACPYDGKCRFAHGMEELRPVIRHPRYKTLACQLFAAASGCPYGHRCHFRHSLPPTAESC >Dexi1B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:1B:487063:489329:-1 gene:Dexi1B01G0000540 transcript:Dexi1B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLEEQEYIAHATFWEVVLGVHPDRCNPYRDTDKFSEPYRYLAEMAFNNVAKYAKDNDDYHDTIRKSRYENPEDRFSIVNIMPRNPYPGCLHTWHREFRMTNTNPTTLLASLGSKRFTEPCARGYVLSDLLQFFSIRFAGDFYHGDKISVYGFIAVRDAVDQLRNYIFHRSSDHAQDITQDARDLLLNPPVRGIWAPYSIIVEYCLKVKSNGGDVAEEQDSVLMDGCFDFRQSPMAPDVQLHRVRLFGPLGPLDIRFALLRFAVEATIDVKIKRAMAGYSLRTVAAYTCGYSDKIVLYDVSAPSLPSAEEKVRKTAACHRLWWLWHLQWWPWN >Dexi5A01G0028840.1:cds pep primary_assembly:Fonio_CM05836:5A:32039678:32040108:1 gene:Dexi5A01G0028840 transcript:Dexi5A01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRGKRGGTNPDPPGKRRRGGPQERETDGDGYPEPAPAPEPAAQQPSSVMVAGLPPGCGVLELKSRLETYGPVARARVDAAAATGYVTFRSGAAAEAAIAASLDPDCGVTIGSKKD >Dexi9B01G0033850.1:cds pep primary_assembly:Fonio_CM05836:9B:35928419:35932251:1 gene:Dexi9B01G0033850 transcript:Dexi9B01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFLALHTRTHAKALEEVEKNISSLRLLISVLWLQVRKDLVHCWCILLRQKVDENYCCVQYIENHLELLDFLVGWALRCILLFKRTSFIFFYSYKNLDIALNCGNMLRECIKYPTLAKYILESGSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLGSHYDQFFELYSRLLSSTNYVTRRQAMKDSSKNIRICAFHVFKVFVANPNKPRCIIEALLNNRRELLKLLHNLPTSKGDDELDEEKELIIQQIQKLT >Dexi7B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:7B:15162953:15163204:-1 gene:Dexi7B01G0007240 transcript:Dexi7B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRLCNHIARLLPHPVAHLKSRFSRHSRRLPKSHGSARVARQPPWPPLAGGVWQCSSRAGAGLSAARTVVLPRGRSPARDS >Dexi1A01G0030480.1:cds pep primary_assembly:Fonio_CM05836:1A:35770871:35771549:-1 gene:Dexi1A01G0030480 transcript:Dexi1A01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPPAPASMAVDDAEEDQLASMSTEDIVRASRLLENEIRVHKDELQRSNLELESVKEKIKENQEKIKLNKQLPYLVGNIVET >Dexi6B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:6B:13598016:13603352:1 gene:Dexi6B01G0009310 transcript:Dexi6B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTSLLGISPSLQKSCIGQCKRSFHPKKEDGSESKCKTLGYTSAQLKEIDSYLCKNCKYKIHQCFKCGELEPSAEPNAKVFKCNNAACGHFYHPKCVAKLLEPDDSDGSCELAKRIMAGMSFTCPVHWCFECGRMEDRTQRAMQFAVCRRCPKSYHRECLPREISFKTKDKNIKQRAWELSGIIIIYCLDHRICESTGSADRGHIKFPCTKITQSGDLAKKKGKTVGKRKRSIDKGSKKSTKELNRIPRAKFERTQNSLEHMVLEPECSTMILKENLQLETSTAVGSKRKNR >Dexi1A01G0031630.1:cds pep primary_assembly:Fonio_CM05836:1A:36524263:36525117:-1 gene:Dexi1A01G0031630 transcript:Dexi1A01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRKRRRRGDGGRSRSTTTIDGGFQRAQAHHLHPSGTWKDASTVDLVTIDGQVVSIYVGSALHFSVSSSLLLSLSKKKKKKKTTTTT >Dexi2B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:2B:4912595:4913443:1 gene:Dexi2B01G0005260 transcript:Dexi2B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLFLLPLLLAAAATNASVADEPPPPMAPWPEQFHALVITNLTSSGGRLQLIDLYYDWPRGRDLNLIRDQLSDSNSGDPPVMNVEWANGTAYLFDAGSCQIFQFDIGLLPPDWKAHGGAAYLGRRRVDGFDCHVWSNFLFERYYEDVVTGRPVAWSFMGMERHVLSFEVGAVLEDSSMWQAPAYCFDGSNGDVGDGVDGHGSRDDEVNSLISFADRGSGGYRARHLASELSSIIEL >Dexi8B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:8B:24086231:24088534:1 gene:Dexi8B01G0013710 transcript:Dexi8B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGIAALDVKKLKDSGLHTVEAVAYTPRKDLIQIKGISEAKVDKIVEAATKIVPLGFTSASQLHAQRLEIIQVTTGSGELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >Dexi5A01G0030990.1:cds pep primary_assembly:Fonio_CM05836:5A:33850039:33850958:1 gene:Dexi5A01G0030990 transcript:Dexi5A01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTLGDNSWTSTCRERLDEIGKKIKREPDNTAALALAAAASASASTAVADSRVPRRLGLGGAINTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVAEGERADAASSLVYEANHRLRDPVYGCMGAISVLQQQVNALEAELEAVRAEILKHRFRQAGGGGAAGAATLVDDAAHATASFVAPAAQAVHARDVVSVAEAAGQEVSGAAGGAPGMSASSAVYVADGEQPSSTTDHYSSLNPSEHAAYFG >Dexi9A01G0035460.1:cds pep primary_assembly:Fonio_CM05836:9A:40123607:40126242:1 gene:Dexi9A01G0035460 transcript:Dexi9A01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSLHLPWYAFLLAVPSPSCQCPSLPRARRTVWLPSRLSGADTPQMLRSHHPGNSRTGFLGKTQGIRPRVIPAGRVGFVRTVVECKESRIGKKPIEVPSNVTLTFEEQFVKAKGPLGELSLSYPGEVKVVKEESGKLRLFKTVETKRANQMHGLFRTLTDNIIVGVSKGFEKKLQLVGVGYRAAVEGDDLVMNLGFSHPVRMAVPEGLKVKVEENTRITVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >Dexi5B01G0023340.1:cds pep primary_assembly:Fonio_CM05836:5B:25542562:25543333:-1 gene:Dexi5B01G0023340 transcript:Dexi5B01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVPGFITQAEQLKAKGVNEILLISVNDPFVMKAWAKSYPENKHVKFLADGSAAYTKALGLELDLTEKGLGLRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >Dexi9A01G0049270.1:cds pep primary_assembly:Fonio_CM05836:9A:51928010:51929551:1 gene:Dexi9A01G0049270 transcript:Dexi9A01G0049270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAAASCVGSGALGALAYAAMALAALRLLLSYKSALYALRRLWRCADEWAQAYQYVDVPRFGCDGGENPLFRKVAAYVAALPSLEDADAASVLSSASRTNGGLSLQLGPGHTARDAFLGARLAWTNASAGGESERLVLRVRRHDRTRVLRPYLQHVEAVADEMEQRRRELCLFANTGGAAPRWASAPFTHPATLDAVAMDPDLKSRVRADLETFLKGRAYYLRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRAATDDLRALLLTTTPRSLILVEDLDRYLLQGAGDEARAARVLSFMDGVASCCGEERVMVFTMRGGKDAVDAAVLRPGRLDVHIHFTLCDFEAFKALASNYLGLKDHKLYPQVEEGFHGGARLSPAELGEIMLANRGSPSRALRNVITKLHHVSAKVHRRNTSWSGPGQQWEDQSSSARASADSAEADEVGAPASCGVVFGKDAPMREIKKLYGLIKIRSRREGPGVLVPLEGDAHGPPTPAHNDRER >Dexi7A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:7A:20291316:20298317:-1 gene:Dexi7A01G0009220 transcript:Dexi7A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAGASSSSSKKPKPKQKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKTIVLPEQSLATERAGVAVNKRGLTLRELLQQTVHYNANVRRAAMNGIKDIVVKHPTELKLHKVAMIEKLQERICDTDKVVRESLYNMLQSLIFPALKEDNAISTRSTLFLLMANILNGMTHLSIDIQLMAFRFLELVVLNFPSSFSSYAEQVFNNFVAVLSNDRINLHDRNKLNSVLSGLGHCLSLVSKATENDGTSDRQVHNHSARELWKYTAEEDNSGARSFAIPNLLVKLQSLVQILVNSVEGDEKFFIFNLKIAEIFLCLREWIDNTMFPAEEFCHFVSSLFSKAKTLRNKDIMEMYLSPLITCIPGLVFNAPYESKGYLLEVVLELLLRIGQYFPTMDFENLRPFIKLFGVECDALEPLILFRTVEILQSTYKAGNLQITEQLSFLALLMARFRVHPGHLITPGDPSKLSNWDTFKSLNRLILTSLSEMGDGSLVLELIWNNLSNVIAQKPSMHNINGLFRIIVTLDAGTSKLINEDVVKLIAGYLVDASLDLSKTIEVGFQPDKTRLFQYFIKPCTIMFDKNDKVLCSTLEMLKSFVTGDGNLFSSLSNLNTPGELSCRVCVVTTILIFLCNDQKLHRKLSFAKSVIKGILDYIRHQLDSSVPNVTYEEKQKLKFAFEQLKTKALQLNCWDRSELEGLSTTK >Dexi3A01G0031370.1:cds pep primary_assembly:Fonio_CM05836:3A:35833289:35836877:-1 gene:Dexi3A01G0031370 transcript:Dexi3A01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCIIMRASVPTLWWATATPLLLLLLAGAGGGCHGAPLKAHFYRHSCPAAEAVVRDIVLARDAAPNGSLGGYDVIDTAKAVLEAVCPGVVSCADVVALAARDAVSSQFGRDLWDVQLGRRDGLVSRASEALAEIPSPSDNFTTLEANFAAKGLDVKDLVILSGAHTIGVAHCNTFAARLSSSSSVSGAGDPSLNAAYAAQLRARCGPTPSAAASNNATAVPMDPGSPARFDAHYFVNLKLGRGLFASDAALLTDRRAAGMIHRLTRQEHFLEEFRNAVRKMGRVGVLTGERGEIRRNCRAVNS >Dexi2B01G0035060.1:cds pep primary_assembly:Fonio_CM05836:2B:42178861:42180681:-1 gene:Dexi2B01G0035060 transcript:Dexi2B01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLSDEEKRAMRGSKFAPLPPPPSTSRPHPRMAHPGGPLTTNKAAALAKFLERKLQQPEGLDSLNPDLVKLAVKNAKETIKASKGTLLLPFLSCEASTSGRVVRHVSSFEDGSEDSDDEAEVKGIKRKRKIKKVKAHQADEQQSKKKKKKKNKKKKGKGNSI >Dexi2B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:2B:26044138:26048646:1 gene:Dexi2B01G0015940 transcript:Dexi2B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGRYTAALSCIPLATARLDLLAHADAALGGASAGAGQEVGKSCVVATIGGKRVMFDCGMHMGYHDRRHYPDFARALAAWGAPDFTSALACVVITHFFLRTEEALLPYSHLDHIGALPYFTEVCGYHGPIYMTQYPTKALAPFMLEDYRKVTMDQRGEAEQYSYEDILRCMKKVIPLDLKQTVQVDKDLVIRAYYAGHVLGAAMIYAKVGDAAMAYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSKHAREREFLKAVHKCVSGGGKVLIPTFALGRAQELCMLLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKDSHAVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEAFKKWAPSNKNLITLPGYCVAGTVGHKLMSGKPTRIDLDKDTHIDVRCQIHQLAFSPHTDSKGIMDLTEFLSPKHVILVHGEKPQMAFLKERIESELGMPCFYPANNESVSIPTTDNLKMSTTERFITSCAAEQAVEGVILMEKHKAPKILCEDELAQVLGMERHLVQFEALGSSGIEEAVELEFKRAEAADL >Dexi9A01G0024410.1:cds pep primary_assembly:Fonio_CM05836:9A:20635115:20636008:-1 gene:Dexi9A01G0024410 transcript:Dexi9A01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSRFAVTCGLLRQYMREQQQQQQPGSLDMPPLVGAAEDEDAVDGRTMQLFPTQTGTLQPSQERPENKQAMKAPLTIFYEGRVLVLEDFPADKAEELMKLAGSGSSSSTIQSKDATPVVQEKPAAKPPAVLPDLPIARKASLQRFLQKRKQSEPYNKVMTAPPLPEKDIVGSGKPAQDVPAASWLGL >Dexi4B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:4B:20468268:20471114:-1 gene:Dexi4B01G0018070 transcript:Dexi4B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPSFDEKFHIPLIEGLRELNVTVWNSNTLTHDDFIGSGRVYLHKVLTNGYDDSSWSLQTRHMRSAGEVKLIMHVDVSAMRNKMGKSIAASSTHSVPPLPMPAPIPAPAQGPAAPAPAPALASAVPYTGAPPSYPPASAYPAANAYPAYPTPSPPYTTPEYPPPPQQPYPPMPMGYPPPSYPRQPYEQPYGQQPYPPPAAQSPYPPAPYPSTYPPRPY >Dexi4B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:4B:4118075:4119171:-1 gene:Dexi4B01G0005830 transcript:Dexi4B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLTSCSPAVNPNIEKPEDMVKTGVLARFQNFLRKCLIAVISYGPMPNHIAFIMDGNRRYAKCRSIQQGAGHRVGFFALIANLLYCYEMGVKYMTVYAFSIDNFKRDPKEVQSLMQLMEEKINELLEKQSVIDKLNCKVNFWGNLELLCEPVRLAAQKLMASTAGNTGPVLSVCMPYNSTSEIVNAVSEVYAERREMLQREHDGDCNGQAVNNGVHPEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDALWPDFSFRHLVWSVLQYQRAYPYLEQNRNLAKKQL >Dexi5A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:5A:2480669:2480833:1 gene:Dexi5A01G0003360 transcript:Dexi5A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLMGYGLYRPPQQETSPRSTLTPMGVKLKPIKTRISLNV >Dexi9B01G0043000.1:cds pep primary_assembly:Fonio_CM05836:9B:43103212:43106945:-1 gene:Dexi9B01G0043000 transcript:Dexi9B01G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATTVRLLLAFSALLLLPCCGFSTAAAAASSGDVGGGVARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPATPDGPPGDFFPPAPPTVPTGGGGSTTSSSTTTTSPTTVAANVPTGPSGAGDGGHRGGPAKATVIAAGAAAAAAVALLGFACAFLISGRARRRGDSQKLLGPDRGSARHHAAPSAAEFLYVGTVEPTTPGRHHGPTAADLVGSPYRKLRSERARRGVGRDDTTDHPSPELRPLPPLRRAATMGSSDEDAYYTPRQRSRGSGCDGGGGGSGACGETWSEASASSPPTTTTASRRSLPSLTSDCFPPVAAIAAPTPPPARSRRTPSRTRFSAGSTPDIKQVISPSPRSMQPSKPTQAPPPPPPPPPPKPNTVPKPPPPPPPPPKHVSNTIPRPAEPPSGPTSRRRLLKPLPPEGPRIAMPMPITEATSADSNGSTSMRKEDDAIDGLAANGEPRPKLKPLHWDKVRATSDRAMVWDKLKLDEDMIEALFMNNSTPAVAPRDVGRKATGPPFREEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGAELLETLVKMAPTKEEELKLRDYNGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKEGAMIIHSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKVKSVLQLERQCTQGQKFFTAMQSFLKEAENEIEQVKGEEKRALVRVKDITEYFHGDTSKEEAHPLRIFMVVRDFLSTLDHVCKEVGRMQQDRTVIGSARSFRISATTSLPILSLYGQRRENNSDDDSLSS >Dexi1A01G0025020.1:cds pep primary_assembly:Fonio_CM05836:1A:31377097:31378301:1 gene:Dexi1A01G0025020 transcript:Dexi1A01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHTAFGGCFDTAETMAFDALGYGHDSLLGFDAAALFGAGRPTSAVVGANAWASTGSASVLAFDRATSAAPVVEEEEECDAWIDAMDQSYGAPVTAPVAARYAPTASVGFDSATGCFTLKERASSSAGAGRSFGLIFPSTSSSSGGSPEPTPPASASRKCNYVDAEPLAIDAKKPCGAGRKTSKAKAAAAIPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVREALDAILSSASQRGELN >Dexi2B01G0033900.1:cds pep primary_assembly:Fonio_CM05836:2B:41233171:41246326:-1 gene:Dexi2B01G0033900 transcript:Dexi2B01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSANMEQHSQRLLEPDLRMTPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNYTKPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLHVLKLDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVITIYTNFPTTVACFFDNPNSSASMAAPMPVQHLDPTTDAPGMMQVPGGGQLNASTRSFKIVTESPLVVMFLFQLYAKLVHTNIPSLLPLMVKAIAIKGPDKVPPHLKAAFNDFKGSQVKTLSFLTYLLKSNADYIKTFEESICHSIVNLLVTCPPDSVSIRKELLVGLKQVLNTEYKRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVREDISLPQVKLASYIDFGSSMLLIDSWWCPLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYQKGVDQQSMDEARILLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEQPNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIKTLAVGMKTIIWSITHAHWPRPQLTFLSCGEQQQNQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDDDREILQSFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVNSKLEALKQPDSPAAKLVLQLFRFLFIAAAKSPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRNMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHQAVSAVMQGSGMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRGDASDMKVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTRMMNAKIVLTWNKLRTACIELLCTAMAWGDLKAQNHSELRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQTQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYNPSLKKTILNHFLNIFQSKQYGQDHLVVTMQILILPMLAHSFQNGQSWEVVDPSIVKIIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKVLVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKLAQESESQNDMLNPSVIAGDPKRSDVPSFADDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVTTVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLSPSAQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFGNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLHQRLQDLIQKSLAAVTTSQISLELSNANSIINFSLFVLNALSE >Dexi9A01G0046460.1:cds pep primary_assembly:Fonio_CM05836:9A:49884838:49885404:-1 gene:Dexi9A01G0046460 transcript:Dexi9A01G0046460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVISEQRSHQLHRSSGRRKKASPHFSSPQPARGFQAGNCRAFHSCITIGILPPPPAPGVARTRSSPEPKTPKQQLHHGKKRSRAISISPSTSPSTRPELWAGPGFSNSPPPSSLPIPKFSLHQKRNDEEVPVLAKSAPSSPTAGSGFNFFSGNDAAIATENLRRIFHLKIEDH >Dexi9B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:9B:9724149:9729039:-1 gene:Dexi9B01G0014540 transcript:Dexi9B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTHLRAHLLLTILVLLLVAAPFHADAQPASPDPAANDACADPAVEGACHNVPKALRLKLIAIPTILVASVIGVCLPLLSRSVPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFNNLTSPHGRVPERVHDPALGAAMSFHQLFEGMGLGGCILQAEYGLRMKSGLVFFFSTTTPFGIALGLALTKVYRENSPTALIVVGVLNAASAGLLHYMALVELLAADFMGPRLQGSVRLQLFSFLAVLLGAGGMSVMAKWA >Dexi8B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:8B:2387687:2391696:1 gene:Dexi8B01G0003250 transcript:Dexi8B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSRRRGPRNLLDRCFLFLPLPHPFPAFPHPIHHSHHQSTIASTTTAAASPTRGRAAVDPAFHRRAMASFGVDTRPAAAASGGGSGGGGAGTAGEGALSFLSRGLREDLRLIRARAGELETFLNAPVPEPELFTRLRQAYSSSARTRLDLSAIGKAFEAESWRAPKGPARWRWEEEAEEWEPVRMVKARLRELERRSQGQSPNDMLHKVKLSLKSLSFAPEASEFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDRFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPRSMICNVHGVNPKFLEVGERIAAERQSGHQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGIKLDIYGNGEDSHEVQSAARKLNLNLNFHKGRDHADDSIQGYKVFVNPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVAKVKEAMTRDPQPLTAEQRYNLSWEAATQRFMEHSELDKVLNSATEGDGSNTGRRLRKSASLPNMSDVVDGGLAFAHYCLTGNELLRLSTGAVPGTRDYNKQHSLDLRLLPPQVQKPIYGW >Dexi4B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:4B:8339598:8353005:1 gene:Dexi4B01G0010980 transcript:Dexi4B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTHPPLGAMSSAAASVAFVGADELGVELAASFLRSGARVSCFVPEADRSASAALAELSGVVRCASPAEAVQESALVIVLTDADGVDEVFFGLEGIVKGLCAGASVLIRSSLLPSQLEKLEQKIAEEKKDVLLLDGYIFNGLSDELKQQIVVLASGRQDAAERAKQFFNGLDKTIYFAEGEFCTSRIFVELVPKLLSGDPLLIDFLNSSKKNSSYVMDMAKAVTFPLPLLGVAYQQLIHGMLKIFLHVQLIFLLEWICGDTVLMSLMFFSSNWTWICLTTEGMIAVWEVSFGVNIVDAASQQIYDASKLADQLVMESKAAKRIGFIGLGAMGFGMASHLLKLGFYVVAYDVYKPTMARFDNLGGSTKGSPEEVAKDVEILIIMVANEFQADSVLYGNAGAVPVLSAGASIILSSTVSPGFVIHLNRRLEAECRDIKLVDAPVSGGVKRAADGTLTIMASGTDEALHGTGAILSALSEKLYIIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFAAQLNLRTRRVFEIMQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSRESSNSRIPVHVSCIAHQLFISEIYLQAICLPWIPMIKCGDTIKFYMHFIIGSASGWGRYDDAAVVKVYETLTGVKVEGKPSMLSKEDILLSLPSEWPEDPMNEHISVASRSSKKILVVLDDDPTGTQTVHDIEVLTEWPVEALVEQFLKLPTCFFLLTNSRSMTADKEADAAVSVLGEMDAWIICPFFLQGGRYTINDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQGSVCIVNAASEKDMAVFASGMIQAELKGKKFLCRTAASFVSARIGIKPKPPISPNDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCGQSLRVIEVSVEMISMKSAEDRDQEISRVVELANAYIESRKDTLVVTSRQLITGKTPEESLEINCKVSSALVEIVRRIDCKPRYIIAKGGITSSDIATKALEAQHAKVMGQALAGVPLWQLGPESRFPGVPYIVFPGNVGDNSALAKVVKNWASPSRSSTKELLLNAEKGGYAIGAFNVYNIEGVEAVVAAAEAENSPAILQIHPSALKQGGVPLVASCIAAAEQSSVPIIVHYDHGASKSGLLQALEMGFDSVMVDGSHLTLRENILYTKSISSLAHAKGLLVEAELGRLSGSEDGLTVEEYEARFTDVAQAEGFIEETSIDALAVCIGNVHGKYPPSGPNLRFDLLKDLRALTLKKGVSLVLHGASGLPHELVQVRYILSECINLGVRKFNVNTEVRNSYLESLKKPEKDLIQVMASAKEAMKAVVAEKLHLFGSAGKA >Dexi4A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:4A:14999592:15002472:1 gene:Dexi4A01G0013910 transcript:Dexi4A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKQKTVESPAPASAPEAASEPTAPQQLQQQPKPAVPVQPSMPVTRPWPMAIIPSSKSVLEMKSGAPAKKKKHCNCKNSQCLKLYCECFAAGDYCDGCNCKQCGNTVENEKGRLEAINNTKLRNPNAFQPKIENGSITPSVRKDAGAQPSLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELRAIIQGDNSCDRNNMQQAANVALNGAIGSSGYRFSPVRRKRPPEDPNYQRLNVEGSIMQMPFQEANHVDASQIASSTGLDGSTSNFQGKYKLVYR >Dexi5A01G0031480.1:cds pep primary_assembly:Fonio_CM05836:5A:34115298:34121859:1 gene:Dexi5A01G0031480 transcript:Dexi5A01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAGRRKSSSLAGAGVGAGGDAFGGKHAAAASPLRKGGRLPVYVASVFFFLCVIVMYGEDIRSLTVDPLTTRVQPAPTMTVPAAGDASSNGRRGVVVPRRDISSSEKPAAVLHGSDSDQEKPKQQAVATAKEETKHSATSTEPSPVAEKKLEKKADGKSKKKTKKPRRRPRAAKKTVVPAALGVPETCDLSKGRWVFDNTSYPLYKEEECQFLTSQVTCMKNGRRDDTYQKWRWQPNDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSASPPGKKYVSWEGQRIVFHAWHYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADTIAKHAENWVGVDYLVFNTYIWWMNTLNMKVVRPGAQSWEEHDEVVRIEAYRKVLTTWARWVDENVDPERTSVFFMSMSPLHISPQVWGNPDGIRCAKETMPLLDWHGPLWLGMDWDMFHEANNVSRAASPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRILSRPAVQLS >Dexi2A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:2A:30770836:30771362:-1 gene:Dexi2A01G0018580 transcript:Dexi2A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGTKPRHAMTNYLGHAHMYESHGRVERSWQMWRNAAKATVSHGRGLYYAEGAIFAADWQCGLYSNPPKCWTKENEKKCRPAGTPPPPTENRAKKKKTARKKLLLPPLRAKACSATLLACKFGVPGYRPCGGHDAEAEQW >Dexi7A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:7A:21323693:21328104:1 gene:Dexi7A01G0010580 transcript:Dexi7A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVAKARPPKHLVALAVVAVLGLVVVADYLWASSSSGSPSAVWSSRINLPTGPTAQAPPVVKEVTPSPSISRPLLRDARLLSLLRFKRYVLRVGFYLQLYFVYSRLVGEMVSLKTSPFYNFPVQNTKDKKPVGSMDINATFADLPAPELKWEEMAEAPVVRLDGAAIQIKNLLYVFAGYGTINHVMVLQEGEQYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEKEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMTWKELPPMPKPDSHIEFAWVNVNNSLIIAGGTTDKHPITKKMVLVGEVFRFNLDTLEWSVLGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMFRTKLHL >Dexi6A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:6A:27083808:27086280:-1 gene:Dexi6A01G0019460 transcript:Dexi6A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRGLSFIVAALLVVAAMPFPGGEAATPYSGGSSPAATPLRTDYYKFSCPHLEDIVRAEVARKIQETVVTIPATLRQVFHDCMVGGCDATVLIASRNNDAEKDAKDNESLAGDGFDTVNRVKTAVEKWCPGVVSCADIMHLAAREVVFQSKGPYWSVELGRLDGLVSRASDVNGKLPDPDMHVKELMPVFQRNGFSLVDMVALSGAHTVGFAHCTRFLNRLYYYNSTMPTDPSIHPDYAKQLKDACPSNVGATIAVNMDPVTPVQFDNKYYTNLQYKLGLFTSDQVLYTDGSTKPIVDKFAADQKAFFDAFVAAMIKLGRLGVKTGNNGEIRRVCTAFNH >Dexi7A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:7A:30009098:30012778:1 gene:Dexi7A01G0021390 transcript:Dexi7A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHHYPWLNFSLAHHCDLQEEERGAAAELAAIAGAAPPPKLEDFLGGGAVGGGAPVPGAAETTAAAEMYDDSDLKFIAAGFLQSASAAQSPAVSSLDQQADPKLAVLPAAAAAAAPAAPEQRKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGSSTTTNFPVADYEKELEEMKNMTRQEFVASLRRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIISSNLPIGSMSASGGKNAKALESAPSNSQDAMPVEASTAPLFAALPVKYNQQDYLSMLALQHHHQGNLHGLGFGLYSSGVNLDFANSHGTASSMVNCYTNGASHEQQQPHHQQLQDHQQDQAQHSSNSCSTLPLATPVTFSGSYESSMTPGPFGYSYPNVAAFQTPIYGME >DexiUA01G0000510.1:cds pep primary_assembly:Fonio_CM05836:UA:2115218:2115688:1 gene:DexiUA01G0000510 transcript:DexiUA01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSHPMDLLDVVACAATATAASIPFLLRLTDARLDALSARIAKYYSLRRFVAATGEPASGWTRKHDGIIRPHFHYSSGLQAVLIALGACEEVSMFGFGKSTAAKHHYHTDQKRETDVHDYEAEYEFYRDLQTWPEAVPFLDEATGFKVPTVTQYW >Dexi1B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:1B:6238389:6245159:1 gene:Dexi1B01G0007530 transcript:Dexi1B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLLAVAVLCAAFASTASFTDPPDVCVSMRLPSEHVNVVARALFGNGLSRDFVSSRFRHICYCAYFVSVFHITAIGLWGLYRTLESPWQLSGWTFQGGDPCGEGGGRGKWRGVFCKGSSVVTINISGLGVGGWIGPELLTFQSLKKLDMSFNNIAGEIPPTLPPNVEYLNLAANKFEGNIPSSLPWLHSLKYLNFSYNKLSGVVGDVFVNMDSLETMNFSYNKLSGVVGDVFVNMDSLETMDLSFNAFSGDLPRSFSTLMNLRYLYLHHNEFTGSVILLAGLPLSSLNIENNHFSGYVPGTFESIPELRIDGNQFQPGFRYASSSSSRRTHSPPPQSLPPPPPPPPPPPPLPPSPPPPAVKQNPKRRPKSPKPSFGYSSLQVQSNSHHRKSNSRVTAAAVASATFTVFILLVVGLVLKNWKSCSLTRKIISKRANTFPANMEAVPKANEVLYSWSSLLIGSDPSSSNGITSERVPKIKSWPKTSKNLLTAKQFPAADILAATRDFNEECLIGEGFTGRVYRGDFSAGQTLYAFFFREFLFTVCMMRDKPLFTVQLFWTVDIRVKGLGELLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNICALVGYCVEFGHCALLFEYAENGSLDDILLSAATRSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLNKLSHFVSTARMKDSEAITSAKGYAAPELTDPGADGIKADIYSFGVILLVLLTGQKAFDRLYLKFLEQLLSSTIQQSPDLRPPMTIIADKLVKLVESTGLQKTSTTQCLEVDVQDPSFVTTRPYFEPSSTVSQGGTESCIS >Dexi2A01G0030740.1:cds pep primary_assembly:Fonio_CM05836:2A:41571121:41571504:1 gene:Dexi2A01G0030740 transcript:Dexi2A01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFAVTTALIFVLLTFGAEAKECESPSATFIGGKSGGHCAGVVFRRKCMCTESCEEARREIIVV >Dexi7B01G0022150.1:cds pep primary_assembly:Fonio_CM05836:7B:27107376:27107974:-1 gene:Dexi7B01G0022150 transcript:Dexi7B01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGAINNYVAALKDSSSPFDPTESLMFLAHLIGDVHQPLHCGNADDLGSNTIKLHWYGRQSNLHKVWDVNVIETALKEFYNDDQNTMIEAIKLNITARGVV >Dexi5B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:5B:12262346:12265800:-1 gene:Dexi5B01G0015270 transcript:Dexi5B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAARHPLFTILVALCCVLPAIAARDEQEGDRVVFLPGQPRSPAVSQFSGYITVNEHSGRALFYWFFEAQSLPARKPLLLWLNGGWQRRD >Dexi7A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:7A:10652360:10656842:-1 gene:Dexi7A01G0002450 transcript:Dexi7A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAGRGASLLGAATAAAGPAPRPPFPAQRRLLDAVCPSDLWKHFSNSFFKCIYLQNIPAFCSSGYCTLIAASNEVLIPLELLSSQTVWTPERKIGQYEDLVARVTNFHNEDKGYMVLEGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNIVNYIRQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIIERMHTPINR >Dexi5B01G0028230.1:cds pep primary_assembly:Fonio_CM05836:5B:29637180:29637694:1 gene:Dexi5B01G0028230 transcript:Dexi5B01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWLATKSSTGIMGGQPGTGLKKVAAAASVPSSFTSTKHMWWTFETTSGSGGASTISERSAATGSNGSFSPRACSVSVASVPHETVNLGTMNAPMGTLFLMVPELSSRDGLELSRLGRP >Dexi6A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:6A:7656317:7657438:-1 gene:Dexi6A01G0007740 transcript:Dexi6A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQRKKEAAEAQKKEAVSYEEQRRRQVEANKRKLEELQLHHLSAAVREAATAAAKPSPEVPDFGRKVRRTYGSGRKDLENRVYATDEERSYAITKAEELEEELGSAFPIFVKPMTQSHVTGGFWLGLPTPFCRKYLPKHDETITLEDEEGDEFETLYLARKIGLSAGWRGFSIEHELVDGDCLVFQLIERTKFKVSEIQFA >Dexi8B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:8B:19279869:19280706:-1 gene:Dexi8B01G0010720 transcript:Dexi8B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFQTAEEAEAALGRAMTWAEAAWFRYSVSTPDYCLCFINFLILFACYTLTGLPIALLELCAPGKLTMPYKLQPKVRLSPDVFFRCYRNAARDMALLTMGPLLLVPYPALKVTGIRTGLPLPSVWEVAAQLVVYMLMEDYLGYWFHRLQHTKWFYKNIHYVHHEFRAPMVFVAAHAHWSESLVLGFASFVSMVIVPCHITTCWLWFAIRGAAGVEIHCGYMR >Dexi6B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:6B:21540393:21541304:-1 gene:Dexi6B01G0013790 transcript:Dexi6B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHCPRSLHGFSRLSRSCKLLSFSNDDDLPPPLQSSSRQNLRPQAQLPCGQQLIRTPPISLDNLTEAPISLDDVELLLPMFSNEETQALWSLKPMPRASPRLPTSRSVDQPGEKRKSPPLPSCVTSDDEAEVTSPPSSSPPPVKRARSKSRSHRRDAARAIRHQLRKWHGTIAARVLRRQFRAPELSRGGTALGCQCHELAHADGDAPPRGCALHQEEEHRDWLHTARGRVPLVGGPGKVLVPTMSAGNGRATYQRWRRGVRMPSRFYVEHAVKQGVEAKAAAERRRLEGEWIWMMTD >Dexi5B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:5B:6910461:6911243:-1 gene:Dexi5B01G0009890 transcript:Dexi5B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNICNAAALAGRLKKKKVVHIVDYGVGYGFQWPSLLAYMATWDGGPPAVRLTGVDLPRPGFRPSSHTEATGRRLTSFARDLGVPFEFRTVVAEWDTVRAHDLAIDPDEVLVVSSITGLGTTMDEFAGAGDDVDGPSPRDVILGNIREMRPDVFVLCAVNGSHGGPMFVSRFREVPFHYSAVFDMIDGGGAAAAMDEGQRMVVERDLVGRCALNVIACEGIDRVERPETYRQWQTRCQRAGLRQLPLCMEIVERLREKVKK >Dexi9B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:9B:1480755:1482855:-1 gene:Dexi9B01G0002600 transcript:Dexi9B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETNGADPVSGGEPRRRHVLLFPVPYQGHINPMFQLAGVLHARGFAITVFHTHFNAPDPARRHPDYRFVPVLDGMSGVPAPVAIEDVVAHIISIGAACQAAFEDRLAAVLDEYSRDAGVAFLVADAHLLEVFQVASKLPVPTLALRTGSAVSFACFAAYPMLCEKGYLPLDMAVEELPPYRVRDLMHVGRDGHDAMSKMMARAVAAVNLSSGLVLNTLDALERRELEGLRRDLAVPVFDVGPLHKLSPAAGDNSSRLLCQDRSCLEWLDAWPPSSVLYVSFGSLACMTPRDMVETAWGIAGSGVSFIWVVRPGLVRGCAHDADQLPVGFEAATRQRGMVVAWAPQEEVLRHRGVGGFWTHNGWNSTMESVVEGRGKVEAAIRRLMTDRDGVEMRARAGELKKAAAECTGKGGMSCLAIDKMVRHMMSL >Dexi3A01G0032270.1:cds pep primary_assembly:Fonio_CM05836:3A:37019026:37019778:-1 gene:Dexi3A01G0032270 transcript:Dexi3A01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNIPARQLSFVFSNPSVSITFFIFPGRAMNSKHAALRAWQPFSSATANVTATELTSMCLHRISSHTTFNLSSLNLFAAASNPCAMATTVSSSSSSIVSGSASVYMKCNIALNTLGSTPLISTRRRTPPGSFSFDAGVARSSASNTGDRAMSSHPWASKLSSPTWMVTSAPCFFHSRSLDGGTTTRGAAGVSAPPPPPPVSSSSSTFVQSWETVMSHMTVKASSLTHPDLARSFHAMNSLTP >Dexi3A01G0022280.1:cds pep primary_assembly:Fonio_CM05836:3A:17862196:17862423:-1 gene:Dexi3A01G0022280 transcript:Dexi3A01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIQEDGGTVVGGGQGLAAGGAVEICRRAASRRHGTSEWRREGRGLREKGWWAASGGEGPAEIWGASGEEVRCG >Dexi3B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:3B:8549574:8553051:1 gene:Dexi3B01G0012220 transcript:Dexi3B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGEAAMSPPSSGGSGGAKRGRDPEEDVYVDNLHSHKRYLSEVGRRRPVSSPRAPIMASSLNGLSVGDSLTDNIMESPARDEILSQYSPMSEDSDDYRCYDTQLNHSGSQPDAMVSPSTSPMSSPHRHQKPQSPLLPSNPYPLPSCSLSSVVCSHARRGSDSEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHAYDLSFGIRQGQEHVHEHEVEHEHEHEHLEGLEGVERASSCSKSIDYEVGYQRPDHEFRPEHDIDYINNCTSDDCPSDSKFKQEDKTDFLMIALPTVSCASGIVQDVQFKCQDVKSDPLANSNADNPHAAS >Dexi5A01G0035610.1:cds pep primary_assembly:Fonio_CM05836:5A:37373997:37376819:1 gene:Dexi5A01G0035610 transcript:Dexi5A01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTPAHHGGMLPPPPGTTGHPQLARYGSAPGSFLAALADSVITRGDPAPPPPVSRFYSGESSGLTSCDSTSRTDARPLERAYGGSGEIRVPPPPYHHHQQRQQVAPPRPAQGQPPPAISPLFRHSSSPAGLLSRLMADPHGMAPTRGMGSYSQAGTDAAMAHRHRQLSSQWSFSTRQDGLPQISEMGMIPDDIGESIVAGVCHSSSDAGGGGGAAQSSSYLSRNFSVSSWDDTNSIMFSSPSKKAKLDAADDMVTSFSNIDSQFGLSKSSLEMPGMDDYLQLQQDSIACRVRAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKVN >Dexi5A01G0034930.1:cds pep primary_assembly:Fonio_CM05836:5A:36861396:36862721:-1 gene:Dexi5A01G0034930 transcript:Dexi5A01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSYSRCRRSLRDLVGASDAADDLEEGEYVPGRDESYRFQKVAPPPERSRSRSRTPSSSCESEGTISDDDLSAARFACHACGRGFSSRKAEDGHMRVHGNGRQLVASPAVAGGWAATGRRGWIGGKPSVVAVVSPRNNSESTDHSTAFVEVRPLEPIPVAAIATMTNLLPTSVMPTRTNLSGEESTSSASVEPMRYEPVATLTGRPNPSITDAVVHQLPADQQADPVIYQPPAVSSPAPQQAQSIQQQPLAPPPAHHQPRREYSCKLCGKSYTTHQGLGGHAAGHRNRQKEAEAAAAAAGMMMMGVPAADAGEFLAALCRRGGRKKAEATHECQKCHKVFATGVALGGHMRMHYTGPPIVHKKSKKRCLAAAVSDGADDLRLALSTTIKEEAPSVASAGRQAPAPLEVQGSGTAEGSSSVGEQQQ >Dexi9A01G0024330.1:cds pep primary_assembly:Fonio_CM05836:9A:20582881:20583348:-1 gene:Dexi9A01G0024330 transcript:Dexi9A01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPASGATGGGAWPATSRFAAACGALSQYVRAAAEAERGAQHARPRPLPLMPGADVDAAGEDPAQMTIVYGGRVVVLDDVPADKAASLLRLAAAAATEAVSGQGSAAADLPVARKASLQRFMEKRKVRAAARGAPYRRTDETCPEDNLKLAL >Dexi2B01G0021590.1:cds pep primary_assembly:Fonio_CM05836:2B:31347635:31350010:-1 gene:Dexi2B01G0021590 transcript:Dexi2B01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALGSAAAAVLVVVLLGAVAPAVPAEVVTRADFPPGFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHDGCQLPGLD >DexiUA01G0005020.1:cds pep primary_assembly:Fonio_CM05836:UA:9128871:9130295:-1 gene:DexiUA01G0005020 transcript:DexiUA01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPPDDAAMAAEANGHGHDNGHGNGNGPSPAKRPRAVISGPEIRAEFAHHDAAVARVNNGSFGCCPASVLAAQARWQRLFLAQPDAFYFHGLQQGLLRSRAAVAGLVGAGDVSEVSLVDNATTAAAIVLQHAAWSFAEGRFARGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALAVAKEGGRRVRLAVIDHITSMPSVIIPVKELVAICREEGVDKVFVDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPVASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVSEAIDFVNRFEGGIEGIRTRNHEKVIEMGRMLAEAWGTFLGSPPELCGSMVMVGLPGCLGVESDEDAMRVRTMLRKDFHVEVPIYYNSRRVEGQEMAKDKSGDPVAGYVRISHQVYNVREDYERLRDAVNKLVSEGFTSSKLRPSEKVPT >Dexi1A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:1A:5173370:5176269:1 gene:Dexi1A01G0006710 transcript:Dexi1A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYPDHGLSMDAAAAAAAAAAAAAASSPNPSGFSSPGGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNETSDGMGFGPLMLTEGERSLVERVRQELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKR >Dexi8A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:8A:22760555:22768219:1 gene:Dexi8A01G0012880 transcript:Dexi8A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDIRKWFMKTQDKGGGSGAAAAEKEKPVLSIPEKKPAAPSLASCDHEPSARRKTSKYFGSKTEKDSDVEMEDSAVGKSADKGAAKRKLQKCSNELKDDIKPLPAKKMSKNDDDDEDDFVAPSKKKTPVKPPPSKKPKVESNAEAPGRTTGTDDGEEEDRMDEDAKTPSKGAGRGRGRGGRGGAAPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTSYLLADEDIGGVKSNKAKDLGVPFLTEDGLFDLIRKSKPAKAPVDKHQSNGSEKQQKSQTKSSPAKVEKRAEASPAGKSIASKSNAASASADNKKAKNIDRGFMQWTEKYRPKVPNEIVGNQSMVKQLHDWLKGWDAQFLHSGQKGKGKKQADSGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKVLISNATLNYSENRSKHPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLMLNFRKPTKQQMGKRLMEIAKKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRERLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERIDYGMSDPDLVPLIVQENYINYRPNTIGKDESGVKRMNALARAADSIANGDLVNVQIRRYRQWQLSQAACFASSIVPAALMHGNREILEAGERNFNRFGGWLGKYSTTNKNIRLLEDVHSHVLASQQANLDRETLRLDYLTVLLKQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVEISKFKGHPSPMDGIQPAVKSALTKAYKQGSSSRVIRAADLINIPGMKKPLKKRVAAILEPLEGSLPEENGLTSAEADGDDSSDTEDNDELKPGESTPKLDLKSDKKKGIHVQLDIKSNGNGSSRKKAPAARSRAPGSGGKATGGSAGKRKR >Dexi4A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:4A:12886188:12891476:1 gene:Dexi4A01G0013410 transcript:Dexi4A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSISVLLLLASAAAFFSLATPIDTIDQAASISGNQTLVSAGGVFQLGFFSPGDGADGDRKYLGIWYAKVPGPTIVWVANRQSPVINSPGELKLSPDGRLLIIDSRNATIWSSAEPSTSITTLASARLFDDGNFVLSSDGSGSPGSVAWQSFDYPTDTLLPGMKLGVDNKAGITRNITSWSSPTDPSPGPYTFKLVTGGLPQFFLFRGPTTRIYTSGPWNGEMLTGVPYLKSQDFGFTVVSSPDETYYTYSIRNASLLSRFMVDGTSGQLKRFVWSSGAWSNFWYYPSEPCDGYAKCGAFGYCDSTLSQLCSCLPGFVPRSPEQWSLRDTSGGCVRSTNHYQSCIDGGGGGGDGFWVVNQMKLPDATNATVYAGMTLEQCRVVCLNNCSCRAYAAANVHGAVSSGCVIWGVDLLDMRQYSISVQDVYIRLAQSDIDALKTAEDEGKRRLLGWQKRFEIILGIARGLLYLHEDSSGYMSPEYAMDGLFSMKSDVYSLGVIVLEIVTGKKNRGFYDPELDLNLLGYAYMLWKEGRSVELVDNVMDGGFNNSEVLRCIQVALLCVDVQPRNRPTMSSVFMMLSSENATVPVPNEPGVNNGKNTSDTESSSYGFTTNSHSRHLLLGLFFCWRSWGMAARLEEPERWWPCVQLVAGVAAGAWLAGGSGWRRQWRVLAGSAHGKVWSSGDGAARHEGSGGAWATADGAD >Dexi7A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:7A:26814947:26817048:1 gene:Dexi7A01G0017000 transcript:Dexi7A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQEIQLQIRGIPDEEESVHEDGRNGHKAATSRPTSRRSFRWWVTVALDMLMVLCGTTVATLLGRLYYNSGGNSKWMATLTQSGGSPLLLVPLLLTPAPSPEDHRPAASKMWPIYAGLGVLIGFDNLMYSYALQYLPVSTFSLVAATQLGFNAVTSRLINAQRFTALIFNSVVVLTFSAALLGVGSSSDGTSSSDVPRGKYPVGFVLVLAASAVFALILSLFEVTFEKVIRARTLRWVLAMQMHTNLVASVVSVVGLLASGDWRTIHGEMDAFKDGRARYVMTLVGTAVSWQAAAFGAVRLIARVSSLFANVTATLALPLVPVFAVVLFGDRMTGIKVVAMLMAVWGFLSYVYQHYVDARRAGKDECRVCAGAAREGKDAVLPA >Dexi2A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:2A:13829308:13831705:1 gene:Dexi2A01G0011900 transcript:Dexi2A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANCWPRLKTTAVSWIGVRTKSADKPWGKASEDGGEDDTISAMVDAGSGCREAAVVDGEDREVVGGGGAEEVDKGYVSPHFITNHDKAIVEFENARVLLTDQRVNEVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPALGDEKKAILQDIAIMTGADFFASDLGWGLHGITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDVEETTSSYLKERFSARIAKLSRGVGVIKVGAATEAELEDRKLRVEDAKNATFAAISEGITPGGGVTYVHLSKHIPSIMDLVDDPEEKMGVNIVGKALLVPAMTIARNAGADGSAVVEKLLASDWRVGYNAMTDKFEDLVAAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPEIPGIPPLQISQKAKA >Dexi7A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:7A:18665664:18671308:1 gene:Dexi7A01G0007280 transcript:Dexi7A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAATRHPSPIVLAPAPRHRLRLRSRRVDGKPREVSISVASSIMDIPAADWDACACDPAVPEMFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDESGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRNTSYRDQVFDALVKGLKSLTTKMNVSSLHITFPSESEFNKLKDSGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNVRQERKKIPAQSLQMKRLRGDEIKSSHWDAFYKFYRNTTDNHWGRPYLTRDFFHLLGEKMGDNVMLIVAEKDDKLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSDPGFGAAIGNFLAHETAQVKRAIKVLHDSGPYKEDILKEFAPLQNDGM >Dexi6B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:6B:14093844:14098436:1 gene:Dexi6B01G0009610 transcript:Dexi6B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRYMAYSPSPSTGPHSPHLQLADHEKYIAELLAEKQKLAPFMQGAGMFHPPSRNWLSLQGNSSGFVVKKTLRMDIPVDKYPDFNFVGRLLGPRGNSLKRVEANTDCRVLIRGRGSIKDAAKEELMRGKPGYEHLNEPLHLVIEAELPAEIVDIRLMQAREIIDDMLKPVDESMDFFKKQQLRELAMLNGTLRDDSSQKSGSLSPFHNNMGMKRAKTRG >Dexi1B01G0021420.1:cds pep primary_assembly:Fonio_CM05836:1B:27321052:27322097:1 gene:Dexi1B01G0021420 transcript:Dexi1B01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGVLELLLVSAEDLKHAHHRPRRSKRHYVTIECGGKTVSSKITRGRGKKIWWNEKFRFPLSGAECKELAKVTLTIMEIDKFAEDTPVGETKVQVSEIISEGSDREFLQMKPVPYNVVLEDGTYKGVLKLGIKFVSSVRMAPSSTDNRVRWSVPTRQPSGGGYGLFLSFACPNIPWRRLFFFCSRSSDGQSGKKDL >Dexi2B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:2B:5722415:5724707:-1 gene:Dexi2B01G0006140 transcript:Dexi2B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSVGVRSGGGGLLVGDCAGSASAVSAVSGAGERSGRPELLRRGEALPGLLRWEAALSRLLLGFLRMGGESTVSLHVVVFPWLAFGHIIPYLELSEHLAKRGHFVTFISAPRNLAKLRPILLELKPRIRLLPLSLPPVDGLPAGAESTADVPPEKVELLKIAFDGLAAPFAAFLAAACSGEDIITGEEGHGHAKKPDWILLDFAHHWLPPIAGEHEVACAVFFIFPAASVAFMGPKELNDAHPRSSPADFTVPPPWIPSPSCLAFRGHEAEWIAQAWKPNASGVSDLGRTWEVVQRCLSSHEVDGQLVPLLAELYGKPVLPSGLLAPYAAAALASSATGAGDDDDEETVSLMRWLDAQPERSVLYVAFGSEAPLTPEHVAALARGLELAVAGGVRFVWALRKAIGEETPPLPDGFERRVAGRGVVRVGWVPQVRVLAHAAVGGFMMHAGMSSLMESFLFGHPFVMLPLFADQGLTARLMAERRVGLEVPWRGGGGGELAGEDVARTVRRVMVEEEREVFARNAKELQEVLWDTARQERYVDELVEHLRRLR >Dexi1A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:1A:25629566:25630210:-1 gene:Dexi1A01G0018420 transcript:Dexi1A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGEKPSLVPICTASSSCSSRMLSKSPSVPTTTTSPALTASLPAMASETLSLRAPSALSWNGGSSEEKRRCLRRAAVEEKRSFRPQVRDRCRCGVDRNTTLPRRSTAKALSPMYAHSRAASSATASDRITAVDAPGAPDLAVASWARSSSARGQTSAAAAEALAMRPRAKAPASTPDSAYAPTPSATPRTPALAFPKWASWSCSGT >Dexi5B01G0023470.1:cds pep primary_assembly:Fonio_CM05836:5B:25621934:25624657:1 gene:Dexi5B01G0023470 transcript:Dexi5B01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHSHHVLSLVLSLLLLLLLLVLLPAATAVEYSSLCRSPAAAHDTGARVSPLSLPWISTGHFSGSGAGVLNFAPGRYYKHAFTFSPRPSSATATDDPSTTHLSATLTLRGTRLTRRHGARHHSVSFDLQGYYHHSTTNATSELCMVGSGSYAREDGSGVVIISDVVLHLSVPSPSSLSKPFVTGSLEGAEFNHTALVAYAEDDYAYGQSGSCPESSPRGGGAREVLHDHDGWFSCSHLRAVLGTSYSVEYMPIDGSSGGGGFPLRLSHGSMYVNQMRCDTNGAVRAYLVFFANQEDDAFPSTSYHTARRRWRRGFLVGDEALVADGFWDSSRNRLCLKACRVVVRSGESGAEELAVRECGIGVSFWFPAVWSIRDWSVATGMIWNATSNSDGNTSAGVISVSRTAWSYVDRLSGITYNYTRVEEAKKHYDSMSKLGKERTKGSRFPGNYSYRDFAFGFSLKEQGFAGFGYASPVTIGSALVEGQELRADAAFEAQHVNKQRLLNVSYSMQYHAANSPQLRRISAEGVYDTKNGTLCMVACQVIGSVSSDCEVLVTVQFAPMGGVARERAVGTISSLRNQSDPLFFKALEFIGDAGMSVNDRERSSSRMDMESVMLLASTVLSCLFTGLQLRHVKHHPEALPATSVTMLVVLALGNVIPLVLGLQDMYRDSLNLFVKLTSGGALEINEFMQRVTTLLALVLQLRLLQLALSRRSADQAAGKPEDSSSSPPPPSLPSADAERSTLWICLPLYALGAVVVCIGHLSGLVLDGFLLPQVVWNAVADSSPEVRALSPWFYVGGTAIRAAPHAYDAFRKQSYVPSRRVSYLYASPRDDLFGVGWDVAVQCGVALLAALIFLQQRFGGALYSLKRRRSGGYEMVSTPSS >Dexi5B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:5B:7118060:7122663:-1 gene:Dexi5B01G0010180 transcript:Dexi5B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRSSSSSTAAEGRRSSASNNSSGGSPAVERKEIERKRRQHMKSLCAKLASLIPKEHYSSKDTMTQQGSLDEAAAYIKKLKERVDELQQKRSSAQLLAGMRIGAGCGGGGASTPATTTSSGAGSEEAGDEEAMAAPPVVEVRHHHDGSSLDVVLISSVVRPFKLHEVVTVLEEEGAEINNANFSVAGPKIFYTIHCRS >Dexi9B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:9B:1459471:1460919:1 gene:Dexi9B01G0002540 transcript:Dexi9B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGASNFEKEQMFGMAEKEMEYRVDLFNRLTQTCFEKCIEKRYKEAELNMGENSCIDRCVSKYWQLDLEHIIA >DexiUA01G0015610.1:cds pep primary_assembly:Fonio_CM05836:UA:32834942:32839829:-1 gene:DexiUA01G0015610 transcript:DexiUA01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARAAAGVSCSKEHQRIYAEWFVFADPDGDGRVTGADATSFFGMSGLSRADLKQVWAIADSKRQGYLGFAEFVTAMQLVSLAQAGNEITQDSLKREDLSTLNPPVMEGVDELVAKSKAVVKRVHPDDNGTSKVQATSMYHWFGSKSAQKVQVPLSAVTSVTDGLRRLYLEKLKPLEVAYRFNDFGSPLLTSSDFDAKSMVMLLGQYSTGKTTFIKHMLKTSYPGAHVGPEPTTDRFVVVMSGSDGRTVPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPVDPWM >Dexi8A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:8A:24118040:24124516:-1 gene:Dexi8A01G0013800 transcript:Dexi8A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAFHLRHPPRLRFLLLSSSPISTSTTTSSSSSSSPYPWLSVWSRPGQRSRLRAPASALDLRPEPSPSSDSDDEDAVGTSRSSGRSTMSLILHRLRRAGFSPEGPQQAAASPPPHPPRGSVEDVFRADDGVLPNARGGFDPEEEEEEGMLGDARFPWERPMPPPEAAPRASRSPTWLAELTLPAAELRRLRHAAIRMKSRTKVGGAGVTRDIVEKIREKWKTEEVVRVKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVDYDEPEPTKGSNKSMKFSIKGSPSPSLQPTEKVDSAQDSNGALVSKTGKEEIVEQVPEIKYEDEIDKLLDELGPRYSDWPGSDPLPVDADLLPATIPGRSRQLQGLANAMVKLWEKSSIVKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNIDFIVFYRGKDFLSSELQEVLLERERLAKSLQDEEQARLNAASSFSSSSNAYVQPSVAGTLEETLEANSKYGKQLNDGHADKMARTVAAARHADVVRKLEWKLARVSDQVAIILTRDGLRASPLLCLPTPPRPTPHRAVPAGPSAPPGHPAVTLPVPPSSTPATPYAPSPSSLSLARPPSPSMDWIRLRLRHTGAAGPRPWRRRPGMWWWRVSVDATLRPCLESEHSWVPYAIAAVAPLEEAAGRASPELLQFLHGWSFLTAAAVKTRVDLAQKKMEKAERVLGKVETALKPTDGTKSPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKSKSFSDVKRTALSLEAESGGILVSVDKVSKGYAIVVFRGKNYRRPSKLRPGNLLSKRKALARSIELQRHQALSQHYAKLNKKVEKLRAELVQMEGVKEQGDEELYAKLDSAYSSDDEDDMEDEDDDEVYLRRFDDEVAGATAEDAGDVHDEADYPDSEDDESVGDYSDDDEEEEDDDAYGTPDGGFSSEADFGREEYYRFEGGRNPEYGGDLGHETIRGSRADEQQRLATELTNTTNCS >Dexi1B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:1B:26786649:26789317:-1 gene:Dexi1B01G0020760 transcript:Dexi1B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRFRRFPSKVKKGKGEKRREGAEAPASPAAASFPSTGARSNLSEDSSAAARAAAASKSSGSVSVSSARSIPELYEERGADNLREFGFRELRAATSDFSRLLKVGEGGFGSVYKGVVRLPGGPPGGTVVAIKKLNPNGHQGHKQWLAEVHFLGVVEHPNLVKLIGYCATQSERGPQRLLVYEFMSNKTLDDHLFNKAYTVLPWNIRLEIALGAAEGLMYLHEGLEVQVMGTLGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSVERNRPKSEQKLLEWVRQYPVESKQFRKIIDTRLEGNYSKQGTRKIAKLANSCLARQRRDRPTMREVVESLKQAMQHKDLNGVARASGESSPPDEVSGKPTTEDVAVASARRRMLHLAALGENANSIARRKFMLMRAAAAPTPT >Dexi4B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:4B:9842517:9845426:-1 gene:Dexi4B01G0012150 transcript:Dexi4B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLGKLWSFVSFLPFFILLLLLGSVKAVLIGPIAAAIVFFGNSAVIIGLWPAHFIWTYYCVLKTERIGLVLKILTGILLPLPLLLLPILAIVGSLLGGIGYGAFVPLMATFEAVGEGVTDKLAHCFMDGTVGTIAGASTVVCDVIDFCFHSYFSFMDDLIEKMGDDETPLDIKPKYRKADVRKCEINQNKEKYNATAEPAERQHVHHKHRRVLHQSKTFMQTIQRLRPIQIWDWFFRSCELNGRILLSEGLITAGDMEEYIIKGKGKKLSIKLPAWCILQCLIRSAKSDSPGLLICTTSYPDISDNVEVTNFNWPKDKVFDWMLGPLLVIKEQMKKLDISEDEEMCLRKLIMTNKNEKPSDWDDSGFPSDDNIKRGQLQAIIRRLQGIVANMSLVPSFRRRFSNLVKALYLEAIEAGAIDGSREVKRRVKADIASGSGKVGEKGTADVAGSSNDALGNIDMV >Dexi7A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:7A:12445248:12447304:-1 gene:Dexi7A01G0003260 transcript:Dexi7A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDQGAAKAKHLRVLLPFTCDTLRISDELAADIGVEVALVVGPEGGKGCPVEVGEDGDGAFLGRGWPEFAEAYGAGAGWLLVLRHRGRGVLFAKTFDTTCCLRELVEPASPQVQATSSSKASTHKPQFVRVLPKDFMEKMTVPRPFCNAIGLQKHCMIRLQDSMGSNISWQVPGKFYKNGDCQLGSGWKKFCQDIGLKNGDVLTIRVIQTQLWDVIITRS >Dexi4A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:4A:4723398:4728626:-1 gene:Dexi4A01G0006580 transcript:Dexi4A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPNMKTRIVYSRDFLLSFGELEHCKKLPSGFDATLLSELQESSAGVLERNKGYYNTPLVYNIPLGRSDGSGGYTYSSRGGNSGGRWDTRSTGSSDKDGEVPDREPLAQGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYAGQLASKDHGNAHQPNRTLERYQPPRPYKAAPFSRKDIDSMNDETFGSSECSNEDRAEEERKRRASFELMRKEQHKAMQGKKNGPDILKENPSDDIISQLQTSTEKANVKTKNEKLDGSAASSCQEDTTKPSSALLAPAARPLFPPGFANAFVDKKLQSQSSNISLEPKGRSATAEANMSTVARLGGLEVNQSATEFTAVESKEKRISDDIATMGQKHMLPSGGIISSTEFSSGILKGSEDWEADVMDKYSIGKEGKSKNIDPVRKDDSISILEQFFGDALSKSGDNLPTFVESQSLKTDEDMIASSVPESSKFARWFLNEDLKPAEDLSSKSLLSMIVKNENPGPESVINAPTLSDAAVQNLSPRAPIDKFDPASKHLSFTSSTPADGILEQYNRCDIPEAVPVMMTCEDLEQTMLAQVKSNTNSTQRNAIKQHQTVVDEPVAMQKVAVDNHASQHLLSLLQKGTDNKGVSSLGFQIGSADEPQNVDANLMANGVISGSDPITKVDNTPTSGNSLTLEALFGAAFMNELHSKDAPVSIRGPTTGGPNEFAEAGKTLSSSSHEGYYPVEQTLPFNSAKDAAVPKEPGVEYSAVPGGLNLGNANFDKKKGLEIHLPEEDNLFTANDSLPGQNSDILPPARSSRVEGLFPEKAVDDLSYRLQSLVPNDSEHIQVLGPDALGSHHRDQRLQVESQNLYHLLQGRPPMMAHRPMMDHIVNRNQQAPFDMPQSIRHDPHRSFPSNVNPMQHNLHGAGVPHLDPAAHHLMLQCMSMPGSFPPEGLPRGVLPSQPVQHMAGYRPEMGNVNNFHMHPRQPNYGELGLMMPGPSAPEVRGNHPEAFERLIQMEMSARSKQQQVHQQAMAAGPVPGGMYGHELDMKLRYR >Dexi5A01G0030210.1:cds pep primary_assembly:Fonio_CM05836:5A:33219304:33220629:-1 gene:Dexi5A01G0030210 transcript:Dexi5A01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALTLTPLPLSLPSSRSRVRVRVLAVAADQTPPPPPPLSPSEPANSPSRLLRELAQRKKAVSPKKKHPPRRFILKPPLDDERLTRRFLSSPQLSLKALPLLSSCLPSAPLSTADRTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLAFQHLDPSSERTKTRHVRNGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKKVLPKWLKAASLHNLVFPYDDLDKMIRKDREPPSNGV >Dexi8B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:8B:18914755:18915400:-1 gene:Dexi8B01G0010370 transcript:Dexi8B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLREPPAPLHPTRVGHLRATTYVLFACAVPLRRGHQGAITRDPCQPRLEPMMATRLRLHVVDPVADFGVTDPLHRHGRND >Dexi9A01G0047020.1:cds pep primary_assembly:Fonio_CM05836:9A:50232348:50235851:1 gene:Dexi9A01G0047020 transcript:Dexi9A01G0047020.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGSPRTSPRPSPSQIPKALYRSHSAAAPPGPLPAASPIPPGRLAAAAAEMGFVSFVGRVLFVAAFLLSAYQEFNEFGTDGGPAAKALQPKFNVFVKNISAHLGVAVPHIEMKHVIGATIALKGLGGLLFILSSSLGAYLLLLYLAFITPIVHDFYNYDMEKAEFAQIFGKFAQDLALIGALLFFLGMKNSIPKRQAKKKASKAKTN >Dexi1B01G0030200.1:cds pep primary_assembly:Fonio_CM05836:1B:34245013:34246108:1 gene:Dexi1B01G0030200 transcript:Dexi1B01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEAVSRKKGAAASAN >Dexi3A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:3A:9054793:9058833:1 gene:Dexi3A01G0012470 transcript:Dexi3A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAGAVSSLLSVIRSEADLLRGVRVDMRFIREEMESMHGFLAHLSRTAPPAGDHNEQVKAWMNQVRLLAQDCNYSIEIYLYRGDPAIHRTRSGLRRYLGWVSWFLRKLLAQHRVAVQLRELKDRARDIGDRRVRYGVEVPAMSAEEKAAAAAEVGVYATGDDEDELVEADATHHSSPRGVLELHTMEDYVKEKLLEWTDEFPPNASETMSIAIVAPDAGQEVLALAHETLVFSPAFNYQQVGYDRSILVNIPAVHPGFLPLGPKDILYYILHELKHAESHSRSHIDRGEEEEKEEEEEEEEEKEEEEEDDDDEEEEEEEEKNNDSFQDYLTKSHIYHEKKRELREIKESIKKMKFYEKLDKIKSDIQVRQQKSWGEQQLLLSLDLGQNKDVDELDLDVLLLLLLQTPPATVCQQDQVKSKHMHILPKWDDNFIMKTARKLKKHLKGGGGGEEEEEEEEQQSPILNVDQYAHILREVFSDISSSKALKKAQKQDRLKATQAIKTRTTTLDEERIKQMIHATKQEELKGRQERKEPDNNEAKGDLGVPDQITRKIEQIKKGLKEELKIKWIVDKIICHLKGHCPLIVLLIDEAMDQTTWEEIRRVVKLLKCSADIMVFTTTESIQQAKRYCYPPQEPIDYSLVGLYNDMVLKLTSQQKNEGNYDSQVFRNILEECVPHEFCMRIFTHALYANPKRSNEELITLHSTLQASPKSFTILAKKMFMYSYNDLPEEYKSCLLYLAIFPKGQKIKRSTLIGRWVAEKIIFKEDWPSSVSHADRCFDALVHRWLVCPADICPTGKVKSCVVGDLIHKFITPIARKKYFDEKHLSHQLAGHFSIFNDLQLHRYDGIDNFFHRLSGSSRVSVLKVLDLEGCKSFGGSNRRYLKVICSKMLLLKYLSLRGTNITQLPNEINYLRELEVLDIRQTKVPMNATAKVLLLKLKRLLGGHRDPSSSKFNSIEIPRKIWKMVFVEVLSNVKPQNDDDLKEIGKLWQLRKLGVVIDDKNTHLKYLLQTISDLHECLRSLSIATLPEAAPHESTPSSAELPSHISSRLRHYPKILESLSISGTTLKGHLLPVITKGGNDRLAKITLSSTPLNQDDLNILARLPMLQCLRLRNIACTEGMLTFKEDEFKCLKYLLVEGSDLTNINFEDGAAYKLEKIALSFTSAGSVSGVENLPIFQELELRNSFCGRLLSDSFDGAKQIAKLTLSGTLLEPAAIQILAKKPNIRCLVLLGKSFYGSQNHIIFKKDEFVRLDLLVVGCSSITAIVFTSGSAPRLEKIIWSSHTSVSGINKLPRLKELEFKGDFIYIPDEMKEAIKNHKNKPSLKCSGAETQDHAKGFEEEDD >Dexi3B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:3B:2568469:2571097:1 gene:Dexi3B01G0003760 transcript:Dexi3B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPLPAAPAPSSGGSGGAPRGHHRRAHSETFLRFPDADLLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPPPPQATPSPAPAPRPPGGSHTRSLSLDAAFFEGLALQGPASSGGGGGGHKRSGSMDGATSPFEGESALSTGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAANMNGNLFNGGLQQQIPSYFVQQQPQQQQQMSYFGGHQTQHHNQNHHHQSPSNGGQSLSGQSLNDSMDFI >Dexi8A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:8A:10079252:10080466:1 gene:Dexi8A01G0008490 transcript:Dexi8A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLLAAPRGNSERPVFRATMTRSEKTIDFTQAVRRSHERLSMLAARLDAAAGGTSAQTPLQQDGSGGEYDMTFSIGTPPQQLTALADTGSDLVWVKCGPCDQCAPQGSPSYDPTSSSSFSMMPCSGSLCGYLMSQSLASCSAGGDECDYTYYYGLSASSHHYTMGYLGTETFMLGSDTVLDIGFGCTNMSEGGYGTGSGLVGMGRGPLSLVSQLNVGAFSYCLTSDPSKTSPLLFGSGALTGDGVQSTPLISEPGPSFYSVNLQSISIGEVTTPGTGSSGIVFDSGTTLTFLSDPAYTEAQAALQSQTNLPLVEVSDPSQVCFQTSSGSDEVPSMVLHFDGADMALPVENYFLPVGDGVICWIVQRSPSISIVGNIMQRDYHVSYDVENSELSFQPANCDNL >Dexi9B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:9B:995688:995912:1 gene:Dexi9B01G0001730 transcript:Dexi9B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGWASQGSRP >Dexi6A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:6A:7127660:7128396:-1 gene:Dexi6A01G0007170 transcript:Dexi6A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYCWPCRPPVRRNAPEGHQAVGARNQAEQASRGRLGGVPDADHLSALPDAPLLYIMSFPKAWEVVRTCVLSRRWRHLWKSVPCIDIRLRTGDYIDMPQGFTDFVHHLFCRRDASAKLDTLHLRSSDLGCAHNEGDARACALTSVNVGPPRNAIKQGARVIHFVGHRNGDWFSGMSPARLGNASFVSCHLKDLEAVLCPGR >Dexi9B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:9B:6453709:6454320:1 gene:Dexi9B01G0010370 transcript:Dexi9B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLASLRYGDSLSVVAISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSSSGASASSGAAGGSSAPPASSRAKKMDRVETSLKDASRELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAASAGGGLFPMPDPKVN >Dexi2A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:2A:6871637:6873194:1 gene:Dexi2A01G0007120 transcript:Dexi2A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRELFWLSRRRSPATRACWPPGTRAPATAVGTVTCGKRHRQRVVVLDLGSQGLAGTISPAIGNLTFRLSLSLSNNTLHGEIPPSIGSLRHLVIIDLSSNNLTGAIPAEIGNMMSLSEINLIGNSITGTIPSSLGNLSQLAALELASNYLEGSIPERIGSNPKIQILDISSNNLSGLLSPFLYNLSSSLCALYVYDNKLSGHIPSSIGNLANLQDLDFSNNLLTGSIPESIGNLAQLSHLFLRSNSLSGRVPSSIGNLANLTALDFSNNLLTGRQ >Dexi9A01G0027440.1:cds pep primary_assembly:Fonio_CM05836:9A:32090097:32090372:1 gene:Dexi9A01G0027440 transcript:Dexi9A01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATKQKSKTANPTTASRVTTAYLQLPRQWNIAILMKITLSMIQITHSDVNATTMMAAETRRRHHRPLAAGSSLIFSLPRMRMAPVAKKA >Dexi9B01G0044380.1:cds pep primary_assembly:Fonio_CM05836:9B:44156611:44157531:1 gene:Dexi9B01G0044380 transcript:Dexi9B01G0044380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADLIPSAPARGNGSKGRKKGAPAAPRDEDFEASFQDFDSDSDEDVVTLVPPERKVLASGAAAGPRRRRRPTTSQYHGVRRRPWGKWAAEVRDPVRGVRVWLGTFATAEAAALAYDGAARDLRGAGAKLNFPSPSAATRKRRRAAGPKATPYVDLVEVVLGARAPSSVKNEDAETTSSDAISGDDSGCSALPDFSWQGMSAMVDDDDGATRPVDFNNHVELDDGPTKRARTETQEAESEPAASEESGDMLLDALMFGDQLSSFFDGGAYESLDGMFGGDASLSNEGVGLWSFDDTAMCYY >Dexi6A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:6A:5294116:5302914:-1 gene:Dexi6A01G0005760 transcript:Dexi6A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGFSATPNPNKSFEILPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASISHDHPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQTVAMHDAPVKETAWIPQMNLLVSGSWDKTLRYWDTRQANPVHIQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVMLNYLHVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDVFSVNSLNFHPVRFLSPYSFVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPAAAKTSIYLHSPQESEVKGKPRIATGRK >Dexi7B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:7B:19205872:19210958:1 gene:Dexi7B01G0012420 transcript:Dexi7B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGPGPGPVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVGNDVGENVGLLPGVLANNLPPWLILVIGSACAFFGFGTLWLAVTKTVAMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQISSVVLGVYLMVATILGDTLNLSQAVTYLLFGIMILLLLAPLAIPIKMTIYPNKQTKEKPSNLAPSYSTDSLSGADPENSEPLLGSASTTLATGTNESDESTDLDVLLAEGVTVLNNLAQIGMAVGANDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLDPSDCYGPDCFRVTFYVCAIVCCCGTLLSVLFIARIKPVYQMLYASGSFRHPRSQQQLH >Dexi6A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:6A:3852959:3860370:1 gene:Dexi6A01G0004180 transcript:Dexi6A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTPGILRMNDDKFTFTPNDPRSAMKLNVDFRSIKGHKFNKVDGNKPALLNLSKDSDKGGGYMFEFDNVGNRDLCRDFVAKVLGKHQGIPPRPTMPPEISGSSTGLEQLSAAEVERRVKLLREDSELQKLHKKFVLGNILLESEFWATRKNLLDDEANKASKQRPGFKTAMSDVRPSADGRTNKVTFNLTSEMIHQIFAEKPAVRRAFLDFVPKKARLIYIINISACIMTDIEFWRKYCRAEYLLRTKNTVAATAEAAEDEELAVFLKNDDILAKEAKLKIKRVDPTLDMAADAGDDYIHLPDHGILRDGSKETVDTDSEWAQRTLSQELNRHAAVVLEGITSDVELTDAKTVAEALTRSKKEPPSTSTVDDDSHERLVKVARMTEIEDLQAPRSVPYAPLSIKHWTAIQELLRHFWSSYPITSAVLYNKVQRVKDAMTQIYQKLQAIKESAQPDVRHEISRLVKPMTQALDAAFSHDLEQQQKSAKAGNKPNGY >Dexi6A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:6A:26234848:26235436:1 gene:Dexi6A01G0018500 transcript:Dexi6A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVLHCPAETAELVGELAAEHPDAAGEVVPFPAELVFLPPELVLLPPERVLLPPDLVLLLPKLVLLPPPVELVHLPTPAELVVILAEHVVLPAAAELAVPPRWKEVEEGSSWLVGKLGEEELLLSPGAACRPSERRGEVPEGELEAAMAAALCGGGGCDRAIVRSSTTEPGGPAGEQGGARRRRGEREEQP >Dexi7B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:7B:21798711:21799670:-1 gene:Dexi7B01G0015750 transcript:Dexi7B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKECAGDGWCWLRSRLADGTPRSGGFMSAACAASVVGRRPKVEVALLLLPLLLVRDLAPGDDRSEASAPAEAAAPPENPSGSEPGNPKPAALPRSWWWCWRGGSISPGAAMIQLRNVCSWPAAAAASPSMASQPSSPTSPTSRVPGIGGSSPPAHSSFLAPPPPPPPPPCSPGAAHLVASAAAALFSEIAATPEDESPAAPPRDEDSVDGGLGLRLQSSTDVGSSSSRSPPPRPLPPPPAPAFPSGQISASIVPAPFPSAVKGAALIYLLPHARARAPQPARSGGGAALACGREGGAFC >Dexi6B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:6B:16652022:16658138:-1 gene:Dexi6B01G0010280 transcript:Dexi6B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGAASGAAAAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKVDLRDKGALETVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRSDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVKAFEKASGKKIPLIFGARRPGDAEILFSVTAKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHQTNGSTDSPRQNGHHTNGSIGSPKRNGHYAYGSSDSPRRNGHYTYGSSDSRQNGNGRLH >Dexi5A01G0021940.1:cds pep primary_assembly:Fonio_CM05836:5A:25791612:25794110:1 gene:Dexi5A01G0021940 transcript:Dexi5A01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLLLLVCALAIATTTTLSAADTATAIAQNQRSWAELSGRDNWEGLLDPLDGELRRTIIRYGELAQATSDAFIADPASPFAGASRFAPGPSFLRRAQAGGDNPDAYRVTRFLYATSGARIPDGVVARPPPPGAWSLESNWMGYVAVATDAGAARLGRRDVVVAWRGTKRAVEWANDLDITLVPADGVVGPGNGWSQPSVHRGFLSVYTSSNSTSRFNKLSAREQALAEIKRLLDTYKGENCSITITGHSLGAALSTLTAIDIVSNGFNVIQGSTTSSNVTVPVAAIVFGSPRVGDDQFKKAFDSNPRGAKLLRVRNAPDIVPTILPGGFYKDVGVELLMDTRKSPYLKQPGPGPAAWHNLECYLHGVAGTQGAGDGDGFALQVDRDLALVNKDVDALDDEYPVPAGWWVEANKGMVKDAATGRWVLQDHEEGNLAM >Dexi6B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:6B:24231993:24233452:1 gene:Dexi6B01G0017020 transcript:Dexi6B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAATKPAAIFIAKHHPVPKPRARAAAAAAAGPCSCSERAKKSRSDRAPWRIAVASSDAEADGEDDAEVVQAAPEKARGRRARLSARRRESVRLPDGVTGGDVGEFLRHPDAVVSLLNTGALESFSPAVGSAEPGTFTCALRRIGFLGFEVAPVLDLRVAPTSTDCTIEMLSCRFEGSESIEQQNELFSAFMSNRITWSDNGDEPCLDIDVSLEVTLEVYTKPFSMLPLSAVEKPGNLLMQGLLDRLVPMLGEQLLRDYNSWVQQHPEAS >Dexi4B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:4B:8891984:8895373:1 gene:Dexi4B01G0011450 transcript:Dexi4B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPLPPPTLLLLLLLLLSSPSTLMALLSPQGVNYEVQALMTIKNLLKDPRGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLEAPSQSLSGMLSPSIGNLTNLQTVLLQNNNITGPIPAEIGKLAKLKTLDLSSNHLTDQHMENVSLGNVKRYQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFKTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKGKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANVLLDDHCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTAIEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLRGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFSFSRCYSDLTDDSSLLVQAVELSGPR >Dexi9B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:9B:10472207:10474035:1 gene:Dexi9B01G0015390 transcript:Dexi9B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLARAPPPPVAATNYSATSERALRPGRLPSSRAVVAMAAPAAKSASSRGGQKRKQVASVANPLVKHCVKLRLSAAYRRSCRRLLLVGLAPILEMCRFELDAIDHLLLLDGVEIPEVLREFSGDVVFVSAAVMKKVSGMQSVDSTEAIAVMHMPRHFLDLGSHEDEDALHGLFNHPKRILVLDGIQADYSVLTLQDPGNLGTLIRSACAFKWDGVFLLPACCDPFNEKALRAARGASLQLPIVSGAWHDLHELMTKYNMKMMAGHPESSSDASKIHSLSKDLADSLLNESLCLVLGSEGNGLSSETLQACELVNIPMEGTFESLNVSVAGGIFLFMLQPKHKIDSRTLTP >Dexi6B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:6B:24347102:24349242:1 gene:Dexi6B01G0017160 transcript:Dexi6B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRNMKSNPENPMISPTENTVSPPSRKKQKTLSDKDGDRCDDASYEVVIYENDKSVMRQYEQSESHFILEPSKPSKRSKRTTVKKIGAFAVQCAKCRKWRLISTKEKYEEIRERIREDPFVCEKAREWKPDVTCNDPSDVSQDGSKLWAIDKPDIAQAPQGWERLIKIRGVGSTRFADVYYRSPSGTQLRSTNEVEKYLAEHPEYIAQGVELSHFSFKSPAPLQKDYVRKRSQTSQSGVTQTGSSKPLQLYITQIEHPTLMFSMQPISWVPPPENNKQLVIYSGDKPEVVQPEPELTKPESRPPAPAAV >Dexi2A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:2A:8938378:8939028:1 gene:Dexi2A01G0008850 transcript:Dexi2A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFDDCFDDCRCCYNSCKDLWWCILCLTILFFIILIVILVAAFGFVRHADITVDDASLTHLALNTTTTPTTLAYNLTLALTIRNLNWAMAMTNTKPLDATYSFDGQMFDRVRLAGEGDEHPAGKTRVYRLVSGSGGAAVMLGNAGEVEFRKENATGVFEVEVMVKGEVKYTARLKKCVIEATCPLKLQLAPPGQAAEAVVFQKVKCKLAKAEKGC >Dexi7B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:7B:18718634:18720730:1 gene:Dexi7B01G0011710 transcript:Dexi7B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSLLVQCFPGLLPSKAASCVPIVTEKDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASVKYDGTLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDISAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWDELPTILSVVQPPAAPTNLSFSEDDFMDGCSSHDGSSIVGHDYCPRRSRKLSGSRAWERASETDQADGGYDVILISDVPYAASSLKKLYALISKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELSDREIWKFFFK >Dexi5A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:5A:2951553:2953109:-1 gene:Dexi5A01G0003930 transcript:Dexi5A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSWLTGFLGRYPELMVSFACFLLLFHRLNRRDGLPTNWPVIGAIPAITVNAGRVHEWVTEFLRAAGLSYVIKGPWGSPVDVIVTADPANVAHVFTANFGNYPKGKEFAALFDVLGDGIFNADGASWAFQRRKAHALLSDARFRAAVAEATAGKLRRGLAPLLDGLAASGAAVDLQDVFVRLTFDLTAMFVFGIDPGCLAADFPFVPFADAMDTIEEVLFYRHVTPVPWLKLQKYLKIGHNKRMKKARRVLDESIAELISLRRARAAAAVDQSTGEADLLTSYLACQEEVGKSGAEFDKFLRDTTFNLMVAGRDTTSSALTWFFWLVTKHPDVEAKILDELRSHPPSSSSSGTGAGDDDHYHTAVELKQLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGAAVGPTRRVIVSFYSMGRMEAVWGKDCLEFRPERWLTPAGRLRHEPSCKFVAFNVGPRTCLGRDLAFAQMKAVVAAVLPRFRVEVDAGVVVRPKLSIILHMKDGLKVRVHKRE >Dexi8A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:8A:2606705:2608173:1 gene:Dexi8A01G0003520 transcript:Dexi8A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDPLSPYLFLLVADMLQQLVKQDGRIKHPAASSMACPVLQYADDTLIVLAADTEGVQALKDLLNCFSAATGLGIKYHKSVMVPMHTTAENVEALQAILGCQLGGFPQTYLGLPLSNEKLRLSAFTPLIASQLTYAMSVLRFPPGAIDALDHRQRRFLWSGEDSVSACLSTKVDLPIYRALAVCEVFDGTATSFWLDRWCTAGRFADLFPLLFTHVVDEEASVAAVVQNGLANQLVPTLTRAAREEMTQAQELLEQITLRAGSDQRHCPLEAEGRNIRSGAVYKHLMAVTGAPQCSFSKFVWGNRVPPRVQFFAWLLVQDRIQCRSNLFRKGIVEDVACAICGGNEDCDHVLLSCPFATQVWRGLGAGTEGVSAMTIWAVVRPTTIASKHYDCFLLLVCWMLWKHRNGVVFNSESPSLARFWRSCPFVGTPSAAAGQGGG >Dexi5A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:5A:4487333:4490996:1 gene:Dexi5A01G0006030 transcript:Dexi5A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMSFLPRTIFTMIAIAFWGQAHCNGDEVGTLLAFKAELTGHGTVVLPSWNASTGLCNWEGVGCSSGHVVALTLPSYGPTAASLRPSETSRLRVLALKENNLSGVLPHSLYNLSLLKDFEVQENMLSGTIAADIGDRFPSIEILSFANNQFSGSIPASLSNISSLTMLGLHANSFSGYVPHDLGRLQGLIYLSLNDNKLEANDTHGWEFMTSLSNCSQLQFLVLRKNSYSGQLPSSIENLSSTLQALYLGDNRISGVIPSNIGNLVGLKTLEMANTSVPGVIPESIGQLRNLVELGIYNTSLSGLIPSSLGNLTSLNRLYAYYNNLEGPVPTSFGKLNNLDVLDLSTNQLNEYGEGSAVSIHGDAYSLGILLLEMFTGRSPTDDMSKGSLDLHKFAKDALPYRAMEVADPIISLHEGTQDRDAHNTALLRRTEACLSSAIGLGVSCSKKQPRERMLVQDAAMQIRAIRDAYRNVAIAMPVMGSGGGRRTVARAGHGWRCLGADL >Dexi4A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:4A:17375085:17376307:-1 gene:Dexi4A01G0014890 transcript:Dexi4A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPEMGTSVARSFAVLALLCLLVPCHGKLSTKFYAKSCPSVATIVRSVMAQAVAKEPRMGASIIRLFFHDCFVNGCDASILLDDTPTFTGEKNAGANVNSVRGYEVIDAIKTQVEAACTGIVSCADIVALASRDAVNLLGGPTWNVQLGRKDSRTASQSAANANLPGPGSSAASLVSAFAAKGLSARDMTALSGAHTVGRARCVFFRGRIYGETNINATFAAARQRTCPQQSGGAGDGNLAPFDDQTPDAFDNAYYRNLVAQRGLLHSDQELFNGGPVDALVRKYSGNAGMFATDFAKAMVRMGGLMPAAGTPTEVRLNCRKVN >Dexi2B01G0032120.1:cds pep primary_assembly:Fonio_CM05836:2B:40067996:40068199:1 gene:Dexi2B01G0032120 transcript:Dexi2B01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSLLVLVAALLLFLISPVVVLASGREQRLRIRVYVHEQFSGPSPLGANSTFGEVGMVDDVLRE >Dexi7B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:7B:5413310:5420178:1 gene:Dexi7B01G0002780 transcript:Dexi7B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSNDQGDALGLCSYIEKKRMLANNSLADIEEGVKVTRKKTRLGWGEGLAKYEKQLKDQNAQNLVGDGDNGDTGSIIMTNNKAIVCTATASSHGPSPSPGENSSMSLAGTVIDHPPEVSNLPRKHIPPPGDIDHPRNMNTSCTEKVVCPEAPASSRNPLPDVGGNSVPCNSSSRMAQMVVSDLAASEGCDLSPAGGRNSYLSNNSMDMMEAMARPASSHEYDLPSGAAGVHGNNSLSLTETVVGPADVPPPSSACDSPAAVGDNGGLGNASTSLTATLVNANNVCEGCNQPPDHGDVAGESNRQCTSERMSIPDICHQKIPNGTTEGDQISSGEEAPDLGSASEECSAAAEHSRNDTVTNKNINDDSNAPYDMENPWSGNNPCSATNGSEFSGHHEFELPPEDSEALKITSKENDDKAKEDEKNPHHCIASEEGSRIGLDCIVNSHKEKEVIDISSPEM >Dexi5A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:5A:5576194:5576916:1 gene:Dexi5A01G0007500 transcript:Dexi5A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLCFLVASAAVWVALSVPVMMPAAAAHGQAGKHCPPTACGNVNISFPFGLAPEDGALKNSCGRIGFQVRCRNNSAPYLGVFQSECDMQILRIFYDNGSLLIAKTSKAGFFNTSGNNSCLIPTANTSAELGPPFSIIPMNQKLIFYNCTNPVSHPDEGLVETTCRNNTYVRVAARRSDNKLMSGYFLDGCDAAVVPVLGRPGNMTNASSYEELLRDGFLVTWQQRPSPSGNFNLVVV >Dexi1A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:1A:27932635:27932946:-1 gene:Dexi1A01G0021100 transcript:Dexi1A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRKKKTDDDAAFLEDLKDHIDEFIHASMPEEEHSEERSALQEKEAEVESALPLQTSVSQ >Dexi8B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:8B:21108387:21109229:-1 gene:Dexi8B01G0011840 transcript:Dexi8B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSSAILVSLGFTLLVCSASVVAAETHVVGDSKGWGFSVSYDSWSSGKTFAAGDTLVFNYQAGVHNAVSVSSSEYRSCKVRSASDAAATASGTARFDLKKGVNYFICGVPGHCAAGMKIRVVAN >Dexi3A01G0036710.1:cds pep primary_assembly:Fonio_CM05836:3A:42266423:42268801:1 gene:Dexi3A01G0036710 transcript:Dexi3A01G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLIPQSLHAAAVDRRWLLPLALGSALSLLLLVALTTFPLPFPASSSSPSPSLFVEHKLAPTPPSPAAGLPRIAFLISGSAGDASALRRVLLALYHPRNRYILHLDAEAPDSDRRDLAAGLAAHPVIAAAANVRVVDRANLITYRGPTMVANTLHAAAAFLWGHAGAGGSDWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPFVEYCIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHAGDPVLDTIDKEILSRSVDMPTPGGWCAGTRENGSDPCSVIGNTSHLEPGRGAVRLQRLITLLLSEEKFHPRQCK >Dexi7B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:7B:20549306:20551753:1 gene:Dexi7B01G0014220 transcript:Dexi7B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDILGPRGSERVVCLIESRIEFSSPACSPDSRSQPEAAMVDRFFPNDMPGYVEEAASPAPSADLSSSSSLHTLLSLPYPALADRFLNAALNLKQKATDYIPPLPRLHTATWEKQRPAAGDFTLYTGALGTALLLFKAYLVTGDRADLATCAEIVAACDAASAPAGEEFVTFICGRAGICALGAVVAKHAGDEATVARYLSSFKQIKISSKFPDELLYGKAGYLWACSFLNKHLGENTIHPTTTAVAVREIIKDGRKLSTKSCPLMYKWYGEKYWGAAHGLAGIMHVLLDMHLTENDKEYVKGTLRYMIQNRFPSGNYPCTEGDNYDCLVHWCHGAPGVSLSLAKASQVFPEEGFLEAAADAAEVVWNWGLLKRVGICHGISGNAYTFLSLYRLTKKKEYLYRAKAFACFLLDRAIKLIAEGIMHGGDEPYSLFEGQAGMAYLFLDMVNPLESGFPAYEL >Dexi2B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:2B:5717739:5719369:-1 gene:Dexi2B01G0006130 transcript:Dexi2B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVTTPGNAAKLLGAAAAPPHLRVATLALPEVDGLPGGAEATADVPPEKVGLLKKAFDGLAAPFADLVAESCAGDGDGDAGFSRKPDFIIHDFAHNWLWPIAEEHQISCAVYLIMPAALLAFFGSKEKNEAHPRSTVEDYMVPPPWIDFPTTISHRRHEAKAIAAAFRPNDAGVSDIDRFWEMQERPSCRLILQRSCPELEPRVFPLLTDMFAMPFVASGLLLPDEVAAVDEDDDKVDVVRWLDKQPRRSVVYVALGSEAPVMASHVRELAVGLELSGARFLWALRRPAGEDAGDVLPDGFEARVAGRGVVATGWVPQVRVLGHGAVGAFVTHCGWGSTVESLFRFGLPLVMLPFVANQGLIARAMAARGVGVEVPREDDDEGMFRGEDVAAAVRKVMEEEEGREMARKAMELKEVLGDRGKQEQYVDELVDYLQRYK >Dexi3B01G0024420.1:cds pep primary_assembly:Fonio_CM05836:3B:19056233:19061223:-1 gene:Dexi3B01G0024420 transcript:Dexi3B01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKKFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIYKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTRVTTRELIAKYGLSDDTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKASTPYIHIVKGLIGHYGAKYVFCCSYTHNVAPRGKFIAFVSAEAETDNPQAELKPGLDLLGSVDEIFYDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKVTHISSLFFY >Dexi2A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:2A:138127:138671:-1 gene:Dexi2A01G0000220 transcript:Dexi2A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGVLAALLCMLLVFAIFPLLLWRRRSDAATAADNHRLPPQPLQEDQVLHGRAEARRMRRRPGAAGAASSSAASTSRDVPEEDAEDDDEEVADVPRSSKKKEKKRQEREAQRQLHEQM >Dexi1B01G0019120.1:cds pep primary_assembly:Fonio_CM05836:1B:25249052:25251077:-1 gene:Dexi1B01G0019120 transcript:Dexi1B01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWCLSAPPAAPPVAPAPGVSASASVGVDALGRAVVPVGRRRRWSALVVRAAPDEEKITRRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQPPPEEPQEDDPDKEEPEEDDPDKPTE >Dexi6B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:6B:19857639:19857914:1 gene:Dexi6B01G0012260 transcript:Dexi6B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETERRQMAEEGARGVLKVEQDDQPEVLPVHSQVRRIKREHEAARELLLRLQLLEMRPATGGFRREPTSRRASPSPLRRAGGGQAISVGD >DexiUA01G0007160.1:cds pep primary_assembly:Fonio_CM05836:UA:13672769:13673224:-1 gene:DexiUA01G0007160 transcript:DexiUA01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELELSLTCDTALSRSDGLAATCAVAAGACPLLKHLWLNKYRFQWQSNVGDGEAIEVAKMHGLRSLQLFGNSLGNAGLAAILDGCVSLESLDIRHCFYVEMNDEMRGKCARLKTLKLPEYSMDGYELSFGCPEMDPGSPDRIFPI >Dexi7A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:7A:25267715:25268907:1 gene:Dexi7A01G0015360 transcript:Dexi7A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRRNKATASQNMAEAPNGNDDAKRTGLEGTRLPLQGSSPGNIRSASSDQQLKQMLDSLKSSKSPAVINYGASWCRVCSQILPSFCKFSNEFKNLTFIYADIDECPETTQSIRYTPTFHFYRDGERVDEMLGTGEERLHDRLWLHS >Dexi8A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:8A:4200580:4201662:1 gene:Dexi8A01G0004770 transcript:Dexi8A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTSNCGMPETNAAGKLDMFRSGSGQWDVRHVGIWSSTTKDGEVQEMPTRWNVYNVVVVPVGDRTLCWAELSCGIIFCDVLEDSPLLQYIPLPAVPCFGNPPSHRNVRATADGTLKFVNIFPRCCCGGAGVSSCQHSKSAYTIQTWTLRMDDMEWVMDAMVDATELWALESYNGLPRVLLDYPIMSIEEPHVICFMLCEGHHVKHGGGDRTLWQVMVDTRSKTIQSVSRHPRVEWFHGDDLMIPSSVSYYFNCHPMPKSEGQISHLMDIEKQKDDDSGNSMMRSSYKSSVEPFVQLSEILAALQEIPNYGLCRDDTLKAYSILSHDDGQQFRSLLGLPSTLRKDWFLMEIKKAGDADR >Dexi7B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:7B:12943727:12950189:1 gene:Dexi7B01G0005490 transcript:Dexi7B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGPALVAIAMMFVIRPVGGHKQARPSDKKSFMFVYTICLLLASYLAVVQLAQDFLHLSDNVINILTVILFVLLISPVTIPVALTITSKEDISVEESLLSEPLTGEGSTSQEEEGQPRSTSSEVEEKSKDMDLLPPYERRNMIPRLGENFTMMQALVKADFWLIWISFWLGSGSGLTVMDNLGQMSQAVGFKDVHMFVSLTSIWNFLGRVGGGYFSEIIVSRLRSPLQPAAAPRLRHSPTRTDARPSRGLAARAPSNATSPAPPHAASPTTGNRHRGTGEETKKTLAAAGLPKQAPSGDSPSPRIRKPAGPGEPRRRNLSSSPAQLGPDLIGFRSSLLPVGVGYVGDHRRGSRAVVTCHGFFVDNVRREKCPRAILKNGSVGSLEADGSRESTLCVSVPSETPSCSGVLSVSGQKIVQGIDGKPRASVQTKKEGKLWRRLGAGKKLERCRAPKHGPVKDKHGRKSVVKDDVNAILSCITQESSIEECNSALIHLEKHSDEKALNFFDWMRANGKLKRNADAYHLALQAIAWKENWKMAELLLHEMVADSDCTLDARAFNGLIYVCAKRRLDDWGTKWFRMMLDRQVQPNVSTIGLFAKSEDAILLMNNDVVVPNMENWLVRLNVYCQQGKMEEAELVLQSMVDEGFTLNIVAYNTLITGYGKSTDTKNAKRVFDSLSTAGLAPDETTYRSMVEGFGRADRYEEAISYYRKLKSAGFRPNASNFYTIINLLARHDDNEGAAEILEDMRAAGCQCSSIVTVLVRAYGTVGRMHKVIP >Dexi9B01G0046590.1:cds pep primary_assembly:Fonio_CM05836:9B:45826325:45827187:-1 gene:Dexi9B01G0046590 transcript:Dexi9B01G0046590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADADPGQYGCVHYRRRCKIRAPCCGEVFDCRRCHNEAKDSLEVSVHDRHVVPRHEIKLF >Dexi4A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:4A:21150552:21153215:1 gene:Dexi4A01G0017430 transcript:Dexi4A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYYSHFPLSNPSSAAAASFSTTTTSYTAAAAASSFLHHPPPPSPPIREALPLLSTLTPSSSSSATNHHHLDDDDVFVRDHNKLIATSCSGEQQDAAAGEVTVALHIGLPSPSPSDSAAGSGGREPAEDGSSGGGLQLHDHDHDHEGGGGEEEEEGEEAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCASGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDCFDDLEDDDRDPSSEVDHATGNGTTTTSAAATATNSSGGNNTNVVSAATAGIHHHWKPPPPSRGSGGGGDRRL >Dexi1B01G0025930.1:cds pep primary_assembly:Fonio_CM05836:1B:30901987:30905136:-1 gene:Dexi1B01G0025930 transcript:Dexi1B01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSKLLVIHILLKSLTSQEGVGRAERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCMLDLDAIYAREEAALRQAEIDAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVRSPYMPENVSGGTAAANERVKKVIDFERKRLHARVPGLS >Dexi6B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:6B:19514771:19516013:1 gene:Dexi6B01G0011930 transcript:Dexi6B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEAVLEVLPNPPQPSREPAGALPAVPVPKLLQYLYLASTWVACAGVASGTVARRVLGDGSPVVDALIKVCIGALAFPVLLVLVVTLRLLRAMCAAGFRDSLRTVATEIQIHSRKMFGALTWKVLRSPAVLVLLTSLFFTLLVGAGVLVLGGLLPVKESQRARIGCALFDTGVLGTMAMACFVIIPSFAVKLWRSK >Dexi7B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:7B:25676867:25678366:1 gene:Dexi7B01G0020330 transcript:Dexi7B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCAKPSKKVHIILFPFFGTSHIRPFTDLAVNLVAARPNDIRATVVVTPANVTVVESALSSWRSSSGGGGPYAHAAAVDVAIATYAFPAVEAGLPPGVENMSDARQGTDEESRIVAAACDEAVMRPPQERLVRELSPDVVVTDLHFFWNACLGVPCVSFNLGGVFPTLAMARLASARQLVDDDDAKTVTIPGFPAPEIRIPVTELPEFLRMSQHVYTDPGRGEQTVSALRSCIGQAMNTFYDLEHDYCELYKEVGYIRRAYFVGPLSLPLVPPASRDRSACLEWLDTKAPQSVVYLCFGSLATLPEAQLNELALGLEASISKAAFLWVVRAAEGWTPPEGWTERVGDRGMLVRGWAPQVAILGHPAVAAFVTQCGWNAVLEAVTHGVPVLTWPVLFEQFITERLVTEVLRVGERLWPEGAGVRSTRSDECELVPAAAVAQAVARFVEPGGSGERARRRVQELSVKARAAVVEGGSSHHDLQCLIDDIMEACRARVAAA >Dexi3A01G0035430.1:cds pep primary_assembly:Fonio_CM05836:3A:40722219:40724538:1 gene:Dexi3A01G0035430 transcript:Dexi3A01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDPPATAEVIDISLEHGEMRLYGPVSYNCFTSNTTMSDNYTAGYGLEGTPFIPSPTRNRFTVIGCNTLGIIGGYMHSNPDLYVAGCYSYCQGINSTSDGAPCTGMGCCETTISPNLTDFAGVFVINQSSVWNFNPCFYSMLVEAGWYSFRKQELVGHLGFINERAKRGVPVISDWAIRNGSCPKDGAKAPENYACISSNSYCVSASNGAGYLCNCSEGLSVSAVVVMSFTCLKVDTIHILTEKEIKKATENYKDDRVLGYGGHGMVYRGTLDDGKEVAIKKSKVIDDDCKEEFVDVPMLVYEFIPNGTLFEFLHGNDHRSPIPLDLRMKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDNEHNAKVSDFGASTLKSMDKNDFIMFIQGTLGYLDPETFVSHELTEKSDVYSFGINKLRDMLDSEIIDEGIMVVLEKIAELAMHCLDPRGDERPTMKEVAERLQMLRRLQIQLATKTNPIRADYSYGSPSTHVVPEEARYQGMDADLAR >Dexi2B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:2B:27772534:27773010:-1 gene:Dexi2B01G0017370 transcript:Dexi2B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLDLPVVDLASPDLRAAAKSIRKACVEYGFFYVINHGIESALLERVFAESRRFFEQPMKEKMALRKNSSHRGYTAPYSEKVDDYPDSRDLIP >Dexi9A01G0049190.1:cds pep primary_assembly:Fonio_CM05836:9A:51880518:51883066:-1 gene:Dexi9A01G0049190 transcript:Dexi9A01G0049190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLGAGQEQEEFFDSRDVLSPASVSSPASSGRHDDGWLFDESLLEVWVRDPCSVQERRHRFVKSLGLLDPSSNSARADEKPCSRPEATEEILPASPIAELFSAALTFASRGGEPTVSSDDGAGTEEVELECVFKNLDDGTMFVVDEMGKDGSFRILWERRSNKTVTATEFEQTFGSSPFIRELMRRVGDSDEPSTPEKIVMRRKRRRLGWLRRLGIGACVVDAEEDDEINSTSSSSYRSCSGKVDRVKVRPYTKRSKELSAVYKGQVIKAHEGAIVTMKFSSDGQYLATGAPINSCEGGKGKHSKSSKGAADPACVVIPHRTFALSEDPVHEFHGHDDVILDLSWSKNRELLSASMDKTVRLWKVGCNSYLKVFSHNNYVTCIKFKPTNDDYFISGCIDGMVHIWDVPRCQVLDWADSKEIVTAVCYRPDGKGAVVGTLTGNCRYYDASDYP >Dexi2A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:2A:13316581:13320368:1 gene:Dexi2A01G0011410 transcript:Dexi2A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPRAPRRFLFDLNVAQEEFEEEPEEAFQEVVPEEGVPVEQPEEAAAAVEDEAVQEVIEHEEEVVREEPADDVIMEEDEEEDAAAQRRVLDEEVMGEDEMGDGEPGGRKKRIEYEVVVGGLPQDAAEEDIAKALVEAGDVEEVRLMRDPADQRLNKGFAFVRFAAAWQARWAANDLREAKLAENLKPFELENLDRINLIEHPERKGKNRGYAFLDFRTHVDAVAAFLKLQERDLYLGTDFRAHISFSNTLSQDDEIMEKVKSVFLDGLPPHWDEDKVREMFGKFGEIDNIQLARNMFTAKRKDFGFVGFMTRKSALDCIKMVNKEGVGEGSGKVLIKACLQRPRHTFKKHSWQGSSSTLGVRRGFVDKNSNGRGYHLDRYRHFERRVYSDNHDRRYRSMDIDERPVSPRGYREYYRRDSAAHECNLRGQNCDFPNGEEFSATSGCEQAYYKTDRDHTPSTSQVVSHCEDSCCKVDNKLIPKGPCDCDDCYIEQESTPSPSDHTRTRSNLHRRSFKSSREHRRFVADEHSAFEVEYTVRENRSRYSSSSRDAPSTHSRKHHRPAR >Dexi2A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:2A:6730275:6736104:-1 gene:Dexi2A01G0006990 transcript:Dexi2A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWGQPIIPLSLFLISSIPPPPSTTLHWPRTWQWAPPPLGAPLPRAVAAAQSFLGPRHMLGSPSSPLAMAAYLGSSSCGAKLILPPWPPKVEDPQGPTLLGRGGANKWHHRHEKKNYHPKFSQYPFLPTSLPHGHGCGLVKSSREATPSSRAIAAATPSSGATTPSSRATVPPPSSCACCPFLPCSSPLPPMPPPLPPVTPPQTLPPAPAARSFLQSPPNPRVPGHPFLRGPPLPPAPPPLIPLQIRWSRSMRHRSVEGREWWSDLLDVATSSSLVTVDDGDLNTWNTGSKILTLDRHSTAQ >Dexi1A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:1A:26975596:26976652:1 gene:Dexi1A01G0020020 transcript:Dexi1A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQRRNAERNQKSKGSQLEARAEGLKVVCPICKVQLANEKQLIDHYGSKHPKEKPPSASNA >Dexi3A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:3A:2953567:2954602:1 gene:Dexi3A01G0004510 transcript:Dexi3A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIPEISAVERVADKELGLKLNEANVQKVLAEIRPYLARTGGGELEFIKIVGSTVKVRLTGRAAGVKTVRVAVNQKLREKIPSVAGIRVVS >Dexi8B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:8B:2340184:2340819:1 gene:Dexi8B01G0003150 transcript:Dexi8B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDEFKRSCRRLLAILLTLALIAGVIALIVYLVLRPTHPRFVLQDATLRQLDLTNNTSAPLLSTVTQVTIASRNPNARVGVHYDRLDFYASYKYQQVTLASRLPAPIYQGHGDVDVWSPVLAGPNVPFAPFLADAMRKDLANGYIMMEVRIDGRVRWKVGSWTSGHYHIFVTCPAYFISSGANRVVGAHGLRFQTPTYCRVEV >Dexi7A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:7A:29904834:29908606:1 gene:Dexi7A01G0021230 transcript:Dexi7A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSASNDAAAVPWADLLPELCGLVVDHLDPISALRFPAVCTGWATTCKENNPLLRSGAPALLTSGLDLEGVVTEHDVDAGAFGLHDVSAATGSGRSSFLGEAEGLKGRTWIGGKDDWLVTTDYNLNVELLNLVTGDRIPLPPFDATHHGAKLEVQGYFHVTSEVHHWHKIIKVTLCQTPAHHGGYLAIALFSNGFLASTAVGDKCWTVLKNPAAASRLDLSYMDAIVIKGKLFAVNESGRVYSWDMSSKTTEPAMVQGPEVETTRHYGGFYLATSTRRQFLLVYVYGDNELFRDNRVHSRLVFNERWSFDELGMSLHELDAGGSAAWRRVTDLGDDCALFLGANHPFYITVPPGSEDLKANCVYVADTPSGYDAGVFDLNKGEEDGFVERQTGSRRTDTDSVKESRLSKLPAVQGEPLLRRNLSYATNTRAASLIGARPNSEATMCSGGRPALRTSTMSSASNNNNKAVVIPWADLLPEVCDLVLDRLDAVSVVRFPAACSAWAAASKTPRLRSSTPALITSPVDPEGYDIEYNVEEGTFGLHDVTTGKSFQGEAPGLKNRTWVGGKDDWLVTTDMRCGVELLNLVTGERVPLPSFTTIPGLRVQEFSDVRVAFNHQDQHLQQVTLCQTPAHPKGVLAVALFSFDLIAFTAAGDEGWMPLKNPAVMRYQSYADAIVFNGKVLAVTTYGDICSWDMDDTEAEPTMLQGPEEVFIDPDFIRVFYLAVSSNGEQLQVVCLYGYSDNKDTRTMRIVIKDQLCCFSASRVSLHELDAAASTWRRVRDLGGDRALFVGGNHPFYIAVPPGGGSKDLQADWVYVANLDGYDAAAFNLKLADGEWGYYSNYPAIRRLDYPAMGSSQQMPMWFRPTAHPVFANKRT >Dexi5A01G0032740.1:cds pep primary_assembly:Fonio_CM05836:5A:35145190:35147042:-1 gene:Dexi5A01G0032740 transcript:Dexi5A01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGDSKQREACRGGIGLWQAAFTSECAHTFHLRCVSGSAACPPRAGHGPRTGAVERPLDDQDNTNQEAASNNGVLVLKTHCEHPAVARDTALDNFAVLVHIKAPATATAAERTESERAPLDLVTVLDVSGSMEGPKLTLLKQAMGFVIDHLGSGDRLSIVTFSSRARRIIRLSRMTDGGKALAKAAVESIFARGFTNIGDGLRVAAEVLDGRRHKNAVASLYADEERRFLLFLDVPVAAGEDATPLIKVRVTYKDAVTGRSVDVTCEDAMVQRPVVVADTEPCVEVARELFRVEAAEDIAAAKAAADRGEHAKAAQILDRRREASAANAGLAGDERCAELVAELRELSARVADRREYEHTGRACMLAGMSSHAQQRAATVHLFQSAAAAPSAFGSMTSGSFCRSTAGTRCVASSPGQFRGSAHFWGTGCAELWQHVRDADNAEHGGIV >Dexi7B01G0023320.1:cds pep primary_assembly:Fonio_CM05836:7B:27922164:27924825:-1 gene:Dexi7B01G0023320 transcript:Dexi7B01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVLLLCGDYMEDYEVMVPFQALQAYGVSVDAVCPGKKAGDVCRTAVHQGIGHQTYSETRGHNFALNASFDEISANGYDGLVIPGGRAPEYLAMDDKLLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRTCTAYPAVKPVLVAAGAKWEEPDTMAKCAVDGSLVTAATYESHPEFISLFVKALGGSVAGSDKKILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFTLTASFESVDASSYDALVIPGGRAPEYLALNDKVISLVKGFSDKGKPIASICHGQQILSAAGVLQGKKCTAYPAVKLNVVLGGGTWLEPDPIHRCFTDGNLVTGAAWPGHPEFVAQLMALLGIKVSF >Dexi2A01G0029360.1:cds pep primary_assembly:Fonio_CM05836:2A:40400885:40404548:-1 gene:Dexi2A01G0029360 transcript:Dexi2A01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLALLPVDSDDDRLSDLPEYIFEHVLSFLPAEDAVRSSVLSRRWWNAWTRAPVLNLSDEHHHGHRFRHFARAVLSRYGSPENIPSLNVVISRESSLGGRRTSAWLRDAMERVVGSVSVTVTTPGGALGHLVLPCRLRAESISLTMSGSASKHGTLVFPTESYSLGAYLSSSWCPRLRKLRLSKVIFAGLLLVLRMELLEELEMDEVQIVSKLHVVTPNLRALYVRICRNPGDAMLEISAPRLETLRLHNNSQEHLSFLDGGQCVRRLAGLCFYLPAKEFRSITAVRLLKACSEANDISVRIDIPDHCSPSWLSREQLETVPQLPNVRVLSLQVVAVLRLITYPIAPVILSFIRRCPSLRRLHIDLTATHWLSKWDPNYLMVPDDDEARKEEPLPLQPSDSDRLKAQPQRDEMHLATLREINLSGFMGTGQEMEVADLLFGAGTTRPSLERVSISFFPRLIRQGTDGGSVATTPTLEWMSVPPAQLWRHLEGVASGVEARRPKTLRSRIRHECTSTMIVERLEPKTYSLSSLGSFLSSCCPAEEAAALQGERREGDTLAAGAPDGAARGARDGSGRNPYPTKLQVVSPNLRVLDVRYCFYSCSPDNTRVEISAPRLGTVIWHGGYPKHLTFVDGSQRRIRRLAGLCFYLPAKGFRAITTAVRLLEACSEASDVSVRIDIPDHYSTPSWLSREQLDTVPQLPNVRVLSLQVVAVLRLITCPIAPVILSFIKRCPNLGWLHIDLTRTHWFSKSHPNYLMVPLMDDDVARGEEALPLQPLESDRLKMQRDKMCLASLREIRLSGFTGTGQEMEIADLLFGAGAVQPSLERVSISLFPRLIRQGTESVPAATKPTLEWMSAPPAQLWQYLEGVGARVEARFPLAGGCWEETNPGEGFDWTRTKSHSSSSTSLQLHGSGEGGWLT >Dexi2A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:2A:701114:705660:1 gene:Dexi2A01G0001070 transcript:Dexi2A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDPPPPATALMEELVEEVLLRFPPADPSRLVDAALVCRRWCALVAGPGFRRRFRELHRAPPLLGLLRNDVPGARFLPSSAFRPPGLTAGGLLRGWRALDARHGRHWECPQEVRGQVRVPKHYVPDEREPQMDILLSAVLGEVTTRSINLFMSKRPKPSALDVEDRLGMILLRARVIIEEAMGRQITNQAVLQQLDILSDTMYRGYYLLDTFRYQSHKEEAKDQIVSYPSLLSKVNSVKGYTRMYRQPYSMHILLGNCMFGRQMEAQHVINFLLRTPPPGGEGLEVLPIVERCAMKYQNSVSDKGGRVVIVIELAGHFNEGDWKRLYSASKLCLASGSKIIITGRSDEIKKLGTTQALTLKVLPYEAYWYYIRTLAFGSVDPETYPRLAHLTMEIARTNKRTFIGANITASVLRDNFDIHFWCKVAALLKGTIQKNVSRFGEHPIDRVDQSRPTPLGRLATPSEDLILYSQHECSSQEEVPKIKIQDVMYGSIKPHGKFEVLAWKSAIPPYHSFVFTCEIQELKGTAAKRKRSVIDGVRYC >Dexi1A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:1A:3626635:3626919:1 gene:Dexi1A01G0005000 transcript:Dexi1A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARFVTEVAPAKVVSSVMRRRRKVGLQLDTIVEDEREHMMAAYGSSDHQAGFGAARSKRAPARERTGGLMRELANGQQADGGYKLGHRRVV >Dexi7A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:7A:17034433:17037144:1 gene:Dexi7A01G0005800 transcript:Dexi7A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKQETMEETILVGDDLMRGPPSPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYKQCAEKRDKEIRERMQDSEYKLGFSMPLEQAKERVTQLQSEVTLLERRMILASGLEGMEGFKQRWSLHGQLEDTRKRLEALNRGMGKRENQSSTGEGTKSAPAGKRWFFW >Dexi9B01G0034020.1:cds pep primary_assembly:Fonio_CM05836:9B:36115224:36119884:-1 gene:Dexi9B01G0034020 transcript:Dexi9B01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDVRRCCGGTWWGDEPGRAHSSAGRAASGRASSASWWSKTSGGRGGRERNYEKIRFCGGRGSGWGQHVRHCGEEVRGPRGRRTRATTTTRGRAATVRVEDAGDGRGLPPPSPATGPYAGGRSRGPRRQGETIAKGHKNYELMLNLQLGIRHAVGKQGQITLDLKSSAFDPKEKVWTRFPPEGSKYTPTHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKTDCLDRFAKIKLGSNMPIRAERTIRKIDVEPQIIGEPTGEFYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFRDFVFKAFQEEKFDL >Dexi1A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:1A:3719918:3721592:1 gene:Dexi1A01G0005090 transcript:Dexi1A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFFTFCKKRRTSRQPSSHHNEDVPGGPNIKRYTYKELARATENFSKSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGAREFLNELMSISDVSHDNLVKLYGYCSEGDQRILVYNHLQNNSLAQTLLGMS >Dexi1A01G0023440.1:cds pep primary_assembly:Fonio_CM05836:1A:30100312:30100834:1 gene:Dexi1A01G0023440 transcript:Dexi1A01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRCASRPPPQLREGLRRFRGGLARSSSEPTAASEAKDCDLPSKNLDRPLLKGSCAMSPDISKPRELASSSSSDDDESSSPDVDDDDGSESDGEPMSSSCSSHTMAAAASRPLRNKKRAPDENASNETTKLREREIARATQCHGEAGKGVGRYKWGTGG >Dexi4B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:4B:11381433:11393279:-1 gene:Dexi4B01G0013150 transcript:Dexi4B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPYTLRLSPPPPPPPPLDAPLFPHLRPYLRRRGSAKVAAAGTSWAPVAAGESDDGFRGWSLPERERPLEQGRRKTGFGRAVAVGLGASAAIALAGLAWQFPSSRNCLQRLIAAPLHYVQEKLSTLESTDAPKEDATDNEHEEDEALSPHETGVAVAVAQAKELVLEAEALEVVPWDAGSLGESGIVLSKLSNSLETSTSGSPSCQENSVFLPESYISRFDLVNWKVLVEHPRALGMDQKMLNQNVRILDLHACPDVSPSMLIELMAGENSIVSRCVPSRRIRHQARRALPLAFVHIRPGKLCPAAAICLKPVEIHLATIRIRPGELYHVTIVHIRPDEASSTAPPPSTSVRGSSAPITVHIRPSKLYHCRQFTSDHCFECATEEGNTRRLQPHKHVTNAQAAAALTSGRMEEAIRDELNRLEAENQAHLSAMAEIMEELISRGDIQQQWEEKMKTEQQRVFEVDKDLQHVLHELANERTDRENELEDLLKEKAALDRRNQELINLRSEVDGMYDRLAAENAEVMADQQNLENLSSDMTSKHQAVSEAKSYLEAEKEALTMLRKHA >Dexi9A01G0040310.1:cds pep primary_assembly:Fonio_CM05836:9A:44119531:44120428:-1 gene:Dexi9A01G0040310 transcript:Dexi9A01G0040310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVALLASTLTATAPSPDATLHSAPCGPAPALPPPPPTMHSSAQDGRRLSTPSCRSRRRAGRAHAGTTLAVVTVEEKAYHKSCFKCSHGGCAITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAAEAQPAADSS >Dexi9A01G0024800.1:cds pep primary_assembly:Fonio_CM05836:9A:21494731:21495700:-1 gene:Dexi9A01G0024800 transcript:Dexi9A01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGVDGVHGAAAAGSGRRPWRDDVETAGAYDEEEEEEDELAGSRGGLGEKKRRLAADQVRALERSFEVDNKLDPERKARIARDLSLHPRQVAVWFQNRRARWKTKQIERDFSALRARHDALRAECDALRRDKDALAAEIRELREKVENQMGVKLESGEEMLPVAAGTAAAAAAAAAVYKDGSTDSDSSAVFNEEASPYSGAALDHHHQSHHPSFTGFTSFLTSSTTSLGSSFASLYHGGSHLDQEADGFLSATATVTDGFFAEEQAAGGLGSWYSGEGW >Dexi7B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:7B:17424775:17430045:-1 gene:Dexi7B01G0010010 transcript:Dexi7B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFSPHPSPLLSLPTTSTSKPRARLRPVHASASPSPELLGKSALRRISDKLRSLGYIETTPEAPALDPPSGESTAAGPSSPGEIFVPTPAQLPRHRVGCTLDPSWATGDGEASSAARRQRRGRGRDAASAPPSAAELALPRDELRRLQGIGIKVRKRLKIGKAGITEGIVNGIHERWRNAEVVKIRCEDVWAMNMRRTHEILERKTGGLVIWRSGSIIILYRGTSYKYPYFHYKERMDGFLDEESSEQSSSGDEDEDLGIESVALSNSAEAEETSFQCDISHEGRETPVVACTEQRSIGEGKDHTIGCLEHGLSRKKDSARPIVSTKRLVFDTDEGNLDIRTGVPNEQQVRLHVNTHADHPGKVGPRDRSSLVSGVGSPNKFRLQLPGEVKLAEEADKLLEGLGPRFSGWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPKLTDREMTILRRLAHPLPFHYALGRSSNLQGLAASMIKLWERCEVAKIAIKRDAHNTDSELITEELKVDASVLKVSSDVSVHIHEKEASVFDNTAEPLNTVAQNVEARLSQAIAEKEKAEKLLEELENASQPSKAETREVISEEERYMLRKVGLKMKQFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHSLKDVEYAARTLEAESGGILVAVEKVSKGYAIIVYRGKNYQRPSTLRPKSLLSKRDALKRSLQNQRCKEVPEVAPLSLEPEIECASDEMDRALNFTKSEVPLGDDDMQSNAYLNKHEDGSSRTASQCLIETSNDQNRHVSLAVDVDELMLQQNQQSSTVTCGPDSHSGGDSKDVDAPKFDVESDPLLPSRATPLSNQERLVLRKQALKMKKKPVLSIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLITELEEATGSVLVSRETNKVILYRGWGAEVAQNSSMENHADKEKEVISPQLLEAIRLECGLLPGESG >Dexi3B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:3B:12431904:12440254:1 gene:Dexi3B01G0016950 transcript:Dexi3B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDGEEASGSRTSNSDGIGFFNPVMAFMGHNGEEDGTEVTKKPQSPKNLSPEEENRSTPTEQLISEVDASEVSGTPKSPKQPSKLKEAHSISTESPVSEQSMRPQTPTHASAGEEKLDGSTSPVSKEEDSEVSEMPQSPSHPSPVEETTSSSIENTSSLMNENQDDQDNRHSGPNDEALLNQLGESEGDISDGGPSSSPTKIDQSDDTETGESIHTGKADTSDGNTLQSEPAETMLANSDTVIEAADKIDQESDAPKEISSPHNSSGTVDKGAHLEVEVHDGTINAQTHEDENNKTEAESASGQEDNVQEQPEDFRSKSIIVDHDSHLQNESVVNSADIPAGLVEVVSPANGFRKEEKVQESAGSTNSLTVESDGSVVELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKATIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQIRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELESLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDELQKRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAMKEEVAMQEGRAKQLEEEIKELRARHNKELQEAAEHRELLEKDLEREKAARAEIEKTSSRDVPKVPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNPSLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTESAALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLEELRNDIVDLKEMYREQVDLLVSQV >Dexi9A01G0032280.1:cds pep primary_assembly:Fonio_CM05836:9A:37203960:37205269:1 gene:Dexi9A01G0032280 transcript:Dexi9A01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATAAEAEAALGRAMTWTEAVWFQYSATTPDYCLYYHTALILLVSYTLAPLPLALLELRATQTSPPAYKLQPAVRRTVADFLRCYRDAVSVLKVGIRTGLPLPSVVETVAQLVVYFLAEDYLTYWFHRLLHTPWGYEKIHRVHHEHAAPMGFAAAYAHWVELIIFAFPAFAGPAIVPCHMTTFWLWFILGAIEAVDTHSGFNFPFNPARLIPFYGGAEYHDYHHYVGRQSQSNFGLVFTFCDYIYGTDKGYKYHKASLAKVT >Dexi8B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:8B:21401960:21402338:-1 gene:Dexi8B01G0012160 transcript:Dexi8B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASELTAMVSKRCPCLRNLFLFLYLVDVSDVVWNGNAYDPRHHTFDNVSPHLRPLEVAQNSAAASFMQQFDKVDDT >Dexi9A01G0047580.1:cds pep primary_assembly:Fonio_CM05836:9A:50643131:50643331:1 gene:Dexi9A01G0047580 transcript:Dexi9A01G0047580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRNCTPRAGLRFLWVPCSSPPPGLRAARLPLSSAAYEPWRGLLVKTQETHASAGRPGRFVPSG >Dexi2A01G0026230.1:cds pep primary_assembly:Fonio_CM05836:2A:37750226:37755700:-1 gene:Dexi2A01G0026230 transcript:Dexi2A01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDLKDDVDRLFACFKCGVSPPQSAFRERPPRRGKRPRVSPAEGNGIAGSGGKRPRVSPAAGIAGSGGGSASYAPTPDAAAKKNGGSLSAAIIKFTGRKQMSPVVFYGSPQGVPVKKPHSLLRLLREIRIDLNKQTDLIPRDVVWATFPRQEEAIRYNDMDSKIRHHYEVIQEATQLIQQGSPCHIYFDLEFDTRLNKNRDADEMVDILVAVVFSALRDKYSIEAQEDWITELDSSTEEKFSRHLIIRIPKIAFKDNSHVGAFVSENDKDVFMESLICRLDDNCDKLLICKLDLECQKTLHFDSEFSMPRVMMYIVDFQRAAYYQKCYDPDCQGYRSPLRPVPWDAMPELSSMVALSQTEYQAEVVEIKFDDSNGSACYLSDGKSVIESYEDTDWWEAAVKFADSIENMEHASDLCNNLEDDDADFWMNAERVMQQIEGQAGS >Dexi5A01G0027910.1:cds pep primary_assembly:Fonio_CM05836:5A:31263940:31264547:-1 gene:Dexi5A01G0027910 transcript:Dexi5A01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRLLVVALFLLATAPALSVAAKIYVGDGKRWAPNVNYTDWADRHEFHVGDWLEFDYEKDRYDVVQVNETAYDKCDGSSPILSYSRGRSFVFRLNHTGRFYFICSRGYCWNGMKASVLVHPAPLPPPAKAPSSSHASRARAVAGVWRWAAALTVLLGASVLG >Dexi1B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:1B:19649063:19650845:1 gene:Dexi1B01G0013650 transcript:Dexi1B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVAAVSSFGLILVSIYAFQLITSARRRLPPGPLPLPLVGNLLAIGRGIPHRSLARLASRYGPLMSLRLGVVPAVVVSSATAAREILQRHNADLADRPLIDVWLANGHRADSIISLPPHAKWRAMRRLCATELFSTGRLDSLRPLRQRKVEEMVGHVAKLAELGEPVAVREPVFTAAMNILTRTMFSVDLDDPASSPAGGGRGLHEAVKEATILAATPNVSDFFPAIAAADLQGLRRRMAPLVTDARRVLDELFARRVLDREAGESPKNDMLDAVLDKEHEWQHEGSVINRKAIKGLFSDIFVAGSDTSSTTIEWAMAALLNNPRVMEKVKGELKSVLGHKTQVEESDIAQLPYLQAVVKEVLRLYPSVATSFYRAEATVQLQGYTIPQGTTIILNIWAVHRNPDVWDDPEKFMPERFMDGDTDFSSKDCRLIPFGGGRRICIGFPLAYRTVHLILASLLHRFDWILPEETSKNGVDMTEKYGLVISMANPLKAIAKKRYL >Dexi4A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:4A:3073149:3074203:-1 gene:Dexi4A01G0004290 transcript:Dexi4A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRKRTDPAPPSPPPPPPEEAAAASAVASVLGSDDLLRSILLRLNSPTCLVRAAAVSQRWLRHASDRALLHHFGACHPPRPLGFYLRLCSGPAMNFVPMPGLPEDLAASVRLASSKPGDRVVAFVEDCCSGRLIFWVPCPCPLRMYRPLHPAREGVKSRCDGDLALSRAEDSGFYIVHLRNARIGG >Dexi5A01G0039500.1:cds pep primary_assembly:Fonio_CM05836:5A:40099585:40100041:1 gene:Dexi5A01G0039500 transcript:Dexi5A01G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKSAAVVAACILIIVLLSGQQQQAAALSDFCRCFQNCYTECRKTGGAYRCNIECVQDCLNGQPPPSSAAGCRDICGLDSICGVMETTAIAADACVASCTNKLGAFAPNAAKINY >Dexi9A01G0031460.1:cds pep primary_assembly:Fonio_CM05836:9A:36430379:36439935:1 gene:Dexi9A01G0031460 transcript:Dexi9A01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVAGLAAAHELARSSGARVTLYEKEDHLGGHVGTVAVSDGDAGPVVHLDLGFMVFNRVTSPNLTEWFERLGVDIAKSDMSFSVSTLDRNKSFEWGSHNGVSGLLAQKGNSLSPSFWRMILEFFMFKGHALKYLEDHERNPDLDRNETLGQFVIVHGYSKLFQDAYLSPMCVCIWSCPSQEVLEFPAFFVLSYCRDNHLLELFGRPEWHTVKGGSESYVNKKLHRHQSSRRLRSVPARSLYHCAGYYKILDIDGSEHMYDQIILSVHAPDALKVLGPEATHDELRILGAFQYTNSDVYLHQDESFMPQSSSAWSARNFLGTTTRRGACVTYWLNLLQDIGSERPFLVTLNPIHVPNHVLHKWHTSHLNPSVAAAKASLELNHIQGKRGLWFCGSYQGYGFHEDGLKRTEILMQAGKAAAAGLLGNKCDLLMNPKVIVPSWTEAGARLLVARFLAQYISIGNLRFSFRSLILILLLGSLLPCIFNYTCFISSTMNCDTWLRLAACLRFCQVATEADLGLADAYISGHFSFDDQREGLLNLLMVKLYSSNDFFALFLDPTMSYSSGIFKADDESLEAAQLRKVKVLIDKANVEGDHHVLEIGSGWGTLAIEVVKRTGCKYTGITLSEEQLTYAQMKVKEAGMEDHITFLLCDYRQIPTCQKYDRIISCEMIEHVGHEYMDDFFSSCEYHLAEDGLFVLQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLARITSAMSNASRLCIEHVENIGYHYYKTLMHWRDNFMYNKDKVLALGFDDKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKLPNYVAIA >Dexi1A01G0023940.1:cds pep primary_assembly:Fonio_CM05836:1A:30597401:30599689:1 gene:Dexi1A01G0023940 transcript:Dexi1A01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEALPSPSTASKRPRLSSEPRRGRRGITGEDTADGGAASTVGLEEAEEEVAGVEEVEEEVLVAPAASDSMEATAFVPNSPIRRPYMMKYDSNGQQLSETPFSEDLQLDQAYEDARQQYFKKLALLSKLPTLDNNTLLESIPIQESAVDTILKASKFILGLSAYTGGVLLKQSSGILMERNEGKGTILTTAHLFCSRSPNLDVWLGGQEYARDAQVRVQLLQMDVLDDIEAPGELIYLDEQYGFALISVPMIPPETVPRFCKELMFSEDIVLLGRDKWDLQIGNGKVMNNGARSYQRHHYIYFEAEISA >Dexi7B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:7B:2096909:2097262:1 gene:Dexi7B01G0001170 transcript:Dexi7B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDVILSSPVASDGFKSKFLATPAVAVVQVPADASSSKRQQVKMDLTSAAAVKIATKQQQLKPRFALELDGLNCFETLVVPR >Dexi9B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:9B:9591706:9592353:1 gene:Dexi9B01G0014310 transcript:Dexi9B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRRQCGFPSFPTMRWLAHLAVMALICAASTTAQPQPQPLPLPTATAAAPPGGAPSLPAPCPPAQATLAPCLAFFTSNSSSPPAACCAQIRAMFQSQAPCLCAAMASGPAAQLGGLGSALGQLLPTSCDLPANACSGTTTTGGAAGPTAPSTTVAAAAPESGTNGVDDPAGTGAGGIKSVPGLLGSGAAAASYSGVVSAAAAVVSLSFGVYLL >Dexi4A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:4A:4655628:4657579:-1 gene:Dexi4A01G0006470 transcript:Dexi4A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAEAGGSLPVPNVQELAQTYNRSDEQIPENYIRVKEAAEEVIIDHVNSTAIPIIDVNKLLNPQSSREECAKLGSACRKWGIFQVINHGVPNEVISNFRYDVTEFFKQPPEAKKVYSMIPGNLQGYGQHFVVSEDQKLDWADLFHLVLRPIDSRDMRNSIEGYSSGAAKLVSCLLKFLAMDMGVEPESFLEIFRGQPQSMRMTYYPPCRHADKVVGLSPHTDKMALTLLLQAKDVQGLQIRKDGKWVAINALDGPFIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSVALFHAVCWDGTVGPLPELVKNDGEARYGSMSYREFVKRYVAAKLSGRDHVESIKSS >Dexi5B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:5B:2216798:2217492:1 gene:Dexi5B01G0003360 transcript:Dexi5B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGDPLDALPAAVLADVLGRVTDAGDIAACRLASRALLAASYLCSRVRLCAADCTRRRRVEGQAGAPAFRETSGNLASLLGAHLRCLSLDAADGQGSPDDAMWVEEGEFEEADDLHLTCGEAVALWADTAAGPVLREVEIADYWPQACWRKAEALPLISHYCRVAL >Dexi7A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:7A:3181291:3182621:-1 gene:Dexi7A01G0001230 transcript:Dexi7A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVSSTTRFSRPRPSAALASTSSSSPATTPTDRVRDPQFDALITRVLSTSIHGALPSPPVSIHARLHTLSSSSSSFSSSDRLSNLPDALLRNIVSRLPIKDGARTAILSRRWLPIWLTAPLVLYDPHLLPGPTTSDSIPRQVKRADSDAVVAAVNMDGDHRTRVARWLHHLAVKGVEELFLINRPPLHLERSLPATFFSMASLTRLYLCFLRFPATASLPRGAAFPSLRELGLCSVAMEGNGDVDFILARKPVLEMLCFVGHMLPLLRLRLVSRSLRCVQIHYSKVKSIAVVDAPCLVRLIVMNTPLEPVGECRISIGNAPSLQLFGYFDPVRHFLRVGDSDVKAGTVVNARCMVPAVKILALDFHFRVRNDAKMLPSFLRCFPNVERL >Dexi2B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:2B:28116363:28117767:-1 gene:Dexi2B01G0017740 transcript:Dexi2B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGSTKGSGLYFHFVKSETKTAGGLPARTVMTSLFKSDQFKKLPSGVYTSPVAAITCEDAFQSMYAQLQCGLCQRHRVVRVGAVFATGILRAIRFFQRNWEQLAADIEAGVITDRVTDPSVREAVAGILRVDPELAQFIRSEGSSSGDNNGGGIIRRVWPNTKYVGAIVTGSMAQYVPTLNHYSGGLPIVSVMYASSECTVGINLRSLCDPSEVSYTIMPNMAYFEFLPVDDAAAGVYDGAAAASHQHLVELAGVEAGREYELVVTTYAGLSRYRVGDVLRVTGFHNAAPRFRFVRRANVVLSVDTADKTVEAELHRASSALLLSAATAPPRACVTSVPGHYVIYWELMMMAKEEEEDATRVDVETLEGCCLEMEEAMNTVYRQCRVADGSIGPLEIRVLMDLAISRGSSIGQYKTPRCVAAPATVGLLHSRVISSHFSPMLPRWSPGERFDPEKQ >Dexi2B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:2B:3203652:3204540:1 gene:Dexi2B01G0003670 transcript:Dexi2B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQALLLAVVAVAATVCLAPLASATYWMVGDEGGWRAKFNQTGWADGKTFMVGDNLLFMYQQGAHTVIQVGKDDFVSCNLQGKQLGSWTSGNDIVHLDKPGKVWFFCSVPGHCDNGMKLVVDVQDAAPAPVVSPAPAPQW >Dexi5A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:5A:8051183:8052511:-1 gene:Dexi5A01G0010750 transcript:Dexi5A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEFKANHAEWVSTVKPNLGPGIRERVYEAITSEDGPMEDFHALRTEFKSALADLVKLSIPLGMRDGVPVSVSLVARHGADRFLLNVAQDLYEMLKEETKKAWCSSNSSL >Dexi1A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:1A:4994794:4995240:1 gene:Dexi1A01G0006560 transcript:Dexi1A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKYIRATNVARYSKEIDEQFLTGRRSEWHGIYPPPPRTSPRPLHEPRTLPVRSVRGASRRGATPPPPPLVLVIPIGCRAWPSPTNRPIQTPAATLHAMDANTPASDGVKKTRMRGILMRSTTLYSMSGNNNLTRDTEMQSRCV >DexiUA01G0013200.1:cds pep primary_assembly:Fonio_CM05836:UA:27384791:27387150:-1 gene:DexiUA01G0013200 transcript:DexiUA01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLRLEVTSWQRSTMSVPSFCAASTHSSHASKASLQPPAFMKRQNPHSPSSSSSCTSPSAAAAPGAAASGPGSRAASSCPAAAADSCSGLTCAAGSGVAIDFGSICACTRCRLGSRAGRCGGELVVAVSFLGRKKKKRQLPRRRRLGVGVEAAPPPLHSLVASPAYSLHSPTSSPPAMAAVAATTFRSLHQPAASGIPVPLPSVRFQGLPRRRVGLGLGLGLSLFASPRWRPVLLPPPSAAAEGEAFTSDGEEFDGEEEDEEYFDEGESEAEEQVEAPRAYSSPRSRPPRGEDPGRLFVGNLPYTFTSEELNEAFSEAGRVDDAQIIYDKVTNRSRGFAFVTMATAEEAAKAIQMFDGALLGGRTARVNYPEVPRGGERRTVTMAGRRRDDGTYKIYAGNLGWGVRADALRAVFEGQSGLLDARVIFERETGRSRGFGFVSFGTAEDAQAALEALDGVELEGRPLRLSLAEQNPQPGSPSSTVQAQQDETASDISDSEADSSSELSEAELDDSNLQTSATY >Dexi3A01G0035960.1:cds pep primary_assembly:Fonio_CM05836:3A:41345118:41358599:1 gene:Dexi3A01G0035960 transcript:Dexi3A01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPKSKRRAAAAVPDDALVEIFSRLPAKSLCRSKCVSRAWRDLIADRLRCTSLPQTLAGFFYVYDDGDEVCGCRCDGCSSGGECSDRVIGRFVDTTGRSVPLASFSFLGRQPWIKEFGILSSCNGLLLFGHRMLGDSYDSLGYIVCNPATEQWVAVPSSGWKPYWLEEWYEHEESDSDSDTDDESNLRFTYMIFDPATSPHFQLVEFWTPTYDATTVEEVHTYSSETGVWCQRTNMWDDSDEEEAVAFAAGGAFVNGMLHLSATSFAEHEKYRELIIAVDGEGKNRRVISGPKKDCNVAFIGESQGHLHLVDEHRDKTRGMAELSIWILRDYDAEEWVLKHTVTYMHLFGRTDCRAHSDFRVIAVHPDRNLIFFFQHWDLKLISYDMDSQEVCIIRSLGVGRQTITPYVPYFAELPALASNAAQPIESPSNPLPTAPPFSGTAAAGYGGFLAPAIPVVPAAAVRHPPLTVWWFRRRIGDPHRSPGGRRSMGRPKKRRATAATVAVLPDDALADILYRLPAKSLCRSKCVSRSWRDLIAGRLRCTTLPQTLAGFFYVYDDGDDVACRCDGCSSGGGACSDRVIGRFVDTTGRSVPLASFAFLGRQPEIQEFGILRSCNGLVLFGHRRAGDSYDSLGYIVCNPATEQWVAVPSSGWNPHWLAEWYEDEEPDSYTEGGCRFTYMIFDPAVSPHFQLVEFWTPPYEAATVEEVHTYSSETGVWCKRTSMWDEDEAVSFAAGGAFVNGMLHLSVTSFLESRREIIVAVDGEGKNCRIISGPEERCDAAFVGESQGRLHFLNEHIDNCREISEMSIWVLQDYDAEEWVLKHSVSFLQLFGRMDCRAHLDFDVIAIHPDCNLIFFFQHWDLKLKSYDMDSQKVCIIRTLGVGCQTISPYVPYFVESPALASTRRRLQGLSSGGVRRSPSSPAAAVRGMDRPKKSAAAAPSLPDDALVEILSCLPAKSLCRFKCVSKAWHDLITDRLRCNKLPQTLVGFFVLDEVEEDEDEEIHSGDSDDGSYMSSGPFVCGRFINTSGRFVPLASPSFLGKEPGFQDLRLLHSCNGLLLFGHMRPSETYYSLGYIVCNPATEQWVAVPPSIWKPQPIWNPYSDEETDEDDYECNPDKDRYHFTYLIFDPVVSSHFQLIQYIQFMSECEVCVEEVYAYSSQTGVWCEMTDEWSSAEKVGIYFTESALVNAMLHLSATHSAMGQEITIAVDGEGKERKVIRGPEKRADVVFVGQSQGRLHYMTQHRDNTRKMTELSIWVLQDYDREEWVLMHSRWDLKLKSYDMDSKEICSLYTRHGPRRRTAAAAVPVLPDDALVDILSRLPDKSLCRFKCVSKAWRDLISDRLRCNKLPQTLARFFVYHGDEEQEGVNSDRIVRRRFINTLGRLVPLASLSVLGKEPGFEDIHILHSCNGLLLFGHRRDTYGSLGYIVCNPATEQWVAVPRPVWKPYSDESDGDDSDEEGGDRYPLNYLIFDPAVSSHFQLIQLVQLVTDDDACVEEVHTYSSQTGV >Dexi8A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:8A:23225500:23227765:1 gene:Dexi8A01G0013220 transcript:Dexi8A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRLPKEVAVTAATFFVLSSSALLAATAVVEHTFIVSHVNMMHLCKETLVAVVNGQLPGPAIEVREGDSVAINVINKSRYNITIHWHGVKQRLNCWADGVPMITQCPILPNHSMTYRFNVTGQEGTLWWHSHVPSLRATVHGALIIRPRHGAASYPFVKPHREIPVIVGEWWQMDLVQLNKELKNGTYEYNATVAATINGKLGDVHNCSGKIEDGYVFEVEPGKTYLLRIINAALTSEYYFKIAGHRFTVVAADANYVNPYTTDVIAIAPGETVDALLVADATPGSYYMVAQDIQPPITDYIEPKLIARGTVRYQSSNNGGVELRSSYHDDEEDEAPSSSDVPMAPEMPGLHDRMVSFYFHGNLTSQHRRSPVPTHVDDRLFVTLSAGSVCRHGQSCKRRGNIQESIILVTMNDVSFLLPTAAAAAPLLESHYYYGQNKTATGRGVDLHTLPDRPRKKFNFTDSALIHHGPKEERLEPTERAATARWFRHGATVEVVFQSTAVMQSHYNPMHLHGHDMFVLAQGLGNYDAARDVARYNLVDPPVKNTVLVPSLGWAAVRFIADNPGDEFFTYA >Dexi4A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:4A:7746717:7747835:1 gene:Dexi4A01G0009820 transcript:Dexi4A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSSTTSPLLVLITAMAVAALVAAPVSSADLPSGVASIASKILSPWSAFNNLTGCHFGEEQQGVAKVKDYLAHFGYLPEPSSSGGFTDIFDADLEEAIKVYQRNFGLDINGVMDAPTVTQMMAPRCGVADVINGTSTMGGASSAHVHGRNLYTYFPGSPTWPRSRKSLKYAITQTSLTSIDRATLSQVFARAFARWSAATTLNFTETTSANDADITIGFYAGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAETWVASGDVSQASSDVAVDLESVAVHEIGHLLGLGHSSELDAIMYPTITSRTRKVDLASDDVVGIQSLYGGNPNFKGVTTSPSSSRDMDSAAGELSRPWSALVAVAAVAAGLVVAL >Dexi9A01G0034670.1:cds pep primary_assembly:Fonio_CM05836:9A:39414453:39415106:-1 gene:Dexi9A01G0034670 transcript:Dexi9A01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGTDTSSIIVEWAMAEMLKNPSVMVRLQEELDSVVGRCRRLEESDLPNLPYLHAVCKEAMRLHPSTPLSLPHFSFDACDVDAADIAGGEGYHVPANTRLLINIWAIGRDPATWEAPLEFRPERFLPGGAAEKVDPLGNFFELIPFGAGRRICAGKLAGMVFVQYFLGTLVHAFDWRLPEGEEKLDMSETFGLALPKAVPLRAVVTPRLVPEAYA >Dexi2A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:2A:33739416:33741704:-1 gene:Dexi2A01G0021710 transcript:Dexi2A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSTVCVTGAGGFIASWLVKLLLSRGYTVHGTVRDLSDKKVAHLKGLEKAAEKLRIFKADLLDYDAMAAAIAGCQGVIHVATPVPSEELADPELQMLAPAVTGTTNVLRAATAANVQRVVVVSSIVAVEINPKDWPEGKIRDEGCWSDEEFCRNNNIWYPVAKIISEEAALEYGRQSGLDVVTVNPGLVFGPMLQPTVNASNQFLIYLLKGGPDKVRNKLWHIVDVRDLAEALLLVPQASGRHICAPHVICVRDLLDLLKRNYPDYPCIAMESICDRDHPAPMTSEKLKKLGWSCRPLEETIADTIKFCQLARLLEDVVGEAPQ >Dexi4A01G0024170.1:cds pep primary_assembly:Fonio_CM05836:4A:26986868:26988095:1 gene:Dexi4A01G0024170 transcript:Dexi4A01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAVLVTVLATATFTVTVQAQQCGWQAGGKLCPGCLCCSKDGYCGSTDPWCGDGCQSQCNCGGGGGGGGGDDCSAAGGDDEEEEGGDGVAAIISKSLFEEMLKHRNDAACPGRCFYTYSAFIAAANAFPGFGTTGDLETQKRELAAFLAQTSHETTGGWDTAPDGPYAWGYCFKEEVNAWSDYCQPDPRWPCVPGKKYYGRGPIQISWNYNYGQAGEAEPIATDLLSDPDLVANDTVVSFKTAVWFWMTPQSPKPSCHDVMTGRWAPSPNDIAAGRLPGYGVTTNIINGGLECGFGGPDARVESRIGFYKRYCDLLGVSYGDNLDCYNQRNFAAAATVVLY >Dexi4B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:4B:4165173:4165412:1 gene:Dexi4B01G0005920 transcript:Dexi4B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRADGGGGGGAGGELGLDDSEVVVGRGWAVVVVAVGRGGTWSLGLEVEERERRAEQRRPEPSRDVTAETSLAGLRFR >Dexi9B01G0032820.1:cds pep primary_assembly:Fonio_CM05836:9B:34998558:35003832:-1 gene:Dexi9B01G0032820 transcript:Dexi9B01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELRAEVAALRMRVQELERENQRLANIASSCTCGFKEDSVGSVAVASSCSYGSNPEAPSSGKFHQSSTLKKDLSTPFDQKVISTENGMQRNINMEVNGLPDDASRHPKRRDRQVGILSHCSKRLVALKVMYFGQRFYGFSSEGNAEPTVEVISLYLRSNIKDVEGNMLDERSEIDYVKVLNRILPRDIRVLGWCPVPEDFHARFTCLGREYKYLFWKGDLDILEMQKAASKFVGEHDFRNFCKMDAANVSNYRRRITEFTISGCGKRFNNDELYSMTIKGTAFLWHQVRCIVAVLFLIGQGLESPSVVDSLLDITKTPRKPQYKMADELPLILRSCLFDKADFRCSSDANRSLTEHLNDEYHHYMLQAEIFHEALSCLPFPESNSLKTLQKKRNHIPLLSRQTEPSYEERIAKVKTKLTDNL >Dexi8B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:8B:1096659:1096913:1 gene:Dexi8B01G0001680 transcript:Dexi8B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNFSAKHLMRDTRLGHKIESAKQGPMAPVRHCKQSTEQLRSSKANSSKPAVVVVPAAASSAPLRLRQASARRRCPQLNHRPM >Dexi3B01G0028750.1:cds pep primary_assembly:Fonio_CM05836:3B:27307744:27313865:1 gene:Dexi3B01G0028750 transcript:Dexi3B01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVENAAASDGPPPHDAWHAEFQRLLPVWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDEQAAPIIGKEVYLRLMDSMCFSVRTGLEGPGLSVSQKIFYCVSFVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLMQNAEGLYRAASFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKAGGSSGDEADCPICRSSPSIPFIALPCLHSLISVFLLRSQSVKLTSSIQVIDMQGTAIIAYAHGVQLRALTDVHVVTRWLLQFKDKDQFSLFSRDQDFLPVYTD >Dexi9B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:9B:977600:981999:1 gene:Dexi9B01G0001680 transcript:Dexi9B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGQSFEAERYLDRFLPPIAFRERSPLCMLLLVHVRIVRILSTVAAGGPSGAGMAALFANPMDYLLESAYSVRLHKTVARMHADQTRASMLWQKIQPGALDKIMDMVARCPELKGEVRVPRKRPLLWDAAPNGLRQCPSRCRNATKRAPSNVLIRTFLQKRLLLVQGKTHADVDEECKQALTSLNFSTEDAEKMLKKAFGWIHSPYWSEERNKEVPSAEVVTGVLNYIRSLGLSDEDLHKLLKKFPEVLGCDLDREVKLNVSKLDSDWGINGKTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVRF >Dexi1B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:1B:8589650:8592753:-1 gene:Dexi1B01G0009470 transcript:Dexi1B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEGSKTLHNWMGEPRPGDQDDEDKTLQLSLGLPGGGGGGGWTTPGREKGNHSVAGSSMLSLGYSNAAPFSPCSQGKAKGSPAASTGHALASTHNASQTRSPNAPVIGWPPVRTFRRNLATSSRASLDHQKGKMAAKPEEATKRAPFVKINMDGIPIGRKIDLNALDCYENLSLAVDKLFRGLHAAQQDPLAAGTRECPQESVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWGMFVSSVKRLRVLKTSDLSSSVTNSIRP >Dexi2A01G0023950.1:cds pep primary_assembly:Fonio_CM05836:2A:35630033:35630591:1 gene:Dexi2A01G0023950 transcript:Dexi2A01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEDYVRQSVAEKDTEALLLRDVLLDGILAIGTLGHHVDSLCPEACIEEDDCLIMYEEEAIEEEKDEEEPRNDKVKECTALATTLSEPVVPVIEPAKMHSSSMKEDTFTCFVAEEILMHEVEDGGAPNIQEQPLLLVEKVEKQKHSHLVLRGEELPGYCNCCWGIHFKDYIVP >Dexi9B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:9B:5959687:5960218:1 gene:Dexi9B01G0009670 transcript:Dexi9B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPLVVVVLDADAARGLRRVEVPPGHVLPHLRWLRRDDARSRKAGLQAPAEPILLLLRWAWRPEDHPGSACFFAHAPRLGGPRTHPTISASFASHAAGCHGGDPKLFPPTIRAAWPPSMPGIPICRRWSNPEEWSSVLLAGFE >DexiUA01G0027080.1:cds pep primary_assembly:Fonio_CM05836:UA:57821640:57832586:1 gene:DexiUA01G0027080 transcript:DexiUA01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGPRPVKDAYCLLNFGDSITTDHISPAGNIHPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHVPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLALTGHERYTIHLPTNVSDIKPGQDVTVTTDTGKSFTCTLRFDTEVELAYYDHGGILPDREGREVPLGECTRSGSTSMAAMGHEDDDVDHYEVLCLPSGEEGAALTVEQIEKAYRTQSRLRHPDKRPDDPNATADFQLLSSSYKLLRDESLRRHLVKNHLGA >Dexi3B01G0031030.1:cds pep primary_assembly:Fonio_CM05836:3B:31359542:31364169:-1 gene:Dexi3B01G0031030 transcript:Dexi3B01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFRFDPDGSDDEAPAARRKPAAQSPWEFSSYAESVAEEHARRRTTSIDEKISQLRNGRGKPVLSDDDSESGSGEDDSDDEEVEGESGDDEDELEESEDEELEGSGDEEEEGEEEEEEEAEGSGDEEAGSEGEGEEEEGDEQREEEEEDAEEEEDKAKTLDPSKFFASSEGASFHANSFLELNLSRPLIRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQIHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHVRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEIDELVKLSLNKPVRLEADPSLKRPATLTEDGTKQSAHRLKIIFGLSGLKAAELHGNLTQAQRLEPIK >Dexi2A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:2A:5291414:5292823:1 gene:Dexi2A01G0005500 transcript:Dexi2A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGSVPDDALVEMFELLPAKSLHRFKCVSKSWCAMLTDPLHRHRFAQTLAGFLFLDVAAASASDTAAGTESCHDSVQDDDDDRGESSRRTCPHTTRLSKSNRRATRRFVNVSRMAEPLIDAMFPFLPPPPPPPLSGEGFFFRDVILDARDGLILLARVLHNHDSSAASSPDHHPPASYFVCNPATSRWAVVPSSGWVPSSSQRTTRTFLLFDAATSPHVFHLIQFCVSDMDTVQAIYTYSSSDATWTNRELQWHAGGWRHWGRAMALIQPGTGASVAIAGGGLLLHLVVDTDGTTGPNNLVAVDEEGSVRRAIPLPRRDVAEKDWHSVFVSMSQGRLHFVMCVRPPHGRLSEEQPLKMLVWVLGEDDTGDGEWVLKHTVSFPELFGRIACQFRVEYSVVAVHPDGDWVFFVRHWDRKLVAYDMGRREVIVVADLGDGGGELGGDELPTPYVPLYCESLALTNGMNEQ >Dexi3B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:3B:2112015:2115863:-1 gene:Dexi3B01G0003240 transcript:Dexi3B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSSTGHSRQDPSLLGYGFHGAIANSTPANFFDQEGGTYFGELEEALMHQVASFIRAQQVAATSTAHHGDTKPFPTAVTATAATATARPPPTLDIFPAWPMRSLHTPKEGSNLTAESTDDSETSSKNNSNHSSDSDQHGGAANMVPSQLDQGSQQQQAIQHKAYIQQLESCKLKLAQMEQDVQRAHSQGLFLGGATGANTSSGAAMFDAEYVRWLDDHGRRMAELHGALNAHLPDGDLRAIVDDTLTHHDELFRLKAVAAKSDVLHLITGVWTTPAERCFLWMGGFRPSDMLKTLLPQLDPLTEQQLVGICNLQQSSQQAEEALSQGLEQLHQSLADTMVGGSPTDDANMSFMGQMALALGKLANLEDGQ >Dexi7A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:7A:28846843:28848539:1 gene:Dexi7A01G0019820 transcript:Dexi7A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDKMTKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMEKNSHFKGLQELGPLSVFRADLDEEGSFDDAIAGCDYAFLVAAPVNLMAEDPEVHNKPKEQIEPSVRGTLNMLRSCVKAGTVRRVVLTSSAAGVYMRPELQGDGHVLDEESWSDVEYLRAEKPPTWGYCVSKVLVEKAASRFAAEHGISLVTVCPVITAGAAPATRVRTSVIDSLSLLSGDEKGLAVLKGIERTSGAVQLVHVDDLCRAELFVAEEAAAAGRYLCSGLNTTVVELARFLSRKYPQYNVKTDFTDDDQLLEKPRVVLSSAKLAREGFEFRYTTLDEIYDDVVEYGKALGILPY >Dexi9A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:9A:1137618:1138679:1 gene:Dexi9A01G0002140 transcript:Dexi9A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDMMMGHGPSDKKLIDDYLLPKISGKRVAGGGFCIHDADVYSDHPYNLARKHEPAVVTAGGKIWYFFSPTRYVGGKKASRRRPGCSRQRSWTVVGADGKKKGTWNPRRTKHVVHGSAAGGCFRTLKYQEATPDGGIVRPGWMMVEYGVSDEHGGGEVVLCKVYKSPANGAGSSHAPSTSRSASASPAGKRKADAVERPDEALTTISQRRRKEMDVDDAMSFAQTLESELMCYFGIDKDSPGGQDQPTNAAVPPCPARPEEGAANDDDDVMEISVEEFLCSSAPSGQPEKADVMESSAGKLVVASSPELIDYYSDDEVLACPPMDDEYVERITSEPFEFLSDD >Dexi9A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:9A:8495300:8496246:1 gene:Dexi9A01G0013250 transcript:Dexi9A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAVGRKWTKRVQKEWKALENDLPDAIYVRVFEDRMDLLRAVIVGASGTPYHDGLFFFDLHLPPSYPAEPPQVNYLSFGLHVNPNLYPSGTVCLSLLNTFGGQGTELWLPEASSVLQVVVSIQGLVLTAQPYYNEPAHGDQAGTAVGRRNELPYGENTYLLTLQTMLHLLRRPPAGFEELVADHFRRRGQHVLRACEAYLEGCLVGTLDGEARATEGSRERPCSAGFRLALRNVLPRLVEAFAGIGAQGCEQFHKFQAPTTFTLQPAV >Dexi3A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:3A:8508772:8510536:1 gene:Dexi3A01G0011780 transcript:Dexi3A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSATKRRLHSTHRPGIAHRDSTAASAFLAAHQPPSSSQHELPPTPVYASHDRSRRPPSILHYFTPGLHSSPAKEQKLMHVAMHALEAQARRDPVRREFQDRVKASCLLWFRRSPPQGTRATECDDAKRPPEDDTNTRSRAQTARSTSAPCHCPGEACGFAGSTAALRDHVASAHGWPVEVEPSLHSPFSVRLRDGFNFVVSVDGDHFLLNVSRHRFIHTVSAVCIRRPTVPGSEKGAFVLETSYDASFHKQATSFEVADSDLADGCPPILFLPYRNDMDMEVTVTIFYVPICMEDDGP >Dexi5B01G0038360.1:cds pep primary_assembly:Fonio_CM05836:5B:37574920:37577641:-1 gene:Dexi5B01G0038360 transcript:Dexi5B01G0038360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQPLAISAASADCSSTASPRTTPLLLPLTDPVNRRRAPPPPPERVMGTVEAGITDPADDADRARLQQLGYRQELKRGLSVVSNFAFSFAIISVLTGVTTTYNTGLRYGGPASMTLGWLVVAFFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWWACTTSVDFSLAQLIQVIVLLSTGGANGGGYLASKYVVIAIYTAILIVHGLINSLPIHWLSWFGQLGAFWNVAGVFLLVILIPSVAKERASAEFIFTHFNTDNGMGIHSKPYILALGLLMSQYSSIGYDTSAHMTEETKNADWSGPMGIVSSVALSGIFRWIFLLALTSVVTDIPYLLDTSNDAGGYAIAQALYDTFHRRYGSGAGGIACLTVIAVAVFLCGTACVTSNSRMGYAFSRDGAMPFSNVWHRVNKHEVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSITTLGLYISYALPIVFRVTTARRSFVPGPFHLGRYGVAVGAVAVVWVALVTVLFCLPVAYPVAKDNFNYTPVAVGGVLFLSLAAWVLHAQFWFRGPVTNVVDAA >Dexi1B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:1B:1519747:1520076:-1 gene:Dexi1B01G0001930 transcript:Dexi1B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQRQRAGRDELPEQPVEPADDVVLPGHHQQLCLDAGRAMVLCGVLVVVAAFHRADGSSSLALFGFVLWIVGACLCFLALTPVAPRAARVGAAAASIVLRRLSPLLS >Dexi5B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:5B:21210878:21211819:1 gene:Dexi5B01G0018860 transcript:Dexi5B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPHNFDPSKIPRRRRPKNDQIKVRMMLPMTLRCAACGEYLGRGTKFNARKEDAAGERYLGAIQVFRFYIRCSRCSAEIAFKTDPASSDYAVESGATRSLDGPWRGADEEAAAARRREDAGGDAMAALEARARDGRREMEADAALEEARELSARRARVAPEEALESLHDRYQATEGREALRELEQEADEALVKSIRFRNSTGYLKRIEEEDDHEEDFVAASLASRAVANQQAHKKRRQAADRPPMVIVSKRRCAAAVPEESSARDDDLANALEAAKASTGKTKASSGALQALCCSYDESSGEES >DexiUA01G0012290.1:cds pep primary_assembly:Fonio_CM05836:UA:24833008:24833307:-1 gene:DexiUA01G0012290 transcript:DexiUA01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLDQHWRRGSKARETPPKLSGGRPVVGGGSCGPGHRNTAIYRWPWEWVALHRQIWRAAWEGGAGKAVETQPKLGCGRPVEDGGSCGPRRSNTTMI >Dexi1A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:1A:20055201:20056379:1 gene:Dexi1A01G0014040 transcript:Dexi1A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSLCRIYDSCMCANAPIFMGIEQFPQFSHLQELIGLAAADSVELGHIVEPPVIRTATSILPLGWNGVPGGKSAEPLKVDIIGHGLHLCTLFQAQINGNWYSTVIETLPSATSYSPDEEMQPTLQKMRILVGHPLKQPPNYTSEDFMVPVITGADSNLDFRFESLFEDKDCCKGLSGFLIYGTNDFVTVRKEVYVRTRRVRLLGLEVPPYLWVLL >Dexi1B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:1B:11637263:11638438:-1 gene:Dexi1B01G0011490 transcript:Dexi1B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDIDLRTTRLSLDKAFPKDEDGGIPAKDDRRLRRLAETRENKEELRADHRRIRQAEIVSTVDEQNERQEADVDEEDEEAQEERRRRIRERQLLREQEELLPQEEEEPVEDEVSEESEYETDSEDEQMGIAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRKIVVEEIKKEEHIEKALNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLHQNKQKWKFMQKYYHKGAFFQESADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAP >Dexi3B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:3B:138324:142119:-1 gene:Dexi3B01G0000140 transcript:Dexi3B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHLSYCSTPSSSDGLRRFLSQVQGESPADVDRILATLAPTSAGHGIARLVTRSPAPAQPTLDDFFGFLFSPDLNPPITNQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDVPIIKALQRGVRVIELDMWPNSSKNNVDILHGGTLTAPVEMIRCLKSIKEYAFCASNYPLVITLEDHLTANLQAKVAEMLTETFGDLLFIPSSDPMKEFPSPEALMKRIIISTKPPQEYKEFLKVKDNQNGSGNIADLPDQGSLRRIDSNADESDGKEELDEQDEEDSDEDDPKFLQDTACEYRKLITIQAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATTSHGAEVIRFTQKNILRVYPKGTRVNSSNYDPLNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPNGEVFDPKASLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIAGVKADSVMKKTRVLEDQWVPVWDEEFTFPLTVPELALLRIEVQEYDMSEKHDFGGQTVLPVWELKQGIRAVPLHDRKGVRYKSVRLLMRFNFV >Dexi5B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:5B:13783694:13787202:-1 gene:Dexi5B01G0016220 transcript:Dexi5B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAAVAMAAASLCDDLEPATVRTRIRDILAAGAARAGESVVVGGWVRTGREQGKGTFAFLELNDGSCATTLQVIVDATVHPLARLIATGTSMLVEGTIKEPPEGTKQNVELKVSRVLEVGEVDAAAYPLPKSKVKLTLEKLRDVVHLRSRTNTAEKTEKELKEHPAPSDSEIEAAKVLVKEKGDAVAQLKAAKASKQEISAAVDVLNRAKENVSKLEERSKLKPGIPRRDDGSIAFENDFFKRQAFLTVSGQLQDDMNCAEKYVQYLCKWLLDHCREDMEFMVKNYDKSAIERLELVSSTPFVRISYTNAVELLKNVTGKKFDNKVEWGIDLASEHERYLTEEIFKKPVIVYNYPKGIKAFYMKLNDDDKTVAAMDVLVPKVGELIGGSQREERLDVLKQRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >DexiUA01G0006980.1:cds pep primary_assembly:Fonio_CM05836:UA:13353794:13357612:-1 gene:DexiUA01G0006980 transcript:DexiUA01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVVSPLIPPPLEIDLEAGGGGGGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWCPPPLPAEHISQLKSLGLL >Dexi5B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:5B:2379962:2381219:-1 gene:Dexi5B01G0003540 transcript:Dexi5B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGRLGEAGDSGLELSLGLPAYFAKQPSEGLDAGEVPSDAAALALQAAKGSDGSKTRVRPPAAAPVVGWPPVRSFRRNLASSSRQSPQSSSAHHHDAGVKGATAAKGGAAAGEGLHKGPGLFVKINMDGVPIGRKVDLRAYGGYVELSAAVGKLFRGLLAAQRDPGAAAVSRRGGEEAEEPVIGGEYTLVYEDEEGDRVLVGDVPWEMFVATAKRLRVLKSSDLTPSSLRAGGGRKRAAADC >Dexi2B01G0026150.1:cds pep primary_assembly:Fonio_CM05836:2B:35270092:35272072:1 gene:Dexi2B01G0026150 transcript:Dexi2B01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLRSLLCLLLDRWVDVRGRTEQRQSEGGREEDMECLLGLLKVKVVRGVNLAICDPLTHSSDPYVVLRLGHQKVKSSIKYKTINPEWNEELTLSITNMMHPVKIELFDHDTFTKDDGMGDAEFCILDFVEIAKRDLSDVPDGTVMKTIHPEKGNCFATESHITWKDGKVSQDIVLRLRNTETGELVLHLHWVNIPGVAR >Dexi7B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:7B:19608949:19611678:-1 gene:Dexi7B01G0013000 transcript:Dexi7B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVNVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTLLRETIVSMAVAGAIVGAAFGGWMNDRFGRKPSIIIADALFFGGAMVMAFSPTPAVIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFILMLMLPESPRWLYRKGRKEEAAAILQKIYPAHDQVEQEIDAMRQSVEEEILLEGSIGEQGLIGKLRKALSSKVVRRGLMAGVIVQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFLGAAHHAPSVSDQETQLFANQTQTCPEFNPNLLPGACLALNDASRRTCRADQREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCGVSFLALVIVFFTVPETKGLQFEEVERMLERKDYKPWKRYHGVSDVEPAKARENGLTTP >Dexi4A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:4A:23396623:23399774:-1 gene:Dexi4A01G0019550 transcript:Dexi4A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSLLVFLFVLLPAAGNAAATVAAPAPSPSGNAAFNVTEILAPYPELKLFNMLLSKMLLAGEINSRSSITILATNNLCVEWLLRRTARLPRTSVADIVSFHVVLDYIDAARLAALPRGAQPIVFTTLYQTTGRARNRTGFVNITAEPRDVVFAPATPGSRGACVMPTPQEVVRLSRVRSVSTLNCVHATHRTISACSCIGERSMAPNLSLLVFLFLLLPVAGNAAATAPPTPSPSGNGSAFNVTAILARYPEFKLFNLLLSKTQVAREINSRNSITVLATNNAAVDWLLRRSSKLSRTSLAELMSVHVILDYIDAAKLAALPRGGQPAVATTLFQTTGTARNRTGFLNITAAPRGGGAVFVSAAPGSLVSATFKRVVTAKPYNISVLQISNFIVPPHIVTRPLPPSPPAPRMRQMAIAPSPAPTVAQFPTTQPSSQGDTSEAPEAEAPAPSHGGHVGKATSWWIGVAVGMSCMLRFL >Dexi7A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:7A:19757892:19762381:-1 gene:Dexi7A01G0008530 transcript:Dexi7A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLKRNQQAIQLYGQGGVFGLSPPETTAPPRDSRKKRCSYREDRHCQASKLVQQVTHDDTPLYEVTYTHEHTCNAAHVPVPAVEVEDERPATASGGGLLLTFGSSGAGHRRNTQIRLKEEQQEYHHNPNPFLMMNLNHQNNNSQPHAIPSHRVPPPTTLSSSSQPFPIMESSSSPTLPWTDDEDDILTWDWDYSPAYDLDDHLQFGADHVQFPWKSDGGSALANLGMEMEGWRVGLAVSPPDNNTIHYYIALRPLPCFTFGRIAAIVDQGATSCCASGTLYFLLSALRTQYAIPEGPCNCPDCCVHLWCEPCALCQEYRELKARGFDMSAGTMLC >Dexi9A01G0032400.1:cds pep primary_assembly:Fonio_CM05836:9A:37277598:37280505:1 gene:Dexi9A01G0032400 transcript:Dexi9A01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTVVCEICGSGSLPHLIANCARCNASQHRYCMRVLTYVIPNEWYCAGCQEYADGCSKPSQGGQTELEKLWHGCDKIKERETARLHLSHSTVAHQIYPRSSNKFGNAKVKFISSEEVASLSRERPLYVRPTIVVQPSKAHSSSPPNRQHTSNFKCMSPSSSESQVQARKRCAAASRDQIKIGERSYFTTQQRQTHPASPPSVKQPSNMSLSPSRSDMQVPALKRCAAASRDQAKIEGMPDFGMRQGRVRPATPPHVKRLSNMTCISPNRTEMRVHAMKQSTSASHDQAKIDDINMKWDTGSGGSIPIIHRCTTSEPVEVKAAALFEDKARETKIVNTDEGEINSEIQDEPRENGPLCSSECDTGSKSETKSLNQNRDVLLCIDSSLDYTRRPPPQICWMGCFHVFNAGANINLGEFKAQFPSKVSSRVYDIAKMIPIDLQLELLPRMNDWPKSFETSGPVYEDIGLFFFSDEPDG >Dexi9B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:9B:10594438:10601703:-1 gene:Dexi9B01G0015530 transcript:Dexi9B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSAAQAARAFGFPTTTAAAAGGSGGGAGDAPAVRTLDLDRFRPSYNVSPGAYLPVGTLRAHTATGGDGGRGDGGVEPVIQCMKWGLVPSFTGKNEKPDHFRMYYLTFASREIIHTFTILTTRASTSLKWLHDRMPVILGDNASVNIWLNDASVKLEEITAPYEGADLVWYPVTPAMGKTSFDGPECIKEMHTGPREKPISNFFTKKSSAEDQSLKPEKITLECAKTHASRASKVECDEAVENQPDDVNRQQPEDKQNTSSIVKDEPVSLEHQVFGKPQSIKDEDIMTSTDITIEKRDDSGIKRKIEDTEVKSEMIENSVWSHSQPTTTKKGKGAPSDGQASLLSYFARM >Dexi1B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:1B:22415538:22417604:-1 gene:Dexi1B01G0015880 transcript:Dexi1B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLSKKDCIGRYYAKDAKYKPFSALLPEGSSGKMLYVKLVLVLLMCGSFMGLLHSPSIHLADEHHHTQSQVSKASWMSHPDEPNSGYSSSLKIDWSHIEAAVKEVSPAEDGRGGVLRVALLNFDDGEVEQWRARLPPSAEASTVLLDHVSSDVTWEHLYPEWIDEEELYGSPPSCPDLPEPAVTEAEAPYDVVAVKLPCRRAASWSKDVARLHLQLAAARLAARHAGRRAAHVLVVSRCFPTPNLFRCRDEVARHGDVWLYRPDADDLNRKLALPVGSCKLAMPFKALGEAYVSSAPEREAYATILHSEHLYACGAITAAQSIRMAGSGRDMVALVDESTISARHRSALEAAGWKVRPIRRIRNPRASTDAYNEWNYSKFWLWSLTEYDRVIFLDADLLVQRPMEPLFAMPEVSATGNDGARFNSGVMVVEPCNCTFRLLTDHVGDIVSYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDTPERVAAKRRVMAADPPVVLAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWKVHDAMPKRLQGFCLLGERQKALLRWDVARAREANASDGHWEVRIKDPRRSICCAGDGGEDCREREIAGRRVEGNRITTSYAKLIANF >Dexi5A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:5A:10026303:10027481:-1 gene:Dexi5A01G0013330 transcript:Dexi5A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHPLPQSGSAPSSGDEFFETQSAEWLWSSSFSDDQNAAAVSSEMLGSGAARSEEQPVPQHTQTTDDIFMGQFSDEEMRRMDGPFEALDMFPDSMHRLQSYEDMLSSVLTGSSENQDTKLGRNWVHTMDTCGFPLFSHDVQNAEPNSAGMLADTLSKDEAGMGTVKRSRSSVDDKSSGCFEALVLEELEDVVFQLTKKTRICFRDALFRLAETSSKARGGGASGAAGSGCPERETNAIDRTVADMMGRPPCPASVQIHGSSCAGGPGAEAQSTTSWTARV >Dexi1B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:1B:14921548:14930455:-1 gene:Dexi1B01G0012260 transcript:Dexi1B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSGVNDPWLKPRLLRAVVGERLPQPGGAVLPPVELASLLDAVRIHGLLREGLPGCSPANPKLTEAWRAAVDSWVERIVALVESDSEPSSLHLVTVISCTSMSDLFVRLAKFGNLKKEASSFAGSIVEPVLQILNENGLVADEAIDLLRTIIKLYPSSVNRHYNKVESAIAAKVMSTEVNVKPSEKFARTLALLPSVRVSEDSWSLMIQRILIVVNNLLNDAFMGLEDEKNGHDIMLLLVPPGVDPPPILGDQIRFGGNVHVTKKFRICTVPTISALMHCCSVMLTSYYPVQLFSSYWQKVNVPMCALVALMRRVLLVDGSLHKKLLPSTTSLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHGASIIRLITEYFKIAKLPTLRTKAYSILQLLMTSMGVGRSFFIFLVAPVLIQMLCFLLSGTALHLLETTVSNAIADLNDDCGSDMAIINTNPSKVTNESSSKKFSKKRKQELQVQNSTVSDSEKAGISPRKRKGSSIQYASKGMAPETTGDFRISTPLSVKIAALETLETLLNVQGGSLRADQWRSEVDLLLINVAKSACNQGGSYGAKISTFGEPSISDLQLASLKALLASFLSSPYARPPYLAKGIELFTKEKAVPVGSGLNYGLQGMTVFGGGTYQISSYKDQPQAMEVEDMYDNWLTSTKDDEPAEAPVNGNAIATGTNTVGTTLEHRRQLDPVAEDPIIDPPRVTAAAQGAPSSSNSDVNMVDAAAEEIAKHKTVDNPSSSNAFSAPVYTTNSDSQRHVTPSFPEQKQTQFSHLENRSPAVNAPSSKLGTSDEVSDVPVASGIHQAPEGRSTSFAELFGSESGVDSGSEDSIPDIVDGDPDSD >Dexi5B01G0022320.1:cds pep primary_assembly:Fonio_CM05836:5B:24622091:24625963:-1 gene:Dexi5B01G0022320 transcript:Dexi5B01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDENEQTESEQDDSGNPVKKSKLSSLVKGFHEDYQYLHKHYKELISKLEDTDRSSSGSDSSDSDIEGDRSDNDVTTPKVALNEGNDLNHRSAEDHSMEAEIEKLKQTKVQVKEISDLKQLLDTSIQDKEAIRVELSSEVTNLLSENENLKSLVETAKREEGELLNTVKSMESEVTKLSMEKQITERERDNIKMLVVDLEKEKEDLSNQLKDTVNKFDLLSSELKKAQQAEKEVQTLLEENQKLKNDRLMLSVEHDNLKSLHQNLDIECSQLKATIAETSAQNESLIAKNHSAETKLQQLGVDIDGLKVEIAELMKNLDKERLTAAEEKERLISENSMYLNESEKAQSSVKDLEKELESTKCVLNSNIAELQKEKNSATSKIEQLEASLMNLKTELAQQLERISHMQKANEGLELANSNLHNELVEVQGQKNEAAVSVINLESKLEQQVQDISNLQEANKDLKAAKTDLYNEVKALQEDKNAALAKLQQSEANINTLHSKLEHRQNQISFFQRANEELQITNSSLQKQLEESRINLQNEIIALQGEKEEVINSLQQSNDSVKTLGVQLELQLQQISVLQLANEDLQNSNCNLKMQLEEAKNSHLAEILALQSEKNKIISELQQSEASLKNIRIELEQGKEQITIMNLANEDLKDNIAILDKQLEEVRSSLHAEIAQLHAEKDRALSELQTSQASVTNLESVLEKQNEKISTLDQANDQLQKNICTLTKQSEQTKAELQQEVEATREEKDTTLTLLKQSETSVQALENEVTRLKEELSVQLENNSALEKQLEEVRNSMHAEIAELRAEKDTSLLELQASQASVRKLEIVLQTQNEKISTLQEANDELQKNICTLTEQSEQAKAELQQEVKATQEEKDVVLTQLKQSEDSVQNLQNEVTRLKDDLSVQLENNSTLDMKLEEAILKASILHENLEKAQAEAACQIDDMSTKTEDLEKKINILSAEKTNLEKGLKKMIEAFSENMSFFTEFEDRVTEKISDHEAGLTVLHQSLRGIVGSCQKLQYAYDEVSTRASQLEILKRSQIKQIEQLEKKSTETLDKLRLLEEEKLSSNKEHTKLQKHTQDLEVQLQLAKQKLKVTEAENKCKEDSYARAVETSQAEVHHLEELVHRFSGRVSLLEETLMQVKEHAESGASKFADKLDELESRFSHSFSFFVDRSSACGEELKVLRKKLHDHLEEQKEFVKGNDEMAVRLREKENMVIEMAKNAVEAEAKMVQLEKTVAEKEEELTARVQEKREAIKQLSDAIVYHKNYSDDLVRYIRSNNRPRLPFCL >Dexi2A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:2A:33406890:33411619:-1 gene:Dexi2A01G0021280 transcript:Dexi2A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALACLRRNPKRRRDAPDHALPPSSKQPLMAGADSAESSSSAEASASGADGASASAGAAAASSPPASPREAHFFVRATDSKTIAMHAGWDDTVGAVLQHLGACGYGRDLRLLHEGRQLAPEATLAELRLPKDSTLHLAARLRSTQHPHAWQLAAHIAATAAGAESGPASVPPAAFSLDELVKEFILRGHRANLGLSRHDRGGEDRATGDHAAEYLDIFIQAGAALALVRLYLSKSPFRSYAERAIKYFIATDPSSLPMDVLVLTAPVLLEFCRLLSLAAGKKELLYRSCRRSLASVLSSRPKLPPSMNSPTRLVEQILPFARETVDMVLEGLTSMSMIVSPIDLDEFTNFFKVMCQQARHWISGDGPMPRNLYSRESEHGNTWIWWFHSMSMDVLKRLDECLKRLEMDLSLSSENTGVVESQTVWAARSHILVVLTELDFISGIYEDVGHNLQLVLMAHRAPLNALVRCSKRNERLHWLGKYKNLLCFEARRNLVFMMLPEGRDDFGELHEMLIDRSHLLDESFNYIAQARHSELRGGLFMEFKNEEATGPGVLREWFCLVCQALFSPKQVLFSPCPEDKRRFYLNETQLTHFTQGFADILVKPERRKDFFECLDLEDFDRLLGGSNDTINLQDWKSNTQYNGYKEKDRQITWFWKAVERMPIEQQRQLLFFWTSVKYLPSDGFSGLSSKLYIYKTSDSPDRLPSSQTCFYRLCLPPYTSLKMMETQLQKITQEHVSCSFGAW >Dexi2A01G0034990.1:cds pep primary_assembly:Fonio_CM05836:2A:44746851:44747185:-1 gene:Dexi2A01G0034990 transcript:Dexi2A01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENAKSFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPHNAQKAVAR >Dexi3B01G0030050.1:cds pep primary_assembly:Fonio_CM05836:3B:29467730:29467983:1 gene:Dexi3B01G0030050 transcript:Dexi3B01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADAWVPLLPSHGAATAGPGSTSASDSASASASDDIPSNITSTDANSDSAAAADRLP >Dexi4A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:4A:24835094:24836581:-1 gene:Dexi4A01G0021210 transcript:Dexi4A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEVGDHSHGCRRNNPLQCELRSTNRSTVNGGDAFLLASGISLPRNSTSSPAAQASSARDCGLACLKSCNCTAYSYGSSGCVLWYGGLLNLQRLVDDTSGMDDLYIRLSAMDVPSSSSEGRRNRTIVFVSIAAAVSSILALSAIVLSMLVRMFRRRKQRRMTFMQAASEGGNLVAFEYSDVRRATNNFSEKLGAGSFGSVYKGTLPGVGGAAVAVKKLEVAGGLLCVGDKQFRNEVRTIGVIQHVNLVRLRGFCSHGADRLLVYDHMPNGSLDKALFAVRGGSPSLSWRARFQIALGAARGLLYLHEGCRDCIIHCDVKPENILLDGDMAPKVADFGMAKLLARDFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLLEIISGKRNARSWTADEEQRMSDYFPLVAARKVSGGEALVELLDERLDGDVDERELDIACRVACWCVQDDEARRPTMEQVVQALEGVVAVGVPPIPTSLHALSENAGAVGV >Dexi8B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:8B:1371771:1372650:-1 gene:Dexi8B01G0001940 transcript:Dexi8B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGPEVFSLGFRFDPKPIDVVSYYLPHLIAGAQLHVAMRPFVHDADVYAGEPGELARMFRPLPKTGQRFFFTSRKLQPQRAGKAIKATRAAGAGSWQSQGSKDVLNKVKEKVGEVTKLRYKKGGKYTDWLMDQYSCGLQDAIVGGDRQLVFCNIYVSPRAHQDSVAHQESAAFFAPPPTADAAADSTAGTHASASAGMPRPASGVRAEEAMRDEAGDEGESPAALQDDDDDLAKSLEDALAEAEAEDEAAANSEGSPMSKLQEQ >Dexi4A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:4A:1617235:1626666:1 gene:Dexi4A01G0002400 transcript:Dexi4A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRSRAALSELASGSGSPSPTPSVPCGPVRLRVVPADPAGAGAASLLLTAAAADDNVAVAKFVAVLAHSSVEISRFSDAASKGLYRQLLLFGHSVGDLGEALLEGEPQKMFACLIPLLLELYEVISGLVMILGNLLRQLDVICSVRDKNVRPLNSFRSLDLRTVFGSLGEGLAVFLLLDEILRHNGNVKSYLSLFSRMMSKVKSEVNIFDISVEDVDFLDQVVHNLQKIFDSGLFHRLLQVDSPLRSSIDLVRSNKKLLDAFYSCFVESSSEIILRIGSSKEFPLDRKTILHLVSLLLFFISATDETPDKKSMKLLTEMFQIVPVVYIEGGKRIILSDLMKCYCPAELSSLPPIKEACEAFEIMQNNYLIHLNEVQSRDIQAINDALSCWSVSFQSAVHPSSQMLTEEWVRHLQKQILQGLVLADRIHILVQSMLDLHMHLKVPLRREKAKTLCQMVVSLKSIGDLFRTRGSNIVRSLPHIINIIQSDIEQLIAPLKNKLQSEIAKVDQVSKTGFLSLLRRGSAEMETKLLDSLSLVLISLQLLEGSGSSRRQLTLSITVDILHSLGNLDVELSEVRKLLSKFRVLSNFQSLIDERTKCSFLYWRKEMLSTWLSMVYGDACKLSWLQNIIDAFSDGMSLLELGNVGPVALQSYEEDIENALREEVVAPFCRDIETDLRLHVHSTHLKRAVVVNPTKTGVRNLSWYLRMKPLQLPFKFLDVKLLVENHLNSAFYTYSVMPNYDNKIYAEMHDLGRLKYGVELEDFHLTVDTLDQGFDLRRTIQRLDSFCEKYSYSIVKQNTRVSNAHPAIQGELKVAFGKHGLGDHALNFLEQVQALVTRIGNALGLMRILAAGCTRYTNSISRYARKSNYDLSYSTSCKVAGMVDDIAEVGKMLDMEARNREALDERIQTFAILVTYVSQSFAKGKRYQQEIELIECSLRLAGTVLG >Dexi3A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:3A:11603445:11603665:-1 gene:Dexi3A01G0015600 transcript:Dexi3A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCGDRRRGRERTAVGGSEGGRTEGARDTATRRRLGDARGFRRAARAVAATPPAFSETE >Dexi4B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:4B:22171849:22178916:1 gene:Dexi4B01G0020020 transcript:Dexi4B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELCKLCIIELRCEIEQYDLCLLPLRRCRQRLSRSSTMGSPLEVAILVKEGELLAHDGGGIGGRSDCGHGDGLSGESDMRDGEAGVSGDIRRGLNSVSKIINEHGIDGVFGPVLELVDCEEKFFTAVEVTAGNIALKQRGSLENALVLKEKLVDNIRDQIAQTRSSIVMKNNEMGTDFIDKLTSEERDLLSRLNPEITELKEKFLIHRNNRIQIETRKEELETNLSMNLIRRQLELEAIISSADSRSLPLETESKDQELKNAKRNLNQLTSLLKDNVDAINNFTTNMDEKKRQRDDLKTHKAILEKTVQDGAKDLERLMNIRRIHLNKQEECMKKIRDLGSLPADTFEAYRFPVLLSCMLSLCKRNNKKQLQKMLYDCNKQLKKFSHVNQKALDQYVNFSEQREQLQRRRAELDNGDQKIKELMSVLDQKKDESIERTFKGVARHFREVFSELVQGGHGYLIMMKKKDGDAGDDDNDEDGPHDSDTERRIEKYIGVKVKVSFTGKGETQSMKQLSDMIRRLADLSGTQFIATTFRPEIVEVSDKIYGVTHNNRVSYINVVSKEQALDFIENDQTHNAS >Dexi4B01G0021540.1:cds pep primary_assembly:Fonio_CM05836:4B:23517810:23520240:-1 gene:Dexi4B01G0021540 transcript:Dexi4B01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANDQTQLTDASLVMSQGKMVEYLFDDNFSVSRLDPDGKKFDKVTRIEAHNEQMYMQLDIATEIYPMRVGDKFNMVLAPTLNLDGTPDTGYYTQAGRKTLADKFDYVMHGKLYKISEDSSTSSSAKVYAFTKLETVL >Dexi7B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:7B:7237498:7239713:1 gene:Dexi7B01G0003170 transcript:Dexi7B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATDTASDTPRTTSTTSIQDEGDYFSSSPMPYYDWSSSPTADNTFQINLAQKSYDKTKGFPYLHCWTEVRHTEKFQTVYEAMKQAQGKRQKPKETTPSQEAHEDDRVPSKRPPEMKAEEHQKRDQRWKAQKELEERKLLWEQEQKIMFCDTSVLDETQKAYVIAMRKHIASAKEASVKGGLSTSEQGSGGDPEEAESLM >Dexi2A01G0037530.1:cds pep primary_assembly:Fonio_CM05836:2A:47078660:47079861:-1 gene:Dexi2A01G0037530 transcript:Dexi2A01G0037530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKHHKAPGSSRKANRAPLVLRRTMLHSCLCFLLGLVTGLAPTDWVSRAAADANAEVLRTAALLASGTRRETKQQQHHHHLLVVVTTTAPSERERRSAGLTRTAHALRLVSPPVLWLVVEAAGEAPPTATLLRRTGVPYRHLTYADNFTSSSSKEERHHQRNVALAHVESHRLRGVVLFAGLADVYDLRLLHNLRRNIRTTVGAWPMATVSEQHRTVALEGPVCCNTTTTTTTGGWFTASSAAGFSAVVGPTPPLHKEDSVHGFAFASDLLWDPARWDRFPTSEPDQSQDSVKFLQRLVVEDYNKTTPVILACSHVMAWRVDATLLLL >Dexi5B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:5B:897051:900475:-1 gene:Dexi5B01G0001370 transcript:Dexi5B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFIEPAPWRPSNATKSCLESLVEVGVLSPNVDGEPPIWISPGAATEPDLPAGYVVSLARFHERGLGVPVGRFMRALCFHYKVELHNFSPNAISQAAVFVAVCEGYLGIEAHWDLWRHLFLGELFSEPVSKGVRRPARAGGLVLQVRRSRKDLYIPCSMVSNNQDWDKGWFYLRNDGGRLPPYIGKLLTKKQDYWSFGVSPPEQKEKLAPLTEALQRLSRHGLTAGGVISNFHRRRVLPLMQRRLPLYKMTPDADLTGTAMTPTSPARRWLPEPPSQGVSRCQYSKPPVPEDKAVNRALAEKAKEAKARREARWKRKDRRKKKHLAENRERAEQGLPPLPTPESSPNPDRSDEDGGARSPSPFELPISSRVSTGGPALAAASGGGGEEIVDLGTPPSTVVPSSGGMSGEAVAAPEGPQDRGEAPEQPSAVEEAPPRGPEVVVSQADAVHPAAGEAASRETPQGEADASAGGEASRMAPQGEADASAGGEASRMAPQGEAGATTGGEVPGVAPVSTSRPKRKLPFVRRSEGRSVPSLVPTKALKIGPSSSPHPSSQLLGPTNEVVQDFVTFFDTQAELQVEQQPREEAPRVLEEPRPPQLLEGAVEPRAEAARPEEATPAPGEAPRVEEPSAAPVEADATVGRNFGGGFPHLKELAEALGVGAPVMQGHESGEAAPSSLVVAPMGPAPAWSYGAYARGTAEMWQSRRELPEQFAREAVAEERLWEVQSSHGQDVRRALRDILHLHDETGKVHQELRNQAFAKNDQIAALLLELRRLSGALEARDHQLADLRGARDRVGEVIARLDGSVSALREQLANSSSWLENERAARGAAELAVEEERRLVVEARDQLELERTARATAEQQAREAEKALEAAQGQVEALKQALQAETESKEVVLVAQSATQRELDELVQAASAACNEIAGADVHRLRALGGHFTSRVKEVLLLGVRKALGVVTTHYQTDLSKLAAGYVVADELNDEEAVMEEADAAADGTARVLAGHFEGVLFPGEDGGGWDDLGGGGDP >Dexi9B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:9B:9129479:9130162:1 gene:Dexi9B01G0013620 transcript:Dexi9B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWALRATAPTIPACSTAGSTPASAPHNWTAVPHCGASPSRLRLINIHTGAIIAEVEHSELSTHRCFGVVDGLLVLCDDDEATNHAVRLLNPLAGALARFPAITDVRDTRPTTAAVLNAFSWGPLTREEMRAVIMSTPKVEVPGDPSAINGAAIDDSTSPPTLQEPLHNVNGEIDFHTLLSFRGHHCYVSTWHGDCGARL >Dexi3B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:3B:6740434:6747264:1 gene:Dexi3B01G0009730 transcript:Dexi3B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEEAGDAVMAYALAAIADEGAGPGETAAALSALCDMLSLSGPEFIAAIPASPLAERLPRLAAAPAAGAADDGDVPLLAVRAMAEACEGAPLWAVRFAQHGAIEALRDRLLAVHCIELADEVGALFPXXXXXXXXXPAAGAADDGDVPLLAVRAMAEACEGAPLWAVRFAQHGAIEALRDRLLAVHCIELADECLRALDAISTECPNVCLSRGVAAAVLQFFDFFSTNKQKVALQIVSNIFNDYAEAYAPTAMEAVPALCNLLQSSDKTILESAISCLALAAAGASKNSEHMGKLCETNAVEATMSLMGNEGWKSINDDTLTGILGLLKNLASVSAKAVKSLFELDFCELLKQMITYYSSFHLDSDKMQMLVELIYHLIPPLGASEQHAKLFTAKKNVIMGQSTYMNQLASIAILIVQVAKSAALTSLCYSCVVVICNIVELSTPDFLMELQKSVNLSSNEDFSNGHEVLGQLNEVQSRLLKFASLALTMSNEGSANPLGILVEKLLDTLHLCYDSFPVMLSDEQSAHESVMIPLRYTEEPTSLELKFRKSLREKELRNYNDVLSVNLFSTPDAIEPVLFSEVCRDQEPASKNSNQEKEANGSRKLDDRSRSSKLKFSYNGVMLHPSTTFCESILRLMNKGQSDLLIDSSFWDEEHNITYRKGNESKEISSQSSYNTQLSRVQENLQHTWLKDPFFTATLLGKLPGDLDGSDPSYNLLFMLKVLEGLNRFSYQLLMDEQIRKFAEGTLQDIGDLKVTVYPVPQHQFMSRLLVNKLELQMQDSLFEDGLIPSWCVYLVETCPFLLSFDTRWKYFCLTAHRSFMTDQVNSSSDQVHNTLGQANGHQDQVKSLPQTIKYRVTRSAILEGAISMMTNHGPSSRIVEVEFEGEVGTGRGPTFEFYTTVSHELQRPGLGMWRGDNSDDGFVQASFGLFPKPWSSSGTSSQRTDFSDVLQKFKLLGHLVVRAVLDGRILDIPLSKAFYKIMLEQELDIYDIPSFDPELGKTLIEFQALVSRKKFLETSSRTSSPTAFLSYRNMKLEDLCLDFTLPGSPEYELIPGGSQKMVTLDSLEEYVSLVVDATLKSGIAKQIEAFKSGVNEVFALKTFKMFTEKEMEHILCGEQDAWALKNIEDHMEFEHGYDMSSPTIITFLEILREFGREEQRAFIQFTTGAPQLPLGGLASLDPKLTVVRKQCDGYIDDELPSVNTCRHFIKLPSYSSKEIMKKKLKYAITEGLGSFHLS >Dexi6B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:6B:18285197:18285621:-1 gene:Dexi6B01G0011210 transcript:Dexi6B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLTSGVGLREICPTGTVPIRRTLKQDVTGASMPLSRFQPDEESSGVPGQHFAMLLIDSEKGSKFQAAGAVVEVDILALPSGQRSSAQIMLVDDSSSRVSVVQAGWM >Dexi1B01G0024840.1:cds pep primary_assembly:Fonio_CM05836:1B:30004683:30005486:-1 gene:Dexi1B01G0024840 transcript:Dexi1B01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFRFAVFAISLALLASSVSSLAPAVFKVGDERGWAVPSGNGTETYNHWAKRNRFQVGDVLNFKYANDSVLLVTHDDYKQCSTETPVARFASGDTKFRLDGYGPFYFISGVPGHCEAGQRMIVRARAPSSLTGGVPAAAPGMPPTVSGGGLAPGSAPAAAPGSSPAAAPFVGYGSSATPIPIPTTSTTPSPSPMPPASGASRPALSVASSIVLGFVVVGVVNLFVVV >Dexi2A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:2A:17851337:17853857:-1 gene:Dexi2A01G0013220 transcript:Dexi2A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYASRSSGELAAAASGGGRPVRVIPLRHPPGFGSISSSTPWWQAAVGRARGMGPLEWAEAALPCVAWMRKYRWKEDFQADLAAGITVGVMLVPQN >Dexi8A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:8A:4653954:4658174:-1 gene:Dexi8A01G0005220 transcript:Dexi8A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNATTHESRASNAVPPSPSPAPATNKMDCFLTAACTPLNLQFIDVSYRIKTNHSSTPAKSSPPGRISSSSSSSSAAAAAATTGGGAAPQSDERTILNNITGEARPGELLAILGPSGSGKSTLLSILAGRLTHHTGKILAGENPLPSRSVQRRTGFVAQDDVLHPHLTVRETLLYCALLRLPRATPHAAKLAAIDAVIAELGLDSCADTIVGNAFVRGVSGGERKRVSIGHEMLVNPSLLVLDEPTSGLDSTAAARLVSTLSALARKGRTVVMSVHQPSSRVYRVFDSVLLLAEGRCLYFGAGGDAMEYFASVGFKPGFHVNPADFMLDLANGFAQADYNFTAEGGNVKQSLISSYNKVLAPKVKASISAGAAEHAHDNGHATSPPPLPESCSGCTSWCHQFTILLQRSLKERRHETFTSLRLFQIIAPSLVAGAMWWRSSPAAVADRLGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPTAFTVIVYLMAGLNPSPSAFALTLVVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLIVVQYGGHHRRLLPPEVVDGEAGPGVCVAALVAMFFGYRLLAYLALRRVRK >Dexi8A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:8A:687755:689822:-1 gene:Dexi8A01G0001030 transcript:Dexi8A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITQWVSSQEIPADLTIRIGDSIFPLHKAVMVPKCGYIRRAVAAATKDPSATVELDLSSIPGGADAFEKAARYCYGANFEITASNAAALRCAAAFLDMHADDLPRRVDDFLAQSALRSLPTAVAVLRSCEPLLRGADDLLRRAADAVALRICNEALFPTRSPPGWWTAELAALSPASFQKVETALRCRHADPHLLASAASAYAELALAEVLADPAGAGDDDHRQKVESVVEVLPSGADAPIPAAFLCRLLHAAVAIEASHKTCRDLELRVAAVLDQATAPDLLAVALDAAGERVTNTDTVRRVIAAFVERHAAPESGGGRSRRASMSGGRSVSELDAAGAMERVAKTVDEVAAEMATEESLAISKFVGVAGAVPKEARPSHDCLYRAVDIYLKTHPELDEIEREKVCSVMDPLRLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSAATEEDDGSSAAGKARAQARADAALARENEALRSELARMRAYVSGMQQQPSKGSGSSSPAPAPKKASFLGTVSRTLSRLNPFRGHHGWGKDTASSIAADGRRHSNTTHVVKPKRRRFSIS >Dexi8A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:8A:21619160:21620746:-1 gene:Dexi8A01G0012360 transcript:Dexi8A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKPLPPAQQERVLTVLSIDGGGIGGLVPATIPVWRPSSKIADYFDVIAGTSTGGLIAAMLSAPDENKRPLFAAKDISQFYLDNGPKIFPPKGGWVPSLVQSAWDKLRGGPKYDGKFLHEKIESLLKDTKVADTLSNVAMPTFDVKRMQPVLFNSMVDS >Dexi4A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:4A:24722842:24724645:-1 gene:Dexi4A01G0021000 transcript:Dexi4A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPARVDWIDQERKQRSDARCVIDVAGLSSLGYSSPHCRPLNTVVDLPIAMARAGVDPTCNARESRSWRATGQHPTTVGLAPFLRCLSLMRPARSLYSCDSDFQRVYPPPPDRSTGDHREYLSARPHQIN >Dexi1B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:1B:5205862:5208758:-1 gene:Dexi1B01G0006310 transcript:Dexi1B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSALRSASVPISAFLLPCPRTLAFYSLPDWAASFVDLWMPRSSSNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAMLYERRELAKDFVVSGTSSESLYGACESMYKPNMEPEELFETVSQALMASVDRDCLAGWGGHVFIVTPTEVQERVVKGRMD >Dexi2A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:2A:6044063:6044739:-1 gene:Dexi2A01G0006350 transcript:Dexi2A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRRRLRRSRSPPELEAAAGGEPVDLERDLDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQAFQRIDVVSRDFDNIVELELGAPWPLPPLEVTATLAHKFEIVGTSGVKINFDKTMVKTKGSLSQLPPLEVPRIPDNLRPPSNTGSGVFEVTYLDGDTRITRGDRGELRVFVIA >Dexi2A01G0031500.1:cds pep primary_assembly:Fonio_CM05836:2A:42224495:42226127:1 gene:Dexi2A01G0031500 transcript:Dexi2A01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLAGMKLGLLLWIAGGGGGEGRKDAMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSAVAERVTMDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYGMSRVHNFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGFGMGMNIEGGMGGNFGANSGFINSSNGRQIGSYYNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWSNGNLNYSGNPTNANAFGPPGSGGGIPGDGISWGGLTSAHGMGSMSSLGSGNIGRGNGDNFGLPSGSYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDSTWRFTSSEVDMPPFGHDLGNVDPDIKTEISASYMGNYTVNNNQTSRGQLLLQHCAMIYT >Dexi9A01G0048140.1:cds pep primary_assembly:Fonio_CM05836:9A:50969993:50973582:1 gene:Dexi9A01G0048140 transcript:Dexi9A01G0048140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDLAIEARAGSLWLAGTIMLQYLDFSHASTSRKWGHKRQGDGFEAPRNSMEFASEASHSYGIFQEDISQYSWNRQYPKSGLSHSSTPIKKLIHEDISFRTNEGHKRPGVIARLMGMDSPPLNVTTESISRPEERISEITPSPIPRRDPSEMISTKHVSFVQHNTKDSMKHTPKQEIRAYDNERDLFGQLNKRNNEWSKPQPREHPQEEELQKFKKDFEAWQASRVWEQSRSFELESNDDDDDKCTDIVPYRHQHHKGKDSTNGNKYMHSNDDVHWRRSKENSNTSISGSRTFSLTSAEACSTRLPLSRFYHEEERPLSPTRIVVLKPCPELSMDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGTLASDDKTDRWAGGDIPADPKQIARNIANQIRENVTRDLHPALVRSESTRSYRSSDVAFNGQSQMDYIGRDARRQLSDRLKNVLRREPDAEPAFPHRRRASSTSFDEEPRPKPRHDMASRKGKKIRSKEEKKSAIEYDVRSFRYGSNKTPTQLDSEPVSPRNLVRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGHGSRPSSSEEKKGRKDAFNIKGKVSNLRQNLGLRAKLFGKKFHASDESFPDDLPPIGMLVTAPSVLMHPGVLQENSTEVPPSPASWCSSPPDEMIRGGYPSPVSPLEASFSEHRSPLRTAAKDMSSSACEPGILSENVEEEQAAAETSPVLDGQDDDMDELDHPIKSFVRAVLVVAGLYGPRQNSSNFSSACEVKPIPKRVLEEVECSSSTLASASSDSDGVVTDALDHRLLFDLMNEALPGAVRASTTLWTFDKCYAAAPRRAPGGNKLLEALWKSVQVWLEPPSDDTTSSSASVDVLIGRDLSLSVWHGSFREDADALGGEVEAEILDELVDEMVWDVLLNVGD >Dexi5A01G0032700.1:cds pep primary_assembly:Fonio_CM05836:5A:35127570:35129049:-1 gene:Dexi5A01G0032700 transcript:Dexi5A01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLAPRRRLLRTCLPPNYRGMPTRPIMMIRWSLAWQWPKLYPEPKADAPQDRPSWIGSPRARAYVLLARSRDQPAECFSLRARCLVVGLCLRGAERHRHAGRSKLWRDGQGEVTSGFRAWHARPRALVLSPRMLCFASWPWPACGGCGAPAARSRSGVVGMDGVCADRRGRPAWRWINPVPVALATRARTTTTLTPEEESSSLASSPDAWWWLHIGAYLANASRGFVTTRTTHVAHASLGARFDLLTCHLTKTHTLGNELVVRVNLIRLEPDPTRRAAANHRLRSACLSLTDGCKQEDRRVARPIDVHRRVLIKSVAPGEGN >Dexi9B01G0036120.1:cds pep primary_assembly:Fonio_CM05836:9B:37690373:37693032:-1 gene:Dexi9B01G0036120 transcript:Dexi9B01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARRFSCALVRAPSLVRGRPLPPRAAPATSPASRPPPRRLMSSSSSGWQHASRPPPPPPPHPGAEKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFITKEEFLTMIERDELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLREILGESAVFIFLVAESEEALVKRLIHRKTETSDMLLVRIATAREEVRRMQNFDYVVVNAEGKLEEAVKQVESIIDAEKAKIHKRPVNI >Dexi9B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:9B:13658120:13662162:-1 gene:Dexi9B01G0019060 transcript:Dexi9B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIEDSVSLFTRRGMIRIVDSVIPLNPRPGRITCCHGEEEKWPPHKEATLGNANEMEVTMKKFYAEAVRRLPVDAIPELVDCICKAGHCIGLADPVSNIILNAISQLPSPSSSRVADSPPRKRMRSTSTQACEWGPLAYASNFGLLTFMAEYFKYLHEIQARRYLHAASYDLPVAIKLVRDDRFTFGSFPRHLLPDGGKMKAALRIAALKAGHPAPDDLAGLMTAQYPSRILSHIVFMLKGHKLLSPRDVWVMRKLLKRQWPATPLRNLDILSNPNGNACVKSSFDSILLLLSSCIGDDLRAQISMASQRHLDSLELRYMSDFTFHSEYVETKLSNTLEAATRMRADSTVDYDASRCKHILSLKMCLLDSIRALYIMVLSIVPISSRDSRFVRALLVAGHCYGPLDLVSNIILNALWYDLAFPLHEDHIETQGDILDTSIMCRLETRSLNGIVALFREVHGSCISEHEILEYLHFRKCDLTTLFFEAASKNATFADMANIAFVDVAKAAKHPQFVAFGSFLMSLSPEKLYHLNSLLCKSLGHTEWIDLKSVINSHIANNVVLSARTVDPFHMRQEVCLEISRKKSAFRDRLAFVRKELDKALHEYCNQHPGVKEPNYQLDIICGVHMESPSHNSPRFYHANFLASKVADNVDVTLPCSRKLFFAEFWELPWDPRPSRSIWYPGYAYPGGVPYSFSSSCCPIYDPECVGRCYDCEAASSRILHPPQGSHSGNNFHTIEQYQSLFDYAVRKVDNNGFEQLLESDYIYFDPDRDAELGKTMNHFQEQKQQYFTCMGSFQP >Dexi9A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:9A:307927:308988:-1 gene:Dexi9A01G0000530 transcript:Dexi9A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSSKPRNLGGSLPVPNVQDLAADEITPELLERYLRGADAPAAAVKASLVVVPVVDLGRLVDPAHAEEEAGRLRAACEEWGFFQVVNHGVPEKIIGEVKEDVEAFFRLPLAEKQAVAQGPGGIEGYGQAFVVSEEQKLDWADMLFLSTQPPEYRSLNFWPGTLRASLERYSAEVQRVAADLLRAMARNLGLGAAEGEMMASVADAQAMRINYYPACPAAHEQVLGLSPHSDAVGLTLLLQVSPVPGLQIRRHNSWIPVEPLPGALVANIGDVVEVLTNGRYKSIEHRAVVSARHHRVSLAAFHSAKFDATYAPLLAGEPPRYRTIAAEDYVKLVLSSKLQGKNIMDAIRID >Dexi7A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:7A:23526092:23528119:-1 gene:Dexi7A01G0013430 transcript:Dexi7A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGAAANGGRNKYAVLHRSEEQELELDARRRPAAPESERRRRERFVFACAVFASLNAILLGYDVGVMSGCIIYMEKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAIVFQLGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRVMLGVGILPSVFIGFALFVIPESPRWLMMEKRVSEARAVLLQISESEAEVEERLAEIEEAAGLMKSMKSEDNEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTIFILVAIFLIDKVGRKPLLYVSTIGMTVCLFLLGVALTLQKHAVGLMSPRLGIDLAIFAVCGNVAFFSIGMGPICWVLSSEVFPLRLRAQGSALGQVGGRVSSGLVSMSFLSMARAISVAGMFFVFAAISTISVLFVYFCVPETKGKTLEQIEMMFESGDEWRGGEIELEDTQHLIPSNKKSVPLG >Dexi2A01G0033450.1:cds pep primary_assembly:Fonio_CM05836:2A:43632992:43633246:1 gene:Dexi2A01G0033450 transcript:Dexi2A01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRTRSTGARADLDALAYRRRHGKSTALSTPHDNDDVSPRLGSARPPGPRRCSPPMESCGFLRLRHKSPEQALFGLGGEGN >Dexi3A01G0034180.1:cds pep primary_assembly:Fonio_CM05836:3A:39420727:39423026:-1 gene:Dexi3A01G0034180 transcript:Dexi3A01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKARQLVARLAATPDAAIRDLPVVHRALTLPLLSAASAALRLSLLISRLRPRRALPVPVVSVGNLTWGGNGKTPMVDYLARGFHRLGISPLLLTRGYAGGDEPKMLRRRLADTSAKIGVGANRAALASSMLQKYGYIHHSETFCADMKLSVTSEVEPGKIGVAILDDGMQHWSLLRDVDIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASEVQLKEIRSTIEDNAATCSVFYSRLAPSHIFEVKQPLLRLPLNTLNDKVVLCVSAIGCPDAFIHTVTELQMGPPKIHRLDFSDHHFFNVHDLEIIQETVRNLMDQHGKHTIILVTEKDYDRDPEALKTLDAKVWVLCSSLEIIPHKEQGGDDFMRKVKEIIAVTGHAKSHVVDQATS >Dexi3A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:3A:5471162:5471860:1 gene:Dexi3A01G0007840 transcript:Dexi3A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATTPARRPMEPGLARRLWHVVLAVCHMLRRGLSRKRIMMDVHLLLGRGKLAGKALRGLLPHHPSAHGHRHHLTSYGGASSSSSLASFYGHPREVEFSCTTTPYGIFPFKGGRGRGARGEYGGLDAAAVARAFEMLSAEVEATPATAGTPVATATPSPMVAWILGRSPAGVRPLRVTDSPFPAVPEDGCGGNERVDAEADDFIRKFYEQLRLQPSAATPDYHHHHLRRRG >Dexi1A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:1A:23067335:23067775:1 gene:Dexi1A01G0016070 transcript:Dexi1A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGLDLKLHLSPPAPARGGAARASSDEEWSSSSSSSPSSCLSSEGEREPPHRLHHGLQWSDSPEATSMVLAACPRCLMYVMLSEADPRCPRCRSPVLLDFLHHAGVGNTGNVVGGGGGGGREGGVHGRNSSTPRGGGRRNSRA >Dexi9B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:9B:3889902:3896861:1 gene:Dexi9B01G0006480 transcript:Dexi9B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLLVLPCGGLLLPRLPCRSLVLSPLLRSIPSTKRSGTFLSPAIRAFSCYSGMAPGSPEQQQRSLVVRETVELTEKEELIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQHFCEKVNEYSGMMGEKQNGIGVIQCNPDQSKHLETARMLVFDIWIDFVNLRSEKYAENSRIPTMVCIEDLKKGLIVTPLPAKSTFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDEQVKSELGSKISKERIGHEIDLMMSDKHPVKAMCDIRDLGLFYIVFSFPENPNPPVSDKCDWQCVSHIEAAWNLANSIGSSLFSSAKLQVPVSSYIIKQSLKLKASDAETVANIHAASEKFAELVLLLEHNVDVGTLKENLEDEYLEIPTDSVKRVFAGLVLREIKDFWRVALLISVLSHPHVAENAADILNKQDELHQRKQKYIKVEHAITDLDLDGVWNLKPLVDGKSIMGIMQVKSGGPLIGKWQQRALKWQLAHPRGTMDECIEWIKQSQSKRQKVESST >Dexi4A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:4A:24498916:24500320:-1 gene:Dexi4A01G0020720 transcript:Dexi4A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLALLDRTPLPSPATLGNSDRLSQEIFSILESNFLFGASPMEAPYSSSAGRVRVLSIDGGADGGLLAAAALARLERKLQDLSGNPEARVADFFDLAAGSGAGGFLAAALFARRMPADEARDVVVKNRKVLSGHRGGGMLFRRSPEAVFRKVFGDLTVRDSTKPLLIPCYDMATAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAEVASLDSRTRLRAAAPGSGGGGASAAVANPTAVAVTHVLHNKREFPFAAGAADLIVLSLGGSAAASPASSLLRPSSSSLLRIAGACQADMVDQAVSMAFGENRGRNYIRIQGNGIAGGETTAEAALAERGVESVLFRGRKLMEQTNGERLDGVAEQLVREHHRRLESKTPVVLVKPSATPRTSSSSASTLITVSTSSSSESP >Dexi8A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:8A:2360250:2363093:-1 gene:Dexi8A01G0003300 transcript:Dexi8A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMEHAALPGLLPTPPMCMMLPLLPAPPCAAAAAVLPSSPPKTSRADAAERWDAHKNSKQGGGGGISASAPPSSSSSPGRSSSSCEKNKRRVESSKRINSSHASSSSSSVRWDAHKNAGRRRAPRGDELVDDGASSSESNDDMEVGKAAAQPPPPPAQRGLLYAGPSFVASPEPSMLPMPSFLIRVA >Dexi2A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:2A:8164319:8165113:-1 gene:Dexi2A01G0007860 transcript:Dexi2A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCGEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Dexi7A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:7A:28235087:28238517:1 gene:Dexi7A01G0018940 transcript:Dexi7A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSDSSGPDGVQSMNPYGPDGIQSIGDNQHTMEMSTEVTMKSPGPDEVQSIGQERLANEQFSSDVTMISPGPDKMLPIGKKRLAEEVSSYDTMISLGPDEVQSIGEKRIAIELSSDVTMVSPGSGGKHFGSDGVVNSAETQCALEVVSSDASMLNNSPSWSNKDRGKHDDIGIESEDAENLRNLLTQIEMEENAEGGLESVDMKVSRHNKPKKVHVKVICAQDYACDEQDKQIIKSIGGLGDDRTVVRVGDAFVTMHNFKCLLERSEYLNGDGDMEKFRLKLAAILLESDNNTAIESEDTESNADETIDPNDCVILETPQSFQCTYTSFRDTAEAICDYILSIADGDALGEPKCHFLDLKFFWDCNYRRHPLHRVKPEPKKLATYFDVWPNSGVTFSECQLLFDGVLSFRTHEQLGWSTIPSFASCG >Dexi7B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:7B:246168:247016:-1 gene:Dexi7B01G0000020 transcript:Dexi7B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVYLGGYDSEDNAARAFDLAAIKYRGTTASTNFPVSMYVKEIEEMSHMTKFEVVAWIRRRSSGFSRGTSIYRGVTKSHAGRWQARIGRLAGFNDFYLGSFDTEQDAVRAYDMPAIRLCRGHAITNFPIGSYDIPSIV >Dexi6B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:6B:23161218:23163065:-1 gene:Dexi6B01G0015860 transcript:Dexi6B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAAAAATRGCGLRRWRRIRREQEQHREGHTAAAAGAAFAAGKDSAQYHKRRLPLPAGAPKGRHEAPVVDEAESSAASVESRFVPLDPGFGGLPISPAGFSVGAHSDDSEDRGSWSSTAASAPRVLPRRDHALLFQREPRTHVPGASPHGRNPRAARSRADRPKVVYSAAGSTEAVHYRQLGAGLNGVHKVFSDYGDCSNVEQPSEEVRSISHCKENGSSVVGGSVQISADSGDGVEDTFGKAGVGKGQSGRMHSGPDLYSESTLLLLQRTQEALENEIEKIMAIGKEPTDEFDVHDDEWSGSVHLEEPTEEANEMIKHLESRLEEASAVIGEKNSRMHELKATAIENLLLSQSELDQLYQDKMEAEIRCTILTRACQASITLPDDQMALYEAQKSLSEDYKQLGLKLRRTENRAMVLEEMADKLQVQCKELYNSSEILQMQCKASRVSLFCFVQFILLCIAIGTYLMRISPSSTEVVPT >Dexi5A01G0029280.1:cds pep primary_assembly:Fonio_CM05836:5A:32401503:32404830:1 gene:Dexi5A01G0029280 transcript:Dexi5A01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSTYGHVGKLAEEVKKGASSVEGVEAKIWQVPETLPEEVLGKMGAPPKPDVPVITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTALTAVTQLTHHGMVFVPVGYTFGAKLFGMDQVQGGSPYGAGTFAADGSRWPSEVELEHAFHQGKYFAGIAKKLKGSA >Dexi1B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:1B:829694:831156:-1 gene:Dexi1B01G0000980 transcript:Dexi1B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGDARGGGGGHGAAVPERARLHVAMLALQFGYAGFHVVSRLALNMGVSKLVFPVYRNLIALCLLAPFAYFLEKKDRPAMTPSFLIQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALGIERVRIRRRDGLAKVSGTALCVAGATVITLFKGPAIFGPPALTNHHHSPSPAAASGSAGEKSWALGCVYLMGHCLSWSGWLVFQAPVLRRYPARLSVTSYTCLFGLLQFLAIAAFFERDAAAWELGSGAELLTILYAGLVASGVAFAVQTWCIDRGGPVFVAVYQPVQTLLVAVMASVLLGERFYLGGIIGAALIIAGLYLVLWGKSEERALAAKEAAAAAGTICDDETVAASCLKQPLLPPVNSEAV >Dexi4A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:4A:23495222:23497030:1 gene:Dexi4A01G0019640 transcript:Dexi4A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPRLPWRLAAPLLAAFVSVPFVLPLALPFLLRSSSTSSPRALSLHRISYWLPSPLTQIAPPPPVPSPPSPTIQTPPASPPPPPIQTPPPTSSPSPPPPPEITTDVVDETGETKTKRCDVYDGEWERDEETRPLYAPGTCPYVDEAYSCAANGRPDDGHTRWRWAPRHCSLPRFNATDFLTRLRGKRLMLVGDSMNRNQFESMLCILREALPDKARVFETHGYRISKGRCYFVFKFVDYDCTVEFVRSHFLVREGVRFNRQRNSNPILQIDRIDKTANRWKKADVLVFNTGHWWTHGKTARGKNYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPARSIVFYRGYSTAHFRGGDWDSDGSCNGETEPTFKGAIIDSYPLKMRIVEEAIGRMRFPVRLLNVTKLTNFRRDGHPSVYGKAGDKKVSKRKQDCSHWCLPGVPDAWNELIYASLVLEPNPIAWTNR >Dexi3A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:3A:6270958:6272222:-1 gene:Dexi3A01G0009030 transcript:Dexi3A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLHTLLPSGEAKAEAHGEEVQAVKERLASSKRPRPKRAPKKKSLPETEEEMREEVERRREERRKEKKEKRRIRRLMEAEAAAAETQQVGGEKGAETEGEEEASEEDDPAVGSDKPIVAEDR >Dexi8B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:8B:27714163:27716568:1 gene:Dexi8B01G0016530 transcript:Dexi8B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPEDFLGQGAYLAAPEPFSPSVFLDLPPTPRPDAAGADDSSDDLVLPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSSDDSATTNSNSNSSGGTAGSSTLSPSSTSSAAPASAEPPWPYDPIELSQLLRSPPYPDIGVGLDDLTADDVDALLLQGQDQATAGFHQSPPFVDTGAGGGGQLDQSSSLAAQNAAGDDGSQRPRASSAVKKEEEVSDGILLIGGGGGGGRTNGRGRKNRHTEDDLLEAETGRNSKLMMPEQEETGASELFDELMSCNYEGFLKRMQDLRIAMDSESEKSVRTVSGGKGARGRRRTNEVVDLRTMLIHCAQSVATGDHRSAMELLRQIKQHSSPRGDATQRVAHCFAEGLEARIAGTGSQVYRSLVAKRTSLVDYLKAYRMFMAASSLKKAYVMFCNTTILNTVAGRSKLHIVVYGVQYGLQWPGLLHYLALRDGGPPEVRFTGIDLPQPGFRPAYQIEETGRRLSNCALEFGVPFKFQAIAAKWETVRAEDLNIDPDEVLVVNCECDFNNLMDESVDVDTLSPRDMVLNNIRKMRPNVFIQSITNGTYGAPFFLTRFREALFFFSALFDMLDATIPRDNDERLLIERDMFGRSALNVIACEGADRVDRPETYKQWQVRNHRAGLKQLPLNPEVVKIVRDKVKNYYHKDFLIDEDHRWLLQGWKGRVLYAMSTWVAEDNNII >Dexi3A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:3A:9728488:9729926:1 gene:Dexi3A01G0013370 transcript:Dexi3A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAMFLADRSRTPELSTPRPRRLRVADIVLFASSAAPSAWRARRDPAELAVVTEPSALLAALFVCIHRAERLAPGSSHGERRRRLLVAVWALSTLVFCLAAYQVSRVMSGAPAIAVWSLMAFFVVRAGILRACAPPRPAVPTTGRRRPRRRRC >Dexi2A01G0032950.1:cds pep primary_assembly:Fonio_CM05836:2A:43245737:43252655:1 gene:Dexi2A01G0032950 transcript:Dexi2A01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTRRGEMREDAPAGDAGPSSRGGAGSGASARPRRFPGAAQPEIMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDITQVATLHGLPPTPARRVLFILYQTTVPYLAERISSRIVARSIALHESQLDDHPESGSSSSGIAQSTSNDVPTRNLSVSAFSRLRRRVHVLWQWVLQKWPSMLPFAQDFIQLAIRTNLMLFYFEGLYYHLPKRAAGIRYVFIGKPINQRPRLPVARANAPCVSVLGKIPLPQPVGMSSAGTA >Dexi5A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:5A:9164799:9168760:1 gene:Dexi5A01G0012230 transcript:Dexi5A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAPPKTSKKHHARLNNPFPRAVPSAAIRAGDAAPRLSFAPASKLAAHAHDFPVGTRFRLRWDPSHGGEVSLSRVVSSGAGDESRRAVMWGSVPGVAFLAAASASTEADESRGSFSLRDGRRAGLLVPHRQHVDKIKAFYRCDAEAELLRAAAFQASATTRFPVLVITGVVSAKPPSSSSASCSCCGLRRGRSSAAAARPTLSARYWIILEEKTDTQVGFSVKIGDYQWTCPHADLSKSSSPATATVTTNPRLHRPSLRLRLSSRVVQRPASKKTKLMMSPSREESSPLLPAMEERPEEFNRVFLTYASSRDERFYGFGEQFSRVEFKGKRVPILVQEQGIGRGDQPITFAANLVSYSSGEDITKQYGVAFVLMQLKLLDLQVYGNSVQGRILDGDSPTQLLTSYTESTGRPPVLPRWITSGAVVGMQGGTDTVRRVWNQLQEYDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDAHYNGWKDLVSDLRRSGIRTMTYCNPCLVPMDQKPNTKRHLFEEAKKLGILVRDEAGEPYMMPNTAFDVAMLDFTNPDAHAWFKNILRGMVEDGVSGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWRKSTTGNLSPEEESKDAGEEDDDGLVFFVRSGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLTGGLSGFPLNHSDAGGYCTVDLPFLRYRRSEELLLRWMEVNAFTVVFRTHEGNKPASNCQFYSSHRTLAHFARCAKIYKAWEFYRDELVREAAAMGLPVARHMFLHYPEDERVQGMTWQEFLVGTEMLVVPVLDKGRSKVTAYFPAGAGAWRHVWSGEEYVVGEMQGGLEAEVEARVGYPAVFVRSGSLVGERFVSNLRHLKVL >Dexi9B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:9B:14749741:14751451:1 gene:Dexi9B01G0020020 transcript:Dexi9B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASSSRGRSNQGKNQKSSKVAAVPSTSAETEGKSSFKWRIDGFSSLLDKHQGWTSSRYFEIKGLKWYLQLNLKDRKSGYKRDYVSLILVLSKTSDLKSDIVVDASFKLLIYDQAYGNHIEHEFSHHFQTEESRSSGASCMVPVETLKEPSSGFIVGDSCIFGVELIKLPTAKANRNSETLHVQKTNGFSARESYAWVIDDFLALKGRCYSPHFEIGGRKWYLTMYPSGIDDNGEFLSLYLHMGKPDASLQRSGVLVELNLSITDKVTSNRYTMTGRCQFLATEEGVGWGWAKFMAVKSVKDWYLVKGSFLIEADIAIVGSSKME >Dexi5A01G0030270.1:cds pep primary_assembly:Fonio_CM05836:5A:33255484:33259182:-1 gene:Dexi5A01G0030270 transcript:Dexi5A01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGKDEARVPRLRSDALERKKARIKQMRMRMSNKWRSQFSSYEEDRDEEDNNAIVSFRKRWLSRQVVSVELTGELKVDVVAYHVDEDHFCVAKVLGSDTPCLCGLHVGPPSAEALRRIANRAGVASPSGVPTASPPRVAPYPRLQGVCGQMASAERLRSVPFDVDVEVPVRLQLSKVKTWAVMARARCRRQRGVLVRRQ >Dexi9A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:9A:1987406:1989843:1 gene:Dexi9A01G0003770 transcript:Dexi9A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELLETFEAAKKAADAAAGEGDSPEADRCVDALRRLRAVQVNTDVLVSTQVGKRLRHLTKHPHSGIQGVATDLFRYWKKVVLEETGKKNGNSENERSSDSSGKAEKAGPMKIERNSSSSSIKIERNSSSSSMKIERNSSSSSMKVEKRDVDVRGQKPDSVKVEKATNNGFKAQPVKVERVSKEASRTPDTKKPASVHTGPPKLTSLVKCNDATRDKIRELLAEAFAKVSGETSNDDRDEVRNILDEVDACDPFRVAVTVESALFEKLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLIGQVRPERLPDISPEEMASDARKQENNQIKQKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >Dexi5B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:5B:4496589:4504403:-1 gene:Dexi5B01G0006690 transcript:Dexi5B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRASPLSLLSRLKPRPSALHLRRLLPLSTTSASGSPSGPASQLRTLAAAAVTDAAATPPEEAAPAAAGAKIERLQPLQWPPRDALCGELGAGDAGRRVRLCGWVALRRAHAGLTFLALRDRSGMVQVTTLPECPEVYNIVNKLRVESVVAVEGVVRPRPADAINADMKTGAIEVAADRVLVLNSVTRPLPFPVTTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKLIRRYLEDEHEFVEIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLRLNEDLMRHVFQAVGGIKLPNPFPRLTYAEAMDRYGTDRPDLRFDWELKDVRVPDLSACIFNCDISDLKLTYVSDVFSESSFKVFADTLENGGIIKALCVPGGATVFSNTDLKKGTVYTEASKAGAKGLPFLKVMENGDLEGIGPLVSSLKPEKKEQLVELLDAKAGDLILFALGEQSSANRILGRLRLFIAHKLEVIDTSAHSVLWVTDFPMFEWNDDEQRYEALHHPFTAPNPEDMNDLPSARALAFDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLESFDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTTAQCALTKAPSAVDPQQLKELAFPKNS >Dexi9B01G0023380.1:cds pep primary_assembly:Fonio_CM05836:9B:18520116:18521922:1 gene:Dexi9B01G0023380 transcript:Dexi9B01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAAASSCTDATWWAYALPALLGADTLCTHPALLAFALLLATASAALLAWAASPGGPAWAHGRGRLGATPLVGPRGLPVFGSIFTLSRGLPHRALDAMSRATAGGGGAHRARELMAFSVGSTPAVVSSSPSTAREVLAHPCFADRPVKRSARELMFARAIGFAPSGEYWRLLRRVASTHLFSPRRVAAHEHGRQADAGDMLAAIAAEQSASGVVSLRPHLQNAALNNIMGSVFGRRYDVVSSVSGEAEQLKSMVREGFELLGAFNWSDHLPWLAYFYDPSNVARRCAELVPRVQAFVRGVIDEHRRRRSQSSDAPDDNADFVDVLLSLDGDEKLADDDMVAVLWEMIFRGTDTTALVTEWCMAELVRHPAVQARLRAEVDATVGADGCPTDADVARMPYLQAVVKETLRAHPPGPLLSWARLATADVALSNGMVVPAGTTAMVNMWAITHDAGVWADPDAFKPERFIPSEGGADVDVRGGNLRLAPFGAGRRVCPGKNLGLVTVGLWVARLVHAFEWALPEGAPPVCLDEVLKLSLEMKTPLAAAAVPRRA >Dexi6B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:6B:25298542:25304204:1 gene:Dexi6B01G0018300 transcript:Dexi6B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSASSPSSPDAGQERVMAAAKHIVKSLAVSKNAADDMMHFLSTFDPRLHPLSSPETADEAHRIGRDEEEEEEEIAAAEEVIRRCNSSSSSSMIDYLYAVDDSIAASGHSARAAAAVHAAMPRLEEELRSLLSSSSSLRRLSLSSSVDADEAATPSASSSPRHGALSPDAAASVRAVADRMLRAGYGPELAQVFVSARRDALAESVALVGVEAVAIEEVIKMEWSVLDQKMRRWSHAVRIVVRTFLAGERLLCDEVFEPDEELGHECFADVARGCVLQLLAFADAIAVSSRTVEKLYRTLGMYEALADVQPDIESLFSGDAAAREFFAGEVSSTVEQLGSTVRHTIEEFGHAIHGEASRRPVHGGEIHPMTRYVLNYGGLLAEYRATLDKVLGDAGDLDDEAAEASSSSTPSALCIRDLLTLLLDKLNEKARLYDDAGLQNIFLMNNLYYVVQKVRESPALRELVGDDWLRRHRGQIRQYETGYLRASWMAVLSTHLRRDDGSSAARQAGTHRAPPATGPSAKGFNAAFQELYRAQTAWKVSDPQLREELRIAVSERLIPAYRAFLGQGSRHPARHVKCSLEDLENYMLDFFEGVQKFVRFFPTNDEDDEQEGDGKMADSLNSGRAAGPRLCRPTHHHQSKAGSPLRGHGVGCLAVAVSPSHHHHHFEERRALQTSCSEAARAREMPGLTAPSDYVEEPPRHPALKINSKEPFNAEPHRSALVTSYITPVEFFYKRNHGPIPKVEDLSRYSVSICGLVNKPIQISMADIWALPKYNVTATLQCAGNRRTAMSMVRKVRGVGWGISALGNATWGGAKLSDVLELVGIPKLSSVSSLGGKHVEFVSVDRCKEEKGGPYTASIPLKQATDPDADVLLAYEMNGEILNRDHGYPLRVVVPGVIGARSVKWLDSINIKEEECQGFFTQKDYKMFPPTVDWDNIDWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEARRYQKNSIPYVSDGPRSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPEKVEDIWNLRGILNTSWHRIKIQNSLSVGRSKL >Dexi1A01G0025000.1:cds pep primary_assembly:Fonio_CM05836:1A:31364073:31366746:1 gene:Dexi1A01G0025000 transcript:Dexi1A01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SADAMAEDAGDKCSDAVSEGAYFMASIMAITGIMATVLVLSGLFHSALRRLGQPSIISHILAGIVVGPTVLGRAVDLHELGMQDAGRALSGTIYFARIVFMFFIGLELDLRYLRHNLRRSLVVACGGSGMSLVLAVLAGPFSYGLLNPGQGSFRPEKIFASTALFALVLTSTASPVLIRIVTELKLTGSETGQLAIGAAFANDMASLTALSVIMVTHTLYAGTVQPTGKENDDPSPSSSPAIKAATLALMAVTTWMAVTIVAWVARLLNRFKRGRQYLSKYELCGMLFLIVGLSLLEQTIGYSASMTAFLIGLAMPREGPTARTLMDRLDYPVHQLIMPLCFGAIGARLDFAKIGNFSAVQFAVAVAFTTALSAAGKVAGTVLAGRALGIPAREAVVLGALLNVKGYSDILAINFGNKVHVWGEPVQVVLLVSSIINTFMAGPASAAIVRQQRRAFRYRSRCLEDLAVDDELRMLVCVHVAGGVHPMLTLANLSKGGALIRPVYLLHLVELATSRKYAITHQLYHARSDDGGEDEDEWGYAREIELVAEAVAAFTVENAIPVRQMTAISSLASMDTDVRNSVEDARASLLIVPFHKEQRYDGQMVCRSQGRRLLNQRILQRALCTVGILVERHFSHHQEEQNQLQVMALFMGGGDDREAVAYAARMAIQPTAKVTMCRFLLPSGKGMLGSQETAEEAMLDEEFMADMYGRFVAPGKVAYMERHVSNGAETVNALSAMVGTCSLFVVGKGGGGTAEGGGGGDRSVMTSNMGDWDDECPELGPVGELLASDDMVGCGSVLVVQQHNVHPKRKMRTWNRDSNQQHQTRARNHHHVDVVADTDAVVDILGSSSNTSATNWSLKPN >Dexi8A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:8A:24132772:24136762:1 gene:Dexi8A01G0013820 transcript:Dexi8A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRWGQVEEERDPREKGKRAGGGARVADPGKLPASEPRSNQRTHLSSRHHLHHHLCSSSSVRITNTTTVTPPFLCLPPPAAIRSSPAASSEDLDSAVVTMDVSDEKCAHPSDLPSAVDVVQSDNSSPEHLESVGANGGAIGNEGYSGIDCSEQTDDDHGGDEDSLVNVESNADKQENQEKIPMEETAMSDGTSITSMEDVLEPNNDLPSEPEDMSNQTPGLPNTKSSNGNSNVFQSAKSVLTSTKKAKKTSSAKTRKPLQSTNRGNQDDGKSSIGKATVPSGPVFRCTERAEKRREFYMKLEEKHQAMEEEKIQLEARLKKEQEEALKQLRKSLTFKANPMPSFYHEAAPSPRAEFKKLPTTRPKSPKLGRRKTTTSMDTSNSSSESEGTRPCCRANRDGLDSNCKCRSSKATNAKPVAKKQQAKHRAHKIAGESAINIAVH >Dexi1A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:1A:418809:420103:1 gene:Dexi1A01G0000670 transcript:Dexi1A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGWALLEPLVFRRDDDSSSPDESKAPIRATGTTTWGSTFRIAFSFGEPGGHISRLYAQLPAPAFPDRKAPNIRGDPPPSRPDSSRQPDTSIRGCSSLKALPQCTEPHLDYNRVRLPRRRRRPLPAGTTPHMLCVSRLGLWCGDKELVVAELALFKLSKVFADIYLLHCSDGGDELAWRRMEWEHMRVEFLSTNDPLLMPISSKSAGGITTPSSPLTNGCAGSTTTEASSCLPNHHPTVSYIWFPLDKLPDSGTSCWASTSFFRAVSVVDHGRVLKFVNVTRHDGIHFAPLQPGTGFTITCHTLVVLDSGGMAWEEDYTVTSGELWEANSPDRLPRHILMFPQVDMDRPRVAHFLSIEFGIGYVKRNKKMWVVSIDMSTKTVESISLYINGDEGLETGDAEFIKIKSDETLPFIPCEFPKFLHSS >Dexi3B01G0027450.1:cds pep primary_assembly:Fonio_CM05836:3B:22969768:22974989:-1 gene:Dexi3B01G0027450 transcript:Dexi3B01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAKLERRVMMAVKASTARGDPPLLQAAEAAASASCGLALAEALVSNLCFNHNTAAMWKLLDQCMSSRLVHPLHTLALLTARVVPNRREQPEAYRLYLDLLDRYAAAPVYAERKERKSMLAKSIDDAMKIAPRYGFQQLDFGHAVILFVLNLVETLIDCILDDLSGSEEEKLMASKILCGASLLRGWNIQEHIVQMVLKLLSTFLCLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLDQILIKFQMKPGIT >Dexi9A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:9A:799120:799884:-1 gene:Dexi9A01G0001490 transcript:Dexi9A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALPLPTTTSASATLPPFRALLPTTLRLPSACPHRPRAVSAGYAASFYGGSASATGGAEDEEVGDEAGSSSGFGAGLGLGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPDSLTTLQQRLNADDDVIRSTSFKVRPRKAF >Dexi3B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:3B:8765265:8765518:-1 gene:Dexi3B01G0012490 transcript:Dexi3B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSKSGKITGLLLQHLKAGWTGRIQDAASYRSFSDSRGHWALEDNQELIQDLGWSIKGPFDESVLLWHIATDFCFFSSSF >DexiUA01G0022510.1:cds pep primary_assembly:Fonio_CM05836:UA:45716316:45718583:1 gene:DexiUA01G0022510 transcript:DexiUA01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLAAARDLRRGELVLRVPRAALFTSDRVMTDDLRIAACIGVHRPHLTSVQILIVCLLAEVGKGRNSIWYPYLSQLPSYYTILATFNDFEVEALQVDDAIWVAQKANSSIKSDWEDATPLMKELEFKPKLLMFKSWLWAFATVSSRTLHIAWDEAGCLCPVGDLFNYAAPDDTSFEEEDIAEVERLTDGGYEDSNAYCLYARKKYKKGDQVLLGYGTYTNLELLEHYGFLLNDNPNEKTFIQLDVYEA >Dexi3A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:3A:17243273:17248760:1 gene:Dexi3A01G0021640 transcript:Dexi3A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVKQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMQLDNSGQAYFMREFVPRSEDSNTSSEEEGINEPEPPARSKSDGDLYIGPSDRIGSQELNVERQEQQTDGEFESYNGYGRLEEAEDLPTQADGGNSEVVLVSVDGHVLTAPISSTEEGMEDMQLSDPQFHLGPGQSSIGDFSRSGEVWDAGTLDDLYISQEKVEFDSGNQSKVLIEDGKVPIKNDESHHILVHKDEALHVSVNEDEVLDVSVNKDEVRAVSINEDEAHVVSTHEDEVHDVSRSGNDDEGYQPVTSEGEARGGYQPLTSEDESPSVSGDNIVGYQLLTNEHKACDVSETNDEDHPALTNEHKARDLQGTNDQDRQSLTNEHKPCDLPDTNVEDHQLLSTKDESCDVLVLERVKDCKPPASKDEICDVSNEDNESADVGARLGKNEIFQSCLDLTSQIDAGDSGNELFSPGSDYQRDSDLSLGNCSVAGTDLEEGGTKTAFCDQDGPLREGVDVSTFTSEVDRTRNKNSSSRYGMESYLSSEEGSHNRNKEIASETEAAGSDGLQSYMVTSDNKLRSIPEHSEVVEEQNKEEHSQSQKGLGVEISLCGNMLRPGMGLESAEEAFRLHLVSEEDFKSSGSTIIKNANLIVKIENKYFPWSKVSHVILGKAVFGSNFNIEPVDAIPVECPETPNSREDSLRVSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPMDEQDLENNRSQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFSTRVLGKQQVDAHIYLWKWDAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKEDYNNWNYWKVPLPDVDL >Dexi8B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:8B:24141597:24142044:1 gene:Dexi8B01G0013760 transcript:Dexi8B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPYGGSAANDSAEDYSEAATVVRFDPPLPLLRAPVSSAAPGVAPVLAFRDAASWKAAWDAAEASLISQCELAEVPHASHVRQ >Dexi6B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:6B:2518742:2521174:-1 gene:Dexi6B01G0003000 transcript:Dexi6B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCNTIARLAPQSQRKLRHYKPSPWGDFFLNHVTYTPPQLLSMKERAHIKEDEVRGIILEIIASSNLTQKLELVDTLQRIGVDYHYKKEINDLLCSIYNDKDGGSNDLYITSLRFYLLRKHGYTVPADVFEKFRDEQGTISSDDMVEGCPITRRPELRTRQNVSLLDDLYDNYSTTEESNIFTTAIERWDEQTTDKFPEDMKALFINILNTTNKIEEELKLQGNRHAELVKKLVICTAKFYHAELKWRDEHYVPTSVDEHLQISMRSSVCMQIINLVLISLRDVSPTREDDVDWIFTFPKMIRGVSIVGRIGNDIVSHEREQASIHVVSTVQTCMKQYGVTAEQAKQKLSVTIEEAWMDIVEDYLDQKHPMELLEKSVDVARTMDFFYKYDDAYTLPLSLKDTLTSMYVDSV >Dexi4B01G0022750.1:cds pep primary_assembly:Fonio_CM05836:4B:24300314:24303234:-1 gene:Dexi4B01G0022750 transcript:Dexi4B01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAACPRSKSTLLCRTLLRPIKTQLLGREREEGSRTCSYACRRCFLRPWPLLRAASVLGRRQREEKERADEKVRDIDRCGAANGVILVLPSKRFEGTTNSREVYKRKGNLKICERKAKRKQQQNPSSFLITPRILLGPGHVGPGIIIESFSTDTRFYQWCLPQVTAQVTGSSSATLICRRHFPDGEFGEVVPIAHCDGLVLLPTDTKVYVFNPATKDAIALPQSQRNMMRHYGRLSVGLGLDTSTGKYKVARTFHRSSDDGPMEIFTMGMEVFTINGENGSSWRETSVDLPYPILGPQAGTYC >Dexi7A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:7A:13722921:13726048:-1 gene:Dexi7A01G0003660 transcript:Dexi7A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSEEWYEAEEQPSLRRRPRSLPGSRRSSPPRARASGGSRSPPSPRRGGLAQASAGSRSPSPRRTAIMATRLGSSVRTTPRSPPPRTLSADAPAGRRSGGEDDTGVAEIIAKMKEELPAPAAARDDAPETSAAGASASGGGGAEGAAGGFIGFSFQELLEASPGVDGAEVLDAFAGSDDAARKGKAAAEFLEATMGASTGERTEAIKAELVVNGRVLDLEGLERWMRRTEAVSELEWFVGLCCDEEKPAPHIELFECAFRAIENASDRELHRGADARRGWIGSVGVPRFFVCPISNKVMENPVVIASGKTVDRSALEEWRKDHGRICPVTGEVLSHTMFIPNILIKLCIARWQAANKIADVTAAAEPQPQPPAIPPDVEALFKQVTLMPHSPRSSKEVRDALFILKDLLTANESSIVHLIGTHLGIIAKLISVLPETCLDPDPELDDIIIGILEKAASYGPNKKLFGDDRYAIPVLISRAFLGPVQTRARCAHILGLLADDEHYNKIKIGELGGFAPMVELLYVGDKGVKKMVARAIASLCEARENQSRFHREGVVDATISMLRSDGLVLEAQGILLQAAGSDHAMREVISKLQEVQGDEMCRKMASRLWNTFVLTNPDAKLDVVPSMPASKKTWEQASTSTSTSDAEISSTSSGGSSDVKVLSKQINEDVKIIVSWLQKRCYYPRTYRYRE >DexiUA01G0014940.1:cds pep primary_assembly:Fonio_CM05836:UA:31216841:31225097:-1 gene:DexiUA01G0014940 transcript:DexiUA01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDGPSQAAPLPPAVALELAQLERWLGQVAGEVARQELAALGEAPAVRVLRRIGRSQRDVRTLTGYIVTVARQEAFALNALAVPTAESAVCTSSAPSMRDESVHGLQHHNDVQMEEEIASDLSNHCMVVDEIQGQEGSSVMMAVDNPSDCIPPRDWNPDCVEVDEAVPGMISQANQISMQNGDRIQELISIVPHGVIMLPESPGNGRPSELWNHIQIGSPKHEMVPTHPTSESTSSRLQHFLRSHLGPDCAIMLPKPVAAQNILMKTADPQITENELRKMASPQMCALEELEFIRRFLILSYLCQNNMENEAVLTVDYIRSLKFMSIGHFESDIWCEFGRKNFQASNRTVSDRPKNLDLDPTKTKLYHCNVEIREDSIFYVLKVCDASNIFGPYMDNERTHLQKVLGDDNVLVVKFVVPSETNANFYRQHYHKVAEDGIVLGLRRYRFFVYKDGGKEKKKKDDERTESSVRFSLILSKTVTLDVELSKVDVILIDDEACRDKHGKDAIVDGKRLIHTDGTGFISENLAKICPNRIIKGKKSKVRLFYNGYAVKGTLLVDKRLHDNTVVIRPSMVKVKADPMLSQMQSISSLEVVSTSHRSDRTSISRSLIALLHYGGVKAEYFMELLHNAIEGVENARYDFRHALKLASRYANMEDSMLERMIHSGIPLEEPYLLYRLNFIARQEMNGFREGKLPIDECYYLMGTTDPTGTLKEDEVCVIL >Dexi9B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:9B:2547399:2549937:1 gene:Dexi9B01G0004450 transcript:Dexi9B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRACRVALLAAAAAYLLFLLLFELPSFAVTTAAPGNAAAAATHRARRRELEAAAAASAPRSSSPPRPHKPAFPLPVSSVRFHRRRNTSSSSFDASASAAFATARPHLARLLLSQAASASPSSSPSPSPSAVSSCPATVSALGDRLATTAGVAAVELPCGMAVGSRVTVVARPRAARAQGEPRIAARRVGGAPVMVSQFMVELLGTKAVEGEDPPRVLHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGFASRPEEYTVDGELKCEKWRRDDYSKPEESRMKQWLNRLIGKPSVDWPYPFVEGKQFVLTITAGLEGYHVSVDGRHVTSFPYRTGYNLEDATELSLKGDLDVESVVASYLPSSPPSFAPQSYLEMSEQWKASPLPTEPVELFVGILSAASHFAERMAVRKSWMISTRRTPNVVARFFVALSGKNEINEELKKEAEYFGDIIIVPFMDNYDLVVLKTIAIVEYGVKVVPAKHIMKCDDDTFVRIESVFDQIKKVQSGKSMYVGNINYYHRPLRSGKWSVTYEEWPEEVYPPYANGPGYVISSDIAQYILSEFDNEALRLVCDE >Dexi2A01G0026530.1:cds pep primary_assembly:Fonio_CM05836:2A:38121378:38124534:-1 gene:Dexi2A01G0026530 transcript:Dexi2A01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKNLLKPRPTPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSSRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQRIQQPSTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRS >Dexi1B01G0023180.1:cds pep primary_assembly:Fonio_CM05836:1B:28845343:28847191:1 gene:Dexi1B01G0023180 transcript:Dexi1B01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGAHTTTSLHSPCTTVSNAGCFQQKQVIFFTSNRRSGRRHGGARTFFQVSCSVEKPVIIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRNGRKEKGVTALDPRANNFDLMYEQVKAIKEGLTIEKPIYNHVTGLLDPPEVIRPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDDVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYYGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIVAERAGAPAEAAKV >Dexi9B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:9B:1942142:1942949:1 gene:Dexi9B01G0003380 transcript:Dexi9B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKMEDAAVPALAVVDARFCAADAASLAVAKALSMSGSDFAVTDAATGALVLRVDGVLFSLRRRCLLVDADRRPVLTVQESALMLSTRWKVFRGDSTSRRDLLFTVVKPSVIQLRGSTKVSVFLASNDAEQACDFRITGSYHDGACAVSLGDSDTVIAKASIDRRFSVVSALLGKNTYSVTVNPGIDYAFIVALVVILDEMHYQR >Dexi4B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:4B:16027767:16029966:-1 gene:Dexi4B01G0014790 transcript:Dexi4B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKVVMDFLEDDKKRSSDSSVPPLAVLDLNEGFSEGSDGLLGEDADDEEEEDDDEGGSTSEVEGEGRSSSNSSSTNHNSRSNKDHDMNSSSKGEGSGEKAPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQEIFSPMDFHMRRGDHRLHDMFFQRAPGSAISSRLLHNGGGGFFVGSRNAISPEASRLYGLLQRRQPTMQTFDFKNYSSHRNQEWTFSQHAAAAARATGAINDHGPAKGLIHDMIFRKDGKPTSHLFDVRDAIASNRSPSAGAGAAADHGGGVGSSDWIGSSSRPLSRTMSAVASSTGFALGSLHLLSKGMRGADGSTGCHPNGDANTTSSDPMVTREALGSQLETHLEAKNPTKVIGEMRTGTAAKRVRTSMEENEGSSPDLQLSLSPNVGGDAEMAKKRKILSIALSEQEVDSDKKLPLSLSLSLRGDDSGGERGGGDAGRLEAASTGSSSSKKAALGLSTLDLTMSIKALE >Dexi5A01G0037400.1:cds pep primary_assembly:Fonio_CM05836:5A:38773140:38773879:-1 gene:Dexi5A01G0037400 transcript:Dexi5A01G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHLIPPDTLRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGDNVFLKPGERVLNWTSGPPGDLKPW >Dexi3A01G0031550.1:cds pep primary_assembly:Fonio_CM05836:3A:35983831:35987630:-1 gene:Dexi3A01G0031550 transcript:Dexi3A01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAATPAPAVARRGTLVCASLTARSLQEMAAEVAAAAALGADAAELQLGCLDGFEPRRDLPVLLAQPRPLPVIVTYRPKWEGGQYEGEDEPRFEALLLAMELGAEYVDIEFKVADKFLHFLSGRKPETCKLIVSFHNYEYTPSVNELLSLVDQIQATGADIVKIATSATEIDDVSIMFQVLDHCKAKKVQVIGLVMKERGFISQILCAKYGGYLTFASLEKGKESAPGQPTVAELINKYKIRQIGPDTKVFGIIGNPVSHSKSPIVQNQAFRSVGFDGVFLPFLSDDLVKFLNTFSSPDYAGFSCTMPHKETAVRCCDDLDPIARDIGAINTIVRRPDGKLVGYNTDYVGCIAAIEDAIRGASQPTNPTASPLARRLFVVIGAGGAAKAIAYGAKEKGARVVIANRTFARAEELANIIGGSALTMAELENYQPEEGMILANATSVGMYPNVDETSLSKKALRNYSVVFDAVFVPRETRLLREAAECGATVVDGLEMLVRLVMVQFELFTGGMPAPQKLMREAILTRTQ >DexiUA01G0025970.1:cds pep primary_assembly:Fonio_CM05836:UA:54736830:54738227:-1 gene:DexiUA01G0025970 transcript:DexiUA01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTFSELELDESLLNALESKGFTRPTAIQAAAIPPALEGRDVLGSAPTGTGKTAAYLLPVLQHLLDFPRKKSGPPRILILTPTRELAMQVADHARELAANTHLDIATITGGVAYMNHAEVFSENQDIVVATTGRLLQYIKEENFDCRAVETLILDEADRMLDMGFAQDIEHIAGETRWRNQTMLFSATLEGDAIKDFAERLLEDPVEVSATPSTRERKKIHQWYYRADNLEHKVELLKHLLKQDDATRTIVFVRKRERTLLHAGSTFRTSVTSLTSICHAAATPICTALAVPAVPDAKVSRSLWSKRMTTCCCRKLAATLMSH >Dexi8B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:8B:28094168:28095727:-1 gene:Dexi8B01G0016940 transcript:Dexi8B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANNLLQSSSGDQCSLSSAFSMCVDSVGAFSKSLQEASRFCPTDNGTRKDQLVNQRVTGCSNHRVIKKRYSKDEQLEVEVCRARKAMVMMEEIEEVFGKMMLRGYETWRNDMEKLHIAKANEAMNKKSGSKAKSDVVDLGALLIRCAQAVAAGSVLTAQGLLKQIRQHASSTGDATQRLAQCFSKGLEARLTGTGRQLCLLGMADRTLVVEVALFFNIMTIENAMAGKSKLHIVDFGPHHGFQWAGLLRWMSSREGGPPEVKITAINRLQAKSCPAEGIDDTGHRLGKCALEFGVPFKFHAITAKWETICADNLNTDVDEVLVVNDLFNFSILTDESIYFDRPSPRDVVLNNIRKMRPDVFIQGVVNSSYGTSFLARFREALFYYSALFDMLDATIPREDNMRLVLEQGMLGHSVLNVIACEGMELMYRPEKYRQWQVRNQRAGLRQLPLKPNIIQVLKEKVMKDHHKDFFVGEDSQWLLQGWMGRILYAHSTWVADAISE >Dexi2B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:2B:25248807:25249211:1 gene:Dexi2B01G0015200 transcript:Dexi2B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKLVVFLAVLCFAAGVVLSCEPSCPTPTPPVAPSPPAVPTPPSSSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAAICLCTAIKANVLGINLNADIDVSILLNHCGKTCPEDFKCPSN >Dexi9B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:9B:3168865:3172976:1 gene:Dexi9B01G0005400 transcript:Dexi9B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSPTEDSGQDSDVKMLVPRCANADSGGDAPFGCNWRLDTDFYYSRGDLAPDWRTLNGCYGIPSVHYKGRQGDFYILVMDMLGSSLWDVWNSAGQAMSSHMVVCIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWTEAASGRHVQYDQRPDNFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGKLPWQGYQADNKSFLVCKKKMATSPEMLCCFCPAPFKDFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGKKRGRTLANLEDDEQPKKKVRSGSPATQWISVYNGRRPMKQR >Dexi2B01G0025900.1:cds pep primary_assembly:Fonio_CM05836:2B:35081098:35082571:1 gene:Dexi2B01G0025900 transcript:Dexi2B01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVKYITAHGHSCWSAVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASRGLHHGANPSNPASSQFHSNGAALSANGGGATTPFTISSPTKQAAAAYDTLVAASPLPEPAVAPPALYHDVPNHAAAGMLAMGQHEHAAAAIPGYPYPSDNGGVLMSFRDHQNAAGLHQTSMDFMNGSSSSSSMDHATGMPNGGNCFGQGMGAAAFMDMTTAGTMWTTAADSAMCAGIEVTHQQQQPAPPLQPHQGLMMQGEVVVARPTTVMNGGGGGGAAAADKGMDMMDVSSVYGGAGAAAFDLELMESCGLFCGGGGGGGNVMEQLQWDC >Dexi3B01G0026890.1:cds pep primary_assembly:Fonio_CM05836:3B:22315412:22320155:1 gene:Dexi3B01G0026890 transcript:Dexi3B01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEGLAAYRAVLRAARRTFAGDQLMLKESAVEIRRRFEDHRSLAPGSEEAARALADAREAAHFITHMIVQATRAPSGSFVVKPESVHAGATLEVPSEEILSKLK >Dexi4B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:4B:3188701:3191908:1 gene:Dexi4B01G0004470 transcript:Dexi4B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLIPIIIFHVGLVPIAVAAAGAAASAPPAVPGDDRSALLAFMSNISSDPGGALAGWGRSPEFCNWTGVVCGAGGGHGRRRVVTQLVLSGKGLTGVISPALGKLSFLTVLDLSSNGFSGEIPPELGKMSKLTQLSLTNNLLEGTIPAGLGFLQRLYYLDLSGNQLTGGIPETLFCNCSSLQYLDLANNSLAGDITYSDECRLPSLRYLLLWSNDLSGAIPPALANSSMLEWIDFESNYLSGELPSQVFDRLPRLQYLYLSYNNLSSHDGNTDLDPFFHSLRNCTHLQELELAGNDLGGELSPFAGELPRALRQLHLEDNAISGSIPPNISVLVNLTYLNLSNNLLNGSIPPEMSHMRRLERLYLSNNLLSGEIPKSIGEIPHLGLVDFSGNRLAGAIPDTFSNITQLRRLMLHHNRLSGAIPPSLGDCLNLEILDLSYNGLQGPIPPYVAALSSLKLYLNLSNNHLEGPLPLELSKMDMIMALDLSANELAGTIPSQLGSCVALEFLNLSGNALHGALPASVAALPFLQVLDVSRNALSGPLPVSSLQLSTSLREANFSYNNFSGVVPHAGVLANLSPSAFVGNPGLCAGIATACELKHARRRRPLVPAVVGIVAAVSLMLTAVGCRSMVTAARAKQMSGRQSSSSMRLVGVEDEVEREHPRISYRELFEATGGFVQEGLIGAGRFGRVYEGTLRGGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTDSFYALVLPLMPKGSLDGILYPHGDDGGFGGGGGGGGGLDFGQIMGIVSDVAEGMAYLHHYAPVRVVHCDLKPSNVLLDEEMRAVISDFGIARLVAGEEASSTSDESAPFGVMLLELVTGKRPTDVIFHEGLTLHDWVRRHYPHDVAVVLAHAPWRERALQSPEAAAELAAVVELIELGLVCTQHSPSLRPTMADVCHEITLLKEDLARHGGRRSFSTKDSLFSNSN >Dexi9A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:9A:828079:832332:1 gene:Dexi9A01G0001570 transcript:Dexi9A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVASHQSRFSDSDMAASALASHALQPPLGRRPAGPPPRARPAVSPRARGRVASASPRCQAVAADERSVDPAFPEGQNRGLPGYKPCPSAPPTKLTIQISDLTASPLPCWFWLIRAVERPEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTEFLKDLETFVGVEAVQEWKRLLDGVIPMSAAAMALPPLSIRGDLGVLSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFKEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCLLEYPLGGTGAIIDALVSGIKKFGGRIALRSHVEKILIENGRAVGVKLRSGQIVRAKKAVVSNASMWDTLDLLPPDVVPKSYEDKVKATPQCDSFMHLHLGFDVENAREDLGIHHIVVDDWNKGVDGEQNVVLISVPSVLSKDLAPPGKHILHAYTPGTEPFSLWEGMDRKSADYRRLKEERSEVMWKAVELALGPKFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEGTFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >Dexi1B01G0021640.1:cds pep primary_assembly:Fonio_CM05836:1B:27511079:27514431:1 gene:Dexi1B01G0021640 transcript:Dexi1B01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGTDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWNDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Dexi8B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:8B:977059:983384:-1 gene:Dexi8B01G0001490 transcript:Dexi8B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKAEIDAETADGGSGGGADGAGGGGGGSFSEQRLVEKLNKLNNSAASIQKIAPLLVRFVSLNYCAALSQWCIFHRKRAKRVVDTWEKQFHSATKDKKVSFLYLSNDILQNSKRKGGDFVNEFWRVLPRSLKHVYENGGEDGKKVVARLIGIWDERKVFGTRVEGLKDEILGDNPPILDNNGNSSNPSSNPSSNSKAARSSGNQPASKLISDLQEQEMNLKQCIGQLESVDVARTTLINQLKEALSEQESKLIILRGQLQVARAEAERAIQLRQQPGGALATSGTQSNSSPLMITPLEQTSVGSGVRSIPPQSQSLNPETSHTPTVSAVDEESKRTAAAMADKLASLSKPVLNSIISSLVAEQTASINVGSPSGEISGGPPGFQIEKRPRLEKTMQTGFPGPSGPPPPPPLPPAQNQPQQQQHSPQAPQQSPTSTGFFQSSAGMGFILPVQ >Dexi4A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:4A:6468121:6469314:1 gene:Dexi4A01G0008660 transcript:Dexi4A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRLLPLFGAASPIPSPIHHGARPLLSTSASASPPPSPSTFSVGEHLAAASGLAPTRSRDTVKRGSKDSNTKSFKDLSLPRLNSASNPDAVLALLSSVGLSRADIDAVVAADPLLLRTSAEDIGPRLIQLRDCYCLSAPQIFRFLLCGSPALRRRDLGTSLEFFVSFFGSFEQLLMVMKKNNRILCVDLETIIKPNIATLRQYGISVGEIAHLCLRSVWILTFNPQRIKEFVLRAEELGVHRSSRMFKNAVGAVASIKKERVAPKLNFLKSILGISEEKLACKIQFLLNVVGLEPRYIVDRPALLGYSLEKRLVPRHCVMKVLLAEGLLKCSRSFYSLAKLGEEAFKLKFINSHKDSVPGLADVYAAACAGVVPS >DexiUA01G0016360.1:cds pep primary_assembly:Fonio_CM05836:UA:34772705:34773226:1 gene:DexiUA01G0016360 transcript:DexiUA01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAQSGGAGGGGTIASNTRVWIVAGIAVAGVIVLAEAARRRQRWLRGKSGVPPDAGAFCDRFELSPSPQPPPPAARHLLSGLNFAASDNFEIEGYVAGFGNPDWKRTHEAPRHTSVAVTMLQKQGGTCVGRTVMDELGFGF >Dexi7B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:7B:15082678:15083088:1 gene:Dexi7B01G0007140 transcript:Dexi7B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi2A01G0032160.1:cds pep primary_assembly:Fonio_CM05836:2A:42773638:42775013:1 gene:Dexi2A01G0032160 transcript:Dexi2A01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCTHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAILSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPLPCKSDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKMLIEKRARFHPRELCPYCKAKLWNMFQENMILRSASARSSSFAAAAGRNGELGLPRCARPSAAPAPRLPRVRRAEAGWPAGD >Dexi1A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:1A:292116:300095:1 gene:Dexi1A01G0000510 transcript:Dexi1A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNQGMHTTLTAFLFLAPIAYFRERMEKLDLKTGAGIAKVIGTAVGFTGAIILALYQGPSLTKSPPTMAVGAHHSSSRWAVGSVALLAGAACWSFWFILQSRLGKKYPALYSGNALMFLLSFLQMAAMALATERDLSVWILTTKLQIFTQKTTVIDQQQRKEAMVGIGKKTTASSWWSMEAVMLPASMVLVQVFTMGALLLSKLALNVGMEPFVLLAYRNLIGAIIVAPFAFYFDRAMLRKVNLKVMCWLSVSALLGIVLAMGLHYYGLRATNAAYSVNFLNLIPVVTFAMAVALRLERLSASTWAGRMKMAGTAVCVGGTMVASLYKGPLLHPWPTHLLHHHAAAAVPAHRNMALGTVYLCGSCVAYALWFIVQARVGRVFPCKYMATMLACVSGTLQALAIGAAVSRRAAAWRLTWDLQLLAVVYSGALNTGATFCLISWAIARRGPIYPSMFNSLALVGTMVMDSLLLGTDVSVGSLLGALLIVLGLYAFLWGKGREMSKQQQHPPHHLTPGPNNNQPPPDASTATAPAPALANSSNVHGDDERV >Dexi9A01G0049950.1:cds pep primary_assembly:Fonio_CM05836:9A:52407643:52409949:1 gene:Dexi9A01G0049950 transcript:Dexi9A01G0049950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFFKVSLSSRVVAAALLWLLVAHGSSCAKHKSHKAAHAAPTPPPPSSDSSSSGSGGPGSGGYYYPTPSPPPPTSSSNGSSSSSGWLNARATWYGAPNGAGPDDNGGACGFKGVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCLAHPACSGIPETVIITDMNYYPVAPYHFDLSGTAFGAMAKDERNDELRHAGIIDIQFKRVACQYPGLTVSFHVERGSNPNYLAVLVEHENGDGDVVQVDLMESRAEDASPTGVWEPMRESWGSIWRMDSRRPLQGPFSLRVTNESGKTLVADQVIPADWQPDNVYSSIVQFD >Dexi1A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:1A:6813137:6813793:1 gene:Dexi1A01G0008670 transcript:Dexi1A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPHFILVPLVAQGHIIPMVDLVRLLAERGARATVVTTPLNVARLHGVAEHAARAGLPLELMELPFPPPASSGLPHDCQNADMIRDNAQFLPFFFALRELAGPFDAYVRSLAPRPSCIVSDWCCPWTAATATSLGIPRLFFHRPSCFFALCELQAVDHGVLERLAAGGDDERHAVPGMPVPVTVTKAIPPGLFTYRGTEVLRLEAMDAMRTART >Dexi2B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:2B:29168444:29172108:-1 gene:Dexi2B01G0018960 transcript:Dexi2B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPGQHRAPPPQQPCKDATGTTQAGRSCCISASTRRLLRNPVVVVNFVLIVFGAGAAPLFLRAYFVHGGARKWLSASLQTAGFPILLVPLCVSFFSRRRKKASGDGDGTPFFLLMTPRLAAASVGIGLMAGVDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRLTASSANAVALLTAGAAMLGMNAGGDRPEGVSRAQYGAGFAMTLAAAALFGLMLPAMELSQARHAARRGGSAVTYTLVVEMQLVIGLTATIFTAVGMLVNNDFHAIPGEAQGFGLGRSGYYLLLAGTAATYQCFFLGTIGSIFFGSALLAGVITTTLLPVTEVFAVVLFHEPFSGTKGVALALSLWGFVSYFYGENQTSKANQQPENPPDVEHLDP >Dexi1B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:1B:25498420:25500938:1 gene:Dexi1B01G0019270 transcript:Dexi1B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEGDRVGGGAGVGGGQQFVDRSKVRILLCDGDANSSQEVLRLLCNCSYQVTCAKSPRQVINILNYEGGEIDIILAEVDLPATKCFKMLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFFNDNFELVLSEPSDANTNSTTLLSDETDDRPKENTNQETGTSNQREYESNPSVAEPEQRGKMDGAPGSVIGADQASSPRRMFSRPIKTNLRNAWSSVSSSPVPEETCSRSERRAAALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQTSNTDIISTGDDISEDEDDDPSSREVEIVSSPE >Dexi2A01G0036980.1:cds pep primary_assembly:Fonio_CM05836:2A:46474042:46475948:1 gene:Dexi2A01G0036980 transcript:Dexi2A01G0036980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQPSSLTAALAQGPNLCPVKILLPMGPPDVVSSESVEYDFSDVFGSTPIQTPTILCGHGPDSPAYTVESSEEIYNDPVVINKRSHSLVGPTSLISRSLRLSKLSLGKTEGSSEIVKCLSEEKEQEQGQLSDEEFDNATTEDEGVGLDDFEILKLVGQGAFGKVFQVRMKHTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFIVQLRYSFQAMLTDFGLAKEFCENTRSNSLCGTLEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKAPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEPNKRLGSGPGGSDEIKNHKWFKPINWRKLEARQIQPSFRPNVAGLTCIANFDECWTKTPVLDSPVLTPAGGGHNNFAGFTYVRPAPILEEMKPSSSRLKD >Dexi9A01G0022380.1:cds pep primary_assembly:Fonio_CM05836:9A:17587994:17588901:1 gene:Dexi9A01G0022380 transcript:Dexi9A01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNKVWLVSGMGVLPSFCNLSRTPSKPSCAASSPESKACLFSSMICSRMRSILLRHSSTFLGAELSARMTLDDGNRSAAVNPDVCRTAVSNASRSSWSGSRRRRRRRGRGEALQETRHLLLADAPERLHAGEAEELERPDLAELAPPLAVGREREVGAVVSTARVGLRCRSANVMSCVFVIACAASGDDTTTVGTSPRRSIMSGPCFWDRSRIPRQITGLVTRWWMLPITGSVHGPGGRLSFGFEMSNDLSLEIRTMISTATATARTVFLSSINCG >Dexi9B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:9B:10292033:10295423:-1 gene:Dexi9B01G0015190 transcript:Dexi9B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEGRIFVGGLSWQTDERKLEDAFSRFGKVVGAQIMLERHTNRHRGFGFVTFEDRRAVESAIKEMHGQELDGRNISVNKAEPKMNTDDTRYDSAGGRGEYRGGRGDGPPPGNCFECGRPGHWVRDCPSAGGGRSGRFPSKFSGGSGGGRGDRFSGSDRYGDRYMDDRYGYRDQVDTRDRYAGGRDRYANDRYPSGDDHFGADRYGGGQDRYAPSGYGRERERSYERDGVRGGGYDRSGPRGGGSYDRDGPRGGGYDRDGPRGGGPARYDSGGPARYDGGNYRERPGPYDRPSRGGGRFDDRY >Dexi5B01G0022180.1:cds pep primary_assembly:Fonio_CM05836:5B:24399237:24400870:-1 gene:Dexi5B01G0022180 transcript:Dexi5B01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRGIGNVARRWRELNGLNYWKGLLDPLDIDLRRNIINYGELSQAAYTGLNRERRSTYAGSCLFNRRDFLSRVDLLNPDLYEITKFIYAMCTVSLPDRFMVKSMSKAAWSRQSNWMGFVAVATDEGKEVLGRRDVVVPWRGTIRFVEWMDDLDISLVPASEIVLPGSAINPCVHGGWLSVYTTADPGSQYNQESARHQVLNEVKRILDLYENEETSITITGHSLGAALATKLGYNDVGTELLIDTGESPYLKAPGNPLTWHDMECYMHGVAGTKGSSGGFKLLVDRDIALVNKHEDALKNDYSIPSYRTKVW >Dexi3A01G0022120.1:cds pep primary_assembly:Fonio_CM05836:3A:17678808:17682603:1 gene:Dexi3A01G0022120 transcript:Dexi3A01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASAATGALQPVVEKLTALLGEEYERFTHPRGEIESLARELSAVKAFMIEKSEVEDPGEQDKLWMKDVRELSYDIEDGIDEFMLHAADESATPDGFMEKIRSLLESTKSRRQIAKEIEDLKQNPGKVAERNRRYSGGASIDSRALAVLEDMTKLVGIHGSNGELIRLVEEDQAGHGSVQQQTKIVSVVGSGGIGKTTLARQVYQELKGRFRHQAFVHVSRNPDIKGILRSILYEVVSQKDYEAVIKGDYVSRVAGEDQLITKIREYLTGKRYFIVLDDIWDVKTWNTIKDIFPMTSCGNIKALRVLEGVNVYIRSLEFIRRLGELPNLKKLGMIFINSDADEEWEEKYEEIVSSIYKLAKANLDSLHIRTLNEPPEFLDNLSKKHPDPLGLRELVIEGDAVSGLAAWWGLLVNLRKLLFCADGSVSEEDVETLRSLSYLECLCIHLWDAPDDPAVKAPLERAMKAHPNRPKLVWVDEY >Dexi2A01G0025350.1:cds pep primary_assembly:Fonio_CM05836:2A:36978660:36984382:-1 gene:Dexi2A01G0025350 transcript:Dexi2A01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGGASMQRWFLALPDMKVPDNFVRIGRKTLQECAAECSANCSCVAYAYATLNGSTANGDSTRCLVWIGDHQLVDTQKMGVLPYSTTDADTQDTLYLRVASLSALLLLPALSISDDRLAPGKRLSPGTTIISDGGAFTLGFFSPSNSTPEKLYLGIWYNNIPSLTVVWVANREIPAVSSSASSLALINNSDLVLSDANGHVLWTTNTTTAASSSPSPNATGSVAVLMNTGNLIAANTSIIVYMAYVDTVDEMSLAFTVSDGAPPIQYVMSYSGTFKLRVWNQGSSEWAVLTVSPDNYECSRCW >DexiUA01G0014040.1:cds pep primary_assembly:Fonio_CM05836:UA:29843125:29846534:1 gene:DexiUA01G0014040 transcript:DexiUA01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSRQSLQSQNVDLLGMLQSKEKAQIPTGNSGLPLWSNYSEGRNVNPNMHGVDLTQGSLNTRQDLQNPQNIGIGVQQHSFMPQNRPTLAHLPPEKLAEISQDPQLLNMLQQQYILSQLQVGQQPSQSNMNMRDMQVGQQPSQSNMNMRDMQVGQQPTLPLPHETAVGAHSKEHYSRSQMLEGFANNDAQLKSNVVKMNEEVKYHEMDTGAAKTKMSEKVLDSGSTRAPASASNEAKDPHVPPLDPKSENVLSTISRQVQEMKLSSENTSSDITTTVATEVKVADAQETKKSEKKKKQKKKQAAADAGKVASKTVSAQQPRQETEVDSSDLGGNKHDLPDDTEELFWGAPGSVQNEILPHKSLHEEYGTNTAETQFSSLSDPHSAASQRAWKQPTQGVRPKSLLEIQAEEQLRAQKGQAMESAKPAASVPSIPWNGMATSSEQHYGGSSKSLGSIETAGERNKRSQLHDLLAEEVLARASIADNENIGNASDAFFPPLSPAAPQPDAPALDDNDFIEAKDKKNKKKATKTKASVKAPSPVGSFDQSAISMPTEKGKAAKQAQQESEILPAPPSGPSFGDFVLWKSDQASSVPAPAWSNDSAKAR >Dexi4A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:4A:22531980:22533071:-1 gene:Dexi4A01G0018540 transcript:Dexi4A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLKHFPISLLLLLLSCYLAAAAAAASRIQSPSDAGAIADLARSLTRPPSTWTAAGGGDVCSFKGITCSDVGRVTAIDLAGEGLAGTLPSSLSNLTNLLSLQLHGNALSGAISSLTHLSLEGNSFTSLPVDFPRGTPALRSLTMDNLPLPPWPFPAAIFECPYLHTFSASNTSLTGAFPVAGIVSNLKSIATLRLAHNNLTGVMPEALGQIGPVPVFHISVAVDDMVAGNGFCLDKPGQPCDAQVSALLKVAEGFGYPVNLSRSWTGNDPCNGWVGVECDISEVAILGLTSYNLSGIISPAIADLTGLTTLNLANNRLTGEIPDGLAGLPKLTLVDVRNNRLTGKLP >Dexi9A01G0029950.1:cds pep primary_assembly:Fonio_CM05836:9A:34812590:34814856:-1 gene:Dexi9A01G0029950 transcript:Dexi9A01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSLSASSPPQSVAAPEPCQWSGAGIGSAACGLAGRVLCAVATCVFAAVGSLVGAVTGSMIGMATESGVLRGAGIGAISGAVFTIEVAEASRDLWYSADSGVWTLLYMMSAISSPFAETTSDLFETGGGSSRRGLPADALRRLPEMEIAADTAVDAAGEALCCSVCLQDFRVGEQARRLPGCRHVFHVPCIDSWLVRHGSCPLCRRDI >Dexi9B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:9B:1323626:1323988:-1 gene:Dexi9B01G0002320 transcript:Dexi9B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVASVPARRTAGVAPWPYLEYMARWERQVERRQLFLRSYHFSRDADAPRSPRARARRVVWAGLRRLRRAAATGLRRLRARLRLCFGWATRRRTRNFRYGRLSAGGKARAAPASVCFW >Dexi7A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:7A:28984394:28990316:-1 gene:Dexi7A01G0020050 transcript:Dexi7A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSVQGAVFGGGDDEQFLDWPKRLTITHDVSHAHIPPHLVPATRRSPSKLDDFVLARFKTPDAVAASGTVWDDFMSQKLGDAGDHLSTTTSVARGKEWWWKQDGSGRALSLGALSQRPLSTVGRHISPARQAFGRRWQGGGKHYGSSSWSSSSTRAIKGEGISWSLVLLLRLAPAGTAASSRLLLLSALGIQRPGAGTAEAAPGLGHGGGGYRDHEVGCKEGGFGHHCFEGAPRRRPRLLDEEVVLQEEEGGGRRGEEGHSGRRVEASSERRGMIWGRISDVDASEHLSTRRMDRSEAMLPSAAARPEGKGYGNARCTVLLDRRGHDAAFKDASTASSRTTAGHPIEVTFCTRPPPAVSNFSIHCPDLQLPPGDLYLAPKAIAAADDLVLLRVPVNPLGKDFYQHNDYFDPKLDLLPNPWPDRFGDDEIGILSCGAAAADGGKQYVVAALKMRPISEFTFTLHLYRSKPGGEAGSWTSQLVSVEEPLRDRVCPIPDSAERLMYHLTTKVITIGGANGTVAWVDLWRGMLLCDVLEKSPKLLDLPLPWPAKGNWGIYLSDSETFCRDIVVSQNKDSIKYVEMEIIPPRMITLSSRSPDTDSYLEWVRPKKCLPQPPCSFVPGQWKVTTWSMPIPVTSWDNWRLECTAELGEFRVDDPMDYEFLHKFKSSHGDKEAKDAALSLGSLYMAHPAFSIDDDVVYLLADASSRSKMGAVLAFDVGKKELRGIVQRKGRSEQE >Dexi3A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:3A:16354997:16357311:1 gene:Dexi3A01G0020610 transcript:Dexi3A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRSMLLSLLLFAVTLSLLEMYRAKFASSELMTIAGGFVCSLLFLLLLTFIGNYQEANGDKTGWGAVVVAQLVALIVAGTVHRVCITTCFLFSAGLLYKVDKLSGMILARSEPKVRRH >Dexi3A01G0026270.1:cds pep primary_assembly:Fonio_CM05836:3A:22353307:22356550:1 gene:Dexi3A01G0026270 transcript:Dexi3A01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLQGFARCFIGSTSAPAAVSPPDNDDLLREILLRVPPLPSSLPRASLVCKRWRRLVSDPGFLRRYQEHHRTPPLLGYFFTGTHVPVFTPTLAPPNRITPARFSLPQQPAGGGDRLFFLGCRHGLVLLINRRRLEAIVWDPVTRRQATVAYPEEFTTDNGDHCCRGAVLSGEEGAGGLVPGEDGQLRPFKDRGLGVALLSRLSIQLWGRKVDSGGGIGWVLQKTIQVDKLLPLPPSMDNNMSARILGYDEDSNAIHLSSFGESVREIIGKKY >Dexi5A01G0023610.1:cds pep primary_assembly:Fonio_CM05836:5A:27575619:27579114:1 gene:Dexi5A01G0023610 transcript:Dexi5A01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHAAPPPPSAPASASSSSSSSSSATPFFLARPHHHRRAAAVAATAAASPGKAFLSSPDHSPGRSLTPPRITPSSTPALVTSVQDLYDFICSGPLVDRIGYTKEKIAESIDRWLRCGGQVARLFRLNEFQLSEAEKARIYHFYIPVFLWCEDQVIEHRAKYKESDDIPPLVIGVSAPQGSGKTTLVFALNYLFRVSGRHSATLSIDDFYLTAKEQNELRDRNPGNALLELRGNAGSHDLQFSVETLESLMKLRKEGMKMKIPRYDKSAFGGRGDRADPSMWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYDAWDRFIESWIVIKIREPNSVFQWRLQAELAMRADGKPGMSNEEVMDFVSRYLPAYHAYLPTLYKEGPNGSKPEHLLVIDIDEDRNPIWGN >Dexi3A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:3A:1012368:1014428:1 gene:Dexi3A01G0001450 transcript:Dexi3A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLSCCSDLHRVFAATWNVAGKTPDRGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSEAYPSTITEAAASFSFSQSADTTASASPASGLQTPSSSPLDPSLFHKSSNREIRRAFITRGRRLKTRTCPVERPRSRRSYRSPCLMGCGKNTDAIESMSPCSHLASGEKEGDELRRNSDVVEILKNTQFRRICRRSGRRIPERILDHDRVIWLGDLNYRIGLSYSEAKKLVEANDWGTLFEKDQLKIERERGVFRGWNEGKIFFAPTYKYSWNSDSYAGEDSTSKKKRRTPAWCDRILWRGEGIVQLSYIRGESKFSDHRPVCSVFIVEVAVLDNRLIKAASGPNMKVGAEELLIIPNQ >Dexi4A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:4A:12054114:12055203:1 gene:Dexi4A01G0013070 transcript:Dexi4A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYPSAGMYPQIVYHADVRARELEAERQMGGCSCAPLGRMISRVVTKCNGRERRVSRYNYDDKMDYAMAYADATQTCYVRPTPSARTVTLATASNHHPPHSHGAIQPEPPRAHAATILPATPFPSTGASPQGARKPNKKKKKKKHVRFTPSGPVPGNAPPPHAQQHTGATAASGGGGAAATASVVYHHGAAEPPPQPQPPYPYSPAPAPAHGGQGGHGYAYGYGRYAPSPLPRWEVMGTPKRHEYFSSEYRWYYPTPVREGIYSIATDANGRLSTIFSEENPNACTIV >Dexi2A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:2A:25866858:25867068:1 gene:Dexi2A01G0015150 transcript:Dexi2A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTFVLVSAAVIDFAHFSLDFLYAPPVDEGDVPKWRVRHSRSREIIDSRGSLLLLSNRPCSEWRY >Dexi5B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:5B:22331611:22333747:1 gene:Dexi5B01G0020060 transcript:Dexi5B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDGDAAASKEKGGSGGGGGGHERTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYQDAIASKDFSKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVFDLSAKQNISEIYLHVQTNNDDAIAFYKKFGFEITQTIHDYYMNITPPDCYVLTKFIGQAATKK >Dexi9A01G0041520.1:cds pep primary_assembly:Fonio_CM05836:9A:45184235:45186614:1 gene:Dexi9A01G0041520 transcript:Dexi9A01G0041520.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGRHWGAEEGPDLIPERERNPKPRRETAPFPRRGVHQQRSAAMAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVFKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDASEMGFDVIRGRAQ >Dexi1B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:1B:3705822:3706258:-1 gene:Dexi1B01G0004630 transcript:Dexi1B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVYRRVLRAVHKHVGGDASKQHFRDFIAAEFRAAAGTEADARARLRLARDYAYLLTSVHHHKR >DexiUA01G0017390.1:cds pep primary_assembly:Fonio_CM05836:UA:36727432:36729369:-1 gene:DexiUA01G0017390 transcript:DexiUA01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVAAAMAPLYFALGLGYSSVRWWKFFTPEQCGAINTLVAHFSMPFFTFDFLSRANPYTMNRRVLAADAASKVIAALAVAAWAHCCGAKAGARSWSITGFSLAAFNNTLVVGVPLLDAMYGGWARDLVVQIAVVQSLVWFPLLLLGFELRKACVVGGEDVVAAVAGRRGVESMAENDDDDDVEMDVGPGADVAAGIRMWPTVRTVGLKLARNPNVYASVLGVVWALIAYRWDLRMPGVVTGSLQVMSRTGTGMSMFSMGLFMAQQERIIACGPGLAGLGMALRFVAGPVATLVGAAVFGLRGDVLRVAIIQAALPQSIASFVFAKEYGLHADVLSTA >Dexi1A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:1A:24336998:24339524:-1 gene:Dexi1A01G0017100 transcript:Dexi1A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKKGRKRKDYGTIEDGQKKMKGDDGHAHVPTKYPIDDLLVKPNADDSSLFKRPPMATDFRVPRCSVGDLLMVWDFCSSFGRVLNLFPFPLTDMENAVCHKESNVLLVEIHAAMFHLLMKDKGDYFSVLQNKKRKLKVSLVTWAEYLCDFLEMTKIEELSSTIATVRRGYYGLIDTDIKLRILRELVEEAIKTSAIREILSERVDQKQALNATKRESTRKDKQEQNLNTETAMKKEENQTDAVQDSNESVDDLARGKEKDKSSRSKTEGKRHLVRHLDTEIEKLSIRSSPLGKDKHYNRYWFFRREGRLFVESADSREWGYYSTKEELDALMGSLNVKGIRERALKRQLEKFYNKISNALDKRTKEIVNKMLLEEGVLRRSTRVRAQPKDNPSIAFLKYVNKWKDN >Dexi9A01G0022120.1:cds pep primary_assembly:Fonio_CM05836:9A:17098525:17101137:-1 gene:Dexi9A01G0022120 transcript:Dexi9A01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEIKICSPEMEQDGVFWVPMQQAEQGWDDFDLEINFESGTACRFQVDNYRVRDDDALLILQILEGPRDEAQLDEWLSGDLHQSPLHDTVGHHKMECRKSGRRGTRKRRISPWDTLFFGGTPLRGGGEYITRKNNSHWTAQEVKLLVQGVSKFGVGRWSKLKNKYFNTSIRTTVNLKDKWRNLVRAYQKKVQKYTLLQLEPPLVEEIRKVAAKHPYPKQRHS >Dexi2B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:2B:6481987:6482771:1 gene:Dexi2B01G0006550 transcript:Dexi2B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRISFLLLLFSTTKANHATTETSLTSCEGDEGALVAFKTKISSHSGVLASWNQSTSYCSWAGVTCGKKHPWRVVALDLGSQGLTGTISPAIGNLTFLHSLNLSSNGLQGEIPPSIGSLRRLQSLDLSQNMIDGVIPSNISHCTSLRVMNISSNKGVQGSIPPEIGGMRSLTALVLYNNSITGTIPSSLGNLSQLAILQQTTRSSTS >Dexi2A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:2A:6152442:6153976:1 gene:Dexi2A01G0006490 transcript:Dexi2A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLPDDVVEEILARFPPDEPAHLVRAALACKAWHRIVSGAGFRRRLHGRAPPLLGFFHHHGSHPAYFNPTSPFRPTYAARAGWLILNGRHGRFLHCGKPLQVRRQEQVPEVLAKLEVLPCHQDLGQGEFDSS >Dexi2A01G0024680.1:cds pep primary_assembly:Fonio_CM05836:2A:36413872:36415520:1 gene:Dexi2A01G0024680 transcript:Dexi2A01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGANRWMETGVQWEQLVGAPALYLDGEIRWLARLGSAAWIIRSCRTGRVEEEAGNNSMTSFFLHLSLTFSPTSPHPQPTKFHSPPPPDPKLLLPFTPASRSQSHAPHCFDFDSPSSPSPHSPTPP >Dexi3A01G0024530.1:cds pep primary_assembly:Fonio_CM05836:3A:20183168:20183808:1 gene:Dexi3A01G0024530 transcript:Dexi3A01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAFVTMMGFFAEAGPR >Dexi9A01G0034210.1:cds pep primary_assembly:Fonio_CM05836:9A:38978545:38979680:-1 gene:Dexi9A01G0034210 transcript:Dexi9A01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERQPAPGQKFAAAIMANDGGGSREAAAAAGKKRKATSAETALAAMRREPRRGLGVAELERIRVALEVAERCYAAVPVPSLTAPAPAPPYPPAVALPASAALAAVRHRHGSVAHVLPAEHSQIIGRDGGDVQVGNGAAVHQQQLQMPMRYFAPYYVAAQQLFMSSENPSPHQNGRQQADQIQSAVTTALPAAGQAASSESSSSAYQRRLSHRRRAQPPRRQQQQQPTGQTAVPAPPVAFVDLVDSDDDDGGGHVEELDLELRL >Dexi1B01G0031150.1:cds pep primary_assembly:Fonio_CM05836:1B:34848725:34850528:-1 gene:Dexi1B01G0031150 transcript:Dexi1B01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDFQRRVAQRVRAMEIRPVLAQTISLGMILASALIIWKGLIVLTGSESPLVVVLSESMEPGFQRGDILFLHMNKDPIRAGEIVVYNVDYVVIGVLGLLVVASKD >Dexi8B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:8B:1345734:1352075:-1 gene:Dexi8B01G0001910 transcript:Dexi8B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLYFGDIKDAIAALTDSSTTSPTFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPTAAVPHGTLMRVVERAGDGLRVTRMAVPLRDTEEENLLDHLEPCLDFVDEGRKVGNVLVHCFAGVSRSASIIVAYLMRSEQKSLEEALESLKEINELACPNDGFLDQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKFGEKIGSYMFEDDPGLSPLPGSCQDPSKTEQHKTAYRCRKCRRVIAVEDNVISHVPCEGESCFDWNRKKSGRSYTDKEQDCSSLFIEPLKWMTPAVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWVTPAFQIVKSKVDISTI >Dexi3B01G0036800.1:cds pep primary_assembly:Fonio_CM05836:3B:39659252:39659914:1 gene:Dexi3B01G0036800 transcript:Dexi3B01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRRRHAAITAAMIWLVVLALGGRCATAARPLRRLHAAEGSTEASVAELDVAAAEAPETATRTEGEDSLGEEKWLPFPMPMPAASVLRFPPVAFPLAAAAAGASMPWLAGAPPAFAGIPALVPPYIGATRQEQLSLWASLFNPFQVRPKLPVASLGGGETTAAAGQVERGGGGGVPVAIASGGKAAEGETMDVPAAGALQVAEPKWGVFLGNIDRRN >Dexi5A01G0022750.1:cds pep primary_assembly:Fonio_CM05836:5A:26905271:26905935:1 gene:Dexi5A01G0022750 transcript:Dexi5A01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEISGPSYRRRSTLSLSGCDGTSVSVGQSKLCHTTVVVDGPEMTRLPVSRRDMAMAPPPPPPLVVLSHTVVPLASSRASLPSRRNVILEPLPFTGALGLAVQMGVLSAAWVYQTEKEKAFSPASRKPQEKVASGDTRKGRAWSSTDREEPAPSVCCAETDGHGTTAMSSEIKATQCWLCSCTYESTNGRQDGH >Dexi1A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:1A:1262530:1272093:-1 gene:Dexi1A01G0001890 transcript:Dexi1A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNEHGNKDSERKWDTTGLDAVPLKLVEGDKVSRNWWRKLELVRGLLSKSVDDQSRLEALTYSAIYLKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYSEAFVEQFKIFYSELKDFFNAGSLLEQVESIKESLSESASEALSSFVKTKKVERIAPGSLPSSIKEPVVLVVNKADGDEEEFQQHSEFLKVL >Dexi1B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:1B:3874241:3875353:-1 gene:Dexi1B01G0004820 transcript:Dexi1B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLDLVFPIIVELEEVARCDGGVPVEFAVVPCALGAGALAGGAGEAPAGADGGGVGEAPLGAGGGGVTGVLGGVVVGADAGGGTDAEVAGGGGEAAGGDGGAAEVAGGGDGDASGGDGGAGVVGAGAPPPVSLLPAARTMTMSFSLLRQLASTPLMKKRAPGRSRLYTVSPSSNLCTYADVLQALYSDGSTSSTESWSFGYTNTDAKKMGKKKHHSWHRRPWRQIDGRVADLKPCSHRPGVERLCAGGGDAPAIGAANCELSCAGAGDGDRNGEGSKPKPVTT >Dexi3B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:3B:6244561:6247816:1 gene:Dexi3B01G0009040 transcript:Dexi3B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSVSAADMAAVKTSSNGVWQGDDPLHFAFPLLILQALLILVLSRLLAFLLRPLRQPKVIAEMVAGIILGPSALGRNAAYLHALFPPWSTPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRRAIPGADQAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGDGSSGRGPVTSVWVLLCSAAFVAAWMVGVKPAMGWVARRADAAGEGGGGEGWVAVTLAGVLASGFVTDLIGIHSIFGAFVFGLTVPKEGAFAGRVTARVEDLVSELLLPLYFASSGLKTDVAAIRGGEAWGMLALVIATACVGKIAGTFGVAMACGMEVREAIVLGVVMNTKGLVELIVLNIGRERKVLNEETFAILVLMALVTTFITTPTVMAIYKPARAGRRRRQLHHRKLQGPIPSAPSSPSAGAATANAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGLPFLRPRRAGDPHHDQVDVAFDTYAQLGHVAVRAMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHHTGGHGGGDDVENLGPEWRAVNRRILREAP >Dexi4A01G0024330.1:cds pep primary_assembly:Fonio_CM05836:4A:27139094:27140437:1 gene:Dexi4A01G0024330 transcript:Dexi4A01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNPILTALSAATLGFLIGLSFPVQIAPQLPCYVLSWSGGGGDAASNVLGRLSWVPFVVSNNKNSSGTAAAAAAAEVMNQNKTGTASSVVVAAAAPPPTGAERLPPNIVVSESDLHLRRLWGEPREDTPVRKYLLTLTVGYTEKANVNATVSKFSDDFDVMLFHYDGRTTEWDEEFPWSQSAIHVSARKQAKWWYAKRFLHPRVVAPYDYVFLWDEDLDVTFFDADEYVRLVTKHGLAISQPALDFSRGKKSYEITARRSSGVEVHLNTTGGPGNCSDVHQRPCSGFVEVMAPVFSREAWACAWHMVQNDLVHGWGLDVNFWRCVDEPERQIGVVDAQYVAHRAVPSLGRQGNPETGGGGKVRARAWREYSHFRARMTNADRAAQREAAAAAAATSPPRSK >Dexi9A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:9A:2132992:2136828:1 gene:Dexi9A01G0004070 transcript:Dexi9A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRVNGETSDDKHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHLLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELTSWPEQSTRQRTWLTVPEAASQCRYPWMQEALLTGFSDWHDKWSRGGGGTNCDPA >Dexi9B01G0043500.1:cds pep primary_assembly:Fonio_CM05836:9B:43467489:43472864:1 gene:Dexi9B01G0043500 transcript:Dexi9B01G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALASAAAIADQRQKIEQYRHILASVLSSSPPDISQAKRFLSHMVSDEVPLVVSRQLLQTFAQDLGKLESDAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLAKCVQIARLYLEDDDAVNAEAFINKASFLVTNSQQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQKALLPDRSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQISGLCQALNDILDSMSSKGIAIPV >DexiUA01G0019420.1:cds pep primary_assembly:Fonio_CM05836:UA:40524867:40526635:1 gene:DexiUA01G0019420 transcript:DexiUA01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEAAVDLCQRMQAQREAIECRISDARKCATATAAAFSAALLSARSIANQTVSNRGISKRMATTVLIISVPDNQCSFELCAAQLNELKQQLRKLEADLAQALSVQTTKRSKHKLMGESILNTTATNEKLRSLLMDQRARKEEYVNDISNQLQVRVALWVILEAIESLEAEGDARGDKNLEKAIMWYNKFLGFQVVGGEGVKFVFNKIDLQSPDKEYSFCIKLMEERYILVKCVPFVDGSKELVKDLNCSNDLYKFVRTMRERFQIATISGT >Dexi5A01G0033320.1:cds pep primary_assembly:Fonio_CM05836:5A:35631865:35633311:1 gene:Dexi5A01G0033320 transcript:Dexi5A01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGDLPPGYRFYPTEEELICFYLRNKLDNLRDDIERVIPVVDVYSVDPPQLPEIHEMMCGGAGEGDETWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSSSDRRAIGMKKTMVFYRGRAPSGAKTKWKMNEYRALQYYDAPTPAAAAAVGNDGSSSTSNAHAAAAHASANVPPPQLRSEFSLCRLYTKSGTLRQFDRRPLAAAAGVVPPGEEPGPSTAAAASSPPDDDDGSMQQQHQQLGIDAGDPYGDDVAILDALLYWPGD >Dexi8A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:8A:10946925:10950658:1 gene:Dexi8A01G0008830 transcript:Dexi8A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELRARMVERTARRAPPEEGGEAAGGISWSWTAADFVSTLLGAGGGLLVMQTNQGFDLKPIQALNHLVYLSP >Dexi3B01G0033990.1:cds pep primary_assembly:Fonio_CM05836:3B:36775628:36778142:-1 gene:Dexi3B01G0033990 transcript:Dexi3B01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALGVLFLALGGLTPATIADVFCDNLKQVATTLSKNTSSSPEHFSTTIFGQAPDVVYALALCRGDVYDDSVCGGCMASTFNLLLNLKPPPQQQCYSAAYYYGDLCTVIYSVDDIVLTAPNDTTVDDEPFTLWNAYSWGGGGNWSIDNITGDAHDVSLTVGLLHQLLVKTVQAAVAASTTTTTPRRFATGMMDRPMVFFTLAQCTPDLSAGNCSACLNRLLGMVNSTIALRKGGQIHVIRCYIRYEEYLFYDSQPMVFVDPPSAAAPMPAPMMPTTTVKRHMSRFWAIPIAVVLLAAAAAAATAVLCSIFCSPWLRKNRKGILFTEGDDELVWQGKNSEFSVFDFEQLREATNNFSKENKLGHGGFGEVYKGIDSRTHCYVAHDDYSLKIRSYLLVSNLASLTLGYMTPEYASEGLYSIKSDVFSFGVLVLEIICGRRNSGGHKCGYFINLLGYVSCKHI >Dexi1A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:1A:25548576:25549162:1 gene:Dexi1A01G0018280 transcript:Dexi1A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQADLLLTTIDVTAAVTISDCDLTGDKSPLELCSIIHIGHLLALGHGDQHLVVFIHAADTQALQLLPVGGADARGEPRWEKSTSRSWGGTSSCTTPRTSIRDAANDAAVAMPFL >Dexi4B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:4B:20856011:20857503:-1 gene:Dexi4B01G0018540 transcript:Dexi4B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVAATGGAHVPARYLRPEVAADAVVSNGEAAMPIIDFEKLLHPDESRGESARLHEACQEWGFFQLINHGVPDGLIQGMKANIQGFFGLPAETKQQFAQQRGQLEGYGQLFVVSEDQKLDWADMLYLSTQPTQNRNMRLWPDKPDTFRSTLDAYSAAVKNVADRLLVIMSKNLGLQPEVIANKCVDALQSVRMNCYPPCAQADKILGFSPHSDADLLTIVLQVNEIQGLQVKRDDDTWVPVQPLEGAFIVNVGDVLQIFTNGRYRSVEHRAVVDTERERMSIAAFHSPSIHATIGPLSELVADQEMTMYKTVDHESFLRFLFSAKLDGKSLLQRMKL >DexiUA01G0012470.1:cds pep primary_assembly:Fonio_CM05836:UA:25244304:25245295:-1 gene:DexiUA01G0012470 transcript:DexiUA01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGAMGNLAPKLLQLLQDEYKLQKGLRAEVKSLAQELESTHVALCKVAQVPTDQLDPQVKLWAREVREASYDMEDVLDTFLVRVDDGGDDHRCADVDQGRFERLKEKIGKLFSLSKLKARHDIASAIKDIKKQIQEVAERRDRCEVNEIVAKPVASSIIDPRLEAMYKEVSQLVGIEGAMGELISLLSLERDRASNEKLKIVSIVGIGGLGKTTVAKAVYDRLKSDFNCGAFVPVGRNPDLKKVFRDILIDLDKRKYSDANMLIWDERQLIDELRHFLGSNRFQILTCYYCANTT >Dexi4A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:4A:8085149:8085400:-1 gene:Dexi4A01G0010160 transcript:Dexi4A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRRTGTVVVVVAALLVAVLGAEARPLAGDGWAVSGDGPLPASGGVFIVETLRRLYLQQLGGPGLSCQTNSPNNGCPPPP >Dexi3B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:3B:8192786:8194854:-1 gene:Dexi3B01G0011690 transcript:Dexi3B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >Dexi2B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:2B:30054802:30055521:1 gene:Dexi2B01G0019900 transcript:Dexi2B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQTPTAVVTEEAPAVETPVAVEEAPKEETPAPVAEEAAAPAEPETEAVEPEAEAPKEPEPAVADAEPAAEAEAEAPKETEPEPAAAEEVKEEAAAPVAEPEPAAAEPEAAAPAAEAPVVEAAPAAAEPAVEKASE >Dexi3A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:3A:5337899:5338351:1 gene:Dexi3A01G0007700 transcript:Dexi3A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVDDLSAAYDDFMAAASAVVEARALSGGEKTPATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSATAPMAAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAPGTGGAATPSAGAGPGPGGQHAEEGGQ >Dexi9B01G0048500.1:cds pep primary_assembly:Fonio_CM05836:9B:47447516:47458980:-1 gene:Dexi9B01G0048500 transcript:Dexi9B01G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLLHAGSAFALAPPPRVAAATSSALPRRSGASLPTAHSVRAAARGTLRLLPAAPRPPMATGEDGSGADVSVAEATQLLSDARAYWVTSSLIAWNVSDQEASLYLYASRSATMCMSNGVIEGYDSKVQLQPEHSGLPSSVTQKFPFISSYRAFTVPSSVDVASLVKCQLAVASFDAVHGRSQDVTGLQLPGVLDDMFAYTGPLGAVFCEEAVSLYLWAPTAQDVSVCFYDGPVGPLLETVQLNESNGVWSVTGPRNWENLYYLYEVTVYHPATSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPPSWDELAAEKPKLNSFSDISIYELHIRDFSAHDSTVDCHSRGGFSAFTCQDTAGTHHLKRLSDAGLTHVHLLPSFQFGGVDDIKNNWKFVGKDPCYEAELAKLPPGSDIQQDAVVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPNRIIEYRQMVQDNTYLSDNFLLQALNRLGLRVVMDVVYNHLYSSGPSAITSVLDKIVPGYYLRRDTNGQIENSAAVNNTASEHVMVDRLIVDDLLNWALNYKVDGFRFDLMGHIMKKTMVRAQSALRSLTMDEHGVDGSKIYLYGEGWDFGEVAQNKRGINGSQLNMSGTGIGSFNDRIRDAINGGSPFGNPLQQGFSTGLFLEPNGFYQGNEIETRLTLATYADHIQVGHQYSFPSRCFCLPINYASAHDNETLFDIISLKTLMSLSIDERCRINHLSSSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPREKNEGSWPLMKPRLENPSFKPTKDHILAALDNFVDMLKIRYSSPLFRLTTASDIEQRVHFHNTGPSLVPGVIVMSIDDARNDMHEMAQLDKNFSCVVTVFNACPHEVSIEIPDLMSMGLELHPVQVNSSDALVRQSAYEANAGRFTVPRRTAAVFVEPRS >Dexi6B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:6B:6981718:6988186:1 gene:Dexi6B01G0006400 transcript:Dexi6B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCAVQPALAFFYCAVGPTPPLVHAPLSLSLSPPPGRLLFPRGVAPSSPLVSKASRTTAPSPELQRGEASKCPQRRRAHTPAACRALLLSPAPVPARPHLLLAGPGMASRGGDPSAARVSRSLRKQLAIAREARRVDVLCERLSLSHRMVKGSEHDKEIISMIGSAVRTLEKEVGGALDQVSAIMGRGIVNRLSCGAEVQKLCSSALEIVESTVDNTLEFELNNNPKILGPQPQILFDEITPFSVVIMLKYQDAISKEHIDGCKVWHRSAQVHDYSPEPTCHILRPNTRSLISGLSPSTEYFFRVSPFGSIEGFTEWETKCTTRSLDHGSSQCSTQNSDSVCLKGDSTQHQKRVLNLQNHQGAIQYDSPKGSTNSSENNLSCDRYSKRTKIARLDGASDNDESQLPPTSEVLPFASSNSSPSEAPSKPDLLIGTPDSSSKNYVEQQYEYCVKVIRWLEHEGHMDSDFRVKFLTWFSLKATAQDRRIVGAFVDALISDPASLVAQLVDAFMDVVCIKEKPPQPQQKGACCKLWH >Dexi2B01G0027620.1:cds pep primary_assembly:Fonio_CM05836:2B:36476571:36477791:-1 gene:Dexi2B01G0027620 transcript:Dexi2B01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAVLISLVACATLASGASGVRVELTRLHSSRLVRDAVRRDMYRRLAASGDTVSAPVSKGPSSSGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCTDQCFQQPTPLYNPASSTTFSTNNQTYNQTYGTGWTAGIFASETFTFGSSPADQTSVPGITFGCSLASSNDFNGSSGLVGLGRGSESLVTQLGAGKFSYCLTPFQDTTSTSTLLLGSSASLNDAGVGSTPFVTNPTMEPMNTYYYLDLTGISLDTTALSIPADAFALKADGTGGLIIDSGTTVRAAVLSLVTTLPATDGSAATGLDLCFGPPSSTSPPPAMPSMTFHFNGADMVIPGDSYMVLDPDSGLWCLAMRSLTDGGPSILGNYQQQNIHILYDVGQQTLSFAPATCSTF >Dexi8A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:8A:8616820:8618771:1 gene:Dexi8A01G0007580 transcript:Dexi8A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYSIYNLPPGDLGIPVIGQTFSLLHSLRRNTDDQWFRTRIKKYGLVSKMSVLGSPTVLLAGPAANHFIFTNDSLALTQTRALRSLLGRSILTMNGDDLKQMRSALQGYLRPEMVRRYVGKMDEEVRRQIKLNWVVLPMARRLTLAVICSVVFGQEAGTIIEALAADFQLLGDAILSFPVNIPFTRFGRGMRSSAKIRKAIKRIAQEKDELVLQERHDVASFPDFITYMLILRSQGAHSLTLEDIVDNVMGIIIGAHGTTSTLITFMIRHLTNEPDVLAKITEEQDEIADNKGTGDVLTLEDVSRMKYTWKAAMETLRIVPPVFGSFRTAAKDIKYQGYDIPKGWKVFAAQSITHLDSRFFNDPTKFDPTRFDNRSSIPPYSFLPFGGGPRMCPGTEFARVETMVAMHYLVTQFRWKLCFKEETYKKDPKLTPVFGLPVELEWRRPPSAADAWNALYIHSG >Dexi3B01G0021110.1:cds pep primary_assembly:Fonio_CM05836:3B:16019408:16020138:-1 gene:Dexi3B01G0021110 transcript:Dexi3B01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNKPLLALLVLSALLLLVVVPLVSSVPVPRSLRLKNPQQHPPALKLDSSQGMAMASWNSGRQGARMDVEVNDYQPSGPNNRHDPPKGPGKA >DexiUA01G0006440.1:cds pep primary_assembly:Fonio_CM05836:UA:12380790:12385888:1 gene:DexiUA01G0006440 transcript:DexiUA01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPATPHETRCPSLAPSPQAARGSPAVFLPESRGRIDGGRPASHHRAPERPSVPVRPTCGQSRRPRHIRPPEAMVAATFHPSFPPRPSSSSAPPCPVHPARLHGDAADLTDTRGPPLPDPAVSVSAASPARPRRFPPFQDITLATLPPTGGAIAAGPARSELPLHAPGGWAEEALAAGGSTHSCGAAAHRNLISHHSPGGLLLPPGRSALLELGAGNSVWHPPLPDLIRSPAAAAPPPPPLPLLPADPGAISTRTAGSLDDSDRSGTKRTKASSPHLGSCGGGSMAGEKAPRAFSIEELPGHLVGEVLTSGRLAAADLARLEATCRALRPIAEYAASKLCAARAALSAMGPAARAELLERCGGSWKKVLRFLQSVEQSSGTVETSSGSMQVATGRYHTLLVHDSFVYSCGSSLCGVLGHGPDTTQCAAFSRVSFPSLSRVINISASHNHAAFVTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGVSCKQVATGLSFTVILTRNGQVYTCGSNTHGQLGHGDTIDRATPKIIELFEGPTQVVQIAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGHVYTWGRGYCGALGHGDENDKTSPELICSLKNQVAVQVCARKRKTFVLTAEGSVYAFGWMGFGSLGFPDRGSSDKVMKPRVLESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGHEYIRGCLKPTEIMFQKSMEDIAIAAPSG >Dexi4B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:4B:3026371:3029502:1 gene:Dexi4B01G0004190 transcript:Dexi4B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAGEPSSILSFSLYICACSLAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATALLIHFGQVLGMSKRKDFNWITAKKLLLVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVSGCIRGKGKPPTQVTLSVVCTAAGVLIAALGDFSFDLYGYCMALTSVFFQTAYLSFSFILVVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLLINTFGGVWYSYAKYKQKKRTTRKIEPDVESHAHK >Dexi9B01G0027360.1:cds pep primary_assembly:Fonio_CM05836:9B:29814828:29821040:1 gene:Dexi9B01G0027360 transcript:Dexi9B01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGNVIVLVNRIQRACTVLGDHGGGDGAASLPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGTQEYAEFLHMPKRRFTDFLVNLTLIDLPGLTKVAIAGQPESIVQDIENMVRSYVDKVLLKSRSFLQGRWLPKLSFLVALGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIIARRKEQEFFASSPDYSHLASRMGSEYLAKLLSQELEAVIRARIPSITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHFVLKELVRISIGETQELKRFPTLQAELAAACFEALERFREDGRKTTLRLVDMESAYLTVEFFRKLPQEVEKTGTGNPRTANPPTPSDDRYTDPHFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNHFYTQVGRKDAKQLAVLLGEDPALMERRLQCFKRLELYKSARDEIDAVAWTR >Dexi1A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:1A:15272502:15275893:1 gene:Dexi1A01G0013100 transcript:Dexi1A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLHSSPRVHLHRLSATRRLPLVSSFALPGRRLRRSTAIRAEPESPAPSSAAEPDAEGEGPVELRAPTLFSTDDNPTPLQTATSLLLTGAISIFLFRSLRRRARRAKEQRVRSSGVTKKPNNLTQETLEGLRMVSALPVETEKQPSPIQALLGGIAAGVIAVILYKFSTTIEAALNRQTISDSFSLTFQSIMDDDSSSSSTGKLSEQSNTMASSDSSTSNRESASSDLQQISDKSKNSAE >Dexi9A01G0038950.1:cds pep primary_assembly:Fonio_CM05836:9A:43075351:43077813:1 gene:Dexi9A01G0038950 transcript:Dexi9A01G0038950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRTPAMTMMAPQPHPLPLVAQPPATAAAFGDTTLTKVFVGGLAWETHKDTLREHFERYGDILEAVIISDKLTGRSKGYGFVSVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRPQPPSHLLRPSPPATPAPALPSPHQPAPAIAVGSRGVSPVPWYYHPSTTPPPPAAAAHHYAAHHGGYQQYHGVLPFYPATTTYGYSPNYVADLSYNAKLGQAAAAGSAGSYMQGHFAYPAAAQGGMVSPNGMMPVYPFYHYQYHGSQGLGVPAAHFFPPVSAATVPTVPAIISKPTVMAPPPKVEQVTGCS >Dexi7A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:7A:17787183:17793860:-1 gene:Dexi7A01G0006440 transcript:Dexi7A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAPPAAAPTTATTGGGVAERERRTPAASRGGGVLAFADGLDVLLMALGTLGAVADGCSYNLLLAFISDVVNSLGRGAAATTTTSGGARFTHEVDKSCLNYVYLALAVLPVAFLEGYCWSRTSERQVRRMRRLYLQAMLRQEAAFFDSGATSEIIGSISKDASVIQDALADKVPLFLMHSTAFISGLAFSIYFSWRLALAAAPLVLLLVIPGLIYGKYLLRLSVKSRHEYAKANFLVEQALGSIKTIYSFTAEKRIIQAYTTLLDGTVKLGIKQGIAKGLAVGCTGIAFAIWAFLAWYGSRSLGVALPELKHLTEASIAARRILEQINRVPQINVDNPEGLVLDQLRGGIKFESVYFAYPSRPDMTVLKGFNLQIAAGQTVAIVGSSGSGKSTAIALVQRFYDASEGTVKIDEVDIKELQIKWIRSKMGLVSQDPALFGTSIKENILLGKPDASMDEIYAAAMTANAHNFIRGLPEAYETKIGERGALLSGGQKQHIAIARAAIRNPVILLLDEATSALDSESEKLVQQALDKASMGRTTLIIAHKLSTVKNADQIAVLDGGTIAEIGTHNELINKGGPYARLVELQKKVNYINQESEQFRAQSMAMTSISDPNVSSSSPLPFTTAASIKDDYVIPPSAPSFFRLLAMNAPEWRWAVIGSLSALVYGSLQPIYATTIGSMVAAFFVQDYSEMNQIISRSALIFCSLSLISIVVNLLQHYNFAYMGVHLVRRIRVQILEKILNFEAAWFDEESNSSGSLCSQLSNEASLVKTLVADRISLLLQTTSGAVTAVTMGLILAWKLALVMIAVQPSTTICYYAKKTVLANVSRDLAKAQHQSTQIAIEAVYNHRMVTSVGCISKVLQLFEQAQEEPQRKGRKMSWVAGITTGLSPCLLYLSWALDFWYGGKLVQSGEISVADFFKTYFILMTTGKLIAEAGSMTSDLAKGSNAVASVFEVLDREAPQNLQDFSFHVKAGSSVGLVGKSGCGKSTIIGLIQRFYDVTRGAIYIDGMDVREINIPWYRGQTAIVSQEPAIFSGSVRHNIAFGKPEADEDEIVEAAKAANAHEFIS >Dexi7B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:7B:16350956:16356602:1 gene:Dexi7B01G0008530 transcript:Dexi7B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHSGLLNLAFRASRGGEKHFSDGAIGKLAQFYRSDRPKHAADCMPSNHFNIRLYMSGLKAPKKEKRVKRENRTQPPVEAPYVAPKPKTATKSPDKTVDIFDGMTLLDLSKRTGAYISTLQGILADLGEKVESEFDSISIDLAELVAMELGVNTRRMHTGEGTNEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKSANVPVVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVAKSGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKSGTLVSGQHIVVGAEWGRIRSLRDTAGNITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEGMADELEINEETPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRTPPIAITQAAARANIKVPFMNHCFSNASRDSVLLHKVIYHLLEEMGRAIVEKAPGTAETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAETIEKGTDCGLVIQDCDDFQVGDVIQCLEQVIRKPKFISTQSGSVRIEC >Dexi9A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:9A:8290333:8290911:1 gene:Dexi9A01G0012980 transcript:Dexi9A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSRSSALPSHHPRHSPAPDPLASVWIRRLYLTPNPPPPPRPPPPPLPRRATPPPHQDAVSTDESRTPPPPPPPPPPRSAGFGPFRWSPRPLRGAPAGAWDAAAVGVSVGGSGVGSGPPMLSPFFRLPAPPPVAGFGEVAPARPLIGLGSHSGSSGFPVLSPPMVRGGDTGM >Dexi4A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:4A:7861486:7861965:1 gene:Dexi4A01G0009930 transcript:Dexi4A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLGCSSGPNTLRFVSEVISIIARYCKELGLPHNHPELQFFLNDLPGNDFNNLFELVNQFEKLTAKNRQGEALPPCYISGLPGSFYTRLFPGQSVHLFHSLFCLHWRSQT >Dexi7A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:7A:27126433:27128158:-1 gene:Dexi7A01G0017450 transcript:Dexi7A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEQEVTIDVKLFVDKEKKKVLFAESDKEFVDVLFSFLTIPLGTIVRLLDKQSQMGCLDEVYKSVEDLSSDYFQTKACKVMLLKPLNAASSHCDRLKINIDDTKTRAVYLFGQSPQNDGSTAAGDSEDGVFVKGCLKFIITDDLQVAPASTSLMLSLFEKFGVGDPADLEKAVVNLSSDKIKSLLKRSLTSKQPFTGYYFAVPNPPEDASLETLHRDLYEQANNDEQKVNDVKIRVLQAKNSSSLLYAEVGDDFVDLLFGLLSVPLGSMIKTFGQGTSKGCLDNLYSSINGSAKECMKPECRSLLLAPSSWPFFGSGATKILQVEESAPNKLQVNSCSKCFKLYGYSAAAANCRWKDYRGNFFCKETPKSTELCELNPKSPNGGGTREACVKGGHMKLMVTDGLRILPLALSTTLQVVSDAKIKTDELVEEELALSKFQVMEILRASLMRHNALSFVLLRPKKKMKAES >Dexi6A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:6A:21825803:21832808:-1 gene:Dexi6A01G0014450 transcript:Dexi6A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGHGGAATISSFGEEVAKVWAELPVRVDWAAVAEQCAWAASRVRSLLVVPAVRLLVFVSLAMTVMILVEKLFVCAVCIAVRVFRLTPDRRYRWEPITAGSDEESGGDRDTAKYPMVLVQIPMYNEREVYKLSIGAACALEWPTERVVIQVLDDSTDPVVKPAGKTAAGEYVEPCVHVALSPMWWVGLQRHGLTAKELVAIDDELSGESHPAVSEATRGVRRRPAAVPASGDLVEMECYRWMSKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKDCQYIAMFDADFQPESDFLLRTIPFLVHNPEIALVQTRWKFVNSDECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRISAINDAGGWKDRTTVEDMDLAVRAMLQGWKFVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILESKKVSLWSKIHLWYDFFFVGKVAAHTVTFIYYCFAIPVSVLFPEIQIPLWGVVYIPTVITLLKALGTPSSFHLVILWVLFENVMSLHRIKAAVSGLLDAGGRVNEWVVTEKLGDTNKKPSINGSDSVEVPLLGNFCWNLYNSVWLL >Dexi4A01G0023900.1:cds pep primary_assembly:Fonio_CM05836:4A:26837913:26841735:-1 gene:Dexi4A01G0023900 transcript:Dexi4A01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWRYQAINGVAVVVIMALAVAGRPTAVYVFGDSILDVGNNNHLPGAGVPRADHPYYGVDFPGGARPTGRWSNGYNLADLVAMAMGFKRSPPAYLRLVVRGLRGVNYASAGAGILDSTFAGTNIPLSKQVRNFGVTRAQMVTNLGATTANDLLSKSLFLIAIGTNDMAAFAATQQQQQQSGDVAAFYSSLISNYSATITELYGMGARKLGVVNVGQIGCAPLERAQSPTGACAAGTNALAAGFDDALRSLLERLVSGDDQQQHCLRGLAYSLGDLYGLMQTTITDPGAAGLSNVDDACCGGGGLSGCLPNSTLCGDRRRYLFWDYGHPTQRGAEIIASAFYDGPARFTTPVNLKQLVSAVAVYTTYNQLATMGCLNNVGLVTLLVVAVASNNAAAEVPAVYVLGDSTVDVGNNNYLPGDFPRADHPYYGVDFPGGGRPTGRWSNGYNLADFVGTLAVLPRSVGFRRSPPAYLSLTGASSKSRRRHIREGLGGNPGKYIPLSQQLRYFGATRAEMVATLGASAATELLSSSLFLISIGTNDIGVFVAAQRQQISSSSPTTRPRPASSPPLTCPASSPTTPPPSLLAIVNVGMIGCAPWARLQSPTGECAAAANELAGGFNAALLRFLVESSSRLRGLGYSVGDLHGLMQATMASPSPAGFGLRNVDSACCGGGRLGAQSGCWPNSTTLCVDRRRYLFWDSSGHPTQRAAQIIASAFYDGQAQFTAPVNFKHLVLRSA >Dexi3A01G0036440.1:cds pep primary_assembly:Fonio_CM05836:3A:41850984:41854351:-1 gene:Dexi3A01G0036440 transcript:Dexi3A01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGGGSCSVRLPDDSLYLGLDCSTQSLKATVLDAGLAIVATDSVHFDSNLPHYGTHGGVLRDPTERGRIVSPPLMWAEALDLLLSRLRSRADLRRVAAVSGSAQQHGSVYWAKGAATAALDPARGLAPQLAGAFAAPESPVWMDSSTAAQCREIRKMWQTRPEVYDATERVSLVSSFMASLLVGGYACIDETDGAGMNIMDINTRQLREDALQATAPNLEGRIGKLAPAHAVAGKVAPYFVQRFQFASSCLVIQWSGDNPNSFAGLTLSNPGDLAISLGTSDTVFGITDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDHRDRYAERSWDTFNRLLEETAPLNGGKLGFYYKEHEILPPLPVGFHRYVVKNMTSISLDEMVEEVDKFEPPSEVRAIIEGQFLSMRGHAEKCGLPVPPKRIIATGGASSNPIILNIMASIFGCPVYTSQRSDMHNQTGHILMYINMLTPKTTYSASLGAALRAAHGWLCNQEDKFVPFSYVYSGRLDTSALSMQLAVPFGDCDEDTELLNKYTLLVKKRLEIEQKLIERFSQ >Dexi8B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:8B:2010490:2011242:-1 gene:Dexi8B01G0002870 transcript:Dexi8B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRAGDPPESTRLRIGDDIAWSEINGVYDRDNSLKENTNPKSILKNHNPNHNNNGTSSQRFSGNLKPAAAPIIGLPGKLGAWRQQQHHHHHTPAMFPKKAKTGGGGRASKAAVPEPGSPKVSCIGKVLSDRERARLGRSRSRGSSRLPGCCGGLGFLMRRSRRSRNTAVECVDKSPPPPPPRSLPTLAEAARRRGGKVVEVEAEATTAAAAPGLGGMRRFASGRRPRAAEWAAEIEDDGRVARSGPL >Dexi7B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:7B:23641745:23645906:-1 gene:Dexi7B01G0017720 transcript:Dexi7B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQHQHQNQQQQQAQAPVGSPPQPGGAAAGGVMMQHAAAFGAAAQPGMTPGSANVMHGMPPLAFNPMASPGASSPMKPADVPPGGMYRPDSSAPGMQPQQHPGSGGGGAVAGGSGGELVKKKRGRPRKYGPDGTMGMGLKPAPATGAEPGAQSGGGGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEQHPKREPASVPLHAPGFGAASTASPPSDGTSSEHSDDPGSPIGPNGSTFANTGHPLHSTFAPVGWSLSGNQGRYDPDLKMMTD >Dexi3B01G0021150.1:cds pep primary_assembly:Fonio_CM05836:3B:16048764:16050789:1 gene:Dexi3B01G0021150 transcript:Dexi3B01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRSMLLSLLLFAVTLSLLEMYRAKFASSELMTIAGGFVCSLLFLLLLTFIGNYQEASGDRTGWGAVVVAQLVALIVAGTVHRVCITTCFLFSAGLLYEVDKLSGMILARSEPKVRRH >DexiUA01G0006010.1:cds pep primary_assembly:Fonio_CM05836:UA:11043474:11045161:1 gene:DexiUA01G0006010 transcript:DexiUA01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to SKP1-like protein 1A [Source: Projected from Oryza sativa (Os11g0456300)] MAADGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAVAAAASKAGSDDAGAAAANSTVASGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >Dexi9A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:9A:2407293:2407653:1 gene:Dexi9A01G0004470 transcript:Dexi9A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNGGASLRAHVPAPAPAPAAEALAAAARKATATATAVAAAAALRVAGGDTACVLLPGWLDRLLPTAG >Dexi1B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:1B:2062250:2064768:1 gene:Dexi1B01G0002530 transcript:Dexi1B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLVAPPSRRSLLLSRPLLGILSNTFSASASPPPPARAPPLPPLSPLLPRRAEEAASVAAASSGIAASFRDWFLEAASGPVAAPLKALDAIYEALASDETPTLEALPLSEQLVLSVLRHRPRRLPDGDALLLLRLKFFDWSGRRPRYRHTRAIYHAVFRLLARARRCAVVVDWLRLFSDTNVAAGHPRFHDTLVIGYAVAGDPQRGLSVLGRMRFRGLDLDAVSARILLNSLVDASLHDLADSFARNLAASPVSTCILIKSLCRRSRLDDAVALLDTLPFAEASRGPAAGSIVTEFCRRGRFAEAAQVVDKFSSCDVYGAWIHGLIEAGRLDTTLKFLSDKKEAEGYIPDGQRYDKLVYRLLRRNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAMHLYRSRMELGINPNKDVYNNLIRALCRGGETEEACLILEQAMEGGHFPGRQTFAMFANMLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEACEVPQIASSKSHVGLYRYESTYKSLIRALILIKRVDMLPRLILEMQDMGHIPTRSLYLSVVCALCELNRYAEVLELLDSQLQRSELQPRVCYNYFISGAGHAKRADMAREVYNRMEISGIEPSVESNILLLLSYLRSKRIGDALNFFNLIRGKKPPGTKLYNVFISGLCEAQKPEQAMVFWREARDNGVVPSISCYEHLVLLLCSVKDYDSVIKVVDDFRETGRPVSAFLCNVLLLHTLMGNTLLKALLRSRDKSKPLDVKGEEIQGQEAGRLLIGDLITSEDGFCL >Dexi4A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:4A:20922751:20923214:1 gene:Dexi4A01G0017150 transcript:Dexi4A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNIAEGEDWFAGENQHYLLWFHCVARTRLRPTAIDYNMEDVDTGAEDDYDVDTRWGNQPERAPLHDHMG >Dexi6B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:6B:21637455:21639254:-1 gene:Dexi6B01G0013930 transcript:Dexi6B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPSRKARLAASAAVPDALASLPLDAIDNIFSRLHIYDVVRTSVLSRAWRRRWESLPTVDLTHSPGICASDIDALLLRRGSTPVRAFRLHWRDPSWTALSYLDDWLLCLSRRGVRDLTLGFPSSRYGLFRLHSSLFSCRELTRLSLTCCCIPSAPAGFVGFPNLKALRLERVVVDAREHAGVEFASLMAASPVLEDVEIVCVKLQRDGPDDEWPTEVDVLEKLPFLFENLKFLSVSVNFCKMCHIFSMFCLLRSAPVLKELKVWGWSDGTQEIETNSEFLNAQCADHIFTKLQAVHMKKFTYLVNEMHFMEFVLSKARVLQMLYVTLDSYATCSNEEVVTEIAEYPRASSDAQVIFMGREPESVNE >Dexi2B01G0029830.1:cds pep primary_assembly:Fonio_CM05836:2B:38170108:38174610:-1 gene:Dexi2B01G0029830 transcript:Dexi2B01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLLVPGARAAPSPSTLSRLLLPLHLQINGRPRNNLRRSVHASSASKPLVYPRLRNRRGRFFASSSSQMAAPADAPGGSTDAFEVIRAHQAKAARLSPAEEIRTILDRSVRGVLATHSQEHVGYPSGSMVDFACDQDGSPILAVSSLAVHSKNLSGNPKCSLIVAKDPEDRTDTVITVYGDAVPVSDEQKDSVRTAYLRRHPDAFWVDFGDFSFLHIKPKAVRYVSGVATALLGSGEFSAAEYKEAKVDPISQFSTPITSHMNKDHADDTKLIVQHSTTVKAIINSLATHGPWAWDTHIVVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRQAQDRKDVKTLIVEMLQAAKATSSHAD >Dexi3A01G0033260.1:cds pep primary_assembly:Fonio_CM05836:3A:38054198:38055337:1 gene:Dexi3A01G0033260 transcript:Dexi3A01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRPEEDAVLRAYVRQYGPREWHLVSQRMNVGLERDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELRDSHRPPPEPSPDERGRYDWLLENFAEKLVGERPPPPPSVFMAGAPVLPPWLSSSSSSNSGSATAVVTQQPGPPPRPPSPSVTLSLASAAAVAPPPPPGQWIPAERGAAEAAAAAYGFTSPPQHAGPPGCGAPAPVGMAVVEGQALAELVECCRELEEGQRAWAAHRREAAWRLKRVEQQLMMEREMRRREVWEEYEAKMHAMRVEQAAAAERVEREHREKVAELRRDAQLKEEKMAEQWAAKHARVAKFLEQVGCSRPWPSAGADMN >Dexi9A01G0029360.1:cds pep primary_assembly:Fonio_CM05836:9A:34189322:34191264:-1 gene:Dexi9A01G0029360 transcript:Dexi9A01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSSSSQPTTQTQPPTNNPIESPTPPTPPDKGHNSQGQAPRSCAASMPRRTVAVIGAGAAGLVAARELLRVGLHVAVLEKSGRTGGTWAYDPRADDADPLSRDPAAAGAVHGSLYASLRTNLPRQLMGFSDFPMAGRVFAGDARAFPGHREVLAFLDAFADEHGVLAHVRLRTEVLRVHPLGEEQGERWAVAFRGEDGEVAEEVFDAVVVCNGHWTVPFVPKIQGIGNWSGKQMHSHNYRTPEPFQNQNVVTVGMGASGIDIALEISHVAKEVHIASRYSEDRLGKFNLYQNFWIHKEIECIQDDGKVRFAEGSAVPADVILYCTGYHYYFPFIDLDGLTVDDNRVGPLYKNVFPPKYAPNLSFVGLTTKVLCSFQSIGDTTKILATMFRAQCAFYSFSFLQTIIFPSLELESKWVAAVLSGKATLPSQEDMMASVLEHYRRMEEAGRPKRHTHVIMPDWEEHMNWLADQVGEPHLEARSLELL >DexiUA01G0023120.1:cds pep primary_assembly:Fonio_CM05836:UA:47125154:47125903:-1 gene:DexiUA01G0023120 transcript:DexiUA01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAAESEARSANAPEEAVKAAGDAAAELVKSAALEVWKSESNGEAVVLAAEKAASSVVEAAVSTSVSRTDSGVTEVQTNSVKSMR >Dexi6A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:6A:22448414:22448704:1 gene:Dexi6A01G0015040 transcript:Dexi6A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGPTGRSFPSASTSKAGAAGFLLIFFYRVREKFPLRCNAQVLRLPGERSYLAAAIHPMARTCGREPPASSGLAAVAHVPVWPRAAVSAAARSR >Dexi4B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:4B:4338520:4342463:-1 gene:Dexi4B01G0006160 transcript:Dexi4B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARRVGATLVLVLVLALAVSAAWAARLDLDDDDDSGVLDELLAIDEEAERGGLDAGAGDDGGAAEAVRRAQSMVLVLDNDNARRAVEDNAELLLLGYAPWCERSAKLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVRGFPTVLLFVNGTEHAYHGLHTKDAIVTWVRKKTGAPVIRLQSKDSAEEFLKKDQTFVIGLFKNFEGAEYEEFVKAATTDDEVQFVETSDRSVAKILFPGIASEEQFVGLVKSEPEKFEKFDGEFEEKAILRFVELNKFPLITVFTELNSGKVYSSPIKLQVFTFSEAYDFEDLESTVEEVARAFKTKIMFIYVDTAEENLAKPFLTLYGLESEEKPTVTAFDTSNGAKYLLETDINAKNLREFCSSLLDGTLPPYHKSEPVPQEKGLVEKVVGRTFDSSVLESPQNVFLEVYTPWCVDCEAISKNVEKVAKHFSGLDNLKFARIDASANEHPKLNVNNYPTLFIYPAEDKSNPIKVSKKLSVKDMAKLIKEKLQISDVETVAATDNAPAPENVKDEL >Dexi2A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:2A:2180900:2186829:-1 gene:Dexi2A01G0002700 transcript:Dexi2A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDLDEDLREDLDALRRSCILSGADPDAAVAQLSSSSASLAGPSTPALAAATTPAAADTDGFSSDDDDDEEEEDEDLALVRSIRENLHRLNNNKASPPPDAPSSSSSPRPICTWPPSDTDEDEDDLETLRAIQRRFSHYQSSTSTASPTTMKPEASQGVHTELSADGNDDVFASQKQNAKAPDRTGFPKAALLEICLVQNNNRKMPALSLGPAENRHVSKYKMALEQFPMSFKRQPWSDAEKDKLARGIKQQYQETLILDSLNNGSALGDFSAVDMAYALTNGAGSFEVTPESLRSVLPLINWDKISAMYLPGRSGAECESRWLNCDDPLINCEPWTAEEEKKLLLIVQDKGMYNWINIAVTLGTHRTPFQCLVRYQRSLNPHIINKAWTKEEDLQLQAAVDTFGEKWQLVSASLDGRTGSQCSNRWRKTLHPTRTRVGRWDLDEDKRLMVSVKLIGSGSWSRIAPFIPGRTQPQCHERWKNILDPNIDLGEWRPEEDLKLLASVSEFGKCWSKIASIIPGRNDSMCFRRWKKLRDHEVPAVKAVTQLKKSVFQTNFVDREKERPAIAPKDLILLMQSKGDGTDENTRDGSRKQTKENLAVSNIVNSSTVPGSVSADTVSNTISRRSRKSTGQRSKKQDEQNVSVTDGLDNLSSGRSRSRKRKATTGRNAAVQKTMMVPITVGNEAVPIETGGAVSVHSEVGTNRTMDPVSAGEEGVVKKRTRRSKPADRNAAAQKRIRRGSIPVGNEVAPTELRSPVSANEFGTNRIVGPVSDGEEGVVKKRKRHLKPAGSDGAARKRRCSVSVDNDAGTDVMRDSVAGEEVVAKKRARRSKPVGNEGVAGKRKRGSVPVGDEGVVKKRADSVATENHGGVTKRKGAPPRRKSAEDNVANADPELGLPSGPSEERVADAGNMDKVRRKSTPRPKQINMSEGDADKHSTFTRLTNCLSYARMKGIDRNKR >Dexi2A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:2A:12606556:12608302:-1 gene:Dexi2A01G0011020 transcript:Dexi2A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKSRVVWVNGPIVVGAGPAGLSVAACLRARGVPSVVLDRADCIASLWQRRTYDRLRLHLPRHFCELPGMPFPSHYPEYPTKRQFVDYLEAYASAAGVEPRFNQSVTSARYDAAAGLWRVTAADEEGAGGDVTEYIGRWLVVATGENAERVVPEFEGADEFGGPVSHVSEYKSGEAYRGKRVLVVGCGNSGMEVCLDLCDHNALPSMVVRDSKVHVLPREMFGVATFSVAVFLLRFLPQWLVDRILVLMARLILGNLEKLGIRRPSGGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIKRFFRGGAELEDGRLIAADAVILATGYHSNVPQWLKGSDFFTQEGYPRVPFPHGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWEQQTSTL >Dexi7A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:7A:21650409:21658743:1 gene:Dexi7A01G0010970 transcript:Dexi7A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRRISSEIELAMAGYFDASAEASKMRVARKLKVAKAVKKLWRTCVAIGAAHLLFFGMLIGPVAAGLICPTMALKRRLTAARKKKRSTSWTTSLLRLREQLDTVAKGTHLVLRLSDGIERENAMAWRCVERAGDGRCLVEEMVSELRRSCSCSRRLANELEPGGARVPVPCHHTKG >Dexi2A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:2A:3111739:3112830:1 gene:Dexi2A01G0003590 transcript:Dexi2A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISAATGAAPPPASATVVEDIYGFLRVLADGTVLRSPSGPAFCPTTFPDSHPSVQWKEAIYDKPKNLRVRMYKPSPAPEKKTKKLPVLVHFHGGGFCLGSCAWANVHAFCLRLAADASAVVFSAGYRLAPEHRLPAAIDDAAAFLRWLHDQSVNLSAAEEGWLADVADFGNVFVTGDSAGGNIAHHLAVRSAAGEPDNELAPEITVRGYVLLMPFFGGVGRTRSEAECSGEVVLNLDLFDRFWRLSLPVGATRDHPAANPFGPESPDLATTELRPVLVVVGGLDMMRDRAVDYVKRLAAMGKPVKLAEFAGEPHGFYTLDPGSEATGELISLVRRFVHGCGGVEGSLAACVLAPRYVTYSR >Dexi2A01G0031190.1:cds pep primary_assembly:Fonio_CM05836:2A:41955543:41956506:1 gene:Dexi2A01G0031190 transcript:Dexi2A01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTRSRPSSPSRRPAMSSSSSFPNSRFPFAAGAGAPGGSGGGSGRSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGTPYEGGIFFLDIVFPPDYPFKPPMATE >Dexi2A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:2A:5614058:5614361:-1 gene:Dexi2A01G0005860 transcript:Dexi2A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIIGARAYRQGRAAGLSPEDTVEHGSHTASTVAGRVVDDVGLAGLAAGWARGAVPGARLAV >Dexi9B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:9B:3004258:3006037:-1 gene:Dexi9B01G0005090 transcript:Dexi9B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRQPGAAGDGTAAGLADRFREARPEAVTVNLGEFGAMAYSSHGQSPLLPRLFGAVDDIFCMFQGTIENVAVLKQQYGLSKGANEVSIIIEAYRTLRDRGPYPADQVVRDISGKFAFVLYDCSTKSVFMAADADGNVPFYWGVDSDGRLVVSDDTEIVKKACGKSSAPFPKGFFFTTSGGLRSYEHPLNEVKPVPRVDSKGEVCGTTYTVDANAKKDTGIPRVGSAADWSSQY >Dexi5B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:5B:22582293:22583693:-1 gene:Dexi5B01G0020380 transcript:Dexi5B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPAPTVVKSAPELVPPAGPTPGGTLPLSSIDKTAAVRVSVDFIQVFPTAAGDQDAAVAAMRDGFARALVPYYPVAGRIKDVSPGEPVVDCTGQGVWFVEAAASCALADVNNLERPLLIPKEELIPRPPPEENLEDLIIMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSVAPVWDREAIPDPPKLPRGPPPSFTAFSFVTQVVDISPESIARIKGEFSAATGETCSTFDAVTAVVFKCRALAAGLPDDADVRLGFAASTRHLLHGVLPSVEGYYGNCVYPVGIPRSSKTIREAPLPEVIGLMREAKVALTARFTDWMHGGAKEDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDHVNIVASVIYLKPPAPKRGIRLMLRCVEEPHAAAFADELAKFV >Dexi8B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:8B:25096149:25097758:1 gene:Dexi8B01G0014470 transcript:Dexi8B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTVVLIVGAGPAGLATAACLTQLGIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKDLFVKYLDNYIECFDIRPKYHTSIESCTYDEARFLVVASGENSAENIPVIPGLNGFPGEIIHSSRYKSGSTYSGKNVLVVGCGNSGMEIAYDLASHGANTSIVIRSPVHVVTKETIRLGMTLVQHMPVNIVDGILVRMSDFVFGDLSKNGIVRPKLGPLLLKAKTGRSSVIDVGTVLGNISKIKGNIVEFESRNERTFDAIVFATGYKSTANTWLKNGEIMLNRDGLPKKEFPNHWKGANGLYCAGLAKRGLAGIAMDAKNIANDIFCNYHE >DexiUA01G0019950.1:cds pep primary_assembly:Fonio_CM05836:UA:41421835:41423557:1 gene:DexiUA01G0019950 transcript:DexiUA01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLR >Dexi5B01G0036940.1:cds pep primary_assembly:Fonio_CM05836:5B:36477004:36478192:1 gene:Dexi5B01G0036940 transcript:Dexi5B01G0036940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKAGPSLSPVVVFLLGAASATTLLLFFLTARPAWPAATASASVRCSNVPRANGTARAERHAGAASPPPANNEADAEFERMLRRAAMEDRTVIMTSVNEAWAAPGSLLDSFLESFTVGENVSHFVKHIVVVAMDGRAFRRCRAVHPHCHLLRPEKKGLDLSGAKSYMTKDYLDLVWSKLKLQQRILELGYNLLFTDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNFPNTGFIYFKATARNARAMAYWHAARRRFPENHDQFVFNEIKRELAGELGVRIRFIDAATVSGFCQLGRDLNRIATVHMTCCIGLENKLFDLKRVILDWKRYMAHPLWERKMGKIGWTFEGGRCIH >Dexi4B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:4B:18196349:18204781:1 gene:Dexi4B01G0016180 transcript:Dexi4B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSRIHPPAAISTASFLSASPATSKTLAPTPTLVALRLARRFAAMAASAAEEFVKGRVFPNGVAVITLDRPKALNAMNLGELPPSLTCSSCPIGCESRIAYLNWEDFGQNGFAGSALCDLCQFEMDIRYKALLDEWETNPSIKCILVESSSPRAFSAGMDIKGVAAEIQKDKSTPLVQKRTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGKRISSPADALFIGLGTHYVPSGNLGSLKESLLSANFTNDPHRDVESVLTGYKKEPESEPQLEKFLPHIISSFSPDKSVAESVEELKKCSQSGDTAVAEWANEALAGIKKGAPFSLCLTQRHFSQVASAYGNSDHYLSKISNCQDELAPVADLAYWHPTKTGAESHLARSPRPPHGYCCLINLPPHPKAGEPSRGCPPLSATSDSDGVDFPSVPAAVTLKMDKVG >Dexi5B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:5B:11563740:11566218:1 gene:Dexi5B01G0014680 transcript:Dexi5B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRSPLLAPANRPQPAGAMRIFVLSGQSNMAGRGGVHHRRWDGVVPPEAAADPSIQRLSAALQWEEAREPLHADIDTTKTCGVGPGMVFARAVLPCLQEDGPGEGAQTGIGLVPCAIGGTAIREWARGERLYEQMVSRARVAAQYGEIEAVLWYQGESDAESDAATAAYRGNIEVLIANVRADLGMPQLPFIQVALASGNKRNLEKVRSAQLSINLPNVVTVDAMGLSLNEDNVHLTTESQVKLGKMLADAYIGNFLETTC >Dexi1B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:1B:3783979:3784185:-1 gene:Dexi1B01G0004740 transcript:Dexi1B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGSRESRWPELAALRRALMARDAAVTSPAVGREQLRRGGRDDHHLTGGGGKTAKVMHLLLWGPK >DexiUA01G0021090.1:cds pep primary_assembly:Fonio_CM05836:UA:43389185:43390298:1 gene:DexiUA01G0021090 transcript:DexiUA01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTVKIFRFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAIFVRRHIVETKGKSLQEIEVSLLQAQ >Dexi6B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:6B:1876731:1877696:1 gene:Dexi6B01G0002150 transcript:Dexi6B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYYIGDSDNPAAIPMTNANWWTVDLIDLNVTHGEARVSMPVSSDCSLNESYHELNMFSMQVNVSDEGTYVFSATRNVLIGVGQSVTARVFGSMSTTNVSASCTSLFDTPDAAQNGTCSAGLGCCQAGLTPGLGVIVVGPKRERNTMWFEFPCTYTMAVERSWYNFSLQDLYDYKNFPRKVPIVLDWAIRNGSCPPEGNYAPPVACRSDNTRCVDATRPPGYLCMCKDGYDGNPYIAGGCQGEQRQRGR >DexiUA01G0015400.1:cds pep primary_assembly:Fonio_CM05836:UA:32316716:32318891:1 gene:DexiUA01G0015400 transcript:DexiUA01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLRNFARLERRDLARRWLSKASKSTGSWLNKYGRDLTKEAAHGDPVVGRDEEINRVVSILSRKSKNSAVLVGEAGVGKTAIAEGLAQRLAAGEVPGFLAGARLVELNVGKLLAGTDSWGVLEERVAGLVADAEAAGPGKVVLFVDEIHMLVGAGRTGVSKVDASDMLKPALGRARLRCLGATTHDEYQQFFAPDKAFARRFQKVHVPEPSEDATAVILRRLKPSLKLDLRASHQLGGDDRSSKTTLQDDRTVVGPDDIAEVVTKWTGIPVTRPGQDERERLACLPERLQQRVVGQHEAVGAVADAVVRSRSGLGNPKQPSGSFLFLGATGVGKTELAKALADQLFGDEKHLVRIDMSEYVGDWSVSRLIGAPPGYIGYEKGGELTEQVMQRPYSVVLVDEVEKGSDAVMNLFLQILDDGRLTDGKGRTVDFTNTIIIMTSNLGAHHLVGCPPDAADARQRVIADVRSRLRPELINRLDEMVVFRPLSGDTLREVVKLQVADIAARLADGRGIGLDVTDEAADVVRSMSSDQVAMYGARPIKRCLQNMVMTRISRMMVHGEVDDGCNISIDAADDMADLVFNSHRP >Dexi2A01G0031890.1:cds pep primary_assembly:Fonio_CM05836:2A:42565792:42566637:-1 gene:Dexi2A01G0031890 transcript:Dexi2A01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAESRTDADGPTSDAADDFEFCILSSGGLVPAGKAVAETVDMCVADELFCQGKLLPLRPSSATATADGASVVVSLPRSESSAASTMGFVSRSGSRSASSSGSSSGCVSRSESSKSASSDHGGAPPRRSLSSSVFYAHPSPSPQLRSSARPRRSTGSAPPPASWGLFRLGVVGAPDVYPPPRSGEAKITAAAAARGGGSRSARFEQVTVAMDKKLGLGALFGDSLGCKCSPDAIEPVRLQEAAKRSRKKTGGKSGLGVRRIRILDWLEELSIIKEKK >Dexi2A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:2A:10973678:10976880:1 gene:Dexi2A01G0009940 transcript:Dexi2A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQFQFPWLKNPTTTSNPNPNPSPRIPNPFLPIQAHLTSFLSSLPRALPPPPPWARIPSPSPASATGSSSTALPSYEIEERLAGVPVYALANAAHEFVLVSSARAGGQGGEDARPPPALGLLCFRKEDADALLEQMEGDMRAGSNVVPVALNKVIQLKSDGVAFRFLPDSSQVANAIKLMQDEGLYATEGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLYRTSRDQQKPNPAVRLGDTQVSSLEDIIKSMKDSSSSKWDDVVFIPPGFDLATGSKPSHFKQ >Dexi8A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:8A:19591016:19591713:1 gene:Dexi8A01G0011090 transcript:Dexi8A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQASSSGSGGVVDEVVEAVGDEVVEAATLVKRRPRESDHLESWLRDGSEGAPRADEPLLRELLCVLVEVVDSGADVAAAAADCGERFSHPAQCEIHAAGHLDLPQVHHLPQVLDLLYVRLPWSGACTVLNVAQGVDLDDPEFLGGGGGGGGCPGRPDSKLGRERWRAEAEGD >Dexi5B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:5B:22696482:22702103:-1 gene:Dexi5B01G0020500 transcript:Dexi5B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKALLPLSIPSRLASGSIAPHSLLPPHLLKPPPSLRAARSRRHHLPVSVCARGHGRPAARDRGLRTWCGGGGGFVQSVIPGRGEMKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGEYLGKTVQVVPHITNAIQDWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVMSVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEDNVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDKVESIALEPKLDEWVARAAIFDGLQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGSKTHMGGTMRLGSRRTFFKVTDCKSAKLYGNVAYVDERHRHRYEVNPDMVPEFENAGLQFVGKDDTGRRMEIIEIPSHRYFIGAQFHPEFKSRPSKPSPLFVGLIAAASGQLDRALQDCNGHVVPAKHLLSNGAYTSTVHQNGHSKKLANGLSNGTYYANGNGVHA >Dexi1A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:1A:10977763:10982077:1 gene:Dexi1A01G0011810 transcript:Dexi1A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKYPHVAIGTVASSAPILQFDYITPWNSFYDAVSQDYKSESLNCFSFIKETWDVVDERGASDKGLLELSKIFRARNSSEHERLIMLFATKTFADVQDHRRFPVNIDIMEKVFAAGNLYYNYTGDLTCNPIEDEDDPHGLGGWQWQACTEMIMPMTVSNESMFPPSSFSYDETSDECLQSWGVRPRPHWIATEYGGYKIDKVLKRFGSNIIFSNGMRDSRGRVLKNISSSIIALVTEKGAHHLDFRSAVKGDPDWVIEQRRQEIEIIQGWIDPYHQDAVEV >Dexi1B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:1B:9815328:9817069:-1 gene:Dexi1B01G0010410 transcript:Dexi1B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQQPRKQEATKGQEEGGRGQGQALSLEEIGKYRAEAQQRSADAIRAAEERFNKTNAQPRGTTVVTYPETTKQPSAAHQQQQQQQGGSHGGAGHGSEDDAQRWLADTAADARERCNKAMGTTTPATAPQQSKNEQEGGGQQGRPHLTRQEEMGKHGGEEHKGSSAEAARAAVEKHDKAEETGAAAATGQGVKDKATHVAQAAAEKSQEATGTAAEYTKQAATQATDATSGAAGTAMEYAKQAAAKAKDVTVGTGGAAAEYAKEAAAKGKDATVSTGGTAAEYARAAAEKAKEAAVATARTTAGYTQQAAVKAKDVTVSTGAQVAQKATEVTAKVAEYAREKAEQGKERAARVADQAEEPAGDVTAQAKDTAEKARDVMTGGHEDTTGDVARRAGDTVEQAKGKVKDVTGSVAQKASDMVWRAKDTAKDAAGGVAQRSRDTAGEARDKMKDTASRAEDKAGEAKDRASLGTGKAGGATATAKAKGQGGGDEDTSIVGDVLEAVGAAVVGLAQHTKGLVAGEEELVPVEGDEGKAVAGKAKEEKRKVA >Dexi6A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:6A:24855178:24858273:1 gene:Dexi6A01G0017000 transcript:Dexi6A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSPSPCAVVEPGGLDSLPPELLNEILSRLPLRWAVRTAGLSRAWRRRWESVPSLRFWWHDGVDPNAISGVLRRYSCPVRDFRHWHIGEASFRHSDRWLRLLALRGVRTLKLEFERSESDEESIVHTLHPSIFSCRGLTVLELKGCDIPTIPPGFTGFPNLTELRLFNLPDSHDEYLQWEIQAPKLQYLLINQLTDYGWLINELPSLEEAELDVSIYSTERDFVQLMTGLVHTKKLKFVIPHGYGNVLEVLSCHFNNLKSLSVQTSLHLLSEASSFFCLLRSAPSLEVLDIELYDDYSDSDDDEVDSDFLNAQWTDDMFLNLTRVDVTHLTCNLSEMHFVKFVLSKARQLREFHVCLDEYCSRSNEDVVTELLKYKRASARAKVFFRGPQYD >Dexi7A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:7A:24604384:24605106:1 gene:Dexi7A01G0014640 transcript:Dexi7A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSLLQRLASWCPWLLRDEPKQPVKVLVTGAAGQIGYAIVPMIARGLMLGPDQPVMLHMLDIPAMADALNGVRMELVDAALPLLRGVVATSDEAEAFRGVNLAVLIGGWPRKEGMERNDLIAKNIAIYKSQASALQQHAAPNCKAL >Dexi5A01G0039870.1:cds pep primary_assembly:Fonio_CM05836:5A:40272974:40273413:-1 gene:Dexi5A01G0039870 transcript:Dexi5A01G0039870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPRWTEEVDDLVDAGDVDGAIDLLESVVSNLSTSAAAPPAADLRLATALGDLAGMHASRGDTLLADELRARAISLRSRAAAPGPLGILM >Dexi5A01G0030540.1:cds pep primary_assembly:Fonio_CM05836:5A:33431772:33432329:-1 gene:Dexi5A01G0030540 transcript:Dexi5A01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDEKEHRGRGSRRRAAHFVEQSACVVEAAVLGEDGEHGVLRRGPPERRVRGAADVAEARQRGHHERLGRLGAAPVEGPRGVRRIGGGTGLGRRDDGIDPSGDVRRELLLMVIREGEGAILAAISEMVSGRSCKARGTIEESGQPCGGGGGEWDRSREHPAQHRGGACRRRDAG >Dexi6A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:6A:18799332:18799664:1 gene:Dexi6A01G0012470 transcript:Dexi6A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKAQPGERDPNVEHGGEERGARGGAGTPANVDQGIGAGAGGDEVPLVLPAAAREGHAALQRPEHGQEARAPAGEAGHGDPINAPSTMPSPT >Dexi6A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:6A:2862815:2863769:1 gene:Dexi6A01G0003210 transcript:Dexi6A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGAAAAIMVCIVVLALDVTAGILGIEAQAAQNKTKKVTVLFIQCEKPVYKAYQLGLAAAVLLVIAHAVANFLGGCACICSQMDFIRASINRKLAATTIVLSWIALIVGFSLLLVGAMSNSNRKTSCGFTHGHTLGLGGIMCFVHGGITVAYYVTAHAAAREG >Dexi5A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:5A:5732373:5737536:-1 gene:Dexi5A01G0007720 transcript:Dexi5A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSDPPPQRDHCIPVLPAIHSCRPAALVTPASSGLAWRGGAGCLQGGAVGRAAADGTCYLFAHKFPLLSLAPKVIGFGSIEATTMKDTNKPTRASRSGGKLLYVVHMLLFVSLGFVLGMASISKFPNFNIPFVPSLPSPKQPSSSPPPPTPWPAPQKLQMGLMSFLPPSGVVAHNMTDEELFWRASMEPKLRRTPYHRVPKIAFLFLVRGDLPLRPLWEKFFAGHHDLYSIYVHADPSYTGSPPKDSVFYGRMIPSQKTKWGDVSLVEAESRLLANALLDHANERFVLVSEACIPVYNFTTIYAFLANSTTSFVDSYDTGDCRARYDRFFSEHTNITIDHWRKGAQWFEMDRAMAVEVVADEPYIQMFRDFCVGRWRCLTDEHYLPTLLTLLGWRERNANRTLTYADWKRPQGMHPHTHDKDEVTEELVRKIREDGGNRCFYNGERNGICNLFARKHCFGWLPKSWALVDLIVVDCDTKKPARSSSRIGGRLAGVASMLLLVSLGFVLGVTSSNAMFIRFYLPFMSPLHSADAASPSASSSSPPPQPPPTPSPPPPAQYQQQTSFLAPSGGVMHNMTDEELYWRASMAPMVRRAPDSRVPKVAFLFLVRGELPLRPLWEKFFAGHDGLYSIYVHAHPSYSGSPPADSVFYGRYIPSQRTKWGDASLVEAERRLLANALLDLGNERFALFSEACIPVFNFPTVYAFLTGSNSSFVDCYENGGSRSRYRPFFATRNITLARWRKGSQWFEMDRALALESIADGFCFPAFRDFCVGRSECLIDEHYLPTLVSLLGWGRRNANRTLTYADWKRAVNRHPHTHGGEEVNEKLLREIREDGGRRCYYNGAWNGVCNLFARKFSPDALEPLLRLAPKVMGFG >DexiUA01G0022940.1:cds pep primary_assembly:Fonio_CM05836:UA:46768453:46768849:1 gene:DexiUA01G0022940 transcript:DexiUA01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFAPNTSREKAMEEKPKVASSDPDLADLVASAQPQLQREHQPPSISEMKPLTREAYGGGMYAAEDGRSRRDPARPRASATQSADGPEEAKAAGEKPKRPPPPSTGDRDLDITGQSYIQ >Dexi2A01G0026860.1:cds pep primary_assembly:Fonio_CM05836:2A:38386124:38391673:-1 gene:Dexi2A01G0026860 transcript:Dexi2A01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAPLAGAGVAAAAAPPPAAAGAAVMGVFKYNFAAQFLSRIIPFVFNIWFVRQLGADDGAVSVRIFSSSSFALRVLLPTIIDVLTDEAVLKVAWMVVPFAIVITSIGSLFVLRVKKVKLPDPFAKAILINGQTFRKLILQEGEKFVLVSFGTPYNQAAYGLVDKLGKMRFMQTVPIHIAIGIMCLSISSVEIYRGEKQFLRQIIGTLKRHDKSQ >Dexi5B01G0030090.1:cds pep primary_assembly:Fonio_CM05836:5B:31112930:31113305:1 gene:Dexi5B01G0030090 transcript:Dexi5B01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAGTQAGDCDNDLKDLARECQQYVMEPLNPKTPPSSACCGVVQKVNVPCTCSKVTKETEKLVCMEKVVYVANECKRPFPPGYQCGSYKVPA >Dexi2A01G0036410.1:cds pep primary_assembly:Fonio_CM05836:2A:45975567:45976223:-1 gene:Dexi2A01G0036410 transcript:Dexi2A01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKVVRPSCIPGPTRPQEPNEPEPVAFPSSCSPLAYSSTIPFPCGGGAWRRPATCPTRRSGRCGAASSRRFHRLLPPPAPSPGWHIPGDH >Dexi3B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:3B:11978098:11979399:-1 gene:Dexi3B01G0016450 transcript:Dexi3B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMQPGAVNAGEQAAVRKGPWTIEELEDNILVSYVATHGEGSWNTLARAAGLSQTGKSCRLRWLNYLRPDLRRGSFTMEEQELIVRLHARWGNKSSRIAKTLPAGRTDNEVKNLWRTKIQKKRSSRDSNEERSAVGSIIADGGTSKVDSKSTPAPEIAEGQGSCNSGQTAGVTCHDNGVLEQKPASLDSHQGSDDHAGGDASVGGAMNCFFTPEFLAAAENFWAIGEFWSMVQSFQGNT >Dexi7B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:7B:10636417:10637938:-1 gene:Dexi7B01G0004380 transcript:Dexi7B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAEKLNSILMPKYELMAGARADRRSRYKYDNEDMGVLGEHMTADPRINTLYADVPDLVGIDGAISDIAEWLMAGTATLKVLSIVGFCGLGKTTLAMEVFRRVGGQFGCRAFAAVLQKLDMKKLLKDLLSQVARGEADGMDTWEEGKLIRKLRECLLNRRYAR >Dexi8B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:8B:16861068:16862348:-1 gene:Dexi8B01G0009500 transcript:Dexi8B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRVYKSKSTEGFQSVPYVVALFSAMLWIYYALLKSDEFLLITVNSAGCVIETLYVVMYLVYAPKKAKLFTAKILLLLNVGVFGLILLLTMLLSGGDKRVILVGWVCVGFAVSVFVAPLSIIRQVLRTRSVEFMPFFLSLSLTVSAVVWFLYGLLIKDKYVALPNVIGFTFGVIQMGLYALYRNATPKVIGKDASEDKEVTVANTIKVPEHVVTISKLGTPAMEVKTTHEVHPVESPPTEEANQQEDDVPPAEELDKVGTTKGSNNTEQV >Dexi8A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:8A:2102615:2103245:1 gene:Dexi8A01G0003050 transcript:Dexi8A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCLRQPGACGDPRFIGGDGNAFYFHGHKDADFCILSDRNLHINAHFIGKLGSAGMSRNLTWIQAISVLFDGHHRLNVGVRKTTTWHDDVDRLEITLDGEPVHLPEEPRASWTMSNGVAPGLSIARTKATNGVMVTLNGRFSIMANVVPITEEESRVHRYGVTADDCWVI >Dexi5B01G0034810.1:cds pep primary_assembly:Fonio_CM05836:5B:34955188:34956836:1 gene:Dexi5B01G0034810 transcript:Dexi5B01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKETGMSVRSTIERYKKASASTSGTAPVMDVNSHQYFQQEAAKLQQQIQTLQNSNRHLMGESIGNLTAKELKSIETRLERGIGRIRSKKHELLLADIEYMQKREADLQSENLFLRAKVAEVERVAQEAEENQTMAAPPLPVAAGGGTAAELQALPASLDPRGYYLQMQASMLAASAASSSSQYPEEHPQGQEQHLQTALHLGYHIKVDSGTASKAFL >Dexi3B01G0030580.1:cds pep primary_assembly:Fonio_CM05836:3B:30508097:30510746:-1 gene:Dexi3B01G0030580 transcript:Dexi3B01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRSEPELVAPVRPTPLETKALSDLDDQHTLRYYETVVAFFRSRPGHRHNRPGDPAKAIKAALAEALVYYYPIAGRLREAIGGKLVVDCTAEGVVFVEADVDVGIEEFGNPLLPPYPCVEELLCEAGETRVVIGKPLVFMQVTRLQCGGFVIGFHMCHNIADGFGMVQFFRCVAELARGEAVPTVLPVWNRDVLIGHNLSPITHLNAAYKPYPNDLQFKYDDDMMLSTPIEDMVVQYFLFGPTEIATIRSHIKGYLASSATSFELLTAIMWRCRTIALGYQPNHLVHLMITMNARGKWNQHTLIPRGYYGNAHFSPIVGVTVKELCSQPLAHTVELVRKTKLSVTKERMKSMVHTIALTRQWPPPLMDRIYEVSDTKWIATNATQFGWAELVGGGIPLSGDLTSKLGSDHMRCKNEDGDHSTIVSMLLPRPAMDRFRNEMSVWLNKHDERNLVILSSL >Dexi1B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:1B:7658219:7659597:1 gene:Dexi1B01G0008810 transcript:Dexi1B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGEAAAAADESSAASTSAPARPRSMRRLEIEGYAVEGISIAGHETCVIFPSLNLAFDIGRCPPLAVSQDLLFVSHAHTDHIGGLPLYVATRGRRRMRPPTVFVPACLADLVRSLFEVHRAMDQSDLDHKLVPLEVGEEYELGKDLRVKPFRTYHRVPSQGYLIYKVKQKLKGEYAGLPGKELSSLRKSGVEIMNTVSTPEIAFTGDTMSDFILDPDNADVLKAKILVVESTFIDDSKSIEDAREQGHTHLSEIASLSDKLENKAILLNHFSTRYTAEVH >Dexi9B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:9B:7001409:7002856:-1 gene:Dexi9B01G0011050 transcript:Dexi9B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAGAGAAALGARTARSCDGCMRRRARWHCPADDAFLCQACDASVHSANPLARRHHRVRLPSASCSSSSPPAARGTGAEDDDAPAWLHGLTRRPRTPRSKPAAGGSSSKHVAASSMAVSSAAVPDLEAEEEESGGSGMVGDSDGYLGLEEEDDEDLLYRVPVFDPMLAELYNPVSDEGDQKPACLMIPSLAETTTTSPEFVVSGGSVEADGLSAGFDVPDMELASFAADMESLLMGDDGFDDLGFLDDEKPQVNAVDLGMNLHEAMAAPAPEQEDDDKKRKRPEMILQLNYEGVIASWARDGGSPWFHGGERPHLDSYESWLDFPAGRRGLFGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRSSLPPLPRPPPQQQQPPPRAMMPHVGMVLAPPLGAHGHFNF >Dexi1A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:1A:5840615:5844512:1 gene:Dexi1A01G0007580 transcript:Dexi1A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGQWGGWIMPPTAAATTTASGAEDPSNIITVPSYSAWFSFDSIHDTERRLLPEFFEGEAAAGLGCRGPDAYKYYRNTLIRRFRARPGRRLTLTECRRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKERREEAVSQSSLPSGVAAPRKLCTACRSVCGLAYYACEKADISLCARCFVCNKYRPGLTAAAFKRIEITEDAKSDWTDKETLHLLEAVLHYREDWKKVSGHVGSRSEKDCIARFIRLPFGEQFMEPKEDRMGFENDDDITDDPGAGVSKRLCLTPLADASNPIMAQVAFLSAIVGSDAASAAAQAAISAQSRVDLNDGEIDSSINSNMEEESSHTNGLSVNDLLKEAASNARAQLEKERNSIEQSLSDIVDIQMKEIQDKICHFEHKEMLMEKERQQRQCLRDLLFADQLAILHHQRRPQAVATESKYDEKPKPVINIS >Dexi9A01G0041110.1:cds pep primary_assembly:Fonio_CM05836:9A:44767221:44772005:1 gene:Dexi9A01G0041110 transcript:Dexi9A01G0041110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIARLLQHRRLLATAAEASARHAPRAPCAGAAISKEGKDLSTTEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAALAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTSRIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLVVEIVSPQHAKTQLMLESYLPA >Dexi2B01G0024630.1:cds pep primary_assembly:Fonio_CM05836:2B:34016963:34022105:1 gene:Dexi2B01G0024630 transcript:Dexi2B01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPATGVGHPSQSVVAVVAPPSLDTFPESRRRRARHAAGSDEMEDGVSTYTVDEALVFMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFLGMLAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIADAVHVLETMARVNRVSLPSGRLVSGHRTELHEMGDSSEMAQLVANKKNNTGDLASKSEIGGLNAILKLLSPNLIRSTLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSDEGAEVTTTAHINDENLYRNVFITSFGEVPGLLLSAAIVDKMGRKLSMSSMLYISCLCIAPLMFTQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSVARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGIAVSYFPLETSGRKLSDHIAA >Dexi6A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:6A:23208553:23209476:-1 gene:Dexi6A01G0015660 transcript:Dexi6A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGWRADTGHKCGYMTYIEKELAKRFPNSNIKADPHIQVKKLKKMLSYVLDIQQHGSGFGWDDERKMVVGDLEIFSGWAKSRNGALNLYMKPFVNYDKLCEIYANDLAKGSNAKGPGDHIDLLEEQSVENTSEPAHQSESVVDSHSHLQCPGSNPSNGNKPSGSRKRKFVEDDAMSWEFSNLSKSLKNLVEVQTSNAAAMNVIQSAYAHELEAQKQTDKRREQLFSVLTKFPQFTRDELVKAALIIGQDATKLNMFFTTPEDFKSAFISEVLRSSN >Dexi3B01G0021340.1:cds pep primary_assembly:Fonio_CM05836:3B:16206547:16208274:-1 gene:Dexi3B01G0021340 transcript:Dexi3B01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLWDSLRSGFLKNSNSTETVEPPPAPLEVEEPLPEELVLLERTLPDGSTEQILFSSSGDVDVYDLEALCDKVGWPRRPLSKIAASLRNSYLVATLHSTIRSSEEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLKRDINNITLFADNKVVDFYKNLGFEVDPQGIKGMFWYPRFLSQQADTSS >DexiUA01G0021780.1:cds pep primary_assembly:Fonio_CM05836:UA:45020881:45022523:-1 gene:DexiUA01G0021780 transcript:DexiUA01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSESDNIATGSLGGSKPHAVCLPAPAQVHITPMLNVAKLLHARGFHITFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSEDNDVTQDVPSICKSTTETCLPHFRRLLADLNDPATGHPPVTCVVSDVVMGFSIDAAKELGVPYVQLWTASTINFLAYHDYNLLVSRGLAPLKDVEQLTNGFLDTPVDDVPGLRNMRFRDFPTFIRTTDPDEFMVSYVLKEISRSADASAVIVNTFDELEGEAVVAMESLGLARKVYTLGPLPLLAHEDPSSPRSSIRLGLWKEQEECLQWLDGKDHDTVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLESICGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDTNVRRDAVASLITELMEGEKGKEMRRKAREWRDKAIEPAKPGGASHRNFDALVRDVLLPKH >Dexi5A01G0038960.1:cds pep primary_assembly:Fonio_CM05836:5A:39719889:39720499:-1 gene:Dexi5A01G0038960 transcript:Dexi5A01G0038960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSFLPMGLVANPALSAKDFLATLGLTGSPGTATFLLASRNLFTSPTFSSLTLPCLSSTASISRDSVGGEVLPPALLAVDDDDGVGDDEPLGAERAHGLEHGGAAGDEVLDDEHGLAGPVGALDGLVVVAAEEHGHGGGDGEDGGEGERRVGDAAEEVVAARGGMEGAEEEGGHRGEEARVGDEHAEVEVPDLL >Dexi7B01G0022580.1:cds pep primary_assembly:Fonio_CM05836:7B:27445708:27449576:-1 gene:Dexi7B01G0022580 transcript:Dexi7B01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAAVAAACACEEEEDGLELLGGKAEPAAAEDDAMEPAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIELFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVSDIFAKRLQNPQRLANEVCSALHASIQPAGVAVAMQCWHIPLPENLECKTLQGWIKTSHASRSGVFEGESSTLWSDFLALLKFRGIDMDVGNHSAYSPWCPLRSHEVPLSNWHCKKNSNNGMVSAVTSMLLSLGEDPLRKELLGTPQRYVQWLMKFRVCNVDVKLNGFTLGNTVSANERPGGGTADHGAIRSELHLPFCAQCEHHLLPFYGVVHIGYFDDGSGEGIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHNGAMVVVEAYHSCMISRGVEKIRSNTATIAVLGQFLTDPSAKACFLQSVLDTAGSAV >Dexi3A01G0034700.1:cds pep primary_assembly:Fonio_CM05836:3A:39927322:39928439:1 gene:Dexi3A01G0034700 transcript:Dexi3A01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSAYRSYLARKALCALRGMVKLQAMVRGQLVRRQADVTLRRMQALVDAQRRARAERLSLLDDDDARQQQRRRRRRNQLDTTAPPGPAIRRRSPQHSQPRKESGPEEEHVKVVVEVDNGGAPRRGSRCHATTPAAKAEAYKKVSPTPSSLTDASVRTVSVRGRFDDASLGSPSCEPRRRAVAQPPFGASWSPSYMANTESWLRQGAVAERAEAEALVGVGAGGGGDLAVAIMRRRGGEAAERWGTAAAVVAGPARPARRRRWRVAAGRGAGASVAAPPRRGGLVVEHPRAPWQG >Dexi2A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:2A:1112375:1114201:-1 gene:Dexi2A01G0001610 transcript:Dexi2A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVVNNGGFVSTSSFRRQVPADLRDGSMHWEQPLKMRKQEVVLQAHEETQ >Dexi5B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:5B:12031484:12037587:-1 gene:Dexi5B01G0015060 transcript:Dexi5B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPEAVAAVCPDCLERLVRSDLDDSGLSFVHGLSDSPLPFSASAVVQIASDGTEESNGSHPWIGNLTLSNGGREAIGKAPFGVIILSSISSICIYVSCSTSSVVYVYKANQAPEYSHLKVALLQDSFVRDLWKKLGKQTYIEKMSKPEPQHSWNSIALVDGLSALEGLVSVLPVKAVLKELLQVAAIALVDLCRRIGPENTSIYVLPQLKELFAELAFSQESSGLNLLAKGLRTSEGNKSEAITMESRIDLVFLLYPFFASLVGLEKLRECCSTWFLLEQALQRLYNWKWEPSGDRSKNAEKMKGQRILLGNYMSSEFVPTKLLFNGAGWSLPQSETTKLGQNAASSKPGYKLENETSSDNSYASTSGDQPWFWFPSPDGSWGAPEFLGRGGGVRDELPWKIKASILYSARAHPGALRSLAVHDDECTVFTGGVGPGFKGSIQKWELPNMNCTSGYYGHEEVSF >Dexi1A01G0024670.1:cds pep primary_assembly:Fonio_CM05836:1A:31136946:31139287:-1 gene:Dexi1A01G0024670 transcript:Dexi1A01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNNEVVGTSQRKSCPKVHSSMCSELTMMLDKVSSILPSIEAARPGCKAGIQELCNLYNVVEKGKLIILHCIECSKLYLAITGEAIVARCERIRDSLRRSLFLIQNMVPPALANQIADVHDDLGDVKFVVDPMEEEAGKAILQMLRRSDSSEELELETFLQAASKLDLTSPKALLIERRAIKKLLDKVNGNDPKKEGVLKFFLYLIKKYGKSIRSDSGEGNENLQSESQSLTTSTAFSDGSPPEKCYTPTDFQIYEDHGSMSGSATPPAEFCCPISTKLMHDPVIITSGQTYEREYIERWFNEGYDTCPRTQMKLENFSMIPNTCMRDLICNWCKEHGFTVSDLTPSENAYSYLPEQLNGYSMSSLHNVSVPLIAGKDNSFVIDHSNTSFALSDASYVSNVSHTRDMEDSKDISQFSWNADYQKYLSFHNFNQEMFLRFFHDLSLLPLELQDKSIKDLKNVLDYENEVSDVMVSNGFVEAFLEFLRNDNGSYSAQAQKAGFQFFLAFLSNSR >Dexi5A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:5A:8761296:8764619:1 gene:Dexi5A01G0011650 transcript:Dexi5A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGSGGGVAGGVMVGGGVGPGGGGVGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGVAWFLDLFDYYIRTDERDAFSKELRLQTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMSSANVRTVEPSQR >Dexi4A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:4A:2836073:2837531:-1 gene:Dexi4A01G0003960 transcript:Dexi4A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHSMELQVLDTLRLSPPSPPQHASLPLSGLDADRNMLDVTFHTLRFFPPPPPSIDDPFAVLPRAFAAALALFPALAGRVVVVRGDGTHIVVVDGDVTVPFVLARSELSVADIDAEAPASELLDRLAPPSGDDGDVVGGRAPALALQATRFACGGVALGMRVAHALCDGAGATKFLAAAARFARDQGPPEVAPVWDRRELLGPRQPPRVATQVFDRVLSLDHDVARRGPYGAAGEQQLTRECFHVSDASVEALRARLADEVGLRLPTFEVVASFIWRAKVKANGTRSGEVVKMVYSMNISKIINPTLPDGYWGNVCVPVYVAVAAGDLIAQPLATTAAMIKRSKQDVNDEYVRSYIDFLELHRGEGVTAGAAVSAFTDWRRLGHGEVDFGWGGPDVVMPLSWRILGSTEPCFLLPYGAGDERRRRGFKVFVVLPRAAVDGFRVEMQELLFQQQISVGKL >Dexi3B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:3B:7571621:7572666:1 gene:Dexi3B01G0010770 transcript:Dexi3B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLLLAPILLAALLIVSNAGVAEASRRPWWSGWWRWDCWFPRSSSSSGGGGGDHGDESHYGSSSHVASIITEDVYKSFFVHKDDAACPAHGFYDYASFVRAADAFPAFGGDGDDATRKREVAAFLAQISHETTGGWATAPDGPYAWGLCFKEEINPPSNYCDPTVTQWPCATNKSYHGRGPIQLSWNYNYGPAGKALGFDGLGNPEIVAGDSLVAFKTALWFWMTARAPKPSCHDVMVGRYRPSYNDTVANRVPGFGLTTNIINGGIECGSPGVPAAVNDRIGFFRRYCGLLGVDVGNNLDCAQQTPY >Dexi3B01G0035260.1:cds pep primary_assembly:Fonio_CM05836:3B:37994158:37994747:1 gene:Dexi3B01G0035260 transcript:Dexi3B01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGSGSAAQTCTGASGAPMTPSSSLRSFLLAAPASAPAPAADAGARASWSWPRTHWPHPSRRAPPPGHASGSGPSMRGASASPAPPASRSAAATSAALDADRRRARAGDSPRATSSRSAEKKPCFLCVGNWQGAEGVEREANIWEGEGVEGKKTESRMEAPTA >Dexi1B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:1B:2431338:2435893:1 gene:Dexi1B01G0002980 transcript:Dexi1B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKSHSRTWAGVVPPLVLWCCACIVAAAAMAADAGGGGEHRYGGARYKDPKQPLNTRINDLLRRMTLAEKIGQMSQIERENATANVMRDYFIGSVLSGGGSVPSPNAAPAEWVQMVNEIQRGALSSRLGIPMLYGIDAVHGHNNVYKATIFPHNIGLGCTRLLLPLCPFLLTPFKNPRWGRCYESFSEDHKLVQQMTSIISGFQGEIPANGRLGAPFVSGQRNVAACAKHYVGDGGTTNGINENNTVATFHELLSIHMPPYYNAIIRGVSTIMVSFSSLNGVKMHANRPFVTDFLKTKLRFRGFVISDYEGIDRLTNPQHEDYVLSVKLGILAGIDMVMIPYRYTEFIDDLTLLVNNGTIPMSRIDDAVRRILRVKFTMGLFEHPYADDTLTTELGKQEHRDLAREAVRKSLVLLKNGKPGENPLLPLPKKATTGNSILVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIEHTVFPGTDVVYSENPDVSFIQQNKAKFDYAIVVVGEPPYAETFGDNLNLTIPAPGPAIVGNVCGSIKCVVVLISGRPLVVEPYMETIDALVAAWLPGTEGMGVADVLFGDYGFTGKLSRTWFRSVDQLPMNVGDAHYDPLFPFGFGLETQPSSAA >Dexi3B01G0030800.1:cds pep primary_assembly:Fonio_CM05836:3B:31023293:31025341:-1 gene:Dexi3B01G0030800 transcript:Dexi3B01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKHFSKAGVKVSHCPASAMRMLGFAPIREMLDSSVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPAETVLKMATINGAKAVLWDNEIGSLEVGKKADLVVVNPFTWSMVPLHDSIANIVYCMRTENIESVMCNGRWIMKDHKIVNLNEEEVISSAVKRANDLLERAGINLPKRMNYV >Dexi4B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:4B:4062147:4066135:1 gene:Dexi4B01G0005750 transcript:Dexi4B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRKRAAGQGGCGCWASVARGLRGGCFRPAAAAVDGDGGSVAKASHVHDAGETRYLNASNREVGDHFQANHDGENGVHGSIENKTPHKLLQFTFQELKSATMNFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGVTVAVKSLKPDALQGHREWVEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPCLNDRRRLYQLVDPRLGLNYSVKGVQKVAQICHYCLSRDSKSRPSMDEVVKQLTPLQDLNDMASASSRPRSTQRGEY >Dexi4B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:4B:6935634:6936902:1 gene:Dexi4B01G0009550 transcript:Dexi4B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQERKPLAVALAMALLLGLCRGDVVQFIFGDSLSDVGNNNYLTKSLARAATPWYGIDFGRGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDADTIFKNGVNYASGGGGILNETSSLFIELFQGTQAYMREKIGKAAADKFFSEGYYVVAIGANDFINNYLLPVYSDSWTYNGDTFVKYMVNTLEAQLKLLHSLGARRLTFFGLGPMGCIPLQRYLTSSGGCQDSTNKLAKSFNTQAGAVIERLSSSLPNATFRFGEAFDYFQDVIDRPYMYGFNNSKAPCCTLGRIRPTLTCTPLSTLCKDRSKYVFWDEYHPTDKANELIALETLRKLNITIVNNGTSS >Dexi3B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:3B:8689378:8691138:1 gene:Dexi3B01G0012410 transcript:Dexi3B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGDGGAISGRYKRCQTAGDLTEDGGDNTPDKRCRVALAGDDGETGGDTGDYYKINGDETDNDGGGEDLIYYYSDADEEDEAEVVGAASTTAIQPPEQRYVFLSEAAIRDRQIKATADVAEVLSVPHGFAAALLRHYKWRPTRLKEEWFSDANHRRIRHAVGLPPSPEANGVPFPVATALSREPLPCAICFGTFAAGETRSAACSSHFYCDECWRGYIRAAVDDGHGCLSLRCPDPSCSAAVAMELVDEVAAAADRARYEAFELRSFVDDSGGRIKWCPGRGCARAVEFLGDAAAEADVVCECAHAFCWSCGEEAHRPVSCATVRAWMRKNTSDSASATWVLANTKHCPKCRRPIEKNQGCNHMRCRAPCNHYFCWICLEPLGRGHTSCNGYRPQRQQQLNADGNVVVLTPEEQRQGQAKVSLDRYLYHYELWVANHKSLQEVLKDMAALERSELEKMAATVHTSAMDLRFLTKAYEQIAGCRRVLRWAYAYGYFLDPERDAAKRGLFDHLMNDANRSLERLHGCAEGERKRLCATANCAPYVAERYKSYKKKLGNLTEVTRHYFENLVKAFETDLAEVKPAK >Dexi1A01G0024150.1:cds pep primary_assembly:Fonio_CM05836:1A:30780573:30784469:1 gene:Dexi1A01G0024150 transcript:Dexi1A01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGGHHHQAGDLTDVVRAGGAMPDLSSTPTAGWHLQATEPAGAGPGLFPPPPQPSSSDGPGPSGDGFGDAFAGLATDFFDFEAPPVAGGGALVDSGGGGGGVMAARGMMPALSPREIRPYSLMMAGGGDAVKLGVPAMMPGGGLAVGPPPCAFDAAVAGMMQMPSPHGGGIKRRKNQARKVVCIPAPAASAGGRTTGEVVPSDLWAWRKYGQKPIKGSPYPSKNNSSHNLQKPTVKSDPDQTAAAAASATTATAANSTTTTTTTSTASNSTPTTTMAVKEEAMVGSEMEKGIEHHVTSVEMDHGDLMQQMFNQSYRPMIPEGGHHVDDFFADLAELESDPMSLIFPGGDSGKEKATSKNLGADSLFNMLDWGTSQ >Dexi9A01G0031670.1:cds pep primary_assembly:Fonio_CM05836:9A:36628321:36630462:1 gene:Dexi9A01G0031670 transcript:Dexi9A01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKIVGVHSVVLPGGHDHAGACAAAPPRHGRNPAPGVARRRRRVAVTMALKDEPESSRSGFAGGGPSWDPGLEIQVPYEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGGLWIVTFTVLAAPIAAASFNPSKGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSLNQPPSAASSKPSLRREELLRLPVEVMQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >Dexi6A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:6A:13648900:13672050:-1 gene:Dexi6A01G0010820 transcript:Dexi6A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGAFLGLLVGVAVVMAFARLENTRAEQRRELAAARGFLARRRLQAVRLEAAVCNFLARRRLQKMLHKMCGFQVLRTEFVVLLAGVAEPTTPTSTFLVERKRKVPAARALCIAASSQRGLFGAHLRWPPPLWASYSSPLHVSSGCQGAVIVASFSKLSVQDLRKLIPHEFYPSWVSFTQKQKLSFCLFLPLTFMFINTIDTDTAASELIKTSVEPVFEQYKSFILASLHFSKLTLGTVAPHFTAVGCCSCGTSVASSGSSPAQFVTVCRGSPQLPLVADLCNRRPSRRPLLAGRPFVPRPSRRPTSVAYLVVVCRGILCPSQGPNSVARLVITRHGILCPLPVSIIAVKCCYPSVRCSSFLLVVNSFRSSLPSSSVYVSSSSKVEFTLKVIGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPVGVLEVKLVEARDLKNKDLVGKSDPFANNDLNPIWNEHYEFVVEDTSTQHLTVKIYDDEGLQASEIIGCARVDLADLQPGKVKDLWLDLVKDLEIQRDKKPRGQVHLELLYYPYAKYEGISNPFANQIQLTSLEKVLKTESNGYDVNQRKNVIMRGVLSVMVISAEDLPPMDIGGKADPFVVLYLKKGETKKKTRVVTDTLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVLLEGEFQDTFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >Dexi4B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:4B:21573365:21573790:1 gene:Dexi4B01G0019300 transcript:Dexi4B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYSERELRAAQDLSDFILSKASPPYFVGSPPVRATNPLVHDAQFCARKVQSVDQSLGIPIPTKGYNTRYCSRKGSFTKA >Dexi3A01G0034560.1:cds pep primary_assembly:Fonio_CM05836:3A:39692661:39693296:-1 gene:Dexi3A01G0034560 transcript:Dexi3A01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAMKSPLPVAASAATGDAKSPLFCPKPRRPVAPLRCHQSGGYSDAGAGVDLLDLLLSKGEESGLMAASPQPPPPLFCGSPPRRASNPVVHDSRFGMDYPPMPVPLPGLPVMAAAPVAVARPNPRPSVAPSMSPRGASGCARARFAFQPAAVRVEGFDCLDRSRGGRGHGITAMA >Dexi2B01G0024800.1:cds pep primary_assembly:Fonio_CM05836:2B:34114393:34116686:-1 gene:Dexi2B01G0024800 transcript:Dexi2B01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSATEESPMYAMDDSSGYLRPSRLLFVFFAAFAFVAPCGGARMLRPGELLLHHHHHNTPVMPPYGDVFGTPPPGSPNCVLTPDAPPPPSAATVPTPAFVYSSPPPPQPDYYYPPPYISPSPPDIGPSPSPPDTPPLPSIVYPSPPEVTPSPPPEVAPYPSPPPEVAPSPPEVAPYPSPPPPEVAPSPPEVSPSPPEISPPIIYPSPPXXXXXXXXXXPEVTPSPPPEVAPYPSPPPEVAPSPPEVAPYPSPPPPEVAPSPPEPPTYVPSPPEYAPEPPTYVPSPPEYAPEPPTYEPSPPIYAPYPPGIIPSPPEYAPEPPGFVPSPPEFAPEPPGLVPSPPIYAPYPPPENAPEAPGAVPSPPGGSFMPPVVFPPPFAGTPTPGTAGSEWCVAKPSVPGPIVQQAMDYACGSGADCDSIQPSGPCFRPDTMLAHASFAFNSYWQRTKASGATCDFGGTAMLITKDPSYDGCRYILM >Dexi7A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:7A:22797093:22799282:1 gene:Dexi7A01G0012610 transcript:Dexi7A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASREGMGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSSHGPAAPSRAVVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHSPPKEDWVLCRVFQKGKDGGEQDVNAGDYSSSSPTTTLAGGGSSSQTVALLPDDQQMHMVDSSYVVDQMTTGSSVVGFAPLQESFDPSLMNAVMWQYNSSVLDHFPATQEVTSSPMVGMGSRGVGDSGCSSFFYDTGFEDMVNIGGGMGFPQGWMG >Dexi2B01G0021870.1:cds pep primary_assembly:Fonio_CM05836:2B:31525250:31529600:-1 gene:Dexi2B01G0021870 transcript:Dexi2B01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVVTSSSNFHSSKKVQPTNGLKDASSLSLEKETSKLEKINASRKPTGAENKKPTLTRSNSSLSKQALNGIGGKKEPAKSKVKPAITRSTPSSPTSVYSLPASFDRFSNDLKQRNKVKGAEKGSSSRLSLLEKATSVLKVTSAGRKSSASNAISSSVLSIGSGPKALRRSWEGSVDIKGKGSSESKTAKADRKSDNKIPVTPRRKSPVDEKVSHKDDGVVQKTARKSTASAPSDDTDKAVKKHTPTVKRTSGVSGSSNVTNLVKIPPNSKKLTDASTSWTSLPPSLAKLGKELLKYRESAQMAAVEAMQEASAAESLLRCLSSYAEVSSAAEEQNPQPAVEQFLTLHAALSRATVITDTLTKPTASSASPDCSTASDAGTVASATDEEAAAVAAERRRRATSWVSAALATDLSAFGLYNLKPVPATVSSPMAVVVVGESSKPAAAAATPAKSSPSPKSRMSPAKGKARTGPGATAAAAALTTTPAPPEWEKGGGAEERGELARRLGEESRGWFLGFVERFLDADVAAAAPWDRERAARMLPQLKRVNDWLGEIGKRSEAPPPPSPDADGEARAASTAPVAANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMIGGEPTAPANGKKG >Dexi9A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:9A:13371478:13371876:1 gene:Dexi9A01G0018320 transcript:Dexi9A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPHGGGGGPAQQFGGQQVEMPPPFSPAGGGGQRISLAEAPSPISSRPPAPPQQQYDELVGAPGGGAAVGFDAEGMAAAVAAGEEGASGGSAGNRWPRQETLELLKIRSEMDAAFRDATLKGPLWEQVSR >DexiUA01G0025510.1:cds pep primary_assembly:Fonio_CM05836:UA:53724332:53724573:-1 gene:DexiUA01G0025510 transcript:DexiUA01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVPSSVPLVVTAGCGNGPVLDLILRSHGAAHDRAMELRQSNVAPLHLGPSGVAIPWAWAGSSQARRRND >Dexi3A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:3A:9655070:9656431:1 gene:Dexi3A01G0013260 transcript:Dexi3A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTGVVRERGAEAVVVEEDGVEGAVEELHWDGALEVVEPEVEEFERREVEHDGGERADEAVVAEVELDEEAEPAERGGDEAAEAVGVEVEQREVGEEAELRWEVPGDVAVVEVDAGDGELPGAGVSPRQRRAEDAGVVADPRAHPVGGEVARVGEDGLLLPRLQRDASRSRWLGNRHDGSTTTASSAPSPTASPPAAAARKQRRNSCSSAFLIVSLSTRSYHHQIEANKAERSGFRLGNGAQAILGGLWRWIPEDGVSEVAPAPSWG >Dexi9B01G0037980.1:cds pep primary_assembly:Fonio_CM05836:9B:39218964:39221972:-1 gene:Dexi9B01G0037980 transcript:Dexi9B01G0037980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRSFPFSQPLSSRHINPWGAWLPFAPSFFCDLSHRLPTAASHSPIRTTLLAAMAKNYPTVSAEYNEAVEKARRKLRSLIAEKSCAPLMLRLAWHSAGTFDVSTKTGGPFGTMKNPAEQAHGANAGLDIAVRLLEPVKEEFPILSYADLYQLAGVVAVEVTGGPEIPFHPGREDKPQPPPEGRLPDATKGCDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTRNPLVFDNSYFKELVSGDKEGLLQLPSDKALLSDPVFRPLVEKYAADEKAFFDDYKEAHLKLSELG >Dexi8B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:8B:3087751:3089522:-1 gene:Dexi8B01G0003720 transcript:Dexi8B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISNLPEVILHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRVAGCTGWAGGVYTFGPFVDGLLSARRGGAPLESCDFELDVDLDLGPYDVPKMQRHVNGWIRRALRRGYVFNRDLEWCPTFSKLKTLVLGYWFVSTDLSALIWFLRHAPLLEKLTLKYLKVDNNPTKMEVNDKALEQPIAASHLQIVEIKCKCVDGIVLEILEVLNDIGVPQEKVRVKVSGRKLSMNSHDSLCNCRVP >Dexi4B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:4B:17974821:17976372:-1 gene:Dexi4B01G0015990 transcript:Dexi4B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRLLAGVAALLLAASTPAMAGNDPVEVGVNWGSQLSHPLLPSSVVKMLKDNGIARVKMFDADPWPVGALVDSGIEVMLGIPNDMLMTMNSYGSAQDWVKENVTAYGDKLKLKYVAVGNEPFLKAYNGSFMKTTFPALKNVQKALYEAGVGDKVKAVVPLNADVYVSPDDKPSSGAFRPDINGLMTDMVKFLHDHGAPFVVNIYPFLSLYQSDDFPFEFAFFDGGKNIQDKGGISYTNVFDANYDTLVNALKKAGVPKLKVIVGEAGWPTDGNKNANLKLARRFYDGLLKKLSKNEGTPVRPGKMEVYLFGLFDEDMKSIAPGNFERHWGIFTYDGKPKFPIDLTGQGHDKLLSPVPDVEYLPHQWCVFDDGAKEKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITDKNASTRGCLFPIQILSAGGRPTPGNGWAAALLALAVVLFV >Dexi9B01G0035110.1:cds pep primary_assembly:Fonio_CM05836:9B:36871593:36874032:-1 gene:Dexi9B01G0035110 transcript:Dexi9B01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGARNFVRRFSRLAAAAETTAAAVPRMPEFDHVPLPYDGPSAAEIARKRAEYLSPSIFHFYSKPLNIVEGKRQYLYDEQGRRYLDAFAGIATVCCGHCHPDVVDAITAQARRLQHSTVLYLNHAIADFAEALASKLPGDLKVVFFTNSGTEANELAILMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFNVVQSGVHHAVNPDPYRGIFGSDAEKYVRDVQEIIEFGTTGQVAGFISEAIQGVGGIVEVAPGYLPLAYEKVRNAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLKVLEKERLQENAFIVGSYLKDRLRGLQDKHEIIGDVRGTGFMLGVELVTDRQLKTPAKEEICHAMEHMKGTYCTTGAV >Dexi3B01G0026210.1:cds pep primary_assembly:Fonio_CM05836:3B:21160466:21161983:-1 gene:Dexi3B01G0026210 transcript:Dexi3B01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCSCLECIHNIPPLNLLFLHFSDPAALPAGEGASGPVSMASISVPNPVPPATEDAENIRKAVQGWGTDEKALIEILGHRTAAQRAEIAMAYEGLYNETLLDRLHSELSGDFRSAMMLWTMDPAARDAKLAHKALKKKGDRHVWVLIEVACASSPDHLVAVRKAYRAAYSASLEEDVAACQLYSDPLRNFLVRLVTAYRYSGELVDGELATAEAAELHGAVVAGKQLLQVGDVVRILGSRSKPQLRATFERFRQEYGMAFDDVLEERRSDQLAAMLKTAVWCLVSPEKHFAEVTRSSIVGLGTDEESLTRAIVSRAEIDMQKVKEEYKVMYRKTVTSDVNGDTSDYYNGILLTLVGPE >Dexi3A01G0036510.1:cds pep primary_assembly:Fonio_CM05836:3A:42065766:42066599:1 gene:Dexi3A01G0036510 transcript:Dexi3A01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTHGPLPLAPLWEKFFSGATSNDLYSVYIHASPDYTSSSAAADEFSPESVFYRRHVPSQAVEWGQPTMVDAERRLLANALLDLANDRFVLLSESCVPLFNFSVVYRYITGSNHSFVGAVDDPGPGGRGRYSGELAPEVSPKQWRKGAQWFELHRDLAVLVVADDRYYPKFGEHCRPGCYMDEHYLPTVMSVEAPARIANRSVTWVDWSGGGAHPTTFGEANVDEAFLTRQTTVTDQGKCTYNGQPSDVCFLFSRKFAADTLQPMLKLAPKMLGYG >Dexi5A01G0033740.1:cds pep primary_assembly:Fonio_CM05836:5A:36019038:36022221:1 gene:Dexi5A01G0033740 transcript:Dexi5A01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSGELLLRSEPSSVISRAGDDGRGGWRAALFIVAVGFFERIGFFGVSANLIMYLTGPLGMSTAAAAAGVNAWSGTVQVLPLVGALVADSRLGRYRALLSAGLLYVLSLGMLTASSMLQTASSPPPAQLAFFYVALYLLALAQGFYAPCGEAFGADQFAPSDGGDPRFRSSYFNWFHFSISWGYAIASAGLSYLQDNAGWTIGFGACWAAMVLCLAVFLLGTPTYRAKQPADGGPFAETVRAWSARVFRRKDTTTTERLLDQQREADNGLVSKLLPIWLTSQVFAAIFSQVSTLFTKQASTLDRRLGTTTTGIVVPPAALQCLTNITFIVMLPLYDRVIVPLARRLTGHAAGITMLQRIGASMATSCAAMAVAALVEARRLHVADDAGLVDRPDVAVPMTLWWMVPLYVLIGLAGVLGQVGLEEFFYDQVPDSLRSVGLALCLSIFGVGSYASSILVSAIDWATRSKGESWFSDNLNRAHLDYFYWLLAGLAALEVAAFLHFANRYVYRNKGEL >Dexi9B01G0026630.1:cds pep primary_assembly:Fonio_CM05836:9B:28806034:28811647:1 gene:Dexi9B01G0026630 transcript:Dexi9B01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDLGVSAFINILGAFAFLLLFAALRIQPVNDRVYFPKLYLAGKRAHDHSSRSAVRRFVNLNLCTYLTFLSWVPGALRMTEPELIAHAGLDSAVYLRIYTLGLKIFLPITALALLVLIPVNVSGGTLLDLRKQVVFSDIDKLSISNVNPGSNRFFIHLLMAYVFTFWVCFMLYKEYSNVAFMRLHFLASRKRCADQFTILSGSIGFDVLLQFQVIVRNIPRVSSHSTSETVDEFFRRNHPDHYLGQQVHPVYNANRFAKLVKKKERLQNWLDYYQLKFERHPEKRPTRRTGFLGFCGREVDQIDYYRARISELERKMAAERQKVLNDPKAIMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRRFLISVAVFALVFFYMIPIAFVQSLANLEGLEKVAPFLKPVIEVIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVLYHLKNMFIVKTERDRERAMDPGSIGLGENLPSLQLYFLLGLVYAVVTPLLLPFIIIFFAFAFLVFRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTKEAAFSTPLLIFLPLLTIWFHSYCKSRFEPAFRKYPLEEAMEKDNMEHASEPNLNLKSFLANAYLHPIFHLFEEASKEEEETVEVRIDKAQKEHQQHRRQEETHVRSSTQYHEESHFRSTHETHYHHEESQFSRNTQYHEGIHVRSDTDSPSPPHFVYHYDIQP >Dexi8A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:8A:9098486:9099799:1 gene:Dexi8A01G0007930 transcript:Dexi8A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSAAMAAPPSPPAPPPPPPPPPPPVPVAVLPGDMQRLILERIPCSADQVSMSLVCRAWRDMVVGHRDPLLPPPPPPLPLLLLPADPFDARGVRAACALSGGRVHHTLDIIPPNARCFGSHDGVWIFLSTLEPRRAHVALNIRSGDTRAIPSGLLRWTDPQRHVHGMLIHAAALSTSPGEANCVGAAIVTSWPPFAAMGAVDVDALPPHRRCVALWRQDGLQAFDFVMPPGDGEDVEDVIFIRAMGAFAFLTRGEDLHICRAILHQDHGLQTQWGTVRFRPGDRLFEPTVRARYLVVSDGKLLMVIRFTPSRNAPTSRFKVFVETDRDDEEGDVDPDFPLVDYPCEWTELSTLDGRMLFVGHGCSRSYRVDQYPGFQEGVYFLDDGEYYDDAVLFTNLKHYPCSDNGKWSEGRIERCFPMSDPSDHSAPVWLLP >Dexi6B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:6B:21689789:21690604:-1 gene:Dexi6B01G0014060 transcript:Dexi6B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPLPPEGTPHSAAGGGGVATGLPLIGCEMDPGPLYEAVVVVVGGEGGVEVGGVGGHRGVVVRWHSNFRLPPPLSPPLAPAAAAVSEDASAAASAGLSFVSSFKPVEEASGGTSKPNSRMPAAAAPAAPGGVVGCCGGLSRAERMNGSCEKESMLAAAALPLLVELPRASRSPLIMSINPPADRSRSLGRGRGRGKERERER >Dexi8B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:8B:26783195:26783450:1 gene:Dexi8B01G0015940 transcript:Dexi8B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTDVCLNPQDGTLFAKLSLAPLALDRDRASYLVNMAAFELCTVESFSQAPGWRMCTNYETGVS >Dexi9A01G0035080.1:cds pep primary_assembly:Fonio_CM05836:9A:39751805:39754774:1 gene:Dexi9A01G0035080 transcript:Dexi9A01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGLIGAQRPPGTITHVPMELPPPASLVPVVLATVLFLATILRRRWNPKRKYNLPPGPRPWPVIGNLNLIGALPHRSVHELSKRYGPLMSLRFGSVPVLIGSSVDAARFILKTHDLAFSDRPRTASGRHTGYNYSDVLWAPYGPYWRQARRLWKAEIMSARQLRSHEHVRDEEVRAMLRDIYGHGGGRAVALLDCLLMANLKAISRMVLGSKKYVVHGGGGTGSTAAATTPEEFKWMIDEFIYLSGALSMGDMIPWLRWLDPQIRRIKRLGKMFDRFLEQVLDEHNERRRREGEEFAAMDMVDLLLELADDPSLEVPIGRDGVKGFTLDLIVGGTDTSSVTIEWAMSELLRNPAALTKATEELDRVIGCERLVTEGDLQSLPYLEAIVKETMRLHPVSPLLVPRMSREDVSMDGYDVPTGTLVFVNVWAIGRDPAVWGHAAEEFRPERFVGSSLDVKGQDFELLPFGSGRRICPGIGLGLKMVQVILANLVHGFSWRLPDGIASEKLSMEEKFGLSVQRMVSLEAVAEPRLPAHVYGGP >Dexi6B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:6B:9902587:9904365:-1 gene:Dexi6B01G0008000 transcript:Dexi6B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSDQAQNRSETPELPKPLVDDDGRPLRTGTVWTASAHIITAVIGSGVLSLAWGVAQLGWAAGPAVMVLFAAVIYYTSTLLAECYRSGDPMFGQRNRTYIDAVRATLGESKERLCGGIQLSNLFGIGIGVSIAASVSMQAIRRAGCFHNRGHEDPCHASSSPYIAIFGVIQIVFSQIPDLDKVWWLSIVAAIMSFSYSTIGISLGVSEIVANRGLRGSIAGVIGAGASDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGSGAPDNMLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMTQPVFAYVERRVAAAWPGSALVRERDVRVGQVMALSVSPIRLVWRTTYVCVTTAVAMLLPFFGSVVGFIGAVSFWPLTVYFPVAMYMAQRKVKRGSTRWLLLHALSAGCLVVSVAAAAGSIAGIVEELKAHNPFCWSC >Dexi9A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:9A:4293535:4294087:-1 gene:Dexi9A01G0007410 transcript:Dexi9A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVVEGESFSPSCPTLIMPALSIGNVGQLSVDLLISSAKARRVAYLDEPSVLPCAGNDAFGPDVVGDLALALEGWLE >Dexi3A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:3A:12619726:12620043:-1 gene:Dexi3A01G0016660 transcript:Dexi3A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYSMWPPPNSNSTCGEEEELGPAVKEEQQPGQRAQSSSSSGSGGGREEEQACGGSGASPATRAWGCSVAHLGMRRRLDPLGLGCRATGYAWEEWRRTILSPW >Dexi2A01G0029960.1:cds pep primary_assembly:Fonio_CM05836:2A:40956132:40958130:-1 gene:Dexi2A01G0029960 transcript:Dexi2A01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKEEDPGLSTIKGKHLGIFICWLLGNGCLFAFNSMLTMEDYFVFVFPLDVATSGRGGAATFAGLCTIAAVFGIAEGHAEGAMAGDLSLMCPEFIQSFWAGLAASGVITSALRLVTKVAFENSRDGLRKGAILFASVSCFFELLCIVIYTFVFPELPIVKFYHCKAASEGSMTVNADLAAGGIEGSSNPSTEEGPVFPERLSSKQVLLQNLDYAMDMFLIYTLSLSIFPGFLAEDTGSHSLGSWYALVLIATFSAWDLVGRYLPLIDCIKLTSRKGLLVAICSRFLFVPAFYYTVKYSDQGWVIMLTSFLGLSNGYLTVCVLTEAPKGYKGPEQNALGNLLVLSLLAGLTFGDALDWLWLIGKGW >DexiUA01G0028050.1:cds pep primary_assembly:Fonio_CM05836:UA:60261559:60262860:1 gene:DexiUA01G0028050 transcript:DexiUA01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVALNYLLDKLGRDYSQTVGVIEMGGASVQMSYAISANAAAKAPAVPDGKEPYVTKDYLHYGAFAARAEILKAKSGQFSFCMLRGFTGNYPYNGKQYDATATEGGAYYQKCREEITKALKLNAPCKTKNCTFNGVWSGGGGLGQDNLYVASSFYYMATKVGIIDSKAPSARTSPAAFRAIARKVCQLSVKSAKLSYPEIRDNDVPYMCMDLTYQYTLLVDGFGVPSIKKITVVAKVKHGEYYVEAAWPLGTAIEAVSPKNRLEDA >Dexi2B01G0031000.1:cds pep primary_assembly:Fonio_CM05836:2B:39226025:39229151:1 gene:Dexi2B01G0031000 transcript:Dexi2B01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTLSVSSLASTAFASFPHPRRSPPAPPLLRLLGPRPRALARASALSSTSSSAAAAADDEDGVDTVEQLLHPRPPSVSSPGATRGRIDRLMKLQRRADGDAVPGPAGPGGHRRWFPYLDGFRPAAGGAEVSIREVVEVLEPYILEARRERIRRAVDNRSYAVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDSSKRYRDNRHVSMGAEKWLDIEIWNSPSECFSALKKRGYRIATTCLGTDSVCVYDMDWSQPTAIVVGNELRGISDDALELSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLF >Dexi9A01G0041220.1:cds pep primary_assembly:Fonio_CM05836:9A:44894390:44897468:1 gene:Dexi9A01G0041220 transcript:Dexi9A01G0041220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSWFRWWRKKARQGDAAGGGEEAGHKVVVDGSEIRELVEDREAFGMLVDSTFRQLDADGNGKLSVRELRPAVADIGAALGLPAEGASPNTDHICSEVVSELTHGTSQGEVSKAEFQEALSDILLGMAAGLKRDPLVILRMDGEDLRDFVASSRYEPSAAAISSLVVSEGAPLRQCLLAALQQLTVDHGVPPASDTWVAENIIEPALQQLPADQLDQPASRDGFFQQLKKLLGAMADRLQEQPVIVAHTENTYDGSGIKRLLGSKFELDKLLGSVWRGVAAEHKNKASKECLIAAFDKMADAASLPYYGAVKQVDAVVNEAIKTANANDGKMVDEAEFKKLVTDTLGAVMRQLNSSPVFVCTNTVVHEPLSGASALFS >Dexi7A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:7A:27121862:27123583:-1 gene:Dexi7A01G0017440 transcript:Dexi7A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSASSPCLSALGTIVRLLGKQSQMGCLDEVYKSVEDLSSDYFQTKACKAMLLKPLNTASSHCHRLKINIDDTKPREVYVCKDTSCYAHCAFSSVPDTLCKCGKVMEYAGDRPEDDAKTAAAGSENGVFVKGNQKFITTDDLQVAPASTSLMLSLGREFGVQDPADLEQTILQLTSEKSSNRDAYVKQGSQSFMVTDDLHVRPLTLDSSLRVVSEGEVQMKDLVEKEVAVTKFQIMELQRAALMTREALSSVLLPPKKKKKNLKHLMY >Dexi7A01G0021980.1:cds pep primary_assembly:Fonio_CM05836:7A:30437557:30439890:1 gene:Dexi7A01G0021980 transcript:Dexi7A01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFLPRCFVLPVVHAMNGKQHPSDHGRGRTAYHFQPAKNWMNGPFYHGGVYHFFFQYNPHSPMFGTGRLSWGHSVSGDLVNWTFVGTALDPTSPFDADGCWSGSATVLPDGRVAILYTGRDTDTVQVQNVAFPKDPTDPLLREWHKPSFNPVIPQPPDVTGNNFRDPSTAWLAGDGLWRFVVTAELAGGVGATLVYRSKDFLRWERNAAPLHTSPEVPVLECADLFPVSEHGEEGLDTSAASGPGVRHVLKLSKMSDEDYYMVGSYDDVADTFVPAEEERGSDVTKWRRIDHGHLFGAKSFFDARKKRRVLWAWVDETDRDSDEFAKGWAGIQAFPRALWLDTDGKKLVQWPIEEIEQLRRKHVALLGTEVAASGGLQEIAGVDSRQADVEVVFEIPDLEAAEEFDPKWLQDPQKLTCAEKNGGVGPFGLIAMASGDGDSLEQSYISFRVFKHHDGHKVVMCMDLTRSSTTKGVSKPVYAGLVDVDVEKDRRISLRTLTDHSVIESFGGGGRTCITARVYPEHVAGADSSRLYLFNNGSDSVKVSKLEAWELGTASVNLEDVVPGFSHVISE >Dexi1B01G0027750.1:cds pep primary_assembly:Fonio_CM05836:1B:32416909:32418824:-1 gene:Dexi1B01G0027750 transcript:Dexi1B01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGAPTPPRFKRSSPRKKSQLISRRLASGKTEAAGSPPALARAPPPAPLEVDAVPPIGRALPREFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGIHMMLNVVADMAGFGAAVLIRSCSPVLKLFSDAETNKLRNQYYLLDQESGSFTLSGGLEVLDGPEPEGILVGPRVGIEYASPEHVAAPWRFAIAGTPWISAPKNTLRPR >Dexi1A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:1A:2665586:2667363:1 gene:Dexi1A01G0003660 transcript:Dexi1A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEERHGEGDYGDVVTMEAVRELQAGYTWADVASLESPAEGARVRVRGAAAAVRAVGRRVAFLVVRQGAATVQCVVAGGGGMARFAAGLSRESVVDVAGVVSRPREPVRGTTQQLVEIHVEKLHCLSRAVPNLPITVDDASRSEEDVARAKAAGEQLVHVGQDKRLDYRVIDLRTAANQAIFRIQCQVENVFRQVLLSEGFVGIHTPKLIGGASEGGAAVFKLSYNGQPACLAQSPQLHKQMAICGGFGRVFEVGPVFRAEGSDTHRHLCEFTGLDAEMTLRDHYTELCDVVDKLFVAVFDHLNTACAAELEAIQRQYPFEPLKYSRTTLRLDYDQGIKMLQEAGVHVDAMGDLTTEAEKKLGELVRERYGTEFFMLCRYPSAVRPFYTMPCSDDPRYSCSFDVFVRGEEIISGAQRVHDPELLAAQATARGVDVGSIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPKRLAP >Dexi5A01G0023630.1:cds pep primary_assembly:Fonio_CM05836:5A:27585148:27590102:1 gene:Dexi5A01G0023630 transcript:Dexi5A01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALVRTKWMNCKKAISEEVDIVKQLDAQLKSLKEAPGARRSSSPPIRSNKSFHFQPLDEYPTSSAATFDDPDVWAPPREAPNRRSTRGQSSARKSSQDGAWARGPSRNGTPSRSTKPNGSKGSSASSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQIAADVNIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEIAKDPVAMCDFVEALVKVQKSVSPADIEKHEKWMAEFGSA >Dexi4B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:4B:9215334:9215553:1 gene:Dexi4B01G0011730 transcript:Dexi4B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGWCKINVDGSFVESTGDAGVGVVARDSAGVRVQLKRKPGLVLKDFVSRRNGNQIE >Dexi9A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:9A:15838596:15841069:-1 gene:Dexi9A01G0020790 transcript:Dexi9A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFVPDTAVRWRGVVTGDVARRMGVAAEAKALAGSLERAGAAVLDAEERAARGDDGAARWLANVRAAAYEADAAVDRCRVAARRRRLREHHHSQQQQTHYHHQARIFPSIASLILPRAVITALPRLLSSCCDAEVAGGDIAADIRSLNRKLQVILKEKNRLQLRSFLGDHHATPPVRSALRHHRQSQVTSSSTPAPHNTDIVGSKIEDDAAVLVRHLTEASSPGQAQAQAAGCEIVAITGPDGVGKTTLAARVYGSERIRRGFGARPWVRVPREYTEAALLSQVVDSFGGDTSGHESFAELEKALAKLVGETRFLLVLDDVRHGGVWEDVLRRPLQGAGHGSKVLVTTRHGSIAKEMGAGHVHRVKKMGADDGWLLLRAAAGVADEAVAGELKDAGERIVEKCCGVPLAIKGVAGVLRTREANAKEWEEVLGSPAWMVKGLPEDAMKPLYLCYDDLPCHLKQCFLYCSLFPSDLAVDRRVLVQLWIAEGFVQIRADASVEEVAEEYYDELIRRHLLQPAEEDGDEHGGAAPRCAMHDMLRALAQLLSLGEGFTGDSYRLVVDSDATFAPRRVSFPRRNLAAIPDKILKLEGLRTLILQKNPLTIEGNIFTRLGHLKVLDLSETAVEVIPDSLGNLVYLRFLNLSHTRIQSIPESIANLWSLKFLLLRGCKALHALPKGIEHLRGLRDLDLAGTVIDDAAFRVGHLRSLTSLRCFAVTNKEARAAQDRSGWPLDELKNLSQLRTLHIQKLEKVAGLSEATEMSLAAKKSLRELELSCSGTVMPLQTPELR >Dexi8A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:8A:25974324:25978020:-1 gene:Dexi8A01G0015090 transcript:Dexi8A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSYYQTSPSVRSQVQHKEHVFHLYAHKLEDRNDHAIVTPPEGLPNSFGSTYVVGWDVRDGPDTSAMLVGEMQGLAIAASRTSLSWYISFNLVFTDERFKGSTLTVQGHLGPKTVGDEGAWVVAGGTGEFINAQGICTYKRIGATSEGFINELRIRVMCLTILKLVKVQKIGPWGGNGGAAYEINDGELPQRLESLSIYAEDFIQSIAFSYIDQTGQKRTVGPWGGDDGKSEYPLSPPIPHCRWSSPVAALFSRWSLPATALFLRWSLPATTPIPRGAHQSSPPPQAGARRSPPAVAPFLR >Dexi4B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:4B:1246755:1249789:-1 gene:Dexi4B01G0001980 transcript:Dexi4B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKNSTTTLAESWGTTQGRLVRVEVLVLFSAFIWILVEFFGSLRRQYNHGFFRFFVWAAYTLFTVLGPYTIGLLQDGPFRDQTFVLWGTILLVIQVSADSISVYSIHDIEQRKRVLVQHVLQIILVLWMILNCKGHNKSYAATIWIFWIHSIVLTYRKSRSLSNASKKEGLLKSAKVVADYMMIEHEQIPRDFNPKTMEGYKYIFHGEDEVASQLPTGPEYRVEFKEVTKTIRTFITIDSVWRWIESQINFTKEAMEIRKDVALSFSLFKLLKRRLCGYHIGEAGLTKTLHFVLNGLLSEEGQGQKAGKKIMLTDELIVRVLSGFKEHNGQLQDGHSALARNQLGSQFSWACTLPTHTHKILVWHIGTTIAMEGHPVPPTGDHRVAKTLSDYCAYLVAFVPDMLPGHGYDNQRIFDAVVMEARERLTRCDTVSSRCEMLVTMDLPRDSSCRILELGSRLGRELRGVVPEARRWKLLADFWAEFILFLAPSSNAEIHAEKLAAGGEFMTHLWALLTHAGILDRPSTTNSAGEEELSANGARPAGCRPWTATQAHPAAQVSCDAAAAIPTRPRISPLAHAHNAAVAPSQACSPQGRVSVRPRSIGGKWSGMASKHQAARHASSPIPPHWHIYSRVETDFVITNSHLIALE >Dexi5A01G0031160.1:cds pep primary_assembly:Fonio_CM05836:5A:33991519:33993117:1 gene:Dexi5A01G0031160 transcript:Dexi5A01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLRALDDLISQFLSLNRSLWSNSDDADAFLEAVDELTSTIHGLEHTSQDDVFLESFDHLLERCSVRLKDEFQRLIVTTGFDDNYDDPNIKRSQDKDDSHTFVALPIRDFDIIVDALPEGVATEANRIARRMIAAGFGDTCAETYASARLSFINESIARLGVHPNLAERFNSASWDELETQIMRWIPAIRVVFHILIPSERHMCNCIFDGFTSYSDLAFATACKPFLQLLSFANFIAAAGQNPESLFRIVDMYDAVTHILPVLDEAFDHEVASIHECLGLTIKGIFVALEKLIRCDSSESAPPDGRVHPITRYVMNYLMAACASRHTLEEVMHLEFGSAEACVINPDRPTSSLAVRLAWIVDVLIGNLESKSRIYGHVPLRCVFLINNGIYIMKKVNDCELKILLGEDWTRVISANVHQWVLEYRRATWGRAIVILERDRRSGGSSSIVVEKLNHFHSFLQAIYQVQSRWVLVDKQQAMDLSTMVEELVIPVYRDTIEMLKATEALGASYVPPEDVKSQIQQLFEAMTKL >Dexi3B01G0021800.1:cds pep primary_assembly:Fonio_CM05836:3B:16669577:16675384:1 gene:Dexi3B01G0021800 transcript:Dexi3B01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSSSDRSRRPFLVSLSLFLFISAVLVLLFLFLDPSPGSLAFLPSRLSASPPSLPSPRQQSLTPTTTRGSPPDSQPAGPLATAKADEASRPPAKAEARGGGGVPGADDDSGGARSVEAPDGKTDTSVAAAAGAGGDDEEPPLRVRWETCSRLGRGVSSTDYIPCLDNVRAIKALRSRRHMEHRERHCPVAPRPRCLVPLPFGYRTPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTRSGDYLVFPGGGTQFKDGVGRYIEFIEQIMPAIQWGMHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNAFDVVHCARCRVHWYADGGKPLLELNRVLRPGGYFIWSATPVYRQEKRDQDDWNGSYAPLDSCILANAVSNSDESWPIPWPERLNVRYASVPGESASNKEAFDADTKYWKQIVSDVYFSDFPLNWSSIRNIMDMNAGFGGCDIIEVAAEIDRILRPGRWFVLKDTIEMIKKMRPVLKSLHYETVVVKQQFLVATKSFWRPGKPASRSG >Dexi4B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:4B:14239810:14244177:1 gene:Dexi4B01G0013960 transcript:Dexi4B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKQKTVESPAPASAPEAASEPTAPQQPQQQPKPAVPVQPSMPVTRPWPMAIIPSSKSVLEMKSGTPAKKKKHCNCKNSQCLKLYCECFAAGDYCDGCNCKQCGNTVENEKGRLEAINNTKLRNPNAFQPKIENGSITPSVRKDAGAQPSLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELRAIIQGDNSCDRNNMQQAANVALNGAIGSSGYRFSPVRRKRPPEDPNYQRLNVEGSIMQMPFQEANHVDASQIASSTGLDGSTSNFQDNNVDAEIDSEICINRVQNLDENKKEVQKATVSQLGNVTNIDQQIPVDSGPYCSDAQEDYRPSSPGTRALLCDEQDLTFGTAYRSSISVALHDQDISELHAAQENAVLREFRNCLQLIIARGRANGEMTF >Dexi2B01G0027300.1:cds pep primary_assembly:Fonio_CM05836:2B:36287256:36289397:-1 gene:Dexi2B01G0027300 transcript:Dexi2B01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVSLRRRRWALLSVVTLLAMVTAAAAQDYNDGGGGGDDEEGEKKKPQYKAQESCNGAFLTYTFEERTKEYPHLKNATAQPYAFKATATVLNTMAEDLKAWQMFVGFQHKEILVSVGGAVLLDGSDLPANVSGGVTFAGYPMADLLNSIDTAGEMSLIESKIEITGTQFGVKAPGKPMPKTIKLTNPAGFRCPAPTRKDSVMYVCCVKDRKFKAKKANSTRYVARQKGDLTFAYDVLQTNANSYLAQVTIDNWSPISRLDNWNLTWEWKRGEFIFNMKGAYTLLKEGPACIYSPAAGYYKDLDFTPVYNCEKRPVIVDLPPEREKDKDVGNVPFCCKNGTLLPPTMDPSKSRAMFQMQVFKMPPDLNRTALYPPQSWKISGKLNPQYTCGQPIRVSPQVFPDASGLLSTTPAVASWQVACNITRPKRRASKCCVSFSAFYNDSVVPCNTCACGCGGDTTATCDPDAHAMLLPPEALLIPFDNRTAKARAWAKIKHWRVPNPMPCGDGCGLSINWHVINNYKSGWAVRMTIFNWQEYTFKDWFAAVTMGEHYSGFENVYSFNGTKMEGRFHDTIFMQGVPGLTYLEPVTDGKTPSDPRLPGKQQSVLSFSRKDAPNINIGKGEGFPKRIYFDGEECALPDRIPKVSSARRRAGTASLGQLAMAAALVMIVGSLRL >Dexi3B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:3B:3941537:3942433:-1 gene:Dexi3B01G0005780 transcript:Dexi3B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRRALPLPDELIENILARVPSPRDLAFASVAHRDFRRIIAGASFPRLYRSLHPPQLLGILVPHGIMPVEAPHPNAHAARALARDADFSYGHLPGSKWRHSDARDGRVHLMRSGLPDDLAVLFPDAIVIVLSDNDHDDVVLPELAVYDPFTRGYMLLPPIPDSLAAASVRVSYNCVDNFRALFVPSGDYEDAHFRVLAWMHNHAMAVVFVYSSLSGTWAAGTAASWDTLGFNVNLHIMRQPEHWLPHHAYGCFYWQLNIDRMEFSAVALPPHYICKSTGCCCCRGW >Dexi7A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:7A:19836250:19836471:-1 gene:Dexi7A01G0008600 transcript:Dexi7A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATASAAAVLKTPFLGARRALANAASVAAKPSPRRTLVVAAAVAKKSWIPAFKSDAEFINPPWLDGS >Dexi4A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:4A:17683957:17688364:1 gene:Dexi4A01G0015100 transcript:Dexi4A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPFTSFRSTTSPCPARPPRRTEAKISKHDAWQNHAVPPPEIPHWSSPSPPALRDAGALTPGNRATRGGLLLRHPLTAADAREPAARYLSGPRTRLPRHGRTRACAPDNEYLQFDWSLSTSCAGTEDAKKILSNDGACPVCDQVLSKSHMKPVDVDPSDDWTNMAMVGISPQTRILKLRLSQILISVMFYIGQKELEMQYKMNHVVGQCRQKMELMQGKFTEKMEEVHTAYQKMSKKCQLMEQEIENLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSLKRSAIQPANNIFPRAQQDLFAGMPNMMDNSNPLRQGCRTRLQDLSGHLYMM >Dexi7B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:7B:20814735:20815931:-1 gene:Dexi7B01G0014670 transcript:Dexi7B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLCLLALQLLLLVLALLHQRKCHGLLLPLTNTLSSLRVANGTTTTTLLPIHDLLRSSSLRSAARHRRHRALRSPRPRQPPPAHRQLSLPLAPGSDYTLSLSVGPASAAAPVSLFLDTGSDLVWFPCAPFTCMLCDGKPTPGRSSRLPPPIDSHRVPCASPLSPFSYCLVSHSFRADRLIRPSPLILGRTPDAADETGGFVYTPLLRNPRHPYFYTVALEAVTVGSTRIPARPELGRVDRAGNGGMVVDSGTTFTMLPGETHARVAEAFAVAMDAAGFARAELAEAQTGLTPCYRYAATDRGVPPLALHFRGDDATVALPRRNYFMGFKSEEGDGVGCLMLMNGGEDGGDGPAGTLGNFQQQGFEVVYDVDAGRVGFARRRCTDLWDSLSRR >Dexi3B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:3B:11648450:11649543:-1 gene:Dexi3B01G0016070 transcript:Dexi3B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLFPAQPHGLHAAFGPKPAFGDRRFSSPNLLTNGDDLVCGYSSPSSPFGLAHVLSTPSPGAASLSRGSSDSGSIVDDRDDASATAERRQRLARLALQYQEVVTRFELCLSYLADASNEAAVLRRENDELRVANEDLARRIKMVGDKLVDEFSGLRLAEEHIMPPPPPSPLPAAPVAPKSISVRSPGYLKMNQNGKHRPSKPTKQGSQRVFVGMDGGAKRGGEHKGGEEENKRSSGLEFEVYNQGMLKTELCNKWEETGACPYGEHCQFAHGIAELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHSITPADLIPLRA >DexiUA01G0025900.1:cds pep primary_assembly:Fonio_CM05836:UA:54533203:54533484:-1 gene:DexiUA01G0025900 transcript:DexiUA01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTRNTTKRSHYKHTSLTYASRAPHNLQVTEIDQEREAARSARASNRDVAAGVEGDSASERTCRGDAVATAAADEREGEEEGLGLGFRACL >Dexi9A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:9A:5998830:5998936:-1 gene:Dexi9A01G0009940 transcript:Dexi9A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSHTLFLRVLPNKSREIPLEVVAP >Dexi5A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:5A:7105104:7106088:1 gene:Dexi5A01G0009440 transcript:Dexi5A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVASFLLVFWSAVASLMQEMHGTLTFPMVCLVIALTVGFVLCWLGPAHFNPAVTATFAAFGYLSWTKVPFYVMMQLAGSVLACLSVNAVMRPRAEHFYGTAPMAGHTRLPFLLELLASAVLMVVIATAARGSSKTAGGLAIGAAVGTLGLIIGPVSGGSMNPIRTLGPAIVLGRYTSVWIYLVAPVAGMLIGALCNRAVRSSDAIIAFFLCGARARAVATKNGRRVPAAVLTPLAIGAVASQQL >Dexi8B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:8B:1547936:1554819:-1 gene:Dexi8B01G0002280 transcript:Dexi8B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPSTEETGRARRLAHLGWALCECAADIEAGSMEKAAHRLSQAVGLKAATGDGPLPRLAVPAVDCLARRLIRGMVPAVADALIDPSDHLDRRCVMAARRSFFDLSPFPKAAVAVSNRVILEAMENEKNVHVIDFAGPAAQPCQWIQLLRDFKSRPEGPPHLRLTIVYDDEKFLATVSESLVDEADELDVPFQLHCVAAQIEALDFNDLHGVLGLKSGEARALVCTQQLHRLLAAAADDDGGGVARSFSAAGRHFNKQMANVARLQQMASTSSCPPSIGGACEDDDESAYRSPATPLSFVSPPLTTPPPQFQMPPPALASFLAAARTTISPKVAVLVEQEASHNGVSFRKRFAEALHYYGAVYDSLDAAAAAYRRPDAERAEVERAVLAVEIRDVLLHEGARRRERHDRLHQWGFRMEVAGFRRVPLSYVAIREGDDMVRSVMYRPLMQDQGTADHLAQLGPILYACAAHVIEGSFEKTDICLRQIKRLASIVDGPLQRLSLITADSLARRLLCPIQGFAGALIHPSDYFEQPILQTARCNHAELSPYISTGFVTINRAILEAVEDEKVVHIIDLSCSTSHPRQWLNLLRDFHGRKGGPPEVRLTVVHDDKDFLATMWRLLSEEADMLNIPFQFNSMIGRLETLEFRNLRDTLNVKYGEAIAISCSLQMHRLLAVDDNLSYSGIGQLQKMANIARLKQMASSGYSPVSTLSPQCQTPKLLASFLNAIHAFKPNIMLVMEQDADHNALLFCDRFVEALNYYAALFDSFHAVAAGNPRRADERTRVERMILGEEIKNILVCEGVHRHERHERLRQWATHMDRSGFEHVPLSFDAIRKARQNLMSFGLDERNGKVESDCLLLCWGSTHLYSISAWRPHQGSTSGSRDHMDVQPQAIKHLRSL >Dexi9B01G0045290.1:cds pep primary_assembly:Fonio_CM05836:9B:44820847:44822150:1 gene:Dexi9B01G0045290 transcript:Dexi9B01G0045290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRLAAVPAAALLLLLAVAAVGVAAKTTVQDVTEGGANKEEESWTDWAKDKITEGLGLKHHDVDEEEAARKAGLTAKSARESAQHAASEVGKKAGDAKDAAGNAAEGASSKAGQAKDQAKETVKGAAGEASGKAGYAKEKAKEAADAASQRGAEAHERSKQGKAKVEETAKEKAGQGYESAKDMAGKAHETLRQTTDAAADKAASAKDAASETTGAAKDKAAAAKDAAANKAGAAKDAAWETTGAAKDKAAAAKDAAANKAGSAKDTAANKAASAKDAAAEKASAAKDAAWEKAEAAGKKAQESKEAAADKAEKAKEAAWETVEAAKDKANEGYEKVKEKARETADTAKERMEEVKEKVAGPGADGKGKHRRADADKYKSVDEL >Dexi3A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:3A:8467247:8468449:1 gene:Dexi3A01G0011710 transcript:Dexi3A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTPGGGCADALCEDCLASILVRLPSASDVLRCRAVCRSWRRVATNLLFLANHAAHRPIQIITISDSREVSATPLSVVDHPRPRRLLFHRTQYGPSVSRSSAVLFSLDGLLVLTQRPGIYVICNPATRQWTRLPVLGPDETRPRRFDAAACGFYWHASSGEHRLLCQVRRNWAHDYNILSAGGGGARRHGRRAPRPANTSRTIRYGAPVSCRGVLHWLDHPEAEYTGMMLAFDTASEAFRLMPLPPEQLAGNNTARTLVELDGELAMAVMQHGVSSMAVWDLRDYGEAAEVWTLRYRVEVPMSSLHFGDITDITGASSRTFLMFTESLVPQAFFLGPPSPRVAHIKFSN >Dexi7B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:7B:774908:776655:-1 gene:Dexi7B01G0000200 transcript:Dexi7B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II (FNSII), Biosynthesis of tricin O-linked conjugate [Source: Projected from Oryza sativa (Os04g0101400)] MENTSDQPVGITVLSSLTNNANPMSIFVALVVVAATAMALRHLISSWRLRSRLPPGPTSLPVIGHLHLLRPPVHRTFQKLAARVGPLMHIQLGSTHCVVASTADVAAELIRGHEGSISERPLTAVARQFAYDSSGFAFAPYNAHWRFMKRLCMSELLGPRTVEQLRPIRRAGTVSLLRAALASSERGEEVDLTRELIRLANTGMIRMVASTVPGSVADEAQELVKAVAELVGAFNVDDYIALCRGWDLQGLRRRAVDVHRRFDALLEEILRHKEEAREARAFVADGDDVEDSKKKATLHSSKDLLDILMDKAEDETAEVKLTRDNIKAFVIDVVTAGSDTSAAMVEWMLVELMNNPESMRKVVNEIDAVVGPDRIAGEADLPRLPYLMAAYKETLRLHPAAPIAHRQSSEEMVIQGYTIPAQAAVFINVYAIGRDPAFWEEPMAFRPERFLPGGKAESIEPRGQHFNFMPFGSGRRGCPGMGLALQSVPAVLAALVQCFDWATSDGSAKKIDMDESDGLVCARKNPLLLRPTPRLNPFPAVV >Dexi2A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:2A:29433629:29433871:1 gene:Dexi2A01G0017570 transcript:Dexi2A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSSSSSSSGPSNRFAPSGWAETPSAGVGGCCGVWNSGSRARTGEWAGGERIGGRDRPGGEKREDEWKGVVAWLVGA >Dexi2B01G0032340.1:cds pep primary_assembly:Fonio_CM05836:2B:40267072:40270133:-1 gene:Dexi2B01G0032340 transcript:Dexi2B01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQDRRPFRPPDWAPPPPHHHRDYRDRHYQNQHHQYQHQHQRYRPTQPFRPPPQLAVLLHRAGPDYSAPTATEVEALVAGLPSPPPASISVNSSGRVAARLVFRATPDAAAAARELWDLRLQGHHLLALELPDPALAAHASPLIASVFAAHASRLLESGLLAVSSARSAELAASIKDVKRRLGSRNRFRDFDKLILESKTLEAEKDLLDAKIAEYQVAMRSIRRAMLREAHDDEEGVDVFGAVQGAEVDFARVHKIMLRECRRLKEGLPIYAYRRRILNHIFTNQVMILIGETGSGKSTQLVQFLADSGLAVGGSIVCFGSKVVFTTDSCLLHHCMNDKGLDGISYIIVDEAHERSLNTDLLLAMIKNRLLDRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRTFPVEIKYVPDISAEASFNTLPSISSAACTTASYVTDVVRMVSFIHKNEEEGAILAFLTSQLEVEWSCESFSDPNAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKDVKYVVDFLLINALAELVEQEQANATGFTQNLTLIQRPSIRL >Dexi5A01G0027110.1:cds pep primary_assembly:Fonio_CM05836:5A:30674480:30675990:-1 gene:Dexi5A01G0027110 transcript:Dexi5A01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSPSRRVVSSAPATLLLRLLLLAGAADAAPPLFGVSPQDEAYFATQVIACRDGSGSFPRTHLNDGYCDCADGTDEPDCCDGSDEYESGIHCQNTCRKRKDIAEADGGGGELSVTHVDATNEFTSKHTIDIGNLMQNNNNKDLIQKLGGLRFALVIELGLVVIIFAFCVARRRTRTHRRQHILKRK >Dexi7B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:7B:17460958:17461602:-1 gene:Dexi7B01G0010060 transcript:Dexi7B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPALLSLTPAVSRHPRLIFSRCPSPRHLRLAPPAATPSPGGSPGPGVFLSPRALSQLDELAAFRYEHAFPHGLLTVRALSRGPDDDAVAEALVRLLASSFSETVRWAPAQRYAQLLAFVIRRYLHDRRGLAPHAAVLVGFYRPATDAGDATGDEGDDEGGEDGEGEDEGEMACTAEVSFDAVGAPGAPPTPTPPLDFPYICNMTVKTPLRR >Dexi2B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:2B:28309470:28311255:-1 gene:Dexi2B01G0017940 transcript:Dexi2B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATLLWFLYISLASCLLYKLFVSTKTSSGKARRPPGPAPLPLLGNILDLHGEPHHALARLAQTHGPVMSLRLGVTDAVVASSAAAARDALQRHDHALAGRSVTDAGRALGNHEHSVIWLPSTSAVWKRLRAVCTNRLFSARALDASRAAREEKARELVTCLGRRGRGARRVSCVLNVVSNALFSEDVADMSSDRAQELEMLVRDTVEEACKPNLSDLFPVLAKLDLQGRRRRSAELIGRFYDFFDGIIARRLSAGGGGGSGGKEDFLDVLLQLHSVDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRADLRDALGSKPHPDESDTGRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFPVPAGTKVIINLWAIMRDPAVWPEPEAFVPERFVGASDADFRGKDMLEFMPFGAGRRACPGTPMATRVVMLLLASMLHAFEWRLPQGMQPGDVDVRDRFGTSLNMVTPLKAVTVPVRR >Dexi1A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:1A:27549229:27550101:-1 gene:Dexi1A01G0020730 transcript:Dexi1A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYQKFILELDEYPIRGKRYKCRDCTELVGFDLCGECYNSSLKLPGRFNQQHTPDHRMELEERLREEAMMVEPGAPVGALVQIILGNQGIVANAEGPVEAAIEEPVGVPGDMLHIVIDDEEIEDNDEEDQ >Dexi9A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:9A:8036450:8037757:-1 gene:Dexi9A01G0012600 transcript:Dexi9A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEDLVPHPIKEQYEGVDYCITSPPPWITTVFVAFQHYLVMLGTTVLIATIIVPLMGGGHYEKAIVIQTILFLAGINTLLQVHFGTRLPAVMGGSYTYIYACVAIVLSPRYALVIDPLERFVFTMRSLQGALIIAGVFQAVIGFFGIWRVFIRFLTPLAAAPFVMLSGLGLFFFAFPGGSFVFGRCAVLVTVVVVWVYAEILTAAGAYNERGPITQFSCRTDRAGIIQGSPW >Dexi3A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:3A:1487280:1489182:-1 gene:Dexi3A01G0002240 transcript:Dexi3A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRRLRTSAALRRGANDGGVLAAIRSELSHELASSAPSIPAPFSSQDAPDFVTVSDAPQAQDVLLRRRDDSEEVLVSALLAPLQFVDQAPLPRDALMKVFISKSGVVPVLHFDCRTFWVGEAGGEADCAIDAVRYHPIPGEAGDVRYQGPEIRYFEPKRRFESWAASCIARISSGKRRQLETGKLNSPTLASEGALPIFELAEDYGRDVCQRSLIMSSTNHLARIFLA >Dexi9A01G0046160.1:cds pep primary_assembly:Fonio_CM05836:9A:49542922:49545050:1 gene:Dexi9A01G0046160 transcript:Dexi9A01G0046160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSASTDQRDLAAAAGDMSFHHYTTSNPYSDSPTTGGLMPFPATIVSEGHVVHHGGDGRDDFVNARDGATSDAAEMGLQTQLLMASSSVAAQHHQGLSLSLGTQGVPVSLYQYRQAAGMAAASSMLSPSQTTAASRNAQSIYVQNSKYLRAARELLDEVVNVRDAIKQRKGDKTNQSNNKDSGEGSKDAADKSEEKADEHEGNSSAELTPSERQDLQNKVSALMALLDQVDRKYRHYRHQMQMVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGGQVQSLRRSLGEKDTSPQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENKQGKDEAAISSEDHDEFQSPSSAAAKQHGGAAAAGHHLVSAFKSEAIGAMDAGVGLEAGAIGSYTTSLNLGAVGNGGSSLLQDAFAHHHHGDARFAAAAYGDMAGLGGYEGGSVSLTLGLQHCNDGGGGAVPGEQQGLLYGNAGDFEFISSSEDRQRFGSSQLLHDFVA >Dexi5A01G0028280.1:cds pep primary_assembly:Fonio_CM05836:5A:31587694:31590096:-1 gene:Dexi5A01G0028280 transcript:Dexi5A01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASAEEDQAAASASAPPAAPAMGGEEAAARAAQKRYEALLAVRAKAVKGKGAWYWAHLEPVLLPPADTGMPPKAVKLRCALCSAVFSASNPSRTASEHLKRGTCPNFAAPPPGPAAASVSQQSPTPTPHQQLALPSNAAASSPIPISYIAPSSPRHQQHHSNPHHHQHHHHHSSSRKRHSMPPAYTPAAPRQHLVVVDPSSAYSPALPALPAPPAPHRVLSGGKEDLGALAMLEDSVKRLKSPKAPPAAMIPKPQADAALSLLADWFLESSAGVSFSAVSHPKLRDFLRHVGLPDLQRTDLAGPRLDARFAEARADAAARVRDAVFFQLAADGWRDQVVTLAVNLPNGTSVFHRAVPVPGVAPSDYAEELMLDAVASVSNSSDQLHRCAGIVSDRFKSKALRDIERNHHWMVNLSCQIQGFNRLVRDFARELPLFRTAAAKSAKLAAYFNAKQPVRSLLHKHQIQELGHASLLRIAHVPFNGNGSDFRAAFEMLEDILNSAHPLHRAVQEDSYKLVCIDDSVAREMGEMVHNEAFWIEVDAVHSLVKLIMDMVKEMEADRPLVGQCLPLWEELRRKVRDWCEQFNIDQGVALNVVEKRFRKNYHPAWSAAFILDPLYLVKDASGRYLPPFKCLTPDQEKDVDMLITRMVSQEEAHLALMELMKWRSDGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATSRSFKCTPSMVRWLSSPGSLASGTNRAHRLVFVAANSKLERRDFSSDEDKDAELLAQGADDVANEPGNVEPSSV >Dexi4A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:4A:8180531:8184606:-1 gene:Dexi4A01G0010260 transcript:Dexi4A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMEKAKLVAGVLVLEALIAGFHVVSRVALDMGVSKMAFLVYRNASALAVVAPFAYFLEKKDRPPVTLHLMAEFFILTVFGIYLDRRMPPYIGQLPWVVCMDGSTGPSSEEVPSKIIIVCYNFLAMGLIQLLVVAPFFDNDIVRWKVHSGGELLCILYAGIVATGLAWSLKMWCINKGGPLFVAVFQPLQTVMVAIMAAVFLGDQLYSGG >Dexi1A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:1A:23540445:23540786:1 gene:Dexi1A01G0016370 transcript:Dexi1A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPRQRGGEGRVVARGRSPGGVDVVVVAVAEVDGGDGDEDEHRDDGGEERHHGRADLLVMPAVVLPAGKRWGLRGGCCGERACEERAAAAVAGRGGGHILPLRGAGRLG >DexiUA01G0013580.1:cds pep primary_assembly:Fonio_CM05836:UA:28543040:28543337:1 gene:DexiUA01G0013580 transcript:DexiUA01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSETFNPADFAWRGLTLTPAAAAHIHELVAKKPEILGVRLGVKQTGCAGFGYVLDTVTEPEKDDLVFETDGAKLYVALQAMPFIDGTEVDYV >Dexi6A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:6A:7597917:7602904:-1 gene:Dexi6A01G0007690 transcript:Dexi6A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPWDSPPPAASHVSVGGFDPRLSARDLAEYLESVAGRVWRCRVKSSSPPAGTFPDFHRRLPSLLLLPAAADASIRASSAPRRRRRAPYDDDDEFRPAPPPPPGTYPPFQRRPISAPDASRGARRRRAPPNGDYNDDDEYAFRAAPPPRGPVVPPHAFVIFATPEAARRASAAASDLIVRGPSSSARAAATRSVGAARPFQFEDARVEVGNLFAPDALAVAWRAPDDGSKDGLDFVVDPLTNTCRLVFTLDAVAFVAPGSREASTTLRCDVKLEFSFLDIDEVVVLFRDESLLLKLSAAPLLYYRTAADDVHQTVPFDLFDDDGDHDPWVRTTDFTPSEAIARCWAYRVTFRAWLWPKMKEALAHMKRQGVRVVLCDIGLDVRDEPGFGQPREDDLLLFVQAEGIRFDVLFLVNVLVHKGILNEHQLTSEFFGLLKKEEDGVNVVALTELLGQKPQLFYLCQRLKNAQSRAAKNNKVLHLNRNRKIVGDHSVEVRSLVITPTRAYCLPPEVQLSNRVIRHYHRVADRFLRVTFMDEGMQPLNIHAFNLYPAPIVKDMMSNLLQQKTTIHRRVQTILTKGFSMCGRKYSFLAFSSNQLRNRSAWFFAEDGTTTAASIREWMGQFPSHNVAKHAARMGQCFTSSYATVVMQSDEVNESLEDVEHNGYNFSDGIGKITPYLAMEVAKRLPLINNYTPSAYQIRYAGFKGVLAVWPGPIDGVQISLRPSMRKFESTHSVLEVVSWTRFQPAFLNRQIITLLTCLGVPDDIFWQMQETMLHNLDRILSDRHAAYQVVTNCCSGHGAIPGMMLSAGFSPATEPHLKAMLLAIRSSQLQGLLEKTKIFVPKGRWLMGCLDELGILEQGQCFIRASVPSLNKSAEVIVGTIVMAKNPCLHPGDVHILEAVDVPELHHLVDCLVFPKKGERPHPNEASGSDLDGDVYFVTWDRNLVPPMKLSFPPMDYSPAEVKLLPRRVLQHDIVDFFLKNMINEILGQICNAHVVHADSSNSGAMDAKCIQLAELAATAVDFPKTGKMVAMPPSLRPQQYPDFMGKEDDISYKSEKIIGRLYRSIQRYKLGISLEDFTSNDVPYDASLEVPGASHFIADAWQCKCSYESKLNGLLNQYSVHTEAELVTGEIWSLTERNKRKNNEIKERIKHAYSKLHQEFRNIFENLGADRCQTSEDKKNLVYEMKASAWYQVTYHPEWIQRSRKMIELDGKEMPARLSFAWIAVDYLTRIKMRCQEVNAISKDRCRLLAMYKDELSRRSKFHFNGPMPRFSATI >Dexi5B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:5B:1315304:1317230:-1 gene:Dexi5B01G0002050 transcript:Dexi5B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPASPSGKPYSRKSHASAKAPSVPSFDAHSNGPLLPTVTFSLPSTPATRRELRRRLSAELAQVRAASKRLNTLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGGGAPHPQQHLSAEARRKLYAPVFKTCAALLSRLMKHKHSWVFNKPVDASALGLHDYHTIITKPMDLGTVKSKLAAGQYKSPREFAGDVRLTFQNAMTYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSRVLERSDSTVHAAGMEATPKPHTGRPPSVTKNKRKAEVSAVRPDEADAEAEAEPEAEAEAEPEPEPDQELEKIEHIPEPEAVDIDPPKENAAVLEALAVTRAHPLVIRTQIVHQQMALMRHSHPERSICRPGIFYLMQQSVAFNVQ >Dexi3A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:3A:16255599:16256321:1 gene:Dexi3A01G0020450 transcript:Dexi3A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAVRTTGRAPKKQLQFDGSPSQRAAQAGSFTRPPAPTQCPSGRDECSRTTLPSAIGGLLGLLFTLGCGIVDAGASGRKQKKRRWRPGTVALREIRKLQKSIKLAIPFAPFIRLVREISTDYSTEVTRWTPEALLAIQEAQ >Dexi6A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:6A:7643350:7645481:-1 gene:Dexi6A01G0007710 transcript:Dexi6A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETPEPAAPATFLRSEEEASPESVRARFERMIRRVQAEVCAELEVVEGGAGGGGGAALFREDAWTRPGGGGGISRVFQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVYDRGTTFGLKTGGRIESILVSLPLTARWEYDNKPEVGSEEWKLLDTCINPKEWI >Dexi5B01G0040020.1:cds pep primary_assembly:Fonio_CM05836:5B:38661452:38661732:-1 gene:Dexi5B01G0040020 transcript:Dexi5B01G0040020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLSKLVKEKRFWVASFLVAWAAALQGHMMWMQRQDAFKHKFSLDQEDADAAAPSSDSSSS >Dexi9A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:9A:13292581:13295963:1 gene:Dexi9A01G0018260 transcript:Dexi9A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAARRRELASPLGSLGASLQSTCAANICSKWGSFARPFSAKAAGNEVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFTPKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQVGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVTDFKKTEGIDLSKDRLALQRLREAAEKAKVELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVHNLIERTRDPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRQEMASDDIEKIKAKLEAANKAVSKIGQHMSGGGSGGSQSGSGPQSGGDQAPEAEYEEVKK >Dexi4B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:4B:13842012:13842296:1 gene:Dexi4B01G0013790 transcript:Dexi4B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGGRRDTGKRFLYMGVVAGEEAGEQERAGGAERGPRPGGAPGARWWRGCGVRTRRSEEGVERVRRWQGRRGGRGDGGAAEVEWMGRRSSADG >Dexi4A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:4A:1050269:1057514:1 gene:Dexi4A01G0001630 transcript:Dexi4A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAMPRGACNGAPQLVGRPWPPPSSIYDLSSLLRSSLLSVRMRTRAVAAPRWPEHWVMRAKSRRRPRATAAAAAGFATMSSELSLPLRFRQGLPFPSGAGVNGVPLLNEIFPPNFLPYLASNPFKLPSIFSSDEMVVVAAPGPNSTAPPVTESHGDGTHLDLVEHFRESEGAVMFAEIFASSQLANSQAENQMIKTKKKSTDRRTGFFVGNTVTMQAAAKMGMDAGLLAMLEAKTTLVLILRRFAFEVAPEYAISDPELPLPSPPLALHAAAGPSPLATGVPVRAPLSSRHVLLLLLFLLVGFRL >Dexi4B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:4B:15103728:15109686:1 gene:Dexi4B01G0014320 transcript:Dexi4B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARDGAEAFPDEGECAFTLDEYIEGIEDAELEADMVLGGDDGKECTYAGGYLKRQAVFSCLTCVPDGVAGVCTACSLNCHDGHEVVELWTKRRFRCDCGNSKFGGHLCKLCPEKDFENPENCYNHNFKGSYCTCGRPYPDPEAKEQVEMVQCCICEDWFHEDHIGLNSVGEVPRDEEGEPLYEEFICHKCSPVCYFLKLYPDTIWASGKQYLASQTDASDSTVMEGGPSDHPTIEKHENVALADDMGGEKMAMENGSTKDIAVPEKDNLGSNSGNNCKLGADVNTMPAVTEKNMPFFMSKGWRDTLCRCETCTNFYVQRGIAYLVDKDDSIEEYEKIAKQKREKKLEQREGAETNFLNSLNHVQKIEILSGINDMKTEFQSFLVTISCFDLVNMFQH >Dexi2B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:2B:12125976:12126485:-1 gene:Dexi2B01G0010690 transcript:Dexi2B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGTLWGERRGLLDRRRQRPPLWHPRQRWRRIHERRRRTGPHGVQIGVGRGGIGTGGGAGGGGCPCSGEMEASPGLERLRLLRACAGGSDGSSSGGGSCGEAGARIQASRVAGGGVAGGAVHLGLHGGCGRPHGLLRASGGGSSRGEVGGRPHGLLHACSGGGGSRG >Dexi2B01G0029640.1:cds pep primary_assembly:Fonio_CM05836:2B:38013411:38019262:-1 gene:Dexi2B01G0029640 transcript:Dexi2B01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRDSRRGGVSVAGGPPPRRRLRSNGGGSVSGGGGPRDSPRSERRRGERLMLNGGGSGAGRDDADDTSDDSLGDDDDDAEEELAPRYQPPSQRRSPSTAPPPSPPQPAGGHHHSSSSSGGGGYHNHHHHGQQPQMQRKGGGSNPKSPIVAKAVDEMIGVPVPRKARSASTKRSSHEWPVPGGGTSGGSAGAVDASQIQRPSSRPISPASASTTAPARKKLKPLAGGGSGGGSAPKQRPSPSPAPSTTPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPKQESNHKMEPREAPEAKSGNSSPAPSSSGVRPSDSASLSTIAPKRKRPRLVKYDEDSRPASPAKPESAEPSSRLEAPSAARSEGKTSTTAAAESGTSAIPAAAQLETSREPEKLEDHGRSRDPELRASESDRRDHRPESRTEPPAAPSGKPDGEATPVGSEARNGEATATTKIELASDGARQEKFCIDLMAPPPGKLSPDRDGSSDPDADKKGLDSEMDMLGRGNSEKKDGERTRRGLDIDLEDKKVQRIPADEFAPKKLTLQLDLEKPSLGDEKSPSERRQPQPPQLQQQKPSKSEVKHEKSAMPAATPPMPIPVGGWLGSFPPFGYLGPVPGLSAAGLHHPMDVKPGSSSGLQHAALLPPPTRSKRCATHCYIAQFIQHQQRVAKMNSFWPPAAAAAAAAAANRPGPFFGARPFNMGVVPPTDAASLLVNPMQGSYPVRAHTPMQEAKAPSMATSPFQGSHSKDKAVGNASSAESSQRKQPPAHETQQSAPMPNMLQGPAFIFPFNQQHAAAVAAANAANRAGDGKSSGASNVMPPSASPHSSAANPGAAAMNLSFANLQPDAQQFLAILQNGAYPFQVAGGPPSYRGMAPGPGVPFFNGHVYPSHMLHPSQQQGAQQQSHQKTPMPSLSSSSQKHQPQQSQGLLGYAPNANAAAAAASNSQSYSSSNQRPVLLPGLTHRQEGDKTGQDGPSSDDKSHPQKGVYEHNFAVPVHLPNFAMMPAPQTAGGQSEKKLSEHHHQQQPQVSRGQGVRIDLASSQPFVMPFGSIGPPGSTPTGLDFSALAQNHAVFQSHQEAARHGYPQLNFAAAQSVQATQNKPQHQLTGETKSVAGDSSSTPSAGDSERKKSTSTKYPGDSQQHSLSFTRTESKSYVPPFLGGSTNESSSRTLSLIGADSPNTFGMGSKSTSSSTHGSTPAAASSTISQQQQHFVQMHPKHHQLLQQQHHLNRPRSAAPSTQNNAGGYSDRLNLVGLQNMMYPASAAQGGVQSPQLKASSGRGPPSSAATTPPAAPSSNLIVMKNSGLHQQQAKVPMQALSTPGHQSQSSLSMSSSKMGPSLTNLSTGAGDLSRSSNAPVASGSPSNSVSKSTGGSPPATGSAKGVQQPVQLQSPQHSSKNPASTSSSKSTPANHFSMAMPSILGQQPNVSPGSNAGSKQQSHMPPSSMKQQPFPQGHFFISNAYAPQAPGASGPAALGLYQKRPGDKSQQQASHQQNAISGLFPMLSLSSDSMISPLITPSDSRNTFAAAAGNNMKALHQPGGFMHLAAAAQSAGGVPHSHMSAAQLTFGAMPMPVQPSSDQKPAAGT >Dexi7B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:7B:15970581:15971704:1 gene:Dexi7B01G0008160 transcript:Dexi7B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSLFMEWAMMETLQQEHLAPASGNDDGCQHHHDRELELRSAAASRRHALGRRRRTRPAGDGVRIAAGEKSRRLKSVGSMYAQEHIIAERKRREKINQRFVELSTVIPGLKKMDKATILSDATRYVKELQEKLKELENGSNNDRSIESWVLVKKTCVTVPDEGSSPPSWTSSGTAATSRKPLPEIEVRFLEEKSVVVRIHCEDGKGVAVRVLTEVDELHLNIIHANVMPFLASTLIITITAKASCHFAFI >Dexi5B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:5B:2562846:2564873:-1 gene:Dexi5B01G0003710 transcript:Dexi5B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVERPAPVKEEKRADAKPDMAALGSASALPIVFESFASAQSDAGIKQEERKLEAAKAEMGEVREENERLKTMLSRIINQYQSLHTHFLDVVKVREQAAKANKLPAAPAVGPAADVMDDADELVSLSLGTRSNGTAVRRKGHERSSSSSAGTADEEGQLSLGLGITRSTGDDDKASGASVAAAPGGVLNLSSDDSSSADDAAAAKPAAHDAAAACPAGTSRKSPSRGSGEGADDEVQQQAKKARVSVRVKCDTPTMPDGCQWRKYGQKISKGNPCPRAYYRCTVAPHCPVRKQVQRCAEDTSILITTYEGQHNHSLPPAATAMASTTSAAVAMLTSGSTTSSSTPAASLAHHHHLPLVAAGLLGPTTMVSTATSCPTITLDLTAPPAPQSLMHSASPYAAMAAGYESKAVPAAWSSGYLAYGGAHPSSSYYGKTSPALGHLFGGGGMGVSSSRPEQMYGAAQSYLQRTSSLGGGGHGAVGPAAAVTDTLAKAITSDPSFQSALAAAITSVMGRGGAAAAQK >Dexi7B01G0024030.1:cds pep primary_assembly:Fonio_CM05836:7B:28446015:28447608:-1 gene:Dexi7B01G0024030 transcript:Dexi7B01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTALVQILLPMLLWVVSAINGTDGGGIGVNYGTRGTTLPPPGDVARFLARETLVDRVRLLDADPAVLQAFAGTGLAVDVTVPNAVVPRLVNMSFARRWVRENVAPYARATNISRVLVGNEVTTEANRTLLLAIVPAMRNLHTALVAMSLHGRIKVSTTHSLGVLTTTEHPSSGRFRDGYDTAILRPLLHFLRATGAPFMVNAYPFYGLTNDTLDFALFRVSDAGVVDKGSGLVYTNMLDAQLDAVHSAIRRMGFGDVDIAVSETGWPSAGEDWEVGVGADHAREYNTNAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPVSERNFGLFHGDMTPVYDAGIFADPAAIVEQPVSAKATPAPAGQLGARQWCVATPGADEMMLQENIDFACGQEGIDCAAIRPGGVCYEPDTVQGHAAYAMNLYFQSNGRHTIDCDFGQTGLVTTADPSYEGCRFV >Dexi5B01G0027360.1:cds pep primary_assembly:Fonio_CM05836:5B:28944642:28944860:1 gene:Dexi5B01G0027360 transcript:Dexi5B01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLGRGEARRGEGSSASSSRRCPCSLSTSLSGELLQRRQAGKQISRCTAQLLCGEGSGLLAVAGSRR >Dexi3A01G0022750.1:cds pep primary_assembly:Fonio_CM05836:3A:18369484:18369942:-1 gene:Dexi3A01G0022750 transcript:Dexi3A01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKESASSVELSHASRKTIPVAGSETGLPGYSESPMTVVPSPSVTTPANSRSTVPDATVSVQGVPLWRNPSSGPSFPAEVDTNTPRSMAANEPMAMGSWYSGRPSMPREREMTSTPSAMAWSMAASISDGYVPSSHRAL >Dexi7B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:7B:18697019:18697591:-1 gene:Dexi7B01G0011690 transcript:Dexi7B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRRRRPTRRSFPAPALALGLGPTRGSSSENLQKPVDSPNHHSVRAPRRRQREPALGPEPQPELSPRRDAEPEPEPNRGGASLGHWLAAAWYAPARLALERRGGHRGGTDSERWLAGWQPWRALERRGGHHGGTDSERWPAGGWWHGREPALGRSCRHGWARLERRPDGWQRPAPETEMESCARLGGAD >Dexi6B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:6B:23252029:23254468:1 gene:Dexi6B01G0015940 transcript:Dexi6B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPRAGADGDSRGEASGGSESGGLVERLPEALLVEVLGRLEVDDACSAAASCRALHGAASAAISAITTIDLSAFAPSNAILSRILAGNVSVRSVTVNCSLLDDSAASVIAKGSLRELSLLKCSFTMGFFVAIGERCGNLRRVNDFGILMLADGCKQLRTIRFGGFSKVSDAGYAALLHSGKDLKKFELPKLTLLDLAGADITDAGLSALGNGRAVTVISKNCEQISSLCLRNCLLITDSSLEVLGSMGRDSGKCSLKMLDLAYCSKLSRNFLRLFEPPLFRGLRWLGVGKNVVQRRGCSPTVAELLERKPGLIICGNACDMGCRNKCHPDIRFVQ >Dexi9B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:9B:4887387:4889962:1 gene:Dexi9B01G0007920 transcript:Dexi9B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLAEGAMALAVAPEANASSGGAAGSLAGPLWWVSECHGVWYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQEEDMLLENVYYSEMKDAGFFDADWD >Dexi2B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:2B:23914880:23915209:-1 gene:Dexi2B01G0014330 transcript:Dexi2B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEWLPDGSVKTIMGPRTLTRVFPGRRGRRMWFNTVVGMHGKEVSSAMAADGTEIPEEFVQRIGEIIEEESIQFRGDILILDNLATLHARRPSLPPRRILVATCK >Dexi2B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:2B:12066303:12070728:-1 gene:Dexi2B01G0010640 transcript:Dexi2B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLRRRPCPAPRVACVAAPGHAAPASIVALGLRRRLAWPRGRECAVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Dexi1A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:1A:14960403:14964834:1 gene:Dexi1A01G0012940 transcript:Dexi1A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGPGDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSTTVAEFDARLKEKGADFPDYFVRTLLTIIHAILPPSSKSSSAAAAAGPAGAEASKFPGLARPDDPDHARNLRLELERDADVAAPAPARDDRDRRRDGRGRDRDYDRGGRDQGRDRGGRDQDRDRGGRDRDRGRDHEYGRDRGRERDGDWHRDRDRGRDRDMERDRDRDRGRSRRYEDDEEEEDRGVGGRGRVVAVSNPSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHVSQMASRRVANAKEVVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGKDLLPMQRGADDAPRANPSGGSGSGSAMGSGKRLGLSGIVITEDDEAAPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMMYQEEGAEEELEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Dexi2A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:2A:11583022:11594841:-1 gene:Dexi2A01G0010420 transcript:Dexi2A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSKPIDVPLLDATVAAFYGTGSSDERHAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLENVIKYKWNVLPVEQRDGIKNYISDVIVQLSGNEVSFRQERLYVNKLNVILVQVLKREWPARWTTFIPDLISAATSSETLCENCMVILKAKTNMQLLSEEIFDFSRGEMTQQKIKELKGSLNSEFRLIHELCLSVLSGSQKPELVRATLATLHAFLSWIPIGFIFESPLLETLLKFFPIAGYRNLTLQCLTEVAALHFGDFYNAQYVQMYTVFILQLQAIVPSGTISDGYGNGSNDEQNHMRILESTPENRAALLLGLDYLIEISFVDDTEMFKVCLDYWNLFVLELFETYNQVERLLGLQAQRIPGSDDGTLAAVQQRRQLYAGPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEQQMLNKLSEQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVLVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIIQKCKRKFVTLQIMNTLKHKSQHNIVYAGLLVAWVGESEPFVSVLLSNLPTTIADLEPHQIHTFYESVGQMIQAESDNTKREEYLKRLMSLPNQKWAEIIGEAGRSTDILKNQDVIRSVLNILQPIIYVLACYTRMYSELVSNTIYEGGPFASKTSFVKLLRSVKRETLKLIETFLDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEEVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQAFIQLSSQQLKLVMDSISWAFRHTERNIAETGLSLLLEILKNFEASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVSVLQHLFYVVDGLTEPLWDATMVPSQYANNAMFVRDHTIKLLSSSFPNMTPVEVAKFVDGLFSSKTDLPNFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQREKERQRMLSIPGLVAPNELQDEMVDS >Dexi2B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:2B:6613440:6618838:1 gene:Dexi2B01G0006690 transcript:Dexi2B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLALVAAAVAAFAILALVVFALRRWWRRRQRQRQRRPLQAAAAVSTPVAVQDEDIDRPLLSENREDRSSQRETHVINVESDTSEEFQLGSTLKRMTPTNWSTSDQKHRRRVSGEDNHNGSISLKDNTYESSLDLEVIAGPSHGISCSRQSSRPSMLPITLGRVPPSDIVLKDSEVSGKHARINWNAETMKWELVDMGSLNGTFLNSQAVHHPDVGSRHWGEPAELAHGDIITLGTSSKLSVQISLQNQRVPAGVGMASDPMVARRSGKKLPMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAAKTVSKILPENVAKILSHPGTRERVLACSDASDVLRYAFTLTEAAIDHQYEGCTATALLVWFDQNKDCFAQCANLGDSACVMSVNGKTIDMTEDHRVASTTERARIARTGQPLKDGEVRLSGLNLARMFGDKFLKEQDSRFSSEPFVSQAVRITRACTAFGVIASDGLWDVISTKKAVQLVAEGKERNTSDSTSADKLANRVLSEARNLRTKDNTSVVFVDFDILRTDPCIAK >Dexi2A01G0033880.1:cds pep primary_assembly:Fonio_CM05836:2A:44054013:44059425:-1 gene:Dexi2A01G0033880 transcript:Dexi2A01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGSAAAGGAGGMACNGAGAASNGGGMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSTSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDMTAIKSDDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >Dexi9B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:9B:14707973:14708480:-1 gene:Dexi9B01G0019970 transcript:Dexi9B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTFLIFISSYILPDANKTGVAHEAMNNIRRASKTMTEQEARQILGVSENSTWEEIVQRYDNLFERNAKAGSFYLQSKVHRAKECLETVYQKNKQDEPPT >Dexi3B01G0034480.1:cds pep primary_assembly:Fonio_CM05836:3B:37228881:37234856:1 gene:Dexi3B01G0034480 transcript:Dexi3B01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSMDYCAIQSSGVAGVSLLRRTMASSVVPPAATSSETKRRRRPAGESFIRQHLRSLVPYQPILPFETSSMELKHIHIPAQVATALGNLKFPNVYPDPESRHLRAALAQACQLESEYILVGSGANELIDLVMRCVLEPGDEIVVCPPAFTMYEFDASVNGALVIKVSYYSQFIHSFTIINDEDLVKILDLPILVVLDEAYIEFSNLQSRMSWVKIHDNLIVLRTFSKLAGLAGLRVGYEAFPLSIIEYVWRAKQPYNISVAAEVSACATLQNPAYLEVPILQSKKKLLYNLLQGMPFLKPFPSHSNYILCEVTSGKDAKKIKGDLAKIGLMIRHCDTKEMKGYIRSSAGKPEHTDALIEGLKAVLQS >DexiUA01G0017790.1:cds pep primary_assembly:Fonio_CM05836:UA:37775868:37776176:1 gene:DexiUA01G0017790 transcript:DexiUA01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASHVGGDSMHASLSCMEEEGTMIFRALFSDFALLLSLFYPLAEAIIGYYSRPTSQVAVTESGGRGAAIPSSRPLAAASPPPSDASPAVGGASGSSLPVSI >Dexi6A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:6A:10900595:10904557:1 gene:Dexi6A01G0009440 transcript:Dexi6A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARTALARPHLPLPSSTRRSSRLSLTPFSAPGLRVGGAATSISAAAAPLQQQQATTPRLGDVIEAQQFDRDALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKDYLNSQGVAWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLGVLPKHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGH >Dexi5B01G0022700.1:cds pep primary_assembly:Fonio_CM05836:5B:24964723:24968364:1 gene:Dexi5B01G0022700 transcript:Dexi5B01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAEEKQSPQLRNMSKMQDSVTKPSLGKEPIPGSELWTDGLICAFELVKGHKKPFHHKSWPAIEQMQEQGSVMYTRKHSRRNGHHIIAPKVDESIMLENPHQTEFGHDPSALKDRPVYAGEILDHKWVPIGWSRIAELVQRVHSDASWESDSMEISDSEDDYTVADLAAPYWQRPVGPTWWCHVTAGHPSIDAWLNSAHWMHPAIRTALRDESKLISDRMKYLLYEELLLAGGSQTPRSVHEVIAHLVGRLSRWDDRLFRKYVFGEADEIELKFVSRRNREDLNLFSIILNQEIRRLATQVIRVKWSLHAREEILHELLRHLRGSATRAILESIKKCTRDMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGIVLVSVGMLYLGLQNPVNSEKVKVRKLELQQLVSMFQHEAEQHGKVREGLSRHGLSPSSSGALDEGYVLIS >Dexi9B01G0044630.1:cds pep primary_assembly:Fonio_CM05836:9B:44345031:44345513:1 gene:Dexi9B01G0044630 transcript:Dexi9B01G0044630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATFCPTMSQSASRWPASASLRSSFCFRRTVSAARISTFSGRPPPSGGAPFFPFAASLGRSSLMAATALTPRRCLLPCCRGAPSWNGARQSALVPRRPGAGLAARRRAAVNSLHGAAAMVCAQVGELVR >Dexi7A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:7A:21915467:21916287:-1 gene:Dexi7A01G0011420 transcript:Dexi7A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEQPGWSNLPSDLLRLIAQRSRDAVTGLAAFRSVCRTWRAAVGPAPRLLLPRAGSASDPTLVFPLARGWSIVVDARDASCHLSHLATGATAALPKLTNAVRDIYGHRPDAVRSWAFHRTYAAFTYSLRFAIHVPPGDSDPLAAAAGMTVMMYHLMHGRTGMLFCRPGDAAWTKVKKPSPLQHGCLRYGFFDFVYHDGKMFGMGGTNGQMAVYDAATLDVLHVVGAPPDTNNLG >Dexi4A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:4A:11438350:11439517:1 gene:Dexi4A01G0012710 transcript:Dexi4A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFFPSRNSAIPIPRELSAADDAGHPATRVVDEHAATAREVDPRDGGGPRDRSTRCTAAARATAPHATPHRRGPPLLHTPPLGRGVGGSVSPSLAKPREDGERERRQGM >Dexi6B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:6B:13296204:13297304:1 gene:Dexi6B01G0009180 transcript:Dexi6B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYHPAKVITVAYQPFVLGTTAIFTYYEAKINTRLRILAGYMLFFFNSFAVIVLDVSTSGGGGIQPFVGTCIIAACFGVANGHVQGVIFFSMSCFFELLCLILYAFIFPRLPIVIFYRSKAASDGSLTVKADLAAGGIKSPLNSMSIDPAYIERLSTKQLLLQNIDYVMDLFLTYVVTISIVPGFLAEDTGSHSMGSWYYN >Dexi6A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:6A:25326007:25327546:-1 gene:Dexi6A01G0017480 transcript:Dexi6A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKARLLSGNVTGLVIPVVLDWTVGQMSCTVADTRPEFGCISKNSECLESTSSAYGYVCQCKDGYNGNPYVTDGCHGSGTGIRLAAGVNLVAHFIMLFNQDKLGEILDGHVSEEGEDDAKQVAAIAAKCLRLKGENRPTMRNVEMRLQRLQGSDIDLSGVDEQLAELDGLAFGGETANAGYNYSRQYSIEEEFLLSASFER >Dexi4A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:4A:24961518:24967884:1 gene:Dexi4A01G0021410 transcript:Dexi4A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGGVLGFCIGLHIGLAAAYLVYLRYFAARRLHQDPVIRPLRDLDSETLQTTIPDIPLWVKSPDYERIDWMNKFIFVMWPFLDKAICNNIKLAVRPIFDQYVGQHGIESIEFGSGATKKPVGILHVKVVRALNLLKMDLLGKSDPYVKMRLSGERLPSKKTSVKMSNLNPEWNEHFRFIVKDPNTQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDLKNRKNRGKLIVELTFDPFREDSSRSSSVALDGEGNTSVRREGDGESSGGVLLVSVENAEDVEGKQHTNPYAEVLFRGERKKTKESLGHVNINLGDVVNNGRINEKYHLINSRKGMIQVEIKWSTV >Dexi1B01G0030600.1:cds pep primary_assembly:Fonio_CM05836:1B:34520482:34524112:-1 gene:Dexi1B01G0030600 transcript:Dexi1B01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADGGSTTTLCSHGQLELKRMSMCEKDQNLPWGYDLFRDPFAPPAGYYGPPPGYCDGNCCDLHYGRGTADEHEHETVYVEPSSSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIISQLKANRDAYDGYVPMAYDEYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVLLLSFWAEVHYNSIYPQNGE >Dexi3B01G0025120.1:cds pep primary_assembly:Fonio_CM05836:3B:19923097:19926015:1 gene:Dexi3B01G0025120 transcript:Dexi3B01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTQPSCSVMAFQTPAAVLFNENMQMTHKGKRADTPSVKPLKSSTKPGLQERKALQDVSNISNGTALNNRSSVKDRSILKEKSTVKERSTLKERPALHDVSNTLKERSIFKEKSALRSHQKTNKPVNIFADEEIKKCHEWAKDGVEGTHFTGNDSQKLDKDVQDKRVQKKVVKVMSALRGWSDVVVDPVTFPATEVAKFSVEVKELELESEILPDNTRCLSTTGKRAGSPRGKPLKPSVKPSVTRTRVRESESDTGAGVRFGLFGELRFGDSLNMYI >Dexi7A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:7A:25774055:25776445:1 gene:Dexi7A01G0015860 transcript:Dexi7A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTSDSAAAASAQADAAAICAQIAAVFSAPTPHPPARSVLVAELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPAGGGDLLVASAGPGAFSTVDAICGVARVLLLTARPDGGEFPRRQADVVAHLPAQTMADEEEEDAEAAGTERSSLRAKLPMGSLYEGAMFVLFEMVGFKKVVADRWEFANDGFRRGEKHLLGGIQRRKGTGAAGPGSVLPTGIPIISSPPTSSGGEPAVSSSPPRGAAAAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRQLVARYDHGGGSGEEDPGDDGHGGGGGGPSGHRAKPMLFGVAIGAKRPRGAENGDEEDDGGEGGAEEDGEEDEEQDDDERHAARGRGSKAARRNEMSDLDVLALSVRAAAAARPGGGSARDRKSSVS >Dexi9B01G0034820.1:cds pep primary_assembly:Fonio_CM05836:9B:36677743:36680586:1 gene:Dexi9B01G0034820 transcript:Dexi9B01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIEWRFMGQGAPAAVSESGVADGGPVWTRTWPCFAFLFSPVSDMSPSSGGAPRTRGPTRVLSFFSRFGVLAPLGSYELHFEWFGFQRESDRDQRQTERPWRSPPPGSPRRSSFSAPSSHLRPHFSSCFAMPTAARTQRQQPRGSSLRAHRLWSSSPSSWRSGDPSSTSARSSSSCTLVSVADRRVAHRVLVQGGATFADRPPLAEPGSLFTAGSRDVSSSPYGPYWRLVRRNLAAEALHPARVSLYEPARRAARDALVANLLLLRARGGAGDGSIAAVTVRPAFRRAMFELLVYMSLGARLSAEVLDEVEGLEMDILRSITSFPIFAFFPAVTKRLFRKRWEAYAAVRRRQDEIFLPLIHARRAAARRGDDPPCYADSVLALRVAEEGGRALTDAEVVSLCSEFLNAGTDTSLTLLEWIMAELVNHPDVQAKVYEEVKSKPELSDRDLQEAPYLKAVVLEGLRLHPPAHFLLPHGVQGDDAEIDGYRVPKGAEVNVLLAGFGRDGEAWKSPMEFRPERFLDGGEGCDVDITGSREIKMMPFGAGRRMCPGYTLGLLQVEFFVGSLVREMEWLPPAEVETVDMTEQLDFTTVMKHPLRARIVPRK >Dexi3A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:3A:5608024:5611187:1 gene:Dexi3A01G0008060 transcript:Dexi3A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASAQGAAAAAIAAIGRGYDVVSDVRLKYCKGKLADPDARLIDLSHDEVQDVVLPGGIKVAAVPKSITCDKGERTRFRSDVLSFQQMSEQFNRELSLTGKIPSGMFNSMFDFSGCWQKDAAATKSLAFDGWYISLYTVALSKSRILLRDHVTQAVPSTWDPAALARFIDKFGTHIVVGIKIGGKDVIYLKQQHSSSLQPALVQKRLKDMSDRRFLDANGHYDMNIRDAYGKDKNDAREQRLRFVESSPSSSYCSKEDLVMVVKRRGGREWDKDMLHSEWINTVQLEPDVISMSFLPITSLLNGVPGYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKKQSSASLPLSFMGPRLYVCTNMVDVGERPVTGLRLYLEGKKSNMLAIHLQHLCSLPQILQLQDDPYNHRTPELYDNKYFEPYGSWKRFSHVYTEPVESDDDSSIVTGAQLHVSSHGLRKILFLRLRFSKVINAALVKNPEWEGSPNLGQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVFPGGPPAPVQAPKLLKFVDTAEMLRGPQDTPGYWVVSGAKLQLERGKLSLRVKYSLLTAMVPDDEYPLDEHS >Dexi5B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:5B:9897258:9897800:1 gene:Dexi5B01G0013710 transcript:Dexi5B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQVIAEEIMQLNQKGIVLQKENVELKKEVSIAYQYKIELQNKLSAEGTSGGDNGDRGSGSSEKARGRSKENDASEHISLALSIEGHADE >Dexi2B01G0033570.1:cds pep primary_assembly:Fonio_CM05836:2B:41110356:41110532:1 gene:Dexi2B01G0033570 transcript:Dexi2B01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVQCRHASFLQLVAAVASPHHRQTFDASWAHGTWQQPSVASFTDD >Dexi1B01G0027270.1:cds pep primary_assembly:Fonio_CM05836:1B:32031213:32032264:-1 gene:Dexi1B01G0027270 transcript:Dexi1B01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQRSVQELAASLSARPPEFRRAAAPDAPPVIDLSAPGCGERVAEAAREWGLFQVVNHGVPSSVIAELQRVGRAFFALPREDKERYTMDRASGRIEGYGTTLQREAWHDFFFHVVAPPARVDHGVWPRSLDGYREANEAYSRHAQRLARDLFEHLSLGLGLDEGAMAEAFGGGDMVFLQKINFYPPCPQPELTLGVLPHTDMSTLTLLVPNEVPGLQVFREGHWYDVKYVPDALIVHIGDQIEASILSNGAYKAVLHRTTVSKEKTRMSWPVFVEPPGELVVGPHPRLVTDESPAKYKAKKYKEYQHGKINVIPL >Dexi9A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:9A:14726412:14726625:-1 gene:Dexi9A01G0019830 transcript:Dexi9A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALSTGWYAGGRRCRRPIRITSARTGRSVCDSRHGCRNNIVDTSKAVWDALGLDTKIGEVP >Dexi3A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:3A:12114698:12117673:1 gene:Dexi3A01G0016210 transcript:Dexi3A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLVAPPPPCVFRRCLALPSDFISPCVLRERSARASRLEHGAGVVSSASMTYSGVEEEEEEEETEPAMSTRPRLELIEKPDWSLALLDEYESEELSTSQCANHRSGYVAVLGKPNVGKSTLINQMVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVVDACKAPEKIDEMLEEGVGNKSIGVPVLLVLNKKDLIKPGEIAKKLEWYQKFTNVDDVIPISAKFGNGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYSCQVNVVSYKSRPNAKDFIQVEILVEKETQRSIILGKDGRAIKMLATAARLDIEDFLQKKVYLEIEVKVKENWRQDERLLKRYGYGGEIQAL >Dexi4A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:4A:6121464:6122768:-1 gene:Dexi4A01G0008180 transcript:Dexi4A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSDAVAVRSRSWARSQRERAMCKPRSSSDSDALASPRPAAAPSSRRSSSSSAKRRPAAAAGVSTSSPSTSSYSGAAPSGTGTGTGTASAQRRGTTSSSSTSSASSSRASLAAARASLPDPPVLYPFQELAAATNSFLAKRAGGSGSSSSAAYWRCSLRGRDAALFQLHPRPGAAAVDAAALARIGRYHHTSLARLLGACPAGAHLYLAYELPPGAATLAACLRSPRNPSFTALRTWVSRVQVAADVAQGLEYVHHHAGAVHGRVSPSAVIVSDPGLRARLTHFGAAEFAAPADARDAGESPYAAPGSSEPSREADVYAFGVLLLELLSGEEPARYRFDRGTKEFQRVSVLETAAAASAGGSVRNWVDRRLGDSFPVAAAERLVAVALRCAAAEDRPDMTWVAGKVSKVYLESRAWEQKVQVPDEFSVSVAPR >Dexi9B01G0032100.1:cds pep primary_assembly:Fonio_CM05836:9B:34386032:34392165:-1 gene:Dexi9B01G0032100 transcript:Dexi9B01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEADYPAIRKLGRLFRITEVHLWDDSFGAGASDGQKHWRSAEAAPADFHADKPHNKASKDIDEGHSFVEDLELANLMGSLGLPVSFSTSKVNKNKGNKGMTKRRHAPREAGNTQIDDAVRICTNTEDRESDVPLMAVSEHMNSCKSSGTAIGYHESCHDTDKMLREGSPYVEEQEESGCSTIYSAEKAPAYEAENQCDLGTCERSDNLGNTAKAESPIQENQTADSVLLESEEMSRHDSVHGESTRSCVSIYWEERLSAREDQISVGTMPVTHANTDVCQDACLSLTEPSSADDHVESSASNFCCEYGDWRVVWDPFYSRYYFYNIKSQESTWYPPEGLEDFASYYSRDTTKDLVELGSQCTSMAVQENNHSYQDIAGNISIDIIKYWTQRCKHVIAVDIDPQKINCAHHNATIYGVNDHIDFIVGDFTNLAPHLKGETAFMSPPWGGPDYAKVDVYDMNDMLKPCDGYSLFKLGTMIASKVEKNFLNGKLKAITAYFEEQDG >Dexi2B01G0027150.1:cds pep primary_assembly:Fonio_CM05836:2B:36123343:36123770:1 gene:Dexi2B01G0027150 transcript:Dexi2B01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFKGPDYIMWKEEGTACDKDSGNGKDGSITVRNEYPQPPIPYLELPKGIDRKKVEELISERYLSIAKSIANDIIIPNPTPEGI >Dexi9A01G0024170.1:cds pep primary_assembly:Fonio_CM05836:9A:20399333:20402603:-1 gene:Dexi9A01G0024170 transcript:Dexi9A01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIPTPVERADVGYTCKEEEWRVSEDNTGEQALMLGRDIPLLPNQWPNEGQQHELHGVREPARARVYKNKRLKLPKPHGCERVIGGVCFHGNKQPPDDPANWLRRRWRTAAEYSRAQAYSTASGAGVECD >Dexi4B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:4B:14652145:14653648:1 gene:Dexi4B01G0014120 transcript:Dexi4B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASFLSPPAPRLPCPRARLPPPSAAARASLGFDPRRAAPAKLHCTYVWHCDCCADLCAHEKLQTVAHGHGYAPAVGHHGGFRDRWEWRLRHVSCFRNDQDVPTSSDNDSCFKYVTQSESSSAAEAKEEEVGSSNGDQEQSFKDEGWFLQLQKASLICLLLLTCL >Dexi4A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:4A:15155812:15156620:1 gene:Dexi4A01G0013950 transcript:Dexi4A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSMVAPAAGGRQDYPGGLTLFVSMACLVAATGGLLFGYDIGVSGGVMSMDPFLVRFFPSVYRKQQEAAADRGGNQYCMFDSQLLTTFTSSIFLSALAASRGASGPCSAAAPFSSPAAQSAPLYLSEMAPARMRGMLNNGFNLMITVGILLATLVNYGTQKIAGGWGWRLSLALAAVLATVIVVGSFFLHDTPNSLLERGHPEEAKRMLPGADFEENLREDTPQNTSKTTKLTKLLYGEI >Dexi5B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:5B:15845853:15846359:-1 gene:Dexi5B01G0016570 transcript:Dexi5B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGQAIPSNFIPAIEKGFREACNSGSLIGHPVENIRIVLTDGASHQCYTAAKPVILEPVMKVELKFPTEFQGTVTGDMNK >Dexi5A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:5A:6831538:6833699:-1 gene:Dexi5A01G0009120 transcript:Dexi5A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALARGPVAGKGGGDRQVGRKVVFVAVGCFLFFLVCLLSSRQDVTVILDARVGSVRPQQLGESHGHMNSEQRNDDDMASTEQAVIGGNTNDVVERDAAPEEEQRKSKAAAASNSDEQAEHAAAAEDDAEQDKSLLTAATTAHPAVQTTSPPHEVPGNITFTTRADSVAQQRQPLCDFSGHRSDVCDLTGDVRMDANASAFIVVVAPADVKDARPTAYKIRPYARKGDVTSMSRVTELTVRTAAAGDEPAPPRCTATHDEPAVVFSIGGYTGNLFHDFTDVLVPLYATAQRYAGDVRLVVADARRSPRWWLAKYAALLRGLSRHPPLDLAGVAAAGEVHCFRRAVVGLRAHRELMIERERSDLDAVGMPEFTRFLRRALSLPRNAPTRLAAGDKKPRLLIVSRRGTRLLLNTDAVVRAAEEVGFEVVVSELSSMASTDGDDDVAHVGRVINSFDAMVGVHGADLTNMVFLPEGATMVQIVPWGGLQWIARLDFGDPAEAMGLRYIQYEIGVHESTLKDKYPRGHEIFTNPTALHKKGFKFLRDTFLKGQDIIVDVERFRTALLQALENLKQ >Dexi9B01G0041190.1:cds pep primary_assembly:Fonio_CM05836:9B:41634325:41640603:1 gene:Dexi9B01G0041190 transcript:Dexi9B01G0041190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLVGGDLAGPEMAQPYMKKDDDDEEVEYSPFFGIEKGAVLQEARAFHDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSSDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPAVASAALVSGIHLAQANPEIVKRWSNEVQESVQSRAPLVQFHGLALLHQIRQNDRLAVSKLVSSLTRGSVRSPLAQCLLIRYTSQVLRESSMNSQNGDRPFFDFLESSLRHKSEMVILEAARKITEMDVTSRELAPAITVLQLSLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDTNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMLNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFAALVDTLRPRIFVLLRRCLFDTEDEVRDRATLYLQTLGGEVAIGNSEKDAKDFLFGSFDVPLANLEASLRTYEPSEEPFDISLVSREIRSLPLQEKKPGKKAPAAAAAPAPVSAVDAYQKMLSSIPEFSGFGRLFKSSEPVELTEAETEYAVNVVKHIYGNYVVFQYNCTNTIEEQLLENVTVCVDASDAEEFSEVCSKPLASLPYNSTGQIFVAFEKPEHVPAIGKFLNLLKFTVKEVDTSTGEADDDGVEDEYQLEDFDIVAADYMLRVPVSNFRNAWENMDPDSERVDEYGLGVRESLAEAVSAVINILGMQPCEGTEVVPRNARSHTCLLSGVFIGDVKVLVRLSFGLSGPSEVAMKLAVRSDDPEVSDKIHEIVASG >Dexi4B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:4B:7205398:7206784:-1 gene:Dexi4B01G0009890 transcript:Dexi4B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASYQPLDPQDLKPSSKNHAHFLSNPVISWLCCGFISLALLHLLIFSPSGTQRSAFSPLLQYIDDTYNFSCNYSVGKWVRSPGYARRYNATECNVKESHDCIRNGRPDTGYLDWRWQPAGCPLPAFNARTFLRAMRGKHVAFIGDSMARNQAQSLVCLLAAAFRSRLVYRDEDAQHSYNNWRYAFPVHDVKVSYYWNPFIVKSTGKSEDESIQESHVHLDTPGDRWGADADTIDVAVLSASHWLLNGAIYHNGSEVAGAHNAPPELNYTGLGYAWPLKMAYRTAVERLSSSSRPRTVVLATFSPAHFEGRPSDSPTACTKMEPYKEGEKEVDWISKELRDIVYEEAEAAKARSVAGASATRIELLDVTKLAVMRPDGHPSVYMHRNPFEHGVPERMYSDCLHFCLPGPVDTFNEILLQILRKRR >Dexi3A01G0027570.1:cds pep primary_assembly:Fonio_CM05836:3A:26214239:26216855:1 gene:Dexi3A01G0027570 transcript:Dexi3A01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGGGNTRELDQTPTWAVASVCGVIVIISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFGQNYIIKICISNHAADTMLPCKLKNEAGEAGHGKEPSAAIPEKKKGAAAAEHLGGVITWPPPYYAPVSDLHHSRLLGEANMKTKCPDGKVSLISINALHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKEWEKEAAGQDYEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRKADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVNGWHTMLWISIMPVVIILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFARPTFILFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKRFVFARLCLGVVVQFLCSYVTLPLYALVSQMGSTMKQSIFDEQTSKALKNWRAGVKKKAPTSSKHGGQGSPSAGSPRGGSPTKADGIDLAERKNNTADDQADTGTGLQAGASQAAAKKGNEGDYEFINIDE >Dexi5A01G0034160.1:cds pep primary_assembly:Fonio_CM05836:5A:36279638:36282733:1 gene:Dexi5A01G0034160 transcript:Dexi5A01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRARAGVEARDIAGFPAAGDGGAAGTFREAVRGFLAKHARLLPLPSIFSPAAAAAPPHLLIWRVSLRVGETGEEEAGGRVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDCNQLSGHRRTCCLRCGTPMVAGESRCALCNFDMDGEEVEECAYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRFLTGRDIMSLWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAVTSGHPWYGEWGYKFGAGSFALTSDTYRNAVDMLSSIHLALYFSNRSPIRTPLQNTLALYWALSDRQLVTLRDLFRFIMHLIHQAQKMSKPSAYKCKELTSDVLCAWTKDEFDRAEATMLKVLRVVQTGQWVSWRALRGAASKAVDSQELLDYSLRELGGKQLDDGHFVAVRCNAETSAIEYRLESSCIRPPVIVAMFEPSVEHLLHDLRFLYDALLNPESMMSSQPEVVGAPAHSAAAKILDCKQFIKHYDEHALRTPSNPFLLCVRCSIELLDQPKDYTAPPEELVVLPASATLAELKVQASRAFQETYLMFQSFQVEQLPDFPNFSDATPVKHVLGSGQLVRLRGRCTGDHRRIVQFRMERGLENWTVDCSCGAKDDDGERMLACDLCGVWQHTRCSGISDFEEVPENFICRKCAIPRKGKGRGGGSSNGGGKVDVSAAGRCKDEIGTSVGGAGKFGRMATVG >Dexi9B01G0032120.1:cds pep primary_assembly:Fonio_CM05836:9B:34396372:34396704:1 gene:Dexi9B01G0032120 transcript:Dexi9B01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILEKLPCLVELWLGGYKGRTMFCCAGGFPRLQELRLWHFATEEWMMEVGAMPMLSVMRLYYFLNMKKLPEGLLHLPSFKQLCLRSVGLNAKDDVTWKILIDKGCKVGA >Dexi3A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:3A:5764417:5765220:-1 gene:Dexi3A01G0008210 transcript:Dexi3A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERDFSKWKLGFDVSLLAIFYAGFVVMGVGYYLQAWCVEMRGPVFLSAWSPFSFIFTIFCSSFFLGEIVHLGSVLWGKSKEAKVELCREVTKIADPQDEQKQNHVEIKEKQGQERGRSNARTTPDEQV >Dexi8A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:8A:11237920:11239598:-1 gene:Dexi8A01G0008980 transcript:Dexi8A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSAVEPKKKSNVKYTSTCAILASMASMILGYDMGVLSGAALYIKKDLKISDVQLEIFMGVLNLYSVLGSFAAGRTSDWIGRRYAVVLTAAIFFAGALLMAFATSYAMLMAGQFVAGIGVGYAIMIPPVYTAEISPASARGLLSSLPEVFTHVGMLLGYLSNYAFSGLPLYLGWRVMLGINALPSVLLAIMVLAMPESPRWLVLNGRLRDARVVLDKITDTPQEAAASLADIKAAAGIADDVDGDVVAVPKSSHDVGNEWQVWRELVLSPTPAMRRILLAALGIHFFQQATGIDSFVLYSPRIFMGAGVTDDHRLLGITCGLGATRTLVTLVATFLLDRVGRRPLLLCSTGGMIFSLAGLGAGLTVIGRHKDTTQIPWANGLAIASTVTHNTFYCIGLGSITWVYTSEIFPLRVRALGCAVGVAFNRAISGLVAMTFLSMSKAITIGGSFFLYAGIAVLAWVFFFTSLPETRGRTLEEMGKLFGMTDICVAAEAQGSTAKGNNAVVEMRTTTTV >DexiUA01G0004100.1:cds pep primary_assembly:Fonio_CM05836:UA:8062630:8063772:1 gene:DexiUA01G0004100 transcript:DexiUA01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPATLVAFVPDEIVCSVLARVPARSVRRFRAVSRAWRRLLTDRDFLLEHHLHQPLEPMVAFLHLNFYGDLVYSRFGVKAINFRTGEVRAVTRSDAVAGDRLSFNDGEAFKIHGSCNGLLLLHFLEAFFVYNPATGQKASLPPLQSDEVAALYCYNHGAPLEEYRVLYHRGHGSDKCYYIISLRTLDPRERIRAIGRPTFQTSALLTVALARGPSPAWASPPLQLHNCLHWPPQEIQEQHMLVFDTVTEVFSCMGAPMARKEGYTMVLLEMDGKLAMSICRRGDLIVKLFILQDYRHETWVCAYSVQLPAELNICFDHMDTKGFAFIASEDGDVLVSTQQRVLHYNRNGRRVTDFVPEGFPNYVTIHLLRESLVPHLIA >Dexi6A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:6A:26937991:26938985:-1 gene:Dexi6A01G0019300 transcript:Dexi6A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERGGSPALWGNEHLQLLARAGSKESLEYILQALWRTRRTGLDAADRAIARDALQLATDAELDPVSTTFPTYLLFLFSLLSAPLLSLVLSKSQNVQFPTLFLQPLLQLLVCLRILIRRCVSENVSKEDIPKLFPDEVPPELQKLLTLLLQKFQPEWQEDASKDQASTPHSGAAECQLNPNGDTSEQPAAPDAELQNGNASDKDSIKSGEREVKKFPLAKDSLDKMLKELFSTKDQMPIAVSILG >Dexi2B01G0030020.1:cds pep primary_assembly:Fonio_CM05836:2B:38305253:38306220:-1 gene:Dexi2B01G0030020 transcript:Dexi2B01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEKKKSSEVSKTEVVRKVTAEEFEGLQAYEKKKLDDEEVVIKVEKTQPIAKEASKKEETAEGKEEAAAKDAKPKKDARRDNSTEPRVSGPGDNGSASQAEAGGNNGNGRGDGALRSGHRDGYGGNGGGYSYGRGNGGHGRGNGGYGYGRGNGGYHGNGGYQQQQGGGRFQQERSGNGVYQQQQGGGRFQQERSGNGGYYQQNQRRQGYQQRGPAPAPVLDLSKFPALPVPASARSAAAPAAAPESVMAPGQVAAAEAQS >Dexi1A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:1A:24828247:24829729:1 gene:Dexi1A01G0017490 transcript:Dexi1A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKQEVEGVRTATQPARHCYDSNPNKQERAMAMGAGEEMGDLIPGLPDDVAMECLVRVPSHSHRRMRRVCCRWRGAVASPEFRRRRGAAGASEDVAFLVQAFPAPGDGKGSTTTAECALTAANLTTGELRRVKQGNNNNPAAEAASWWGPVPLFAQCAAAGDDGRHVAVVGGWDPDTLRPTTGVRVLDVLAGTWRRGRPMPDSRSFFGCAAGGDGNVYIAGGHDESKNALRSACAYAVDADAWRALPDMAQERDEPQLVAVPGGGGGVLAASGYSTEAQGAFKRTAERYATGEGAWADEGDVVVPDDATAETTCLASVGGKVWAVRAGKGGVREWDGAAARAWREVADGPPGMEACVKAAGVGDAVFVFRTVAGEAEGEGRKYSAWVMEASGGPWKRVPVPSGFGGFVYSAAAVRAEGEGRKYSAWVMEASGGPWKRVPVPSGFGGFVYSAAAVRV >Dexi4B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:4B:1423639:1424700:1 gene:Dexi4B01G0002280 transcript:Dexi4B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHHLILAALLIESLLAAAPPAVSAWRPWPPPPPRNGTAHLEGLGASKKFEGSSEFVKLQYHMGPVLATSITVHPIWYGPWPPPQKRTIRAFLRSLSPSPSPPSSSSSKIPSPTVSAWWRTVMLYSDQTGANVSSTVFLGAEKSDTHMSRGVRLSRMDIQLIVRDAVTSRTRPLPIDSGGVYLVLTSPEVHVDDFCGQVCGFHYFTFPSVVGYTLPYAWVGNSATRCPEVCAYPFKIPAYVPGRRPESPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGMDPSFPTEIADLCEGIYGTGGGGAYTGQLLMDERSGAAYNVNGVGGRRFLVQWVWNPVVSYCSGPNALDQ >Dexi3A01G0035100.1:cds pep primary_assembly:Fonio_CM05836:3A:40353357:40354655:-1 gene:Dexi3A01G0035100 transcript:Dexi3A01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHGSKTKLPAAPAAATPACQCNNKLLQLGDRLVAVQPVVLRAAAALATAVAAAVMALNTQSYTAVVAIIGTKPLMQTFTAKFRYTPAFVIISFTSLLLSLAQVIMALLATGAATAASMAELGKKGNLHARWNPICDKFGSFCSRGGIAIVSSLIGVALMLALNLLSAAANAHRPNVVGQ >Dexi5B01G0035970.1:cds pep primary_assembly:Fonio_CM05836:5B:35822920:35826924:1 gene:Dexi5B01G0035970 transcript:Dexi5B01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDDAPAAPPHVASTSGSQPASNPASTGSSKPPSRTTKPGVKRLVLTASVLLSFLLGLPFLLKSTEIHRSPLPSDAITALAHRLHSNPPSFPCGLHAVFLRSGSGPPDASLATRLERAISDQLQLIPAASTAGNVSVSVTVESAGGCSSSSGVGSRWQCGVVTTADLVLGDEVFDELLHSALGSGSGDGSRVYTVVIVEIDDAEGMRVVIGKHRHAWLAGKIDEAKSVSVIGKVFVKYFMNGGIEGEAGVGKGEFMPVGSDGNVVLSFSLLNADPSDWVYDWEFEKIGERMLNPVVEALRPIAEINIESQVLYHTPKSSYSYSDDKLGGNVLSMGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSAKECPLYLQLPGGRLSKTNAFISPMWGGVLIWNPPDCSLGSKKTHGTRKKMASQELMETLEIFIGQLRQLFGLKPSYLSHDMDLPTKFVVSERGFTEWELDLLYRHHARSNLLSCLTTLESLSSLVHSLPRMIVMDEIGRQHLCMRLSFLLKLQVWLKEMQLLELVTLQQAISATRARALAEDAFFHPSVMSISYASIEHYFAIYMV >Dexi7B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:7B:3825675:3826865:-1 gene:Dexi7B01G0002240 transcript:Dexi7B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATATTLVHGDNIMGPHVLLLPFPGMQGHANPMLQLGHRLAFHGLRPTLVVSRHVLSTTTTTSCPFPMAAISDGFDAGGIASCADTAEYVRKMEAAGSETLAILLDAEARAGRPVRVLIYDSHLPWARRVARHAGVAAAAFMTQMCAVDLIYGEVWAGRVALPLADGSVLRERLGVELGHDDVPPFVAKPQWYPAFTESALSQFDGLEHADDVLVNSFRELEPKEADYLESTWRAKTIGPTLPSFYLDDGRLPFNKAYGFNLFSSTAAPCMAWLDSKEPCSVVLVSYGTVANLDMVQLEELANGLCDSGKPFIWVLRSNEEEKLSGELGGKCKERGLIVPFCPQLEVLAHKATGMTVWDNQSSIL >Dexi9B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:9B:11997047:11997517:1 gene:Dexi9B01G0017240 transcript:Dexi9B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNQQQALPHELSGRLEGILEDREAPWARRASRAAMIELRLLAPIAAPAVVVYMLNNVLSISTQIFCGHLGNLELAASSLGNNGIQVFAYGLMGA >Dexi7B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:7B:24946342:24949908:1 gene:Dexi7B01G0019460 transcript:Dexi7B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLCKLLSVTDLQNDLSRFKGHGMLAPFTAGWQSNDLHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTKPSLDLAQELLSMFTAREMGKVFFTNSGSEANDSQADGFVSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGSLIYQHLLFCTLTVLTTGDIIFLIAAFIAEPVMGAGGVIPPPKTYFDKVQAIVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVRQIAPKFQDGIRAFADSPIIGEIRGLGMIMGTEFTNNKSPTDLFPAEWGVGAIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVSIYGEALKATEARVAELKSKRN >Dexi2A01G0026790.1:cds pep primary_assembly:Fonio_CM05836:2A:38354812:38356786:1 gene:Dexi2A01G0026790 transcript:Dexi2A01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSPTAIRVNIGNPSAFDPIYRAWTKKYPSALNAFERIVVYGKGKKIVLFLDYDGTLSPIVDEPDNAIMSDQVFGFVKLTELYYAGSHGMDIMGLVGKTGSITDNRSCANSSAKQGKEVKIFQAASEFIPMINEVFRLLIEKIKGIDGAKVENNKFCVSVHYRNVLEVRPVIDWNKGKAVEFLLDSLGLADSDNVLPIYIGDDRTDENAFKVLREDKRGFGILVSSVPKESHAVYSLVDTSEVMDFLKRLVKWKEEEALQITIK >Dexi5A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:5A:643989:644252:1 gene:Dexi5A01G0000950 transcript:Dexi5A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAARYGGVGKSICREQQQQHGGEGQCYFQMPLHYPRYSREDYEAMPEWQLDRLLSEYGLPATGTLHQKRAFAMGTFLWGAANHH >Dexi3A01G0027900.1:cds pep primary_assembly:Fonio_CM05836:3A:27916922:27917299:1 gene:Dexi3A01G0027900 transcript:Dexi3A01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFAVLLIVVALAAAVVLPVATASQASLPARKSRFLAANVYDCSKKSASVCLAVGSPGPTCCGGQCVDTVSSPYHCGGCNKVCKNKHGTCCGGRCVDLDSDKDNCGRCGNQCSKKCNYGFCNYA >Dexi9B01G0030840.1:cds pep primary_assembly:Fonio_CM05836:9B:33249765:33253390:1 gene:Dexi9B01G0030840 transcript:Dexi9B01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSEGESHARCRVIAQGYRVLDEERHAVDYRPSVWGDYFIKNPTLPHAHEIGVSQEDVFGGFTDNQGNLKDTLHADVCSLLGSSKWNMDEVEQLGECYKDFARFMFGTMIEIENALPEDIARRNVDTIRDIAYVLMVS >Dexi1B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:1B:11737058:11740217:1 gene:Dexi1B01G0011540 transcript:Dexi1B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINMYRKAAKPIIRSYYMRPNCIMLFREEVSGIANIKWCGIDGDENILVIDLLGPSLEDLLVYCGRRFSLKTVLMLADQMSFALTSLSLLLPEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSYPVEFASYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGYQFDYVYDWTLLKCKQSQKAKAQQGSSVGTSCSWSYNFA >Dexi2B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:2B:12628113:12628673:-1 gene:Dexi2B01G0011070 transcript:Dexi2B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRCHIMISFLVAVAVILPSLHPSTVAGAEGEANKADAAAAAPSPDGEVLHPTGRNLNIPDLPLPRILPCPPWFPKIPLIPCYNVTPPPPPQPRECRSSLRRLMPCAGFLTNASVPAPPNACCDGFDPFFADQSSDAALLCLCHIASAELLPAPVNHTRVASVMEECGLGLPIDALSSFCQKQQ >Dexi3B01G0028590.1:cds pep primary_assembly:Fonio_CM05836:3B:26766918:26769516:1 gene:Dexi3B01G0028590 transcript:Dexi3B01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGNTRELDQTPTWAVASVCGVIVIISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFGQNYIIKICISNHAADTMLPCKLKNEAGEAGHGKEPSAAIPEKKKGAAAAEHLGGVITWPPPYYAPVSDLHHSRLLGEANMKTKCPDGKVSLISINALHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKEWEKEAAGQDYEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRKADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVNGWHTMLWISIMPVVIILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFARPTFILFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKRFVFARLCLGVVVQFLCSYVTLPLYALVSQMGSTMKQSIFDEQTSKALKNWRAGVKKKAPTSSKHGGQGSPSAGSPRGGSPTKADGIDLAERKNNTADDQGDTGTGLQAGASQTAAKKGNEGDYEFINIDE >Dexi1B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:1B:347110:350829:1 gene:Dexi1B01G0000330 transcript:Dexi1B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGTCRLNKPAPSAFAANKHLSLLSPAVVSIPRKMKTRPPRKCTFTVNAAKELHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKIVAAGANPVQITRGIEKTAKALVNELRKMSKEVEDSELADVAAVSAGNNYEVGNIIAEAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIRDEVGLSLDKADKDILGMAAKVVVTKDSTTIVGDGTTQAEVNKRVAQIKNQIEATDQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQRVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVLANDNFRYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEQAPAANPMGGGSGKYMGTRQHCYAHGFGF >Dexi4A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:4A:2592117:2594394:-1 gene:Dexi4A01G0003630 transcript:Dexi4A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRSHSAGKKGKLVMSLREKEDRKFFESYIEEMDEEDEKTMPMLKIVKLWGWDKLLPIGDSVSKYVYSNYLEAYYLRTKTPPKSLSLADIAETCIAKEADLTLELLRHGACPSEDYLIDQGINIRLCALSYMDYTLSNSVAASAAMLGMSKEAEMMCKWMDDNHMLLDIDDDTIPEALLDSHKVRYRTLEIMFKMLEESADGGGHKIDEAATTTGGRVSDLLNSLYQKIQDGKKNNKRKRIKEDVLGELWGWERLLPLSDSVQWPS >Dexi1A01G0023700.1:cds pep primary_assembly:Fonio_CM05836:1A:30309386:30310025:-1 gene:Dexi1A01G0023700 transcript:Dexi1A01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGPGLVLSPISHPRDASGDSALDAPSARPVASMPPSPPPPPLRLNPSLPVPVLRPPVHPLLRRPPVPVQAPVAASASRPGLHGQLLPFDADHRQAEAVCPSPRVAAAPDGARQCGSACCPPVTRLPDPAAAPAGRRRRMPRP >Dexi2B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:2B:30602766:30605548:-1 gene:Dexi2B01G0020580 transcript:Dexi2B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSASAAGGGGGGGGGSSKKEESLPPGFRFHPTDEELITYYLKQKIADGSFTARAIAEVDLNKCEPWDLPEKAKLGEKEWYFFSLKDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQLPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSAPKSNKDEWVVCRVFAKSAGAKKYPSNNAHSRSHHHHPYTLDMVPPLLPTLLQHHDPFARGHHHHPYMTPADLAELARFARGTPGLHPHIQPHPGTTAAAAYINPAAAAAMASPFTLSGGGLSLNLGASPAMPSPPPPPPVAFHAMSMAMSQQQTGPSGAGAPGSHQVMAAGGDHHQQMAPAVGLGGCVIAPGVDGGFGTDAAGARFQGGLDVEQLVERYWPGGYQV >Dexi3B01G0026560.1:cds pep primary_assembly:Fonio_CM05836:3B:21876935:21881209:-1 gene:Dexi3B01G0026560 transcript:Dexi3B01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPCFGSAGDGAAKKGGARKDGSSDRRVTRVGSDKIAYWVKEVLAVFIKGVWRMGRWECLTVYLLINQNMPLQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGRFPMR >Dexi9A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:9A:507798:507998:-1 gene:Dexi9A01G0000980 transcript:Dexi9A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGASKGEGTQRGETTRGWMESKVEGGGAYHGLSGSMEEAAAAAAAAEEEEAESKRRSACQAAA >Dexi9B01G0043290.1:cds pep primary_assembly:Fonio_CM05836:9B:43274324:43277500:-1 gene:Dexi9B01G0043290 transcript:Dexi9B01G0043290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELEVVEEEKLRLSPPRSRRNPRAVRRKGERKPPTIVDEFLDESSAVHDMFFPEANMAVDPVNGGNDSMYFYYPGRVWLDTDGKPIQAHGGGVLYDKKTKTYFWYGENKDGKTYKAHSKGADRVDIIGVSCYSSKDLWTWKNEGVVLRGEEKNVTHDLHKSNVLERPKVIYNDRTGKYVMWIHIDDANYTKASVGVAVSDSPTGPFTYLSSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGLLTDDYLDVTDVMRRFLIAQHREAPALFKHEGTYYIVTSGCTSWAPNTALAHAATSVMGPWETLGNPCVGGNEVFRSTTFFSQSTFVLPVPGLPGSFIFMADRWNPSDLRDSRYVWLPLTIGGVPDEAADYSFMFPLWSRVSIYWHKRWRLPEGWRDS >Dexi9A01G0037540.1:cds pep primary_assembly:Fonio_CM05836:9A:41804627:41811093:-1 gene:Dexi9A01G0037540 transcript:Dexi9A01G0037540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLVKPPATAAVASPRVAVALRATGLRSACARMTRRLQCSSSGSFAGASTEMRDATSGWAKRLVEELDSLPDIDTYCLMALSPLDGRYYRLIKDLMPFFSEFGLIRYRVLVEVKWLLKLSQIPEITEVPPFSEEAQLFLNGIIEDFGINDAKEVKKIEKITNHDVKAVEYFLKQRCSSNPEIAKVSEFFHFGCTSEDINNLSHGLALKEGINGVMCPAMIDICQAICSLATQNSAYPMLSRTHGQPASPTTVGKEMANFAARLSDVGKAFSEVKILGKFAGAVGNYNAHVVAYPEVDWPKVAEEFVTSLGLQLNPYVTQIEPHDYISKLFNLFIQFHNVLIDFNRDMWSYISLGYFKQIPKAGEVGSSTMPHKINPIDFENSEGNLSMANGILSALGMKLPISRLQVNEARLAEDLEQTWEVLAEPIQTVMRRYGIPEPYEKLKELTRGQAVTKDSMRQFIDGLDIPEEVRSQLYKLTPHSYTGLAEDLAKDIEKLVDLESGFRINRLAVADDFGRNASVKATRVVRGAGVCLFADTCGVAAGRGVVLYSAVALSFRLRY >Dexi6A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:6A:18759542:18764035:1 gene:Dexi6A01G0012430 transcript:Dexi6A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIREGPARRPAPAAAGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYSPETNGTHSKKPLIVIGIMSSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSANRGDTFDREIDDENRSTKDFLILDDHIESDEELPKKTKSFFANAAETFDAAFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSDSTHKWYEPEWWKFGDGKSYFRHASGEMFVISRAVAQFISINRSVLRTYAHDDVSVGSWMIGLAVKHDHNTRVMSEF >Dexi3B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:3B:9015274:9015850:-1 gene:Dexi3B01G0012860 transcript:Dexi3B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPAPRFLRVRTVPPAPVRHTTSTGSPTVTAEAAPPPHPAPTYIRPTLSAHTTPLYRFPFQPPRERRGGNQVRFPATPEPRPRPFFPDGPALRLINHGGLVPLVRLAAHFFFPVPFRANFWNLLVGFAPFRFGDECALDVSVVVAGNAMWTGRVR >Dexi1B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:1B:1914633:1915720:-1 gene:Dexi1B01G0002360 transcript:Dexi1B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELRILYLSPLDFPDDVADCDGSCVAGGGPPCLLHAGLCCPDDSLDTIMSNPDVFSDELLEDLLIAAALPPPHRGVALPAAAAAAMVAGEELLVDVDAGEDDVDFYSDRGSTCSNGAPRLPVLAALSDTSWTTSSTLVSTESSSPAPAPPHASRLVVPTKKRDMAVKRGKRLWSLEIPSVPESRDNINNNLSGSGGGDKDDGDRVVVVSGDGGGSLLGARPPANRRRTQKRACRHCESTETPQWRVGPDGPGTLCNACGIRYTKNKLLPEYRPSTSPSFRSDKHSNRHRKVVKLREKKVKVKEETL >Dexi3A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:3A:15978083:15979772:-1 gene:Dexi3A01G0020070 transcript:Dexi3A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGGFVEKAKPYIGMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFATLSIAPFALVLESPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVLAVIFRMEKLDMKRVRCQAKVAGTLVTVAGAMLMTLYKGPLMQLAWTRHASPSHGGAEAPAAAAAAISGRDWFLGSVFVIIATLAWAALFVLQTHTIKQYPAHLSLTTLVCFIGTLQATVVTFVMERRFSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGVLGAVLIVAGLYSVLWGKHKETQEKEADAKMALPMAATSSSKQDGLGGDAAEGNTGNNGGVRSSSSAGVRGAASAGAVV >Dexi1B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:1B:23309406:23310662:-1 gene:Dexi1B01G0016890 transcript:Dexi1B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITISYGALILRWLISKTSVVQRTLIPRAIQSLSSWCGSLPFFVGIDILMARLCDRTLIHSHLYGRQFILGHNPNLQGESAVAMENNELCVEQPFFWTLFGHKGDYVRLALQFICYPASLKVVDVTEKKLSFSRQCIRSGYNTNTFWRFIARNEQYLAYMMVLVALQIFLQLTRVNVTTLFLPMLSRATSSRSSPAVIGNVVLVFVNSCGILGSALATKHYGREVTFTIGAVLMVFCQVVIPLILEVQIGVGGGTRMPTGYTTAMFALTCVVSCGLSWCWGSFFWTIPGRKFHSAGQVLTMILNFGVCFAQMQYFLLILCRLKNAIFAYYAMWIWS >Dexi4B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:4B:840496:845456:1 gene:Dexi4B01G0001410 transcript:Dexi4B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAKHYTVAALMATLAMAVIVTVVFAVLCPARVTFSVARTSFHHEDSTSTTGSLRLNLTLAIDNPSRRAAVAYESMFVDLSNSTAAVQGDNWIRATVTTPMPLRQARASPATVDAAVDLVAGPWAVAFTGDMTSSFSVIVTAQVRFKVGVAWTRLYDIKAS >Dexi5B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:5B:20006652:20006882:1 gene:Dexi5B01G0018060 transcript:Dexi5B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVTVGAPSRIHHARWSLYPSLASRRARRCREQHFEGARSRGAPAERLSRMVAAVAIVGMGSHGEKRTSATAMS >Dexi8A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:8A:9546264:9547558:1 gene:Dexi8A01G0008170 transcript:Dexi8A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVMPVVLSLLLLVLCRPYAVTASAGQDPCCYKRLFSFGNSFTGPNMPPLVLPYGETFFHHPAGRFCDGRLMALRLPFLTPFLAGKTVNDFRQGANFAVAGGTALSQQFFKDMGLDLTFIPPFSLDVQLECFKLVLHMLGRTEQDQAPSPWRSQHYGEPWRPPYLLANELSLPRS >Dexi9B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:9B:1386854:1389766:-1 gene:Dexi9B01G0002390 transcript:Dexi9B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALFSAASLFRGADDSDNGSGDERAYIYCCSLVSLIMLSATGALAIFLRKSFGVNITTSDYDDKEIEENIAYNCRANDLDVLPHIRHTWGDPFPVSRPDWDIVIASDILLYVKQYDNLVKTVSFLLKEYKKTSEKAGCTTITDKSGSY >Dexi7A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:7A:27008931:27009529:-1 gene:Dexi7A01G0017260 transcript:Dexi7A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENSAGKDNIAEDHGGGGPVVSRKRKRAATDGAPSGPGLLWDDVLINIFARLPARAAVACMSLSKHHHQLIRGPEFATLRRLAAPLPRPHIAYLATAPIKRRPGQKKPVNVFHDFHVAGGGLRGGGAAGPMRSLAGWRYLEMSYINTCNGVVLLAKEFSRPCRCILWNPAVADGDGVEEVTVPGRDYQE >Dexi2A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:2A:13053657:13054190:-1 gene:Dexi2A01G0011240 transcript:Dexi2A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERTWLGKKIHLYNVTMGLYMLDCPVFLALVCASLTVADLLMLTLLWFIGFNGSRFATDIYE >Dexi4A01G0023580.1:cds pep primary_assembly:Fonio_CM05836:4A:26624099:26626561:-1 gene:Dexi4A01G0023580 transcript:Dexi4A01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPTPAIAATFSALLRRRAVRSYGRRPIYSRCVSSNARAEAAGEPEGRSRGLGGTRLEEAVPAGEGRERVDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHMVKGGDLVSCTVSELQPLRAEAEDIQLDIVYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCRISTFTCLARNSTGHDFPNSSDDDIDVFDVDQFATEDVSSEVRNALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPHPDSGRIEASIARDPNNRIRMIAIAGSGHGYARNAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSLALSLLRPRTPSKYHSGLSDMISKVDRPCLHATLLGFKHPRSGKILEFSCPPPDDFTEVLDELRRVTSADGQNGDNNFVARQSKSGSDV >DexiUA01G0026360.1:cds pep primary_assembly:Fonio_CM05836:UA:56355668:56356691:1 gene:DexiUA01G0026360 transcript:DexiUA01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAVEELIRRLLDGKKHKVTGKKVLLTEAEIRSLCVAAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPAANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLNRIREIQRPVDVPDQGLLCDLLWSDPDRDSSGWGDNDRGVSFTFGADKVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKGQTD >Dexi3A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:3A:8057256:8058764:-1 gene:Dexi3A01G0011320 transcript:Dexi3A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLSQDGHVRAATRTRSPFLADDDGIDLAAFLAVAARKMGAECFDVFGDARSGSIPAEQLRQGDGQPRRPPHGGGEDDTIPAASTRSTSSDPPVATTDRSDQPIQNFKIHPARPSCLLPRPTERSTNTERQEERGTCSSGFSRLVPPRRAKQSRGLRSLYNKTLGTRPTHTFLGLLAKINGLPLGPSSAAQPRRPNKLAVLARSTAQLDVSMAASIALCHLSDGLGRRGQAAGAVQRQDSPPSPPCVSWKRFLSLSRLAVEARLSIFFTDKIAPQIGSIFEYTNQRPVFGIPADATACARSSVRRLQLNTM >Dexi3A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:3A:4112554:4115535:1 gene:Dexi3A01G0006280 transcript:Dexi3A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFIKLLHPGTVFKHINYTWKFHVLFYSSLRHISLDSRIRLQNPHTDVVHCSRERSRAAWKAIDEYLMPFVEKEKYDLPSQCRLHPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHRDLLDKVCFLNSNYVCLVIVLQTVAFQLIKVLLPVVFMIFSDAAYFAEFFLRQFCDAHTCNRGAKPYPKGGRKQTNRFYLALCVLTLILLPLFYLIVFLHQREMRKGAQDLKRFSKIGQKKKPS >Dexi8A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:8A:25678683:25680100:1 gene:Dexi8A01G0014950 transcript:Dexi8A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKLVSIGIVQAPITDMNYRIRMWMSMCQVNDFLREFIVSRSLEENLVFALEGHCKKNSQRSGRHLAIHKSWDRDKNVFESIDFSRLRSLTVFGEWESFFISENMGLLQVMDLEDESSGVTNGDVDKTVKLLPRLKFLSLRRCRVISHLPNSLGDLKHLQTLDIRETSVIKLPKSIIKLEKLQCFRAGTAVILDTDSSTGTFGSLPVAAVANPSTSAAPMSRPCATLVSCLSKLSIHNGPHNGVKVPRGIVKLSNLHTLGVVSIAAGTLELSNLTQLHKLVVSGINRKNREKFLSEISRLHHLESLSLIMQANRDNEEDSAGCTSVADIMSPLEKLRSLKLYGLVDRLPTWIMQMCLQLPRLEKLDLPMKKLPQQDLDFVLTLPYLRSLRLRLEEFQDGELHFGWSIAQSCGGYMIDFLEIAFNSRLQASEESVEMP >Dexi1A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:1A:17891953:17894167:-1 gene:Dexi1A01G0013410 transcript:Dexi1A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISDSVLKHASSDFKKLGQRIFVFVIGGATRSELRAAHMLSSKLKREIILGSSSLDDPPQFITKLKLMSAAELTLDDLQI >Dexi6A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:6A:22089035:22090513:-1 gene:Dexi6A01G0014700 transcript:Dexi6A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQDLSQEFFRLRSSLRAKQQHASLLDFRDFDRASFDVEEAADSSDQSLLKEQATIIRSTGQVDNVISQANAALGALMSQRSTFGGITTKIGSVGSRLPMINQILSSIRRKKSMDTIILSLVASVCAFLIFIYWLST >Dexi1B01G0029450.1:cds pep primary_assembly:Fonio_CM05836:1B:33763498:33764412:-1 gene:Dexi1B01G0029450 transcript:Dexi1B01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLLVAFAVVASPSMAARDVAKVAEAPAPSSSASGGGDEVLYPMDLFGDLIDEIGKDIGEIGKDIGNLPDLPLPRILPCPPAFPIKIPFIPCYNVTPPPPPVTECRTSLTKYTPPCSGFLTGDDKSSPSSPPKKCCGAVSSFFRDNSTTPLCLCRVINGDAGKVNHTRALSVLQLCGLGVSPDQTARKTFRRWMLRVLHQMLMMNRHERHLRASNKSYGLQIHDHHIVLVVVLVVSSS >Dexi7A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:7A:20731621:20738969:1 gene:Dexi7A01G0009820 transcript:Dexi7A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASQTWPPPAPSPPPFSSRPRASPSPHRRRRRHSKRQHKAAPQPPPPPAPAPQGADFSALPPELVHRALAAACASDVSAASRACGAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGGVVGGGRREAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRREEAVEYYRNAAELGHPVGMCNLGVSYLEADPPKAEEAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEATGDKVKSLTYLELATRRGESAAAHMRDVILESLNAGNAQRRPRLCISASIDSLPAAPLPSPGSPLQLFGSSAPPAAALVRYRPPPWRLAAAAASGLRCAGTGTPPPLFLRELHRSRRPLPLHRLGLGSPHPCGPHRGSRRRPRIPRRALPDLNRADDLPTESYCVCNAPSIPSSKVLKRLASTTSSTYMLSSKLSGVRSPFKHLSNSNCTSRISSSNVAAAMASCQQPTLINTKECKRQREKVCRPTNLLAPAFPSDSASASASASAATVLSILHGADPDRLLAAASIAPTPTILQHLRPALPTVPNLAIPTLACWAGAANTVSLLASCGLFATAWRFLLLQSPPSPSLPLSAFASLLRRYARLGRTTAAIRAFHFLHRHPDRYTVVDYGNVKDGSSSTKEVCPLILAVDALCKEGHPRAATQLVEQLRREDPDWAPDVRTYNVLLNGWSRARRLDKVEKLWVAMRHAGVQPTVVTYGTLIDAHCVMRRPDQAMELLDQMREEGIEANLLTCNPIVYALAQAGRFGDAHKVLEKFPLYGVAPNISTFNSLVLGYCKHGDLAGASRVLKVMMGWGISPTARTYNYFFMVFARNCSVELGMNLYSKMVNNGYTPDRLTYHLLIKMLCEANRLELTLQMLQEMRNSDFEPDLATSTMLIHLLCRRHQFEEAFAEFEHMFERGIVPQYITYRMLMKELKRLGLVKLVQKLTDLMRSVPHSTKLPGSYRDKEGDEAIEKKKSILQKAQVVSDVLKERKDPKKAHMLKNLEETDVEIADRIVANIRRRVYGDVSRTGPSVS >Dexi2A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:2A:32270173:32279077:1 gene:Dexi2A01G0020210 transcript:Dexi2A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSDVPLISELPEKRAGLPKRVWDESKKLWEVVGPAVFVRLLLYGMSVISQAFAGHLGDRELAAFSIASTVIFGISFGFLLGMASALETLCGQAYGAKQHHMLGVYMQRSWLILMGFTVLLAPIYIFTEQLLIVLGMSAELSREAGLVSMYMLPMHFICAILLPLNKFLQCQLKNWVTAVTTVAAFPVHVAATWLLVQYFQLGVVGAAIANNSSWFVITGLQLAYALGGGCPETWKGFSALALVDLKDFVKLSVASGVMVCLETWYYRILIFLAGEAVINAVDNLSVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGVILAWGFHYGVTGIWVGMIGGTTVQTLILAYITLRCDWNEEIINPSA >Dexi3A01G0029410.1:cds pep primary_assembly:Fonio_CM05836:3A:30927039:30927578:1 gene:Dexi3A01G0029410 transcript:Dexi3A01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEDHSAYQSLEAFQVEEDRDFSYLLDILICSGIMVADWQLICKSWYSPGCPVGPHVFDRLERKYNKIATWAKPERRLLFDLVNSILSEVLAPCVDVHPWVLLSRQCAPLWGPEGPVEKVWQTIVRQREDCVTGHPDEMVLDANWLQVGNDINMVGKHMAKMLHDDLLEEVVLDFL >Dexi2B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:2B:12846221:12848045:-1 gene:Dexi2B01G0011260 transcript:Dexi2B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYAVLVHLVPWSKESGRCRPWISRSRPELRHLGSVPLGYPSFLIQVKEKLQQVGLESSNLIIGVDFTKSNEWTGKLCFDGRSLHHVGNAPNPYEEAIGIIGRTLSDGILIASMRFAASTHDQYVFNFYRDGRACNGVSEALQRYREIAPHVRLSGPTSLAPIIETATRIVEASRHQYHILLIIADGQIPKITGAHSASYLDETRSMNYLEERTLRALIYASHFPLSIVLVGVGDVPWDDTTHRHDNRRLFDNFQFVDFTEIMSRELTQAEKEDQFALEALRKIPAQYSAIIGKWIRYVSGEPEVSRPQVRLEEVLFPHLAETVLVQ >Dexi8A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:8A:24216597:24217624:-1 gene:Dexi8A01G0013930 transcript:Dexi8A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVSSAVAQEAVHHVLSGLMERYEHSSDAKDLIERMEMAHIRLEAALEASQRWSITNEPLLRWRSKLKRATQECDHTLRRCRRRLQEEEEERSSLPSRVARAAMSLVSSIIGGDSDDKVGGSTVRRFERFADGASEFLRYVELGGSMPRRSVFFNGALPISVPDRGMEGYLIFSLEDGNMPENNFVLTLNLRVSESTDIVRIVVRCVELFAPHLSSTAEVVKTKLTQLPTQDLRWVPDAHSVFGHDGRWDNLDSVYSKWVRPNPLCCQQQHQSYITQSHPSVFAGSCHIAVL >Dexi9B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:9B:5013761:5016727:-1 gene:Dexi9B01G0008150 transcript:Dexi9B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSNNRGTCSRSSSARSKHSARVVAQTPVDAQLHAEFEGSQRQFDYSSSVGAANRPSASTSTVSAYLQNMQRGRYIQPFGCLLAVHPDTFALLAYSENAPEMLDLTPHAVPTIDQRDALAIGADVRTLFRSQSAVALHKAAIFGEVSLLNPILVHARTSGKPFYAIMHRVDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEIIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSAVSVKIIQDDSLPQPLSLCGSTLRAPHGCHAQYMANMGSVASLVMSVTINEDGEEDGDTGSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWALGSTPSEAEIKSIVAWLQENHDSSTGLSTDSLVEAGYPGAAALREVVCGMAAIKITSKDFIFWFRAHTAKEIKWGGAKHEPVDADDENGRRMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNVRSIVKAPSDDTKKIQGLLELRTVTDEMVRLIETATAPILAVDIAGNINGWNNKAAELTGLPVMEAIGKPLVDLVLSDSVEVVKQILDSALQGIEEQNLEIKLKTFNQQECNGPVILMVNSCCSRDLSEKVVGVCFVAQDLTGQNMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGMKREDAIDKLLIGEVFTLHDYGCRVKDHATLTKLSILMNTVISGQDPGKLPFGFFDTDGRYVESLLTANKRTNAEGKITGALCFLHVASPELQHALQVQKMSEQAATNSFKELTYIRQELKNPLNGMQFTHSLLEPSELTEEQRRLVASNVLCQDQLKKILHDTDLESIEQW >Dexi3A01G0024380.1:cds pep primary_assembly:Fonio_CM05836:3A:20015423:20015767:1 gene:Dexi3A01G0024380 transcript:Dexi3A01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDHAEYSRHRRRSPSTPPSCTCPKLRSTRSSMEAAAGGRRAVHVHGHGVPRVVVRVHGVGRRGSIGQPSLHDCRCAPPLPDEYLSNAILRASAVAKSGDGVTLSKMKGTSNG >Dexi1B01G0023030.1:cds pep primary_assembly:Fonio_CM05836:1B:28704747:28705820:-1 gene:Dexi1B01G0023030 transcript:Dexi1B01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIITPASGYKLPASVLADLESRNAGGMESALRKAEDVVGSMLAKGFVLGMDAVEKAKAFDEKHQLTSTATAKVASLDKTMGLSQKFSTSTLVVNEKMKEMDEKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYVLTGAAWVTGAYSKVATTATDVGAMAKERMMADQEGEHLDRELEKTHLLESSEASEQECKRQEGSGNLESPATTQQESNGQEADHQMTNVPGNTETVTEEHKNQEGGIAVAHAQESTEIAETEPNNHETELSKANITDSLLMSEQTEQEHKQPNGESAKTHVPGSPVTIPVTMATTDGNSSNSPKKPESAQGFL >Dexi5B01G0023890.1:cds pep primary_assembly:Fonio_CM05836:5B:25978519:25979028:-1 gene:Dexi5B01G0023890 transcript:Dexi5B01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREDGEAKLPLLPPPAAGDRSGVDASKDEAASWWRLWAREAGRAGYLALPMVVVSFAQYVVQVSSNMMVGHLPGVLPLSAAAMATSLANVTGFSLLWSRSSFLSAMDHLEISW >Dexi4B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:4B:17430533:17430744:1 gene:Dexi4B01G0015620 transcript:Dexi4B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding YEATSSRRSRSLAKEVVTAAVASVFLGFGSLFVLLASGVYV >Dexi6B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:6B:26002463:26009149:1 gene:Dexi6B01G0019200 transcript:Dexi6B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPTCSSAARSGSFRIGGALDTSRRGYEGREKLARMADDNPHGASSSEVKPAVDDTESTIEINIKTLDSQVHKICVNKNEPVSVLKEKIVEATGVPLDQQRLIFRGRVLRDDQLLSEYHLEDGFTLHLVARRAPEGQNSSGASEGNAHANVNVAGNAGLLDDISRSVRDLLGSLGVAMSGSGVTNAAFSVPLTTAPEGGNNIPGRTQPANPVPPGFSVMNHQIHVTQLQPGAIPRNMVIPDSLTTLSEYMERMDGVLQNNGTPPSRDSEGQQQPVVDDSNVNPRFPSPEVLASVIERAQQLLSGSAASALSHIAQRIRQDGGTGDASIRREIQTESVQLGIAMQHLGAMFFELGRTMMMLRTGLSPSQAFVNSGPAVYINSTGPNPIMVQPSFQSTPPFGVSNIPVVGGVSGAFGIVDPSRSSGFGDPFWNINVQSSGASATSGSSAGMTATSEGAINGNRQDATRTQGGNLPGHPAATRGLQTRTVLAAIPARSSVEAPNHVLSVVLPVQMRGQVAVPNQSTSSQGSQTAAGNGAQPNSTPAVPQASVGGVASVPPLVAQLNAINGANALAVNAPDQVSSSVQSAVDQGFHPAIDSRAGVLSSSTPATAPHQSDPSGTCGSTLSSQDISAVNVPSLDSIQQHPQSEDTSADTANLSGDLAATFTHDVPSSTSAEKSVPKNKSSDGLGSQSLEPSASGSSEPVGLGGGLIPKRRSRVAKPSGSTTDPGRDSSAISQNQDAVSVAQQFLQGFASQNANASRNNAPTPGPPSLRPQPTGVRPRRQGGEGQPDIGSMISGMLNNPVFGNLLSNVATQAGGSSAELRSVMEGLQSPAVVDTISNIVQNVDEQDLGAMFGSGRGQGSMDLSRMLQQMMPVVSQVLGGAGANPVGANNGQSRSQPRSNDTAGGNVLDSSSSQIDLHQARQSIEQHESPENIFSAVLETAAQAYGEDDSIQSMLEELASDPELTNDYLKLLVEQFRERLQSESQPGSQS >Dexi7B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:7B:8415162:8417668:-1 gene:Dexi7B01G0003520 transcript:Dexi7B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPNGTGPSNGTPKSSSEGVKLVFSAVIGVLSGYLFVISFLEVVDITTVEDPSAGITSETLLKHAWTSANSHEDNSDSKYDEISKIYVSTNPKGAERLPPGIVVPETDLYLRRSWGEPSEDLTSQPRYLVTFIVGIPQKANIDAAVKKFSDNFTIMLFHYDGHTTEWDEFEWSRRAIHLSVGKQTKWWYAKRFLHPDVVSRYEYIFIWDEDLGVEHFNAEAYIELVRKHRLEISQPGLESDKEPAWRMTKRHSDQEVHKFVEIMATVFSRSAWRCVWYMVQNDLVHGWGMDLALRRCVEPAHEKIGVVDAQWIVHQAIPSLGNQGEAVNGRTPWKGVRQYLPIS >Dexi3A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:3A:9042831:9043288:-1 gene:Dexi3A01G0012460 transcript:Dexi3A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding DCDGSVLIDSTTNNTAEKDAAPNNPSLRFFDVIDRAKATLEAQCPGVVSCADILAFAARDSVALAGGLGYHSPPGAATAGYPATPTRSTTCHRPSSTPPSSFVSKNLTLEDMVVLSGAHTIGVSHCSSFAGSPPADRLYNFSSPDGVSI >Dexi3A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:3A:9006457:9007859:-1 gene:Dexi3A01G0012400 transcript:Dexi3A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVNGSSNFCGVAEMIHYTPGMTMLELFKYTRAQGSVLDDFMVHEEEEARSRQLQKFKLRRCAPHFIPAWHGPRPFRPVLPNYDSVLMDRVVSETNNLTDKLQNLKLDRLQGSSQELGNLASEASATNTQKESHCYGNQAHEIV >Dexi6A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:6A:10667914:10671185:-1 gene:Dexi6A01G0009300 transcript:Dexi6A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSPSPSLLSSPLPSPATFLSKKPASLRTPSFGTARRGVRVVAAAAAAAAPVSAARARPSAAEVARTVVELAPSGTLSVVGPDGWPLGIGARFVTDAAGAPALCLAAAEVAAPDAPSSFHVEFRQSGARTPQCTMLGALTKPSDESVLKDGMWVVPSEYTSAEPDPLRNFAENMVEEFNSKNAEDVHRIYSIYVESDLQVADVKMIWVDRLGFDLHVHSGEGVFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYASPGFEKVQFLKKVR >Dexi5A01G0038500.1:cds pep primary_assembly:Fonio_CM05836:5A:39483977:39486023:1 gene:Dexi5A01G0038500 transcript:Dexi5A01G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRMKEERRNRQSDASITDYDETVGDLNMVPDELQVIPAGVEEEGSLIHFVDAIQKERPVRFSSEQLHAFTRNYAHRVGSGGFGVVYKGSFPDGTPVAVKLLRSELMSRHAKEQFTAEVGTIGRTYHVNLVRLYGFCLDATVKALVYEYMDHGSLDRYIFNPPPEKTKVQFDVLHEIALGTAKALRYLHEECAQRIIHYDIKPQNILLGADLVPKVADFGLARLCNREDTHLTITGARGTPGYAAPELWMPQPVTHKCDVYSYGMLLFEAGDMDAVLARAISPSLCVNSWVGREKAERMCKYRPEDRPSMGSVVRMLEGEDQIAAPRNPLWHRSSTPSPVSNMARGASEPEVDVERPGGEAEHQHAADGDRRVVEVGRSHGVRHIDGPNPNRDTHGPWADPNRAC >Dexi5A01G0030860.1:cds pep primary_assembly:Fonio_CM05836:5A:33725339:33728234:-1 gene:Dexi5A01G0030860 transcript:Dexi5A01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFFPAVQMMGCFTALRPKKKKGPLDNPLVPSKKSIDARESTSSRLPEPEVHVPSLQSAPPSFRNRAKISQSANKVYNSRARVLSAPSTLIVVDQFGFPYTEYRDQDDSRDKEGSTKGHRFSNPLPLPLPSPDGHSLRNFGSFKANNISGPLEMSGPLPLPPEKYTGLRNFSYEEVSSACQWFSGDQCVSESLGSTSYKATFRDDFSDTKTIEATVARLLPSSQSLKEFKTQVNTLASLEHPNLCKLIGYYAKEDSNERMLVYERLHHGSLDKLLFGRPDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTSSIQIDKDFTAKLSGYGCAGFNTEEEISNAPVSAAHLSVETLEKGLLTPKSNVWSFGVVLLELITGRKNLDVSSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHNDPSERPTMRDVVEALTRVQEIKVPCRYPLQEPSAAPRKIMLKSTSLNGIVPQHPVITFSPSPPSYSQHLISPRSSTSALLHPRTCSPTLDDPRLSSMKKMPPPIMRRSSVEGF >Dexi1A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:1A:3532435:3532719:1 gene:Dexi1A01G0004860 transcript:Dexi1A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGKAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYHRAAAPRIHAMSAALSDSVAAAAEAELPRGRPSPAAEDARMRKAYHLVCWGPN >Dexi1B01G0023440.1:cds pep primary_assembly:Fonio_CM05836:1B:29023574:29028459:-1 gene:Dexi1B01G0023440 transcript:Dexi1B01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLREGASQGPSWAPSESTAFRPYAAAVRERAEASPSASGNGVSARSSNLRAVRKRPFVARLTTDITQTFEKCNPEFKYSESLNPKRFLTNPAVPVHNDGLDNANSDLILYVNLELVNRKSNRRYVVQEMLGQGTFGQVAKCWDAETNNYVAVKVIKNQPAFYQQAIMEVSLLSLLNEKFDPDDQHHIVRMLDFFLYHNHLCIAFEMLGNNLYELLKRNNLRGLQMKYVRTFSRQILDALIVMKDAGIIHCDLKPENILIAPTVKTAAGVKVIDFGSACMEGKTIYSYIQVVHLTNARYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLSRMIEILGGCHGDAEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGETFTGPYEPVQETPRIPVGRAAVVDHNPGGGHLLGAGLSPQVLNISLMLLFK >Dexi9A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:9A:10912644:10913094:-1 gene:Dexi9A01G0015990 transcript:Dexi9A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITAGSGSMATTSAASSSSSTSSSSKKGAKTEFEKRLGEFFKHQIETDSSAAYGDGFRAGMRAVEQYGLRSTLDHIKITGSFPY >Dexi2A01G0037070.1:cds pep primary_assembly:Fonio_CM05836:2A:46537890:46540632:-1 gene:Dexi2A01G0037070 transcript:Dexi2A01G0037070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHAVMAKARKDLGLLPASGPGTPTSAAAHSPFLLSRQNSGRCGGGTAPSPLSVSSPSSWAPPPVFSRTNSVISNGAAEEMAGVGDDLMSPANGNGPPSPFFAAAAGDQLLDDLQLQDQLAFLNDAGHHQLPLFDGSECRSPGAGDGGFFPYGWANGGPGHRRSSSMSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGDDAGAKMDMEQQCQDILLRSKNQRLAAAAAFPYSPTGSLPGSPSAASKCLSILLQQQNENQRAAAAAAAAALMLGGDEAHKFLGRPRLGRTDLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQQLQGERMDFSNGLDARDSFDLHQLGARMLQHSNSANEMLLRRKLEEQQQQAAELQQAMELQSRRLMGLQLLDLKSRSSPSPIGMPFSPTRGAVVESPPDSSSGEGKGSSFLHPQRRAAVNGGDKEESSGEASPNADSDQSAEHNLPDSPFASPTKSAAAYAHDPFVPTESELAAAASPARTAASFAGINNGGLTGHLRPSALDIPSPKPYFFPISRLSSDHGAGAIGM >Dexi3A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:3A:12041147:12042433:-1 gene:Dexi3A01G0016140 transcript:Dexi3A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMQPAAMNAGEQAAVRKGPWTIEEDNILVSYVATHGEGSWNTLARTAGLSRTGKSCRLRWLNYLRPDLRRGGFRMEEQELIVRLHARWGNKWSRIAKNLPAGRTDNEVKNFWRTKIQKKRSSRDSNKERSAVGSIIADGGTSKVDSKNTPGITEGQGSCNSGQTVGVTSHGNSVLEQKPASLDSHRGSDVHAGGDASGVGAMNCFFTPEFLAAAENFWAIGEFWSMVQSFQGNT >Dexi6B01G0003860.2:cds pep primary_assembly:Fonio_CM05836:6B:3171791:3173059:-1 gene:Dexi6B01G0003860 transcript:Dexi6B01G0003860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKTATAYGEYTYAELEREPYWPTEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGTGCLLQKGSDIYREV >Dexi6B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:6B:3170969:3171789:-1 gene:Dexi6B01G0003860 transcript:Dexi6B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKALIPKYTDVFMLGLRDKRTLAHMDGLRFTYFWIKEQIEKEKTQGIDIAAYGSSKVVSTQAPVQLGSLRAADGKEGL >Dexi1A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:1A:22503214:22504671:-1 gene:Dexi1A01G0015440 transcript:Dexi1A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAQYLAHAFPFPHDHRGATNRPALDASTSAPVSTVFGQRKRARVEAGASLIMDLGVGGQHALLPPPVPVPQAFSPAGDVQMQTSRVLCSGAPSTSGCPAVAAPVSQFVLPRLYRTSTGVEIDALVRIESRASVEDQDEVSPPLTMHGITRGTGKCITPHWM >Dexi2A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:2A:31789343:31790915:-1 gene:Dexi2A01G0019610 transcript:Dexi2A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSAAMCSLLVLLLAGLGSQLAQSQVLFQGFNWESWKKQGGWYSYLKGQVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLVAALHAKGVQCVADIVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGQGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKVYVDNTAPTFVVAEIWSSLQYDGNGEPSSNQDRDRQELVNWAQDVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHAFDWNLKQEISVLSSVRSRNGIHPGSKLDILASDGDLYLAKIDDKVMVKIGSRYDVGNMIPSDFHPVAHGNNYCVWEKSGLRVPAGRHH >Dexi6B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:6B:24862086:24862454:1 gene:Dexi6B01G0017780 transcript:Dexi6B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGSWPPCAGAGVPARCPPRPRTSSISRRWGLHSTSPTSICRRPIRFDGDERDLPPAGIDSATSTSICRRVARSRRMARPPPSLDRMQTFTLPSGDGAGATALSSVAFPEAAAENSLQSS >Dexi6A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:6A:706831:707868:-1 gene:Dexi6A01G0000860 transcript:Dexi6A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTHNSSSSSSWDLDMSLGSHHHPLLFDTHPNNPPAPPPPPPPLLPFHLSSTTPHHHPPPHHHHHHHHLGLDPSPSSSSLFPAPPPHHHHHHRLLGLDIDPSPHRHHHDSYEHHHQAEDPAAGHHEQHQEMRQHQEAAAAAGAQDDDRGGGEEVEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQHHPPPFPGGGGGGGAGGGGGAVGPSGGGASVVAAAGRPPFLPLGPGPLIDWAGLVRPVDIHGPTSSSSSSSMGGAHATLGFGFSSAGQSSSHGMH >Dexi9A01G0041130.1:cds pep primary_assembly:Fonio_CM05836:9A:44783222:44783886:-1 gene:Dexi9A01G0041130 transcript:Dexi9A01G0041130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSTVGEIPLLATRAGPRDGEAWRQRLKEEYRALIAYTSVNKAKDNDWFRIAAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVESGMVKHKDDEAAPAEASGSAAPSS >Dexi5B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:5B:3706602:3709656:-1 gene:Dexi5B01G0005500 transcript:Dexi5B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLHPPGKLGTFESPQVWPLSAPTSQPEAGRSDDQDVRLLSVSWNQDCGCFAAGTSNGFRIFNCEPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLAKDYIVIVLERKIYVYNFTDLKLLYQIDTLSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKMTKTIPAHDSHISCMALTMDGLLLATASTKGTLIRIFNTMDGSRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDSSNEQRTLEGPRMDHQNSSSSIDPLVQMNTGSNASSSLSFMRGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFLKSDSPPFRTSAS >Dexi6B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:6B:11063827:11064303:-1 gene:Dexi6B01G0008750 transcript:Dexi6B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTLYSLRYGMVVSPLAMSRSTFTHRLFFLASSSMALPMSSLTSNLMVPMISSVVGPISMEAILNAPATLSTRTRVGPLNRLCLQARASWYDPDKSTATGSVSPPPMNLSIFPSLSQVMSTSVPLITGLSSSRLTGIIGKSCPTPQ >Dexi4A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:4A:3134597:3136687:1 gene:Dexi4A01G0004400 transcript:Dexi4A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTEDWLFGWEPWEAPALEDLLPELSREEQILLQSYLREHERIQKRWMKGDYIQSSSWHSESERDAIIIPQARYALHHYNAKHPGEEFDVVKPLMEASVTFKGQVWYHVNFWARCRKSKKIKRFFAEVHYKPPGSSRAVYLDLPFPVPGAKKQQSTSSSTCSDLPLQVPESEKQSSSSSSVCSGLPLPLPVPIVEACTIIEEPLGRYRRSCAFCRGHLGILHPVGRKFVCGNDKDRMVQQLVTGGSSGGSSGLEMPFTCRMGTASLNSQ >Dexi1A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:1A:8376601:8379767:-1 gene:Dexi1A01G0009930 transcript:Dexi1A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNSGEIDTLLKVKKAWGNPPALSSWNLQNTSSYCRWAGVSCDTDGHVIKLSFQNFNITNPIPAAICSLKNLTYLDLSYNNLTNQFPTVLYGCSALYYLDLSNNLFSGVLPADMNNLSSEMEHLNLSCNGFIGNVPSAIASFPKLKSLLLDTNSFNGTYPGSAIGDLTELETLTLASNPFTPGPIPAELGNLKKLNYLWMSRMNLTGSIPDELSSLTELTTLALYINKLDGEIPEWVWKLPKLEFLYLYANRFTGGIGPYVTAFNLQEIDVSTNLLTGQIPDAIGGIKSLTTLYLYYNNLTGTIPASIGRLPNLEDIKLFNNMLSGHLPPELGKYSPLGNFEVSNNFLSGELPETLCYNNKLYDIVVFSNNFSGEFPAVLGDCPTVNNIMLYNNNFTGDFPEKVWSAFPLLTTVEIQNNGFTGTLPSVIATNITRIQMGNNKFSGALPSSAPGLKSFSAERNQFSGELPANMAEFANLTDLNLAGNMISGSIPPSFRSLGRLNSLNLSDNQISGEIPAAIGLLPVLTMLDLSNNKLTGKIPEDFNDLRLNSLNLSSNQLSGEIPWSLQNSAFEESFLANRGLCAMAANMFLKNVPACGHSKMSTGIIILLTVVAGVVLAGAAGWCFVLRRKARGRHDLTTWKVTPFRKVDFTESDILSKLRDENVIGSGGSGKVYRVHLHRSGNGGAGEVVAVKKLWSRGKAEEKVCREFESEVRILGDIRHNNIVNLLCYISSDDTRLLVYEYMENGSLDRWLHPREPVAMAAPLDWPTRLGIAIDAARGLSYMHHESAQPIMHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPESVSVSGGTFGYMAPECGRGAKVNEKVDVYSFGVVLLELVTGRAANDAAAECCLVEWSWRRYKAGGPSLHDVVDGSIPDRDVHAKDAVAVFLLGVMCTGEDAPSRPAMKQLLQQLLMYDRTSSVAAACRDGHGDVDVVAVAQQAKGRKKGDQGVRGSMDSGEFWDGDDAETSSGFVAHPV >DexiUA01G0016530.1:cds pep primary_assembly:Fonio_CM05836:UA:35190632:35190884:-1 gene:DexiUA01G0016530 transcript:DexiUA01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALVTGASRGIGKATALQLAREGYTVAVNYHHNIKAATDVITMITDAGGNAFAISVMKLRCWQCSTVSIVKPDRLPHW >Dexi8A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:8A:20699904:20703640:-1 gene:Dexi8A01G0011710 transcript:Dexi8A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGMRTPVSLRSNTGLFFNVLRPRLSHFTTRAESAQATEPKAVPKSIQLATKEAAEQNTQGFEAIIGIETHVQLSTVTKAFCSCPYDYGSQPNSTVCPTCMGHPGTLPVLNERVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAKKGYVDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVGPVGQSEFGTKVEIKNMNSFSEINRAIDYEISRQIMLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTTDYIDEISKSMPELPEAKRRRYENMGLSMQDVLFLANDDNVAHFFDSTLEYGADAKLACNWIMSDIAAYLKNEKLSIHETKLTPLELSELIASIKNGTISGKIGKEILFELIAKGGTVKGVIEEKDLVQIADPAAIEAMVDKVIADNPKQLEEYRAGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLNAK >Dexi9A01G0031740.1:cds pep primary_assembly:Fonio_CM05836:9A:36688806:36689999:1 gene:Dexi9A01G0031740 transcript:Dexi9A01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWTGAIGAVKKRQDEQAAAAEPTYQSVALVVGSTGIVGTSLLDILPLADTPGGPWKVYALSRRPLPPWSPPAPSPAVTHLHLDLADAAAVAGALRPLTDITHVFYVAWTSRPTEAQNREANAAMLRNVLSVVVPNCPALVHVCLQTGRKHYVGPFEALGRVPFPDPPFTEDMPRLDCPNFYYDMEDILFDEVSRRDGAVSWSVHRPTTVFGYSPRSAMNLVGSLCVYAAICRKEGATLRWPGSRVSWEGFSDASDADLIAEHEIWAAVDPFAKNEAFNCSNGDLFKWKQLWPMLADHFGVEWAGYEGEENRFKLKEAMTGKEAVWAEIVSENELIATELDEITNWCVESELLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >Dexi1B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:1B:6024316:6024965:-1 gene:Dexi1B01G0007290 transcript:Dexi1B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHRGSSSSIGRQVHHQPARLPASSSPSVSDVLPAIHNADAAAPPLFVCNSDALYFNEHPPALDPSDLLRPGQIYFVLPASMLEKPLSTADMAALAVRASLALAAASGTARPRRRRRACGGAAGKKKKTVRVMPVREEMEDGGEDVFFNEKLNQQTLGEFGVVSPSPAKREGKLTAAAAATTSRMKRALSIIQEDSE >Dexi2B01G0032740.1:cds pep primary_assembly:Fonio_CM05836:2B:40565916:40566727:-1 gene:Dexi2B01G0032740 transcript:Dexi2B01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVNLRQLYGDVVETATIVRSLLAPVASQLSSDPSDLHRRCVFGYCLGFFSEPDDVHADVAPFGAVEAVAVCALMHNAAIVFRDESSVAVALRRQAETSVGLFSPVPPLHNNALPLRCMPPDLIKVALNPAAPPSATLRAPRPLRPSFAFRNYPGEPYVNAPSGAPGTSLFVASRRSRVYGPVVGADGHLWMDGDLFYNYDDDKSVRVGPAWKRVVRMDRPGTYAGGLPTFVPYVCYF >Dexi9A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:9A:15849878:15850862:-1 gene:Dexi9A01G0020800 transcript:Dexi9A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLNSLSRLAWLLSLRRHACSGGAPPSKSNCNQKSNGPQVSHQRPPKRETKRIRRDRGGSERTSGTGLTSCSAVRPTYSSAGHEFFRQWKMWPLTIPPALPPKCYLLILTPFLPPHPAPQPRAARPGPRQPPPIGGARGRRSAGQAPSRAPPAGARTLVSTPPHHPRASADRFVAPWGSAGARGRDSDGRLARAGRIGPNPTALLPVSSSSPRVRAGAPQWCVGVEKEDDGRSAAQRLALLEEKKKKKKKKKMGVLLVGARALGQSGRWVGGLGVYTAFATFFDGFVCGFGVGIR >Dexi9B01G0024380.1:cds pep primary_assembly:Fonio_CM05836:9B:20402203:20403147:1 gene:Dexi9B01G0024380 transcript:Dexi9B01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSEVLLDLEFSCIYKDHHVDRIVGTETVPAGADAKTGVVSKDVIIGDDSDVYVRLYLPAGTRANDEGPKLRVLVFFHGGGFITMSAAEPLYHSYINSLAAAAGVLVVSVNYRLAPEHPFPIGYEDSFRALKWALAGEDPWLSQHGDLRRVFLAGDSAGGNIVHNVAMMAVADEGSGVAARIEGAVLLHAAFGGKERVAGESVETAWMMDTLWSVICPEATDGVDDSRVNPLSATAPSLRELPFQRLLVVEADGDFFWGRGKAYYEGVLASGWGGTVDWFETVGKHTFFLFDPSCPEAVALMDRLTAFFAGN >Dexi7B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:7B:20695411:20697012:-1 gene:Dexi7B01G0014470 transcript:Dexi7B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGDEGVAMVVTTPLVVMAVTVIIARLFHSLLKQSSRGRRRCAATLPPGSMGLPLLGETLRFFVQSPSLDMFPFFQRRLEKSKFRYGPIFKTNLVGNDLIVSLDPELNNYVFQQEERAFQIWYPESFMRILGDDNILSSVGSLHKLMRSLVLQVFGPENLRLVLLHDVQNAVKRSLDSWLEKPSIELKAAASSGRKNVLKVMKQLLDERKKEEPRESKDFFGLVIDELKKEKPLLNEKTALNLLFALLFASFETTLSGITIALKFLADNPKALQELTEEHEQIRKRRADPDAEITWEEYKSMKFTSCVS >Dexi1B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:1B:8817944:8822083:-1 gene:Dexi1B01G0009600 transcript:Dexi1B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADPAWARLAVLSSHLAAPPGEAAGLERSPVSAAAPAPRPGALAVVDGRTGRRHEVKVSEDGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSTICYIDGDEGILRYRGYPIEELAETSSFVEVAYLLMYGNLPTQSQLAGWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYRSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPSNALSYSENFLYMLDSLGDKSYKPNPRLARVLDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGGVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPPSQSEELGQIATSNATRRRRAGSAL >Dexi5A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:5A:20684702:20685595:1 gene:Dexi5A01G0017460 transcript:Dexi5A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGGGSSSSCKRCAPDTPLYAMAIDHLKSSSPAGVAPPPPTPSESPLGRLDAERLEQLGGGAREARRAHGSGPATCGRRPRRCTRAVEALLVLTLDRRVAVAEEVAGDAVHGVLEQGDLDTGAIGDFKATGDVDAAAEVDAEVATHAAADADTALRARAVGERVADSLTASLATEQHEIADVDPELLHGGGAQHEVADVDPELLHGGGAERHNGVVEGYLALPGAFSTKDALSMPPAPQSSSARCPLEAVVLVDLEQLHRAAAPRELEGEAAIPMRDGDRELARGRQRRRGKKGW >Dexi7B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:7B:23040186:23042832:-1 gene:Dexi7B01G0017070 transcript:Dexi7B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHTHAENKVGKSGHETEALADPPHTTVTHRTDPPVLPLPTQSADQEEVRTPPHFPGLGPERAEERRRRGRVSANLTAAAAAAQRSASAATAAPTATTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDRAVRESNMSTARTGLPAIRISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLAVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALSRMQDGCLRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLASHPVAPIVTLHHLDVVKPLFPGARSRPAAVRRLFDGPVKLDTAGLMQQSICYDGGNRWTVSVAWGFAVLVARGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYMSSARRAAERGGGETTVTRYERWRHPNETRPACRWDIADPDAHLDHVVVLKKPDPGLWDRSPRRNCCRVVSSPKEGKNGEKTMTIDVGVCREGEFSQVAGV >Dexi2B01G0021160.1:cds pep primary_assembly:Fonio_CM05836:2B:31074171:31076019:-1 gene:Dexi2B01G0021160 transcript:Dexi2B01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGLAKAFVNHYYTTFDTNRAALVGLYQEGSMLTFEGDKYKGAAAITGKLTSLPFATCKHQVTTVDCQPSGPAGGMLVFVSGALQVGDGEHPLKFSQMFHLMPVGPGNFYVQNDMFRLNYG >Dexi5B01G0040000.1:cds pep primary_assembly:Fonio_CM05836:5B:38656972:38660214:-1 gene:Dexi5B01G0040000 transcript:Dexi5B01G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFSALWRRRAAALALALLATALLFTLSRYAAVSSDFPPPSPTYGHRLPTLVDLTLVYDRDALCLDGTPPGYHFLPGFGQGSHSWILHLEGGSWCRNFKSCARRKKSNLGSSAHMDSRVEFVGILSDDESQNPDFYNWNKVRIRYCDGASFSGTVQDEVKNGTGFFFRGQRIWEAVMADLLSKGLSRAKQVYCACSGGLSTYIHCDDFRALLPKTPMVKCLADGGFFLDVEDISGRRYMRAFYNDVARLQDVRKKFPRCSSDMEPGQCFFPQEVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWRNCRMDITKCTTKQLEILQGFRKALLDAINEFKNRRGWGMFIDSCFIHCQSMKAITWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNVALDEPYKQD >Dexi5B01G0025220.1:cds pep primary_assembly:Fonio_CM05836:5B:27326452:27327847:-1 gene:Dexi5B01G0025220 transcript:Dexi5B01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLARRAICLLAVSITLLRTADLRSDASSSSAAVFSLDGYEEDNSGDDDSSSFSFTFPETPPRGLVFGFYDETCPDAEEIVSSTVRRLYHADPNVAPALVRLFFHDCFIHGCDASVLLDSVGGRKSERDAGPNQSLRGFDAVEAIKQRVERACPRTVSCADILALAARDSLVLVGGPTYPVLTGRRDSARSLYADVSGNIPPPNATYAMTLDAFARRGFTERETVALLGAHSIGKVLCRFFADRIYNFAGSGEPDGSIDPDMVGEMRAVCGGDGAAPMEMGYYRQGREVGFGAHYFAELLEGRGILRADQQLTAGSTVRWVRVYASGARGEEVFREDFAHAMVKLSGLAPLTGSDGQVRITCSKPVEDN >Dexi9A01G0044820.1:cds pep primary_assembly:Fonio_CM05836:9A:48425785:48427400:-1 gene:Dexi9A01G0044820 transcript:Dexi9A01G0044820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKESPGAAVSGASGGPQPPGATVCCMCGDRGLLPELFRCSACSVRSQHTYCTDRYPKVESYGTCNWCLRADRGVASTSSSPKSTGKAATGRQAAAHHQQHGETSGGGRSPKVAARGDFASSNLSKPIKKQQQQPQHQRLLLRRSASDLGSRVRDAPPPSPGVARGRPRVRRYKLLEEVITS >Dexi1A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:1A:6000565:6002872:1 gene:Dexi1A01G0007790 transcript:Dexi1A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGGVTFGMNLATITRKTPGNSEPTSEPLPFCRGGIGTIQAEIHAGCRDRTPRHEPPITTSLWIRGGGGAAAGGRWSWMDRAVRFVCCELRGLVATSVHGPERDSERLYLLDVMLMRHLLPSRSALRRTDRRSRASKRRATAMVWI >Dexi7A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:7A:20221932:20227203:-1 gene:Dexi7A01G0009110 transcript:Dexi7A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFSPHPSPLLSLPTTSTSKPRARLRPVHASASASPSPELLGKSALRRISDKLRSLGYIETTPEAPALDPSPGASTAAGPSSPGEIFVPTPAQLLRHRVGCTLDPSWATGDGEASSAARRQRRGRGKDAASAPPSAAELALPRDELRRLQGIGIKVRKRLKVGKAGITEGIVNGIHERWRNAEVVKIRCEDVWAMNMRRTHEILEVSAPLMDGVLDEESSEQSSSGDEDEDLGIESVALSNSAEADETGVPPKLTDREMTILRRLAHPLPFHYALGRSSNLQGLAASMIKLWERCEVAKIAIKRDAHNTDSELITEELKGLTGGTLLSRDKESIVLYRGKDFLPPAVSLAIEHRRKMGSSTIYNPKSNTEESAPATDASVLKVSSDVSVHIHEKEASVFDSTAEPLNTVAQNVEARLSQAIAEKEKAEKLLEELENASQPSKAETREVISEEERYMLRKVGLKMKQFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHSLKDVEYAAQTLEAESGGILVAVEKVSKGYAIIVYRGKNYQRPSTLRPKSLLSKRDALKRSLQNQRCKEVPEVAPLSLEPEIECASDEMDRALNFTKSEVPLGDDDMQSNAYLNKHEDGSSVTASPCLIETSNDQNRHVSLAVDVDELMLQQNQQSSTVTCGPDSHSGGDSKDVDAPKFDVESDPLLPSRATPLSNQERLVLRKQALKMRKKPVLSIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLITELEEATGSVLVSRETNKVILYRGWGAEVAQNSSMENHADKEKEVISPQLLEAIRLECGLLPGESG >Dexi8B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:8B:228287:229836:-1 gene:Dexi8B01G0000330 transcript:Dexi8B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRTTGCAIQRRLLRPHDHQGLESPHGDHSPKSTTDLEPERRRLPQWAIYTIAISGSVLFLVVAATTTYLLLSRGKRDNTVMPWSTGLSGPLSKAFVAGVPSLGRAELQAACEDFINVIGSSSGCTLYKGTLSSGMEIAVVSASTHSAKDWSDGSEEQFKNKISVLSRVNHKNLMNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPTRLRIIMGVAYCLEHMSQLEPPVMPASLSSSSIYLTEDYAAKISDVELWKDDDKDAALRDEESLVYRMGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLHAMADPMLRSSVPDKQLAALCDVVRLCIHSDRKKRPAMAEVARLMRGVTALSPEQATPRNNPLWWAELEIASAADSG >Dexi5B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:5B:4414046:4415051:1 gene:Dexi5B01G0006600 transcript:Dexi5B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAMPPAFATAPSATPTIKLLIAKDANVVLYAEAGKDVIDFLLGLLAMPLAATCKLTSKEKDSPLGPLANLYSSVERMDPEYMQSLETREALLNPAPAHPALVAAAGGFPSLVQPAPPPSSPAPAPPPSPAPVVARRSLSPPRLSSLKLPPFHVGNAYYHGGGDAYLAAAAAQAAHERRGFVRGLVTYTVTDELVVEPMSRISSITLLHRLGVEDLSALEERTVKIGYQEGLEILKASMKKSKTVLTDVFLAKKKRARTSGDKNGATSQQQEKKPRATDPDAEKELAVE >Dexi8B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:8B:887268:887784:1 gene:Dexi8B01G0001290 transcript:Dexi8B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGALPSLLPKLADLLIGEYNLQKEVKGGIIFLQAELETMKAALEEISETPPDKLSKVDKIWARDVKELSYDIEDKIDAFMVRCKGQDSRLAEEQHGLRKIITRSHNLLTQPNIRRKIATDIRDIKSRVMEVHERRTRTFPS >Dexi2B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:2B:27207005:27208183:-1 gene:Dexi2B01G0016890 transcript:Dexi2B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADSWGSSPASPIGFEGYEKRLEITFSDAPVFADPCGRGLRALSREQIDSFLDLARCTIVSQLSNKNFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTLEMCMTRLDVEKAAVFFKNSADGRCSSAKEMTKLSGISEMIPEMEICDFEFDPCGYSMNGVFGPAASTIHVTPEEGFSYASYEAMNFDPSSLVYSDVVKKVLAGFSPSDFSVAVTIFGGHGFAKSWAKSADIDSYMCDDLVEQELPGGGLLMYQSFTAVAPGTVSPRSTLEMDGWSSDGMETAVNSDEMCICWGEGKKAVKKDVVV >Dexi7A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:7A:16898863:16899087:1 gene:Dexi7A01G0005670 transcript:Dexi7A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGWRRIRGSPSSLGSRARRAGWWDAQRESEQRARGRSGWPSGRQTSSCRRAAPGRRRRQATGGARRRQAAED >Dexi2A01G0022350.1:cds pep primary_assembly:Fonio_CM05836:2A:34177804:34180285:-1 gene:Dexi2A01G0022350 transcript:Dexi2A01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQLLLCLLVAAAALLLVVAAKKSGDVTELQIGVKILILMPCLYCKMQHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGPQGSPPTIPGGATLIFDTELVAVNGEPASKSNEDSEL >Dexi2A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:2A:32742083:32742885:1 gene:Dexi2A01G0020510 transcript:Dexi2A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRYMAPVAIILLVPATLIMEHGRTGSCAGDAHVVVQELVALCKDTCRSSAAAFVAGGAFGALWRAFVAHQIKCLVFLLIVGQSAVPTVTLAREDPNFIWILLCNSSMAYFVNLTNFLVTKHTSALTLQVIHLPLLRCSTICAADY >Dexi5A01G0028110.1:cds pep primary_assembly:Fonio_CM05836:5A:31446307:31451461:-1 gene:Dexi5A01G0028110 transcript:Dexi5A01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGAGGGVAGDEAIWRKLREAGFDEDTIRRRDKAALIGYISRLESEICDYQHNLGLILLDRKDLSSKYEQLKASSEAAEVILKRERAAQQLALAETRKREENLKKNLCIQKECVSNLEKALHDMRGEVAEVKVSYEAKLSEALQMIDAAQKKFDEAEDKLLAAKSLEAESIRSRSASLRSLQDIEDREDQLRRDKISFELESASKEKEINLQRKLLDDTKKILHEQEQALLKEQALLNQRDDNILERLGYITHSEKRLEEEKLNLEDERKVLMEEKNKLDLKMQAIISREEAIIKKESVLDKRENELLVLQETISSKEKAEIERLRQDHELDLARRRHDFDTEMEVKRTSFEEGIEARKALMDQRESALSEREDAVAQREKNLDLRLAELTNKEESLMKRSDELREEERKLSSHREAVHTELQNQREEIQNMKLDLEKEKAFFEEEKRKAIEAQEKLLITQNEREDLFIFQMKLKEEIDSLRAQKVELMVDAERLLTEKERFEIDWELIDEKKEELQKEAARIAEERRVIDEHLKNELDIIKQEKENLRVQFKNSAESLACEHKEFMHKMQQEHANWLSRIQQEREDLKRDVDIQRSELLNSAKARQLEIESYLKEKEEEFQQKKSKELEYINSEKAMISSKLEHVRIELQKLEDERKEAILERERREQELSEIKNTIDALNDQREKLQEQRKLLHSDREAITQQIQQLNELEELKIEYENKQLSLRQCGRSKNGGVENLKENAVHLSPDEDQNASPKKCSSPKLILGKKLEVSPSVSTPISWVRKCAQVIFKRSPEKSADHDNDRSARAMLGNTDNFSLVETGGLFACQLENGAAEVPDAVDGVKVGKRRLNNAVSHDQNETLEPKRKHQRINTLTQRVIGGEIDSNWYPFSHLITSVFLMYCHTMKFAKLFTQCIVMPTASTPSVLEEKCSKNEHDAVPAGLSGKGLHYPRTGELDSSDDVLFVNGKSDSSDIPEDDNEPSEISVSAAEALNGDRAEDKHEPDEDSDDEDEEEEEEKTSSAKKLWRFLIT >Dexi5B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:5B:8281085:8283414:1 gene:Dexi5B01G0011710 transcript:Dexi5B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRHRTVEANGVRLHVAELGPEGGAAPVVLLLHGFPDLWYAWRHQMAALAARGYRAVAPDLRGYGDSDAPPDASSYTTFHVVGDLVALIADLGQPQVFVVGHDWGAIVAWQLCLLRPDLVRALVNLSVVYQPRRPERSPLQSIKAACGEDHYMCRFQEPGVAEAEFARYDIKYAFYKTFGMRKPAPPILPKDKSFFDALDSDGTCPPWLSEEDISYYAEKFAKTGFTGGLNYYRCMELSWELSAPWTGAQIKVPTKFIVGDLDLTYNTPGVQDYIHKGGFKASVPNLEDVVVMEGVSHFINQEKPNEVSEHICEFFSKF >Dexi1A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:1A:7457151:7457694:-1 gene:Dexi1A01G0009190 transcript:Dexi1A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAAPVVARDEPWWPLLPKDPISPPPKQQPTSHGAGRRRFPWVTAAGFTYLTFSSGMALHRSRNDPSAMAFVAFAYADLIALFSCLRAYEHAQPGSATRQWLKLAVWLLTAALTVSFAYKVSAVMPPPAAALVWVVGLATVAGGFAAFFCVTTTTPREMAVEKTLRGHKQAFPLF >Dexi1B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:1B:18359441:18362360:1 gene:Dexi1B01G0013070 transcript:Dexi1B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRILLLLSLAAAAAVVVAAVDAEDPLIRQVVPGGDDNELELNAESHFLSFVQRFGKSYKDADEHAYRLSVFKANLRRARRHQLLDPSAEHGITKFSDLTPSEFRRTYLGIRKSRRALLRELGGSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGAHYLATGKLEVLSEQQFVDCDHECDSSEPDSCDSGCNGGLMTTAFSYLQKAGGLESEKDYPYTGRDGKCKFDKSKIVASVQNFSVVSVDEGQIAANLIKHGPLAIGINAAYMQTYIGGVSCPYICGKHLDHGVLLVGYGAAGFAPIRLKEKPYWIIKNSWGENWGENGYYKICRGSNVRDKCGVDSMVSTVSAIHASKE >Dexi3B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:3B:7833028:7833259:-1 gene:Dexi3B01G0011130 transcript:Dexi3B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSSARRKSSGTYGPYSGHAGIVRSLSTLVTNAGKNGPSLRVPVQDGGRIVGFFGRSGSLLDAVGW >Dexi3B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:3B:1943210:1943614:1 gene:Dexi3B01G0002920 transcript:Dexi3B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVALAVLLLVVVGVAAAARPLPAVSLAAHHQENGVTADEQLVLQKGAAAGGGDELEEEEPAACEEGSGDDECMQRRLLHDAHLDYIYTQHKGKP >Dexi6B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:6B:13100772:13102032:-1 gene:Dexi6B01G0009110 transcript:Dexi6B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLALVVAVALFLVSVPSGGATVVNVNSYGAKGNGVNDDTKVGAFLLPSSVSDLALMTAWKAACGAAGAVTMVVPPGTYYIGPVQFHGPCKASTLTFQLQASRLPVGTLKAATDLKRFGNDWIEFGWVNGLTVAGGVIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTIVRDLTSVNPKFFHIALLSDKNIKISGLKISAPSNSPNTDGIHIERSSGVSITDTHIATGDDCISVGQGNDNVEVARVQCGPGHGMSVGSLGRYAGEGDVTRVHIRDMTFTGTMNGVRIKTWENSPSKSHAAHMVFENLVMNDVQNPIIIDQKYCPYYNCEHKV >Dexi9A01G0042770.1:cds pep primary_assembly:Fonio_CM05836:9A:46293242:46302288:1 gene:Dexi9A01G0042770 transcript:Dexi9A01G0042770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPITPRMSGIQRLRHDLGAPRGASSRRTHPVATHSQTPKSRRSGCGASDNGKDTSKPRGTVATVTDAWASIRTPHVSYSRAAGAWGQCRRWAGAGALREEEMDGTASDVAGQRSNFAGCLDRQGERARQGSEGRAGRLPRFFPMWLESGCDWARPWRRCSLAALAFVPNGRLRLRRVVQLKKTLEEDTYTNDEGIAYCSRRRRRKKTATDSIEKALDEDAPGLLELFPKRTSLLKLSIARHEKGEKAIYCLSCLISLIEQARYLHFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSLPIEWQIRRLVTAMKLSGCGRTALIENKPLLVGEDLTEGEARVLEEYGWIRNTGLGTMVNYRDRVVHDRWTERSVNDWRAKIGKLLMTGYAEGQSITIHGPKKVVDLLEATGDAEFDIKLEDPF >Dexi1A01G0022910.1:cds pep primary_assembly:Fonio_CM05836:1A:29520225:29520599:1 gene:Dexi1A01G0022910 transcript:Dexi1A01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLKSNCTGRMKSYMEAGCSIQCRNISNINQCELLQFSNETSFVFLYVDGLYGLCM >Dexi2A01G0036640.1:cds pep primary_assembly:Fonio_CM05836:2A:46128048:46129192:-1 gene:Dexi2A01G0036640 transcript:Dexi2A01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTAMVTFWAAAPPRVSCFTIYGPDLKPSSFGRLPKAIYSEDDLVLLRVPLCRREDSLDAHNNHYFVYQAGAVPSLQLIPITPDIMVSDCEVALLRCRGQDMFYIALLHKAIDAVDYYRQFELQLYNSMTGRWTTESMHVDSQDFSFSYTSIVLAMGGEFGSVGWVDLWRGILVCDLLPREDKHSLRYIPLPSPLVPKPLKGYPMYVRNIIVLEGHIKFFDMHYVRPAASNTGRCTCASEQGLVAATKKMKISDIGSGNNNCWEEDCTIKFSEIPLDSLKFAQVLLNLKQINNGTKLTLNRLHAGYPALSLHDPDVVYVMHTPDPNVYKASVIAIDMRNKTLKDVADFGSGRPLGYTFTYLQSGISKHLNDWSSSR >Dexi2A01G0037360.1:cds pep primary_assembly:Fonio_CM05836:2A:46937680:46945735:1 gene:Dexi2A01G0037360 transcript:Dexi2A01G0037360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPPRSRSAPPVSLHSPKAKMSLSTSHAALLLPRGLASPAPRLCVLAPSPPLLGFASAARGLATPLRAVAPADQREVGLEVGAQGNSGRPLRVGLVCGGPSAERGISLNSARSVLDHIQGEDLVVSCYFIDSAMNAFAISPAQLYSNTPSDFDFKLESLALGFQSLSDFADHLATNVDIVFPVIHGKFGEDGGIQEFLEKANVPFVGTPSKECQHAFDKHSASLELDKQGFLTVPNFLVEKDKLDKPELEGWFQAINLSKDNGKVIVKPTKAGSSIGVVVAYGVNDAAQKAEQIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQDSSNSEAKEDTIFNYRRKYLPTQQVVYHTPPRFPAEVIDCIREGVSILFRRLGLHDFARIDGWFLPSPVTSLPSAENSGKFGITKYGVVLFTDINLISGMEQTSFLFQQASAVITIWYSLVLRHTTEEVHAACVEAAEPERVEITSRLREQVMNELGPALNKHDWFSGFDISYEQPVKYSLEQWINHVKEAGAVVFIAVHGGIGEDGTIQTMLESAGVPYTGPGPIASKTCMDKAATSLAVEHLTSYGVRTIPKDLRATEEVLNSSLVDIWNELKAKLQTETVCVKPARDGCSTGVARLCCPKDLEVYSNALRRKFQRLPANCLSRAHGVIEMPVPPPESLIFEPFVETDEIIISKKLENGSARHLVWKGENDWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPVTIMSEDALQRCKKSIEIMANTLGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALAEEPPVYPHRFFRTLLDLAFERSSRASD >Dexi6B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:6B:7291148:7294996:-1 gene:Dexi6B01G0006580 transcript:Dexi6B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQHAQASAAPRRISAMAAAPQHAQASADSVVSEAGLENNPSKSASSKEQIISGTENTAASNARGASSLKSPRGAPEKASSVGKGGDQPFLYPQNVYAPQPQALYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDNQSVMLSPGYGNNPQMMYGAYSPVSTVGDGQQYLPVHYPFSSSYYQPPASPSMGYSNSVTGISQGDPMLQPEYFLPDGLLYSPTPGYHQPFSSFDRVPTQPNNTPGLFGQGNMPLASGMHHGSMYGPGSYKTRQQGSKFGGTPSWSSGRRFGAFDLNGNQQRGGMPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSADEKSEKTVPIDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNKKLDSSYHAAKEKEEHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEQGLQMLAIFKNHEAETTILEDFDFYEQREKALQENRRQQQPGNTNPQKPADNKALVADMSDAFAKAVQLEAENSGKPLKAESASAENGSTTTANVEERDADVKAGPVEESG >Dexi3B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:3B:12780336:12781655:-1 gene:Dexi3B01G0017370 transcript:Dexi3B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGRAKKAAGGGELSRFLDSHIQTITDTFQMMAEAVPGSLERTEWPEVVKFGDQVSRQATVAGMIWSGDLPNVETLKENIVAYFNILQGFLLVCHGSMVGAGPTLHKSICSSAKNVVDSSFSLFKHS >Dexi7B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:7B:2980823:2982252:-1 gene:Dexi7B01G0001760 transcript:Dexi7B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTASIPCVTLNTGHAMPVLGFGTGSSSTPSDLSSIIVDAVRLGYRHIDTAALYGTEGAVGAAVADAVRSGAVASRGDLFVTSKLWIKDAHPDRVVPALKESLARLGLDYLDLFLVHWPVSAITDEGKRKLVAFDMERVWRGMEECHRLGLARSIGVSNFSSAKMSRLLSFAAVPPAVNQVELNVGWRQDKVREVCGKNGVVVTAFSPLGAFGAAWGSNAVMESGVLQDVAARRGKTVAQVALRWLHEQGVCFVARSFNRERLKQNMELFDWELSEDDKAMIMQIPQRRACHGEFFVSPDGPYKSVEELWDGDI >Dexi5B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:5B:8309199:8313044:1 gene:Dexi5B01G0011730 transcript:Dexi5B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIKRAPGGAAQAALRGSSEDRKPVGLGSPPPTAVAARKIQLKSADMKEDMRQEAFEIARVAFDTHNMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGNDLLSSWKHSLISKGSYVTHETNYFVYFYIDSKAVLLFKSG >Dexi2B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:2B:9960603:9961690:1 gene:Dexi2B01G0009160 transcript:Dexi2B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGTLCVEAESGSRGGPCPPPPGEVADEGTDLISRLPDDLLGEIINPPPHQGRRPHPGALPPVAPSLARRPPQPRGRRRAPQRGKPCGAIAGALRGHGGRVRRVSLAWRGYCHPFPELDCVLRSPMLVNLHEFDLLHAPWQPWVETHSQRIPHSVLSSSSTLRVLRIWSNSSEKLQFPMESARTLSFPCLKQLTLSDVNLSESTLHGPCLEQLTLSSVNLSESTLHDLLSGSPVLVSLVLAGNTGLGSRLHIRSLEIGAS >Dexi7B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:7B:11535863:11536136:-1 gene:Dexi7B01G0004560 transcript:Dexi7B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFWLQAVAEEEGLHQVEVVTSEAKALEAVALTTEVEAMEGASSIIDPIMVAEVVAGVAHHVEVSTTLVLVVVVPELSSW >Dexi1B01G0025840.1:cds pep primary_assembly:Fonio_CM05836:1B:30804430:30811285:-1 gene:Dexi1B01G0025840 transcript:Dexi1B01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPDRRTIYCPTTVSQNPSRKLFQVAVSLRSPCDSRRAHIPAFEPILEPSAQRETQKGHCCCRFLKRAGICHTIGGLAFGVHWITGSQISSASMTRQAETQQRPAIEVNDHPRAGGFRLDRGSAASTLTLERVVSVKIEARRPRPSPSSGLSSLEGDPYPRAGSFRLVRGLANSSLRSKLDAQPTAVHQSRHDAVKKQGSLLHAITYSRRGHRAMLRARPDPASSTVPTTPLTLPPAGQAATLEGNLEGGHGGSNWTPYASYLPSTPTIRQPLPCRQQSWKARFPLAHMHLPTNTCTRTLLPLVYKRGREAHEKERNGKSTKTRREHNLGLRSLSPSPTLLVNPYYEQHATRCIAPLLDVRPRGRNQDKTPSLTLAIGKTSVGRNDVNHITPQPDLSSVRPFSVPTTGLAIILPKPLRWPVIHVTTEPPYHDSNWQQTQGHILSPSRLTKGNSPNHCDVPTRTECSVPSPSHAYLPRRPADHAAPHHPSGQKLPLLLIPSSMCSPILSHVAAVMAAMAAVFAVGSPASAFPSSLPPGSPPFPNPWAAFQNLSGCHMGEQRQGLAGLKDYLSHFGYLPTPPSSSPFTDAFDHDLEAAIATYQRNFGLNATGVLDTSTVEQMVSPRCGVADVINGTSTMARRSRSSHGGRHLYAYFPGGPTWPPFRRDLKYAITATSATSIDRSTLSDVFARAFSRWAAATNLKFSETSSESDADITIGFYSGAHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWVAGAGDDDVSRSLSSTGAVDLESVAVHEIGHLLGLGHSSVPEAIMYPTIRTGTRKVELEEDDVQGIQSLYGSNPNFTPTSPATSSREMDSGGAGEGGRRPDGVFVGVVVAASLSFLQTCRAATSFAQNPGGARPTRPRLRRPWPTCGPILATDPPTNQQNQPSTASRVSSAFLPPIQRVVHRRRCFLSFSTSTKNPFFFLAIDRFVGRGSSYKSHEPLPLPPALLASTARKQQHAVFVLGFSDSSSRGSYVVVLVAGEVDRDRRNHAAQQLWDYGGDPSPRARGRQDGSGKKQGGVEKTKAAAATGLRKVKEGTTSGFQWIKDKCQKKSGGGKKQSTHEGSGIAGY >Dexi5A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:5A:7131269:7132356:-1 gene:Dexi5A01G0009530 transcript:Dexi5A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTVAYGPKDISNGCLLKPSATATPPLVRISGRRNDLYTLLVNYFIS >Dexi3B01G0038380.1:cds pep primary_assembly:Fonio_CM05836:3B:41169274:41170179:-1 gene:Dexi3B01G0038380 transcript:Dexi3B01G0038380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMARFLAAAGKATARRSAAGSSLCRRFASSARHDDAQEAVKWERITYAGIAVCTVLAIYNLSKGHQHFPDPPPYPYLHIRNKDFPWGNRKKKHSDGHH >Dexi7B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:7B:5302122:5305206:-1 gene:Dexi7B01G0002760 transcript:Dexi7B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVATTTGAPSSLSRSVDFSFLSSISYLPSQTPKGVQWLRKSELEAIRGNGCGERKRIERIYDYDVYNDLGDPDHDPDTKRPVLGGQERPYPRRCRTGRLRSKTDPNSETRTKDIYVPRDEAFSATKQKAFTAKKVLSALHTAIPKLKNVLHKEKSFPSLDAIDAIFEEGYMNQPNKEDGSWQSVVLRVLKEEIRNFLTGHFDDLDKFFKFETPEKLPTVSQLDEADYGPRESLLTQQLIEEQINGVMTADEAVNKKRLFILDYHDALLPYVHKVRTVEDTTLYGSRTLFFLTKEGTLKPIAIELTRPANPSTGKLPWSHVFTPDGSVTGSWLWLLAKTHVLAHDTGYHQLINHWLRTHCCVEPYIIAANRQLSQMHPIYRLLHPHFRYTMEINAQAREMLISANGVIESTFSPRKYCIELSSAIYKDFWRFDMEALPNDLIRRGMAVQGKDGKLELTIEDYPYANDGLLIWDAIKEWASDYVKHYYSSTDDITNDEELQGWWEEVRTKGHEDKQDEPWWPKLNSHESLVQVLATIMWITSGHHAAVNFGQYPYGGYFPNRPTIVRQNIPLDMGRQAMKRFVDDPEKVLLDTLPSQDQGLMVLLVLDLLSSHSPDEEYMGTQVEPAWKAEGAIRLAFNKFQGRLREILEQIDDWNEDPTRKNRYGAGVVPYTLLRPCDGDPTDEKSVMLMGIPNSISI >Dexi5B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:5B:3131811:3132268:1 gene:Dexi5B01G0004660 transcript:Dexi5B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAEEAAFSRSGSWREAEDEREALRWAALQRLPTVARARRGLLRSPAPEGAGAAAAAAAAVEGDDVLCEVDVAGLSSGDRTALVDRLLADSGDAEHFFRRIRSRFDACAALRRLRTRADARSF >Dexi5A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:5A:22540402:22542063:-1 gene:Dexi5A01G0019070 transcript:Dexi5A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAVRHCVLGCLPVPAVTRVGTLSAADGYDDGEGRISALPDELLRDIVSRLPVKDAARTAALSPRWRHVWRSTPLVLYDEHLFPAASEDARVAAIRRILAGHRCPLRTVHLVYCFFGFDERELDVWPRLLADGGVQDLVFISQPPPVDMPLPADILRCTELRRLYLGFFVFPDTRDLPKGAGIFPHLREFMILNTCINDGDFDHMLASSPQLEKLALVASYGLPERVHLRGKKLQCVLFWLSMAVEFAVVDAPCLGRLIMWRTRPPSGLDKSDDEPRMRLRITCAPELKVLGYLDLGAHQLQIEHTVIKARTKVSPRSMVPSVNILALKVSLSVSTEVWMLASFLRCFPNIETLHVESAVADKPTGKHYAEFFEEVSPIECVWNHIKKIVIHEFQGDISEIAFLNFITQRANVLQKLTLVLPDKALVPVAQDLLRALAIPPWASKACMVLLVGPIGKLGWNLHRASDLSIDDPFLLEHEQELFHLVKKGE >Dexi5A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:5A:8350032:8350901:-1 gene:Dexi5A01G0011140 transcript:Dexi5A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTARFRSLQVSYYLMSVDGSQNQLDVCFYNSSGRTALAYAVGAFILLAVAMFAEHAYMLVAVAAPESASAGLAVAHENPRVASTAATLTWQTCCLFFLTWICFGLAEVLLMIGIGVESGHMSDWKKPRPVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQAQRLRGQPHAPHYGGGGGHFVGHGGAPYPGVQHQHLHPHPAPHPHPHPHPAPSAPEITAAHCQVQPSGASIVTKEVAEV >Dexi8B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:8B:2374751:2375706:-1 gene:Dexi8B01G0003230 transcript:Dexi8B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDSHRRLDCAALSETKTVFLDFHSRGAFIYDDAARCVVTLPTLHDIKWSTTCLAVSGDPAGAGDEDVVDDDDKIFVIGTHMYPAGDKEDTFQFQALSHRRKEYSSYSHPAFAYMNPWRCDELPPPPYIHGEGYLKTSIESYGIVGGGLLCISTEGVGTYCFDMASRTWVKAGDWALPFSGKIEHVPELGVLVGFPAGEEDDDQRLGVSPLPWTVSAAVDGRRPKLLEVAGDLLPPEEWKKVAGARPQLVNLGSSKLCAVQFFQKMVVRGGGGDHEDDDEPSGGMGIRAQE >Dexi3A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:3A:1909110:1910253:1 gene:Dexi3A01G0002900 transcript:Dexi3A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLQGRRIRSDQIGAERSSRRSRATASSVRIVPGMDVDQPNPSLPAVTRLPVASGDHQQHQDPDDDDDLDAPFNSMQLGYQENAAEQAYHDVAGDGAGELGMELDPDVAFQDDDDDMWMQSPGVEATPDTRFTDDGGFGGVPASAAAIAGLKKKRYDGSSSGADDDDTCVICMREYKKGKKLFVMPCAFKHRFHRKCLKKWLARSHLCPLCRHALPTEDTSVHRSSI >Dexi1B01G0024580.1:cds pep primary_assembly:Fonio_CM05836:1B:29852029:29855610:-1 gene:Dexi1B01G0024580 transcript:Dexi1B01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSAMAEEQGRGGLPNLPSISVPHARPPPSPAPSFVLQRAFAVLATAAAGHGEQKLLAHPLPRILPQMRFSTAKPPLPRDGALLEPTKDGRQRLSVAAAPSSRPSRRESTSGNHRGRPSWAEFGPIHFLIHGLGEWQPLDASGRVGFIDCRVPSATAMGSHISPMRGSMSNYHDNHAEELEDDYDYDMDDPVDDMVDEHQDRGFMDSDSDDENYVRSNADIPDTSSEDARKGKDMQGILWERLAVTREKYRQTRLEQYKNYENVPNSGEEAIKDCKTTEKGGMYYEFRQNTRSVKSTILHFQVSKLPFLSFLLRYAMG >Dexi4B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:4B:4080167:4081572:1 gene:Dexi4B01G0005770 transcript:Dexi4B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSLATGSGVCSEWAAPALKDLLPELSLEEQQRLENRGFRYRGRRKLKGRVNKAPPSPPPSMAQRIEGHKGGEFDAVKPLMEERVGFRGQIWFHLNFWARSRSTNKIKRFFAELHYKRSSDSNLIPIVEACMIIGTCTTKEPLSQYRTTCAFCHASSDILHPKGCRKFVCGNDKDRIGQRLVQLHGGLYNDLGM >Dexi8A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:8A:29577748:29581464:1 gene:Dexi8A01G0017720 transcript:Dexi8A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMCNPTMMARRNPRTGTKIERKTRTGSVVGTVTKIVGETGIGTEIGIATETGTGIAQVGITVTEGNTETVLMITEAVTVILTGQLPGSSLPNIGGMFPNMLPFGVAGQFNPLVMQPQAMTQQATRHARRVYVGGLPPSANEQTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSANLNLAAVGLTAGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGSLPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGNLVKVVIPRPDPSGQPVAGVGKVFLEYADTDGAAKAKTALHGRKFGGNPVVAVCYAEDKFANGEYDG >Dexi6B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:6B:5262654:5263526:1 gene:Dexi6B01G0005740 transcript:Dexi6B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYFLLSVLLALVFSQAIASDPSPLQDFCVADKDSPVKVNGFVCKDPMHVTADDFFKAAKLDEPRNTKGKLGSNVTLINVMQLAGLNTLGISLARIDFAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFTKVLHKGDVFVFPEGLIHFQFNPVHDKSAVALAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >Dexi5B01G0031260.1:cds pep primary_assembly:Fonio_CM05836:5B:32065351:32066574:1 gene:Dexi5B01G0031260 transcript:Dexi5B01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCPRRGLVLPLFNLMHQTLGDSSWTSACRERLDEIGKKIKREPDNTAALALAAAASASASTAAADSRVPRRLGLGGAINTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVAEGERADAASSLVYEASLRLRDPVYGCMGAISVLQQQVNALEAELEAVRAEILKHRFRQTGGGGARAATLVDGDAHATASFVAPAAQAVHARDVVSMAEAAGQEVSGAAGGAPGMSASSAVYVADGEQPSSTTDHYSSLNPSEHAAYFG >Dexi4A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:4A:5884018:5885881:-1 gene:Dexi4A01G0007820 transcript:Dexi4A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADARSTEAIDEPSWLEIEEASPFTAKKTDKTTASSDFRRWSSVVNATLVVLIMTMTPVLFFLSGGLTSPSVWIKSTIASIGTSQTTQKEPKRDVLVGGLLVPGFDEQSCASRYQAAYYHKNMTRPASPHLIKRLREQEALQLRCGPGTEPYTRASERLKSGQTTNDTDSVDGCSYLVLISYRGLGNRMLAMASAFLYALLTNRVLLVDTGYGNTLADLFCEPFLGTTWALPHDFPLENFKELGEDAPESYGNVFVNRSGSVTGLRFVYLHLDHAASPANRLVYCDDHREFLHKVQWAIIRTDQYMAPGLFFNPAYQEELSRLFPKKDSVFYTLSRYLLHPTNDIWGMVTRYYNSYLRNADERLGIQIRVFDDSDKPSQQVLDQILACTSQEHLLPGVVSTSGVAPALPTAGVSQSMAVLVTGLSSWYHDNIREMYWKSATIDGEVVSLYQPSHEEHQLWFHSKHDMKALAEIYLLSLTDKIVTSGWSTFGYVGQGLGGHTPWILFRPMNYSEPAPDPPCTKAMSMEPCSHGAPSFECTRKDISTNLDTGVLLPHVRPCEDMSWGLKLTDPAIEKKV >Dexi2A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:2A:13460165:13461459:1 gene:Dexi2A01G0011570 transcript:Dexi2A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETITSSMLGSERLHDLPHGGVSLPWAAAHSSDHRALCLAPPLGWPPPLGASGGEAVRLAAAGTRQRSDGAKPESRGQRLSRRFRVAVGPATCSSPPSVPARQAGSAPSSADRATAEPGGNYIYRPTSYRLLKNDT >Dexi3B01G0021510.1:cds pep primary_assembly:Fonio_CM05836:3B:16400789:16401116:1 gene:Dexi3B01G0021510 transcript:Dexi3B01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASYALHPDGLSDDLDTPGHLCSCEGAVVTGGGRPSWKLGKEKMFGEGPNERHVGATLLYMGGGSGADERLASPLTTATQTRTSSRRKREWCHDPLPAIVIG >Dexi1A01G0031870.1:cds pep primary_assembly:Fonio_CM05836:1A:36670991:36674502:1 gene:Dexi1A01G0031870 transcript:Dexi1A01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPPLDPSSQAAAEVPLAPGFRFHPTDEELVSYYLRRRVLGRRLRVDAIAEVDLYRLEPWDLPSLSRIRSRDSQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVYHRGKAVGMKKTLVFHAGRAPKGERSNWVMHEYRLLDADGPQDLHVVCRIFQKHGSGPQNGAQYGAPYMEEEWEEEEEDDAITNAPASGASAGMPAITCAVDESNEEDENGYYKANELAQAHEMLSSPEMASLQAQGSKDTSDGSGADGVISLEEILQEPLPNINAEYIDRSEGHNATDDNFSVDDLLSACPRKDDDYVGQDVTLNGGYQADDSYTQWPLRAYSNQNYANGTLSAEEFFDTQNDTNGNAYSEHQQADGFPAPHQVDGSMVFDDAPSDYDLVHGNDDFVYLNDLLNEPLGNESLFDGDDMMAYFDATENDFKYDISGSAPGSDYQFAETAPNFDKKVANKVEFTFDGIGKASEASGQYSASSSGSHEDTYPDTAVPDDTADKTLGKRLTNMLGNSMGYIPAPPAMASEFPPVMGKSVGGLSAAASPSSIRVTAGIIQLDGLTFTERWPLQKNGGDFSLLVSFTVESDVASKGVGFEQATRVGMALRSGFYLFLVSAMMLVLSYKSCICSR >Dexi7A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:7A:12616712:12618013:-1 gene:Dexi7A01G0003310 transcript:Dexi7A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSRLSASSRSFRAGAQRQNFIQKVPQSVRRGLEQRYFVPDVVSIGPYHHGSDHLVEMEQIKEAVAHDFCHSSLSTVPQFLEAVRPTLQDARRCYADRFESINYNDFAFMMVVDGCFLLAVMAVFTKSYPEGLDHWSWTHGRMLRILKDILLFENQIPWSVLRALMNLRRVPVDQFVDRILAYFEFDVHSREAPARSQWEWDGLNPAHLLDLVHRRHLGGLPPNVGGGGSAIRYCDYARPFAPFTSAVELAEAGIHLHGSNSCRVTEVKVKPTFLVKNIVRLQPFSIGRLVLPRLALSWLPRCWLINMVALECVTDRSDNSGVSSYLAILGSLVRAERDVKELRSKRILFSTMSDQRTVEFFEGILDPLPRQELYLRTLADIVHLRASRSARSGIHAFYHRNRRTIITFVPLLSLLVAIVAIVVNNSNKHK >Dexi7A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:7A:17298511:17302460:1 gene:Dexi7A01G0006020 transcript:Dexi7A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSSRSGSQPAQQQPAAAAREEELPLQPQPQPPELLTSDDISEHLNEVIENSSSSNKPSKLDDPISESSSSAEERAATEKRPKDDSNVIDPTFLVEELKGLQIPDQIVHGNSVPSGTGSSQMAGATSHPPPPPAPPPKPSSGNNGLRRMGSGSSNSVRIGSSRRPVAWPPVATRTSTSGSRPSSPRSLVDGEGYNSADEQGPCYASSYDDLERDRMFEHDLRRVKGFEIRKMAEDGNCLFRAVADQVYGDAEAYEMARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYSTEPINIFHGSYNTDVPPIRLSYHHGNHYNSVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRFYSDLELTEREIERMVMEASRAEYLAEEKKFNIRESSTSWAEPSSSAAISGSSHSAATVDRGSEECFVLPDTVLTRSMQLLLAMGFSYNQVIEAYSIFGEDVDSMVCYLLETGGPGASDGGSNRRKGKAAE >Dexi3B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:3B:6932303:6936920:1 gene:Dexi3B01G0010030 transcript:Dexi3B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFGAASNEPSEAVRDVFQAYVTDAGGVIGEEALRMLLREVQGETDDAAAAKEVMALAAEQRLLKKGGLTAEGFHRWLCSDANAAIDPRRGVYQDMASPLSHYFIYTGHNSYLTGNQLSSGCSERPIVKALLDGVRVIELDLWPNAAKDQVEVLHGRTWTSPVELNKCLEAIKEHAFTASPYPVILTLEDHLTPDLQAKVAKMIRETFEDMLFVSESESMSEFPSPDELKGRVIISTKPPKEYLQTKSGKEEAADEKDEEGVWGEEISDDKTTARQARTDLIYHHASSPFRNSSSSYSAFMSLKIGDH >DexiUA01G0000650.1:cds pep primary_assembly:Fonio_CM05836:UA:2415196:2421152:-1 gene:DexiUA01G0000650 transcript:DexiUA01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAILRSSVMTRSLVNSAARGTRSTSSPRRRSRAAARPLLRWMESRQTRLRFLYPPASGKSATTRERPMQEEGEEEEGAAAAEGEEGSGESETPSSAAHRRSGSIVGGRLGFTQDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFYEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDADGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVRNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVAIFDLQQRLVLGELQTPAVKYVVWSTDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAARIAAELGENVPALPEGKSHSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDIVDASEVVENGGDGFVDVEEGEPNEEDGEEGGWDLEDLELPPETETPKASGNARSAVFVAPTPGIPVSQIWTQRSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWSESASPNVRGPPALVFSFSQLEDRLKAAYKATTEGKFPEALRQFQSILHTIPIIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELKDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQRNYATAAHFARMLLENSPQEAQARKARQVMQACQDKEDSHQLNYDFRNPFVVCGATYVPIYRGQKGDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >Dexi7A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:7A:16138372:16141065:1 gene:Dexi7A01G0005060 transcript:Dexi7A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRIGAVAAALVCAAALLLGGCRCISAQTAEEDKIGGLPGQPPVGFAQYAGYVPVDDAGKRSLFYYFAEAEADPAAKPLVLWLNGEANMLYLESPAGVGFSYSTDPSFYQGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKNKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSTACDRVMSQVTRETSRFVDKYDVTLDVCISSVLMQSQILTPQQGSRELDVCVEDETMSYLNRKDVQQAMHARLNGVQRWTVCSSSVLEYKQLDLQIPTINIVGALVKSGIPVLVYSGDQDSVIPLTGSRTLVTRLASRLRLNTTAPYRAWFQGKQVGGWTRVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGRPLPESFE >Dexi5A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:5A:8287565:8287804:-1 gene:Dexi5A01G0011030 transcript:Dexi5A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLFLRAIVSATDESLAGELNEAAALPEQRQQWTFSPVARPATVCGGGAEEEEERWLVACLEWPRVDRKSAWMQIV >Dexi7A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:7A:27168225:27169146:-1 gene:Dexi7A01G0017480 transcript:Dexi7A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISNETLEDSHIVCTRVTFTPTVEHCSMATVIGLCIRVKLIRSLPPRYKVDIRVAPGSHSTEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFD >Dexi4B01G0021320.1:cds pep primary_assembly:Fonio_CM05836:4B:23323069:23323953:1 gene:Dexi4B01G0021320 transcript:Dexi4B01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLRQAAAPATSSPLAATIRKNPSSSRTHGRLSFSHTTLQTTPNHRRNQSGWAVRVLPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIVDTETGLDLNTDNVEQVLDEIRPYLSGTGGGTLELLQIDGYVVKVRITGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >Dexi7B01G0024780.1:cds pep primary_assembly:Fonio_CM05836:7B:29027412:29029728:1 gene:Dexi7B01G0024780 transcript:Dexi7B01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLTLFVFLLPALPLPGASASADICIVGAGISGASTAFFLTNYTSSIHGHGPQLRVFERRHRVGGRLATVAVAGDRFEAGGSIIHPRNLHARRFADLLGLAVEAAGDDDWLGIWDGKSFLFQTLRPLPPGTSWWRRKLHALLNSLLLLRRYGLSLLKMDRFVQEMLQRFMLFYSDFESRPAFATVEEMLKWTGLYDLTRRTLEEELLDAGLSSQTIAELVTGYFGLNSASDIPELIGTLEVPDIPFSCISVLKRYGEDDRTYKMFSRAKLDDDLLDQIFSSARKETIRINWAAYPHYEAPEEFAPIVLDGKQLYYVNTFESAASAMETGAVAAENVARLIISRLSLPKREAEPPYIKPFAEEEEEEGSRRRHVDL >Dexi3A01G0030830.1:cds pep primary_assembly:Fonio_CM05836:3A:35155354:35155648:-1 gene:Dexi3A01G0030830 transcript:Dexi3A01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRSSSQSQQHEPVEQMAVSIDSKNRQEQVRKAAAAEHMNRPYYASSHDELVLMVSLDSITKIM >Dexi7B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:7B:13254450:13258167:-1 gene:Dexi7B01G0005750 transcript:Dexi7B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSAATVLRSSFRSPAHMAVLAALLLLLAAPRPTHSSSSSSHGDPVRFNVDDEHTVTTTVLFNSTRQAPLPPPHGHRRSGKAVVRRLLAALRNRTTTTTTRSRHVCRGVGAGTATGTGDGGVVYYGLTRCAAGTSCEDCRRCLRGAVAAVARAYNGSAAGMQVRRLSCMARYESHPLYSTASLQQSNDHRQIVGGAAAATGGRPLNYSASTPLPTPPAPAPPPGGHTTPPPPSHPPPAAENNPPPPGLHAAPPLPAATPVEPDGMQGKKLYDQEDERLAMEDEDMDGEITSCQSYSYQGTLENGKEVAVKKLRDSKRNQQELEREIYVVANLCHKNLVRFLGYCLEDEVKIIPKEKMTNFVLHTFSACFHSQKLEWAAWFNIIKGIARGLRFLHNKGIIHRDLKPHNVLLDENFNPKIADFDLMRMCNKEKTHESTEKIAGTFGYMAPECTSGRKFLLSIKSDVYSYGVLVLEIITGHKIYTFEGQNSEGLVEYVWQHWTEKRVGDIVDGDLCVDGQNEHALRQALRCVHVALLCVQSDRARRPTMGQVIAMLSIDDGKMMELQEPSLPGYVVFRPTAASGPIGYRVSDAGSS >Dexi9A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:9A:7195754:7196537:1 gene:Dexi9A01G0011470 transcript:Dexi9A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASGGGGSSPAASDLMIVAAIAALAEENGSSQAAIARRIEAESRGDLPASHPALVAAHLSRMSAAGELVAVAGGKYALPPPPPPPAPESPAGDAGDEDDDCADEEEEEAPEPLPQPPVKRGRGRPPKVRPPGFPAAAAAPGPVGVPGAAASPAAAPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMAPRPRPGASTTAKAARPQFAEVGFV >Dexi9A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:9A:8529855:8536857:1 gene:Dexi9A01G0013300 transcript:Dexi9A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGGRGGGGGGRGGRGEYGGGRGGGRGRGRGRGAAELGGRGGGERGGGRFFHGAQPCGRGGQQQHYGVAAQGQGRWQVAAPSATAPAPTSAEVEVLSGELERRAVVVAEEAREPPVGQGARVEGGGTVSLESGQQEEAHQVVAAPPAGRLPPASGKALVFPARPGYGTIGRRCRVRANHFLVQAADREIYHYDVVITPESKSRVRNRWIVTELVELHKQFLDGRLPVYDGQKGLFTAGPLPFKTKEFVLKLTNPERANRGETEYKVTIKDAAKIDLYSLKQFLAGRQRELPQDTIQTLDVALRQCPSASYVSISRSFFSQAFGHGDISATAFYKAQPIIDFALGYLNIRDTSRRLTDQDRIKVCNILEGQRYSRKLNERQVTSILRMACERPTQRESSILEVVNRNNYIHDPYAKEFGIKVTNQLALVDARVLPAPRLKYHDTGREKVCDPFIGQWNMNNKQMSGRPCVDIGQVRPDNLEAGLKNIHGQSAQVLSQQGVPGQALQLLIIVLPDANATVFYGNEHVKRLSKLIAFLYWINKFQFSFHSCAGRAKRLCETELGVITQCCLPRNVQKGGQQYLQNLALKINVKVVASMDWPEVSKYRCLVSSQGHREEIIADLFTQVKDPQKGLVHGGMIRHVGCVITLLFFRDGVSEGQFSQVLLYEVDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEDHRARDQTDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSRPTHYHVLFDENNFTADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDEGLSDQGSSSAASSQRHGHATIVKPLPKVKENVKQFMFYC >Dexi3B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:3B:9556659:9559798:1 gene:Dexi3B01G0013400 transcript:Dexi3B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSQSPSSRAAGTRGAVEPTAHSSSSPDSSRLSYSPAAAFAATPSRSSDDSCVVNDVDAFARTIAAIRSKPPPAAGSSSLASVLSHYAARWLPDAAAAASSPSSGRFLQLPPESPTAAWLRKRLLLESLVAALPPDDHGDGHGGDGITCDFLLRLLRAGSTVGADAALLGDLEARAARRLDQASLAAVMIPAFGGGGGGAPSPATTRLLLDVPLVLRLVRGFLREGTKKGGAAAAAARVARLVDAYLAEAALEAGLRPPEFEELARAVPAHARAADDELYRALDTYLKAHPRASKEERRSLCRLIDARKLSPEAAAHAVQNDRLPVRCVVQVLFLSDHGGIGGGGGKPSHHHRLADWAGGSFRAFDLSSAAVGTTTGGARCPSKREVVSAAQQQQHELRRLREDVARLQVQCHAMQAQVDRLSSEGRRRGRGLFGWGAALLFRGGGGPGPSSRADDSDSGVDRTPLSARKQGRQQQHAPTPDTGTPATVARWRRSHS >Dexi6B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:6B:2335961:2337047:-1 gene:Dexi6B01G0002750 transcript:Dexi6B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMDYPEGPVPDCGEEKQDASLPLPAAFLEFLSENGLDPAVYSMAATIPRYIRLKPGMESKIAEIEGELKCGLQKVSWLPGFYAIPPETQIAGSKAYQQGKLLELLY >Dexi1B01G0027540.1:cds pep primary_assembly:Fonio_CM05836:1B:32235869:32238875:-1 gene:Dexi1B01G0027540 transcript:Dexi1B01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVAARKRSQSQDGEQQQSDKTVGVHGIGLWSRPRLVAAPEAAVAGGDAMAPPLNFAMVDDGIFRSGFPDAANFRFLLSLNLRSIVYLCPEPYPEENARFLEQNGIELHQFGIEGSKSGLTVPETLLLHEPFVYIPEETIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYLHFAAAKARSTDQRFMELFDASSLMHLTATQ >Dexi7A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:7A:26853869:26854126:1 gene:Dexi7A01G0017030 transcript:Dexi7A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRGTPHIHVGRSLNVPILASARAPPPQMPHARATPGRDARREEDWVWSTAPRSFTCLSPQPWGAPPPEPLVSKQRCSGAHPP >Dexi7A01G0023270.1:cds pep primary_assembly:Fonio_CM05836:7A:31314231:31317526:-1 gene:Dexi7A01G0023270 transcript:Dexi7A01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMVRSCVQTALKAVNSVVGLAGMAVILYALWMLRAWYREADGLHQRLPYMIIVFVLIILEGAITADVFLNINWEEDFPPDPSDKFNEFKDFVRSNFEICEWVGLSVVAAQVLSIVLGMVLRTLGPDREADYDSDDDANVPARLPLLRNQSQHGPDYAEPNTSRRNDSWKLRILDKVRVVSGVN >Dexi5B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:5B:21985952:21986215:-1 gene:Dexi5B01G0019680 transcript:Dexi5B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDASAGGSGAGGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIVSPPPPSLNPHACCRSQVG >Dexi3B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:3B:8291911:8292613:1 gene:Dexi3B01G0011880 transcript:Dexi3B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGREPKRKKPSAPLGGGSKEEAVVRRLTVADTEALDCGVCFLPLKPPIFLCVVGHVLCSPCRDKLKGAGKCHVCRVSTRAGGYRRCHAMERMVDSLRAACPNAPYGCAAVPRPRGAHPDLPARAVPLPRRGVLASRAPRRRSGTTSYSRHQLRDGFNFAVSSKGHLLLLNVARHPFGRTVSVLYIRPRTAAAEQKII >Dexi5B01G0037980.1:cds pep primary_assembly:Fonio_CM05836:5B:37296960:37301811:-1 gene:Dexi5B01G0037980 transcript:Dexi5B01G0037980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYGVADEEDRFRCKCKAHPYNPFAGGKSATGDLTRVTLSANATDGHNPLRPVSFTAVAACAPPSLLANLPAGAAGVAGLAASRVALPAQVAREEKVPDKFLLCLPRSPGDGVAIFGSGPLFLMTVTAPPVEVETDFASSLTYTPLLSRRGSSSYYLPVNAIAVDKEQVKLPAANPLAAGGVVLSTRAPYTALRPDVYRPFVDAFDKALKSQSNSKRVAAVAPFELCYDSKTLPGPTRIGWLVPDIVLVLDGGKTNWTFGGLNTMVDVNGFTAACFGFVEMKVKPEKKGGYGGAPAVEIGGFQMEDHVLQFDLEKRQLGFASYHPPDCPRTGHGVADEDDPFRCKCTAHPYNPVAGKFASGDLTRVAVTANDSDGHNPLHPVSFPAVAACAPHSLLSNLPSGAAGVAGLARSRVSLPVQAARAQKVANKFALCLPSGGNGVGVAIFGGGPLFLLPPGSPDVTARLAGTTPLVRKAANPGYYITAKGGVAVNQEEVQRGPLVVGLSSRVPYTELRSDVYGAVVKAFDKATAGRKRVTPAVAPFELCYDSRELGSTRVGYAVPQVDLMMDGGANWTVFGGNSMVQVDDNTACFGFVKMAPEEEKKEAAAVVIGGFQMENNLLFFDEEKQQLGFSGLLFGRQTTCSNFNFTLAA >Dexi8A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:8A:29004285:29005088:-1 gene:Dexi8A01G0017270 transcript:Dexi8A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLTEVSPQELLVGLADVQSHLIGYVKSMSLKCVVDLGIPDAIHRRGGTATLADIATDTGVHPAKITDLRRLMELLSSSGMFTVTDGESSTGGTATAVYGLTTACRFLVGYLNLSPIVSFYTEPATARSLFELAHGHSLWEMARKDDAKLNTVLNNSMVADNQLFLEVIILDKGRIFRGLSSLVDVGGGSGAGAQVIARAFPRMKCTVLDLPHVVEQATDGGDSNLQFVAGDMFESIPPANAVFLKV >Dexi9A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:9A:14202267:14202542:1 gene:Dexi9A01G0019280 transcript:Dexi9A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEDAPFVGLGGGWDLWLCEVGSLAV >Dexi5A01G0024030.1:cds pep primary_assembly:Fonio_CM05836:5A:27909204:27913003:-1 gene:Dexi5A01G0024030 transcript:Dexi5A01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRSPAPERRRGIRRLLLHPRGEASSSSPPPLPPAVAEEGRRRGFASAALRGLGCTSAAASQAYAPGAAAAAAVRSSADWHGRRRRKGKERRKERGGGGGSGGLVAGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGGRAADGERSHRERPCLSRRATVPEQMSSSFMDSPPPPHLDGPFFGADFIPSGRLRRMRGYRHSPGGLEEELAGHATLEPQSALYIMMFQTRVLLGGMNMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEITRSIRKVKHPSFGSFRFATEMEKKCSICQEEFESNEEMGRLNCGHSYHVYCIKQWLSQKNTCPVCKTAVTKT >Dexi9B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:9B:7714620:7715285:1 gene:Dexi9B01G0011790 transcript:Dexi9B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMEKLVNHCDMELMKMAMLRHEATFRQQVHELHRLYRVQKQLMSGGLSRPSELIGRRRHQIRRGRRALDLRLPADDFVLVSGAGADSAAPPPSRQEDGLELTLAVGESRKKRRDKGTGTPLGSDCSGGSLASTTTSNNTAGGSPPPYRRAMPAAFRLQEVTAVVNQPQWLVQCLSLKMA >Dexi7B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:7B:1201391:1202670:1 gene:Dexi7B01G0000540 transcript:Dexi7B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASNAMGSVKPAAAQLPALILIRRVDASFAAALRRRFCVLDFFALGEPLPPLPSFLAAAAANGPRAAVVMGGGVARVDAAFLEAVPSLRCVVTTAAGVDSIDLHECARRGVAVANSGRVYSVDVADHAVGLLIDVLRRITASSRFVRRGLWPLQGDYPLGTKLGGKRIGIIGLGNIGSLIANRLEAFGCVIYYNSRMPKDSVSYRFFSSVHDLAAESDVLVVACALNKETRHIVNKDVLEALGKDGIIINVGRGANVDEPELVKALKEGRIAGAGLDVFENEPEVPAELLSMDNVVLTHHVAVLTSESRSDLRDHTIANLEAFFSGNPLLTPVLPQ >Dexi4B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:4B:3672959:3674374:-1 gene:Dexi4B01G0005190 transcript:Dexi4B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRIPMLVQELAAKVQQPPTRYVQPEQYHPVSLAVSAEKPDSIPVIDLSRLLTADGADDEGSKLRLASESWGLFLVDNHEIETSLMDDLINASREFFHLPLEEKQKCSNLIDGKHFQVEGYGNDPVASKDQTLDWLDRLHLRVEPEDERNLVHWPEHPKSFRALLHQYILNCKRIKDCILQAMARALGLEEDYIVAQFNNKAPSFARFNYYPPCPRPDLVFGVKPHSDSGVLTILLMDKDVGGLQVLKDGVWHNVPTSPHRLLINIGDFLEIMSNGIFKSPVHRAVTNAGKERISLAMFHGLDPEKEIEPAPALLHENQPVRYRKMKAKEYLMGFYEHFCRGTRFIDAVKI >Dexi8A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:8A:1485169:1485468:1 gene:Dexi8A01G0002230 transcript:Dexi8A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFQAVSPCLTTTTSVNSSLPLGAGVGDMAWLFGWWCRALLASAACSRERGSEYLADTAPGVNGSFQEAAPAGLFCYLMLAAGVVGLDAVEVWNTDR >Dexi3B01G0037790.1:cds pep primary_assembly:Fonio_CM05836:3B:40619024:40623506:1 gene:Dexi3B01G0037790 transcript:Dexi3B01G0037790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVESALNGDSLIGRQAGVPRMKRKTPSELRGEQLKRRTSEKVANDQLPSAAAFDRPSNGLRNTEQQKISKYINTRVTEVFPVRKARTLGKENCKDALQSNEKICKSIDATTASNFKSSSLPCGNGDSAKLDSSVPSTSDAAKPGFKKVEKCSENALRSVSELHVGDEKQTGSNKFDMEKVLKGFGARDASVVTGLSASAVHVGDAALKSSDICPSKITIPGKRAPLDFTLKTSLQFVSSSSVKWCHKLNTSFGRSSITGAIGQTCPRGCQNLECPKPESKKEFLFSKALQSWVYPQSLLPSSIISALLSSTARGENDYLLKRHQDWEDSFQNLYYMLRKNMVNIFYGTFLHHRTHYFMFHCAYSLSNLINHTEHHFLVTVYTAQFVALFIGGSHLEKKQSCNAYLSQSTRGLRSLLRKHGVCFSMPLCNTEVEQATEDDLIELSEIQKRNLGQALHLDALSDVDNTTQSLLAFTGNESVHGLYDILLNYKSLLNSLSAADVPALYSPQPFQNGCLHIPEVKCREMRRADMGLLSSGGFDTEPGSAFASTVGNICYSMEIKDVVLPPWVVSGVCAAMSSDTRSFDLTIATEPSSMGLNAALKSMTKAQPEPAPPKDGGALVGSIPEAVLVPSLHSASLRRLSYTDGEYVAYTTV >Dexi2A01G0028800.1:cds pep primary_assembly:Fonio_CM05836:2A:39973912:39975183:1 gene:Dexi2A01G0028800 transcript:Dexi2A01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLISLVACSMLASGAAGVRVELTRLHSSRFVRDAVRRDMHRHSARRLAASSGDTVSAPVSKDTARGEYLMMLAIGTPPLSYPAIADTGSDLIWTQCAPCTDQCFPQPTPLYNPASSTTFSYLPCSSPLSICEAASLPACVCPGYNYTYGTGWTSGVQGSETFTFGSSPADETSVSDIVFGCSNASSSTYFNGSSGLVGLGRGSLSLVSQLSAGKFSYCLTPFQDTSSSSTLLLGSSASLNDAGVGSTPFVANPTTAPTFYYLDLTGISLGTTDLSIPADAFSLKADGTGGLIIDSGSTITSLVDVAYQQVRAAVLSLVTLPTADGSAATGLDLCFVPPSSTSAPQDMPSMTLHFNGADMVIPGDSYMILESGLWCLAMQNNTDADPSILGNYQQQNMHILYDIGQETLSFAPANCGTF >Dexi7B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:7B:17975035:17979933:1 gene:Dexi7B01G0010730 transcript:Dexi7B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVYVLEARGLPAPRPSHGGGGLLCYAKVTVGKQRFRTRAVEAAADAAAWNEEFVFAVGAEEGEELEVAVARRRRRGGRGREVVGTVTLPVPSATAAAAPGEMRSSVPPTWFTLQPVGDRRKGGGGGADADDAAADCGKILLSFSLYGESNGNAVIHMSPSSSSRSDNNVGMERSTDMEHSGYNGAVVDSPRSRDTGRTSLDYSDRSMQADSISITEDDDLAEAVAATTHGASDTEQMAPDMSTFEEAMEIMKSGSTPDMPEDLDGGIIFEHTYLVESKELNHLLFTPDSQFFKELRELQGTIDYEEQPWTWKSKDPPSLTRTCQYTKGASKFMKAVKTSEEQTYLKADGKNFVVMTRVRTPEVPFGNCFAVVLLYKIIHSTVLSGGEESAHLTVSYNVEFLQSTMMRSMIEGSVRDGLKENFEGFAEVLSRHVKVADSVGMDKEQLLAPLQGEHQSDLRLAYKYFCNFSAIFTVLFALYVLVHILLSKPGPLMGLEFNGLDLPDSFGELITAGVLVLQLERVLNMVSHFVQARVQRGSDHGVKASGDGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPAVLDVEVFNFDGPFDLAISLGHAEINFLKHTSVELADIWVPLEGKLAQTCQSRLHLRIFLENTKGPETSMREYLSKMEKEVGKKGRLFVSARIVGFYANLFGHKTKFFFLWEDVEEIEVLQPSFTTVGTPSLLFTLKSGRGLDAKSGAKSQDKEGRLKFQFHSFASFSKASRTIIGLWKTKSTAIEQRAKLEEDQEEEVNSVDLDDVHAVLSIGDVPLSKEYTLEHPIDADLLMGVFDGGPLETRTMSRVGCLDYSATPWETARPGVLERHVSYKFNRYMSIFGGEVVSTQLKFPAEDGGGWTIHDVVTLHNVPFGDYFRVHLRYNVQSITTEAPSSRCEILVGIEWLKSSKFQKRIARNICEKLAHRANEVLEVAGKEIASAVSG >Dexi7B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:7B:5229721:5231433:1 gene:Dexi7B01G0002690 transcript:Dexi7B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDSSYDRTAELRALDATLAGVRGLVASGVEHVLRIFHLPHPEEQLGADGPPSATVPVIDLGGDRTAVVDAVGRAAAELGFFQVTGHGVPEEAMASAMAAARAFHEADSGEGSDKARLYSREPGKAIKYHCNFDLYQSPVANWRDTLYLRMAPDPPASNDLPESCRDVLFEYAKQVKNLGDRLFEVLSEALGLEPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHEDRWVDVTPTPAFIVNIGDLMQLISNDKFSSVEHRVVAKNAEPRVSIACFFSTHFHPASTRMYGPIKELLSKENPPLYKETLVRDYIARYYSLGLDGGQKTALTDFRL >Dexi3A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:3A:16520453:16521414:1 gene:Dexi3A01G0020850 transcript:Dexi3A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKHMDIRQNLGGIRGASLFPQSIRSAASKVRPARASDPGHGISNGDRHYTDNGSSVNGHLSGDSNGALSRNGGSSTDSPDRASIGTKETLSELDIYGSSRYEAMLLREDARNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPL >Dexi4B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:4B:6003595:6004173:-1 gene:Dexi4B01G0008330 transcript:Dexi4B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTRSISFSAASVPGRWRWKTCTSPGVSSNSASPLHPLASNAAWYALPFDARKSSPATATSTRAVGIIASDGAAADVGDSLGSSTRASGFPVMNLHSLRMRSSSLGPMVFDASQKYGCISITPRIAAGWPSAAARMATLCAMLAPALSPAKNTRDGSPCWASHGSGADPPGAEETQAAATAHTSAAQESS >Dexi2B01G0023000.1:cds pep primary_assembly:Fonio_CM05836:2B:32574357:32576736:1 gene:Dexi2B01G0023000 transcript:Dexi2B01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKGSGGGGGEGGDKPNPSPIPPPPAAAAAGAGDDGAAAAAAAAAEAARRPFTALSQEEADLALARVLQEQERAYMLLRMNGAGGEGSDYGSSEAGSYEYDEEEEEEYEEDLEQHLRVLHHEHPAADAHGEGEGEGEGAEGSEDAEGSDYEEEFDEDDEVEPEVDPADFEDDEAYARALQDAEEREVAARLMALAGLSDWRAVDVEHEEDHVNDPQELVALGEVVGTESRGLSADTLASLPSVKYKTQNVQDGNTEQCVICRVEFEEGESLIALPCKHSYHPECINQWLQINKV >Dexi4A01G0021990.1:cds pep primary_assembly:Fonio_CM05836:4A:25359433:25360613:-1 gene:Dexi4A01G0021990 transcript:Dexi4A01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAQQETKPPEEEEGEKDHINNLPDDTLVRILSLCHYKDCLGVKEVGERLVAQLPDVDLCMSALGYMTPIGTPSEERVRSLARTLRRRCCGDGSPVAVKTLYLAYTKDSHAEEFVARANAAKLVLGVQCDRDEEDAGAWSLELPPATTELQVLLYSYALRPPHIHGPGVNTLRSLTLLLPSLEDLHIAQCTLDASIDIMSDAMPRLKHLDITDDVSVMTDRTKASIDVLADELRTRSPHVVPLVELDGTTVADASFTTYSSFRLRAPRLQVFEWRCCYADEVCVESVGRLSSVAVEIAAGRVPRRFGDEGSRDVTVQQRDKLMTDILQGLMPGLQPRTWKDVKRYYHYFLCLLKKLNIILLR >Dexi2A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:2A:5782575:5784141:-1 gene:Dexi2A01G0006090 transcript:Dexi2A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRWVGDNLGSPAWLFLAAVAPLAAVAPLAAAVVPRRGARMYLDFHLRPLIKWMLPSLDPYVTIDISGKPRYSMDKIKSSDVYEEVKAYLSPMCARDALELDADGAADGDGVVLSPREGQEVSDVFKGVTVRWASVWPTREDSSQCLCLTFHQRHRDLVVGEYLPHVRHSGRDALLGNRRRRLYTNKTNDYSNKVWTYIDFEHPATFDTLAMHPEKKRKIMDELDDFRNSKDYYTRIGKPWKRGYLLYGPPGTGKSSMIAAMANYLSYDIYDIELTMVPNNTNLRKLFIETKGKSIIVIEDIDCSIDLTSHRRSDDTAAATASADRKRKRSSKMTLSGLLNFIDGIWSAHSGERIIVFTTNFVDKLDPALIRRGRMDMKLELSYCGYEAFMTLVKNYLDVDAHPLFSTIKDLLQEVEITPADVAECLLMPNKERNEHGVEVCLGRLIDELRKRLQLDKEKKKPT >Dexi9A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:9A:13964375:13969017:-1 gene:Dexi9A01G0018980 transcript:Dexi9A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSAARRTAQIRRLLSSSAPPAGAGAAVPGPCIVHKRGNDILHDPWYNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYERFINSYRSLEHNTRGEPDSIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPADKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNEKLLEDKLYLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLQDFTNQKIVVVGAGSAGIGVLSMAKQAMLRMPGIHRTGEGHNQFWVLDKDGLITKARKGLDPAVARFARGYGPDEIPDLPEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAVFAMSNPTTKAECTPEDVFKHVGENAIFASGSPFGNVSLGNGKTGFANQANNMYLFPGIGLGALLSGARHISDGMLQAAAECLASYITDNEIRKGILFPEVSSIRHITARVGAAVVRAAVAEDLAEGCCDVGPRELGSMTESERVDYVARKMWYPIYSPLVSDK >Dexi3A01G0035950.1:cds pep primary_assembly:Fonio_CM05836:3A:41342125:41343146:-1 gene:Dexi3A01G0035950 transcript:Dexi3A01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHNAKRRAAAAAVPVLPDDAIVDILARLPAKSLCRSKCVSKPWRDLIAGRLRCTSLPQTLAGFFYVNDDGDEVYGCKCDGDEHSGCTGSDRVVGRFVNPSGRSVPFVSFAFLGKQPKIQQFGMISSCNGLVLFGHRQFGDSNGWPCPAPAGNLFHFTNPESESDSDSDTEDEGNCKFTYMMFDPAASPHFQLVEFWTPTYEASVVEEVHTYSSETGVWSKRTSTWDDDEYVAFAARGAFVNGMLHLSATRFFKSGKHRELIVAVDGEGKNHTVISGPKEDCNVAFVTESQGTTTQKNGF >DexiUA01G0012360.1:cds pep primary_assembly:Fonio_CM05836:UA:24992299:24992966:-1 gene:DexiUA01G0012360 transcript:DexiUA01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLRTILPVVFFAILLTGHIQVETFNSGVVVTGGDTVFEVEVKNLCPCAVRSVQLDARGFTTTVDVDPAAFRADDGGVFLVNGGEPIASMATVRFQYAWDHFFQMTPRNLDVDGPC >Dexi2A01G0025020.1:cds pep primary_assembly:Fonio_CM05836:2A:36812232:36813201:1 gene:Dexi2A01G0025020 transcript:Dexi2A01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFPAMCASDDRLVPGKPLLPGTTVISDGGDFAFGFFSPSNSTPEKLYLGIWYNNIPRFTVVWVANREAAAIASSAPSLVLTNMSDLVLSDANGRVLWATNTTTAAAASSSPSLRSNATESVAVLMNTGNLILRSPSGMVLWQSFDHTTDTALPGMKIWWSQKTQEGNRLVSWNGPNDPSSGAFSISWETDPFMQTFIRNGSLPEWRDSVWTGFTANTSFVVYMAYEDTVDQMSAILTCSKYGYCGPSGYCDYTDATPSCKCLDGFEHVGKRMEQRHILTGMQEKGGSPMQ >DexiUA01G0024850.1:cds pep primary_assembly:Fonio_CM05836:UA:51658692:51660934:-1 gene:DexiUA01G0024850 transcript:DexiUA01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTSKLQKLHITSNKETDPVDESDYIDDEEDEVMEPQVTLGFIDEPEDPEDWHLLLPQHFPNKAGGAPAWLDPVNLPSGKSSCCDFCGEPLHFVLQVYAPIQSKETAYHRTLFVFMCPSMACLLLNQHEQGKDRARYPKRSVKVFRCQLPQDNEFYLHEEPEGCSESIGPQCAGGPHVQLCHWCCTWKGEKRCSVCRKAYYCSKKHQELHWRTSHKNECRQIIGASNDSASILPDAKKVLGGTAWPEYTLVDEIEKPFCSANCDGNSSELSVVQGKNKPDGMISLMDEFEADADNRCWASFLDRISRAPDQVLRDGKGREGFYRLPGGWGHPFVFFLLHAVFLFLRLIWHHLAS >Dexi9A01G0049170.1:cds pep primary_assembly:Fonio_CM05836:9A:51874006:51876086:-1 gene:Dexi9A01G0049170 transcript:Dexi9A01G0049170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAGSALSSPWRALLQRALDGNAHLRHSTYIQLATVGAGGWPANRTVVFRGFQEHCDKIQINTDARSNKIGELRNWPFGEVVLQICWYFTDSWEQFRISGIIDVIDGSSADPAKLQHREKAWFKSSVKSRLQYLGPQPGVPAVDDQQINDVHLDASAGPVDAFCLLVLDPEKVSQHFLPLCVDYLNLKSNQRLVFTRIQKEDGSSDWMAEKVSP >Dexi9B01G0036420.1:cds pep primary_assembly:Fonio_CM05836:9B:37965140:37969396:-1 gene:Dexi9B01G0036420 transcript:Dexi9B01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLISVTDLSVLSLSPPLCFPHLRSLWETEMSEEVDWPGEETDDRPCPSQRPDCARLCPSQTRRRVSLQFPETDALPTDPHHPRRVPPKSQPPPPSPPSPPPGSPPLQGQWDPWGVPDDYECEVIEDDTPIPKHVPQHRPAALPEEFFKTLDAVKSDPALQGDAPPQVLAAAWVDAEQEQITCGRTFQASVRARDAADRSSGILGPWLPPRRAPPALAEGFKEERSGTLVRLRGASGDAAAALLPADLHKPRRRSRLLRTAFLPKAVARAGVCSAPDRPQTGRFSLKLTDCTGWRRMFLFFSGQPVLCELVHHQAKGPTPPSPLVCVIWMSDSESMELGCQNLTIRAYLAYRETILQYAPQPDYGAGTVSSALAAFGIGSMPPTGRDGRRRWRGLHKPAQGVSTWDMTQVMCRARVSCSPARPGGRDCRTRHPVAVVRRTHFSKITEMNIIDRP >Dexi5A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:5A:798495:799956:-1 gene:Dexi5A01G0001200 transcript:Dexi5A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPANAPAEVVFDPSASSNRKPRRPGAPSASNEWRSFMGSNLSVMYQKPVAEKSDDTSDDEPDIDIGKLLKDVELFGASTYKERKQIENRKVVELGGKAVKKHRTPLSVAKPALKNQKKRELKKMEEEKLLGIFRKRDKNSKPQKTRPEDRVLRATEGHFKNGILDVKHLLSKPKPSGRDAPEPKMRKGKPKGKGKQKGGRRKRR >Dexi3B01G0034220.1:cds pep primary_assembly:Fonio_CM05836:3B:36987478:36990019:-1 gene:Dexi3B01G0034220 transcript:Dexi3B01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAVGSAMAIIAIAGGALVALAAAAAVLCSSAAAGEDVFGSEVTVPAMYVFGDSLVDAGNNDFLSPPAPKAVPPNGVDLPNSVLWRTGRFTNGYNLADIIAQHLGFKMSPPAYLSLTPLSSFNLLRGRDGANYASGGSGILDITGNGTITLREQVQLFAETKATIIRAGLVDQETLEDLLAQSLFLISTGGNDFDAFDNGVPLSQGPEFVAGMITDYLKYINELYKLGARRLALLDIIPVGCLPSQLAVTGTNGDCDAGGNSLSQMFNSLLRTEMAKTVVASMPGLKYSIASLYNTYSDMIANPALAGLREVKRGCCGGGKFNGEVSCSMASSLCANRDEYLFWDLIHGTQAAYRWAVEAFFYGPTRDAEPINLAQLMEEPLSMATGPYSSI >Dexi9B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:9B:1872807:1875557:1 gene:Dexi9B01G0003290 transcript:Dexi9B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAVLALLAAASPFLLAAGQDGGGPLPFAVGAAPEGCDVGHGEWVRDEAARPWYQEWECPYIQPQLTCQAHGRPDKGYQNWRWQPRGCSLPSFNATMMLEMLRGKRMLFVGDSLNRGQYVSLLCLLHRAIPDSAKSFETTDSLSIFRAKNYDATIEFYWAPLLAESNSDDAVVHRVGERVIRGAPMEKHSRFWQGAHIIVFNSYLWWTAGDKINILRGADNDMSKDIVEMKSAEAYRLVLYQVVRWLERNADPKNSRAFFVTASPTHTDSAAWGDETEGGNCYNQTPPISDAAAYRSSTSQEIQRVTEEVLATSRVPVGLVNITQLSEYRRDAHTQTYKKQWSEPTKEQRADPRSYADCTHWCLPGVPDTWNELLYWKLFFPSNDQAL >Dexi6B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:6B:3419262:3422389:-1 gene:Dexi6B01G0004190 transcript:Dexi6B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSRRWPLLLLQLGLALAVLHAAAPAAEAWTGEIRGRVVCDVCGDAAIGPEDHPLEGAEVAVLCITKSGEVINYQAFTDSKGTYTVAETMPEADRWESCLARPISSFHQHCTRRGDAHSGVKFTYNKPSGNSHAVKTFLYKPVSAPLYCS >Dexi6B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:6B:26675890:26676208:-1 gene:Dexi6B01G0020120 transcript:Dexi6B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMAIERCRIWWPRQELQLEQGPDSATLILFGWLFTSSGSLDIVVSAAVPQDQILRSFATLDTLQAWARIQ >Dexi1A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:1A:3922955:3927276:1 gene:Dexi1A01G0005360 transcript:Dexi1A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKQPPTPSSLRDLLARERGEDDDDAPPPPPPVPAPRRASRSPRRASASSRSRSCRHASPPPPPAPARKGKEEEVAGEDTAVGAVVAVLSAYAGRFLKDADFRSSLRDKCAACLAPAAAGEEDAAAAGRAVLANLELGIESIERLAADGAATAAPRDAKIRSLRNSIRLLSVVASLHHSPRPSSAAGGSTCGVPNSHLAACAQLYLSIVYRMERNDRVAARHLLQAFADAPGLTRRDLLPDLWDHVFLPHLLHLKVWFAQEVEIVAGWDADDRCRRMKSLQRLYNDHMDSGTAQFAVYYKEWLKSGANAPPVPSVPLPSLPGNLEVCQKHSASLRKNSINRNLYNAVFGTALELEDVKDAKLEDETALVLDFDEELNNNAVSLKTEKLAHPVLSRQSKMGLQEKHSRSRKQGAIPETAPTQRKSYSFRLFSCQGDISRKVINHPKIAKKEVVSDEKEMDNSGLTMTLERAISVVSNSDNLRLCEDAVHEVARACSTLHGHPTLVNLLSCASFIQGLLEVTFTSKEDAVLESAILIMGKLVLGNEVIRQLVLNADPQLEVFLRLLRSNELFLKAAIVLYMMKPKAKQMLSLDWIPLVLHILECGDEVQSLSSVKCAPKVAAFYFLDQLLMGFDVDRNIENAKQMIALGGLDLLISRLEAGDARESRHCIALLTTCIQADGSCRHYLADNLKKEPIVQLIVGNHKKASAAALNLMSELVCLNRTTKIVEFLKELRNSGCLNTMHILLVYLQQAPLAQHPLAAVLLLQLDLLGDPLQYSIYREEAIEAIIAALEHSSQSRKIQEQCARALLILAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSVRAEEEKVVEERLKKLASMLLNSGGKRFLMALSNCISDGIPGLSRSCLVTVTWMSSSLSPLRGCNDFQPLACSVLAPKLLDSLSYDRVLEERVLASLSLLNVVRHPECMEKVFPLKKETIESLQDLAEVTWTAKELLFACCR >Dexi3B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:3B:7343531:7346485:1 gene:Dexi3B01G0010470 transcript:Dexi3B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSVAALVLTAAALLLLAPAAEGGHLKVGHYSKTCPMAEKLVEYHVAKAIKANRGIGAALVRLIFHDCFVRGCDGSVLLDPTPANPKTEKTAPINIGLAAFDVIDDIKASLEEHCPGTVSCADIVVYAARDASSILSNGHVHFTPPAGRLDGVVSLAADAQRDLPDSTFTISELIRNFRRKNFTVEELVILSGAHAIGVGHCSSFRGRLTSPPSQIVPAYRNLLAGRCAAGPDPVVPNNVRDEDPKVVAAAFPSFLKKLRKARDFLDNSYYHNNLARIVTFNSDWQLLTEKESLGHVKEYAENGTLWDEDFSDALVKLSKLPMPPHSKGEIRKQCRFVNHY >Dexi9B01G0024180.1:cds pep primary_assembly:Fonio_CM05836:9B:20048145:20052954:1 gene:Dexi9B01G0024180 transcript:Dexi9B01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERQVSYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSTEDAKEFAEKENLFFLETSAMQATNVENAFQTVLTEIFKIHSKKNMAADPKANGAAPSLAGKKVLVPGPAQEIPKSKCCSSM >Dexi9B01G0043670.1:cds pep primary_assembly:Fonio_CM05836:9B:43630108:43640228:-1 gene:Dexi9B01G0043670 transcript:Dexi9B01G0043670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRENESDGEELGGEGSNPVGGGTTPPPLAAAPVVCVLRSAGDFAGGAFVGSVIGYGQGLLTKKGLKGSFSNAGSSAKSLVVCLLRRLRGKDDIINAGIAGCCTGVALSFPASLLHKNASAYVPPPATAMAPRNHSARLLAGTALMLLLAAAAAAQQGCAPAKFQSGRSFQRCTALPVLGASLYWTYHPVNGTADLAFRAPQITGGGWVAWGINTERPSSMVGSSVFLASPSAGGAVSVLMTYLESTSPSLTNGTLKFDVPVAPVAEYAGGTYTIYVTVELPGNKTVQNTVWQAGPLSGGQIAAHPMSGPNLQSTMRLDFLSGGGSTGAANSMAHRRQVPLVADDAKVRARSTMRRAAVGCTSI >Dexi5B01G0035850.1:cds pep primary_assembly:Fonio_CM05836:5B:35714210:35723056:-1 gene:Dexi5B01G0035850 transcript:Dexi5B01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVTLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSVVFDNFDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKENKVDEINKLLDVEILPALQKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKILELDENTEKLKAGIQEMDKNISTLAAQKEAKLGGEMKALSDKVDKLSHALIKETSVMNNQEETLKSEEKGVEKILKNIEDMKRSMIERDAAVKDVEDGASDMKRRAEDLTKKLDENEKDYQGVLAGKSNANEKKCLEDQLRDAKAAVGDAESGLKQLTTKISHSEKELKEKKALLVSKRDEATAAENELKARTKDLEAIKSSMASINYDEGQMEALQKDRSMELEIVQKLKDLVRKLSGELANVHFNYRDPERNFDRSKVKGVVARLIRIKDSSTATALEVGPDNVTLALELVGYGDEVKNAVAFVFGSTFVCRNTDAAKEVAFNREVGSTSVTLEGDTYQPSGLLTGGSKGGRGNLLRKLDELATAETDLSNHEKRLSDIEQQIGALLPLQKRYSELKSQFELKSYDLSLFQKRVEQNEHHKAHESERERLIMEKDAVANDLATLEEQLSTSKAQITSLSEILEKQKDKVTSIKQDYDQAEGELNIGRSKLKECDSQINRIAKEQQKLQQQLSDSNVERKKMENEVKRMEVEQKDCSSIVDKLVEKYSWIATEKQMFGKSGTDYDFESCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKAKIKKVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >Dexi9A01G0031270.1:cds pep primary_assembly:Fonio_CM05836:9A:36162241:36168434:-1 gene:Dexi9A01G0031270 transcript:Dexi9A01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRALFHGSSDASTVADPRLPAPGTSNNSSHGLEDIRKLERTMHRINAALQDAEDHWNIRDEVSKLRLRELKQVAYDAQDIVEEYEYSFTRFKIQASDGARSSIKGYKRKREGTMPPYNLAYLPHQQSWLFKKTAFQGQEGIMQAKIVEIGQKIFDKCKGLPLAIKALGSMLRYEPDEERWNDVLENEVLYFRMFLEQKYAPLGAAFPSATRIQSVAGRATSSHGGGSRLHEMEAASAKRRPAASAKRKPAARLTDELLVEILRRLPVRSVCRFKCVSRSWRNLISNPAHRKKLPQTLAGFFYRSWNWERFPRSARHFTNITGKGRPFIVPSFSFLPVPSDNVTLLDSCNGLLLCRCFGAGDASPPFHYVVCNPATKKWVMLPDGSGEDRTACLGFDPAVSSHFHVVEYVLDEEECVTGVEIYSSKTAAWSFKDSEWDSDVSLYDDERSAFLNGFMHMLTSDEDNGNHVVVMVDMEGKTWRTIPVPTKYFVGSIHQYQGRLCFLNIDNADASKLSIWILKDHAAHEWTPKHSVRIQFLFPEKDIEINMNWTLITFHPECNLIYFVYGWDDTLIAYEMDRKEVRVIRKLGNECSGPYLPYVPLFTEALADG >Dexi1A01G0028250.1:cds pep primary_assembly:Fonio_CM05836:1A:33941237:33942994:1 gene:Dexi1A01G0028250 transcript:Dexi1A01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRVILSSLAMVAALAAAAFVQTGHVVAGEAGRSSAFARANGTRFTLAGRPFYSNGFNAYWLMYMASDPAADRSKATAELEEAARLGATLVRTWAFADGGYRALQVSPGVYNEDVFRGLDYVTAEAKKRGVHLILSLVNNWDAYGGKKQYVQWARDEGHYLNSDDDFFTSSVTKGFYKNHVKAVLTRVNKLTGVAYRDEPTIFAWELMNEPRCQSDLSGKTLQAWITEMAGYVKSLDPNHMVEIGLEGFYGESTPDRTQQFNPGSYTVGTDFISNNLVPDIDFATIHSYPDQWVPGASSDEQVAFMRRWMASHAGDAAAALRKPLLVAEFGWSARSAGDGDVDASAREHRDAYFRMVYDTIYTSARAGGPLVGGLFWQVMEAGMESWTDGYDIVLERSSSTAAVVGRECARITSLNQVS >Dexi1B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:1B:5243555:5246366:1 gene:Dexi1B01G0006360 transcript:Dexi1B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYPDHGLSMDAAAAAAAAAAAAASSPNPSGFSSPGGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDTQVDSEANMFDANEASDGMGFGPLMLTEGERSLVERVRQELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKR >Dexi8A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:8A:4250159:4250556:1 gene:Dexi8A01G0004850 transcript:Dexi8A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSSAVRFVGIEPRCCCGGFGRCSCPKSRHAFTVTTWTLTLTMDEPIEWVKDTVMDCEELWALPGYEGIPRVHLQSPMVCLDDPDVVWFKVVSCEEKKEWMIQVDTRRKALLAARHGQSLEITVL >Dexi1A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:1A:28362573:28364337:1 gene:Dexi1A01G0021620 transcript:Dexi1A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQKSSIATDQKKNHAIVLTLESRVHSFSKVLSNTNLRSSKNTSNCKMIMHIGKGADPEWNENFVFTVSDQTADLLIKLMDSDAGTADDFVGEATIPLEAVYTERSIPPTIYNVVKGEKYCGEIKVGLTFTPEVVSENLPVFLY >Dexi2A01G0027470.1:cds pep primary_assembly:Fonio_CM05836:2A:38866303:38883053:1 gene:Dexi2A01G0027470 transcript:Dexi2A01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWKENVSPLPLHQRGTPNPSPILPCKRPLESPSPCPPPRRPLANVTDNALEQRGGVEPCGYGYTTPLPKASRPCGFLLGDDDYMDEAFLREVDAICEEHARSTARKEEKEKRPAEEDSGTSEGPVAAVTAMIDDSGPEIATLEDAFWEEVNTVCEERDVQSSSKSQEEEENLVLSCGDGSLPPVISITADGGEFEDAFWKINAISEVDYTESHAKCPDGMMEMDKKSGLIALCGDASVSPVISIAKGPGELVDAFHGEDDPTIHEGHTDISAAKGKEELQGMELEMEEEEGCAPKKYYEYLHSLNDRQREAACSDVAVPLMIVAGPGSGKTSTMVGRVLTLLKKGIPPSNILAMTFTTAAASEMRDRIGTVVGKAVAKEIAISTFHSFCLQLCRTHAEKLGRTSEFIIYGHGQQRRAVIEAERLLENDKKSGAEDTTKQNDGDIKNSFKDKAKKWQKFIAQAKASGRTSEEYEKKGDLTGASVLRHYNEILRSCNALDYHDFINSSITLLTKFPEVYKECQDMWQAIVVDEFQDTSAMQYCLLKILASHNHITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRHHHKLVETDNPSGCKITVKECLSEDSQCAFVIDKIIETTSSSSEGCNFGNIAVLYRRQITGKAFQVSFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLPNDKEEKKKILDHVEKISLARKCSFISAATDIFNAKVSGTFKRLFSYSLVQITQGRKVLLTLDSLSKLVEREQSVSVIISSAGDMLPQPRLQRRRRPDPRQPRLQRRRRWSRERSRGGGAGAGGFVPFLWQKYLLEKRAVVDVDNGKLLNEDSDIRSVLQFLMDDVSDFLSTHFSSSMEKSKTEEKGCTSTLQAFIDYISFRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTVDSNRQAGDFCLLQPSRFLREIPIHLLEVQGEETLGKIPEQRLGDVPPDNPEGDTSIGKPIGQNETPPYTELDQACLSNDFLRRFDIDDRSVVSHIFHQWGKKQAFQNPKRLLDKISFVIDERLRGKGYKRKDVLRKLKTFLSGDEAFGYAQHVIKWEQIPVEKRNHLTRERQARF >Dexi1A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:1A:9011026:9012009:-1 gene:Dexi1A01G0010410 transcript:Dexi1A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLLALSLALLTLTVASLPGTPTALSTSYYNKRCASLQPAVRSAMARAVASDPTTAAAVLRLFFHDCFVNGCDASVLLDDDTTTNLIGEKSAFPNANSLRGYEAIDAIKSAVESACPATVSCADVLALAARDAVSLLGGPSWNVRLGRLDARIASRDAANANLPGPGSSLSSLLDAFQRKGLSARDMTALSGAHTVGRARCATFRGRAGGGANGSGGEVINATYAAELRGACGGGDGAVAPLDVATPDAFDNGYFRALMERRGLLHSDQELFNGGSQDALVRKYARDGAAFAGDFAKAMVRMGNLAPAPGTPLEVRKYCRRPN >Dexi3B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:3B:4487899:4488951:-1 gene:Dexi3B01G0006460 transcript:Dexi3B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSSANKVEVARIVHHQQQEDARGEEEIAAEGLLLLPPQLQMLNICGCRELSLQPNDKETGWGREGLQGLRSLRSLEIRFNPKFFSSFSSFPPSCFPFPSSLQELTFSNKEGMETPVPLSNLTSLTHLTIVECEELRFQGLGPLLKQGHLTRLCFYKTPNLLFGFDACRSSKLQELCTDDAARVFTGPICSLLSSSLTSLSLVLDGRVEIFTEEQEEVLQLLTSLQHLVFNGCKRLQQLPAGLNRLANLKTLQIANCDAIQSLPKDGLPSSLQELHMSSCRAIQSLPKEWLPSSLQELRIFWCPALRSLPKVEFLQSSLRELQVYNSDSNELRKQCRKLRGTIPIVYA >Dexi9A01G0025070.1:cds pep primary_assembly:Fonio_CM05836:9A:22203597:22204673:-1 gene:Dexi9A01G0025070 transcript:Dexi9A01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDAKEDDALNYPEGLVQSSGENSVVLGPHMRGSRLRASAAGFGEVDLLLPGTARLQIQLGVNMPATAEHIPKDEESEPFREVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSASITAVDPQFYSERFLKFITTVFP >Dexi5B01G0040170.1:cds pep primary_assembly:Fonio_CM05836:5B:38768060:38770986:-1 gene:Dexi5B01G0040170 transcript:Dexi5B01G0040170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALPAQFLASPEAGEWRRRPRRRSLRPISAALMTNPAYFEVGRFLGGYGFMNITRLYEGRVVQGPLRGTQAVFKVYPGARAGASEADLMALNELRTHAFLQSDASGICDNIQFLLGAFETSTGEQADDRLSEAVNFLDLGDGAGWELLQAMLHPDYRKRPMAEAVLRNY >Dexi2B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:2B:27499084:27500216:-1 gene:Dexi2B01G0017090 transcript:Dexi2B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRRRRSVDVGGAARGRRPGGRGEEKILEASRGRRRRGTRGPAAGEGERNRGGLISIISPLAAAAARRPLLPARTVRAAGVVRMMSGGGGGGGPGGGMGPGMGGPVGGGGDGRHDDEAALTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFLSDIASDSLQ >Dexi2B01G0017090.2:cds pep primary_assembly:Fonio_CM05836:2B:27504199:27506578:-1 gene:Dexi2B01G0017090 transcript:Dexi2B01G0017090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIHEEGHRREGPAEDDEEGSIEELFGQLWLIPNSSSTSRKRRQEVRVEEAPLGGLVWIRRSLWESKEFSADDCHPVRKSDKWSDPPKNFNFAKVFWGQGKKPTFLQAAMASRGRGRGRGFRPPNPDGDWGWGNWRQPPPPPPFYQQVPPPYAFVPNQPPPFQPPPLHATNQQQHQGFPRPYQQHSGPKQRLNNQGTKPKGQQQMQQQSQQQRQPPPQSDQPKPDESQIVEEIQNKMKEQESATEEMGHEKTIFCYNCGAPDHYSSTCEKPRVCFICGKKDHMVGRCPEWMKPQRAAQYYGSANHGLGFLHVDCAMELEEKEEEEIDNDMEQNCNTEEESELINLPEEWVYSLGGINAQEEEHIQSRLKTKNMGPETENQQEEVKMGTEDEQAPIQAEKTNPDQGEQQQLDKHTEENKPEKANKKWGPIQAEKKSSRVVNDGRTALEKAQNLKRKVNLEDNQG >Dexi3A01G0028660.1:cds pep primary_assembly:Fonio_CM05836:3A:29513520:29514152:1 gene:Dexi3A01G0028660 transcript:Dexi3A01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKARRRHVPAFGEWNYYSSSSSPEDPQPPSYYSGAAAADVGGYSWYAPAEVEARSDAWFRYSPPPRRAPPPKKARRPAATSQKPCCDDEDNGGGVPAMEARAARVSNAAVAARATPGKGARRVVRPVDADLYRVPPAAEVTVSRRPRRKRAAKSLWMGCLGGFNCVA >Dexi1A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:1A:22442046:22445582:1 gene:Dexi1A01G0015400 transcript:Dexi1A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINNSEGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKYVCSALSVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRLMHLAFLILSDLFGADYHVLFSPPFCFLSRSNMLRLTMSSRYEFHSWFTYSIILLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFLGISLLAPDDSKADTKDGSSATEDSMIDMDRNGKMQMDETEANDSNSFVTSVKVKAKRVLLKAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRAKVFNFDVAYRMPVKFLLLPRAIVCSTS >Dexi5B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:5B:3468502:3471359:1 gene:Dexi5B01G0005210 transcript:Dexi5B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQSSTMAVDTTEPEYWLNWRFLLCALWVYSCMVLACFLIWKYEGPRSNSNGDGDGDREEALFQVGPGVVYLEDCWMPCLEEIHPGWLLAFRLVAFFILSSLLLVDIVVDGWSIFLYYTQWTFLLVTLYFGLGLLLSIYGCYQYAYKTGGDGSDLIGSGADCGTYIIAPTGESAYNHVIKSPCYSKMHGGQEIAGFWGYLFQIMFQILIGTHSINVIFLVGDAALNKLRFPWFRIAYFLLWTGIFVNVQWIIHANVSIWWPYPFLDLTFPGAPVWYLVVALLHFPCYALFVLVLRLKHSVLESWFPQTYVK >DexiUA01G0004830.1:cds pep primary_assembly:Fonio_CM05836:UA:8972702:8974429:-1 gene:DexiUA01G0004830 transcript:DexiUA01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVSLGEPVERGDVLADGPSTDLGELALGQNMRVAFMPWNGYNFEDSILVSERVVQEDRFTTIHIQELACVSRDTKLGPEEITADIPNVGEAALSKLDESGIVYIGAEVTGGDILVGKVTPKGETQLTPEEKLLRAIFGEKASDVKDSSLRVPNGVSGTVIDVQVFTRDGVEKDKRALEIEEMQLKQAKKDLSEELQILEAGLFSRIYAVLVAGGVEAEKLDKLPRDRWLELGLTDEEKQNQLEQLAEQYDELKHEFEKKLEAKRRKITQGDDLAPGVLKIVKVYLAVKRQIQPGDKMAGRHGNKGVISKINPIEDMPHDANGTPVDIVLNPLGVPSRMNIGQILETHLGMAAKGIGDKINAMLKQQEEVAKLREFIQRAYDLGTDVRQKVDLSTFSDEEVLRLAENLRKGMPIATPVFDGAKEAEIKELLQLGGLPTSGQITLFDGRTGEQFERPVTVGYMYMLKLNHLVDDKMHARSTGSYSLVTQQPLGGKAQFGGQRFGEMEVWALEAYGAAYTLQEMLTVKSDDVNGRTKMYKNIVDGNHQMEPGMPESFNVLLKEIRSLGINIELEDE >Dexi6B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:6B:25911816:25913872:-1 gene:Dexi6B01G0019060 transcript:Dexi6B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAFACSRKLRGRISFVLPVSEHDRDDRSTTTTTSTSASASPSQSPRKPGDTTAAPAVVVRTTAPEFARRYALGKELGRGEFGVTRRCKDTTTGESLACKTIRRPRTTRRLGVGGASPAQQQQPQPQQDNAADVQREVAIMRRMSSRGGAAVVRLREACCEDGGAVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSSGVIHRDLKPENFLFANKSENSPLKVIDFGLSVFFNPGDRFTEVVGSAYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGGIDFSREPWPRVSGNAKDLVRRMLDPDPSTRPTARQVLEHPWLKNADSAPNVSLGDAVRARLQQFTAMNKFKKKALGVVARSLPVEELDKYVQMFRVMDKDQNGNLTLEELMEGLHINGQPVPEPEIRMLLEAADVDGNGTLDCDEFVAVSLHLRKMASDEYLAKAFRYFDKDGSGFIELDELREELGPNEQVILEIIRDVDTDQDGRISYQEFELMMKAGADWRNASRHYSKANFDTLSRKLCKDRS >Dexi1A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:1A:2350325:2353583:1 gene:Dexi1A01G0003280 transcript:Dexi1A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETRRGGKKAPDFAIVRPGRARFGRMAPPSREEQAGSRRDPRASADGVESSSGSPGGHVGAAEPAVSAVSCTDRPPGPAADACAAGGGQTCHQPLCTASSCDPDAS >DexiUA01G0014910.1:cds pep primary_assembly:Fonio_CM05836:UA:31162717:31165875:-1 gene:DexiUA01G0014910 transcript:DexiUA01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEKAAADEGDEGTIERSHSINLNTVPPVAVGARSTQENVGTHGVGVSGAKDSITGKPEQSSDADQKKLPKCERVDYESEVEGCENPSDKAALVTVVGNEGHADFRDDERAQVLSIVKKDEPADEVDDPITPVAVTAYREEKGASAEISTVRPAGSRSSSFHGVTSVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRSSPKRIEGPDGRALQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDVGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPTLKDEVWRLEKIGKDGSFHKRLNKSGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWETLVEHAKTCVLSGKYYIYYSDEDRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKDDDVENVF >Dexi2B01G0032080.1:cds pep primary_assembly:Fonio_CM05836:2B:40048820:40050169:-1 gene:Dexi2B01G0032080 transcript:Dexi2B01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTSSSEVVENFASPISELEIISNEDLEDLQEMGSGAFGTVFHGRWRGTDVAIKRIKNSCFIYPSSETDRLIVEFWREAAILSKLHHPNVLAFYGIRNASMDGS >Dexi4A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:4A:21117033:21133076:1 gene:Dexi4A01G0017420 transcript:Dexi4A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHSRGTFHWGGGLVEQAASEEVDSIHFSFYSDDEIKRISVKKITKSERLDAKNLPVLGGLLDPAMGPTNDTDICKSCGQYSVRCPGHFGHIELAKPLFNPLLFMSLRNLLQVTCFHCHKFRLSKGQVDRYANELELLVKGDVARAKNLEDSAKEASLSKEDEDIMEATSGDKSSPENDKKTWTSIQLKEVLSIFSKIMKKRQKKCARCDMKSPTISSPIFGWLVKDTGASTVRANAIADFKLKGDGAAHNSGETGVSGLDEEPTSTGIVSKGSINEVSRLSDDTIKEMVASSGKRHLLPTEVESILKDLWKNEARFCMLLCDFQQNTLSVSEKRRGYEMFFLNSLLVAPNRFRPSTSSSLGIMEHPQNVLLSKVQEANLVLQHNSAGSSHMDAIRRWMDLQRSVNVLYDSSKGLVKSEKNAHGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAMNIVNANAQYIGPRSGDVVRGLIQDHIVSAVLLTKQDTLLSREEYSHLVCGSCVPSNRSSRQPGKKLSAIKDDGALELVLPAILKPKPLWTGKQVITTILNHLTKGRTPFTVEQKGKIAEEYLSPREFGKEKEKEDEESEASEERKIATSERVLYICDNELLKGMIDKAQFGNYGIVHTVHELYGADTSGMLLSIFSRLFTLFLQLHGHTCGVDDLLLCQKADEARRKIIDRSEELGEEVHMIFTKPKKNDGDDSVKSQMEVEKGKSVNMEFTHTGKSLEDLVKLRMEVEKVIRRDGNATLNLDRTMSNALNGITSDVNKRLFPHGLQKPFPGNCLSLMTATGAKGGEVNMYQISSLLGQQELEGKRVPRMVSGKTLPCFLPWDTSSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKCLESLKVGYDHTVRDVDGSIVQFCYGEDGVDVLKSSFLKKFKELALNRKAVLKELVGDDELRKPNHYITELLTESARGESPGLLKELVGDKTPKPNYYITELLAESRSRRLNTFHLAGRGEMNVTLGIPRLKEILMTASANISTPIMEAPLLADRTWEDAVRLAAKLRRVRVADVVEKIEVCTVPFYNSNGHVSTLYKLQMKLYSKKRYPSQSDLTVDECQEALRTVFVDAMENALEKHLDLLHKINEIRAVKVNDAEGSLSDGGEESESRHADREDTGTSDGDDDENDDDDLGADAEKRKRQENDEMEYDDDTENEEGMDSESEEETKVKHQSEDPAESGDDLQEADDGYNTSKSEMTSVDNTSYSAKKGKSSKDRHKTAKLQEKTQTDSKSEERKHNETTRKRRKKLKRTVQVESNDLDFEIHYAFQGEPHILLAQIAQKTARKIFVRACKNIEHGKVVKKTDEKKGSYMALQASGVNFEVFYNLEDYIRINEITTNDIHAMLNTYGVEAARASILQQVQGVFAPYGIEVDPRHLSMIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAATHGEADSLESPSASVCLGKPPKVGTGCFELLQNLGMDHPMVM >Dexi1B01G0028990.1:cds pep primary_assembly:Fonio_CM05836:1B:33417006:33418488:-1 gene:Dexi1B01G0028990 transcript:Dexi1B01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKQGGDDGDAPRCPPHPGFLRGLCIVCGVKEEDTEGGAPELAIGDDGEMMVMQRGGDEAAFAFAAAAALCPPHPGFVLGLCSLCGAKEEDAEGGGAPDLATMADEIEKKLMEQGKEEDAAGGSTSGLAAGHTYGALPPATRMIVMPPDPNSHLRTLLSERKLTLILDLDHTLLNATALNDFSAAEVQNGFTAITRDDLARGLFRLDGHGIPMLAKLRPFAQGFLKQASAMFEMHVYTLAGQAYARAAVSLLGPDYFEGRIVSRVESTRTSKKSLDVIPRAEVGAVVILDDTDIVWPEHKDNLILIDRYHYFASTCRNFDYNISSMAEQNRDEREHDGSLAVALQVLTRVHKDFFNLVIDRDDGYYPDVREVIKDVRREVLRGCTVAFSSEGTPVWTLAVRLGAVCKVDVDGTVTHVVAEDPGTAKAQWARDNNKFLVNQEWIKAASFQWCRPFEQDFPVLGGD >Dexi5B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:5B:18075760:18076131:-1 gene:Dexi5B01G0017070 transcript:Dexi5B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTTLIVNKMKDAEMFAGQGGPIILAQIENEYGNIMGKLNNNQSASQYIHWCADMANKQKVGVPWIMCQQDDDVPHNV >Dexi7B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:7B:26010783:26017050:-1 gene:Dexi7B01G0020730 transcript:Dexi7B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIATRFANLLAMIFPVLLLFLIRASATAIASDTLNNGGNITDDGETTLVSSGGSFTLGFFSPTGVPAKRYLGIWFTASPDAICWVANRDTPLSNDTSAGVLVLTATGILRLLDDGRSGQTVWSSNSTTTTSTSAVAAQLLDSGNLVVRGQITGGEVTLWQSFDHPSNTLLAGMRLGKDPQTGVEWSLTSWRAPNDPTTGDCRRVMDTKGLPDCVSWQGDVKKYRTGPWNGLWFSGVPEMASYSELFSNQVIVLPNEVAYVFNASAGAPFSRLVLSEVGVLQRLAWDPASRVWNTFAQAPRDVCDDYAMCGAFGICDVNTASTLFCGCIVGFGPVNPTQWSMRESGAGCRRNAPLECGNGTTTDGFMVVRGVKLPDTDNTTVDMSSTLDECRARCLANCSCVAYAAADIRGNNGGSGCVMWTNYVVDVRYVDKGQDLYVRLARSEFANEKRLDVARIVLPVLASVLALTAAGLYLVWICRLRGQRQNNNIQKKAILGYLNASNELGDENLELPFVSFGDIVTATNDFSEDNMLGQGGFGKVYKGIIDENKEIAIKRLGQGSGQDAASKKVLDWPTRFKIIKGISRGLLYLHRDSRLTIIHRDLKPSNILLDADMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEILSGLKISLTHIKDFPNLLAYAWSLWNEGKAMNLVDSSLVGNYQLTSTRPLSPGDLLISKNGVFALGGFSSAGSNGSLYVGIWFYGIPERNRTVVWVANRDNPATTASSPTLAISNSSDLVLSDSEGQILWTTQNNSSAHDSGAFLVLLDTGNLKLQLPNDTVIWQSFDHPTDTILPGMEFLLIHRSREASRLISWRGPDDPSRGDFSFGLDPVSNLQLVIWHGAKMYCRISVWNGELGGMYPSSPSSMVYKTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSTSWTVISERPEGSYGLYDSCGPNGYCDFTGAAPACQCLEGFEAVGLNSSTGCQRTEQLQCGKGSHFVALPGMRVPDKFVFLRNRSFEQCVAECSRNCSCTAYAYANLSSMADQSRCLLWTGELIDTWKSSNYGETLYLRLADPPGMHHFMYLLVLLMGG >Dexi3B01G0022420.1:cds pep primary_assembly:Fonio_CM05836:3B:17245683:17246069:1 gene:Dexi3B01G0022420 transcript:Dexi3B01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSLCISIRSNPEEAREGRQGGDRSSLCGNSSPIPPRALTGQAAARQGMSSLLRGFLTRAHSARKREYAGTSDGLRAIIHAATPAAIAAVRARMDTAPSLPPFSPLVNARAMMGHGVGLRSVPRAC >Dexi8A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:8A:29113692:29113951:1 gene:Dexi8A01G0017410 transcript:Dexi8A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMPNTKEEAKDCTMLLGESRRRNGDGKKAWPMLKAVPLTAWCNRGCAIKGEAGVGEARVDKSMVLISGGERCNAKAKAN >Dexi1B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:1B:2003312:2009352:1 gene:Dexi1B01G0002480 transcript:Dexi1B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSDSAAPAGDAERRPSKEDKKRRGRGRGGASSEGTAKAATPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRQSGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPEELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPNPQAL >Dexi2B01G0025570.1:cds pep primary_assembly:Fonio_CM05836:2B:34768129:34768881:1 gene:Dexi2B01G0025570 transcript:Dexi2B01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPPRSSSSGMQSIRRELQRRRPKPLAPKSSVAKKPSTSPPRPPRQEDPSSTASKSPPASAGAHATRPPLPRAQLSPLSAVISPSTPSLPSRSAPSSAGSDCRASTSAAAHLMRPGTAVGVRTRTTKLKTGKVLVLWLRAMVVSPTQEGYEVVYDGSWPPGDPYGTVHVLRRHVRMIKPSLLPTTPPPSLPPSCGPSSSASDTIATTATARKKEMRPAPRPTTAGKSLRLIRSLWPELQRQAQAAFPGY >Dexi5B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:5B:14776356:14776607:1 gene:Dexi5B01G0016460 transcript:Dexi5B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALQACSTDESAATSRRVLSGVLRNERTGARGLAVTDGPTTGRQKPTCDSPRVAILCTNGGAGATTQRVDAYNGYDDQAPV >Dexi4A01G0024380.1:cds pep primary_assembly:Fonio_CM05836:4A:27169971:27177471:1 gene:Dexi4A01G0024380 transcript:Dexi4A01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDSYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLGREDFHNSLKLVTVAQSGRELTPDIVKSALFGPAAAKIPAPRINVSTAAPQTNSVTSPQAPASGQQNPAVRGPQGLPGASSNPQVRPPQPPNANTVPPAQGIPSRPPVGGGGPNGLNHTSSTTPNLATDWFSGKRSASPLGATSQAPTRDILRKVWDLSDQDKDGMLSFKEFCVAVYLMERHREHRPLPDVLPDGIWAEGTSLPSTGQFAGNPTGPAPHASTGFANRGMQGPHHGMLPSSMKPPSRRPLSLDADDNVKAEQQKPKIPVLEEHLVGQLSKEEQNTLDAKFKEASDADKKVQELEKEIQDSREKTEFYRTKMQELILYKSRCENRLNEVSESMSADKREVQSLAAKYDERCKKLGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDESDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAATWDEEWDRFGDEGFSIIKELTVETEPPIAPKSEDPKVSTNGASTEKEDTKGDKSAAVEKAVEPEATKSNSKPESAKSPPVSPVKNREDGSTDETDKKQSGTNDISPRATESISNRGATDSSAHGDKTYDGHSWAPSFDHGIDNDSLWNFDHKDGENGDSDLFFGPQGLPPIRTGGSSSGSLFVKEQKPSFDSVPGTPMEKPFFDSIPGTPVQKSVFDYSVPSTPMQNSVFDYSVPSTPMQKSLFDSIPGTPVQKSVFDSVPSTPMQKSVFDSVPSTPMQKPFFDSFPSTPMQRSLFDSGPSRAESPTAGSVYGKEQKGFFDSSVPSTPMYNSSFTPRYSEAGDDSFDTMSQYSSFGMHDNNSFGQQDSFSRFDSFRSNADNGGTDAFARFDSFRSTSDQGGGNSFMKYDSMNSSSDHDRTDAFARFDSMKSSDYNSRGYSFDDEDPFGTGPFKSTFKSTETSSSPTKHGTDTWSAF >Dexi2B01G0033070.1:cds pep primary_assembly:Fonio_CM05836:2B:40752109:40753414:-1 gene:Dexi2B01G0033070 transcript:Dexi2B01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLYPLSLGINLACRKNIEDYMLHKLQIEESQVPKMCLDLYKEHGTTMAGLKACLVLGYEFDYDDFHACVHGTLPYEKLKPDAVLRQLLLSLPQRKIIFTNSDKAHAARVLEKLGLEDCFEGIICFETLNPPTEQGANEQKNADEADTDAGSRVGSDDSDPPRRGILCKPSLESMEAVIEIAKLDAKRTVFFDDSARNIAAGKAAGFHTVIVGTSALVAGADVALESIHNIKEALPELWDAAGEHVDAVLRPTAVETTVLA >Dexi3B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:3B:5965076:5965294:-1 gene:Dexi3B01G0008530 transcript:Dexi3B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVDMAGGEQKTSWPEAVGLPAKEAKEIILKDMPRADIVVLPVGSYVTHDLNLNRVRIFVDTVAQTPTVG >Dexi2A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:2A:841004:841300:1 gene:Dexi2A01G0001260 transcript:Dexi2A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAERHEPRTRHRGYAAPPLASSRPNYALVGHLPPSACAVRDPEPRRNDQRQAPHADARPKRRGRHQALPPRGGPVEDRERAAPRPSGGEGEGGADA >Dexi9A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:9A:16115152:16115361:-1 gene:Dexi9A01G0021140 transcript:Dexi9A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVGANTGSGSRVASVLSKLRSTWRRGAARPRPSAAVRFGYDLHSYSQNFDDGLSSSGHPLSVVHCS >Dexi3B01G0038410.1:cds pep primary_assembly:Fonio_CM05836:3B:41198799:41202639:-1 gene:Dexi3B01G0038410 transcript:Dexi3B01G0038410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIAEENERLRGAFILERFVPSTGWIVKALQCPEEEILAAAGLDAVVFNRILVFSQLNVLSSPKDIPVQLARAIPGQATFFITYVLTSGWASLSSELMQLFVAQCVSNKVIGLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTFPAQDLIDMDREDERSGRIDEIHHQLHSAYCQFPDTEDVPLEKIKIVGGDEEQGSSSSSDPAIET >Dexi9B01G0022060.1:cds pep primary_assembly:Fonio_CM05836:9B:16715282:16721098:1 gene:Dexi9B01G0022060 transcript:Dexi9B01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEASAPPPWVQNKSAAAIDSSSGPLAAAAARLSARSRALPSSRDFHFYNNFPSFKSPVGAAAAKADASLGVLGAAPLLPTRQQPFPGGGDLDDAHDWLVALNDDLLERFGAFMDEFKALREKEEASGRRAAPDAGDGFQTVYGKKKKKVGDGEEGVGRAEAVGASSSVKMAKDKAPAPGMKSKVPFHIRTIPRPQDVYRIVVDNSSKPFEHGLLERSEDGTRTVHPLEKVPIEQLIDRNVPDSEPVKPPALGDTPFTFVEDLKTLELLATKLKNSTEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREFFRDPTKRKVMHGAGRDIIWLQRDFSIYVCNLFDTGQASKVLQMDRNSLEHLLHHFCGVTANKEYQAADWRLRPLPDEMIKYCAFILLEYAREDTHYLLYIYDLMRLRLVKESSGDSDLLLELNYVIKAGATKLMCRSSLQVCKRSNEICLQLYEKEQLTDSSYLHIHGLKENELDARQLSVLSSLYRWRDGIARAEDESTGYILPNKNLLEIAKEMPVTSGKLKRMFKSRNSFLDFHLSTIISVIRDAISASGAFENIAEQLKKGKLEELTAANAKNSSEDTEMIPAVDVDSNEDPSDGSAVVSTVITNVGTASPCMGTVTSEASLGSMHLEDSTPEKDTGTLSGLTGLADMEILSNGQQQVARATVQVSKKTTAFGALFGKPAGGRRPNLFPGSSNDQGKSKVDKITSSVVLPFHNFSGSVKPPTGSFPPKEPVHYEPEGIQHGDPACQLEDVIQLDTETDDPQPAKSRNDDEHQEPEGMEMSKPPSDVPADTEQRFRSLNEERNVHQNQKTPKEPEFSFPVVPFDYAEARKNLVSGEPKAVKKKDDTVARPINKESGDKQRTSNKPGVGENEGNFQHPRRRQAFPPSGNRNFTYH >Dexi4B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:4B:3811913:3813631:-1 gene:Dexi4B01G0005400 transcript:Dexi4B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAISEVCLEAEVRKLVLVVPGGVKEDGHEEEMRHHRRCLLERFMESFGPDRRCVSVVRKVRSHPCVLYTMKVSVKKACVFWSHRNSPRFIPNWRVPTYAIVLCRAVEHDQVEQVVHRSGGPGEPDRQAVLTCFKQADYYIHESRRERGAIEAEGSSAPRRREVAVIRGMAGGCGLTVTSHVEHLVVRLHGLLFRLAAPAVAVEIRFSERWFCLPKGWKEEGLFAEDGLHFVDIAAPLENLARKLYDMRKQEDQEMERRQSETEEEKERRLQEEEAMRKQEEEVMRKREEEWRKMRKREEEERRRELAVARMTPTYPPVSDVVLRKTGMTQPAVVSMLNLTMNNKNQVVCKCIKEEGLQDMLRRALNCDYILPISKTTPPSTSTLSGSSLEVLGYVESYCLLGYYGFVPWTSRFMDESGRMLSPVMMLSQSVKKVLTIIFLVDKFNILLDDGLTISGYFESSVDIHCDDISCSELLTTDWRHHFLCQNIDGEVTIPFSTLLVQLKKKLQLQLTDEELDIYASSVIDAEVENDKFVPHHQQSESGLLPTKPKHELAEVALNDYRLLFSNPW >Dexi5A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:5A:12221732:12223731:1 gene:Dexi5A01G0014920 transcript:Dexi5A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEYKFSVMCFGSGAKGVVPDEILTGSGNASSSHQDSDDAGTKSRVEDIWKKMNSGLPKKMSTPTMTKLSTTAKEKKNKPTNNWMTILGVSPSMASSSTANQSPQNGQQQAHPEMSEDAKKLAAAALAAAKNSAAVAAGRGKVEITEVRDFAGKDIEIKKLVDANSKEAIEKAKAAGAPSAVDNILEQIRKKQKLSVLDKTKRDWGEYKEENRGVEEELDAYKKSSNQYLDKQSFLQRADYREFERERDARLSMMAKRKTDMREE >Dexi9B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:9B:9625035:9628230:1 gene:Dexi9B01G0014370 transcript:Dexi9B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSQLAVRRLLSSAPAAAAAHRSAPVAVSGGGSILLPRSCGAGVAASGWSGGGPGLLWARRLCTYDERGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDQRRMKVVEMGGAQELLNVLEGAKDDKTRKEALKTLVELSKSEEAAGFLDKAGAYAIVSSTPNSPEYAEIETYKSSLLTAFDKLKS >Dexi3B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:3B:728871:729071:-1 gene:Dexi3B01G0000920 transcript:Dexi3B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSCCLGAAHHAHESQRTASSSSSGSWQSMAPCWIVIDCSNLSWLLFRVFTYDDTHSFTNKPVL >Dexi3B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:3B:315420:319060:1 gene:Dexi3B01G0000410 transcript:Dexi3B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSWRGNKGGGIMDDGRRSEEEGEAWRRWSVLVAAVWVQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKAFGWSSGLALLHMPLHGVLLVSAAMGFAAYALQYCCLAFLNTTSAGAPMAIPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSTSNRPLALSLSISFNGLSAAFYTLFANALSPLSPSIYLLLNAILPLAVSIIALPVILLCHTNDSHLHSIPNHDRRVFLGLYILAFITGIYLVAFGSFTTTSSTAWVILTGAMVLLALPLIIPACSHGPDPAQPLSHDDPHKPLLVSNNNQTESDAMMEKAMEHQLQGSCCGTILDKGRLVVIGEEHSAKKLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSRLTMLLAVYSSFSFFGRLLSALPEFLHRKVSLARTGWLAAALLPMPMAFFLMWKQQDGSTLVVGTALVGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSFLYGQIAALVYDANGQRMTVVDNRTGITDTMIMCMGVKCYSTTFFVWGCITLLGLASSILLFIRTKQAYASTASRSSRKHLHQVYS >Dexi9A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:9A:393843:396239:1 gene:Dexi9A01G0000710 transcript:Dexi9A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRKRPALIAGFSPFARSLIFLSAAAAAAASSGPSKPLPLPDDASTSKAAGTPREDNMPRPPSKRAKRAEPSSDEERSSGESEEESFSASESDGDDEGEQSSEELETVQADFAFFDPKPSDFHGVRLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKLADDEEEEGEGEGAGGNNTDDDLFGLISVLNLGRYAEQRCIKDLKDYLLSVCSDKDTKKQLKYLLEEKASSVGLLVCRRFVNFPYELVPKLYDALFDEVSWATEDEPTKELRDSFRFKHYLLVVRILERKTPAKHKAKHNKDDDEPVIYPKLEDEIFHELSSWSFTFPIRSEQSAQQEMKNYKEMGLVMAVKSEAIPKFRKKLEALLSE >Dexi1B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:1B:25280850:25282482:-1 gene:Dexi1B01G0019160 transcript:Dexi1B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLRLLLAVGLPIAALVAFVFLLYRRRTLPRNAPPDLPEVAPAAGADPPTASPGLAKLNMRYTAASGRVGLRFQPLHQHQHHHHHHARFDVRHRAAGAQSQQGAFQWAEHPRLVTEAAENGWAQFVFSVAPPQRTKSNSSSPLWGTCPICDAGTSRDMADAAAWELPTGSSERMQAVRLNPSSAAAAASSTKKRLPSPLRGDTDAGNNNPNPNALCIARMSLPLPGPPLAGAPFPQEAYLEITIIYLNTKRPEWSASRASRRGKDGSTSESDRVKLISFAPDAAKDPVVQENRAAEQEKQRHLVMSLGLAVASAAPARPSLAGTYASSIGFHSNGAVYLDGMKLVYESEKSSWAGVDKVVGCGFEPSKRKVFFTVDGQLVHAVSCNAEAFSSPLYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFVRAASGVDARGGGGSGSMGLDFDDSGELFSMGRVDSGWMETLRMAKSRKESVAGSGAASVGDPEGESDLFEISLRD >Dexi9B01G0046750.1:cds pep primary_assembly:Fonio_CM05836:9B:45969468:45972660:-1 gene:Dexi9B01G0046750 transcript:Dexi9B01G0046750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFDLSVGASAKVASRDGSPVRGTQSERKEYARSITVTGYTRRSSSDRSGGTPMKMLIAQEMAKEDDTNQKTTNVVARLMGLEDNTDLPNKLIVSSNRRSFPDGHLSATLARVNNQMSFEKHASFTEDVEYKDVYEVGYQPPRGECLSNECQRRRKPNEDHDKRRMDLVRQKFVEAKQLASHDNLFQSKEFHDALEVLNSNKDLFVKFLEEPNSLFAKQSEELHSAPTSPQRKRITVLKPSKSVDTKGEKAIKRQKNHAVDGNRVERSNIHKPDAAHLKEERLQKHTRIVVLKPTSAIASMEQFEQNYHADLDDSEAPAISRRLSDEIDWSMHGMCRHHNDSLQACIQSDTIRTDRPYDHYAEREGTSLSDSDIGTPTSHHSWDYIYRFSNPYFGSSLSHASCSPESHVTKETKRYTSDRWAIVPSSETIKEKVSVRRSLSTLGEMLAMADMKKEEVAEQASQDATSRLCSNEPTVGVSSNCAADDGEGENSLRKISRSRSVPVSSSAFDSIRLDGGCSDAQHKESTLPKEVKPKNGKSSLKGKISSFFSKRKKADKEKVKPSPMENLNSKVSSASAVAMDVPGHACTSLHDDVALDYSEEKFKNGPLVAPVDELEAPSTSNSKYPVSLEKALSFEIRNSHFDQPSPTSVLDAQFEDINEKSPISSESAITAKQEPLSRSLPIGSIARTLSWDDASQEAPLYCTRDDSHEQEQYEFVEKILMSVGFCNEKAQDIFFRWHSLDCPLDPAVLDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCAARYSNTLRVATCDALVTDEAWRLVKSWLFDDENDIAGLGDNAGLAADWVVGKDIHGKGWSDMLRLEVDEISKEICGDVLGELVGEAFSDLADAGCH >Dexi6B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:6B:8951604:8952956:1 gene:Dexi6B01G0007680 transcript:Dexi6B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVIESSKTVKPADNGGGHVWAPDVVLLTAFDELQHDEYMSSIHAFHPPAPSNTILEAGLATALAEHREWAGRLGVDDSTGRRAIFLNDTGARFIEAKAEFPLATIMPLLPGTPVVQRLHPSWDNNGAEELMLVQVTRFSCGSFVVGHAMHHSVGDGIAMVHCLLAWGQATRGIAIEPVPVHDRNSFFDPRNPLRIEFQHRGTEFRAQDERNNMLCGADDDDARNNDEVVTHKVSFSKEFILDLKSRVCEGMPRPYTMVQCLVAHLWRCVTKARGLPSGVATTLHIAVNGRARMRQPQVPQGYTGNVLLWAHPTATARELLAGPLGHVSELIRREVARIDDSYFRSFIDFVSSGAVKQEGLVSMADTAECQDCAVYCIQRIPFYELDFGGGQQFLYMPNYHPVDGLIYILPSSPLGDGSVEAQVSLPSHVMDAFKDLLHMAVPYIQSNI >Dexi2B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:2B:28483100:28484510:-1 gene:Dexi2B01G0018130 transcript:Dexi2B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGFEAACGEIRGACGNPRRLGLLLAPRSPAERQQIRAAYRASFGEDLAATLQGTLVPAGQEDELCKLLYLWALEPAERDAVVAREAVEGGTTVAGYRALVEVFTRRKQDQLFFTKQAYMARFRRNLDQDMVTEPSHPYQRLLVALAASRKSHHDELSQHIAKCDARRLHDAKNGGAGSVALKTNGGGEFEDALRDVVKCIYSPSKYYTKVMQTADSLLQRSMRCAATDKRLVTRAILGSDDVGIDEIRSVFKSCYGRNLADFIHESLPQSDYKDFLVAVARGSAAS >Dexi2A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:2A:9089232:9091365:-1 gene:Dexi2A01G0009070 transcript:Dexi2A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGDARSPSKRPRGSDDSGSDGDSDSDCDGGLVSDLREIVCLLRLIKGGANKDGQKMCQQIVASVAADIQTMLEETQLKFEQERQNLLKCENSLNEEYSKFQETYDLFCREKDAHMQTFRALFSEVEAEKKKLLEQYEHHKKTETTMLSELGKTFSEKITYAEQSVRKMKQDDKSFIIFRKSIGSFLECGSDDDFDLDDE >Dexi2B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:2B:24466855:24467204:-1 gene:Dexi2B01G0014640 transcript:Dexi2B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQALRMLCSPQFWRMAILWTISLLHSYILAFLRGRPAASPRRRLPRPGAGGCPICVVTGATSGLGRAAAAALAREGYHVVLGE >Dexi4A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:4A:1869448:1870169:-1 gene:Dexi4A01G0002730 transcript:Dexi4A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQLMVGFFAYAKSLEIHVDKQELEDAQWHSREDIKKALTFAEYEKAQRTNALKVNQICKGAGKGQSLSDDLKVGNDQPAPMFVPGPFAIAHHLISSWAFEGTPKLPSSFSNL >Dexi6A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:6A:6085824:6087793:-1 gene:Dexi6A01G0006360 transcript:Dexi6A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRGKASERVLQAFCRTGCVRASLWPWRTTARPAAHGGMPGLACLRLLHKNTRHEGRTNGIGGDGARREVSSAFMAGQQRRKEGLGLKAHRGLAGGLGEAGREAAGPVPTVLVYPVPSPPSNKDLKDGLLKAVAAHPHLACRLAVDDHGRRFIHLNNKGVLVMETTISADMAVVLAGDVAAATDHYLVSELYPPCEQEKIGVPVLQVKLNRYKCGGLVVGIISHHQVADGHSMRTFLTKWAMIV >Dexi7A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:7A:17986622:17987251:-1 gene:Dexi7A01G0006640 transcript:Dexi7A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPEAERIVWREDAGRFETPDGEAFLQYRLPSPAVMDMVHTYVPRSKRGQGLAARLCDAAFAHARGRGMRVVPTCSYISDTYLPRNPSLEELVYKDQDPHPKGSKPSSM >Dexi7A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:7A:15197013:15199542:1 gene:Dexi7A01G0004380 transcript:Dexi7A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALRSLLLPDPLHRLPATASSSAAAPPVGRAPRGGGRRPHLRCCSGGGGDPGQPPQEAVLEAISKIARSKGRVAHTTNMVMGGTVTDDKSDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESIPKGRVSQKLSSRGKYVSVNIGPIRVVSSEQVQAVYRAMRSDNRMKYFL >Dexi5B01G0026680.1:cds pep primary_assembly:Fonio_CM05836:5B:28389714:28392893:-1 gene:Dexi5B01G0026680 transcript:Dexi5B01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRTALRNLPNPERRRFLAFLAVTAALVASYHHLLAPANSSRYHALFLSLGSNATAAAHLRALTLRPHVAGTEANAAAARYVLDAFSSLSFPAHITPYSVLLSYPTHRSLTLAAARGLAARPFALVQETYKDDPYADAAAEVIPTYFAYSASGSVTAEVVYANYGHAEDYAYLASRGVDVTGKVALMRYGDIHCEDMVRNARAAAAAAAILYTDAKDFGGSAAKGEKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSAEDLSGSEAMPGIPALPVSARDGETILKAMGGDVAPTKWQGGEDAPVYRLGPGPAVLNLTYIGNETLATIENVFAVIQGKEEPDRYVIIGNHRDAWTFGAVDPSSGTAAMLEIAERLSKLQAKGWRPRRTIILCSWDAEEFALIGSTEWVEENMDTLASRAIAYLNVDISIFGPGGLRPRATPQLDELIKEASKVVPDPDEPSQTLYDTMMRYFPPSKNILFTTRCMMIMFGWRSLEIPCSTGMSQWQVFGV >Dexi6A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:6A:26535211:26535596:1 gene:Dexi6A01G0018920 transcript:Dexi6A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFFSSVQLCVSAQSRVAAILDGTHDLQPVQRVAVGRGEQIMELRRRVPEVAVVVSSRESIGVHEPKHGLESRGRDVVECDGRHGGFLHGAQELCFQDRRPGGEHGPVSRERLAAGEE >Dexi3B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:3B:9501632:9507312:-1 gene:Dexi3B01G0013320 transcript:Dexi3B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKGMVAGSHNRNEFVMIRNDGDAPAAAKPAKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRQKGSPRVHGDEEEEDVDDLDNEFNYKQGNGKGPEWQLHGQGEDADLSSSARHEPHHRIPRLTSGQQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQIFFFKETEYRVTHPVRDAYGLWLVSVICEVWFALSWLLDQFPKWNPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGRRKKKNKSYMDSQDRVMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEVSYKISSDFISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >Dexi9B01G0032460.1:cds pep primary_assembly:Fonio_CM05836:9B:34686990:34688461:-1 gene:Dexi9B01G0032460 transcript:Dexi9B01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMRPLPTASSRTTTTRAWCAHCGMGLAAPPGSSSSVRCALCHRVTTRIIGRQRGVGDSGMTTTTVAVASPSPPPRAPPPLSVQREIPASYPKICGKKRALLVGVSYTGTAYELKGTVNDVAEMRRLLVDMFGFPSSCILELTEKQSDPTRLPTRENLLLAMRWLTEGCTAGDSLVFQFAGHGVQRVDMKDDEVDGYDEALCPVDFERDGNVVDDEINDTIVRPLGTGVKLHAIMDTCHSGTSLDLPYLCRMSRTGYWQWEDHCRHGGPGKRPNGGLVISISSCRDDQKSADTSAFAESASIGAMMDSFIQAVEAEPGTTYGRLLGAMRARIRDGHGSCRIPGRFGSYVRRKMTPSSSVQEPQLCSSEMFDIYRKPFLL >Dexi1B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:1B:23372773:23373027:-1 gene:Dexi1B01G0016990 transcript:Dexi1B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLGGDFVEAYVLKNAYKEKLRRMEAAEEEKKSKRGSVSAAEKKASSSSGSRGGGLFGLMKKKVHPKAAPAPSDANGAAAAS >Dexi5A01G0032030.1:cds pep primary_assembly:Fonio_CM05836:5A:34588856:34589437:1 gene:Dexi5A01G0032030 transcript:Dexi5A01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAKWATSHQRSARQERLNGLATKFAASRGRAPGGVVGTHGRQTQTTPTATRRGDANRTNVSGKWADKARVGARAVRSLAARARGAGHQDTIALASSPTSPVVHHRSAHTAGQRPNDDTPTAAPCRAVCSPSRSADAYGERKLRVANRQLAGAREGAHHVVLVGALPRCVPRLAGGGDQGVPSRLSLSISSS >Dexi6A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:6A:24415652:24416094:-1 gene:Dexi6A01G0016560 transcript:Dexi6A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSASSSYSQSGAQAGRRRRWGLLLPLLVGAAFLAEIAFLGHLDMAKNAEAVESWTTSFYRRSANWGGADDDDGDDEIRRCQDRLEREDAVPYDRDFEREPVLVGGAAKVSSVP >Dexi9A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:9A:4195733:4197936:-1 gene:Dexi9A01G0007240 transcript:Dexi9A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKAVTASLDARTTKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQAKTKGGASPPASKASSIQRAPTISSERRTHSTPTRSKANSEEQRCLPGENATANPPKPVNERPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSNIEVTDCEDVEIYVETVGLMYCSDVKHRLIKQTVPRVLRILKVAELLGFRACVISCLDYLEAVPWVGEEEETVVSSVRNLQIENYGVGPVLKRVGSDLTTPPSDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSTTCTSGSSDLCAETLYRSCQKCLESLLTLFQQATDNDFADQSLNIKEPVFQKIALEADNLLWLTEILADRNAADEFAVMWASQRDLAGLHSKLPVRSRHLVSCVTARLFVAIGKGEMLPSKDTRRLLLDLWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDRCPNLQKAFEVWWRRTFIRPYAEQQGNRSQSGRS >Dexi2A01G0029950.1:cds pep primary_assembly:Fonio_CM05836:2A:40943714:40954998:-1 gene:Dexi2A01G0029950 transcript:Dexi2A01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSYSLIPGHQSELRVGHDDKDAGPAETQGKYWGIFICWLLGNGCLFGFNGMLTIEDYYTYLFPKYHPTRIITLTYQPFVLVTTAIFTYHEARVNTRMRNLVGYMLFFLSSFGVIILDVLSSGGGGIGPFIGVCIIAAALGIADGHVQGGMTGDLSLMCPEFVQLSIVKFYRSRAASEGSLTVTADLAAGGIKSQPYTLAEEAPGHAERLSNKQLLHQNMDYALDVFLIYILTLSIFPGFLAEDTGSHSLGSWYALVLIASFNVSDLIGRYLPLIEQIKLTSRKGLLIAVISRFLLIPAFYYTAKYGDQGWMIMLTSLLGLSNGHLTVCVLTEAPKGYKGPEQNALGNLLKYHPTRVVTLTYQPFVLATTAIFTYHEAKINTRLRNLAGYTLFFLSSFAAIILDVATSGRGGIAPFVGICIIAAVFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRFVTKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAASEGSLTVAADLAAVGIQNRPNSMSVENPGCIERLSTKQLLLQNMDYALDMFLIYILTLSIFPGFLAEDTGSHSLGSWYALVLIASYNVWDLIGRYIPLIEQVKLRSRKGLLVAVVSRFLLIPGFYYTAKYGDQGWMIMLTSFLGLSNGYLTVCILTEAPKGYKGPEQNALGNLLVLCLLGGIFCGAVLDWVWLIVLFFSITCLFELVCLLLYTFVFAKLPIVKYYRSKAAAEGSKTVASDLAAAGVVAEQQAQVEEDPQKNKRLTTKELVMKNLDYGLGLYLIYVLTLSIFPGFLSEDTGEHSLGTWYALVLIAMYNVWDLIGRYVPLIPCLMLTSRKGTMAAILARFLFIPAFYFTANYGDQGYMIFLTSLLGLTNGYLTVCVLMEAPKGYKGPEQNALGNVLIVFLLGGLFSGVVLDWLWLIGKGW >Dexi3B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:3B:12272499:12273148:-1 gene:Dexi3B01G0016800 transcript:Dexi3B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQALSWSKAVAAHRKSQQWQRRRKDGRWCYDWHGDLLFPLLPFGWRFPNHICNCLSVNPSCTDSSARFGVFMTRFTGGFPPDPDAPAPLLPPTAAGADAGPVLPIPAAAAPASSSPEDSIPVDRNPRFANPAATRQLNTTQH >Dexi5B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:5B:18090264:18091784:-1 gene:Dexi5B01G0017100 transcript:Dexi5B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKYAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTFSIWFLVFCWLFAPFVFNPSCFEWHKTVDDWMDWWKWMGNRGGIGLAPEQSWEAWWMSEHDHLKNATIRSLLLEFIISLRFLIYQYGIVYHLHIVHGNKSFTIYALSWLVIAVVLVSLKVVSMGREKFVTRFQLVFRILKGIVFLVLIGLLVVLFAGFGLTVADVGASILAFIPTGWFILLIAQLSGPLFRRLIIEPLGTLCCPSGTGAACRGPCCAKFRQRTWAVLRKMGPWDSIQEMARMYEYTMGLLIFLPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGRGMKRD >Dexi9B01G0040160.1:cds pep primary_assembly:Fonio_CM05836:9B:40754936:40757194:1 gene:Dexi9B01G0040160 transcript:Dexi9B01G0040160.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHSEIAKMYRPSHADATYDFKYGVRALQGGGRSSGRETIGRVAAGAFAKKILKLKCGVEILAFVSKVHHIVLPEDAVDYETVTSEKIESNMVRCPDPQYADKMIAAIDKVRVRGDSVGGVITCIARNVPRGLGSPVFDKLEAELSGAMLSIPASNGFEIGSGFTGTDFTGSEHNDEFYMDKAGNVRTRTNRSGGVQGGISNGEIIYFKVSFKPTPSIGMKQNTVSREHQNVEFLARGRHDPCVAPRAVPVVESMVALVLTDQLMAHIAQCEMFPLNIALQEPVDCFCQ >Dexi9B01G0025190.1:cds pep primary_assembly:Fonio_CM05836:9B:25063478:25063717:-1 gene:Dexi9B01G0025190 transcript:Dexi9B01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAPPSGSGLLGGRLLPADLEGIRGGNRPGEATVGGRRQQSADGGGKGVARASSGGRPVGGGLAIARDAAAASRTLS >Dexi9A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:9A:5212140:5214690:-1 gene:Dexi9A01G0008810 transcript:Dexi9A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPTAAAFACLLVAAVFLASPATAAEYVKYKDPKQPINERVDDLLSRMTLEEKIGQMSQIERANATTEVIEKYFVGSVLSGGGSVPSEKATASVWQKMVTAMQKAALKTRLGIPIIYGIDAVHGNNNVYNATIFPHNVGLGATRDPNLVKRIGEATAHEARATGIPYTFAPCVCRDPRWGRCYESYGEDTRLVQLMTSNMVTGLQGDVPAKHPKGVPFVGGPKKVAGCAKHFVGDGGTQRGINENNTVMSFHDLMRIHMPPYDNAVIKGISTVMISYSSFNGVKMHENKFLITDTLKNKMNFRGFVITDWQAVDRITNPPHKHYYHSIQETIHAGIDMVMIPYDYPEFVADLVKQVKQGQIKLDRVNDAVSRILRVKFAMGLFEDPLPDPRLTKELGAQEHRALAREAVRKSLVLLKNGKKGEKPTLPLAKKAKKILVAGSHAHDLGNQCGGWTIKWQGKSGNNLTGVGTTILEAIKKAVSKNTSVEYSERPDKDDLTKSANDYEYAVVVVGEPPYAETAGDNQNLTVPSPGAEVIKDVCGVMKCVVLVVSGRPLVIHPYVDYMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDKRYDPLFPFGYGLSTKAAGGHN >Dexi7B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:7B:24072073:24076087:1 gene:Dexi7B01G0018230 transcript:Dexi7B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLALTRRDLQALCKRNGVRANMTNVAMAEALAALPAVDGIEEYVKVPVAVPAPEGKAAAAERQREKQGSPLPRGRRVTVKAVEADVGRVDVEEDEKRELAKEDPPALGVGRRGPSRRARPVPAVATPVVEPVGKEEEKQDGSNEEDQRSEENKEDAPAPVVGRRGASRRGRPAPAAVSAGAGAGEEREIEGTIVPRGRRVPVKSSEPIRSDDCEEEEVELKQKASTDDAPALGAGRRDPSRRARATSALPAPAGKAAEQEQMAPIPRGRHTKAKSIDEVNPVDGEADEKQGADPEEEEADVLAPGVCRRGASRRARRAPAVATPAGKVTDEVVTLDDSDTEPEEKDGDAPEIVVRRRGANTRAPVPVEAPATRRRASTRIIEAGDVAVDAVPIRPIRQRKPTMKVAAAAKEKALPKATRRDVVKNTVSQQGGQDKTKGTISDVEALPEPASNVGCDNPEDSKEASDPQNTVQKQEDEGMVIIGDEILMKETPAQQEEQDKTQGTISDVAVVPEPVSNMGCDNSEDSKKASDPQNRAQKQEDEGMVIEDDILLKESVDQECMDYSTLQEQQEDVENRPSLLTNQEDSPIMGLVSMADEQAPDKDEGDNFQDGEGFSEGSSDKGVFMGIYDASDIMQEAVTGCGNHESEKLMPIKQDAVTGGASHESEIRNVSELPVLPHGTEEASEANTAADLVSPEKEDINVDQLLTDLAVGSVLVDCSGNSNLFAKEDTSEDNTEDYFSCQEKGDVVADTTMPDTVAEAIPSGCSSGISWVEVEKAGDIICVTPESPVQFHLTSQEKEDIYMDHLQADLAVGSILVDCSGSANLFDKEVTGVVNTEDVFSCQEKEDVDAKMALPDMVFDAIPSGCSSDISWVEVEKAGDVTREMPESPDALDEDGGLKEVAITEEVPQSTGTMDEDFEKDQFEIDAVHVDELKEVVTTDKMAQLSGTEGDVVKEDKAGAITGEVPNSTGVMDECVQTIDFENCFLLDELNNAVTTDNMPEDGADGNTKNTLTCDLPQELKVSEESDDHITPALLADVTEHLSKNIVTVEPIVSISEATSVCNNSSEKKAAEPVAILNEKGFDGAKKSVDLYKLSLGQLRTKLKETLNAQKNKETKRVALARVDENVCRSRAKGQQQNLNLQQH >Dexi2B01G0034190.1:cds pep primary_assembly:Fonio_CM05836:2B:41482764:41485124:1 gene:Dexi2B01G0034190 transcript:Dexi2B01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAASPTSASKRVVYVLLAALASAPFFLLLLYGGASRSAVCPASFTAPRRLPYPNVLWSRVPPIPALPTSPHPALRASRWIVFSASPHAPRHRPLRAVPGWQLLAVADEDTPEDWSHPGAAVLTLADQASLGFRSVAILPARGPARKTAAYLFAVQRGARVIYDADARNDVLGGNLTRHFDVDLDQRQGGAVLLQYSHADPNRTVVNPFVHFGQPSVWPRGLPLEKAGEVDAEEFYTEVYSGGQFIQQGMCNGLPDVDAVFYFTRKSLEMEAFDFRFDADAPKVALPQGMVAPVSSVNTLFHSPAFWGLALPVSVSPMASDVIRGYWVQRILWEMGGYLVVYPPTVHRNDNVHAHPFADEKDIHVNIGRLIKFLMEWRSSKRTLFERILDLSYGMTEEGFWGEKDLQFMAAWLQDLVSIGYRQPRLMSLEIDRPRATIGHGDKKEFAPKKLPSVHLGVEEIGEVSTEIGNLIKWRKHFGDIVLLVHCTEPVDRTALEWRLLYGRIFRAVVILSEQRNSDLAVEFSNLAQAYRYLPKVFDRFAGAQGFLFLQDHVVLNYWNLLNADKTKLWITNQVKESWSDVPLPGSE >Dexi2B01G0030700.1:cds pep primary_assembly:Fonio_CM05836:2B:38956946:38961041:1 gene:Dexi2B01G0030700 transcript:Dexi2B01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVTVDLQVSNRSPRKILSNSTSSPGCFFPAEIEKAVDKIQGNVPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGLELERNFGGQAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIVPGSEEEVEIRACSIYAVEKMRDLISKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >Dexi3B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:3B:978426:982565:-1 gene:Dexi3B01G0001330 transcript:Dexi3B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGSSACSARTLAACVIGGIVLGASVLALHHAGSSAIPSLPPLDAVRRRLRRRRHRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGPDSRIVYIDGAFDLFHAGHVEITTFNISLVVHGTIAENMDYAKDDSNPYAIPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >Dexi7B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:7B:20886966:20887274:1 gene:Dexi7B01G0014750 transcript:Dexi7B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPAIPSSRRYALVTPSRWRHRFIFNSYRPKATTTHACTSYHQLATTSEVTAPLCSCLALPRLMPPVLALGRNGREPDHDQSHRPPRRVWDSTRLRASHKL >Dexi9B01G0047530.1:cds pep primary_assembly:Fonio_CM05836:9B:46578706:46584003:-1 gene:Dexi9B01G0047530 transcript:Dexi9B01G0047530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKPPPPPPPPPPPEAPAETPSPQRRRKKKGRPSLLDLQRRSLRLQAQNPSPPPSPSRRDPNPSDDDEDGAGSGRRRQKRLKSVMSSGVKEEPGEGKKDAAKATGKEVAASDGGGPTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEIIDHPMDFSTIREKLLNDSYSNLEQFEDDVFLLTSNAMSYNSADTIYFRQARSIEALAKKDFENLRQPSDEEEEPKPPARRGRPPKNPKTEDDVSQDLSNIKTNKPEDNADAIRKRLTGDRTRNANTPFKDPSTFHSMFGSFTAKRTDKFGDYSGSSKWGKKPPSLDDDRRSTYDQHYSHNSSLFAAFDDERKLLVPVGVQQQHAYARSLARFAAKLGPVGWDIAANRIQRTLSAGINFGPGWVVDGEPSQNTQWPPVVTSTIPSESTAPPNVPSKTEVLHKSGLSSNGDVTGEEHLTRTQTVASTSASFDKSSEISSKVSKHENGVKKSCGGIDNTGPAPPSQHHSHSREIHSNINGFTAASNAMSQFAGQGLFGSGIPMTHAQVLGMFSGMNGKANGYIHGHQLTADTLKTAQNGDVGKATVNPVQGAGRDPQIVNDNTPAHTNLNAGVQSSASPPRGKLANPKHPDLALQL >Dexi5A01G0038900.1:cds pep primary_assembly:Fonio_CM05836:5A:39683009:39683375:-1 gene:Dexi5A01G0038900 transcript:Dexi5A01G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEGAAAVALRAVLARAWRAAERSGRAAEAVRVVAVGKTKPVSMLRQLYDAGHRCFGENYVQELVTKAPQLPEDIRATK >Dexi9B01G0030380.1:cds pep primary_assembly:Fonio_CM05836:9B:32900491:32900866:-1 gene:Dexi9B01G0030380 transcript:Dexi9B01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPKIILHPISTGANNCGSFTGISSSRSRAVSRSPAAVTPVITAFQENMFLSGIEWNTRRAPRGSPDLKRAVMREL >Dexi5B01G0021540.1:cds pep primary_assembly:Fonio_CM05836:5B:23855602:23859026:1 gene:Dexi5B01G0021540 transcript:Dexi5B01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSTSSAGGDAGFVRADQIDLKSLDEQLERHLGRPSERGVGPVSVGTGSRRGESARLGPEELTPLRRCREDWEIDPAKLVIKGVIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEQEIAALRAAFAQEVAVWHKLDHPNVTKFIGALMGARDLNVQTEHGHLGMPSNICCVIVEYLPGGALKNFLIKNRRRKLAFKVVVQIALDLARGLCYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPGDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEVYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQTQGCFSCFRQHRGP >Dexi2B01G0026430.1:cds pep primary_assembly:Fonio_CM05836:2B:35522731:35526673:-1 gene:Dexi2B01G0026430 transcript:Dexi2B01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLDKLYSSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALAKAASLYGGALRNIEKEYDDFNRTLSSQTIDPLRAMSMGAPLEDARGLAQRYSRMRHEAEVLSTEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRITLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPNLSSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >Dexi3B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:3B:4144102:4144915:1 gene:Dexi3B01G0006020 transcript:Dexi3B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSDSDHDLAAVAVSSSSSSSTTSASPPPSPPPACLLRRPRNRRRRGRSSRHGAATTPTPAAAAANEPEAEDVWRGAQWEAAWPGRREARPVLLASDAGGGSGADDGVGRSRSLTDDDLEELKGCADLGFGFSYDEIPELKSTLPALELCYSMSQRLLDDPVTDSPTAASPAQQPPVTNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Dexi6A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:6A:1016356:1021288:1 gene:Dexi6A01G0001150 transcript:Dexi6A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDAAPPPEVVAPEEHPAAADSAAEEVPPAAAASEVDNKRKLEEVAADADEANGDGEDAKRPRVDAEPDAAAGGSLTRPPRVSLSESVCRWQPVAVEDGNVAPAEGVPDGANGTVNVSEEKPLEPTPEAAAEAPPQEGDAEAALQETVTVQLLSGGHVLKVSLAMDTHQEVCLHLRTTTHPMVVTHSRDLQEAAWAGTKGRALHPILHIKAVVLTTTSRDLNHTTASHQTTVLDQGTTIVMGNLRLLAMDNLRIRNMHINRTMATGMYYGQPPMGPQQGYPQQPDPYARPPYSGPGQWAPPRGAPAADGSYQAPPPASYGPPSQQPPAYGQTYGATATGPDGYAQGYPQQGGQAPTQYGQSAPAASGYPQQGTQQGGYGQYPQSQPAYGDQAAQANANYGYQGAPADPNYGSAYQQSGYGAPAPVAGQTGYASAPAAGQPAAYSQAAYTQPPTNPPTYDQSAAAAAPAQSGYTAPAANPQPAPAKGVSPQPAAAGYASGQWTS >Dexi3A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:3A:12849735:12854603:-1 gene:Dexi3A01G0016950 transcript:Dexi3A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRPRHGEERRTPDGAATAAAAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGAAAHSSVTSIKSLPCLLHASGTFNNRDDARQFMKHLHHDLGVGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWHPFMGPLRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGEFPLSTFLLYLALEI >DexiUA01G0022900.1:cds pep primary_assembly:Fonio_CM05836:UA:46699904:46700290:-1 gene:DexiUA01G0022900 transcript:DexiUA01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRISRDWITTVHARTIPVRVEFRLCAAAASASVAPPPPPSAPTPPSPWHHVALPQIIAVPSSPVVPATSRRRRWSPSPQLVAVASSPVALAVACCRAVDSSFPRLLRVRSPAPAATDWK >Dexi1A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:1A:4141330:4142269:1 gene:Dexi1A01G0005630 transcript:Dexi1A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFLVCTTSLLLIILSTYIYQLLQRTRSRLPPGPVPLPIIGNLLDVASKHPHRSLWRLAERYGPLVSVRIGTAVVVVVSSPSIAREVLRTHNGSITGRRPPDAWNGAGHAANSVFILSPGRRWRELRRIGAEHSLSPRRLDHGLRPAMSAALLEMRRRISESAMGAAAAKPVEVGEVPFVAMAELMWRAMFSCSLDAATLRELHGVAKEAARLALTPNISDFFPAVAAMDLQGIRRGMAKQVGKVYELIDQEINKRRRAREASGGGGIDDEQKAADLLEMMLDMWEEDDEVVINRDNTIRTFLTN >Dexi7A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:7A:19747431:19750981:1 gene:Dexi7A01G0008510 transcript:Dexi7A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVSDGPSVDYGGRVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLSAFFPGVLRRMAAARRDQYCVYDSHALTAFTSSLYLAGLAASLVASRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNVAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVILCGALLIPDTPSSLLVRGRAEEARAALRRVRGPKADVDAELEDVARAVEAARAHEQGAFRRILRREHRHHLAMAVAIPLFQQLTGVLVIAFFSPVLFQTAGFRRDGALMGAVVLGAVNLGSTLVSAFTVDRYGRRPLLLTGGLVMIVCQVGVAWIMGSQIGRDGEEAMARPYSLAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAVWERHWYWGRFVQPPAKIAQDP >Dexi6A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:6A:28050672:28052149:1 gene:Dexi6A01G0020570 transcript:Dexi6A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRLLGSPASIAVLILSFFQGSVCGITFTFSNRCPDTVWPGLLSGSGTPALETTGFALSPGQSRSLYAPQGWSGRFWGRSGCNFDSSGKGSCATGDCGSGEVECRGAGATPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAAPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGTPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSSDNSKHSSRRPSHEQLEDSVWLASLKASDARALTVAPCSASIVLQSVVAIAVVIALVGLEQPLFSLL >Dexi2B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:2B:12344282:12358794:-1 gene:Dexi2B01G0010830 transcript:Dexi2B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSRSSGFLREVELRLLRCTLPFPSWTQLPTASAPPAHPLGAAAASALAAVEAGDYETALAAAAPHLLPASASSGPSSSAAQFYADLAAATRAFLRGDGDGGAAGEGFECRCAVVFSAAVAALLAFTQQNVTGPSRKFPTFPFWTSSLDEGWYSNLEGIWDAWASANLSSFGSHVHGKFSLLQFVVFAELLLTSIQSLDRSDCWSVSWWLFRISMFQQNILDELSSSLFDQMQVYKNKMLAHFGELEKVSIYWDSLLCDGEGSYLVSAAFLEAGIAEYKYGRVDASRLHLDSAQEACDLHLSLTGILGFRTIHQVDAKSQMVLVAKTNKSESDEGQATEPTVAQNDCAALKTARSSVPIESDEFCDILRTPRLVHDGSNSASENTTGPSANISLSAIQQAAVLAQCLHVSRRSRSDEMSGMPVFSFLVDTYDKRWEMAPYIESIDSQGESYFVVRSLCDILRIRWESTRSRTKQRALLMMENLVEDVGKEFPVAAERVKMVFGVHMPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADAVSLINARLSVAPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARAMRSLARSAYNRNDFYTSKILWESALALNSLVPDGWFAYGTAAWKDKDLDKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSQAAVQAFREAVKFKRNSWEIWENYSKVALDTGNVRLTLEALKTVLNLSSNKRFNADIMDKVMTMLEEQPSHIVGTSEASHDANKEIRQSNQLLDIVGDILQQIVRSGGSNADVWGLYARWHKTKGNLMACSEALLKQVRSLQVHSSFAE >Dexi3A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:3A:3929844:3930178:-1 gene:Dexi3A01G0006070 transcript:Dexi3A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREVVGGEVGVGGEGAGGERVGVGCLYGHDAPWAEDAEELWRVKGAVQAGEGGAGDDAAEVGVRGGGEGEVTGGGDAGEDVLGAAPGGLEPSASRHPPPAKWI >Dexi5A01G0025130.1:cds pep primary_assembly:Fonio_CM05836:5A:28973216:28974631:1 gene:Dexi5A01G0025130 transcript:Dexi5A01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVTRRTNNHLTNPFGEPQSSPPIGDGEASMRGGYSGFSGARHTTSSSSSSSNGRGYNGNPCLTMHQPWASLLVHGIKRVEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVDGITEITFPDHYPVSRLLGCVQVVGCLRSQELVCWEDVPESKLVVPFEMRGYQGVYNLERRIYDGAVRGLTPVQGPLPVKFPLPDPRDHFSLKPGSLTFDASQTAVQKSASVTAATAGARAAATQFSKKDGNTATSSGNRADSSSGNGNLPSIVQGSPAYLQNQKHPSIFRSDADYSKNPNAEPRRSPRQEYGASNR >Dexi3B01G0035010.1:cds pep primary_assembly:Fonio_CM05836:3B:37657005:37657226:1 gene:Dexi3B01G0035010 transcript:Dexi3B01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEQLHILREVAVVVEPLHILYEVAVEVEPLHLLLKVVVVAAAVNLLYVHRKMVAIAVVEVEVAIVKKLPIV >Dexi1B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:1B:2483330:2484079:-1 gene:Dexi1B01G0003050 transcript:Dexi1B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVLKNLELGLAALGGQKGAAYDRIVLNAAMADHLLGCSGAEDINTALDRAREAIDSGKALRRLMSYIKISHKVT >Dexi8A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:8A:15408162:15408479:1 gene:Dexi8A01G0009830 transcript:Dexi8A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEQDGEKERSPGEGGRGSHRRGRSNRQPWELAPLSSRGARATVAQGARPWTIEGCNVNLTSPPSPPAHAVSIGAGAGDAVALFETPLPLSGAWLWHSVSSPPS >Dexi7B01G0024180.1:cds pep primary_assembly:Fonio_CM05836:7B:28568078:28568674:-1 gene:Dexi7B01G0024180 transcript:Dexi7B01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTKPDSGSGGREASRNQRESKQAAHTDSGLNRGGQSLSPQHPGKSWLRSRTPPCAPRACPVGAMVLELQLMSGDPYVAVVVPAQSLTRSAFFCTCHGRHRQQSSRKNHMAVLCRLQSTVIDHGCPPAIAHHSVHVLHIPQASSTPADLETTTAREGIRESHRGEVKPVKKEISQPGSKKDKEKEWCRTGRGKRGT >Dexi5A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:5A:6985218:6996488:1 gene:Dexi5A01G0009280 transcript:Dexi5A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASQDRDATAQRVRNAMACVAAERNAAAEVFLILMRDPMGTSFPTLPRSISTAPCCSMKKPPSSTFTLKPIDLLRPNPTNGPGLVSLPDRGSPTHLGFRRRWGPLARVTRFTADATPPPLRCLLLADGTLQLVIDGLCIKPSTLEQPHQRVPPVAPPPQARTPAKLFDRTVRDEVARGAGEEARKGVPEGEATEASRVLTGMKGGRKKKGLGSRPCLCHDAAELAARERQKEGRVIVVLLMARGSWTPVAPPSPARRERGGRKNLGLGLEGIDSWEFILPDPCARPSVQAGQSSRSWAILEAQLLAFGLLAQMGDAGEKGRRRIGPVSSLATGCVPSRARPSAVPKRCWAGRPSRAGRIERAACACTHDATPNPYRVSGPCRAALYRGGGGPLARVTRFTADATPPPLRCLLLADGALQLVIDGLCIKPSVISPLFRPWAIRPWDSSSSRSSAPPHDLAPPSTPIPDVERFHWSDPAAAFGLRFTSSLL >Dexi1B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:1B:25865343:25866536:1 gene:Dexi1B01G0019630 transcript:Dexi1B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQFSDDIIVNILSFLPHKEVARMRVVCKRWHALTSEHHFLCTSFSRNTTGHSIAGFFLSDHLYSKFSYVPLGQSIAGNSSHQTTVPDLSFIPTTPAVDRDQIYVTASCNGLLVCGRPNTSVVYKSTWYVCNPLTKKFIEIAVPEGITHFLYLAYDPSKSQHYKIMALGNYDIHVYSSQTRSWRVALHFDESEYPLRGLHCYHSVFWNGSLVWVVQNHLVRYVVDEDEHQAVQMMPMPQTPEGWMCAYVGESGGHLQMVGFTEDERLAGVMDVLEMQEGSSEWSTLYRVDLRRVVELYPSIRRTEREYPYIGLRYGFGRGRMIERLALWPMHVVRGSGERGRGGMMLFSIPGKIMCYHTDSRKFSIVYGKPVAPEPGTYQFHWYHFSPYSPSLFAV >Dexi9B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:9B:11362877:11370406:1 gene:Dexi9B01G0016510 transcript:Dexi9B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELVPGGRPVLLTIAATRSAASPRRASVLVAPRCAALVGPGASDEEEANIKEEGKKSGERKNRRVADRCGAMSLLCEEDPAAASCSTPSRPHRHLCFWGAMLELGVWVSLAYLAQATRLLPSDAGRASFITALTCGITVRSLRCNCSSSSSSLRMASSTASASWARRLQAGGAGAPAVGMSCRVLLAVAAPRSAASRRRVRVILAPRCAALEGTGASAEEEANMEDEGNKSGERKKRARGRPVWKRILFASRKTRSIIMLNALTVIYASDIPVLKEVEALTDPAVFNMVRFVLAAIPFIPFAVRAFGDRRVRSAGLELGVWVSLAYLAQAIGLLSSDAGRASFITAFTVVVVPLIDGFLGASIPKLTWFGATVSLLGIGLLECGGSPPCVLVVAFSSVLWFMFKDGYVDNGESGFESWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDDAAWIGAALVLCGSLTVQLFGSAPKKSKKVEKRNRNALETTVKRQEYLSLSPIPVDSGKIIGRQLER >Dexi1A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:1A:20898656:20899816:-1 gene:Dexi1A01G0014330 transcript:Dexi1A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSPSPLSVAFEPPWLEAERSYYGVGEGRQRQGGVVRRGHWVATRTKCDNLFFLFSMQAADQCPDDSTLYSNRCLCWLKMGKGDQALSDSGICRIQRPGWAKACYLQGAALMLLKDYEKACDAFVDGLKLDPTNVEIEKALWYSALSLSEDIVTVEAINCLKTSRGTK >Dexi6B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:6B:19432981:19441717:-1 gene:Dexi6B01G0011870 transcript:Dexi6B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHATAMASAATTSDMAAMSRTSGHLSPQAARATTRAATPAEKSYVFRTHTAPTQNRRRQPRSATGAGAAAATSPTATGMDGALSRALFDFSVPRLFMARKRAADFVNLESGGESDDADTESQDFSDSQPFSQSEGGPYAAVIYLDFVNFGGRQVHDDIPRIAVWKGEMLKEYSSFDMKSTGSYGCHPLLDISATCYSKDLIFLQNRSSVLVDDDFCEKLDSYSGCKLPVVLKEKICSLIETFCFNPRLAVNVDVNSINGLPDELKKSFCNLLNYVSNINSRTPKLILDLVKLFADSADTEDPNILRSPEVTPSNEHIPTSVNNNFDFSEPKDFDNIEENGSHGVFCSQYELHKSFHSSSHLNVDPQANSEVQIVGEKTLSDKVREMSNKSDALYNSNLRNSGSSAATPVVLSPQGPSASRPCTQSVSFRARDNSTGGKMPRYGPHRLLNPGPLFQGDFCTASNKISVSKSQIDNYKAICKLSSSQFQGLENLLKDPEFADEDVLSHAFRRSSKARPLHLSNMLFFPICHEDHWSVFVVDIKDKKYVILDSFFTRTDEYQQILSEKMVSPYPTLFSISYFDIGFDEYEFIFPVVPQQPLDNT >Dexi9B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:9B:8023650:8025864:-1 gene:Dexi9B01G0012050 transcript:Dexi9B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDESSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQYSIPDYVHISPECQDLISRIFVANPATRITIPEIRNHPWFLKNLPADLMDDSTMSNQYEEPEQPMQSMDEIMQILAEATIPAAGSSGINQFLNDGLDLDDDMEDLDSDADLDLESSGEIVYAM >Dexi3A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:3A:9274488:9277384:1 gene:Dexi3A01G0012720 transcript:Dexi3A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFSVSCGPLPVLLLLLLASVPIMALPWGSAVEVLGEHSREFFKPAEKLALHALREMKAPEDFIKGVKTGFKTCKTLKKLQGVIEKRNLGPSGTTIAIGIKFPTGGYSTIPILMADITATDHGLCGPKVAVGLPQPGPSSWAVVAFGYGGELSKTFPMFSYQVAADGRAVVRLPTAAPQGNTIPFHTNTNGDGRYYVEVTGIWVGKQVVWTSTELGFRPHGTGGVYVYLSTMVPYTYLENGVYVRLREALRLQIYGLNRGAMPTSLGPTDKLCYKRTYLTWVPPMAILFGGNAVMELRQDNVWYTDQGSDTVCLAILPTTDPSSPDQASVLGSWLQTDRMMTIDVTHEVFQKSTPGSLLYLLRSLTISLRKVDNKRILSGWGFQLSW >Dexi3A01G0026830.1:cds pep primary_assembly:Fonio_CM05836:3A:23378935:23379495:1 gene:Dexi3A01G0026830 transcript:Dexi3A01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQGGRGRASGRCCCCGGGPAVAAEGGVPVVLDGVVGAAVEHPRDGGPLVAVLGVRGDDEGVLVGREGAALHAGAELVAPPETARLARSPRDLRADHAPVPRAVASDGAAKQVVLLRRPRPPHPPAPAPSSSSSSSAVMTLWPHRRAAIFFFPGAAAAPEHRRPGGRGGVYKG >Dexi9B01G0044060.1:cds pep primary_assembly:Fonio_CM05836:9B:43941536:43947607:-1 gene:Dexi9B01G0044060 transcript:Dexi9B01G0044060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTQRRGGDEEKDNVSSRVALLNSGVKKEEWQVAAAAASGDGGGGLGRRVLEESRKLWVIVAPAIFSRVVTFSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWIVLFMCAVLLLPMYFFAEDVLLLTGQSPELSAMAGRVSVWFIPLHFSFAFLFPLQRFLQCQMKNFVNAAVSAAALAVHVLASWLLVSRFQFGLVGIALTLNFSWWATGAMLFAYVSWGGCPDTWHGFSIEAFVGLWEFVKLSTASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLLFWVLIMGLHDKFAFIFTSSPVVLDAVDHLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYLIGIPLGILLGWLFDLGVLGIWAGMIGGTAVQTLILAIITVRCDWEKEAMVASTRMDKLSQVR >Dexi7B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:7B:1842278:1849969:1 gene:Dexi7B01G0001000 transcript:Dexi7B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQAKSFRRKASHSHNKAQRRWPSRLVDGFRRMLVGLFSFPPRPPKVTFSVDEHRAAGGAGGGGGDASKRSSWSSSNLHPLNAHYDEAIADCVEFFNKSARVDLSSHRHWPPTHRAVGTGVNESLDTVVVAGTPTWSWCWTAEQRGTPAPASPRNTRWAIRRLDKDVEAEDAAARGPAGKNKKSTMEAPKDAVFVEKAAAATAGVNEEDTSLDLVPASPYVTRNLLSIP >Dexi3A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:3A:2164197:2165057:-1 gene:Dexi3A01G0003330 transcript:Dexi3A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMAADSGLRRLFEKPLPENPTLLEALSACHRNIHPNNKPIDPSSFTEIFGELHFQEKQSPERAMPMSSQPPARTASWLDIAAEAEKISLSKDDSSLDALLRPKPAATMATVKRSASFCMKKSSPASLQLCTEGLGSESTVDADDMLKDGDAEAEAAGALGGSSKEADTERISDVKDVAGAGAVGEGKAEKPPPSFPPPIRSIGRGGGKPRVCFRSFREDGRFVLLEVVIPGKDVLQATREGGRLRLQFANGAAAAIAAAGGGLGVDEEVLHGEDDDSKAKINY >Dexi5B01G0022410.1:cds pep primary_assembly:Fonio_CM05836:5B:24699342:24702847:-1 gene:Dexi5B01G0022410 transcript:Dexi5B01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHCSEQRPGFEVTKDWNGADQVAIRSPRGASVRVCLHGGQVVSWRNDRGEELLFTSSKAIFRPPRATRGGIPICFPQFGNCGTLEQHGFARNKIWTIDEEAPPLNYGDNNNNKASVDLLLKPSEDDLKCWPHCEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPGVIAVLDHEKKRTFIVRKEGLPDIVVWNPWEKKSKTMADFGDDEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVPSTNCSDHLDYPGRL >Dexi9B01G0048200.1:cds pep primary_assembly:Fonio_CM05836:9B:47208385:47208861:-1 gene:Dexi9B01G0048200 transcript:Dexi9B01G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVEVGDYVLQTLVGKGSFAKVFRAAHRGTGARVAVKAIDRRRVEKRVHDGILKEREILRSIDHPNVLRLLDTIDTADVMYLVLEYCDGGDLDEFLLKHGRLPVATAKDLMRQLGMALICIRIFGRQKII >Dexi5B01G0030230.1:cds pep primary_assembly:Fonio_CM05836:5B:31189540:31190598:-1 gene:Dexi5B01G0030230 transcript:Dexi5B01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMVPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSTNDPYHMNERLIAADSLQKAVMLLALTAWAFWAHFRRRRGKASAAAASPIKWVVTNFSVASLPNTIIMGVPLLDGMYGSVSGGLMKQIVVMQFCIWYNVVIFLYEFMAARDSTSKIRPVLPGAEESGDRIGENGGGSIDDERCQQQVVVNIEITEMAAAPDSAAKEPEAAAEKELSTDGIPAVAQAEQVPSVKHIVWMATKKLLQIPNTYASFLGLIWSESEL >Dexi7A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:7A:27019910:27021015:-1 gene:Dexi7A01G0017290 transcript:Dexi7A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSMLPTDPLFHEFHVAAGGSDDDDLMRLRRPLIDREPQVSSRQRYAGTCNGVVVLAADSFYSSTTTVVLFNPAITGGEVVVGISDNDRGQLGWFGRRRVSGFGYGPTGLRHKLLLAKLGDRYGVDDKQVYHATELLAYTLNFDRDSADDQQPRYDYY >Dexi2B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:2B:24008103:24010082:-1 gene:Dexi2B01G0014390 transcript:Dexi2B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIYVSLVVLLGLGTLFVIPRSKGKGKGNAEDLAGSGKVYDVTAYVEEHPGGDAILNNAGDDSTEGFFGFVTYHQLVNCILPLFPQHGTRVFDIIEDFCIGELKD >Dexi3B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:3B:4025314:4028548:-1 gene:Dexi3B01G0005890 transcript:Dexi3B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGSSSGVLRTPKRASDVKQKKKKKREARLHDVKKREAQLNEGDDVCAICDDGGYVTCCDGRCMRSFHLTLEHGEGSNCPSLGLSSGKAKIIIDEKVFMCNNCKYEQHQCAACGLLGSSDLSSGAEVFKCKDYNCGHFYHPKCVSKLLHPDSKLQASLSEQRVAAGLKFRCHVHKCSVCHGAENKDDKNLQFAVCRLCPTTYHRKCLPSDIPFEAKEGPEGYIFQRAWDGILRNKILIYCMFVDSITLCHLKHEIIKEHGIPRRKTIFFPGAKKKHSVPKGPKSAPNDQDMLDEEEALDHTVSEPSQTLPPHATIQNQYLCTSNPMDSFAPKAFFTHPYPGSCGWLDEDD >Dexi6A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:6A:15947374:15947577:-1 gene:Dexi6A01G0011210 transcript:Dexi6A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCCTPPARQAAAPHAIEAQPPAAQCPQVDLRPPLAGERPMLPTALLLLPAALLATVAKAREREKG >Dexi9B01G0022840.1:cds pep primary_assembly:Fonio_CM05836:9B:17723740:17725737:-1 gene:Dexi9B01G0022840 transcript:Dexi9B01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRGSVCDEEDERSFMECFFCGEAEEATAAAEVAAAEKSRRRKEQEEAYGEALRQKKERRDEIRRAYAAHQSRRPTPMFRNYPNHRGCGTSRFMLRRCVSSSRASSGGGGGGGGSTSVPEHAAAEGRRKLEEEEARDEEMLRRRWRAHKSALDAIRGYDHKPTRFHFKDLSTFDLYEESPLGAMRYTDAAIEVDGTACCKQGDKRFLPGDAVNVLSVKVASSQVGFPINVIRNDQGQKDRQLSKGFLTLNGASRSSCGEMKVESNSLDTRLGTVEVMFVVAKRAVEAAISVELLNMGEFCGEITARTSSIQESVVLHDSKKVAGGAIMTCNGGGAIQLLRRVVAVSLKEKLELTARTGDGENKSTVWFTPRASGGDEVEIVCGPIKMLVKVLPYFGLDDVSNRD >Dexi2B01G0028100.1:cds pep primary_assembly:Fonio_CM05836:2B:36768297:36769750:1 gene:Dexi2B01G0028100 transcript:Dexi2B01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPEEHAPPPGKLVDALLVGKEEENGRDECAGERSDISGIHWWYRVPNHAAELTAGYYNLDDRDGYKTIAHMLTRHYACMNFTCAEMRDNEQSSEAKSAPEELVQQVLSAGWREGLNVACENALGRYDATAYNTILRNARPQGINKNGPPEHKLYGFTYLRVLDELLQGQNYTTFKTFVRRMHANLDYNPQVDPVAPLKRSKPEIPIEEILEVAQPRLEPFPFDKNTDLPV >Dexi9B01G0028790.1:cds pep primary_assembly:Fonio_CM05836:9B:31347484:31347687:1 gene:Dexi9B01G0028790 transcript:Dexi9B01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGDRDRRVRAGMEEEMASATAGMEHGRGGGGGAASGRRHWVEPLDPRTRVCRTEDVAWIFSGAQ >Dexi7A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:7A:22157946:22160017:-1 gene:Dexi7A01G0011750 transcript:Dexi7A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVKEGLRNLQSINLSFTLSLEVCGGLITDAGVKNIKDLKALTLLNISQNNNLTDKTLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLFLESSRVTATEIKKLQLDALPNLISVRPE >Dexi7A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:7A:19251254:19254896:-1 gene:Dexi7A01G0008090 transcript:Dexi7A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRGATRRRPGSVALGDLLRREASAERAEGGERPTVAAGQAGRAKKGEDLALLKPACERRPGAPSTSFSAFALFDGHNGSGAAVYAKEHLLGNVLSCVPTDLTRDDWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGSVVTVASVGDSRCVLEAEGSIFYLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQMKLSNSGGRLIIASDGVWDALTAEMAFSCARGLSPELAADQIVKEAVESKGLRDDTTCIVIDIIPPEKPKSTIESLKTPGKGLVLLKNFFLRKTTSGSLSLADKDNYPEPNLVEEVFEDGCPSLSRRLNSEYPVRDMFKLFACAVCQVDLESGQGISIHEGLSKPGKLRPWDGPFLCHSCQEKKEAMEGKRHSRGINLSQFYSMTFIKSSIISNPIIDITPVDSSSRNSGSSE >Dexi2A01G0031050.1:cds pep primary_assembly:Fonio_CM05836:2A:41841881:41845738:1 gene:Dexi2A01G0031050 transcript:Dexi2A01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGLFANDGSFMERFKQMQQEKEKAAASSAPKPANPKQGFAVAPNKRPFSFELKKAGQVATGGKLAFSLKKAKVAVAPVFAADDEDEDTADVEKEEPAKRHKAVQAETPVAAAPAGAVDYKYYEFQLAKEEKALAQSKEAEASKNGDPNTSAPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCEGLGSERRGRADPIMAGDVKKDNLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Dexi2B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:2B:29353746:29360408:1 gene:Dexi2B01G0019190 transcript:Dexi2B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSPNRATLPLRDSPRIPAAFPPSPHSNPNSIARRTAPPLLNPRREPRSSSSRRQRLLPRRQEVQQQRARVQGGGEMGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAGEGGEGREGNPSWQPFATENPAFEEYYKAQQIIPEEEWDDFMNMLRKPLPSTFRINASCQFFQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKRENEVGNITRQEAVSMVVCAAPGSKTFQLLEMIHQSTKPGLLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFCSETCVDEAHLQRLEFDRVLCDVPCSGDGTVRKAPDIWRKWNAGMGNGLHRLQVEIAMRGMGLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSSELPELARRPGLSTWKVRDRGSWFGVHEDVPRYRKSVISPSMFPSGKGSKDSEVNTDVIDADMKDSTDMGDEETHIAINDSNNGDNLKTEIDCESGEAPARNKKLNFTSSRTEHSDYPLHHCMRIVPHDQNSGAFFIAVLHKLSPLNENHAVDGVKSEQNMSKDKTGKVEKDLGSDKVSSEENIVQQQVVDDTNVLDEQNGDMDSKSAEDKNSEDAKVTVNEAEKGQAGTRDRRRQQNQGRWRGVDPVIFFKDEGTVKSIVSFYGIKDSFPLEGHLVTRNPDTSHVKRIYYVSKSVQDVLELNIKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDANFGEEASALLPGCCVVVLREGHQDIGSIATDPSAIAIVCWKGKTNLCVMVSPLDGKELLERISLRFGLEIPKLDKEKPNQEITGSDEQPDCAIETADQECLPESKESDMEIPDAKED >Dexi2B01G0026450.1:cds pep primary_assembly:Fonio_CM05836:2B:35577623:35585703:-1 gene:Dexi2B01G0026450 transcript:Dexi2B01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSSGGRRLRKTESAEMRWVVPGGACEEDEIESSDDGGADTPAAASGSRGGGGSDDDDDGYEEDEMLRQRLVRTGPRADSFDVEALDVPGVYRHQVPSSFQEFTLGRSIVLTLQTLGVVFGDVGTSPLYTFDVMFNKYPITSKEDVLGALSLVIYTLILIPFLKYTLIVLWGNDDGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFNLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGFKVGISSVNEGDRKLLFCSLLTIYGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMENLAENQQIFFLSIPSQAFWPVVFIATLAALIASRAMTTAIFSTIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTVFGSINEIGNAYGIAELGVMMMTTVLFWEVQQMEVGFFWYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDHNDETDSEEEIASSSSRVLVGPNGSIYSLGVPLAESAGADNSALGSSMSLDGSLDETMDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFLKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV >Dexi6A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:6A:28128075:28130529:1 gene:Dexi6A01G0020730 transcript:Dexi6A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTHKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTYEDGLRELEEAELDEYVAEIEAEKAAAEAAKKGAQKET >Dexi9B01G0028190.1:cds pep primary_assembly:Fonio_CM05836:9B:30788832:30790045:-1 gene:Dexi9B01G0028190 transcript:Dexi9B01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAALFLALNLVLLVATNACPYCGDSGNNGHGSSGGHHGGGSGSHAGGGSGGGGYGGGSGGPGSGSSGGGWGGNNGGGGNGGGGRDRTHRRRQRRRDRTHRRRWDRTHRRQKRWRWWWRRRQRRDKRVVWALPDERAEAGGVRQRAXXXXGGGIGPIGGGNGGGIGPIGGGGIGPIGGRSGGGGGGGGGNDGTSGWYGHCPTNALKLGVCANVLDLIKAKAGVPVDEKCCPLLNGLVELDAAVCLCTAIKANVLGLNLNIPVNLSLVLNFCGKGVPTGFKCL >Dexi5B01G0029130.1:cds pep primary_assembly:Fonio_CM05836:5B:30384920:30388043:1 gene:Dexi5B01G0029130 transcript:Dexi5B01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGYGYSSYPPPQGKCPTLRVRCPSETRSSRYYNNGPPVMAPPQYQYGAPPPRREPSFLEGCKPAAKQEELEKDPVRPRPTGHGLSLARRPCSETKWQVHEDRGHKSCKAEALKNNDAISIGYLFLRAVLTRWAITIDHQTGALRGGPAATDPLLRSVPLALVCHALQSDANILSHARPCCHRSARESVMRAGAGLPLCLPSRE >Dexi5B01G0037080.1:cds pep primary_assembly:Fonio_CM05836:5B:36609249:36612839:1 gene:Dexi5B01G0037080 transcript:Dexi5B01G0037080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDTKMLPAWDLGTVVGPSSGVVTAAGAVGGGGGALDLKLGGPTSWRAVSAAPPAPATTTTAPLPSAPAAPRPSSSSAPAKRLRPGQAPPVVPACSVDGCAADLSKGRDYHRRHKVCEAHSKTPVVTIAGHEQRFCQQCSRFHSLGEFDETKRSCRKRLDGHNRRRRKPQPDPLNPGGLFANHHGVTRFASYPQLFASSMAEPKWPVVKTEADVFQDQYYPSVHLNGAGSLFHGKDRKHFPFLTNHHHHAGDAAVAFASQPFTITTASSESSSKQSNGNCALSLLSDNPTPAQTTTTMIPTAQPLGAAALQYGGAGDVSLTGMSYARVGDSKQASTLTTTTSHSAVVSLGPATSLQYHGYYHVIGGDQGNNPDGAAIQALPFSSW >Dexi3A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:3A:8760710:8763560:-1 gene:Dexi3A01G0012130 transcript:Dexi3A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYLGERRRRFRLAVRLLSAMAFGSAKRKRPVDDDDVEEVDPPEVTENATPGDADGAASGDPSDDDGREEDDAQNGITNPILAEITFLNKTKGKNDGGSRNWRCNHCKQQYKSSLTRMKQHFFGTQNGKKASIARCEVLRNNRVKYQELYDKFNKATEKGDYLKSNSGAPKRPLQDAFRLMEREAVDLTILECMAANGVPFNIFRSPFFAKMVAAINNAPKGYKAPSSEKARTSLLDACKRKVENDLSGVRDT >Dexi1B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:1B:12294019:12295459:-1 gene:Dexi1B01G0011850 transcript:Dexi1B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPPTACAAAAAAAPALVASSRLPPSRLRFSHITSAFRRLRKYGHRRREPLAGPFSDDEEEEEEEAEDEDYDEEDEDGVEMAVDEDEFLATRPKPVGFGEGKTYSTDIEEQLLREMGLGGARRKGDATSANRREGNGSAKETSADLRDNGVLVRVWNLPKKKNIHKDLKQAFKGFPGLLSIDPAVSANKKTRDPICKGFGYLKLESADAATR >Dexi2B01G0028810.1:cds pep primary_assembly:Fonio_CM05836:2B:37356279:37359510:-1 gene:Dexi2B01G0028810 transcript:Dexi2B01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRFSPTSLRLPSPLSERADAPASGERRSEMTRSQRAVQHEEELGRLTFLIIVGIGKSLTTKHIGSFSAHIQMSFLRRPRDLQRLLWSTTTEGKMYVGHKGFKVDGAPTVRKNVDFTRCFACSPMILHPRGDKYIAGHCNVPHIYGSIW >Dexi9B01G0025570.1:cds pep primary_assembly:Fonio_CM05836:9B:26568176:26596315:-1 gene:Dexi9B01G0025570 transcript:Dexi9B01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRRGGGPERAADNWERLVRAALKRDRDHLRAGGAAGGLGLAAAVPASLGRTTNIEQILQAADDIEDEDPNVARILCEQAYTLAQNLDPSSEGRGMLQFKTGLQSVIKQKLAKKDGAPIDRQNDIQVLWNFYLDYKSRRRVDDMQREQERLRESGTFSTELLEMLNLYFIPGNKTYVCYIAYVCSILFATIRMGARAMEMKKVYVTLRALLDVLEILVGQSPTDRLHRQILDEVRAATAAIQNCEDLPRFPSDAPLRQKDIFDLLQFVFGFQEDNIRNQRENVVLTLANAQSRLGLLVGTEPKIDERAVTEVFCKVLDNYINWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCITSDRSTSYLERIIKPIYETMAAEANNNNGGTAAHSAWRNYDDFNEYFWSRSCFQLGWPPAEDSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLLLMFQGLSIIAFHHGKIDIDTIKILLSAGPAFFILNFVECCLDVMLMFGAYKTAKGFAISRLVIRFFWLSAVSTFVTYLYVKVLEEKNAKNSDSTYFRIYGLVLGGYAAVRFVFALMAKIPACHRLSNFSDRSQFFQFFKWIYQERYYVGRGLYESISNYARYVIFWVVILACKFTFAYFLQIRPLVEPTNIIVQLHDLTYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKNLSPQRLLALFSKFVSFLLIIPSRPDAQDSEGITKTHASIFSPFWNEIIKSLREEDYISNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCNDSQYELWYRISKDEYMAYAVKECYYSTERILHSLVDAEGQRWVERLFRDLNESIAQGSLLVTIHLRKLQLVLTRLTGLTGLLIRNETAGLAAGVTKALLELFEVITHEFLATNLREQFDTWQLLLRAKNEGRLFSKIFWPNDPEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPEAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEDDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGNPAAVYIDTQGYELSPDARAQADIKFTYVVSCQIYGQQKQMKKQEAADIALLLQRNEALRVAFIHEEDSISSDGKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIVFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNVRGNHGIRDPTILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGGCFFFYSCSFIKIYMVAVVLGCILYLMLGTGFQSSLSMNGSAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMVLLPSSLSCFLYIWMTVLTVYIFLYGRVYLALSGLDYSISRQARFLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTKGGSSSFILITISSWILVMSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGDNSWESWWDGEQVYGFSWIVLLVMVLLFKLFTATPKKSTALPTFIRFLQGILALGIIAGIALLILAITWKRIVKTLGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQQT >DexiUA01G0011080.1:cds pep primary_assembly:Fonio_CM05836:UA:22174317:22175211:1 gene:DexiUA01G0011080 transcript:DexiUA01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAKNRMKILKILLAKENLESDFGFDELANATEGYSGSDLKNLCVAAAYRPVHELLEQENKGDMCSKKTSLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >Dexi1A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:1A:25918947:25919189:-1 gene:Dexi1A01G0018720 transcript:Dexi1A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIVPAHSRSSRSIQPRHWRVRIASPELAETDEAAVAERDPLERRIAAPPLAVRLPFRRDGATEGMLARAPQDPNLAH >Dexi5A01G0024450.1:cds pep primary_assembly:Fonio_CM05836:5A:28311635:28314047:1 gene:Dexi5A01G0024450 transcript:Dexi5A01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHSKLIGARFFSAGIQAELALPGQGEQPSKADVSSPRDYLGHGSHTLSTAGGSFVRNASVFGHGEGTAAGGSPGARVAAYKACYAAGCTDVDVLAAILAAVADGVHVLSMSLGPDTARDYLTDLTAIGTFFAVQSGVAVVCSAGNSGPGPGTVSNVAPWIFTVGASTMDRDFPAFVHFGDSLTIQGESLAGSTLPLGQAYPMISAANATAANQTTSNSSLCLPGSLDPTEVAGKIVVCVRGVIARVEKGRVVKQAGGVGMVLCNDPSSGDSVIADPHLIPAAHCSYSQCLKLLDYLKSTENPSGYINATDASLGVKPAPKMADFSSRGPNPITPQIIKPDITAPGVSVIAAYTGAISPTELDFDDRRVAYNVMSGTSMACPHVSGVVGLLKTKYPTWTPAMIKSAIMTTATTVANDGNLVQDETGAEATPFSYGSGHLNPADALDPGLVYDTTLADYTNFLCSLKLTQDPLQNLPVNVNLPSLPGNVSPPIDLVLPLFDAAGEPIKCGKISQGPYGRPEDMNYPSIAVPCLSGTATVRRRLKNVGGAPGKYKVTVTDPAGVHVTVVPSELEFGIGEEKEFTVKLDVVDAAAAANYAFGSIVWSDAKGFYDYSYGSGGSKAHHRVRSPIVVKTRCG >Dexi5A01G0035340.1:cds pep primary_assembly:Fonio_CM05836:5A:37147064:37149646:1 gene:Dexi5A01G0035340 transcript:Dexi5A01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEASDCGGKGGFVKTQKTNAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASIAGDMVLAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRELDEEYEGNVEATGEDFSVEPADERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEIHRKYIYGGHVADYMRTLADEEPEKYQSHFSDYIKKGIEADDMEALYKKVHAAIRADPSVAKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNAHNSSGGADDDGDDEDDE >Dexi3A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:3A:9398163:9401093:1 gene:Dexi3A01G0012900 transcript:Dexi3A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQNRSPLRVLADLSLFFCILLAPVCSATPATAPATLLQVKSGLTDPEGVLSGWSPETDVCSWHGITCLPSEVGIVTGLNLSGYGLSGVIPPAIGELISIESIDLSSNSLTGAIPAELGMLENLRTLLLFSNSLTGTVPPELGLLKNLEVLRIGDNRLHGEIPPHLGNCTELETLGLAYCELNGTIPAELGNLRRLQRLALDNNTLTGGIPDQLTGCASLRVLSVSDNMLQGNLPSFIGSFSNLQSLNLANNQFSGGIPAEFGNLSSLTYLSLLGNRLTGAIPEELNRLGQLQILDLSMNNISGKLSISTAQLKNLKYLVLSGNILDGEIPEDLCAGDSSSLENLFLSGNNLGGGIEALLNCSALQSIDVSNNSFTGAIPPSIDRLSGLINLGLHNNSFTGVLPPQIGNLSNLEILSLFHNGLTGEIPPEIGRLQKLKLLFLYENQMSGTIPDELTNCTSLEEVDFFGNHFHGHIPERIGNLKNLAVLQLRQNDLSGPIPESLGECRSLQALALADNRLTGTLPESFGQLAELSVVTLYNNSFEGPLPESLFQLKNLTVVNFSHNRFSGSLIPLLGSSSLTVLALTNNSFSGVIPAAVARSRSMVRLQLGGNRLAGAIPAELGNLTHLNMLDLSFNNLSGDIPAELSNCVQLTHLKLDGNNLTGSVPSWLGELRSLGELDLSSNTLTGGIPADLGNCSGLLKLSLSDNHLSGSIPPEIGRLTSLNVLNLNKNSLTGAIPPALRQCNKLYELRLSENALEGPIPPELGQLSELQVILDLSRNRLSGEIPASLGDLVKLERLNLSSNRLDGEIPSSLRQLTSLHLLNLSDNLLSGEVPAGLSSSFPAASFTGNDDLCGDPLPQCSPSSTRRLPRTEVAVIVSGIAVVSAAVCVALMYTMLRVWSNWRAVSVSSSDGEESAHGGRDNKWGAAGAVDGKYWKVGSPVSSTAAEEKHSSGSETSVLHGKLTEASGAAKS >Dexi1B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:1B:4493772:4493993:-1 gene:Dexi1B01G0005480 transcript:Dexi1B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPNAAAGQIPQRSRYLFLSLNATGKIVCSGYTPAIVGGGCPLPPTWPCCFAAAAGEGRGKQAVAEEQKQP >Dexi3B01G0035470.1:cds pep primary_assembly:Fonio_CM05836:3B:38456670:38457002:-1 gene:Dexi3B01G0035470 transcript:Dexi3B01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAGGTGRRGAQAAGAVAGGKTAGGAKARCGRRQERRGYEGEVRPAAGEARPCGRGVAGQRQPAGGVRPRGDAVGRRQQESRLLRRDLRESRGWACGGCGWGVGEERG >Dexi6A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:6A:2619122:2620847:1 gene:Dexi6A01G0002810 transcript:Dexi6A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLLSILLCSWIFIVVYWRRMNSMRLRLPPGPSTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTRRLESFAAHRAQEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGIQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSAASLDDDGKEEMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRIQEELDAVIGRDRMVAESDLTHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHIPARTRVFINTHALGRNPRVWDAVDEFRPERHMPAEEGGRVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFDWSPPDGLRPEDLDTREVYGMTMPKATPLVAVATPRLPPHLYCTPP >Dexi7B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:7B:18824330:18825258:-1 gene:Dexi7B01G0011860 transcript:Dexi7B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAPTLTVLALGLLALLCAAVGPAAAQNCGCQPNLCCSKFGYCGLGDPYCGDGCRSGPCYSGGGSGGGDVASIVTDAFFNGIISQAGAGCEGRNFYTRAAFLNAVGSYPGFATGGSSDDSKREIAAFFANAAHETGHFCYISEIDKSDSHCDPSFTQWPCVAGQKYYGRGPLQISWNFNYGPAGQSIGFDGLGNPDAVAQDPVIAFKTALWFWMNNVHNVMPQGFGATIRAINGALECNGNNPAEMNDRVANYQQYCQQLSVDPGSNLTC >Dexi1A01G0030340.1:cds pep primary_assembly:Fonio_CM05836:1A:35713345:35714924:1 gene:Dexi1A01G0030340 transcript:Dexi1A01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFFHRVLTPSLDVVQMERVCENTVSADCKQTKLNNFVPFIRSGEWSDIGGRDNMEDAHVCISDMAKNFGCKSVEDEVISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVKRSFVQTDNKFAETFSHHKGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRPCCINERKRVESLGGYVDDGYLNGQLAVTRALGDWHLEGMKEMGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDFFSNQNAVDFARRKLQDHNDLRLCCREIVDEAIRRGARDNLTAVMVSFHQEPPPQTRVSRIGRVARSISAEGLHSIRVLLEGQ >DexiUA01G0025300.1:cds pep primary_assembly:Fonio_CM05836:UA:53212832:53214907:-1 gene:DexiUA01G0025300 transcript:DexiUA01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAGSALSSPWRALLQRALDGNAHLRHSTYFQLATVGAGGWPANRTVVFRGFQEHCDKIQINTDARSNKVCCQRNVCADLVFFLTLLADLVLLGLLQICWYFTDSWEQFRISGIIDVIDGSSADPAKLQHREKAWFKSSVKSRLQYLGPQPGVPVVDDQQINDVHLDASAGPVDAFCLLVLDPEKVSQHFLPLCVDYLNLKNNQRLVFTRIQKEDGSSDWMAEKVSP >Dexi3B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:3B:9317343:9317585:-1 gene:Dexi3B01G0013100 transcript:Dexi3B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSHGTAPWPDGVWGPRPDDSPSSRLRRRPLLAPLPATNGSARDPARARVVAGNKEMRWMAWPLASRRAVWGMRRGWGA >Dexi3B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:3B:8286545:8287292:1 gene:Dexi3B01G0011870 transcript:Dexi3B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGERDPKRQKPSAPVDGGVAAKEVSGSGGPAMALNLTVANADALHCVVCSLPLKPPIFQCDEGYMVCSPCSDKLKGAGGKCHVCGVAMAGGYRRCHGMERLVDSLRAACPNAAYGCAATPPYHGREEHIRACPHPQCYCPGEACGFAGSTAALRDHIASAHGWPYRREPWSRSSFNMNLRDGFNFVVSAKDDLFLLNP >Dexi1A01G0031950.1:cds pep primary_assembly:Fonio_CM05836:1A:36703545:36705117:1 gene:Dexi1A01G0031950 transcript:Dexi1A01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHRMGAAADFRRDLEDLVCDHLGGCFSPPPSSSSSCSAAGGGGGGGGGHEPDEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPATPPPPPRPQAPSPAAPSSLLQMWRELEHRRTDQPFDREPSPDTADRDRVRQIARRLTDPADAGATATATAPSGEWLGETERQRVRLVREWVQMASQPRDPRAGSRSDDPAGGDRDMRGEPPRLRGRQARLDVISRMARERQRELQGISGYHVVSEFPRRSRNRIQGLLRGRFLRNGTLPVEEERQPSVAARELGQLRQSHRAPTMSNSVLPCNH >Dexi8A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:8A:1548235:1553335:1 gene:Dexi8A01G0002340 transcript:Dexi8A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLEKNLQDNFDLPPKHPSDEALRRWRSAVSFVKNRRRRFRMVADLNSRSQNERKRRSLQENFRNLRVAFFVHQAAATLIDGAKNKEYQVTEDIRNAGFSINPDELASITSKHDVKALRMHGEVDGISKKIRSTFDRGISVSDLETRQGIYGVNRYTEKPARSFWMFVWDALQDMTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLTGESDPVYISQDKPFILAGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVIGNNTLQDLNSVISSTTLGLLLQGIFENTSAEVVKEKDDTETVLGTPTERAILEFGLKLQGGHNGEDMTCTKVKVEPFNSVKKKMAVLVSLPNGTHRWFSKGASEIIVQMCDRMVDADGNSVPLSEAQRKNILDIIHSFASDALRTLCLAYKEVDDFNENSDSPTDGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRALALATEPPNDDMMKRPPVRRGENFITKVMWRNIIGQSLYQLVVLGALMFGGEQLLNIKGDDSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMFSNWLFIGIIAVTVAFQVVIIEFLGTFASTVPLNWQLWLVSVGLGSISLIVGAILKCIPVKSDENPSSPNGYLPLSSGPDNI >Dexi3B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:3B:13463606:13464482:-1 gene:Dexi3B01G0018140 transcript:Dexi3B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFWRWYADRQFHKWEKTVVWDMVEPYRPPRSFAPLVGTYVAAFYTGVIGAAVTEQLYKEKYWEDHPGEAVPIMPPKFYWGPWRVMNGEVPRFIQSPEEPKTA >Dexi6B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:6B:15795133:15795519:1 gene:Dexi6B01G0009830 transcript:Dexi6B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin RAB 16D [Source: Projected from Oryza sativa (Os11g0453900)] MEHQGQPGHATARVDEQVAGHGNNATGIHGTTGGEQLQPMRDDHKTDGVLRRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKDNQQQATATGAAHSTGATADSTGGEKKGVVEKIKEKLPGKH >Dexi5A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:5A:24290847:24292432:1 gene:Dexi5A01G0020450 transcript:Dexi5A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVLIGPWLIGNIQIPTALARIELSSMRLYDKRGHNVHSDGNSEHLAPALKIFYSMVLGQGTLYILACISEFLFFPSLRGSLARALSDAKEEFQSVDLYYDYAHSKCMEDGILDPEDLGLVRFAVDSLNSNSRTKKLAAVQIMHSLLLPQGANKKLDVSEIITSTKAVVTLISMLGWTCPIDQDIRLLAAKITAELAGDLLIVGIPGTIQLISSLLDSEAKRHSDEDSFPVQGMIILKRLAHDLHNCEEISRATGLIPKIVGFISKDTASISEEQHNLIMTTSLKLVARLARVEGEIGIALRRKILEQPFLLSNLIEILEGSNGCLDQWGAAIFIIAKLAVDEETRQKIGDFQAIIPKLTQTFLCRNESSKNTYCDNLVLRVVAGEALSKLAKEKTSYCSAILEETRYDVIEHLKNMLQEDEYAYDAAN >Dexi4A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:4A:3165330:3165659:1 gene:Dexi4A01G0004450 transcript:Dexi4A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVDLTSGLDDDVLLRILALVPDARDAGARTATLSRRWLRLWTRVPELRFASRPVPRSASAAERCAALERHVSLVDGVLARRARSGSAAVETLSISYAWNSEFRIS >Dexi6B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:6B:2771673:2773199:1 gene:Dexi6B01G0003390 transcript:Dexi6B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPPPSLTLHRAAAVTTTTAAPVNKNAAHLANLNNLFRNRGASSVETTSPPATVSPVLGTKRRKQPLLRLPSFLTRRARGAGEDPTTSSAPAMSPRRLERLLQPVAPDGPSPRGNIAATWRRLHGEDGWRGLLDPLHPDLRREIVRYGEFVDAAYAAFLSHPDASPAAGGHGHHRFSPPALPDAAYRVTAPLFATSSVKLPQWLAAAAPCAAQRTSLVGYVAVCDSPGEVRRMGRRDIVVALRGTCTVLEWAENVRAGLVPASDANSDEKSSPEAKVECGFWNIYKTAAGDGTASLSEMVVSEIRRLLDQYKGEELSITVTGHSLGAALAVLIADELAGGVAGEANAPVAVFSFGGPRVGNRAFAARAEARGARVLRVVNAHDVVPRFPPANLPLPGYADVGRELRLDSRASPFLRPDADVACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLVKNQGGNVKQLYISKAKDLRIQLDSADMPGSMMLGRAGDTAASTVVECVH >Dexi2A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:2A:28835443:28837428:-1 gene:Dexi2A01G0017080 transcript:Dexi2A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPNDGHVSSVLVLLLLALWFSPLVPLAAPDLAADRAALLAFRSAVGPRLPWNTSASSSPCTWHGVVCDPTGSRVVSLKLPGASLVGTVPPGTIGNLTSLRTLSLRLNALSGEIPSDIGSCVELRYLYLHGNHFDGEIPEGFFGLTLLQRLDLSGNRISGAVSPEFNRLPRLATLYLENNSLNGTLPPDLDLKNLQQFNVSGNVNLTGPVPASLAGRPASAFGDTGLCGAPLNPCPTPPPSPSPPPAAAKGNESGKLSTGAIAGIAVGAGVAFLVVIAAIFFLCFRCRRTKDVEKSAEMAAADGDSPELVTVASMGNKSGTKRSLSSSHSHPTAGNSSNNAKRLVFLGSAPETPYDLESLLHSSAEVIGKGWLGTTYRATLEGGSATVAVKRLRSAPIPEREFRDRVTSLAALRHDNLVPLRAYFYSRDEKLLVYDFVGSGSLCSLLHGNSSSNTNAPASPARLDFTSRARVALAAARGVAFIHGGGGARSCHGNIKSSNVLVNDARNDAYVTDHGLLQLVGAHVPLKRVTGYRAPEVTDTRRASRETDVYSFGVLLLEMLTGKPPVNSVPGSDGVELPRWVRTVVEEEWTAEVFDAGIAIEERVEEEMVRMLQLAVECTDDRPDRRPTMAEVAARIEHIVDSALRKSDTDDDFHSMSP >Dexi8A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:8A:30644159:30644896:-1 gene:Dexi8A01G0018330 transcript:Dexi8A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRRENGLEMSNRRTNPGLASKMQREWEQCLDRTAKIHGDPNGGRQRIVGIWESPTLRGRRWRRGRRGGRGTSVSSRHRGSGGLVAIPFLCPVAAPAEAAAVVPCPAVRLDPAAQVGPPSAAAVAAAATWLDGVPRGPEEEEQAAKTKVPAPLTCLLNVLLCNRDGRCAGAGVRAANLVIARVPKGVSGDGRVHDHGEARGGGRERAKGSIPAALTTRSRRFSYRRPTRSKSNGLSCELPLPV >Dexi9B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:9B:2728683:2729104:-1 gene:Dexi9B01G0004770 transcript:Dexi9B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYKLIEPEGDATWDGALKKGTQEFQNRNYNLFTCNCHSFVVNNLNRLFYSDHDKWNVVNLAAVMFLRGRWVSKAAVVKTFLPFVVVLSIGIFFGGTAFLIGLVAFAAAMTGWFLVGTYCIKGLIEL >Dexi3B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:3B:1722840:1723301:1 gene:Dexi3B01G0002520 transcript:Dexi3B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAAGDASPPPSPVSLVPSEGGDMTTAAILDRTIELARLILDEVGRRGLDPDLLRLSDGRLGAVVPASSEDMTHLRETTVAETREEECAVCWESYEEGDKMSAMPCSHAFHDGCIRRWLAISSLCPLCRFSLQAQAGPED >Dexi1B01G0028180.1:cds pep primary_assembly:Fonio_CM05836:1B:32749918:32754280:1 gene:Dexi1B01G0028180 transcript:Dexi1B01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVSGEEEAVDLWAMATEPERQFAGYKQRLAERDTGAAAAAIEHVEDASAAAAAACDDNGGGEEEKDEDGIISEVMRGRMYEAYVRRRDERLREGWRARMERKEAEVKALWAQLDLTARAAGDWAPGAGAGGDPSATTTTDDAGDERKTEPKDDDKIRRSSDAALAPRRITGKKHARTRSFSSSITTTTSNTRSDVVGRRRAVSQEPPPSSEPQAVVEARKDNDRVGHADGAAAARTTTATARPKTSSSLHRKSSVKGHGSAKPKLPRSLPRPASSGGFEALGREVVLQVGTGEAALVQSCSSEYPANGETPKVSACPLMQFVVKDNSSDVTGATNTRVSSPELSDPGEVVETVAHGEHEAKDADVEERGEEKKIDDSPEKHGSPNGEITSDSEAEPSYVVYIHKDTSKQEQAMAVSEPLKLAGSDAAMDSDVRTNEEENSEEIMPAPAGATAVEIATTNAEEAPARESSDESPFSVRSSGLRSARPSCSSIERLLEEDAALLRRKREERAEKKSGGGAPKTPPGSAGSRVSGGAARSPRETVRGFKRFLSFGKKNRGREVTVIECASPSVSSLTDPDDDSGSGGWQSAGSIKPRMGSSDAASDDTEHGYPVSSPRASCSLQSLVAASPAKSELAEISPQEQSPKAHRSFFSFRSLNCGRG >Dexi7A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:7A:9626261:9629981:1 gene:Dexi7A01G0002310 transcript:Dexi7A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMGGAPTVTSCGGVRAASLSCSSGDAARPGQAGQRRQTPFGLLLCPLCFLPLRGFVWLGPPPDAQDLRRRRPFGLPRFTPRLGRPLAASCSCCAAGVLQLPIGGLSPAQLHRRPLQAQGDLQGNKCAVTMVHFKNRYMVMEVFIDAGIGEQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRWIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKVAAGDRITSDILQSVQSCFERIRGLES >Dexi1B01G0028390.1:cds pep primary_assembly:Fonio_CM05836:1B:32945106:32947640:-1 gene:Dexi1B01G0028390 transcript:Dexi1B01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKLDVRPNDSRMTSGPGYAVINTAKGPITIEIYKDASADVVGRFINLCKSNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNNLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPHLNDKLVVFGRVVKGEDIVQEIEEVDTDEHYQPKTPIGIMNIILKQEP >DexiUA01G0000370.1:cds pep primary_assembly:Fonio_CM05836:UA:1891165:1894465:1 gene:DexiUA01G0000370 transcript:DexiUA01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYFGHTRFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPLFMMDSYEECRDPPRLYLLDKLNKAYKLVQRKGSRLREPYHGQATSRFRSGELQRSLTGGQPVNRSSNTKLHKPSNLPLHIQHNSASVDDGGDDSKQGSLPGDVVSRSPNVIWDEKAAIIMSASSVCCDDVVMYKAEDAEPTCISSVQKEIDQKVMGTLEQQEALLKKTKAPLVLNHHDEIPGEADDYMDALNTLESETETEADFQTKTQVLSLPSFNAEAPQAGTIEDIVSQHPDSSVADFTDTCQDSNISCMSERAVDFPRLSNADSPDISQLEFPDCTSVATYKESSVITSVDESNVEGAGGDPYETLEPELQVHAAIPPNERSPVYNQIPESKVEDDLGDFPEISEPEFSASMVILSNEKSAVANQTLENNVENTCDFTDDVISVPTASNAVIDEQAFKMAPAAKSSPGDIAEDSWVVSDSRPQNYPGKEHEDEELGDHGASEVSDSWSEPLNEPLEVRRATQYVPASEVSNPWGEPLKEPLESKLATEGIPTDTSTSSTGATPVKLWTNAGLFGLEPSKPPVFGAQGAPREDTPPGFREPQPSHSTEFTELRCSKPIGSAAVDVPNGNTSITGSLVGKLVGIRSGSANLN >Dexi3A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:3A:5889836:5890054:-1 gene:Dexi3A01G0008400 transcript:Dexi3A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVDMAGGEQKTSWPEVVGLPTKEAKEIILKDMPRADIVVLPVGSYVTHDLNLNRVRIFVDTVAQTPTVG >Dexi4A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:4A:10851513:10853202:1 gene:Dexi4A01G0012300 transcript:Dexi4A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEPELQSWFFLLLALIGGVYATAFSFRFLAYLALCVRRPRDLRRRYGAWAVLTGPTSGIGRPVAKELARRGLNLVLLDHDATNLKETSDMIRSRYAIKTKTVVFDLSLVGTPQGDEPMRRLRAAIEGLDVGVLVNNAGVARPCTVFFHEANVEAWVNLWAPTEVTAAVLPGMVERGRGAVVNTGSASSEAIPSFPLNTIYAATKRYTAKFSKSLYVEYRSRGIDVQCQAPLFVGTRMIPSLVRRKWFLSPLFSTADDYARAAVRWIGHGAPAPPLLATSCSGASPESVFDWLLLRLVLGLRAESRRVEGN >Dexi1B01G0023100.1:cds pep primary_assembly:Fonio_CM05836:1B:28763852:28767434:1 gene:Dexi1B01G0023100 transcript:Dexi1B01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDGVPLKSMSCGARLRRSSDASMREGSGSMRDPFLKHRVKKFDLSNLDWIDEIPDCPVFSPSTQEFEDPMVYLSKIAPVAAKYGNSICKIVSPVSASIPAGSVLMKELGGVKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFREFEKMANKEFIRRYSSSACLPSRYMEEEFWHEIAFGKMESVEYACDIDGSAFSSSPNDQLGRSKWNLKRFSRLPNSTLRLLRAAVPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGSSASEFEKVICTSFEIILFTCLPCFEEEEIRKCPCSCDRVVFVRKDIFELEALSKKFEEEIGILDEVGKLISQNDGSTTHPYLFDGIDYSAKYFPYCKIQIDASPEIHSFSEECSSTRRTFSACAENGTINGYPLSTPDQAFSSDKLAEQDSDDSDYEVFRVKRRSAIVLEKRSSEDVTTNLTENQVPQFFVNFRTLSCYLPHLVGGTICL >Dexi3B01G0034050.1:cds pep primary_assembly:Fonio_CM05836:3B:36818649:36820330:-1 gene:Dexi3B01G0034050 transcript:Dexi3B01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSGSSDGDDHHRGESPEEEQEQLELRRGPWTVDEDLTLVNYIADHGEGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHTRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHLADADDHRRRLLHAHHHHHHTADFFSSATAAAAAGIVVTMPTTSSSDSLATAESYDDGTAGIYASFHAAGEEMLVSGGAAAGEWAAPETSQERTMWPSAVAEQSTAVMQVAGGGGQFEDPELSGWVQGFSEGITENFWALEDIWKIQ >Dexi8A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:8A:29455159:29456918:1 gene:Dexi8A01G0017670 transcript:Dexi8A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPSSAAPDKSVVMEGGRSKHLLIKVDDDGGVGGFLPESKDMSYSDLAAVGFVVFSSIALVVLIACLGLFERWLQGSTTRGRLKVAVWVLTLLFLYEKIEATMALQVKVLVWWMAVATVYAFLLQSKQKGKKEMGSLLAAMRLRSPVMAGDPRDAAWSGARLIVDSGATNHAVGNICLLEDYQPYSTPLVSTLADGSNLRTFGIGRIQWGHFSIPNVSLVEGVQDGLISTPQLDAHHGLISCFGNGVCRIMEADGTEVGGAILEEDGSYVLRFLEVPAGTAAQV >Dexi6A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:6A:5976849:5977632:1 gene:Dexi6A01G0006250 transcript:Dexi6A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSYFLLAVFLALVASQAIASDPSPLQDFCVADKDSPVKVNGFVCKNPIHVTADDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHN >Dexi3A01G0028950.1:cds pep primary_assembly:Fonio_CM05836:3A:30118459:30120563:-1 gene:Dexi3A01G0028950 transcript:Dexi3A01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEIEHSYLPIRGLKLHIAHIGKGEAGTLLFVHGFPEVWYSWRHQMVAAAAAGFRAIAPDLPGYGLSETPSDLAQASWEGLVKDLLAILDSLAISKVFLVAKDFGAKPAFDLALCYPDREPGRAEADFGRFDVKRIMRTIYILFSRSEVPVAKQGQEIMDLADDSTPMPDWFSEEDLSVYTNLFEKSDFITALQIPYRTKPAKAEYAKPRFQMPMFVIMGQKDYILKFPALKDYMSSEKLKDIAPDHEITYIPEGSHFVQEQFPELVNRLMIDFLCKHG >Dexi4A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:4A:21424245:21430530:1 gene:Dexi4A01G0017640 transcript:Dexi4A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDASPSTPSAAEAAAADGVSSSSVAAPAPALRPRREAFEHGLLPIPKLVFPEGTLTQTLAQLKERLASGGARVGAAALAEALQIPAEQAALALGTLAAVLPAEDPALGEDGAGEADLRDVLLFLYIQSYKRLVPRGHSPAVADVWPSTSAFDGCLSALSPIQVLNCHDSVIYILAPLKYATVYGCSDTTIVLGAIGKIPSWFEPQGPTKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYGLL >Dexi9B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:9B:315889:316950:-1 gene:Dexi9B01G0000480 transcript:Dexi9B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSAKPCNLGGSLPVPNVQDLADDEITPELLERYLRGADAPAAAVNASLVVVPVVDLGRLLDPAHAEEEAGRLRAACEEWGFFQVVNHGVPEKIIGEVKEDVEAFFRLPLAEKQAVAQGPGGIEGYGQAFVVSEEQKLDWADMLFLSTQPPEYRSLNFWPDTLRASLERYSAEVQRVAAGLLRAMARNLGLGAAEGEMMASVADAQAMRINYYPACPAAHEQVLGLSPHSDAVGLTLLLQVSPVPGLQIRRHNSWIPVEPLPGALVANIGDVVEVLTNGRYKSIEHRAVVSARHHRVSLAAFHSAKFDATYGPLLVGEPPRYRTIAAEDYVKLVLSSKLEGKNIMDAMRID >Dexi2B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:2B:21889379:21892197:-1 gene:Dexi2B01G0013390 transcript:Dexi2B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSGAASAEKARRKDKKQKRKKHKDATEDDCGAMMDEEGTPHEKMKKQKQTIDGREGEKMKMLRPTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEIVVFDSTPASEKGGAGDDEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGVTLEGDRSKGTLVDVGLSKNVLVEQILEPGERVTVAMGTNRDLTTV >Dexi5A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:5A:3390508:3392971:-1 gene:Dexi5A01G0004500 transcript:Dexi5A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGGGIWIRAAVAVAAGGAIAARAVRRKSVDFSAVYVGVPAMVAHTIAGYRFAGLLLVFFFTSSRVTRIGEARKRALDPEFKEGGQRNWYALDFSPIAQFINKNITSLCAFNIKFDQRVQKGTNGGITIDGLLAAAAAGFSIGLAFVLIGFLTTQCASDVFWRQLLVIPLATAAGLCGSLIDSILGATIQYSGFCSVRKKVVGVDGPTVTRISGMNILDNNGVNVVSVFLTTVLTAVACTYIF >Dexi6A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:6A:22241474:22242106:1 gene:Dexi6A01G0014840 transcript:Dexi6A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVVLLLRGLFLPDAAPGRNLVGSEGKPWTSLSIFLTGSAAAGSCTGSGRTKGDELRDDTHKPLETFAFLARGGVPMLRASVTASRSRAGDLDLGTARVEGFSREDGAAEDVAGLALSADRALLHIAEPSTVDALPGLGDMHRLAAAAPSSGVAATGLNLDGTCLLRPAGAAALFFSSDGTSGRRRRAPWTTAAAATFFGSPFTESMTK >Dexi2A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:2A:2235239:2235868:-1 gene:Dexi2A01G0002770 transcript:Dexi2A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGSADALGAMLVYAEGGGAKNGIGTPGMVGIGGSVTLGTPAGIGGKVTLGTAGIGGSVTFGTAGTPAGIGGSAAAAFGMAAGTAGIAGTAGMGGMVAAAGVAGIAGMGGKVAAAGIAGTWGTAGTVGIGGRATAGTVGTVGTGGFGMAGMAGTAAGAAAGVVSARWRAAWLVVMPASRSTMTNAVAKRGELETMGDDRGVLNEAGY >Dexi9B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:9B:8740844:8742337:1 gene:Dexi9B01G0012980 transcript:Dexi9B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKPPRACTAPPSPDQNENTPPPQPPPPPEEEDGDPPALTTTLPADLLPEVAARSDVTTLVRFAACCKPLRRDILRPAFIRRVCREPGPGGGAAVVPPFVLGFLHAYDRASMEEEYQDQPPAPYFSAAHPATPGVASFFEEHLAPFAARTAGASLLGDYEPLTSSRNGLVVLRRRYLGGGDRSSEICVYDPMSGDRTFLSSPPDFQIWDRAASDYGVSYTYVLLTASDGIAGCSSLVLAAGFTCLEDTDNLMVQTVSLDNADDATFAWAPVTMATSVPRSRWSSLQPHCQAVVLRGYIHWLMYDAGEICWPDQDPYHILTYDVRTATAGSIQLPNELDAFLGDTEQALLGSFPDGRLSYLVANELKISVWLRQPAGDGCATDNMEEETDSMEEAGEQVLAVLDMETKELRRVNQKNNITVFPYEIDLEARLSAMKTF >Dexi3A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:3A:15233375:15236100:1 gene:Dexi3A01G0019400 transcript:Dexi3A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSIAAALARMGRATVVDEKVLAECLNEIARALLQADVRFETVRDVRANIKGTVNLDALAAGTDKRRVIHQAVVGELCRMLDPGKPAFTPTKGKPSVVMFVGLQGRYIISSPGSSLLCTIYLNVGSGKTTTCTKYADYYRRKGFNPALVCADTFRAGAFDQLKQNASKAKIPFYGSYIESDPVKIAVEGVDRFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPNLVIFVMDGSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATRSPVIFIGTGEHLPDFEVFDVKPFVSRLLGMGDLSGLIDKIQDVIPINQQPDILDELTKGAFTLRLLYQMFQNLQNMGPLGQLFSMIPGFSAQFIEKGKEKEGQAKIKRYMTIMDSMTEKELDNTNPKLMNESRITRIARGSGRLVKEVVDMLEEHKRMAKMWSKLPLANRKLNMNNRGSLKSLGNALPPNMLNQLGGLNGLQNMMKQMGTQKK >Dexi5A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:5A:11997068:12001831:1 gene:Dexi5A01G0014810 transcript:Dexi5A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPQRPPTPSRAGRTNLFSAPPPPLSNRRYPQHRSLPPPPLPPRRRHHPKNHAEQPSQEQEPTDGTQRATTTSSNNNPAFRAAHLRTAYRKPVPPVAAAGEGEALLAADPADAASGRSVVVGPTGLSFRLPGAPFDFQFSYSEAPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLSKEEKARRRGVRLHTPLGQEPPQTVSAHGIMMEVRGRRQMDLARVSPGDGRTREEVLGEPLTRDEVRELVKPHISHNRQLNIAKNGIYITLVKDVRDAFEANDLAKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILLYRGKEWKSRYSKPLTLIPKVSKNNPTMFFDVGGSDANEATDADAQETIREVLMPKMFKLWKSALDSSLALLLDDAEANDLTPDSLLMRVEEFSITSQAVEHSFPALLVANCEVNTGYLNGECINNESETSIVGNQEDQLEQSPDLSSDEHFELDMLERLESSVPLGSLPIDTMIEQLNSE >Dexi6A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:6A:20940463:20941539:1 gene:Dexi6A01G0013670 transcript:Dexi6A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEAVLEVLPNPPQPLPEPAGALPAVPVPKLLQYLYLASTWVACAGVASGTVARRVLGDGSPVVDALIKVCIGALAFPVLLVLVVTLRLLRAMCAAGFRDSLRTVATEIQIHSRKMFGALTWKVLRSPAVLVLLTSLFFTLLVGAGVLVLGGLLPVKESQKARIGCALFDMGVLGTMAMACFVIIPSFAVKLWRSK >Dexi1B01G0023950.1:cds pep primary_assembly:Fonio_CM05836:1B:29403103:29404010:1 gene:Dexi1B01G0023950 transcript:Dexi1B01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALHSPSARSDLPTGDDGDPDYIYFLQHLRVEGDSYVLELPGNGASPPAVLRYEAPPGGSSGGGEYISDPSPDRLSSTNRRAKERDSSGPASSLDARPAWQDPLDGVDEDYRLFLQHTRLVDGQLVLEFGGVVVNYDNQPVAAGSQGESDEQQGMEIAVASPGKGARVEMVRDKVHPGALVTFVPEQYACDWRADPSPGREVEEKDGGDEELLDASPNAADAGTMKDVYWEASSSDGHSAGGHTVSR >Dexi6B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:6B:5570489:5570776:1 gene:Dexi6B01G0005980 transcript:Dexi6B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIQVQQARHRDDVSRLLWLPRRDVLAGMGGAAAGLLAGYPGLASSAAVDTTTLGENCPMGDKVNDKVVSCTDPNTKFPCPLRPPPSTSSRSRR >Dexi6A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:6A:5909630:5910411:1 gene:Dexi6A01G0006230 transcript:Dexi6A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFFLHLVLLAMIACQTLASDPSPLQDFCVADKDSPGMYSDPWKITACVNGMPCKDVKVDDFFLAANLDKPRDTTMSKVKSNVTLINAMKLPGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVLEGSLFVGFVTSNTDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSQPPIADDVLAKAFQVDKKVVDWLQAQFWENNHN >Dexi9B01G0038190.1:cds pep primary_assembly:Fonio_CM05836:9B:39326787:39327390:1 gene:Dexi9B01G0038190 transcript:Dexi9B01G0038190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGLQEEIKLVPMDMADKPAWYKKVYPNNQVPSLEHNQKIIGESLDLIKYIDSNFNGPKLITDDPQKQRFAEELLGYSDAFNRAMLDALRPKGPVIAEAGKNPKY >Dexi8A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:8A:4142220:4142584:-1 gene:Dexi8A01G0004720 transcript:Dexi8A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVSAAADVYSFGVVLLEMFTRRSPTDDMFKDGVAIAKFTEINFPHNVLQIVDPQLLQELEQGEDVLASVRDTGAQILQSVLSIGLCCTKTSPNERISMQEAAAKLHGIQDAYLRGN >Dexi2B01G0024020.1:cds pep primary_assembly:Fonio_CM05836:2B:33514588:33514866:1 gene:Dexi2B01G0024020 transcript:Dexi2B01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSASIAPIRSRELAASVPKEERSKQGVFGRRTLADPAQQVIDRSQLHGRACLLGHQLIAAVITVR >DexiUA01G0021930.1:cds pep primary_assembly:Fonio_CM05836:UA:45122422:45124912:1 gene:DexiUA01G0021930 transcript:DexiUA01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVGEDCEQLHKAFEGWGTNEKLIISVLAHRDAAHRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLEPAERDAVLANEEAKKWHPGGRALVEIACTRTPAQLFAARQAYHDRFKRSLEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKIHKKAYSDEEIIRILTTRSKAQLLATFNSYKDQFDHPINKDLKADPKDEFLATLRAIIRCFICPDRYFEKILRLALGGMGTDEDDLTRVITTRAEFDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Dexi5B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:5B:22014161:22018062:-1 gene:Dexi5B01G0019740 transcript:Dexi5B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATRSRVTAAGGCGGDGAQAWGSASAAPALRHDARAPREGATIHNHHRLKEKLHALTLLHEQHKQQLAASEARGAAARQHRRSIRLLNAAEIVNDENAEGEEGGEVAMLHHNAFALVPETAVLRENMAPPQPWAPSKDSHVVVFAPPAEPQEKENVVGRAGNIMSCPIKKAVFPALRVPRAPPSRKLSLGGAVGCKLKAAGEVGVGIAEVAENRILVFVRLRPMSKKEEEAGSTSCVKIVNKKEVYLTEYASENDYLRLKRVRGRHFCFDSAFPDSTTQAEVYNTSTADLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMENPGIMVLAIKDLFSKVRQRSHDGNHSIQLSYLEIYNETVRDLLSPGRPLHLREDKQVMKLLQRGNKNRTTEPTRVNETSSRSHAILQVVVEYRSTDGVNIVTRVGKLSLIDLAGSERALATEQRTQRSIEGANINRSLLTLSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMVANISPSNLSYGETQNTLHWADRAKEIKTKAFTTANEEVLNVAYSETDQAKLLLELQKENSVLREQLAKQQQKLLTVQAQTLASNTSPKQSPVPSSHVSTPCSTQRKVKRSILAGNCLNTPDSKRPAADNTKVGELQRKVRTLEAEIEKMKKEHLLQLKQKDEFIRELINRKASNNHEETCDRRVVTRASLRKAQSDASAGELKSPSHRFTSPAPAAKKRTFWDIGGNSPSVLAANGRKTRSHVATETPKKVSSMLLQPGFARQRAIH >Dexi9B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:9B:1666859:1667991:-1 gene:Dexi9B01G0002960 transcript:Dexi9B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSLCTLSRSIVVPAAPSPPTTAPCAALSANHPQPDAFVSSSLVLASRLEEVHTLLQAPVVNLSKICSEGMRFGADPGEPLRNAAKRFCGLGVTVSPPVGIDHELSMKRLLLDKIHGFYLEAISRLPATLLRSRLHRALLKAGYCYGPFDPVSNILLNTIWYDTTFPAQHELKMDVILMESLGIVESRSLRGLVASMLRVFPALAMNDILRHLLKYSSFYGMIKNVGENFPLPVCASPCDCYTAATSACHPYPDAQASFLSGLPKIEETVMQLLHAKHTLSVDDVNVIKSLLGNRPHVGSVSQLEWSKLDSQSISDSCKEFEAHQSFICRRVEAALREHARRKAR >DexiUA01G0007380.1:cds pep primary_assembly:Fonio_CM05836:UA:13990154:13995683:-1 gene:DexiUA01G0007380 transcript:DexiUA01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIILHTATYTHPDRSNPPYKPQDLACATATNPVFNSPPLQAGYSAPGAPLRWPELGRHVAGERRRRRRAGRAAADAGACRLALVSPLPSHHFAAVASPRLAPRDAIMPVARRRGRTRAHAALSALQAAIPSRYAPTRPVDDPTAARNDLPRLPSRSRSIQAPRASMARRTVPLSRAQASHRTPLTLHSLAHPTSSTPRAFPHHLLPCAARTEPSFSEKFTFHTPPFPNSSRTKLALYSSSESPHFPQAIVASPARIRDFPQIAIFGRRSTRTSRPYSEPSPRSTEHAFSFLELHWCSRTLQTSTNAPDLTGVEAAAAAPPLHHRRRNSDHPRPPNRPQPTRGEPRMLFPHFPEPSSPPFGRRNSGEGPGTEVHQGAIPDGNYHLIPADEEEVPEEGAGAGATNPETNPQLEQEGKPRSIT >Dexi1B01G0027620.1:cds pep primary_assembly:Fonio_CM05836:1B:32297640:32301839:1 gene:Dexi1B01G0027620 transcript:Dexi1B01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRERWVLCCHDADRVDALPPLRLCYSVSTPPPCRSSARSCSRTPPLVPVSLFLLHAPSPEKHLLAAGVSFRLQPARRLYLTEIFQLLRGCGTHPAAVNVQRPRWRWRRVHARGATARRRPYNSEDRANRLVFMRYCYCLAIWEGSPGNHRRHACGLMHQMGLEAMEVPTCRSVPCTYLEWSGGDPIQPSPTPAAALQQCRVRVQDPAPEEYLHVVGRWMMIGRREKSTGPTRLGLKWLQLFPPKPKKATVGPASKRAGGCGPLLNTPPALNSHRLLLRPAYRSLSPLVSPLLVVAPTDLSLSSTRRQPRGRERGLRLVIHCSLLPQSTLFIRERERERGGRELMAPAQPRRNKRRPDRSTPPSPFSFFFLAPPPDPPPELLAESKQSGLRSKQSEEASEMDFGDDVMDGSDGQRRKKRYHRHTPRQIQQLEAYVLISPLP >DexiUA01G0021450.1:cds pep primary_assembly:Fonio_CM05836:UA:44512773:44524480:1 gene:DexiUA01G0021450 transcript:DexiUA01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGPKTTASAAIGSASRRKLAIIIQEGYNIFILNPKPFSKGVNYGYRKGFSESEINLLRENKTLQARILQSKSDNKLFLADIEKGVNRKPLYENHPLSSFSWASTDSMDMCFTHLVQGDWSKKCKEALSKFEMLKEGKSKDDIVYDKAVQILHGPKDEMHDVLENALKSSGFKGLHAECLTDIWVGRERFAFVDLSAGPFAWGPSVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEEKLQDTIRERFSFGEDYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKGTFWLSLSEEPAFMMAFSMARRAAAVPLLLVNGTYKSTVNTYLDSAILQHQLQKLSEHNSLRGILYILLCAFKFYRVEDNWL >Dexi5A01G0034970.1:cds pep primary_assembly:Fonio_CM05836:5A:36905891:36906244:1 gene:Dexi5A01G0034970 transcript:Dexi5A01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAACVAKALLVDWRSSRRRWRPLLICCFFLCAGGGPGLLRAAASSSSSSVAWIHSRRRRSCCNRHRGGLSRPPFDLRSSRSRGCRGLEGEPWRAGGKSGRGEVGTSDCRLGLPP >Dexi1A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:1A:21316595:21322143:1 gene:Dexi1A01G0014610 transcript:Dexi1A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWACGKWLEGAAARAISGRGGAIVNFTISAQCRNKKMMVRKFSIDTVYNPEDPKPIVALFVGCLTKSFVRTTMLSGNSACKWYFNPEIPKAITFHERLAPSRARIATPVISSSPPPLASYPAAAAASSAPPSTLTKLPLPPVLVRTLLPFRFPISHRGQLASESNRGDEPWEHYRLRRCSHRWRGSRSGTPGTRITDMMTLQVAGGMGCVLPHLQAWRQALRSPRIAATTAMVTAKK >Dexi9A01G0039880.1:cds pep primary_assembly:Fonio_CM05836:9A:43712885:43715475:-1 gene:Dexi9A01G0039880 transcript:Dexi9A01G0039880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPDSSQGHSFTYVPSGAAFDQPPTAATMSSEPSFFSLSGAAISANLATSASMPSFRLFNEMTWPPSAACTFESSRSFAAVPLQAAPPRLSMSGPAQLFASGSFSDASGSASTISDRPFMSSGPLDRTFSISPSVRPSVSQLIAERRAARSRLRDERSLLHFLAKTASKLRFGPPRPRYDRQPQPEPAAADPARVSFSDGDYRSPPNGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHGELKGVLWDDIIQVIGDDNARCGQGNAPCFAVAQANGEGAEANKRRRTTEGPVVAGNNKDAMAMHRDVLRALARALKKTEDAFFAAAEERAGESPELGLMGSCVLVMLMKGTDVYVMNVGDSRAVLARRPEPDLKNVLGKASQDLQQFKAEIMRELEAHDMDGLQAVQLSPEHSTAVEEEVRRIKGQHLNDRNAIVNGRVKGKINVTRAFGVGYLKQPKWNNRLLEAFKINYIGTDPYVSCTPALCHHRISAQDKFLVLSSDGLYEYFTNKEVVDQVEAFTAAQPDGDPAQHLVGELVIRAARKAGMASHELLDIPRGARRSYHDDVSIIVISFEGRIWRSSV >Dexi2A01G0028270.1:cds pep primary_assembly:Fonio_CM05836:2A:39537873:39539424:1 gene:Dexi2A01G0028270 transcript:Dexi2A01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVLVIALIAAIVLPVILHLRTRGNNKPRPANLPPGSMGLPVIGQSLALLRAMRSNTADRWIQGRVARYGPVSKLSLFGTPTVLLTGPAANKFVFFSDDALAMKQPRSVAMILGERSISELAGDDLRRIRGALAEFLKPDMLRKYVGRIDGEVRRHLDESWAGRRAVTVMPLMKRLTFGIISSLLFGLAPGHVRDALAGDFARIAEGMWAIPVDLPFTAFRRSLRSSARARRLLEKITRETKARLERGEASRSSDLIACLLSLADERGAPLLSEEEIVDNAMVTLVAGNDTSSVLLTFMVRHLANDPDTLAAMVQEHEEVAGSKVDGEALTWEDLGKMKLTWRVALETLRLVPPLFGNFRRATKDVEFDGFTIPRGWQVFWVSSVTHMDASIFHEPAKFDPSRFKDGSPATAPPCSFVAFGGGPRICAGMEFARVETLVTMHYLVRQFKWKLCCSESENTFVRDPLPSPRNGLPIEIERRASP >Dexi2B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:2B:179152:179689:1 gene:Dexi2B01G0000410 transcript:Dexi2B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNHQRLTYAAAALAAMAAVCLTGTSSSGMGTNHHLHFFMHVRQAAPSATAVVIVNGTGAPVMPDIKFGDTGTYVTTSLPEERRPAVLVSMNIVLNGSTVAVMGHNEITLPVRELAVVGGTGSFRMATGYVLWKTSSWHGKIAVLELDLYLRTT >Dexi9B01G0046150.1:cds pep primary_assembly:Fonio_CM05836:9B:45495302:45496740:-1 gene:Dexi9B01G0046150 transcript:Dexi9B01G0046150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMATTTAALLLLLFLAASPLLASAQLATDFYKTSCPDAEKIIFGVVEKRFKQDPGTAAGLLRLASEKEAGPNISVRGYDVIEEIKEELEKKCPGVVSCADIVVASARDGVKLTGGPTFEVPMGRRDSLTFNREDADGLPGPDIAVPKLIYEFAKQGFSLEEMVAMLAGGHSIGICRCFFIEADAAPIDADYRKNISAACDGKDSGSVPMDFATPNVFDGSYFALALAKKMPLTIDRLVGMDPKTEPVLKAMEAKPADFLPMFTKAMVKLGALKVLTGKEGEIRKSCSEFNSPQASGGASVIRTSSINPDMMAAGLSSEPAAALSNRKVGGARVPEATTIADPAAGELEAKRQRNVAKIVKTNAKEAAAKVEGGVVVNNAGQIQPPNVVPIIQPPNNVVPPISRQTTTNVP >Dexi5A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:5A:9593704:9594335:-1 gene:Dexi5A01G0012770 transcript:Dexi5A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNGEAEDLPPPPPLNAGDEPLKAEETKKPVKPTRALVPRKGFGKKGQPIRLVNLKYEDDTPVDRKGAGRSVIEKLQQTYAAELANKDFAYDGEKSLFTIGALPQNSCKWQPWQ >Dexi6B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:6B:5534176:5536782:-1 gene:Dexi6B01G0005940 transcript:Dexi6B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVAASASPATAKSPARPSKKTSTARLRSRTLVWGPQYLGALGGCPGSLYYRAGPVWLPRREILTGLGGVAAGLVGYKSFVSSVANAEEVIIKEPCAGADPVNEHLIKCMDKTIPCPPKLNVPIVDFTPEPKVKRIRRPVHLLDREYQEKYKEAVAKMKALPASNQLSFVRQAAIHEAYCDKRYHYDPDHRKDVVKFDVHSSWLFAPWHRMYIYFYEKALGQLIGDDTFALPFWNWDAPEGMVVPALFKDSFANSLYDSNRNPDNLDNMVDLNYLSNLRNGKYTPVSFNGPHGDKAYQDAINNNLCTVHQQQMRGAGCARSFLAEKLCADNISPKGQGSLEAMAHTAMHVWVGRPHTKTCTGGVVNYKGETNCANDMGFLGSAGRDPLFYSHHANVDRMWHLWSTKLGGEGFKDPEWLDASFVFYDDVDNPHPVRIKFRDVVDTTNLGYTYDAESEKNLPWKERQLTPLVPHAKGDGALRSWSALPKTAGAAVFPVTLRMNQVVEVPAVAVPAKKEGQPRVLVIHDIEYDPGVANKFDVVLNVPKGSALEVGPQNCEFAGSFAVVPASSEAGEKVNGGVTFSIEECLKDIKAADDGTVDVIIVPRTEGEIKINSAPTIQI >Dexi8B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:8B:19263069:19265891:-1 gene:Dexi8B01G0010700 transcript:Dexi8B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACTRVGAGVGVVGAGGRRQGGALMASLVLFLPSSPYSSSHLPPKPQPQPPPPPTPPTPVPAAARPSRLRALFARAAARRDPEPSPAAPAEAAPAAAVEERRSLAVRTGELFLGLAALLLRGTGATRGGGAAVEEVEKRDGVVWEQRPEDVEAERRRRELTSPGFSFSAAGLLFPYHLGAAQYLMDKGYITAVLRDVLDKFLPDDLHIRCNGRIRASRLGLQEIGISPDCNPENRATPRQLFNWALEPAEDEVLDKLYELGYKDAAVWAEQNSAEFIVKNGQPLGID >Dexi5A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:5A:8954060:8957332:-1 gene:Dexi5A01G0011930 transcript:Dexi5A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGGNGELPHPPHPYPPPLPSLIKLGRAVTAHHVDHFLAVLLRRRRHRLLAALASQAFANAVAPTPRTHLLAASALLDSARPREAAQRLALASCVASRGRRLWDALLRRACAGRGDPRHALELLSAAIEEQIAVLSPSTYRVMVVELCARGEVDGALRVFDIMTRKGCQVDDRVCSSIVSGFSRTGKAAEGLDFYERLRREFSGFEPGLVTLTSVVHALGLEGRIGEMAELMREMECKGMDADAVFYGSIVHGCMSHGFLMEGLWEHRSMLDKGISADVVNYTTVIDGLCREGSVEKVMGFLDAMERCDAKPNLITYTSLVGGFCKRDRLEDAFSIVRRLEQTGVVVDEYVYSILIDSLCKMGDLVKAFSLLAEMENKGIKAGIVTYNAVINGLCKDGDTEKAVEISEGVAADNFTYSTLLHGYIKKDDVTGVMAIKGCLTEAFRLFDYLENSKMLPTMITYAILIGALCREGLYTKGRMEESRGILREMFHCKEVVELINSVGDNIQAESLVDLLSSACDQGRIDEIVTILNEVGHMLLSSSDSSSYNSLAHLSKLQKADDTYDSTSDSGQSPIAYDVSKNSRHRSSEVINEDESLSKASDDTDIDYRNLLEKSFSDDFDSYYTAIASLCSKGEILKANKAIEVMIQNSG >Dexi2A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:2A:27286307:27286561:-1 gene:Dexi2A01G0015860 transcript:Dexi2A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKNHSARRSAGSSSSSSAPGGDDRGPWLRLMAFAVLTVHSAFSAYLARDDARLVALVAVGFLLMLVLLFYGGLPGRQKRD >Dexi9B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:9B:3211277:3214890:-1 gene:Dexi9B01G0005470 transcript:Dexi9B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDKVTVHDQVAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGAEKVRMENKTWKIDTGTRTLEIELAMLSSAHHVEMNPSDAGFQDRYPFTSNQVAPPLDWEQYVSEIATDILTEQSPKRLYAVRQKLYELLVNCIPPESILKKLLTELLRKLDADLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVASFG >Dexi8A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:8A:10831522:10832237:1 gene:Dexi8A01G0008780 transcript:Dexi8A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSLIQGHTELFRLMFTHLKSQALRCADSLGIPGAIHRRGGAAKISDIMTETGLPQSKLPHLRRLMRVLAVSGVVADRSSNHQPPPSSATTTSETIVYTLTPVSSLLVGSPCDMSAMLRASMRPNTTAMFGHLEEWFRDDTGAESLVQMVHRASPWTMTKNDPALNAALNDACVAETKLVMEVVLREAGDVFRGIGSLVDVGGGHGAAAIAISRAFPQLKCTVLDLPHPSTGA >Dexi1A01G0027940.1:cds pep primary_assembly:Fonio_CM05836:1A:33646771:33651679:1 gene:Dexi1A01G0027940 transcript:Dexi1A01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGEKRHFFPLTSLQIGNSAQQCWRAMFSFSFMIASYITNLAFFHFKCRDLQSYLAELTIFLCPHTKKFLILLDNRPWLLDQDTKPAHLWQLMVTKSRFSPFANSRTRRKRDETDGNRMFSGSPISAPHLQNKPSRWYSLIDEAMREKKLQVNKLKDARILNRELHQTLYGFIIFEVDWADVRGINYLNELQTDTSMAVESKIMKRWEFDSVNQASSLITSWFSGNESERDLLQDYLDSISSNGDVFYDAQNDFLTPEWDSENSPSDSDDSVNSVLYPVIWLLGEILAAPFRLVIGISSFIVDFLVDIVSVLRHSWSTLSALYQAGSVTRSSMLTSDNSVWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSHVSTSARYTTCREGDRKYGSQNHPRRKTKTR >Dexi3A01G0026990.1:cds pep primary_assembly:Fonio_CM05836:3A:23617868:23618998:1 gene:Dexi3A01G0026990 transcript:Dexi3A01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRALQVRKRDVVLRLGGAPGASVRVVQLDSGFPVGSCINGEVIQNPAFVDFFTNHLDWAVFENELKWYWTEAQRGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGDVQQWIKDIPGDDRDQLMAVVQERIRGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAAQLDPGAALFVNDYNVECGNDPNATPEKYIGLIRDLQRGGAQVGGIGLQGHVTNPVGEVICDALDKIAATDLPVWITELDVGEPDEALRADDLEVVLREAYAHPALQGVVLWGFMQGHMWRQDAALVNADGTVNEAGQRFVELRREWSSDARGRLDGDGQFKFRGFHGTYVAQVTTATGKMLKAFTVDKGDSALVLDMDI >Dexi3A01G0030540.1:cds pep primary_assembly:Fonio_CM05836:3A:34531496:34533481:1 gene:Dexi3A01G0030540 transcript:Dexi3A01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIEDKGGAIVVMLVSLFFIGTFPAIMTLLERRGRLPQHTYLDYSLTNLLAAVLIAVTLGQAGEAKPDMPNFFTQLTQIHDNWPSVLFAMAGGFFLGLGNLVSQYAWAFAGLSVTNVICSSMTVVIGTTMNYFLDGRINRAEILFPGVGCFLIAVFLGAAVHSSNAKDDAHKLSRAESRGVELTRDLAGKATVLPDPEQPKNNRGAGDDINALAQTKPGSAEFIIEVEKRRSIKVIGSDKLIGLGLIFFAGTCFSLFAPAINLATNDQWHVLRGKGTPHLVVYTAFFYLSISADWNGRAWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTIWAVIFFGEYRRSSGRTYLLLAAMLSMFVVAVAVLMASAGHRKT >Dexi7A01G0023110.1:cds pep primary_assembly:Fonio_CM05836:7A:31223641:31225161:-1 gene:Dexi7A01G0023110 transcript:Dexi7A01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGWATTSSTFRLLLSPRIGGRKPGTRGRHYGAGKESIRPREMAHRSTAPAAKSIQSHAMGSDGRQKVEQNEEMIQYLDMRFQVPVDDMMTAQH >Dexi5B01G0021080.1:cds pep primary_assembly:Fonio_CM05836:5B:23331084:23335091:1 gene:Dexi5B01G0021080 transcript:Dexi5B01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGDTFASAAPLPWCFLVVVGGLVGLRLLWAASQLLDRLWWQPRRLERVLRAQGLRGTSYRFLTGDLKDMARLNQEAWSRPLPLRCHDIAPRVIPFLYKNMREHGKTSIYWFGSIPKVTIVDPDLVKEVMSNKFGHFEKPMFPALFKLLTDGVASKEGETWAKHRRILNPGFHLEKLKRMLPAFTVCCEELVSRWVESTGCDGSCELDIWPELKNLTGDVISRTAFGSSYLEGRRIFELQGEQAERVMKSFQKITIPGYMLLPTENNRKMRRINKEIETILRNLIGKRIQAMKKGDESTKDDLLGLLLESNMRVTDGGSKSGMAMTIEDIIGECKLFYFAGMETTSVLLTWTMVVLSIHPEWQDRAREEVLGLFGKNKPELEGLGGLKIVTMILYEVLRLYPPAVQYSRKTYKAMELGGIVYPAGVIVEMSVLMIHHDPDIWGSDVHEFKPNRFSEGISKASNGPGAFLPFGWGPRICIGQNFALLEAKIALCMILQRFEFELAPSYTHAPHTLFTMQPMHGAQVKLRVI >Dexi9B01G0041160.1:cds pep primary_assembly:Fonio_CM05836:9B:41617502:41623774:1 gene:Dexi9B01G0041160 transcript:Dexi9B01G0041160.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFIESLPSRRSPRHGRSKPIPHHDSTKHTQLSPPSRPFASLPSSPISNQKQPQNPWSRHRLRWAETAPMSPDPAVAAPAATEPRRSSRKRFNSAAAVEAEIDREMQRAEARTRQRRAGPVAKPGPAKVAAETEGERDGADRDASRAQPRGKRRQSRAAKEKRRTRPGAGKQKAKETAEAQEQAAAVDDVCAEEPNSEAMAMEEEEEAVAALEAEEEDRAKAGDEGSAEKVGARKRVARPSTERRADASEDYFVGEPMPDHEARRRWPERYKTKDPDSLVRCSVEEEELKARHHYTSASVDNVIYQLNDDVYVKAGPNEEHYIGRITEFFEGLDQGSYFTCQWFFRTEDTVISPKLLKVHDRRHDHKRVFLSEERNDNMIDCIVSKINIIHVDPNTAPQAKAQFTKDCDYYYDMSYSVAYSTFANLPADNDGVSSSASSNISDDAVDSSKEIQLPGFHASDAHTETASLLDLYSGCGAMSTGLCLGAALSNFKLETRWAVDMNEYACGSLKYNHPRSKVRNEKAEDFFALLKEWDALCKKYIIQKGITSESEVAQSLTDDEDEPLSEDTYEVEKLLDICFGDPNSTGQVGLWFKVRWKGYDLSYDTWEPIDGLRDCPHCIKAFVERGYRENILPLPGTVDVICGGPPCQGISGFNRFRKREDPLKDEKNKQMVVFMDIVEYKYVLMENVVDILKFADGFLGRYALSQLVAMNYQARLGLMVAGCYGLPQFRMRVFIWGALPSMVLPKFPLPTHDVVKRGVVPNAFEQSVVAYDETEAPRLRNALFLSDAISDLPEVGNDQPKDVMDFIVGPKTEFQRYIRLNRKAMMDYSFDGEADSGESKLFDHQPLRLNDDDYERVRQIPLEKGANFRDLRGVKVGINNTVEWDPDVPRVLLSSGKPLVPDYAMSFIKGKSLKPFGRLWWDETVPTVVTRAEPHNQIILHPSQARVLTIRENARLQGFPDYYRLFGPIKQKYMQVGNAVAVPVARALGYSLGMAYLGRLDGDGPLIKLPKSFTRTSVFSEGQDVDMEEVFD >Dexi1B01G0022530.1:cds pep primary_assembly:Fonio_CM05836:1B:28265549:28267124:-1 gene:Dexi1B01G0022530 transcript:Dexi1B01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQKTFRPKKNAPSGNKGVQLKKHIDAALGSGNLRDAVRLPPEEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGVQIKKPIEEFKLIDKAELAPLIDLIESIVSVC >Dexi6A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:6A:28625960:28627971:-1 gene:Dexi6A01G0021360 transcript:Dexi6A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLTYLLEPASLALIATAISVAYASASRSLDYGKEMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTTVASAMALFFCLSPYIMYLKSQFNLMDPFVSRCCSKSFTRLQERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRNLLGGIVPGSSPGDYMMLGLGDMAIPGMLLALVLFFDNRKHKDVNVPSDVSPSKRRNYV >Dexi4B01G0022460.1:cds pep primary_assembly:Fonio_CM05836:4B:24042936:24044512:-1 gene:Dexi4B01G0022460 transcript:Dexi4B01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRLSKLFPRFFRAAVTGCSTSRTPASPDVLLPRKGRPKSSAPVFLGSLELDLDLNCEFLQLAARASAIEGVAECARWTTVCRSKDDGGLGVKDLAVLNKSLMMKHVHKLFTGE >Dexi9B01G0045020.1:cds pep primary_assembly:Fonio_CM05836:9B:44678181:44683262:1 gene:Dexi9B01G0045020 transcript:Dexi9B01G0045020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDGELELTVGVRGAGGGGGAAAAVDAPVPISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVVIVGFSSDIGVALGDTKEECSLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGQHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLKTKACCEACANLKGAFLVAVVFLIFCLTITLIFAKEVPYRNQNLPTKANGEVEATGPLAVFKGFKNLPPGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTSAQVAAFDEGVRVGSFGLLLNSIVLGFSSFLIEPMCRKVGPRLVWVTSNMMVCVAMAATALISFWSLKDYHGYVQDAITANPSIKAVCLVLFAFLGVPLAILYSVPFAVTAQLAATKGGGQGLCTGVLNISIVIPQVIIALGAGPWDALFGKGNIPAFGVASGFALIGGIVGLFLLPKISKRQFRAVSGGGH >Dexi9B01G0043380.1:cds pep primary_assembly:Fonio_CM05836:9B:43335638:43336825:-1 gene:Dexi9B01G0043380 transcript:Dexi9B01G0043380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVLIQHPGEGRTERAYLALSAGAVMAANPGHYVAAVIATTPPPATGDAAAAASASAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFSSKRHVKLSRVTIKAKDEDEEPKPAAKHRRRRRARGDISGGAANRKDSDRSLAKVMRQTEDVEPEPEPSPSGPGMEHGQTDDAATADLDAELEAMLPHAALVGRRVARQWRPALQSIAEG >Dexi6B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:6B:24163472:24166129:1 gene:Dexi6B01G0016870 transcript:Dexi6B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHFLVHLLLLAVAVTSAASSSSLATNSTSSPGNGGGGNVTVFTFSRFDEANRGANVTVAGDANINGGALQITPDTTNNPSAYLTHKSGRVLYSTPFKLWHLLDPTAAAAGEGNATAGEVNDKKVVVASFTTVFTINIYRPNKAEPAEGFAFVIAPDAGDPPAGSSGGYLGLTNVTTDGNATNHLVAVELDTEKQSYDPDDNHVGLNVNSVVSVANASLKPFGIEISPANPTNYTVWIDYDGAKRHISVFMAVAGKPKPWRSPVLAAPLDLAATVAEWSYFGFSASTGSKYQLNCVLAWNMTVDKLPSDDLGNGDAKKRKRMLGLAIGVPVGVTVLAAAAAAVLFVCVARRRKVTGGEDGSAITGTMIRSLAGGPREFEYREIRKATNNFDEKMKLGQGGYGVVYRGVVACDHTSPGGHGGTVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLLALHYLHNEYDQRVIHRDLKASNIMLDASFNARLGDFGLARAIETDKTSYTDEAAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVAGRRPRCDVEGFHFLVDWVWRLHRDGSVLDAVDGRLDGVFDGEQAERLLLLGLACSHPIPGERPKTPAIQQVLMGALPPPVVPPFKPSFVWPAMDGGIETMSTTAGTTTSQLSLTSASTWSGNYGKGSLKHAFRQEVSDSSLP >Dexi7A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:7A:29615840:29619114:-1 gene:Dexi7A01G0020920 transcript:Dexi7A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVKYRAGLCLIVAVVLIWVISAEVTQYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKIIAVFISMAGVVMTTMGQTWASDESEVGKSGATERTLLGDMFGLMSAIAYGLFTVLLKKFCGEEGEKVDVQKLFGYLGLFSLVALWWLVWPLTALGIEPKFSMPHSAKVDEVVVANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAVYILGSVQVFSGFVIANLADRFSRSLGL >Dexi8A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:8A:30683961:30685841:1 gene:Dexi8A01G0018400 transcript:Dexi8A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVFQGLLPPAPRADADEASTSLHLSLISRLMEEEEDDDDVADLGADHPAFLDAQQPFAQILFGAPFLPDQGPAFPGGGKEYSTDMFTAAFFKGVEEATKFLPTDTAGNPLLRTEEGSSSGRTCRDRHRGGGDDDEVEAEAGRTTKVAAAESEETNAREVFDEMMLRGFDAFSERMEDLSICKDNESTNLDDKKARKRNRARRKRHVAKVVDLHTLLLHCAKAIIDDRHRAEELLRQINDHASPTGDATQRLAYCFAQGLEARLAGTGSQVYRSLTTNRTPLPEFLKAYQDFMATCCFRKVAFVFANKAIFDVAVGRSKLHIVDYGLHSGFQWPELLRLLGARDGGPPEVRITSIDLPQPGFRPANHMVELGHRLSNCARQLHVPLKFHAVVAQWHTVCIDDLNVEPDEVLVVNDLFNFRTLMDESVIIDSPSPRDVVLSNIAKMKPDVFIQGIVNGSYGTFFLSRFREALFHHSALFDMLDATMPRDSQLRLVLERDIFGWVALNAIACEGEDRVERGETYKQWQIRNQRAGLRQLPLNGESVKMVRDIVKNHYHKDFVIEEGQQWLLQGWKGRILFAHSMWVADGASTEYFD >Dexi9B01G0029770.1:cds pep primary_assembly:Fonio_CM05836:9B:32186680:32187533:1 gene:Dexi9B01G0029770 transcript:Dexi9B01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASAVRVIGLWPSPYVIRVLIALKLKGIEYEFVEEVVGKKSELLLKSNPVHKKIPVLLHHGKPICESLVIVQYIDEVWSSGAPVILPVDPYTRAVHRFWAQFVDDKLPPGIRTLRGMGGDGDKDQAVEQLSAALQLLEEAFVKLSQGKNYFGGDSVGYLDVALVSHVGWVKAAEKIAGVSILDKAKFPNLVAWAHRLCAHPAVADAIPHADKFVEFSLKYGSFTKPTINSGAK >Dexi1A01G0029150.1:cds pep primary_assembly:Fonio_CM05836:1A:34702196:34702438:-1 gene:Dexi1A01G0029150 transcript:Dexi1A01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRARRHSDAGRDDQPGDEAPRRSRRAHADRPHTHPPRPAIVAASTPAGSWQRAPTRSVGAAGDEAVAAAQWLCVGRDG >Dexi4A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:4A:17672038:17672741:-1 gene:Dexi4A01G0015090 transcript:Dexi4A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGRPVFDGMEFRASAVLVKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGEYIHEF >Dexi3B01G0028390.1:cds pep primary_assembly:Fonio_CM05836:3B:24565049:24565597:1 gene:Dexi3B01G0028390 transcript:Dexi3B01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKQMSLEKLRPSTLHLNPKASDIQFPDHHHYHRCSPTSRASAVVVEADHGFQDYLQLRPIRTRQPAPTGSTNGTCPSSPRLKSRSLHALSDARVSTTSASGHRRSAARRSFAVVKASTDPPRDFRESMVEMIVENNVHTAEDMQELLECYLSLNSIECHGVIMEVFKEICLEIAGDIVED >Dexi3A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:3A:12545436:12547524:1 gene:Dexi3A01G0016590 transcript:Dexi3A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSYFVLALLTLHSVSAMSGCSGALDLPAAQLSHRRLLQDTNLAARSEANFHLPIAAHVPPSGTFLPSGSQTERNHHEEVPPPPPADPPQSQSKPHDLLRSMRWLYVIVLPAISLLLLAGLACWLLPCRERAVATIGPWKTGLSGQLQKAFVTGVPKLQRSELERACEEFSNIIANYPHYTVYKGTLSSGVEIAVVSTMITSSKDWSEHSESCFRKKIDSLSRINHKNFINLLGFCEEEEPFTRMMVFEYAPNGTLYESLHAEDFEHIGWRGRMRIIMGLAYCIQHMHELDPPVVHPDLQSSSILLSEDCAAKIADMSVWHEVISKGKTPTSGELDRHNEQMPDGLAGNVYSFGVLLLEIISGKLPDPAQERPLVSLALECINNGDRSMASLLDLTLKDTKEDDLETISRVIHACIQRDPRNRPSMREITGRLREAIGISPVAATPRLSPLWWAELEVLSTAEAS >Dexi3A01G0031640.1:cds pep primary_assembly:Fonio_CM05836:3A:36029212:36029565:1 gene:Dexi3A01G0031640 transcript:Dexi3A01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTELVPQEEEVAAPAGSSTGCWDDDAAHCVEASVVTAEASDMPTVTMLTASHAPFSFSSPELASPPPDMN >Dexi1B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:1B:6694343:6696648:1 gene:Dexi1B01G0008040 transcript:Dexi1B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKKLNDQMVETLPEWRDKFLAYKNLKKRLKQIGERRRKRQRVGDGGGSWLAAMTAEEAEFVALLEAELDKFNAFFLEKEEEYVIRQKELQDRVVSAAESGSAEELLRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRPPFIQNVMQEPFFTTDLLYKLVKECEAMLDQLLPRSQPPVPSEDNDGDDKSVGASSSSLANGGGALELEEIEDVESTYMKSTVAALRSLREIRGGSSTPNPFSLPPLQEG >Dexi4B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:4B:14900449:14903724:1 gene:Dexi4B01G0014180 transcript:Dexi4B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEEAAEAVARIRLVRCPRCDKFLPELPAYSVYVCGGCGATLQAKKNSAQSSHDTDSGNVKYLEVLDCFPEASAAKPGGPSPSNLNMSVRDNGKEAKYRHIRDWENREMGQSSRIRDTSPRSPINGIPPHAYQGGLVDYQLMQRYRYSTREHLGERSLDGPSRVRGLEKDRAEILRMLDELRGQVQQSHDVTDRPRGSALTNKAADAPSSFANPDRYDYHAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNTSVFVNGRELHQKDLDLLVTRGLSDSPGRSYVVENSGKVSDELSGEELYCLGKLAPT >Dexi6B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:6B:241465:242136:-1 gene:Dexi6B01G0000350 transcript:Dexi6B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSSSVRQRQPTAVSAAAAQQDGEHDDQDLLLPPKEDEAPQPPPRRSALSQALNSTANLANLLPTGTLLAFNLLAPTFTNHGACDATTSLLTRGLLAVLAFSCVLASFTDSLKGPDGRVYYGVATPKGLWLIDYPPGAPPPETAKYRLAFIDFVHAALSVAVFGVVAVRDKNVVRCFYPAPPKETEEVLDILPLGVGVLCSLLFVAFPTRRHGIGYPVST >Dexi9B01G0043390.1:cds pep primary_assembly:Fonio_CM05836:9B:43342141:43343457:-1 gene:Dexi9B01G0043390 transcript:Dexi9B01G0043390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTVTLEKTTTVVQSHNKDVESSPRTTMKTPLLVKGRKIGGDVGEDVVVDKRCCGHKHKKLELVSYDELPEFLRHNEFIVDYYRSEWPVKEALLSAFSIHNETINVWTHLIGFFVFLALTVCAATMVPMEYEAPHYHLGTSMGVAMANITGKNAVVLRTYSTDGAAVVVAMKALQRRPTNNVSIEAEVAAGVLSSPPAAHHRVTRWPFYAYMCGAMFCLLMSSLCHLLACHSEHASYVFLRLDYAGITGLIVTSFYPLVYYTFLCDPFYQSLYLGFITVSGAAAVAVSLLPVFERPELRWARAGLFACMAMSGLVPIVHKMVVFGDRPEAVITTAYEMAMGAFYFAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVVAGAYAHYLAGLVYLGWRDMEGCWCS >Dexi8A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:8A:1595955:1596686:-1 gene:Dexi8A01G0002400 transcript:Dexi8A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPHGSGYPYGPGAGGGYGAPPPYGSSPAPSAPPYGEKPPKEGKTSSSSSAPYYGAPPSSQPYGGGGYGAPPSSQPYGGGAGGYGAPPAGQQHGAPYGAPPPAYGGAGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGKSLPFRGA >Dexi3A01G0031730.1:cds pep primary_assembly:Fonio_CM05836:3A:36107072:36111290:1 gene:Dexi3A01G0031730 transcript:Dexi3A01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRAKLGRLKGGQSDPLNSSRSPADPSKQLSLPNRGDAATTSVSGRADDLSYRCSSDTFDLDGRSFNISENWTVLSTEGDKPIPRFYHAAAIVNSKMVVFGGDSGHHLLDDTKVPWGNSVILVGGKTEPASDRLSVWIFNAETELWSLLEAKGDIPAARSGHTVIRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLQLNYKGAGPSPRSNHVAALYDDRILLIFGGHSKSKTLNDLYSLDFETMVWSRVKTHGHHPSPRAGCSGALCGTKWYIAGGASKKKRHVETWVFDILDSKWSVCVVPPSSSITSKKGFSMVPFYHRDKIALVAFGGNKKEPCNKIVLQPKGHRSTRAEAGIQSDMAQVETMVTGGSSVRRIARCASDVSQSHLYNTKVADLIRRNAVLEDRLATALASKDQLEKSLSSVIHSREQLEKMLANKDKEAEILKEKIASLELAQEESNSLSNTVHADNVRLEREVAFLKAVADETQKARELDIYW >Dexi7A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:7A:18486437:18487844:1 gene:Dexi7A01G0007130 transcript:Dexi7A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETPAASGDGDRGHEVVVDRLSALPDLVLRNVLSCLTSLQAARTSVLSRRWRHLWRSVPCIDIDQREFFQFQASRPPIVAGLNATWIRWFVDEIRKKKGLLPPAVDRWDRFEDFADRMSLLRHDASSPLEAFRLRVACDDFHAAHKWIRRGVSHRPAALHVRCDNDAAAAAGGGGGGNDDTGRGWPCFPTAHAAGAFTSRLRTLRLSGLTLTSALATALATDFPVLEDMELHDCRYEFSRLVSASLTNLSIEYHHGRRAYSNIADELVLATPRVVTLRVLGNYAHAPPVALEVETPRVAEATLMHRAGDLGVLRSLRDATSLKLFCFSTAALLDDGEPGGFPAFRNLRSLLLDRCDVGADCHVLQRFLRNAPCLETLTLRSCLRSRVFSGGAPMSRSRKRKERARRKKHDSTDNALFELTGVLRDITKEVVHPIEGSVQDGKRTVKISYA >Dexi5A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:5A:24429616:24430112:1 gene:Dexi5A01G0020630 transcript:Dexi5A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSVVRFVVEVSRDAELGRDLERPRAEDVRDERRDAGWRVEQEGEEFVEVRERALDDSEDASLDAGVGREVVEGYLGENGGGDGRREGVERGVVARRGEVGVGDERGRELGAIAVRDDELRELGHGQDVACPGAREQHDVRGRFAWRRLCVCGLRRHGRNGQ >Dexi9A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:9A:13180033:13180931:1 gene:Dexi9A01G0018140 transcript:Dexi9A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPPPRRRLLLPQPPITSTPPPRPPTGPSPSSPPRQPPPPRRPSHRSLARLAQTHGPLISLRLGAVTTVVASSQEAAREFLQRHDAVFASRSVPDAVGDAHAKNSVVWLPNSPRWRALGKVMARDLLAPHQLDAPELQRLRRDKVWALVSHVGKLARDGQTVDVGRVAFATVLNLLSSTVFSRDLTDMDDHGESKEFQEVVTELMEAPGSPNVSDFYPALAAADLQGCRRRVAKLFARLHRTFDVEIDERLRGRESGQPRKNDFLDLLLDSETGDDKAGAAGLDRDTLRSMFTY >Dexi2A01G0023620.1:cds pep primary_assembly:Fonio_CM05836:2A:35315314:35317757:-1 gene:Dexi2A01G0023620 transcript:Dexi2A01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAAALRRGYLAGVHSVADRRQPGLDRIWVLRPATAPPRVSWQERGSGAVDRRRLWASASGSFEQDGTGEDAVLPSQVVEESKVDFLKILKSANTVIPHIVLGSTILALVYPPSFTWFTTSSSQIH >Dexi1B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:1B:20900699:20907786:-1 gene:Dexi1B01G0014550 transcript:Dexi1B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNAGGRGGGALPGGGGSSSAALCCRQRRTAAAAAMGGYELVRSDDAAGPDLESGAAAATKAAAATSPAPAARQPRLVSLDVFRGITVLLMIIVDDAGGFVPALNHSPWDGLTIADFVMPFFLFIVGVALTLAYKRVPDKLEATRKAVLRALKLFCLGLVLQGGFFHGVHSLSFGVDLTKIRLMGILQRIAIAYLVAAICEIWLKGDDDVDSGLDLLRRYRYQLFVGLVLSFTYTVLLYGIYVPDWEYQISGPDSIEKSFSVKCGIRGDTGPACNAVGMVDRTILGIDHLYRRPVYARTKECSINYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHRGRITNWLIPSFSMLAVAFLMDIAGMHMNKPLYTMSYTLATAGAGGLLFAGIYTLVDVYGFRRPTFTMEWMGKHALMIYVLVACNILPMFIRGFYWRDPNNSLLKFIGVGA >Dexi6A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:6A:24363219:24364405:-1 gene:Dexi6A01G0016510 transcript:Dexi6A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDLDASKYGTAAELKSLIAAFHSRGIQCVADIVINHRCADKKDARGVYCIFEGGTPDDRLDWGPGMICSDDTAYSDGTGHRDTGEGFAAAPDIDHLNARVQRELTDWLNWLKSPDVGFDGWRLDFAKGYSPDIAKMYVANTKPSFVVAEIWNSLSYSGDGKPLPNQDQCRQELVNWVEAVGEPAMAFDFTTKGLLQVAVQGELWRLRDGEGNAAGMIGWTPEKAVTFIDNHDTGSTQNMWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEISTLAAIRARNGIHAGSKLRILVADADAYVAVVDEKVMVKIGTRYDVSNAIQSDFHPSAHGKDYCVWEKGSLRVPAGRHL >Dexi7A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:7A:22051008:22052821:1 gene:Dexi7A01G0011650 transcript:Dexi7A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEIKSRVHNFTSHRASDQMIGGGGVSQTSNTFSSLCRAKSLSPPIEKSQMAPPWLRLSVDVSTYSGPLNTEMLSFIDEKSWPPCLSSPGTFRFISLLRELQRTPPAPFRRSRNPRSSYWLITHTERSSSMAMAWSTRLSSCDAAAPASDHDQTTFLPRKSSRPMKQLSALGNSVATINALPLELVGVAQRASGWLVEEVGLLAGGAVPHADAVLVEPPLAAGEDARVLVERQRAGGEGLGLAAVRDAEAVAELAGDGGEGGHPAVVALAEALARRDEERGGVEVGRVVDLRVVERDRAALVEHPVVDAHGAAVRDAASAVWRGADGRSVPRGAPGNGRGEAEAVAVEGAPTRGADVVEEAVVERLAVEELLREVRRHVEAARAEEVEQHREARRVAYSATAAAPSPEEEEETFQEESSRAPSMESRPALASVVVVVSNTCPPTLNRTRPPPAITGAALTESKDLYTVPAWRSIRRLPTSDCNSAANPGGRDRIAALCCAVRGGWKGGGLGQLLLPRYPRPYEFAARPRVYTSEYQKLPLPDLWRNGGARRRRTGELGGEWCGDGDGQVAGEVAWWWGGVCVALT >Dexi4A01G0022160.1:cds pep primary_assembly:Fonio_CM05836:4A:25458072:25459821:-1 gene:Dexi4A01G0022160 transcript:Dexi4A01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRFFLAAFFLLALGDALSPSSVAGAHLRPDYYADVCPDLESIVRAAVQQSVAHSPVAAPATLRLFFHDCAVRGCDASIMLINPDGDDEWRSLDGMTLKPEGFHTVMNAKAAVDSDPRCRNVVSCADILALAARDSVFLSGGPDYEVELGRYDGRVSTGRSVVIPHGSFNLDQLNSFFSGLNLSQSDMIALSGAHTIGAASCGFFGYRMSTDAAMDPAFAEELRGSCPAMDAGGFAFLDAATPLRFDNEYYRNLRAGRGLLASDQALYADARSRADVDRYAADEEAFFGDFAAAMTRLGRVGVRTAEDNGEIRRDCRFPN >Dexi2B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:2B:7876383:7878876:-1 gene:Dexi2B01G0007630 transcript:Dexi2B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAWGRPCEFELANSWTIEEPGVDEVWAPAAGLPTEYASIDIFPDIWSFQPCVPSSSNVDGGQVVYSSYAAATTITEKAAAPPPSQDSLDADLLHDMETEILSNNPIRVFEEATRKFEVDMDMVKMKIHRYPPSIQCIDNEWYTVPTVVAIGPYHHGKSQLKQAEKVKHVASYHCIKNSGRSVQEMYQAVVNVVLEIDARRLYDEDVMEGIGDDKFLPMMFFDACFLVMYMLNVSRKPCDALLRNFLESHEYDIIHDTMLLENQIPWPVVDVVMKYTPVALAEFVTIWKHGRLQDRIVAKVPTIVLDSSFKPPHLLGLLRFYIVGRRRTRSRDEVRELEKMKSIAISVSAIELTEMGISLRANETTELADMSLTEEWIYFAKLSMAPLSLNDSRASLLVNMAAHELCTVPDFWDDDEAGDEDSAVCSYLLLLCMMMNREDDVQHLRASGVLEGGAGLSNRQALDFFTGLQTLRIGRCYGCVMAQIESYRMARPLRIKVYAFVYNNWKAIVAVGSVID >Dexi5A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:5A:5607580:5608038:1 gene:Dexi5A01G0007580 transcript:Dexi5A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSSVFDPFADFWDPFDVFRAAVVPSAAAAPDRDTAAFATARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRVERSSGKFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKSVEISG >Dexi9B01G0030910.1:cds pep primary_assembly:Fonio_CM05836:9B:33346889:33347734:-1 gene:Dexi9B01G0030910 transcript:Dexi9B01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTASSTTSLPTTISFGTAWYVRGSFTPTLTPIPSTSTAIFSFRNWSVKCGHVTTGSPAVMASSVEFHPQCVTNPPTAAWDRIVTCGAHPLTRRPRPSTRSSISRSAPRTASSYTSSAFLTTQMNGCPDASSPSPSSTTCLGPAWAMLPKLTYTTEPGPLPSSHRRHSAAPMAGVSLPLDACVVAPWWYNDTGPTVQTLNPRASSYPATYSGSISSTSPSSNHSGIGTLRRNPGACALVTTTFLSFSGRPGTTTGALLSSTPS >Dexi9A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:9A:12632199:12632935:1 gene:Dexi9A01G0017580 transcript:Dexi9A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSDKKMSSNRLLVSSVHGQTLGQASRGFSCTEKYDWHNLTLCQSEQAITEQPDHDATLHYPLNIHTRVEDSVIDREISHPPRGSHDLHGTRGANENNRFCATRGVKRLKEYTASHGQGHSSEIHGSGNGLSGPYCLTSCSYGRCHGKDRGCLGNPSKQASRSISPGRDPFLSHR >Dexi2B01G0022230.1:cds pep primary_assembly:Fonio_CM05836:2B:31900255:31902088:1 gene:Dexi2B01G0022230 transcript:Dexi2B01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKASDGTPGLVAAAAGCDRLSSLPEDLIGRILSLLPTPQAVLASQLSRRWRRVWAHVGALNLSVRDCAVRRRGGRARPRLCALATEALLRFPTPGIPSISLEIDHRIYTVDEWYGQAMERAVVSVRVTSLGALTGLELPPCTRAEALSVTSPRTVLTLTGGTGSSPSFGRLAELSLSLVQLGGPPLGDFLSSCCPRLRALRLCSVRGAAVRRLALRTDALEVLYVSNVDDMTSLDVSAANLRCLTVRSCFRSPSGGGGGGRGEVAVSAPRIEAVRWYRSYPKQLGFCSDMLPNARWLGGPLKLPALGRRDQLDAPYTMQLLRACSVAHHLDLELVMPDEMTLLSRRLGPENKGAGEDLIRYVPQLPNVSVLSLKLRWGFGGGIAPSLASILSRTPSLTRLRMELNPYCFAVYEGEEPTTTTTTRGGQRWASGVRTDDGLRLDGLREVSVDGLKGADQEECRILELLLRGTPRSLQRMSLTFHDDAAAPIVDEIATEVPARFPMATGRWERCSPSVLTWTKLEDDNSQPRRAKKFRASGPGETTT >Dexi3A01G0024700.1:cds pep primary_assembly:Fonio_CM05836:3A:20322780:20325127:1 gene:Dexi3A01G0024700 transcript:Dexi3A01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASMDTSATWIMVAAAVTFVAVALASLRILRTSSKKMKPLPPGSFGLPLVGQTLSLLRALRANAGDDWLGRWFATYGPVTRLSYFGCPTAILAGASGNKFIFSSASVAPKVPASMAMMVGRRTIRDMAGDEHRRVEAKPGNIVIDSFQLNRRCQLTTSITRSSARAMMVQFLRPDTCKRHVAGVDAEVRRHLGDQWHVKATVAVMPAMKDLTFDVMCTVLFRLGRDSSKSEAIRCELSAEFQQLVKGITVIPLNLPFTSFSKCLAASRRGRRAVAGIVQERRIKLETGQSSAANDVVTHMIAEGLPDAEIIDNVVLLMIAAHDTTAALLTFLIRHLDANREAYDKVVHEQEEIARCKEPDEPLSWEDLSKMRYTWAAALEALRLVPPVFSVLRKTTADIEFGGYHIPKGWQMIQTMSTTQMDAAIFPEPRRFVPERFEDTSAMPPFCFIPFGGGPHVCPGNEFARVETLVAVHYIVTRFKWKLASGCDGSYVRFPLPYPSQGLHIDIEPRHHQTN >Dexi3B01G0033890.1:cds pep primary_assembly:Fonio_CM05836:3B:36666512:36670399:-1 gene:Dexi3B01G0033890 transcript:Dexi3B01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLAAAAASAFFASPQAPSIRRRLSGAWTLRLRPTASPGAAALRLHNFVPKCYITNVEVDVNTVSKEEAFDDHPSFPPRCSIPVVDLRGDVLDSSPFPLHDRASCPSSFEELPVLSEGEQHTLASTPAHPAGLYALYASYLFGNLVEQLWNFAWPAALSILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTALNAVQVATQLISAATVMYALKNLSHASTTALVLRPWFIALVAAGAIERLAGLALGVSMERDWVVLLAGTNRPVALAQANAVLNRLDLLCETVGASVFGLLLTKYHPVTCLKVACGLMICSFPVLVLLGQIINRVSCHALDSSRTATDESICIDLLDVRKIGVSPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSVALTVYWAGSISHRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSNFGFLAILSVSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQVQAM >Dexi5A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:5A:23752438:23759242:-1 gene:Dexi5A01G0019870 transcript:Dexi5A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDIQKVASMRRGDSGSLWRRGDDVFSRSSREEDDEEALRVGIDMPTIEVRFENLEAEAEVRVGSSGLPTVLNSIINSVEEAANALHLLPSRKRTMPILHDVSGIIKPRRLALLLGPPGSGKTTLLLALAGRLDKDLKVKGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTASAMGGQEANVITDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPAGALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFESMGFRCPERKGVADFLQEVTSKKDQKQYWARLDEPYRFVPVKEFATAFKSFHTGRAIASELAVPFDKSKNHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYMFRTFQLMLMSIIAMTLFFRTNMKHDTVTDGGLYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFFPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDPNVGRFFKQYLLLLAINQMAAGLFRFIGGAARNMIVANVFASFMLLVVMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLGVQTLKSRGVFTEAKWYWIGFGAMIGFTILFNALFTLALTYLKPYGNSRPSVSEEELKEKHANLKGEVLDASHLVSASSHRSTGINTETDSAIVEDDSAPTKRGMILPFVPLSLTFDNIRYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGHISISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEVYAGPLGHHSSELIKYFEGINGVSKIKDGYNPATWMLEVTTVSQEQILCVDFSEIYKKSELYQRNRALIKELSQPAPGSSDLYFPSKYAQSSITQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVKTSQDLLNAMGSMYSAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALAQDILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPRVPIWWRWYCWICPVAWTLYGLVVSQFGDVMTQMEDGRTVKVFIEDYFDFKHSWLGYVAGVVVAFAVLFAALFGFAIMKLNFQRR >DexiUA01G0022310.1:cds pep primary_assembly:Fonio_CM05836:UA:45452524:45454826:-1 gene:DexiUA01G0022310 transcript:DexiUA01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSGSFLKVLVNNLDVLAGNPLFVFLCRPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWSSRAEWLCLILAQFCRLPFWSYAKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKDESDRPDDVISAAQKYIKQNGSEAFENLVNKFKASNPRRSILEEVEVERRARIQRESEAREVNPFFSPDYRY >Dexi3A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:3A:2727801:2733106:-1 gene:Dexi3A01G0004150 transcript:Dexi3A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSPAKVYKPAADVDLGAGSDEFYISPNVKAPRVAGLLVKIFVWILEMPIVGQIVLYMLKKDNLINKLVSDADIPEPPLFTATHSWEDIPEQNVTLTKPGLSAAERVQEAESSGPGMKNMAFFISCDPEDVMRQAQESTLRYQRGSPLSALDGVLVADEIDCLPYPTTGGTRWLAAARPCEADAACVAQLRACGAILAGKANMHELGAGTSGINPHHGSTRNPYNASKIAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVPTAGRLSNAGVLPLNWTVGMPGILAGTVEDALIAYSAIVDQSQPSYLRPELNLPQLKSTLSMNNIKLAKYAKWFNDSAEDIRSCCDKALQTLHAHYGWQTVDVTIPEIEEMRLAHYVTIGSECTTSLAKYLDNLKKSEIGWDARVALSVYGSFSSRAYLNAQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYTLQDDTFDTGELDYINGAALVRYSISGNFLGLPAITVKVGYDNGGLPIGLQFIGRPWSEATLLHIAFAMQARGVRQGLQKAGGVLRSPQQGEACAKGYKKPEVFYDLLNKE >Dexi4A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:4A:8347553:8348080:1 gene:Dexi4A01G0010450 transcript:Dexi4A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDSTGGRIVVGCVPYRARADGEVEVLVISSQKKGPAGGVLIPKGGWELDESMDEAARREAAEEAGVVGELGPAPLGRWCYRSRSYDATYEGFVLPMRVTAELDRWPEMGARRREWVSPAEAIARCHHAWMREALQRFADTVEVAATVETAAAALLSSAL >Dexi3A01G0036630.1:cds pep primary_assembly:Fonio_CM05836:3A:42226824:42237713:1 gene:Dexi3A01G0036630 transcript:Dexi3A01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGNLEAVLKEAVDLENIPLEEVFENLRCSREGLSTQQAQQRLEIFGPNKLEEKEESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKILHLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYQAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTVSQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFPEKAGLRELHTLKGHVESVVKLKGLDIETIQQSYTCLIIDEADRILEQNFEEDMKQIFKRLPRDRQTVLFSATQTQKVEDFAKFMFGKNEGRQRKLVYVGVDDYVLKPTVEVLKQGYCVIPSEKRFLVLYAFLKRKLPRGLDIPEVDYIVQFDPPDDPKDYIHRVGRTARGDKGKGNALLFLLPEELKLLVHLQKVAASFCFKDPPKVHLNLESNASKHRKMWKSGGWRHGIGPSNPYGKRGGYDHRQLARF >Dexi9B01G0029230.1:cds pep primary_assembly:Fonio_CM05836:9B:31826479:31827100:-1 gene:Dexi9B01G0029230 transcript:Dexi9B01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGLLALVVVAGLPLHTASIADGQTLRVDRTCQSAGSIVAVEVQKAASTDRGVLASLIRLHFHHCFVNSSDGQAEKNDKPNLSLRGFDVIDRIKARLEAVCAQTVSSADIVAFAARDSVNMVRHGYCFRCYATATN >Dexi7A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:7A:24516952:24518078:-1 gene:Dexi7A01G0014530 transcript:Dexi7A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLGLSLSLSSSLVAAPRTHHVAAMLLRSPEKRFLEMPLLPAKRSEISGEGGLRGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMHMSPPTTLTMCPSCERVSSSATSAAAAASDRRAAAAAIVSAADGGAAVCHRPIAVRPQQS >Dexi7B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:7B:16713738:16715567:1 gene:Dexi7B01G0009000 transcript:Dexi7B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEGEGQQPEAQRRRPRFLCLHGFRTSAEIMRKQVLGKWPADVTARLDLVFADAPFPAEGKSEVEGIFDPPYYEWFQFDKGFLEYRNFDKCLAYIEELMIKDGPFDGLMGFSQGAILSAALPGFQEQGMALTRVPKIKYLIIIGGAKFLSPTMAEKAYANKIACPSLHFIGDNDFLKTHGEKLIESCVDPFVIRHPKGHTVPRLDEKSLEVMLRFLEKIEEEASEHASTDVDEKEVCL >Dexi9A01G0040600.1:cds pep primary_assembly:Fonio_CM05836:9A:44330569:44333645:-1 gene:Dexi9A01G0040600 transcript:Dexi9A01G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASAAAASQQGDCCVHGPGPGPGAGPGWRVGGGEASCSYLPLRKRLSVDGGKCPAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPQPAPPPLFYRRMMTPPPSRPRPPQREAAAEVGAATRRPGEIIGKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSPSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGSDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEMKVLIRMLRSYYEHVCQYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEQKIDETTTLKDLDLDCVFHLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDFSMPKIGPSQFNGLPKSTGKRKSFEGGGDVCELCFMESGCKDRDFIVDSRKPLVQLGKNMPAQAERRSKRILDKFLLNERHLIITTPSGGSRDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIREQ >Dexi1A01G0024870.1:cds pep primary_assembly:Fonio_CM05836:1A:31286164:31286631:1 gene:Dexi1A01G0024870 transcript:Dexi1A01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAAEEETAEKAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi3A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:3A:13717207:13721513:1 gene:Dexi3A01G0017980 transcript:Dexi3A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEAEGEAGEEYESDLDDAPLPALRRRDAASDDEGEEESEDGGTPLRRRVAGSDADSDGQGAAEVYDEGAYEEGEEEYEEYEEFEEGVGRGRGVAAVAVAAAEQEEGEAGDGEVEKADEAAPGEEEKKGNEPYAVPTTGAFYMHDDRFQEGRGRGRGRQRFPVPYCPCRRIMNNRKLWSPKEDQAWVHDRFDEVDLHDFPGDNPKRNQGGRFRGRGGPRGRIRGISRGNFRGNRSRTFYHDSSKNYSYVPKESHSYHDNTKNARHALYDNGKNRVPKPSRAQYDDAKNHDIVPKESRYYGDAKSQKNTPRVVRGRGSKRYQPRLRSNTDISSGQNNKSQGLEDSSSTTNLGQNQAQTSNSQPEQVHPIKQTVASNLNSASPPFYPSRPSNQEFPVSQGGNAQLTSALLRGKAFEPSVGHSEASMKGMNGPEFHPAALSSNTPFPVATNQLNRDYVQPARPVQQNPVQSPTHSVPRMPAQLFGARFSNSNKLSPVQPTISSDDTEISSTSGSNKFDSRLRVKGQPGDQGEERTSFLYGGAHVLGATATGAMGLTLGDQNFRGTPALLPGLYLTDLISSVVNFTLVNYKFDIISVMQFGGQRPAGPGVPSIGMALPGFVSQQQLGLSNSEMTWLPILTGASGALGAPYGSPYLTVDGSYYSRPSDQASSSVSIRYVGCCEPGANIASSLLKPQTITEVASDEPSQRQNKPRRYSEMNFGQ >Dexi2B01G0027370.1:cds pep primary_assembly:Fonio_CM05836:2B:36333417:36335240:1 gene:Dexi2B01G0027370 transcript:Dexi2B01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPCAAGDLLAAAVATVLLLLSCLSRGASAAASFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKERYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGQPYIIQTNVYHNGVGGREMRHSLWFDPTADFHTYAILWNAKHIVFFVDKVPIRVYPNDASKASSNGFFPVSKPMYIFSSIWNADDWATRGGLEKTDWAKGPFVSSYRDFTADACAWPAANSTAPPPECAAATGDSWWDQPPAWALDDAQRLDNAWVGRNVLIYDYCDDRKRFPTPPEECALRNAATAS >Dexi6A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:6A:5288885:5289682:1 gene:Dexi6A01G0005750 transcript:Dexi6A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSVSTGVMKSVLSKLTKLLEAEYVKVKGMRKQIKFLRDELSAMSAALQILADSEQLNPLIRQWRDKVRELAYDIEDCIDAFTAHFDHDRTTSFRGFFRKLKKLKARREIANEIEELKARAIEGSERHKRYDFVELVSNSSRSFSIDPRLPAFYEEVDRLVGIDRPKEHVIEWLNKEKGNQNLKVLSIVGTGGLGKTTLAIQAYSQLKDQFQYTSFVSVSRNPCIKKILRHVLNEVGISNEILCDEQQLIDKIRDFLKDKR >Dexi2A01G0021850.1:cds pep primary_assembly:Fonio_CM05836:2A:33819680:33820333:1 gene:Dexi2A01G0021850 transcript:Dexi2A01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSGSSVHALTAKVVDLDGSMAQFAAPVTAHDALASTTTTTTAAGARASSPARFLCCSDELDFDAPVRAVGPRDALRPGQLYFALPLSMLGRPLSAQDMAALAVKACAALGTSPVVVVAGVPSPDSNRAQQRRRETTGRVAPVVVVTAHADDGVWKRSDRVHGGYGDARVIKAAESADRTVGKARQGAVGVGREGVTRRVAAVRRLSAIVEADSE >Dexi4A01G0024310.1:cds pep primary_assembly:Fonio_CM05836:4A:27124001:27126861:-1 gene:Dexi4A01G0024310 transcript:Dexi4A01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTAASSSAAAAAYPRTPFNLQRRPGLLALLFIFLCFISFQVVIHVPAARSAVSQWLFSGHQSQRERPDSCPGCGNSQDVDNADRTIAYTNQHGQIKLFKVTAREFASSSIWENRWLPRYSQPVATNQEAAEDLLLANGSEAINISSQETLATKSIDPIKLKRQVFRRRRKEHRVQDLLQMDKKVELEMQNAATNSSRNFDNKVRGSYNIWKQEFHHTNTDSTLRLMKDQIIMAKLYATMALSQKERNMYALLMKCIKESQTAIGDALMDSEIDSSALERAKAMGRVLSSARDVLYISNEVSRKLRVMLQSTELNIDAVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFHDGVTKEQFHDAALKEEENKAKLEDRSLYHYAIFSDNVLAASVVVKSTVTNANEPEKHVFHIVTDRLNFAAMKMWFITHPPQLATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDIVVQKDLTPLWVIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNMFDLKEWRKRNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDIAEIENAAVVHYNGNYKPWLDLAISKYRTYWSKYVDLDNSHVQQCYASKQ >Dexi2A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:2A:30977285:30979252:1 gene:Dexi2A01G0018820 transcript:Dexi2A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWASFVGIVLATVMLLKAVLGRRSRRAYNLPPGPKPWPIIGNLDLMGALPHRSVHELSRKYGPLMQLRFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHAASASGSGSGRAVMLKDYLSTVSLNVITRMVLGKKYLDKEDGSSGTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLGKMFDRFLEHVVEEHNQRRLREGRSFVAKDMVDVLLQIADDPTLEVEMDRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEIIAKATEELDRVIGRGRWVTEKDIPQLPYVDAIVKETMRLHPVAPMLVPRLSREDTTVAGYDIPAGTRVLVSVWSIGRDPELWDAPEEFMPERFLGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFEWGLPDGVTKEELSMEEIFGLSTPRKFPLEAVVQPKLPAHLYAAEA >Dexi6A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:6A:11655969:11656467:1 gene:Dexi6A01G0009780 transcript:Dexi6A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFEPDPDHPLPLPPSTDGDGELASFVHRISSAVSSASSPKDALTLLSSSRTGPSPAPATLALLVRALWELRHDPDAPALAVRYGDESSHLDEVDGAGAGPQSSPSEAWHLAVWAAGKARRFDLAWAVVRRMRRRGVLTRRAMVILIESSKS >Dexi6B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:6B:13994778:13996093:1 gene:Dexi6B01G0009570 transcript:Dexi6B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGEWKGKGVISSLYWLCTLHRHPLHRSFACAAPPQNHQPPRPSSHGDGARAFHLAVVVLALVPFLAQATAAENLRVRCCSLTTTTPLPPHCSEFPLGVGAPPTAAGTPAFVDHLRAPSPSAALPDAAAAPSPSTTYSDDSSPRSLGASAAAARPAPRCPSSRDLNTRATIVEAAPRSGATTPATKAVLLRALPLLAIPFLPWPVAALAAFSLLPTTVRARSDDCYKLNHATCKMYPYDNETDSVDRARPNKDMGLGAVCLHPLCHADSPERLASVVYGQYCCGRSGDIPHIYCTVRTLEGAPSESGGIETNEPSIFPWRNTWRVHLPIADPAAAAASGGDICYVELAHLDYREGYYIHCPVGEYHETYCTEFPEEAIAAAVWEHRRLNYRDTVGSLYATYQSKYKDEL >Dexi1B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:1B:23053625:23054529:1 gene:Dexi1B01G0016550 transcript:Dexi1B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRTAWIGAGVGVRLLMIAVLIITVKVTIANENKYSWDGVADGFFKLRSYSYMIAASVMGVAGNLLQIPVAFYLLLKSKRMTPSALILDISMYADVVITGVLATSVGAGFGATVDLLWYVDHVVFTDGDTTRKKYADYYSKAYVPLAFLVVGMVLSMAATVVSARLRARAANHVPDDV >Dexi4A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:4A:1077643:1084806:1 gene:Dexi4A01G0001650 transcript:Dexi4A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGAGTLKSSSINGVKLYSLTGNRYVAPWVLAKKKRALRKDKEYQRRLDLIHDLRFETATTKIKLTPDDQFVIASGIYPPQVKVYELKELSMKFERHMISEIIDFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINAVTRSKIHGLVACGGEDGAVECFDVRKKSSVGRINIPALSSEDYAQEVTSLQFDEDQGYLMAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKSDDVVYDEYKFLTKEQMERLNLTQYIGTSAQWREVAPVEQKALIEEMKKKKIDAARGSRITKGAKIPKVNRHILDSLIEEEMNADTENADKSSKKKKERKLKGYRDLLEDERFKEMFENKDFQIDEESREYLALHPQAATKEPRLIEEHFKSVSEDEQQSDASVSDGSGMSESDNDMHNSKRIRLYEVKDERHAEAFLNSTSLSNEDAVPLEDRIAALERQRNSKALDSVKYGPGGSREISFISRSGRRRKEESPSDDEGPKDFKRRGVQSLGLKQGKAEFYMFGGDRGRGRGGARGRGGRGRGGGRGRGRG >Dexi8A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:8A:28345932:28346210:-1 gene:Dexi8A01G0016750 transcript:Dexi8A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNAGQVMFKPAAVYTAEDYSGIMATNLESCFHLSQLAYPHLANASLAGGGSIVHISSTAGFLGMPGVSCMKN >Dexi1A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:1A:12669757:12671157:1 gene:Dexi1A01G0012610 transcript:Dexi1A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAKAVERLAQRLVPPVEPTPTGPHRLSWLDRYPTQMALIESLHVFNPDPAREGVSPAATIERALARALVDYYPLAGRLAVSEDAGGLHVDCSGEGVWFIEAAVRCRLEDVDYLEYPLQIPKDELLPHPLPRPTHEEENKLILLVQVTTFGCGGFVVGFRFSHAVADGLGAANFMGAVGDLARGADQISPPPTWGRDAIPDPAGAHVGSLPELDGTKRLEYLAIDISADYIDHFKSQFMAASGGGRCSAFEVLIAKAV >Dexi4B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:4B:21422542:21423458:1 gene:Dexi4B01G0019150 transcript:Dexi4B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDYDCTVEFVRSHFLVREGVRLNRQRNSNPILQIDRIDKTANRWKNADVLVFNTGHWWTHGKTARGKNYYKEGETLYPQFDSTEAYRRALKTWARWIDKNMDPARSVVFYRGYSTAHFRGGDWDSGGSCNGETEPTFKGAIIDSYPLKMRIVEEAIGRMRFPVRLLNVTKLTNFRRDGHPSVYGKAGDKKVSKRKQDCSHWCLPGVPDAWNELIYASLVLEPNPIAWTNR >Dexi4B01G0023930.1:cds pep primary_assembly:Fonio_CM05836:4B:25157884:25160073:1 gene:Dexi4B01G0023930 transcript:Dexi4B01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEDAAARRDRLRALRAAKDLLSAPDADGEQRNGTHGATEEHVEQPALPGPQDAPYEASRENVSSIEDTEEVEDDGELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAETAEPNQVENPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAEIALEQQREKEALEEAQD >Dexi3B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:3B:380966:382313:-1 gene:Dexi3B01G0000570 transcript:Dexi3B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSEGGGDGDYEYIILRGGDRFDIPEGRGQARVDPILRSSPSGHQGVDITLAMFHSAVEATIEVDISQVRGNGFSLQLSSSVSGLEKEIQLFRGIVSQSCGLRRFVVAVVRDTWMHLNFKFGFVDDEVERCASFNAKKHGYASEQLKLDEASVTVKVTWSTW >Dexi5A01G0028580.1:cds pep primary_assembly:Fonio_CM05836:5A:31810804:31814293:1 gene:Dexi5A01G0028580 transcript:Dexi5A01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLNDCLNEISRALLQSDVQFKMVRDMQTNIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWGKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMSGMFGGMGGDR >Dexi1B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:1B:24212518:24215009:-1 gene:Dexi1B01G0017920 transcript:Dexi1B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLGLACRVAGVFTSPAVAHPGSRPRGLLPRLLPSKRWSGVVRMGAVVGGGQEREEDEELRQTKEQAAARRRWETLIREQKIKTLTPREAGYTFKLTDKALLDVRPSNERQKAWVKGSTWIPIFDVDTSVDLGGLSKKVSNFVMDEIARNFVQQVEEKFSKDTDIILVCQKGLRSLAACEQLYNAGFENLFWVQGGLEAAEEEDFEREGSQPFKLAAIGGVSEFFGWTDQQRRQAAKEGLGYRLVFTGRLVGALVLVDALFLGVQRIGPLLQELQSR >Dexi9B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:9B:9075857:9082070:-1 gene:Dexi9B01G0013490 transcript:Dexi9B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSRGRSPPKGGRSKSKGPGASSSSKDESKDKSKDKSPRRSPQRSPRRSKSSSPSPPRRSRIPRRPRTRGSRHPREVVVERVIRDSGGSGSWPQLTETNYDTWSLLMKVKLEARHLWEVVEDGGGDYSDDRTALDAICSAVPPEMVPTLATKSSAQEAWEAIKTMRIGDERIRKSTAQNLRAEYEQISFLDGESVEEFSLRLTNIVQRLAILGDPEPEAKVVAKYLRVARPKYRQLVVSIETLLDISKISVEEVTGRLRAATDDDPSPTRTVVGKLLLTHDEWLERYKEESSHGASNSGSRGKRRGRGRGYGQGSGSTRGNSSPGHSPPDEPCKHCGKRGHWAKDCRSKKEEQAHLAQEEESTLLLVVSCAREEGINPQIHSPLPPQIPAAPPPTPIDGQLHLLEDKVFVALDGSGDRNPKHWVLDSGASNHMSGARTAFCDIDSSVTGSVRFGDGSLARIEGIGTMLLACKTGEHRALSNVYFLPHLTANIISVGQLEEIGYQVLVEDGMMRIRDEERRLVAKVHRNPGRLYVLDVTIAQPVCLLAHGEEEAWVWHACFGHINFAALLKMGREGLVRGMPLLTQVEQVCDACLAGKQRRAPFPQRALGRSTEALQLLHGDLCGPISPPTPSGNRYFLLLVDDYSRKDAAPAAIKRIQAAVERKSGKQVRALHTDRGGEFLAKDFEQYCAELGLRRELTAPYSPQQNGVVERRNQTVVGAARSMLKAKGLPGAFWGEAVTTATPYELWNGNVPGVQHLRTFGCVAHMKVTTPNLKKLEDRSRRTIFVGYEPGSKAYRLYDPATRRVHISRDVVFDESACWSWPAGQVEEQDFSIEGSTPPTPTAPSPSPTTFDADHDHLDINHDADAPLRYRDIDDVLGPSTLPGLAAQELEEHLLLASEAEPGSFKEALEHEHWRHAMLDEFTSIESNNTCQLVDPPPGLKPIGLKWVYKTKRDEAGLVSKFKARLVAKGYVQRQGIDFDEVFAPVARLESVRLLLAYAASEGWAVHHMDVKSAFLNGELLEDVYVEQPPGFIQKGHEQKVLHLTKALYGLRQAPRAWYSKLDASLLKLKLKGRKPGAINLKIDSQSVARLARARLGDERCFRTIVGIGMDMPLCDVLLGIAPYHVVWRKMQKLTEETDTRSHEMHPCNPASFSGAYATGTGCLKIIRSIPHPCLPVRSAPPPDQPPRTPTPTPSIAVGKKAPTPATVPTAEVAAGHTLALKRDRHGIEFSK >Dexi2A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:2A:31929140:31930375:-1 gene:Dexi2A01G0019780 transcript:Dexi2A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGDDAMHQRWLVVGADGWGQQGVWR >DexiUA01G0015550.1:cds pep primary_assembly:Fonio_CM05836:UA:32535476:32536045:-1 gene:DexiUA01G0015550 transcript:DexiUA01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSPTSTSSWVTVFAAPAASLDLVLAAVHDTLPAYLSTFFPFAGCVVLDAETKIPKVHCTNDDVEPVVADVAGVPFAAVDFTEIDLSLGLIKIPFDVSILMTAGRSPWLSRVRARRALQPLLAAATKARVGARGPTRRRGEAVGRERTMERIPPPAIEVAPLSPAPSCRGFQAPAIVARVAGGASV >Dexi6B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:6B:10598724:10603578:1 gene:Dexi6B01G0008450 transcript:Dexi6B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKTVFNVGALPPASSLFVLLETALPTAQNHFPPKQIRNPAPCPRPPDSSAPPPPPLPEPQRPPASLRVSVRMFYSHTILARKSPLGTVWVAAHLERKIKKPQIDGIDIPSYAESIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIRTAFASVQVDLPVDADRAPFESITLPPTLNLDELNMDDAICMMDTPDSHQKTRDQITLPEGEYVMIELDEDARVEPSAPGPSLHMESTPIEDERFPPFHDGFGADSNRNEEIPIDHPPDNLPVNSNAANQADQALDAPETMREAPQESPGPVLTGSILGNDDPMDLDNDNSPFVQNKAITPPVAVETSAGGQAPGRSNPNLQTPHTYDAFIDDPPINFDTQLPDFQLQPSPAPAQENEDNRRPKAQVNKRKRKRSVKFDQHIVLSNDHMKKQIDGARLDELICKRRKLPQAALDMWRFSTINRKGSYLLEPVLHGMCSNLHETYERNFPHVRGLDAEHASGEAISGVPNDGLDAPHEHQLSPNSPGTVDLLPEHQLSPNPTGNVDARTEPLPSPKSPGAAGAAPHDDMLPELPRFSPMDMPSPIRGNDTPYKTPGETPPSWLGGTGVSEIPSSGGNGTGVSEIPSSGGNYSLPGQSTRDSDHMPFLFPINEEEDDDQPEIPGLMSTPGGVSSVGTRTTGLGSMSTRTRAVARFFKDHVPSSSSGEQPGKFSLNRILEGKARKQAARMFFETTVLKSYDYIDVQQEEPYGDIEISVKPSLSTAKL >Dexi7A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:7A:25990724:25991350:1 gene:Dexi7A01G0016060 transcript:Dexi7A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHGGPASTRSGKHPYYRGIRSRSGKWVSEIREPRKTRRIWLGTFPTAEMAAVAYDVAARALRGPDAALNFPHLAASRPSLPASTSADDIRAAAAEAAAALQQPDRHQPAAAAAARGGIAPPAAAGGQQQQAGGSSGAAGPQHQQGAAGSQHQQYFLDEEALFETPQYLRNMAAGMMMSPPRLGRNSSDDSPDPSEAGDSLWSYRDL >Dexi5B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:5B:8588753:8590261:-1 gene:Dexi5B01G0012100 transcript:Dexi5B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIAVDEHLHVRVVSRRLVKASDSSIKPHVLAVSNLDLIPQTTQGSLFVIYPKPPTTDFNTIVAAFEAGLPSLLNHFFPFAGRIVTDRSSGLPEVHCNNQGAELVVGEATAAALASLDYGNLSKCLRKIQLPYGEDMALSVQVVSFACGGFTVAWSTNHVLVDASAMSLLVTAWSELMRSGTLAAGSLPNHDRSILRPRVPPSYSAAVLDEAFTPLDPRRQVNVLTAEQSFVERLYFIEASDIARLREMASGRDDGNGTRATRVQAVSAYLWKALATVVGMADARCRMGWWVNGRQRLTSSPELRAAMRSYVGNVVTVVVKEASADELQRMALPDVAAMVREAIAAPAYEEHFQELVDWVEEHKTQRYVDTASLGLGSPTVIVSAGGASPTDTDFGFGRAVLLAPTSALTARLCSGYVQTVANPSGDGSWFANAVVWPRLAAALEADEPRVFKPVTAEYLGLVLPARKSDISPPQ >Dexi2B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:2B:7596399:7598143:-1 gene:Dexi2B01G0007250 transcript:Dexi2B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYGAPPPPPAPAGPVAVVSPQFCAPYVVPLTVTEKALSLSDGDFTVTDANGAVVLRVKGAIFSVHRRRTLLDAAGQPILSMQQKVFSMHNRWEVFRGDSSNSSDLLFTVKKSSIIQLKTELDVFLASNTAEHACDFKIKGSYFERSCAFYLGNSNTMIAQMNRQYTVTNVLLGKDTFGVTVFPHVDYVFIAALVVILDEIHRERSD >Dexi1B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:1B:2067771:2068795:-1 gene:Dexi1B01G0002540 transcript:Dexi1B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIGDVRGKELLPKELSYCIKKELENPPAIERLSSGLFQEVIITNTIPLKEEKSFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >Dexi5A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:5A:3179674:3181372:1 gene:Dexi5A01G0004240 transcript:Dexi5A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTHNNNLFMFMALLFFPLLLLIKLRKRAGNINNGVKLPPSPTQLPVIGSLHHLAGNPFVHRALADLARELDTPLMYLKLGEVPVVVATSPNAAHEIMRTHDVTFATRPWSSTMKILMADGYGLGFAPYGDHWRQLRKISHAIKQHEEARATMAASGKVAEEGDLVDVLLRIQREGGINVPLTNGTIKALIFDLFGAGSKTAAITLQWAMSELIRHPEMMKKAQDEVSNILNGKPRVTEDDLGEMKYLKLVIKETLRLHPAAPLLIPREARETCKVLGYDVPKGTRVLVNAWAIGRDPKYWDDAEEFKPERFESGAIDYKGMNFEYIPFGAGRRICPGILFAQANMELVLASLLYHFDWKVEAGLEPTELDMTEQMGLTIKRKNDLRLYPIVRVPPPQFMP >Dexi1B01G0022680.1:cds pep primary_assembly:Fonio_CM05836:1B:28373359:28377605:-1 gene:Dexi1B01G0022680 transcript:Dexi1B01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYCSGSGHTAETDEARRLLAQIGVAAPAAEMAIRCYWPMVTAAVGFRLVLVLFGGDLHLASRPEVSTPLTSLRRLAEGYWLKQESMSPYSGSMYHGSPLLLSVLGPLTNNRSGSKHPHVFCRSLKRLGLTKAVNDSGLAPLAAFGYVMATHLSLYPAILIVPVILLLGYGPDAPPTKVFILKSSSASKSDMSEYDKQTSLKVQRFSWMTVLHFIFWLFIWSCYVLLLSSIILKKVGGLNEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTGIVAMLKSYPSAGDSALYLGLLGLFASELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVGSMIKHDRKLRLLVTS >Dexi5A01G0030600.1:cds pep primary_assembly:Fonio_CM05836:5A:33513416:33517022:-1 gene:Dexi5A01G0030600 transcript:Dexi5A01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNFGPQERILWPASVLAGIVMCGAVYEMTCTVSSRCFRGYSRLSHMQKVEWNNRGFSTFHALVAAAISFYFVVISDLFNEDVNGIIIDRKSWLSEAMFGVSIGYFLTDLAMILWYFPSLGGKEYLLHHGLSMYAIGLALLSGKAHMYILMVLFTEVTTPFVNLRWYLDVAGQKTCNLYLYNGVALFIGWLVRSIFTLGFYSLVAVPSTVSALNVFWFWKILKGMVKTLSRRRKHSENGKTD >DexiUA01G0026860.1:cds pep primary_assembly:Fonio_CM05836:UA:57410274:57412837:1 gene:DexiUA01G0026860 transcript:DexiUA01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQQQQLRRKRNRVVQVQDGSDIRALVENKEEFAKFVDDRFRKLDADGDGRLSVKELQPAVEDIGAAIGLPARGSSRQADHIYAEVLNEFTHGKQDSVSKSEFKQVLSDILLGMAAGLKRDPIVILRINGEDLNEFVDSPRYEPEAAAILSQVDSRNNGSLRQCLLIALQQLTVDHGMPPASDSWVLENIVEPAMQELSFDQLDQPVSQEIFFQEFKKFLKIITRRLQQHPVIVAHTENTFDGSGIRRLLSNKFEYDKLLDSVWRGVPKAHKEKTSKEYLRVVVDRMADSARLPPYGAVDQVDAVVNEAFKMAQADDGKAVDEAEFKKLLTEILGAIMLQLDGNPISVSTNTVVHEPMSASPSLLSPTPLSPMVSSPSE >Dexi3A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:3A:4917521:4918540:-1 gene:Dexi3A01G0007250 transcript:Dexi3A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNHYALLRVPGPRLQEVDISHWPPAMAAEIGRAGVGIALSCPQSAQHAGAAPVEPTAVVPRRPGAENGGSGGGTADGSMPPAGAKAIAMADPPRRDAGQQPQTGRTLKKISLLPRPPDRTAHAPVTAARHSSRSQQQARAPPQAPAPPRASCCTVVVCPRAAPCQPQDSCASCRPSGHPRGCHGRRSGAAATHVPTRASSSSAATGEWAPACDGTGCWIFRCR >Dexi3B01G0032600.1:cds pep primary_assembly:Fonio_CM05836:3B:34978434:34981517:1 gene:Dexi3B01G0032600 transcript:Dexi3B01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAASPLTCHHLGARSRLPSLSSFSLRRRSPLASAKPISLSHSLPSKPLFSPLPPTTSSSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKPLFSPLPPTTSSSSTPRGLLPPVAASASAAPASAPPPKPALKGAAITPLLATIATGVLIWLIPAPTGVPRNAWQLLAIFLSTIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVAAFGSSTLGLGYSLVFAEALLAPAIPSVSARAGGIFLPLVKSLCEACGSRSGDGTERKLGAWLMLTCFQTSVVSSAMFLTAMAANPLSANLTAATIGEGIGWTLWAKAAIVPGMLSLLLVPLILYIIYPPEVKSSPDAPRLAKERLAKMGPMSKEETIMAGTLLVTVGLWIFGGMLNVDAVSAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGMSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPSLFAAMVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGFWWKLMGLW >Dexi3A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:3A:1125784:1127270:1 gene:Dexi3A01G0001670 transcript:Dexi3A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTKTRKLVKGLAKPAPTWLKAMEESVHSYPYLRISVSLAVAPPVTFPRVDGKIKKIELPEDVYIKKFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVSEDYAMAVADIEYRKEKKAKKKAYKELKEIARSEGREPPPDPYPSAIKEIQAEEKKYVMDRFCNPKIIEIANKMKEERDMLLQNRAESGQW >Dexi9A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:9A:1652212:1654483:1 gene:Dexi9A01G0003180 transcript:Dexi9A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVWPLSAVAGLIPASLSLTLLLATLVSILALGAAAVFFEHIRKIGCMHSLERSAISDAFFEDPNSLNKVPCPSIIDPPEKYISLIVPAYNEEHRLPEALTETLEYLKRRSAADKSFSYEVLIVDDGSTDRTSRVAFNFVRQHRIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVYAMAQKVKSGPGTSSSPQKVSDVEVAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMVEVSVNWTEIPGSKVRMTSIMHMLRSSL >Dexi3A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:3A:2809669:2812405:1 gene:Dexi3A01G0004250 transcript:Dexi3A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVVVGAAPVSCGVAAAAWMPTFGLECDDARSPARLTVAGYGHEVAAISMATAEATVLLDASRACYGDGRVVTLQRERPMALNGSAFLFSSMKSKFVTVGCPELGYFVDDGGSYVTGCMWVCRASSGTAPPLPGSCRGHDGCCQNNIPLGLDTYRPHLGSLGAGEVEGTLMANTTGCSYAFMVDAMWFWLAGPNFNRTGDFAVPVVLDWAIRDAPTCAAARRDTAAYACRSAQSVCLDSGNGPGYICNCTDGYQGNPYKIDGCTDVNECELRDEFPCYGVCVNTAGSFTCTCPKGSSGNATIPDGCRPDDKFTLALKAVTGVSVGVFLLLVCGFSAHLWVQKKRLLRAKRRFFEQHGGVLLQQQLGSLASSGVEAPILVYEFVSNGSLHGHIHGGAGGGELSSSSSLPADARLRIAAEAADALAYIQSSASPAILHRDVKSANILLDGEFNAKVSDFGASRLAPEDGAAVATLVQGTLGYLDPEYLLTCQLTAKSDVYSFAVVVLELLTGRKAFATEDNEEGEVGSLAFVFLAAAQEGRHREIMDARVREEVAVEVLDEAAELAVP >Dexi5B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:5B:1842899:1847682:-1 gene:Dexi5B01G0002850 transcript:Dexi5B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSSAFDDGQRRATPPPPGKLSAAVRREEEARKQQQRQHARAGSGREEAMERRRAMMAMAAACQVRSPVPRVVEAEQVAAGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGVAGGKQHKHDPERRTRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPCKEDSASGFRIEPPRPTPVTESSEDSQRAYPTRILHSGPLVNQNQASKGGGVKNGDIQVPGAVNHLVVASTRSSLRSDDSSRTMVVQAEALAHGRRLSESINEHFNNSGKYDQVFPKKDDRSSRADGAIGYGSKGNKIHHSGPLICPSGNVDEMLKENDRQIQEVFRRTRVKSRARRDHGHHQGGGIRPGDFGAIPVFPSSRSSYQAVQQSGA >Dexi5A01G0027090.1:cds pep primary_assembly:Fonio_CM05836:5A:30648812:30651494:1 gene:Dexi5A01G0027090 transcript:Dexi5A01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPRKRRRRDAAAAEGPDCFSRLNEDLLRSILSNLPTRSAATLAAVSRHFRREIPALLDRVDSLTLHEPHFQDPLRATPPVLLRRLALAPHRAIPPSTFRPILDDAALHGLSELAFRLTRRARLPKNVLSVKSLAVLDLDNCAVAPWSNVACPCLRTLRLNRVAILQELINKILASASCLETLEMVYCTGLGTGRSAGCTVESSSVRNLVFRPTRKLEQIIIRASALRTVTLYTRSRVKRLELAPAPKVRKAYLHIAKLPAKLEAFRVRPFLDAGVKLECLTLRGNSVKVLSSEFKGIPKLTVMFQDLRILSVSLNPSSIEETYFLLKLLESCPHLENFSLSAAGTGEDMDSAGTDEDMEQANNMPSTDHKERLSSISCLTTSLVQFKFRGFKPQEFQKELMVFLLTRGKKLKKVGVEFEKSQADAVRKILSIKRAPTERASTKYGNHYMELEYS >DexiUA01G0015140.1:cds pep primary_assembly:Fonio_CM05836:UA:31798930:31801461:-1 gene:DexiUA01G0015140 transcript:DexiUA01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANRAAFVELQARMIDTTGKIKQLKSQMRSKEGEKKRAYLTLEELRQLPEDTNTYKTVGKVFILEPKSVLLNEQEQKFNDSESAIASMQTSKEYLEKQIGELENNIKELLQQDPGLARQILSMTVQ >Dexi2B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:2B:28534578:28536576:-1 gene:Dexi2B01G0018200 transcript:Dexi2B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAGGLEIAGGGGGAGGRVGSCGAIGRAVSFRCVSMLLLAAGVLVPVLFLLVPSHHEGYISDDPDVLAGATINLLMKSTFSVSAEIKVGFTLEKPVSFLTSHIDKLGDDIFEEIGVPNSKVSIVSMQSLTSKYSTNVVFGVVPYPKDASISLPALSVLRSSLIEMMLQHVSLSLTPSLFGHPSSFELMKFPGGITVIPSQPGFTWANSYPLFNFVLNNSIYQILGNLTVLKDQLKLGLNLRSYEVLLI >Dexi9B01G0028060.1:cds pep primary_assembly:Fonio_CM05836:9B:30693135:30694870:-1 gene:Dexi9B01G0028060 transcript:Dexi9B01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGMLGAVLAVAMVMVPWLWAALAHLVWRPYAVARAFARQGIRGPPYRFFVGNTVEAKAMRAAGVRESEALDRGSHDIIPRVLPHYRAWASRYGKVFVSWSGTTPTLCAGRLDMVKRVLSDKTGLYVKPDPGPTIMSLLGMGLVFTEGDDWARHRRVVHPAFAMDKLKLMTGAMAKCAGEVIRAWEARVAAMAGGEVVTVEVGRQFTELTADVISHTAFGSSYREGKEVFLAQRELQHIALAAVNSVRVPGAGYLPTKANVRRWRLERTVRDTLMGIIGERLAAATEAGRRGYGTDLLGLMLEANAGGEGGRSVMSMDEIVDECKTFFFAGHDTTAHLLTWAMFLLGTHPEWQRRLREEVLRECGAADTPLHGDALNKLKLVTMVLYETLRLYGAVNMIVREAREDTELCGVKVPKGTVVAIPIAMLHRDEEVWGADAGEFDPLRFRDGVGRAAAHPSALLSFSFGPRSCIGQDFAMLEAKATLALILRRFAFEVAPEYVHAPADFLTLQPLKGLPIVLRLLDTEKPVNLTTID >Dexi2A01G0024810.1:cds pep primary_assembly:Fonio_CM05836:2A:36550802:36554729:1 gene:Dexi2A01G0024810 transcript:Dexi2A01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVTGVVAKLGELAAAEATALLRVDDEIRALRRKLAYLQALVRGADRQRRDRASELLLLWLHETREVAFEVEDAVDEFHLRVEAFHLRRRRGSCWFWGLHRDAVCLVQGLVTQIFVRHGLSNQISKINERIDELNQNKETYQIESSPSEIWSSSSVEVDPEWYEDKYVMGSRQYEFETLKNRIMNKEGNISHRAVISLLGERGIGKTTLAKKLYNDPDIMKHFEVHAWVCLPPHIRFSDYVETMYTQVSSQVPEVSGDGEITNKELMLTQNLQNRTYLVVLDGLISISDWNSLFDVLPDTNGSRILLTTHLNVKEINHIDPQTAPIELPYFDMKHGEQLFCQRVFGGKAPPQTFWSKGYYEKVHNISTGLPLAIAVIAGVLRSKVMPMEWDNIFEQLESNGQPKPVRSIWSLAFDDLPHYLKSCFLYFASVSENVILYPDRLVRLWIAEGFVVPKKAETLEDVGFDYLKELVSRGLVQVMEKDAGGCIKLVSIHNLLHAFMESEAQESCFLEIHHHANVTNPNAVRRLAIQNYVDAYVNVPNVFPKLRSLLCDFAEDQRSSSSFGELQPQSLWGNLAELCSRACSISENVGSNTIHGLHFLRGSRFLRVIDLNGLKMQKLPDEIGSIIHLRYLGIRNSNLEELPSSMSKLDNLQTLDVRRTNVGKIVDEFWEIEALRHVLAEKMLLPNCSVPLNNLMTLNGVVPSDLWGEKKCPLNNMIYLRSLSLSSISAPHTTALSDALRKLEYLVYLNLSGEVLPSNMFTATSMRRLQVLILHGNLEGTNDLLGDRYVLPNLTVLHLHKSELSQQFVDKLALLPCLAEMELLDISYSETTMSFHDGFPSLTNLKLKEVSTLQKLVIGRGVMPMLSILGMYDCESLKTLKALNDLEHLQEVAVYKMPEIIDNIKLEDEKLFRKIKNLTTPMITTDRVFPGYFVRTAHIPDEEYIADDNASCFSGMEGAGPGVGKAVDNN >Dexi1A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:1A:22256556:22264240:1 gene:Dexi1A01G0015280 transcript:Dexi1A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEVGHGGAHPVGPASAKAAQAASAAADRFLRSRNAGASTQIELSLSASNLGDQEYFPKYQFEVLQPLVCFSILMLILSAIQVLKLEEQEFLGEATCLLSEVVTKRDRLLTLKLGISEHNFPNPSKFGELIVQAEESPGSKAIMEMVFRCSDIEIKDLLIKSENPLIIECFNFSSNGKHDLVGKIVKSVAELENMYHRQNGENFFVPTSNAHECHSKEVLKTQLHVEKYVESNRHTFLDYISAGCQLNFMVGVDFTASNGNPRLPDSLHYIDPSGRPNVYQKAILEIGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVDGIQGIMSAYISALRNVSLAGPTLFGQLISTAMAIASQSLADNQQKYFILLIVTDGVVTDFQETIDAIIKASDLPMSIIVIGVGGADFKEMEFLDPNKGEKLESSTGRVASRDMLQFAPMKDAHGSGVSTVQSLLAEIPGQFMTYMRTREMQTV >Dexi2B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:2B:26943187:26944749:-1 gene:Dexi2B01G0016650 transcript:Dexi2B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAVAILMAALASLPILRRLLFGSSAGDKTKRRRRPLPPGSFGLPVVGQTLSYMRALRTNTAEEWLRRRVAAYGPAVPPRLPDGHLVGPSANKFLFSGAALTTTSSESMARMVDRRTIRDVAGREHRRVRAMVVQFLRPDAVKRCVAAMDAEVRHHLDAEWRGRGSVAVMPSMKSLTFDVMCTVLFGLARGSDHDAVRRELSTEFQQLVRGISVVPLDLPFTTFRKCLAASRRGRRAVAGVIEERRARLARGESSPPADDVVTHMLAEGLPDEDIIDNVMFLMIAAHDTTAALLTFLIRHLESNREAYDKVVQEQEEIARGKAAGEALSWDDLGRMRYTWAAALETLRLVPPVFSNLRKTTEDVEYGGYLIPKGWQVIQAVNLTQWDPAIFPEPGRFDPARFENPSAVVPPFSFVAFGGGARVCPGNDFARVETLVAMHYIVTRFRWKLAAGCDGSFSRFPLPYPSQGLLIDLQPIAGN >Dexi9B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:9B:4694044:4699530:-1 gene:Dexi9B01G0007660 transcript:Dexi9B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVFLRSLPTSRLLERVCDGVRGSPGAVRDEEAGGSSGRSSGHPAGECYACTQPGVPAFHSTTCDQVHSPGWDADAGSSLVPVQAQPASSTPVATSAAVQHAGAAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAVDPLFFYALSIGRAGQPCLYMDAGLAAAVTALRTFADVAHLGHVLLQFRLAYVSRESLVVGCGKLVWDARAIAKHYARSAKGLWFDLFVILPMPQIAGGCWYVLAIQRIASCLQEECKANNSCDLISLACSEEICFHPPWSSNTNGFACDTNMTSFSSQPNVSTCLSGNGSFAYGIYLGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLAPTSNGIEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAVTGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFILQGKLRSTQPLTKGVVATCMLGAGNFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRFITEHFRYKFANEKLKHTARYYSSNWRTWAAVNIQLAWRRYRARRMDVTATVAPPMAGGPEDGDRRLRHYAAMFMSLRPHDHLE >Dexi2A01G0032390.1:cds pep primary_assembly:Fonio_CM05836:2A:42878269:42885860:-1 gene:Dexi2A01G0032390 transcript:Dexi2A01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCCVTGAAGYIGSWLVKKLLDRGCVVHATLRNLEDEKKTALLRALPGAAERLVLFQADMHDAATFEPAIAGCEFVFLVAAPMMQDISAGRSKDSIEGTVTAMRTILEQCERSKTVKRVIHTGSVVAASPLKVDGHCFKPFVDESCWTPLNLSYGYSNEILNAYVSSKTLSEKELLRYNDSPSKASFEVVTLLCGLVAGDTVLPYAPGSIRSVVSPLTGDEVWHGGLKFMQALLGAVPVVHVDDACEAHVFFMECPAPVAGRFLCAAGHLNMRDIVDHYGRKHPELKLRIEEVVGEGVSVQPAGTSKLMDMGFKYSPAGHGASLFKPTATGCGHRRIRAGLCALEQERGEEAEERRKGMGDDEGKKKLSGGPVVCVTGGGGYIGSWLVRKLLARGCVVHATLRSYGDEKTGMLRALPGAAERLRLFQADMYDADTFEPAITGCEFVFLVATPLTHDPTSTKYKNTTEAAVDAARIILRQCERSGTVKRVIHTASVTAASPLREDGTGSYKDFIDESCWTPLNLSYGFSNAQLEDYVRSKSLSEKELLSYNERESRAFEVVTLACGLVGGDTIQPYLGLLSSISMIVAPLTGHAVYHNTLLFLQSLLGSVPLAHVEDVCEAHAFCMDQPSMAGRFLCAAGYPNVRDFLDRFAAKYPDLKIRLEQVTGEGVRVRADTTKLEDLGFRYRYGVEETIDCSVECAKRLGAL >Dexi8A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:8A:2144519:2147722:-1 gene:Dexi8A01G0003070 transcript:Dexi8A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMMRPVLHLMVGLVLYGVAEEMTVPALVHKVTAALCPVTSSCPEALYRTGLPPIFGVLAWNNSKISVYVYLVLRTLSFMIGQGTIFCLSIAYTADTVEPSRRATAFGFMTGVFSASHTSGSVCSRFLPERWIFEVSIALLICSTLYMKIYLVETIQRAPSIPSQHSSMSSLLARLPQQRWESIKENISIVKNSDTLRRISYVAFFYKLGMIAISDVLMYYLKSVFGFDKDQFSEILMVVGVGSIFSQILILPFLSHIIGEKGVLCISILASIAYVSKAFLSTIFYIFYLLHFPFASILLVPYFSSSLGVIYILAKPAVNICNRFRGSTLNRSGKRARFYCNCAICSFIVDLLAPLFMNPLTSYFISPEAPFDCKGFSFIVASFFLAISLCLAWTLNPESKDDKCRKLVISDEEVVQAPLLVHRPKP >Dexi1B01G0026740.1:cds pep primary_assembly:Fonio_CM05836:1B:31553353:31554733:1 gene:Dexi1B01G0026740 transcript:Dexi1B01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRPIKFGPMGREIAADEHGHSTLVVPVLLFLSQAADHHGLLTTLPHGGVHARWPESKDWLLADAATCAATVLSATDGWFFPTGAFTSA >Dexi8B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:8B:7603865:7605187:1 gene:Dexi8B01G0006510 transcript:Dexi8B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRTEASAAAAAAAARSIFSLERRHRGGDEDPRSMDLSGTQGELAIPMHAYAGGSAAAAGGHVLQLHHHHEHRNSNNNGQSSSPALRPPSPPPPAVVSAEDQEMVESSTKTTKRVGAVVVAGGGAAAAAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHHKDLDDADDVDIYSSSPAAAGVPFARRHRRLLAPTAMAPPHHSKIGGGGLLVSSGDPYGVGAYAAAARALPPAAAPPGHHHQYVMPLSMMQQQHHHQVHHHTHTSESDEMDGGSGGVGGSSSSGKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDGMVAAFCQEIGVKRRVLKVWMHNNKHNLATTRRLEEGAAMASPPDMAMGVGAAAMASSPPPQPAPMMPLQMIPSAGVMAPPPPPPMNRGGGSPPSLKLE >Dexi3B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:3B:10326377:10326589:-1 gene:Dexi3B01G0014290 transcript:Dexi3B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVFISFVLFILLSPGLLFQMPAKSRFIAFGNFHTSVASILVHTILFFALDAIFLVAIGVQIELGS >Dexi4A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:4A:1766343:1768319:1 gene:Dexi4A01G0002560 transcript:Dexi4A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCAEMAAADEEEVGEVGTMGRKRRSSGGRSARCGTRRVASISRAMAATCAEMAAADEEEVGEVGTMGVRVRGERKARRARRLVEKRERRTRRLSSAGEQRHARPRRPPRRDDATFRDTDPMARRPGGRRVG >Dexi4A01G0002560.2:cds pep primary_assembly:Fonio_CM05836:4A:1767012:1767751:1 gene:Dexi4A01G0002560 transcript:Dexi4A01G0002560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSASSVPACPSADAPPSSIAVEHPVVEGEAVRRREQQREQAPRRHELVHGLPGVVEHRGDHGHPALPHPCGEVGGAAGDVEADPDLSSRGGEAQDVVDEELVVVARLDPAPAVGAHVGGRERRAGPTGKASAARQRFAALGDEAVREGVVARADGVRRVGGEGREEGERGGGAEAGVRDGGRHVGEPRAGVAAERERVRGEEEEELRWQVGAVRDEAGRVDLPRDGGHLRGDGGCG >Dexi5A01G0027020.1:cds pep primary_assembly:Fonio_CM05836:5A:30591504:30594244:-1 gene:Dexi5A01G0027020 transcript:Dexi5A01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEEQGLNRDSPVQPLGIWRRAITSAEALAELNAKWEVMRAAEEDSDEEDEEEEDYYAFQASRFKETWDSAFYGSFDETTKIPPMRFTDKKPEPYHSAYPRTTLQIFSLKVADIRGLQWPLHVFGKVAVRDTVDHKRNMIFDRTRENCQILTSEVPYLKLTGPTRAVVLLDPATFDVDLKVKGTTESEDKHLSFLAVPFMSSTPLNSMPLRRYYTSKLSTMEFALGVVVYSVEASIIVQVTRGSWPSGFRAQISAHTSSIIGPEAILLDSGDDKVPVAGDGTITLSRSVASVEILGKLKISVKAWQGSEIVAHNTKRFRSKKAGRSVGTLDVGFCSMDVTVAWSLVSSY >Dexi5A01G0021990.1:cds pep primary_assembly:Fonio_CM05836:5A:25868096:25869427:-1 gene:Dexi5A01G0021990 transcript:Dexi5A01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHWLLRFLFGPVPVYFSALAILIVLTNAQYFGLVGVGVAPRAAKLASSTPVVSVMKYCDIFRGEWVPDREAPYYNHKTCHMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPLQFLQFARGKSLAFVGDSLARNHMQSLLCLLSQVSYPKDISANPTDQNKVYHYRAYNFTISMFWSPFLVRAREPDHDGPAHTGHHSLYLDEPDEKWVSQISRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRRAWRVALRAINDLEQLRGRVIVRMLSPMSHFENGTWDQGGDCKRTQPMRANETAMEGRDLHFYTAQMEEFRAAEKVARDKGRRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDMLFQMLLAE >Dexi2A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:2A:3150617:3167810:-1 gene:Dexi2A01G0003640 transcript:Dexi2A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFETPAAAAASRRAPTFQANPAADARQLLGALLPRRPALRHVLQAHARLAVLGLATARALPYLLAALPRLPPGGAEACYSYPLSLFCHSSAASAFASNHLLRSLPHPLPLRLFPRLPRRNPHSFTFLLASLSNHLLDADHAVGSSSASRFLGSHVHALAVKAGAAGDLYVRNALVHFYGVCGNVEAMRRVFDELPLVRDVVTWNAVLAGYVRVGMMANARELFDGMTVRDEVSWSTVIGGYVKEGEPEVALRMFRNMVMQGLRANEASIVTALSGAAQLGLLEQGKFVHEVVRRAKMTVSVNVGAALVDMYSKCGSIAAAREVFDAMPRRDVFAWNSMICGLASHGLGNDAVELFEKFVTEGFSPTSITFVGVLNACSRTGLVDKGRWYFRLMSEKYGIDSEMEHYGCMVDLLSRAGLVQEAIDLIEGMHIVPDPVLWGTILSACKRHGLVDLGITVGNKLIELEPAHDGHYVLLASIYATAKKWDEVRKVRKLMSSRGTSKSAGWSMMEARGTVHKFLVGDMDHKESVQIYNMLGIIDRRLAEAGYVPNVSSVLHDIGDEEKIHAIKVHSERLAIAYGFIVVEAGSPIRIVKNLSVCGDCHEFSKMPSGAHIAAGDPRLPPPPRTPPRVPTVMTTSPPPPPPAEQQQQQEEEEVLVPHQEELPNGVQPMEVVPAEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGALPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEDHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKKALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKAQIGKDIYFDLVDHDKVPSFRIQKQMTFTQFKVGQLKELVNKAHNAELKLFLEVELGPDLKPLPLPEKTREDIFLFFKLYDPEKEELRYVGRLFVKASGRPQDILPKLRMLAGFSEDDDIELYEEIKFEPNVMCEYIDNRLPFRSCQLEDGDIICFQKSPKPDSADRYRFPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHQVQNFGEPFFMVIREDETLSSIKERIQKKLKVSDEDFSKWKFAYISLGRPDYFEDSDIVATKFQRNMYGAWEQYLGLEHPDTAPRKAHTVNQNRHSFERPVKIYN >Dexi3A01G0028860.1:cds pep primary_assembly:Fonio_CM05836:3A:29803270:29805978:1 gene:Dexi3A01G0028860 transcript:Dexi3A01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLLHTSPHQSLTTVLSTMATAAPLLLLMLFHGLLALSMALGVHGKPGDHGNLTRQSFPPGFVFGTASSAYQVEGNTQNYGRGPCIWDTFLKYPGTTPDNSSANVTVDEYNRYMDDVDNMVQVGFDAYRFSISWSRIFPSGIGRINKDGVDYYHRLIDYLLANHITPYVVLYHYDLPQVLQDQYNGWLSPRIVEDFLKFADFCFMTYGDRVKNWFTINEPRMMAAHGYGDGYFPPARCTGCHFGGNSATEPYIAAHHLLLAHASAFCTMLIMPETCFQARQTGKIGILLDFVWYEPLTPSIDDEFAAHRARMFTLGWFLHPITFGHYPETMEKIVMGRLPNFTFEQSAMVKGSADYIAINHYTTYYASNFVNETHVSYVNDWHVKLSYERDGVPIGKKGYSDWLYVVPWGLYKALLWTKEKFNNPLMLIGENGIDQSGNDSLPGGLYDKFRIEYFEKYLHELQCAIHDGANVFGYFAWSLLDNFEWRQGFTSKFGIVYVDRSTFVRYPKDSARWFRKIIKNES >Dexi5A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:5A:8252788:8253171:-1 gene:Dexi5A01G0011000 transcript:Dexi5A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAKMMAAAAMLVLAMAAATAEARNIKTAEKKDDAVVQPQTFPPFDRLGGSSSIPGFGGLPGSGGGSSMPGFMPGGGGGLTPGFGLPGSGVIGSMPLFGGSPFSGFGGMPGSPAAGSVPEHAQKP >Dexi4A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:4A:10783308:10784047:1 gene:Dexi4A01G0012230 transcript:Dexi4A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFADPANYFSVACLAFGEMDPASAFPASVIGNLAQRSAEMIYDVQGGKLGFAQGDGSRTPATVTPSPPSNIACRSQASQAPL >Dexi2B01G0036570.1:cds pep primary_assembly:Fonio_CM05836:2B:43344626:43345220:-1 gene:Dexi2B01G0036570 transcript:Dexi2B01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGATAARALKTVLQGILMSSDGEKINSMNLLMYMAPIAVVLLAPATIFMEDNVVAITMELAKDLNIVWYLLVNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTRMLGYTLTVIG >Dexi4B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:4B:6379461:6380605:1 gene:Dexi4B01G0008910 transcript:Dexi4B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDAELASSRWWVKTDSAVASTCSCRPAAMCARYATLHARSVARFDWARRRRLLLVSRSSIDPCHQGVRVRLQAALQDTDPVMKPMRGGTTEEHGELVGQGLCPAANPILDLRHWLRKRAKPAQTVRSPVKEEDILHGLPVMVVVVSGHRPFPAAHGLYTSLPLAWHPLWGEVNWPQPQPTSPPTHVRSKRESSPQIKLPGGKPKEMQKKSSGSCGCSAPITAVPTASWSAPIKKMAIDPSGGGRGEDSKDDTAGLGLREARTQLN >Dexi6B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:6B:26041934:26042582:-1 gene:Dexi6B01G0019250 transcript:Dexi6B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVSVTRIWGVDGERRKLERQLVYVQSLLADAEVKSETNHAVRAWMKALKAVAYQADDVLDDFQYEALRREAWSQRSKVNKVLSNFTSKNRLVFRHKASRDLKNVLQKITELVAEMNTFGLVVCAEAPPQALPRHTHSALDDSTGVFGRDDDKEVVVRLLLDQQDQQGMDVLPIIGMGGVGKTTLAKMVYNDHRIQKHLALRVRKL >Dexi7B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:7B:21107955:21112309:-1 gene:Dexi7B01G0015030 transcript:Dexi7B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCRHRREGERRGGGFGSFLLGLNKRTYEMAGVETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKAFICIALILGDGLYNFVKIVSFTVKSLVDRSRLKNAKKEEDIPVLDDIHRNEVFTRDSIPTWLALCGYLALSVVAVFAIPLMFHEMKWYYVVIAYVLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMITAQAIGTAMGCVIGPLTFFLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWIPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMFDRSKASLMVPAVASGLICGDGLWIFPESLLALAKINPPLCMAFRSTH >Dexi8B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:8B:24211922:24212778:-1 gene:Dexi8B01G0013800 transcript:Dexi8B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRCGITGGGAEVESENKEDNSVAERAPEAEKRPSKRLRSGSAPNRGLPTSNRPPPAPNRGLPASNRPPWHQIEAWRHRIDLFGTKLSLSGVESDSSPSLFPSVDLHRPRGYPAAATPATRPRPRRQPLLLRGGEASNARRRASRPKDRAARPVEASGEVERASGWRRKRSMRTHVCVVWRDADISLQSSLFQRYGS >Dexi9A01G0036110.1:cds pep primary_assembly:Fonio_CM05836:9A:40656790:40657775:-1 gene:Dexi9A01G0036110 transcript:Dexi9A01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRINVAASLLLAVALLLSPSFCAAAEPIKTTPTQWSFHLPLPDGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAHSANYRKIPLCTASVVPSQDTESICGRPLGLQFFAKTGDLYIADAYLGLMKIGPNGGEAEVLATQADDGAAFHFVNGLDVDQATGDVYFTDSSATYPRRFNTEIMMNAAATGRLLRYDARTKQVTVLRADLPYPNGVAMSSDRTHVVVAHTYELLADLPGYPDNVRRDARGGYWVALNQEKANLVGVRLGANGTEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVVY >Dexi2B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:2B:19909453:19910866:-1 gene:Dexi2B01G0012840 transcript:Dexi2B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISSLVTPPALHRRCRSSALASISAPTSLSFRAFAAPQTRRWGLALRVAASSAVLEAPAQLAARKLYVGNIPRTVTNDELRDMFAAHGTVERAEVMYDKYTNRSRRFGFVTMSTVEEANAAIEALNDTEVGGRKIKVNVTESFLPNIDRSAPESEPVFVDSQYKVYVGNLAKTVTTEVLKKFFSEKGQILSATVSHIPGTSKSKGYGFVTFSSEEEVEAAVATFNNAELEGQPIRVNRA >DexiUA01G0014750.1:cds pep primary_assembly:Fonio_CM05836:UA:30934796:30937855:-1 gene:DexiUA01G0014750 transcript:DexiUA01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKNSALARTDAGQSSAATRPASGSGGADAAKARRRVAFPSTRRRRLAPPRAARRHDAVGAPPRAAERDSAPLRAKGTVGDPHDVASSARCPSSVRIHGGLLAPRRRARSLPRLSTLHRASHSLHSLARTTSEAPRAFPLLLPPRDAQTEPVFIAKFVAPTSPFPNPLRTELVHSPSSISPNFPQHIARSPARIPDFPQIEFSGRRTTSTSSPYFEPSPSFLEHAISFPKLCWCSRTSSPSANDSELAGVEAAAAAPPPPRRRRNPVIPRPPNRPQMIRGEPRIIFPHFPEPSSPWSQGPFFIFFLCPGSSAQKFRTCSRKGAIPDGNYHLIPADEEGVPEPGAGADVTNPEANPQSEQEGKPRSMT >Dexi9B01G0023860.1:cds pep primary_assembly:Fonio_CM05836:9B:19292284:19294565:1 gene:Dexi9B01G0023860 transcript:Dexi9B01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATADGDPSAGHLPGSQEDWRQEDDESEEEEEVESDDEAGSEVSSLSDRHELDAGSDEDPTFDPDADGDLEVEAVLRARMSRMSISASARKGRLGSGVGLRFFPSRIGKGPAVPKMGKEEIDLLALVDRLKQDGQLEKLKVYECKAYLRMHKLRLTGNKEVLLDRIREHIEVKNDGEEKYPVPSFVLNCKGDACKGDVVMFEQNIYRRKKGAPRKVKGRLCGQRTNAGRIIKESYGIAKQQHTFTIEILWSKGYKPWPPLHPLLIKGRNLYKDKTMRQPWPDEEERNKVIKEKHERGDLARKTRATRIHEKENEKLLRLNR >Dexi4A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:4A:21018230:21018659:-1 gene:Dexi4A01G0017280 transcript:Dexi4A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGWPRAGGNRRGPARLADSFLAAAAARRRVRHGGIVGSIGRRRRRSRGGGRGGGTMGDKEASLAHTPTWVVATVCLVIVSVSLVAERFLHYLGKVRMCSTRAVVPCFWWIRL >Dexi2B01G0025600.1:cds pep primary_assembly:Fonio_CM05836:2B:34885285:34886797:1 gene:Dexi2B01G0025600 transcript:Dexi2B01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVQLTGLLGAVSSPPLAQPHCSCSGAKTKQSCSPLRAPPRPQLVRRRLRAVQVGGEPSAAGAAPEEAQEEPSIDFAFVAPRLLPDGTPDVHYRTARGGQKLRDIMLENYIDLYGPYDKFLLNCSGGGVCGTCIVEVVEGKEMLSPKTEVEKEMLKRKPKTWRLACQATVGNADSTGQMVIQQLPEWKVHEWDKQK >Dexi3A01G0035370.1:cds pep primary_assembly:Fonio_CM05836:3A:40680015:40682286:1 gene:Dexi3A01G0035370 transcript:Dexi3A01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRPPHPKPPAQAAAASTPREHGNPPRSSGDLRPRRQRGVTQGPEHRASSHSPPAAESGGATARRELQEAREETAGLELTANPRVARPPWLDRGGEWSGVAVSCPYRAPLETRDDDRSGRTRRPVTGGGQHGHFAPMRRGPLSPYEDSSPFPTPHGERMTAGGTHLSVCLSGSAACPLPPPRRLVTSSDDSAGRLWQRLGPSVTSRSVRSLASGAPPWDHRAPLLRDGGWRRAEPTRRDLTLLDVGRRRDGSSGARPESGGRRPPCRAVRRWPLGTGMHACLAGGARPTRRWAGDGRVTWRAWMGCWVVGCCEEPHMLGRPRYGRSDDETTGATWPDATW >Dexi4B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:4B:20802484:20805323:1 gene:Dexi4B01G0018470 transcript:Dexi4B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRAPPPLPLRCSPVLALLLMLASSPSSANGRAAPSSLGATTFAEHIRTADAAAMVDAASGANGTVPVAPAAPAPPPVVIIVERHHHFHRELVIASVLASVAIVAIILSTLYAWVLWRRSRRLPDGKVHRSSDTGRGIMLVPILSKFSSLKTSRKGLVAMIEYPALEAATGKFSENNVLGVGGFGCVYKAVFDGGVTAAVKRLEGGGPECEKEFENELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLDTQLHGPSHGSALSWHIRMKIALDMARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNIDKGSMNLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSQTQCQSIVTWAMPQLTDRSKLPNIVDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVAEPPSPNLKHPPC >Dexi3B01G0038540.1:cds pep primary_assembly:Fonio_CM05836:3B:41322764:41324311:-1 gene:Dexi3B01G0038540 transcript:Dexi3B01G0038540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDRWTGLGSALASFLFLWSMVQRHLPVTLTHRVATWATKLASYLNPYLEITVSEYGGERFRRSELFLAAEAYLSDACGRRARRLRADLVKDSKNLQVSVDDNDEVTDVFDGVTVWWYAVKRVARSNVISLYPGEDERRFYRLVFHARHRDLVVDSYLPHVLDEGRAVTVRNRQRRLFTNNPSTSWNSYRGGKSVWSHVHFEHPATFDTLAMDPADKEDIVDDLEAFRDARDYYAKVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSVDLTGKRKDKAEKKKKKPDDDDNPSESSKDESTKVTLSGLLNFIDGLWSACGGERIIVFTTNHKDKLDPALIRRGRMDKHIEMSYCRFEAFKVLASNYLGVSDHELFGDIRRLLDEVDMSPADVAENLMPMSNKKKKKRDTHACLAALVEALKKAKQDAAAAKALADAKAKEEAEEKEANKGQAQDQGKEDKTSSSSTEEKITKGGDK >DexiUA01G0021160.1:cds pep primary_assembly:Fonio_CM05836:UA:43739951:43741393:-1 gene:DexiUA01G0021160 transcript:DexiUA01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPSSSGRHSSSLTILATKYSAWWIPRAANAPPRSERHHFDLPAPRDIYTTTLAAVDEPLRPELPRRRPHRLVAAHLGHQEVHLRALGHRVPSDLRAVALHAVREHEVPRRMQPEPLHHHCLEVRHLLDVTVVELRLGFLVHLAVHLGLDVGVVHQLGHDPLHQRHRRVRAAVEELRAEADHLGVRERPVAALVVAGNAQRAEGLGVARRLVTVSRGVDERDEHLVLPPAHGDVLLPSPAEEPLGDHREEGEDGEAGQRHARLVLQPLDLVHGVLAEPPRAEAHVHEQLEHGAAEERPQRDDGGVVVTGTLRADQAGDEGVAGGPSRGGEEGDAGGLESLAGEVAAEEAPGEELTSRPPAVKRLLGSAAPPWTGEAAVGDEDERAGEAEGDDGAVAQVHVAEERAKVEDGAPEGQEFCEEDKRRRAGRELRVGVVLAVAMVVGVVKREGCCNQEGEGYTNTEEEQRLHCC >Dexi2B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:2B:27979821:27981954:-1 gene:Dexi2B01G0017600 transcript:Dexi2B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWAAFLAIALGLALFLGAFHFHGRHRRHAYKLPPGPKPWPIIGNMNLLGDLPHRSIHELSKRYGPLMQLRFGSLPVLIVSSPEMARHVLKTHDAAFSDRPRFAIGRYTAYDCSDVLWSPYGPYLRQARKICTAELFSAKRLESFEHIRDEEVRVLLRGLHRSSSGGRTVRLRDYLQMLTLGVISRIVLGRKYVGEEAAAAARDEMGVSSTPAITPGEFREMVDEFFVLHGAFNIGDFIPWLDWLDLQGYVRRMKVMSAMFDRFLESVLDVHNERRRLEGERFVAKDMVDVLLQLADDPTLEVPLKRHNWAISELLENPKILAKATEELNKVIGLDRLVTERDLPHLPYIEAVLKEIVRVHPAAPMLAPHQAREDTCVDGYDILAGTTVFVNVWGMGHDPALWDEPEEFRPERFLENKIDMRGQDFELLPFGSGRRMCPGYSLALKVMMLGLANMIHAFVWRLPEGMTVEDLSMEETFLLAMPRKFPLEATVEPRLPAGLYMGA >Dexi9B01G0046550.1:cds pep primary_assembly:Fonio_CM05836:9B:45793988:45798494:-1 gene:Dexi9B01G0046550 transcript:Dexi9B01G0046550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGASAPNAMAAIAIFAVLVMSSQGHPKKPLCSDCPELCRANCSAQLSAALPAECVSSCDQTPPPQCAVCKSQLLQECQDCCGNATRTGACCTGGCVGDGCGDTCGCDCSSYAGNACIEPGREVVVGMGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAMGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQEAAAVAAAQMLPK >Dexi5B01G0037310.1:cds pep primary_assembly:Fonio_CM05836:5B:36850146:36851791:-1 gene:Dexi5B01G0037310 transcript:Dexi5B01G0037310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAAKTTMLYAKRVHKELTRCRDREECGISIALHDGDDISHLTGTIAGPTDSPYEGGTFLIDIRLPTKRMTI >Dexi2B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:2B:21990443:21991002:-1 gene:Dexi2B01G0013460 transcript:Dexi2B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTNSAESSSQAHNTQGQGTSAHNLESIREEENFSSPTYHLVETFDALSITKIEDELKHQQDIIPKEQRTLLVIFSDGYPLTGDELNDFFS >Dexi3B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:3B:14449848:14450720:1 gene:Dexi3B01G0019440 transcript:Dexi3B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMALNGSTNNQALLDAQLELWHSTFAHIKSMALKSALELHIADAIHHHGDNGATIPQIASRVTVHTSKIPCLRRLMRLFVATGVFAVQHPPPASGSRNDGDDDDDEPTYMLTPTSRLLVGSQNNLAALTALVTHPAMVTPFFELGKWLQHELPDPCIFKRAHGRTLWEHASRDAAFDALVNDGMLSDSHFIMDIAISECARVFGGISSLVDVGGGLGAAAQAISKAFPDVECSVLDFDHVVAKAPSVGDMFESVPPADAMFFKVCTSSSARYLLLMPFLIG >Dexi1B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:1B:22305971:22310396:-1 gene:Dexi1B01G0015750 transcript:Dexi1B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAASLLPAAASASPSARRATVAAAASFPSSCSARAAGLRLRSSRPAGRFSKAAAGRRGGGGALRVVRCMAASDAAELKSAREDIKDLLKTTYCHPILVRLGWHDSGTYDKNIEEWPQRGGADGSLRFEPELNHGANADLFQLASATAIEEAGGPKIPMKYGRVDVTAPEQCPPEGRLPDAGPRDPAEHLREVFYRMGLDDKDMKFLSQLPSEEQKEQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGFSLDDDTSDGPADEKKEEAAAALEPVVAAVSTATSDDNNGAAPQPEPFVAAKYSYGKRELSDSMKQKIRAEYEGFGGSPDKPMQSNYFLNIMILIAGLAFLTSLVGN >Dexi5A01G0027130.1:cds pep primary_assembly:Fonio_CM05836:5A:30685273:30685587:-1 gene:Dexi5A01G0027130 transcript:Dexi5A01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWIAAAGRRRPQASRPLARELSYGRVVDYAAQDGELRVFVVAGEVSGDSLASRLMASLKALSPVPVRFAGVGGASYFELA >Dexi1A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:1A:7600310:7605750:-1 gene:Dexi1A01G0009360 transcript:Dexi1A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDAGEKRPFGDLDDDDDDVFASKKAKTKVEESAPGAATGMILSLRESLQDCKHSLASCQVELEAAKSEIEKWHSAFQNIPAVPSGTDPDPISVVSYLSNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKSQNNELRNQFDVLYKHMDGVTNDVERSNEMVSILQEELEAKDLELARLKEMLSHKEATHDEEIEERDEAANDMTTASDPMQVKVES >Dexi1B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:1B:26327730:26328141:1 gene:Dexi1B01G0020170 transcript:Dexi1B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVADVAFLRSAQGRPARDAGAGQAARWLLGAGAPAAAAVERHERVGGYGGLAHGAPPPRRRAPSVGVGVGAQPLRWPQSVTTGSVAHSRQMLQSKQAPPDTAADAAGGASPPTILFAGSSPAPAVRV >Dexi5A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:5A:3264019:3265248:-1 gene:Dexi5A01G0004320 transcript:Dexi5A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNTEAAAAAVDALACMVAVGAEWGKVGNVERARVAVGGEQAREEAVNAAVVIVGEMAAVVTVTVALEMAAEGKAAVEEEMAMVEVTRAAVAMVEAAREAVVMVELEAEAVVVEEDGVERPVEEARRHGALEVVESEVEEAERREVEDDVGEWADEAVVAEVELVEEAEAAERVREDAAEAVGVEVEQCEVGEEAELRREEPRDVAVV >Dexi8A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:8A:11826704:11829183:-1 gene:Dexi8A01G0009190 transcript:Dexi8A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQRMRRQVESWDEEAARQIGNCYAYLMSIISKTLEEFITEDGASQVGINCFKETMKDVSKCMLQEVVWRQEVHIPTVDDYFKQAAVISVMYLPVAVILFIRMNTSDEHFTWANSLPKIIEVTATMCRLMDDIAGH >Dexi7B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:7B:18913962:18917724:-1 gene:Dexi7B01G0012010 transcript:Dexi7B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSPSKESPPTGAWWSRETVAVVTGANRGIGHALAARLAEHGLTVVLTARDGERGETAAAPLRARGLAVVFRRLDVSDPASVAEFAAWLRDALGGLDVLDAPNPSVPHDHSIIAGPRAPPAAVTTYYDAHARPAPARVRQRPHESNRHVAFASGSDPRPKGRGRACGVVASEATAAGQVVQARRRRSTTFPRPLVASRRWTVTLQWLNFRVALKSGFIFCSARCCVQVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRQSPATSRILNISSQLGLLNKVRDPSLKAMLQDEDTLTEAAIEAMASRFLAQVKDGTWGEQGWPKVWTDYSVSKLALNAYSRLLARRLQARGARVSVNCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPAELPTGTFFKWCTPQLYSKL >Dexi1A01G0031770.1:cds pep primary_assembly:Fonio_CM05836:1A:36603869:36606391:1 gene:Dexi1A01G0031770 transcript:Dexi1A01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPRFRCPSRRHSSPIAMRRLLLLLLAAAPPAAAAEMGYAHCACDGGAGGFWSLDNIFKWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFMVVLLLTAAKFLTALVSCLTAITLLTLIPQLLRVKVRESLLWIKARELDREVVLMKRQEEASWHVRMLTQEIRRSLDRHTVLYTTLIELSRVLALDNCAVWMPSEDKSGMCLTHELRRASDGEAVVSADDRDVLEVKSSDGVKLLPPESVLGSASGGGKEGAGTVAAIRMPMLKVADFKGGTPEVIQTSYAVLVLVPPSDRNWAPHELEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELLQARRDALMANEARDAFQRVMSQGMRRPIHSILGLVSVVQDEGLTPEQKLVVDTMARTATVVSTLINDVMEMSAVNQERFPLETRPFHLHSMIRDAACVARCLCDFRGFGFTVHVENALPNLVIGDERRIFHVLLHMVGNLLGRIDAGSVTFRVRADDEAMEDQRWDPWRPSYSGGHSSVKFVIGVKRQQSADSSSLLAQFLRKPSTEGFDLRLSFSMCRKLVQMMQGNIWAILDGQGLPESMTLVLRFQLQPSLSSSSLGGSFDLQYPSPSNQIMGLKVLLIDDDDINLVVARKLLEKLGCTVSSLPSGSGFMNSVGPTSTSFQLVVVNLEMAAVNPLDVASRIRQYRSAHWPLVMAMTSEHNVWEKCAQSGINGVLKKPLVLQEVKDELARILQNT >Dexi9A01G0032620.1:cds pep primary_assembly:Fonio_CM05836:9A:37554409:37555505:-1 gene:Dexi9A01G0032620 transcript:Dexi9A01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVMRVDLECAKCYKKIRKVLCKVQDKVSIRTITYDEKTNTVMASGPFDGEEVAGRLTHDAGKVITDIHFTGAGGVGGGVVGGGGGKQKHGAGAAAAKAPKPGKGNGHSHGHGGHGGGGHGGGHGGGGHGGGGGKPEKKHVKFDDFDDDLDDDDDLDFDLDMGKKKPSAGGNAHHGHGHGHGHGHGHGGNGKPKIITTTNNTPIAARLEAPRTGPAMSMAAAAPVRMPQQMPAGMMMMPPQQPQAPTAMPSIWPTPAPEWGYSTQPYGSYSGPPAGGYYGGGAPAYGHAGYGAPYGYGGRGPYAGGQQYYEEEPSAGCSVM >Dexi5A01G0022660.1:cds pep primary_assembly:Fonio_CM05836:5A:26771821:26774276:1 gene:Dexi5A01G0022660 transcript:Dexi5A01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSRGIRFLAVAALLVASLVGTSRAREAQPLPPVAEAEAVGSSRRAPERHGLSLDFYAKTCPAVDQIVANVTAARYRDFPAAGPAVLRLFHHDCFVEGCDASILIAPTTTASAPKVERDMEENRNLAQEAFDTVEMAKAAVESKCPGVVSCADVLALAARDYVQQAGGPFYRVKKGRKDSKVSLAGKVRGSLPRANSTVDELLRVFAGKGLGAADLVALSGAHTVGFAHCVHVLGRIYDYRGTRRPDPLMDARLVKALRMSCPSSGGSARVVVPFDVSTPFQFDHAYYANLQARLGLLGSDQALFLDARTRPVVQELGANKTRFFEAFVASMDRMGSIRIKKGKKGQVRKICSQHLLLA >Dexi1B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:1B:4183695:4185302:-1 gene:Dexi1B01G0005110 transcript:Dexi1B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMAVTVVAGAVPPLPKGKAVTVATTPPPTLSRRQLFAAGATASTLRTAAASAAAPRFAEIPGSAGVKALDLREGSGKVPADGDQVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTTFNPTRLANGEGSTLGTLIFDIELVNIRQRS >Dexi1B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:1B:9391458:9396296:-1 gene:Dexi1B01G0010000 transcript:Dexi1B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERFTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRIRQKEIQDEEAKMKRKQSRCSSSRTVTPTKEVEYRDICSTSSSVPSYQYAEQGTECCSSEPSCSRQASMRPFHSRHSRDDNVDMNLEDMMVMEAIWRSIQQEQGHLVNPVCGGYFPVIEPQTRERQAFLPAAVAPLEMPHPGGYSCAVAALSEHQPPSMDFSYMAGSSTFPVYDMIRRPCNISGGSMCAVDNSSLDTWSGIAPSCSREVVREEGECSTDHWSEGAEAGTSYAGSDIMADAGSMQQLPFVENFAMAPSHFRPDSIEEQMMFSMAMSLTEAHHGRPHAQGMAWL >Dexi9B01G0029900.1:cds pep primary_assembly:Fonio_CM05836:9B:32322328:32323272:1 gene:Dexi9B01G0029900 transcript:Dexi9B01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSAPAAADCEMESPVWQTSMSGRTPLWPTIARAMSGDMDSLCSVMTAFSRPTRLPDSTSRMSGGSAPSDTRKPSQLPDSASKQMTVAAFSLTLGAVAVSSCTSAATLPRPSAESTLSSFSRRASSSPSTARCFASACPICSLASSSRTSVWVVLAVAAAASAWAAGTVASDSTPVLTRRAQSRRLRSSFPASASRSLCICSLPAFGGSRQRAPSSAASASVAATDCSSSLQRAFLEKQGWLDRSDRHGDTRSSLAAMAFHRPPKPVAARAAARAGGTSARARSSSSSAVSSPAAAGQFDVLLQHLVS >Dexi5B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:5B:4931562:4932887:1 gene:Dexi5B01G0007340 transcript:Dexi5B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRLLPLLFLLLVPAALRDYLSPPATNRRPDHHQDAAGDVVLHPLVLVPGLTCSELEVRLTNAYHPSLPRCGAMKGKGWFGLWANCSDISTHHYLPCFMEQMRLVYDHAADDYRNIAGVETRVLNFGSSRGFQKNPNHTDWCFEVLRHELEKAGYVDGDTLFGAPYDLRHAPPVPGQKSAVFSGYFRQLSRLIEDASRSKNNQKVILFGHSLGGMVALEFVRSTAMAWREKYIKHLVLVAPVPAEGFVKPVEYFVSGSDLIFVPGVEQLELTLRPMWRTFESSIVNFPSPAVFGRSKPVVITRERNYTAGEMEELIAAVGYAAGVEPFMRRAVPKMNYFEAPMVPTTCINGVGNDTPEQLVYWDGDFDAEPEIVYGDGDEDINLVSMVAFDEKMRRQMEQSGVFYKSIKIPGARHGTVITEDWALKRVMQEILEANRI >Dexi3B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:3B:1000402:1003041:1 gene:Dexi3B01G0001360 transcript:Dexi3B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRPPPPDMDLPPPRRHVTGDLSDVTAGAAAAAAAAAQLPAPPTASAQLPALPTQLHLPAFQQQQQHHHAAAEVDVPHAPLPAAGDPSTTAWVDGIIRDIIGSSGGAGVSIAQLIHNVREIIHPCNPALGSLLELRLRSLLNAADPAMPPHPAPPLLHSPAPPLPPPPQLTSTDKRHQQHEPQQQSNPSPPSPPKEPTAEETAAAAAAAAAAAAAAAKERKQEQRRKQRDEEGLHLLTLLLQCAESVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPASSPAAARLQHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVKLTGLGTSMDALQATGKRLSDFADTLGLPFEFCAVADKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGNDSNTLCLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLFEENGALKLGWKDLCLLTASAWRPIQTPCR >Dexi5A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:5A:23801527:23804472:-1 gene:Dexi5A01G0019910 transcript:Dexi5A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGAFSKEGALSYDGGQVAFFGNIDKDVFSYNHLVQLARIVGFMDGDNLFYLIPGRSLDDGIDLLKDDTSALEMMKYANQTNCFEVYIQQREHPIVGDTVQEITTTQVNKGHNKKRLNKKREKRIWSADEENALVDILYEMNNSGRKADTGHKCGYMTYIEKELAKRFPNANIKADPHIQSEVKKLKKMLSYVLDIQQHGSGFGWDDERKMVVGDLELFNGWAKSRNGAANLYMKPFVNYDKLCEIYANDLAKGSKAKGPGDDIDLQEEQSADNMTEPSHQSDSVIDSQSHLQCPGSNPSNGNKSSGSRKRKFVEDDVVSCEFSNLSKSLKNLVEVQTSNAAAMNVIQSAYAHELEAQKQTDKRREQLFSVLTKFPEFTRDQLVKAALIIGQDATKLNMFFTTPQDFKSAFIWEVLRSSK >Dexi7B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:7B:23392984:23393473:-1 gene:Dexi7B01G0017440 transcript:Dexi7B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGLRRQARRKNTDAFSPFPLAHQRKPPSSNGQRPPALCWCWATVTRPPVHFSRSLCPWPLNRCMLEALTARDACLLFLELSSFSLGRFTPALAFGTNEGGFSKLIYSPSGCLPLFACNGGELRWEDSDQRR >Dexi9B01G0045490.1:cds pep primary_assembly:Fonio_CM05836:9B:44971869:44972594:-1 gene:Dexi9B01G0045490 transcript:Dexi9B01G0045490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVVSASAPAISGAPVAAAASQAGRPPAAPRQQLPIRRPQLQYAGVRRGPNGEWLAHVLVDPERGEHRTVGPFPDEHAAALAHDRIAIAFLGDSARANFRPAFHQIEQRFLRLCRTRAGEIDVCRLVAEGTYEDRYATFLRSVLALQRWGEYLNVVIDFFVGRAGEIGEEALVEGGEKLAARFVEMHRNKATRPEWREGYREEDRRKQQQQQRDGGCAGASAVQPLQQQQKVL >Dexi9B01G0036140.1:cds pep primary_assembly:Fonio_CM05836:9B:37698699:37700472:1 gene:Dexi9B01G0036140 transcript:Dexi9B01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFPCVSAEVNALQGDESRMQAAAPFVGDSEPASHDTRQEEQGRSRGDGILGGPSGGYKTTPSKAKAAGRVRVPCRFVPLRGQRGGADLRRCSLVAVPTPVQGGTAGPLPLGLSPTPDPRPW >Dexi1A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:1A:26540149:26542305:-1 gene:Dexi1A01G0019430 transcript:Dexi1A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDAAASGTSPVMQCHSIADELTSHSSPLPTAQERSQRHCYGDGIPGEFPLAVSPSIVLHVLSACDLDPKDLAALEASHTTTLSALSLQGIRITQAAVGSRRTVLVSDTGSVYAFGKDNFRGAELVDTAHTSQITTPKIVETLKGVFVVQAAIGGYFSAVLSREGRVFTFSWGKNERLGHNSDPSDVEPRLLSELEDVPIAYISAGNCYLLMLAYQPNGMFGHSLLFIFENVAIEDDEAEEGPDFSTPSLVESLKKLNKKVAQICPTNASYWLNSEMGHPHTFAVMESGELCAFGGGIRGQLGVKLLEGVEKVTVPMHVPIDLS >Dexi9B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:9B:5031392:5037925:-1 gene:Dexi9B01G0008170 transcript:Dexi9B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVGHVIGGGNYPGNYVEGSSLQKLEGKCYWGKIATHLLASALEKVHACLSLGLTIELASTVTMRSSFLELLFLCMQPKFLEQDNCLTFCSHKVDATVRSTLLLYEPLLLQGSYQLKVCIHAEEVGSRDLSLSPYSNYSYNDAEPSILPEIIRLRAGNVLFNYKYYDNTMQKTEVTEDFSCPFCLVTCGSFKGLGRHLNSSHDLFRFEFRISEECQAINVSLKNDDRKTEVYYDIIFCMCTPTEFGFDDHEFITNGYIQLLAEGVDPRHQTFSYRSKFKRRRQLETTVVKFRHVHSHDKESGSQEDAQAGSKDDYVQRENGIGIVMNNNNNGVKLGRQLLQKRQFFHAHKAQPMALEQLFSDHDSEDEVDDDIADFEDGRMLDDFVDVTKDEKLIMRMWNSFVRKQRSVVLADGHIPWVCEAFSRCHGQHLVQKPALLWGWRFFMIKLWNHSLLDARTMNTCNIILQGYQEGSSDSK >Dexi3A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:3A:12187152:12191290:-1 gene:Dexi3A01G0016280 transcript:Dexi3A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASASARPLSLPLTVPLPRYSSRALPAPSSRLLPSRRVALALARPGAALLSSLSDARQQQEGEEEEEEEKEEFYEEEEDEQQEYDDEEEEREYDDEDEELVEVGYVSGAHGVRGDVLVTPRTDFPELRFATPGTRWLRARAAGKQQVREFELVRGRAHTGKKCWIVSFDGIHNLDEARQIVGSAILVRTGDRPEIEDDEFYSLDLVGMRVIVKEWKDRKRLQRRVIAGKKVLSEMDQGHVLEGLLSGDKVQKASLAEQIGYMDFQLFRHAVHCISKQIESSSKKLLANTSLSREKVIKIPYKSINLGEKGEHAFSGELEKGLEILLKSKAAIVLVRNGSDSDAEFLSLLSSLSELMKAIENHVSPPFVIVSSPGHVESVRNCLIENDYFGLDTQKVWVLEELELPVVSISSNANRKKVLMKSPWEIIKRPAGSGGIFSLLSSSKILDSLNEMGVQYTQICSSSNRPAIGHPLVFGTVASRGADVGIKLSKTGEMEGDFDLVLSIDQLNKMCRDVTQLRFSAHPEQNTHVEHVDGQWVAVQPEADNSHRLHADVMSVLNSCAPDKLCVMEIIEQ >Dexi5B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:5B:9443126:9448545:-1 gene:Dexi5B01G0013320 transcript:Dexi5B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLVAALFLCASAAAAAASKPPLDTLGIPPQDEAYYGGGVIKCRDGSGKFSRDQLNDDFCDCPDGTDEPGTSACPEGKFYCKNAGHTPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKIATYKSGVVIRNQEVERAKEAFAKDETELAKLKGEEKILKGLVDKLKEQKRLVEKAEEEERLKKEKEEKRIKEEAEKKATVEKEAQDDSHEVDSKETDAPVKEDESKVAEQHDGHATDHVPESGVSDEQHGSESQDDSGIVDELPPKESAPTLEQDPSSDNPEGLSREELGRIVASRWTGESVNDVSKDNKKGHEDDNEVPEPAEAAVEDELEIPEPAEENYGSYHSDVEDDRHKYDDEDFDHESENEYVDDHDDHVESYKSDDDQKGGHHSDLTASEHSSWLDKIHQTVQNVLQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISTLTEKLKHDFGKDKEFYSFYDQCFETKEGKYTYKVCAYKKATQAEGHSSTNLGRWDKFEESYRVMQFSNGDKCWNGPDRSLKVRLRCGLSNELNDVDEPSRCEYVAVLSTPALCIEDKLKELQNKLDAMSKKQPGHDEL >Dexi4B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:4B:7128211:7130675:1 gene:Dexi4B01G0009800 transcript:Dexi4B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIRPPTKQRERKKKKARRGCSIL >Dexi2A01G0034200.1:cds pep primary_assembly:Fonio_CM05836:2A:44269054:44269377:1 gene:Dexi2A01G0034200 transcript:Dexi2A01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASMNEEAPPPDFPEGGESWHQRCVLDRPATDVADTGDAGGKGELLGAGEKGEVAAGPGVGADVGLVGRAAPRQGGGGGETRAISQITYPTICNMSLANKSNVFI >Dexi3A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:3A:7204746:7206287:-1 gene:Dexi3A01G0010140 transcript:Dexi3A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDNITVHVEWLARHLTQQQEDAAATEQHRNMASTYRVSRVPGHVRLPNPDAYAPGLVAIGPLHAKDGETRLRAGNRLKMAYLHSLISRGGRPDPADHLAVIKDYVLLVAAREREARAMYAAEDVDAIGAEDFIHMLVLDGCFLIEHLVNVFTGKEEPSLHATPFGPAQLSVDVVLAENQIPFFVLVDIISSARTRLPEFDLTEPDRPALLMKLVLNYLGGHKGRDMIGGGAMVLPPADRVCHILHLLHAMVVAARTRWEPPPRIQDGAAVEMMQEAARLLRRLPLLLLVPLLYPILPEERRWRASYSREDLPSASDLKRMWVRFKKARGGGKASTAPGIASVLGPVPLAVKLEHEDRLRLPQLRIEFRTAPLLLNLMAFEQAAEQRRAGEVSAYVWLMAKLVQSAEDAGVVVAAEVVQSTTSAGSESKDDVARFFRRVGAASEAAAELEKSYLGETLQKLRERSQHPLFMMWADVQRNYFTVPWAVVAEFVAFITFISTILQTYGSFKH >DexiUA01G0022550.1:cds pep primary_assembly:Fonio_CM05836:UA:45758063:45758390:1 gene:DexiUA01G0022550 transcript:DexiUA01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAESPESGLPVESNALGTESQDDVGAEADTEAISGSLPLDYLAIETRSGEDEQTSSGVPGTGDVASPNSFISGGFASMSL >Dexi2A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:2A:32971777:32972513:-1 gene:Dexi2A01G0020810 transcript:Dexi2A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVPLIVVVFAAASCGVLLAGASATPSRRPPAVYHVGDDKGWAVPPGNATDTLNRWATSHRFRVGDVLDFKYSKKDSVLLVRRGDYDGCGAARPVRRLPGGGHTKFRLDRPGLFYFISGVPARCEAGERMVVRVVELGATAPAPAWMDEEPSHPSGDRKIPVAFRLFVAAGLGFVSGCFFAGLVLWLCINWPR >Dexi6B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:6B:540562:540783:1 gene:Dexi6B01G0000650 transcript:Dexi6B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPWWAQQQVEAAPQKGPVLLRPQQATSGKQQASSRLEQSTARLEQATSGLRKPVVRQPARLQQTELARPKR >Dexi4B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:4B:20156498:20157101:-1 gene:Dexi4B01G0017770 transcript:Dexi4B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPSEEAQEISSGGSSSSGSDQDSGKGKGASASKAAAAAEERGALREEPQEVSSDDSLSSDSDDEAVKGTGWKAFGLPNSSKVASPAEG >Dexi3A01G0032870.1:cds pep primary_assembly:Fonio_CM05836:3A:37724510:37728680:-1 gene:Dexi3A01G0032870 transcript:Dexi3A01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAVMIPVVFFLAFLVASPELALVHGQPDSPGNRLLHTTACMTVTGFVSIDCGITEGKTYSDPSTSGLVYVSDAGFTDVGLNAGVRPPYDAPDMADRYSTVRYFPSGDGVRSCYTLRQVTPGGKYLVRVAFQYGNYDGLNSTPAFDLYLGVNRWATVNIAGAGDKYILEAVAVSPADFLQVCLVNTGLGTPFISGLDLRPLRATMYPEATVNQYGNIATWTNITTSNDVDVSNITSFDKPSAILRSAVTPLERVPSNALRQFDILVDNSTGNGIQGFIPKYLSAETVKIVAQGSGQHTVLLVATPEATLPPILNALEIYSVKPMTELGTNDK >Dexi5B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:5B:9123458:9130933:1 gene:Dexi5B01G0012900 transcript:Dexi5B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLKQAARTSALSRRWAPKWLHALAAYPVLDLTDRNFARGQSPAWPRWPAASAEHGAPLDAIRVALVAPSASGLVGDGGAFGQDVVGSGDAFEGRNSLEYLALGRISLRAVPLPAAGLAGLRSLSLSHALDLTDDAVEGVLANRAALESLTLTGCHLLTSVSVASERLPVSGARGLPRRARAPGRRAGAPVVPIDLGEVEGDIAMPALRDARLCHVGFGEYENPHLSGLREFLIRDNAGSVI >Dexi9A01G0031470.1:cds pep primary_assembly:Fonio_CM05836:9A:36463964:36472886:1 gene:Dexi9A01G0031470 transcript:Dexi9A01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVAGLAAAHELARSGGARVTLYEKDDHLGGHVGTVAVNDGDAGSVVHLDLGFMVFNRVTSPNMMEWFKGLGVETEKSDMSFSVSTLLDRNKSFEWGSHNGISGLLAQKGNSLSPSFWRMILESFKFKNHALKYLEDHERNPDLDRNETLGQFVNLHGYSKLFQDAYIVREELVKMGCQIKTSCEVKSVSSLEGGCYKVLENDGSEEMYDQIIFSVHAPDALKVLGAEATRDELRILGAFQYTNSDVYLHHDESFMPRSSSAWSARNFLATTSRGACVTYWLNLLQNIGANGPFLVTLNPICVPNHVLHKWHTSHPKPSMATTKASLELNRIQGKRGLWFCGSYQGYGFHEDGLKAGKAAAAGLLGKKCDLLMNPKRTVPSWTEAGARLLVARFLDQYISIGSLSMIDGGGTAFNFGKACKKCHIESVMQVHDPRFYWKVLFSLILTLLLGNHLLCVATEADLGLADAYINGHLSFVDQREGLLNLLLILIANRDVHKSSRNIASKRGYMRRGWWTPLILTAGVASVKYILSHVSRKNTVTQTRRNISEHYDLSNDFFALFLDPTMSYSCGIFKAEDESLEAAQLRKVRVLIDKANVEGDHHVLEIGSGWGNLAMEMVKRTGCKYTGITLSEEQLKYAKRKVKEAGLEDHITFLLCDYRQIPTCQKYDRIISCEMIEHVGHEYMDDFFGSCEYHLAEDGLFILQFSSIPEERYDEHRRSPDFMKEYIFPGGCLPSLARITSATSNASRLCIEHVENIGYHYYTTLMRWRDNFMYNKDKVLALGFDDKFIRKWEYYLIYCAAGFKSRTIGNYQIVFSRPGNTKLPNYVAVA >Dexi9A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:9A:8143507:8145957:-1 gene:Dexi9A01G0012770 transcript:Dexi9A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAASPSRAFAGVDLRWLLSVAAGGLCALLLILATSPSFRIPSASSSSRLFLSPRTSSSAQRPLPPLFVEETLSRPSPPPARTLPRFAYLISGSAGDAGMMRRCVLALYHPSNRYILHLDAEAPDSDRAGLAAFVAAHPVLSAAGNVRVIEKANLVTYRGPTMVTTTLHAAAAFLWGDGHGRGADWDWFINLSASDYPLLPRDLNFIDHTSNISWKAFARAMPVIIDPALYMKTKGDLFWVSERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLLYYANFISSPEGYFHTVACNAAAFRNTTVNSDLHFISWDNPPMQHPHLLTLADWDRMLASGAPFARKFPRDDPVLDRIDAEILARRGGPAAVAPGGWCAAAAAVNGSGGDPCAAVGNAGSLRPGPGAERLQGLVTSLLSEENFRPNQCVVVEEEH >Dexi3A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:3A:2646322:2646917:-1 gene:Dexi3A01G0004000 transcript:Dexi3A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKARLAAVALLLLLTVAAPAAARVGPAAVPPLAARLHAFSAALNDGGEAGGGFVECWDALTRLGSCTSEIVLFFVNGESYIGPECCVAIRGATRHCWPSMLAAAGFTAEEADVLRGFCDAEVAKQLPPAGPVPAPGKP >Dexi1A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:1A:4265899:4271671:-1 gene:Dexi1A01G0005790 transcript:Dexi1A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQLTALAGGAADDMEEASLLGSYDEEAGGLRGEEEAGMRRVQVRVAGMTCSACTGAVEAALSGTRGVRRAAVSLLQNRAHVVFDPALAKAIEDAGFDAALLQSSEQDKVPVFFIRMLCPHIPLISSFLVMHFGPFHIGDLLKWILVSMVQFVVGKRFYVAAYRALRHGSTNMDVLVVIGTTASYVYSACALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELAPATALLILKDKEGKYLGEKEIDASLVQPGDALKVLPGSKVPADGIVIWGTSHVNESMVASIFVPIVITLSLLTFLAWFLCGWLGAYPNSWSDESSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTTAKIFSAMELGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSAKDSIKKRKDEILSQWLLEASDFSALPGKGIQCWINGKKILVGNRALITENGVKIPDEAEDFLVDMELSAKTGILVAYDGGFIGLIGITDPLKREAAVVVEGLKKMGVHPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLMLRRYRKPRLTTVLQITVE >DexiUA01G0010160.1:cds pep primary_assembly:Fonio_CM05836:UA:20086616:20089646:-1 gene:DexiUA01G0010160 transcript:DexiUA01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVSAAVVLGRLLPPLLLLAVASAAYDGAGLPPISRRSFPDGFIFGTASAAYQYEGAAMEGGRGPSIWDTFTHQHPGMYTLYPSVSHTKWACMYLYKIADRSNGDVAVDSYHRYKEDVRIMKDMGMDAYRFSISWTRILPNGSLNGGINREGVRYYNDLIDELLLKGVQPFVTLFHWDSPQALEDKYGGFLSPSIM >Dexi5A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:5A:2402373:2406308:1 gene:Dexi5A01G0003240 transcript:Dexi5A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPRAPLPPSSPRPASAPALSSTSSRLPTNPGSGAPPAPQPMEPMDSQVTAVALSDSDSFSVDGADAADADLQALRRLSDNLAAAFRSPDDFAFLADARISVPGAPDIRVHRCVLCARSPFLRDLFARRAADAGATEEKGKEKEKEKNKEEKDKVELRELLGDEVEVGYEALLLVIEYLYSGRVGALPKAACLCVDEGGCAHVGCRPAVAFMAQVLFAASTFEVTELTSLFQRRLLDVLDKVEVDNLPLILSVANLCSKSCVKLLERCLEIVVWSDLDMITLEKALPPDVVKQIVDARLSLGLVSPEDRGFPNIHVRRVHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRMEPKIIVSLLTKGARPSDVTFDNRKAVQISKRLTKHGDYQIEDGKASPKDRLCIEILDQAERRDPQLGEASVSLAMAGDCLRGKLLYLENRVALARILFPMEAKVAMDIAQVDGTMEFTLGSSANNMPPVDLNDTPFIMKEEYLTRMSALSKTVELGKRFFPRCSKVLDQIMDDETEMASLGRDTSTEKKRRFHDLQDVFQKAFSEDKEENDRSARSSSSSSTKTSMGAIRPRR >Dexi7A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:7A:25531992:25533479:-1 gene:Dexi7A01G0015700 transcript:Dexi7A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTPASSASSSSCLRPSCASTPKRESARRELPKFPISPDASRPRHHHHTPPPAIDCRSIRLIQRVAMGFDKEASSSSSRLDAAPLLPHHGGGGGGAGGGHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGTLLLLAVAALTFYCMMLLVAARRRIADEHPKIASFGDLGHAIYGAAGRHAVDAMLVLSQASFCVGYLIFISNTMAHLYPIGSDSPASPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVLGQDASIWLTNKPPVFAFGGPSELLYGLGVAVYAFEGVGMVLPLEAEAADKRRFGATLGLSMAFIAVMYGLFGAMGYLAFGTATRDIITTNLGTGWLSVLVQLGLCINLFFTMPVMMNPVYEVAERLLCGKRYAWWLRWILVVVVGLLAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEVGWAGVLADVAVIVIGTALAVSGTWTSLVQIFSSSSSV >Dexi6B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:6B:25730071:25731545:1 gene:Dexi6B01G0018770 transcript:Dexi6B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSGNRIKKMSPFLVLLIALLSTTTTPSEAFNVRGHLLKSKTFLSPAISLSPGSVSNKWYFDIPFPRGHLALKSFNGEVVDEHGVPVPLHETYLHHWVVEPYYAPKNDVAGHNRSKVIPARNSGVCTTTLGQYYGLGSETRHTATWVPDPYGIEIGDPEAPPEGYVERWLLNVHAIDTRGVVDKLACTECRCDLYNVTVDEYGRRIQEDYTGGLRCCYDETQCKLKEGFVADGQKRKLFLRYTVMWLEWSEAVLPVKIYIFDVTDRALMEGKSEPACKVEYQVEECSSENRAKNDCVHVQATKQILPRGGDIVFGVAHQHSGGIGSSLHGEDGRLLCESMATYGEGKKAGDEEGYIVGMSTCYPKPGSVTVRDGEALTVVSNYSSERPHTGVMGLFYILVAEHKQQQQLLPVVAGKPGLCFSFPVPCELAVPF >Dexi8A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:8A:7600927:7601283:1 gene:Dexi8A01G0007010 transcript:Dexi8A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGQEGGVACACALPHGGLAGGLRLAPWGPGRWPPDLVGSGLPVGRPGRLRLACGAAWPAGGRGRGGTVGAGSGRQRRESPIGIWRQAGASETRGERGTWMGDAETEPQRAMHEGD >Dexi3B01G0025550.1:cds pep primary_assembly:Fonio_CM05836:3B:20312418:20312999:-1 gene:Dexi3B01G0025550 transcript:Dexi3B01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIASLAPSPARSSSSPAEPPGPAGRAQWLLLLLDAVGPCRCRCGTLPPSPCSRSRPPWDEASSPPLLPSLRTTHALLLLLLDGWWSGSMDGGRNRGAREVEKHGGGEQLHGEGVAEEQRGNRLLVLLLVGMRRRLLVVLLLVGARRGCSRCCCSVPPEMRARCPWRRAWPVSCCDASSATKSPWRSPARSS >Dexi6B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:6B:24141033:24145463:1 gene:Dexi6B01G0016850 transcript:Dexi6B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPLFLHQIQPLPPHAAAAKKRAHPWPAAVSTAKAAAAPGDGNWNPKMWDWDSRSLTARPSSDALRLGGTTQGQQQKQQQPASAAAKAAEANRAGAGGNGGALNLHLGLREDAATPMDASPSAPMASSPSPPASASAAGQEPVVRPSKRVRSGSPGSAGGSAGAGAANGGASYPMCQVDDCRADLTSAKDYHRRHKVCEAHSKTTKALVASQMQRFCQQCSRFHSLAEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAGNRTQDIVNLITVIARLQGSNVGKAPSIPPIPDKQNLTDQETRPYLSLQLFGSAEEDIPPKMDSANKYLSSESSNPMDERSPSSSPPITHKFFPIHSVDEEDRHPHDYGEDAAMVEDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWEELEENLLQRVNTLVQNPDLDFWREGRFLVRTDSKLVSYNEGMTRLSKSWRTWNTPELTFVSPIAVVGGQKTSLILKGRNLTVPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPDLILGRCFIEVENRFRGNSFPVIIASSSVCQELRNLEVELEDSQFPDVSSDDQVHDPRRSKPRDQVLHFLNELGWLFQRAAACTSSSGFDVSDLELVQFSTPRFKYLLLFSSERDWCSLTRTLLDILAKRSLVSDELSQETMDMLAEVHLLNRAVKRKSSRMVHLLVKFVVICPDNSKVYPFLPNFPGPGGLTPLHLAASIENAEDIVDALTDDPQQIGLNCWQSVLDDDGQSPETYAKLRNHDSYNELVAQKLMDRKNSQVTIMVDKDEVHWNVGGARALQTQSCSQCAILESGVLRKPLRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRINSGRSFKWERLHYGTE >Dexi3A01G0024090.1:cds pep primary_assembly:Fonio_CM05836:3A:19696041:19696410:-1 gene:Dexi3A01G0024090 transcript:Dexi3A01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIMEAKEHALSRKDILEKVEKWTSATEEETWLDEYERDQNRYNAGRGAHINLKHAEKARR >Dexi3B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:3B:10206607:10209123:1 gene:Dexi3B01G0014160 transcript:Dexi3B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGFGRHVGGFFNASNVLMAASLSTASCAEEMQKAEGGGCRDDAAALRLKEVAMAAILVAGVIGVGLPLVGRKRRALRTDSAAFMAAKAFAAGVILATGFVHMLHDAQNALSSPCLPADPWRQFPFPGFVAMAAALATLVLDFLATRFYETKHRDEAARVKAAAAAALAAASSASDEDITVVTVVEDEHKAPLLQTHCHGHSHGHGHSHSHGQELVQVEAREGEVSEHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAVLMASFFAITTPAGIAAGIGVATFYNPNSPRALVIEGILDSVSAGILIYMSLVDLIAADFLGAKMTGSLRQQVMAYIALFLGALSMSSLAIWA >Dexi3A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:3A:14942352:14945984:1 gene:Dexi3A01G0019100 transcript:Dexi3A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLLPLPLVLASPALRAHCPTPPRLSRPPPHPRAAALLTWFSGAALSAAGRGVGLGCVQAKGARCRASVSAPPAAQMGMDLYAQVEPYDTGFLKVSDVHSIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQVFGGSWGSTLALAYSQTHPDKASMVQSFQAFARIENHYFVNKGFLPSDSYLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVKMLQPFFQIPYVIFMLGTGIIWIVNIETQK >Dexi9B01G0030170.1:cds pep primary_assembly:Fonio_CM05836:9B:32639055:32639456:1 gene:Dexi9B01G0030170 transcript:Dexi9B01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLRLLVLLVAFAAAAASSVVPARAALGGDGGPGLLGGGWRAISDVSDPHIQELGGWALGQAKQQRLCGQGLTFRRVVSGQQQVVAGMNYRLYVDAADARGRSAPYEALVFEQVWTNTRELTSFKLAPRGH >Dexi3B01G0026580.1:cds pep primary_assembly:Fonio_CM05836:3B:21929998:21932621:1 gene:Dexi3B01G0026580 transcript:Dexi3B01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDVTGTRMSLPIALVVGIAAAAATLLAAAAVLLALWCAARRRARRNSNSDTGSSDPSTLVEWGKVGRSSLAPEPETEHQVARQFSLEELVQATKNFCDTNIVGAGSFGLVYMGLLLDGTVVAIKRRVGAARQEFVDEVRGLSEIRHRNIVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYDNGKGSTTRLEFKQRLSIAIGAAKGLNHLHSRDAPLIHKNFKTNNVLVDENFIAKVADAGLARLLRGSDDACPSHGFRISVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREAASLMPPESREYLAHWMEAHFSSNELIDPRLGGSFTSEGMKELVGLAFQCLNPSSRRRPRMRLVATELDRILETEMSMTTFMGDGTTIVTLGSQLFTS >Dexi3B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:3B:320940:321596:-1 gene:Dexi3B01G0000420 transcript:Dexi3B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGWYLKIAAVGASIGAAMELFMIHTGFYDKVTVLESEKRAWESSPEAQAMREALNPWRRHDEQQRK >Dexi5A01G0038870.1:cds pep primary_assembly:Fonio_CM05836:5A:39675246:39676674:1 gene:Dexi5A01G0038870 transcript:Dexi5A01G0038870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQARKKPKDPGVVLDGDGAPARGDPGSSRGPLPGYMRATTCSDAKAGAGARVAAPSSPPSKREPVRAKVVFAAAAAVAAAPRVGRATCSSTMKGPGVGGAHVCPYGYCSLKGHVHEPVEPLGSFVASRRRLIKTQQSMKLKGASPFRKPGNGGGFFVEVRAGVRAAAAPTVGSDASCSDLSAEEMDAMVRRMDYVVFDRVSYGDEVEGRARDLGASVDGSCGSSDVISDASVELLGTTKHRGRKEDEALVDHEDEDFGACKKVEMLVQAFETVLPTVTNDKKQLQQDGDEASFTLTRPSQAWS >Dexi9B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:9B:9206206:9207641:-1 gene:Dexi9B01G0013730 transcript:Dexi9B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKNAAGMKAVKEEAATASAAAQEATSPIREHGDEPMDSARKLEEEAQVGAETRLRREEEESARKKRKRDAADPAVIQRTEGASASASTAAAAAAATGQRSDDALPRCRCSLRLSLSPLQRLLDACRRVFDGSSTPPTSAIVPYIQGLMEKIGPHDVGLTQELNFFHKMNAAGRQNPPIITCKTIHDSSNFTIAVFFLPLRAVMPLHDHPGMTVFSKLLVGSAHVEAYDWVRPRVSGWGSARLAEKVLDHDVTEASKTWVLFPDSGGNMPRFAAGEETHCAFLDVLAPPYSSTEERDCTYYKDIPYKPCRCALKSGLLDDAQRHGRPLAWLEEVAEPDLRITNLPYQGPAVF >Dexi2A01G0023750.1:cds pep primary_assembly:Fonio_CM05836:2A:35409373:35412668:1 gene:Dexi2A01G0023750 transcript:Dexi2A01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPLNQDQAQAIPGIRKAGSADGKLPLLEPGGASPMSRSSPPNHPPIRRVAKSRRFNTEKAMALVVPCASAHAPRWPGRDQPRAPRQEPAELIRPLRLHAPFGTIGSDAYSSKTSSPPRLKISARGNPFAVGFLVRCRQLVLTVLEDGGGCLESPWTLEATYSFLPHKKVSSFCLSSTTVVSSASAPKEALSLHAMDGVLQDVVVMAAVVDVVTIVASVAGVAAARSLVAVAGLLVLATRALVMGLLHQAKASGLALPRRRLRLLARTRTATPPYTEGIVLPEQQRLPTPIPVEEPPRRPLIVRLHRPGSSAIGIGIIPDLNAPTAATTNGGTGFGPQAGSSNVGGIPAVTSFTVANTASGFAGLHRWDHGCSPWCATVLQQWNSSNRIHIVFIDYTAINHRIGLLQCDKQRGDAIGTRSTGRCSFTGPNLWAGGGRRPPPRHPPRHFEPSLAGLLLQPLKAGTSRQPAERRRAKGSGDKDPQQHCKNVAVAWIYDDDAGRQMAGSGGGGGGPQRQRRQRAGAADVGRQQAD >Dexi8B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:8B:19491874:19492981:-1 gene:Dexi8B01G0010910 transcript:Dexi8B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILARTRARALAVVTLVLQVITLCTLAASLVVIATAPRTQYDMVYAFSIEIDDFNQYYFQDLYTFRVVKNRQELKVVCALLTSGGAAGLGLVVDDQRRHRHLFDSAQKKFYTFFDASCGLLLAAAVCTVIIIMVSVYSK >Dexi3B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:3B:9002794:9005321:-1 gene:Dexi3B01G0012830 transcript:Dexi3B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACRDGAAATRLKTGSLLAILVASAVGICLPVALTRAFRGSPSYARGLLLVKCYAAGVILSTSLVHVLPDAQAALADCAVATRRPWRDFPFAGLFTLVGALLALLVDLSASSHLEAHGHGGGDYHSHGHQETPSYAPIPKKGPVFELAGEMSPTKKRAFLDDDQEDPALHVSRNSADTDRDDVALFGGKKGAAFVRSDEVAVVGGGCHGVGHEVVEVGEGAAEEEEAKRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATVGYMCIMFSVTTPLGILLGMLVFHMTGYDDSNPNALIMEGILGSLSAGILIYMALVDLISLDFFHNKMMSASIKLKKACYIALVLGSASMSILALWA >Dexi5B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:5B:5349519:5349803:1 gene:Dexi5B01G0007900 transcript:Dexi5B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMWSLEKFRPSPNRTKELLSQLRLRTPAGTSREGPTSGGGTVETLNVGAGQPVSSGRLMSTTCKDWFSAKMVSVGR >Dexi8A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:8A:21748494:21750649:-1 gene:Dexi8A01G0012420 transcript:Dexi8A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLVCASPGHSIPQNKSIPNSAGETVDEMMDSDGQLNTDVYGPSGGDSHDKLSIVELREKMASARRNNSGQWAQKKVLSVKTLKVNKGISKSKGGKAHPMHELQGNNDVSDNIQFKGNINFSSKDIVCALIVPLEGQTTKTPDIQDHQVLFEKGDMWHSIEAEDVFKEFPQQAHFLPLQELSPPLREGMAVGLMLSFANLVKNIRKASMDCNTELFEHQISSLSYLEQNGFDVQFLRSTLTKMLQVKLTGSSYLREVHNLKAQIVGMTASSSQVDALLDEKDTAIAQLEQKLGRLRQESQKLEQKLGCLRQESQKIAKEKEHDEAVLSELQVSCSRCEQGYGDANREFNVLAELHQKRLT >Dexi2A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:2A:13478861:13480281:1 gene:Dexi2A01G0011580 transcript:Dexi2A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIVSAIVSEVFSRSISFLIKTCSKSKRTEPPPPTPSEPEESLDSLARLLLRIGAIVEDAEGRQITNQAMLQQLSTLRHVMQRGHFTLDALRCHAHDERHQSSADHDEPGQASFALSRFNPAKRICVCSGRGDEGGAMDLRRVLASLEACVQGAAEFVLLSGRYPPRLARQPYSMHLLVDNCMFGRQMEMGRVIDFLLRGADDPGTEHLGVLPVVGWANVGKSTLVEHACIDERARNHFSKILLLGGGDLMIIDKDMEALAGGAGVIKHENTAASSGGRVLIIVELDKDISDDFWRRFYSAVKNRFAHGSKIIVTSRSDKIVKFGTTLPLRLQFLTREAYWYFFKVRTFGTVDVAMENPKLASIAMEIATEMSGCFMGATIFGGMLRSRLDIGTWSMALATYREFKQRNHFMCDPNPVDPWTLSRPILLPMVNKVSPGYFAVVNNYQTAASAIGDSAPPKVSR >Dexi9B01G0037160.1:cds pep primary_assembly:Fonio_CM05836:9B:38649315:38650066:-1 gene:Dexi9B01G0037160 transcript:Dexi9B01G0037160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIATGAPAITGSSSFLYSSPFSLKASSTSHRRPTGRRPATIRCVSSPPAVDTYCQEKSSSFVEVP >Dexi5B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:5B:2644145:2644498:1 gene:Dexi5B01G0003850 transcript:Dexi5B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPRCEVDYVLSYEPVPCDPGTEAWLKALDPDVFALGVDLERRMLAEQEDFKRQIALKGSVSKMVEVANDDDDGDVDEDEEDYVDGGDEEDEEDYIDDGDEDETKMKKIMSWIMSL >Dexi9A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:9A:11097619:11099162:-1 gene:Dexi9A01G0016150 transcript:Dexi9A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSSSDESGEYIPSENVYLESDALKSPLGADYVLSYRTYLSEEQKKKVMMLIQEIQPDFTVYIATMRKTTVQPPGPYLGITKEYALAYFPDKTTKVTLEMPGKSKKWHPKFYKEDKSRKNFLMGQWLDFVRDNHVQEGDIVVLSPTKDGKRSIFAVYLLHETAINSRGGSGAKLASQVHIEEELTTGKDVTQESDIEIPFEYIVPCQSHLSKSQKRIVEERARVIQSEIPLCVAVMKNNNVGVAQRWMLELGSRFGSVYLPTKGQIMMLQCGGKTWETKMMFHNGRRWFINGGWPNFARGNGLRVGDICLFELKEGEEKLTMAVHIIRKEQF >Dexi2A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:2A:808341:808898:-1 gene:Dexi2A01G0001200 transcript:Dexi2A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQHVHATAGTEGYGNPVAAQPQQHGGQVMEPAAAEEQRSRGILHRSGSSSSSSSSEDDGMGGRRKKGLKEKIKEKLPGKNKDGQQTAAAGGTAAYGQQGHAGTAAPAGHATAGTEGEKKGVMDKIKEKLPGHH >Dexi5A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:5A:8985920:8986712:-1 gene:Dexi5A01G0011980 transcript:Dexi5A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRHSSTADWGLNLAALRCCAAAMGLLGGSDDATSTMRPPLAGAAGGLPATVVITLLVIRHGHGGLVLPGRRGRVGPGGQKAGPAVPAGLGRRGGAPSALARHVRRWDGQLVVVGERGRGGDDDGGVARDDVGRAEVALGREDADLGAAITAPRRRRTEVRPGPGGGGGGEADRPRRRDPSRGAGGAKRVQTGGRGWRVRRGRST >DexiUA01G0027180.1:cds pep primary_assembly:Fonio_CM05836:UA:58124509:58124940:-1 gene:DexiUA01G0027180 transcript:DexiUA01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCSQSIRQGVHSSGGQVKQVAARAHHIRDAGEAVLRAHQCLGFQAFVVVVVVSGGVDTTLDDAAGEIHDVVEHPAVLLRCLVWIIADDDERLAGGERRSGGRRGGSATPGRRSRISNSASSGRTSRLERCRLGGGASIDRS >Dexi6A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:6A:3052690:3054696:1 gene:Dexi6A01G0003440 transcript:Dexi6A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAEQVVHLWKEWGIQVLMLLSFTLQVELYILAELRRRIDSGLLRIFAWSAYQLADTVAIFVLGHLSVISRSPEHELMLLWAPFLLLHLGSQDNITAYAIEDNQLWLRHLQTFVSQVAAASYVLYESSILSNSRSLLRPVAILMFVVGVAKYGEREDVALGGKNLFKVAEMQLSLMHDVFYTKAEVMCTWRTSRSSKIARWMGVEDPWNTLVYSGSIRVSASVKQLVVNRVHQWRRAAKMGKVSPQALGHMIGSWGLAALTDHGGLHESLSWAIDVPLEEGILIWHVATNIYLSWYRKQVMAAGNQTDEQLGTQAEELSNYMLFLLSARPYMLSPLASRKAYVEMCYGLTGLGCSSVEELATALQNYGDTLNRGSSIKFTYTTIDIAMDDPLRHDKTLERGIKLGAELIANPVENKLSLVSQVWVEMLCYAGYNCSTYSHAKQLSKGGEFISIAALLVKYIICDINLPIISDHDKW >Dexi7B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:7B:23903131:23903333:-1 gene:Dexi7B01G0018050 transcript:Dexi7B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLAVLAALSVAASAAAVDPPPKPKGQEVHLFEVTVRVPDRGGVDLEEYNYSLLAEVALPH >Dexi4B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:4B:8936638:8941187:-1 gene:Dexi4B01G0011490 transcript:Dexi4B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKSSSLLGCVCWGDSHLLFSFVQRSEAQARKASLAESVLAAISELMSAAAVDVEQENFMDVDNHLHHTATPDAMELQKAQNSPTNRLHVMEYLAANVDLAKDLVAKCSIIAQRLMDDDLLGITEDLDNVIKNISNELNRVPASTFTSSRFAEPAVSGHLQLVRNRHDLYDQRSRDGYSEGDMSMAVSIERPRRRTLHNSDMPRLVDFLQGMYQDSHEFGSQSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRRAIEDYFDKFTDGSEPVICPVTKMAMQSKTLRCNLPLKSTIAEWITRNEATRVRIARTALSMATTEAMVLEAIHELKVLAKLRRKNRDQMHKIGITKFLARLLDHKDALIRCNSLDLLCLLVEDDAGKFQLLSILHLTQISFHNIQEIIAKTRAVSRTIKLLSSSSTDERHAAISFLVELSKSELLLENIGSTAGSILILTTMKFNGSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELIQKQEMTINIAGSASEILIKMVGSGNTAIRKAALDVLVQISSHHPNAKTLVDAGAVPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPEAIVVNKEGHVLTSKYSVYNFAHMLKCTMPDTLNLSIVRVLLALSVLPKPRATVVSVMKEQDSSQTVIELISSLSESLGIAATRLLIALSPHMGHTIAEKLCKTPGQPGKLIKSIGMHGRITERHAVSATLLAKLPYQHIALNLALLNRGAVVTVLAKIDEMLRGETRASRHAKAYMEGLVGVLVRLTTTMYDSDMLLAAMDHNLTSVLTDLLVRSSGSDEVQRLAAVGLENLSSQSPNLSQPPSEERRPKKKNILQRLREAHASRVHDNNNRRPLPAHGRVCPVHRGVCSPSTTFCLVEAGAVEPLLCVLESNENGRAVEAALGALCTLMDDAVDVTSGVAVLAEHDAPRHVLRVLRQHRKDGQGGHGDGDGGTVTRRCFWAVERFLAHGGERCVREVTSDRALPSLLVGAFHKGDAATKQVAESVLRCLHRMPDYSATYESVEL >Dexi9A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:9A:10117800:10118939:-1 gene:Dexi9A01G0015220 transcript:Dexi9A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTKVERVRMTTGALDCPICYDPLEPPIYQCGVGHLICKSCCAKLKKCPVCPRIGFERCFGMEHVVESIEVSCSFAKHGCTKSIVYFNKKRHEKACRHGPCFCPETGCNFIGPALALMGHLTAHHKWSSKAFRYYEQVELRLQPVPCVLHSRDGHVFLMNVVPAEPFGLAISLLCVQPEATDSRFRCSVVCSCFAGHSQMSKLDAVRSSMLSDGMPKDFFCIVPKPKALVKGADVVLRITIAPQLVFDEEDKEQEDEGEDNESYNDEEDEDDDEEEE >Dexi3A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:3A:1497521:1498440:-1 gene:Dexi3A01G0002260 transcript:Dexi3A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHEQWPGDDVLPGYRFKPKARELIQFYLNPWVTSSPTSQAPPFGEAERIVCAADVYSTDPGTLTSTLRHFGHDDGNWYFLCVARWKDGNVGTRMSRAVNGGGTWHGSGKRIAVPRHGYRQTFEYRHAGGGKSAWLMEEFGTNLPEATGDDGIKVICRVHRTQRAAAAADDADDEEERREATNANKRPRRATSQEQHGFVAGDYWTTVMAPATADVGCSYASISGDAPPETAGGSGTCGQQQPMMGMEQGLGYHCTGGVNGGGLEFKEEPEPLETILDPDKAWQELVDMGYDE >Dexi4B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:4B:5274670:5275225:-1 gene:Dexi4B01G0007390 transcript:Dexi4B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASPAVIVATSFPSEQSIHRAAAAAAAAPSDGMEVEKAKCECCGFTEECTPAYIAAVRAEYLGRWVCGLCAEAVGDEIRREDGTLTTAEALDRHLAFARAPRVARSRKAAASASDDLVAAVARLLRRCLDSPPVSPAAPHGRKVATGPCCPDEADA >Dexi4A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:4A:2310637:2312027:-1 gene:Dexi4A01G0003170 transcript:Dexi4A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPLLSPQRAHPTRLPRRLPAPPLSVQRHVPGRLLGLRRAPPPCGAKFGKFDASDSPAEPEEAGATADAGVAQAQPAEEDDSCLPSDLEGAIRQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAELWALSKIFLDTLVQETGQLKRPEAGWS >Dexi2A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:2A:5824883:5826653:-1 gene:Dexi2A01G0006160 transcript:Dexi2A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPARLSPPHGTVVERPAAARWSIRWALTSGAEFVWKTLALPCLLKTLSGQLDQLAGAFLRRHARRLAGTVTITVAEHDGGGEGTTMKQRSEAYEQAKAYLSHRCSLGARALLAAGGDRGVVLGLGDGEEVSDEFRGATVWWSSVVPASRRDDNNRHDAGGGRTTSSHVYREGRAIIVANRRRKLFTNAKGLVWGWGSSVWSHVALEHPSTFDTLAMDPARKKEIMDDLDAFRAGKDYYARVGKAWKRGYLLYGPPGTGKSSMIAAMANYLDYHIYDIELTSVSTNTDLRRMFIETMSKSIIVIEDIDCSLDLTGKRGTTTDATKKKKTKTKTKKKSDKDGSGTTSAARKLTLSGVLNFIDGLWSACGGERIIVFTTNHVDKLDPALIRSGRMDKHIVMSYCCFESFKFLARNYLDVDAHHLFDAVAALLKEVDITPADVAELLTPKQRAAAGEDADSCLAALVEALQKAKEEEAAKRKAKEEAVQANKAGEKKGKEEVVEVADDVGAGDGDGSVLLQEDVVAELMTPKCADDDEGRSCLDGPVEMLPEAKETAMAAAKNAISDKEVVKDY >Dexi5B01G0031810.1:cds pep primary_assembly:Fonio_CM05836:5B:32460273:32461228:-1 gene:Dexi5B01G0031810 transcript:Dexi5B01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVNRLAEQPGVTIDAEHGVVGDHARRHAGAAHGPEEPVRLAREVELPVRVQHDVEHGEVRLDARHGAHVREERHDGEVPAAARQRGEDGGVGLGVGRDAVGGHVVEQQPLGVAEQAGLAVRGDGGVVGLEVRPDPRAAQPREERERLGPVAAAEGEVDEVGEEDEVRGHGVVLHERQEGERVVQEARAGERGEERGVGEGVGGHAPVPHLEEEPRGEAEVAGAAGGADEQVVGDEERGGREVRREEVEQRDAAARVAEAGEERAEEATGDGAVRGERGGQVRRRPRGGER >Dexi5B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:5B:6005081:6005974:1 gene:Dexi5B01G0008880 transcript:Dexi5B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEAKCGSLAVAAGATVRRPLQPRDTNVAASTVVTKAVSKPKVKPKAVRRLASPSPLPLPFPLPLPPPVVKGAESGVAVVPVAEVSLAEELERARERRGRLREARERTEREMDGRAVALDREAAEWERRAEEQRRLVAELMRLIGMPEVYTPVESLRSREERKRRVASGPLCTRGSTSTASTLPAEVGAESCSDQESGATGVTKEPATTIEITS >Dexi2A01G0026780.1:cds pep primary_assembly:Fonio_CM05836:2A:38344381:38348405:1 gene:Dexi2A01G0026780 transcript:Dexi2A01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACDVSSAASPLEVVAAAFKSRVAELQDLVLARSMFPATALPDLASVDASVTAMESQVQDIRRHLQEELDAIPKAKKLIQRSLKQQEKLQHMLANLPSGMREDVFTPHLEQSSSRMQPECFNFSSSVPERELKIKEEPVAAPKKGRTPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADINAHLVTCPKKKLSEEMWDKALELRDIAATEAVKGKPFFLEVDIKGPGLKLDHTGKAILTVSVHNFCCLLVQYPSEQ >Dexi2B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:2B:11049934:11056474:-1 gene:Dexi2B01G0010120 transcript:Dexi2B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSGGARVAVYEKEDHLGGNGSKTMAVDDGAGGRVHVDLGSMMTSPNMTKWFEELGVEVETSDMSFSASLHLDKGGGFEWGTRNGISGVLVQKSNLLSPRFWLVIRDIFKFKNHALEYLEDRGRNPDWNETLGQFIQKHRYSQLFQDAYLIPMCACIWSSAAQGVLGFPALSVLSFFRDNHLLELFGRPQWHTVKGGSGSYVDKVRGELESMGCQIKTGCEVKSVSKFNEGYGILELDGSEEMYDRIIFCLHAPDALEVLGAEATHEELRILGAFQYIYSDVYFHCDESLMPRNFYAWSARNFLGTSRGVCVTHWLNILQVPKFHDDSIKAGKVAASELLQRKCELLVNPKPMVLSWTEAGARFLVAKNYERYITIGNVSMLEEGGTAFSFGRASEKCNLKSVIQVHDPQFYWKINLYNRLERKRLLRISARKSNYIRKGWWSGNFLGITGVAFAKYILRNASRKNSLSKAVKNISKHYDLSNDFFALYLDPSMTYSSGIFKAEDESLEAAQLRKLDSLISKAKVESWHHVLDIGSGWGTLAIRLVKKTGCKYTGITLSEEQLKYSKQKVKEAGLE >Dexi4B01G0023840.1:cds pep primary_assembly:Fonio_CM05836:4B:25105203:25105574:1 gene:Dexi4B01G0023840 transcript:Dexi4B01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILAVVFFILMVVALADADNGPQPLQVRQRLQQIECPSTCLGRCYKNWMNEMCNKMCNVCCNHCGCVPPGTGQATRHLCPCYDTMVNPKTGKLKCP >Dexi6B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:6B:3705737:3707458:-1 gene:Dexi6B01G0004450 transcript:Dexi6B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAALFSLLLRLFAVQPDIIVNNGGDVTTSFTACLATAGVRNVTSHGSPSYDAALRVSIQNLRFAGAGEPKPTAVVVPASLADLRAAVACARAAGLVIRLRSGGHSYEGLSYTTEDNGHTPFAVVDLAALDGVRVDVATSTAWVQSGATLGQVYHAIAVSSPTLAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAGDGVVDAVMVDAEGRVLDRDAMGEDVFWAIRGGGGGTWGAVYAWRLRLVPVPRHVTAFVVNRPGSVAAVARLVSTWQHVAPRLPDEFYISAFVGAGLPECSNRTAGVVSVTFKGLYLGPRHEALKILTSRFPEIGVSDLNMREMSWIESVVFFSGLPEGSSVSDLTDRVLHTKNYFKAKSDYARCPMGIERLTRVVNLLSEQPKAYVILDPYGGAMDRIGSGELPFPHRKGNIHGIQYLIDWTAEEDEHREEYMDWLRRFYDFMGEFVHKNPRTAYINYMDLDLGTNNWSGSDRRRSSRIDDDGSPNPEVEAARAWGERYFLGNYDRLVLAKTMIDPDNVFRNAQSIPPLVGRPGMTRSPRGISPKVTSNGTTYDS >Dexi4A01G0022200.1:cds pep primary_assembly:Fonio_CM05836:4A:25486324:25488829:1 gene:Dexi4A01G0022200 transcript:Dexi4A01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRPPSSPPWWRQSVLAAFIVSSLASTATAQAAQLSQSYYASTCPNVETLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGPDTTLSPDALDLITRAKAAVDGDPKCANKVSCADILALAARDVVSQTGGPYYQVELGRLDGKVGTRAVVKHSLPGAGFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYPFKGGASAGPPMNLYFLRQMRQTCPLNYTPSAFAMLDAVTPRKFDNGYYQTLQQMKGLLASDQVLFSDRRSRATVNYFAANQTAFFDAFVAAMAKLGRVGVNTGSDGEIRRVCTKVN >Dexi9A01G0046700.1:cds pep primary_assembly:Fonio_CM05836:9A:49999735:50004005:-1 gene:Dexi9A01G0046700 transcript:Dexi9A01G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSADPHAAVAGAGTPPSARSPVAAKKAGAAVAAWKLPGAAAVPAAVVVESPIIDSESWPALPGLASPPPLAAGPAAKASPKAASPVSTGAVISPVSLGDSGAPDANPANGGPPVRSPVARRALVMPAADGPEKNVPAPELSPVYMPNARSNGGDPHHQNGRFGSHPHGRGGGYGGGNRRGNGGGGGRRGNDHRGGFDGPRRGGGRRDGHGPLHQQRGHQPTYIRPPPALAVVAGAPPPPPPFVSPATPQTPPYGTPMGFPDIAPHVYYFAAPHPEGIQGLPFVSHPASPQAILIDPLRKELLRQIEYYFSDGNLCKDIFLRQRMDDQGWVPLALIAGFPQVSLPPELRPNLNASVGEGVLGQGGKLRRRGTWENWLLPKPNYSTGSSSGSLSPVTSNIDLLASQLHSVGLEGAPYHANMQGMPGEALLTRSVTSVSLGHAPNLSGLHNNGSGPLFGAKAARNLLRSDTF >Dexi8A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:8A:16860101:16860831:1 gene:Dexi8A01G0010200 transcript:Dexi8A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRANLDEETTKACLDLCIDEKNKLNFNKRSLTKDGWENMYRNFRQQTGRTYGKKQLQNKFTTLKRQYKLWRDLKDKSGAGWDKNTGTINCTPEWWTDRIAENENNKQFRGKRLPFEDELAFLFDSMDSEDGDMLCVGGIGTKHQAVQAKRTLILWRRTIMHGQRIILDGLVWVVQPKGQGRRKLLIAH >Dexi5A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:5A:10059573:10063003:1 gene:Dexi5A01G0013390 transcript:Dexi5A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNSSMAGAGLEQWRELFRGRDIYDVISKAIFIAATDSPKEFRRRRDGIVEQIYTAPSAAATISATLLQGSCGGGSALQVSDKGSKVASCTVAAVPVAPPAAEEPDEKKDEEGVAAADDKHGNNGNGDKTNSFSEIDMDWLETIAEEMDTETQENTENTEIGHAVAALRKHKLHKIRMLVREIIKGWKAVVDDWCAASNATMDDDSNKSLDMSNPLSADQDEGGLATPPMDVGALFLVSQETAIQNVAEFLHGMDDDGITTDVVADMDTGRGGCGSNNKYDVEKPSNTVPSWSNDAPVVEALTVTQGPTLETRNPQNLPARERTPSRNTNPPQKLLNAAGNNWLLRQQGELPSDQVVLETRVTTTSQSLTEPKVGIGKRKDQLIDVKLDETKRKLHGAYQEAEKE >Dexi7B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:7B:21816623:21819891:1 gene:Dexi7B01G0015780 transcript:Dexi7B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEGYPEEDNAEAAGMDEDGDNDMHDLESLNYDDLDSVSKLQKTQRYKDIMQKVEDALQKGTDFSYEGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARLLGAKRKNLAGFSTATSHFRVGYLEQTEVFQSTPPALRTRACRLIATKSTLAARMDSIRGDTTGKAGRNLLEEIHKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTGMMKLANRMQFGIPEESSLGDGSGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTLVKGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQ >Dexi5A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:5A:9201343:9205016:-1 gene:Dexi5A01G0012260 transcript:Dexi5A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPACSLVSAPPVPVWSAARPCALVAANRDQRRRFAVAAASPLVEATTETEPLTKEDLVAYLASGCKPRSDWRIGTEHEKFGFQVETLRPINYQHVRDLLNGIADRFGGHRVMEGDNIVGLKQVKAVGKEMGIGFLGLGFQPKWALNDMPIMPKAKFEIARNYMPKVGSFGLDMMFRTCSIQVNLDYGSEKDMIMKLRAAIALQPIATAIFANSPFKEGKLSGFLSLRRFERYVDYVLDVPMYFVYRNKRPVDCTGMSFRDFMAGKLPQLPGERPTLNDWEIHLGSIYPEVRLKKFIEMRGADGGPFSTLCALPAFWVPITGLRTQFRGAYVRDLAENLLKLAKDGLQRRGHMEVGFLDDVDEVVGTGVTQAEKLANLYKTRWHYNVDPVFHEFIY >Dexi9A01G0027370.1:cds pep primary_assembly:Fonio_CM05836:9A:31967927:31974649:1 gene:Dexi9A01G0027370 transcript:Dexi9A01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHGEVSDDEAATVVESVPLCPPPASAQRLVVGYALTKKKVRSFLQPKLLALARKKGIHFESIDETRPLSEQGPFDIILHKLTSKEWQQVLENYREEHPEVTVLDPPNAIQHLNNRQSMLQEVADLNLSNGYGEVCTPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYIVGETIRVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPKPLLEKLGKELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYERLLSAS >Dexi4B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:4B:1173472:1176262:-1 gene:Dexi4B01G0001910 transcript:Dexi4B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPSLAASAGLFLLSVLAVALLAVTLYILGVVASFAVFCIREFARRGQDRPPLIGTVFRQLRNFDSLFDEHVRYALAHRTSRLVYPGHSEFNTADPAVIEHVLKTSFSKYSRGAFNYSVMKDLFGDGIFATDGEKWRHQRKLASHEFSTKVLREFSSVVFRTNATKLADKISSAANNGTVIDMQDLLMKTTMDSIFKVGFGFELNTLSGSDESSIQFRNAFDEANSLVFHRYVDIFWQLKRYFNIGSEAKLKRNIQVIDDFVMKLIHQKREQMNGQNNVRTAKLYPEYPRAREDILSRFIMQSKTDPKTMNDRYLRDIVLNFLIAGKDTTGNTLTWFFSMLCKNPIVQHKVEFEINESVEWAEKDNTDVFTAMLNEGAIEKMHYLHAAISETLRLYPAVPVDGKMADEDDVLPNGYRVIKGDGMNYMIYAMGRMTYLWGEDAEDFKPERWLVNGVFQQESPYKFVSFNVSIIGNSQITDPY >Dexi2A01G0034450.1:cds pep primary_assembly:Fonio_CM05836:2A:44401293:44403286:1 gene:Dexi2A01G0034450 transcript:Dexi2A01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWLVSAALAAALASWAFDAVVRLVWRPRAVERRLQAQGLRGHRYGFFHGNLRDMRRLRAAAAAVKLDVGDHDYIPIAQPEFREWIPRYGRVFLHWSGTTPNICVADYAVVKKVLADRTGMFPKNRMNANMLRLFGDGLVLSNGVYWQRHKRVVHPAFNMDKLKMMTVTMAHCARSMVAGWEADLARRQKKGYQQLRIELSDPLEELTADVISHTAFGSSYREGKQVFQCLKELQSIAFTSLFAVQIPGFRYLPTEQNRRVWKLDKQVRSTLMKIIKNRLAAKENAGYGNDLLGLMLEACAPEHGGDQLLSMDEIVNECKTFFLAGQETTSRLLTWVMFLLCTHPEWQDKLREEVRRECGDDRDQPPTHDMLNKLKLMNLFILETLRLYSPVPLIRRRTRSPVELGGVVVPEDSLLTIPIATLHRDREVWGDDAGEFNPLRFDAGATKMAPKNLSALLAFSSGPRSCIGQNFAMIETKAVVAAILQRFKLALSPEYVHAPTEVITLRPKYGLPMIVTSADA >Dexi9A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:9A:8033087:8034382:1 gene:Dexi9A01G0012580 transcript:Dexi9A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPDAKPGRGSPPVPPNYVSLRQLQELRLKEKEEQERRRREEEEAAAAAAAAKRVAEKAAMAAAIKREAALKAAQERRRREEEEAAAAAAATKREPEKAAMAVAIKREAALKAEAKGRAGSWEASIGAKERHRGGRAQGQGNQWVAVPHRAPATTLEPMARGQGAAGKRGAAIGGGDGDKGPDDVPANAARGGGKPLVKRKGKGKRRGREKLREGALASSHGGNPGEVVPASSNGGKPENKSESTAKGKGPGDEAAESSSRGGPGEPAEAAISSSRNCSRHREVIHAYARSAETSTGIAMAKVAGPPERGVKPDGMEKPTLPVPRRADAVSGSYSPDGKKAVPAQVPPNSAADISSKPTSGGSDGGLVEGQRWRPVVEAQAVAELNPRVARCSAGPLSSRRNLAVEQRGRVWVPKAAAAEAGSSAGEGL >DexiUA01G0013930.1:cds pep primary_assembly:Fonio_CM05836:UA:29283907:29287441:1 gene:DexiUA01G0013930 transcript:DexiUA01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERSLCAPKSDGDRSPIGARRRVPRCCEPSSPSPLCRLQTPVDFTGHQSQGRQWHDLPSLAAEEGRYSDFIGTSCRYFYLGKMFWGLNELHMVRSSSVYNDAIEQREQVLECFQHLFGEVKSEDFMHACALDFLNHDGFSNSILLYDEKRDVSEVIADRNYAVGEQVMIRYGKYSNAVLALNFGFTLSRNIYDQFHPTISLKIEFLITDE >Dexi5B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:5B:22034680:22035340:-1 gene:Dexi5B01G0019780 transcript:Dexi5B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSAVVACARRVSRATRRLLRASRRPQSRDRRQLVPAGDDHHEYSGEEEDDGDEEEGGLWRRAILMGERCKPLDFPGAIHYDSFGRRLPAAPAPPPHAPRGGNAGKTAAVGPGALLCRSACDVDEAALGYMRAS >Dexi9B01G0023780.1:cds pep primary_assembly:Fonio_CM05836:9B:19238773:19240947:1 gene:Dexi9B01G0023780 transcript:Dexi9B01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPQNTASTHRSTFVRGTHQLDIVGYSVRKELGVSNSVRSCAFEAGGHTWDLVCHFQRHGLEAISLELLLSPSITTRDVVAMAGLRISDPTGRSPAAIWRSHEAHTFYAHSSTTWKLSLPDAFREERYVHGDRLSIHCTVDVLEDSTTLMPPETRNRFISAPPPPSISHDLHRLLLVADDARWPPPDVTFVVEETVEIRAHKLVLSMRSPVFRALFHGSMKERFTRSVRIDDMAASTFRAMLRFIYTDELPIKPKGVASQEECRSKHLARRRVAMARDLLVAADRYGLERLRLMCENILSESLDATTVMATLTLVDGRYSCRQLEDSCIAFMASTFDDVVATPEYQELKGNSVSFIADIMERVALHKLAAGNCPSCSSSSSTSKANMKSASTYTSLVRGTHEFTVPNISTVLSALDVGHDLHSGSFQVGAYDWRIHLLKEREHLSAWLYLLTHPGTDKIDATLAFHVPDPDDKSWPPATMKKINVVYSKDNMAWGPQGLSLITLASAKAKSQHVGQDGSLTIRCDIQITNPESCGSSSTAVGGGGTIPVPPSNIAWHLEQLLASEQGSDIKFLLEGTAVVHAHMLVLAARSPDLYDQAAASLAGGTDVDEHVRIDDMTEGVFKAVLHFIYTDQLPCSGALRDGDMAMAGEVLEVAGRYRLERLVVMCQNRLAESISAENALGMLKLAERLRCKELEDYCLDYIASSQHIATQVMKSFGSIVN >Dexi3A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:3A:8687298:8692144:1 gene:Dexi3A01G0012010 transcript:Dexi3A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTYTWFAGLCQCWCYIAGRRRLLPNECGIADTQGYLDLQPVRFLRFPVKPPRTAVIGGRRFPFPPSPVVRFSPAQPSPVVRFSSPSLYLADEWAHLVSVVFLALDRARLDLGFPLAQGDRRPTPLSPSTQTAASVHLATAAIRAFEFVDQLRAKVWNPPSLQSLSLSLSRVLEATHLPMDLPPPAHEENANQGRLLHERADMDRIVHQARGEMDSKLKLERENMLGRVKLQRRVLDQKLQHHRASMDKMLCEERENMDQMLKLERESMDRRFQQEREEMDRTIKMDRLSMDAEIMQERAQMDMKVLEERQEMDLKILLEDERMKNASHG >Dexi4A01G0021910.1:cds pep primary_assembly:Fonio_CM05836:4A:25303760:25307668:-1 gene:Dexi4A01G0021910 transcript:Dexi4A01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSAKDALAAAAAASVASDKMRSVTLGGSIQRAVRRMTGGGGGGGGRRRTGGSARAGSVDASASCSGVGSFLLDDQSSLLVSLPGASLDDTSIGSGKMEGRRRCSMRQYRSQLEQEAFLAYGVPENDMKLFSLMQKSLMLALHKFKTSEKLKKYSIDDTEPLVLFALCCGMFSSPAVSE >Dexi5A01G0038340.1:cds pep primary_assembly:Fonio_CM05836:5A:39365092:39366302:1 gene:Dexi5A01G0038340 transcript:Dexi5A01G0038340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLAHCFKLLLLLALGGVTMHVPDADVLSPLTLDGHFTFHDAPAMARDFGNRCSLLPAAVLHPGSVSDIAAAVGHVFSLGERSPLTVAARGHGHSLMCQSQAAGGIVVKMESLRGDRLQVVHGGGVPPFVDAPGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDVVTCSPEENADLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFASFTEDQEMLIMAEKTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASRFQSDGRVLYCLELTKKFNGDDVDTMEQVSSLFPLAHQDGDI >Dexi4A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:4A:4471669:4474568:-1 gene:Dexi4A01G0006220 transcript:Dexi4A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDGGGDAAAPGLGKTVCVTGAGGYIGSWIVKLLLERGYAVRGTVRNPDDAKNAHLRALPGAAERLALCRADLLDFDAIRAAIAGCHGVFHTASPVTDDPEQMVEPAVRGTRHVIDAAAESGTVRRVVLTSSIGAIAMDPNRAPDAVVDESCWSDLDFCKNTKNWYCYGKAVAEKAAWEAAAERGVDLVVVNPVLVQGPALQPVVNASLMHVLKYLNGSAKTYANAVQAYVHVRDTAEAHVRVFENPNAAGRYLCADAVLHPRAGGCSDEVNPRKEPYKISNQRLRDLGLEFTPAAQALYETVICFQEKGILPVPAPSPSPQP >Dexi6B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:6B:16856727:16857649:1 gene:Dexi6B01G0010470 transcript:Dexi6B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKLALPQRKKATMATDVPHVLVVDDTFVDRLVASRVLQSCNIRVTTMEDPKKALEFLNVEHDVQLIMTDYCMPSMTGYDLLKELKESPKLKQIPVVIMSSDHIPERMKRCLDAGAKEYIMKPLDVVDVPRLLSYI >Dexi8B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:8B:2023590:2024559:-1 gene:Dexi8B01G0002880 transcript:Dexi8B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGLRRLFEKPLPENPTLLEALSACHRNIHHNNKQPIDPSSFTEIFGELHFQEKQQQQPERAAAVLPHPEPTPLSSSPAPASWLDIANAAEAEKSKDDSSLDALLKPKPGSTPATVKRSASFCLKKSSTASLLLCTEGLGSESTVDADDMFKDDGDSETAALKGTAANDTDVEAAAAVAEEEEKEMRQQPKEFPPPIRSIGRGGKPYVCFRSFREGGRFVLLEVVIPGKELLQATREGGRLRLQFAAAAAAVVGGVRVVRGEEMHGEDDAT >Dexi1A01G0029410.1:cds pep primary_assembly:Fonio_CM05836:1A:34916814:34918066:-1 gene:Dexi1A01G0029410 transcript:Dexi1A01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLKSHSHAAAALQSARRADLHGRCPATLHLGRFHDHGGFRSSRSKRLESTKVKAFPSLDVVPLMVTMVDHVDMSRDYVVTKSIFHISDATLKSAYTFYAMFTAWGDPFYDSDAYRSQGGDGTVHWYYDKQEDLEASAREELLREELLEEIELRVGGLRELEEAGREEQLTP >Dexi6A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:6A:2339302:2339892:-1 gene:Dexi6A01G0002440 transcript:Dexi6A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAACFGAAPMTPSYYYSSAAGRKKPWQWWRAKCAGIAAAVGSRIRRSVKSIDGSRRRRRRTPSSSAHAVRHHHHRSFAPVYIDDLYTHQQQQPPPKALRVVRAHEPDANKPTAPATPPVHAAARAPSSKAAIAGGAMMRNVLLRSPGRGVLLGAGMGEVDLRADLFIRKFKEDMRLQSQRSAEEFQAMLARGL >Dexi5B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:5B:13292496:13293005:-1 gene:Dexi5B01G0015870 transcript:Dexi5B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRVKPGFNGVVGSGFDGSSRRAGGGYAMGGVGAVAPGGRSGVPVDACGVAMRAFVVAATLVAAVVMGVDRQTRTIQITLADTLPPLEVPVTAKWSYSSAFVVLI >Dexi7A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:7A:28966255:28966790:-1 gene:Dexi7A01G0020020 transcript:Dexi7A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSTRSKVAAAKQKGQKADSSVMTNGSADNKSIDCPKKDEVVVVVEEETTKQHDGGNVTGRTMAHEMEEVPVPATSQQSQGMAALEAAVPVESEKGATTKRRRDQEDLKQLQQHGRGRTVRICCFP >Dexi2B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:2B:3553960:3555882:1 gene:Dexi2B01G0004000 transcript:Dexi2B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSSALGLREVAMAVAALLVVVVAAPRSCAAQATSGCGASILSLAPCLSFTSGSAAAPTGPCCSALAGVLSGAPRCLCAVLGGGASAFGVTVNSTRALELPGKCKVTTPPVSQCDGKKLISSRATCAPAPSPPTAATPGGPGSSSSPSAPAPTADSPTAPPPAHSTTGAGSKATPATGAVSSRAGVTRSAAAAVSFVVAIALMMAARTMGTAMVMISLVAVLVALACSQAAAQGNGCSSVMMTLSPCMDFISSKAPDPGISCCSVLAGVVQTDPRCLCMVLDGTAASFGISINQTRALDLPEVCKVQAPPISQCSGMS >Dexi3B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:3B:3797113:3797742:1 gene:Dexi3B01G0005600 transcript:Dexi3B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCCSPLLACFGPPAPAGNNSPHPPPSQIALLLLLVAATTIPLTNHQPATAPGAS >Dexi1B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:1B:6991710:6993185:1 gene:Dexi1B01G0008350 transcript:Dexi1B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGDGAAATLTNSSPHFVLVPMMTAGHTGPMLDMARVLAGRGALVTFVTTPLNLPRLGRVPGDDELPIRFLPLRFPCAEAGLPAGCETPDALTSFDYLENFHNACAMLAAPLAAHLRGSDPPASCVVSDAAQPWTGAMARELGVPRLALDTFCAFSSFCMRLMSVHHIFDGAVEDGDEERPVRVPGFPIDIEMSLSTSPANFSGFGKVFADEIMAENARADGLVMNTFTELEPLFVDAYVAALGTKIWTVGPLFLHTMPSPAITGTDDDTSVRCVRWLDSKTPRSVVFVSFGSLARTSLLQLVEIAHGLEASDRPFIWAAKPVNLAEFEQWLSDDGFETRVRERGLVVTSWAPQKAILSHPATGGFVTHCGWNSTLECVVAGLPMVTWPHFAEQFMNEKLVVDVLRVGVPVGVKSAAKWGVEAEAVAVTREDVERAVAAVMDGGEEGAARRSRAEALGKKARDAVERGGSSDRNVACVVQHVLEQRKPVA >Dexi2A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:2A:28040177:28045810:-1 gene:Dexi2A01G0016450 transcript:Dexi2A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKAIQSSGSDFHGSFDHDVRFTKIQRVEPRDDKRPPLTHRIPGSSTNLVDHPISSDSRLESKQNKDTRDTKADDRETKADARDVYSDPRTEPQGNKVESDVKVDNRADESEIKAERRGHADYKGDIKFDKDSHHATSSNLGWKDNKEHRGKRYFEQASDNVDWRLPRPGLQGTDETPKGPTSVEERNSKDAHESTGDNKTEPKSEDKFRDKDRKKKDEKHRDFSVRESDRNDRRTSIQIGGSGVERREMQREDRDSEKWDRERKDSLRDKEVNDREKDSSKKDSSVVIEKDNPILEKASSDGAVKSAEQENTTTESKVLKDDAWKAHDRDPKDKKREKDVDIGDRQEQRSKYNDKESDDNGTEGDIEKDKDAFGSVQRRRMVRPRGGKSEVPAIVYKAGECMQELLKSWKEFEATQDAKNAESLQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSAK >Dexi7A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:7A:11481360:11483861:-1 gene:Dexi7A01G0002700 transcript:Dexi7A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTANHTQTVAGWAAMNESGKVEPFIFKRRENGVDDVTIKVQYCGMCHTDIHFMKNHWDITMYPIVPGHEITGVVTKVGSNVSGFDVGDRVGVGCIVEACLDCDHCQSSQENYCDKLVLTYNGILSDGSITYGGYSEMVVVHKKFVARIPDTLPLDAAAPLLCAGITVYSPMKQHGMVNSGGSLGVAGLGGLGHIAVKFGKAFGLRVTVISTSPAKEKEAREGLKADDFIVSTDLKQMQAKMRSLDYIIDTIPVKHSLGKRTISGSITGSMKETQEMLDLCGKHNITCDIELVNTDGINEALARLARNDVRYRFVINIAGDSN >Dexi5B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:5B:2210664:2211206:1 gene:Dexi5B01G0003330 transcript:Dexi5B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAARRLRAGGGGGRTAHHRGGAQPRPTREERFRRQPRSRCSSASQAAKRVLPPATALDQINPVDRTEDSDEPGLTSSFVPETIRNPRIPRAEKPQSRKSTTRRPPKTPPQISTHTRNSNGRTRSGAHLARIWAESRSRGHPSDARGELQPPPPMKAPSDEAGSDEPAPEVVEPRRRG >Dexi9A01G0032120.1:cds pep primary_assembly:Fonio_CM05836:9A:36921372:36931788:1 gene:Dexi9A01G0032120 transcript:Dexi9A01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAACDRLLPPTPSTRIVFVSVTLLRRLHHRPPSSSRLLPPPPLNQGSITVWLRWRCPRSLVVYPTTIARYHDAWSLLYSHWEEPPIPNPKRWQRWRRRAHSLTGESHARCRVIAQGYRVLDEERHAVDYRPSVWGDYFIKNPTLPHAHEMIAMPMRLQEVDKRSEQSCRCAPQKLDRSSTPRVQSLDEGVASEACSSGEFYALQMIAVLMHLQEVDKRSEQSRGCAPQKFDRSSTPGVQSLDEGNAGTEKNKSTTQQWNLRVLFMEDNGGRPPL >Dexi1B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:1B:3580154:3580913:-1 gene:Dexi1B01G0004430 transcript:Dexi1B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLHSSCPKRNSKILHINSLGVALVLLFLAPLASSCTDEERTSLLEFLDGLSQDSALNTSWKNGTNCCLWQGIICNVNGAVTDISLASMGLEGHISPSLGNLTGLLRVNLSGNSLSSGLPSQLLLSSSIIVLDVSFNKLNGELHGLPSTTERTIKVIDISSNLFTGYFPYNTLQSMKNLAALNMSNNSFIGKIPSTVCDDKQFFTIIDLSYNQFHGNIPREVEFLRLARTISVGTSPLSSSMSCR >Dexi9A01G0045620.1:cds pep primary_assembly:Fonio_CM05836:9A:49188462:49191689:-1 gene:Dexi9A01G0045620 transcript:Dexi9A01G0045620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHYINTGFPYTVTESFMDFFEGLTYAHADFALTDGFQDQVVWQDNIDPDNMTYEYISCLILSSTITWFCWQELLDLGEAVGTQSRGLSQERISLLPVTKFKCGFFSRKKRRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPKRQ >Dexi2A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:2A:11293917:11295629:-1 gene:Dexi2A01G0010140 transcript:Dexi2A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSVAATGTAAPPPPPPPLHLVVVPFPAQGHVAPLLQLARLLHARGAHVTFVHTHFNYRRLVLAKGEPAVHPSTSPSFRVEVIDDGIPLSSPQHDIPGLVDALRRNCPAPFRALLARLAAEADGGAPPVTCVVADVVMPFAPAVAREAGVPEVQFFTASACGLMGYLHYGELVRRGLVPLKDETCFTNGYLDTPLEWVPAMKHMRLRDMPAFCHTTDPDDVMVSVTQREYMASAAASSAIILNTLYELEKDVVDALAAFLPPIYTVGPLASVLASSSSTPPPAMDISIWKEDTRCLPWLDGMPASSVVYVNFGSVAVMTSAEAREFAVGLARCGSPFLWVRRPDVVDGEEAAPLPEEVVDAVACGGGMVAAWCPQAAVLGHAAVGLFVSHCGWNSMLEAVAAGKPVLGWPMIAEQTTNCRQVCEVWGNGARLPETKVESGAVAGMVREMMGGEMGKEKRAKAAEWKLAAEVAAMEGGSSWRNVERLVDDVLLLGVGSK >Dexi5B01G0026940.1:cds pep primary_assembly:Fonio_CM05836:5B:28602740:28612601:-1 gene:Dexi5B01G0026940 transcript:Dexi5B01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREKAHSTPPRALRRRPSPPLVVLEDWGSAIATESDLGRRKKGRAVKRSVALPFPTLVPTRLIAAAAVIGRRRFLCLPHLLRRARCWRLLRAAAPLPLSFPTLLLAHHRRRCSGRRFPCLPSPSAMDLSGSSTSTRGRGKNKRKWTVAEDDELVKVLYEISLDPRWKGDGGFKNGYCSLLETRLAEKLPASGLSAVPHIESRVRHFRTKYGAIEVMLNKSGFSWNDNTNMLQCEKTQYDTHCKYHPEAKGVYGIAFPYYNTLAAIYGSDIATGEGAEGLTEAVGNLGQELANEHGNHEEIEEDRMYRDTPVQSTDSASSSFKKRKINRKGKDYGSVSSDPLLDMLTEVQGDLKGVAKNVGKMAEAMEREAAIQEKSK >Dexi2A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:2A:6310919:6314306:1 gene:Dexi2A01G0006730 transcript:Dexi2A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTMELVITIPLVLLHACILSPRTAAAPALRHHQYPKETAAAEALLQWKSTLLRAPPSLLSSWRPGTTPCTSNWTGISCTTTTTRHGGAAAVTGISLPHAGIDGHLGELNFSALPSLHHLDLSYNSLHGEIPAAIFVSLPALSYLDLSANWLHGDIPPEIGGGGMRSLAHLDLSINNLTGNIPPSLGNLTVLGFLVLQQNMLTGPIPPELGKITGLETLVLCTTSLSGEIPETIGNLTKLITLQLYTNQLSGPIPPAVGNLVNLIDLELADNQLIGEIPTSLANLTKLGILILSKNQLTGSIPHGIGFLANLTDLLLDMNQLGGRIPRSLGNLTKMEYLELSKNQFVGSIPSEIGRFRDMWFVGLSENQISGSVPATVGNPTSLIEFDVFCNKLSGPLPREFLNLTLLEDVDMGNNSLSGELPSDICRGGNLVNFILAMNMFTGPIPASLKTCACVVYLNVGSNQLTRDISSLGPYPQLVEADFGRNKLHGHLSKTWASSVNLTILNLSKNMISGSLPPELSNLTMLEMSNLQYLDISMNNLSGSIPQELGGCTGLLSLLLNDNSLSGELPMTLGNMGNLQLVLDVSSNKFTDGLSSQLGNLVKLESLNLSHNEFNGSIPSSFASMASLSTLDVSYNNLEGPIPTGQLFRNASIGWFLHNNGLCDFKAYVADFGTARIIKSDSSNWSELAGTYGYVAPELSYTSTVTAKCDVYSFGVVVLEIVMGRYPRELQSLGSLSLEEHSKLAMDFLDQRPPSPTAAEKKEVALLIDVAYACLETSPQSRPEMQDVYQKLIRHKPSSLASSSGKITLEQVTDGEV >Dexi9A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:9A:5488087:5494727:1 gene:Dexi9A01G0009190 transcript:Dexi9A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEFSVPGAEDLPARRSDAIDVAGNVWDLATLPTPPAGADREIYIYRNTFNLVPRSIGGGGAGLRSLKFFGNDVEVLPTDASGELDGLESLQVKVSAPRVSGAPLRRMQALKELELSMVPPRPSSCSILAEVAALKCLTKLAICHFSIRYLPPEIGNLRKLQELDLSFNKLKNLPNCIIELSALKFLKVTNNKLVDVPSGISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRLTHSYVIPSWICCDMRGNGENAMKSGKLKYLGVGNTNSLAESRTSSRACDASLLCLQLEASPNLKHHAPQKMKKGWRRRDCRQQQARQERLESSRSKLNEKYIDEMAVNMAEDDCPSRLHDDDETSVHDLSKETSSISEDVSSIVDDDLDELAKDSGMMLQDHDSEEKHGFNMRVHSDENSCISAEPTCFNRGRVRNAESELDDTASSAHDLIETTQGNPSVTSKCASKSKRHPDMDNNPKPSKCPRAIDECSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMSLEEYERSLGLYAREVILLDREQDEELDAIAYSAQLLLSNLKRPSSFEMDEDAGHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVSLRKEQPFVCTCSAGNMCDNNEASKQTNTLSGHFDFTGLCNRSIHIIKEKRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNGWVRYLDTRSASNDEVKLFEYKLLGEVRMLGALKKHRSIVDIYGHQLSSKWVQDDGDKEYRILQSIILMEYVNGGSLKGYLTKLLKEGKKCVPIDLVFYVAREVSCALLEMHKKLIIHRDIKSENILVDLDSKRNAGTPVVKLSDFDRSVPLHSLSHTCCISHLGSHPPNVCVGTPCWMAPEVLKAMHEKHHYGLEVDIWSFGCFLLEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTMDEPVMRLKLGITSDAHADKLRHLIDLFYQCTRGNASKRPKAEQIYNSLCSFPTCYDMR >Dexi9B01G0022190.1:cds pep primary_assembly:Fonio_CM05836:9B:16836482:16843714:1 gene:Dexi9B01G0022190 transcript:Dexi9B01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVSKRSHRSNEAAGPSNPSPAPPQQQPSSPASSAAASASSSPPAVAPDAAEEDFILQEEEYQMQLAMALSASASVSGEGGAGDPDGEQIRKAKLMSLGRGDPSAAGDQSGGDTAESLSRRYREYNFLDYNEKVIDGFYDIFGLSAESYRQKKISSLAELQMSIGDLGFEVIVVDHKFDNALREMKDVTQCCMLGCDDISVSVRRIAEVVAEHMGGPVIDANEMFTRWVGKSIEQRTSHQTSLLPIGRIEIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAISIIKMDDDREYLVDVMAAPGTLIPADVFNSKATSLNANQTGQNQMTDSITNSDKEPVALQFEHNHNQLRMPSNDNWISNNHSRHGETATPSAPNPWADTVSVTAGSSSAPCALVPHKQSDQPSTASTLSKQKEDLKLLSNSQDNEESKRLFSDLNPLRAIGSGKSSVALKGSDNRNNEFQRRRENVAPVPARSQQPLVIKNWSAFNDISNNKQYNFAEGLVPRRNVMNNVASSSQLAWSAAKHYNSNAVERNNWSNAPPVRNYDNGTIGASAMTTTSNSGEHLDKSNMGVASDYEIIGSSSANTASSYEIGKAADKGPSDDLEKGSMYSRFDGQLRVNSQVFVLQANENKENYGKHEHQKLYPDIRKPPPDRFMGAPKQHSGSVSPSQVGSSRVDMVLEDVSECEILWEDLVIGERIGLGSYGEVYYADWNGTEVAVKKFLDQDFYGDALDEFRCEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLHKIIHRCELDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDDNWTVKPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRTPWQGMNPMQVVGAVGFQDRRLDIPKEVDPLVAKIIRDCWQK >Dexi6B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:6B:9944275:9947536:-1 gene:Dexi6B01G0008030 transcript:Dexi6B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSPSLLSSPLPSPATFLSKKPASLRAPSFGTARRGVRVVAAAAAAAAPVSAARARPSAAEVARTVVELAPSGTLSVVGPDGWPLGIGARFVTDAAGAPALCLAAAEVAAPDAPSSFHVEFRQSGARTPQCTMLGALTKPSDESVLKDGMWVVPSEYTSAEPDPLRNFAENMVEEFNSKNAEDVHRIYSIYVESDLQVADVKMIWVDKLGFDLHVHSGEGVFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYASPGFEKVQFLKKVT >Dexi3B01G0038680.1:cds pep primary_assembly:Fonio_CM05836:3B:41436073:41448655:-1 gene:Dexi3B01G0038680 transcript:Dexi3B01G0038680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSVLVPVQYGTQHGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEIHQIKRCMAQMVLLAGPGVVVSTFLLGSAVKLTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIVSVLWLGFIFNDTIIEISLTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNVHFERHGTSWGFLLLLYVFVQTSRLIVVGVLYPLLRHFGYGLDLKEAMILVWSGLRGAVALSLSLSVKRTSDAVQPYLKPEVGTMLRILKYTRYEMLNKALEAFGELRDDEELGPADWATVKKYITCLNDLDDDPEHPHDVGDNDDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVSRQPLCDWKGLKSNVQFPNYYRFLQMSRLPRKLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEIARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLEKTGLLEEKEMIHLDDALQLIHLADRLEEVEEESTASENAKTYSFVPQQWTSQRLSRRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIDELRQTDPSIEVFLWQESALVIARLLLPQIFEKMAMHEIRVLVAERSTMNIYIKGEDVELEQNYIGILLEGFLKTRKQNLITPPGVLLPSSTDLSLFGLKSSAVNHIDYCHTAPSYQVETRARIIFFDIGRALEGDADLQRSVSLVSQGGHEPARTMNKEHSGLLSWPESFRRSRGPNSSASLAEIRNHPGSFSARALQLSMYGSMVNLTGQGHRRQRPPRLPAAKQRHSSSYPRVPSRPSNTRPLLSVQSEGSNMKRMAGEAAAAAPTPATSAGQQRPRTVTQDDNSSDDSAGEEVIVRVDSPSMLSFRQSSAAVDSPPPPQDL >Dexi6A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:6A:27936988:27940992:1 gene:Dexi6A01G0020440 transcript:Dexi6A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSAAAASSPRVPSSPRSYSWIHRRSLLVTSSASSAASSLAASANSALEASDSAPAPVAAASSSPSLAPSSPNVDRIISPLMLSFLYFISRGGIKSPWSRRKRKRALSGQHWNRLFSSNGKLRDGGKKFLKKVRSGGIEPSIRAEVWPFLLGVYDLNSSKEDRNSIKIKKRKEYEKLRRQCHHIQNCYKGNGLNVINEFINEDFSDGAEGSESPYPEGVSKRACVLPKELKSLCSKVEESESSNWASLECMDEDTSELTYVDPCIGESESSDSESSYEEDPDRTPVSANLEDNCDPKPKFFRSSSTKSEVSISDKTPEDFATWQRIIRVDAIRANTEWALFARNQAEVPEEKALRSATSVGLKDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARNNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFLYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHLVVNLHHKI >Dexi1B01G0029830.1:cds pep primary_assembly:Fonio_CM05836:1B:33985893:33986097:1 gene:Dexi1B01G0029830 transcript:Dexi1B01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTPLLTEPRLLLQDIIALLIHLHRSIIHLLFSPRSCTTTMATRPTPRPDSNC >Dexi1A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:1A:1216257:1217631:1 gene:Dexi1A01G0001810 transcript:Dexi1A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAFPNWAILEPFVFRRDDYPSFPDKTKAPIRASATTSLGAPFRIAFSFADPPLVSRLYAQLPGFPDPEKYMPLAILSTHRHLILLRVATQASTGNTVQDFFVYSADDPSELRLLPPCTEPYMEYARRLYHRQPRCLRPHPLSTERRLLEITSMGIISRGEGEQEELAVVELKLYKRRRTEVYADICLFRSSSDSDHSPGGQIVAGGKWDSMRVPINIGSNPDDLRQLCLWETDAVVPVGRWLCWVDYYRGILFCDFPSDPKHITPCSWLYCSVNPIDDGRALKFVNVDRNDRVGYGPLRSGGAFTVTCHTLQLGSVAVLNKSTLDRLVWRKDTKLTSGDLWSANPPECLPRGIIMAPLVDIDNPHVVHFLFSDYAYSLKKEWLVAIDMNNSKVVSFSKYINGREDVGTVDDDLTRERSICPVPFFSFDFAKYLNLS >Dexi7A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:7A:2400194:2400565:-1 gene:Dexi7A01G0000900 transcript:Dexi7A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELPPGASSPRCCKRELAPPPWGSSPCSHSMSALRLPWGARHAAAQRVLHAAPRLASWGQAEAAAATRARAIEGPTRCRWRRELAGSRATRRWSSPPGTRGSKSSPGERRRQRREHECEES >Dexi9A01G0042180.1:cds pep primary_assembly:Fonio_CM05836:9A:45747612:45749959:-1 gene:Dexi9A01G0042180 transcript:Dexi9A01G0042180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAAGSDDSSLPPAPVTTTPLGSFKASAHQLLHPVDGDDDAAPGHARQLSKVAGEARAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKVLALALHRTVLLLLAVALPISLLWVTSTGHILKLLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSVFSVLLHGPINYLLVVRLDMGVAGVALAVALTDLNLLVALLCFLAISGAHRDSWVGPTTDCLRGWPAMLRLAVPTATAVCLEWWWYELMIVLSGLLPNPTATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAAAALSIGAAVGVAAAAFMASVRTHWGRMFTSDADILALTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGLALAFGARMGFAGLWLGLLAAQAACAIWMARAVADTDWDVEVARAKELTKGSSSSNNQSECNNATTTTTASSDITIVIATSNSNVADCKSSNNGYVPISESCKSNDDDELEKLEAGLMSSDDDDTAATASISGNGDVSGDTDAAVRENHGGSSSGGAGTATATEGKEQRRREGPERDPLISMGDGEHDGDGRGGGQV >Dexi5B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:5B:416451:420443:-1 gene:Dexi5B01G0000660 transcript:Dexi5B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPLVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAAATESLGKRIQGFGNTNYEPSRIDDKKSFLSELSEVVGIGSASIKQGLSNFAAAHAMMTNDNSGTYKSPNLRRSLTTESERYGRYDPSEIQSESRASAGASKNVASGSWGPTPSSSAPTEDTSSGQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAMCVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLSLLIGEQATGTTNLSAAKAMPPPVQMPDLIDTGDQDDLRTQSSGQESNGQNTGNNAYVSSVDDLLGGEPIADTTAAIDSNGSDPFADVSFHEAETKETNDLFSGLIVEEESSASMHDSSSSNKNELPDIFGSSPDPFSQGSVTEQGSVNDLMAGLNLNGTGQAQPAVKAEPNSNLNGSQFFDTNNQSSQSSHMAGAAALNGILGQNSFYQQQQVPLQYSLPQHMMLNQSFPGQQLNYSAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMMNSGNASVLPDIFNSTNQPQNHVAVMSNSKKDDTKAFDFVLVCISVWLLVSCIHLTT >Dexi9B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:9B:3649158:3650314:1 gene:Dexi9B01G0006120 transcript:Dexi9B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSESATEKIMSSIIDTIADNLPKQKSGQFDPGAVSDKMKNKLFGRQKTLHGALGGGKPADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIVSFALDSFVLTHFLCSTRSMKIKWMIFSTAFWVCCAISIRSWTKAS >Dexi1A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:1A:20603272:20604026:1 gene:Dexi1A01G0014180 transcript:Dexi1A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLVASLLALTCFHATASDPSLLQDFCVVDKMSKVRVNGFPCKAIKDVVAEDFFFSGLHLAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAGLSSQNPGVITVANAVFGSKPSIADEILAKAFQVDKMTVDRIQAQF >Dexi2A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:2A:3283751:3286864:-1 gene:Dexi2A01G0003760 transcript:Dexi2A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRKLIVEVVEARNLLPKDGTGTSSPYARADFDGQRRKTRTVVKDLNPSWNEPLEFDFPSGAVDIAGGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRNGEEALIYFPLEKKSFFNWVRGDIGLKVYYLDVPLPPPPPPPEPEPPAAAAEAEAPPPPEASDAPNKEAAPADEPPKPEETVDDTAPAPAGDGSTTEKPTEDAEPAAAATPAPEEKPPEEAASEEKPPETEQPPPPVMPRQVSMPVRRPPPPVPEETPAMERSKHDLVDKMAYLFVRVVRARGLPAGAHPHVRVAAGGRHASTREARRGAFFEWDQTFAFVRDTDTDSPGPTLEISVWDLPPDADVSVADDRHFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFADAWKADSPATSTTATGAAAATSRSKVYVSPKLWLLRLTVIEAQDTLTSAAPPRDAGVAVRATLGFQALKTRTTPAAARGNGGGPAWNEDLLFVAAEPFTDGEDDLVVSLEMRHGKEAFPVGSATISLASVERRVDDRKVASKWLDLLPSAGKKTAAAMLMHGGRLHVRVCLDGGYNVADEPPYACSDFRPSARQLWAPPIGVVELGIVGCKGLLPMRAVDGKGCTDAYAVAKYGPKWARTRTIADSYDPAWNEQYTWPVYDPCTVLTVGVFDDPPPSAEFAGGKDSAAAACSRPMGKVRIRLSTLERGRVYRGVYPLILMLPTGAKRMGDVELAIRFATSASTLEVLHAYGRPSLPAMHHLRPVPTAHREALRLAAARVTAAHLASSPEPPLRREVASWMLDAGGEPRGGGFSMRKLRANWNRAAAALSWIAGAARWVEETRSWRSPSATAMAHAVLVLLAWHPDLVVPTAALHAAAVGVWRYRRRPRSPAPHPCVRASMAESPEREELDEEFDTVPSARPPEVVRARYDRARMVGARLQQMVGDVATQAERLQALVSWRDPRATGMFVAMCVLVAMVLYMVPMKMVVVVAGFYYLRHPMFRDRRPSPVINFFRRLPSMSERMI >Dexi7B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:7B:16092427:16094804:-1 gene:Dexi7B01G0008240 transcript:Dexi7B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEAAAAAGGVEVEEGVTRVLLVDDSPVDRRVAQLLLSSDSCAGSFHVIAVDSAKKAMEFLGLKDGKALNPLKPIPVVVMSSENEPQRISRCLNAGAEDFIVKPLQSKDVQRLRNCSTVRPNNVAKRKPLVLQPSAAGAALPSGRRANFAGVAMVLHSSSVEVSQYVPLLLKLVVMVYAVLCVGELLHRWSSGSGCSLALWCA >Dexi2B01G0026160.1:cds pep primary_assembly:Fonio_CM05836:2B:35273709:35277892:-1 gene:Dexi2B01G0026160 transcript:Dexi2B01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRSPAVLRQLRLWLLAVSASPAFLGVLAADLSKEIDNGVTAEEQRVFDVLINGDTAFKGIDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVEGTRAIINAIEVFEIIPAENKTLPEEVSALRTLKGSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDDTKGNWVIDGLGLDNQGLRGVIPGDISKLQHLQNINLSSNSIKGNIPTSLGTISALQVLWLYL >Dexi5A01G0029580.1:cds pep primary_assembly:Fonio_CM05836:5A:32626562:32628045:-1 gene:Dexi5A01G0029580 transcript:Dexi5A01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGQMVTTIRCALLACLVMALASSTASAFVFKAGGTGEWRVPAQQPAGGANATSAYNAWAQRNRFRVGDAIAFTYQPGNDSVLLVDQRAYDACDTSAPTDTFADGNTVFTFTRSGPFYFISGNRDNCNRGEKLIVVVMGERSAVGNDTVPPGVGMAPSPNGPYSSAYSPPPPFGIDISPATYPPPPSAAAPKVVGVAGAAALAVGALFYALV >Dexi4B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:4B:8773384:8774637:-1 gene:Dexi4B01G0011360 transcript:Dexi4B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHEIKLPYTIHCAIPATLLAACLVILAVVIILPNDRLPLLPPPVVSDVDDNVSGNLSCNIFKGEWVPDDPGATPRYTTETCPVIHGHYDCTRYGRPDLEFLRCELPRLDAARFLRAARGRSMAFVGDSLARNQMHSLVCLLARAETPSPWTNAATASQQQHASFSYRFERHGFTVASFWSPFLVRAVETDTEGVGMWSLHLDEPDDAGWAACAGEFDYVVISGGSWFFRPSMFHEHGGRLVGCNGCLAPNVTDLTLRYSLRKAFRTALRAAATAGDGERRRRRDRTVVVRTLSPSHYENGRWDGDGDCARTRPLRRGGWEMNAVEKEMYAIQAEEFAAAARRETEKGEGVRMLLLDATEAMAMRPDAHPSRYRLWQPDRFNVSRDCLHWCLPGAMDACNDMLLHMLLH >Dexi2A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:2A:6175204:6176199:1 gene:Dexi2A01G0006530 transcript:Dexi2A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRPPAGLRSDEATSMVVLMEELVEEVLLRFPPAEPASLVRAALVCKPWCRLISGRHFRRRFCEVHRSPPMLGYFCNLYPGKETGPVSRFFPASPACPPLPDQINRSALDARHGRVLLRKDMIRGCARLSVWDPVTGERWQLPPLSLDAWNDDDDDDDDDEWSAAVLCAASSTGCGHLDCRGKPFLVVCAGSPAGAEQIFLCVYSEPAVAAPRPDYGVSDQVPPALDGGMALGVENYSKLFLWSMETGSPKRDISWKKIRMIELNELLPVTVRDAP >Dexi9B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:9B:5576401:5577380:-1 gene:Dexi9B01G0009020 transcript:Dexi9B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRTNRAHIEYQSVVATKNRGGEGKEEKGAATPHPAGGARKGSRLLQAEGAAHAGSLLHAEGATHRGSLSDGGQAERGAPRGGSSSRELEALAAMADVELGRRRTGEATPPLHACSPPQTAASGRDREREAAETPRERGRVERVGDDEGERCHAAAACLLASPDGGVGKGSRKRSGGDAEGERTRREMWGREGGWG >Dexi7A01G0022060.1:cds pep primary_assembly:Fonio_CM05836:7A:30466104:30468937:1 gene:Dexi7A01G0022060 transcript:Dexi7A01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRGSAGGAGEASLAAVLQQAQANLGVDLSHKAQFIRDQMDLFFGPRLQPQPPPKPQAAPLPQAVPPAPAVQQPQPQVLPQAQVQAQQMQPQQQQMAALQPQLIFQAMPQLPAAATIPAIPAVSSPPPVPAMAFYPPPPLAFRYTTGLGGAATGGTVSFQQPAPGLVGTASPTAAGQVAGDNKESSASKRKRGGPGGLNKVCAISPELQTIVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDDVKRMKAPTATPQPAPPINQPSVVISDALAKFIGTDGTFPQDDALKYLWDYIKANQLEVGPTFLFAE >Dexi9B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:9B:10691807:10693295:-1 gene:Dexi9B01G0015630 transcript:Dexi9B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSSSSMAGELDPPLDAIGFQVEELSPSRITGRLLVSPTCCQPFKVLHGGVSALVAESLASMGAHMASGYRRVAGVQLSINHFRSANLGDTVLARAVPVHLGRSTQVWEVKLWKMDPSTGEKGHQISESRVTLLCNLPLPEKHKNAGDALRKYSSKL >Dexi5A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:5A:22738455:22739278:-1 gene:Dexi5A01G0019210 transcript:Dexi5A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTCEEEEDGEERRKEETGNERKMQEKAPRPPCSQLIGLPTSGSDDGAGRGQLPTHPPPPFSPSPISPAVVLVLLDLLAPRVRTSPRRFTKVSFAFSILNPNLHQIRSIFTPRAQICLLWI >Dexi2A01G0035120.1:cds pep primary_assembly:Fonio_CM05836:2A:44809741:44810989:-1 gene:Dexi2A01G0035120 transcript:Dexi2A01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLLCFLVLASSLLHCARSDGNDAQLLKGINSYRSSLKVPALAENKNAACLAEQLAKQFKGQQCTNTTGANTVIGTEQQFPDYPKYLDHCHLNASVTEDGQVMPACVPGLVPAVVLTNYTKSQYNRFLNDSQYSGVGIANEGDWVVVVLSTSTGSGDYAPAPPGSNWAASVQPFNLMVLLLVGFVVLLMK >Dexi4A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:4A:8200486:8200785:1 gene:Dexi4A01G0010280 transcript:Dexi4A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPVRRAARRFGGRRRSIQGRRSSIRPRQRGIGDGAPCALGLELLLLVLAVEEACVVQEVAPCGICSLISPSVASHGGAASGGGDTSILSSLLASCA >Dexi9B01G0038790.1:cds pep primary_assembly:Fonio_CM05836:9B:39703297:39704620:-1 gene:Dexi9B01G0038790 transcript:Dexi9B01G0038790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSQSQCDWPAIGIDLGTTYSCVAVWRQDRDRVEIIADDQGARVTPSVVAFTANERIVVGVAAAGQAVSNPTNTVYEVKRLIGRRFSDDCVQQDMTSWPFKVVAGRDDRPMISVRSRGEEKQFAPEQISAMVLAKMKETAEAYLGVEVKNAVITVPAYFNNSQRKATIDAGTIAGLNVMRIIMEPTAAAIAYGLHKKHVSDDQGRTVLVFDLGGGTLDVSLLKTDPGKEIGAPLFDESHCR >Dexi6B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:6B:20143294:20143691:1 gene:Dexi6B01G0012520 transcript:Dexi6B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASTKPEYPVVDRNPAFTKVVGNFSALDYMRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKHKL >Dexi9A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:9A:2331957:2333994:-1 gene:Dexi9A01G0004360 transcript:Dexi9A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKGLLALTLGLAMAATSSAVLYKVGDNSGWTILNNINYTDWTSKKTFHVGDIIGKFTFSLARTRSILWEYSFSEFKYPPGIHNVLEVKKADYDSCSNSTPIATHTSGDDKITIKSPGHRFFICGVPGHCTAGQKLNIRVLKPSTAPSKAPSPAPPAPAPASPTGSGGSSGSASPPASSSSTNGSNPDESTPNSNGAGPREGYKATAVAMALAAVASVAMMLQ >Dexi6B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:6B:21300359:21301323:1 gene:Dexi6B01G0013580 transcript:Dexi6B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEWKLMPRSSIELGAARILASSAGKATGISSSVQMQPSSSVDLAFAGFSVSARASTSSRAEDRHCFVSPIVLRTWQAALAQNSTASATRHTESQPPGRVAGRPDEHYTSPTSALLCSLASHLASARDKQPIT >Dexi9B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:9B:4439345:4441480:1 gene:Dexi9B01G0007270 transcript:Dexi9B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Dexi5B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:5B:2168640:2169875:1 gene:Dexi5B01G0003260 transcript:Dexi5B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRLDGDGVAESPGELSKPMDDDTAQSSAKFAKPVYLVAVREDDPAAYSVLEIDAAAVASGDSDDEPPRVRSVAALPIADEPGMSFVAAHSKHGSWIVGVGGGQRARTIIFDPRTLETFQGPRLAYPKHEPILISHGGEVYALTRRPRVVPPIDREPWFESITFNKGVPSRECGVWVHWNILPPPPFFPCLLDPYEFRNPPEISVCSYAVVGSYILVSPQPELAIGTYAFHVVNKTWEKIHGNNLPFVGQALPLEVGGSLFAACPLSNNSISASASVFHMSIKVPSSTPVAEESTSSLIIQEFKVAASDDKIPWPLFCPLGWGSFCFIRLRSSVRRHSHEANCLKRLRVILSTLWIENAEAIITHCQSQGAKANDLLVAVQVKEQIYRSKSKSLRGMAVVTGPLHISI >Dexi9A01G0027600.1:cds pep primary_assembly:Fonio_CM05836:9A:32302522:32302941:-1 gene:Dexi9A01G0027600 transcript:Dexi9A01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQPDRRRSVCWPSLVLVLPFPEYDPREETAGAQGELRGSCGGRRRGGRWETERSWRGSEDGGREVSAGGEYGGGEASFGGGDGGGEAGARELAAERRTYQGAWRLEMGIECGNDTEETTDCGNGYGKQTLVDQHEH >Dexi5A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:5A:479882:481489:1 gene:Dexi5A01G0000700 transcript:Dexi5A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRRKSFSFFEEDRKSSSRPPGAHTPVHHHQSSYYARSPAEPARLSMSSIPGGPPVVDMNMQTMTPPPPSPSSMLMGGACSPWVQSPLHARARLRFPPSSSPTAAIYHCLAALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHSRDHHVRVWTVCAAAVCDHIRAKKAATLPAKGSFLLSSLGMKRSPHQHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCVDSFVAHDGPVNAMVVNDADGCVFTASADGTVKMWRRVYGGTAHALIIVLRSELFSPVNALTLCHAAAAASGSSRRCFLYAGSSDGYVNVWEKEATVGRPAHAGHLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRREGSKGGGATHTCLAVIEGHRGPVRYLAVGGGEAGEVEGTMLLYSAGLDKSVKVWRIRVVGKDDDEDDDDDEDDDDGEEDPDQMLMAAAAGKVQGPDAAIPVRDDDVEADNEPDAEFVGPTPVLSPVWVEKRRHTSRG >Dexi2A01G0022520.1:cds pep primary_assembly:Fonio_CM05836:2A:34402766:34407179:-1 gene:Dexi2A01G0022520 transcript:Dexi2A01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAHFSGLRLEALRLSSPSAPSSPSSARASPAAAAHSNGTVYANGTAAAALELASPSAVRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESARAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKKHRRCSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADVRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLVYHKLPMDIAERHVLLLDPVLGTGNSANQAIDLLIRKGVPEDRIIFLNLISAPEGIQCVCKQFPLLKIVTSEIDYGLNEEFRVIPGLGEYGDRYFGTDN >Dexi5A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:5A:2795940:2799138:1 gene:Dexi5A01G0003650 transcript:Dexi5A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAGADPPGEREPERHGHDRLASSLTARYSDWVLEALDELPGSFLLTDPSLPGHPIVYASRGLPSLTGYARREVLGRGARLFQGAATDRAAVTAVREAVRAQRPHQAALLNYRRDGSPHWVLLHLAPVFHARDGTLLHFLAVQVPIAANNKRRAPPCRGAPGGLLAACREEARVEEDFPCASHAGKVFVDMDKRGLEAEEPRVASDSEKEEAISTANSIVSALNRYSKLTGLMVSGKRPDSVGIPALSSSLNFSLGRIKQSFVLTHSCLPDMPIVYASDAFISLTGMCSVTVRTRVVFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >Dexi9A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:9A:7962151:7962528:1 gene:Dexi9A01G0012450 transcript:Dexi9A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTWALAVSVRRSLQEVAAAAASATSCAQRAAQQGAAAARSATSTAATVRSVETLVVIVAAIVLAAALAGVLARVCGGRYVVPSGDGRDVEGWVERRCRSCLDSGLPPLAPVPASSKASEAK >Dexi4B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:4B:8314748:8318483:1 gene:Dexi4B01G0010970 transcript:Dexi4B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPCSVVLCQSSLHTPELCPLGVVSSLALMRRVLRTFSSRGDGAGWRDAAARRRFFDRYLGVTSPSRHGGAIFLSRRREERARPTHPLPLSLGTYRIAAPRDGRKKLSPVWALFCCAFACGSANMMTAAILWTPGRQRAHCSPQRTYPQGTAGSGLPWWLPGRRRGAADLNSASAMDHGSRPSPDLPIEVAARTEKRVTPPPPPRPRLHTRRHTSRLMLPPRHHLTHGAVAGAHAVGWREGAMESATRESEGASWEGAATPSGDPLPPSRAPWHACAPCALYCRCQSATILLESRSSLTRPRRKLR >Dexi3A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:3A:7164527:7164958:1 gene:Dexi3A01G0010100 transcript:Dexi3A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSPDSNTDSGGGGFPADERKRKRMLSNRESARRSRARKQQRLEELVAEVARLQAENAQVQTRIATFDREFSKVDGENAVLRARHSELAGRLESLGGVLEVLQMAGAPVDIPEIPDPLLHPWQPPFPMQPIAADAFQF >Dexi5B01G0021830.1:cds pep primary_assembly:Fonio_CM05836:5B:24138658:24138968:-1 gene:Dexi5B01G0021830 transcript:Dexi5B01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGSSRVAACGPWGGSAAAIERELSRDGSHYSISSGILPSLGARSNRRVKLRPFIVSPYDRRYRFAASSSLLVIVANSGAACLVLCLVSRVIRFSR >Dexi1A01G0032130.1:cds pep primary_assembly:Fonio_CM05836:1A:36842072:36844088:1 gene:Dexi1A01G0032130 transcript:Dexi1A01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNLEVFLEAATPQLPWRSAPMDYFQGPDNVWQMEKKDMVDYFTLEDLWEHYSESSAYGLSVPVRLESGKFITQHFVPYLSAIQIYTSKTLAIPRSVGSDETDSWSDDSTAEKLSRSWDDVSDDFDSVPARQGGYLNFQYSEWDPPYERIPLADKVAELAQDYPYLTSLKSAELSPCSWLSVAWVTTVQCDESSRTTASTVALSPFGLATYRMEGKLWTAGSRSQDDLYGAASSWLKQVGAHHPDFNFFTTHTPAAAIRFRY >Dexi1B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:1B:4671605:4672517:-1 gene:Dexi1B01G0005690 transcript:Dexi1B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGEIAAAGCTGFGWEEEGEEEHSGLEPFFFDEAAVRAEHAAAQAAKEKLREKEARERKQLSLKKKAHRLSSIRYATTTRSRGAYTTPASASRTSADSTSTRSVSPLRAFSAIGPMRFTNRVCKPGKRPYGLCDAINILEVNIICSDVGFPIDVYGTVIARDCVDFKCVYLFGRNRDDSELINSEVSRLTSCQISN >Dexi5B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:5B:7810390:7810784:1 gene:Dexi5B01G0011070 transcript:Dexi5B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAADRLHSITCALLLIGVMLLAGQGREGMEGIAVAAACPDYCLEVDYMTCPSSGAEQLPASCNCCLAPKGCTLHLSDGTQQTC >Dexi3A01G0031020.1:cds pep primary_assembly:Fonio_CM05836:3A:35396368:35399739:-1 gene:Dexi3A01G0031020 transcript:Dexi3A01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSGPDGMQSIGDNQHTMEISTEVTMKSPGPDEVQSIGQERLANEQFSSDVTMISPGPDKMLPIGKKRLTEEVSSEDTMISLGPDEVQSIGEKRIAIEVSSDVTMVSPGSGKKHSGSDGVVNSAETQYALEVIEMEENAEGGLESVDMKVSRCNKPKKVHVKVICAQDYACDEQDKQIIKSIGGLGDDRTVVRVGDAFVTMHNFKCLLAKSEYLNGDGDMEKFRLKLAAILLESDNNTAIESEDTESNADETIDPNDCVILETPQSFQCTYTSFRDTAEAICDYILSIADGDALGEPKCHFLDLKFFWDCNYRRHPLHRVKPEPKKLATYFDVWPNSGVTFSECQLKIAKTYERAMEEVDPMWNDDVYDWRHIFPSFVPKTMDSCLTGFLVLELMNSWDGQLFHRLLRA >Dexi5A01G0038130.1:cds pep primary_assembly:Fonio_CM05836:5A:39211377:39211751:-1 gene:Dexi5A01G0038130 transcript:Dexi5A01G0038130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSPVAPFEMCYNRSKLWSTRVGYAVPQIDLILEGGARYVVFGANSVVQVDDATVCLAFVEMKRPEELGYAHGQQAPAMVMGGYQMENNLLVFDEEKEQLGYSTLLLFRQTTCSNFNFTMAA >Dexi4B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:4B:3330695:3331183:1 gene:Dexi4B01G0004670 transcript:Dexi4B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGMAAEDSKDILKNVDWKTRTVVLSGRWINDVEHTLMQ >Dexi2A01G0037150.1:cds pep primary_assembly:Fonio_CM05836:2A:46780816:46783813:-1 gene:Dexi2A01G0037150 transcript:Dexi2A01G0037150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVTKTNFFCLVLCTAASSAQREGKELLVQHLLVGEKDVRLLVDLEKSIIAGGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDIDPEELHTKMQDPSFLEEAQLIDVREPDEVAKASLPGFKVLPLRQFGTWGPVMTDEFNPQKDTYVLCHHGMRSMQVAKWLQSQGFKKVYNVTGGIHAYAVKADSSVPTY >Dexi5A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:5A:7861873:7862922:-1 gene:Dexi5A01G0010370 transcript:Dexi5A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPCPPPPPPAAPIAPRLFSSLAPVALAAALVCAAGPSAQAVPFVRPPPLQGKPFASSTPYAQSQKLQLGLDKLGKIRPCPSTNPGCVSTNPLGSSGSFASPLLIPESSAGDKAVASLRQAIEKTQSNVDFKVDQDTPYGHYIEAEMDGGVGRDVMEFLVKKDAGVVAYRCMATKVTFVYPFTTAVGDSKGQKQRIAAISQELGWYAPDIQSSMDSDDVGYPP >Dexi1A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:1A:600056:602042:1 gene:Dexi1A01G0000940 transcript:Dexi1A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEATPKKSAAAAN >Dexi2A01G0028760.1:cds pep primary_assembly:Fonio_CM05836:2A:39947843:39950571:1 gene:Dexi2A01G0028760 transcript:Dexi2A01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAHDYPTIEPTSFDVVICGTGLPESVLAAACGAAGKTVLHVDPNPSYGSLYSSVPLPSLPSFLSPEPSRVPLPPSGGDDSHTVVDLHRRNIFSEVEISGAAPEPSARIAVDLVGPRVLYCRDEAVDLLLRSGGSHHVEFKSVEGGSSLLYWEGRLYPVPDSRQAIFKNDATLNLTEKNFLFNLFKLVRAHIAAADEDGEGEASDKISEEDLDLPFVEYLEKHQLSPKMIAGVLYAIAMADYDQDTDCSEKLLTAREGIQTIALYSSSIGRFANAQGAFMYPMYGHGELPQAFCRCAAVKGALYVLRMPVSALLMDEQSYICFDMTPSLHLALEEQQIAAIRVLQLSSNLAVCPPGMFIVYLSTPCADTSTGKQCIKKAIDALFAPQASDPLEGHLEETSENNEDLKPTVIWSCVYVQEITEGTSSSLLSCPTPDEHLDYRSILDSSKKVLHCILHFL >DexiUA01G0024020.1:cds pep primary_assembly:Fonio_CM05836:UA:49192764:49193489:1 gene:DexiUA01G0024020 transcript:DexiUA01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAKPVYKRILLKLSGEALQGSEGFGIDASILDRMAQEIKELVELGIQVGVVIGGGNLFRGAGLAKAGMNRVVGDHMGMLATVMNGLAMRDALHRAYVNARLMSAIPLNGVCDNYSWAEAISLLRNNRVVILSAGTGNPFFTTDSAACLRGIEIEADVVLKATKVDGVFTADPAKDPSATMYDQLSYSEVLEKELKVMDLAAFTLARDHKLPIRVFNMNKPGALRRVVMGEKEGTLITE >Dexi7A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:7A:24340772:24341599:-1 gene:Dexi7A01G0014410 transcript:Dexi7A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYYRYGYGSPRFQEIAQGFHPREIPWSVARGGAGVAVKNVKHYLAKMDKAVNYDYYCDDELRYTRFKSPFDRRPIVGRRTRHRKNEGKRTLRLVGDSTADYMQQCKEDAFGDLDSYDWEDEV >DexiUA01G0017840.1:cds pep primary_assembly:Fonio_CM05836:UA:37926295:37927174:-1 gene:DexiUA01G0017840 transcript:DexiUA01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLNEVYALLLVETSDLTALEFLISKQPRGRVRVSEGNAVGARAPNIFNELAKFQKRFDEMKQKSATRESQAVEDFSSSHHRSMSNWWYWRPFGSSAPATIVLASRLLPAPAPETEAPAADPVAERLQEAVAARRKSEGATTATARGDDYWTPQLNLPSSASPPSIPQRGRDAPQGDGRRRRAVAGEATAGPRRKGASIDLTAPLLLGIISAGFVGYNGEEMAGGGGGGIQEHFGSAVALGIVNVLIATIDRDPF >Dexi9B01G0041130.1:cds pep primary_assembly:Fonio_CM05836:9B:41573938:41580647:1 gene:Dexi9B01G0041130 transcript:Dexi9B01G0041130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPSASGSGIWSRRRDEITFDRLQKVPSRARCFGRKFMNRFDSKRFCRECRRNVIREFKELKELKRMRREPRCTSWFCVADMAFQCEVFEDAVIVDWRHCLSEPDGSYHHFEWAIGTDEGESDIFGFEDVGLNAQVHRNGINLDQFEDYFITLRAWRLDGHYTELCVKAHALKGQSCVHHRLIVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGEGSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALRLLEERVHIACKEIITLEKQNKLLEEEEKEKREEQERRMKRRTRDREKKLRRKERVKEKENKGKRLVEPKSPDDASSSALSNSSTSTNDDSTNTLDSRDSATEEEDDAEVVNLCSPDISIDRSSCMKINGENSVLCNAVAEFSPRNSSDLCTSEQSESSKQSPRSRKDFPKDQSCWYDDCQDESGRIGDLQCRSRESTSSDRSCNNVASNTNNRTRDRHAYDSCSCGHLEDYGIVDNCFLSTARSGKEMKVARKSGIEKPRVQYRRCYPLDSFVVSKGTRIGSTQKSANPKQVWEPMDSRKKTPLDSTVNAAASVDNVDPLKPVDCDTSGCQKLGSGFESQPLASESSRDVCKSDQPCGITERSQAAARDDTLAANKQNCYPGNDEGSMRQEEMMTNSAGSDSSSSYMSEGDRESSSSSMTSSSTQNPESSSSDESEESPDGTKSTVCTTSSRTTSRSLLEACAGNGFREYKPKATRLAHNERFGFNLSPFQDQLPHLQSIHVPPYLPATMGLHSRPWDAQTNGNFHYARPTHLYSSPLVFEEPGNHFVDYPVQYNNVNPYLAPAFSHMPTEPVHKTTVSFRAMPPAPPFQSGPQQIAGHAHIDMNLERHPSKLKTLLGKDLLEDKNKSGLKDPPEDKNKSQEADAAFSLFQFNLPIASPVTPSSKSKDVKNGELASRTPMVLVQPQLCSMEQADVKEYNLFSSKGNGIFSCLKDNDIFSFM >Dexi6A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:6A:13009537:13011293:-1 gene:Dexi6A01G0010560 transcript:Dexi6A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKAPPPPTPTPTQPELAGEKRKREEASGDEGAPSAPAASGEDAASSGRHPMWKTSLCSFFRRRGAGAEGCSHGESCRYAHTEEELRPRPDGTWDPTSDRAKKLRKVAAEAQEEAEEEVTVDDQSLDKCLVGLPRGWTADRLKAFLQDQASSTLALGISYATAKKKKGMTVGFVTFENVEQLANAVQVLKENPSGGKEIKIADANRRSHQKVHAEGPASDNGTATENGSSAAAGEASALEVVASTKRSARDAVTPLAHMPYNDQLEHKKHSMAQILKRLTRNARKACPPAIPLPNWILKSKEIGNVLTSLLH >Dexi3B01G0036530.1:cds pep primary_assembly:Fonio_CM05836:3B:39413134:39415930:1 gene:Dexi3B01G0036530 transcript:Dexi3B01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSDESWAERRRRSPCWSPLGREKKGEVVWWRARGRKANARKKEDALRSRRTWVRTSLQISRRTVAQTSLEALASHVTSSHEDGKRIAVVVPRKQARSRHVQAGLSTGTVVCSTVQLPQLASLDKGSRREGGMEDDARLAGQHDVAPVVSDASGRSGSGLPGSQPHMLLAS >Dexi7A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:7A:14206639:14207040:-1 gene:Dexi7A01G0003980 transcript:Dexi7A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLGSIIGGILLSGGLYSVLWGKSKENKTKNIEVIVSNRASQDDELVHMGVEEKEKKNSEEERDVSSAFVVGQVRTIG >Dexi8A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:8A:11984859:11989561:1 gene:Dexi8A01G0009250 transcript:Dexi8A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRGCEAGILAKGDRDKVVMAMRVAKGRGVWGKAGKLASRHMAKPCVLAVTTKVKGQRTKAFMRVLKYSNGGVLEPAKVYKIKHLSKVEVVQNDPSGCTFLLGFDNLRSQSVAPPQWTMRNKEDRNRLLMCILNICNLGKGKVDTMLENTTAKVTQMISKDGPVESVVLEAESHVTVEKDLVSQAEEEDTVALLSNYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSVCLLPPLLDYFCKSNS >Dexi5B01G0022960.1:cds pep primary_assembly:Fonio_CM05836:5B:25230918:25231191:-1 gene:Dexi5B01G0022960 transcript:Dexi5B01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKQWFLHPIFLSFLLAPLFSSASPWQVRGTGTSLRVDHGKIFLGSPDTTFSCGFYSSGEGTNAYYFSIWFTHTTDKTVRTLAIQ >Dexi3A01G0021770.1:cds pep primary_assembly:Fonio_CM05836:3A:17350652:17353526:1 gene:Dexi3A01G0021770 transcript:Dexi3A01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFGLIIRKRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLGADDKKRIEEAIDGAISWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDMPAGGSGGAGPKIEEVD >Dexi6B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:6B:18501140:18504477:-1 gene:Dexi6B01G0011310 transcript:Dexi6B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQGIKVVFQVGLALLRFCHDDLIKLPFEQLLHALRNFPEEATDPDVLLPIAFTFKVSSRLEELQKEYQKGPEGSSETSTSKRHQPLISKTMSRVGSRVISNLISDKK >Dexi8A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:8A:4489770:4496640:-1 gene:Dexi8A01G0005100 transcript:Dexi8A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLTSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLATVTSLSSLLPRDDLALMCSTNPSLMAHATTWWGRLAELALDSADAVSAGAFEALARLFQELEGRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARTMVMPVESFRVTVFPLVHAAKMVASGVVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLSLADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGTGGSADYEGDYAEEDSQMMRQKRSLRPELGEPVVLRCQPYKIPLAELLLPLECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKAISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTEQEEEEERKQSEELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >Dexi5A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:5A:435980:439459:1 gene:Dexi5A01G0000650 transcript:Dexi5A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGGGSSAAGGGAAVFATRMLLLLTLLPLALAAFAFALQWRGGMRDPAGASWPADTQRFPGMDNSPLGSSSGGGGSYFAVSSKSSSAGADCAEILGRSASSHGIQLYRGWSFDSESSITPKICITGSTSAGLHQILPWLYYHKVIGVSHFILFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDKSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAQEYSLRRLLLDVPDNVDMESSIERDDIKDPFTEVLLYVQVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKSPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLVRRLFLPFAISA >Dexi3A01G0027720.1:cds pep primary_assembly:Fonio_CM05836:3A:27351053:27352441:-1 gene:Dexi3A01G0027720 transcript:Dexi3A01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMSSLISSSAAAEAAMLSAAETAVRRYLALEQWVHDVLEGPLPPAHGVIGEADLLPWQARVQRRSRAKHLEEHDTEGVDVRLLRELLPPEVLRVQIAEASLHHGAHVRLVHGCGASLGQTEVGDLGHPVLVDEDVGRLDVAVDDGVLGSRVEIVQAPRGADGDLEALPPRQRRLTGLVQVLPERAVSHVVVHEYHLAIVLAAANERDEVLVPELGEHLDLGLELENALLRRRVAPFDGHLGVAVNDAPVYLAKPSHANHQRLVEVLGCCLDLLEREVPAHGGDVRVEYRALATGRLARLPPYTGSHPEECETLVPSARLFFDWYATTQMAATIPKAAAPPAALPITAALRLLGFSLNFPCASSISASSSILDGSGFGPARLPELSLILNISRPLSRAS >Dexi5A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:5A:24337217:24343612:-1 gene:Dexi5A01G0020530 transcript:Dexi5A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASLFLRPLPSPLPPRRRLLLPSASASFPRAAHRRAPLCLRALAPDAPQPAAPDPPAAASSAAAAAEPEPEAVAEATGAAASAAQPAAAAAVSAKEELVDLVGKARAWVVAVAAAVVAAARRFVDWVVSGDWLSWWPFWRPDRRLQQLIDEADANPTDAAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALVLTNGIADYLPDEQSGRSSSLPALVDPKATGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGAPGVGSSSSYSPKEMNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPVSSDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESRKACAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQFSFQDEEFALT >Dexi6A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:6A:21455525:21459119:-1 gene:Dexi6A01G0014080 transcript:Dexi6A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDILNGACSTVAHSLPEGKLESTTGSTKLSLLHDLEDKLNVPGTSHHIYDMPPGSSNYAPDMKSLFVAAPASSENPGSSPNAYCSFHSALNSVNKAEMASDAKSSSVAMENSPGNPEKSIGCPQDADTQANHANATRDSRLHWDLNVAMEAWETDCGGDDDPTIASVSDRNDAGIDMDKPETSYDRFVTLDTGDVANPSVDIIQMADVPKDVCLNTKDEDDSPADSLSHPLLHQSSQNLQLLKPESLRNNDLPTMDLPDQQKNRFASVIESHIGSNPEPALIMEHLPSANVEKIDGSQPPPVDCEDLSRMSSVNGNAGCNSLQTSELGSMVKPLASRLVSEESTNLPTVTPLHKKVTDFGWSDNKLEEGSEQSISEFKNQELLDVDSGTSKMDQSVSKSSDHGTDVFYVKKSSDHAENLTHPEDNPGSSDYDMAHVHGEGAADAMINSKDCLITCANSSSAETYCISGMAQVPVVSSECHKPGVTDADSIVDSRENENGKVASNICFEQCYETDTSHISESIAGLGKVDVEEDDSQYEDGELRESGDRYWVGDGYEEVKRSNWHCQVSDYNNEATIPGLVPPPVDSVSKNVGTPGASYNETQSRREHVPVSPITSKRSWLTNCLDGGPVADGKAQNIHSRGDTQIYGINPGRVAVGSAAAVSQSERCNDVLGDDLLSIRMRNSGWDMLPEGEKHSECDPRNGADLSNRCILSSLDAAGDSESLRKMSLSNKDVQRVERQKSFERPHRNELSRSDDGYGSGSKVERTIDSQRSHGVYDASRHIQAGNRGEWMENSKHPCSARRKSQEHYNYGPSGPRNAAEAAVAKMESNGFVVARDGTLVRAVDSANAGQMARRMRNTLNTSYRSLSGRGSPIDRDGACGMSRGPVREASPERHFGANSNRSGRYGTEMEKEHTANSNLSSARCSLPNRQRGIQTARASLNLSRAHNRSPSGSRSRSPQDWASPRNRRKIVENGGSALRRNSRSPPNRMAKVRMGRLASPQRQHGYDDRSMRYSPPSRNHTYSQQTSTWVDGRNGSTVDLSDHNKRYSRRSPPLRITPQNDRFDVMDSQGRSRSGEFYRSTQGRLPYVYDRASKHERNGDDQREYADRYGSQSVKPYDRNVKQFRNNTGDKFRTRISAPRSPEPQRRVSPRRFDRSFER >Dexi1B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:1B:7933108:7938661:-1 gene:Dexi1B01G0009000 transcript:Dexi1B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDAGEKRPFGDLDDDDDDVFASKKAKTKVEESAPGAATGMILSLRESLQDCKHSLASCQVELEAAKSEIEKWHSAFQNIPAVPSGTDPDPVSVVSYLSNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKSQNNELRNQFDVLYKHMDGVTNDVERSNEMVSILQEELEAKDLELARLKEMLSHKEATHDEEIEERDEAANDMTTASDPMQVKVES >Dexi3B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:3B:14966198:14970663:-1 gene:Dexi3B01G0019960 transcript:Dexi3B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGTDSATEELLAPRLLVSPTSRVQELEKFSHYVARQIGFDDANECPHLCTLAYDYLRKNKGYEENIFAFFQNNVDPEPLIVKFIEELDKCILGYFSFHWNYATYIITQVLTVEGATKRKFKNFVLEATREQRFERLTRRLKMTRFFSTLLEELKVIGPSSHDDSPRNDVMVPVAHCNRSPVLLLMGGGMGAGKSTILEDILKEAFWSGASANSVVVEADAFKETDVIYRAISSRGHHNDMLRTAELVHQSSLDAASSVLVTALNEGRDVILDGTLSWEPFVQQTIAMARDVHRQRYRMGRGYKVSDDGTITEEYWEPVDGSITDEENEVAARKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFATAFKSYCSLVDNARLYSTNTLGSAKLIGWKDGGSNLLVDPEEIVCLDRVGSLNEEADCVHEVYADGQPTGGSSTVWEDLVMSPSRASAQRELKAAIEKGEARFKPALALP >Dexi2A01G0032800.1:cds pep primary_assembly:Fonio_CM05836:2A:43161906:43163201:1 gene:Dexi2A01G0032800 transcript:Dexi2A01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAYLPTSACKTNRVKTTAQANDCTVTTKWFGFYRISKSRNKISDSVELNLPPYSYVSQAVWVRIPHSVKLSVEERKLEFRGGSHAASHALMNIVPLHMMCSASDLGTECANPHETRGIPDRILLYDRHPGGIGIASQSLTCSEYNEVLDKEAAIIILKGVIDYERSYFEAGDACQQS >Dexi7B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:7B:14796512:14800795:1 gene:Dexi7B01G0007010 transcript:Dexi7B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLASGYVFDDSSSDDDDDFEMVAILLTAIAIDQRPKRRGSVPRRKVVRRKLFDDYFPEDGSKAMDGVPVMNLSAGEAPMARRQMRKTRMKAELNEVHVVRNSAEEEARTEDRLDPSGSTTRREGKPNPKYLGPEWVARFPQADRPHSATALEARKRERAPVAQPPGFPRALPPPPRSAAVRAPSGRGKSVSATRRARIRRNRAASPPPPHLSICAGKTSRARRRQSGPAPAHAPIRCRGAILEKASIRSCGRKRRRRESPVEAATSDYRRRPAGVLPNSGWSLTSPMMDHRELFPVLPPYQAGWNWNPYMKGTKTEVGKFSSFYADVIRENPSGMSDADKEDVEEEPVSPAPTGKDKRTKSVSRIKLSNFNPEEDVNLVKTWLEIRCDPITSTGLKKEKMWLRILQRYNLRRGSYPERSVKSLQCRWHIIKTEVGKFSSFYADVIRENPSGMSDADKLPTTIISW >Dexi7A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:7A:27937456:27937926:-1 gene:Dexi7A01G0018580 transcript:Dexi7A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLADQPSTRLLKHIIRCYLRLSDNPRACAALQSCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVTGGGGMGGAPQPGLDHMMGI >Dexi7A01G0024350.1:cds pep primary_assembly:Fonio_CM05836:7A:32056606:32056866:1 gene:Dexi7A01G0024350 transcript:Dexi7A01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVLGDRSRPKAKEEQEGWWWGGETYQVEASAVGLGALPPKPPWREARLWSCDGLQWIAAATSPPTQWGSGEERRQARRKAARPR >Dexi4B01G0021960.1:cds pep primary_assembly:Fonio_CM05836:4B:23775331:23776366:-1 gene:Dexi4B01G0021960 transcript:Dexi4B01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMSTSAATVTGLATVKMEDLSSSQIVVLTLLMLSGSEMFVSFLGLLQSSRKRTRHDPDHGARVRSVVVTISGEANLEQSNTNPTSSSASSDDHNDDEGGGALRSLALVVLTYMATILVVGSLLVFVSVAGVPSSRDVLTSKGIDAALFSASVTVSSFTNGGLLPTNEGMAVFATNRGLLLLLAGQILAGNTLLPVFLRLVIWATRGIARVFTSRRGSDEDEGLHDESMAKDAMAAGFGHLLLPGLQTVLLAATVVAVAAAVVVLFCCLNWNSDVFAGLTAGEKVINAVFMAVNVRQAGENSIDCSLVAPAVLVLFLAMMKMMHNLDEN >Dexi2B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:2B:10243714:10245386:1 gene:Dexi2B01G0009480 transcript:Dexi2B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAPRTAARVTSGQLVFGNPEEAKGGRSLLWSCDNGRRVGVTMKAVNGSVKLNGAAVAGVRQVHAPPQPTPSVDDDGGAFRLGKFVEGRLVYRQQFVIRSYEIGPDRTATMETIMNLLQETALNHVMCSGLAGDGFGATRQMTLRKLIWVVTRINIQVDKYSRWGDVVEIDTWVASSGKNGMRRDWIIRDRNTKNMIARATSNWVMMNRETRKLSKIPEEVRQEVLPFYLDRSIIAADASGGGGSKIEKLTDSTAEHIRSGLAPRWSDMDVNQHVNNVKYIGWILESVPQDVLEDYHLTSITLDYRRECRQSQLLESLTSMTSSAAAELPLPASSRCSSDLQSTHLIRQQDDRAEIVRARAEWSCKGHE >Dexi5A01G0035600.1:cds pep primary_assembly:Fonio_CM05836:5A:37370394:37370895:1 gene:Dexi5A01G0035600 transcript:Dexi5A01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPPHHGAMPPPPAPGTGQLTRYASAPGSFLAALADSVTRGGGDPAPPPFTRFCSGESSGLSLTSGEPTCRTDGDGGGRPLERAYGGSGEIRLPPASNRQQQQGLATPRPTHVPHGGARGKPPGTSPLFRQSSSPAGLLSHLMADQHGV >Dexi9A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:9A:7999149:8000829:1 gene:Dexi9A01G0012510 transcript:Dexi9A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATMYNAILVALLAVSILQLLLRRGGSRRRPPGPRTLPVIGSVHHVVNTLVHRSLRDLAAVHGPIMMLRIGPMPLVVVTSRELAREVLKVQDPNFANRPRLLVGDICGYGCADIIFAPTSDYWRRIRKLCIHEVLSPKRILSFQTIREEEVQRQVAAIRAAAVDGAPVNLTRMVYDISSRTISRSSFGEVRPDMPVFQDAIKRVIGLSSGFNVPDLFPRLREVLGELSGMKRKLREIHGTFDRILVDIIEKRRAERAAMVAAGKEAVDENVVDVMLTLQQQTDNPWGFPVTDDTIKAVVLDMFAGGTGTSGSSTEWAMSEIVRNPRVMKKLQDEIRSTFQGKETITETDLRDSDLKYLKLVMKEAIRLHPAAPLLVPRESIDTAELGGYEVPGGSRIVVNAWAISRDPRYWKDPEEFRPERFDEDGAANFLGLHFEFTPFGAGRRMCPGYNYGLAGMQLALLQLMYHFDWRLPPGVDELDMEEAMGLGVRRKNPLMLCATPYVPAAAPAVSAGYP >Dexi2A01G0036500.1:cds pep primary_assembly:Fonio_CM05836:2A:46023627:46024926:1 gene:Dexi2A01G0036500 transcript:Dexi2A01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEAREATYSASLKLAMASGEAAEPLQYTTTVLRVSIHCEGCKKKVKKVLHNIEGVYKVTVDAAQHKVTVTGSLGADALIKRLHKAGKQAALWPAPASPAAVAKKPEEVAPAAPPPPAVEGCVKGAGADKAEPKPKEAAAEAAKDKDKSSEKKQQQPEGEGKEKKPKKEKKSQKAEAAKQKDEEGNKDVEVTATKEKKGSAVEPAKKESAAAEDEAAGEESGSGKKGNNKKKNKPKEGVDEPEAAAAEKPPPQPSMAPSPATSPAVPVRPHVGGFAYYAAAAQPVMSYNVAHPSSSVSYYAPTPMQQQPLPTPPPPPYGYPAAMMPEFMYGPPGMRSSPPQESYNNMFNEENANSCTVM >Dexi1B01G0026170.1:cds pep primary_assembly:Fonio_CM05836:1B:31066943:31070305:1 gene:Dexi1B01G0026170 transcript:Dexi1B01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPHVARSSEMSTNSRSNSRANFNNEIHDISTAQNSTMPTMYYSDRSLTDMLPPHLLKKVVSEVVSTFLLVFVTCGASAISGSDQHRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLSFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTTPTGPHWHALVIEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAVSGGSMNPARTLGPALASNLYTGLWIYFLGPVLGTLSGAWTYTYIRFEDAPSNRDTPQKLSSFKLRRLQSQSVAAEDDELDHIQV >DexiUA01G0026410.1:cds pep primary_assembly:Fonio_CM05836:UA:56448574:56450274:1 gene:DexiUA01G0026410 transcript:DexiUA01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMSPSDAPINWKRNLTVAWLGCFLTGAAFSLVMPFLPLYVEQLGVTGHSALNMWSGLVFSITFLFSAIASPFWGGLADRKGRKIMLLRSALGMAIIMALMGLAQNVWQFLILRALLGLLGGFIPNANALIATQIPRHKSGWALGTLSTGGVSGALLGPLAGGLLADNYGLRPVFFITASVLFLCFIVTLLCIRERFTPIPRKEMLHARDVLTSLKNPRLVLSLFVTTLIIQVATGSIAPILTLYVRDLAGDVSNIAFISGLIASVPGVAALLSAPRLGKLGDRVGPEKILICALVISVLLLIPMSMVQAPWQLGLLRFLLGAADGALLPAVQTLLVYNSTNQIAGRIFSYNQSFRDIGNVTGPLVGAGISASFGFRAVFIVTAGVENRETPMTMYATLEEAIDAAREEFLADNPGVEEENADVQQLNIQKYVLQDGDIMWQAEFFADEGEEGECLPVLSGEGAQAVFDGDYDEIELRQEWLEENTLHEWDEGEFQLEPPLDTEEGQTAADEWDER >Dexi2A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:2A:33345036:33348890:1 gene:Dexi2A01G0021230 transcript:Dexi2A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSLTCGDQLLLANCHRENGPTSLGRGRPSRRAAFPFPKLRTALPLLHLAPPAAAAAAAAAAPIQRRAGEAQERLGMATQTGVAASKVLILVGAGMTGSILLRNGRLSDVLGELQEIMKGVNQGAVSGPYDIALIQAQIRNLAQEVRDLTISRPITILNGKSDSGGGLSSYILPAAAIGAMGYCYMWWKGLSLTDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIEAIQQMIAGLEGKIELLENKQDMANTGIWYLCQVAGGIKDGINTKFFQEATEKLKLSHPIQPETKPVKGLELFSESAKEHKVVESKPAMFTSDAENEKLTKTAAVKGAAVHRSIRFSFGKEGLAL >Dexi1B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:1B:5684974:5686959:-1 gene:Dexi1B01G0006860 transcript:Dexi1B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTSMLSTLLQLPLAPFSGKSSPPSVVHVARRAPTAVVAAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYENKTDEKKRKAREAGRRNRRSQGSQKKMQGQLEGLAMRTMTTGRLTCSFPLTGASAPASVFGCNPITDKTCKPKDPTVLPGGGLDIDGDGDELPGFDPHLTILGHAH >Dexi1A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:1A:21859804:21860908:1 gene:Dexi1A01G0014980 transcript:Dexi1A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNAALPVLVATLLAVATQSAALKVGYYNDKKCAGVEPIVRDEVYKTLNYDRSKGAALVRLFFHDCWVKGCDASVLLNPSSKNPYPEKVAGSNIGLRAFDVIDKIKARLEAVYPGVVSCADILAFAARDATRYLSDGHIDYAVPSGRLDSVVSRAKDADDTLPSSTGSFPDLKKNFGNKGFDVEELVVLSGAHSIGVAHYPSFKDRLAAPQSEIDAKYQTALRNAAKNKSRTVANDIRDESYSFKRDAGYYTVVSGRKDYLNNTYYHNAMDNRVLFKSDWVLRTDAFALSKLKEYRDKPKEWDSDFADAMVKLGKLLPAEGEGLLEIRKKCSAVNTY >Dexi1B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:1B:3925787:3927908:1 gene:Dexi1B01G0004860 transcript:Dexi1B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQMSPAKGAPEEFDQTIFPVNHNRSIGPAEGLALNLVREAQRKRSYTDTSNFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >DexiUA01G0018640.1:cds pep primary_assembly:Fonio_CM05836:UA:39410422:39414271:1 gene:DexiUA01G0018640 transcript:DexiUA01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTPAVSAPSALAPAPAGTLLGSSTGTRRGALAVRPTRALPALRLGLVARRSAVVVRAAAAEGAVEELQAKVTSKCFFDVEVGGEPAGRIVIGLFGEVVPETVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQENNVSRFTKLYFASMDNVVGLPIRGEVKHVGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMGVVKQLESQETSRSDTPKQPCRIVNCGELPLDG >Dexi3B01G0031370.1:cds pep primary_assembly:Fonio_CM05836:3B:32594899:32595159:1 gene:Dexi3B01G0031370 transcript:Dexi3B01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLSEDLDDDDDAAVRNEDDFFLDVATCRSSSPPSAAADAAPPPRRCPTGRHSAVVPSGLPCSPAMDGEWGLPRRSLLHLQRHR >Dexi4A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:4A:21468396:21470643:-1 gene:Dexi4A01G0017670 transcript:Dexi4A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAATAGSRAPAHPCLKTHGKEVARLHLFDWIVLLLLVAMYGVLSLIQPFHRFVAEDMMVTLRYPMKNNTVPSWAVPVIAIVVPAIVIIGIYIKKRNKYNNITGDVICHGVPSVVKEGYKSFPSGHSSGCFAGLGFLSWYLAGKIKDWWLLHSATFSSFHYPTVNMAQEFFGGGV >DexiUA01G0006480.1:cds pep primary_assembly:Fonio_CM05836:UA:12474038:12475341:-1 gene:DexiUA01G0006480 transcript:DexiUA01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKKKLFVELDKITKPSAILASNTSSISITRLASATKRPSQVIGMHFFNPPPIMRLIEIIRGADTSDEVFAAVKSFSERLGKTVICSQDYPGFIVNRILMPLINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDNKYSPCPLLVQYVDAGRLGKKRGVGVYSYGQKSSNVKPKSSL >Dexi8B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:8B:15910824:15911225:1 gene:Dexi8B01G0009150 transcript:Dexi8B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNITAGEDWFAGANQQYLLWFHRVARTRLRPTAMEYHMEDVDTNVEDDYDVDTRWGNQPERAPLHDHMSHS >Dexi2A01G0028750.1:cds pep primary_assembly:Fonio_CM05836:2A:39927770:39929020:-1 gene:Dexi2A01G0028750 transcript:Dexi2A01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAVLISLVVCATLASGAAGARVELTRAHSSRLVGDALRRDMERHAGRRLAASGATVSAPTRKDTAMGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCSDQCFQQPTPLYNPASSTTFSPVTCDTTPSKLCPYNQTYGLGWTAGILGLETFTFGSSSPANQARVPGIAFGCSNASSDDWNGSAGLVGLGRGSLSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGSSAMLNNAGVGSTPFVANPIMAPMSTYYYLNLTGISLGTKALSISSNTFALNDTDGTGGIIIDSGTTFTYIVRAAVLSLVTTLPTTDASAETGLDLCFVLPSSTSVPPAMPSMTLHFDGADMVIPGDSYMILEAGLWCLAMQTQISGFPSILGNYHQQNMHILYDLGQETLSFAPANCGTF >Dexi4A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:4A:22700809:22716045:-1 gene:Dexi4A01G0018750 transcript:Dexi4A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGERHDEENPSTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDEKAEDAVDYEDIDEEYDGPEVEAATEEDNVLSKKDYFSSSAAYASVNNTVSVFDEENYDEDEETPNDNESPGDNAVQNLSSDLQITNAADFVEEDEEIILRSTIQNFSTLKHIQMNENFVESDSDESVADVTSRLKDSCLSEQPMKDAHIVQQSPVCPDFYPLEHDDWENDIIWNNSPSTDRQPYAKICESEESVDTHGEDHDMDYDQASGCWDVQSKSNVPPVPEEPFGCTEMPAPVNYHSPGNKYPPLTNEDNIYHIRPNNLDDAVKTGTMLRLNNLSLLNSELLEGSWLDNIIWDPSEVFPKPKLIFDLKDDHMLFEILDEKNVDHLRSHARAMIVSQSMKTSTPTVENFDNQAKTLSGRFNISNDKFYSNRKTPQQAKTHTKKRALMGIKVVCCYESMQAGLYRLKRLGIVKLTQPVGLASAMNQLPDEAIELAAASHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVAPKAPASNSMLKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAMDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTSTADLRSDKADGMRGLKMRRCPTHAQINEEIEDDEAEASLAKKLLEDSGNDMKRKKQPEGLTNCGTSIGANKMKQNKTGQMIKSSGHAGALTPKESTPREAKEAENSFAEGGLPSKLKTKVAFDGNDIILVKKKSVLGKDGPKEKGQGARGDTLVCGACGQLGHMRTNKRCPKYGEDPEMSEMDANSVKSNPADIVNHLPTKTPKRLITKVSSEVNETEGPEDYRSTGKVNKMIIPNKMKSDDFPPDTPKPSVVFRPPAEEKDVPRKKITIKQPKVELSNILEKIVDHLRGEKQISLLFLKPVTRKDAPDYLDFIERPMDLGTIRDKVRKMEYRNRNEFRHDVAQIQLNAHIYNDQRHPHIPPLADSLMELCDYLLDESAELLDEAEDAIEQ >Dexi4A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:4A:23999552:23999938:-1 gene:Dexi4A01G0020290 transcript:Dexi4A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVFRAMLRFIYTDDVAAAELDVSSMAEHLLAAADRYGLDRLKVTCERKLSACIDVDTAATTLTLAEQHGCSLLKATCVERRSTLSCRQKGYKHLAASCPLVLAELLKAARGRKN >Dexi9B01G0037290.1:cds pep primary_assembly:Fonio_CM05836:9B:38756212:38758620:1 gene:Dexi9B01G0037290 transcript:Dexi9B01G0037290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHRSYLGGARTFERAVLATIVPALYISAAGRRETRDETREFLKALVLYVTGREDPIIISFSARRQVYHVGDTTRAQRLAPRRRGPPGSDRGGGTERGAPARGVNGRSHLRGGRGQRAAAIRQNETAPAARLGSTPRPATAARWARIPTASGAGASAGPHGEGVADGFSQWFCQALGGAGGGLVVLRHRSPSHEMYWRNVHRARVGTLHARGRPDSARVARVSALTCLPPGLHLQRPERIGDERFERRTKRAIEAARHSGNRGMWLVNGKGHSATADAARTSPVIAFGRNDHGPAQCRARQAQQQYLRPSEFRALFFGVWDQPFQDNTEWIGGAPHVSIDFHRRIN >Dexi3A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:3A:15106086:15107127:1 gene:Dexi3A01G0019280 transcript:Dexi3A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLHSHFLLLRSQTLTPLLRRSPSSPLLCGPRRCLSARASAARVAAATTQQPELGMEEAVVGFVTGKRKATEVAHAVWRSIVRKGDTVVDATCGNGNDTLALLKMVADERGQGRVYGIDIQDSAIESTSSFLKMAVDDEHQRELVKLFAICHSRMEDIVPKDAPVRSQPF >DexiUA01G0004270.1:cds pep primary_assembly:Fonio_CM05836:UA:8371626:8373561:-1 gene:DexiUA01G0004270 transcript:DexiUA01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >DexiUA01G0017430.1:cds pep primary_assembly:Fonio_CM05836:UA:36752090:36758454:1 gene:DexiUA01G0017430 transcript:DexiUA01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDSAVGPHFSGLRLDSRRLSSSSLPSPPHSNGNGAPKGLPSPTAGGGRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVQDYNFDHPDAFDTDQLLECMGKLKSGQPVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVASVLEQYGRFVKPAFDDFVLPSKKYADVIIPKGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVFVVHTTFQIRGMHTLIRDSNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEDRIIFLNLISAPEGVHCVCKRFPRLKMVTSEIDGGLNEEYRVIPGLGEYGDRYFGTD >Dexi2A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:2A:13236982:13237831:1 gene:Dexi2A01G0011360 transcript:Dexi2A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALWRTEQSEGLEAQSDFYWVRSVRSQPGAAATSWTLPLPPPPFSSACSATAAAKNPANPRPVPTRAAGNPRLPDPWIRSSRSPSATSAESCVLQLLNRGFKLEFGPEIGRSRDLRDRSTLHCGAENTLKPGDVIQCRECGYHILYKKRTRRNKCHRSK >Dexi5B01G0027280.1:cds pep primary_assembly:Fonio_CM05836:5B:28896629:28899825:-1 gene:Dexi5B01G0027280 transcript:Dexi5B01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPSSPDTPSPPAPDNPPPPPPVTPTPSPVTPTPSPVSPTPSPLPPSPSPPPPTPAPTPTPTPPSPPPPSPSPPPPVVVTPSPPPPASVPTPSPPPPATAPTASPPPPVPESPPPPSGVPPESPPPPDAVPSSPPPPNGVPAPRAPPPPPSRVSLAPPPPTPSSATSASPSKSPSGVNATAVGIGVAVAAVVVLGLAAGLIYFFVGRKRRRRPPPSQGFPAEFYDPRRPVTPQHMSHAQSSTPSSTPPLMHSWQSSRGPSEPPMPLLNPSPAMAGGTYAYDDLAAATDGFSDANLLGQGGFGHVYRGTLGGHEVAIKKLRAGSGQGDREFRAEVEIISRVHHKNLVSLVGYCLYGEQRLLVYEYVPNKTLEFHLHGKDAASCSVAQCTPRMVIDLLPLEPDIFEVGSGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLAKYQAAEVTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGRKPIMRSSGDQPETLVSWARPLLTRAVEEENYDELIDPRLETNYDAYDMARLIACAAAAVRQTARSRPRMSQVIINKPSPLPKTSEFSDPTSEYGLNPSSEYTASSGADTGEMASGAQGGVHRRVPADTERMSRRTTGRRAQT >Dexi9B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:9B:987416:988007:-1 gene:Dexi9B01G0001700 transcript:Dexi9B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPASISVTLFLVVLAVATSAAAMAGAAAAEENAAKYQLGILGAALGSEEKAKAAILYNYRNVMSGFSARLTPSELEAVKSVPGAAERDASCR >Dexi5B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:5B:21253388:21253615:1 gene:Dexi5B01G0018890 transcript:Dexi5B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKIIHFAHPGHELKLRDDYHLPRYCDMCTEKIDASGGYSCHHLLCDFDLHKECATYPETLSSFFVHPWHDLT >Dexi6B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:6B:10954899:10955974:-1 gene:Dexi6B01G0008680 transcript:Dexi6B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENLGLSKAIVIELSKEIDIIVNGAATTNFFERYDVAVNVNVLGAKHICKFAKRCNNLKVLLHVSTAYVSVYILNMVAFHRTIDSFIISYAKQTLT >Dexi9B01G0049160.1:cds pep primary_assembly:Fonio_CM05836:9B:47940834:47941374:-1 gene:Dexi9B01G0049160 transcript:Dexi9B01G0049160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARYKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDGRRDLDQVAGRVAVEA >Dexi6B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:6B:26982060:26984497:-1 gene:Dexi6B01G0020560 transcript:Dexi6B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGGIRAPAYPSREIHEHENDQAIDSLHDRVNILKRLTGDIHEEVENHNRVLDRMGNDMDASRGFLSGTVDRFKMVFETKSSRRMATMVASFIAVFLLIYYLTK >Dexi8A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:8A:24211162:24213651:-1 gene:Dexi8A01G0013920 transcript:Dexi8A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRVGGGSADQPHRAGAAAAGAAGRATDEEKVEVEAEVPPRDPIGNGEIINHTYIERFANFFPIASMAETISSAVAQEAVSQVISTILERYDHSSDAKDRMDRMEMAHIRLEAALEASQRWSVTSAPLLRWRSKLKRAAQECDCTLRRCRQRLQEEEDRSSLPRRVARTTMSFVSSIVGRGGDEELAGSTVRRFERYADGASEFLRYVELGGGILRRSMFFDGALVRHLLEGKGTKYCFVSEGQHLSFVLQPFIPPERGMQATLLFLLQDSNSPENNFRFTLILRISETTNIVGSVVRCLELFTPQLSSTTEIVKTKLTQLPTQDLHWIPDAQSVYGRDEPGDNLEIIFSKWARPNPHCCQQLNRSYGTQRSYYQLGTKDNRAQTSVT >Dexi1B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:1B:9692753:9700016:-1 gene:Dexi1B01G0010370 transcript:Dexi1B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRFPAPAPAPPLDDDDLLSDILLRLPPSPSSLPRASLVCKRWRRLVSDPAFARRFRARHRRSAPLLGFFTERDGILSFTSTLDPPDRLPRGHFSLKLEDRCRFYGCRHGLVLIINRMDRQFLVWEPVTGDLRRVAFPPEFGNAGDKLVQHAAVLRAPGDVHAGEDHQSIPFLVALVGSDEACTRAFACLYSSETGVWSNLISTECPSMVPMYYNPNTLCGSSLYWLLGPRMAILEFDLDKQFLGVIDVPSSDDRYRMFQQWVIPAEGGGLGFLCMSGYTAQLWMRKVDSGGIAGWVLGRTIELDKLLSLDSELDSARFKKPFRIEKIDYHHPFSSVYTAGSVSGDEGLPAAGARRRRSPAPPAPLDNDDLLTDILLRLSPLPSSLPRASLVCKRWRRLVSDPAFVRRFRARHRRSAPVLGFFTEGNNSLSFTSTLDPPDRLPRGHFSLELEAFPPEFQSGNGGNMLVFQDAAVLRAPGVVHADEDNSIPFLVALVGSALASIRTCACVYSSETGVWSNLISTACPDFPIYTPTTLVGSTLYWLLGPEMAILEFDLDKQVLAVIDVPLSNCRYRPYHQWILPAEGGGLSFLRLSGYSAELWMRKMDSDGIAGWVLGRTIELDKLLSLNSEEGFPIEIIGIAEDDNMIILFEKC >Dexi1B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:1B:23384622:23390911:1 gene:Dexi1B01G0017010 transcript:Dexi1B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAAATGSLVASTSLSVPDHLRLRRCFRLHPRPLPPPLPCSLRRSRGCLVRAVVEDRASPLVEEDAKRYGLNGDGSGTGYDDAAVEAYLGSNGNGNGSASASGNGAAVEQEQEQPASSVAVVPVSPAEDEKRRKERVEEIGREDAWFKQSRGDRLPQVSSVCLKSVSLHRLSSATSSKQMIICNRVSVAPGGRWNRFKTYSTIQRTLEIWGFVFTFIFKAWLNNQKFSYRGGMTEDKKVMRRKVLAKWLKESILRLGPTFIKLGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNAIFDRFDFEPIAAASLGQVHRARLNGQEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFADNFKKFDYVKVPQIYWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILTHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSFQERLAAQRKEREMANAELGFKKQLTKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYAKELLRFNEAGVELVVKDAKKRWERQSRAFYNLFRQPDRVEKLAEIIERLEQGDLKLRVRTLESERAFQRVATVQKTIGYGIATGSLMNLATMLYFNSIRGPATIACSLCAFFGLQVLVGLLKVKKLDRQERLITGTA >Dexi4A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:4A:7922114:7923402:-1 gene:Dexi4A01G0009990 transcript:Dexi4A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWVRPEVYPLFAATGVAVGICGFQLIRNITGNPEVRVNKAGRAAGVLENYEEGRRYAMHGLRSFVHDKTPEIMPSINKFFTEPK >Dexi3A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:3A:6356832:6360680:-1 gene:Dexi3A01G0009170 transcript:Dexi3A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDGPAAAGGGVGAGRKFWRSASWSASRAAAEPPQDGAAAPGGGGQARRAPPPPPLTPRSMSAKARSCLPPLQPLAITRRNFDEWPKAGSDDVGEWPNPTTPGASKVDGGPSSARPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNEPSPSALDSRGAFIVHVLSSIYVWVGMKCDPVMEKDAKAAAFQVVRYEKVQGHIKVVREGLELQEFWDAFSSTPLNSDSNTKVNKDQIDSASKSNPGSRRVDSYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRQKFIRSLSRVYSDSALIRDFDPRGDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSNSSPPPFGLSPASSNLPHALVPSSRREAVKTVYVWVGGENEKTKSIDTVDWQQVTGDFLRLKGLSDALPVKVFKEHETENLLEVLNVS >Dexi4A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:4A:12183590:12185491:1 gene:Dexi4A01G0013120 transcript:Dexi4A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDPLVMGRVIGDVVDLFVPTVPMSVRFGIRDLTNGCEIKPSIAVDPPAVQIAGRASDLFTLVMTDPDAPSPSEPSLREMLQWLVVNIPGGTDPSQGQVVVPYMGPRPTVGIHRYVMVLFQQKAPMNPPPALAPGSDAARVRFTTRAFSERHQLGLPVAAMYFNAQKEPVSRRRNYGGCSTSSAGRWA >Dexi5A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:5A:5161847:5164934:1 gene:Dexi5A01G0006970 transcript:Dexi5A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGGGRAAVERRQEQRHIGTAAHLAAGGFAGAVSKSCTAPLARLTILFQKTTRYYKGIFHAVSTICRDEGIKGLYKGLGATLLELQGVGPSIAISFSVYESLRSSWQMERCSPHDSTAVVSLFSGSLSGIASSTATFPLDLVKRRMQLKGAAGTASAHKSTITGTVRDILQKEGPRGFYRGIAPEYLKVVPSVGIAFMTYETLKSLLSSMDADDER >Dexi4B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:4B:19926734:19928107:1 gene:Dexi4B01G0017580 transcript:Dexi4B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGGLGTGGGRGGAPLARIAAYKACWDSGCYDVDILAAFNDAIRDGVDIISVSLGPDYPQGDYFSDAISIGSFHATSNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRSFVSYIRLANEYFLNIVSLDAKGESLSTYHMKTSIRTIPASEANAGYFTPYQSSFCLDSSLNRTKARGKILICRHTKGSPESRVSTSMVVKEAGAAGMILIDEMGDHVANHFAVPGTSVGREIGNKILSYIKSTRHASSMILPAKTILGLRGGPRIAAFSSRGPSSLTPEILKPDVAAPGLNILAAWSPAKNNMHFNILSGTSMACPHVTGIAALVKSIYPSWSPSAIKSAIMTTGITG >Dexi1B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:1B:22758238:22758633:-1 gene:Dexi1B01G0016230 transcript:Dexi1B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAATPSKKAKTSATAGATPQKPWKAAPADQILTPEKLTQRVTAAAAAADQIWTPEKPEERPRARSRSVAFSGVRRAALELRRPEKGTQPAAEEADELESVKRELGVGAGASQSPVKRKAEIKLPER >Dexi8B01G0012750.2:cds pep primary_assembly:Fonio_CM05836:8B:22096994:22097336:-1 gene:Dexi8B01G0012750 transcript:Dexi8B01G0012750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVRRMDPALYKAVTQGKVEMPRQLVDPEDPSILRSTTPQLITALHLATLHGHAEFAGEELLIARNDDGDTPLHLAAKAGKLEVAKLLIHHAQAAKPGRRTRRITLCL >Dexi8B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:8B:22096711:22096991:-1 gene:Dexi8B01G0012750 transcript:Dexi8B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKSGNTTMHEAVRHRRGAVAVALLDADPRRGHDLNEVMESLLHMAACEGLLQVVRKIVDYPGVGPEFLPSVSVTGTAHTPGRAWHHYPH >Dexi9A01G0037950.1:cds pep primary_assembly:Fonio_CM05836:9A:42187222:42191069:-1 gene:Dexi9A01G0037950 transcript:Dexi9A01G0037950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSQSLIFRAPASVPGARLAAPSASRVSLRGRGASFTSVAAASTSMANMDGDEKETKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLASQGLITAGDKDIILEGLDQIERLIQEDKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIHIKQLQVSLVMLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNRQVVDFFIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELQLDDLKAVHPVFEADVYEYLGVENAVNKFISYGSTGSNQVKKQLEDWRIQLGISS >Dexi5B01G0027500.1:cds pep primary_assembly:Fonio_CM05836:5B:29010334:29016330:-1 gene:Dexi5B01G0027500 transcript:Dexi5B01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRNRRVGAAAAAAAAGGGGYPEPAAYNIIPIHDVVMHGEHPSLRFPEVRAAVEALAHAADLPTPPLVREWDPLRADLFDWLGATFGFQFHNVRNQREHLVLLLANAQLRDGGRLPADHPADVLHHSIARKIRKKLLKNYNSWCSYLGKKPHVHVPSALRRVQGLPPDTRRDLMYTALYLLIWGEAANLRFMPECLCYIFHWMAFDLNHVIDQSVDIETGRLSIPEVHGEDAFLEKVVTPIYNVLKAEVDFSRDGTKPHSAWRNYDDVNEYFWSRRVFRRLPWPLSPARSFFIPPGPGNPGRIGKTGFVEQRSFWNVYRSFDRVWVMLILFFQAAMIVAWDGRTPWASLRYHDIQVRVLSVFITWAALRIVQAVLDAGTQYSLVRRETTLLAVRMVLKMFVAVGWTITFTVLYVRMWDQRWRDRRWSDAAKSRVLNYLEAAAVFVIPQVLAVVLFIVPWVRNFLEKTNWQILYVLTWWFQTRTFVGRGVREGLIDNIKYTIFWVCLLISKFVFSYFLQIRPMVGPTKTVLSLHDIKRNWFEFMPHTERIAVILLWMPVVLIYLMDIQIWYAVFSSLVGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDAVRGGLRSKLYDAINRLKLRYGFGRPYRKIEGNEVEAKRFALIWNEIIQTFREEDIVSDKEVELLELPPVVWKIRVVRWPCFLLNNELLLALSQAKELVADDRAHWTKICNNEYRRCAVIEAYDSIRHLLLEIIEERTVEHIIVSQLFLAFDGAMEYGTFSEEYKLDLLPEIHSYVITLVELLLKEKKDQTKIVNTLQTLYVFVIHDFPKKKKDMDQLRQEKLAPSSAEESSLLFEDVIKCPSNDDISFYKQVRRLHTILTSRDSMNNVPKNPEARRRITFFSNSLFMNMPRAPTVEKMMAFSVLTPYYNEDVMYTKDQLRRENEDGVSILFYLQKIYEDDWGNFLERMRREGMNDDDEIWTVKYKELRLWASYRGQTLARTVRGMMYYHRALKMLAFLDTASEVDITEGTKHLASFGSIRHENDVYPMNGGLRQRPQRRLDRGTSTVSQLFKGQEDGAALMKYTYVVACQIYGHQKNARDQRAEDILYLMKRNEALRVAYVDEVHHQGYTQYYSVLVKFDQGLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLQQYDYKHGSRKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLAALKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRMLSVFYTTVGFYFNTMLVVLTVYTFVWGRLYLALSGLEAVTEC >Dexi2A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:2A:734536:736824:-1 gene:Dexi2A01G0001120 transcript:Dexi2A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPMLMEELEEEVLLRFPPHEPTLLVRVCLVCNRWRRLVCGSAFRRRFRELHRTPSMLGFACNILHEDRMMSSFTYEVTKVCEGKNVYSAIPYMSFYTPGYPF >Dexi9B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:9B:8728930:8729195:-1 gene:Dexi9B01G0012950 transcript:Dexi9B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSNTRGAQLLSPTRLINPSFPFASAAPLYTERLRRCPPPPPNLSQPLPPFHRPLPSPSPSSAPKP >Dexi4B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:4B:3992671:3994906:-1 gene:Dexi4B01G0005610 transcript:Dexi4B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEGSLVYWTTNGLFSVAQGDNEMAIKLLQRIAELKEPEKPINKTCYFQGMYIVQRRPEP >Dexi2A01G0033150.1:cds pep primary_assembly:Fonio_CM05836:2A:43444725:43448961:1 gene:Dexi2A01G0033150 transcript:Dexi2A01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLWLLPFAAEFEGAHGGLVASPSAASLLQSFPIDFFRCSSSLCGFWQGGDAMMHMLVAPDGGVAELQPYGAPPVEQELELLRDNADDSLEGHVRYVDAPKSFVLCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKV >Dexi7B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:7B:17851337:17852029:1 gene:Dexi7B01G0010540 transcript:Dexi7B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAMADERDRLVDLVYDAGGGKVYCVTAHGDVHVFHVVPGCRRRRPRVLPLHAKQRGGGLFAPPYDAASKLTGAKNIFVSGGSLYQVWRNTTCAAVSRMMMPGGGGGGGQRIAMAKDEVFVLKYDPSKRRGPCWDAVSDLGGCSVFVGKNSPVVLRTEDASGVRPNCVYWIDEQSRFAPMVFDMATRTSTLHPLPAEALCSDRRPVCWFFLNDKIVSIDGDGGNTENNA >Dexi5B01G0033870.1:cds pep primary_assembly:Fonio_CM05836:5B:34176402:34180106:-1 gene:Dexi5B01G0033870 transcript:Dexi5B01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPSEGDAHGDTIPDHRPPKSTTSPAATTHSPADPEAPEADLQIHHSNIPFCAASREIEATEAMDATSTPACNAAPTTTTTERHQANSDAATRPQAPTTPASLLPDLARALQEQDATQEASTVVGLEVAEEIPGSNINQKNGSEVTSPQQPVPSHCNGAMEQEKMPAIQSDKAIQTNPCATSIQNQDARVKERRNYTRAEKGKGIDDSTSARPDNGVIRQDSNIRPESMAARTASKEKGRSIDSTVPQGHSVPERQPPPAMAKDNGNTGKRQNLLQGECSTAAQRQTTKPSNAELWRSQQRQQKPNPAPRSANVSTNTWSDGQIDEQGFQKEWFDPMTEEQLTNQLLADDRFKDQRIRAMLESAKGWISVQSPGPVDVSTTQETPHITITIPQVGAHESELASHVHPVFPADEGQCSLESDTLNELDSLEHDFESIAPVPSVEMNPVELTALGEHPTPTQNLPRPFNKNTMEALQVLVEEGNKSMSRRKSKVMPSPVIESEPTA >Dexi5A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:5A:5236670:5238271:1 gene:Dexi5A01G0007040 transcript:Dexi5A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGFTSDTSTFPLLCCSITLLVTLLYLIRRRRGAHSSPASGKLRRLPPGPPALVFLVKFLALRRSIFDLGPLIRDLHARYGPVISFRLLGATLVFVSDAGLAHRALVRDGATFADRPPLVDPDPLFSAGDINSASYGPYWRLLRRNLAGDALHRSRVALFAPARRRACDALAAGLLHAGSSSEKTEGVVTVRPFLRRAMLELLVYMCFGGERLGEDELEEMEALQRHVLASFTSFPVFAFFPALTKGLFFRKRWAAHVAVRRRLDEVFAPLIHAAAARRRGDGEEDDDHHHHPPCYAESLLALRVPGDEEDGDRVLTDAEMVSLCSEFLNAGTDTTVTLVEWIMAELVTNPSVQAKVYEELTTKGKPELDDDSDNLNSFPYLKAVVLEGLRLHPPAHFVIPHGMTSDGEIGGYTVPKGAEVNFMVAEMGRDEEVWTAAREFRPERFVDGGEGCGVDITGSREIKMMPFGAGRRMCPGYTLGLHHAEYFVARMVSEFQWLPAADEEVVDMAEAADFTMVMKHPLRARIIPRN >Dexi1A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:1A:5295983:5297843:1 gene:Dexi1A01G0006890 transcript:Dexi1A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQQQIACYLCLFLAVLLPLLLLKFKKRGRGGHGAPPRLPPSPSRLPFIGNLHHLLLRGPLAHRAMAELARRHDAPLMYLELGEVRVVVASTPDAAMEVMKTNDAAFASRPWIPSVRSIMASGAVGLVFSRYGALWRQLRKICVVELLSARRVHSFRWIREEEARRLVAGIAAASSSPSGDGDGDGAVNVGEQITGAITDAAVRTMMGDRFDRREEFLQVIAEGTKIIAGFNLSDLFPSSRLANLLSPTSGLVDKIFRATFEIMDHAIRKHEDRRGRMAAMPPDSHNKEQDILDALLKIQKEGDHQATPLTMTTIKCVIIDLFSGGSDTSSSSLQWAMSELMRNPRVMRKAQAELRDKLQGKPTVTEEDLLGLNYLRLVIKETLRTHPVFPLLLPRECMEDCKAMGYDIPKGTTALVNAWAIGRDPRYWEDPDEFRPERFEDGKIDFKGTDFEFIPFGAGRRMCPGITFALANMEIMLAALLYHFDWELPGRMPPSELDMSEEMGMTVRRKNDLCLCPIVRVPPCAAP >Dexi7A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:7A:18400382:18401222:-1 gene:Dexi7A01G0007050 transcript:Dexi7A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRAPAGSATTIIVSVRFYHVLKIDGYTTTLDAHNGRRPSFNSRPFRAGGRTWHVSYRPMGSTHHPENTDSIAVYLALDDAVDEPVNAQATFSLLDQDEKPVHTHSWTTRMNNFSKSRDRAFGHERFIKREARERSEAALYGPMREGTHRRHTDR >Dexi4A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:4A:24824549:24825031:1 gene:Dexi4A01G0021170 transcript:Dexi4A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGCSGGNPNKAFNYIIRSGGLTFEHAYPYMGRRQGSCTMSTPIAATINGFQHVPQYDTFALMAAVAVQPVVVAVQAEDVPFKRYGGGIFRGPCGTKPDHSVMLVGYGTTDYGEKYWIVKNSWGPNCGENGFIRMKRDVTEREGLCGILMDPSYPVKH >Dexi9B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:9B:9706435:9715223:-1 gene:Dexi9B01G0014500 transcript:Dexi9B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGNISCYSPPPQAAPDAFSQTRLTDHLERLLDPSFLDRADAEIVLTAEGCGGAAVVVGAYSCLLARSPFFRSHICARPAGEKLRFDLAELVPGGHHIGRDALVSVLGYLYTARPPNVPPQECSDDECMHVQRLFEIVVIVSDEDIIPIIIAAHECNLPDLRTQCIERIVAFSNLESTYLEKKIPADIYRSIRECCRRCSFRSEFRTSILDPDHEHTNIHKALDSGNVDLVGMLLKQSTVTLDDAFAIHYAAAHCNPKVLTELLKLDSTANVNMRNHGGYTPLHIACMRLEPRIILSLLVQGASVFDRARDGRDALTICKRLTRQEKDVNKELKKGQETSSAYLCINILEHTKRTLPWDQVSLEEKILRGTPFLVDNFLMRLLDLECRVILNSTLHAYAVAFAKLFFPSQAQLAMHIAEADSTEEFDAIRLKEIDLKAPGTHRRLRERFDALTKTVDLGRRYFPNCSHVLDNFINEESALTILGSGTPEDKVRMRICKLREDVKKAFSKDKAAGAGDAPIASKASSSSSPRYNKTLAKGSEHAHALPPPVLHLHAALQRRLRGYDIVVDALGRERRFDATWRAVDHH >Dexi3A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:3A:6110312:6110865:-1 gene:Dexi3A01G0008760 transcript:Dexi3A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLSWHDRWCILKEATRLYGASWVRDIGPDLRPNDYKKAAEDEEELSPTPALAMTRRGGRAAASPDLAIAARGGAETLKPALRRIYMTRASTYTTAMKNFVEAYQEGLKDQLQEKAGDADGGGGHQQQPQQGDEATKPPPPPSS >Dexi5A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:5A:8864276:8864553:-1 gene:Dexi5A01G0011800 transcript:Dexi5A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAKRKKWLRKQGRPDSKAATTASKSVADHGNRHGMWEEVKVGDGHDVWLDGGLGDRYQTPAGDPDVRVLVAIGAGHLCFRS >Dexi6B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:6B:5142848:5153143:1 gene:Dexi6B01G0005660 transcript:Dexi6B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSTMDVPNNSCLPKLHTRLRLWEFADRYVFEPVDGLADLLLSVSRVNGSMNLVEELPQRGPSTNPKVQIVFGVIGVLKLAVGTYFLVITDRDCVGSYLGHAIFKVTGLRVLPCNNSISASAEQVCSQRLHELGDEFKSLPLWRQAEPRFLWNGYLLEPLIENKVSLGFLFICTSTCYQLYKEVSLVCFDNFGFQSIHAEVRSEKVNVTMIARRCTRRIVPEGVFFAEGTRMWRRGADPEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAARVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEHILSEDIRFVHFDFHKICGHIHFERLSQLYDQIEDYLKKHKCDILPVLPIFSPLHFPLVYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHYISSVSRDMAPPSKPGLLENYAVGRIDIA >Dexi5B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:5B:1802775:1803932:-1 gene:Dexi5B01G0002790 transcript:Dexi5B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLLASFVLAALAAQAFVGVVDARATPMEKTSQGEDKPDCVPGVDPHTFPGIGGGITPMPSHGGTSPSHGGGGYLPTPAHGHGGSSGVPALPSPSHGGGGYGGGSPSHGSSPSTGGGAYGGSSPPSTPGGGAYGSPSPSGGAYGGSSPTPAFGDSSPSHDGTSTPTTSSPSPFGSLPASCESHPMEVLSALGGQLPSSMSSSMSHFFGGAAGSLGGGGVSIQDALANTRTDGAGALLREGAAALLNSMTRAGSFPYTTEQVRDAFAAAAASGSDGAAAAQAAAFKKANEAGKA >Dexi9A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:9A:427760:429761:1 gene:Dexi9A01G0000810 transcript:Dexi9A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRSGGGGGGFGGAAWEVLRRHFSRKRSVDVRRINPKVPKEEAVAISGRLLQILTDHGPLTVGNTWNHAKDAGIAGLNSKTHMKILLKWMTGRRIVKLTCTHVGNAKKFLYCPYTESADAPKEGSSSSSAPPETDNASVQGRKGRATRGQPKKQAAALQ >Dexi1B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:1B:24598748:24599266:1 gene:Dexi1B01G0018350 transcript:Dexi1B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRSRRVGAAGVVASAELDDAPLPVAALAEELVNLVVEVAEAVLAEALVLDLGYLGADLPEDLGAPALGVGEVAALGGEGRRSRGLRFSSCFIVMKRLSIDCAFSSLRAMAAGRGETAAGGGGGEVLELGEGLGREAGGFDWDLGDSGIGLDGGEEPVLLVAWVRV >Dexi1A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:1A:24459389:24469525:1 gene:Dexi1A01G0017140 transcript:Dexi1A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQATEAEAAALKLKVMAVPIVSALRLDEEADDFEVLVRVDAPLQAVAKHPPIDLVAAVDRFIIDELHDDDRLAIIAFNQGVNYTRELSPMSGGGRESARQSLNILSPFGGTRFQPALVKAARILERRDEESKGRCVPFIIFLSDGEETDGLGTRWDEVICGDSDHPILQVLRRYPVHTFGFSRYHDPVPLLAIARVSCGTYSYIDHSLDKITDAFAVCLGGLSTVVAVDATITLSAALGVTIVGIDSGGYDKRHIKEDGTSGEVCIPVLYEGEVKNFIVHLRVPRAEAAGEQLLLTAGGTYIDDTAKHGASTTATIVNHHQLSIQRPAGGYDGNDQQRDKAVLEQVIRFRLLSLLEMLPKDTRLNIEGRKWARWLRKKWNELKNSSSLSNNFDKDVEVMVGKLKEGNGQAFVCSYVSSHQTERATTMGSSCRNMIAAGYKTPAITRKAEKATALKPLEAPALRATTAKPHPAPPAPNAGGHIATTTAKPHPAPAANKGGGDGDIAGGIKEKRKESLNMNHHSGSGLPRGIPFHLLEEITNGFSDERKLGSGAFGKVYMIIMLDIYADQGVPKDGEKIAVKMLYDMPGLDEDQFRHEFNNLSRLQHPNIVRLVGYCHEIRKTCVEHHGRVIFADRIRLALCFEYMTFGSLDKYLSDEYSGLDWNVRYAIIKGIYFGLSRFFADERSRITGSHIGTHGYLPPEYIERNIISDKFDIFSLGVVIIKIVTGPKDYKTSAEMTPQELPSMRRLQATPMHLLESYSKQVKKCVEIALSSVVAERHKRPTIGDIVRKLDDDTETDSQILTTSSQATEAEAAAFKLKVMAVPIVSALRLDEEADDFEVLVRVDAPLQAVAKHPPIDLVAAVDTSSSMDWLPDHNRGAVNGEKSRMDYVKAALRFIVDELHDDDRLSIISFNLLIKDRSDLSPMSGGGRESARRFVNRLSPFGYTRFKPALEMAARILEQRDEESKSRRVPFIIFLSGIEETRGWATRKLIKEHGASGEVHIPVLYEGEVKNFIVHLRVPRAEAAGEQLLLTAGGTYIDDTGASTTATIANHHQLSIQRPAGGYDGNDQQCDKAVLEQVIRFRLLSLLEMLPKDRRLNNEGRKWAQWLREKWNELKNSSSLSNNFDKDVEVMVGKLEEGNGQAFVCSYVSSHQTERPTTMGSPCRIMIATPAITRKAEKAAALKPLEAPALRATTAMPHPAPAANKGGGDGDIAGGVKEKRKESLSMNHHSGNGLPRDIPFHLLEEITNGFSDERKLGSGTFGKVYMQGEPKDGEKIAVKMLYDMPGLNEEQFLQEFNNLSRLQHPNIVRLVGYCHEIRKTCIEHNGRMIFADRIHLALCFEYMTFGSLDKYLSGMIELCLYHYLES >Dexi1A01G0027710.1:cds pep primary_assembly:Fonio_CM05836:1A:33436395:33436609:1 gene:Dexi1A01G0027710 transcript:Dexi1A01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEDQSHVASCHRPPASIFRCDGEGVDSMQTTSFCNHVPSQAL >Dexi9B01G0027940.1:cds pep primary_assembly:Fonio_CM05836:9B:30492827:30494553:-1 gene:Dexi9B01G0027940 transcript:Dexi9B01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKGWKARDVAGAFVMEVVDEEEDDAVATIPPPQTPMEPMEYLSRSWSVSASEISKILVGGGKQKSGVAAASWLPEMTIPEDTVLATSIVPLPCHQPHHWDARRNSMSSSGHHQSIGKWFQVHHRETCRVKQRGKEKQRAEKAHVHAMVSVARVAAAVAAVAAATSCDAQATKMAAAMASATELLASHCVEAAQHAGARHEQVAGAVQAAVGVRSPGDLMTLTAAAATALRGAATLKQRVQRETRSNASVLPYEKGSEKGQSWSTDIWCKEGELLKRTRKWDLHRTRVSIYINKRSQVMLKLKSKHIGGALSKNNKSIVYGVYSELPTWAEPGKESTQETRCFGLSTAQGLVEFECESSGSKQKWVDDVQNLLRQVALQDQVGNKLGLLKLS >DexiUA01G0017270.1:cds pep primary_assembly:Fonio_CM05836:UA:36504811:36509890:-1 gene:DexiUA01G0017270 transcript:DexiUA01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRITAPSITSMITWPPHATPSLARGERRGVGRTSGGGGGGGGFGWWVAAPGLRSEMADGGGEEGNASAHKGSARRRGTVQAGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERAREKAVEELTAELEKVDEKLKLTESLLETKNLELKKTNDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNKALDRLTKQKEAALLEAERTVQIAMAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLQGGAAANAVRDYQRKFQEMNEEKKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFMQGEMQQLRDKLAIAERTARSEAQLKEKYQMRLKVLEDGLRGPPSGSSRPPTEGKSLSNGPPRRLSLGGADSLSKSSSNGVLMRRSPSFNSRSSLTTSSSLVLKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVKDCETTDNWKADAEEKSNENTNNDSSDMVSGVLYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREIAAMEKEFAAVRLEKEQESKAKRLGNLKGPGPSQTASGRTAPRGGLARNLQ >Dexi2A01G0035270.1:cds pep primary_assembly:Fonio_CM05836:2A:44958535:44958841:1 gene:Dexi2A01G0035270 transcript:Dexi2A01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPFLPRERLFKQQSYFQNLTKHTYLKGRYDVVTSVIIPLALAGSSMFMIGRGVYNMSHGIGKKE >Dexi7A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:7A:30028755:30031235:1 gene:Dexi7A01G0021420 transcript:Dexi7A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVHTILAPAFFLLLASLPSPTRSASLRRSTLYRGDSIAVEDASGDVLVSPSGNFWCGFYKVATNAYTLAVWFARSADATVAWTANRDAPVNGRGSHAVFLRDGSLVLQDFDGHVAWMTNTSGTPADRVVLLDTGNLVVSDAGGSILWQSFDWPTDTLLPYQPITRYKRLVSASAKGLPYSGYYNFYFDSNNILNLIYDGPEISSNYWPDPFKQWWQNNRTAYNSSRYGSLDRYGAFTASDHTRFNASDVGDAGVMRRLTLDYDGNLRLYSLDNGDGTWHATWVALPRQCDVHGICGRYGVCSYLPELACSCPEGFVPSDASNWSKGCRRREFYDVRCGEPVYFAEMPGFDFWGFDFNYTPGLTMETCRKICLDDCDCQAFGYRMGTGECYPKITLWNGRAPDIVKQNIFLKVPTRIKGLKPAVLEFHGHDCTVHERNASVGSSYFQHVRGNKISFVYFYSFLAAAFVVEAIFIAVGYLFVFRADPAASGRGVRDDEGYALLFSHFRRFTYDELSDVTGKFTHKLGRGASGTVYKGVLDDGRSIAVKRLDDLTQADEVFRSEMSVIGRINHMNLVRMWGFCSEHSHRILVYEFVENGSLDKALFCDAGGEDALGWRSRYKIAVGVAKGLAYLHHECLEWIVHCDVKPENILLDAELDPKITDFGLVKLLSRDACGRLVMSGRVQGTRGYVAPEWAMGVPITGKADVYSFGVVLVELMRGRRVSDWVVVVVDGREEGDEDDARVDLQRMVEWIRGEMKKGDDERCSWMEEEFMDPRLRGDFSHLQAAAMLELAVSCVDDDPSRRPSMDAVLQKLLLLEDTASMRYA >Dexi3B01G0020930.1:cds pep primary_assembly:Fonio_CM05836:3B:15842262:15844017:-1 gene:Dexi3B01G0020930 transcript:Dexi3B01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGAQ >Dexi8A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:8A:22503676:22504148:1 gene:Dexi8A01G0012760 transcript:Dexi8A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTTLLLLLLATVTFSSSSPTSQRQALHHHGSNSTGSRCLPHERDALLVFKHGITGDPAGRLASWREGVEEDCCQWKGVQCSSQTGHVVAIRLRNEDPEASRSCPRIPGAFKELEISQPL >Dexi1B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:1B:4358695:4361408:1 gene:Dexi1B01G0005350 transcript:Dexi1B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYYDEALAALPLKRMPALVRRLLEAGVCFGFGDPVTNIIANTLSYLSDDCEPTLQYT >Dexi1A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:1A:28027156:28031112:1 gene:Dexi1A01G0021190 transcript:Dexi1A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRARATRKMLTIAARRLSHAGRFADAEALISSYLPACTNETHLAAVLCCYASANLPEKALDAFRSTVPSLPTPISPHPLNALLSTFLECRSHDRIISLFGELCKEFSISPNDISYGILIKAYCMTGNDAKAKQTLEQMPHPLPLRLSAPPLPCSSSASLIQRPSAGDGQASAPPLRRRRVQFATHLLRLAKARLDPGCASPPPAETPDPGYSSPPATHLFAVAEVPAGNTPLRRHRGPNWQRSPSRPLRRRGSILRRSLYLPSAGEAPAHARMSSRSETQSKPSSSPTPSPPTKMAALAFLLRHGRRAHAHGLPLARALSTTAASTDSGATSLSVARRRLRREFDPDRVVSILETMDAASLSNRASATRNALTIAARRLSHAGRYADAEALISSYLPACTNETHLAAVLCCYASANLPEKALDAFRSTVPSLPTPISPHPLNALLSTFLKCRSHQRVISLFGELCKEFSITPNVTSYGVLIKAYCMTGNDAKAK >Dexi3A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:3A:5457647:5458240:-1 gene:Dexi3A01G0007820 transcript:Dexi3A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAAAGEQQQQQEDQWRGAAEATLPSTPASAAWAHIAAFCALHRYLPGIEVCELAAGEDGRPGCVRYVASLQPAGAGEDEEREVASWAREELLEIDHGARHLAYAVVASSMGFGRYVATMTVVADEEDEEGCRLVWAFECDPVQGWSLDGLRSYLDAGVKGVAARIEEAEKVTSAAAAAGGAPAAGGDAIAAA >Dexi1A01G0031470.1:cds pep primary_assembly:Fonio_CM05836:1A:36442605:36443237:1 gene:Dexi1A01G0031470 transcript:Dexi1A01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLRLIEALHAQALRFRIEDGRVAAEERDLERERRRGGGGVTGLVMHSANWWIATAAVAAIGDARAGPAAEARARARSRQGGTKSKWSSPLVSRREGDGGGVEWRPREDRQTGEERRGEGGPSLLTRFPAPTGGPPQQWQRRGPTFSFTFLQGKNFYDGLP >Dexi2A01G0032350.1:cds pep primary_assembly:Fonio_CM05836:2A:42858689:42858997:-1 gene:Dexi2A01G0032350 transcript:Dexi2A01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRASVPSLALLLALLLSCAVMTSSAARMLEEEEAPPKEEEPEFLPHLTVPELPVPEEHELPPFPEVHLPPKPELPEVELPPKPEIPTIPEFHFPEPEAKP >Dexi9B01G0045130.1:cds pep primary_assembly:Fonio_CM05836:9B:44745954:44750141:-1 gene:Dexi9B01G0045130 transcript:Dexi9B01G0045130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISPADLLVCSGRCSTKRDLRLLHAALIRRRHILPTADAVAVLAKLLRFAAVSPAGDLRHASALLSLHLPFISAAASHLAFFYNTLIRGFAASCSPIAGIKLFAAMRRAGGAPDAFTFTFVLKSCSRCHSQGRLPSDLHAQAFKHGCLGARSSHAHVHNALMHAYASRAAVEEAQRVFDEMPVRDVVSFSGLLTAHLKGNHLDSARIVFDQMPLRDVVSWTAIISAYARARRPQEALALFDAMPMQPDEVTMSSEVTGTGTFSDATVTVEGDDFIAENVIFKNSAPQVSGQATAVCVTANRCVITGNGEAAYMYLGRPWEAFGRVVFAETFMGHCIKPAGWHNWDKPENKQTACFYEYSLLLV >Dexi5B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:5B:3798790:3800726:-1 gene:Dexi5B01G0005600 transcript:Dexi5B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQSTGSLTNSECGSELQRSPSPSPEPLQRSWTRAQSPRAAGFDFFLSNKNNDSPASRKEPEDQASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNMANLKLDDANKKLEVLEEQSLRCHCDYKENGNVADQTKNVSNIEGELMETRERMQSSQEEIRALQKNLESSTILSKENSNLLEQNKKLEAEITKLKEGLDSERRRFEEELSERDGEISKLKQDLADASEKLLQEKSASGARISELQKSVEDISSKLEKVSKEKLLVENQVKELEEANAEADMYCQELTAGAEKLSEEKFRHEAEILTMQQSLKDLKSIIESLAKEKSLMTSWFTDLEQVVGRGKNIFAE >Dexi1A01G0031800.1:cds pep primary_assembly:Fonio_CM05836:1A:36624067:36632452:1 gene:Dexi1A01G0031800 transcript:Dexi1A01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLARVSCCVASPRCVRVCVSSYGGSEYRDSNGSHDVEHVLRITGEGRTREADSTDPCLFNSKPRYRSNGSAAFANTFAKLSLASAVMLCLEVWYMAALVVLAGHLDDGPEIAVGSVSICMNLNGWEAMVFIGLNAAISVRVSNELGSGRPRAAKHAVAVVVAQSLALGVVAMALLRGGLHGGWGDAGRRGEYLLAATMVISGVAIGGGRQATVAYINLGCYDAFGLPLGFCLGYLLGMGVRGIWAGMLCGTALHTAILLVAQAKQAKQRITAWGGAANFQSGHELLLLLRSSSSRLRGWKEWAGAIRFCRAGATLDAIAVKAEQAVPGWRTSLLNKAGRLTLVDMSVISFPQTTLAKLDRPRKGLFWAGAPKCGGGDCQVAWELACRSKEDGGLGLKDLATLNKGLMMRHVHKLFMGESNLWTDWIRFWYDGGRADGDTPCWRDIKRLIPEYRTLTLVKLGDGETTSFWHDTWSEAGVLRDALPAIFSHCLDPDATVAEVLSAGGLTRSGLQPRLTTAAGAELELLRGALEAVQLQPFADVRWVSGGPSTAVRATDFYNALRTPIGGPPMAEVNWNCLAPKKVQVFFWILRHDRTRTRASLHRHGARDTSDCPFYPGVPEETAHLFVSCPYLTGLWARLLPDQPTPVSVEAAVQAMCATFADGGRVPHTAAMAVLWVIWKSRNAMIFRAAHEDSFGRVVPPVVLTHGGVALPPHACAVLCFADYFELNGRDVTPHGRPCARAKCAIYGIFGTHG >Dexi7B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:7B:11666621:11668496:-1 gene:Dexi7B01G0004690 transcript:Dexi7B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEALVFLDEQLAGYLLAAKDFPLLITILPLLLLFLLLRYFFFITRAVSARGKKRLPPSPPGLPLIGHVHLIGALPHVSLRRLAERHAGEDGLMMLRLGVVPTLVATSARAAQAVLRVHDQCFASRPRSVSGDVLTYGPSDVALAPYGERWRLAKKLATTHLLSAKKVQSYRAAREEEVALVISKIRGAAAMGTVVDMSEVLSKFTSDMVCRAVAGRSFRVEGRDKVFRELIDEAMALLAGFSLENFYPGLAKAAGGVLVRSARSKAERVRNRWDSMVDKLIDEHVSKNAGATAVLHEDGGSGDQECDFIHVLLSVQEEYGLTREGIKAIVVNMFAAGTDTAYLVLEFALAELMLHREAMTRLVHEVRSSIPEGQNGIYEDNLVGMPYLKAVVKENLRLHPPSPLLLPHLSLEDCDIESFHVPAGTTVLVNVWAIGRDPKEWDAAEEFMPERFIHSGEVKGVDFSGKDFQILPFGSGRRMCPGMNFAVASIELMLANLVYHFDWELPQGVDKIDMAEVFGLTVSRKEKLLLVPMTRGIVCTF >Dexi5A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:5A:5955948:5956403:1 gene:Dexi5A01G0008010 transcript:Dexi5A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARAQQPKVEDPHDASVAAAANPAKPQRRAKQPRQPKAAAAGKKPAARAAAVAAAAAAAAANAGSAAASPGAEMAATVPDVWDGDAGARPVDWVDLDAGLDAAAWWTWGVDEEKLLGWFPFVEEDFRCAGGRAGDAEVAAFDHDIWSIW >Dexi9A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:9A:10127298:10134866:1 gene:Dexi9A01G0015230 transcript:Dexi9A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAAAASAGGSGSGSETSSSSAPSTPGTPGTPTTAPNQNQAVVGAAGPRPAPGYTVVNAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVNDLIFIYGGLRGGVLLDDLVVAEDLAAAETTNAANHAAASAATNLPAGRAPGRYAYNDERARQTTSESGPDGAVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEQSPDSTSSSKHSSLIKPDGVLSSNMAAAPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPEASPDHIEDTWMQELNANRPPTPTRGRPQAVANDRGSLAWI >Dexi7B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:7B:20894335:20894619:1 gene:Dexi7B01G0014780 transcript:Dexi7B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREAATTRRGAGAGGEGRAAWPLTRSTRAAAGSGGAAQRLEGRKDLLELELELQRIRFRGPGLKNCALSAGQPGGVRRDLLNPIKRRTGRVTA >Dexi5B01G0022650.1:cds pep primary_assembly:Fonio_CM05836:5B:24929432:24932363:1 gene:Dexi5B01G0022650 transcript:Dexi5B01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHEALALLLLLCIHGGAEAGGDGFVRVQGTHFVLNGNPFFANGFNAYWLMTLGADPSQRSKVTSAMSQAAGAGLSVARTWAFSDGGSNALQYSPGRYNENTFQGLDFVLSEARKHGIKMILSLVNSYDSFGGRKQYTVLTRVNTITGVAYKDDPTIMAWELMNEPRCQSDLSGRTIQSWITEMAAHVKSIDARHLLEAGLEGFYGAAAKNPSGYQVGTDFIADNQAPGIDFATVHAYPDQWLAPGVDDGASQLRFLGRWLDAHVADARAKLGKPLVVAEFGASRRSGGEEQRDAVFGEVYAKVYESARARGPAAGALFWQLLAEGMDSYGDGYEVVLGQAPGTDGVIATQSRRLQGLARAFKQARRRNGKAGKGAKGGSN >Dexi7B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:7B:12095181:12095498:1 gene:Dexi7B01G0005050 transcript:Dexi7B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVAGVAAVEALLRRARRSTTVHPREAKAPHGARGESFGGGKIKLELLSGRINLELAGSTSSSLLARGSKGAYGELSSASLHRSPPALVPALGGGAGSRRGG >Dexi1B01G0026250.1:cds pep primary_assembly:Fonio_CM05836:1B:31120904:31123799:-1 gene:Dexi1B01G0026250 transcript:Dexi1B01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPTRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQNTMTSRLKEISKSIETAQGGLFLEELNARWMDHNKALQMIRDILMYMDRTYVPTAHRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIDRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLEVSASFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYEDLGRMYALFRRVLDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEFFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCNLPTEILTVCEKFRSYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Dexi5A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:5A:5704733:5706974:-1 gene:Dexi5A01G0007700 transcript:Dexi5A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGGTAQLLPPLLLVLLLLLQPHSLANAGSLDSDVAALTDFRLAADPSGFALASWNLSTNPAPCGGAWRGVTCAGGRVTRLVLEGVGLSGAAALPSLARLDGLRVLSLKRNAFSGEIPDLSPLAGLKLLFLSRNALSGPIPPSLGKLYRLYRLDLSFNNLSGVVPPELGRLDRLLTLRLDSNRLTGGIDAIALPSLQELNVSNNLMSGRIPAAVASFPASAFGGNVGLCDVPLPPCKGEAEPNASGAAVNASAERDCPPAAAMVAASSPSGKAEAPGSGGGGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRNGRRLQQGEKIVYSSSPYGAAGVVAAATAGAGGGATFERGKMVFLEDLSSSSNGGTRRFELDELLRASAEMLGKGGSGTAYKAVLDDGTVVAVKRLRDTGTTPAASPSKKEFEHHMAVLGRLRHPNIVPLTAYYYARGEKLLVYEYMPNGSLFSLLHGNIKLNAELKRVNCGAGNRGPGRTPLEWAARLRIASGAARGLAYIHNSGRRGSGTPKLAHGNIKSTNILIDRFGVARLADCGLAQLGSSLAAGVGYRAPEAPPPPRPWASHKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPEQRPKIGYVVKMIDEVRACGEASYESVDESSGVSDSPAVSEGGALSQ >Dexi3A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:3A:12946943:12947278:1 gene:Dexi3A01G0017080 transcript:Dexi3A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVQQELLPFQAAPRQVHLREGPSRFIALLGASLVVFSVAVEPPVHAWFGLVGFLLWLMWMARLLLFGQIGRLFPAYTAALAAATAKLAVQKVKRLFFGPQEPAPAPA >DexiUA01G0020540.1:cds pep primary_assembly:Fonio_CM05836:UA:42829772:42843111:-1 gene:DexiUA01G0020540 transcript:DexiUA01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYSAAHLQKPHNTNIINQPREVGHHRVKEPIIITYCFLHRFVNLSQLQASLYQLQSIVLHHQFISNPRLNPGASVTAAVFHSDYLVHITMRALRSLGYTGVAGRVCRGRLLPCWRDCLMERRASRIHRLFLHILQPINVGASGHLRGITGIPANMGHPPGYNGSFVNRVSSLCVDGNKGMASLMVSHTACKATDIAGLIPLLDPDAAAPDPAHPNIDDDAPPRHGPESLDLRRHRQDPEEEAPTRAEVGGLGGQGGPTIPGNEASGGEREVWMEAAAAQVTREQGKKNVWNSRENFSLCFLLVRRPQLRRGHPPLGYGRRRMEAADRSMDDLCDALMVEEILPRLSPKSLICLGCVSRRYNAMVTDPDFSARYWLRAGVFIQPLAHSGREPRPLFLSSSGGVLCSPATSAESMFGADLAFLPAPSAREKAYLRPFGASYCIRSTDVVMHSAAGLLLAAWMALPELPCPPAEWQSGLLRVDTDGDAAKKPNKFQVLLFNHPFQWRKPGGCFDLRLFSSDTGQWKTIQLHPPIHVADGLPDWTPIGQSGRAYCIVRIEFHDHAILYSSDEHSVDVIRLPTGIGTSLESRQLESSFFFPSCAEAARDDSAGGGPLPLLSFSFLTPLLFHLSSSGGAIRHTSSPGPLPATSTHKVCPNLLFTSCCAKRSSPNPSADLYNPLALTLWVGPMTHWVVPARSHPYLPLPSPPLPHAAVLTFLPLPTPVASPTARRPSSLPPRRRRRPSLPPSSFPAGTRVRPSDPLQTGRTSPILPLSHLSVSVLLRRRRRPIRDAFTVPEHPRGIPEPSLPFLL >Dexi5A01G0022690.1:cds pep primary_assembly:Fonio_CM05836:5A:26807649:26808882:1 gene:Dexi5A01G0022690 transcript:Dexi5A01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAARSIERSKESGLHVFRLRGGGRERDREMATGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVQVDDKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRVTFENAERWLRELRDHTDANIVVMLVGNKADLRHLRAVSPEDAAAFAERHGTFSMETSALDATNVERAFAEVLRQIYHVVSRNALDIGEDPAAPPRGKTIDVGAAKDEVSPVNSGGCCSA >Dexi9A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:9A:9979805:9985921:-1 gene:Dexi9A01G0015020 transcript:Dexi9A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDAETLQAAPALAAHDLQNSPSSLFLSPQIIHASPVVSVTIAHKKPQVSKYYFKKKTSSHSHSSRNGKDGQDCANHDSRIQPMSPLSRQSLTFYDSPTYHAGAFYEIDHEKLPPKSPIHLKSIRVVKVTECTNLDVTVKFPSLQALRSFFSSYPTSGTVPEFDERFVMSSNHAARILRRQVAEEEVDDEVHQDSFWLVKPGLYDFAASRQAPPPPPPPAPPAAKMAPVADSCLLTTLKCDGAGWGMRRRVRYIGRHRDEAPKEAGVDGYVTEVSVREDQQRPATLEVKRSERNCKRKREAEGSSKNKRRDEGKTNHKVQGGSKKSSKKEKKRTVESKDGDPRHGKDRWSAERYAAAERSLLDIMRSRGARSGAPVMRQVLREEARKHIGDTGLLDHLLKHMAGRVPEGSAHRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRHVEELTEEVNGVKRQMEQLMSKDGDFDAERAYCSLKEKYHSVVRANEKLEKQVFSLKDMCENVVQMNGILEKEVLSFKEKYEHVADKNDKLEDRINSLSSSFLSFKDQLVLALKTETARQLGLAPSPSDENQEDAPRTAVYLASSDQMTSRADSTVIQGVPNRPARKKSSFRVCKPQGTFLWPSMASGTTISEGASSSCPATATPGPGIPRSTSNASSAGPGLPRSSLAPAVEVAAAASSGLDEHLMVGALFSTPPSAASSYATAAATMLQLSLPSPRSPLQPQKLFGTAAAAAAAAAGFSPQKLLHFSGLARLHVVSSLASSLLLFVVHSSSDSSVCTLQHGPLIFVHADTSPSSPGACGANLLEGKRTLFNDAGVISVVGTELALATPSYC >Dexi6A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:6A:28729758:28731581:-1 gene:Dexi6A01G0021500 transcript:Dexi6A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGLQVDELYSLDLDALSDLQPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCASIRMLNNSFARSEVPEEQKPSSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGAVGEAGWLRLAQPVIQEHIDRFSQNEIRFSVMAILKNRKEMYTVELKDLQRKREGLLQQMGDPSARHVASVEQSLAEVAAQIEAVTEKIIMEEEKLKKWKTENMRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKQQSHGHSTNPR >Dexi9B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:9B:1290848:1292555:1 gene:Dexi9B01G0002280 transcript:Dexi9B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGAHSFAPSVSGNLRIPLPSVRAPSFTPARRAALSVVAKVKVPTPQDDRIARHVRLRKKEIAQKIGEVIAKSCLEKGINKVVFDRGGFLYHGRIKALAEAAREHGLEF >Dexi2A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:2A:6875924:6876375:1 gene:Dexi2A01G0007130 transcript:Dexi2A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPATTETSPVGHAGDEGALLAFKVKVSGHSGILDSWNQSSSYCSWEGITCSQRHQQRVVALDLNSKGLTGTISPAIGNLTFLHSLNLSFNSLQGDIPLSIDSLGRLRSLDLTQNMLTGIIPSNISHCTSLLQQGDTWKDTT >Dexi8A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:8A:726296:727243:-1 gene:Dexi8A01G0001050 transcript:Dexi8A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLICACLLHLLLLATSSGVAAQSQPLSPGRILDAMLQDYAYRAFVRPRTGIVYNATLPTNLTGIAVSAVRLRSGSLRRKGLADYFEFAVPTGVVVQPYVERVVLVYHNLGNESDYYYPIPGYTYLAPVLGLLVYDAANLSAVGLQELNVVASGSPISVTFSNVRVVPAGSAAPRCVMFDLNGVPQFRDMEANNVCSTYRQGHISIVVNSSEIAPAPPHGTISPPIPTEGGHNKKGNSKAWKIAVSVVGAAVALGMLAALLLCLVRYKRDKKLEVMERNAAVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >Dexi5B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:5B:11583910:11588437:-1 gene:Dexi5B01G0014710 transcript:Dexi5B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKDGHDSTSGVPLDSAPCQQLLLLLALSSQSPALLFLLVPYFASRSLAHNHRRANQSKNLTPRSFAIGAPPQRETRTRRYLPASASCLPHMAAWARLASRSRLRPSASGVCGPPRASPPAPPPPPRAGCAGPAAPPPPPLRRLLRLPQVRSSSAPTTSSLGDRWRCLGGERRWLASEASAAAPAGEAAELVEVPLAQTGEGIAECELLRWFVAEGDQVDEFQPLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMIVGDSQIVSPDNIFPSADKSLGEESVVPSSEGNIHSGTLSTPAVRHLAKQYGININEIVGTGKDGRVLKEDVLNYAVSKGLCKEQSSSLEESAGPVELLKEAEPLPGVPFYEDKKIVLRGYQRAMVKSMSLAAKVPHFHFLEEINCDSLVQLKTAFQNVNKDNTMKHTFLPFLIKSLSMALSKYPMLNSSFIEQTNEVVLKGSHNIGIAMATTHGLVVPNIKKVQSLSILEITKELARLHEMASHNKLSAADIEGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENVYPSSTINVTVGADHRVVDGAMVARFCNEWKGLVEKPELLLLHMR >Dexi5A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:5A:7930733:7933147:1 gene:Dexi5A01G0010490 transcript:Dexi5A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKPTAGAVSMASRLAGASTPLDARIIKNGIDPATYRYKLHFNHLISSARVSCAREVFDQMPEKDIVSFNRILSGYSRSGNVTSAEDLFRTADQRNLRTWTIMMSILAADGRGSDAVSLFRDMLREGEAPDRVAVSTVLNVPGCDVLSLHPFVTKLGFGTSTVVCNTLLDAYCKQGLIAAARRVFLEMPERDTVTYNAMMMGCSKEGLHREALQLFSAMRRAGLDASQFTFSSMLTVATGMVDLHLGRQIHGLFVRATSAHDVFVNNALLDFYSKCDCLDDLKHLFLEMPERDNVSYNVMISAYAWNRCAGMVLQLFRKMQKIGFDRRTLPYASLLSVAGSLPHIGIGKQIQAQLVVLGLTSEYLVGNALIDMYSKCGMLDTAKIIFVKKREKTSISWTAMLTGCVQNGQHEEALELFCDMRRIGLRPDRATCSSIMKASSGLAMIEIGRQLHSYFTKSGHMSSVFSGSALLDMYGKCGCLDEALRTFDEMPEKNSITWNAVISAYSHYGQAKNAIRMFESMIDCGLYPDSVTFLSVLAACSHSGLAEECMKYFDLMKYYYSISPWKEHYSCVIDTLGRAGYFDKVQKVLDEMPFEDDPIIWSSILHSCRIHGNKDLAIMAAKKLFIMTPTDATPYVILSNIYAKDGNWEEAARVKKIMRDRGVRKESGKSWVEIKRKVYTFSSNDQTNPMIADIKAELERLYKEMDKLGYEPDASCALHLVDDELKLESLKYHSERLAIAFALINTPPGTPIRIMKNLSTCLDCHAAIKMISKIVDRDIIVRDSRRFHHFKDGVCCCGDYW >Dexi4B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:4B:20739817:20740707:-1 gene:Dexi4B01G0018400 transcript:Dexi4B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVTASQGPLRSLPAKLEAALLLLDRSGFLLLKGVKKQISLLKCELEQLISECLMEPSDVGYPALSIAYWANEVVEIAYDFVDELVHRYGHGKSLPFLSDHSTAIQFQLQDQAYILKPGVLSDEHPALLPHLSTRALGLVGFESSVEMLSGLLGDNEGGKLKVVAIAGRGEVGKTTLAKELYSKLRGQFKCCAFVWASRWLAMRKLLRDLLLQVRRHQPVDAYK >Dexi3A01G0023880.1:cds pep primary_assembly:Fonio_CM05836:3A:19514377:19514637:-1 gene:Dexi3A01G0023880 transcript:Dexi3A01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARPTLALLASASASLGAGRRGWARLAVSSSSAGSALPVVRGGRRLFAAAAGGAVMGKAGPGAVDADAGMNARRLMFEDE >DexiUA01G0024950.1:cds pep primary_assembly:Fonio_CM05836:UA:52158511:52159702:-1 gene:DexiUA01G0024950 transcript:DexiUA01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRTGSDPYFSYAIRECYASFKNIINTLVFGQREKIVIKEIFDAVDKHIAEETLIKDLNMRSLPALSKKFIDLLELLV >Dexi2A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:2A:2721769:2734225:1 gene:Dexi2A01G0003170 transcript:Dexi2A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEPRHPNPSLLPGLDSSGVPGRWRIPAGDLGPRDSVPAADSPPQTSQPTDGPLLLQILQRAAAMALHYPSSTPRLDLSLRDSPPPPNSAPLIYQLPPPPPPPAASVPLVKAKAFSAFSLVGGSIRALGEGSFLVVQLVGASSHAFRVAVAAPGSLIAIAAPGSLIAQWSQLVDRPDVRQREDNCMHGQHGTVENDGEDKGVRYVPERWQTESPPAAAHWCPDPWRRPDLEDDDAQDELHARTPRHGGSIEKRRAPPAATHCFPDAERWQTESQRTESHHEAPDPWRRPAARIWTGRRRTRTA >Dexi2A01G0034020.1:cds pep primary_assembly:Fonio_CM05836:2A:44138142:44139803:1 gene:Dexi2A01G0034020 transcript:Dexi2A01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGKTLTALLKSATRPCHLLQLHALMLKSSHFPHHAFPTARLLASPLAPLPYALSLFAAVPRPTLFHHTALLRALSACPSAASLAASLSVLASARARVPALDEFAFQPLLALCAKMPDDAEAAEIGKQLHALVLRYGFLDVVSLRNVLCHFYCNFGSMVDARRVFEEMTERDAISWNTLIGGYARAEDVHRAVDMFTAMRWCEMDVNVTAVITLIGCGWRGDSVHGFCVKAGLSSDVKVAAAMVRMYVREGNVECSSKVFHETARRDLVLCNCMVDGYAKAGRIQDAMDLIDRMRQSGMRPSSGTLVGVLSACGASGELPAGRRIHELAEEAGLVLDATLGTALMDMYFKSGCPDEATAVFDAMCDKDVKAWTAMIMGFGVNGQPGAAISLFCRMEEEGVAPNEVTFLGLLSTCSHGGLVQEGKEFFERMVRHHGLSPSLEHYGCVIDLLGRAGRLDEAYELIRSTASWGDAMGWRALLTACRVHGNVKLGRMVQAQLDAMGHYHPSDVIQLSNTYASEGRWEDIARLRDLEEQKVSVENKEAGCTSIVVSC >Dexi5B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:5B:95477:96636:1 gene:Dexi5B01G0000150 transcript:Dexi5B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAAGKPPASASVSWEFHTTGPRNLSSPGWRDLIRSSWRDPNYRRVAMSCFVQAAYLLELDRQESTGSGENALAPSWWKPFKYKLLRPLIDSRDGSIYGALLEWDQLSALSDLIVLKPQAAPKAVLAIRGTLLRPQTVARDLEDDLRFFARDSLRGSVRFTGALEVLKSAIHKHGSSNVCVAGHSLGAAFALQVGKALAKDGTFLECHLFNPPSVSLAMGLRKIQEKAGKALKRYISSSSSSSSSNASGGSAEEPQVASQIGEEKLIKEVKTWVPNLYINSCDYICCFYADRSGVATVTTEKHSGVHSKLYVIAKGPNKFLEAHGLQQWWSDDSELHLAVQDSKLMYRRLKSLYVQQ >Dexi3A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:3A:11062997:11064310:-1 gene:Dexi3A01G0015000 transcript:Dexi3A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLLLLWALAAVAAALGGAAAEPPQQERSALQAFLAGTPHERALGWNASVPACAWTGVRCDATNATVTELHLPGIGLIGRVPPATLGRLKGLQVLSLRDNRLLSDIPSDLFDLPQLRKLYLQGNLLTGAIPGEVGRLASLEHLDLSRNNLTGAVPSALNNLTRLLSLRLDENRLSGSLPSISMSRLQTFNVSYNDLDGSIPSSLAGFPAESFAGNIHLCGEPLVDQPCQPVPSSKKKKRKLSGAAVVAIAVGAAAAALLALVLLALCLVLLRRRRREASGEEDAKTTPPTRGLTPSTASGEMMGGDFTTSSSKDIRAAAGAAAERSRLVFVGKHHHYSFDLEDLLRASAEVLGKGSLGTSYKAVLEEGTTVVVKRLREVAVARREFAACVEAAAAAAAEHRNLVPLRGYYYSKDEKLLVVDYLPGGSLSARLHGE >DexiUA01G0007720.1:cds pep primary_assembly:Fonio_CM05836:UA:14416733:14417581:1 gene:DexiUA01G0007720 transcript:DexiUA01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAPKHQASTPARSSSRSPPPPSSPPPTVRSPRPSMSSSGLAADLGRLLETKQGSDVDFEVCGKVFPAHKLVLAARSPVLMADFFGPAKEKDTSYVRIHDMHPDAFEALLHYAYTDTLPPATVTTTTSSPEGSPSPAAAAVVLTQDLLVAADKYKLKDLKSVTENELCKHNVGVSTVLPMLELAEHHGCSKLKRKCLEFVVSGRNTTRAVMASDDLEHLARSCPSVVKEVLKKILDAREAMPGKNPLMVSVQHVLFYLFAFVYVVLIVAFVLCCVFSSK >Dexi5A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:5A:9581092:9581403:-1 gene:Dexi5A01G0012730 transcript:Dexi5A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLIGVGFKARSESQGRELFMKLGYSHEVQFTAPPAVRVFCFKPNIICCTGIDKDRVHQFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK >Dexi3B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:3B:13493826:13495308:1 gene:Dexi3B01G0018180 transcript:Dexi3B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEATPDDEVFSSNDEMQELWPLGETRREQGSNLVNVDDLAYGSAARCLQLDKKKCCFPASLAAHVCARSHEHSEAGTAISWDDALRSGARRFEHKCYNLFTCNSHSFVADCLNRLAYGGSVGWNVLNLAALVWLRGRWLDRTAALRSFLPFAAVSCVGVLMAGWSFLLGMAAFSLLLLGWFVLGVYCWKGLVG >Dexi4B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:4B:905171:905865:-1 gene:Dexi4B01G0001520 transcript:Dexi4B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVAGGEAGDSEKQPPFRWLNVARCAVAAVVMVLILAVIINAVQVVLRPNSLSLTVVEGTVFVKRLPEEKNLSLSLDLRAENPSGRARMYYTNLDAYLLNTTVESTAKPRRDCIIYFRPKDIVAFDQQQAVMSSMKLHSP >DexiUA01G0017560.1:cds pep primary_assembly:Fonio_CM05836:UA:36986832:36987131:-1 gene:DexiUA01G0017560 transcript:DexiUA01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWCLDGGAGEEEDDEEDEGLGAAAVEEEEEEEEVGDWAAGMDGAAAGDCDEAVAKVVAVSSRSRRRRWGAIGGGGQAGALALRSVCVREKTKVHSAS >Dexi9A01G0036970.1:cds pep primary_assembly:Fonio_CM05836:9A:41307101:41308538:1 gene:Dexi9A01G0036970 transcript:Dexi9A01G0036970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQNQPAHPQAGAPHGGAPAHQPTFKIFCKADEGYCLTVRDGNVVLAPSNPRDEHQHWYKDMRFSTQVKDEEGNPAFALVNKATGLAIKHSLGQSHPVKLVPFNPEYQDESVLWTESGDVGKGFRCIRMVNNIRLNFDALHGDKDHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAYAGGSAANAPYGHGEPTVRIYCKADDGFSVTVRNGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHQVKLVPYNPSYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDAYHGDKDHGGVRDGTNIVLWKWCEGDNQRWKIVPWCS >Dexi2A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:2A:1055713:1057576:-1 gene:Dexi2A01G0001510 transcript:Dexi2A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEISGVAGAAPNLEEEPPASTSTERRPPVREMQSQRRPVLLIAGLMVLPLLAFFVRGRKSAWDIAAAKFIAMNNGGFMNAAHGHDELLGGLLSPDMDNLSCRSRYQSWRYFKHFPYAPSPHLLRSLRAYEDRHRRCAPGTPLYAEAIDHLKSGSSSAAADEADMEECRYVVWLPFDGLGNRMLSMASGFLYALLTGRVFLVALPPDSGDLFCEPFPNTTWLLPVKDFPVDNLFGLGLNPEASLTKLLANKKIVVDVDTDDPAAVATPLPAYVYLSLGWEMTDVAFFCGEHQAALEKVSCLLLYSNLYFAPSLYQIAEFQDELRLLFPTATESISHLLLRYLLHPSNTVWGMVTRYYHSYLANADRRIGIQIRMFNFGAIPVDDMYNQILACSRQEHILPETDDGSDAAGDTATATTSGGGSDSNNNGSSTTTAILVVSLYADYYERLRVRYYEHTAAKHGGNTWVGVFQPTHEERQATESLAHNQKALAEVYLLSFSDELVTSGRSTFGYVSSSLAGVRPTILLTAIDHKVPETPCQRAVSMDPCNHKPPRGVVCRRGMAVDRDDLAHHVRVCEDYDIGVKLFD >Dexi1B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:1B:2416691:2417500:-1 gene:Dexi1B01G0002940 transcript:Dexi1B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDDAVRRILRVKFAMGLFDNPYPDPTLIAEFGKQEHRDLAREAVRKSVVHLKNGKPGDDHKPLLPLPKNGTVLVAGSQADDLGSQCGGWTITWQGLTGNNLTAGTTILDGVKHTVFPGADVVYSENPDAGYLRRNKDRFDYAIVVVGEHPYAETSGDNLNLTIPAPGPAIIENVCGSIKCVVVLISGRPLVVEPELLEIIDALVAAWLPGTEGKGVADVLFGDYGFSGKLSRTWFRSVDQLPMNVGDTHYDPLFPFGFGLETYPST >Dexi5B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:5B:5911754:5912232:-1 gene:Dexi5B01G0008710 transcript:Dexi5B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPAAVREMQKDLEVQANALSKIQKDISKNHQVRKQYTIQVGENELELELLDDGANVYKLIGPVLVKQDLAEAKANVRKRIEYISAEL >Dexi5A01G0026010.1:cds pep primary_assembly:Fonio_CM05836:5A:29738433:29738976:1 gene:Dexi5A01G0026010 transcript:Dexi5A01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNNTILHVLGFRKVDTDRWEFAHESFLRGQAHLLPLIVRKKKKAGGCGRELCEEGEEVRGTIRDVRRLREEQRGMEEELQAMERRLRAAESRPGQMMAFLAKLADDPGVVLRAMLAKKEELAAAGKGSPPPVEAPPGKRRRIGGAEAAAVEAAEMTQGRGAVQFPFSVLGQVFY >Dexi8A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:8A:536231:536666:-1 gene:Dexi8A01G0000800 transcript:Dexi8A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESAWKLLIANFSEFQLATVITFLLHETVFFLSGLPSLLFERFGLFAKYKIQEYILINTNISNN >Dexi2A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:2A:3362794:3363591:1 gene:Dexi2A01G0003850 transcript:Dexi2A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTTGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVPTGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAAPVAYPQVVRPPQGMPPPPMRPGMPPQMQMQFQRPPGAPPAPFPGAPPQQFMRGPPPMGPPQMRPGMPGPPPPGMRPGMPPPPFGQPRPGMPPPPPGPQQPGQNPPQ >Dexi9A01G0027910.1:cds pep primary_assembly:Fonio_CM05836:9A:32508033:32511522:-1 gene:Dexi9A01G0027910 transcript:Dexi9A01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKPSPSGVVSEGSNHKQPSGRRATSAPRVSRLAKPATKPSDRAPSPLHHAAGILLDKSSASIDLPKPSPGAAAERRSFKSPAAASSRIAAAADKQQKIVNAKASLELQAQLSLVQEELRAAREHVAAIESDKAQIIEELALARRLAADATGKLEETEAAKRRAEEALELERFKSTEREQSAIDLAHRTDNEWRRKYDNVKRRHAEDVASLIAATRELDAVRDELASMEHAKNSAVEQADELQRIANENAKKVEVLVAEVARVKSHYETELEVKEKEAAEIIGKMESEASALRSELQKAKAFEEKLVKAEEMLEGLKVDIAYAKGAEVDASKAAQEWKTKAESLETRLEEVSRMNTRTEESLGSLTKSFEDCTSMLQDKQSQVIQLKEKVTSLEKEASEHKEGFLDTSTRLDVAAKEAAELKAAIDKLRSEHELLLEAHQKVVIDEKTASGQVGYLTDDKNRLVKELEDTRDEKDKAKKAVEDLAAALREVSSEAREAKERVLAKQAELDNAQLQVTELKAAMKNAEDRYQVMLDESNYETACLRKALEKMGSEAKSSKDEWISKEAGFVDMLKRSDDGISSIQTEMDRLNESLRVAEKEVQELKADKAQLLNKMQEFESQAMSTSSSAEEAQAESSHLKDLLSFKDKELLALNHEVTDLRLRERTASEKASKLSKLLAEVTARKTEEENIDKSNAQTTKLEMDKVLESLKAAEGEAKAAKDEKVQLQNKLRLLESKITEANLTSEEAKISSLRLKETLEEKEHELAIIAQENTEMRAREAAAQARIDELAALVAESTARKGGEPLNGAIARSSEKQPSTLMKLICSPMHHSVVRDDDDVSNGESIIQMEDIKHVEVETVRQVKHEKEIISVSAVDANSLENSNIIEDDLSKEREDESESDEEDDDVESPGDDGLVDQMNGLLIHHCPTSSFNQDKHAVTKKKKALLRKFGSLLKKKAHFTKLSSHS >Dexi8A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:8A:1868960:1871146:1 gene:Dexi8A01G0002830 transcript:Dexi8A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDILKVQTCVLKVNIHCDGCEKKVKKILHKIDGVFQSSVDAEQGKVTVSGLMDPDTVIKKLNKAGKPAQLWGAKPGVVSQLQKLQLGGGGGGGTGGKGQQPNHAGGKDQQPKVAGGKGQQPKDSGGKGQQLKDAGGKGQRAGAGNGGGGGGGGAAAAKEAKMAMPQATPQQLQQLQQQMQMKGVKLPPHLMAMAGGKMPLSAAAPPAAKDPKAVKFSAPENDGWGDDDGSEYDDEFDDFDDEEDFEVDCGLDDEFYDDPPKIIKPITIPPPPAAGGDKKGGNGGVGGKKGAGGGNEIPNKGNGNNGGKKGGGAGGGNEIPVQNKGNGNDWGKKGGGAGAGNEIPVQHKGNDNNGCKKDSGAKQKQSGGGGGNGKNGGGAQLPQNVKGGAQGGGNQHGQAKKGGGGAGGPLAGVVGGPTMGAGGMPPPAQQQQMGGMAMRPPANLMGSGAGFPGMGRMGGGMPMGHLPHMGGNNGMQQPGGVSAAAAHGMAAGGMMAGAGFYLGGGAGGGAAAR >Dexi3B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:3B:10743749:10746066:-1 gene:Dexi3B01G0014920 transcript:Dexi3B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVRGSKLAKARVEISPSTVADKGRAPSRKTRRRGNKTSLTVVVAATASTTPSVLPMQKLFDTSKEVFAHSSPGFVPPPDAVVRLSGILNGLKPDDVGIDSNVKYFKHVGPRCPPPVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFSKILFGSMHLKSYDWAKSSPDSNDTALESSDGARLAKVNTDAVFDASSKTVVLYPENGGNLHCFTALTPCAVLDVLGPPYNPDDGRDCTYYNESVYASSSGGDEKYSWLKEIRTTFKMKGIKMPREFII >Dexi1B01G0026390.1:cds pep primary_assembly:Fonio_CM05836:1B:31273314:31277999:-1 gene:Dexi1B01G0026390 transcript:Dexi1B01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPPQAHLATTAAAAAAPAPNPADDWVDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRGVHTSFSCHRCRRSKRAPSSADEAEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGLPGGGDAALFRGAPAFSAALWRCTGYVPKRFGFRYCEFPSWADDNDGADALFEMAREKPREIVDAVSLRIEPKKEKHYVRSLSCRGKKADGDQQPTPPLTETKKRESGSWKDGRQQSGACAMRDATREDRHAQTNMVSSDLQTVKTKKKMEESVDLNGEKKSSEQVPGMLSKNDKKVPSKLEFLSGARTTSSLAEQEVQSGCVAVEVSISHVTKQQSERDHNAGLRSGVTSSGPIKMQDMQDLQKQSDQTSNVQDVAGAPDLRIGQSKSQIIKTELSSLENEKADCIQLVSDDHESNKQGLRDATGVSIVQRDSSKLTYDSVSVYREHPKSETQNLMHIDVDHPSSMLGSSKVCTSVSIPRELSHTSASKESSSARNSDRSKKEELVSPTDSKHDSTKFSEDSSHEVKRGSEKVQLKGSLSSAPKSSQASKIPVSTVKPRLPVSKEQPQKIAVTGGTSARSFHGEVPPLQSRNKAVASSSSSQKKDKIHQRIINVTQESSNNSASTELRASDLAAPLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGTQMLHPTGASVFSKRSSAHGGRDHAAVLKKRNREDSVKDSEDTKRIEKRHRDASTEHASSAKDSCRSAENVASEQKNRGICSTGADTGLAKDDSIDSSVSHNLLGLIDEIISKDRNITYGELCDAIHQRLRDSRKSSGGDCAYPSYLHAIKDCLRKRKEWAYLVDQASKMNSNKRRRGEGNSLLEVENVRNRPERDSEGGSVDLHQEELRRGKRKSRKRRRLELKGRRVRGTRKRSSIGSSSEDAAATLSDSSNDKNDDPMVDMNQEDNSVAPETGVYIEAKSADSSS >Dexi9A01G0026260.1:cds pep primary_assembly:Fonio_CM05836:9A:28568030:28575097:1 gene:Dexi9A01G0026260 transcript:Dexi9A01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNGGFVNGACTQGKPIKCKAAVAWGPGEPLVMEEVELAPPGRLEVRVKLLFTSICHTDLSFLKGENELQRKFPRVLGHEAAGVVESVGEGVEDLAPGDHVVPIFNGECGSCAYCDSGKTNLCGPYRVDPFKSTMTSDGGTRFSVVDRSSGDRRPVYHFLNTSTFAEYTVLDAACAVKVHPEAPLEKMCLLSCGISTGVGAAWNTANVSAGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINTGKFTKGKEMGITDFIDSKASDKPVHEVIREMTDGGVDYSFECTGINGVLRESFLSTHDGWGLTVVLGIHATPKMLPLHPMELFDGRRITGCVFGDMKGKSQLPGIVDKCMNGELKLNFDGFITHRMPFSDINEAIRLLEEGKSLRCVLHF >Dexi2A01G0036070.1:cds pep primary_assembly:Fonio_CM05836:2A:45677090:45681668:1 gene:Dexi2A01G0036070 transcript:Dexi2A01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTSGKKTLNTVTLLFKLPYYTQWGQSLLIAGSEPPLGSWNVKQGLSLSPVHQDSELIWCGSVSVAAGFTSEYKYYVVDDNKNVLRFEAGEKRKLVLPEGVQEGDVIEIRDWWQDASDALFLRSAFKNVVFNDTEAVKKEVQTVSLNKSLDPEDIIVQFVISCPRLVSGSTDAPWRGAGVAVPVFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADVKEEIQQAKKHLDKKDVDYEASLSTKLSIAKKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLEKLISEGTLHHDVIRFHYYVQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAATGLVGKFRPSIPLSQDELLSEGLWDFNRMSQPYIRQEILEEKFGSFWTVIAANFLNEYQKQCYENIVLIRDPEDATKFYPRFNLEDTSSFRDLDEHR >Dexi2B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:2B:27691698:27694020:1 gene:Dexi2B01G0017290 transcript:Dexi2B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSGFIRPSGAAAKKKQHQQPRRVTPDLLWPGLSRKAAGLDEDDDFEADFREFARGLGEDHVGAGAGADDDDEDEVQEVPPPEEPPMFSFAAVAKAAPPAVDGVMTPKPVEHDEPAATSAKRGRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTPEEAARAYDAEARKIRGKKAKVNFPDEAPETQKSIQKPTIANTTKLAPPPKTCADEAFNNLNNGDNDLFAMFAFSDSKVPVKPVESASFIPAVPIVPTKRSATNMLSDQSSNSYGSSDFGWDDETMTSDYTSVFAPNSAVPAYVDPTYLQGEASKRMRNNYSVAVPQGNGAPNLAQNMSGFYTEMNYVPLPYDESSSETSMDSLLQNDAPHNRADNGDLWSLDELLMAAGAY >Dexi3A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:3A:5914219:5915448:1 gene:Dexi3A01G0008420 transcript:Dexi3A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTAAPGASYWCYQCDRFVHAAAQHEEGGGSPTAAVACPSCGGGFLEEMGAPPPRAAYLRRPRPHHHHHHHHAAAAADMRLRRARRAGGAAGGAGGDTRASPFNPVIVLRRSPAGGDAADGSPDAAAAAAAASSFELFYDDGAGSGLRPLPESMSDFLMGSGFERLLDQLAQIEAGGLTRARDNPPASKAAVESMPVVAVDAAHVAAESHCAVCKEPFELGAEAREMPCSHIYHGDCILPWLALRNSCPVCRHEMPTDAPRAGAARDAAAEEEATVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNSGGAPRRISWGSRQSPSTERGAIRRILRSVFACFGRGGHSSSRASSSQSHTMPELNDAASDRSAAFSHGSRSRSTSWRLEDGHADAMVQR >Dexi4A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:4A:6813947:6814443:-1 gene:Dexi4A01G0008840 transcript:Dexi4A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRKRPRNSGSSSRSSSGGGATLSPSPSGSMRRTTSLSDLAPRPEPASGRATTTRPAAAAAAGEGSVWDAEMRRHSMGCFPVPEAAFLKACGLCKRGLGPGRDTFIYMCRN >Dexi3B01G0029870.1:cds pep primary_assembly:Fonio_CM05836:3B:29154586:29161599:1 gene:Dexi3B01G0029870 transcript:Dexi3B01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREELKLAPVILRTHPNPDKHGKGVKTPPNPKSYIDGPKGASSKSVPGYDMEVATAVHEWEQGGGGGIRLDYPYREILICDQPNEILAGTIHSDKVGCLHIKGRQTRVNGHNIFATRTNHHLIKFVPVATLARYNDAGLELLDLPCNLTLSSKILHHSDTLAEELPRTSGLAELMLVSEECDGTTQTLLCLVVLPQESGELGEKGVHRPLHSSMVAPNLAAARRQLPPLRRVNREHHPCFLPVDPTLPGPAASSLLYGIFSLSRHRPNLPRIFYHWVDLVDSLWLTSPTASPRRRETKKPDAAW >Dexi9B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:9B:14997723:15000858:-1 gene:Dexi9B01G0020240 transcript:Dexi9B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKQLADADVSEEEVNNILKQFEKKETEYMRLQRHKMSVEDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKSSSTQGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTAEAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSELEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDSVQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFGMLNHFP >Dexi9B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:9B:15247428:15248917:-1 gene:Dexi9B01G0020510 transcript:Dexi9B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLSEHFSAYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQASKAANPSGGGRNSGGGGGGGDAGGARTKKIFVGGLPSTLTEEGFRQYFQTFGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHELGGKMVEVKRALPREANPGGSGGGRSGGGGGYQSNNGHSTNSGSYDGRSDGRYGQSQQGAGGYPGYGAGGYSAGAAGYGYGANPGYGNYGAGGYGGVPAAYSAPYGNPSAVGSGYQGGPPGSNRGPWASQAPSGYGAGGYGGNAGYSAWNNSSGGGSAPTSQAPGGAAGYGSQGYGYGGYGGDASYASHGGYGAYGARSDGAGNPATGGASGYGAGYGTGSGNSGYQSAWSDPSQGGGFGGSVNGGSEGQSNYGGGYGSVQPRVAQ >Dexi2B01G0030320.1:cds pep primary_assembly:Fonio_CM05836:2B:38581864:38587110:-1 gene:Dexi2B01G0030320 transcript:Dexi2B01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRPCTGDKGAARSGGAGLGRRGTSPVEQVTRTAVEGTHEISRRRVEGATRSTCCHHSTERVTGAVGRPRLPPWQRRTGSSAASMADGEYGTVTDVRIPPQGGRRREPHYIVPVEDAPKRLAHGYKFVVPSARSDPNQIYVGFMPESKFTEDDVLNYFSQYGIVSNVRIPLQGRRMYGFVSFQNPGTILITFDPRSKFTASDAWNYFRHYGPVKDVRIPPQKKRMFGYVSFKTLAREGAHSNPVPQEVSDVTIVECHTGEQLASDHELFENKLNKGCDQEIVTENSSTNVAPVMVSPPKHNLSVHLVSEASPSRGDNTTESSHVSNRLDEASADQDSDHLSLPESLDDVY >Dexi6A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:6A:13388337:13388646:-1 gene:Dexi6A01G0010740 transcript:Dexi6A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDALTISVASLGHKLVKANEFLNTGMKSLDEDSGFNRKLVQFIEQSQVRVTHLLEEEKKLRALVRTTVDYFHGSTGKDDGLRLFVVVRDFLAILDKR >Dexi9A01G0047420.1:cds pep primary_assembly:Fonio_CM05836:9A:50535326:50535670:1 gene:Dexi9A01G0047420 transcript:Dexi9A01G0047420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLQLEALKWSPPWHTPVHLTGAGGIPRSSKLGLSGHRPVSRMPTMVPRPYPDRAHAPVGQKLRPRNLRRSARTDATPGSARSDAASPSVSCAAKPSNTVWYE >Dexi3A01G0031590.1:cds pep primary_assembly:Fonio_CM05836:3A:36011402:36015692:1 gene:Dexi3A01G0031590 transcript:Dexi3A01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHFKMVCMPGHFKMASVLKLVMMENHTAPDDVIHEVTTAQVLQKQLFDAHEPNLLDENDMHIFGSKPMADPLDLVCCSTCKKPVKASQYAVHTERCSTGKVNANDSMGVDHASPTKPPKKGRKIKVICNGSILLFMRQFFPCLVCYQKVHIKVKAKSQAEGKNGANTFELDNGHGSKVQPIGSTVYNGATINVPKSHLRDAPAPLATKMYHSQGNYRLRLELGQLYRESCAEHSSCHTTPNLSQVNGLMGSQFPPCGNSALPGSQKNLVPQTKLLASTSESKKAQQQPNGRVHVIKSSVE >Dexi8A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:8A:24852281:24852628:-1 gene:Dexi8A01G0014370 transcript:Dexi8A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAPAPSSSLPPPFVAWAVCGLRRCFPPAAGGAEDFLLMVAGSAGEIPARLPSWPATAVLQASFPSVEALPRCFPYLFCMGYFWCELRLMAPLLSVGVVVAWIGVLLFKHG >Dexi3B01G0022210.1:cds pep primary_assembly:Fonio_CM05836:3B:17089318:17094161:1 gene:Dexi3B01G0022210 transcript:Dexi3B01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVKQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMQLDNSGQAYFMREFVPRSEDSNTSSEEEGINEPEPPARSKSDGDLYIGPSDRIGSQELNVEHQEQQTDGEFESYNGYGRLEEAEDLPTQADGGNSEVVLVSVDGHVLTAPISSTEEGMEDMQLSDPQFHLGPGQSSIGDFSRSGEVWDAGTLDDLYISQEKVEFNSGNQSKVLKEDGEVPIKNDESHHILIHKDEALDVSVNEYEVLDVSVNKDEVCAVSTNEDEAHVVSTHEDEVHDVSRSGNDDEGYQPVTSEGEARGGYQPLTSEDESPSVSGDNIVGYQLLTNGHKACDVSETNDEDHPALTNEHKARDLQGTNGQDRQSLTNEHKPCDLPDTNDEDHQRLSTKDESCDVLVLERVKDCKPPASKDEICDVSNEDNESADVGARLGKNEIFQSCLDLTSQIDAGDSGNELFSPGSDYQRDSDLSLGNCSVAGTDLEEGGSKTAFCDQDGPLREGVDVSTFTSEVDKTHNKNSSSRYGMESYLSSEEGSHNRNKEIASETEAAGSDGLQSYMVTSDNKLGSIPEHSEVVEEQNKEEHSQSQKGLGVEISLCGNMLRPGMGLESAEEAFRLHLVSEEDFKSSGSTIIKNANLIVKVENKYFPWSKVSHVILGKAVFGSNFNIEPVDAIPVECPETPNSREDSLRVSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPMDEQDLENNRNQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFSTRVLGKQQVDAHIYLWKWDAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKEDYNNWNYWKVPLPDVDL >Dexi7B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:7B:7948418:7950047:-1 gene:Dexi7B01G0003270 transcript:Dexi7B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLFSVTCLILAVSSVYLLGQLANKSRNLPPGPRPLPLIGNLLSLGKLPHRSLARLARRHGHDLMTIRLGTVTTIVASSGNAARDVLHRHDAAFSGRFLFDGTHVFAHYTHSVVWLPATSPRWRALRKVCSGELFAPHRLDVNGSLRRDKVQELVSHVARLASEGKHVRVGRLAFVTALNLLSSTIFSTDLVSLDDRRGLGREFKEVLAELNYTVGLPNLSDFYPELARLDPQGIRRRIEGLFRRLHAMINDQIEKRLRERAVTGESSTQKNFLDVLLHYRNADDGQGFERQTILSLLSDLFSAGTDTSSATVEWVMAELLLNPSCMARAREELDQVVGSKQVIEESDIGQLKYLQAIVKETFRLHPPAPLLLPHLAGTETQVQGYTVPKGARLLVNVWAIGHDARVWPEPEKFMPERFLEKEVDFKGRDFELIPFGSGRRMCPGSPLAMRMVHLMLASLLHRFHWSLPTDVEKNGGLKMDERLGLNLAMATPLQAIATPV >Dexi3B01G0038710.1:cds pep primary_assembly:Fonio_CM05836:3B:41453101:41456706:-1 gene:Dexi3B01G0038710 transcript:Dexi3B01G0038710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAPQARKVPLRQLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLIGHLSDRVAPASPLGRRRPFIAAGAASIAAAVLTVGFSSDLGRLFGDDITPGKIRLGAILVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGCIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAGASFIGGLVAILGLPRARISSSRRRGGTHR >Dexi8A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:8A:3244177:3244689:-1 gene:Dexi8A01G0004130 transcript:Dexi8A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPWPWRRRKQQPGHEDEVPVAVGTHLHVTAGSDDDDDDAVSTASSDHSHLTLPVEEPVHVIDDDTGAHAHHAHHCGEVAAAASGYSEDQSCSSEESDGGGEAMERDDDGMRGRRRRHHHRRGGRRRGPPVGGGGGVPALMVVGPVAAVMLLAFVALVAWKRRQRAAAT >Dexi4A01G0023250.1:cds pep primary_assembly:Fonio_CM05836:4A:26388692:26389275:-1 gene:Dexi4A01G0023250 transcript:Dexi4A01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLAPHLTTPRRRLRYLNPSPAMPPAADAAAHLATAADPDEDLCASAAEPAAAAAEGAAPAPAPPLPPPPVSAEERIERAWAHWRRLGSPRLMVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKYRSMEFTTCKTDKI >Dexi7B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:7B:25332321:25337673:-1 gene:Dexi7B01G0019890 transcript:Dexi7B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGRLAGMHQPATADFSSGRSVSLLVKMSCCFMFGKKAEQAVQGDDDVHSVKVFSYNDLRKATQDFCGPNKIGEGGFGSVFRGVLKDGTLVAVKVLSATSRQGIREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGTRYSNIRFNWRARVKIAFLLLLPFAFRGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWGLYEEERLEEIIDFDIGDDLDVEEACRFLKIGLLCTQDAMARRPNMTNVVRMLTGEKRISIDKITRPAMITDFADLKVSNKEQRSNGTRTPTTKSFTTSEPFSSSETPTQLST >Dexi9A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:9A:4515069:4518118:-1 gene:Dexi9A01G0007720 transcript:Dexi9A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGVIRAAGGGAGAGLMRTRMRLPMVLLSCSLFFLAGFFGSLLFTQDPEEADMPVPRERLLEAAWPEMPYGESGEAAPSLIPYQVMSPFNSAPASVACPVVAGTLFLSMFGTISGTFLSASEDPTGTLAEVEKKIARATMIPRKHGEPFNVLRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVMKGEKWVATKWIRDNTV >Dexi1B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:1B:26788865:26789600:1 gene:Dexi1B01G0020770 transcript:Dexi1B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETTDGHLMAIRIEFFNGDHRAAGGSSGKPDDALVDAPKAALAHLEQAAEVAGGGPELSAPLSSYSSGMLRADETETEPDDLLAAAARAAALESSDRLERAPVEGNEAAAGDAGASAPSRLFSPLPFLTLLGKRRNRKQPMAWRKKRGGGAQLSSRWILASASPSPPQSSNRDGNERQDELLETKADQRQGNKVLGVKTFSVDCSTAQRAKNCVERQHEPCRVGTTLKDWCRLV >Dexi2B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:2B:13247487:13251333:1 gene:Dexi2B01G0011660 transcript:Dexi2B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSARGSPHSFPSSPSSPQPDAERMFMRGGSGRSTSSRSASLRGIDEEAVAVVAGDGGGKLCVAVGKDFKDAKSCLGAVRSLGLLGGDLSLVLLHVHQPADRITNGLCMVLASRLEEKELQAYRKIEQEEMNTLLNQYMTYCRLYLKVQAEILVIEKNNVANGIIELIKQHHITKLVMGTSSFSTKRKVPKSKVASIVHQQAKQYCQIFFICKGSLACTRDATLDSIKADSPRSSSASTLSDESEIPARSVSLPPGHPGYMGSPDQPFPPRRSNSVSYSSPGFVANNVERMLHIAQHSVHVKPRDCSPTSSLPSSEGSSSSSPKDSDSMDGSPSPASIVRPEEQQVSMVETGMQNEVFEQLQQVRIELERSRKEASEGRQKAERELFEASRMFKARENSLLKEKREVEEILEKEKAFLEKENFEIFKELQKANEQKAELENKLLQTNSLLEQLQQLQEDLQREKEDALREAEEMRRRCGNNEFISSDEVSLTEFSYSEIQEATNNFDESRKIGHGGCASVYKGFLRHTTVAIKKFNREGIVGEREFNDEVIYFSNFKCKLVFMGGNMYLNL >DexiUA01G0007320.1:cds pep primary_assembly:Fonio_CM05836:UA:13912882:13920681:1 gene:DexiUA01G0007320 transcript:DexiUA01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAGASYQRFPRVKIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFNLSARATDSDQTLEVTSNDLRSADPKVCPVDQARAYQQALSSGDYDPNAGDQRQDGRSVGMGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMDTLTLDEKRSWVESSPTKVFELDPVTQQVTVIDAEAYTYDEEVIKAAEAMGKPGLVEINAKEDSFIFTVETTGAIAAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGP >Dexi6A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:6A:24023112:24024381:-1 gene:Dexi6A01G0016180 transcript:Dexi6A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYLQRSWIALLLFATALTPTYILMEDLLLLIGQSPELARLAGKMSVWLIPQHFAVAMLVPLTRFLQSQLKNWVTAVTAGVTLVIHVVTTYVLVRHFQLGIVGAVVAADVSWWLVVLGQFLYVVGGGCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSICVRVANELGAGSGKGARFAIVVSITTSVLIGILFWCVILYFNDQFALLFTSSKVVIGAVHKLSVLLAFTVLLNSVQPGWLSVQDGKRWSPT >Dexi9A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:9A:1334475:1335283:-1 gene:Dexi9A01G0002490 transcript:Dexi9A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAFVFAGKSVATPAISFLVNKAFGYLNQYWKTEAVDEMKKRILLALPKIQAVFDVVNPGHIKDESSALDAWLWQLRDAVEKAEDAVDEVEYYELKVKAKDAKVTDWGSPFAKMKHSAIKFVKHASIVEKAIRGFSHHGTIRRLEKALEGLDKAAAGVVDFLALADHLRGSTSRQEEHSLNKDHETGSMITATKVFGRSKESKEVIGWLTKPSDRDAEIEL >Dexi1A01G0026660.1:cds pep primary_assembly:Fonio_CM05836:1A:32524926:32526030:1 gene:Dexi1A01G0026660 transcript:Dexi1A01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSASPQTGAFWQHEVAPRPADVLLSRPSFNGDRSRRPISPFRPQKAVKPCPYNVAGAGEDAVCPRGSTL >Dexi9A01G0022580.1:cds pep primary_assembly:Fonio_CM05836:9A:17733054:17742247:-1 gene:Dexi9A01G0022580 transcript:Dexi9A01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFLATPALLRPRAASPPAACGGCCCHRCSAPRGGLIPCPSARAPSRRRSPSCAHPVRLCVNVGSGWPMVLSGSNNRGFEKGLSTAVTDAEAAGQVGDDDKDMAHITNESLRATIRKSKEVLARHKIILEQISEKKKLISVLAESSIHNEQEMHSGQSDSSLSHLNAVSEGQEIDSGRQTYLATHAQQSEFDTTYGESIYDQSEYYESLEDEDTDFSGSIGEANYGNYYQYDSFPRASPSVYQQEVANGGLGDVAGALPKALARRGHRVMVVVPKYGDYDELQEIGEPRRYQVSGQDMEVKYYHAYIDGVDFVFIDNPIFHHVESEIYGGNRTDILKRMVLLCKAAVEGRGPIDDFNYLDLPGHYMDQFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPDGGWGLHSIISENDWKFQGIVNGVDTTDWNPRHDIYLQSDGYTNYSLETVQAGKAQCKEALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDKVRGWVGFSVRLAHRMTAGADVLLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVQHYNPYEEAGVGWTFEKAEANRMIDALGHCLNTYRNYRSSWEGILRRGMMQDLSWDNAAKLYEEVLVAAKYQW >Dexi3A01G0034020.1:cds pep primary_assembly:Fonio_CM05836:3A:39342942:39345094:1 gene:Dexi3A01G0034020 transcript:Dexi3A01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGLSKLRYLNLSENHLIGNHIFESLSKLTSLEAIHIEGSTMSGTTLRNTGSLPQCVGRISFGYHSDLNLWPTSYTGVGFILQLSTEDDDPDLSFGYNGQYDLEGFTLYTKGKLYTYGRNFFDLMFGIDLSANMLSGKIPWEIGNLSHVKSLNLSHNSFTGRIPASFANMSAVESLDLSYNELTGSIPWELTELWSLEVFSVAYNNLSGCIPNSGQFSSFGVESYQGNSNLHNMSQGNQCSTIAAGPVKGENVVQANEDPILYIVSSASFVLAFWMTVAFMFCHSFGQRVVLRL >Dexi5B01G0038370.1:cds pep primary_assembly:Fonio_CM05836:5B:37586791:37590714:-1 gene:Dexi5B01G0038370 transcript:Dexi5B01G0038370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNNIYLGGRGGGNPGQFKLYSGGLAWKKQGGGKVIEVDKTDIRSVTWMKIPKSYQLSVGTKEGLCYRFFGFREQDVSSLTSFIQKSTGITPEEKQLSISGHNWGGIEINGNMLCFNVGSKEAFEVSLADVSQTQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFIGDEHRTSAQMLWQAISVQIDGAGSSETAVATFDGIAILTPRGRYSVELHQSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQFETETVVERELALSEEVLAEKYKDRLESSYRGLIHEVFSMVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLIPHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGDGQGRTGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVAEKDDSGSPTDDSD >Dexi9B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:9B:2990994:2991530:1 gene:Dexi9B01G0005080 transcript:Dexi9B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLVTASLLPPCRAAAASASARALRSRAHYAAARPSAGRAGVRCLAAATSPPPEFPGQTPSEVPGTGLPPAEVPATERPPQEVPSIDTPPEFEAPPGVDVPMPGAPGPGPELPGPAMPSPPTPEIPTVPPNPDVPPPRPPEVDPPRPPPEVDPPGAPPEVGTPQPPGATTVPPPLF >Dexi2A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:2A:5289236:5291291:1 gene:Dexi2A01G0005490 transcript:Dexi2A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGTKRKGAPFATAVRSARPSKFSSSPAAAMGDSSYEAAAALEALHEEWRDWALLLPDLTEVIAARVLAADVVDYMSMRAVCTRWRASTPCPRDPTLRDAHLRPRGWVALCDGDGVRPADACEVAFLNTATGRCVRVHLPELHGYRIVGFTDGLIILLNKDTTAVRVLHPFTRVAVDLPPIASIFNYMVKDQQSRAWMRAAVCMSQTSDSIAVVAWFPNSPGVVVAEPSFPCCHAHVVVATDRTAAVSAGGAKPSAVHDKAAADASK >Dexi3A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:3A:8665957:8671165:-1 gene:Dexi3A01G0011980 transcript:Dexi3A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRTEMEVGADGVAVITIVNPPYNALSIDAMYSLVRNYEEACLMNDCFGELICLYSKSLLGKGGIFCGGLDISILGAIQKHALDQLKVDYIDIMNDALEVAKKPLVAAIEGLAFGAGLELSMACQARISTPTAHLCLPELQLGVIPGFGGTQRLPRLVGLKAALEMILIGGVTDLGLMPRSVTKVAVVGGGLMGSGITTTLILNHYPVILKEVNEKLLNAGVNKIKANLQRHVRKGKLTEEECEKTLSLLTDVLDYKRFKEADLVIEASPITCHHQSIFPSHIMSLLEIVRTQHTSPQAVIDLLDVGKKIKKTPIVVTASSGFAVNRMFFPYIRTSLCLLDHGMDLYKIDRACTEFGMTVGPFRLDIELAHHFGFGVAPGTGKQYIENFPDGVYKSLVSSLMIEDKSRGSVYQAYHVYNDVIFFKKMELHSGLCSGASQKGFYMYYEGRRKAIPDPETMKYVKKLSMAEALTDPELMKLEDKDIVEMVLFPVINEACRLLDEGVASKASDLDIASIFGVGFPPYRGGIMYWADSIGAKRIHVKLSEWEKNYGQFFRPCSYLSERAAGVVTLVRTMAGISASTGQAKARL >Dexi3A01G0023010.1:cds pep primary_assembly:Fonio_CM05836:3A:18592499:18594348:1 gene:Dexi3A01G0023010 transcript:Dexi3A01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQYAYEESNEESYWETLDADLRYWTRSLRQVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRRRIIVLNREDMISTEDRNAWATYFANQGTKVIFANGQLGMGTMKLGRMAKSVASGVNTKRKEKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFPDVAAILVQMLIRHPAVGPEAFQRRYRIDVDNDCGKMFVTKLSIHLFNGDTSQAAFHILSDYRKGKFGWVALERPPT >Dexi4B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:4B:267350:269331:-1 gene:Dexi4B01G0000430 transcript:Dexi4B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGGRRQDEEGLLVEDVAIVGGLLLVQCVLAGYVVFVDHLLGLGAQPLAVIVVAAVSSAAFFLPFAVALERTTAFQELMLLGMKKTTPAVASAMPNLSPGLIFIVAACIGLERFELACKYTQAKLAGTLVCLVGAMAMSFLHSSSSSSPAPSPREKEAAAASGDYYYDWILGCSYLVVAAVVFSLVTVLQAVTLARFPAPLTMCSITSAMGAAFTAILQVILQGSLDMASPKIDAKLIAGIVILGGVVVGWCLVFQSWCLGKKGPMLVSVFGPVATSPVRRLLLHQCAAAS >Dexi9A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:9A:824422:825170:-1 gene:Dexi9A01G0001550 transcript:Dexi9A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSAAARPDFYDFLDRMRRPAAADLFRSIKRSVAPFPVPYSGEGLEKYIMTKLFDHTFGASAEDAMTDMEISEKIGLLQQFVKPHHLDIPKVLQNEASWLVIHFDLQAVIMRFQLVIAVA >Dexi9B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:9B:1092141:1092548:-1 gene:Dexi9B01G0001880 transcript:Dexi9B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHAPTTKTPRLAACVAIAPSWPAANSPSVPQATYIREPASDPMSKARAALLLPRRRARREMRDLEEFRGSAPPSHGEGGGGALSSWWSGDPEAKRRRRVAGYKAYAVEARVKASLRKGFRWIKDRCTGLVRRY >Dexi6B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:6B:25141913:25144765:-1 gene:Dexi6B01G0018050 transcript:Dexi6B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMGKLRAIGAQIRQSLIAKRFLSQKKTKSGIWVPQGYESKPSLKDFLTEKWTKVKSLEEDLAGTKLKVQLHEEDLARVKGSIEETNVKLDTENNARKHGISANRRDLWKIAGSFKAMLLLNEAWMFKVKVKAIDPKVVSMVKVENFNEIEEVLKEQSEAADFRAIFANIKALSPLYNIQGNISIEEVDMLMRLLGKKLKKSFFEDMVNEGFVDESGRLQFSELVEILSLWNEKAFEEGPQEDTKANEVKQMTGDGQKQ >Dexi9A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:9A:5777586:5780655:-1 gene:Dexi9A01G0009520 transcript:Dexi9A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFRTSSQRERWIFQPHDLVEKWAAANQRAADILAQYGTTLVKVDEHDGSVNPPDQSMPLNSELTHMATCTIFEGITYAKPLSYEEEQLTRVFYEQKIQEATAIIFFKRFFLQWSVMEHHPKHIMLTCIYISCKVEENHVSAEELGKGIKQDHQIILNNEMVLLKTLDFDLIVYAPYRSIEGFIDDLEGFCREGNGALQRLKLALAALHKSNDILGVFDFERYLETVFSRQHSECTVEQFVQSINAVHYLVKLFDWLGVIRFTYK >Dexi3B01G0034990.1:cds pep primary_assembly:Fonio_CM05836:3B:37649618:37654281:-1 gene:Dexi3B01G0034990 transcript:Dexi3B01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLAEKSPEVMDFHEDLVSLEAASKLQLKALAEEQQAVVKGLEKVELELTASESDGPVSDVFRKLLQLF >Dexi5A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:5A:6782262:6784294:1 gene:Dexi5A01G0009030 transcript:Dexi5A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCCEERPGKGKKSWAPARTLIHLNAGFVAGVLVMLLVYVYLVVSQQQVAISGLGDVTHVQHRILDKQLVQGSVESDVTHVQHRILDKQLVQGSVESDQKGKVVHNTNGYYSETCEVDGDVRVNGTALSVFLVPTRTGRSSPERREWKIQPYSRKIVPGIKAVTVAQLPDDATAPVCTASYTMPAVLFAVGGLTGNFWHDFNDVLVPLFIASRRYAGEVQFLITNTEPWFPVAYRPILRRLTNYDVVDLDADDAHVRCFPRLIRDIYALPRTSPTIPSPEKRPRLLVIRRTHTRRLVNEEEVVRAAEAAGFEAEGVDLRRDEDKGVVANARTVNTYDVVLGVHGAGLTNMLFLPPGGVLIQVVPYGKMDHIARLEYGDPARDMGLRYLEYIVAAEESTLLEMLGPEHPAIKDPESVHRSGWNNMTHFYLNKQSVRLDVARFAPTLAQAFDHLRQQQ >Dexi4A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:4A:2304232:2308342:1 gene:Dexi4A01G0003160 transcript:Dexi4A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVKEDKLASTRAEMGEVREENKRLKTMLSRVEEEYRSLQLHFHDVLQQGQTKKLADPATAAMPTVDMEEPEFVSLRLGRSTGLNKMKEEKSSASEGKGMREDSMDIRDGGLSLGLSDCNVGATNNAKTIQPDVLTLSPEGSSEDAKDDAMETGDQQWPPSKMLKNSKAIGTEGTEDDIGPLPQAKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCSDDMSILITTYEGTHNHPLSASATAMASTTSAAASMLTSGSSTSLRFPSASAAGLSFAGGFLPSSSAAHDVASRQFFLPNGAAASITSTPSYPTITLDLTTPAAATSHSQPFSLTNRFSSSFGHGGARYPPTTTTSFSFSNSGGPSSLSGAPWPAPGGGYLSYGSPAASLFNGGNKLGSFEAALSGINGRQQSSGGGGEFPAAALHHNQKASSAASGSTPPAAGVLTDTIAKVITSDPSFHTALAAAITSYVGGKSPAAGGEGGGGSQLQGLSKWGQHLGLGPSPSSAAAACSSALLARSSSTAAAAEQGGSNGHRSFLQPSSLGLSGSRSASTSPVENREH >Dexi1B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:1B:26531090:26532391:-1 gene:Dexi1B01G0020450 transcript:Dexi1B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAFSHVPPGFRFHPTDEELVDYYLRKKVASNKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYTKSCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTTPEEGWVVCRVFKKRVARRMADGAWYDDHLAGFMPPDLGSPARQLMMHHHHPSSVAAAYGGPQQLYHCKPELEYHHLLPSQDAFLQQLPQLVESPKPPAYIAQGSCSLHSSDEASRYTSPPMDPGYVAAGDDDVTDWRVLDKFVASQLFSHGDGIPKEAPGCSNPAQVFQAESKQTQEGQALDYASTSASGGGEADLWK >Dexi9A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:9A:12217715:12218710:-1 gene:Dexi9A01G0017220 transcript:Dexi9A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAARAGAKSKASAPPLPVADKETTRALPPVAEAVDEEEVEEVKEVVLSEAPPPPRPPPPAPVKQRQQPVADDEEEDESAPSEACSASDAASMDSAAKEKAKAKAKLLHKLAGAELEATEKRTTAAADAPRKGREGKGRSGGGAAGNARARSPSPSSANSRRQQQQQQQNPPAARPRRREQPAVVSGIGCRSGRFSPSAARRAAESAAVRRTHSAREADMALPSSMTKRSLTAAINGGMLSRRDAGERSGRRSDSPTAGRRAPASPMRKAGREHGTPERTRPRARDGDEQPPLPEAAAGGGEHKKVEEGALGQNPSVAMECFIFL >Dexi9A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:9A:1954817:1955217:-1 gene:Dexi9A01G0003700 transcript:Dexi9A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRADGSSDDKLFLYCTATKKLIEVDLPASLTPASSDYAFCWGYKPTLVSPGSVVGELKQDEERRRERAADIMAALKPINVRDRRVGQKATLDTVCFMEFLLRIMGKLPNNLQDVVGMPFSRE >Dexi5B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:5B:7506193:7507309:-1 gene:Dexi5B01G0010610 transcript:Dexi5B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQPLSRAEAMPERRSRFWPMDAQPTPRVEVICPQPRRATRLPFAVETANRASPRTNGAFPLHRSDSTSEILDLILSKNDPDGDSSSQVGFLCGSPPVRTDNPVIHDPQFGKRLPSFSPLGSSFGKTPAGRVEVGSPSCGASSSPKVRIEGFACGKSETHYAVTLV >Dexi2B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:2B:3758757:3759222:-1 gene:Dexi2B01G0004280 transcript:Dexi2B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMAMASAASSLPFTATAGVRAARLPAARLTPRRQRAPLICMATKGEDTETTTTTTTTKKPGIWDALAFSGPAPERINGRLAMVGFVSALAVEASRGDGLLAQAGNGAGLTWFAYTAVVLSAASLAPLLQGETVEARSGVIFF >Dexi2B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:2B:23575034:23576721:-1 gene:Dexi2B01G0014110 transcript:Dexi2B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGAAWWGRRRWTMSLVIAAGMLESANETLLPAMYKEVGAALGASPSALGSITMCRSLVQALCYPLATCAAARFDRALVVAAGTFVCAVTAILVGASSTFLQMAIARGLNGVGMALVMPPVNSLIADYSDDVTRDSAFGWVCMLLSVGSAMGTSLGVLLAPTTIFFGIPGWRLAFYAFAMVGVAVALSTLLLAADSSRPGTRRNSNTMAAVATITDVAREARDVLSVPSFWVMVAQGAASQLPWSALTFMAMWLELVGLTHWETTVVTTLKCLSTGLGSLLAGATGDVAARRFPDTGRVALAQVFNASIVPLAAFVLLLARPGWPLVVYAAGFLLLGVAMGCSGFSTSNPIFAEIVPEKARTTVYALDLCLENVFGSFGAPVVGILAERVFGYRPRETAASGGTSAQAAALGKAVFAEVAVPATICCLTYSALYWTYPADRRRARMMEASGGDEDCCETDGLPVASSSADDGLNQALLSVKVTE >Dexi2B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:2B:27604603:27605547:-1 gene:Dexi2B01G0017140 transcript:Dexi2B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTPTSYLLLAPLAILLLVFLLPSLRPSPRDASDGLGVLCARRAGLSNATSVAAPPPVDVSSPPSSEPVDFSLLVGVLTMPSRRERRDIVRMAYALQPRAARARVDVRFVFCDVTDPVDAALLAVEIRRHGDILVLNCTENMNDGKTYAYFSSAPRIFASTPYDYVMKTDDDTYLRVAELAEELRGKPREDVYLGYGYAMGGQPMPFMHGMGYVVSWDVASWVAGAEEILARNDTLGPEDLMFGKWLNLAGRGRNRYDLKPRMYDLNWDMDNFRPDTVAVHTLKTNQRWAATFKYFNVTAGMKPSDLHHLP >Dexi7A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:7A:15610451:15615974:1 gene:Dexi7A01G0004680 transcript:Dexi7A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRAASGLARVALRRNLSRAAASPFTGGGAVPGACVPARYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAAATSPGLSGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGLEERSVPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDHDKCNWLRDKIETVNPREYTYDRRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDRERTKNLGVLLHGDGSFSGQGVVYETLHLSALENYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSALEIYQNKLLESGKISKEDIDRLNKKVSTILNEEFQNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGERYCPLDHLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVIWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKECKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKTGRTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMKALGRGSIEDIKYAGRAPSAATATGFYTVHVQEQTELVQKALQRDPINCPF >DexiUA01G0014500.1:cds pep primary_assembly:Fonio_CM05836:UA:30596815:30598955:1 gene:DexiUA01G0014500 transcript:DexiUA01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSASIATKFEAGASFVFGSWLCIANQEGELQHQLRDEVASPASSRVQTTPRGSRKIPNSDTISGSYPTRRSTWRPKQIQSRADHVNSTPIKGQDQATCPRLPGGLRITSEFRQGSTIRTVTTTPRLLASPTSPPLILAFGFGGGASSSGPSAQRQRHLSIEKRHMVMVPFHPTHPPAWIPSEA >DexiUA01G0005830.1:cds pep primary_assembly:Fonio_CM05836:UA:10516243:10518329:-1 gene:DexiUA01G0005830 transcript:DexiUA01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATMSMADAVTLVDTLEHLGIGLRFREEIDLLLGRVYRADEFSASNDLHIVSLRFRLLRQHGFFVSAADVFNKFRDGNNGGRFSTDLCGTKTRDLLSLYNAAHMAIPGEEALDDAIAFARRHLEAAVNKGELRSPMKEQVSRALDIPLPRFIARVETAYYIAEYEQEETHDAVLLELAKLDFNLVRALHLRELSDITLWEESAASDVPEYLRALYVQTLSHFNEFEGLLKPHEKHRMAYLIQEYKMQSRLYLQEATWSYEKHMPTFKEHSDVAVMSSFVPTVCLVGLLFAEDDVATEQAVKWAFGMPDMYIASGEIGRFLNDVASYKMGKNKKDVASSVECYIKEHGVTGDEAVAAIAAMVELAWRRINQGCLEMRDRALQPAARSVVGVSTTLEVMYLGGRDGYTFGRDIKDLVVRLFIDPVPL >Dexi5A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:5A:22442367:22443452:-1 gene:Dexi5A01G0018960 transcript:Dexi5A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKAAMFAGLLAVAALSSAVAWEDYDHHMYHKCYKSCMRKCDDDHDDAFKNVIQPLAAVSVSEDHDDHDDHEDDDHHDEHDDNHNHGDDHDDDDDDDDDDDDDDDDDEEECRVECTQDCIDYIPGVCYRHCVAHSCYFLPPYSYRRMSCVRGCGYKCYHHGHHHHHHHHHHDDDDDDDDDDHDDDDHHDGPKPTPPKPRPKPTPPKPGPRKPPVHVPKPGTPHRKPKLPPPSTPRTPPPPKPQMSMPGPRKTMATSPVRMAAPLTPAPKPPLAPKRPPVPELPPKPKPPLTPKRPPPPVPVLPPLTPKTDRGHRSGPATN >Dexi5B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:5B:7667216:7671753:-1 gene:Dexi5B01G0010860 transcript:Dexi5B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKVAIVIGSGIVGTVLTGGESSLPDFKDVISGAFKFMTKGAKKAKDAPSTSSPHTAHLLTQVNYLREELQMLSKSNHVAIVTVDGRPGPGAYGITAVVIGAIGYLFIRWKAAKRHLAGRIDRVDCSLDECQEITEATRNEVTIIHGDLSAFQKEMETVHLVVRSLETKLGRLAYTQVTSSTPRPAIESSERTARAASPSALEPESPSDQSPRAEAPKPQVVRSTTMSASGLSMLAGTTMPPKRDHQGSFSRASSMKAGSSDLPSGAPSSAEPSPRRSGSSTLFGGFGFLRNYTS >Dexi4B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:4B:20316387:20321040:-1 gene:Dexi4B01G0017890 transcript:Dexi4B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAGRAPMPADAPSMVESFCGITSAPPGEAAFYLESHNWDLESAVRSYYDSVEGDAGAEAAAADPAPPLPTPPADGGGADSEDEDYVGGGAGDEDEDDEDYIGDDGDDDEDAAVVSATAEAEERRRPSKRQKKSHDARGASGSRAGGRSNGRGNVRTFSDLRGGKRGAGSDEDSGEDDEWAPPPEYYTGGEKSGMVVRDRSKRKNNADEVFKQAKKKGAKQGPFEGRRRSSRNFTGTGRLLTGETVQRDAPQPPEEIVHNIYFWSNGFTSIKNSDCPTELEPADGKSKVNVNLVRKEEESTEPVKRAAPFQGERRTLVPPSDDNTSSAAASSTATAPRTITVDDSLPSTSLQIRFADGSRLVARFNTSHTISDVRAFIDATRPEASEYTLQAGFPPKPLEDATKTIEEAGLANSVIIQSV >Dexi7A01G0023360.1:cds pep primary_assembly:Fonio_CM05836:7A:31363846:31364213:-1 gene:Dexi7A01G0023360 transcript:Dexi7A01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTHKYKSAHNKISSPLISVLVTWALGYIVAKLFFAVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEHSDLQRLTQGP >Dexi4A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:4A:22089863:22092142:1 gene:Dexi4A01G0018140 transcript:Dexi4A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAGMACSPEAAKFTVRRQPAVLVAPAGPTPRELKRLSDLDDQDTLRLQISSIQFYRSKRGNKDPVEVIRDAVSKALVHYYPFAGRLREHDDGRKLAVECTAEGVLFVEADADVPLEHFGDALLPPFPCLEELICDVPGSSDILNTPLLLFQVTRLTCGGFILCVRLNHTMADAQGMAQFLGAVAELARGAHAPSVPPVWERHLLEARNNPPPQAPALLHDKLDEPVPGSDSSNSIMVSLHDREQLRHRSFFFGPKEIAAIRAQLSPELQKRATKFDTIAGWLWKFRTVALAPDDSNEVMPLAIIVNARGRRRTAATITASSSAGSIVIPTGYYGNAFVATVATSTAGEICENPLSYTVELVKKAKDEVDMEYVRSMADLIVLRGRKTPLPTAPGTYYLSDVTRARFEDHDFGWGRPVYGGPAEGVGSRSFPWVLSFVLPFKNANGEDGVVVPVCLPGPAMDRLVEEMGKLRLHTPADETTLR >Dexi9B01G0039170.1:cds pep primary_assembly:Fonio_CM05836:9B:39962311:39962838:-1 gene:Dexi9B01G0039170 transcript:Dexi9B01G0039170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLHDEFLVKLGISSGALEMGSDVLLENETMAYVFLETGTVACAFQEMGTVACAFLEMGTVACASLEMGFLVYALLEMESDVYLVRTCVGQETFDVQETVTSLAHELVNDDGEEKVTFVTQMTVIFCEEETSDVKMGMDTSVLKVILSLNKEISFEKLKEYFLEKAFEEKMSV >Dexi1A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:1A:3162131:3166749:-1 gene:Dexi1A01G0004290 transcript:Dexi1A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSAILVAEGAIKSVKLSLSTEEEICTYSINDCPLNHPSQLANPFLGLPLESGKCEACGASENDKCEGHFGYIELPVPIYHPCHVSELRQLLSLICLKCLRMKKGKMKQQNGKDNVSVTSCFYCRDIPALTLKETKTTDGAFRLELRAPSRKHMTERSWNFLDRYGFHYGGSSHNRLLLPEEALNILKKVPDDTRKKLAARGYKVQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYEILQTRVSYKNDMKDRKVILFLNDCACPKKFCKERAAIVVESCLRRVTLADCTTDICIE >Dexi7B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:7B:3759500:3761036:-1 gene:Dexi7B01G0002150 transcript:Dexi7B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVSSTTRFSRPRASAALASTSSSSPATTPTDRVRDPQFDALITRVLSTSIHGALPSPPTARAPPSSPAAGSPSGSPPLSPSTTPTSSPAXXXXDYDRLSNLPDALLRNIVSRLPIKDGARTAILSRRWLPIWLAAPLALYDPHLLPGPTTSDSIPRQVKRADSDAVVAAVSRILDAHGGPFRCAYLTCSNMDGDHRTRLARWLHHLAVKGVEELFLINRPPLHLERSLPATFFSMASLTRLYLCFLRFPATAGLPRGAAFPSLRELGLCSVAMEGNGDVEFILARSPVLEMLCFVGHMLPLLRLRLVSRSLRCVQIHYSKVKSIAVVDAPCLARLIVMNTPLAPVSSCSISIGNAPSLQLFGYFDPVRHFLRVGDSDIKAGTLVNAGGMVPAVKILALDFHFRVRNDAKMLHSFLRCFPNVERLYIHVRPRL >Dexi6B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:6B:427796:433381:1 gene:Dexi6B01G0000630 transcript:Dexi6B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEDPSLAATLEKFRLYETRAVSTSSPACLLIPSRNSSQPIESNRNRIPQRFYVIGSSREKRWFRVLKIDRSEASELNVSEDPVWYSLQEVKSLLQRIDEGNRATGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQMITIPHTSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGIKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVRLSIFGREINVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEASRLSPKPDIFGHFTPVQRYDPTYEATKKHFDDLAQRYGHPIIILNLIKTVEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGKVAGEALDLTGFYYSGKPKVQKKRSTQLSRTSTARDGSLDIRASSGDLSRLSSNADALSSAGFQDMRNEANKQESHGDTPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTHVSKIHPDSSIASALMEMYQGMGDALAHQYGGSAAHNTVFTERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDTSDSYHLSSTPGNNAFLGSGAALGPGTTLSPVPACKEDFSRMKLTSFDKLIERTCSLIRDVRLHCDADLKRNGGVGTSGMAPDAAEIQLKSPNWLFGQRKHTETTPTARVIPVENANETNKDELNVPLCDELNWLSSSTESCEEDIFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSELCQGAVMDPFEHDPEKERHYAEALSVDLHISNDARVEAEMQAALDDYQLIGADLSIIPSCGALAEDPSQLTRWIIGDEKLRVGTAS >Dexi6A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:6A:1051049:1052085:-1 gene:Dexi6A01G0001190 transcript:Dexi6A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSVLKKLLKKRRLGRKAEELMLVKISRYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >DexiUA01G0014860.1:cds pep primary_assembly:Fonio_CM05836:UA:31071101:31071457:-1 gene:DexiUA01G0014860 transcript:DexiUA01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTVDVRGVAPGAPGWEATRDAVTASMVAHGCVVVAHDALGAELRRALFDRYLPEIFKLPLETKQRTPTTKGAYVGYSRPIQGLACERIGINEPANGGSVRAFADILWPEGNPELW >Dexi6A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:6A:18493563:18499927:1 gene:Dexi6A01G0012250 transcript:Dexi6A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATADTDERPLVELAAVAATRTPXXXLPSPLLSPPTTTRRARPRTKHQPSQTQILLGSPATMPATADTDERPLVELAAVAATRTPTAASSSPQAPFPAAPFPGLATPGFSRAVRCNAPSSFPADGGGAGQQAAEYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVMLPLVVVVSAAMVKEAVEDWRRKQQDVEVNNRKVEVYDGTQSFHETEWKKLQVGDIVKVKKDEFFPADLLFLSSSYDDGICYVETMNLDGETNLKRKQALEVTMGLNDEHLFHSFKAFIQCEDPNEKLYSFLGTLHYNEQQYPLSPQQILLRDSKLRNTGYIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKVIYLLFVILFVIATFGSIVFGMKTKHEISPGNYAWYLRPDQANIFFDPNRASFAAFCHFLTSLMLYTCLVPISLYISIEIVKVLQSTFINQDQNMYCAESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSINGVAYGNGPTEVETSYGEIAETTGNYGHKDATESKRVVKGFNFTDGRLMDGRWVKECSRDAVEMFFRVLAVCHTAIPVADRNSAGMPYEAESPDEAALVTAAREFGFEFYNRTQATISVHEYDPDSGGKLDRTYKLLNTLEFSSARKRMSVIVRTEEGRLFLFCKGADSVIFERLSKDSGKACLTKTKCHIDEYSEEGLRTLVLAYRELTEEQYSLWNQEYQAAKNSVHNDHDEAVEKASEDIEKDLVLLGATAVEDRLQNGVPECIHKLAQAGIKIWILTGDKLETAVNIGYSCNLLRKEMEEIFITLDNSSKSASEGCSEEGNRMAPYEEIDRKLQDARRAIFMKGASTSFALIIDGNALTHALTGTLNKSFLDLAVNCASVLCCRVSPKQKALVTRLVKIRTSKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPAYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVCPFTTSRWRE >Dexi9B01G0028310.1:cds pep primary_assembly:Fonio_CM05836:9B:30932858:30933244:1 gene:Dexi9B01G0028310 transcript:Dexi9B01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLALFLALSLLFAAAAHGCEPYCPGPVVPTPPVVRTPSHSHGRCPIDALKLRVCANVLGLVKVGLPQYDECCPLLQGLVDLDAAVCLCTAIKADVLGVHLNVPVSLNLILNKCGKICPADFTCPM >Dexi9A01G0026050.1:cds pep primary_assembly:Fonio_CM05836:9A:27590652:27597454:1 gene:Dexi9A01G0026050 transcript:Dexi9A01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGYMGGPLFDEATQVRWRSARLVQPYVQDCRPRVGGCRGVRGGDDDEVFSGQMEYMSRISSTPPLPHYDRTRKTWSFIHERAAASTTSAAAGLLEEEAGERTDAQGSEEGFGRRFCSSLPPPAVRAALLLPPFAGDPRRSAPLLSSSIGSPRAWILATRRRARRRSAGRSYCRGVQSPPALAQLPAAARPDTAPANPGRPPSLGRPLPLELQLGDGELVDAAGEATAQARCGSRRRPLLSLGVALINHLAGCSPQTQSSLSCGVWAAEGSSPQPHTHLPFSRRPPAAQAAAAESRTPPGCAMVVMGKLGVLIGPDVSLLWKFKDDLESIRSTLLTLQAVLNDAEKRSSREERVRLWLKRLKFAAYDIHDILEEMESKNDMQDTVRGIALQKVSQFRAHIPIARKMKKVRQRATFSSISEDIVGRAMEKETIVAMLMAYSEEEILTISIYGFGGLGKTTLARLAFNDENVRRVFDYQVWVYVSMKFDLKKIGESILSEIDGGNCGHANLQEVSRHIQRVLASKKFLVVLDDLWEENGFQLLKLKEMLSGGAKGSKIIVTTRSEKIASLMRPCTPYKLDVLSDDDCWILFKRRAFVPGMDDPRIEGIGRDIVKKCNVFSKGVVIDKDMLIQQWIALGFIQPASGSLTLEKRGEEYIHELVSMSFLQASMISSLLSHMP >Dexi9B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:9B:15463618:15466833:-1 gene:Dexi9B01G0020780 transcript:Dexi9B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLRSPRSPLAYPLRLRSSSAAVATAAAAARCSCFLLRSEHRPGSHRLHAALAVDNRVVMGFGLVTLDYLATVDAYPRPDDKIRTGELQISGGGNAGNALTAAARLGLNTRVISKVANDETGGTVLSELMEAGIDTSHVIISDGGNTTFVYVIIDKQTKTRTRILTPGEPQMVPSDLPMSSLSAALKNVSLLYVDGYPPEMALVVAKQADKMKIPILVDAEQERTKEELESLLSLASYIVCSEKFPKNWTSIPSLPCAQLEILLQYPHAKFVIVTLGEKGCMMLERTEAGDGSVAADIEAVAESLKLQLHKDGTFPTCVSSKFMRLSARGLGAIFGRLLIGTAEVIPASELVDTTGCGDAFIGAVLQALSAEMPPEKMLPFASQVGEGARAGLPWRSDQRLAKYL >Dexi8A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:8A:2442114:2442440:1 gene:Dexi8A01G0003410 transcript:Dexi8A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGNGRGGSRLQLQLSPPPAAGRMEVDGGSDSSSSSPSSCVSSDGSPGSKSPMVIGACTRCMMYCMVAKKDFPTCINCKQPSLVDLLHGAGGGAVAGDDKRRGKGK >Dexi6A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:6A:26305529:26307443:-1 gene:Dexi6A01G0018550 transcript:Dexi6A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESEGDAAACAAAVLTPPLSLEGGLAAELQPANLVQRVLSLFCNVRPGSDLSHFQLPATFNLPKSQLQMYGEGVYCAGEDLLSRCAGGKDSLDRLKSVLAWSISTTRPPIFGFAPYNPVLGETHHVSHGSLNVLLEQVSHRPPVSALHATAAGGEVRLVWCQSPVPKFHGASIEAAVRGRRELRLPRHGETYELDCPNLLIRLLPSPAVEWSGDVRVVCVESGLEAEISFCRSRRSFLGFGGGDARCVKGRILRSASREIVCEIDGFWDRTVSLKDVSTGEVSVLYDAHRAIGNLTTPVVHDNKGVAASESAVVWGEVSDSLLKKDWERARQAKRRVEDEARKLAKERNEKGEVWMPKHFSLSQSKDGEWECWPLEESVPPAPIVVPS >Dexi2A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:2A:32514667:32515748:-1 gene:Dexi2A01G0020400 transcript:Dexi2A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVSPNSSLNSTMWWIMARFSTVRLRNSCTTPTRYFSASGVHGLSSAPLVYGETSGNVVAASRRSASSASRGINRLCGTAKRNVRRTRRSSTTKSRSSALTASAGSQRASSRTWRPSAGSTTTRKSLGKPWTRSRKSSGRPSTARQWWSLVSGTRRWAVSMRSFRTARTRDGRSSSWTRRSSSRSERMSGVSARCGTESTTGRWNSSGCRSAERATLRPRGKPSSRYSLPASERGIRCGGGREARNERVRWRKRKAASRSSTASSASTRRSASGSMGDTRGLLSFFKSFFRRLQGSSGRSEAAASAAAAEDAGTGTGAAAGAGAGTGRRSALVAQKRRRAIAGGGGGGAASSVPV >Dexi4B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:4B:17616870:17619948:1 gene:Dexi4B01G0015770 transcript:Dexi4B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAYVAERAYEAATRSALAALERNLIPDAVTRRLTRLLLAQRLRQGYLPSAPLQLQQLLQFVHSLQEMPIAIETDKAKAQHYELPTTFFKLVLGRNLKYSSCYFPDDSSTLEDAEVAMMELYCERAKVQDGQSILDVGCGWGSLSLYIAKKYKNCSVTGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMDRSFDRIISIEMFEHMKNYRSLLKKISKWMKEDSLLFVHFFCHKTFAYHFEDNNDDDWITRYFFTGGTMPSANLLLYFQEDISVVNHWLVSGTHYARTSEEWLKRMDRSISSIRPIFEKTYGKESATKWMAYWRTFFISVAELFGYNNGDEWMVAHYLFRKK >DexiUA01G0003870.1:cds pep primary_assembly:Fonio_CM05836:UA:7918028:7934821:1 gene:DexiUA01G0003870 transcript:DexiUA01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWKHEPPKPTLAERKCGPMRASLPTEWATCATSAPRGDGVDGGDALGEEGVGGELGELGGPEVGGEDAVGRDPPGVDVAERGDGGEASGGVVAAADEDAVRGEEVGDGGALGEELGIREDLVADASAAAMAAVGVVGEDLLDGLGGLDGDGGLLDHDLVGDGDVGDHPRRGLPVGEVGGLAGAEAAGLGGGVDGDEDDVRVGHVAVDLRAEGEN >Dexi2B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:2B:900442:901469:-1 gene:Dexi2B01G0001410 transcript:Dexi2B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSPAAVPEEIVEEVLIRVPPDDPATLLRAALVCKRWCRIVAGASFRRRFHELHPRPPMLGFLQEHESGAEFVPTSSFRPPRAVADGWRVVDARHGHVLLLDLASCSATEAKFLFSSKV >Dexi9A01G0031980.1:cds pep primary_assembly:Fonio_CM05836:9A:36806006:36808627:-1 gene:Dexi9A01G0031980 transcript:Dexi9A01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVQRVGGEGKLPADLMKTVKDMLPDRKVVMGRAKRGIFAGRHIRFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDSHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKMYSDLAEMEVGFFTPEEEAKIQQGFDEDRAAKRDFRREARRALAKQRQLEAGKGQTTQVPDAKEEVSDAAARV >DexiUA01G0023950.1:cds pep primary_assembly:Fonio_CM05836:UA:49028208:49030067:1 gene:DexiUA01G0023950 transcript:DexiUA01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTTARVLENAEGDRTTPSIIAYTQDGETLVGQPAKRQAVTNPQNTLFAIKRLIGRRFQDEEVQRDVSIMPYKIIAADNGDAWLDVKGTKTAPPQISAEVLKKMKKTAEDYLGEPVTEAVITVPAYFNDAQRQATKDAGRIAGLEVKRIINEPTAAALAYGLDKEVGNRTIAVYDLGGGTFDISIIEIDEVDGEKTFEVLATNGDTHLGGEDFDTRLINYLVDEFKKDQGIDLRNDPLAMQRLKEAAEKAKIELSSAQQTDVNLPYITADATGPKHMNIKVTRAKLESLVEDLVNRSIEPLKVALQDAGLSVSDINDVILVGGQTRMPMVQKKVAEFFGKEPRKDVNPDEAVAIGAAVQGGVLTGDVKDVLLLDVTPLSLGIETMGGVMTALITKNTTIPTKHSQVFSTAEDNQSAVTIHVLQGERKRAADNKSLGQFNLDGINPAPRGMPQIEVTFDIDADGILHVSAKDKNSGKEQKITIKASSGLNEEEIQKMVREAEANAESDRKFEELVQTRNQGDHLLHSTRKQVEEAGDKLPAEDKTAIEAALSALETSLKGEDKADIEAKMQELAQASQKLMEIAQQQHAQQQAGADASQNNAKDDDVVDAEFEEVKDKK >Dexi9A01G0021770.1:cds pep primary_assembly:Fonio_CM05836:9A:16605571:16613792:-1 gene:Dexi9A01G0021770 transcript:Dexi9A01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYAALRRATEEVAAVDGHAHNLVELGSAFPFTRCFCEADGDALALAPHSLSFKVRRRAPRARLSRSSSALTAPHAFAACCNLRATGTLVLRSVRDIASLYNCEASLEKVEEFRRTEGLKSIASKCFQAANISVILIDDGIPFDKMLDLESHKAFAPVVGRVLRTERLAETIINDVMFSTDGYAFPETYYLGANRSRDVVYRVLAAACEDGDLSIQEAIEAVEDIFRRNALHLYKLTVANGSINRETSIAADSAPLSSVEEDILFVRIIWTDASGQHRCRVVPAGRFDDVTRNKGVGLTFAAMGMTSFCDGPADGTNLTGVGEIRLMPDMPTLMRLPWPDLNDIGSGSHVHLSLWENGVNVFMGSSKDNFHGMSKTGEKFLAGVYHHLSSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRSDLKLPEPIESNPADYASKLKRLPQNLQEAVESLSADKAEIDHYAKNPGAFSDLIHLY >Dexi6A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:6A:1052743:1055882:-1 gene:Dexi6A01G0001200 transcript:Dexi6A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLSRAKASASRLLSTAPSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGYGRAYFSATSAHTCTGDGNAMVPVVKVVSLETAKVNGSWNDMLLQQRILRLVH >DexiUA01G0005410.1:cds pep primary_assembly:Fonio_CM05836:UA:9629132:9630054:1 gene:DexiUA01G0005410 transcript:DexiUA01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTVDEESREKLAPYAGLSSEESYQSRDLEKASQQVVKNLYESKVQPTTLIPKQVGNMYTASLYAAFASVIYNRHETLAGQRILMFSYGSGLTSTLFSFKINEGQHPFSLLNIASILDVSKKLKARHVVPPEQFIESLKLMEHRYGAKDFVTSQDTSLLPAGTYYLTHVDSMYRRFYAVKGDSVTTAVSNGH >Dexi3A01G0023140.1:cds pep primary_assembly:Fonio_CM05836:3A:18725276:18726518:1 gene:Dexi3A01G0023140 transcript:Dexi3A01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKPPEASPQGVRAAYRSLVRQWHPDKHPSDSRPEAEAQFKAITEAYERFEAGCCSSPRAAPVRPRATGSRAPRPPPLGELVPADVHVPSGQRSPRAAPARSCAIGSRAAPASCSLSTCHRSVPCRPGFHLSASCTPVRPFAAARSTQAVHLGASDCRDQLLLQPMTRRRHRQLRLLHLPRWPGRGPAAPAPSPALAGQGISVGHTTRSVRAPVRVGDLASAMPSSSGPTSGAKQRAQQLRLHCKKTQED >Dexi9B01G0044980.1:cds pep primary_assembly:Fonio_CM05836:9B:44623499:44626304:-1 gene:Dexi9B01G0044980 transcript:Dexi9B01G0044980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRRGASAAGRRRCPAEVLRRLVSSEVAPERAASRAPPEMPPFDHQPRPYTGMAGAEIFEKRKTVLGPSLFHYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMVALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLSQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETIELFEKLKDLGVLVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMSRL >Dexi1A01G0027370.1:cds pep primary_assembly:Fonio_CM05836:1A:33182742:33183724:-1 gene:Dexi1A01G0027370 transcript:Dexi1A01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKARVAGAGAILRPVSTRTQVVMTRARSVAQAAWTRPTLQLSSWTCIGPRRRNNVSSTSTITTEEIRTSTSGGSESTSLLTETTIETSETVVSEAIECSASEEVQSSVATAVDAVGLVDDKADSDEDIVDQHVNEDRMTDVELWQQLESELYRTGEGEDDDIAEEMTESTIAEEVGGVGEDVLSETKEVHRFYPPGKIMHILTSSIEETAHEEDPDVHQDDETNRESQRSMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLISELEKDSSDPMGDNPNNTSS >Dexi9A01G0043020.1:cds pep primary_assembly:Fonio_CM05836:9A:46559421:46563338:1 gene:Dexi9A01G0043020 transcript:Dexi9A01G0043020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPQQRGYAPMPMPGPPPPQQAIYQAPPQYPVPGPLPPPPPRPPSFAPENALPPSGPPPPPPPPPSSPPPPPMLPAPHTAVVPQSWNAEAEGKEGSDSSHDAKTLKAATPLIVSDDSDMDMDGDEDSPSRQPLTPDNSSLVTAECTGDVNVSKSASDVSSLDKDLPPGGDDNAKSARVTVDGGSPFRLIQGYASDDSADEVGAGPGSASTLVILPEDNKHSHPNDRNTEIGYQKHANAKGNVNAPPGTEQNGEAGKYHLDDDSNPVKDVTDVLGHLAKEDLSESEFDGGQRSKRKRTRSKSPHGRSCSPSLSSSPRKQSKPQFAKQVHHAGEVNDSGDKVAQQEGLVLMKKSNSSNALIDKAGDNAAPDGALGQHCHGDNLTSEPSQPVAVSANVSDPHKLPGQPSFAASEFPQTQFQHNVVAPANEFTQNQMRSYPPPDPSLPRPLDFPHHTLSPAVPSHQQPSAIPVGSAPVPLPDRWTEYSGGVGLSYPSHQPPYGQHQPPGNLDSGANLVYPSFQRFSSNLPGSSDLGPLSDVGLPKSSIKPHYNPFASTFEQSDPSLDIGPVVSPTAVGSISTKAEHMNALSSFGSFPGSRAHAHESSGEAIHNKQNPFRQEFSSGAPYDPLLDSIEPSSSSINKVDPGKETKRSAAHSHDVSRLMNIEVDSESMHGLGVVAESEVEGLGEVAGETEAGMVENASPEFLGAKDWNSDIPGDIDNDTLDKNKKGKDSRSMKLFKIAIADFVKEILKPSWKQGNMSKEAFKTIVRKTVDKGYVDKYVKP >Dexi9B01G0041740.1:cds pep primary_assembly:Fonio_CM05836:9B:42164985:42165856:-1 gene:Dexi9B01G0041740 transcript:Dexi9B01G0041740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLLVVSSLPARCSRLAVPHLQRSCKFIGPRVSMSSSFSSPPEDLSMETEAPRAPITRERRLNPDLQQQLTKPYLARALEAVDPSHPQGTKGRDPRGLSQHAAFFDRNGDGIIYPWETFQ >Dexi4B01G0023010.1:cds pep primary_assembly:Fonio_CM05836:4B:24503716:24504699:-1 gene:Dexi4B01G0023010 transcript:Dexi4B01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPTPAIAAAFSALLRRRAVRSYERTHIYARCVSSNARAEAAGEPEGRSRGLGGTRLEEAVPAGEGRARVDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHMVKDGDLVSCTVSELQPLRAEAEDIQLDVVYEDDHVLVVNKPAHMT >Dexi3B01G0022770.1:cds pep primary_assembly:Fonio_CM05836:3B:17493752:17494231:1 gene:Dexi3B01G0022770 transcript:Dexi3B01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEESRQINHRVIEAQIGSKHESEKKKRGSNSSPWWRRRVRGGNGEFEEATASSKSTSRPHHREQLTPSVSRRCRTSYASHLPTALPGKAELDVVVSPARRCNRESMRASRARAAWCRLAAGAGKLPGAAVGGCMRRRRLATRETESERKRKRCSGKR >Dexi3A01G0035460.1:cds pep primary_assembly:Fonio_CM05836:3A:40734450:40734773:-1 gene:Dexi3A01G0035460 transcript:Dexi3A01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHRKDKAKRAKALENDKIATSIVMLANSIPSSGPTPADPYANLWKRIEDIPFPPQDKVDIASFLSKPDQVYLRNYLNAASDQSFASWVTSYLGAKYAGGGGCTDE >Dexi2B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:2B:1390686:1394984:1 gene:Dexi2B01G0001790 transcript:Dexi2B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYSHPVRVADHLIVWDPISGDTKRLGMPPYPLNAYAGAMLCAADGCDHLDCHAGPFLVVFVGTPSGLETWVSIYSSETGVWGPSVSIDTGFNQADGKRSLLIGDALYFSLGYGESVLKYDLGRHELSEIKPLPVFGPVIFMEVEDGALGFVSELDNCIYMWVRQADANGTGRWEEHMVMELETVLPKPAPQTTYEVVGFVEGTDTIFIGGCHVGVFMLDLKSRKVKKLFPVIGLKSMGFKKIGEMNSMVLVYPYMSFYIPVVMGISSYAVK >Dexi7A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:7A:17379173:17383108:-1 gene:Dexi7A01G0006110 transcript:Dexi7A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGARRPAAQQIVQSLQRCPRLSPAPPPFADALGDYHRFPHPSSTPPAAAAAELLVGGRGDIEAGIVVTAPELTLGNLQRHTSQRLNSAKLDLRHLYQMLASINACLSFIAASPGNPPTPAGPCRFDSSLAQLTKKFINLLNEAQDGILDLKSAADKIDVQKRRIYDITNVLEGIGLLEKKSKNIIRWKGLDQSRAKLDNDLSFLKKEVENLNLQEQALDEHISKMSKKLKALTEDEIRERWLFLTEDDIKGLPCFQNRTLIAIKAPHGSSVEVPDPDMMTGDSFQRRYRIIIRGTGGPIDVYLVSNFEETWEGALDDIATLASETNLAKHGTSREGPRTEGAGPRSGKEVVYNGQQIHKTPDLNAPYPSEGVLRKIIPSDVDVS >Dexi3B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:3B:619562:620028:-1 gene:Dexi3B01G0000710 transcript:Dexi3B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMERMSSSVQSWVEEHKLATIGTVPSALLCSCSALLCSGFNLISFLPSHRGVWAAAAGASVAYSRRGAPQRARKALSLAVLGGAAAAWMHHRKSTRRREREQMDLDFYSQLPPATDADGNENERWTW >Dexi3B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:3B:7946221:7951169:-1 gene:Dexi3B01G0011310 transcript:Dexi3B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKNSLLLQGRLRHAAAAASFSPRRGAATSKEEYDRRNYADNASEYNTVMGSLVAQRRQGNVGFRLTIALIVFRPYLLRDAYDDMILDGVQPERDTFHTLIVGTMKGNRLQDALYFRDQMKEMGLQPDVNIYNFLISTCGKCKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVSDMSAAGLGLNKYCYAGLITAFKNKTPTTEDTMAKILDFVEQSKGWKYVERVSKDNAENVMMNVSEEELYNLPTAEYVNRRGGFVLKQFTVYHVALHACAELGSKEFLPKFYFLKILQTLEALLDMFNKDNRDGSTYDVFMVMQAMRCYLRCGDIDSAVKMFEEYTSSRVPAAELYVTLAEGAMIGYTPRGMQVAQETIEKMVSRNFFLNPRMGTDLLLAAAGERTGGYTTANYVWDILQSRNIIPSLPAVEAYHKGLKEREIPADDPRLLNVTRVLDNLKLRFGPRRNIQ >DexiUA01G0020800.1:cds pep primary_assembly:Fonio_CM05836:UA:43102886:43103400:-1 gene:DexiUA01G0020800 transcript:DexiUA01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHQIEDLPCDPEDVFDEYDEMTRKGTQPERGPLQDYIGQQVGRFANESLDALSVPIGDPEEASRLRAFVQRFHRGCRKIAFKLNCMASRDPHEHGAPSSSGTRAASTSRSS >Dexi3A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:3A:4957776:4959695:-1 gene:Dexi3A01G0007310 transcript:Dexi3A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATTTTNTPRAVPPLLADVEVSNLPGFDVTPSPRPQQQDATAASTATTPSPRPPPSPKNPSSRRGGVPPLDRVPRRSEVVFPPLDSPFQPPGYRAVQPVSISLPASPSGFPAVPAAVVPGGGDPNGLRRQAMANAAARGEPEPSPASREKEGGSVRFANQPDSKVVFRSQPIPGGKPAPARPASGRARAMSRDRRYDSFKTFSGKLERQLTHLAGGTAEVHEEDEEDGAGNEDAFTSASRSSSMPKVDRFFAALEGPELDQLKSSEELVLPSDKKWPFLLRFPVSAFGICLGVSSQAILWKTIATSAPTMFLHVGTKVNLVLWCVSVALMCAVTATYALKLAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPASVATHLPTWLWYALMAPFLILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFSVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGYGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVVDNTFTRALCVALSAVAVLTVTGLFVTTLVHAFVLRKLFPNDICIAITERKMKPIMELHESQQGEDGSTNSNDDIEAGTK >Dexi7A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:7A:27804793:27807656:-1 gene:Dexi7A01G0018390 transcript:Dexi7A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSLGETTMRVRPSTTTPMQHCWLRRGDAAMEQSFQRWAVKASILVRPGPTAALSAATRGDAVDSVDGGRGDGRRRRRSGVAWGEEAVDGERGGGGSRGETRERRKDGTGCVDAAAAAEELRLAVGVGHHLPGQRVVRHQRLGQRLLERRRGGRRLEVAEEEAHV >Dexi7A01G0018390.2:cds pep primary_assembly:Fonio_CM05836:7A:27804793:27807656:-1 gene:Dexi7A01G0018390 transcript:Dexi7A01G0018390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPSLGETTMRVRPSTTTPMQHCWLRRGDAAMEQSFQRWAVKASILVRPGPTAALSAATRGDAVDSVDGGRGDGRRRRRSGVAWGEEAVDGERGGGGSRGETRERRKDGTGCEFKGNNTWNFVLSIASFHSYLNCHNAAGLLGVGGHEPLDALVHGADPDLPQLDAGSRVGHREVDAAAAAEELRLAVGVGHHLPGQRVVRHQRLGQRLLERRRGGRRLEVAEEEAHV >DexiUA01G0003080.1:cds pep primary_assembly:Fonio_CM05836:UA:6404782:6406102:-1 gene:DexiUA01G0003080 transcript:DexiUA01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEQILGRGSQDDRADAAAAGSRPFRHFVERLTGGGAAPTSRASSSLAGADSTDARVGAGGVDPASLTVHFLRHSCGLSEADAAKAAERARGRLRSTKNAHAVLALLRDTLGMSPATVARLVAVHPAVIKSSTIDAKIDFYLLELGLTAAEVRRFVLASPYRFLNPGLDGRLRPNYRILRDLLGSKENVLAAVKQSIELIYENLDVVLLPKLQALRDYGVTEDVLIKLVITHPKALVHRSSRFNEGLAAMRDFGVSPASGIFPYTFGVFAKIYQSKWDRRLENYLSLGWTEAQVRRAFIRHPYCMSRSDDKVRQLMCFLSEKLGWGPEYVASSPTVFSFSYEKRVLPRYKVLKILLSRGVLKKGIRMGHLTLSEKKFMENYVIRHQDTIPEVLEAYGARAGAVK >Dexi2A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:2A:3777808:3778441:1 gene:Dexi2A01G0004210 transcript:Dexi2A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTWTNVFGFKPVEPSKKQKIKSVNLLIINGTGLLEKRLLPTRTVDGQTIAKPEIPCSSRLTQMTSKKKNAEK >Dexi3A01G0028300.1:cds pep primary_assembly:Fonio_CM05836:3A:28830826:28832157:1 gene:Dexi3A01G0028300 transcript:Dexi3A01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEPAESSPSPEPELTHSPEPEIPCHDNRNWKADMMSALGESVSFGRYLTEPLEWGKWSAFAHNRYLEEAAVQARPGSVAQKKAFFEAHYARKKRKSEDHGAAADDADGGLEEAAEEEDGGTGTASWPSSPAESSCMTDQAPAPGDQEMCGDGETGVVTCGGCGASDELVEVTEELAAVTNAVGPSCRMDEHVDELRHAENGNEQVAGPVLASLEKKDVCSSNLVAVDAIEKQPLKESSIINQDITDSAKKRRIQMSSLFQKPTRFRSPPSGKKGQSSSVKRRSPLHSAKENTSPPGSRNLGTTIAERISQLESASRPVETTRLEEFGPPRNVHTDF >Dexi1A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:1A:11553394:11560283:1 gene:Dexi1A01G0012030 transcript:Dexi1A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDAAGAERSSDQHNCKKPRLGTDDEMPVADALAPGGSGGGGGVESAAAGADCSGMTVEEIVQHPLPGYGAPVALSFCPDDRRVAYLYSPDGTLHRKVYTFDTAQRRQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRAAHSGGHSFRTGIVVPLPSGVYFQDLSGSEPVLKLQSSPTSPIIDPLLSPNGSMIAYVKDDELHALGFSNGETKQLSFGARESRKIHGLAEYIAQEEMERKMGFWWSPDSKHIAFTEVDSTEIPLYRIMHQGKNSVGPDAQEDHAYPFAGAANVKVRLGVVCSHGGEMDNRGSARRGLHFEGQLKYNIGRVDAEDQLEGAEWLIKKGLARPGHIGIYGWSYGGFLSAMCLARFPDTFCCAVSGAPVTAWDGYDTFYTEKFMGLPSEHPDAYEYGSIMHHTKNLRGKLLLIHGMIDENVHFRHTARLINSLMAEGKPYEILLFPDERHMPRRLSDRIYMEERIWDFVERSL >Dexi4B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:4B:16198633:16199842:-1 gene:Dexi4B01G0014980 transcript:Dexi4B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRSTTAFLVLAVVVLAVLGGAADAQKLSPNFYSKTCPNVATIVRQGMASAVQKEKRMGASILRMFFHDCFVNGCDGSILLDDTATFTGEKNAGPNAKSVRGYEVIDAIKTQVEASCKATVSCADIVALAARDGVNLLGGPTWSVPLGRKDSRTASQSLANTNLPGPGSSLATLISKFGNQGLSARDMTALSGAHTIGRSQCQFFRSRIYTEPNINATFAALRQGTCPRSGGDANLAPFDVQTPDGFDNAYYQNLVAKKGLLHSDQELFNGGSQDALVRQYSTNPTQFSSDFVTAMIKMGNLLPSSGTKTEVRLNCRKVN >DexiUA01G0002310.1:cds pep primary_assembly:Fonio_CM05836:UA:5212464:5213757:-1 gene:DexiUA01G0002310 transcript:DexiUA01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRGLNGIGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPIAFLGIPGWRLAFHAVAFVSAALGALMWLFAADPRAKPKGAGAAASAAEEARELLGEARRVLSVPTFQIVVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGLIGDPVSRRFPNTGRIALAQISSASALPLGAVLLLALPNDPSTGVAHAVVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYTEIAVPMAICCVTYTFLYCTYPRDRERARKELLMASGDQLGEETTDSEASAARTREDEESSVSSLNQRLISRGE >Dexi6B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:6B:22025185:22025837:1 gene:Dexi6B01G0014530 transcript:Dexi6B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLPLLLPHAAAVLVTTAAAGPPIPADRSSTSRETKKSGSRRKRSKRSDSEDSGTRYRAATAHATSGNNVAKKEDEEEAIRSRETAEARSRHAWINAAVRLAEFEDELKARRENMAPEEDLRLRNKRDELRLLLVRES >Dexi2B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:2B:29338890:29342164:-1 gene:Dexi2B01G0019170 transcript:Dexi2B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRGCLPLVVALLVAAVLCRAEAAVRELKVGYYAETCPEAEGIVRDTMARALAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMLGEKDALSNINSLRSFEVVDEIKEALEERCPGVVSCADIVIMAARDAVVLTGGPNWEVRLGREDSLTASQEDSDSIMPSPRANASALIRLFAGLNLSVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPNMDCAYRRALNALCPKGGDEEVTGGMDATPRAFDNRYFKDLVALRGFLNSDQTLFSDNAKTRRVVKRFSKDQDAFFRAFTEGMVKMGELQNPRKGEIRRNCRVANGVPPSPVTPKEVAPYRVLDF >Dexi5A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:5A:21913482:21917451:1 gene:Dexi5A01G0018410 transcript:Dexi5A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVDNEEPVRRCKDRRQLMKQLVRRRPELAAAHIAYLHALRNTGATLRDFAELESALSQPPPVGLAAPPSPPHPPPPPPEPSMTSSMPPSPRPPPPLPFSPITTIRKMEKRDDDLPPPSLAFRPPGIRVRKIEKSDDELLPPPLAFSPPRIRTRKMEKRDDELQGDDSVDDDDDTDSCSTPLPPPPPPGVAWEYLDPYSSINFPSPFADRNYKEVASQASMDDDPWVETNLEFDGDEDESVLGKDDGLVNRVQMNPAKSRASGDENSSMVGWVTKDSDSTAVPRRSTKSLVEIVKEIDEYFLKAAASGSDVVILLDSACGRPDISDVDAKKGKKSRSAKAFSTLSWSWSFKSQQANSESSILNSNDASGYGYHGKTLEKIYDEEQKLYKLVKDEEFARLEYKRHISILQKLESGEHDKLHAERVRDAIEELQTRIISLEEAVSLACFSISRLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQASRLGNRPGTDPTSDTHFQATSQLEIEVSGWHNAFCNLIALQREYISILNQWIKLTDCLPDDDGLMKSSSGIRSLSEELQRSLERLPEKVAAEAIKTFIAAIHSIVVQQTEERQLKKKSDNMESKFQSQLEKHSENAMQNSAQPPNKNHSSVSKNDMKLDAFRKQVELEKARYLTSVRTSRAMTLNNLQTSLPNVFHALTGFSGVCMQAFEGISRCSEVTVSHSGAVSPAICA >Dexi7A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:7A:19064958:19066798:1 gene:Dexi7A01G0007800 transcript:Dexi7A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPVESASARSPSKIPEFPVGPAGRLVPAVGLGTSAFPFQFVEEEVKAAILAALELGYRHLDTASLYLSERAVGEAVAEAARRGIVASREEVFVTTKLWCTQCHPELVLPALRESLHSEKVAALIDLFIYRNLQMEYVDLYLVHWPMAIKPSKPDFPIKREDIMPMDLSGVWQAMEECHRLGLAKMIGVSNFTTRKLKELLATANTPPAVNQISLRWIYEQGVSMVVKSWKHERIKENIEIFDWELTDNDRLKISQMPQHKMAIVTGILSPEGVSSVDISEVDVVEM >Dexi9B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:9B:9192048:9193077:-1 gene:Dexi9B01G0013700 transcript:Dexi9B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYREKCELGAEATYEVPGPTSLSKAALNQVSKILMDADTVMLEMEIVNNFLMEDVIETVDNSISLDQLQMMEKELMDEIQVVHSYNDNGANFCCPQLIEHNSQYPQTLIDEYIADLANYAFGHP >Dexi1B01G0029310.1:cds pep primary_assembly:Fonio_CM05836:1B:33655517:33658539:-1 gene:Dexi1B01G0029310 transcript:Dexi1B01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDAATEVLVPLAAAIGIAFAVAQWFLVSRVSLSPSSRHDDKDALLGESLIEEEEGLNDHNVVARCAEIQSAIAQGATSFLFTEYQYVGIFMSIFAVVIFVFLGSVEGFSTRTHPCTYSNGTECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYVAINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIIVCLITTLFATDIFEIKAVKEIEPALKKQLIISTALMTVGIALISWLALPAKFTIFNFGEQKEVSNCPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIPALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGRAALEMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIRQMIPPGALVMLTPLVVGTFFGVETLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARALGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGILFKLF >Dexi3B01G0031980.1:cds pep primary_assembly:Fonio_CM05836:3B:34033318:34035011:1 gene:Dexi3B01G0031980 transcript:Dexi3B01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVVDDKGGAIALMLVSLFLLGTWPALLTLLERRGRLPQHTYLDYSITNLLAAVVMALTLGQAGQSKPGMPKFFTQLAQIQDNWPSVLFAVAGGISLGFGNLVLQYAFAFAGLTVTSVVVSSLIVVTGTTMNYFLDERINHAAILFPGVGCFLIAALLGAAVHASNIKDDEKKLSMSGKPFQKQLSRGVEASIDVTCSAASFSIFTPAFNVATNDQWHTLRNGSPHLVVYTAFFYFSVSCFVLEICLNVWFLYRPMADVPASSIRAYIEDWNGRHWALLAGLLCGFGNGFKFMGGQAAGYAASDAVQVFLHQ >Dexi2B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:2B:21951392:21955989:-1 gene:Dexi2B01G0013440 transcript:Dexi2B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCGGGDGAAEGTLARWRKAAVKRIGLSCASFFSYATAPSPPSSKTISCSALNAPADGSDGEQQKMEEPTSTRVADKNICAICLEHLSTRSSDVDNIERPAIFTAQCFHSFHFLCIASNIRHGNVTCPICRAQWSELPRDLKVPPLLHNQSDPILRILDDNIASSRVNRRSSVRAARYNDDDPVEPYTLTEHVDPCLRFALIPAPVATHHHVLGHYPCGRMLPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALVVFSMRTIDRLAIVTNATTATRAFPLRRMTSHGKRMALQVIENLCCVGGTDPVGAIHKGLKILEDRAHQNPSNCILHLSDHPVRSCVGVDMNHSNIPVHQFHVGLGFGVQSGFIMHEFEELLARLLGGVIGDTQLRIGEHGGMVRLGELRGGEERRIPLDLVADCGFILVGYSYLEGGREDQLRTGETAVGFEEKGDNRYCGARDMGLSIGGERRSCCVERRDYHDPFMARRWAKHFNVYRA >Dexi9B01G0046000.1:cds pep primary_assembly:Fonio_CM05836:9B:45405583:45410947:-1 gene:Dexi9B01G0046000 transcript:Dexi9B01G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRELLACARACRGLREAVAWDPLLWRRLVVEPPLSNRITDEALLALADRARGTLRSLHLLGCPRVSDAGLLRVVQRNPGVTEVPRRPPLPVHEVSWLDCSWSHARVVRASDAGNRLRLRLRPPPHRTSSYPDPIADRRPSPRGNIWNPRRRSPHAVPLTDPTLAPRPPPPPPPPPPLAQSPPPFVRRRVEPQSPDRIRARTMATSADPHAAVAGAGTPPSARSPVAAKKAGAAVAAWKLPGAAAVPAAVVVESLIIDSESWPALPGLASPPPLAAGPAAKASPKAASPVSTGAVISTVSLGDSGAPDANPANGGAPVRSPVARRALVMPVADGPEKNMPAPELSPVYVPNARSNGGDPHHQNGRFGSHPHGRGGGYGGANRRGNGGGGGRRGNDHHGGFDGPRRGGGRRDGHGPLHQQRGHQPTYIRPPPALAVVAGAPPPPPPFVSPATPQTPPYGTPMGFPDIAPHVYYFAAPHPEGIQGLPFVSHPASPQAILIDPLRKELLRQIEYYFSDGNLCKDIFLRQRMDDQGWVPLALIAGFPQVSLPGDKLRRRGTWENWLLPKPNYSTGSSSGSLSPVTSNIDLLASQLHSVGLEGAPYHANMQGMPGEALLTRSVTSVSLGHAPNLSGLHNNGSGPLFGAKAARNLLRSDTF >Dexi1B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:1B:577865:580892:1 gene:Dexi1B01G0000650 transcript:Dexi1B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVLLYRTVVFHDYPSQADPPVTAVGGGDDGGGAATASGVVGAASSEAEPPLSVLDAMEEAEAKYLLAMKSDLQVVDSPGVSRFTMVRPPSSDPTPEYGRLRPHCFVAAGDSNLIVLYAGSYTPSSSKGFYLLVDTASSSLSTIPGVREDPHSQHYRCAGYGTVIMARQDGAFVLAELLFAFNLRAKTARAMLCLWHSSSEQRTSEWVYKFGHLPAQVFYPWTLHTSFPVQSRNLFCWVDLLHGLLLLDLGRQHCESEVDSALDLPGMSFIPLPDGCHISERNRWALHPQDFRNMACVDGTIKFIAMDGFVLNGIPITLVTYTLHLDGPSPSWTKDTELRLEHLWADETFISIGVPRMKPVFPILSTQEHDVVYLAIPATHVDDVDGYKIRRVEYMLSVDMRNKRVISATQNNCPRIWTALRDLLVFHASQQGSKNHQTNPMFPSFMPPPPPSPRSRRAPPSLAHRPHVPASSTPDHRARPPLAPPLPLFRTSLLNMCVAAVATLFATPPPRSCLVHT >DexiUA01G0018020.1:cds pep primary_assembly:Fonio_CM05836:UA:38543687:38544199:-1 gene:DexiUA01G0018020 transcript:DexiUA01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPRLPPLPGSRCTAVSARAVNHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSFPTVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLHLLCLSKPRSKLPLAFGSKTLTWVADALRRTPNPPAFILIDCPAGHLYSN >Dexi6B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:6B:11147868:11148318:-1 gene:Dexi6B01G0008810 transcript:Dexi6B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQILETTGGSRLREAAKHGGKTREFDYGMLILVCGVGDKRPDNVWLAAEGVYLPVIQRK >Dexi5B01G0036870.1:cds pep primary_assembly:Fonio_CM05836:5B:36458325:36460519:1 gene:Dexi5B01G0036870 transcript:Dexi5B01G0036870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGVGSKEVVSFLLGAALPTALLFLLASDRLGEGMSSISRSWGNGAVLPAGADPNEVIFQGLPELLQKVAMENRTVIITSVNAAWAQPGSLLDIYLDSFKNGEEIAHLLNHLIVVALDAGGFERCKAVHPHCYFLNVTSIDMSSAKAFMSQEYLELVWTKLTFQQRDCDMVLFRNPFRHFRVYADMSCSSDDFKPSRAPLDQPLNTGLYYIKSTNRTIQMMKYWRAARERFPGHHDQSVFVKIRRELVRNLQVKIEPLETVYFGGFCEYHDDPEKVCTIHACCCVGLDNKVHDLKDFAADWKNYTSLTPELRQKGGFKWTYPTRCRGSIGWRKP >Dexi8B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:8B:26320985:26321368:1 gene:Dexi8B01G0015560 transcript:Dexi8B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCVNNSRRGYAYRCFMGARHADELREVQGEIGFYLQLFPLVSYVDATLNWVRLLNKDGDNSSCQEVQPLPAQS >Dexi7B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:7B:3858999:3860795:-1 gene:Dexi7B01G0002290 transcript:Dexi7B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVAKAEFADMPALRGKDYGKTKMRYPDYTETESGLQYKVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKVGSGQVIPAFEEAILGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPMTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNQ >Dexi3B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:3B:1244083:1244491:-1 gene:Dexi3B01G0001730 transcript:Dexi3B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLDQLVDWEVSSNALQGLAPGDERPGNETCPRDLGSSVCHSSYSTCRATSGQYKPPTNATGYVCRCHDGYQGNPYLIDGYQRMLASG >Dexi3A01G0035790.1:cds pep primary_assembly:Fonio_CM05836:3A:41065231:41065719:1 gene:Dexi3A01G0035790 transcript:Dexi3A01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPEAAFAVLSVLGVVTVAVLLRACSRRAAPAPPRRSEHDGHRRLRRRHRTDAFFAGGVADAEAGLDDAALRSLPKVVYGDSDEEAGKSPATGTACCAVCLGEYAGGDVLRVLPACAHAFHQRCVDRWLRLHPTCPVCRSPPVASHAAATPATADAAAAQP >Dexi2B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:2B:6128857:6136541:1 gene:Dexi2B01G0006390 transcript:Dexi2B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGPLSLFPSTPPTPTPPHHELCRPWRSKQRASPRRSPPPPSSPADPLPPSSLSPSAAASLSSAPSPAPQAGTGXXXPHPTPPRTLPPMAIEAARLSPSLAAAAFLARRPPPALFPFSLRGRVALLRALASSSGGDGRVVALSSSELRKRRGLSSSSGAAGGDEKLRSLRRLFGRPDVAIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHEWTLMRSGNHGVPTTSEWLNDVLPSGCRVGIDPFLFSFDAAEELKYSISNKNHELVLVGGMNLVDEIWGEARPKTPEKPIRVHDIKYAGIDVPSKLSFIRSQLAENGCDALVISMLDEVAWLLNMRGSDVPNSPVFYSYLIVEVSTATLFVDSNKVSEDVLEHLEKAGVKLKPYETIVSEVESIQFSYTGYGANGAIIHYRPTPENCTSVGRDNLFLLDSGAQYIDGTTDITRTVHFGEPSLRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGNMFSFLTRQKLSLSGTIGFRHSLKFIPCNNLLLIKELNLANSFGGISYLGFEKLTFVPIQSKLIDTSILSPSEINWVNDYHEEVWEKVSPLLSGDSRDWLWKNTRPLLDVTQ >Dexi8A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:8A:16310481:16310945:-1 gene:Dexi8A01G0010070 transcript:Dexi8A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRSSTSVARAPRQDDDWRVVVRVRCEETAAAGSVERMHACMQWTGCELGRGVVLQAKTDKWTGCKLGRGVVPQAKTDKWTGCELGQGVVLQAKTDKWTGCXXXXGAAGKNGQVDRMRAGPGRGAAGKTDKWTGCELGREAMLINVLSCDV >Dexi2A01G0030340.1:cds pep primary_assembly:Fonio_CM05836:2A:41305338:41309374:-1 gene:Dexi2A01G0030340 transcript:Dexi2A01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVGGGGVGGAGGWGTWEELVLGGAVLRHGGAAWPAVADELRTRSPCAFSPEECEAKFAEIQSRYSACNAWFEELRKQRVAELKRELEKSENSIGSLQSVIESLSNSKHGDGSSECGTTESCSQSENTADNSSGKEASRDRSSAGSFTEEASNIQKSQQVQLCDTDSIQANNPSSDEPHPQDQVEKVCPKDSLLWGSRKQRGRRARRTIMKCDDSSRDGEPTSTACIEREGSSEGCTKNLKNPKVESTVMKKGFKTPKVESGVMNKDLKTPSVGSGVKKGLKTPNGESDVMKKGLKTSKAESDVMKKGLKTPKAESDAMKKGLKTPEAESDVMKKGSKSPKAECGQPVSERIKQKLTEILSNISTQGDCLMLQRQLDAQRKRVRYKKMIRRHMDFRTLHSKIKNGAISSTKDLLRDILIFVNNVIAFYPKATLEHMAAVELRDLSAKIVDQSASLLLKNRGETGTSGASVVKKNAKALQPGRPGPGNARGSKVSSREATAKEGEGKSSRSDSSLTDNQETIQRNEPVKKRGVGRPPKSEQRTAGVQEDNLSKGRKRGAGAQVDSPSKGRKRGAAAQEDSLSKGGKKSKR >Dexi3B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:3B:795501:796687:-1 gene:Dexi3B01G0001050 transcript:Dexi3B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGNKGKSLVSGGGSGGHGGSEMMEAMRRQQELVMQLRALVLPLLYAVDATSADVAVQLFDDVIGCNISVASKLEGFLMMMTTTGAGGGPVDDLLDDKSLVRKSNSTTTAGSGVRTTEQAKPNGVGQKRRRNDKRSRSLVTHVPHYDGHQWRKYGQKNINGRQHPRNYYRCAYRERNCLATKTIEQQEQNGGTSSAMAGEESAKYTVVYYGDHTCKDYSNSMSMAQTPRQHVNMDLRNGEMVQTTTNAQEPEEDLDLPALLEVFESSLINLDDWNEDMISSSPV >Dexi5A01G0023690.1:cds pep primary_assembly:Fonio_CM05836:5A:27644005:27647005:-1 gene:Dexi5A01G0023690 transcript:Dexi5A01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSYFFVSAISGSGSAGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVFDRRYAGDPVVSLEGVKSQLQGLSTEVSEAYNVIPNLDLLIPSLLREGAAFSGSSLAMVSGTPIPPMLARITNGLNQSLKAFSGKSFTCEYKYDFLINITSRYDGQRAQIHRLLDGSVRIFSRQMKESTSRFPDLVNIIKDLCRPEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGNKHSSIAIENIKVIMRFNKHITALG >Dexi9B01G0025950.1:cds pep primary_assembly:Fonio_CM05836:9B:27573582:27574122:1 gene:Dexi9B01G0025950 transcript:Dexi9B01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVRAGCLSFFCPCVAFGQVAETIDKGVTSCGMAGAMYCVLLHAGVCLLHCIYSCSYRRKLRALYGLPAEPCADGCVHCFCEPCALSQMYRELKNRGADPANGTMLSCQ >Dexi4A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:4A:2436093:2436728:1 gene:Dexi4A01G0003390 transcript:Dexi4A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPSVAVVPADRRASAIRRFPPGCGRRRRHNAAATRLPHADPLPITSSSSSSAAAAAKPPLPNPSIARAAHKAAPPRPRRADSAAAASGAEGNRRGVESGRKAPAAAAVNVRSVSAVRRYPPGCGRGDAVSKRKAPVGEAGAAGAQEKPWVVNGLMAVPFMPWAQHGRRKSQPNA >Dexi6B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:6B:16864701:16870911:1 gene:Dexi6B01G0010480 transcript:Dexi6B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADTDERPLVELAAVAATRTPTAASSSPQAPFPAAPFPGPTTPGFSRAVRCNAPSSFPADGGGAGQQAAEYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVMLPLVVVVSAAMVKEAVEDWRRKQQVSRCSALLYGFKKSLGLMIADPGPPEVETSYGEIAETTGNYGHKDATESKRIVKGFNFTDGRLMDGRWVKECSRDAVEMFFRVLAVCHTAIPVADRNSAGMPYEAESPDEAALVTAAREFGFEFYNRTQATISVHEYDPDSGGKRDRTYKLLNTLEFSSARKRMSVIVRTEEGRLFLFCKGADSVIFERLSKDSGKACLTKTKCHIDEYSEEGLRTLVLAYCELTEEQYSLWNQEYQAAKNSVHNDHDEAVEKASEDIEKDLVLLGATAVEDRLQNGVPECIHKLAQAGIKIWILTGDKLETAVNIGYSCNLLRKEMKEICITLDNSSKSASEGCSEEGNRMAPYEEIDRKLQDARRAIFMKGASTSFSLIIDGNALTHALTGTLKKSFLDLAVNCASVLCCRVSPKQKALVTRLVKIRTSKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPAYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVCPFTTSRWRE >Dexi5B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:5B:4461597:4462438:-1 gene:Dexi5B01G0006650 transcript:Dexi5B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTSTLKMKLLVDTKAKRVVFAEASKGVVDFLFSLLQLPVATIVKMLGTWSMPGSIGNLYWSLVVLDDDYILPGAKKKSVLKPAVVPSAASSLLLPAPASGASRCFYKCSSRIYSRCSDYVTETRGMKCPSCHEKMKTALKFVADDGSGSIMAASTAMATGAAAAKGGLVQGVVTYTVMDDLTVTPMSTISGISMLNAAGVADFAALQEKTVRIGYAEGLAIVKASLHSKTVLTDVFLSNKRPRV >Dexi9B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:9B:4117798:4120776:1 gene:Dexi9B01G0006850 transcript:Dexi9B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTGLWGGKAGGDAYRGTPVVVKMENPNWSISEISSPEDDDEDILAAGARRKGGRSKNAKQIRWVLLLKAHRAAGCLASLASAAVALGGAARRRVAAGRTDAEAGVVAAAGESPVVRSRFYAFIKAFLVVSLLLLAVEVAAYINGWDLADSALALPVIGLESLYASWLRFRAAYVAPGIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPKPKSSALPDAEDPDAGEEVAKWQQQGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLIQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHLKTGSAPNLDAVAKEQLASKKDAKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQVE >Dexi3A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:3A:6039469:6040665:1 gene:Dexi3A01G0008610 transcript:Dexi3A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENTGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Dexi9A01G0028940.1:cds pep primary_assembly:Fonio_CM05836:9A:33694109:33699686:-1 gene:Dexi9A01G0028940 transcript:Dexi9A01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQRCLGPRPTLAPFFSFPSPTHGWLIPLLDFRLLLPLLLGGGGGGGGEASGGSTREKPRRLRDTGNTNCEAMATQEESVGTESSPSSDQSITIGLAVSSSKSSKHAVKWALKNFGVRERTRFMLIHVRQKVTLVPTPLGNYVPVDQVRADIASAYEKEVECEAQNMLLMYKNMCNGKVEAEVLVVKGDDVAETISGVVSACQIHKLVVGVSSEGNFMRKSKGNRTSSRICKSVPSFCMVYAISKGGLSMVYSPGSEGDSSSEVFQVNESSSSELYSDDKLSVSDITPSRISRSNLPRGDLDSSSSADHNRPRSLQEHLTGSTLTSIVDKDQSSCPRGTDKITESSNLPISDKSPTVSRALQELMRSEDKASTPCASGHISAPTNLPVSDKASTVKSALQELMLSEDKANTPGSSNLPMTDKATTGNVNFEREKLRITIGHMKGVCKLVHDESTIASQQMIDLIAKRAQDEAHLVEVHSRINTAIEAARKEREQRCAVEAQARHVRDLANEEALKKQNLQLRVSREADNIQKLEKLLELGGKPYITFTWEEMESATSSFSEALKIGSGANGTVYKGKIHQKTVAVKVLKSDDSRITKHFKQELEILGKTRHRHLLLLLGACLDRACLVYEYMENGSLEDRLQCKGGTSPLPWYHRFRIAWEIALALVYLHSSRPKPIIHRDLKPANILLDSNFTSKIGDAGIATLLPATDASSTHTIRKDTDLVGTLFYMDPEYQRSGQVSAKSDVYALGMVFLQLLTGKSPMGLANTVERAVEERHLVDILDQRAGKWPVKAAYELAQLGLGCLEMRSKDRPDLKSNVLVVLERLNNIASTACDSAQPVPTAPPSHFICPILKRVMQDPCIASDGYSYERVAIEMWLHENDVSPLTKIKLPDKNLVPNHALLCAINSWKGEAGAKWAH >Dexi1B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:1B:24343009:24345999:-1 gene:Dexi1B01G0018010 transcript:Dexi1B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEKMKAPADTEAASEDIDEVIELPDVPTKVPERPEAPEKTKVLEEPLPA >Dexi9B01G0030010.1:cds pep primary_assembly:Fonio_CM05836:9B:32490042:32494287:1 gene:Dexi9B01G0030010 transcript:Dexi9B01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPRPHHFLFLLLLFLPCLFPSQMAPTTAAAGSDDEASSSALLALLLRVQTEALGTLGPGGDFDPKMYVDLPLATDERAAAAALPPASPGPSREELASYLARYFGEPGSDLVEADPPDFEAEPRGFLPRVESPAARAWALQVHALWKELARRVAPGVAARPERHTLLPLPGRVVVPGSRFREVYYWDSYWVIRGLLVSKMYDTAKDIVLNLVYMVEKYGFVPNGARSYYTNRSQPPLLSSMVLEVYGATGDVEFVRRAFPSLLKEHSFWMSEIHNVAIKDSHGQVHNLSRYQARWNKPRPESATIDEELASKINSIADKEKLYHQIASTAESGWDFSSRWMRNSTDMTTLATTYILPVDLNTFIFKMERDIAVFAKLLGENATSEKFLEASKARHIAIDSVLWNSEMEQWLDYWLPTDGDCQKGVYNWKSESQNRKIFASNFIPLWLNAYPSGSVQFADAAKSERVMASLQNSGLLCAAGIATSLTNTSQQWDFPNGWPPVQHLIAEGLLHSGSEEATRLAEDIATRWVRTNYATHKATSAMHEKYDVEACGKFGGGGEYKPQTGFGWSNGVVLSFLEDFGWPEHKEIDCPRRGEVVLAGTQSG >Dexi3B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:3B:15239415:15245705:-1 gene:Dexi3B01G0020240 transcript:Dexi3B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVDFASGKNLTLTAWASPSDPSPSPVVAAMETSGDPEVIVWNGDAKVWRSGPWDGVQFTGVPDTVTYKSLGFTFRFVNNKQEATYSFQVRDASIVTRLALNSSSTASGLALQRWTWLDAARAWSLYWYAPKDQCDAVSPCGANGVCDPNAVPPCSCLRGFTPRSPATWALRDGRDGCVRATPLGCAKRTDGFAVLTHAKVPDTARAVVDYGSSLAQCRERCLSSCSCTAYASANLTGEPGHRGCVIWTGGLDDLRVYPGYGQDLYFRLAAADLGPRGNQDFYQTYFFTIYYAVSVSKSKKKVNTVVAIIVSITVLAILLAVAGFFIWRAKKTKSRKQGAWRAGPRSKEGSEEKDNGDDLELPVYDYETIAKATEGFSKQHIFVFFYENSQSFLTDTTKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGNEDAEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVLVLEIVTGKRNRGVYSYSGHLNLLAYAWSLLNEGNGLDLVDENLNGSFDPDEVLKCLKVGLLCVQENPDDRPVMSQVLMMLASNDTASLPTPGQPGFAARRAAAEDTSWSKPDCSVVDSMTITMVEGR >Dexi6B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:6B:873233:873911:1 gene:Dexi6B01G0001040 transcript:Dexi6B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGGAEYAATSGWALFLNNMRVIDLHYTDWAEILLEDKIEFMANLMELNLAGFKWPRWTSSHQMHKRLSNLQRLRIIRPNYDEAAAQTMSIWTAMGQHHPIGHQLLSYHQKCPVRSNHKLMQAIGGRRVLPRPPSYHYEDVDAWTSRSCVGFPTSWS >Dexi9B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:9B:1126757:1127546:-1 gene:Dexi9B01G0001960 transcript:Dexi9B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKVFVVGHDWGATIAWYLCLFRPDRVTALVNISVAFMRHVFIRAGVGATVKPSDYLNRLYGPTYYICRFQEPGVAEKEYSPANARNIMRRILSMRFTPESSGGKKPEAADAVADGSLPPWLTEADMC >Dexi1A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:1A:26730072:26736482:-1 gene:Dexi1A01G0019700 transcript:Dexi1A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNYTSTDSHDLAIRTPILYVCTSRRLGTERIKAERAHQTTRDRPTQDTESPGYEETVGDLGHACRRNTGLPDRESGGRRDRDACLRAAVDDVYEDPRAVAPPARRPGWWPGQRKESETGSVWVRAPGVRDTAAAKIIMAHTTPLLVSWYPRTDSLLSSPQQAKPIDESLSSLSIVKPLQQNQPSQRIPSIASFLLHRVQVDDGKKPELKLLPPPPRGLGSPYRTGRPNRRPAVAVNRLILSSHPRAMNRKPSSPLRQRFLESITSPPSSAANLPPHRRRCAHTTGESRFLGSVSTRLLAQNCYVFIGVRFTVLETPPPSNDYTAPRLLPARLRRTDCTASTDLPASNLYYYFEQGQSRNIMSSDDIPPAGNGATDAPAERWMSSCEERNDDDQADAQQLRFGYNRTLQNSLPTENVFSFGDAAFIGTKCARPRSTLGEWRSSKRSCHHVHIHPWPLALGSKHPGATPFHSWTAPCNLHISTYTNGPMPHVRRPPWPAHILLSLALQELQPLLSAKNRQENTGRWIKLAHAAGLFQKDSPTPPDSELPC >DexiUA01G0011090.1:cds pep primary_assembly:Fonio_CM05836:UA:22214438:22215662:1 gene:DexiUA01G0011090 transcript:DexiUA01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIVNSQKDEITKSNTPMWQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLCEAMNTIDESTGLLRGFMLISRHNAAPSALYTVSCRDESWKSMAKYCVEDLPELLKAESLDDIPTLLSRFINSLPANAGSLIKWVVEVRRREEDGLCLSKEEKERKMYYSKFVIPSYL >Dexi5B01G0037090.1:cds pep primary_assembly:Fonio_CM05836:5B:36629654:36630925:1 gene:Dexi5B01G0037090 transcript:Dexi5B01G0037090.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQDATASDLQSRLKEITTWSEQNNTDESDANELEKLEKLLTNALRDTKARKMLAKQNNGGAGGSTSSQNRSGPRRQD >Dexi5A01G0039760.1:cds pep primary_assembly:Fonio_CM05836:5A:40206156:40206859:1 gene:Dexi5A01G0039760 transcript:Dexi5A01G0039760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYYGGMYSSTTDECYESGKHGGGRRMYSHTDEECYDDVDRRRPGAYADDCYNGAGGYRQTAVYSDEYSRGGYGGERESFRREEKEHKSKERLGELGALAGGAFALYEGHRAKKDPEHAQRHKIEAGVATAAALGAGGYAYHEHREQKEARYEGNQFQHRVPHGYYCN >Dexi6A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:6A:15615301:15619692:1 gene:Dexi6A01G0010950 transcript:Dexi6A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAAAAASALPASAGSGENLVLILDYGSQYTHLITRRVRQLGVLSLCVSGTAPLAALEGLRPRAIVLSGGPHSVHAKGAPTFPQGFLDFADGAGAHVLGVCYGMQLLVQSLGGAVEPGEQQEYGKMDVEVTTPSSALYGEAEAGKRQTVWMSHGDEVVTLPEGFEVVARSVQGAVAAIENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIKTIQSMVGPDEHVICALSGGVDSTVAATLVHRAIGDRLHCVFVDNGLLRYKERERVMSTFESDLHLPVTCVDATEQFLSKLKGIEDPEQKRKIIGREFIAVFDDFAHKLEQKIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPDSFLKRHPFPGPGLAVRVLGDVTQGNALDTLRQVDEIFVQAIKDAGLYDKIWQAFAVFLPVQTVGVQGDQRTHSNAVVLRAITSEDGMTADWYYFGHEFLVDVVNKICNNVRGINRVCQDITSKPPATVEWE >Dexi7B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:7B:21569327:21570661:-1 gene:Dexi7B01G0015500 transcript:Dexi7B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVHTRRERQRSGWCNGESSAMTGRNLVRLASRAVSPAIPSSGAGRSLTRAGGRTLRATSPPPPPSIRGSTASWESRSLCRDGDEDWEEVVAAGGEATEEQQGVLFGAPPTDDEVRAAVASIQQVFVDPSTVDSNATELALALPYSGHQSGIFVNHFALDSDASEVRSDEWVDPAMLVLNSSTLLTKEHRSVLDAFRLLKEDTSVQKMVMALSSDEAVWHAVMKNEVVQEFKRSFQDGM >Dexi3A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:3A:15985252:15985701:-1 gene:Dexi3A01G0020080 transcript:Dexi3A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMGHMMHTGTPLRAPTATVHGTNTSATMATGAGSSSRSRRSSNGGAILVYTASTKPTMSLARPCSEGMTSGGPSRPSHSSVTTTVADTAASRAARRRHRFTMAFTWPRPGYGTATMWHGGAATEPIVACRGLAS >Dexi2A01G0031640.1:cds pep primary_assembly:Fonio_CM05836:2A:42369237:42374243:1 gene:Dexi2A01G0031640 transcript:Dexi2A01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASTEEAVKAAKVLMVGAGGIGCELLKTLALSGFTDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNINITPYHANVKDSNFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSKDDSSSKTDVFERSVDEDLSQYAQRIYDHVFGYNIETALANEETWKNRRKPCPIYVKDALPEDAVQLNGSSKDHKVEEHEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLKGDYQNYRMTYCLEHPTRKMLLMPVEPFEPNESCYVCSETPLVLEVNTKTTKLREVIEKVIKSKLGMNLPLVMVGASLVFEDGEGLEPDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELKCSINIKHRDEFDEEKEPDGMVLAGWSGPVDKQVTSNGNKRSVPSSSRADDVNSTAEDVPLKPGMKRKLNEILESHENSDTLQNKSDVGSSSAQLVEDDDDDLVMLDEDPKQGKKKRLQ >Dexi5A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:5A:8208986:8212214:1 gene:Dexi5A01G0010960 transcript:Dexi5A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFVSHSDEDYAEGDGGDRITEVRIGVMDPTSRRTSVIGVKCGMSAMWDKWGAKVPITVLWVDDTIVTQISIFPAAKGLRAWGRSKEGKKPEVGDFRSQGVPLKRKLREFPVTEDALLPVGTTITFRHFMPGKSVDVTGITKGKVSDLLVKEMLLEGFSKIGRCLDAWVMGGVQRTVKNVRVYQIDPARNLLHLKGQVPGPQGSFVFVKDSIFKKPAALLPFPTYFTQGEAEDLEPLIADLGDIDPFMAAD >Dexi1B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:1B:22650489:22650806:1 gene:Dexi1B01G0016060 transcript:Dexi1B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSRPSRSSRPTLVGSHRGTGDHHNPMPSSPSLSIGVAVLPNRRSGQMGALPAMDPSRCPIVMRFSAPHLRGNLSRFPPRQPPAMLHPRHPDLSMIGLGPE >Dexi8A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:8A:532652:532954:1 gene:Dexi8A01G0000790 transcript:Dexi8A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVAQPCRDAELAADRLLESIADVSYQQLPGQECCVVCMEEYEHGERCFVMPGCAHMFHRGCIAPWVRQGNTTCPLCRASLAVPPAQHSCITTPEDMV >DexiUA01G0018220.1:cds pep primary_assembly:Fonio_CM05836:UA:38828057:38833218:-1 gene:DexiUA01G0018220 transcript:DexiUA01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSKILLLLFGYAMPAFECFKTVETRPNDARMLRFWCQYWIIVAMVIAFESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLLLRAKSGQLLSFYVKNFADKGTAFFMDVLRYVVSEKPEGSNAEQRNKKSGWSPFATKRRPPSPPPQESIFDSNPDAAVVAEALRATIGAKPRRSPNGKHY >Dexi2B01G0031340.1:cds pep primary_assembly:Fonio_CM05836:2B:39555251:39556962:1 gene:Dexi2B01G0031340 transcript:Dexi2B01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMATGQEDSLLLLLLPTTSPLPPLLAAFLLAAALLWLSPGGPAWALSRCRRPPSGPQGVVTALSSPVAHRTLAALSGAIAGGKSLMAFSVGATRLVVSSHPSTAREILVSPAFGDRPVKDAARHLLFHRAMGFAPSGEAHWRGLRRLAAAHLFGPRRVAGAARHRAAIGEAMASDVSAAMARHGEVSLKRVLHAASLNHVMATVFGKRYHDLATSQEGALLEEMVTEGYDLLGTFNWADHLPLLKSLDLQGVRRRCNRLVQKVEVFVGKIIQEHRVKRANGVVADELTADFVDVLLGLEGEDKLSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVLHPDIQAKAQAELDAVVGDGRVVTDADVASLPYIQCIVKETLRMHPPGPLLSWARLAIHDAHVGGHLVPAGTTAMVNMWAIAHDPAIWAQPEAFRPERFQEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATTHLWIAQLLHQFEWAPRSGGGGVDLSERLNMSLEMATPLVCKAIPRVVQA >Dexi9A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:9A:16429400:16442760:1 gene:Dexi9A01G0021570 transcript:Dexi9A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVDVRGGRAGVGRLLRRPAPALCPTQTHRLSHAFELELELARHSHSQPDTGTQPASSAMSKSSAISRPCFFIVAAAALWVLTLYLRLLALMSMPGAAFTGRAASPVPANNDSGDPCRGRYVYIHDLPPRFNADILRGCAAANDRWSDMCQDVGNAGLGRPLSGGALTGATGWYATHQFALDAIFHGRMRQYGCLTNDSSSASAVFVPFYAGFEFATHVWGYDNGARDAAALDLVRWLVRRPEWRRAGGRDHFLVAGRTGWDFRRDAYPNATWGTNLFLLPAVKNMTFLVVETATMGWGNDMAVPYPTYFHPRSDSEDPERRPVVAHVMTGNRYGRRQLGCASGTAQCHYPGDIMVLFQSSTFCLQPPGDSASRRSTFDAMVAGCIPVFFQPRSAYLQYRWHLPKDHATYSVFIPAESVRSGNVSVEAELRKIPPAAIAKMREEVIKLVPRLLYADPRYKLDKVKDAFDVAIDGVLERVTEPAERQIGSYWRYGITHYRIQALCCEPGTLGKEDHPLGKGFAESRLSAKLTRQSFSRRSSLCRELSVGITAKPLPCAPEQVPTPKRTDGRRRPLSATKSLAQIASLAIPLPRGSGRGSAFHAPRPARASNTGTSDPSLANQLRAAPLTCQCQCQCSGPARLPVAPPPSSPPRGGTRQSTLRHEAAQRGRAAGVQQMVEAEDKMEKYDKGRGRCSRLCFLLALAATVTILARHGYDARLSNAGVVRIEAVHGPPPPPSVHREPKKIVPIARRESSVSDHSPSAPIDDAGEAASWKKPPSPALESAHSDSDSGSKASSSKEKGSLSASHGNKYGDRPFARALAAADNKDDLCGGQYIYVQELPARFNKEMVQNCDKLSPFTNMCRYTTNGGFGPMLPSGKGMTGGTGWYDTDEHALDIIFHERIRRYECLTDDPSLASAVFVPFYAGLDVARHLWGSNVTARDELALDLARLLAARPEWRAMGGRDHFFVAGRTTWDFRREGDGQSEWGSKLLNLPVAKNMTALVFEASPWHLNDVAVPYPTAFHPGSDEELFLWQDRVRALERPYLFAFAGMARPGDAKSIEGHLVGQCKASPACSLMECSSTTTGSDRNKCESPAAVMRLFQSSTFCLMPRGSTDTRRLAFDAVLAGCIPVFFHPASAYVQYSWHLPKDHAGYSVYIPEEEVRSKNGSVVEERLLKIPPEMVVAMRDAVVGLIPSVTYSDATTRLETTVNDAFDIAVAAVIDKVTKLRRGIVEGRPEEEKLGRYSWKYPLLGEGQKAEDPHEWDPLSTVIPRTRFLMVVSAVLWALALCIRVIVPNPHRKGAPSYGPGRRPTRLLAVAMILIHDLPSRAGSGQLHCATGSSQCRRPRDIMAQFRSSGGLVHAAPWSPGAPPCSSPISAGTSRATAIRNTRCSYRVKDAFNIALEGVSWRRFTKTLGTLWAQAFCLAWRRRLSDSRPGRLQGFFQAAVLTAEDMYNSVYGCCTLLLVLDLIFFGLFLQSGCHRCYDNELDLGGIPTRAGRTFPRTQLIRAPVVSTHHVHELGSYEPGDDAQAHPDCMCTGNYDPFYVPVTNGNHKTSTAAADWLAVAASPVARARAVRRPHLPSVQNALVPVRWIGSFCGPSLAELQRSTGYPVIITLYDTRFPGAVRRSIANKTTDGYCPSPPMKPTTLGPQKDAADKADAAAAGGVPWPSWVCYLIVLATAFWAVASILFPSSPFSSLPLMPSVTVIHHPPSSHEHPSPPALAGQARAQSSEKDPCAGRYIYVYDLPPRFNDDIVRDCSKLRPWMDTCPYVSNCGMGRPLGDDAFFPGHAWYGTDQFMLDVIFRCRMRRYECLTDDPDLAAAVFVPVYASQDGGRHHPNTTATRDALALDLVAWLSRRPEWRAMGGRDHFLAAGRTAWDFLRMTDDDDDWGTKLLHLPAVRNMTALVLEINPWNQSTTLAVPYPSYFHPATGADVAAWQEKVRHAERTWLFSFAGAPRPATKETVRAQIFRQCGASRRCGMFRCTNASDCEAASSPGAVMRLFGNSSFCLQPRGDTATRKSTFDAVLAGCVPVFFHPDSAYTQYTAFLPPDPESWSVLIMHTDVTGRNVSIEETLSKIPPETVEAMREEVIRLIPRLVYADPRSRRVGFKDAFDIAVDVVLDRVAKRRRGDAGEGR >Dexi9B01G0023840.1:cds pep primary_assembly:Fonio_CM05836:9B:19279950:19280216:1 gene:Dexi9B01G0023840 transcript:Dexi9B01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAAPPPPQLGGGHAVPLPPPSSRQEWATMLPPRGEGHAAAARSPASITESRAMGGPCHQGKAAPLLRHACPAAADSGWVGRERMR >Dexi8B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:8B:146721:148182:-1 gene:Dexi8B01G0000180 transcript:Dexi8B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWLRRFPHDVIQRRKSSNNAGGGGGGRRAASSTSWRNKNNSSFTARIIRCASSVVDRRRQYQDEEEEDELKLPSSPPPPGDEEADEVSALQAFSFRELAEAAGSFRQEQLIGEGGFGRVYKARLRLRLGEEEEEVAVKQLDREGAQGNGEFVVEVVMLSMLHHPNLVNLVGYCAEGDQRLLVYEYMELGSLEAHLHHDQGILPWGRRMQIAGGAARGLEYLHDRGVIFRDLKPSNILLHADYTPRLSDFGLARLLPPPSSSSSSSSSSNNGNGNGNGKVMGTYGYCAPEYLRTGKLSAKSDVYSFGVVLLELITGRRAIDVGRPDGEQSLVGWAAPMFGDPGRLPDPRLVMAMQGPPPSELKQAVGVAAMCLQEHHALRPVMADVSIT >Dexi1A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:1A:10609285:10611321:-1 gene:Dexi1A01G0011550 transcript:Dexi1A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEQVLHAWKEWGIRVLVLLSLAIQVLLLVLAEFRRRVDSGVLRAFVWSAYMLADSTAIYVLGHMSVTSRSPEHRLMAFWAPFLLLHLGGQDNITAYSIEDNRLWLRHLQNLVVQVAAAAYVLYVSSMLDSRSLLREATIILFLVGAAKYGERVWALWRADSTPLGNKYMSFESPNRVSFSAEIVGPVHGRGWEASTYIAHLLLDIPRDLMNGPLPQQPRIYFWIFHDEVYRIAERQLSLMHDVLYTKAELQHRWCGICIRVISSLAAIGAFLLFLVVDYHQKESYNKVDVAVTYILLAGALVLETTSLLAGIFSSWTCFLLVKWRFKQGSREVMEHQIEVLPGRRTIWRVVASVYQLIHAGNWRRRYWSGSMGQHNLIQLCARSKTSGISKVATWMGLEDRWNTPFYSWSIPVSSSIRGMVVNQVVVRQSEARSHTVMARTRELYERMGWQAENIKLDESILSWHVATEIYLRWYTERQQETATGSRWEEGHRPLEYRAEVKDLSNYMLFLLATRDYMLSPTASRIAYVEACYALTALEYSSAEELITLLRRWGDSLNRNNGAEIDFPFTTNTTGNRRLALIDSTTLRTGAQLGAKLIEGGLQESRAAGSLGLLKEVWLEMLFYAACECSGYSHAKQLSYGGELVTIASILVKYTALHIKIELTDVFPITSNWAV >Dexi8B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:8B:19248211:19248885:1 gene:Dexi8B01G0010670 transcript:Dexi8B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDFLSMSLGTPEGEIHVGEDGVGVDPPDPLGVRVGYHGGAHDGDLGPVARHGGVEEVAVVEELDPVEAAVVELVLEEAEEEVVAGVAVAGLRLRPGDHHHFLRRAAAEEAAGSEPRRALGVPAGEAAVAVDGHGVGEVRNVRGGSSGPGMGEATSRLLVLVSAGARTTDADEPRSTSTAAATPAANTSASRPRPAARNGGAAVA >Dexi1B01G0026580.1:cds pep primary_assembly:Fonio_CM05836:1B:31432327:31434511:1 gene:Dexi1B01G0026580 transcript:Dexi1B01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNQDVVVSEMGIGAGSALPGPSPALLACRGAAAGAMSLRYLDLAAAAARSASCSWVDAMRASSPTRSRAAAAADVDEVTAWMRKHPSALGKFEQIASASKGKKIVMFLDYDGTLSPIVSDPDAAYMSDAMRAAVRDVAKNFPTAIVSGRCRDKVRNFVGLPELYYAGSHGMDIEGPSSNNVRSDSNLQQPESVLCQPAREFLPVIDEVYKLLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPKLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFASCSDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETNASYSLQDPGEVMDFLLRLVEWNRRSSSPAMIRPRV >Dexi9A01G0036850.1:cds pep primary_assembly:Fonio_CM05836:9A:41177803:41181316:-1 gene:Dexi9A01G0036850 transcript:Dexi9A01G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKYTKLAPDRKMAASKASTAALAEGKVQSQIRTPQRLDGRGAQCGGGVASCRARGASAAAGATGRRSMGARRQYQYRIWRRRGECGDGLMRRWRRSWNGGCRRHRTHLASAHREFSHGASGATTASIEEGQQGVAAVAPGRGNRERERTGVESDKPKWMERRKQLGAGTNVSNKKQKTKANSSPSSAALVPSPGTGGDDAAAAEDPSKRPDGKKAEKKKLRQRSTIEALDYLVAKMKQTDDVKEIKKEQRCNRLIDLQEEKIELEREKFEFQRDMEDERILSLDLSNMTYRLQQYY >Dexi1A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:1A:14895211:14897314:-1 gene:Dexi1A01G0012930 transcript:Dexi1A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDTIRTAIGVIGESPPPTERFVIFCILTPLGISPFVYLAGNGTALVLFLSPVPTFIRIWKKGSVEQYSPVPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIELAYVTLFLLYSTGAARRKVLLLLAAEVAFVGAVAALVLTLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYAVIRFDLYITIPNGLGVLFAVAQLVLYAIYYKSTQEIIEARKRKADQQVAMTEVIVIDGKNNNHASVGHY >Dexi8A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:8A:654316:655293:1 gene:Dexi8A01G0000980 transcript:Dexi8A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDRPALSMDIERTKRTMVSKEKQDGKPCPKTMRKGLWSPDEDERLYGHITNYGVGTWSSVAELAGRHILTWLKRSGKSCRLRWMNYLQPDLRREPISKQEEDHIVSLQKLLGNRWSAIAARMPGRTDNEIKNYWNSRIKKKLRRMGTGHYQSKSTEMRQIIQNNGGDSNTDGNLDLYRRQTTAEGQVNHGNPTLHNNYSDQPSTLSTVFTSQLVHQQPIAAIQHGEQRTQSFIHEPFFKSYQINFVEDYVDLIMSPQDDLPDI >Dexi1B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:1B:11992773:11993150:-1 gene:Dexi1B01G0011650 transcript:Dexi1B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEAEMRSKRRRPCLVGGFAPRRCRQALEQSHGGGGVVPLAGEVAAPGGSRRGGREGALPGAAWRGGRPLAPSSVSPPAGLLRREREGGRRDAVAAAEEVRVRPVAEKTRRPEKIWRERWGKR >Dexi5B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:5B:10308380:10309494:-1 gene:Dexi5B01G0013980 transcript:Dexi5B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGGAGVALLLAALPVLLSWNVAVSSVASSEARLQVGYYNRTCPRAEDLIRNIVHAAIRRDPGNGPGLVRLFFHDCFVRGCDASVLLDTAPGSNATSVEKASQANNPSLRGFGVINRAKRVLERRCRRTVSCADIVAFAARDACRIMGGVDFAVPAGRRDGRVSNKSEVLNNLPGPFVNVSGLVATFAAKNLTAGEMVTLSGAHSFGRSHCSAFSFRLYPQLAGDLNVTYGKYLRTRCPAATGGRRDRVVDLDPRTELVLDNQYYRNVQTGEVLFTSDVTLLSQNDTAALVDLYARNRTLWAARFAAAMVKMGHLDVLTGGQGEIRKFCNRVN >Dexi9A01G0033670.1:cds pep primary_assembly:Fonio_CM05836:9A:38558761:38560091:-1 gene:Dexi9A01G0033670 transcript:Dexi9A01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAEAPPALAPPPPYAEPPRRRRPCVLLSFSAARDRFLRHRFISAGLRPFSVRLPSPAGTGTVVHLWAPSRPVRRPVLLLHGFGASATWQWAPYLRSLLAAGLDPIVPDLLFFGNSSSTLPDRSETFQARTIKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPEAVERVVLVSSGVCLEEQDLAAGLFPVADVREAAELLVPRRPAEVRRLVKLTFVRPPPVMPSCFLRDYINVMGSDHIQEKTELLFSLINDRKLSDLPKIRQPTLIVWGEQDQVFPMELAHRLERHLGDNSRLVVVKNAGHAANIEKSKEVCKIIVDYFQEPVDSSASVRGKVSTG >Dexi3A01G0028480.1:cds pep primary_assembly:Fonio_CM05836:3A:29231518:29239750:-1 gene:Dexi3A01G0028480 transcript:Dexi3A01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPAAPAPVRLRLVFENRRLLRRAEREEGLRRCWLLLRPELATVADLAAHVAARFRLRRSCPSGVSLSMDGFALPPFESTCIFRDKDIIRVEQKSCKKLVGHNDVHCIQDPEVVEKRPLPVDDEILAIQYHTDGSKYQEEEEHGDLQPEENATLSHSIGNNGTSSKRKLHDGVAGIPEMKRKKPKVKNSGKNIDVSKEDSVHQNQDQSESKKLMSSAIDVGTKEATLQPETTVTLLNQQKAERSNQTELECETKAADCNVQSDTKKSESRSARRKKIKRQMRQKAKLDTEKNVHEDSPIAADCPSSSNQYGLPGPSGNQNGSHVPFSSHKADEEESDTSDEIVPVVVRPGHIRFEPAGGQPDKSPTKETQATFQWSGTMSKKKGQKWGLHSSNKKNADLGARSSTEANHRFIDTKVTENGFCAASNQKDDESHNIETSSVKTVANEEKFSGEPLDFESLYPLTRLPKVGKVLIYDPISLRIILLPVPEYPIVTEENKPEEESDIFVDLSPYKEDGSLEIEYSSLLDVRLLKESVPGAVVSTPSAETCKGGSLTGKTVTLDNNEGNIECQQPGMVANNTKDQESALGKTENAAWEENCEPSNEKTDVQGNGWGTWKRNESTSAWSYRALRSSALGPTMAMLRGKNSPRGKPHNRKYGK >Dexi7B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:7B:13808328:13818491:1 gene:Dexi7B01G0006190 transcript:Dexi7B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTSAAAAPSPVSSCVGGGGVARLLLRSPARLPFASAATAARPRGRPPATALRAARAAPDLVEQSVNTIRFLAVDAVEKAQSGHPGLPMGCAPLGHVLFDEFLRFNPKNPAWFDRDRFVLSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWRSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDLEINGNTGYDDIRAAIKEAKGTKDKPTLIKVTTTIGFGSPNKANTYKVHGSALGSKEVEATRSNLRWLHKPFHVPDEVKRHWSHHIAEGAALEAEWNAKFAEYEKKYCQEAAELKSIISGELPSGWDNALTTYSPESPPDATRNLSQQCLNSLAIVLPGFIGGSADLASSNMTLLKMFGDFQRDSPEGRNIRFGVREHGMGAISNGIAVHSPGLIPYCATFFVFTDYMRAAIRLSALSDSRVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNTLMLRPADGNETSGAYKVAVLNSKRPSILALSRQKLPQLKGTSVDAVSKGGYTISDNSSGNKPDLILIATGSEVEIAEKAADELRKDGRTVRVVSLVCWELFEEQPEKYKESVLPSEVTSRISIEAGVTFGWEKYVGQKGRAIGIDRFGASAPAGKLYQELGLTVENVIAVAKTL >Dexi5B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:5B:12204666:12207496:1 gene:Dexi5B01G0015200 transcript:Dexi5B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGTRNNKGSGGAAAVSVVAVVAALVATATVVAGQGGGGDDPGAGASCSRRPVVFAFGDSNTDTGGVAAGLGNYYPLPEGRAFFRRATGRLCDGRLVIDYLCESLNMSYLSPYLEALGSDFTGGANFAISGSSTLPRSVPFSLHVQVQQFIHFKQRSFELVAHGGTAPVDADGFRNALYLIDIGQNDLSAAFGSGAPYDDIIHQKIPAFISEIKEAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRADDSDLDYSGCLKTLNNGAYEFNNQLCAACDELRSQLRGVTIVYTDVLLIKYDLIANHSTYGFDEPLMACCGYGGAPYNYNANVSCLAPGFRVCEDGAKFVSWDGVHYTDAANAFVAAKILSGDFSTPKLPFDYFCKA >Dexi5A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:5A:22251193:22254552:1 gene:Dexi5A01G0018750 transcript:Dexi5A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSRKRTRQTCDEAAAPPPEREVVPRVGASPPWREDDRDGHYVFDLGENLTRRCTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVRDFGRQLLESVSCVSSAQKYFRRATRLNWPEGAVSRESIRAVRKLDRLKKL >DexiUA01G0000070.1:cds pep primary_assembly:Fonio_CM05836:UA:727210:728278:1 gene:DexiUA01G0000070 transcript:DexiUA01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSFPARPAINHPHLHPYREDSLLFHTNTRRRRRDHDDTYGAVSHAHLFGADAAAVTGTAPYNVPCDSLALSHLQERFFPLPPRPHPTVGAPPPKRVRLAPDPRWDPPLPPQTPHPAPVSAASERPRSGGAASRALLSREEIERRSPSRRDGIDSALEARLRASYCAYLRCLGIRLRLPQTTIATAVVFCHRFFFHRSHACHDRFI >Dexi2B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:2B:30186471:30186763:1 gene:Dexi2B01G0020040 transcript:Dexi2B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSTSTAPAAARRPVAASSATSTRWSAPSAASSAKRARKASSESGGEGESCARRSQKPLESELERPDDVRVAGDLRGGASLRRRDGSVRLFHV >Dexi2B01G0031820.1:cds pep primary_assembly:Fonio_CM05836:2B:39838191:39838511:-1 gene:Dexi2B01G0031820 transcript:Dexi2B01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGTEPFVETRWGRAGGSRAAAAAADPKRWRQGRVGWRRRRRRRQGRVGEEAAAADGVGRQGRSRRRREMRRGREEKRREEVAAAGNGREEWRQRRQEREWGVG >Dexi4A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:4A:1236010:1239529:1 gene:Dexi4A01G0001850 transcript:Dexi4A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDDGPTASRTKTKDKRPPPRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPAPEAAPGSPPPPPAATPIHRSHSRGLLTPRAALPSLSARGAVVRSDDEDSLYYAGLRRSADDPYHPTSNPDGIINLGIAENHLSLDLVGRWMEDHAAAAMLDGIAGAGEDARDLTIRGLATYQPYDGILALKMALAGFMRQVMHESVSFDPSQMVITSGATPAMEILSFCIADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYKQAKKRGVRVRGVLISNPSNPTGGIVPRETLHDLLEFVAEKNIHFICDEIFAGSMYGSEKFVSVAEVVDELEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNENIVSAAAKIARFSSVSTPTQRLVVSMLSDQKFISEYLKVNRERLQKMYHLFADALKQVGIECFKSSGGFYCWADMSRYIRSYSEKGEHKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTALGEKDIPVLVERLRRVTDSHRF >Dexi3A01G0025500.1:cds pep primary_assembly:Fonio_CM05836:3A:21205405:21208372:1 gene:Dexi3A01G0025500 transcript:Dexi3A01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMGRFFESVGNFFTGGDNIPWCDRDIIAGCERELADAATEEQRNDSLMRLSWALVHSRQTDDVNRGISMLEASLDNSGSPLQTREKLYLVAVGYYRNGDYSKSRHLVERCLEIQPDWRQAMSLKKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAAVARKK >Dexi8B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:8B:21406329:21407610:-1 gene:Dexi8B01G0012170 transcript:Dexi8B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAATASATSELLHVILLRLGSSRAAARTSVLSRRWRRVWSHLPELRLRFGSHRDPPPLSALLLDAVDGALAGYSVTASVENLDILFFTDGANVTASRTAPWLRFAAKHVVGEFDLRVPYQPMRSYLSSPEVDGQDEEELELPACTRAKTIKLKLQDPWRLRPHRPGPVRLYLFIELDQVSDVSVLSDSLQLLMYFVRYTRQLEVIAPNLEELLIRDATKARISSRKLAELEWINGDAYDPRHHKFDNVGRQSVTSARLLEVGHSSAVASFMHLFDEVDDLKLDIAGPWESP >Dexi3A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:3A:2910292:2910825:-1 gene:Dexi3A01G0004440 transcript:Dexi3A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVLILLLPTASAGAASRWGGERSTYMKLYWHDVVSGPDPTTVPVEQARVTRDSESGFGAVVVIDDALTEGPDRRSSRRLGRAQGIYVGAGKDEVSLLMAMTFVFQGGSRYNGSTLVVMGHNAVLHDVREMAIVGGTGVFRMARGYAQARSYTPVSNKPGDATVEYSLFIKH >Dexi3B01G0030680.1:cds pep primary_assembly:Fonio_CM05836:3B:30850916:30851307:-1 gene:Dexi3B01G0030680 transcript:Dexi3B01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHNQGLYGVLRMLEAQARYARKPKGKGKAFPFVHCWLQIRHSEKFASREQATSRHKVIQVMDRMKTNKSLAKAKIAPPLMPRKPDLR >Dexi2B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:2B:9946456:9948039:1 gene:Dexi2B01G0009140 transcript:Dexi2B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLDIEPSCQEPPHRPEGEDAGGPDLISCLSDDILGDIITLLPTKDGARTRVLSRRWRRLWRCAPLNFEAMVAGSNANVGVATILSTLQAHKGIVRRLSLTWHYNYFSMIDSLLESPRLNNLQEFELFFSYNVNEYQVPRSVLRWSPTLRVLNIFTVGEVLRFPMETACCFHFPHLKQLTLNGVSISEGTIHAILSGCPILESLVLDGNIGYRRLRISSATLRSLGVSDAWNYKEVRLEQVIIEDAPQLERLVPRPPKCDDLEIRIVKAPRLKTLGYLSKRISTFEMGTMIFQVQTHLCLELIYFYAKGSMSDVKFIKFFLLNSRVLESMKSSCLVHLKHINDLTTDDPFDTSLCRCTDEDFI >Dexi5A01G0028910.1:cds pep primary_assembly:Fonio_CM05836:5A:32097214:32100572:-1 gene:Dexi5A01G0028910 transcript:Dexi5A01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGDGIGGRNITDAELERLQQALRILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEITHSRSSSKQSAKATSETMMEAVRESSASRTTSHPLFTFRNSKKASDLKTTSGHSSPQGPSLSSRMKPNDNVIYGECRSVDRAILDPAQTSVPSEQRPTNSGISDNLTRIWMKCIENCHSKTLRQLLYDHGNLASVKECEGTIVAFIAFGDSDIKFRAQRFVSSIRNSMETVLKCNVEVRICLMQEFLAGGLQHQAYLDETGESDVLSCSTNSERLKGVLNPSGAGGGSQSSNVPMIISDGNSGIHRMRGQEVSVEQLKISALDEQRLESAWLQTAEKHAPGMLNQARPERNQVLPQTGGQQRSSMGTIVPSRHVDKDLTNELKALKISDSHGPQKCQNVQTENGYAISPSLLHRNNHLANCDNESVVSESGAPGCHGLFPCWKTEKTKRRKGKGQTRLRSS >Dexi8B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:8B:9669997:9672786:1 gene:Dexi8B01G0007780 transcript:Dexi8B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEARKFGCTEFVNPKDHNKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMEN >Dexi2B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:2B:12387507:12394100:1 gene:Dexi2B01G0010890 transcript:Dexi2B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSTRPPPVLFSRNRLQFAEHGHGLRLRGPPNLPERASSLPWKLGSLGILGIRFPDARTVRAAGIPRGRGFRVAVAYISVRAVPKHALPSVPSCRAAARDEISGSDEMRRRCGWLSSLCRPRRGGRALPQPDQTRPDSEPEVTPIIMMLDTLKFCFMYNVEQVVDKRGASDEVVMENILSNSDFSEGLHLWQPNSCHAFVAVEGSGYHYGVRPHSGSSYAVLTHRTQSWQGLEQDITEKVTFGTEYFIAAYVRVYGDVHEPIGVQATLKFEDEGSSTNYLSIARTLASQERWEKMEGSFNLTTLPRRLVFYLEGPPSSVDLLIDSVSISYKKTERSVSSLTGGTENIISNYDFSKGLHPWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTEHWQGLEQDITNGVSTGTAYVVSAFVRVDGNVEGQVEVKGTLRLQNTDGSIHYNPVGSVLASKENWSKLEGSFSLTNMPKHVVFYLEGPPAGVDLIIDSVTITCSGHKQSKVSHMLGLLINDSLLKEVKIPSGVETVIINPHFEDGLKHWSGRGCNICRHESTAYGNVRPLNGSCFASATGRVHNWNGIQQEITGRVQRKVLYEISSAVRIFGSANATEVRVTLWVQEYGRERYVGLAKNKASDKQWTHLKGRFLLHAPFTKAVIFIEGPPAGIDILVDGLVLSPARKLQAAPCPKIENVRYGANLLDNSAFTRGLAGWSPMGSCRLSIQTEAPHMLSSILKDRASQQHISGRYILATNRTDVWMGPSQVITEKLRLHVTYRVSAWVRAGSGGQRHHVNVCLAVDNQWVNGGQVEADGDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLKVMDLQIYPVDRKARFEYLKEKTDKVVDLQERGAPVGGIGVQGHITHPVGEIICDSLDKLAILGLPIWITELDVTAENEYIRADDLEVFLREAFAHPAVGGIILWGFWEMFMFREHAHLVEADGTINEAGRKYLALKQEWLTRVNGNVSHQGEFMFRGYHGSYTVEVDTPLGKVARSFVVEQDSPVQVITLNI >Dexi7A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:7A:11563775:11565280:1 gene:Dexi7A01G0002780 transcript:Dexi7A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESTSETRRQVHAVCMPYPAQGHVTPMFKLAKLLHARGFHVTFVNTEFNHRRLLRTRGAASLASVPGFRFAAIPDGLPPSDADATQDIPALCYSTMTTCLPHLRALLANLNNADVSSSSTSPPVTCLVVDAVMSFAYDAAREIDVPIAALWTASACGFMGYRNYRHLVDQGLVPFKDEADLADVDGGPLATVVTGARGMCDAVQLRDFPNFIRTTNRGDIMLNFLMRESERLSLPDAVVVNTFEDLEGATLDAMRAILPPVFPVGPLVLRERLEIPTGTPLAGLGSNFWKEQDGLLDWLAGRASRSVVYVNYGSITVMTNTQLLEFAWGLANSGYPFVWNLRPDLLKGDSAVLPPEFAEAVKGRALLTTWCPQEAVIQHEAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVRRDEVAAIIKEAMEGEKGREMWRRAQEWKEKAVKVTRPGGPAETNLDRLIDEVLLSKKKGEAVEA >Dexi5A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:5A:7846395:7850766:-1 gene:Dexi5A01G0010330 transcript:Dexi5A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDDQRLLHRLGVTSANIEDIEKKILSQASLAQADPKHEAEQGTAANDHERSDATPEADAQAKLHQKLLSVQLEIDAVSSTIKRAKHTAGKQIESSDSGDAQDKKQKQKQKRADGTAQDNAHGGALQQALAAERLKSLKKAKAQIQKEISQSDPCPSSSNKGKDKMLAMLVEEEPRRRKKTLMPSRGPKKMSAPRLKTMSYNDDDDFDAVLDGASGGFMETEREELIRKGLLTPFHKLKGFEKRVELPGPSHRQNDGIDEAEEAMEASRIAKFTQSMQQIAQSRPTTKFLDPESLPKLDAPTAPFQRLGRPLKRPVSPSSEEQEKKRRRNKTKRPLPDKKWRKANSTKESLLETDDEDDGDIEVSVSVSEDEDQAADGFDGLPPVILEGGLRIPGTIYEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWRREASRWYPKFKVKILHDSANSSSRKKKAYSDSDSEGSWDSDQEEVRRTKPAKKWDDLISSVVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLRVWKDQGHRVLLFTQTQQMLDILENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDGGNGLTETSNIFSQLSEDVNIGVPNEGQQGQVHIASTLPSTSEAEPSNGLKGKVDDSSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKVRLEAEASQVAQRAAEALRQSRMLRSRESFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLISSSQPSETSSGRSQSLPVGALNGKALSSAELLAKIRGTRERAASDALEHQLNGGSGSDNVSSPSGNGGRSSNSSNRSMIVQPEVLIRQLCTFIQQNGGSASSTSITEHFKSRIQSKDMILFKNLLKEIATLQRGTNGAMWVLKPDYE >Dexi3B01G0033520.1:cds pep primary_assembly:Fonio_CM05836:3B:36256083:36260869:-1 gene:Dexi3B01G0033520 transcript:Dexi3B01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGMATAAGTAVLVYLVLSGRLCGDAAGDGGGDDQLISSAVTAAAEARRKRKEEARDRRRRRARRPWPERAPGGWGEAAAVAARTVRFTWAETLGKWTLGEVAFGIKYYMRQQGNLQHEYAGSDSVLLDGPEVRQELISLLRYLKLCMYFSKKPYNVFLEFGGYDQNDVLIKKSKARIIGHSMGAAIATILTYILRENDKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDVVPSLGIVSAAKLRTEVMASPWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFISDPRSKVVDVDMLQSQSPEGGRKPSVDTHAVVKKRPALVCWSCVAPQKQTVESSKQTQDRENQTDTNVKTVKVIREPAAEPVPIDLCELNLQESDNEDADKEEKESTLKETENAMELLESLTDDKLELLPSTSAQEPQQLYPPGRILHMVGLQAAAEATTSEQGSQEEVVTLYETPRHLYSKIRLARPMIREHYMPKYIKTMEQLIEKIAEEDIEDQLDSL >Dexi7B01G0024710.1:cds pep primary_assembly:Fonio_CM05836:7B:28994778:28997106:-1 gene:Dexi7B01G0024710 transcript:Dexi7B01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLQLPADDSLLLLVTHSNLSTFAADIRVSKQTTVEALKDKLWRKTGTSVASMRLQLRDDTGAKVADLDDDAAPLAAYGPYDGYIIHVVDLDPSSLTSGGWLEDTSLVDKYKMSDEAYDKLDKNSKKRWPRKPLHQMIKK >Dexi1B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:1B:2077090:2078923:1 gene:Dexi1B01G0002570 transcript:Dexi1B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIPPNRPRIRDFVYRRTRDVFNVAVAVHKNIQQRDIEVGRNLGNAILRWLDRMKPSAEIRPRLPEPPNGSSEQYKHFSSTSRSAGTQKTTSKTSPHDSNGKMLFSRLNIRPKSFPVLPTMTQPNRISASSQCRRMSYSPFPSVTAKRKSLMEGVFRKDIAQLMV >Dexi3B01G0033200.1:cds pep primary_assembly:Fonio_CM05836:3B:35548371:35554577:1 gene:Dexi3B01G0033200 transcript:Dexi3B01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSTSIGVLGSLLCKLEGSRGIHYPLKAHLEEIHGYLNQLLWLEEPTLKQKSWMKEVRELSYDIDDYLDMNPNSTEKQVHDEFSTHLQEAIERYKRYNLHVSQLQRKYLPVSHHQLQRKYLPASHQPTQYTEVTDLFLDLEDEVVKCVMSDEQELSKVVAIVGPGGIDLLPVAYLPTTSDGTVLLPPLPCHAPTAPAAHRGSCPARPAVLPRRLPNHHLRCSFFYLASAPSSTLRQSKKAEPPDSLLPHCSFSLPCVQAVQVELSLPCVQAGASQTTGAHHVPFHACVQVLLTAAVLAKGAPVVEAVQPAVAETKEAAHVPRSYTRHGAGVGYRFHRRAAAAAACIRGSEDYTILKDDLWKLWVAEGFITAVSGQDNHMEEAGKYFDELVNRRMIQPVDVNANNEVLSCTLHSMVLDLIANKSIENNFIVVLDKYQGLVGLPEKIAVHEMKDVGVLEELSALTILCLHVKNAPAEPIGFHKAKFPVLKFFKFICSVPLMEFKEGTMPNLQKLHLGFNAHGAASGGHTPVGMMHLPKLQLLSAVIGCLQAEQSDRNAAESAMRDTISAHGKRPVVNIRWVDWNFYPVYGTMSTRSFHRFPFLSS >Dexi6A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:6A:26040389:26041565:1 gene:Dexi6A01G0018300 transcript:Dexi6A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGPAAAMARWAALLLLLLAASAAAAAAAAAGRKEGAGEKVCDKGWECSGSRFCCNETISDYFKAYQFEELFPHRNDAQAHAADFWDYRAFITAAALFEPRGFGTTGGKESGMREVAAFLGHVGAKTSCGYREAPGGETAWGLCYNHELSPSQNYCDDSNELYPCVEGVEYYGRGALPVYWSD >Dexi5B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:5B:6581251:6584233:1 gene:Dexi5B01G0009560 transcript:Dexi5B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVQHGKSRAVAAAAAAARERKPRHGARAAARSPTKPELGRRQLVVRLLLVACLVAPVLCICVARLFATLPSQLSCKPEITSETNLTTIIRCNKSANGADLAERNQASSDDAAGAGALISWQQGSVMNGHVPQRPSSAPVPARFGVDPVRSSPELDIEVTNGKAKNRTYLDSKSGIPGKTISSAKYGSPPENSFTNVQRSANDFLIPIFSIPTITDIVVPKPKSMIYCDDKSKDEGFPYARPIICQMSGDVRVSPGSSSVDLTIPMEQGVKERRVRPYARHDDSLLPLVTEVDIRAAASENAAPKCSISHDVPVVIFSIGGYTGNFFHDMSDVLIPLYLTSFRFKGQVKFFITNYKQWWIQKYKPMLRRLSHYDIIDFDSNKDVHCFEQVILGLMRDRDLILRPHPTRNPKGYSMLDFTRFLRHSYGLKRARPLVLGEEPGKKPRMLIIARRGTRKLLNLRQVAAISRALGFDVTISEARGNLKRFATMVNSCDVLLAVHGAGLTNQIFLPAQAVVIQIVPWGKMDWMATNFYGEPARGMNLRYLEYHIAEQESSLAQRYSRDHIVFKDPMAIHGQGWSALADTFMAQDVKLNLRRFRPTLLQALDLLQL >Dexi3B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:3B:2039701:2044889:-1 gene:Dexi3B01G0003110 transcript:Dexi3B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGDEMRVVMRPLLHLMVGMVLYGVAEEMIVPALVDKVTAALCPQSGSSSTSCSEAIYLTGLQSSVGGIFRTIGLTLMGQLADEYGRKPLLLLSASTSIIPFALLAWNTSRKAVYAYLIMRTFSYMIGQGTLTCLAVAYTADVIEPTKRAAAFGFLTGTFSASHALGNICSRFLPEKWIFQVSVVLSICSVLYMKIYLVETVQRTPPAPCQRLVLSSLVVRLPQQRWQCIKENISIIKNSGTLTRISVVSFFYELGMMGISDVLLYYLKSVFDFDKDQFSEILMVVDIGSIFSQILVLPIISRMIGGNGALCISILVSIAYAFLYGVAWAWWVPYVSSSLGIIYVLAKPATYTLISEEVLSTDQGKAQGFVGTMQSMATLLAPLYMSPLTSYFISSEAPFNCRGFSFLVAGFFLVRTFLFCSMSAISFWFAWTLNPESRNKCSEAAVADHPDEEAEQTPLLVQRP >Dexi4A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:4A:7677249:7678868:1 gene:Dexi4A01G0009720 transcript:Dexi4A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTDRPWWAPPLSTVTTPSASPFPSPPSSFTADPPAEFLCPISGSLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLAASPVSSSSPLVLVPNVALRTAILNWCDRLGLPHPAPLSPDTAHDIVRRLMPPPRREDYLQSSRSQRRPQPQLGSSARVRRPSVDVDEFSQEPSSKQRGGALEEEIMAVFGAADATQGELASAMASLRQATRENKEVRRQLCTPRLLAALRPMLLSPDAGVQVNAAAAVVNLSLEPENKVRIVRSGAVSPLVEVLRGGHPEARDHAAGAMYSLAVEDENRAAIGVLGAIPPLLELFSGGAATGYRARREAGMALYHVSLSGMNRSKIARAPGAVRTLLAAAAEARGGDHRSSKEAAADAAALRRLAVMILANLAGCPDGRAALMDGGAVAAVVGLMRGGAVAPGSVEEEYCISTLYGMSRGSMRFRGLARAAGVEAALQPVAEGGAGVGRDMARRTLRAMRGEDDDAAPVTATGILGRQWGDDGSVVSEGLVSIRRPPHHRSNYAGGTSGSNTTQF >Dexi4A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:4A:16114136:16117202:-1 gene:Dexi4A01G0014230 transcript:Dexi4A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHGGTKHGSSGAAALWLLLPPLLVLIVLKTVREAGFSKVADEMVHKVSTIDVGAATISQLTCNFAHIHSDVCSMEGDIRIHGKSASVYVVSASTFRPENSTIVVRPYTRKWEKDTMLRIREVSMRSSPPAPHSFVIPPRCTARHDVPALVFSTGGCGANFFHAMSDLIVPLYITSREYDRRVQLLITDYQPEWVAKFRPILDALSMFPVIDFDADTAVRCFPSARVGLQSHRILGIDPALSRNGYTTMGFRDFLRSIFSLPRAWTSPVSRSSGKKPRLLMVLRRHSRAITNEDDAIAAMAELGFEVVAAKPKDVSDMGTFAGVVNSCDVMVGVHGAGLTNMVFLPHNATIVQIVPWGDMAVACRFDFGEPVPDMGLRYAEYEANADETTLNEKYPRDHPVFTDPGSLHRQGKLWEIFLQGQNVTLDIDRFKGAMQQVYQSITTE >Dexi8B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:8B:24630602:24631248:-1 gene:Dexi8B01G0014210 transcript:Dexi8B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACSAVESPVIPSFPGAGDRPTGSPLIRFPESEAAMNYIIGAFKPPCDIFVTFSDEKSRKQVSIKKDNGKTAMVPAFQSLETIAGESQVKG >Dexi4A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:4A:20051706:20051915:1 gene:Dexi4A01G0016390 transcript:Dexi4A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCCRSEPPAQVRAPRRAGSHGLRSPPRAVLLPLDPVPWPACTPRAGLPARCSGTSQLVLAASRRESP >DexiUA01G0022020.1:cds pep primary_assembly:Fonio_CM05836:UA:45229818:45230656:1 gene:DexiUA01G0022020 transcript:DexiUA01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTHGSGRHAFGDLTNILCKRPALSDPEKSTGEIKIRRIEKDTVTRKGSDENAINSNKGKGVVFGNLFDGVVKENFEMPSIFRRTKVPHMAAKAADLLSKEVSDLRDRTASIDLLDLSDQEQDSSIDSEGEYDEDDSEMTGESLGHFSSSELANKTATNDCECLAQEEIVGSSGNQKPLSSLDFMTGGNMPSSSVQHASMRTVGSKAVPTKSCVCSFCLKAAFMWTDLHYKDARSRLSGRTAN >Dexi9A01G0047510.1:cds pep primary_assembly:Fonio_CM05836:9A:50611617:50612421:1 gene:Dexi9A01G0047510 transcript:Dexi9A01G0047510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLVTRCAPEEKATTESRSCGPRLSMTNPMACFRSASFSPLMLPLTSSTVTRSRGARGASPASIIPGALTCTRTAKLSSDEPLATAEYSVCVFTAKDPPDAADCRWPASWPEGGGSTTWSSSSNTSGSAGWNGGCTWWCIGRCLIGDGWTWCMGSCGGTTGCCGGGGNGCGGRSNGSSRHWELQSGQTRWPCATLAEMQWKWKVWEHSAVKMACPPPAPIQE >Dexi2A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:2A:29907213:29907449:1 gene:Dexi2A01G0017910 transcript:Dexi2A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPATTCGAHAAAFTPFPRRAAPPGSSRKPSPVTSRRAPGPRLVAPMASAIDSPGSSSDFAKRIERAWLISQVPQSP >Dexi3B01G0038340.1:cds pep primary_assembly:Fonio_CM05836:3B:41137379:41146381:1 gene:Dexi3B01G0038340 transcript:Dexi3B01G0038340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLPLLFLFLVAGAVVAAAAAGEVDALIAFKAALTIPPAAAPFFATWNATAASPCGFTGVKCDVAGSRVTGVSLGGLNVSAASVPFAKLCAALPSLSTLSLPENYFLAGAIDGIVKCVSLHELNLAFNGFSGEVPDLSPLTNLRTLNVSSNLFAGAFPWASLAKMPSLSVLALGDNPFFSPTDKFPAVITGLTNLTVLYMSAANLRGVIPKGIGDLVGLVDLELSDNELTGVIPPEIAKLTNLTQLELYNNSLHGELPAGFGNLTNLQFFDASMNKLSGSLAELRSLKNLVSLQLFYNNFSGGVPPEFGDFKELVNLSLYNNNLVGELPASLGSWAQFNFIDVSTNALSGPIPPDMCKQGTMLKLLMLENNFSGEIPATYASCKTLLRFRLSNNSLSGEVPEGLWALPNVNVLDLAGNQLTGGIGDGIGSSTAMTNLLLAGNRFTGAIPASIGGAASLETVDVSGNELSGEIPASIGRLSHLNSLDISANAIGGAIPSSLASCSALAAVNFARNKLTGEIPAELGNLPGLNSLDLSENELTGAVPASLAALKLSFLNLSDNRLAGPVPEALAISAYGESFAGNPGLCATNAVAGGFLRRCTPGTGTSPANAVRLIVTCILAAMAVVLAMLGVAIYLKKKKKAGAGDLGRSKVFDMKKGQSWDLKSFRVVSFDEREIIAGVRDENLIGAGGSGNVYRVKLGSGAVVAVKHVVTRHHPSSAAKAAKQRWREFESEVGTLSAIRHVNVVKLLCSITSDDGAASLLVYEHLPNGSLYERLHGPEGRKLGGLGWPERHDIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDEFFKPRLADFGLAKILTTSSSSGAGDSSAGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRPAVAAAEEGGGDLVEWVSRRLESRDKVMSVVDARVTEGWAREEAVRVLRVAVLCTSRTPAMRPSMRSVVQMLEDAAAPRMDDSPTATKLLLEVKSAVTEDWVVGMDNENGDADDLGAGWFEVKKKHRSSSKYPLQRSSGGSSNKIPNLSSRSRPNSNSDSSRWHGRLQHPPPPSINANVGVDESSSSVEKTNGHAEGCNDIGASDLKSVVDAPASEHVAERPEELLVAEGTSEPPKAGLADHANPLAPHESSSCSGSLANCADLSQHVKCSPKTEAVGVFANTPVKFGDFDEVPGLPLPSDSCRDNSSSTDHRHGEDAAHFRNEQKDESKLKVETDSCKAIDEASLVIIQGTETPNDDTRGPLDTHEMPGSTSNVSGSTASTDSVSLSCSNNDNEVPVTSSSVASTESRTLLPDHAPASADFGSETAESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAMSDFQELKSRAEHFDNTKKSPGVPKEGMPMAVKADHRRPHALSWEVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLYDSEMRRAEKLQVIKTKQKEDTAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIFRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYHLEQILLRRKGS >Dexi3A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:3A:15665609:15666798:-1 gene:Dexi3A01G0019790 transcript:Dexi3A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAVLALLLSATAFAAAAAQLDEKFYSQSCPSVEDVVRKEMVRALAAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSTANNTAEKDAKPNLTLRGFGFIETVKAAVEKACPDTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSISNETKQLPPPTGNFTKLTQIFATKNLDTKDLVVLSAGHTIGTSHCFSFSDRLYNFTGLDNARDTDPTLDTQYMARLRGKCPSLDDNTTLVEMDPGSFKTFDLGYYGNVAKRRGLFHSDGALLTDPFTRAYVLRHATGAYKEEFFADFAASMVKMGSIDVLTGSQGEIRKKCNVVN >Dexi3A01G0022230.1:cds pep primary_assembly:Fonio_CM05836:3A:17795198:17799246:-1 gene:Dexi3A01G0022230 transcript:Dexi3A01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPQVPFSRTSPRMRQGRLGGAASPHCLSVAAPASAPETAAGAGNVSFPILVNGCTGNMGLAVAEAAARRGLHLVPISFSSREKVKKTIQVGTTDICIYGPSAREDVLLSVADEFPDVIVVDYTAPDSVNSNAELYCKLGLPFVMGTTGGDRQLLYKSVEDSKNYALISPQMGKQVVAFVAAMKIMAEQFPGAFSGYHLEVLESHQAGKKDTSGTAKEVIACFEKLGVSYDMNKVVKIRDPEQQVGMVGVPEEHIGGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTIDAAIFLHMKVQSKDSKRIYNMDDVLREGHMR >Dexi9A01G0045810.1:cds pep primary_assembly:Fonio_CM05836:9A:49330523:49331625:1 gene:Dexi9A01G0045810 transcript:Dexi9A01G0045810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPMRRRRTDRQPPPPPPPQSFGATARPTSPRSSTSAAADLDELLLTAPPPSVSEPRSFPYAVKQQCWEKAERVTGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKRITDLVKLIGWEEYIGELPGFAGRDMDLVELSAYGNVRRGPDSGGCKIQ >Dexi6B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:6B:26406452:26409438:-1 gene:Dexi6B01G0019780 transcript:Dexi6B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVKMNDSEPQPAAPAPAAAAPALSTVHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLGARDVSAFLDFALPASSEAYARLTALLPKEDDTEMEVDAAAPATQISIKHGLPEIEIYCYMLVLIFLIDQKKYDEAKACAAASIARLRSLNRRTVDVLASRLYFYYSYVYELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRVGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Dexi3A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:3A:5936618:5941549:-1 gene:Dexi3A01G0008470 transcript:Dexi3A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQASTDSPKGQIKGSSQQKLRGKRQNSKEVVDLRSLLIHCAQAVAADDRLLATDLIKKIRQHSSADGDCTQRLAFYLVDGLEARLSGMGIQMHHKLLAKRVSDEEVFKIYSLCLAASPLLRASYCFANRTIIEASRGQSKVHIVDFGICFGFQWPSLIQQFAEQGVPPKLRITGIDVARPGFSNLEITEQAGKRLADYANMFKVPFQYQGITSRYENIQIEDIGIEEDEVLIVNSLYRMKTLGDETVAMNSARDRVLKIMRGMNPKVFILGIVNGSYSSPFFITRFKELLFHYSSLFDMFDANVPRDNETRKLIEGKLLGREAMNIIACEGAERIERPETYKQWQARCLKAGFEQLPVDPDVLNSILDMKKGIYHEDFVADEDSGWLLQGWKGRVMHAISKWKPNESCAEQFSKAPSPMDSREYCDINSNITLKYINRVLMEEDIDEKDIIYQEHDALQATEKPFYGILGQAYPSSAKEMVLNNDSTVDCPYGSSNNNLEGACRGSFVNGFLGPQVMHLTANHCASETCHLSSQFTKRAEEANRSSETCHLSSQFTKRAEEANRSVPIIEKLVVDLDSSEVADSKQMTQSTVGRKGKHVTQMMSHHELLDARNSNDLAIAGCEITRNGSFDNVLLCTGQLYRDAAHLREMKAKERCDSSQNNQSKGYGQGQVKKRAKKQQEEAIDFRSLLIQCAEAIASTNQPFDRELLTKIRNHSSPNGDSSQRLAIYFVDALEARLAGTGSQMYHKLIAKRRSATDMLKAYRLCSAACPFTKRFANREGSPPNLRITGIDVPEPGFHPSKKIEETGKRLAEYAEMFNIPFQYQVVVSRWENICIEDLNIDKDEVLIINCLHQMKNLGDETEDIDSARDRVLRIIKMMNPDVLIIGVTNGSYNSPFFLPRFREVLFYYSSLFDMLNSTVPRSHEARILIKKDIIGANVFNVVACEGAERIEKPESYKKWQVRIFNAGFRQLPVNQTILKSSIERKELYHEDFVIDEDNGWLLQGWKGRVIHALSSWKPKESYLNR >Dexi5A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:5A:3154260:3157554:-1 gene:Dexi5A01G0004190 transcript:Dexi5A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTTTTLRLHPAAPLLIPGESRESYKVLGYDVPKGTWVLPGAVDYKGMNFEYIPFGAGRRICPGILFAQANMELVLASLLYHFDWKVEAGLEPTKLDMSEQMALTIKRKNDLRLYPIVRRLWRQPPPLLPHAPGTTTTEEADVLLRDHDSFLADIRGRLLQTHNYVKLYYDRHHHELEFAVGDWVSLRLLRRPQPSLENRPKETWSSLHGAVLYLQSDLRHALHCSSCCCSLAAASTISIIASLISLEVGEAIPRASSPWVGSDVSFLQVSSPFASPPGRPTHG >Dexi3B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:3B:7149222:7151092:1 gene:Dexi3B01G0010250 transcript:Dexi3B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLAVAPVAAKPARPSVGASRLVAPPPRRGRVVACLAPAAAPPPTAGAASGAARRELSAASMAVVEDEARYLVGTYKRSRVVLDAGRGCKLYDLDGREYLDMAAGIAVTAIGHGDPDLTATIARQASTLIHSSNVQYTRPQVALAKRLVEASFADRAFFANTGTEANEAAIKFSRKFQRAAHPDNDAPPAEFLAFDNCFHGRTMGSVALTSKSQYREPFAPVMPGVTFVEYGNLQEAKKVIQTGKLAAVFVEPVQGEGGIHSATQEFLQGLREACDEAGTLLVFDEVQCGLGRTGYLWAHEAYGVEPDIMTLAKPLANGLPIGVVLVKEKVAAAINYGDHGTTFGGGPLVCQAALTVLDKIQKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEIRGVGLIVGIELDVPAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISEKELELAADVIRDCLPALDDTSS >Dexi8B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:8B:5147335:5151050:-1 gene:Dexi8B01G0005190 transcript:Dexi8B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARRQRLLQCEARVPASPAPPSPAPAPAPSPAEGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAAPHAAQGTPLALAAAAATLLPSLTGLLMGPDDRSRAADSLASVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASCGAAHLILGVAKNSRSFGSSSTSVAKYCSKRVPADCSVLAVNNGKVVYHKDGGHTMQHELYQSTGTIPETPRRSYRKLLSSMIGEKLWDEHGKDNRCISRAVTMPMKSPVRPKEVSLALVPVKGCRHESPQVATGWPLLRKKFLPDRKASLPDKSKMSVVQWAMRLPSRYSEVSPVHSEYNRTTGPDSTSVSHILRDRVVVPVRSNSGKCSVVIEELDKETPEELTLLKEKFSSIYSSFSYSDLAKITSDFSEECVVGQGGTSQVYRGCLANGRELAVKILKYSEEVVKEFVSEIEIVSSLSHKNVISLIGFCFKNDDLLLVYEYLKRGSLEEILHGEKDCKKIFGWTERFSVAVGVAHALDYLHGNGNSRPVIHRDVKSSNILISECFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELISGRKPLGNGSPKGQESLVMWANSIIQGGKLTQLVDPNLPTEGHTDEVERMTLAASLCIRQAPQNRPQIDVVLKLFEGDNDILKWARSQVGLSYEVDSDECVMTPPAAGRNANIQSYINLAFDVDDDSASVSSTDFIAANTSLEEYLKGRWSRSSSFD >Dexi2A01G0036690.1:cds pep primary_assembly:Fonio_CM05836:2A:46140620:46141756:1 gene:Dexi2A01G0036690 transcript:Dexi2A01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPTSPPPPPELIHGSLIPALPDDLAIHCIALLPRAAHPTLALVSRAFHALLCRQPEPLLAARRALRLSDSHIILSLRPPSSASLLFFLLLPHPGWPPLPLPSPPVAVSSSSSVATDGSRLFLIGGSVAGVPAASVQILDPRARSWSIGPRLSSTREFAVAVAHSGLLFVAGGCVPSSPFWAEALDLSTSDAKWKTVASPIHLREKWMHGYASLAGKVLAVADRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVIQGILYSYDYLGQVKGYDPDTDSWSTVEGLERELPKFLCGATLANVGGLLYLVWEGKWKGKEEGKGKEEMRSMVVIEWAGIELAKAEEGRLRGKVISRDTVLFPGVPKGSTITHCIALEL >Dexi4B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:4B:15739071:15739568:1 gene:Dexi4B01G0014620 transcript:Dexi4B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVVPMPASTPESDKRPLTTGTVIFSYICVGLTGTALVAVVFFYCYNHYFRRRSPVTAAGEEANRGAEDLHVGVDVTKLPEHAYTQSSRRRSSGDAAQCSVCLGAVKPGEMVRRLPLCRHLYHVECIDMWLASHATCPLCRSDVEPPEDGQAAPTVPPQELPV >Dexi7B01G0024040.1:cds pep primary_assembly:Fonio_CM05836:7B:28448378:28451835:-1 gene:Dexi7B01G0024040 transcript:Dexi7B01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPERGEAPLPNSSPEEDAAAVTSSSSSEHKEDSSSEHKEDSSSEHKEDSSSKQPKASVQSCVFTPPFAIFEGQQDSTPLCEKKSPRSSSASYGWSRILRRFVGSSSMWRLLGCTRVLTSSDVWFLGKCYKVSPEESSSDADSDSDHAAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALVFHYLGRSWRKPPEKPYNPEYIGVLHLFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLIRTNREQDAAADGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGRPDDFDDFCSRASELVEKANGAPLFTVVQSIEPSKKMYNQDDGVGGSGSSMANDDDLDGSGEAEEWQIL >Dexi9B01G0049110.1:cds pep primary_assembly:Fonio_CM05836:9B:47899618:47906128:-1 gene:Dexi9B01G0049110 transcript:Dexi9B01G0049110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRAGERYDFRFSNFRAVQVPAVSDRLFISIVSVDTGKTIAKSSKAASRSGICQWPDTMLEPIWFTKDEVSKEYEECQYKIIVSVGSTKSGILGEIFLNLSNFLNLVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMSPRLEDRSPTPTNDDMDNRSDCSDNMFNRGVRSSAESHLGATNQDEAGNRETNFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYVGRQDSASSHVSYVSAGRGDDEFRSNNSSFSSRASGPTVLQGNTPKTFGNGLSQLSMGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLELLKKECSEKSKQQAELAVELSAAQAERDSYRHEIEELKSSLKDVNTRQTITGTPKRADWIDLQKEFEEEVKYLKESNADLTIQVNKTQEANIELLSILQELEETIEEQRVEISNISKVKDTADPENGLLVRGDTEWAKKLSMKEDEIKMLREKLDRALNVGNAGGADSNAVYLELEKENGILRAKVQELEKDCSELTDENLELIYKLKENGLTKGQVPHILNNNELQFEKLTSRIHQLEEELRNKEMLRDGSFSGASISSVDELQRKCADLELKLLKFRSQTCEIEEKFQKSQRDLEQRDIELSELRRKINGFHSTEPEVCESGGTRKCQSRTADVEGTESETDMLKARFELQLQENDSLRRSKVEMENFISGIQAEKSQLEERLSASLKESSITSKCLDEVRQDILVLSSSIDSHVSANKALERKIIELESCKAELELHISELEQENIDLSERISGLEAQLTYLTNEKESSELQMHESRSLIVNLKDKVERQQSEMETQRLEFKQKQHESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLIADLKKQKLELHSHLTQKEQELDDSKKRNFDFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEERINRAHFMLNKIENEKTLEVENLEREVISLTAQVSSTHEERENATLDAIREVSVLRADKAKLEANLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDTLRKTSNELELKLKSSHYEKQQMLEEISVLNLQVQKIMNLQDEVFKLQSSLDEAKFEKGKLEELLRSVTEECEELKAHKAMLTDKVADMQETLKNGEEERRSRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKLQSIEQENEDLTRRVQAMDKGLEQISHIKEENPGKQEFGGDDQAALHSKIQLLETKLAEALEKNKLYRAQQKCPMPEEQSVGEDGKEGNTDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAVKKGRWF >Dexi4A01G0021830.1:cds pep primary_assembly:Fonio_CM05836:4A:25214186:25219695:-1 gene:Dexi4A01G0021830 transcript:Dexi4A01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTASASVSAPVQPSAHLLRLSRPPPFPHLRRRCSPPPKPLVVTRRPPLLLLASRPSPLFTARAHGGHGHGHSHDHHHHHDHDHGHGHHHHHGHGHHGVDEHMGGGGAAVMRVARAIGWADVADALREHLQLCCISLGLLLIAAVCPYVPLLSSVGRLQAALIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIAEEYFTSKSMFDVRELKENHPEFALLLDTSGEEPVQFSNLSYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEYYSRVVVALSLAVAILGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLTCKAIEPIHGHMGVTNGLSDPSCCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKELPAVAVESFECLPGRGVTATLSGVKVTLFHFEDEPRSGVCEVISTLREKAKLRIMMLTGDHESSAQRVAKAVCIDEVHYSLKPEDKLNKVKAASREGDGGLIMVGDGINDAPALAAATVGMVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVNFLT >Dexi8B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:8B:171974:173001:1 gene:Dexi8B01G0000220 transcript:Dexi8B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADVVVDLVDPPVVPVHRGEPSTQVAPLLATVPGELVLAAMVVEVVPVHRGEPSTQVAPLLATVPGELVLAAMVVEQVVDTMTSPLSLGLNSSLLGRKCDPLLPGLLYNCTQQQQQQQQLNTSSSS >Dexi9B01G0037010.1:cds pep primary_assembly:Fonio_CM05836:9B:38494406:38501079:-1 gene:Dexi9B01G0037010 transcript:Dexi9B01G0037010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVADRSEPHEDAGAEDSEQNGRWAASLQSVQFVCVIITKAKEILLRIPSQKEQKRAAQMLRLTQSQTPEAHSNGRVSRARAWHQAAFKSEPAPTRLRGELVFWVREARRALRLEEVEVEPLKVAQYGFMAIFPDLHLVCRFGAGQEARPNQGHAAPLLHHRLHTGEALSPPPLEAFTIRPRHLDLPHNKGAIVAQDWCARRAFTRSHGIAAGAVPQRVPEEGAPLAGDDGARGPAAARRRRAQGAAAARDDGRRGRGGEATAVRRHHKGWQCRGCDRNHSRPWCASTSLAKLSNDSSSSSLPQSSIRTGTKSPIPSTTLPPASNTQSPPTLLTTPRRPPAAMASSSEPSKPTPVPAPARPPSRDFLAHLEAYLARRDGVDKLLKISRYSARLALAAGPPLPPAASARLKAFESSVGFSRKAFRLGKFVQSLNALRVHPHPPPALALLAYGGEGVYYFVEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSVAIKLEELAKIESSIKKRIAEGCGEESEVVRTMRGKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSACSARARPVHFQHERQDPLKRQPRANRVVTAPHPPHTGLYYAFRREEGEAIKPPRPYATRRCFSPTPPRDAAASADLARARADSTPPLQGFWREFRSLVPRGSAFCRPLFRVNSRAKRQGFLLIWAEFTEPRFGIRRFGLAPGAIEF >Dexi9A01G0043350.1:cds pep primary_assembly:Fonio_CM05836:9A:46829229:46838292:1 gene:Dexi9A01G0043350 transcript:Dexi9A01G0043350.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWLRQAEGALFGARVGMVPNGLLPDASAGVARRFDPERWAVAEGRTAELIARIQPNVDSEGRRLSVYHYVQRLIMNCFSCQVFTFGSVPLKAYLPDGDIDVTVFSNSEELKEIWANLVRDALEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIAVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLILYIFHIYNSSFTGPLEVLYRFLEFFSNFDWEKFCLSLRGPVPISSLPDMTAESPRMDSGELLLSNSFLDTCSSVYGVVPCTQENQGQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFAFGAKRLAKLLECPKEDLIAGLNQFFTNTWLRYGSGSRPDVPIDALHLKVVPSGVSNSNRSVTAFKKKAERNDSVLMQGERDDYSSRRNILVPEVSSNHSTKSSQDESISYLNSSSHPSAKEASDSNSVSITYRDDNGFVMNGELPSVSESSDMGHDEQVLFLDRPPTQHVHNPAFAPNIEDGSESEKHVAPNGVGYSRPFDSEARDPHIYDIDGMEHSPSGIHGDPLERQMEFTLENNGVDDGTSREANAEYSKRSGYVNVPSSHNSSSIRKAVDASSWDEKTLNTTRSSRDKWGKRSAFAAPATATHSKTGWQMGNATAHLPTEVENGPRNEAAAPITNEASEIVAASDSFSTKSRTVQVPNDFDPSQISMPNPVVAPFLIGSPQQRQANSSGLTFGPTDPPVPFVMLPYVPGNSDGSGPQVERSEEIDQLSGNITGQSLSSINDAHQPDSSATSAMPCSTMTEPSGEHKPDILNSDFIGHWHNLQYGRFCQNARHVGPVLYPFPIPPIYFQGHAPWYGPGTPAAPNVNWTQMVGHGQRVFPMVPLQPGMERGTGVLQHYGEGASRYRGGTGTYFPNPV >Dexi9A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:9A:10251163:10252702:1 gene:Dexi9A01G0015410 transcript:Dexi9A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPATACPTGSTTTAGASPPSSSSSLIFLGTGCSGALPDARCLIQPSAPPCAVCSTALSLPPDRNPNYSQESGHLYSVILAANFYSVAARFPNLVKQSLQEHDDFAKPSQLDWTIIEDDVDKPFIASELEFFPLPVGISM >Dexi9B01G0045800.1:cds pep primary_assembly:Fonio_CM05836:9B:45276563:45276865:1 gene:Dexi9B01G0045800 transcript:Dexi9B01G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVECAKCECCGLVEDCTRDYILGVRAAFGGRWLCGLCSEAVRDEAARTTTKTKTTTAAAGMEAALRDHMAFCGKCRRSPAFRVADGMRQMLRRCSK >Dexi3B01G0036010.1:cds pep primary_assembly:Fonio_CM05836:3B:38948928:38949170:-1 gene:Dexi3B01G0036010 transcript:Dexi3B01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLLLEKEYSKYRGLAQEAQSIQHDLRMIAAAMDDQLGAMGRHERTAIARLYNMEILDLAHDIEDCVDRFTHRLKCK >Dexi9A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:9A:13013052:13015388:1 gene:Dexi9A01G0017970 transcript:Dexi9A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMAAGECRNNGATEAEEVSDNILLDSGKLGALKRREFVDNLLKHVEDDNLHFLQRQKERIDRQVLFADCIMFVGLKSDKVKINILEGVSGIIKPRRLTLLLGPPGCGKSTLLQALAGKIDKSLKATAVAASAKSLQTEYILKVDVAETYKFTLLTTVPMENKYMQ >Dexi8B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:8B:5748206:5749101:1 gene:Dexi8B01G0005560 transcript:Dexi8B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQLDEQVRAWACEVRMVSYDMEDILDTFLVRVEGQEPATTDRFKGLIKKMSKLFNRTKARHNIASAIKDIKKQLQEVADRRGRYMLGDIMAKPTVAIVDPRLSALYNDVTKLVGITKACDELISMLSSQGGDGPHTDKVKKISILGPGGLGKTTLVKTVYENLKMDFCCGAFVPVGRNPDFKKVFKDILIDLDKQHYTTCFNMMILDERQLIGELRGFLKNKSVYF >Dexi3B01G0028990.1:cds pep primary_assembly:Fonio_CM05836:3B:27756887:27757756:-1 gene:Dexi3B01G0028990 transcript:Dexi3B01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATTPYSIITTSRAVPATAFTTTGAIADHPAAASYVSAATPPKPQSVTAIPAAKTRKAKSAARRAPHSSRQLRRSGEKPAVDSTTAAAPARDQKGDMRTAPRMGSAARSDACSGRRGRRKSRETGSTGSGFRRGSGGARSETEGAAGKEAEAGRKGQGRARRSSASGSRRSEESARKWREWAATRRRVEDAAPARRSGHGRVTGGSEGGVAGGESIGWCVAAEAGGRTMAVPCTE >Dexi7B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:7B:24280199:24282583:1 gene:Dexi7B01G0018590 transcript:Dexi7B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPRCAVSLPLAPTNASATGTGGGAGGKKAQQHPTASQVRRLCKQGQLDRARRLLLDALPRPPPALLCNAVLIAYVSRALPDHALRLYALLNHAARPAPRSDHYTYSCALTACARTGRLRLGRSVHAHLLRRARALPDTAVLRNSLLNLYASCLRHYRGGDSGVDVVRRLFDAMPKRNAVSWNTLFGWYVKTGRPREALEMFARMLEDGVRPTPVSFVNVFPAAASDHPSWSFALYGLLLKHGVEYVSDLFVVSSAIVMFSELGDVQSAWKVFEHTAKKNTEVWNSMITGFVQNGKFAEAMDLFIRLLGSKEVPLDVVTFLSALTAASQSQDGRLGQQLHGYLIKGMHGMLPVILGNALVVMYSRCGNVQTAFELFDRLPEKDVVSWNTMITAFVQNDFDLEGLLLVYQMQKSGFAADSVTLTAVLSAASNTGDLQIGKQAHGYLVRHGIEGEGLESYLIDMYAKSGRIEIAQRVFDGCANSKRDEVTWNAMIAGYTQSGQPEQVILAFRSMLEVGLEPTSVTLASVLPACDPLGAGVFAGKQIHCFAVRRCLDSNVFVGTALVDMYSKCGEIATAEHVFASMTEKSTVSYTIMIYGLGQHGFGERALSLFHSMREKGLKPDAVTFLAVISACNYSGLVNEGLALYRAMEEFGIAPTPQHHCCAVDLLAKAGRVDEAYEFVEGLGEEGDFVSIWGALLTSCKAQGKQELANLVIERLLRVEKKYGHAGYNVLLSHIFAAESNWSSADSLRKEMGLRGLRKVAGSSWIKVQDAALQNYPKNDHVCLNLDAPWV >Dexi9B01G0044830.1:cds pep primary_assembly:Fonio_CM05836:9B:44476143:44478145:-1 gene:Dexi9B01G0044830 transcript:Dexi9B01G0044830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAATATAAALLTPRLTRSAGRARLPSSSSSRPPLRRVAAMASSSFRPEDARSPPALDLPTPPLSKFKVALCQLSVTADKARNIAHARAAIEAAAADGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGKLKGKHRKV >Dexi9B01G0029780.1:cds pep primary_assembly:Fonio_CM05836:9B:32191160:32191969:1 gene:Dexi9B01G0029780 transcript:Dexi9B01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEEQAAPVRVIGGWASHYAIRVYVALKLKGVEYESLQEVVGNKSELLLKSNPVYKKIPYIDEVWASNGPALLPADPYARAVQRFWAQYVDDKIAPASVVLRGVINGDRDEAAAQVSTALQHLEEAFVKCSQGKSYFGGGDIGFLDIVLGSHLGWLKAVEKIAGVKVLDESRLPELTAWADRFYAHHAVRDAMPETDRLVQFNTYLIGVLKAKANPNA >Dexi4B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:4B:7411782:7413521:1 gene:Dexi4B01G0010110 transcript:Dexi4B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELAPWASFLAVVLATALVLVVTALYRRNRKSYKLPPGPRAWPVIGNLNLMGPLPHHSLYTLSSRYGPLMSLRFGLVPVVVGSSVDAARSILKTNDLAFIDRPRTAAGKYTAYNNSSMLWSPYDDYWRQARKLWQTELLSTKQLKLYEHARGEEVSAMLRDLHAESSSSSGAAVALSDHLMMASLNVISRMVLGKKYVVKGSSGSSEDTTTAEEFGWMMEELFFLNGAVNIGDVIPWLNWLDPQGYIGRMKRLSKVLDRFLEKVLDEHDERRRRDGEAFVAMDMVDKLLQLADDPNLKELFAAGTDTSAATIEWAMTELLKKPEVLTKATEELDRVVGRNRLVAEGDIPNLPYLEAIVKETMRTHPVAPLLTPRQSREDTSVGGYDIPAGTRVLINVMAICLDPSVWDKPMEFRPERFLGSSVDVRGQDLELLPFGSGRRMCPGHTLGLKMVHVTLANLLHGFHWRLPDGVAAEELSMEENFRMNVLRKVPLEAAAEPKLPAHLYVGP >Dexi9A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:9A:4303744:4308558:-1 gene:Dexi9A01G0007420 transcript:Dexi9A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPNLSLRISPPAASPAVPRDSPAALAMSPSTTQPSTAPYAEGSGEVGFFANPSPSGAEPPGLSLGLGTPARGGDVDAGGRRGHHLEPQQGCSFKRAATRASGGGGGGSKRSARAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSLPISTGEGEALPLQRTATGMEEAAAGGAAGGGGIAAAAAGGGVVMVRVPLPAACDDIVGICSSPSAGAAATTSAAHFLCAPPATAPLAVAPSPPPPIPPRSDHAPGVLEKGVAVVDSLQRCQKHNFSPQALQDTQAAQEEVNRHLAMGLHPSAAAVVDTNCSSPTSSSPSLASAELLTDDMYVPNLEISLGRQDWGMERPEELSLKYL >Dexi2B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:2B:29148741:29149549:1 gene:Dexi2B01G0018930 transcript:Dexi2B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSRCTVSSPFLGSALQATSSPPALARQIRTEPNLPCPISSPSSYSLSSRFFRPIRVAESMHPSLRFSPRPGLSGAARPLAGGAVITTPPPRPAEAEEAAAAAAAAEQGFLFFFSGRGLGSRQVRLRAAAAGGASWRDPAASEHAPRAPLRFRGRESIAEGIGGLGGGGVLAPLACHGGGGERKLVVRDGGREGLGASEGLEEENGGR >Dexi2B01G0018930.2:cds pep primary_assembly:Fonio_CM05836:2B:29148741:29149882:1 gene:Dexi2B01G0018930 transcript:Dexi2B01G0018930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSRCTVSSPFLGSALQATSSPPALARQIRTEPNLPCPISSPSSYSLSSRFFRPIRVAESMHPSLRFSPRPGLSGAARPLAGGAVITTPPPRPAEAEEAAAAAAAAEQGFLFFFSGRGLGSRQVRLRAAAAGGASWRDPAASEHAPRAPLRFRGRESIAEGIGGLGGGGVLAPLACHGGGGERKCGAGSGKVGGWWCGMGGGRDWERARGSRRKMEGDEEEDGGWSRVWRRRVGCFGRGAACSGSVRAEPGSVMVSARWDLPPPAMPCENHRRAPGKSRPRDATVPAMGVAVGATAMGRVWHWQRVAICQGGPGFLLTGGVRAGVTRTRDDEFVLGPRLALRSLEPE >Dexi5A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:5A:11400231:11403438:-1 gene:Dexi5A01G0014400 transcript:Dexi5A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINREDPSCAQSNANVAGVLINFSENNSLIQESSAGRKRQKKKGKKGRWPCFSSENDGMIGKNSLDSSIHHDLSCICASCLVEARKEKIKNIYSPRGSLVRFRRKKLLILDLNGLLADINQDFRNADKAHGKVRGKLVFRRPYCDDFLRFCFQNFELGIWSSRKRENVTSVVDIVLKRLKHYLLFCWPNTGIFPQPYSYRNEKDDCSLGPGGDLRIYLERIAAADDVQNFVRDNPFGQKSITESDPNWNFYVQIVDKVEKQVTDKVEKQVIDKVKKVEKQVITNKMKKKVIDKAKKDVGP >Dexi3B01G0026050.1:cds pep primary_assembly:Fonio_CM05836:3B:20981882:20982178:1 gene:Dexi3B01G0026050 transcript:Dexi3B01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLRLSLRLLGLTWVKKNQEPPSSHFLAGDGSKPDMSASWKCAAAAAGRTSPASFRRRKARSLSSAGVDAESPGCGVSAARGGMVDSI >Dexi1B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:1B:7257769:7258235:1 gene:Dexi1B01G0008660 transcript:Dexi1B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEVLWDRIGIIANVTAPVGEEEEIERLVRSISPAANRVYCLSGTQKFEIPKEGMKISEVFRAMEDAKSRLSILAWGLTDTTLEDVFVRVAKDSDSSTVA >Dexi5B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:5B:377203:379634:-1 gene:Dexi5B01G0000600 transcript:Dexi5B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPRPPACPTRLPHSTPSLLFHEPSATGRCFACHRWRCPMNSKSYNKLSSANDKMDSKTWGNTRSNGALEHNQSSLSMDPSAASKPAAYLHTPSDKKSRHMVSHPDDKKVLGPTANHAANTVPTTVSETGATPTEVTVRQGSFDSSRSNSFDSPSTSHIKRHTGSDCRWEAIQLATCRDSPLSLVHFRLLKRLGYGDIGSVYLVQLRGTEAFFAMKVMDKESLVSRNKLVRAETEKEILGLLDHPFLPTLYTHFETDKFYCLVMEYCCGGNLHSLRQKQPNRHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSVHAGANGVEKGLGHAAGANQGCMQPSAFFPRILPKKSRKTKSDFNVNGSFLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGSNNRATLCNVVEQPLRFPDNPPVTNVARDLIRGLLVKDPLKRIATKRGATEIKQHPFFEGVNWALVRGAHPPSVPDPVDFSQFRSKEKKATESTTVTTPSNLPAAVAKSTKTVDSAAKFEYF >Dexi5A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:5A:2903188:2903565:1 gene:Dexi5A01G0003820 transcript:Dexi5A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTARARPGSCSRRSRGQERARWCRGWRGSRGADYGARRPPAEVAGPQGAPVHGAEAGEDGLEEERDNALHCARSAASSARVPASAARVALRSRSSVDESHRWMRLHVSTESDCRLQLMAESLG >Dexi6A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:6A:28733452:28735037:-1 gene:Dexi6A01G0021510 transcript:Dexi6A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGAPEVVRVTGSRTVAPSKSRCSLATFDLPYITFYYNQKLLLYRTPVTDFPDAVARVTASLADALRVFFPLAGRIRQDADGSLAVEGDEGAEVLEAEAEAVAVDDLAAGDCAEDLMQRFVPYTGVMNLEGLRRPLLAVQLSKLKDGLAVGCAFNHAVLDGTSTWHFMSYWAQLCRSTDDKELLQPIHERSLARSVRVRLDLPESAEAHEKTDPNGPKKALVARVFSFPEATVGRIKAAANAALPPGAKPFSTFQSLGAHIWRAVSRARGLGPADITAFAVFADCRGRLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKVIGEHDAGAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGDGGIDVELALQPEPMQRLDKDADFLLHQPTEAP >Dexi7A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:7A:27628040:27628671:1 gene:Dexi7A01G0018160 transcript:Dexi7A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIFHVRDLAKLVKAGQLREAYHYSSNEAGLLALFLQDLMAIICFVDGQVTVATILCEWFINIYRHLVLAKYPCFVALVEDVLFLRRIVDFLYWQPVRNEAAKMVEEMACNTPELRGMMHYPRGRNSLCHVVSNGYSL >Dexi6B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:6B:23380356:23381202:-1 gene:Dexi6B01G0016100 transcript:Dexi6B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFAIVSMLLLLMAFSATASVAVATTGAAGSSCTRSCGNVSIPYPFGVETGCYHAGGFNLTCITRGHGPPELFIDDGTVQVLEISAEHSTVRINSTSEVPFDDSGRTTSRTWGLGIPESGPYFLSESTNILEAIGCNIQVSILGGVNKSLLVSSCSAICPVLTPDSGFMGNGSCTGIGCCQVSIVLGYTRYTIQTKWLSEFQGLPLGAVYISDRSFDYTSDMMFGIVPTAIAKSPRLLFCGPQRVFVSML >Dexi9A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:9A:9264025:9265258:1 gene:Dexi9A01G0014120 transcript:Dexi9A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTPRSAFVFTVLVLVSSSLLAVAGSAQTKPDVALPTAAGHGGVCGGPAVGEKCHNIRKALRLKLIAIPSILLVSMAGVCLPLFSRSVPALRPDGNLVVVVKAFASGVILGTSYMHVLPGSFNDLTSPCLPRTPWAKFPFTAFVAMLAAVFTLMMDSLMLTFHSRGKDNKASATVAHHNGHDNSPPQEHCHGHDVHLLDITVARPEGAVDKANEDVEAGKRQLQRNRVIVQVLEMGIVVHSVLIGVGMGASQSVCTIRPLVAALCFHQLFEGLGLGGCILQAEYGARMKSVLVFFFSTTTPFGVALGLALTEVYSDSSPTALVVVGLLNAASAGLLHYMALVDLLAADFMGPKLQGSVRLQLVSFLAVLLGAGGMSVMAKWA >Dexi2A01G0021920.1:cds pep primary_assembly:Fonio_CM05836:2A:33872924:33875137:-1 gene:Dexi2A01G0021920 transcript:Dexi2A01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPRRWPPGFRFSPTDEELVLYFLKRRIVSGRPSPYVADVDVYKSHPSHLPERSALQTGDRQWFFFSRMDRKYPNGSRASRTTGDGYWKATGKDRFICGGGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAAQGRESYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDEEVVTADATANSLPNTNNCPATVVEDATAGRELPIEDLDELLSQIGNDQEFGEAQLDFSTPVTVTSDDQGHGWLHDGGDRAVDVDGSVSNGAVVVADNTGTDLPLGDIELLLMQMSDDQQNAELFSDLSTSVPQLQLQCDNHQVWLDSLREQEVCATNPTASGGDVVAAECTDTELPVGDLEGLLLQIANDQDMVEPLSDLSASIPRHIFNQVGIGDFHESHGAPDGNLSCTVQESEFDPQTELGSLISYNSQDADEEFLEINDFFDLEDVEQSANCTATEHLISTTDGMFDNLEYSDAPTFIPGPFDTAGVVAENQFFDFGNSGIQNQGYQYMTEAL >Dexi1A01G0024200.1:cds pep primary_assembly:Fonio_CM05836:1A:30819835:30826823:-1 gene:Dexi1A01G0024200 transcript:Dexi1A01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPLYSSSGHNAAAGSSGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQALWVYEGGAMVNRPVTYVPGLYKIFDEILVNAADNKQRDPRMSSLRVEIDVEGCCISVYNNGDGVPVEVHQEEGVYVPEMIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGRRLKKYKQVFSENMGKKSEPQITKCKQGDNWTRVTFKPDLAKFNMTHLEDDVVALMRKRVVDMAGTLGKTVKVELDGEKVPVHSFSEYVDLYMKSVNSDRSDALQSVYAKINDRWEVCVCLSDGQFQQVSFVNRIATIRGGTHVDYVANQVANHVMTIVNKKNKNANMKLHNVKSHLWLFVNALIDNPAFDSQTKETLTTRQGSFGSKCELSDEFMKKVVEKSGIVNCLLSWAEFKLSKELKKTDGTKKSRISGIPKLEDANEAGGKDSEKCTLILTEGDSAKALAMSGIAIVGRDHYGVFPLRGKLLNVREANHKQIMDNAEIQNIKQILGLQHGKQYESAKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFVTPIIKAKKGNTTISFYTMPEYEDWKRNLGASARSWSIKYYKGLGTSTAKEGQAYFTDIDKHKKDFVWVDDQDGNEIELAFSKKRIADRKQWLTNFQPGTHLDQHETRVKYRDFINKELILFSLADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQSFVGSNNINLLFPGGQFGTRAQGGKDAASPRYIYTKLSHITRSIFPKDDDILLNYLNEDGQSIEPTWYIPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNDEPAVAMHPWYRGFKGSIEKTNNAKVSGATYTITGTIEAVDSTTLRITELPVRKWTQDYKDFIDSLAPDPRNKDKPTFIEDFSMQGDNDDVYFELKLSEANMNIAMEEGLTKKFKLTTTLGTSNMHLFDSDGKIRKYDTPEQILEEFFNLRLEFYSKRKEALLQNLNLDLKKLENKVRFIRCVVNNEIIVNNRKRADLFLELRQKKFDPFPKKKKQPEPAAVGATEEDEENEESPDAANGVDPSDYEYLLSMAIGTLTLEKIQELNAEKEKLVNEVEELKKTTPNLLWLRDLDNFEKDLDVLDQMDRVEEEERKKRREKNANKGGTKAGAKKQRKKGAAKQTKVESDTEGDAPEPVAVAGAQRKKTTKKANGAGSDDEDYMAGNAKREQKKKQSKNASAPVDDDEDVPALKDRLAAFTLGDSSPEHSAMETETTAEQNGDIGGKGPSKRGGGKKASSSSLPAIQDDEDFDVSMEVPDDQAQKKGRGRKAAAPVKPKATATRKRAPAQSKAKMLKPTEESNTAAPSPEKKVRKMRASPFNKKSGSILQRGSSAASSSSETTAEASPPSGSSAEAVAAPRRTARATTKKPPVYDLSDLDEEVVELTDDSDFDADGDSDDE >Dexi4A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:4A:1847200:1848257:1 gene:Dexi4A01G0002690 transcript:Dexi4A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGGSSLDLGLSLGLTSQGSLTSSTSSAAALSPWAAALSSVVGDAMATRDAHPHHHQHHYASAAAASAAALVDLDRAAMRASTSPDSAAALSNGASGGDNNNSNKRDHRDLERTGSGGVRSDEEDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLTDENKRLEKELADLRALKAAAPSPAAQPASSSPAATLTMCPSCRRVAAAAANHHQQQQCHPKSNAAAKNVVPSHCQFFPAAVDRKGQSSWNAAAAPPLVTRELF >Dexi9B01G0044960.1:cds pep primary_assembly:Fonio_CM05836:9B:44610903:44614783:1 gene:Dexi9B01G0044960 transcript:Dexi9B01G0044960.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRETTHVVAGGGGLVGEHKSKMAGCLWPCATAEVAGGGPGHSRKGGLFRSKPRTPPEVVQHVKELLTYVLDHMEGCAAGGKRDTKLEHRTQKDVTQVIANLQRQKVDSRLVASEYLETNSDLLDILMSRYDNMDIAVHYSTLLRDCIRHQIAARYVLESRHLRGFFDYIQFPDFNIQSDVFKTFKELMTRHKSTAAEFFSKNYDWFFAEFNSKLILSASNYFIRRQAIQLLRDILLESSNTTVMVRYVSSKEHLIILMNLLRLFVLNKDKPAEITDILHANRNKLLRFLKDFSTVDKEDKKFEADKGKVISEILTLALKS >Dexi9B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:9B:7316708:7318212:-1 gene:Dexi9B01G0011490 transcript:Dexi9B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDFYKTKFGPIFRGLGLEYDMSGLTQVLLDAARKAGIEGAEELLEDPSKGVDEWWPASPYIHEGV >Dexi3B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:3B:1853522:1855716:-1 gene:Dexi3B01G0002750 transcript:Dexi3B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGACSALARPPALLDYAAIHSCLLRGDASLSLPLLALLLLLHFRILAASASARFTPAVSHLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGPRGMPRAGLAAVISAGAFVSAFVFGAVALIAAPFAVPPASFARDVFFYLVAASGLFYVYLSAEIFLWQAVGLVLFYVFFVGLVFYMDLGAHDGKAVNNPAAEATMNLPVTVEDHKQRDPSLRTLLSKKETPETENIASTLISFIMSVFWISTMAGELLNCLAAIGVIMNFPPAILGMTVLAWGNSVGDLVADVALARAGQPTIAIAGCFAGPMFNIICVSSFELDGHPVGGHLG >Dexi6A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:6A:2727560:2731280:1 gene:Dexi6A01G0002970 transcript:Dexi6A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHRRVSTLHNLLHVMALIFFYSAGDGPKFSRYNGLSPRFSSSSRRSYSLGSVASEQVAATVLSRVPSTLPSVYHHKQMVNLTGAPTVASRGKPFAAPPQPRRKRSPSEVTVPVAAASNPNRRPPPPVKKKGPQEEEEEEEEEEEEGEGETCSAEPEFIPLPPVMAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLDNGSFAVLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQTLKPSLIISASKDESVRLWNVHTGICILVFSGAGGHRNEVLSVDFHPSDIERFASCGMDNTVKIWSMKEFWLYVDKSYSWTDLPSKFPTKYVQFPVLIAAVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQGVGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNIYHLV >Dexi8A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:8A:2354518:2357899:1 gene:Dexi8A01G0003290 transcript:Dexi8A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCSVELHIPTAIHNLGGAASLPDLVTALSLPQSKLPFLRRLMRLLVTSGIFVADSNAEVETYRLNPLSWLLVEGVEAEDHTYQKYFVTATVSRHYLEAGLSLADWFKKDLHAPLPSPFEELHGVPLVHETTKLLDEELDRIVNKVRAILRAYPNIKCTVLDLPKVIENAPTHDLVNYVAGDMFHTISPAQAVMLKLVLHFWTDEDCVKILEQCRKAIPSREEGGKVIIIEIILGPSMGPIMYESQLLMDMLMMVITRGRQRDENDWREIFVKAGYSNYKIVKKIGTRGIIEVYP >Dexi8B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:8B:27665750:27667709:-1 gene:Dexi8B01G0016480 transcript:Dexi8B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLRCSRPCSRPSAGSSWCFGGSLGEASNSTAASSSCVWVVPSASYAAPRRGEQLRGGLELHDLKTTEDEEASRGGESRRTRSRRPREAVNRGSRRAGGLARQRIEAKEAELEARRWELLGKQRVAGGSRGGGSRLGAITTSRRRESSSRTYDEPWISRHGELLQVRGEARRHGPAASSCAEPQGRAEQRCGPATTTSRRVVELARASSSSSAR >Dexi2B01G0027360.1:cds pep primary_assembly:Fonio_CM05836:2B:36328239:36330149:-1 gene:Dexi2B01G0027360 transcript:Dexi2B01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFSVPSLIMEEEGRFEAEVAEVESWWGTERFRLTKRPYTARDVALLRGTLRQSYASGEMAKKLWRTLKAHQAAGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSMPRAERAREPYVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDARDHQFILGVTNPGLKGRSLAAVLSDAMSAGKTGRELQAIEDEWLASARLMTFSDCVRDAISGLDSITDQEKQRRLREWETATGYERCVSNEQARDVAARLGVASVFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNVAECTAFAGGVKAACPEAMLAYNLSPSFNWDASGMTDAEMSAFIPSVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERINGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGKSY >Dexi7B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:7B:15242001:15243124:1 gene:Dexi7B01G0007340 transcript:Dexi7B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSARRIDTNDSLRLASLWHSMHAISQQLSPIPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAQNMETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIHKDRVALLGR >Dexi4A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:4A:1350290:1351816:1 gene:Dexi4A01G0002000 transcript:Dexi4A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLHARAEDQGNAQPQYNYFVEERDHILTHYNTIRHTFREGCLYIDAADLSAQVCALGFTAAHMAAEVMDSIGGISAVDSTGGISADTIHATLKVYVDVFVRAAEYCYKKRFMKRDVLSFLDALRGLASISHILLEASLEALSHTHPRESLSEYAYNCDIKTMHREFNLQMTNLEDDIRNTETMQETGKLVLPTILKGVKATESILVLMMARRKRALEKASKVVDSGGASPKF >Dexi9B01G0046020.1:cds pep primary_assembly:Fonio_CM05836:9B:45419461:45420455:-1 gene:Dexi9B01G0046020 transcript:Dexi9B01G0046020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALTLFVLAAAMLVAPAKGWNYGTATFYGRPDGSGTMGGACGYGNLYEAGYGTNTAALSTCYMIMCDSNASPWCKAGAAVTVTATNFCPPNWAQPSDRGGWCNPPRPHFDMAQPAWERIGVYKAGIIPILYQQVTCWREGGLRITIGGSTYFQLVRFSNVGGSGSIRSVSVKGTKTGWIALNRNWGANWQCNSALAGQALSFIVTSTGGQTLYMNDVVPAWWPFGMMFNTNYNFYY >Dexi9B01G0049070.1:cds pep primary_assembly:Fonio_CM05836:9B:47880318:47885937:1 gene:Dexi9B01G0049070 transcript:Dexi9B01G0049070.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAKPLGSTSRDIAWTQRIDRPRNGVRGGALLNNRPGPRGWLVLLLAPPSLPPARPSPSSLPSPSTARRARARVARYFYPRVASSPFSALQRVEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTTQPPGASTSGAESSALEVGTEKSEVYSTNMTHAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVDICAIQDYSLKFEDIEHCRAEIRDFDAFDLRLRLPAVLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDSCSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHENITKPNADGHVNNYIQVCRDGTSDDEKELRERLTGPDPNLRDEERLMIREYLEQYVDAEH >Dexi4A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:4A:892706:895008:-1 gene:Dexi4A01G0001380 transcript:Dexi4A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYIHNLTSSYTNKSNESTMVSSSVIMFVLAGLFFNLNLFSGISDVSTILNPKVRLILSSALSLFLPVMSYLFSEAKNAGVSGPAKRLGVADLSLRAGMILAWMLLVELLRKKVDEIHMRGYSGTIQRAGRVFWLGNLVFFNIGNTGRKAVFSILWILCATKLVQRIAFTEIGKRSYAHGKNPWLIRSYMVQMLQHSHQEEYHSQQDDDVEQAAAVDVGDELLKRCKYIVMGEEKLVEATTADGYKVNKINPQDGSVVTVGTVWELAEKDKFFTSLDEKQNLRRLCLSFALFKLLRPRFEHLPAATDEEVHDCRDIILKGLSNNGERTAEAVFQVMNDEIAFLSEYYHSVVPVVLASPNFLLVNYFLLYIVVAILCLITIVICGNGDVSYAFSSILTDNYTLRQLTIVCHTPHLVPNNLKQSIIEYLVQHDSGAADYIPLTNGTSALRRNRLFDQLSWACSSDSVAQVVLTWHIATSILEVMCAPQTKEEVALSRVATRLSKYCAYLVAFHPELLPGYQEEAELVLEDMKQELKGMLGCREYYLSWPHARVDKILEVKEASSEAANQGETTGQSDDQYKVVMKGAELGRMLMAEANNDLELAWKVLADVWTELIVFMAASNDEERVKGHEEVLAQGGEFITMLWALTTHIGISRPPTNK >Dexi7A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:7A:23045443:23057124:-1 gene:Dexi7A01G0012870 transcript:Dexi7A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEASALEHVKYLTSLGPHPVGSDSLELAIQYVYAVAEKIKKTAHWEVDVQLELFHTDIGANRLSNGLFKGKTLLYSDLKHVLLRVVPKYMPEAEENLILVSSHIDTVSTTKQINLFSREGAGDCSSCVGVMLELARGVAQRAHGFKSGVLFLFNTGEEEGLDGAHSFITQHPWRNSVRFAVDLEAMGISGKSTLFQGTDHWALESFAAVAKYPSAQIASQNDKMKLLKPGSLQHIGENMLDFLLYSAASPTFLKNAKQQKQGNTEKDKAVFFDILVGSSYIALIWLVSPAFAYGFLEATLSPVRLPKQLKVVTLVLGLAAPVVSSAGLAVRMADVIVGSIVRVDRNPGGLPDWLGNVIVAVAIAVVVCFMFVYLLSYVHISGDKRTLGLLVCTLFGISLALVSSGIVPAFTEDVARSVNVVHVVDTTRIDDGNREPLSYISLFSNTPGKLTKELVDLGDEEFFCGRNMTIDFVTFTMKYGCWSYKDSNTGWSKSEVPVVLVESDLVTDSARQTVISVDTKSSTRWALGINKQEIEDFTVQVDSEKLVLLGDKSE >Dexi8B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:8B:899432:899704:1 gene:Dexi8B01G0001320 transcript:Dexi8B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRKSSMANGTVSSRKETKVVQYRECQRNHAAAIGGYAVDGCREFMASGAEGTAAALMCAACACHRSFHRREQVEADYDCSSTTSS >Dexi4B01G0020840.1:cds pep primary_assembly:Fonio_CM05836:4B:22946123:22953553:1 gene:Dexi4B01G0020840 transcript:Dexi4B01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAAAETEASEQHIAVAPAAMLYRAAAIRRGAAGAFSTVSEKTDTGLYGFEVLRAAKGFRRFVDDAIQRSDELVAHIARLPSSPEIVRAMDEISNAVCSVIDSAELCRNTHPDREFVEEADKASMRIYEHLQGLNTNTTLYNAILKAESESALLTEEAQRAATMLRTDFEKGGIHLPKDKLEYVNQLNLEIAQLGRKFNENVMNKPGFVDVYPASRIPRNLQLNFKSVYRFSTGSVQKQNNLMNTAKQKGLRIVTDSGNVSSALRWIPDEEVRKQVYIVGNSEPRENIGVLNELIRARDELAKAMGCKSYADFAIRPNMAASSDVVMSFLEDLSNIVRHKADEEYKIIQNFKRRACDEKSADLEPWDADYFIGMMKSSVHNLDASVIAKYFPLSQCLKGLNVLVESLFGATFHQIPMRDGESWHPDVIKLSLHHPDEGDLGFMYLDLYSRKGKYPGCAHFAVRGGRRLSDLNYQLPLFDAKKAARESQLGHPSDPDAARIWQTRLPSKVKFFAWPLHHGRINCREYLHRRHMRALEESYCEHCPGTLETVAHIFVSCPRAQGVWARLGINVGAEICHYPWLAGLELQLPRATHLDVITLILWHIWKACNAVIFYQHTMTPQQVLRHILNDMDSWKTRYKRYDQEWEAWRLFLSSYVGLLPIVFMLAFQIIALVCNFSSSSGITPRLSHGDVETLFHEFGHALHSLLSGTEYQHFSGTRVALDVAETPSNLFECYAWDYRVLKTFARDETTGDPIPENLVKALNASLNMFPAMELQRQIFYSIMDLTLFGEQASEPMDTISTVADLKRKHTSWKYAEGTHWHTRFTHLINYGAGYYTYLYARCFASTIWQEVCQEDPLSRNTGSAIRNKFLRYGGSKDPSSLLKDFAGDAIIRNYGSGIIPDIGSLCKEIGL >Dexi8B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:8B:938994:939353:-1 gene:Dexi8B01G0001400 transcript:Dexi8B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVSIRVVGVADSSSLLVAEDVHSSGLDDALLTNLCAAKSAGSPLSSLLGQGR >Dexi3B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:3B:13751716:13754368:-1 gene:Dexi3B01G0018520 transcript:Dexi3B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINPFDILGADENDDPTQLLAAAAAAKQKAEAKKQAAATTEKGAQAAPAKLPTKPAPPAQAAISAIKDEFLVYGLFMSAHVSVRESRSGGAPSRGGFGRGERGRGRGGRGYGQYRDYGSEDANGFQGGYGAGGGARAEGEEGGQDRGFRPPYRGGGGGRRGGYRDGEFGDDSERPPRRTYERHSGTGRSFEVKREGAGRGNWGTTTDEIISQETEEGLKLDEKAPVPEKQAALEDAPQAEENKDNKDANEEEEKEEDKEMTLEEFEKIREEKRKALLALKNEERKVEVDKDLQSMQPLSNKKENDEIFVKLGSDKDALKKKENAERDERAKKSLSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGGGYRAPPAAPAIQDQSEFPSLGGK >Dexi4A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:4A:23034565:23035614:-1 gene:Dexi4A01G0019200 transcript:Dexi4A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSVATVPLAATAGMLYARAVAASTRPGPRRLLALAPVLALLVVLPLTIPLYGLRGLAAFFLVWLGEFKLLLLAFGHGPLHPSLRPLPFVFTASLPVKLRRPHQTQANATAVSPAAKGIALPPLVSSGIKFAVMASVFRIIFLFKETIHPYASFSLYGVAIYCYLDSLLPCLAIIGTALGMEMEPQFDKPYLSSSLQDFWGRRWNLMVSAILRPSVYDPVRSRLGAPVAVLATFLVSGLMHEVVVWYLTFRAPTGQLTAFFTRHGACVCAERWWCGATATATKTKTTTTPRVVATLLVVGFVAGTAFWLFFPAIVGDGMGEVYLAEMASQASFFLDAGGRLLRLVGLG >Dexi6A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:6A:9641724:9648326:1 gene:Dexi6A01G0008880 transcript:Dexi6A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAALLFICLAAAVHVAAAATLHDHSQCLDNPPDLSLRGVEAGKVVSDLPGGYTAYVTGPSSSKHAVVLASDVYGFEAPMLRKIADKVGATGYYVVVPDFFHGDPYNDSKNLTEWVKYHSPVKAAQDAKPLFTSLRNEGKFIGVGGYCWGGKFATEMAKTHDIEVVVLSHPAYVTVDDMKEIKWPIEILGAQNDTVTPPEKVRQLEQALHQRMEIEYIVKIFPRVAHGFACRYNTADPFAVKSAEQALTYMLDWFHKYLK >Dexi9A01G0025970.1:cds pep primary_assembly:Fonio_CM05836:9A:27492290:27499161:-1 gene:Dexi9A01G0025970 transcript:Dexi9A01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRAAKPEDEEASSAKAAKLRDLQAQVLQNQHSGTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNIKELSEPEAIKHAGSRVQVRRSSDALPGDGAVRKDALGKSGRFPSGGGGGGREEWGGGGGGREE >Dexi4A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:4A:9461773:9463939:-1 gene:Dexi4A01G0011400 transcript:Dexi4A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVTAFTHRRRGLGGEHLRPCHHVAPLLTTVPTDGRVQHPRNLIGVRHGLEPAPAHWSAVIGHASIATPAAAASNTEFYPQCVTNHPTARCRSAATCGAHPRITRPLPPDAAATRSSNPSGSGAVVPAAHTNATPVRSSASASAASCGGCSTAVLAKLMYTTEPSSVNLSSQAHRSTADTVVSPPRPPAASGPAGPTGPTACTRLRPRSASGAARYRSSSRRNVLDTNADASRFQRKLRRTCSESSSPSLYVLYSSDNCLHGYTGEPRRSGKLMRLSSSVSSSRLGARRNSEWRRSTAEPYTPDGQNTIPGTPSSAASGWAHPQEWSASTARTRSGVPDLSRAEEASGARRPARATTSAAKSVGGASLAHSTTEGRVGWEGNERTSARMAPPSSRCAARSGAVAGVTTTVRRRPPREARRPVRSRSGIMWPCAGYGTTRTWGAAGAVGWLVAPAVAAMAMGRVAQRTGQSCWRYDGGDDWWDGGGAVAAAASCVQTSAKATRMAAWWFRRVEGEQAPSMSTL >Dexi6B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:6B:1894162:1894554:1 gene:Dexi6B01G0002160 transcript:Dexi6B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSRVIVCRRKPAASSAGEEQAAASCCKKNSTNGWSKRRRGRRGGDAAIVAIKEQLLRCSSSGEQGRRRRFKRGYLHAGGDGRGDAADSAIFYLACLVCTTGRHRAALSLPTGVEVVPE >Dexi5B01G0029400.1:cds pep primary_assembly:Fonio_CM05836:5B:30630003:30631402:-1 gene:Dexi5B01G0029400 transcript:Dexi5B01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNISGSHVADKVDGLTPLHWDPLFSMIMNGSNITPEPKDKDITTSVYVATHAMNSESYYGIQVTSDVYDFPLQQDERSGIFIQINHIRDGRESIRNSISVGWHVNPSLYGDSKAHFYVYWTRDGYKSTGCYNLQCPGYVPERNIPTVPGIAIDAVSKPDGVKRTIIFKVFKDSAGDWLMHIGFDSEPYLIGRFPKSLFTSLGDKADNIRLGGFVATRTAQMAPMGSGFLSNNPKSASFSNIQLIDQSGGTSKVRRDEPVYANDQNIYSVSPINADGKFTYGGPSK >Dexi7A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:7A:23181168:23189483:-1 gene:Dexi7A01G0013000 transcript:Dexi7A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIAKVHLEELKRLVDLKAALRQSNLNPERPDANHMRALDSSIKRITTVIKKLKMINDEVKDALIEELKTVNLSKFVSEAVYYICNAKLRSTDIEAAVQICSLLHQRYTDFSPCLIQGLLKIFYSGMSEELNLDNNARAMKKRSALKLLMELYFVGIFEDFFMGLNISSDQKRFFKKTFCSYYDAASGFLLSEHASLRVMESENAKILNDKGELSDENTALYEKLRRSFDQLLHSVSSLAEALDMQPPVMPEDGHTTRVTTGTDVTPGKESSVVQHIWDDEDTKTFYESLPDLRFFLGLPVIFYFPLLGTELLEFTMPSKTEVSDNCENSIPDHQYDAKANDEPKNTMNTKKETFEEKIDGRKGDTEIEKVRSTDGASLDNLLQKLPRCCSRDLIDQLAACLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMSNMLEILRRLKNAKNLDAHHSTLVENAYYMCKPPERSSRISKVRPPLHQVLEEIRVGLELNNYAMQQQRLAHMRFLGELYNYEYIDSSVVFETLYLLISFGHRTPEDMFADLRPNMTRHSSIEGLNDALIQLEENERVITAEKCEHERHSDSESQLKQSAFDANGKRAANRPKKNVKDHDEVAESESSFDSRSRYLNAHEDGEHFPYEERSEDRLENERHSDGTDAPIGSDEEETVDVQRTVVQVDPDQEDFDRELKAILQESLKSRKLELARPTANMTIPMNAFEGSKDLMATEAADKENVCGEIGQPGDLGDVRVKVLVKKGHKQQAKQMVIPGDCPLVQSTRQQGAAELEEMQNIKQKILEYNEREEEELSRVSLHGGDWGQGGSGNMPLAGSPGHVSWNGPKRGGGVRRHYWVAGGFYRGYGRR >Dexi5B01G0040150.1:cds pep primary_assembly:Fonio_CM05836:5B:38762173:38762454:-1 gene:Dexi5B01G0040150 transcript:Dexi5B01G0040150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASMQASSPGPAVRAPTLTCTAAIPAPPPAPTTARSGACGRERDDVVLDLPEARARPSATAAVRFFYQVREADVRSLGVPPRRLGAGPNAF >Dexi9B01G0029860.1:cds pep primary_assembly:Fonio_CM05836:9B:32240118:32241650:-1 gene:Dexi9B01G0029860 transcript:Dexi9B01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGRAIMQVMKRGSTSSVSEADDKEVQLSPVAVMDFPFDDDEEGEDAGACSPSPSFSLERLQRRKMLRTQHKIRQFGSTEELGSVDLETLLAATSEDANDLADDVPEQRATQRSTEDEARPIRSHRGVGVPNEPDEHAILALLMDAGMDDHVTKRLLLDFFVEMKRRRGGGSMQHHWGELSALPARLLLPREAAERLGDDGDVVAAARGWLDGAGSERWGLNDVLRGGSALVAEMDRGRRWMQIGEEEREVGVVVAGMLVDQLVDEVVTWCVGFASVVQ >Dexi3A01G0027380.1:cds pep primary_assembly:Fonio_CM05836:3A:24635296:24636698:-1 gene:Dexi3A01G0027380 transcript:Dexi3A01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSFQRGDERRGRWGSPERTVVWTEPRPTPKPTRKVAVVYYLCRHDGHLDHPHFLEMELPLSSSSHRASGLYLRDFTARLDGLRGSGMPAMYAWSAKRSYRNGYVWQDLAEDDLVHPAHGSDEYVLKGSPLLLLPHHPAPAPRYDADASSPSSSSGRRRRNNWSSFDLGEHNHKLAAMRSAQNCAATQTNHLHHLRPDELQESTELAIDEISPPPSSSSPDAGGEREVGVIAGGRMRASAMLMQLFSCGSIGAARRGHARGRSSSDLLTSAGGSGRQAADKEADADASSAVGAECSSGGGGNGISAGVVNNNVMDRDYFSGSLVESGSKTSGGGGDAALLLKRSSSCNADRGAAKMKVPVGAREQVVRAGCLASRGSRAAKRNASKSTAAEVRAGDGGECTKGAAGELESMKHAS >Dexi3A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:3A:9487189:9489645:1 gene:Dexi3A01G0013050 transcript:Dexi3A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLADTNLALPGCISKCGEVSVPYPFGVGVGCYRKGFELTCNETYNPPKLILEGAEVLYISLRHGKVFVDNGIVRLTGSNAYNITWGIPLDDSIFTVSPFWNNFVIMGCGFEFLVSPADVDNMVVRCTSSCLLGRPAVANDGFCSGVGCCEASMPGAGNMYSIKVASYPSGNDSAMRGHPFNATLVMVDNEWWGTGNNSLSLQKEVSDALVTSWGISGSAGPVQAKAVVKWNFSNSSCADARSSSDFGCLSYNSHCHDHWTGESSGYICRCSDGYEGNPYILNGCQAKVSDFGASRGIPIDQTRVTTAIQGTFGYLDPECYKTRQLTEKSDVYSFGVMLVELLTRQKPHIYMSPAGNSLVEQFLLLQKQNKLSEILDPQVAKEGDEDAREVAEVAAMCVSSSGEDRPTMKQVEMRLEALQSASTNIGEDPRPGEHVVSIPSAGRRSGNADGSRRFSMEREILLSMDFPR >Dexi9B01G0021430.1:cds pep primary_assembly:Fonio_CM05836:9B:16161036:16162631:1 gene:Dexi9B01G0021430 transcript:Dexi9B01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSAARLLGSAYCASAAAAPGAAAPAEQLVTPPPPPPPPRHLAACSAPLESCGLGDYSSSATASGVACELSRSPWDLIAELSLSDPQVSASAPRPLPPSLSPLSIRSGQLCGVHATRARCLGEGLALFPRSRGLVEDDLVDRYFVHVTTRASWLFSASMPVSSSKKAAAAARERAAAARERAKRRRDAARRLSKKAAASKKDGEASSKAKVKKEEEVAVPVQVWKCKKNDGKRWHCHRTVSQPNTLCNYHIVQKRAYLNPDFELDSAMEPDEEEAPAPAPAPVPAAASKPSSNSKPRKKKPSSDFNATEGFYYYAGFGPFRSKRHCRSGGTNEPVLAKQEEEEEAPEPEDASPPPADQAPTAENKKNQAAVKAEVSSCDDDIAGIAGVDEDTSDDDYDGIGIAGSSMDPQARNGDGKRKTPWKRWRKPVKARSLMSLM >Dexi5A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:5A:11046535:11050110:-1 gene:Dexi5A01G0014200 transcript:Dexi5A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGFRSIVQIMGLGNLKPNIVVMRYPEIWRLENLTQIPSTFVSIINDCIIAHKAVVIVKGLDEWPNEYQREYGTIDLYWIVKDGGLMLLLSQLLLTKESFESCKIQVFCIAEEGTEAEELKADVKKFLYDLRMQAEVIVVTMKSMEARTELNASAKKDPEEEHASAQHRIRSNLSEMRKTAQREGRTLTEGGRQILVNEEKVEKFLYTMLKLNTTILKYSRMAAVVLYFFRQSNTKTTLGIGPKTQPTKPDQHIASPPGGRSRSVKAHNCKAQASNKACFVIRVSLSKLTIEPRRKAPRSTRGFEMAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEE >Dexi3B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:3B:10407350:10407574:-1 gene:Dexi3B01G0014410 transcript:Dexi3B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLRRVPPNLIDWSTSMSSPLEPSSSSSSNIDDAVGPPVILDLLLPPPLLGLPDDADDVVSLSSMATTHGSLP >Dexi3A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:3A:16169717:16173419:1 gene:Dexi3A01G0020370 transcript:Dexi3A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGRIATGELVTALRSLGQNVDEAEARRFLEEEAGVPAGADDAGIDLAAFLAVAARKMGAGQSAERLAECFDVFDDARSGFIPAEQLRQVMVSHGDRLTEEEADAMLREADPRAEGRVEYKEYVKVLLRDKKQ >Dexi1B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:1B:8951935:8958509:1 gene:Dexi1B01G0009660 transcript:Dexi1B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPACMLLVVRGLLLLLGHVARSCLPVVASWMDRGASGARACGMEHGLRLWYADDARAMLILTHSVEDDITYLRLQSAHEMSLRTSVEIRLANKAERLKQQGKLLEMVDHRLGSDYSEEQALRLMNIALLCTNTSPTQRPRMSSVVKMLCDQIPIEVTPDDGDLSEDLRLNFAQSHRSINNSKTDWSHSQMTSSDPSILLHNKDRDSGYLPSSSSSSLKL >Dexi1B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:1B:23100234:23101894:1 gene:Dexi1B01G0016580 transcript:Dexi1B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAFATVVGGEEEDATGQRRYYGGGRVTAFVALSCITAAMGGAIFGYDIGTAGGVSSMDPFLRAFFPDVYRRMRGATHVSNYCKFDSQLLTLFTSSLYIAGLLTAVLLASWLTARHGRRPSMVLGGLAYLAGAAVSGGAVNVSMAIIGRALLGVGLGFANQAVPLYLSEMAPARYRGMFSNGFQFSLCLGALLATVVNYGAEKITAGWGWRLSLGLAGVPAALLTAGAIFLPETPNSLIQQGRDLSDVRALLQKIRGTTTVDDELADIVAAARHVAGDGGLRLFLTRRRYRPQLAMAVLIPSLTQLTGINAIGFYLPVLLRSIGMGESASLLSTILLVIISSASTFVSMLAADRFGRRTLLLAGGVQMLAAEVLIGAVMAAKLGDEGDLSKPYAAALLVLVGVYSTGFGWSWGPLSWLVPSEIFPLEVRSAGQSVTVASGFVFTILVAQFFLAMLCRMKAALFFFFAGWIAAMTAFTKGLPIEHIDKVWAEHWFWRRVIGVDEAQASEKL >DexiUA01G0023710.1:cds pep primary_assembly:Fonio_CM05836:UA:48461292:48462482:-1 gene:DexiUA01G0023710 transcript:DexiUA01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFFTYDPYDYYYASPYHHYPYPYYHHHQHQQTASPGPRTSGFFPVAADAEPAAAAVRRAAAASPAPRARSVSIPVHFVGPNPDPERETKAATRPRADPVAVAPVRRAPSETEAAVRLQAAARGFMARKSVRAVREVEREAEEIERKVEREAEELRGDDARARITVGEALMRLLLRLDAVRGAREYRRRVTKRVLALQDAVDALEPKAAPATAAVVVEEENEAEPVAVEMAEEDAVDQEVPDAADHGGAENDAKEVADEMEVDGERGEDGELGAAAEDTAEQPSDSANVPEGEWEAVTEEHAAPASPAAARQEVESRSADADGVPEVRKVMEMVAALCERSAQQFAVIGALAERVDALERAVRRVEDAERRRRRAKKLRKEGKGSNRGKCYSD >Dexi7B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:7B:14834174:14836196:-1 gene:Dexi7B01G0007060 transcript:Dexi7B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHHQAAPAVSGGAGGEGGRRSSVGELLARCGAGLCSSVAGFGRKLGKIARDDPRRVAHSLKVGLALTLVSVLYYVRPLFNNWGLSTLWAVLTVVVVMEYTVGGTLIKGLNRAFATLAAGFLAVGAHKLADLCGAKGEPVLLAVFVFLLASAATFSRFIPEVKARYDYGVTIFILTFSLVAVSSYRVEELIRLAHQRFCTIAVGVATCLLTTVFVIPVWAGEDLHKLAAANLDKLAEFLEGLESECFGENGPGENLESKAFLYVYKSVLHSKATEDSLSNFAKWEPPHGKFFFRYPWSQYQNLGALSRQCASSMQALASYIITLTKSQHPEANLELCLKARTACGEISLNSAKALRELSAAIRTMTVPSAAKTHMSAAIKAARSLGAELAEDDDLAKVMHVAVVASLLSELVVQTKKIAESVDNLAKSAHFKKPAEDTQKDVVINVGS >Dexi4A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:4A:2000058:2000432:1 gene:Dexi4A01G0002860 transcript:Dexi4A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSTEARRVLSTATAAAAARAARSRGRRDGVERRRGVAGGAEWRSSWTWIGGDVSRRLLGQPEGRRVLSTVTAAAAWSLGRRRGLEGVATAAWRGGASRRRCGEVELVDKDREEADATSDAE >DexiUA01G0007490.1:cds pep primary_assembly:Fonio_CM05836:UA:14120699:14126643:-1 gene:DexiUA01G0007490 transcript:DexiUA01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDGGTSGDVHALTGSLPGVSIDGLCYYERSDSLRSDSLYWRSNLLSPSSNSIRLRSARSSALVSFALAQQQPTPASVPAAQLLALLSLYENNSASAQRPMLSPLAAYHSLSVGWNITQEVEEDRDTPRLLSSALLPPETSPLTLPLNRIGVDAWPFGRPLADRPGIGAIWHRLPQFSPGLMTWHLAKVAGRPAKNWPFGQILIVLCGRPARIWPLGCDIFEAPGALCAWPESGRHVAGVRRRPRRAGRAAATASPLPSHHVALDRPPCLAPRERHHGELRAAVGRALARVARSRSTVIPPCYARRLLCSVSHSLTRRSLSPNRAARALPELTPPSWRSPCAEPCVRPLPRKLSASSLAHFTISLPRAGSRRLQPPAARTELALRVKFTLVPPPFPNPSRTELDHFPSFLFPHFCRAIPNSPARNRIFPQIRVSGRRSTRTSSPYFEPSPRSTKHSTSFAESHWCSRTPPTPARSTTLAEIEPAAAAPHRHQSTRGEPQVLFPHFPDPLSSSFGRRNSGDEPRT >Dexi7A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:7A:27489232:27491309:1 gene:Dexi7A01G0017980 transcript:Dexi7A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRWIELRRLTVTESGSASIRRRTPTTRPRRLRSRPASGASLILCCRFAAARRRPGLPRCRPLNSLSLSFVDAAGYHQSALSSAGTRLRSPAARRMLHPILDTSPGEASPSRARALQSPSASLWVQIPALPMSARRQAWQFAAALVFFHGSEYVLAAAFHGRRNVTATFEAYRFMRHPGYFGFLLWAVGTQVMLCNPVSTVAFTYVLWRFFSKRIHRYEEYFLRQFFGSEYEEYALRVYSGLPFIK >Dexi2A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:2A:25783782:25784878:-1 gene:Dexi2A01G0015140 transcript:Dexi2A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGPTTSIHNLTNDLLELVLLGLDSPLYLVRAASSCKRWRLIVGGTDGAFLRRFRSLHPPAVIGTYYSINQHDNPPSYGYSHNWPEVDPVFVPSSAYASDGLQLSLDFVPPPADGPRELVDGRGSLLLLLREKERPERRSCMCCIHYADYMTPDLDNDGGVYLPRLEEVHLAGRTGGRIYWSCEDKQIMVLDESTLRFSTLVISEHMKNWEEFGRNNLRIGN >Dexi5B01G0024260.1:cds pep primary_assembly:Fonio_CM05836:5B:26319072:26324458:1 gene:Dexi5B01G0024260 transcript:Dexi5B01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPYASDLRAFLAAAAVAHLSSSSPAHARASPCAPLPALAPPPPRLRAFASSRASPPPSSPSSTGAVVSAGASAAAAAAACEQGAPKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGADPAEMLRVPAIPLGALLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLRNRTGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPRHLVTYRVFGEPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDKVSS >Dexi6A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:6A:24134140:24140628:1 gene:Dexi6A01G0016240 transcript:Dexi6A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEEEEAESSLAATLLLALAVFVVVPGLALAVARSWWRRAAARREEVRRLARLASEESELAERESVLAYYSEMFPAVVHAVEVPEAPVWGPSPVVAPAQEDAAEAQPQPPAGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKDECHPPSVNTRPDEEGKVEQERATEENVPILVKPVAETNKPVAVGSETSDANHSLKSLSGEGKHTSLEDVCISTEVPAGHQSNSTIKNPVSVDSGEMASNMKHAHFIEDGSSSKDFNEVSPCKTRATALKMGGHSTSFNEESFNHSKEHYRAQDASVVEDCSQTSHNRELKDTNNSRAATSVVLEPKNSRTPIHVELERSKTKSVGSDNIQSTKPVPSALSVDKATSVRGGGSVIPNPSKVSDNRPDRSFKPSERSGSTANNLATSLKKMVRHQTVPKAVRHHYPSELTLFPYDVFVNLYEKVELLPFGLHNLGNSCYANAVLQCLMFTRPLTTYLLKGLHSKNSRLCFFRCKSYERAKKKLTIEEAPNVLTIALKRYQSGKFGKISKAIRFPETLNMKHYMNVDTDDISPVYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWFKADDSQVKPVSLDNVMSKCAYMLLYARCSPRAPSSVRRAMMVQDPARSKKAKQKVVLGGTSWRGGSFNRHQDGHPQRDHTADDLAHTYDEYGDAPYPPAESPSPSESSSLFSNSDAGSHSTVSTDSSDSTRNSTSTEEYEYLFGASDQMYPGGPMGAPTENDYSTYSRSRSSLSTSSSGRVEDDDERFAQHKPHSGGAGSGWVVGDESPSVLYTDRSKHSSSRLTDQYRQLDRSGHDPGETRGGGLLRRSARDRTAQTFY >Dexi9B01G0040560.1:cds pep primary_assembly:Fonio_CM05836:9B:41075663:41080195:1 gene:Dexi9B01G0040560 transcript:Dexi9B01G0040560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTYQSAIDSKQTKLRSKEHRVPSRWISVVHTHIPAWEAWRRWRRGGAQPLDQRSAHSHTCVGGMAAVAARGVQQAGTMGGVERQAARRISAAGMGGRRRRWVEGQNPTNERPFLLLVAALRFSALKPSRAGRLGKRARCHQ >Dexi4B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:4B:10829127:10831573:1 gene:Dexi4B01G0012830 transcript:Dexi4B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPSEIRNLPIDIAFARLQEWLVDRKRVPQDWRKRLAGIRARIAAAFPSLPRDLHPSLLGVEPEEIGYLEAKKIYSILLDSNTESRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYVEACQELGLQGVNVQEELIESAKTLPSTFSKILEVLNSDPVSKAIEHYTAFVRDCHSEDKGNCDSVLHNLKKLQECPPSLHVSVYNEVENYTGEALKSHGSIEHIDSNIPAEDIDWDISVDANEIDWDIGAVEQPVEESGDGFGSYEIIDANIELVGSENYNVSVLDNAPLNKESLATSQSGICWDITADNSEESVHDSATTQNAPVLAEVRSRLLEKEYRNDILDDLLEVKSFLTQRLGEMRNGDTSSLQHQVQAVSPFDLQQYAPDSLDNMLVEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQVSEIS >Dexi2A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:2A:1470285:1472521:1 gene:Dexi2A01G0001970 transcript:Dexi2A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLVETMKLKTMAAEEPVSPVERLMKDLYVVAAIGLAMPLNAAVFRAGIEAQLARHPYFSSIQVTDKHDGTPRWVPTAVNIDDHIVVVSNLAGAEEDDDPDKAVEDYLSSLSTLPMDHTRPPWEFHFLDVKTSEAASTVALRVHHALADGMSLITLLVSASRSATDPALPATAPPPPARRKGAIYAPPSSASFVWSVWSYLVVAWHTVVDVVTFVATIFFLRDPDTLFKREDHGEPHRRRMRFVHRSLSLDDVKFVKNAMNCTVNDVLVGVTSAALSRYFFRKAGDTKTRETVLRSILPVNTRPTTSLQMDVDMIKSGKSDAGLTVHYQSYSNCIKVILAVDEAQFPDYRQLLDDFAVSLELTKVAAANTSTKSIKGD >Dexi6A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:6A:4421497:4421829:-1 gene:Dexi6A01G0004850 transcript:Dexi6A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSATKHLLLVAVVTCSFLSVSVKTTTHAIKETDQPAHQSVNCPTCIIPHERDALLAFKQGITSDPAGLLDSWKLGGQGEQDCCRWRGVQCSSLTGHVSELRLSGHSDG >Dexi8A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:8A:23470966:23472207:1 gene:Dexi8A01G0013510 transcript:Dexi8A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGELLVLASLAVAFVLSGGVAAAGECGEVSCGMGSCVESGDYVFGFACQCKPGWSRYHLGELQFPFLPCVIPNCTVNYKCQGGSSPALAPPSPPPATNFSIFDPCLMQYCGDGGACEKASDFTHRCACRDGYANLLNDTSYPCYRQCSLGSDCKELGIVVMDGSTPSLSPPAPFSVTVKNSSDGAAGAPADRLLELLLLVSFLWVLAM >Dexi2B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:2B:30327390:30330206:-1 gene:Dexi2B01G0020190 transcript:Dexi2B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFVTACVPVLNMLLVTGVGSFLASDVASILTKEARKHLNNLVFYVFNPSLIATYLAKTITMESLGKLWFMPVNILLSSIFGLFFGWILIQVTKAPVKLKGLILGCCSAGNVGNIFLIIIPALCKEKGSPFGAPDACQTYGLAYSSLSLAIGAVFLWSIAYNIIRATAQVPEEDGDHRTNQPTVLISNATGPVLEDRCSTSDSNIECTLPLISTDIPARKFKVPLSERARQLFSLIAGGVDIKKLFAPSTIAVVLFDLNPDNTIFPAVTLIMGGNLLKGMRGKATVQPSVIAGIIAVGYVLLPLLGTAVVKGAAHLGLIQPDPLYQFILLLQYAVPPAMNIGTMTQLFGVGESECAVIFVWAYALASAAVTAWSAFFLWTLSS >Dexi2A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:2A:16574:21589:1 gene:Dexi2A01G0000040 transcript:Dexi2A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTREEAQPESCRCPDADDPETSRPERDDPETSRPERGDPETSRSEHDDPSPGAPPPPLRQQIVGACRADERLRPLLTLNVSCTAADDRFIAHLAQHFEVSEVGMLARCLCIPLVSLRVGKVQRDGTLLCPTHIRGKLNLGLLPSSSICLTFVGDDGYSEQLALLSNGFEFLEVAIEEISADNSGRCFLVRISESKVFYYWCAEKSKEHGIELLTKVPTFTPLMSDPIVHIPVIDVCSAGQAYLVNKNVDAEPKDKGFSTSVFGNGIGGAELHSGDAFSSGDDSQEPFAEYDNTTTDCDVQHCQKI >Dexi6B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:6B:21193950:21194286:-1 gene:Dexi6B01G0013490 transcript:Dexi6B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSGSWQNCVLLAVRCGKFAEKQQTPASLSPEPKRVRPSYPFPELVSSGRLERRSKQCNQTCCIFRGSS >Dexi1B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:1B:8377635:8380495:1 gene:Dexi1B01G0009340 transcript:Dexi1B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAGEAAETSGRGGGGGGGAGLPLAVRELLAGGVAGGVAKTAVAPLERVKILFQTRRAEFRGSGLIGSFRTIYRTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVGSDASWILHHVKGAVNASFRECKPSEQVYKGIMDCVKTIFRQNGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKSHVPEEHRKDILAKLGCGSVAGLLGQTITYPLDVVRRQMQVQALSSSSLMGKGTFESMVMIAKQQGWRQLFSGLSINYLKSAS >Dexi9B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:9B:13996774:13998942:-1 gene:Dexi9B01G0019400 transcript:Dexi9B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLSAASRRSAPSPIQQLSHLAQRVGAVNLAEGFPDFPAPPHVKAAASAAIAADLNQYSHVQGICDILAETAKRDHGLDVDPLTDYVICCGQSEAFAAAIFAIIDQGDEVLLFDPAYETYETCIQLARGVPDYVVRRDFILKLLEDLGLRISFKPQGSVFVFAELPRSWELSDIDFVTNLINSAGVAAVPGRGFFHGDCDSESYHHRYIRFAFCKGDDTLMTAALRMRKLADGTGRKWLTGSGRQEDQTASASP >DexiUA01G0014340.1:cds pep primary_assembly:Fonio_CM05836:UA:30459316:30462762:-1 gene:DexiUA01G0014340 transcript:DexiUA01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFGLFVSLSIVFKELWQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQDAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIQDEKIASKLPTDDKKKIEDAVEQAIQWLDSNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMAGGMEDDAPAASGGSGPKIEEVD >Dexi5B01G0037500.1:cds pep primary_assembly:Fonio_CM05836:5B:36973028:36973452:-1 gene:Dexi5B01G0037500 transcript:Dexi5B01G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQAAVTSTTTVGWPCPRPRPPGIAFSLGSFVSPAADAMRPSDPANRRASPSGGAHDSDTGGGSRPAGT >Dexi4B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:4B:8130540:8131070:1 gene:Dexi4B01G0010760 transcript:Dexi4B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDSTGGRIVVGCVPYRARADGEVEVLVISSQKKGPAGGVLIPKGGWELDESMDEAARREAAEEAGVVGELGPAPLGRWCYRSRSYDATYEGFVLPMRVTAELDRWPEMGARRREWVSPAEAIARCHHAWMREALQRFADTVEVASTVETAAAAALLSSAL >Dexi6A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:6A:28591942:28593806:-1 gene:Dexi6A01G0021300 transcript:Dexi6A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKQALIYSFVAKGSVVLAEHTAFTGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEESQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSKVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADTFHRHGRELRRKMWLQNLRFKLMVGGAIAFLILILWLMICRGFKC >Dexi5A01G0033490.1:cds pep primary_assembly:Fonio_CM05836:5A:35834071:35836411:-1 gene:Dexi5A01G0033490 transcript:Dexi5A01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRVMPSSSPSHTASDLARFAQAASRPGGGGGSGLGSMNVEELLRGIYSEMPTPATPAPAADRPMPPVPPAPAPGIASRKTAEQVWKEITTGGGSGGPAAPVPQAVVPAGAGGGAGGPEMTLEDFLAREGAVKDDEVRAPGPSAPAEGNMVMGFLGGAEAVGVAGGGGGGGRGRKRQLMDPVDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENTELLREQEERRQKRLKELLEQVTPVIVRKRPAQDLRRTNSMQW >Dexi5B01G0038680.1:cds pep primary_assembly:Fonio_CM05836:5B:37772366:37773093:-1 gene:Dexi5B01G0038680 transcript:Dexi5B01G0038680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQQLPPPPQHPPPQGGGGGEFYRGPPMRQLSAASSTNLTPEYSSHSGPPPPQQHQQQYDAYGDNFGAKRMRKPVQRRTVDYTSCVVRYVQARMWQRDARDRFTLQPTPAAVLDVFP >Dexi9B01G0029050.1:cds pep primary_assembly:Fonio_CM05836:9B:31614952:31617584:-1 gene:Dexi9B01G0029050 transcript:Dexi9B01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAQPDHHRTAGTERPRGAKHRKPLLNHLHIQVPANHSGCHSSLRRKCQRERPASPSLLRSPSAWIRAKGHNFGSSSKRRSGNFHYDARSYAQNFDEGGDDEDAPRHQCFSPGIPTTASQVASPSSGLGASGNGKDEPAARETAGQRGLVKAQEKTCVVQPTRGSMYQEMRRDNTNLLGPSFLEVKAATCLKHPDKREIYMEPARKMHHAAGFLLLLLVVAIEMGPVQAGECLSRSTAFKSLCVNSDRCNDVCLKEGKGYSGGKCGGIYLTCWCITPCAAGGNAAVAGGNF >Dexi3B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:3B:5894964:5895926:1 gene:Dexi3B01G0008380 transcript:Dexi3B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHVSAYIHRSGEHQLQAAPSTCDLAAAVDDVVPANGHHHHKPGKAVTASVYRAKIAGHSRVVTVSWSRDLLSHAFSVAISGADGAAAECRVELRPWQFWRRAGSRRVELSGGASTAPATVRVLWDLRRARFAGAGIPEPRSGYFVALEAAGEVVLVHGDLRRDALRRAAPCAAADAEAVPVARREHVFGRRRFAAKARFHDHGDVHDIAIECGGERGEGDGDMEMSIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFNAGTRPALFIFRPIVLSSSSAPAGMAAGMLDGTVSTTGGFCLYLYAWKLD >Dexi9B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:9B:8960511:8961146:1 gene:Dexi9B01G0013300 transcript:Dexi9B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASASPPPPLEAATAAADSPAPTAETSTPQAKEDPQQSATAAATGAADGAAATAAASADAAETVVLDASAAAGEGEEEQAECGFCLFMKGGGCKEEFIAWEKCVEEAEAATGGVDVVERCQDITAAMRKCMDKHAEYYEPILRAERAMAADLEAFQAQEAAAAAASDSANPAAEEGQKKPAGDAGAPPSEEGQEKQAVSEKGSSDLAA >Dexi5B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:5B:5091829:5092161:-1 gene:Dexi5B01G0007540 transcript:Dexi5B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPMSVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSDRAGPYGR >Dexi3B01G0024980.1:cds pep primary_assembly:Fonio_CM05836:3B:19765387:19768059:-1 gene:Dexi3B01G0024980 transcript:Dexi3B01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEVAVPPAAVVGEDAGEQQDFFGLPAELMAALPSDPFAQLDVARKITSIALSSRLGRLEAEAARLRAQLAERDAEAEDLRERVEQLDAAHAVATGRLRRVEEEKETLVRENSSLSNTIRKLNRDVAKLEVFKKTLLQSLQEDHDSSDNTASMARVATSPNLSSAPSDEDSAVPTSKSSQFSESTSSVSEERSQVDLDAPRPPRPHVFLPSYNNTPRMTPPGSPPRRHSISVTSMNMFNDRSSGYSGHYSSPFDAASQTGRARVDGKEFFRQVRNRLSYEQFGAFLANVKELNAQRQTREDTLRKADEIFGPENKDLYTIFESLITRNVN >Dexi8A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:8A:1611685:1613252:-1 gene:Dexi8A01G0002430 transcript:Dexi8A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMAARPVTASSSSRPRLPARDAAATPGRSSLPVVRGVKSEAEATRSLLPLRCPVLLTSVAVGGLVAASAANATELLDPLPFVACFNPSKPLDFHQHGPSGLLLADLGIGDWFGGLLFSAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGQAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIVMGLNLLEQYIFP >DexiUA01G0023900.1:cds pep primary_assembly:Fonio_CM05836:UA:48925144:48926343:1 gene:DexiUA01G0023900 transcript:DexiUA01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVAARHDALLDAVIEEYGAPASRSAWMARYPADVIAQAIEALEAFEFVTTAGAATVQMTPLGVAGLITPWNSDAGFICGKLAAALAAGCTAVIKPSEMSALQTRIVTEALRDAGLPPGVFNIVTGRGDTVGETLSRHPDVAKISFTGSTVTGKAILRNAAQSVKRVTLELGGKSPTILLDDVDLAQAIPLVVQAGFMNSGQACVAGTRILVPQSRKAEIETALAQAVAAVKSGDPRDNATEIGPMVSEKQWLRVQGYIRKGLDEGANLLVGGEGRPEGTQDGWFVRPTLFADVTNQMAIAREEIFGPVLCVLPYQDEAEAVAIANDTEYGLSAMVLGRDVERARRVAQQIVAGRVLVNTLAHEPKAPFGGFRHSGVGREMGEWGIRAFMEPKSILG >Dexi5A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:5A:7664517:7666955:-1 gene:Dexi5A01G0010090 transcript:Dexi5A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDSSAAGAAGAAAAAAKWRSDASRAFQYYLDRSTPHATGRWLGTLAAAAIYALRVYMVQGFYIVTYGLGIYLLNLLIGFLSPMVDPELDPSAAAEGPALPTRGSDEFKPFIRRLPEFKFCV >Dexi3B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:3B:11958600:11961935:1 gene:Dexi3B01G0016420 transcript:Dexi3B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSATAACFLSSPRPPPRRPRHFLKHLACAAASRPAPAASSSSSSPRSLALLSPAPACAPWTWPGRLGELVVPTEAVGRMLSSAAGSVIVALASAALILGDAGAASAFVVATPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLSDQSVYEAQVVGYDQDKDVAVLRIKAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLLPTKRDPYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIPVILEPKPDES >DexiUA01G0009610.1:cds pep primary_assembly:Fonio_CM05836:UA:19017649:19019393:1 gene:DexiUA01G0009610 transcript:DexiUA01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYGAPPPPPAPAGPVAVVSPQFCAPYVVPLTVTEKALSLSDGDFTVTDANGAVVLRVKGAIFSVHRRRTLLDAAGQPILSMQQKVFSMHNRWEVFRGDSSNSSDLLFTVKKSSIIQLKTELDVFLASNTAEHACDFKIKGSYFERSCAFYLGNSNTMIAQMNRQYTVTNVLLGKDTFGVTVFPHVDYVFIAALVVILDEIHRERSD >Dexi4B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:4B:3080386:3082079:-1 gene:Dexi4B01G0004260 transcript:Dexi4B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTPKKVCVVGAGMSGLAAARELRLVGLAVTVMEQCSDVGGQWLYDPRTDADDPLGATAPVKVHSSMYASVRLVSPRECMGFSDFQFVPRPGRDARRFPGHREVYHYLKDFVAAFGLADVVKLNTKVVRVAMAPPSPLSPPPRACSAGGGYKWLVITRHVEPDGEEGVDVEEVFDAVVVANGHYSQPRLPSIKGMDTWRRRQLHSHSYRVPEPFRDEVVVVVCCGESGLDIATELCGVAKEVHLTANSVEEATSPAAMVTKMLANHAGDIQLRPPVDRLCGGGDDDGTVVFADGSSVAADSVIYCTGYTYSFPFLDTGGVVAVEDNRVGPLYEHTFPPAMAPSLSFVGVPTRIFAPWFFEAQARWIALVLSGRKTLPPELEMVRAVHEDYRAREAAGVPVRHTHAIPAVDDKEICEFVYRNSDLPRMEEWKMELFMIGFVNTMEDRETFRDRDDDTENVREGLRRWRRESVGQYEAALAAAAASGGGDAACAGDAETAMAADSISSLKFSTEQH >Dexi8A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:8A:1464102:1471306:-1 gene:Dexi8A01G0002200 transcript:Dexi8A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLPRITPLSRRRRNPTPTITAELAASLARVLASRATDPAWSRSLAALLPPPLSDARLAAAVSALADPDHALALLSWSSSQPHHRGNLHHDDAPAPTPLAHSALLRLLARAGRFDAAEATVRSMFPAATPTRACLGELAASYADAGMDRKAAEMCARARELHGKLPDPRHCNRLLRLLVERRRWEDARKLYGEMLDEEGGADNYSTCVMVRGLCLEGRVEDGRKLIEARWGAGCIPHVVFYNVLIDGYCRRGDIGRALLLLGDMDTKGFLPTVVTYGAVINWLGRKGDMEKIGSLLGEMRVRGLSQNVQIYNTVIDALCKWQSASQAMAVLKIMFAGGCDPDVVTFNTLISVFCQKGDVRRALQLLRAAIRRDLEPNQCSYTPLIHRFCIRGEVMVASDLLVEMMGRGHTPDAVTFGALIHGLVVVGRISEALIVREKMAERQVMPDATIYNVLLSGLCKKRMLPAAKNLLAEMLEQNVQPDKFVYTTLIDGFIRSENLSDARKVFEFMEEKGVCPDVVGYNAMIKGYYYSGIVKAEKKTTLLDVFKVLVSDGLDQRISTKNAIIFSLCRHNMLEKALEWKDKMANKGYSSDRITFLSLLYGFCSVGKPGNWRNILPNEFQKDEIEIILRSCILHATVAWIISWRRVWYSGAGDNATPPGASARLSKFFWPVREHISSIIGQALERGRSSGSVELELERLNVNLSPFVVNRVLRDGNFSLLSEFLDRVRSQGVALHRSLYRMLISGYVRAGKFGSVIQTFDEMVTSGCREFGVDYNRLIGVLVKNCCFDLVEKYYCMALDKGFCLTQQNRLHDALQMLEKMGMKGTDPDVVTYTTVVDCLCDNKQFAEAVELWEDMVKRGLKPDTIACGVLIFGLCKNDRVNEAFELALRMLSLDLELSVCIYNALISGFWRSGSIGMVKDAEDLMKKMEMSGVNPDRYSYNQMLKGLCKAHQLDKAFAFVADHMEVGGFCDIVSCNILIDAFCKARKVNSALKLFKEMGYKGIQPDAVTYGTLINGLYSVGYHNLAEETFELMLKAQIVPNVNLYNIMLHNLCKVGHLKQAQNIFFQMIQMEVSPDIITFNTLIYWLGKSSRAIEALDLFRDMRGRGVEPDSLTFRYLISGLLEEGKATLAYEVWEYMMENGIILDRDVSDRLINMLKSKNK >Dexi2B01G0021360.1:cds pep primary_assembly:Fonio_CM05836:2B:31168266:31174631:-1 gene:Dexi2B01G0021360 transcript:Dexi2B01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGGNVVSGDWVWVRLPTQAEAVEAAAAEQRRWPSAAATEAAEEERPLKVVFASPAEHFTDAAPIGNGSLGGMVWGGVTTEKIQLNHDTLWTGAPGSYTDPEAPAALVVVRELVNQERFSDATAAATRLFGGQSEVYQPLGDINMEFGASSQAYDSYKRELDLHTATVLVSYNVGEVRYTREHFCSNPHQVMVTKISANIAGKLSCTLSLTSQLKSNATVFNVNEVVMEGICPGQRTGLRKVNSSNVTGIKFAAVLGLQIGGNSAKVAVLNDQKLRLDNADWAVIVVAASSSFSGPFVNPADSKLDPTSIALNTLNFARNLTYDQLKAAHLDDYQRLFYRLTIQLSRGLKDDTHGSLTENERLKEAFGEETSADRVKSFSIDEDPSLVELLFQYGRYLLISCSRPGTQISNLQGIWSQDVAPAWDAAPHLNINLQMNYWPALPCNLSECQEPLFDFLTSLAVNGSKTAKVNYNSSGWVTHHVTDIWAKSSAFLKNPKHAVWPMGGAWLCTHLWEHYQFSLDKVLGKSDTDLVKNVKKALPRLPPIQIARDRTIMEWALDFQDPEVHHRHLSHLFGLFPGHTITLEKNSDVCEAVANTLYKRGEDGPGWSTTWKMALWARLFSSENAYNMILKLITLVPPGEKVDFEGGLYNNLWTAHPPFQIDANFGY >Dexi1A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:1A:5750562:5752925:1 gene:Dexi1A01G0007440 transcript:Dexi1A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQANVAGSTGPSGLFSRKASAFFSSLDSGHRCWCGRYLYEPVKGKCPCAQFMFCSQQAWMAQRADQLKEEVARLIAASDTCSLYQRIHLIDILEHLCLDHLFEEEINDVLTQLQNADMSDCDLQTVAIWFYLLRKHGYRVSPDDTYDVYGTLEDCELFTKCMESWDLAGAYGLPENMKFILEKVLETCQSIDNELAPEEKFRMAYLKNFVRPTSRIL >Dexi5A01G0034040.1:cds pep primary_assembly:Fonio_CM05836:5A:36201753:36207873:1 gene:Dexi5A01G0034040 transcript:Dexi5A01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATFAALPCLLLLLLLLPSLDAASNVTYDHRSLIIDGRRRLVISTAIHYPRSVPAVRRPPSAPIRVRTHRSSSSTNFVAPYPQMWPKLVAEAKDGGADCIETYVFWNGHEIAPGQYYFEDRFDLVRFVKVVRDAGLLLILRIGPFVAAEWNYGGVPVWLHYVPGTVFRTDNEPFKSHMQNFTTYIVNMMKKEQLFASQGGNIILAQIENEYGDYYEQAYGPGGKAYAMWAASMAVAQNTGVPWTMCQESDAPDPVINTCNGFYCDTFQPNSPTKPKVWTENWPGWFQTFGESNPHRPPEDVAFAVARFFEKGGSVQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRFPKWAHLRELHKSIKLCEHTLLYGNTTFLSLGPKQEADIYTDQSGGCVAFLANIDSDKDKVVTFRNKQYDLPAWSVSILPDCKNVVFNTAKVYLSLKIFYVICSFSVDGNSSKGSSAILNIDSKGHGVHAFLNNELIGSAYGNGSKPSFTVGFPINLRTGKNELALLSMTVGLQIGLEGEYYSLFMPAQSSNQLWIPQSEPPKNQPLTWYKVNVDVPQGDDPVGIDMQSMGKGLAWLNGNAIGRYHVPRSWFYPSGNTLVVFEEKGGDPTKITFSRRVVSSVCSFVSEHYPSVDLESWDRSATNNATAAAKVQLSCPKGKNISSVKFASLGNPSGTCRSYQRGSCHHPNSLSVVEKVND >Dexi2A01G0033030.1:cds pep primary_assembly:Fonio_CM05836:2A:43340435:43343816:-1 gene:Dexi2A01G0033030 transcript:Dexi2A01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVTCAVKCRPHPRLVPQPPAASLELLAAPAAAELRASSTRCRNPPSLSLSRSAEPAEPRAPCRGSPSARRARVAVASASSAGDDGDGGAPALILAGALSRYAISRDDLVRRAFAVAKAAHRGQVRANGDPYLKHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLDYCFISDQFGAGVADLVKGVSNLSHFSKLARRNDTASRMDEADRLRTVFLAKEDARAVLIKLADRLHNMRTLDSLPNTKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYTDKFDELSTNLLEFYNRDMIAAAKRRLEQALEVRGLSYYAVYGRHKSIYSIHSKMASGPVLVILLENEKMSVQEFAQNSTVWDLLKRSSNYGMPLRLRLNCQAVHSWSQELKMGDVLELIPSTPCKSRSYTKEFHEMFDHRLAVSQP >Dexi8B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:8B:801807:804123:-1 gene:Dexi8B01G0001190 transcript:Dexi8B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLPKTKSKKKKEAAASAIPTLDRLHETLEMLEKKERFLQKKCSAEIERAKDYTKLKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDAMRSGSSAVKAIQQSLNIDDIENAIDEANEQTENMRQIQEALATPIGASADFDEDELEAELEDLEEEDLDEQLPEPSPRISAPVEEPLARATSSSKQHNDLSELTKLQAEMAL >Dexi4A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:4A:24656783:24660137:-1 gene:Dexi4A01G0020900 transcript:Dexi4A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSSALLASLLLLLLLLHPARADDPYRFYTWNITFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSFYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPSPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHTMQSTYTSIDVHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTTTAILHYSNANGAATVPPPAAPTTEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSISFIPADTPLKVADFYNISGVFTLGSMPDNPTGGGAYLQTSVMAANMREYVEIIFENAENFVQSWHIDGYAFWVVGMDGGPWTPASRQGYNLRDAVARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >Dexi7A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:7A:23659280:23659742:1 gene:Dexi7A01G0013670 transcript:Dexi7A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFRNEELKQELNDVEKLTEERLDVLLARFLKEFEAGEVEARGWPMHFSAYKVAKAAMNAYSRVLARKHPELRINCAHPGYVKTDLTRHLGLLTPEEGANNVVKVALLPEGDRATGVFFALGQEALIAAGS >Dexi1A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:1A:11762500:11765553:-1 gene:Dexi1A01G0012260 transcript:Dexi1A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVKKQLCLAGPLVAGFLLQYAVQMVSVMFVGHLGELALAGAAVAASFAAATGFGLLLGMVSGLDTLCGQAFGAGEHRQLGVHKQRAMLVLSLVSVPVAALWACAGKVLALCGQDPEIAAAAGSYIRWLIPALFAFGPLQCHVRFLQAQNLVVPVMLSSGAAALGHPAVCWLLVRRLGFGFRGAALANGVSYVANLLFLALYVRFSPSCKTTWQGFSREALRGIAGFLKLAMPSALMMCIEGWSFELLLLLSGLLPHPKLETAGLSICFSISAIAFMVSSGLGVAISNDMEVIKYTRRMLPLVAASIMLDCQQTALSGIDGEG >Dexi1A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:1A:7929719:7930689:1 gene:Dexi1A01G0009620 transcript:Dexi1A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKRRAPPAKPQAQPDPQEAPGADAPLEERLAWESLQESERRITAIKALKDAEAGNIRSQMQLLRSYFSKEQLEANAFEYFKENLPNLSTVPNEQFDVFELKWNYGDILGNFIDDKILQASIASLPNAGGLRFPGDSGSTMSSP >Dexi4A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:4A:16026993:16033400:1 gene:Dexi4A01G0014170 transcript:Dexi4A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLLLLLHFLSADATAAAVNPRRSLAAQGKSDMASMAAGSPMVAGMMNERLKALTTSFAQQMGREFHYCIKNMDQEWNTAYNFSNDPTFLTNCMKKTDGDLPQRVCTAAEMKFYFESFLEGNGRKNYVKPNKNCNLTSWIDGCEPGWSCSAGKDQDVNLKDAVNIPSRTLDCQGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGVCDPYHYQPPAGKPNHTCGGADRWADVVSTDDVFCPPGYYCPSTIQKFDCSSGFYCRKGSTTETKCFHKGSCPPNSANQDITIFGALLVGALSLVLLIIYNFSGQLLINREKKQAKSREAAARHARETAAARERWKTAKDVAKKHAAGLQSSLSRTFSRKKTLRTHEPSKGGNGLPSTEPDDGPSNESGGKKESLTDMVRSLDDNPEKGEGFHVQIGEKKKPRGKHAHTQSQIFKYAYGQIEKEKAMENETKNLTFSGVISMATEDDLMKRPTIEIAFKDLTLTLKGSKKKLLRSVTGKLMAGRVAAVMGPSGAGKTTFLSAIAGKATGCQTTGMILINGKMEPIRAYKKIIGFVPQDDVVHGNLTVQENLWFSARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNAKEPVNVKDLPIRWMLHNGYEVPRDMLQSSSDSESSFRGGGSHASGDDTGPSIAGEVWGNVKDIVGQKKDEYDYNKTSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSILENYVVLLALVYCVTGMGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTIVANLCYTKWALEAFVIANAQKYSGVWLITRCGSLVKSGYDINDKILCIVVLVANGMIFRCVAFFCMVIFQKH >Dexi2B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:2B:2089318:2095247:-1 gene:Dexi2B01G0002520 transcript:Dexi2B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDLDEDLREDLDALRRSCILSGADPDAAVAQLSSSSASLAGPSTPALAAATTPAAADTDGFSSDDDDDEEEEDEDLALVRSIRENLHRLNNNKASPPPDAPSSSSSPRPICTWPPSDTDEDEDDLETLRAIQRRFSHYQSSTSTASPTTMKPEASQGVHTELSADGNDDVFASQKQNAKAPDRTGFPKAALLEICLVQNNNRKMPALSLGPAENRHVSKYKMALEQFPMSFKRQPWSDAEKDKLARGIKQQYQETLILDSLNNGSALGDFSAVDMAYALTNGAGSFEVTPESLRSVLPLINWDKISAMYLPGRSGAECESRWLNCDDPLINCEPWTAEEEKKLLLIVQDKGMYNWINIAVTLGTHRTPFQCLVRYQRSLNPHIINKAWTKEEDLQLQAAVDTFGEKWQLVSASLDGRTGSQCSNRWRKTLHPTRTRVGRWDLDEDKRLMVSVKLIGSGSWSRIAPFIPGRTQPQCHERWKNILDPNIDLGEWRPEEDLKLLASVSEFGKCWSKIASIIPGRNDSMCFRRWKKLRDHEVPAVKAVTQLKKSVFQTNFVDREKERPAIAPKDLILLMQSKGDGTDENTRDGSRKQTKENLAVSNIVNSSTVPGSVSADTVSNTISRRSRKSTGQRSKKQDEQNVSVTDGLDNLSSGRSRSRKRKATTGRNAAVQKTMMVPITVGNEAVPIETGGAVSVHSEVGTNRTMDPVSAGEEGVVKKRTRRSKPADRNAAAQKRIRRGSIPVGNEVAPTELRSPVSANEFGTNRIVGPVSDGEEGVVKKRKRHLKPAGSDGAARKRRCSVSVDNDAGTDVMRDSVAGEEVVAKKRARRSKPVGNEGVAGKRKRGSVPVGDEGVVKKRADSVATENHGGVTKRKGAPPRRKSAEDNVANADPELGLPSGPSEERVADAGNMDKVRRKSTPRPKQINMSEGDADKHSTFTRLTNCLSYARMKGIDRNKR >Dexi3B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:3B:5788010:5791705:-1 gene:Dexi3B01G0008180 transcript:Dexi3B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKGPSSDVLRASISSAPSTSSHGSAQDECDSLGDVSDILLPKPLESSLVLDVYHVDCGVKHAALVTKNGNRRKDPVPYQPGESNGDAKVAKANVPSNMDMIRSLDIKAARQGKKTDGLSFLRNPQVDNLRNRCELQEIELQKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDHCTYNANETKQAHIPNGIESHVASYSGMNGIHPPRNELLNASIAHSPNSGRSSHSNGISGQHKLLGNVSENSDCSTHSLRITSPHDSELPSRRARSSSDEMLTTASRMDDSMSMDARSLQNGEDGYKPRGTIPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTRSAY >Dexi6B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:6B:2249831:2251700:1 gene:Dexi6B01G0002590 transcript:Dexi6B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTLFSMFLLMTRMMNRIVMITNSSKLKLRISWTSWKYQKAVSACKCVVTPLLRRITMLSSLTKIVTATMSSARPGDFHSILLQLCKCMITFWWTLQKENRLLFSNQVFMAVKQKSTRSTMAHWCPSKCHGPQPSTA >Dexi2B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:2B:8732547:8733410:-1 gene:Dexi2B01G0008540 transcript:Dexi2B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLAEVSPQDLLVALSELHNHLLGYVKSMALKCAVDLRIPEAIHGRGGAATLADIAADTETHPAKVPDLKRLMELLSTSGIFTATVAKDRGGGIGGDDAVYGLTTACHFLIGRCNLSPMVPFLVSPPIVSSFFAMSDWFRMEPDTSVSGGGGGSLFELAHGCSLWEMASKDATLSGILNGSMAADSQLFLEVIVLDKGRIFRGLDSLVDVGGGHGAAARVIASAFPRIECTVLDLPHVVSQATTREDGNLYFVAGDMFESIPPANAVLLKVSIPCVIYFVYLFG >Dexi6A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:6A:1925382:1931529:-1 gene:Dexi6A01G0002040 transcript:Dexi6A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPDAMEMAPPVPAEPRRRSPCPGCRVDKRKAEREGMFPYKELFLIWLVTLCYSASFMAGRALTSVIWGMVADKHGRKQVIVITLIAIVMFNTLFGLSSKYWMAIFTRALLGLLSGMLGPIKAYATEACRKEYNHLALAIISSSRGIGLVVGPAIGGYLSQPADKYPGIFSKKSIFGRFPYFLPSLSVSFLAFIALISCFWLTETLHKHTGDVADNSIETVEDSLASTDAQETRHGGSGFLQLFKNWPLMSAIILYSIFSLEDVAYSEVFSLWVVSDRRYGGLGFSTTDVGNVLAISGILESLPHVISNFYLSISCKISTHHISSHNSNIDCTASFYLCDLACSTVRIPSTFGSKLCIFSEECFHSECKIFSEDDVLKLNTVSSITVFNILINDAVTQDVRGQANGIAVTIMSIAKAIAPAAAGIIFSWAQKRQKASFLPGDHLVFFMLNVVTVTGLVFTFRPFFVRGTMNH >Dexi3A01G0033370.1:cds pep primary_assembly:Fonio_CM05836:3A:38158015:38160977:-1 gene:Dexi3A01G0033370 transcript:Dexi3A01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLGADRDGGSPPTSSDEGSFSDRSDDDDDARAAPCGGGDERDAPDDGQKGTWPQSYRQSIDMLSAVPSPTVSTLMAASPSLTKFGSSFLKAGSSFFLKKGEGSSLPLTRPLLPPSLSHLSQSSLHQRPVKQSEDNLGLPPRPPGTHEAELPERPSRACLKSDYIELPPPASKCSSGQSIINGFNVLCGVGILTTAYGIKEGGWLSLLLLPLLGGSSCYTGLLLKRCIDSSPNIETYPDIGQVAFGIFGRIFVSVVLYLELYASCVEYITLLGDSLSSVFPSAHLSFTGVNLNAHNLFAIAMALAILPSVWLRNLSLLSYLSAGGVIATITVIVCLFWAGIGEGIGFHPSGAVVNLTHLPVALGLYGYCYSGHSVFPNIYSSMKDRSQFPFVLLFCFTVVTLVYAGVAVSGFLMFGESTMSQFTLNLPQQYIPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPRKMQSYLVGMSVRTCLVLSTVAVALLFPYFALVMALLGSVFTMLVVSSELLNSPKLLQ >Dexi9A01G0028990.1:cds pep primary_assembly:Fonio_CM05836:9A:33723656:33725581:1 gene:Dexi9A01G0028990 transcript:Dexi9A01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPASRGRWRRRSARSHLPIVVAILVLLLPASLLFSSTYSTMLRSLLSFPSAASGGSGLPQCGASAELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVTEPASLRAAVWDHTMQLLQERRYVSVGDIIDLSPIKSIVRTIDFRVFVSLWCGVDMHKACFSGLCCAVSSGSSMPAEYNRCRALLSGLGGSEKGCVYPVQDDCRTTVWTYEQNNDGALDQFQPDEELKRKKKISYVRRRRDIYKALGSGSQAEDATLLAFGTLFSGPYKGSESYFDIHESPKDQRIQTVLEKIEFLPFAPEIMAAGKKFAKDKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVELEMKRNKNDGPVHMFIMTDLPPANWTKTYLANVAKDERYKLYTLKESDELVLETAERLMAAEHGVRSGFLPKTVDYTKKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNEVCKW >Dexi7A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:7A:20285566:20288834:1 gene:Dexi7A01G0009210 transcript:Dexi7A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLEGSPAKLGFRMPAEWEQHEQCWMGWPERPDNWRENAGPAQEIFARVAVAISKFEAVTLCASAKQYPNLHKLMEHQTNIRVVEMSMNDSWFRDMGPTFITRQVESGTAKQTIAGIDWQFNAWGGIYDDWSLDSDVAKKIVEIERIPRFPHKMILEGGSIHVDGEGTCITTEECLLNPNRNPNMTKQEIENELKDFLGVTKVIWIPRGLYGDEDTNGHVDNLCCFIKPGVILLSWTDDEKDPQYERSVEALSVLTQSVDAKGRRLEVVKIHVPGPLYMTNEEAEGVLSTEHAVPREPGTRLAASYVNFYIANGGIIAPAFGDDKCDKEAHAVLQKAFPGHEVVMVDGAREIVLGGGNVHCITQQQPLRPS >Dexi5A01G0039430.1:cds pep primary_assembly:Fonio_CM05836:5A:40069162:40071990:1 gene:Dexi5A01G0039430 transcript:Dexi5A01G0039430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGTSSRSPSRSVSSGSASSRSRSRSRSFSSSSSQSRSRSPPPAKRRLLKSRLFDFRKASPPAESTVLCVDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRLVNLPRGYGYVEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPMKVPPPPPKRDAPQNEKAASGAEKDAQQRPRESSPRKKPASPPRKRSPPNRRVESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPLRRRSPSPPRRHRSPMR >Dexi8B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:8B:1057527:1059277:-1 gene:Dexi8B01G0001610 transcript:Dexi8B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTMAISVANRRKMPRCRLPRGHTDFRCKAPAAVRKEKERLGDGGMAAVGRGLLVSTTTQTAHIAFMCSLKAIEASYDHHGRATIMGIGKAVPEHVFEQKSYADYYFDVTNSNHMVDLKAKFASICENTMVEKRHFYMSDDTLRSNPSITAYKSPSFTLRQELADEGVPRLGAAAALNAINDWGKQASDITHLVLSTLSSGCLPGADCELVHLLGLPPSTKRVMLYQAACHGGGAALRLSKDLAESNPGARVLVVCSEVTPLWLRGPSPSHVGNLVGQAIFGDAAGAVIVGSDPGAGERGLFELVWTWQEIVPGTRDGIVAKLRDEGLVFTLHRDVPRFVAGAVAGCVQRALRELAAPEVKEKDDMFWVVHAGGRGVLDMVQSEMGLGVGKLAASRSAMRQYGNTLSSSVVLVMEEMRRTSEEKGMRTAGQGLDWGLLLAFGPGITVETILLRALPDYAKRN >Dexi5A01G0026450.1:cds pep primary_assembly:Fonio_CM05836:5A:30082746:30087788:-1 gene:Dexi5A01G0026450 transcript:Dexi5A01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHHLAASRPEPQPQLPMPPHRHLVPLLLLLLPLAVSGSDADAGGNATSAPLACSELSRVCTAFLAFPAAGAGAANATLLESMFDAAPGDLTADAAASPGYAFVRKNCSCLPSRTYLANTTYTIPSSAAASGAAPNATAADVAAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVDGDTVESLSSRFGASMDAIEAANAMVGPDPITAGKVYYIPLNSVPGQAYAALSPPAPAPAPADNTLPETPDHHSTKFPYGWVIGGMGVALALIAIALLALVLWKFFGHNLQDPNNQGKSPDQHKFQLLKSGSFCYGSGRYLCCQFGNAKPTRADGGDHHTSVPKGVAADVFDKEKPVVFSYEEILTSTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMMATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQNGSLKNHLHDPESKGYSSLSWIFRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLVVKSSDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRAEGDASSNSERRSLASVMLTALGKCPSSVYMGNLKDCIDRNLRDLYPHDCVYKMAMLAKQCVDEDPVLRPDMKQVVITLSQILLSSIEWEASQAGNSQVFSGLVAGR >Dexi4B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:4B:7496219:7497180:-1 gene:Dexi4B01G0010250 transcript:Dexi4B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAVYDAQLPDGLDGNKRNIYVAKSTPLSVAKLYQEQFHKDLVLFLELRYDELVVGGQMVLTFLGRKEEDVYGGNLNYLYELLAQSLWCLVEKGLVEEDRLNSFNLPIYGPSVDEVQAAVKQTGLFNINEIKIFESNWDPYDDSEDGNVQDIIQSGVNVAKCLRAVMETLFVSHFGGSMLDALFNEYARKVAEYLKREKIKYSVIVLSVQRR >Dexi4A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:4A:20722447:20728656:-1 gene:Dexi4A01G0016960 transcript:Dexi4A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTAASSASRHLPMLFLHCKPLPGNRALGQCGDVMHRDPVSHVLATGLIPELEQSWPQGSTTGVTSSPSTGSSSWSLRLAMPPTPTSRATRSPKATPRPSAKSSGGTVPASVYNMSALAYLGVGECVHLESLNMEANLLDGTIPESFANLRGIIMMDLSQNNLSGQIPEFIENFDDIKLLNLSFNDLEGQVPTGGIFQNASEVFVQGKYGSVYKGRFELEEHTVAVKVFKLDQLGAPRSFLAECKALRNTRHRNLVA >Dexi6A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:6A:24002160:24005227:1 gene:Dexi6A01G0016150 transcript:Dexi6A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLPRAVLFVFFGVLLSAFRLAHSSTDNDFSKVRAVNLGGWLVVEGWIKPSLFDGIPNRDMLDGTQVQLKSVGLQKYVSAGDGGGGNVTVDRDAASSWETFKLWRISMNEFQFRCLNGQFLTASDGDIISATVDSPGDSETFYIERNNTLLHIKLLNGSYLQVTDNHQLTSSYPSRPGWDDDMATFEMTIVANNLHGDYQLANGYGPEQAKTVLTEHRKNFVSGRDFYFISQKGINAVRIPVGWWIAYDPNPPAPFIGGSLNALDRAFYWAQIYGLKCIIDLHAAPGSQNGMEHSASRDGSIDWPLEANIQKTLDVINFLAQRYADNPSLLGIELLNEPSAAAVPLDTLVSYYKIGYQIVRREWVNEWNVMNASQLQYQLFGNAQLEVYGEASFGWSYWTIKCNSVHWDYEWNIRNKYLIGKMLTCAASHLLLPASNVFKQPFYRHFTYAGVAELLMLLTIQVIVYYVILRLVL >Dexi3B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:3B:2653243:2654035:1 gene:Dexi3B01G0003890 transcript:Dexi3B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFRPWLVSIFVALASSSYNLSFASAADPTLGFAAVELTEDRFKLHKPYDLPPEQRYEFRDGVRRLWVYCTDKPFSPGSPTKPRSEILLNATYTTGVWQFEAYGFVPAGTSGVSVMQVFGASGRNTTLMLHVYGGRLMYYDDETRVVDGDIYDRWFRLNVVHDVDAGALAVFVDGEERLAVAGHGGLRHYFKFGVYTQTDPSHYMESRWRDVKVYTKI >Dexi9A01G0033210.1:cds pep primary_assembly:Fonio_CM05836:9A:38106081:38111222:1 gene:Dexi9A01G0033210 transcript:Dexi9A01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGAAGVAALLMATVVTGVTGDTNSDDVTALNTFYTILNSPSQLTNWAPQNGDPCGQSWLGVTCSGSRVITIKVPGMGLNGTLGYNMNLLTELSELDASNNNLGGSDIPYNLPQNLQRLNLERNNFIGTLPYSISQMVALEYLNLGHNQLSDINVVFNQLTNLTTLDFSYNSFSGTLPESFSNMTSLSTLYLQNNQFTGTIDVLTDLPLTDLNIANNQFSGSIPDKLKSIGNLQTSGNSFSNSPAPVATAPPSDSPPSRPSPSSSHSNDNSTRSRGSDNDNSSSGSKSSKVGNAAVAGIVITLVVVGALVAFFLIKRKSMKRKQGGDPEKNAHLSPLASGKIKPSVSRFYFSQVLAVKKINFSAIPDHPSDFFMKLVADIAKLNHPNLSEIDGYCSEHGQCLLAYEFYKNGSLHDFLHLSDGNSRSLSWHSRVKIALGSAQGIRVNCGFADLIPNQELQESDDNSGYSAPEVIMSGQYSQKSDVYSFGVVMLELLTGRKAFDSSQPRSQQSLVRWATPQLHDIDSLDQMVDPALEGLYPAKSLSRFADAVALCVQPEPEFRPPILEVVQSLVRLVQRSSMGAGLSSERNSWRFDGSGDHTF >Dexi9A01G0031200.1:cds pep primary_assembly:Fonio_CM05836:9A:36057998:36062075:-1 gene:Dexi9A01G0031200 transcript:Dexi9A01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNSSSVDCEQQKKEENEQALSRHESEFDKIMKAVNNAYEIQAAATRTCSHPVADITFYNRPLRSWDRYLAQQVTAASWASRIKQLVSGKNPSGNPIFGDPKQLDSVKLPDLHPASPLLRFQIPSAPDGSCKASFLTYHSLGKLVPSYK >Dexi2B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:2B:12880142:12885861:1 gene:Dexi2B01G0011290 transcript:Dexi2B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPQTAVAALPAMDAATNTTIFSLSLPSLLRSHLTCGNRDATATTVAFSSRRFRDVNSSHKSSRGKAALAPATNDGLGVLEAELWRLRRRVELRLHRLAVEADEAYRDLRYAARDVGGDRVVITFRRSSLRFAAGALLWMVVFAIAARALVGMVFRAWRRGLGMGWFGGRGGGGAVVRRRDRSLGGKEVVVAVSSSPLAAAPTSHVQEPARVVRRKDPRAMVPDWWPEVGMPVMEPGPETEKWARVANRLVRAIIDNRITGRDYRYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAVNFVLDDCSRAAKDIGAAQVNGENPRDFLAGLAANIGLDKSRAATLLCACVAARTRTCFLQCWALEIQGKRPEALEELLKICRIHNMFPPEDNSAQMEMVAAGLEKNLQVAERVHLLNLYRSTCPTGNIKTAANALGLVSSFCYQNMCYIVDQSQHYLTNTYFYWTV >Dexi5B01G0037330.1:cds pep primary_assembly:Fonio_CM05836:5B:36865860:36867105:1 gene:Dexi5B01G0037330 transcript:Dexi5B01G0037330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCCCLSTEDFDEYVHPSNPIYRQCISLRHFFHNVFGEYTATFQRLESRPSNTSQGAAPLGSTNSSASINDNSLAETFHLVSRPLPYDTDPRYARVQREGLVSRREKSINLAQEESVALRRNVSSSGIEHLASQKKRSSTENEGDYKVHRSASTKSLSAKAYSSSYAVDGSEDEDVCPTCLEGLYPYSFA >Dexi8A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:8A:28408932:28410660:-1 gene:Dexi8A01G0016810 transcript:Dexi8A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDIHRMRHLEILSHVQVSNSNKLVGIAKLLKLRKLGVALCGKNAKLSDLFREIEKLHGCLRSLSIQIYKQAGEEYHDAGTEDALSIPPQFIKTLNISGITSGLPQMIHEQHELAKLTLTETYLNEEALRILGKLHSLHCLRLPHKSITKSDISLREEEFQALKFLLVTCRNVTNISFEFGAAPKLERIVWCFFTFEVLSGIVHLPKLKKLELNGDCNLDLIHSLTANNRKWHGHAFGGTGGARRPRSQRLHLDGLALCGEV >Dexi2B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:2B:27888372:27891739:1 gene:Dexi2B01G0017500 transcript:Dexi2B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRRAARQRELAAPLGSAMQLRLHMRDLLISIRIHSCLFSLLSRIVLQTNFSQLKASLQSTCAANSFSKWGSFARPFSAKAAGNDIIGIDLGTTNSCVSVMEGKNPKVIENAEGARTTPSVVAFSQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQVGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFKQNEGIDLSKDRLALQRLREAAEKAKVELSSTTQTETNLPFITADASGAKHLNITLTRSKFEALVHQLIERTRDPCKNCLKDAGISTKDVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKETNITIRSSGGLSEAEIQKMVQEAELHAQKDQERKALIDIRNSADTTIYSIEKSLGEYRDKIPAEVASEIEGAIADLRKEMASDDIEQIKAKLDAANKAVSKIGQHMSGGGSGGSQSGGPQDGGDQAPEAEYEEVKK >Dexi8B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:8B:3845269:3846015:1 gene:Dexi8B01G0004350 transcript:Dexi8B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISQTRPPQPPPPPPTPPATTTDPSSLASTSTTHRRRHRHRTFSSSSSSSSSSSLSTVSSAASSPSPSPRRRVAGAATSVPFSWEHHPGIPKTTRLPSTTAAKSPSPPTPPLPLPPPPSRPRHHHHHSHPTRRRRATATINGQVPSGGEDPFAAALAECTRERADNDRRLMDSLFPSPAAVASSSSSTCVPSRRWSMASSGGVAGLLDLYGCKTAMGVAESAFVVRRPVAVVRAGHGRVGLGRAGRR >Dexi2B01G0031680.1:cds pep primary_assembly:Fonio_CM05836:2B:39730213:39735316:1 gene:Dexi2B01G0031680 transcript:Dexi2B01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGYNPRTVEEVFRDYKGRRAGIIRALTTDVEDFFQQCDPEKENLCLYGFPNENWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWKRLFGMINELPTTFEVVSGKSKIKAPPANNNHNSIKSKSNNKTKPSEPKVKQPKPPAPQVKAEDPAPAPAAEEDPAAEEEDGDGGGASEGEHGETLCGACGESYGPDEFWICCDICEKWFHGKCVKITAAKAEHIKQYKCPSCTGGGGVNSSKRARPS >Dexi9A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:9A:1074601:1077360:-1 gene:Dexi9A01G0002080 transcript:Dexi9A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVGVLRRGSGYSSGGFGGTSRLLALSLRRFASTLVVAEHEGGFVKPSSLSALAAAEAVAKDNKISLLLGGSGPALHKAADHAASSHPLVSEVLVADSEALAHPLAEPWAELLCSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTAVKEPRVFIPDKK >Dexi4A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:4A:8658940:8660112:-1 gene:Dexi4A01G0010730 transcript:Dexi4A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLEHAHHMPSIDDKSIHFMKRMYESSGIGEHSYLPTSSHYLPPSHTLSDARDEAEEVMFSSIDDLFAKTCISPEAIDILVTNCSAFNPEPSLGDMIVNKFKLRGNIKTIHISGMGCSAGLISVEAAKNLLQLVAPRQVAHALVVSTEIVSSMHFYTGTNRAMLLPNVLFRMGGAAALLSTSRSKSRFKLMHSIRTTTAAQDKSYQCASQQEDDKGELGVNLSKDLVAVAGETLQANITAIGSLVLPFSEQLLFLFSLIARKLLNSRIKLHVPNFRMAFEHFCIHAGGRAVIDAVQRSLCLSDEDVEPSRMALHRFGNTSSSSVWYELGYIDAKGRMRKGDRVWMIGFGSGFKCNSVVWQCIQSTSNLDGPWARCIDAYPVGTTKAVR >Dexi3B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:3B:10019088:10020204:1 gene:Dexi3B01G0013970 transcript:Dexi3B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANHRLAAFFLAVIVSSLASSPGAAWDVKLEIDDGMPLIHMLRPLLSSAAGNLARRRNSNYGVPCDSWRFAVETNTIRDWATIPASCEEYVGNYMLGGHYRRDSRAVVDEAIAYAEGLELAGEGKEVWVFDVDETTLSNLPYYAKHGFGVEPYNWSTFGAYVEESSAPALPETMRLYERLKELGIKPVILTGRREDKREATAKNLASVGYTGYHMLLLKPQDVKLHSVEFKSGERKKLEDAGYVIVGNIGDQWTDLLGEPEGARTFKLPDPMYYVA >Dexi1A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:1A:28489934:28494075:-1 gene:Dexi1A01G0021760 transcript:Dexi1A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAKGEKEEGSSLGASTLEKRWELLKELMFEVVDVDLLPALQRRIASFDDEEPDGGVTFSFGVPDVKIHLDFIDIDAETTITYPAEVAESIYQVENIPVKHDDDENCPYAKDRYCLEIVGLGHGLIIPQLEISMNSWELDECPAKTAISNIFLSIAQNLNDEAQVRLPSLVSTDFLGSRDMDMLAFCEDAPHVEDKVDKPITAKDVAEMDLVRINDNILLDKKSALYPLKPDGTCSDLPCSVLLEEVEIINFPSDNAFKMLVQSEKAEMNTSDEIFKYDFDQARRFYESLISSELALVDDTFKSLPTPILTDDKAVRSIIPPIEEVLCSLKPLPLSAADGIYLDWHLLSEGPCSRESCCTHANMVEEVKPCSLSPELQISCQQTPALGIDFLEDFHRSAKLQHEGKQNEIYVPEPTSHDPPAKLEIAQKYKQESNVTGHSHMEKSSERASSLFESMSQSNVLNYYLNVKNGTDKLRNNEDVSTSGIPHPKQQPVPFQTRQKVDKLIEIHPVSLSDLIRGLIKDIYVNYTSALQDSTYFRHSFSDGQGLSISKQKLLELITREGSEDLYAHCKNEDKMELIVLYALKQVAYYLCFFGLHAAYLYIGNLAGSFENIPERLRNIQCSIGEAWLKYEKQLFESHPALSDIEAILRSNTQIGQKILIVSDRAFWLPLGRKLTAMKMTSVEVGSYPCATYSDPDIKKKGVPSAVGSRTARDPDIKTDSKTLMLQELWKSDCILLDNKNIPASFPFSEFCMILEYGGPNKSSTLLSLSPKLDGLPPLHFLYVTINGEDFPIALVEDNHTDKDLKSTLVSVTLLHLN >Dexi2B01G0036190.1:cds pep primary_assembly:Fonio_CM05836:2B:43069003:43070234:-1 gene:Dexi2B01G0036190 transcript:Dexi2B01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWCRGGSAKCANASTSFQSTSNNSASGLGIANAKLASRRSSSSSGQLSGSGSGLKSFSMSELRSATKNFGSNSYLGEGGFGCVYKGWIDEATLAPTRPGVGRMVAIKKLRKESFQGHREWLAEVTYLGELHHDNLVKLVGYCSDSDSNKVLVYEYMLRGSLENHLFRRGTQPLSWPMRLSIAVDVARGMAFLHGQESPVIFRDLKGYAAPEYIATGHLSVKSDMYSFGVVLLELLTGRRALDEPRGSTLVDWAKPKLKLGKQVIRIMDTRLGGQYSKRQAQEVAALALRCLDDEAKNRPAMDDHVLPELQRLLHHNKSGSSTTPVRTTGRRVSSKLN >Dexi4B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:4B:19879523:19882256:-1 gene:Dexi4B01G0017520 transcript:Dexi4B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVERELSLSKEAYPTASGSPSSPSSALSLPRAPHQPPRLLSSRPQVASPSPVARFLTNFQLPSPSLSRRHPHRYNYAPGLLARGLSALAS >Dexi5A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:5A:4869286:4871998:1 gene:Dexi5A01G0006630 transcript:Dexi5A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVEALMADAEADNDAGDLLVWPWTGILATTATAADADAATTLASHAHRRFAGVTTTALLEEPKPTNHQHRRRQQYLLLHFSKSWAGLRDAMSLAFHFAGAGRREWRRRCREGDSGGVFGWAAGEEDLLGDGEVGRFLRESGAAARSVEDVEKEEGSVAAGLAAVAEKYERREKFLEAKNEEMVKMVQRMEEESRLLDVDLKELKAVAEYSLPEMNNGADEENKKLREELEAIKQEIGFRVDRIQELKERKTDLHWSKVEKLVVEINSLDMADIKPEASDHAQKLHDKHKVEMEAINAQIIQLEMQLVQKEALESAICLLNTKLQAGANLRMEEYEHLYKLMANWKECLEQKREIFQNAYVDLARRDHLNRYELQETRQELIKCFESMMINDCAAVGIEKMGQLDEKPFNYACKRKHRDDDPEGKAARLVSSWQEELNNISWNPFITSLVDGEVKDIVNEDDPKLIQLRTEYGDNVCNSVKVTLRELNEYSPQGRHAVNELWNFKIGRKATIGEVVKYVFKQLKARSYWQNTGSCGMSPI >Dexi4B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:4B:9887677:9887883:1 gene:Dexi4B01G0012170 transcript:Dexi4B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEEGTATRCGEGDRRGGHGDDLRRGTPARRPRQQPAARTTGEEATKTELRRRPMGANEEADETQRG >Dexi1A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:1A:10858608:10859819:1 gene:Dexi1A01G0011710 transcript:Dexi1A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFSPPPLVADRRKRKKSGRRVGDIIDDLPLLLTDKPVPHLLVTEVIYTPLSRNHNPSNSKFPCVAFNRRGAIVSVPLDGSVAVSNNKPCPPSTAAINPRGRLADLYRRCLSFIGATSHGHLAFAGSKAVFLVNPVTDTLQCIDTVGYSHKAVLANGGHGCNLFVSLGALLSTPTLWRLDKDGDRWSKYTVTVTAGEHTGGDVVSAVNCGNNGCFYLLHEDGFVSKVDAGEPAPLRMEKLPVPSLAGHFSPAFNKTTSLAGEGHLVESSHGEVLFVRKLLAVREIVEPFCAHTEFADVVGFEVYRLDVAELRWEKVERLAGGEVIFVSPESAFTVTETAAAGCMGNCVYFVGEKRCCFACGVYGGSTWGAYSMEERRVLFQHAVASKGGRSEALWFLPSVV >Dexi2B01G0034430.1:cds pep primary_assembly:Fonio_CM05836:2B:41682189:41683538:1 gene:Dexi2B01G0034430 transcript:Dexi2B01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPMLCPMALALALLLLASGATASHSPSCPATLPDAGGTLQVSHAFGPCSPLGSSGGGASPSWAGFLADQSARDTSRLLYLDSLAAVRGRAYAPIASGRQLLQTPTYVVRARLGTPAQELLLAVDTSNDAAWIPCAGCTGCAASNPFTPSSSSSYRPVPCASPLCTQAPNSACPPNTRGACGFSLTYADSSLVATLSQDSLTVAGDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYEETFSYCLPSFKSVNFSGTLRLGKKGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVTIPASALGFDPATGAGTVLDSGTMFTRLVAPAYLAVRDEVRRRVGKPVSSLGGFDTCFTDPAVKWPPVTLMFVGMQVTLPEENVVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAI >Dexi1B01G0028800.1:cds pep primary_assembly:Fonio_CM05836:1B:33251690:33254547:1 gene:Dexi1B01G0028800 transcript:Dexi1B01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPGSAKRRAAPAPAVKLEVEEGFGGRDGPPHKRFKAVPPPSLLPPQDMSCDVLDEPSPLGLRLRKSPSLLDLIQMKLSQAKSAGEQSAVHKNVSDIKSGAPTAGERLKASNFHANVLKIGNWEQYISRYEGDLVAKCYFAKHKLVWEVLHDGLKNKIEIQWSDITALKATCPENEQEGVLDLVLARPPAFFKETDPQPRKHTLWQASSDFTDGQASLNRRHTLQCPSSFLSKNFEKLIQCDQRLYELSQQPDAVLETPDFEPRRSIFENPNESKDCLGFNDLKYEREASSPKFNNPASPCVFSSPSENIVQPRNIAANAKMFTGSGAPDSQGRYIPQEPKNYNQLNQLKVPGLRASISVEDLVNHLGNCIDEQMNSGDPPLANNGGQSKEVLEGLVEYLFSDTQGLPASDDKYLMARVDSLYSLLEKDTATSTIPKPDCSNGGKIGVIQVDSDGSDEELNPSPARITAGGTEMPAISRKDSFGELLLNLPRIASIPQFFFNIKDDYD >Dexi5A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:5A:19430499:19430730:-1 gene:Dexi5A01G0016790 transcript:Dexi5A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQVRGLNVVDGDVEAGLIHSEGGQVPLDGSHLGHQLRHHLLQLEQVGVAGVAHGGGAASKGGVEH >Dexi2A01G0023180.1:cds pep primary_assembly:Fonio_CM05836:2A:34953648:34957099:-1 gene:Dexi2A01G0023180 transcript:Dexi2A01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAESPDAKDASASPPKDAVAIGGGAATGGVPETNTLWVGNLPSYVSEGDLMALFAPHGALDCALARAGSRSYAFVLFRTPAEARAAVEATRGEKVRGAAMRTEFARPARAVRNLWVGGISPSVSKEELEEEFQKFGKVEAVAFSQDKTSAYIDFEKLEDAISAHRSLNGRTLGGKELCVDFQRSKGRAEWSESSSFNGRVSGQPGDKRGTGPSKGSAGMRMREAQPTNVLWVGFPASYKVIDEEALKKAMSAFGVVTKIKIFQTRQYAFVEFASVVEAYNAKTNLDAHLFDDPRIQILFSNSELAPNKLDNPTSLAGFSRSEMYSSDGRGSGTLQGYDLSRGGRSRHFDYGGLPTPGGILPPPESFDPREAKRMRLDAGADPYDARASSTGIYSSGFRHRESSVHAEGSSSPAIRVRGVVHRTSYLEHFWRGSISKGGSPVCQARCLPITKGSDIPLPDVVNCSARTGLDMLAKHYADATGFDVVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMSAASTLRPQLTGPDLQPYYDERETLPSSQRKYSIISPSDNGYPDADYRGSLREESMHHLGQISGRPRVDEGQAVQPVLTGFPTNQTAATQVQSSVKPDIMATLAKLMPSVQPSPLIANLQQPGQQFSRQASAAHLTNYGSMVGAQEHSTQHTAYNPEVGLNLPPPPPPPIPAPTHSSTLPSQGGHNLPAQTNQQLYQPEQYYVPQSNYGPLATGSHHSNIQVSNTNNPAPPLPQGNPGPQASNQMGNLAQLQPSSHGQQNFAPGSAQTLEEADKSKKYQATLQLAQNLLLQIQQRQSGNQP >Dexi2A01G0031090.1:cds pep primary_assembly:Fonio_CM05836:2A:41896678:41897250:1 gene:Dexi2A01G0031090 transcript:Dexi2A01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLRAAGVRCAAVGLVQLLQPPEWREPGGGEEPALAAPPSPSSSSRGGECSRPGGSAVPSAPSSSTGEEAPGFPGEDGPGGVAKKGALTHAPREAKELSSLGVAEDRPPSCGPPNVSVWACSSCWAGYASPCGNVDWPTGRACSSGWAGGASTHAGGGGEEASNSVGHAVGRA >Dexi2A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:2A:13913060:13916236:1 gene:Dexi2A01G0011950 transcript:Dexi2A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIPAALRHPHLLAGRGLLSHSSGDPTSRSVLSIGDTPMRRWQTGVSPVLATRSPGLDNVDNLHESSNLSRSWDLNNQIDNDHDILIECRDVHKSFGDKHVLRGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKVFQSAALFDSLTVRENVGFLLYENSNLPEDRIGKLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKEVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALVCIW >Dexi5B01G0036730.1:cds pep primary_assembly:Fonio_CM05836:5B:36368009:36373116:-1 gene:Dexi5B01G0036730 transcript:Dexi5B01G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSRTAAGGGGGAGGGGGPVGGGGGGGDPKSPVAAATSPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQQLELEGLQRMSARRKEQEQVRREATEDLAEDLSEGEKGDTLSELAPVETAKKKFQRNFSDLTVWSDDNKEKKLYIVLISVHGLVRGENMELGRDSDTGGQVKYVVELARAMSMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLTTGSIDGEGMGESGGAYIVRIPCGPRDKYLKKEALWPYLQEFVDGALAHILNMSKALGEQVGNGRPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKQEIDSTYKIMRRIEGEELALDASELVITSTRQEIYEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVVVPEDIDGDGDSKDDIISLEGASPKSMPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSAGNASVLTTVLKLVDKYDLYGSVAFPKHHNQADVPEIYRLAAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALNNGLLVDPHDQNAIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRVAGCRLRNPRWLKDTPADAGADEEELLEDSMDAQDLSLRLSIDGDKSSLNFGELQSSDPQDQVQRIMNKIKQSSPLPPSMSLVGEGAKNAAEATGGASKKYPLLRRRRRLFVIAVDCYQDDGSASKKMLQVIQEVFRAVRSDSQMSKISGFALSTAMPLSETLQLLKLGKIQATDFDALICGSGSEVYYPGTTLCIDAEGKLRPDQDYLLHISHRWSHDGARQTIGKLMAAQDGSGDVVEQDVASSNAHCVAFLIKDPKKVKTIDEMRERLRMRGLRCHIMYCRNSTRLQVVPLLASRSQALRYLFVRWGLSVGNMYLITGEHGDTDLEEMLSGLHKTVILRGVTEKGSEALLRSSGSYQRSDVVPSESPLIAYTAGDLKADEIMRALKQVSKTSSGM >Dexi2A01G0034070.1:cds pep primary_assembly:Fonio_CM05836:2A:44171841:44172894:1 gene:Dexi2A01G0034070 transcript:Dexi2A01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPAVAFVLLCSLLAISSTAEEFDFFYLVQQWPGSFCDTWSGCCFPDTGKPAADFGIHGLWPNYAKCHSLHDDEGLAAGEHVGDVNAFFHSTSVGRRHGKNNKKKCWPEYCDDGNAALSPWEIRDLVASMETSWPTLSCKSSQSIEFWSYEWKKHGTCSNLGKHDYFARALALKAAYNLTAILAAAGIVPSATDTYSLSDVSNAIAKGTGFTANLECNRDADGEDQLFQVYQCVDRDGAQLIDCPVPMPTKCHGDQVKLPLF >Dexi7B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:7B:25697100:25697758:-1 gene:Dexi7B01G0020370 transcript:Dexi7B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSILSSPNFLSLPLLHLRASSSCSGEQLHLLHALPLLAAVRTLTALSANRHRGPPADLVVVPLPSCVRELLDRADKLLSRCMAYEDGLEAPMASPKPNRMRKSKSAIATTASTSTMAAATTSTPGITARRAPPPAPTPPPTPSPPPPPPATFPPRPPSPRRRVKHLAARRREHHQQWGGESITSSEERESITGRRQQRE >Dexi4A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:4A:1315108:1322510:1 gene:Dexi4A01G0001970 transcript:Dexi4A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPVTIATSIRTKLSKLTARDLHQPSHDPKWSITMADMLTSAAVLRPPSGRFWESDSDSECEDLGDAEVLRPALSSSPAPLPMASTVRAASTIELRQTAPVSSLRRAKPPWRTVWKGPLPSARAAPLATLGDYLPPAFRATGVKVAAAAKIEIHDLVVSPVQKLDVRECCNTGPAQLKRGSSPYGLAIRSGCHTSTHKPTPPQTCTHRPPPLQTTSISTCRRRHLVNVAVPQHLLSSTPYRDALMAGRGPSFRRRGQGGRGPQGGRAAPAAGQPRATGDQGDSARGRGALAQRGRGAAVLPRHDDGGSKTTGDRGTFARDARHGRGHGRGDRDNTGGGGTQGAAAGEQELPHRGRAEDAIHDALHGDEEGGLAPTPLLSSAQDAGAPEQFTAGGPATATVPLHAAEGEPVDAGELAVDVVTPAAAAGGDVSLPTAGAPTQIAAGDLATVTAPLCVREGETVDAGDSNVDTLEGTPSPLGTSSAAVAVGSVSLPTELEEVDADAEEDSVAGRVPPVEP >Dexi6A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:6A:24319607:24320086:1 gene:Dexi6A01G0016460 transcript:Dexi6A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAGRDGLDGIMIRGIAMLDPYFWGKRPTEDVDARRLRERNWSFVCAGRYGIDDPVINPVAMPPEEWRRGLAGERVLVTVAGMDMLAARGRAYVHALRASGWRGDAELYKTPGEYHVYFLDKPGSEKAVKEMEVVVNFIHGGRLSSKDSRMDA >Dexi2B01G0031030.1:cds pep primary_assembly:Fonio_CM05836:2B:39254358:39256930:-1 gene:Dexi2B01G0031030 transcript:Dexi2B01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKSKKGGKKKTVDPFSKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVDIMSNQASTCDLKELVSKFIPEVIGKEIEKATTSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPTEADEAMAGQEVPAAE >Dexi2B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:2B:5255416:5262996:1 gene:Dexi2B01G0005690 transcript:Dexi2B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMQGRAGNPRFRPGRWPELSMKRSGSELEHGESSDCSGFGRDGRSMVDFGPRVALLVMLTASSSSSSSPWLLLLEPAVVGVTFLLAAPSATTSPSRPLRGSSGLHHGVERLGQRSTGGGLHATSTGSAPALWRQIWRRSSRARSADSQHIDQPDHHTQWTPTSHHHRRRGDGGARWDAYEQAAAYLGARCARGVRSLQVVSQPRNRNPGREHPDPSPHRGGSHAGRPPLAAAVHRRRVGKEEEGKMKTTKGGKVMNPTDAFRKQQRKKELKRNKKERKKVREVGILKKDPEAIREQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEQKMKEKGEQPVMFSHLGQPKRRPAAEEEDRANPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSTAGASSSMSESEAGPSTLPPPPPPPPLPATSESIDPSVTSFPLPPVPPPPPPPPKPVSDSALPSLPPPPPPPPGPPPREPVSGHTVLPPPLPPPQRSSGANESIADSAQPSVVLPPPPPPPGLPPKSNDMEAAGTSKDTSGFKQDTAARVLPPPPPPQSSNLQSLPPRPPLQPDMLAPGVMRFPPPPPPPDSRPQFMAPGVARPPPPPPGLPPAQIPMPPYGVLPGPPPMPMPPFLPGPPMHPDEFTAFGPRPQLPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQQQQSSTPSISKPSVTLVRSDAQPSSSAPKPPSIDDSYMAFLEDMKELGALDG >DexiUA01G0025600.1:cds pep primary_assembly:Fonio_CM05836:UA:54003782:54009466:-1 gene:DexiUA01G0025600 transcript:DexiUA01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFPGGPEIPVAPTEFPASPASLQGVVSSGKSVKVALRLRKGRSKLVEPGHKSYECPDRRGAATPARAPGSSSKGTPAQTKTQQTEVRGRLNHISEEEAKVAPDVHPEVGKVDIVPLRSSDVSQLCSITEKTVADVPVVCEYPDVFPDDLPGLPPDRDIEFEINLEPGTAPIAQRPYRMSVDELEELKKQLHELKEKGYIRPSASPWASPVLFVKKKDGSMRMCIDYRALNAVTIKNKYPLPRIDELLDQLKRAKFFSKIDLRSGFYQMKIRPCDIPKTAFVTRYGQFEFTVVSFGLTNAPPYFMNMMNKVFMDELDCFVVVFIDDILIYSETAEDHEKHLGIVLEKLRQNQLYAKFSKCEFWLEKVAFLGHVLSAEGVAVDPEKVTAVSEWQQPKNVTEIRSFLGLAGYYRRFIENFSRIAKPMTELLKEELRVAVKQRKDADEQLFQVLEQQKQLAKNLEDAREENNRLSRELVQAQKHLADKKVLDEKLEQAARRMSELEESLRLMKKSNDDLAEALNRIALLEKAANPVVKALVPEDPSAPLSFLERLKAMPRQLKAYIKRSSKACLVHVLAVVKSRYPEVDIDKLVEGAEPNCTESAFRDLKQEAEPVAEAIVQSLRL >Dexi8A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:8A:28942531:28948549:1 gene:Dexi8A01G0017230 transcript:Dexi8A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGQAATITQLLGVDLFGLISMIQQAVQTVSQNEEACRHISNCASMIKDRLHQLQQVSRFFEHPEMWKPTQGLKATLARAYRLITECQDSSYTYKLCWGSDIADRLESVQKEMDSWNQHLTNVKVDIMFNAFTVIITRNSHGDRNTMKQDGALVLPAGSSGSHLNNNDRFELTMVVNDRGKLIGDFGQFQLLVEPQMFTEGYLPAGERAREPLEWPKRRQIIEGIAQGAKYLQELCEPRIIHGDLKPGNILLDSHFSPKICDFGISKALKPGADEDCTGIITGSRGFIAPEYISRGCSSIKSDVYSFGVTLLQIISRKRLPPPPLALSAVSRDYGPLNKWNEKECRDIQRCVARVSALIKRLDETTETMKDKVMRDALEDMAESLQRALELVTECQRKPTFRRFLGAGDMAKELSRVQDDIVRKLQLGNFATNVQTTIMVSNIQASGAPDHPSPTTQPRREVIDGFTMFGSSELKGATRDFSEENIIGRGGSATVYKAWEARVAVRMELFDLSLCDKSQLIQIKRCMEIGLLCVETDRTDRPTMEEVLAMLNGEKGLPALKRPFGV >DexiUA01G0025010.1:cds pep primary_assembly:Fonio_CM05836:UA:52476470:52481948:-1 gene:DexiUA01G0025010 transcript:DexiUA01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLAKAAAAAPVPPSGNAADHVLLIPPDHHPPPQPHASNNPQQHPAQNPEKPPAAAVSSPSRPPRSPALLRRRSSIAKPKSRFVEPPTPTHPDSTHPSPAHPSSATPTHRAAAGAISTPHTPAEPDEEEDLFRNRDGSTTKASAARCRKRARLGLEVSVLVLFLALLIVSRLVPQLKGQMLWGLEIWKWCVMVTAVFSGHLLSHWIVTLIVFVVERNFLLRTKVLYFKMFEVA >Dexi3B01G0032450.1:cds pep primary_assembly:Fonio_CM05836:3B:34837682:34839381:1 gene:Dexi3B01G0032450 transcript:Dexi3B01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEDLESPLLLADDAAKNGSSYALVCTLLASLTSIIYGYNRGVMSGAQQFVQADLGVTDGQLEVLIGATSIYSLVGSLAAGWTCDRAGRRRAVALSAAMFLAGSALTAAANGYATLMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGLLSSIPEIAMNSGILLSYIADFALAGLPTTINWRLMIGIGAVPPFFLAAAATLAMPETPRWLVLHGHTEEARRVLARTAGDADRRLQEILTSVQEATKQADELSTGVWSEMLLRPTPAVRRVMLVIVGLQVLQQASGVAALLLYAPRVFSHAGITTEHAVLGATVLLGAVKTVAIFIPLFLADRLGRRPMLLTSAGGMATSLLVLGISMRASSARAAATCVAAAVAFMATFSVGFGAMIWTYGSEILPLRLRAQGVGVGTAVNRVMSAAVGMTFISMYEAVGMANSFYVFAAFSAAACVFVYACLPETKGRSLEEMEALFDGGAVPSPRATLS >Dexi9A01G0022620.1:cds pep primary_assembly:Fonio_CM05836:9A:17769224:17771879:-1 gene:Dexi9A01G0022620 transcript:Dexi9A01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGGRRELLLSAAFTALLVASILLLPSLLLRDAGAGPKSWHSLSSGDGEPPRYPVSFAYLISASSGDAARAARLLAALYHPANSYLLHLDREAPAEEHRLLAELVSGRGVYARAGNVWIVGRPNLVTYRGPTMLTTTLHAVALLLRLRRRWDWFVNLSASDYPLVTQDDLMEAFAGLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEDGRAELIRPVNITTNLRRFPTAFKLYTGSAWTMLSRSFAEYVTMGWDNLPRTLLLYHANIISSPEFYFQTVACNSRRFRNTTVNHDLHFIRWDNPPKQHPLYLTAKDYRRMLLSGVPFARKFKRDDPVLDQIDRDILRRREPGQFAYGGWCSEEGVALCANPQELGRKGAVKAGAGSRRLKALLSKTLSPRNFRKQQCR >DexiUA01G0026080.1:cds pep primary_assembly:Fonio_CM05836:UA:54885248:54890919:1 gene:DexiUA01G0026080 transcript:DexiUA01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVLKKGSLALAGLLLVAQAQATELLNSSYDVSRELFAALNPPFEQQWAKDNNGDKLTIKQSHAGSSKQALAILQGLKADVVTYNQVTDVQILHDKGKLIAADWQSRLPNNSSPFYSTMGFLVRKGNPKNIHDWNDLVRSDVKLIFPNPKTSGNARYTYLAAWGAADKADGNDKAKTEQFMTQFLKNVEVFDTGGRGATTTFAERGLGDVLISFESEVNNIRKQYEAQGFEVVIPKTNILAEFPVAWVDKNVQSNGTEKAAKAYLNYLYSPQAQTIITDYYYRVNNPDVMNKLKDKFPQTELFRVEDHFGSWPDVMKTHFASGGELDKLLAAGRNLGTSLLFVCLILLLPLSALVMQLAQMSWAQYWEVITNPQVVAAYKVTLLSAFVASIFNGAFGLLMAWILTRYRFPGRTLLDALMDLPFALPTAVAGLTLASLFSVNGFYGEWLAKLDIKVTYTWLGIAVAMAFTSIPFVVRTVQPVLEELGPEYEEAAETLGATRWQSFRKVVLPELSPALLAGIALSFTRSLGEFGAVIFIAGNIAWKTEVTSLMIFIRLQEFDYPAASAIASVILAASLLLLYSINTLQITQLKRYDAPRINWGKWFLIGTGVLVSAFILFVPTIYIFVQAFSKGLMPALENLANPDMLHAIWLTVLIALITVPVNLVFGTLLAWLVTRFNFPGRQLLLTLLDIPFAVSPVVAGLVYLLFYGSNGPLGGWLDEHNLQVMFAWPGMVLVTVFVTCPFVVRELVPVMLSQGSNEDEAAILLGASGWQMFRRVTLPNIRWALLYGVVLTNARAIGEFGAVSVVSGSIRGETLSLPLQIELLEQDYNTVGSFTAAALLTLMAILTLFLKSVVHTRREIMSIEIANIKKSFGRTQVLNDISLDIPSGQMVALLGPSGSGKTTLLRIIAGLEHQTSGHIRFHGTDVSRLHARDRKVGFVFQHYALFRHMTVFDNIAFGLTVLPRRERPDAATIKAKVTKLLEMVQLAHLADRFPAQLSGGQKQRVALARALAVEPQILLLDEPFGALDAQVRKELRRWLRQLHEELKFTSVFVTHDQEEAMEVADRVVVMSQGNIEQVDEPEQLWREPATRFVLEFMGEVNRLQGTIRGGQFHVGAHRWPLGYTSAHQGPVDLFLRPWEVDVSRRTSLDSPLPVQVLEASPKGHYTQLVVQPLGWYTEPLTVVMRDDEPPYRGERLFVGLQHARIYHGNERIETREDIALADAARHRAFYWTAILNTLEHTIGNTPLVKLQRMGPDNGSEIWVKLEGNNPAGSVKDRAALSMIVQAEKRGEIQPGDVLIEATSGNTGIALAMIAALKGYRMTLLMPDNMSQERRAAMRAYGAELILVSKEEGMEGARDLALAMAERGEGKLLDQFNNPDNPYAHYTTTGPEIWQQTNGRITHFVSSMGTTGTITGVSRFLREQEKAPEEGSSIPGIRRWPAEYMPGIYNAQLVDQVLDIHQREAENTMRELAVREGIFCGVSSGGAAHPGAVVVAIICDRGDRYLSTGQTDIVAVQSQVVYGSVGNSIAVPNIRTHRLTVTAVPTVLFSNTPHYDTFYGGVIPDEWFSGYLKALEEREILRELKAVTTGYMGSASQIKCLAQWLTAIKAQHPDLLVLVDPVIGDIDSGMYVKPDIPEAYREYLLPLAQGITPNVFELEVLSGKPCRTPESAIAAAQGLLSDTLKWVAITSAPVADDPEIIHVVLVTRDGVTVSAHPRVETDLKGTGDLFCSELVSGIVEGKTVADAIRMAGDRVTDVMIYTQSKGYDELILPA >Dexi7A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:7A:24200565:24204174:-1 gene:Dexi7A01G0014200 transcript:Dexi7A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPGCPLLAPPARNYVSDSAPPVPYFSSPFFLAAPPPLSSRSLLETSTRGHAIPPPLTSTAKHYPAFCSSRLRIVGNEVGVPLEEMHRDRGAGDRKRIGEPMDRSSPSTSWGFSGGREKERIGAGKQLEVPRSARGSTAMSKSMLSDVEPETDSEESDVSGSDGEDTSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSNQVPYYEYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYIITSKGLAAMLDKYKNVDFGRCPRVNCSGQPCLPVGQSDIPRSSTVKIYCPRCEDIYTPRSKYLSSILTFPVKFALTLIDHRELPLTCHIDIDGTYFGTTFPHLFLMTYPPLKPQKPSQQYVPRVFGFKIHKP >Dexi6A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:6A:19117966:19124733:-1 gene:Dexi6A01G0012560 transcript:Dexi6A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKAVLDGALGYAKSVLAEEIALQLGVEKDVIFITDELEMMLSFLMAADEEQDKHKVVLTWVKQVREVAYSVEDNLMDFSVHSDERPRCWWCIPRILWERRDIAKEVKELRTRVEDVSNRNLPYRLIKGSGSKPITAAEEQPNIASAAMSGIDVARRTAMEEEKHKVDLCHLIHNIGDEDLRVITLLGESSDDIGMLSAIREVVRPSESIPLSTTENFSAIDNNEIQEEGQQQPKDAEGDNNKASDDSGAAKRKFDRSKTMAVVDEVLFGRKSEKSGLIELVGKPEGHQRLKVISVWGMGGIGKTTLVRSVYKSPELGNWKRAWATALRPFNPELLIRRLAAELIGLNKETATMDLKQLTDKLTMFLKERKCLIVLDDVWSTTEWDMVKNCLENATRIIVTTREKEDHKIMRKKLVRRWVAEGYAREMHGMTAEEAGDKYFEELLDRSMILPGGEVNIYSGKYDSCQLHDIMRQICISKAREENLAFTLEDGCSFSSTQAPPATLSRTGSFDAPPLTVSCLLAPSATTGGLLRLVMSAIGGPALLRLTRLGASSPSTCPCSPRILAALGRPAAV >Dexi4A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:4A:22721674:22722015:-1 gene:Dexi4A01G0018770 transcript:Dexi4A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVAEISRVLRPGGVFVGTTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEAELEDLCKSCGLVNYSSKVQRSFIMFSGQKPY >Dexi4B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:4B:7887106:7887777:-1 gene:Dexi4B01G0010540 transcript:Dexi4B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGLHHGTVRISRNKLLRVAATSAAALEETTTAVVGATAMAPPEKKAPPTTKPTKAASKTTGKRRQRDKAKGAHKLHACDVALNHRLVSWRVVDAAAAGGSGSSRIPDYKGTSASSLLAYLAGGNSWHEEEDDDDGGSAPPVNGGLSDLYDLIVGRRADVATDIEVEEDNDAIEEQGKDADDVEEDGEEDEEDMGFCMVGITIAVEFSDGEGEDWVVVQEI >Dexi6A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:6A:18134236:18135034:-1 gene:Dexi6A01G0011960 transcript:Dexi6A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGANYDFRYAAAPPGQPSEVFDSDLSRIRDLVEHASRKNGGKPVIFVSHAFGGYLALEFLSRSPLSWRQRLIKHYLMLSMGDGGDVVILRTIASANAGPSSNVLFYANTSRSFASPLAALASPRVFGHAPLVVTRDKNYSAFELSDLLSDLGFPDVAPRYLRRALPVTLGIRAPLVPTTTVVGVGLPSPVRLTYWDGDFGKVPQVENDDGDGFMNFEVVSAWRTVIENDPDQGYFKLILLPNVTHYGVVSDDLALKRLK >Dexi9A01G0045940.1:cds pep primary_assembly:Fonio_CM05836:9A:49395230:49401563:1 gene:Dexi9A01G0045940 transcript:Dexi9A01G0045940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSGTQRRQPALWRRCRSLRQIKQVHALMVLQGFLSDPSALRELIFASAVAVRGGIAPARLVFDRIPNPDQFMYNTLIRGAAHSATPRDAVSIYARMARHRGGVRPDKLTFPFVLRACAAMDAWSTGAQVHARVVKVGCESDSFVRNALIGMHASCGDLGVASALFKSGARGDAVAWSAMISGCARRGDIAAARELFDESPVKDLVSWNVMITAYAKRGEMAMARELFDRVPERDVVSWNAMISGYVRCGSHRHAVELFEQMQCMGEKADTVTVLILLSACADSSDLDIGRRLHSYLSERYSRTGLTTVLRNALIDMYAKCGSMNNALEVFCSMMSMASALTNHWVAACSSCRGYTSNRSDGCFKVLSCNHKGKHFLPTEKVASTAWQITRAAPKDPKKGIRTKWWEKDMKSNMKNIRSQEDFDEQLPVAGDKFTVVHFFSPSCGACKALHSKVHQFAGMHPELQFLMVNYNEQREICKKINVHVLPLFRFYKGAQGRIYSFSCTISTIHKFKDALKRHGVQTLSLATDKGSEEYEPKGLAPTTDIPNASDASPNMDGDGGPVVEPNND >Dexi2B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:2B:28406710:28407813:-1 gene:Dexi2B01G0018030 transcript:Dexi2B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSFPIIDMGLLDGEERPAAMELLHDACENWGFFEILNHGISTELMDEVERLTKDHYKRVREQRFLEFASKALTKDDGGDAKAAAENLDWESTFFVRHLPESNLAEIPDLDDGYRRAMRRFAGELEALAERLLDLLCENLGLDKGYLARAFRGPSKGAPTFATKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVITNGRYKSVVHRVVAQPDGNRMSIASFYNPGSDAVIFPAPALVKDEEAAEAAYPKFVFEDYMKLYVRHKFQAKEPRFQAFKSMETDSSNRIAIA >DexiUA01G0002150.1:cds pep primary_assembly:Fonio_CM05836:UA:4969172:4971875:1 gene:DexiUA01G0002150 transcript:DexiUA01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPSSRGIHPRAVISVTLEAPQPSSRGIHPRAVISVTLEAPQPSSRGIHPRAVISVTLEAPQPSSRGIHPRAAFPSRSRLGRLELAIQARSAWSKRLAQARKLCPTSQTPTFNLTRFEVQFNFFWNLSHANQLTLAPLTARSRVASAAPARAALAAGNRLPSDMAGCPRSRCTPLTSADSPLAVASPPRTAGFCPRLLRPRVLLFPRPVSSRLAHARLPPAARLRARVDAAHGANTAATSPCRRGSSPSCLSLHPELPEHPSTPLSRLLKPSTVPLPSTPEKHSPELAEAPPSSLLCTALPAAPPAKPRLAIASPTSTATPRPTPDPLPPPEPPASPPPPAHVHSSLRSISGRTEGTISFLVPCWCSPTTSPSFSDPNVAAATAVVDLVPGHPRPQDLAQTNHGEPLSISPHFPGPVSPPFGRRNHAGELEDLVVSSTSFQGAERKMQGLLVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi8A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:8A:28314867:28315805:-1 gene:Dexi8A01G0016680 transcript:Dexi8A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGERWTLAGTTALVNNAAQVIVKPAVECTGDDYSQLMATNLESCFHLSQLAHPLLRNASIAGGGSIVNISSTASCLGWPGLVIYSITKGLIT >Dexi4B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:4B:20299992:20300341:1 gene:Dexi4B01G0017870 transcript:Dexi4B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGEAECPRHGGGGGRRRGRGGEASVGPGRRCGEARRAEEGEAAERDEGQGGTTRAPHPRPVLYSVEDRNNATLRSGGGAGGSRRAATCRPRAQWRRAEP >Dexi9B01G0021240.1:cds pep primary_assembly:Fonio_CM05836:9B:15902352:15906868:-1 gene:Dexi9B01G0021240 transcript:Dexi9B01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPTITVQVKFAGRTIPVEVPSAATTAELKRLLQPLTNVLPRGQKLEVPEEVWDCGPSVRILDVSNNCIKEIPHKIASLKSLNKLLLTANDIDDENISWEALSCLQKLLNLSLSQNRITSLPSSIGDCESLTEVDLSSNLLTELPETFGKLCNLKVEGWEEFDERRRQKHQKQLDFRVGSSGVFDEGADDDNRRR >Dexi2A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:2A:32190689:32193955:-1 gene:Dexi2A01G0020120 transcript:Dexi2A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPRKRKGARGACSLAAGSLHEAPPAAARKRTCREPKPRPEKKNKKPCSAAAADGASSASARGGGVVMTAPPASGRAAPDSPGRGLKRKLGCIDSATRMGRKKRLESEYELGEEIGQGKFGSVRICRAKAGGEEFACKALPKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDADKFYLVMELCAGGRLLDEIAREGNFSEQRAAIVIKDLMAVLKYCHEMGVVHRDIKPENILLTKAGKMKLADFGLAARVTNGQKLSGVAGSPAYVAPEVLSGNYSENVDIWGAGVLLHVLLLGSLPFQGGSLDAVFESIKTVELDFSGSHWASISGLGKDLIGRMLNRDVSSRITADEVLSHPWVLFYTECPLKVVTANLCLTNKIVAPRIAWDKLRPECESLSDSSQRSEDQDECGIVDALIAAITHVRISEPKRSRLCSPAIIIQQECSSNLKSNLCTAF >Dexi7A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:7A:25795192:25797767:-1 gene:Dexi7A01G0015890 transcript:Dexi7A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPSPRADAPLDSAPLLGGAAGGGSRRRGGALRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRDEESPMPLRTWVAGYALQCVVHMVCVAIEFRMRHGQRGGGPVPADEERGSDGSSSSSDEDDRELDRRDGRTDYASIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQDVDPMGPFGGIMTECGTNQPIEKVLAAEDAFEL >Dexi3B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:3B:11944323:11948058:1 gene:Dexi3B01G0016400 transcript:Dexi3B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRFANSTDRSSGLEVVRDWNGVTQVVLRSPKGASARVSLHGGQVVSWKNDRGEELLFTSSKVTSFVPLIHTPFCLLQWFAVSDVQAFFKPPNATRGGIQMCFPQFGYSGTLERHGFARNLFWALDDEHAPINHNDNGSKVSVDLILKPSEDDLKCWPHCMTIICFSFEFRLRVFLSKDGDLSLISRIRNVNGKPFSFSFAYHTYLSVSDIRSGSWLIVTPFLCSITLQVDRVYVSSPNVVAVLDHEKKHSFVIRKEGLPDVVVWNPWEKKSRTMVDFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKMELSAVSSTNCSDHLDHPVSI >Dexi3B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:3B:6625082:6625972:-1 gene:Dexi3B01G0009600 transcript:Dexi3B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQQQQQQPVVAGSTRWSPTPEQLMILEEMYRGGLRTPSASQIQQITAHLACYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSCAHYYAAAAAHHHHPVPGGFLAAPPPPSIPAAPYAAVFDHQAAAGHQLFSPTTSPTPAAAAAAAAAYGYYYPTAAAAFPASRCSGTTTPPSPTQLFHYQAGGGGIAAAERPEYSLGKLDNFGVAVALDDVVVSSAAVDMAAPAAAAAFCRPLKTLDLFPGGLKEEQHDVA >Dexi3A01G0034620.1:cds pep primary_assembly:Fonio_CM05836:3A:39742712:39743755:-1 gene:Dexi3A01G0034620 transcript:Dexi3A01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAFAGAAHVLPGTTAATAAATPAARFWDQALPGSHMPNSIAELVQKGIDRSPLKEERDASPYIQPSACLGYTYQITCGKPPPQESSSSSASAFTPAGLFFHESQIRTGAAMTVSFPPASVRPILPLSVAKNVPFAAAAAVLAIFSIPPRSDAAAQVRSTLLGCRAPPLAGETKACATSLEATVRAATTMLMSSTAGDGEVVWAAASAVPRGGVPRREYAVAAVAALDGDRHVACHDEPFPYAVFQCHMTGQSTTRAYMMTLSGGGQDVAMAALCHRDTSSWNPAHPAFEVLETKPGGAPVCHFMPYANMVFGVKD >Dexi4B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:4B:422535:422906:1 gene:Dexi4B01G0000600 transcript:Dexi4B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEASFEAVAGVGAAQVYTRLALRAMSRHFRCLRDALVAQLRRLRRNKQSIIMGDTTTTTTLGATKGDTPRLKVLDQYLRQQPGTIVENYPWRPQRGLPERAVAVLRAWLFDHFLHPYEPH >Dexi6B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:6B:20588576:20589385:-1 gene:Dexi6B01G0012850 transcript:Dexi6B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSACGVAQLGKRSSEWTATQRRHARALKLQPAQAPAVAPHVMRDTDTPVLRREKTPPEDCAPAAYEDETETESETETDEESDDGSDSEEDRPFSPAMASGALTTTPAAAPRPPAPSLGAVPAASAAAAAAAAMAAMARKRAHRTRFSSEQKQRMQALSERLGWRLPRLDEAVVEETCREIGVTKAVFKVWMYNNRHKFIGGHISRRSRRGASAANGAGAAAILPSPPAAVVRHPSHAATIGYFRVKPATATSMASGGSPQSSLVKT >Dexi1B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:1B:24748373:24753363:-1 gene:Dexi1B01G0018570 transcript:Dexi1B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCMSWALGLLVPSLWEAEVAISATALLIAALILFLLTSDQRAATKPTAASAGDDSSASSSPHSSATRHHHRDAGRSGARGRAVSEITCAPGAGCYIIKLELLSAKYLIGANLDGSSDPFAVISCGEQKRFSSMVPSPRNPLWGEEFNFLVDQLPVEVTITIYDWDTVCKCKVIGSVTIAVLSEDETGASWYELDSKFGQVDMEHLGHAVKMKFFPGTPEKFFSTILGDNSMFFQQYRDARKDTDFKLSKWYTSEEYGGKVREVTFRSQCHSPLCPPDTAVTEWQHASFSKDKRNLIYETKHQAHDVPFGSYFEYRREVCKILEAGCDYFLKSESNSHDSDEIVMASSP >Dexi9B01G0029340.1:cds pep primary_assembly:Fonio_CM05836:9B:31927270:31929048:1 gene:Dexi9B01G0029340 transcript:Dexi9B01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGEKLHHKEANVAHGAAAVYGGGGKGGAKSSTFLYGLLLYVVLPVLVLYFVVIAASQFYNPRCSPEGNVVMAASHFMVAAKPNNVSLAGRSLNASSSPPPPPIHPAKPALTAEEAPTGLRHIVFGIGASASLWQSRKEYIKLWWRPGRMRGFVWMDRPVQEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSRYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPATTSRAGALRRLFDGPVRLDSAAVAQQSVCYDRGHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPHVYYMRGSRMDRRRNVTVTEYERHRVKHPACRWRIDDPGALLDSVVVLKKPDPDLWKRSPRRNCCRVVSSPKKGKDRTMTIDVGVCREGEFAKV >Dexi1A01G0028680.1:cds pep primary_assembly:Fonio_CM05836:1A:34309284:34310915:-1 gene:Dexi1A01G0028680 transcript:Dexi1A01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAAAQSSSKPHAVCLPYPAQGHITPMLSVAKLLHARGFDITFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSEDDDVTQDIPSLCKSTTETCLPHFRRLLSDLNDPSTGHPPVSCVVSDVVMGFSIDAAKELGVPYVQLWTASTISFLGYHHYRLLMSRGLAPLKDVEQLSHGFLDTPVEDVPGLRNMRFRDFPSFIRTTDPDEFMVSYVLKETSRSAGASAVIVNTLDELEGEAVAAMESLGLARKVYTLGPLPLVAREDPSSPRSSIRLGLWKEQEECLQWLDGRDPGAVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLETICGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDNNVRRDAVASLITELMEGEKGKEMRRKAREWRDKAIEAAKPGGASQRNFDDLVRDVLLPKN >Dexi2A01G0035920.1:cds pep primary_assembly:Fonio_CM05836:2A:45416861:45417607:1 gene:Dexi2A01G0035920 transcript:Dexi2A01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAPAAIVDLQEEKKNKEAAALARLVDDAARGAPDADVPFRVPLLLLLLLGARRRRGDDGHGGAEADRPEHVEVVSGHQHRRGLGDVVVVVGGVEEVVGVEQVDGIVGEQRGEVDVGVVGERGEGGFVGGLVELWSLNQAVVLTSSHHSGE >Dexi5B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:5B:8072176:8072348:-1 gene:Dexi5B01G0011380 transcript:Dexi5B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVQSLKMVQRSVEFQESIPTPPARPNIRQSSTTYESDGTSSMPQPL >Dexi5B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:5B:9638019:9641923:1 gene:Dexi5B01G0013510 transcript:Dexi5B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPGGRVGHTIPHGRTAPGTRPPPRLHAAAAPASGAWSAKKNISATRHRRAACLCVRCSLASPAAAMLLHLLPLSCALPRPAAPTAARSSGCSYAGGRPGARWARRRAQRRADVQPETPPRGRREGGGGHDPHGEGSGGALLETVRRLLLAKEEADAEGEEEEDQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAENGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATALTPVAAKLGLPFLLVVRAFMGIGEVLKFNLMESGLLCVLPWLTMAVSANIGGWIADTLVSRGVSVTRVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQVILHFASFLWSGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDNVFEVSVVLYLVGTLVWNVFSTGEKILD >Dexi9B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:9B:5065440:5070301:1 gene:Dexi9B01G0008250 transcript:Dexi9B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVSNPGDDETGHRSKRRRVSSGGDATDTISAAMGGGGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWLEVAEHVGTKSKLQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSLLPGELTPKAESPFSPSRVKLEDALGDGPAGRSPSQMAGGANKKASNAGQIKDVANLSKVEDGHVDRSVGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKELTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEHKRKKEYELNAQKAKESGQLIPNNKSVQKMNRPVKIESDGNLDAKKGGAGLDSPKTSGPTSVKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEMFKGSVLKKEDAHVLFKVDPTKVDTVYDMVSKKLGNHEEAPTV >Dexi9B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:9B:3961928:3962542:-1 gene:Dexi9B01G0006600 transcript:Dexi9B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLRKAKAAVSASRLLSTSSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQA >Dexi3B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:3B:12866417:12867505:1 gene:Dexi3B01G0017520 transcript:Dexi3B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLYKQLGLTGAGSPLSGRHLLHILLAAGFLAFTVFVVHPNEFRIQSFFAGSCSRPSTDATAAAATPLAKAAPHDAATESAHSPAPDDDDVRVLIGIQTLPSKYERRHLLRTVYSLQLRDQPSLAGRVDVRFVFCNVTSPDDAVFVSLEILRYGDIIVLDCAENMDNGKTYTFFSTVARAFNATTTAGRRRPKYDYVMKADDDTYLRLAALASSLRVASRTDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVQWVATSELARREQDGPEDMWTGRWLNLAGRAKNRYDNAPRMYNYKGGSPDSCFRHGFVPDTIAVHMLKDDKRWAETLAYFNATAGLPRSGGLLYHLPPPAGAGRP >Dexi2B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:2B:22725102:22727123:-1 gene:Dexi2B01G0013700 transcript:Dexi2B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALPRLHGSELPWLQASSCSLILHPWQRGARDCGYFRVSRLVFLGKMLRSTKMPPMRKVRILFSDPDATDSSGDEDGQNPEKQKKVIREVLIPVKQYKTSKPLKNTMPCGTKDLNGLEKKVSSSRYRGVRLRDSGRWQAEIRNPLTKKREYSLHDTEEAAAAAYQAKWNQFHAEMQSMKAQPPLRKHAGLSSSSLVSCISSSVLCEKKAQEAQNRVGSLMKINCEPMDESLLNLSPKPMEISDNSMVNRKDVHPVRDSVSPTDELPPDDFTRPEDMFTVSDFIGTPYIPLDNDYIGLADISHLPLPIKDPEFDLDAELDWSGFDFISLEHELDLL >Dexi4B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:4B:21424324:21426109:1 gene:Dexi4B01G0019160 transcript:Dexi4B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLGRRIGVAAAAAAFIALAAFGSASSTPKSFVKSTVSAHDVVIFSKSYCPYCKRAKAVFKELELKKEPYVVELDQREDGSDIQDALSDIVGRRTVPQVFVNGKHLGGSDDTVEAYENGKLAKLLNIGVKDDL >Dexi5B01G0020960.1:cds pep primary_assembly:Fonio_CM05836:5B:23209269:23216154:1 gene:Dexi5B01G0020960 transcript:Dexi5B01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPSAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVTKKMCYLYVGAHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLATGLKDPSAYVRMIAAVGAAKLYHISATACIDADLPASLKALMLSDPDAQVVANCLHALQEIWTLEAANSEAAAREIETLYSKPVVFYLLNKIKEFSEWAQCHVLELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLSTIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYVLESLVENWDEEHSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEMKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAEAPENVISAQRYQENDNDLLLSTSDKEDNGTRASNGSSTSTYNAPSDLIGLSSQTPAETSLINTGGPTYSSQSNFSLDDLLGLGVTEAPAPPPPPALTLNSKPVLDPGTFQRKWGQLALALTQQSGQKL >Dexi5A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:5A:5876550:5879148:1 gene:Dexi5A01G0007880 transcript:Dexi5A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPLVIPATALPAARLARVVAAAGSGGAAPRRGRRGKPGFSRRSAIKKSFHQEQVVFSTPVPADPTVAVIGGGASGLACASALASRGVRSVVFDTGMHGLGGRMATRFVDGGERLVFDHAAQFFTASDERFQRLVDEWLDRGLVREWSGLIGELEAGGRFRPIPSSTPRYIGVNGMRSLADAVVPETDMIKVVRPCWISKLEPFNGLWRLFENEKPRGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKRLELSSVWALLAAFEDPLPIPHNDSHGAFEGAFVRDVDSLSWMGNNTRKLFPKLTGKPECWTFFSTAAYGKRNKVPQENIPKVTAEKVKEDMLGGVEHALGLSKGSLQQPIYTRVQLWGAALPMNTPGVSCIFDPLGRAGICGDWLTGSSIEAAVLSGTSLANHIANYFVSRGERPEEFAIGLHENLNQVEGNDIGQFPGLDSEKPQVAQPQLTPSV >Dexi7B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:7B:9974779:9978064:-1 gene:Dexi7B01G0004080 transcript:Dexi7B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCDLGVLKAKNLRVLLPSSCGTLRIPDELAAEIGTGQALVVLPTGTGGKVRVWPVEVGRDGDSAFLGSGWPEFAEACGVEAGWLLIIRHRGRGVLTVKAFDASRCLRVLGAPTLFAVEAAMSRKDAARKPQFVSVLATNSMEKMLLPTKFVEHYIPKELLNNLSAIVLGPIGKVHSVKLEMARYEGNMIFTVKVFGLHGCQRESEHKEVRALPKDFFDGIGLQGPSTIMLKTSMDSTKYWEMLGMPCKNGSYLFVQGWKRFCQENCLKEGDICTFNVIESTMWHAIITRYIWKHKETSLSSSMKQNSSNGRSDSEDQKKRRGSMTSVKNAPLRVRCTYEIGPPAWIRKEMSIKAIKRYITFPAAFCNAIGFQDACMITFKTLLSSTRSWQVRLLRYKHTSHQVGSGWRRFCCENKITEGDVCTFNVIDLTLWHVTIVRR >DexiUA01G0027650.1:cds pep primary_assembly:Fonio_CM05836:UA:58973876:58975668:1 gene:DexiUA01G0027650 transcript:DexiUA01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNFLLVLLIPIILLLSRRRRRGKKRRVVATTANRLCLPPSPWKLPLLGHLHHLVFSGGNLPHRSLRALAAAHGPIMLLHLGQVPTIVVSSAASAREVLQAQDHVFASRPSLTVPRRLLYGCTDIAFAPHGPYWRAARKMAVRHLLCPPMVRAHRAVREQEVAALLRRVVGEQPSVRLSELLNGFAKDVAGRIVLGLRAAGDDGWRGKVDTLLEESNALLAAFHVGDCVPWLSWVSAVDGTDGRVRRAFERIDRILDEILDTAASTASRGVVVEEEGTKDGEAFIHVLLSLQRKGDAAPETSSEWRLSIDNVKALLEDLFGAGTEATIIVLEWAMAELLRNKESMEKLQREVRQEAARARSATGSNHSNMVVGEEDLRGMEYLRAVIKETMRLHPPGPLLLPHKSMRATVIGGRHVVPSDTTVIVNAWAIGRDPEVWEESPEEFRPERFVGSKVDFRGQHFQLIPFGAGRRMCPGVNLAMSVVELALANLVARFDWALPEGEAPLDMEEMAGCTSRKKAPLRAIAMEHCCSPGFCF >Dexi2B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:2B:12506085:12507511:-1 gene:Dexi2B01G0010970 transcript:Dexi2B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSVTAVVGDEDALPPATPFDPAVVNEDGGEGEQQGLGMRRGHRFAASYSSFGTAVVSEDDLGGAPAAVDGAFGYAGFGMPPDSNGGAAAYGFAAAGDDAANGGVEHVVMGAEYVMDGAVMHGGVGEVGFGGGGGLDEDMFSGAADDGPVLPAPEAMKEEGILRRQWRRQNALMLEEKERKERERRSEIIAEADALKKSFLEKRKLNCDTHRTQNRDREKLSLANQDKFHMEADRQYWKAIAELVPHEIPGLEKRGKRKEQERKPSIVVVQGPKPGKATDLSRMRQVLMKLKQSPPLHMVPPPPPPAKEQEKKKDGDKDATKDGEDANKDGKQTAGDARNKAGVTEKAAVSANAAGAPPAATTAEAPGRKASEQPVKK >Dexi1B01G0025690.1:cds pep primary_assembly:Fonio_CM05836:1B:30684948:30687118:-1 gene:Dexi1B01G0025690 transcript:Dexi1B01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAAAAKKDAAEGQEGETTAEETKKSNHVQRKLEKRKEGRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGATA >Dexi9B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:9B:1580541:1582298:-1 gene:Dexi9B01G0002870 transcript:Dexi9B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCTTPSPASTTTTELDTAEDLSETAADDAVLAYINQFLLEDEDDESYPVSSASEDSALLAVEKPFVDILKAAKPITAQACEVKSLMTDDCSSAGSRGFHHVVTSNQSSSQLSGQMVKECSVGVTHKGRKNPHDDGLETEERKSKQSALCEEETVREMFDNVLLCFDANCEFHSPMPAEAQINGGYVKGSGNRRGRRKGRSGTGPGEEAVDLTTLLIHCAQAAAIDDHRSANELLKQIRKHSSATGDAGQRLAHYFANGLEARLAGTGSSIYRSLAAKRTSTADILRAFSLYIKACSFQRVSHYFANMTILNASKSVTRLHIIDYGMRYGFQWPVLMQQLSKRRSGPPSLRITGIDFPLPGFRPAELIEATGRRLHEYARMFNVPFEYQAIAAKWDTIQVEDLKIKSDEFVVVNCLYRMRNMMDETVTDDSPRTRVLNTIRKLNPHVFVHGIVNGTHNAPFFVTRFKEAMFFFSSIFDMLEANASRMDEHRLLIEREFFGREALNVLACEGTERIERPETYKQWQLRNLRAGFRQLPLNEEIMKRARYKVSKSYHRDFLVDEDNKWMLQGWKGRVIFALSAWTS >Dexi1A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:1A:3995831:3996158:1 gene:Dexi1A01G0005490 transcript:Dexi1A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLAPTTSSSALLPKDAAKNSPPSWTLGLRALGSRSGKARPIMAVASEQAAPAARYPKVAAPTTGPIPAAELLGVIEAAAKAGADFWD >Dexi3A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:3A:3652637:3652876:-1 gene:Dexi3A01G0005620 transcript:Dexi3A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGFMGPPPVPRSPEDVFRDYRARRAGLIKALTTGNPPPLLSFAFAAPISSGAAVWGSEFSLMWRSST >Dexi3B01G0037380.1:cds pep primary_assembly:Fonio_CM05836:3B:40120341:40127938:1 gene:Dexi3B01G0037380 transcript:Dexi3B01G0037380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAQILLMLIIFFLMKEASATSSESALSKPGCPDKCGNVTIPYPFGIGDYCAASSRNPYFIVTCNNTFQPPRPTIGDNETSVELMDISLEHGAMRVYNDVSYTCFESSTTISASQPAGFNLNSTWFIPSTTQNLFTVIGCNTLGLIGGYMQNNSDNPDQYVAGCYSYCQGLDSTSDNASCAGLGCCEIAISPNLTDFAAILPMQSSVWKFNPCFYAMLVEVGWYSFKRHDLEGHLTFIKERATRGVPVFADWAIRDGSCPKDGANASKDYACVSSNSYCVNATNGPGYLCNCAKGYEGNPYINNGCQGLSICAVVVMSLTCIFVMKSQRSKHMKEKDEYFKQNGGLRLYDEMRSRQVDTILILSENEINRATDNFSEDHVLGCGGQGMVYKGTLYDGKEVAIKKSKVIDSNCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPTLVYEFISNGTLFEFLHGNDIRSPAPLDLRLKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDDYNAKVSDFGASALKPMDKNDFIMLIQGTLGYIDPDSFVSHHLTDKSDVYSFGVVLLELMTRKKAIYIDSCKEKKALAHTFILMFHQNELRSMLDTEIVDDQCGNVSIPYPFGIGDACAASSLSPNFTVICNDSFQPPRPTIGNPPIAEIIDISLEKGEMRVYSGVSYSCFTSNTTISDSKIVEFNLEGTPFIVSASRNSFMVIGCSTLGLIGGSTHNNPDLDVVGCYSYCEDINSTSDGVPCAGKGCCETTISPNLTDFAAVISNQSSVWNFNPCFYAMLAEEGWYSFRRQDLKGDLGFIKERANRGVPIVSDWAIRNVSCPKGTPPPKDYACNKLRDMLDSEIVDHEVMIVLEKLAELVMQCLSPKGDERPTMKEVAERLQMLRRLQMQLTTKAHPIQEHYSYGGSSEFVPSDGTGYQSTETAKLVLEVDLAR >Dexi9A01G0049380.1:cds pep primary_assembly:Fonio_CM05836:9A:52016591:52026550:-1 gene:Dexi9A01G0049380 transcript:Dexi9A01G0049380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETFQCLALTMHLFVPVIYLLLKYFQVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQSYAAGKAREYLDKPAIHETMVKVSAYLLGEYGHLLARRPGCSPKELFGIINDKLPTVSTSTVAILLSTYAKILMHTQPPDAGLHHQILTIFKKYESYIDVEIQQRAVEYFELSRKGSALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSALVVAEHPSANGSAPAANHLTLVKVPSQTVSDIPESSATYEEVSNPAVEAPKENGPVEVESKATDISDINHETKVEPPSTSRSTSPADLLADLLGPLAIEGPPAAEQYPAQGLDANQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFMGNKNTSPLMSVRALILPPSHLKMELSSVPDTIPPRAQVQVPLEVANLRASRDVAVLDFSYTFGTALVDAKLRLPVVLNKFLQPITLSPEEFFPQWKALTVHSLKVQEVVKGVKPLPLPEMANLFMSLHMAVSPGLDTNPNNLVACTTFFSEATRAMLCLIRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHLIDIPRTQAAPPPGPVQPQLPAAVPATYNDPGAMLAGLL >Dexi9A01G0044790.1:cds pep primary_assembly:Fonio_CM05836:9A:48398091:48399588:-1 gene:Dexi9A01G0044790 transcript:Dexi9A01G0044790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWMIISLDFVDKSASLEESLKISNQRAKYQNDFISQLQMTLTKAQAGVKNNMRRMNKSIIQNGSNHLVHVVLFALGCFFLVYLVSKFSR >Dexi9A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:9A:12065894:12066853:-1 gene:Dexi9A01G0017020 transcript:Dexi9A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGQALVSRPSGADAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >Dexi4A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:4A:23308603:23314530:-1 gene:Dexi4A01G0019520 transcript:Dexi4A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRHHLPLAAAPAVAPDPAGPSLATRGALPPRWARLRSSLAASPSSEPRLTRSLTAPAAAAAGGRPTVLVTEKLGPLGLDLLRSFANVDCSYELTAEELRAKVSLVDALVVRSGTRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLTAMARNVAQADASLKAGKWERSKYVGVTLVGKTLAVMGFGKVGSEVARRAKGLGMDIIAHDPYAAVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTEKLFNDETFAEMKKGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFIEEPPPIDSKLVQHENVTVTPHLGASTTEAQLGRLVVQLVSGGSGVKVVKVVYSSTRDPDDLDTRILRAMVTKGIVEPISSAFVNIVNADYVAKQRGLQIIEERILLDGSPEIPLDSIEVHLTNVESKFAGALSDAGDIKVEGKVKDGSPHLTLVGSFSVDVSLEGNLILCCQVDQPGIIGKVGSILGKMNVNVSFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGETPFVEEFVFLKL >Dexi3A01G0031230.1:cds pep primary_assembly:Fonio_CM05836:3A:35677127:35678173:-1 gene:Dexi3A01G0031230 transcript:Dexi3A01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICEEAPNPPDAQTVAMDAKLMVATDRGDVNKLKNLLNKEDAMAMVVVTATSKKPSEKDDQTPAGLINPLLLASAHHGSWKALHFLLEREDAGRPPMATPTQEFLALLREGKGAIEAPLPATGDVEDQGVEDHPQHDEALPASGTLLKGVTPDGDNALHAVAMNGNGEDFLKYADTADIICGRDMELLFAKNHRGETPLHCAARAGAHKMVSHLIALAGREGADRKLELLRMANKCHETALHEAVRVEDGAMLQDRDRASVEAVLTDKHGKGAEEKSMVKLLMDAYPGLANYPVNGISPLYLAISLRKDTIAVTLYKMSGGNLSYSGQEGQNALHAAVLLATDGGA >Dexi5A01G0029550.1:cds pep primary_assembly:Fonio_CM05836:5A:32615781:32618570:-1 gene:Dexi5A01G0029550 transcript:Dexi5A01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMRPHHRVGSPQTENAGRRRPQRSIARASASAGTVEPAAASAEKSAPRAGSGTGAACGLFSSRNTAAAKARLRPSRWCAPAAPARWETSAAAAWGEGAREDAARDLNASHASSERPSETAVRRLDSSASVSATGSVFLGADAARDLNASHASSERPSETAVRRLDSSASVSATGSVFLGAVSWAGGGGGGGCGLGRGAKMEGWRKEYTVGISWGSEEEEEDRAGAGAGGGEERASAEVFCR >Dexi1A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:1A:10062350:10063376:1 gene:Dexi1A01G0011190 transcript:Dexi1A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTCRVLAASINVWKALASAALAGLLLGIAGCFAPKRWFRRRPSGRAASTTELVVVTVDVTAGPARPGYPCAQVNAPPAFAFQCPVEVVVCSVCLEDVRGGEMVRQVPACGHVFHVGCIDMWLHSHRTCPVCRCVVSTTEEVTVSPKDDAAVEEEAPESSSDDDHESPPV >Dexi3B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:3B:251653:252286:-1 gene:Dexi3B01G0000300 transcript:Dexi3B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSSGSKFKGLDGDSSTTDHGQLHDRRSSGSNSSTVELQLDDSSSSKKTPCASSSSVRPYVRSKNPRLRWTPDLHLCFLRAMYRSKKIDDSGQGKD >Dexi8B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:8B:4184951:4185238:-1 gene:Dexi8B01G0004590 transcript:Dexi8B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVHEPDVGKKVREHCHKLEEEASEDMIHTWVLAYLHRQVGKKVEVHKVEVEKQRHHSCKEQACCHIDEESLSLHNFLPFLLLRETELPEAWER >Dexi5A01G0037420.1:cds pep primary_assembly:Fonio_CM05836:5A:38781532:38783942:1 gene:Dexi5A01G0037420 transcript:Dexi5A01G0037420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGGAAGEGEWLKVAELRAMVEAQDPQAKEVDNLTLRRFLRARDHNVGKAAAMFLKFLQWRREAVPDGFVPEEKVRRELSHDKACMGGVDRSGRPILVGFPARHYSANRDMEEFKSFVVYFFDKICARIPRGQEKFLCIVDLKGWGYSNCDIRAYIASIEIMQNYYPERLGKALLINVPYIFMKAWKMIYPFIDNNTRDKFVFVDDKSLHETLRREIDESQLPEFLGGKMPLIPLKDYVQHPQAV >Dexi9A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:9A:16595566:16596363:1 gene:Dexi9A01G0021760 transcript:Dexi9A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKEEGLAFAEEAGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSSVVKRNILKQKQESHAKHGNGCCQ >Dexi6A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:6A:22268282:22272625:1 gene:Dexi6A01G0014880 transcript:Dexi6A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAAARAPGLCHTNRPSVECLICSKSILPDERTQCSVNHCEVTLHRSCSAQTDGCCPQHDASPTNDIKEAFQRLPLPCTNQEFNIDPIKKKDLETETEPLPYVHLKRNIYIIKNKCDGDGIEGGCANCDHDSTCESCYCRCSLVSCSQACHCSVKCSNKPFRKEKRIKIVKTQHCGWGAIALETIENDDFVIEFVGEEYNPRL >Dexi4A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:4A:4134126:4138396:1 gene:Dexi4A01G0005760 transcript:Dexi4A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSELATEVLIPVAGIIGIAFAIVQWVLVSRVKVAPGAASSSGSKNGYGDSLIEEEEGLNDHNVVVKCAEIQLAISEGEEQGLADLVLPLGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKGKDCKPALFTALFSTVSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFSLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVEKNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVSNWGLFFCVSIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRNQFKNIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASDHAKELGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKYL >Dexi9A01G0047240.1:cds pep primary_assembly:Fonio_CM05836:9A:50421416:50423727:1 gene:Dexi9A01G0047240 transcript:Dexi9A01G0047240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVSLATRSVRILIPDQLPTLFEPQKAVMTPNIRASAADSDEAAPPERRLTALALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGQTDFWCITTLLLVEGCRILGRSHELEWQHEATGHRAPTVSSAFAGRVFYWLQLLSASACVALSLLRLSHQHYGGSPEARTNRTSALDIFYGLALAEALLFLVEKALWQWRLGHHRLLERVAGECHLATTASGAVAVRRFFYDSYSRCLSGSIFDGLHMDLVSYADDLLTAGSHDEQRLGANILVALVESDRFADTTLRRIGTSSPATERLIEMLSWKNASEKDVRRSAAVVVFMLTGRKLNALRVTGIPGGIESVASLLYADLDELNLLGLSILNKLARDHDNCDKIGKTRGLLDKIISYSSIAANVPAMATATHMRLKAVKQSLRVVKRLASTTGTTGKLLRRELSDIVFTVSNVREVLQQQQQQQHDEDDDMCELHQLAIEILTSLAMDEDAREMIGGTGGVVTVLVAMFLPGKDAPRDRKKDAVRVEAGEALAMLALDSWSNCGAIIMACGGGVQRLVEALSDPVVTIGAARILRNLCTYAGEEWQLALRGVTAGATKVLRNIMVEKTKLLNISLGLAAQMLRFMEPGELRAALATAGVTEAALARTLVLVLREYGNRPSMVVPRIRLYTLELAIALMVARSPEGEEARFAALFVELGMEGELVRVAETTSGLECFNVFSGSVGLSRRAVSVGSLVESAREYMRRA >Dexi5A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:5A:10808333:10810532:1 gene:Dexi5A01G0013980 transcript:Dexi5A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHATTLVKSLCARGAVRHARALFDEMPDRDVVAWTAMLSGYASSGCHREALDVFRRMLAAGVVPNEFTLSSVLTACRGGGGGASSIHAVAVRRGVDHMPYVVNALIDAYASRGDGLVDGRRLFDALGAGRTAASWTSMIAGYVRWGLENRGLQLFRKMIQDDVELSPFTCSIATHACASLGNLYSGQQIHAVSIRKALGANLAVANSLIDMYCTCESIVDARRLFDEMPERNLVTWNTIIAGSSRNDPRMPMQLLVDMDIEPNCLTLTSITSACAGLAALRCGQQVHGAVLRRNYCDDLKVSNALVDMYSKCGSIFNAEKVFTMMICKDMLSWTSMIGGYGMNGYAHEAIELFNSMVHAGVHPDHVVFMGLINACSHAGLVDEGWNLFRSMLFDYNIQPNMEIYGCVTNLIARAGRLREAFNLIHTMPLTPDESVWGALLGACKMHKNVELGSLAARKIIEINPDAAKTYILLANIYAADSKWADYALTRRLLRGTGGGKEVGTSWVEVTDKMYSFSTADSSSRQVSLADEVLQILVQHMSEAGNDFDENISRVA >DexiUA01G0001270.1:cds pep primary_assembly:Fonio_CM05836:UA:3687801:3688816:1 gene:DexiUA01G0001270 transcript:DexiUA01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGASGIGGKPAGAEEAYYDPKESSENGIGGGFGRGGGARKRHLAAAAVKIGVLVLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYERQCTRDGRVTCLVTPPRTYRIPLRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGE >Dexi2B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:2B:5271857:5277436:-1 gene:Dexi2B01G0005720 transcript:Dexi2B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPAASPSDPNPNPSPDADPRSDTPMPDAGGASDGASPASPEKREEEEEDGGEGEAEAPPGKEAKRAALPAEEEQPTPRKTRLPRACNSKPKPPPPPPPERPRRRAAAAAAGGADDTPQCRVVTPLVSEPEAPAELPRWRLRCMWELGSVLNFLHVFRPLLNITAEFTAEDLEAALITPNETLYDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDLPIVASHGAEIETYKTLEPATRLVILKAICDIRVEQEDIRNFIDSSLKHGHDLYTFRKERIGGDSLGISYWYEDDEILGHRLYREIRRVEQIKKEPGKRPRGKGGSIAVPVVSYQWETVASTFEEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERLLKKQQREALLLDSYLTSDGLTTGRSLRDRKPVTYTFDDFDRSINEAIKITKKREENSAEPVTTATNRRVLPLRSEAPSNGKLNGSSPTANDSFDGNSSKSDDYRDSDGEQENEALDRSNRRRKRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDDEYLRNRKQHKASSASEEDEEFRLEDDDEEEEYSLSTSEDIEEPQRHKKLETRGWRGTKLRSVDEIQSGLRRSKRSSRPRINYRQYDFSDSDTEPGKARKSDASDPDAGSDAENDMELSTSSQEQEEEEGDDSPDEQKGNNVNDKMEDDHTVAENKVEPDEEQQEQPQQQVVEKMDAPPSRESESVGRTFLDLNELAPGGGFDDGPSLSVKDDMDNS >Dexi1A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:1A:165135:166190:-1 gene:Dexi1A01G0000260 transcript:Dexi1A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVGDGDAASAGRRCPKHPSEPPFTGFCSACLLDRLQATNLIGVASPSQPPAPSLHLHQEDLEELPPPCSTEEAKQPGTGRITLLRLFQLEDQGEERPEEDTNSAPSTSGGGDCEDPPPHLQRKRSLRHSCSEWIACCDASAAANHSSCLPSRQSLDASSTTSAAAAAAAASVAPADPQSNHASASARSNGVAMVERRTGSLRWNQLWAIKGILAKPALSRSFSESSRSRYALHPGSGAMARSSSSQSQGIRLNGSRSVSSAGNGMDSSEISLPGDSVGHGHVHHCRPRLKDRLRWLRRSRSVHYSSPTSIGDAGLTPFRSRSSSTRSTAHKNHRRFAAGFFAAQRHRH >Dexi3A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:3A:10979211:10980740:1 gene:Dexi3A01G0014900 transcript:Dexi3A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAFESYKKALTTAASVAASLMLVRSVVNEVVPYEVREMLFSGFGYLRSHISSEHTIIVEKKNDGFTNNHIYNAVRTYLATRINTDLQQRLRVSSMDENDKMMVSMAEGEAMLDVYEGTEFKWCLICNENSSDSSNGNGGQNEVSFEVSFHKNHKEKALKSYLPFILATAKAIKAQERTLRIYMTEYSSEWSPIDLHHPSTFDTLAMDQKLKQSIIDDLNRFIKRKDYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANLLRFDIYDLELTEVNSNSDLRRLLVGMSNRSILVVEDIDCTIDLKQREEGEGREKSNSTEENKGEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHIHMGYCTQESFRILANNYHAIHYHDTYPEIEKLIKEVTVTPAEVAEILMRNDDADIALHDLVDFLKSKMIEANEIKTEQEEANNQLEEKKDNRDSDKK >Dexi8B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:8B:2447863:2448824:1 gene:Dexi8B01G0003310 transcript:Dexi8B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTVPTSPLLLQRPVLALLLLLCALTLAHGATTPRPRQGISLRSQAAALLDWKSTLKHYSEHQLGTWSSNVHPCNWTGVTCSDVVAVRHHRSPARTPAITRLSLQGANLVGQLDTLRFQSLPYLTSLDLSDNAYLSGTIPPGISSLSLLSIFNISGDHLSGEIPPTVGDLGRLTKMDLSNNNLSGQIPPALGNLSRLTSLYLFGNNLTGNIPWQLGKLQDIEYLDLSSNLLHGEIPSTLGNLTNLNTLALSAHELD >DexiUA01G0014240.1:cds pep primary_assembly:Fonio_CM05836:UA:30202344:30203185:-1 gene:DexiUA01G0014240 transcript:DexiUA01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALVYGTRDSGFDPQRRRKGRATWGEERLPREERDWKRNPSPQPLTKAIRPDRRWRRRRSTTRSSGRSTMSVPPLPIDPACPSSYCSLRCQVPWFESVAPVAIVLVLRDLNTCDDDLPLHRIDSTARLRYLVCAELWV >Dexi5A01G0038520.1:cds pep primary_assembly:Fonio_CM05836:5A:39486719:39487217:-1 gene:Dexi5A01G0038520 transcript:Dexi5A01G0038520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVAVALSSVFGWIYLVALTSIVTDIPALLDPGNDAGGNAIAQALYAAFRARFGSGVGGIMCLAAMAVAIFLCGTASVTSNSRMAYAFSRDGAMPFSHVWYRVNKQEVPFNVVWLSVSVAFVMALTVCTYCAV >Dexi5B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:5B:9142888:9143136:-1 gene:Dexi5B01G0012910 transcript:Dexi5B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPHHLPRFPCPSLCLFHQAPRLPIRHLFHRGYPLPRQCDLLLHQRPDAPQAAKRGSGHQSGHIDRLRIRRPFRRHDPVHQ >Dexi2B01G0027190.1:cds pep primary_assembly:Fonio_CM05836:2B:36170219:36173125:1 gene:Dexi2B01G0027190 transcript:Dexi2B01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAVAQLCGGGRRGQKAAAPRVDLRWARLLRLAVVTRVLRVVRDQLLACSSCGGGGGGGGGRGGRYRRLGPPAHAGGAVLAPLDRDDHDCVAGADAAVPCDAAADGENVVSLKVSLLGDCQIGKTSFMVKYVGDEGDEQNGLQMTGLNLMDKTMAVRGARIAYSIWDVAGDVQSVDHIPIACKDAVAILYMFDLTSRCTLNNIIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWAIVNQARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTIGEPIIDF >Dexi5B01G0027110.1:cds pep primary_assembly:Fonio_CM05836:5B:28722043:28722573:1 gene:Dexi5B01G0027110 transcript:Dexi5B01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNIVGGYTAGSAPSAPPNAPSAIIITTAARLISAASLETKYPPEEAPRAATGRASSPCLAAQDRIARLAPLTSFGDQQSPALATASTANRLRRASSVMATSSGRPTHPVQRGDGGQKFSGRQRQPWATKRTALAGAAAAGSSQMARTPSMRGGTSPLDSYERTAVWE >Dexi5B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:5B:7932569:7935756:-1 gene:Dexi5B01G0011210 transcript:Dexi5B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLHPIVSVKMGSSSEDDYEISDSEIDEREAEVYEHLKSGDIKVKDRETYSCPFCRDKRKKDYSMNNLLQHATGVGSAANRQAKDKATHRALARYLKDESARSSKPQSQLTMAIELQNLGNRDDKFVWPWMGVLVNVPTEFKNGRQVGESGNRLKEQLSHFCPQKVIPLWNYRGHTGNAIVEFAKDWTGFKNALAFENHFEAEGYGKREWTLKKYRGSEMFGWVARADDHRCQGPIGDYLRKNGDLKTVGDLETEETRKTDKLVANLASQIEVKNRHVQELESKCNETTASLDRMMEQREQLLQNYNEEIRKMQQIARMHSQKIIDDNQKLHSELESKMQELDSRSKELDELASRSDYDRRNLQQEKEEVCDNQKKTKHLMMATMEQQRSEENVLKLVEEHKREKQAAMGKIIKLQQQLDAKQKLELEIQQLQGKLEVMKHMPGEEDSESKKKMKELSEELKEKYDEMEAVESLNQTLVIKERKSNDELQNARKELIAEVLSEVDEKLQNLKEEHGEEIYGLVTKALAEINEYNPSGRYPVPELWNYKEGRKATLKEAVQHVMKQWRNHKRKR >Dexi5B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:5B:2023665:2024364:-1 gene:Dexi5B01G0003070 transcript:Dexi5B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATEKSAASSEGARRRRPRVLLAASGSVAAIKFEGLCRSVAEWADVRAVATASALSFIDAASFRTGVPLYTDDDEWSRWKRVGDEVLHIELRRWADALVIAPLSANTLAKIAGGLCDNLLTCVVRAWDYGKPVFVAPAMNTFMWDNPFTARHLGVVRELGISLIPPVTKRLACGDYGNGAMAEPSEICRTLLLFFGPQHL >Dexi3B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:3B:6920677:6920970:1 gene:Dexi3B01G0010010 transcript:Dexi3B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRNRGARGRGSQAWCVPPLGRAAFGRHRRELAQGQCGIPPPSSAMTPASLGRSCLPCLQSRRICRASTRVLDPFRYGARAVGIAAGFARRDVG >Dexi9B01G0046360.1:cds pep primary_assembly:Fonio_CM05836:9B:45584695:45592214:-1 gene:Dexi9B01G0046360 transcript:Dexi9B01G0046360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRLLVWYRSAFVCAGWSAAANTDRPGEAVKCIVRYHISAASAVTYAIESVYACKWTNDACVHGHGSTLQMMDGWMGNWASTTTTVVVAATLLETNRKGCSSISYSTAGDRKAPADGCHTCGSSKREGVKLHADWRLASCSNDLASPSGRVAVSPPPPPRCITCHGAAPFWHCRASRCQVGTLHVKSGRPADDAWKLPNYSRLLASLLCREAFPWCPRARPHCEGQRGDHLVAPARRKTAAADGCVPPGPAGATATYGAAVLHSSHGPPQFPHNSSPARCRGVPSPALSGSGFPPPTPHGMRAASLSGLDHRRRAPHPPLRGGRRSPAGHRRTRLPEPLAPTDRAVPASEEVRTYATVARLNSISATSASGSPAPSAPRKPEEPAKLKSAIGVDLTRKTGVFTRLSAPIAAVEKKLSPIWVEVKYKHRKSKADIPLQDASFKSRDGRPHAGDTPGDKLPAYKEAFVGRCFRCLASDHRLSQCRDPPRCLACRRSGHFARDCPERRRRSLHSRLSFPPRKPVHSRLTFPPPNIHNRLSFPPLSYTSVLPLVRHQHSPADPMEYIPGLPDQRPERSATMVVASDSISRESNRLSSHAVLITAEQGGYRLSVTEVVYGLSQQLRLPRYNIKVSRHRPEDFLADFDFAPQCDRAVAAGQIVIGGTTLSIVPWRPTSNGPPRTWWFNAKVSIESMPLELWSVKGASKVLGYDCIVDRLDSRTYNKEVTHTFSCWVWMSNPDHLPRSRGCLLFPKGAGRAMDVEDLHTSRRCPGTPPVGRPVTMLIHLDEYFDWNPRSARASPVHQSFKWTPGVLDGQGPTTPGLNSGCRIRIQMMMVMDAVRRTIRSPVVCAAQLLPKVTSPLVAFPCSWPASAPLARSPVRVWRPRDPQRRDAVDWERRRSRSPSHRSPVSSPGATSKLANSYYEVAGLDCTAPCFGNLDMHAPAAPDAPSPPKQHPDPLLDYFKVLCAESFPANTYRGSMVDDPMVLEASLPPRVSNLLSFSTKGTHGQVDHPWSQVIAPASFGWDTEDATAQPDFSPTFSPVYQPTSGPWATNTDNVEQVTFGPGVQLEQSAPVPAAAAMDDITAQVTRMDIDSEQQPSFTEQVFSELPPAILESPARHHRQPLACMEESADTSKGLRASSRLAARPSSIPVSKRAQHRLIRELDFINKDERIVEDVVSAYIETYKTPLPSAAVSALRAASRLGNKAASSALASLVEEEGAIVELEGA >Dexi2B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:2B:12567452:12570146:1 gene:Dexi2B01G0011020 transcript:Dexi2B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTETTTPVVQALSGLGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPDDPKNDHLRTLEGAADRLILLRADLLDPNSLQVAFSGCEGVFHAASPVTDDPEKMIQPAIRGTQYVITAAADTGVKRVVFTSSIGTVYMNPYRDQNKPVDDTCWSDLEYCKKTESAWEVARMRGLDLVVVNPVLVLGPLLQPTVNASTDHVMKYLTGSAKTYVNAAQAYVHVRDVAEAHVLVYETPGAHGRYICAESTLHRGELCRILAKLFPEYPIPTKCKDEVNPPAIGYKFTNQRLKDMGMEFVPVLQCLYETVKSLQEKGMLPVRPTNDQDQRLLTA >Dexi8B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:8B:1142145:1142680:-1 gene:Dexi8B01G0001710 transcript:Dexi8B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYPPEVDEEEKLPIHDAAQDQFNDIVDEDANDYCKSMKKDQYIKTIENGIKWMSEECFLAFTKSPEYNHSESIEHKFGELHSQCLSVEACDKIFHHYNFTIEEKHKISDVWTSKVYIAEVKQVSGRKSYLCCLLEPNDCGTIVYVLFFLKNA >Dexi5B01G0020910.1:cds pep primary_assembly:Fonio_CM05836:5B:23130916:23132603:-1 gene:Dexi5B01G0020910 transcript:Dexi5B01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLLLMAENFFNDWDLQAVVRSCASVPHHAPEPAAGGGARPRGAAAAASLRAEEEAAPAAAAQGHERPVAAAARGPARLYELEYLDLDHKKPFLLPVTPSPRGARAGDDGREREVMISFPAAASTSGMQQQQQTVVSPGHHRKAGARTPRPKRSKKSQLKKVVREMPVADGGAASSSDPWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLIVTYMAEHCHPVPTQLNALAGTTRHKSSTSAAEDSAASSPKSHEHGPPLAVEKAAGVGEHDSNETAALEFGGEEMAAGVDDENELWPAGMDLDELLAPVDDDFDFEHVVDDEDAVLGRRLSL >Dexi5A01G0027340.1:cds pep primary_assembly:Fonio_CM05836:5A:30825310:30828936:-1 gene:Dexi5A01G0027340 transcript:Dexi5A01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNALTYLTSEAFSNIPSDLVSYLQRTISMDAVSRPSAMAFTGSSFFWDDTKLRALRFLDHLLERDNMQKTEFFKSLSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGKTLLLLVKHADLIINKATQEHLISHILPMLIWAFDDNDPLLQEEVLCQTVLLSCQLDIKLVKQAVLPHVHGLALKTTVAAVRVNALRCFGDLVPSLDKEGILGILETVRCCTAVDHSAPTLMCTLGVANAMYYTVTLTSFSFIQCGVEFAAEYVIPLIFPLLTAHPLNVQQFAKYMLSPSV >Dexi3B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:3B:13743089:13744594:1 gene:Dexi3B01G0018500 transcript:Dexi3B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSSAVITELVNRSISFLFARCKKKTTVTVQEDLHLLRRLLMRSDTIVEEAERRDVVNPDMLQQLKALRDQTHRGYYVLDTVRCRALPGIGGGGHVDSDSDDDDDDEEVGLNRRAFALSMFNPAKRLRQESIAAINVGADASSGELRQVVCSLQAMIDGMDEFVMLLMSCPPLYRQPYSVYLSLDRCMFGRSMEKDMIIEFLLQSEPPDAAVNNLGVLPIIGPTHIGKSTLVEHVCHDEKVRNHFSLISVYRGNDLKDETEASFRDKCVIKHQNDQASEKRLLIVIELLEDVDEDAWKRLYSCERSMPRGSKMIITSRSENIIRFGTTEPLRLKRLPVEAYWYLFRTAAFGSDDPEQHPKLASIALEMVDAMQGSFIFASMGADVLRANFNTRCWTRILTRLRQYLQKNASLIGEYPDDVKVKGFPRFSWSIIKEKPDKYFMLHDIYQRESAHEKVPQISLSDLLTDRAQTTGKHEILFWKSRIPPYFNYICKCEVRDM >Dexi3A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:3A:13021471:13027456:-1 gene:Dexi3A01G0017180 transcript:Dexi3A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLLLAAASLAAPAAADGEKHYDPSACPKSVRCGDSVDVHYPFFLGNASYTIEGYTAYSYCGYPGMAIACDDDGGRATLRLKDSNYTVLSIDYDNHTATVADSDVLDGVGGDCPWVTHNVTVPAETWLNLSTTANDNLSFFSGCGVPPPQAVLPINCTGFPAGRDGTSSSYVAAQNDVPPWDPWPLTCKEVIVVPVLRELLLGPDVEYLRRLNSDGYGKLLKKGFQLTWDPSAGPCYFCEKSGGQCSYNQSGQFLGCLCSDGRVRNPDCAMAPPDQIRHLNLSTLLFTLVLLTSLPHCKPQSDTYFRYSNCTPTPYHCGPFQFDVGYPFSVNGMDRPDYCSFPGYRLSCTDAGKLTMTMTTMTSSASGSLLQVTNVDYDNHLLAVVDQGLAGQTCEKPYRNTTIDDAMFAYTDRDQFLTAYINCSATSSSLPFAFDIFSCLSGGRSYYRLDNGTVAPDLLGSCSSTLVLPFNSSMAASLAAGNSTLGDAIRGGFALRWKAGVGWCGDCRNSGGFCGYNSSSPGDHTCFCPHGPKKSAKLAIAIGSSIAATVLFVLLVVMTCLYIRKRRQYKMTSSSRLLKYTASGGTPRSRGSTDMDESGSVHSLQTHHFTYEELEEATDSFSGAMEIADGGFGTVYKGQLRDGRVVAVKRLYNNSCRRVEQFLNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGHRASERALTWPLRLSIAVEAAAALAYLHAVDPPIVHRDVKTSNILLDAGFHVKVADFGLSRLFPADATHVSTAPQGTPGYVDPEYHRCYQLTDRSDVYSFGVVLVELVSSKPPVDVTRDRSEINLAGMAVSKIQQCRLEQLVDMGLGYGSDEATTKAMTMVAELAFRCLQQNGEMRPPIREVLDALRSIQDGGLVVEKKKDAAEQPRSPNTVHAPWDSMSTTPSISSSLSFFHLRFFSNRQNTDAASRLPPDAAAATSAVVALPRPGLTAGDHCPAGGASAAPAPTSSVGPAYRRRSSS >Dexi6B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:6B:26513226:26521765:1 gene:Dexi6B01G0019940 transcript:Dexi6B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRGRTPPSPSSFPPLSSYAAALMAAARPPAPSLQLPAADGHAAAAVATAAAGAAGAEAGAGPAASADPSRLQAPPPPPPTPSPVAQIRVQPPPPPLPPAGASHQWPPLPPPLPAGEAGPDLQPPPVGLAMGPTVQQPPPLTRAVAAAYHAELVDFAAAAGLADPTGLADLAATAGPRGFARSPLRDTGKQKGFMGEPKELRPGRGEFHENPSTCICERTDGKAKSENDQVLDSSSDRGKAISEPAVPNYSGEELGESDDEDAPAEKEVVDAEMDEDALVGPEDPELEQILSD >Dexi3A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:3A:9027657:9030243:1 gene:Dexi3A01G0012430 transcript:Dexi3A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTSTPRNCHVTTPQSVAGTCRAHLSHGDRRGRRCRPRRRPPVPPCPPSLRSTAPPPPAPPCRRSRSRFVFPARPAAPPEGGGRLVAELVGAFNELTERMGEELATSSSSSLLFRALKLALPALRDADGGRALARALTVAASLADLQMDAEVISAGILREALDAGSVSMSDVKAQIGISTAHLLHESLRLKHAPSKLDILDDESAGALRKFCLTYYDIRAVILELALKLDIMRHLDDLPKYLQRIKSLEVMKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYNHVDQWLRSQESECKALINLYKEQLLQALKADDELKRIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILGLRVILEPRCDGNSSDRGPRACHRTHEIIQALWKEVPGRTKDYISRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMDKSAVGGDASHSLYKGGLTDPGEAKRLKTIMLAAAELAALRLRDLPDSDRGVVNCKNPAFRQLDKNGDGRISIEELTEVMEDLGAGGKDATELMHLLDANSDGSLSSDEFESFQRQIELMRSLEDDDDHYRRILKEKLHTIDSAGLIHVYRKELGDKLLVS >Dexi4A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:4A:22607724:22612465:-1 gene:Dexi4A01G0018650 transcript:Dexi4A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWGLLMLSLHVLSFLVSGVTSGHTSSYVRTEFPSTDIPLESEWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTPEEPGPAEVFYGKDKQYDQKAEGTTTNYTFYDYKSGYIHHCLVNGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHNDGILWDSWGRLVERSTAYQPWIWNAGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWLWLKNEFKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGNRYPVPNKSAPVYITVGDGGNQEGLASRFYDPQPDYSAFREASYGHSVLQLKNRTHAVYQWNRNDDGNNVPAETVVFHNQYWTSSTRRRRLKKNHFHLENLEDLISLF >Dexi2B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:2B:7572465:7579055:-1 gene:Dexi2B01G0007220 transcript:Dexi2B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPASPPPEPTGGIVAPVPVVAPHFCAPYVVQLSVKEKFSMLREGDFTITETNGAVVVRVKGAFISIHNRRLLLDANGNPLLSLREKMHRQHNVTSTVLGTDHYDVTVFPNVDYVFISALVVILQELHTDKND >Dexi1B01G0022920.1:cds pep primary_assembly:Fonio_CM05836:1B:28585853:28594153:-1 gene:Dexi1B01G0022920 transcript:Dexi1B01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAANGAAAQESDGGGKKKTKAEEAANKVPFLKLFSFADQWDCVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRLAYLRAMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFVAGFAIGFSQVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKRISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFKMIERSSVNKASSKAGRSLPVVNGHIQFHNVHFSYPSRPDVVILNRFSLDFPSGKIVALVGGSGSGKSTVVSLIERFYEPLSGSILLDGHDIRELDVKWLRRQIGLVNQEPALFATSIRENILYGKEDATMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHEQLMANPTSAYSSLIQLQEAAQLQQKPSFSDSASITRPLSLKYSRELSGRTSMGASFRSDKDSISRYGAGEVHEEAPPKGKPVSMKKLYSMVRPDWFFGVSGTLSAFVAGSQMPLFALGVTQALVSYYMGWETTKQEVRKISVLFCCGAVLTLVFHVIEHLSFGIMGERLTLRVREKMFSAILRNEIGWFDDTSNTSSMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLVIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLSKSYLKANMLAAEAVSNIRTVAAFCSEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSVLMSKELATFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVRIDTGEDIKRVEGLIELRGVEFRYPSRPDVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGRILIDGKDIKKLKLKSLRMHIGLVQQEPALFATTIYENILYGKDGATEAEVIEAAKLANAHSFISSLPEGYQTKVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIEDKNGAYHKLVSLQQQQQLQSRAHETLE >Dexi9A01G0045090.1:cds pep primary_assembly:Fonio_CM05836:9A:48712827:48713255:-1 gene:Dexi9A01G0045090 transcript:Dexi9A01G0045090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEQQLQQGDRQAQRRRRHPPPRPSRTCSPSCPGREQYPCLRGVMHVLAAPGVFAEGSNSDYRRITVSSLLVDQGSGRGSLAPATFLFLAPPFVTLMSMAPLFVTSMGNEWAITVHRCQWERWARHTSDLPRRLSISIRGK >Dexi5B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:5B:2187952:2189572:1 gene:Dexi5B01G0003280 transcript:Dexi5B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDKLPVPSVHGGLRGKGRNRKWKLWRSSSGDHGSLWRGSRGGSHRSAASEASDDASSVAAPTDPFTAAVATVARAPARDFMAVRQEWASIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLIEARRTQLDILREAEGTLEEVRVKLQKRQEGAIKRERAIAYAYSQQVDGAPPKLTCNGRLNHSGMLLKHQNFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLRSTKNCEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPGASHSQTHHQRFKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTSEKTEDNVRTRPNYMSMTESIKAKQKACNALRTSALKQSEDRKGMSAELKAVQV >Dexi9A01G0022650.1:cds pep primary_assembly:Fonio_CM05836:9A:17799256:17799801:-1 gene:Dexi9A01G0022650 transcript:Dexi9A01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKRRQAPAAAPSSSSAAAAAAPREAPEEEVAAAAVASAAEVAGRKLRLYIVFYSMYGHVESLARRAAAGAGAVDGVEVVLRRVPETLPPEVLEKMQAPPKDPEVPIIASAAELQEADGVLYGAMAAQMKAFFDSTGSLWEQQKLAGKPAGFFVSTGTQGGGQETTA >Dexi8B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:8B:24882295:24883041:-1 gene:Dexi8B01G0014300 transcript:Dexi8B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAVISASFLLLLLPALAAGATSAAAPAPAVAMAAGSNCTTKCGNISIPFPFGVEPGCYHPGFDVTCSNDVPPRLPLHNISEVFDIDLANGTVDIYVPNIDQLLPVPQTYDGGPYSLAPTRNKLLVVGCDVQVLLMGSNEDDIISTCAAFCSRTINNMYEVASADCSGIGCCQAPIPAGLDVYLLQFRSFNGSWRTDQATAYIVDAERLSSYPMDAVSPSELPVVLEWVISNSTC >DexiUA01G0016240.1:cds pep primary_assembly:Fonio_CM05836:UA:34591310:34591637:1 gene:DexiUA01G0016240 transcript:DexiUA01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAESPESGLPVESNALGTESQDDVGAEADTEAISGSLPLDYLAIETRSGEDEQTSSGVPGTGDVASPNSFISGGFASMSL >Dexi9B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:9B:6670071:6671499:-1 gene:Dexi9B01G0010590 transcript:Dexi9B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Dexi1A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:1A:7297685:7304485:-1 gene:Dexi1A01G0009120 transcript:Dexi1A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTWRFPAGADLCPVCSARHFPFCPPPPLPPHPFPYELHPPPPPPPPPHPFPYDLHPPPPPPMWDLPAPGPHDPHPYDFPGREGPHKRMRLGGAPPFDPYDFAPPPPPGRASVEGDRLRDLIRDNGHNPFPGSTWRGEPCPPDGGFGYGGGRGYPSHYPQGGDFANFNHGRLPPPLPMHDRDNGFGQGFALVEGFHERYLDSANHHYHQLPGTPPLPPPPPYAEAANPNGSHAWLPEADAVRPPPEPAFPSHRDYRATPPRPPANSSLFPVLSGSPATAVIPPRAQTLPQAHLMPNANYYDGQINDEGSSLIYRPFSEQHLIDGRSTNAQNSMENSKVTIINASDLFKQPCRASRPDHIVIILRGLPGSGKSYLAKALHDLEVENGANAPRIHSMDDYFMIEIEKKLEDNEGSKSSSASKRRRQLTKKVIEYCYEPEMEETYRSSMLKAFKKTLDEGNFTFVIVDDRNLRVADFAQYWATAKHSGYEVYLLEAPYKDPTGCAARNVHGFTLDEIKKMAADWEEAPPLYLRLDIHVHLFHYSMMIIFVATLYKSAKIIVCAGFSKSGENWNTVEEDLDAFKELGQSKWSKDFEDDTEKSENAEGNANALSGLAQTYNSRKKRVTWGDRLEKGGFSIAATKRKLTSSLVIGPGSGYNLVSNPLAEDNSTGIKGKSNNETKKRFTEQLRDEGQSFKAVFDKRKQRIGVFENGDDV >Dexi1A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:1A:17528897:17529230:-1 gene:Dexi1A01G0013360 transcript:Dexi1A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNIAAHPVAGITLRQRPSPRPRQPLCLAISVASLRQRMSRPAMVIALASQTTEGAPAAPRKLSFPILGKDKN >Dexi1B01G0024390.1:cds pep primary_assembly:Fonio_CM05836:1B:29710063:29710317:-1 gene:Dexi1B01G0024390 transcript:Dexi1B01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPATRMENTAEHATARADAAAPAAAPVTGAGAGGTPTSISCADAAANITADTATSSNTARDAIGKRYTESKSTKRGRSRGGG >Dexi9B01G0035640.1:cds pep primary_assembly:Fonio_CM05836:9B:37225024:37227931:-1 gene:Dexi9B01G0035640 transcript:Dexi9B01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPPEGKPWELAAHPRLAKGKVVGVVVLDGWGEAPPDPFNYIHVAETPTLDALKKGAPERWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALSSGKIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRFDQLQLLLKGASEHGAKRIRVHVLTDGRDVLDGSSVRFVEMLEEDLSRLRDKGVNARIASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKSALEAVKKLREDPKANDQYLPPFVIVDESGKPVGPVQDGDTVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPQIERTSGEYLARNGVRIYACRVNIANGDMVGHTGDIEATIVGCKATDEAVKIILEAIEQVGGIFILTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVPIAIGGPGLAPGVRFRKDLPCTGLANVAATVMNLHGFEAPDHYEPTLIEVVGN >Dexi9A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:9A:16205987:16208214:-1 gene:Dexi9A01G0021310 transcript:Dexi9A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLAQSTAAAAAASVAPRTPRYAAAPASVVSVRKSGAAPPSSSASLRLQRQACCEPSVAPSRAVACRAASAAAERASRKSAGVPVFVMMPLDTVKKCGTALNRRKAVQASLAALKSAGVEGIMVDVWWGIAESEGPRMYNFDGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVTIPLPRWAVEEMEKDQDLCYTDQWGRRNFEYVSLGCDAMPVLKGRTPVECYTDFMRAFRDHFADYLGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMLSRLKAAAEAAGKPEWGHGGPTDAGSYNNWPEDTIFFRRENGGWSSEYGAFFLSWYSQMLLDHGDRILTGATSVFSSASPVEVSVKVAGIHWHYGTRSHAPELTAGYYNTRHHDGYLPIARLLARHGAVLNFTCVEMRDHEQPQEAQCMPEALVRQVGAAARAAGVGLAGENALPRYDATAHDQVVATAADRAAEDRMVAFTYLRMGADLFQPDNWTRFAAFVRRMGGAGSCREAAEREARGVAQATGSLVHEAAVALRS >Dexi3A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:3A:7420229:7422167:1 gene:Dexi3A01G0010420 transcript:Dexi3A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMSCFGCCGDEDTQGVPDSRNPYPGHHPARNDAYRPADQPPKGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGTLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGS >Dexi5A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:5A:13407966:13414389:1 gene:Dexi5A01G0015660 transcript:Dexi5A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAALHPLPAVVGPTGRSGVRRQARFGLRASSLESASSALTVPNGVAAGAAAVERKEKVKEDKDDVAAKEREEKRALEALYDDGFGSVTVKDYFAAAKAVSRDDGGPPRWFCPVECGRPAVDDAPPLLFLPGTDGVGMGLILHHKSLGKVFEVRCLHIPVNDRTPFEGLLQTVENTIRHEHNLSPNRPIYLVGDSFGGCLAVAVAARNPQIDLVLILVNPGSYIICKDSIAADIASFGIHAKRISCYCSISSQFCYGLFHLALSPVMMSTLKNGEIVRDLSGIPDQGPVLFVGYHALLGIELSPLYEEFLREKKTIVRGMAHPLLFGTKFESSRQESSRFDTGEEYKLFWPDQPEFVRMAARFGVTVIPFGCVGEDDVLELVLDYNDQKNIPGLGGWIESINKETDRVRDSVKGEDGNQDMYLPGLLPKVPGRFYYLFGKPFEMKGMNNLVRDRKSANEVYLRIKSEVEEIMSYLKRKREEDPYRSIAQRALYQATWGISAQVPTFEP >Dexi2A01G0032270.1:cds pep primary_assembly:Fonio_CM05836:2A:42833148:42833839:-1 gene:Dexi2A01G0032270 transcript:Dexi2A01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIPKPDVPELPKPKVPEHPAVPEIPHLEVPEHPTVPEIPKPEVPEHPNMPEIPKPKVPEHPDIPKPEVPEHPNMPEIPKPKVPEHPDVPEIPKLEVPEHPSLPEIPRPEVPELPKPEVPEHPTVPEIPKLDVPEQPKPEVPEIPHLEVPEHPIVPKMPYPELAETKP >Dexi7B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:7B:4089449:4089913:1 gene:Dexi7B01G0002410 transcript:Dexi7B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAETILPNSDGAIEGHLKEERVPDLISANIERLLEEAFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRGTRHVLAEYGNMSSAYVLFILDEMHKSSVKDGRGTTGDGMDWGVLFGFGLGLTVETIVLHRVPIINA >Dexi4A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:4A:6385478:6398855:1 gene:Dexi4A01G0008550 transcript:Dexi4A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNLFDTRTTLLTVALLLLTSLPSFSLAAQRLPDHLSETPLRDGISYHGGAVLRGNIPVSILWYGQFSSLVGHHRHCLYLSNSTATSNNVTRVVVAGQVTDEHYSLGKSLKLAELSQLAAGLVVPKAKSDAALVLVLTDPDVVVESFCTARCGLHGSDSDAGYAYAWVGNAERQCPGQCAWPFAEPAYGPRGQPPLVPPNGNVGVDGMVVTLATMVAGAVLLNETTGGGSYNAVRANGRKYLLPAVYDPATSACSTLV >Dexi9B01G0039670.1:cds pep primary_assembly:Fonio_CM05836:9B:40344729:40355681:1 gene:Dexi9B01G0039670 transcript:Dexi9B01G0039670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSADPKALTPSESPSPANPSPPSADGKRLRRSVQSKLSWGLVKPAGGGEGGGGGAGASARGGEAEAVPPASAAEAEKEVKEEPEKGKKKRKPRKSEGGGKQYGHTVRNSMSECLSHWIIDESPQKKQRKGRKQDAALKVPNANRKRCKALESPDGYAKDNIDVLTNQDKSPIKVDLRSEAKMAAEINLEDHLLVSSANCQTSLLDPHGRPEVPQNGFQPGYYLWTDKYRPETAAQVCGNSEHVKFLSDWLKGWDERGHKTGAATRDTNDSSHQDESDTDYSDDTSDGDNVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEAINPLLDDSLDPDSGTPDRTEYQQLMSCAATKRVVIDVDQHKSPVGYYSGSKVSDEAPKQVVNKTLILFEDVDTIFDEDRGFISTILKMAETTKWPIILTSNRKYPSLPNLLDQLALNFKYPSTSELLSHVTTICKSERLDITVPQLKHVVDVCLGDIRRTMMLLQFWYQGKQQFPDIPLPSDQQPKRNRHGSLLLSESDDDPVDVHTEKHDIFPVTEVGLFPQPSEDMLHPSSTPCAEPDDFSWYSNQVEMGSVYAQHALCIFSRKSHDIGGGSVDLSRELLFASTAAVSLGKTISSGLTKDCGSLNVAHMKNPTTSISIRR >Dexi9A01G0047990.1:cds pep primary_assembly:Fonio_CM05836:9A:50877912:50879819:-1 gene:Dexi9A01G0047990 transcript:Dexi9A01G0047990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLAWPPFDLTTARGAALHRAAPRRRAAIRCCCAGADTEPRRRLSRAAAAAPERAEEWRVDGNKPSAAAPGRRRASLTAMPPLPFPAPRSRRQFKQQDYYPRCTQRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKETGINEDGSTWYRESGEDLGENGFRCRWARMGGQSHDGSTEWKETVN >Dexi5B01G0028150.1:cds pep primary_assembly:Fonio_CM05836:5B:29582443:29585418:1 gene:Dexi5B01G0028150 transcript:Dexi5B01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQAEELFRKVLEGGSKTKATRLLGLDVGSKYVGVAVSDEKNRIALPLSVLSRTKTNVSLMADDFKTLALKYSLAGFVVGYPFNLHGQRSPDAVQVRLLTGELCQTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTMTDKFAAVCILQGYLDNMNRKLRTADKSEA >Dexi1B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:1B:7963378:7965919:1 gene:Dexi1B01G0009040 transcript:Dexi1B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLLALICAVPIIASGIWFASAQGDECARLARWPVAILGGLLLLAALAGFVGAYWNRRRLLAFYLFAMAALVLLLIVLLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIKACLAVSDTCKKLARQNAFVNAEQFYQSHLSPLQSGCCKPPSVCGFTYVSPTVWTATPGRPATDPDCGLWSNDPSQFCYECESCKAGLLEALRDQWHKANIALVVATVCLVLLYLIGCSAYKNAQAAAFFRRYK >Dexi6A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:6A:3782021:3787090:-1 gene:Dexi6A01G0004110 transcript:Dexi6A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVIHSSLAIIHPFLWCAQVLRSVVHNYPSCANMIWEKIRDNVLDLLQVQSFEDQKSDANFGLPGPKEESSIKGRCLVAGIKVRTASLTCFAGMTSDVFFSLSENKRDYVTASSVHAALSDVVPSVRSAACRAIGIIACFPEILSSPSLPVKFIDAIEFNTRNSSAPVRVTASWALANLCSCIRFKALEGGTDTYSGVGILNKSSMSLLVEISLRLAKDGEKVKSNAVRALGYLSRFIRFNHQANTVNDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALESLSSNNSSLPSNFKQKDNVEKQLTFTALHLLGFVSQNEDPSLKDFLIKKASFLEDWLKSLCASFSKDEHQPLPKEAINDEDGFSPNVTQKVMLSSAVQSLLGIYAGRNQQVITQKFEQLAASVS >Dexi2B01G0029910.1:cds pep primary_assembly:Fonio_CM05836:2B:38231707:38232057:1 gene:Dexi2B01G0029910 transcript:Dexi2B01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLRKEDTAAPPRVRRVVAVAAPVGIVIKPVMLVSLKVQDTQRRVIKRTMRRADKLQGLMDYYYDVVLCTIDAGLRGTGRFVFDGKRVKGEHTPEDLGMVNGDKIDFFEDLLAG >DexiUA01G0027870.1:cds pep primary_assembly:Fonio_CM05836:UA:59679128:59679472:-1 gene:DexiUA01G0027870 transcript:DexiUA01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLATACVRGAGSAMEARLVLPGHLQQCACSYGCVKLRPGCGAEVARACEATTSGHDDDDKLRWWGSCRRVCTAPARSARAWWRRRVRQWGGSRWHSAWGASETTLWFGCLW >Dexi6A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:6A:1284279:1285559:-1 gene:Dexi6A01G0001450 transcript:Dexi6A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASGDLLHGNGKKPPPPPPRRRCSRYCNTTTLTLLMFLLTNTVSIIVSSGAGPSLLLRRPSTIRLWDTSAELLADLNATQSDLAASRAELAGLYARVGTANELLRTLLDSSSAAASVPDGWKRDLAGELKLAVTGDEAAVLGHACVRVQDELERYMAYKPGEVCPSDEALAHRLMLAGCDPLPRRRCRAPSPARYPQPTPLPASLWTTPKDTSVLWDAYHCKNYSCLSSSINGGGVFDLRRVKARWARDDGKLSYSIASVLASRPNGTVRVGLDLAGDEDGGTFAARMMERGVTVVTAAVSAAAPVNSFVASRGLVSVHVTAGHRLPFFDRTLDIVHAAGGGGLELGGAGVKLEFALFDVYRVLRPGGLFWLEHLSCAGEQPNATFAPVLGRVGFKKLRWNTGRGKGKEKDQWFVSALLEKPMS >Dexi9A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:9A:9800097:9803700:1 gene:Dexi9A01G0014900 transcript:Dexi9A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASVYCSDGSLSRDAWVLLSLRVGPGSLSIQAKPPRTKTSHLRLFGAPNSQILRLHVCALARPGATSMAASVAAHLPLRAPARVLAAPSRASVAAVARLRGRPERRVLEKRGGRGLAGPRAEAVSGGGGGGGGRREPMVPPYNVLITGSTKGIGYALARKFLEAGDNVIICSRSAEKVESVVGDLKREYGEQHVWGTACDVRDGKDVKALVEFAHDKLKHIDIWINNAGSNAYTYKPLVETSDEALMEIITTNTLGLMICCREAINMMRNQPRGGHIFNLDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPEVI >Dexi7A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:7A:26296995:26298553:1 gene:Dexi7A01G0016450 transcript:Dexi7A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSGAAVASFVANKNPAVLAAARRTLAAGRALPFSPLSSSTAASRFPPRRRVLGTVRCFVPQDTEAHPTAAPPVPVPVPAPETALEEEARAAAARGIAERKVRKRSERRTYLVAAVMSSLGVTSMAVAAVYYRFSWQMEGGEVPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIVNAVPAICLLAYGFFNRGFVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELDEVGGLDELEKELARISRSM >Dexi6A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:6A:25575875:25578911:1 gene:Dexi6A01G0017700 transcript:Dexi6A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEQRVRDRVNSASQPSKVQQPHSTAVRSDQPHSKTAFHFPRRDRCRPGEIPTRRHRLDLRDPLVGDEPSPAAANARGSPEMMAGRAHGHRNRLRRVIPRVLLLVLAVYAVSFAIYLLLHSHTPPSPPDPTPRTDARAAASSQKPWPRLPSFLPWVGVRSPPPHTCEAYFGNGFSRRLEVLPSRGGGGWFRCHHSETLGSSICEGARVRLDPSLIAMSRGGEPLEKVMGRTEEEELPKYEPGALQVEGPAAGRTAPLVDAEFLNAYVPTGGIGMHTMRALLESARVVPHGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPKRPNVVFVDGHCKAQLEQTWEALFSSVTYAKNFSGSVCFRHAILSPLGYETAMFKGLSESFSCEGASAQSLQGKPDYEKTSRLSEFGEMIVASFDLLQDDIVSSKKRNGLNVLFVRREDYLAHPRHSGKVESRLSNEAEVYDAIDKWAKGFKCKVNVINGLFAHMTMKEQLRAILEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISQWKALDYHPINLKGSYARIRDVINELSNILTGLGC >Dexi1B01G0022050.1:cds pep primary_assembly:Fonio_CM05836:1B:27798611:27800209:1 gene:Dexi1B01G0022050 transcript:Dexi1B01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPSCGLLLQIDPGTGSHRLRLFCPVCPYVCCIQNKIVKKARLVKKEVEPIFSNADAMKLAPKTQASCPRCNHGEAYFRQMQIRSADEPMTTFYRCCREECQLEWRDD >Dexi7A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:7A:27092469:27095617:-1 gene:Dexi7A01G0017390 transcript:Dexi7A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFPSTTSLRTPEPHIRGRLSLDVASSSSCSTSGGAKSSAVACKGGGEAEEGLLDLDCPWVAAAEAESRLEAAADALEGLGFHAEDGHEEEEAEIRDNQLRQEDELMALEAIYGDDLVVFENKGGLRYFQIYLRYDVADGVEVCAKLLAPNSSTTGCFYGSQYDHGPDEFSYNCKLEYLPPLILTCLLPKSYPSKDPPTFAVTARWMDGPYVSQLCEMLDTIWAELPGQEVMYQWVEWLRNTSRSYLWMDGNITLGTDIATYDTDNRAIPRTKSLESVIPLMLSYSSKKCYQAFLEDLHMCMICLNQTKGTKCKASIPPYVLKKLLTEEEFERWDRLLLQKTLDSMSDVGPWHPGKQCLTPEQKIQLRKASGRMTEREVAQELLNIRQLYKDVRLCPGCRMAIAKTEGCNKMACGNCGWYFCFACGKAISGYEHFRESGCKLFGAREIAEWERQLAAMQPEGQMRIAMRPIGATVRCPKCRARNFKEDERYIFCWACRASYCTLCRRKVENKKTGHYGSPECMGLDNINF >Dexi6B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:6B:17867119:17867831:1 gene:Dexi6B01G0011000 transcript:Dexi6B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSSSLAWWPLPAWINPSAAAWLYFLVLNVLVGAIAVTSSRAEVQSRWRRLFRSVSSMVLDRLRSFSVLSVHHTEDRSWYYVSPDEEDNVQVSEAVEELADMVAASPDELVATPPAAAVASGSGSEEDSTEAEEKPVTRSNGTQAATSPVRRRPAKVAGHANAKPKRRRSQAYAEAAEGKAERNKRAEQFIRQFKEELRLQRINSVLDGEARL >Dexi2B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:2B:13532626:13533343:-1 gene:Dexi2B01G0011850 transcript:Dexi2B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPDGARSEAAVTISYEAQARLRDPVYGCVAHIFALQQQVVTLKAQLASLKAQASQGYATEFSKPGNQQDNIYENKFMAYQNGEGDAPHPSESCTSAKNESQQYFSNDTFTCASTQSLQLHNPYKYTPDCTASFNSYNDPSHFMFSLHLQEGNHKNGYYGTDDLQLLALAYLN >Dexi3B01G0030440.1:cds pep primary_assembly:Fonio_CM05836:3B:29944787:29946269:1 gene:Dexi3B01G0030440 transcript:Dexi3B01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAAAAETLEGGDRGNGKPHVLVVAFPAQGHMLALLDLAALLATSGELSVTVAVTDGNAALLDPLRASCPSVGVVTLPFPSSPLLPAGCGENTKDLPFRLFLMFLPSLAALRAPLLSWCRAQHHRVTGIISDMFMGWARPLAEELGAQHVTFSPGSALYVAMARHLWSRMPGRTHDDEAGDGNDVDDDELAIVLPNVPGAPSFAWRQLPATYRLHMDGDEASEAIRQILLWSFGAEYIVVNSFVALEPGYLEHQNLEAWANSGDRGGKPSVPVAKVATWLDAFDTGSVVYVNFGTQHALSPEQAARVGEALELSSAPFVWATGTLTVLPEGLEVATASRGMVIRGWAPQVEILRHRAVGWFLMHCGMNALLEAVSAGVAMLTWPMGADHFMNRMLLREAGVAIDVAEGAEAMPDAGQMAKAIAATVGVEGKSIRERAVELGREAVAAVAEGGSSHRDLQELIRLLANVD >Dexi9A01G0022300.1:cds pep primary_assembly:Fonio_CM05836:9A:17502732:17504828:-1 gene:Dexi9A01G0022300 transcript:Dexi9A01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLFPLMAVVVVLVAAVKASAGGAAVEFAYDGFAGAGLSLDGMATVTPAGLLLLTNDTNLPSSEKNDTVMSKGHAFHPDPVRFQRPPGVGVSSFSTTFVFSIVSEFLDLSTSGFAFLVSPTKDMSTSMPQQYLGMFNGTDNGEPSNHVFAVELDTVRNPEFADINNNHVGVDVNSLNSSASASAGYFEDATGAFRNLSLISREPMQVWVDYDAATTMVTVTMAPARWPKPKRPLLSTKVNLSTVITDTAYVGFSSASSIVLVKHYVLGWSFGLDGDAPALDYAKLPKLPRIGPKPRSKALAIALPIATTVAVLVVVAVAFLLLRRRLRYAELREDWEVEFGPHRFDFKDLYDATGGFNDKRLLGAGGFGRVYSGELPGSRTHVAVKKVSHESRQGMKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLHCHGVDNKPVLDWAQRIHIIRGVAAGLLYMHEDWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGADPHTTRVVGTMGYLAPELVRTGKATTLSDVFAFGAFVLEVACGRRPIEEDDEEDDVSTTGGRFVLVDWVVGHWRKGSITGAVDTRLGSEYDAEEADMVLRLGLACLHPSPAARPSMRQVTQYLDGSATLPELPETYVTFSTFGGVVEKHQQTLFDSWNVWRPSLTATGSVATMSDICLSGGR >Dexi3A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:3A:4012723:4013369:1 gene:Dexi3A01G0006170 transcript:Dexi3A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATTNTRPRIKRSRQHKHASASLPLDILLEIAARSDPAILVRCATACRALRRRIAGTDLHGRLRLGQPDRFVPSLLRGRLLVDSHNKDLSLVDNANTTTRLLSAAAASDNDDEVKLWRPLAARDGLVLLQGTNNRRPLVKLCVYCPATGYVQALPPGSALGGRHVLLVGDGDEGGPVEILIGARHLGYL >Dexi9B01G0043050.1:cds pep primary_assembly:Fonio_CM05836:9B:43141827:43142294:-1 gene:Dexi9B01G0043050 transcript:Dexi9B01G0043050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRQQRQSLGKHAMHFLAGYISMFSGQGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLADLVHDYKLHFQYQGKI >Dexi7A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:7A:27495384:27496840:-1 gene:Dexi7A01G0018000 transcript:Dexi7A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDASRSKAKSCCSRHGCSGRHSINKSKGKEVHRGAVPNESAPATPGRPQILRKPNRKPPQPQESSPSDSIISRDVEGSCSETSNRSRHTPGRDLLARLKERVNASRKRSLNRESTPPSPNGPSASSPSSSRSISRPSHRAASRIRKANEGACSGAGNVHRNGTGGATRNSERSDDDLLLVEQVTRDRVPSEGFLSGFMARYRSGLQGGVSALGDSMEDSNGYWRFDMGGTEEELLALGERIGTVNTGLSDEALAKCLNRNIYITTSDSHEDCDRKCSVCQASGIAESYQEEYLDGEEVGKLACKHYYHMSCIQHWLRQKNWCPICKSVALNTN >Dexi5B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:5B:10824379:10826638:-1 gene:Dexi5B01G0014420 transcript:Dexi5B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVGSPGTWGGLALRVSQVVCAAGSLVVMSSAYGFSNYSAFCYLILQMLLQLIWSFMLACIDIHSLRTNWDLHRIGNVYKYVIGDWIMAMGSLAAASSSVAVAIFLTTDVEFCRVYPYLSCSRYTVSVILASMAWSFTAASAGSTFWLLVSLCDSAWNI >Dexi6B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:6B:1908525:1910255:-1 gene:Dexi6B01G0002170 transcript:Dexi6B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRWWPVVFTAQYWSDTMSVTPPIGSVVCNDDDEPVMPVESPAAKDAEVGNGVLVVTTHCEYLATIPDDEYEAYRHDTFAVMVHVKAPTLFAIDDEAGRTPLDLVAVLDVSASMVAGPTNKLQEAKRAMALVVNSLGPRDRLSVVVFSDDARRVVPPTRMSEHGKATAKLAMESLVAGTGETKTTTNIRAGLDEAAKVVEECRRDNDSGVYESTVISEEGAEVYVGELYADEERRFLFFLDVPREDEDGGDGDCYVGTRLITARCNYIDMATGQPVSVAPTTEYIKVARSTEVQVERHRVEAADDVALAHVAAERGEYAEAGRILAARREMVSRSTAVVSGDAKCKALAAELDELRRRVMEEGEYRRAGRASLLASMSAHAQQRGSATFATPAMRKVEELWEMRRRRQREAAPTKNGGSNDAHPARSWLDARLAPRLSMLRRYMGRLVPFIKP >Dexi9B01G0024710.1:cds pep primary_assembly:Fonio_CM05836:9B:21356801:21358237:1 gene:Dexi9B01G0024710 transcript:Dexi9B01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPTSPAVGPKVLLPTMADIMAASRAQGLRVRLRTVGPFFRVTASRGDGGDAVEVGRAEGGVRPWPGGAVLHLDSMRMTRATLSISDRPLFGLGMFLGAVAIRHGFDAGCKRAELLAINDTLLYHDKLVRFYTRLGFKAVHEVDGSSITDLAHMLVWGGRGTRMDANIEELLIKWGKRFRPQD >Dexi7B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:7B:17179007:17181423:-1 gene:Dexi7B01G0009620 transcript:Dexi7B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETGVRRALFDGAISTAFPARFQEVFVDLARDESLNFDLTGLIGIIGGSVGEASRALWHLRMIAGEQDAGDSLVVENSGTLELAALRLGEAPAVAGTSVGKLNLDMASSPWLEGATAVAAIPAEQAGCLPMSEIFKAAVINFNVDDWNLLNGGP >Dexi1A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:1A:1763515:1766468:1 gene:Dexi1A01G0002650 transcript:Dexi1A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAELGEEGYTMTGGSGVVVIDNGGGLLKAGFGGDKDPIAVVPNCMAKPPGGNNKKWLVADQLQADDVDVTGMTLKRPIDRGYLINTEVQREVWERVVRNLLQVDPSNSSLLLVEPMFNPPALQHATDELVFEEFGFNSLCVADAPSLVHLYETNCQPMLFRAQCSLVVDCGFSFTHASPVLQNFTLNYGARRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLASLDCLEFVLTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIVLTGGSTLFPRFAERLERELRPLVPDDYQVKITRQENPILGVWRGGSILASSPDFESMCVTKSEYEEMGSTRCRRRFFH >Dexi1A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:1A:25635975:25636220:-1 gene:Dexi1A01G0018450 transcript:Dexi1A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLRSPNNKPSQCGPVLWFDHDATNPIAYSPSLLRRKQPVAPHVRATHWRQGRDEPAASQSNQQPCPPAAAAAAGEAR >Dexi5B01G0022500.1:cds pep primary_assembly:Fonio_CM05836:5B:24810875:24811540:-1 gene:Dexi5B01G0022500 transcript:Dexi5B01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSSTYAYDTGVAMDDGTVIRTTVTNSGDAVKQFLQEVNNKHGQRLIVGLDTEWRVKHRRDGHKDYRTAVLQLCVGHRCLVFQIARSDHVPAVLRAFLACPDHSFVGVGVGVDNERLYDDCGLYVANAVDLTYVAAEALSRPELRRAGLKALTREVMGVHIDKDKAVTMSEWGRPRLLVEQVRYACVDAFVSFEVGRLLLGGDAETGETTARYVPFELP >Dexi5B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:5B:4401142:4405434:1 gene:Dexi5B01G0006590 transcript:Dexi5B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAATPGTSTIKLLISKDAQVVLYAEAGKEVIDFLLGLLAMPAAAMIKHTTTSNDKGSPLGALAILYDSVERMEPEFMHSPEARDALLNPSPAHPALTAAAAGWFPSLIQPSPPPPPAVKVYECSQGAHNDCYNYVAAVENTPCRKCSGKMNSPKELHVSSGGGHGEALEAVGLGQAPAAAVADTGFVQGVATYMVMDDLAVAPMSAVCGLGVTALRGLGVTDLTSLEVRSVQVGYNEVRSTDINGDDALMLSIYKLFLARADCCHMGNTAAMPASATIKLLIAKDTQVVLYAEAGKDVIDFLLSLLAMPAAAMIKLTSMETNNPLGALAILYASSAEPIAGAPGPPRPLEPALPPPPAPAVKAYDCSRDGAVVENTPCPNCRAPMNVPRELIGSSDPPSVKVIGQGQAPAAGVATYMVMDDLAVAPMSAICGVTTLGGLGVTDLTSLEVKTIQVGYNERYKEEVGATGSSYEVHLSRHSPPTQTLIRSTEVRHHHDVLSAATAPSPRRRLCVTGRPPLHQHVELHCQA >Dexi6B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:6B:962388:964988:1 gene:Dexi6B01G0001170 transcript:Dexi6B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVCELYLPQLFSGHIVSLMGLMLLQGWDSVMRMSADLRDLFLYEAFLYYNPLLLVVLLYAILLMILFSPFDIFYISSRFYFLRTVCRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVFPYLCRFFQCLRQYKDTKEKTCLLNGIYPSCISFDY >Dexi4A01G0022430.1:cds pep primary_assembly:Fonio_CM05836:4A:25704046:25704453:-1 gene:Dexi4A01G0022430 transcript:Dexi4A01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLDPASEAPRARRPPPPPPDSPEDRSPQLPPPPPGGPPAASRKRSRSPPPSSLPPPPPPPLGSYRDHRGGGRGGSSPSPPPYRGGSRRHSPPRRSPSPPFKRSRRDDGYDRRGGRGSPPRHGYDDRR >DexiUA01G0021800.1:cds pep primary_assembly:Fonio_CM05836:UA:45032968:45039579:1 gene:DexiUA01G0021800 transcript:DexiUA01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPTTKHRPPPAVDSHLPTIPRTDKSITIVTALSDSTYREHSSLPPTSPGSATMSSGSEDALPRRAHAVLIPQPAQGHVTPMLHLAKALHARGFHVTYVNSEYNHRRLLRSRGPASLAGADGFRFEAVPDGLPPSDNDDVTQDIAALCLSTTEHSAAPFRDLLARLNATTGCPPVTCVIADGVMSFAQRVAEDMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTEIDWIQGMPGIRLKDIPSFIRTTDRDDVMLNFDGGEAQNARKARGVILNTYDALEQGVVDALRREFPRVFTVGPLAAFAAHAGGELDAIGGNLWKEDTSCLRWLDAQQRPGSVVYVNFGSITVMSAAQLAEFAWGLAASGRPFLWVIRPDLVSGENAMVPEEFIVKTKERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMLCWPFFAEQPTNCHYVCANQPWLQSSQPNRTRIKIISPAHSLLKVRRCRWMIWIGLAVPCTNNSRLHGYWQLQDYSGRHRHTAGCPSNHHTTAGITIPAIAVSIGHFFVLALRATAHRDGNDTHIWPLLPGFYLKSLDDGFQHWLVDRNRLGIFFLLRSAGGMPPSLTLRVTRSIKVFVPYPAQGHVTPLLQLAKVLHARGFFITYVNSEYNHRRLLRSRGAEAMAGLDDFRFEAIPDGLPPSGDDDVTQDIPALCESLSRNAAAPFRDLLARLNASPGRPPVTCVVLDNFMSFAQRVANEMGILALVFCTMSACGFNCYLHFNELMDRGICSLLAVTDESYLTNGYLEDTVLDWVPGMPGIRLRDMPSFIRTTDPDDFMVHFDGGEAQNALHAQGIIVNTFDELEQDVVDALRRIFPRVYTIGPLVTFVKTMVARPDTAAIAGNLWKEDASCLQWLDARRPGSVVYVNFGSITVMTPGQLAEFAWGLASCGRPFLWVIRPDLVTGEKAVLPEEFFEETKERGMFLSWCPQEEVLSHPSTGLFLTHSGWNSTLESICAGVPMICWPFFAEQTTNCYYACTKWDIGMEIDNNVTREEVARLIKEAMDGEKGKDMKVKATMWKEKAVAATEEGGTSSVNVDRLRREKAETKSVISFATFAARGCARHGARGSPLPSTQPAQTRPLTGPAPASLPLLSAGPAPAQPFPRLLSVTDKRAPHVISLLAPTSNRTLVRVHAAPAPLAPPLARTPRHPVPAYITRHCISSSLLPLCVTIDFRRRSKLKSPLPPICRKPRPRKKTETTTSFTEPRSIQFASPSRRRETHTGAASSNSGRYLPPLPLVSTPSAPLPLSRRRAVAPEPPSRWM >Dexi6B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:6B:22837698:22838048:-1 gene:Dexi6B01G0015500 transcript:Dexi6B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGRGRRVKWALVGHRPRAAQSPPSKQPRFRLPSPPRRPVGDASAVVVLARVAVRSRSCSCSNMWWWPSPLGPGGDLSPGRVGGPRSSRERPGRADAAAAGRLSSTTGQQLLLT >DexiUA01G0008360.1:cds pep primary_assembly:Fonio_CM05836:UA:15528238:15529125:1 gene:DexiUA01G0008360 transcript:DexiUA01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHADLASYPNDVSFSDCLGWEGFPSILWSVLQALDYPTPPQYERTLIRDRGVTRSRVRLVVYRHPPRPSSPTWSVEVHGHHMETTCELAALNGISSFVAQNQELVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAENPVAAAVLMMRWIRAYHRLQALLGRSQSEMLNLAMDMSARARDIGVERTSLSIEVTTRDAMITDLERQLNDLHIAHNNVQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLLEQEGEQGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi7A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:7A:23005201:23006592:-1 gene:Dexi7A01G0012820 transcript:Dexi7A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Dexi2B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:2B:10962243:10962864:1 gene:Dexi2B01G0010090 transcript:Dexi2B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGRNMVAPLMVLNLIMYLVVIGFASWNLNHFINGQTNYPGTLAANAASSLIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGLFGGSGGYRDHHDYGAGTAAGEPKGPRV >Dexi9A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:9A:2646896:2648848:1 gene:Dexi9A01G0004830 transcript:Dexi9A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESLRCAKAAGAARAERMGQMHREISRRLWAARGLDGDPDAEEGEEEDEEELVKEDALMERIMLVEIQQGDELRARYRKAEERILDYDPKQGGAYYTRLDIVYDLASFDHDEESPIVPMRFTDAVYKTRSDYELCEAVNILSVKIGSLDIEFPIHVYGTVIARDSLDKRCVYLFRRAREESQTINSKDESLVLTGPKRGLALIRDMYVEANLSIKGDETQEDRQLSKGMLTIPGIDRRVLTKCELESCSLSTRLSTVDVMYVVVKAAVEATISLEVLAGEFFGEITACTSSINERIVLHDRLARITSGERIAPTIPLLRSVVAVYVKEKLLLTIAAHSEDGEITKCIDYNPRVNGSTLDEVTVGSTVLRLKIVWSIIDF >Dexi7B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:7B:13065460:13067224:1 gene:Dexi7B01G0005600 transcript:Dexi7B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVMSRLAGAALQATLAALLAVVLPPYYVYKLTTYLLNAVFPEDVAGKVVLITGASSGIGEHLAYEYAKRRAYLALVARREVSLRDVGDRALALGSPGVLVLPADVSKPEQCDKFIDDAIRYFGRLDHLVNNASIWQVCKFDEVEDVNNFRTLMDINFWGHVYPTRLAIPHLRKTHGRIIGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELGGDIRITEVVPGVVESEITKGKILSKEGEMKVDQDERDAILGPTPAERVGDFARTVVRDVCRGARYVFEPRWYMGVYLLRVCLPEVLSWSSRLLTVDGAGGVSSTDTLGKWLVELPSVRRATQPPSLRSPEIKDQ >DexiUA01G0020450.1:cds pep primary_assembly:Fonio_CM05836:UA:42726459:42728786:1 gene:DexiUA01G0020450 transcript:DexiUA01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNEALRTAEPSGVGCRICHLGAEDDGGSASAATGSEVIQLGCGCKDELGAAHRQCAEAWFRIKGDRCCEICGSDAKNITGLEVKKFMEQWRGRRVANIQTTEERGSHCRRQQHFCNFLLASLLIVFMLPWFLRVNLF >Dexi6A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:6A:28396470:28397476:-1 gene:Dexi6A01G0021020 transcript:Dexi6A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQAAGVDDVNLDLRLLYPVVAAGSSRRRHAQQEAPPPPMAAAGDPDRTFSCTYCRRKFLSSQALGGHQNAHKLERSLAKRTRDLSGAASTSVAAELASWYDPAAHAGAGDDHAAAAAAAVVSWIADGGRRQAYRVQAADADDIDLSLKLT >Dexi1A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:1A:121903:123161:1 gene:Dexi1A01G0000210 transcript:Dexi1A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNLTRRNSFYKIVPPSWIKKLTNTKTLHTHLALTTTFCDAIGLREPCMFMLKTSMDSTRSWLVHGAPCKTGSYLRVNGWKRFCQNSLKEGDICTFNVIKTTLWHVITRCEGNVNQLCYETPESHHGTSSSERQIRPKGSMTYLKARSKCVYDIGPPAWVQKEMIPCALQNQLA >Dexi3B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:3B:11587572:11592883:1 gene:Dexi3B01G0015960 transcript:Dexi3B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKATAPPPPQRKEPTLLVRKEHMVLVPPLFDYPPMAARTRMSVPAYEVMFGKLSLQNLFEDYFDQAGNMTSRIMLKALEDPHVDLIATVSAAADKKCGRVKGDALFRWQKESDDPHTFVDLLVSTSNPMWKLRSSAYYPEYGIGAFGTLPLLMGNRVRSEDSGVMGLRYGSENLSLGASFVPFPLSGEVPYGAWLVGRKGNLSAGVQYKPLNLMLHSVYSVTGGNKNPMPFTDPENWNCAISYSVGSTSPLSPLSTFTLELARSKQVKNPSEDKEVVEITNYIDFGLEFTGRIDKPTENGNSLFQLAASWQANKNFLLKVKLGPSKSSVVLALKSWWRPSFTFSVTAVNDHSKGTTSFGFGIRTEDLRQPSYQRADPNYIMLTPNMENLAQGVGERPRFQARSDSGSYDHLPTDLRPIDRIM >Dexi1B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:1B:11393980:11399325:-1 gene:Dexi1B01G0011300 transcript:Dexi1B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVKGKSADASLAATEKEHRELMAALLKKFDVLNSLEERLGRMETTQQQMVHFTYDESSVTLQMPPPYSVVIQVMSRYMN >Dexi4B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:4B:8586349:8586870:-1 gene:Dexi4B01G0011180 transcript:Dexi4B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGSASSNGALAVALLCAAAVILSSAMPAEAGTTYHVGDAAGWTHNVDYGQWLAGKTFHAGDMLVFKYNATYHDVAWVSKGGYRHCVVSPKGGRAPVYRTGNDTVRLPSGTHYFICGSPGHCQAGMKLAVKVY >DexiUA01G0023870.1:cds pep primary_assembly:Fonio_CM05836:UA:48812490:48812708:-1 gene:DexiUA01G0023870 transcript:DexiUA01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSMLRMSGRLLLRWRRGPPPAAGPDGEDEDEEEQDAEADGGWLSAACPPIMTAAAAARVRWGLLGSLVC >Dexi7A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:7A:20334327:20337797:-1 gene:Dexi7A01G0009270 transcript:Dexi7A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVGSAPPRPGLAAPTRYSHLRRPGTLAAARRPLAAAPMEAAGGGCPSPAPARCTRAETEPEVDEVATSSHAAEAEPAGTTEQGDNNGSPVADAAAAAAAASTEIAGVDGIRIRRRPVTGPAVHYVGPFQFRLENEGNTPRNILEKIVWDKDVEMKERRPLYMLKGPLEAAPPARDFVGALKASYDRTGLPALIAEVKKASPSRGVLREDFDPVLIAQAYEKNGAACLSVLTNEKYFQGSFNNLEAIRNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDRDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDISNTKKLLEGERGQKIAEKDVIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAA >Dexi6A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:6A:10614618:10616312:-1 gene:Dexi6A01G0009250 transcript:Dexi6A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMEEVLRRDELRARYIQRMFSGSTNGDNVQQSAEVSVPTVLGSASLDTLQYVITFGLGSTAATQNVLIDTGSDVSWVQCAPCWECHSQADQLFDPSSSSTYSPFSCDSQACTQLGQEGNGCSSSQCQYIVRYVDGSYTAGTYGSDTLTLGSDAITSFQFGCSSDESGVDTDTLTDGLMGLGRGPQSLVSQTAGTFGSAFSYCLPPSPSSTGFLTLGASSGGGGSGFVTTPMVARDVNPTYYQVVLEAIMVGGTQLDVPSSVFSAGTLMDSGTIVTRLPPTAYSSLSSAFEAGMSQYPPAQDPNNFFDTCFDFSSLGNGEPLSVPEVTLVFAGGAVISLAYDGIMEGNCLAFKANEDDSYLSTIGNIQQRTFEVLYDVGQSTVGR >Dexi9A01G0041400.1:cds pep primary_assembly:Fonio_CM05836:9A:45074202:45075038:-1 gene:Dexi9A01G0041400 transcript:Dexi9A01G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFAIGSRLSPAARLPVRAWRAARPAPGLASSGRARSLSVASAAQENRDNTAVDVQVSQNGGNRQQGNTVQRRQRRAAPLDVSPFGLVDPMSPMRTMRQMLDTMDRLFDDAVGFPMATRRSPAATGEMRMPWDIMEDEKEVKMRFDMPGLARDEVKVMVEDDTLVIRGEHKKEEGATEGAEGSSGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >Dexi1B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:1B:2401974:2402464:1 gene:Dexi1B01G0002890 transcript:Dexi1B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTRAAVLAACLIVLLLSGQPPQVSGSSKYCECYRKCYKHCRDDDQQPRPVCKVRCGSLCMFQRQVSVASALAAGDSSCREVCLASVGCSTDATATSGDGPADADVGACVDGCTDYYGRMNAKHV >Dexi9B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:9B:11141970:11148368:1 gene:Dexi9B01G0016290 transcript:Dexi9B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLNEVDEKVIVVEAAAPVPSLPPSSSLLPLAMDAADDSTMHIVIFPWLAFGHLLPCLELAERLAARGHRVSFVSTPRNLARLPPVRTPALARLVDLVALPLPQLDGLPDGAEATSDVPYDKFELHRNAFDALAAPFASFLDAACDGSSSNSRRPDWVVADFIHHWVADAAEERNVPCAMLLPCAAGIAASAGKPGDPSSHAEQRQAIAQAMSGATAFETQQAAEAFATEGVSLGPSLISRFVQTLTRCRFVAVRSCPELEPDAFRLLTRLYGKPAVPLGMLPPRPDGTRGVSSKDAEDDDVAITRWLDAQPGRSVVYVALGTEAPVRVELLRELAHGLELAGTRFLWALRPPIGADEDSIIPSGFAERVGDRGIVTTRWVPQVRVLAHGAVCAFLTHCGWGSIVEGLQHGLPLIMLPIFGDQGPNARLMEGRRVGVVVPRDEKDGSFDRDGVAGAVRAVVVEEEGRAFANNARRMQEIVADRECSESVSPKKEPPIIQRQRLQPLKRRGHVEASQPDTASDEYDEPASCSSREDESSSRLNIKWPSRAGCTEKGLQPCCMNLFSRNGLAGPLVSSNFWIRFRLPRGTVVAVIPGPRRATAPRPTTNTPAGLSSAAMDTTADTSESPLHVVIFPWLAFGHLLPALELAKRLASRGHRVSFVSTPRNISRLPQVPPALAPLIGFVALPLPRVDGLPDGAEATSDVPPGKADLHTKAFDGLAAPFSSFLDADGDKIDWLVLDSFHYWAAAAAEGRNIPCVLYLVFSAETLSRYGVPRGVSAAAVGDLGAAPSIAQRFVLTFEVCKLVANRTCHELEPETVPLLPGIFGKPVIPVGLLPPPPSSREGHNDTATSAAALMTWLDKQPPSSVLYAAFGSEAPVTVEQLHEVAHGLELAGTGFLWALKKPSGGGGGLESEGGGLLPPGFEERARGRGLVTMGWVPQLGILAHGAVGAFLTHCGWSSTVEGLLYGQPLVMLPFLGEQEINAQLMERKQVGVQVPRHGDDRSFDREGVASSVRAVMSGEEGGRVFAANAKKLREIVTDENCQGRWSPSERRLITGNGYKHRGNQRDVDAPKLPGISDKTGIPHSKEASSRFEEKEGSMTKIGIPSPGELGATFTLKPNN >Dexi4A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:4A:4687363:4689264:1 gene:Dexi4A01G0006540 transcript:Dexi4A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVCVVGAGMSGLAAARELRRVGLAVTVMEQCSDVGGQWLYDPRTDADDPLGATAPVKVHSSMYASVRLVSPRECMGFSDFQFVPRPGRDARRFPGHREVVRVATAPPPQACGGGGYKWLVRTRHVEPDGEEGVDAAEEVFDAVVVANGHYSQPRLPSIKGMDTWRRRHLHSHSYRVPEPFRDEVVVVVCCGESGLDIATELCGVAKEVHLTANSVEEATSPPAMATKMLANHAGDIQLRPPVDRLCGGGDDDGTVVFADGSSVVADSVIYCTGYTYSFPFLDTGGVVTVDGNRVGPLYEHTFPPAMAPSLSFVGVPTRVFAPWFFEAQARWIALVLSGRKALPPEEEMMRAVHEDYRAREAAGVPEICEFVYRNSDLPRMEGWKMELFMIGFVNTMEDRETFRDRDDNTENVREGLRRWRRESVAQYEAALAAAASGGDAAAARVVQKPPWLPTPSQVSNSELSSTR >Dexi1A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:1A:27646427:27647370:-1 gene:Dexi1A01G0020830 transcript:Dexi1A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTPEEDRVLVAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTPEEEDAIIHLHHMLGNRWSAIAARLPGRTDNEIKNVWHTHIKKRLEPKAAASSQQAPKRKPKKQQQQQKQPAEVVMLDGPASATVPVSSPEQSLSTSTTTTITDYSAASSFENNAADSFTSEEEDYQIEDSFWSETLAMTAVDTSDSFGMEGEDASSASTSDDVDFWLKLFMQASDMQNLPQI >Dexi9B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:9B:1061416:1067571:-1 gene:Dexi9B01G0001850 transcript:Dexi9B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRARLSSLEEDDYDDTATGSSLSSAASSPPLSPASPPPRVAAQLSSADEDASESFDDATSSGEDGELVEEVSNGLFFTVARVPPPPPPTEEPTPVPGGDMAGFDAAPGGGDSFGAAEEALEDSFTSAKSSLEGLDAGARSGLDGAIADGNGAGAEGSLDGSFQSSRSALDGGEAAESGDLVDVSDVPVLMDDKQGEQDVGGAESVNDMMPEPFMPVAGVDGLNIVDGLKRKDVSDNEVPELLPVLNSKEGKNAGVELPNGDSDAKGSAAEPETVMDVEGASPEYVATIDDTSDALEVHGYHDGFDGVESIVYGDHDKVDDKADGDREVSGDLASMTISASDDAVELPVKELEDNVPASKGLRFGVDDSDDVELSGDDEYEEGNGKETELFDYAALVELLSAANISSGQGKGKVFPFQSSEPKHLPATVTSIPRTEVASTPVLEVTSDPEKEMNDEEKKIYRKVDMARITYMRLIHRLGYDTNHMVPVQVLYRLSLVEGFRRVRMANHSSELENAWKRALQLEANGIEDLEFSCNILVLGKTGVGKSATINSIFGEDKSKTNAFLLATSSVKEINGVVDGVKFRVIDTPGLGTSAKDEKSNRKVLNSVKKYMKRCPPDIVLYVDRIDTQRQEQSIRQVTNDPRIENPVALVENHHLCQRNTEGEKVLPDGLTWRRLLLLLCYSKKMITEIDKHPRSSNDPSIGSVDSAFDLDELLSNDQEDGDDDYDQLPPFKPLSKSQVAKLSKEQQKMYFDEYDYRTKLLEKKQLKEQLRRFKEMKESNDNDVPSDDRHPDDEYETERSPMPDWALPSSFDSDDPVYRYRCLEPTPNLLVRAVNNPVGWDHDCGFDGVSIQHSSDVANKYPASLWVQVNKDKREFTIHLDSSMSVKHGDHASSLAGFDIQTIMDQLAYTLRGETKFKSFKKNITTGGLSMTVLGNTMVTGAKLEDKLSVGNRLTLVANTGAVSMSGDAAYGLNMEATLREKSYPVGQGLATLGASLVRWRKEWTMAAHLDSQFSVGRTSNMAVHVDVNNRLNGRGAKNMTLLMGSSAAEPRQSAPRLEPAVAAVRPSPAGVGGAGAALVP >Dexi9B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:9B:12293020:12295500:-1 gene:Dexi9B01G0017450 transcript:Dexi9B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGLLRLLPPVLLLALVASSVHGFGGYGGGNGSGKLRVGFYKYSCPEAEAIVRKIVAKAVHEDPTANAPLLRLHFHDCFVRGCEGSVLINSTKGNTAEKDAKPNHTLDAFDVIDDIKEELERRCPGTVSCADILAIAARDAVSLATKVVTKGGWSKDGNLYQVETGRRDGNVSSAKEAVKNLPDSFDGIRKLIKRFASKNLSLKDLAVLSGAHAIGKSHCPSIAKRLRNFTAHMESDPTLDRPYADRLRRRCWRPKDNTTELEMVPGSSETFDTAYYGLVAKRRGLFHSDEALLRNDVTRALVYRYRDSPVEAFLKDFGVSMVNMGRVGVLTGSQGEIRKKCAFFN >Dexi1B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:1B:18689170:18690848:1 gene:Dexi1B01G0013190 transcript:Dexi1B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATSYSHQAFSPAASLRRHHHDANPCGTSPRFSPLPPPPRALLWRRPRRLAHTTFCSAPSLPRLGRVGWPRREGNAWLLSFRADPVAAPGAAQGDPSQALSALLPLVVAATAVAALGNPATFSWVSKDYYAPALGGIMLSIGIRLSIDDFALAFKRPVPLSIGYAAQYLLKPLLGVMIAKTFRMPSAFFAGFMLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKGVVNVIQPVMPFVAMVCTSLCIGSPLAINRSKILSSEGFFLLLPIVAFHIVSFVAGYWVSKLPQWRQEEPVCRTISVCTGMQSSTLAGLLATQFLGTSQAVPAACSVVIMAIFGLTLASYWGSGSRIRDIVGGYFPQASACVSL >Dexi5B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:5B:9619874:9620193:-1 gene:Dexi5B01G0013490 transcript:Dexi5B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEDGSSAAAAMPLLAAAVVEKGRTTKQQRRHREGCPGCRLEEANKASAGVPYLNFFYIWVVCLTAAIISWLIHR >DexiUA01G0019440.1:cds pep primary_assembly:Fonio_CM05836:UA:40531977:40532449:-1 gene:DexiUA01G0019440 transcript:DexiUA01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFTNTGHVTDDKGHRAFVRLVPHKPDSVDVALLWTQGNDRGEGFRNLRSVSDTDIVLDAANGGEAGGAHDGTAVIIFPWNRGANQKWKMIPFQ >Dexi3A01G0030250.1:cds pep primary_assembly:Fonio_CM05836:3A:34203415:34209718:-1 gene:Dexi3A01G0030250 transcript:Dexi3A01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQPQSPAMTPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQTQLQKNLLYLAAIADSQPQTAVSRPQMAPPGASPGVGGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLNFSGQMVGRPGMVNGMPQGLQIQQTQTQAAMSKQDVGGAALEPSGTESHRSTGGDNDGGSD >Dexi3A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:3A:9001589:9002017:-1 gene:Dexi3A01G0012390 transcript:Dexi3A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGGGGGGGALKRMGPLRYYIVMGAVAAAVVLATLRYMPGPAASSVVRPSASAAATAPAVGAEAAAAVEVEDEEEKGKKKKGDGVVLFNFGDSNSDTGGVAAVMGIHIAPPEGRAYFHHPTGRLSDGRVILDFICE >Dexi3B01G0025260.1:cds pep primary_assembly:Fonio_CM05836:3B:20056279:20061751:-1 gene:Dexi3B01G0025260 transcript:Dexi3B01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAAHHGPRRNRPSVSAAVYSRSRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVDNALRDAKLQFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGEVKGKVESKLQELKDAVAGGSTQTIKDALSALNQEVMQLGQSLYSQQGAPGAGPTPGADATAGSAEKPGDEGDVIDADFTDSK >DexiUA01G0010670.1:cds pep primary_assembly:Fonio_CM05836:UA:21228214:21228675:-1 gene:DexiUA01G0010670 transcript:DexiUA01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAQGIDGGKASAKDSRLWPVGDGAGWSFGVLGWPNYKPFKAGDELLFSYKNGTHNVVQVNTVAQYSMCKVPRNATVWSSGKDHVTLARGMSFFVCGIPGHCQKRHEDRSHRKIGHI >Dexi6B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:6B:3423427:3424807:1 gene:Dexi6B01G0004200 transcript:Dexi6B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASMLDAAIALAGDLKGIRTVFDRSDLGQEYSGSISLYLRLVGVSGGGGGGKHRERVRAHYRFILLDLAGDAAYELPAETGAFTFPAGGVAAKPLLSGQAYGYAVDPVPVAGDAQAAAAEVGCGYAAFISREELERRRGDGLLAEDCLVVRCDVGVTEVANPSAVAAGRLFYGYAPAPWGDNGGYGGGGDTYALDGRGGQEPPPPVDDKEFIRRCLATKRARE >Dexi5A01G0038070.1:cds pep primary_assembly:Fonio_CM05836:5A:39174013:39177764:1 gene:Dexi5A01G0038070 transcript:Dexi5A01G0038070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFVCFGSAQDGEGKKQVGDAKDPRKDGPLNRGMPRVGSDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQAATRPHIGDVVTALSYLASQAYDPNAPVQHSRSSSSTPRARNPAGWNDDQRSVRSPNHHSPDLRRRDTARASKYGADVSRTSSSGGSGRRSGLDDMDMAGSQVGSPAQTGRRRETPRAADRQRAIAEAKMWGEYSRERSNGHGSFDSTNE >Dexi3B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:3B:294595:295206:1 gene:Dexi3B01G0000370 transcript:Dexi3B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTDQIVDTEKDGAGEALDVHDSDVPIQAAITEEPPNDSIMPHPDEESRRVEHELLLPVKETKNVKQGLAWLLHHEEARRVEHDLSRDTAMVQSNKEAVILQCEDEARIMELAPPRDPATVQHKEDGTIVELDPLSSAEAVKCNMEARTVEQESASDYVALQSDRDATDVEEPPSHTAMMQREETETRKQETPQSGELVQPN >Dexi2A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:2A:31014147:31015071:1 gene:Dexi2A01G0018880 transcript:Dexi2A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAPLHEYWHSRAGSMTLYSLSGYLRYGLAQSTRFCSRGRRERSTDCRPESSSSSTTPKLYTSLLAPLVVTLNCLEDPSLEQDALSGAAAVEHAPLSALSAGRVEAAAAVLVPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEGSRR >Dexi3A01G0032360.1:cds pep primary_assembly:Fonio_CM05836:3A:37115031:37118756:-1 gene:Dexi3A01G0032360 transcript:Dexi3A01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLAAAAASAFFASPQAPSVRRRLSGAWTLRLRPTASPGAAALRLHNFVPKCYITNVEVDVNTVSKEEAFDDHPSFPPRCSIPVVDLRGDVLDSSPFPLHDRASCPSSFEELPVLSEGEQHTLASTPAHPAGLYALYASYLFGNLVEQLWNFAWPAALSILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTALNAVQVATQLISAATVMYALKNLSHASTTALVLRPWFIALVAAGAIERLAGLALGVSMERDWVVLLAGTNRPVALAQANAVLNRLDLLCETVGASVFGLLLTKYHPVTCLKVACGLMICSFPVLVLLGQIINRVSCHALDSSRTATDESICIDLLDVRKIGVSPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSVALTVYWAGSISHRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSNFGFLAILSLSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQVQAM >Dexi5B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:5B:14192723:14193359:-1 gene:Dexi5B01G0016260 transcript:Dexi5B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLELKLKYWGFLVGSGAAAFTVEVHHGGFFCGIGQNRAYLNGKVSWFDYCKAEFWSFMGIEEITLMLNYGLGGPNLIVYWLLPGKDLSDGLRIISSDEDALVTKQVANRVKKFVLYYYITKKFGEKLPSFYNDIQSSSGGDYVIGSE >Dexi8B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:8B:23992744:23994209:-1 gene:Dexi8B01G0013610 transcript:Dexi8B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTGGRGQYPVPRSSLPYSSTASSVPTHNGTTPTIQRIKTSLRGILVSDAPTGTNIATPLAVAIGPYHHDMPELRAMEEAKRAALEELCRVVNEPLRAIEEKIFPVALTARRYYADDYTLDGDQYGFGRGSIGVQIVRHSMDGGGGGARYIHPNNDHHDWKFARMMLLDGCFLLQFMVSMCSDNPNDPLMSRHEVHTCVDAIARDVMLLENQIPWVVLETLTKLRPDPGVPVDRFLARMASAFVIGNDSDGAEQPTRHHANEQPPPHLLSLFYRRQVELAEMGAKLTASKTKKFGDMSMARRECHGLRLFGELSMTPMVLNEVTSCWLVNMAAYEACLGAMHPDNFAVSSYISVVALLVNRDEDVQELRGRGIISSSLSDMDAMKFFKWAVPHLRVSHRYFEVFHGLQEYMHQRWLWIATHRFFFNNFKTIATVLSLIGVIAGLFKTIVSLKQPYRSMINT >Dexi3B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:3B:9470050:9471354:-1 gene:Dexi3B01G0013300 transcript:Dexi3B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGENAAAAVQAGGNAQQQQQKPDAGNLIQVSSSKKPLFFYVNLAKKYMQQHGDVELSALGLAISTVVTIAEILKNNGLAVEKKIRTSTVEIGDETRGRSIQKAKIEILLGKTDNFDELMVASAGEAHVGDGEEQA >Dexi4B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:4B:5941983:5943870:1 gene:Dexi4B01G0008220 transcript:Dexi4B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGTGITKRPSQQCLDDARLPEAQEGTVEQDTRPWIARKKVTTLAICLVALPVLLMTTVSRRDSPWTMPASFWQLATTSARQEKLLGGLLVPGFDERSCLSRYNSAFYRKKMARSPSAHLIKRLREHEALQRRCGPGTEAYMAAAARLRSWHPKNGTNHRDYTHGTCKYLVLVPYRGLGNNILAMASAFLYALLTDRVLLLDRTTSLGDIFCEPFPGASWLLPQHFPVKNLQNLTGNVKESYRYIVQSNDPAASVSVRRYVFVDLDHSCTYHDKLFFCDDHRRFLHRATWLLMRTDGYFVPALFLNPTYQEELDTLFPRKDAVFYLLAHYLFHPTNKVWGLITRFHDSYMRGSDERLGIQVRVFDGDTPFQHILDQILACTSREHLLPEVVTQEPPHTSTASRARSKAVLMTGLSSWYYENIRWKYWQSATATGEAVSVYQPSHEEHQLSGYTTHDMKALAEMYLLSMTDKIVTSGWSTFGYVGHGLGGLTPWIMFRPENHTAPDPPCRRAKSMEPCMHGPPFYDCRAKQGADTGKLVSHVQHCEDMSWGLKLVHPE >Dexi4B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:4B:7424154:7425086:1 gene:Dexi4B01G0010130 transcript:Dexi4B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEASDPMVGSEMKMKNPIGVATEMMKKGSWSPEEDALLTSLPHQRCHPRPLRQLCPDVHHRPFTPHEDAILLSAHARYGNKWATIARFLPGRTDNSIKNHWNSNLRKCRRRAAAAAAAAASSAISASTSHVAKPWLPPITQQKDNNSSLVADQLLVDPQQCMMRNHNGLSASPAPMGASGLGADPNNNCDRSLVGASELPMSLSLSLGPPPLQEPEVVVDVAGGSASTKARLPEVGIEAANQSSQQPERNVELMEMVRQMVREEVQRQTGQLAYSLMAAATWAKGGHH >Dexi8A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:8A:18476177:18484412:-1 gene:Dexi8A01G0010740 transcript:Dexi8A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPVPAPREHVERIRRERYFIGRGEQNPLAEDMHHAVNYLSQELYSKDVHFLMELVQNAEDNEYPSGVAPSLEFLITSKDITGSGIGFKSVFLISSEPHIFSNGYQIKFNEKPCAECNIGYIVPEWVESTPSLSDIKAIYGCSRVLPTTTIILPLKSEKVDAVKKQLSTMHPEMLLFLSKIRKLSVREDNSDPKSSTVSEISISSEKNYQARKNIHAESYTLHLSAEESGKEEECGYYMWRQKFPVKPENRVDKRSEIDEWVIILAFPHGQRLSRGNQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFMNAFVTLVKSGADAPAMSLPSLFNFLPVNASLIPLLEPVRSGIKNKVLAEDIVPCESYASQKIFCKPSEVARLKPAFWTILGKAREYGMDLKNLSTHGTYIISSHFDKRKDIHGYKDELKELGVTVEVKFGARFVIAGLSIPEDPSVLSKSTILLLLECIRNYFTSTTASPKGFKEKICKEWLKTSMGYKCPDECILFDAKNSSLCMEDGPFIDETFYGPEIASFKDALVRIGVIVDVTRGEDLIARYLRCQKDSTTISRIYMYLMKRNWKPENSTDNWIWIPNETEGGEWVGLLHIIFAYLADPALDIPTEERHRIVSCLLNVTVQVTDEPITVSYSVSLSTGEVVDVKASRMLRWERENSKLYMQSSHGEFCYKEKIEFATYFAEEISQGLLFEMADQIPSLAELIKVGSLLDFQDTAVEFLLKSKNLLLFPEDEDFLKSSMQGGSMNQ >Dexi3A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:3A:2786800:2789615:-1 gene:Dexi3A01G0004220 transcript:Dexi3A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVSADLPVIDLASTDIAAATKSIRQALVDYGFLYVINHGIDDTTMKNVYAESKKFFEQPMEEKMALEKNCSHRGYIRPDFEGFEADAGGKGDLLECFHIGSGKSKDVNQWPSAERFPSWKETMESYYDCAMATSKKIMSLIALSLDLDAEFFQPDRSETILRPIHYSGCAMESKYGSDHGANAHTDYGMLTLLSTDGTPGLQI >Dexi5B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:5B:18153519:18157023:1 gene:Dexi5B01G0017140 transcript:Dexi5B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRSHSPPPSPDELKWACASCTLLNSIWSASCELCETRRPLEVDADSPIVVGDASASPPLQACGRKRECDPAVSNAERTVDIDADSAVVVADTPVSAPFRRLRRKGDRAPQVEVVVGDASASPPLHLCGRKRERAASLDVVEICDSAGCGGGGEGKAPAAKKGNLDIHLDKTFKIMTYNVWFREDMELSRRMDAIGDLIKHHNPDLICFQLSKVPVEPSECIPFHNSIMGRELLIATVSTGEITNLVLATTHLESPCPAPPKWDQMHSKERVDQAKKSLEILGGCRNAILCGDMNWDDKGDGPFPLQDGWIDAWIELKPGDDGWTYDTKANGMLSGNRKLQKRMDRFLCKLEDFKIDNIEMIGKEAIPGISYFKEKKVRKEIRSIHLPVFPSDHFGLVLTITKQGE >Dexi9B01G0042020.1:cds pep primary_assembly:Fonio_CM05836:9B:42349882:42354392:-1 gene:Dexi9B01G0042020 transcript:Dexi9B01G0042020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRAGKGQHAAATPRIISLNLARRSGGGGGGGRASRGAGRAQPRPARPVNLGALFEMERRVRGLESAPASPPPPCSRAPARSQQEEDDDDGEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGKGDVGVAAALDEGIEDLEEMMEELRVEKESGRRAMSGTRELQRSLGRNFDRQASSLRRRLERMPPPAEAEPCVKDIREIALPLSVLPPPPPVHSDDDDDNVHSADTSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVASGDNAGCQSRTCANRHSRKASASSQRSWSGGSTASNGNAPSALDTAALHGRSRHAAAAESARQHHKTMAEECKLVSSGSCCDCREIVWKIMEQVKAESEQWTEMQGMLEQVRLEMQELQSSRDTWQHRAMASDISIRSLNSQIREWKNRAQRSEQRVEELQKNISELQGKLHTFKAHLPTPDTIPSQDQWSEACKMEDPRAKPQHQRSQECKEEKKHVLICRVKHSPSSVIPKRSPFQEIGNISLLRQR >Dexi5B01G0033830.1:cds pep primary_assembly:Fonio_CM05836:5B:34133934:34138386:-1 gene:Dexi5B01G0033830 transcript:Dexi5B01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEAEGPSPGPRAGGGASPEPRPPRPQLTKSRTISGSASASILAADRAGGGGGSGGETGVRDSILVRRSSTAPLPPPPASAAPASPAPRRLTVAVDDPSYAGTNGGVLDRDWCYPSFLGPHASRPRPPRQQQQQTPTRADRPSANPTVPPRVAVSQREEEKSLASVVKRSALLEERRPLPPPPPPVRAPRFDLSPYLPLLLAVTFTSSTLAIWQWVKVMGLQEKIRSCSGGNAGDREDTEKVFWIDKDHGSAFINSGNWNLAPPSTIFALAVPIFLFKYIDQLRRRQTNSMRARGSEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRFVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHIVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQVHLSVILVLPWRTNFYLAMNSFPSVRIIIVKILTHQPFLQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKVASRDGKILMNPDDEYVLREGDEVLVIAEDDDTYAPAPLPEVNKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREFKLTDGGLDICGLTNIKLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPFKELKSPHRYNGFCHSAWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRAREREEIVIGYRLANTDQAIINPEHKSEIRKWSLDDVFVVISKGD >Dexi1B01G0028030.1:cds pep primary_assembly:Fonio_CM05836:1B:32667194:32668897:1 gene:Dexi1B01G0028030 transcript:Dexi1B01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSMSPLDDVGHGTHTASTAAGSAAGDASFFKYGKGTAAGVAPGARIAVYKACWKTGCASSDILAAFEAAIEDGVDVISISVGGNKAQKFHKDSLTTGAFRAVRNGIIVSASAGNTGPAESTVSNVAPWILTVGASTINRRFPDTVVLGNGETFTGTSLYAGGAPLSSTEIPLVHGGDAGSRFCEVGKLNKSMVAGNIVLCDFGLNRGVEKGEAVKLAGGAGAILAGIKELGEFAYASPHMFPTTAITFASTEKIKKYMGTDASPVATIVFHGTVVSRTPSSPRMASFSSRGPNTRAPEILKPDVTAPGVNILAAWTGESSPSQLDTDRRRVRYNIISGTSMSCPHVSGVAALLRQARPDWSPAAIKSALMTTAYNVDDAGDVIRDMATGEASTPFARGSGHVDPNRALDPGLVYDADADDYVPFLCALGYTGEQIAIITDDSEVDCSAATAGELNYPAFSAVFGPNMKEVTQRRTVRNVGCNFRATYTASVTSPAGVRVTVKPGKLRFDAKQRTQGYEITFTPQGAGNLTDKYSFGSIVWSDGEHRVASPIAITWPWPARQVAAM >Dexi4A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:4A:11020959:11022420:1 gene:Dexi4A01G0012490 transcript:Dexi4A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLVIVLCTHHYIVHGGDEHGFAVVPPRSFEPQAACTTSRVTLEPSHATVSMSLVHRYGPCAPFQNTDKPSFTERIHRSHARANYIRSRTFEGMVNTLDDANVTIPANLGGSVDSLEYVVTVGLGTPAVSQVLLMDTGSDLSWVQCAPCNATACYPQKDPLFDPSKSSTYAPIACDTDTCRKLAGDHYQNGCTDGGAHCGYSITYGDGSTTKGVYSNETLTLSPGVTVKDFHFGCGEIQRGDNDKYDGLIGLGGAPESLVVQTSPVYGGAFAYCLPAVNSEAGFLALGVQSVNNATAGFVFTPMSHLTDIATFYMVTLTGISVGGKRLDVPPSAFRAGMIIDSGTVVTELQHTAYNALQAAFRKAMAAYPLLPNGELDTCYNFTGYSNVTVPKIAFEFSGGGTIDLDVPNGILLDDCLAFQESGPDDGLGIIGNVNQRTLEVLYDAGRGKVGFRAGAC >Dexi8A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:8A:4204154:4205295:1 gene:Dexi8A01G0004780 transcript:Dexi8A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIVTEAKQPPCGSSAATASYPPWVLFQERVKEAAVGSYPNAISRASSASAHTSTGHPIGVSLDLAAPPAVSSVHVHLPHGFRSFGTVLAVHGDSVLISISVRSQGDHGDRSLDHFVYGAGAASGESPKPPTLYRLPTYYHTEQKLKNSVHGRNQGLPVQHRLQNHGTGVLRRGEDEFVVAELKMIRRVAELLVLRHGQWSIERPSITIQDEGEVLISSWNTRSALPIGDTHLCWVDLNQGLLFCNIFDESPVLRRVYFPMEAIEMEPGDGVAHSSRSVYVTRGNTVKFVGVFPRCCCGGAGTTQCERSRHAYTINTWTLRMDTMVWVMDGMVDATELWALDTYEGLPRVPPVVPGHEPGRPPYHMLFYV >Dexi1A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:1A:7513039:7513701:-1 gene:Dexi1A01G0009260 transcript:Dexi1A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDESLSADEALRVQQGQATTGAVTRSAAARGRSSLTSSHDIPFFATVQPGHRATSPGMDDDPLDHAELGQATTGAITPSVVATARDDCGIPWLTTLGFAFLTFNSGLAIYGSQGDAVAVAIVVFSYVYIFLLIFYLRSYERRGGFNSNSNSARIRVVRAMVWVLTFLLTGLFGLKIASVMPFPAAVAVWALSVAGVVGVFNAFFLNHATARPEDISSL >Dexi1B01G0026790.1:cds pep primary_assembly:Fonio_CM05836:1B:31578618:31580224:1 gene:Dexi1B01G0026790 transcript:Dexi1B01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGWSKPHAVCLPAPAQGHITPMLNVAKLLHARGFDITFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSEDNDVTQDVPSICKSTTETCLPHFRRLLSDLNDPATGHPPVTCVVSDVVMGFSIDAAKELGIPYVQLWTASTISFLAYHHYNLLISRGLAPLKDVEQLSNGFLDTPVDDVPGLRNMRFRDFPTFIRTTDPDEFMVSYVLKEISRSAHASAVIINTFDELEGEAVAAMESLGLAHKVYTLGPLPLLAREDLSSPRSSIRLGLWKEQEVCLQWLDGKDPGAVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVRGDTAVLPSEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLESICGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDTNVRRDAVASLITELMEGEKGKEMRRKAREWRDKAIEAAKPGGASHRNFDALVRDVLLPKH >Dexi2A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:2A:31126554:31129252:-1 gene:Dexi2A01G0018990 transcript:Dexi2A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRLRKKPRVPDAIAAPAVASDALLSLPPEVLDEILTRLNLRDAVRTSALSRAWRRRWESLPSLDIDIRCDPQDGQQALWTVDCVLPRCSGRVRRFRIWLDKLSARRLDDWLLFLSRRGGVEDLELSPEHPYKFFSLHSTIFSWHRLISIDLFACHIPPLPLDFVGFPDLKVLSLCNVKLQQNGEYQLEKIIGTSPLLEDLVLSELYIGEDEDEFIEWEIQAPNLRHITICSNIDCGWNYAELSCLNSAVIDLWQYVGDLDFAKFFAGLVQVRKLNLSMPYAPVNGIKIPETLPCTFHNLKILKLFMHFRELPPIFSVFCFLRSAPNLVKLKIQVQQGMIR >Dexi3A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:3A:15242156:15247374:-1 gene:Dexi3A01G0019420 transcript:Dexi3A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLSEAEAGISCFASSLPGFRGVLKHRYSDFIVHEVARDGTVVQLTSFDLPTECVDVKEEEKAAPSADADHSQALELFRALCGDADCDALRDFLERVAAGGDGDVSPVILSADADKAHRSEVHNFFKKNFKFLVTDTVEHTDGVQRCIRVRLGSGAGGARGGGGRGRGRKRKNMGGSDWRDDRPFDSRGSSNWSDHVGKFLRFHLYKENKDTQEALGVIGKMLGLQPRSFGFAGTKDKRAVTSQQVTVFKVRANKLAALNNRLFGIKVGNYCYVKEGLVLGQLMGNRFTITLRGVIAESEDVIKAAADGLGKNGFINYYVIKHRDDINELRKHYKEHGDIDKTLRNFPRHLVAERSILQCLKKCPGNYLQALKGIPRTLRMMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYNKESPPEESTPVNIPETDDEHTNSSEIDLCSEAQPEETIQSVKVVDSGDLLKGIYTFDDVVLPLPGSQALFPGNEVAEIYHEMAKKDGISLTENAHGVKEFSITSMKGGYRRVFQRPIDFQWELMTYTDDSSSLSETDLDVLSRTKPKEVNDLVTSDPQDRLEKASDASTATNGSSFLENKPTGSPDTIPRKLAIKLSFTLPASCYATMAIRELLKTSTSVAYQKTLSC >Dexi9B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:9B:9716703:9717924:-1 gene:Dexi9B01G0014510 transcript:Dexi9B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQTPRSAFVFTVLVLVSSSLLAVAGSAQTEPDASLPTAACVAVRPVRKALRLKLIAIRSILLASMAGVCLPLFSRSVPALRPDGNLFVVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRTPWAKFPFTAFVAMLAAVFTLMMDSLMLTFHSRGKDNKASATVAHHNGHDNSPPQEHCHGHGVHLLDMSVARPEGAVDKADEDVEAGKKQLQRNRVIVQVLEMGIVVHSVVIGVGMGASQNVCTIRPLVAALCFHQVFEGMGLGGCILQAEYGARMKSVLVFFFSTTTPFGVALGLALTEVYSDSSPTALVVVGLLNAASAGLLHYMALVDLLAADFMGPKLQGSVRLQLVSFLAVLLGAGGMSVMAKWA >Dexi6A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:6A:11687358:11690733:-1 gene:Dexi6A01G0009810 transcript:Dexi6A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAWAVALAALCLVALASPAAGFYLPGVAPNDFEKKDPLPVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKITVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAQRVITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFTIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Dexi9B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:9B:6091358:6093135:1 gene:Dexi9B01G0009890 transcript:Dexi9B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRNVGVSGQLEMYSGQQSDQGPSANSGREFSEANWNSVTMHQKLGYNSGPYGFGSYSMGLEERPGLYQSSSGTFRQNIQMSDEHSGGVKKRKGMDDCVMMLQNAGDQQTEDSSQPERNSVEGNRKISPKMQSKEDSSDGDGTKEDYDHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGADPGSSSLAAQFGHGIVQPEMMCNFSNPVDVLQGTIHGVSTMNQIPAMWEGLHNLPRMNFNPGVATDSSANNSGSMKIEQ >Dexi1A01G0024500.1:cds pep primary_assembly:Fonio_CM05836:1A:31035491:31037915:-1 gene:Dexi1A01G0024500 transcript:Dexi1A01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSFASKHRKGNEAGVVLTLRSCVSCIEATTSVAPAAVLPGIAPISPAVCPSALYPLVLIHASLGGSAGASAAAPTGKPTRG >Dexi9A01G0047110.1:cds pep primary_assembly:Fonio_CM05836:9A:50322066:50340660:-1 gene:Dexi9A01G0047110 transcript:Dexi9A01G0047110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAASAAALEAVQVLVASLADESPVAREAALTALRDIAPLNPMLVLDCCATVSRGGRRRFGNMAGVFLVMASAVRAFEHQDAEREFLRKIVKIATSEIVSSKEFNVDWQRAAASLLVAIGSHDPDLELNAILVTLLPLVSVNNGKDEHYVSKGLKTYNELQRCFLVIGLAYPEDLCIFLLNKCKSKDEASIIGALSTIKHLLPRLLESWHTKQASLVEIVKSLLEHQSLGIRMALAELIVVMASHCYLSGPPAELAVEFLVRHSAITDGDLNDLDTLKNEYFQDKRFEMKVSLAGLSELRAVCEKGLLLLAITIPEMQTNPLYTEFNASNETPNPEDLFARLVVLLHNPLARGQLATQILTVLCYLGPLFPRNLSLFWQDEVPKMKAYISDPEDLKQDSTYQEIWDDMIINFLAESLDVVNDTEWVISLGDAFARQYDLYAISDGHAALLHRCLGMLLQKVDDRIYVSEKIDWMCRHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILDSAGQSALQRFLSFFSFGAKAEDADDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRLLHVQHPTAKQAVITAIDLLGRAVISAAEMGISFPLKRRDQLLEYVLTLMGRDQSNDLTDFNSELLHTQSVALSACTTLVSLEPRLPMETRNRVMKATLGFFALPTEPSSIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYIIALFFNISLSLPKQKAYGNDIDLESSYSSLSSLEDLVSILRREASVDQTEVFQMVVSSVCILLSKDEVKQSADASIQAIIMFITRRGKELREADVSRTTQSLLSSAVSLTDKHSRQEVLNAISCLAENTNHIVVFDEVLSVAGRDICTKDIPRIRGGWAIQDVFYAFSQHKKLALLFLEYTLSILHKEPVTINSSEKGEITSESSADDCILQATMFALNAFMRGGGKNGKQAVEQSYPSVLSGLILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDVEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEIPESHMLKYIQQVLGVILALLEDPNESVQLTAVQCLLTVLNLSEQDAVDPILINLLIRLRNLQVSMNAKMRSNAFAAYGALSAYGVEHISAPCPIDGSRCSDYEDFIRDLTRQLCRLSPIRVDSYLESAIQVFAILVGRMSQSPDAIVRAAASSALGILIKRSNMLKTMISRFDRAEPSRSSHYGDAKAPSELQEETGEIRESPNDSPVEQ >Dexi3A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:3A:2680655:2682898:-1 gene:Dexi3A01G0004060 transcript:Dexi3A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTLDSVRSMQVRNVLSQVISLGRFDSKGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIVMTEKPIIKYLLMGALGLLVITSKD >Dexi5A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:5A:12458297:12459957:-1 gene:Dexi5A01G0015100 transcript:Dexi5A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGYDPCYSNYIEAYFNKMDVQKSLHANFSGWIKDRRWSLCSDPIFDNYDIEVFSVLPIYSKLVKAGLRIWVYIGDVDGRVPFIGSRYWVDALGLPMKSQWQSWYSKNQVAGSYVEYEGLTMATVRGAGTKVATVS >Dexi9A01G0027980.1:cds pep primary_assembly:Fonio_CM05836:9A:32587047:32600178:-1 gene:Dexi9A01G0027980 transcript:Dexi9A01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKVSQTINKIRIIGYEDRQQHVEVHSSTKKRKISDLGAAWTNNELMCFHKAYYRHGKDWKKVCAVHFPLVFLILTQISAAVGNKSPDMVKALYTLHRTFLSLPKHQATSMGFIALVTGHGNILELSPSHKGNGQTIRASGKAKKHREATQLKAHEAAHPHDSCPAGTVSGFSTSFKKRYYGGKYSYEKWNTQSHPVRNRTPRVAVIVPTYRNATDDATPELENVISSAKRNDDKIKNYPAKFSTNEFSPDGRSGIMEAAKDDMLILEVLRSLVNASDKMSKFKINIPSGTLGKREFSVSESKSEGDSPVDLSKQGKLVHEFSPSKTQQKKHTKLLDAVVPTQINSAHSSDITEGASNSDSTRGIGALPESTADISCDVYPNVPREINPEISMSRRRKMKMKMHNKKKYMSCNEGSDNVQARKLVHCLSSELLRRWCTYEWFYSAIDFPWFMDNGFAEYLSHPYLRHISRLARTEWNIIRSYCGKPRRFSDNFLMVERKQLEDYRKEVRTYYAQLSDGSLDSLPVDKARPFSIGQQVIVRHPNSRELCDGKVVMVEHDCCKVQFDNPELGVDLVKDIDCMPVNWLDNLPYDVRSRLDAHDVHNILDTEHVSKLAPSGNRDHVINEISMLLNNLDITSDEQHEAEYSVDSEKTQEESTADVIVQYVDLLNNNDDHYNQPELYCSTFVQSLQSQAREMVDKVMQATSGCSISQYEEGGAGNQATNNCVNHDFGSATRDVQIPSKLIMNCTAMVLAIKCLADSRHPPANIAGILERFSAMLRPSCSENLAIYRDVEKHISILMSQTMALVPRTL >Dexi9B01G0046130.1:cds pep primary_assembly:Fonio_CM05836:9B:45487350:45489745:1 gene:Dexi9B01G0046130 transcript:Dexi9B01G0046130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSALSSSSSSLLPRSLASRRPLSSPLHARGTRPLRRGRSGSRSLHRLRARAGKDDPEDLYGPYPWDQPLDLTTGFGARFVYVPADIQWVPEDRVTLFTSDGLVQIGGSLVPRRVSSSEGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASNDGLQEKLIMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >Dexi1B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:1B:21245513:21252144:-1 gene:Dexi1B01G0014820 transcript:Dexi1B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKRSWSPRLPTWMASTHMTKLNDIPPRHAAAFLLPIPSPLPQRLRVAPGRAPPPTWRPDVRARAGSTIGAPPALARPGGAVETDRLPSDVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTEGFLEVSEDGEVLYVFPKDYRTKLAGKSFRMKIEPLVDKAKEVGAYLVRVSFGTALIASILLVYTTIIAILSSSRYLDADYYRRRRVEKENGMNFIESVFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDDDESFILPVLLRFQGHPEVDEQLHSEHGFLDIIAIGNILYRFPSLQRTASSKSGRIREYVGTKWSAMFGEVEKYLEEKPWTFRQMTVTPGGLISFAAQLYPLLQLLSARDMAERKVITPEDIVYTTEKDMLEQDYEVKEWERRFKELESE >Dexi9A01G0046300.1:cds pep primary_assembly:Fonio_CM05836:9A:49670170:49670391:1 gene:Dexi9A01G0046300 transcript:Dexi9A01G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAMMAKAVLLAIVLMQCCNAIVAARPLLGVAAPAAFTSSGGGGGWPEMILQVLKGGSSGPPPKGNPGGGQ >Dexi9B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:9B:10128862:10133015:-1 gene:Dexi9B01G0015050 transcript:Dexi9B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQEIPSPQSRTAAPATGNILTRTRERIPTWAPLPDDDPAFLRPSKHRASRADRAPAGVDSRTLAGARSSGDDDYFNPSFMAARRRRTATHRTPASSPPKPNIEARDTLCASLATPRDAARASPSHRPWREHAACTNSAGEDPSEAAHVRRPWRRSATATNGIPDRGNQQQQHGEKSLPRRDSGGERQKRAAPGGGVMEEEQERVESNKETTHASDSPATTVKAEDDDAVKEEKRNPPPLLATSSCDTARAGPQRTRRVAGETVQTPPATSCFVVLVLGWTSEQAAFSQLIKRIERSGEEESAIDSSSSCRRDPRSPHL >Dexi3B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:3B:11492146:11495664:1 gene:Dexi3B01G0015880 transcript:Dexi3B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGMSFRDQESGGEDGGRMSSASDLRKPLLNTGSWYRMPAAGGMMGSRQSSLMERLGSSAFSLRDVSVSATLCTLIVALGPIQFGFTCGYSSPTQDAVIADLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYTVPVYIAEIAPQDQRGVLGAVFQLSVTIGILLSYLFGMFVPWRILAVLGILPCSILIPGLFFVPESPRWLAKMGKTEDFEYSLQVLRGFQTDITAEVNEIKRSVASSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGITNSNLATFGLGVVQVIATGVTTWLSDKAGRRLLLIISTTGMTITLVVVSVSFFVKVKG >Dexi8B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:8B:11053434:11054385:1 gene:Dexi8B01G0008330 transcript:Dexi8B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSPFVYGFKCIVIGDTGVGKSCLLLQFTDKRFRPAHDLTIGVEYGVRIIPVDGKPTKVQIWDTAGQEAFRSITRSYYRGAASAVLVYDITRRETFNHVTSWLEDAKQLASPHLTILLVGNKCDLDNRRVVSYEEGERFAMEHGMAFMEASAKTAQNVEELSVYVEPLFRNAAFHRHSLQPQEL >Dexi3B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:3B:12068754:12070770:1 gene:Dexi3B01G0016590 transcript:Dexi3B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMRLAIPPPAAATAAPPRLRVRAAGNGGSLPAARVALRTETGALRGCASLPLKPQPLGTGAGQPSRRRGAAAVCHSSAYLSAQTMQWISAGACAFLFEKCQSLVFCRVLWLAKGTAIHKSFLVPFFALQVPGSIISWIKGEYGQWTAFLALLVRLFFFIPGRVTNTIHKIIFMSNCLYLHLTIIGELELPLSTMLLVSVAPDQLMNL >Dexi1B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:1B:9062222:9063064:1 gene:Dexi1B01G0009720 transcript:Dexi1B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLIRIVRIPCGQVYHSSFVDDDGITKACGCPLLPLKTHIKGPAPASDPDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPVSQEEAELLRNYLKQIREETSGRLLNCAYRANGFPNKWWLAFAKRKFMNIVIL >Dexi8A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:8A:8697076:8697696:1 gene:Dexi8A01G0007640 transcript:Dexi8A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLDNAAGVAAVGEVAAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAAHRLRGGEARLNFPALAGHFRRPATAEPDDVRAAALEAAAQIRFRPDLVAMRHHTPAIAGGESYSDSCSSDGVGSPEVRLAGDEVDWDVLLGADEYLAPESPKMWAELAEAMLMAPPVWEGNVAENDEWAQGNLWDLPVWHC >Dexi2B01G0032610.1:cds pep primary_assembly:Fonio_CM05836:2B:40486179:40490474:-1 gene:Dexi2B01G0032610 transcript:Dexi2B01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFALFLLLLSGGAAGDDVAALLEFKKGIADRDRDPVLGSWSPPATTEAGNGGGGCPAAWRGVVCDGGAVVGVALDGLGLSGELKLVTLAGMRALQNLSLAGNAFSGRLPPAIGSLSSLRHLDLSGNRFYGPMPGRLADLSGLVHLNLSHNNFSSGFPTDGIRQLQNLRRIDVRNNSFWGNAGDLLTQLRNAEHIDLSDNLFTGSIDLELDNLTSIGNTVKYLNLSHNKLDGGFFRNETVGAFKNLAVLDLSNNGLGGTVPRLDAWFSLEIFRVAGNGLFGMMPEALLQNSMRLVEVDLSRNGFSGSLPVVNSTTLKVLNLSSNVLSGSLPTTVGKCTSVDLSGNLFSGELAILRSWDGIVEVIDLSSNKLEGSYPNDAAQFQNLASLKLRNNSLSGSLPSVLGTYQKLSVLDLSLNALEGPVLPTFFMSPTLAVLNLSGNRFSGTIPFQSTHSTESILLSSQPALKVVDLSSNSLSGPLPPDISNLQKLEFLILAMNELSGEIPNEISKLQALEYLDLSHNHLTGTIPDMPQNGLNIFNVSYNNLQGTVPKSVEKFPLSCFRPGNDMLVFPDGLPAGNDDYSGVSQSRTSHGHKAVRVALIVGCIGAVLLVIFIALAFYVVRSQELCGRNGFRGQITTIRDLKGRISRPNLFKSPKDNVIPSKTSFSNDYLLTAAARSMSAQKELLAEAAVEYGYTDPKEVAESTSSGLAETSAAIQARESSPRAALPTSPRFADSRFHEEPVAFEVYSPDRLVGELIFMDSTLIFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTISHPNIVSWRAFYWGPKEQERLIISDYVYGDSLALYLYESTPRRYSRLSVSQRLRITIDLARCLQFLHHEKGLPHGNLKPTNIFLAGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTAKPAPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDDLCSITV >Dexi3B01G0023090.1:cds pep primary_assembly:Fonio_CM05836:3B:17858743:17861110:-1 gene:Dexi3B01G0023090 transcript:Dexi3B01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATTAMTIDFLRARLLSERSVSRAAKERADHLARRPRTLKVTELEEQLRTVTAQRRKAERAAAEVLAILDSQGFGRLSDAADDSCTEDEVDTADPDAAAAAGGNAAEDALSGSELGAQAAAAQAGGLSWKGRAGAASHDCERRRPLQQQKGRQLRQRHGHGHRRGYLYARAADSSPKYHPGHSCRKIKRKELRSQAEGEEGKNIVAESAEDGQERSDCTVCTDEQPDFDGEVSKDGRGSSGNGGLSDDGDRFAMVYEKDGEMERVLEKQAELIGQYEAEENAQREWEKKFSETRDSTTDNVNLNNKLNQARNPHGRSETAQLVDKEVFSEHARSSEENLHVINNPSEYQPKGFVLELPQNVAKDSVFEHCKVDGSGHGFVATTATVASSYGELQVRKDVLTTKSYLEGSGNNLGKSASPPQGSCDIILNVICDKGQGDENSDSGSSYHVNGRSSERYVNASSVGSPLSDTPKSEVSEWSSSCFHNHTDNQLETQLHQPSCDDVGAVLEALQRARMSLRAKLSRPSPPSQNILALQGPDDSPVSNMQLSICGANPLHPEVLAVQARNNVKVPAGPAGLFRLPTDSFPKNEMVSSEGKNEMVS >Dexi3B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:3B:4787961:4789732:-1 gene:Dexi3B01G0006870 transcript:Dexi3B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRATAPEADVMEQYAEADKERRQEEKLKNASLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGTVVIVMSFVITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGDRLGLWIIVPQQLIVEVGTDIVYMVTGGQCLRKFHDLVCHGRCPNIRLTYWIMIFGSVHFPLSQFPNFNSISAVSAAAAVMSLTYSMIAFFASVVKGTTQSTAIAVDYGLKASTSTGKAFGVLNALGAVAFAYAGHNVVLEIQATIPSSPETPSKKPMWRGVVVAYAIVALCYFCVAFGGYYAFGNSVEPNVLISLERPRWLIAAANLMVVVHVVGSYQVYAMPVFDMIETVLVKKHKFTPGIRLRLIARSAYVAATMFIGMTFPFFDGLLGFFGGFGFAPTTYYIPCIIWLMLRKPRKYSISWNINIICIVIGVVLTLISPIGGMRQIILDAKSFKLYS >Dexi1A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:1A:6132172:6133489:1 gene:Dexi1A01G0007970 transcript:Dexi1A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVVVALLLLATSPAFMASASSPVPAAAGGSPSVPAGPLDIVQLGAKGDGKSDATQAILKAWKNACDATGTQKITIPAGNFLTGALELAGPCKSSIIIRLDGNLLGTGDLNAYKKNWIEIQNVDNLSINGHGTIDGQGPLVWKNNECQRSYNCKILPNSLVLDFVKNAQIRGITLLNSKFFHMNIFSSQNVLVDKVTIKAPGDSPNTDGIHIGDSTNVTITGTTIGVGDDCISIGPGSKMIRVHGVHCGPGHGISVGSLGRYKDEKDVEDVKVTDCTLVGTSNGLRIKSYEDSKSSPKASKFLYEGVTMDNVSYPIIIDQKYCPNNICVKSGASKVAVSDVIFRNIHGTSNTPEAVTLSCANNLPCQGVQLVNVDIKYNKSNNKTMAVCKNVAGKSIGVAKELACL >Dexi4B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:4B:6086269:6092030:-1 gene:Dexi4B01G0008440 transcript:Dexi4B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHATGIAGKRTAPYGSWESPISATAVSAAGRTAEGLAVAGDGRLVWVETRPEEGGRAVLVKEPAESGGKARDVTPQGFTVRSLAQEYGGGAFAVQGDIVVFSNYTDQRLYKQTIGDNSPQPLTPDYAGSVVRYADGVFDPHFHRFVTIMEDHRHNSSNPITTVAAIRISDQAAEEPTMVVSGSDFYAFPRVDPTKKRMAWIEWSNPNMSWDKSQLWVGYFNEKGEVQKRVCVAGGDPALVESPTEPKWSSKGELFFITDRRSGFWNIYKWDEQSNVATILYSLDAEFSKPMWIFGVSSYDFLGKDDSSHKIISCYRQNGKSYVGVLDHDSGTFSNIDIPFTSVNNIVSGDGFFYIEGASASLPVSIAKVTLDAKRTMATDFSIVWSSSEDVGKFKSYFSLPEFVEFPTVIPGGPTDEARGVLDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLGQWGVVDVNDCCSCATFLIADLASLRAGMHKFEAYYIDNLVGNKQAYFERSPINFVDKFSCPVILFQGLEDTVVSSDQATKIYNAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQEMVFFARLVGKFKVADDITPIKIENFD >Dexi8A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:8A:23124809:23130275:1 gene:Dexi8A01G0013110 transcript:Dexi8A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPELDGEGARAERSAGGAGDTRLRCQQRRDPAKKRSTGRLDGGVGFLPQGRQSVDARVSPEHCVG >Dexi3B01G0024130.1:cds pep primary_assembly:Fonio_CM05836:3B:18803847:18806804:-1 gene:Dexi3B01G0024130 transcript:Dexi3B01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRPGNGELDAWFKSLMVSSSSQRGQAETGGPLPTLSGWKDLPVELLLRIISNVGDDRMVIVASGVCTGWRDALGWGVTSLSLSWCKQNMNNLMISLAPKFTKLQVLTLRQNKPQLEDSAVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPRLTRLNISGCSNFSDTALTYLTCRCKNLKRLNLCGCVKAASDRALQAIAQNCGQLQSLNLGWCDGVTDKGVTSLASGCPDLRAVDLCGCVLITDESVVALANGCPHLRSLGLYFCQNITDRAMYSLANSRVKSKRGRWDAVKDGLANLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRGRALMPSHAY >Dexi6A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:6A:24533294:24534761:1 gene:Dexi6A01G0016640 transcript:Dexi6A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMELDGGMDALPDGVVQHILSRLSNARDVAACGAVARCWRGCVPYLPSLYFPRGAFESSRGGGAAAADDTIGRMVAAAERLEELVVYSPFSASSLPGWLAACAATLRVLELRVDAAADKSGHLDSIAVAAGLEELRLWGLTMWRPPAWGRMDRLRVLEVVGAVFGEAAVNGAVAACPNLTDLALLGCECSGEAAISLPLLERCRLDFGGGNCSLRLAAPRVESLEVQGFSWVSLQGGDRLKHLTISKNTGSVYHVEMGKLPELDKLSLRGVQWSWGAISSVLQCATEVKHLVMKVEFCGDFETLQPFPEIDLVEFFNSHPKLCKFEIHGAMFAALCQKNSLKNLDSRFVIPCLEHVLITVRSPLNAEQKLSTLESLVRYCVRLRRMIIRISQMKNCHESSDDFFGEICKFTYLNSGRVCIE >Dexi7B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:7B:9364814:9365657:-1 gene:Dexi7B01G0003780 transcript:Dexi7B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDIYCVGFQNGASQSEDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKIKDDKTGATYTVNSHNISSGWRFHWHKSLVLLLVTVVSSYLIW >Dexi2B01G0029260.1:cds pep primary_assembly:Fonio_CM05836:2B:37709977:37711301:-1 gene:Dexi2B01G0029260 transcript:Dexi2B01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARTVKAAAAAAGALLAAAGVRFLGPAAAAFVEEELPRARAAAATWLTPPYLYLVINAIIISIAASSRFQPSTGGGARPLAPSYPSPAAAATRGGVAEEEEMEQDGIQPVVALQVPAPVVAMPVPALQAVEVAAAEEPVVEMNAEAVAPAPALVDEDEEFSISRSTWTPRRRGVEPEVEADAESEVAPFADLTNSREKPLVSARFNRKAAKPSPEGSRALRVARPRKEETLESTWKAITEGRGPPLARHLKKSDTWDTRPGRRPSGGAGSGEVDPAAAAVPAGTMRKAETFNDAGRSKAAPAAPVRREPSLGQDELNRRVEAFIHKFNMEMRLQRQESLKQYSDMLGRGSQY >Dexi3A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:3A:3230141:3233354:1 gene:Dexi3A01G0004980 transcript:Dexi3A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSASTAAAAHRRRSRRKAPPPRQPWCCSFGLDPTTAASAAASRSPLPAPRRAKPPHQLAPPLSRRIRSPGRVSPIYDPSFAAAAGSCVSARLSSVTECPPPALPPPPPSPSAAAVEEPRATLVMSLVEKGVVLEVDEVERVRRQSKVVRTVIGGRGGEVAVEGNVEVESFREAVEMMLEDEDETAVMRRLACGGVARAIGILEVSLSLMFDRGVNNCLKYLEAVPWNESEEDIIKKLLSQYPSYGAAFRNLLARLQPQRPTSSAELVVELIDSITKGTNNNARKELRNLVNGMLSKSSVYIKGDKELDKTSIYCICHSCLNSLVGLFEESSDLALADETSISSVGKGPLERIYKLVDDTNWLLQILIDRQMGEEFADLWANEKTLSSMHERVSPMVRHELSRISATIFIAMGSGKLHCTRDKRFSFFQAWFRPMLVDFGWLRKYPKGLNVTTLEEGIGQALLTLTLVQQQVLFMEWFEAFSGQGRECPNLMRAFQVWWRRSFVRSIGSSS >Dexi3A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:3A:9677399:9678966:-1 gene:Dexi3A01G0013290 transcript:Dexi3A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRVTADDGAGAGAGRPRLFAVPRLLVGLGAAPPDCDSPTAARSPTSPLDLRAPFAALGGSMLRSPRSPRSWESHRLGLGGLVDDALLAEPAAGAARNRLLGPQMRQPFKLPQRLTTKSFTTQPRACGQAASSPVVGNVETAAGASAKPVACSRSYGDVKSGPEVTVPGGAQPGASSHPADLGKFMASGSLPASIGGPRRYVGSVSATEVEQSEDYTCVIEHGPNPKTTRIFGDCILEPCTVRVSDGESMDTMEVKEGAESYWLVKCFDAGQAGDEFLSSCTSCKKKLDGSDSCMCRGGKALSSGNCGDNNEILTEEEENSTGVSSLSSAGSASSFNDDIFMAEMVVLAAPVDAHLL >Dexi6A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:6A:27534686:27534952:-1 gene:Dexi6A01G0019910 transcript:Dexi6A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFFVAKWSCRAYSGGRPSWAGGRTCMGVARTSMPAKAAQSSACVGQVGDELRPGSEAHRRRRALVQVSRPGMRVRTEEDKALLAQH >Dexi2B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:2B:26801901:26802929:1 gene:Dexi2B01G0016600 transcript:Dexi2B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFAAGLLELPFPSSSSSSDEFDDAETLPPPLVLELEATSHTTQQQVQQHLKLQRWLDLERDCNLAMKALARVGDVDQVVDLFAELTLSAKSAGWAPSVLCYNTLLNALAWGVLD >Dexi4A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:4A:1525396:1527970:-1 gene:Dexi4A01G0002220 transcript:Dexi4A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVAAATPPLRALPLLIHLSPRLPPPIPRPPRFIMNPSSSSSSSFSSSSDGYQSAASPHPRGRGRGGGGGGRRGGRGGRDGRDQIDASGSSSPTGGYHSVTSAFSSLQLSDDAGTQRRGGGGWRGGRGGSERIDAFGSSSFSTSAGYHSSDASGSSSFSTSAGYHSSASDSAPPQPRGGGRGGRGGGGRGERIYAPGSSSSSTSAGYHSTAATFAYPQPRGGGRSGWRGRRGGGRGGRSDGDANDRIAALGRLLSRVLRHMAAELGLDMRTDGYVRVRDLLRLNVRSYVEVPLKSHTVDEIREAVRWDNKGRFSLLEEDGELLIRANQGHSVTLVTSESLLKPILSADEVSVCVHGTYRKYLSSILQSGLKRMARLHVHFSSGLPSHGEVKSGMFCPFLKNISNEYVLVENGIRHDVNILIHLDVRKALHDGMKLYISDNKVILTEGFDGIVPVKYFEKIETWPKRTLVPFEK >Dexi3A01G0024800.1:cds pep primary_assembly:Fonio_CM05836:3A:20403509:20408368:-1 gene:Dexi3A01G0024800 transcript:Dexi3A01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHNHLNPGINKDAWTQEEEIKLIHAHQTYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKVDSYRASGLLAQFQGLTPVEYTAGGLNADSSSAMTNQISEDSGFDVFREVDDSTELSQSSLAKGSCSQEEPTDVALGSHLNVHESLRRDGFTNANNAASALPAMHHQLPTSDMDQDKHLQEEFSQGIDLDKDLQEEFSQGINLDKNLQQEFSQGMDLHLDIDEVQNNFVITDSQASNELADLETTTCHNASGDPDQNSYMSSDDDRNKISEPMDCIPESEKRQLVDLDQSCLEPTAYSGKEASLSHVDNLIASSDLPEYSPLGIRELMRSSLNFPTPHSSGILTESSVDNTPKHGPNYESHRLNTGAKALSNSKDIIFLRSKASEFLVEKSTPFTDADYEYVNILADTPGIKRGLESPSAWKSPLFTPFQDAYFMSPASRAFDALGLVKQINEQSAAVLEEAHEVLASGSPWKRHSKENSDNENIENTAWKNELVTSKPSSKLMAEGRVLDFNECSTPERKKEDKKMDIALGGSASYPSSYLRMNVR >Dexi3A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:3A:2702327:2707429:1 gene:Dexi3A01G0004100 transcript:Dexi3A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPRACGSVSSPPVSYKDHPCRSMNPYFVGLLVPVAFSLLIHKRRKAERKRGVRVEVGGEPGYAVRNYRFEQPVETHWEGVFTLAELFEQSCKQYVYLPLLGTRSLISRETESAPGGRSFEKLHLGEYEWKCYAEAFKSVCDFSSGLIRLGHQKNERVAIFAETRAEWQVALQTEVTTVICGHKELKKLIDISGQLDTVKRVVYINEEGISDEVSLARNSTSWVIEAFDEVGRLGTEAPVEANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPALGCKDIYLAYLPLAHILELAAEALMAAVGASIGYGSPLTLTDTSSKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDAKGGVAKKLFDIGYNRRLAAINGSWLGAWGLEKLLWDTLVFGKVRAILGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMVHADPFHNYCVALVVVAHNELESWASQQGIKYSDFTDLCQKQEAVKEVLGSLAKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIRKTYENDLAQLYA >Dexi2B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:2B:27836501:27840186:-1 gene:Dexi2B01G0017420 transcript:Dexi2B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHLTGPRVAVAAKPVGGLRLPTPSIAVPTGRRRARELVVRAATVVSPKYTSIKPLGDRVLVKIKTSEAKTDGGILLPVSVQTRPQGGEVVAVGEGRSFGSNSIEISVPVGAQVVYSKYAGTELEFNDADHLILKEDDIIGILDSDDVKDLKPLNDRILIKVAEAEQQTAGGLLLTQANKEKPSVGTVIAVGPGPLGEDGSRKSLSITPGSNVMYTKYAGSEFKGAEGDYIVLRASDVMAVLS >DexiUA01G0012240.1:cds pep primary_assembly:Fonio_CM05836:UA:24595531:24599953:-1 gene:DexiUA01G0012240 transcript:DexiUA01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPTGKWRQPSPASSHESSSSNDEEDEDGYGSVEVTPIPPKRRRTEEEDDPTYTPEKEDEHDEKMRGKVPVRAQALQPEQPPPIVPLARRAMTSMGDTMAKWTARVAKIHHWHLVRDHVPITYRDWRQVPEHLKTAVAPGARCAGRSSAATWPASGAGGAELAEPRRTLALPSLRRPRWVLARPRLLMGWADSGIVFGHGYASATAW >Dexi2A01G0022970.1:cds pep primary_assembly:Fonio_CM05836:2A:34733164:34737826:-1 gene:Dexi2A01G0022970 transcript:Dexi2A01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRREGGVLGGGQPAVRATATSSVEGAAAEDGRKPSIWDTFVHDGYSIDNATGDVTADQYHKYKEDVKLLHEMGVDAYRMSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLNYEPYIVAHHLLLAHASAVSVYREKYQADQGGRIGLTLLGWWNEPATETPDDIEAAERMNDFHIGWLDPLLISRSFLKPNSQFLFGLTNDFMKSAPWALKKLLKHLRVKYKNPAVMIHENGNMGKMIHQNTTFLRNG >Dexi2B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:2B:7541448:7542860:-1 gene:Dexi2B01G0007190 transcript:Dexi2B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAWRPRRRKASPSAADGDAADNGAEGGRAPPKGQAAAAEGGGGFFCCYLLRSLCPRSKSRTYIGFTVNPRRRIRQHNGEIASGAWRTRRGRPWEMVLCIYGFPTNVAALQFEWAWQHPIESLAVRKAAVEFKSLGGIGNKVKLAYTMLNLPSWENLNLTVNFFSSKNTKFTAGCPALPSQMKTVVCAMEDLQCSTEGPSSEEDDFSQEEPQEQQELSDSPLRDEHSEHYWQRPSSDEDDHSEQQRLSSVEAQPMGGLTGIAGSDVGEDSTDEFAPRKWSEILDTSTELDEPRTSPRCSLSLSGEDCGTAMEDELRGLSPMLTFGAGSDDSDHGYILHGTDVVDLITPTPVGRLRRRGCVDSICPKIIDLTSSPVVIQL >Dexi4B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:4B:21865049:21866365:-1 gene:Dexi4B01G0019680 transcript:Dexi4B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAANLTYEARCANVFKIIRFTAAMAKPGLAGARVCAVGGHDWLIELHLKVSNPNLFVGGAGAGADWIMLRVHLSSQGSPHGVAARISWRLIDPGSPPSPEKTATSSMFYENCPQDVFLVTRSELELSRRRRPGDGDCVLLQCNLTVVLDPKDVTVTAAAMAKPKLSVTVPSPDLHRQLGELLRSEKGADVTFLVAGECIPAHRSVLAARSPVFMAELLGDMKEKAPAARVVVDDMEPEVFRTLLRFVYTDTVPELEEEEGEEVTLMAQHLLEAADRYGMERLKKICVEKVSNGISLDTVATTLALAEQHGCSQLKSRCMGFILATPENYRGVAETEGYKHLEASCPSVVAELLELKVKKAFIDKLRRHHSTMYQ >DexiUA01G0004160.1:cds pep primary_assembly:Fonio_CM05836:UA:8190779:8198561:-1 gene:DexiUA01G0004160 transcript:DexiUA01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAASLPSNAPYSSANSPCHHALCSGSRRNFDSGVIFGVDDLDDGTNGGHWEEETEPKAEAEAAEYGNQSNHDQKMRIPRGIRDALRLQQLDHEDWQGRLERKKAAPPLPVEASSRFGGACTGFGGLRMVQVHAVPSLAVKDNSTPTAAPPARCLSSPLPLTAPPLPLPSTSMVGWRFNFAAAEAFGLRTGVTHSPMPESFRQEAACASRTQQGPGGAHPCVRIHGGSASRAGGLVPPSCVFVMTEPKLEDIPIVCKFPDVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPAELAEMKTQLQELLDKGFIRPSTSPWGCPAIFVEKKDHTLRMCIDYRPLNAVTIKNKYPLPRIDVLFDQLAGAKIFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMPELDKFVVVFIDDILVYSKNEEEHAEHLRIVLQRLRDHKLYAKFSKCEFWLDSVKFLGHTVSKDGISVDPTKVQQVMDWKPRTSVHEIRSFLGLAGYYRRFIPDFSRVAKPMTELLKKGQKFVWDGKCEEAFRTLRKLLTSAPVLTQPDSTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHELNYPTHDLELAAVIHALKIWRHYLIGVPCNVYTDHKSLKYVFTQANLNMRQRRWLELAKDYDMSLHYHPGKANVVVDALSRKSHCHCLSVESYADTLCHELAKLNLEIVPNGYLNNISLESTLHDEIFLAQQTDKKVGIIREELAKGTEERYNCFCQDARGMIWFGNRIVVPKDPELRKKILSEAHHSKFSIHPGSNKMYQDMKKTFWWTKMKMDIARFVSECDTCRRVKASHLKAAGTLQPLSIPSWKWEDISMDFIVGLPKTVRGHDSIWVIVDRLTKTAHFIPVNTTYAVKKYAEIYLDQIVRLHGVPRTIFVARFWEQLHASLGTKLIRSSAYHPQTDGQTERPGEREIYGPNLVIEAEEQVRVIRENLKAAQSRQKSYADRRRMPLKFAVGDHVYLKVSPTRGVQRFGVKGKLAPRYVGPYEIIEKCGSVAYRLRLPDKLSASWNKKTWNSPTWTVEVHGHHMETTCELAAMNGISSFVTQNQELVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAESPVAAAALMMRWMRAYHRLQALLSRSQNELLRTAMDMSTRARDLGVERTSLSIEVTTRDAMIADLERQLNDLQIAHNNTQNELAQTRDELEEVHGTLQHANAMLAAHDAQHLFDEQGGDIDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi8A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:8A:24219846:24224131:-1 gene:Dexi8A01G0013940 transcript:Dexi8A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYYLPRAPAIPEHCIAWHAAGAPWVSWDTYVVQPVRRRSRNNGTGLRVYTHLPQKHEARNSSDREASSRGSIAAFAAYRPPLPWDIFSCPISPSSESEKEINLTDGFSYNYNCRSIPAVALKALIAKKPELALECGASADDVDKGRAIGLVFVSEREGGLETLHVGLRCDDKVKVLSLADIYGADNFGGVRMEDTGGFGTSFVGHYADPSIIYVSTKSMAGMRRTAWTAVYRTNLRTGETKRLTPQGKSDLSPAVSPSGMRVAVASLQSGWSGEIEHLKTDIILMNVDRYASGGSLDRKPIIDDGGWPSWGSDNVIFFHRGVDKVDDQKHSGRTTTTWGVFRYDLTTMQIDQVTPMELDAMTPAAISETKVAVATIRLRTNQVTGQREEAHYRHIEIFDVSSPGQPPVKITQNIFPKADYYSPFVFDGGDRIGYHRVRRHQMIEKDDNGNSTVPRTFDNLQSPDNDVRLFRVSGVLPSFSGDGSKLAFVDNEFRGIWLADKQGLRMVYKRKDSASIYSVVWSQNPDMDTLYVCVGFSFANNRLDIYAIYNVSATTTGRRTFMRLTTGGFSSFPSTNPEGTKIVYQSTATDHGKADGLIKHKNLYIMEDAQTGGSLVTRLTNGPWIDTQCQWSPRGNWIVFSSTRHKPAAAPELDVSGLDPGHFAIYLVDAADPTVVVRVVTSGDPSPGPRSIVGHVNHPVFSPDGRSIAFSSDIAAVSVEPISMPVFAHSVRPYGDIFCIDIDVDDIYMNMDIGRIHRVTHSRYVYSPQAWTKVAADDPDAQWSMQVTMDSSKGTATATVIDRAACPYVQADGGESWHMTGHLIVRRRCC >Dexi9B01G0038020.1:cds pep primary_assembly:Fonio_CM05836:9B:39248222:39249532:-1 gene:Dexi9B01G0038020 transcript:Dexi9B01G0038020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRRAGASAAGAASSSKKPRASAAASYAESLRSKLRPDASILATLRSLASASSSKSKAAEKSLADHDPSADPTASYIVVADQDTTSVTSRINRLVLAAARSILSGRGFSFAVPSRASSNQVYLPDLDRIVLLRRESARPFANVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPQQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELEMMLRTKQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >Dexi6B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:6B:5501705:5502427:1 gene:Dexi6B01G0005910 transcript:Dexi6B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLWSTKLKGGMGFDEPEWLNTSFLFYDFVNDDDKSDDTMRLVRVRVRDVLDTAKLGYTYSEPDKTTPGYKDWMDYKPTRRLPEPIAAAAAAATTAAKNEFLLELKVGEAVVVPSVTRPARDESSSGGGMMREGEEVLVIDSIDFDPGSTTKLDVAINAAKESAAEVGPQYAEYAGSFASVQAAKEKPGDRRVAKLAVPIDDVLADLGVAAGVPVSVVIVPRAGDVKIRSAPRIEIQYC >Dexi2B01G0022070.1:cds pep primary_assembly:Fonio_CM05836:2B:31769682:31770357:1 gene:Dexi2B01G0022070 transcript:Dexi2B01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDSSVHVLLISYPSQGHINPLLQLGKRLAAHRGVRCTLAVTRFILAQSSKPQTSAVHSAGSAPVDELLRDEAAQGRPVRAVVYDAFLTWACAVNVAYAHAWAGRVDLPVAPGRAPPELPGLSVGLGLADFPTFLTEQDGGCRAHVDLMTQQCQGFEDADHVLINSFYELEIKSSRQ >Dexi6A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:6A:3181163:3184145:1 gene:Dexi6A01G0003560 transcript:Dexi6A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNWGLSSGHPRPFGDRRWLLPFLASLLVTATLLLAAACGLFTPPYPTGGGGGDAILFDVASFADWDDGSSSGDVEAGIKERLLNNNNNNNNNNASEDGDENPDDAAVNSDDSDAEPPRIAYLLEGTKGDGLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLEWDWFINLSASDYPLMTQDGSAWIMLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSDDFRGTAVAHDLHYIAWDYPPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDRIDRELLHRSEGQFTPGAWCDGSSEGGADPCLVRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLAYDQTKRDWYVPKSKG >Dexi4B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:4B:4158305:4158616:-1 gene:Dexi4B01G0005890 transcript:Dexi4B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHCAGRRPGASSSSAAWEDYSSVLDPLIDSGVFDMRDGSITPLLPATVAKPTTLGKNLFRARPAWFFQMEVL >Dexi7A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:7A:22022373:22022832:1 gene:Dexi7A01G0011600 transcript:Dexi7A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSRRRRPAHGHRHVVAPLLERRARRHLAELRHGVGAARREPRPLYDARHRAGPPVRGHGQEIRGAPGLVGPEPAAAAAAIVLVLEGDEVDVQLVLVVVRHQCAPPRGAQADGAAAVKAKLSAASWRVTGACMGCIVESRAMLKLWL >Dexi2A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:2A:28213158:28217500:1 gene:Dexi2A01G0016530 transcript:Dexi2A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHKPVGAITADDLATAAPGADAAALHAALRRALGAGAADPGAVWGELCRSLLRPDVPFAVHRMLYYGCFAGFPSPTPPAWTPDPEEAAVTNVGRVMEARGRELLGEAYKDPITSFPDLYKFSNDNPELDRWFHEQAYWKMVFGDMGVEFSVVPSCIWRESDVYPGGEWLPGAELNAAANCLSPRPGRSSEDVAIVWRDEGKDSEPLNFMTLEELRKKVCLVANALDALSLPKGSAIAIDMPMTVNAVVIYLAIVLAGYVVVSIADSFAAPAISTRLKISETKAIFTQDYILRDDKELPLYGVVEANAPMAIVIPFPTFSYSGEPKAIPWTHITPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASIALYNGSPNSSGFAKFVQDAKVTMLGLVPSIARTWKNTDCTAGFDWSTIRCFSSSGETSSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVSGSLLQPQALSAFSTPAMGCSLFILDSSGNPMPQDSVGIGELALDPVLFGSSTTLLNADHQEVYFNGMPEWNGKVLRRHGDEFERTCDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPIGGGPEQLTIAVVLKDQSSQVEDLNQLKLAFNAALKKLNPLFKVYSVAVVPSLPRTASNKVMRRVLRKEFTQAAQAKKSKI >Dexi9B01G0026720.1:cds pep primary_assembly:Fonio_CM05836:9B:28898626:28900398:-1 gene:Dexi9B01G0026720 transcript:Dexi9B01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADGNGEVELRLDIRKLGIESSRDVFVDVDDTSLLIRAKSDGTLKTLMNVQTLFDRIKSSETIWFIDEDQLEVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINETVAKEIAEGIGYLPVCTSELLESATEKSIDTWVASEGVDSVADAESVVLESLSSHVRTVVATLGAKQGAASRFDRWQYLHSGFTVWLSVSDASDEATAREEAQKSVSSGSVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGTFLTSSLVACYTSSASFHLR >DexiUA01G0025790.1:cds pep primary_assembly:Fonio_CM05836:UA:54303185:54306677:1 gene:DexiUA01G0025790 transcript:DexiUA01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGDGNSSAFLDYPELVKMGCGPSIPKKYTIGGKGRKRKSIIQEVAVFVPTVRIPVASDIVHPLRGIVSKDLVDRLSTLRAHVVELAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESRLESSVQFRWRTLDDDEECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNCQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLFFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSDSKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEQNKNSVTPRDDVVLSPQTVQVKGENGHLVITPDGNVTFNGKQYNLTPAQREQAKDYQADLRTALPWIDEGALTRVEKSRVALDKIITKEVGESSNMRTRLTKLDKQLKEQMNRIIEHRSDGLTFHYKAIDQVRADGQQLVNQAMGGILQDSINEMGAKAVLKGGGNPLQGVLGSLGGLQTSIQNEWKNQEDDFQQFGKDVCKRVVSLEDSRKALVGTLK >Dexi5A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:5A:432224:433662:1 gene:Dexi5A01G0000640 transcript:Dexi5A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWKKVLPSLNKIFDKDGKKAAAAEFFKSFNKEEIDKETEDKKTELEPKVVEAYEASPPEVKALFKDSKPVKISKKNSAAATKFLDELAKIDFPGAKLVSDAVAKSGTTPLSPAITFILDKVAPFIPKEEPKAEPEPAAEAAAEATSREVAVEEAEAAAPATEEAAPAAETSEAVAEEKPAEAEAAAAPPAEEEKK >Dexi4A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:4A:5931638:5933564:1 gene:Dexi4A01G0007880 transcript:Dexi4A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGTGITKRPSQQCLDDARRPEAQEGTVEQDTRPWIPRKKVTTLAICLVALPVLLMTTVSRRDSPWTMPASFWQLATTSTRQEKLLGGLLVRGFDERSCLSRYNSAFYRKKMARSPSAHLIKRLREHEALQRRCGPGTEAYMAAAARLRSWHPNGTNHRDYTHVSCKYLVLVPYRGLGNNILAMASAFLYALLTDRVLLHDRTTSLGDIFCEPFQGASWLLPHHFPVKNLQNLTGNVQESYGHLVQRNDPAASVSVRRYVFVDLDHSCTYHDKLFFCDDHRRFLDRATWLLMRTDGYFVPALFLNPTYQEELDRLFPQKDAVFYLLAHYLFHPTNKVWGLITRFHDSYMRGSDERLGIQVRVFDGDTPFQHILDQILACTSREHLLPEVVTQEPPHPSTTSRARSKAVLMTGLSSWYYENIRWKYWQSATATGEAVSVYQPSHEEHQLSGYTTHDMKALAEMYLLSMTDKIVTSGWSTFGYVGHGLGGLTPWIMFRPENHTAPDPPCRRAKSMEPCMHGPPFYDCRAKQGADTGKLVPHVQHCEDMSWGLKLVHPE >Dexi3A01G0028960.1:cds pep primary_assembly:Fonio_CM05836:3A:30129399:30130735:1 gene:Dexi3A01G0028960 transcript:Dexi3A01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEGAGGAAAKKPKPSPAPAIAVLGDNLLGEILLRLPDMASLASAALACKVWHRVASHPAIFRRFDALRRPPLDLPAVDSDAEEEGYDWHEWRLRGCDGGRLLLSRGRDGMDLAVYDPIARTAVFLRPENVFGAWTHSARYAIVVDESDGSFLVIGFGFSFRAAVFSSRTGTWVNIDVEKIKMEKSNVKHEEEDEDVYLEEYEDETFVNLHSFYSDGMTAGRIGGWVLKKDFSLLNEWMKKIRRAEWMKRVHVLAARAGYVYMEFWSIRKANSYFLVLNLRTMDGSVS >Dexi6A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:6A:2627936:2629447:-1 gene:Dexi6A01G0002830 transcript:Dexi6A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHRRTSMATELEGTLLISGSLFPYFLLVALEAGGPLRALALLAVYPLAALLGAAFFSDELPLLAMTFLSTAGLPAADVAAVARATLPRFFLADLRATAFRAMARNATGERLVVTRLPRLLAEPFVREFLGDDVILSGAELRVFGTRLTGTVTSPPGIAGTLVAVLRGRDKIVDVGLCCAGDQPEFLLLCQERRVVSSPEKTPSPATPLPRSEYPKPLVFHDGRLVRRPEPVTCLAILLWVPLGVVLSVTRLLLGFLPHGAGLLIAAATGFQIRADLAGAASPATRGGHRRRRGTLFACNHQTLMDPVILSTVLRRKVTAVTYSLSSFSELIAPIPTVRLTRDRRRDSSIMEAELTRGDLVVCPEGTTCREAYLLRFSPLFAEIAAGGDVTPTAVRAGGEMFHGSTVRGYKWLDSVFFLMNPSPWYEIRLLVPVATAGDGGDGGVSSRDVANSVQKMIGDELGFECTGLTRRDKYRMIAGHDGVDARSSPALERKEDARNA >Dexi4A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:4A:11221305:11221967:1 gene:Dexi4A01G0012580 transcript:Dexi4A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGTRLYSLLGLLLLLALAYLSLPDSGPSGGGGGPGGLKLPVPWLQPRLSFAGRAGTHFVDAETGAPLYVNGWNSYWLLSSRSPALAAEMLRRGRRMGLTVCRTWAFSDGGPGALQISPGRFSEPVFQESFGTLIIKRTN >Dexi2A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:2A:9793326:9797490:-1 gene:Dexi2A01G0009300 transcript:Dexi2A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGGGRIPVPPPGASALVKVAVFGGAAVYAAMNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPERLPHIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARFFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTIASSANKVFLDSNDLLLNLQQLNVSKKK >Dexi8A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:8A:8728989:8738304:-1 gene:Dexi8A01G0007680 transcript:Dexi8A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRLVLVSVLLDISLKKLQKDDFLQIAVIDPMKKRTAKSGQGVPLGGIGYTFFPSRDLCFRSSAVKFYLLIVGTVVQEVLEEATQVTSNVGNCFQEHVKINLYWQISFLPSFPAKMVENIPQCCTLGNQIYRNPFKCRGSDISGIGSWDWNMSGEHSTYHALYPRAWTVYNGEPDSELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTTADVTLLFTWANSVGGNSEFTGYHSNSIMITAEGQPPVTFAIAAQEKEHIHISECPYFVISGSSDEFTAKDMWSSVKEYKNLTTSFPSWQHGSFDHLDPIKTPMCSKQGSSIGAAIAASLKVAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAANLAHDAILEHTSWERQIEEWQDPILRDERFPAWYSVTLFNELYYLNAGGTIWTDGLPPIQSLTTIGGKKFSLDMLNDDDVNEMIEQNNTASDILHRMASILERMHASIASNSAIGTTLLHGEENIGQFLYLEGIEYNMWNTYDVHFYASFSLVMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDPGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKMSTPIQADQLAGQWYANACGLFPVVDKDKSQSALEKIYSFNVMKFKDGRRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEFRSLCYMRPLAIWAIQWALSNPKLHNTPQTDMPQDSFPKTQVSYARIAKLLQLPEDESSKSFVRVVYEIVRNRFRS >Dexi6B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:6B:22898427:22900516:1 gene:Dexi6B01G0015600 transcript:Dexi6B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMSVEAAKQNVPGHQVKQGADSVPAKENKKDVDGTPEPMAKEILEEQKPSHRRQESSASMLDKGPSSVCSDSGVLDEPLTPQGDSGELKDIQSLNCNGNQEKNTSQKSSISESFVSAKASDGTNSLRKTSGSAKISDRADFPESGKSSMCRPSQSSNISDESSCSSMSSSTTKPHKGSDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVSPTVVKSSNPGPDALQRNNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKQKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPAKPAPANDKAAPAANQKGTDNFLEFEFF >Dexi7A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:7A:28716434:28720466:1 gene:Dexi7A01G0019580 transcript:Dexi7A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAGGDGSYDFHLRSLSAASRDSAAASDPASDPNLLQSVKRVCEMCREAKEARDEMVARAFPVMSKLFQRCAAAQTQAVASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPIVAERTLEFLVANKTKILNSFPTLIPQFYPLLLKLIASNGERLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLQLMDEAYTGSAIEDSSGNLGSDDSSPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQAAVNSPQSDRLKQSLEMAPRFLSLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVFSFEVRKRLSDFILAAFQRSPDIIAVLKKPITDRLGEAHDNPAKENRISIALMLGHCRLGLSQEPGFDSMGASSRKSSQARFLCFVVTAIAKLATCHSCSFPNFRQESLATCL >Dexi5A01G0023490.1:cds pep primary_assembly:Fonio_CM05836:5A:27474794:27480992:1 gene:Dexi5A01G0023490 transcript:Dexi5A01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNRLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGAGIVTRRPLVLQLNRIDGPGEYAEFMHLKNTRFTDFVVNLTLVDLPGLTKVAVEGQPDSIVHDIENMVRSFIEKPNCIILSISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDVYAYILFVFTGRKGSKPCPIMSKATAELETELSRLGKPIATDAGGKLYNIMEICRMFDSIYKEHLDGARSGGEKIYYVSDNQFPVALKRLQFEKHLSMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIKGPAEAAVDAVHAILKELVHRAIKEAHELKQFPTLRVELSDFFRKLPQDVEKGGTPTQSIFDRYNDPYLRRIGTNVQAYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGARETKQLSKLLDEDPEVMEHRAKLAQRLELYRSAQAEIDAVAWTK >Dexi1B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:1B:6285796:6287102:1 gene:Dexi1B01G0007570 transcript:Dexi1B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVEPAPKGSMGTSNTAPNTGAGPSKPPPSSHHPRTTPAAAPKDGKPASSVKREAGGGAATGSGTPSTSNQQEGPRTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELHRSRTQCMVVELFLVAMAD >Dexi2B01G0036170.1:cds pep primary_assembly:Fonio_CM05836:2B:43057614:43059838:-1 gene:Dexi2B01G0036170 transcript:Dexi2B01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELHDIVLENLLLDMAGNLKISDFGLSAISDQVKNDGLLHTTCGTPNYVAPEVIDDKGYDGALADLWSCGVILFVLLAGYLPFEDDNIASLYIKISEAQFSCPSWFSAGSKKLITRILDPNPSTRITVSQVQKDPWFKKGYKPPVFDEKCQASLDDVDAAFGDSEELLVTEEMEGQPASMNAFELISMNEGLNLGNFFESDKMLIENPKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQNVRSSKFCL >Dexi9B01G0047960.1:cds pep primary_assembly:Fonio_CM05836:9B:46946710:46949298:-1 gene:Dexi9B01G0047960 transcript:Dexi9B01G0047960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEACCGTMFWVYLTACVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPKDKLNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDTLVPSFVAVLISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIIFFPVAYPISKGG >Dexi1B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:1B:6973373:6974683:-1 gene:Dexi1B01G0008310 transcript:Dexi1B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASRSFLMTRPLTLLLNSIRRRVNEPPAVSLGAASRWLVSDSSDGDGRHNDVKKHLYPVLDDVKYGFGIHKLDMDTDAHDVAAAVGFDSGDLPRLPNPPVLRYDEKWIEHFAVLGSTVIGMAPRLSETYTEDRSDGNTLTFDTKTAKLALLPDLPDGVRNNMPMHCIAAGDKLYVIETDTVYDGTDYDSELFMGGLHCLKLHPQGDDTSHAGYPKDEPGWCWHVSNSYYYTKSPWFWSCDPQKLPLSPYSITAHAVHPSGHAFFVSAHCHRGWCTFSYDTEHGHWTRHGDDWELPFIGQAQYDHSLNAWVGLHAQRDGHICACDVPDLNGPAAPKGKLGKEKLFYYDPERHVDAKLVGMGGGGRFCVVEIMTMPGVDRKGCIGDGDKCVLRLTAFRVEYDDDGELTVADRRPARSFRMSKYRDYVYSDSWLAFWA >Dexi2B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:2B:10862404:10864318:1 gene:Dexi2B01G0010050 transcript:Dexi2B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNDHHSQPLVEYRSTIDIDNRAEIEPKPLIVHGLLIRGEFAANPENCTEMYNTTDLMSKIIAPVTNGLHIAIKNDATTVEIVRESLRVVSKLTSMTGDISTKLCRELPENGRTVENIVWILSNSSDLEMRIQAVEILSRLNLGKPRMCEFIGKLQRLLFDPFDNPLRIAAGKALNALVISRGDFPEEILDIQQLITIMSAGSRVTVVGQFPDYTDIMVYKLVQVLKTIFTGSTNITEPDARTFLASFLGLAVQIREKLVNAEAFANAVRNGLPTENRILAEKLTEIMSETTNYSEDEVCLVIMKAVTKFATWMMGISPDYIQYFREQKIVDKLEATVETMVHLERYLVMTGGAEETGRYVTFQILVETVRDLVPPEQQH >Dexi2A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:2A:2610026:2612435:-1 gene:Dexi2A01G0003060 transcript:Dexi2A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDATGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKAEFSCPSWFSTSAKKLIKKILDPNASTRITIAELINNEWFKKGYQPPRFETADVSLDDVNSIFNESGDPAQLVVERREERPTPSVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHNFYHNISNGLKDVMWKPDGSIVKSN >Dexi2A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:2A:2547121:2547413:1 gene:Dexi2A01G0002980 transcript:Dexi2A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKVMIMHGLLKAESVTLTPIPTLSPSEGNMTFIDGATWCMAL >Dexi5A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:5A:25577330:25580847:1 gene:Dexi5A01G0021760 transcript:Dexi5A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKGWAERVRRAVRTIWVMVVMVASLLVASAPALVAAGDVAVALWLEVRLRCLSGHGLRGHLQQYRFRSSLADIPLVSILRSLVITCVYLMSDTSGLSYGPYLGTTTFCSLASLLILLIKASVYSPGQDVGPELSASLPDHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCRARRKLLIHRIDPESILACKNAFSGCYKVPRSPTPYGAKLFSRSEKPVTSPTLSDEIPLMSLDNGSADIYLNPLGFDPEAGEQGWGLTSRPRRKDWEDKKLPNPYELESQVDLLISFCSEMGLRSVILVGHDDGGLLALKAAEKLRTYGDNVKVEVKGVVLVGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSMEDLPVLVVAGSEDALVSVKSAQAMASKLVNSRIVTISGCGHLPHEECPKALLSALSPFISRLVPSEDSSRRL >Dexi2B01G0033490.1:cds pep primary_assembly:Fonio_CM05836:2B:41073579:41075915:-1 gene:Dexi2B01G0033490 transcript:Dexi2B01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSLRKPEENEEEDDIICLDPSFFVDRSYEMTTFTFGSHELRLLCLRAASTDYDLTGQLVWPGAVLMNNYLSEHPETVNECSIIELGSGIGITGILCSRFCKKVVLTDHNDEVLEAS >Dexi5B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:5B:5278233:5279476:-1 gene:Dexi5B01G0007750 transcript:Dexi5B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDVTAKQAAAVTVLAAHAEEQRYLLRTYEIMTRKLKAELRRHRPPHSTSTKFPPSRAPPPPPSYPEASSPHSGPCSPGNLAHAALLSNGIWPTPCSSPRIVLLCRWSLASHRAPLPLPFSPRHPATAELKQEHEDSVYNLDTLGTSPSSSTKTEGSSGSAAIEELTFVKTKSYKNPTTPSP >Dexi1B01G0026840.1:cds pep primary_assembly:Fonio_CM05836:1B:31692895:31694716:1 gene:Dexi1B01G0026840 transcript:Dexi1B01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKAGRSFHSLAGEGVMGECKDGEEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVELLVGRSGGSCRRISVSGLPCDPLLSFIGDHARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGNHCKSLVGLRRVMHPIDLADKECQHDEAHAIARSMPKLRHLEMGYMLIRTEAVLEILRECRELKFLDLRGCWAVDDKFLRERHPGLRVLGPRVEDCYENSFWEECSDYSDDDSSIYSWEFMDDVDGYYAVGSDDEAIWDDGQGLENLEVRFYGGGFNESFAGFDWPPSP >Dexi5B01G0035870.1:cds pep primary_assembly:Fonio_CM05836:5B:35729822:35733168:-1 gene:Dexi5B01G0035870 transcript:Dexi5B01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQMFRNGCEGLEERGLFMRADSDTMRKRKDVDKDHTDQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFGHKGTSDNLNLRSSFQEQSGNCTNGFQHGSQKIQAQNNMLDPHLEDTKIVVPLKVPDKNGTSVSDVIDPQNVTSASPLGGVLSFDRMPVNQDRKPSETMILECQSWSGSVPPKQFMQYPKHNRERCDLLGDYSCLPKPDLEHPITPSHLYAPPQLVSMSCSMEGDARDFSDVKPDVLGCMKSLSPALMCTVVDSVSAQLSDSVVTSTNSDQKFSSVEGLSSAKDCHIDQARNQAPLLTSEDANIICGTDLACLPDDLSGYQLQGIGWNSIDLFQCNDAMMLNGLQNNWYDDPELSSEIMEFPLLDGCLFA >Dexi9B01G0024570.1:cds pep primary_assembly:Fonio_CM05836:9B:20984741:20995601:-1 gene:Dexi9B01G0024570 transcript:Dexi9B01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDQINGILNGMPNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFIEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALNEKGIIFLGPPSAAMSALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPESCHSIPEEIYKDACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVATPETVKELEQAARRLAKCVRYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLYNIPEIRRFYGMDHGGGYHDWRKISAVATNFDLDEAQSVRPKGHCVAVRVTSEDPDDGFKPTSGRVESGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVTDGSHVDADTPYAEVEVMKMCMPLLVPASGVIHFVMPEGQAMQANDLIARLDLDDPSSVRRAEPFHGSFPKLGPPTAISGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNPDFRKSRDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFNDNLQSDVIERLRLQHAKDLEKVVHFVFSHQLALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERLSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVSGSIRMQWHRAGLIALWEFSEEHLKQRNGHDVLVQQVENPIEKRWGVMVVIKSLQFLATAIDAALKETSQYRAGVVSVSNGNHVNSNQSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDNTITSQLNGAGVKVVSCIIQRDEGRPPMRHSFLWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYAEVKYTPSRDRQWHIYTLIKNKKDQRLNDQRMFLRTIVRQPSATNGFLSGNIDNEVGRTQASSFTSNSILRSLMGALEEIELHAHSETVRSGYSHMYLCLLREQQLHELIPFSRSMADEIDQDEATACTFLKHMALHLYEHVGVRMHRLSVCQWEVKLWLVCDGQASGAWRVVVTNVTGHTCTVDIYREVEDPSTHQLIYHSATATAGPLHGIALNEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKKSWKSSNYGVTEANEHNQLYAQVKELIFADSVGAWGTPLVLAERPPGINDIGIVAWNMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLAATAGARLGVAEEIKACFHVGWSDDESPERGFHYIYLTEEDYSRLSSSVIAHELKLENGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHQTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPERPVTYFPENACDARAAICGIQDGEGKWLGGMFDKESFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTVMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPMGGELRGGAWVVVDSKINPDHIEMYAERTAKGNVLEPEGLVEIKFRPKELEDCMLRLDPELIGLNARLKELKKQNASNSEMDTIRKSMTVRMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRAFFYRRLRRRVAEDALAKEVKEAAGDQLSHKSALESVKKWYLASKGTEGDSEKWNDDESFFAWKNDPKNYENYLEELKAERVSKWFSHLAESSDVKALPNGLSLLLNKMNPSKREQVIDGLRQLIG >Dexi3B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:3B:7377093:7378191:-1 gene:Dexi3B01G0010520 transcript:Dexi3B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSVSKAMLLTAFVVAAALSTVSRAQSPLQVNFYSSSCPQAEATVRNVTEGIIIKDRTMGAAFMRLFFHDCFVRGCDASILLDPTSSNPQVEKKAIALRGYDAVNKIKTAVEAVCPGVVSCADILAFAARDTAIVSGGFTSFDMPSGRRDGTVSNFFDVLQNIPSPILKLPDLINNFAAKGLNVDDLVTLSAAHSFGQAHCSFFNGRLYPNVDPTMDATFADGLRMVCPPPGSSGGDPVVNNNRVTDPNVLSNQYYGNMGVGKVLFVSDQQLMTSNYTAAKVANNSVDAATWMGQFAAALVKMGSIQVLTGTAGQVRKYCNVVKS >Dexi9A01G0034960.1:cds pep primary_assembly:Fonio_CM05836:9A:39651143:39651827:1 gene:Dexi9A01G0034960 transcript:Dexi9A01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAGGGDRAVVAALSSSSPRRVVVAVDESEESMHALTWCLSNVVVSAAAAPPPAVVLVHARSPRPFYYHPVDGGAGYIPTQQVMDTMDQYMASAADTVVAKAKTICTALFPNVRVETCVEKGDPRDVICGAAEKADMVVMGSHGYGFLQRALLGSVSNHCVHNCKCPVVVVKRPDGGNQRRQT >Dexi6A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:6A:18507372:18508880:-1 gene:Dexi6A01G0012270 transcript:Dexi6A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATFLSSSIPATSYSSSSFLPQPPQAPARLPLPSSAPTRVAVARRAAEAGRRPGRRCVPASASSSPATTAASATEVPGTMKAWVYDTYGDASVLKLDEAVAVPPVADDQVLVKVAAAALNPVDFKRRAGKFQATDSPLPTVPGYDVAGVVVKVGSEVKSFREGDEVYGMISEKPLDGPKQLGSLAEYVAVEEKLLAPKPKGLDFAQAAGLPLAILTANGGLEKAGLFAGMSVLVLGGAGGVGSLAIQLAKQVYGASTVAATASTNKIELLKSLGADVAIDYTKENFEELPEKYDVVFDAVGQGEKAVKVVKEGGSVVVLTGAVTASGFRFVVTSNGSTLEKLNPYLESGKVKPLIDPTGPYPFSQVVEAFSYLETGRATGKVVISPVP >Dexi4B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:4B:22276345:22280285:1 gene:Dexi4B01G0020040 transcript:Dexi4B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTAARTSAAFLLLIFLLAVVAWPGAAAAAAQAGGYRVVSVARAGGVLSARLQLAGGGARAELGPDVQSLSLTARQVDSRLHVRITDADHQRWEVPQDIIPRNPPHHFTLAASTNTSPRSRVLSAATSDLTFTLHTTPFFRFTVSRRSSGDVLFDTSPHLVFKDKYLELTTALPGAMASLYGLGEHTKRTFRLQRNDTFTLWNADIAASNVDLNLYGSHPFYLDVRSGAGAGASHGVLLLNSNGMDIEYGGEYLTYKVIGGVLDFYFFAGPTPLDVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNLADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRRFVDRLHRNGQKYVVIIDPGINVNETYGTFIRGMQQDVFLKWNGTNYLGKVWPGYVYFPDFLNPRAATFWAKEISLFRRTLPVDGLWIDMNEISNFIDPPTLNTIDDPPYRINNSGVHRPLNNKTVPASAVHYGGVREYDAHNLYGFLEARATHAALIADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMLGAFYPFSRDHSAIGTIRRELYLWETVARSARKALGLRYRLLPYIYTLMHEAHTTGAPMARPLFFSYPKDVNTYGVDRQFMLGRGVLVSPVLDQGATAVDAYFPAGRWFNLFDYSLTVASVTGKRVTLAAPADTVNVHVAGGNVLPMQRPAVTTSRSRQNVFHIVVALSKGGSAAGELFLDDGESPEMGGTRGKWTLVRFSCETGRGGATVRSHVVHDSYGPSQKLVVGKVVFLGLRSPATRGELAVFVNGVKAANSTTGHAAILGYRRGGAAQVTGLSLPVGKEFELKVAMS >Dexi7A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:7A:14470359:14473859:-1 gene:Dexi7A01G0004100 transcript:Dexi7A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRRPSDEARRGAYKPRVDFSRSRRRREDGLLALRRLDRDAGLFKRRRDESAPAVHATDPAPPPSEEAPPAASALPPPTPSLPPDAAAPRDAAESELEGLSELVDKVCSDDSTSQLEATVQFRKLLSDEKNSTVIKIIRADVLPRFAEFLSIHGLPQLQLEAAWVLTNIAASDYTLLVAECGAVPRLVELLGSSNVNIRHQAIWCLGNIAADLPSCRDILFDHGVVTPLLSQFREGMKIPVLRTAMWALSNLCFGKLPAEVQVGFCYCCLSSGETPLVFPFKKEFVDICFGLSLQVKPIVEIVSQLIHSADEKILADACWTIYYICGGVDDATQDVLDAGVCPQLVNLLMHVSASVLLPVILALARISAGTDAQIQAVIDASIISPLVVLLKTSETDIKKEAAWALSNAASGASSEQIQYLVSRGCLEPLCNVLTYQDPDLLYTCLEGLENILQEGEAGKKGEESGTNPYAQFIQECGGLDKLEDLQDVKSDRIYELVIKLLQSYWDEEVSESDDPNVPGSNDSADTVETTSEDAAQAQPPEPASAADENE >Dexi4A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:4A:671092:672812:1 gene:Dexi4A01G0000930 transcript:Dexi4A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPSQQQQPLHLPHSIKPAATPTHRHGRHGNPQSHNAVPASLRRGAPTDARGLRALIKSLCASSAAAGSSAAAVHGHAAKLGLGRERTVRNSLIALYLASGDRAAAGALFHGFPDGSRDVVSWTAMVTGHTRLGLADEAVALFFAMMEEEDDDDLGVDAVAAAAGFAACAELKDLAIAMDAHRRVAAKGVALDAVAWNAMVDMYAKCGDVAAARRWFARMPATAGKNVVSWNTMISALSRAGEHGEALALFREMQLAGDARPDDATLVAVLGACAHLGALDTGRWVHAYVARQHGRLAADGVVGNALIDIRNECQK >Dexi1B01G0020950.1:cds pep primary_assembly:Fonio_CM05836:1B:26927933:26928635:1 gene:Dexi1B01G0020950 transcript:Dexi1B01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQRGLLAISGYLAGHHAPAASVSTTGHHSLFFARAFQILAQPEPVRLQKLSAPDSGILELRLERPEVKNAINWDVMRRLRSAIEKIQADATAKVVLVASSVPGAFCAGADLKVWSLDLSSLK >Dexi5A01G0028970.1:cds pep primary_assembly:Fonio_CM05836:5A:32145898:32154670:-1 gene:Dexi5A01G0028970 transcript:Dexi5A01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPAVGYDGFEAAAGAGAGAVGAEDNLSMSLGDFMAFLETEPAPPGEGGEEEEEEQQPGRISWSSSGFKAGTTVAASGASLEAGLLHTPGRRVELRVRQGHVGMVIIAPEEFFHHTHHHHHHATAVADPIFLLVFRGPCGLDPDVAGQVGGRVNQGCMEIAPNTNGSEDLFQSHEEMLENIEVWSNYTHVDPSECQVEVNMELNEGEQTIDHSESSPYVLINGEKWKDSDIHGNQSGMYNLDNQHVPRDASNHANFEEATGPPHELSNGSYLGQRTIYDQTELQVENNEEGMKAQMNTYFSGGISTEQSALSSEIQWENADEMLGNTSQDGDRFTSMAMFSLTHNADVPDISCTGLNMGEPTESIYNGNSSCLTLQEEHRQGEYGNYHHPDYISVDMVGEGSLHDFPQNNEQYEMDQFPQDICESETMQMGSPDQYCDDTSLSDIYMDVSSPESISFEQNQSEDICFKSESSTDSSPVPSSRNSTTEDADKSLGHTSKQFLDSKFVPFNNQHPVKKLGYQRPLILQNEYGYRSNNSSIQGSSSRGCFSIAGNGSSDFGVLQGNRNSAPDDRLLVQGKFHRTFQQPMYGSPILPTFGGMRYKPHDERITLQLALQDISQPKSEANPPDGLLAVPLLRHQKIALSWMVQKETSSPHCSGGILADDQGLGKTVSTISLILTERPPVPQSSTIKKETFEAVTLDDDDEDDCDEPDLKKQMQTCSSELTSNTVKQENPIVSVKTRPAAATMLDGKPIISLPPKTVSLKTVDFTSEERNFYNTLEVESREQFKKRSWFLTNIWILQDAPEDAVVTICGHVFCNQCILEQLTGDDSICPVSNCRVRLNSTSLFSRGTLECSLSGLTCDFKSNDTSMEMVHGEKRPGIDSSYASSKVRAALDILLSLPKIDPTQTMDSKNLIGLASENFEGKGSSEQADTKLTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAARDKAVKDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQSRLTVEDLNYLFMV >Dexi9B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:9B:5424182:5425696:-1 gene:Dexi9B01G0008840 transcript:Dexi9B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTGEQRLAFQEAFSLFDKNGDGCITMEELAAVTRSLGLDPSDQELSDMMSEVDTDGNGIIDFHEFLSLIARKMKDSDGDEELKEAFEVLDKDQNGFISPNELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVLMMKNAERKISG >DexiUA01G0008660.1:cds pep primary_assembly:Fonio_CM05836:UA:16298301:16301494:-1 gene:DexiUA01G0008660 transcript:DexiUA01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSLAAALLLLFLFAAAASPSARAATTTSSKDEEEDLQYLMDNAGDTDPEEGWLPDPEGGGGGDDDDEEDDLLFKDEDEDQQPEIDETHVVLLTAANFSSFLAATRHVMVEFYAPWCGHCQALAPDYAAAASHLAAQGDVALAKVDATEDTDLAQQYDVQGFPTMLFFIDGVPKDYNGARTKDAIVDWINKKLGPGVENITTIEDAERILTGDDKAVLAFLDSLSGAHSDELAAASRLEDSISFYQTSSPDVARLFHIDPAANRPSIVLLKKEEEKLTFFDGEFKASAITDFVSANKLPLILLFAVANESSKFLPIFKEAAKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDAKKFFLDGEVSLDAIKDFAEGFLEDKLTPFYKSDPVPESNDGDVKIVVGKNLDRIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKVRIAAFYG >Dexi2B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:2B:5637257:5638250:1 gene:Dexi2B01G0006030 transcript:Dexi2B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLPDDVVEEILARFPHDKPALLVRAALTCKAWCRIVSGAGFRRRLHGRAPPLLGFFHHHGSHPPSFNPTSPPFRPPYATRLGWLILDARHGRFLVARKTTTTSTSPFATPSMEMAEELIVWDLTTDEQHRLPMPPFKYSTWSATLVCAAAAGGCDHFDCPKGPFLVVFVFTDNIYKGTMSACVYSSEQGSWRLRAKVHP >Dexi3B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:3B:3610004:3614651:1 gene:Dexi3B01G0005400 transcript:Dexi3B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSPPSLPATATATPTTTAPTMSACATLRPEERADLLPLLAAATRPLADVVADFFARFPRERRLRVGGALCFLLEASHRSVLNQSAVDYLNGPVSASQALLPREQLEKQYCSNGVQSQPQISNFKSASVRSAIPDPDVPQSCANSSEYVGSYTPLHPNLSLWSAISLPGDKQKSASDDRDSALAGLLQAKSGGRLGPQWIRPTPPRLPILDGELQWLNPDNNHELLWDYSILLQQVILELTKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDISGYFDGLVHMEMSLHSMEVVNRLTTAVELPTEFVHQYITNCIKSCQTIKVCSSFDSFN >Dexi4A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:4A:185755:186663:1 gene:Dexi4A01G0000260 transcript:Dexi4A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPAAGSSLQAALSYCVRQVRSYDYHHYLCLLHLPPPMRKAAFTFRAFNVETAKAMDVVSDPKTGLMRLLWWKDVIDKVFANKLVEHPVALALSSVVSDHKVSKHWLKRSVEARINDANRDEGAIPETSAELERYAEDTQSTILYMTLQAGGIQSTVADHAASHIGKASGLLLLLKALPHHVNKQGVIPYLPADVAEECGLLTWEGGRSEVRMDDRLPDAVFKVASIAEAHLHKAWELASSVPREAIPVLLPALPAQVLLDSLRRCEFNVFDSRVSRGVHGVSPLWYQLKLNWYAWRNKY >Dexi5A01G0024310.1:cds pep primary_assembly:Fonio_CM05836:5A:28166438:28166889:1 gene:Dexi5A01G0024310 transcript:Dexi5A01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNPRTRRLRSAVWQDFTKERRADGNCVAICNHCKKQLTATSRSGTTHLRNHLAICTTTSIRRAGKRRKLVVRRIHRNRSSVDGQSGEGHASGEDNDNEGTHFDQELSRRDLAHMIVQHGYRFSIVDDVGFQKFVKNLQPQFRMVSYDTG >Dexi6B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:6B:48328:49966:1 gene:Dexi6B01G0000080 transcript:Dexi6B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRADAGITFLCMANDTFGRRIPFLYLEDIQMRFLKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLCRALWMKNAKLLAVLTVAIVVLLYLIISAFCGGLSLPSCRS >Dexi2B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:2B:12370987:12372134:1 gene:Dexi2B01G0010850 transcript:Dexi2B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTADHDDRVVPLHSLKLLATLQHVLCTGAEDSPQTNPIIGRIDRKSGHGAGRPTQKLIDEAADRYSFMAKMLGAVWTE >Dexi5A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:5A:563225:563829:-1 gene:Dexi5A01G0000800 transcript:Dexi5A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVSPAALGGKWMEDPRIRDDGVIDPGGTHEFNSLVLAGARVSVAEPGHLVCSLRVSAPLTDAEGRWHAGAIAAAVDNMSSTVVFMADGAHVVTVHVALSYFSPAHLDEEVEMEGRVVSRKGKLTATAVEVRNKESGELVAVARQWMTPAAFPTNTNRSSKL >Dexi1B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:1B:21862624:21863313:1 gene:Dexi1B01G0015330 transcript:Dexi1B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGEAPLVVEDAAATLVSCEEKAKADVIVPAAAAAEADPADAGRRRSLSDLLKQEAETSDGEAEKVVTVEPATGAAVEDEAGAKLGAQAPVQAVVETEHNGGAADQPRDDPNGGDVQVVVEEEKRVDPDSVQVAVSAADADAAPSADETENADDASA >Dexi3B01G0022650.1:cds pep primary_assembly:Fonio_CM05836:3B:17381451:17384546:1 gene:Dexi3B01G0022650 transcript:Dexi3B01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGLGTWQIEPEAVCNAIYAAVKSGYRHIDSAVAYRNQKEVGLALKKLFEDGVVKREDLFITSKLWSGNHAPEDVDDDISSALEDLQLDYIDLYLIHGPIRIKKGTMLIPENLIPTDIPATWRAMEKLYESGKARAIGVSNFSCKKVEDLLAIARVTPAVNQVECHPVWQQAKLRSPGSPGYCGPSVLSNPIVIYIAEKLQKTPAQARLLKIEFAVQPQSGYNSLEDLWDGEVEKENGRAECEDHSDFLRCFL >Dexi6A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:6A:28177227:28179218:-1 gene:Dexi6A01G0020780 transcript:Dexi6A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGSALSFARPVKAVNTSSLSFSAASKGNGFLRLQPVRMRFAVCCAAKKDTVDKVCEIVKKQLAVPEGTEVCGASKFSDLGADSLDTVEIVMGLEEEFQISVEESSAQSIATVEDAAELIDKLVEEKSS >Dexi5A01G0024650.1:cds pep primary_assembly:Fonio_CM05836:5A:28513171:28514112:-1 gene:Dexi5A01G0024650 transcript:Dexi5A01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQEQYYAGETKARAEEKTGHVLGVAQEKGREAKDKASGAADHAMGRSQDAMGATRDKAREAADRTMGMGRDAKETTRDKAYQAKDAASDAAGRAMDKGRGAAEATRDKAYEAKDKASDTAGAAGDRARDGAQQTESYLSQTAEVAKQKAAGAAQYAKETVVAGKDKTGALLQQAGETVMSTAVGAKDKVVSTAVGAKDAVVNSLGMAGENKDGTTNAGNGKSTSTYKPGRGY >Dexi9B01G0035570.1:cds pep primary_assembly:Fonio_CM05836:9B:37172729:37173266:1 gene:Dexi9B01G0035570 transcript:Dexi9B01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVAMAAVKVLLSLCCVGACGLAMYLYYILWLVPQRVLGEFRRQNIGGPRPSFPYGNLADMREAVAAAKALRSSTRRPSGGGIVHDYRPAVLPYYEKWRKEHDET >DexiUA01G0009570.1:cds pep primary_assembly:Fonio_CM05836:UA:18974851:18975178:-1 gene:DexiUA01G0009570 transcript:DexiUA01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAESPESGLPVESNALGTESQDDVGAEADTEAISGSLPLDYLAIETRSGEDEQTSSGVPGTGDVASPNSFISGGFASMSL >Dexi1B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:1B:25119817:25120679:-1 gene:Dexi1B01G0018970 transcript:Dexi1B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKELEAQRELVDYGGSFQPNAPPPYERGERKRGYGDRNDRGDYQRKRNRNDDRAAAEGSRRAPDSDPADKNPRFREKGDSDEEDDDYDKRRRR >Dexi9B01G0036790.1:cds pep primary_assembly:Fonio_CM05836:9B:38286474:38286869:-1 gene:Dexi9B01G0036790 transcript:Dexi9B01G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTAIAAFQAPRSLQHAPAAPLHLRQNTAVSFVARPVHHAHRRLVVAVASSSPATPSDLANKVSESIKQAQETCADDPVSGECVAAWDEVEELSAAASHARDRKKGADPLEEYCKDNPETDECRTYED >Dexi9B01G0021220.1:cds pep primary_assembly:Fonio_CM05836:9B:15882354:15890576:-1 gene:Dexi9B01G0021220 transcript:Dexi9B01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYAALRRATEEVAAVDGHAHNLVELGSAFPFTRCFCEADGDALALAPHSLSFKRSVRDIASLYNCEASLEKVEEFRRTEGLKSIASKCFQAANISVILIDDGIPFDKMLDLESHKAFAPVVGRVLRIERLAETIINDVMFSTDGYAFPETYYLGANRSRDVVYRVLAAACEDGDLSIQEAIEAVEDIFRRNALHLYKLNVANGSINRETSIAADSAPLSSVEEDILFVRIIWTDASGQHRCRVVPAGRFDDVTRNKGVGLTFAAMGMTSFCDGPADGTNLTGVGEIRLMPDMPTLMRLPWPDLNDIGSGSHVHLSLWENGVNVFMGSSKDNFHGMSKTGEKFLAGVYHHLSSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRSDLKLPEPIESNPADYASKLKRLPQNLQEAVESLSADKAEIDHYAKKPGAFSDLIHLY >Dexi7B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:7B:23070201:23072418:1 gene:Dexi7B01G0017080 transcript:Dexi7B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSRPLPKLLSPSHLVPLDLSTSCYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAGAGGGIHSIPAPQQPPPLHHHQLQPARSMDRQMLLQDRIADLIGSCSPGNQFNDADSSDVRLTLSSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVVEEAEVDGSCSGGGNAGGGEEVLLRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLSLLRDQFVRTAGGDQSEVSQIARQADNLHWMLDILVERQIAEEFLRTWAMQDELAEMHRKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNSEPEQPPRLRITAICENS >Dexi1B01G0026500.1:cds pep primary_assembly:Fonio_CM05836:1B:31358037:31358915:1 gene:Dexi1B01G0026500 transcript:Dexi1B01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMRQLLGLLGAINGRPRERKKKMVLRRPLQVVELRVRMDCERCEREVKKALSGMRGVQHVEVSRLQQKVTVTGEVDPLEVLRRARSTGKKAESWPHHQNAPGSYYYAPALYGTGAAQLPAHDAGRWANPAAAYGYHHPAARSVEAAIGAAEHLTDLFSEDNPNACSVM >Dexi9B01G0037930.1:cds pep primary_assembly:Fonio_CM05836:9B:39195044:39197308:1 gene:Dexi9B01G0037930 transcript:Dexi9B01G0037930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMAPQPHPLPLVAQPPAAAAFGDTTLTKVFVGGLAWETHKDTLREHFERYGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRPQPPSHLLRPSPPATPAPALPSPHQPAPAIAVGSRGVSPVPWYYHPSTTPPPTAAAHNHYAAHHGAHQQYHGVLPFYPAATTYGYSPNYVADLSYNAKLGQAAAAGSAGSYMQGHFAYPAAAQGGMVSPNGMMPVYPFYHYQYHGSQGLGVPAAHFFPPVSAAAVATVPAIISKPTVMAPPPKVEQVTGCS >Dexi2B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:2B:46839:47994:-1 gene:Dexi2B01G0000100 transcript:Dexi2B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATKWDNHHHHLPRSTTTAARPCCSSPSPLMIMLEAELEKARAHIGELEDERRVLTKRLERFLRKVAEEKAAWKARVRDKARHAVAALREELGAERAHRRELEQANARLMRELAEARSAARRQAESREAERRARELMEEACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLHMAEVWREERVQMKLSDARIALEAKYSQLNHLQAEMEAFVQLQAPQRPRGHHQNQDDEVDSVLEHLRHRMENDNNRANSPASSSNNHPDSGDDSNAMSPATDLFLAKIDDSNSNAGGSSSADKDYDGGRDSYSYLGTSDRSAASVANNGNGSGLVEARSSGKNTALIRRLWRSAITESRNKTGGGGGGWSPSWDRRRSSVTRLR >Dexi3A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:3A:15322312:15327308:-1 gene:Dexi3A01G0019520 transcript:Dexi3A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAAHKAFLLCNYTLLGAASACIFLTLSLRLAPSPCGLLLVFLHALTAVFAAAGHPALQSLKAGEICSTEIRTPKQIHEIKDFLLTARRKDARSVRIKRSKDAVKFKVRCSKYLYTLYVTMLSGIDIVMKRVGKEVKLFDIPNDKLCAEIVHKLERAGGLRFGNSDYGSDAELSMNQWIFKEDIALTCMSQLLGAEGRRTACYVGAAALVHSCRWPEDCSLKVHSRSMCKRDMDTRRCDDQNAGVVPPWSMPRATKTHVVPVTWRPPTGCRRSSDERRFAAA >Dexi2A01G0025340.1:cds pep primary_assembly:Fonio_CM05836:2A:36972907:36973212:-1 gene:Dexi2A01G0025340 transcript:Dexi2A01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATPTCKCLDGFELVDKEEWSNARFSQGCKRKEAPQCSDGFLALPDMKVPDNFVRIGRKALEECAAECSANCSCGIRLCEFEREHSRRRCDEVPCVDW >Dexi3B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:3B:15711362:15711682:-1 gene:Dexi3B01G0020740 transcript:Dexi3B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQRGSKSEAGTSLIDGSRAAALGHSPAVMKAGTAGTGSVMERLLSITATDAMLGRRCGSSWTQSSPTWMHLSISCGPGPSAWQISGSCSKLQQLQCPRTCRCRP >Dexi3A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:3A:10538364:10540768:1 gene:Dexi3A01G0014470 transcript:Dexi3A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVMCEFRAGKMSLEGTRVVPDTRKGLVRVGRNITVVLSVLDSIFQRIKLSFLMRLSSRRYGVTESSGRVYILKFKHDNRKFFFWMQEPNADRDSQISIQVNAYINRPLDAEADLAVPIEAEMSEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRMEDLQRILSAIQPSGPAAADPDAGLGLGDILKPDLVLPLIENLPIEQLASHLPEVLRTGQIDLAQFGVDPSKYNFTVVSFLEALEDSVAKAGSSSAGDKDSEPKRGGGNDPMDES >Dexi8A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:8A:30776504:30777105:1 gene:Dexi8A01G0018510 transcript:Dexi8A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARRRGNRRSRRRRARLDAEPHDDGVSHTLGTRRGRTRRACGRRLVKWAPLADSPVSKGLGKKELGGPSIKISHDNQATNHPLSDCIWRPVRTASPRPSSPSAAASAASVSSRTSSAAPPPPSSAAAPPPSAAAFE >Dexi6B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:6B:1596758:1597248:1 gene:Dexi6B01G0001840 transcript:Dexi6B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRTKKIAPKPGRKKKKTNPSPSGVNTPRTRAALAREAAAKAAQEAQEAAAKAYAAAEVAAAAERDVLDVLPIESDPAPSTSTRRNLCLDLQVVDEVDETNIAPADPPLKKMTPKKKLATKVKKTPAKKYVKSPAKKGKK >Dexi3A01G0026700.1:cds pep primary_assembly:Fonio_CM05836:3A:23053427:23058311:1 gene:Dexi3A01G0026700 transcript:Dexi3A01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGAGPLAVGVPSRRVQVAAVFALAVLLGVSVLYDSAHIAASLRRHGGGPRAYTKLSSDAAAAAVSSAAREEAVEAVSALAPPATGEESAPVEGTDRTDPPPQQPQEEKAEAEAAVKPGATAGSSVQDAPLIEEVVQGGGGGHGDGGAQEEQPASCDLYKGHWVYDEAHAPLYKESGCGFLTEQVTCMRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQAEAPWDKKSLVKNGSLNVFRLQEYNATVEFYWAPFLVESNSDDPDIHSISDRMIKPSSITKHAANWEGVDYLIFNTYIWWMNTPQMKTVHGGSFSRKHVKYDEIERVVAYRKVLKTWSRWVEAHINPKRTTVLFMSVSPVHMQSEGWGSPNAVKCFSETQPAINYTKKLEVGTDWDLFATAQRVTRSMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTEEQKANPRKFADCIHWCLPGVPDTWNEFVYGHIMSSPPQRQMTEDQPHR >Dexi2A01G0031200.1:cds pep primary_assembly:Fonio_CM05836:2A:41959049:41960011:1 gene:Dexi2A01G0031200 transcript:Dexi2A01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELDVSKSRRFDIAMSRRTRRSTSLAACFQDQYVPSLAQQRHEELKAFLEGHDAELKVLQQCDDAEQLTPCPDEDEELKIPQAPLQCGDDGKKTPKQYQDEQEKKSEQYSGEEQKKPEHYQGEKEKKPEQHTDEEEETSQYQEEVLMTQNQREDVEEAAAERYQDPEQKTPQQHQETDEKASEQSEEDEREEEQKAGQKCCNTEQKVPEQFQGEKKLATPPRAVDNVPRFSLQELIQQKQLQTGDTKHTNKLGGHGESVLPDHKVSGSGGAAVGGTTLAMVIKRPEGGKKSMGMIRRCVKALNQMIKTKHGSKKNLHL >Dexi8B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:8B:28051925:28053426:1 gene:Dexi8B01G0016910 transcript:Dexi8B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSSTPGGAPQEAAADHQLQQQLHDDEEKLINDLLEQYIARQGYYAPSSTGGYYMQLQQELDAGAGVAAARSRGVHYILYAFGRLGLAASTAFDAVNYLDRFLSINCHLRWDEAWMVELVSLACLSLACKLDEVNIPSLHHLQMEEVMTHSFRPSTVRDMELTLLKALQWRLACVTPYSFLHLLLPLVVSNEEEEAAAAASQCLLLRSLAEPSLLLRFEPSVMAASALRCCIMPAAAATNGVISRLLTNMRPPPDHHNAARDDAAQDECFKMMRALFLSTTTVNDS >Dexi1B01G0031420.1:cds pep primary_assembly:Fonio_CM05836:1B:35006056:35017588:1 gene:Dexi1B01G0031420 transcript:Dexi1B01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSSHHLSAAAAAAAAGVSYPPPLPPTPPSAPPDIGAGGAAKQIVDSLLARFLPLARRRIETAQAQYSTEHKVTSHSNFENNRMGNTYGHQIQATSKYWILSPWLLDTHLCLFWKPFFGGEKGMADLKIESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLEGFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTEKFFIELNTRRNDALYPRSETLSLINGMRYLKLGVKTEGALNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKKHWPPSGVDSALTLWYDAVTRIRVLLMHWMDKQSKHIAVGFPLVTLLLCLSDASVFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLAVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNQQVGLDVLQAFGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMVNFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDIQNVRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDHSANEWGDNKLKLEPEPIFIIDIIEENGLAATSTLGHSHLEVCETMFGELSFFLEEVSSETEGKPKWKCYSPDPQIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCMEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDVSANVVLEFSQGPIASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPSLMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDMLHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIMLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRIELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHSDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYASDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVPSLGSASPLQEHNQKAYYVASNISVWCRAKSLDALAEVFRAYSYGEIMSLEDLFARASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEVGFGENGHGAGEKALQSMLLPQSSFKARSGPLQYAAGSGFGSLMGQGGGSAADSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >Dexi7A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:7A:11797488:11798331:-1 gene:Dexi7A01G0002920 transcript:Dexi7A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDIYCVGFQNGASQSEDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKIKDDKTGATYTVNSHNISSGWRFHWHKSLVLLLVTVVSSYLIW >Dexi8B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:8B:1201830:1205349:1 gene:Dexi8B01G0001770 transcript:Dexi8B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIHEVMGSKTKIYIVLEYATGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSAISQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAVADLWSCGVILFVLLAGYLPFEDSNLMMLYKKIANAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSEGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEIRKAKGDTLEFHKFFKNLSKTLKDVVWKCEDQQMHSAA >Dexi6B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:6B:1459721:1462875:1 gene:Dexi6B01G0001670 transcript:Dexi6B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTSLPRHHLLLLLLLLFVSISTTTTSSPSRTAELDALMDLKAALDPSGRALASWRRGGDPCGRGDYFEGVTCDGRGRITTISLQGKGLSGTVPPAVAMLPGLTGLYLHYNELSGAIPAELGGLPELAELYLGVNSLSSTIPVELGRLASLQVLQLGYNQLSGSVPTQLGQLKKLKVLALQSNQLTGAIPASLGDLPALTRLDLSSNQLFGSIPSKLVEIPQLSTLDLRNNTLSGSVPSGLKKLHEGFWYENNSELCGAQFDSLKACPDDGNDNGNMSHKPESTSVKPEQIQKTADLNRNCDNRGCSKTSTLSTGAVIAGTIIIVAGVAACGLSAFSWHRRQKQKVGSSVEHLEGRLSVDQSKETCQRSASSLINVEYSSGWDTSSEGSQHGVRLSSEGSPSVRFNLEEVECATQYFSDVNLLGKSNFAATYKGIMRDSSVVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFIIYEFMSNGSLSRYLDVKDGDADAIVLDWATRVSIIKGIAKGIDYLHSSKPNKPPLVHQSISADKVLIDHLFAPHLSGAGLHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDKSDVYAFGVVVLQVLTGRRTVSSHLRLGAESGRLDDLIDPRLGGRFSRPEAAKLAGIALLCTAEIPAQRPAMAAVLQQLGTSQ >Dexi2B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:2B:26122570:26124138:-1 gene:Dexi2B01G0016010 transcript:Dexi2B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAANWRPTQGADSAAYAAAAPAGGDWRTQLQPEGRSRVVNKIVETLEKHLPVSVPEGLSELHKIAVWFEEKIYTEATNQYDYLREISLKLLSMESQTNTQQNPGNAQVIPNQNPLGPGTLH >Dexi6A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:6A:12961085:12963248:-1 gene:Dexi6A01G0010510 transcript:Dexi6A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQLGALLLRSPPSSSSTAASSCQRHHLLPSEEPLALNRLARLFTSQAGNGGGHSQKPFIAFVLGGPGSGKGTQCTKIASDFGFAHLSAGDILRHEIASGSEKGEMILDIIKEGRIVPSEITVELIRKAMEASNANKVLIDGFPRCEENRIAFERIVGTEPDIVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFENLNIPVVDYYSSRGKVHKASKLFFSAHNYQNMTTSVSANLFCCKVQ >Dexi1A01G0031080.1:cds pep primary_assembly:Fonio_CM05836:1A:36231591:36232868:1 gene:Dexi1A01G0031080 transcript:Dexi1A01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSPLLSLLFASITYHRLLADVFPHLCSVILAGSTSCSVSPRLFLTASITAAEVVHPRLEVDLGLGLGLGLGLVGCGLAAAEEELPEEEVADGEAGELAGGEDAGGEALEVVGEGPHGGLGERLAEADAEAAVGVLAVHGGGVRVVGGGDVGADQAPELHLRPPPERRFFPSIIDLSVPTYQLGAHDSEVTTSAMVEVEVDGDEPGAAAHAGEVVGDDVLAEAEAVDEAGHEGRLRGEGADVEDDEVDVACGDAGLGEDVGDGAGEEVVHLVEGVGVGGGLLAALEDVAWAVGVLADAGVDDDLEEELVLGDAEPLVALDHRAGHLGGHLAVVGRAVARHRDLRRRHTACHATYAKVCASSSAVTTARTSIFNPQLVCYVAALMI >Dexi5A01G0026920.1:cds pep primary_assembly:Fonio_CM05836:5A:30492356:30496212:1 gene:Dexi5A01G0026920 transcript:Dexi5A01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALDEDRARDIPFASGGVLSSVPPDVDVAQCASNPVRIRPPVPHGAPPVAPDEPFVCSFQQMAEYFNRKSGLLENVPLGSFNSLFSFTGSWKNDAAATKALAIDGHSLPLFRVKITSNELTLLESVKRAIPNVWDPSALASFIENYGTHIITSVTVGGKDEDMTVIFRRRGGCDLVQSFSDWKGTVDSAPDVIGMTFLSIVSLVDDIPGKKHLARAVDLYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHHRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVVGLKLLLEGAKQNRLAIHLQHLSSLPKIFLPHWDSHVTIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSSTHRTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIVVRAKYSLLNY >Dexi1B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:1B:19596713:19598898:1 gene:Dexi1B01G0013610 transcript:Dexi1B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWFFGPFTEKKNLPDMVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGVRAASGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKENLHMFGVVGCILCVVGSIGIVLHAPKERKIDSMKEIWHLATQPGFIVYSCVAVACVLFLIFRVVERSGHRLMLVYIAICSLMGSLTVNDKLSV >DexiUA01G0021230.1:cds pep primary_assembly:Fonio_CM05836:UA:43955900:43956932:-1 gene:DexiUA01G0021230 transcript:DexiUA01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMSADSSAGSPCSWASASTSPEHQTVWTSPPKKPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDAAEDAARAHDAAMLAIAGAGACLNFADSAWLLAMPASYASLAEVRHAVAEAVEIVD >Dexi6B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:6B:27130229:27130882:1 gene:Dexi6B01G0020760 transcript:Dexi6B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRDANVAQLMRMETCSLFIRRRGGVVQAGTMEQRTYSLKRPATSCVAAESIPILCNGEATSSTTSCTRVTSFLPLAAGTCSGDGEPAEHPGVGRHQQADHLLEMPGVGGHGLPDGGRHEPPQHVHDVAGDAHQLGGETTAHSAASSASASCCDDMGTEATYSEKAAPKSSISSLAHRRRSPWWWCSPTTNCKLLAASAMEENTMRATSLM >Dexi9B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:9B:13419692:13431132:-1 gene:Dexi9B01G0018830 transcript:Dexi9B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPTNPSPPVPVPVPVPTPPPTANGAASSPKDQPPPPPPPPPPQQQQAGGQEESAAAADGGGGAEAAEAGVVAGAAGEAMEVDGGPGAGDAEAGGTAGGGGGGGGGTGGGAQQASPATVFRIRLKQSPASLRHKMRVPELCRNFSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPNKGPVNLVRDASSWQCEHEWRQDLSVVTKWLSGTSPYRWLPANSTSSNLKTFEEKFLSQQPQSSVSLNSVIAFLDADFHSLPTMQQRQQHCPVSVGYVDAVLDLASHFITRLRRYASFCRTLASHVGPSSTTSTSRSMVTSPTNSSPSLSNNQGNPGGATSATGNSQMQEWVQGAIAKISNNSDGAATATPNPMSGRSRQAPRLLANAQKNQDSAIHKIQHMMNAKTEDNTATVRSGLGAAKVEDGQATRGGQFALGAKGPEENSIGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSTLPHPLPASQVGSNNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMLHKKFSGSINPLLSDMEEDHGNSLGIQSLWPRKRRLSERDAAFGLKTSVGLGGYLGVMGSRRDVITAVWRTGLDGEWYKVSMLKAAFNSGHFKVL >Dexi1A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:1A:6652265:6661181:1 gene:Dexi1A01G0008540 transcript:Dexi1A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLVGSGIVPFENMGRKKNPIVFLDVSIGDEPDERMIFELFADVAPLTSENFRALCTGELGKTKKPLCYKGSIFHRVIKGFMAQGGDFAKGNGPGGESIYSGKFEDTGSQFCITFKPNSRLDRKHTVFGKLLVGNDVLKRIEQVDVHGPDSTPVIPIRIVDCGQLIDGRNVSETTENDKKRAAKSKLSKDMSSDEESNEGQEKGRRKKSSKRKRKKRRYSYSESDSSSESETESSDSESDSDTYSGDSSDVSSSSDDRRRRRKRHSKKNKRKRSRRKRDHRREKRRRKRDRKSKQKSKRRIRYRRRSRSTSRSPVHRDRGRGGGYSRSPVRSRSPPAGKPRSHGERPRSVSRSRMSRSRSRSPPPVQDRSPPDSPPPKRASDEKSRSPSRSRSLSVSPSPGGKKGLVSYGDGSPDSAGK >Dexi2A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:2A:24971948:24975574:-1 gene:Dexi2A01G0014840 transcript:Dexi2A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKSNETEAVET >Dexi7B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:7B:11853857:11854662:-1 gene:Dexi7B01G0004840 transcript:Dexi7B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSQLLLLGLLAMWTFVASAAAEIPAAKAPTRCGNIDVPYPFGLDPQCAIHGDFVLNCSTVGRDTKLFLYNMEVIKVSVPDGKVWVKTLIACQCYNQTTNSLSIFNVWMSLPSTYALSADDNKVIVIGWPSSGPALDKQNAPKNGSCSGAGCCQADLPKAFNFSTTYLTSTVFYDMTTHGNRLCWNGG >Dexi3B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:3B:5928808:5930416:-1 gene:Dexi3B01G0008440 transcript:Dexi3B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGIRSLGFDDLAVAEEEWADLEPFFFDEAVAVAEHAAAEERRRQKEAKEARKKAEYDRRLQAHQDALERITDYCPKDRRTYFTRFYLADLSKFDLDEESPFGPMRYTNTYTETSTNQHGLFFQHGDGNWFAPVTSANILSVKIVSSDVGFPIKVYGTVIARDSLDYKCVSLFNRDEHHCQLIDSEDNELILTGPRRGLVLVDAIYVEIDLKIKGDYGQQDRELSKGYIMIDGIRRKSCEKTVVETDSLDSKLSTVNVVFAVVKCSTEATIAIDIVRGEFDGKITAHTTSIQNSLVLYDSRVAGTVTGDGTKSIQLLRFAVVVYVHEVLIVSAVVGTDGDETQHTIEFNPALNGGDEAEITCASFKMVVKVAGRSEF >Dexi9A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:9A:9179211:9179914:-1 gene:Dexi9A01G0013960 transcript:Dexi9A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGSAAADAVQEPPQKITKIAPLLKVKKLSEKAVLPSRGSALAAGYDLSSAVEMVVPARGKALVPTDLSIAIPEGTYARIAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDNEFAVKPGDRIAQMIIEVIVTPDVAEVEDLDATVRGEGGFGSTGI >Dexi6A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:6A:4312494:4316011:-1 gene:Dexi6A01G0004750 transcript:Dexi6A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKSLPLGFRFHPTDEELIRHYLKGKITGQINSEVEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRTIRSKGDKRKQHTIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKPERPSPEEVDPSGFSPTPSRSSPDHIEANEEANTPLNRGSPESGLHESPIQLPNSVETHSTPMTRWLESRNDNLVAAAADVSRMHVNVHGDEPKVEPSAGAPALFVNPQNGDGSLNNFVPDIAPNLPHGNAFFPDFQQGPFGFDGNMNPPDALDAFLNQTLVDPDEHSSTTSRVQYDSDIPMEFENNGVAQGEDDSWWANLDFLPDEPNPLNSIYENAPLLHYDPNDQDVLSVDSGADSLHELFNHMEDSSARRAGMNMEYGLQGTGISLFPRQLQSSVQPNHVFANQGTAARRLRLQLHDDFESGESIARDESEDEVSCVVTADKDVISDGGDEAESSGIIIKSRPAPCSSSESSFTQQGTAVRRLRLQLDLKAGPCVSTDDTSSCIIDETEVHPTAEKSEIGDDASTNFAGVVDDLSGNFNDTEEKIIPKHVAETAVPEAKSVPRLRKASEKSSKDTIKQEDGLEPHVRAPAQQGGIQSYIVWLVLSVALLLLLCVGVYGWM >Dexi7A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:7A:17981816:17985912:1 gene:Dexi7A01G0006630 transcript:Dexi7A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDISVDIQPDFNSFDNFLSMRYIATDRPWLSLYVSRNTYIHTGVTEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPDKVKDAVKSMHFRASKADCVFKGDYILTEDGQIEMALLYPGHRYTLVRMCLRLRGTTVGANNRLDVLKILTTGVNATELKNWTGSILELVEGWEEDETHDPDVPAVSHSRGLSPFVFVPFEEADTSVLNLPVEKMDYYVPG >Dexi4A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:4A:17194696:17201012:1 gene:Dexi4A01G0014750 transcript:Dexi4A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLANSSGQAAAIGSDSARLGGVEMVAVAADAPIRGADAVPAEKSEAAAAAAAAKGGDGEAGKEEVRYYESDMSKLQDLLSKLNPSAEEFVPLSRRRGDGGARRLSADAPVFVSPAIDYYARHNQLPSPLPQQQPMHVLQLVGDGGMGGAGGMDSSSDGSANGQPNRRVIAILPSSIRCGFISGWIHVIRRNGFIQGRRRIMGGRPRRAEREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARTALTLGGTVLGYYPVKVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVAEDEVRQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQADSAILALSCSG >DexiUA01G0016880.1:cds pep primary_assembly:Fonio_CM05836:UA:36043205:36046923:1 gene:DexiUA01G0016880 transcript:DexiUA01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAQIFNAIWTTDHIGCVDMLSVTMRAVVVSPCWELVKVGNLADLQWLRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQPASCFASPPIHLLLAASVLMVDRRRSTSRPFRREVVGGSDDGGAGTALAFDLQIAGCSGRPHERYGSGRFADPRCGENAAKYDLEVGLAYPLRIL >Dexi9B01G0047020.1:cds pep primary_assembly:Fonio_CM05836:9B:46249095:46250756:-1 gene:Dexi9B01G0047020 transcript:Dexi9B01G0047020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSLTNSSVAPCLRVELSLPRRPHMDLFLLFVELFPVLCFLTLYYRHLQSKKTSPLEPTEWPLVGHLPGLVANIHHFHDWATGVLAGAGSNFEARGGRTGLRYFITSDPSNVRHIFTSNFSNYPKGDEFAVIFDVLGGGIFNADGESWRRQRVKAQMLMSGPRFRSFTARCSRDKVEKSLLPFLAHAADEGTPCDLHDAFLRLTFDMTCNLVFGVDPGCLATSLPVVPFARAMDDALETLFLRHIIPMPCWKLMSWLEIGPEKKMAMARRTIDGFVSATVAQRRGDKLKHGISDSDDMLSSFICHDEDDGNDDVFLRDTTVNLLLAGRDTTGAALSWFFYLISKNPRVEQKLLDELAPVVASRKKKGDLMTCNDKVTFDVSELGNMVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGKEMKAGDKVLVFSYSMGRMPGVWGKDCMEFRPERWLVGDGEGGTKQKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKVVAAAVLWNFAVELVPGHVVEPKLSIILHMKNGLAVTVRRRRDAPESRASSRLLG >Dexi6A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:6A:28194969:28197938:-1 gene:Dexi6A01G0020800 transcript:Dexi6A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVKMNDSEPQPAAPAPAAAAPALSTVHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLDFALPASSEAYARLSALLPKEDDTEMEVDAAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDEAKACAAASIARLRSLNRRTVDVLASRLYFYYSYVYELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRVGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSEDPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Dexi1A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:1A:6139696:6143479:-1 gene:Dexi1A01G0007990 transcript:Dexi1A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLRRARRIPLLALRRGLSSSSSVASAEAEVLPPPRPPAGRRVVVTGLGAVTPLGRGVGATWDRLVAGRCAVRALAAEDLRLPAGRTLEQLPSRVVAAVPRGKGEDEFDDEAWTKDKSISGFISYALCAADEALRDANWLPSEDEKKERTRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRQALDHAKERGAKIYAEIRGYGMSGDAHHITQPQHDGRGAILAMKRALDQSGLNANEIDYVNAHATSTPLGDAVEVNAIKSVFGDHATFGGLALSSTKGVAPPTLNLEQPDPLFEGAFTPLATARKMPIRAAISNSFGFGGTNTSLLFSSPP >Dexi1B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:1B:5765922:5767866:-1 gene:Dexi1B01G0006970 transcript:Dexi1B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQEVIRRVPKIDSESNAGEELTAISGEVEFKNVEFFYPSRPETPIFVSFNLRVPAGHTIALVGNSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEGATEEEVVAAAKAANAHNFILELPQGYDTQVGECGVHLSGGQKQRIAIAKAIIKSPKILLLDEATRGD >Dexi9A01G0048810.1:cds pep primary_assembly:Fonio_CM05836:9A:51542039:51544224:1 gene:Dexi9A01G0048810 transcript:Dexi9A01G0048810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESCTVDLEKGVTGVETATKELNPRLLICLSVINRFQEAERLVDDVAERIAERESVPPSLPRELQRRTAEIRRKVTILGTRLDMLQEDLSDLPKRQNIGLKQLGKLAEKLSGLSSKAKEVGGQFTMKYASDRNDLLGSSEQSAKIDVNNIADMDNHEMISLQRQVIKEQDSQLEILEETIVSTKHIALAINEEVDLQTRLIDDLDERVEDTSTQLERALKRLKKLNMRVRKGDSCWGILLAIIAAVICVVVVWVLITIKG >Dexi2A01G0029440.1:cds pep primary_assembly:Fonio_CM05836:2A:40498557:40499207:-1 gene:Dexi2A01G0029440 transcript:Dexi2A01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQADVGGGGGADRISGLSDDLLHSILLRLRDTAEAARTCILSRRWRHVWLYLPELSFLYQNEPVSAAQAHARVDAALAAHSAIAIGRLEITIPDDGSPGMPTDSVSPWLLFALERLAGELSLSLPYDGAAHEEDELLLPPCERVTAIRFDLNCTLRFRPGGAFTALVTLEITHAGVDGHELERLVSTCCPRLEELVLEWITLRDGARRVLSIR >Dexi7B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:7B:19097781:19098356:1 gene:Dexi7B01G0012290 transcript:Dexi7B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPGSCPAAATDAPVCPAGAAGTCCCILLPSSMRCVSCLCALFLAPKFLPRFLRYDFRAVGSRGLVRPRVVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLIHHVFPLARHLHPAYCNWCSCT >Dexi8B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:8B:991629:992528:-1 gene:Dexi8B01G0001520 transcript:Dexi8B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPIPAPPSRRSDHQPPPTGDANATAADATTSAPTETTPLQEQPPYPSFNQRPLSPPPGTYIVQIPKDQVLRVPPPDRARRYKKLAARPARRRLLRRACCFSLAAVLLLILLAAAFVGAVYLIFRPRSPTFSVSSLSIHGLDTLSSSSSSSSLSPELDAAVRADNGRNRRVIIDYRGGGSVTVSYAGERLATGPWPAFRQAARNVTVFAVAMRGNGVRLTEEQTKQLAAEQAAGDVPMVVEAVVPVRLRFGGTLLRTWTVDVKATCDVAVDRLAANATAVNRGCKVKVKPLWWWR >Dexi5A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:5A:12383650:12386577:1 gene:Dexi5A01G0015060 transcript:Dexi5A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSENYANPKTCFFHVLFKAAALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNAAAWIILGIFSLIRLQADYLLVIGVCLSLSIANIVGFTKCNKDAKKNIQDWTTNALLSGSVRSHLQSAFGV >Dexi9B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:9B:10820877:10823807:1 gene:Dexi9B01G0015800 transcript:Dexi9B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEDLVPHPIREQYEGVDYCITSPPPWITTVFVAFQHYLVMLGTTVLIATIIVPLMGGGHYEKAIVIQTILFLAGINTLLQVHFGTRLPAVMGGSYTYIYACVAIVLSPRYALVIDPLERFVFTMRSLQGALIIAGVFQAVIGFFGIWRVFIRFLTPLAAAPFVMLSGLGLFFFAFPGVAKCIEVGLPTLVLLILFAQYAAHLFAKGSFVFGRCAVLVTVVVVWVYAEILTAAGAYNERGPITQFSCRTDRAGIIQGSPWVRFPYPFQWGYPIFCFQDCFAMMAASFASLIESTGTLIVVSRYSGATFVPPSVFSRGVGWEGISIILDGLCGLLALTRVGSRRVIKISALFMIFFSLFGKFGAVLASIPLPLFSALYCVLFAYSVGAGFSLIQYCNLNSLRTKFILSISLFLGLSISQYFRVYEMFFGFGPVHTHSVAFNVMVNVIFSSSATVAAIIAYLLDCTHQYWEVTVKRDRGWFWWEKFKSYKYDARSEEFYALPYGLSRYFPSL >Dexi5A01G0037120.1:cds pep primary_assembly:Fonio_CM05836:5A:38459263:38460124:-1 gene:Dexi5A01G0037120 transcript:Dexi5A01G0037120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEATVSQPTNGKVYLAVADVKQLGLGAPMVASMLREDLVQEYWENERKKIKNSLDECLQNCKVQAKLRIIDKHDVAPALLEQIKERKITTLVLGAKNRYVTS >Dexi4A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:4A:323394:324421:1 gene:Dexi4A01G0000470 transcript:Dexi4A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding AERLALGKWPSRMKLLGALTCVGGTMIVSLLKGRLLHLWPTHLLNYSHSDTPAASGGHHHDMVAGTLFLCASCLSYALWFIIQSFVVGIFLSHHIADWRLKCDLQLLTIVCSGLFNTGITFVLISWAVTRRGPIYPSMFNSLSLIIATVMDSVLLGTNIYAASIVGTLLVVVGLYAYLWGKGKELQAMTVAKKLEQAEELAKRDVDEMT >Dexi2A01G0030480.1:cds pep primary_assembly:Fonio_CM05836:2A:41394595:41395246:-1 gene:Dexi2A01G0030480 transcript:Dexi2A01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSTARAGAYDVFINHRGLDTKHNVARLLYDRLLHLSGGRVRSFLDNKSMRPGDRLEESINAGLGQCKVGVAIFSKHYFDSDFCLHELASLVEARKVIIPIFVGVKPSELVLPQAVVDSNAHAPRDIERFRVALREVKYTVGITYDPATGDLADLVNKAASAVMERIQETESVPRRQMIASRL >Dexi9A01G0026770.1:cds pep primary_assembly:Fonio_CM05836:9A:30741310:30750469:1 gene:Dexi9A01G0026770 transcript:Dexi9A01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRREPELVAPARPTPRETKALSDIDDQHALRYYETVIGFFRRCSGDDGPEDPAEAARSALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVAFVEADADVRLEDFGEPLLPPYPCVEELLCDPALGYKSGQLVRLMITMNARGRWNRHTLIPWGYYGNAHFSPIAELTVDELCKQPLIDTVELVHRTKVSVTKECMESMVQTIASLRHKPCADPARTYEVSDTKWIAAGNGLQLGWAEYVGGGIPVAGDITSKLGSDHMRCKNQDGEDSTVVSMLLPRPAMDRKKQDTNSINLGLAVSSHIIAPAASRITSCNTSTDKNGVLQGSPDEPQLVSPAWPTPRETKPLSDIDDQHLLRYYETVVGFFRTCPGRTDRPADLMRATKAALAEALVYYYPVAGRLREEAGAKLVVDCTAEGVVFVEAEADVALEEFGEPLLPPYPCLEELLCDPGEINAVIGRPLLFMQVTELKCGGFVAGFHMCHNIADGFDMIQFMIAVAELAVGDLAGSATSFELITAVMWRCRTIALGYQSKLTVGELCRQPFADTVELVRKTKLSVTKERMRSIVDTTAYIRQWPSLVTMDKTYEVSDTRWIAAGNGFQLGWAEYVGGGIPLAGDLTSKLGSHHMMCKNEDGEVSTVVSLLMPRLAMERFKKEMDVWLNKTEKNLIITSSL >Dexi9B01G0045350.1:cds pep primary_assembly:Fonio_CM05836:9B:44850807:44856862:1 gene:Dexi9B01G0045350 transcript:Dexi9B01G0045350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVAGRDGDWATRLQEAETMTEEEGWKAPSEVRKATLSQHAVTDGSSEAQMPNSATAWKMGLSISYPPDDYLPEDDDDDDMDRLFVRSLSFDNLSTLDTLESPSALLDALTSKRLVARGPLSCEKREFDPFQVETTVSTVSPKPAKKSCNYKPISLSRYESLENLPLNSPVIGMVSPKHQAAAIRVQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEVQKPESALSRWSRARMRAAKVGKVGKGLSKDEKAQKLALQHWLEAIDPRHRLDIGEGKEVNMEDHCPRWKLLQQCIRYLGPKEREFYEVVVEDGKMMYRMSRKIVDTFEGPRDAKWIFVLSTIRVLYIGVKSKGTFQHSSFLAGGATSAAGRLIVENGILRAVWPHSGHYRPTEANFREFKSYLRKRNVDLTNVKLSPSEGEEDEWLRHRGSLSQISLTESSNPARQEDSKPQTPVADQGNTTPATPPSTRHDAAGTPVMKRSSSGSRLQRKRPPRLAVGKNLVGRGTAAEHGAGAFGDCLDFCKANLFPGGEAGEEVVVVPQEKILHRINSKIALHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRAGAGPGRFRATTHGQSPCAPSLGGLVSPPPPLQHTAPSNFF >Dexi9B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:9B:4012826:4015464:-1 gene:Dexi9B01G0006700 transcript:Dexi9B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLFGCFRASAGGGGGREGKGGDDGGQLAHPSVAPATSHEPRSLDSACLWCVSSGWCGEEDEAAVEERARRRVPARSSSKPNDELQSSATSLANNVEDLTMTNESNTEACVQDEEQQVLDLAKDYEECGVSKEEILQPEQSENPSCAKNDNVVSMEISMSDECSLFHSSEGSISSSNKTRDSMKATSMEKPLETEATIHATGKKVLKNNDSEQELPSLSHWLKPPNRKKTFRDDALTGDRSHSAKSSDEDRPIIGMDQKVSWHATPFEERLEKALSEEKSLSERNCSSGKTSQFLGVEGEESTTAESNRLYAAAYSLVSSVGSILM >Dexi9B01G0032790.1:cds pep primary_assembly:Fonio_CM05836:9B:34981286:34982000:1 gene:Dexi9B01G0032790 transcript:Dexi9B01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANEVDARAAAAAAATGKGDTKSVAEEGGQPPAVKGGAAVSLAVVLLALLVASVAAFLMSSVPRAGDGVGDGKVMQEAGAGPVEGQPRQPAGTKGAEPVEHAVGDDVGGIPGFNSRVDAFRTWARLTWMKLRRPRSDEPRYACDNCSPYDGVAGGGRAGSVADAAKKSFEMSKETVEQAAETAAKATGDAVEATKEKVKRAASPSSGRSEL >Dexi1A01G0030560.1:cds pep primary_assembly:Fonio_CM05836:1A:35825870:35826545:-1 gene:Dexi1A01G0030560 transcript:Dexi1A01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLIVLLVAFAVAVPPSMAARDVAAAKAAEAPSPSGSGGDDVLYPTDIFGDIIGEIGKDIGQIGKDIGELPDLPLPRILPCPPAFPKIPLIPCHNVTMAPLPPVAACRPGLAKYMAPCAGFLTGGEPSSPPKECCDVVAPFFQDVTTTPFCLCHFVNGDDGKVFQAPVNHTRASNFLNQCGYGLTSDDVSRICRLLDDT >Dexi9A01G0031320.1:cds pep primary_assembly:Fonio_CM05836:9A:36264787:36272348:1 gene:Dexi9A01G0031320 transcript:Dexi9A01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRNLLLLIPSVLLLLPVTSAAAFSVSTPIELTGKQDSVSNPASGTPKALTLTPSSPPHLAVTSHPPVSFPLPPARSISAGDGGAAGGPYCTRVFLHGRPSRLRDPSRFFHALRLRANATRPHGLELCFHRNATVGPCKCAASQWQKMPKSGLWVQAISPYDQRILDFRMPADPSRSVVLSTEEEFLLHRVVFLVLGLVLMVLAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFAYSTVVGMTTYFLHYLSGLLRSFLVEIGIAEDMHNPLGIFLLVLVILAGAWFGYWGVRKLVLTEDGSVDSGVAYFVEWAILIVSAVMILQSSLDYLFAFAALVLCVAIKSIARIEGKSRFLRYLSRGLSSGTARPSHYGDLGEEYSIMNGTHQDGFGSAKTLSQGVVRDSYYSTYHSTPDRRKFSKEEYDAFTREETKKGVTQLMSSPDFNRWALANADRISVTPPGGSYSSSSSCQQRRRIFGLF >Dexi5A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:5A:5496557:5497336:-1 gene:Dexi5A01G0007400 transcript:Dexi5A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLHLSLLAFLLLQLHSHANADCEPATCGNLTLRYPFWLGDSKQQTPSPCGPPAFEIWCAERSVASLRYTSFHVLDIDYANNSLIVSNTGVAAATHDGVCNTYFNMSINLSFGPFTIGHRNRALCFLHNCNGTAPSGPGYVNATSNCSAPIYAYLGGTYHWGKPPPEIATGGCTYSYLPVLVKEAEITITAANYSRLLKDGFVLEWEMASVGDCGACAAIGGQCRYNKSMMPAFWCLCPGGGLAELACAGESLSLTY >Dexi4B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:4B:17235458:17237668:-1 gene:Dexi4B01G0015440 transcript:Dexi4B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAAMDGLFADGVYLRLRSRARDTYLHADKDGEGLSLLPRGGDDGAPAEAGVWRVHRVLRDGDNYVLLHGAAYGRYLALSDEAAPHGCVGKRAVQRGYDDPELDAVMWKPSAVPDAPTSHVLMRHLLNGTLRANGRFRVWNNGVSIDMYFGNRSTMRQWIVEVVPPRPQGRYNTTLSCSGGPVRARGLGGGQSATCMRTSRWTSAQPVIRSVYDLRNQLGIRVNHGHILGTIMCVQAGLYGRLTPLITDLTHSDEPLHVVVYTAGTPVLNSVWK >Dexi9B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:9B:11981592:11986179:1 gene:Dexi9B01G0017200 transcript:Dexi9B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATGESKLESPLLSPATASGGGGHGEVSGQLESILSDESVPWGRRMGAATVVEMRLLVRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYLQRSTVLLMATGIPLAVLYAFSRPILVLLGESPEIAAAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYKFGLGLLGASLMLSVSWWVIVVAQFVYIVTSQRCRLTWTGFSWQAFSGLPTFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCVRVSNELGAGNPKSAAFSVVVVTVLSFILSVLASVVILLCRDYISYIFTEGEDVSQAVSQLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWNKEVEEAQKRLNKWEDKSPLLLD >Dexi1B01G0028540.1:cds pep primary_assembly:Fonio_CM05836:1B:33045285:33054715:-1 gene:Dexi1B01G0028540 transcript:Dexi1B01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGEASSAAAGNGNGVVRKTPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYLRRAVAAAVAAKDEAAGVAAAAAEGDGEREEEEGPAVAPVVVFVNSRSGGRHGPELKVRLHELITEDQVGDAVAIRSALVFDLSAVKPSDFVHYGLSCLERLADQGDNCAKAARERMRIVVAGGDGTVGWMLGCLSDLYKTKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLTKAATGPICRLDSWQTVIQMPEGEIKELPYALKKVEPADRLEISQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLSVRSLVVLNLYNYGSGRHPWGDLKPEYLEKAAAIKFEMRGGEWNRAYVQMDGEPWKQPLIQDQSTFLEINRVPYHSLMINGEQ >Dexi7A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:7A:16822038:16827474:1 gene:Dexi7A01G0005560 transcript:Dexi7A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIYATTSGRCGCSSSARPATPLLFFPAPTLPLLSPSSLRRRTRRRSPMGATGHLVGLAAAVRQRNGGRLCAAAAVLGEPLPALDDPDLLVHPSADFAAQALVSSTEQYREMYQRSIDDPAGFWSDIAEEFYWKQKWNPDEVCAENLDVTKGPIKIEWFKGGKTNICYNAVDRNVESGNGDKIAMYWEGNEPSDDGKLTYSELLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIVDCKPKVVITCNAVKRGLKLIALKDIVDASLVESAKNGVNVDICLTYENQSALNKVGTQWKTGRDVWWQDVVPNFPAKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGVTEHFAYDAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVARYSRKSLRVLGSVGEPINPTAWRWFYDVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVAYSDDLRKSLIMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVEQLIALTDS >Dexi8A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:8A:5173732:5174385:-1 gene:Dexi8A01G0005530 transcript:Dexi8A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDDSCRRPGSVPFKWEVCPGTPKHVRSASASAAPPSFSSSSTTTYASSNNKVAVSPKLTPPPAMSPSPYHSPRISYSYYAARSASISPSRRRPPPHRPMAFLDIAPRVAPAAYGAAAEAEESPAAMARCFPLPVFRRRDREGKKGGAWRSGASASSTSSSGSSFRSDGASQAARVSLRRSASSSSSSCLSLSSRSSGKIAEAREVEAAGGWFY >Dexi9A01G0038820.1:cds pep primary_assembly:Fonio_CM05836:9A:42967255:42970867:-1 gene:Dexi9A01G0038820 transcript:Dexi9A01G0038820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDKGAPPRASPPHTPPPGTESEAEAEEGADEAAASGAEMGTYKCCIFFTRRFAIGDTTTPEDVRTLFSRYSGGSPYMGPDDLRRYLANWGGAGGEVAEQLVDRVLQDRSRTPRFGRPALTVDDFMHFLFSEDLNPPLRHSKVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQLGVRVIELDIWPNSSKDDIDVLHGSCHGMCRDPIYVSILDRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLTPDLQAKVAKMVLEVFGEMLYYPESKHLQEFPSPEALKGRVMLSTKPPKEYLEAKGGTIKDRENEPQFKKGEKEEAAWGVEVPDIQDEMQVADRNEDDISFRERGLEDDNEQKACNHVAPEYKHLITIKAGKPKGALVDALKNDPDKVRRLSLSEQELAKVASNHGPNIVSSFTHRNMLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNIQKPDFLMQTCPDGKVFDPKADLPVKATLKVKVYMGEGWHKDFKQTHFDTYSPPDFYVKVGIAGVPLDSVMRKTKAVEDNWVPVWEEEFAFPLTVPEIAVLRVEVHEQDVSEDDFGGQTALPVEELRPGICAVPLFDHKGHKFNNVKLLMRFEFT >Dexi4A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:4A:3381948:3382344:-1 gene:Dexi4A01G0004810 transcript:Dexi4A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPAPQPTPPPQAQQQQPSKEQQQKALAANAFRLKAIGDRIMAHLRGMGGVLAIAEFAHLVYAFARWAPPLAILNFLGFSAATRDRFRGLGW >Dexi2A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:2A:5901832:5902625:-1 gene:Dexi2A01G0006270 transcript:Dexi2A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDGKRVVSTAQGQKLADEYGIKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRPDPDQPNPASRWSSCCNS >Dexi6B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:6B:23875280:23876482:1 gene:Dexi6B01G0016590 transcript:Dexi6B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADEDYMGDLSHFLPPSPSSSPSRNLGRRKQPPAQAQPQAKRGKGVPWKERRRQERERKQQEEDARTMAGLAEAIPESNVGFRMLKQMGFDPGARGAAEPVGIEIRRSRAGLGAELAVAPPPSAAPVEKSPAAVEMERRRQEEMAEELRARKSTQWRGRRVVWDFSKAEAALAQLESREVEPPAPEGEEKEKGAEEKEEEVITEEDLQNILSKLRDLYQYCLYCGCKN >Dexi3B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:3B:5122617:5126444:-1 gene:Dexi3B01G0007240 transcript:Dexi3B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPPPPRKEFPAFPFEPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLLDSRDAPATAPARAGGGGAGTGGDDDEPDWMRDFTPLPPEKEATKKKPKSKPPATRKTAGPVRPDGLGEQDGGDDEVEFLLEEYESDGEDGPGRRGVGKRAHCGNSSSSEGEELDEEEEEEVTPKVYFTSRTHSQLSQFVGELKRAEFAGRIRTVCLGSRKNLCINKDVLKLGSANRINERCLELQKNKKSSKIKVEDEKRKAHQAKNSCGCPMLRNKSRAKCQTKLKAVLSHLDAYLDRFHNVLGAGNRRYIQTLTVLTRSFLRVLINNQDGDNTMSSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKITSIQDGVNQLGQKQEHEGSSVACFQALVDFLRSLLNSNDDGRIIVAKQKLNGQPEEAYLKFVMLCAEKIFSEVTRDAYAVILAGGTLQPIEETRLRLSPDLPPADIKFFTCNHIVPPESILPIAVTRGPSGKTFDFSYNSRSSPSMIEELGRFVCNIVTVVPEGVVMFFSSYDYERRVYDAWMTAGTISKICKKKHVFREPRNSADVEAVLSKYKETIQACSKNSQGTGVNGALLLAVVGGKISEGINFSDGMGRCVIMVGLPYPSPSDVELIETIKHIETISSSLLVGDDKTLGRKCDDECELQPGYDILRKCTKGGRDYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYAQAPSSKSFSSPTDKLPQWIKTQLSYAQNYGEVHRLLYQFFKFNKQKC >Dexi3B01G0029630.1:cds pep primary_assembly:Fonio_CM05836:3B:28911169:28911682:-1 gene:Dexi3B01G0029630 transcript:Dexi3B01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCRLAGSAPLAARSRDFSPLLSNELGAAAAIKEVVMSPTRSSPRLADAPDQHILERAKLRVAWKNLDREVRNMNYWIMMRMRITILRTSPLATYVVISWRRSWMKTVIT >Dexi1B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:1B:23370296:23370538:-1 gene:Dexi1B01G0016980 transcript:Dexi1B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMGGDFVEAYKEKLRRMEAAEEKKKSKRRSGEEKKASGGSRGGGLLLGLIRKKVHPKAAAETSNSSGTSSS >Dexi7A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:7A:25673677:25675618:-1 gene:Dexi7A01G0015800 transcript:Dexi7A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSAGASVAVEHELAVAHGARAWASSVVSRLLLAFREKVVGSCRRVWRIGADDPRRAVHGLKVGLALALVSVFYYTRTLYDGVGGAAMWAVLTVVVVFEYTVAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVSMAEQRVCTISIGVSICLAVSALICPVWASQELHLATARNMEKLAGAIEACVEDYYLAAAADEEDEPTNNSKKQPSSKAEGYKCVLNSKASEDSLSNLARWEPPHGGFGFRHPYGQYKNVGAAMRHCAYCVEALTGCVRSAGEVHPAKAPGRRQLADACTRVAARCARVLREASGSVRSMTTSRALDMAVADMNAAVEEMQADLRSIPSSCLLLAGSAAENTAATSALMDAAAQLFTVTSLLIEVSSRIEGVVDAFDTLARLANFKSADEEEKPAKSVAKAEPVIEPESEETGGNQTMRRLNRPEH >Dexi1A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:1A:2355918:2358492:-1 gene:Dexi1A01G0003290 transcript:Dexi1A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYAAGHAALLAAPAGSATAGSSLDAFASLASCGFLTLRSPPASPATTGVEENGITILELAQLLDVYDHLYPRKNAETGQEAAQVDPQELVVPKLSERWHGGNLAMLAERFLHEVAFKLWKAPKYGA >Dexi4A01G0024410.1:cds pep primary_assembly:Fonio_CM05836:4A:27194808:27204750:-1 gene:Dexi4A01G0024410 transcript:Dexi4A01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRPDAPSSSPSPSPAAPPSSGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTDQTIMCYPEIQAAVYALRNIRGLPWPKDHEKKPDDKNTGKDLLDWLQAMFGFQMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWLVVRXXXXETLIKDLNMRSLPALSKKFIDLLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEEQLPGVLDSIHGGHNRRHEGITPLDQQDQLFAKAIRFPMEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSLHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEDAVREDEGLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDDLMEGYRATELMSEDSKLMTQCKAIADMKFTYVVSCQQYGIQKRSGEPCAHDILRLMTTQDRNKKVEKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFEEKHDGVRCPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGRRFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVFEIFGQSYRGAVTYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPEKSWESWWDKEQEPLRHSGKRGTIVEILLALRFFIYQYGLVYHLNITKKITKDTHSVLVYCFSWVVIFVMLLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFTAIMIILIAIPGMTVLDIFVCILAFMPTGWGLLLIAQAIRPVIQKMQLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKTRNKE >DexiUA01G0004220.1:cds pep primary_assembly:Fonio_CM05836:UA:8271506:8274513:-1 gene:DexiUA01G0004220 transcript:DexiUA01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLAGTSSGFRPLPRIWRSSTMDGFIMALARSALGRSLAATWPASGGDHAEPAEPRRPVALVLARLSKPPEPRLASRRADAIMAVGAPPAAPRWIPSPLHAKRSAEDLPLPHATIFDAQPSRALCSSSARINGASRRLLPSRTLLRQHHSTEPLTTSPQSTELRFHFPALAPVASSLLQI >Dexi1A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:1A:2653823:2657351:1 gene:Dexi1A01G0003630 transcript:Dexi1A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGHGAAAAAAALVLVSWLCAAVVGVGAIGANWGTQASHPLPPDTVVRMLKDNGFQKVKLFDAEDGTMSALKKSGLQVMVGIPNDMLSMLASSMKTAEKWVDTNVSSYLNDGVDIRYVAVGNEPFLETYNGSFLQTTYPAIKNIQSALIKVGLGNQVKVTCPLNADVYTSSSTKPSDGDFRTDIHDLMIAIVKLLSDNGGAFTVNIYPFISLYGDSNFPVDYAFFEGAASPVVDGSLTYTNMFDANHDTLVWALKKNGFGNLPIIVGEIGWPTDGDKNANAQMAQRFNQGFMTHIASGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIYTYDGLPKYQLNLGTANSGGLVRAKGVKYLEKKWCVLKPSVSLNDPKLADNVGYACSMADCTSLGYGTSCGMLDVRGNISYAFNNYYQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSWRLQRLGGNFLLMLLLVLLQLCLLFS >Dexi5A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:5A:10070132:10072445:1 gene:Dexi5A01G0013400 transcript:Dexi5A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLPFVFLPLLLLLSSSTLGARTDEEAVPSLDAGNFSEVVAAHQFVVVNFYAPKCYFCKKLAPEYEKAATILRDHDPPFVFAKIDPSSEKNMGLRKKYSVSRYPTIKVLRNRGNTMQEYLWERDAESIAKNLKKQAGPSSTEIKSAEDAASSIANRCLVTVGIFPAFVGSEYENFTAMAKKLRAYSDFIHTKDASILPREVSEQGIIIFLYLQDFDKHALEKFVRGCGYPTKMDTNPIVADRYLIYLRYFRSAPTNAILFLRFSDNRTEDSKGRIYKAARHYGTKNISFLVDDVSNPQGAFQYFKLNISEVPLIFVRESNATYMKPNVEPDQILPWFKEYSDGTLAP >Dexi7A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:7A:30119922:30123181:1 gene:Dexi7A01G0021520 transcript:Dexi7A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSAAASACDEFPYVTSNAAPPSLLPIMEQQESSIQREHHQLGYNLEANSLALLPPSTAAAAGHHHSTTIAGHDILQFYPSSHHYLAAASGNNPYSHHFSAAGSTLHQSYYPQATAAPEYYFPSLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGDAGDKKRAHANKAAAAKDKAGSSNKNMDIGDGLGTQILGGALLSKEQDQAMDLGEVVKEAVDPKGKASMQQDHGIHHHGFPFHSSSAGSCFPQSQAVSGDTTSNIAQVQEPSLAFHHQHHQHSNILQLGQAISMFDLGFDQ >Dexi9B01G0025590.1:cds pep primary_assembly:Fonio_CM05836:9B:26642461:26644485:-1 gene:Dexi9B01G0025590 transcript:Dexi9B01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNKEWWPASFMVLIQVFITGQILLTKVVVDDGLSVCILLTYRFFMGAILVTPVAVIFEKGKLKELNLKAFIWIFTSALVGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVIFSLYKGKVLHLWPTNIIGYHSKHSGAAYGHHHMRGTILLIISCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAVVGVAMNREKTTWQLKWNMSLLTIVYSAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSIGSILGMLMILAGLYLFLWGKRKELVPESERKLQDELPSEDKIIGSGSNV >Dexi3A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:3A:12949722:12953784:1 gene:Dexi3A01G0017100 transcript:Dexi3A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELPLHHSFRLSSGPHLRRLLPLRFLSSRHSFSSSAAAAPSASSPSSSGGNRTAPPAPSTGAPWLQKWAPSDPSQPAPAPAALSPTTSIDRIVHRLRNLGLASDDDDPSAATATAPPDGTERLGDLLDRSWARPDRQFAAASFDDAVLPWERDEEAAAGSRDEEDGVKRRRVKAPSLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTTVITEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVEAQAKIHRAERLLSKIEASMVLADPSDDKEMITDEEKSVFRRIGLRLKAYLPLGVRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVQETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLESNIKQMKLDLGIEDYEEQEEDNSDSESEDGTAVTYASYDEDQEDFDESADEDEYDDYDDDENEEIDSIHR >Dexi3B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:3B:5024493:5027123:1 gene:Dexi3B01G0007120 transcript:Dexi3B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRPFLGVSPVLLLPFLAASCAVVVFGAGVPESTARSIRRHGPFLGVVVPNAFEMEPLLRSPRFSPAKGNQRPPYLDVAGRRFRFGTIGEQKVIIVMTGLAMRYGDGPNDELAFESNGDYTRSLGNLNFSDYTTNASGNNNNNLLNAVWYQPEEVFPSNSGTPELRRHAFWVPVDARYYDLVARELEGHVILEACVVAGGNGTAGTTTTACLPRRPVVATVERGCSASVFVDNAAYRGFLRNRFGVTAIDMETAAVALVAMQQGTPFVAVRALSDLAGGGSAGSNEAGVFAPLAAQNAVTVVVELISLLN >Dexi5B01G0025940.1:cds pep primary_assembly:Fonio_CM05836:5B:27840656:27842635:-1 gene:Dexi5B01G0025940 transcript:Dexi5B01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLVERYEAATHTKVWTDIRQERRAELEKVEQMCELMEKELRFMTVDDGEQYTVPSLELLEHNLEAAMHKVRSEKDRKIGGEITYLENIIRGRQEERYGLCDKLAHSQAQNDEEGGSSSLSNGLELKLGFN >Dexi9A01G0025310.1:cds pep primary_assembly:Fonio_CM05836:9A:23453934:23455516:1 gene:Dexi9A01G0025310 transcript:Dexi9A01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIQPAATGGDARRALHEPLFPIEWTPPPSTTAPSASGFVSDPSTPVPPVDNGGPALLPAPPPPDTVTADASSSRTGPGPRPRGGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRIARHPAQTHKPPGLAAAPAAHPGPASAVVLHADAVGSSAAGSSSGATPYRKMRSERARRGMCRDVDTVPSPELRPLPPLRRTGSSDEDAAYYTPGQRSAGSGGGEGAGTWSEASASSPRTTTPSRRSLPSLTSDSFPTTPATASAAATVTPHPPAPPAPRSRRTLPRTRFSAGSASDMIKQMVSPPSNPAQPPPPPPPPPPAPRCNEPTPKPPPPPPEPPTGLLSARRMLKPQQTEGPSVAIPRAPVMTVERDNDHMPIRTQDAAAVDEARPKLKPLHWDKVRACSDRDMVWDRLKLDEDMIEVLFTNNAANAPPRDIPKKAGMPQCRFEEKVLDPKKAQNIAILLRALNVTLEEVSDALLDGEQFFGL >Dexi7A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:7A:30203328:30207102:-1 gene:Dexi7A01G0021660 transcript:Dexi7A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFPATAGRSVGAGMAQAVVPAMQCQVGAKAAVRARPAAAAGAGGRVWGVRRTGRGTSGFKVMALSTASTGVVPRLEQLLNMDTKSVTDKVIAEYIWVGGSGIDIRSKSRTLSKPVEDPSELPKWNYDGSSTGQAPGQDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRHRAAQIFSDPKVVEQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYLLERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDTFSWGVANRGCSIRVGRETEAKGKGYLEDRRPASNMDPYIVTGLLAETTILWEPTLEAEALAAKKLALNV >Dexi2A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:2A:24138881:24141811:1 gene:Dexi2A01G0014510 transcript:Dexi2A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPKPEASFGSTHANAAANQQQMELTGNNMGPSNGANNNNNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDASADGNKADNKDAGDLLAGLEGSSYEHATLVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAAGTSVTVSSDQFPDSERTEPSTPAPTSESPTQVGASNRDTGERTEASKSTCHGESLSRREPLTPDSNCQNGSPPASPNNERAAKRQRASGSEFLDTEAEFSLPGHIFESSSGPEFQQYSMSYSGH >Dexi2B01G0021480.1:cds pep primary_assembly:Fonio_CM05836:2B:31279903:31280484:1 gene:Dexi2B01G0021480 transcript:Dexi2B01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGHGGGGGGGAEGPPLPRAAQSSYRGVRRRPWGKWAAEIRDPAKAARVWLGTYATPEEAARAYDAAARRFKGAKAKLNFPTPTPTPSRPHHQEQATSSSSTFAATAVEFPGLRQYAHILQSSDEGLRAVAASPGLPRPPVDVHDQVGRSTR >Dexi9B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:9B:8925273:8925788:-1 gene:Dexi9B01G0013250 transcript:Dexi9B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEASGAPANGAAAPGSGEKDKDKERSSRRRSSGGDEERDDGERRSKRSRSEEGRDRDRERHRDRDRHRSSRERRDRDRDDKDKDKERERDKDKERRSRDREREREREREKEKEKEKERQRRSRSRSERRRDDEERDRYRDRDVRDVSFI >Dexi9B01G0039260.1:cds pep primary_assembly:Fonio_CM05836:9B:40019480:40022571:1 gene:Dexi9B01G0039260 transcript:Dexi9B01G0039260.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARCWVVLVSMAGKQHHVAIFTTASLPWMTGTAVNPLFRAAYLAKVGDWEVTLVVPWLSKGDQILVYPNKMKFSSPTEQEVYVRRWLEERIGVLPRFDIKFYPGKFSAEKRSILPVGDISQTISDDKADIAVLEEPEHLTWYHHGQRWKTKFQKVIGVVHTNYLEYVKREKNGYISAFILKHINSWVTDIYCHKVIRLSAATQDVPSYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNNEKEFVRLTMKALGEEPIPLSDELRHELSWEAATERFVRVADIAPIVSVRQKAASSQHFMRISQEELQRNMEEASAFFHNTISGIETARCVFGAIPNTLQPDEQQCKELGWNLQG >Dexi6B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:6B:21558225:21562011:1 gene:Dexi6B01G0013810 transcript:Dexi6B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDRSPAAEAEGQQPRPSLFSPYQTPRFRLAHRVVLAPMTRCRAPRAVPGPALAKYYAQRSTEGGLLISEGTIISPSGPGWRILMPDGSYGKYPTPRRLATSEIPEIVEQYRQAAINAMKAGFDGIEIHGAHGYLIDQFLKDGINERTDEYGGSLSNRCRFLMEVTCAVVSAIGADRVAVRVSPAIDHLDAYDSNPLQLGLAVVDRLNALQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRMMRALRGAYQGTFMCSGGYTRELGVEAVESGDADLVSFGRLFIANPDLVERFRRDAPLNRYVRKTFYTPDPVVGYTDYPFLGQPKARM >Dexi6B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:6B:1449019:1450539:1 gene:Dexi6B01G0001630 transcript:Dexi6B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAASLEQHEDDDIPSSVLLEVEGYFADRPNANTAWSKTSKGVPISVSFHLAHPPSLSTFSVHCPDLDLAKLSLPPKIIAADADLVLVRVPLEPAARWHQRHHDYFVYRMHPQRPKLDLIPNPRHERFGDDEIAILSCGDGKYVVAALRLTFELHLYRSSTNGGKTGSRWTSQRVSVEEPVRDRVCPIPKSAQPNCYHTTNKAITLGGDKGTVGWVDLWRGILLCDVLEESPKLRDIPLPLPTKGNWTRFLNWCPHIFRNISVNQSRDTIKYVEMDITFPEEVPVNRPRSVSDPGSFYEWVKRQECPEPQSYSLVPGRWTATTYSMPIPVSSWKDWRREFSVRLSDLKLPAENTMHYKLLHELISSSSGGVWEETISLAHLSMAYPTLSTLDGDDTVYLLLSKDSCEESCKETVEAVLSVDVRASTLQGVAKPDTGRYFSFRRSCLASGISKHLKTQVVGQ >Dexi1A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:1A:20805040:20806454:-1 gene:Dexi1A01G0014270 transcript:Dexi1A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKIAYGANRPLIYAIYARSLKCLKLLIEAGADVQGIGTETPLALAATYGLTDILKCLVQAGADPNVRDGFGFTPIENAARYSRREDVEILFPVTSRIPGVRDWSVDGIISYAKSKPVLK >Dexi5B01G0030910.1:cds pep primary_assembly:Fonio_CM05836:5B:31749102:31752818:-1 gene:Dexi5B01G0030910 transcript:Dexi5B01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNFGPQERILWPASVLAGIVMCGAVYEITCTVSSRCFKGYSRLSHMQKVEWNNRGFSTFHALVAAAISFYLVVISDLFNEDVNGIIIDRKSWLSEAMFGVSIGYFLTDLAMILWYFPSLGGKEYLLHHGLSMYAIGLALLSGKAHMYILMVLFTEVTTPFVNLRWYLDVAGQKTCNLYLYNGVALFIGWLVRSIFTLGFYSLVAVPSTVSLLNVFWFWKILKGMVKTLSKRRKHSENGKTD >Dexi1A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:1A:23284605:23285131:-1 gene:Dexi1A01G0016280 transcript:Dexi1A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAPEFAGGGGADVIRRAVLIGTGSPGSENQCVALVRAVGIAGDNLTVYRVTRPRGGINDWLGVLPVSLHKLIDKFLVRPFSRRAGTTNTPRKHVPHGGLAALSPSVPEADGKEIVAAARDAFDE >Dexi8A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:8A:29221507:29221866:1 gene:Dexi8A01G0017560 transcript:Dexi8A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASILSETLHEVSDIYSNVMDHAAGEFTQLIFGDAASEFTLPRTKSVRCATCGDSVAHWLVFSSGLTVILQATARCEEGMTLFFVCCNPSCGHRCRD >Dexi4B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:4B:15867223:15867625:-1 gene:Dexi4B01G0014700 transcript:Dexi4B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSPLRLLLLLPILLLAPRASTGAAARAGVGVSGGGQLWCVAKNNAEDGTLQSAIDWACSADGGRADCAAIQQGGACYDPPDLQQHASYAFNDYFLRSGGAASPAACDFSGAAALTALNPTS >Dexi3B01G0038550.1:cds pep primary_assembly:Fonio_CM05836:3B:41328136:41331762:1 gene:Dexi3B01G0038550 transcript:Dexi3B01G0038550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHSSRGHCSSLSVSLHCNSASLLQRAREMRRPGGAIAALLLLSVGSLLLPASLAFPLCTDARAPVLLNTTLKFCAGNGNGSSSCCDAAADAALGAQFDAMNVSDTACAAVLKSILCAKCSPYSADLFDAGPKIRTIPILCNSTSSATSAQSKDTTQYYCKHVWETCKDVKIINSPFQPSLQGSAPPPSSPSKLSDAWQSVSDFCSSFGGAPNDQSACFSGNTVSFNTTQPSPSPKGICLERIGDGSYLNMAPHPDGSNRIFLGSQAGKIWLATVPEQGSGGTLQFEEASPFVDLTDQVHYDSAFGLMGMAFHPEFATNGRFFASYNCDRTKSSSCTGRCSCNSDVGCDPTKLGTDNGALPCQYQVVVSEYSAKGSSAHVSELRGFSLWGYLIRLNTEARFLFFRFTFSEANDISNTSLWGNYSIPKDNPYVDDSELEPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDRYEEVDLISKGGNYGWRALEGPLVYSPQWAPGGNTSLNSINAIPPIMGYSHSDVNKNIGSASIMGGYVYRGSADPCLYGRYLYADLYALAMWTGTESPENSGNYTSTLIPFSCSKESPMACETAAGSPLPSLGYIYSFGEDNSKDIYVLASKGVYRVVRPSLCSYSCPTEKAVTTTPPGPSSKAASTAVSRVLLLLSFVVMFWVLMR >Dexi4A01G0024590.1:cds pep primary_assembly:Fonio_CM05836:4A:27303434:27303829:-1 gene:Dexi4A01G0024590 transcript:Dexi4A01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPVATQAGTPIAVRAHRFRCRNLEQQPPLVDSTARNSCKGRTSTMPHLWSTRQRSPARAELTEQLRARAAEGRATAVGRAGAPGRARARREIHTAALTDPWEKHRECQTGRCRGGKRREVPPCRWWGK >Dexi2A01G0026900.1:cds pep primary_assembly:Fonio_CM05836:2A:38403115:38404864:1 gene:Dexi2A01G0026900 transcript:Dexi2A01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGHTHQKNRPSRRATWKRNQTLSLRRYR >Dexi5A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:5A:7590143:7595364:-1 gene:Dexi5A01G0009990 transcript:Dexi5A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPPIASSPSTPRPVPPPPTVASPSAAMMRLSSISSLAPVLRPWPPPCSSWRPGQRRPTSPIPRACCCYQQLLAKHARGSASSAYFRCSLRSRDEALRADAVRELANGVDDVGGVYGVITNNHQHTWSNTQIHQRQRFSSASVAAATLPASPVSRTKTPPSIDGRLDAPPLPPPASVAIPPWPPPPESHLLLPCLRRDTSNEEQRLAAIRRIDFSWVIEKDAKKAKKAAEADTAQQAWPWQGLMESLQQAQHELSVVIDLIGTVEANDDVAVASTTKPKSQPNEILVDMAVSAATKLQRLRHLSRYFKQSAKTMEQQFQKETRFYNSLIRLQQNWKVKRQRGSGPGSEGFMFDLVDSYQLDTTTMPRISPLSFVPIDQDSSGTLSVQMPQKSFRSLSLQFYGDSANKKKEGTLSSTFSEGDKDCLENDDINKSIKQAHSKLRDIHKSIFEEQVFDMVIRETFTQSQGINVTGMCEDFLQLAIGQECSLYLSLVPTRQNSDSGTVGQEDHMDLDYSGNLVVATVNGKQESLDKDLRGFPNPKSLEIYLLHMFHEHIVKKIREKSRFVVRYQSPAQAAPEECGLLGHFCMTVTHRMFSNKVHLELESVVSRVPYLHLCSLPTWHSRTSSWSLCLNVPQPILAADRITKASDSHEPKYKSRSQFNTKVILKDGQISLMGEGSPSIAGSLTGKPSDGRLINSYNCDLEDLPMMLLQQVASQVIHWLHEEAMVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPDDAYGCISWYLTIDHPTEEGKMSTDNPELEKRRFLGYLSLEVLYSTLMDLIKLSSTGVQH >Dexi2B01G0022550.1:cds pep primary_assembly:Fonio_CM05836:2B:32189601:32192934:-1 gene:Dexi2B01G0022550 transcript:Dexi2B01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASLSHLLVAAKLRTHPNLSRLPSRPITTSLPRRRRTAPAISAGAPDLLSPVPSLKSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAVLRLPEASAVWAKKALDLGPAGLMLPAVESPAAASEAVSYCRYPPRGVRGAAHPIVRASAYGLDDSYLSRCEDDTLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDAGNRKVRAALREAERKVLEARKKVAAAASDGNAAYLGGFAMQNDPPEQLKLRGYHMVAGAVDIAMFRKAALDDVKRFREAVMEIGEEGDEEEEEKEEKENDGYWTEEEWKVKRQLLAQKRVRGVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSRLASDVAAV >Dexi1B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:1B:7054191:7056182:1 gene:Dexi1B01G0008430 transcript:Dexi1B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPQLLLGALVFLLPAALILHLLLRGKGPRLPPGPPSLPLVGSVVWLTNSPAAIEPLLRKLFARHGPVVALRIGSSRLSVFVSDRRLAHAALVERGAALAHRPALASVALLGENANTITRASYGPVWRLLRRNLVAETLHPSRVKLFAPARSWVRRVLAEKLAAAPPSGGAAAVVETFQYAMFCLLVLMCFGERLDEPAVRAIAAAQREALIYRSRNMPVFAFFQAVTKRLFRSRLDKARALRRRVTEIFLPLIDARREYKKKNRSSGGGEAKKKEETTFEHSYVDTLLDIKLHDEDDGGERPLTDDEIVVLCSEFLDAGTDTTSTGLQWIMAELVKNPSIQEKLHSEIKATTGDEDEEVSEEDVHKMPYLKAVVLEGLRKHPPAHFVLPHMAAEDMEVSGYTIPKGTTVNFMVAEMGRDEREWKNPMEFSPERFLPGGDGEGVDVTGTKAIRMMPFGMGRRICAGLGVAMLHLEYFVANMVREFEWQEMAGHEVDFAEKNEFTVDFKH >Dexi3A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:3A:13656110:13658407:1 gene:Dexi3A01G0017920 transcript:Dexi3A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLAHDSMALKVKGLQDSTDARYKALEKRLAALEGHQGTGAAPDASD >Dexi9B01G0042800.1:cds pep primary_assembly:Fonio_CM05836:9B:42968256:42969537:-1 gene:Dexi9B01G0042800 transcript:Dexi9B01G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRRWLPFGGCCCCCGDGGGGGGGVADGLVWDVPLKAHASGDYSIAVAQANEALEDQAQVLAAPGATLVGVYDGHGGPEAARFVNRRLFSLIQDYAAENGGLSAEVIQKAFGSTEDEFVGMVQKSWPSQPRIMSVGSCCLVGAIENGTLYVANLGDSRAVLGRRRDDRTKRVVAERLSRDHNVADEEVRREVAEMHPDDSHIVLNNHGVWRIKGIIQVSRSIGDVYLKKPDMCRSNPMLQQSLCPFPLRRPVMSAVPSITTRKLRPGDRFVVFASDGLWEQLSDEAAVGIVAGSPRKGVAKRLVRAAQLEAARKKEVKYEKIRTIEKGQRRHFHDDITVVVLFLDKCKGAARSGVEDIDGTYVPVDVFSCTPAGSDNEDPTRPVLD >Dexi5B01G0029260.1:cds pep primary_assembly:Fonio_CM05836:5B:30513212:30519944:-1 gene:Dexi5B01G0029260 transcript:Dexi5B01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQWREETGDKLTPAAFEKHSGKETAGKWRNTIWVMVQGEKVPLSKTALLKYYYLAHKSGNGTHKGRNGRPSHRDEFICCTRCGKERRFRLRSKEECRVYHDALAKLNWTCADLTTDRVTCDDEEERASRKVLRGCSRATSCNGCMKCVCFGCETCRFKDCDCQTCVDFYRNSKE >Dexi5B01G0034200.1:cds pep primary_assembly:Fonio_CM05836:5B:34446535:34450047:1 gene:Dexi5B01G0034200 transcript:Dexi5B01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSGLGGAESSPSTPLATPMATPVSTRSVKWEKDVEDAHGMLDRPLLYKSCTNTTSQMAIVGVNNCPIESLDYDTALAAAAAALCAYIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLLVKVIVGSILGVSAGFVVGKEGPMVHIGACVASLLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGPAAGVAAAFRAPVGGVLFALEEVTSWTFSTTAVVAMVLRALINYCRSGHCGLFGKGGLIMFDLSSRQATYTATDLATVMLIGILGGLLGALFNFLVDGILRVYSLLNEKGERYKIILTVTISVITSCCTFGLPWLTTCTPCPPELAGKCPTIGRSGNFKNFQCPPGHYNALASLFFNTNDDAIRNLFSAGTHGEFGVSTLLTFFTTVYTLGILTYGVAVPSGLFIPVILAGASFGRLIGTLLGSISGLDLGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHLLPLIMLVLLIAKTVADCFNKGVYEQMVRMKGFPFLEVHAEPCMRSLVAGDVVSGPLVTFSSVERVGTVVETLRHTGHNGFPVIEEAPSAPAPELCGLVLRSHLLVLLQGRIFTRGRAKTSAGEVFRTLAPFDFAKAGSGKGLKVEDLDLTPEEMDMYVDLHPITNRSPYTVVENMSLAKAAGLFRVLGLRHMCVVPKTQGRSPVVGILTRHDFMPRYIRGLYPNSIPR >Dexi2B01G0021950.1:cds pep primary_assembly:Fonio_CM05836:2B:31602021:31602968:-1 gene:Dexi2B01G0021950 transcript:Dexi2B01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAFLKVASAHPEVAVRSDTVRTWVKQVRDLAYDVEDCLLDFALYADRTTTSSRPGSSWLPSALAQRRRIAAPIRYLKARFEELNQRNLRYNIAVKPAGAEGSAAQQAPVLPDNGVHSHELPFKPIGRQDDKDELTKLIITRLEPDIIHEEDQVAAVTRGNGAVSVVSVWGMGGMGKSSLVRMVHNDPNLLDEFDCSAWVTVPHPLDSPEVFRQRLRAELGVAHDQDLVEYLQEKRYLVIVDDLLSQDEWENICQVFQFDNNMGSRIIVTTRHKDVARHCEWQGLDYELKPLGDRESKNLFFQKVRALIFSSSI >Dexi8A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:8A:4417964:4418182:-1 gene:Dexi8A01G0005020 transcript:Dexi8A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAKQPPPATPYPPWVLLQHSVKEVAVGSFSSDDAASRASSASAHTSTGHPIGVSLNIASPPAVS >Dexi6A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:6A:22275339:22276744:-1 gene:Dexi6A01G0014890 transcript:Dexi6A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCWKPALDWATRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALELGKASGAMHNPKGVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEVVHVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAACHDALGYDHRNDSNGSVFFNDFHDIDSSLSSDEARSIDMVEEMELSGPR >Dexi6B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:6B:16999281:17012747:1 gene:Dexi6B01G0010560 transcript:Dexi6B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAAGGAAFHFARGLRGAPSGSGARLALAGAVRAASANAPRVGGTFAAYCAALSAIETAVSHARDSVDMWCSVSASAALWGLHGMRRGGAVAAARGALLGTTGGLAIYGTHHASMVRASRQADADSLLRQKRMMARGQPTPVAIAASRTAAAPPIDGPTSAAILYGNSRRAVAAVDLPPRLPFDAETSSPALEKLGYYCDFEDSDTLIRSNPKLTRWLCWMKTGRMSYLRYEQIADLIGDADNTLCVVSLHTARRLASATSPAASSKRDALAAPAPSQPQPSPAPPLLPAGASPSAFDRAPCCPAHRPHCLLRRPEHGRASTVTWEEALELYEDMVIGHYLPRLPRKEELKTVVDKVKGFFGNVTAGAKESFAQITGSAVRKRKREQKAKSRSSVQRDKEAQVKGGPQRGLGLRWLTVVHKPWRLLGSSSSAFPSSLLVLFLLVVGVDVVVLGSAAWSSSTSWTAKLRFRSASALYTAPLLSASLRGAAAPSSGLASRRRHPGRLLSKGRLAGRLGRRHRSAARLDDALLSS >Dexi3B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:3B:11195684:11196142:-1 gene:Dexi3B01G0015410 transcript:Dexi3B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPHGFGVLVAAAAAAEWGWERACLCDLAVRGSVLIFPGIIIGMDEEGPHVSGTRSSGLVRQE >Dexi9B01G0035540.1:cds pep primary_assembly:Fonio_CM05836:9B:37154333:37155258:-1 gene:Dexi9B01G0035540 transcript:Dexi9B01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPDKYNTVVGERGLKIIVLENGKVIEQGPHDVLLSKGGRYAELWSQQNNSDAVDPAAVNLEV >Dexi2B01G0022790.1:cds pep primary_assembly:Fonio_CM05836:2B:32419468:32421679:-1 gene:Dexi2B01G0022790 transcript:Dexi2B01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVESNLPAAAEEKRAPFPCPAGIKASWEKRVAEGASEEKRLPFPSSAEVKAAWEKRVAEGASEEEDDDLRFACQASCFRDDWNKLYSRYFGRFEDTRICFDIDWKAPYLKLTGPTRAVGVCDPVTFEVDLKVKGSTESEDKCLSFLAVTYIDFTALHSHHVRMDYPSKLSTLQFELGSIVNSVEATISVRVRKGLWPDGLRAQFAARTASIGEAQVVLLDSGDDTVHISRGGSISLSRCVASVEISGNLEVIVEAGRGEETAIGKRFFKPKKDNVSHGIIGLGFCTLDITVAWSLVSPFM >Dexi5B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:5B:10146632:10147325:1 gene:Dexi5B01G0013900 transcript:Dexi5B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPATTSGGMVAIMTRMNSSETLETRLTTSWMLMAPPKASMRLPKSTVMKGMAAPLATAPSAPSSISATSVESANANSLWNGTRVAVAGSAAARSLPPLPLL >Dexi9B01G0021360.1:cds pep primary_assembly:Fonio_CM05836:9B:16127402:16130292:-1 gene:Dexi9B01G0021360 transcript:Dexi9B01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVFILTALLAATGATRSANIGIVIAIGSLGLVLGWLLEFSTARRFICWSWRINFNVPQSMWHITIYTSSFFFLCSMRVNTRSRSPESNYELTRQDSLPRSEPRETS >Dexi9B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:9B:97549:99674:1 gene:Dexi9B01G0000050 transcript:Dexi9B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYATKILTLMDNVKKATMKASMLRKAEAANQASERPSSKFKIALVNWMFFASVSPCNSSRSIVLKSPFNNNFDSLALPLVTGFLKGPRMLPSLFRLLLCPSPG >Dexi9A01G0022260.1:cds pep primary_assembly:Fonio_CM05836:9A:17343336:17345413:1 gene:Dexi9A01G0022260 transcript:Dexi9A01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECCGHSSGSSAVHRGARGRNTALAAVPVEESWGAEEKLKKEIRKEAEKDDESSSKVLVNCRKTAATKGEAEKSRRHKEQEEEAYNEALLKEEEEERRKEEEERRKEKEERRKEQEAAYIEALRKKKERRDETRKVFAAQPNRIFRNFPERGYSNRFMSRPGGSRASGGGSSLPEAEVVEEESSGLEPFFFDEAEALAAHAAAEEKREEKRKHMEQEEQENALLHRRWKAHMSALDSIRGYDHKLKCSTVNRFHFVDLSTFDLDEESPLGPMRHTDAAIEVDATAWCKQGKKWLLPCDSVNVLSVKVTSSQFGFPINVYGTVLARDSLDLKCIYLFRCDRKHCQLLNSEEDLLLLTGPKRGLALLDAVYFEMDLKIKGDQGPDKQLSKGFLTVAGAHRSLSDKMKVESNSLDSRHGTTEMMFAVVKRAVEATISIEVLQGEFYGEITACTTSIQKSLVLHDSKRAGTMTCYGKGPIQLLRPIVAVSLKEKLEVSAQTDSGKPKCTIVFTPRASGEDKDEITCGIIKMLVKVTWSIIDHQASVPLSGA >Dexi3B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:3B:9542800:9543795:1 gene:Dexi3B01G0013390 transcript:Dexi3B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHTIPMIDMAHLLAKQGAMVTFITTPANATRIESTIDRARELNLPIHFVPLKLDCAKVGLPEGCENVDKVLGKGQVKSLTDAYSLLHKPLVSYLQAQSDPPSCIISDLCQPWTGDVARELGIPRFMFNGFCAFSSLCSEKILEEEQRADGVVTNSFYELEPLYHEAYQKKIGKKVWSLGPMFLCNTEMSAIAVRGDKASVDLKHCLQWLESMKPGSVLYISFGSMARFKEAISLGDKI >Dexi4A01G0022250.1:cds pep primary_assembly:Fonio_CM05836:4A:25520913:25522925:-1 gene:Dexi4A01G0022250 transcript:Dexi4A01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDDLREHLLDVDALSNGAGAAAGAPKIRVRGLRRLADATGEEILRGVDLDVPRAVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVFLDGDDIRAIDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLCGKKLTEAEVKNLLSLADLDPALSSKPASELSVGQAQRVALARTLANDPEACAYCHLRSFVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLVVDGEIVEVLAPSELSDAKHPMSRRFLELSS >Dexi6B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:6B:7818841:7822927:-1 gene:Dexi6B01G0006880 transcript:Dexi6B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVMGEQEDAAAPAAMDLVEWLGPDASAIVFACLRDRADLGRALAVSRSWRTFVMVVHLSKIQCLRLFPEVKFFAQIVKKPTKSAGSDNSVAMEQDAGLTCAASTAWENHKIEQEVYKRLAHALLSNYPDASCIAACIGASTTDFFPDESIQNTLIAGDEVNDRPSYWSSRGHENPGFPEFLLYKLSSDLCLIDEIRIQPFRATFQHGKIYSVECVRFKFGCPKSHLRPEDLVSEVSEGQLALLPDDSYIWTYTSPGFRMQQENVLQSFKLPRPVLRIGGVVKVEFWGRVQKQEIDNLYYVWDNDRSPPDPTETSKAIVVANAGSNIQVNVPTPPAKKKATAPSSTKAIVAGGPKRLPRPRPTAAKGVDPKHQTLHAKQAEAPAKKSNRSL >Dexi4B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:4B:6083716:6083919:-1 gene:Dexi4B01G0008430 transcript:Dexi4B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSADSLAPPATAAAGGDKPTAAPYGSPITADVVSGADRRLGGIALAGDGRLLWIEGRPEEKG >Dexi9A01G0038800.1:cds pep primary_assembly:Fonio_CM05836:9A:42958178:42962265:1 gene:Dexi9A01G0038800 transcript:Dexi9A01G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCCFNGGAGWPEPVVRVQAVSDTCGDTIPDRYVKPPSDRPSPIPPASAAGVAGGPSIPVVDLSMLDADAASRAVAAACREWGFFQAVNHGVRTELLRGARAAWRGFFRQPAEVRERYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHFMPQSLKNHEKWPSLPPSLRETTEEYGQEVVQLCRRVMRLLSSGLGLEAGRLASAFGGEGGEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVRGLQVRSPDGQWITVDPVPDAFIVNVGDQIQVLSNAAYKSVEHRVTVSAAEDRLSMAFFYNPRSDLPIAPMPELVPPGHPPLYPEMTFDEYRVFIRQRGLAGKAQLDSLQAKPAAPVPDSDAGSPSSTTVL >Dexi7B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:7B:14721667:14722453:1 gene:Dexi7B01G0006940 transcript:Dexi7B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAVSGLAGSALASRPAFSTGFVRGVRVSARNPLMTRNLERNGRITCMTFPRDWLRKDLSVIGFGLIGWIAPSSIPAINGNSLTGLFFSSIGEELAHFPTPPPLTSQFWLWLVTWHLGLFISLTFGQIGFKGRTEDYFEK >Dexi1A01G0030210.1:cds pep primary_assembly:Fonio_CM05836:1A:35532696:35534355:-1 gene:Dexi1A01G0030210 transcript:Dexi1A01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKRRAPPAKQQPQPQPDPQEAPGADAPLEERLAWESYQECERRVTAIKALKDAEAGNIRSQLQFVRSYFSKEQLETNALEYFKENLPNLSTVPNEKFDVFELKWNYGEILGDLIDDKILQASIASLPNAGGLRFSGDSVGKDFYRRISSFSDFAWSGLPERQIAGTSDALQTPAATSNRLSIGVTPKTVRLPKNGEMLLSMHGSPLGVYKEENLAAIQESDNGNEDAPF >Dexi3A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:3A:10027964:10030741:-1 gene:Dexi3A01G0013790 transcript:Dexi3A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRVASFLRGPTSSTAPAPAARRGPGHPHSLRFPQSGEGMRRASVACSSTSAAGDEGMTYKGAGVDIDAGAELVRRICKLAPGIGGFGGLFPFGDHYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAEPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYAEGEYDLSGFAVGCVKKDKVIDGKNIVKGDVLIGLPSSGVHSNGFSLARRVLEKSGLSLSDQLPRNDGITTTVGEALMAPTVIYVKQVLEIVSKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIFTGSWEVPPVFKWLQQVGNIDDAEMRRTFNMGIGMVLVVSREAADRIIEESHGSNPAYRIGEVIEGEGVHYV >Dexi9A01G0034290.1:cds pep primary_assembly:Fonio_CM05836:9A:39067164:39067742:1 gene:Dexi9A01G0034290 transcript:Dexi9A01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVHVSVLPLLLLLAAALPAGALRFDLRSGHTKCISDEIKVGAMAVGKYHIVVPEDGTPSLSSSSQQQELPDSHRISLRVTSPYGNSLHFAENVHSGNFAFTASEAGDYLACFWAPDHRPPTTVAFEFDWRTSVSARDWNAVAKKGQVETISVG >Dexi3B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:3B:4188533:4189178:-1 gene:Dexi3B01G0006070 transcript:Dexi3B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLATVLLLCTAASVFFSVADAKATTADGHLLVTKTCADIKRHDWGWLPDDVCESRLRLDKRSAAAKHPRDLTLIAMSLAQHAVCVMDYATVASAIPVCSAMVYGYNNKLQAGAQHQLAPVDYFDCARRLRRGTAECWFRVITTPDVKKVVIKEVYEALYRTELVAAMVEEMLGIVIKDHEPPQWV >Dexi9B01G0037470.1:cds pep primary_assembly:Fonio_CM05836:9B:38871581:38877194:1 gene:Dexi9B01G0037470 transcript:Dexi9B01G0037470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRAEGAEDSGDGVVKKARAGGSSVGAEAMVAGGGSGVAEIDEDLHSRQLAVYGRETMQRLFASSVLISGLNGLGAEIGACPLLISDSNNYDFFVCMLSGSMIFGINISFQQQRILLWLVLSLSPYMMREMWDLSGNFFLSEDDIGKNRAVACVAKLQELNNAVDISSLTEELTTEHLSKFQVVVFTDISLDKAFEFDDYCRSHQPSISFIKTEVRGLFGSVFCDFGPEFSAHDFDGEAPQTGIIASISNNNPATVYCIDDERLYFQEGDLVVFSEVQGMKELNDGKPRKIIRSRPYSFCIEEDTSNFGIYTKGGIVTQVKEQAIIQFKSLRDSIREPGNFPLSDCLKFLRPALLHFAFLALDKFRKELGRFPAVGCGQDARRIVEFTSAFNEATIDYKIEGKLDEKLLQRFASGSRAVLNPMAAIFGGIVGQEVVKACSGKFHPLYQFFYLDAVEALPTHQLDPKDLKPLNSRYDAQISVFGYKLQKKLRDANVFVVGSGALGCELLKNLALMGVSCSRKGKLTITDDDVIEKSNLSSQFLFRDWNIGQAKSTVAATAASAINSCLHIDALQNRACPETEHVFHDTFWESLDVVINALDNVNARMYMDMRCLYFQKPLLESGMLGTKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLDKTPNEVNSFLSNPTQYAAAMKKAGDAQARELLERVCECLEKERCERFEDCITWGRLKFEDYFSNRVKQLTFTFPEDAATSTRVPFWSAPKRFPRPLAFSATDLSHVKFIMAASILRAVSFGIVIPEWAKSTTNLIDAISKVYVPEFKPKSGVKIETDEKPDNISSASVDDAAIIEDLLTRLEACARKLPPGFQMKPIHFEKDDDTNFHMDFITSLANMRARNYGIQEVDRLKAKFIAGRIIPAISTSTAMATGLVCLELYKVLAGGHPVEDYHNTFANLALPMLTRSEPLPPTVIKHQGMRWTVWDRWSIKGDITVAELLKWLSDKGLNAYSVSCGTSLLYNTMFPRHKDRLGRKIADVAKEVAKVDVPEYRKHLDVVVACEDDKGKDIDIPIISIYFR >Dexi2B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:2B:10780578:10787448:-1 gene:Dexi2B01G0010020 transcript:Dexi2B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGRGDLLRDTPPFSPSPPKTLRVHPPHARLELSRRKLAVFTPTPLAAAAQAQAGLPAAPVRPPTSAPSTPTGRRLVCLPAASSPLSAASSSPVGRRLVCPPAISRLLYAFAGSAVKKRDNLLIVFTSGTKVSKEAKQELDNMPKKGTRSKKEVDNMPDQQENKLPDYLERQRTRVVCNADAPIHTQGFQYSGAFAAMGVDNSVSVDKFCKNFKIDIKRLTEDDMEFDMIGVDASIANAFRRILIAEVPTMAIEKIFMADNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNTIVYKLHVSCEKGSQRITVKSGELEWLPEGSQLSMTSPGQSGGKQKTFTSFSQSQKDILDKPLGVKFKDITIARLGPGQAIELEAHAVKGIGKVHAKWSPVATAWYRMLPEVVFLKQIEGDEAEELVKKCPVKVFDIEDLGNETLILPAAALIVDGEHRYV >Dexi2B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:2B:24107606:24110934:1 gene:Dexi2B01G0014430 transcript:Dexi2B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMLFNGELPWWMLLVLIICCRLSSPSSANAAEERVVTHLPGFQGPLPFDLRTGYVEVDESNGVRLFYYFTPSERSPADDPLMIWLSGGPGCTSFAGLVYQIGPLKFDSQGYKNGLPKLVYQSESWTKWFEVHPEFLSNPLYIGGDSYSGINVVSEPALNLKGYLVGNPFTDVNFDKPSKIPFAHRVGLISDQFYEAYKKSCSVGDSSNLSMQCIKSLDTIDECVKDIYPFHILEPNCAIGAGHTAPEYMPKQCLAMVSRWLGGEPL >Dexi2B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:2B:9951810:9952496:1 gene:Dexi2B01G0009150 transcript:Dexi2B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAHGGPVRRVSRAWRGDCHQFLELDCVLIQSSPGLNNLQEFDLHYVPRIEYYSQLPLVPHSVASVVSFSTLRVLRIRSSSSKEKFLQFPMRSACTLSSHVSIEQLRFSHVNLSESTLRGLLSGSPVLVSLVLAGNTGLGPLHRSGGRQRSARRCRWRQLAAPPPGGRSAAVGVAMSTAGACGPQRARAAEADWRRARLVGVGRQRIQIREVKVGKQGIKMGPHRSF >Dexi9A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:9A:9023932:9025033:-1 gene:Dexi9A01G0013810 transcript:Dexi9A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSSLFMQWAMDTLRHHERPMADDRSEATFPSLQALREASQTAEMVQELIAAAAPANSWSSGDTTDGGSSGGGNSARGGAAMDHDAWPPTPNSAARPAPSKSRSSSVTNPPVSWNFGAAASALPSSDAMAAKRRLPDIVCGGSPPARRAGGVKSSGSMAAPYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATKYVKELQEKLKDLEAGGGRNGRSIETVVLVKKPCLHADEDGGSPMSASSGTPATRKELPEIEARFSEKSVMVRVNCEDGKGVAVKVLAEVEELRLSIIHANVMPFPAGTLIITITAKASFA >Dexi9A01G0032910.1:cds pep primary_assembly:Fonio_CM05836:9A:37759792:37771616:1 gene:Dexi9A01G0032910 transcript:Dexi9A01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALTTCCGTCLHLHAGTWTPLQISTALPVCSAGSSVRSTELLAVSDPLTAAGNGRQARWPLWSVGHRSSASWALGPRRQDARGRAIRATFVVWPVGPAVAWFLPTVRWSAWPLAPPPLHRIPGHSSSSASLLRPSMEEAFARAVDDGLKLTKRLVLPDGGHLPPPRPPQGMDRDDPAAAHSAWLHHLLPAGPMAYAVVVDPGSVDSPDVPSYQPHVYGRLDPPALIPLQMREADLRVDCAAAGCATAEVSLRVRWWLHCVTRSRACPCRIVLPMGHQVHHHPLLFSFPPSLCFPQSAASAGSILGAEVTVGKKSYNTHVIDTEDNSAVKISMPESGGLLKQEWFSLTIPQVFMKKEKIQLTVNTGVSKEVILQGTSHPLKEKGRQGEKLSFLHEAAVENWSTKDFTFTFTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNANRKVFRKAVVYVVDTSASMQGKPLDSVKNAMSIALSNLIEGDVFNIIAFNDELRSFSSCLEQVNDRTIENAIEWMNINFVARGGTDIVHPLSEAMNLLSNSHDALPQIYLVTDGSVDDERNICHTMKTQLMNRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYAAAFDTGIYMFLPFILEAKLSPLFYTNTQYHGKLPETLIAKGQLADMSEISIELKVQHVKDIPLDKAKNKPTKKSSQDERSAMPLRGMTLGFGDVAATRENLTEGFGDIKAPEKFEIFEKAVGCCSRAADCFCCMCFIKACGKLNDQCVIVFTQACAAIACLGCFECCSELCCGGPN >Dexi7B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:7B:16423915:16424334:-1 gene:Dexi7B01G0008670 transcript:Dexi7B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKVTPKKANLLDPHSIKHLLDETISDVVKNKGYAEDTRLGNLKLGIGAAVIAVALLAQFYPKKFPQNREFLLGCIALYPFLHCVCPCF >Dexi1B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:1B:407979:408659:1 gene:Dexi1B01G0000430 transcript:Dexi1B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAIMSNQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWEPSAGFEFTMDAQATDIYPSDDGLICASHAYAPKGRWADWGIGHPLPSWGEVRDLQGQYYQGTLHQSVNCPGFIAAQAVSSLQIREDSSEITSPSQGAAAATVVNKMLGGANRINLYREI >Dexi1B01G0029230.1:cds pep primary_assembly:Fonio_CM05836:1B:33602093:33602504:1 gene:Dexi1B01G0029230 transcript:Dexi1B01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSLAAAAVFLLLLLTTTMEAEAIRLDAESRAAVTVTQQQIANVSDPDSSKPSENLAQKESPIKSSGGESETTKRSIAGQEEVRETAHKLPEFHEDYYGASVHEPRHH >Dexi9B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:9B:4152726:4153304:-1 gene:Dexi9B01G0006880 transcript:Dexi9B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQEALDLDFAAASSSSGCVAPRDMGMGMDIITCGFTPWGPESCPTLEQVMATTTTPPPPPAPAPEEEDDDDERLRRQRRKMSNRLSARRSRERKQQRLEELRATAARLRAEKQELEARLQALARQDMATRCQNARLRAEAAALARRLREARRLLALRRALAARMPPMLWPQQAAAAGVAAPLGLASLMT >Dexi9B01G0034430.1:cds pep primary_assembly:Fonio_CM05836:9B:36401671:36403371:-1 gene:Dexi9B01G0034430 transcript:Dexi9B01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLMQRAAAAAAARARWWCVGEAAMAGAAGQQPAGVVRLGAARRAAGGAVRASRPLGPVRAQVSGERSKELAGGEGVVVDGEEEEEAVRLFVGLPADVVVSDGRGVCRPRAVSAALRALKLLGVDGVELPVSWAAVQPGSGDWFEWAGYRAVAAMVRDAGLDLRVSLRTDGDALPEWVADAAFADPDVLFTDRSGHRREGCLSFAVDDLPVLVGKSPLHAYEAFFRSFADEFKDLLGSTITDVTVSLGPNGELRYPSYPPGSNGGGYSGVGEFQCYDKYTLARLKRHAESSGQPMWGLSGPHDGPRYDESPETSAFFSEHGGSWKTAYGEFFLSWYAGELLAHGDRVLAAASMAFAGTGVELSARVPLLCGSSEAAVAGLHGGYGPVAEMFARHGCTVIASGVEARLDAMAEELMAEIKRACSEHGARLAAESTPLAVARGGEGSAGVWLSTGRTRPRQFTYQRMGADFFSPGHWPLFVQFVRALESPEGVHEDDLPGGIVGGERLTVPSAAAAPQGGAKEVQTV >Dexi9B01G0026020.1:cds pep primary_assembly:Fonio_CM05836:9B:27691696:27693841:1 gene:Dexi9B01G0026020 transcript:Dexi9B01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDEERPLLHPQPPPQVLGSEYTSDESVDINYRPALKHYTWNWRAWVEFCESLAFFAISTNLVTYLTTVLHESKVAAARNVSAWVGASFLAPLFGAFIADTYWGRYWTIVVFLPAYITALLILIASASLPVFSTSDQGGNVHRAVVYLGLYLAAIAGGGVKPCTATFGADQFDPDDPVELTRKGSFFNWYFFSVSTSSLLSGTVIVWLQGNVGWAVGYAIPTVLMLLSFMAFIAGSRVYRFRKMGASPLTSIFQVVVAAVRNWHLQLPDDNSLLYELSVSTPEADGSYMIKHTNQFRFFDKAAIAPSANESTAQMSSWELCTVTQVEEVKMLLWMLPTWASFVVFYAITAQTPSTLVEQAMFMDNRVGSFAIPPASMSTIGFISVLFWVPVYESVLVPLARRFTGNNKGFSQPQRLGIGLALVTLTMVFAALLETRRLAVAEANGLTDQSLPVPMSILWQVPLYLLTGAANVFGTIGLTEFFYDHAPVTMKSLCAALGQLSVASGNYFNSLVLSLVAVVTTRGGAPGWIPDNLNEGHLNYFFWMMATLGLLNFTLFVRYSMRYKGTTSC >Dexi1B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:1B:10186548:10189797:1 gene:Dexi1B01G0010530 transcript:Dexi1B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGSLLRALVLRAAASAGRRRGVLCGRAADVRVTPRHGHGWRGFRAVAGRMMLDSSDPTSPAAAAAEAGQVQPQRRAAGAVPQAHDGGYASGGWEREDGKLSCGYSSFRGKRATMEDFYDVKLTEVDGQPVSLFGVFDGHGGSRAAEYLKEHLFENLLKHPEFLTDTKLAISETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENEEAVSLGKSEDAPESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >Dexi6A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:6A:26019297:26025123:1 gene:Dexi6A01G0018260 transcript:Dexi6A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGLEALKERGFCAETPSSYQQHRHSRRLECIFFQHDFLCIFSAVSTYANVADISPRLSDHIPTTNRNKMLHKRYSLNLPDQLPEHRIITSAERTISKSVADLAWEIEVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASALHTQELSRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASRPLKEANSETSCTHSFSSASNSFSLKYPVDSWTPRCHYNADTTSETYGSFDGNSEQYTGMIIFPRIHIDEDKFEYASKMLDTIRTLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRLKSTDMILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLVRLYTAKKLYQQLEQARTEFIQANVMIRKQTIFLPKVLHFYAKDAAVELPDLIDMVCESMPELQRKEIKQYLRRRIDKCVEWLPYKSSFRYTVHRSLAD >Dexi5B01G0034130.1:cds pep primary_assembly:Fonio_CM05836:5B:34400023:34402249:1 gene:Dexi5B01G0034130 transcript:Dexi5B01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAGDRFLRQLSSSIGGGDGGCGLQDQQDCGGGGRRGSRRWSKKRAAAAARGYGGGGGTKLQGEARKRVMVVVDESSGAKHAMMWALTHVANKGDFLTLLHVLPHSGSGGGRGEEASSLANSLGTLCKASRPEILVVSLLRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >Dexi6A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:6A:15836767:15837396:-1 gene:Dexi6A01G0011100 transcript:Dexi6A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLPTSSASHRRSLRPISFPPLARLTTATSFGVAFLCRPSLSSGSLQTTGAAGGGNASGEGSSEAPVAGWLDADLLRRVSGAADADEVLDIVAESAGGAGAALEAPDCNAIVAAALDRGNVELALSVFKAMRSGFAGGMGGLVALLEAGGGRDQTSGLMPCLYSGWQLPSVFLTQSG >Dexi5B01G0024630.1:cds pep primary_assembly:Fonio_CM05836:5B:26662645:26669547:-1 gene:Dexi5B01G0024630 transcript:Dexi5B01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATAPAAEEIPRAMRADGPATVLAIATANPTCCISQDAFPDYYFGITKCDHHTELKAKFKRICQKSGTKQRYFYHDEAMLEAHPEFLDRATPSLDARLDIVDTAVPELAATAARKAIDEWGRPATDITHLVVATNSGAHVPGVDFRLVSLLGLRTTVRRTMLYLGGCSSGAAALRLSKDLAESNRGARVLVVCADVGILYFAGPQDGYFQTLFTQACFADGAAAAVVGADPVTGERPLFELVSAAQDIVPGTEDAILMQLQESGLRVRSSNAHGDLPVIIGESVERCVSDAFKALGMGSRSPRWNELFWVLHPGMAALLDRIDEQFQLKPEKLAATRRVLSDYGNMLAATVLFVLDETRKQRNRSTSTQGDWGI >Dexi6A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:6A:26952545:26959596:1 gene:Dexi6A01G0019310 transcript:Dexi6A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRDAGTAAAALVLLLAAVVATAAAGSPEQGFQPLSKIAIHKTVVEMQPSAYVRATPSLLGEQGQDTEWVKVKYGRTTPSVDDWIAVFSPADFNGEDPKLCTAPIKFQYANYSANYIYWGKGSIKLQLINQRSDFSVALFTSGLDNPKLIAVSEPISFKNPKAPVFPRLAQGKTHDEMAVTWTSGYDIDEAYPFVEWGAVVSGAGGQAARAPAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHEMQDGSVVWGKKYTFRAPPSPGQKSLQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDTLIKDLDNYDIVFHIGDLPYANGYISQWDQFTAQVADITAKKPYMIASGNHERTWTNSGGFYDGKDSGGECGVPAETYYYYPAENRAKFWYKVDYGMFRFCIADSEHDWRVGTEQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNAWYGMEGSYEEPEGREHLQKLWQKYRVDIAFYGHSQCMTSEKSHYSGTMNGTIHVVAGGGGSHLSDYSTAIPMWSIYRDLDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTIDRDYRDVLSCVHDSCFPTTLAS >Dexi7B01G0022420.1:cds pep primary_assembly:Fonio_CM05836:7B:27364137:27366220:1 gene:Dexi7B01G0022420 transcript:Dexi7B01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRRRQDPRGGLEAGDAATGGRRPRGWRAVGFIVGVFAAIYMSTNAFSFSLTFYLYDRYHMLPNTATNVNNVFTGTFNFSPVIGAFVADALWGRFRTLLFGMAVGVVGMVVITLSASLHQLKPASCSLLDQQAGTCPGPTSLQRAVLYIGMGLLVVSAGGTNPTSLPFGADQFDESNERHKAGLERFYNWYYAIATLATFLALTVLVYVQIKVSWGLGFAIPTVLMAVALAVFLAGAAVYVYVPPEGSIFSSVARVFVASCRKWRLRLPHPDDARRQEEALYKGPPVTSTRGRVHRLPLTLQLSFLNKAAIVREAEEVRPDGSPARPWSLCSVQQVEEAKCLVKIIPVWISGTLWFTAVAELTNYTLIQAFTMDLHMGKHFTIPAVSIAAAFYLAVAVTVPVYDLLIARAARRVTKGGRGITLLQRQGAGLVIGALAFVVAAAVERRRRRSALESGVGTTSLSVFLLAPQLAVMGVSGAFSLVGQTEFYNTQFPDQMRTLANAAFYCAQGVSSYLATLVVNIVNARTRGGSTPAGWVPDDINAGRIDNFYYVMAVLTAANFVYFLVCAHLYQYKDEQAADSPAADRLEPEPAEDISGRTSDSDAALLMKT >Dexi9B01G0039340.1:cds pep primary_assembly:Fonio_CM05836:9B:40078025:40079544:1 gene:Dexi9B01G0039340 transcript:Dexi9B01G0039340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSSSHLLLLSRQQAASSLRCRLSFLGQSRPAQANVRCMAAVDTAAPAVTEASSKKSSYDIVTLTTWLLKQEQAGVIDNEMTIVLSSISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGVIASEEEDVPVAVEENYSGNYVVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLVDVDDDNGTLDSVEQRCIVNVCQPGSNLLAAGYCMYSSSVIFVLTVGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKKYMDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDITPTEIHQRVPLYIGSVEEVDKVEKFLA >Dexi5B01G0022530.1:cds pep primary_assembly:Fonio_CM05836:5B:24827150:24827455:1 gene:Dexi5B01G0022530 transcript:Dexi5B01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGGDGAPVRTGWIMVELRLHYDDDEDGGGGGIGEQLEGLVLCKVYRSPRHPDTAAAEESCAATAPDGWRSSSAVLVDDDRSSDALVVSDDAAAPTTRGG >Dexi3A01G0023780.1:cds pep primary_assembly:Fonio_CM05836:3A:19410708:19415127:1 gene:Dexi3A01G0023780 transcript:Dexi3A01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARLLLVLLAAAALAGPCAGDLWPMPASVTRGTQTLVVSKDLKLSTTAGSSYSDGKGILKEAFQRMVAVVELDHVINGSYYGGSPVLAGVHVVVRSPSDELNFGVDESYKLSVPATGNPLYAQIEAQTVYGALHALETFSQLCNFDFNARMIQLHSAPWTILDKPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTFSKLNVLHWHIVDEQSFPIQIPSYPKLWNGAYSYSERYTFDDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSDFTFQVINGILSGYALSKEIIQIYTPQSTIERSNKFIFMDLPQKRMKYFSKIFKFKFAHLGGDEVNTSCWTTTPRIKSWLIQHGMNESDAYRYFVLRAQKIAISHGYDIINWLGSGVAQKVVAAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPEQQKLVLGGEVCMWGEHIDASDIQQTIWPRAAAAAGMTSNCTYIKSLADSF >Dexi2B01G0032350.1:cds pep primary_assembly:Fonio_CM05836:2B:40273590:40274214:-1 gene:Dexi2B01G0032350 transcript:Dexi2B01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCEYSLPPEEVQAQVPSEDKSAVNTVSEVVPEKSSETTPANEATVAVEETSETPEVKGSSEEPEAEESPAAEESGETAEESNAAAEEAADEKPEIKVCSCKRGGCS >Dexi1B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:1B:330027:332670:-1 gene:Dexi1B01G0000290 transcript:Dexi1B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSRAAATWARLLSLRSHNLAGSNALPRHHHLAPRITPPRRHLAFSASAGGARPQIQSERAVHELLAEVERERERERKDRRAGDGDKEGDPVEDQEEDEDYLGVKPLIEKLERRKAKESAADEDGYWEPTDSDSDEDDERYTPDAIKKRVDEFERKCKRHGELLRSFAEAETLDEAHKWMTKIDRFEERHLKLPLEYRVIGDMMNLLKNATGKERFVLLQKLNRAVRIMECKEAYDPSNPANFGLIQHQQVGSPEDLTLNAGFDKEKQMIQGQEPEDDEEEEFNEAKERDDLLIEKLNAIEKKIENKLEELDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKTKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >Dexi1A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:1A:642694:643590:-1 gene:Dexi1A01G0001010 transcript:Dexi1A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSGPSAAVDQPCCRVLPVIDEESEPEGEGQGSPEMTTRLAERRKAIVARMRELLGRAAAAQSAHSKLRRSTLATAKKWKRAVGRIQNRGRNHQQVTGLHEDGSTLSRSSSSISSQSSFTWDAAAMAATDSCCSASAACACSSPASLSPLLWPAAFVSTWRAETAADQRQQLAPSPAASVLRLSCGSSWSEDDDDDDDARMAHWVTTDSDFVVLEL >Dexi3B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:3B:15553351:15556003:-1 gene:Dexi3B01G0020590 transcript:Dexi3B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYANGGAAAAAAARASGEKLDELRRLLGKADGDPLRVVGVGAGAWGSVFCALLQDAYGRHRDRVQVRVWRRAGRAVDRADAERLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILRDGFCLNMLDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFGEIRRYWKERITQPLIISLAKGVEASLDPVPRIITPTQMISNASELVLLLNSSCDLLVLSGLPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLANFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAREPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLCVMHPETKKPVAPVELELATDSILQAIRDESMYDPRERIEMAQRQSLFRPSLLGLPKGDAKA >DexiUA01G0002390.1:cds pep primary_assembly:Fonio_CM05836:UA:5376489:5379257:-1 gene:DexiUA01G0002390 transcript:DexiUA01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMNFIAQSKVKDIELKGHTDSVDQLCWDPNHPDTVATAAADKSIRLWDARSGKCQVIELSGENINITYKHDSTQIAVGNKEDDLTILDVRTLKVVKKHKSNYEMNEIAWNKAGDLFFITTGLGHVEVVKDLEFLKPCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCVRTFTKLEY >Dexi2B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:2B:6694450:6696363:1 gene:Dexi2B01G0006740 transcript:Dexi2B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGFVAAEAGVVDYGGRVTFSVVVTCLMAASCGLIYGYDNGMESFLSDFFPEVLTGTKDTNRSIYCKYDNQWLTTFTSSLFIASALSSIVASHVTRKVGRQAIMLFGSALFLAGAVINAAAVNLTMIIVGRMLLGFGIGFTFQSAPVYHSETAPAKWRGAFASAYNAFSVMGTLSATVTNYFTDEIPGWGWRVSLGLAGVPGTLLAVGALFVSDTPSSLVLRGHTDKARAALRRIRGANADVDGELKDIVGAVEAASRNDDGAFRRLFSKEYRQYLVVGVALPVFYELTGVSVISVFLPVVFRTVGFSSQKAILGAVINFSVNLVATLLSSFVMDRTGRRFLLVAGGLCATVCQVAISLIMAAHLGGTEAAAAMPRRYAVLVMVLILTSTFCVGVSWAPLRWVVQSEIYPVEVRSAGQAMSVSIWLCLTFMELQVFVRMLCAMRYGVFLFHAGWFLVATVCAAAFLPEMKGVPLELMRSVWARHWYWRRFADKDDDEQCKSKTAETTDCEK >Dexi4A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:4A:147409:151956:1 gene:Dexi4A01G0000200 transcript:Dexi4A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYLAAWNPLCILVQGVETAESYLSAIEKAGLLIDMQERKEKVLHESSILAKGVDGDFIVPDSLLQEVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKFSEFRGQLSGILFHEKLGTMLDKMMRVENTVAELTLILGINERMIPTIKNAAALAMSDLATSIVTEFTSLAGIMARHYALRDGIPEEIAEALFEIMLPRFSGDAFPRTDAGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKSFDLRGALTLMAEVQPIEIQNDVIDEVDEGINREIVRSVLMERANCPYLAAQTATEMEAFSRTETFPKIVEAYARPTRIIRGKEIESVLEVDPSVFEKDEEKVLWGAYLNAADKIHPGVDIKTFADASLLLIQPLEDFFNNVFVMAEDKRIRNNRLALLRKIESLPKGIAELSVLPGF >Dexi6B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:6B:18613799:18617847:1 gene:Dexi6B01G0011380 transcript:Dexi6B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTWSQIRACWASQASRRLLPPRTCVSVPRRAGAALSVRASAAAAASADGDAVTVRRFPPAPSGAGRPEGVEKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPGLSHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLAESGALGRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGVGTTLEECLDIADDIGEFPLIVRPAFTLGGTGGGIAYNRDEFEGICRAGLAASHKQQVLIEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAMLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWKKIKYSLRVPNPDRIHAIYAAFKKGMGVESIHEISFIDKWFLTELKDLVDVERFLISTSLDRLSKDDFYQVKRRGFSDKQIAFATSSSESDVRSRRLALGVIPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGFETIMMNSNPETVSTDYDTSDRLYFEPLTIEDVTNVLDLERPDGIIVQFGGQTPLKLALPIQQYIESNKMVPASGMGNVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSEADALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPEQPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQVGIHSGDSACSLPTRTVSTQCMEVIRSWTTKLAKRLNVCGLMNCQYAISTFGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGITLPELGFTKEVIPKHISVKEAVLPFEKFQGCDILLGPEMRSTGEVMGMDYEFSGAFAKAQIAAGQKLPLSGTVFLGEIGRGFRDLGFDVIATSGTAKVLQLEGIPAESVLKIHEGRRNARDMLKNGQIQVMVITSSGDAVDLKDGLQLRRLALAYKVPIITTVDGARATVDAIKSLKEKPIETLALQDYFPAADVSPDLQAVAQTTPYT >Dexi9A01G0039580.1:cds pep primary_assembly:Fonio_CM05836:9A:43492366:43493697:-1 gene:Dexi9A01G0039580 transcript:Dexi9A01G0039580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAAEAAQGPHQGHQPGAPASWEPNGVQVDGMTVEPRSASALSPSRDDVEMLTEEEKASLCLLGLRESCSTTQSVPMYGVEGPAAEQIKEAAGRDDALHDVEIRNGAHEQRPRCGSLSTITPIKVRVAETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRISGQGYSCGCPECNYSTNVRNACEFEQHSGQSSNNQNDHIFLETGVSLFKVVKALKHYKLYMLGDFIQPAIGMPPNMAEYKKWKASFQKRKDYSDAVDSDDCSTQ >Dexi8B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:8B:265983:269620:1 gene:Dexi8B01G0000390 transcript:Dexi8B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSWRGNKGGGIMDDGRRSEEGEAWRRWSVLVATVWVQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKAFGWSSGLALLHMPLHGVLLVSAAMGFAAYALQYCCLAFLNTTSAGAPMAIPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSTSNRPLALSLSISFNGLSAAFYTLFANALSPLSPSIYLLLNAILPLAVSIIALPVILLCHTNDSHLHSIPNHDRRVFLGLYILAFITGIYLVAFGSFTTTSSTAWVILTGAMVLLALPLIIPACSHGPDPAQPLSHDDPHKPLLVSNNNQTESDAMMEKAMEHQLQGSCCGTILDKGRLVVLGEEHSAKKLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSRLTMLLAVYSSFSFFGRLLSALPEFLHRKVSLARTGWLAAALLPMPMAFFLMWKQQDGSTLVVGTALVSLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSFLYGQIAALVYDANGQRMTVVDNRTGITDTMIMCMGVKCYSTTFFVWGCITLLGLASSILLFIRTKQAYASTASRSSRKHLHQVYS >Dexi9B01G0037670.1:cds pep primary_assembly:Fonio_CM05836:9B:39002834:39003073:-1 gene:Dexi9B01G0037670 transcript:Dexi9B01G0037670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGVVALPFYSRCERLRGLASATPAGAVTGHAAGCPFGGLRALRELIRSGCSAAESAWGPYMRQLMPWPSDLHRTAG >Dexi5A01G0024410.1:cds pep primary_assembly:Fonio_CM05836:5A:28273392:28277023:-1 gene:Dexi5A01G0024410 transcript:Dexi5A01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATAPAAEEIPRAMRADGPATVLAIATANPTCCISQDAFPDYYFGITKCDHHTELKAKFKRICQKSGTKQRYFYHDEAMLEAHPEFLDRATPSLDARLDIVDTAVPELAATAARKAIDEWGRPATDVTHLVVATNSGAHVPGVDFRLVSLLGLRTTVRRTMLYLGGCSSGAAALRLSKDLAESNRGARVLVVCADVGILYFAGPQDGYFQTLFTQACFADGAAAAVVGADPVTGERPLFELVSAAQDIVPGTEDAILMQLQESGLRVRSSNAHGDLPVIIGESVERCVSDAFKALGMGSRSPRWNELFWVLHPGMAALLDRIDEQFQLKPEKLAATRRVLSDYGNMLAATVLFVLDETRKQRNRSTSTQGDWGI >Dexi9B01G0048000.1:cds pep primary_assembly:Fonio_CM05836:9B:46972498:46975818:-1 gene:Dexi9B01G0048000 transcript:Dexi9B01G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDNPRDKWVYDTSQFDNILKKLKVPRKNEDICQVDQKLEPICLDEPDPIICPDAVSQAEDVNWWGHKFGFVSGGFLGATSRKKKSSRKDPANVRQTFAEEDQENLYNLVQDKATSGKQGLGIKGLPMKIAGHRWKGNKTSFGDSDEDDSAQSDEYSEIEEDNEEQPATAVESIEIEKNTEKELHVGVRSKTKVKKLCKRILRQAPSQSMKLKDLKVAVEEHSNVVFSSFSCRREALLFLKKKLQGSRKFNVDGKKVHLVS >Dexi2B01G0024880.1:cds pep primary_assembly:Fonio_CM05836:2B:34138392:34140550:-1 gene:Dexi2B01G0024880 transcript:Dexi2B01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRHVNPFRACAGLRGLGYLMVALVAAIAAVSYYAVVVYAWGPVLLSGGASAAGAAAVLAAFHVLLAMILWCYLMVVFTDPGSVPENWRYDDEDSRDPGSSSEEQGSAPRYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCIWVVNCVGAQNYKYFLLFLV >Dexi6A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:6A:12977911:12981264:-1 gene:Dexi6A01G0010530 transcript:Dexi6A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPYTHSYQADHGRLRVCQATLSNFDAGGRSVLQCNVGNKIPIRLCSLNPKLAEMCHLEIELEEVDNVIFSVIGPSSIHLSGYYVRSSSRSNIGDDESESYGEDVGQSDTDEEHDADDDSYESDFIDDRDVPTPEKYGSDFIDVSDDDECSSPRRPKQKACEKQTRKAERGRRLKNQVDSPVDNDDDSPVTKPAPISVAFGKKDKAKVAVKRNARSIFDSGSDEDDVPISVALGKKGGAKVSVKRNADSIFDSGSDEQDIVPLSVPDVEDARIKKKSKKKMRNQSGEQDEKQSNVRTLEDGLMVEDLSAGNVDAKVASDGCKVYIRCVGMLKDGKIVESNLNEKPYKFKLGSGKVIRGWDFGICGMRVGDKRRLTVPPSMCSGGKSVLEVPKKFFSHLRN >Dexi6B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:6B:16012193:16016059:-1 gene:Dexi6B01G0009920 transcript:Dexi6B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLFYVYFLMSGNVFCSRHPEVKWAQRIDKVYITVQLPDAKDAKVNLEPDGVFTFSGSVGTNMYELKLDLNDKVNVEASKISVGVRSIFCIVEKAEAKWWKKLVRDDQRAPHFVKVDWDKWVDEDDDGGDVNLDGMDFSNFGGMGGMGGMGGMGGMGDMAGLGGMGGLGGMGGLGGMGGLGGMGGLDGMDEFEDESDDEEEVSKPQAAEKAAEAAEGAEAKAETAQSS >Dexi2A01G0032780.1:cds pep primary_assembly:Fonio_CM05836:2A:43155767:43159714:1 gene:Dexi2A01G0032780 transcript:Dexi2A01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQEGREVQVRALDARSTAVRLAPGASVRDLKAALRSSFPPARVAPSFHLFLKIVHIQEIPSREASFMELPCHLSVAMREALTSIGISRLYSHQALAQDQLRTLLKMKNEFHIDIDVKIYDGDTPREDRLWIRDNARLLITNPDMLHLSVLPYHGQFQRILSNLRYIVIDEAHSYNGAFGCHTALIIRRLKRICSNVYGSHPTFIFCTATSANPCEHVMVGYTLSLTREILQESAKELVDSISVYRAGYIAEVVMP >Dexi1A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:1A:474290:487654:1 gene:Dexi1A01G0000760 transcript:Dexi1A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSPRSRRAPPSLAHRPAFLPRPHLTAALVLHSRRRSLSSAPLSSTCASLLSPPSSPRRRRVPASSTPDSPLAGAAPTETCLVATSASSFIPAKVGSTKRQGESHRNLEDFDSISEQPQNHNPGVIPHKTVQPNWQPAPSRGPQRDLFTSPRRIRKNKGVQGTQQRRGGVEVQAESGMLQLLCLVNLAGKQLRARKRAPMSDVQENEQLKARKRALVKDNSLLAECNTPIRLQISKLQTHNLSTTTPLVINQSHNPVDLAEKANPYLPNEEHKQELERTHHPIIAFWSSSHAGRALGSHGMNVSRAADSPPIFLSFLPPHLPPTIEHSACSLPLFSMTAIDHQPVNRIQAAAAKEASERHPHRCEMCPQRLERHRKRHVTLPGGEPCLTRRPYKRRKQHTALTRHPPPLPLGPGREHAIEPSKPLHLLVRREPAPLRGGGPIELLEAPRDFPLPTAFPETTTKPQQNPLSPQMRRSERGNGTAYSMDSKEEQYHLQRASKEIGRGDEAAHLELPSSSRRGFPAPERLRGGGGGGGRSEWEKGGALLSLQSSAGPVCAGKLGRFWI >Dexi7A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:7A:25045695:25051371:-1 gene:Dexi7A01G0015200 transcript:Dexi7A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASPVQEELQPERKLRNQLASAVRSINWSYALFWSISSTQPGILEWTNGFYNDEVKTRKIANSTELTANQLVMQRSEQLRELYEALLSGECDRRMARPIASLSPEDLGDTEWYYMLCMTYTFQPGQGLPGRSFASNEHIWLCNAHLADSKAFPRALLAKTIVCIPLMGGVLELGTTDPVLEDPDLVSRATASFWEMQLPTCSEEPRPNPSANDIVLEDLDIYHNATETIIAAGGQELGQADSLSNASLEHIAKEIDEFYALCTEMDVQPFEDNWILDGSFEVPSSLHRAPGDAINDGAALTMPVDGSRATSFITWTRLGSDSEEVAVPVIEKPQKLLKKAVAGGAWENNGVGGTTRTTQESGMKNHAISERRRREKLNELFLILKSLVPCIRKVDKASILAKTIAYVKELQRRVQELESSSEPITRPSGTTRLARRHDDDTARKESAGSKRKGSELGRDIEGEHPWVLSKDSATASNVTVTVSDKDVLMEVQCQWEELLMTRVFDAIKGLHLDVLSVQASAPEGFMGLNIRAQFAGSAAVAPWMISEALRKTIGKR >DexiUA01G0020900.1:cds pep primary_assembly:Fonio_CM05836:UA:43162932:43163553:1 gene:DexiUA01G0020900 transcript:DexiUA01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKRALLLMFVLAVIRSAEGHRRIYNVLDFHAAGDGKTDDAKAFLATWNAACSDGGNEPVMAIPRGRAFLLSQVSFDGPCKSPIKIKLDGKIVAPKVIWTKKQGNLLTFFDVDHLTLDGNGEIDGQGAIWWDCYNKKV >Dexi7A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:7A:21780922:21781661:-1 gene:Dexi7A01G0011180 transcript:Dexi7A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPSSTFPPPVIPLRRRAAMAVVLLLWLVAGVPLSILRPRAAKSSSLAVAAVQEKQPERTVLVVASRQAFLRGPHLRRPERPELDVDGIVGGVLLGGKP >Dexi1A01G0026680.1:cds pep primary_assembly:Fonio_CM05836:1A:32541478:32544312:1 gene:Dexi1A01G0026680 transcript:Dexi1A01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFAAVQSADAAAVRALLADAEASGTSLAALAAAQTDAGETALYVAAEAGSEELVRLLLPLYDLEAATVRSRLDFNAFHVAAKQGHTGVVKEFLGRWPELCSVCDSSKTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLHADVSILNVRDKKGNTALHIATRKWRPQMVQLLLGYESLEVNTINSQNETAMDLADKVPYGESKTEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLSENAKTNKRVTGIRKELQKLHREAIQNTINSVTMVATLIASIAFVAIFNLPGQYFQDTSSGGDIGEAQIANLNGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKQIIKIVNKLMWIACLSTGAAFVSLAYVVVGPQHAWMAFTISAIGGPIMIGTLLFLAYLLLRPRLKFGEDRQRRIKRASGSKSFSWSLHVGFSDLEAFSDHDQKIYAL >Dexi5A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:5A:14019514:14023015:-1 gene:Dexi5A01G0016000 transcript:Dexi5A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAAAVAMAAASLCDDLEPATVRTRIRDILAAGSARAGESVVVGGWVRTGREQGKGTFAFLELNDGSCATTLQVIVDATVHPLARLIATGTSMLVEGTIKEPPEGTKQNVELKVSRVLEVGEVDAAAYPLPKSKVKLTLEKLRDVVHLRSRTNTAEKTEKELKEHPAPSDSEIEAAKVLVKEKGDAVAQLKAAKASKQEISAAVDVLNRAKENVSKLEERSKLKPGIPRRDDGSIAFENDFFKRQAFLTVSGQLQDDMNCAEKYVQYLCKWLLDHCREDMEFMVKNYDKSAIERLELVSSTPFVRISYTKAVELLKNVTGKKFDNKVEWGIDLASEHERYLTEEIFKKPVIVYNYPKGIKAFYMKLNDDDKTVAAMDVLVPKVGELIGGSQREERLDVLKQRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >Dexi2A01G0034770.1:cds pep primary_assembly:Fonio_CM05836:2A:44606946:44607145:1 gene:Dexi2A01G0034770 transcript:Dexi2A01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQKKEIGKRLTIPAGDYKSKKGLNFSGVQKEKAMSATGSSNQLRKETSWESAMGGVG >Dexi6B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:6B:1426567:1430078:-1 gene:Dexi6B01G0001580 transcript:Dexi6B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLLFHSAMALLPWLFLLAVAGPARDDLEALVAFKAHVADPLGVLRSNWTANTTVQLRYLSLTYNSLSGAIPESIAFLPKLTVLLLGDNMLSGPMPPAMFNMSALQFLSTALNNLSGTIPGNGNENFSLPMLEYISLSNNGFSGPIPAGLKKYNHLSGSLPVSLGQLNELAYLNLSNNIIQDIVPDIFGKMISLIVLDLSYNKLSGPIPTSLANLTYLISLNLSFNKFIGRIPDGGMFSNITLQSLMGNPALCGLSRLGFGKVFKGQLDDGSVVAIKVLDMQHEGASKSFDIECRALRMARHRNLVKILSTCSNLDFKALILKYMPNGSLERWLPLSGNQPLDMLQRLNIVLDTAMAMAYLHHEHFEVVLHCDLKPSNVLLDEDMVAHVADFGIARLLLGDGNSMVSASMPGTVGYMAPGKGPTDPMLTGERTLRGWVSDALPSDLFVVVDKTLLQDDKEDNDIHGANTSTSCESSKVQYCCLRSIMELGLLCSSHLPDARATMTDVVVRLKNIKDEYLNMKL >Dexi3B01G0028770.1:cds pep primary_assembly:Fonio_CM05836:3B:27340801:27341100:1 gene:Dexi3B01G0028770 transcript:Dexi3B01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSAIAKTRALWLGGPEPLSRLELSPDFWEEWKCGRAATPRTDALAAAALLLSSRGSSCSSAWLEQAPRKRRRGGPEEARWRRREELQQLAQWWRR >Dexi3B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:3B:8886806:8887813:1 gene:Dexi3B01G0012660 transcript:Dexi3B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVGGFGKVYKAVMQDGSKLAVKRGNQKSHQGLREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGSDMPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLLAKVSDFGLSKVGPEFDQTHVSTAVKGSFGYLDPEYFRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPREMINLAEWAIKWQKRGELDQIVDQRIAGTVRPEALRKFGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAKRVVSSLELSTADESRTAVNYSDMSTSNAFSQLINAEGR >Dexi6B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:6B:18768068:18769257:-1 gene:Dexi6B01G0011570 transcript:Dexi6B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTVDLRGMAPGAPGWEATRDAVTASMVAHGCVVVAHDALGEELRRALFDRYLPEIFKLPLETKQRTPTTKGAYGGYSRPVQGLACERIGINEPANGDGVRAFADILWPEGNPEFCETMVSFAKNMLKLDEMLEALVLEGLGVRADSVRAHLDLLDHGIQLSYYGAPPDAETSISMPAHYDYMMNNVIVQHEVEGLEVRLADGRWVDVPPEPDTFTFVAGEQLRVLQDPTPSPSYIYTSSTERRSQAAASCVQVATNGRLPACYHRVRTPSSRERFVVQFGLTQKPGMEVRALDDLVDEEHSLAFNPLRHEEYFNWRYSEEGFKVDDALKAFSGVEKVAAMV >Dexi5A01G0031660.1:cds pep primary_assembly:Fonio_CM05836:5A:34266161:34268840:-1 gene:Dexi5A01G0031660 transcript:Dexi5A01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNKMHFLKLYSSSNSSRNGSVEHPTRTSSQGSNKAGRTGRMAESPTGLSPKVDRRTAMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSELSRKRALQEVDDARAQAAAASVQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEAMQKQHAADSAALAAAMGEVHRLRVQLAAASRADRRQDVVEALATIDELRVKLKASEQAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFGLVVKELEESRAKVKALEEEIAEASSRAVPVVEHCNCSGSEVVAELRSELEVAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKANLFDKESELRRAMDANKKLQAEARTDTSADALKEQLQGALQENGQLREELRQYEAEKGSATARTTAAEADAAEAAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGGNGKVVERSESWEGGKYAGLCEDLDDDAAARKNGNVLRRISGMWKK >Dexi8A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:8A:9273550:9279295:-1 gene:Dexi8A01G0008020 transcript:Dexi8A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLQPLLLSLLLHSALISGNAGGGDLRGDAAALLSLKAALACRPHALRSWTPANAAAVCVWTGVRCSGGRVVAVDLANMNVSNGAAVSARVAGELDALETLSLAGNGIVGSVGASSLPSLRHVNVSGNQLTGDLDGGGGGWDFASLPALEVFDAYDNNFTSPLPLAVAGLPKLKYLDLGGNYFTGEIPPAYGTMPAMEYLSLNGNNLQGRIPPELGNLTTLKELYLGYYNEFAGGVPPELGRLRNLAVLDVSNCGLTGTIPPELGELSSLTTLFLHTNQLSGPIPPELGNLTSLAALDLSNNILSGEVPSSLASLTNLKLLNLFLNRLHGPVPEFVAALPRLETLQLFMNNLTGRIPARLGADGAQLRLVDLSSNRLTGVIPDTLCNSGELHTAILMNNFLFGPIPDSLGSCPSLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLSGEVPTNPSPASSSSQLAQLNLSNNLLSGPLPSTLGNLTSLQTLLVSSNRLSGEVPPEIGELRRLVKLDLSGNELSGSIPETVGKCGELTYLDVSRNNLSGPIPAAIAGIRVLNYLNLSRNALSGDIPAAIGDMSSLTAADFSYNHLSGKLPDTGQLGYLNATAFAGNQALCGAVLRRPCTATVSGESSSSSTPVTSTRRGGSGELKLVLALGLLACSVVFAVAAVLRARSIRGGDGDAGEGKWRFTAFHKVDFGVAEVIECMKDDANIIGRGGAGTVYAGRTRSGGAIAVKRLQRGAGAGEDDNDRGFRAEVRTLGSIRHRNIVRLLAFCSNREANVLVYEYMGGGSLGEVLHGKGGAFLAWGKRYRIAVEAARGLCYLHHDCAPMIVHRDVKSNNILLGDGMEARVADFGLAKFLRRGGGGGGGATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWAKQATGGRREAVPGIADRRLAGDDDAPADEVAHLFFVAMLCVQENSVERPTMREVLQMLSEFPRHGEDSSSPSTSSVKTSPASTSSAAAPPAGEAMSSSTDGRKDHSQPVANCGYKLFVPDLLA >Dexi6A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:6A:24789268:24790865:-1 gene:Dexi6A01G0016960 transcript:Dexi6A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGCHSLLSPASPLSPDFFSRHRASAVGTGAYPQSKVRPQIRCCAKDEDSKGWADMSKGKVQYFIRFPYLLVF >Dexi3B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:3B:5955738:5958012:-1 gene:Dexi3B01G0008510 transcript:Dexi3B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAECAGGKKSWPEVVGLSIEEAKKVILKDKPDADIVVLPVGTPVTKDFRTDRVRIFVNTVAETPHIASGDNEFRRRGRHRRRQEDVVAKKIILKDMPDADVVVLPAGSPVTLDWRSNRVRVVVDTVAQTPTVG >Dexi9A01G0022990.1:cds pep primary_assembly:Fonio_CM05836:9A:18147295:18148005:-1 gene:Dexi9A01G0022990 transcript:Dexi9A01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRSWAVPALLLFATLIAGAAFTEDDDDQHHKSICDDADCGRGTCVERLGWIPWRASYKCECDPRWKRAITMVASSPCNVPESCVAVNCGSGECKKEKGFHYHCECEPGFANMLNNTKFPCVDHTCKASSRSRSVNDR >Dexi6B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:6B:23724928:23727025:1 gene:Dexi6B01G0016470 transcript:Dexi6B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARALALALAVTAVLAASAAALNTDGLALLALKFAVSDDPGGALATWRDADADPCGWYGVSCSGGGGGRVAGVELANASLDGYLPSELSLLSELQTLSLPYNRLSGQIPVAIAALQRLTTLDLAHNFLSGQVPAGIGRLISLARLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEYGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGGVKDEPRIPEANYGMSDPGAAAEVGRRPAKRRSSSPTVPILAVIVVVAIVAGILLQWQCRRRCTAAAARDEEKASSSAKEKSGGAVTLAGSEDRRSGGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDGDSDGSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLPNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELHAHVSGFGLARLVAGAHKAAGGSSKKLGAAACALRGGGAVSYVAPELRAPGGAPTSAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMDLEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVAESLDRIG >Dexi4B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:4B:18505696:18508985:1 gene:Dexi4B01G0016440 transcript:Dexi4B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSPEIVPVNSPASDPPDLEHESSESGDGDGPPEPLSEKLPVPRAELSLYRAAVALRLLLLAAFFRYRVTHRVPDAPWLWVAALACELSLALAWLLAQLPKLSPTNRATHLDVLASRYEHDGGEELAGIDVLVTTAADEARRAEPPLATANTVLSVLSADYPAARLACYVSDDGAEMVAFETLFEAAAFARRWVPFCRRHGVEPRAPELYFARGVDYLRDRASPSFVKERRAMKREYEELKVRINGLAATARKAPEDGWVMSDGTPWPGNNPRDHPAMIQVLLGHPGNQDAEGNELPRLFYVSREKKPGFQHHSKAGALNALLRVSALLTNGAYVLNLDYDHCVTNSGVLREAMCFLMDPVAGYRTCFVQFPLRVLVDDHGGDPDATRDSVFFDIDMKCLDGIQGPVYVGSGCCFNRKALYGFDPALAEDDDDTRGNSCCFGNRKDPALRRTMSTVPLLDSEDSDDDHAGADNNARARRRLRSYHAALERHFGHSPAFIASAFAGQDRGGVGSGSSSMATAASSSLLREAIHVVSCAFEERTRWGKDVGWTYATGAGVVTGFRMHARGWASAYCSPAATAFRSFARASPSDVLAGASRRAVAAMGVLLSRHCPIWSGTGGRMRPLQRLGYVACVAYPLVSLPLTVYCALPAACLLTGRSIFFFFPDDEVGYYDAVMLILLISSTAATVALELRWSRVAPRAWWRDQKLWVVAGTSAWLAAVFQGVLRACAGIDVGFASTESTSAKIRSSSDDDGEEEESSGGQRRSVRWSNLLIPPASLLVGNLAGVVVAVSYGVDHGYRAWGPVAVKLALAGWVVAHLQGFLRGLLVARRDRRAPTIAVLWSVLFVSVLSLLWVNVDSYSAPPARPSSASQQPVL >Dexi9A01G0042390.1:cds pep primary_assembly:Fonio_CM05836:9A:45999934:46003163:1 gene:Dexi9A01G0042390 transcript:Dexi9A01G0042390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGRSKRMDGVDSSSPRCLAPHQQVAGELKDENNTSKMPSCPTELPCSLKREVQALEKRLNDQFVMRRALEKALGYKPCPILSSNESCIPKEGKCMDAGIVSLADILGTRVADHVPQTPNKISEDMIKCIAAIYIRLRDVPTVQHAFFPSPCSSFSSASGLSSKYTADIWSPRCRKESFIEAWQENALGNGESRELGLQYDSVVEVSALCKGDQRSADVKDMLRKYMSLVQLLETADLSGMKNEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKVSYIISGQRVNAELIEYQILCCRAHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFALSSGSYSDPMVRLYSPKSLFQQLEAAKEEYIRANAGVRGRGGQHKIILPKALEMYARDAGLGAQEVVAAVERHLPEGLRDAVRRSQQGGRARGRGGGGGGPGVEWRPHNMAFRYLLAKELVGGGSPACSRQLEKGGPVAALRPDA >Dexi5B01G0032070.1:cds pep primary_assembly:Fonio_CM05836:5B:32735373:32736029:1 gene:Dexi5B01G0032070 transcript:Dexi5B01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRFALRAFNGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIISQCNIYEAGEKKQAADKDESSSGRIQSEGDMFLNDAQQCAADASDVAGDELWDFKVQDFYRSCSVQPTSMALKVLLQCCTGWQPVPLPADVSSSTEDAINPADPMD >Dexi5A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:5A:23431745:23435044:-1 gene:Dexi5A01G0019470 transcript:Dexi5A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPYYPDQPVVDQYLPVWAKLPAFAHKPAFIWADDDTTPSSSYAALTYSDLNAAAQRMSIALLDTVSRGDTVILLASPGLRLVKLIFACQRAGLVAVPIVPPHPSANPSAHRHLLRAVSQTTPSAAVADAGYISAIMDSPAFSATLKRLRWVPTAKRYGGCAAGEAYLIQYTSGATREARPVVVTAGAAAHNVRAASKAYGMCPNSVIVSWLPQYHDCGLMFLLLSVVSGATCVLASPAAFLRRPRLWLELVDAFRATCTPVPSFALPPVLRRGGRRGTTRPLNLGSLRNLILINEPIYESSVDEFVHEFGRAGLDPASISPSYGLAENCTFVSTAWRGVTEPKQLPSYKKLLPSARLPSSSEEEPEIDIVVVDGQTGEPVKDGVEGRKISTTFVRTGDCGVVVCGGTERYLYVLGRNSDAIAIDGGQRRVHAHYIETAAFGSSPDSLRGGCIAALPAVWEEEGVKVGHVVLAESGGVPKTTSGKLRRAMAREMLAGELIPKVFEAFYDEDDKGAATCALGGDNETQRESSAMAKVSNASVFLLMLLIAAWRTTPVLSHAKPEPELDYGPGTKKAPTAAVSKGGKVHAGNQAAADAAILLRAKEEMAKRMVKHIEIIINSVKIAIQLKAALRRC >Dexi2A01G0022790.1:cds pep primary_assembly:Fonio_CM05836:2A:34590304:34594372:1 gene:Dexi2A01G0022790 transcript:Dexi2A01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPMLGQVLRRLRPAAVAEATRGYSAAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGVGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVSSFSSILYFLLALAHIV >Dexi5A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:5A:3839640:3840260:-1 gene:Dexi5A01G0005030 transcript:Dexi5A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLESTLVGAFIPYMMANWSRRSRVDQSQRRLRHLVATVRAVADDAELRACGADAAAVRDDSFSTWLRLLRAEALRGQEALDAAGNAAAVAGSVRRFLAGLRYSDTILRASATGGALPAGSNALRSRQRRQEEVPQRVPLLVLCR >Dexi2B01G0035050.1:cds pep primary_assembly:Fonio_CM05836:2B:42163498:42166971:1 gene:Dexi2B01G0035050 transcript:Dexi2B01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVAAAAAFPFRLFSVEARRNTRSAGGKRSAAKPLKSSPPPRPPSSSSSVGGGVAATTFTRLPLRDAPESAEVTVDRFPTAPANPETPAPASVPARGRSVQRLDDEEFELGLGSVAQIPLQDSQGGVEELTIGEFQARAAGRKSAGGRGFARQMVEHLDDNNGEEEELVVNSRDVFEVKKGRNARALVPEVLDDDDDVVVFDPDYGVDSDDEDEEFDMFPIKQSHKAGATPRTELGELEYDDEEEDDDEVVVFHPDDEEEEEEDEEAFEDDDYEEEGAEEGDGKEKGVPAVMRCFDTAKIFAKAGDGGNGVVAFRREKFVPYGGPSGGDGGRGGDVYVEVDGEMNSLLPFRKSVHFRAGRGAHGMGQQQAGAKGEDVVVKVPPGTVVRSADGGMELLELMKPGQRALLLPGGRGGRGNAAFKSGTNKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVITAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGYEFLRHSERCSVLVHVVDGSAQQPEYEFEAVRLELELFSPALVDKPYVVVYNKMDLPEASERWNTFREKLQSQGIEPYCISAINRQGTQDVIHAAYKLLQKERQRIKETEEWSGHENLNHVSDAIKKERRAPMNEFEIFHDKGTNTWTVVGGGIERFVQMTNWQYSDSLKRFQHALEACGVNRTLTKRGVKEGDTVIIGEMEMVWNDEPKSNRPSKTMNTKDDAVRWPEFG >Dexi3B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:3B:10661137:10664390:1 gene:Dexi3B01G0014830 transcript:Dexi3B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPCPAFVTQVARHRSANAIYSQLQASFPFRAPRSDTGAGSVLRNLHERYRSSYASSFPRWIRDLDSPSEASLLKEIYRSDPERVIQIFERHPSLHSNSSALSEYIKALVTLDRLEDSPLLRTMQRGFASSSTEEERRTGLAAFASVGRQTKDGALGTANAPIHMVTAETGQFKEQLWKTFRSIALMFLVISGIGALIEDRGISKGLGLNEEVQPSVNSTTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVMAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILETHMSKVLKADDVDLMTIARGTPGFSGADLANLVNVAALKAAMDEAKAVTMHDLEFAKDRIMMGSERKSAVISDECRKMTAYHEGGHALVAIHTEGALPVHKATIVPRGMTLGMVTQLPEKDQHSVSRKQILARLDVCMGGRVAEELIFGDREVTSGASSDLKQATRLARAMVTKYGMSERVGLVSYSDDNNSMSAQTRGMIDKEVKDILDRAYNNAKTILTKHDKELHALANALLEQETLSGAQIKKLLAQVNKSNNKQKEAVKVRQKSSVTPPPSQSPAVAAAAAATTAAHEAKGVVGTAAAAQTATNTEGVAGVGS >Dexi2B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:2B:28026902:28029475:-1 gene:Dexi2B01G0017650 transcript:Dexi2B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQSWRSYLCCAGGSAAVEDEDSTPRRRRIRGGKDSPRSSSRMSFSSLSSSEMLSPEDLSLTLSGSNLHAFTYAELRAATAGFSRANYLGCGGFGPVYRGAVGEDLRPGLHAQDVAIKYLDLEGGTQGHKEWLVSAAEQSINGALPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPEGDETHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLSGRQSVDRSRRPREQNLVDWARPYLKYSDRLYRVMDPALECQYSCKGAEVAAIVAYKCLSQNPKSRPTMRDVVKALEPVLGMDDFFPAGPFVFTISVEDDKVVGVKVEVEEKPPQHQSHQDRHRKKYPNSSIHAGIVLHPRDGVVGGDYTAALRRQRRASSHRQERGA >Dexi6A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:6A:2778079:2779491:1 gene:Dexi6A01G0003040 transcript:Dexi6A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASMNEEAPPPDFPEGGESWHQRCVLDRPAADMADTGDAGGKGELLGAGEKGEVAAGPGVGAGIDLHGDISGLLRAHPLSPLVSLHHLDQVYPLYPGMDRTRAIQHFFRAANADPARILQQTVCYDSKRSLTVSIAWGYSVQVLKGNVLLPDLLAVQKTFVPWKRGRNATDVYMFNTKHVPRDECKRGALFILKSISSGECKTETTYSRQPLRKCPPDLIPLGNLNMIKVKAEKLRLIPGKALRRHCCDIVPSSSDTTMDVNIRKCKDDELIAMHS >Dexi9B01G0029270.1:cds pep primary_assembly:Fonio_CM05836:9B:31885173:31886929:1 gene:Dexi9B01G0029270 transcript:Dexi9B01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKFVVEDSKVPTAKPNPNKPFTLPRERRELAAATAAAAAMSGGIARGRLAEERKAWRKNHPHGFVARPDTLADGSANLMIWNCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >Dexi7B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:7B:23016179:23017900:1 gene:Dexi7B01G0017040 transcript:Dexi7B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSGAAVASFVANKNPAVLAAARRTIAAGRGLPFSPLSSTSRFPPRRRVLGTVRCFVPQDTEAHPTAAPPVPVPETALEEEARAAAARGIAERKARKRSERRTYLVAAVMSSLGVTSMAVAAVYYRFSWQMEGGEVPSHHRPREGPFELNDVFAIVNAVPAICLLAYGFFNRGFVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELDEVGGLDELEKELARISRSM >Dexi9B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:9B:9251175:9251741:1 gene:Dexi9B01G0013770 transcript:Dexi9B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLFLIAALVMLMVAAAAAKTPRFGIPRFYAYFGGEEDASSELSLRRYGNTKSATPFAMGNAAAIHLGNTKSCIAGYGSGGGGGDPYGFCIPSWVAFFTHRDPPVDAHGPLVGEAARDHSSIPSWTAISGFMRLIHRRHALPDFFFV >Dexi4A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:4A:20093858:20094142:-1 gene:Dexi4A01G0016450 transcript:Dexi4A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLDGGVTAPSAGGGGVEGKGGGGVLARSVSFTTRPLAPMRWRERRRRRRLLADAAVCFGGFGGAGAWWGRGGLAGRPVFLRWHRALATATF >Dexi5A01G0038690.1:cds pep primary_assembly:Fonio_CM05836:5A:39578224:39578689:-1 gene:Dexi5A01G0038690 transcript:Dexi5A01G0038690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSQAPPPPPGYPTAAAGAEQQGGARKGRRGKTTSRGEKGFIEGWCHRGSVLLLDLRDVLRLAASPSIGL >Dexi3B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:3B:2981943:2987676:1 gene:Dexi3B01G0004370 transcript:Dexi3B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLLVLPRGGFFLPRLPRRSPALSSLPRSIPSTKRPGTFVSPTIRAFSGYSGMAAGSPEQQQRSLVVRETVELTEKEELIFRRLLAVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQHFCEKVNEYLGLMGEKQKAVGVIQCNPDQSKHLETARMLVFDIWIDFVNLRSEKYAENSRIPTMEVGTAEEDAYRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPTKDTFLDDPLRVLGAIRFAARFNFTLAEDLKEAASDERVKSELGSKISKEHIGHEIDLMMSDKHPVKAMCDIRDLGLFYIVFSFPENPDPPVFDKCDWQCVSHIEAAWNIANSIGSSLFSSAKLQDEQRRLFLYSALFIPLRNMVYLDKRSKKVPVSSYIIKQSLKLKTSDAETVANMHAASVKFAELVLLLEHNVDVVTLRENLEDEYLEIPTDSVKRVLAGLDEVSKLKPVLDGKSIMGVMQVKSGGPLIGKWQQRALKWQLAHPDGTVDECIEWIKQSQSKRQKVESGT >Dexi2A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:2A:33228502:33228989:1 gene:Dexi2A01G0021030 transcript:Dexi2A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARSSTVWSPSLLLQRDAGGQRDNGEEIGWILSLHGGSDEGGGDNVNNFMTTWRIRFPDGGMRTGMMSPHGIKFSRPFPLSL >Dexi9A01G0043570.1:cds pep primary_assembly:Fonio_CM05836:9A:46971355:46973109:-1 gene:Dexi9A01G0043570 transcript:Dexi9A01G0043570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRAARGARLSDPDPEPDPHADALRDPHGLAGQRRRRGCRPRRQVDAAGDVRQHLHTGAPPPRRRGSYTDQILSYLDNNNIGDSAARKNRLDRLVFRTNERLPGAVLQAQARVLERLRSISLGSSASRPSISLDEFSASDVFRLIDFRNRETRHQANGSNSSYHLSSESDEERPTISSANFHKSSGLSKAAFLRLQIEIFEASKDDNREPSPECSICLDGFYDGDELIRLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS >Dexi5B01G0028820.1:cds pep primary_assembly:Fonio_CM05836:5B:30121823:30122202:-1 gene:Dexi5B01G0028820 transcript:Dexi5B01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNFQVTRKPIVVYPNSGETYIAETKEWVDTTGASGTDFAACVGEWRRAGAALIGGCCRTTPATVRAIARALREDDADEYDDVPAVAVL >Dexi6A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:6A:27728763:27729524:-1 gene:Dexi6A01G0020200 transcript:Dexi6A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVCPGSSSSSPSPSSFFSTAAGHHHQELEFVSGDVLEEWLGDNDWLDLDEPSPGNDMMSGGEPPAPAPKSRGRKPRPKSTGGPPISHVEAERQRRDKLNRRFCELRAAVPTVSRMDKASLLADAANYIAELRGRVEQLEAETKRAAAVSAAAAHSSVVGGVHQEETLEVRMVGRDAAALRLTTAARHRRHAAARFMVALRSLDLGVQHACVCVVGGGVTVQDAVVDVPAAALRDERVLRAALVHRLQQSG >Dexi3B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:3B:9996405:9996793:-1 gene:Dexi3B01G0013930 transcript:Dexi3B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSEESPPARPGGRRPMRCRSPRSRLVAVSCIHPPPLPRIHGAINTSPEIHSFRSPRRRIIILSAQLKRAPHKPSSFVNEQLIGSITAPSPELTCIEPPWKREGHGHGKHRG >Dexi9B01G0041100.1:cds pep primary_assembly:Fonio_CM05836:9B:41550878:41553598:1 gene:Dexi9B01G0041100 transcript:Dexi9B01G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPNHTRDDFKRATPPLGSTAPVSARPPAAPHANAMASPPRGQPAAAPAATTSPQVPTASHPLPRAFLATSPPRAPAAAAAAAPAPPLFTARPLNPSAPGHVSPAPHGILYPVSRPVPTSAAPQLRRVPPMAVGYPRSHVVVPIAQPQQPLVHSQLRSYAALPRNLVAVARPEQPPRGVPIASQSKVNPAPPVAPSGEQGNPKDRERSREDSTIVVINDRKVNLLDSESGSLYALCRSWVRNGIPHESQPSFGNGEPILPRPLPASVVDSRISDKENNDAADVDSDEEPQKVPFYKTYFAI >Dexi6A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:6A:21973274:21973958:1 gene:Dexi6A01G0014590 transcript:Dexi6A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEIGALVGLFLLRLVVAEGTRSTGGASSPRIARVSRITCGGATAGAGCGGGGGGSARRGGEGGASGRRRWKLRWHPQAAHRNEAGSARSVSGEGMNSPHPSRACPPMLAAWFLRHSRYTAASPAPHAAAAAGLIFFAAGAGAPAPPLRNSAGLYLTSTTASIPLPASSPASQTPHQDYSAVFAPATTYTDKDEEAAEEKTTGGEVKRGEEIRMVRPSGENGKRV >Dexi6A01G0014590.2:cds pep primary_assembly:Fonio_CM05836:6A:21973274:21973958:1 gene:Dexi6A01G0014590 transcript:Dexi6A01G0014590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEIGALVGLFLLRLVVAEGTRSTGGASSPRIARVSRITNSAGLYLTSTTASIPLPASSPASQTPHQDYSAVFAPATTYTDKDEEAAEEKTTGGEVKRGEEIRMVRPSGENGKRV >Dexi9B01G0029060.1:cds pep primary_assembly:Fonio_CM05836:9B:31619807:31620219:-1 gene:Dexi9B01G0029060 transcript:Dexi9B01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASHTKLSAAVFLLLPVLAVETGPVQAGECLSKSTTFKGLCFKSSSCNDKCLKESSAYSGGKCRGIYFTCWCITPCAMQLAPEASPPQRARMGDVGGLE >Dexi9A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:9A:7611013:7615278:-1 gene:Dexi9A01G0012020 transcript:Dexi9A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKANQLPADTNPDRSTKDKKALNTYRPDNSMDNNDGTVIGGLTSEAAAVDEEAPLIPSARLSYMSDGQETDKNIEIWKIKKLIKGLESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGEIIIKHLNKEQEADQSHFRDQSTNSELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGLLRYQLDIRSFDELSDDEGIYEDSD >Dexi1B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:1B:16476965:16477429:1 gene:Dexi1B01G0012580 transcript:Dexi1B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIAELKKRLETYYAVDEEVELSSVTQAVLERKLAGVHSDIDDDIIEELRSKPLPEVRDRDFESDFEEMYDTDEELNDLYNASEYVEKKIKSDEFFNMDDTKWDAMIKEAICKGYLTNMKECEDILEDMLHWDKLLPIL >Dexi1A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:1A:3843205:3844860:1 gene:Dexi1A01G0005260 transcript:Dexi1A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTCKFVSLLCSVTAPRFSIY >Dexi2A01G0028480.1:cds pep primary_assembly:Fonio_CM05836:2A:39778916:39782131:1 gene:Dexi2A01G0028480 transcript:Dexi2A01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPEAAEASPPSTPTTTASPCPTPRPLATTAPPTMSPALLRAARSGDERRLVKALLADPAAPDLESATTAGGNTLLHVAAAGGHADLASLLLRRTPRLLAARNAALDTPLHLAARAGAHKVVALLVASATSSSPASSLRALTRAMNRRGETALHDAVRGGHEAAARALAAADPGLVGLCGGGRESPFYMAAAAGSLGMVRLLLKTYRSAEEEDVPVLGSTTGPGGRTVMHAAVLMSNEMTQELLRWNPSLVKEADESGSTPLHYIASVGNISTMKLLLRHDTSLAYSSDSSGLFPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHVAIEHKKWKVVWRFSGTAELVRMANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNEGLTALDLAVLGTDKGISYTLNPQVIILRCLAWTGAVLSPRRLDNFIDDFGIGKTSGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPVSERIAIVVCMSTFMTLLLRNPSSWQLWFLFMPIKRRLGWRGAFKTHLPQETRSRLTIGVGSNFACLFLRRMLGMVFTYSFIFLLALL >Dexi5A01G0031610.1:cds pep primary_assembly:Fonio_CM05836:5A:34221340:34222835:1 gene:Dexi5A01G0031610 transcript:Dexi5A01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRIHHWALLEPFVFRRDDDESFPDESKAPVMANGTTTWGASFRIAFSLAKPPLISRLYAKLPVPGFLDRTVLTPLRIVATHHHLALISVATERPEVPDLIVQNFFIFNAMHPYSSLKALPPCTEPYFDYTRVAATYLVMFVVAELTLFKPIDHNKVFADICLLHSTSDGGDRLGGTWKSMRVEFLSTKDPGDADLFQFCWWYTDAAIPFNKWLCWIDYHRGILFCDMSKLPNHPTVSFTWFPLDKLPLSGIRRATSTFCYHAVSVVARGSLLKFVNITRQDGIPFGALEPGTGFIITCHTLVLSGGSMGWKEDYTVTSGDLWEANNPERLPRHILMFPQVDIDRPHVAHFLCIEFGYVKKKMWLVSINMRTKTVESSSLYINGDEGLETDDSDLITSKSMSALPFIPCEFPKFLNLSRILA >Dexi7A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:7A:21214593:21231571:-1 gene:Dexi7A01G0010420 transcript:Dexi7A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARETLISQDDRLGKLPDDVLISAFEASQPARRRQEQHPACRDGGGIAVDVECFKPNNDDGFKSSNTLNRLGIRFYLRDESIDIVRSVDKAMTTRKVVKAEFVIITEIPEEYNTDDDMMDNGRRLMTFVDACPRAFGGLTHLSLHSVRLGKSDFCNVLDTCKKLECLRLNDCDAGNKSVLKIEHSQLAELSIEIEQQDDRLGKLPDDVLISVLKHLNLRDAVRCSILSRQWRHIPSVLPDIVLDVESFEPNKDDGFKSTVSDLASSNMALAHAAKSVLGRKSDRPIGHLAVTFYLRKESMAIVRAVDDAMSPAVGGRGVVTAELTILGEKMDFLCNGSDMARNGRRFLSYFNACPGAFAGLTSLHVESVALGLSDIPDVLRTCHKLESLSLLNCDSGHGTVLALEHPQLTDLQLCSCNTVELRWLPKLAQVACSTWVPSQDDRCPLLFGHVPLLRSVKLSTAAYVTYPVLLLSKLLADCTMLSELCIGFDSHRIWIQPEGPAQLAPLLQNLRIVTLDNIFEECDLTWTLFLLRAAPLLKTLQIKVSSHECTPLPNEMLRTFICEKENIKWEPSDFKHNHLAVLEIHGFEGGNKFMGYIRRVMEAAVNLEVIILNDEL >Dexi1A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:1A:26324452:26324700:1 gene:Dexi1A01G0019160 transcript:Dexi1A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVVGVEDVHACRARHNRVPLMGPSQDDVSPLLGVQGSTFTEMVTTMCSSTCVATSTVSSDRSAAVYAVAYHLGSSRGRK >Dexi2B01G0030610.1:cds pep primary_assembly:Fonio_CM05836:2B:38860869:38862072:1 gene:Dexi2B01G0030610 transcript:Dexi2B01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAVGIHRLLLSCAAEASEDAISSLVAELESPSQSLDSLRRAAMELRLLAKHNPDNRVRIAAAGGVRPLVKLLSHGDPLLQEHGVTALLNLSICDDNKAMIIEAGAIRPLVHALKSAASPAARENAACALLRLSQLDGAAAAAIGRAGAIPLLVSLLETGGARGKKDAATALYALCSGARENRQRAVEAGAVRPLLDLMSDPESGMVDKAAYVLHSLVGSGEGRAAAVEEGGIPVLVEMVEVGSSRQKEIATLSLLQICEDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKAESLIEMLRQPRSPSLRARPTAVVAAE >Dexi3A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:3A:6114231:6114491:-1 gene:Dexi3A01G0008770 transcript:Dexi3A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGRRRRGSAATARSGLARGGVVVQPISVVVGGGRRWRRRSGATGARSGLARGSLTTRQSHRRRGAAAMEGDPGSGRRGSGRR >Dexi8B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:8B:8844605:8846026:1 gene:Dexi8B01G0007390 transcript:Dexi8B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMANRPTIVLLPIWGTGHLMPMLEAGKRLLARGGDLSLTVLNMAPPTPESAAEVTDLVRREEAAIAAGQADTIHFHNLPIIEHPPNLLEMDDFISELVQLHVPHVRDAIAGLKSPVAAMIIDFFCTPVLDVSGELGIPTYVYFAGNASTFALLLSLESNKGKEDTVDVPGLPPVPRSSLPSPLQDEASKSYKWFVYHSRRYMEATGIIINTAAELESNVLAAIADRAPPIYPIGPVLSLKPPADEPHECVRWLDTQPPASVVFLCFGSMGSFTAAQVREIAEGLERSEQRFLWVLRSPPAEGGMHPTDANLEELLPDGFLERTKGKGMVWPTMAPQKEILAHVAVGGFVTHCGWNSVLESLWFGVPMVTWPLYAEQHFNAFTLVADLGVAVGMKMDREMGNWVESWELERAVKCLMGSSEEGRKVREKAMVMKVACWKALEDGGSSYTAVQRLYARAAGGQNVETPSTVEDI >Dexi8A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:8A:23652781:23657103:1 gene:Dexi8A01G0013570 transcript:Dexi8A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLEKGKLSKFSGHAAGGSSIESLMKMPEPEVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAISDLLSSCGKCSQEFRLLDFVSQVSTGSHDMSYDDESDEFCGSVVVHFRIRDDMIACDRRRLAALSTPLYAMLNGGFKESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRLTMVGSASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQLACTRFLRKDYAEAERLFSAAFSAGHLYSVVGLARLASLKGNKHFALKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESHTAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Dexi1A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:1A:15423085:15429216:1 gene:Dexi1A01G0013160 transcript:Dexi1A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELADVPDVPQKTSSTYPAEKVTGTHDFEMENYSTLDRRFGVGRSLKSTPFTVGGYSWMIQFFPNGHSFDYCSYYMSTASACVSLAGTPVAATLATTMPKTKYTLSLVGRDSQPSRLWRATSPIRTYGWPHPNSWGIKLHYRKPLLRLFGCLDGNRLKIRCELTVFVFTAPSTTKGTSLALLAPLPELHGHLERVLGDRRGADVTFHPAIFEMLLHFVYTDSLPAIFNGAGNDSTAAAQHLLVAADRYGMSCPPAAVSPLDWRRISERRWW >Dexi4B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:4B:1222228:1225240:1 gene:Dexi4B01G0001960 transcript:Dexi4B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDDSDGLTASRRKSSKDPRPPPRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPAPEAAPGSPPPPPAATPIQRSHSRGLLTPRNALPSLSARGAVVRSGDEDSLYYAGLRRSADDPYHPVSNPDGIINLGLAENHLSLDLVGRWMEDHAAAAMLDGIAGAGEDARDLTIRGLATYQPYDGILALKMALAGFMRQIMHESVSFDPSQMVITSGATPAMEILSFCIADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYKQAKKRGVRVRGVLISNPSNPTGGIVPRETLHDLLEFVAEKNIHFICDEIFAGSMYGSDKFVSVAEVVDELEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNENIVSAAAKIARFSSMSTPTQRLVVSMLSDQKFISEYLRVNRERLQKMYHLFADAMKQVGIECFKSSGGFYCWADMSRYIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTALGEKDIPVLVERLRRVTDSHRSNS >Dexi3B01G0030300.1:cds pep primary_assembly:Fonio_CM05836:3B:29845776:29846511:1 gene:Dexi3B01G0030300 transcript:Dexi3B01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVLLARRLRRRALGPGENLPLPGARREYGGAHPRFVVADGHHSERSGGLVGGEQEGIHADALAAAAIGHLAGRHGEEIQRPREGVVDSHLPGAAVGEQEEATAALIDPPPGGGIIPSGDAGQEYVGEADGSGEVRSKKRPRRGGGWSATKLRRKAGEERAAARKARQASAARTRLGREGRWRKISQRRSSPRESMVVRERGAWGFVVVVGGGGGGGGEREAMAT >Dexi2B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:2B:3037441:3038031:1 gene:Dexi2B01G0003450 transcript:Dexi2B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCAMVPPAESPVTNTRPKSAASASQSSSAAVAESPAHEGDAVVEGGGEAVFGGEAIAGGEDDGVGVVGQNTGSAGDRRMVPSLRTRRKPKRSSTTSFAGVAAAQQAAAETAGMVIALLAT >Dexi2B01G0030030.1:cds pep primary_assembly:Fonio_CM05836:2B:38307586:38308201:-1 gene:Dexi2B01G0030030 transcript:Dexi2B01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYNFDLLDLADGESGEAAVSVVVSKKKADAAAAKAADAADPAQQQAAAYVRPKFSHFDKLKHDNGTLFD >Dexi3B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:3B:8041803:8049715:-1 gene:Dexi3B01G0011420 transcript:Dexi3B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGGGLGYASRRGLYAQAAHHGGAGGGSAQTSPGGSPKDSSPVHRHARAGSLGGAGAASTAGRRAGAGATARAHNSAARAAAQRLARVMGGGGGGGVGGDGGSGSDDDDYELSGPPIELSSTPRRTSTRSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGAGAGSESPVVPNRREQRKSVDLGSSMRARRTSSSLHDEINTLQMENESMYDKLHLAEERSEDGDAKSMNMEREASDIGDAVETETNLISRKDAALEQRKIAMRIASRRSSSASCDEIVTLKSEAKAANNVVTSVSRRVKRSRSELRSLQAAANKKILSQEEMEEVVLKRCWLARYWTLCSKLGTKITFALFILLCDEGVFRILSDIAEEKQEYWCSFVPLALEAVLSIGQKARDGTLSVHVLADNAEIESRSKMPDANDISGDGNIESMLSVEKGLHQLASLKVEDAIMLALAENRHIKPLSGQPSEGRSPSESLVERGLHELRKLGIESQLWDATRRPLDDDFSNHGSPTGSEA >Dexi4A01G0017250.2:cds pep primary_assembly:Fonio_CM05836:4A:20996913:20997364:-1 gene:Dexi4A01G0017250 transcript:Dexi4A01G0017250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLGYCHADTESSGLVCHPRKHAPRTSCTSRSSSLACDTANALSGLAANPAARAAAPHRSTPRHHLPPELPSDASISLPRTSCSTASLSLLAGGAVASSASNTAA >Dexi4A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:4A:20996496:20996906:-1 gene:Dexi4A01G0017250 transcript:Dexi4A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARRGRSLMASYPPEAAIVSLSATVTTAGAMDFTHLKKAATSNITQFTASVSSGWSFRLVDGGVLPPAVAEEEVEEERRSGVKWKGRWMERAKARRREPVRRRVSGSSPAPVMRTSGGGAPQPAE >Dexi3A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:3A:8441156:8443077:1 gene:Dexi3A01G0011670 transcript:Dexi3A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANEAPTCSWRLSGMVTAAYVAAEDTGVLDCSCMMGHAVCSSCRDKLAPSGKCHVCGIEIDDYHRCRAMERLVESIHVTCPNAAYGCDATPLYYDRDDHHRACPHAPLRCPATGCGFLGLTEALLDHFTGAHGWPPATKARVGDVSGALLRDGFNFVLAGGGGGKYLFLLNATRRPAAGDCGVTVHFVEPGFTLSVRYLRYIPFINGSR >Dexi9A01G0037750.1:cds pep primary_assembly:Fonio_CM05836:9A:42035921:42036752:-1 gene:Dexi9A01G0037750 transcript:Dexi9A01G0037750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIPAAERPRLTLEDYIVFFTTRSGKGLSIDHLNQIIYMHGFAKVHRAPKPAIVDSLRSVELMRPRCSTVLLNATVPPPCAVPAAAAALSMDQATRDIEDLGWRECPVGSLLSVRAGMLSSPAVAAAAETPVRAVPISAVAPGSAERISPLSQLSASSTLPPALPAAARKKRPPTGKGKAATRTKRRRVMELLTLPSVEMATSA >Dexi7A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:7A:16089135:16090380:1 gene:Dexi7A01G0005030 transcript:Dexi7A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASNKIVHRDEITDDAFTDSEQIPEKYIRTDEVSAGAVVGEDEAYELPIIDMARLLDPELSSSETAKLGSACRDWGFFQLKNHGVDEAVIQRMKDSTMQFFGLPLGRKNAVAVRADGFEGYGHHYSRMSKLDWAESVILITQPAEDRNMDLWPTDPPMFKPALEEYSAEVTKLMRQLLVSMALDLGVDEKALTGAFEGKRQSMAIHHYPPCQHPEKVIGNTAHTDGLGLTVLLHVDDTPGLQMLRGGRWFPVRPAAGALVVNVGDILHILTNGAYRSVEHRVVVGADRGRTTAVVFQDASVGGMVAPLPELLVVNGGGEKARYRSIPRFEYLKVRFSALAKRKGFLDSLKL >Dexi3A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:3A:13470384:13472891:-1 gene:Dexi3A01G0017670 transcript:Dexi3A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFLRNRNRRKSDKRGTTALHRIHRSHLSSAIARRRSFSRRPRLRAPCGDGDRVSALPDDLLLLILRRMDTRAALATAALSKRWAGLPRGLDTLDFRVSDILPPRYHRCIRMYREGATYAYGIIISFKVLLENIRRYERRAMRSMAASINNFLDSNGDHDHDARGPWRVRTLRLECFATPCSSSINPFIAKAIDAWGVEDLEVSAKATFYRQEAHNFPRHGLCNDPHKSCMRSLKLAACYIPPLQGFHSLTSLVLQDLPDSTPTAAYVAVFTFRKIRFTPDWDLNPYLGGSPGITDLIVRFTGYDRWFRTWSPALLLPRLRRLLIADVPSSWDVSWPRLLIEAAPCLESLHVHISPWDEDPCDDITWQPPNFCHNKLKELVIIGFEGTERQIYFVNFVMEVSTQLQLVSLLKNGLVHARGHWDWDMVKQHYQWGNEDRVKILNQITDDVPCSKIPIQVVLE >Dexi2B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:2B:8812058:8812516:1 gene:Dexi2B01G0008580 transcript:Dexi2B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASQSRRLSHPPPPPPTPVGTMDALPPELQTTILSRLPARDVVRTSILSRPWRRRWESVPDLDIDLTDLHSWATATTFLSRCAAPIRRLRLHGVPPHLAVCVDSWLRLAAGKSPRVLSVDLPAVGDPPPSVLTSLFACDGGALVELRLGS >Dexi3A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:3A:5074065:5074850:1 gene:Dexi3A01G0007400 transcript:Dexi3A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELHQDREPAARRPRVLLLCSPCMGHLIPFAELARRLVSDHGLAATLLFASATSPPSDQYLAVAASVPAAGVDLVTLPAPPPPPAASDLPPSSASARARAELAVDANLPRTRELARAMAPQLAALVVDMVVGARARDVAAEVGVPLYVFFTSPWMTLSLLLHLPELDAGEHRDDAAGEPIRLPGCVPIHAHELPSSMRADRSSDTYAGFLAMAKGIREVDGILVNTSGEIELAVGDVMEGLELPAIHPVGPLVWTRPVGE >Dexi2A01G0024660.1:cds pep primary_assembly:Fonio_CM05836:2A:36406111:36406434:-1 gene:Dexi2A01G0024660 transcript:Dexi2A01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIESKPVLLGLGQRYWCPVLRGSPVRLKIETRGKKSSIRLPSWSNADCRLVAELKPATATSRPRFPCGSHERSSHGEHRPATLLALALPD >Dexi3B01G0022880.1:cds pep primary_assembly:Fonio_CM05836:3B:17587267:17593964:-1 gene:Dexi3B01G0022880 transcript:Dexi3B01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYLLDHVNTPILSSSPFSTFQRGRSGLEVEPRTAQQFLEHNSGDFNCEEQCRVNLIKKDLLGLGNGFLRRPAHMTSLSHHGIGRNEFGLPLGARSLLQSVRTASTATAGQPKMDTDDEQNEDQKQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKASQSVMQKFWARILGIGPALRAVASMSRSDWAAKLKHWKDEFVSTLKHYWLGTKLLWADARISSRLLVKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEAMKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRRGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLRDIKNDDKMIQAEKVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEEIIKEEEKKKEKEEKAKQKQEEEAKLKESEAAEEDLALKEMTDATAREELRKAKEHDKEKLCNISRALAVLASASSVSKERHEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYFAAREEPDHDAEVAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDLDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVRLASQTEEHNDEEEEARH >Dexi5A01G0024180.1:cds pep primary_assembly:Fonio_CM05836:5A:28080042:28083655:1 gene:Dexi5A01G0024180 transcript:Dexi5A01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRSASVAALAPAGRRAQRARLCLRLAAPLSFLLLFAALFRAQPFLGIPPAAPPPFAGPAKVAFLFLVRAGVPLDFLWDAFFRNGEEGRFSVYVHSAPGFQLDRTTTGSPYFYGRQLARSVKVVWGEATMVEAERLLFAAALQDPANQRFVLLSDSCVPLYNFSYIYTYLMSSPKSFVDSFVDQTEKRYNQNMSPAIPKDKWKKGSQMVVTKRLLGRRPNARRLGFNLRRNQLHIVFCLRHYVCINFQKGAAVQEHDCIPDEHYVQTLFSIKGFDDELERRTLIYTSWNQSSNPKDKMTWHPMKFEYDTSSPEHISAIKSIDHVNYEMEHRTEWCQCNGTSVPCFLFARKFSYSAAMHLLEQGAIGPPKSAQLPVNF >Dexi6B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:6B:25141108:25144443:1 gene:Dexi6B01G0018040 transcript:Dexi6B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGARRILVRKNITEPCTVDKKPFAPFYKATLKITGTPRAESGHPVAGLLPRHARRQGNEVAQVARHHRAGDVRGHGIRKVELGTTLAMDALSASYPPARSAATSCARCSGGRATMAVQATLDYALPEGEQKFNSYWQKQAALQQGEAVQSPPPRTTDVRSIETPYSTDVS >Dexi9B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:9B:12495678:12496216:1 gene:Dexi9B01G0017760 transcript:Dexi9B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVSRQEQTSPAKLADMPGDHWTEIFLHLPPQPHILLRVSHVCRSWRRLAADPAFLRSFRARHTNTPPLAGVFHNICTKDTLPLRRGFSCPAHWQVLDSRQGRVLFHAVARGVAPSMLILWDPLTRRCEQIAMPPNWAVYDYGKLSGAVVCMAGDDADGRHRDC >Dexi7B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:7B:17330197:17332327:-1 gene:Dexi7B01G0009870 transcript:Dexi7B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSSVLPKVIDDAAEATPRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGTVALLASWGITLYTLWLLIQLHECVPGVRFDRLRDLGAHALGPRLGPWVVVPQQLIVQLGCDMVYMVTGGKCLQKFAESVCPRCAPLHQSYWICIFGSFQFLLSQLPNLDSITAVSFVAAAIYSTISWAACVARGPVAGVSYAYKDGTAADSTLRVFSALGQVAFAYAGHGVVLEIQATIPSTPTKPSSAPMWKGTVAAYVVTAACYFPVALVGYWAFGRDVGDNVLVALQRPSWLVAAANMMVVIHVVGSYQVYAMPIFETIETVLITRLRVPQGLLLRLVARSAYVAFTLFIAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPPRFSASWFANWGCIVVGVLLMIASTIGGLRSIIQDASTFQFYS >Dexi3A01G0032990.1:cds pep primary_assembly:Fonio_CM05836:3A:37781632:37783142:1 gene:Dexi3A01G0032990 transcript:Dexi3A01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Dexi3B01G0034000.1:cds pep primary_assembly:Fonio_CM05836:3B:36784371:36786405:1 gene:Dexi3B01G0034000 transcript:Dexi3B01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLPAAAHELLSALLLLLTGGTATPRPPPPTRRSPATIHRRVPPAALLASGGAGTTTLVVDVDGALLLPRRRCSLFAYFMLVALEAGGFLRGLVLLLLFPAVVFLGGDAAVRAMAAVAFCGLRAGATFRAGRAVLPRWLLEDVAAEALDAVRRAVAVAGDGDTARVVWASSMPRVMVEPFLREYLQVVPAEVTVAAREMKTVWGFYTGLLENGCHGNSQAMSVLLRKRKNMAAGGGEDDDVIVGFSAAGSTSFLSSPLASICKELYVVTPEEQSKWRRLPQRDYPKPLIFHDGRLAFLPTAPATMAMFMWLPLGAILSILRLATSLALPYRYATVVHAATGQSWRLRGSLPPSTGGDVSGELYACNHRTLIDPVYVSIALDRPVRAVSYSLSRVSDVLSPIGATVRLARDRERDGAAMASLLAAGDSVVVCPEGTTCREPYLLRFSPLFAELAVAGGVVPVALAVETSMFYGTTASGWKGVDPFYYLANPRMCYTVEFLERVDTAAPEGEKKKAASCSIDVANRVQGIIATALGYECTMLTRKDKYRMLVGNDGAVAAPPPPARRTRADMRVPAGAVSG >Dexi9B01G0046880.1:cds pep primary_assembly:Fonio_CM05836:9B:46146194:46148572:-1 gene:Dexi9B01G0046880 transcript:Dexi9B01G0046880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALNLAHQTGAAAAVTAVVPAAPRSAVIAAAASASAPSAASASSPALQAQTMTVDAAPSQAPDTVKPDLAMACQALVDGSAAAPEAEHADVAAELKSKSGVPVFVMMPLDTVRKDGNSLNRRKAVEASLAALKSAGVEGIMVDVWWGIAEAEGPGQYNFNGYMELMEMARKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRDGRRNYEYVSLGADGLPVLKGRTPIQCYADFMRAFRDHFASYMGNTIVEIQVGMGPAGELRYPSYPEANGTWCFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGSYKDWPEDTPFFRREGGWNTEYGQFFMSWYSQMLLEHGERILSAATGVFTASPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYVPIARMLGRHGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVAAAARDAGVGLAGENALPRYDETAHDQVVATAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSGAGKRDLCREQLEREASGVAHATQPLVQEAAVALTN >Dexi5B01G0021950.1:cds pep primary_assembly:Fonio_CM05836:5B:24231159:24231452:1 gene:Dexi5B01G0021950 transcript:Dexi5B01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAILLVSVVLLLNSPVGFCGCFKRIFSFGDSIIDTGNFVRTVSTTPIKELPYGITYFNRPTGRVSDGRVILDFYGEYAPYIIVTYVNELNCSIEC >Dexi5A01G0036770.1:cds pep primary_assembly:Fonio_CM05836:5A:38222896:38223760:-1 gene:Dexi5A01G0036770 transcript:Dexi5A01G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTIEQVNRHYRDYKEKWKIVERALGNSGNGFDATRCKITISESEKEKLSDRARRLLAKPIKFFHEMEELFKGSNADGSLAMDQETCLDDDKDCDSDDSRGLNDISGYAHPADHADDDSDTLPSPEAKQISPNYAASGENSSSSTHRSGKKRSRGYKSPSKKPLKSKSRFSDATLEIATTIKEISKSLAEPPPPPPVLKFDNPHAELWKRLEALTICIGDKIKVGTYLARPENEGMRCWLDGSSATTLETWVYQFLCGQDDS >Dexi4B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:4B:1922147:1924133:-1 gene:Dexi4B01G0002900 transcript:Dexi4B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRTRAALSELASGSGSPSPNPSAPCGPVRLRVAAADPAAAGAASLLLTAAAADDNVAVAKFVAVLAHSSVEISRFSDAASKGLYRQLLLFGHTAGDSGEALLEGEPQKMFARSIPLLLELYEVINGLVMILGNLLRQLDVICSVRDKNVRPLNSFRSLDLRTVFGSLGEGLTVFLLLDEILRHNGNVKSYLSLFSSDYCK >Dexi2A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:2A:6226912:6233774:1 gene:Dexi2A01G0006640 transcript:Dexi2A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQIHAARLPTPVSPRTSTSAPPLLTPNPARPPLAPNPSRRRFPAPGAARLGRLPRASAATPTAPAAMAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGVSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSLDVPLVNLETSLRNYEPSDVPFDISSVSKETKSQPLAEKKSTGKKPTGPASAVSGPVSTVDASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQFNCTNTIPEQLLEQVVVFVDASEAEEFLEVASKPLESLPYDSPGQTFVAFEKPEGVIATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRENLTEAVSAVISILGMQPCEGTEAVPSNSRSHTCLLSGVFIGNVKVLVRLSFGITASKEVAMKLAVRSDDPEISDKIHEIVANG >Dexi7B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:7B:1437680:1439499:-1 gene:Dexi7B01G0000650 transcript:Dexi7B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSATLPDDSIAEFLRLLPAASLATALCVCKAWRNIIDGHELLLPHILPHNVHGIFINYSNHRRPHLFASSSSSWTSSDVDGMLGFMPNEQRDWWSVMDHCNGLLLCCINMDADLCVCNPATRQWTLLPRQTKRLWSDEPPHHAYGYLVFDPLVSPHFEVFLIPAVPEKPPPPDRWFAEEIDDDIEEMPPVDEDDMESADDPCLLMEWPPSQWVVNVFSSRTGGFIARLQVSGHKYQVIKTPAFTHRGKPYLGRTDKGVCFGIVHSLLRVWILSESRGRMEWVLKHQHDLVPHAQYISSCYDNGKRAKGPWTVIQEHNDDLHDSDDISETASSENFEWNSNNADILSVEVGDSDDSTKETLAELNFDWLSVDDEDGDEEYYSSQTFDILGFHPHEDVVFLVEPFGAAAYDLNSSKIRYLGDSKPKSYDQTPSHGIFESFVYTPCMIGELHKGNLGLISSS >Dexi9A01G0035850.1:cds pep primary_assembly:Fonio_CM05836:9A:40406475:40409083:1 gene:Dexi9A01G0035850 transcript:Dexi9A01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRWFVKAAAVMLLLLLSMAPPGAAARRVGSTEPNTKSDVRDNGQSFVFNYTLAKAIEEYASAGFKVTCIIVDVQNCLQAFVGVDHNLNAIIVAIRGTQENSVQNWIKDMIWKQVDLNYPNMPNAKVWEHTVNGKTVFQVCDGSGEDPNCSRSVFVLFWSASDHLTYMGVKIEADDWSTCRIVLGQSVEQLRMNLASNIVTTGRSVDVVIADDSVQVD >Dexi3A01G0035980.1:cds pep primary_assembly:Fonio_CM05836:3A:41374548:41377076:1 gene:Dexi3A01G0035980 transcript:Dexi3A01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPANAAAESLGDLFPHQPAALDSDQSNLEWLSGYVEDCFSSSTTYTNHVFARSAPTMPNQSTGKPKLPPPSSSNGRRKKRSLASVMGNDDDEQYIIPLYVEPPLVLIDQKHWMAESELILPKKDNDQEVCQQQKQEQEEEECEKGVLMQVRQERLVKRCSNCLSCETPRWRNGPSGIQMLCNACGLRLKTENRFAPISKEHCGQETKKEQEPGKRRDKKKMIRKTYVSKDLSSEKPEQRCTHCMSSKTPQWRTGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFVSCLHSNSHKKVMQMRQAIAYKE >Dexi8B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:8B:7487666:7487895:1 gene:Dexi8B01G0006430 transcript:Dexi8B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTAEARRMRKRKIMKTHCVDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCTGGSKRARA >Dexi9B01G0046060.1:cds pep primary_assembly:Fonio_CM05836:9B:45434578:45436699:-1 gene:Dexi9B01G0046060 transcript:Dexi9B01G0046060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNRGATEEMEAVEDIVIAGAGLAGLATALGLHRQATAHRFLLICSTIQLIIYPIGLRLVAHRKGARSLVLESSPVLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERMITFSAATGEPAAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCNDTSVYWNYTWYPSPADGDAEESVAKMRSYVLDKLRAAKIPAAALGAIEQSEMSDVVSSPLRFRSPLSLVRGSISKGGVCVAGDALHPMTPELGQGGSSALEDGVVLARCLGEAFAAGGGDEGRLRAALGRYAEERRWRSIKLITAAYVVGFIQQSNNVVVKFLRDTFLSPLLAKTLVAMADFDCGTL >Dexi2B01G0031180.1:cds pep primary_assembly:Fonio_CM05836:2B:39346929:39347520:-1 gene:Dexi2B01G0031180 transcript:Dexi2B01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCCVTGAAGYIGSWLVKKLLDRGCVVHATMRNLEDEKKTALLRALPGAAERLVLFQADMHDAATFEPAIAGCEFVFLVAAPMTQDISAGRSKHLDSFSADHTRPNGGRDREN >Dexi6A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:6A:18573804:18577037:1 gene:Dexi6A01G0012280 transcript:Dexi6A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTSTRFCCSRPPLPPLPGRGRRSVARCALPGGEKRNSFSWKECAISVALSVGLITGGPTLGSSAYASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVRQASRALSNGRGLILSGLAESKRANGEELLDKLAVGLDELQRIVEDKNRDAVAPKQKELLQYVGTVEEDMVDGFPYEIPEEYSNMPILKGRATVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFVDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKIRTIPLEIMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADVKVGDVIESIQVVSGLDNLVNPSYKIVG >Dexi5B01G0022010.1:cds pep primary_assembly:Fonio_CM05836:5B:24252062:24258224:1 gene:Dexi5B01G0022010 transcript:Dexi5B01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPGAPLPTFARSLAELLVALSAARALPKGQQLHGHLLKAGHLPATASSHTLLAHHLLTFYARCALPGLSHRAFLDLPWPPSPAAWSSLISAFSQNGLPGSAFDAFRRMLAAGVPATDRSIPSAAKAIAAVEASSRQPLAPHALHGLAAKTPFAGDVFVGSAVLDMYAKCGHLADAHRLFDEMPDRNVVSWSSLICGYADAGMHSAAMGIFRLALEEAVPVNDFTVSCIIRVCGVATLFELGAQVHARAIKTALDESPFVGSSLVSLYSKCGVIECAYRVFNEAPEKNLGIWNAVIVASAQHGHTALAFERFRGMQNAGFRPNHITFLCLITACSHAGLVDEGKRYFALMKESGIEPQPEHYASMVDLLGRIGHIKEGLDLIESMPMEPPESVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHIDAALARKAMRDAGIRKETGLSWLEAAGEVHSFVSNCRRHQRSEEIYRVLEEVGEKMEAVGYVADTSVVVKDVDGDEKQATGLLDPLDIDLRRNIINYGELSQAAYTGLNRERRSRYAGSCLFNRRDFLSRVDVSNPDLYEITKFIYAMCTVSLPDGFMVKSMSKAAWSRQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRMVEWMDDLDISLVPASEIVLPGSAIDPCVHGGWLSVYTTADPGSQYNQESARHQVLNEVKRILDSYENEETSITITGHSLGAALATINAIDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSTTDLRLLRVRNYPDVVPKWPKLGYNDVGTELLVDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSSGGFNLLVDRDIALVNKHEDALKNDYSIPSSWWVVQNKGMVKGKDGRWHLADHEDDD >Dexi4B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:4B:20294132:20295220:1 gene:Dexi4B01G0017850 transcript:Dexi4B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAGKKRGEIGRLPSPYLRPEAKPLTLFSKRVQFSCACPPLFCCILPACFFPFVDYGRRLVHLSVTRRGTSYLPFLSPALPPNLPMAMVPASPSLPRAELAAAQIELTGGGSELRPARAPRAAACRHAKLLLEAARQTLKLLKEELEAASLIFRPRDPFPDASPCCSSRPHRRRER >Dexi9A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:9A:15455915:15457676:-1 gene:Dexi9A01G0020420 transcript:Dexi9A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASASAGKSPAVGGEEMSDSSGSRMGVVGALSLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLRFFEPKPIDARTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTIVLETIFLNKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSVIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTKRDVFAFNYTVQVVAFIALSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILKDPFSVRNVAGILIAIFGMGLYSYYSVTESRKKTEAAGSLPIAAQMIEKDSAPLLGAKGSPRPENKAEESFDYMPRTAKSAFTGR >Dexi2A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:2A:1414108:1414907:-1 gene:Dexi2A01G0001910 transcript:Dexi2A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFMAAAAQVVGGAVLQEIVSRGASLVLGKRKDKASQGHYLERLNKAVHEVEFILDRTAKLPITEVSLLRDRIELKRDFIQSPCLLINKQHKKSKTSQGQQDTLRAVTCSSSHPQVIGISVQASG >Dexi9B01G0042790.1:cds pep primary_assembly:Fonio_CM05836:9B:42961641:42962153:1 gene:Dexi9B01G0042790 transcript:Dexi9B01G0042790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFSVKVMEIMGSLQWPLDVYGHVAVRDALDHKRNYLFRRTRGNCQTLTSPQARLVSKAHRP >Dexi9B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:9B:9329763:9331492:-1 gene:Dexi9B01G0013970 transcript:Dexi9B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAGLRQPSFAAAAASLRGRRSAAQLPRSAASPSALSVSRAVKVGAPLPSPLLSRFSRREGWNFRAFGICGGVGTVVGSPVPPVGDYCFRSRELQGTICFFLLATQVKASAIYDLQRNKSNLESLFCYDKSVPEEDIGKPAGLNFEKKNVGDNPPCSSCEAKGAILCATCAGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCTKCGGRGHT >Dexi2B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:2B:11919204:11925665:-1 gene:Dexi2B01G0010520 transcript:Dexi2B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPHFSPHAAFSSPSPSAGPSAADAEPDFVVFRLLLPPSFSDADTMRLYAAVNPLRRRTASLQVRVEPLDPSAAGGRVVAAVLGPAGPLRRAEASSSSGEPFALSPAQEALVAVLDAEGALYRAEEGPRGGGAPGRATCLLLAEAERLEAATGRGVLGRIAREAGAVVRVVPWEEAAPLPQEHPPEEVVEITGDRTAVRKALVALSSCLQGDQPVDGSATSFNKDSSMLSWASSEVPERNVGVLRSEASTEFAQGSVVKTDGSECNTGDARSRGLQQIAFRLLLPINLAGGLIGKKGLIIKGIEDETGSCIDVSTPIAGCRERVITICALEITGELMNVRDALCLVCWKLRNHVFSSSGTDCTNGHVPSSDGAESNATSQANIHSTSKYSMDNAHKVDHGPSLSYGMDSVEKTFSSLDLTSSEIQKLDHGNGVMINNSDDGIQKPTKRNDVVTKILNHGILFPEENNLVREVPYAAITRITYETAVSGTILNLVCGHNGNNLAQLTEMSGADIAVYNPPSEGNEAMIVVSGPPDQAQSAQRGLVELILQGQ >Dexi7B01G0020850.1:cds pep primary_assembly:Fonio_CM05836:7B:26082242:26082496:-1 gene:Dexi7B01G0020850 transcript:Dexi7B01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWTVAMSVGAVEALKDQAGLCRWNYALRSIHRNAKASLPSFSQARKLAPAAAAAERKRADEAEGMRTVMYLSCWGPN >Dexi2B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:2B:29538128:29539212:-1 gene:Dexi2B01G0019390 transcript:Dexi2B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAGVAERLRNRTVLITGATGFIAKLLVEKTLRLQPTVRRLYLLVRAGEQASATERVRSEIMRLQIFQPLREKYQEHFSSWFWDKVYPVAGDVSLKNLGIGDAVLAEDVLRETDIIVHMAAAVNFRERYDTALAVNTMGVKHVIEIASRCTKLELLLLVSTGIMLEKPLQQYRSYDGQSDLDISGEMALAEAKLKELVCKNASEDNIRRAMKKIGIQRFSI >Dexi2B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:2B:23151452:23154432:-1 gene:Dexi2B01G0013940 transcript:Dexi2B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEEQLLMMHDEDHQKLKVVSIVGFGGIGKTTLANELYRKLGWQFECKAFLRTSQKPDMRKLFISMLSQTKPASRIVIGKTGFLVIRYFKFNCCVPLLKFEKDAMPNLQLKEVSAKISGVGPDRSHRKAVELAFTEAIRVPARCQRVHVLCVENIIAHKEGQSSIAIIDEKDSNEHTCDQPALSNHQSSESIVRADEKQPSRASSLCSSTRRKVVLSNPDDDGYSWRKYFQKDVLGTKHPRSYYRCNYRHTKGCMATKQVQRTDADMLVFDVVDIGQHTCNKQAAQSADENKSTEAPLESANSQ >Dexi2A01G0032000.1:cds pep primary_assembly:Fonio_CM05836:2A:42625067:42627046:-1 gene:Dexi2A01G0032000 transcript:Dexi2A01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAEVSTNNGAAAAALRVPASPAPAPAPVKEGDAEKLRFIEEMTSDVDAVQERVLDEILARNGGTEYLAKCGLAPGATDRATFRAKVPMATYEDLQPYIRRIADGDRSPILSGHPVSEFLTSSGTSAGERKLMPTIEDELNRRQLLYSLQMPVMNLYVPGMDKGKALHFLFVKSETKTPGGLAARPVLTSYYKSSHFKNRPFDAYNNYTSPTAAILCADAFQSMYAQMLCGLCQRHDVLRVGAVFASGLLRAIRFLQLNWEQLAADIDSGELTMRVTDPSVREAVADILRADPDLAAAIRAECSSGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTLMPNMCYFEFLPMDAAASGAGDASQLVDLARVELGKEYELVITTYAGLNRYRVGDVLQVTGFHNTAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGATVVEYTSQAYTKSIPGHYVIYWELLAKSPGGAGGAVDKETLEQCCLGMEEALNSVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIIELLDSRVVSSNFSPALPHWTPGQRNDD >Dexi5B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:5B:79703:80764:1 gene:Dexi5B01G0000110 transcript:Dexi5B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKNSNASALDEEAIKKKFGGLVPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRSRRSIYTSSENEDGDGAGAEDMNIN >Dexi5B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:5B:7755058:7755808:1 gene:Dexi5B01G0010950 transcript:Dexi5B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLRSLLDGGYHIGHDPQGYMDDTRPAERQLWGPPIAQVHLGILRATGLQIIGTNDTSCCRDGIRKSAELNPYCVAKYGDKWVRTRTISNLSSDHVFNEEYTWDVYDIATVLNVGVFDHRPLNSAHREIGKVRIHLSCLETHRIYAHAYPLVTLTSSGVIKTGELHLAVKISSPSTKNMLRMYSRPTLPKMHYAQPLEDSSWTRDTTVNILALRLNRMEPPLRREVVAYLCNAESCNNPK >Dexi7A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:7A:21690795:21691680:-1 gene:Dexi7A01G0011020 transcript:Dexi7A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVACCADEAALCARCDVEIHAANKLASKHQRLPLGGGGGEGADLPRCDVCQEKPAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCGAGAAAADGVPPPPPKGSPKPAAAKAAAPVIKAAQPQEVPSSPFLPPSGWAVEDLLQLSDYESSDKKDSPLGFKELEWFADIDLFHGHAPAKTTTAEVPELFATPQPASNPGLYKTNGARQSKKARVELPDEEDDFFIVPDLG >Dexi1B01G0023370.1:cds pep primary_assembly:Fonio_CM05836:1B:28961249:28962419:1 gene:Dexi1B01G0023370 transcript:Dexi1B01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAQKAQPGPRVPKAAHPLLWRQQRGDNRAGQGANSNSNALPCQNYGVLDETQTKTTTTTSAA >Dexi9B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:9B:136238:137515:-1 gene:Dexi9B01G0000150 transcript:Dexi9B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLESAVFQLTPTRTRCDLVVVANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLEPDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAIVVQSNESLGLRSKDDTLFSALC >Dexi9A01G0039890.1:cds pep primary_assembly:Fonio_CM05836:9A:43724840:43727443:1 gene:Dexi9A01G0039890 transcript:Dexi9A01G0039890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVEGNAEINPSFSAPNSSGTGSKNSSKNATDSNTFGTKTSGSSSSVPPTPRTETEILESCNVRKFTFSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLVGGSHYQPLPWNLRMKVALEAARGLAFLHGDHAKVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPVAQKIAALALQCLSMDARCRPGMDQVVTVLEGLQDAKGAVKSAK >DexiUA01G0003550.1:cds pep primary_assembly:Fonio_CM05836:UA:7209186:7211720:-1 gene:DexiUA01G0003550 transcript:DexiUA01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSSTAKRYLECMFFDESLEAKALPLSLLKYITNDFSDNLEIGRGGSAVVYKGLLENGEVAVKKLFQTSDIDDIKFHGEVDCLIKAKHKNIVRFLGYCSDTQGQIEKHNGKSVMAEVLERWWHKVEKSQKEQQLEQIRLCTKVGQRCLDYDPAKRPSIQSVINVLEQTETKKLRTETGASSSMVLQASSVVGETASIGNFIMEAHWRDPKNVATTFKMVTQAICEYYAQGRTNVSLEDLHSCAYNMVLYKNGEELYSVMEAAMALEVHIICRSLDAAPADSTDFLQRLLAMWKHHIRAVNFIRENISMSMDRTFIPTNHKTPIYELGLRLWRDNIARSDKIRARLIEAVKQRRGGEDELVPGVNDMLTELGADVMDVPCLCFRDGAGELHAAGP >Dexi4A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:4A:1830647:1831542:1 gene:Dexi4A01G0002670 transcript:Dexi4A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLDLGLSLGLGMAALQPSSFCYSAGNAAAAVEREASPAAAEEREMRCSPAGSPVSSGSGSGKRAAAERSAGSGDEDDDGGARKKLRLSKDQAAVLEECFKTHHTLTPKQKVALASSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCEQLAEENRRLGKEVAELRALNAAAAASPAPAPAPPLTTLTMCLSCRRVASPPSNASNIPATHAHGAAGMVSPAATLPAHRQFFCGFGYAGAAAYGSSSGLAKAVKAAR >Dexi3B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:3B:7650453:7652920:1 gene:Dexi3B01G0010850 transcript:Dexi3B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSADLEAVDFDLEDDDLMDEDVVAEPLPAAAPASRLRSTIAGDGAPRKTKGRGFREDRDSSSVPRDSRSGDGGRGDLDSLGSGGGPAPIRSIEGWIVLATGVHEEAQEDDLHNAFAEFGQVRNLHLNLDRRTGFVKVCFLLPIPGYFFMHIFFTFTPGSVQGYALVEYENFEEAQAAIKELDGTVLHTEIMNVDWAFSSGPAKHRSSQKRYFLLGSRTMSLNTAKSSSFKVSNQEKILTPSRT >Dexi2A01G0029850.1:cds pep primary_assembly:Fonio_CM05836:2A:40844202:40846582:1 gene:Dexi2A01G0029850 transcript:Dexi2A01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAGDLLALSDDYLAEILIRLPALADLGRTCCACPTFRRVITAHSFLRRLRALHPPVLLGILSHAFIPAEPPHPSAAAASAFADAGAADFKCSFLPSPDRWRSQDARDGRVLLSAVPEDPTREEEEESDCRALVRELAVCDPIHRRYLLLPAISDELAALVHQPEMADFQPFLTPSLQDEWGTSFRVICLAECTSKLVVFVFSSGNWQWHASEFDGWRALTADTGNPDPGYVSELSTRYYAHGCCCWVMPWIQKLLVLDTCTMEFSSVDIPPAHPMLPRAIVEADEGRFGVFTLVVDMDLFCTAGTSFVGILLVWNLDCKDG >DexiUA01G0020660.1:cds pep primary_assembly:Fonio_CM05836:UA:42897631:42898251:-1 gene:DexiUA01G0020660 transcript:DexiUA01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFEALYGRRCRTPLCWTQAGERYTYGPDLVKEAEEKVRIIRENLRTTQSRQKIGDHVYLKVSPTKGVQRFGVRGKLAPRYIGPYEITQQCGPVAYRVKLPEKLSAVHDVFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDEHPVDILDEKERQTRRKGVKMYKIQWSHHSEDEATWETEDYLKKNFSDILA >Dexi3B01G0012770.2:cds pep primary_assembly:Fonio_CM05836:3B:8954100:8955076:-1 gene:Dexi3B01G0012770 transcript:Dexi3B01G0012770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVVANLGRLLDATTTDALRECRCTFVFRHCLATNHVGYDKFRDFAEMFDVVAEAASWARQRHVAAAVFGTPGPGVPTARLLVPVFDHAAAVDADSPEGVEMEDVFMRYSLDVAYASAFNVDLNVLSVAAASAPVPAIGQANRVASEATLLRHIVPSWWWRLMRWLNVGAERTKAVLDEFFYRVTDRERDQLLRDSAAKDLIMVALTWRFCILCTHPDVEAKILNDFPQPASYLHAAVLQTLRLFPPAPFEEKEAVRNDVLPAGSLRHG >Dexi3B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:3B:8953758:8954098:-1 gene:Dexi3B01G0012770 transcript:Dexi3B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIWGSDCHEFTAGAVAARCWPISASGRTWGSTTLRSQICLGKNLGLNNIKIAAAAILYNFQVELVDGAVVKPQNSVVLHTKNGMRVRIKRRKAA >Dexi2A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:2A:3172735:3173028:-1 gene:Dexi2A01G0003660 transcript:Dexi2A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPTPKAVLHQRFGAKAVYTVEEVREAVGGCPGLAPQTRSVYRCALELPGLSVATPGTFVRKKDAEQAAAQIALDKVRPRALRVRRVAAAPVAG >Dexi9A01G0027620.1:cds pep primary_assembly:Fonio_CM05836:9A:32313309:32315700:-1 gene:Dexi9A01G0027620 transcript:Dexi9A01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASATATLSVAAAALRVRRPGARACAWAPSQPARQGPLLRLKPCASLAPHAPAWRAESDGAGGGAGPGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAMDPNSDIRLFVNSSGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILANKRNVIQLVSGFTGRTLEQVEKDIDRDRYMGPLEAVDYGIIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >Dexi3A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:3A:14856533:14858143:-1 gene:Dexi3A01G0019020 transcript:Dexi3A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQLDSTLVLSLLFVLSCFAVIRSLWSGRKDSSRVLPPSHPTLPIIGNLHQLGRGILHRKLQVLAQQHGSLFLLRLGTVPTLVVTSASMAEEVLKNQDHIFCGRPQQHTARGLMYDCRDVGFSPYGERWRQLRRIAVVHLLSVKRVDSFRVLREEEPASLVGRIRTASSPESTGAKQRAVNLSHLIVSLTYTVVSRAAFGNKLGGMDTQVFRETATEVFDLLETIAVSDMFPRLWWVDWLMGLDARTKRTAKKLDGVLERALQEHEKSSGSNNGKSGDLLDDLLSVVKEGGEGLKLDRVDVKGLIFDLFIAGVDTTSKAIEWAMAELIKNPREMAKLQDEVRQVAGPQGVMEEHFGRMSRLPAALKEAMRLHPPDIPAKTRVIINAWAIGRDNQSWEHADQFQPERFMHHAIDYNGKDFRFIPFSAGRRGCPGIVFATRLAELALANLMYHFDWELPEGQDVESFEVVESSGLSPALKFPLILVAKPLQE >Dexi2B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:2B:4910266:4911061:1 gene:Dexi2B01G0005240 transcript:Dexi2B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQVTDAAAASRADVASRLPLLHLTFLLLATAAAAAVGGEPSPPPATATTPWPEKFHAVVVTNLTGSGGRLQQIDIYYDWPRGSALNVIRNQLAGDPLRDVQWVNGTSYLFDAMSCRAFHFAVGLLPPDWKKKKAGHDGGGGAAYLGREHVDGFDCHVWSNFVFDRYYEDVVTGLPVAWIVDGMERHVLSFEPGAVLEDCSKWQAPAYCFNGSKADAPASSP >Dexi7B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:7B:17844999:17845349:1 gene:Dexi7B01G0010530 transcript:Dexi7B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGWFDMAKGEIFVLRYDPERRPCWDVVKDLGGYSVFVGKNQPVVLRPEDAPAVRPNCVYWINDKSRHEPMVFDMATGTSTLRPSADKALNPSCRPVCWYFLDDKILGVEGHTR >Dexi3B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:3B:4991813:4992505:-1 gene:Dexi3B01G0007090 transcript:Dexi3B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSNMESKAASGDEAGDQEEVAAAAGEEAAAASSPPAAPAAAAASPKPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPAAAGRDSSPAAGFTSVSRNVECYNRYRHLGSSSYHPHPPPSSSTPTLVGAGTSFGMIYVSSGAAAAPTARMDAESGGSPSGVSPRELSLFDEASHDHDDDQDLHLGLGRHGRHVGGGSRTVAEGSGGSESGEPERELDLELRLGRRPRH >Dexi1B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:1B:5427467:5428342:1 gene:Dexi1B01G0006700 transcript:Dexi1B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDSYISRVVREELPRYRAITKCTVGNGITTSFWHDSWLLETTLADTFPALKSHLLGQSLGLPSEVKTDVMLLWHMWKARNSLIFDQVVLSPQNIIRRTISTMEQWTVRYRKLSLHWNVWIEFTLKI >Dexi7A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:7A:17235975:17238842:-1 gene:Dexi7A01G0005940 transcript:Dexi7A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVVRFAWAWLLLLQLAGASHVVYRDFETEAATEKVPPSIVDPLLRTGYHFQPPKNWINAPMYYEGWYHFFYQYNPEGAVWDNIVWAHSVSRDLINWHALETAIEPSIPADKYGCWSGSATTMPDGTPVIMYTGVNSPGVKHQVQNIAYPKNASDPMLREWVKPDHNPVIVPETDINATEFRDPTTAWLAGDDGHWRLLVGSAAEGGSRGVAYVYRSRDFKEWRRVKRPLHSAATGMWECPDFYPVSRDGHTMGLDTNRVCGQCRTKVKYVLKNSLDVRRYDYYTVGTYDQKDERYVPDDPAGDEHHLRYDYGNFYASKTFYDPAKQRRVLWGWANESDTRDHDVAKGWAGIQAIPRKVWLDFSGKQLLQWPIEEVEALRHQPVTLKDTVIKAGEHAEVTGLETAQADVEVTFELSSLDCAEPLDPALAHDAERLCGAKGADVEGGVGPFGLWVLASANREERTAVFFRVFKVAGSDKPVVLMCTDPTKSSLNPDMYRPTFAGFIDRSVVESFGDGGKTCILSRVYPSLAIDKNAHLYVFNNGEEDVKVSLLTAWQMKKPLMNGA >Dexi1A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:1A:2644626:2647493:1 gene:Dexi1A01G0003620 transcript:Dexi1A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVSDSDDSDKFEWDSDGREDAGASSSALASRSIDAPGPSTRVGTGNGNAGPSSSSVQEYVDMGFPEEIVRKAMKDNGDKCADSLLDLLLTYQEIGNDAYVNNGSASGCVLQAGEDSDDDDILENWDDDNAGEINRCPISEESGDEDFLHEMSQKDDKVNTLVNLGFPEDEATMAVTRCGQDASISVLADSIYASQTSGYVYCGNSDHEVFFG >Dexi1B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:1B:6170963:6171642:-1 gene:Dexi1B01G0007430 transcript:Dexi1B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVPRFGTTTPVRGTRHRTAFRVTVGQRHLQDVDKAMGFYNWMTTLQPRPVITQPWLLHFRPKFIELALYDIHEQITAHADFDMDFMDICIRRIKQMDDTLYSAHSEYRWRHIMESDFM >Dexi5B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:5B:8934474:8935367:-1 gene:Dexi5B01G0012550 transcript:Dexi5B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPARRHTTTSSTAESAADEVAFFGAISIPDPRSSPATPLPDILPFSHATSTPPPPPPPAPVPVPHKHGSKHHRSCRLIRSVRAAFRSFPTIQIQAPSCRGIPSLHHLPGLYGPGGGAIRSHFHGATHATGTLYGHQRARITVAFHESPGTPPCLLLDIAVPTAKFIQDVSAAGMVRVTLECEKQQQQQHEAVAQPRRLLMDEPVWAAEVNGESVGYASRRDMTQRDARVMQMLHATSMGAGVLPAEMSHQHDGEFTYMRAHFDRVVGSKDAETYYMHNPEGGATGPELTIFFIRT >Dexi2A01G0027600.1:cds pep primary_assembly:Fonio_CM05836:2A:38959548:38959862:-1 gene:Dexi2A01G0027600 transcript:Dexi2A01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEAAACSQLTTAPAATAVARSAAEARDERWQRRRKEMGALARERLRVPGLLLAGKPIRGLGAAAGPVEVMVSGRRRRRPGGRRSGWQRTAGGQGWRWGARNA >Dexi9B01G0036040.1:cds pep primary_assembly:Fonio_CM05836:9B:37631897:37632441:-1 gene:Dexi9B01G0036040 transcript:Dexi9B01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLQVLAFRDINPQAPTHILIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVIAKQEGLEDGYRVVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >Dexi6A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:6A:28531250:28533252:1 gene:Dexi6A01G0021200 transcript:Dexi6A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLAALLNSMFSAFQQLKELEDPSTPEFLSEVVTLFCEDGERIIGELARLLERPSVDFDRVDAFVHQLKGSSASVGAQKVKNRCIQLRDFCQQRNRDGCLKTLESVRAEFYDLRGKFQTMLQLERQIQGF >Dexi3B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:3B:14381948:14384134:1 gene:Dexi3B01G0019350 transcript:Dexi3B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDQSIVVMLIGNKSDLHHLVTVQTEDAKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKTVEAPEEGAAAPGKGEKINVKDDVSAMKRVGCCSN >Dexi9A01G0024530.1:cds pep primary_assembly:Fonio_CM05836:9A:20918482:20919555:1 gene:Dexi9A01G0024530 transcript:Dexi9A01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFLVGEIGVNDYLIGLTGNRTQSELKTFLPRIISAIHSAVTDVIAAGARTVLVPGMIPMGCEPQLLAQYNGSIDAGGYDPETGCITWLNDLAVLHNRELRRTLKVLRQAHPGTYIVYADLYRAITDLIVSPGRYGFISERPPVACCGDGMAASCGAAGMTVCGDPSEYVSWDGVHFTEAANRRIACAVLERARVVTDARRRRIGCD >Dexi8A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:8A:29937292:29941174:1 gene:Dexi8A01G0017800 transcript:Dexi8A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASESSMKSLLGKLGGLLAQEYALVRGVRGDVQYIYNELATMQAFLRDLSSAPAEQDNRMKDWMKQIRDMAYDCEDCIDDYFHRFPNDSNSDVWCSFVWCSFIVQRAYEVGTWWPRREIASNIADLRIRAQQIAERRVRYGVDNPRNLNGDSSGARAITYDIAEHQVATRQLIGMKEPVGVAADMKKQLEEWVDSPHEEQAVLSIIGFGGVGKTTIATALYQKVSNKFDCRAWVNVSQNYDQEAVLRSILKQVMPQDRDRIDRDQDEQSSREGRLEKKHLAARATNMLKRSVSFIRCHKQHDNDVISDEKHINIETMGIDKLVQIVKEHLKEKRCGLQTLAISDEGSDFINSLDSMSAPPRYLITLELSGKLERPPKWISKLHTLSKLTLSVTVLCTDTFKLLKNLPSLFSLTFSVSTAKKNQDMIKKILEDNKSESDGEIFVPAGFPSLKLFRLFAPLVPKLAFGDNAMPALEMIQMRFEGFEGLFGIDTLENLREVHLRVNGQAAQVNDNDEVETQGRETADINERKEASEIMKLLVEDLKSYTTERLKVIVDYIGNA >Dexi9B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:9B:1094513:1096619:-1 gene:Dexi9B01G0001890 transcript:Dexi9B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGAASSSRAIPENRFYNPPHVRRQQQQRSAPPSLSPSPSPRSARHKPPAPPPPPPGAVAAAADMDVRVDSDDSSSTTSSKPSVASTAATTTHATVEPNVATAGVAAAEEAGNLERFLTSTTPSVPAQNLPKTSLRMRRGGDAMGSWPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSRPASRNRRPGDESDGESMDTSSESSSENDVDRLRVSSVDATHRLENGGLRSDDGETDASSSFPIFQYLERDPPYGREPLTDKASIYIFFKK >Dexi1B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:1B:19424074:19426890:1 gene:Dexi1B01G0013570 transcript:Dexi1B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVIGYGVMQLTSSRNDQLEAHLRSTARPETTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVPASVQASQEAAKAATASAEEKPKAK >Dexi7A01G0023880.1:cds pep primary_assembly:Fonio_CM05836:7A:31769590:31769995:1 gene:Dexi7A01G0023880 transcript:Dexi7A01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLLSAAYSATSDEDDDDLPPSFPPPATSSPPPTTSFAPPPLKRPRWEHQPHLPPPRPFLQPPLHNAAPPSSGRYVSKRERALLAASSASLLPPQTTAELDSSVQ >Dexi3B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:3B:10765851:10769362:1 gene:Dexi3B01G0014940 transcript:Dexi3B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAASSRSPFLPSSAPVPSERLPRRLPATFRCHYYHGDGGGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLNPAQMEMFMNDDNPYNRRSQKVTEDSVSAARSYDEFGMYTLSGMHEGPASYSMGRMGMGGSMSMGMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINRSKSKVYTINLSMAYGQAAMLLSLGFKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRAAAGAGRWSTPTAPR >Dexi8B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:8B:470634:471968:-1 gene:Dexi8B01G0000690 transcript:Dexi8B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGKILMERYELGRLLGKGTFGKVHHARNLKSNHSVAIKMMDKDKVLKVGLSEQIRREITTMRLVAHKNVVELHEVMATRNKIYFVMEYVKGGELFDKIEKSGKLTEAAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKTGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPTTRISIQKIKESTWFRKGPEGTRTVKEKNPCENATTNAAPTLPVKRKKNPCEDVKPLIVTNLNAFEIISFSSGFDLSGMFIEECRKEARFTSDKPASAIITKIEDVAKMLNLRVRKKDNGVVKIQGRKEGRNGVLQFDMEIFEITPLHHLVEMKQTSGDSLEYQKLFQESIRPALKDIVWAWHGDDEQQKQE >Dexi2A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:2A:28808148:28808405:-1 gene:Dexi2A01G0017010 transcript:Dexi2A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSLGEERAAGGAAVLLAVLLVAASLGPTDAAVTMRRLLGADGDGTNQQPPPPQVSVSKALPVPSGCTNGRNNPPGVCPPHAP >Dexi9B01G0033230.1:cds pep primary_assembly:Fonio_CM05836:9B:35423430:35424056:1 gene:Dexi9B01G0033230 transcript:Dexi9B01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTPPPRQHGETRSSTRFPSPRASRPRILGRRPPPARRNAPPQHPHSTTHRRRRNSQQQPIRNRDWSQRPAAPSRTTPGRPPGGSRIWPPATVGTGAAHREAGSRNGEGDLRRHAGTRLGEAAAAPGRGVFGAISNGVGGGGRRKGKGKGGVGNGIVGSCGRSGGRRPCRSYAEWSGVEWRDGREREKGGGACCLLLLVPVLWCSH >Dexi8B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:8B:23012468:23012707:1 gene:Dexi8B01G0013160 transcript:Dexi8B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPRRRPSGPGISTNPSRTGAPPLDLELAMNGRPVRAQSGGAGIRRPSSQMEMRARTTGNLVVGGVSGLGGRGSIAL >DexiUA01G0021560.1:cds pep primary_assembly:Fonio_CM05836:UA:44753977:44754730:-1 gene:DexiUA01G0021560 transcript:DexiUA01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAAASAAGFVDLLAGVIALEIFFLLDPQSAGAGTTTPSPRAPLLDSAAAALGLLVPLGAAGALFTAAAVIYRRHMGHAAVPVTGAAAAIRRLRLSEVVVFIMCVAAGVLDFFFFVRPAGGAKDHGAQAARALGMAAHRALPAASTAAFFWGMMLIIIAHVRAGGEGGGGAIAGAGRGANQEGAVRLLTNVAVGAAAGLFFLVTTAFCVRCRS >Dexi7A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:7A:29352245:29361678:-1 gene:Dexi7A01G0020610 transcript:Dexi7A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRPCPSGGHAVVGARWLCGISSPSSSSSPPRLVPAIGRQGRSISLVSHGLEGRRLRHRQAVRASKDSAVDWPFGVEPDIMHMTDEQFKMFDEELKKNCELDKDMPFREEIETIAEYWKKIASWNTSIFEMEATSLSLHLCMIATKGVKLASRVMESTALRLDKQDENHLHTTKQLMRSIMEKAMPLTILFISEMVARHKRVLGYIPGIKERCITAKQAASDEGGPMFEEQAYIFHEMLIKEHYEMEKFSKDMPFREDIEKIYSYEKAIASWNSSIFYIEAAAFSLYLCMIATKGVKLASRVMDSAALRPDKQDEISSCTAKQILKPTMVHTMTLNMAFILDVVARHDRLLGIKGWRCPIKASGDGEPSA >Dexi1B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:1B:22461796:22466250:-1 gene:Dexi1B01G0015960 transcript:Dexi1B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVRRGRYRRGGARGRPASWDGGESGIGFWREYLKRLNLYRQRVWTCKISGKSNLTFEEALVSEHNVVEKTKKLPAEFMSYVLRMIQYSTLGLYELVNKIYASLLEEVSEGIELHANKDGSVIPCKILKHNKKGRKRKDDGTIEDGQKKMKGDDGHAHVPTKYPIDDLLVKPNADDPSLFKRPPMATDFRVPRCSVGDLLMVWDFCSSFGRVLNLFPFPLTDMENAVCHKESNVLLVEIHAAMFHMLMKDKGDYFSVLQNKKRKLKVSLVTWAEYLCDFLEMTKIEELSSTIATVRRGYYGLIDTDIKLRILRELVEEAIKTSAIREILSERVDQKQALNATKRESTRKDKQEQNLNTETAMKKEENQTDAVQDSNESVDDLARGKEKDKSSRSKTEGKRHLVRHLDTEIEKLSIRSSPLGKDKHYNRYWFFRREGRLFVESADSREWGYYSTKEELDALIGSLNVKGIRERALKRQLEKFYNKISNALDKRTKEIVNKMLLEEGVLRRSTRVRAQPKDNPPMAFLKYVNKWKDN >Dexi6A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:6A:3727123:3728296:-1 gene:Dexi6A01G0004030 transcript:Dexi6A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRSKKRRLEEEARGQLPPPDLISRLPDDILHAIITLLPSGDGARTQILSHRWRPLWLAAPLNLDAKTFAAAHAILASHRDGPCRRLSLTWSGCSETFPMAADEVLRQPSLDGLEELELYYLPATFFGMVDGNFLNLNPAPPPIVSRFSPTLRVLSLCCRKRSSSSIIRSRLEFHAAAVDAAGLSFPHLEQLTLKGVVIRESTLHGILSGCRALQSLVLHCNTGYSHLRISSRTLRSLGISGADDQPFQGHQFKGQVVIEDAPMLERLFQDGPVYHQNIHVIHAPILKMLGYLQVDGISDEFGPQNLTLERMKLVSLPKAMRTVKILALAVAPDNLDVVIDFFTWFPCVEKLYMVH >Dexi9A01G0025490.1:cds pep primary_assembly:Fonio_CM05836:9A:25993849:26004764:-1 gene:Dexi9A01G0025490 transcript:Dexi9A01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATHALRVRPLLATARPTPLRATAAVCRGPAPAFVVVRCSSAGAPSAAQAFKINLIPTKPVDGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDFVGGTLVLGGDGRYFNKDAAQIIIKIAAGNGVGKILVGRNGMLSTPAVSAVIRKRAANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSIGVVSYGDFTIEVIDPVSDYLDLMENVFDFQLIKNLLSRPDFSYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAQAAIPYFQSGTNGLARSMPTSGALDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKNVGDRLVSVEDVAREHWATYGRNFFSRYDYEACESDGANKMMDHLRDVISNSNPGQKYGDYTLKFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQYQSDISRHGLDAQTALKPLIDLALSVSNLKDFTGRERPTVIT >Dexi4B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:4B:2486612:2489374:-1 gene:Dexi4B01G0003580 transcript:Dexi4B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRKPIKLTLPSHESTIGKFLTHSGTFRDGDLLVNKDGLRIVSQSEGGEAPPIEPLDSQLSLDDLDVIKVIGKGSSGNVQLVRHKFTGQFFALKVIQLNIEESIRRQIAKELKINLSTQCQYIVVFYQCFYFNGAISIVLEYMDGGSLSDFLKTVKTIPEDYLASICKQASDKWQKLHKRINGKKHGYMSDIWSLGLVILECATGNFPFPPCDSFYELLVAVVDQPPPSAPSDQFSPEFCSFISACIQKDANDRSSAQALLNHPYLSMYDDLHIDLASYFTTAGSPLATFK >Dexi5B01G0035920.1:cds pep primary_assembly:Fonio_CM05836:5B:35776392:35779111:1 gene:Dexi5B01G0035920 transcript:Dexi5B01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAIIYPFLQQLEGNLMDKDCNEKGWCKESGGGRKLVADDDREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVKHFLSFIDSLPLIVPDNMLLVYYDYLV >Dexi5B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:5B:15848111:15848758:1 gene:Dexi5B01G0016580 transcript:Dexi5B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRREAPSPLLSSAAECSVAPFRGNAGDLGLAWAAAAHSLPLALQLPDSVPGMVGPRSADGSDRFVLLSPIQGLPMLDLQGLTGLASAPSDNYSRRRRPTPPLCRLFTASATIPPFRHLIDAAGILSLPSATTTPSPPHHRFPALLLCPSPPPCSQLHSAHSPPPPSPHPTRRPSILDPQATQAAIETPVATTSWSVPLAAGWYSVSEGRVA >DexiUA01G0011240.1:cds pep primary_assembly:Fonio_CM05836:UA:22598111:22599053:-1 gene:DexiUA01G0011240 transcript:DexiUA01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGAALVRLFFHDCWVKGCDASVLLNPSSKNPYPEKVAGSNIGLRAFDVIDKIKARLEAVYPGVVSCADILAFAARDATRYLSDGHIDYAVPSGRLDSVVSRAKDADDTLPSSTGSFPDLKKNFGNKGFDVEELVVLSGAHSIGVAHYPSFKDRLAAPQSEIDAKYQTALRNAAKNKSRTVANDIRDESYSFKRDAGYYTVVSGRKDYLNNTYYHNAMDNRVLFKSDWVLRTDAFALSKLKEYRDKPKEWDSDFADAMVKLGKLLPAEGEGLLEIRKKCSAVNTY >DexiUA01G0001410.1:cds pep primary_assembly:Fonio_CM05836:UA:3922893:3929034:1 gene:DexiUA01G0001410 transcript:DexiUA01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGGGLGYASRRGLYAQAAHHGGGSAQTSPGGSPKDSSPVHRHARAGSLGGAGAASTAGRRAGVVGSGAAARAHNSAARAAAQRLARVMGGGGGTGAGGDGGSGSDDDDYELSGPPIELSSTPRRTSTRSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGAGAGSESPVVPNRREQRKSVDLGSSMRARRTSSSLHDEINTLQMENESMYDKLHLAEERYEDGDAKSMNMEREASDIGDAIETEPNLISRKDAALEQRKIAMRIASRGSSSASCDEIVTLKSEAKAANNVVTSVSRRVKRSRSELRSLQAAANKMILSQEEMEEVVLKRCWLARYWTLCSKLGILSDIAEEKQEYWCSFAPLALEAVLSIGQKARDGTLSDNAEIESRSKMPDANDISGDVNIESMLSVEKGLHQLASLKVEDAIMLALAENRHIKPLSGQPSEGRSPSESLELSVEEREDVLFKQH >Dexi8B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:8B:10798555:10798779:-1 gene:Dexi8B01G0008220 transcript:Dexi8B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSACGYLRPPSLLGPHQTELATARPPVHRRSSSVSARLLAAVLGLAGRTGLVRVPRRSGLVSAGQLVFARAA >Dexi2A01G0036470.1:cds pep primary_assembly:Fonio_CM05836:2A:46006869:46008512:1 gene:Dexi2A01G0036470 transcript:Dexi2A01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSARIRPTPVLSSTLVYLTFSHDGSCIIAADSSAVHWLCYKSFRLRGLYQERDAGTKIVAACGDMLDEKASTCAVVTRVAAASSQDTFVVRRWKPGYMNYHWRYDERVIQIEAGAAVRAVHVHGDRTVVVHDGRVDVYGPDTDGGVLHRVETRGGKPICAVSRDGPLAFACAGAEVGEAHVERWLCDGEFAPLSFAAHSSRLECVAMSWDGRLVATASFKGTMAVTANGDMLRRGADRAKINSMAFSPDSKWLAVSSDKGTIHIFHVAVDLSSLVLKGKHDPDNQDVNQGSRYFVPGFVPEYFRQECSLAKFRLREGKKYLVTFSQEPNIILIIGIDGSFYRVKFDPVNAGEMEQLECINFMKIKLGS >Dexi3B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:3B:3033411:3033806:1 gene:Dexi3B01G0004450 transcript:Dexi3B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAARKQKRSSDAAAAAAAQARTLGCGGPNSVATAFGHGGGSAVAPHSLGCGGGPFAPPRPLGRAPSSTPPHSLGLGRNAFTSSVAAQMDCCGFPSYASCMDGFSLPNSSANLEADNVDSSSPGSW >Dexi3B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:3B:8576221:8579446:1 gene:Dexi3B01G0012270 transcript:Dexi3B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGKGRAARVAAAFFVLLHIFAAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEANKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGQGGGRGGGGMNIQDIFSSFFGGGGGMEEEEEQIVKGDEVIVELDASLEDLYMGGSLKVWREKNLIKPAPGKRKCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKFVREGDFLTVDIEKGMQDGQEVLFFEEGEPKIDGEPGDLKLQALVGFEKTIKHLDNHLVEIGTKGITKPKEIRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKAKLKDILV >Dexi9A01G0033270.1:cds pep primary_assembly:Fonio_CM05836:9A:38166583:38168272:1 gene:Dexi9A01G0033270 transcript:Dexi9A01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPTRGAVVGLVLAGLALLALVGTATGWWIELDASSFLLRSCGGSSSSVRHGDHPRRARPRGIPIPFSCGATNGNATSPTCRRAGSPSSSPSSSPVASPPSSSSDPAPAAPTCPDYFRHIHSDLSPWRESGGITREAVERGRGLADFRLVVVGGRAYVEKLQQPFQTRDVFTQWGILQLLARYPGRVPELDLMFKCGDMPVVRAADYLAGDDPPPPPPVFHYCKDDATLDILFPDWSFWGWPEVNIRPWAAHLDDVARENRRLPWPDREPYAYWKGNPDVSPSRADLLRCNATAAGDEEEDWNARLFRQDWSVAMSDGFKGSDLAKQCTYRYKIYVEGRSWSVSDKYILACDSPVLLVDTPYRDFFSRGLVAGEHYWPVDAKRKCPSIKLAVDWGNAHPARARRMGGEGSGFAREELAMENVYDYMLHVLTEYARLLRYKPTVPEKAVELCLESMACPTDGRVREFMMESRERYVAGYEPCTLPPPFTADELKEMARREDDVRSKIRKMEERGDWKADNC >Dexi9A01G0041230.1:cds pep primary_assembly:Fonio_CM05836:9A:44898114:44900704:-1 gene:Dexi9A01G0041230 transcript:Dexi9A01G0041230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAAVKKLPKEEEDEDDMDDVPLALSRAKKAGNASASKVKKEEEEDDDDEEEDRLPISHSRAKKGNEKQKGTVNSNTKASKIKKQEAESDDDDFTPISQKKNAGSGASNARVSKVKKLKDEDLEDLKEDKKRKKRVGVKEGAKKAVVKGEKGKKEKKVYELPGQKHDPPPERDPLRIFYESLYEQVPTSDMAASCQEDTSFLCYEVPFGYKKCWKTGISKEEEGN >Dexi9B01G0041860.1:cds pep primary_assembly:Fonio_CM05836:9B:42242078:42243568:-1 gene:Dexi9B01G0041860 transcript:Dexi9B01G0041860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPAPTQITTLLRSQNIRHIRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVAKNVAAHFPAVNITAIAVGSEVLSAQPNAAPLLMPAMRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDNVLVPMLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSTEPDATSDNADTYNSNLIRHVMNSTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGVPAYALHLTGSGMLLANDTTNQTYCVAREGADPKMLQAALDWACGPGKVDCSVLMQGQPCYDPDTVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPTHGSCVYAGKNGSALLNGTSLAPSSNSTEGSGAHRGIGDVSSLARVVSAALLLSVLML >Dexi1A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:1A:3156145:3157312:-1 gene:Dexi1A01G0004280 transcript:Dexi1A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSWEQNASAGNDSGDWGSWSNGAAAATKPQDQDDSCWDAHATVENNSTDWGGWGTEKQTNQKPAEIDTWADKGAGVESSLGDNNWEKKSSTLEGSKNPWGNMPASVSLSENKWDAQKGDGGGGAWEKQAGSCKEHDMDVDQDSWGKKTTSPSNNMWDKKKSDGSHGNWQQPTSWNEQTLNVDQESWGNVRGKEKGDEGDGVWEKQAGSCKEHDMGVDQDSWGKKTSMPSSNMWDKTKPDGDGSHGNWQEQPSSWNEKMLNVDQDSWGNARGKKKSDGGDSRWAEQTKRKRTNANHDSWANTAIPSSNNPWDAGEEGGRSNTKSDLGSNWDRKDKEIDEHSKVPKESDLWNTGKSNGSSWEKTDALQDSWEQQIIIKHRRTPGTK >Dexi2A01G0031510.1:cds pep primary_assembly:Fonio_CM05836:2A:42232322:42234506:1 gene:Dexi2A01G0031510 transcript:Dexi2A01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAGSQIPSPSPGQSPCAAAAGPQRRPGRSMRTIRSALLQPDSAPGSPAPRDGHGNDDDSGDSDIENLTDSVIDFHLSELAATAGPAHPAAVAKSSSAINAAATELLELSRDFSDYSSFNSDISGELERLAMAAAGGAPRSDAPDAAAAVDLNDLESMDLSPDAAPLERVEPFVLSCVQALGTDAAPDVRRAAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAAAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAIVPLVHLIGERGSGTCEKAMVVLGSLAGIAEGREAVVEAGGIPALVEAIEDGPAKEKEFAVVALLQLCSESPNNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGVGCRAGSVAATSLPR >Dexi2B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:2B:5623658:5624701:-1 gene:Dexi2B01G0006020 transcript:Dexi2B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLRLEELVEEILIRLPPDDPPSLVRAATVCRQWCRVISDPGFRRRFVRHHRAAPVLGFLANLRDGGGVEYDHYNDEFYGEEYDFVARFVPTTPFRPIFPDIADNRDRMSGPSSPLM >Dexi7B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:7B:18778470:18778676:1 gene:Dexi7B01G0011790 transcript:Dexi7B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLAPQERRSMHPHERPGRREQQAPAVGTSSGVRRHGLVKNGRSPRVADGDSVGRKPQGLPLLPDP >Dexi2B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:2B:25414554:25416990:1 gene:Dexi2B01G0015460 transcript:Dexi2B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGEGSHLASARLASLAPQARATRPAIPRDPQRLSTLGSQSDGPGTSSSPTQERRRRQPPGRPERSAAPPLLPKAPLLTSPAKLMEKSSGAATGGSAPPPRFDMEDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >Dexi6B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:6B:1301027:1301380:-1 gene:Dexi6B01G0001440 transcript:Dexi6B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSILLAAVALAALFAVSYGSALTFKAGPGCSAKKLVLIPSIAISEVEVKEKGADDFTELKESPAGTWTLDSKTPLKTPLSVRFAAKSGGYRVVDDAIPAGFKSGASYKTSLQL >Dexi5B01G0035790.1:cds pep primary_assembly:Fonio_CM05836:5B:35685068:35687502:1 gene:Dexi5B01G0035790 transcript:Dexi5B01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVEDHRTSSSKKDRRVFWACVFIFVNSCFQCTAYFGVSTNLVNFLRDRLHQDSKAAANSVTNWQGTTSVMALVAAFLADAFLGRYWTIMLFMLISVVAYAVLTVSAAAAAPSAALFYAGLYILALGGALQPVVTSFGADQFDDSDEEGRRRQSSFFNWFYQSLNVGSLVGGTVLVWVQTNVSWGLGYGIPAMCSVLAVAVFLAGTTAYRRHQPPAGSPITRVAQVVVAAARKWRVEAPAEASELHECEADDGMSAIQGSRRLAHTDQFRFLDKAAVETERDKAQPSPWRLCTVTQVEELKCVLRLLPVLASGIIFAAAYAQMSSTFILQGDTLDPYVAGFRVPAAVISIFETISVMLWVPLYDRVVVPLVRRATGHERGFTQLARMGVGLAVLAVAMVAAGTLEVERRRVIERHGMYDTNTGDDGAYLPLSVFWQVPQYVVVGAAEVFTLIGQLEFFYDQAPDAMRSLCSGLSTVSFALGNYLSSALVTIVARATARGGRDGWIPDDINRGHLDDFFWLLAVLCIGNLGVYLLIARWYTYKKTVD >Dexi1A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:1A:20049073:20049372:-1 gene:Dexi1A01G0014020 transcript:Dexi1A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSPSPIVRGTYTCTQAERRQLVVATDEAAGSGEDVLRSRGTDGHSDASGLVEARVIGSVGVHGAGAGSLRRRDKKPRSTTAHARVLAQTGGGRRGAQ >Dexi7A01G0022950.1:cds pep primary_assembly:Fonio_CM05836:7A:31082056:31083399:1 gene:Dexi7A01G0022950 transcript:Dexi7A01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTASTLAPSLRSPFSSTPRGARLPATIRLSPAQPASAGCRVGPGRRLPCPRARVRCAAAVKFVAQSEFPAEVLESDLPVLVDFVADWCGPCRLIAPVVDWASGEYAGRLKIVKIDHDTNPQLIEEYKVYGLPTLILFKNGQEVPGSRTEGAMTKDKFKQYLEPLLATTVA >Dexi6B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:6B:8442099:8442572:-1 gene:Dexi6B01G0007240 transcript:Dexi6B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTKRPRRRTGSMVCGVPSQLSRHPGYGIGEAVRSASFSVGGHHWCIKYYPAGYAKDCEGYVSIFLHLLSTNAEATMLHDFRLVHQATGVSKQLNSCLIVFADVEWPSWGFRKFMKKTDLEARGYLKDDFLQSSGEG >Dexi2B01G0030290.1:cds pep primary_assembly:Fonio_CM05836:2B:38552903:38566236:-1 gene:Dexi2B01G0030290 transcript:Dexi2B01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRKNTREGLLLLDTVKPTRRPPNKYAAATAMLSSATPLFLGYVYCTAVTAQGDLRLLGCVIAFSLLLGAIVAAGAQRLIGDRRAILLSAVVLCAGALARTLAAGFATFTAGVFVNGVGMGLALMAVPAYAAELSLGSTRGVLASHPDGFVYLGCILGSLCYSMGTLKLPAHLAWRVNVASGTTIPAFLAAAVLFMPESPWWLVALDRESEARRVLSRTCATLGEAELRLLEIKRELGKHHDDDDDDLSLFYEPPVAIMSATRGHWREELGILKELLARPTEPLRRAVLTALVAKVFQQASGIGSILQYAHGAFRDAGVSSSAQTTPRALVVFGLVVVMSFPMSLVLVELCWLVVRALANGFRRRAPSHTAQRSCHSHVGLMTRRQEEQKWTRGLSVTILLSLMALVWIALGPAPWADAASRGCPRWLLKAAAAANKEVSSGILSSFVGVSQVAAVYGNLILKATCKAESKEVSTMASAVEPKKKSNVMYAFICSILASMASIILGYDIGVMSGAALYIKKDLKITDVQLEVLMGILNFYSLIGSFAAGRTSDWIGRRFTVVVAAAFFFSGALLMGLAGGYATLMLGRFVAGVGVGYGLIVAPVYTAEVSPASARGFLNSFSEVFINIGILLGYVSNYAFARLPLHLGWRVMLGIGAAPSVLLALMVFAMPESPRWLVMKGRLADAKTVLDKTSDTSEEAAERLADIKAAAGIPGEVDGDVVAVPRSRSSEEKLVWNELIFSPTPTVRRILLATLGLQFFQQASGVDSVVLYSPRVFQSAGITDDDQLLATTCAVGVTKTVIVLLAAMLLDRVGRRPLMLTSSGGMVVSLVGLATGLTVVGRNPDAKIPWAVGLCVTSTLAFVAFFSVGLGPMTAVYTSEIFPLRVRALGYAVGVACNRITSGVVSMTFLSLSSAITIGGSFFLYAGIVTLSWVFFFTCLPETRGRTLEEMAELFGTTTPAATEADHTARNETDCSSRLLG >Dexi9B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:9B:4253779:4255335:1 gene:Dexi9B01G0007060 transcript:Dexi9B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYLSFTAAPPSSSRAGRHQHPQLRQTTRAAASATDRQREVVSPKRRLPLRKVPGDYGPPVVGALRDRLEYFYGPGGRDGFFTSRVRAHGSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTTLVDKTDLFTGTFMPSTDLTGGYRVLSYVDPSEPNHAPLKALLFYLLSHRRQHVIPKFREVYGDLFGLMENELARVGKADFSHHNDTAAFSFLCQALLGRDPTESALQGDGPKLITKWVLFQLSPLLKLGLPKLVEDSLLHSFRLPPALVKKDYERLADFFRDAARGVVDEGERLGVSREEALHNVLFAMCFNSFGGMKILFPSLVKWLGRAGARTHGRLATEVRDAVRAHGGEVTMKALSEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGFEVREGEMLFGYQPMATKDPRVFSRAEEYVTDRFLGDDGERLLRHVVWSNGPETSSPTLQDKQCAGKDFVVLIARLLVAELFLRYDSFDVQVGSSALGSSVTITSLKKATF >Dexi6B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:6B:17665639:17666135:1 gene:Dexi6B01G0010850 transcript:Dexi6B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEELCDRVGIFIAGSFHCLGTPTELKVRYGGTRTLTISTMPEHAAKVEELVSRLSPGVTRIYSVSGTQKFTLPRREVALSDVLGAVDAARRVFPVLGWGVADATLEDVFVRVAKEAQVLD >Dexi5B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:5B:18051438:18069121:-1 gene:Dexi5B01G0017050 transcript:Dexi5B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPSGSVPRSQSGRRTVSRAPTRAFTMRPDGFSGEDGVEIVEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEQETKRRLATTDAREIQKFYEYYCKKYLEDDHEKKKPEEMARYYQIASVLYDVLKTVTPGKHHTEYDMYAKGVEKEKASFSQYNILPLNISVPRQPIMEIPEIKAAVGLLRQMHGLPMPKIELQNSDDMHKPVVHDLLDWLWQTFGFQIFPLVEPTRIIMSLERPPYEWHEFFPNLQHNLGVVTTVWAPIVLVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGRKLEDKAPPFDKFADIWNAFINSLREEDLLSNREKNLLIVPSSGGETSVFQWPPFLLASKIPIALDMAKSVKKKDDELMKRIHQDPYTEYAVIECYETLLDILYSIIVEESDKKVVDRIRESIKDSMLRKSLVKEFRLDELPQLSAKFDKLLSLLKDYDENDPVKKNTQIANLLQDIMEIITQDIMKNGQGVLTPYFKEEVLFSQDDLHKKNEDGISILFYLRKIYPDEFRNFLERLDFKPSNEEELKERMEEICHWASYRGQTLTRTVRGMMYYRKALEIQYLQDTKDPAKFGRDRSIESYQELQSDSEMAQAIADIKFTYVVSCQVYGMQKTSKDPKDKSCYLNILNLMIMNPSLRVAYIDEVEAPTGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGRPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYIEEAFKMRNVLEEFESRKYRKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKLYLVMSGLEKSILMDPRNQQNVKALENALASQSIFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFAENYRMYSRSHFVKGLELMILLVVYLVYGSSYRSSNLYIFVTCSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVEQSWEAWWYGIVYHLNIVVARHSKSIMVYAVSWVVMLLVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVLNLTISDVFASILGFLPTGWCILLIGQACSPLLKRTVLWDSIMELGRSYENIMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE >Dexi9B01G0047570.1:cds pep primary_assembly:Fonio_CM05836:9B:46636905:46639785:-1 gene:Dexi9B01G0047570 transcript:Dexi9B01G0047570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLLRAAASGGAVARRRMASLAAEERMARRPAAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGPLASQEILDGVIDIDMVVNLKLREDVLVEKCLGRRICSQCGKNFNVACIDVKGENGLPAIYMAPLLPPNNCMSKMITRADDTEEVVRNRLRIYNDMSQPVEGFYRDQGKLLEFDLPGGIPESWPKLLHVLNLEDQEELKLAAA >Dexi9B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:9B:375537:376091:1 gene:Dexi9B01G0000540 transcript:Dexi9B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSSSDVAAATTRMGLDDEGLLRPLFRGLSNGDVFDKDHDELDFEFLGNRRRHEWRLQTNVYGNGSTERGRAT >Dexi1B01G0031050.1:cds pep primary_assembly:Fonio_CM05836:1B:34791025:34795730:-1 gene:Dexi1B01G0031050 transcript:Dexi1B01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKWMLLLELRCGGNAESYLSEGRSDRLEAVAGAEVSAEGADGGERVEERLRRGLTVSVDFAVKTQGKAGREGDKPYAPPPPSLPPPAMGGGPRTFPGGLSKWQYKRMHEKLARQKQRGLLRHEKQLYLARLRSEIRASRLPAAGPDPPPTEGPTSSRAHIRALADRFRKPGAEDLWNEDDGPLRRAKQPSTRIPPAVQQQQQRLDSGRPRGGANWKDWEELAREQPRTRVEAAPGGKGPSLAAFNPKREYRTVAPLRSHWSSASLGFFGPKRRYSVMSPCTVSWHLGDELRPLGAANAGNRSEAAAMALFNQERLYSVAARRFGRKWRPHSSSDEDQDGTSTPKRNLRFGKFGASSEEDSEIDETGDRGTIRRRWSSAALRNCDMKKERRVLKSYEEENNDLAVRIRELREEIKNREVLGTERRRYESKGESLLTSKRFDECGISPLTVKALTDAGYVQTTVVQEAALPICLEGKDVLIKAKTGTGKSAAFLLPAIESVLNAMKSNTNHRVSPIFALVLCPTRELAIQLTAEANVLLKYHEGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENRSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHIFVDTVGLGAVETPTKVQQSCLVVTHELHFHMIHRLLREHIDREIDYKVIVFCTTAMVTEFVYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSNRLILVTSDVSTRGVNYPDVTLVIQVGAPPDREHYIHRLGRTGREGKSGKGILLLAPWEEYFLNEIGDLPIEKYPAPDIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADIGRDKTTLADLANRFGTSIGLEKPPAIYRKTALKMGLKDVPGIRIRK >Dexi9A01G0036730.1:cds pep primary_assembly:Fonio_CM05836:9A:41094393:41094899:-1 gene:Dexi9A01G0036730 transcript:Dexi9A01G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAPSSPVPTAVLTAAVKPLPRGANGGGVSTGRREVLTGGTGLGAAALLLALGPVVCGAARAADEEYVTETKEVIGKVRSTINLDKSDPTVADAVAELRELSNSWVAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPAKRRARILEEMDTAEKALLRGR >Dexi2A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:2A:30771486:30771879:-1 gene:Dexi2A01G0018590 transcript:Dexi2A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSDTAAKFRSRGEWHLAQMRCRRHPGIAAPCRDPFTTQASPRPCPQIARQLLIYWDTVVPRPHRLHVTQPHRGPGAYNSLAVC >Dexi9A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:9A:4275010:4275653:1 gene:Dexi9A01G0007370 transcript:Dexi9A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRGAELEPEEQAALLQACADTRSLAMLRRAHRLLASRSSSAIQAPILHGIATLFLKLGARGDARRVLEEQSRNSRPRRGRAAREDAAAQAKRREAYEKVRELHEQIRAAGYVPDTRHVLHDIDEGAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICADCHTAVKLIAKVTGREIVVRDNKRFHHFKDGVCSCGDFCLGNTCD >DexiUA01G0011200.1:cds pep primary_assembly:Fonio_CM05836:UA:22534463:22535939:1 gene:DexiUA01G0011200 transcript:DexiUA01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVYLMAIMAAALASAASGAELTADYYSETCPHALTTIKVLVGAAILREPRMGASLVRLHFHDCFVNGCDGSILLDDTDDMIGEKTARPNNNSVRGYDVIDTIKSAVNTVCLGNVVSCADIVAVAARDSIVALGGTSYDVLLGRRDATAASIDDANNDIPTPFMDLPALQANFESHGLSLHDLVVLSGGHTLGYSKCAFFRSRLYNESDTLDAAYAASLDERCPLAGDDDELSSLDDTPTTVDTDYYQGLINGRALLHSDQQLYQGDAGDLVKYYADNPAKFWEDFGAAMVKMGNLSPLTGDDGEVRENCRVVNQD >DexiUA01G0006960.1:cds pep primary_assembly:Fonio_CM05836:UA:13344992:13348089:-1 gene:DexiUA01G0006960 transcript:DexiUA01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKDRMHHIRRSIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSHAVENVVSPVHRASPLGTPPSTRIHCMKAAGTTPLTFMIDPSAAEFVGQESPVSAFVPPPPPLPPELCTSWDFFDPIDAGGSSSSNNENGLTLNFSRLKGLRESREPEVVPLKEEGEEEEEAFMCERRHTELPDGNAPSKQEREPKQSGISKPMQSVDASSKATSSEQVAAKVEESEMDKELCAETEDPSEFITHRAKDFVSSMKDIETRFLRAAEAGSEVSRMLETKKIRLDICPKIPGSPGKLPTARFVSALRVCCNRDIILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSPSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKSMLECHHKQFITITLAYHVKSSTSAQQGEHHRQAAMNLWNEMDSFSSSFRNWVTAHQSYVEALNAWLQKCVLQPPQDRRRRKRKVSFPRRQAVSPPIFVLCRDWLTLTESLPADELCKSIKSVMQLLRDSFDHQHDQNKPKSESQECGMLENNEQEEAYSGSVPAAEGLQSKLTMVLDRLTKFSEASLKCYEELKQNYEITHDDYKRFGPNAQLA >Dexi7A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:7A:26145245:26147815:-1 gene:Dexi7A01G0016260 transcript:Dexi7A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTANPGVRLGWKEAPAPGLGSASRLALPRRAAVTPHRGSRGKFPVAAISLDDYLPMRSTEVKNRTSTGDIKSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGLISHFEAVLPMGPTIIYNVPSRSGQDIPPQVIEALSRFPNIAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPDLMHSLMYEGENATLNEKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLSLDKRIEFVRIVEAIGRENFVGQTDARILDDDDFVFISRY >Dexi8A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:8A:10019269:10033945:1 gene:Dexi8A01G0008470 transcript:Dexi8A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCDGEAAAAAPLLEEKPTAVYIEGCPGCAIDREKAENTGIPYLRFFHIWIINLVVCLPISSIFPLVYFMAYAVEVCRPEYHAIGLSLISTSWAIGLILGPSIGGYLAQPAEKYPNFFPANSLFGRFPYFLPCLCITAFCFVILLSCVWLQMFPLWAESDRIHGGLGLLPEDVGQVFAITGGSILLYQTFIYPHIVKILGPVSASRAAAVLSMVLLSTYPYMTHLSGHLLPVVINVASGLKANLSVTIITCSLILQNNSVAQDQRATANGLATTLMSFSKAVAPIGGGIV >Dexi1B01G0027590.1:cds pep primary_assembly:Fonio_CM05836:1B:32274859:32283589:1 gene:Dexi1B01G0027590 transcript:Dexi1B01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLSARQGGPAPEPGVNARPARVCGKDTSRLPPSLFSTRHQITNGYPEAYAAGGRPVCCSAAAGEDGIAVETRRPTREKASRQPXXXXXXXRECAVILFTVSKELCSLAQGFIVHTPDDDDIRGNDVRRMPMPHPSSMVEDDEEAVEEYVGHERETAVCLMQKFIDRPDLQIKTVVALEHLKNFIYIEAEKEAHVIEACKGLRNIIASAKITVVPIREMADVLSVESKSVDLSRDSWVRMKLGVYKGDLAKVVDVDNVRQKVTVKLIPRIDLQALANKLEGKEAVKKAFVTPQRFFSVDEAREMHIRVDRRRNRDSGEYFDVVGSSMFKDGFLYKTFSVKSISTQNIKPSFEELEKFKRPGDDLNEDVASLSTLFSDRKKGHFMKGDAVIVIKGDLKNLKGSVEKVEDGTVHIQPKLHGLPIRVFADHVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRVLMGVPDRPELVLVKLREVKCKIGRRTSAKDRSNNIVSTNDVVRVVEEACKAVDTADFHFRNFSYPARISQSPGRLPPRGAHMNSGGRFGGRGGGGRGHDALVNRCIKIKSGPYKGYRGRVKEVTGALVRIELESQMKIVAVKREDIGDTASVATPFRETRYSRGVETPVHPSQTPLHPIQAPIQDPGATPVRDGMRTPMPSRAWVPMSPPRDNCEDGDPSTWASSPTYQPGTPRARPYEAPTPGSAWATGWGDASGNAQSTYAPSTPIVQPMSPGDAGMNLMSPAIGGEAEGNWLLPDVLVNVSRGGDEVTDGVVKEVLPDGLCRVALGSLGNGDELIATANELEVVRPKRNEKLKIMNGAMRAFTGKLIGVDGSDGIVRVEGASDVKIVDMAILGKMVA >Dexi9B01G0031210.1:cds pep primary_assembly:Fonio_CM05836:9B:33625071:33626839:1 gene:Dexi9B01G0031210 transcript:Dexi9B01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLATTSTQQDHLLFLLPAATSTFLSPLLAVLLLAASLLWLFPGGPAWAALAISRLLRRRSAAATPPPGAPGVLTALAGPAAHRSLASLSRSLPGGAALSAFTVGLTTRLVVASRPDTARELLAGAAFADRPVKDAARELLFHRAMGFAPSGDYWRALRRLSSAYLFSPRSVAASGPRRAAIGDRMLRQLVLSGGGGGVVMRRVLHVASLDHVMATVFGARYDPESPEGVELEEMVKEGYELLGLFNWGDHLPLLRWLDLQGVRRRCRSLVGRVNVFVSRIIEEHRKKKMMSSGGANGEPAAGDFVDVLLGLEGEEKLSESDMIAVLWEMIFRGTDTVAILLEWAMARMVLHRDIQSKAQAELDAVVGRGGAVSDADVARLPYLHHVVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIARDPEVWGPDPTAFRPERFEEEDVSVLGGDLRLAPFGAGRRVCPGKTMALATVHLWLAQLLHRFEWSPAVDGGGVDLSERLGMSLEMEKPLVCKAAPRW >Dexi7B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:7B:21731188:21732041:-1 gene:Dexi7B01G0015650 transcript:Dexi7B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMEGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYVEGSIDFVFGNARSLYKDCELHSTAQRYGSVAAHGRRDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAVHGVPWARELDYFAARPFLGKSFVNGYHWLTPDV >Dexi3A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:3A:7801622:7803083:-1 gene:Dexi3A01G0010960 transcript:Dexi3A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSLLAVAAASPVVLQPSKELHGGLPFQGKRPQDVAAAATVQLCAPLQQHPQHPLEVMPAQVMVPGGHLAQPVPAAYQAFTMPDAATLIDVQDSHPDSVQISLGIAEQCARQEKILKFLMSGSDVKELDESLLAEFTGQQTLPINLGSHPYVPDDKLSICEFRLDEPQPYLPEKQLVIPDPLLDFVKFHGFALTIDQNGQIIFAGHGDEMRDLLSLLLDFNMSKRETSGCKTAFLVPYFQR >Dexi7A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:7A:28885885:28887153:1 gene:Dexi7A01G0019910 transcript:Dexi7A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQELGPLEILRADLDEEGSFDEAVAGCDYAFLVAAPVNLTSENPEKDQIEPSVRGTLNVLRSCAKAGTVRRVVLTSAASSVCIRPLEGDGHVLDEESWSDLEYVTAEKPPSWGYVVSKVLSEKEALRFAAEHGMSLVIVCPVLTVGSSPVPEVYTSVPASLSMLSGDEAALGMLKGIEKSFGGVPMVALDDLCRAEVFLAETEAASGRFICSSLDTTVAEMSRFLADKYPQYNNLLERPRVRLSSAKLVKEGFEFRYSTLDEMYQDVVEYGKALGILSY >Dexi3A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:3A:3432097:3434382:1 gene:Dexi3A01G0005330 transcript:Dexi3A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTVVYDAESGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSCVTYYTSALLADCYRYPDPVDGAVNREYIDAVRCYLGRKNVLLCGAAQYVNLWGTLVGYTITASASMIAVKRVNCFHRSGGLSAASDCNPSGSTYMLVFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHHGEVRGTLAGAAVDAPKEKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASFYGLGMTTVFYLLLGCTGYAAFGNDAPGNILTGYAFYEPFWLVFAQPIFARLESCVACRWPDAKFINATYYVRVPCLRSSTPSSPPATVAVAPLKLVLRTILIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLKIRRGELRWWMLQAMSFVCLLISVAASIGSVQDIVHNLKAAAPFKTSG >Dexi3B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:3B:5372062:5372914:1 gene:Dexi3B01G0007570 transcript:Dexi3B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSSPPSLPSSSPSSPATAAAAGGGGCLPADDQSCLVASRHDATPSGATTTTTTTTTTTARACCTTTSYVAVLGISFGSLLGILLILCAIRWYLVRRSAAGQDAGGTTPTAANEPQKKRSTGLDADAIAALPEFVYRKDGEAATGGGEERECAVCLAAMAEGDAARLLPLCMHVFHRGCVDVWLKEHSTCPVCRAEAAAVMRHTGGEGCADKEQEGGTSRASTSAAGQPPQDGLLLDDGERDLEAQPRSSRK >Dexi7A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:7A:17189541:17195135:1 gene:Dexi7A01G0005920 transcript:Dexi7A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLGCFPSDPALHASCGMPWGVAVTPFSAADERGSPPATGDEGHLIPRCQSCFAYFSLLCPLDRWSWTCSLCGADNDMPADAAARYARDGGHDPPEMRSAFVDLLLQGEEGEAAAAAAPTPVYVAAIDLSSSEEFLELVKSALQAALEALPPGSLFGLLTFSNKIGLYDVQGPIPIVKNVFIPPDSDGALPIDLEDIMPICSFLAPIDSCKDRITEALETIKPMSSWDVAANTVEGQDHVLHHTRGFGVALDVLVNYLGSEYGNTFELARIFAFLSGPPNYGAGQLDTSEGQNTGKAGEADHILLQEQTSFYRNLATSAVQAGMCVDLFAITNEYTDLASLKVLSVESGGSLFLYSSTDESTLPQDMKSSPPILQIAFKYTVLAHNGDTSDAPNSGSRYVC >DexiUA01G0008160.1:cds pep primary_assembly:Fonio_CM05836:UA:15368677:15369945:1 gene:DexiUA01G0008160 transcript:DexiUA01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVGQQKYVTSIRDGFITALPFMIVGSFMLVFIFPPFSPDTSWGFARAWLQFSLDHREALMLPFNFSMGIMTIFISVGIAASLAKHHDLDALTAGMLSLMAFLLVAAPLKDGQISTAYFSGQGIFTAILVAIYSTELYAFLKRHNITIRLPPEVPTGVARSFEILIPVLAIVVTLHPLNLFIEAQLGMIIPEAIMSLVKPLVAASDTLPAILLSVLVCQVLWFAGIHGALIVTGIMNPFWMANLSVNQAAMAAGQAIPHIYVQGFWDHYLLIGGVGSTLPLALLLLRSKAVHLRTIGRMGVVPGMFNINEPILFGAPIIMNPLFFLPFVLVPMVNATLAYFALDFDLVSRVVSMTPWTTPAPIGASWAANWSFSPVILCLLCMVTSAAMYFPFLKAYEKQLLEQEHENAVEQSEEAAQSA >Dexi2A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:2A:33545970:33547876:-1 gene:Dexi2A01G0021470 transcript:Dexi2A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASTLPWTSSFPSSSSSLPLAERRLTASRRAPSLVIVAQGKVKKYRQVILTDDIEEVGMKGDALKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRRLSFTQM >DexiUA01G0018700.1:cds pep primary_assembly:Fonio_CM05836:UA:39465755:39468164:1 gene:DexiUA01G0018700 transcript:DexiUA01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELVLGLAPSRHNTSISRAMHGLPSPASQELNLPLPARTNDLTHSPIGEEKAYPKTIDDRDINTALSETEGVVELPAASRGPHPSLYSAGDRGPPTIRIKAQKAQRPFGAEGINITHPARNRLAALPPPPRWEEEAPCRSFPAAIPTRALHEELNQTKISRRGRQKPMKEPSKSLPDRVAYKWHQCEGRNFFSDELTTMSPWQRESEDFDCPSRSPLLDFSLLSAVPDCLLEIIIIIFSCCFL >Dexi3A01G0025260.1:cds pep primary_assembly:Fonio_CM05836:3A:20948090:20949861:1 gene:Dexi3A01G0025260 transcript:Dexi3A01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPHPSNAEAKRPQSQPRRRRRSSLSSAVAAAASSSPTHRNLRRRYLSIGGGAMSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDV >Dexi7A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:7A:20841432:20846182:-1 gene:Dexi7A01G0009900 transcript:Dexi7A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLLLQQQAAPTPLRTAAAADPPPVPRHGKLTLTVFHVLHAFFFPFGTSHLSLQVSLVCPALPAGVKFDPSDPELLQYLQEKSSLLNSKSHALIDEFIPTIEKEEGICYTHPKNLPGIKMDGSSFHFFHRVSYAYGCGQRKRRKVSGDVGSACDEHIRWHKTGASKAIFDENGIKKGWKKILVLYRGSKRGDNKPDRDNWVMHQYHLGADEDEEDGALVVSKISYQLPLKKNDKSEVDNIVVEPETSVAKIDPRTPKTDTPQPRFPINSPCTEQYTPIQMDQEEEECSTSVRPVKVEAGECSAWFAELSPNAVVANLPAADEPGEPRNTPDSGPEPEAPIPFDDSNLEVFNGLPELDNTFPMLGTPSDNVDFADMFGSQDSLGAWLDGFY >Dexi9B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:9B:3492164:3493312:-1 gene:Dexi9B01G0005890 transcript:Dexi9B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGDGAAAGDGAPGRSQQGAKRVRGGGVKGSEAAEAAWGQPPALLPPPQGLGAGSRIYRVRASGGKDRHSKVYTAKGIRDRRVRLSVPTAIQFYDLQDRLGVDQPSKAIEWLINNASDAIDKLPPLDPAALVAALPAPGDADVKQQKQGSSSGRSSPSETSKGSELSLSRSDGRGGAAARDREVTVASTSAQAASFTELLTGVASAGAISAAEHKQSWQQPNVSASATADCVGIAHPGKGAHGLASHAFSAVKFGNNAPPFGMVPAQSFNFTNSVEMPHFSLGHEALAASSAAAGDYSLDFSMSSGFLGANRGTLQSNSQSNFSGHHHQQLQRLDGSFLFGHAAAVAHPASENQLTASAALQLWDGFRHSGMKEEKSKN >Dexi7A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:7A:11062885:11063183:1 gene:Dexi7A01G0002590 transcript:Dexi7A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDLQRKLECANKEQEALIDIFSEERARRDLEEDGLRNKLKEASATIQDLLEQLNTAKKGRKV >Dexi5A01G0035380.1:cds pep primary_assembly:Fonio_CM05836:5A:37161016:37163560:-1 gene:Dexi5A01G0035380 transcript:Dexi5A01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRHRRGKKRFLLPLSILCLAVLLPAGALLAPSASASAPAASAGGGSRKRRWAGFDYYVLALQWPGTICRQTSNCCETNGCCRYHFACPLSSHSIFALSPQETSLSELVCFSFSSSADRILSNGSRFILILMPILEKYWPSLYCGSSSTCFGGKGPFWVHEDEYDYFSTALYLYSKYNVTKVLRKAHIRTASGRKYAVGHIVAVIEYAFGAMPSLVCKNGSVQELRLCFHKDYQPRDCTFETDKAPNSRSYCPRYVTFPSYKPSVLANNTAVIGDQANGELHAYG >Dexi9B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:9B:1825237:1825812:1 gene:Dexi9B01G0003190 transcript:Dexi9B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Dexi5B01G0025950.1:cds pep primary_assembly:Fonio_CM05836:5B:27852012:27852739:-1 gene:Dexi5B01G0025950 transcript:Dexi5B01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVLALGLAAFVAASAATSHGDVFHVGDKDGWVSKPAVSYDRWAASHRFKITDTLVFKYKKGADSVLVVDRRHYDACDGRDPIDELRDGDSAYVLGRTGPFYFISGDVVQCKHGQKLMVVVTAEPPVGSRAPSPAPSLVPSTSVAAPPDYSPPFQGSPILPPTSLPHPSSYAPPPNVALLAPIPSNHASR >Dexi2A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:2A:27166820:27167152:1 gene:Dexi2A01G0015780 transcript:Dexi2A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLSMGAQKVSFHAGERHAMIRVPGTVNSDPPRPLCGVWEYI >Dexi1A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:1A:2761197:2761574:1 gene:Dexi1A01G0003780 transcript:Dexi1A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGRSSSNKIRDIVRLQQLLKKWKRLALSPKAGKSHGSHGVPKGFFAVCVGEEMKRFVIPTEYLCHWAFEELLKEAEDEFGFRHEGALRIPCDVEVFEGILRLVGRKEEAVCYCSSEPGVLCR >DexiUA01G0017920.1:cds pep primary_assembly:Fonio_CM05836:UA:38174871:38179962:-1 gene:DexiUA01G0017920 transcript:DexiUA01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGLLRSNAAASQGSYVYDINGKKYIDALAGLWCTALGMCGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVSWININSSKSIRIAAFIAEPVMGAGGVILPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYKIKPDLVSIAKALSSAYLPIGAILVSPEITDVIYSQSNKLGSFSHGFTYSGHPVPCAVALETLKIYRERNIIEHVNKIAPRFQEGIKAFSGSPIVGEIRGQGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPEEVEEIISKFGHALKATEERIAELKSKKN >Dexi5B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:5B:11703413:11708759:1 gene:Dexi5B01G0014850 transcript:Dexi5B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLREDLGEFRWGVSMAPAPAPAMDAKDEGAGGATNQCSTVVVKTEAACVDDDPQVTSSDLSKGEGDDTTECSSSFGGTCSGFEGEADGGELEVNSGSSAHANGVGSSKPPRRKKVTAEWMNSVRPIMWRCQWLELRMKELASQVSKYDRELALIEKEKELQQTVGKLNVSASESMQIYKGHGNSIMKRRKRKRHEENTDTSFYIKKHLILSYFHDKQNKGAETDGVLIEEDCGNTGDSSIRGGLDTVTLLDSENYDMIFEQLTLKDTLRTIDGLQSRVQLLQDRLSRAHSGGENLALYEDNTAVNVRVPRKREHTQKRSFSYTKYRYTKPQKRKNLNILLKDDDGSAFVGWPALPDRETDYHVKDANRNAEERSGECNHTTEKSVTVDQLLGTGNSIPNGHSEDLCNGNIDDILIDNQAANEACQQFGNAKHLPSGTTSGGQKISSSAAMKHISALEEVNNTCGPVETDSTSAPAVEPVSTQNEQHLKPKKWKKGSSFTKKQRKEASNTPAAEDKTEGPPSAAKDKTGSTPSAAAEEKTESTRFAATGTGTKVYIRSAWRKRKIGNEPIDAEKRESGSEFAASKELEAGKPSSQAKQKTENPSPATKKRETENVPSAARETEGVPLNLKIEKAVLVAVNSRRSQRVRKPKVFAE >Dexi8B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:8B:7730860:7734561:-1 gene:Dexi8B01G0006590 transcript:Dexi8B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRMGTKVGLSPTAICCLLLLFCLGCKCLASEFEVTQTATVRVDASPELARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSNIHPWSIIGDDSSIFVATDRSSCFRRNIIALRMEVLCNDCRAGGVGIYNLGFWGMNIEDGKTYNLVMYVKSPETAYLTVSLTSLDGLQNLASVDITCHVSTTGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPVWVFNNGISHHDEVDTSIIAPFVKDVLDSLEFAKGSANSTWGSVRAAMGHPEPFPLKYVANGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVNFFLPNQICLLHCCLHSDSASLSVI >Dexi5A01G0028690.1:cds pep primary_assembly:Fonio_CM05836:5A:31890897:31893924:1 gene:Dexi5A01G0028690 transcript:Dexi5A01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVPILRRVSSALSTALLEWILMLLLFIDAVYSFLVTRFARFCRLPAPCPFCTRLDHVLGNEKPCFYRELICKTHKSEISSLAFCRLHQKLAGAKSMCEGCCEKTSDRNTEDEMDVNELDGNQRNDDVWNSPPTKTCSCCGQHFKQQSVLLSCRKIAELENTEVVGSPKVYTDYTVAGQVDESLEPKDIYHQSDHTSHERESLLQMTSDSEIEVPCAHDLRSSHSCEANVMEEDFQQDTTCEHPVLPSLEVIKESERKVEKDLNVTDTCDTSSACPGADDHPVSGIDGDQIKENESLLTKWASQQAPILVSEDSGSKDADISQISAASSVDLPQILGETEPSQNKNEGNADQFTSQFTILEEHYAVSGETKIKAFIHFCELNPTRTFLFPLSDDCGDIYVSQVGADSEAIGEVDGCTKKIEPAGDMGTHDGLIVQYPFDTIPEDKGHMNEAQITAVAVRSSGTDVECSNTTGATVERRPSLSTQISMNEAYRLAIGSKSSLPSPTLTDVILGKDSTSSINEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDELVVQNITKRISLERNTSGLESLDGSIVSEMEGESAIDRLRRQVDLDRKSIHLLCRELEEERNASAIAASQALAMITKLQDEKAAMQMEASHYQRMMEEQAEYDSEALAKANELLAEREQQIEELEVELENYRRHYGGEPIKKQAKAPFEQENADTGFIEEGDFEDPIINTPRSTNSLVSFEEERAYIETSLRKLERKLQSYSNNNTSDDLSSPYPIEDDLSNKAPIAEDSSLYCQDSWGKGGNSSVMSGEVDLTTVQEEIASLNRRLKTLEGDRNFLEHSINSLGNGTEGLMFIQEIACNLRELRAIATDKK >Dexi9B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:9B:2001022:2006744:1 gene:Dexi9B01G0003510 transcript:Dexi9B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGANSAASSSRGAPLPPLPFPFSAAAHFPSRVAVPFLPQQHHPPATSEGDDEVDEDEGGMDDDNSEEDEAELVGPQQRRASSATALRLAVQAFKREEESAKALKRRRERLEQDKCGNDDSNPTDLSRGKGAQFPFAVFDRVIIKGNKRTPERFVGRQAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKVGDADRSSAMVSSNAQSASWL >DexiUA01G0002940.1:cds pep primary_assembly:Fonio_CM05836:UA:6241631:6242284:-1 gene:DexiUA01G0002940 transcript:DexiUA01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAGAGDGASASPAAARATIEEEARALLPAPSPDGYEDDDEDLEERAYEAAEKVIVCISDVPDPESGGGEYDADLCSSSSGAAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDW >Dexi5B01G0039110.1:cds pep primary_assembly:Fonio_CM05836:5B:38120080:38122940:1 gene:Dexi5B01G0039110 transcript:Dexi5B01G0039110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGTSSRSPSRSVSSGSASSRSQSRSRSFSSSSSQSRSRSPPPAKRRKASPPAESTVLCVDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRLVNLPRGYGYVEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPMKVPPPPPKRDAPQNEKAASGAEKDALQRPRESSPRKKPASPPRKRSPPNRRVESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPLRRRSPSPPRRHRSPMR >Dexi5B01G0030870.1:cds pep primary_assembly:Fonio_CM05836:5B:31722432:31735593:1 gene:Dexi5B01G0030870 transcript:Dexi5B01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLLLLFLLSVGLRPSFSQTNSQDVAALQALMNNWQNGPQSWTGSADPCSSWDGISCSNGRVTEVFTGNIPSEIGNLSQLTFLALNSNKFTGGIPPTLGLLSNLNWLDMSVNQLSGQIPVSPGLNQLVQAQHLIFDHNNFTGPIPASLGQVKSLQIIRLDHNKFSGSVPNSIGNLSKLVELSIATNLLNGTVPDLTSVTQDLSNNNFASSPAPGWFSTLTSLNSIFMDNDNLTGTVPSAMFGLPNLQQVSLANNAFSGKLNMTGIISSQLRVVNMTNNQITDADATSYSYSLILTGNPYCKDNSSCTLKQKQQMPYATNLDPSSWGAGGTDNGEAPQLKGARYFSFEELKKSTNNFSEINEIGSGGYGKGYLDPEYYMTQQLSEKSDVYSFGVVLLELITAKQPIEKGRRLSSINLQGTLSNSIGQLSELVYLDLSSNSGLSGLLPTSIGNLKQLTTLYTLNLRANSYISLFDNNQLSGPIPDEIGSITTLQILNFADNQLRGTMPDLSALTKLNAIDQSLDPADCGCAYPYMGTIFFRSPLFADLTNNEHFQQLETSLWTELGLHPGSVFLSDVLFTSDDYLQVKVRMFPPTGTSFNLSEVTRIGFDLSNQAYKPPQGFGPYYFVADPYVHFAASWGIAQKDSGGAPQLKGARFFSFDELKTCTNNFAENNEIGSGGYGKVYKGILVDGTSVAIKRAEYGSKQGALEFKNEIEMLSRVHHKNLYKKYNCYAARGIYLDWKKRLRITLGSARGLAYLHELANPPIIHRDVKSTNILLDDNYKAKVADFGLSKLVTDTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLEILSGRLPISKGRYIVREFRMAIDPNDQDYYGLQGIVDPAIHDAAHTMGFRRFVQLAMECVDESASRRPTMNSVVKEIEAMLQSEGLSSGSSSTVEFERVGSASASHLYGGPVVTPRSNSSSGSIAEEPGEPPHPELNHREL >Dexi2B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:2B:26318254:26318588:1 gene:Dexi2B01G0016150 transcript:Dexi2B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRMSIDCNGCYRRIRGALLQMRELEGHQIDKRQGRVLVFGAFSPQDVAIKIRKRTNRRVEILDVAEGSPPGQDGGPGHMP >Dexi9A01G0034800.1:cds pep primary_assembly:Fonio_CM05836:9A:39544607:39549039:-1 gene:Dexi9A01G0034800 transcript:Dexi9A01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFIQSINHFLTFGAYHYLLRLVQAVCGNGARDLVLGVEQYCCDRPNPFLQIFYVAIIGVTYFMIVQTSFEYIPGYYVSGWHRYLSVVAVAVGAVLFVLTSFSDPGTVTPDNVSQYVSAYPYDNIIFVEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICVYGAVVLGFILAGELKERKVIYILTAYYGIDNSFWGLFPHVAQSFKWQDYIMWMKKENEEKVNAAALKASISSANSDAQKAPPSKWRAFFVRPRRLAVEPVVKNNIYNRGMIRNLCEVIVPLSERKSFSRRKSD >Dexi5A01G0032450.1:cds pep primary_assembly:Fonio_CM05836:5A:34983118:34983578:-1 gene:Dexi5A01G0032450 transcript:Dexi5A01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKVLLATFAFVVILTALPLGQGHGEEVGRGAAPWKDANAGAWPCCDHCALCDRTIPPTCACLDSSPGGCHPGCKDCVPSTTGAGVRGAPLFQCLDSIVNFCERRCTPASHGP >Dexi4A01G0024710.1:cds pep primary_assembly:Fonio_CM05836:4A:27444385:27445669:1 gene:Dexi4A01G0024710 transcript:Dexi4A01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETITAATTALGIRRLRHHPTTASSSFVSFRFRPTARLPGAVTASASASSRLCKAKAHQDDAKASSADQLVLDVAESTWDDLVLGCESPVLVEFWAPWCGPCRLMHTVIADVAKTYAGKLRCLKLNTDENHDLATWYGIRSIPTILIFKNGERKETVIGAVSDTTLATTVERFL >Dexi3A01G0027250.1:cds pep primary_assembly:Fonio_CM05836:3A:24095191:24108196:-1 gene:Dexi3A01G0027250 transcript:Dexi3A01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNRSQEPQPHRSLGVDDESLQQPQSDPKKKGGWITFPFLGVAMMGLGVATSGALSNMVVYLIKEYNVPSVDAAQISNIISGCLSLAPVAGAIVADAFFGCYPVVAVSMAFSVLSLVMFTLTAILPGLRPAPCQQLSSGGHCEPASAGQMAALYAGVFLMCVSAAGSRFNQATMGADQFDSAADRDVLFNWFFIFFYASSVLGSTVIVYIQDTVSWTLGFGVSMAASVVGLTAMLLGARYYRRPAVRGSPFTGLARVVFAAVRKRKVNVVTSGEVKFYHGRRRSGDGDDKSASMQYNIMSRAQAFLNRAALITDGDAIAADGSVTRPWRVCTVQQVEDFKAVLRILPLWSAAIFLSVSIGVQINFTILQALVMDRAVGHFTVPAGSIFTGCLIAVVVSLGLLDRVLLPLWRRATGHDPTPLQRIGAGHVITIASMAASAVIERQRMATVRAHGEEGDPAWVSPMSAMWLLLPFALSGAGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIVALGFYLSTALIGIVRRATPWLPDNMNASRLENLYWLLAVLVAVNFGYYMLCARLYRYQNVGK >Dexi3A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:3A:5291330:5294953:1 gene:Dexi3A01G0007640 transcript:Dexi3A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGPLPYSMRDVGTGGAYNNAKFRHRPSSGQFSGENIRLGSRGDSYYEYLLKVWIQQEEYRNSSLKYLFEMYTEAMKGVKHLLVRKTVPNELVFVGELPFGRNGDFSPKMDHLVCFLPGTLALGATKGITKKKALESNLLTDDDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNPEGGPGGGNNSNKYANDIIIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYSSLDDVTSLPPHRRDKMETFFLGETLKYLYLLFDESNTLPLDKYVFNTEAHPLPVMRSVEQASHSV >Dexi3A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:3A:2624692:2625302:-1 gene:Dexi3A01G0003960 transcript:Dexi3A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLTRLFPYLAECEAVRFLLFADADLLVAAHIVALDLGLTRRQASEPDRLVGDWLAISTRVDETVALLEEVHRRSPASSLSKLANLLDGLPLPTDGDHEDLRGLRHLIPSRLPPPRSVPYRYSPAMKATLQDAIHDYYLKAIARLPAGELRSRFHRSLLEGGHCYGPFDPVSNIIVNTI >Dexi6B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:6B:8298518:8300553:-1 gene:Dexi6B01G0007150 transcript:Dexi6B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGIKRAPKEMASASGIKRARKGGHQATPRPMAPSQRRTERSPATPSQGRPWIPTRTEAFRFVKAVQREFEFAGKPSMYKDFLEVLCEYNRGRLGVAGVVDLMEVILQGHPHIIRWFNKFVPSGYKVKDLQAPPMS >Dexi1B01G0025130.1:cds pep primary_assembly:Fonio_CM05836:1B:30294118:30297987:1 gene:Dexi1B01G0025130 transcript:Dexi1B01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAGDAAARGKVRWSARGVGVFGFGALVCVGARCSVAPPFPDCLLDLLLLQRRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSAGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEEEKATGRRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSGWNLFVLDLYDCLHAVIIVSYSRYVLCCSICSFFCGIWARVVDGKGTAQIAIGFYNIIHWDPHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKELVSNIAEFIRSSGEYDKNGFLEDTDKPEKLSPISTGIPLWEEDRDLDASVSPHKEIDPKNIAPKQKKTRFMIPKSAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRKNSRGPAYAANIPHASTLEVGMVYQV >DexiUA01G0021630.1:cds pep primary_assembly:Fonio_CM05836:UA:44799270:44800278:-1 gene:DexiUA01G0021630 transcript:DexiUA01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAGMLPGVECARRRRLRQGGVAAGVEVGGGTRRPSFCLYTAGHAGHPAAGLAGAGSSGGKQRSGVMEMIHGWTLDSNAREAKERLDQKLRSKRETAIKRHHSTGSIKLSRPPRLHGVGAGGAEERGESSSASASGPSKSAMSGVQREVYSKKGVMRRLMRWSRPRWDAAEQAECAVCLDEFRAGDVLAHLPCGHRFHWACAAPWLEGTSRCPFCRAAVDAANPHAPGA >Dexi1A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:1A:1131401:1134417:-1 gene:Dexi1A01G0001700 transcript:Dexi1A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRRRRDGTEAPSIHPRNRYAAAAPDFAALAELYPSFRPFVSVSDRGRASVDFTDFSATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSNLIPPISNSSGRVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPHLAELIEIRNANAVSFPYESEAVVKEDVSENISEPAEDAAMQKPSILVGVVQESESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGELSFVTRIIEDSVSFKNSFRWFTSMVGRKANLKLLISKAREAGASVVKTTEFVQGQTARWGLAWSFIAPRNLVVRSSTPARNHHSFMLQVGVISHYGLRREHDAFQVLKSTEAFFRASDLSCKTDTLSFSVDVTLSDEQAEAAILHGDDYAGSLEDSSAKLQSVVKGISFRITVFEQIPGTLLIKGSLINKALSGNLRVSCDYWHVDTNLLYASFIDQ >Dexi2B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:2B:28086711:28090530:1 gene:Dexi2B01G0017700 transcript:Dexi2B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGAAGAGTSGSGGGGGNADHLNHHQRLHSPRVTGGGSMTRRANSFKRGGGGEIELQIGSPRSPRCDGLGSPPGDSAEPSGSHHHHHQSQQHHNLRFRLFKRQGSGGGAVDVGLGLGLGLGIRERRKLGNVLFLAFCGVCLLLGVGKIWAGGWFALPAVDKHADLQDLSVSFSSDNGHQVDHQFQYMEGKESDRTLMTVESGTGGTEDSVAEASSISLPQCLDLPIEQDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVETLPPEYSGIEPLAKAPVSWSKV >Dexi9A01G0037830.1:cds pep primary_assembly:Fonio_CM05836:9A:42105716:42106851:-1 gene:Dexi9A01G0037830 transcript:Dexi9A01G0037830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRSPASSSSSYHCHLLRPRSLPLVSVASLSLSLLFTLILALRHGRPLHLPLAFATAPAPVLVGGGAYRGDPSVTEVEEAVLGFRRGDSVAEGVQPAVPGDLSVRGMGSATEAQETASGVGNGGAPSNGDVLKGQEVGEARNHSHGGLDSSVEGKEAAPQGRAKEPAKDLVSDMADASAEKLEGTGSLRDVDFSIEASGPAMGAREELLQGGHVDDGRNSSVHRDYASQHGEHRDSSGNSTIRHSPGAAPDNPDKQETAKSNRDFARSNAGQCDDVSDGSWVFDESYPLYESNACPFIDEGFNCQANGRMDQSYMKMRWQPKNCNVPRCCEESG >Dexi4B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:4B:21071497:21073718:-1 gene:Dexi4B01G0018780 transcript:Dexi4B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSALLDLDNDTSFFGVFDGHGGKVVAKFCATYLHREVLNSEAYAAGDLGAAVHRAFFRMDEMMRGQRGWRELQALGDKINQFTGIIEGLIWSPKGSDSNDRHDDWSFEEYIIFFYYQGPHSDFTGPNCGSTACVALIRNRQLVVANAGDSRCVLSRNGQAYNLSRDHKPELEAERERIQSAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLSPDKQILTANPDINIVELCDDDEFLVLACDGIWDCMTSQQVVDFIREHINTEESLSAVCERVLDRCLAPSTMGGEGCDNMTMILVQFKKPITPTQDSSGEEQSAGETEHSETQ >Dexi3B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:3B:11638947:11639285:1 gene:Dexi3B01G0016050 transcript:Dexi3B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSATEEAFHTSQGLEKLPTIHSQTSQATSPPPCLLPTFQANTTRHHINTNRRALAGNGNHTAHTDPDTDLPPCRASSLPVRHERHIHAHPAPAPGSPTTPPPALPPLLSST >Dexi9B01G0022700.1:cds pep primary_assembly:Fonio_CM05836:9B:17530747:17531847:1 gene:Dexi9B01G0022700 transcript:Dexi9B01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGRHKPSRSASASTVVADNAAGYHDLKIDGFSRIKRIPARVPIKSSAFTVGGHRWRISFFPNGDGARTPIEGCVALFLFLDEDVAEPVTAQFEFAFMGEKRASFFRNRKKEEKLRSLLTVVSSFASRVGFRSSELLFKNTLENYVSKHGSLTIRCDVVVFKEFLGEEPVTPATFVSVPPSDLHRHLSDLLQTEKGADVVFQVGRETFAAHRCLLAARSLVFSAELFGAMRESRTAADVVQVNDMEVPVFRAFLCFLYTDSLPEMRKEDEDVMYQHLLVAADRYDMERLKLLCESKLCEYIDVGTAATILTLAEQHHCHGLKKACFHFLSDPVKLRAVMASDGFKHLSRSCPSIKNDLMMLIP >Dexi5A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:5A:407664:410630:-1 gene:Dexi5A01G0000600 transcript:Dexi5A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMALVKQRLALLDSASSSGGGGEGDKDDDFGAMDNELAVAPAIDCSDTSDEDEVIPLEVVAPKGVESEKRRLPDQKRSSSYGSAMDRAKEVQAKLPAEHPSFAKLMLQSHVVRGFWLGLPTRFCSKHLPKNDTGIVLEDENGQGYQTLYLGAKQGLSAGWRGFALKHNIKVGDVVVFHLVRSTKFKVYIIRANEFTTTDGAVSLLNLEVQKKRKLSKECSNEAKTEKASGVDHKVPAGSDDNNVLVGETIDGLRISGSDIDFGDVTSFNNFNIVVDSLVIDCKFHDRLRRTYYELCCSQKSFLHKNLLKQLNLTLVVGVIMETISIAEGIRACKTQASSRNDLLIWKKTLGSLELLGMNVAFMLKRVNVLLSLPADSRDLSGCQKYKELKSERAHAGEKVKALELMMSNVKGVLQKMDAEMEEMESSVKRGGLTLQQLATAPW >Dexi3A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:3A:13812418:13820101:1 gene:Dexi3A01G0018140 transcript:Dexi3A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRARGWRPGADELRFCEAMKGTSRAACRWRVRAHGRRRGRRETVDRSGRQEAGEQGGWWPMWQSSSIPQAALRLRAGRRGRAWPQQWTDGRTGGPASCFVCLLMKRANDDDDAVRLRAVVVRQLWPPLAPFAGRLTAPESWTLPHCCVVRPYFLSETAAVRLVPGHVVSDNTQTYSNSGVLYRALSNDKKYLGSLSHDKMLKLWDLQELLNGPQAVNGGEHAESGSDDSDNDNDDDGMDVDMAPNSSNVHLSYNQPTSRSIVFVSCDAKSRGSERCARLPAPPELPGLSPPRIRSRPRRLLRRAGGIQLAGPPLRRDGFLGSGYVLQIRDFAPVGWRASGSGAPCAHKMEGAGRDVNPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARHFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPSARDLIPRMLVVDPMKRITIREIREHVWFKIRLPRYLAVPPPDTAQQVKKLDEETLNDVIKMGFDKNLLIESLQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESMDSSFSQVIAETPTSATELRQHGFTESPGSGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGCLESMMHNSDVFGSESAIIETDDLIQKSIPTVKFEIQVPFES >Dexi5A01G0023470.1:cds pep primary_assembly:Fonio_CM05836:5A:27463447:27467290:-1 gene:Dexi5A01G0023470 transcript:Dexi5A01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTTLRKRALSVDTAAAAMIEWESKGQGRRAMEEGGSVAEEEDEPVSPTGRLFREPNFRCHIVSVFGLAAPVDLPALRAGVAATLARHPRFSSVQVLNEFEKDARPKWVRTAVNVDDHIIVPELDPAATSSNPDKALEDYVASLSTRAMDHSIPLWEIHVLDFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSASDPGALPSLPPGRRRRVGPAVYALRRRRWPLVSSSTGAAALDAVVALAMWAVSLLVLAWHTVVDVACFVATAVGLLGDAPTVLKGEEGTEFRPKRFVNRTLSLDDVKFVKNALSCTVNDVLLGITSAALSRYYFRKTGESDRQSITVRSAVLVNLRPTPGIQALASMMESGKHNGSSWGNKIGYMLIPFHLAKHDDPIEYVRRATKVARRKKSSMESVFTFWSGDMVLFCGNPIVYIAPGTYGHPHALTVHYQSYMNTVKLVLTVDESRFPDCHQLLDDFAESLRLIREAASRKSDKAHDGTAG >Dexi9A01G0034050.1:cds pep primary_assembly:Fonio_CM05836:9A:38863419:38865132:-1 gene:Dexi9A01G0034050 transcript:Dexi9A01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLVLFRLALASDRYNNQYFVYKAPGCGKGPSLWRLEKPYQISLPYRHNIALLAHREVSEGGHIRPHVDDNGHYYMATLNRNPDTPQNFNLLLYNSMHNKWSSTPIPLDMTQSHIPGKAITLGEGGLLGFVDPWRGILVCDILGRKRPHFLPLPAQLFRFDKFHGQPWLFRNIAFVNGRLTVVEQHHNPAYPRKSLNQEFTTWSISSPWEAPDGWQMDYRMNTSCIIVDDATANVDLLLCKLQENVKLRQENVTPQPTLDRLIILHPTLSLSESHIVYLMAKVSIRDDKPLVLSVDMRYPRLQGVAVFDAERMTGYTYMQARVSNFFNMVPGLKGSLKRPGKFHMRYPHKHRSTTDDDDGPTLLPGGATAHTREDTMIADDDMAVD >Dexi1A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:1A:27617631:27619794:1 gene:Dexi1A01G0020810 transcript:Dexi1A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFYPSDEELVCHYLLNKVSNERIAQGTLVEVDLHAREPWELPEVAKMTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSAATAAVVGMRKTLVFYRGRAPNGVKSGWVMHEFRLDTPRSPPREDWVLCRVFQKTRGDGDGQDGEASSSPLAFPNSSSSRAVPEPDHHFTS >Dexi9A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:9A:13494123:13495700:1 gene:Dexi9A01G0018400 transcript:Dexi9A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLLVLAALLLLPLAAFLLAKQHGARERRGKNGARLPPGPPGLPLLGNLLLLRRYSFDMEALLRRLVARHGPVVSLRAGPTLSIFIADRRIAHAALVESGATLADRPAVSRSLLGENCNTISRSSYGPTWRLLRRNLVSETLHPSRVRLFAPARAWVRRVLAEMLGREAKEAAPPPVMEAFRYAMFCLLVLMCFGERLGEPAVRAIAAAQQDWLMFVAKNATVLAFWPALTKILLRGRLEKGLAARRRQKELFVPLIAARRERKEKMSSGQAGYAAAAQMTTFEHSYVDTLLDIKLPDEGNRALTDEEMAILCSEFLAAGTDTTSTALQWIMAELVKNPEIQEKLYSEIKETCNDDQEEVGEEDRHKISYLKAVVLEGLRHHPPAHFLLAHMAAEDMEVGGYLIPKGATVNFTVAEMGWDEREWERPMEFVPERFLPGGGGDGDGVDVTGSREIKMMPFGVGRRICAGLGIALLHLEYFVANLVREFEWKEVPGEEVDLAEAREFTTVMKKPLRARLMRRTAG >Dexi5A01G0027840.1:cds pep primary_assembly:Fonio_CM05836:5A:31217028:31221326:1 gene:Dexi5A01G0027840 transcript:Dexi5A01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPASTYLSGCAPLFLLLLLHLAFARPLFPLPSKTKNEEKRPIQTFRPYNIAHRGSNGEIPEETADAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDIAKHKEFANRRRTYEVEWFNCWFTVDFTLEELKRLRVKQRFSFRDQQYNGMFSIITFEEFISIALDADRTVGIYPEIKDPVFINKHVKWADGKKFEDKFVDTLLKYGYKVECSLDITYVIFYLQSYWEITSDDYLAYIGKYVVGLGPWKDTIVPAAENYLMPPSDLVARAHAHNLQVHPYTYRNENQFLHFNFHQDPYAEYDFWINTVGVDGMFTDFTGTLHRYQELTSPHRKDETANSLLVKISQMISAYEGI >Dexi3B01G0021910.1:cds pep primary_assembly:Fonio_CM05836:3B:16826332:16827605:1 gene:Dexi3B01G0021910 transcript:Dexi3B01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFLLLAALLISFSSPPSAAAANHDIPAVFAFGDSTLDPGNNNGMATLARANHVPYGCDFPGGAATGRFSNGKLITDYIVESLGIKALLPAHRDAAGLGVAELSTGVSFASGGSGIDDLTAHTAMVSTFASQISDFHGLLGRIGAPKASEIAGRSLYVFSTGTNDVATTYFILRARVKEFPTFELYTAFLMDKLQDYIKTLYNMGARKFIVAGLPPLGCLPVTKGLNMGSKGCVADLNVAAERYNVALRQTLAKLQAASPGATVAYVDVYTPLMDMVTQPQKYGFTETGKGCCGDGIPAAGVLCNRMVPRCQTPAHYMFFDTAHPSQATYKALADLIIHSHIPKFIK >Dexi8A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:8A:28774248:28779491:1 gene:Dexi8A01G0017060 transcript:Dexi8A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEGLLARDFGVRPQGKAAPMAGASSRPAAGSAAAWSNPVRSTSAPSYDDLFGAPAAAPASASSFDSLFGSFSSAADAGARAKPPAPSSSSGPVFDDDIFDTVPGLRPSKSSSARYDDGVFGAAAPAYDDVFANGTRASASPPPVYDEGDFLGGLGGVPRAEEKKRPVAVDNDLLGGFGRKPVPVEEEGIGGAGFDDLIPGFAGSSPPRSRKANDDKKMKPPVPTSKPTSNMADDPFVVLETASSSGSAYASPGRSTDPLKDKDKPANSEGKTAADNLFEEPIAFDQAPSDPLFTSEINGHIKDRNPTSIARDSSSVHHSMDRNPARQSSMEDLGNFMPKSQSARYSDIHGNGMEDQSPRSTESEDDIWLTVSEIPLFTQPTTAPPPFRSPPRLKQKLGANANGKGNGHARRSSQNHNHFTDFPKQPEVSSADDLEGFAMGKPQMPAFDEEFERSSSDREERERQERLEQEREMELKEEMERERIRLEKENELEQQRERERQRQAVERATKEARDRAAAEARANAERAQQRAAVQRAQQEARERAAAEAKDRAARVVAEAKERAAAEAKERADAAERAAAERAQQEARRRAERAAVERAAAEARERQAAAAAAAAREKQSTPDDLESFFGMDARASSAPKQRASTPTVDSMFGTGAQARGTANGSQRAASTSASVRKAPSASVFGDDLSDLFGAPAASSDAFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQIQREQAERDILWAECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYIAEKVFDILKVCIPLL >Dexi3B01G0034920.1:cds pep primary_assembly:Fonio_CM05836:3B:37562619:37565670:-1 gene:Dexi3B01G0034920 transcript:Dexi3B01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVALWVVLAHVLACAGVLHAGEQPLSRIAIRRATAAVVDSASVVARPTVLGLKGQSSDWVVVEFSHPNPSNNDWIGVFSPSGFSSEICQPENWEDLPPFLCTAPIKFQYANFSNDAYNKSGKGSLRLQLINQRADFAFALFSGGLSAPKLIAVSNKVTFENPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEALPFVEWGPKGGHQMLSPAGTLTFKRNSMCGSPARTVGWRDPGYIHTSFLKELWPDALYTYRLGHRQSDGTHIWSKSYSFRASPYPGQDSLQRVVIFGDMGRAEVDGSDEYGNYEKASLNTTKQIINDLDNIDMVIHIGDLSYANGYLSQWDQFTAQIEPIASTIPYMIGSGNHERDWPDTGSFYGYNDSGGECGVPAQTMFYVPAENRAKFWYSTDYGMFRFCIAHTEEDWRPGTEQYKFIENCLSSVDRQKQPWLIFLAHRFLGYSSASWYEIMMGSYGEPMGREGLQDLWQKYKNRCVQDGSNHYSGRFNATTHVVVGGGGASLSPFRATVPYWSFFRDMDFGFVKLTAFNNSFLLFEYKKSSDGNVYDHFTISRDYQDILACSIDNCPRTSMAV >Dexi4A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:4A:12207936:12210056:1 gene:Dexi4A01G0013130 transcript:Dexi4A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNVVGSPGEASPALPVSTTTTTMMLLLSVLLVCCAVRAAEWAWWRPRRQGRALRSQGVGGTTYRSLAGDAPLSERLEREARSRPLPLGCHDVVPRAMPLFYQTMKQHGKTSITWFGPVPRVTITKPQLVREVLSNKLGHFGKLKLGRLQRRLHNGVGSHEGEKWAKHRRIINPAFHQEKLKRMLPAFAACCHDLVERWESLAAGEEQCEVDVWPEMKNLTGDVISRAAFGSSYREGRRIFQLQGEQIELTIRAMDKLHIPGYLFLPTRTNRRMKQIAAEIEGALRRIVAKRENALRTGKAACDDLLGLLLESNMKHCKGNGGDSGTGITTDDVIGECKLFYFAGMETTSVLLTWTLVVLCMHPEWQERARDEVIHAFGDRTPEYDGLSRLRIATMVLYEVLRLYTPLTALHRRTETSTELGGVRYPKGVVLKLPLLCIHHDRDVWGPDADEFRPERFAEGVFRASRDAPAFFPFGWGPRTCLAQNFALLEAKMGLSMVLQRFAIELSPAYSHAPVSVGLLKPEHGAQVRLKRLPRGREVAADTWTR >Dexi4A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:4A:2415495:2415764:1 gene:Dexi4A01G0003330 transcript:Dexi4A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLASYLCLFQLFYCLRRFEASPLGSEARGRARVGVWLATTLLTAMFSWRVAAVTPWPVAAGVWLMGGCTVAGGFYALFVHPRGDDD >Dexi4A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:4A:24997624:24999275:-1 gene:Dexi4A01G0021450 transcript:Dexi4A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLGSLSDQPQATPGESTSKRKDGLRWIFAAPQRQRRDFGLEESAVIRRSASTCATHVLAVVLAAIGWASSVCTEDIGFRSSLLRLRRRRRPRASKGRQPAWTKGADEPTTKNESRGAAGGERKQREKGNRINRDEIKGKSNKSKSRRSRKSNKSMPNQTKIEQTKAKSNNRSHDREKRQQQEAASSTTHEQEQRGQQQPPRRCRPPRARRRARLLVPSCPRWAAPDNLLRVAESFIRRRHERLRRKHKLREGSTDATSLGMDHGERRRGERKKAEI >DexiUA01G0017720.1:cds pep primary_assembly:Fonio_CM05836:UA:37487062:37490895:-1 gene:DexiUA01G0017720 transcript:DexiUA01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLFLQANLRRSTRKRRISVNLEGFDTDSSSMDDDDLMRPRYRPSKSKGENNAAHGVSARPKRQKLSNSIPRREGLRPRRSLRGQRLHPYQESEDEQESSEEQGADDQRENGNDIEEDVGDDDDEVDGGDEAEADGDGDDEDGEEEQDGRRRYDLRDRSEVRRPSPRKEGKHRPQSPRRVLVHGIGPKNSKYLKKGRSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWLMGGLDMHSPAAWGLNVGASGWGHQGDSSTSLMPGVHTAGPSSKGGADIQPLQVDESVSFKDIGGLSEYIDSLKEMVFFPLLEAESLKSE >Dexi2A01G0036670.1:cds pep primary_assembly:Fonio_CM05836:2A:46135409:46136189:1 gene:Dexi2A01G0036670 transcript:Dexi2A01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMAAMPAAAPAAAAAAEGGSGKETENQPQQRKTVVVVGVDDSEHSYYALEWAVRHVAAGMGAAVDLVIVHAKPSASSVVNFAAGPAVGEAMMYVEADLSKMAEAVVDRARSMCMANSVFQGDPRFVLCNAADKHHADLLVVGSHGYGAIKRALLGSVSDYCAHHTCCSVMIVKRPNSKH >Dexi8A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:8A:4637973:4640847:-1 gene:Dexi8A01G0005180 transcript:Dexi8A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIHRRILPPFRRPPLPFFLPTAGAPSTGPSTSTRRPWTPRRILDPGDDAVLRWYRLFLVTCLAGLFVDPLYFYLLHTDGIAACVSMDMGIGVFVTALRTFADLFYLAHMILKFRIAFVAPSSRIFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQMIIWFVIPAVSTSSANHTNNTLSMIVLIQYIPRVYIIISLNSKIVKASGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSVERQYSCWMEVCTNEGGGTAVMPGCAMDFLDCKSRENPIRQTWHNHSAIQKQCMLPESVYDYGLFADALNLDRNGVAFVDKYLYCLWWGFRNLSSYGQNLQNSTYKGETVFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPPELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFIIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVKSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLAKELMKQEGIYYQDADEGDDVGGGGDRVVGLGGGGGGGVGGGDGTPLLAGAVGVTGGGDGVGVVGEGSSGGGGGGAHLSATLLASKFAKNTKRGAAAHQKRIDDVSTIKFPKLAKPDEPDFSLHTDDVL >Dexi7B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:7B:18229194:18230759:1 gene:Dexi7B01G0011100 transcript:Dexi7B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYADLDALRASAADVRIVTSDGQSIAAHSYVLASASPVLEKMIDRAWRGGGGGCTIRVLGVPSDAVLAFLHLLYASRVEEDVVAAHGPQLLALAHAYRVGWLKRAAEAAVAARLTPDRAADMLKLAGLLDAPRLRAACARLAAKDLAAVEASDGWRFARRHDPALEMELLQMVEDAARRRARWAKERASQEAYGQLADAMDSLDRIFASGDTAAEVPSSTSTTECEQGLRLLMRHFATCARRAAPGGCARCRRLLQLFRLHASVCDRPEHEHDQPCRVPLCSNFKARMQAEKADKTWRLLVKKVTRARAMAGLADRRVPEIVAMSWARYNSSSKWAKLR >Dexi5A01G0033620.1:cds pep primary_assembly:Fonio_CM05836:5A:35942052:35943416:-1 gene:Dexi5A01G0033620 transcript:Dexi5A01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMEEIQRKLSLLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITASVDTEVIQGRGSYEERVELLRLIVDLA >Dexi3A01G0025580.1:cds pep primary_assembly:Fonio_CM05836:3A:21302005:21302594:1 gene:Dexi3A01G0025580 transcript:Dexi3A01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGNIIEEVASAVSAEQLWKAVFATCDESALRKGLAGWVDTVKVEGDGGPGSRYTLKFNPAVCPATGLKSRLAARDNTARVICWDEVALEGGEVAPAQFKKQVVQMKVEPASAGRCVTKVAVDYERLDGAPLSPADQAKLIKGYVGLVKKVEENIVARPGVFA >Dexi5A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:5A:7511682:7517717:1 gene:Dexi5A01G0009960 transcript:Dexi5A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SISHLSLPRTTSATAITTASLSLLLSPLTPRPLPQPRREALMAALKASFPGELSAVSFIDSNRGPFGQHKVDFTFQRKGKRAISLRRTCCSMQQAPPPAWPGRAVAEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFQVVALAAGSNVTLLADQVKTFKPKLVAVRNASLVDELKEAIADCEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQVSHKMITCYCSDIFHYYALLDWPVDKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRIPILYTLSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCSAHQSELVTSPSLEEIIHYDLWARRYAASLQPSSGLSPVPV >Dexi8A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:8A:10707512:10709235:-1 gene:Dexi8A01G0008760 transcript:Dexi8A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAFHHFGTPDLPHGAPLLSTLAALRPSPATTAASLPAFLSVTTFQPITGRRPALLRIGPSIVLSTSRHSAMAQIQNFDRPAAPVTYGCKTGRAAAVRLASALAAVDDVGSCGVIVLRVLRQPTHRRELASLPSPEAHPTRVPDLVRPPLPRHLRLHPVSEEHPRGQEPAHYFADRYDLVRFVKQAGLFVHLRISPCVCAEWNFPI >DexiUA01G0018310.1:cds pep primary_assembly:Fonio_CM05836:UA:39039635:39040090:1 gene:DexiUA01G0018310 transcript:DexiUA01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSSKIRYIVWLRQTLRRWRSRAAARAAVAAETAAVVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKSSRFLTLEDLKTGALSGCCVAAAAGDSLPLLHGIAADKAVW >Dexi3A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:3A:133874:141267:1 gene:Dexi3A01G0000120 transcript:Dexi3A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLPRDSRGSLEVFNPAAASGSAAGWIRPPANSKPSSPFLLPPAAPAGDDDQQEAAVGRAAQRAAEWGLVLHTDEHTGQPQGVTTRPSGSARTSDSLVDAGTARAALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALAAGSNYCGRLLNYKKDGTPFWNLLTIAPIKDEGGRVLKFIGMQVEVSKYTEGDKDTAVRPNGLPESLIKYDEKNMLKPREDPLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQREVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERARDAAAKDGTKLVLESDGTIDLKHFRPVKPLGFGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLTEDAVRFYAAEVVTVLEYLHCQENSDKKKRRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDMRFPASIQVSLAARQLMYRLLHRDPANRLGSYEGASEIKKHPFFRGINWALVRAAVPPANLLLEKESAADGAHTDTIF >Dexi4B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:4B:6650342:6652911:-1 gene:Dexi4B01G0009260 transcript:Dexi4B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHHAPLRSLHRPLSAAAPFAVEGYLVARCGLTRAQALKASKAVSHLRSPSQPDAVVAFLSARGLSRADIAAVVAADPKILCAKVEKNLSKRVADLEELGLSRSQIARLILVSRNSIRVCSIRRNIDFLLTIYGSFDKLLQVVKMNSAILTVNPEKAFKPNLALLQQCGIAASDLSPSMSRVLTRPHKILREAVTLIDKIGVPRSSRMFHYALLSFPFQKKEKLTKKFGILEMYGWSQEDVLTAVRKMPGIVTMSDDRLRRNAEFLTRHVELEPPYIAQRPALMKYSLERRLLPRHCVLKLLKEKGLVYSELSFYFVAVMTENKFHNKFIGRHKERIPGLATIYASSFAQKAPN >Dexi4A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:4A:7743172:7744704:1 gene:Dexi4A01G0009810 transcript:Dexi4A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCCSTAAGRGFFLSRRYLPRSPLLKLRSIATFAPAAATTAISSLSAPQQRQVAVYVEALLDWNQRMNLTAVTDEAEVMTRHVADSLAVLPPLERAYRSRSVTGGGDIDGVSLIDVGSGAGLPGLILAVARPSWRFTLLESMKKRCTFLEHAVESMGLSNVDVVCDRAENVGQSLDYREAYDIAAARAVAELKVLAEYCLPLVRVGGLFIAAKGHDPREEVKNAKGAVQKLGASMLEMCNGTIFLSCFDAY >Dexi2A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:2A:14220872:14221081:-1 gene:Dexi2A01G0012220 transcript:Dexi2A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGFLGNGKVNNDDIDNARKEFTRFFAETVDIKNLPALRDLFPAARELCDEELLAAVRQASVLVDVS >Dexi3A01G0022250.1:cds pep primary_assembly:Fonio_CM05836:3A:17817371:17817697:1 gene:Dexi3A01G0022250 transcript:Dexi3A01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQARGVGIRPSKKPTTTPSGTGVWRLSRRPRLARQQEPRRGSKPPEEVGQTTNPIRQIGKVTYPCLTAENGSSPDVPVAGDEAEAVAVAAEEGHGHKLRTAELDSE >Dexi5A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:5A:1467369:1467770:1 gene:Dexi5A01G0002090 transcript:Dexi5A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDNGADVVCEHDGVGVALELAALAAFVVLLRYAAVIYANHLLATLSVDDDDGLPAAIRSGGACSGLDDGDIARLPCLVSRGGECAVCLGAVDEGEKARALPRCTHAFHARCVDAWLRLRPTCPVCRATCR >Dexi2A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:2A:26794863:26795703:1 gene:Dexi2A01G0015670 transcript:Dexi2A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNPSIIHRDVKSSNILLGRNLQAKIADMGLSRTYLSDTQTHISATAAGTAGYIDPEYYLTGRLTEGSDVYSFGVVLLEAATGEPPMVPGHGHIVQRVKQRIATTGDIGSVADSRLGGAYDVSSMWKVVDTAMACTLDAGPGDGRPTMADVVAQLKDSLALEDARENDRNVPVRALRSDDEDFLSSGPSAR >Dexi6A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:6A:7184348:7190185:1 gene:Dexi6A01G0007220 transcript:Dexi6A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETEQRSTQRWERLRKAAERNDPCDRLEHDAGDDDLEGREEALVSRWRWLKAVSMVVFSGQSYKTVKVCSLASAHPFVSQIEPPLQPEMSESRDLLAAAETDLGANRPQPATTAQVQASLSPRQAAKLRSNNPVPQVVMDVVSDLPRSTETAQVQNVLSPRHTAKLGRNNSVRDIVMDVASTNPPRSTETTAPAAQVAAVNVPTVDQMKKEQQGRCLKYSQKALSFAICTLIGYASAISLEPTDDNKGTKGTTTFKLAIAPFFVAICTDLFSLKTKAKLGNVLVYISSFHLVLMVYFIFISFNNDYAYAILFLPLVAGVSLLQQKIWPEGHRQITDEKLSKDLDSMFELSSLILNWSTFISAIMAIFRDLIKGPNEFIHFSPVGFLFLLTIILGLYLMLVTTVRTAALNLRVKYLDVLLICLLVSTLIAALIAFGKKE >Dexi9A01G0037960.1:cds pep primary_assembly:Fonio_CM05836:9A:42193060:42194924:-1 gene:Dexi9A01G0037960 transcript:Dexi9A01G0037960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTPRGRSSSRRRTRCKCKCLGGSKGDRATPCCSFNPLKSLFRCPGGRGRSRSRSKHRQRTPSRVRDVAPVAATASVPLQQGQEEEEPSFFVYAMPNKGGFGSSGGGAEHKKKKHRTKPCMPSFGSCFRRKKKERKQQQQAINNKAATAATTAVGHPRPALTPASSLLTHPPGSPASLDKTTQAATPTTPSMTQPPSPAPTENGSTISSPAPPGHQRQPPTDSAMSSPLARRMHQQQPKQVEGLEIVEVATGERLSAHELSLIEMVGSSAESSVKSSLEYANEPPVVPQPQQQPAKRAVVERETAEVVKVHQEAPKLWLNGKSAESRARERFAKPLVPVEAEELWAHDVACSRVHATMLAETAR >Dexi9A01G0046240.1:cds pep primary_assembly:Fonio_CM05836:9A:49615689:49618008:1 gene:Dexi9A01G0046240 transcript:Dexi9A01G0046240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFSKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDIQGRNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTKEDVGVKLERPAEADEAVVGEAAAE >Dexi9B01G0031740.1:cds pep primary_assembly:Fonio_CM05836:9B:34048163:34049152:-1 gene:Dexi9B01G0031740 transcript:Dexi9B01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIGGSGRIAARRKCLPSPLRSADVLRCLPDGVAFLTALSLAPPSSYVNVTSGVTAEGEGVNADFAESSACSVASTPEVTDKKSSALLDVKPWDHESDMRKLEDDVRGVKMKGLLWVWGA >Dexi1A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:1A:5903429:5906540:-1 gene:Dexi1A01G0007660 transcript:Dexi1A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGESSGERQGPAERRMLRSRYQAVKNLISDERDEMARADSDKFTAIISQVECLHELVQKPREQIADAEALFDIASTLVTSVRSQSSEGITPSDFVTALLKKFGQQGSPDDEAPSLRWGDLGLSVSHAYRPVPGCSTMLGPMNTEVKQRKIAAVSRKWTARPTENTCPEELTSDHMVFLKLQLADSSEEVKTDTDRNVLVIFDILRRKKSARLENLVLNRLSFAQTVENIFALSFLVKDGRVEINIDDNGHHIVRPRNAPAASAIASGEVSFSHFVFRFDFRDWKLMKEVVVEGEELLPHRTSQSAPCPEENDQPNLEARAQRTPIRKLTRNRGLVLQDEQVVDETPEENQTSKRRRLFRGHD >Dexi9A01G0023990.1:cds pep primary_assembly:Fonio_CM05836:9A:19707687:19715561:1 gene:Dexi9A01G0023990 transcript:Dexi9A01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMQEAHCCSQWGHDFRPDYKNLGILKIQFPSVPMIALTATATSKVQKDLIEMLHIPRCVKFVSTVNRPNLFYKVYEKSPVGKVVIDEVANFISESYPNNESGIVYCFSRKECEQVAKELRDRGILAEHYHADMEIEAREKIHTRRVVGEAGMDYLQNVFCIIGPAMFRGRQSSMVFYENCGLQNLYDIVRYCQSKSCRHGAFFRHFGEALQDCNGMCDNCASSIEIKEIDATRHSNHDVDLKREEIEKLIVQLIIDHVLKEEFQHTAYSTNAYVTIGPLWKAALQGNRPVKLEIAVSSSEGRGGDGRCKGTKRSRMSDLEAKLDELRRELSLSSSNGGISIFPHAVLSTEQILLLSCQKPTTEAELEKVIGKVKTEKYGGRIIELMRSHDAGARNGDDASKRHKKEKDVVCLESSDEE >Dexi1B01G0029460.1:cds pep primary_assembly:Fonio_CM05836:1B:33767724:33768474:-1 gene:Dexi1B01G0029460 transcript:Dexi1B01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSMLIVLLVAFAVAVPPSMAARDVAAAKAAEAPSPSGSGGDDVLYPTDIFGDIIGEIGKDIGQIGKDIGELPDLPLPRILPCPPAFPKIPLIPCHNVTMAPLPPVAACRPGLAKYMAPCAGFLTGGEPSSPPKNCCDVVAPFFQDVTTTPFCLCHFVNGDDGKVFQAPVNHTRASNFLNQCGYGLTSDDVSRICRRNEPASADGCSESCTSTEPWSK >Dexi5A01G0025580.1:cds pep primary_assembly:Fonio_CM05836:5A:29392889:29394454:1 gene:Dexi5A01G0025580 transcript:Dexi5A01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPQLLLCSVLFLLPAAVLLLTHRAQGNQRSRLPPGPPSLPLLGSVVWLTNSPSEIEPLLWRLVERHGPVVALRAGPRLSVFVADRRLAHAALVESGAALADRPPLTSAALLGESDNTVTRAGYGPVWRLLRRNLVAETLHPSRVKLFAPARAWARRVLAEKLGEPGPGAAPAAPRVVETFHYAMFCLLVLMCFGERLDEPAVRAIAAAQRELLVYLAKNMPVFALFPAAVTKHLLRSRLDKLRELKRRVKELLLPLINARRENKKLGGESKKETMFEHSYVDTLLDIRLHEDGDRPLTDDEIIIQCSEFLDAGTDTTSTELEWIMAELVKNPSIQEKLYNEIKATIDDDKEEVSEEDLHKMPYLKAVILEGLRKHPPAHFVLPHKAAEDMEIGGYLIPKGTTVNFMVAQMSRDEREWKNPMEFSPERFLPGGDGEGVDVTGTKAIRMMPFGVGRRICAGLGIAMLHLEYFVANMVREFEWQEVAGDEVDFAEKNEFTVVMKKPLRPRLVPRRPQSTSTH >Dexi1A01G0030180.1:cds pep primary_assembly:Fonio_CM05836:1A:35505039:35505318:-1 gene:Dexi1A01G0030180 transcript:Dexi1A01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEMSWERMKGKVRWFNATKGTGFVTPDGGNEYLFFHESSLKSGGSHGLKVDDSVEFEF >Dexi1B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:1B:19773695:19774236:1 gene:Dexi1B01G0013770 transcript:Dexi1B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSIINKAFDYLKDNKKDAGLKSTKKRLEVLVPQIQVVFDAVDADQIRNQSEALDAWLWQLRDAVEEAEDALDELEYYRLDEKVKMRDNKTSGGFQEPARNKLTASQYGTWQKGGTEGFS >Dexi5B01G0035930.1:cds pep primary_assembly:Fonio_CM05836:5B:35792256:35797209:-1 gene:Dexi5B01G0035930 transcript:Dexi5B01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGCGICLVLALGWAAASIVRKRVIVNMRRKHVDGNSFAFLCDDIDELEHSVQESLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLISEYKDNLEAKVIVAGFSTTCSQKIHNQLVGVEKMHKDTKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMVSLLNGICNWWEDFLLVGWLYDGIMHADDFRQDRYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFTSHCYLSWGFVWPYIMALVHVLTALRAPYSKIVKEASDSSWGLYLVGLLFICTLIELISMWNLTKVEIQLCNMLSPEGPKVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENSSKYTDLGGKHLYGKRTYPSNKSLLGYLSRTLAQWHQPKKYDV >Dexi6B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:6B:18637175:18637408:-1 gene:Dexi6B01G0011400 transcript:Dexi6B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLGRPSRGEALGRPWRGEALRQSPPWHRAEKSGGGKLNDGPAVAPCREERRRKLDEEKNGVQRRDDADVLSSWG >Dexi7A01G0021770.1:cds pep primary_assembly:Fonio_CM05836:7A:30299739:30301985:1 gene:Dexi7A01G0021770 transcript:Dexi7A01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRPEALAPAMASGSRRRQGPSGGLAGADAASGGGGGRRPRGWRTVAFVVGVFAAIYMSTNAFSFSLTFYLYDRYHMLPNAATNLNNVFTGTFNFSPVIGAFQVQNPAVRNGRRNNVFTGTFNFSPVIGAFVADALWGRFRTLLFGTVVGVVGMVVITLSASLHQLKPAPCSPLDQQAGTCPGPTSLQRAVLYIGMGLLVVSAGGTNPTSLPFGADQFDESNERHKAGLERFYNWYYAIATLATFLALTVLVYVQMKVSWGLGFAIPTVLMAVALAVFLAGAAVYVYVPPEGSIFSSVARVFVASFRKWRLRLPHPDDARRQEEALYTGPPVTSTRGRVHRLPLTLQLSFLNKAAIVTEADEVRPDGSPARPWSLCSVQQVEEAKCLVKIIPVWISGTLWFTAVAELTNYTLIQAITMDLHIGKHFSIPEVSIIAVFYLAVALTVPVYDLLIARAARGVTKGGRGITLLQRQGAGLVIGALAFVVAAAVERRRRRSALESGVGTTSLSVFLLAPQLAVMGVSGAFSLVGQTEFYNTQFPDQMRTLANAAFYCAQGVSSYLATLVVNIVNARTRGGSTPGGWVPDDINAGRIDNFYYVMAVLTAANFVYFLVCAHFYQYKDEQAADSPATDRLEPEPAEGSSGRTSDSDAALLMKT >Dexi9A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:9A:2941402:2941920:1 gene:Dexi9A01G0005290 transcript:Dexi9A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLVTASLLPPCRAAAASASARVLRSRAHHAAAPPSAGRAGVRCLASATSPPPPPEFPPGKTPAEVPGTGRPPAEVPGTERPPQEVPSIDTPPEFEAPPGVDVPMPGAPGPGPELPGPAMPSPPTPEIPTVPPNPDVPPPRPPEVDPPGAPPEVGPPQPPGATTVPPPLF >Dexi1A01G0028470.1:cds pep primary_assembly:Fonio_CM05836:1A:34090581:34092472:-1 gene:Dexi1A01G0028470 transcript:Dexi1A01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVSTTASARATAAPYAPLRRVTAVQLRRRLPARGWRCSSAAVPDPVPSEEPAFASSTVVVTDKPDSPADEEVEEVSVEEISAAPSGSEEAPVAVAEAEKVEEVSATPSGSAEAPVAVAEVVSSEPSPSPDDLGLDDILSKLNLNIEVTPTLILTASSGFVVLWVLSSIVFAVDSVPPLRKFLELVGTGYSIWFTARYLIFKESRDDLFAKFEDLKQRII >Dexi9A01G0029910.1:cds pep primary_assembly:Fonio_CM05836:9A:34773322:34776010:1 gene:Dexi9A01G0029910 transcript:Dexi9A01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGDIDNKGKDEAAKSLPMRRLREIDEMGKGDCSIEVVERQKRMKETDADPDDKEMEVVVSEDEELSDFDEEEAKRWYEEEYLVFKRNQEQELVQECLREPDRLQDRLAYQAKMYRENWNPRYGSFDKKKSIFNTDRPYSIGQSVLLLETANDQVPLSGDEIILARQVVSVESHGKLNVLGSISNSQVVYTDSKDFKPLKMGTSTDYLHLGCNTLGVTIFWSCFEF >Dexi5A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:5A:19566420:19568842:-1 gene:Dexi5A01G0016870 transcript:Dexi5A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLYLIVLATSSFATPATGDGEASPEIELEPFAICNATKPWHYYLPNSSFEANLAKISAAFPSVASANGGFAKGPVGAAPDTVYGLALCRGDTDGDSCKTCIEKAFQDAQSSCGYGKDAAVYHDRCHVRISDSDFLAPNTNEPTRDMWNPSNITEPSTFLGLEWDAEEDSESVALVIGGLVSAFLRETAKFAADNTPGRFATAAMDIGGLELYSMAQCTPDLLTPVCVQCLEDIIIRGTPASFKTRQGRRFLGVRCSFRYESYSFYEGKPMWIFGPTPYQGRTA >Dexi6A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:6A:28285027:28285972:1 gene:Dexi6A01G0020890 transcript:Dexi6A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNDASVEEQLQSLKAAVSSPLATVETMVDGLAKLGSIYGLINELTCLPSSQRLQRKAVEDELERSVVLLDLCNVMQESLLELKATVQEMQLLLKRGDNAAVQAKVQSYARAAKKAQKQFKKINGQAAPDMEGCRMVKLLAEAREITVSMLESTWHLLSKQVAATSASKWSLVSKPFQKKKIVCEEEQLQLYHEMVTV >Dexi3A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:3A:10268366:10268749:-1 gene:Dexi3A01G0014030 transcript:Dexi3A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAWRKPSWLAVALLVALLAVSMERTVAKEEAASAPAADAPAAAAVADEEAMIAADEEAMADDGDDGAPAPAPAMAGEEEDDAPMTFGAKAKAGLHNMMEGLSASKAKLECKVLGSCPDSDAAGEL >Dexi1A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:1A:7429644:7430151:-1 gene:Dexi1A01G0009160 transcript:Dexi1A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEQKLQVNEALLGQSANEPALENHDGRWPSLLTVAGFMFLTFNSVMAVYRSNGDVKAISFVVFSYLDLVTLFYFLRQFERTPPDSPRREHIKMIMWLLTTMLTAAFSYKVAEIMPLPVQVLVWAMASENGIKQEHIDPN >Dexi9A01G0033720.1:cds pep primary_assembly:Fonio_CM05836:9A:38582969:38585142:1 gene:Dexi9A01G0033720 transcript:Dexi9A01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGDVPTTALLSSPPPPWSAIRRRLVSTPSLPDGACWRAHAGMAFVQLAYSGYHVLTKSVLNAGRNQIVFCVYRDLVALAVLAPVAFLRERGVRPPVTPQLLGSFALLGFTGLFVNPLLFLLGLRYTNASYAAAFEPSVPVFAFLLAVIARVEGINISTKHGILKVVGTAVCVSGAVLMALYRGTSLISLGGTDPADASTAESLTSTVLDFGAIDAWHLGVLCLIGHCILVGAYLVIQVSVIIRYPASLSLTAYSYFFATMFMVLTGAFATNGLHEWAVTKTEIVAVLYAALS >Dexi7B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:7B:19105153:19109018:1 gene:Dexi7B01G0012300 transcript:Dexi7B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRRSTMVRPARETPRQRLWNSNLDLVVPRFHTPSVYFYRRGGGGEVEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEAEAPDAAVDDYGDFAPTMELKRLIPAVDYTDDISAFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGAQISVMPFIDRTLLRARDPPTPSFQHIEYQPAPAMLSSTPQSLTSKSKPPATAVDIFKLTRSDLGRLRSQLPTGEGAPRFSTYAMLAAHVWKCVSLARGLPSEQPTKLYCATDGRQRLQPPLPDGYFGNVIFTATPLAEAGKVTSGLEEGAAVIQGALDRMDNDYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANGDGSLFIAISLQAEHMEKFRKLIYE >Dexi3B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:3B:3264108:3264659:-1 gene:Dexi3B01G0004870 transcript:Dexi3B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQRQQQDQARPAGSACVWVVAALLLLAVLAGGGCLVLYLTLPPAEVPHWLPAAGLALVALPWAFWIATCAYRCCCSSDAAAEGGAPPPAVARQPSSRKAAVAPAPSSKSIRGPRSARHAAGDGASPASGSPTASSAARRVRFGDTTVLGEDKDDDDGGGSSVHSNENEEAPLAYKMQPSS >Dexi6A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:6A:8497710:8497958:-1 gene:Dexi6A01G0008220 transcript:Dexi6A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLPTRDQLGLDVELADASYRTFYVLHDPSATSHQHTIDNTSLQLAAIAAVPSLQQARTYGCLPDGHRRLCCAAVERISL >Dexi5B01G0039860.1:cds pep primary_assembly:Fonio_CM05836:5B:38527156:38528935:1 gene:Dexi5B01G0039860 transcript:Dexi5B01G0039860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVFTLDEVAKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >Dexi9B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:9B:5241381:5242053:1 gene:Dexi9B01G0008570 transcript:Dexi9B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPGRIECPEPPEQPEPANPGRVFDTERVATPRDATESVTEQLAHGGNRGAVDETYDTSVKIAEALEGSARAIGDKPVEPSDAAAIHAAEASAVGGGGAAMIPGGVAEQAQAAAASNASAVRGEDMVTMADVLTVTMPFPSLRGDDKAADGSEVAAAAAEAEAANEPRGKTNPRGVSAALDMAAKHNSEHDRAT >Dexi6B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:6B:21310105:21315535:-1 gene:Dexi6B01G0013600 transcript:Dexi6B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAPLGTLNLSEYAPAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPGSAHGTGETDNNQMYRGDIYMVFEYMDHDLKKVLHHSPPSQVKIYMSQLLKGLHYCHVNNVLHRDIKGANLLISGSKLLKLADFGLARPFTREGTLTNHVITLWYRFDYRAVDLIERMLILNPTQRISAHDALDAAYFID >Dexi6A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:6A:24176779:24178849:1 gene:Dexi6A01G0016300 transcript:Dexi6A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSAEGAHHTNPEKIKLVTVLSIDGGGVRGIIPAVILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPGKNGRPLFDAKDLAQFYIDHSPKIFPQKNWILSKIFNTLRMVRGPKYDGKYLHALLRQYLGNIMLDNTLTNVVIPTFDIAFLQPTIFSSFELKHRPLKNALLSDITIGTSAAPTFFPAHYFETKDDQGNTRAFNLVDGGLAVNNPTLLAMSQVSKDIILGDEDFFPVTPVDYGKFMVISLGCGSTRRSRGAYSAKEAAKWGIFNWLVKDGTAPVIDMFNAASGDMVDIHLCVLFRALHCKQNYLRIQARLSLSAKPFFFVPFNIVLHAYDQLTGSAGSMDDCSKGNMDNLVKIGKELLSKNVSRVDLETGRNVDVPGEGTNAEQLARFAKKLSDERRRRQKLPKD >Dexi4A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:4A:20979828:20981214:-1 gene:Dexi4A01G0017220 transcript:Dexi4A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAPVARRSAARASTATTAAVAVLAVSLSLPLMLIAVPFGASAQELDDEADFSYVWDAGNGPARWGAIRREWAACSVGRLQSPIGLTDTVAGLVDSPGHLGRSYRPAAASVVNRGHDIMMRFNSNPGGVVIDGVAYRLRQMHWHSPSEHTINGRRYALELHMLHQSGESNRFAVVAQLYKISPTHRDRTIHRLERYIRRIARRRNHEELIDEVVDPRLALGRSTVYYRYTGSFTSPPCTEGVTWVVGHQVRRVTRRQVRLLRNAVDDGARRNARPLQEANGRSIAFYYASPAHGRGSTGN >Dexi8A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:8A:26385725:26387384:1 gene:Dexi8A01G0015310 transcript:Dexi8A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPLPPPSNAKYITVLSIDGGGVRGIIPATILVQLEAELRELDGPEARIADYFDVIAGTSTGGLIAAMLATPPDKEKKRKQFDALAIKEFYKENTKNIFPPDLWHKFLELDSWLISGPKYDGNYLHDEIDAVSGYVMVADTVTNIVVPAFDLKYMHPVIFNTFEAKNEADKNVLLRDVCIGSSAAPTYLPPHHFTTKGSDGTLREFNLIDGGVAANNPTMIAMSMLTKEMLRVRQALAEDGKHKHLNGMNGGAATTSNPTIAAMAALTRHSDESSTDYKNRDGIVNPLIDIFSQASTDLVDIHAAVLFEDLGCKNNYLRIQTDTLPKKSSAMDDASDENILFLGKTAEKLLDQPVARVNIDTGKYEPVEDEGTNREALLAFAKKLSNERKYRKGIIDSYQ >Dexi8A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:8A:26520745:26523741:1 gene:Dexi8A01G0015410 transcript:Dexi8A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQTLETPGPASASASIKKKHSKKQKTVDGEDTSSKTLEPPPPMADDETPATPAPASPSTTKKKKHSKKRKAMDDTTEAEAAAAEVSINVTVDASLTGSRTAGAAPVVAYFPTGYDPLATATAEGDESAPQARLFRHEKHPTWVDLVVGSSKKGPDFVGRSYAGEAATPQLCEYALGVLDKASGTLRVVPIAANKILRLEPHLEVQQPAHSQRSEVASEAGSVAGNDELKVQDLTMMYGTKADRDKDNKWRSLNAQRNDPSAYVDIDLGTSDVNTSDNHESVIVRNIPPYDPTADTSEKAYLLDEIIPKNVRQHLLQILDHLESGEISSKGYGSFVLNRVHKLQDLEGQDKERLAWILSYIQHLLSLYERNGSMSKRHNRKDRKDSQVKHGPGTPQAVYRNLLITFTEPGSSAMSSEKNELMINYILVLTLFADDFRSDPNDICADLKMSRQMLKPYYDQLGCKSVSEGAFKSSFMTLPAPLKFPQDVTRRKRRR >DexiUA01G0002020.1:cds pep primary_assembly:Fonio_CM05836:UA:4893724:4901958:-1 gene:DexiUA01G0002020 transcript:DexiUA01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQWAMAPPPPPPQYFQAGHPPPPPPQFYQAGPPPPAMWGQPPPQAAPAPAPSGGGGGDDARTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVSYSSFHSSAATYQNTQGTDSDNDPSNTTVFVGGLDPSVTDELLRQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQNIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPAMYAYTAYPGYGNYQQQPPQQPPPQQVKLENQ >Dexi1A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:1A:6831746:6833051:1 gene:Dexi1A01G0008690 transcript:Dexi1A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDADDVNVVAAGGLESGDLRRLPKPPVLRIEDKWVNTFAVLGSNVIGMSPGLRNTYDSRSDGDTLTFDTKTGDLALLPDLPNGLRQNSTVLAISAGDDRLYVIEDGTVYYDDNEFGMGGLHCLKLQQDDDDDTVAHGYRKYEHRWSWCRASSYLYSSTWWFWSCDPKEIPLTPDGITAHAVHPAGRAFFVSVHCYHVKDHRGRGTFSYDTEHGYWTCHGDWELPFVGQAHYDQGLNAWVGLHVQRDEHRGFIPDGHICACEVPSLNGLAEPDWKLGTEKLFLEEPERHLDAKLVAIGGGGRFCLVEIMTMPGVDREECVGDGEKCVLRLTVFRVKYDDDGELTITDRRPARSFRMSKYKDCSVVVLYF >Dexi2A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:2A:1660453:1661559:-1 gene:Dexi2A01G0002160 transcript:Dexi2A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAELIGDVIGEILLRLPPDEPEHLFRAALVCKLWLRVLCGPDFRRRYRAFHGTPPLLGLLHKRQGMQGSAVDRFVSTTSMPDFPRPSSNGPHGRVLIQMWAAEPTRLVFLIWDPVTGDRRVVPMPDFGWLGYTAAVSCAADSCDHLDCHGGPFRVVVVATDGRTLRVSASMYSSETGVWSTKVTLGSDCECYSQHVNDAIRGGTFVTPSRAAVIGDETYCTLEQSHAIIKYDGDNNGLSMINPPPCNAYEISLMVMDESSLGFVFIEGSKLYLWSWKVNSEVPAEWVQFRVIKLETMLPVVNLRKAPFVVGSAEGVGAIFISTDAGLFTTELKSGRVRKVDKPAVYFSVLPYMSFCTPGRILN >Dexi3B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:3B:5284630:5284920:-1 gene:Dexi3B01G0007410 transcript:Dexi3B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPDDPESETLAQSPPCLNPREERGAGGGGGPTSSPAVYHHPPALDEHESSEKRRGSCRACADSGKEKEGRTWRKLVAGERAGATRSAVAKRNE >DexiUA01G0011620.1:cds pep primary_assembly:Fonio_CM05836:UA:23184069:23186072:-1 gene:DexiUA01G0011620 transcript:DexiUA01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFALQRTVFIEINCSFPKVLIEGPHGLTSQDYKKYDIVLLISLGIGVTAMISIIRDIMNNNIKNLESDLESGDTSNNPVSSSFHTRRAYFCWINHEEASFEWFRRVIDEVAEIDMHGTIEFRNYCTSIYEKGEARSAVIAVLQSLKYAKNGVDIISGSRGKTHFATPNLYNVYNRIARKHPNQRVGVFYCGAPVLANELRVLAQDFSRKTTTKFEFHKECF >Dexi2B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:2B:1614095:1614553:-1 gene:Dexi2B01G0002060 transcript:Dexi2B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKIAAVLLILAAALLLAPSSSAFAGGQPNNPGGGGPGFFGAGIPWFGGGGGPGPGGFFAAAGGGGGGGSGPGAGGLFGGWGEGGGGYMRGAVVPPSVVSFSYQSAHGGGGGGGGGCSFDCSTRCAATC >Dexi7B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:7B:19225931:19227981:-1 gene:Dexi7B01G0012440 transcript:Dexi7B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRKSLEVCGGLITDAGVKNIKDLKALTLLNISQNNNLTDKTLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESSRVTATEIKKLQLDALPNLISVRPE >Dexi8B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:8B:22160510:22164216:1 gene:Dexi8B01G0012810 transcript:Dexi8B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVEANGSTRTLILNRPKQLNALSSAMIKGLLRCFRTYEKDDGVKLLIMKGKGRAFCAGGDVTVCIQSIHNGKQGYTDRQRSKPKVDASKVGTSARRGG >Dexi1B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:1B:7660853:7662469:-1 gene:Dexi1B01G0008820 transcript:Dexi1B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQWSRPGSFSFLVVAAAFLASAAVSSAGIADFDEHWQKRKELAEASAMASYKPDPYNVTNTFNAAVHMASTDGLRREMGERKKKRSGGPCRATNPIDKCWRCRRDWATDRQRLARCARGFGRRATGGLGGKIYVVTDPTDADVVNPRPGTLRWGAIQTGPLWITFARSMIIQLTQELLVSSDKTIDGRGAQVHIANGGGITVQFAHNVIIHGLHVHDVKHTMGGMMRDSPTHMGPRTKADGDGISLFGATDVWIDHISMSNCEDGLIDVVQSSTGVTISNCHFTNHNDVMLFGASDSYPQDQVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSNAPTIISQGNRYIAPPNMAAKVQITKHYADEGVWKNWVWHTEDDLFMNGAVFQPSGGAIPRKIKKSEWVKPKPGSYVTRLTRNSGTLSCSPGRPC >Dexi7B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:7B:24192464:24193906:-1 gene:Dexi7B01G0018440 transcript:Dexi7B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAPSPRPSAGEGAQPEERSRPRTRSALRGALGVAFPIVASFLFSFLVGLAGLALGGLSSTASVSIPSTCRILSTAKHVFYPSTKRRFRCHDDYYWASVFQVEYTEYFSGQVSYAVAETPKEALPHNCRPDFGAAWSTTSKFKVYAR >Dexi7B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:7B:26047385:26052952:-1 gene:Dexi7B01G0020790 transcript:Dexi7B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLFCITCSFVLFYTFLGDNENLFWQLRPHLIGIGKYSTVNLTLAMEAKWSHLLNLLLLLLSPIAFAAGVADTLGKGGNITGDETLVSANGTFTMGFFSFGASNNKRYYLGIWFSVSPHDAIFWVANSERPLSDKTGTLVLSDTGSLLLRDGSGQVVWSSNSTIASSSSVEAQLLETGNLVVRDQGNGGVLLWQSFDHPSNTLLSGMKVGKNLWNGAEWYLTSWCSPEDPSPGAYRRKLDTSGLPDFLLLQGNATIYRSGPWNGVSFSGAPEVLGYTELVTRSMTISPGEISYGYTSKPGTALTRLVVTDDGKVNRLVWYNSSHEWGSIFEGPRDPCDEYGKCGAFGLCDPSLVLSLFCSCLRGFSNPTSSSSAWSRNASGGCRRNVGLWCSNGGTTTDGFVVVKKVKLPDTHNATVDMDINMKECRRRCRANCSCVAYAAADIRGGSVPGGCVMWMDNILDLRYVDQGQDLYLRLAKSELDKEFPLVAVVAPVASTVAALGLVVLIWWRIKTKHSASDGPRSPTMGDCSFDLTTVKMATGDFSQSHVIGEGGFAVVYKGEFPDGRIVAVKRLKQSALTDKGKRDFSREVDVMANVRHGKLLRLLAYCNEGSERILIYAFMPNKSLDLYIFGEPSDRARLNWRHRLDIIHGVAHGVAYLHQGSDESVVHRDLKPSNVLLDDNWKPKIADFNTAKLFINDQPDQSDLTIVVSPGYASPEYLQGDMTLKCDVYSFGVILLETLSGQRNGPTKGVISNAREFWQQDRAMALLDPTVPLPLSPPDSEISSELE >Dexi6A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:6A:27585096:27586783:1 gene:Dexi6A01G0020020 transcript:Dexi6A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPTPTPPPPLTTTPRRRHAAAAAVAAAEWAAVSSSSAASGDFRVEALGKHQLMRRTGLPARDLRALDPALSHPSSVMGRDRAVVVNLDRVRAVITASEVLVPGPRDPAVAPLVAELHARLSAAAAATASPREEDGKALPFEFRAIEVCIEFACKSLDHETSLLEKEAYPALDALTSKVSTLNLEHVRQIKSRLVAISGGVHKVRDELEHLLDDDADMAAMHLSEKLAFQAAAGQSSRFDIEEEPGEFDQERNNDDDESAEREEDDDEAEGSLSFSPKIDELENLLEAYFVQIDGTLNKLSTLREYVEDTEDYINIMLDDKQNQLLQMGVVLSTATLMISAAIVVTAVFGMNVHIALFLTNNTNIFWVANAGMLGGAAALFVVAIAYYRKNGILR >Dexi1B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:1B:24041198:24041605:-1 gene:Dexi1B01G0017720 transcript:Dexi1B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPALIPASTLLPVPATSHEDELLLAMAESDLEDKLNEIRKTNSNLVFIGKPTGDTKEEYDAEVEEEDADNVEESDGDDFDQETG >Dexi9B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:9B:3660732:3661317:1 gene:Dexi9B01G0006170 transcript:Dexi9B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMLLGRIQSAGRLSGGHGRLQQLSFSGGRPLPPAGDRWVCSSASGQIKPETRITENGPHLDRFSDPVVAHEDRKFIQFLDNMLDAIRNPQSLAQIQRGRLANGLKALDDDI >Dexi2B01G0034170.1:cds pep primary_assembly:Fonio_CM05836:2B:41473106:41475712:1 gene:Dexi2B01G0034170 transcript:Dexi2B01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKLTVQVAVLAAAAHVLLLLTLPTAQCYWLNPEIYDSGGLSRRAFPEGFVFGTAASAYQVEGMANKGGRGPSIWDAFIQEPGKSQRIIPDNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGTVNQEGVDYYNRLIDYMIQQGITPYANLYHYDLPLALHKQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVSALGYDNGIHAPGRCSACPAGGNSTTESYIVSHHLLLSHAAAVKRYRDKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIINGRYPYSMQEIVKDRLPLFSDEESRMVKGSIDYVGINHYTSYYIKDPGTWNLLPVSYQDDWHVDFVYERNGVPIGTHANSYWLYIVPWGINKAVNYVKETYGNPTMILAENGMDQPGDISITKGVHDTIRIRYYRDYITELKKAIDDGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRS >Dexi3B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:3B:2002862:2006127:-1 gene:Dexi3B01G0003060 transcript:Dexi3B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVNSRKRPVAGGGGGVDGAATDLQKLYRSYRTRRKLADSAVVAEELWWQALNFARLNHSTISFFDEPRPKTVTSHWNRVSLKASKVGQGLSKDSKALKLAFQHWIEAIDPRHRSGHNLQIYYDFWCESQAGQPFFYWLDVGDGKDVDLPECPRTLLRKQCIKYLGPVRNQERELYEYIINEGKVIHKQSGEPLDTGLGPEGAKWIFVMSTSRRLYAGKKEKGVFQHSSFLAGGATLAAGKFTVENGVIKSIWAYSGHYKPSKENLDNFMKFLEDSGVNLKEVKARPFTEEDPCDDPVPDDTQYIADDTIPPRTIVSPNTTEGDEVKNDAPTEQAKLTYQRTLSGGLHSPKAMDVPRKAILERIKSKSESKSYQLGHKLSLKWSTGAGPRIGCVKDYPAELRVQAMEMVDLSPRASTTPHAAALVQTQDY >Dexi9B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:9B:3009724:3014806:-1 gene:Dexi9B01G0005100 transcript:Dexi9B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcriptioon factor, High-temperature stress tolerance, Tolerance to environmental stresse [Source: Projected from Oryza sativa (Os03g0795900)] MRAGVPSRGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPNSSPNQQSLGSYLEVGHFGYDEEIDQLKRDKQLLMSEVVKLRQEQQNTKTDLQALEEKLKDTEQKQQQMMAFMARVMQNPEFMRQLASQHEMRKGLEDAISKKRRRRIDHGPEADSMGNGSSLEQGPHAVFEQQEPVESLANGVPSDLESSSVEAKGFEVQQGVSSGGSEHLKGRPSGELNDDFWEDLLHEGGLGAEGALNLARGGPAPAELTCDGCGRWSSPAVEPTTGGAHTRQSAELARCGPVVGACTRRGSIAVVGGRHRRLPLCLVGSSTLQPPVSPQRARVAASQPRLRAPPRWRPLSFPGARAPPHRQPLPFPAPELHLVGSYTPLGSSTTG >Dexi1A01G0024770.1:cds pep primary_assembly:Fonio_CM05836:1A:31205405:31207376:1 gene:Dexi1A01G0024770 transcript:Dexi1A01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNRNLSRGEGRRLGNVALIAFMLGSLLLLSLVRARFSPIGKPGEAIEAEEQQAMRKGSVKMETLEAADDTAASAAEEEEEETQPKPTDNSGNSGGGVGGGSSTALAAGDAATDHHLTPSKPVCYESSRRSDTCEAAGDVRVQGRLQTIQISPLEKEWKVKPYCRKHDAFALSHVKEWALRPLSGDAPGCTINSSATAFVLSTGGFTGNLFHDYTDVLIPAFITANRYAGEVQFLVSSFKSWWTNKYIQIFQQLSKHEVIDIDNDDEVRCYRSVVVGSTFHKELGVDASTAPPGSSMVDFRAMLRSAFGLERATATPSGDRWDIRRRPRLLIISRRSSRRFVNERAMVDMAMSLGFDVRVGDPEISTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPSADMEIHYLEYMIQLDETTLSEQYPKDDPVLKDPNSIHKQGWNALKTVYLDKQNVKPHLGRLKNTFQEALKLLPHGQNT >Dexi8B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:8B:8236299:8237539:-1 gene:Dexi8B01G0007050 transcript:Dexi8B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRDGANTPARSWSSPSDLSRVEYQLPNLVVGAITKESIYGAFENGITAEQIRLWETDRNRVEEWFEQCCDHARDHGYLLWEDSRRMRLIVRGEFHSEMREFLRRQR >DexiUA01G0012870.1:cds pep primary_assembly:Fonio_CM05836:UA:26690592:26691308:1 gene:DexiUA01G0012870 transcript:DexiUA01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVKEEIPEENTVGEAVTEYERRRQENIRRNEAILAELRRDAATVSAAYAPARRKRQPRTNPEGGPAGAPRRSGRARRQPPPSASVGVSLPSELLRRRPARLPISEAYVGEAATVEDPSAPLTHAIRAGSWPAPEAKPRAAEVGLDPSSSEGAMLLRLEPWNVRKLTPTVMTAAPRVLPLADRTVVAVGTDFGHLMFWDATSSSRALADGEGMFWYPPHTHKLCPGSPRIPLFPSR >Dexi3A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:3A:6765852:6766384:-1 gene:Dexi3A01G0009650 transcript:Dexi3A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGSWVRVQQASQVSRQLSPALPRLVPAGSFPFGRPASSSGEELKKGSSSRSTEAAMGSETFLEILLAILLPPLGVFLRYGIGVEFWICLVLTILGYIPGIIYAIYVLVA >Dexi9B01G0049100.1:cds pep primary_assembly:Fonio_CM05836:9B:47892152:47894774:-1 gene:Dexi9B01G0049100 transcript:Dexi9B01G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDVKACGGGGGEGSRRRMMMAEWAARRRQLACEQMVLTTLERRDRESELLALARLHAVSSFLHGGAGAGAGDEARTRPEELLGESERQRVRSARERVLRMQQQQQGMEQQDVVVRRMAMERRRELQGLSNHRAVSAFAHRARIQSFLRGRFFRSGYDERPSSMAARESGQSHPVSTLLRRSVSNLLSSGFRESLDQLIIRSYVERQEHDPDDDWDFEEQRPTTDYDEKRKKSVWQDWDAIHVLRDELSGIQRGMTSMQQMLEACMEMQIELQRSIKQEVSAALNRSLTMRDGETLDLDGSQWKLARKGTCCICCDNQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVEVIRAYCIM >Dexi3B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:3B:716356:716761:-1 gene:Dexi3B01G0000860 transcript:Dexi3B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESAWKLLIANFSEFQLATVITFLLHETVFFLSGLPSLLFDRFGLFAKYKIQEYILINSNISNNY >DexiUA01G0013940.1:cds pep primary_assembly:Fonio_CM05836:UA:29335121:29346694:-1 gene:DexiUA01G0013940 transcript:DexiUA01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGKLQDLVLSEANALVAVNGDIRSLQDKLMWMQAFLRHADQRRRNTYDELIRVLIKQIRDTAIDAEDAIDLFVLKVDLSRWYYQPWQTKPGSRTFHGKKELHLSDRIDTRSAYTFEAKCVVHTLKEYSKLSKARIEDFFCRLEYKVDSATFMPDQLSVYCICEMPYNPDIPMISCPGCKESCAL >Dexi5B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:5B:5587644:5588470:-1 gene:Dexi5B01G0008290 transcript:Dexi5B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITVPSAGEFLVVRQPRPPSPPEEEDYRRFVDSDLYDLPSAPLKPLAGEARSEVAIAAADSVAHLDLSRLDVSAALDQIFDQFGLPDGMRGEWRLLKYVEEAEFGPDAGVNTVFVIGSLEAKLDALPAIA >Dexi1B01G0024100.1:cds pep primary_assembly:Fonio_CM05836:1B:29552200:29552883:-1 gene:Dexi1B01G0024100 transcript:Dexi1B01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFPDKPARRPSIPDITSARKVRPEAAPQLTNHLPDETAATPRALSLAENHAHRQFLIPVRGGLTRPGVRHRPCPCTCPDRPIARDRCAGRRAPSGESRPPPPPHREKESRSGGKHVGISQQSGTSERGGPR >Dexi7B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:7B:21578571:21580234:1 gene:Dexi7B01G0015530 transcript:Dexi7B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSLLQRLASWCPWLLRDEPKQPVKVLVTGAAGQIGYAIVPMIARGLMLGPDQPVVLHMLDIPAMADALNGVRMELVDAALPLLRGVVATSDEAEAFRCVNFAVLIGGWPRKEGMERNDLIAKNVLVVANPANTNALVLKENAVVWGNHSSTQFPDASHATVRTEHGEKPVRELVADEKWLREEFVSIVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPDGIFYSFPVTCEKGEWSIVQGLQVDDFARSKMELSANELDEERSMAYEFVST >Dexi9A01G0037900.1:cds pep primary_assembly:Fonio_CM05836:9A:42139492:42152300:1 gene:Dexi9A01G0037900 transcript:Dexi9A01G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAGFPARLAATRPWAATAAATRVGVAGAEARSPLFRAVRCGRVPVPVKRVCFCSDDASGSGSEAEAAEGAEAEGGEAGEGENASSAIAPASLRPEDCHTVIALPLLQRPLFPGFYMPVYVKDPKLLQALVENSKRSGPYAGAFLVKDDEGTDPNIVSNSESESSIHDLKGKELLKRLHDVGTLAQITRIQGDLVVLVGRHRVRITDMVAEDPLTVKIDHLKELPYDKDDDVIKATSFEVISTLRDVLKSNSLWKDQVQTYTQHMGDFNYPRLADFGAAISGANKLLCQEVLEELDVCKRLKLTLELVKKELEISKLQESIAKTIEEKITGEQRRYLLNALLKAIKKELGLETDDKTALSEKFRERIEAKKDKCPPDVLQVIEEELTKLQLLEASSSEFSVTRNYLDWLTVLPWGDYSDENFDVHHAQCILDEDHYGLADVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALSRKFYRFSVGGLADVAEIKLGRGHWGDPASALLELLDPEQNANFLDHYLDVPIDLSKTHVNLTSYYYASRIPNLLTGPCEPLPHRPGLPRHGVEPQAPSPRPPNLHWEVAQAVEAEPVVKEAGLGRCAAGRRGRRWRRGQRSHRLPGSRLPGEGPSRGRRRGRAALPTGRGVGRAVPEGTLGGWSLVPEWLPVRVGCGDQKELGGERGSHRKQHFRHVHGRRLDLLRPCTIGDEMTKGLKLFCSWLRIRRRQVLFVCTANVIEMIPSPLLDRMEIISIAGYITDEKIHIARDYLEKNTREASGIKPGQVEVTDDALLALIENYCREAGVRNLQKHIEKIYRKIALKLVRQGVSNDPTRDITIMEANEKPASFDVATKLEDKNSNNSQAEDVSVNLNPSDSSLENINAVFLTTASEIVDKSVEKVVVNESNLGDFVGKPLFQAERIYDQTPVGVVMGLAWNSMGGSTLYIETAKVEEREGKGMLVVTGQLGDVMKESAQIAHTVSRAVLQEKEPNNPFFAKSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKSVKKDLAMTGEVTLTGRVLPIGGVKEKTIAARRSGVKTIIFPSANRRDFDELASNVKEGLEVHFVDRYNEIYNIAFTRDAKT >Dexi6A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:6A:7593897:7596418:1 gene:Dexi6A01G0007680 transcript:Dexi6A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLTEVAQLFARFKAAYARNDLDACVTLLSQLKVQLTKFPSLPPLFQQTPNAVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALDHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFSSDQELHQYITEEHPEWEIKNGSVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >Dexi9A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:9A:8184999:8191489:1 gene:Dexi9A01G0012860 transcript:Dexi9A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDEDEFKDALATPDPPPSSPSPTSKSKTAAAAAGRGLGRRLLASIPLPASLSAAIGRFSTPKPPASNVGLGLLLHAGSATPADGDGTPASDAASAISSPNLPPLAYLQRQHGDDQVARPSAGVWEENLGLAPAEEQGRTAAEYGEKEGVAVDGCSVDRNDFCFRGQEEDCEKWQDDELGASVDGCMFQDQEEVMVEQGATEDFAAVVEDQSDSTAVEQCAGDETRAAKDENAVEVNDVVEELEGATEDFAVVVEDQSNNTAIDKCAGDKTRAAKDVNAVEVNEVIEEQEGATENFAAVLEVQSNNAAVEQCAGYYETRAAKDEKAVVVNEVIEQEGAVSRLVAAEDGFAVGSQEEYVVVAEQSVYVISVQDQLEVVEQCTGDQLRTTADDSSGQDKDLVDQEEKTEYYTALEAVEQCTDDGSKAVKDGSIVEGEERTVKQGVVGALDAAKNGAPEESQEDDAMVAEQNEDCISLHDQHKVVELDDQLRTAIDDIATQDQEMVEQEGPIEYYYDVEAVEQCTNDGSRAVNDGSVVDEKEKVVVQELSVGLLDADKDFVTVESQEDMVTAEQSENGISVQDQNEVVEQCTSDQLKVTTDDNSAQDQEVLQQEGATKYYTALNYVEACINDGSIETKDGNFVDEKERVMKQEGTVSALNASKDSVILESQVVAEPVEDDISVQDHKVVEQHRIDQLRTTMNDNAAEDQEEVEQECAIVNRDRDAETDDIAVEDQEKEMRQSAGDESRATNHENAMEFNKKVEDQKDVIDEYGVIKDGIGVEILEKNIVIVQQGGDAISQPDEGNVVEQYASDQLRATTDDNAEKQEVVDQEGAIAGRIVTTDVGNVVEQYASDQLRATTDDNAEKQEVVEQGAIAERLVTTDGIAVEDQEKEVEQSVGEESRETKNENGVEDSEKVDQDVIGSQSVMKDGSGVESEEEVVVISEKGGNDISVQDVGDAMEQCTSDQLRTTMDDNAAECQEVVEQEGAIIEMGGTPYGFTVEDKDKEVEQSTVDESRAIEDENAVEDNKNVVDQEDSTDTEGAAEDGSGVESQEDVVVAEQGGAGISVRDEGNVLEQCNNDQLRTTTDDNAAEDQEVVEQEGVVSILSAANVGIAVELREFIAGAEKVEDGVSIQDQDKAVEQFPGNQQRITTDDYAAEDQEVIREKIGLSAGYPQRPAKLNCRFYISTGSCSYGSSCHFNHPQLKAKLEGSSFPSEHRNHEVEFLELNRVGLPIREGARKCTYYMRNGTCRYGKKCCFNHPEEVLDAQLHMPTGWDDNNPQSSPHSKKSPEHATINDISSGSEVLPANIIRMLLPPQNMPPCTEEKEMKVKKEGNDDDTVYEHDFVTESENVTKTAYPERPGELECSHYMKHGYCKFQMSCKFHHPTDRLSRK >Dexi1A01G0028120.1:cds pep primary_assembly:Fonio_CM05836:1A:33870377:33872699:1 gene:Dexi1A01G0028120 transcript:Dexi1A01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKVLNDVKPYLAMILLQVGFAGMYIVAVASLKRGMSHFVLVVYRNLVATAVMAPFALWFERSVRPKMTLTIFLKIMGLAFLEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALIMRIEKVRLRSVHSQAKIVGTVLTVAGAVLMILYHGPVVQFPWSKAQHHAAAAQGSSGAFLTGTLTVIIACVCWSGFFVLQSNTLQSYPAELSLTALICFMGSLMSGAVALVAERRNTHAWVIGFDTRLFTAVYAGIVCSGVAYYVQGLVSRQRGPVFVTAFNPLCMIVTSVMGSIILKEEITLGSVIGAVIIVLGLYALIWGKSKDHENQVTDVSASGGSKGSAAAGELPITSNGNGKHELSNPRGHVFDVETPATNGRHY >Dexi3A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:3A:7830270:7830592:-1 gene:Dexi3A01G0011020 transcript:Dexi3A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFNAEWSAAEIRIVRSLIARDNANKNDADATNNNHNDIVNDIQASFPWKEKHQVTELYAALAVEMIQPIQSGNNHMVQGYNRRHWTKGEHSI >Dexi5A01G0029540.1:cds pep primary_assembly:Fonio_CM05836:5A:32613138:32614543:1 gene:Dexi5A01G0029540 transcript:Dexi5A01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERATSAAAAGGSSEGMAVDHAAGPGAVEKPRFDPLMPSEMSGGRPQFRKVPVPPHRFAPLKRCWMEIYTPVYEHMKVDIRMNIKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >Dexi5A01G0037930.1:cds pep primary_assembly:Fonio_CM05836:5A:39076711:39077984:1 gene:Dexi5A01G0037930 transcript:Dexi5A01G0037930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTLELTLISAKDLRDVNLMSKMEVYAVVSLSGDPRSRQRIQADRTGGRNPTWNATLRFNVPATGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGVPDGPVPAKFVAYQVRKISSGKPQGVLNFSYKLGEVTQSAAGYAPAPAQSAYTKPPPAAAYPPTSAYQPAGKADAYPPPSAYPPAAKADAYPPPTAYPPAAKADTYPPXXXXRKADAYPPPSAYPPAAKADAYPPPTAYPPAAKADTYPPPTAYPPAGKTDAPATAYPPPSGYPPASGKPAKGGEPVTAYPAAGPSTAAPYAAAAPPQYGYGYPPQQPAGYGYPPPPPQAGYGYGYPQQAGYGYQQQPQKKNNNFGMGLGAGLLGGAVGGLLIGDMISDASSYDAGYDAGFDDGGGFDGGFDF >Dexi5B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:5B:237629:241800:-1 gene:Dexi5B01G0000330 transcript:Dexi5B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGGDKPALRKPVFTKVDQLRPGTNGHTLTVKVVSATPVPGRARPGAPASSSRAPRIAECLVGDETGVIVFTARNDQVDLLKPDATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAEATGGPATGNNVYALHAGKVVAFSFITGFSSLLVTLPLTKVMILDYKLLYPTGSAIAGLVNSFHTPKGAATARCVSALLKSVFGSLSWAMFQWFYTGGDGCGFQAFPLLGLDAYRRRFYFDFSASLVGVGIICPYVVNLSLLLGAVLSSGILWPLLQAKQGTWYTDPSPTSLRGLNGYKVPMGIAMVLGDCLFQLAAVTIRAARSCFHHQGHVDAAPASYDERRRIQCFQSDHVPTGLALAGYLALAAMATALLPTMFPQVRFYHVALCYAMAPLMAFCSSYASGLTDWSLGTIYGKLAIFVFGAWVGEASGGAVAGLLAGGLVVVVIGNSSELMHDFKTAYLTLTSPRSMFASQVIGTALGCVINPLLFIGFQTMGGGHHHLGEPGSPYPAPMAMAYRGIANISVEGIRTLPKHAIMLCVPCFCAALCLDGLTSVAAANNWRIKGYLPNVMAMTIPFFIGPAFTIDMCVGSLIVFLWRRADRQAANQLSVVVASGLICGDGLWSLPSSLLAMFKVQPPICMKFLSAFQHDQMQQHFVPYLTAPQ >Dexi2A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:2A:27318046:27319274:-1 gene:Dexi2A01G0015890 transcript:Dexi2A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQHQLEEMSVDSNLELPPGFRFHPTDEEIITYYLKPKVLQRSFICTIIGEVNLNRTEPWELPGKAKMGDKEWYFFYQKDRKYPTGMRANRATEAGYWKATGKDKEIYTANIAIPTPVLVGMKKTLVFYKGRAPRGEKTNWVMHEFRLESADKITYPTSSSNSNATMKSSSASKVDEWVICRVFHKNMGIKKAPTSSSYNVSMIGGELHQSSIPMPMSMQFPILPDFTSEPATSYYSTAGANSSSVSPLMTPMVGMMNSSLFENLMAPAQPMPFHHQMGMGEICSPGFMATSESMPPLSMVAQNDVGLNPDQINNDEISSMVSTTPTFAETADMDGLWKKVLKK >Dexi5A01G0037000.1:cds pep primary_assembly:Fonio_CM05836:5A:38362383:38366329:1 gene:Dexi5A01G0037000 transcript:Dexi5A01G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKEACQHVVSFLVGAALPTALLVLLASDRLGDGLSTISSSWGSTAGIQRPPMAGSPPLAHQEGNTTSAGGRAPTPTTAHGHGQEPEFADLAELLPKVATEDRTVIITSVNEAFARPNSLLDLFRESFRAGDGIEHLLDHVLVVAVDDMAFAHCKAVHPHCYLLEFKSMNLSSDNKFMSEAYVELVWTKLSLQQRDVDIVWLRNPFWHISVFADMTTSSDVFHGDANSLDNLPNTGFYYVRATNLTVEMLRRWRAARARFPPNHEQAIFNEIKHELAGDELGVRIQFLDTARFAGFCQIYHSDIAAACTMHANCCFGLGNKLYDLREVLGQWRNYTGLTPQEKTSRKFLWKDPTKCGSPDKKNWSMNPPAGMATSKAGPSLSPVVVFLLGAASATTLLLFFLTARPAWPAATASASVRCSTVPRANSTARAERHAGVASPPPANNEADAANAGEAEFEQMLRRAAMEDRTVIMTSVNEAWAAPGSLLDSFLESFTVGENVSHFVKHIVVVAMDERAFRRCRAVHPHCHLLRPEKQGLDLSGAKSYMTKDYLDLVWSKLRLQQRILELGYNLLFTDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNFPNTGFIYFKATARNARAMAYWHAARRRFPENHDQFVFNEIKRELAGELGVRIRFIDAATVSGFCQLGRDLNRIATVHMTCCIGLENKLFDLKRVILDWKRYMAHPLWERKMGKIGWTFEGGRCIH >Dexi3B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:3B:9170159:9193902:-1 gene:Dexi3B01G0013000 transcript:Dexi3B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLAYLCHVGSNIVATLARWWKLGARDLVAGAQLWNLNRRAAAAPAALLLLPLFCLNRGHPAPSSPTPLQIPHPGAPLIVVGLHHDVREVRRSLACVVEEVDKCPRNAGTSQAHHCPGGPIAPPPPTPAPRPSPSLKVKVVARGELLATVLWDDAKADGCKLYDTPTTDTSRCSTQTRPHKLCPRHRRRQSPRSSPVPSRAEPDIIIYPIPPLDPLMVVVKEIRHFLLPSRSNLHNDDHKENNHVAGLALRNPKLEAKKPHLRQLEAGTTSAAGGGKYTHQHSRNLRAADTPHKNDGKGTLKGPRCVTNKFFEFFPLLLCCQAQAVRPPVQEVRPPVTERNQNPPRALASSLAEAKRNLHKLPAAHHTLGASRRRLAARSRTPHNPTRIDSRHHDEEEGSAAAAFRPIFRARLRPSEASLSSLSVSLPGGPALSVVSFLSSWASRTPLSPSAARLRVAFLGVLAGDSPGLISRRPDPLSFKPQTLGFHRRRVPSRLIVELRVKVRKLPSPLSLSLSLSRVPVELVVIPSRRSPSQALTNPKNRLPAFPASSQANHGEKPSSLASDSLVSGEVPAERRRAPPRFAPFDLSQNDPFEGDQDQVYEEEPPQYFEQGKRAVTSISHQYQEELSRTEYRHLPRRRPGTEQTVVVGGGPTADPRLNVLARQNQAPPPPPPQPAMDPAMQQFLATQMQLIQQLTQTVANLQAQQNQQPPPPPEPVQPPRDHHRQFLSQHPPTYSHSADPLDADDWLKNVAKKLNIAQCTDREKELSEQKRKFQSSSSGQTSNTRYQNRSGGNGGHYQQGQQIQRSGQQVQRYSQQTPRTPNQQQNHSGNGTPPTPQAQKSDGQRSAQPSSQQGNKGQQNYARGRVNHVTAEAAQEANDVVIGIFRPIFRARLRPSEAALSSLSVSLPGGPALSVVSFLSPPSAARLRVAFLGVLAGDSPGLISRRPDPLSFKPQTLGFRRRRVPSRLIVELRVKVRKLPSPLSLSLSLSRVPVELAVVPSRRSPSQALTNPKNRLPAFPASSQANHGEKPSSLASDSLVSGEVPAERRRAPPRFAPFDLSHLAEPVRVSAGSAGDQDQVYEEEPSQYFEQGKRALAVKARSCTPHNPTRIDSRHHDEEEGSAAAAFRRRETLDWR >Dexi3A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:3A:3171457:3172391:-1 gene:Dexi3A01G0004840 transcript:Dexi3A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIHHGLTEKNLVGSGGSGHVYRVTYINRYNSHTEVVAVKQIGCVGSLDELWTRSSSASILCNIRHNNIVRLLCCLSSAESKLLVYDYMDNGSLDAWLHGDVHCAGHSMARARPVLRVPLDWPTRLIVAVGAAQGLCYMHHDCSPPIVHRDVKTSNILLDSEFRAKVADFGLARMLVQAGEPNTMSAVAGSFGYMAPEYAYTRRVNEKVDVYSFGVVLLELTTGRKANDGGELGCLAEWARHHNQSGASILDATDKSIRYAGLPQRD >Dexi5B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:5B:22346363:22348052:-1 gene:Dexi5B01G0020070 transcript:Dexi5B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSSLDDPKASGSVPAATGPDPPAIRFTDSNLQTFPPSDARGKISGAYRPPTDADDTFSSKVGGGGGGGGRGGSAGSDDTAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSDNPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASVRKLT >Dexi3B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:3B:8437908:8443878:-1 gene:Dexi3B01G0012040 transcript:Dexi3B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDFVLDASPARRRAAPLDAESSGGDADGELGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIQSSVDVSSPYDDKTQKGKARKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVTEIEQKDIRGGQLLNLLHKRCHCGVPELQSCIQRQDDRDGENDSSQRDVSDKFMQKLAKDTSLSSWHTGFHVSLDMLPEYIHMRLAESILFAGKAIRVLRNPSPGATLQEPINQNQSSKGSHRMQSFIGSSGSPKDLPNFSSISAEELLPQAESDKIDAMLKELKHSSEFHKRLFESAVGSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMIPFQLAALKTIGEEDKYFTRVSLRMLSYGMKNSTSQKDLQKPNASELPSQGKAASELTLDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMHKDHADFSDYCKDRKNGSATQLRRQRSKPLWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALVSQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRPNISEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTARGVMNSGRLRLGTAGA >Dexi9B01G0034710.1:cds pep primary_assembly:Fonio_CM05836:9B:36623418:36635501:1 gene:Dexi9B01G0034710 transcript:Dexi9B01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAKRPASAAAAAAEEEESPKGAAASPAPAEGAEGTGAGGEVASSSQPPKRAKVAGAEADIAKPSAVAAEAEAAAAGAVAGPLPDTAGLQALTGAMDKLEALLRSREAQTNSAGHKRGANDKDLSAKIKKAKELKDLSEKMAGMLNKRQAAATSRRQEPWCRLISQYASHPTLPIYGSHFTIGHGAHHDLRLGESSTASPVCRLKQAKRGALLEIFDSKVVRVNGKSPDKTAKVTLNGGDEIIFRSPVRHAYIFEQLQQEKSSTSALSSTCSSIQQGQLSHFKDVQDHLSPKGRKVSTFYFGKGRSSLMPNGSSADPVLLNLCKTMDDRTQFNSEDNGSFCRSQLIKEDLIKATVDASDISESFDSCPYYLSEHTKCALLSSAYVHLQCKNYVKFTKDISSLSQRVLLSGPTGTEIYQEYLVKALAKYFGARLLTVDSSMLFGGQASKESESYKKGTEIYQEYLVKALAKYFGAKLLTVDSSMLFGGQASKESESYKKGDRVRYVGSLQSAGIILDGQSPPDFGSQGEICLPFEENRLSKIGVRFDKQIPGANDLGGNCEVDHGLFCPVDSLCADSPGWEVRSKHPFDVIVEFISEEIQHGPLILFLKDTEKICGNNDSYHGMKSKLKHFPDGAFIIGSQIQPDSRKEKANASSVFLSKFPYSQAILDLALQDLDGGNDKNKETSKAMKHLTKLFPNKVTLQAPQDEMELTRWNQMLNRDIEILKGNANILKIRSFLTRVGLECADLEAILVKDRILTNECIDKMIGFALSHQLKNSTIPEPSSNVQFSLTSESLKHGVDMLESIQSSSKSSTKRKSLKDIATENEFEKRLLADVIPPHEIGVTFEDIGALESVKDTLKELVMLPLQRPELFNRGQLMKWLGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEVIANLTEGYSGSDLKNLCVTAAHRPIRDILEKEKKERVAAEAENRPLPPPHSSNDVRALRISDFIHAHEQVCASVSSDSSNMNELVQWNDLYGEGGSRKKTTLSYFM >Dexi3B01G0025110.1:cds pep primary_assembly:Fonio_CM05836:3B:19910133:19920196:1 gene:Dexi3B01G0025110 transcript:Dexi3B01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVNGKSVQGVDLLRRRYWASRLDFWPFLALYTLWLLLAVPALDFADALIVLAALSAAHILAFLFTAWSVDFRAFVGYSKIISLNDMRLATAQVKDIHASDACKVTPAKFSGSKEILADWVSIQVASSSSAGDTEEIFFDFRKQRFIYSEEKDNFLKLRYPSKEPIGNYAKGTGFGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQIMEPFFVFQVFCVALWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSTSGEDRSVPADMLLLSGSAIVNEAILTGESTPQWKVSIAGRGPEDTLSIKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGLFILFLLFFAIIASGYVLMKGLEDPTRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGIVILEGDDELISDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKKPGGQPVQIVHRFHFASHLKRMSVVVRIQEKFYAFIKGAPETIQERLVDLPAAYVDTYKKYTRQGSRVLALAYKSLPEMPVSEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELGQSSHDLVMITGDQALTACHVASQVHISSKPVLILTGIKTGGFEWVSPDETDRAPYSAAEAAMLSESHDLCINGDCFEMLQSTGAVLQVIPYVKVFARVAPEQKELVLTTFKSVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSKAENKSGKLKKQKPANEASSQATQAANTNSSAKASSSRPLTAAEKQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHIFFLVTAVNEASKHMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAMLMFCGCYGWERLLRWTFPGKMPAWEKRQKQAVANLEKKRD >Dexi3A01G0035190.1:cds pep primary_assembly:Fonio_CM05836:3A:40511912:40512515:1 gene:Dexi3A01G0035190 transcript:Dexi3A01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVENGEATRRRISGPHPPPRPAGGGDDAEEAEDLRQAASALSGAEAGQGGVRDLGAAEAGRIGRRRSLPARRHHAPPAGQGPEVALAATEQHLVRHGCGVPGAGGPRDGGSDGTVHGRLRHGSVESAATPGQALVAALQRQAANADVTRRACHGRLVEACAATVPPAGCSYHP >Dexi2B01G0034200.1:cds pep primary_assembly:Fonio_CM05836:2B:41497999:41499540:1 gene:Dexi2B01G0034200 transcript:Dexi2B01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLSGCVAHAARPTLLSPRRRPSRRLVAVASASASSSTPSGEVAPPPSRAGDNAGGTNGAVVPTPKATAIETTVERVIFDFRFLALLAIAGSLAGSVLCFLNGCVYIKEAYQVYWSCCVKGVHSGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSEADRALRGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKIATGMDLLSYSVCIFLSSASLYILHNLHKGDHEEGIIPHL >Dexi2B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:2B:28935383:28936795:-1 gene:Dexi2B01G0018730 transcript:Dexi2B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQILYCILLASLLAVPPLTNAGELDQAALLRQFIVKSRARAQSADGPAETDPWVDPVSSFGHLPTYCKNPKGSKEADRIKALPGQPPRVNFEQYAGYVTVDEEHGRALFYYFVESPYDAASKPLVLWLNGGPGCSSLGAGAMSELGPFRVNRDGKTLSRNRHAWNNGAYSPAGVGFSYTNTSSDYEKSGDWRTAEDSYRFLLHWLERFPEYKGRDLYIAGESYAGHYIPELAALIVAVRKYTGKDPTSLKGIFVGNPYLDDYKNEEGALEYLWNHGVMSDEVWANINEHCSFGPSDGFLCEEAKWPFSLQNPHFNVAAGDISAYNIYAPICIQASNGTTYSIGHVSI >Dexi9B01G0042180.1:cds pep primary_assembly:Fonio_CM05836:9B:42461454:42461547:1 gene:Dexi9B01G0042180 transcript:Dexi9B01G0042180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCDATRPYLGIETFESEENS >Dexi5B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:5B:948355:956854:-1 gene:Dexi5B01G0001470 transcript:Dexi5B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEARRPGPRHGVLARPMARHGTAAAGPGWPGASRRAGHGPPPRPVARHEYGPIKWSLAVPTDGTARSIGPWAGPHDGGTARPGTAGCGPARHSGPSCRAELHGWGPHHAPWKSCPFPYRPEHTHQSSRREWPPPSSGDRSDGRRSVSRTCLIKKETTHKQVHRVHGLGRPAWEGIKQLQDETRPCIAPWLSMQRMPSPSHPFMPSRLKSSYQLQPVSYAYCFYQILSCLCLIARETESGAVSPSAPGEIVVSIPIPLGVP >DexiUA01G0016970.1:cds pep primary_assembly:Fonio_CM05836:UA:36151672:36153069:1 gene:DexiUA01G0016970 transcript:DexiUA01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEQQAPSVTAAAAPPRQVPSAPGDDDDGDGGDGAATFRDIHPLTPDTPPPPARTVSAASWETASHRSYSSDEQYMTMSREFTAMVAAGAATMHQTGPDSGADQLTSIGEDELEETNPLAIVPDSHPIATPARSRASGLEVVPAGPAPPPPHVEASQVKKEEVETKVTAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >Dexi2B01G0028530.1:cds pep primary_assembly:Fonio_CM05836:2B:37128698:37132122:1 gene:Dexi2B01G0028530 transcript:Dexi2B01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGDAGHRRLPAGADGISALPDELIQGILAWLPSTAAAARTSALSRRWRRVWTTVPALTFHVEQQRPLGPNSSTPAAVDAALHAYSATATLSRLDIDVHDASSASRAAPWLTFASMRLAGELRLSLSGGAAAACRQQQAMGVQMFVVHPIQIISNRPPPPHLDLPICERATRIDLARINCELRLPSAGAFAALRVLRIHEAKLVQGDVGHLVSTQCPRLVQLEMCDVSLSVDSLAITSKSLERLVLRRVKLGTNGRINVAAPRMYYLVLDGCGDRSAAVTIATTMLADLTWNHAYEPKRHKLDGADRQIYRLVATYGSNVGLFKRFDLVDELCLHLSIPLRTQEYKKFLQDMDELPKTNILEVKGLSTKRHLETTMSHLLRKHTRLTKIKVDLFVENPKECSPDCNCVTSESWTTDDVDMDSLEEVEISSFIGHLDDIELLKLLFRCKIKIRRLAIYTLSGISLSQEMQKYIWGLVRPHCINLEFETTQFSR >Dexi1A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:1A:21366158:21370127:-1 gene:Dexi1A01G0014640 transcript:Dexi1A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAPARAAAALLLLALVVTAAAAEEEALAMEPMELYFSPAELARMAGYGEEPVSSVSVSGHVACELCLRPGSDLLAFELPGAKVAVLCETDGPNDEVANSAFATTDEFGNFSIDLPSQLHATANLERACSVKVLQLPEDSSCRLHHHPSTSYGLKLFFEEDGVRAYTTGEIPLQNSDTPHDKCKSVEERTKRR >Dexi7A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:7A:3399128:3400296:-1 gene:Dexi7A01G0001320 transcript:Dexi7A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSAATTLLHGDNIMGPHVLLLPFPGMQGHANPMLQLGHRLAFHGLHPTLVVSRHVLSTTTSNSCPFPMVTISDGFDAGGIASCADTAEYVCRMVAVGSETLARLVHAEARAGRPVRVLVCDSHLPWARRVARHAGVAAAAFMTQMCAMDVVYGEVWAGRVALPLADGSVLRGRLGVELGPHDVPPFMAAPQWYPAFTESVLSQFDGLEHADDVLVNSFRELEPMEADYLESTWPAKTIGPTLPSLYLDDGRLPFNKAYGFNLFSSTAAPCIAWLDSKEPCSVVLASYGTVANLDMVQLEELANGLCDSGKPFIWVLRSNEEEKFSEELCSKCKERGLIVPFCPQLEILAHKATGMR >Dexi9B01G0040170.1:cds pep primary_assembly:Fonio_CM05836:9B:40758422:40762088:1 gene:Dexi9B01G0040170 transcript:Dexi9B01G0040170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSQPPVAARASTRLLPRGLGALPESAPASLRFSAGRRRRAARLEVKASGNVYGDYFQVATFGESHGGGVGCVISGCPPRIPLSEADMQVELDRRRPGQSRITTPRKETDTCKILSGIHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVILPEDAVDYETVTMEQIESNIVRCPDPEYAEKMIAAIDKVRVRGDSIGGVVTCIARNVPRGLGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDLTGSEHNDEFYMDEAGNGGISNGEIIYFKVAFKPTATIGKKQNTVSREHEDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPIGSASSASELAPNLS >Dexi7A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:7A:28859974:28860612:1 gene:Dexi7A01G0019850 transcript:Dexi7A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCVKAETVKRVILTSSAGSVIVRPDLQQGDGHVLDEDSWSDVEFLRAEKPPGWGYVVSKVLLEKAASRFALEHGISLVTVCPVITAGAAPAPTAHTSVPGCLSLLSGDEAAFNALRGMEAFGVVALVHVDDLCRAELFVAEEATAAGRYICCSLNTTVREIASFLSEKYPQYTVKTNLL >Dexi6B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:6B:27097867:27098429:1 gene:Dexi6B01G0020660 transcript:Dexi6B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGMTLCRLPTATLGLSLSLPRFLSRPSLSLAARRARAVAVRAASSSSSSSPDSSFGSRMEETVKKTVADNPVVIYSKSWCSYSMEVKALFKRIGVEPHVIELDHLGSSPFALSRHKFKLS >Dexi2B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:2B:6472320:6472903:1 gene:Dexi2B01G0006540 transcript:Dexi2B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELPIVPYNDILKGTDGFSEANVLGKGRYGTAECEALRRVRHRCLVKIHCDLKPSNILLNQDMRACVGDFGIARVLDESTSKHPVNSNSSIGIRGSIGYIAPEYGEGLAVSTYGDVYSLGITLVCDVHRKKSNR >Dexi5B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:5B:5354868:5355171:-1 gene:Dexi5B01G0007910 transcript:Dexi5B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHILLLLLSSFLGAGASAASAAQPGSCWPKACGDLNITRPFWLEEPGWPPCGPPSFQLTCNSSGAFLSRSPQQRIGLA >Dexi9A01G0036580.1:cds pep primary_assembly:Fonio_CM05836:9A:40977856:40981337:-1 gene:Dexi9A01G0036580 transcript:Dexi9A01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPDPADPPGRAFPPPPAPSSAAARGAHHRRARSEVAFRLPDDLGLGGGGGPDGDDFDEIGSEDDLFSTFMDIEKISSSGPSDRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGIGGSVGKDAAASLAEVMEAKKAMSPEQLAELAAIDPKRAKRCRLLDLSTILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGETTNSSETYNMRFQHVPYNSSFFQLSQQNTAPHLGSTQLAPPFNPGHPNVPNHQMLSHPHTLPDIMQQDSLGRLQGLDIGKGSLVVKSESSSISASESSTF >Dexi3A01G0026590.1:cds pep primary_assembly:Fonio_CM05836:3A:22871924:22872551:-1 gene:Dexi3A01G0026590 transcript:Dexi3A01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQIYGQCAEEFRLNAEGAFHVQRNEVDEYCGGPCLEETKLALQCVEEVAAESFRFSNGASLLAIRERKDCVGGADESYYHKSRDHVQEKQVAGRYYGEGSEQPYEQGDGGYGEGEEHCYGYGDAGGLAERRGLLQMVPVLVAYAALLLKL >Dexi1A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:1A:26790808:26791780:-1 gene:Dexi1A01G0019800 transcript:Dexi1A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEGAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLEALSSRLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGLASASACGAAACDAHDSDHGAPPKATVQPPPPQPAVSAAAQQVPSPPQFMPQSWALQKESPLGFKELEWFADIDLFQAPTKAGRALAEVPELFGSQAANDAAYYRPSKAAAGAGMRQNKKARIEVTDDEDDYLIVPDLG >Dexi7A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:7A:26680050:26685402:-1 gene:Dexi7A01G0016870 transcript:Dexi7A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSATATATKKRRSRGGALTLDEVKTLGLELLSSRAHLNNAPVLLALLSPSAPLDLALEALISLQSFFVPLIPSIPSASAAATVGDAGSDPELVFGAWLRQRFDELVAALVELSVSPHSDDAIRDVALDALMDFVKLGKDGKFHSAIYHKFLHAVVSVISVLTLGFWFCAFQGLSSKGEKDSSKDSSSTNIKKKLKLKLTKAWLSFLKLPLPLDVYKEVLASIHQNVIPSMSNPAILCDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYEKLYALLTPAVFMAKHRSVFLQLLDTCLKSSYLPAYLAAAFAKRLSRLALSVPPAGALIIIALIHNLLRRHPSVNFLVHWEVDENESNTRGEATQPKKIGADPFNNDEADPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLEEDLTVRAKTTEMKITDFSSGSYATVFKDEVRRRIKQVPLAFYRTTPTSLFQGSDFPGWTFGDQSNSTIETAVEGNETIEAVGASDSTPSKRLRVEA >Dexi9B01G0024090.1:cds pep primary_assembly:Fonio_CM05836:9B:19769854:19770578:-1 gene:Dexi9B01G0024090 transcript:Dexi9B01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKGKSKFFLEHQALLEEGKKGYDPSVHSWRFAQQVPLPEGKWPPLWKYSKTPLDEHEKEERAKQNREEKEQLDEELWRHRRIETELQRRKKQFPPGKAPSDKQLRKEVVREYRLARAQERHVKNVQMAVRIINRRYPDKKYDLREITAKSSIYELGSAYCHYNFTVYSPTDGPEFFFAETDIDPECERQVYQCCKIGSGSHGIGENFI >Dexi9B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:9B:11568730:11571998:1 gene:Dexi9B01G0016850 transcript:Dexi9B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLYSLVSTVLAFTAAGASSRHDAAALLAFKAAAIGTGATALASWNGSAAGLCTTWEGVTCGRHGRVVSLRLPSLGLSGTLSPAIGDLPRLQTLDLCSNWLHGGIPASLGRLRHLQTLDLRVNTFSGELPGNLTACKNLKSLLLSSNRLTGRVPAELADALPLRLQVLYLNNNSFTGPLPASLSNLTSLRRLILGINGFEGPIPHDLGRDMPSLQYIDLCDNHMYGELPASLYNLGTIPSSISNLTDLQGLELSKNGFSGFVPRDLGRLKSLWNLQLDASLSTTLEELYLVDLGISGSIPSDIGNLIGLKVLCLVNTSISGVIPESIGKLENLTKLYLDNNRLLGFIPSSVGNLTKLITLSAPNNNFGGSIPRHIEYGEGCAVSTLGDVYSLGILLLEMFTGRSPTDDVFRDSLDLHRFCEDAFPDRILEIADPTLWAHSDTNDGITRSRVQECLISVIGLGLSCSKHQPKERKPVADVAVEMHAIRDEAYLMFAGSLAIDMEGKAEAKTAQ >Dexi3B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:3B:3439349:3440565:1 gene:Dexi3B01G0005170 transcript:Dexi3B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLVELFDSLEKGELMDDSKLSSLVTDMHRYRQGAPRKRYGSLPGSKGRSRLRTEESFYENPFPECICLTGKH >Dexi5B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:5B:3334143:3335088:-1 gene:Dexi5B01G0004970 transcript:Dexi5B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSNTQRARTSWGFRRQGAHRKHPRRDLLTGPRSRRRRHPREEPRRCRSEMVNENEKSDWACSAAHSFAVVSLLAFSFLRRRLPTPAAPPPPSPLFLTPVLRTPHPRLLLATDPCAASACSSTCFVESCDGGGRIHATTTAVRRVARLAQL >Dexi3A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:3A:8989615:8993582:-1 gene:Dexi3A01G0012380 transcript:Dexi3A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNGGGNNGASGGGKMISLPLQYFCVLAAVVVAVMVLSLSFMSPAAMVAIRQNLGSVASAASGAGSSGNSSGAAPAPPTAAGKEKEQPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFGHPTGRLSDGRVIIDFFCESLGTHELNPFLKGIGSDYSNGANFAMAGSTVSHGVSPYSLNVQVDQFVYFRHRSLEMFERGLKGPVSKEGFENALYTMDIGHNDMVGVAHTPSDQWDNKITKIVGEVRQAISILYDNGARKFWIHGTGALGCLPALVVQEKEGDHDAHGCLADVNRAAKAYNKKLSQLCDDLRFHLKDATIVYTDMFAIKYDFVASHSKYGIEWPFMVCCGNGGPPYNMKPGKPGCGDLCPPEAKVVSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLASLIHGGSKKTSDS >Dexi3B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:3B:4552337:4552726:-1 gene:Dexi3B01G0006600 transcript:Dexi3B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSWTRGLKHVVPRHAAQLVETRGLAIASKGKKGGKGGADAAKTPALSKELKSTTVFGANILKDGSDPKIQPDSEYPDWLWHLLGKRPVLSELRRKDAKTLPYEDLKRFVKLDNRSRIKENNALTAKN >Dexi6A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:6A:19100858:19101588:-1 gene:Dexi6A01G0012530 transcript:Dexi6A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPAENQDGFPELEALSRLDFGAALKIFLAKQLTSEFTAVTAPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDSKSLPFLKTMAEALGWPLDRYETLALLIFSSVLAVDLWFWELFFGTAVNWASLAGSWIDQLNGPF >Dexi9B01G0031770.1:cds pep primary_assembly:Fonio_CM05836:9B:34063206:34065535:-1 gene:Dexi9B01G0031770 transcript:Dexi9B01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRHVKISSIEANDVALYFSASWCPPCRQLTPKLIETYKELASQGKSFEVVFVSGDKNEEAFNAYFAKMPWLAVPFSDSEGRKGINGWFKVSGIPHLVILDAKTGEVYTEDGVEFVTGYGVEAYPFTPERINEVNEQEKAAKDSQTIQSVLSTSTRDYLISNNGDKVPISDLEGKYVGLCFVVGGFGPVDQFTSVLAKIYEKLKEVGEKFEVVAVSLDSDESSFKESFAKMPWLAIPHGDKMCEKLVRYFELSSLPTLVLIGPDGKTLNNNVADIIEEHGFEAWEGFPFSVEKLDILAEKAKAKAAAQTLESLLISGDSDFVIGKDGAKVPVTELVGKTVLLYFSAKWCGPCRAFLPTLVQEYNKIKEKNSDFEIVFISSDREQSSFDEFFSEMPWLAVPFGDERKEYLTKTFRIRGIPSLVAIGPTGQTVSKNAKIQLMIHGADAFPFTEERLEELQKKLDEMAKGWPEKLKHELHEEHELVLMRRGTYGCDGCEAIGSSWSYRCDECDFNLHPKCALADKEDKGEEEDGKAAEEAPAGYVCEGDVCRKA >Dexi6B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:6B:26727551:26731119:-1 gene:Dexi6B01G0020200 transcript:Dexi6B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDGQQILRHKIIIDTDPGIDDSMAILMAFRAPSVEIIGLTTIFGNCERAGHPEVPVAEGSPEPLKGGKPRLADFVHGSDGIGNMFLPEPTTKKVDESAAEFLVNKVSELPGEISVLALGPLTNVALAIKRDSSFASKVKKIVVLGGAFFAAGNVNPAAEANILGDPEAADIVFTSGADIVVVGINITTQVSFADEDLLELRNSKGKHAQFLSEMCKFYRDWHAKSDGFYGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPKVISFIKKLLMAP >Dexi2B01G0033000.1:cds pep primary_assembly:Fonio_CM05836:2B:40718323:40721012:1 gene:Dexi2B01G0033000 transcript:Dexi2B01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLFSCPVEEEDVPTEAPGVPAPGGGGNAGEPEVLKASLGSGKQLHFEGSLSFKPELPQSPRGALLQVETKISVTSPRATVAPVPMPRELARTRFADAAAAPAPESPKHESAAVTVQKVYKSFRTRRRLADCAVLVEQSWWELLDFALLRRSSVSFFDIERQESAVSKWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESKEPFFYWLDVGEGREINLERCPRSKLLSQCIKYLGPKEREDYEVVIEDGKFMFKNSRQILDTSGGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRVVVEDGVLKAIWPHSGHYRPTEENFQEFQSFLKDKSVDLTDVKMSPDEDDEEFWSRLKSIPSDCCAAADKPEEDETVAAQNTNPCQESPVTEATTPEEVSLPEHEETRTNPRPTATDSSEDAVENAETSTTSDSDRASSEDRPEANHDGEDDNTAVPREKILQRISSKKETKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALEQMHLSPRSGVKAASSRFASPQRSGSPMARGRCEQLTLGGGGGRAASSRFASPQRSRSPMARGRCEPLTAREAFRTHLMARG >Dexi8B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:8B:21161713:21169331:1 gene:Dexi8B01G0011910 transcript:Dexi8B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGAAATAIEHTFVVSQVNMTRLCNETLVTVVNGQFPGPMVEVTEGDSVAVHVVNKSPYNLTIHWHGVKQRLNCWADGVPMITQCPIRPCHNFTYRFNITVQQGTLWWHAHVTVTSIESVAFFHSSYGSNAVLTSEHYLKIAGHKLTVVAADANYVTPYTTDTVAIAPGQTLDALLVADAPPRRSYYMVSMGSQPTLANPPPPVIVTRGTVQYRSSSSSDGHEPAGNGVPLPPMVPDMPDLHDTATSFNFHGNLTSLHKSRVSTRVDEHLLIVLSAGSICWRGHRPCKRSGDMESNVLVTMNNISFELPAAAAATTPLLESHYYHRNEPAYVTLPDRPQRAFNFADHALIPFGPKEAALEPTGKATTARRFRHGMAVEVVFQNTAVMQSDYNPMHLHGHDMFVVT >Dexi5B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:5B:19879231:19883880:-1 gene:Dexi5B01G0017970 transcript:Dexi5B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRFPLFELAYDDTHRAHMIADQNMDPRPRVRRLAPPRRAGQRHRLPLHRLGLPPLRAADEPHAVGYLRVRGDLRHGVDEAVADGHPAEVDPPPHRQPRVRGEDERARRRDRLAAVALAGEEERPRAELRRLGGEEGLERGEDVLRDGGLVRRDGGDGRRRAVAGAERAVEEEETEAAGLGDSGTVEGEVVVLEERR >Dexi4A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:4A:3985402:3987852:-1 gene:Dexi4A01G0005510 transcript:Dexi4A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTVVLAEHSAAATNAGAVARQVLERLPAGGADSHVSYTQDRYVFHAKRTDGITALCMADEAVGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRSVMIDNIDKGCVDTSASGNNIRRACVHMPWFHLTNLYTV >Dexi2A01G0033900.1:cds pep primary_assembly:Fonio_CM05836:2A:44076367:44081451:1 gene:Dexi2A01G0033900 transcript:Dexi2A01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARVLTLPLPAPSSTPHRRFLLPPRAARVAPVRVAACRAASAMAAAVAAPDPATVAAVGVGEELPEGYDQMMPTVEPARRRRAGVLLHPTSLRGPHGIGDLGDEAFAFLDWLRDAGCTLWQVLPLVPPGRTSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMEDELPIPVDVEHVEFDTVANLKEPLIAKISTVNSWLEDAALFAAIDKSIGAFSWYEWPEPLKNRHLGALEDIYQKEKDFIEIFMAQQFLFQRQWQRIRKYAQNLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEADGFSWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSEAKIALVGSWRVSIDLINKAGPRNAFFDALFNAVGSINIIAEDLGVITEDVVQLRKSIGAPGMAVLQFAFGGGSDNPHLPHNHEMDQVVYTGTHDNDTVLGWWQNLPDEEKEIVIKYLPEAKNTDISWALITAALSSVARTSMVTMQDILGLDSSGRMNTPATQKGNWRWRIPSSVGFDDLNPEAVKLKELLALYNRL >Dexi7B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:7B:20509906:20510380:-1 gene:Dexi7B01G0014170 transcript:Dexi7B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVSSLSSMASSPIPSPQLAKPSGRALSATPCASASHSPSTSSSPPCHAAAAPPQVVASAPGRRGLLAMGAGFLASAALLCPAGDAGATRIEYYATVGDKLCDLNLAKSGLAYCDLEVGTGVQPPRGELINYR >Dexi3B01G0022150.1:cds pep primary_assembly:Fonio_CM05836:3B:17025003:17027146:1 gene:Dexi3B01G0022150 transcript:Dexi3B01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPASPGGGGGSHESGSPRGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLQKYREGDSKLTAKAGDGSVKKDALGHGGASSSATQGMGQQGAYGYMQPQVTRLKFP >Dexi6A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:6A:27093776:27094666:-1 gene:Dexi6A01G0019480 transcript:Dexi6A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFEQHWLEAQTDRQAILNENMSRRAVHKALFESAALIAASVIQVYLLRRLFERKLGSSRV >Dexi9A01G0037370.1:cds pep primary_assembly:Fonio_CM05836:9A:41633274:41639270:1 gene:Dexi9A01G0037370 transcript:Dexi9A01G0037370.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILYVGSVVAQTAQLSVDASPQNAQVIPGNMFGIFFEEINHAGAGGLWAELVSNRGFEAGGSNTPSNIDPWLIIGDESNIIVATDRSSCFSGNPIALRMEVLCEASGTNACPSGGVGIYNPGYWGMGHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLTNAFRWSETIGPWEERPGHFNDAWAYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASLNEEVPSATIAAFVKDVVNGIEFARGDSQTVWGSVHNYVKFYSAIKASYPDIKIISSCDKSAISPVNPADLYDLHVYTSSGDMFSKSRMFDSAARSGPKAIVSEYAVTGNDAGRGTLIAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPSSIQLSNYDQLVTSAITWNNSQDGNTYLKIKVVNFGNKAVNLNISVTGLETDIQTFGSIKTVLTSDRLRDENSFQQPDKVVPAASPITNAGEQTGVVLDSYSLTSFDLLLSSSHAMHLSV >Dexi9A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:9A:6954963:6956291:-1 gene:Dexi9A01G0011150 transcript:Dexi9A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVTRMAMYMDPAPGIPLRRVLLYAVLLLVSNLATFLFSSSPSSSCAPPITASPPPPLRAVPAPGQPELPPEFHAFAGPHALPYGRNPNWGTAELRPPAGHPCLAFPDLLAAFMSYPVNGSCPDDELPSQRLLLRGCEPLPRRRCRPAAPPDPAPPLPLPDALWSTPPDRSVHWSAYTCKSFRCLVDRARSNRFDDCKDCFDLAGRERHRWLNATTTTGDRKKKKKKNPLEFSIDEVLASASPRGSIRIGLDIGGGSGTFAVRMRERGVTVVATTVNLNGPFAAVVAARGVVPLYVSVAARLPLFDNTMDVVHSMHVLSGWMPPAALQFALFDVYRVLRPGGLFWLDHFFCGEAEMPAYVEVVESVGFGRLRWVTGRKLDRGAERKEMYLSALLEKPLKNSKA >Dexi8A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:8A:17358926:17359741:1 gene:Dexi8A01G0010380 transcript:Dexi8A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILSHLHSLAGPTVTLLYPLYASVQAMETSSKLDDEQWLAYWILYSFITLMEMVLQSLIYWIPLWYELKLLFMAWLVLPNFRGAAFIYNKFVREQVKKHNGLLAVGVGSVSTNNSADEHKPSSTSPKERKTRKLLSRVIPKKLRF >Dexi2B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:2B:9907634:9914341:-1 gene:Dexi2B01G0009100 transcript:Dexi2B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIKPVSMLLHLLLILNLNLAALTTGDNHGQFVYSGFSDNDLVVDGTSTITSNGLLELTNGTNQQKGHAFYPTPLQFVRSPNGTVQSFSTSFVFAILSVYTDLSAHGMAFVVAPSRNFSAAMPEQFLGLTNIQNNGNVSNHFFAVELDTIQNKEFNDLNANHAGANVNGLKSLKSYYAGYYADEDGNFRNLSLISRDAMQVWIDYDHKVSSITVTMAPLKVTRPTKPLFMATYNLTGVVTDVAYIGFSAATGTIDVRHYVLGWSFSMNGTAPTIDTARLPKLPRVGPKPRSKLMEIVLPIATASFVLIVGTVVFLLIRRHLKYAELREDWEVEFGPHRFSYKDLFYATEGFKEKHLLGIGGFGRVMQYLDSEMVLPEMSPADMRFHMMAIMQNEGFDDYITGSTASTASDDQFVYNGFAGVNLTLDGNALVTPDGLLELTNDTVNLGHAFYPTPLNFHQQHNGTVPSFSVSFVFVILSVHADISADGMAFFVAPTKNLSNTWAQYIGLLNSGNDGNASNHMFAVELDTTQNTEFKDIHNNHVGININSLTSLQAHHTGYYEDKSGFFNNLTLISGKAMQVWADYDGETTRIDVTLAPLGKSKPVRPLLSVIYNLSLILNDKSYIGFSATTGAISTRHCVLGWSFAMNGSAPPIDISKLPKLPRLGPKPRSKVLEITLPIATAAFVLTVGLVVVLLVYRRLRYKEVKEDWEVDFGPHRFSFKDLFHATRGFRRKNLLGAGGFGKVYKGVLPKSKVEVAAKRVSHESRQGMKEFIAEVASIGRLRHRNIVPLLGYCRRQGELLLVYDYMSNGSLNNYLYHEDGQPTLCWARRFHIIKGVAAGLFYLHEKWEKVVVHRDIKPSNILLDNEMNGRIGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRSAQDPPCGK >Dexi7A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:7A:21401951:21402856:1 gene:Dexi7A01G0010690 transcript:Dexi7A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGGSRGAKKAGAAMVFFFDIRGGGIGNERKSLQLLTGEVGVRLIRRLSRARWPRGPRIALAPIGCVGSVLRARWWAHASLPAEEIAFLCFTSPPAVVVHSPPGPNPIPSSSPRRRPDPPAQPRRLSLSPFQTGSGSSHDKPLDLLRVGRRYEMRRLPRCQRCRYAPLGPFPSSATCLAVVMNPPVAADSLRDARDDKIGRADAGSGTAAIPVAEQDVQEACERRWYLRLRRLLLFFWSARHPVEPCGGGRNGGSGRRADLGCGVQPDCRTRHDSGGLCRRGDRTEERDGDEATATTMTA >Dexi9A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:9A:11201185:11202701:1 gene:Dexi9A01G0016250 transcript:Dexi9A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEGDCSSAGCSFSLMCLEDGADIDGGAGEEELLLVLCGDSEGEDDEEEYVGHLVSKESSFCCSPSSSSSSPAFSDFSDAGAESSSMPSSDDDWFRCARRATVKWILEVSERSKKLPSFTYRTSGTPDRHVFLFLDRPPPPPPLLQTRACFGFSHRTAYLAVAYFDRFCLHRCFDRSVMPWAARLLAVACVSVAAKMEEYSAPALSEFSAGGDDEYEFSCVSIRRMELLVLSTLEWRMAGVTPFDYLPCLRSMLRRRNNAGDGGGGGIVAAKAATLIFTAAQAASVLDYRPSTVAVAAVLAAIHGAMTKEALESKMSSLSPSCLLDKEDVYACYNLMLSESERSSAATSNTAKRPPPSSPDSIGAASSYESIDAATARCSKRVKLVELPAIGL >Dexi1A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:1A:26808574:26809525:1 gene:Dexi1A01G0019830 transcript:Dexi1A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPKLQASPTATLATAALLLVLALTAATTAAQNCGCAASQCCSRYGYCGTGTDYCGTGCQSGPCDVPQTNNVSVASIVTPAFFDALLAQASDNCEAHGFYTRDAFLAAAGYHPSFGRTGSVDDSKREIAAFFGNANHETIKFCYINEINGPSKNYCDASNTQWPCQEGKGYYGRGPLQLSWNYNYGPAGQSIGFDGLGDPDAVARSAVVAFRAALWFWMNSVHDALVSGGGFGATIRAINGGLECDGKNPAAVSSRVGYYEQFCQDFGVDPGSNLTC >Dexi6B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:6B:2983203:2984143:1 gene:Dexi6B01G0003650 transcript:Dexi6B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKRSMDVAAVTVPPMVASESNKISIIISPRSNTSSKVMPFELLNAGSVSSQPQAHPAESSDAHATHYRRWNQGLPKIKAVPLIKKVFAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPLAHLLPYMTAQILGSIAASFSVKGIYHPVNPGIATIPKVGTTEAFFLEFITTFVLLFIIIALATDPHAVKELIAVAVGATIMMNALVAGYALNS >Dexi5B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:5B:5173833:5174751:-1 gene:Dexi5B01G0007640 transcript:Dexi5B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWRFAATSSSTSPASIMEPEPAGDDDDLPLPVQLRGPPRRREPPLMRSPPREPTTWRRSATAAGAPPRTSQLAFLAASRRSEVVDMLRNQTYADELRRRGVPAPPPWAKVPLFEKNVTASDVGKLNRLVVPKRHAERHLPPVRRHNMMKATGGGGGKGGVMLAVEDREAGKVWRFRYSYWESSQTYVLTKGWIRFVQEKGLRAGDTVAFSKWAFGPDEQLLIDCRKKKPRRKKKKKMKDAATTVVKLFGVDIAVVGGREGGDGPQHVARSW >Dexi9B01G0032870.1:cds pep primary_assembly:Fonio_CM05836:9B:35018243:35019199:1 gene:Dexi9B01G0032870 transcript:Dexi9B01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTRVPSIHPSLCPPAHGYPPDADDPSPSWVLLDMHAYVADRENATSAYGELSNGEAIRVTFCAAPPPLVSYVCIWCPNLPPTALLMQPTVDVAEADLVLLRLALANDRYNNQYFVYKAPGCGGKVGPSLWRLDKPHQISLPYRHNIALLAHREVSEGGPQVDDNGHYYMATLNHNPDMPQNFNLLLYNSMHKKWSSTPIPLDMTQIHIPGKAVTLDEGGLLGFVDPWRGILVCDILGGKRPHFLPLPAQLVRFDKLLGQPWLYRNIAFVNGRLTVVEQHRNPAYPPQEPEPGVYHMEHIQSLGGTRWLANGLPDEY >Dexi9B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:9B:8020746:8022860:1 gene:Dexi9B01G0012040 transcript:Dexi9B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDMRLSVAHQTGFGLRLAAALSSPSSHFSSVNTVFSPLSIHVALSLLAAGAGGATRGQLLAALGGGDGPDAADSLHALAEQVAQLVLADGSEAGGPRVAFADAIFVDASLKLKPAFEHLAVGKYKAETWSVDFQKKAAEVAGQVNSWVEKVTLGLIKELLSRDSLDETTRLVLANALYFKGAWTEKFDESETRDSEFHLLDGSSVQAPFMSSRDDQYVRSSSDFKVLKLPYRKGVDKRQFSMYILLPEARDGLWSLAEKLSSEPEFLEKHIPMRDVPVGQFKVPKFKISFGFEASDLVKGLGLQLPFSPQAELTELVNLPAEQNLSVSSIFHKAFVEVNEEGTEAAAATAMRIVLCSYTPPVDFVADHPFLFLIREDTTGVVLFVGHVVNPLLPAY >Dexi4A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:4A:24985481:24988892:-1 gene:Dexi4A01G0021430 transcript:Dexi4A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVLEDLSKEEDVESSTRKLVDQGKWLPTLRTLVSKINDTFSHEHGVDFDHYGILIKVKFR >Dexi8B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:8B:18984524:18990247:-1 gene:Dexi8B01G0010430 transcript:Dexi8B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSHHHRPGAADAGGDIVEMSSSSAASPIDGGRERERERIIPHSGPLAKKSGARKSARFAESVSAPLAAAPPRSSPHSDDDDYVEITLDVRDDSVAVHSVKPAGGNHASSASDDPDVTLLARTLESRRSASSVIRNASSRIKQVSHELRRLASVNRRGGGRALDRSKSAASHALKGLKFISKAEGAKGWEAVEERFDKLAQNGLLHRSKFGQCIGMKEPEFAGELFDALARRRNISGDTISKAELLEFWDQISDTSFDGRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKIQEQAEEYARLIMEELDPGNLGYIDLYNLETLLLQAPSQSVRIGTTNSRNLSQMLSQSLRPTPEPNPLRRWYRRAQYFLEDNWRRVWVMLLWLSICAGLFTWKFIQYRRRYVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWIRNRTAMARVVPFDDNLNFHKVVAVGITVGAALHIICHLACDFPRLLHASDAAYAPLGQYFGYGDDRPNDYWWFVKGTEGWTGLTMLVLMAVAFTLATPWFRRGRVRLPGPLKRLTGFNAFWYSHHCFVVVYALLLVHGYYLYLQHKWYKKTTWMYLAVPMVVHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGSATTNPSFPKVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISIIKDIINNMRTLDGDLETGVSGADGSSSASAAFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTNTKFDFHKENF >Dexi1A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:1A:25368228:25372600:-1 gene:Dexi1A01G0018100 transcript:Dexi1A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRWWAVVLAVAVLLGPGRVVANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVPQLGQLKNLQYLELYSNNISGTIPPELGNLTNLDSRLNNNSLVGQIPESLTKISTLQVLDLSNNNLSGQVPSTGSFSLFTPISFQNNPNLCGPGTSKPCPGAPPFSPPPPYNPPSPAAPSTGASSTGAIAGGVAAGAALVFAVPAIAFAMWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVATDNFSNKNILGRGGFGKRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQNAYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAESAPLRNDWIVDSTYNLRAVELSGPR >Dexi4A01G0024620.1:cds pep primary_assembly:Fonio_CM05836:4A:27311157:27313346:1 gene:Dexi4A01G0024620 transcript:Dexi4A01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEDAAARRDRLRALRAAKDLLSAPDADGEQRNGTHGATEEHVEQPALPGPQDAPYEASRENVSSIEDTEEVEDDGELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAETAEPNQVENPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAEIALEQQREKEALEEAQD >Dexi8B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:8B:1689393:1692349:-1 gene:Dexi8B01G0002460 transcript:Dexi8B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTNHNVERPVRRPTILSPAQWEEEPNPFKREGPLSILQVTPTHTSGTRHETTTPPQSLSSRLRFLLLHCGEAATASSRRRYAPPLLTLLAAARVCRARGACVYLLLWLPIAPQASRFAAMAPSRHADEGGQLQLMEPDRVDEEECFESIDKLIAQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLLKVRKLDLIYYRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGIAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGINDRKLQLIQIITPMTRSFVSLDQKHVFQVTSGGIMDAKD >Dexi2A01G0031450.1:cds pep primary_assembly:Fonio_CM05836:2A:42195017:42198744:-1 gene:Dexi2A01G0031450 transcript:Dexi2A01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDLPAGAGGSPEKPVLADRRVAALAGAGAGARYKAMSPARLPISREPCLTIPAGFSPGALLESPVLLNNFKAPVVNDPNHHATSSSNLMGENKPLCSRESAHTTNVSSVPNQSVAIVCPSDNMPTEVGTSEMNQMNSSENAAQEMQSENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQHNRRLGAGAVPSSQGEERYDGVAPVEDKPSNIYSNLCNQVQSAGMIDPVPGPVSDDDVDAGGGRPYPGDDTNEDDDLDSKRR >Dexi4B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:4B:5922500:5924283:-1 gene:Dexi4B01G0008190 transcript:Dexi4B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTMSNVLTAAARGKRWNWGTSALLTAIVMIMPTLIILHALGGTAGTPAIWIRSAMASLRQGPDDFSVTYTMRTTTTHEHDKLHGGLLVEGFDEESCHSRYQSAMYRRNPGRQPSKHLISKLRRHEALQRRCGPGTVAYSDALEQLKSGKNATSTSSPECKYLVSLSYRGLGNRILAAASAFLYALLTDRVLLVDPSNEMDVLFCEPFPNTTWLLPPGFPLNIRSLYAPAPERYGKMRENGALRMDMAATTNGVDLPAAFAYIHLDFDQSEHDKLFFCDDDQRLLLSKFQWLVMRTDSYIVPGLFLLDTFREELDMMFPERDTVFHHLGRYLFHPTNHVWGLVTRYYRAHMAWAQRRVGIQVRVFSWEPNSPELLERITRCTQEEGMLPRVVGKEEESPAATTTMAGRGGGVKSTAVIVTSLKAWYSEQMKGMYWENATEDGEVVVVSQPSHEEEQQYGVKSHEYKAWAEIYLLSLTEMLVTTGKSTFGYVAQGLAGVRPWVLFSNDSRPCSRDMSMEPCFHVAPVYDCRRRGDAGKVVPHVRRCEDVPTGLKVVDRKEW >Dexi9A01G0033150.1:cds pep primary_assembly:Fonio_CM05836:9A:37990312:37990965:-1 gene:Dexi9A01G0033150 transcript:Dexi9A01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLEGVLKIGPWGGEGGRDWDIPAALPRPHRLESITVCSSLAVVDALSFVYSDDQGRCHCVGPWGGRGGDPYVVRLGPSELLTEVSGTFAYIGTQPTDAVTSLSFVTNLGTKHGPFGDVDGTPFSVPVRDGGSIVALFGRGWDYIDAIGVYVSVPDC >Dexi6B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:6B:20955440:20957004:1 gene:Dexi6B01G0013280 transcript:Dexi6B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWRLTASLGYALAGSDEIPGFVFLVHLVDVMASMHSNVTSRSHASLPHRATPVTVLLCPIASVFMLGMWAWSKTFVAYFYRLRGKLFQTWVVPRHGFQYFLPFAKDGINKQIEMAILRADKMGVKVLSLAALNKNEALNGGGTLFVTKHPGLRVRLVHGNTLTAAVILREIPEGTAEVFLTGATSKLGRAIALYLCRKRVRVMVMLTGSEERFKKIQQEAPPEAQQYLVRVTKYQSAQQCRVRAHHHETWIAGKWLSPREQLWAPAGTHFHQFVVPPVLRFRRDCTYGKLAAMRLPDDVQGLGVCEYTLGRGVVHACHAGGVVHFLEGYEGHEVGAIDVDRIDVVWEAALRHGLRPA >Dexi8B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:8B:11083100:11087499:1 gene:Dexi8B01G0008350 transcript:Dexi8B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAGHEGSGRSITAYARAELESLRGAPSEEAQARLWGEVRAALAAAGFSDEYDGLLAADEEPRSRRGNKGRKAAGGGGAGVGRKWDEEVAAPRFSGVPEIGAWRNGDLGVSHEHCFEAASHNHVAACGLVEEPFDQGEAVEYEDDSDDDYDGILKPAFAVDGDPDFKSGEPLDGFEYLRRVRWEAKQIPRVKVAKVDLSAARKEQTPYMPEIPDIPKCSTDLRASKQWEDAFITQFSETRMVFSEHDSSDEPSTSGMKINSKFSSSTEPQSDPTLTMLRSMDAVARAATLRNYIDMVQSLDSLSRNNCLWLFALCVAVDTPLDAETCASLRSLLRKSATILATKSEMDYEVVMLNILMAICGRYFGQYENRCD >Dexi7B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:7B:21331699:21332175:-1 gene:Dexi7B01G0015320 transcript:Dexi7B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Oleosin [Source: Projected from Oryza sativa (Os04g0546500)] MADQHRGGMGGGAGGYYGDLHRGGGGGHGGETQQRQGAMMTALKAATAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAITLALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKDAAQHRIEQAQGS >Dexi1B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:1B:26778200:26778648:1 gene:Dexi1B01G0020720 transcript:Dexi1B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLEGVEIDDAVDGFEARRDRRGGAAPVNGDREDRARSTWAEVVSEEKGREEEEVRRWESVEDDRKQQQHPTPTQWHNQVQGMREVK >Dexi6B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:6B:26236426:26238185:-1 gene:Dexi6B01G0019530 transcript:Dexi6B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDDCSWLLYLSLAAKCGGDPHRLLAFAAVFAVAFVVTALLHWAAPGGPAWGWYWWTRRAGLGILGAAIPGPRGLPVLGSMGLMTGLAHRKLAAAAAVDKARRRLMAFSLGETRVVVTADPDVARELLASAAFADRPVKESAYGLLFHRAIGFAPHGAYWRSLRRVASSHLFSPRQIAASAAHRAAIASQMLAAVPGAGVVMAPRRLLKRASLHNVMWSVFGRRYELEVESEEAAELKSMVDEGYDLLGQLNWSDHLPWLARFDLQRTRARCAALVPRVNRFVSRIIDDHRARLAAGDAASAAAMDFTDVLLSLQGADKLSDADMIAVLWEMIFRGTDTVAVLMEWVLARLVLHQDVQSKVHEELDRVVGPGQTVTESDTASLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGYLVPAGTTAMVNMWAITHDPALWSDPEEFKPERFMAGSPAADHAAADEFSVMGSDLRLAPFGSGRRSCPGKSLAMATVGFWLATLLHEFKWLPAEESGEPRGVDLSEVLRLSCEMATPLEARLVPRHGA >Dexi7A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:7A:11225259:11227630:-1 gene:Dexi7A01G0002640 transcript:Dexi7A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFFLVSCLATAFAVSCCHCHNLVQHAAALPQSLSTSSRWIVDEHGRRVKLACVNWPSHLEPMLAEGLSHRPLDAIAADVAAMGFNCVRLTWPTFLATDASYSSSLTVAESLRALNLTGALAGVAANNPGVLELGLLDAFAAVVRGLGASGVMVVLDNHVSRPGWCCRGDDGNGFFGDAEFDPDVWVEGLTRMAAMFAGEGNVVAMSLRNELRGPRQNIDDWYRYMQRGAEAVHEANPHVLIILSGLYNDNDLSFLRWRPIDLTFSNKVAFEVHWYSFSDPHQWANANPNHVCARVAASVWRRTLYLLDRGWPVFLSEFGVDNRGGNVADNRYWGCVAATAAGLDLDWALWALQGSYYLREGVPGHDEAYGMLGHDWLSPRNVTALQRVRALQSPFCGPGLAEVEPYKVMFHPVTGLCVVRRRSSVTVMLLDMEEQTLELGQCNKTEAWEYNEEHRLVLRDSWVRMCLRADGDGEPVRIGVGRAGCAGAQARWVLESESKLHVAVHAPWSSSRSEMLCLDVGLDGRSLVTNRCRCLTGGGSRCDPERQWFKLVSSTREVARSSSVVA >DexiUA01G0024930.1:cds pep primary_assembly:Fonio_CM05836:UA:52091571:52092885:1 gene:DexiUA01G0024930 transcript:DexiUA01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMGVTDEELQLLDAFEDVEYTRTRVEISLNEGSFASQDSSEKMLADTYVWSDAHDPNLYGEWDFEEWKKVHMKAFLAMTNGFMHGLQQSETKTRVETYESFMQQQEHPTLETHVEG >Dexi4A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:4A:7520458:7521876:-1 gene:Dexi4A01G0009520 transcript:Dexi4A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKPLDPRNQLKPSTKTPGCFLSKPVCASLVCGVLSLALLHLLCCSSPGTQQALLSPLRQYINNTYSFVSPGNNSCNYSEGRWVWAPGHARRYNATECNVKERQDCIRNGRPDTKYLDWRWQPTGCPLPEFDARTFLSAVHGKHVAFIGDSMARNQAQSLICLLTASPSFPYRLVYRDPGEHKHNFWRYAFPSHDVTVSYYWAPFLVRGKGKPEDDGIKENHVHLDVPGDRWAADADTIDVAVLAAGHWLLNGAIYYNASQVIGAHNAPPEYSNYTKIGYAWPLHMAYRTAVERLSSGGRTRTVVLATFSPSHFAGRPTDSPTACTKMEPYEEGEEELVWIFKEVRDVVYEEAELAKARVVAGDGDSGGATRIEVLDVTKLAWMRPDGHPSVYMNYHPFEHGVPERMYSDCLHFCLPGPVDTFNEILVQVLKRRR >Dexi5A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:5A:19306410:19313982:1 gene:Dexi5A01G0016680 transcript:Dexi5A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQNNMGKITVGVVSPYNSQANAIKVRLGTKYDKCINFDVRVTSIDGFQGEEDDLTILSTVRSNSKGNIGFLSDNHRTNVALTRARHCLWILGNANILSKSGTIWAALVLDAKHRECLFNATENAALAKLVLKVKTELDQLVDLLNFDSAAFCNTKWEVRMHSAQCSFQAPQVVDESLQALEVLVFVESSVAAAPSGPVVGGLKVYYRHKGCPRPSPPGTASSQPQAAANPPAPTGTSLPTAQESQIDDPAAVSRKTFIDNLTKRNEGLLVLPPLV >Dexi7B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:7B:24431096:24436113:-1 gene:Dexi7B01G0018790 transcript:Dexi7B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISKLEDDINRLHDQLRKAGVHLDENPISNKIFRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLIDSLDTLYIMGLKDEFQKARDWVAESLDFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAQDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGNPKYQQKVENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKRYRQMWETSMEGLISLTKKTTPSNYHYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKSEQIMNLAKEDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVHDNKGNGTPVRPFGRKQGKPE >Dexi2A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:2A:22194506:22194871:1 gene:Dexi2A01G0013590 transcript:Dexi2A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTLLALFIWAMVAAIFAVAMPAAARRDGIHPQDVSIGTYPSLTDQKICDPSCANVTFLRSMTGCRCCYFTRNGFWIQCGKACCGSDDENCCLGTH >Dexi7B01G0023810.1:cds pep primary_assembly:Fonio_CM05836:7B:28332628:28333206:1 gene:Dexi7B01G0023810 transcript:Dexi7B01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPSTSPSLVVRSPRQTVSLIRNRRPHRDWGNSSRPPSFAAHDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPALRSLGITYYPSKYWALAVPSFVIVAVALSMGIYMGLNFVATPPPTSFNTIFGEAEFPSSCK >Dexi2B01G0024000.1:cds pep primary_assembly:Fonio_CM05836:2B:33476112:33478745:-1 gene:Dexi2B01G0024000 transcript:Dexi2B01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGNGGYGYSSGSDGEDEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLHSRFVALKIQKSARDYAHAALHEIELLSAVAQGDPTNSKCVVRLLDHFKHVGPNGQHVCLITEFLGDSLLRLIRYNRNKGIGLSRVKEICRSLLVGLDYLHHELGIIHTDLKPENILLVSTINPAKDPVRSGFTPILDRPVGNQYGGTVISFSEKMLKMRARRAVAKISQRRVSLGGVAAEFEKERNLDGISLKCKIVDFGNACWADQQLAGEIQTRQYRAPEVIIGSGYSYAADMWSFACITFELATGELLFAPKNCQGCSEDEDHLALMMETLGKMPRKISGSGTRSKDYFNRHGDLKRIRRLKFWPLDCVLVE >Dexi3B01G0026290.1:cds pep primary_assembly:Fonio_CM05836:3B:21261335:21267772:-1 gene:Dexi3B01G0026290 transcript:Dexi3B01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAASSIFSPTTPRRLPFAPTPAPARKPLAAAAPKALTVSSQKPQRLLCPPASCAPAPPPSPPEGTEKPDPIKLAFARAAAYKKERDSPSPPPAPLPTPPTAPTPPSQPQASAGESGSKEAFQRALEYRNGNGTGARAGGGEAPLLGGSLDFGQNALLSEDVTFGKKGEYVFDETDFLGLDFFEKKRYKGPPPGLAPAFEPLTNDDFPEVEIIVGDPRKFEKSQLSTEIQPVDDKESEDSQSTSDMNEANKVEKAPPSTVIEQEQDEDDVYRPTVRSWGMFPRPQNISKAALREGDEMMNTGRLKQALPYYEKVMNAVDFKAMDFMKVNSTPVPKNTGYETYFTKFSGQKNYYASLDEPEVGLDQVIPYMLFLVSPIFIVAFAALRKSFQL >Dexi1A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:1A:2323069:2324586:-1 gene:Dexi1A01G0003230 transcript:Dexi1A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARRHLLSSKLTATSSSTASSPPLPRSLLDALSAAKESARVGTLRAADAHGLFDELLRQPTGVPERAINGFLAALAHAPLCAAYGRDGPALAVALFNRMSRVAQQLPTACTYSILINCCGRAHLPDLALAFFGRLPGRAWASISSHAIAFSRVCVMRTGQMRLSTFCKQKKSLWAIDLLRTMAKKGGVSAPNVVSYNTVIDGLFKEGKIAEACGLFHEMVQQGTRPCVVTYNSIVHALCKARAMDKAEVFFRQMVDKGVQPNIVTYANIIHGYSSLGHWKAAVRVLNLMVDDGIVPNHHIFNILINAFAIHGMMDDAMLMFQEMKLQEVKPDVVTYGTLVAAFCRMGRLDDAMDIFSNMTDQGVPPNEAAYYCLIQSFCSHGGLVKVKELVSEMIKKGFHLDVVFFSSVINNLCKEGKFMVH >Dexi5A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:5A:5294678:5299371:1 gene:Dexi5A01G0007170 transcript:Dexi5A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIREAITAKFTEYLRRAEEIRAVLDEGGAGPGASGGDAAVATRPKTKGKDGDGANGGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGFDVLILNLNGLGEPIISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEG >Dexi4B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:4B:7633124:7636183:1 gene:Dexi4B01G0010350 transcript:Dexi4B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRRVTHTTTTAAAAAAPRVSPLPLLARGVSDSTDTITVETSAPFMNHIVDPPSRSVTTTPHELLTFFRDMSVMRRSEIAADSLYKSKLIRGFCHLYDGQEAVAVGMEAAITRRDAIITAYRDHCLYLARGGDLVSAFAELMGRRDGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWKASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVKKLILAHDFATAQELKDMEKEIRKEVDAAIAKAKESPMPDASELFTNVYVNDCGLENLLYA >Dexi5A01G0025700.1:cds pep primary_assembly:Fonio_CM05836:5A:29515177:29519047:1 gene:Dexi5A01G0025700 transcript:Dexi5A01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYITAAAAGADAGDVLMKPKAPPQPQQQQQQAPRRGWRSAVVTGLLAGVLLFRAALLAVEAGASLCPSAAGCLDWRAGLGRWLYGGAGGDAMEEFMKEWRSHREATLLDPVVVEAAPDSLDALMAEMGAMLASYDDRLDMEAVAIKMMAMLLKMDRKVKSSRVRALFSRHLASLGIPKSVHCLTLRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVLAAAVAVSSAVRSAADPARLVFHVVTDKKSYVPMHSWFALHPASPAIVEVKGLHQFDWRDGGVIASAMRTVDEVQRSSLEYHRRCDGSAEREHRRLEASRPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLTGLWEQDLDGNIIGAVGAHEGGGVCIDRTFGDHLNFSDPAVSGVHSSQCAWLWGVNIIDLDAWRRTNNRESGFRLWQMASLPPALLAFDGRVQAVEPLWHLPGLGWRVPDPEMLQFSAVLHFSGPRKPWLEVAFPELRQLWLSHLNASDSFLQGCGVVEWQ >DexiUA01G0003670.1:cds pep primary_assembly:Fonio_CM05836:UA:7537987:7538974:-1 gene:DexiUA01G0003670 transcript:DexiUA01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAQALRALLARCSTKCHRRASPSYSSSASAAASFSTLSRIARSPSLPASRPRCLSPSPPSAARTQTLSLASEAARGGVGGRQSSTDDDEEWGVEWEDSEDDGHEPEIGDGGDGGGFVLRDVKWGERALAAAREVLAGHFGDDVAMFAFKVSPKGYVYVRLDKLTNIGYHDHVHC >Dexi9A01G0029180.1:cds pep primary_assembly:Fonio_CM05836:9A:34036769:34040532:1 gene:Dexi9A01G0029180 transcript:Dexi9A01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPAAVAGGGGLHLNPAAVGGGAFAPVVVPMSDQYYEVAELTWHKGNISSQGLLNRPPPNNTKYPPAAAAAAAPASQQLEGIAGGQDHGAAGEAAGGDHETLEAVVGEAAARSHFLSHQQQQQPTPPVVVHVHPAAWLGAVAARTGADALVPCGAARAVAEERDAGGDGDASRMRKRARVVVGDDGMVCASQGSAAAAAPRGESALLTLDDYGCGGGGGTGGGADDMCGFTTTTTNNSTSLERAGDKGSPDTENTSIGGGASDSRCFSRHGLCDEGENVVINGDGAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMSSMMMPMAMPQLQMSAVMAQMAQMAQMAQMAQGMMNMGSLAQPAYAAAGLTPPLMPPFVPTMSWDPTATSTAAADRTQPPPAAVPDAFSAAFLACQAQQNGQQHGQVRAHDTISKGRLLIVTNLSQQQQQQGSMEVYNKMLSLYQKMNNQQQQQQQGQQPSSSSKQ >Dexi7A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:7A:25310485:25312016:-1 gene:Dexi7A01G0015490 transcript:Dexi7A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGALVLIVLWSLLQLGAAKTKPLVPAMFVFGDSLVDVGNNNQLAKCNVTCQANYQPFGVDYPPCHSPTGRFSNGYNLADQLAQLLGFDESPPAFLSPSNASASRRTSTGINFASGGSGLLPTTGSQFCGEVFTMAEQVGNFTSLVRSSGRKDRTAADLVSESLIFISVGSNDLFEYADYITKANISSSNRNDTEYLQGLIASYTSYIKELYAAGATKFGVLSPSLVGCCPLQRALAKEFNGSDQFGCLGVANNLSQQLYPMIASMLQDLSMELPDMSYSLGDAIGMAGFVFKSTNLTFDHACCGSGDFGENVCNSSAPLCQNRSSFFFWDRFHPTDAVSAITANELFQGPAGRFVHPINVHQLMAPQP >DexiUA01G0008260.1:cds pep primary_assembly:Fonio_CM05836:UA:15406856:15409152:1 gene:DexiUA01G0008260 transcript:DexiUA01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSSRRKERMRPSHHAPYALGGTRATKGGTKGRDLFGLQAATRLHEAGIAGNQPYDGESRAPGEITHVDLRRRQHPIRFPPRISLIVAYLAHALEDVEDPDPRCRGGSRGLPTAPPVLYVALSPRRQRILSGRLRFHPVSLVACSIGSIIRSQRDRRPCGDLLAAPGRDRPPSAVAPAGSGWGFAASWKDPPLLQLAICRSTLSASFSLVRPLALWYTDKIEGESTLQLGAPRVLHEMLLRVFSFARSLIEVTSPTTRRHCASTSTPASTSPASSLPSTMRHGYMGGAPSSWPLLPLVDPRRLVFTFTDRDASIDFVPSIPTCVIHSAPVTSVFVLRFLSMEGYRSQVPSVVSFAIASSPPSTTSSTFVFDYVTSE >Dexi6A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:6A:16207482:16224001:-1 gene:Dexi6A01G0011390 transcript:Dexi6A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVAGPHPGAAFAAHRRCLHPSAADRSLLSSSPLLPFSTHRLLVSSLYHAASHRSSHLHAAVVSALIPPNSISQRCWKCEKTQNGSFGSSLRITHLPGLLHRDVSKILLPPTAAVSVEQAEATADLPKGDMWSVHKFGGTCMGTSQRIQNVADIVLRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMRTAKDLLAGDDLARVLSQLHADITGHATESFSDFVVGHGELCWMDTREVLVVNPSGSNQVDPDYLESEKRLEKWFARRPVETIIATGFIASTPDNIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNTSAPGTMICQQPVNENGDLDACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVASVSAALHARFREALAAGRLSKVEVIHNCSILAAVGLRMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIVGPGLIGRTLLNQLKDQRILDFFARRRSSADCFLICTEDVEAPSAAAAAGLFRRRRRLLLPSPRPPCAAAAVPPPPRAPSAAAGHSLPPSLDVASAVRPSPPPSGRRLLRRSSPPPSGRLPYGTLSYIFNNFEGARTFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPEALRSCTSADEYLQKLPSFDQDWARERNDAEAAGEVLRYVGVVDVVNKKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >Dexi1B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:1B:338163:345701:1 gene:Dexi1B01G0000320 transcript:Dexi1B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSASRREARSGELPKAATIALRDAATKAIDLAKTAAAREATAAAVRHEGWMVRYGRRKIGRSFFHTRYFVLDSKLLAYYKKKPKDNMVPLKSLLIDSNCRVEDRGLKTHHGQMGAYEIEDAMAWKKKIELVIDQQQDSMTAKNRKAFASMDFDMDLGGQFAFSDHDSAAEDDEERPTLTRRTTIGNGLRIFEELLEFDYLARSCSKAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRHGSLVEEVDGHTAILYHRLQLHWCPMLIWPRDLCYARYWRRNDDGSYVVLFRSIEHPNCGRQRGFVRALIERLREYFSQTDELHITPRIPVMETMVDADSEPKSRKLQEVDSKTKPVDPRQADNKNMGMIDEESDEDDEYQVAEADLELAAIDWFKDTKRMDNVARQKGCVAQVAAEKGMHTFAVNIQIPGSTHYSLVMYFVTSSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWMVRQSVGSTPCLLGKAVDCSYVRGPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPATAVVPDLSDNTRADKNGGDASSEDDLSKKIN >Dexi3A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:3A:15642141:15643104:1 gene:Dexi3A01G0019720 transcript:Dexi3A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPDGGDHGGKHGDKRGKRRLPGPEILVPVSELPEFLRCQQEDGLKEVHKAMGRCLGVALNTFRDLEQPYCDLCVSTGFLKRAYFVGPLSLPLPLAGASASDSPCLRWLDTKPSCSVVYICFGTFAPISEDQLRELALGLEASGRPFLWVLRADGWEERVEEREKLVRGWAPQTAILAHPATGAFLTHCGSSSLMEAASAGVPMLTWPLVFDQFIEERLVTEVLRIGERVWNGPRSTRFEEREVVPAAAVARAVASFLEPGGTGEAARGRARELAAKAHDAVAEGGSSFCDLRRLVDDLVESRSAAAQPGRRVREQ >DexiUA01G0011280.1:cds pep primary_assembly:Fonio_CM05836:UA:22761277:22763448:1 gene:DexiUA01G0011280 transcript:DexiUA01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFSLYICACSLAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATALLIHFGQVLGMSKRKDFNWITAKKLLLVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVSGCIRGKGKPPTQVTLSVVCTAAGVLIAALGDFSFDLYGYCMALTSVFFQTMYLVLVEKSGAEDGLSSVDLMFYNSILSLPFLFFLIIATGEFPHSLAVLSAKTAYLSFSFILVVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLLINTFGGVWYSYAKYKQKKRTTRKIEPDVESHAHK >DexiUA01G0022150.1:cds pep primary_assembly:Fonio_CM05836:UA:45309321:45311327:1 gene:DexiUA01G0022150 transcript:DexiUA01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVRFRLFDGTDIGPTKYDPSTTVSALKEFILARWPQDKDIAPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVV >Dexi5B01G0038540.1:cds pep primary_assembly:Fonio_CM05836:5B:37723553:37725027:-1 gene:Dexi5B01G0038540 transcript:Dexi5B01G0038540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKLIGAFGSPAVHRAEAVLRLKGVPYELILEDLENKSELLLKSNPIHKKVPVLLHGDRTVCESLVILEYIDETFDGPPLLPADPYDRAMAQGETQKESVKESKENLAFLEEQLVKGTKRFFGGDSIGYLDIAACGMAHWLGVIQESAGVSLVSDEDEFPALRRWAEEYTSDDAIKPCLPDRDKRLTHYVANIDKYRLMVKAPPA >Dexi9A01G0048440.1:cds pep primary_assembly:Fonio_CM05836:9A:51245796:51246456:1 gene:Dexi9A01G0048440 transcript:Dexi9A01G0048440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTRSGAAAGDHMDAMESCVPPGFRFHPTDDELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQASKLSQSSTSY >Dexi7B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:7B:24259312:24261548:1 gene:Dexi7B01G0018540 transcript:Dexi7B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLSTVQTPGLLGARGVAASSPVSSPARRHAHIQVCCKGNVEGLEAAGHEERLRFRRRDFIGGCVGTAIGLEMIEGSTKFTGVATAADLIERRQRSEFQSSIKDTLYKAIKAKPELVPSLLTLALNDAITYDKATKTGGANGSIRLAQSALKKSFLDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDRTFGRADTQEADPQGRVPDWSKASVQEMKDRFVAVGLGPRQIAVMSAFLGPDQAATEERLIADPDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLGSLGQKINYEAYTYPKQKIDLGKLKL >Dexi2B01G0035330.1:cds pep primary_assembly:Fonio_CM05836:2B:42397243:42399505:1 gene:Dexi2B01G0035330 transcript:Dexi2B01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSALQSAREWVVDHKLRAVGTLWLSGIVGSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHQQGSGSKVHQYAKQFISSDGSSQKE >Dexi4A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:4A:22165028:22165426:1 gene:Dexi4A01G0018190 transcript:Dexi4A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERGGGGEAAAGLMAAGGGGWPPRPWRTPTPYLFLALTAMMAAIVVALLVLVCTRRKPGQPSSSSRLQETEPDGGEKVASVLMLVPLDREPRVVVIMASERAPSFLASAKPLAHVPSIAATEADGAAEAV >Dexi6A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:6A:7213001:7219392:1 gene:Dexi6A01G0007270 transcript:Dexi6A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding RASEMSSRCRASSSHGRRLLWELLLVCSWVAVARAQQTARTDPIEVAALNTILGRWGLKASAAWNISGEPCSGVAVDTTDVDNNPNINPAIKCDCTYNNSTVCHITKLKVYALNVVGQIPTELQNLTYLNNLNLQQNYLTGPVPSFIGKFPMQYLSLAINPLSGTLPKELGNLTDLISLGISLNNFTGELPSELGNLANLEQIYFDSSGFSGPFPSTFSKLKNLQIMWASDNDFTGNIPDFIGSLTNLQDLRFQGNSFEGPIPESLSNLTQLTSLRIGDIVNGSSSLSFISNLTSLNVLILRNCRISENLATVNFSNLAGLTLLILPSGLNCLQQDVPCFRGSPEYFTPTVRNGVPKKKSKAGAIAGIVIGASVLGLAALVGVFMLVQKRRRVSQQQEELYNMVGRPNIFSSAELKLATDNFSSQNILGEGGYGPVYKVGGYLAPEYAMRGHLTEKADVFAFGVVALETIAGRPNTDNSLAEDRIYLFEWAWELYERDEALGILDPRIEEFDSEEALRVIHVALLCTQGSPHQRPPMSRVVKMLTGDIEVTEVVTKPSYITEWQRRGGNTSYVTTDYSGDTTGEFSAQRETITPLTPSPAMTGVIDEGR >Dexi2A01G0022490.1:cds pep primary_assembly:Fonio_CM05836:2A:34345926:34346681:-1 gene:Dexi2A01G0022490 transcript:Dexi2A01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVRWWKLFTPDQCDAVNRLVAYFSVPFFAFDFASRIDPFALNYRVLAADALAKLAVVVCLAAWATAAACASASARRRGKEGKAGNNKDRALSWCITGFSLAALNNTLVVGVPLLDAMYGKWARDLIVQISVVQIIVYFPLLLLVFEARHAWGAAGKPPAAGEEEAAVAGDVEGTGGETEARSSSFWPLVRAVWMKVARNPNVYAGVLGVAWACVTNSVN >DexiUA01G0021380.1:cds pep primary_assembly:Fonio_CM05836:UA:44308991:44313031:-1 gene:DexiUA01G0021380 transcript:DexiUA01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLLSSIPADIGALSKLGILDLHSNQLKEYPVGACNLKLSFLDLSNNSLSGLPAELGKMTTLRKLLLTGNPMRTLRSSLVSGPTTTLLKYLRSRLSSDEEASGFGSTSTPTKDDQIATARRLSLSSKELDLSGLGVTSVPAAAWETSDVVKLDLSKNSIEDLPNELSLCSSLQCLVLSNNKMKRWPHAVISSLPSLSSLKLDNNPLAEISSTDLVSVSKLEVLDLSGNASALPEPSAVSVLPQLQELYLRRMKLHEFPNGLLGLKQLRILDLSQNNLITVPEGIKNFTALIELDLSDNNITALPAELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAE >Dexi8A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:8A:18053465:18054469:1 gene:Dexi8A01G0010560 transcript:Dexi8A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCTGEGVLFIAAFARCSLREIGMLDVPFKAKHLSALAPRYGDRRRLDDPLLMMQVTEFSCGGYLVAVTWNHAIADGFGMAQFLRAVGEFARGLPAPSILPVRYDQSLRDIPQPPFASPQRPHIDLATCDITVPWSFVTHVKAEWRRRQARDSACTVFEAVAAAVWRCRTRAIRAGPGTPTCLVFSANVRRLVGAKHGYYGNRITSRLVSATSGAVAHGSIPDVVKLIKDAKERIPTDLIAGPGKKLDDEALIDTLRGYNALYVSSWGGLGMDGVDFGSGVPVRVVPAPEKMVVPLCVVCLPCTSVDDGVSAVTFCVTEEHVVEFEAELARLS >Dexi3B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:3B:11435872:11436307:-1 gene:Dexi3B01G0015790 transcript:Dexi3B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRHRTAETSPLHRERARHTKINREGEGDGAWGGVTVSRAPPPHGAGRRGHTRSAKRGRDHLHGQLLPFPLARAVRPSIAYLPSPCSTRFISRRPLHITTVSHPSLKGRTPSSFLRAQSIRRRLQLASP >Dexi3B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:3B:10320426:10320788:1 gene:Dexi3B01G0014270 transcript:Dexi3B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVPVEWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRQAEEERRAPRPPLVVSHHPSAPAPKPAAAAAEGAAAQ >Dexi5A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:5A:24779811:24780149:-1 gene:Dexi5A01G0020920 transcript:Dexi5A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALLLLRETPSCTLAGLAAAVALVWLAAWTLEWAWWSPRRLERALRAQGLKGTRYRLFTGDLPENAQLMTKPLPLGCHDIIPRVLPMHDKVVNENDRSHRI >Dexi9B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:9B:14936643:14941873:-1 gene:Dexi9B01G0020170 transcript:Dexi9B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDAAQSKRPIVLCCMMIVCLCLLFLYFSGSKGQAGSTAFEYGTKFSRTLGWGSDDGEDGSEESIFGTGDADDIKPKNFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANVKMHRDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVENYWSLLGPKVKPDAIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKSIVIEFIKKYLHALHWEAITVVDVEPSPESEENEMIMIIRKKLWLPEAGSQDSST >Dexi7A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:7A:24555038:24555793:1 gene:Dexi7A01G0014590 transcript:Dexi7A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQVLPHVSEGCTCSSSNDSSSNSSSLNASSPASSSDDSGGGNSKGTKRPRRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDNPEMAARAHDAAAVAIKGRAAHLNFPELAHELPRAASAAPKDVQAAAALAAAATLVAAAAAASPVVPSHEIDDGDTAPDQAVGQHEQAAPDLGTENAAATFCGGIELLDLAFLDVPDTTLDFGYMLSPVPLPPSYCGLPWDGVAIGDELCFEEPLLLWEH >Dexi1B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:1B:4499873:4504668:-1 gene:Dexi1B01G0005490 transcript:Dexi1B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQLTALAGGAADEMEEASLLASYDEEAGGLRGEEEAGMRRVQVRVAGMTCSACTGAVEAALSGTRGVRRAAVSLLQNRAHVVFDPALAKDLVLYLKAWFVQDEDIVEAIEDAGFEAEILPDSTVSQPKSQKTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALAMSLGEVEYDPSVISKDEIVQAIEDAGFDAALLQSSEQDKVLLSVTGLHTEGDVDLLHDILTKTEGLHQFSVNFANSEVEIVFDPEVAGLRQIVDTIEMESNDRMKAHVQNPYLRAASNDAQEASKTLHLLRSSLLLSVPVFFIRMLCPHIPLISSFLVMHFGPFRIGDLLKWILVSMVQFVVGKRFYVAAYRALRHGSTNMDVLVVIGTTASYVYSACALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELAPATALLILKDKEGKYSGEKEIDASLVQPGDALKVLPGSKVPADGIVIWGTSHVNESMVASIFVPIVITLSLLTFLAWFLCGWLGAYPNSWSDESSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTTAKIFSAMELGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSAKDSIKKRKDEILSQWLLEASDFSALPGKGIQCWINGKKILVGNRALITENGVKIPDEAEDFLVDMELSAKTGILVAYDGGFIGLIGITDPLKREAAVVVEGLKKMGVHPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLMLRRYRKPRLTTVLQITVE >Dexi9B01G0031090.1:cds pep primary_assembly:Fonio_CM05836:9B:33525757:33527439:1 gene:Dexi9B01G0031090 transcript:Dexi9B01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKTWCLLVSHLVGSANGIAIVAMQLVLIWSIASTAMLVTSGARPPSAASLAHCPTTCGDVSIWYPFGIGPGCFRQGFEVTCNRSTKPWKLFLGDTRTQVMGIYPSGTVIASVVYTIPMSPGVGTYNLSWQSPGRNLNIESYNYFAFLGCGIGVYLFHPDTGDLVGHCTTKCSSMAAMLIATAGGSCNGMGCCTVTFPVPFRGFRVTIIKNNDTIPQPFSDVTVKAFLSFRPYKFSIMDLLSDKINASTVGSLSAYLSTVIADEPNCKRAQFDNKTQYACSNSNCMDVQNGGYSCACSGNFDGGNPYLLDDCKQDINDV >Dexi6A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:6A:2915530:2915839:-1 gene:Dexi6A01G0003260 transcript:Dexi6A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSGFRRMFSVSAFAPPKPPTPRPQADPSPNLFVSGARSLLLLLLLPHSRSPFSGGTYGGAAMSSVDLTRLGISL >Dexi9A01G0038650.1:cds pep primary_assembly:Fonio_CM05836:9A:42819673:42823187:-1 gene:Dexi9A01G0038650 transcript:Dexi9A01G0038650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLRSLLVASLVLSSAAVLVAAAKTINPYKVLGVDKDASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPGFGGGNFGNSQGAYFTGGGPKTSYFTSGDGWQTMGGQGNGKTFSFSFGGNPGSSGGNPFGGGFDLGDVFSNFFGGGSTGGSQHGKSAGSARAKTGTSGQHSGAVKIQDVTTQIFSKEIADQGITWLLLFYTPMSKDQFVLESVMQDVVQSLDGALRAGKINCDNERSLCKKAGVSLGKSARLFIYSYATTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRVDIGQFSFPSNLLPNLPQVLLLSSKKDTPAMWRALSGMFRNRLIFYDAEVHDVSHPLIKSLGVKNLPALLGRTVNGEELLLKDGISVKDLRSGIRELKTLLESFEKKNKKLVSNQANKKPSNLREENKVPLLTPSNFEEICGDKTAVCIIGVFGSSKAKAQLEAVLSEISKKTLIRGQNYNSGSALSYALLDKNKQSSFLSSFDKSGFKSSDMLLIAYKPRRLRFAVYNDEVTLEEAERFVGSILNGDVQLSPTKQKPVLR >Dexi8A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:8A:30596415:30597587:-1 gene:Dexi8A01G0018260 transcript:Dexi8A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNANVIIPKGFGGLTGLRVLLGFPVHMDNIMDGSWCSLEEIGPLSQLRDLSLYGLQNVTTGLFAEKARISSKGHLDYLELNWSSSGWTEPGDEIEEEKQQQQHIAEEVLEKLCPPPLIQHLIIQGYFGRILPNWLRVPAATGAFKSLMLLRLEDLLCCTKLPDGLCRLPSLKALEIKDAPAIKCVGSEFQQAASSRALKRISGLSGLHRIRIVSCPNVEVLEGVPSLNILVLEDVNMEALPGYLRDVTPRYLKLDCNKKLYESIYSQSSCERDKIRHIGKQEINCIEDSDAESDED >Dexi7B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:7B:20438626:20439246:-1 gene:Dexi7B01G0014040 transcript:Dexi7B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWKAVNMNPEPSVAAGFAGAVAAAASHTFDTAKSRSECTVVPKYIAMERKFLKWKAPGTWIERKTGISPADRNVLFRGIGLRMARSGIASIILVGSYYVVVDYIS >Dexi9B01G0034970.1:cds pep primary_assembly:Fonio_CM05836:9B:36752502:36753066:1 gene:Dexi9B01G0034970 transcript:Dexi9B01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRASVLLLAVAVAAAASAAASSAQTSRLGRIVVSGVVPCNTGTFIDMEADAVTSTLGVLLGGCDLVVDTPLAKCNATLPAAGALVSSLQGPLGGMLGGVFRLAPAGFSFRMN >Dexi1A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:1A:6873752:6874801:-1 gene:Dexi1A01G0008730 transcript:Dexi1A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPKGPPPPATKYFWGDTPEPDEYYATHGLRHTDSYFQSPHGRIFTHAFHPISSAHDGDVKGVVFMTHGYSSDTSWVFQAISISYARWGYAVFAADLLGHGRSDGIPGYLGDMEAVAAASLSFFLSIRGSEPYSALPAFLFGESMGGAATLLMYLRSPPEARWTGLIFSAPLFLIPDGMRQSRVRMFLYGLLFGLADTWAVLPDKRRAPASGAGGAIRDPEKMRLIVSNPRGYRGAARVGTMRELARVTDLLRASFGKVTAPFLVVHGTHDAVTSPEGSRMLYEQAPSEDKELILYEGMYHSLISGEPDECRDRVLADMRRWIDERVRRYGPAAAANGDGGKEAPAP >Dexi5B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:5B:7656205:7665248:1 gene:Dexi5B01G0010850 transcript:Dexi5B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETTNDTAVAQENEVAPNEEAVQGDELVQAEELAQADEVVQGEELAQDDELAQGDDLVEGHELVVVEETTPRTGTRRGRRKKSLVWEHFTIEEVAGGVTRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKNQEQRLALPSGGGTDNDGEGTMERPTKRRYRYTGYANAAFDQDRSCSYLAKMIIQHDYPLHIVQQPAFATFIESLQPRFKIVDIDTMEAEVYAVYQKEKDNLMQTINTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKVHRRMLNFMMVSSPHSENALSEAISMSLSDWNMKDRLFTITLDNECSSHDIYSANLRDHLSNKNNLMLKGQLFVVRCYAHILNAVAQDVIASIHDPTFSSIAKDMHERFDKYWKDCSLVLAIAVVMDPRFKMKLVEFSYSKIYGAEAAKYVKVVDDAVHELYKEYVAQPLPLTPAYPITMAEETANDTQVAQDNEISHNDEAVQGDDLAQGNGLVQGEELDQGNELVQGEEVAQGDELAQGDDLVEGHELVVVEQTTPRTGARRGRRKKSSVWEHFTIEEVAGGVTRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKDQEQRLALPSGGTDNDGEGTVERPTKRRYRYTGYANAAFDQDRSCSFLAKMIIQHDYPLHIVQQPAFATFIESLQPRFKIVDIDTMEAEVYAVYQKEKENLMQALNTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKLELTHGTEHEDPVFSSIAKDMHERFNKYWKDCSLVLAIAVAMDPRFKMKLIEFSYSKIYGAEAAKYVKVVDDAVHELYKEYVAQPLPLTPAYAEHCQANNGPPNANNSQGAPASTVYLVNVVM >Dexi5B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:5B:8999237:9001433:1 gene:Dexi5B01G0012670 transcript:Dexi5B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVASSAAQSAMGTLLRKLGDLVAERYTLLGGMHDEIQELKDELESMTACFRDVAGWFGVTIPPVTKLEDLRSGHDPTDHMRTWMKQVREIGYDAEDCIDIFLHHLSKHSGDNRSLGRHIHKIFNFLRTLKVRLKLVTEIQSIKSRAQKVSERRLRYKLDAMDMKDNMPSSSSYVDVDRRLPALHGDESPLVGMAENKQKVIDLLNKDDMKLRVISVVGIGGLGKTTLAMAVLNSREEDRNQIPSGQPNILIHAGQPNIQIRAVVPVSRTYDLRALLQYTVRELHRRPIGQGEDPLIKDIGSWDIPKLIQRSREHLVDKRLLLSSLAQ >Dexi6A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:6A:5961411:5962283:1 gene:Dexi6A01G0006240 transcript:Dexi6A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASYHLLAVFLALASSLAIASDPSPLQDFCVADKVSPVKVNGLVCKDPMAVNADDFFKQAYLDKPRDTTKSKVGSTVTLINAMELPGLNTLGISLARIDYAPFGENPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHN >Dexi5A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:5A:6175978:6176220:-1 gene:Dexi5A01G0008270 transcript:Dexi5A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVRGTTTTEGENGEKRPWKCCDKSVTGPTTEGKVVWYCMDKVKKCTCNSCYELVASQSYYCLDGYSGISPGPSCTHA >Dexi5B01G0034890.1:cds pep primary_assembly:Fonio_CM05836:5B:35035138:35037310:1 gene:Dexi5B01G0034890 transcript:Dexi5B01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRHEINGHGAAAAAAAVGGGGGGGRAGDSGGGGGEAQEDDLFMPGFRFHPTEEELIEFYLRRKVEGKRFNLELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENHRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPDHTDGYLKTEISLCRVYKRTGIDDGHVHPCSARSTPSRRGATAPQQDIKPQASSSSTPTPPTTPSKMMHLLHGECTSPPTTNVRDHHAAATQAHKATAAAQRQLPTKPPCNNGGYFLSTASSAAVGASDQVGAAAAMASSYDQPRNANAFASTYSLISLVNAASMGGAAAIDELSSLVGHGSPAYFSHLQAGGGSGHSHSFLPLLSTPSSSSSQPMVAALGTTTVPMMSVAAISDKIWEWNPAAVPDAAGRDYGGAGFK >Dexi3A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:3A:13929943:13930747:1 gene:Dexi3A01G0018230 transcript:Dexi3A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLLPGYLAVPEPTVAAPPQLETTQQTCTIDATALGSLQQGAPSCPPALVDWASLLLPRAPAGSLHDMVAGSGKAESSGGGGVEMAVAASYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQLLSQLQCTTNQLI >Dexi7A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:7A:21906350:21907092:-1 gene:Dexi7A01G0011400 transcript:Dexi7A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQPGWSNLPSDLLGLIGKRSRDAVTGLAEFRSVCRTWRAAVGPAPRLLLPRAGSASDPKLVFPLARGWSIVVDARDASCHLSHLATGATAALPKLIAVRDRDGSGIGHLIYEHRPDEYTAIYCWALYYSYIAFTDSLRFAVHAPPDDSPAAAAGMTIMMYHVMHGRTGMLFCRPGDAAWTKVENPNRLDCGYFDFVYHDGRMYGLVANGQMVVYDAATLDVLHLNN >Dexi3A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:3A:352562:366932:1 gene:Dexi3A01G0000370 transcript:Dexi3A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRRSEEEGEAWRRWSVLVATVWVQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKAFGWSSGLALLHMPLHGVLLVSAAMGFAAYALQYCCLAFLNTTSAGAPMAIPYPLAAIDGRFVASDLVGLSSFALSGYLQKPIRGRAEQLDGRKQHTHLRRSPETALGGAAGRHEQVLACSSSSGEAHMVDLPGRRKPPPVMSTARRPRDADQVFLVCLIAGCSICWFNTVCFVLCIRSFSTSNRPLALSLSISFNGLSAAFYTLFANALSPLSPSIYLLLNAILPLAVSILALPVILLCHTNDSHLHSVPNHDRRVFLGLYILAFITGIYLVAFGSFTTTSSTAWVILTGAMVLLALPLIIPACSHGPDPAQPLSHDDPHKPLLVSNNDQTESDAMMEKAMEHQLQGSCCGTILDKGRLVVLGEEHSAKKLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSRLTMLLAVYSSFSFFGRLLSALPEFLHRKVSLARTGWLAAALLPMPMAFFLMWKQQDGSTLVVGTALVGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSFLYGQIAALGWPERAEAAAAAAAMLRRQSSNLTTHALDSNRGHGGDLADDRRTYTSSPMSRDQPCLLALLPPPSPPRCSLHAVQPQREPAVTDVVQEEAPRSPETQGKDGEEEQETGAQRGALGWLRLDGVAVDILSIAVPAVLALAADPITTLVDTAFVGHIGSAQLAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVVDDSSNSIGQSFLWFTSIFLSDSPMRAPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGGLLIGRTIAVLLTMTLSTSLAAREGPVPMAAYEICLQVWLTISLLNDALALAGQALLASEYAKRNYKQARMVLYRVLQVGGVTGVALAATLFIGFGSLSLLFTDDPAVLDIAQSGVWFVTISQPVNAIAFVADGLYYG >Dexi5B01G0030440.1:cds pep primary_assembly:Fonio_CM05836:5B:31332676:31333075:-1 gene:Dexi5B01G0030440 transcript:Dexi5B01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHVRQVPSLLPLRRCSSATDNVSRKDAGAGGDRAEELHDGEVVVGGVPAHGVPDLAPVDAVQSGSSSSLVRPIPAPAAPGGFFSHSSATRRCSAPISCMNLPVGMASSRSRMGTLPGVSCTARVTRALR >Dexi4A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:4A:21843576:21845000:1 gene:Dexi4A01G0017910 transcript:Dexi4A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLLPCTTATSTLLIAILVMAATTTTTTTAAALVFPKGAHPTTSGYLNISSTNSLYFAFYEATHPDHKVHSNNNILPPTSSTPLIVWLQGGPGCSSLIGNFEEIGPYVLDCTFLSHNPHRWNRRFGVVFIDNPLGAGFSVPASKHDIPTDQTTIAAHLHAALQSFMALHRGFRSRPLFLAGESYAGKYIPAAAKYILDANANATGDEDEDKKLRLEGIAIGNGMTDPVTQVTVHADQAYFAGLINAEQREILENNQSAAVERVNAGDWLGAREQRNWILDYLKNATGVATLFNYARAEPPPLRPLTDLVNTGKVKAALGAHNVTWVRCRNLTEEFAEDNMKSVRDDVEAVLNATTAAEGGTTGPRVLLFQGVYDLHLGPASVEAWVREMKYWPGLKGFLAANRTVWKPWGDGVLAGHVQMWGPLANMVVAGAGHMAAGDNRPATQAMIEAWVRKEWPFAAKKAGGLAVATYG >Dexi3A01G0022790.1:cds pep primary_assembly:Fonio_CM05836:3A:18383750:18384146:1 gene:Dexi3A01G0022790 transcript:Dexi3A01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAGGGSGLLPSESRLALAPLPASSPLPPAPRPTSSEPMVWLSPVPFLLHRTAVAKKKPAPAGGGVVVAVHLPTNFNVSSPLKVWKTKIASVLPVSPSIL >Dexi1A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:1A:19867766:19869798:1 gene:Dexi1A01G0013990 transcript:Dexi1A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVNHLWYDSSEKKRIPAWCDRILYRDNRASSDIECSLECPVVGSISLYDSCMEATDSDHKPVKCVFNLDVAHMDKQTMRHKYGEIMTSNKKVLYLLQGLEAFPEVNISTNGIILQDQSPSVVKLHNRSTQELACFEIIGQTANSSGTPLSGFPSWLKVSPAVGIIYPGQSLEVTLQHGQLRSQDYLTGTSCNSSGADQEKAATLLVIITGVYSTAGRDHRIHVQHQSSRGAFPSRGYNIADRFFG >Dexi3B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:3B:8799819:8804162:-1 gene:Dexi3B01G0012530 transcript:Dexi3B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGGGGGGGALKRMGPLRVQYYIVMGAVAAAVVLATLRYMPGPAAASVVRPSASAAATAPAVGAEAAAAVVEVEDEEEKGKKKKKGDGVVLFNFGDSNSDTGGVAAVMGIHIAPPEGRAYFHHPTGRLSDGRVILDFISVGERPGLGSLSSASLLRRGVRLAALLARLVIQAQVAAKDRSRVVGESVGTHHLSPFMKPLGSDFTNGVNFAIAGSTAMPGVTTFSLDVQVDQFIFFKERCLEAIERGESTPVDELGFQTALYTMDIGHNDINGILHMPYDEMLANLPPIIVEIKKAIERLHKNGARKFWIHGTGALGCMPQKLAMPRDDDSGLDEHGCIATINNICKKFNSLLSEALDELRLTLKKSAIVFVDMFAIKYDLVANHKKYGIEKPLMTCCGHGGPPYNYDPKKSCMTSDEYLCKIGEKFISWDGVHFTDAANGIVASKVLSGEYAIPRVKLASLVNTAKSDD >Dexi7A01G0022990.1:cds pep primary_assembly:Fonio_CM05836:7A:31124504:31129325:1 gene:Dexi7A01G0022990 transcript:Dexi7A01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRRPRLAAASPPTAAGEPPAAGAAATSVPVSLEEHDRIYFQSYSHIGIHEAMIKDRVRTDAYHSAIMHHQKFIEGKVVLDVGCGTGILSVFCARAGAKRVYAVEASEIATQACEIVKANNLTDQVVIIHGRVEDVDLEEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNPERYEGSVDFWCDVYGINMSALVPLAKKFTSEEPSIETIGGENVISWPSVVKHIDCYNFTTEEFKSITAKYKVSSMMLAPIHGFGFWFEVEFNGAQESSPNIPSDLNPLEIVQKKRRRSSEDTVLLSTAPEDEPTHWQQTILYFPDPIEVKQDQTVEGSVTVSQSEENPRFLNIHLECS >Dexi8B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:8B:24321496:24322569:1 gene:Dexi8B01G0013940 transcript:Dexi8B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTTSLAAPTGGSASTITADVETGTHDLTVHGYSGTVALGVGKPITSAAFTAAGGHSWHIRYYPHGDSKDSVDFISVFLNLAAGDDNDDVTARFTFSLIDVSTGEPAPSTIASAIKLRTFCANTPSWGFRKFIKRDILEEYLKDDSFTIRCDITVVKITSETTPVKFHVPPSTDLPRHFGELLVSKVGADVRFKVGRETFMAHRNVLAARSPVFKAELFGWMKEKVAGGQVRVDDMEPGVFEAMLHFIYTDTLPEIEEGDRRAMAQHLLVAADRYGLERLKMVCEEVLCKFIDTSTVATTLALAEQHGCHGLKEGCFKFLKTPGNMKAVVASDGYDHLARSCPSVVKELLVNVFP >Dexi4B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:4B:22880098:22891665:-1 gene:Dexi4B01G0020790 transcript:Dexi4B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPAKRAKRDPDAAPPQRGEDDYVPGNIVEIELCNFMTYDRLVCRPGSRLNLVVGPNGSGKSSLVCAIALGLAGDPNILGRASSVGAFVKRGEVAGHVKISLRGDTPEERICITRKIDTKNKSEWLLNGTIVPKKEVIDIIRKFNIQVNNLTQFLPQDRVSEFAKLSPIQLLEETEKAVGDPDLPVQHHQLVERSKELKALEVALKQKEHTLNTLKALNAQQEKDVERVRLRDNLLKKAELMKKKLPWLKYDKMKKEFIDIVQEQEKIAKEKMEEAARIWDDAKGPIEELKKKKATYTLNMKKIVNQVNENMTNRQKVTDQELQLNRELKATFDDIDDLKKQEKSRQHRILKAKEDLAAAEKELEDLQPYEQPRAEMAQFAEQIAQINIEIRNLKGERNIVESQLAQEDQDMGRCSYRLKEMESKNNKLLQALQSTGADNIIEAYHWVQQNKKNFRREVYGPVLLEVNVQDKLHATYLENHVPNYIWKSFITQDASDRDYMVGQMKKYGIPVLNYVVNEGIRRQPLNITPEMKQLGISSRLDQVIDAPDAVKDVLISQAALDNSYIGTDETHRRADEVSKLGIYDFWTPENHYRWSKSRYSGYTSASVAAVYPSRLFKSNLDVSDIENLRLEIEKHRKNIEGMREALKKIQRQQRQLEDEEANIHKQKDLLIGAVALKWSHAEKQMSSIELDAKIWEMEKGVKKFEKDANLAAREYEDRKRITHEHKMKLSMAKQHAESIAKITKDLAKEFVEIESISNKLKDDKDQYEICCSEIETVKGKWLPTLRTLVSKINDTFSRNFQEMAVAGEVSLDEHGVDFDHYGILIKVKFRCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAKVWSAGDCWRTVMSTAGP >Dexi4A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:4A:3484210:3492890:-1 gene:Dexi4A01G0004940 transcript:Dexi4A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAASSASGSASAGRSRPSTSAAQVCPPPSCGAEVTSITAARAEEENAVSPYVLSVIESLKKRITADRLTYIKNRIGENKANLSTCTQRTYNLSKNRQSNTLKGTDLASNLLTKRQDDALCALHSVDIYPTDKDGSNFQDESPYSSSNVILGGNLGAKNAIRPIKLPEVPKLPPYTTWIFLDRNQRMSEDQSVLGRRRIYYDSSCGEALICSDSEDEAIEDEEEKKEFKHSEDCIIRMTVQECGMSDAVLQTLATYLDRATDDIKARYEILHGAKTQDSCKKVIEHNAKVEDLYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKLPAWNGVADSAPCGKYCYKASEPDSEAAIDHMLIDSEEPTHSSGNAMNQPVTNRKKNGSSGRKTKSQQSETSSTAMAVSESSDSEVHPISSKSPQPSSSPSKVKIGPKGGIRKITNRRIAERILMSVKKGQREMASSDSNSFNGSPMARDMKLRSDTRNGNKESFVSSQNNSPSTRSTRTKSTPQIGNSSASAEAQNDSMEEDGYDSSRKEEFVDENICKQEDSFRSWKSIEQGLLVKGLEIFGRNSCLIARNLLSGMKTCKDVFQYMNYIENSSASGTLSGVDSLVKGYIKGNELRTRSRFFRRRGVPKFARTVFEVVIAQRVSVAAANVLALLLTGNVILMFAETAGLGVVTVLLGRSDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEFVLDAFRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEDSRGKDDGQPSNGRAKKLAQNTRS >Dexi3B01G0035770.1:cds pep primary_assembly:Fonio_CM05836:3B:38752763:38759797:1 gene:Dexi3B01G0035770 transcript:Dexi3B01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFVLSIRPEIWEHSENIRQPASVNWSEDITGEKAIRISGVIEKLNYNLRKAFNVDSMKSSFSSLSCPVFVDGHHVTDLHFLIHTLGRDVPVQQTNGTRLSERSAPVTLQVQREIFIYPTVQVHNFLQTDIHVVLTDCQQGNAIEDEFGSIGKQATITSGSSAYFYVNPSLFNFSVTLISYGSKSMTVSSSDWVKRMQKQTSRAQFLDMLLEFVPGKFHSSLRLLRQEEGLLEVALFTRYTLHNTSGYPLQCTSSHQKPLPVYVRIWNEEYQSSSPTWLYFALNVNELLVYKHEIDGLTVEAKQRATLQTWKPGKRREVNYFDLFVKKHRNVFEDSHIFIQFCPKEPGFSWSGPICVSSIGRFFLKFRRSDGMVTGDPINDGKQKQFASVDVVQETTSFVLHFTKPPKVTLPYRIENYLNESSIMYSQKDSVESDILYPQESEQYAWDDLSLPRRLVIRIVDTPALREIKIDKISPWKPFLKMRENSRLNLDFSFSDGLNSRKQRFDKPFGLRMFKIGYEVYADGLTRVLRICEHADNPKIEKIRWPLASLQFRISYLCIHLLDKDQSGENVEFPSTILTARLQHVSADSAVTDSFKHVSVAIHPVDLKIDEETLMKLVPFWRASLAPSGTPSTQFYFRNFEVHPIKIIASFRPGSRRTTYSSAQEALRALLHSVIKVPEISNSAVELNGVLLNHALVTFRELLLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVLDVFFDPSDGSLNVPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSVVRGAETNGLNGMVTGFHQGILRLAMEPSVLGHAIMEGGPDRKIKLDHSAGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDQVILKNLPPNSALINEIVDNVKGFLVSKALLKGDSSTVRPLRHLRNEREWRIAPTVLTLCEHLFVSFAVRVLHREASKAIAGVMARAKKHTTGEGEGEGDSSSSGGVLSKRSRLWTVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIESRGKE >Dexi1A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:1A:24834747:24837716:1 gene:Dexi1A01G0017510 transcript:Dexi1A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGGAAAGAVAAHHHTRSPEDVFRDFRARRAGIVKALTTDVEKFYQMCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKDARRRLFTMINNLPTVYEVVTGVAKKQSKAPNGSSKSSKSNSKPSKQTNSNSKPVKLSHPKEDSGQEDAEEEDQAYLCGSCGESYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPSCSSKRSRE >Dexi2B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:2B:27863131:27866799:1 gene:Dexi2B01G0017450 transcript:Dexi2B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETAAVSSPRASAAAAAAAAGAAAAAVAAATAPVSSPRRGGGAAAHHHRRWGPSPFRACLVAVWLLGFALVFLWQSTSVGRVRLYTRPPMPKRAPASTMGQWVASPPVYDLREFGAVGDGRTVNTAAFEAAVAAIAERGGGRLTVPAGRWLTAPFNLTSRMVLFLAAGAEILGIQDERYWPLMAPLPSYGYGREHKGPRYGSLIHGQDLKDVTITDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSANIIIQNVVIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNIVYRNISLSNIRVGVVIKTDYNEHPDEGFDPKAVPIIENISYTSIHGQGVRVPVRIQGSAEIPVKNVTFHDMSVGIADRKHHVFQCSFVQGQVIGYVFPVPCKNLDMYNERRELVKQSTFQNISDIDYSF >Dexi8A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:8A:23137567:23138316:-1 gene:Dexi8A01G0013130 transcript:Dexi8A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKCTAILVSLGFTLLVCSASVVAAETHVVGDSKGWGFSMSYDNWSRGKTFAAGDTLVFNYKGGVHNVVAVSKSEYRSCKVSSASDMAATSCCTTKFELKKGVNYFICGVPGHCAAGMKIRVVAN >Dexi3A01G0024930.1:cds pep primary_assembly:Fonio_CM05836:3A:20546183:20546559:1 gene:Dexi3A01G0024930 transcript:Dexi3A01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHASSGDGDGPGAGAARALHLLDEMRSLLGRRPDTACFTTAAAALSSASEPGAAIAVLNAMANDGVAPDAVACTVLVGVYACRLQWFDAAYEVVRWMADNGVAPDVCGPSG >Dexi8B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:8B:416750:417649:-1 gene:Dexi8B01G0000630 transcript:Dexi8B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKPPAGGGKLELEEALLQIVQQHHQQSLRQRQQTERAKKDALRSAVRVADLLVDAVDGGVQELFVNEKRIELEARALLSTVARYRKQTDQWLAATNEINSVLKEIGDFENWMKIMDFDCKSVNAAICNIHQS >Dexi3A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:3A:13367716:13370686:1 gene:Dexi3A01G0017540 transcript:Dexi3A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGNGAAGGGVDTKAAFAQIYKTLKEELLADPAFEFTAESHHWIDRMVDYNVLGGKCNRGLSVVDSYKLLKGADVLGEDEMFLACTLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGFIAVNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNITVHQRIVQYKTAYYSFYLPVACALLLAGENLDNYGAVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKCILFENYGKKDPACVAKVKNLYKELKLEAVFEDYESESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >Dexi2A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:2A:213486:217033:-1 gene:Dexi2A01G0000380 transcript:Dexi2A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTAGGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTAMDPFLKKFFPEVYRKKQAAQTNQYCQYDNQLLQTFTSSLYLAALVASFFAATVTRVMGRKWSMFGGGLTFLIGAALNGAAKNVAMLIIGRILLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTNKIKAGYGWRVSLALAAVPAGIITLGSLFLPDTPNSLLERGHADEARDMLRRIRGTDDIGEEYADLVAASDEARKVEHPWRNIIKRRYRAQLTMAIMIPFFQQLTGINVIMFYAPVLFQTLGFKGDASLMSSVITGLVNVFATVVSIFTVDRLGRRALFLQGGTQMIVCQVVVGTLIAVKFGTSGIGDIPKGYAAVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMFFTFCIAQAFLTMLCHFKFGLFYFFGGWVVIMTIFIALFLPETKNVPIEEMVLVWKSHWFWKKFIADEDVHVGGNHLQMAAGNGKGADA >Dexi1A01G0026380.1:cds pep primary_assembly:Fonio_CM05836:1A:32305947:32309068:1 gene:Dexi1A01G0026380 transcript:Dexi1A01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVPSLLIGGGLYVSTSVSTAAKATSRAISAPHPMPSSLRSTARHRGRQCSPLLATASESPSTPLAAAMAESHSRYSGWVVVMDCPPATAGGSSVSRAEAVDYYASTLAGVVGSEKEAQMRIYKASWDGSYEFCCEIDEEASKELAKMPGVLSVQPDTGHKSEMDNDTSSLSTANLVGISDGASKSSSEKNEFWLVQMEKPGVEVVTKAQMVDHYTQILMKVLGNEQDAQVSIYHISWERDYGFCCHIDEECAKELADIPGVLSVRPDTNFGSNNKDYKGNDGFKSSEGTGAADIKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQGVTVMLLFADNKRLDDSG >Dexi9B01G0045970.1:cds pep primary_assembly:Fonio_CM05836:9B:45390048:45392956:-1 gene:Dexi9B01G0045970 transcript:Dexi9B01G0045970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDNLGELSNIAQLTGMDAVKLISLIVKAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDNYLRLVPLITLVDNARIRDRLEYIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALKKESEKLQVELQRSQSNMDLGTCEVIQHLIGVTKTVACTIPEEGTDAKVSEKKDLSFKESKGDGAKSYDDDDYPKKPKNASSAPRCSSPVSYGHDLVSSRGSYSDEWHADLLGCCSEPALCLKTLFFPCGTFSRIASVAKDRPMLPEVQMLLWYREAAVMTSFHICSVVAAPLFKNGGKLRFVELMVSFLLKCF >Dexi8A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:8A:10485403:10490888:-1 gene:Dexi8A01G0008570 transcript:Dexi8A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKGKKKKQSAASPQPSPRTPSSRSSRGRGEDGDGEGSFKSVDLPSIAAAAAARFPALVPRGGAGCFAGAVAEVVPRRGNGGGGGGMGRLWLSEAAMVGAGMRPGCLVSVAVISSSSEQFDGFPLENLFDECNRFFNLEVGDDLLCGEAGRNFVVATVFPSREIFVDELDAIAPSRKEGGEELSIRMVATLLKLMDEIGPKDRIMLELPKVRWEDVGGQASVKEQLIEAIQWPQKNPEVFIRMGTRPPRGLLMMGPPGCSKTLLARAAASEAKLNFLAVKGPELFSKWVGDTEKAVRSLFAKARANAPAILFFDEIDGLAGTRGLENDGTTVADRVLSQLLVEMDGLDQRAGVTIIAATNRPDKIDPALMRPGRFDRLLDVQPPNKADRADIFRIHTHRVPCCPGVNFEELARLTEGYTGADIKLVCREAAVAALDENFDIKEVAMRHFEFAIGKTEPSDVKFYRNLAENFRRFVDGSSQGT >Dexi7B01G0023960.1:cds pep primary_assembly:Fonio_CM05836:7B:28417330:28421285:1 gene:Dexi7B01G0023960 transcript:Dexi7B01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKGRLVPVLAVAAALAAVLLYRAPFSKVTGILPTATASDSIESVVGNERRLITLSLGWLQSLGGEGCSLLPHDHFWIASDRVVTLGRVGPAAVEVKGGLINAIAVGDYRNFVLRRPLIDYGDAVIMPGLIDVHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLYVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGTHIHIVHLSDATTSLELMKDAKRSGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRVSYLGKELSGKVLSTFVRGNLVFAEDKHAKAACGVQILAK >Dexi1B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:1B:23653168:23653548:-1 gene:Dexi1B01G0017320 transcript:Dexi1B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKIVIKVQMSCDKCRSKAMALVAAKCGVDSVAIAGADRDQVVVVGDGVDSIELTSALRKKVGPAHIVEVAEPKKDDSKKPTPAAPVPDYAWYYAPPTQPVRFAYDPYGYGYGYQERQESSCSIM >Dexi5A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:5A:22400562:22401115:-1 gene:Dexi5A01G0018890 transcript:Dexi5A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRPLQTLTLPLLRRHLSAAAEAVVSPADYHGADLLSPPYDYLPGHPRPDPKHDEVILAVPRASSGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLEVWSEHAGQGELVESVRVLPRKICPA >Dexi5B01G0035720.1:cds pep primary_assembly:Fonio_CM05836:5B:35629676:35631956:-1 gene:Dexi5B01G0035720 transcript:Dexi5B01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGAADDATSPPAAAGFSYLAVFHNYPLVAALLGFAIAQSIKFFVTWYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFKDGFSCSLFATAAIFASVVGYRLSILTPVLSEEVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGAVAWVYNSHSRTIAPLNFEVLLNIGGFDGTRLDDCDP >Dexi2A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:2A:2237200:2237958:1 gene:Dexi2A01G0002790 transcript:Dexi2A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDAAEGLFAAALVVVALTLTSTSHAARRLADDTAPVAASPAAAVVPAGIPAVPKPPVPTVPAVALPPMPAVPTIPAVALPPMPAVPNVPAVTVPQMPAIPAATLPPMPAVPAVPAAAAATLPPIPAVPKVVLPPMPAVPKVTLPPVPAGVPKVTLPPMPVVVPSVPMPFLAPPPSA >Dexi2A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:2A:6242366:6243211:1 gene:Dexi2A01G0006670 transcript:Dexi2A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARMGNVEGRDERRRRGRGDWQWRKKTREAPPRLHVVVDWCCYGWFRATRSPPCPPARQMGRFPGAPWLRLHDLKLLLDEMQMQTWDVGLHATAWFYF >Dexi9B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:9B:1201849:1206698:1 gene:Dexi9B01G0002140 transcript:Dexi9B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRHRRGARVTTATTTTTKVMASGSVVAGEAELVPTLSSLEPVYGEGSQLDEARLRFARLGDRFQAVYGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKADGGQVRVSNVDDKYPVCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDLGKPVGLDVVVDGTVPQGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCLSERHIGTQSGGMDQAISVMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKALSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKILHEEPYTAEEIEKIAGESLTSVFKSSQTSLDVIKAAKHYKLFQRASHVYSEARRVYAFRDTVSSKLSEEDKLKKLGDLMNESHYSCSVLYECSCPELEELVKEMYYKSRIERGVIKQGDLGLYVFASKPSSGAAILKL >Dexi2A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:2A:31715253:31724133:-1 gene:Dexi2A01G0019550 transcript:Dexi2A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWSIERRAGLELGSYAETQDKVLSWRTKEEKLQCLKWSGRRSRASSTGFHTTKERPSSPHEITSSVDGSSTIWCVFVRNGAGPPPALCFAGCAATATATASASISILARSVDVDGTDWLATRRGCGGDGGGREEQEGGEELPTPDVEPGDDRPPPAPARLYSHVLGRWWFLLDGPQLQLSCYLAYLRLGVENGKDGTKETGFISAGCHAVVRARASPVAFGVGAPLLSFPGTDWPRCRSVPCVASVCSRGSSRGRRALLSSRALPPRAPLPPCTGVKTVSAAQRWDGFSRQPNKDRRYGPGRGRIECPFVPEPSRVTPSRPEFLVALSSGGLGLAVAESKPKCASAWLARSDLSPSRFHGLVLAYPQRAKRLQACDSYGRKQKHRSSLTWTRSREDERYRPRPYFQGSPGTEYYYTNPNKQTIVVYADPFQHRGSISISPAGFGSKPQKAAAEHMYGHERAASTGVAFFSPAEAGLVGCLSNSVIAQVNASPTETKKRAEKMRTGPGEVSLTDDARQIADFFGPATHPSGRLTHPLCLSSRFLVVEPTHQGSSPRLGTGACGDFVNLEDLSAQSFGDATLDPKSNDSNATVVRTKMGCHHAHARTCAAHLPVLTNPPHETGVSRLARTCERDPISFPSPFSPPQFYRTRLVWPFGGGLTSRPRVVPVAGVGLACFCSVGARLTAAHLQRKLQQPRHRLKLPQQQALPLDQAKGSCSIDKPRDKKNENKWLFGTKLNRSKQVIAVRPEMPVPAHSPAAATAPDFWDHVELGRCQKHATGSCDRPVDTDNPPRLSHASRNGRDATSPQPAAHIVDAKPASTTRPVTSERGEPVRSINAQCLYREGGRMAPVLVVVRSGTYSKRTHERVHGFRGTKIMATTPQPTKQASPSFAFLGAGGHLIRDLEAGACVFTRGETANVSTHTSSNHTAADVYVRTGIAFSPPRSAQLRSPQGPVPTAQPQPFPRHLKHTLSHSPHPKAGELARELENMVDVDHRMAGLAPAAHAAGLRRLSTRAASSSASASPRHGLHSFHAVASGVLAHLRAAGVAVLPGLSEAELARAEAELGFTFPPDLRAVLALGVPSGPGFPDWRGRAGLRAALDLPAAAASLQTARGALWPRSWGRRPTDPDRALRLARSAIRRAPLLVPLFDRCYIPCRPCLAGNPVFFVADDRVLCCGLDLLHFFTRETSFQPMDHGVGIVSSPMASPMASPFSAGASASTSYTRRSLDAVQSPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSGCPSPPRRSTPRWVGNYLDELGSMLKKGGWRDREVDEMVEVTASGLFDGDEAPTPDTEAVLDALVLKTDRCSDSLRRAGWTSEDVSDALGLDLRRGKGRSRPAVQIPPEIAARVQRLAQALAGP >Dexi8A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:8A:4350921:4352227:-1 gene:Dexi8A01G0004950 transcript:Dexi8A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGIPRGLSNSPAFTIKDPPSWALLTTGPCRWKDDDSLLVAGSNSSTTAETHTSTGHRLRVSFDLAAPPARSLFYYNCTETTSDGKPAGLNIVAAHGDSVLLWMACRRSESASSSTSYVFDNFVYRSGCDTRRPSLTRLPDLKVFRRYESERSIRPLTSEDTGIMRRGGDGELVVARIDVLSEHCGGKGMANLCVLRPGSSSQWEDKRLLPILHEEGDEVMGPLTGPNMAISVGDRFLCWVEGHSSFIVCDMADDASTKLRHVALPGFPYDPHYYTNDLHPLEDAHNIGAAGTSAVRFVAVEPRCCCGGFGRCSCPRSRHAFTVTTWTLTLTMDEPIKWVKDTVLDCEELWALPGYEGIPRLHLKCPVVCLDNPDVIWFRVVSYEDEKAWMIQVDTRRKALLAAVIQTIGPFGSYNPYNPYFLRQAKLR >Dexi3B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:3B:888881:890393:-1 gene:Dexi3B01G0001210 transcript:Dexi3B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKLLPASSRIRLEHFEREKLIAEGTMANGDLSRRRSQRLKDAGESEAYRMVKLKREWRALLEILQRDRIEADRKALAAAARSATPPPCDPPTGADAGAVPAGKKKRKKVVKWKVSQERIDHMILNQRDPYTDRYPWERLGKRLRRFRQLEAQRRLVDDKIFEYEQTLIKPFRQKGYAEDYSETDDDDEDN >Dexi8B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:8B:1982245:1983463:-1 gene:Dexi8B01G0002820 transcript:Dexi8B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPHCKSQAPVAAAAAAAAIALAAVPTRSSSSLPALVPPPTRLPEEDPFASLLASDPPPPEPLRLVLATGDVRSALSGLPGLARQLFRWAEATPRGFPRAASAFADVLVPLAQANHLRAAYPVSLRALHLGLLLPLVSLLSSPGSLSNQSLLSLLLRLSTKFPAQCGAHDPTPTTCSMLCLAAFREMVCHGVVPDVKDCNRVLRVLRDAARWDDVHAVYAEMLQLGIEPSIVTYNTLLDSFLKEGRTDKAAMILKQMEAQGGHLEKASKLVDSMRLSKKASSFTYNPLITALLEKGLVQKAEALQLEMENEGILPTVVTYNAIIQGLLKSGQIEAAQA >Dexi5A01G0031060.1:cds pep primary_assembly:Fonio_CM05836:5A:33924836:33925749:-1 gene:Dexi5A01G0031060 transcript:Dexi5A01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKNPYAAMPSEGSDSTTAMETPLLPEHAGQAGGSASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPAAALIAGVAAMADAAVGFMLRYTRGGGAPSYSYAALMGDAFGRAGAALLNVFVAANGFGTLTVYLIVVADVVSGAAAGGDAHAGVLQEWFGSHWWTGREVVLVAAAAILLPLIH >Dexi8B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:8B:3465758:3468828:-1 gene:Dexi8B01G0004000 transcript:Dexi8B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSPPKVYKPAAEVDLGPDSDEHYISPNVRAPRVAGLLVKLLAWVLETPVIGWIILSVLKRDNLVYKLVSDADIPEPPLFTATHAWRDIPEQNVVRVTKPGLSPPPELVQEAVDCLPPLLPEPADLSPGFRRWTVRDFHKAYSSGQTTPVKVARRFLAAVKECSDLKMGLFISCDPADVIRQAEDSTRRYHQGGTRWLGAARRCGADAACVAQLRACGAVLAGKANMHELGAGTSGINPHHGATRNPYCLARVSGGSSGGSAAAVAAGLCPGLCVCRRRSVVSSGSSRRPVGFPTPGCFL >Dexi9B01G0048660.1:cds pep primary_assembly:Fonio_CM05836:9B:47562207:47567704:1 gene:Dexi9B01G0048660 transcript:Dexi9B01G0048660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSGHGRRRRRRAHTAEEAAAILRKAWCRLRLSARDPARVPPWDAVVLTAASPEQAALYNRQLDRARSLGRFPASTTAIAVPDPDDSRIGSGAATLHAIASLVRHLAAQVCKEDTAEFLPESNGCSGDESALATAASSMSKKHVLLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFNNQGGIFIMTGDVLPCFDASNLVLPDDTASIVTVPTTMDVAANHGVVVASKDGGIYQEDHSLYLVDNLLQKPTLSLYEDLVAAWVPAKHEWLRNRPLGKELIVALGKQRIFSFCSYDFSFLHFGTSAEVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNAGGGGFALLLAKNPSCGKELRQALDESAFDVKVYDWNIVMPR >Dexi1B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:1B:2579124:2579585:1 gene:Dexi1B01G0003190 transcript:Dexi1B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAKTPKMASEM >Dexi2B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:2B:603573:605417:-1 gene:Dexi2B01G0001000 transcript:Dexi2B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAYTAALSPGSTLFSNSRMPPRISRPGLPVPGRGEVGVSSAAGRYGGGGRLTPPQEKRRPPPLQEKRRPSDSSQLAERKRHWKAGEFPVTGGGRDAGRGAPPQEKRHWKAGEFPGSSAGRYGGRPAPPQEKRHWKAGEFPGTSAAAPDSKASRTPIKNVKKRLDARADAKAWACTVTEALADRINSKNWKEALQVFEMLKEQPFYHPKEGTYMKLIVLLGRSGQAAHAHQLFEEMQQQGCQPTPELYTALIGAYCRSGLLDEALQLLTDMKASPLCQPDVYTYSTIIKACVDATRFDLVDAMYEDMAERLISPNTVTQNIVLSGYGKAGRLDDMERVLSDMLESTTCKPDVWTMNIILSLFGNRGQVEAMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATYNNVIEAFAEVGDAKNMEHTFNQMRSEGMKPDTKTFCCLIDGFSKAGLFHKVVGMVNLAERLDVPANTSFHNAVLSACAKADDLMEMERVFMHMKHKQCDPDAMTYSILVEAYRKEGMTDKIYALHQENPTLVPTDFVMV >Dexi9B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:9B:8716838:8718181:-1 gene:Dexi9B01G0012930 transcript:Dexi9B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYAAMASPPTKAMDVEKLSYEIFSLLESKFLFGAGAGCLSSVPGTPARAFLDGGRVRVLAIDGCGAGAEDALLAAAALARLESGLRKQTGDPDARVAEFFDLAAGAGAGGVLAAMLFLRGPDGRPRYSAQEALAFVASSVGRKEKDWGHRRGRWAKIFRCGGDHRVFQRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDGFDFRLRDVCAATCAVGREPVAVRSVDGHTAIAAASAGVAAMANPAAAAITHVLHNKQEFPLASGVEDLLVLSIGSGASFTASDGSRTPMPTRSPSPREVARVTAEGVADMVDESVAMAFGHACGSNYVRVQASKAPTTTPLHVDTAAGAMLAQRNVESVLFRGRRVSERTNADKVDAVATELVKEQERRRCSPLLPNVCVKQVATPRLSSATTASSGTATARTASTMPSPASWDSRR >Dexi7A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:7A:17563646:17565154:-1 gene:Dexi7A01G0006200 transcript:Dexi7A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVVLESYPARQLLAFTLSNAICLAAGEHPLCTSSSSLVSPPSAAAAASAARRSRYRWQTAQSTATAASAPSRPDFIIFPTTAGMASSAPSHSAARPSAHPAADSSTRSAFADAPCSAVARSARTAAGTAPSRTISARAERRRAVVPPQIAARQERPASTAFPSRVPFSSTGPISRISSATRSGDSATAAAAFASSAALASRNSASLAASTLASRPLPPRITRASDAAVADASAARPAATRFLFASSMPSEATIAASTLVAASTASTLASCSAFLLSTDATVSAARPAPTIAFFSKSSTSSSERYAASARSAGEGSVPAAATCRTRPAGEELRTEESAAAVAAEVGEQVAAEAAQWEMRLRRVGDEVGGGEREHGGGAGGVAGVEPSLDGGTVRLTGERMTSREMGQRKKLGILTSSPWCCGGSLMAVSFRTMGELGRRRLPEEEEGMRQKGKGRRRWWGWWVYGSKSGGGAGAGRRVGCPGLPCARV >Dexi5A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:5A:11350467:11365309:-1 gene:Dexi5A01G0014370 transcript:Dexi5A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEEEHHFRYVRMPPEPPEPEGLAAAASSGSFRLPESARVFDELPRARIIGVSRPDAGDITPMLLSYTIEVHYKQVSSGGRAIKLRTRSSAKVKDWVTAINAARRLPEGWCHPHRFGAFAPPRGLTEDGSVVQWFIDGRAAFDAIASSIEEAKSEIFITDWWLCPELYLRRPFHFHGSSRLDILLESRAKQGVQRNKAPNEQAIPLLMPHHHMVIPHYMGASKETNGETERKQNHDMDIKVNRLNSLTTPASCQDIPLLLPHEPDHHAFPNGDFGMNGMNINNGLSDNANKRNWKQPLPNRKAKQDLSLQDLQMKGFVDNVGSPEVSVSKQYDTSKPDMQHIDKEWWETQERGDQVASVLDVGEVGPRTACRCQVVRSVGPWSAGTTQIEGSIHNAYYSLIEKAEHFVYIENQFFISGLSRDDTIKNRVLEALYRRIIKAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAVMHWQYRTICRGPNSILKNLYDAIGSKAHDYISFYGLRSHGRLGDAGPLVTNQIYVHSKLMIIDDRMALIGSANINDRSLLGSRDSEIGMVIEDKEVVRSTMDGRPWEAGKFSLSLRLSLWAEHLGLLPGEVSSIMDPVDDSVYKNIWMATAKVNTMIYEQVFSCVPNDHIHSRYQFRQSFAHRKEKIGHTTIDLGVALENKSETKQDRGLADADPMEQLQAIRGHIVSFPLEFMCQEDLRPFFSESEYYTSPQVFH >Dexi7B01G0024620.1:cds pep primary_assembly:Fonio_CM05836:7B:28911381:28913467:-1 gene:Dexi7B01G0024620 transcript:Dexi7B01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADIDRDVWLACAVPLSRLPAVGAQVYYFPHGHAEQCPDDLPAPLPTPHLFPCVVTAISLAADDKTNEVFAEISLQPGPHRGPAPVPDADIPHHLTYFAKQLTQSDANNGGGFSVPRYCADHIFPKLDFEADPPVQNLVMRDPMGNDWQFRHIYRGTPRRNLLTTGWSKFVNAKLLVAGDTVVFMRRPDGQLLIGLRRAPRYHPFAASAQQQQQPRNTRARVPPGDVMEAARLAAEGSPFTVTYFPRQGAAEFVVPRKEVEDALASHWEPGTQVRMQVMEAEDARRAEWANGTIKALHPNIWRAIQIEWDDSSPYALTRSRFVNSWQVQFVSFPPLLKRLKISDTIAPLCSGDGSSLAASLIGPENKAMAILLGSPIPAGMQGARHSGPSDLPPSSSTTGMLTTQLLSPLLSRDLKMPPSVSPSGGSSEIFDPEIGSPPNNSVNMPAPEPPVKVKSIQLFGTKITQHVEQNAANDASEEVNGGLDGVVDENVEKDV >Dexi4A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:4A:5970737:5971642:-1 gene:Dexi4A01G0007950 transcript:Dexi4A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKRTGRAPAAAEVAQVVVGVRTRSRSAAAAAFASAAVEPPAPAPKRPRTKQAAARGEVEVRGDGGGCYLQLRSRRLFMAVKAAEARRPVAPEGNAAARSGEASLLEPVVMVAGISRCSSTASSVDVVAAAAALERSGGAMEVGAREDRDGESAVSDSECGGRQRREATPSSRLPVDLSDEESSQAAGDPKHHRLTATASLACRARMPAEEEIDEFFAAAEKAEAERFAAK >Dexi9A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:9A:412975:413206:1 gene:Dexi9A01G0000760 transcript:Dexi9A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDHPAPRETDPTTVGMQRMSLIHGLAGRRTGSMAAAGNKQGHDMSPRRHAHAGLLAYY >Dexi9A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:9A:3521491:3524347:1 gene:Dexi9A01G0006250 transcript:Dexi9A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASRVAVSAPVFGSDRGVGSSGIKGNNNVSFSNKLWVGGTLAWESKEMRPRHANKVLCMSVQQASKSKVSVAPLDLESAKEPPLNTYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSFGVIPPGENPKKPGAPHNVRLYSIASTRYGDNFDGRTGSLCVRRAVYYDPETGKEEPSKNGVCSNFLCNAKPGDKIQLTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPNYRFGGLAWLFLGVANSDSLLYDDEFTSYLKQYPDNFRYDKALSREQKNRSGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >Dexi5B01G0031020.1:cds pep primary_assembly:Fonio_CM05836:5B:31849294:31851342:1 gene:Dexi5B01G0031020 transcript:Dexi5B01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLTMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >Dexi5A01G0027360.1:cds pep primary_assembly:Fonio_CM05836:5A:30843013:30845734:1 gene:Dexi5A01G0027360 transcript:Dexi5A01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRIPAAVHHWPEGGHHHHGGAASAWADDFAEFAASRRGAHRRSLSDSVAFVEVAPADGAAGEFDRLDDDQLMSMFPDDAAAGGSLSAPGSENGGSSDSDGDKRRGGGGAPGNNGGCGGDERNEAADAQAPAAGQAGDANTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYEQQNLKMSAGAAASEHGPPPPVRAEKELMS >Dexi6A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:6A:26010473:26011425:-1 gene:Dexi6A01G0018250 transcript:Dexi6A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVMKERAKSFPPFQPGKDGCWLTPQAAESVKVAQTKFQPRPDNIILATYPKCGTTWLKALAFTIVHRSRYDVASDDHPLLTRHAQDLVPFIEMPYRYIHPLEELEVLPTPRILTTHLPLALQPSAISTLGCRVVYLCREPKDVLVSTWHYMNKVREDFHIDLEMTFEFFCEGFSIFGPIWEHCLGYWKQSMVEPDRILFLKYNDMMADPIKHVKMLAEFLQVPFSEEEESAGVVEEVVRLCSFNNLKSLPVNSSGAGDRIGDLPMENSAFFRSAKVGDWRDHLTEEMAKKLDSIIVDKLKNSGLVL >Dexi2A01G0032260.1:cds pep primary_assembly:Fonio_CM05836:2A:42830559:42831110:-1 gene:Dexi2A01G0032260 transcript:Dexi2A01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLMALLLSCSGMNAAARLLEEVPPKEEYPHPAVPELPKPELPPHPTVPELPKPELPPHPTEHPVPELPKPELPPHPTEPELPKPEAPHPVPELPKPELPPHPTEPELPKHEEPHPVAPELPKPELPPHPTVPELPKPELPPHPTVPELPKPELPPHPEVPEHEQPPKPEGHYPEPEAKP >Dexi5B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:5B:4906445:4907951:-1 gene:Dexi5B01G0007270 transcript:Dexi5B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAVASAVERLQAAAQDAANSSSRSAAAYSEQAQQALVSRTAGRVVSLSTCTKVSAISFAVGVVVGFTLKKRLRRWAARLLKRIKDDD >Dexi3B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:3B:13389281:13390585:1 gene:Dexi3B01G0018060 transcript:Dexi3B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYFVPFVSCCGLRSLTRQLILFRRRREMATVPGDLIWQIVRKNNSFLVKQFGNGNAKVQFTKEPNNLYNVHSYKYSGLANKKTVTIQPASGKESAVVLSTTKTKKQNTPAKLSHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVHRSLQVTKSGVKKKNRQPKH >Dexi1A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:1A:18859705:18860751:-1 gene:Dexi1A01G0013620 transcript:Dexi1A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGDPKIELPKTKKLTASALMASNNTTTPSDKGSTADEVLHEFGIVRVYKSGRVERPLVAPPVAPGLDSATGVQSMDVQLGSYSVRLYLPPAAATAPGAKLPVIVYVHGGGFVAESAASPGCHRFLNRLTASCPALAVSVEYRLAPEHPLPAAYDDSLAAFKWTLSAGDPWVAEHGDLGRVFLAGDSAGANICHYLAVHPDIAQATPRRRLKGAVLIHPWFWGSEAVGEEPSHPAARAMGARLWLFACPGADGMDDPRMNPMAPGAPGLDTMACERVMVCAAEHDFLRWRARAYAEAVAAARGVGGEGTVELLETEGEGHVFYVFKPDGDKAKAMLDRIVSFVNAP >Dexi5B01G0031490.1:cds pep primary_assembly:Fonio_CM05836:5B:32199976:32200099:-1 gene:Dexi5B01G0031490 transcript:Dexi5B01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRRGKERDEEMPLRLIHWNKADFVTRDGGF >Dexi1A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:1A:25558086:25559502:1 gene:Dexi1A01G0018290 transcript:Dexi1A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVHHLHQQQQLLQQQAMELPPGFRFHPTDEELITHYLTKKAADPRFAAHAVGEADLNKCEPWDLPARATMGEKEWYFFVVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLDGKHAAGNSSSSSLIPSIRAGASKEEWVLCRVFKKSIEPPPLVAGGKRSSSSGASMEVEGVAGPSMASMVDDLAACVLPPLMDVSGGNGAAMSLSAAPQHVTCFSNTQLEGQFLNPPYLPLSAAVGPAAAQAGTMAAAVDQLAMSASSSTFLASMMQQTQQYDGGIGMVHELLQESGGWYSKLGEMERLSGGASQDTGITSEVNPGEISSSRQHMDREASLWGY >Dexi5A01G0031950.1:cds pep primary_assembly:Fonio_CM05836:5A:34502225:34502960:1 gene:Dexi5A01G0031950 transcript:Dexi5A01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGNWIRSIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRVVNIKQDQGAEASSSQKSACCGS >Dexi3B01G0036740.1:cds pep primary_assembly:Fonio_CM05836:3B:39607943:39610968:1 gene:Dexi3B01G0036740 transcript:Dexi3B01G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVQLAAACFVVVVVLLLPAAPATARTVVTPLPGYDGPLPFHLETGYVDVEEMTGTELFYYFVEYSSQSGARPRIPSSCGCRVSNMVFLDSPVGSGFSYARDPKGYDIGDISSSRQVVTFLRKEYRYYLSYFWVNDNATRAALGIRELRFY >Dexi6B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:6B:302570:305813:1 gene:Dexi6B01G0000420 transcript:Dexi6B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEHAKAAAPHEHPPLPWPRPAPLAVFLALLAASYLAITRLPAAAPLSALLIGHNPTPPPPSAALGGGGTATTSSCAGFYAGAGPERAVSASVEDFGAVGDGVTSNTAAFRRAVAALEERAGDGGGARLEVPPGRWLTGSFNLTSRFTLFLHHGAVILGSPDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLTDVVITGNNGTIDGQGEMWWQLWWNRSLNHTRGHLVELVNSTNILISNITLRNSPFWTVHPVYCSNVVMKDLTILAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSTNIVIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHVWNSAQAVRLKTDVGRGGYITNITIANVTMEKVKVPIRFSRGADDHSDDNYDRTALPRISNVLISDIVGIELQRAPILEAVAGAVYDGICFRNVSLRGIRRQGRWHCESVYGEAHGVFPAPCEEFRNNGSSSWCGFS >Dexi9B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:9B:3673715:3676599:1 gene:Dexi9B01G0006190 transcript:Dexi9B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSRALGSAFAGFTRAPVAAPAGTSLPSPCGSSALLRHWQWSRATRTRRFSSGRAARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTISPNVGAVAIPDPRLQVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPRSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDAQVKVKEQAEKTGLEKIQEALMDGKPARSVELADHEKEAVQHLCLLTMKPVIYVANVTESDLAEPDSNPHVKEVAKAASDLQSGMVTISAQVEAELAELPFEERVEYLKSLGVAESGLGNLETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGAAREKGLLRLEGKDYVVQEGDVMLFRFNV >Dexi4B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:4B:8549917:8555996:-1 gene:Dexi4B01G0011150 transcript:Dexi4B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHEQLKAKLTTHKFQTGSDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDVFNQCSKSVTKRLMADVPFGVLLSGGLDSSLVASVASRHLEETKVARQWGNKLHTFCIGLKGSPDLKAAREVADYLGTVHHEFNFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKSLHLYDCLRANKATSAWGVEARVPFLDKSFINVAMDIDPEWKMIRRDLGRIEKWVIRNAFDDEKRPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASQHVSDSMMMNASFVYPENTPTTKEGYYYRMIFEKFFPKPAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTPGEAPAAIDPVADNGLHQAIGESLVKPVAPATAV >Dexi4A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:4A:5281076:5281354:-1 gene:Dexi4A01G0007160 transcript:Dexi4A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLSEDLDDDDDAAVRNEDDLFLDVATRRSSSPPSAAADAAPAAAPLPYWSSQRRRALRPAVLTGHGWRVGVCPAAVDCICSDIGEQGAR >Dexi8A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:8A:4100474:4100854:1 gene:Dexi8A01G0004650 transcript:Dexi8A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIPAIACALLLVIAAAAAVEPSGNFEDDKVHDFLRVLDRAAAYRRECFGECAKGCYCSDNPYSCLRECMPTPPTRRCGATYGTVQDVFSLSATFAAAAVMGSAEDSDKAGGGAAGEGFFSSAT >Dexi5A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:5A:4848044:4848334:-1 gene:Dexi5A01G0006580 transcript:Dexi5A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVIRALLESLQLQGILASGFGTLAFIWATVVLLGGFSAFLHKVDFWVITGIVFVQAAK >Dexi3B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:3B:2091623:2092096:1 gene:Dexi3B01G0003190 transcript:Dexi3B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMAGDDDSGSRSATTPATSDDDGRRKKVIRVVAADDIDEEDELFELDIALLDGRNCSAPAGADDDQAAAGQQHALLGNCLLPVRSLSSAVPVDATRELSSTTTAYPYYSGYHSSRRFTGGGIGRRFLLGWLAGHGNSARFRFSSRGFEAYFQRY >Dexi9B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:9B:2405548:2406203:-1 gene:Dexi9B01G0004170 transcript:Dexi9B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQTQFSGRPCVISVTWSKSLMGQGLSIGVDDLSGQCLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSGAKFGAGPEPVEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRSMLNAAFVARKEHIYGKKIYSAKAQFCKALGVEV >Dexi1A01G0022060.1:cds pep primary_assembly:Fonio_CM05836:1A:28773453:28774646:-1 gene:Dexi1A01G0022060 transcript:Dexi1A01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAGGFGSTLLGMDKKTYEQAGNSAANLLGSYKNPGFGWMAGFMAAISFSGLLSLIPLRKSLTTS >Dexi5B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:5B:112457:114061:-1 gene:Dexi5B01G0000220 transcript:Dexi5B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQINHRITTKIQRRIYGHATDVEIRPLDEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREEMLAKELEDLKLKLSEIEQLAKGRGLTGILNFKGVHGADGGKAATPA >Dexi1B01G0024530.1:cds pep primary_assembly:Fonio_CM05836:1B:29806135:29810506:-1 gene:Dexi1B01G0024530 transcript:Dexi1B01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDDDHASLLRSHAAAGAPSPSCPSPRGAAGQHADVEADEATVTASPRRAGGVRGLLRHLERRMSARGSGAGRRQSQSQQHYQQLDRAVVVEQPSQRQRERQEAPEEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLVKSGQRQGINFLGAIFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGARPAFIVPAYELKSAAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDSEPSGFRTPRRGYYSISSEDRNGSSKRADGVDLELSIIQSDAIIFGTYTEEMLLDDLKVSQAMSKIYVKVLPSATVTEALKLLHDNQQNCALVVDPEDFLEGIITLGDIRRMGLELHEESFISGDYPKADARGIKQLPVVKRGVGHRTAGKRKPIAVLHYDSIDCCLRT >Dexi9A01G0030230.1:cds pep primary_assembly:Fonio_CM05836:9A:35147427:35147866:-1 gene:Dexi9A01G0030230 transcript:Dexi9A01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAARSPRRAHAESASVKVVLSGRAAGGRARKTRSAVPGEPPRECAARSAFQAAIEEGEAGEVARMMGGGNDEEGVEAGERRARDDGGGEDAAEAALVGEEGGKAHSICLWNGKLSGRAAMVD >Dexi1A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:1A:26120643:26122709:1 gene:Dexi1A01G0018940 transcript:Dexi1A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNFLDYRNEKGHREGRVTQYNGICLMVQKQVSIIKQMDPRDPFRIQMTDMLLDKLYNMGVISTNKSLVKCETLSASSFCRRRLATVMKTIRMAEHLKEAVTYIQQGHVRVGPEVVTDPAFLVTRNMEDFITWVDSSKIKKKVMEYNDALDDFDVMA >Dexi3A01G0026850.1:cds pep primary_assembly:Fonio_CM05836:3A:23402318:23406081:-1 gene:Dexi3A01G0026850 transcript:Dexi3A01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCATAAADQLRLPQIFRLAAPPPNRLLPRIPTIDAALSPRRRHRFPLPVSIAAICASLSSSPSPSPSPLSTSKLPSQPLSKLPQEEGSHRRTGPRRQHRRRHHPERRRSSSNQTRTPSCRLPVAASSSPPPTSAGPVPLGELPLPLQPRRHPWRAPHRANLLQN >Dexi2B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:2B:24411512:24413987:-1 gene:Dexi2B01G0014560 transcript:Dexi2B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPATKDAGGSSDATKKVRKPKPWKHPQPITVPQLRQMRDEFWDTAPHYGGQKEIWDALRVASESEVSHAQAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIRDS >Dexi5A01G0026580.1:cds pep primary_assembly:Fonio_CM05836:5A:30180399:30181475:1 gene:Dexi5A01G0026580 transcript:Dexi5A01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRARKYCAFTANVAELNVPRGRPFGPVGESAVSPHCTIVLSAPAPSSVMNARIAEMVTFSLQRITQQHDHAGVDPDDDADEVDGRERVDGGLDGREVAERRVLVDDERDGKARASACWNVLCRRPPMYRTHSMNCRSIASARVAFTVNGGGSAAPPCAPGRIPCECPATPHAGGARSVTAAMAMQAKNCSRGTAIAAPRRQRGDVTMAGPGFSSRRAGKLEGYTIDHGQEENGKGMEEEWQHKSRD >Dexi6B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:6B:2415254:2416440:1 gene:Dexi6B01G0002890 transcript:Dexi6B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAKALLQLKKSFFRYSTTRLSSWRNGTDCCLWEGIDCDASSGHVTILDINNRGLFSYGLDPAVFNLTSLRRLDLSMNDFGGYDIPATGFESFGTLVANLSNLRELYLDMVDLSLNADEWATSLATYVPRLQQLNDLEKLENG >Dexi1A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:1A:5577690:5579186:-1 gene:Dexi1A01G0007260 transcript:Dexi1A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLLRTHHHHHPCKPPPSPPPAARAAVLCRAAAGTSAWPASAAALRSLAPPTRPELLSLDLPRYDPSRARPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPALVWPNNYGVWVDEFEAMGLSHCLDTVWPSASVFIDDSGGGVKSLDRPYARVARRKLKSTMMDRCVANGVVFHQAKVAKAVHHDASSLLICDDGVAVPATVVLDATGFSRCLVRYDKPYNPGYQVAYGILAEVDGHPFDIDKMLFMDWRDSHLPEGTEIKERNRRIPTFLYAMPFSPTKIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGNGTAGLAGDALSAEVWKQLWPANRRRQREFFCFGMDVLLKLDLEETRRFFDAFFDLEPHYWHGFLSSRLFLPELLMFGLKLFGNASNTSRLEIMAKGTVPLGKMIGNLIQDRDG >Dexi2B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:2B:11551662:11555997:-1 gene:Dexi2B01G0010340 transcript:Dexi2B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSPAHHAVATRDHAALRRVLDALPRGRRPEEIRTEADSVAEEARAEAVSAVIDRRDVPGRETPLHLAVRLGDVAATEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTEAVGPWKAKVYDMHHVVVSVKSRRVPGAMTDEEFFSACNDNDTESEGFDDVLTEEEKKQLEAALKMESPDAAGEEQSDSFAGPRHSCFEPREREIPIEDMSVSGNGESKHDKKGWFSSWGKRNQASKPEGVKKMAPPRSSLCVDEKVSDLLVESPSNVQTRPGRHSVDVVRTNDNRRIRERDSRRPAASSENEHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPAVQPSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKKSKKGRNGS >Dexi2B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:2B:26095302:26096806:1 gene:Dexi2B01G0015970 transcript:Dexi2B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLEKPIDCTEEGSMEQGGKAALGWAARDASGHLSPYSFSRRVPRDDDVTIKVLYCGLCHTDLHVLKNDWGNAMYPVVPGHEVVGVVTDVASSVTKFKAGDTVGVGYYVDSCRSCDSCSNGYESYCPQFVQTSNGVDLFDGSITKGGFSDVILVSQDYVVRVPESLSPDGAAPLLCAGITVFSPMVRFGLNVPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVISTSTGKREEALDRLGADAFLVSRDPEQMKAAASTMDGIFDTVSAEHPVAPLLELLKPMGQMVILGVPAKPLEVPAFSLVAGGKRMAGSPGGGIGECQAMLDFAGEHGITADVEVVGMDYVNTAIQRLERNDVRYRFVVDIAGSNLGAAA >Dexi5A01G0039710.1:cds pep primary_assembly:Fonio_CM05836:5A:40188803:40189282:-1 gene:Dexi5A01G0039710 transcript:Dexi5A01G0039710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQDVFKPRHDYIILATNPKCGTTWLKALAFTITTRSRYHDFHSHSLLAHHPQELVPFLEIPTNEDLTYVETLPSPRLVATHMPMSLLPAAGSIASHGCCRIVYICREPKDVFVSTWHFLTRRIHRPHSADQDMGSAFDKFCEGCLPYGPFWNHCLEY >Dexi6B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:6B:24200535:24204600:-1 gene:Dexi6B01G0016970 transcript:Dexi6B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTGYYDVLGVSPTATESEIKKAYYIKARQVHPDKNPNDPKAAEKFQELGEAYQVLSDPTQRQAYDMHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDARKLQERMQTVQKEREEKLAEILKNRLHIYVQGNKEEFIQLAEAEVSKLSNAAYGVVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAATGAIALMQLQDDLKKYMSSEGYTEEELEMYMENHKKVMVDSLWKLNVADIEATISHVCQMVLQDSSAKKEELRLRAKGLKIFGRIFQRVKLNPTEGEASQVRNIDNLEEEDGSSPDSSPRREPQFTPSQPHVPSPYVEAPQVNGTYCSFNFPMPTAPPGAQRDPRP >Dexi9A01G0039610.1:cds pep primary_assembly:Fonio_CM05836:9A:43504154:43506732:1 gene:Dexi9A01G0039610 transcript:Dexi9A01G0039610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQAQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLTARGVTKETLHVAKYNSNAAAAYRDRIAALAEGRPWTDPPVVKETPGSGAPAPARKPPMPASSSSGGGGGGGGWDDWDDDFRPDMRRNQSVGSFAAAGTQSGRQPPRSQSTQDMYTKQQLEASAANKEDFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGAAAQGDVMQVVSQGFGRLSLVAASAAQSAASVVQMKEGGYDQKVNETVSVVANKTAEIGSKTWGIMRGVMALASQKVEEYAKEGGVGGWGDDWQRREQNNEPYQRFEHETNGNGWNSSQNSSSKNYNSSSWDDWDDQGKKEEPAKPHQSSDSWAGWDDGKDDGFDSPSYSNHSTSNKGSNQNGASSGSFWTEGGFR >Dexi6A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:6A:7525285:7525885:-1 gene:Dexi6A01G0007600 transcript:Dexi6A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIINTVSANIPVAPYMGLLKPNGKMIMIGLPEMPLEIPPFDLITGSKTLAGSHIGGIGDTQEMIEVAAKHGVMADVEVIGAEYVNEAMERLAKADVRYRFVIDIGNTLKTSSD >Dexi7B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:7B:22712743:22713030:-1 gene:Dexi7B01G0016680 transcript:Dexi7B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRKLTSCAAGRSLHSARIGLDSAKAERAERGGVSREEVARCGPGSTALPGLRWRLLALVAARPSSHGHTLVLEDRHHPASARSGGVRTRGPQ >Dexi9A01G0046040.1:cds pep primary_assembly:Fonio_CM05836:9A:49437577:49438672:-1 gene:Dexi9A01G0046040 transcript:Dexi9A01G0046040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCVNLSRAVVLLPAGGGARRGPRHQYVSGVLRLPSPSSSSLLLPRRHGDGAVAWCPSGTGSSRTPPPLFSAAHGIGGDAGPTSPPDHAGGIGVAEFLGAKNFLVTGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSVAALRRLHNEEIRGKDYDTFIATKLVPVVGDVREANIGIAPVLADEIADQVDIIINSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTEYKT >Dexi2A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:2A:5154514:5154949:1 gene:Dexi2A01G0005360 transcript:Dexi2A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSYMEKYTKQASALVFFSLLLLGYFTAYAQSQGIDEVGAPAPGPAEQGFEKLSGAQCAQGLLPCKDNKCWCCINGRSKQCFFTQAQCSHACF >Dexi8B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:8B:5997076:5998455:-1 gene:Dexi8B01G0005730 transcript:Dexi8B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGKSHKGAPAAAPASGGDRIGSLPDEILHRVLSFLPAQQAVQTCVLARRWIHLWKYATGLRIVGADGNAPVPFEEVREFVDSLLLLRGSSPLERFEVKVAGAAIDVRNLRLWVRYGMMCNVQVLRLKVHGNAPALLRFEDPPLASRYLTKLELRGLAFNKDFLDFSRCPAIQDLIIKECSFKHAERILSQSLKHINIFIFRRDLKCCPTFSRLKNLVLFECYVPALACILEHPPVLEILKIYALLLEKGCKINVEMSGRFNPAELPSTISPHLKRVKVCCGTVDKTVLEVLEFLSRFNI >Dexi1A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:1A:1170165:1170970:1 gene:Dexi1A01G0001750 transcript:Dexi1A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWLQPEKYQLRSAFSNLTGLSVAGIFVGFGLLWIIALLEVTSSLEILHIEVYDHICRDEMQRLETFGERTNGPWEVCESTSHFPLKELLLEGFNATEGHITFIGAIMGRTPNLRSVILKEQYCKKCATTRKSLKECKYSKNEDEQLAVVNNNHAEIFFSD >Dexi2B01G0026210.1:cds pep primary_assembly:Fonio_CM05836:2B:35336369:35337817:1 gene:Dexi2B01G0026210 transcript:Dexi2B01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTIVLVPVWGIGHFVPMLEAGKRMLARSPHPLTITVLVMPEPAEAKRASEIAEHIRQEEASGLAAIRFLHLPAVDPPTDHSGIEEFISRYVQRYAPHVRSAIAGLTCPVAGVVVDIFCTTLFDAAAHELGVPAYLYLITSAAMCALLLRSPSLDAEVPVEVEFEEDVDVPGLPPVPASCLPTGLENRKIPTYKWFVYNGRRYTEARGVILNTVAELEPRVLDAIADGRCTPGTRAPPVYTIGPVIPFTPSSSDGEKAHECVRWLDTQPRCSVVFLCFGGQGSFAAPQAHEIAHGLERSGHRFLWVLRGKPEPGTKLPRDGNLAELLPVGFLEKTKGRGMVWPSRAPQKEILAHAAVGGFVTHAGWNSVLESLWHGVPMVPWPLGAEQHYNAFTLVAAMGVAVPLEVDRKRGNSVEAAELERAVKALMDDGGEHAGKVRERAVEMKDACRKAVEEGGSSNLALQRLCEAMLDGAVLPGKQ >Dexi8B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:8B:22176386:22180425:1 gene:Dexi8B01G0012830 transcript:Dexi8B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKKGGRKPPPRPEKGDAPPPTNANWDDSTAAFRKEAEAAICSRSEDDGGAAAAALLAERHPSSPLAHHLLGHTRASAARAGDAVPALRRAAELAPRCPGIAATLASALLYARRPADALAECARALAVADPTDPALHAVAASSRRGGIALTAQSPQARVAEASERLLGVRADAEVLEATGVCRRGAAPLPPLMAPMKLSCCCRHATRRRGAVTDDDLRGFLTVSFDDLTAHCDDQTGSVHLLTRAVEFAKATNAWGYWLCPVCDSVFLDANSFVSHVEGEYIHELQELQPLMPKRAAALDSDEFEYSLKWTPFEMGEEDPERRKSLDTIKEAFSSLDAFKALPVGLVDKIIKLARSRSKKPLPYCIPSCATSLDSRELQRLVNPLEQLRNNLSRGWEFVRGLGTEGKNTGPCEIVSLLQDECLLLSLDPDKIASSKKDGSCEEDPVFRWLLNSLEEVAVPWASLRQKCVHHGNEVMERIYEISDSLKRQSNLKCAAKEKNHRGYSLTEVDSIDVEMLLLDNEVGYLKNKLVEVCMFDYSAAILPLLRAYIWDKLNNLPGEDSRDQVDKNSINNGDSLDGLHVGSLFEDKIPETDSDMRFTISRTDECENSSLSQSDSSNFSTFETESFSIDIGVATVLHITVDDLQFLNVTLRALWHLRDFHDRFLNMSFVLPHLTVEAHCIVCLLRKIFNAWDTDKEYGVTSFPSDVRTAFSDILNEMNLFGKERVNIASEIVSTIFDALHKSHASLHSDNPALEYRAISTARYLDFVCVAHNVFGLPIREQQKCKCLNESSEEKKHTTFFHSVDVSAIQTTEMESLGQLLRDADKQNQYDTESCPCGNKTERSLQSPPPIFAVVFNWEIDKESPIDMSKVLMSITTPLQFDLLHEVLGRENYNLATAS >Dexi1A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:1A:12123707:12125427:-1 gene:Dexi1A01G0012350 transcript:Dexi1A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMISGFDAVAAYPTTLPSPRMQAADRELHSPRREPPSGFRKSLNPIYADSHDTTATTATTSESSSSTPATQDEEAQAPTMSTAAPVATVLARRHTGGGDGRWEAIRLAEPPLSLGHFRLLRRLGYGDIGSVYLVELRAGGAGGRGGALFAMKVMDKSSLAGRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFCCLLMEYCCGGNLHSLRQKQPNKRFTEDAARHYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVSNGGGLAHVCMLPRFLPVVKKSKKKKKNKGGKDKSELDDDEPVPTTNGSSGKHKKSPPPTSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGATPFKGSGNRATLFNVVAQPLRFPDAPAVSAAARDLIRGLLAKEPQNRLAYRRGAAEVKQHPFFEGVNWALVRSAQPPYIPDVAGEQCSQFACDVAGVAAAPGGTPKSAGRKTSSCQTDPSSSHVDFEYF >Dexi9A01G0039600.1:cds pep primary_assembly:Fonio_CM05836:9A:43503586:43503856:-1 gene:Dexi9A01G0039600 transcript:Dexi9A01G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARSAGKEEEEEGAWWGGAFGPARWAGGQPGADGFPYPTRSTQDKRRRRATCGRNRRVAETLAGFAVSSPFP >Dexi5A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:5A:7255905:7258914:1 gene:Dexi5A01G0009680 transcript:Dexi5A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTIRATVPPSKSTPSPVTTTPTPASPAPVTQPNATPADPPASPAAPPPLPSASTPPPQLTPPPPSLPPPPPDAAPPPPVVVASPPPAPTTVVPPPSPPVAVPPPATPAAPPKASPILPPAATSPPPSNLPAPNPPADPTPPAAVQSPPPRHRRPPRNPGTQPESPPLAPPPSGIPIKPSPTSPAPTSGDPLIPSTPTSPSPPGTTPSTPAPATAVDPVSPVTNGNRGSNKSSSPATQSSSSSASSGGMSSGAKAGIGVIAAILVLSLVGAAFWYKKKRRRVHGYHPGFVMPSPASTTTQVLGYSAKTNFSAASPESKDSMPEFSMGNCRFFSYEELYQITNGFSAQNLLGEGGFGSVYKGCIADGKEVAVKKLKEGGGQGEHDQRLLVYDFVPNDTLHHHLHGHPRIIHRDIKSSNILLDNNFEAQVADFGLARLALDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALESGNVGELVDARLDKNYNEVELFRMIEAAAACIRHSASRRPKMSQVVRVLDSLADVDLTNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSQSSWNSQSRGLDASGSRPL >Dexi9B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:9B:4285471:4285821:-1 gene:Dexi9B01G0007110 transcript:Dexi9B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVATIPSLAAPAAKKRSSGGMNAYSGLKGLNKVTMLGLRKNADYSFAKIVASLSPTGKTGRGGAFGAQMNAAAEIFRIAATMNGLVLVGVAVGFVLLRVEAAVEESE >Dexi7A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:7A:19149880:19150278:1 gene:Dexi7A01G0007930 transcript:Dexi7A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGRTYPSPAWPPPWLCSALAIACAACRHRLARTCPRARPADPLSSKAHSGGAIPEHVVLSRCCSDGCSTDDDHGVVKLLVALVLATPDPGSARGDAACLGEVVVPACCWYGCPSDPRGEGQDDGGASVG >Dexi1B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:1B:3557787:3565860:-1 gene:Dexi1B01G0004410 transcript:Dexi1B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQFTYKRYSNRMPIPFHGFAILLLISLATLTTSCKEQENNSLLQFLSGLSNDAGLAKSWQEGTDCCRWEGITCNGSKTVIEVSLASRGLEGNISQSLENLTGLQLLNLSCNSLSGGLPLGLVSSRSVIVLDVSFNQLNGDLHELPSQIPGQPLQVLNISSNLFTGQFTSTTWKGMENLIALNASNNSFTGQLPSHFCSISPSLAVLELCYNKLSGSIPPGLGNCSKLRVLKAGHNNLSGTLPDDLFNATLLEYLSFSSNSLQGMFDGMDIVKLSNLAILDLGENKFGGNIPDSIGQLKRLQEFHLDYNSMFGELPSTLNNCTELITLDLKGNIFSGELNKKAYTHAETSLRYGYLRILRNSKKLTTLFLGANFKNENMPEDARLDGFETLQVLGRILVSIRVKRLTAKSGRGDNSDVEETSFNSRSEHELIMMPHGKTDRNTLTFSDIVKGTNNFDKEHIIGCGGYGLVYKAELPDGCKLAIKKLNGDMCLMEREFTAEVQALSMAKHDHLVPLWGYCSQGNSRFLIYSFMENGSLDDWLHNRDDDASTFLDWSMRLGIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDKELKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYAHGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEEQMLKEAMQPNHFSYKKCSSRLPIPSLGLVIVLLVSLASPTSSCTELDKASLLLFLGGLSQDAGLAKSWQEGTDCCKWEGVACNRNGTVTRVSLASRGLQGRISPSLGNLTSLEHLNLSYNMLSGGLPPGLVSSSSIIVLDVSFNQLTGDLHDLPSSTADQPLEVLNISSNMFTGKFTSRTWEGMTNLVAFNASNNSFTGELPGHFCNISPSFAVLELCCNKFSGRIPPGLGNCSKLRVLKAGHNRLSGSIPDELFNSTSLEQLSFPNNGLDGVLEGARIVNLIDLVALDLGRNKFTGNIPDSIGQLKRLEELHLENNNMSEELPSSLGNCTNLRTIGLKSNKFSGELRKILKSCPNLTTLLLGSNFRGELLPQDDTFNGFENIQPYRGNSNVIDEYANANFREDNSQFGPKGVIPPEIGQLKALVSLDISFNNLEGPIPNSICNLTNLQVLDLSNNNLTGAIPSALENLHFLSAFNISNNNLEGPIPTGGQFSTFHNSSFDGNPKLCSPLLGRRCSSADAPLVPTKGTDNEVIIAIAFGTFFAVISILVFLWRLLAAIKVKRLAAKSAAVANGDVETTLSNSSQEHTLVTMLGSKAEENKLTFPDIIKATNNFDTEHIIGCGGYGLVYKAELPDGCKLAIKKLNGEMCLMEREFTAEVEVLSMAQHDNLVPLWGYCVQGDSWFLIYSFMENGSLDDWLHNRDDDASTFLDWPTRLKIAQGASHGLSYIHNVCKPHIVHRDIKSSNILLDKDFKARVADFGLSRLILSSKTHVTTELVGTLGYIPPEYGQGFVATLRGDIYSFGFVLLELLTGLGPIPVLSTSKELVPWALEMRSQGRQIEVLDPTLRGIGHEEQMLKVLEVACKCVNYNPSLRPPIMQVVTFLESIHDGRQM >Dexi5A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:5A:13613190:13620188:1 gene:Dexi5A01G0015800 transcript:Dexi5A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVYQEIKGKVKSAVISLIDREREGEQIDRALLKNVLDIFVEIGLGSMEYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKDMVGLQEQIFVRKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISSHPELNPGIDLAVTVLTTGFWPSYKSFDINLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNISAKFEVKTIELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYDILNKEPSNKAISPNDIFEYNSKFTNKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRTFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >Dexi7A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:7A:28268568:28277156:1 gene:Dexi7A01G0018990 transcript:Dexi7A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALARRPVPPFLRLRSTVCEDGYWMGRLDHKDWLAPNEVLKVFANIRDPSLITSVFNKACGRRDYKPSEALYSLMIDKLACARRFSDVEELLARARTEKFRFSDEFFYRLVKMYGNVAEHPQKAIDTLFAMPSYNCWPSTKTFNYVLHMLVCKRQYEVVHEIYSSAPKLGVTLDTCSFNILVKGLCQFGKFDDAISLLHEMPKQGCQPNVTTYSTLMHFLCQRCQADKAFELFERMQDQDIAADTVVYNILISGLCREERVTEAFNLFKSMTSEGCYPNSGTYQVLLDCLISSGKFLEAKNLVSIMSKESVRPSFQSYKLLIDGLCSDDCLDDAHLVLKQMVGQGEAAPDDLLLLLLPQFVATSLPFFPFRSHLGLDTWAASLRFRAPGRAPISRRALSRRRFWPPSRRCRRRSARKRKVWAPSLSVIRMDFADSRRKPNFTGKIAVAAALTVMCIIVLKQSPGFSGTSVFSRHETGVTHVLVTGGAGFIGSHATLRLLTDNYRVTIVDNLSRGNMGAVRVLQRLFPQPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVESTPQNPINPYGKAKKMAEDIILDFTKSNKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKSRPGKVGIYNVGTGQGRSVKEFVEACKKATGATINVEFLARRPGDYAEVYSDPSKIHSELNWTAKYTDLGQSLSQAWKWQKAHPNGYGSA >Dexi3B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:3B:8510485:8511737:-1 gene:Dexi3B01G0012170 transcript:Dexi3B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAQQQVIGIAAAAEAGRPCCIECRTTTTPMWRGGPTGPRSLCNACGIRYRKKRRQELGLDNHKKPQQNHHQQQPQPPQQQPQQHQDHSQAPSAVKDNKSSGLQVVKKRRVLMGVEEAAILLMALSSSSRSTLLHG >Dexi9B01G0043620.1:cds pep primary_assembly:Fonio_CM05836:9B:43588971:43592691:1 gene:Dexi9B01G0043620 transcript:Dexi9B01G0043620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAVDSSASMPASFEVSKRPDTAKLVLNSPSPPSLREELVAVVGKAFRRPRASGDRPPRCAWALTALQCVFPVLQWGRSYTLESFRSDIMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQNIVDPATDPATYRSLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNSTDIVSVIKAVCSALHDPWHPGNFFIGCSFLIFILTTRFIGRRYKKLFWLSAISPLISVVLSTAAVYATRADKHGVKIIQKVTAGLNPSSAKQIHLNGPHSAECAKIAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMLAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFITLELFMKFLYYTPMAVLASIILSALPGLIDIKEACSIWKVDKMDFVTCLGAFLGVLFGSVEIGLAVAIGISFAKIIIQSLRPQVEILGRLQGTNTFCSIKQYPVACRTPSVLTIRVDTSFMCFINATFIKERIIEWVREEVETSDGKARERIQAVVLDMSSVVNIDTSGLTSLVEIHKELVSLGIQMAISSPGWNAVQKMKVARVVDRIGEDWIFLTVGEAVEACLTEHKGTALEC >Dexi5A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:5A:8049825:8050499:-1 gene:Dexi5A01G0010740 transcript:Dexi5A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQTLAMRPLQLRPRVASTAAAAPARPRSLPGRLALACRSSKSGRGKALVAVAATPTARGGEGNTTTVAAAAAAATTAADVVREFYDGVNRRDLAAVGPLIAEGCVYEDLVFPRPFVGRDQIIGFFGEFMGTISPDLQFVIDDISADDSAAVGVTWHLEWRGRPFPFSRGCSFYRLVVSEQGKPQIV >Dexi2B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:2B:26690626:26691579:1 gene:Dexi2B01G0016490 transcript:Dexi2B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHSSTMASSRFWRRHPSRSDSSCIRCFCSAVNLVRNRFLGIAPGGALDGVSWWAGLCVTAAAAPGAPPEPRESSMCGGPAAKYGGGGGATGAGSTWCGGGGDTDRSSSYSEASESESESSTTPGSRSSGVSSPPPPPRCGWPWSSTLAGAGSASGADGGGGGGEPSTARRWKLRWHPHAAHLSDVGSAGLAAGEGMNSPQPSTAWPPRLAAWFLRHSRYIGSPGAAAAAGDTAVGFTFLAAPPLGNMRGLYLASMASMRLRPHLGLTRRRETHARTGERLPLAPNPGALAWDDGSREEEGREGGRKTTGKE >Dexi3A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:3A:848332:848847:1 gene:Dexi3A01G0001160 transcript:Dexi3A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIAMMVATSTPGRTTRLNTSSPSVATGLDATPAFFLAIRAASLPSLPRRILQFLLEKDPSIRAEHLLIVKTCCCDVEDGFFSWVSSVAV >Dexi4B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:4B:20554616:20554987:1 gene:Dexi4B01G0018170 transcript:Dexi4B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMRNPNVMQRAKDELNCVLQDKSRVTEDDLVNLPYLKLIVKETLRLHIPGPLLLPRRSSGIRNTGTNLRFSSPSGSKRERLISWVPTSTTLPLELAGGYAQA >Dexi2B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:2B:2031368:2032703:-1 gene:Dexi2B01G0002440 transcript:Dexi2B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISSPRTRRTYVERIRSYPKLGKPSAGADGRMFPEVDFVGGNVVTIAQAQNLISAGADGLRVGMGSGSICTTQEVCVQATAVYKVASYAKDHDVPIIADGGISNSGHIVKALTLGASTVMMGSFILGWQS >Dexi2B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:2B:14047399:14050471:-1 gene:Dexi2B01G0012080 transcript:Dexi2B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKVRKPFPSPQTKNQWARDDPAFVVILILFLVFATSAYCAAL >Dexi1B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:1B:18124071:18124367:1 gene:Dexi1B01G0013040 transcript:Dexi1B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSWTGSGACSASTGSGGSVVRVVEAARCGGNLGLDLPRDLSSAPSRPRDCLQTEWETGEDRSRRSPWSRGRLLGEGIGNRREEGQESRTNRRAHGR >Dexi7A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:7A:20961560:20961907:1 gene:Dexi7A01G0010070 transcript:Dexi7A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVWSWDACHTERGVGHGDAGMAHWHSRREQPRRGTEEERVRGAGRSKAHLGAPASSAPSWSSTAGRRLKTYLVSVGTPARHGLSSEARKFLAAEPSQEVSSRGERTWGEVGVV >Dexi9A01G0042610.1:cds pep primary_assembly:Fonio_CM05836:9A:46195441:46195779:1 gene:Dexi9A01G0042610 transcript:Dexi9A01G0042610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRLLTFTSVASERLRALELVGCLAVCELQVAASALDSPVVGAPHVDSPRQEHYHDLVDLPWSPRLQRAAAVPAPLPRWSIRHVVGRFTARRTPQLDSARHEHITLTQPR >Dexi3B01G0022900.1:cds pep primary_assembly:Fonio_CM05836:3B:17618254:17620279:1 gene:Dexi3B01G0022900 transcript:Dexi3B01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDAHLSPEEAARVEAEVRGYFDSVAPRRPSKPSRSDPSEDAGAEADAGDHDLPEVRKLRDLEAKPQKLVLDGGGDVDSGEEYVETRYYDGLIGIDKQHHTVSFVAVHSQLLTAAEISSAVQRQKLGSSGGNVGVGELEAAATHWTGTGFIEVERLNGSAFSVTTNGRSSANFVRCTSNPATNDWIPSAETVIPVSNKPSRSDS >Dexi7B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:7B:25501850:25503418:-1 gene:Dexi7B01G0020050 transcript:Dexi7B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRSGSHHHHGWGEAARAPLAPVPANPSPNHHPLPPRGVGPKARAAAAGSYVSFRPAALGHGESRALRDRLAAELGQVRALLSRIDAWQGRGQPPRGEPPAKLRGAMRKRCGQILAKLRKEKRSMWFNEPVEVDRMGLHDYHAVIKSPMDLGTVKENLAAGRYASHGAFAADVRLTFSNALRYNPVGHEVHTFAGALLASFEKMYKSAVDWFEEECKRLEQPPKPVPAELPPPPIVEPPLPTVEPKMKPRTGNVKMRKPKAREPNKRAMSLEEKNMLRLGLESLPEDKMHNVLQIVRKRNANQEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMMNGGIADVTSAAVAEDGSAPANSVAALVDNDDAESENPMKNATLAEQVDEYVDIGDEMPTATYQSMEIEKDAEVASGSVGSGSGSSSSSGSESGSSGDSASGAGNAHSLA >Dexi3B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:3B:7496879:7498886:1 gene:Dexi3B01G0010690 transcript:Dexi3B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAVLRRSLPAASPAAAMERRRRSRTPARVACVGGGRFVDEGHLGYYEAAAPRRKAVEAVARDLARIRAMGLVAGDAAKEKILSEATDLLLQELNLIKDEEYKMKKTQKEEKAAMEALKQQEKEAKKAATTAMMECEDESSESSESDCEDEQTMNVGQGMVISAAVPEVVASDISTVSAMECEKAAMKAMKKMEKEQMKAMKKMEKEQKKAAKKAMKMEKEAKKMAMAALNGCMDEDDSSCSSESSDSECEGEVVRMSRCATITAPETPSPSTVFPIIVPQIPASLPPELSQASEPATAGQVSSTSNVVVAETSTANRIEVCMGGKCKKSGALAILQEFEKTVGTGGAVVSCKCLGKCGLGPNVRLRNEVSAEGSAKRNPLCIGVGLEDVGTIVAGLYGDGDLGMTAA >Dexi7A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:7A:16889721:16890301:-1 gene:Dexi7A01G0005650 transcript:Dexi7A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAPPPQHGDKCGGSGGSSRQRQRSASFHGRGAEQQQRHHHQLQKQRPKTMPDLLVGARGAAASFRSGSSSPPPRDGGGETTGRRTPSKVLVSVTVQSSMWPLHVMASADWTVADLVAAAVALYVKEGRRPPLPSGDPAAFGLHYSQFSLEVYYYNKSK >Dexi9A01G0043260.1:cds pep primary_assembly:Fonio_CM05836:9A:46734945:46736066:-1 gene:Dexi9A01G0043260 transcript:Dexi9A01G0043260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSLGDFEFFKILLPGMYEEALRLPVKFVRELGDRRHLKLRLAGEGMPLWDVEVFADERRGDMYLAEGWKKFARAHDLRDGYVLVFRFDDGAAATLAVTVFDRTTCRKVYVHAGAAAGDEKASRGARRQLAIAEPSHFAVSLRQCNLGTKQNQYLQNVPVEFQDAHGYARRRRVELQMGGRSWSVNLKRGKRVLGDRTAFKYGWHQFCVDNGLEVGDTVFFRVIREGACVDDDDEEWEDEWEDDEHVLKVEVRKKDGTFIS >DexiUA01G0020100.1:cds pep primary_assembly:Fonio_CM05836:UA:41989660:41991393:-1 gene:DexiUA01G0020100 transcript:DexiUA01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLKFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >Dexi5A01G0034850.1:cds pep primary_assembly:Fonio_CM05836:5A:36824779:36827850:-1 gene:Dexi5A01G0034850 transcript:Dexi5A01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLAKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLKSEKDEEQDGSESDGEGSSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKYIEEDAEGQGSSSDDDDEEVGDAVPIDSLKIDQDRSEEPDCNLASRNSGGPVTFSEEHGTSCSGENRLQSPPSGSNGDAKVLSQEDDNDDDSSDDADDEEDAELTKKLNKERKKAIAAAHGRRRPVSSRNTYKDKGKGTMNSKIQRQACKW >Dexi9A01G0022280.1:cds pep primary_assembly:Fonio_CM05836:9A:17494185:17495372:-1 gene:Dexi9A01G0022280 transcript:Dexi9A01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRVSNPHLRTLLLAAAAAPTAIVLDMFSGAALDVATELGVPAYFFFTSGAASLAFHLHLPALHARTSASFRDMGGDGELLHVPGIPPIPATHAFHAVMDRDDVAYDGFLYASTALCRCEGVIVNTFSWLEPRAVEAIAAGLCTPPGEPTPPVHRVGPLIKSSEFVAEGSNAAACLPWLDKQPEGSVVFLCFGSLGRFSAEQTRELAKGLEASGQRFLWVVRAPPPSDDDDTEKRVRRSPELDMDALLPDEFRHRTKGRGLVVPSCAPQRDVLAHASVGGFVTHCGWNSVLEAVVAGVPMLGLPVHAEQRMNLVVLEKELGLAVALEGGVVAAEEVAAKVRWLMDSGGGGSVLRERVALAMVKAKEALRQGGESDAALAGLVDSWRSS >Dexi3B01G0019370.1:cds pep primary_assembly:Fonio_CM05836:3B:14386751:14388471:-1 gene:Dexi3B01G0019370 transcript:Dexi3B01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEEL >Dexi3B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:3B:6223728:6225957:1 gene:Dexi3B01G0009020 transcript:Dexi3B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAARPRGGGVGGMVLGGSAAPAAVGGDDAVVMQLAAAEGEESVVTINCPDQAGLGCDLCHTILEFGLRITRGDVSTDGHWCFVVFWVVPRSSTIKIRWASLKNRLMSMCPSSYSIPFYPEISQPGPAKFYLLKLLSPDRKGLLHDVTHILSELELLIHRVKVSTTPDGRVVDLFFITDGMELLHTKERQEETCSTLISTLGPSISCEVLSAEGFQQGFSSLPPKIAEELFRVELADSEMSSLSAELKKVQTATINFDNSLSPAHTLVQIICANQKGLIYDILRTMKDCNIQIFYGRFRSDKKGPVNNVCREVDLFVKQVDGKKVIDPEKQEALRSRLRSEMLHPLRVMIVSRGPDTELLVANPVELSGKGRPLVFHDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLANSPTNRNRVVDRVRKTLMGCYN >Dexi2B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:2B:10945928:10958887:1 gene:Dexi2B01G0010080 transcript:Dexi2B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPLAIDITVNLPDQSDIILKGISTDRIIDVRRLLCVNTATCAITNYSLTHETRDGPLKDAADIATLKPCTLTLVEEEYSEESAIEHVRRLLDIVACTTSFGPAPPPPPPPSPKDADAAKEPSSSSSAASKAVAASSGARRTASPPPASPPAGAAKDSSAAKEAAAKESAAAAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAKLCSGKFVVVEARRKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKEIDSLGIINLRYCGYVAVAKVNNIDKTKVTSSIKPINIADQPEGGAHALNINSLRMLLNEANSTGEKKASSLSQNHRHEELTTAQTFVETLLKESLQKLEEEEKEKQSFMRWELGACWVQHLQDQKNSEKDKKQGGEKDKKKAVDKSTKEAKIEGLGKPLKALKNLKNVDSADMGSSLGAKSSAESQKDKPTDIELAQAESNASENENLLKNLLPESAFTRLKESETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIASNSDTRQLALTIAAALNLLLGVPESDVSGSSPSVHPLVWRWLVTFLKKRYEFELTEKHYHDVRKYAILRGLCHKVGIELAPRDFVMDSAFPFHKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKEFSVDVVIFCYLQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDYESGKRRYSSIKVLSHSNESSNGPSPEISPRDSTPTIDEEQQVKEMTKDDSTDIISETVVTQSPKLFEQPAPSELPLEIAEVNINVPKEVHQDENAEPEDGWQPVQRPKSAGGPGKQIKHYRPTTRKVYNPDTHDATYTSQYKARNSYSNNRYYFLRKRTVVPTTYTDPQQHVKVQTSGARFGRKIYKPVTYRVKPGTASTEVQDTSKSTEPLSGKTESQVTYSQAHSPTSVDYRESDLHGALVASSGNAPSYKDVALARPGTIAKTQIQKPRDDVLQNQPSLGQIIAQELKDSLVDSLQGEQGPVSSNANNPKEVANLPEQIQQSEETKFSGKEPEIENVGKDGSQNLVMPVIGSEPGNSKDANVFSDTNQEPSSSGNSGATIEVSESTGSVNAGQSGKSDMEFFEALPSIAEPISVSGSATNTGSTGDSVSEKSKPNLVLSNVDFREMPNKKLSAAAPPFNPSPPAVLSPLTGNVGLPPPGAIPGVAPWPVNVSLHHGHSTMVPSGPPLCTSPHHLYPPAPRSPNLMHPVPFIYPPYSQPQVVPSTTFPMNTNIFRPSHYGWQTYMGPAPSEFVPVSAWSSSHTVDFIPPPHVVDPISQSLADKHIQSDAAVVSIGPLLDSSAVEAKEEMETPVVVGSDRFISSTHDDQDKQLKDTARIEVNPDMQADNSHDIGAANHPRGNMRNEDEGSFRIHVKGKGRRKQTLRIPISLLNKTYGSRSFKLDYNRVVRENDIFRPSSVSVPEVVSSGN >Dexi2A01G0034090.1:cds pep primary_assembly:Fonio_CM05836:2A:44176464:44177660:-1 gene:Dexi2A01G0034090 transcript:Dexi2A01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEKEEIERRACEKPRETDDEEDDGMEDQNERDREVIRMDESSWMTVSQYRREWNELYSRCYGSFEDTKGDHYSYRLTYYGMVAMRDSIDNNRNIVFQRTRDDCQIVTKEDPYLVAPVVCFKSTYSYLLNYAYTTLEFKLGHVVCSVEATIFVRVTHGSWPDGLRGVFAAFTSGVCDRRAGYVFVGGRRITGIGHERIVLLDSRGERLPVSGDGKIELSRRVVSAETSGKLTVRVSALLEGDKDVVENAESIFDTLEAGRSVGDLQFSFCKMEVAVFWSLIAMF >Dexi2B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:2B:24702103:24706629:1 gene:Dexi2B01G0014810 transcript:Dexi2B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPPELGQFDGWESSGEEERERWGWCRRSRRGSSRRRASPKGGGADDDTTVATGCCIRLWPVGSCPPPPRSKVDTSTSSASTHGGEKSTENGNRNQPAALVVSGSTTTSNAESSSSASKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLDGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSLKSQSPFAARNGQPVRSLSDGPRASPFRYSPKPNMK >Dexi7A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:7A:26257731:26260068:-1 gene:Dexi7A01G0016410 transcript:Dexi7A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTTTSVTLSATLPSFPRPPPRPTAARLSLLLRPRRRALLRCKAVSELAPAASAAYGVLLLGGGGFAYARSGSKGSIYGGLAGSALMGVAYYLMQSPETKAAGDAVGFGSAFLFACVFGIRLYNSRKLVPSGLLLALSLGALGVFYSAYLQDKVLTILVQ >Dexi5A01G0027460.1:cds pep primary_assembly:Fonio_CM05836:5A:30951640:30956226:1 gene:Dexi5A01G0027460 transcript:Dexi5A01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYAQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARAFGVKRRVPSMRGDEAKRVCPGINLVQVPVARGKADLSLYRSAGSEVVTILASKGKCERASIDEVYLDLTDAAKDMLLQAPPNSPDEIFMEAAKSNILGLPSNASEKEKNVRTWLCRVDAEYEDKTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNSTYENERDSVKKFPSKSCPLRYGIGKIQDDAMKLFESGLHEFLESQSTGWSITSLSVTASKIFDIPSGTSSILRYIKGPSSAASLDLPASSSVPEDPSLGDVLDSKQCEPSTSEKVDAKRYSANEGKKISKKLPEVKVLRKQTKLRNAMRLHKMWIGAASAVVLNGLNPLAVTHGCSTLKTSIQK >Dexi7A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:7A:25031811:25035331:-1 gene:Dexi7A01G0015190 transcript:Dexi7A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSASPPVQEELQTERRLKNQLAAAVRSINWSYALFWSISSSQPGILTWTDGFYNGEVKTRKIAKSVELTADQLVMQRSEQLRELYEALLSGEPDRRRAVRPVASLSPEDLSDTEWYYVVCMTYSFRPGQGLPGRSFASNEHVWLCNAHLADSKAFPRALLAKTIVCIPLMGSVLELGTTDPVMEDSDLVSRTTTSFWEMQFPACSKEPSPSPSVNELGKPTDIIVFDDLNNDATETMIAGGQELGEAESLSNPSLEHITKEIDDFYTHCEEMDLQALEDSWMIMDGSFDIPPSLQPEPGAANTNDAAVASTTPVEDSRATSFRAWSRSDSDEVAMPVTEEPQKLLKKVVTGGAWSENCGGGTTTTQETSIKNHVMSERKRREKLNEMFLILKSLVPSIHKVDKASILAETIAYVKELQQRVQELESNKEPISRPFQTTRLVRRHDNGTVRKKVSAGSKRKGSELGGDMEREHPWVPSKDGTSNVTVTVSDKDVLLEVQCRWEELLMTRVFDVIKSLHLDVLSVQASVPDGFMGLKIRAQFAGSTIVVPWMISEALRKAIEK >Dexi3B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:3B:14973156:14983841:-1 gene:Dexi3B01G0019980 transcript:Dexi3B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAVTDDSAASTAGMRDDERSLSGESLSEWRSCDRADSDSPSTSPPFWDTDGDDDDPGPNPSTLFGRYTWRIENFSKEKKREMKSDPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNVDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMAQVEQIYRRFIDERRTKLSKLIEDKMRWPSGIEVAYQEAVALKRQEELIREEEEAGLLENQMKGKRGGGANEKDKRAKKKQAKQKKNNRRVKEKERDEKSEVKILERLRDEIAVDNSDGLPAKVEVTANVDALEEGSSDGSDMPNRGKGQRNKGVSIVDLNEEGDGLPSTSSVAAGPGRNSSGSCTVPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKEAESSNSSSSLEKPPDVPESPEHSSDVTFDTEANGTPNKDVSVVNHMPEEAVTGILTPTNTEPVTTSATAKIDLVANKDYASSQKMKANIASPCFSKQPGVDMDKDAPLPSKSQRINRAASVQQKLPSVDKVTPVPPKSPPINKTLAVCPKSPAVDKTIPVLPKSPAVDKAAPVRPQSPAVDKATPVRPKSPAVDKAPSVGPKSPATEKSTLVIPKSTPVDKASQAPPKSPTGGKDASVPSRLANDKSIPAPPRLPPVDKAALPSSELPQTSLDTNSKAQEVATSRKVTATLVSEVTASRPSSAPVLPTPRSTAPATSHVHISSLLSRSMSEAAGRTVNGPSPSAPSYAPQTYRNAIIGKAGLGTTSTSLAYPSASLSQGTNPVQPPSAYAPSTAGMMPPAGRSDQLSTRQVLRSGLGKLGAHDNWQQWKGDSNVDKHMWRDQASHQQMANGQAYEQPRRDDSYQQASSRGTEKLSRYGGLQSRQFQSGTSDGHVWHQQQGPVQEEFPHLDIINDLLEEDHINGSMTDSFNQDYHAFGRPFSPGGNLADMEMASISSPGRFNSTERYYDEGFSRSYDMNALHGLRERQFPSMGTYSNGLSDLSVSKPWLNGSPNPAVSLGASTNGYHYQVGDYANLGGGMNGVSVWRRHANGRW >Dexi1B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:1B:8157352:8160049:1 gene:Dexi1B01G0009190 transcript:Dexi1B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYFYYLCLLHFVLSKFSAFQLIGWTPLIELKNIAKKEGINARLIGKIEPYQPLSSVKDRSALRLIEDAEEKNLISPGITTLVAVTSGNLGIGVAFVAAQKGYKFIAVMPAKIAIDKQVLLRYLGVEVILVDPAINGFKGLLDRVEQLKNEMENIYVVDQFTNPANPDAHFRWTGPEIWKDTEGKVDIFVAASGSGGTLTGTGRYLKMKNPTVKLICVEPAESAVISGGESAYHKIQGIGPGFVPEILDTSQIDEIVTVTAEEAMDMARRLAREEGLLVGISSGANAVACVKACSLLCKFCSHLYLLLACSFDMCTTLQVAAREENKGKMIVTMFPSGGERYLNSELFAQVKEECINLNMAF >Dexi5A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:5A:19712535:19720519:1 gene:Dexi5A01G0016940 transcript:Dexi5A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVPPEETNRCVRGCCRSTAIPLHLPPASFSLLSPIARGSESKVYEARLAGERVAAKKPVLATSEDLDKFHYQLQLLCELDHPGLAKLVAAHARPPNYLMFFDFFEPPNLADKIHVEEWNPSVPQVVAIASDLGPTRALQYLHNLGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKRVSVENWKSSGKPTGGFHKKNMVGTLIYMAPEILRKHIHTEKSDVYSFAISINEVLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALPKSGVPSTLLSLIQRSWDPDPEKRPSFEDIISSAAAEFSVRAIPGFLKQFGQGTSPIDALVEAFVRTDVAFREELILHQKSKRIIRKDWHPGCTAVTALVVRNKLFVANAGDCRAILSRAGKPFPMTKDHVASCLNERERVIKAGTEVKWQIDTWRVGTAALQVTRSIGDDDLKPAVTAQPEVIETELSDDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >Dexi5B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:5B:10086165:10087056:-1 gene:Dexi5B01G0013830 transcript:Dexi5B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWHNKLKDLGWVATHRHRTSVRVRMVSALIGAAFSVVLKALAPVTDTVLEAWAATKDLGSNVEAIKMELLCVISILEPNLGKQIENPALEALLRKLSDLAYNAEDVLDELDYFRIQDELDGTSEAAHEHPKGWGHNVQE >Dexi9B01G0046320.1:cds pep primary_assembly:Fonio_CM05836:9B:45576355:45577150:-1 gene:Dexi9B01G0046320 transcript:Dexi9B01G0046320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLFSSRFARRHGVHLLGTAATWFLVDIAYYSQNLFQKDIFTSIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDTLGRFAIQLMGFAIQLMGFAMMTVFMLGLAVPYHHWTTPGNHVGFAVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSPDEARGRRNSLFVLAVSNFLGFLFTFLVPESKGKSLEEMSGEANDDEEASGNYGAKPPGMEMV >Dexi6B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:6B:26502704:26504932:-1 gene:Dexi6B01G0019910 transcript:Dexi6B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLAALPSQLASPNRLRRGRAALSSTARPHVILHRAAAKGGSRCRLAITCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVEKFIQDSGLDYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKAAKKLLTFAGPRAWTTQEVLSSDTVFSAPMNETYQLLGVDANDILSLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >Dexi2B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:2B:10573489:10578929:-1 gene:Dexi2B01G0009840 transcript:Dexi2B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRPSCRLPPPRWAPHRSSRLRLGIFASARARAGAGTLPRGAPAPMECVVAVPRHHCMAATMGSVSAYGSYQTNRPSALKQPPHMQFQQCCSGRVRFLSKHSQSMRNKTQMSKRRATDNGIHPKTTRSRAPIVCSTGMTICFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTNVLVEVNVGDTVETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGTDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVIFVANDWHTAVLPCYLKAMYKPNGIYANAKVAFCIHNIAYQGRFAGADFEVLNLPDSFLPSFDFIDGHVKPVVGRKINWMKAGIIESDLVLTVSPHYVKELTSGPDKGVELDGVLRTKPLETGIVNGMDVYEWDPATDKYVSVKYDATTVTEARALNKERLQAEVGLPVDSSIPVIVFVGRLEEQKGSDILIAAIPEFVGENVQIIVLGTGKKKMEEELTLLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVIPICSSTGGLVDTVKEGFTGFHMGSFNVECETVDPADVTAVATTVTRALKQYDTPAFHEMVQNCMGQDLSWKGPAKKWEEVLLGLGVEGSQAGIEGEEIAPLAKENVATP >Dexi9A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:9A:16041988:16043010:1 gene:Dexi9A01G0021030 transcript:Dexi9A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHARGSPSLLVCICFCILLSSSERLAMSMDHDGDDFFDVSFDDGSFSDEEVAPAGARRLYDERRFNVLNSNSSSNRSGAAFCRLMSLQILDLSNNQLSGDLPELFMDLSNNSFSGEIPQAPASHNCSLESLHLAGNRFTGRFPSVVGGCKQLATLDIGNNGFHGDIPRWIGSEVQGLKILSLKSNKFTGGIPSELSQLPQLQLLDLSHNSLNGSIPREIGNLISMKNPKINSSEGSLGGSTYQDRIDIIWKGQELIFQRILELMTGIDLSGNSLSHCIPQESHSSKHK >Dexi7A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:7A:11960256:11961047:1 gene:Dexi7A01G0003040 transcript:Dexi7A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGELKKLVEVGKIKYIGLSEASASTIRRAHAVHPITAVQMEWSLWSRDVEADVIPTCRELGIGIVAYSPLGRGFLSSGPKLVDTLSDHDFRKDLPRFQPENLEKNALIFEQVNAMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNNNVAALSVKLTPEDMAELESYATADVEGDRYHNFLNTWKDSDTPPLSSWKSE >Dexi1A01G0027990.1:cds pep primary_assembly:Fonio_CM05836:1A:33704939:33710766:1 gene:Dexi1A01G0027990 transcript:Dexi1A01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVAGALVPSVPKPEPAPSGDASTAAAAAALALAEEAGARAGSASPQGAAAEGEGPADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLNHKSDCPCCGHYLTKAQLYPNFLLDKHYTFAVLKKISARQIAKTASPIDQVRYALQQGNEMGVKELDSLMTLISEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEFNELQEYYLQRRRTGAQPRRQEERDIVAMNREGYHAGLQDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSSVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTGQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICSVKYNPGSSFYVAVGSADHHIHYFDLRNPSAPVHIFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAASHRFVSSDVDDADDDPSSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >Dexi2A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:2A:23674510:23674965:1 gene:Dexi2A01G0014370 transcript:Dexi2A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASDLDLQEKSLLAVRSLLQLTSADASDLQKFLGLDDSLDALRVQLDELTSHEERREYALQIEILRREVQSPDRVPKEIPSGIDEK >DexiUA01G0017040.1:cds pep primary_assembly:Fonio_CM05836:UA:36195706:36199907:1 gene:DexiUA01G0017040 transcript:DexiUA01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRSHSKLVPSSRRRSAEADADYAGEGKKNGTPSLKSDECKAAAGTAVTGGWTGEKKTKIQHTPSSGNIGKVVKDDDGQSSGKVVQEQEEGPELLEGLDWGPDELHEKIDCFVQQLKLQWKSRNELHEFVIGDRSALDGEYEDWEDHRNACSTLEGDQEFVWLWDKLLSNTKLIESLLTDSSYEIERVVFYHALKIAAGLSHVYESLIHSGFNMTFKEALKAVYCEGKYTFGSFEWKPEFESDDPHPGVYERLYNTHLGPIDEKVLRDNISEALYMKQGRPPAAPQPCVFFAGRQEPAMAWLLLVEHYTVPVLPHVPAHQDDVALEAAAHASSLAGGSVNTNLALVHDPWPSVPLAPTTCTSNQSAGLRGDPLPSTTPPWTTACTAPLDDIAHDTLDIVAMDDDGRRTPKLVRSRGQW >Dexi1B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:1B:11316367:11319732:1 gene:Dexi1B01G0011230 transcript:Dexi1B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFPATPAAAAATGHRASPSPSPRSSTSLPRRTTVLTSIGAAAGSPSWRGAVAVTRIASGSDKAAVARTIINPNDVPVLSFSEVILFIILSKLFFELEYTIKTQAIYFNKSITLPLKVAERLETFQASGTRNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVSASTCTQGSLRYWLSVGPGDFQLSSSGCTNPALYAVVIESPFLQVPAGCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTGIWLDDEGFVAEGSNMNVAFVTAGKELLLPSFDKILSGCTAKRVLTLAEQLVADGRLSRIISRNVGVHEGKAADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYDLILEDMRSGPPSVRIRVSY >Dexi7B01G0024800.1:cds pep primary_assembly:Fonio_CM05836:7B:29033417:29035988:-1 gene:Dexi7B01G0024800 transcript:Dexi7B01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASTTAASASSSGGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSGVRAGIGGYSYLYEPLWWVGMMTMIVGEVANFAAYAFAPAILVTPLGALSIIIRHDAVLAHVMLREKLHIFGILGCVLSFMCYAAVVIVIAVILVYRFVPLYGQTHVMVYIGVCSLVGSISDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGGLSTSSSFRLPTSSSVRFSKQTDNDSEGIPLRSSESFRSPH >Dexi9B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:9B:9088023:9088271:-1 gene:Dexi9B01G0013520 transcript:Dexi9B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADAPPPYEYEPDAGSLWHMAPELLLEKAGHDVVVDAWSLGSVMAELINGKVLFEDGRAEEGQLRDISGVLGYQDDRTWP >DexiUA01G0012160.1:cds pep primary_assembly:Fonio_CM05836:UA:24429888:24430775:-1 gene:DexiUA01G0012160 transcript:DexiUA01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding EATLTFHKTWLEEDNNKVLGHVFHGIPSISAVKVLHVHAKMRTNQLAWTSQVHTLTTRPACMFLNLRHLTYEIVIFTKLPNNYSGILQLAQYLAFAPQLEMLELHMLYHVSVGRCWRGEGVSYHMGRHDHLKTVYMSGFRCYRAQVDLLCGILDTSPVLEHVTIEPMVRIPYSLELMNLGIPRDEICEWAHRASDRFGKTITVAKRPQQKC >Dexi9B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:9B:14197005:14202547:1 gene:Dexi9B01G0019670 transcript:Dexi9B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGEVHERRIEERFGRFFSFNEDSESEDISLDELEEELEEHKNYDSYKNLYAAFCRLQVLITILTNGEKQRGMATLVEGNLGNIEESLIQDYIEDNDSLALLHDQIHECDNILSQIGSILGGFQEHIGSISSEIRSLQLKSLDISLKLKNRKLVETKLAGFVEEIIAPPSLVDILVNGEVDDGYARSLEILSKKLKFVQVDPLINASNALKDIKQELERLRQKALSKVSIHILEIFFAMRKPGTNIQILQQNLLQKHRYLVLFLKEHGSETYANLCASYVDTMNKEIDQPGLVPHISQVNSRKYPSEVIFRSLQKLLMDTASSEYLSI >Dexi8A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:8A:22215453:22215828:1 gene:Dexi8A01G0012610 transcript:Dexi8A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNEKQVVPVFTLALLLVRCGPTGAFAYRAAQGYTEGYCAKKMQPQDSLTRASQMRIQLEKTTMFS >Dexi9B01G0046540.1:cds pep primary_assembly:Fonio_CM05836:9B:45789565:45792655:-1 gene:Dexi9B01G0046540 transcript:Dexi9B01G0046540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATIPTPSLLVTPRPPRPHSQSLPAVAAAASGRLHARGVGRRGTRLRVAAPPTAPGEAAEQAEPSTSEPESGEKFSWRDHWYPVSLVEDLDPSRPTPFQLLNRDLVIWKDPKTDEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAMPEGLEARAVRSPKACATKFPTLVSHGLLFVWPDENGWEKAAATKPPMLPKEFDDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVVPRWYEHWTSNLVYDGDMIVLQGQEKIFLAASKESSADVNQQYTKITFTPTQADRFVLAFRTWLRKFGNSQPEWFGNPSQEALPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVVCCATAGIPADVQLRILIGAAALVSAALAYAFHDLQKNFVFVDYVHADID >Dexi9A01G0022870.1:cds pep primary_assembly:Fonio_CM05836:9A:18036281:18037387:-1 gene:Dexi9A01G0022870 transcript:Dexi9A01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPESAAGGTRFLSSASAIVGDIMLGHHELHIDGYSLTKGELPTGKSIMSHPFRAGDSSWRIKYYPNGFRSEYTDSISIFLALNESVPVPVKAQAIFGLLDPADKPVQGSIKFMNLREYSGARREFGIAKFIDRQWLETSEYLLNDCLKIFCFVIISEKLRSEDRSAHAPFVVVPPSDLNQHLGNLFVAEDGADVTFQVAGKAFKAHRFLLAARSPVFKAELLGGMKESRAIIGDHIQIDDILPQVFETLLHFVYNDSLPEMEGQEEAMMAQHLLEAADRYDMQRLKLICEDKLCRHLDVSTVATTLVLAEQHNCQGLKEACIEFLKSSDALEAVMETDGFDHMAKSCPALIKELMLKLVTRSRKRK >Dexi2B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:2B:28645028:28645675:-1 gene:Dexi2B01G0018320 transcript:Dexi2B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLAAASSQRPLFLAAASLRSTPNSFTPFPSSPPRSACSWSSCRTSRNRERKPKSSSHCRRAWASSVASVRLSFLSCSFSLVRSARGGDDADDELRSLAPDVVVADAGGAGGSGGGNAAFTALPPDLLEDCGVVDLRRRIPDRRPCSPRRKNSFANSHRSGTTFRKPCMNCVTIDHWKSNTDQEK >Dexi4A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:4A:2341176:2341529:1 gene:Dexi4A01G0003230 transcript:Dexi4A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQHSGLTKLLFVVLAFNSALAVHNSWGDAGSVAFVLAADAALVLLFRCLRELEFERGDGGGRGRSKATVWTLTTMLTAMFAARVAALMAPVVAAVVWAVAVATTGAGFWALFLHV >Dexi9B01G0021810.1:cds pep primary_assembly:Fonio_CM05836:9B:16501064:16503136:1 gene:Dexi9B01G0021810 transcript:Dexi9B01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKAVLAVAVAVLIIVLSKLKSLLISKPKLNLPPGPWTLPVIGSIHHFVTSPVICRGLRDLAQRYGPVMSLRLGEVTTVVVSSPEAAQAITKTHDITFADRHLSPTLAVLTYNGTNLAFAPYGERWRQLRKIWALELLSAARVQSFRRIREEEVSRLVQSLAASAAVAGAAVNLTKMISKLINETFVRESVGGRCKYQDEYLDALDTAVRQTSGLTAADLFPSSRLMRALSSAPRKVLECRRRIERILEQIIEEKRQALDSGDEAAHEGLLGVLLRLQKEGNTPIPLTNHTVFVLMFDLFAAGSDTSSTTLTWCMTELIRNPASMAKAQAEVREALKGKSTITEDDIAGLSYLKLVIKEALRLHCPLPLLLPRQCREACQVMGYDMPKGTSVLINAWAICRDPNYWDKAEEFKPERFDKSNMDYKGTNYEFLPFGSGRRMCPGVNLGLANIELALASLVYHFDWELPNGMEPKDIQTLEASGVVGKKDTDLILHPVTHVAPSHNR >Dexi7A01G0023140.1:cds pep primary_assembly:Fonio_CM05836:7A:31231305:31233062:1 gene:Dexi7A01G0023140 transcript:Dexi7A01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPKPPREPQYPSSARDEERHDKYRGRTEKQQGHHDGRDDTSRSKAGQSRGSCNDRPFSWPTRHDDDDDEDYHHPGQGDAKFSEGYWGVGEVRRERTRSPPRRNYGDHKGRHIDLGNRTGAGAAGVRLDVLMPATQNLSKEQLQALFAVRAHRLKTDLHNKVLSSTPSADPSTVQVNKEAWLEEAEAYVTKATHLATMLGIEAAAAGEQAWSETIPVKHAFDRLWTSLRLNTENATPTINGVVVSLGAMGIDPGHAPLGGFKPGRGCDDTLEAAGGNIDAWGASGDSDGVTHTQQVTNTDDGGMNVGETESANMEPTEAYKEDDDKRGTDDATIELLQSPATSENHAGDANSTTVDSNAGQDAPANRADRSGMDDTSTMLLQLPAGAENHAGDDNTTTDSNDDHDIPATMTGNEANGNAKHGSGVLTAGCGSANQPDGSGNAGDICDAFDTANELGISSLFSTPPPPIISLPSQRRQRRQRNLIPENFVARRSVRLSTKPSMPAEEKAQRNLCRKLGITSADSAPIEDVLRDFTNTFKGEMPQSIKAAMATIFDLENEATEAIDEALLAYAGQDFSELQIYNDE >Dexi2B01G0021250.1:cds pep primary_assembly:Fonio_CM05836:2B:31117378:31119734:-1 gene:Dexi2B01G0021250 transcript:Dexi2B01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSLTALVAVFVALLLLLLMLSGTEAKFLSKASNITVVGSVYCDACSNNTFSKHSFFLKGNSSNEMNDESTNAGVLLAGARVLIKCSFKVNSSSSEELSLEAERTTDKHGVYKLDVPPVDGFECREGHELRSACRATLVRSSSAACNVPGLGGSSSTQQHIALRSRGAATNACFLNLNALNFRPAKRDAALCPGGGAAAFGSSLFFWPLLPLFWPPYPSPVGGGAAGGTVSFPWPFPVPSWLVPFLRPPFLPFPLYEPATPPPPFYRFPPSQEADSRP >Dexi4A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:4A:19094632:19098703:-1 gene:Dexi4A01G0015760 transcript:Dexi4A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGGLRPRHLLMLLAAAALYAVSSPAGAFYLPGVAPRDIQKDDELQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKIVCRRKLSQEASKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDQTSPDARIVGFHVIPSSIKHEYGAWDDNNPTAQTCNANIKITPGSHTPQEVAPDAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFAVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >Dexi4B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:4B:19902073:19902277:-1 gene:Dexi4B01G0017550 transcript:Dexi4B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGKKSVCVTGAGGFIASSSSPGATTRCAAPCATLVRLADPPASPPSVRRAAMFLGCVVRG >Dexi5A01G0031970.1:cds pep primary_assembly:Fonio_CM05836:5A:34543236:34546714:-1 gene:Dexi5A01G0031970 transcript:Dexi5A01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKGKPERALPAQAQAQAPPVPQPLAGDWWEGFSRRLAKGKPSKEYQNFEATFKMSRKTFDYLCSLIEGDFTRKTQILRNFRFGDKVILGVEDQVAVALLRMSTGESLMSIGTLFGMNHSAISNITWKFIECLEERATDHLKWPDPEEMATIKAKFEKIQGLPNCCGAIDTTHILMCSSAKPNSNVWLDIENKNSMVLQTVVDPDMRFRDVVSGWPGSLDDSCILRTSGFYRLCQKGSRLTGQMELPGESAGSMVREYIVGDPSYPLLPWLMTPYQEHGLSSEKVEFNKRHTATRLVVQGALANLKERWQVLKGELWRPDKHRLPRIIYACCLLTNIMIDLEDAVRDGLPASHNHDDGYRQQVSTVVDVDAVTQRDRLSRYVSGLGRSDSVPTVPC >Dexi2B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:2B:8260352:8263782:-1 gene:Dexi2B01G0008120 transcript:Dexi2B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVLLLLVLVITSQFEWKQQIGEAADADPASAHRRQQLLAREHAVKEKLYCRLQIILSQEKNIQQLNQLIESLQRQLLHCRGSNNTVHTTTIPATEVSEAEEHETIEDENR >Dexi9B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:9B:12508009:12509760:1 gene:Dexi9B01G0017780 transcript:Dexi9B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMLPWLPWLLASFLAAVYFFFLNLQAHHHHRRRRGLPPGPRPLPLLGNLHLLGDHPHRSLARLAQTHGPLISLRLGAVTTVVASSPAAAREFLQRHDAVFASRSVPDAVGGAHAKNSVVWLPNSPRWRALRKVMARDLLAPHRLDAPELQRLRRYKVWALVGHVAKLARDGQTVDIGRVAFATVLNLLSSTVFSRDLTDLDDHGESKEFQEVVTELMEAAGSPNVSDFYPALAAADLQGCRRRVAKVFARLHRTFDVEIDERLRGRKSGQPRKNDFLDLLLDSETAGDNGAAGLDRDTLLSMFTQ >Dexi1B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:1B:20089943:20092874:1 gene:Dexi1B01G0014010 transcript:Dexi1B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKAKEAVASPVDKGKEVEEKKDEGGGGEEKKDDAPPPPPPPPEEVVMRVFMHCEGCARKVKKILKGFDGVEDVSADSKAHKVVVKGKKAAADPMKVVGRVQKKTGRKVELLSPIPPPKEEEKKEEEKKEEPEPPKPEEKKEETLMFCFVPKPTVLAVVLKVHMHCEACAQVIKKRILKMKGVQSVEADLKASQVTVKGVFEEAKLADYVHKRTGKHATIVKSEPVAAESAGDGNAKDDKKEAEGGDEKKDGGKEEKKDGGDAGGDGKEGDKQKHDGNAGDGEEKDKDPATMANLYMHYPRFNHQSGYGYAYQYPPQIFSDENPNACAVM >Dexi3A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:3A:15763092:15766888:-1 gene:Dexi3A01G0019860 transcript:Dexi3A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALAVVASSVRRRHKRSHRRQVLGSFRSMHVFVMSRGSGGTVLIQESIAETFRRQTEALVKKRPTAKGTDGASTRKTRRSMRSRRRGRTSSPDIIPTDFEDEDREENCNDGSKESSSIDDRSPDVRPKRARRWPVPRRSPAKAIGNIDNSIEDNDESGGPRDLVTAAPLRGEMLAWGKNGTRSQTRHGNASGSSGRMPKGSRVAKMVDQLRKVDDFDSKLSLYLVLLPIDGQSVPKLEKPYLSCQPTLSVQHLCQFVALQLSRQPKEVEIYIRKGSVNASLSANNTCKDEMKPDQCNGLERLWEEKSLSDLYPSLTTCQGDLELLYSLKAQGQV >Dexi2B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:2B:26098749:26099634:1 gene:Dexi2B01G0015980 transcript:Dexi2B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVPFFLSTMRAAIALTICALSALLAAARGSERPVAAVDVAAASELVRSGGHRYLDVRTEEEFRNGHVKDSLNVPYVFFTSQGKSPKQQNCRSYIGNTCQEFLVSKLEKNLKFIKQVAAHFDKQDSIVVGCKSGVRSELACSDLMTAVRYPSLTFP >Dexi4B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:4B:14388663:14389788:-1 gene:Dexi4B01G0014030 transcript:Dexi4B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSVPTRTASRKLVSSSCLLRYTTFHALLYSTTNSCSLDDGEAVEDEVAAATLRLSCGGRRMRKLVEHLQGELERVELCRDDEAMGEEGGVEGRERAMVENRATREEEEGVHRREETRAGLVDGEHHGRAACGRDGAEAADDHVRRRGVEAGGGLVEEEHAGVAEEGEPDGHAPPLAAGEARGGDPRVRDVRQPEVGEERRDVRLGRMRRARVEQRRGEREGLGDGEEGERDVRLGHVRREAAEGRGTERRGVEQQPPVRGRGACREDVEQRGLAGAAGAHDGEDLTGARRERHVAEDVVRWRQAGAAWPEGDETRERARRGRRLGVVDVPGGELW >Dexi6A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:6A:15103295:15120195:1 gene:Dexi6A01G0010870 transcript:Dexi6A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIAGGHTDEEVYAMLRECNMDPNETAQRLLLQDTFHEVKRKRDKKKEGSKEQSDSRWRPALQGRGGKSGRGNYSSHTFSSSNDSAGLSALYGKKGMSHITKKGSGSTPTVNENMDAKASTCMPSLSSGPPNGPSQLVDPAAVWVRSSRAVGSLIKSGSTASADWKGGFLSEDVVPAVCPDEHPLGTTSPSNFVVVPSLDSHVLGDVDASSRYIGTKKASVERKDCDVPIDNKGSSQQSISSSFGRSSGSRPSSSYSSRSQQSCGSQKAAISNSVGTEDLLKVDKSFNDMPLLDKQHVIIPDHLQVSDSEKYGLSFGSFNASFQQSMGSCDAERARSSLPQYGSSHELNGSDDGPQLMQRDQNSSSPVQEGANPVPRHLPFAKLENCAPSTKETSSIAPTESDECRDDSATSGVPESTAIPPSYVTYGLAPQSHGNQIAVIEKSESKVQPPVDFSTNSTQTYQPASDANEQASPFLAAEAHKWGNIPVLPAQVGQDHEPLSTGAIYPAPGNAGILPPVKYSLASFKSGPNTGSQASIGLSGGYGTYGSSPSVYTNNTTVSSGNQAENDDVTSSQVKENSIYIAGLQTEGSALWVPTPGHDISGLQANSFYGLPPQGQQVTFAPQSGPFGGIYHPAHTVAGAGIHPMLQPSHTMAGAVEIVGAPGSVYQHPQAQMTWGSY >Dexi4B01G0021770.1:cds pep primary_assembly:Fonio_CM05836:4B:23652962:23656137:1 gene:Dexi4B01G0021770 transcript:Dexi4B01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHPRTSRHSEIRAILKGESCHAQATTPRRLGRKSEPSIRPAPGTTAAMGTTSVHKSWRKACGAIKDSATVGLAKVNAGGGRDRKELDVAVVKATTHVERPPKERHLAGEIDIAAIFAATSASRPLADVSYCVHALARRLAKTHNWVVALKTLIVIHRTLRDGDAAFREELLSYRRKGHALALQMSNFKDDSSPLAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPAEGNPKGQSRTRTLAKDDLLEQLPALQQLLFRLVGCLPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVDVFFDMTKLDAIKAQDIYRRTGNLVRMTPPPSFLGTMEEYIREAPRTAPVPNETIEYRQLDFVPYQEEEQTPEPTTFEAFEEPVAEEVPPEHEEEPQSADEDDDDPETRTTADLLGLHEVSSAAAALEESNALALAIVPPGGSDNARAMIGFGEITGSSGWELALVTAQPSTTSSSQLTESKLAGGFDKLLLDSLYEDAAMRRQTTDAYYGYGDAQRGPRQQDDPFAVSAGVAPPTGVQMSAMAAQQQARFETIGMPQPQQQQFQLQQPQYGSAAAASYNLFGDAYSAARHLHGSGSLI >Dexi7B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:7B:17055162:17055852:1 gene:Dexi7B01G0009430 transcript:Dexi7B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIPCLLLVFLAAAFPNQTTQAHAAAAVGVTAVSSSSDPEDKCVYTVYVRTGSIWKGGTDSTIGVTLLGSDGSGIRISDLEKWGGLMGNGHDYYERGNLDIFSGRGPCMRLAPCAMNLTSDGTGAHHGWYCNYLEVTTTGPHLGCAQQLFTVEQWLATDASPYRLYAAVDKCGGGKKKKQEEERSSPATGDGEAAAAAM >Dexi2B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:2B:28001588:28001901:1 gene:Dexi2B01G0017630 transcript:Dexi2B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVAVVLEPPRPKSPPRYPDLCGRRRLQLELQILNREIDFLKVSFAGPRPCPSLSAPQNTPRGPRSA >DexiUA01G0026390.1:cds pep primary_assembly:Fonio_CM05836:UA:56443094:56445674:1 gene:DexiUA01G0026390 transcript:DexiUA01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSRKALQNSSQEVVLVDCLRAHADIFVWSPSDMPGIPREVAEHSLNIRPHSKPVKQRLRRFDEVKRRAIGEEIKMKESDQLATSFITPFGMFCYVTMPFGLRNAVATYQRCMLHVFGDHIGRIVEAYIDDIVVKTRKADDLVRDLEVVFSCLRAHGVRLNPEKCVFGVPRGMLLGFIVSERGIEPNPEKVTAIQQMEPIRDLKGVQRVMGCLASLSRFISRLGEKGLPLYRLLRKSEHFTWTAEAQEALDRLKTALTNTPILTSPKEGEPLLLYVAATTQVVSAVIVVERTEEGHSLPVQRPVYYISEVLSETKMRYPHIQKLIYTIVLAPRKLRHYFEAHPVTVVSSFPLGEIIQNREVSGRISKWSTELMGETLAYAPRKAIKSQTLADFVAEWTDTQLPPSKSSLDCWEMYFDGSVMKAGAGAGLLFISPHGEHLRYVVRLNFPASNNMAEYEALLAGLKIALELGIKRLDIRGDSQLVVDQVMKESSCHDEKMAAYCQAVRNLEDKFDGLELHHIARRYNEEADELAKIASGRATVGPTCQRERTRERGWGRTDDEKWAERAEEKRKEERGREKSGLGRFQG >Dexi5B01G0039230.1:cds pep primary_assembly:Fonio_CM05836:5B:38199706:38204229:1 gene:Dexi5B01G0039230 transcript:Dexi5B01G0039230.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKDLVFRVLAHNLSPEITLVEKAMTANPEYGKILACLDALQLTHATISMVEGASGATDVANNMMQKFWDSALAMHPAEEFDARSDESQMMASDSANGKHVHPPHVNSSFSFKVEDKKGRMHRFSCVSESLDELMSAVAYRLGMDNEKPNINLLYNDDEDDRVLLTSDSDLTAAIEHAKSAGWKVLRLHLDDESVARTDSTLSPDVVPSTVQGCRPSPRLGIVAVAVAVAGVTVTVYLKRSQL >Dexi2B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:2B:28700081:28701846:-1 gene:Dexi2B01G0018390 transcript:Dexi2B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPKRPPRAPPQPGARSAAWPVGLLVALCFTTLPLFLALSPGRPTLFDLWQQMGIKVTVRYGYDDETTKPPEPSGSPPESRRDVLLGGLLSPDIEEASCLSRYTSSLYREPSPHSPSPYLVSRLRKYEALHRRCGPGTPSYDKSVRQLATAHNSMGLAECSYLVWTPGNHLGDRMVSMASAFLYALLTHRVFLVHMAKDMEGLFCEPFPGASWELPLAGFLVQNLTQLRRGSEHSYGSLVGAKKVSNEDPTGVRSESLPSYAYLHLAHDYQLHDQLFFCDGDQTVLGKVNWLILRSDLYFAPGLFLVPQFEDELRWMFPATDTVFHHVGRYLFHPSNKVWKVIAGYYASYMAKFGDRVGIQIMTLAWNPVSTDAYFEQVTACTRQAKILPEVNPDAPSGDYEATAATSSKAVLVSSARPEYAERLTSMYYEHATVTGESVSVLQQPGAGNLPRNHKVLVEMFLQSYCNVSVVSGWSTVGYVGHGLAGVKPWLLLPPPSRNKTAASSPCVRATSMEPCFHAPPRYDCRAKKTGDLGAVLHYVRHCEDVHDGLKLFD >DexiUA01G0020760.1:cds pep primary_assembly:Fonio_CM05836:UA:43082458:43084002:1 gene:DexiUA01G0020760 transcript:DexiUA01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACRRLAREAVAASLRRGTAAAPIAPARAFSAAAATAACSSSSAPVASPVRHFLARCSIPAFQPRAAELGPSLAARVALALRPQVSGRNLLKGFGTSAVVGMTLNQGKVTAATREQSPKAITKESPGSLKNELGSFWPLVRKLQLPMGLMFLIVTGWQYPLALVINLLLLIYCSRPSRYSIYLFLQEFSQIFVIGRWVTTMLSGRRSSHV >Dexi9B01G0024520.1:cds pep primary_assembly:Fonio_CM05836:9B:20737679:20738565:-1 gene:Dexi9B01G0024520 transcript:Dexi9B01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRDWDGDELGGPPPTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGRGLITNQGMKASLSNAGSSAKVIIMFI >Dexi5A01G0023090.1:cds pep primary_assembly:Fonio_CM05836:5A:27154566:27155489:-1 gene:Dexi5A01G0023090 transcript:Dexi5A01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCFAGAKRPLQMVVYDPSAANKRARQEAEPTTTSPSSSSSAGAASSSSEPPPVVLDVKPINAIPPPPPPRLPRARLPPPLAAAEREAPPCLRSHFLHWLGLRDDVPVHFIADKILTDTDLNPHQNRFRIPRDGVIHPLLPLLTPTEITQANLLNDPPPPRPPRAKKPSNGAAVADAEGNGGPSGKKVKKPKAKGKVHGGLRVKLVDLMAGAKELLMSRWHSSRGTVVKGEGYLDFIRRCSFRKKDAVEIWAFVQRRVRLFGSDLCGDSLLHVLVVKRDQLPQQCRCCPAPTPAVMNPSTNSLVMA >Dexi7B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:7B:3323751:3330577:1 gene:Dexi7B01G0001910 transcript:Dexi7B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPSPMDISVTAQEISSADVTVLEGHSSEVFACAWSPTGSLLASGVKVHYWRQGPMMGRQEYGVEMARELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGEQRPVKAFSGHESEVNAIKWDPTGSFLASCSDDWTAKIEQNSSTAEETDGTSGVQAGVQAAKATSRRRPRATATAAVQRSNGGSGRGSRRWRKGPTRRRQKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGTGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >Dexi9B01G0049290.1:cds pep primary_assembly:Fonio_CM05836:9B:48012849:48013325:1 gene:Dexi9B01G0049290 transcript:Dexi9B01G0049290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSAQPWPSCSSIRPSSRPPPAPPPADPILRRRLLAVAASPTIVGSRTTPRGGKRRDPGGLLIDTHQSDAGPHPHEGGGARPESRTWEWRRDPREPRRGSLGSSWEHEADGGARFRQRQRRAAAAMRFGGGAKSLHWEQQRAIAMCAGEHGSLQAP >Dexi5A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:5A:2734805:2744049:-1 gene:Dexi5A01G0003600 transcript:Dexi5A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRPLLNLRLLLLLGVALGNAGCGRGGGAEAPDRAEPDPYSILTWHDYSPPSPPPPPPPPVAPAATCAGDLHGKGDFGTRCEVSEEVKLRGDVYITGNGSLVLLSGASLTCEKAGCVVSANLSGEVRLSRGVRVRAGRVTLVAANITVADTVVVNTTALAGKPPDRTSGVPTGTHGDGGGHGGRGASCFVKDGQTQEDSWGGDAYAWSDLQHPCSYGSKGGSTSVEKDYGGAGGGIVWLFAQDLVMNGTVLADGGDSNEKGGGGSGGSIYIKAATMHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTRILVHVNVPTVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGNGDTIEAQRLILSLFYSIQVGAGSILRGPLVNRSSNDVAPKLNCGDDSCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLIQGTVIHFNRARSVTVHKSGTISASGLGCRTGVGHGKMLSSGVSGGGGHGGKGGNGYYNGSYAEGGATYGNADLPCELGSGSGNDTTELSTAGGGIIVMGSWEYSLPSLALYGSVESNGGSYTNGSIGGPGGGSGGTILLFVHTLSLAESSILSSVGGFGSAGSGGGGGGRVHFHWSNIPTGDEYVPVATVKGSILTRSGLILYLVFGGVSKGKGFAGGNGTVTGKACPKGLYGTFCEECPLGTYKNVTGSSKSLCFPCPPEELPHRAMYTNVRGGAAETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHSPPEQITEIVYEDAFNRFVDEINTLAVYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKAVPSWIWHRLVAGLNAQLRLVRRGNLRVTFLPVIDWLETHANPSLAVNGIRVDLAWFQATALGYCQLGLVVYAVEGEPAVAEIDGSPRIKLEQQQRTLILLV >Dexi3A01G0035940.1:cds pep primary_assembly:Fonio_CM05836:3A:41313709:41315001:-1 gene:Dexi3A01G0035940 transcript:Dexi3A01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRGAAAREWEDFDPAVEWKLAGEEQDVVEIWLPGFRKDQVRVQVDNHGVLRATGERPAGGRRWARFKKDLRLPDNCDADAVRARFENDKLIITLPILANAPPAPESETTPESESPTPPPQPGPPRWPPVYPKPPPPPPPAPEPRPSPSRPLTPPPRPPPGFIPPSPTRLPPSPPTGYYEPVPSPIPPRLPDYPLPPPEPPRPPIYPPPSRPPPAKPDIDERRKATVAEPKPSAPIYPPPPRPPPAKPDIDERRKATVAEPKPSALPQGTPAGVPGPTPGRKISVSPPSPAPPPLPTHQAEEEPPKKPLLQGATSSQAALPPRPPYAEQVPKKESNKPWQDNTNKVTDEEGKVSSRPLPEEEKKKKKSEKRKVDDDTTTLGKSQAPMTTTTTTAPPAEPERQLLVNTVAAVAVLVGIIWWVCRNLSS >Dexi6B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:6B:16408764:16410130:-1 gene:Dexi6B01G0010190 transcript:Dexi6B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLVIPLLACLCLLASRSSPAYGATTSSGLHPIILVPSYTCSTLDARLTDEYRPPPGMPWCGAMKGKGWFRLWKNYTALQDPRLMPCYADQLRLVFDHAADDYRNAPGVETRVVAFGTTRGFVDDPATKDTCMAKLVTTLERVGYRDGENLFGAPFDFRYAAAPPGQPSKVFDSDVSRLRRLVEHASSKNGGKPVILISFSFSGHLALQFLYRTPLRWRQRLVKHNLLLSRGVGGEVVLLKIFAAEDAGPSSNVLFYANTSRSFASPLTMLPSPKVFGRAPPLVVTRDKNYSAFDLTEFLADVGFSDAAARYQRRALPVTLAIRAPLVPTTCVVGVGLPTPVQLTFWDGDFGKMPQVVNADGNGDVTLKTVLAWRTVIENDPDQGYFKLVLLPNVTHNGVISDDFALKQLVEILAAVNQATS >Dexi5A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:5A:9649285:9651876:-1 gene:Dexi5A01G0012890 transcript:Dexi5A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPGDQ >Dexi2B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:2B:19139699:19143143:1 gene:Dexi2B01G0012750 transcript:Dexi2B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGASVTTGMQMLATRPCIPACKQVLGSTSALSAFGRAFSSRTGFTSCSKPKPAGPLISSNCKRDVVRAMSQRGPQGLPIDLTGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIAKVYPLDAVYDTPDDVPEDVKANKRYAGASNWTVKEVAETVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAYEAGRKAKVRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLAGQISLLSDSMFFLFQKKKKTGPPIHLICFLKVALPI >Dexi4A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:4A:17061817:17062219:-1 gene:Dexi4A01G0014690 transcript:Dexi4A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSPLRLLLLLPILLLATRASAGAAAGSGVGVSGGGQLWCVAKNNAEDGTLQSAIDWACSADGGRADCAAIQQGGACYDPPDLQQHASYAFNDYFLRAGGAASPAACDFSGAAALTALNPTS >Dexi9A01G0027960.1:cds pep primary_assembly:Fonio_CM05836:9A:32560284:32563394:-1 gene:Dexi9A01G0027960 transcript:Dexi9A01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSVEAAAAAGAPGEGKSVCVMDAAGPLGHALVDRLLRRGYTVHAATYGEEEDDAGEEEAALVEHLARTGGEAHAHRLKVFRADPFDYHAIADAVRGCAGVFCMFKTPDDQAHCDEVTVETEVRAAHNVLEACAQTDAMERVVFTSSVTAVVWGSDAKDEDRLVADEKCWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLPTAPGLTAAHPYLKGAPDMYDAGVLVTVDVDFLADAHVAAYESPTAYGRYLCFDNAVCRPEDAVKLGQMLSPSAPCSPPSDELKVIPQRIQNKKINKLMLEFASGVYGELD >Dexi5A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:5A:1734844:1736487:-1 gene:Dexi5A01G0002490 transcript:Dexi5A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSNESIFSRKYGLLGKEEAHENAKRIEELCFASADDHFKNEPDGDGSSAVQLYAKEISKMMLEVLKKGPRTTAESEAPVADTPLDLGDTVLDISGGKRSFIEAGEAKELLSPLTKPGNSYKRICFSNRSFGIGAANVAGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFTELLKSQENLEEFYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYIADMVKRSPNLESFRCSATRIGSDGSIALSEALGTCTHLKKLDLRDNLFGVDAGIALSKTLPKLPDLTELYLSDLNLENKGTLAIVNALKQSAPQLEVLELAGNEINAKAAPVLAECLTAMQSLRKLTLAENELKDEGAVCIAKSLEVGHANLKELDVSTNMFQRAGARSFARAVASKPGFVKLNINGNFISDEGIDDVKDILKAGKNSLDVLGSLDENDPEGEPDDGEEEDDEDAKDDDDEDELDSKLQNVQVEDD >Dexi8A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:8A:28979634:28985973:1 gene:Dexi8A01G0017240 transcript:Dexi8A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVGSMEKIASNALAIKEAVETVCVNKNECVDIGRRVMRIRALLTHLQGMEMMTIKEPAMVAYMLGALQVILSRTRKLVMECQQRSVVRSFVGARDLCKQLRDVKQEMSDHIMDGVDLSFHGLP >Dexi3A01G0029570.1:cds pep primary_assembly:Fonio_CM05836:3A:31735000:31737024:-1 gene:Dexi3A01G0029570 transcript:Dexi3A01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRAQRRVGRLPPFPLPLPHSTAGNGHELGVCSSDRASDADGPRAVEEWEERALKHSGLSEACLDSQEIKEVFEDGFSEESRHITRMTTSPELDGSSEKHKKLRETPMDCFLEELNTEEFGTSLGSAKLLDVPYGEEFIGNRVEMSVLRSLEILEFIDKHDTAKIVADGSDFVTAEVPSSDDSVHHDIGKEHRKEIEQEQSKAGLSLNIVLDRLPEGILDEWQETQNLVIERNKKLPEDDSTGEDGKQAVGVSDEAHDSLCDPTVFPLDSVSEKESETVTASNNQATSDQDGELEKEISRNKTVESSTSASSVRDFANNHWRIIEQLDSFANEESIHREGSPENLALETAEDEDRDDNCTSTVNFQRSPGKD >Dexi2A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:2A:28634596:28637135:-1 gene:Dexi2A01G0016840 transcript:Dexi2A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENRKVRQAILPKSVTSKPLHCQQLHDHGSVSKVLSVVAENMGKRPLNGQKEKFVCRISLEEAETRSLVKAKNWAILLENDQLLFEFSRGRHFEGWQEGLITFSPTYKYHPNSDQYYCCFDGARGEKKRAPAWCDRILWRGKGLKQIQYETCSY >Dexi9A01G0022400.1:cds pep primary_assembly:Fonio_CM05836:9A:17600948:17606503:-1 gene:Dexi9A01G0022400 transcript:Dexi9A01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKAVEPAAAPANGSGEKDRSSRRREGGEDRVSKRSRSGEDRDRDRHRSSRERRDRDRDDGKDKEKSRDSRGKDRDREGRDREKERGDRHRPRDREVERERRSRSRSERRRAEEEEMVRELQQERERSERHHYRDRDVRRRKDDGAEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQAVMVKPSEAEKNLVQSNATSGGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPLDPMTGLCKGYGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDHVGVQVGGATTGDLDDDEGGGLALNASSRAALMLKLDRSGTATSLTGGIGAAGVAIPGPAASVIGAPAVASLLPPTIPAVGSVPVAPVLPVNTQSAIMSTPTEFLLLKNMFDPAVETDPDFDLDIRDDVQDECSKFGAVKHIFVDKNTAGFVYLQFDSVAASVKAQQALHGRWFAGKMITATFMSAQEYNAKFPNL >Dexi5B01G0033240.1:cds pep primary_assembly:Fonio_CM05836:5B:33693589:33702305:1 gene:Dexi5B01G0033240 transcript:Dexi5B01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEEVRRRERGELRAGRFEAAARARRAASLALSNSKEFATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDAWNATECQSGFIAKHKHILLVDKQHQNGHKFAISAAVWYPVDTGLFVTASFDQFVKVWDTNSTQVIMDFKMPGKVFAAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRGYQNRATSHYGAVTGLRTTKDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTGKPLQLAVTDDPSIVFVPCMASIKAYNIWSGTTYRTFRGHYELVNCCYYREQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKRHGLSAADDDNWSD >Dexi9A01G0047150.1:cds pep primary_assembly:Fonio_CM05836:9A:50353732:50354550:-1 gene:Dexi9A01G0047150 transcript:Dexi9A01G0047150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGAAALNAMAAIAIFAVLVMSSQGHPKKPLCSDCPELCRANCSAQLSAALPAECVSSCDQTPPPQCAVCKSQLLQECQDCCGNATRTGACCTGGCVGDGCGDTCGCDCSSYAGNACMYACKTRSNPEEYCSNCVSNFMANA >Dexi7B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:7B:14755496:14755696:-1 gene:Dexi7B01G0006950 transcript:Dexi7B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASGLLDHCSPCSFSDDRQELGDDSSSAIVLLEESPVAGSERFSFKSSPLELFLLLRLILGGAV >Dexi1A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:1A:23120325:23123859:-1 gene:Dexi1A01G0016150 transcript:Dexi1A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAGAILVCLLFVLVQDASAVAETEAGNVRLVQEAPHRKLEGAGRQDGGNVGRVSVSTVAWSTLVMAAATGLGAVPFFFMELEAQWAGLCNGLAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGIFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKATVFYIFFCYVQEATPSQVASAGTLAVAFMETLSTVLLGFTDGNNSEDASGFLVSLVFGLGPLIGGIILVAFSLAFSMPHPLLTGVASGIALRLAAWRPVQLLMSSKMGLFTTLFLLSGGSLVYHSATSSILRVVNRKKSSVNVITSSSGLSLSALTLQCLLACGSVFLHAYTEGLALGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAALTGVAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFVRVFRRSLRLDMRKSIVGLLIGIAFASVCLMSNRFICLHTPYCNSAPEAVT >Dexi3B01G0036480.1:cds pep primary_assembly:Fonio_CM05836:3B:39353837:39354265:-1 gene:Dexi3B01G0036480 transcript:Dexi3B01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPEPPERSGTELSLVRNSRKHIATACEFGSSRSRALALETEADEAAPPVNVAHLAAESAGHGVSFEPVGGTETVPSRWLARRSGRSGVFLQPRAAGMADEQPRTGRTGEIRHQAVLVRNSEGAGSGERRRHARRSRCILS >Dexi2B01G0028340.1:cds pep primary_assembly:Fonio_CM05836:2B:37011289:37011752:-1 gene:Dexi2B01G0028340 transcript:Dexi2B01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLKIASFVAAAVLLLVLATPQARGWAPEEDDHQVPDMAPVQSPAAGKVMVPIPGAAGTTTEGSPVCLQCRCCYKSNPGNCKITTCCSSFSCDPAGKCHLVPGVCGCSGCGDAH >Dexi4B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:4B:4003027:4009866:-1 gene:Dexi4B01G0005650 transcript:Dexi4B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPASLAAALSPSSPFPAASPAQADDGVGTGEAARAAEAGPSSGGSGGVGAEWVSCPVCGESIRGSDYCVNTHLVYTVIVGRRFHENIELRQDAGITILRDPQNAKDPDAIKVLYAASESDAMLGYLPRELAKVLAPLMDKHYVECELLLSCCQMMRNGFLLGSTLEKSYCTKTFVLTGPWFRKSTISYREIADLDHAIMELKLAGYINTLSCTVDPSECDTKVALDVLTVPEMKEILKELPKDDTSCTRRHELVSTLLSLYHNGTCASLLKRIVKQTGTCIKISKMADELLWRIQAIRVAQVMDESLDDDNMDLVTRCIDLSENRLCTMRKQENATSPQHPPLFFSRFSASWVYSKILTLGVSVYERDRSLLIILIFSGDPNLMPIEICRYEDAIRILKILLSEVTCDIRRGYWTLRLSVDLEHMGRPNESLSIAEGGAIDPWVRVGSKFALQRRVLRLSKPPRRWKVPSYASYVKRNIKEMSIERRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWQDIQDVFQSKFQTAPLDLETDDFYKSRKELAESQLKKIQDGMAEEMLISSWELNQGTACRGVNWDRHSLTDLRAVVGCIGGHRLALLLRHLAVDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRTWILVLMDFGFDVEVCKVSPVAKRR >Dexi9B01G0028910.1:cds pep primary_assembly:Fonio_CM05836:9B:31490480:31494547:-1 gene:Dexi9B01G0028910 transcript:Dexi9B01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLRFLKAVALILALVALAVALYNSDEFSPAPMPPEYSYGPDVSAPRHDARALERTERVGEGRLPGPEDLAYDRAGGWLYTGCSDGWVRRVTVPGGDVEDWAQTGGRPLGLVLAADGGLIVANADIGLQRVTPEREVELLTDAAEGVEFKLTDGVDVAADGIIYFTDASYKYKLGNHMTDILEMRPHGRLMSFDPATGQTAVLVRDLYFANGVTVSPDQSSVIYCETPMRWCSRYHITGDKKGTVEKFIDNLPGVPDNIRYDGEGLYWIALAGGRTTRWDMLMKYPFMRKLVYLVEKFVALPHGSKNSGAISVTLDGEPVSMYTDPGLSLTTGWLKVGEHLYYGALKKTYLSRIDLSIKSSTEVESAPCDAAPVVRCRPYLPLLRPILPVKPPFSAPRRPSDHPCLRRRPSHRRAPLERAQGLQAGCLGWAQGAGSRVGPGSRASQEQDVLATIPASTNGSTPDSSRFIMTVDRGVHDTQMVDELQSQVMGNELQVDASNQSDSCHVPARVLVAEFEDQEWEDEPELGVTAAGPPRVEEEEEEEEGHYIEPGFDPEGDDPIGANEEWRYFKQQHKKKRTTEKKGVQKLYEGTDPDVVPSDESTMIGETYVAHATYDKDNPKVKAGSTFVDNSP >Dexi8A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:8A:26909928:26910311:1 gene:Dexi8A01G0015840 transcript:Dexi8A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLMDMRPLESAILFNLALTICFCSYVVGLGPIPNILCSEMFPTKAPYCFPVMLSTIGFGGACGIYALVCCIPLVLYYYRIPETRMLSLELIADLFRVERQRYVQ >Dexi2A01G0024370.1:cds pep primary_assembly:Fonio_CM05836:2A:36089434:36092338:-1 gene:Dexi2A01G0024370 transcript:Dexi2A01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSDALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWMVVSGSVPPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPASVCALAMVFLREGPAGGDGGEEEDARCFAAINALAVAIALYLLAADLTGVGGGGGVVSAVFVAVLLVLLASPGAVPAILAWKSWVKARKAANADLEEAEADSMAAAPLLVAVGKAAAGREGDEEARAPGGRPRLGEEHTIAEALTSPDFWVMFASFLMGVGTGLAVMNNLGQMGVAMGYADVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQVLMAAGYIVMALAMPGSLFVGSVVVGACYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATPVPGGGNTCVGAHCYRLVFLVMAAACAVGFGLDVLLCVRTKRVYAKIHQSKLARSSAVVQRVS >Dexi5B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:5B:10667435:10670872:-1 gene:Dexi5B01G0014290 transcript:Dexi5B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPAARIECTRCDANSCGAKQRQAQLPTLEEDLWREGRTARLEGPEVYGIVACVAQQEDGSSVAFYWNSRLATERQRLVNDIFRNSDVVCDMFSGVGPLAISAAKKVKYVYANDINPMAVEYLERNTVLNKLERKIELGVYIAYKDVFRGILHGSQSGLRCVMPMIHVYGFSKAEDPEHDLHERINIALGENVDNVEMHRVRLVAPGKWMICASFTLPESVAIAQPNYISC >Dexi7B01G0023600.1:cds pep primary_assembly:Fonio_CM05836:7B:28160349:28161817:1 gene:Dexi7B01G0023600 transcript:Dexi7B01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSAPFQVDGDRVAQGGRENLSITASPGVTEDLVAGFEYGKVSSTELVQAVHDMLSAAGVRMDAEKETLLQTTLSLQDQLKESQVSLLVEQEKAETAVREADVAKAAWSCRICLNAEVNMTIVPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >Dexi5A01G0029590.1:cds pep primary_assembly:Fonio_CM05836:5A:32630029:32638986:-1 gene:Dexi5A01G0029590 transcript:Dexi5A01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKWTRKEGGVGRWSSSFLSMERTGIPAGFGVPKPSPDTSIETTRDGGRRVGWRKLTDSDPMALEMLAGNRRRRESAGSGARNKRTRQATLWNPDYGLGPGWRREGRRRREREDGGFRPTPAASTTGRSRRRPPPRPDGNPFDMYASTVRLPPPGSGGAIAASARRRLSRLHRGPEPPGASSTVGHGGNLYLDSNQGFWSEFSEEVAKELAKSVCDGGPLFDSDGRDQFGDLESLGYPALPESVPYDDLILANNFEDQFGDEYGKGVWSELSETASSNIYENTVALASFTGHKFPGPLTFDCQYLGYSDCRITKAGIGGPLLKFDGTFAGMNFYDEIEGTPYLSARRILTILDNFKTKRNVAEGGHAGKKSRVLDWTMDDDDSYRHNRYQLRSTIVLSKLSDVLICSPACQDHRPLGALPAKLSLGSSLPIEKLGLGHDILPVTTHSGALGVALELAPPMSWSSGSLTPTARLIRGRAQARSRRRSGPRACGRSRLRSPSPSTWLPLPHPPSSC >Dexi8A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:8A:16664791:16669936:1 gene:Dexi8A01G0010160 transcript:Dexi8A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIENKGGAVALMLAALFFGGSMGPPMIYMERRGRLPQHIYLDYSIANFIAAIMFPLTLGQIGDSKPGMPNFFTQLSQVHDNWPSVLIAMAGGLALSLGNVVSQYAWAFVGLSVTNIVTCSLIVVIGTTMNYFLDGRINRAEILFPGAACFLIAVFLAAAVHSSNAKDKEEKIGTMATNGVDLTNLRMFICIHDSSMFLSDSAPGENDARMDDKASSQPKPGSAEFILEVEKSRSIKVLGSNWLLGLGLVFFAGLCFSVFSPALNLATNDQLHVLKKGVPHLVVYTAFFYFSVSGLVLGIGVNIWFLYRPVAGVPASTIGAYLRDWNGRHWALLGGLLCGLCNGLQFMGGQAAGFASADAVQAIPLVSTFWDVLLFGEYRRSSRKTYILLTSMLTMFVIAVAVLLSSAGVL >Dexi3A01G0023110.1:cds pep primary_assembly:Fonio_CM05836:3A:18700159:18705661:1 gene:Dexi3A01G0023110 transcript:Dexi3A01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGVRREVSLDSRALIVDSGWHEEGAMWPKLIAKAKEGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVKFIKEIQAQGLYVSLRIGPFIEAEWKYGYDERNVNGFYGTYLQSHQTKLGFPFWLHDVPDITFRSDNEPFKQHMQRFVTDIVTMMKQEGLYYPQGGPIITSQIENEYQMVEPAFGSSGQRYLIYGNDTKLRSTEDIAFAVALFIARMSGSYVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGTYSNFSLGEEQEDSGAHMERRVFGIRKVSIQQGQGPENMLNNELWGYQVGLFGESNHIYTQEGSKSVEWITINNLAYSPLTWYKTTFPTPAGNDPVTLNLASMGKGEIWINGESIGRYWVSFKAPSGNPSQSLYHIPREFLNPQDNTLVLFEEMGGDPQQITVNTVSVTRVCSNVNELSAPSLQSQDKEPAVDLWCQEGKQISAIEFASYGNPVGGCTNFGYGNCHAGSSESVVKQACLGKSGCSIPVTPDKFGGDPCPGIPKTLLVVASCR >Dexi6B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:6B:17907424:17908289:1 gene:Dexi6B01G0011030 transcript:Dexi6B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKQRKLLLLEWFLGWPERSPSSPGGGGASGIGKVTAAEFVRHGAKVVIADVQDELGHAVAAELGGPDAACYTRCDVTDEAQVAAAVDLAVERHGKLDVMFNNAGIWGCHGRPELGALDVANFDRVMATNARGAVAGLKHAARVMVPRRRGSIISTASVAGVVGGMATPSYAASKAAVLGVVRAVAAEMARSGVRVNAISPFALTTPMTSRRGSPGRASRRRIVEVDMNMMDGAAVLEAEDIAMAALYLASDEAKYVNGHNLVVDGGSSVSRIGRNAGASTARE >Dexi2B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:2B:8015347:8015804:1 gene:Dexi2B01G0007820 transcript:Dexi2B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPKLSAVIILVLLLGSTEMQGSVHVAMAARECKSQSHRFKGPCLRAANCATVCLTEGFTSGKCEGFRSLCFCTKSC >Dexi1A01G0023470.1:cds pep primary_assembly:Fonio_CM05836:1A:30133344:30135469:-1 gene:Dexi1A01G0023470 transcript:Dexi1A01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEIAVGAGGEPDGMDLSGEEHVPKARKPYTITKQRERWSEDEHERFVEALQLHGRAWRRIQESSGSNNTSTGATAPAIQIPPPRPKRKPAHPYPRKVDGGAAKKHVPALRQLEKPPPRMPSLRDQDDGSPTSVLTTSRTVSGAEALGPSVFANSTSGSKSPAPSAVGSDEHGSRGGSPSSSVDREGGSVSPSVATAELATRTENAKVFGDAREVSCIPTEAPAFKLFGKKVLVKNSNEELKKDGGLKLDNASSNSVAQATRNGIPLGAAGGGSWNQWPSDSVQVQQVMYFLPQPDGFAAQSVVPWLAAYNGRLPCALFYPQQAVPSAHQQHLQQPSEPLDQKRMQREGSLTGSNTPGSSAVPAAAQSSDAAESHGHGQENASQSGTVLLPAAVPRLTKCASSASFSGRRAFVPYNRCAAEREAPRPPVVVGEEADGELTRLCL >Dexi2A01G0025940.1:cds pep primary_assembly:Fonio_CM05836:2A:37553301:37553759:-1 gene:Dexi2A01G0025940 transcript:Dexi2A01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHVLLELERHLRLPLLVVALPLGAAVQPLQLLLGHALQVLAEPALHLVALRVQHPPRLDDLRVRLQQKVHLRRLPAHLLGDPVQPAALEPVQVLPRLLHRRAVRAPPLVPLERRLGLLPPRGLLVELRRVRHVHVAAV >Dexi8A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:8A:26881784:26882251:1 gene:Dexi8A01G0015800 transcript:Dexi8A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRNVLAARSPVFKAELFGWMKEKVAGGQVKIDDMEPRVFEAMRHFIYTDTLPEIEEGDRGAMAQHLLVAADRYGLERLKMVCEEVLCNFIDTSTVATTLALAEQHGCHGLKEGCFKFLKSPGNMKAVVASDGYDHLARSCPSILKELLVNVFP >Dexi2B01G0027560.1:cds pep primary_assembly:Fonio_CM05836:2B:36453474:36454564:-1 gene:Dexi2B01G0027560 transcript:Dexi2B01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAVLISLIACATLASGAAGARVELTRAHSSRLVGDALRRDMERHAARRLAASGATTYGIGWTAGIMVFETFTFGSSSPANQARVPGIAFGCSNASSDDWNGSAGLVGLGRGRLSLVSQLGAGRFSYCLTPFQDTNRTSTLLLGSSAMLSDAGVGSTPFVANPTMAPMSTYYYLNLTGISLGTKALSISSDAFTLTANGTGGLIIDSGTTITYLVDAAYQQVRAAVLSLVTLPTTDGSDATGLDLCFALPSPASAPPAMPSMTFHFNGADMVLPADSYMILESGLWCLAMQNNTDGEPSILGNYQQQNMHILYDLGQEMLSFAPANCGTF >Dexi9B01G0041360.1:cds pep primary_assembly:Fonio_CM05836:9B:41824795:41829626:-1 gene:Dexi9B01G0041360 transcript:Dexi9B01G0041360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAGVGFQLIGAAAATLLAAVLVAAVLGRRRRSRPRSPLVEEKPAPEAGCAAGDGGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGRNTKLAYPLEKFHSDVAGRSFHNGSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYRAAPAAE >Dexi9B01G0033390.1:cds pep primary_assembly:Fonio_CM05836:9B:35543754:35545128:-1 gene:Dexi9B01G0033390 transcript:Dexi9B01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSSKMHALAALTTLLLLAVSSCHASPYYPLELGYYRYKCPQAESIVKAVMEKAIGQNPGNGAAVIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFEVIDAIKHALEAACPGIVSCADIIAFAARDASFFLSAGKVGHFDMPGGRRDGTFSNASEPLKFLVPPTSNLTTLAASFAAKGMSPEDLVVLSGAHTVGRSHCSSFVSDRLDVPSDMDAKLAGFLKRRCPAKPTKEDDPTVVQDVVTPNEMDNQYYKNVLSHTVLFTSDAALLTSPGTARLVVENAKIPGWWEERFKKAMVKMASIQVKTGHQGQVRKNCRAINHY >Dexi7B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:7B:15952674:15953571:-1 gene:Dexi7B01G0008130 transcript:Dexi7B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRRSKRVAGDRLSALPEELLHSIMSFLMARQTVQTSVLARRWKDLWRSTPCLNIDHREFAGGGGGAALSGCSAGQSAWSKLHGFTSSLLKSHHAPVLEKFQLHVGAYHSAPAVDGWIRRGARCRPAALEIAAANQCGWLTPCLAPAAPCRLTRMSLCRVRLDGGFAEHLRSGCPVLEDLVLTGCHCAFYEIVSNTLRSLTIDCCQCASPSKVSRTVTAPALASFRLIVPIHASHDAFLVNGGCSSGPELQATITVTREDPYGASKSMSTFRLLGSLCNVTTLELWGLPWTSAV >Dexi2A01G0035480.1:cds pep primary_assembly:Fonio_CM05836:2A:45112027:45115076:1 gene:Dexi2A01G0035480 transcript:Dexi2A01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPEGEGTDGHAGGGRSFSYGEAEYWDARYVEEGGTPYDWYQRYDALRPFVRRFAPPASRLLMVGCGSALMSEDMVSDGYAEIVNIDISSVVIEMMRKKYFNIPQLQYLRMDVRDMSMFPDESFDCAIDKGTLDSLMCGVDAPLSATRMVLEVDRLLRPGGVFILQDLASLER >Dexi3A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:3A:8651377:8654899:1 gene:Dexi3A01G0011930 transcript:Dexi3A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQISSHVEDREGKIPSRQRRKNHQATTFPDEEDVRLDRAPTAMGLADCITDLMASFQKLVHCCANEVPASARSNSRTMPKLQADARIAMVSTYELLSGTAYASLPSVRQSVYLNRPRKLRRPNSLCSGHHHQIIGESELAAVADLRKEHGEAEAMPAKVNPDASWRPKHTYSISCGFSLATRSRRNAGKDYLQQHAKLDGGQLGARSGGTEGRRRLHEGEADLASAYKMGAGHINQQYAAHIAANLDPCNLNYPSITVPVPVLPGSPHTRSRYLCVQLRTSCHIVATVTSTYALHRLHHVSPPPLQPSGTYYRAALMPPRTIAANA >Dexi2B01G0034130.1:cds pep primary_assembly:Fonio_CM05836:2B:41403187:41406361:-1 gene:Dexi2B01G0034130 transcript:Dexi2B01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPSDAYPSLIQAVLPRIIYASAPPGNSAWENRRQCLKASDSVLRLWLERKTLSEYIIRHHIKELEALNEASFGSSRRPSGTERALNDPLRDDEAFLVDEYGSNAGFHLSNLISTKVLDDEDGRSSERSFEGLTPEHEVTGASEQEASQLHVTKHQLILEEVDGELEMEDAAPSTGVEASSKCQDLTNIASCTRTAQHLSSIPPLPDDKAPSPPPLPSSPPPLPRPPCPVSQASQVQGALPVAAGCVEQQHPGANYNVEGQHPYSVANNRGNMDACVASSQPPVHYNSGYAGHNNQIFQPPPPPPQQPIAAFHPSGPHGSLCGPSVPHHGNNYHQPPSVPPPNSAYHLQPPPHPPPPGPNQFPYPPEPEQRGQPWNYGPPYPESCQYGGHDRGHHGYNRRPHFDDRAHPFDGRGHRFDGGGHYFDDRMHPFDDRWQQFRDRGQMHHEVMDGGRFPPFFPPGPPFPDHFEAPPNQFHCGQPLEPPPGPCSGWSMPHRRSKYPPDSRQTMEPPVSNGGGMLFHIHSALVMIL >Dexi9A01G0030830.1:cds pep primary_assembly:Fonio_CM05836:9A:35732695:35733756:-1 gene:Dexi9A01G0030830 transcript:Dexi9A01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSGDLKLLSSFASPFSLRVKLALSFKGLSYENLEQKELHTNKSELLLKSNPVHKKVPVLIHDGKPLFSSWMMVFKGKTDEEKAEGTKQSFAVAATLEGQLRECSKGKPFFGGDSVGYVDVALGGFVAWVHAIEKLYGLKLFDAANTPLLAAWLDRFCALDAAKAVMPEVDKLVELAKMRQAQAAAAAEAQGN >Dexi4A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:4A:2785229:2785450:-1 gene:Dexi4A01G0003870 transcript:Dexi4A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLERQRQIPATIVHQGADVVLQLHLVGTVVVPELQLVGMVVVPELQLLAATGRAAAPDAAAGPAPDAAPAP >Dexi5A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:5A:1668364:1675587:-1 gene:Dexi5A01G0002360 transcript:Dexi5A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGNPDLYGGGSGGTTAGTGAVAGKKGAAAAAAAGEEEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKQFSSPSADGHSFSEHRSTPDARSNPENISRSSSFSSKTRQSSVDLALDTKPSTVPHDNGHVRSSNTKLHKPSNLPLHIQHNSASVDDGGDDSKQGSLPGDVVSRSPNVIWDEKAAIIMSTSSVCCDDVVMDKAEDAEPTCISSAQKEIDQKGMDTLEQQEALLKKKKAPLVLNHHDEIPGEADDYMDALNTLESETETEADFQTKTQVLSLPSFNAEAPQVGAIDDIVSQHPDSSVADFTDTCQDSNISCMSERAVDFPRLSNADSPDISQLEFPDCTSVGTYKESSVITSVDESKVEGAGGDPYETLEPELQLHAAIPPNERSHVYNQIPESKVEDDLGDFPEISEPEFSASMVIPSNEKSAVANQTLENNVENTSDFTDDVISVPTTSNAVIDEEAFKMAPAAESSPGDIAEDLWVVSESRPQNYPGKKDEDEELGDHGSSEVSDSWSEPLNGPSEGRRATQYVPASNSSACTGASEVSNPWGEPLKEPLEGKLSTEGIPTDTSTSSTGVTPVKLWTNAGLFGLEPSKPPVFGAQGAPREDAPPGFREPQPSHSTEFTELRCSKPIGSALVDVPNGNTSITGSFVGKLVGIRPGSTNLNGAGANQSAARISDKVHSHTDGPSDFSSSYEQNSMIGKQASISELLESEESAENGTEMYSTNMNMVSASSFSSIAQRFLANTLQRRTSAKYTDLPMSSERPNADASGVQKTDGVKEINNPSSTIDVKSSLLQQIRDKSEQLKFNGHEMSKAVGTDIKSLDEREELLQQIRSKTFNLRRTNASKTDTSSQSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >Dexi9B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:9B:15346363:15351338:-1 gene:Dexi9B01G0020640 transcript:Dexi9B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRSALTYSLGVFALRRPLLHKVLDYEDEFFALLMGVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRKIPGTESSDKVHDSALRKRQKILSVVFLVVFPYFKSKLQSIYNKEREARLQATLWGQDDVRISRIRNRELERLRGPPWFKAVQRVFLSCMYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPTPKVAKDGIPLPPDKTLCPLCCQKRANPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPATVEQIRRLFHDL >Dexi3A01G0034130.1:cds pep primary_assembly:Fonio_CM05836:3A:39403210:39403484:-1 gene:Dexi3A01G0034130 transcript:Dexi3A01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVECSKCRKFTWNGCGKHVAAVYEGIEEGKHCACKSWPGVDTKAEEGSTSTPKEGEAKA >Dexi8B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:8B:9567292:9570366:1 gene:Dexi8B01G0007710 transcript:Dexi8B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSWRALALAMAAVAWVLGGGFVAAFPAEDLVTRLPGQPPVTFRQFAGYVDVDVKAGRSLFYYFAEAQEDAAAKPLTLWLNGGPGCSSIGGGAFTELGPFYPRGDGRGLRLNKKSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDTRTADDMYKFLLGWYEKFPEYRSRALFLTGESYAGHYIPQLTDVLLNHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEVFLAISHSCDFEDYTFNNPHNESKSCNDAISEANIIVGEYVNNYDVILDVCYPSIVMQELRLRKYVFLLLMATKISVGVDVCMTYERFFYFNLPEVQQALHANRTHLKYQWSMCSDVLNYSNTDGNINILPILQRIVEHKIPLWVFR >Dexi4A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:4A:12080782:12083045:1 gene:Dexi4A01G0013080 transcript:Dexi4A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTAHLPVPLLRRLTRKPFLAASAAHHCHWSPSVSSSSSDDESPLAAELFPAAGAPTLLSVARSLAVGSPPPSAAALFPAAGAPTLLSVARSLAVGSPPPSAAAVLGFLRRLPXXXXASPHIFPHLVAALARSPRPILALRLFLSPPSPAATTHHSFNSALVRFPLPPHLLPAFFSHSLRRFPGLTPTLLSFNLLLKCISSSLVTRNPGPYLAAALRILHDVIPAWNLAPDKFTYSTVVSALADAGQVEDALALVHEMVVDGVVAAEAFNPVLRAMLRAGDVNGASKLFRFMQLKGCTLTAATYNVLLHGLLLCRKVRAAMGIMKKMEVEGIVPGLMTYGAVVDGLVKCGRVEDAWKVAEEMENKGIAPSEFVFSAVIAGFCRLGEVDRALKVWETMVEANVRPNIVLYSAMIDGLARCGRMAEAEILFEEMVNAKCIPNVMTYSSMIRGYFQIGDSSRALSSWEQMIRVGCVPNAISYSILISGMCYVGRLKDAMMVWKYMLGCGLAPDIIAYTSMIKGLCMSGMVDGGLRLFNDMLAKGDVKPDTISYNVMFDGLIRTNNLPRAMDLLNQMLDQRCDPDAVTCNIFLREIGVTEGKQREFLEGLVMRLCNRERYKAAGDVLMVMLAKYIVPEPAIWYLIVRGVCQTKRVRKVVDNCWDEIWRP >Dexi2B01G0021380.1:cds pep primary_assembly:Fonio_CM05836:2B:31184469:31184918:-1 gene:Dexi2B01G0021380 transcript:Dexi2B01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRDLDNSPEQAKLRQFLEQEKEQLMAKQMVSKLTSVCWDKCVTGTPGSKFSPGETACLSNCARRFLDMSMILAKRFQLQ >Dexi7B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:7B:9281751:9285577:1 gene:Dexi7B01G0003700 transcript:Dexi7B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSYPMATASSSANSDGEGHVNGQSSILLDVRAYSAARRNSSTARSETSTGHPIEVTLYTACPPVLSHLCVHCPGLQLPPADLNLAPKVIAADADFILLRVPVDIRGKSFHYYNDYFVYSFSHPKLDLLPKSCDSCFGDEELAILGCGGDKQQYVVAALEIRSPLDEFTFTLHLYKPGGSWTSQPVSVEEPLRDKVCPVPRTARKLMYHNTYKVIVIGGAKGTIGWVDLWRGILFCDVLQESPKLRDMPLPLPSKGNWGLFMNSCAYFHRDITVNQHKDSIKFVEMEIIQPREVTKTPSKSEPVSYHEWLHQQECPPQPATCFFVPGRFKANTWSMPIPITSWDDWHLDCTAQLGDLQVDNPKHYQLLRKLMSSSGNKQETTEATISLGCLRMAYPALSMEDDVVYLLTKPASIGKMGMMIAVDLRKKELQGVAKLDSKKNTSFIRCYLASRISKHLKTTGTRELLEQPEEHIPNLNKDRKGEQYCTQKPCLGCGSLCERED >Dexi9A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:9A:13688406:13691458:-1 gene:Dexi9A01G0018620 transcript:Dexi9A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPSSLRRLPTTPPQARSPPASPFLRSLPLRKPRLRQPLVAVATATAPLAASSSSPADTERRKHELLRAVQETRRGFAAGPDQRAAIEEAIVAVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSEGGIVRNVVRWSIENLLEVILILVDQEGATLMVSAKFVVLTKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLL >Dexi7A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:7A:26074987:26075562:-1 gene:Dexi7A01G0016140 transcript:Dexi7A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGRRTKKGRQRPCPLLDPRLAAAAQRSRHREIYGGGGGRRRSQRQSSIPRVGARRLPLAVALFLHAVAPSTVHRGGRRRLNRMRKRSTARRRLSATDAGSPAHRAAVVGRRLMSDHTRTFPTITLSPATPLSSLASADPGESDLFRHCRPQIRRHRDPPLLITGHLNQQQKQTHGRMRCSSSNQGGR >Dexi5A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:5A:6148625:6152431:-1 gene:Dexi5A01G0008230 transcript:Dexi5A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPTALRRPNLRPMEDPPSQLEPAASPQRDVEPSPPPPPRGPLRLRCAVQHYEWGRRGPASLVARLADGDADRPYAELWMGTHPSGPSTLLDDGELLRDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRALCGFAGIEVRELKDVLRTVPEFEGLVGHEDAGKLMSTEYDGGSEVKSTLQSAFVKLMTASKDMVSEAISKLTSRLNIESKVKNFLDL >Dexi4B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:4B:276235:276926:-1 gene:Dexi4B01G0000460 transcript:Dexi4B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVRVERVGDPGEGLGSEGERQLGAWLGKAEEVEVVERAVSDERLVESAVPGEDVGSGEVDPVLEAKEEVEVAEAGVGVDGDGGEGEAREGGGEVGGGGGLADSALAGCDDDDPRGGARELGGRAAPVVDGSRGDGGAARAREGREARRRGRHRGRGGQCESGEAEVVAAAATMAASCCCCCCNETLPNSWVAQ >Dexi9A01G0035170.1:cds pep primary_assembly:Fonio_CM05836:9A:39805902:39810822:-1 gene:Dexi9A01G0035170 transcript:Dexi9A01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIGAIGTLLPKLLELLKEEYDLQKSVKKGIQFLVNELESMQAALNKVSEVPAEQVEEDVKIWTRAVREMSYEIEDKVDGFLVRASKGENQQASAKSIKGFVRTCRNSLAKVKLRHKMAADVMDIKCRVMEAKKRRDRYNFDNIVRGNTNVTTIDPRIGALFKKSTELVGIEKAVDKLIDMLSVDAEDGDPKLVSVVGVGGLGKTTLAKAVFDKLKVRFQGTAFVSSGDPKGGLGDKLKHLGDLLQLRYLRLVGWSTGPLPEEIGNLKCLQIVDCERWGCDSFSHLPSSIGHLTQLLCLRFCSFVPDGIIRKLTSLQDLMIELAYNTNTNLLAELGNLRDLRMLNVHLGELDQRLVEAFLVSLQNMHKLRHLSITAWRSSSLRWEAPGFVVPRQLRSLKLMKEVSLTRLPTWINSSLLPNLSFLSVQVWSMDYRDMRVLGRLPELCCLHLYTRRDMSGAPVMPSLEDIRFYVHPEELLKFHVHLGSQTVIGLDNIPLVEKIGITINEWDEVIARINCEDATLCEVEAAEESLRRAVKVEDVATEVAAKLKPDEEEPDALSSMEKRNANYAPQAADRNSMRK >Dexi9B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:9B:14173131:14173403:-1 gene:Dexi9B01G0019630 transcript:Dexi9B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAKTVDEVAAKMATEDSRAISMFVGVDGVVNKESRAAHDCLYWAVDIYLKTHPALDMMEREEFSAMDPLRLSYQARLHPSQNKRLPL >Dexi5A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:5A:21242477:21246278:1 gene:Dexi5A01G0017860 transcript:Dexi5A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALAPSPTAPPPVPLSAAATVVLTRRRSHLDSACYRTLSRLFSHCIHLHPSPREDTAPLEAEAPAADHTGGDSGDSPQVPRGADIDWSKDVEKEAVDAGGPSLHETISPTREQPAAANPTCEPRVAEAPQRSHGDVDEVVAVENTCGNTGAGVEESGIGDGLVVVEDDALKLVEACLEIAEIYESVEEAIGNDDGLLDAMMTNFTGLIDDTGAAVMPAQTCVVSGGELQSSKASEDSQQLGDGIEEGEPVSNLDCELNDDGGFEEGEIEGEVQDLDSEESGNSDLGDDDDAEDEKLGGNSISTGSGANGSCDHGTQFGNLHSTPEVMGNGNFTQNKDANVSGDAEMSVTRAQAVSYDEVVDWNETPLPDNEAPNLGKKRKRILTEERKAKKTKNKRKKRAQEQIAAGVKRPKLQQVIKPKKPCHFYDHGKCQQGDKCKFAHDFTPSTKSKVSMLSNLSFECRPCKHFACGSCLKGDDCPYDHELSKYECHNYKNTGMCIRGDRCKFSHVMRPTEGTPTQDAKPSDASQAYDKTNLREHTSGQKITTVQNGQPVTSAPTKQCSILKNLAGFSVNSQNLSNRIPKGVQFLPFDKSGSILSSPHMDALPRTANATQHQCPGGSKPERQKITKQNGQESPLDEKNPLIEATMHPKKATLAVNSTAASVNIQHEVSEASMILQEFLFGAGS >Dexi5A01G0032810.1:cds pep primary_assembly:Fonio_CM05836:5A:35174261:35175610:1 gene:Dexi5A01G0032810 transcript:Dexi5A01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYCGKHSLNPKAVKFLGPDGSHIRPMQTPNDVGLEDGDAIDLMLDQEGGGGEGAGAREPELCMNFV >Dexi4A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:4A:23790978:23791898:-1 gene:Dexi4A01G0020030 transcript:Dexi4A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFEATATAISQTRYNPESVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKMVHKGMLAQFQ >Dexi2B01G0029330.1:cds pep primary_assembly:Fonio_CM05836:2B:37758531:37768464:-1 gene:Dexi2B01G0029330 transcript:Dexi2B01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRAFEAMLKECAANRGKFAPLQQSIQSYLDSIKGATPEGAVITEALASAGRALEGPQAELVLQPLRLAVETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNKFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVSVSPASSVVKDTTSSSSKDSENGEISADSQDEEKVTLGDALSINRASEMPPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSRGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDAFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYACGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQKASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERTVSALSRIAQGSQIADTNSIVSSQTVSVKGSSLQCLVSILKSLADWEQLRRDSSKEGSIAESHEEDASRSLTTAEMKSQEDGRNQFERAKAHKSTMEAAVAEFNRKPAKGIEYLLSNKLIENKASSVAQFLKSTPSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTVSDAEECAPKELLEEIYDSIVKEEIKMKDDLHDATKATKRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKKGVFYVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMDGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSISATVMMGSNQISRDSVVQSLKELAGKPAEQIFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNNFTFQSDILKPFVILMRNSHNSKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPIDVVPEANFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLSLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREPENNSYHGSRGEASASSNGEHSHPEANPQTSLENSEGLPSPSGRTQAAVSPRGQSIGQRIMGNMMDNLLVRSLTSKSKGRTDDIAPPSPVKAPDDDEADKAEEEESPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLDILHKSTVEQEDKELTEEANGFTVDSSEQGNIKNLAEGKLVSFCGQILKEASDLQPSTGEAASADIHRVLDLRAPVIVKVLKGMCIMDAQIFKRHLKEFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >Dexi5B01G0035530.1:cds pep primary_assembly:Fonio_CM05836:5B:35494701:35498434:-1 gene:Dexi5B01G0035530 transcript:Dexi5B01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLAFSLSPQDLPPSQTESTLISAAATDEVSGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGINFSEHHHQHKANLNVIPSSSYASSGVASTAYHHQLYHHHPSSALHFADSGMVASSAAAGVHDDGGAMLSAATVNGANGGSIGLSMIKNWLRSQPAPPPPQSGDGAQQVAQGLSLSMNMAGTQVVAGGMPPLARERGGRAPPESTVSTSAQGGARKEDSNGSGGAGALVAVSTDTGGSGGAETAARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKHMTRQEFVASLRRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSALPIGSAAKRLKEAEAAAAAQHVGVVSYDVGRIASHLGDGGAAALAAAYGAHHYHAAAAAAWPTIAFQPPGAAATGLYHPYAQPMRGWCKQEQDHAVIAAAHSLQELHHLNLGAAASAGGAHDFFSPAGQAAAMHGLGSSIDNSSLEHSTGSNSVVYNGVGDSNNGGSGGGGYMMPMSAAAATTTTAMVSHHEQVHGRAHGDHDEAKQMGYESYLVSAEAAYGGGGRMPSWTPASAASPVTAAASSNDNMAGVGHGGAQIFSVWNDT >Dexi6A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:6A:19292241:19294067:-1 gene:Dexi6A01G0012620 transcript:Dexi6A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDEQFFSFPAVSCLKSSKPNTIVLSGLSGGGKTTLFYQLRDGSAHQGTVTSMEENNDTFVLHSEQEKKGKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRTAISSADISDEVQLGVPGESFNFSQCLNRVTVAEGAGSTGNVSAVEEFIREHVKA >Dexi3B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:3B:8266034:8267317:1 gene:Dexi3B01G0011810 transcript:Dexi3B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMLTTPSVACVDALRDDVLASILLRLPSTSVLRCRAVCRSWRCITTDRSFLAALTARRPTHILTYAEYAPRAVSATPLSGDDHHPTRLFYRTDRGTSRSMVPRSGDVLRSLDGLLVLRKRPGLFAICNPATRQLTHLPPLLPPEDRPLRFTAVACGFYSHAPSGEYRLLCHVEHKWVRYYSILSASGGGARRHGRRAPRLTHKSLTIHYSAPVLSRRGVLHWLVHPEAAYTGMMLAFDTASEEFRLMPRRPPERRRGDTSRKLVELDGELAMVVLRQQGASSPSSSSWSLAVWDLRGYGEAAEEVWTMRYRVELPPPTSLSVDTTDDIVEEWRWLLPVGDGTCMLIGQGWRRFKAILYDLEEKRIRGGKSSLPARNLSPLCLGRASCRMPSSTCLKAALKFPTSRV >Dexi6A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:6A:26097521:26098975:1 gene:Dexi6A01G0018370 transcript:Dexi6A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMYSEEEDDQASSPASEPEECPPPLPRAPSSQRPLLLNPAYARCKSVIHDELRSFRVFLQCFLLDHSTASGRAASYAAFLALSFLVPAAVSLSLRADDDSSSSPSPEYSASAITFNRVAQVPATGLAAISFATLASFFRHLGGLRQLLFLDGALRDDTAYVRRGYARELDRAFRLLAALLLPSLAVEVAHKAVFFFSTVRVEPPMALAVFVPPFLLPHVPWRAAAMVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIHHMFDVEARAAAAEIFAEHRRIRAQLMDTSHRYRVFIICCLVTITVSQLGALLVALSSRDAKRFSNTGDLLVGSAVQLSGFFMCLFGAARITHRAQRIVSIASQWHMSMVAMDHGKSSPAGSSATVTQQAEPVESCSYYKSRQALVTYLCHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVLE >Dexi9A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:9A:2215490:2216941:1 gene:Dexi9A01G0004220 transcript:Dexi9A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEQRTPSVAEAAAPPRQVPSAPGDDEDDGDGGEGAATFRDIHPLTPDTPPPPARTVSAASWETASHRSYSSDEQYMTMSREFTAMVAAGAATMHQTGPDSGADQLTSIGEDELEETNPLAIVPDSHPIATPARSRASGLEVVPAGPAPPPPHVEASQVKKEEVETKVTAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALEKTRNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >Dexi9B01G0035830.1:cds pep primary_assembly:Fonio_CM05836:9B:37428546:37430078:1 gene:Dexi9B01G0035830 transcript:Dexi9B01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAVAKGSVVSPCGYRASPGLLGRRRGAVAARIAPSAVRIGGSWKKTAFLGGRLAVGPRRSRPESRNLASPVQMNMNLAIGKSMRWWEKGLQPNMREIESAQDLVDSLINAGDRLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSIGPTRGLEESELLALAENKDLQFTYTKKPELIPSGDAAAEHTAPEPAKLPGVTKPLIRLGSEERSLVSSGR >Dexi4A01G0022630.1:cds pep primary_assembly:Fonio_CM05836:4A:25947643:25949125:-1 gene:Dexi4A01G0022630 transcript:Dexi4A01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPWDDGYESPTNTAARMYNKVHMMKVKDALVESIVSAAIFEGCELYGHVNFYAKAKNGPKKSDGKVLVFAELHHIGRRTNAMVLTCFQFLDENNQSCGRFGQLRNFRMVQDQDMGHCYACGDIIKHPDGSCYKAGHFVDMLCYL >Dexi5A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:5A:8344690:8349226:1 gene:Dexi5A01G0011130 transcript:Dexi5A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNERAEVRRNRYKVAVDAEEGRRRREDNMVEIRRNRREESLLKKRREGLQAQVPVPASGVEKKLESLPAMVSGVYSDDNSLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSGSDDVREQAVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIIDHQALPCLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVINGGIIAPLLQLLQSAEFDIKKEAAWAISNATSGGSPEQIKYLVAEGCIRPLCDLLVCPDPRIVTVCLEGLENILKVGEQDKAAGVTGDINVFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEAYWMDEEDDAMATAGEAAAPAVFDFSQGGNPPAGGGLSFN >Dexi8A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:8A:1472091:1473683:-1 gene:Dexi8A01G0002210 transcript:Dexi8A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGALRCARVRLRELHGTLAATASASAGRTPRSSMSPASPHGEHFAEVVVVRHGETSWNASRVIQGRMDPELNEIGRQQATMLARRLSKEAKPASVYSSDLKRAADTAQTIATVYDVSNGGGESLDQLSERCVSCLNAIAEKHKGKQLPVFSTVPQIESRSKCTIGSE >DexiUA01G0019290.1:cds pep primary_assembly:Fonio_CM05836:UA:40273975:40275159:1 gene:DexiUA01G0019290 transcript:DexiUA01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPLSRLPLSWLDIPVELAGMVLGRLPAHVDRVRFAAVCPQWRLAAQHGGLPPPMPLLLLPDSTVYSLPGSGPFHFPSCAGYTDACGDWLVFSGEDGCFLRDPFSNATVTLPPLSRFRVQYVGHESVLSADELHCASMEMGEELVASKIIFCSPHLVAAIVKLRIEGPTRIAVCQPGATSYWSGKLYSVTSIDRLFAVDISVDHSTGPRIEVVAAEQNRFEVYEANFEQSRWAEVTTLGDDQVLFLRQRCCRSVSVSHKDVPRDCIFFLENDVDDPHWYGSGSPGSWSVYSMRDSKVTTPLPAVSWKHATVFATWLFPQG >Dexi5B01G0030180.1:cds pep primary_assembly:Fonio_CM05836:5B:31165701:31170535:1 gene:Dexi5B01G0030180 transcript:Dexi5B01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAHLLSQSLYSSHRAGPAAPRNPRSQARTPARGSLAARTGASARGCRGVSLRATASFEQGRRQLEVSYDPQAKFNQLADQIDKDAGLTRLHLFSPCKINLFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSRDRLSTNVPGVPVDESNLIIKALNLYRQKTGTDNYFWIHLDKKVPTGAGLGGGSSNAATALWAANQFAGCIASEKDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVQDIPNPLPENLPMVLIKPPEACSTAEVYKRFRLEQTSQADPLTLLNEITQNGISQDVCVNDLEPPAFEVLPSLKSGSTIVGIGSPDPPSFVYDDGDYKDVFMSEACLLTREENEWYREPISSSSKAAYNKDDLAASVTD >Dexi7A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:7A:17874653:17882645:1 gene:Dexi7A01G0006490 transcript:Dexi7A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSRLSSSSPLLPGAATAPSAAKPTLGEASSQGLFREVIRTAAMSAPHASADANGNGTHAGMAAQVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEHDISFLQSVMPMCEGAFFDYLREVDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFVSSYMSLDEIPDKVLRTKDGSRVCQDFVSLVQEWLQKIQVADSLGGAFGDTNQSELAAFVSYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYVKCPLMLITCVSGHSPILHGNVITTNQAVVNTAVSMQNKLQYLSTIGFGRMVITASNDLNEETIDALNKQAALGCVFKLVEINSKPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFIESKRAYVVPQHVEELLQCYWPGRSDKPRAELPSLDKIRSRCMQQLEKLRPDHTRRLNPTPYKVSVSAKLYEFIHCLWLNEAPVGELQ >Dexi5A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:5A:380462:380881:-1 gene:Dexi5A01G0000530 transcript:Dexi5A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLNSSRIRMTTPVLTSVVPGPLHSSAYFARLYLPVKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDKNVVEEAEKLAMSLSRSPWANSTHYPSKNAYSIAQYSSPFRFISRVNEVWFDVNCKSTGVETY >Dexi3B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:3B:15327188:15331885:1 gene:Dexi3B01G0020320 transcript:Dexi3B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAPAPAPAPAQATADDAGAEANGYEDAAEFEDAEAGGDDAAAGAGTTPAGEEGMGELPEELAKGVVCLECETSPEAAAAGVGGTCRVYVVGTAHVSQESCDQVKAVINYLKPQAVFLELCASRISILTPQNLEVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLEVLPGAEFRVAFEEARSYGGKVILGDRPVQITLRRTWGKMSLWHRTKFLYYIIFQSIFLPSPEELNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWQQPIQVCFVQRKFPNSLSYTPSVLKYMSFRTSK >DexiUA01G0010380.1:cds pep primary_assembly:Fonio_CM05836:UA:20703728:20706365:1 gene:DexiUA01G0010380 transcript:DexiUA01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSDPELAGVEAAAAAPPPPRRQRNSDIPRPPNRPQTTRGEPRIISPHFPEPSSPWFAQRNSGEVPGTFLHLLPLSRVPQGAIPDGDYTLIPADEEGAPEHGAGAEVTNPEANPQSEQEGKPRSMT >Dexi9A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:9A:15238849:15239824:1 gene:Dexi9A01G0020290 transcript:Dexi9A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARNLMDHTYNEILIGGRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFVNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAHGASSAEGGAGVGAAQDDDDDVPELVPGETFEEAAEEKKESS >Dexi2A01G0026600.1:cds pep primary_assembly:Fonio_CM05836:2A:38162666:38164800:1 gene:Dexi2A01G0026600 transcript:Dexi2A01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HWLGNVDTLADIMVGLQQQVLAVVVVALAAAGCGAFQFEDATVDAIQLGFSNGSLTSTALVKFYLDQIARLNPLLHAVIEVNPDALAQAARADAERSASSGRCAGGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVAAGWSARGGQTMNPYVLSVTPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSWNSVVGIKPTVGLTSRSGVIPITPRQDTIGPMCRTVSDAVHVLDAIVGYDELDAQATGAASKYIPHGGYTQFLKVDGLRGKRIGLSYVLFQGFDNDHLAVYEKHLNTMSEHGAIVVDDLDIATNFSDLGDKETLLMEAEFKLSLNAYLSDLLRSPVRTLSDVIAFNNAHPVEERLRDFGQPDLIAAEKTNGIGAKERAALRRLHEISTNGLERMMKEHQLDAIVAPNHNAGGVLAIGGHPGIAVPAGYDKQGIPFGICFGGLRGYEPRLIEIAYAFEQATRVRRPPTFKR >Dexi1B01G0026420.1:cds pep primary_assembly:Fonio_CM05836:1B:31307599:31308252:-1 gene:Dexi1B01G0026420 transcript:Dexi1B01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSLLCHSLRACNTLVTWKAGTPRRHEPPLREDKLRRTLEFLEMEVGLETAYIVHRPVLLGFSVKRLMSRHYVFKVLKGKGMLKKDDGFYSVVSLNEKTFAQKFLDPYEESVPGLADAYVAASVSQAPPVL >Dexi9B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:9B:11493772:11494712:-1 gene:Dexi9B01G0016730 transcript:Dexi9B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQNRPLNSQNAADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYIARQDQFDIPNGEELEEMKKANAKLQEELADQKKAISEVESEVRGLQTNLTLVEIKSKEAKLQSEVQEMEEKLNKLRTGVILVKPEDKKIIEDSFAEKVNQWKKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLASLNKRRKISR >Dexi4B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:4B:16243722:16248390:-1 gene:Dexi4B01G0015030 transcript:Dexi4B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSSALLLLAAFLTILHHATSAPPAAATSAPSSDTASFLRCLTVHLPPQVLYTSTSPSYTSVLESSIKNLLFVSPATPTPLAIITATNASHVQATVRCGALHGVRVRPRSGGHDYEGLSYRSLTTARPFAVVDLAALRTVRVDAGRRKAWVGSGATLGELSCIAFASASGATDAFLGCLAAAGVPPRLVQTPASPSYDALLLSPVRNLRYVAPGTPRPVAIVAAAEPAHAQAAVRCGRRHGVRLRVRSGGHDYEGLSYASLDRRERFAVLDLAALREVRVDAARAEAWAGSGATLGDYAKAKVWGEKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPSAKGWSPI >Dexi4A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:4A:7203621:7203962:1 gene:Dexi4A01G0009120 transcript:Dexi4A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGGRPSTLALSKAPGSVPRDGTAAKARLKGECLGLRRRWAWGHDSGRARPRDDEGARAKPWECVAGPRKTAEGAWGQDA >Dexi2B01G0021030.1:cds pep primary_assembly:Fonio_CM05836:2B:30995197:30995452:1 gene:Dexi2B01G0021030 transcript:Dexi2B01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLCYKKHHGSKESGAKPVPSVLQEGGVGSQVSCAAVPMREDVMQEAPEHGRAFDFKGAARDVIARANPRIKGEKLLGKI >Dexi4B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:4B:12102602:12111771:1 gene:Dexi4B01G0013470 transcript:Dexi4B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALLNRRFPTNSDEYKLYEEIGEGVSATVYRALCVPLDIMVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAYCSFTHGHQLWVVMPYMAAGSALHIMKTSFPEGFDEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDSNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARSAEYLARSIVDGLPPLGERFRELKRKEAELLLNNKLGQESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSSNGTCHIDARDNKSKDDSQNAHNAPEHIYQERVNHVSSGMPEEDEIQEVEDLNDALSSSFPSRPLEALKDEFHQKNPSNRNRSGPLLFRQKKDSRAHLPVMLFAQVVLSHPFLGAVAPEEPSEGKIIRRRGRFQVTSDNISQKVAASACNSSRTNLPIGATRPNLRPSAILPTLQFLMQQSTMQKEVLSRLISSIEETSDDSEASTSGSIQVRNIESDFLVEGLSEKRNCSPMLSNCSEVSLNLSTKCKD >Dexi3A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:3A:14167826:14169187:1 gene:Dexi3A01G0018620 transcript:Dexi3A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLDEQFCQIEDLQDEATPNFTEEVVSLFFKDSARLMTNIEQAMEKNPRDFIRWDAHMQQLKGSCSRLLASYTCFYLCLIAYDVIGASRMKNECASFRNSCGDENAEGSLHCSLPL >Dexi9A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:9A:3683237:3684444:-1 gene:Dexi9A01G0006450 transcript:Dexi9A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLDGLILRLRFVSVDDDSPAPDLTTALHIQVNEDARRGLRAELGEGGDGTPIEIDDNNLYSLAFVNAAGENLIVLTVVFLLWESSRPACYYLVFDSDDMSLAMIPSAPDEDPVSGLFPRAATARMEAKCPSLMPGE >Dexi2B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:2B:11896504:11902693:-1 gene:Dexi2B01G0010510 transcript:Dexi2B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLAMKDCGKDFDPCGMEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGILFGYDTGDDREHGGGGRDRGRGHGRVDEDRSGRRPSILIADLLFLAGSLVMCFAPAPAIIIAGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTKVTGTWRWMLGVAGLPALVQFVLMLALPESPCWLYRKNRKAEAEEVMRKVYPPEEVDAEIEALRASVEADVARQQDATLRKALGIVVVRRGLTAGVLCQVAQQLVGINTVMYYSPTIVQLAGFASNTTALALSLVTSGLNAAGSVVSMFFVDKAGRRRLMLLSLAGIVACLALLSGVFFAVDAHSPDVSLAGTAALSSSLSGVNATATATATATCPEFALASLLPGACLAASDASRRACRNAGGEGGRRREWYARGCPSSFGWLALVALGAYIVSYSPGMGSVPWLINSEVYPLRFRGVCGGIAAVANWASNLLVTQTFLSLTQALGTAGTFMLFCGVSAASFLLLFLLVPETKGLQFEEVEQILGSDDYRAWKKFDPKA >Dexi1A01G0031190.1:cds pep primary_assembly:Fonio_CM05836:1A:36279097:36280406:1 gene:Dexi1A01G0031190 transcript:Dexi1A01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Dexi5A01G0026560.1:cds pep primary_assembly:Fonio_CM05836:5A:30168393:30169254:1 gene:Dexi5A01G0026560 transcript:Dexi5A01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHSGTLTNGFLFLQTVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDAEIEDAE >Dexi3B01G0026370.1:cds pep primary_assembly:Fonio_CM05836:3B:21376725:21377658:-1 gene:Dexi3B01G0026370 transcript:Dexi3B01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTHQDIVFDVNAIGWAPSGARTALSLHHEEDATTLPTAAVGHGHKPSGRVIGTRTYVR >Dexi5B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:5B:4160325:4161326:-1 gene:Dexi5B01G0006170 transcript:Dexi5B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEERRGRLLDLARMVPATLLLVGTSEKVVTSIKGAREMLAGDKWGFDDSDDPASPPSNTARGGDRGASDPVETTGGDDRSAGVHVEITGGGDQNFVDGLPLRTTCGSPASLCCGVPVNGGGGEGAVVILDATPNCGSPASLIPGVFVYNDGGEGTAGVQDGTPFGFDNWADILASALAPDGHLPVAYREITRLVSLHAEAGHVFVVCAARLGLQQSGDDDEKEGDDDAPFALRPDNDAPWKRWMDLREAAVRHAHDALLRLSSAASAAAAAEDFLRWRSAESPRREGWRSAARQLVEDARRSLGEAKDAVRLMRDAALCEFFETWMILKHA >Dexi9A01G0033920.1:cds pep primary_assembly:Fonio_CM05836:9A:38778592:38779247:1 gene:Dexi9A01G0033920 transcript:Dexi9A01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWATTCTEQSITCTEQSLHLLHGTGDWRSASVPLGACTAFTHHKSSMVLCARSFIDASLPAEQMWLAGCVLQSKEEGNLDQIIDPYLIGTINTCSLNKFVETAENCVADHGTDRPSMADVVSDLKYALQLQASAELAGSSRADEGLKGL >Dexi5A01G0037340.1:cds pep primary_assembly:Fonio_CM05836:5A:38728452:38732553:-1 gene:Dexi5A01G0037340 transcript:Dexi5A01G0037340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLSTAPGSSLFFPAAAVSAGAGGGGGDKQEAAEAVQLQAPPQEHIAAVEKDASGWPLWLSEAAGDALRGWAPRRADAFHKLEKIGSGTYSNVYKAIDVASGRVVALKKVRVDGVGVAESARFMAREIALLRHLGDHPNVVRLDGLVTSRLNTAPSLYLVFEYMEHDLTGLTTCAAASGHRLSLPQVKCYMKQLLSGIEHCHTNGVLHRDIKSSNLLVSNDGILKIADFGLATSYDPDNMRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHRIFKLCGTPSEDYWEKMKFVHPTFKPYERCLAEKFKDVPPSALSLLETLLSIDPDMRCTASDALNSEFFRTEPYACEPSSLPRYPPCKERDVKLKYEKHKRFGPTLCTYFSRFLLFTESFNICIISRKSRVNGSVERHKNRQHASQNPGRRVFTPDVNNKPQANPKVPRLVTSTSTTKLERFPPPHLDASIGYSLDSTADGNTEEFFTSSVVELKKMPSVIFSHMKSYLNSPKKGMHKAKPSLNMAPSTVLIGAFRPYSLGHPMEVRRKNREQFRGKGRNVVGAVK >Dexi7A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:7A:12962620:12963083:1 gene:Dexi7A01G0003480 transcript:Dexi7A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRAQHPINGLSVLVVDEDEHHTNYLKAMFPQRNLHLTVYNSPITALNFLKDHAQEVDFLLVAVHMQEVSGFQFLNMAIKMHRNIQVISKF >Dexi6B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:6B:106211:106792:1 gene:Dexi6B01G0000180 transcript:Dexi6B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTMHSIPASALDPEGTVPGQPKKLFLPGSSSCEESFRSANTSSSSRFSVTERSLDFSSCRFSEVSGVVQPDEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPHLDATPSESSQQVQQQTQAPSSSSAPDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFTICLTSFDSKLACE >Dexi9A01G0032520.1:cds pep primary_assembly:Fonio_CM05836:9A:37430794:37440019:-1 gene:Dexi9A01G0032520 transcript:Dexi9A01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRSKSRTHCITPPPMLRRRHRSNPPGAAGPGSDTVPTTPLTSLAILEGNPRREPRRVDPDAVLNCHEKNITSSRQPLPCRQPELEGAIPTHIPHQHHEHMYSHALPLMPIKGEGKPVQRGESQQVDTTKTHTNALSHQKAQGLRSSSPSPTLLVTPYYEQHETGAPHHCWTYGPVAGTRIKTPVSPPAIGATSASIPTKPGRPRVLCFAPSASNLSCKPAKVFAAAAAAAVVVADGTSGGATMTSTPDINRQPCPDRILEDAGSAFAMGAVGGSIYHFAKGLYNSPNGHRLAGGGAAIRMSAPRVGGGFAVWGGLFSTFDCAMVYACSKEDPWNSIAAGAAAGGLLSLRHGLLATGRSAVMGAALLALIEGAGIMINRVLADPPPAMDYLFQQPGGQVRPEDYYGQPHAPPEFLGVPAAPPVVVDEIPVVEPRPAGWLGGLFGRKQQQGYKIGGGDQQPGGVQPNNGGLEASNAPPISLHHPPPLVPLPGFPSPPSARPVPLDAEDCKKEEMATPETSREPCPDRILDDVGGAFGMGAVGGSLFHFLRGLYNSPKGHRLAGGATPARMLAPRLGGSFAVWGGLFSTFDCAFVYAREKEDPWNSIAAGAATGGFLAMRQGLLASGRSAIFGGALLALIEGAGIMLNRVVVPQPPEDPLQYPGQNPGMYAPPGFLGVPPTPPPQQSPIVVQEVPVADSGSTGWLGGLFGRKQKDKSEVLEMDLPPEAVPSFDYNDSIFIQKERRKPQPRPMGWRDRPSYIHLPGARRHFIDEVGRGFWGGIMYGSTCHFLRGLRHSPNGARAARANAPRVAGSWAAFFGLWCAFENAAFHARRRDDPWNAVAGCAAASAFVELRRGARVAARAGVAGAVFVSLIEGFSLFVDRLVAVKPAPAVEPPPPPPPPPPAVEVVPAPPPPAAVVEPVAEAVDVPAAAARPAATVDRLGRPLGYVEPPHVREPRGFRGIPPRYPYVVKEVPASRLGY >Dexi7A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:7A:18332203:18332574:-1 gene:Dexi7A01G0006960 transcript:Dexi7A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPRKSRSKSKAKPGSGAVSAASSPRKSVDLESPSPSPSPTPRGIREKARSLDSPAAGARPRGPAGHGRVGYKLPEPVGTPYEEPAGGGGGGGDGSSSAEASSVCSVGSLDEAQEQHGFR >Dexi1A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:1A:8163100:8163507:-1 gene:Dexi1A01G0009840 transcript:Dexi1A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQIRRALSLGLHICAPPSLLLPPASRADHTASFPASSTSQLLPFSPSAAAVPAPPAGSIANAWNRWRNPQPNGARRRRRPWSNRGGAVAVDGRPPRRSRRRRWRALPRKRSRGFPAAVASIHRGRAQGGGYD >Dexi9A01G0038930.1:cds pep primary_assembly:Fonio_CM05836:9A:43051999:43054367:1 gene:Dexi9A01G0038930 transcript:Dexi9A01G0038930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYEIGLSYTIMEMEQQNTNSKEGVEVLQQVPFEDEKLGKGQLTSKVYHLQSEQLAAREVEIIDIASMTRDYWSKMISAPNIDLTTFKSQRTERGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDFIIAGERALFLACHRLCFAWIDEWYGMTVDQIREMERQTEMLLKTTLKKPGKAGSKHEGKRKTLKDEIAVVGSCT >Dexi2A01G0023670.1:cds pep primary_assembly:Fonio_CM05836:2A:35360129:35363092:-1 gene:Dexi2A01G0023670 transcript:Dexi2A01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSKKLTTDQVPGWEEHYFNYKLLKDRVKEYTEQTKEGNRDRRRVLKDFSKLLDDEIEKVVLFMIEQQGLIAARLEDLGQKKARLQDLPLLQEISELREDYREVGLDLVKLLKFVDINANAVRRILKKFDERLGDKFTDYYVSSRSNHPYSQLKQVFKHVGIGAVVGALSRNLGDLEEREGSYLNIYDQHPLAIPKDPIIDLIKATADKLTNSTNFLRFLGQHALIAQEDTTGSEVERIEEDKYYFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLLFSSVMLLLGNVLYALAYDLNSLVILLVGRLLCGLGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNLKVSVFTINQDTLPGWVMAFGWFVYLIWLWISFREPALDSEVKDFHEGSSSSSSTRYLEEEELEQGLAEQLLPSYEDDQTGQAERLPGPSSLRPASSVTSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWNTSTIAVFLAILGLTVLPVNAVVGTYISNMFEERQILLASEVALLAGVLLSFGVTGTYTVAQYVCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTLARVAADATITLAGGVLGPSALLNATLLPSLLICLASIAATMSTYNSLFY >Dexi2A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:2A:31016464:31017294:-1 gene:Dexi2A01G0018900 transcript:Dexi2A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQSWRSYLCCVGGTAAVEDEDSTPRRRRIRGGKDSPRSSSRMSFSSLSSSEMLSPEDLSLTLSGSNLHAFTYAELRAATAGFSRANYLGCGGFGPVYRGAVGEDLRPGLPAQDVAIKYLDLEGGTQGHKEWLG >Dexi6A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:6A:24784207:24785971:1 gene:Dexi6A01G0016950 transcript:Dexi6A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRALISALLAGITVLDGEGGLTLRTVALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEVASESGAVKVTGAAEVPYLADENADEDPDLRVTIRGDETPLARRAKDAFFARGKPLVLEKIREFVAAMAKGGPAKDELESKKTPAKAAAPGAAPAAKREEAPAPAPAAKEKKSKGKDKEGFKTIEMTEKFYCRAKDIYEILMDENRWKGFTQSNARISREVGGEFSLFDGSITGVNEELQEGKLIVQKWRFGSWPDGLYSSVRLVFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFGFGI >Dexi1A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:1A:3320267:3321211:-1 gene:Dexi1A01G0004540 transcript:Dexi1A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGLAVSMLLLLTQLASCAMPCVEQEKASLLEFLSDLTRDGGLAVAGLEGHISPALGDLARLQRLNLSYNSLSGGLPLWQLVSSSQVVDVSFNRLNGELGELPSSVTHGHPLQFNKFSGNIPSALGNCSMLKVLMLGHNNLSSTIPDELFNSSTSLERLSFRNAGLRGTLDSAHVTKLTDLVALDLGENSFTSKIPESIGQLKRLEELLLDNNKMSGELPPGVQV >Dexi4A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:4A:4683147:4684477:-1 gene:Dexi4A01G0006530 transcript:Dexi4A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLADALVDEGYAVVVALIDATMEDDASFAAAVCRRAASSTPGITFHTLPRIHDPPAIIPSDARFLRSMPPRSIHAVVVDALSNEALDLSAASVCDEGQPTTSFKELGDSPLDLLGVPPMPASHLNREMLEDPNGEIYQAWMSSLGKNLEANGMLVNTFVSLEARALGALNDPQFLPGCEFTMPPVYAVGPLLVEGPPSGDTKEKHGHKCLAWLDRQPEDSVVFLCFGSVGCHSEEQLKEIAVGLERSGHRFLWVVRAPVCDSTLVLHADPDLDALLPEGFLERINGCGLVVKEWAPQVEVLRHKAIGAFVTHCGWNSVQEAIMAGVPMLCWPLYAEQKMNKVFMVEEFRVGVEVVGWQQGFVKAEEVEAKVTLVLESQGERLRVRVRALKEAAAMAWNQAGSSRTTFGQFLLDLAE >Dexi5B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:5B:21584703:21589525:1 gene:Dexi5B01G0019260 transcript:Dexi5B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPAPDAQPEQPSSAAIADAAAAAPSPASVADADAAPAEEPPAAPLTPETDAAAGADEVREEDEEYVSDPDDALLPTMRRREASDDEGSEDGRPTARIGPDQDDDGQGAPEAYDDEVDEEDEEYYDEEEEDVGEGFEEYEGRAAPPMEDGGGGGQESRGEDGVAGEEGLAEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNFQDDRYEDQRMSRGRFRGRGGRSKTRGGGRGFPRGGKNRSYHEEGNTQNRPPKVVRGRGPRRYEAVARNSREVVGSQRKQAARFREPAPNSAAPRDSGPVSHIQAEAAPPKKNVISSSLNSASPPFYPSGASNQDFPVAAQRRDIQTGGSNKALPSSMKMDDNSKLQSGPMVRGRTAMDYGGRDRFHADGPVRSSPGRGAPTASLNSGFTPSSVNPGQSPILRASGGNSNIGISSNNQPTSSLPQMPRISTQMQGHVPVMHPKSGQLPNQSAARIPPQPLNPRTSNSSPTAQHQPVKSTESGDNGSYPSQNNPKAPSAVVKANNQETGMGSFMYGGAQVIGAAGLSQGDQNFPGTPALLPVMQFGGQHPGGPGVPTIGMALPGYVAQQQMGMGNNEMAWLPLLAGAAGAFGGSYPPYIALDPSFYSRPSGQTSSVPSR >Dexi3A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:3A:15537382:15538678:-1 gene:Dexi3A01G0019660 transcript:Dexi3A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWVILGRVIRVGVVPGGVEVEKEAQAEVAAAVDADAQPQAKQVAAVEAEEGEKAEGVRAVQAEADAQAEDATVVDADSRAQAEQVAAVEAQAKDTVAAVGAGAAQVEHAAAEPDFTLQVAPPPRVSVLTAGRSVHPDPGSPDKYPYIVAAAPSCLLAHFAAAPCRGTQFDDRSPPKSHLVLVRGFHTAAGEMTASAELVPDRTGSTPILRNIGSVVLAPNDGADYTIAELRVDKGSDRATIVYLRLGGREGWSERHLRRFAPGAALALPQAPRIPDARDLGEETPHIHTKRSVTTSRNKLRYVEINGEAATVSMWTRRRSRIPGAWQWDWNRRYTVAFEEIWDDKTYKDTGLPRIVPMLAIVCPSDPNLVSFALHQQIFGVNSRAQQGL >Dexi5A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:5A:5280315:5284161:1 gene:Dexi5A01G0007140 transcript:Dexi5A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRAQFVYNRRRRRTGGRYAVEEASDEEEQRQDTSDSSSDEEEGDEEEEAEASGEEIDDEEVEEEAAASEPAAKKHAATAAVGEKKSGRKGPITISLKKVCKVCKRTGHEAGFKGAVYIDCPMKPCFLCKMPGFYLRHTTLTCPHRVAMEHGVIPAPRRNTNTSLDYVFQSQVKGKISMVKPKFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKIDTTNDGILYTASSDGTISCTDLDTGIGSPLLNLNPNGWNGPSSWRMIYGMDLNTDKGLLLVADSFGFLYFLDRRSKTRVGHPILIHKKGSKVTSLHCNPARPEVLLSSGNDHYARLWDTRKLEANSALASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGDLESPSREIVHSHDFNRHLTPFKAEWDPKDYTETVAVIGRYISENYNGVALHPIDFIDTSSGKLLAEVMDPDISTISPVNKLHPQDDILATGSSRSIFIWKPKTEDELTEERTKQKAKEYVYGSGSRKKSNGKHDNSSDDDSDGDSGGKNKKARKSRFTHTAKGKDKSKV >Dexi5B01G0039940.1:cds pep primary_assembly:Fonio_CM05836:5B:38596238:38597059:-1 gene:Dexi5B01G0039940 transcript:Dexi5B01G0039940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIDALGDEGEEALKGVDLVGVEAGVEERGDGGVVGVVVEVRVGAHAPHHPGGRGAARLEAEHPGAEMGGSRSPEPEPEPEEGKKKITTAAISKTSFFDNGPHAKWAPSLAMGDGRSSSSSSPSSP >Dexi2B01G0031120.1:cds pep primary_assembly:Fonio_CM05836:2B:39318276:39318608:-1 gene:Dexi2B01G0031120 transcript:Dexi2B01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVSSSLALLLIALLFSCAVTSNAARRLEEEEAPKEEEPEFPPHLPVPELPLPEHELPPFPPKPELPPFPEVDLPAKPELPEVELPPKPEIPTIPEFHFPEPEAKP >Dexi9B01G0029160.1:cds pep primary_assembly:Fonio_CM05836:9B:31737759:31742277:1 gene:Dexi9B01G0029160 transcript:Dexi9B01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRDASDGDEDPGGEEEGERRQEAPPAGKDGPGDALWRWRAQSLSEVVLSWSVEQILDKDLLRDKVSKIPETFNSMEQYMTSFFGPLLEEVRDDMCSSMEDISNAPYAELRSVNPMRKGKGSFEISLGRWRGTSHGCGIDTYKPKAADVLLISDVRPANQCDILRHSKSCVIVWISKVNGNKMTVKASRLMETGAQGDERRQMGVNKYDKLYSEGLDESWDMLDQEATGSKSSNSSANVNAWREPAEAEKCSSRHGQNETDTQDSSRRWSFYAMFLTNMITYDRVWVVLRRGLTMDSKLIQSMLGRNNYIAKESDFGRSLYQRLCAMGYSKHLLEVQYRMHPSISKFPNANFYDHRILDGPIVKQETYVKNYLPGPIYGAYSFIHIENDMEMLDRLGQSSKNMAEVAAAANIIERLARG >Dexi7A01G0022360.1:cds pep primary_assembly:Fonio_CM05836:7A:30677576:30678078:1 gene:Dexi7A01G0022360 transcript:Dexi7A01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSMQRKSSKPTGWITAGEAVGADGRGADEGAAFRAEEDDAPEGGERAYREAFGLLGPRLRRRREAVAAAVAEREVGLAPRGRWGRQRRRRRQGRAWGRGAAARPVLGEEARRRWGWGGVVGEGAGCVVFLRHG >Dexi2A01G0024190.1:cds pep primary_assembly:Fonio_CM05836:2A:35922524:35925249:1 gene:Dexi2A01G0024190 transcript:Dexi2A01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGLRRQRRGAQLPLLLLLVAAAAVSTAATGKGNKGAHARAAGGATRLRFRQESGTFKVVQVADMHYADGRTTDCEDVLPSQVPGCSDLNTTAFLYRVFRAEDPDLVIFTAKSMDAAIAPAIEMGLPWAAVIGNHDQEGTLSREGVMRHLVGMKNSISGLNPEGVEIDGYGNYNLEVSGVEGTSLGEKSVLNLYFLDSGDYSTVPSIPGYGWIKASQQKKYMNENPKQKEPAPGLVFFHIPLPEFSSFTAANFTGVKQEGISSASINSGFFASMVEAGDVRAAFIGHDHINDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDNGGWQGVKSIKTWKRLDDPHLSTIDSEVLWNRGSNGKKETMGAFDE >Dexi1B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:1B:20058096:20058837:1 gene:Dexi1B01G0013980 transcript:Dexi1B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMANGRDDAPTMNGGVRASAEETVRRRKKVELVREAIHGLLEEKRTDGHGEENGLAPRRQQDEEGLLTSLLTKLDALERDPDSAISEPHSLHPNHQPEISKEVELGNIAKDLNKIKRQNTITHLLLGAVIVMTAVWQVNEVSFLLWVQRKLSNPFKSLGDMIKASLKLKGRKPVIESSPLPPVGVPDVSHAHLPMLVIGSTVDGY >Dexi1A01G0026450.1:cds pep primary_assembly:Fonio_CM05836:1A:32366523:32367330:-1 gene:Dexi1A01G0026450 transcript:Dexi1A01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPARKQKRRVKWERTVRGDDIALARQEPGIGSVLQHVGDVYGVAVDAVGPWPVGVRGGADTLPCGAVALEPGPEADHPDTVTLAHASLGLDASSYHSELLDVLPNRCSVIRDASTCSSDSVRLRCSSSITARPPAWMQKCSNAVRKSGMYGLIRLLSTLRAMKDSANSSCSDAGSTSGPIAVMFAFSASPAMFIRSFDRLIPTLPRASSSWYTQWYALSDAPRSVRTTRARRNRALEPSVESSAAAPPMRKRQLGRSIARSCPM >Dexi4A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:4A:94513:95901:1 gene:Dexi4A01G0000110 transcript:Dexi4A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVVSRRSVRPPSRPRELIPLTTWDVSFLSADYIQKGLLYAPPPFSTTDRLLDHLEAALAEALAAYYPVAGRFVTEPRPGGCCSVSIDCDGQGVDLLHAVADGVAIADAIPPDADVPTGLVQSLFPLDGAVNHDGHHLPLFVVQVTDLADGVFVGFAYNHALSDGTALWGFLNVWAGIARARLLDPHSEAFSFQPPLLQRWSPGGGDLVLPFPDLESAGLIERLPPPPLRERMLHFSPESLAALKERARQELLAAGDAAGAAAVTRFQALASLVWRCVTRARRLPPEQPTVCRAAINNRARLRPQLPQEYFGNTIYAIAAGAVTAGELLERGHGWAAAAVGRAVAAHTDADIRARVAAWMAKPVVYNLRFFDATGVMMGSSPRFDMYGCDLGWGPPVAARSGRANKSDGKASLYPGRDGGIDAELVLTPEHMEHLEEDHEFWAAVTPDKAPCAPAAEGKI >Dexi6B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:6B:24871179:24872506:-1 gene:Dexi6B01G0017790 transcript:Dexi6B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYFCSTHQFKLNYEETKNLAIGEDGSYGWPRFVERSVLEPRYVTSDGYVIITCGVKVVRNNPLDEAVPSDIGRHLGVLLDSKDGSNVSFIVGGEAFAAHRAVLAARSPVFKAQLLGSMADAKMPSITLQEIAPVTFKAMLRFMYTDVLPEGEKNDEVPTTEAFYQDLLAAADRFALEPSLRQKAVGRCTSVAVGATLACAETHSCPELKEKCIDFFADEKNFKKAVLTDGFAQLVHKFPSILAELRDKVDGA >Dexi9A01G0040040.1:cds pep primary_assembly:Fonio_CM05836:9A:43916510:43918785:1 gene:Dexi9A01G0040040 transcript:Dexi9A01G0040040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDMAGLGLWAVIAAVLVAVMVIDAIVRRAHGWAREASLGAKRRAQLPPGDMGWPVVGAMWAFLWAFKSGNPNSFIGSFIRRYGRTGMYRAFMFSSPTILVTTPDACKRVLMDDENFVEGWPKATVELIGRKSFLTVHHEEHRRLRKLTAAPINGFDALSMYLGFIDRTVAESLRRWSDAGEIEFLTEMRRMTFRIIVQIFLTAADDETMLALERSYTDLNHGVRAMAINLPGFAFHKAFKARKKLVSVLQGLLNKRRVEWAKGLSRSSTDMMDRLIEAEDEHGRRLDDEEIVDILVMYLNAGHESSAHVSMWATIFLQENPEIFAKAKAEQEEIIQSIPKTQKGINLRDFRKMEYLSQVIDETLRFVNISFVSFREAAKDVFVNDYLIPKGWKVQLWSRSVHMDPEVYPDPKKFNPSRWENYSARAGTFLPFGLGTRLCPGNDLAKLEISVFLHHFLLGYKLTRTNPCCRIRYLPHPRPVDNCLAKITRVCD >Dexi8B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:8B:27500987:27502315:-1 gene:Dexi8B01G0016320 transcript:Dexi8B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLPPPPPATTNATWLILDRFVHYSRRRRGVIGGDATTSSLAEDCAGRHVRVSLRIADPPAISRLYLHWPGRPHTDLPFSKPIAIAAHRSSILFRMTVPFDDNTWWHDTPSFPVEHFVYSSPSSPSSLSPPSLISLPPCFYGGDVDPVLDKFFRQHRRQRQRIMFNAEMGILCHGGDSFTISPDMKIDLDSWRTDVVVPIGTSLCWVDYYQGMLLVDVLGDHRQVHGIRLPAQALNKCRRRYNDAGDPDPFRHVGGTDDGIIKLVCVFVKDPPSGDDFKIVTWTLVDIGKGTWRKDVDTIMRADEFFGVIYSSAAQSCLPRVQPSFPVMSLVDPDVICFLLIKEEDCNRTWMVEVNMRCKLLLSSALYINEEEEEGHPSEKDCTKGFYGHYFIPTNFSSYSSKDAITR >Dexi9B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:9B:3018058:3018919:1 gene:Dexi9B01G0005120 transcript:Dexi9B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKCSHDAEACYPPAPGGYMYPYMMESPQIRWAFIRKVYVIVLLQLLLTVAVAAAVNHVAAIGAFFRSRTLASLGAWIAVVLAPFVVMIPMICLRKRHPINLVLLTLFTICMSFSVGLSCLTAKGVIVVEAAAMTLVVVVGLTAYTFWAAKRGHDFEFLGPFLVAASLILIVFLLMRMLFPMGKTGTLVYGCIAALIFSGFIIYDTDNLIKRFSYDEYIVAAIELYLDIINLFQAILSVLEAIDG >Dexi9A01G0040500.1:cds pep primary_assembly:Fonio_CM05836:9A:44227458:44227975:-1 gene:Dexi9A01G0040500 transcript:Dexi9A01G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLILIRPYFAGVVKDKRTIWRLSIISDFFRAIVNFIRIFFLTMFSAEKTDNYRKHGSGKKWDGGPGGGGGPYGGGGGGGGGPRGPRTLSDIRSNDHSSLPACGSCCG >Dexi2B01G0025720.1:cds pep primary_assembly:Fonio_CM05836:2B:34949440:34950982:-1 gene:Dexi2B01G0025720 transcript:Dexi2B01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAPPAGYERSPVFYGGGGAPSPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMGGMGYVPGPELGRYSYGFRGSPMPVSSPWSGGALVESNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRNTCNMKKCGAPRPTPGATMSSSRKDTKEAPEGSWTCPECNNLNYPFRTACNRKGCSYNKQAPTNN >Dexi9B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:9B:5244913:5246276:-1 gene:Dexi9B01G0008590 transcript:Dexi9B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRALERRGVRAAKRQQALERGGGRAAKQHLYLIFDDWRRGYSIRKVDLSSDGFASGEGEPPAGWQMAARASGGWEMVCTGNERLPPAIFRVEAQRGLPTYFAAAFDSKILAMAPPMAPAAEDALPSVLERHFPVFDVRTRGCLFVPRMETTGADPIYIPAGGKLFAVADGTFDKLDPVNPPPVTAREMPAYGEEARDWSWLELPDPTFKRRRVTSYAVHPDDHTIFVSTKKGDAAATVTVDTADPGEWKKHGKWALPSTGARTMTPTLTPGIGHLCACDTVPADHDAGGDSQCPAWKVSKEKLLCDEPTERHVGATLLYTGSRSEFCLVQCVSIVDDRADEWSDGDLEDQYDDSGYSDLEEEDLLDHCICCVEDDGAADECKEDEQDVTSRTRRYMLRLTTFTLKYDKNGDLTTGGSCRVLYYRVPKRSTVALLSNPVAFWM >Dexi1A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:1A:11799220:11802770:1 gene:Dexi1A01G0012300 transcript:Dexi1A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATFLLHLHLLLLLSCRAPSPAFSLPPRPPVRCHSGNGCVLSNAYGAWSSDRDDCPVAAVAYPSSEPEVLAAVARASATGARVKVVSAFAHTIPKLACPSNGGGNGTTTTLLISTARLASAVEVDAAARTVTADAGAPLRAVIDAAEARGLSLAAAPYWEGVSVGGVVSTGSHGSSWWGRGGAVHDHVVGLRLVVPAEEGDGWARVLSLRRGDDLFPAALVSLGLLGVVTKITLSLEPRFKRSITYEYRDDSTIQDDFAAHASRHEFADITWYPSQHTAVYRVDDRAPLDASGDGVNDFIGFQATPIAATAALRALETSLERSKNVKGKCAMASAEAAAKRLAGNGLKNNGVLFTGYPVVGYQGKMQTSGSCAHSSAYDLLSACGWDPRFHGLFFYESTAIFSPPARFREFVLDVKRLRDVAGADALCGVDVYNGFLVRFVKASVAHLGQHEDSVVVDFNYYRATDPETPRLSEDVWEEVEQLALVKHGARPHWAKNRLVAFDGVRGKYPRWAQFAAAKRRLDPRGMFDSKWSDEVVGGEVGRSKGDGCALDGRCVCSEDRHCSPEQGYYCRPGLVFTEARVCRYSVSQNQ >Dexi1B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:1B:15213907:15221915:-1 gene:Dexi1B01G0012370 transcript:Dexi1B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQHHHQNGGDEKGSGRHAAEWRFARADAKDGALAAAGDKMSIRAVRFKISASVDARDPRPVLPLAHGDPSAFPAFRTAAEAEDAVAAALRTGKLNCYPAGVGLPDARRALAKHLSRDLPYDLSTDDIFLTAGGTQAIEVVISVLGQPGTNILLPRPGYPNYEARAALNNLEVRHFDLIPEKGWEIDLDSLEAIADKNTTAMVIINPNNPCGSVYTRDHLAKVAEVARKLGILIIADEVYGNLVFGESPFIPMGVFGDIAPVLSIGSLSKRWIVPGWRLGWVSVCDPKKILQESRILTSMTNFLNVSTDPATFIQGALPQILENTKEDFFKRIIGLLEETSEICYREIKDIKCITCPHKPEGSMFVMIPIG >Dexi5B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:5B:7369299:7375905:-1 gene:Dexi5B01G0010460 transcript:Dexi5B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSNLSDHLVQDGLPAWPSSSSLPFAPAPHSAVIIGGNHQWSQQPLTLNCADHHYSNELEVLLSAQGHSSHHAAASPLLLPHHQLSSLLMMQDLGFQWSNCSFPDTSSMPMMNGQQQGGHNNKTIKEEQPLKSRSSSCTAGTAAMSYRDVVDGGGGGGLPVMAAAGLDRAVLPSVNISRPVQKAVTWPAAPPPPLAGDAFEILASSRLCKTLLQASPVMLHNGMPLLRSEHVPYGPPAAHLQGPSSDNYREMGAAALVDGTAGGGRHWSAEHDAACEKAARPAVVPSSQAPPPKKPRVESRSSTIVPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLKSSRNKKPRTAERGASNANGGEQEETKLDLRSRGLCLVPLSCTSYVTNDWAPPNFR >Dexi9B01G0032330.1:cds pep primary_assembly:Fonio_CM05836:9B:34565212:34566965:1 gene:Dexi9B01G0032330 transcript:Dexi9B01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLYSPDGDFSPSLVCEENMDGIFGFNGGEGELPELRIDLDSLDFPLESDEIVASLMEKEKEQLIDVASEYYLQRLNSEGLVSSWRSAAIEWINKAKTRHNFGPLCFYLSVNYLDRFLSKYEPPVDKPWMKQLLSIACLSIAAKMEETVVPRCVDFQVCTENYKFNAEAITNVEIFVMSSLKWRMKSVTPFSYINYFVDKFTEGKPLSCGFASRYTELILGTLEGTKFLQFRPSEVAAAVVLSATVESHVLDFNSALIASNIPVDKENVRRCHEAMQEMGLVKKSQESNASLSIPKSPAGVLHASSFSFKTDGSQIPGSSQENNNEAYTTANKRTRLDA >DexiUA01G0009040.1:cds pep primary_assembly:Fonio_CM05836:UA:17203049:17204716:-1 gene:DexiUA01G0009040 transcript:DexiUA01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHTVAAEGGSAAVAQDHDSFEYHFHDTVAGGDWLCEQDVVDYFVHHCPTEMTQLEQWGCPWSRRPDGSVNVRRFGGMKIERTWFAADKTGFHMLHTLFQTSLQFPQIQRFDEHFVLDILVDDGQARGLVAMNMMEGTLVQIRANAVVMATGGAGRVYRYNTNGGIVTGDGMGMALSHGVPLRDMEFVQYHPTGLPGSGILMTEGCRGEGGILVNKNGYRYLQDYGMGPETPLGEPKNKYMELGPRDKVSQAFWHEWRKGNTISTPRGDVVYLDLRHLGEKKLLERLPFICELAKAYVGVDPVKEPIPVRPTAHYTMGGIETDQQCETRIKGLFAVGECSSVGLHGANRLGSNSLAELVVFGRMAGERAMERAATAGEANSAALDAQIVDVEKRLKNLVNQEGNENWSKIRDEMGLSMEEGCGIYRTPELMQKTVDKLAELQERFKRVRITDTSSVFNTDLLYTIELGHGLNVAECMAHSALARKESRGAHQRLDEGCTERDDVNFLKHTLAWRDADGTTRLDYSDVKITTLPPAKRVYGAEAEAAEKKEKANG >Dexi3A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:3A:7825327:7825895:-1 gene:Dexi3A01G0011010 transcript:Dexi3A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKIGPWGGRGGDPRDDIAAVGVAPHRLESVVIRAEGAVDAFSFVYAGVDGVKFGPTEVVKEISGTYGPYGGHAGIVRSLTFVTNAGKHGPFGEAAEGTPFSVPVQDGGRIVGFFGRSGSLLDAVAVYVHP >Dexi5B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:5B:11816242:11818451:1 gene:Dexi5B01G0014890 transcript:Dexi5B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPPAYHLDPGSGAGNNSWIVNLEGGGWCNNVKACQFRKTSRRGSSDLMEKEIPFGGIMSNSPADNPDFYNWNRVKIRYCDGASFAGEGFDKARQTFLYSLLPYHFSAENGFYFRGQRIWDATIRHLLSIGMASADQVLLTGCSAGGLAVILHCDEFQAFFPPATSGRGTTVKCLADAGLFLDATDVSGGRILRSYYSDIVAMQGESLAPNGADPSGAWRMCKSNRSACDASQMKFLQDFRDEMVATVRGFSGSRSNGLFINSCFAHCQSELPATWSNAAGGSPSIQNKGIAKSVGDWYFDRAEVKAIDCAYPCDGTCRHII >Dexi5A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:5A:23989580:23989996:-1 gene:Dexi5A01G0020140 transcript:Dexi5A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSALESAWQMLIANFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQD >Dexi7A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:7A:29318464:29319774:1 gene:Dexi7A01G0020560 transcript:Dexi7A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSTEARRDMVWVGADDPRMTRRSFSLPSVDRQRLRSRAVSMLGNFGLAGSARHSGSYKYYATLSVEGMMIKGDNVHGGKDEVVLPAVDGRAAAKRAVAKPRTPTLTPPNEPEVINAWELMAGLEDDDALTPRAAVHQSLSCDESLPHECAMEDAPPTRPEWMQGDMDDMPPVALDFDPEILSGFREALEDTPPSKPTDISSTENETPRKKETKDADECGVPMSPAAAGNMPELSGIVRARINAFQEKIERRRSIKGRDAKVSPLSPPGGERKAVVYFTSFRGVRKTFVDCCAVRSVLRSYGVRVHERDVSMHGVFKAELAELLGLAGAATLPRVFVDGKYIGGAEDVHYLHEAGELWRALEDCDAAPARKLGYMEACAACGDVRFVPCDTCYGSCKIFVEDDAGDRYRDVGEFRRCPDCNENGLIRCPFCCC >Dexi2A01G0024320.1:cds pep primary_assembly:Fonio_CM05836:2A:36045522:36046538:-1 gene:Dexi2A01G0024320 transcript:Dexi2A01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSSSSGKNGTNPLEAMGAFFSKQVDRRKLVTTEKQALSTRLSSSGDTFPGSDHRPSDRKRWMSELGADRVRVHQVVWPGTHDSATNKIGVPLVTRPFAQCQSMSVYEQLSMGTRVIDVRVQEERRVCHGVLATYAVDVVLGDVRRFLAETDSEVLILEVRTEFGHEDPPEFAKFLVDKLGEENLIHQDEAVFHKTIAELLPRRVICVWKPRKSPAPKPGEPLWSAGYLKDNWIDTDLPETKFESNLKFLGQQPPVADRRFFYRVENTVTPKADNPVLCVWPVFSTDFIDGDFVDACAGVTKARVEGTA >Dexi3B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:3B:3865025:3865901:-1 gene:Dexi3B01G0005660 transcript:Dexi3B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACSGSAPSLARVAPTLLLLLLCFAACRCRAAPPGALVTHLPGFDGAQLPSNHYAGYVTVDEKLESRLFYYLVESERDPANDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKVSSVIYLDSPVGVGLSYAKDKFTAYKTGDLKTAKD >Dexi1A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:1A:977434:982835:1 gene:Dexi1A01G0001490 transcript:Dexi1A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETSSKATKRRRFCLAKKTKFPAQPIYLSRVEAQQAAGPTALARPLPLTAEPRTPSLSLSATLDPPIGALSLSLARAAALSFPLADRLAPPGHALRMTLPPPLEPSRSCSRALLTPRTREPLSPLQTLAVAPRMLAASANLRFFPFPPVATGEGKEEGEGKEGGEEVAWSQAGARGRRTALCTVSRAPTSTTPPPETEKTCQAATLLHPAFFKPKVSPSSSPRHYSAPAGRRELALVHRRRRTPSPLSGRRAALQEPQPPLCGLAVAASRFGRRAASWRLPALAHALVRDALARTRERTHTHAHGNNRARERGERTLAGVRPCLCGTSPSAWQAYARPWPHGRWPHGIAALPQLIPRPRLVLGQAPLGHRPAQAGFGPKAEPSLRCRTDRGAHQLQGPLLTSSPGGPCADTYTKLRSGAAAGGALTEEDHFTEEDDYPTRSELKVCVEAKAAGNPSRRSTLKPACGGDVKMVIYYRAS >Dexi9B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:9B:516759:517347:-1 gene:Dexi9B01G0000850 transcript:Dexi9B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPALKRPKLEKDDDHDLAHWPRPSANGAAHAPPVASASAAANGAPPDDEDEEPMAEEAVVALIAHRERDVERCKLKLLHYQSLLDTAEMKLAEAQSRLVRYRDREPTPRQTEPKPSQPPPWQGPI >Dexi2B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:2B:5290725:5293892:1 gene:Dexi2B01G0005740 transcript:Dexi2B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFNCGKK >Dexi5A01G0033600.1:cds pep primary_assembly:Fonio_CM05836:5A:35919234:35921424:1 gene:Dexi5A01G0033600 transcript:Dexi5A01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQPAPAPATTTRRKGAAASRKWLVVQAAGEPREAELGKHRIMEMTGLPTRDLRVLDPDLSSPSTILVRDRAVVVNLEHVKAIVTATEALVLDSSSPLLALFLKDLHARVASPDVASTGLATHCCNDTDHGEGNEAAVSLCSAGNAKTLPFELKVLEVCLEHTCKCLESETLALENEAYPALDELTSKVSRLNLEHVRHIKNRLVALSGRVQKVRDELEHLLDDDMDMSEMYLTRKLASQGFTETLSRVDSNKDASNDHDMKEEEDHDDETETGRESSAYIKPDIEELEMLVEAYFVQIDGTLNKLYNLREYVDDTEDYINIMLDEKQNQLLQMGVLLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETDDDARIKNLKFWETTCGTVAGCLAIYLLAIYAGKRSKILH >Dexi4B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:4B:5639601:5640005:1 gene:Dexi4B01G0007820 transcript:Dexi4B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAEEPVTTTSNGDLQLTVPTPAVTVKEEEKASSNGNGGGGGAKKRRGPAVLLEGSRRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSAAAAAAAARGRLGRTEHVTRIPTVAVAPAPPKAEAPASLPPC >Dexi8A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:8A:8514011:8515693:-1 gene:Dexi8A01G0007500 transcript:Dexi8A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSKILGTQLNFAGSSRYATAAPTASAQKIVSLFNKKPAQKPKPAAVTSSSPDISDELAKWRIYLPDGLLDRSEVPEYLTGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAVIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLVVAVIAEIVLVGGAEYYRIINGLELEDKLHPGGPFDPLGLASDPDQAAILKVKEIKNGRLAMFSMFAFFIQAYVTGEGPVENLTKHLSDPFGNNLLTVISGAAERTPSL >Dexi5A01G0032190.1:cds pep primary_assembly:Fonio_CM05836:5A:34764977:34771639:-1 gene:Dexi5A01G0032190 transcript:Dexi5A01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPMAARAAAGGCSSAGLALFGFRPLHRAVRPGAAFSWGQSSLHGGCRSRLAHSLVDSVLDELRSRRRLRVSAKIGLQGTKELSDNKIDKRALQKGLLLEFQKDSERSLLAVVERPDGKKNWMVTDQGYIMDSLVTSLSYLVQNGILSSIKPQQVTYVVPGVMNFDSSKIDEFLEKAQDLLVEELLRRKLAKEEAEKELEEFIHLLKSAKALPMESKPPKSSWLGDEKIKQKIEALQAYAVDACDDEQRRLAGNILKSMGFTRTSSAALKLLINIGYFPVHVNLDLFRYDVRIRYTDKVLSAAEELLVDRPDSDMHIRKDLSTLKVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCLVKPRSIIDREAMHRGTSIFLPTATFPMFPERLAMDAMSLQQGKQCKSVSISVILHPDGSIAEYTVENSVIKPTYMLTYESASELLYMNLKEEEELRILQEAASIRAQWRRSQGSIDTAMIEPRIKVSNPDDPEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGADNNLALPYRGHPQSNTAVSAFSHLPEGPARSFANISVLRAAEMDFQKPITHGVLGIPGYVQFTSPIRRYVDLLAHYQVGMQVTTIVSRGKVGDEVSVVVETAHPRDDILSIREVIEDTDDAEE >Dexi6A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:6A:18930536:18931024:-1 gene:Dexi6A01G0012480 transcript:Dexi6A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSTPLGMSLRMLPALRHGTGTEQRRLAAGPQRTGCILPSGTGEGAPSGGCISLGRHGSRQHRISPGRPDDDNRSPMSHRSQTITTRLASHHATAPPPSRRRHSCLAVRRLRLVLDALCALAGPNSPSPLARSRALRPRARGCAGLQPYRGEGLCVVWYRW >Dexi1B01G0029260.1:cds pep primary_assembly:Fonio_CM05836:1B:33629055:33629615:-1 gene:Dexi1B01G0029260 transcript:Dexi1B01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRRPSGPVLSAAHYRSASPTRVKLAGAGARSTAGQSVSVSSTSTTAAARSHRRTCMCSPTNHPGSFRCSLHKERKAAAAPHGGHGHGQRPTSPPSPPSPVSSGPTTSRLGAAAGKRMGSALVRIGAVEGGEWARRALAATVRPSPAAQQSQHRRRVGGFRPRPSRLSSVSMAGDRAGDNAQ >Dexi3B01G0028140.1:cds pep primary_assembly:Fonio_CM05836:3B:23928729:23929127:1 gene:Dexi3B01G0028140 transcript:Dexi3B01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKQGTCITIQCRHAAPFVLPLPPLVSSSRAAMENMHGSMDGWMVLHVPIFSTEHKLSHSHQRMHACPWPAATSISSRPSHAIF >Dexi3A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:3A:11000154:11003165:1 gene:Dexi3A01G0014950 transcript:Dexi3A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAPKDLAGEEENMGQASDVFTVVNGDVATLSPAALNDARTEDAGNNNHQKATTTGSSTGQQKVKDN >Dexi2B01G0029760.1:cds pep primary_assembly:Fonio_CM05836:2B:38105323:38110885:1 gene:Dexi2B01G0029760 transcript:Dexi2B01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGTGDYASSGPAGHYYPPQQYPPPGSNPYAAATDAPAPGAGGYSSAPPYSVGGGYTDQTPSAPTYSQAPPPPQQHQYGAGYPAYTANPAPYPPEPYYTYTPPPTQPAVPPVPEPNPPPLPYDAPYYGGGYQPPTTGYEDEDYLNEGAYAYSGGAGSEPYGARGTAPARSGSALFDDYGRSISVPSGREERPWSGGGGAGGEERPWSGGGGAGGEERPWSGGGGGSGGGSFGAIARALPKADTHEDASGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPSTSRTLRIYQLDSLTRWEVLDSTIFAIWAKTSVDIEAKRIRLKANSYTANTMLDTVTAATVQFKEIGGDARSKESVDAGKPVVQSNEKKKGFDWMFAKPVDEVKDHWVPDEVATKCHSCAVDFSPFNRRVIYVTSLFVYSFSNAEVTQRLSMAMAASRSAAVQSHKDLARKVKEEMERNRKSSGPSSGGGGGGASGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFLVSAN >Dexi7A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:7A:29858840:29862042:1 gene:Dexi7A01G0021140 transcript:Dexi7A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPTTAARAATTHNRPLLPSPRGALAPSFLRLPPLPRAQAHAQRARLLAPAHAQRARLLAPVAAAAPAAPSTASPDAPATGFVSGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASLKAGKWQRNKYVGVSLVGKTLSILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEEALVRALDSGIVAQAALDVFTKEPPAADNKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIANVESKFPSAISETGEITVEGRVKDGVPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGAVGSVLGEENVNVSFMSVGRLAPRKHAVMAIGVDEEPSKSTLTKIGEIPAIEEFVFLKL >Dexi3A01G0024430.1:cds pep primary_assembly:Fonio_CM05836:3A:20079754:20082962:1 gene:Dexi3A01G0024430 transcript:Dexi3A01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAALRAAAADAVVTFLWVLCVSTLGASTAAVTSYLKLQGVQYALLVTVSLLSVLLFVFNVLCDALGGASFNPTGIAAFYAAGVTSPSLFAVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAIAELVLTFVITMAVLWIIVKGPRNPIIKTWMLSISTVCLVLSGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFIGAVLAAWIFKALFLAPPPKPKAKKA >Dexi9A01G0024990.1:cds pep primary_assembly:Fonio_CM05836:9A:22076965:22081179:1 gene:Dexi9A01G0024990 transcript:Dexi9A01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSADAGVLGRGRLPLLFLLLLVCSSCWLLPRCDARRTLAAAGAPATPIRAVNLGGWLLTEGWILPSLFDGIPNNDLLDGTQLQFKSVTQNKYLVADQGGGAAILADRVQASGWETFKLWRIDETTFNFRVFGNQFVGVNSTGGVVATATVPGPSETFQLVRRDSDKSRVRIRAPNGLFLQAKTMESVTADHSEDTDWGDDDPSVFVTNNVARLQGEYQICNGYGIAQATQVLRNHWSTYITENDFSFIASSGLNAVRIPVGWWIASDPNPPLPFVGGSLQALDNAFSWAEKYNLGVIVDLHAAPGSQNPYEHSATRDGSQEWGNSDANIAQTVRVIDFLANRYANSPSLLAIELLNEPWAPGATLPSLKKYYKNGYKAVRRHTSTAYVIMSNRLSISDPTELLQFAGGFSGAVLDVHYYNLFDSKFNSLTVDQNIDFVRNNRSADLAAVTNQNGRPLTFVGEWVAEWAVQGASMTDYQRFAQAQQDVYGRATFGWAYWTLKNVNNHWSMQWMIQNGYITLKN >Dexi9B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:9B:158655:168139:1 gene:Dexi9B01G0000190 transcript:Dexi9B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVGNMIFIIVCMPYLGLAEVTYQTDLFLDKNIDYAVNEHQVLLNASKCSFVSSLFPPCEESTKSTKFTSIGSNFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKALCRSHDEVTAAKMLLDKANLTGYQSHCRSYLARSQYVKLMKATITAQCGWRRRVARRELRNLKTAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADMEEAKTQENKKLQLQLQEMQLQLKDTKDLLKREHETAKEASEKAAAVPEILADTARVDELTSENERLKALHIVNFAMISLQEKLTNTEAENHVLRQQAMKARPDNMPLLNMHRKSNLANGSVHSDEQTPHSTPMEFGRGSLVERQNESVDTLINCVLENVGFSEGKPVAAITIYKCLLHWRIFETDKTNVFDRLIQIFGSAMQKQDNNSDLAYWLSNSSSLLIILQKSLKPPGSSGTTPMKRPQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKREISSVLSLVIQVTPSIESDPQVPTIFARKIFTQIFSFINAQLLNSLLVRRECCSFSNGEYVKQGLDELESWCTQAKPEYAGSAWDELKHICQAVGFLVIFKKFRISYDEVINDLCPVLSVQQMYKICTQYWDDKYNTESVSEEVLDEMRKVVNEGTAAPPDNTFLLDEEISMPLSLEEIANSMDAKEFQNVSPPQELLDNAAFQFLRS >Dexi9B01G0024470.1:cds pep primary_assembly:Fonio_CM05836:9B:20599613:20601171:1 gene:Dexi9B01G0024470 transcript:Dexi9B01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDRSTGRLSRQMGLLFVQVLPRGDGDPGSPAAAVLRCRRCRLDAASTSAILSKDFQGQYGRGYLFDRVVNITLGPNEDRHLSTGLHTVNDIYCVCCQEIIGWRYN >Dexi4A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:4A:7151199:7155886:-1 gene:Dexi4A01G0009060 transcript:Dexi4A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPNGVAKATAASARAAAGPSKSNPSTPGSIKTSKFKKRKVKANREKAAAAAGSIDEVATVAAGTAGGDASASADLPQPSHVAEASPVAQMSKPDTVAEASPVAQMPKPETVAEASPVPQTPKPATDDKGSGPASVSATDDKGSGPASVPATAEASVPKPKPAASKGNGMGAANSSGDGRVKRRGRTRRGKGKEVEEDGGSREKGKKPLGRKEERRGDNKGAGFIFMCNAKTKQECYQSRLFGLPGGKVEMVKKIRPGAKLFLYDFDLKLLYGVYKAASNGGLNLVREAFDGKFPAQVKFKIDKDCLPLPESSIKQAIKENYSARSKFDPELTARQLGHSLASVRDDHRRYYQPAAAPEPRHIPLALDHYHVPSVPELRHVPAAYHTLAPSSDSYYRSVENLAPERYADRTVADITARDTIIPRDYARLPVEISARAERLDDLYRTGGIAARGAHVEELYPPCEIAARADRVGIATRADYFEDLYHSDRLVSRAVDPLPRSTYHTAAYETNPAYAETSTRPVSARVNGPSVPVSSLYSFSGAPVYR >Dexi2A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:2A:21782093:21785638:-1 gene:Dexi2A01G0013330 transcript:Dexi2A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIQKPIVPSDLYKAIRDTLLVGLTGYSKQGQPVYAFGVGLSTFDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSALSQIKVTSQSSLPVTKMSQQYLSIFPHVQMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLYGSGRDELLKVMDYESLPHFCKREGSGSSSESFDGVDCYSYNHPFHQQLYNYVKQQSLKLDSVGPIKQCSLHVDVPSPGLEQVKIAESIESEFLSLRGGNGLTRPFNRIKIEGP >Dexi5B01G0038130.1:cds pep primary_assembly:Fonio_CM05836:5B:37392046:37397730:1 gene:Dexi5B01G0038130 transcript:Dexi5B01G0038130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEKSAAPASAAADAEGGGGAGKGGSGEEEASLKLFVGQVPKHMTEAELLALFREVAAVDEVTVIRDKATKVSRGCCFLICPSREEADKAVNAFHNKRTLTGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKQKIEYPGSYPGGLMNSRPFGNSHNPIKVPNSNATSTISSSPSSNTGGQIEGPPGANLFIYHIPQDFGDQELSNAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAISMMNGYQLGGKKLKVQLKRENNKHSKPY >Dexi6A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:6A:10992523:10993671:-1 gene:Dexi6A01G0009470 transcript:Dexi6A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDDGSLSPTHPFDKCLDETVQAEAILTALNGVASSSSSHSKDDGWASSDDEAVAMEQEDDPEADAARLSFKEHRRVHYDEYRKVKELMRTGSLVEDEADENNKVDNISDSKGVGKSAAVQGRDTSAET >Dexi1A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:1A:6267193:6268170:1 gene:Dexi1A01G0008120 transcript:Dexi1A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRSKSCEPHSKMEEHHHVGCSISNGATSCDDSATGRSSAPLSSAAAVAQCSTVSVFLAKIAGVPRLVTAVWSKNLINQSFTISIDRPADVEDEDDEGPITHKVELKPWPFWSKKGNKALDMARAGRVDLFWDLRGAKFAASSSPEPAGGYYVAVVSNDEVVLLLGDGKKDAYKRTKSRPSLEDAVLVCRRESVFGRRSFAVRARLDARRSKEHHIVVECSPAVTGAAAVREPEMWVTVDGFVVVHVKNLQWKFRGNETVLVDQAAVQVIWDVHDWLFGGPVAQAAFVFKPGAPPEIEEDSGGNGIQSEGGTDFCFCLQAWRME >Dexi6B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:6B:10459193:10460601:1 gene:Dexi6B01G0008330 transcript:Dexi6B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCYYFQALAISALLAVLLCMYIKFWRLRNPLYLYPMDWPVVGMVPSLVARLHNIHDELTAVLAASGCNFKAQGPLASGMRFFVTADPTNVRHIFTSNHTNYPKGKEFADIFDIVSGSIFTVDGEACPNDVPEHPGTMFQSILGNPRLLALMASNCRDKVANGFLPFLIRMASTRAPFDMQDLITRLVFDLTAMPIFGVDPGRLSKSMPSMHVATAMDTIMEVGLFRHTMPASFWKVMRRLNIGPERKLAVAHTVLHGFVKEMTEKRKDRRCADPSEVAGMDIISVLPVYSNELQLSKILINYMIAGRDTVGTTLPWVFYNLAKNPRVVSCIRKELALVASSKAIAGAPIAVRAGETILISIYAMGRIEALWGKDCHEYRPERWLSEDGTKLRYVPSHKFMAFNSGPRMCLVKDIAITQMKTIVAAVVWNFDMEVVEGQSIEPKLSCLLQLKNGLMMMIKQRGM >Dexi3B01G0038130.1:cds pep primary_assembly:Fonio_CM05836:3B:40966089:40966292:-1 gene:Dexi3B01G0038130 transcript:Dexi3B01G0038130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVDLRLIRRLELVVPCISPPAAALACLRARRRLLLRLLTPHPWDASIRQDEQGSALLCLELQAEE >Dexi6B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:6B:2073999:2074946:1 gene:Dexi6B01G0002370 transcript:Dexi6B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPFLHRHLGSLSFPPVLPSPRIIVPARFLHSAASTRGGDLPAAGDVVDPDYLYFLQHIRVDGDSYVLELPGNGSSPPSVLKYEAPPDSSSSDGGECVSDPSPGRLSTNRRVDERDSSASLEAATPPAGCDSLGAAVDEDYRLFLRHARLVDGQLVLEVGGVVINYDQPVVAAAPRWEKGKQRGVETAASPSPGKRGRCWSGEY >Dexi4A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:4A:4769698:4770015:1 gene:Dexi4A01G0006650 transcript:Dexi4A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSQQQKQGHLRSALVASSSPEAPPHPPCFLLPSVRHGLTGGRRVGEVGLGPSRTGVQRRQKVARWVGDSGRRGPAVLACGCGVPACRCRTAECGLGGWWRQ >Dexi8B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:8B:27496089:27497474:-1 gene:Dexi8B01G0016310 transcript:Dexi8B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRANGHNGGRKKPSPFPTRCPVTELTKPTASPPPPRVPGWMEPPPPPPPAMTNAAWLILDRFIHHSRRRRGVIGGDATTSSLAKDCAGRHVRVSLRIVDPPAVSRLHLHWPARPQIALPFTAPAAIAAHRNSILFRMTVPFDDYRWWHDTPSFPTEHFVYSASLSSSSPPSLISLPPCFYGGGIDPVLDKAVRQHRSQRQRIIFDEDMGILCHGGDNGDFTVAHLACRRKKLQLCLLHHLPSTGGVAMEWSLQKLQIPLDMTIDLSSWRNDVVIPIGRSLCWVDYYNGMLLVDVLAVSAQSKPNPQHLHGIRLPAQALKSRRLYDDAGEPDPFRHVGVTDSGIIKLVCVFADYHPHSDDDFKIITWTLVDISKGSWIKDVDTIMVADEFFGLYSSATQSCLPRVKPTFPVMSLVDPDVICFLLKKERNNLTLMVEVNMRSKVLQSSAL >Dexi9B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:9B:14352068:14352676:-1 gene:Dexi9B01G0019760 transcript:Dexi9B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDLLTQCSPCAGVVQEEDAAHLQNGEPYAGEDVAVLGEQNLIAPVSRAGSQGGNGVGGTCSRRWCARSAGDGEADLQQRLRLRLTPGFFENRQSSLRTSRVV >Dexi5B01G0028790.1:cds pep primary_assembly:Fonio_CM05836:5B:30113466:30114779:1 gene:Dexi5B01G0028790 transcript:Dexi5B01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLRKGLSLKRLLAQWSLADLSYKRRPFSSTVSFSDIDEKDGMGGEGDDDFKGPRKNKEHQFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTAGMFDQRIVADNLPMPAQWHRIAVRNEDLGAYSVQKLVKKYVKIIEFLFSSALYDIRTLAQSQFYWYEVVITMCYFSAAVYVEGDIETRVYNDRINNQLKNIPEICVRRDGKIRLLQSGESDVSKSLDELSKSSLHLKRSMFHMDMCSTSGSLSFLMDD >Dexi5B01G0033780.1:cds pep primary_assembly:Fonio_CM05836:5B:34100645:34109567:-1 gene:Dexi5B01G0033780 transcript:Dexi5B01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPEQIVREVGERLAQPRLGKDALVKLLKVTTAPFHPLLLLSHLLLDLTQDKDVKLLVAVCFIEVMRVLAPDPPFSNEILKEIFRLFISIFADLVETSSPYISRRMKILENVAALRCSMLMLNIGCEDLILDMVKVFFSSVRQGLQPSVCQAMLSIVVQILNEKVTRPLVDVILRNLVKDDKGPSHKLAVDIIEKCARILEPIICSFLSSCILNKDLPVNDLKKLHHKVIVEIFQCAPKILFAVIPNLTHELLSDQVDIRLEAVHLIGRLLALSNLHFGRENKSVFREFLRRFSDKSAEVRIAAIDAAKACYMDKSSGDEARNILTSLQGRFLDFEEKVRMRAVNTVCDLAKSNPSSFPHGVVLLAAERLRDKKELAHRSELWLLYYDRPQSMELIFAEELFPSSLSPKERATHWIQFFSYFKPEHIKALKVIFSQKRRLQSEMQAYLSLREKKDSFLKRIGHKHPMHSFFKILSVKCMYSIFNWEMICAIFETLLSSGNELTNYVESACYLLLVVAMVFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAQLAKSAHHLSFNLRNAVYPLLEQKCVEGTRAESKYAIAAITSLRPLDDQKFVKLCKKVVTGLNDKRNVPTVLQSLGSILEQSPSVYELYGKQIINSIQDILLSTEVVHFNFWTVIP >Dexi9A01G0029500.1:cds pep primary_assembly:Fonio_CM05836:9A:34392092:34392670:-1 gene:Dexi9A01G0029500 transcript:Dexi9A01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGSRILGGGGARAAAAALRHRAGMGLPVGRHIVPDKPLPTNDELLWDNGTPFPEPCIDRLAPHIGKYEALTWLCGGLSFFATLGLAAALNDKASKIPYVSAQCKRVFV >DexiUA01G0014300.1:cds pep primary_assembly:Fonio_CM05836:UA:30327747:30335494:-1 gene:DexiUA01G0014300 transcript:DexiUA01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVLVVGTGEVADRKIAFLQRAGAQVRIVDEADFDESQIDTVVLVIAATDNRELNRRISEAAQARHRLVNVVDDRPLCSFIFPSIVDRSPLLVAISSGGTAPVLARVLREKIEALLPTSLGRMAEKASFWRNHLKTRLTSVTERRRFWERVFRGRFASLMHAGNETAAQQILKDELDNPGSSGGEIILVGAGPGDAGLLTLRGLQVLQDADVVFYDHLVTDGVRELIRRDAEQICVGKRAGEHSVPQHDTNQMLVAAAKAGKTVVRLKGGDPFIFGRGGEELQAAAEAGVPFQVVPGITAASAVTAYAGIPLTHRDYAQSVTFVTGHYKADSTPFDWSHLAQSRQTLAIYMGTMKAADISEQLIQHGREATTPVAVISRGTRVDQHDLATLAKEAPMPALIVVGEVVHLHSTLACIHIIREVAAEFSNPVMMYSIGKDSSVMLHLARKAFYPGTLPFPLLHVDTGWKFREMYEFRDRTAKAYGCELLVHKNPEGVAMGINPFVHGSAKHTDIMKTEGLKQALNKYGFDAAFGGARRDEEKSRAKERIYSFRDRFHRWDPKNQRPELWHNYNGQINKGESIRVFPLSNWTELDIWQYIYLENIEIVPLYLAAERPVLERDGMLMMIDDDRIDLQPGEVIKKQMVRFRTLGCWPLTGAVESSAQTLPEIIEEMLVSTTSERQGRVIDRDQAGSMELKKPAMNTTIAQQIANEGGVEAYLHAQQHKSLLRFLTCGSVDDGKSTLIGRLLHDTRQIYEDQLSSLHNDSKRHGTQGEKLDLALLVDGLQAEREQGITIDVAYRYFSTEKRKFIIADTPGHEQYTRNMATGASTCELAILLIDARKGVLDQTRRHSFISTLLGIKHLVVAVNKMDLLNFSEEKFDEIRQSYLTFAEQLPGNLDIRFVPLSALEGDNVASQSANMPWYSGPTLLEVLETVEIQRVVDTQPMRFPVQYVNRPNLDFRGFSGTIASGTVAVGQRVKVLPSGVESTISRIVTFDGDLPQAGAGEAVTLVLKDEIDISRGDLLVDAQETLAAVQGASVDVVWMAEQPLTAGQSYDIKIAGKKTRARVDGIQFQVDINNLTQRDVTELPLNGIGLVDVTFDEPLVLDPYQQNPVTGGLIFIDRLSNVTVGAGMVREPNEQATVASEFSAFELELNALVRKHFPHWVMAAHDENVVWHPHPVTVAQREKLHGHRGVVLWFTGLSGSGKSTVAGALEEALHQQGVSTYLLDGDNVRHGLCSDLGFSDDDRKENIRRVGEVASLMADAGLVVLTAFISPHRAERQMDRFIEVFVDTPLEICEARDPKGLYKKARAGELRNFTGIDSVYEAPESPEIHLEVTVTGSAMRNSENYIITTGSEPLATDDETTWSFPGAIVGFVSWLLALGIPFLIYGGNTLFFFLYTWPFFLALMPVAVVQATNAKLKARNDQLFAEIDDLNGGQEAIEERARNELSMTKPGETFYRLDYDMAVTFSDVCAVVPAAGFGRRMQTECPKQYLSIGDKTILEHSVAALLAHPRVTRVIIAISPGDARFAQLPLANHPQITVVDGGAERADSVLAGIQAAGEAPWVLVHDAARPCLHQDDLARLLALSETSRVGAAVRQANRMVVSSEIQTEHLIHAQLPVHRNASQKAQLYY >Dexi4B01G0022280.1:cds pep primary_assembly:Fonio_CM05836:4B:23952162:23952511:-1 gene:Dexi4B01G0022280 transcript:Dexi4B01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAAQAVVAVALAAILSTPAPQADTFSNIPPTLSGGDGKAERIKHPRSAKALQCTTKCVGTCIRGGGGAPGEGPLNVRR >Dexi6A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:6A:21395072:21399123:-1 gene:Dexi6A01G0014060 transcript:Dexi6A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFSVLGALKKVRSFVAGPTAEEAAAAAADGRPIAAPMSRSGEPSPADSPPAAAAARSGGRRPIALRRQISSPQLLRCRAVSRADDEDDYEPGVQFFTPGNDFYFSDTDSVSVSTPNGINRSLTPSPLESPTWMLKHKDDSPISRKNDSFNPDSPGYGTKASLGSDGRLEQMNGSVTDSSGEGSKTQNPVDFSANIWLPPPPEDECDDIESRLFGLDDEDDDVGDSSGLLVPGSFSANKIAGVDKVINIAQKEGLKSAVLGHFRALVAQLLKAEGVDLGNDDGSKNWLDIVSSLTWQAASYVRPDIKKGGSMDPTDYVKVKCIASGDPKDSNFVKGVVCSKNVKHKRMVSEHRNAKLLILGGALEYHRVPNKLASINRILEQEKEHLKMVVGKIESRRPNVVLVEKTVSSSALELFPKDISLVLNVKRPLLDKISRCTGAQIASSVDSIASARLGHCEMFRVQKVIEFSSDKQTNRRSCKTLMFFEGCPWRLGCTVLLRGSCREELKRIKRAVQLAVFAAYHLSLETSFFADEGATLPRFPSRHVVAQPDMRNSTDNDSAASATVGMPPHGRESEQDKLSQATSVNMMFENISVSPSSLPSNEEAHGYVGDSEHTETECVDYPNSCGHCVSCATGPCNRHETSLCSLDHDLQMQPQDLHNSAKPLEVHEDELRAKKYQQVDHWNSKPHDEYILAERHDLNEFSGDYFPGTDNHQSILVSLSSTCIPKGLVCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCHACKEPSESHIRCYTHQHGSLTISVRRLRSRKLPGERDGRIWMWHRCLKCEPKDGAPPATRRIIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSPQEWLKRVAIEIFGKMESLHVEISEFLQRTEKNIVTEEETMKESVQRQIIEMKDLLKMERNEYEVSHPDFSAIFLTFIKS >Dexi1B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:1B:22454632:22458369:1 gene:Dexi1B01G0015940 transcript:Dexi1B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQAKQQRAEYLKQRGSSHGSRRSSSVKSGEFLSRKLARCWRRFITSRKTTVVLARAFDSLGINRQSVVSMAFEELAICIESPTILQTTKALLDRLESRFALSQSSSSSKPENIDHLLKHLGSPKRRILPSSAGRSKATLKKAVQNYDLNKVPRYSQRIALCAYMILGHPKSVLSGQGEREKLLLGSATNFVKEFELLVKTILDAIDGACILRQSELDVASPGCSSYDDSSSIVADRKKFRTQLVAFDKAWCAYLYHFVAWKAKDAESLEEDLIRAACRLELSMIQTCKITHEGQSDNLSGDLKAIQKQVADDQKLLKERIQHLGGEAGIERMELALSETRSKFFQAKEGRSSIATTHANIASPSVVGSSSHPTVSELRENSNMDAEKTSRVVKSLFGSSSSPSDGSKGGKLMGSTRSSAVSEKMPTENEQIVNEMLHDIHGSFPDISDGIGIVEGDFKAKVKETMEKAFWDVVADSMRGDTPDYSYLINLVKEVREALQELAPKGWEQEINDTINLEILTQLLESGSQDRQYLGQILQYSLDKLQKLCSPAKEEEMKKSHDKLLGELIENPVSDYGDPNSFVVSVIKGLRFTMEELKVQPLVATIRTGLAVPGQLQSAIPAAADSTELPECTGEQLGSMLVLRQVLVSENPKITPSELENAALELFNMLTRLLDNFAEVGTEKIIEAMMHSSASASSPSNEMMETRKQILTRVFFKSLQTDDTVFKKVCQSVYCAFRAITLGGSGEKGRKLADASLRRIGAAKLTERVVKAAQVLIKAAMVSEQVHGPWYKQLL >Dexi6B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:6B:24642925:24644250:-1 gene:Dexi6B01G0017490 transcript:Dexi6B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAAGMPPAHGGGFRGSGKGSNKPDPEEAESRRRNTNGFFVKDDEVEEEEGGGAETAAAREEEEEEEVLSESSSIGAASSDSSSIGENSASDKEDGDEEEVQSKVEGLGMMGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLKEAAATAAAKEIAKPENPFNKRRRVLAAWNRRRASCSALATAYLPPLLAPDHAVVEEDDEEGADDEDDDEQQPGGAGLRSRRPPTFPSPRLSVHTTGSQMAPRNPHSSSFRSPRSFSMTDLQNAGYN >Dexi9A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:9A:2741052:2742206:1 gene:Dexi9A01G0004980 transcript:Dexi9A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATQRGGPPPSWSDIPWDLAGRVLRLLPAYVDRVRFAAVCPQWRAAARQLLLPPPLPVLAVPDGTFYSVPYDKPFRFPGFGCADFKDAVCDRWLVFPRDDGCFLVDHFAGERVKLPCLSQVRLRPSNAASNPDIGSPYITWMSMLKETKRSLTFKKMVLCSPNLVAATVGDGSICQILMCHPGGSSWSVRAYDACMQYADMTFYQGKLYALHGGAEILFVVNISQGPRTGDPHVARIGQVINGDPDPSIRAWLPNTTMHMKKLYLVESCGALLMIRRKIFCRLVGAMVVSGQTIELQVLEADWEHSRWVKVATLGDDLMLFLGRSCSKVVRASQYGMSGDQIFFLDDVFENDEYSKYIIQGNTSVSVYDMRTGEISSPLPMV >Dexi7A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:7A:28516647:28517425:1 gene:Dexi7A01G0019260 transcript:Dexi7A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQLDGFKYPHGHSDRCSNTSAGHTASRDSLGRFRFPCPFKMEIITNVTEYEKLAKEKLPKMVYDYYASGAEDQWTLKENREAFSRIL >Dexi2A01G0024490.1:cds pep primary_assembly:Fonio_CM05836:2A:36233812:36236509:1 gene:Dexi2A01G0024490 transcript:Dexi2A01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAADDLDLLLSLGEAVPETPPSSPRAADGPESNSAFTPPRAARPGGTDMSVFCDAVKDYLEAAPESTSPPPERPKRPKASETLVDSYSGLRIKHLTLSPLEISNRFADIRFVRITAMRNSVGSDRFSGCWATAGVLLDKGVPRVSAKGTDYSIWKMGALDETEVSVFLFGDAHVHYSGAAVGSVFALFNGNVRMDNGGKGFSVSVASVGQMLKMTKGLYCKFHSSKTSQKYTTGRVELKGGNFQFASKLRSEGIYMVNRSSEGPNPRKPFQPVKVMSIDGLKRALSNADRVTTKNQSQGIRFLSHVTANTDNAKPPVQSNGSTNQQKSKFTLNKSSGSSGPKAPPKLGRKKPEQDFKRRKINNPTGNIVELDAVSSDDDEINIVLRC >Dexi5A01G0033720.1:cds pep primary_assembly:Fonio_CM05836:5A:36009387:36011238:1 gene:Dexi5A01G0033720 transcript:Dexi5A01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVAGAADYRGQPASRAATGGWKSSAFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACLADAWIGRFRAIILASLIFVVSMGALSVSSAFPAFRSGHVAIFYVALYLVALGEGAHKPCAQAFAADQFDEKDPKESVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVASLAVFLFGSWSYRYYTTSEASPFSRVAKAFLALAESWTPNRGTRSKGGDGDATAVEEVKSVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGARFKVPPAALQTFISVSIVVFIPAYDRLFVPLARRYTGRPTGITMLQRIGAGLALSLVAVAMSALVEMKRLAATAKSGTSWFSNNLNRAHLDHFYWLLTALCAVELVAFVFFSRVYVYKRKAGNEDDSDGELV >Dexi8B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:8B:4479018:4483024:-1 gene:Dexi8B01G0004820 transcript:Dexi8B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRGLMEQDQSKLDVTKLHPLTPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDTPLCDVPGFENCRMKLLRHVSFVDCPGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDEHGKLKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTTGTERASRVSKLAKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >Dexi3B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:3B:5982507:5983739:1 gene:Dexi3B01G0008560 transcript:Dexi3B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTAAPGASYWCYQCDRFVRAAAQHEEGGGSPTAAVACPSCGGGFLEEMGAPPPRAAYLRRPRPHHHHHHHHHAAAAADMRLRRARRAGGAAGGAGGDTRASPFNPVIVLRRSPAGGDAADGSPDAAAAAAAASSFELFYDDGAGSGLRPLPESMSDFLMGSGFERLLDQLAQIEAGGLTRARDNPPASKAAVESMPVVAVDAAHVAAESHCAVCKEPFELGAEAREMPCSHIYHADCILPWLALRNSCPVCRHEMPTDAPRAGAARDAAAEEEATVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNSGGAPRRISWGSRQSPSTERGAIRRILRSVFACFGRGGHSSSRASSSQSHTMPEINDAASDRSAAFSHGSRSRSTSWRLEDGHADAMVQR >Dexi1A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:1A:3310447:3312725:1 gene:Dexi1A01G0004520 transcript:Dexi1A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQLLRTSALILVNVVMAGVIVGIGAFGKRYRYHPFTRFIFFGATTLFLPIISSVISTVGTMGTLGFLWRLFLKDERKKKVKIPEAVKICIIRALGSTRNGGLSPGTTSLRQTQAGERLLWACNIKGTSDTILVWHIATCIFEYQQTTCHKQASTSNLDSHYKIAAIHLSRYCAYLMVSLPELLPDDDAWSKDLCNAVKKDADRVLAGRAAVGSSSTPEDKCRQLVELLGEGSKNEELKNGVKLGKQLIELAQGDEETWKLLAGFWSEMILYVAPSDNLKGHSEAIARGGELITLLWALLFHVGIFSRPDETDGAATSAAGDGV >Dexi5A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:5A:10006838:10007584:-1 gene:Dexi5A01G0013300 transcript:Dexi5A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLIYFENSCEVGVFARLTNAYCIVPPGASQGFYSVFDAELAGAVNVVRSSVAGTRIRSNT >Dexi7B01G0022940.1:cds pep primary_assembly:Fonio_CM05836:7B:27714328:27720165:1 gene:Dexi7B01G0022940 transcript:Dexi7B01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRTVPQELLPPDDLHPLPLPVINLGHLSLDSAIRSGVVNDIAKACRDRGYFQVINHGISQSVMDAAVEAASDFFKLPSETKEEFASEDLRQPVRYDTSSKDSISMSRAFLKHYAHPLGDWIQYWPHQPPIYREYMGKYAAEVRRVALQLMEAILEGLGLGKEYLKEKFQEGSQLLSVNCYPKASQGDATIGLAPHSDYGFLTILLTSCRGLEVVDRSTNIWKTVQQLPHALHVHIGDHMEVLSNGRMKTVVHRALLNPEEGRISIASIHGFALDDKVTCAKELVDEENPPKLTGVMVFEKTDQAKGGHTWPASPQPRLDTSALALSLRVDSPHPAYWSRPRFASTPPLPGSGALPCPALPRWIATPESQQEDGKYKTDRGGRTGSESEIDGGHTAAAAAAGTGTA >Dexi9A01G0029020.1:cds pep primary_assembly:Fonio_CM05836:9A:33769932:33771440:1 gene:Dexi9A01G0029020 transcript:Dexi9A01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTMEKLLLFHKLESDLFHRLVHDLAQDPVAMRWVIALWLWLESAGHHNFIRRVAALPGPVVLRLIDEAVACLRCLANPCQVTIAGVDDGRDRHLPCMNALMTKPIDDVGYFQGRHEILEGVIHKYRSICLAVCDAGVSTSCIPNNKGSVPAASLMVSFPSRTTLMRVAPSPLNLMATPFPLNPMATPWIPVQSPLPDDYRSLFITFSKGYPIRREDIMEFFNLVFGPCVETVMVEKVAPGQLPVYGRVILRSAAMILVVLDGQQTAKFLIKGRHLWARMYIPSSKLSDA >Dexi5B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:5B:11087579:11088292:1 gene:Dexi5B01G0014580 transcript:Dexi5B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDGPHPQVDNVPLSCDVGVYRRFRDPVPFPKAPPVITAPRQENDQAGYAVAGKLLLATAGAFAGVLLALVALHLYYTSRRRRRRRLGAGGQRRLVRSPAITGDDRGGDAPSPRGLDPAVLAAMPVVIVVDAGAGDCAVCLGELEAGEKARALPRCGHRFHVECIDAWFRGNATCPLCRADVVALGEDGAQPEVRIDVVAGDAAANASAMARLPSGTDLGKARQVFASTRFAASF >Dexi6A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:6A:3977271:3980509:1 gene:Dexi6A01G0004360 transcript:Dexi6A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASFLALHLGLVVLLLSPCCSGAAPSTSRWRPHHSHGRRLDRALRRLLLHGELEAAELEEAAAMACLVAHREVGEREGGGVGAGGGCRRRRRSPERGVPPGGLERPHQLAHPRRRCQWRIALSRPRGGGNMRAAAAARSASEPFSSAAPLPKQDSKTMVRPAYATDIDASSSSNC >Dexi8A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:8A:348988:349971:1 gene:Dexi8A01G0000490 transcript:Dexi8A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPKLSISGAALAALLHRCAAAVGDCDGLLFGRASHLPALPAALSDYDDLDAAPPAPALTISVSGHCSLSHPSSLSDPLGLFQPPFLDPSSSTLGFFSSRRRTALRPSMRELALAHSLSKALQQGHPLLLILVSPSASPNLSTHTYDYRAFLLLGARLVPAPLTVVNVGPGFRDQYHAFFPQSPMPCLSVPQPSSPAAAAPQGHPHTIGEQKAVDEMVDGFGVGRLQGLLGSGAGQAAEMDEMYAGMLRKLEKLAREVEKSNLRVLEQRVRMKQ >Dexi5B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:5B:8242082:8243997:1 gene:Dexi5B01G0011620 transcript:Dexi5B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAQAKRGKSQPLDGEETAPRGGRGVGGADLISLLPDEILGSIISFLPTEDGARTQILSSRWRHLWRSAPLNLDASGIGGRVTVEVVSRILSEHQGVARRFSVGPSILLDDYPSLTLDGWLRSPTLDNLHELDITLSPLIVSIPRFALRFSSTLRVAKFNCCQIPNDWAHQLHLPSLQHLALDTVIISDDSVHALLAGCPALDKFELRDTYKYSPGPQMLPSALHFSSILRTAEFGYCRFPAIIAHQITSLTSRVSYCKMSPSLRLPELIVENAPCLERLYHHGPQEDNMHIAIISAPKLKILGSLTDNISRLQLGTTVFKGLHDVRMDTEMRTVKVLALRLSSLRLNVTINLMRCFPCIEELYIETYEARENMKRHYSQDHIECLESLVLDVPFEMESGWRIETQRRQLQIEKRASIGAQIDFNFGDRFSYLSDDLDFRSLISDPCE >Dexi9A01G0026360.1:cds pep primary_assembly:Fonio_CM05836:9A:29226649:29230283:1 gene:Dexi9A01G0026360 transcript:Dexi9A01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPPQPPPWLSHRSLCLSVPSPTISFRAPHTKESKQLALAPGRLQHRIEAPHPPFLSVSQVPTMSRAAAFLLLVTLAVTTAPPSEASSAEGSEASVLHAFFASLPPASRRVLRPSWKATNVTSTRGRSGGGGGATRHCAFLGVECSASGAVAAVNLSGLGLSGALAESAPRVCALPALATIDLSRNQFTGPVPATLAACSKVTALHLASNNLTGEVPPELLSSSRLRKIDLSYNSLAGGISAAPHPSPVLEYIDLSVNALSGVIPTELAALPALNYLDLSCNNMSGAMPEFPERCRLVYLSLYTNKLDGQLPQSLANCGNLTTLYLSYNEIGGEVPDFLASMPNLQALYLGHNRFVGELPASIGKLVNLEELVLSDNRFTGTVPNAIGNCHSLTMLYLDRNNFTGSIPLFLGNFSSLQLFSLAHNDISGRIPTEIGKCRQLVELQLQNNSLSGSIPPEIGQLGQLQRLFLHMNNLSGEVPVELTQLRNLSEIALFRNNFTGVLPRSLGLNMTPGLVQVDFTANGFHGEIPPGLCTGGQLSVLNLGDNQFSGSLPLEILKCESLSRLILKNNLISGTIPASLGTNTGLSYMDISGNLLEGMIPGVLGSWHNLTLLDLSNNRLSGPIPHELSALSNMETLRMSSNRFTGPIPRELENCKNLLHLDLGNNFLSGRIPAEITTLGSLQYLILGANNLSGTIPDSYTATQNLIELQLSDNCLEGTIPISLGNLRYLSKALNISHNKLNSQIPSSLGKLQDLEVLDLSKNSLSGSIPSQLGNMVSLLVVNISFNQLSGELPASWAKLAAKSPDGFLGNPLLCIASDCAHDSKNQRRKLRYRSRIIIVALLMSSLVVMLAGLCAIYYIVKRSQRLSASRGSVRSLDTTEELPEDLTYDDILRATDNWSEKYVIGRGRHGLVYRTECKLGRQWAVKTVDISQCKSPIEMKILNMVKHRNIIRMDGYCIRGSMGLILCEYMPKGTLFDLLHQRKPQVALDWMTRHQIALGLAQGLSYLHHDCVPMIVHRDIKSSNILMDTELVPKLTDFGMGKIVHDEDADATVSVVVGTLGYIAPEHGYSTKLTEKCDVYSYGVVLLEILCRKLPVDPSFGDGIDIATWIRTKLKQAHHCSIIGFMDEEIMYWPKDEQENALKLLDLAVSCTQVVYQSRPSMREVVNSLMKIGK >Dexi5B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:5B:1061741:1062943:1 gene:Dexi5B01G0001660 transcript:Dexi5B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSSIPEAEWTASSSMWWSPSSPAPLYLSYGMVSLKGQRPALTDAVAAAESFTALSPPLGLDYFAVFDGGHLGPAVAERLRARLATAIADRIDGELRSETPRFAVASQDVAGWWRTIVQEAFRAVHGRQVVVVPGAVVALVLEKYVVIASSGAAKAVLSRGGEHVELASDHRLNRAWRDLVAEAGSSVHGPPPDVVVVERTARDDFLVLASDGLWDAVAPAAACALVRRRLVKTPKIARPGEPRLDARGSPTVLAGGHDNVSVVLVLFRDFWARTPWQGN >Dexi3A01G0027620.1:cds pep primary_assembly:Fonio_CM05836:3A:26451018:26457485:1 gene:Dexi3A01G0027620 transcript:Dexi3A01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTSELLRPVDPAHALDEAALLRYAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGRTTRYVLRKKPPGAILQSAHAVEREYQGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWERQYLASTGEGKPPRYQRMLDLARWLKEHVPEEDSTAASGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDATPGERASYGGFQHTGIPDGIPQLEEYLSGNASGGERAKFAGQVANTMVDCAWDFISRNKVLPEQPSRGFQISEAPWQEFDGEQGGPTKDQGKFVPSEKVMKLQKQLMKFIEDHIYPMESEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNLFIPLDSAARARKLLFDDRSLVTPESSNDLLLGAGLTNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGRIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAAKHKQQSMILVDIDTPGVHIKRPLLVFGFDDAPHGHAEITFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTVFGKRIAQQGSFLSDLAKVSMFSLIATLFCRIELEQARLLVLEAADQLDRYGNKKARGILAMAKVAAPNMALKVIDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >Dexi3A01G0029370.1:cds pep primary_assembly:Fonio_CM05836:3A:30885744:30891891:1 gene:Dexi3A01G0029370 transcript:Dexi3A01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKGGGAKAGGGAKGGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSELEAPIAIRPTSETVMSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGAREMVWQNSWAYTTRSVILTPYLIENIGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKGACESAVYTLNESGFRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRIVRRDNGAKVDIPVDNLVDEVKALLDDIQANLLKTAKEKRDACIEVIHTWDEFTIALNNKRLILAPWCDEEEVEKDVKTRTKGDLGAAKTLCTPFDQPELPEGTLCFASGKPAKKWSFWGRSY >Dexi9A01G0029610.1:cds pep primary_assembly:Fonio_CM05836:9A:34522038:34530752:-1 gene:Dexi9A01G0029610 transcript:Dexi9A01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEASCISVEVMSSSNGGGELRQQRRGRAPGARHRGCAAVPCFAAPESLPLTQEPTQIETKSKLTRVPSSLHKPPYMQATIPWPTPTIAALSCRRDEARPGLELFEEVAGEAIEVWAVAIWFRPEISVPTKRGIRNLVKQNLFLFYFDAALLGLLGADLVQRIEQCPIAPSSIIEDRRLHRDSRWEGQAGFHGSRAPPSPAAARASARALSRRQRSRVPAPASALPARELQRAARLFLALRATRLFLPPPAFLSKLQATAAASSAEEARPSFQPPGGIRGMATASPLPSPFPYPDLCSGRRRCCNLSWSFLIPHLSSRCKPSSNWYSPRWWMVVNGVSWCRGNKRKLKMLRAVQANADLATSLAASGSHIAPPSHGTTADQDPELLDEQKAANGKPMIQGQQDEMAKRDHWNDQRHPRCRIIPLLRRLPPNIQHHSGVRRHHCLVIARHRLMRPSRTGLHSTVCRRAAIFFPSLIPFTLLHHRRIVPALHHHIHNTLLLLRRRHRLGGGFHFHRLGGSAVLARPILRPSLADGTASDTRRETERIKRQQQEGEWKQKQTNIDGAYQTWVEAAAVGGGEVDEIASRRGRDEEKEGDGGMVRSGQVRSVRQLSESLRGGVAQAGCLRRF >Dexi1A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:1A:15212363:15217096:-1 gene:Dexi1A01G0013050 transcript:Dexi1A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAALLAAVVAFLLPLRLVSLALCLAFKGRSTSARHLRRSGAALAVSAALLAVIFALPRDRPGECAVPVTSVVDGEGLRSEVDQLKLQVARLESLLDNNSKVLVENGDTIEKVSDKNPLEEEDGHVMRAMRLDIQSLINEQETIKVRLIKDESRKMNSDIYSVMSLAKDATEKVEALHSDFKKVQLITGEWGKTNFNRMWSFAKNTERKVEDLYSDIKKGFKQTKRKVPFM >Dexi4A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:4A:1640708:1641676:-1 gene:Dexi4A01G0002420 transcript:Dexi4A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAAAAHGGGHHFAPPAPPFHPFAHHFPGQQHPAFQHFQEQLMAGGAPAATKQELAADDTNTINSAGSNGSAGDADNHHHNLAGGDEQNQQQQHPPMVMRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVAAGCDVVDSVAGFARRRQVGVCVLSGAGTVANVTIRQPGGGPTAAVVNLAGRFEILSLSGSFLPPPAPPSATGLTVYLSGGQGQIVGGTVAGPLVASGPVVIVAACFGNAAYERLPLDDDEPPPPPQGMAGQSSSSPPPPPLPLPSLGDHQLPHSLMNGGLPLPGDAYAWAAGTGGGGGGRVAPY >Dexi1A01G0022880.1:cds pep primary_assembly:Fonio_CM05836:1A:29476846:29478771:1 gene:Dexi1A01G0022880 transcript:Dexi1A01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASPPPPPPPSPPPAKRPKMSSSSDPEADAEPTSPSAGGGEQRRPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAQLAPQIRAYGYVRVTNSFSAKKFCDRRRYLYLLPVFALDPSAHPDREAVMASVGSGSELTKCLECSERGRKVPGVMGREGKLPSPEENGADGPVEGTMDGHDGHDESESIGAAKCDPTPSDGGNTNAHGVSGDGTEAGNDAELGSTGTGEVVPSDASIKNEENKPEATTTEEIEQGMDLKNSNGEEKPPTKSAFSYTDELKERCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRRDVRLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYCEQAEEFKVKYIFPHIAAMEQKEGAVALWLHSLNNRNYPDFRYMETVGAEAKVGAEVESTAAGSEATVGAEVESTAGGSEAKVGAEIESSSAGAEAKIGAEVESIEELQMPGDNVSE >Dexi6B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:6B:2789350:2790783:1 gene:Dexi6B01G0003410 transcript:Dexi6B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAAPAVAGGEGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPIRKSASMGNLAQLAAEGSGAGGREEGYGSDGERPHKKRGEAWTEEEHKRFLLGLNKLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDVSISLFLSASGLGAPFNHE >Dexi9A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:9A:13352616:13353560:1 gene:Dexi9A01G0018310 transcript:Dexi9A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHHAAPSPPQQPPPLLPLALLPPRHCPLAAAVLALLSVLLATALWLLLVLSPSQGSPPVASEYSALSDAGAAVVGPGAASPLSLGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGHVWLDAGAPAAPGPSAAWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGVRWVVLVDDDTVLCADNLVAVLSKYDWREMVYVGASSESHSANTYFSHSMAFGGGGVALSFPLAVALARTLDVCIERYPKLYGSDDRLHACITELGVPLTREYGFHQVSSLSFNILWELNRKAGAHTSESS >Dexi9A01G0025120.1:cds pep primary_assembly:Fonio_CM05836:9A:22990048:22990570:-1 gene:Dexi9A01G0025120 transcript:Dexi9A01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWTAATLGRTAGLARPVAAGKESRKRGIHPGARPVAAQPPILLLNRRRLGRILRELSGAHRWREAAGVVSTYLQGTGRPGSFEETRSLFVVAMEIHKQLAEGRGVRHCHMSSYYLRTKKLFDVWMRKLIWFPSCPEL >Dexi9A01G0031790.1:cds pep primary_assembly:Fonio_CM05836:9A:36704616:36707733:-1 gene:Dexi9A01G0031790 transcript:Dexi9A01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLQQEHDEMERKMQQEREEMERKLQQEREDMEHHHRTLRANTRHPSPMDPLHLAANTLTIHTSSTVVSKCNAYKEDMAPRAPSPHTRSVMVPPQWGNDAPIPPPPLHRQHQHHASCTWTCSSNLLPRLHNNSAGKPPQLHGNAPELEAPQRKLPGPTTKAALKPQSRRGEEKGNTTHLSNISHYSVKLASSTSMATHPRYTPITAAFSSTHRRPAAALVRSNGSTHEQSKQALARPRPVGAEPTLPGGGAPRRLALPAAWSARELELAWLALRWSSLPAGDEGCNRAPPSGSQKIPLRPGHIESGRRRLRAGESYNGMVRSSTVLGARAGAVDERDDGLT >Dexi9B01G0032740.1:cds pep primary_assembly:Fonio_CM05836:9B:34951384:34954446:1 gene:Dexi9B01G0032740 transcript:Dexi9B01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFESIQSENTADETDEAVRTNADDQLPDVNASEKTTVTVTEVHEETVVHVTRQVELPTTEVSQEFTVSATGGPPSIDVEASVNEGSTAKGEVPESISGGNLAIQQHVESNDDPVLDKTSDVDTNEAVIVNDAVSTDMKSDVATSEVKSDATEASKIQEQGSAPTSVDAITSDATPMDTDVTAAPVSDDGEKLAPKDDLGGKVSMYDEEHKDSDRMNEDSEPIVSKPNNQVPEVSPDLGSQIKCESISNDLSTNKKNDIEDNLNANNFDLELEVKPKMVEPSSGITSLGGDLQPLDDDKDLVKNQSFVEGKDSTANVDSYNKNSPEGSPEKLNLDRSSGDESMEEDVMEIKQVESDMKSDELIGNTELNSKDVKVVAISDSVVEASSVDTKEVIAEEKSAPSTEKRKHEAEEVVANTEPIKRQRRWTADGAKVPERQKLDQMASDAPKDVFQPALKRSFGRSDSTASADSPKERIVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGAVQNFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLIAEFVDPQEVKLKVDPPPPPPAAPVSPPSGARAPPVQQAQANQNVPRQAATLKEQLPPPPPLAKPPTADPAASTRERLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEEVAAKLAAQSKGKKE >Dexi5B01G0039320.1:cds pep primary_assembly:Fonio_CM05836:5B:38238859:38239656:-1 gene:Dexi5B01G0039320 transcript:Dexi5B01G0039320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVVLAAPLAGHVSPSCLANHWLSVPSLVGNSRFSKELQCAMPTVCPPDSVTRSVASRLILARNLSSVVTFDVGAGSASNTAVCDAGDSESRRPRGTIYAGPPAMPTESRAARATMSAQETTVAPQALSRRARRSLMALNAAGRSVRLGPASCSLFSVAVESRRMEASQPCTKQSWKWRRMRAAARPTFCFTADARKSLTIDSALGHKSNDRPDASGGYLLAAAATSAAAAVAGALSSSNSNAADAATTAVCPAMLRSS >Dexi8B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:8B:4460921:4461269:-1 gene:Dexi8B01G0004790 transcript:Dexi8B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTRTEQGHDELIRELFLRFKEQSLLSRRNSALDTPVHCTARAGHNKAVVVLLQLAEDCGESIPILGCKNEDGDTALHLAARTGITLWWSSSSRQRQSQRTR >Dexi4A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:4A:7102580:7104933:-1 gene:Dexi4A01G0009020 transcript:Dexi4A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding DETMLIWYTGKVEKQLSLNQVSKILPGQRTAIFQRYPRPDKEFQSFSLVYGHRSLDLG >Dexi6B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:6B:20091552:20094042:-1 gene:Dexi6B01G0012460 transcript:Dexi6B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYLFFLLPELRAVAPGSATPPVRRQPSSHRAPSAAAMIKRRFFRQDHGDNSASSSSSSSSGSGSDPDVAGEAATDDEVEQRQVEEEEEAVGEESGEEEEEELEQQIQEESSGYQSEDSSGNDVDGPSVDDDHSSPRDQERHEISLPDKKSSVAKAASAKSAANTDDAVEADFANCILKCKSVYKCKLCPRIMCLNEDMVRRHARSKKLLGEGRLKLMLNSDGELEEEEETHAERHARTIALAQQVQKSKKDSGRQRQNRRKK >DexiUA01G0010530.1:cds pep primary_assembly:Fonio_CM05836:UA:20888679:20889871:-1 gene:DexiUA01G0010530 transcript:DexiUA01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRGELGRQLPLRGPLKALEADIHHANAMADAIQRNYGGACVQMRLSFSSLAPFFLYLVQWFDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGK >Dexi7A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:7A:27997872:27999744:1 gene:Dexi7A01G0018660 transcript:Dexi7A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRPDVLRGKVALVTGGGSGIGLEVAAQLARHGAQVALMGRRREVLDKAVAALRSEGLTAVGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLKPKGFRTVLDIDTVGTYTMCYEAMKYLKRGASGRGPSSGGLIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRINGIAPGPIQDTPGMRKLAPEEMSVGRREIMPLFKLGEKWDIAMAALYLASDAGKYVNGATIVVDGGLWLSRPRHIPKEDVKALSKIVEKKVRASGVGVPSSKL >Dexi2A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:2A:31615341:31616798:1 gene:Dexi2A01G0019420 transcript:Dexi2A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGGAGGEAGMNSVPDGVVQHILSMLSNVRDVAACACVCRRWRDCVPYLPALFFPRNAFDAAAVARGAADDAIGRMVASVERLRELVIYCPFSMARLPGWIAARSATLRVLELRMDAAADKAAGHLDCIGLAASLEELRLWGVSLTAAPAWGRMERLRVLEVVGAPLRDSAVRDAIAACPNLTDLSLLGCDCTGTVAVDLHLLERCRLDILGAGNCSLLLTAPRLESLEIQGFTVITLRGHSLRRLSIAKSTGRVHMVNTGKLPDLDYLSLRGVQWNWAAVSSVLQCASEVKHLVMKIEFCGELVALQPFPEVDLVEFFNSHPKLHKFEIHGAMFAAMCQKNSLKSLGSSFLIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADDFFEEICKFKYMNYKKVRIE >Dexi6A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:6A:2333459:2338073:1 gene:Dexi6A01G0002430 transcript:Dexi6A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGMFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLKAEKPGLKHQRKWKSDPNYTTSWYDRGAKLFQASQYRKGACTNCGAMTHDRKSCMERPRTVGAKWTNVNIAPDEKIESFELDYDGKRDRWNGYDTSTYTRVIATYEAKEEARKKFLKEQQLKKLEEKDTEKDGENAGSEDDEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYKSFKVKKEILKSEHKDKIMEKYGNAASEDTIPRELLLGQSEREIEYDRTGRIIKGQDVSLPKSKYEEDIFINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEASEDVPVQHEEKRLATWGTDVPQDLVLDKKLLEESLKKENARRKEEKDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLN >Dexi7B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:7B:13396695:13398624:1 gene:Dexi7B01G0005850 transcript:Dexi7B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPVELDFLGLRPAADAAAADHLHGSAAASSSSSSIRGMKTSAIASIGVRQLRRVIAGGDEAPAPATMTLFYNGAVATFDAAEAIMKMAMEVTSSNNRGRVVRGDAFAAGSFAKDMPLTRTKSLQQFLQKRKERLSAAGPYQLGSGGISRVAAVATKSFHVKEEAA >Dexi6A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:6A:22915773:22916318:-1 gene:Dexi6A01G0015440 transcript:Dexi6A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYASEGLFSTKSDVFSFGVLVLEIITGKRNSGFHKYGSFLNLLGYAWQVWGERRWSALVDESLAASGCTLEMMKCVNIALLCVQENAADRPSMTDVVAMLSSKSVALSEPKHPGYFHVRVAQEETFAPPELYSANDATMSITYGR >Dexi5A01G0030920.1:cds pep primary_assembly:Fonio_CM05836:5A:33763826:33765179:1 gene:Dexi5A01G0030920 transcript:Dexi5A01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNVLLLVVLCSTFGFLARRAAGDYGEWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCFELRCDNDGASCLPGTITVTATNFCPPNYGLPNDAGGWCNPPRPHFDMAEPAFLQIAQYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSLLDGQALSFQVTASDGRCVTSNNAAPAGWQFGQTFEGGQF >Dexi2A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:2A:11812204:11818649:-1 gene:Dexi2A01G0010640 transcript:Dexi2A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKTQENRKLQQKLQELQLQSKETKDLLKREQEIAKVALEKAALVPEVQVDTTLVDKLTAENENLKALVGSLETKIDETELKFEEMKKAREEWMKKAADAEAKINEVTSTMLSFKEKVTTMEAENQLLRQQALLRTPVRTIPENASPKSNLTNGSPRSEQTTPHGTPPAPKDYGSFAQPRASFFERQHKHDSNEDLAYWLSNSSTLLIMLQKSLKAVGSTGTSPQKRPQTQTSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKDLSSLLSYAIQVPRTVKASMVRGRSFGSSSLPRGRSFSNQASYWQAMVDSLNELLKILQDNCVPSIFIRKIFTQVFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQLEAWCGEVKPEYAGSALDELRHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLEEMRTMITKESNQDTLENTFLLDDEISMPISLEEIADSMDAKEFQNVTPPQELTAIPAFQFLK >Dexi5B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:5B:1094989:1101736:1 gene:Dexi5B01G0001760 transcript:Dexi5B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRIPLKRCTAAVPAEGEPAPANAMPPAKRRRERVAPSRFKDAVPPPAKKRGAAAPPVPAPAGEVGEDRDGEVYEVEVRIAEAKGASFGPVETVVWAPERRAPSDAEVYRACRNINKSGSTSGSVLTSVSNAGSDGGDAADGGLEEKPAVVECKPKRESVDKKDDFYWPEDFVLGDVVWAKSGKKCPVWPALVIDPLQHAPEVVLNSCVAGALCVMFFGYSGAGHNRDYGWVKQGMIFPFVDYLDRFQGQPLYKLRPSKFRAAIEEAFLAERGFFDLETNGVCSPRKSANGQSDPNGFQEEAASNNEQECQSEAQVVGKSTPCCDSCGNRLPSKGSKKKKQEGEQLLCKHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDDCQIWVHVECDLTCNNMEDLENTDYFCPDCKSKRKTVVATEKMNTSNSSECASTSKEKLTGMIPVCCNGEEALYVPEKHMILCNCKSCKERMMSLNEWEKHTGSRKKNWKMSIKQKSTGEPLINLLDDIPCGSSKSSTPGIKKEELLQLQANVYSPVCAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARAVHDLTTWLCRACEHTQRKRECCLCPIKGGALKPTDIDGLWVHVTCAWFQPKVSFPVEETMEPAMGILSIPAEYFKKLQYSERNGRHITKMVSYCSFHSTPDSDNVLIVKTPEGVFSTKFFIQDGEKQTASRLVRKVTHQEKVLSVPANVSDCPSARCLTYEVLKFKKGPPEAIAHRIMGPRQHSQDFIDGLNACMLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMTVSDDRSQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCKAPNCRGYMN >Dexi9B01G0035210.1:cds pep primary_assembly:Fonio_CM05836:9B:36949122:36951030:1 gene:Dexi9B01G0035210 transcript:Dexi9B01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGPEAAGNGGIEGSADPCSSGTAGGHRLSVHQIAGGGKVVQFIRVKVAELLNRQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLVLWLLSVIGNFCSSITLAYIGTIALVTVPALYNKYQGHVDRYAGMVHRNISRHYKIVDENVISRLPRSFITEKED >Dexi5A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:5A:6257639:6259684:-1 gene:Dexi5A01G0008410 transcript:Dexi5A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEEFKLVKIQNHLLRVNIHCDGCKHKVKKLLQKIEGVYSVAIDVDNHKVSVTGDVDAETLIRKLTRGGKHAELWSQQKGGGNQGHKGNNQQKQQQQNHQQQQHLHQQNHQQQQKQGANPSKDAHNKSNNGGQKDQGKQGGVGSLMQGLKAFKNQHNNKHQLAELSSEDDEMYDDEDDEFDDDYEEELRFLGDKMSQLGFHSNNHHQNKNGNNATVNNNHNNGKKGNGAGGGGANHHQNQKNANVINMAAANAKMGGGVPNQKNPNVINMAAAANAKMANGAQRNPGAMSGILGLSHGLGAGNAAPGFQGYTGFNHPSYAAAGYGGIQQQHHLQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNSNMMMMHQPQQQPQMMYHSSPQISPYTGYYNPYNYYYHPHPGSAGYPASNGDVDTMFSDENTKGCVVM >Dexi4B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:4B:3165956:3166741:-1 gene:Dexi4B01G0004440 transcript:Dexi4B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKEGGCEISRLPEELLSAALSRSLATPQEAARAAIVSRAFRAAADSDHVWASFLPGGDLPPLASGELDDPAPSSLSKKEMFLRLSDHLVLLADGLTSLRLDKKTDAKCYMLSARALSIFRGDKPEFWRWIPHTDCSSRFAY >Dexi5B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:5B:16169886:16170821:1 gene:Dexi5B01G0016610 transcript:Dexi5B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAVAHQHQHHHPQQGGGSGLVPLAALIKEDARTERRSGGGGDVGSRICARDEDVGGSGAGGEAAEEEARRQRPLLRYGCAAQSKKGEDFFLLRTDCPRPSTSASSSVASPYPIFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGFVKTDKEFQSKGMMLQ >Dexi4B01G0021160.1:cds pep primary_assembly:Fonio_CM05836:4B:23184796:23186339:1 gene:Dexi4B01G0021160 transcript:Dexi4B01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPAMLAAAVAILAVVASLPVLLRLLSAIAAGGGKKTTTPLPPGSFGLPFIGQTLSLVNALRANTADDWLRRCVAAYGPVSRLSLFGCPTAFLVGPAANKFIFSSASVTAKTPESLARMVGRRTIREVVGDEHRRVRAMMVQFLKVDAVKRYVAAMDGEVRRHLDAEWHGRSTVAVMPSMKLLTFDVMCTAIFGLGKDADVRRELWTEFQQLVRGIWAVPINLPFTTHRRCLAASQRGRRAVAGVIQERRAKLERGESSPANDVITLMLAEGMPDEEIIDNVMFLMVAAHDTTAALLTFLLRQLEADEESYTKVFHEQEEIARSKAVTGEALTWEDLGRMRYTWAAAMETLRMIPPVFSMMRKTVEDVEYGGYLIPKGWQVIHAANMTQWDPAIFPEPGRFDPARFENASAVPPFAFVPFGGGTRVCPGNEFARVETLVAVHYIVTRFRWKLAAGCDRSFSRFPLPYPSQGLLIDIEPIQK >Dexi5A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:5A:6603996:6608341:1 gene:Dexi5A01G0008800 transcript:Dexi5A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAAVAAAVFAAVLVAAGADATGDSLADLGGAAKEIESAPGLRFMKNTCLILEVKSLGPWAKGLLRGMPAAAAGPAAMAPVAKYPLVLAEDRTRRPDVLRHLKMYEGGWNVTSKHYWASVSFTGSSGFLLAVLWFIFFGMAVVGRCYFGSRMTKGKVSHKDAVRPVSLVVFALTLVSVVLICIAVLLPVLAFFGYGMQSLLELYGPRYAVYIFVTICCKMVVALFILLGIFLILNRLGSWIFFFIAFSVIFQEWQNYTCLTQDTDLCSGNKTLTPEIYGQLVMAANVSYALYHYTPVLLSFQDCKFVRATFSAIASQYCPPVERDLGLVSAGLALIASGLILYLVWMLFADRPQREEVSDLASVSRIIPVDSSPSQ >Dexi7B01G0023590.1:cds pep primary_assembly:Fonio_CM05836:7B:28141446:28141803:1 gene:Dexi7B01G0023590 transcript:Dexi7B01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRVRSEWNLALLEDVVAPAYGHLLAAIAEELGVEPWSSMARKLYSLDFMFCIQRLEVATGSQQGKLFFQISVFRRQWSWQRFSLRLVCPWCLYPNQ >DexiUA01G0020610.1:cds pep primary_assembly:Fonio_CM05836:UA:42886119:42886451:-1 gene:DexiUA01G0020610 transcript:DexiUA01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAIADGIYHLVPADEEGVPESGANEVTVDPEPNSQLAQEGKPRSMT >Dexi2A01G0027180.1:cds pep primary_assembly:Fonio_CM05836:2A:38570354:38570746:1 gene:Dexi2A01G0027180 transcript:Dexi2A01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSQDGNHLSMYLSMKKPNHLPKDSANLVECTLSIKDQESGKHQKLTGRCQFSNNSPAWGWKKFVSLQDFKDASKGYLIKGKCCIEAEVALVGSSKKE >Dexi1B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:1B:3660662:3661687:1 gene:Dexi1B01G0004550 transcript:Dexi1B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGDEGAERERERERAAAAGSRPTPGRGRGDAVGAEISQPAKLADAAPGSCGARRSASVSPRADQTGAAAGGRGRERGEEGGKGYSGHDRPGQAKPNAESPRRRTAGEGKEGARQREGMRRARLPPAVPARAGDDDGPEVKYRGVRRRPSGRYAAEIRDPARKTPIWLGTFDSAEAAALAYDAAARSIRGAAARTNFPSPASTSAAVLPPPPPLPPPSNPVAAAAATSSHSSTVESWSGGGGGAPMAGAAAAPRAVLAAAAEEDCRSYCGSSSSVLCEDVASGAAAGGGGGDDAAAPTPRCSPLPFDLNVADPEAADEMDCRCDTLLRL >Dexi2B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:2B:29752464:29752858:1 gene:Dexi2B01G0019600 transcript:Dexi2B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPAVGHAAAGDVAEAPPLGAGNGGKGEGDGAGTGGGAAGNRRRRRGRDEVEDEEAAEGSEDGVGKEEKWLGLYSSTQSILLVGEGNFSFSLALATAFGSGGNLVATSLDTSGLPPSIASASSCAE >Dexi5B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:5B:4307646:4308888:-1 gene:Dexi5B01G0006360 transcript:Dexi5B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARCFRGDRAALTALRLRRVVSFLRLHRLYDTAHELERRTGAFFDVAHFRRLLGYFRWADASSYALGFVTPGRCSREADALIARILIFRLLDDLDGGRTYGVDALFQRIDASLDSDGHHLRKVLLAIRSDRARASRLYRLAKTKAVDVTGMQDAP >Dexi3A01G0034310.1:cds pep primary_assembly:Fonio_CM05836:3A:39505098:39507679:1 gene:Dexi3A01G0034310 transcript:Dexi3A01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEDPVPVENNLTGTSPTLGNEEQANTHADVQEKFDEEPEKPYDEESKDPVHDELENPEHADVNNEVAGEDQAIPMQQEEAANVAGEEAVAKQQDNAVPDDQKWPGWPGESVFRILVPATKVGAVIGRKGDFIKKMCEESKARIKVLEGPQGQRMNQTWCYLRLSMDC >Dexi6A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:6A:27821970:27824042:1 gene:Dexi6A01G0020320 transcript:Dexi6A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSQTDRVTAQIEFKFKIRICSVSTGNRSNRTGLPLPPGGGWAVRFGKGNPAPLPPDTRAIRRPARMAAGPTPSAAASSFRPPIPPPPPCFDYRAAVLNDTRAAAAAAGNPALAALVESGALVRVPRRRFGPVPAWRPPDFVEPEEVWILGTSHLSTESVADIELVLRAVRPDNVVVELCRSRQELGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDIGAQLVLGDRPIEITLERAWKSLTWDQKTKLVISLFLGITSTTDKPQDEKTAVSPYELYQKLSTSYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGVVGKGHMNGIVYALISDQGDLRFRDLVGRASSDTWATSLVKGLVRDTVIGLVLWALYEQLQAVL >Dexi6A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:6A:16247976:16251606:1 gene:Dexi6A01G0011410 transcript:Dexi6A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSAYDLLKAAANNFSCENIIGFGAWSTVYKISDFGIAKMLCPGWSHDNCISGTYGYIAPEYLREGILSTKVDVYAYGVILLEIIAAKKSSVPCVQGDDYVNFTEHAWHLWSTGKSFELIDLSLRTGSQITDILRCIQIALLCVQTDPADRPLMSDVVLMLSNNKITPCPKLPDGIFCQQSYSKSEATWSSSDITWPR >Dexi9A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:9A:3235572:3236481:1 gene:Dexi9A01G0005810 transcript:Dexi9A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCLWFDGGSEIGPVSCHAFGLAPSPPVRHGESATPLPCRLATECWRPRAQTARRESEPACRNARDMMADAVCLCGLCRSRRAPAVGAFGLPLLSSSNCCTYMNAMDAPATMPVVKELCGPDVVERYLESISGAGPNSAKA >Dexi2A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:2A:14694524:14694807:-1 gene:Dexi2A01G0012650 transcript:Dexi2A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVKGCVFAPYFSHDQGAAHYAAIHKVFGASNASKLLMHLPEGARSEAAVTISYEAQARLPAG >Dexi5B01G0027490.1:cds pep primary_assembly:Fonio_CM05836:5B:29006632:29008247:-1 gene:Dexi5B01G0027490 transcript:Dexi5B01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAKRKPVFVKVEQLKPGTTGHTLVAKVLSSNTVLQKGRPGAAAAGPAARPTKIAECLIGDETGCILFTARNEQGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVAEE >Dexi5B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:5B:21931959:21933389:1 gene:Dexi5B01G0019630 transcript:Dexi5B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDNSPTPIQPSPAKHQNESSSTTAQTTIHTLGDDHPPADMNGGRKRRRGRSKARRGPPIHKLGEDLLLEIFLRLPSLATLVRAALTCRAWRHAVASSPSFRRRFRAAHPPPLLGLFFEPHGPVQAPNTPVFPSFVPARRRDRDLTAAFLVAISSSPPSRTSPTKAPAGTSADVFDLGHEDMFDGRRGHYAQTLGNGQFFQHVPASSGDDESWLQDDGGMQANGFLYWVYEDWKYLVSLDTSTMEFCVTELPHSSGYSSFCVGETKDGTTCIVFSDDSDDLNIGVLMPTRGDDGVEKWVLDQIDLLDTELERVLRVGLEETRLLNDLVDEPSDLLVLAVCDGYVYLSTSEMYHDPQTPCWFLSLCLETVTLERLFRRTFDTPVHPYIMAWPPSLVGNFGRFALEGAPSH >Dexi5B01G0025890.1:cds pep primary_assembly:Fonio_CM05836:5B:27808498:27811664:1 gene:Dexi5B01G0025890 transcript:Dexi5B01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVIAIAAGEVHTLALTGDGEVYSWGRGPFGRLGTGREDDELVPTAVAPAVDEGRLWPRFVAVAAGAYHSLALDDQGSLWSWGYNLYGQLGYGDQNSLFPCLVDQFQDLDPPETLDNEAQSTTRSQTSLKLSSVKAGGMMSFAIDSLGALWMWGNCPQQTDAGEFCIASSSVPLPLWDFHGHTVVKVACGSEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGASHTAVLTNKKSFDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDAGDALYPIRVQSPETDGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPLGENFQEIHEDRAEASTSRVNDRASSELEQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDAADTDELNRLEIFYRSMLSGVKDKLLRRRVQEMVQQCIISLSAGRRAPQDQ >Dexi4A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:4A:8540858:8547636:-1 gene:Dexi4A01G0010660 transcript:Dexi4A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVALKSDSWPAEVAMTDCGGLGVRPINGGRGGALEQRESGEDGPPVSPPERVFTPPAPRMAAWRRMSSPVPLRCSTPRSIGYEDGEESDRYFSPQSEFSQDTSDTDSVSTSVGRMYTFRLGTSSPLYSPIKQLGGETSPPSRRGAHSPSYPWNSGRVSDDVDSSFMNSLPRDDEQSKDVVQPVDFESRHIWYPPPPQNEGDDLDNGFFKYDDDDDDNDVCEGIVFGDVNHDYVDGDDDDLLGTKGKHNIAHKEFLRSALHGHFRALVSQLLQGHGIDPVDGWSDIVASLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSSFIKGVVCSKNVKHKRMMSKHENPRLLLLGGALEHQKVTNKLASINSILEQEKEYLKNAVAKIEAQHPHVLLVEKSIPLDAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSVENVTSTMVGHCQTFWIERVTECSSPRMSNKKIVKTLMVFDGCPRRLGCTIVLRGKSYEELKKVKLAVQFALFAAYHLSLETSYLADEGATLPKVPSDLQLEKQIFSSSHCQQNRNEFQTIDEITSGNGCIMPCLDDSASESHLKVALVHEEHVESHSGADSSQEDYTGRINDMYPYSTKASMYDSCIPPVGITVQTYASKRTPDDLNGHRNESVGQMVNVESDLDSGWNHISDEDRVAIRDHNENHNFSPSDNPQSILVSLSIACPLRGIVCKQSQLFRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISVRTLASVKLPGEHDGKIWMWHRCLRCKPKDGVPPATQRVIMSDAARGLSFGKFLELSFSNHTTANRIASCGHSLQRDCLRFYGLGSMVAVFHYSPVDILSVNLPPSVLDFGHSTAQDWIIKEAADVASRKEHLYMEIVAKLDSIEQIIKSQNVTMNPGLYKHAADLKELVKVEWKKYDVLSKFSNIDNLQTFGPPVDVLELNRLRREFVLDINVWDRRLYMMHSLTKENCHTVPTDAQCCEKLTESLLEEPKDAISVKDGTIKNSLEQNQPSSLELSKDPTKLFSTREQNNTIGPQLGLKTNIAADVLLDSGSGPCEVQSEGVLTDELKAEKMLQKSQSSASNLSERIDLAWTGSGQFVDDPSECSMETIPVIPAALKDDPAYQKVIAPIRIKSFDSAVSSRNRLSPVDDSNTSIRRSYSQRPPKAIERTGRARSPTFMSNLSLSGMVDGEGRLLLSQNDSDIIIPIYDDEPSSMIAHAMTVPEYHSFLSPLLDENNESSILNHVVHKSSRSSLDGPIRSYGSDQPQAITGNDFKQNHLTVSFEDEDSHSIDKAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNAYFAKTLDDRFVIKQVTRTELDSFEDYAVEYFKYLTESVSSGSPTCLTKILGLYQIIAKNLRDGKELKMDVMVMENLFFNRKSVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSKDVLPTIISPDQYKKRFRKAMSKYFLTLPDQWSP >Dexi3B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:3B:13579508:13581403:1 gene:Dexi3B01G0018290 transcript:Dexi3B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLMMVKNEIESYSGPAPAMAIGAQEGGGEATQVVVRRRRREPALLAPISGGANGSGIGKPLPSITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVIDYEKELKIMENLTKEEYLASLRRHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPSPSAPVTFNPEALMMQAAAPAEHQLLQAEAHMLPHGNPFLLDHHINAAAPASSGGGGQEASLMSPCGGVRKRGSPTALGLLLKSSMFRQLVEKNSDAEEAGQGIREAAAAAVAHPEAYEYHNFFQGEDPDMCDLFSSGGGHHARDGGFQGEIACYDDGERLGNWSGFGNMSNLQ >Dexi4B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:4B:1891211:1893703:-1 gene:Dexi4B01G0002860 transcript:Dexi4B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAPPPAAAAPPPPPPASTPPLPPPVVRGADPASTPPPTAARAALLLHKRWRRRSLLHRRRPSTTTTTTITTMSTPPLPPPVVQGTDPMAAFLPHGGGRVDPAVAGRAPPLGLDVNVRYANILISSLEQSLDIRTSSHKAPSLIMSFEFTSAKESEFKLLA >Dexi4A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:4A:7388071:7393500:-1 gene:Dexi4A01G0009370 transcript:Dexi4A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGACPPPGLAFGGEYYSVVNGVCSRDGSFFGGKPVLAEAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGRAAHAVFLVFCLTTNVIVTAMLLLGGSAVANALTGINVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVVFVFLVYTSSHRLGSPRIVHDHLSAVASAARDCSAPLSHSDQACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAEEAAKGLVPPATATALMGKFGSVLLLTMLFMAVTSAGSAELVAVSTLCTYDIYRTYINPDATGKQILRVSRAVVLVFGCLMGVLAVILNLVGVSLGWMYLAMGVIIGSAVIPIALLLLWSKANAIGAILGSITGCVLGITVWLTVAKVQYGRVDLDSTGRNAPMLAGNLVSILLGGAVHAACSVAWPQGYDWESSRQITTVESVAADDELAEELKEERLVHAKRWIVKWGVAFTAVIVVLWPVLSLPAGKYSTGYFTLWAAIAIAWGTVGSAVIIFMPLVESWDTICKVCQGMFTNDAVYDRLDDMNLRLKAIMGGMPEAEERYQEMNKEKKGLGDMEMVRPASGAHPFTVVANNDDDLSRA >Dexi9B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:9B:13374037:13378642:-1 gene:Dexi9B01G0018780 transcript:Dexi9B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAGHEESLLDRSTDDADAMEEGRGEHHHHHPLSVFFRDARLAFRWDELGQEIMGIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAMSNNRDRDQINQENEHAVSDSEMEELISPEEDGASTSKSTFESDSCEVNIEQKRKNIPSVSTALLLGGVLGLLETLLLVLSAKPILGYMGVTPLAVYLMNGSTIFQQDSAMMKPALQYLVLRALGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDAVNIVLDPIFMFVFKYGVRGAAIAHVISQYFIASILLWRLRLHIDLLPPSLKHLQFGRFLKNGFLLLARVIAATFCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRVLQFALVLGLLLSILLGIGLRVGSRLFTGDQDVLHHIYIGIPVCLSVLLNQSTLWLLFLMVSIMEHQILDMLPIQWQVLVAIVSIICILTLASYSGFTGIWVALVIYMSLRMFAGFWR >Dexi3B01G0026630.1:cds pep primary_assembly:Fonio_CM05836:3B:21992537:21995130:-1 gene:Dexi3B01G0026630 transcript:Dexi3B01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPPLFGSSPRSPVPSRPMHGQGTGAPTGPPPPLPASSTPPAQTPLNGQATGAPMETPAPRRLPRSRGRTPWSGSSGTSAAAAPPSSEPSPKARKPSSLLPLVDLKERRKRLSAMMKSLQTVHATFITSDTYRRICHLEKMNEDIEDEDEGCGDEPTKCGACGDHYHANAFWIGCD >Dexi4B01G0022610.1:cds pep primary_assembly:Fonio_CM05836:4B:24141221:24142289:-1 gene:Dexi4B01G0022610 transcript:Dexi4B01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPLACVAAAEGLVGVGWAWRPRPRARSERVSPKCSHSATASAGAVCSEEHHHHHRRGIGEVLFRPVGRPGTEYGSDLEARIEKVIYACRFMTFLGIGGLLIGSVPCFLQGFVNVMNAFVEYYLHGGGKVILMLVEAIVFGTGLYELFVSNMDMSYGSNLFGLFDLPGRPKWLVIDSVNDLKTKLGHVIVMSLLVGIFEKSKRVAITSCADLFCFAASIFFSSGCLYLLSRLNI >Dexi3B01G0026640.1:cds pep primary_assembly:Fonio_CM05836:3B:22003643:22004007:-1 gene:Dexi3B01G0026640 transcript:Dexi3B01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFFKNVNRDGSWEVKPPETYVPHSEPEPAVGINKARDSMKRHKWLQEVARHSDAWLISISFYFGSFLTAEQR >Dexi9B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:9B:15448497:15451446:-1 gene:Dexi9B01G0020750 transcript:Dexi9B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFSYTSSAPQRNEDAGITLLSGPPCRGKTSLLFQFAVNRAAESGRGVVFICNKGRLESNPPFLSQGVDPSTTLLHRIQIKYIEDGDEIRKYFAAFHLLDNFPAAVIVDDFGDFFSERSCQQRYGTSRARDVAMIRALALCHNAIAHAK >Dexi7B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:7B:19570711:19571178:-1 gene:Dexi7B01G0012910 transcript:Dexi7B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIADVDEAVLEAMGFNAFAREVAEAVRDAAALVADDAALDALGFNAFARDLQETLRDMAVLDAEEAAVDAMGFNAFARDLQETVRDMAALDAEEAAVDAMGFNAFARDLQETIRDMAALDADEAALEALGFNAFARDLQETVHDMAALDADEA >Dexi9A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:9A:12560800:12561108:1 gene:Dexi9A01G0017510 transcript:Dexi9A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGGEAATDSIKLQSPLLGVTSSRPATTSGDGGHEQLESILSDEPLTLSRRMAAATAVELRRLTRLVAPAVIMYMINYLMSMSTQIFSGHLGNLEL >Dexi9B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:9B:9099156:9102287:1 gene:Dexi9B01G0013560 transcript:Dexi9B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERSDEAAPAAAGGDPRRIRNTCILAHVDHGKTTLADHLVASCGDGLLHPKLAGRLRFMDYLDEEQRRAITMKSAAVALRSRDGHRVSLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGTGKVVGKKAIKSADPQPMFVEFVLSALWKMYELVLKDGGESKRVKKLVENFQLKIPERELKNKDPKVVLQSVMSRWLPLADAVMDMVVECTPDPVAAQGIRVARLMPKREVTPEDAAGCPEVVAEAERVRKCVEACDVTVDAPVVVYVSKMFAVPYKMLPLKGVNGELLNHHGASESEECFMAFARVFSGILRAGQKVFVLSPLYDPVKGDEVQKHVQEVELQYLYEMLGQGLKPVASVGAGNVVAIQGLGQHILKSATLSSTKSCWPFSSMMFQASPMLKVAIEPSNPTDLGALIKGLKLLNRADPFVEYTVTQRGEHVLAAAGEIHLERCIKDLEERFAKVKLVVSDPLVSFKETIEGEGAALVERSKSAQEFAERTTPNGRCTVRVQVLRLPNALTKVLEESEQLLGEIVEGKTAKREGVLDPRLSQDDGDSAAMLRQRMIDAIDSDLEAISKQVDKEKLDRYRKTWLGYLERIWSLGPWQVGPNLLLSPPMKSSDSVITQDGRQGILVSGRSHVSERLGFVRESDAKDSSNLDNGESAADAPESLHLESMALRNSIVSGFQIATNAGPLCDEPMWGLAFVIEPYLFADGSDAANHSDQYNIFSGQVITAVKEACRAAVLQNHPRLVEAMYMCELTTPSEQLNPTYAVLGKRRARILDVEMQEGTSLFTVHAYLPVAESVGFSNQLRSLTAGAASALLVLSHWEAIPDDPIFTPKTQEELEEHGDGSSIVPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >Dexi3B01G0032000.1:cds pep primary_assembly:Fonio_CM05836:3B:34287133:34289058:-1 gene:Dexi3B01G0032000 transcript:Dexi3B01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAVALVLLGTWPVVLAVLERRGRLPQHTFLDFSITNFLAAVLIALTFGQIGPDTPETPNFLTQLTQVTNNWPSVLFAMAGGVTLSLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAAILGSLVHSSNAADNQEKLARNTTYEDLTEHLIDKEAPKDLEEAKLDAPEATPKPEKVEAGTAEFLVHLEEKRSIKVLGSHTLLGLAIVVFAGIFYALFAPAFNLATNDQWHVLPPNVPHLVVYTAYFYFSLACLIVSVGLNVWFLYRPMVGVPRSSVSAYLADGEGRWIALLAGMVCGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLVSMLLMFAVAMVVLMASSNHRKPL >Dexi7B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:7B:19121175:19122075:1 gene:Dexi7B01G0012320 transcript:Dexi7B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAPGKKADAKTQALKVAKAVKSGAVKKKTKKIRTSVTFHRPKTLKKPRDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Dexi4A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:4A:11393384:11396875:1 gene:Dexi4A01G0012670 transcript:Dexi4A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAWWEALVAAAAVNGGATGSSTTVVSICVFTTVLCVCLVAGHLLEENKWVNESITALIIGCIIGAIIFLLTKGKNSHILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFMTIMSFGVFGVFISVAIVSAGCYWLFPKVGFGNLDAVDYLALGAIFSSTDTVCTLQVINQDETPRLYSLVFGEGVVNDATAVVLFNAIKNLNISQLKGGLVLKVISDFLYLFATSTILGISVRALFSSTSELSSINSSGIIGLSTAYVLKALYLGRHSTDREVALMALMAYLSYMLAELLELGGILTVFFCGIVMSHYAWHNVTASSRITTNFKTSISLFGIIILLVLLGRAAFVCPISILSNNMSGSSERTPITFKHQVASRPTILHSFSNFRLKCISQHCLEDELNEFFVTHIKVVIWWAGLMRGAVSIALAYNQVLQLFYFTFSGETSDPVHAAIITSTIIVVFFTTLVFGFLTRPLISAMLPRHSRASSRGHSTGTNSPKDDFTLPFFSTDEDASGSGIVLEQAKRSISMLLERPVHTVHIYWRKFDDKFMRPIFGGPQSH >Dexi5B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:5B:11624146:11627212:1 gene:Dexi5B01G0014760 transcript:Dexi5B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEEEAFLSIIIHCRRYPRRSIVVGGALPCSPLPPPLLLPPPGAGITGAATRTAQRSPNIKATMLHHHSALVTGHPVVFCPRSRIAFSSTCNKANSRTFASTSTDHAKLLLLRRWPSTRRPRTTPPASGQSLPGYSADDADQSLNPSDNISFAAAKEAVIGIKSGLDSVGVRSELILLALPAVLGQAIDPMAQLMETAYIGRLVYFVSVSCCSPFAYVLKGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKSAIKHPSSGKLELTSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPRQVPGGFQLGITGAAISTVVSQYIITILLLRSLSKRAVLLPPRIDQLEFGGYLKSGKSFVLPLH >Dexi9A01G0037700.1:cds pep primary_assembly:Fonio_CM05836:9A:41993876:42000190:-1 gene:Dexi9A01G0037700 transcript:Dexi9A01G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPGAPRHAHHHHHHHHHSQSSGGSTSRPGGGGSGGGGGGAAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSAAPPPVSLGADARLLFSPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGVVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTARGGISSAMKLWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGATALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIVNGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDFDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGTSHFSLVLEKGEFSLGNVMNAVVSQAMVLLREKDIQLIRDIPDEIKEASAYGDQYRIQQVLSDFLLSMVQFAPTENGWVEIQVRPNVKQNSDGTDTALFIFRFACPGEGLPPDVVQDMFSNSRWSTQEGIGLSTCRKILKLMGGEVQYIRESERSFFLIILELPQPRPAASRQIS >Dexi2A01G0035630.1:cds pep primary_assembly:Fonio_CM05836:2A:45202953:45203530:1 gene:Dexi2A01G0035630 transcript:Dexi2A01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAAFSLLPPIFPCVSWLPRIPLLPCVEPEPFPAEATDCLPHVMKMVPACTGFLTNSSVTAPPSTCCDGYHAVLDNGGGICYCHMVNRDIQKLLPAPMNVTRMLSLPEDCGLGYTLDALAKNCGSFDVPPMTTPSPPAGKATPPAAV >Dexi2A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:2A:1135682:1136794:-1 gene:Dexi2A01G0001660 transcript:Dexi2A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPLMDDVIGEILLRLPSGDPASLFRASLVCKLWRGLLSDRAFLHRYRAFHRTPPVLGFFHDDLDGAHFVPLAGARSSIADPEVYWCPLDCRHGHVLFNNLETPGLVVWDPITGDRQHLCQPMNQFGEEYEFSTAAVLCASHGCDHLECRGGPYLVVFAGTDDFDYGHGLDDDDEEFSVVRASVYSSETGAWSKEVLADLGPITSGCEVMGSSLLARDMIYFTLEEGERILEYDMVRHVLSVIDSPISAGNMILIKAEDGGGLGIAEVNEDRLQLWRWKIGPWHNGHWQRGRVIKLGMMISIATGNPSGSLDLVGFAEGTGSIFVRAEDGMFIIELKPDRVRKVAKRGDLRIIHPFASFYTPGTN >Dexi4B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:4B:15327969:15329491:1 gene:Dexi4B01G0014400 transcript:Dexi4B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAQPFLPVGGEAVGFLNPAREEEQQCIQYHQSGRGDAADPFDQVPQAESQSPIAIGTSIVAACGSARDPHVPLGG >Dexi5A01G0022700.1:cds pep primary_assembly:Fonio_CM05836:5A:26809712:26812186:-1 gene:Dexi5A01G0022700 transcript:Dexi5A01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNMVCTPQVIDLTSEGGQTRGGNGTEIPEQGAQHAVRVVGNAMNIGLSDMRSYYGVGINHQHQSVHNHNPSPNLGVDSSVVFTSTVYNPSMSSTSMNRYGSHAQSFGSGNQPLPLNPVPGSMDENSRNDSIGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHPPQNRMLRPWDASFESNISSNVAPFNPSEYHSRSNWPSLEGSSIAGTNGFNSMAVHPEPAQRTNYSFPTTHIGHSWMSQAKNGIVDGVPQWEYINATINVQGRFAHSGATEMANGGFHEYQNGPSANIYQWLFCLSEQHATIMDFSRLYEVSNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKSLIVDKLRTSLYVPGTPSMSDQPSKSSLENDACIICQEEYQVKDCIGTLDCGHCYHAECVKQWLMVKNLCPICKTTALSDDRRHGQ >Dexi1A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:1A:10376258:10376722:-1 gene:Dexi1A01G0011370 transcript:Dexi1A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSRRAAMPLPPRVLARRGPRRATSWISQRLAPSCRAEADAELLLANAAAADAPSAAPSSSIFHEGVGVPGPARRLALPSRSRSGTRSLPALPEPPGSGCCRATAAPLAPARSGSSATTGSAPPGARGSMPMSVGAGFPGGHARGEGAPPRA >Dexi5B01G0021690.1:cds pep primary_assembly:Fonio_CM05836:5B:23945378:23945845:-1 gene:Dexi5B01G0021690 transcript:Dexi5B01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAMSALLSSLARRLVPLRRRKSITSSGFVATRRRSFFPCGAGYRDVVSGRPPLVVKRSSSRTLRMRKVKPGKVGERKRGHGDCKGDGDESCVWRRTILMGRRCQPLEFTGAIHYDCEGQRLWQPRTPPQSSPLSMSPARHHPSHQLGYMMDRA >Dexi5B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:5B:13061259:13062258:-1 gene:Dexi5B01G0015700 transcript:Dexi5B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHGFANTSYFQGSKISDKSHNQETTDLHKCISRIHQRTPAHEKSNLCVLVTGALGGRFDHEAANINVLYLFSDMRIVLLSDDCLIRLLPKTHRHELCIESSVEGPHCGLFPVGAPSASTTTTGLKWNLSKFLCALWFGHKLVEVPGGSKMRFGSMISTSNIVQSEKVTVQSDADLLWTISLRNLT >Dexi3B01G0032270.1:cds pep primary_assembly:Fonio_CM05836:3B:34638995:34642539:1 gene:Dexi3B01G0032270 transcript:Dexi3B01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAFHAGGRLLLPLRRSPSSPWSHVRSHLISSKPPPSAPLPPPLPPARPSPAGPAFAPPSRKAGAVGAGAGAGVVGWYLGLLDARPVLTKSVTAAAVFTAADLTSQVFLLTWFHLFLLLRCQMCTLGPEDSLDFLRTIRMASYGLLISGPTLHLWFNFISKVFPKKDVVNTLKKMFLGQAVYGPIINSVFFSYNAGLQGESVPEIIARLKRDLVPTIKSGILYWPACDFITFKFVPVHLQPLVSNSFAFLWTIYITYMASLTKADVEVATSN >Dexi7B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:7B:21276937:21277665:-1 gene:Dexi7B01G0015250 transcript:Dexi7B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARVALALCVLLLVLHGVPRRADAASYNVGDRAGWDLSADLPSWANGKTFYVGDVLVFQYSKYHTLDEVDEAGFKNCSAANAILSQSDGNTTVTLAAPGDRYFICDNELHCLSGMKLHVLVNQPAGGGGGGGGAPAGAPPSPPQAALPPSADSTDDDAGVPRLFLGGSHRTTVGPLLVAWLLVAAALLV >Dexi1B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:1B:8676582:8677379:-1 gene:Dexi1B01G0009520 transcript:Dexi1B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGEVSSPASSGVTSSSSSSPPQSPAEAEPNRRRRGCGKRRRDGGGETHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALAVKGPAAVLNFPDLAAALPRPASSSPRDVQAAAARGAAMSEPPRPPVPDASAKAVAALLPASAPACSVAGQQKQYEDGEEELEAIVELPPLDEDAAVELVTTTTLGAAASFSFHGAAQGPWCDQEWIDDGGAGYMVAAHDEMFGIWPDGDDHGWAQSESVGALLWNL >Dexi2A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:2A:6100387:6100682:-1 gene:Dexi2A01G0006410 transcript:Dexi2A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLASSNMGALPLDAVYEILLCLPAKLLCRLRAVCRPWRALLSDPQFALAHVARHPEPLIALAVAPYAGNKGDGVIVDIMDLSGQIVKRE >Dexi1A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:1A:22605839:22606693:-1 gene:Dexi1A01G0015510 transcript:Dexi1A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGKGRPDSGGWMAGLGRFGPGRPLEGYNATRQKPRKKSLSRPRRPVGPDSIHVEAHTVPEEPVTCLRPSDLNLIPQLHTTRGGSEIECSKKFMRDESTRRWVVRQNLSLIRCSQQRPRRTAAAHG >Dexi5A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:5A:5591760:5592218:-1 gene:Dexi5A01G0007540 transcript:Dexi5A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSMDLWDPFDNMFRSIVPSSATSDSETAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDRWHRVERSSGQFMRRFRLPENAKVEQVKAGLENGVLTVTVPKAEEKKPEVKSIQISG >Dexi3B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:3B:8745859:8754294:-1 gene:Dexi3B01G0012470 transcript:Dexi3B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSAVNWWEESQMRVLVLSSMFVQFLLFVFSYVRRFAIPGWIRSIMWLAYLAGDAVAIYALATLFNRHKQDQDHEGRRSGSSLLEVVWAPILLIHLGGHDGITAYNIEDNELAYSYDVCLRCTYLGRAPASALAASRACSTAASAARLGCRVKQANPRAAREGGGGAARRGRRRRGEGGRRRRGEAREEAAAPRGEGGRRRRGEDAGLPLGELLYISFDQWPTIAQYNLTGYFVRNKKHSKIMRAVSFFGCKGLLDQSWCMRSCFSSRRITELVLQYLKAGWKGRIQDAASYRRFNDSRGHWALKGNQDLGWSIKGPFDESVLLWHIATDFCFFSRSVSEHKCAFAMTSDLDLGTTSKCGKLAACKAVLCRQMSNYMMYLLFVNPEMLLPGTRRNLFTAAYKELNKILDDGNPSQRNPPSQSQQKPAPVKEKELIKRLITTMGDKTREPSEEGSNGGSKGSFIDDAWTLAQGLLKLNDDEMWEVIKSVWVEMLCFSASRCRGYLHAKALSSGGEFLSYVWLLMSYMGMETITDRLQREELPTPSRQEETNSADPLASYASNGTAPSTPKIHNSAAPSASEVHINMALVEDISTCS >Dexi1B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:1B:19796291:19801951:-1 gene:Dexi1B01G0013790 transcript:Dexi1B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAPARAAAAALLLVLAVLVTEATAEEEALAMEPMELYFSATELARMAGYGEEPVSSVSVSGHVACELCLRPGSDLLAFELPGAKVAVLCETDGPNDEVANSAFATTDEFGNFTIDLPSQLHATANLERACSVKVLQLPADSSCRLHHHPSTSYGLKLFFEEDGVRAYTTGEIRLQNSDTPHDKCVSVEERTKRR >Dexi1A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:1A:5626882:5627289:-1 gene:Dexi1A01G0007330 transcript:Dexi1A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDGGGASSSMQPAAMGYAAAAQAQQHFQPPPFWSTPTPYLFIGFAVVMALIAVALAVLLCSRRKDDEVRRGGEDQIMAVRVQLAPLDREDAVPKVLVVMAGHTSPSFLASAAPLDNKTHVAGAGRDDDGAAV >DexiUA01G0013000.1:cds pep primary_assembly:Fonio_CM05836:UA:26997966:26998716:-1 gene:DexiUA01G0013000 transcript:DexiUA01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAVLAILSKLQSVGFCADIRVPDAAAVSDPSELFNAVLAVFLREVSIGGREARPIPAALGDGRCVDLLRLFLAVRAVGGYALVTSSPGGWAPAAVSAGVDANLAAPVKLLYAKYLGALDRLIQRLEEAHGPFLDTDMRKRQKLFIGANGVDEEKALLRCDGMEQRHVMLKRKRGDMVGMLRWVREIAENAGDGGAVVAGSMDDYFSMALEVRKVVTRKKVHRGSMVNGSNFQANV >Dexi5A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:5A:1768736:1769833:-1 gene:Dexi5A01G0002560 transcript:Dexi5A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQSPFDDSSALLWWRKKKWEAWPCRIIKSLQSLCERPPSQHHLRFKKALSLVELADGLLFYTAPRQGFLRPPCATASVIRSETNGAAVPNAVRKHSKEELIAFFRDIQSSIAESSPKASRRTRKPSSGPFEEADKRKRSYGIDGDGGADDFSEKQVRKTNLEDMKVAELRELARARRMRGYSKLKRGELIDRLKGVIM >Dexi7B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:7B:13853196:13856410:1 gene:Dexi7B01G0006230 transcript:Dexi7B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQYLEQGLQGAGRSEMSLEVEKPESSESPNRLSLKRHDSLFGDAEKVSGGKYHGSEVSWVRTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKYNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSHYSIETPNSQLRRAQWLKQKLESSKVAKIVLFTLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQTEVVWISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLVVHDIGVLRAFNPWYIIQYFKRNGKDGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVVLCYIGQAAYLRKFPEDVADTFYRSIPGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVSTFSITTHLVTVVMLLIWKKHIVFVLLFYVVFACTELIYLSSILSKFIQGGYLPFCFSLVLMTLMATWHYVHVKRYWYELDHIVPTNEMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSVFLFMSIKHLPIPHVIPGERFIFRQVGPREHRMFRCVARYGYSDSLEEPKEFAGFLVDRLKMFIQEEIAFALNDAGENEEDTGSAIEAPEAQARARRSTTSVVHSEEAIESRVSSNSGRITLNANQTAEEEKLLIDREVERGVVYLMGEANVSAGPKSSILKKVVVNYIYTFLRKNLTEGHKALSIPKDQLLKVGITYEI >Dexi9A01G0026480.1:cds pep primary_assembly:Fonio_CM05836:9A:29451840:29455596:-1 gene:Dexi9A01G0026480 transcript:Dexi9A01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSGMADEKAPEAFGLSRHVAEAELEEEHAGESSVKSKLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLMGSWTAYLISILYLEYRTRRERDKADFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLAHGQVEGVAHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKSIYLLATVYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTAWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHLAAPPPMAPAPAMPPAMAFNSTGLFAPVQAPAPAPSPMMHFFHHHHRHHGRHGL >Dexi5B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:5B:22969077:22971262:-1 gene:Dexi5B01G0020760 transcript:Dexi5B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEVVGSINVLMAFEPDLRINPRQCRLLADACAHALAAVTGEVRSSLRFDERGGAKWRAIEHPLRELHRAFRDAEGYLRHCLDPRGSGGSFWARAAAVGHGTECVEQHLHGILWCVAVAVEAVEAASEIAGSDPGEIARRRTVLAKKYDGDVVEPGMFQNAHGEVYLVSRELVSRMDMAWKEDRWLLSQLLDEMKPSLTKSERRLADVLAAPRGKVHPASILLTGDYTVRRRLGGGLKEVQWMGESFAVRHFIGNAEAVEAEVAVLASVAHPNVAHAAYCFRDEERKEHYVVMDQLMPKDLGSYVKEVSCPRRRVPFPLVVAVDVMLQIARGMEHLHANKIYHGELNPSNVLVKPRQPDGYVHVKVAGFERSGTVTTTGAKASTNANANSGEDNSTCIWYAPEVLEKEEEGLDHAARRTEKADVYSFGMICFELLTGKVPFEDNHLQGDKTSKNIRAGERPLFPFQAPKYVVALTKRCWHADPAQRPAFASVCRVLRNVKRFLVMNPDQQQGGQADASHAAAAPGAADYLDIEAQLLRRIPAWQGGEGARVADVPFQMFAYRAVEREKAAGVANAGGKDRVVSDLSSEGNSLCGEENGATPDDASTVSGGTVRSRPESSEGKKTPVRKADGKAPPRQTGSQTKVKAASAVKPPSMARKTMGAKPEVAARRPTSGSGHTSD >Dexi9A01G0027200.1:cds pep primary_assembly:Fonio_CM05836:9A:31676873:31677605:-1 gene:Dexi9A01G0027200 transcript:Dexi9A01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRDARVVPSGAACRINALSTDVLLRAISFLEARQLVQTCVLSRRWRNLWRSVPRINASRDEFDGKAGTEIAYDVLFKNFINRFLMLRNPVALHEFRLQYNIPDGSNDPVADSRDANLWIRHALQSNVRLIEVFGGSIDLHLDPAVFASKFCFDGPLFLFQASLIFTSFLTVLTVQEYLY >Dexi2A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:2A:11267237:11274593:-1 gene:Dexi2A01G0010110 transcript:Dexi2A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSPCGGGGRPLQGGENYTWLLVRIDILAMLHIFLGAGLTGLHMVLFGVEGSNKLPPTRLSPGDIVCVRTSNSQGGVATSCMQGFVHNLGEDNCSITVALKSRHGDPTFSKLFGKSVRIDRLQALADALTYERNCEALMLLQKRGLQKGNASIGIVATLFGDKEDVVKMAQNDLINWDGSEVHDEALERHNYSFDTSQSKALALALNKKMPVLVIQGPPGTGKTGLLSYLIPCVVQRGERVLVTAPSNAAIDNIVEKLSSTGLNIVRVGNPSRISPSVASRSLGEIVTSRLEKFTREFERKKSGLRKDLKHCTQDDSFASGIHQLLKKLGKDYKKKEKETIREVLSNAEVILSTNIGAADPLIREIGSFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSIEAMESGLGTSLLERASSLHDELFTTMLTMQYRMHDSIASWASNEMYGGLLESSPTVASRLLIDYPLVKATWITQCALLLLDTRMPYGSLNIDCEESLDPAGSGSFYNNGEADIVAQHVLSLVQCGVHPACIAVQSPYIAQVQMLRDRLEKYPLTSGVQVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRARSHVAVVCDSSTIRNNVFLARLLRHIRQHGQVKHVEPGSLDVGSGLGFDPPALPSLG >DexiUA01G0017200.1:cds pep primary_assembly:Fonio_CM05836:UA:36333206:36334065:1 gene:DexiUA01G0017200 transcript:DexiUA01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCRRRDGRLTAEAARKTKSGSKQIQRQRMSPAQAVVHHTTHQLPRRPVPGQPALRRAGAAASPVELHGPLRRARRAGGTNWRARVGSMAAGLAEVVGGAATFSPARRRRLLPEHRSLRTIVSIHYSTEPCATALTCLGAAATVTPSSVPPVPPAAAFLPLSDFSTQLKEEDASSLLILEAESATVLVQ >Dexi9B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:9B:2716639:2718242:1 gene:Dexi9B01G0004730 transcript:Dexi9B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQRPPSSSTAAPPVPDLRRAGEQEGGGRAAHHRANLLPANAAVTAGSARMAEATQRGGPPPSWSDIPWDLAGRVLRLLPAYVDRVRFAAVCPQWRAAARQLLLPPPLPVLADAVCDRWLVFPLDDVCFLVDPFAGERVKLPCLSQVRLRPSNAASNPDIGSPYITWMSMLKETKRSLTFKKMVLCSPNLVAATFGDGSICQILMCQPGGSSWSVRAYDACMQYADMTFYQGKLYALHGGAEILFVVNISQDPRTGDPQVARIGQVINGDPDPSIRAWLPNTTMHMKKLYLVESCGALLMIRRKIFCRLVGAMVVSGQTIELQVLEADWEHSRWVKVATLGDDLMLFLGRSCSKVVRASQYGMSGDQIFFLDDVFENDEYSKYIIQGNTSVSVYDMRTGEISSTLPMVWKRKMVLATWLFPFGLK >DexiUA01G0020590.1:cds pep primary_assembly:Fonio_CM05836:UA:42873113:42877655:-1 gene:DexiUA01G0020590 transcript:DexiUA01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGFRPLPRIGRSSTMVGFIVPEAPSSNKMVTLCPSVMIKFKEGNIFSFGSWVGVADWDGILHWTLDEIAQPEPAASQAQLRHDLQRIADTEKHLARTVPGRQPRPSTLEPGYHPDSTLCGVTDLRRFSRSNANNHVEPCMNKATPQRTLADLVISDTPDGVVVHWPVMDLSTIFRDNDDSHMVSTISTEVPQNPFQTGRDLSPDATSMVLIDSYSDDAHDPKRELYARVGGSDQVNRRLAVEFEEEGGAFATPAANIVKAKHLLEGVEDHPKITTAKDLLEVAAIQTNKLDRLNGSHAEASYNLRGKLKQKDARNRLIKMRRKCDAKYDGIRAFSNDIRAYKYPAGFKESSVDKYDGNSDPNLWLRLPPNLVGSPPRASIESWHALKKEFVNNFQGSADRLGTKYNLATCKQKLDESLCDYNCRFWAKKSKCIQLKDEEIITTLQQGIHDRYEFRQFYLIDAWANVEDEEPERFGQRNHGGGNNADCKPHDGKQRQDSYSRNQGNSRKRQPEETVAALKKAPKKATAQQHKEEFEKLLHKHCPLHPDSKHKIYECYWLQSGLGAPPLEKKKKGDDGDGDDKEDKTDEGFPRVNNQVNIILGGNYGSDSRRTRKKTDPHADQVVGSPDHIHQGRPVAFLPQAWTVPLARTLIDGGSGLNVIFTKTFKMMAFDLETDLKPATMPSLVVQDLPNSWQFPHYVYLLLKMPGPNGSYECDNKAVNLAMKAAADAAQKEVLLSTLQDKLETKKAGKHVAPAYEVVAKEIDLHTSDPSKMATIGAGLDPKKEDALVNFLRANWRIFAWKPSDMPSVPKELIEHALNVGKNAKPHRQRLRRFAQDRKEAIKKELKKLLAA >Dexi7A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:7A:21338031:21340019:-1 gene:Dexi7A01G0010600 transcript:Dexi7A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEGETNRLWRIRRTLMQMLRDRGYLITDADIRFSREEFIARYGDPVSRDDLVINRTKKDDPTDQIYVFFPNEPKPGVKTIRNYVEKMKQESVFAGILVVQQALSAFARSAVQEVTQKYHLEVFQEAELLVNIKEHVLVPEHELLTPDQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >Dexi5B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:5B:2686938:2688340:1 gene:Dexi5B01G0003970 transcript:Dexi5B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLPAPAPGRAALLKAFEESRTGVRGLVESGVSTVPDIFRHTDPYASIPLAPPGASIPVVDLSLPFPAAAAAASSAARTWGFFHLINYQQQQQPGGGGGEYPARALAAVRAFNELPAAERAPHYGRAVDGGVNYSTNVDLYNSPAASWRDTIQIMLGPNRRPDLAARIPAACSAEVLEWEGIAAAAARAVMGLLSEGLGLGAAALEEASCLEGKVMACHYYPHCPEPERTMGIVPHTDPGVLTVLAQDHIGGLQVKHQDEEGRTCWVDVKPVPGALVINVGDLLQIMSNDIYPSVEHRVTLNTREEPRVSIAIFFSPGKRGDSVFYGPLPGLVSSENPPKYRNFTMGEFFGKYFSRDFASKALIEPFKL >Dexi5A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:5A:4000229:4003117:1 gene:Dexi5A01G0005350 transcript:Dexi5A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGYGGRGRVAAEAAVVDAEAAAEDMEVEAAVVGEDMEVVVGEGMEVVEAAVEVEAEAAATVAAGEVEVGVDEAVVVAAAAVAVDGKATGFMTSWCSCGNVNFARRTECNKCGAPCPSGGGGGGGGGGYNRSGGGGGGYNRSGGDYGSGGGGGFDRDGGDYNSGGRGGGGRGGYNRSGGSERGSDDHRGGRGGSEGGYNAGGYGAPPQGPPSYGGPAGDYGAPPSSYGGNNAYGLDSAVPPPNSYSGGPGSYPPSYGAPPPNQYGGGAPGGQGGLPPTYDGGYGGRPMPGGGGSGGAPPPYHGGSGGGGYTGSAAAEPAAKVKQCDADCDDTCDNARIYISNLPPDVTVEELQTLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDLRGYKISVVMAEKSAPRAPSYGHG >Dexi8B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:8B:10818708:10821961:-1 gene:Dexi8B01G0008240 transcript:Dexi8B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGLVDWRGRPVDTKKHGGVRASIFIHILVWLGNVSNIANSMNMVSYLRGTMNMGVAAASTTTTIFVAVLQMFTIPAAFIADSYIKRFYTVLIFAPIEILVLVAAFRKRNHQLIDNPNGLNQITGDNDKGVEVLGRTKGLECLDKAAIDNGQRGPWSLCTVHQVEETKIVMRMIPIFITSALGYMPASIILTFTVQKGNTMNTKLGTINVSPATLFIIPTIFQLVILVVYDRFIVPFLRKKTGYVGGVTHLQRIGIGFVAAMMASVVAAIVEMKRKRIAEENGLMDSPAAVPLSVF >Dexi4B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:4B:8910897:8912648:1 gene:Dexi4B01G0011480 transcript:Dexi4B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSYGGNVFEKEVGAGGGEGSCSWARPCDGCRAAPSVVYCRADTAYLCVSCDTRVHAANRVASRHERVRVCEACERAPAVLACRADAAALCAACDTQVHSANPLAGRHQRVPVVPLPVAAIPAASVLAEVAATTTAICDKEEEVDSWLLLSKDSDNNNSSSNNNISNSNNISDTNNTTNDNDNNNNNNNNNNNNNMYFAEVDEYFDLVGYNSYCENHINNNAEQYRMQEQQQHLVQKEFGDKEAGECVVPSQVAMANEQHGYAVVGSEQAASMTVGVSAYTDSISNSISFSSMEVGIVPDNMATDMANSNVLTPAGAISLFSDPSLQMPLHFSSMDREARVLRYKEKKKNRKFEKTIRYATRKTYAEARPRIKGRFAKRSDMEIEVDQMFSTAAMSDGSYGTVPWF >DexiUA01G0022690.1:cds pep primary_assembly:Fonio_CM05836:UA:46126343:46130013:-1 gene:DexiUA01G0022690 transcript:DexiUA01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLCSYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFYGAKVIGSWSLLNLSFFSFPCPLYQNVPLSLALSPRIFSEVNKFKPDIIHATSPGIMVIGALAISKMVYVPMVMSYHTHLPAYIPRYNLNWLLEPTWSFISKSRIFSLLFPSQLSDSHFCFKGCLHRAADLTLVPSVAIAEDFETAKVVPANRIRLWNKGVDSESFHPKYRRHEMRIRLRVMERLPGARIAFVGDGPYRAELEKMFTGMPAVFTGMLQGEELSQAYASGDVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRK >Dexi3B01G0034850.1:cds pep primary_assembly:Fonio_CM05836:3B:37522829:37523129:-1 gene:Dexi3B01G0034850 transcript:Dexi3B01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGISLVLSGDHLVVAPAAAAAAEIHHSGEGKGVSVDELKEALHRRGPDNLGCLRRRLLADGTVLGGW >Dexi9A01G0048840.1:cds pep primary_assembly:Fonio_CM05836:9A:51585563:51588256:1 gene:Dexi9A01G0048840 transcript:Dexi9A01G0048840.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGSGAHAAMAASSSAAAAAVAAALDAWWDDVNSSPLWQDRTFHALAALYGVVALVALVQLIRIECRVPEYGWTTQKVFHFLNFIVNGVRSTVFVLRRNVQLVHPEIFQHVLIDLPGLAFFTTYALLVLFWAEIYYQARAISTNGLRPAFYTINGVVYVIQVHCMVLWLLMWWKPVQTVVILSKMFFAATSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICFGCFMIRCIMMCLSAFDKEADLDVLNHPILNFFYYLV >Dexi8B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:8B:1847657:1848037:1 gene:Dexi8B01G0002640 transcript:Dexi8B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATHHQAHRDMAAWTEEAILTPELAGGEASIFFRDGGVVVVERRHRHDGRSSTSTDGSSAPGGDGCGHVAAMAATTCAICLEDSEVGDDDMSVMPCSHRFHDGCIAEWLARSRLCPCCRHALRA >Dexi8A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:8A:25714058:25721841:-1 gene:Dexi8A01G0014980 transcript:Dexi8A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGISKTVVEDLVKKVNNAIKEEKVLWKIVERDTLFMKAEFEMMQSFLKTADWEQVKNTVGRTWVSQVRELSYDAEDSVDAIVHLDTKRSLWTIWRRFWASCNCNCGAPSELELAVDAINFLKARVEEVCNRNKRYSLINNYSCSMVATSQQLACVPAIGTTAAVDILTKAWSTEQKLGGSVNLSMLINESCESLRVITLWGTRGDLGVTSIIKEAFEEEVVRQKFKSRAWLKLAHPFTPNQFIKRLAEQFYVNSCKDVGESITEVAKRRDHVKEFVQFNKHRYLVIIEDLSNMSDWQAVRTCLPYNKNGSRIVVSTQKLEIARLCAGQSYQVSELSKLPDNHSICAFFNECFKRVEVGIVHNGSSLEQSAESDLLDGRNSDEKELSELVSSARHGHRPCVICVWGFPGVGNSALVKSVWSRQESFNEEQFFKLYVDVSYPFSLAVLCRRMLSYTSKEAQQDGASRPVPEKKIADEQIINECTEFLKNNRGIVVIDGLRAKEDWDSIKKLIPEPSQTSSIIVVVTAEESVAKYCTERDDSVYRVKALAADDAIKLFEKNFQRGRTFRDNVYMKKEADYILIKCGGIPKVIMAIARYLGAQQGDTRQRKLSHLKANFIHELETNPEFVSLRDLFSWMHFKFDALPWCLKRCILYESVFSEAKRIGMRPSHFVRRWIAEGYSKCTNSKTMEEYTADLFSKLTKETASMVFSEVKRIGMRPSHFVRRWIAEGYSKCTNSKTVEEYASDLFSELTKETASMGTSLRWMDDRDLPAKEELTPSSFSSGTLAYCLCKFLGCRPDGIGNGIKVPAGIRHLKALSTLGVVNVNTAKGKDILADIRQLKQLKKLELSGIKPKNSKYLSKAVINQTNLESLSLQVVKENRSVRWDGISLPSSIRSLKLYGHLDMLSAMRFNKLRNLRKLSLEMTKLLTEKDLQILGSVQSLITLRLRVDNTQDGKLQFPPHLPANEDQSHGQNQQAPASPPVNNHQADGEHQAPDHLPVNHQADGQQQVPGRLFSKLQGSYGDTLQEALQKQLDHHPKKPALKLQVKTQSPSSQASRQ >Dexi4B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:4B:17287482:17292230:-1 gene:Dexi4B01G0015470 transcript:Dexi4B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAAAPSPAPLGAARDPPAPPRVAMACVVASEVATVLAVMRRNVRWGGVRYDGGDGGADEHLDHPLIAGLKSLRRRAAGWGGGGRWRDDVDPLLYLRPFLDVVRSDETGAPITGAALSSLHKMLSLDLVGPAAPNVAEAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALSNRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLLDLDATMVADEQIANCKGQGLGAGELDNGRSEYVCLNSSGDEVGSGTAVAQDKAMMELFGVPCMVEILQFLCSLLNVAEDIEQKGIKKKLMVGVEHFNRDKKKGFEFLQGAHLLPEKLDPHNVALFFRYTPGLDKNLLGEYLGNHDEFSIQVLHEFARTFDFKEINLDAALRLFLETFRLPVATISVVFDNVEDEEVLTGCIDGFLSVAKLAAFYRLDDVLNDLLVALCKFTTLLSSTDIDEPVTAFGEDTKARMATVAVFTIATTYGDHIHSGWRSIVDCILKLHKIGLLPSRLTGDTADDQNSSDLLPSKLASSAVASPGLTVSAPKKSYGLMGRFSQLLYYDSEEPRSQPTEQQLAAQRNASETAKKCQVGTIFTESKFLQADSLSNLARALILAAGRPQKITGSFDGEGTSVFCLELLITITLNNRDRIVLLWQGVYEHIAHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVARLVKASATHIKSQMGWRTIISLICITARHPDASDSGFETLVFIMSEGSHLSPTNFVFTVEASRQFAESRLGSTERSIHALNLMADSVNCLARWTHEVKEAGGDANRILEGIAEMWLRLVQALRKLLDELLEIAQNHSPKDFRNMEMSLLHAVKLLCKVFLQSLKDLSAQSSFGKLWLEVLDMIDRDVFGI >Dexi9B01G0039160.1:cds pep primary_assembly:Fonio_CM05836:9B:39959675:39962081:1 gene:Dexi9B01G0039160 transcript:Dexi9B01G0039160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELDHLVDMSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKAEDDRIAQEIQKKREKEGRDSELEKQKILDGNAGNSTNANGVEEMELDFKRSSRTKNRPIACGACCSVVSM >Dexi7B01G0021130.1:cds pep primary_assembly:Fonio_CM05836:7B:26279294:26281645:-1 gene:Dexi7B01G0021130 transcript:Dexi7B01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVLVAASPTAGSSAAPRRCWRAATASSSAASGVDLKALQDAIDKKSSDEVKQALDQLRELGWAKRWSSQPYVSRRTTFLRELTSIGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSVAPGLLQAAIGAFSTVFPDNQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDGKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPQLSKDQQQNLTRWAVLFAASLLKNNKAAHEALMSAMSQKANVLECIEAIENAS >Dexi9A01G0043380.1:cds pep primary_assembly:Fonio_CM05836:9A:46857209:46858631:1 gene:Dexi9A01G0043380 transcript:Dexi9A01G0043380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGDEDSGRRRPAQHRPSGGSGGGSGDLASSAKLVAEAAKLALQDHNLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLEKAEEYLHQYGRKEGGGSGQHHGEVEGKYGKKPGGGGGRYEDEEEGYKKKPGGGRYEEEEDHRKKPSGGGYGGGRYEDEDDYRKKPSTGGGYGGGRYEQEDDYKKKPTSGGYGGGRYEQEDEYKRPPSGGGGYGGGGKEDEYKRPPSGGGGYGGGRYEDEEDYRKKPSAGGYGGGGRYEDEYGKKPSGGHGGGRYEEDDGHGYKKHSGGGYGYGASSGGGHGGSYEEDDYKKKPSGHSGGRYEEEEGYKKTSGHSGGKYGKDEDDKKKKKHGDDESEGGGIGDYLKLAQGFMNKKDGEGESGGGMGDYLKLAEGFLKKR >Dexi9A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:9A:10077685:10078194:1 gene:Dexi9A01G0015150 transcript:Dexi9A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTHGAALGTTLLSAFLLAAALAADDHVVGGSSGWCIPTNPGLYRAWADNRTVYVGDNLVRLKRPVLIPCAAVLSVFRFETGLYDVVQVSRREYDDCTADDPYQIFSDGPAVVLQASTGVRYYVCTVGNYCKLGVRLYVTVQPR >Dexi9B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:9B:1758227:1763524:-1 gene:Dexi9B01G0003080 transcript:Dexi9B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSQSAGEIRFEGSSRLPSPIRVGGGGALAVVAATVRLTTPAPPPAAAPPGLPRGDSGGTGRAGFPGSGAERSVASARADAPRGEERGGREARRGAFGGGASAARQRLGWRPPPPPFQAVPTSKGQALADEYGIKFFETSAKTNLNVEQDRTIKIKAEGESETTDAQKSACCGS >Dexi9A01G0041330.1:cds pep primary_assembly:Fonio_CM05836:9A:44964362:44969769:1 gene:Dexi9A01G0041330 transcript:Dexi9A01G0041330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLSKDTHAQTRFNWPWRSQSPLSAQLLVDIPPEIELSDYRRLPSSGSESPSGLLHGEGFKEEPIPDLDIFFGRLYEYFCAKGLRCIITKWIIDILNVLFMVCCIGFFFLFVDWDTLIHLKCGVEALESGKKPCDLMKVIKHDPLVPLTLPKMITVGSMVILTTYGLTNFLKFFVQLRSTLNVRQFYYDRLKVNDLEIQTISWPRIIEKVDHFFRHRMNNSAVHSLNYFKQFPTPLVSIMAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGAIAAVSRKVVADELQVIDPEGAMCLAVHHTHYMPKRWRGKESSELVRREFETLFQYTIIMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFKRHGNRNYGSPFNAPKSLRSSQGKMEKSFLSFKSVYPLWEANADGKQFLSNLQKFKEKQIRQQALAQYQAMEASGFVAGTRGHMDDTFQQVQSAIHGHAEAVLPPVYNLGPLGLLDTEQRVHPYILDWYYMCHPPHSDTAETPQFEQAFPGTGGVSSSPPATARETSEIEEVGNWDYELYERVQSHLGASTSSALFQNAPLKHHDVEENSNSRLWDHSPAYSGAGGPHGSFLEPPEFGNRYMADPHSSKYSDNVSEGSTEDLEQSNSRSRGGWRSPQALSKTRYIEDSDIEEGLSLHFTDAHHKDEDDRHLVADHQDPMPSGLHVRIIPRSSDPV >Dexi2A01G0026940.1:cds pep primary_assembly:Fonio_CM05836:2A:38424113:38424421:1 gene:Dexi2A01G0026940 transcript:Dexi2A01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPTQEGYEVVYDGSWPPGDPYGTVHVPRRHVRMIKPSPSSLPPSCAPSSSASDTIATTAAARKEMRPAPRPTTAGKSLQLIRGLWPELQRQAQAAFLGY >Dexi3A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:3A:7371199:7371601:-1 gene:Dexi3A01G0010310 transcript:Dexi3A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPRPFTKSTMEALKIAIEQGNKLKTQKKAKVAPAPMGMTASEDQAMARSLTSTKSAKNLVLALLPVPATMALYMAFAPSPP >Dexi2A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:2A:26557983:26558270:-1 gene:Dexi2A01G0015500 transcript:Dexi2A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNFLGWCREFEISDVHPLRCHTLRVAGRTRRRARQEIASSLDLSRSRLAAGREHELGSPWLGRRLSAGSFAAESLPLGRWSPCLCSWELASP >Dexi9B01G0025230.1:cds pep primary_assembly:Fonio_CM05836:9B:25225143:25229281:1 gene:Dexi9B01G0025230 transcript:Dexi9B01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPYLARPCLLLAGGGEATAAAFELEEATIDSIHRAFGAGELTCRGLVELYLRRIASLDPALHAVIELDPDGALAAADRADAAARSRSSALPPLHGIPVLLKDNIAAAGPLNATAGSLAMVGSRPERDAGVVERLRNAGAVLLGTASLSEWCNFRGPGIPAGWSPRGGQGKNPYVPSATPCSSSSGSAIAAAANMAAMTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGPITRTVSDAVCVLEAIVGYDDRDAEATRKASQYIPEGGYRQFLNIDGLRSKSKMGAILVDNLEIPSMNVINDAVQSGERALMLAEFKLSLNSYLSELATSPVRSLSDIINFNNKHPIEERMAEFGQDYLIQSEATNGIGRTEERAIARLNKLCKRGLGKVMQDNQLDAIVAPGASAHSLLAIGGYPAITIPAGYASNGVPFAICFGGLKGSEPKLIEVAYSFEQATKVRKPPSLQHSVI >Dexi2A01G0028030.1:cds pep primary_assembly:Fonio_CM05836:2A:39350081:39350660:1 gene:Dexi2A01G0028030 transcript:Dexi2A01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFPAMAVVLLLGAGDDVAIKEAFEWAIGVPDVVSAGAEITRYLNDIASYKLGKNQEDVASSVECYAREHGVTVEDAAAAIAGMAERAWRRINGVSMGDVPVAVLPAAELVVNLARTMEVMYLGGRDAYTFGADLKGLIAALFLEPVPI >Dexi4A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:4A:19295277:19300381:-1 gene:Dexi4A01G0015880 transcript:Dexi4A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGGVLLLALAAAAIVISSSPANAAVSYDHKALIINGQRCVLISGSIHYARSTPEMWPDLLHKAKDGGLDVVQTFVFWNGHEPVQGQYYFGDRFDLVRFVKLAKHAGLYVHLRIGPYACAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSVMKTEGLFEWQGGPIILAQVENEYGPMESVMGSGAKPYANWAAKMAVATGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNSNSKPTMWTEAWSGWFTAFGKPVPHRPVEDLAFAVAGFIQKGGSFVNYYMYHGGTNFDRTSGGPFISTSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTVQSIGNYEKVLNEIYLAYVFKSSSGACAAFLSNYKTNAAASVVFNGRRYNLPAWSISVLPDCKTAVFNTATVQETSAPATMSPAAGGFSWQSYSEATNALDDRAFTKDGLVEQLSMTVNIDSNEQFLKSGKWPQLTIYSAGHALQVFVNGESYGAAYGGYGSPKLRYSRYVKMWQGSNKISILSATVGLPNQGAHYESWNVGVLGPVTLSGLNEGKRDLSNQKWTYQVGLHGESLGVHSAAGTSSVEWGSAAGNQPLTWHKAYFNAPAGSAPVALDMGSMGKGQAWVNGHNIGRYWTYEASGDGCGGCGYAGTYRETKCQTNCGDISQRYYHVPRSWLNPRGNLLVVLEEFGGDLSGVKLVTRTT >Dexi1A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:1A:9226762:9228849:-1 gene:Dexi1A01G0010690 transcript:Dexi1A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAAFEERVRQMEDSRNHRLALLHAEKEIQAAKSRILAAKLAAARRLERRRFLLERRAADLASRALAARADIDTARLRRLAVARDISSVKDEIEEAERREEDWDRFYEAKRKEMEEFRGVSQRFEAETREEVQRLRDLVSQLKSTLQELQSGEMYSNNAEIAAAEARKSDLIAKKAKMDESLASARQFRALLQQQLQKAFQSQVGDSKTAQATV >Dexi7B01G0004820.2:cds pep primary_assembly:Fonio_CM05836:7B:11798745:11799059:1 gene:Dexi7B01G0004820 transcript:Dexi7B01G0004820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEPWYPTMLLASTPMAKDPAMADTAVATIRSLLVRARHCSYPGLLEPTNVHSGPGAAAVEFAGVQSRKVHGPPESVRTAALTEMM >Dexi7B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:7B:11797764:11798741:1 gene:Dexi7B01G0004820 transcript:Dexi7B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSGMSAMVTNAGTPSLASPHSISLATRIIMAPTSTRDGPTAHGGTLMSTGPKKSDAMKHPATVIAVNPVRPPSLIPAADSTYVVTVELPSPAESTVDPASTRNAVYSPSNDPSPSSATNPANSAMEYIVPVVSSTSTSVTNATHTLPCRNPAKPSLPAVSKPAFPAGVSGNTVTVVPLIHDTTVTNTMPYTMLPLTSWARHVAVMRNPTAPSQKVGLRMVVPATQAPVVGSTLEQAARVTSVDGLAPTSPTPWWAWRPVKARKSPIPTAEASRMFRGIIRASLDLRPTAESARKTTPSSMTTASAVL >Dexi2A01G0024890.1:cds pep primary_assembly:Fonio_CM05836:2A:36608701:36609201:-1 gene:Dexi2A01G0024890 transcript:Dexi2A01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTPPPPTASALNPLHAHHMHVAVSSYIAALAHVCICTLFTWNLKPRSLVVLRRLPLLLGHARHGVHSLPQQVLDVPKPADAVTQSRPCSLGAHVRQVPCGAIAVVAAGAPPGVTVSIAHHRRVWLDLTTAAYGASVASRGDRYRPPRTTTLNPMDHPHLCFEV >Dexi7A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:7A:28317578:28321288:-1 gene:Dexi7A01G0019020 transcript:Dexi7A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKNTCHHTPLPSVVAVSLLVVAALVLTAPTVAAAAAADASVSVQLEALLEFKKGVTADPLGTLSNWTTLGAGYAGFPAHCNWTGVACDTTGRVTSIQLLQTELRGTLTPFLGNISTLQLLDLTSNRFSGEIPPELGRLGELEQLVLYDNHFTGIIPPELGDLKSLQLLDLSNNTFHGGIPSSLCKCSAMWALGVEANNLTGAIPDCIGDLSSLRILVLSLNGFDGELPPSFAKLTELETLDLSGNKFSGSIPPGFGNFSKLNIIHMFDNQFSGAIPPELGRCKNLTTLNMYSNRFTGAIPDELGELTNLEVLLLYNNMLSSEIPRSLSRLTSLRVLGLSTNNLTGSIPVELGELKSLQKLTLHANRLTGTVPASLANLVNLTYLAFSYNSLTGPLPANIGSLRNLQQLVIQNNSLSGTIPASIANCTLLSNASMSSNEFSGPLPAGLGRLQSLMFLSLNDNMLSGEIPEDLFDCGSLRTLSLAGNNFTGSLSPRVGLLSELRKLQLHRNALSGAIPDEIGNLTKLIGLELGGNRIAGRVPSSISNMSSLQQLELQHNRLAGALPGVIFNLRNLSILSVASNRFVGPIPDAVSNLRSLSNLDLSNNELNGTFPVALGRLDQLLTLDLSHNHLTGAIPGAVIGNMSSLQMYIDLSNNMFTGTIPPEIGGLTMVQAIDLSNNRLSGGVPATLAGCKNLYSFDLSDNNLTGALPAGLFPNLDVLTSLNISCNDIDGEIPSNIGALKHIQTLDVSRNAFTGDIPAALANLTSLRYLNLSSNLLEGPVPDAGVFRNLTMSSLQGNPGLCGWKLLAPCHVAGAKQGFSKTGLVILIVLLSLAVLLILLLAMILFLAYRRYKKKKGESSNRAASFSDDFVVPELRKFTYTELEAATGTFDEGNVIGSSNLSTVYKGVLVDGNKVVAVKRLNLAQFPAKSDKSFLTELATLSRVRHKNLVRVVGYACEPGKIKALVLDYMDNGDLDAAIHGPSRDAQRWTVPERLRVCVSVAHGLVYLHKGYDFPIVHCDVKPSNVLLDGDWEAHVSDFGTARMLGVHLTGGDGAAVAQSATSSAFRGTVGYMAPEFAYMRTVSPKADVFSFGVLMMELFTKRRPTGTIEEEGVPLTLQQYVDNALSRGLDGVLDVLDPDMKVATEGDLSMAADVLGLALSCAAFEPADRPDMDSVLSTLLKMSKNVN >Dexi1B01G0029380.1:cds pep primary_assembly:Fonio_CM05836:1B:33697426:33698461:-1 gene:Dexi1B01G0029380 transcript:Dexi1B01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSMRACLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLMFFLGSDNSSVMSNGGDGWVNFTKFLTGASIVGSIAIPAILKHAGVIGWGALAMELSSFVVFGVAILWFLQMSSEDEYSSMF >Dexi9A01G0038200.1:cds pep primary_assembly:Fonio_CM05836:9A:42516036:42518398:1 gene:Dexi9A01G0038200 transcript:Dexi9A01G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEKFGGLRITSLDEDDEDTVVPHQPRPGPAAAAADYEDDDEDEEEEAEVTLGVLKKPERPGLLLRHLFPSKAGGIPAWLDPVNLPSGKSSCCGFCGEPLQFVLQIYAPIEDNTAAFHRTLFMFMCPSMACLLRDQHEQWRHKHGSPCRSVKVFRCQLPRTNAFYSTEPPKHDGSDKPLCPGAPVCHWCGTWKGDKICSSCKKARYCSEKHQALHWRTGHKNDCLQIISSDAKSSGLSAIGKVPASTSWPEFEIEIDYEATFDSDSCDENNSKSLVMQRHGKPDAMMQSWMDQFEADADNKCWAYFQDRVSRAPEQVLRYCREPNAKPLWALSAGCPSNADIPSCSYCKAT >Dexi6B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:6B:2544192:2545142:1 gene:Dexi6B01G0003070 transcript:Dexi6B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGAAAAIMVCIVVLSLDVTAGILGIEAQAAQNKTKKVTVLFIQCEKPVYKAYQLGLAAAVLLVIAHAVANFLGGCACICSQMDFVRASINRKLAATTIVLSWIALIVGFSLLLAGAMSNSNRKTSCGFTHGHTLGLGGIMCLVHGGITVAYYVTAHAAAREG >Dexi7B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:7B:20605078:20608301:-1 gene:Dexi7B01G0014330 transcript:Dexi7B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLCLLAGAAAAAPNARPCAPSHPASAYPFCDASLSVPARARALFSLLTLDEKIAQLSNTAGGVPRLGIPPYQWWSESLHGLADNGPGVNFSSGPVRAATAFPQVVLSTAAFNRSLWRAVAEAVATEALGMHNAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSLEYVKGFQGEEGEEGRIRLSACCKHYTAYDMEKWEGFTRYTFNAKVNAQDLEDTYQPPFKTCIQEARASCLMCAYNQVNGVPMCAHKDLLQKTRDEWGFQGYITSDCDAVAIIHENQTYTKSDEDSVAIVLKAGVPCNPITFHQGIQPYVAQTTFAAGCKDVSCNSTYLFGEAIEAAKVADTVIVVAGLNLTEEREDLDRVSLLLPGNQMGLIHTIASVTKKPLVLVLLGGGPVDVSFAKRDPRIASILWLGYPGEVGGQVLPEILFGEYNPGGKLAMTWYPESFTAIPMTDMNMRADPSRGYPGRTYRFYIGDVVYGFGYGLSYSKYSYSILSAPKKISVSHTSVPNIISRKPAYTRKDGLDFVKTEDIASCEALMFSVCIAVSNHGEMDGSHAVLLFSRLKSSVPGFPIKQLVGFERVRTAAGSATNVEVTVDPCKHMSAANSEGKRILLLGAHVLTVGDEEFELLIET >Dexi9B01G0029100.1:cds pep primary_assembly:Fonio_CM05836:9B:31662345:31665550:-1 gene:Dexi9B01G0029100 transcript:Dexi9B01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAPTCKVIDSHLHVWATPQQAKEEYPYFPGLEPTLRGDDDFLLQCMSEAGVDGALLVQPRNHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKFRAVRFNPTLWPSGQKMTNEVGRSLFSKAGELGAPVAIQTAEGIGPYIQEIEELCRDYPATTVILDHMAFCKPPTNDEEEKAFSSFLNLSRFPQVYIKFSALFRITREPYPYEDTAQLLSRAISHYGANRIMWGSDFPYVVAECGYKGIREAISHVAGKVPVSPSDLEWILGKTISQLFQGAWVAP >Dexi2B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:2B:621660:626041:-1 gene:Dexi2B01G0001030 transcript:Dexi2B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRVAFEHLEQISNKFEFSADNIEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDAGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALSENSGLPPIDTLTAVKAQQVKENNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Dexi9A01G0026020.1:cds pep primary_assembly:Fonio_CM05836:9A:27560309:27567151:-1 gene:Dexi9A01G0026020 transcript:Dexi9A01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRTTPRGMGARDSPATPLHAVPPGKVLERVGLVAGAAAREPALPGADVSCAVTRDSGPGPWGGRQRQGFVGPPLTAVDLDWTRRYAGLHPRNEILYHHAVKHYSAMRTFQGQNPENSRDHTCKSLPSKKKLCKVPESVEVHIIDGDDDDSGKDYSAQYMSKQLVLYNPEVTHDEQSDIDHCTSRGSSKKPRYGHGTVLPSIGAYTVQCASCYKWRIIPTKEKYEELRESISQELFLCTRASEWNRALSCDEPEDFSQDGSRVWALDRPNIAQPPPGWDRRSESEGLPASLQMCMSLFPTFFNLSCSNTCASNCFYLALKPYYTSPSGKKLRSLVEIGRYLEKNPQYIREGVNLSQFSFATPKPLQEDYVRKRTLRDAHELPEFSEIAEVDPLCWAVPPTCTELLTGPDSSTSDPASVNQPEMSDRQPEASRPPARNQKMRTLEQVSSRKCQMTSPAASTPFGEQSGGHFIDIDHVPL >Dexi1B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:1B:24529230:24530280:-1 gene:Dexi1B01G0018290 transcript:Dexi1B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFHRPLLRLDVGRPGAGNQRDGASSNILGLCRAHRLSRTRDCLAAEAELVALPVVAGKDQWRSQQYGEPWRPPYLLPVETPHPFPRARPSARHCEKPDRQTAGGQRPDESPVPSAEQAATSKFRCKSKQD >Dexi7B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:7B:16194778:16198054:-1 gene:Dexi7B01G0008370 transcript:Dexi7B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDGATANAVPLLIPYKMGRFDLSHSTXXXXXXXXXXTANAVPLLIPYKMGRFDLSHRYRDTPGIWTKEQVEAWKPIVEGVHAKGGIFFCQIWHVGRVSNSTFQPNGQAPVSSTDKPVNSVNVAKFTPPRRLETDEIPLIIKDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEIVEAVVDEIGADKVGIRLSAFANYSGAADSNPQTLALYMAHALNKIGILYCHVVEPRKVKHSEKIEPPYSLGPMRDAFKGTLIVAGCYNREDGNTAISSGYADLIAYGRLFLSNPDLPRRFEIDASLNKYNTETFYIPDPVIGYTDYPFLTSDV >Dexi8A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:8A:13133104:13153186:-1 gene:Dexi8A01G0009660 transcript:Dexi8A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPPPAQPVLPISEHEDEIVAAVDANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELGVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSNPDLKQYSVIILDEAHERSLNTDILLGLMKRLIKARASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSMERPTNYIESSLRTAIDVHAKEPPGDVLIFMTGKVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPMSIYQKEFLEATVPEIQRSSLAGSVLYLKSLNLPDINILKFDFLDPPSRKTHQILTVLITIKSCQEITFGTIAMGLVGGCESLEDALRQLYLIDAIDENGQITDNGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITLRSTRSKDMDGKRKRQELPDGSGWGDHIQLLQIFESWDQIGYDPKWCSDHDLQVTKKNVRGMKLSKDVRNQLCQIIQKIAKGPTDVLARKSRKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRSQLVQVHPSSVLEGDEYGKFPMYVVYHELISTTRPYMRNICAVDQAWVEPILKKLEKLDVNRLSGGSSAPKDSEPLTDKQEISAKRAIDVKQSEVDTKIQAARERYLARKGKK >Dexi3A01G0023760.1:cds pep primary_assembly:Fonio_CM05836:3A:19399597:19403707:1 gene:Dexi3A01G0023760 transcript:Dexi3A01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATRSLRRFSSSSSSPVRSLRRFLLRPPTPPPAARPPLPPLRNFTRALLPHLAAARSFSTASSSSSSAPSRLGECGGVREVPAIPEEVEGDEGAEALVRHDTDAYAAVELAMDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGKAPILALLHGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVESEEFWDGVNSLELGAIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGVSCQATENVQLRECLGMRPEMTGVLVSRINPLSDAFRILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGETAVLKVLRDGKEQELSVILRPVLMDDINVGYERLSELQVKKVNGVEVENLKHLCSLVEGCTEENLRFDLDDERVIILKYQNARLATSRVLKRHRIPSAMSSDLVEEQANNGEVEASCTS >Dexi3A01G0023340.1:cds pep primary_assembly:Fonio_CM05836:3A:19032752:19033569:-1 gene:Dexi3A01G0023340 transcript:Dexi3A01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFAGQRSRPWVGMAAGVGTASEPAGDSVARDDATAASSMRGAAGADASTNSSAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSRRSSDDDSREDAGDGRGLPLARMQHHPHDAAAPYKLSHSPKVEEPVAGAEDLTVLMPGQRYPTFLAQPAPLAPWHREGVRWPPHEHGRSYLPP >Dexi7A01G0022130.1:cds pep primary_assembly:Fonio_CM05836:7A:30526439:30527667:1 gene:Dexi7A01G0022130 transcript:Dexi7A01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLKETLGKLQLPRRTEKKLLTAADLGCSCGQNTLLVADLIVQGMADELYGGSRLGHPPPEFCFYFNDLPSNDFNTLFHLLPDSARNGGRPAYFAAGVAGSFYDRLFPERFIDVFSSTFSLHWLSQVPTEVMDENSKAYNRGKVFVHGASEATGAAYRRQFQSDLARFLRCRATELERGGAMFLVCLGRPSSAAAPVDQGTVRFLFGDMFQDSWHDLVREGLIDSDKVDSFNVPVYAPTLEEFREVVDADGSFRINRLELVMGSPPVVDHPGDASDVGRTVANNERSLLGALVDAHVGKALCDELFDKLRCRAEERAKELMEEMRFPHVVCSLSLV >Dexi5B01G0039640.1:cds pep primary_assembly:Fonio_CM05836:5B:38385021:38386143:1 gene:Dexi5B01G0039640 transcript:Dexi5B01G0039640.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYVLNSFCYRLAGLAFMFSTSILLQILACALYNNWWPMLAGDCYFVSFLSHELMTHEKVVIVLLLTWLHSYTSAALMYVLVPMPCLFFGGGSTHFLTSREGGGWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >Dexi1B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:1B:22254729:22258381:-1 gene:Dexi1B01G0015690 transcript:Dexi1B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATTFVSSYILGFAVLWRLTLVALPSVLLLVIPGIVYGHVLIGIARRIREKYGRPGAIAEQAVSSVRTVHASVAERSTVARFSAALEETARLGVKQGLAKGVALGSNGVTFAIWAFNVWYGSRLYFAEASAAAERIEEVIRRVPKIDSGSDDGEELASVTGEVEFRNVEFCYPSRPESPVLVSFSLRVPAGSTVALVGSSGSGKLTVIALLERFYDPSSGEVLLDSVDIRRLRVKWLRSQMGLVSQEPALLATSIRENIQFGKEDATVEEVIAAAKVANAHDFIAQLPQGYDTQVGERGVQMSGGQKQRIAIARSILKSPKILLLDEATSALDTESERIVQEALDNASMSRTTIVIAHRLSTIRNADVIVVMQSGEVKELGSHDELNAIENGIYASLIRLQQTRDLQEVDNISITAAMSPATGHNMSKGLVSCSSSGWPTGDVGDDDKNEKTKPPVPSFRRLLMMNVPEWQHMLIGSFSAVVLGGIQPVKAYGIGSMFSLYFLTDHTEIKEKTRVYVLLFFALAVLWFFLNIGLHYSFGFMGEYLTKRIREQMLAKVLTFEIGWFDRKENSTGAICSQLANDSNAVRSLVGDRMALVIQAGSAVLIACTMGMVIAWRLALVMLAVQPLLIVCFYTRRVLLKSMSKKSVEAQSQSSKLAAEAVSNIRTVIAFSSQDWILYLFKKAQNGPHKENIRQSWFSGLGLGASVALMISSYALDMWYGGKLMVEHHINYMELMQTYSILVTTGHVIAEAGSTTTDLAKGSDAIASLFAILDRKTEIDPDNPNGFRLEKLKGEVEIINVDFAYPSRPRAIIFKGFSLTIQQGKSTALIGHSGSGKSTIIGLIERFYDPLAGVVKIDGRDIKTYNLSGLRRHIGLVSQDPTLIAGTIRENIMYGTETSNEAEIEDAARSANAHEFISNLKDGYDTWCGERGLQLSGGQKQRVAIARAILKKPSILLLDEATSALDNQSEKMVQEALDRVMVDRTTVVVAHRLNSIKNCDLIAVLDRGMVVEKGTDASLMVKGPSGAYFRLVMLQQGGNRY >DexiUA01G0020830.1:cds pep primary_assembly:Fonio_CM05836:UA:43122150:43123706:1 gene:DexiUA01G0020830 transcript:DexiUA01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTPPHLLNRHDRKRPNMSNSSPICQIIGGSSINPTNESTKNYSQFGLLPTSIGDSSSLLQSQTIYTGAVPQDGISKAYYFPELLSTISNTSATSASHYKEGTPRAANHNPSEHMKPLLGGRKPEGPNSRTLANQFHHVPRSNGNNDWNLSQHQQLAQYHCSQLGRNEQLPLPSYWSSQHTSNINILDTSRTWATSSSVLSKRPTTNNPSQSFFQPPPSSSTVLGKRHTMSNPVRSFFQPPPPLPSNADDMGPLCRLETDELLSLVQSMGTPEFLATSEKVFSSGNLHDPLHTTSAHVPVDGTSASSTPNLILGLGNGSGIDKGKSKEVSSYWDLDAMVEMLESMNKRQRKVTRQPVQDLALGSSDGKGASKNQINLSSGNCE >Dexi3B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:3B:13957679:13958293:-1 gene:Dexi3B01G0018760 transcript:Dexi3B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLDPLASLGLGYAIAIALGFLVLLASVLLASYFCLRRGAGEGFGAGGGGGVGASARHAASSASSSGHISITVPRVVFVAEDYDSPGSSSRGAAAAASPVGLDPAVIASYPRVPFSGAALGADAEVACSICLCEYREGEMLRVMPECKHRFHLTCLDAWLRRSASCPVCRSSPIPTPVSTPLSTPLSELVPLSQYAADRRRSR >Dexi9B01G0029220.1:cds pep primary_assembly:Fonio_CM05836:9B:31817877:31819457:-1 gene:Dexi9B01G0029220 transcript:Dexi9B01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRMVLLHLQVAAVAVLLTATGLHAQLRVGFYDNSCPAAEIIVQQEVSKAVTANPGLAAGLLRLHFHDCFVRGCDASVLIDSTKGNTAEKDAGPNTSLRGFDVVDRIKTRVEQACAGVVSCADILAFAARDSVALAGGNAYQVPAGRRDGAVSRASDTNGNLPPPTANVAQLTQIFGTKGLTQKDMVILSGAHTIGSSHCSSFSSRLSQTGTTAGGSSDPTMDPAYVAQLARQCPQGGDPLVPMDYVSPNAFDEGFYKGVMANRGLLSSDQALLSDKNTAVQVVVYANDPATFQSDFAAAMVKMGSIGVLTGSSGKIRGNCRVA >Dexi8B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:8B:1250873:1253347:-1 gene:Dexi8B01G0001830 transcript:Dexi8B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSGRGGGGGGGTELFICFTSRPSAASAAGAPSSLRTSSSSKLLSPGRVSAGGAGAEAVTAPPLHPSLSRRLRNSGSLKGGQSPMFPPGSTGGGGRRGRGGLEPAEPSSPKVTCIGQVRVKGGKRKPKHASSAAALRSCSRRVGDVPQAAKNQGWVYQIPVNICEALGSCGARSLCSPSRPGGVGERGTDSADAHGGKRRRQRAPAGGSWLCGAAVARCLLPIQEEDDDDVGKGAAIVPAEEMRASEVGLVMEGWDVEEEEKAVLVGEVEVEKKDDILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPGAATATVEQVDTEVAGGVNEDEDEEEEEEEDKEDEAEPEPECKDEVRHSAVSVQDVNCGELGGGENEDGEAGEIDQAEAQAEESSKCGDLVEEDKCESCRVEVEEAQIVRKDAALEVSLGEDIAAENQGPDMLELVVSKEKDTPAQGKVEEEVKGRRSISSCSPLAVLKEDGNKLRRLNSRRRGTSSRASSSSASDRVGRRHSFSAEMETRCSSFSSSKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKPSSEQDLEKDCAGVAAPNSAEEGPESYDDGKEEETTKNQEEGKTQNAETNREIEKVEIRAKDCEAGPLVQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGKNNCNRRPQKTLASGNDAATATGEVEDKENSEGSTVDTKESKDPSMVNLTAVPMPAPVAQKTPPLDPATTEQKTKLELPLVTNAAAYAPFVLKRCKSEPMRSSARLAPDCFWKDRHRPLNATGVGF >Dexi8B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:8B:24293455:24294276:1 gene:Dexi8B01G0013900 transcript:Dexi8B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVKATFEFILLDKNGSPMTLYTMKSSLTTFSMDNGARCSGHKKFIRKKDLESLWWSNDSFRIRCDVTVVKDIRVETTVTNSGDEPPSPDLGQNLGELLDSQLGADVEFMVGDEVFMAHRIVLAARSSVFKAELYGQMKEKYRMTCIQIDDMDPRVFKAMLRFIYTDKFPKVDKDEKIVMAQHLLVAADRYNLERLKVISMDVLRRYIDPSTAVATLVLAEQHGCPRLKEECFKFLKSRDNLTAVMESDDFEHLMRSSPSLFKELLAKVAP >Dexi3A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:3A:1031365:1035240:-1 gene:Dexi3A01G0001530 transcript:Dexi3A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEPMEASKVPLLEPRADGGGSISKVEEEEEAAWSSLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFLCSVVLLPVYIFTEPMLVALGQDPKISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLALHVSLSWLMTVKFQLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIIKLSLSSGVMLWWRLLKRD >DexiUA01G0008280.1:cds pep primary_assembly:Fonio_CM05836:UA:15425083:15427199:1 gene:DexiUA01G0008280 transcript:DexiUA01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYAMLAETAEKVMRAETAMFLMNRQIGRLEERLYQSEARRVHAEKEATTELARAKKLRIQLEEKKRIIRARDALEDKEAQLWEEGARAANAEDMIVELEEGLKRKRLCTEHPGSSSEVGHKAFKCPKKKLRKMQESVEKPAPSHSTDLIMGQIKQKPNLSPTTTLECVQKDVEEIQPSPLKRKQPSVAAEEPQDEGVDVSYRQHLLPHELEVVPGLPRHRLNPSQAMVIRVTRTKRKWMRRSRQNQPRTKGTCLPPEQQPQAQPPPALNLADVLDRQTRVMKRMTDVVVALQGNQGHRQPQGDDVQKKIERFIRLRAPTFDYSPEPMEAEDWLRVMETKLDLTDCNDEECVAIAAHQMTGSAKAWWESYCNTHRNPVAITWDEFCKAFKEHHIPKQYRHDEVDRDATPHDPRKRSAPTRCCNQEEAGRPKP >Dexi5A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:5A:21232689:21235606:1 gene:Dexi5A01G0017850 transcript:Dexi5A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKTLVTGAGSSLLGRLLVSPSPALLRAGLPLSRLQEHVAPPPPSPSARVDAYEAEAVARLTSFNGGISFPCGLPSLRFFIGDVEDPVANEPLLLIKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Dexi2B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:2B:8205568:8205981:1 gene:Dexi2B01G0008020 transcript:Dexi2B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAYLGAAESAAAVVAEGEEESAAAAAVPASAPTKEDVLRILASVGADAEGAEDRLDMLFAQLEGKDVADLLTAGREQLAYAPSGASAAAFAVGGAAGGPAAAAAADEAAEKEEEGEEDELVFNLFDEEE >Dexi9B01G0039740.1:cds pep primary_assembly:Fonio_CM05836:9B:40445573:40450821:-1 gene:Dexi9B01G0039740 transcript:Dexi9B01G0039740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASEVDEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPNEVKKQYRKLSLLVHPDKCKHPQAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQQQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVCLSSIMFRNLTLLATGIHISVSSWRDFMKTGKKGRKGEIKPPKLKTEDLNKSYVQRPVKPK >Dexi1A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:1A:9244269:9244901:1 gene:Dexi1A01G0010710 transcript:Dexi1A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPAAVREMQKDLEVQANALSKIQKDISKNHQVRKQYTIQVGENELELELLDDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEDKQNSKKES >Dexi2B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:2B:6322355:6325145:-1 gene:Dexi2B01G0006440 transcript:Dexi2B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSRRGFLFLALLSAAFLAAPGAGAAAAGDGAAMQALRRALAPPGWGPGEDHCAWRGVTCGGGAVTAIDLPRRGLRGDFSAAGALPALTRLDLSANSLLGGVPPALGALARLEFLDLSMNALTGAVPASLAGATGLRFLNLSNNALSGGIPDELRALKALQEVQISGNNLTGALPGWLAGLPGLRVLSAYENSLSGPIPPGLGASSELQVLNLHSNALVGSIPSSLFELGNLQVLILTLNKLNGTIPDAIGRCRGLSNLRIGDNRLSGAIPSSIGDAASLTYFEANTNDLSGGIPTQFARCANLTLLNLAYNRLTGEVPDVLGQLRSLQELILSGNGLGGEFPRSILRCRNLSKLDLSYNSFRGDLPENICNGSRMQFLLLDHNEFSGGIPPGIGGCTHLLALQLSSNNLGGDIPAEIGKVKSLQIALNLSFNHFVGTLPRELGRLDKLVTLDLSSNEISGQIPGDMRGMLSLIEVNLSNNRLSGAIPTFGPFQKSAASSFSGNAKLCGDPLDVDCGSIYGSNYGMDHRRISTRVALAVIGSILLIFSLVSLVVGLFMWRERQEKEAEVKKAEAGEVVVAPPQVVASTVFIESLQQAIDFESCVKATYKDGNELSDGAFSTSYKAVMPSGMIVCVKKLKSVDRAVTHHQTKMIRELERLAHINHKNLVRPIGYVIYDDCALLLHHHMPNGTLLQLIHEDCDTDGEKQKPDWPRLLSIAIDVAEGLAFLHQVATIHLDISSGNVYLDAHYNALLGEVEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTSKLPVEEEFGEGMDLVKWVHTASERGETPEQIMDPQLSTVSFAWRRQMLAVLKVAMLCTELAPAKRPRMKKVVEMLQEIKNS >Dexi9B01G0028800.1:cds pep primary_assembly:Fonio_CM05836:9B:31354446:31357125:1 gene:Dexi9B01G0028800 transcript:Dexi9B01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGDIDNKGKDEAAKSLPMRRLREIDEMGKGDCSIEVVERQKRMKETDADPDDKEMEVVVSEDDELSDFDEEEAKRWYEEEYLVFKRNEEQEFVQECLREPDRLQDRLAYQAKMYRENWNPRYGSFDKKNSIGQAVVLLETANDQVPLSGDEIILARQVVSVESHGKLNVLGSISNSQVVYTDSKDFKPLKMGTSTDYLHLGRNTLEVTIFWSCFEF >Dexi5B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:5B:2352184:2354506:1 gene:Dexi5B01G0003500 transcript:Dexi5B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDEHLLGDDVDGQRLTAAAILGLDGAVELEEITAIVNEFNELGGSLAPTGLYLGGSKYMVIQGEPGTIIRGKKGPGGVTIKKTNQAIIIGIYEEPMTLVQCNIIVERHGDYLIDQGV >Dexi5B01G0021490.1:cds pep primary_assembly:Fonio_CM05836:5B:23822607:23823441:1 gene:Dexi5B01G0021490 transcript:Dexi5B01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFACSDSRVCPSVTLGLQPGEAFAVRNIASMVPPYDKTKYAGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLSLQEGEADKFHFVEEWVRIGAPAKAKVQADHASAAFEDQCSILEKEAVNVSLENLKSYPFVKEGLEKGTLKIVGGHYDFVSGKFETWTP >Dexi5A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:5A:20694700:20697033:1 gene:Dexi5A01G0017480 transcript:Dexi5A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTMMAKRNKVADEEETCCGYAGVDADVVDAEGRATELRPLSLSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLSPTSDVPAAAVASLSATLVGRLAMGPACDLLGPRHASGLASLLAALAVALAASAASSPAGFVWLRFVSGLSLANFVANQHWMSRVFSPSSVGLANAVAAGWANAGSAAAQLVMPVAYDLVALRLGVPVTVAWRVTYLLLPCVLLVATGLAVLAFPYSGDVGGEAKKTTPTRERCFWEVVRGGVGDYRAWVLALTYGYCYGVELIMENVAAEFFRKKFRLGMEEAGAAAACFGAMNAVARPAGGMASDAVARAFGVRGRLWALWAVQTAGAAMCVVIGRMGAAEAPSLAATVAVLVACAAFVQAASGLTFGVVPFVSKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTIDEAISLTGVASLICTLALALIHFPRHGGMLCGPTTTAAGDEHDDSGDDEDANDHGDYTLLK >Dexi4A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:4A:7685744:7687267:-1 gene:Dexi4A01G0009750 transcript:Dexi4A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFGLVPVVVGSSVDAARSILKTNDLAFIDRPMTAAGKYTAYNNSNMLWSQYDDYWRQMRKLCQIELLCAKQLKLHEHARDEEVSTMLHDLYAESSSTERRTVVLSDHLMITNLNMITRMVLGKKYVVKGSSGSSEDTTTAEEFGWMLQELFFLTGAVNIGDVIPWLNWLDLQGYIGRMKRLSKMLDRFLEKVLDEHDERRRRDGEAFMAMDMVDKLLQLVDDPNLKVPITRDGVKAFALEFFVAGPDSAASTVEWAMTELVKKPEVLTKATEELDRVVGRNRHVAEGDIPNLPYLEAIVKETMRTYPVAPLLTPQQSREDTSVGGYDIPAGTLVLINVMAICRDPSVWDAPMEFWPERFLGSSVDVKGQDLELVPFGSGRRMCPGRTLGLKMVHATLANLLHAFHWRLPDGVAAEELSMEEIFRLNVVRKVPLEAVAEPKLPAHLYVGRDRE >Dexi1A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:1A:263113:276642:1 gene:Dexi1A01G0000450 transcript:Dexi1A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASEGADKKEVPPPSYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITRGIRNIVEANNRIEARSDPNIYLRYTNVHVGTPSVQVDYKIEDITPHFCRLTDRTYSAPIRVDIEYTVGKQYELQQKDEAELARLGECPLDPGGYFIVKGTEKTVLVCSAARTLGLLLIVLLIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLFPSIQVTYPGSGNQKDYVGNKRLELSGQLISLLFEDLFKTMNSQAVELMNKHSVMTRSSPSDFSQLIKHESITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVMKDNYGDGVSDRIAKPQRDKDGVLLKQNMRVGDKFSSRHGQKGVCGKMIELIGGKAGVSSGRFHYGSAFGEPSGNADKVEDISNTLIKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKASYCSMCKNGANMAKMRLPYACKLLFQELHAMNVVPRLKLTEG >Dexi3B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:3B:15237672:15239861:1 gene:Dexi3B01G0020230 transcript:Dexi3B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHYPRKQPSKEATEPRPRRPYEDLYPRSRGPSLEDVTSTVAMPSAGVGRRDSRPRGTRHGQHSAPNDVSATTTSRHERMYPIPPPWSIKGGGKLMQRASDTNTRVHTHSRTHTPHHTGHGSSSPSPTLLVNPYYEQHVTRCIAPLLDVRPRGRNQDKTPSLTLAIRETSDGNDSKIAHGGCRKDAWTKQNFGLCPPWAVLRPNHIRPNDGFVGWWPWQISVSVVAPAATLLRQPLNQFSTPGSNAFLAAARTSGLLHDVSSAAALLAAKPGCPGVGNEAVSLLANIISTWDITGRSSGRLRWPE >Dexi3B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:3B:14667389:14670570:1 gene:Dexi3B01G0019690 transcript:Dexi3B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPGGEPDADADADESESEPASAGSAAGPGEQESSPRGRSPNGGPGAEEPEQPGTPSRGVKDDISELTETLTRRLWGFPLKFRGRTISPWMENNFELSDAQYVHALSIEHLVPGLSDLKIQICSTDMTEACFWKIYFVLLHSKLNKQDAELLSTPQILEAREQLLQSLRAQNKRGSKFPGESSDFAAPAEERVIQPSSIQDKAGTSEVSSFEEPSSDIMPERESEKFSVSTTDEEIVDKSVIEEELAVKNDSRTSAVESKLRFETDEDEVDEWPDDEPAEEVGAASHRTSLGREEDVSFSDLEDDEDDDANKRDGQ >Dexi9A01G0035730.1:cds pep primary_assembly:Fonio_CM05836:9A:40327842:40331941:1 gene:Dexi9A01G0035730 transcript:Dexi9A01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASGDPPDSAGGEGGGGGGEGSREAAPGEEGSGRRRWWRCAAAVLLGTAVVLSALFWLPPFAARRRRDEEARADPWGGADVVASFRLERMISELSGNKSKLEYDIFEEIGTNNSAVLELKELTVT >Dexi9B01G0024270.1:cds pep primary_assembly:Fonio_CM05836:9B:20159373:20163059:-1 gene:Dexi9B01G0024270 transcript:Dexi9B01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEESRTTADAFEPKVAANESDRDCGQMAEKDSRTTADALEPKVAATESDRDCGQMAEDCLDPSHGKAICASKIRCRRCFGYGHISRSCGAKRSPRRIFHPVRSAPSKDTAGERDKCQAHSPPTSSSPVYTFVPSPPTLENHENSHPPPPPPLPSHLAALMANFLVDPRPFVPLGFTLVPREVAREPSRLRSFLAFSLEKSNEDLAIVITEPRISKDDFWPFGRELRAFLHSHQVQDPEIQQCPMGEAYVRFDSPMQRESFVLGGKVFW >Dexi5A01G0035480.1:cds pep primary_assembly:Fonio_CM05836:5A:37284723:37287220:-1 gene:Dexi5A01G0035480 transcript:Dexi5A01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRLKHENFVDMLGYCTERNLRIVAYEFATMGSLHDVLHGMILHILYNLFDNPSSLTTATPRLTEDKVKQCVDPRLMGEYPAKGLAKLAAVAALCVQYEAEFRPNMSIVVKALSPLISKQTQTPAPPPAADS >Dexi2B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:2B:25363005:25364782:1 gene:Dexi2B01G0015370 transcript:Dexi2B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAARSCYSFLAPLLLLLPPLVVLSAAAAAAVLLNETMDVPGLVVMTLPSPVFGPESLAFDGRGGGPYSGVSDGRVLRWEGGLRGWTEYAHNSKHKSVAICAPDKKLVVPESVCGRPLGLQFHRKSGELYVADAYLGLLMVPAGGGLARVVASEAGGEPFNFLNGLDVDQRTGDVYFTDSSAVYRRSEYLLVVALGDETGRLLRYDRAAGRVDVLRAGLSYPNGVAVSAAGDHVVVAHTALCELWRYWVRGPRANTSELFAELPGYPDNVRADGRGGYWVAMSTDQGGIAGDEAPTVAVRVSPEGNVTEALDGFSFVTVSEVGERRGKLWVGSVDTPYAGELGRRRLS >Dexi9B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:9B:9177404:9181865:-1 gene:Dexi9B01G0013680 transcript:Dexi9B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAGSSGCGGSRWGGGFRSLMRRKQVDSDRVRAEGQPQLAKELNVPELVAIGVGSTVGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGCLSFQALFFGGSDSLPWVLARHQLPWFDIIVDPCAAALVFVVTVLLCVGIKESSFAQGVVTVLNACVMIFVIVAGSYIGFQTGWVGYKVSDGYFPYGVNGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGVALAICCALYMAVSIVIVGLVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKSTIVTGICAAALAFAMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPPSMQESFRLKQECDGEKERGLLGDGNCNASQTNDVIVIVESMKDPLIVKRQHRGKMDETKRRKIAAISIGSVCVGVLVLASSASLTWMPLKLIVLTKICPISVSQYVSAALLALCSFWLASACSPGLSKMMAGTLLAILEDSHALLFQCYQGDTWMRVGIWLLMGVLVYIFYGRTHSSLTDVVYIPVAQADEIYRSSSGYVS >Dexi1B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:1B:10607789:10608022:1 gene:Dexi1B01G0010740 transcript:Dexi1B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSSSMRARSLPDQWRQVKMGAGEMVLEILEHSTDGVEAPSLWRDLNKRKDGEILALLSQIRAAHQVPRELKRR >Dexi7A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:7A:20888316:20891428:-1 gene:Dexi7A01G0009950 transcript:Dexi7A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSASSPPAPQPLPGHGGGLARRTVTYMPRPGDGTPRAVTLIPGDGIGPLVTDAVQQVMEAMHAPVYFETYDVHGDMPTVPPAVIESIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGLPTRHEGVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPSIQYNEMIVDNCSMQLVSKPEQFDVMVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAVFEQGASAGNVGNENIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYRTKDLGGTSTTQEVTDAVIASLD >Dexi8A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:8A:26317674:26321154:-1 gene:Dexi8A01G0015270 transcript:Dexi8A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGGQSYGFPIYCAAWLPLAHILKPDPPATDADADASTPSPPRPPMAALGGGGGEGRSGVPNKLVVASLDPTVPAGEEAALCPETVIQVKTKEEVPYRMAVHPRGDGVLCAFPNGCRLYRWESQEEDEVHKLALEPDQEALAELKGVGLQLAVSFSGEGSILATGGEHKEELLAMLPR >Dexi5B01G0025870.1:cds pep primary_assembly:Fonio_CM05836:5B:27787093:27795600:1 gene:Dexi5B01G0025870 transcript:Dexi5B01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSRSLHGMASPDATPYFSGASSRRRSGADEVDDKEALQWAAMERLPSFERLRTGLVRAAAGGDSISFDGGRRRRAAHEEVDVRALATPQRQAFVDRVFRVAEEDNERFLKKLRARIDRAGIQIPTVEVRFRNLNVEAECHVGTRALPTLTNTAMDVAESMLRLVGVSVGKRRPLHILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLEVSGEVTYNGYGLDEFVPQKTAAYISQNDVHDGEMTVKEVIDFSARCQGVGQRYELLKELAKKERQQGIYPDPEVDLFMKATSVHGATLQTDYILRILGLDMCADVIVGNELMRGISGGQRKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVKCIQQIVHLGEATVLVSLLQPAPEVFELFDDVMLLSEGQIVYQGPREYVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQNEKPYHYVSVPDFVAKFKKFHMGKSLKKQLSVPFHKRKIHKSALVFSEQSVPTLELLKTSWSKEWLLMKRNSFFYVFKTVQGTFIALVASTVFLRTHMHTRNEEDGQLYIGALVYVMIVNMFNVPASLFESITWAVITYYTIGFAPEASRFFKHLIAVFLIQQMAGGLFRLVSGICRTVVITNTAGSLAVLFMFVLGGFILPKDAISKWLIWGYYSSPLTYGYIALASNEMHSPRWMDKFAPDGRRLGVAILENSGIFTNNEWYWIATGALLGFSILFNVLFTLSLMYLNPIGKPQSILPEETDTSLEDSEEGKEMSDITEKTKVPTSESLSPNSMITLDKVLEQLRGRSPNISDRSHMNASVRIAPRRGMILPFEPLSMSFSEINYYVDMPAEMKSQGVTADRLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTGGHIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITIGESLLFSAFMRLPKEVSDREKKIFVEEVMELVELNGLRDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTIVCTIHQPSIDIFEAFDELLLMKRGGQIIFSGSLGRNSHKVIEYFEAIHGVPKIKEGCNPATWMLDVSSAATEIQLKIDFAEHYKSSAVYQRTKALVKELSKPPPGSSDLYFPTQYSRSNFDQFKFCLWKQWLTYWRSPDYNLVRMFFALFTALLLGIIFWRVGRKMKSSTDLLIIIGSMYFAVAFVGFENCITAQPVIAVERTVFYRERAAGMYSAIPYALSQVVVEIPYVFVESVIYTLIVYSMMSFQWTPAKFFWFFYISFLSFLYFTYYGMMSVALTPNPQVASIFAAAFYSLFNLFSGFIIPRSKIPVWWIWYYWICPVAWTVYGLIVSQYGDVEDLIKVPGQPDKQVRAFIKDFFGYDTEFMGVVAGVLAGFTVLFAFIYVYCIKRFNFQQR >Dexi9A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:9A:1565894:1569814:1 gene:Dexi9A01G0002970 transcript:Dexi9A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVPRQWCLRLRPGRAHLRSSLTVRDGGLESVTRRCVPWGNILLGRRSMRARGREAAWVAAESPVVVLCDARPRPLFPAAVGALPLPPPPSHPRRTAPHRTDRFKSRLPRKARDREEGEEATNKQAAPVMAAPAPKQEELQPHAVRDQLPAVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYSGIADPHEKFTRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCIEIGLPQILLLVALSQYIPHLVPLVGTAFERFAIIMSIVVVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVAGAPWISVPYPFQWGAPTFDAGECFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVAYFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >Dexi7A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:7A:27447389:27448182:-1 gene:Dexi7A01G0017870 transcript:Dexi7A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRSQMESEFQRKVAESSGDSGANVKRLEQETEAKIEQLNQQAANISPEVIQMLLRHVTTVKN >Dexi7B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:7B:21821140:21841117:-1 gene:Dexi7B01G0015790 transcript:Dexi7B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDASESPDSSPRPAAPPTPTREEGSEETFEAVALGEEAAVEEEAVALDPGMGASTPATPVTPYEPSPRPRRRPRPPGAPSDAPQEVVRAVEAAIAGEPGLLREVVAQEEGELAHSVVDVLLGTMGGADEAGDATGTGAPPSVMSNARAAVVAAELLPHLPCDDDEKPSPRTRMVVGLHAALRACTRNRAMCSSAGLLPVLLDSAEKLFVEMDPSSSWDGAPLLQCIQVLGGHSLGVKDLHSWLGLVRKALGTSLATPLMLALEKAMGSEEARGPAVTFEFDGESSGLLGPGDSRWPFLNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESVGGRGKKASLHFTFAFKPQCWYFVGLEHSNKHGLLGKGESELRLYVDGNLYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPVYIFKEPIGPDRMRRLASRGGDTLPSFGNGAGLPWKATNDYVKSMAEESFTLNNDIGGGLHLLYHPSLLTGKFCPDASPSGSSGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPIALLPLTISNVQMDSLEPTPGDLSLSLATVSLSAPVFRIISLAIQHPGNNEELCRTFAPELLSCVLHYLLQALSKVESGKETLTDEELVAAIVSLCQSQRNNHELKVQLFSSLLLDLKLWSSCNYGLQKKLLSSLADMVFTESACMRDAKAMQMLLDGCRRCYWAIQEPDSIDNSSLSGTKRSLGEVNALVDELLVVVELLLGAASSTAASDDVRCLIGFIVDCPQPNQVARVLHLIYRLIVQPNISRANMFSQSFISSGGVEALLVLLQREAKTGNKNILDDSGANLSENDVHRRRRSNRKVDNADKRCQVDETLSAEHHETIFHEEACEHEATNSNDMLESDIGSNVPGLENGLLKNLGGIIFSITSDNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFESGVANPNIPGGSQATLNEEGNPMPEDRVSLLLFALQKVFQAAPRRLMTSNVYMALISAAINVSSVDESLNLYDSGHRFEHIQFLLVLLRSLPYASRAFQARAIQDLLFLACSHPDNRTTMTSISEWPEWILEVLISNHEMGAKKNADGVSIGEIEDLPSTHPFSVDRMWRQRFIVQSGFQWSEDLALETKGLGSPFTLNLANMREESLPIFKRRLLGDLLDFSARELQVQQTEVIAAAAAGVAAEGLSPEEAKAQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSHLGDSIISSTSMASLAASRSNSLGTAGKEPLAAGASRRSSLSSDAGGLPLDQLLTSMADANGQISAAVMERLTAATAAEPYESVKHAFVSYGSCISDLGESWKYRSRLWYGVGIPAKSDIFGGGGSGWESWKSVLEKDSHGIWIEFPLVKKSVAVLQALLLDESGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMVLVSMREDDNGDGDASMKDMKEVVSDGMVRQIGSMIPFDGNSYSSPRKPRSALLWSVLGPILNMPITESKRQRVLVASSILYSEVWHTVGRDRKPLRKQYIELILPPFVAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALPIEAALLMVSPGWAAAFASPPVAMALAMMAAGASGTETRTPPRNTLNRRDTSLPERKAAAKLQTFSSFQKPIETGANKPGSTPKDKAAAKAAALAAARDLERTAKIGSRRGLSAVAMATSGQRRSSGDIERAKRWNTSEAMSAAWMECLQSADSKSVAGRDFSALSYKYVAVLVSCLALARNLQRVEVFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYEDRKLLSAAVQSNECNSEDVNYSLTNTLPPSASVIVADAMSMDERNLEIEQLETDTTHSSADDDQLRHSSAADQQSVKGSVGSRSSDICADRNLVRSTVLAPSYVPTEADERIIVELPSLMVRPLKVVRGTFQVSYQFLPQSASVYSILQTLSGKVTSKRINFIIDGRSSDNNIDAAASTSGQCDQQDKDRTWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDIDARKNAYRAIVHTKPPNLNDIFLATQRAEQILKRTQLMERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIVADYKSRVLNLDDPSTYRDLSKVFLYVSVAICGSCLLLVTNHSRYYLMQPIGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELTYPNYRSRYKQRGKEAVMANNVFFYITYEGTVDIDKITDPVERRATQDQIAYFGQTPSQLLTVPHMKRKPLAEVLQLQTIFRNPNELQSYVLPHPDRCNVPASAILVSNDSIVVVDVNVPAARVALHNWQPNTPDGQGTPFLFHHGRNAANSTSGALMRIFKGSAGSAEDYEFPRAIAFAASAIRSSAVVAVTCDKEIITGNYFFASYHLYIFLLMYMLGTSLFNNYKCNDSNETGDHELGPSCKDDISKDSEQSETQQYVHVPSICFVDLHKLEVFHTLKLAKGQDITAIALNKENTNLLVSTVDKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQ >DexiUA01G0027380.1:cds pep primary_assembly:Fonio_CM05836:UA:58615750:58617154:1 gene:DexiUA01G0027380 transcript:DexiUA01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEPHDYIGLSAAAAPPTPASSSSSSSSPAPRLTLRLGLPGSESPDRDCGEDVAAALSLGPLPVPAAAGGSAKRAFPDPSPRAGAAKGSEVKQQAPPAAPPAAKAQVVGWPPVRNYRKNTLAASATKSKAQAEEAASGGGPIYVKVSMDGAPYLRKVDVKMYSSYEELSTALEKMFSCFITGQSGLHKKSNKDRLTNGSKAAAVQDQEYVLTYEDKDGDWMLVGDLPWE >Dexi5B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:5B:4264889:4265521:1 gene:Dexi5B01G0006260 transcript:Dexi5B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMQEWREVADRVRNTLLPIAVGTRTSHFLALVKAANLKLSTHLNFSRVILRGDTDPEIIYAAIPRLPPQDPDAINLIKLACYEYDRFRAEHAMAGRMFVLYGMCLGIPDGDPRWQTWECHHATAVRNADVALLGLRSAAARLQALLDAYDTAMSFPSGSPARIAWIKEAQSLTRSALHGVTTAAVMVRLMCRAVLRQYIAVCMLLGR >Dexi7A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:7A:29209264:29209653:1 gene:Dexi7A01G0020410 transcript:Dexi7A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIEPLLYGNKKKKKEAARVAARLQRLEAAEAKARDAERLERSWKKHDAVMESICSYDPKTRCLVWTRFPFQDFAVFDLDEESEEL >Dexi5B01G0030680.1:cds pep primary_assembly:Fonio_CM05836:5B:31595501:31599352:-1 gene:Dexi5B01G0030680 transcript:Dexi5B01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAIPLLLLLLSTQGVDPVLGFKRRDFPDDFVFGSATSAYQYEGAVAEDGRSPSIWDTFTHAGRMPDKSNGDIAADGYHKYKDDVRLVAETNLEAYRFSISWSRLIPNGRGAVNPEGLEYYNNLIDELLRHGVQVHVMIYQLDLPQVLEDEYGGWLNPRIVEDFTAYADVCFKEFGDRVSYWTTLDEANVAAVGSYDIGQIPPGRCSDPFGVTKCYSTRNGSLHDTDRVDYMKNHIRSTLTALRNGANVKGYFAWCFMDVFEYLTGFMSQYGLYRVDFEDEALPRQARLSARWYSEFLENKEIQIREEVNDAGSHARQ >Dexi6A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:6A:26413172:26413509:-1 gene:Dexi6A01G0018680 transcript:Dexi6A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGFLEFKLDYSETKNLAIGQCVKSKSFRAGDHTWRILCYPHGIKREHKGDYVSLFLMLLSNTGNAKAIFDTFLLNRNGTPSSIKARRTIFVFQKRGERS >Dexi7B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:7B:2792960:2793666:1 gene:Dexi7B01G0001690 transcript:Dexi7B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRRAILPLIRTITTTTTTKTSPTSSPPAVLSVGHALRERRRFTEADVAAYAAVSGDRNPVHLDDAVARELGGFHRGRVVHGMLVASLFPSIIATCFPGAVYASQTLKFAAPVYVGDDVVAEVQALHIRATTANSSAASRYVVKFATKCFVAEEEGSLAIQGEAMAVLPTLELSSE >Dexi2A01G0031780.1:cds pep primary_assembly:Fonio_CM05836:2A:42498890:42499183:-1 gene:Dexi2A01G0031780 transcript:Dexi2A01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPVEILRPSVCFLLCLFYLDWLSPLRHSLSDLRAREVWDPHGGGGEASVGERDGVRHGGFLVLFPSASARPAFVVACGVETWYSVSVRISELQWC >Dexi3B01G0038080.1:cds pep primary_assembly:Fonio_CM05836:3B:40906812:40907530:-1 gene:Dexi3B01G0038080 transcript:Dexi3B01G0038080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAMGPLSTLLLKLAKLLQDEYELHKGTRKGIQFLYKELETMHAALGKLDEVPRDQLDDLQRIWSRDVREMSYDMEDIVDTFMVDVEGPDPPSKGVAKKIFNKMIRKVNKPMARREVAQEINDIKERAKELAQRRIRLMDIAPAKKISVDPRLKALYTEATEIVGIEGPKKEVIMMLTEGDGGQKKRIG >Dexi7B01G0023120.1:cds pep primary_assembly:Fonio_CM05836:7B:27814764:27817176:-1 gene:Dexi7B01G0023120 transcript:Dexi7B01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVRFLFTLAAVVGAAALLAASLRRRAPPSGLPARVVPSSHMAGRNRSFVLWLHGLGDSGPANEPIRNLFSAPEFRLTKWSFPSAPRSPVSCNHGYVMPSWFDIHELPMTAGSPQDESGVLKAVENVHAMIDKEVADGIHPDNIFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFGSSVTEKISPEARKIPILWSHGMADRTVLFEAGQAGPPFLQSAGVSCEFKAYPDLGHSLSKEELLYLESWIKSRLNAAQEDS >Dexi1A01G0028230.1:cds pep primary_assembly:Fonio_CM05836:1A:33927404:33932051:-1 gene:Dexi1A01G0028230 transcript:Dexi1A01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPKAEPMDVEAPARPSPTASDTKHRSPHDLLAETRASIEKVAARMLAIKRDGVPKSELRELVTQMSLLLVTLRQVNREILMEEDRVKAETEATKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFQRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLELQRGSLLGTIANQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIMGSTKDAQIFAQQQAKKENGTLSSGENNRMDDDVIDDDEDAQRRRSRSKKNVMKEANNPAVAYQLHPLKVIVHIYDTEDSGIKRRKLITLRFEYLAKLNVVCVGIEESEGLDSNILCNLFPDDTGLELPHQMAKIYAGEPPNFSDKNSRPYKWAQHLAGVAANSVDSDFERRSVTNWEETESAREDGELPVVIHAENEPNSSGILPSEMSPEVRSHSRGLSLISKSVTPSKLSISHSFGRNEDDLDLLMYSDSELEDHPVIHEETEKGNLIIDKSWEDYASKEFTMVLGKAMKNGPKVMLEAKVKISMEYPLRPPLFGLRLLSDKSETLKWHNDLRAMESEVNLHILRSLPSSCEDYILAHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPSE >Dexi7B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:7B:22628568:22632165:1 gene:Dexi7B01G0016600 transcript:Dexi7B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAAPAAAPADTYDIPWVEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPDGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAP >Dexi7A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:7A:29896801:29900601:-1 gene:Dexi7A01G0021220 transcript:Dexi7A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRGAYGGGGGYSNGNESQSPAAPVMTTSSSEIAAMAVHKVAPPPPRSTATKMKARVKETFFPDDPFRGFKGQPLGTQWLMAVKYLFPILDWVPGYSLSLFKSDLISGLTIASLAIPQARMHASPSMSIPSFLCILPMHQSRFVFLSVENHFFESHGISYAKLASLPPIIGLFVPPMVYAVLGSSRDLAVGPVSIASLVMGSMLREAVSPSAEPLLFLQLAFTSTLFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGITHFTTEMGLVPVMASVFHHTNEWSWQTILMGVCFLVLLLLARHVSIKWPKLFWVSACAPLASVIISTLLVFLLKAQNHGISIIGQLKCGLNRPSWDKLIFDSTYLGLTMKTGLITGILSLTGINANHKTKDGNSRQNYGN >Dexi9B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:9B:2086919:2087311:-1 gene:Dexi9B01G0003630 transcript:Dexi9B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDIKKKEDEITRLQERYGIGSKTPGPGSSD >Dexi9B01G0026180.1:cds pep primary_assembly:Fonio_CM05836:9B:27996002:27997844:1 gene:Dexi9B01G0026180 transcript:Dexi9B01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPPSSTAATVMGILVVAAVCLHGAAAQLCEDYYADTCPDAYDIVKQVLIEAHESDTRIYASLLRLHFHDCFVQGCDGSLLLDSVPGMESEKDSRPNNGSARGFPVVDDVKTALEDACPGVVSCADILAIAAEISVELSGGPSWGVLLGRLDSKTSNFAASQNLPAPFDNLTTLQGKFSAVGLHDVDLVALSGGHTFGRVQCANVAFPPADRLYNFSGTGMPDPTLDSAYAAFLSQRCPRNGDRTSLNDLDPTTPDTFDNHYYTNIEVNRGFLISDQELKSSAEAQGTTAPLVDQFATSQEAFFASFAQSMINMGNIQPLTDPSQGEVRTNCRKVN >Dexi9A01G0034330.1:cds pep primary_assembly:Fonio_CM05836:9A:39081592:39083450:1 gene:Dexi9A01G0034330 transcript:Dexi9A01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGIQAIDMASPVNSNASPAAKPENFSGDATHLPIPGSGQESGRHRNNKLTLFPLIFLIFFDVAGGPYGAEPSVQAAGPLFALLGFLIFPFVWAVPESLVTAELSTAMPGNGGYVLWVDRAFGPFAGSLMGTWKYACAAVGAAAFPALFSDYLTRVVVFPGSGAGARVATIVTFNVSLTVLCYTGLSVVGWASVALGVAAMSPFVVMVAVALPKIRPRRWGTTVAAGEMDWKLLLNTLFWNLNGWDSVSTMAGEVDRPEKTFPTALVSAVCVGSLGYLLPLMAATGAVDAPPEAWGDGYFADAAGQIGGAWLKYWVEVGAAVSSVGLYSASLSSAAYLLAGMAELGHLPSLFASRAPWSGTPWASITATGGIALGMSFLSFDSIVAVTNFLYGLGMLMELAAFLWLRARRPGMPRPYRALPVGGAAGAAAMCAVPAVFLVVVMAVAGWKVCVAAAAFTAAGVGVYYGMAACRSRGCVRFVSRAEEGGGGGGVCESQKEGQTGGASQRF >Dexi1A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:1A:27421240:27428577:-1 gene:Dexi1A01G0020560 transcript:Dexi1A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASSLRSLARRRPRLRLPAAPLAVPGARAAFLSGAAEEAAPLAAADAAAAAPPAPGRKVLESFREEFEIGGRSIAFETGKMARFANGSVVISMEDTHVLATVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQIMVNVLSSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAIKCIDPQIRLAQRAGKEKKEYKISLISDTSYEKIRTLSETPIEEVFTDSSYGKFERGEALEKITQSVKTKLEEENDEDSLKFLSKAVDTVRKQVIRKRIIEEGLRVDGRQLDEVRPLYCESNTYPVLHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSQIDPTTGDISNYWILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISTARAINDGSAPRLGLLMYFLCSYHDLDMMKARTDPLFAATLNFSHDSLRKLLFYRKHIEQETGARVSISDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGQQGLLHISELSHEPVSKVSDVVTVGQGLSLMCIGQDVRGNIKLSLKATLPKPRKKKDSEIKDPLPSQGVGWAAVENMPSVGVDAEPSSCQLDNGTTEEAPAFSSPSVIIRSADDCDAQDAANGPKKRVKVAKASPRPYKPPSQHKEVRTATAKKAPGATKKTKKEKSEESGSNSLETSGSQELPEQTASSTQDLKQSPVNFRSGSMKLGDVVTAKVYQIRAFGLVLELSDGGRGMHKFEANGKKEFEVGQELLVKCASFNAKGIPVFSLLN >Dexi2B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:2B:7709460:7712624:1 gene:Dexi2B01G0007380 transcript:Dexi2B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMAPSSLLAALVGVLLFSYAAAIHLPRAGLTAAAASNGGDTKVYVVFTERQPATAELAEEEAGAAIAALHHDMIGSVLLDDSSNAADRVVYHYSRSLHGFAARLTEDEKNRLAGMDGVVYRPQTTRSWDFLGLPQHNDPVRLPFENDVIIGMLDTGISPDSESFSDDGLPPPPAKWKGVCSKNFTSCNNKIIGARAYYNGDRTVSVLDDEGHGTHTSSTAAGRAVAGASLGGLAGGIARGAVPGARLAVYKVCFGEEGCSSEDILAAFDDAIADGVDVISASIGMVMPLDYPEDPLSVGAFHAMKRGVVTSVSAGNSGPILGTVSNVSPWTEHLQGKKYKGAVLLCGSGVLTSSSAMYSTGAEGAVMYSTQYDDNTTAFSYALPTVIIPKDDYDHMMDYYNKSRHPMAIVKKSVTVKNTAAPTVAKFSSRGPNQVTYGVLKPDISAPGVDILAAWSPMASLSGDDVDERRVKYNIISGTSMSCPHVTGAAAYVKSVHPSWSHAAVLSALVTTATPMSSGDPEAELAYGAGQVNPMGARYPGLVYDASVEDYVDFLCAQGYNSTQLAAMTGSSSTACSDEARSGNVGDLNYPSISVPVINHGVSFAAEFPRTVTNVGPDDSVYRATVTTVPGVDVDVTPDELAFSAGTKKLSFKVTVSGKLLPSNTTMGASASVVWSDGRHSVRSPIYVFPHKHVM >Dexi5A01G0031780.1:cds pep primary_assembly:Fonio_CM05836:5A:34401251:34401457:-1 gene:Dexi5A01G0031780 transcript:Dexi5A01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPWATTRGGAPALRPPYLAMAFSTATASPLLAPASSGRTCPVSNPTPVASAARVAAAAAAVLEEG >Dexi5B01G0024070.1:cds pep primary_assembly:Fonio_CM05836:5B:26171586:26191283:-1 gene:Dexi5B01G0024070 transcript:Dexi5B01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMPGGEGTLAAVMPRSPSPMPAEAGTSAAEAPVLIFLYFHKAIRAELEALHGAAVRLATERSGDVAALTERCRFFFNIYKHHCDAEDAVFPLLTKKFSCEEQADLVWQFLCSIPVNMMAEFLPWLSTSVSPDEYQDIRNCLYKVVPDEKLLQQVVFTWFEGKSTKEVAESFAGGNSAGDVQEQGEKHICSHQDETRKVQQSGDFSDISAFNERLQFIADVCIYHSIAEDQVIFPAVDSELSFVKEHAEEERRFNNFRSLIQEIQMAGAKSTAVDFYSKLCSHADKILETIEKHFCNEESKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFEDISEVLLELSHLYDSKSHDQTEVNEAERKCPDSSNKVDWVRKYKELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALSQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSAVSSSAPDSHVQDKLDQNDQMFKPGWKDIFRMNQSELEAEVYFGMLDALLAAEELPEEYRDRCQAHDTLRRHSFARAHLLQDEDGLVGGGDSSALVVVVGIIFLLPLMDTVIRQKFRPDGLVRNNPHARARAGVKRIQW >Dexi6B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:6B:880880:889578:1 gene:Dexi6B01G0001050 transcript:Dexi6B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGEQDEEDDINGVDSASRDVIPEIATVINRTMRESRFMLFFINGSDEEIILSPFEATRKELTEYKDQQHCIVPDLYSDVFKDDKLGDGPLISTQAFPQPPCVQLARHIEIGDGSRNVQIEVEANDCSLGDLMTERAESLHVHDAMARAATPRGNWSKLRWCWVERCPNLYVVFPSGASEDNKLETIWVSDLLKARCIWCKGRSPLWGEVRRIPYAYFSVQHLHLRSCPSIEYAVPLWSPSFPSLETLHIINCGGLKHVFEQDNEEHLGSVKFPTLTTIHLHDLPTLRQICKGAGRKVGRKKPAVEVEKDVWDALEWDGVDAGHHPSLYEAPVHSRFYRQGRLLRGTVLSFMSPPFLQCYTLRFLGLDHCTDDNTLMKLEGGQDSATRWAFVKSLWVIDLHYTDWVEILSEENIKLMSNLMEVNIEGVRWPRWKSSHQMHYKRLSNLQHLRIIKPKYDEATVETASSDISDSFLLMDNTSLEILDLSGSNKVMGSISSKAGHLRMIVLDGCDGLGDVMLSNNSSLRSFSFDGYGPAAASHRTTTVELPVEMSRPKQPPTNANKKDAIKTSIISLQGCGRLDKLFLRGLPNLVELDLSGCAIKVLDFGSMVVDVPMLKRLFLIGCEHLCAIKWYSNGELVARKMQMICIDTRPGSRRVLGCAWPTSLGAQQKSFGLQVHAIITNARLARSLYYPISTGGSSFGNSCCFNIRITSSAALSTNNGAVIKPVETMSKDMRMVRSSSKQHRQHNSMEEGLVIYGDIIGDGLAPMQAFPQTPMAQSDHHIEIVGGDGSLQSEAEDSYAHNLASLMSYYTKSIHVHDVSTCSNTMAANYWYLLRSCHVERCPSLHAVFPPGGVNASLLQTIWASNLLNTRCVWSKGGVTYDQHLQGLRHLHLHLHCCPNLWFALVMSWHRRPSFPSLETLHIIHCGDLRHVFVPDDEELQHKSSVQFPELTTIHLYDLSALQQICEGAETVAPMLETIKIRGCPNLRRLPALRGREAGMKLEWDGVDAGNHPSLCKTPVHSRFYKRRMLRRTVLRHRPDQCPLCVLE >Dexi5A01G0028090.1:cds pep primary_assembly:Fonio_CM05836:5A:31432432:31433873:-1 gene:Dexi5A01G0028090 transcript:Dexi5A01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVPLASSSGGWDFTCNFEVDYGSEEHASIVHKTLAVDKELQPDKVKREMTLSGSKLAVHFAAVEARFLRASFSAFVDLMGLVTKLVEEYGVTDEGQSWQS >Dexi9B01G0043300.1:cds pep primary_assembly:Fonio_CM05836:9B:43281518:43282963:1 gene:Dexi9B01G0043300 transcript:Dexi9B01G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDCNSFLLSYIFSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKITKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTEVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >Dexi9A01G0035840.1:cds pep primary_assembly:Fonio_CM05836:9A:40398184:40399666:1 gene:Dexi9A01G0035840 transcript:Dexi9A01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSSSCAFMVHTSSRASSRNWKLGWIVCNDCRDTTQVFSSVPGHKCCHCQSHNTCRVAPPVLP >Dexi5B01G0027350.1:cds pep primary_assembly:Fonio_CM05836:5B:28942560:28943074:1 gene:Dexi5B01G0027350 transcript:Dexi5B01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTVAASNTSSSSSTMAASSSSTVAASNNSSSSSTMAASSPSSPWRRRPARPLPSARFRAAASATVRVEHLGHGRRKKKHR >Dexi3B01G0038140.1:cds pep primary_assembly:Fonio_CM05836:3B:40974448:40976765:-1 gene:Dexi3B01G0038140 transcript:Dexi3B01G0038140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAISSVLFIVLAAFAASGARAATFTITNNCGYTIWPAGIPVGGGVQLDQGQTWTVDVPAGTSGRFWGRTGCSFNGGSGHCDSADCAGQLSCTVSGQTPATLAEYSIGGAQDFYDISLVDGFNQPMDFSCSTGVNLHCGGPGCPDAYLFPTDDTKTHACSGNSNYQGGGARTQTTRFTATVHSPPPNPSSIERRRCMRWEAPPAPPLRPRRRDYTDRRCPCADSIDRTRGSKNRSGSKTCLTCVLTMLAVPPKAPRRSSSTEPLRRPARAQQKRRWRLDHAIRPSARSTWNREEKKREAAPGRTETGCAGREKGEELKLGVTVPSCAAASTAGGAVTGGVDRRSSGDRRRELRSPAEPSDVDGRPRSSIRQRAKFEPRREDAFPAQAQAVEPSRPNGRWTARLRRASPGERAMLTWAS >Dexi8A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:8A:4160363:4162356:-1 gene:Dexi8A01G0004750 transcript:Dexi8A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQKARNKSDHTPGEVQRRRPWKCKRRQRRPTHGGRCWSGDVRTMVTARTSAGYPIEASLRLADPPAASCVCIHVQIPRLVHEKDSTVLAAHGDSLLIQINLTHQHSYPRATKDDNDDLVVVELRLKEASTTNTPGLMTPEVLLFRSGEWIIHGGREFTELPLWCVTDTVIPIGDRQLCWVNLSTGVLICDVFEEIPRLQYVELPVDPCYGEPQWYRNVCATGGGELKFVNVFSRCCCEWVKDGMVDATDLWTLDAYKELPSIFRKFRRNFFPSYYFNSKQDQSLVDMDRSLVQVRDDDEKESSDSTKQSSCETKSSTEPVVQVSEILAALQEISSYGLDRDDMLKAAYRVLSHGDGRRFRSVLCLPTELRKNWLLMEIKASDLS >Dexi7A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:7A:20045294:20045539:1 gene:Dexi7A01G0008840 transcript:Dexi7A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLTKRAKRRTTKPAATHCVLVTTVCWYASSVTAAPTHPIRNPLRFRSMPPELIALRSSLPNCSSRFALMLLRAVWSED >Dexi5B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:5B:22779154:22779683:-1 gene:Dexi5B01G0020580 transcript:Dexi5B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACALKQLPREQVQVAELKKLVEEGKIKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCR >Dexi5A01G0031020.1:cds pep primary_assembly:Fonio_CM05836:5A:33914377:33918983:-1 gene:Dexi5A01G0031020 transcript:Dexi5A01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSGAVMGAAPAAAPSAASRVEKATSHLLMGPDWAVNLEICDILNADVWQTKDVVKAVKKRLQNKDPKVQFFALTLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKTTGVVFPKRPIDAPPIFTPPAIHNSSSPRYAAGSLSDRMSSDVETLSLGDLNNIRNVTDLLNDMVHALNPSDRAAVNDEIITDLVTQCRSNQQKLLQFVSSTGNEQLLKQGLEINDRLQSVLSKYDTVVSGAPLAVEAPVREAIEAPKETPAVQPSAPPEHNDIADEEEDEFAQIAQRKNKSVISSDDALSSTGDLALVPIDLVGSESPSSVASNALVPLDPAPSSSSESKELDMINLLSLTLCSPTPESSTDSPTHSQNGPQQPNISQDQNGPQQPRVPNGQQYPSGVPQYPSNYQPNTTNQGYAQQSSNYVAPWAQTGAYPPQPPAYASGYGYPAPPWAAPTRPAVDSNPFLSANYQDPRLATAPVAQAAAYAPPPASYPSSSSISYAPFATPQSIQHGSSFGSPPSNGLTAIQAQTNVNQQPKDFSASSSRPYYIPDNLFNDLIDVKSFGGGNKIGGPTTVGSSNGGQPMIGGKK >Dexi1B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:1B:276954:278643:-1 gene:Dexi1B01G0000190 transcript:Dexi1B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLTENDCRLEICTDPKTILSVDDILALIGDRCDGVIGQLTEDWGEVLFSALRRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLTLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGHFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAILVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLDDMKNAVVVPHIASASKWTREGMATLSALNILGKIKGYPVWGNPNQVEPFLDENATPPPACPSIVNAKQIGW >Dexi6A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:6A:6488931:6490277:-1 gene:Dexi6A01G0006700 transcript:Dexi6A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVALSKPDEQATQSAGRDWSELPLDALVQIFARLGGAVEILMGSSLVCRSWLQAAKEPELWRSVDMASHRVVEEKKGDVLRAMAMVAVDRSMGQLEVFLGKYFATDWLLKYIGVRSASLKIISLISCHEVSNKGFTELLTKSPLLEDLSLELCPKIGGRSVYESTGKACPQLKRFSLRRECFRFSLNYPRPVAEALGIAAMCELRSLSLTSSNITNDELVAILDGCPRLEILCLRDCYKVIADDDTLRAKCARIKTCTLMLPDEYER >Dexi7B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:7B:22142931:22145014:-1 gene:Dexi7B01G0016140 transcript:Dexi7B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKTYEHEIPITVVDPPQQRPSQPQYRPPGGYEPYKTRDVPLSSGSRRPSGGRTQTQQPPPARTRPAFPSSAGTGAWSMGRRTLSSGEVGPVLQRPMVDVRSLFHLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLVRRADVEDMRREITILQHLSGQPNVAEFKGAFEDADAVHVVMELCTGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVMHRDLKPENFLLASPADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVIAENLSPEEIKGLRQMFNNMDTDKSGTITVEELKEGLTKLGSKISEAEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKLEKEEDLIRAFQYFDKDNSG >Dexi5A01G0031930.1:cds pep primary_assembly:Fonio_CM05836:5A:34482562:34486489:-1 gene:Dexi5A01G0031930 transcript:Dexi5A01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAGAEGGEGEELFLLSAVEAGNGGVGGAPAAAEDSWRLNFEGLRPPEAHQERPPTGALHHCLGVLAQRPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLLAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHAMSHEK >Dexi1A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:1A:3889025:3889603:1 gene:Dexi1A01G0005310 transcript:Dexi1A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNQEDLRSFVIQVLENTGSLLLPFVYCASKSPDAAAWVKLDQLLEATLTNEVSRKASTSQGSALLRVAASVFTEIEALQDLRRTFLGSKSVSFHHAPIFGLICGLVGFDSETVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAPDAERMMQKWRDRDVAEASQTAPLLDALQGCHAYMFSRLFCS >Dexi2B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:2B:28472733:28475781:-1 gene:Dexi2B01G0018100 transcript:Dexi2B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGAAASERRLLSRRILLLCFASFFLGMLITDRFGSVPSPVLVQRRRIDRELQSLSEEFVARPKPADDRDIMGEVSKTHEAIQYLEKSIDTLQMELAAKRSSNELHGESAGGISKQRKRAFVVIGINTAFSSRKRRDSVRETWMPQGEKLKKLEEEKGIIIRFTIGHSATSNNVLDKAIDAEDEIHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDIHLNLGMLVATLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCVASFDWKCSGVCNPVERLKYVHSRCSEGKDAIWSASF >Dexi8B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:8B:7753804:7754424:1 gene:Dexi8B01G0006610 transcript:Dexi8B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLDNVTGVTAGEVAAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGGEARLNFPALAGHFRRPATAEPDDVRAAALEAAAQIRFRPDLVVVNRHQTPAIAGGESYSDSCSSDGAGSPEVRLAGDEVDWDVLLGADEYLAPESPKMWAELAEAMLMAPPAWEGNVMDNDEWAQGNLWDLPVWHC >DexiUA01G0007760.1:cds pep primary_assembly:Fonio_CM05836:UA:14498024:14499115:1 gene:DexiUA01G0007760 transcript:DexiUA01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDPKPRLNVPPSMAAALRLDPLGASPSPSRRLADATKTPSPSKTTYSDRFIPCRSSSRLHNFALLDSPSSKDDTTAYSRLLRAELFDSSSPQPQAGSPNTNLFRFKKDTAAATSPSLPPHHHYCAPAGSADNTASPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGTCVYLWSASNSKVTKLCDLGPRDTVCAVHWSREGSYLAIGTGLGDVQLLHLVLR >Dexi1B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:1B:5393112:5394737:1 gene:Dexi1B01G0006630 transcript:Dexi1B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDLPPYFLLLPLLFIPFVFLALSRRNYPQQRLRLPPAPWALPAIGHIHHLAGGAPPHRALRDLARHHGPLMMLRFCELTVLVASSPAAAREILKTHDVTFASRPVGPMLELAFQGANGVIFAPYGEGWRQLRKICAVELLSARRVHSFFPVRDHELRRLLRSVAAAARTPVNLTAGLKAFAADSTVRAIIGGRSEHRDAFLRLLEEALKIIPGMTLPDLFPSSRLAMMLSRVPRKVKQRRDGMLAIIDPIIQEHQDRRAAGVDDEDEDLLDVLLRLQEDMDFQEPLTTANIKSVIGDLFGAGSDTTATTLLWAMAELMRNPAVMRKAQDEVRSALAGAGHDKVTEDILAGLHYLRQVIKETLRLHPPAPLLLPRECRSSCQVLGYDVPRGAMVLVNAWAIGRDPAHWDKPEEFVPERFQSSGRDFKGMDFEFIPFGAGRRMCPGMAFGLANVELALVALLWHFDWELPDGMVPEEMDMAEAVALSAPPRSDLVLVAIPRMPVPMD >Dexi5B01G0025120.1:cds pep primary_assembly:Fonio_CM05836:5B:27230266:27230848:-1 gene:Dexi5B01G0025120 transcript:Dexi5B01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAMSTGDLMLSREEEQPRVMAPPARYTAEERRERIDKYRSKRNHRNFQKKITYACRKTLADSRPRVKGRFARGGSEDPEAEAVQAAGIPESEAPSVNNDVVTSSTSMPEWWPEMQEALATGVDLDNLCDEEMLTAYLGVSSISLYSPSASGQ >Dexi3B01G0037110.1:cds pep primary_assembly:Fonio_CM05836:3B:39936897:39938609:-1 gene:Dexi3B01G0037110 transcript:Dexi3B01G0037110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVIEGGRNFVGQLNNKWNSFSLKEYDKFFESFRSLKPNRTKSYEGLLLCIAQGDKDRPEVAPSVSPPKDGLLLIANAYPVEYGHVFLVPSAVNQLSCYWDKRMFILATKVASEVNNAAFRVSFDSGTSVESDHLFFQVKNLVTGCFLSAWECGGYFVYHTKSEFDSASETEISKRMASASVQDGAFEDLKHLCCSIANDLVN >Dexi4A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:4A:5782424:5784074:1 gene:Dexi4A01G0007680 transcript:Dexi4A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALASPSPAAPSGGRPHPTYKEALTELQDPSGLSRRAIAKYIADHFSGLHSSHEALLSVHLRRLNSQGQLRLVSGNYFLSTEASPPGQKRGRGRPPKPKAAPAPGPKRGRGRPRKNPDLAPSAPIPSFQGPKRGPGRPRKNALVPVASSASPLLGAIAAPPPPSGVKRGRGRPRKNALVLVASSDSALPGAIAPPPPSGVKRGRGRPRKNAPVPMVSSASPLPGAIALPASSGTKRGPGRPRKNALALVPFSSSQLARAIAPPPPYGVKRGRGRPQKNALALVPSSSSPLPRAIAPSPPSGIKRGRGRPRKNPYPVASKLLGVVSVSSTSVVGVKRGRGRPPKLEVTGERKRGRPSEQKMHTESLQFVDAALTKRGPGRPRKEKPLESGDLRAAQMTEGQHEALPAQDASQAGVVQNEVEARSLQSRGKGTGEAKKEESIGREVYCNRAYVIFQDQERTWEAKKGQAL >Dexi4B01G0021940.1:cds pep primary_assembly:Fonio_CM05836:4B:23770485:23771481:-1 gene:Dexi4B01G0021940 transcript:Dexi4B01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRNSGGEWTAKQHSGEIEASAATTYELQRRLVAAASAADSAAGVQSSFSMVTPSSAVFQVIVGAVGGGAMISGGAAVGGGASSGGAAAEAPKEEKKEEEKEESDDDMGFSLFD >Dexi3A01G0030220.1:cds pep primary_assembly:Fonio_CM05836:3A:33828914:33831126:1 gene:Dexi3A01G0030220 transcript:Dexi3A01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSESRPSGRRLIRSPELGRMKLDKEMEMLLNEIPLLHHGGLLAGGGDDAALDADLSYLIHELAAMGIVDADDDPPAPPLSTAGGIGLSFFAGINYPKKCDNLVASHPFSIANYSSHMPSLFDPLPFAAAGDGWDTARCSPPPPASPPPPTPAATPRVRCKNARRKNNGGGATASPKTKCAAAAAMAKPAHVGGEGLAGLRGFMYHVARDQHGCRFLQQRLDDGKREVDLIFSGVSRHASQLMVDPFGNYLMQKLLAVCDAGQRMALVVTLTSDPFVLVRISLNVHGTRAVQKLIESLKTREEISLVVDALRPGFLELIKDPNGNHVVQKCLQSFEANDNKAIFDAASIHCLDIGMQCHGCCVLQRCIARSRGEHREKLVAAIGCNGFELAQDAYGNYVVQYVIDLKIPNANSSLAQQFEGKYIHLSMQKFSSNVVEKCLKVFTEADKAKIILELLAMPHLEQLLQHPYANYVIYSALQNSKGSLHSALTNAIRPHMELLRTSPYCKRIYSRALLKK >Dexi9B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:9B:2282030:2283494:-1 gene:Dexi9B01G0003960 transcript:Dexi9B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAWKAACASPRLAMVLVPGSRRYLLKLVGLSGPCKSSVTLTVKGTLVSDKDRRHWIVFQSIHKLTVNGGGVVDGYDETWWKHPCKITWKKAMPCKEAPTALSFHYCTSLRVQDLKIVNSQQIHISVEDCTNVQLARLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSQIVCGPGHGIGIGSLGDDNSRLESRSITIDSVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYDVKNPIIIDQDYCDKAKPCKAQGSAVEVSNVVFKNIRGTTVTKDAIKLNCSKNVPCHGITLQNIDLKMQGGNGAAQSTCANAKWRKSGTVLPQPCSSKN >Dexi1A01G0028370.1:cds pep primary_assembly:Fonio_CM05836:1A:34023326:34030852:-1 gene:Dexi1A01G0028370 transcript:Dexi1A01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRTSGRRLLHRCRRGRPVVPAAAASSLARRPLPSSFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAATPRLARRLTAPAVSTSPSPAAYDTDYVHEYAAKLGFEKVSEQTIEECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPNCVEDFQTFQQEGWHYELDNPEEEITFKGVVFNEMKGVYSQPDNIMGRVSQQALSPENTYGVDSGGDPHEIPKLTFEEFKEFHRKFYHPSNARIWFYGDDDPKERLRVLSDYLDQFEASPAPNESKVLPQRLFKEPVRVIEKYPAGQEGDLTKKYMVCMNWLLSEEPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEENLQKVEELVMETLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIAKWIYDMDPFEPLKYEQPLQQLKACIAEEGSKAVFSPLIEKYILKNMHRVTVEMQPDPEKASRDEAAEKEMLKQVKASMTQSDLAELARATKELKEKQETPDPPEALKAVPSLSLQDIPKKPIHIPIEIGEINGVKVLQHDLFTNDVVYSELVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVMGKEDPLTRIIVRGKAMAPRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAASRMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDSISSSLEEMRKTLFSKNCCLINLTSDWKNLEKSSQHIAKFLDSLPSSPSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNIYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLLRYLLGITEEERQQRREEILSTSLKDFKEFADAVESIKDNGVVVAVASPDDVEAANKEKLVFPEVKKCL >Dexi3B01G0022350.1:cds pep primary_assembly:Fonio_CM05836:3B:17162818:17165578:-1 gene:Dexi3B01G0022350 transcript:Dexi3B01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDEFKKKVRRIVRKSQEML >Dexi5A01G0028030.1:cds pep primary_assembly:Fonio_CM05836:5A:31354077:31355386:-1 gene:Dexi5A01G0028030 transcript:Dexi5A01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHADAARDEAAFSMRVLQHMSSRGDGLSSVTANLAVSPLSLHAALVLLGASARGATLDQIVAFLGPAGAHAHALLASHVALRVLAADAEAGGPTVRFANGVWIDAALRLTDAYAHQVTEHYLAEVRSVPFKSQPVEATRQMNEWIEAATAGRIKNPIPAGSITTSTQAVLTNALYFNGAWSHKFEPRFTQHHAFYLLNGSHVLVPFMSNTKNQYIARRCGYKVLRLPYDASSTATPGSSQQQQRVFSMYIYLPDDYYGLPSLLHNLSSNPSLLESSQTMRKKVPMGAFMVPKFTVSCGTDATETLQALGLKLPFDPVAADLSEMVEAPPEPLVVSKVHHMCFVEVNEEGTEAAAATFFNPVPGCPPMMPADDFVANHPFIFLIKEDRSGVVVFAGQVTNPSTLSP >Dexi7B01G0024150.1:cds pep primary_assembly:Fonio_CM05836:7B:28546243:28547221:1 gene:Dexi7B01G0024150 transcript:Dexi7B01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPSGVRLPCLAFNHGKYSDAPILFNLSERKAVASDMDELTGNDTTWATPHGWLLVHRHRHTFLWNPSNGDKIQLPPLPDDDVPSADCICLLSDKPAVAGCVVVLFEIEMDPVMWYCHVGDSGEGWTRHEYDIGTQILYPDETLHEKLVITPVTSCHGKLYFISTGFHELGVIEFPPAAAAAASPAFSSIAMREVVTGGFGVAHSALVFMVESKDEVHMVNLLFDGSFSSVAFLLSPVRFGASRPAAECGLEEDCVYVASYPWDKGLMIYNVREGTIKLENLEEAPESGSGTPLWMLPAES >Dexi3B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:3B:12353293:12354460:1 gene:Dexi3B01G0016870 transcript:Dexi3B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIPLMRCPKANNVGHAAIPCVDLSAPGAAAAVADACRSVGFFRAINHGVRAAITDALEAHAMAFFALPAQDKLDMSGAARPLGYGSKTIGSNGDVGWLEYLFLSVSSNSVEISSLPPSLRAALEAYTAAVRELSGRVLELIAEGLGVDRGLLRGLVVGREEGSDELLRVNHYPPCPLRPPGECGVTGFGEHTDPQIISVLRSNCTAGLQIKLRDGRWVPVSPTRKPSSSTSETRCRLQMHICMQVLTNGRLRSVKHRVVAPEAGAHSRLSVIYFGGPAPSQRIAPLPEVMREGEQSLYREFTWGEYKRAAYKTRLADHRLSAFELRAATQPPAASSADPQPHCSSCMQPPQQQVAKVH >Dexi9B01G0022220.1:cds pep primary_assembly:Fonio_CM05836:9B:16875776:16876962:-1 gene:Dexi9B01G0022220 transcript:Dexi9B01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQEQHRSVVGGLNLLPGFRFHPNDDEIITFYLIPKVHQRNFACTILGEINFNKTEPWELPDKAKMGEKEWYFFCQKDRKYPTGIRTNRAMEHGYWKATGRDREIYRVTREEEMPQLIGMKKTLVFYKGRAPQGEKTDWIMHEFRLEITGKLSSPTSSSTSTTTKKSSAPGVVNEWVVCRVFHKPNGTNRAPTQPPNNLALASNGIDQSNIPIPVPLPFPMLPDFTMGPAMSYYSNTDRSSSPMTPMLPSTVGMGNIDIEMNNTMFGNSMVMAPSMSYHQIGMGAARTCEFIAALKNETPSVVSQKDIGINSDQNNATKISSMASAPLEFLFTIDIDGT >Dexi7B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:7B:17844670:17844963:1 gene:Dexi7B01G0010520 transcript:Dexi7B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVVLDVDGVGERDELVDLAYDVDAGEVYCVTASGDVHVLRVPRGNRRRPIVERLQRELAGVAYDPAAAYAAPYDVASEYTCAKNVFFFGGNLYQA >Dexi5B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:5B:7709916:7713066:-1 gene:Dexi5B01G0010920 transcript:Dexi5B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPYAAAAASSSGAARLGLLHGRAQRQPLPPPSLPRGGSGPSSRIVLTRGPGLLKHAGSASPASSARCCAISAEVEGLNIANDVTQLIGNTPMVYLNNIVKGSVANVAAKLEIMEPCCSVKDRIGYSMINDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLFRAFGAELVLTDAAKGMIGAVDKATEILNKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPEIKVIGIEPSESNILSGGKPGPHKIQGIGAGFIPGNLDRDVLDEVIEISSDEAVETAKQLAVQEGLLVVFPSFGERYLSSVLYQSIREECENLQPEP >DexiUA01G0012970.1:cds pep primary_assembly:Fonio_CM05836:UA:26870660:26871626:1 gene:DexiUA01G0012970 transcript:DexiUA01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNPPGMQMPQQNSQPGQFNNPLYGASSGLIKSGLEVYGEKFFGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFRLGFMGKFTPEAINLQFTRALIGWAFQIVILKGLLYSMGGGEPVYGSVLGEDNEEGAFHGDEKQ >Dexi2A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:2A:28649938:28653591:1 gene:Dexi2A01G0016860 transcript:Dexi2A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPAPPNPRQDAIDLHKAFKGLLLHHFPPRFYFMWFQKAMLLWILDPAGRDATVLREALCGDTMDLRAATEIICSRTPSQLQIMKQTYFARFGTYLEHDIGHHTSGDHQKILLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHVASVSSAYHHMYDRKLEKAIKSETSGNFEFALLTILRCAENPAKYFAKLLRKAMKGLGTDDRTLIRVVVTRTEIDMQYIKAEYFKKYKKPLAEAINSETSGNYRTFLLSLVGHGH >Dexi9A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:9A:12959242:12962938:-1 gene:Dexi9A01G0017840 transcript:Dexi9A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSVAATSLSNHSHLLVLKHTVQLLVLTVVATILIAPASDHVVVVAASPPPDPVQCSSGGCTVSSAYGVFPDRSTCRAAAAAYPSTEADLVLAVARAAAAGTKMKVATRYSHSIPPLACPGRGGGEGLAISTRRLDRVVSVDAARGHMTVESGVTLRDLVAEAAKAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRVVTPAPAEEGYAKVRVLVEGDPELDAAKVSLGVLGVISQVTLALQLLFKRSVTFTERDDGDLAEQVAKFGYQHEFADIAWFPGHGRAVYRVDDRLPLSAPGDGLMDFIGFRATPTLGIQANRLAEDLSERAGNGSGKCATARLTHAALSVAGYGLSSTTRSRRNGGGVFTGYPVVGPQHRMQASGGCVTGRDDALLTACPWDPRVRASSFFHQTTFSLPLSRASAFVADVVRLRDIEPKALCGVELYDGILMRYVKASTAYLGKPPVSGEDMVDFDITYYRSRDPARARLFEDVLEEIEQMGIFKYGGVPHWGKNRNLAFVGVARKYPAMAAFLRVKDEYDPDGLFSSDWSDMMLGVGGRSPTTDAPGCALEGMCVCSRDEHCAPEQGYLCRPGKVYKEARVCTRVG >Dexi3B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:3B:10983339:10983740:-1 gene:Dexi3B01G0015140 transcript:Dexi3B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYAEELLGRVSSLVETCTRRVSRATRRLLRHSKKKPSAAVGTTVAAAALCARGAAIDKKGGEVALWSRRILMGERCQPLDFAGAIHYDSFGRRMARPPTPRSASSLSCRSSAGSVAASDDASYLENAADV >Dexi9A01G0023240.1:cds pep primary_assembly:Fonio_CM05836:9A:18477965:18479073:1 gene:Dexi9A01G0023240 transcript:Dexi9A01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEIAAADLGDSPSASAIVGGTVSGNHLLHINCYSRTKEELPTGQYIKSRPFGVGGSSWFLSYYPNGYKSEAAEFVSIFLYLYQGVAEPVKARSARSVWATGAVAQPDHNSTRFCKATGGFGYHQFIKRAWLEGSEYLKDDRFSIRCDVIVSKELRTEETKPQFPLVVVPPSNLHQNFGELLASEEGADVTFLVAGETLKAHKCVLAARSTVFKAEVFGAMKESTDGAVIRIDDMDAQVFRALLGFLYTDTLPESLNTKEKEGAAIAEHLLVAADRYNLERLKLICEEKLCSHIDTDSAAIILTLAEQHQCHALKEACFRFLSSPLTLDAVVATDGFDHLTKSSPSVLKELMSKDRCCGPCAH >Dexi5B01G0022210.1:cds pep primary_assembly:Fonio_CM05836:5B:24453184:24462277:-1 gene:Dexi5B01G0022210 transcript:Dexi5B01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGSHGATVSFGSSVSAIVSAAAASPLPISEASCAAAMAFEKIKVANPIVEMDGAKLINFPNQFGIDILCHFLTTGDEMTRVFWQSIKDKLIFPFVDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKHMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAAASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEADWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDLALKLEAACVGTVESGKMTKDLALLIHGSPSVPRSHYLNTEEFIDAVAAELRSRLAAN >Dexi6B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:6B:898009:898470:1 gene:Dexi6B01G0001100 transcript:Dexi6B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFQGNARAFPAARSTAARAAERSPRASTEGCAATPSATARACTCSPGKRARPAVQRRDEREEAENEEAGGGGGGGGGGDGDRAGAALSQSGGRQRRMRRRWCLDSAAGGGGRGGGRIEWPAAATAAFVASHLAAGGEGGGG >Dexi3A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:3A:7949913:7950588:-1 gene:Dexi3A01G0011170 transcript:Dexi3A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKPVAAAAPYSQPVVRSRCSSSTFAAATPTAGVAGQHASARLPRRSPAPSPAHAPFGFAAPWASPPTMAAFAMDAAPNLADRAVKLRRHISPARMVSPSPSLPQSVPTTVPRRWSTPGSSTTDWEFESVLATIWRDAAAGQNSSSVSLSVARVPIRGTAGAPPRGLVRAERSTPFLRRDTQSHNGVDAPCVFYPT >Dexi2A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:2A:33754756:33755758:-1 gene:Dexi2A01G0021740 transcript:Dexi2A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQQPHVCVTGAGGFIASWLVKLLLSRGYAVHGTVRDPCNPKNAHLRLLEGAPERLLLFKADVLDRDALAAAIAGCQGVFHVASPVPADKKVVVVSSTAAVYFNPSWPQGRLFKDETCWTGIVLPRQLLKRQHWSMERRMD >Dexi4B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:4B:8711166:8713515:-1 gene:Dexi4B01G0011310 transcript:Dexi4B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAAALALPALMATALLLSTAFFPSASAASSYPATASTAVAGRSMVRYEGGYAVDTVFDGSKLGIEPHAVEVTPAGDLLVLDSINSNIYRAS >Dexi5A01G0039000.1:cds pep primary_assembly:Fonio_CM05836:5A:39746997:39747691:-1 gene:Dexi5A01G0039000 transcript:Dexi5A01G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIGRFFDAVGAFFSGGENIPWCDRDVIDGCEREVAEATTQEHEKEGIMRLSWALVHSRNQDDVLRGIGMLQASLVGATTSPLQAREKLYLLGVGHYRNGDYPRSRQFLDHCLESTC >Dexi1A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:1A:9201880:9208358:-1 gene:Dexi1A01G0010670 transcript:Dexi1A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSSGKCQIIHWRQGHKETCQKWIGSGSSSFGGSGTEASEHIPFLTNSPLPGGDIHLRDMNFDTLSEPSFPTTDGYNLDTDPFPTDRINMNKSNQGLHTSENGAVGVSYEKSSYNADDEIRSSDVLSGNKVPNNYFGCTDAVSGNSDAAYPVKSNVQQPSSCAPEIRKRTKASITVFEPVMGVYLTSDMVSSCEGPYASGNEPLPRSLSSGRTIGKSNVVNKRPPCPSGKAASSQKSQERVLTYQNDGHEKNPCNKNDQRSTQTTESTSSNLQGSNGISKFGASKVEVLKKPSKFLKTSLVGLINDNKRNKVLFPYEDLVKFFQYEARGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTVIQQMFGGRLKSKVKCLRCHHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQVQVVSVNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPVLTSVKRHTSKSSKGSKHERKQTELLFSANDQTYGVYDFRPDGEGYTQDQHTELRSRDFHQGDDAFADSVSTDFSEAASSEWSLFTSSDESSFTTESTRDSFSVVDYADNAGLDPISSIFGPSYAPEHPPGNFVSCTRFSPSNPQTRYFSESTDFVSDSSMPTHPHGNVHRGRYPDRACASSAEPLASAHQRSGYGRYPLSRDGFVQTSGFCQM >Dexi9B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:9B:13816154:13817467:1 gene:Dexi9B01G0019210 transcript:Dexi9B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGSSNIGFQLKLFVEQGRLEPVETRVKNNKRGIGSKEPKLKPKVEDNVEKDPKKPKQDMQSKKKAKLAAKRIRKMQEEEKRLQEKEFEMAFFREFWPDNV >Dexi3A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:3A:192161:192874:1 gene:Dexi3A01G0000180 transcript:Dexi3A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAPRKEVVAVGNVKAKQADAASGGGERKPRPQLEHALNCPRCDSTNTKFCYYNNYSVTQPRYLCKTCRRYWTQGGVLRNVPVGGSCRKNKQQRAASASSSSSDSSKKRNNNNTPQLMMTSDFPNVLPTLMSSNPLLAGTTFFMDVLRGGVDGNSAPSFGFGMHGGSSTASQQEHLVGPLPQGHLPAGGGGRAHQWPPTARGEAGDDGNNNHHNWQGRGGGGGGLINDNSSDSLV >Dexi9A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:9A:16063294:16064941:-1 gene:Dexi9A01G0021060 transcript:Dexi9A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSRLRKLQVKPKVEEAKTPKTKLAQTEVETPAKNQSQTTGSKTIFVGNLSYSVDREQVKQFFEEAGEVVDVRLSTFEDGSFKGYGHVEFATAEAAQKALEFANHDLMGRPVRVDLAIERGAYTPGSGRDNSSFKKFAARSGNTVFIKGFDTSSGEDQIRSALEEHFASCGEITRISIPKDYETGASKGMAYMDFKDPDSLNKAYELNGSDLAGYSLYVDEAKPRPDNNSGGFSGDRRGSFSGRGGRSDRGRSGGRGRDGGRGRGFGRGGRGDRGRGGRGTPFRQSAGTASTGKKTTFADDE >Dexi9A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:9A:5078201:5079041:-1 gene:Dexi9A01G0008580 transcript:Dexi9A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIKIKVDLECCRCYAKIQKVLTRIQEKGEFCIDDIEYDEKNNKVIVKGPFDADKLADKLCCKACKIIKEIEIVEPPPPPPPESPKKEEPKPPPPEPKEEPAPPPPAKVEPAPPPPPKEEPPPPPPKKEEPKPPPPQPKVVEVPYPWPCPYPWPAWPSDCCCHHGHGGCHCCSCDKAPEPAPAPAPAPPPPQPQYYPYPMPQYVPQPYPCNPVGYRVCCEDDPSYACSIM >Dexi3A01G0036230.1:cds pep primary_assembly:Fonio_CM05836:3A:41640294:41642552:1 gene:Dexi3A01G0036230 transcript:Dexi3A01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDFLYVHQQRVPPLADSSTAGAIPATTTGTTLPAAAGTTTAAAAEALQSSSPPATPSAAESTSSSTAPKKFPLPNPGVEPQPVVEPHPGVEPHIGGGGEPYNPAAEVASALPVPTPDELPSGASLGFGDHGEGVLGYGGPGDACCGGPGGYGWFGGPGGFGPGTYGYNGPLYWGAAPVTAANVVVPLLLACVAVAVLA >Dexi5A01G0027390.1:cds pep primary_assembly:Fonio_CM05836:5A:30891772:30894222:1 gene:Dexi5A01G0027390 transcript:Dexi5A01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASSCTSSWARRLPCLDADGSDRLRGRNLSGDLLPSLGPATPLPHKLRKHLVSPYDPRYKVWEIFLILLVVYSAWICPLEFAFLRYLPRAPFIVDDVVNGFFAVDIVLTFFVPYVDSKSYLLVDDPKKIAVRYLSSWFVFDVCSTFPFHSMSLLFNRHEHSLGLKFLNALRLWRLRRVSSLFARLEKDIRFNYAFIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPDFRESGLWVRYVTSLYWSITTMTTTGYGDLHAENTREMLFGVVYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQAASEFAARNQLPKRIEEQMLNHICLRFRTEGLKQQETLEILPKAMRSSISLYLFFPVVQGCYLFKGISSGFIQQLVTEMQAEYFAPKEDIVLQNDKPSHLYLLVSGAVDILAFLDGTEQKLRMEQRLFEQRLDP >Dexi9A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:9A:9628322:9628780:-1 gene:Dexi9A01G0014560 transcript:Dexi9A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASLLHLATTPIRSPRFSLPRHPTTSHLPAPRRRRLGARLAPPPRAYKVTIEHGGESRVVEVEEDETILSRALDEGLDVPHDCKLGVCMTCPARLVSGKVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATADD >Dexi2B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:2B:3247929:3251951:-1 gene:Dexi2B01G0003740 transcript:Dexi2B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAGDAWPPPDPHPAHALHPHPAPAHAAPQALAAAPSQRDMSASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGFQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPESDIGYHFGSLLDNQEGVDVILNVGGERFHAHKLVLAARSHVFRSQLFDDESDGEKSEVDESDELKEFCIDDMEPKVFKAMLHFIYRDTLVDDNELGASSSDGSVFDTLAAKLLAAADKYDLGRLRLLCESYLCKGISVASVASTLALADRHRAMELKAVCLKFAAENLSAVIRTEGFLYLRDNCPSLQSEILKTVAGCEEPCISGGKEPCQSVCGQLSDGGDTTGRRVRQRL >Dexi6B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:6B:22491084:22491776:1 gene:Dexi6B01G0015090 transcript:Dexi6B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSALIALLVVVSCAAAASAATTFNVGDTSGWTLGVNYDNWASGKTFTVGDKLVFTYTTGAHDVLEVSKSDYDNCNIGSALVTNTNGPTTITLTSGDHYYICSVASGAHCKNGMKLAVSVGSGSGSPSSPSTPATPSSPSTPSSPPSSSTPAAPAPSAASASPAAPALAMAAGVLLIKLALF >Dexi2B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:2B:871558:871977:-1 gene:Dexi2B01G0001380 transcript:Dexi2B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEVVTGDAICRKKSMELLEELGLPKGLLPMEDIKEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFVEKGKLGKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDSFDATAFD >Dexi3A01G0025990.1:cds pep primary_assembly:Fonio_CM05836:3A:22008274:22011033:-1 gene:Dexi3A01G0025990 transcript:Dexi3A01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRALPPSRAVPPRSCLRALPPSCTRPAGTLQARCSSTAPSSSLPAAAAAASSSRWPRLALLDQHASQAAPMDVDSEASAVPGPAAPAAPLRQVLPARRLMGPPFTVENIFRSFTMRRVALIRALTTGMPVMYLFGNKDGSWEVKPPETYVPHSEPEPAVGINKARDSMKRHEWLQEVARHSDAWLISISFYFGSFLTAEQR >Dexi9A01G0028380.1:cds pep primary_assembly:Fonio_CM05836:9A:33063596:33067369:-1 gene:Dexi9A01G0028380 transcript:Dexi9A01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARTQKALGALPQLVKSLRSEPVSGGARLRRLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAESLSIFKVVHPIPEILILGCGRHIQLVSPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPYGVTC >Dexi5B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:5B:655732:656889:-1 gene:Dexi5B01G0001030 transcript:Dexi5B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNANGGGARSSSRLRERLARMFRPASLLRSTCNTTANTCSSSSSSSSSTRAPAAAAAASYKAPPPASACSSSRALLADAAAVARDGDCSSFLASSRRDLVARTESFSTAVDRLHRRAAVAPAPPSRFSVDARPALVEHTTTNKEKEKSPREHHHLGLGGDKMKTKLLSNPYGFSTSDDEATDVVFSTDAEDDLAARGVSSITGSKKLIGGDSAETTTTTTFFSSSRSFSSDSSEFYTTTKKKNKNKSKSKSKNTKPPPAPKKKKPHQQKMVATGSSIKRHQHRRAGAAASSGCDTCGVSDGFRPAVALCAAEEQVRRGFAVVKRSRDPYADFRSSMVEMIVGRQLFGAADMERLLRSYLSLNAPRHHPVILQAFSDIWVVVHGG >Dexi8B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:8B:27704516:27707385:-1 gene:Dexi8B01G0016500 transcript:Dexi8B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSPAYHSPPRRGHGGRGRSPPPRRGYGGGGGGGGGGGGRGGRGDQGSVSLLVRNIPLRCRPEELRAPFERFGPVRDVYLPRDYHTGTVSFSFILTITLSAPPWPWSYSPAPRRRDDYSASPPRAKEEQRRSSKQPKEIDGDKKRRSYTPEDMNDRRGGDNEEDEEPRRGRRRSPRPASVSPPGSRSRSASPASSG >Dexi3A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:3A:6364011:6368487:-1 gene:Dexi3A01G0009180 transcript:Dexi3A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNVPAYLLLALLLAGTAASAARRHLPPVNAASAAAPVYIWPLPRSSTSGNRTLTVDPDLALDPKGPGGASPAVAEAFQRYRGLVFAPWAHAARPRGGRYDVAKLTVVVASANETLALGVDESYAIYVAAAGGANSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRNAPWHILDEPRFPFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKWERYTVEDAQDIVRLNEHNMTTKDAYKYFVLKAQELAIKQNWIPVNWEETFNSFKENLNPLTVVHNW >Dexi5B01G0027070.1:cds pep primary_assembly:Fonio_CM05836:5B:28685652:28691438:1 gene:Dexi5B01G0027070 transcript:Dexi5B01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALALASPLRRLLRAPHPRGAIPAPYYVITRGRCGAAVAVAAAAARDSAVKGNVDRNAAEEVRNILDMLIKAERASKRRDVFHTNFLTPPIIKEAMLAIDKLADIKAVAQGGYPQAERCRISVGHADSMTSNPDVVAALSISGNFRLEPCSHGDFLGAILGTGITREKVGDILLQGERGAQVLVDPELVDYLISTLEKVGKVGVSCSQIPLLALEYEPPRTKSFKTVELSLRVDALASAGFKISRTKLASLISAGDVRVNWTPVLKSGVNLRSGDVVSVSGMGRIKF >Dexi1A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:1A:8078220:8079536:1 gene:Dexi1A01G0009720 transcript:Dexi1A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQAQRLFLTPSTSTSSSSSTFTARRRSAAAPCRAAVRVPNGLQATASPADLSLNLNWIDAHLSPSSSPSQQQQHQDVTGGAAAVAAEKLRLVAEAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASAMAALAATMPPLKASAGVLLATAAVTMAAVNTIQPSQLAEEQRNATRLWRQLERHLRASLLAAGNITDADVQDAMDRVLALDAAYPLPLLPGMLEKFPKSVEPARWWPRRRAHHQPKSTRRSKSFGRRGVATNGNGWTPELEEEMRGLLRVLRAKDEHQYLTVGKLVLTLNKGLAVAGPTLAATAAVASAFIGSAGGDAAATWASGAAVVCGAAAAAANTVEHGGQMGMVFELLRNCAGYYRKLQEDIEACLGEVDVERRENGEVFETKVALLLGRSSSELKQFRRMASASFKDEDIKDYAGKLF >Dexi9A01G0037760.1:cds pep primary_assembly:Fonio_CM05836:9A:42037757:42041669:-1 gene:Dexi9A01G0037760 transcript:Dexi9A01G0037760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPKVEGFSIPRPTSYSFERSQPVPRLYRPTDDPDLDDIAFSEDAPTDAPVAAAAASKAEDEEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDDDEDDPVESFLRAKKDAGLTLAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVLDKKKIEPIPALDHSTIEYDAFTKDFYEEKPSISGEAPGMSDQEVADYMKSLAIRVSGFDVPRPIKTFVDCGFPVPLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFASKKARVDEIEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEARFAGELVHSLIAAGQDVPNELMDLAMKDGRFRAKRDSRKGGKKGGKGKGGGGGAGRGRGVRGVDFGLGIGYNSGSGSQVPAPRTVAVNSLKTGMMQQFKSSFVSGSSNTSSASAPSFVRPALRGFVSGGTIGGDGRPAQPAPSFVPASRPAQPAPSFVPAQPAGNSNENGNSSQER >Dexi1B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:1B:22956228:22958665:1 gene:Dexi1B01G0016470 transcript:Dexi1B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERAKMLVGMERLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGRDHLFGSTAFLIGPKRQFDMMLDSVRIYATAIYIASIIIALFCALLVHSKLLTLLAIILEFGALVCTSI >Dexi1A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:1A:3597277:3598795:-1 gene:Dexi1A01G0004950 transcript:Dexi1A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNGNTAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAINEEQ >Dexi9A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:9A:9462969:9465872:1 gene:Dexi9A01G0014360 transcript:Dexi9A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHARLVEKDGVTSVWGITGLGKSSLVRAQYYKSLIAIYEQPAFTVEYLFDTYGRAKYERYSWVDVPNPFNLVEFARRLLLDFYSDDYQIKQMAAIRMVEGLDPIHWCRKLLGAGNCLIVIDDLRSTEDWDMIKAVFLPHLRNGNSTMVVITSQATVARHCVVNDESKMVNIKGPDADTAFLLFKKEVWGEDCDLTPEEEKLSKDTLASISFRQQDSAETFDKKMDCGGLL >Dexi8B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:8B:9920935:9924877:-1 gene:Dexi8B01G0007890 transcript:Dexi8B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKGKGRSKSSKASEAAAAGDAGAIPAAGEAGKGKKKASSFDEALLVAGVRGKQQPAAVVGLPLPRPASLPTPLPSASASASASASASSGGGGSSLGSSAASDEQLDLGAYSEGGQMCAIKEVKVISDDSNSKECLRQLNQEIVLLSQLSHPNIVQYYGSDLSNETLSVYLEYVSGGSIHKLLQEYGPFGETVLRSYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTILEMATAKPPWSQYEGGQTSIAISSYTSLSPLRDPDTMIRNLPGPTSPIPSTANRRIASINPSNVRMNMSLPVSPCSSPLRQYRQSNRSSLPSPPHPAYSAGAANYSPINNALYPLRPSSGLTDPWLEISQLKTQTFDSPRRL >DexiUA01G0021040.1:cds pep primary_assembly:Fonio_CM05836:UA:43344050:43346542:1 gene:DexiUA01G0021040 transcript:DexiUA01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPFLQLAVLLKLLCLASALNQDGILLLSFKLSLAADPLGSLSGWGYADATPCAWNGILCSPDSRVVSVVLPNAQLVGPVAKELGSIEHLRHLDLSGNALNGTIPPELLRAPELGVLSLAGNGITGGLPEQVGQLRSLRALNLAGNALSGAVPRNLTLLPNLTAVSLANNFFSGELPGGVFFPALQILDVSANLLNGTLPPDFGGAALRYVNLSSNRISGAIPPEMATNLPANVTIDFSFNNLTGAIPAVPPFSTQRAAAFEGNAELCGKPLDSLCGFTSSSAVEPPNGTAKSPPAIAAIPRDPTEALPGDDAAAGASPASGEQRSGRMRLATIVAIAAGDVAGIAVLFVVVLYVYQVRKKRQRQEVAKQRMAGVVFKKPDPEDSPDGTLGRSLSCCLRKKAAGDDSDDTAEEITDTSASFAAAGKGGVTVTDNKNSKDGHGVEAAASKKKGGAVLVTVDGGADLELETLLKASAYILGAAGGSIVYKAVLADGAALAVRRIGSDDAAVRRFAELDAQMRAVAKLRHGNILRLRGFYWGPDEMLIIHEFAVNGNLANLSVKRKPGSSPINLGWSARLRIARGVARGLAYLHEKKWVHGNVKPSNVLLDADMEPMLADLGVHRLVRATDAGIKPSSSSSAPAGRFGSKRSAKSLPDLPPASPLAGADTAAHYRAPEAARTTKASAKWDVYAFGVLVLELVAGRALTSVELCQCAAEDKAQLERLVDPALRGEVEGREEAVASCLRLGAACCAMAPGKRPSIKDALMAIERIPALADASSSSTSCSTSTAAHR >Dexi7A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:7A:28870411:28871521:1 gene:Dexi7A01G0019880 transcript:Dexi7A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGGVKTACVTGGNGYIASALVKMLMEKGYAVKTTVRNPDDKEKNSHLKGLQALGHLEILRADLDDEGSFDEAVAGCHYAFLVAAPVNLASQKPEEELIWPAVRGTLNVLRSCAKAGTVKRVVLTSSAAAVVPSRPLPLKGSAGLVLDEETWPDVDYLGYCVSKVLLEKAACRFAMEHGINLVTVCPVVTVGAAPASKVHISVPASLSLLSGEDDRKQQRKTFHSFIENI >Dexi9A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:9A:7753139:7758418:-1 gene:Dexi9A01G0012250 transcript:Dexi9A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGIDAGHACAARGLGAPKISVRSRTPSPISPRRGADRQARGGGITHTHNKRPPERGNVRARPAKNEAAAARGYTRRDGNETSGAEAADVARGPGQAAGSAGNTQAPPHTVPNLAAHFFSLSSLLFSTSAFNPLRQSPSHTARPRRHVAPLRLPCQNALLAGPPAINALRELSAMLGLGGIIEGLTGANKSSQLKGTVVLMRKNVLDLNDFGATVLDDISEFLGKGVTCQLISSTLVDSNTPSDTRRALTLQASDNGNRGMVGAEANLERWLTISLPSLTTGESKFSVTFDWEVKKLGVPGAIIVKNYHAAEFLLKTITLDDVPGRGTVTFVANSWVYPVDKYRYSRVFFSNDTYLPSQMPSALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGEPDRGNPRPVLGGSTEHPYPRRCRTGRKPTNTDPNSESRLSLVEQIYVPRDERFGHLKMSDFLGYSIKAISQGIVPAVRTYVDTTPGEFNSFQDILNLYEGGIKLPKIKELDDMLKLFPLQLVKDLLPTGGDYLLKLPIPQIIQGTLNMFALIEELTECLRFVVTSSCATEDKNAWRTDEEFAREVLAGVNPMMITRLTEFPPKSTLDPSKYGDQTSTITAEHIEKNLEGLTVQQALDGNRLYILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLRGDGRLSPLAIELSEPYVDGNNLTTAKSKVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWYVPFVIATNRQLSVTHPVHKLLHPHYRDTMNINALARQTLINAGGIFEMTVFPAKYALSMSSAVYKSWNFTEQGLPADLLKRGVAVPDASSPYKLRLLIQDYPYATDGLAIWHAIEQWVSEYLSIYYPDDATLQGDVELQAWWAEVRDVGHGDLKDAPWWPKMEAVSELAGACTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTDAYAELERDPELGFIHTITSQIQTIIGISLIEILSKHSSDEVYLGQRDTAAWTSDARALAAFQRFSDALVAIEGKVVGENRDPQLKNRSGPAEFPYMLLYPNTSDRTGAAAGLTAKGIPNSISI >Dexi4A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:4A:16212032:16215307:1 gene:Dexi4A01G0014260 transcript:Dexi4A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSHLLLVVLTTTLLLCNTIPSTSGAAATTTVNVTSFGKAYAKVCDGDRFAELGLNMSTFAYCDASLPYADRVRDLIGWMTVEEKVGNLGDVSRGAPRVGLPPYKWWSEALHGISSTGPSTLFDSLRSRPGNHSGRATVNNGTVFANVINTAASFNETLWKSIGQAVSTEARAMYNLGKGGLTYWSPNINVVRDPRWGRALESPGEDPFVAGRYAVNFVRGMQDIPGHHSGDPYARPIKTAACCKHYAAYDVDAWHNHTRFTFDARVTARDMAETFLRPFEMCVREGDASSVMCSYNRVNGVPACADARLLSGTVRGDWGLHGYIVSDCDAVRVMADNATWLGFDGADSSAAAIRAGLDLDCGESWIVDEEGRPLRDFLSVYGMQAVARGKVREADVDNALRNQYMTLMRLGYFDNIAEYAGLNETDICTDEHKSLARDGARQGMVLLKNDGDLLPLDPKKVLAVAVHGPHARAPEKVMDGDYTGPPCRYVTPRQGISQDVKISHKAHMTIYFGGINLHIEREGNDREDIRLPKNQTEEIIHFAKASPNPIILVILSGGGIDISFAQKHPKIGAILWAGYPGGEGGNAIADVIFGRYNPGGRLPLTWYKNKYIKQIPMTSMELRPVPELGYPGRTYKFYDGPEILYPFGYGLSYTKFHYETATNGTSVKIPVPGGHCKGLSYKPSVATTPACQAVNVDGHDCTETVSFNVTVTNAGARGGAHVVLVHTVPPPEVAQAPIKQVAAFRRVFVPAKSAATVGFTLNVCRAFGIVERTAYKVVPSGVSKVLVENGDSSSSVSFPVKIKLSV >Dexi9A01G0026040.1:cds pep primary_assembly:Fonio_CM05836:9A:27583752:27590598:-1 gene:Dexi9A01G0026040 transcript:Dexi9A01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRTTPRGMGARDSPATPLHAVPPGKVLERVGLVAGAAAREPALPGADVSCAVTRDSGPGPWGGRQRQGFVGPPLTAVDLDWTRRYAGLHPRNEILYHHAVKHYSAMRTFQGQNPENSRDHTCKSLPSKKKLCKVPESVEVHIIDGDDDDSGKDYSAQYMSKQLVLYNPEVTHDEQSDIDHCTSPRGSSKKPRYGHGTVLPSIGAYTVQCASCYKWRIIPTNEKYEELRESISQELFLCTRASEWNRALSCDEPEDISQDGSRVWALDRPNIAQPPPGWDREVRIRGASSKFADVYYTSPSGKKLRSLVEIGRYLEKNPQYIREGVNLSQFSFATPKPLQEDYVRKRTLRDAHELPEFSEIAEVDPLCWAVPPTCTELLTGPDSSTSDPASVNQPEMSDRQPEASRPPARNQKMRTLEQVSSRKCQMTSPAASTPFGEQSGGHFIDIDHVPL >Dexi1A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:1A:23362582:23364591:1 gene:Dexi1A01G0016330 transcript:Dexi1A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGGSERRPRLMRGFASKIEPKKLGVGLIAGCCLALLTYVSLAKLFAIYSPVFASTANTSALLQNSPPVSSKPSVPETEAIPPQETPAGGGDRGDAVDLPEGSEEPGLPEAAIRKDMAAVSDEPGLPEALSRRKDDGENAADPKPSEEGEQKPNGGGEGKMTCDENGVDEGFPYARPTVCELSGDIRVSPKQKTVYLVNPSGGDSGFDASGEKKLRPYARKDDFLLPGVVEVTVKSTPSAAAAPACTKRHAVPAVVFSIAGYTDNFFHDMTDAMIPLFLTAGHLKGEVQLLITNYKPWWVQKYTPLLRKLSNYDPINFDEDAGVHCFASGFVGLYRDRDLILSPHPTRNPRNYTMVDFNRFVRGALALPRDAPAVLGEEPGMRPRMLIISRSGTRKLMNLDEVAKTAAELGFNVTVAEAGADVPAFAAQVNAADVLLGVHGAGLTNQIFLPTGAVVLQIVPWGKMDWMATNFYGQPAKDMQLRYLEYYVGEEETSLKDKYPRDHTVFRDPAALHKQPIRWETFAEIIMKQDVSVNMTNFRPVLLQALDKLQQ >Dexi7B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:7B:8285383:8285883:-1 gene:Dexi7B01G0003400 transcript:Dexi7B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNDSGSLFSSGKLVAEAATTVFQQKSVDNIDKKEVAGAASEILHAASSYGKLEDKPAGQYIEKAESYLKEFSSGAPAAAADAKPAGDEAPAAAAAEAPKPAEPAAEAPKEAAPAAEEGKPEGFGLDDVVKGAEQLVEKQGGGGDSASGGGAGGLFKMAQGFLK >Dexi4B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:4B:21969645:21974619:-1 gene:Dexi4B01G0019840 transcript:Dexi4B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDPAAATSTAAAAVAGGGVDEGGGGGGKQQHPQRGAAVMAPPPMAVPAPATAPAREEVRKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNGLYCSISQAVLSQQHPPQREQGYVMSMDTSTAVGNASANAAVPSWDSSLVQPFSASHVQGAVAVATNNCSSSIESPSGTWPTSEAVDQENVVPLVRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDMETVLMLMGNLSANLTNPAFEEHRKLLSSYSYGGDDYIKSEGTENLDLLRVAISHSW >Dexi9A01G0028830.1:cds pep primary_assembly:Fonio_CM05836:9A:33569050:33571796:1 gene:Dexi9A01G0028830 transcript:Dexi9A01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRSQHAKHHHHPPATTPHTRFRTQAVLEPPVDPGSDPEYQDFQFRFVPEVFELQMGGLGVGGGGGGGGKNGDGKVTEKKVLAFDFDKVRLSIASSDDDDDEEGAPPRSSFSGASHPPEPVDEMDTVFVAVDGRGDKPAVPKPPAISWDASPPPSGAASPHSSIDSSGAAATVTSVAPTSCTVTSRSAKTSVSSSAASDWSNGTGSGAGAGGGSAGKPHKGGDPRWKAILAARSRDGPLAMGSFRLLRRLGCGDIGTVYLSELSGANGAARPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSLNPDPRNAQTCAQPTCIQPTCFMPKLFSHKSKKSSNTTTKKPKGADAKQQQASAGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELIYGKTPFKGQTNRATLFNVVGQQLKFPECPGTSNASRDLIKGLLAKEPQSRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPAAVAVPAKPKPAPPVERVDVNGGSKRMAGAGVESGGKFLDFEFF >Dexi1A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:1A:28415715:28416777:-1 gene:Dexi1A01G0021690 transcript:Dexi1A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSISSYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGASSNKSDQMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQTKKSEAAEAAQEAPAEAGVAE >Dexi3A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:3A:8175806:8177280:1 gene:Dexi3A01G0011440 transcript:Dexi3A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDPDLTDMNVAALLTYPLLCSHISKLGETYLEREKNRKKRPRREAERIPRPSDQANSIHPLVSQKHRRRLAGTHTSTTSRPDMHPRRPESRTQIVAPVPVVYVPTELRPAKGTRSALGNLERERERPAFGFGTLEAWTRGGLDGSMGPERTRRISSSASHEGTTGPNPTSARRIAPPPNPSRHFSTVANRRHSSSSRPDLTTLRLAPLLPLSLGPSIVRSGTAPPFDDEATYRRYFCLRLWIVGLEAALQHHASYGNTRYLHP >Dexi9B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:9B:3703098:3703757:-1 gene:Dexi9B01G0006260 transcript:Dexi9B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSALVVSSAVASGAEARQQQHPLSQIAASGTHRLLLKQWVKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLVLFLFLSSSASAPSAAATAAACRRSWIPCLVSLLSSLAMLWALRYKSDTEAVLERVLAREREDALLLGRCVSELKRKGLEFDLLKEVDALRRAKSLRVEAKGADKPRRWQARDLAVFALFAAACGVLVLTRFLLCN >Dexi1B01G0021210.1:cds pep primary_assembly:Fonio_CM05836:1B:27174448:27175319:-1 gene:Dexi1B01G0021210 transcript:Dexi1B01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFAFGSVGDSFSTTSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKDAALDPAGLVAVAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVAGISAVEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLVGGGLAGLVYGDVFIGGNYQQVSDQDYS >Dexi8B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:8B:27597957:27605620:1 gene:Dexi8B01G0016430 transcript:Dexi8B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPETIVLVLIALLVLLSVLGRLCRDECKNDDDQEVANNNDQEAGRVAESSSSRAGEGQQQQLVCTYLRADGWRESSCGVCLAELADGEAVRVLPACMHYFHAACVDEVFFAQHPQARSMQRAEQPRQRL >Dexi9B01G0043460.1:cds pep primary_assembly:Fonio_CM05836:9B:43417808:43421156:-1 gene:Dexi9B01G0043460 transcript:Dexi9B01G0043460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTDKGGNPDLIRESQRRRSAAVELVDDVIALDKAWRERQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMESTDEIKKRLAAKEVEVQEAKSTLDAKLTTIGNIVHDSVPVSDDEANNAILRTYGEKRVEENLKNHVDLCRMLDIVALDKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPAELPIKYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNGNESWDMHEEMIKNSEDFYQEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQREDGVEIPKVLQPYMGGIEFLPFKQPLDVKAADSKSNKSKSKVFIFLCWSLSKNSVTPNFIFI >Dexi9A01G0027670.1:cds pep primary_assembly:Fonio_CM05836:9A:32351938:32353317:1 gene:Dexi9A01G0027670 transcript:Dexi9A01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWCAAGGLGRRVLSSSSSAAVASHARPLPPPVIPKLPSFTVPFSSSCRRHHSLHAPLPHGLFHPAIASSLRPPSAHQQQVRHYAKERSRAPLTPTKSKVKKYKMKAPSSMKFRFRTMNDGQIRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCG >Dexi5B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:5B:117687:118890:-1 gene:Dexi5B01G0000230 transcript:Dexi5B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLLLMQQQQTEAAEGKQQQQQSKKKKRSLPGTPDPEAEVIALSPRALLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSSGKDKKKRVYVCPEASCVHHHPSRALGDLTGIKKHFCRKHGDKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLNASTTSSLLFAGPNNNNTMMTIASAPLLVPPQQQQQQQHQHQQLYPPLFFSGAGSANAVMAMPHAQLGMGWLSSSNAGELTPDQAAGSVASSLFTSGGKQMQQHAMAKPPDMSATALLHKAAQMGAVTSNAAQTHLTAAAYDDVLSAVRHHAAGREEETRDFLGVAVQPLGSMPLH >Dexi2B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:2B:5732356:5739162:1 gene:Dexi2B01G0006150 transcript:Dexi2B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAGTLLFPLPDQIQIHAARLPVPTPVSPRTSTSASPLITPNPARPPLAPNPSLRRFPAPGAARLGRLPRARAATPTAPAAMAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSNDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGVSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSLDVPLVNLETSLRNYEPSDAPFDISSVSKETKSQPLAEKKSTGKKPTGPASAVSGPVSTVDASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQFNCTNTIPEQLLEQVVVFVDASEAEEFLEVASKPLESLPYDSPGQTFVAFEKPEGVIATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRENLTGAVSAVISILGMQPCEGTEAVPSNSRSHTCLLSGVFIGNVKVLVRLSFGITASKEVAMKLAVRSDDPEISDKIHEIVANG >Dexi1A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:1A:25017419:25017943:-1 gene:Dexi1A01G0017630 transcript:Dexi1A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASSSIQPQAASISISNPVDASPMAPRCSEAPRALWRRALIAAAMLLSVLLLSSLPLTDASAAGSPSPSPAPLHHTPPPSPRPQHAAPGSTKTRAGHRIARHPAGKSAWQRLNFGERFGIGLAGVAAAMQVAVGAFLCVRARQLRRAAAASKAWEQQQEEETPVSPPTPA >Dexi9B01G0021280.1:cds pep primary_assembly:Fonio_CM05836:9B:15947149:15947727:1 gene:Dexi9B01G0021280 transcript:Dexi9B01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLVALGFVVLMSMGIANAVRVVRYSSADGTGTGGGYGGGYVNGAGSGAGGGTGLGESGSDGAHASAGGGGGGGGVSQYNGSGYGGGAGSGSGSSDHSYDGDSGYEKSSSAGGTGGGGGGGQGGGNWESNGHGEGSGTGSGSSYANTYWDGTNYANANANGSGDGKGNGENGGSGGGKGAGSGYGDANP >Dexi9A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:9A:4624158:4626316:1 gene:Dexi9A01G0007900 transcript:Dexi9A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAYVSILFLSFLFLFSLHRLVGRRHRKINYTKTTQRRLPPSPPAIPLLGHLHLLGRKPIHATLARLAARYGPVFSLRLGSRHAVVVSSADLARECFTEHDVCFANRPRFPTLELVSFGGATLPMCSYGPYWRNLRRVATVHLLSAHRVSSMLPVISGEVRAMVRRMYRSSAATPGGAARVELKRRLFEVSQSALMETIARRKTSRGVGEADADDTDMSPEAQELMKALDVFIPLLSAANKWDYLPVLRWLDVFGVRRKIMDAVSARDAFLRRLIDAERRRLVDEDDGSDSDGEKKSMIGVLLSLQKSEPEVYTETTIMALCSSMFSGGAETTATTSEWAMSLLLNHPDVLRKAQAEIDATVGTSRLLAADDVPRLAYLHRVVTETLRLYPVVPTLIPHESATDCEVGGHLVPRSTMLLVNAYAIHRDAAAWHDPDAFRPERFEVEEGGERLLMPFGMGRRKCPGETLAMRTLGLVLGTLIQCFDWGTVDGADGVEMAEGVGITLPRAVPLEAMCTPRQGMLRVLEEL >Dexi2B01G0023050.1:cds pep primary_assembly:Fonio_CM05836:2B:32614339:32615864:1 gene:Dexi2B01G0023050 transcript:Dexi2B01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHLLLSMSLLLTSLSGALSTTFTLTNSCGYTVWPGLLSSAGSPPLSTTGFELAPGDSRSVDAPAAWSGRIWGRTRCAADPTSGRFSCATGECGSGAVECSGGGAAPPTTLAEFTLNGAGGNDFYDVSLVDGSNMPMVVVPQGGSGGGASCGATGCLVDLNGPCPDDLKVVGPDGAGIACKSACGAYGRPQDCCSGDYATPATCQPSASSQFFKNACPRAYSYAYDDATSTFTCASGVASYLITFCPSMSSLKSSVSSTGVGGGATNPPSGSGAGLPLINDTVSFAGRGDGYSPYPYASASAPPLPAAACPLALAAAAFTWLCAVAPRHRLMRWL >Dexi2A01G0027710.1:cds pep primary_assembly:Fonio_CM05836:2A:39034503:39038268:-1 gene:Dexi2A01G0027710 transcript:Dexi2A01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTVVESPGCPPLRALTTDILGLVKVVEARAKPAGAAKVVETWGAPDADRAIVAASLADRATDPVLAVARKKGVVELLNPLNGDALAAVKTVGPAANDGGAEVDPLVALHLFTRQASDSMLGTFIACTDKGKASIRSITKENASSGSDAGPSTTWDVCSGGNVQFCSVDHGESYAIFGGKGIEVNLWDITSCSKTWSAKSPRANSLGIFTRPWFTAATFLCKDDHRKILACTNDHQVRLYDTALQRRPAISVDFRESPIKAVAADPNGHDVYIGTGTGDLASFDMRTGLDSYLRIWDTNTRQLLSAVFLKQHLTTVVIDSHFSVEEPEETKFKQLESSVEADAEVGKEKKSKIIEEDEAEAAVLKLKKKKKCKTIDEAETEAEVRKDKKKKKSKTIEDDDQSGVVDGNDSDGEMYARKEKKKKSRTVEEDEELDSDGEVCTTKRRKSGERSKCAKKSKK >Dexi2A01G0033290.1:cds pep primary_assembly:Fonio_CM05836:2A:43532251:43532796:1 gene:Dexi2A01G0033290 transcript:Dexi2A01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSLLLVLVATLVLTLFSPAVVLASGKEQRTRIRVYVHEQFSGPNATVGSVSPSPLGANSTFGEVGVVDDVLRAGPDPSSPEVGRYQGLFAGADLADANFFSAITLVFTAGEHNGSTLSIQGKYGFPGDEVLERAVVGGTGLFRLARGFSLLQVVSTPPEAAVFQLDLVVFTPRHRRD >Dexi9B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:9B:12442289:12445643:-1 gene:Dexi9B01G0017700 transcript:Dexi9B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCIASACAGCACNLCTSAASAVTRRSARLAYCGLFAASLILSFLLRQFGAPLLKQVPWINAFEQTPPDEWFQMNAVLRVSLGNFLFFAIFAIMMIGIKDQNDRRDAWHHGGWIAKIAVWAVLIVLMFCVPNIVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVNGSIMPASVISVYCAYLCYTSLSSEPDDYECNGLHRHSKQVSLSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRAGAKSPLLADEETGKGDGKESEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSATSERSELMDVGWTTVWVRICTEWVTAALYIWTLIAPLLFPDRDFS >Dexi4B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:4B:981395:982117:1 gene:Dexi4B01G0001660 transcript:Dexi4B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYTTYDEHEESPELTTGGEYATVMSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRAACLNFADSARLLRVDPSTLATPEDIRRAAIELAEASAHQDAAAAVASSSSSSSSAATGGAASEDAMATMMHHQQQEYADDYAAMYGNMDFEQSYYYDGMAGGGAVPGGGDWHQTGWHNMDGDDDGVAGGAGCGTDMTLWSYY >Dexi5B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:5B:9613266:9618185:-1 gene:Dexi5B01G0013480 transcript:Dexi5B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGSGAGAGGAPLLVGDGMVTWRDRCPGCRQQRKVHASDRIPYVEFLYIWISCLCAALPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISIVSVVVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAQKYPNLFSEESIFGRFPYFLPCFVISLLAAGSCIACIWLPETLHFHNDDKVEAIDEVEAQTGDSILEAGKVKESRGESTKNLLKNWQLMSAVMLYCIFSLHDTAYLEIFSLWAVSSRKFRGLSLTSQDVGTVLAISGFGVLVYQLAIYPFLAKYFGPIKPFRPAAVLSILLLASYPFMANLHGLELTILINIASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSMFKAVAPAAAGILFSWAQKHLSGLFLPGDQILFLMLNMVSVIGLVLTFKPFFSLPNATRRS >Dexi9B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:9B:7647341:7653176:1 gene:Dexi9B01G0011730 transcript:Dexi9B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKELEAKIKGVLMKEVKLEQAECSLDERVYCNKCRTSIVDFHRSCECCFYDLRLGCCWEIRKGEMSGEEVKSVWYEDGGRDYVFGSINLRKHKESPNSMATSEDPNTPLLLWKAKNDGSIPCPPKELGGCGGPFLNLKCLFPEKLLSELEERADRIVRSEIFAKAVEKEVMTYLESTTVVTGQWVHVVKKASGMDMQMKRKDQVNILTHTAEVAYNTYQLQMMEKTRKKMREQDLNELYGGLESGTDHGLSPSADFRDGACEDISDGTDINAVPIDDSKGVAKGQPSSHDSEVIHPIHDHSFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPYQVRNMKSCIKVAMGFVSPENLGECIKLSEEFRRLPCDHRAKEDKLEIKKIAIHALNAAINFLDCSCSEGGVRLETGEPMNKDEAWWPAPPKRLSQSPGVLVRCSELTADAAAVCALGRPALCFPSCQFLYGPPVTS >Dexi7A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:7A:79090:79679:-1 gene:Dexi7A01G0000040 transcript:Dexi7A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDQHLFRKNDSQHHQILQWETRYTYNVIMDVAAGLHYVHHEYERVVLHRDIKASNIMLDAAFHGRLGDFGLARVIAFEKTSFTDIGVSGTWGFIAPEYAVSHKATRNTDVYAFGVLILEIRPEPIAPAEHG >Dexi2A01G0032600.1:cds pep primary_assembly:Fonio_CM05836:2A:43035971:43037510:-1 gene:Dexi2A01G0032600 transcript:Dexi2A01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEEGQLGDFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVFTALSFLIFSRENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGREHLAALGGSLQSIAIAKSGIIKQQRPVM >DexiUA01G0008290.1:cds pep primary_assembly:Fonio_CM05836:UA:15432545:15435620:1 gene:DexiUA01G0008290 transcript:DexiUA01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGESPASSWLVPGPRDAAAKKAGETAEAAKDKALATKDAAAQKANETAEAAKKKLGEYGEGAVEKARQSKEAKQSTAARDDDETIMAEEKLQEYKHSAADAAREAMEYLTLRTEEAKNATKASVDAAEEVARQEVEATRQRTEQLAEAERRWKESDD >Dexi5B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:5B:5396831:5397601:1 gene:Dexi5B01G0008000 transcript:Dexi5B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAVLALLVLLPPPLAAACAPASCGNLTIKYPFYLSGHQPPHCGYPSFGVACDPTGSAPFLNNSYLRVLDIDYDNSSVVAFHANLAGDPTGCRATKFNMSSILAPSLLSVSRNNWELLLLSGNCSRPPAGSSSLSIPMNCTGSGEPWFLHLSQSYDEAAVEEVASMATGCQYLAVPVIPGSKLREKGDYERLVRGGFMMEWTVPGDCAECNASGGRCRFETEVNAFRCVCHDGSAQPATRVRGEFY >Dexi5B01G0033980.1:cds pep primary_assembly:Fonio_CM05836:5B:34244746:34245928:-1 gene:Dexi5B01G0033980 transcript:Dexi5B01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDDTMEQDFAARLRLAHSPSPAPPTAAAAAAASSSPTAGAGGIAFRAPQEQFTAADFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKVSYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSVGLIHRDVKMKGLVHLLEQPHTYHLRF >Dexi7B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:7B:19836820:19838756:-1 gene:Dexi7B01G0013320 transcript:Dexi7B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKGKWEKSSWGKKLIVQKTRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAADA >Dexi3B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:3B:6457191:6464518:1 gene:Dexi3B01G0009380 transcript:Dexi3B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAQVNKPHKTRFASKASRHAHKIDKVRSGKSESSHRAAVKGARAARIQQSKAIRDKKRAALLKEKRSSIGSLGAPRVIVLVGLSSSANVVSLAKDLLTFAEGGDGKLRSSTVASPTYKLRTTVLQAPYGDLTSCMELAKVADLLAFVLPANSLYSSDSSSPIDEFGSQCLSVFRAMGLPSTAVFIRFMWLFKEQHLSSPHWRNQRPYIMSEQVHVSGAGDFQLGQIDVLKDPYPLSERKSSDVMETEDNGTQIVNTFVPDSSNQEPLLTENVPDPLAGEQTWPTEEDMEEANANNKQRKLVKRKLPRGTSEYQAAWIVDDTDDEDNDSDNDNQAGSGMVIDEQGDADQGSDGSDIDAVSHFTEKFDEETVGDTEMADEENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKKRFAKYRGLKSFRTSSLDPKESLPPEYARIFAFDNFTRTQKHVLAKLAEIDEGTKDCALVGSYVRLHVRNVPTDVASKLCHPSRIPVVVSGLLQHESKMSVLHFSIKKHDSYEAPIKSKEPLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNREGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAIVRYMFHSPEDVKWFKARSMKCIFNSSVQQHDTVCMSLFKRAYPKWPEQLYQV >Dexi1B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:1B:9493635:9495428:1 gene:Dexi1B01G0010130 transcript:Dexi1B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDVLKVQTLVLRVNIHCDGCEKKVKKTLHKIEGVYQSNIDAEQGKVTVSGLLDPDTIIRKLNKAGKPAQLWGAKPNAPQNGHHGGGGGGKGQAKDAGGKGHSKDTGGGKGQKGGGGGGGGGSHNKGGGGGGGGGGGGGKEMKMMMPQLTPQQLQQLQMKGIKLPPELLAGKIPAAFPAAAPLKDPKSVKFTLPPEDFDDGGSDFDDDELDDYYDDEDYDDDGLDDDLYDDPKMMMKPMAMPLAAGGGDKKGGNGGGKKGGGGNEIPVQIKGNGQANHGGGKNGGGGQPQNAKGGGAHVGGNQPGQGKKGGGAVGVGGPMGGMLPQQAMMRPNMMGGGAGGFPGTGQMGGGGMSLLMGHHPHMGIMQHGGGGGGAVHGMPAPGFYQGGGGGGGGGGMPSGAAEMLQAAAAAGNPMAQQQYMLMQQQQQQQQMMNGHGHHHHSHGGGGGYYGYGRPPMQYPMAYPMPPHPHPEQYNIFSDENPNSCSVM >Dexi4A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:4A:5918677:5923586:-1 gene:Dexi4A01G0007860 transcript:Dexi4A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKIEELADAKRGSWKVTAVLAVVVMTVLVLISGRDVGAPVVWVQTAAASFRQGSNDLSFLHAHHDRLYGGLLVDGFDTESCHSRYQSAMYRRNSGRRPSPYLIYKLRRHEALQRRCGPGTAAYSNALDQLKSGKSVASPECTYIVSISYRGLGNRILAATSAFLYAVLTGRVLLVDPSNEMDELFCEPFPNTTWLLPPGFPLTNYTDFSVNTTESYGNMVRNKVIRTDAAGDVPSSQLPAFSYVHLDHDATDQDNFFFCDDNQRVLRNITWLVMRTDSYIVPGLFLVDTFQEELDTMFPERDAVFHHLGRYLFHPNNHVWGLVTRYYDTYLAAARQRIGIQVRVFGAQPFSPELLEQITMCTQREGLLPQVLATREPTFLPASRAAKSKAVMVTCLKPWYYEELKSMYWEHATASGEVVAVHQPSHEEYQHFGARSHDHKACAEIYLLSLTDVLVTTGRSTFGYVAQGLAGVRPWVMYKPTNGSAVPDPPCGRDVSMEPCFHMPPSYDCRLKKWRDPTKDVPYIQHCDDAFWGLKLVGRNK >Dexi9B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:9B:6871406:6873643:-1 gene:Dexi9B01G0010900 transcript:Dexi9B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNHYAALLSSAATAGRSAAHVAAAVHCLVLRTLPHPPPTHLLNHLLTAYGKAGRYALARRLFDAMPQRNIYTYNALLTTLAHARLLHDMEALFASMPERDAVSYNAFITGFSGAGEPARAARAYRALLREDTSIRPSRITMSAMVMAASALGDRALGRQFHCQILRLGFGAYAFVGSPLVDMYAKMGLIRDAKRIFDELEGKNVVMYNTMITGLLRCKMVQEARQLFEVMTDRDSITWTTMVTGLTQNGLELEALGVFRRMRVQGIAIDQYTFGSILTACGALSALEQGKQIHTYTMRTCYDDNIFVGSALVDMYSKCRSIRLAEAVFRRMTFRNIISWTAMIVGYGQNGCSEEAVRAFSEMQKDGIDPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMSYTTVSNALVTLYGKCGNIEDAHRLFDEMSFHDQVSWTALVSGYAQFGKAKETIDLFEKMLSKGVKPDGVTFIGVLSACSRAGFVEKGRSYFYSMQKDHGIVPIDDHYTCMIDLYSRSGRLKEAEEFIKQMPMRPDAIGWGTLLSACRLRGDMEIGKWAAENLLEIDPQNPASYVLLCSMHAAKGEWGEVARLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSKGIYEKLEWLNSRMVEEGYKPDVSSVLHDVADADKVHMLSHHSEKLAIAFGLMFVPKEMPIRIVKNLRVCVDCHNATKFISKVTGRDILVRDAVRFHKFSNGVCSCGDFW >Dexi3A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:3A:4657148:4665210:-1 gene:Dexi3A01G0006920 transcript:Dexi3A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSDTAPDAAAKAAFKNVPRRPGNDQDPAGGDGPADVAPPPASLSCNKKRPLVDRGVPEQFVHRRSEKRHRVLPTEQDAKNGGRGGGDATPAPSRKPEAAAAAAASASTSTERPVDWAEERIRKGKMPAVNASPPDQTSGDDERRHGESGGGKLLGDAIRSYRATGAAATGLEIKPLRISKSKSKSKKRKDRGPPFWCGMGRDCQSTSAAGSDLNYAFTNLVTDELRAALGQLAIAAAPVRVYGRMLAGCDRSKHQSRMQMSCKSWLRRGSGRGEFPLVAFLTESEKKAAHGGHGLAVEAYDRRGVAYDIIVKYLASNRSYRLTHEWGRFLKENGLVVAEVPGPKDVMVDLWLFRPPGGKVGMVIMHYFKGDAAHADAAFDEEEERENRARRLDNDDATPAAAAAMAASPPEPEERRGGKEAVVDGVAGGGDEDEKGRLGLGNAGVKGDVAASSELDGGGTAASEEQAGGAPSEVVVVAAPFSPDADATKADEKEATQSSLDATAGGGAAKAVEETEASTPTTGAAAAQVSATTAAGGVAKAVGEEAAVGPGEEAGVRPSPPDDGGGAKKAFGATALSLRFGLNERNHRSSRAAASPQPTTRTKRRRRSRSRRTLSRCGWLFYSFHIDDVPAGSVLHKCVVHFIPQHKQIPSRKQHPGFIVQKVYDLVEEKLWNLTDKDYEDNKQQEIDMLVKKTIDRIGQLPDLEPEETPIDNTDQLSNKQGLRKRPVNPIDVTREPPVGNSEQFMKAETPGSDKLRNYAILVKYRALNGDHYRDKWLDKLVECIPLASKDNAGASHADPDAAAEGSTNGSSSVDVNSAENEKYPPEVVVPIMAALESSAFEALGNDYAKYNQKLRQLLFNIKVGLTSAERTSEPEESRQLQMTDTRCRRCNEKKVGISDIIHAGYRDRYQLECTSCGHTWFSSIDAITTLTVDAPSTAANVGTAPWATAKFDVV >Dexi3B01G0032440.1:cds pep primary_assembly:Fonio_CM05836:3B:34825878:34828319:-1 gene:Dexi3B01G0032440 transcript:Dexi3B01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKEDLESPLLLANDGKPAPADAKNGSSYALVCALLASLTSIIYGYNRGVLSGAQEFVQADLGVTDGQLELLIGATSVYSLVGSLAAGWTCDRAGRRRAVALSAAMFLAGSAVTAAANGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIACNSGILLSYIADYALAGLPKTTNWRLMIGIGAIPPFFLAAAATLAMPETPRWLFLHGHTEEARRVLARTAGDADLYLQEIETSVREAAEMAGRRSGKSSTSVWSEILLHPTPAVRRVMLAIVGLHVSQQACGVAAMVLYAPRVFSHVGIRSENAVLGATVLLGVVKTVAIVIPLFLADRLGRRPMLLASAGGLAASLLVMGVSMSAPAAASSWWAAPTCVAAAAAYMATFSLGFGAVVWMYGSEILPLRLRAQGVGVGTAVNRVMSAAVGMTFISMYEAVGMANSFYVFAAFSAASWVFVYVYLPETKGKSLEEIEALFDAGVAAPSPRATLS >Dexi4A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:4A:8285595:8286756:-1 gene:Dexi4A01G0010410 transcript:Dexi4A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYSIRDIQGFLAGLAVAAATILLLLPPCPCPGTVMSSYHGDALLLGNATQQADPSNKKPGLQEDKQLPELLRRAAMDDKTIIMTFTNEAWAAPGSLMDLFLQSFHLGVRTEPLLHHLIIVAVDASAYERCQQVHPLCYALAVDVDYASEQAYMANHYVDMMWRRNRFQARVLDLGYSFVFTDVDIVWLRNPLLRIPVGADIAVSCDYFYGDNPYDLNKTANGGFVYARASPRTVAFYGDWYAAREAYPGEHEQFVFDQVKHALSERHGVRAQFVDTAYLSGFCELRKDFYKVCTVHANCLVGIQDKLQKLAGVIDEWKEFRDMAALLGSNSTALTD >Dexi3A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:3A:13565875:13569752:-1 gene:Dexi3A01G0017800 transcript:Dexi3A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQLPQPCPAVRHILHGQAQRHEARMAGGVFDSPAARSSIRPAYTLIRGNAVLLYASKYNDVPIIVNISGRFALERGELEYRVSKASLEDRLSTDTLHSSRAISKDCRVLTIHGAKDEIVPAEDARQFAANIPNHELRIMAEANHRYTGHEQELTSLVLGFVRPHLQSASSPLRPKL >Dexi4B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:4B:1260791:1261797:1 gene:Dexi4B01G0002010 transcript:Dexi4B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILGMEVLHRLFKVAARDNVLAPLPVQATCHQCCMYADDVMLFITPTQQDLVTTREILNFFGRASGLKANSAKCQAIPIACAPAHIALIQRLLGVPIAEFPIKYLGLPLSVFPLRRSEFQPLIDRVAASMPSWKASLMNKAGWLTTVKAVMTATCVHTIISLKVPDRVFQELDKRHRGFLWAGTDSTTGGQCMVAWPSVCRPQKLGGLMVHDLRIAAYALRLRWFWLQRTDSTRPWHGLDLEFGEDRVVREMFNNSIEVSLGNWHLALFWMDRWLGSASPKSTAPELCKLIKPAIKRSMTNLDKKHQGMPLHHGHKRIHPIMACH >Dexi3A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:3A:10047946:10049169:-1 gene:Dexi3A01G0013800 transcript:Dexi3A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGAYAPPWQQQQQTPASGGMDAADDASPYSLLAALRHYLPSNEAAAAAGYAAEEDDDSDEVGALAAVDAYACDEFRMYEFKVRRCSRGRSHDWTDCPYAHPGEKARRRDPRRYHYSGAACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTGCRRRVCFFAHTPEQLRVLPPQQSSPRGGAGGASPALAESYDGSPLRRQAFESYLTKSGIMSSSPTSTLVSPPRSPPSESPPMSPDAAAGALRRGSWPGVGSPVNEVLASLRQLRLGGSGSGSPRSAPSGGSFLAGYPFGSPKSPAAALYSLPSTPTRPSHVTVTTPSGATVMTVERLNLGLIVDDEPRVESGRALREKVFERLSKEATVTNEAAAADANAEGVAPAAAPDVGWVSDLIN >Dexi4A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:4A:18665451:18671172:1 gene:Dexi4A01G0015630 transcript:Dexi4A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGGRRRDRMRWSKLYTFNCFRGHHGDAGADGPSSDGAGAVGGPGFSRVVHCNNPGLQKPLKYPTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSPVSMIAPLAFVVGLSMLKEGLEDWRRFIQDMKVNNRKIAVHKGDGEFMYRHWEELCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEATLPLEEDESFKDFQAVIRCEDPNPSLYTFTGNFEYERQVFALDPGQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDLIIYILFTVLMLISLISSIGFAVRIKLDLPHWWYLQPQKSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTVLSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKHMASGYDDHDMPLEDIWEENNEDEIELVEGVTFSVGNNRKPAIKGFSFQDDRLMHGNWTKEPNSSTILLFFRILALCHTAIPETNEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSPNGTTERLHFSICHSVCDFLFFIIFDRLAKNGRVYEVDTTRHLNDYGEAGLRTLALSYRVLEEAEYSSWNAEFLRAKTSIGPDRELQLERVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQVAQDAKKQAAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALAFALEDNMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQFAFTKSSFIELQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYVFILAYGMTLRSGDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIKGKLHKKGPSLTIHTVS >Dexi4B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:4B:8896728:8897261:1 gene:Dexi4B01G0011460 transcript:Dexi4B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPPSPDAATLTPRAAALLLARCASRSSAAALHARFLRCSRAFFRSPYLANCLAAAYSRLGAAPSAVALLRAVSRPNVFTRNILLSALLGSGLLEDARRLFDGMPERDAVTYNAMLSGYAAASRPDEALRLSYSMRERGVRPTGFTFSIVSSDWFHPPWPAASCRRCPTRPGTP >Dexi6A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:6A:664348:665022:-1 gene:Dexi6A01G0000820 transcript:Dexi6A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKNALVFLLLAALPLAALSSRAGPSTHHTHTHGPKPKHTHPSPPPSSPPPAAAAPPTPAAALVRATCNSTTYPDLCVSALGADPSSATADVRGLSAIAVSAAAANASGAAATAAALANGTAPEAAANSGDATVQALLRTCAAKYGAARDALAAARESIAAQDFDFAAVHVSAAAEYPQVCKTLFRRQRPGQYPAELAAREEALDHLCSVALDIIALVSSTS >Dexi2B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:2B:19954284:19962953:1 gene:Dexi2B01G0012870 transcript:Dexi2B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPMSKESAASYDMAEFDQSAIFLYLDGHDQAQRQTLNIFPSQPMYVAEPIPAKGVSMSMVAAMLPNGNSSSPKRQEQGGQRSAPPAPTVPLPNSGKETRSGITKKEATSGGKGATSGDQERVRDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVQVARVQGVFLGTGEQPGFPSAPSPAAVFDLEYGRWVEEHSKLMFQLRAALNEHLADEQLQGFINGAMAQQEELLNLKGAMARADVFHLLSGVWASPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSVQEREESLNQSMEATQQSISDIVAAPDIAPATFMGHMSLAMNKVAAMESFVMQADGLRQQTLHKLHHILTTRQAARCMVVIGDYFHRLRALSTLWVARPRQEDGPGL >Dexi9A01G0028650.1:cds pep primary_assembly:Fonio_CM05836:9A:33443959:33444666:1 gene:Dexi9A01G0028650 transcript:Dexi9A01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRSTAELRLPDDMLANVLGRLPPRSLVASRCVHKHWRSIIDARHLLRTDLLPLRLDGFFCNPLDLECCPSFFARPSTTRRITGCRLDFSDTLKGYPDIIDHCNGLLLLWESVWVDLPPFPEAKAMRMIHMEGGDLCPCFYLTYDPMVSTQDYEVFLIPTLYSNRDEDDGGRIKSLEQDLSEWPPSPYTTKVFSSKKWRWEERSLVRQGEPAGTIADMICSDKNER >Dexi3A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:3A:11974894:11984427:-1 gene:Dexi3A01G0016040 transcript:Dexi3A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVLSLTCAGLGSSQEDEDGAVIGYAKSDHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQTDRNLVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVAVTVIVSLLEDPLDRLERTLFTEEDWKLVQLVLTLFRNVLAIQEITLPQKASGEATHLLFLADSFLELMFQENVMDLILVLTQHIDEPSGYLKQENLLLMEIYHYLFLGRDPGLIARASSKDSKEQVNGNIDSSVDSLRLMMEEEERKQRMFRQRNSEHNSLSGTFTCFSVDGSKSLCKGNPTSTSANSLLKIRNVQRGPQKRIAWDNELLYIPKEGITEMLRSFLDQFLSAAYNILMQSICDDITNEHHSIEKSDISTFFKVARFVLAFQHEKASNDQADVSSMVIHDCKHSFGQKSSNGIQSSEVSPSNEHDDNQPFHGDICGPVAATLNEDMFNIVISRWRETNESLKETNDYKTLSAAGSLMKAMIDMIYLVLKVLPEDSRESQTARVLLDLVDLLETIHIMLQLMEKLQARGALRLKKDGGNKNGETGSSKGWRGLINIADSLGDDEADLVIPQEPYDADKGGDLSGDEDGDGFRKSNTTYKRSRLVSLSDSEADEHERNHVSRGSLNSEVPKRRGRSIFTEEQERLIRDLYEKYKDDRKCSHLIAEALDPTGKIYSAQVSRKLTQLGLRNVIRRKTVADGSLSTGDLATEPQHDLLDDLNHMLGEHNHDPKPKSSRTRRKRLHGSSCGHDDTSHGRSSDDEKLQALKSRTKYNKPSSVDSLNASQHQEAQRDLDSDDATIGSMIRSGKKKRLSTSAFEGNAQNHQESSRKTNTNDSSPRIPQHEKTLENNYPDDETIESMLRSGKKKRLVMSNFSANIQGSGSLRNSDLHDEIIASNITDASLIHGPEAVDNGVITAEAELLDDFGVELDNHENDDQGITDDVNITESGGTTNSQANQRASLKRRHRLVIDDDDDDE >Dexi7B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:7B:21846849:21848199:-1 gene:Dexi7B01G0015810 transcript:Dexi7B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFHSLNTIVYTGGTKFASCFVCKQQGHLSKDCPENKHGIYPKGGCCKICGEVTHLAKHCPNKGKQDFMSSRDNDVHMEEYKQEGPVVHHGGDDLEDDFIEEEEPKPAKSKKAKQSGSKSAGNDEKNSNTKAKAKQAPKIVKFFG >DexiUA01G0025380.1:cds pep primary_assembly:Fonio_CM05836:UA:53547437:53552332:1 gene:DexiUA01G0025380 transcript:DexiUA01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANDELVRRGIAYGGTIACALGESQLIGREKERSFLTRRLNPCGVVVPRGFGKLRTLHTVGVVDIAGGPTILKYIRAFTRLRKFAVTGINKKNCQEFCSALSAVSSLESLTLHSEGKPGLHGCLDGVSSPPENLQSLKLVGNLVKLPEWIGMLHNLVKLKLHNTWLSDTDGTIQIIGELPNLAILGLLMKSFHDELLRLTFSPEAFLSLMVIELDYVDSVSLVVFEEGAMPLVVFAEGTMPLVVFEEGAVPKLELLLMKEGSPWVRGLSFVQSLKEVQLRGHEEFFVDALRNLLSTHPSKPILKTL >Dexi5B01G0027760.1:cds pep primary_assembly:Fonio_CM05836:5B:29335124:29335534:-1 gene:Dexi5B01G0027760 transcript:Dexi5B01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWRRASASQGDVPAATTSSSSETARSLAGGNARVSPEVPAEHEGERDDGRWSALVPELLADILRRVDAGAQKWPGRRDVVACACVCRRWREAVVALVRPPLLCGGITLLASLKQVRPPTEPLTVSQRHWLTALL >Dexi5B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:5B:5422669:5423073:1 gene:Dexi5B01G0008080 transcript:Dexi5B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLYRPKAKSFWILVRRLLLRRNRKPAAAGGDEDDRKEEKSGLLSRSSLEQLLVTDAGGQPGDSDVVCRSSKKHGQPVAALLQGVARPEAAAAGVGGRDGAGVHRRFVFGGFRRRLLMRRPWRPVLVAIPE >DexiUA01G0027840.1:cds pep primary_assembly:Fonio_CM05836:UA:59663653:59667010:1 gene:DexiUA01G0027840 transcript:DexiUA01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSTLLGMDKKTYEQAGNSAANLLGSYKNPGFGWMAGFMAAISFSGLLSLIPLRKVLVIDYKLTYPSGTATAILINGFHSKQGDKNSKNVQATEVRKEECLANGDEMVALDDLQRDEVFNGGSFPAWAAYAGYASLSVIAVITIPIMFRQIKWYYVIVAYVIAPLLGFANSYGTGLTDINMAYNYGKIALFIFSAWAGKDNGVIAGLAGGTLVKQLVMASADLMHDFKTGHLTMTSPRSLLVAQFIGTAMGCIVAPLTFLLFYNAFDIGNPNGYWKAPYGLIYRNMAILGVEGFSVLPKHCLSLSAGFFTFAFILSVSRDVVPRKYEKFVPLPMAMAVPFLVGGSFAIDMCVGSLIVFVWNKINKKEAAFMVPAVASGLLCGDGIWTFPSSILALAKIKPPVCMKFAPGS >Dexi8A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:8A:1088692:1098145:-1 gene:Dexi8A01G0001550 transcript:Dexi8A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEDYSSEMSEMEGVSREEYLASLRRRSSGFSRGVSKYRGDPDCSLRAFSSSPFPRSAERCLSLPSHLARSVLSLLALILLSPRDAAALHTPAPRYSPPPPSARPPPTPHAAFLSTTKPPPLSYTAATLSVPRRHLVCVVRRRQSSPCLPHAGDPPPQVLDEYLCSDAPPLFLPFLLSSGTDRSMAADRQQGAMDGDRGCEQRRGQRCEAGAMERGDEGGARRRRGDEGGARECNTAEAGNLGIDSVAGGKRWE >Dexi9A01G0033810.1:cds pep primary_assembly:Fonio_CM05836:9A:38629354:38630570:-1 gene:Dexi9A01G0033810 transcript:Dexi9A01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYQEDVMGLLPMDQMCNGHGNIDMIENQYSSENGLQGIEDRKFSVASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSPSGGSFAQRNPKVQKKTVNIQPRQPTNDNVVNRHTQTLTRRASYPCENYGAENKGLGKRILEDPQVTMGASMAVDGSFVSSMSSDNSVEESSFRQLQDAVSQVSASLSLLLMWHEAE >Dexi3B01G0023200.1:cds pep primary_assembly:Fonio_CM05836:3B:17980086:17981323:1 gene:Dexi3B01G0023200 transcript:Dexi3B01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEPAINHSAAAMADEQLPPAPGFRFYPTEEELLCFYLRNKLDGVRRGDIERVIPVADVCALDPWQLLGTSSIDTHTHTHARFACTHRGAFAGDGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGLVYAADGRPIGTKKTMVFYRGRAPGGAKTNWKLNEYKALEDDDTPAAAAHGAAPAPSLQLPLQTRSEFSLCRMYTRSGCPRQFDHRPRAAAAAAGGGSENPAAAAAAASLANGEEETDRKRKRARAASSEGTSSSDGDGDGNGSTQQQWPRQRATATDEEMCDDMTDWSEFAFLDWF >Dexi1B01G0027500.1:cds pep primary_assembly:Fonio_CM05836:1B:32198574:32210456:-1 gene:Dexi1B01G0027500 transcript:Dexi1B01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAADLLAAISSPSSHAGLHSRCAAYLQPFAPYLRAANPNPKPPPKRATKQSKPPPPPDAATLRPLAKRFLPFIARGLQLLPPLIRASPASGDAGGGGPGELLEIYGLLLDCLEVISPCLEGKPYSVLLQRGRFVCSLESRGHLARANVEATAALDALRSLLSPPTTSTKSRRGAASVATVLLPDPGSAGDAGRDPEVTTLAVELTVCLANCASKGKVMEAAPYERLLILVEQLQPWLRILSDDVSRKYLPPLVNAMSRCLLFLVAESAFFSTELVHEFCVSTIEEYVKAQMIECLPAVDLPKAVNEFLVFVAYFSRCILSSNKDLCVGASELLYKQVGYFSEVSSSTATVLLLYAAGLYFNQQEESEMRPGLPVDILNDQKYLQALDRAGGSLALMSDDSVSLVTYVDALEFVCEVLLQHTNAVWKNFSDGEAIHYSGDMDRVLRTLHQFIDSSLVAYSSAKMSEEDNERLLQQHGTLLRVLVSTLKMEFVTNKDIKKTLDSIKCAISSKWLTLGEFKFLTSSLGNIGVTLYNTGHVKQAPEALELCCQTIWAHVKLFHSRLLSRTEANGTMENLPKDTLKDTILDAFTRIAKMVDTLRKCGSEMTRDIVAQSLTYLLAHGHMSEYSDSSLILIKLWVKATRKDFEANQVVDSAPLLYQYLLRCSCPLPKKLIGLLVKQELLAYGSVEPQCSKFCAEMQIRIIDVLLDKIYYSKEYYLERSEFLVRKSGALRACGSQNIKSCLESLSEAMYLLRTISEDSSQSSTTVINQLAIAQCLHAHCDLECNPVSEVILENVSGALRSWSKFGFDATEVNKTAVSLVSEASGNDQSNFVAGYLYYDLSERLFSYGQLFQALSYGKEALHLRKKLLTKKFKLNLGSSGSKESQCVGYDLSSLEAWGPRIAEIWPDSSKSNSTRDSFLTSWSVLRCYLESTLQVAMMHELIGNGTEAEILLRTGKEISNYHGLSIFRIAFTSLLGQLCSRRQLWDEAKSELKNARDLLVEHDAIISSRAMGSRKGYYGDHEVHSVYWQCISLLFFRSFPQDCYRIYEPHLVGLIVGGSTGDFLPFEHAEILCSMSFILLKSSLSEQSRNVCCSFSSVGISDVVPWLLKAFVLSRECPSLFQEGTLADFRSEAHEDVSEFLRFPSMIMSHIEKHINEFFEKLPDVPVVCISMIGGDYVDVVEGFLLLPSSFPAWMLLSRFDSTEEPTTMLLPVAAISEKQSADSSIKDLDRMRVLDKKWQCPWGYAITDYVAPTFKNILEENYISLSSAVNSVRADNVRWWSHRMKLNKNLDSLLKGMEKSWFGPWKCLLLGHQLSDQNIEAALLSIITGLDAKKVVFNPVLIKAILGGALSVDEVQECVDQLILYKGYFGRGGCCGKDRLRALSSSEVKDEDLETVKCLITNAVYELPEPVDKSPVILVLDINVQGMAGTWDELKAKELVLALTNHDLFLYLGHGSDILIKRWTIATMAGGINMISLI >Dexi6B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:6B:20735140:20735772:1 gene:Dexi6B01G0013000 transcript:Dexi6B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVVRNLDLERYAGRWYEIACFPSTFQPKTGTNTRATYTLNPDGTVKVLNETWTDGRRGHIEGTAWRADPAGDEAKLRVRFFVPPFLPVFPVTGDYWVLHVDADYQYALVGQPSRKYLWILCRQPHMEEAVYNELVERAKEEGYDVSKLRKTAHPDPPPETEQSARDGGMWWIKSIFGK >Dexi3A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:3A:11845478:11845966:-1 gene:Dexi3A01G0015920 transcript:Dexi3A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEGAPGAGIEGKEQDRFLPIANIARIMRRAVPDNGKIAKDAKESVQECVSEFISFVTSEASDKCMKEKRKTISGEDLIWSLGTLGFEEYVEALKHYLKLYREVSIKPPSVFSQSCLLLHSK >Dexi8B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:8B:25715003:25715754:-1 gene:Dexi8B01G0014920 transcript:Dexi8B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIAPRCEASNEEISQTGNEWMKNEVMLCFKKYVERNPDLAELVDYRFGELLHQCFNMESYDKVFHHYNFTVRMKMPNSDDWTMQLYFAEAKEIFMRKYYVCYPLEPNENGCCYACKSQGVNDLRHPGIGVFEMGSPGSPPCGLWYTDE >Dexi4B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:4B:8408681:8410159:-1 gene:Dexi4B01G0011020 transcript:Dexi4B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAQQLKQLKPLYQHVVNNFVAVLAAPVAVAAAITAARVGPDELLARLHSLRAAHVFLAVFVPAAAATLYLMLRPRSVYLVDYACFRTKPNCRVPFSTFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGINPAAIDILVVNCSLFAPVPSFTDMIIHRYGMRRDVRNVHLSGMGCSAGLISVGLARNLLQVAPRGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAALLLSTSRAKARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDALKANITAIGPLVLPASEQLLFAMSFIARRVFGGGKFKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDQDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIAPPRSAEGPWEDSICRYPVDIPEVLKH >Dexi1B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:1B:5008904:5009742:-1 gene:Dexi1B01G0006130 transcript:Dexi1B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHDELDEHELLALTPEGLLMLFHEPTRVIRLLNPLTRHVTNLPPVTSLLEDKCLMCPACLSGIQVYGVGLADVASSMVAVCFSHPRVLAVAKPGLFYCCVGTRLMVLNTTTDQQTPRLMVAVERGSHMYFSQMADSLHLVDNAGELMLVHRSLYEGAQHEYKRKYQLYSVDLDTGALVPAKGFNGRALFMGHHRTISLAPETFPSIAADTLYLGYDFDEHLEDGSNDPSHDWTYPCSVINCLSNCIQLNGEQLA >Dexi5A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:5A:5551403:5552167:-1 gene:Dexi5A01G0007460 transcript:Dexi5A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLLLLLAFLASLQQLSTTINATTIDSDCPPATCGNLTITYPFSLVGQVTSSCGPPAFQLTCNNSGAGAFLGSSYIRVLDIDYGNRSLVAVHVLLAADAACTIMFNVSSAFAITDRFTISASNRELYVLSKCGGTLPPAGAVPVTNCSGNSSHAFAYLGGGYGTGSPPANGGHCELAVFPVLGSEAEGATAASYRRLIRSGFLLEWEPVGDCDACTASGGRCRYVANTTEFACLCTDGTLQPSICGELRIQQ >Dexi5A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:5A:22192529:22194425:-1 gene:Dexi5A01G0018690 transcript:Dexi5A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHAFVCTPSLRPIPISLPPKFCLLVFGLSHEMDYGGTDAHVSPRVHQHPQIANPFTNSVAPPASGVYGQTAAGLAPRPSLSDQSKNTIFSNALSSPVRRSLQNYHLAQGAGNGGRNSEPNSAGANRETNSASSNDTSMDMVSDSAGNEFYQ >Dexi9B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:9B:5909783:5918421:-1 gene:Dexi9B01G0009580 transcript:Dexi9B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPPSQPSQTTTYVVSHPTNKSTPAISGRPATAASSMSHSQGFHQGSGGGVFGYSSDGFDRPADSSSQDHHHHVAQQSRRDKLRVQGFDPAAAGAGHGLLPIDGDEHGEPSGAAMYDHHAAAAGASNMLSEMFNFPAPPSAGPSATELLASQMNANYHRFGLRPGVAGGGLSGDAGWFGAAGRAGGLVLGGANMGSLGETSSPKQQQGSSSGMAGLATDPAAAMQLFLMNPQQQQQQQSRSSPTSPSDVQHQHQHHEAFQAFGSNAAATFGGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQLPMALHGGGQVGGALGQQQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGGGRGGRGASASNPSKGGASSSGAAQSPTSASKQEPPQLSPADRFEHQRKKAKLISMLDEARPPSLPSSFLSCMHGMAWHGHGCECVFADAIAAKASSDWMEAALKLPEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSGAGAGGGPESGNDPSATDDTHSPTTTGAAGQLAQHHQHGGVAPPGGVMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRMRYGPTGTGAAAGDVSLTLGLQHAGAGNAGPDGTGRFSLRDYSGC >Dexi7B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:7B:18936963:18940355:-1 gene:Dexi7B01G0012040 transcript:Dexi7B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIADGAAEDDHSRNMDLDRGALSARQHHELDETQQSWLLGPPEAKKKDKYVDLGCIVVKRKVLWWAFWCVVAAFVLIGLPIIIAKAIPHKKPRPPPPDQYTEALHKALLFFNAQKSGRLPKNNGIPWRGNSGLSDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKIYAQVGAAKINGTQPDDHHCWNRPEDMAYPRPTIAVSSGPDLGGEIAAALAAASIVFRDNAAYSKKLTQGAATVYKFARQSGHRTPYSLRQPDIEYYYNSTSYWDEYMWSAAWMYYATGNTSYISFATDPRLPKNAKAFFNILDFSVFSWDNKLPGAQLLLSRLRMFLNPGYPYEESLIGYHNATSLNMCMYFPRFNSFNFTKGGMALFNHGNGQPLQYVVANSFVAALYADYMEAVNVPGWYCGPNFMTTNDLRDFAKSQLNYILGDNPRKMSYVVGFGKKYPRHLHHRGASTPHNGVKYSCTGGYKWRDSKKADPNLLNGAMVGGPDKNDGFKDSRNTFGQNEPTLVGNAGLVAALVAITSSGKGAGVTAVDKNTMFSAVPPMFPAAPPPPSSWKP >Dexi3A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:3A:5805618:5806419:-1 gene:Dexi3A01G0008280 transcript:Dexi3A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAEAEAEVRAPTWAEARAMEKRLCLLRKKIEDATEKNRRFLSGLSDSAQSEEKLGQVDEECLRLMREYIRFCSEMAERPPDFSGMGEAERAAEAERLREEAMEEARRLTEAGDLEGGRWREGEARILDFDPKQSGIYMNRCTAVDVRTFDYDEESCRHLWGA >Dexi5A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:5A:4382033:4383031:1 gene:Dexi5A01G0005910 transcript:Dexi5A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAMPPAFATAPSATPTIKLLIAKDANVVLYAEAGKDVIDFLLGLLAMPLAATCKLTSKDKDSPLGPLANLYASVERMDPEYMQSLETREALLNPAPAHPALVAAAGGFPSLVQPAPPPSSPAPAPAPSPAPRVARRSLSPPRLSSLKLPPFHVGNAYYHGGGDAYLAAAAQAAHERRGFVRGLVTYTVTDELVVEPMSSISSITLLHRLGVEDLSALEERTVKIGYQEGLEILKASMKKSKTVLTDVFLAKKKRTRTTGDKNGATSQQQEKKPRATDPDAEKELAVE >Dexi5A01G0038540.1:cds pep primary_assembly:Fonio_CM05836:5A:39490456:39494132:-1 gene:Dexi5A01G0038540 transcript:Dexi5A01G0038540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLESEHVLGNRTLEVKIATPKEEMKSQGSKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDDDVRYPPSRAGPGASQGGYGAYNAYISAATRYAALGAPTLYDHPGSGYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRQYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGHEVAIDTAAPLESDSPGGAYIDPMDLYGAYGSLRSFGRFCGGLDYNVSTKGCSHCLACLYRTDEIA >Dexi2A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:2A:29810280:29810808:-1 gene:Dexi2A01G0017780 transcript:Dexi2A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIRDALLSQLQNDRLRQEIIMAELAKIERAMALRSAEAERANPAPFFPSNEHYFTPHSGGAAGAEHGVGADEVHDLKKKDGVVQEGVELKPEKPAMQDLAGECSKTCCVTGKAAELENAALNECKMQEQPSELSSN >Dexi8A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:8A:3263530:3265133:1 gene:Dexi8A01G0004150 transcript:Dexi8A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSKASMLMLIARAVRSVAAATDRFACKPEVKFVVQEKDVESDEAMWALYERWRKAFNQERGHDEMDRRFNKFKDIIALLVNRNKNAIRPFELAINKFADGKLIEKCRNPDNRDAMIARKVGNSWALFRQPGDRFLRQVFADFNVVNGKVFVCRKQGSKGGSGGRLELEELNNVE >Dexi1A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:1A:8122177:8124632:1 gene:Dexi1A01G0009810 transcript:Dexi1A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAGEAAETSGRGGGAGLPLAVRELLAGGVAGGVAKTAVAPLERVKILFQTRRAEFRGSGLIGSFRTIYRTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVNASFRECKPSEQVYKGIMDCVKTIFRQNGLKGLYRGMDEESCS >Dexi7B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:7B:21118775:21121054:-1 gene:Dexi7B01G0015040 transcript:Dexi7B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSFLLGLNKKTYDLSGGESSPGNGPGSYKDPGIGWMTGFLLSVSFVGLLSLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLKYFGISFLWSFFQWFYTAGEACGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISTQKGNWYSATASASNMSSIYGYKAFLCIALLLGDGLYNFVKVMVVTVKNIRERSQRKSLNKVADVDSMALDDIHRDEVFIKDNIPTWLAYTGYALLGLIAVIIIPIMFRQVKWYYVIAAYLMAPALGFCNAYGTGLTDMNMGYNYGKIALFIVAAWAGKDSGVVAGLVVCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTFMGCVLAPLTFMLFYKAFDVGNPDGYWKAPYALIYRNMAILGVEGFSALPRHCLQLCAAFFALAVVANLARDFMPGRFARFVPLPMAMAVPFLVGASFAIDMCVGTLVVFVWHKLDGKKAALLVPAVASGLICGDGIWTFPSSLLALAKIKPPICMQFTPGS >Dexi9B01G0048430.1:cds pep primary_assembly:Fonio_CM05836:9B:47392354:47394301:-1 gene:Dexi9B01G0048430 transcript:Dexi9B01G0048430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHMGRAAALILATLLASSCVSVSVTVASKEARRYNFRFVRHARDAPAVSYYNYIVVGGGTAGCPLAATLSEHSRVLLLERGGLPYGNRNVSSEYHFADALADTSPLSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASAGYVRAAGWDARLVNASYRWVERALVFRPGVPRWQRALHAGLLEAGVTPDNGYTLEHLPGTKIGGTIFDRRGRRHTAADFLRRAHPRRLTVFLHATVSRVLFRPGGKKKPVAYGVVFTDSVGGEHHVYLRGGGGGGKNEVILAAGTLGSPQLLMLSGVGPRVQLERHGIRTVHDHPGVGQGVADNPMNSVFVPSPVPVALSLVQVVGVTRFGSFIEGVSRSQFGIPLHGRAAASHAVARNFGMFSPMLGTVPPRERTPEAMRRAAEVMRRLDPRAFRGGFILEKILGPLSTGHIELRSTDARANPAVTFNYFRDPRDVERCARGIQAMERVVRSPAFARFTYANHSAMDAAFRRGAAHFPVNLLPRHPGDTRPLQQYCRETVMTIWHYHGGCHVGGVVDQDYRVMGVRGLRVVDSSTFKYSPGTNPQATVMMLGR >Dexi5A01G0027630.1:cds pep primary_assembly:Fonio_CM05836:5A:31079550:31082950:1 gene:Dexi5A01G0027630 transcript:Dexi5A01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQSTSGEMAEAKPEDISHPPMEQLQGFEYCIDSNPPWGEAIILAFQHYILALGTAVMIPAVLVPMMGGDDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIVAIIQDSSLAAIPDGHQKRFLATMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPVGMAPVVALLGFGLFERGFPVVPLALSRASIKIPYPLQWGAPAFNVGQSFGMVSAVLVSLIESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGFLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGFIVAVFLDNTLETKDAGSDRGLPWWVRFRSFKGDSRNEEFYRLPFNLNRFFPPS >Dexi4A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:4A:4909110:4910928:1 gene:Dexi4A01G0006820 transcript:Dexi4A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADFIPPQRRVKARHLWPESKKPRSAGAGGRKRTLEEEDFEADFAEFDVEPGESELESEDEVKPFAAPRSGIAAKDGLNITAAGLHGSAVTSQRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTYNSPEEAARAYDAEARRIRGKKAKVNFPDEAPVANIDAEEKPVINNMTNTIPDPFMQTQNMSFAPLVNSAASIQEPVVNMSSDQGSNSFSCSDFSLENDTRTSAITSVLAPVPTSIEVDESAFLQGTADSVLPPVTGNSSVDLAELEPYMNFLMDNSDESISSLLGNDGSQDVVSNMDLWSFDDMPMTGGFF >Dexi2A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:2A:191741:197596:-1 gene:Dexi2A01G0000350 transcript:Dexi2A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDCRYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFITAFHIIFIGLWYIGLVARMAGTRPGIWTIVQNCTVISIACCVFYSHCGNRAVHKSKSFGSNSDPNLLAFLENENGSTWISNFLRMNQLKDQICSSWFAPVGSASDYPMLAKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGLSSESSVSLYFLAMSCKYFRWALTHPSTDAEDEKLKRHMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKGPRDDTQKDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIRTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYERRFFKPFEYALQPPPWYMAEHIALDKPELPRGVSKISEYDGPQCFIIPGDHAYPSYDESSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQVGYRSPEFPFSLNYYPFLHFLINNCWRLFVKVMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYVCINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVTDQPACSVLVPKGWKLDPRWESEVRGPHQLSHERKHPSKWRSASSPDPVRSVRVVDHFTIERTRTPDLEPSC >Dexi7A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:7A:26103623:26108997:1 gene:Dexi7A01G0016220 transcript:Dexi7A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPSQPTLLALSLVLLLLALYLARRRRGAGKNRGKYPPVAGTVLHQLLNFGRLVEYQTELSRRHRTFRMLTPTCNYIYTVEPANVEYILKSNFANYGKGSTLHGLAEDLLGDGIFVVDGDRWRHQRKVASHEFSTRVLREFSSAVFRDTAAELAGIVAAADGERLEISDLLMRSTLDSIFKVGFGVSLGSLSGCSEEGAAFARAFDDASEQVLYRLFDVFWKAKRLLNISSEAAMKRSLRTINDFIYAVIDRKIEQMGKDQQEFATKEDILSRFLLEREQDPDCFDNKYLRDIILSFVTAGRDTTAGTLSWFLYVLCRNEAVQDRIVEEVRAATAAGGHDVVVGAGELAKSLTEDAIGKMHYLHAALTETLRLFPAVPVNVKCCFSDDTLPDGYAVNKGDMVHYQPFPMGRMEFLWGADAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRVCLGREFAYRQMKIFAALLLSMFRFEMWDADATVGYRAMLTLKMDRPLCNQTTRDFRTVVANLAPRHLTGSDDMESPLSEPAMLALCLLVLLLAVYFVRRRRGPGKHRGNYPPVAGTVLHQLLNFGRLVEYQTELARRYRTFRMLTPTCNYVYTVEPANVEYMLKTNFSNYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLREFSSAVFRDTAAELAGIVVAAAAAADGERAPVDITDLLMRSTLDSIFKIGFGVNLGSLSGCSKEGAAFARAFDDASEQVLYRFFDVFWKVKRLLNISSEAAMKRSVRTINEFVYAVIDRKIEQMARNHQEFAKKEDILSRFLLEREQDPGCFDNKYLRDIILNFVIAGRDTTAGTMAWFLYVLCRNQHIQEKIAREVRVAATGDRDVGVQEFVACLTEDAISKMQYLHAALTETLRLYPAVPIDVKYCFSDDTLPDGYAVRKGDMVNYQPYPMGRMKFLWGMDAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWDANAKVGYRPMLTLKMDGPLYVRASPRR >Dexi4B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:4B:22341449:22343382:-1 gene:Dexi4B01G0020120 transcript:Dexi4B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSHFFKRGRGPQWQDDPSNDEFTGSENIIRYNYKELVRATSNFDKANKIGEGGYGPVYKGTLRDGTDIAVKVLSLHSRQGQVTRKADVYSYGVLLIEIVSGRCNTDTKLPYDDQILLEKTWRYYEEGNLEKIIDSSLGDDLDIDEACRFLKVGLLCTQDVTKRRPGMSAVVAMLKGEADVVTDTISKPDVIRDFRDLTLRSRATSSTLLTSIMARSSPLSSEETTRTSITFTAISERD >Dexi4A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:4A:2983899:2984192:-1 gene:Dexi4A01G0004170 transcript:Dexi4A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQISWLVYEQFSGEDELPEAEKKSRNNATRRGGDDRDLKSEATREGQRKDHDKFSETEEKRKSRPR >Dexi4A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:4A:757635:762573:-1 gene:Dexi4A01G0001160 transcript:Dexi4A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAGARLALLLARRSLSSASSSSGAAASRFPRACTAAAAAASATAMRLPAGARLALLLARRSLSSASSSSGAAASRFPRACTGIWSDAARASPSRSSPFSSPSTAHYRFFHGTRPVVARDYYDVLGVSKNASQADIKKAYYGLAKKLHPDTNKGDADAERKFQEVQRAYETLKDEQKRSLYDQVGPDQYERAAAGGGNGNPFEGGFGNPFEDIFGGGGGGGGMNDFFKNIFRDREFGGRDVKLELEISFMEAVQGCTKTINFQTFVTCETCAGAGVPPGTKPETCATCRGTGMIFMQTGPFRMQSTCTKCGGSGRTVKDFCKACKGNKVVPGTKSVRLDIQPGSDNEDTIKVMRSGGADPDGRPGDLYVTLKVREDPVFRREKGDIHVDTVLNVTQAILGGTVQVPTLSGDVVIKVKPGTQPGQKVVLRGKGIKTRNSSHYGDQYVHFNVNIPVNLTPRQQALIEEFAKEEQGEDEKDAKAAGASG >Dexi4A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:4A:23924891:23925711:1 gene:Dexi4A01G0020130 transcript:Dexi4A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTDLIRRYEAVTNTQLLQQTHCTDHHQQQMLAEEIGRLSQECKQLEASLMKHTGEDLSSLPSVDELDELEQQLELALSKVRARKVLHAFSSNPIKQLNIASLHDELLINLTGDELQLKISGSGGAGAGEGMEEIVEQLPPSPSFAYLLNVNEKAAASTVLQLWPQMDDGENVIGGAGDRSSSPPPPRGFQLW >Dexi8A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:8A:5267778:5271683:-1 gene:Dexi8A01G0005600 transcript:Dexi8A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRGLMEQDQSKLDVTKLHPLTPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDTPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDEHGKLKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTTGTERASRVSKLAKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >Dexi4B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:4B:822867:823121:1 gene:Dexi4B01G0001350 transcript:Dexi4B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGGGSGDGEKSMSFRCLDVARYVVAAAVTVLIMAVIVNAVKVVLRPESLQLSSSGAPSSPAGGSTRSSWGST >Dexi5B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:5B:21736762:21739557:1 gene:Dexi5B01G0019440 transcript:Dexi5B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLQTKQASRSLNSLDTGEAVALAAETSCEKVDLPDFVNIASGTPSSPIVAVTVSEVEALHDLFRKISNSVVKDNLIHKEEFHRALFRNKMKQNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDAPEEQKVAFAFKLYDLGQTGFIERHEVCICFSFRLFLTILRYMFQLKQMVLALLDESDLDITGDAVEVIVNRTFDQADTKGDGRIDEEEWTKFAKDNPYVLRNMTLPYLKDITMVFPSFVIHSEISEADMAV >Dexi9A01G0043610.1:cds pep primary_assembly:Fonio_CM05836:9A:46989521:46989869:1 gene:Dexi9A01G0043610 transcript:Dexi9A01G0043610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRVWLGVASRFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTTTAPPPPRRHSRFRQQPRPWGDRLRLCSRGF >Dexi4A01G0022020.1:cds pep primary_assembly:Fonio_CM05836:4A:25387217:25389812:1 gene:Dexi4A01G0022020 transcript:Dexi4A01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQAALAEGSKPASTPMLYSCALCGKEYRSSKAHAQHLNSRSHLVRASQEPNASIAGITIVKPLPERVPRRAPSVEEDEDEDEEEEWVEVDPSEMEVADESTSNMQVDEQSNSDDDMAEFEELDISSCFMCDLKHDTIEDCMVHMHKKHGFFIPDSEYLKDPSGLLTYVGLKVKRDFICLYCNDRCQPFLSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDVEGKQLIAADDANSNIELGSGGSELVITNKSEKGTRVRTLGSREFIRYYHQKPRPSVATDRALALSLASSYKNMGLVTVQSKEQMVRLKVLRAMNRTGVETMRTKIGMKSNVIRNLPKNCPY >Dexi9B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:9B:1566929:1568253:-1 gene:Dexi9B01G0002820 transcript:Dexi9B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPLQAAAPRLPLPLAASRCRLAPPPLVRLAVSSSSSVASARLTCRGPTPRWRRVRVRARAGAGGGGRRESPYEVLGVSPSAAPNEIKRAYRRLALKYHPDVNKEPNAQEKFLRIKHAYNTLMNSESRSKYASSSSDSSWSSSSSSSRERKSTTAEETFYGFAEFLKDLQTEFQNWEAGLNSDQKPKSLWEELAAIGEEFVEFLENELKIDDSGPKDDTVNDPYTPFEGQEKNAKDKRTATNNFDDGVSEIEAALEKLKKELGLG >Dexi5B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:5B:7777244:7779732:-1 gene:Dexi5B01G0010990 transcript:Dexi5B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIPLGLAVPRGSTGICSFSVPLKCSPNPNLSCFGRVPGKLPLFPPRAVSEDRADATPQWQLDFLGAGAGSLDAPEEEEEADEGLLPAEANDWCVRARRSALRSIEARGLAPSLQRMVSTPKKKKKKKTAKKKDLKKAAAELKRRKKQLDVVEGDDDDEDEEDDDEVVDDLRDMDDLELRVAQFADGMFDEKRQRNREAFLQTLSSFSTAPSNRSKEVSLNRSIVQAQTADEVLALAAEVIAEVAKGLSPSPLTPLNIATALHRIAKNMEAVSMMQTHRLAFARQRDMSMLVGLAMVALPECSPQGVSNIAWALSKIGGDLLYLSEMDRIADVAITKVEEFNAQNVANIAGAFASMRQSAPELFSALAKRAAQILQTFKEQELAQFLWGCASLNECPHLLLDALDAAFQNDARFQCHVCDVTSSMHQEMNRPLNFSRDQIGNIAWSYAVIGEMNRPFFLHTWRTLSQFEEQRVSDQYRQDMMFASQVYLANQSLKLEYPNLGLCLRSDLEEKITRAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYAIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGTPLGHTAFKRRYITASGWKLVSLSLQEWDELQGEFEQLEYLRRILDIEPEV >Dexi2B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:2B:30305117:30307826:-1 gene:Dexi2B01G0020170 transcript:Dexi2B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGTDVSPESEATAAAEKGGEIWGTLEELLLACAVTRHGTASWDSVAMEVQTRSPLAARPGLTPHSCRLRFRHLHRRFSTAGSGGDEEEVEEDPDASAAEGWVDELRRLRVAELRRDVARCDLSIGSLESKVNRLREERERSVSGEAKPDEASGNDLLSGEEPGRSCRESNSTDLKPLKHPDHQGVGGAKEEEVAKQEASGASAAASKESSDVRSSASLCRRRRGSLKADEEEEAASAPCPPPARSPPLAALLDAVAGKLIPVLRRLYEHEGEEADAYRGTIRRHVDLDTVRRRVDTSAGSRAADDDDHYPAHELYRDLLLLCTNAVVFFPRGTPEHAAAVDARALVAVHASSSSAAREPKLEHVAAPPPAPAPAGADIVGSLIEKGGKPLIVCRKRSSIAKAAAAARKEESAAKAEPEAAAEEEESEDDKKGAAAGTMDKARGLRTKKKGRGGASRIVGLKTVKEADDAATAIDGAKKGAAGAAAAGGPAKKRKAVDFLKRLNQSPSRKRGSSLGTTRKRSAAAAAEQESTTSKRGAGRKEGTGRGGSRRGGRGTGTKRGRGVGRPLKRGPAPATPPPSKRAKTNTRSEKSSGTGKRGGRRQVG >Dexi5B01G0032970.1:cds pep primary_assembly:Fonio_CM05836:5B:33491649:33492660:-1 gene:Dexi5B01G0032970 transcript:Dexi5B01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAWAQVLLPPPTAPCFYGQPSCFWQERHASGFGGRGGDEWTPEENKVFEQALAGIDRNAPDRWERVAAMLPRKTAADVENHYYDLENDVGYIEAGLVPFPRYSSSPPSSGFTLLEDWDAGDGGFRRGYCLKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRTISRKYVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDEDRGDASPSPPSVVTTTNPSIADQFGALVDVKPFMPPPPLPVGALGVHPYGNVKLEPKNALAGLGFDDSVLLQIQCAGQL >Dexi9B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:9B:5940034:5947646:-1 gene:Dexi9B01G0009610 transcript:Dexi9B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGRCGRLRTWRPGHRPAAGAGGCSGSSASRLSSSVIEMSCAFVLALTISFYSFLVLQVVLILVWTQGACADSGESKALFPQDVEAAEKDVYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHEPHGKAEHVRGRHLLGLSSSRAPQKNVRKPIRIYLNYDAVGHSPDRDCKRVGDIVKLGEPPVPSAPGTPICDPHGDPPLVGDCWYNCTLEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYVEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQTLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSRMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFAISPCNSWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQSGGPVQFPGFNVLTVTALMGPVTASLGFMVVTAAEDLAQTSALAMVYAKLMGSVNVKVDGQGLTVQQISIQRCDEDGDNRLR >Dexi7A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:7A:24630382:24631132:-1 gene:Dexi7A01G0014690 transcript:Dexi7A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLSPHAVPQNFAPPIPRARHRLAPRPPSAASFLRGLFPARPPPAKAELLRLIADQGRGLETQSDPARLADIVSCIDALAAVSPGADTVSDAAKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVNGDIEVQPPQRVNFRQRCGWGC >Dexi1A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:1A:12744209:12750137:1 gene:Dexi1A01G0012650 transcript:Dexi1A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLAHKSSPLVGEMAEAGHGWWSVNNLRPPFEQQHHPSLFMPSTTTAAPSSSSAVHSFSSLLLSNHYPLPTTSTSPWQHDTSSSHGQQGLSQQDSWSQLIQVGLATNGEERYKEGQMLFPTSICSEAGGSGSYLYSAATASHGSSSSDEIGRLPWGNINQHHGKALQQKAASSPRSSSITSTNSLGSNMLEFSNNNSSSPRESISTASGSAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHSQIEALSSPYVGGSNGGGGGSISSSSKHQQLHEASVNGERHSIFPEDPGQLLHDSALKKRGQTEQDGSCDEDAKKDLRSRGLCLVPVSCTLDVGVDVVAGPADYWAAAVPAFGMGFGG >Dexi7A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:7A:20204206:20207598:1 gene:Dexi7A01G0009080 transcript:Dexi7A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGGKKPAAGGGRGGPTIRTLADIGRGPTGFPGAGGSGSDSDEPQEYYTGGEKSVDDGPLRAYDDPENADFIESLRMSQCPRELEPADRSTPVHVNVVKRLEDYREPIRPRSAFQGVGRTLGGGPSADDSSAPAPAAPTSAPPAASRSSSFIVDDSQPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGASRPYQLQTGFPPKQLTDPTQTVEQAGLANSVIMQKM >Dexi3A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:3A:5201040:5204105:1 gene:Dexi3A01G0007530 transcript:Dexi3A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDDQKMSSNSSLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILLICLTIQSCIKKSRKPLNDRPMTQIPPAYKDIKEVRTVDQFSANDFVVHDGLLLTIQNEPETVESVDRDAQLAQEEKLKQREENNLSDSFRITDGCDGIQIVSIDEQSSTHATVDSAPLPGLPEFSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYERPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPSMDQVVRMLDSNEPIPQEV >Dexi2B01G0031710.1:cds pep primary_assembly:Fonio_CM05836:2B:39740534:39742398:-1 gene:Dexi2B01G0031710 transcript:Dexi2B01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKNDIGMVVIRGNSVVMIEALEPVAKSQ >Dexi4A01G0023110.1:cds pep primary_assembly:Fonio_CM05836:4A:26254890:26255970:-1 gene:Dexi4A01G0023110 transcript:Dexi4A01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPLACVAAAEGLVGVGWAWRPRPRARSERVLPKCSHSATASAGAVRSEEHHHHHGRGIRGVLLQPVRRPGTEYGSDLEARIEKVIYACRFMTFLGIGGLLIGSVPCFLQGFVNVMNAFVEYYLHGGAKVILMLVEAIDMFLIGTVMLVFGTGLYELFVSNMDMSYGSNLFGLFDLPGRPKWLVIDSVNDLKTKLGHVIVMSLLVGIFEKSKRVTITSCADLFCFAASIFFSSGCLYLLSRLNI >Dexi5B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:5B:21277331:21277623:1 gene:Dexi5B01G0018940 transcript:Dexi5B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAEDSSFRFVLSPHPTASLLYLSKRCGWASSEELEKVEQIEVCPKAMELTEQIADRISSDGGGALIIDYGR >Dexi9B01G0043870.1:cds pep primary_assembly:Fonio_CM05836:9B:43795151:43796023:1 gene:Dexi9B01G0043870 transcript:Dexi9B01G0043870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLPEAAASHKRPPCLSVVGGVVASHTTATASSKKRRRDAGEDDDRENSRGEVVDGIELNFDAAPLPPEWQRCLDIQSGQIHYYNTRTHKRTLKDPRVAGEPAPAAEEADDEEESANCAPPGLDLELNLTFSPRPVAVAPEKKRPRPTAAAPPPPAADRRHHRPAAAAAAEDSGREMVAGVCVRCHMLVMMCRANPACPNCKFLHPSSRAAPPPPLEPEPAPLKLSLQLLCCRD >Dexi2B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:2B:1580330:1581667:-1 gene:Dexi2B01G0002010 transcript:Dexi2B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGEKDKTMSLNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVEKIISLLDKLENAMKVS >Dexi9B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:9B:14757855:14759047:-1 gene:Dexi9B01G0020030 transcript:Dexi9B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWAFLHGGLLHDIFTRLPADADATSFRHACRGWRAVAEPGALVPGPWFLLRSAAANGRRAFVRPAGPHRITPATPCASRGWLAVSDGKRLFLRDPISRAEVPLPAFDDLDNELSEIFLSDDPLDAPAGRWTAFAFFKPKQIVAYPGRILAFYRPGGGDADQWVRFDLNGQQVAFYWGLEFFRGRAYVVVGVNCTLAVCDVDTRSLVASAVHLRPHMEHWEWSTQQCLVECAGDLLAVMVTRYEVARWPSCYVVARRRAPRFVVKVMKVMFAADSGGFMPVMVSDVRHIGDYALFVPPRGHAFALPASGFPAVRSGCVYHFATKVKEKNVVRGMAISDLLDLTGQESNTCRKLPLAGKWHPVSWLWPRRPSFDTAPGRGRRQWRS >Dexi2A01G0023410.1:cds pep primary_assembly:Fonio_CM05836:2A:35149751:35149978:-1 gene:Dexi2A01G0023410 transcript:Dexi2A01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFVAQVGGAGIVARNCKGKVIFTACQVLHRCSDAAEAEASACMIGLRLATQWAPGRVVLEMDCARATHAL >Dexi2B01G0035800.1:cds pep primary_assembly:Fonio_CM05836:2B:42758727:42761040:-1 gene:Dexi2B01G0035800 transcript:Dexi2B01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLNLRSSFHILLSIQLLLSLFNTLVVADLVSEKKALLDFISAVSHGNKLNWDRNTSSCSWHGVRCSADQSHIFELRVPAAGLIGAIPPNTIGKLNSLQVLSLRSNRLSGNLPSDVASLPSLRSLYLQHNEFSGRLPSSFSPSLGVIDLSYNSFTGEVPASLQKLTQLTVLNLQANSLSGSIPDLKLSSLKQLNLSNNELKGPIPRSLQTFPNGSFLGNPGLCGLPLAECLVPSPTPSPESSSSPQSSPSPHHEKKLGTGFIIAVAVGGFALLMIVVVVLAVCLSKRKGKGDSDVESKGKGTVTRSEKPKQEFSSGVQIAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGKHANLIPLRAYYYSKDEKLIVYDCIDTGSVSSMLHGIRGVTEKTPLDWNSRVKIILGTAYGIAHIHAEGGTKLTHGNVKSTNVLVDQDHNPCVSDYGLSGLMSVPVNASRVVVGYRAPETVENRKITQKSDVYSFGVLLMEILTGKAPLQTQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKQQNIEEELVQMLQIAMACTAKSPDRRPTMEEAIRMIEGLRQSASESRASSDEKPKESNPPSV >Dexi4B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:4B:19156338:19157210:1 gene:Dexi4B01G0017030 transcript:Dexi4B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVQGILSEQRRLTASGVEEVPVTFLKETSLTVTLDAPLAVQILLGQYFMSMMIGILHLDVLVVDLGGREHGLGILSFTVMSVTSFSSLYLPRLPMLMPWPGPQVTPVMLILVLPGPMEMQSSPQAMLVLMMLTPVESPMWMPSVLGLSPGADTVTSLITMSLHWNTFMWKNLELSSVMPDTSPLFTTSELGRILQSYFLAHEFLFHTAGPWPSSLPLPVITRLSTRRISIQLLLYWLRSVDARRLPSTWMVTSPLQGPVKLSGPVR >Dexi9A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:9A:5781234:5789773:1 gene:Dexi9A01G0009530 transcript:Dexi9A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRDSPSLFRHARGIMCALKEKGIDMAIASRSPTPDIAKVFIDKLELQSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIETVITSFSTKDVMSAQFAEVQYRKMEIGFGHVFTTSALKRALFLSSMMKVRSDITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGLIYRSGLEMMESKLSQLQKFAAQLAGISSVLEVMIASFTETVSAHVNDLHQLIENTLKAKQHLEAMIWCIRHKFLQNIYSRYTDYTAWSSDVIQRKEFAEARKWPELFDGGSGDNEANQGVLFIEQALQNLGIEESYRNKDEEASITCLQNEQSSSMFCSTITADHFSLNRYPFKNLREAVDVLFLHGASTMVIAKQAIVSFSFVIS >Dexi7B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:7B:3482461:3483315:1 gene:Dexi7B01G0002020 transcript:Dexi7B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLTIRDVLYFYSDARNVYERFMAMGSHQEQARNAVALLLWLDPTHHQAIRHLPSLSRAAVGIIAAETNSILDCLRQEGLVLPPIPFISALYQEAGIEVDADFLAFNQDLVVRGVADILDAVGALIFGDHIYRLLRCYQTGLVGRLPELEAPYMCPPVTVPEDRGSMFVTLSKGKWGDCIVRVLMEKTMRGKPPMYGRIIFKSEAFVSLVLNGEPLVKIAIGYRQLSLRKYILHPHNM >Dexi9B01G0043040.1:cds pep primary_assembly:Fonio_CM05836:9B:43138114:43138419:1 gene:Dexi9B01G0043040 transcript:Dexi9B01G0043040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCEGSLAIHERLSSPSSATSSYRRGDVRSGGGPSGSGLGLTGSDLHWNSGRSFPGLRRKHSQGRRGQEGSWEGPTATCHSTGADFRPRAQAAARRRAA >Dexi2B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:2B:680349:682571:-1 gene:Dexi2B01G0001080 transcript:Dexi2B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPPLEKTFEVGSRDPGHFEEFINVLRDLLRDQPSTSQANLPNGIRILPRQETEGPRQWLHLRMVAPGQPPASIILWIRTDNLYLIGFEQEQPPPTGRGSGRYEFNPSNNENKNEDENKNKNKRDKEKPARKEQGNKQHGQQGQRSRGPLIPGASFLGIEGGYDSLDLSELVDAIRGLATYRREDGIRRDHLRTMAVTICESLRLHNICQFIERLMSSNSNSGTCEATTTAKPPATLTSLMLLYIKNWGGISGEVFRSTGNNNNNDRNLEYPHFQKCEIENTAQACEIVAMLLRKQDDDKKGKGKDKGKGKDKGKGRPKRDDEAAAALDVVPHASWQGLTFVEIFSAVVVQAAGSISNLQLYGHTTIEDGLTCQDLFMRRGRSNAQLDGGHVVELTGPARAVSGYDEIVMRVDVMHAIPGAVADMTPDNDEVGSGKLVWNTYDTPGMVYDEVEEYVFDGLSGASVNVRCAVVTNAVVATVALRRTTGQGEVDVYGTVSAATAMFLPGDKVTLFDRERKDALYVGPGDDQDVVPLLRNVVVVPLRSPLVISADLWATAYVVDSTVDDHISSGAVAVFQPSPFGVFRKVISDSDDYQFEVSVTWSLS >Dexi1B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:1B:7500317:7500549:-1 gene:Dexi1B01G0008720 transcript:Dexi1B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQYMALVAIALLVPATLIMEREEFGVVVILEREDPNFIWILLCNSSMAYFVNLTNFLVTKHTSPLTLQTRN >Dexi2B01G0026720.1:cds pep primary_assembly:Fonio_CM05836:2B:35829928:35832200:-1 gene:Dexi2B01G0026720 transcript:Dexi2B01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQDSQEERHPRRENGEETEKTADYGNALSRKEATEELLGCVVHSEEEAYRLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGQWTVIRFVPVHNHQLAKPGERHMLRSAKSLAVGKSGVIDPSASTESHPINGFSDTIEDDTAENSGYTIRDCYNQAGMQEKAKQVPAASSTAQELIIQTNEHQYQSSQDAPGNATIGRPYYYQ >Dexi1A01G0031270.1:cds pep primary_assembly:Fonio_CM05836:1A:36316350:36320034:1 gene:Dexi1A01G0031270 transcript:Dexi1A01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSRPLFASASSASPSRASPRRLGTVIAKPPPLSVYATRPSLKLPRWYFTSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKLKSLREVWYARGPEGSSVDLSIRSGANIRHVVLARQTVTLNPVRSRMCEIPGAKDSSKIGYIKLTTFNQNAAESVKEAIKTLRDSNVKSFVLDLRNNSGGLFPEGIQIAKIWMDKGVIVYICDSQGVRDIYEADGADTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFGLSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPSSFPTEEDAFCSCLRDPTAACNLNAAQLFTRS >Dexi1A01G0029330.1:cds pep primary_assembly:Fonio_CM05836:1A:34867937:34868248:-1 gene:Dexi1A01G0029330 transcript:Dexi1A01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPCTTTPVGVELSPASVAPSPSAPAGAAAARTTGAPSREAPRRAQCKRSSAPPAAPTLLRLRRGEDDATRRRKAEDEEAIAPDMGSPKHDCCCC >Dexi9A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:9A:6311395:6312181:1 gene:Dexi9A01G0010400 transcript:Dexi9A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSVPSSAPKDRSLVLSELLGYIHGYYEAALDRLPVEEMPLLIPRLLEAGVCFGRLDPVSNIVANTVCSLEPSPEANDGAQSDESESSKKGRKRKATAEEEEVRTVRDEAMSEITTDASGIFCLPPILRGARMRKRRTIAQRSLEGLITFLVCYFRYLPVSEALQYLALAKADLLAAVHLIERIRGIGGRLCPISSPTMEIALRCAAIAASQSDIFAARNCSRNLSSS >Dexi1A01G0027970.1:cds pep primary_assembly:Fonio_CM05836:1A:33668425:33670315:-1 gene:Dexi1A01G0027970 transcript:Dexi1A01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCSTLSFPVINMEKLKTEEKPKAMEVIRDACENWGFFELLNHGISHELMDEVERLTKAHYTNCREPKFQEFAARTLEAGEKGADVKDVDWESTFFLRHLPASNIADLPDLDYHYRQVMKQFASEIQKLSEKLLELLCENLGLEKGYLKQAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVEVPPLRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPELVAGEEERAEAAYPRFVFEDYMSLYVQHKFEAKEPRFEAMKSAIATA >Dexi4B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:4B:5991144:5991482:1 gene:Dexi4B01G0008300 transcript:Dexi4B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSGDGFGGRRPPGLLANAAKRKEGFVQLFLMAGIFMMSLRSLGQKHRLRDLADDAADLRREREGLSLRMRDLQDALRREADADASGALASHLRRIFTAHPAPATAAEDQ >Dexi4B01G0020830.1:cds pep primary_assembly:Fonio_CM05836:4B:22942697:22945277:1 gene:Dexi4B01G0020830 transcript:Dexi4B01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMAAVAVAVAVAFVAVMGVLASPAAAQTPSTPDCASKLVPCAPYINTTGTPPEACCGPIKDAVQNDLKCLCGLYETPEIFKAFNINITQALGVSKRCGLADTTEACKGLSPTQSPPGSPSGGGKNSGHRTLSFGLPGLMSLLLALWSVLA >Dexi3A01G0021930.1:cds pep primary_assembly:Fonio_CM05836:3A:17490814:17492378:1 gene:Dexi3A01G0021930 transcript:Dexi3A01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSTPATASAVTVLARRRPRVELSAPGPCRPTDQGGKRRRVTCAGAAPPGRSCRRPGSRWWPRYGVTSVCGLRREMEDAVSIRPDFLHGARATSSGKHHHFFGVFDGHGCCHVARMCQDRMHELIADEYGKAGSSKDATTAVAWKEVMEKGFARMDDEVSSWAAAASRSGEGLTCRCERHKPARCDHVGSTAVVAVVGPDSVVVASAGDSRAVLSRGGVPVPLSIDHKPDRPDELDRIQKAGGRVIFWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVTVTERTDEDECLILASDGLWDVFSNEMACDVVRACFRSNGPPSPGSRANGVMPPSDAVAGREGSDGAAAAVKGVDKAESDRACADAALLLTKLALARRSSDNVSVVVVDLRRGVLNS >Dexi1B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:1B:3226064:3230882:1 gene:Dexi1B01G0004050 transcript:Dexi1B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQDEDPDWLTAFQAPSTAPVMLSSGSDSDASPEASPARTTPSREQGDGEKQASSDHAGDREDPAQNKGKTLTFTRRKTLSSKKDSFVKLAAGPTTDGKQDSTTRCSTPKKDMVTLSSGSDASPGNSPSRAGDANHEEDSLTTPRRKGVQQTNKKKAKVAETKSDPDQIVDTLEHQEGVAEDEMQDKLTGNSVSQRLPLIFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGSQDLLLDLKGTIYKTTIVPSRTFCVVSVGQSEAKIEAIMNDFIQLEPKSNLFEAETMMEGTLDGFTFDSDEEGDKIPESQPYQNDQNNEDDGQPKAKTKRKAEKQVGKATKKAKVAAKAPKKGTRKTQPAKRTRKAKK >Dexi9B01G0027560.1:cds pep primary_assembly:Fonio_CM05836:9B:30044602:30047231:1 gene:Dexi9B01G0027560 transcript:Dexi9B01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKESRYFFQQLISGVSYCHSMSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVSDPSKRITIPEIKQHPWFLKNLPKEISEREKANYKDTEAAEPAQAVDEIMRIVQEAKTPGDMSKVVDPALLAEMAALESEEEEPDTEDTY >Dexi8B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:8B:1532957:1536496:1 gene:Dexi8B01G0002240 transcript:Dexi8B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNRSRYVRRQPGRSRCPSRPRLPPPDYGHEHCSVPLWEREFCSYVGNISWQRFCENRQYVSVYNNLEQWDDSGAFENFENAKARFWANYHGRPSDIPLPDRDMYIDKVDHRCKVDPELVADLDKVRLPFDSDNSSALATNARADNQKGSGNWDIYIEKPAEVNKWDLEANLGSEAIWGGKNESSIKWGDGNSGWGAAPSWRGWSDNQYTSNNRSNNPYGGSNNNRYREEDPSHSSGRKRNGGGYFQQRNNKQRNQDHQRSSWQDHRGRNGNGVQCTTGLAKTEDSRVFGSRAEATHDTALLLPAPSPTATLHELSLLSSVSSPTATATLPRPL >Dexi1A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:1A:11729528:11730434:1 gene:Dexi1A01G0012210 transcript:Dexi1A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNRKTSKQTSRVKKLLKLALSRLTIARRPRLARKSISLSDVGQLLILGHLDRAIQRAEQFIEEDNMLLALDIIELYCKSLIEHAAKLDKPQESGEEIKDAVAGIMFAARWCSDLPELLLARDILAHKFSSDFAANAKEGTGIVDPMLVWKLSGDKTNMELKKKVTKEIATENNIWVDFSVLQEAVKSNDNGNATGFVMNEDRRS >Dexi7A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:7A:20001328:20002886:1 gene:Dexi7A01G0008760 transcript:Dexi7A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSATTATLQGAGLSEFSGLRSSSSLPMRRNATSDDFMTAVSFRTNAVGTSGGPRRAPTEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPEGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVCGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDAPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >Dexi9B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:9B:9800110:9802425:-1 gene:Dexi9B01G0014680 transcript:Dexi9B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAFSPLHCLIFGLLLVQLACSVAIPPSGPSNQQHEQEPSKAAYIVYTDHLAKPSHFATHELWYTSMVSSLSPAAANDSSSRVFYLYDTVAHGFAAELTADEAQRLSNTTGVSGVFEDGVMQPHTTRSPSFLGLDRDFGILPDTNFGDDVIIGFVDTGIWPESASFADAGLAPVRRSRWKGRCEDGERFNASMCNNKLVGARFFPNPPGTSRDYYVDFQSPRDKAGHGTHVASTAAGSEVPGAELFGFAAGKARGVAPRARVAMYKACEFKNGCSYASVVAAVDAAVKDGVDILSMSLGGYHEPDFYAHPTSVALFGAVRAGVFVACSAGNDGPMASSLGNVAPWITTVGATNLDRLFPATIVLGNGQALVGQSLYTYTANQTPMVRLLPSNCTRTDLVPDMIMGKIVLCTDVGPLTGMAVQSAGGSGLISIATEDWGMEGLMVAAFTVPAVTIGAREAATLEAYVRSDPFPVASFRFTCGTCVGESRAPMVASYSSRGPNHIVHEVMKPDVVAPGTNILAAWPDETPLSGQRSASFNIISGTSMSCPHVAGVAALLRNRHRDWTPAMIRSAMMTTAATLDSQGRPIADSAAGARGGGATPMAAGAGFVRPQQAMDPGLVYDAGEKDYVELLCTMNYTAEQIGVFVPGFAGCTATLPGGVVGGLNYPSFVADLSNGNGTGFRVLARTVIKVSEGPETYTAKVVAPDQLVEVTVTPATLEFAGQRNERKSYSVVFRSKKRPAAGLAQQFGEIVWESDVHRVRSPVAFIWD >Dexi6B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:6B:20483206:20484179:1 gene:Dexi6B01G0012760 transcript:Dexi6B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMSDDVIGIEQMELTNEVPLEVTPLVKKKRARTGNYTAAEDEALVLAWENVSLDPITGTNQDGSTYYQDGSTYWDRIADYYNRTVKSKSFRTTKISAATLVPIAQGLCKQKDKKHHRPFLMLQCWQLLKDNEKWRARPTDPSQKKLKSCNSSSPDIEEEEEEEDSADEEGERRRSPTPSSRPPGSEEEKDRVKKQAQGTMYKEVLEKMMHNKVDLEAEKKRDKEEKWKELKAIEERKVAIEEERLQIKKGRNKGYKRSRIKR >Dexi2A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:2A:31447056:31451191:-1 gene:Dexi2A01G0019230 transcript:Dexi2A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGAALGLGMEGTSCGALLKELQQIWAEVGESEGEKNKALLEIERECLEVYRRKVDDANRTRVQLHQSVAAKEAEVASLMATLGEHKLYMKKDKGVVSLKEQLAAVVPMLENLKCKKEERIKQFSDIRLQIEKIRSELSEHNEQSDKASSLAADEHDLSTRKLNSLIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQGRLISVLEEYRLSRQQKEEEKRRYRDQKKLESILLAEKEAIFGSKPSPRRTGSLNRKTNGYRPNGNANGLKTPTPRRSSLGSATPELLTPRSYSGHNRYFGDGDWMI >Dexi1A01G0024320.1:cds pep primary_assembly:Fonio_CM05836:1A:30887109:30890570:-1 gene:Dexi1A01G0024320 transcript:Dexi1A01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGDQDGSRAAATPHGRDTGGRSLTSQLKDMVLKFSGSGRQYKASGSPSFRGNRFHRNSRLAAYPGVIDESGFTSDGATEGYGYMRSTTGTRSVPPPPPWEPTTKVVRGFPQHLRSPSTSWIPSIGEEEEEDDDDEEAVVLEEERVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGVSTPTSSVDDATQRDSSSFYSRAGSTRESPVILPPTMAVRHQEQPIARATSCSAMAAAASTARAACNPSSTAVPDPSDHVWAHHFNLLSSAPAPAPPHHFDPSRATTSSLDEASVSMSNASDLEATEWVEQDEPGVCITIREFGDGTRELRRVRFSREKFGEQRAKVWWDQNRDRIHAQYL >Dexi6A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:6A:4121276:4122271:1 gene:Dexi6A01G0004540 transcript:Dexi6A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSWPHHTNVGSSLPPPQGIYAHVRWLRTRDFRVDSSTNRSNPYLNSTSLWLSAGTETNPTTMSPPTPPLISAGAGQPSRSASCFVAKPSRGFQLLRIDGYSWTKALPGGERITSEAFTVGDRVWCVDYYPNGTDPSVDESDSISLYLRLVGVSGGKHKERVRAQYRFSLLDLAGDAAYELPAETGVFTFPAGGVAKPLLPGQAYGYAVDPVPVAGDAQAAAAAAEVGCGHAACIAREELERRRGDSLLAEDCLVVRCDVGVTEVANPSAVAAGRLACGYAPAPWYDNGGYGGGDDTYALDGRGGQKQPPPVDDKEFIRRCLGAKRARE >Dexi9A01G0025460.1:cds pep primary_assembly:Fonio_CM05836:9A:25847958:25850816:-1 gene:Dexi9A01G0025460 transcript:Dexi9A01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISRLMRAAREGTRVVLGSSRIARRGAEGRGRASFFTGACAPFRGGGAPFGTDVPFGPIGPDGLPTFVNWSTYGAVTPIQDQGDCGSCWAFGVAALIEADHFIKNKELIKLSEQHLIDANNLRNFGCKGGSTSEALEYITRNGGIVNAESYPYKGAQGPVQSITAPGVQIADYFCTFNGSEFMLKWSVAKGPILVTIGADDFFMMNQYGRVLDGPAYTLDEMENLRIAHSLLVIGYGETQLGQKIWLVKNSHGGERFLLLARDNGKIGGAFGLTRRITRVQVSERVDVTVKSDEEMRVTPRTDWWSI >Dexi2A01G0023890.1:cds pep primary_assembly:Fonio_CM05836:2A:35574277:35576777:-1 gene:Dexi2A01G0023890 transcript:Dexi2A01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEIESSDSSDSSSSLVSEVPSECQTFPDCGFQLPNSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAESDPPEVVVHRAMYLLQNGFGNYDMFEKNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPFKLLVAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVEKLSSHPGFRVIEDEGSVNRRSEERKTLLPMKRKRER >Dexi9B01G0025910.1:cds pep primary_assembly:Fonio_CM05836:9B:27487521:27487886:-1 gene:Dexi9B01G0025910 transcript:Dexi9B01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLLLASSTHANTIVCNPNSYGDNDPFGPNRDDLLQELVVWLTPWAAGHEVYSVRPLTGTPLVYGHAVCRPGLVGDDCQHCLGYAATQMEQICGRSLGGRAAQGDDCRVRYEQYAFTD >Dexi7B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:7B:24332389:24333548:-1 gene:Dexi7B01G0018680 transcript:Dexi7B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARARLLACLSALTMMAAASWVAAGGHRMTDQVDILWGPTQVINGSSDGDQTIGLSLDRVMGSGFRSKTSYLFARIDIDIKLVAGNSAGTVTTVYMISEGQWNIHDEIDLEFLGNLTGEPYTLHTNIFANGSGGREVQYRLWFDPTQDFHTYTILWNSEEILILVDDMAIRRFKNHWDAGVPFPVYQPMRLNGVLWDADQWATQGGRVKTDWTQAPFTAYFRNYRATGCEPSVDGAWVCGQDPSGGGDWFDGGVAGMDDVKQTQQLRESQQRYTIYDYCTDSVRFPDGFPKECGLP >Dexi4A01G0021770.1:cds pep primary_assembly:Fonio_CM05836:4A:25183047:25184547:1 gene:Dexi4A01G0021770 transcript:Dexi4A01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPRSPLAAAMLLAVALAVTLTTTSSAAAAAPWERPDEEVRHLYEAWKSEHGRPPLGAGDEDDDLLRLEVFRDNLRYIDARNAEADAGLHTFRLGLTPFADLTLEEFRGRALGFQSLRRARNDTAAAGVEDDDYLDDLDEQVQAVPAAVDWRKKGAVTRVKNQGSCGGCWAFSAVAAMEGINKIVTGKLISLSEQELIDCDRRSHGCKGGRMDWAFQFVISNGGIDTEADYPYTGRDGKCNEAKKKKKVVSINSWGRVPANNEKALQVAVARQPVSVSIEAGGRDFQHYKKGVFDGACGTKLDHGVTAVGYGRAGRKNYWIVKNSWGPKWGETGYILMARNVAKPQGKCGIAMDSSYPVKNRRRGNTEVTVQSVLEEVVELA >Dexi6A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:6A:6435073:6436166:-1 gene:Dexi6A01G0006660 transcript:Dexi6A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTIQANLGHGMQTTRAAPEPYSAAAAAAAAATATATAATTAAGAVEGSVHPKLKCLRFSLPSMCDDGVFREYDESWEDMKNEEAFAIAESLHELQFIQMAGRCLSNKGGFAIIKGCPHLEFLDISKCCDVDVDDKVRARFSKIKYSSVSCYPNDIDRTK >Dexi5B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:5B:742865:744492:1 gene:Dexi5B01G0001150 transcript:Dexi5B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQQQASWRLLSHAPEAAVYGGGGGAARKQPQQQAEAAPAPRPVTLATLRGKHRRGEPITMVTAYDYSSAVHVDAAGIDLILVGDSAAMVAHGHDNTLPISLDLMLEHCRAVVRGAPRPLIVGDLPFGSYESSPAQAVESAVRLVKEGGVDVVKMEGGAPSRVSAAKAIVEAGVAVMGHVGLTPQAISVLGGFRAQGKTVDSALKVVEAALALQEAGCFAVVLECVPAPVAAAATQALQIPTIGIGAGHLCSGQVLVYHDLLGMFQNPEHSKVTPKFCKQFANVGAVINKALTEYRGEVEARSFPDAIYTPYKMSSADADAFANVRQQMGFNGAAAAAAAAADNAENLIDNRKPQEKKTNGVLSAGAAV >Dexi2A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:2A:30826560:30831553:-1 gene:Dexi2A01G0018650 transcript:Dexi2A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNRRLSAKHSPSPATAPVPRPKTTAPPFPGDCDDDDDFQPPRARLLKPCNAATAARRPRKKLKPSSSTGKENSSVGAVLAVTAAAIRPKGAGTLAAGSRVSGDVPEAKEPMAGESCGISRYGSDAAELGRRGKIGLDGYGYCKGDSNSLLNSMKSMVLPLGAVCDLGGGSCEGAQVLDSSTSVSEEWHSTEKKQTNSEVLEGCYNSRLVVPGILESDANCGVVTAGSCYSKGLGSEVLCPPTDENNMEKEASGAFECGAGLHERNEDLNSLESKLPKSNANHDSRGGDCSKAQEPDSLACSFDSQERKVVAGHCSTPENETMENRSSGSEACKGHCFSNSSESELLESQRIHGSEDDGNFEIGTQLNELINLCMKDSIEGQSNCASPVKQSTFNSKRFKSDLQVKCPLCGLDISDLSEELRQLHTNNCLDEPVKCSLVLLARKFKGDSVLVFILCLIVLLESSPNHEKEPCAGENVEIRRVIEWLSNLGLSKYEEIFIREEVDWETLQWLTEEDLLGMGITALGPRKKITHALGELRKKHDDANDIEAGVSSSENTKKAKLQMNGNKLITEYFRCSSFEQRQSSRACKVNKPSNLNEQKKSSATAPTRISRAGKGKVKDTPLWCFIPGTPFRVDAFRYLRGDCCHWFLTHFHVDLNLTCFDANHCPGSIIILFEPPNGKAVLHTGDFRFSSEMVNNPVLQSSHIHTLILDTTYCNPRYDFPSQEVVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFMEVARLLQKKIYVGAAKLQILKHLELPQEIMHWFTANESESHIHVVPMWTLASFKRMKFLSNQYAVRPMAFCPTGWAFGKGKKKTPGKRWQQGSIIRYEVPYSEHSSFTELQEFVKFISPEHIIPSVNNDGPESADAMLAQLLNE >Dexi8A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:8A:24766512:24768000:1 gene:Dexi8A01G0014300 transcript:Dexi8A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLGNAATLTGVDPLRLITMIGQAARTARHNKSDCEHLAHRVEDLAELLTISWSVGGGPVEAAEARTLAALGETLAEAHELVVSCQAHHCLAYNLFTAHRQSERFKDVDNKITSCLHNFTLVTHIVINRRLDGLMMHTNNAHRDMTTMAEPAAEAEEFTLAEIAVATNDFAVVLSAGDSGTVYKGKLHDGREVAVKRLRRCDSAEEAAFDTELDILSPLRHGNIVRLVGWCADDDGERIVVTKLMSNGSLHDHLHGRHSSPVTLTWKARVEVLLGAARGVRYLHRRAMPAHVIHGGVTSTHILLDDDASAALAGFGGSVWRTAGVESQPLSMAAIAGGGAAYGYADPELIGTGMIKPASDVYSLGVVMLETLTGKPAMVTVWDERSSAMATMTLVSFALPSVRAGRLVDVLDRRPVAEPTAWQLEPLQLVASLAVQCLCINGDDRPGVLEVVVNLEHALELICGRGHF >Dexi5A01G0034080.1:cds pep primary_assembly:Fonio_CM05836:5A:36228629:36228935:1 gene:Dexi5A01G0034080 transcript:Dexi5A01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGENVESSAGVVHGGRHGVGVEPEVDVVVPPRAAPGVEHLDGRAAWQPAGEADHLVGSNASTESSVLFPVGAGSVAHRRVVGFTTWNSMGRDA >Dexi1B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:1B:8438924:8439787:1 gene:Dexi1B01G0009410 transcript:Dexi1B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCMTRACALATATAACVGFPGALVYAIVRVAAARRYGATFALAIVLVFWVTVSAAYYPLICDDLIPWSSLMAPCLPRRRRQRRAHHPRVVVVVASAAGSAASLLPLPTTTTTVAEAERQEGGHGGRSWATAPPPEPQWPPPPPVDDTLPSFVAWRQDYRGMELLSREPPAVARGGGARVVGEDALAAAAAAAPYEWKWGGVPARAPLPDDDDDNGGEESRRRCAVCLYDVEEGETATWLPACLHMFHQHCIDQWLHLHGNSTCPICRCDAFVAPPLPLPPEQTV >Dexi7B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:7B:24781571:24784458:1 gene:Dexi7B01G0019160 transcript:Dexi7B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGHHGHHNQRMLAAVALAWLAVSMASLASARFIVEKNSVTVVSPRSLRGHHEAAIANYGVPEYGGALTGVVMYPDDAKLGTGCGPFGDKKFRSPSGRPVVLLVDRGGCYFALKSWNAQLAGAAAVLVADAVDEPLLTMDTPEDETPDMAFLSNITIPSALITKRLGDALRRAAVFSTSESEEVVVRLDWSESMPHPDERVEYELWTNSNDECGPRCDEQAAFVRAFKGHAQLLEKGGYASFTPHYITWFCPDAFLETPQCKAQCINRGRYCSPDPDGDLAAGYDGKDVVAENLRQLCVHRVANATGRPWVWWDYVADYHLRCSMKENKYTRSCAEDSTAVLKAICAGFKESTEPHVCLTPGMETNECLDSNGGCWRDEKTNITACKDTYRGRICQCPVVDGVQYLGDGYTHCKAFGSARCAVGNGGCWTETRHDKTFSACSGSDLSGCKCPPGFKGDGFNCQDVDECSEKLACSCPDCSCKNNWGGFDCKCSSGLMYIKSEDTCIGEASSTFFFFFFLPLLRPPRGHTTAFWWLVAYLVLSCVLSVAVAGYVFYKCRLRRYMDSEIMAIMAQYMPLDSQHNENQPLRTEEPQQEQA >Dexi7A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:7A:14544047:14544514:1 gene:Dexi7A01G0004170 transcript:Dexi7A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTEALTILLLVSLAFTDHVRAAREMGGGGHGQELVVGNDGGAVSPKEVVYPMLCSDDMCVRACRLQMGGCGRCKERRNFSCSCFPCH >Dexi1B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:1B:3157710:3167451:-1 gene:Dexi1B01G0003960 transcript:Dexi1B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSMNNFLGRAVWEFDAGDGTPEELAEVERARREFTDHRFQRPYSADLLMRMQFAKANPESLDLPAIRLEEHEDVTEEAVSTTLKRAISRYFTLQAHDGHWPGDFGGPSFLMPGLVLNMLVCWIEDPNSEAFKLHIPRVYEYLWLAEDGMKMKCYNGSQLWDTAFSIQAIVSTNLVEEFGPTLKLAHDYIKNSQFLDDCPGDLNYWHRHISKGGWAFSTLDQGWPVSDCTAEGLQVSLLLSTISPEIVGKPVEANRLYDAVNCLMSWMNDNGGFASYELTRSYAWLELFNPSETFGDIMIDYPNLYPEYRRKEVDNCISKAANFIESVQRSDGSWYGTWAVCFTYGAWFGVKGLIAAGRTFENSPAIRKACDFLLSKEFASGGWGESYLSSQDKVYTEHEGGRPHAVHTSWAMLALIDAGQAERNPMPLHRAAKILVNLQSQDGEFPQQEFVGVTNQNCLVNYSNYRNIFPIWALGEYRCRVLASSGRK >Dexi5A01G0022890.1:cds pep primary_assembly:Fonio_CM05836:5A:27011407:27013700:1 gene:Dexi5A01G0022890 transcript:Dexi5A01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVKFVSLWVVATSSPVVAAAVGEERVVGGRARAWTDCSRRGKKKEGACEGGGTRRVQKPERGIKSNQAMDSEHWISRLAAAKRYYAAQLGHIDDMPGMGMEEVDMDMEDDGDMEMEIAMELGDATWPEVACPYCYEDHDIASLCVHLEEDHPYEPHAAVSQSARLSLLALSELITRDMLNHITMQHGYLFKNGHRSRRFIIPESHAISLLSRDLRGTHLQALLGGGHSHRSSNTVTTNISSDPLLSSFGLSFPTSDAPEPSKSTASVPDTSVRKETPAQSWESSFRG >Dexi1A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:1A:3473684:3474004:1 gene:Dexi1A01G0004740 transcript:Dexi1A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRKRPPCSPWAALPPDIAGEVLLRLPSYADRICFAAVCRPWRASAEQHRSPPPPLPCLVFGDGTFRGLAHDERPFRLPSAAGFLGSCDDASSSTTATPGTRW >Dexi1A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:1A:5001539:5003957:1 gene:Dexi1A01G0006580 transcript:Dexi1A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVCVTGAGGFIGSWIVKLLLDRGFAVRGTSRRADDPKNAHLWGLDGAAERLAMLQVDLLDRASLRAAFDGCDGVIHLASPMHDNPEEIIEPIIAGTRNVVEAAADAGVRRLVISSTIGTMYMNPHRDPNAPLDESSWSDLEYCKKTKNWYCYAKTIAEQSAWETARARGLDLAVVIPVVVLGELMQPSMNTSTLHILKYLTGKAKEYVNESHAYVHVKDAAEAHVRVLEAPGAGGRRYVCAERTLHRGELCRILSELFPEYPIPTRCKDDVNPPKKGYKFTNQPLKDLGIRFTPVHEYLFEAVKSLQEKGFLPKVSVTKVTQRRSSPLQMSPPPMLISKL >Dexi5A01G0029380.1:cds pep primary_assembly:Fonio_CM05836:5A:32462313:32464938:-1 gene:Dexi5A01G0029380 transcript:Dexi5A01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTRTTQPAPLLVAAIAVSFLSAAAASNTTTTSSHAAGRLQSLLAEVSRWRERHLADASSHPGAAPGVRPNTVAAWVLSFLAASVSSAGGVGGGSLFLPILNLVAGVSLKRATAYSSFMVTGGAASNVLYNLACTGGGGGRKLIDYDIALLFQPCLLLGVSIGVVCNVMFPEWLITVLFSLFLAFCTAKTCRAGVKIWRSETCGVGGGAGAGADETKEPLLLARGGSVAEGGGGNGEGFPWKDVALLVMVWLCFFALHVLIGDKHGKGVIRIKPCGVAYWLITLFQLPAAAAFTGYIIYAKRKKHVVHSQEDGKVDLVQGTMDTLPSLTFPLAAFVTGVLSGLFGIGGGLLLNPVLLQIGINPQTAAATSSFMVLFCASMSMVQFILLGMKGIGQASVYAGICFVASVVGVVGIERAIRKSGRVSLIVFLVTAIMALSTVIVTFFGVQDIWMQYTGGAYMGFKLPC >Dexi2A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:2A:3331741:3345973:-1 gene:Dexi2A01G0003810 transcript:Dexi2A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKQSVAASTMGRKEAEDDGGGVKYSIAGTWHAWANEAAVYMGLRRPDALAKATEELDRVGGGERHITEGDIATLPYLEAVVKETMRLHPVTPLLSLSCPARMWPPPAGTTSRQARWSSSTLNDRWRPDRVGEDAAAFRPERFAESSGREGPEFRGPPFRVRMCPDVSFGLRMVHGHAAVHRRRTQRPHPSPSPRCHLTLEDEAFESASAAAAPLPADGSPDDDWFTLLAASLPARTAEEMRRHYEALVEDVTAIQTGHVPLPRYAA >Dexi7B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:7B:18924677:18926855:-1 gene:Dexi7B01G0012020 transcript:Dexi7B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMVEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLANGRNHSRSDSQSSSSRKERKDKDAKKRSKKRRKHRSSSDSSSSSSSESSSLDDEDRDSRKSRSRSRSKRTKKEKKHRSRSKRRDSDSEEEGPVRLSKFFGK >Dexi8B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:8B:18918161:18919755:-1 gene:Dexi8B01G0010380 transcript:Dexi8B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSLFKKSQSPLPRSYLSDTSSTASSAGAVATHRVYQVWRGKNRFLCGGRLIFGPDASSIVLTVALIMTPLALFVAFVSFRLADLIGKPLGPAVPATAMAVGVFDVVVLVLTSGRDPGIIPRSPRPPEPEETDTSSTFSPATSGAPWSLPPTRDVYVNGTVVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGKRNYRFFFLFISSTTFLCLYVFSLCWVNLLLISKQYGGIGLARAVAEYSPVSGFLIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYEGKSNPFNRGAAANVGEIFFSPIPASRNDFRAKVSPADPDAAALYYLGPLSSESRISFYTRGSLSFDMAKASFDLNYSAKRTSVGSSDFGDIYGGGGGGGDRASTAQQPRHSIFGGKDSIRKVEDEADAVTAELGKTMVQQQQQYGGAAGRPRGREFEVV >Dexi9B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:9B:8824984:8825445:1 gene:Dexi9B01G0013050 transcript:Dexi9B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPRQRRGGSADGATTRPIFAGRKGKPREDRDREKAPAKTWRVAPLQSCSPSLSAGGPAGEGDSGRGKNRTIGEVTTLNQILAFATRPRRIVVHGASYGKHEHLAFAVSPHAHTEEAKGNFGGHHSAAGHPLLVNEGDAAGEWRPRSERRR >Dexi6B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:6B:2597205:2597424:-1 gene:Dexi6B01G0003160 transcript:Dexi6B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARITPAELLDADGFLFGFPTRFGMMPAQFKAFFDGTSDLWCEQKLAGKPAGFFFSTGCQGGGQETTA >Dexi8A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:8A:19883258:19883563:1 gene:Dexi8A01G0011290 transcript:Dexi8A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGGMLAAGAIKLAAGKLAEAAGARFMQQWRFSDDLEAMKTTTEFIEAVLKDAERQSLTDNTVQVWLQHLTQASYDISDMFDEFELDATKKSALRKVSV >Dexi6A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:6A:7281044:7282571:-1 gene:Dexi6A01G0007340 transcript:Dexi6A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLSQDDPPWRTAFSASFFHLAAHTRTPSLSITVPTSSATCSLLTSASSRSPTPHTSHAYLQMVYPSANAGQHTTGTPAARASIVELPPLCVTKHPTARCSSTRSCGAHHLRPSAAVAGRKSGGSDAAPPPPPAPETETTRSSLTTKRNGRPVAARPHANSASWSEVSTTRLPKLAYTTVPGCCVSSHARHAVSCFHGLIDLAAGERVAGGGDGAGGGGRRVDVVEAAAEEEAERADGEDAWVLGTEGGEAGGVEGVEGVEDEGAGLGKVVGLRVVEPQAEVVRVGGAHEARHRWHAVPEPCEELPQRRRAALAGGGLGQGVVAVHRAAAAAAAVGVVEQAVCRNDDATPAAAACALRWLCSVMTKSLGEL >Dexi3B01G0026330.1:cds pep primary_assembly:Fonio_CM05836:3B:21321101:21324549:1 gene:Dexi3B01G0026330 transcript:Dexi3B01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWSPASAAVEPRSVQLLLLGVALVAASFYAGTLFGSSASPALVLPTSRPQSPDSSISKGVTQERFEKNNQFWKSQVHKYWSFIGAEKTNIRNVMDMNANYGGFAAALSNDSVWIMNIVPYTVSNTLPVIYDRGLLGSYHDWLV >Dexi8B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:8B:3464043:3465743:-1 gene:Dexi8B01G0003990 transcript:Dexi8B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGILAATVEDALIASQQQQKPELNLPLLTRTRSMPTIRLAKYAKWFDDSSEDIRSLCGKALRMLRAHYGWETVAVTIPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIGLSAYRSFSSKDYLNAQRLRSRQMYFHDKIFETADAIVTPMTGVTAYSLQDDALGTGELDYINGAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGMQFIGRPWSEATLLHLAYAMQEACKDHRREPKVHYDLLRSSP >DexiUA01G0003540.1:cds pep primary_assembly:Fonio_CM05836:UA:7197668:7199041:-1 gene:DexiUA01G0003540 transcript:DexiUA01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLCFHIFHDLPISSSAISAAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTIHPLTRYVMNYSSLICDYKVTLSELIISRPSASARLAAEGNELAPSLADLELPELENQLPLASHIVWIIVVLEHNLEGKAALYKDPALSHLFMMNNVHYIVHKVKDSPDLWGMIGDDYLKRLTGKFTIAATNYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEDAHRVQSGWCVPDNQLREELRISIAEKLLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAVGDFFEGVPPSPHNRRRSHG >Dexi1B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:1B:23489439:23491017:1 gene:Dexi1B01G0017110 transcript:Dexi1B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAAAASCGDKAPPHAVCLPFPTQGHITPMLKLAKILHARGFHVTFVNTEYNHRRLVRSRGASAVAGLPGFRFATIPDGLPASDADATQDPATISHATKHHCPPHFRSLLAGLGKNAVSCVVADNLMSFSVDAARELGVPCVLFWTASACGYMGYRNFRPLINRGIIPLKHEEQLTNGFMDMPVDWAPGMSKHMRLKDFPTFLRTTDPDDILMTFQLHEVERSEDASAVIINSFDELERPALDAMRAIMPAVYTIGPLPSVLEQVAMPTSTSGPVDAISCSLWKEDDACLAWLDGRKTKPRSVVYVNYGSVTVMTGQELAEFAWGLAGSGRDFLWIVRPDVVRGSAAAAALPSGFEEATRGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTVESLTAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDDVRREAVEARIREAMGGGGDDEKGKEMARRAAEWKEAAARATVESLANLDSLIADVLLAGTSGVGEH >Dexi2B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:2B:818648:819879:-1 gene:Dexi2B01G0001290 transcript:Dexi2B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTRAKKRNLEEQDFISRLPDGILGDIISLLPTRDGGRTQILSSRRRPLWRSAPLNIEILRYRWWEHTIAGATSRILSEHPGPGRRFCVVYSNADAAGVAAPCGCLAPQLEFHYFNLSGITPLPLPESARRFSPTLVAAVFGYCVFFQDGPHHYPLLRKLTLSSVEISESSLDAMLAGCPVLQSSLLLNNHGPTRVKIASGSLRSIGVGSSTQGSLRLQQLVVEDAPCLERLGILGELHGSDHMLRFGTTTHLQGSAIATMTAVVPNNKG >Dexi6A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:6A:13512390:13518172:-1 gene:Dexi6A01G0010810 transcript:Dexi6A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAYWFGPLATLDTSGAHLHLYLLVASSDPWRQHKSSAAAAAAAATTRRRLPPRRYGGRSSSSAGTVPAARSDSHGVLAARSPKPKRRRSTTSPAPPPPSRDAPTTTTDNTGVPAVVDLAAMRDMMPKLGCDPNKINPLIPVDAVIDHAVRVDVARICDALQRNQELEFDRNKERFAFLKWASTAFHNMQVFPPGSGTVHQVNIEYLARVVFNEDGVLYFDSVVGTDSHTTMANSLGVAGWGVGGIEAVVAMLGQPMGMVLPGVVGFKLTGKLRDGVTTTDLVLTMTEMLRKHGAIGKFVEFYGVGVGELPLPARATIANMSPEYGATMGFFPVDQVTLDYLKLTGRSEETVSMVEAYLRANKMFVEHHEPETDRVYSSYLELDLSEVEPCVAGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGYAVPKEEQGKVVKFDFHGQPAEIKHGSVVLAAICSSTNTSNPSVMIGAGLVAKKACELGLEVKPWVKTSLTPGSVVTSEYLKHSGLQEYLNQQGFHLAAHGCATCVGNSGDLDESVSAAITENDIVAAAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEAIGVGKGGKEVFLRDIWPSDKEVDELIASSVQTHMFRQVYESIMKRNPQWNELPVPKAALYPWDPSSTYIRKPPYFEGMSMSPPGPATVKEAYCLLNLGDSITTDHISYSGKIPDGTPAAKYLRECGVEPKNFSSYGGRRGNNEIVMRGAFANMRIVNKLLDGQAGPWTIHVPTGEKLYVFDAAMKYKSEGHDMVIIAGAEYGSGSSRDSAAKGPMLLGVKSVIAKSFERIHRSNLVGMGVIPLCFKAGEDADSLGLTGRERYTIHLPTNIAEIRPGQDVTVTTDDGRSFTCTLRLDTQLELTYFNHGGILPYMVRNLAAEQGK >Dexi8B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:8B:3461337:3462006:-1 gene:Dexi8B01G0003980 transcript:Dexi8B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNNSQVWEAARRFLRVATIALSVASAGVILSANDSTGGCCEGAPAGEVSSSDFSSFTYSMAANLVSGGLQVAAAFLTWHGGKDSGGEVVKCIAELIDAATDALITSSFGLSLSVDNFGKCGGRRISGICKCSGSFCKGVRKAGGISIAAAVALAVSQYLNDRRELEEEDAQGQ >Dexi9B01G0025510.1:cds pep primary_assembly:Fonio_CM05836:9B:26108031:26110435:1 gene:Dexi9B01G0025510 transcript:Dexi9B01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALVLLVAALAAAAMARDASAVRTLAAESDATSAPAGTTSPVARKLNVHLVPHSHDDVGWLKTVDQYYLGSNNSIQVIRNVKFQLKFRFQSDREKVKRNGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLRAELGFDSVHFARIDYQDREKRKSDKALEVVWRGSRTFGSSSQIFANVFPVNYSPPEGFHFEVLDQNIMPVQNELMILSLQP >Dexi8B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:8B:7259758:7260864:-1 gene:Dexi8B01G0006280 transcript:Dexi8B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSIIFPGPPRLPVKMARYARQQTTVAAPLSSTLEDVKRTEPIVKMCGITSAKDAETAAKAGAKLIGILWPNSKRSVPLSGAKEISRVAKSYAAEPVGVFVDDDIETILRASDSCDLELIQLHGDSSRELLLVLWKNNRIIYVLNADDNGNLINAPPSEEFILDWFLVDSAKGGRYRVLPTAVHGKGFNRKKFQMPSVKSKHGWLLAGGLHAEYVASVLRPNGLDVSCGICCPDGLQKNPESICFHE >Dexi3A01G0036130.1:cds pep primary_assembly:Fonio_CM05836:3A:41532804:41536550:-1 gene:Dexi3A01G0036130 transcript:Dexi3A01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAMGPLSTLLPKLAKLLQDEYELHKGTRKGIQFLYKELETMHAALGKLDEVPRNQLDDLQRIWSRDVRELSYDMEDIVDTFMVDVEGPDPPSKGVAKKINKMIRKVNKAMARREVAQEINDIKERAKELAQRRDRYKVDDIAPAKKISVDPRLKALYTEPTEIVGIEGPKKEVIMMLTEGDGGQKKRIVSIAGFGGLGKTTLAKAVYDQIQGQFNCTALVSVSRIPDTKKILKDMLYQLDKTRFENIHSKVLDERFLMDKAIEFLKDKRYLIVIDDIWDKEPWDKVIGLSLIENNMRSRIITTTRNIDVAEHVGGCYRLKPLSDKSSETLFYGRIFGSKDTCPARFLDVSEKILKKCGGVPLAVVTTSSLLANKSEKIKEWYDVCDSIGSGLGKNNPGMDDMREILLLSYYDLPSHLKACLLYLSIFPEDYEIRKDMLIWRWVAEGFVQKGHQAAGGQISFLEIGESYFNELLNRSLIQPAGKVDMEGTHQACRLHDTVLDLIIQLSIEESFITTVLGDGMEIKVRWLSLHNYNTWPTTKMPKLRSLTIFKLDVVIDPTPYLPRYCLLRVLDLQGCRLKNLVSLGFLGNLTHLRYLGLSSRYARIHANPDQLPVEIGKLRFLQTLDLSETRVDELPSSVITGLEQLVCLRGAPVWGTMLPDGLKNLTCLEVLEMTTITSERIAEELGHLTKLRILEVGILLSTTEDDEWRVCAKALVESVGKMAKIESLDIHVLCKANLEGPIEEPLGNMRRLYIDRATMVPTWIQPELLPTLSCLDLEVKYERREDIHVLGTLPCLRHLRFKVYLAGIWQEASDRRMVGPDAFPRVISCEFDIHGGMVPSIFPLGAMPMLEYFKFRLSRGWTVDDLGLGHLPSLRIAHIVGRDRFRDWEAFKTFEHEATVHANHPRIEPMVYTSGV >Dexi6B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:6B:16875344:16876928:-1 gene:Dexi6B01G0010490 transcript:Dexi6B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSRIAPAGPPAPGSAPSADAATRRLPRARPGEQENGAAWALGGARRRGPAELALTRRPGAAAATTVVTVRAGVRRRCRCGAMAGAGSGSGSAAAAAAVGAVHGEMAGTGSEAEWRVGGMGSVWNGTGTGSQRRFGLRGLGWWGPPPRGRAARAGEAPQQHAQPPPTPAAAPARRLRPPQPPPPAAARRLRCRLRPPPARPPSSAAPASLVAGR >Dexi2A01G0022430.1:cds pep primary_assembly:Fonio_CM05836:2A:34311057:34311873:-1 gene:Dexi2A01G0022430 transcript:Dexi2A01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERYPKLLNLYHSQITFLLARSFFIDLCTAVFSLLARTRVLIQQMLLAVVSIYNKVTDLTDRKQAVKISIGGVQAFREYYPSMNDACTILECVWVKDKFVLHEKIKDSCQEIQVEDQESCSPESSIQYETLALVNEGWNALSSIIHDTYMDILNS >Dexi9B01G0041920.1:cds pep primary_assembly:Fonio_CM05836:9B:42280123:42283194:-1 gene:Dexi9B01G0041920 transcript:Dexi9B01G0041920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDPDRSGGAPDVFELFCHYNSLYFRDSLGSCAVSWAEEPLPDRDVSTCDYYPGGGGCIILLSKSLCECHDESDLKNALLHEMIHAYICVKDNNSNHSDHGSNFRKLMNTINLSSVADPHRPVDGYSITQLHEIRKKYYHYKCQSCGDLIKSTMMRVPSGDDCIERKGVDDPCQNSKCHWHRHKQQCPGSYRRVQESLPGCPK >Dexi9B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:9B:613487:616776:-1 gene:Dexi9B01G0001070 transcript:Dexi9B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGGGQDNSFNFFQVLLEGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSRIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFTAGAIGGIAASLVRVPTEVVKQRMQTGQFKSAPNAVRLIVAKQGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGQGTQYSGVINCAQTILREEGPRAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRKREAVVKDE >Dexi5A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:5A:8963937:8967508:-1 gene:Dexi5A01G0011960 transcript:Dexi5A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKDPGIKLFGRVIPLEPEPAPESTATEEPPCHDQPPEELQPRAPEEVAAAAVDEDQHNEKEEEEDSEMKVDTPQEKGNEMMVDSPQEKGNKMKSDSPQEKGNEMEMKTDTPQEKGNEIKFNASQKEKDGEMKVDAQQEKKDEQMKVDAPAMTENIQPDTLPTPDHKKEDQGRMNSIEDKGALDSKGESEKSSNEESGQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNASLHYRQLLMAPDCVLGSRVDISKTLLPEALVSPSSAPIQPTGQNETVLKFGPEVPLCESMVSALNIDEQNVNNSGSVPRGENMEDISCTTSVTSYNGLPENVVPVDKNGAPVYCNGVAPVPQYYLGTPFMYPWSVGWNNLPVMVPGKSMPEPASASESCSTSSAPWMTSPMMPASRLPGPAFPYPVVPPALWGCLSGGWPATSWNIPWITTNGCVSPSSSNSSCSGNGSPTLGKHSRDSNPLKEEKKERSLWVPKTLRIDDPDEAAKSSIWATLGIKPGEPGTFKPFQTKVENKGQKSDAAQVLQANPAALSRSQSFQESS >Dexi9A01G0044250.1:cds pep primary_assembly:Fonio_CM05836:9A:47873877:47875588:-1 gene:Dexi9A01G0044250 transcript:Dexi9A01G0044250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDASDGIPVAEAAAKRPPLNKYALACAILASMNSILLGYDISVMSGAQLFMKQDLKITDTQIEILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGAVIMGLAPSYAVLMVGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINSGVLLGYVSNYAFHGLPVHLSWRVMFLVGAVPPVFLALGVLAMPESPRWLVMQGRIADARRVLAKTSDTPAEAEERLADIKSAIGIPEGNDDDVVVVVAARKNTHGEGVWRDLLLRPTPPVRRILIACLGLQFFQQACGIDSVVLYSPRVFEKAGLQSANNSLGATMAVGASKTLFILVATFFLDRVGRRPLLLTSAGGMVISLVTLASALHAIDHLPSGKPVTPLAGVSIAAVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRVMSGAITMSFISLYKAITLAGSFYLYAGVAAAGWVFMFFFLPETRGRSLENTEELFGGGGGQDSSREDERDARAQKKSTELTASQQ >Dexi4B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:4B:4702075:4704977:-1 gene:Dexi4B01G0006730 transcript:Dexi4B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGAGAEGGIQLLLTILADGEEQARQLGELADDPRSRAQHYRGAAARLQCTLGKAMAVAKAVEATSASSRGTDDRSDSPRSADESSGATAAVDAAQERQGLCKRRKGLPRWTAKFRVPDDNLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRTAQGCQATKQVQRSDTDLSVFDVTYQGEHTCHQKNRHAAAATSHGGGSHSPPPPPATQDTASMQLLMGFRDALKVETTTVASLHLHDGEYYYDHGPASAPAAPFSFPSAAAPFHHHHHDAAGEPPAVALSPPGSGYFSSAPPQRHCPAYDVYDFEAAPPGARADSSELGEVVSRATAAAAAAPTARFDYSSMYHHGEFDPHLPFPPFGGPSSHGPSYQ >Dexi3B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:3B:10406789:10407270:-1 gene:Dexi3B01G0014400 transcript:Dexi3B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTTVMARAMLMMRKTRELATSCSCCFVIMSGKDAMDMPMDMDLIVLGNDDGKDGIGNDCKDGIGIDRIMPGKEEIDMDWSMLRTEAAIDMNLHGPHAQA >Dexi6A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:6A:6502896:6511464:-1 gene:Dexi6A01G0006710 transcript:Dexi6A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMETRGDAKPAPEPAQARNWSELPRDAIASVFAKLGAVEILMGAGLVCHSWLDAAMVPYLWRSIDMASPNTVVVKEKCGSGHEDVLRAMAKKAVDRSAGQLEVFIGEYFVDVALFKYIGENTRDAFSALSHFPPPSPNPLPPSRPQPTTMPSSSASRRRRGQCPAPPVPHPEAFERVAAPAIAPQLPPPASFARKLAPTAPDAAAVERARVPVAAPLLPTPAAFARGFGTAAPGNPPPVEARARSPPPPPTSSSSSTRRRRRNRWRKASKGQGMRDWAALPREVLAGVLRKLDHIEILMGPGQACRSWRSAARDDPALWRRINMRGHADLFYQLNLHGMAQAAVRRAKGQCEAFWGEYAGDDAFLLFLAEHEDDSDGSYMGSDVYYELDTELDDDDDDDMDEDDEEARMILMAFLPIPPNPSHSPIAMAPSSSASHRRRRNQPAPPSEAVERAAEPSTVPWLPPPAAFSRKLIPAAPPDAAAAVERARPGPVGAPWLPPPAAFARRLHPTPRRAPPDPSPPEPQLPPPFSGLRRRRNRGCEAEAEGQGARCWAALPQDALGAVLWKLDHVEVLMGPGQVCRSWRRAARDDPALWRRIDMRGHADLHRRVDLCAMGRVAIRRAKGQCEAFWAEYAADDAVLRLLGEQ >Dexi1A01G0031250.1:cds pep primary_assembly:Fonio_CM05836:1A:36293829:36296236:1 gene:Dexi1A01G0031250 transcript:Dexi1A01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLLRPLLAALLPAAGAAASGTSRFHIRRRWSRPPVLAVSSDSPKPIASTSSSSAGGDNPEEPPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWELADTSTSNNLAKQLPSMEPYLTRSAKSGMNYIPFGKRLVSAGRRFQSMGQYGQGELKKIAETMIKNGKLLSTRPVVQSDVQAMKEKRKLKFGELEFELTAEKANIGAAVGAVFGFISWQLAQGVQGIPDSTMQYTNDNALQVAKSLRVALLILGYTSTGLSLFAALGLLLLAQQINSENKSG >Dexi7B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:7B:17628033:17628499:-1 gene:Dexi7B01G0010260 transcript:Dexi7B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVSMPCERSRARAMVLAARADGVISMEITGDSRDKLEVVGDGVDAVRLVSCLRRKLGHAEILQVEEVKHENPEHYETDKEPDEPPPQCLCYHGYCCHHHLPTPPMVVCEEPSNCPVM >Dexi1A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:1A:26715962:26716477:1 gene:Dexi1A01G0019690 transcript:Dexi1A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRSRRVGAAGVVPAAELDDAPLPVAALAEELVDLVVEVAEAVLAEALVLDLGHLGADLPEDLGAPALGVGEVAALGGERLSIDCAFSSLRAMAAGRGETAAGGEVLELGEGLGREAGGFDWDLGDSGIVLDGGEEPVLLRAMGTKYA >Dexi3B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:3B:10538462:10542455:-1 gene:Dexi3B01G0014640 transcript:Dexi3B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALLRVAELAGHNGSNLVFHKVDLRDRHALEDIFSSHRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYAGSSENGN >Dexi9A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:9A:3542305:3543134:1 gene:Dexi9A01G0006310 transcript:Dexi9A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACSSPDLMVLPDMLVVNLPGHKQGLEWVSVECSSKAAYGCGEHGEGLIQGLSLSLRRLDRLDLNSSLSINLNSAALQSIQLELGVTDRMMRSGNPIPNVVATIQSAAAKVLVVCVIFRLYQNLERRSYYLVYDATDASLYMIPCLPEHSLGARYTMAPVPARPAGAQGHELALTARAYFSIRNCGRLCVCTPATRESRYPGKNGPWAVKMQRLPKSPHAFSADLTFSLDGNVFWADLTQGVAYSNLRHQSRSDVVFVELPDEGAG >Dexi7B01G0024170.1:cds pep primary_assembly:Fonio_CM05836:7B:28561142:28562972:-1 gene:Dexi7B01G0024170 transcript:Dexi7B01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAPTLTALLKKAAAAFPNRRAVAVPGKIELTHAELDALVDAAAKRLAADAGVLPGHVVALSFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSESRLLLTNAEGNPAAQAAAAKLGLAHAAASLTDAAGPVHLDGLQQQIANGNGFHLEDNDNSPSDVALFLHTSGTTSRPKGVPLTQGNLAASVQNIRSVYRLAETDATVVVLPLFHVHGLLCALLGSLASGASVALPAAGRFSASTFWADMRASGATWYTAVPTIHQIILDRHASKPEPSYPSLRFVRSCSASLAPAILDKLEAAFKAPVLEAYAMTEASHLMTSNPLPEDGPRKPGSVGRPVGQELAIFDEQGERVAVGSPGEVCIRGRNVTAGYKSNPEANEVAFRFGWFHTGDIGVVDGEGYLHLVGRIKELINRGGEKISPIEVDAVLLDHPAVAQAVSFGVPDDKYGEEINCAVIPRDGSPLAVEEVVAHCRKNLASFKVPKKVFITDDLPKTATGKIQRRIVAQHFVQLATAA >Dexi2B01G0029920.1:cds pep primary_assembly:Fonio_CM05836:2B:38235740:38239669:1 gene:Dexi2B01G0029920 transcript:Dexi2B01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGLFANDGSFMERFKQMQQEKEKAAAAAASSGPKPANPKQGFAVAPNKRPFEVKKPGQVATGGKLAFSLKKAKVAVAPVFAADDEDEDAGDVEREEPAKRHKSVEAETPVAAAPAGAVAPPPPNDMTVKQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAKEEKALAQSKEAEASKNVNSSAVSFKAPSGPYRSSFEQRPNYQTPASALYGAYEGSSSQGSSSSYGVRTIASHLELFGCYLCSIVANPFAGDPNTSAPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSEGRGRADPIMAGDVKKDNLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Dexi3B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:3B:6183993:6184709:1 gene:Dexi3B01G0008990 transcript:Dexi3B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCSSSSSPSHRPTTTTTLLNVATGARFDVDLSDLFSTHHLHLGSSADGLLVLRDKATNAIRLLNPLTRALVEFPPITDVEALEVPPAAYSITFRANFNLEVGSTKVHVPNPPPIKGAGIDDATSPPTLVLCLRKKLAHVVVAKPGVDAHWVSVHHGEQQGRCYFTTPRGDVMTLDLGPMTTDRWGWSAKKKPRMVYLRREMALADDRVKAILPRQVP >Dexi5B01G0021240.1:cds pep primary_assembly:Fonio_CM05836:5B:23561729:23563178:1 gene:Dexi5B01G0021240 transcript:Dexi5B01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKPLMHRLGFVFVIVALCGGTEHRRAEAADGATPHRTLTTVSVSKPSYPTVTTPMSAYAYSSPGSPSPTFPTLATGNGGGGADASGGGGGGADASGGGGAGAGAGGGGGGSWCVASQSANPTALQVALDYACGYGADCSAIQPGGSCFNPDTVHDHASYAFNSYYQKNPVPTSCDFGGTATITNTDPSSGSCQYPASSGGAQTTMPPPSPTTTMPPSVPTTPMTPTPTTPDTGTPVYGMSPPDYGSMSPPDYGSTSPPGYGSTSPPDYNDVGAAATTGQGRAVLALLCVLVATISLHVSQ >Dexi4B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:4B:15274917:15275564:-1 gene:Dexi4B01G0014370 transcript:Dexi4B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWRLCTVSQVEELKMLLRMFPIWAARLLYFATNAQMSSTFIEQGMAMDNRVGPFTMPSASVATLDIITFMVCIPLYDIVLVPLVRRFTRKDRGLSQMQRLGIGLTLSVSKRLEAAKAGGKLNIMWQAPVFSILGLGDVFTAIGLLEFFYDQSPGGMKSLGAALAQLAAAVGTYANSGILSAVEAATMRGVAPRWIPDYLKGTS >Dexi9A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:9A:12983834:12984555:1 gene:Dexi9A01G0017910 transcript:Dexi9A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNGRTTEEQEVKKKNSRRSDAASQILSTIAAELPHVEQITSPHEAPNAPRATDISTSVGPARAGLEASLPALVEAEGHVHRGVGRLRQLHVGERGEAIAAGAVELGASPAPAGAVPERPLGGEPRVEARRAPADRGALDAADAVGPTGAVPVALVERVGPEEAPGLRHVDPHEALDLAGGVEDEAREHGVAVEVVGVVGLMPGVGDEAEAEEAAGLEVGHAGELEPVPWPAPVDA >Dexi2B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:2B:10066773:10067048:1 gene:Dexi2B01G0009310 transcript:Dexi2B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALAAMEGAHAICGIANEDFKLCQPAAAVNDPTDSPSAECCAVLGKADLGCICRYKGVAGIWLRMYHIDPSRAMALPGKCGLTMPSNCS >Dexi1B01G0023410.1:cds pep primary_assembly:Fonio_CM05836:1B:29001131:29003687:1 gene:Dexi1B01G0023410 transcript:Dexi1B01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPCACGAPSPPLRCVLAPSLPFASFPPAVRLAARQLLPRRLAVLRPRAASALEPLVLESDDEEGDEEAEEEAGSGLFQGEAWAAGAVERDAVRSPELEVFELEELPEQWRRARIAWLCKELPAYKHSTLTRILNAQRKWITQEDATYVAVHCLRIRNNDAAFRVYSWIVRQHRYRFNFALATRVADCLAREGKIEKCREVFDAMVKQGRVPAESTFHILVVAYLSVPGGRCLEEACTIYNQMIQMGGYKPRLNLHNSLFRALVSKTGGTAKHNLRQAEFIYHNIVTSNLAVHKDVYAGLIWIHSYQDVIDRDRINALRDEMKRAGFEETNDVLVSLMRAFSKECDIRETEATWHRLLQSGSELPAQAYICRMELYVRTGEPMKSLEMFKEMKSRNVPPNVASYHKIIVIMAKAREIEIAEKLMDEFVESHMKHLMPAFLSLMYLYLDLNMHAKLAHTFTKCLDRCRPNRILYTIYLESLVRIGNVTKAEEIFGEMHKNGTIGTNAKSCNIMLRGYLSAEDYQKAESIYDLMCKKKYDLPVDSLEKLQSGLLIDKKVVKPPKPVSMKLDEEQREILIGLLLGGTQIESHAEKGVHIVKFKFQEDSNAHSVLRTHIHERFFEWLPSACRSFNDDSQIPYRFSTIPHAHFGFFADQFFRKGQPILLKLVHRWLSPRVLAYWFMFGGVRLQSGDIVLKVSGGNIDGVERIVNSLQIQSLTCKVKRKGRFFWIGFPGSNADSFWKTIEPYVLDTCTGSAMQESHSVGSDALRDSDTDYEDDTQRYDKETEE >Dexi9A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:9A:744718:746005:-1 gene:Dexi9A01G0001400 transcript:Dexi9A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQFLGRLPKKPSKYGDKDSIGRSSPSVSHQSLGPRATDRVSNLSSQPPIISSSGLSYGYGSGMHVGNANPRVNMNGDSASSAFVSLPSFKDVPNSEKQSLFIKKLNLCCTQFDFSDPTKNIKEKEIKRQNLVELVDYIGSASGKISEVSMQEITKMVSANLFRTLSTPPRENKVDGFDIDEDEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQKS >Dexi9A01G0026270.1:cds pep primary_assembly:Fonio_CM05836:9A:28708689:28713155:1 gene:Dexi9A01G0026270 transcript:Dexi9A01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAAAANGGSSTRGKPIKCKAAVAWAPGEPLVMEQVEVAPPGRLEVRVKVIFTSICHTDLSAWKGENEMQRKFPRILGHEAVGFSVVDRSSGELRPVYHFLNTSTFTEYTVLDAACAVQINPKAPMEKMYLLSCGISTGVGAAWNTANISKGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINPEKFSKGKEMGVTDFINSKACGKPVHEGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCVFGDFKGKSQLPELVDKCINGVELFLHRIFF >Dexi6B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:6B:25233586:25233981:-1 gene:Dexi6B01G0018180 transcript:Dexi6B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNTQSTCRHSLSSTSPTSFFSLLILAAPGGKAAGVDAGAGTGADAGAKPGPGAGAGDPVSSSCSSDTLASGTWPLASCFPVAASAIVDAALRCPGGAAVEDDDVLEEVAHKMT >Dexi2B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:2B:3804686:3806456:-1 gene:Dexi2B01G0004360 transcript:Dexi2B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGTPAGAPPPPASEEEAATLPYGSVVLGGTFDRLHDGHRCLLKYAELIEPVEKRITAVEDYIKSIKPELIVQVEPIEDPYGPSITDDKLDAIIVSKETLNGGLAVNRKREEKGLPLLKVEVVDLLSGGVEGEKLSSSALRKLEAEQAQQTEAKTAGHEDS >Dexi1A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:1A:5349019:5350663:1 gene:Dexi1A01G0006980 transcript:Dexi1A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDLPPYLLLPLLVIPFVFLALSRRKDPQQRLRLPPAPWALPVIGHIHHLAGGAPPHRALRDLARRHGQLMMLRFCELTVVVASSPAAAREILKTHDVTFASRPVGPMLELAFQSANGVIFAPYGEGWRQLRKICAVELLSSRRVHSFFPVRDHELRRLLRSVASAAAAARTPVNLTSGLKAFAADSTVRAIIGGRSEHRDAFLRLLEEALKIIPGMTLPDLFPSSRLAMMLSRVPRKVKQRRDGMLAIIDPIIQEHQDRRAAGVDDEDEDLLDVLLRLQEDMDFQEPLTTANIKSVIGDLFGAGSDTTATTLLWAMAELMRNPAVMRKAQDEVRSALAGAGHDKVTEDILAGLHYLRQVIKETLRLHPPAPLLLPRECRSSCQVLGYDVPRGAMVLVNAWAIGRDPAHWEKPEEFVPERFESNGRDFKGMDFEFIPFGAGRRMCPGMAFGLAHVELALAALLWHFDWELPDGMVPEEMDMAEAVALSAPPRSDLVLVAIPRMPVPMD >Dexi3A01G0030640.1:cds pep primary_assembly:Fonio_CM05836:3A:34955943:34956829:1 gene:Dexi3A01G0030640 transcript:Dexi3A01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSYGPREWLKRVQKEWKILETNLPDTIYVRPFEDRMDLLRAVIVGVSGTPYQDGLFFFDMKLPPSYPVTPPEVKYHSFGLRVNPNLYHSGTVCLSLLGTFGGAPELWSPDTSSMLQVIVSIQGLVLTAKPYYNEAGFEAQVGTPDGRRNELPYCENTYLVNLHTMLHLIRRPPVGFQTFIVDHFCRRGQHILRACESYQKEGCPVSTLDSEGCATEASTEQPPCSMGFRLALANVVPRLVEALTEIGAQGCHHP >Dexi2B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:2B:7605108:7605859:1 gene:Dexi2B01G0007280 transcript:Dexi2B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICIAFFVQYNFCTSAQMPNDRANWSDMATKTLLDLCIEQKRLFNWNRLGPSPHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYQTWKELQNSSGLGRDRNTGGVAADDTYWDTSSEQQTHGKPPPFLEELELLFGHTPQDRGTLLTVGGVRESTPTIGSDDTPQEISEDPHSASAVRNTSKRTSRDEVVDSPQKKKSASMEDYVKEM >Dexi2B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:2B:5703080:5703537:1 gene:Dexi2B01G0006090 transcript:Dexi2B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAMFVAVLVAVAVAMSSAVGVGGDFAADRAECSDKLAGLATCLTYVQDQASAPTPDCCAGLKTVLQTSRKCLCVLVKDRDDPNLGLKLNVTKALGLPAVCNAPANISDCPNV >Dexi2B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:2B:13150331:13151888:1 gene:Dexi2B01G0011520 transcript:Dexi2B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLQTEVLKDAISLVVSEAREKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >Dexi6A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:6A:21725717:21726661:1 gene:Dexi6A01G0014380 transcript:Dexi6A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASLRSSSSAFLGHSSRLARAATPTRRSLKAEAKGEWLPGLPSPSYLDGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLADPWHNTIIQTLSG >Dexi5B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:5B:4694255:4702571:-1 gene:Dexi5B01G0007010 transcript:Dexi5B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDAGEGAAAAPPPEQRVEQKLIDYGVPEELLQKHQEGLLMYIEKHKEQIPDIVRLILSVGNDILEARKPSKKEASSSTSGEAYSESLLWLKWLMFHYEPEAMLDDLERSSADERAVCGSVWGQSDLAYRCRTCENDPTCAICVPCFQNGDHKDHDYSIMYTGGGCCDCGDATAWKREGFCSRHKGADQIKPLKEELACSVGPVLDVLLQFWKERICLVEPPPAKGDGSSSCKRVAEELTMSIANMMLEFCTCSESLLSFLSLRIPLRRKLSSLDASSGDSRSFMASLDNSLTSDKGTSSNTKIGVNGVRINGPDGVADYHDTSSSVHAKMRAEQSKFAETMKSSENEGHDVPMLDPDVSSSTGVVPEEPLPVCSLCRDSDSKSPLCYLILLQKSRLSTFVEMGNPTWDNPTQANKTSGSVKKEDAADSSVTGSSDSEDLVHDTTVEPSFDLENMEVDAFLDLSNEQNPLIRYISSFPSGHGNSNADDTVSLEAIEADIYNSILNDLSEPSNAHIQDYEQALSSSASNIDSKKTRSPKSSVLGTYECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGLSALALLAIKTFSMLLSEKL >Dexi2A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:2A:27567442:27567693:-1 gene:Dexi2A01G0016080 transcript:Dexi2A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTGIAGQRTTMGGRLDYGGRTGSIAMPVSSLPAGERQRAAVVEVHAVKEPALERMEASLEGEWSRRGAERSGFERRAGVGR >Dexi4A01G0023620.1:cds pep primary_assembly:Fonio_CM05836:4A:26662948:26664331:1 gene:Dexi4A01G0023620 transcript:Dexi4A01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRPLLVVHDKEEGHHLVYDLLLVDGGEEDTMLLVVPRPVARSRPNGACPLPSPAAASTACFHDAVMKAGGYELWERKHRTELRDSHGRPLRTGADPRCGQWPMGRGYKVSRLLGGSHQDTPAMLPLADGTVLRMDTVLFDGFYIFDRLLPDGGHGWRATALPNPPVTLADDETTFISAYYALGTRVWISVSSKMVDKGTYSLDTAEDGGGTWRKEGDWVMPFEGRAIYVPELGKVIGLTTEARLLCACDVNDDGTLPVLVHHVWTDDNPKPRDWPYLTWEHESKTLPIVRHLPCELEGFCISSSSEAKPRDMPSLAYLGKVDLTKLPNGDLHLARRGKMTYMCPPQGRESPYMGFIQPAT >Dexi1B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:1B:1959028:1961858:1 gene:Dexi1B01G0002390 transcript:Dexi1B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFSSSSAPYGAAAHAGGWGYDSLKNFRQITPAVQTHLKLVYLTLCVALASSAVGAYLHVVWNIGGMLTMLGCVGSIAWLFSVPVYEERKRYGLLMAAALLEGASIGPLIKLAVDFDPSILVTAFVGTAIAFACFSGAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEDKKRKKRS >Dexi6A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:6A:1942099:1942383:1 gene:Dexi6A01G0002060 transcript:Dexi6A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNKLMQQLMARLHLPRGSGGVPRGHFAVYVGEDRARFVVPTAYLKQPSFVALLETAEEDYFGLDHHCHPDGLTIPCCSERDFATLVRSLAA >Dexi6A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:6A:21989708:21992499:-1 gene:Dexi6A01G0014600 transcript:Dexi6A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLPIRVLSRPAPPLCLLPFLPRPFVPPRRSISVSAVSTSRRSRPLAPVISEGNDGEEAAVGRPVCPGCGVFMQDEDPNLPGFFKNPSRSSQDEMGESGEGTLAADTAAFLEDEEEGVAEDALVSESDDELEGVDSDSDDLLEEVEGDEEDESAVKAGTDIDGFASDWDSDWEYLEEDEDEKWRKELDGFTPPGVGYGNITEETIQRMKKEKLSKSERKRRTREAKRAEAQDDLAVVCARCHSLRNYGLVKNDKAENLIPDFDFDRFISSRLMKRSASTPVIVMVVDCADFDGSFPKRAAKSLFKALEGRRNSKVSETPRLVLVGTKVDLLPWQQMGVRFDRWVRGRAKAFGAPKLDAVFLISVHRDLAVRNLISYIKDSAGPRSNVWVIGAQNAGKSTLINAIAKKQGVKITRLTEAAVPGTTLGILRVTGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMIEIRKELRPRSFRVKVGQSIHIGGLARLDVLKSSAQTIYATVWASSNIPLHLGKTENADELRDQHFGTRLQPPIGPERANELGHWTERHIEVSGASWDVNSMDIAVSGLGWYSLGLKGMATLSLWTFEGIGVTERDAMILHRAQFLERPGFWLPMAIANAIGEETRKNNERRKAEQRRKEEEELFLEEMV >Dexi5A01G0024060.1:cds pep primary_assembly:Fonio_CM05836:5A:27945768:27946302:-1 gene:Dexi5A01G0024060 transcript:Dexi5A01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSSIPKQDATATSHAASPPRRGDGCRTGCDLALGSYDIERNQNLTYIASLFRIDDYLKLQPYNNLTIINLDYIQVGTRVTVYFPCRCLTLPTAPFSTYLAASFPYKVSRGDNYSSIAANFQNLTTAAWLQATNGYPSNKILDRGTVNSGVPGGATGIQAVPNLSA >Dexi3A01G0034610.1:cds pep primary_assembly:Fonio_CM05836:3A:39736926:39741294:1 gene:Dexi3A01G0034610 transcript:Dexi3A01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGGADDEVVEVSCGGGGRDPGAYAAVLKRRLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLISQASFDGIYDLSQLHTSVYEATWAKCDGDHDDFRAKPANSGTSKEQSDDDGDLEENTVPANTKKMRRMLSNRESARRSRKRKQEHLTDIESQVSRLTSENASLLKRLADMTQKYKDATLDNRNLTADVETMRRKVNIAEEAVRRLTGTTLLLSTTSDMPTSSMHLTLCASDAASASIAIEDSMKQFAQALQDDHIKLDLRNAEIPLTSREIGTKRASLTRVTSLEDLQKRIHGDSIYSETASTFSDPETFADR >Dexi5A01G0031540.1:cds pep primary_assembly:Fonio_CM05836:5A:34182778:34186105:1 gene:Dexi5A01G0031540 transcript:Dexi5A01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQALAQSGLSSIPRCYVKPPSDRPMAPPAAASAAELVSDVSIPVIDLGELLAGGSNVVAITEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRPLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPEAAKSPAKFWPANPGDCKEVSEEYGREVVRLCEVVMRVLSVSLGLDEEHLHRAFGGAACGATLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRGGSGQWVTVQPVRDAFIVNVGDQIQILSNSIYKSVEHRVVVNAKEERISLALFYNPKGDVPISPAPELVAAADGPALYPPMTFDEYRLFVRKKGAMGKAQIEALKGQASSPEN >Dexi8B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:8B:25501555:25503489:1 gene:Dexi8B01G0014800 transcript:Dexi8B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRKGKRGGAVADADADAEGKEAPAVVGANGDQAAAGRESLPEPPDDGEAERLRRLNGILAARAEAEKTRATALEARLEEVSADAEALAAEERVVLLEALAAPLRAVEEERAALEARLAAVLDSLERAEAAAAREAEARAEAVARLEEAVAEKGELFERLGAAEAEAAAAAERIAGLEAVVAGLEAKSSELQVGKGELEKQLEAARASADAVQSQKADLESSFNEFKMNAERDKQEIEDKLQQKLGELEALQSRKGELEAEVTSLQADVSAAVARNSDLELEVNKMVTEMDATKKEVAMLQAEVEEVGKKHTAVVAEVSRLQSELDEAVKANEEARASADAVQSQKADLESKFNEFKMDAERDKQEMEEKLQQKLGELEALQSRKEEMEAEITSLQADLSAAVAKKNDLESGVNEMVIEMAAVKEEVAMLQANVAEVGEKYSTVVAEASRLQCEIDKMVKANEVAAAAHDAERKRMADELEVLKGKMDTVQAEKDDALGMVRAKDAEAEKLREELKKLHGSMAELRACCDDLEGKSSCLQDEKDSVLKVLEQQKVEYEKLRLALWELQNSNSDKDCEIERLKTEAEGKESTINDLKEDLEQLQLAVAEAQRSGKNEVWTWLCPATSTVIAAASFVYAARSR >Dexi8B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:8B:2420580:2421288:-1 gene:Dexi8B01G0003280 transcript:Dexi8B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNIIGYKQYRRSARDMYYFLQSNVCVKQLAYAMLEQVIVTIFPELQQLVDDIHEKGRKEQASFTYQL >DexiUA01G0020480.1:cds pep primary_assembly:Fonio_CM05836:UA:42806200:42806655:-1 gene:DexiUA01G0020480 transcript:DexiUA01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAMAGRSEIDRNGPAAAARAVARRKSRCARRRARERERDTAASASAPAASGGGQREPSSDAASSASRSCRRKDDGSSRWPLLPLPPCPPLPPPRRRWFLARRMAAQWESGFHNDRCMDLGSRNRHSWAEKSQLSGGGLDQRVE >Dexi2B01G0020970.1:cds pep primary_assembly:Fonio_CM05836:2B:30952768:30955190:1 gene:Dexi2B01G0020970 transcript:Dexi2B01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPKMAAPNSGAASGDSGHLRAGFAKLQGEKFEYYMRTYSITLGHNTEAYKVDFDLSELDEGKEGPHGSHLQARIFYDFECHHFALEVLGENGCSIQKVSDIDENGCPIKVSYLPGSDPVKLNSQDLIEIAGKKFYFLLPKRSILATLAAQETELEVSNSYRFNAGPARTQGESENDTNNPELLRREDKDADEDQRLLEEEKDVVSSLVTLISQLCSPGEWVAMEKLSSESKDLEVPATGRRLRLIN >Dexi5B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:5B:8170371:8184559:-1 gene:Dexi5B01G0011520 transcript:Dexi5B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGFSVAYGIMDETRRDAWVTRPRASKYYEREKRGAGDDGTLGFPAARAAQATRAAPSVPGGASPSSEARGSSTWRAPAAAAAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAASSIPVPPNQAVSVLKRPNISDYQSAESEQLMKRLRPGGHVVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSKPFKIRDINSCSPQFQNAVVKDSSISINRVTWSPDGDLIGIAFAKHLIHLHSYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMNGHKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYTGFRKRSAGVGGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRILRAFGNRAFEAFRPQYEASSMKVSGAPVVASISPNIGRMDHLDRNSPAKPSPIMNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAVQRLWKWSRNDQNPSGKATAGVVPLHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTKLKGHQKRITGLAFSTNLGVLVSSGADAQVTISNIKLCVWTNDTWEKKRTVSIQMPAGKTPSGDTRVQFSSDQSHLLVVHETQLAIYDASKMERIYQWIPQDTLSAPISHASYSCNSQLVFAAFTDGNIGVFDAENLRLRCRVAPSVYMSSTAINRPILRVCSAIDLIQGSRQLRCYSASGPAIF >Dexi1A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:1A:25112046:25114336:-1 gene:Dexi1A01G0017780 transcript:Dexi1A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNNLLAAWPVVGPGVAGAVFGAGWWFWTDAVVCSAAAVPFLHYLPGFFATFAALMFNCVNREDIGDGYYSPYDDSEWRVKLWLFISYVVSFVSLAGAVGFLVQDALTNTGPSAWTGTAGVLQCVCVLVSGLIYWTCHSED >Dexi3A01G0026150.1:cds pep primary_assembly:Fonio_CM05836:3A:22219130:22220266:-1 gene:Dexi3A01G0026150 transcript:Dexi3A01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGSSSRPVVAAALSSALLLVCCSAAGSATNGGGLKLGYYSSSCPRAEDIVSEQVSQLYHKHGNTAVSWLRALFHDCMVGSCDASLLLDTTGTVVSEKASPRSFGMRNFKYIDAIKSALELECPGTVSCADVLALAARDGAAILGGPRHVAMRTGRMDSLASHRADVERDVPNHNDTVSSVLSRFAAAGVDGAEAVVALLGAHSVGRVHCSNLVARLYPSVDAAMDRAYGGYLRGRCPKAEYGEEEDERDVAYARNDRVTPMVLDNVYYMNLMARRGLLLVDQRLADDPRTAPFVEKMAADNDYFHERFAAALLKLSENNPLGDDEGEVRRDCRFVNKA >Dexi5A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:5A:25006471:25008781:1 gene:Dexi5A01G0021140 transcript:Dexi5A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVRTPRFQPRPASISTPASTSLAAVAGANARPRAGSAIRASAASPFTEATSSSRYRRDAWSYAADGSSSSAPSSSSDAAAAAAAAGRRDDEIALQLPELRRLLDAMRASRGRGDEGESGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFALLKDLVDEVKSADLVSPTLIIIGKVVSLSPFWIESSEHDALQIENSYATESR >Dexi4A01G0023770.1:cds pep primary_assembly:Fonio_CM05836:4A:26753840:26756098:1 gene:Dexi4A01G0023770 transcript:Dexi4A01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQFLTFRYSRSPSPYSRGHPKARSRSRSPARSQSRSPVPDPRSQARSRSRSHEREEEAVNRGNTLYVTGLSSKVVGCHVVLEPHTRVSRGFAFVTMDTVEEADRCIKYLNNSVMEGRNITVEKVIVLTFTGVVDANSVTPYVLSVDLEVVYPLIVRETFSPWSPKDTNSWKLSCGMTVSCIGYYLCCTLTGHRYERRERGGRYRRGYGGGRDEYYGNSYGYRRSPPPMYSYRESRDYPSYRDTRDYPPYRDYSPHRDPRDYYESRGGRGYSPPPYAGGRSRRDRSISPYRMPERGYGGGRRPGGSGYDR >Dexi4B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:4B:7023644:7025083:1 gene:Dexi4B01G0009710 transcript:Dexi4B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKQNNVNTQGLLFDAHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELELDLIRKAKIFHHGSISLITEPCKTAHIAAAKAARDAGVLVSYDPNLRLPLWASAQDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHPNLKLLLVTEGPDGCRYYSKEFSGKVGGLKVTPVDTTGAGDAFVAGILSQLATDFSLLQV >Dexi5A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:5A:4850069:4854128:-1 gene:Dexi5A01G0006590 transcript:Dexi5A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTPAGVSGYCEATKTFRSLRPPVPLPPPDAPLSFTAFAFSLLPSPLPAHPAILDAATGEVVSFPALRSQVRALSAALRSPSRLGVRHGDVAFVLAPASLDIPVLYLALLSFGAVVTPANPALTSAEIARLVSLSGASVAFAVSSTAAKLPPDLPTFLLDSDHFRSFLHSDEDDASKSTAMVVRQSDTAAIQYSSGTTGRVKAVALSHRNFIAMAAGSHALTNKPPRNGGESSRMLLAAPMFHSLGFYFALKGVALGQTTVLVTDAVARRGIRAVVVETAERWAVTEMTASPPVVTWMAKEGSDLKALERVVCGGAPLPTAAAERFRRRFPNVDLCMGYGSTEGGGISLMIGREECNRVGSAGRVSENTEVKIVDQVTGKPLSVGQKGELLVKGPAVMTGYVGDDEANASTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQTLPEVVDAAVMP >DexiUA01G0027760.1:cds pep primary_assembly:Fonio_CM05836:UA:59488137:59489023:-1 gene:DexiUA01G0027760 transcript:DexiUA01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRDWDGDELGGPPPTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGRGLITNQGMKASLSNAGSSAKVIIMFI >Dexi5B01G0036850.1:cds pep primary_assembly:Fonio_CM05836:5B:36443551:36444015:1 gene:Dexi5B01G0036850 transcript:Dexi5B01G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPAAADCPFSHCCLWRDLCSPEIPRVEPGRDYSSMPLDADERPARPVLLGIMATSEGERSLRLHRFRVARSGRVLLGRSSGALETLGDDYYKAKTPTSHIRAATAVQSPNGRFLSLCFFSREADFSDPKATRITPPVTLQLQMGPRRRRR >Dexi6B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:6B:21857823:21859080:1 gene:Dexi6B01G0014300 transcript:Dexi6B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAIKDRRSLYLTHVAALRPAASSLRRSHPELEAAVIRATSHDERSMDYASAARVFALARASPPAMQPLMWALARRAGRTRCWAVALKSLMLAHGLLLRSDDLSPRAAASRLGRVPFDLGDFRDPSSSPEFSAFVRAYFRFLDTRSLFAAQEELDAAADDVDDEEDARLDRVRKQQHLLDLLMEVRPYGDGMEKAGLILEAMDCVVIEIFEVYSKVCTGIARFLVGVLGSAPTTPAAEAGGDVMGMRVLRKAAEQSVQLSSYFELCRGLGVLNAAEFPAVERVPEDDIRDLEKIMMSHVVVVEDGGNKGEEEKEAKVLVAVEDGDEKEKKEAKALVAVEETGMAASKTTVVTKEWVVFDDGDDNGGGGGARQGHFGGYVNPFVVAPWDAVAGSRDLLV >Dexi3B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:3B:5547852:5551123:1 gene:Dexi3B01G0007830 transcript:Dexi3B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPYLLLPLFLLLAFAICSTAQTTEPLPPPPPPGAPRPPHRHRHVAPTGAPLTPPPPSSGLPSPPPPPRRRHAPATPTPVAAVPPAPAQAPPPTPAPPVVTSPTPKYPSSSANPSTDPYPFTNNPFFPTVTPPPPPPAAETQPSSSGDGGLPTFPANISTLVAPTPRASGSHRFPVLQALLLSLLSLCLLLLSALLSIHLVRRLRHHGRSRAAASTAAAGNRRAATVASSDDGDSDDKDVRKSRPLHSDKLKPSSLHMKDEVIQLYLNNSAAVAAPREVCLLGAARCHGIGMVVGALGVSREQLRDALLEGNAHGLGVEALRMLTQVVLSNEEELKLKYFKDDSLARLCPVEAFVKAMLDVPFAFKRVDAMLYIASFYLEVNQLRLSYATLEGACQEMRSSRLFHKVVEAVMNFGNFMRINAGSPSSHGLEPNTVLKIVDVKGADGKAALVQFVVQEILKPEVYDAKCSMVQGDAGCRKHGLEVVSKLAAELSNMKKAASVDVAMLNRSVSELGMGLGKVHDVMRLNSMVTSADSARPFHNSMSTFLKQAEEDILKLQSQESVCLSSVKEMAEYFHGDSVNDEARMLRVFAGVREFLAMLDRICKEAGEISDNSWLGSTTASWTAAPMGMTP >Dexi2A01G0026500.1:cds pep primary_assembly:Fonio_CM05836:2A:38098716:38101348:1 gene:Dexi2A01G0026500 transcript:Dexi2A01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPSSSPWAASAAGAPHGRSDALRRACARHAAAAARAAGWALGALLTCVFAVVGSLVGIFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGSTGGMSRDLIDRIPKMRFSAANNRDQETDSSCCSVCLQVSDFGAQQFVRALPHCQHVFHVRCIDNWLLRHASCPLCRAGVHIDHIHM >Dexi5A01G0025260.1:cds pep primary_assembly:Fonio_CM05836:5A:29101540:29111084:1 gene:Dexi5A01G0025260 transcript:Dexi5A01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEGGGQQQGRRRRATLVLLSSSLLLNAVFLAHHLLWRPSRFLAVIGEEGSSSCGLSWALQAAREAEAVAAVGCSGHGQVFLDGVAGEDGRPGCDGNPLFLDPYWRRHAAASAVVVSGWHRMSYTTSDATFQSVELERQIRFLHTAVGNAIVDDKHVVFAAGSVQLINALVHALSPDANDASPPARVVATAPYYPTYRTQTAMFDGREYVWGGTTARWANASRNSTATTDGYIEFVTSPNNPDAQLYSPVLGGSAAAIVDHAYYWPHFTHIPAPADEDVMMFTISKLSGHAGSRFGWALIKDENVAKRAKDYVQNSIMGASRDTQLRMLGTMKAMLANLHTEEDIFAFGHDAMRTRWLKLNAIVSRSRRISLQKIQPQYCTYFQRIREPSPAYAWVKCEREEDVNCYDALLKANIITRSGVYFEAGSRYTRVSLLKSDDDFEVLMERVTDLVNAEKYEDAPGSSSMLRWGGATAQWTNASRNSTTDEGGFIEFVTSPNNPDTMLRKPALGGSSAVIFDHAYYWPHFTHIPSPADEDVMLFTMSKPSGHAGSRFGWALIRDEKVAKRALDYVSDSIMGASRDTQLRMLGIVKAMLANLHGKDDIFAFGHDVMRTRWRKLNAVVSRSRLISLQKIPPHQYCTYFKRFREPSPAYAWVKCEGEEDEDCHGALLKANIITRTGVFFEAGSRYTRISLLKSDDDFDVLMERVTDLVNAEKYEDAPGSSAM >Dexi7B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:7B:21636094:21637619:-1 gene:Dexi7B01G0015570 transcript:Dexi7B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPHAVPQNFFAPPIPRARHRLAPRPPSAASFLRGLFPARPPPAKAELLRLIAGQGRGLETQSGPSRLADIVSCIDTLAAVSPGADTVSDAARLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVNGDIEVQPPQRVNFRQVHTGCAERKQLGGTFSTVWERMV >Dexi1B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:1B:23963874:23966660:-1 gene:Dexi1B01G0017610 transcript:Dexi1B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRTDAPAEAAGADKPDDSAPERPPRTLFGFTEPAPDAEAAPAEAGSAVAPFRNKEKVLITCSRRIAYRYRHLMQDILSLLPHAKKDSKVESKQSKGSALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSIKFLVNAVHTMEELKLTGNHLKGSRPLITFSTNFDEQPHWKLVKEMITQIFATPKDHRKAKPFHDHVFAFSIVDGHVWFRNYQISVPHNEIDKVDKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTWYENPYYISPNQIRALEKRQKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGE >Dexi7A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:7A:21312832:21313507:1 gene:Dexi7A01G0010550 transcript:Dexi7A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVANFAVKGCERTDGCSGSQHTQCIQKKIMDYFSKNEATTYNDYCAQPHKGRGRYVEVDFPVVMEAAKAELVKLVGKGE >Dexi5A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:5A:20613359:20616905:1 gene:Dexi5A01G0017420 transcript:Dexi5A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHRRSAGGAVSEASSAAGSEAEDDRYCSANSALGTPSSLATLLPTSDFWDHQMDLLLDDHPVVFGFPKNHQLSRLLAQTPAQSPPGTEPPPPAAAGGDALARQGSSPSSQAVPPCPDHSQVGLFDDNDLFDDMVQEMEQILLSSGEPHENGSSMDNRKSNARHAHHFRDGSTTASTSGTDDAYVYPLPQYSSRIDWVEVVGAKQRTGDVSFGERMVGVKEYTVYVLKVRGGEDEWEIERRYREFYALYRQLKDFFYERGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPIKVQTISNRILEHITEQCLVCYDAGVPCAARQACDDPLSLIFPFQEDEATKCGSCASIFHKQCFRKISVCPCGKASSAARKIVALEQAVDGVMG >Dexi3B01G0020880.1:cds pep primary_assembly:Fonio_CM05836:3B:15799701:15805178:1 gene:Dexi3B01G0020880 transcript:Dexi3B01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIPGTSVAIAATFTFLPRRCVQVYKDASGSWLFRVCSRNTATRRRKSMDLAEGRCLGGTERLLPCVANQRVTRARAPPSFSPSAPSPMADQLTDEQIAEFKEAFSLFDKDGDGKPLPSSLWLSGPLASAPPDPGRIIGVLKEPSGVRLQIRFGDLCGNSVEVWPAFWAWGLRALFNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKSKQLALVSMAEKLTPAQADECKEIFDLHHAPSQLLVTALRSLGHNVDEAEARQFLEDAGVPASADAIDLAAFLAVAARKMGAGQSAERLAECFDVFDDARSGSIPAEQLRQVMVSHGDRLTEEEADAMLREADPRAEGRVQYKEYVRVLLRDKKHTAQKPPLLALHQSAAMGDLVVGAQRGHQRSGPSSLGELETPCVAAGAAGRERELPPGLCFVVVLVGLKKGSEGRREHPQTAFGRHRERRGTGSVRQRVQPFSPTSPSQAQPRPPLAI >DexiUA01G0000360.1:cds pep primary_assembly:Fonio_CM05836:UA:1856236:1857253:-1 gene:DexiUA01G0000360 transcript:DexiUA01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTASTVEDMEKMEIEEALSLLASTRERCSEMLQGTPRGHDLSLRCLEFLEKELSYIVACLTAMSLEHVNGETLEWAEKLTSTVSGDLSSALDEADPQRPYTLLRRAAQCFQRKDRQPNQLLSAVAELYRLAESPCRYNHLLTDVTIRGWCEAAGDYEDSGFNPVRFNWFEYRYYSFPYGTYLLALYMVMFPYGHKFEKDGLLVKWVCETGMIDRFMGSEEDVFFSKLVHRSVITHTADNSRHIEADEAGTWQWNVNHLDHQFYASRSAEIGYVYTSTTLNSIAAAACLVLSA >Dexi1A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:1A:3502179:3505648:1 gene:Dexi1A01G0004810 transcript:Dexi1A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGEKRRRVVVVVGGDEDGAGRAEEEDRISELPDALRLQILSLLPLKSAIRTGALSSRWKDLWASRWPDPSSVDARLSPGTAAGVAAARAEQLALIDRRGRRRVDRFSLAFHSGQLTQPDLRRFLDYAAACEVEDLHLRLDGGAGRGSRGGGGGGGGGTRGRGMLTVHFPVGSRLLARLSVRGLNLTAATNVMVATLEVIHLHSVFLTDAALRRVVGACPRLRELDLRYLRRVRRIDFSAVGVPNLRSFTIVDCSRTTEVRVPTAPRLRSFRFSGAFLSSNILSGAAGASLEHLYLCSGGPETGLPPTNLPTSALRLSNLRVLTICSIALQYISAFTAKAVMDNKLHGLRELHFLMFGMANSNLADIYSFLKTCSFPQLERLFVQLPMNIRDSFTENFLEVAEEEPPKEL >Dexi2A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:2A:869880:872258:1 gene:Dexi2A01G0001310 transcript:Dexi2A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALALLLLLAVSLAGADDPYRYFTWTVTYGPIAPLGTTQQGILINGQFPGPRIECVTNDNLIVNVINNLDEPFLLTWNGIKQRKNSWQDGVAGTNCPIPPGANYTYKFQTKDQIGTFVYFPSLALHRAAGGFGALNVYQRPAIPVPYPPPTGDFTLLVGDWYKAGHKELRRTLDAGAPSLPPPDGLLINGVRSSPPAFVGDLGKTYLFRVSNVGLKVSVNVRIQGHELRLVEVEGTHPVQNVYDSLDVHAGQSVAFLVTLDKAPLDYAVVVSTRFSPAANLTAVGTLHYAGATARSPGPLPAGPPEGSFEWSMNQARSFRWNLTASAARPNPQGSFHYGTITTSRTMVLESSSAAIAGRRRCAVNGVTFVVPDTPLKLADNYNIANVIEWDSLPLRPTGGGGAPLRAGTPVVRLNLHEFVEVVFQNTENELQSWHLDGYDFWVVGYGNGQWTEMQRQTYNLVDAQSRHTVQVYPNGWSAILVSLDNQGMWNLRSAIWDRQYLGQQLYLRVWTPQQSFSNEYSIPTNAILCGRAAGLPH >Dexi1B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:1B:17504763:17506081:-1 gene:Dexi1B01G0012760 transcript:Dexi1B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPTGAPGICPQGSNPVSLTKGYDVQGSSSTHGKTLHSASSLAGAKQANAMRRKVEKPGATSVWPAALATANTSSKDITRTAKSLSTVQKSNLSTGPGTEKMTTSSVKLKTQKSKVGPIGSGKTQAVSSTRDPGSIAKRRTGVENSLSIQRTKSVPARQIETPKIEEQDVELLMEFDETESISTSSIEEHLQERLPDPVDLQSVDINSKPSSSHAGGILEVKREGKDNEDLNAGDYSDIGINSDINILKEATSKTELKEAVEETELKEEVSATEPRESVDETKLNEAFNEAELNETIDKTKLKEADCETASKEAACEMELKDDVAEPKLIVQEEDKSKDEKITLPAQTMELAQRWRKDDGKKNEATEDSRSKSIQERKNKVMALVGRFETAMSGRE >Dexi9B01G0047190.1:cds pep primary_assembly:Fonio_CM05836:9B:46371062:46373893:-1 gene:Dexi9B01G0047190 transcript:Dexi9B01G0047190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGVMDYFSSCWGPRSRSGHRGKGSDAAGRQDGLLWYKDAGQLCTGEFSMAVVQANQLLEDQSQVESGSLSLAEPGPQGTFVGVYDGHGGPETSRFINDHLFNHLRSALKLFNFPEFATEHKCMSADVIRKAFLATEEGFISLVSREWSLKPQIASVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKATGEVLAMQLSSEHNACYEEVRQELQSAHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFQRPILSSDPQIAEHRIQPNDQFVIFASDGLWEHLSNQEAVDLVQNSPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSNAMSKASWSKSPSVSLRGGGVALPAKSLAPFSAPAQLNSTY >Dexi3A01G0032130.1:cds pep primary_assembly:Fonio_CM05836:3A:36828748:36832554:-1 gene:Dexi3A01G0032130 transcript:Dexi3A01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQPPAKRMKLAVPGRVGLGGGEVESPRSRMLRRTLLLVLFLLQGRKGHGDGQRLPDRWHVCPDLVASEILQLDGAFHKYHGMFASKLNSFQRHVEGKLEAFQGKVENKLETLQGQVEVLHQEVRELSRLHSNRHPDQHTILEPNQEDAASNGSSTNIHLRFLNKWKPPIYTDKDITDENNAAIKVALFEGDKKITAGPLSNAEIEILVLCGSFYKKSQDNWTEEEFDKHIVQGRDEQRLVLGTVRLTNGEVELSQIRFKEGSCRKKISMAARFCKSKQVAGRVQEAIMDPVKVKDRRNEPNEKSESPRLYDDVYRIETIARDGAYHQRLQEANIHTVQDFLKALNKDPNELYKILQMKKKGKFWSKMIGHARKRTLEDRHELKAYQTEDGTVTLFFNCVHDLIGAKFGDNYIACEQFGIDHKALVKRLKEHVYNRLEDIPCDYVMKGHAPERISIGTSPAAGPSAVSLDPRQPNSTTDNLEAYEGYQGTKSMNSFEFMSMLNCLMLESQGIAPSCQQQTTLPSIGPNWQQNTQVLMDYPDLFEWNIMMQSFSEASASAQLNPEPHNNLPQPDEMGLVASPPWPQSSFPEQDQGAGYSEFPGSGHGHDW >Dexi9A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:9A:11863648:11865741:1 gene:Dexi9A01G0016730 transcript:Dexi9A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVTIPKECDTVQKCYIYLSGQVKEKLGKIDPYFTKLADAMVTWIEAWDELNPTAAATENGKAK >Dexi7A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:7A:1572302:1572659:1 gene:Dexi7A01G0000590 transcript:Dexi7A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding QMEFEEILSDRDSEDEVDDDVADFEDRRMLDDFINIAEDEKRIMHMWNSFVSRQRLDPSQKFPFNFWLSG >DexiUA01G0022440.1:cds pep primary_assembly:Fonio_CM05836:UA:45650038:45656086:1 gene:DexiUA01G0022440 transcript:DexiUA01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDHAGRRPSSLLAPALSRVSTLIPSFLSSGELAAAASGGGRSVRVIPLRHPLGFGSISSSPPWARDMGPLEWAEAALPCVAWMRKYRWKEDLQADLAAGITVGVMLVPQDGKLQRELRGETGASAPPPLQVAPRGSRKTPNSDTIFGSYPTRRSMWCPKQTPARAHNNVDPAAVKQQARPTLLRNLNPNSRGTKTSNSPLRRSCAPQFPFGLTNSAATYQTLLVKKLHQIHQTNSDLVMTTTPSGVIIHWPDMDPVFALQEANDPFKVQGISPLLPFQEGRELSVISDNEQPGPNNLARQLYATIHDESDDEAVSYDAPTVDGETQVDTKLRIERNRSHALRRRHIRMKNLNNDFDNEGIFKSPAANIMFVVSWRDSKQPPTSTSLKPVSKLRQSWLIVWMEVAPLQRARVLPIVSSHYGSSAAKSKGTHRPYEEPPRPAHSQVIPPTDARHHINNIRAGRTRATSHTTDSTGGCETPSPDVLPGYTRAIRVSSFPRKFKPSGITNFDGKQDPNIWLCQYSSAIEAAGEDDISKMLYFPIAMEQGPLTWLESLCPDSIDSWHALKKAFVSNYQGSFERPDSKYELRACKQKPDESLLFRDFGHNRPRDQEEFRELVANWMDTDDQEREGYGKRHQDNNKDQPRDSFNRKTSEEREETKHH >DexiUA01G0012720.1:cds pep primary_assembly:Fonio_CM05836:UA:26396596:26396872:-1 gene:DexiUA01G0012720 transcript:DexiUA01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGQKLQGVSTAREVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSLIGGPTPFY >Dexi7A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:7A:23610869:23612253:1 gene:Dexi7A01G0013610 transcript:Dexi7A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAISSSPSTSHGRLHAWIAVVTGGNKGIGLEVCRQLAGNGVTVVLTARDEMRGEAAVDKLRELGLSNVIFHQLDITDASSIARLADFLKTRFGRLDILINNAAFGGVEYVRDPASGSVTSDEELRGMDRDRRLEWLWINSREAYEAAKKGLQTNYYGTKHVIEALLPLVQASPDGRIVNVSSDFGLLRFFRNEELKQELNDVGNLSEERLDELLDMFLKDFEAGKVDERGWPAAFAAYKVAKAAMNAYSRILAAKQPAVRVNCVHPGYIKTDMTIHSGLLTPEEGGRRVVKVALLPEGVTGAFFEDGEEASFV >Dexi9B01G0049490.1:cds pep primary_assembly:Fonio_CM05836:9B:48089490:48091328:1 gene:Dexi9B01G0049490 transcript:Dexi9B01G0049490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDATSSHPSRYVKLTKDQDAPDEDIRPGELNQPVHVPQNSPCDPCMVHCCLHWCANCQEHRERRGRLAENSAVPMTVVNPPPVQEMTMPENRASVVPENGEANTEHEAAKSQHDDIEVIPL >Dexi3B01G0021640.1:cds pep primary_assembly:Fonio_CM05836:3B:16476565:16478958:-1 gene:Dexi3B01G0021640 transcript:Dexi3B01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSSSMQGSILHVASLLVLSIVATLTIAVLCNLFRHLININASTWPSITKESVLRLLGDIPTTVILDSAVAVDALVRRADDFSDRPPGGGATAIISDCRLQIITTVPYGPHWVALRRNLSSEAFHPVRGLARAAPHRARALAGLVDDIATRSAAVPVRECLYAALFALNAATCFGDGVDGERVEAMRAAQQEFFRLLPSFSVFATFRKVARLLYRDRWKQLVHCRRRQEEMYLPLIRACQERRRTTRGTTTTTTVSYVDTLLDLEVPDEEATNPQGRRKLSDGEMVGLVSEYLGASTGTVLALLEWTLANLVLRPDIQRRLRGEVEAAGGEACAYLRAVVMESLRRHPPIPSVQRHMRRDVVVGSTPAPRGTLVNFSLEEIGRDDKIWTSPEEFIPDRFMPGGEGEGVRLTIGSKEAAKVMMMPFGAGRRICPGMGYAMLHIEFFLANLVTSFEWHQ >Dexi1A01G0023670.1:cds pep primary_assembly:Fonio_CM05836:1A:30292060:30295040:1 gene:Dexi1A01G0023670 transcript:Dexi1A01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGQMVKFGRTLSQIGVSRVDAKEGWQQKLNEQINLELYSKIPKHSEYFEPEETENHIQVAKLHSRSQSLSSAEIFYLLSVNALLSSGNIHRTKFQKYKTRPTNCHWWQPHVLRLRNLRELAGNRFRIRGVDGLKMRTISNCPLESHMKSQKDAILLVRTPRGIPDYNSQMTDFFIKLYYRRFHTRMVSVRCKYDDIILTFGRKRCFTTSEKYVIFHEQGEGEEFSYPRDEHNYCAMNLLTSGGTIQLLFEEREPYISWRAFISYLMNNKGWNGNQITESSLAFTS >Dexi9B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:9B:6004438:6007121:-1 gene:Dexi9B01G0009740 transcript:Dexi9B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGEKALFILPPLACEDSGDPGVPTGSELHFEVELLSWLTVVDVYKNGGIVKKVLSTGGNKHTGDLDEVTVKYSIKLLDESVVEESPEEGSTFFVNEVVDVTGDSKVMKKTLKCGDDIRTPHEGETVHIRYTGSLEDGTVFEKFGFDGEPFEFMIDEEQVTVGLDRAVAAMVKGELAEVTVKFEYGFGNTEVQRQLITVPPCSTLIYEVELIDFTKEKESWEMSGHEKLEAAEKSKVDGNDLFKIGKFQRAAKKYSKALNYINEDGHFEDEVEKLVKTLRVSCWLNHAACCLKLKDFAQAISLCSKVLEIESCNVKALYRRAQACVESYDLESAKIDLRKALELDPNNKEVKLLQVNLKKLQAESDKRGAKLYGNMFHRMAKESDVVSK >Dexi9B01G0034090.1:cds pep primary_assembly:Fonio_CM05836:9B:36158851:36160490:-1 gene:Dexi9B01G0034090 transcript:Dexi9B01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHSSLPYGWGTDEQALIEILGRRRTAAQRAEIRRAYAGIYRESLLDRLRSELSGDFRDAMVMWTMDPAERGARTANAALGAGRTSMGDQHAWALVEVACASAPDHLIAVRRAYRSLFGFSLEEDVAACPALQDPLRKLLVSLVRSYRCETEVVDEDVARLEAAQLAEAIQKKRQPHGDEVIRIVSTRSKRQLRATFQWYQQDHGTDIDEDITRHCSSQFAKILRSAVWCLTSPEKHFAEAIRYSILGFGTDEETLTRAIVSGAEIGMEKIKEEYKVRFKTTVTSDVVGDTSGYYKEFLLTLVGSED >Dexi3B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:3B:9807899:9808570:-1 gene:Dexi3B01G0013640 transcript:Dexi3B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLAAMVVGPLVSMVKEKASSYLLDQYRVMEGLEKQHEVLKRKLPAILDVIADAEEKAASKREGPKAWLEGVRKMAYQANDVLEEFKYEALRRKAKQEGHYKELGMDLIKLFPSHNRVAFRQRMGNKLCMILQELDVLIAEMHAFRFKFRPEPLVPVSYLRQNNSDIIDDPMEIASRSRDGDKQKVVKALLDKASNANLTIIPIVGMGGDGEDHIGTACLQ >DexiUA01G0020470.1:cds pep primary_assembly:Fonio_CM05836:UA:42747033:42748462:1 gene:DexiUA01G0020470 transcript:DexiUA01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAADSSGGDRYRSHLSGEGEKNTVWRHGAPPTYDVVNALFEAERTQEWPAGSLEEVVQNAIKTWEMELSHKSRLSDFKSVSPGKFSLSVNGGRARGGEETLAMGSYNTLLDSALLASTGAYDAAAETFESSHDLFRSAFLRGFAWEVLKVYSGPPVIAFKFRHWGHKEGPYKGHAATGEKVEFHGVAVPKVDEQLRAEDVEVYYDPGELLSGLLKGPKVASSEEESSEVALAERLSEAATVSASGADPRTQTCPFLSSGKPHQ >Dexi6B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:6B:26527714:26531180:1 gene:Dexi6B01G0019960 transcript:Dexi6B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRAGSPAGAVDATAGVRVKVSNRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGIKGTEFHIIYHDENQAEVSFTRNWDPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMAIADDRQRIMPMPDDRLPPRGQPLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTTLAMFLSAHYAGDDLSPNFTNGEYWKKVHGPVFMYLNSSWDGSDPTMLWEDAKVQMMIEKESWPYSFALSEDFQKTEQRGCVSGRLLVRDRYIDDEDLYASGAYVGLALPGEAGSWQRECKGYQFWCRADVDGSFYIRNIITGNYNLYAWVPGFIGDYKLDATLTIASGDDIYLGDLVYEPPRDGPTIWEIGVPDRSAAEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPNSDLVYTIGQSDYSTDWFYAQVNRKVDENTYQPTTWQVKFSLDSVSSGSTYKFRVALASSARAELQVFFNDQNRGVPHFATGMIGRDNAIARHGIHGLYWLFNINVD >Dexi1A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:1A:26847220:26849422:1 gene:Dexi1A01G0019890 transcript:Dexi1A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKVQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAPAAAAPKKAKK >Dexi8A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:8A:25200551:25200775:1 gene:Dexi8A01G0014690 transcript:Dexi8A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCEKLDFVPNFFVATTQFGEAVARNCRPVAEPELRRRRLAGMNNAGRITHGVTPELGRPCFIFHGFTQLCCE >Dexi9A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:9A:382478:383023:-1 gene:Dexi9A01G0000700 transcript:Dexi9A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAPAAAAEQQQQRNSHRTLVFTYGTLKRGFSNHPLVQELVQSGDASFVGAAVTASRLPLVCGPYRVPFLLNLPGEGDRVAGELYAVTLRGLARLDELEGVSRAHYERLPIAVDLAEGARVDGAFAYYAHRDYAAELWRRSGEKGYPEYSHAVADGYIRRKDRPQGQTFLEQIRIFVST >Dexi9B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:9B:3416317:3428111:-1 gene:Dexi9B01G0005800 transcript:Dexi9B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACKKSGLNFVDMLSPFSLFKKIDVPVRTASEVPYRLQMFKIRMVYASDVRKEDYEVADERIKPVVSEADEKALPDLLSDPPQLEDVLGKPEAELCPLWIKKFNRELMRTLSFSEHETFDHPVACLLVVSSMDKEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQEGPQDIAVNVLAEMRSTLGLNDCKLLCINSSTEADGSNADNSWLPYKALGLNNHEGTCFLSMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAPNGPMQVYTFTSIESQIRVLGDFAFMLRDYELALSNYRLLATDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRSATRCGLWWAEMLKTRGQYREASSVYFRISNEEPSLHSAVLLEQAASCYLLSSPPMLRKYGFHLILAGNSYYMSDQKKHAVRTYRNALFVYKQNPWSYINDHVHFNVGRWYGVLGILDVAIKHLLEVIACSHQSLSTQNMFLNDFFHYVQSMGKKFDVHKLQLPVFNMSSLRVVHEDHRTYASDADVDVSESTWQELEEELIPSSSVVRTNWLDTQPKSSLRKFKDSSVCVTGEAVKVNVELKNPLQIPVDVSGISLICQLSTNLDALSSDVSGLTLDGGKDKVNIEPSVSIFETNTDNFIVSQLDIILRGGESKRGLPKLSGSIEHMPTKAFAGDLQLLKLNLRNHSEFAVKGIKMKISNPRFLIPGDSSDVGLEFPHCLKKQKQSVVSSKSMKENFKGLLFVFPQDIEIQAGATLSWPIWFHAATPGNVSLYISLYYEMENSSDIKYRTLRMHYSLEVLPSLDISFAISMSSSRLQEYIVRMDVMNKTPSESFVLHQLSCVGSKWAISALTSCSSISSVETVSANQAVSCFFKIKDFEADSCKEAGSGSYRSDIALYPGTSGDVFDVARAPLADFHFQERYRQGKLAKGPCSLLDFVLISKAAGNSSKPSPDLQLLSHHTCHCRQCS >Dexi8A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:8A:28928453:28933405:1 gene:Dexi8A01G0017190 transcript:Dexi8A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAFSSVEKIVKIGLKIKEAADTVRRNEEECQEIQKVVVRVSAILSQLQQTKMMKGPAVDGALIGLEETLDRALKLVVECQEGHIVGRFFTAGGMSKELRRVQDDISQKMMLALFAINVQATIILTNIQSDGAHRLPSQQQFHVYHNLNFAVCVIFTIGLRKFTMSELKAATHYFSAENVIGKGGFSNVYKGVLNEELVVAIKKFIIEDDLGSMDFICYNWQKLQHKNIVKFLGYGVQERVKWRLFKKKSYEERLPILVEEYVPNGTLEDVVHGMCLH >Dexi6B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:6B:8886146:8886452:-1 gene:Dexi6B01G0007620 transcript:Dexi6B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >Dexi5B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:5B:6069065:6076858:-1 gene:Dexi5B01G0008980 transcript:Dexi5B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLQGVHQLGLHELLHGSHPQAALVFYALLLACPVIILLLRLAMSSPAVRAREQLLSRLPSPPGRLPVIGHLHLVGALPHVSLRDLAAKHSHDGLMLLRLGAVSTLVVSSPRAAQAVLRTHDHVFASRAHSPVADILFYGSSDVAFAPYGEHWRQVKKIATTHLLTNKKVRLAMAKIRKAAAAGMAINLSDLLNSFTNNIVCHAVSGKFYREEGQNELFRELVEANISLKGGFNLEDYFPGLVKLDIIKRIVCAKARKVNKRWNKLLDKLIDDHERRLASQHGDEESDFIDVLLSIQEEYNLTRDHIKAQVEIMFEAGTDTSFVVLEYAMIKLMQNPNIMTKLQTQLRMAIPKMKEMVTEDDLNINDMAFLKAVIKETLRLHAPAPLLVPHLSMADCDIEGYIIPSGTRVIINAWALARDPSHWDRAEGFLPERFMEGGSTFSMDYRGNDFIYLPFGTGEGYAQYDFPLKDHGKTGPLTKFTLAWLAAHWLQNLIDGTTGLFIQPAAMGVHQLGVHEILHGLPPRAALVFYSLLLACPVILLVLRRRRLATSCSAAAMAREQLLSRLPSPPGRLPVIGHLHLVGALPHVSLRDLAAKHSHDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDHVFASRAYSPVADILFYGSSDVAFAPYGEHWRQVKKIATTHLLTNKKVRAYRHAREAGYGQDPHGVGMAVNLSSLLNSFANNIVCHAVSGKFYREEGRNELFRELVEANSSLMGGFNIEDYFPSLVKLDIIKRMLCAKAQKVNRRWDELLDKLIDDHERRSAPQRGDEESDFIDVLLSIQEEYKLTRDHIKAQLEIMFEAGTDTSFIVLEYAMIKLMQNPDVMAKLQTRMRMTILKGKEMVTEDDLNANDMDFLKAVIKETLRLHAPAPLLAPHLSMADCDIEGYTIPSGTRVIVNAWALARDPSQWERAEEFMPERFMKDGSAFAIDYRGNYLTYLPFGTGRRICPGISFAISGIEIMLANLVYHFNWALPPESKDKGIDMSESFGVTTSTGHCHRNLRIKELICQNHLE >Dexi4A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:4A:3190504:3191446:-1 gene:Dexi4A01G0004500 transcript:Dexi4A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPRLGRPAPTKPAPLPTPPSSSAPSSSSAARSHATVFRLRAGSSSSHEAMELFLDRAHLRLRSREEDTYLHADEDGWGVSGSPHRASLNTAWVVHLLRHVGATYVLLHGAAYGRYLALRYQPEPQLAPPQMQPQGHHLDYRTIQRVYDTPVQADVMWEVRPAGDGSGDVRLRHAMYHAFVMPLWIVEAIPARQLPPDLPQQVPR >Dexi5A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:5A:21563636:21567825:-1 gene:Dexi5A01G0018080 transcript:Dexi5A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAQPPQEGLCFGAASSWPWGTTGEQLDAAACWTDGMEASESGGPGLRFLLQLDPAGRSPGLDHRTLLGFSLSALLSRLLGWRGGPAAIAAEEEGGGSGNAAVSAAALAAAVSLSLAAVYASSDKRPRRLPPPPLPHRRRRRTLLLPAPDSASRHRALPGPDDGLRILSSSNDKSLENVIHGASIGAGDDEPDIVARVEIHATATPAGIAGGNAAAETEQDQPEEEEEEKRQEELERQQEHDRLRVLWLSLLEREQRLEMRLQELEDLRAQQATVRELESRVAAAAMEERLLQLKVATLQEENGRLRAQVDELDTARAELARAKEKLRAIKARVQAEQEEARREAAALRAKVAELEKGGEETAGKLAAEIAELRKANAALEEENLELAIRLQESEQAAASASVNLVLEEDMDEEARYLRETNERLTREIEQLHNDHCAHVEELVYLKWVNACLRHDLRNHDGHHPNTEQDNGGAGDLSALELSKSMSFRSSERAKQLMLQYGHPGGLQGFDPALFSPLHESFDGDGHERSPARNYYEPERSPYATSAKSPAVAAGDAAAAQGKKKAGPRKLKFLGNIKKLLPGGKTRGHSSRHGHGHAGGEEDNRKRAAASDEYLEKAMQWLSSFDGGEHSYESTPLSSCARTPTSSVTTATTAGEATARRPGAEPPAVMMARSKSDAGRSYGREAARASPYHALRLDHPAGGGVERDGFAATEKREPRRRSEDLTSPAVA >Dexi7A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:7A:21569919:21577697:1 gene:Dexi7A01G0010890 transcript:Dexi7A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADHDSDDSGELLSHRQQQNYSILGDVDIKQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEERVRKVVGLPEKQVELPNDRELPSRYDECCGMWSSFLQYMLERCPDPSCAAAVGQDMINALADDEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWLCLGPWSEHGERTGGFYACNRYESARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQGLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESV >Dexi3B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:3B:3460963:3461856:-1 gene:Dexi3B01G0005220 transcript:Dexi3B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASMKAAEAAQGMATLLNLLRASALEEKSAVSSAGTGEDKVEWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRSLRYIEDYLVNEVLPFYGNTHTEDSHVGSKTTRLVHKASRYVKRCMGAGAGDALLFCGSGTTAAIKRLQEVLGIAVASVELRERLAGQLRTEERWVVFVGSYEHHSNLLSWRRSLADVVEIGVDGDGLVDVDALRRALALPEYAPRPMLGSFSACSNVTGVMTDTRQLARVLHEHGAFACFDFAAR >Dexi4A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:4A:17022223:17022744:1 gene:Dexi4A01G0014660 transcript:Dexi4A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLPPASGIFFPPPPPYPPPPPWNPPPPPPPTPKPVGGVIAGVSIAVAILVFLLACICSFIRGHRQSLANAAAAADAASPTLRPRTTAADLPSFTYNQSVKHNVTGAGEEAATCSVCLGVFQNGEMVRLLPVCLHLYHVECIDPWLEAHSSCPICRAGIDPAVDGGQLPPV >Dexi7B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:7B:18804179:18804992:-1 gene:Dexi7B01G0011810 transcript:Dexi7B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPRQTMMTLTALALGLLALLLAAARPCLGSSGSGVSVSSVVTDAFFNGIKNQAGNGCEGESFYTRVAFLSAADSFPAFALGGSDADGKREVAAFFAHTTFETGYFCYINEINRANVFCDASSSQWPCAPGKRYYGRGPLQISWNFNYGPAGKAIGFDGLGDPDAVARDPVISFKTALWIWMNQAHQVMVTQGFGATIKAISPLECNSGSGGAQMNARVKYYEQYCQQLGVDPGNNLTC >Dexi6A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:6A:25503599:25504429:-1 gene:Dexi6A01G0017630 transcript:Dexi6A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGLVDSDLLGLRFVREVDVLGLRTATELFPGGKDTSVNSGNRHEYIDLLIQDSFVNCTRRQLGHFTEEFSSMLGGTKSLTEFLDSLDAEDFDEMLGGSKDSIDVKEWRAHTNYRGYKEKDRQINWFWKYLPSDGFPGLGCRLFIFKAPSSLDHLPTSQTCFYHLNLPAYTSLSMMQSRLHMIVQEHVSCGFGAS >Dexi8A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:8A:1511227:1511805:-1 gene:Dexi8A01G0002300 transcript:Dexi8A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGKRKEGGLDKCKEGAMASLDKSNEGALGAQSLRSLRRAARVLMTEEERAKEQSEILRIKREGGALRKRTLAEEAEIWRLKRDTKLFAAEEEELQPAAGNKRRKKVIKKTLVTRAAIEHIISNPFNPLHGFREEKLATYSQELRQAYFKQKAITDNVLEYQRALIKQFHKKDYAENYKEIEVTDDEQDN >Dexi9A01G0047700.1:cds pep primary_assembly:Fonio_CM05836:9A:50732065:50733500:-1 gene:Dexi9A01G0047700 transcript:Dexi9A01G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWVSDSDDSDKFEWDSDGEDAGASFSALASRNIDSPGPSTRVGIGNGNAWSSAASVQEYVDMGFPEEMVRKAMKDNGDKGAGSLVELLLTYQVIDHDASVNNGSASSCVAQVAEDSDDDIIQNWDDDNAGERNRDPTSDESGDEDFLHEMSQKDEKINTLVNLGFPEDEATMAVTRCGMLYNLCMA >Dexi9B01G0041350.1:cds pep primary_assembly:Fonio_CM05836:9B:41821505:41823594:1 gene:Dexi9B01G0041350 transcript:Dexi9B01G0041350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSLAAKGALLPWARGGHGGLARALLLGGASATATAGTGGGDRCSRVPRRWQSSLPQLDHAGDRSDEENGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRADRGGYTLFRPEENAKRMRYGAERMCMPAPSVEQFVNAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVEDDFHRAMPGGTGGVKTIANYAPVLKAQMDAKSKGFTDVLYLDAVHKRYLEEVSSCNVFVVKGGVVATPATRGTILPGVTRKSVMELATDRGYKVEERLVSIDDLIGADEVFCTGTAVVVAPVSTVTYQGQRHAFRTGPDTVSQELYTTLTSIQMGLAEDKKGWTVALD >Dexi5A01G0026090.1:cds pep primary_assembly:Fonio_CM05836:5A:29828436:29829551:1 gene:Dexi5A01G0026090 transcript:Dexi5A01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLRDFLSSHLSAVDAFVVDMFCVDALDVAAKLKLPAYIFYASAAGDLAVFLNIPSVRANMNMSLEEFRGSVLSVPGAPPFKASELPEILKDTDEAAKPVLRTLQRMPESNGILVNTFESLETRAVRALSDGLCVPDRATPPVYCIGPLVSGGGGENEQNECLRWLDAQPDRSVVFLAFGSLGTFPTKQLEEIAIGLEKSGQRFLWVMRSPRSPDQNVDEPLPEPDLDVLIPDGFLERTKGRGLVIKSWAPQVDVLRHRATGAFVTHCGWNSTLEGIMSGLPLLCWPLYAEQRMNKVFIVEEIKVGVEMRGYDEEMVTAEEVESKVRWVMESEGGRALSERALVVKDRAAQALKEGGSSHAAFVEFLKI >Dexi1B01G0022750.1:cds pep primary_assembly:Fonio_CM05836:1B:28425081:28425720:-1 gene:Dexi1B01G0022750 transcript:Dexi1B01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGPGLVLSPISHPRDASGDSALDAPSARPVASMPPSPPPPPLRLNPSLPVPVLRPPVHPLLRRPPVPVQAPVAASASRPGLHGQLLPFDADHRQAEAVCPSPRVAAAPDGARQCGSACCPPVTRLPDPAAAPAGRRRRMPRP >Dexi5B01G0029870.1:cds pep primary_assembly:Fonio_CM05836:5B:30984894:30985436:1 gene:Dexi5B01G0029870 transcript:Dexi5B01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASEIAAVGVIGAGQMGSGIAQLAAAAGCAVLLLDADSAALTRAVASISASLRRLAAKGQLSQAACEDSIKRIRCVSTVQDLREADLVIEAIVENEHVKKKLFVELDKITKPSAILASNTSSISITRLASATKRPSQVR >Dexi7A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:7A:27774312:27774572:1 gene:Dexi7A01G0018330 transcript:Dexi7A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLVEISGQKRWTTELRLASACSFSSGAGSCSIARSPLAELTVATAAMAPAAVRTPPPPRSRLGDDTGKAK >Dexi5A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:5A:11808347:11812025:1 gene:Dexi5A01G0014690 transcript:Dexi5A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWPVSPQRACRLYESAFSSWSRGLPRLLSGSALPPTMASRDLRRLLDGAALVAREATRGASPRDVLRSALLAATDLAGLTKGTLRRPQPPPGAAPHPATESSRPTSSSSSVVYFTHDDAPAPPRDPPLERPPPSPTHEPAHPAQTQEIAGTGTAAAVAAEATAAAAANLEAVAAHPEPSPVPPQGPPLPSPVPVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESARRVMYGAPADTDGKRSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGPDWSSKLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRRLLTYTNLIPKGLFLDRAMKVAKLELARECDYVLEASNQKRYKELLSDSDGYYVPKVIDQLSSMKVLTSEFVPGVPIDKVAQLNQETRNYVGCKLLELTIKELFVFRFMQACTDPNWSNFLYDDATRKFNLIDFGAARDFPKRFVDDYLRMVVACANRDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSKSGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFHVYEQHNFSEGYSDVASSTA >Dexi2B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:2B:13814484:13821022:1 gene:Dexi2B01G0011980 transcript:Dexi2B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGGALAAALRHLLLLLVCFLAGGARASPATDALRHASSRAAAGGLCQQLLLPQGYPCTEHTDVNLAFFSQVKTDDGFLLSVQHIPHGKNGVADNAGPPVFLQHGLFQGGDTWFINSNEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKLFWDWSWQDLAESDLLAMLSYVYTVKQSKVVYVGHSQGTIMGLAAFTKPEIVKMISSAALLCPISYLDHVSASFVLRAVAIHLDQMLITMGIHQLNFRSEMGVQILDSLCDAERLDCNNMLSSITGPNCCFNSSRIDYYLEYEPHPSSTKNLRHLFQRRYGQLHPPPFDLSSIPESLPIWMGYGGLDALADVTDVERTIKELRSKPELLYIGDYGHIDFIMSVKAKDDVYLNLMRFLRAQQGLHSSY >Dexi4A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:4A:8411437:8414260:-1 gene:Dexi4A01G0010500 transcript:Dexi4A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGEEAGDREGVAAEVKAKDWLVGHGVGVSFNKAKEFVWRHDLFEDSMVAAGLSGIESGTKLYISNLHYGVTREDIQELFSEMGHLKHCAVHYDNNRHPTGSAEVIFTRRSEALAALKRYNNVRLDGKAMKIEVIGADLGLSAAAAPRISVVPGARGRGQREVVMMPGGNGLGRGAASSSSSLPGWKRGGFAQRGGQVRGGFAQRGGGQVRGRGRGRSSFGQGRGRGRGYVRKGNVEKSAEQLDKELDNYHSGSAMNVD >Dexi6A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:6A:7509811:7512947:1 gene:Dexi6A01G0007580 transcript:Dexi6A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLHALRPLQTLSLTPASTIPTLLRLLSSSSSAAASSDSDSPTAAPPPPPAADADFDSAEYELPTPGPAPSRKINNPVSALRKQLRFDPSLRARADEALFGERMGRAAVVVEEDEERSRDVALALLDAAMEPPDEDEDPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGNTQIVCHCTEFLLVLQPRHHGFPYRDVTVRVESAWSSINLYDLLIVMFDVNRHLNIPDSRVIKLIKRLGTEVNPNQRRILCMNKVDLVEDKKELLKVAKEFEDLPGYERYFMVSGLKGKGVKDLVQYLMEQAVRRPWDEEPTVMTEEVMKTISLEVVREKMLHHIHQVIPLHSN >Dexi6A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:6A:23686646:23687182:1 gene:Dexi6A01G0015780 transcript:Dexi6A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLLATASAVYYAFSSRDQFYPAMVYLSTSKICFVLLLNTGLVAMCIAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAHKRVEYIETTPSVPMLSHIRIVSFMAFLLIVDCLFLSNSLRSLIQKREASVSIFFSFE >Dexi7B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:7B:1664782:1665378:1 gene:Dexi7B01G0000840 transcript:Dexi7B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRRRNPEVPVKALEGIVSANTFFTVAVFIGITGTITPSTTIHPACVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLAADDFFNDGEQKPPPSDDCEEMPAWRAAAPRERRRAVLRFAQPMMLLAAACSIMGTFFLLLSMVDAIQLKFGIVSCGTPLAVGATLALSALVVGGLLFYGCTVAYALTHYLP >Dexi6A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:6A:6251770:6253703:-1 gene:Dexi6A01G0006480 transcript:Dexi6A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCMASALLAAAATPATAKSPACPSKKTSTTTNLRARAVSCRATGRSSDDLLWLPRREILTGLGGVAAGLVGYQSFVSSVANAAEEVAAEKCSKPDPVTDTLIECMDPTIPCPPTSKTPIVDFTPESTVKRIRRPVHLLNREYQEKYKEAVAKMKALPASNPLSFVRQAAIHQAYCDHHYHYDPDKKNVDFDVHNSWLFAPWHRMYIYFYEKALGQLIGDDTFGLPFWNWDTPAGMVVPALFKDSFANPLYDSNRNPDHLDMVANLNFLNDKSTPVAFNGPHDKAYQEAIYKNLATVHQQQMRGAGCARSFLGEKLCTDNISRQGQGSLESMAHTALHVWVGRGGGTSCTGGVVDFQGKTQCANDMGFLGSAGRDPLFYSHHANVDRMWHIWATKLGGEGFKDPEWLDASFVFYDDVDNPHPVRIKFRDVIDTKNLGYTYDAEADKDLPWKDCQLTSLVPHTKGAGGAMNKLRRAVTKAAVFPVTVTKNNVIEVPSVVVPAKKEGQPRVLVIQGIEYDPNIANKFDVALNVPKDSALDVGPQNFEFAGSFAVVPASGAAGEKVKGGVTFSVEACLEDIKAADDSTVDVIIVPRTEGEIKINSAPTIQS >Dexi4A01G0024040.1:cds pep primary_assembly:Fonio_CM05836:4A:26907043:26907960:1 gene:Dexi4A01G0024040 transcript:Dexi4A01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLSPARSSSKPRAASARHVRSISLPSCRAHPLLTHLQTTIHAARTWTAAAAEPTTSPSSGLAHLDALHAALAELLLLPEARAALLHSATADCLLDGFLVLADAHGTFQEALLDLKRHAADVQAALRRRRLDHQATTTRLAAAVRAQRHADKDLARLASSVRAAAKFPAQLVAGSSGASVAEIEVAGVLAEAVAAIASASAAVFSAVESVSSAATTAVIASSSKKPAATTLISQLVTRSSKTAVSSGEDKEMAALDRLEHLDECIAKMETGSDRVFRSILQTRVALLNIHTHTCC >Dexi4A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:4A:1757069:1758639:-1 gene:Dexi4A01G0002530 transcript:Dexi4A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAGSLAAVIGSLVLLVAGVSGARLPARGAAVRGTALPRATAVFALGSFWRSEAAFGCLHGVIRTSVGYAGGSKSNPEYRNLGDHAECVKVEYDPRLIHYKQLLDVFWANHDPREVFGQGPDVGNQYRSVIFTNGTIEARLAALSKEKEQAKDRSSVITTQIQPLRVFHPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLTAKLNAYAAELCPANTQKRISSKIDEVAKKGWPILKEI >Dexi9A01G0048600.1:cds pep primary_assembly:Fonio_CM05836:9A:51329673:51336078:1 gene:Dexi9A01G0048600 transcript:Dexi9A01G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPAGSEVPATGDASAAALSLEERFSILRSIAEECVEEDELLNLLQTNPNPVCYDGFEPSGRMHIAQGVVKAININKMASAGCKVKVLIADRFAMLNKKLGGDLDAIRTVGSYMVEVWKALGVNMDSVEFLWSSEEISKRPNEYLSLVMDIAMTSNPDSLTAAQFLYPLMQCADVFFLKVDICQLGMDQRKVNMLAREYAQLAGKSKPIILSHHMLPGLKEGQGKMSKSDPSSAIFMEDDEDQINSKIKKAFCPLNDIEGNPCLEYLKYIVLPWFESFEVARKEANGGNKIYRDMDEVLCDYGCGALHPADLKPALAKAINKILQPVRDHFNSNSEAKALLETVKVNDSAGALAGVRPEFPCPQAVDSRAATTTTPAHLFVLLFRTSRAPRRPTTRPKLVGAGAKPAIFAHPLIPVRLFSHWQLPACSQATAMPTAIARLLAAAAAPFPAAASRARGLRPGAAAPRLVLEPVALRAPARRAVPLARVAADDEAAGVVGDEAAEDGELEAARRATAERAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMDGEIPVTEMVGTFALSVGAAVSPFPSFSFAIAYWIASRIDTEMIGGDALTCPVWRTAQVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIVNAVPAMSLLAYGFFNTGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHMDKFQGVPYGLFLGPKELKEVGGTEELEKEIKKRIKRKGTLDVIQ >Dexi3A01G0024160.1:cds pep primary_assembly:Fonio_CM05836:3A:19770104:19773853:1 gene:Dexi3A01G0024160 transcript:Dexi3A01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFSVPRGFLGVPAQDSHFAPAAELHAHKQLQVRPNKPRRRPACVSASLSEREAEYYSQRPPTPLLDTINYPVHMKNLSVKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGGMNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGPGSSLFEELGLYYIGPVDGHNIDDLITILNDVKSTNTTGPVLIHVITEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAESLIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPSRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGTPIEVGRGRILREGDRVALLGYGSAVQYCLAAASLVESHGLKVTVADGRFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNILGQNREALAIMAAPNV >Dexi9A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:9A:13626886:13629704:-1 gene:Dexi9A01G0018530 transcript:Dexi9A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEYPASPKAQQLQESKKQRLTYILVVSALCIAFYVLGAWQNTALPKPISNSAAITRVGCDPSATTAQSSSSASVPSFGPGSGEALDFDAHHQLIINDTDGDAGLQQFPACPLNFSEYTPCEDRTRGRRFDRAMLVYRERHCPGKDEQIRCLIPAPPGYMTPFKWPKSRDYAYFNNIPHKELSIEKAVQNWIQVEGDKFRFPGGGTMFPRGADAYIDDINKLISLSDGRIRTAVDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGKHRLPYPSRAFDMAHCSRCLIPWYAHDGLYLAEVDRILRPGGYWILSGPPINWKTHHNGWERTKEDLKQEQDKIEDVARSLCWNKVVEKRDLSIWQKPKNHLECANIKKTYKTPHICKSDNPDAAWYRQMEACVTPLPEVSNQGEVAGGAVEKWPERAFTVPPRIRRGMIPGLDAKKFDEDKKLWEKRVAYYRRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDPDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLMAVKTYWTAKASDDQN >Dexi5A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:5A:10620235:10621640:-1 gene:Dexi5A01G0013840 transcript:Dexi5A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMAHETRDLDAGQPHLTASAAGITTTIPTELSFQLFHSLDAAAVTPQPTIDYFFSGGGAADPHHHPSAVHYDPLASGHHHTMNMLRDYCNGGAHYTTTAAEPYLRGARTGGGALVFGAATDDESAAAYIPAGPFETSPPPRATGGGRKRSRAALLGGGFHGGPPNGVEKKEKQRRQRLTEKYTALMLLIPNRTKDDRATVISDAIEYIQELGRTVEELTLLVEKKRRRMELQGDVVDAVVPAVAGALVTDGEAAESSEGEVAAPPAPVVVAVQRQQPIRSTYIQRRSKDTTVDVRIVEDDVNIKLTKRRRDGCLAEACVALDDLRLDLVHLSGGKIGDCHIYMFNTKIHPSSPVFASAVANRLMEVVDEY >Dexi9A01G0036370.1:cds pep primary_assembly:Fonio_CM05836:9A:40837962:40838487:-1 gene:Dexi9A01G0036370 transcript:Dexi9A01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSSSLLDGNLRFDLYAALAVLGLTAFLAVCFWRLYKLTVSARPQDMLPISAVAPTTSSSSCVGADGKAALRDKDVAALPVFVVHCAVCLSEMKDGERGRLLPGCGHRFHVECIDRWFRANSTCPVCRATAVVGESDAVEACKDGGSSPQVMVAVVVRS >Dexi2B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:2B:12839179:12841393:-1 gene:Dexi2B01G0011250 transcript:Dexi2B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAASLRALAAIAPSASLPKPATAPSPFLVLLAPTPPRLLRLRSARRLPLAPLAASDSFESSVGVDYAEPAAEGEEEEEEEEEAFASEGEEASAALEEEEAADEGDGDYVEPPEEAKVYVGNLPYDVDSERLAQLFEQAGVVEVAEVIYNRETDQSRGFGFVTMSTVEEAEKAVEMFHRYDINGRLLTVNKAAPRGSRVERPPRQFGSSSSSSSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRESGRSRGFGFVTMATQEELDDAIAALDGQVSVDTLGFA >Dexi6B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:6B:1563071:1563358:1 gene:Dexi6B01G0001770 transcript:Dexi6B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGHLLTRLHLARSRSPSPAVAEVPRGYLAVYVGEGRKRLVVPTACLNHPAFVTMLKRVEDEFGFDHRCGGLTIPCASEGDFADIVAGMDVH >Dexi7A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:7A:16559957:16562624:-1 gene:Dexi7A01G0005330 transcript:Dexi7A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATADGDADQLQTFPADLDDNGFPAVPSSAATSSGSGFAEDFYSSGTDWSSLRAPPPRRPLTPKGTPGIKAKEKDGGSLVQSSLFKAWGIERPPRREGGGAADSSLVQRSLFQAWGIERPPREGWGTGDPSPSPSHSGSWSGWKRRRGGSEEAAAATAAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSVNSEYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFGLSDGKTYLHTGDFRASRSMQLHPLLQKGRVNLLYLDTTYCNPKYKFPPQEDVIDFVVSTAQRYIKKQPKTLVVVGAYSIGKENVYLAISKALEVPIYTDPSRRRILHSFGWSDLSKRISSCNQSSQLHVLPLGSVNHKNLKKYLETLDQGFVSVLAFRPTGWTFSEATGKQLDLIKPSSNGNITIYGVPYSEHSSFTELRDFVMFLRPLKVIPTVNVGNAASRDKMQSYFREWLKS >Dexi1A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:1A:20057628:20058150:1 gene:Dexi1A01G0014050 transcript:Dexi1A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQRLRLSLDLAREKAASQQAKQKPPKRDGSITAAAVGASLGAGLGIVMAVIMGAASALRKP >Dexi3B01G0023270.1:cds pep primary_assembly:Fonio_CM05836:3B:18062274:18064446:1 gene:Dexi3B01G0023270 transcript:Dexi3B01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKESLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRDQEVGTGTVIDILLLSSAPKRSNTPCPVCTNEADDASTETNGVVSSCLNCFLAGGALYRFDYSVNPALFLAKARGGTCTLAPSDPDEAVIRRAKYLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLASGRIRAVENIAQLVVPAESPAVVTLAA >Dexi3B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:3B:3451165:3453908:-1 gene:Dexi3B01G0005200 transcript:Dexi3B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQAATVPMAKASAAEEDTKGMAATLLSLLRAATLEESAAAAATAAGDEEEKTASGRSLRYIEDYLVNEVLPFYGNTHTEDSHVGSKTTRLVHKASRYVKRCMGTGAGDALIFCGAGTTAAIKRLQEVMGVASPSAELRERLAAQLRTEERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDDDGLVDVAALRRALASPEFANRPMLGSFSACSNVTGVMTDTRQLATVLHEHGAFACFDFAASGPYVKIDMKSGEMDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLSSQPPSTCGGGTVAYVNGFSEKDTIYYDDIEEREDAGTPPILQKIRASLALWVKEYIGYDTMSLRERVYSEMAMERLVSNPNVMVLGNTTVERLPIFSFLIYPPLSNSKFEADLRRERLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLQIENELSLRIRSAILEGYSGLKPGWTRLSFSYYLSKEEFKFVLAAIEFIASYGHRFLSLYKFDWITGDWTFRKQAIKYRIMTEELALPTALDLLGEHGESKLADKLEKKYGEYHRFESYLESAKKIALSLPAISHQAVTIPTGVDPDLVLFHI >Dexi5A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:5A:10885211:10886640:1 gene:Dexi5A01G0014070 transcript:Dexi5A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGGRCVDAAGTTVMRSIFVNRDGGANFKSIQRAVDSVPFGNAQWIRVHVAAGVYNEKVTIPQNKSFILLEGEGRLQTSIEWADHAGRTTNTAATPTFAVYSTDFMARDITFKNTYSVEGRIEAAVAALVTGDRASFYRCGFVGVQDTLSDMDGRHYHEGCYIEGAMDFIWGSGQSLFQGCEIWTAPSPVSPGFITAQGRRSASDSGGFVFSRCTVRGVSPAYLGRAWRGFARVIFYQTAMSSVVVKEGWDAWNYKGSEGALTMVEAGCTGQGSNTTERVPWAKAMSSGDLAKFVDPSYVSGDGWLDAQPR >Dexi3A01G0036570.1:cds pep primary_assembly:Fonio_CM05836:3A:42108716:42110026:-1 gene:Dexi3A01G0036570 transcript:Dexi3A01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEVRHWNADVNGVSIHVAEQGPADGTVVLLLHGFPELWLSWRHQMAALAARGFRALAPDHRGYGDSSAPADPAAYSIFHIVGDVVALLDHLQLTKVFVVGHDWGAQVAWHMCLFRPERVRAVVAIGVPFYPRSPRSFSELFTDRGDGFYITQFQEPGRAERAFARYDVATVLKKFYSIEFDDLTAPPGVEIIDFLEAHSSPLPWITDEELGQYAEKFQKSGFTGPLNYYRMMDMNWRATAPWNGARITVPAKFIAGDKDIGTHSFGTEQYIKSGRFKSIVPDLEVTIIDGHHFLQQEQAERVNSEILTYLDKFVSEQSLEASA >Dexi7A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:7A:22643311:22643815:1 gene:Dexi7A01G0012430 transcript:Dexi7A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASLSPVCCVPFPATGPHRASTSSTRRLPRFAARSSGGGGSRPEPKPGDNESKAVLDAFFLGKAFAEALTERVESAVGEVLSVVGQWQAEQQKQVQEFQRG >Dexi7B01G0021180.1:cds pep primary_assembly:Fonio_CM05836:7B:26313227:26319825:-1 gene:Dexi7B01G0021180 transcript:Dexi7B01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPFRASPALSTTAVAVMLLLCLMSGGGCNAQTPVFACDASNATVAAYGFCNRTAPAAARAADLASRLTLAEKVGFLVDKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNVTLFRAIGELAHRLFIVASGPVRWRPHLTSPDLPSAAASLSLQISKKKGVPWCDLNLVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLTSKYAVGYVTGLQDAGADAGDSLKVAACCKHYTAYDVDNWKGVERYTFDAVVSQQDLDDTFQPPFKSCVVDGNVASVMCSYNQVNGTPTCADKDLLSGVIRGDWKLNGYISSDCDSVDVLYNNQHYTKTPEDAAAISIKAGLDLNCGSFLAQHTVAAVQAGKLSESDVDRAITNNFITLMRLGFFDGDPRKLPFGSLGPSDVCTSSNQELAREAARQGIVLLKNNGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCQYTTPLQGLGANVGTVYQPGCTNVGCSGNSLQLDAATKAAASADVTVLIVGADQSIERESFDRTSLLLPGQQTQLVSAVANASSGPCILVIMSGGPFDISFAKSSDKIAAILWVGYPGEAGGGAIADVLFGYHNPSGRLPVTWYPESFTKIPMTDMRMRPDPATGYPGRTYRFYTGDTVYAFGDGLSYTSFTHHLVSAPTHVAMKLAEGHTCLTEHCLSVEAQGGHCENMAFDVHLRVRNAGKMAGGHTVFLFSSPPPVHNAPAKHLLAFEKVSLEPRQAGVVAFKVDVCRDLSVVDELGNRKVALGSHTLHVGDLKHTIDLRV >Dexi5B01G0024270.1:cds pep primary_assembly:Fonio_CM05836:5B:26325682:26329695:-1 gene:Dexi5B01G0024270 transcript:Dexi5B01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRSPAPERRRGIRRLLLHPRGEASSSSPPPLLPAAPAEEGRRRGFASAALRGLGCTSAAASQAYAPGAAAAAAVRSSADWHGRRRRKGKERRKERGGGGGGGSGGLVAGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGGRAADGERSDRERPCLSRRATVPEQMSSSFMDSPPPPHLDGPFFGADFIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMNMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREEEITRSIRKVKHPSLGSFRFATEMEKKCSICQEEFESNEEMGRLNCGHSYHVYCIKQWLSQKNTCPVCKTAVTKT >Dexi4A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:4A:1912001:1914036:-1 gene:Dexi4A01G0002770 transcript:Dexi4A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGAAKDSAFLGVRFADSLKLETTALGLRTKRVSTSVAIRAQAAAVSSPSVTPASPSDKKTLRKGTAIITGASSGLGLATAKALAETGRWHVIMGCRDFLKASRAAKAAGMPKDSYTIVHLDLASLDSVRQFVKNVRQLEMPVDVVVCNAAVYRPTAKEPSYTADGFEMSVGVNHLGHFLLARELLADLQSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGVNSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSEEASDADKAKKLWEISEKLVGLAPPVSE >Dexi5A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:5A:5250194:5251763:-1 gene:Dexi5A01G0007070 transcript:Dexi5A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEMEEEALQSVSDLPVQNPPGEEFSAADLTWVKYASSEHHREDVAIIRYDRMEAFISGESNNPECPTRFHIERGRKREMGTLKKYRSDDYLLYRMYWCSFGPENYGEGGTILPSRRYRLNTRNRAARPQSMRGCTCHFTMKRLYARPSLVLIIYHERRHVNKSGFICHGPLDRDAIGPGARKVPYIGSEIQQQTLSLIYLGVPEENILQTHIEGIQRYCSSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIKIWENLA >DexiUA01G0015570.1:cds pep primary_assembly:Fonio_CM05836:UA:32650631:32655977:1 gene:DexiUA01G0015570 transcript:DexiUA01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRLAVLAQRPLTPAPRRRRNAPAPGRAPSRPRALSAAPRGRVLCLAAPASAAASTTDAGQDRLQKVPISNIRNFCIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYVMNKEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVAQEIEEIIGLDCSDAIRCSAKEGIGITEILDALVTKIPPPKDTSKDPLRALIFDSYYDPYRGVIAYFRVIDGSIKKGDKICFMANGKEYVADEIGVLSPNQMQVDELYAGEVGYLSASIRSVADARVGDTITHYSKKAAAALPGYSEATPMVFCGLFPIDADQY >Dexi9B01G0033310.1:cds pep primary_assembly:Fonio_CM05836:9B:35500345:35503516:-1 gene:Dexi9B01G0033310 transcript:Dexi9B01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECAPPAAAPAPTTAKTSVWWDIDKCAVPRGRCDPHRIAHNLIAALAAAGYAGPVSIAAYGDAARVPPPVLAALSATGICLNHVPAGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQALAAAAKKVWLWENLTAGELLLPEPPPARSVLGCKLNVNSSGTLSLGYKLNANGTDAMKCSQSKVCSEYGKGDINGKTGGNKGEAVNRPGVKPLQKYVKKTKVASCSANKQAQKPVASAHLHEVKAPHESILGKKPRTPVEQVKAHESILGFDKSISSLGFNTSSYVNQSTDPQSSQPPCGNNCRAVHQPYNPPNSPEIEGIIGIILHALGTLKTEKIFPTESNIADCICYGEMNLTAFDVKKALELAIRHETVVMKKLLHDMPLFVAKDESLWKCVNVTNSKAKNPIEELETVYNYMSSTDGYSAIKNSQSRYQAATILKRSCLQHFSLGDVLQVLHILVVRKKWIVPHSSGWQPVSINTTAYTTDATGKVKS >Dexi3A01G0022330.1:cds pep primary_assembly:Fonio_CM05836:3A:17940831:17942729:1 gene:Dexi3A01G0022330 transcript:Dexi3A01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASTTATTVSTLLSGCRSLAAVKQLHAHFLTHSNCPFPYNHFLSKLLSLPSSATAVANAVSDYALRLLSSHPSPTAFSYNVALRFFASSRPGTSLVLFLRMLRAELRPDAYTLPFLLLAAARSPAPAVARSAHTLLEKLGLRDHDHTVHSLITMYSCLGDVLAARRVFDGIPHRDVVSWNSMMKAYERAGMAAEVEGMFRWMVTEGVLVPNGVTVAIVLAACRDAGNLVFGRWVEEWVKSAGMSVDSLIGSALVGMYAQNGMSNEAIALFHYMREEGVHPDKITLVGVLSSCAAVGALELGSELDRYASNRGLYSNVYVGTALVDMYAKCGDLDKAIKVFGNMPCKNEASWNALICGLAFNGRGHDAIQQFELMRHEIGIQPDDITFIGVLSACVHAGLLEYGRQLFNSLTPVFKIIPKIEHYSCMVDLLARAGHLEEAWDFSEKIPGKADAVMLGALLAACRKCKNVEVGERVINRIMELEPSNSWNYVVSSKIYTVADRMDDSARMIGLMRERGVSKTPGCSWVEVKGKVLEFYASTEPQHGSEYMYQLMDTLVDEMRLEGYVPNLDLV >Dexi9B01G0026570.1:cds pep primary_assembly:Fonio_CM05836:9B:28764597:28767550:-1 gene:Dexi9B01G0026570 transcript:Dexi9B01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGGGGSRESSADEKGVRPLLPEMQSGMSPPPPGGSNGHHHHQQQRVASMESGGGDATRRRFNWKAPVIVLVFELLESIAFSGVALNLVVYLATVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASIAFYLVGLVLLTVSAAVPSLRPSTTCQLGGAPPCAPASKTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDEHERPERKQAFFSWFFAAINLGIFIAGTLISWLQQNVAWALGFGIGTACLLVAALAFVSGTPWYNTQMPTGSPLRDIIRVLVAAFRKRHVRLAREDGAVVPLHEEDDDVIAGKDDKSSPSGVAGQQQRLARTKGLRCLDKAAVISKEQEGKWSLCTVSEVEGVKILARMVPIWATCVLYAASLGQMTTTFIQQGMAMDARLGTFKVPPASLVSVEVVFMLLWVALHDAAVIPLARRLTGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRASTSAPMSIAWQVPQFVLVAGSDVFCGISQLEFFYGEAPPAMRSICSAFSFLALSLGFYVNSLVVTLVAAVTGRPGWLAPDLDAGHLDYYFWLWTVISVANLLLFVVLASRYTPKQVAAVEPRPRSSGGGSSSDE >Dexi8A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:8A:30703430:30705248:1 gene:Dexi8A01G0018420 transcript:Dexi8A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVELEEEQQQLGVMEATPPSPSVFLDLLPAPCIDGGRHSSPEDDDLVLPYISRMLMEEDIIDKFSDRYDDPDHTAILNAQQPFAEILSNAIQTCPCNLSQPLHTSAVGPYFDTQVPGIVFLNGTATGKVETNSLLTDENSVNEVSMAFFKGMEEANKFLPIRADSETIDSCSWKKRFGRVDEVGRSTKRMAAPLQSESEEEADAREMLDRLMLNGYNPSLASIQEPPHVVKGTGKTPQPGRHTVDLHTMLIRCAEAVATDDRRGAADLLERIKCHSSPTGDSMQRLAYCFAKGLEARLAGTGSQIYRSLMAKRASMVCILKAYQFYMDSCCFLPVKHLFSNKTIYNAVAGRKKLHIVHYGIGHGLQWPDLLRWLAHREGGPPEVRLTGIDNPLPGFRPAQRIEETGQRLSECARQIGVPFNFHGIAKKLEAVHVDDLGIDHDEVLVINSMLHLQTLMDESVVVERPNPRDMVLSTIRKMRPSVFIHTVNNGSHSNAFFMPRFREALQRYAALFDMMDTIAPRDDDKRLLVERDIFARCVTNIIACEGMDRVQRPQSYKKWQARSQRAGLKQLPLDPEIVQMIKDKVKEYHMINDGFS >Dexi6A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:6A:9835712:9836912:1 gene:Dexi6A01G0008970 transcript:Dexi6A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQVTRFVCGSLIMGHTMLDFSSRGAKPPATPPSTMYLARPHVPLFPVHPPRVEFEHHGAEFNIPSNKKKENEGAGPRRWHRHEAAPRPQRAGAPGHHGGELLAAPLGRVAELIREQVARVDDAYFKSFIDFTSSVGVAEEEGLVPPLADRTWPVQPINMYAWFVCGL >Dexi9B01G0030650.1:cds pep primary_assembly:Fonio_CM05836:9B:33121446:33123427:-1 gene:Dexi9B01G0030650 transcript:Dexi9B01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDMPPATALRAPAPAAAAAAAADKDAEKLRFIEEMTSNVDAVQERVLGEILARNAETEYLSRFGLAGATDRAAFRARVPVVTYEDLQPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYDPYHDYTSPTAAILCADAFQSMYAQMVCGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLADDIESGSLTPRVTDPSVRDAVSSGVLRRPDPELARFLRAECSRGDWAGIITRVWPNTKYLDVIVTGAMQQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCHPSEVSYTIMPNMGYFEFLPVDEASGVASGDAAQLVDLSRVEQGHEYELVITTYAGLYRYRVGDILRVAGFHNAAPRFAFVRRKNVLLSIESDKTDEAELQRAVDRASAVLRASRPGAAVAEYTSHACTRSIPGHYVIYWELLENSRRQDGTVVDGETMERCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVSFPAIVELLDSRVVSRHFSPSPPHWAPAAGGRSDD >Dexi5A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:5A:12969121:12973912:1 gene:Dexi5A01G0015410 transcript:Dexi5A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTTESGSFSRSSSFGGFEEWVALVRKRNGKPSCGRLAIRSSASSDVLHADPDINVIDTDPCDQVPEARLWERLGRVSMMDIESSSFSWSYLTSLHHTKHTATSTDPSEDDINRSFEVTVNSGGVVFIALFRATENVDLPSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHSSSFEWQQIKEAVENARHVSIVSGDELEELICTEMLEALELSRCLFLMNYVHGSPLLENTVPFASREAAEKTADALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVANKEAYANLDSLDDVYDSAIIRYKPEIVRSPQRQKQRRAVSISGSIGSDVSDLMLEDSYAPSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLYEVSLGKLGIPGPEEFDVSSDNSYNCPLSESDMIPIVHSFRGGFRSALRDLQRFHIFLITVYQKLDGLLKIFFNLMYKCSNEYDKEDAGTSDSPLCSVEGQADSNDTDVPRNLRKPSRTLSRDNLDLSSPSCRESFITKHFKGNGDASRALRLTMKLRDFNKYAKVKNFKCGPLKFIYHIIMQHYVLQVDSELSKEIEQWSDMLRTEVVKLCQDNSFNTGFFEGTENSTAVDAYELKVRLEHILERISLISDAASTERPSQVTDYLYIGGALTARSKNTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSIKDDDNADIGDVFQDACDFIDYVNHLRSKVLVHCFEGKSRSATVVLAYLMLRKNCTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWQHKRPAMKVCPICGKNAGLSSSSLKLHLQKAHKKISSGSVDSAMSLEIQKALEAIKAGRGGNDSPTQKSQSHIEGF >Dexi5A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:5A:2216930:2217557:-1 gene:Dexi5A01G0003020 transcript:Dexi5A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIRAAEPGVGGEDLAGDVRVGMEAEVERVGVDGGGDARGRRGAEHEREGVGVGKPGGQRAHEAVEREGEVPAGTREVVAEELVPWDGAVDRRVVADWLIGGEGGEEAAALVVIKGQGRRGDGAKAEAV >Dexi5B01G0027990.1:cds pep primary_assembly:Fonio_CM05836:5B:29491510:29494195:-1 gene:Dexi5B01G0027990 transcript:Dexi5B01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVAPEVAAALARVGPVVALESTIICHGMPYPKNLQTAMEVEAVVRGTGAIPATIAILDGLPHVAMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYRTNEFPAFFTEISGCQVSRIFWCLYASVT >Dexi2B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:2B:5329166:5330951:-1 gene:Dexi2B01G0005800 transcript:Dexi2B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTIEELWAFYLCMMSQTNHPSISAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRPDPDQPNPASRWSSCCNS >DexiUA01G0002860.1:cds pep primary_assembly:Fonio_CM05836:UA:6103958:6107731:1 gene:DexiUA01G0002860 transcript:DexiUA01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCTSSLGKVTHTEKKPPGALPPRRRSSVSLNQHQATASSAGAGVREVPVVREFSLADLRAATGDFAPENIVSESGEKAPNFVYKGRLQASRRAIAVKKFTNVAWPDAKQFAEEAKGAGKLRHRWMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYIAEALDYCTTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIYSFGTVLLDLLSGKRIPPSRVPSYEMLGIPKPEEEQPPAPIPQPQRPLSPMGEACSRMDLTAIHQILVNAHYRDDEGTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKAATEFYTQFVEVGTMVSPTVHARRSLCHLMCDQNDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQKHTRP >Dexi2B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:2B:30581103:30585145:1 gene:Dexi2B01G0020520 transcript:Dexi2B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQDAPSGGGGKLSLASVGFAGPGAGAGGGGYKDLLVMALPKDDGLDGAKVAEVIGIRLPDVAGTMRTILGRREVREFASGALAGAMSKAILAPLETLRTRMVVGVGSRHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAVEMGTFECVKRSMAEAQEKWKEDGYPKIQLGNLKIELPLRFLSPVAIAGAAAGIAGTLACHPLEVIKDRLTISREVYPTISVAFSKIYRTEGIGGLYAGLCPTLIGMLPYSTCYFFMYDTIKTSYCRFHKKSSLSRPELVVIGALTGLTASTISFPLEVARKRLMVGALKGKCPPNMIAALSEVIREEGLLGVYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKQSV >DexiUA01G0008150.1:cds pep primary_assembly:Fonio_CM05836:UA:15339049:15339483:-1 gene:DexiUA01G0008150 transcript:DexiUA01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFGSSMSASRSAARSSSSTFPRTPGGRRPWQLLVQAHLVVGVAVEREHGVVVVVLVVDVMDGELAVVVVAAGVLSASWTAWRRRAQQRGTSELAILLGLTKSSLRCSTSAPSSPPLSLLLFSASIRWLRHGAGGGSSAGD >Dexi8B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:8B:1400203:1402340:-1 gene:Dexi8B01G0001990 transcript:Dexi8B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGFPSFLLLFTFQVITGFRDPGFFNNVFPMLYNVSKQSVICKTKGSSSATSSADAEQDESEGASVSLDKVLNCATSCISIAFPQDVISQKENVLEVILNSLSPEQSWQVKLSSFSCVKELCHKFQKSDDIDTWPQDTASLVQELFHLVSAKVLDSIRLIKIAQVHIAASECLLELCKLYKDFPLTERTEAQFEDELADLCESEKSEQAKALLKECLGILQTLSRVTMRTD >Dexi3A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:3A:9193193:9195733:-1 gene:Dexi3A01G0012650 transcript:Dexi3A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGCFPDGGTAVARACRDGAAATRLKTGSLLAILVASAVGICLPVALTRAFRGSPSYARGLLLVKCYAAGVILSTSLVHVLPDAQAALAYCAVATRRPWRDFPFAGLFTLVGALLALLVDLSASSHLEAHGHGGGDDHSHGHQETPSYAPIPKKGPVFELAGEMSPTKKRAFLDDDQEDPALHVSRNGADTDRDDVALFGGKKGAAFVRSDEVAVVGGGCHGVGHEVVEVGEGTGEEEEAKRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATVGYMCIMFSVTTPLGILLGMLVFHMTGYDDSNPNALIMEGILGSLSAGILIYMALVDLISLDFFHNKMMSASIKLKKACYIALVLGSASMSILALWA >Dexi4A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:4A:8067117:8067350:-1 gene:Dexi4A01G0010130 transcript:Dexi4A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFAAVVPVILLVIMGFLAISGAARPLSGEAVLGDGVLQFLQQMYLQKLGAGPSCRTNSSNGGCPQRP >Dexi4B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:4B:4947646:4949722:-1 gene:Dexi4B01G0007120 transcript:Dexi4B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSLQNDSKEFISSRLPSFASVQETNLADSGFKTQKQTQTNSAANVVGVKPRVRARRGQATDPHSIAERADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLTESQTEGCHGQPLSAPTNAQGLLDAQDSEEALAFEEEVVKLMETSITSAMQYLQNKGLCLMPVALASAISTQKGASAAAIAPER >Dexi4A01G0023220.1:cds pep primary_assembly:Fonio_CM05836:4A:26374032:26374719:-1 gene:Dexi4A01G0023220 transcript:Dexi4A01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVKHSYEAYKNLHQELGMREDPAFGFVDNTGAGGWPDGAVALGGGAGAAAAAAEMYAFRVVPSQPNLHGMAYGSHDLRLG >Dexi6B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:6B:21796430:21797944:1 gene:Dexi6B01G0014150 transcript:Dexi6B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESWLLLATLAISLLCYYLANLRSRSGTAPPPPGPRPLPLLGNLLDLRSGNLHHTLARLARVHGPVMRLELGLTTAVVISSRDAAREAFTRHDRRLASRAVPDTARALGFSERSMIWLPSSDHRWKNLRGVVATHVFSPRSLAAARGVRERKVRDLVSYIREHAGQEVDVGQVVYGGVLNLVSSALFSADVVDDVGAASAQGLRQLVEELVELVAKPNVSDLLPLLRPLDLQGRRRHAARCFEKVFRILDAMIERRLAEATSSSDSRLLHGDFLDVLVELMAEGKIARDNATTILFDVFAAGSDTMAVTVEWAMAELLRNPSAMAKLRAEIRGALGSKASVEEPDAVGLPYLQAVVREAMRLHPVAPVMLPHQAAEHGVEVCGYTVPKGATVIFNTWAIMRDPAAWERPDVFVPERFLENAAEMIDFRGKKFEFIPFGSGRRACPGMAMAERVVPLILASLIHAFDWRLPDGVSAERLDVSEKFTTANVMAVPLKAVPVAIA >Dexi4A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:4A:745607:752392:1 gene:Dexi4A01G0001130 transcript:Dexi4A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRRDAARSGELQRPPAPSGMARSGELSTRGASAGPAPAAVRHEGWLVRHGRRKIGRSFFHMRYFVLDNKLLAYYKKKPRDGMIPLKSILIDGNCRVEDRGLKTHHGQMGAYDIEDALVWKRKLEILIDQVQPEDEEEPRPTLLRRTTIGNGPPDSILDWTKEPDIGLSRLRIFEELVEIEHLARSCSRAMRAVGVVEASCEAIFGLVMGMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWLVWPRDLCYVRYWRRNDDGSYGLREYFAQTDEVHPVPRIPVMHAMVNAVPMKEDEKLQELDMKTKQTDNKILDMVDEESEDDDDYQVPGANLEEEPTKTDSDAKSSDPIDLSWFSGIIRHDANEKSRNCWAVPDSKIFKVRSKNFPHDKSKVPAGKYLMDLVAIDWFKDTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGPSHYSLVLYFVSSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGPEYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAVTPELDNIS >Dexi1A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:1A:23094441:23095007:1 gene:Dexi1A01G0016100 transcript:Dexi1A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTSTARILALVAALALTSVVSGVGATPETTCAAAAAARDHRVDYGFCVSRLSHHHDSPDADTWGLAKVAADVGVATAGDAVYDIKKALQLAKAGDAGVRAALERCRELYDAAGLAFAEAYDGINRREYEAGKAKAAEAASLARRCGEAFARAGGAPPPPPQVVRWGEESAKMAVVCTAITDLLIV >Dexi1B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:1B:11789464:11792602:1 gene:Dexi1B01G0011580 transcript:Dexi1B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADEAWCRETVPRVMELVSPRLPQRDVCALLAVSPWCYRALVAKPRLWEVLELREMRNAGDRLISALALSGISLDNLESLNLNACQKITDKGIGAIASLCPNLQVISIYWIVGLTDLSIGHITKNCRQIVDLNLSGCKNISDKGMRLIANSYQGLKKLNITRCVKLTDDGLTEVLQKCSLLESLNLYALLSFTDKVYKGIGLLSNLTFLDLCGAQNLTDDGLVCISRCGGLTYLNLSWCVRVTDAGIVAIAQGCQSLELLSLFGIVGVTDACLEALSKSCMHSLTTLDVNGCIGIKVKT >Dexi4B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:4B:16681695:16686039:-1 gene:Dexi4B01G0015280 transcript:Dexi4B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIGSVTMSYLLLSSSAALLFLLLPCIVSVQQADCAGEPLPSSQVLALLSWKSSLSSSPELRSWNNRTHPCSWAGITCVRVRQSRAVAGIVLPGAGIDGRLDRLNFSALPFLDTVDLSNNSLRGPVPASIASLSSRLSYLDVSRNWLSGEIPSEVGNLRRLTQLGLLYNNFTGRIPASIGNLTMLTVLSFHQNMLSGDIPRELGQLVRLQALQLSKNTLTGSIPASFGNLTQVQILHMYGNQLSGPMPPELGSLRNLEDLELAGNQLNGNIPASLANLTMLNIAYLYTNNLTGRIQEIGALSNLAVLDLSENLLSGSIPPSLGELTGLQYLAFDTNILEGSIPQSIGNLTGLNTLILSSNRIAGSIPSTIGSLTGLNTLVLSVNKIAGSIPSTIGNLTKLNCLSLYTNRIVGSIPQSIGNLDQLNILELYDNQIAGAIPSEMGNLINILALYLDVHQ >Dexi9B01G0043420.1:cds pep primary_assembly:Fonio_CM05836:9B:43371123:43376969:1 gene:Dexi9B01G0043420 transcript:Dexi9B01G0043420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICDELRSFEATGVYRLDGTGATFLDPVRILNGSYQRFRVVPSAYYSRSFEPPPRQVGDLETEQPEKRRKRQRNQKPKPRELNAMERIAEARHQVLLYYCDRVDNQCCFRYDALGSFDVQKTSFPLFNSIINVEAIDEAEGEFQNRRYILPRESCFLMTDYKHVRDLIPGRSNQGYNLIVVDPPWENGCVRQKQAEKLRVFVEQELLPTWGVKDPTVFYWLKVKPDGSLIGDLDLFHHRPYECLLLGYINVNTDAKQGSNFKLLEGSQVIMSVPGAHSRKPPLENPQYVAFHDDEWGVPVHDDRTLFELFTLSQALAELTWPAILSKREEFREMFDKFNPASISEFTEKKITMLRSNASVLLSEQKIRAVVTNAKQMRKVVQEFGSFSNYCWSFVNHKPITNCFRYARQVPTKTPKAEAISKDLMRRGFQCVGPTTIYSFMQVAGIVNDHLSCCFRFKACSEHKASEKIVRAEPALPDRRLSSPSSEDSDIREM >Dexi5A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:5A:12169766:12170067:-1 gene:Dexi5A01G0014900 transcript:Dexi5A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIKMLITNKSIILSTQNSPKISHLPVVIMCTDDVPARTKMCLGGGAKGYIIKPIKVIDVPDLLRYI >Dexi4B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:4B:11299239:11300344:1 gene:Dexi4B01G0013110 transcript:Dexi4B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTSAGMYPQIVYHADVRARELEAERQMGGCSCAPLGRMISRVITKCNGRERRVSRYNYDDKMDYAMAYTDPTQTCYVRPTPSARTVTLATTSNHHPPHSHAVQPEPPRAHATTILPATPFPSTGASPQGARKPKKKKKKKHVRFTPSGPVPGNAPPPHAQQHTGATAASGGGGAAATASVVYHHGAAEPPPQPQPPYPYSPAPVPTHGGQGGHGYAYGYGRYAPSPLPRWEVMGTPKRHEYFSSEYRWCYPTPVREGIYSIATDANGRLSTIFSEENPNACTIV >Dexi4A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:4A:10484266:10486354:1 gene:Dexi4A01G0012060 transcript:Dexi4A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKLASRSHAYHRRGLWAIKAKHGGSFPKAEKPAAAAEPKLYPADDVKPRAPSTRKPKPTKLRSTITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVSGPFKINGVPIRRVNQTYVIATSTKVDISGVDVAKFDDKYFAREKKQRAKKTEGELFETEKEASKSLPDFKKDDQKAVDAALIKAIEAVPELKSYLGARFSLRDGDKPHEMVF >Dexi9B01G0028550.1:cds pep primary_assembly:Fonio_CM05836:9B:31162967:31164788:1 gene:Dexi9B01G0028550 transcript:Dexi9B01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGFSGASTAEEVTAGVDGQALVAVVTGASSGIGLETARVLALRGVHVVMPVRNVAAGLAVRESIVAKVPGARIDVLEMDLSSMASVRRFASEFESLNLPLNILINNAGVMTRNCTCSCDGLELHFATNHIAFLNSIGRIMCRSVEQGAATTCYVAMHPQVQGTSGKYFADCNVASPSLQASDPELAKKLWQFSLKTVSP >Dexi9B01G0044140.1:cds pep primary_assembly:Fonio_CM05836:9B:43974884:43975285:-1 gene:Dexi9B01G0044140 transcript:Dexi9B01G0044140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGEAPCARPKVAPRSLVGGRSRGLGRRHGRVSGGWIVGACRREEGGRRCRRRRTSRAWISSVAAAPCSSGPPRGARTGPADSRTDARRRRGEIRQPGDDAMVETPHHTTRARGSKGQQARAFTGPSSSLFG >Dexi2A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:2A:8350460:8353796:1 gene:Dexi2A01G0008110 transcript:Dexi2A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMAPTSLLAALVGVVLLSSYAAAIHLPRAGLSAAASGNGGDTKVFVLDLCADDVYVVFTERQPATAELAEEEAGAAIAAMHHDMIGSVLLDRVVYHYSRSLHGFAARLTEDEKNRLAGMDGVLSIHEKVVYRPQTTRSWDFLGLPQHNDPVRLPFENDVIIGMLDTGISPDSESFSDDGLPPPPAKWKGVCSKNFTSCNNKIIGARAYYNGDTTVSVLDDEGHGTHTSSTAAGRAVAGVSLGGLAGGTARGAVPGARLAVYKVCFGEEGCSSEDILAAFDDAIADGVDVISASIGMVMPLDYPEDPLSVGAFHAMKRGVVTSVSAGNSGPILGTVSNVSPWMVSVAATDTDRLIISELVLGDGKRIQGNGITVFPNLGKPSLLLDPGSCEEEHLQGKKYKGAVLLCGSGVLTSSSAMYSTGAEGAVMYSTQYDDNTTAFSYALPTVIIPKDDYDHMMNYYNKSRHPMAIVKKSVTVKNTAAPTVAKFSSRGPNQVTYGVLKPDISAPGVDILAAWSPMASLSGDDVDERRVKYNIISGTSMSCPHVTGAAAYVKSVHPSWSHAAVLSALVTTATPMTSSGELPEAELAYGAGQVNPMGARYPGLVYDASVEDYVDFLCAQGYNSTQLAAMTGSSSTACSDEARSGNVGDLNYPSISVPVINHGVSFAAEFPRTVTNVGPDDSVYRATVTTVPGVDVDVTPDELAFSAGTKKLSFKVTVSGKLLPSNTTMGASASVVWSDGRHSVRSPIYVFPHKHVM >Dexi2A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:2A:13935067:13937029:-1 gene:Dexi2A01G0011970 transcript:Dexi2A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAVLVHLVPWSKESRRCRPWISRSRPELRHLGSAPLGYPSFLIQVKEKLQQVGLESSNLIIGVDFTKSNEWTGKLCFDGRSLHHVGNAPNPYEEAIGIIGRTLSDGILIASMRFAASTHDQYVFNFYRDGRACNGVSEALQRYREIAPHVRLSGPTSLAPIIETATRIVEASRHQYHILLIIADGQVCLSYSIPKITGAHSSSYLVETGSMNYLEERTLQALIHASHFPLSIVLVGVGDVPWDDATHRHDNRRLFDNFQFVDFTNIMSRELSQTEKEDQFALEALRKIPAQYSAIIGKWIRYVSGEPEVSRPQVRLEEVLFPHLAETVRPSA >Dexi9B01G0039040.1:cds pep primary_assembly:Fonio_CM05836:9B:39904254:39907559:-1 gene:Dexi9B01G0039040 transcript:Dexi9B01G0039040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDKLKDYEIKREGEAEILMLKSNAVFFNPVQVHNRDMSIAVLRAFVAKRKEEHEALVNKRNKSHQKNKQGEASIQNGEDASTSQVDEVAVVSEKEPNKAEDEIDDLSKEATETPSWKVTRELKPPLVLEALAASGLRSLRYAREVDGLGKVVALDNDKASVEACKRNIKFNGASATSKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSYPTKGKYCHEMALRILLASIEVHKMIFKFQHSHANRYKRYIVPVLSVFMDFYVRVFVRVFT >Dexi4B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:4B:8999768:9000982:-1 gene:Dexi4B01G0011570 transcript:Dexi4B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISILSRHLGIEFGCIRPIDNAPFGLDALPGDGEEDDEDGVTFVLSKWATGNTHSLKILFWNDEANTSLAGFEAEPTYRIYCKADKS >Dexi9A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:9A:7895442:7897492:-1 gene:Dexi9A01G0012420 transcript:Dexi9A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASALVTRSTGCKRPSLRHTDSSRQAQQSGKCAQQHQFYPCSIRAY >Dexi7A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:7A:21519643:21520395:-1 gene:Dexi7A01G0010840 transcript:Dexi7A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVEETKIVLCMLPLFVSSMIGYVSTPIIFTFTVQQGAMTNTRLGKIHVSPATLFIIPVTFQMVMLAIYDRFIVPFLRKRTGYASGITHLQRIGIGFASMILASVIAAVVERKRKEAAVQMSLFWLAPQFFLLGVSNVTSYPGLLQFFNSEAPRGMKSIATALFLCAIGLASLLATLLVQAVNRATRHGQKGGWLEGTSLNSSHLDWFYWVVAAVGLLGFVNYLYWANKYVYNQDPHIIVEPSVDQDSP >Dexi8A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:8A:23449588:23450030:1 gene:Dexi8A01G0013470 transcript:Dexi8A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDVPCRRRRHRYGEDFISGLPDELLAAAQHPPPPRSVRATARTSVLSRRWRHVWAHLPELVFGNNGGHDHNAPPLLDTIDAALAGYADPNLEGLVVVLSTASARAAPWLRFAAERVAGEIVVFEPPLRMPEDGGGREEAVL >DexiUA01G0012050.1:cds pep primary_assembly:Fonio_CM05836:UA:24150485:24153724:-1 gene:DexiUA01G0012050 transcript:DexiUA01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDTDEDMNFRRPKRHRTSFRPPSGPRTPRSDDDGATPSSPGRSQRGYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNDQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRVTNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGAILGPFFQNSYTEVKVGSCPECQSKGPFTVNVEQASYLNKTTLFHPSTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIVIVLHLSFVIQYTTIDIELTFSAYDFQEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERVC >Dexi8B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:8B:19359209:19360369:1 gene:Dexi8B01G0010790 transcript:Dexi8B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGCPTKCGNLTVPYPFGISANCSLPGFNLTCDSKSRLLLGDGVTGMQVTDIFLQNASLRVISHAIISEPDMQFLSINKTWGLGDVVGAGPISLSYNHNKFIALGCGLQAKLTGIDKNNFIVECSLTCWVGDPGNWLPACRPDLATTAECSGNSCCQAPINQYTPTFNAWITDLDHERFHAGLLTNFMVFIAEQGWIERVWCHLFGWNPKDSPIIPPPELLSNVPVMLEWAMNSTLLLYPMWTWAPDTEWTCPENGVESACTSNHSSCINMNSLYRVGYVCRCAIGYDGNPYLVDGCQDIDECAEPDHYPCYGECTNLLGTYQCQCPQGSQGNASVMHGCVGK >Dexi1A01G0031220.1:cds pep primary_assembly:Fonio_CM05836:1A:36285339:36286503:1 gene:Dexi1A01G0031220 transcript:Dexi1A01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEAISRKKGAAASAN >Dexi3A01G0024710.1:cds pep primary_assembly:Fonio_CM05836:3A:20330483:20331268:-1 gene:Dexi3A01G0024710 transcript:Dexi3A01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLAPSAPLGLGATAFLDRRRGVVAAVAPAACGVMARDPVRRVGGAVVSSAAPTKKFSAAPTKKRARDGFDGNIGERDLGLVAGKVSVSVISAQGQQRLQERIRAELDAIRVLHRKAVALCGGAAASAANDETRFSAAGSRSKAPMEEAAAKRRKTSPLKQSTQRSTKPLKQQRPVVQQHATPAPTKGSVAKPVDDKAREIRWRLDEIAQVRERCRQEVLEIERTALPDETIYPRDLEELGIAFQYAVTRTWKQAHGPAV >Dexi4B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:4B:22316749:22317027:-1 gene:Dexi4B01G0020080 transcript:Dexi4B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAILAAAPGNRPATSPSVAIHTPRRKTTNATSANPPPPASTTWFARKTVLAMTADPAAAMRTAPESPPAMRRWMAAGRFAIIMP >Dexi5A01G0023540.1:cds pep primary_assembly:Fonio_CM05836:5A:27510503:27515081:1 gene:Dexi5A01G0023540 transcript:Dexi5A01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGQATERDEHGRDDYEQKQARVLMALMQGIWAARYRKADNTPCPIEQGLYLGSVGAALNKEALKSLNISHILIVARSLNPAYPAEFNYKKIEVVVAYLMKKHRMSLESALSLVRSKRPQVAPNEGFISQLENFEKFLQGKDK >Dexi3A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:3A:13631922:13634787:-1 gene:Dexi3A01G0017890 transcript:Dexi3A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPGLYSEIGKKARGTPGLKAIVCIPFPYQKSAKAELQYLHHHAGVAASVGLTANPVVNLSGVFGTKTVAVGADASFDTSSGDLTKYNAGLSYSTHDFVAAATLNNKGDSLAASYYHLVNPTTAVGGELTHSFSSNENTLTFGTQHALDPLTTVKARFNNYGMASALIQHEWRPKSLVTISTEVDTKAIEKSSKVGLSLVLKP >Dexi2A01G0034610.1:cds pep primary_assembly:Fonio_CM05836:2A:44507823:44509506:-1 gene:Dexi2A01G0034610 transcript:Dexi2A01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGAGAGARRASASRPRRASAAAAAESNENDDLAAVPSSSSSSALAHPTASVPHFSLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRAACAAGTGARDRTSSVGAARRVFDLRDVAAPEVPLEVPHFELDEDPAFWKDRNVQVLIRIRPISDAENAAHGQKRCLLQDSSKTLSWTGPPETMFTFDHVACETISQENLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELTKLGNELSKDAGLTPRIFEYLFARINEVR >Dexi9B01G0037900.1:cds pep primary_assembly:Fonio_CM05836:9B:39177254:39179162:1 gene:Dexi9B01G0037900 transcript:Dexi9B01G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWVYLDFIFVQSRIVMPLSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQLTSKVYHLQRTNLAVFIICRQCPLFSKCSLTIDTVNRPDNGCSENAHNLNSEQLAAREVEIIDIASMTRDYWSKMISAPNIDLTTFKSQRTERGPLLKGWMDSCRPVMTTYKLVVMDAPIWGLGERLEDFIIAGERALFLACHRLCFAWIDEWYGMNVEQIREMERQTEMLLKTTLKKPGKAGSKHEGKRKTLKDEIAVVGSFT >Dexi5B01G0024860.1:cds pep primary_assembly:Fonio_CM05836:5B:26986154:26987041:-1 gene:Dexi5B01G0024860 transcript:Dexi5B01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQEQYYAGETKARAEEKTGHVLGVAQEKGREAKDKASGAADHAMGRSQDAMGATRDKAREAADRTMGMGRDAKETTRDKAYQAKDAASDAAGRAMDKGRGAAEATRDKAYQAKDKASDTASDGAQQTGSYLSQTAEVAKQKAAGAAQYAKETVVAGKDKTGAILQQAGETVMSTAVGAKDKVVSTAVGAKDAVVNSLGMAGENKDGTTNAGKDTSTYKPGRDY >Dexi9A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:9A:14763788:14769775:-1 gene:Dexi9A01G0019860 transcript:Dexi9A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGADGNGHLTRSRRPRRGGVGGSGMGFPQGKVDSGAQPHPAAPPCTDYDMAYFKAYSHLGVHEEMLKDHVRTSTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCANAGATRVYAVDASDIAIQVDLSQPIFSFSAEVVNAMEIVRENELSDKVVVLHARIEDVIIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSIYFWRDVYGIKMTSMMPLAKQCAFMEPSVETISGENVLTWPTVVALVDCYTIQAQELETITAAFKFTSMLQGNLCYTGDQWYVKESVMR >Dexi2B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:2B:7581110:7582007:-1 gene:Dexi2B01G0007230 transcript:Dexi2B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHEVLEPQAPIIITPVPMPVVVVAPQLCAPYAVALTVTKKVMSLHGNDFTITDPNDAVVLQVKGKGMMRHHRCVLLGANEQPILSFRGTMLTMHNKWEVFRGDSKSPSDLLFTARCPKLMQLLKTEMDIFLAGNNTDQQFCDFRLKGNYFDRNCAIYLGDSDIMIAQITRKYTAANVLLGRDTFNVTVFPNVDHVFVAALVVLLDEVHSKHRRHRQHFVAGLVTKGLLFL >Dexi8B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:8B:8156660:8157017:1 gene:Dexi8B01G0006970 transcript:Dexi8B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLNALPPFVIKMIKDMSEEELTMLLGVSVEIKKLGGKVESLEAYLADAERRRINEARVQRWVSKLKGPSVPLGAEERRNSKGGRCWESTVMEKAPGCLRPLLFLLRS >Dexi5B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:5B:1358387:1358821:1 gene:Dexi5B01G0002110 transcript:Dexi5B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAYAVCEYDGVGVAVELAALAAFVVLLRYAAVLYANHLLVTLSVDDDDELPAATRSGGACSGLDDGDIARLPCLVSRRAAECAVCLGPVEEGETARALPWCTHAFHARCVDAWLRLRPTCPMCRATCRGERVGAHGSGCPVL >Dexi2B01G0031650.1:cds pep primary_assembly:Fonio_CM05836:2B:39713057:39715278:1 gene:Dexi2B01G0031650 transcript:Dexi2B01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHAHTLQLASSSARIANPPAMLAYLLHAPAAAAVVAAAPTFRSLPPAKTPFLPSLPRPASPRRAAAFAFAPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHVGLAGSPRNPFLSHEIGEDGAGDSDLGFSKWAEKLRGGASGLRFQDALSGRYGGEKDAQDKRKLTSKWRPTAKGTLKRTYRVRSTDEGRRILKEIASVLSQDDHFVDASSHKGCQIRRESAHGESVCCFNVRALFDELPTPHLVLEITPFPAGHLTDNDYRKAERLEMVLRLSASI >Dexi8A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:8A:22584905:22586224:1 gene:Dexi8A01G0012830 transcript:Dexi8A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDSADHTVRPGGSVYKSFNKAVATASGVVLALGVHWVACKAGVLEPYILTGSLFLLGAAANFSRSLPAVKARFDYGVTNFVLTYSLLAMSGYHVDHLASLVQQRVSTIAIGILMCLAVSVLICPVWAVQELHLLTTRNMDKLAASLEACVEAYFFAVECTKSSDSDGYKCVLNSKASEDKQANMARWEPPHGRFGFRHPYGHYRKVGAAMRACAYCVEALIATYQLPELAPEHQQVKRLLRGACTRVGARCARVLREASRSVSDMAAFGRELDLAVADMNTAVHELQGEMRSMLPSVNLRAAEALLTMDTMPVFTTASLMVEIAARVEAVVDAVEMMAILTNFKQRAR >Dexi5A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:5A:2673282:2678211:1 gene:Dexi5A01G0003540 transcript:Dexi5A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAGTATASAASLWKRGGGSEGGSCDGCRTYRKSVRRRAAAARGGIGSGSGSGGGGLYSDLAHRDTCLSCFFHNLWTVIYMMELDTKLQTKKYADVYYFYNSGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQDLQIKKFMHNLRQYQLPLQRYMAMMDLQERNERLFYKLLIDNVVELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGKVLEVLRNWPHRNIQCLPITIDVGTNNEKLLNDEFYIGLRQKRATGEEYDELIEEFMTAVKQLYGEKVLIQFEDFANHNAFDLLTKYSKSHLVFNDDIQAGTGIAELIALQMSKQTKAPIEECRKKVWLVDSKGLIVSSRKDSLQSFKKPWAHEHEPVKTLFDAVQSIKPTVLIGTSGVGRAFTQEIVEAMASFNERPVIFSLSNPTSCSECTAEQAYTWTQGRVVFASGSPFDPVEYDGKTFVPGQANNAYIFPGLGLGLVMSGAVRVHEDMLLAASEALADQANEENFSKGSIFPPFTTVRKISARIAAAVAAKAYELGLATRLPPPRDLVEYAESGMYTPVYRNYR >Dexi5A01G0039790.1:cds pep primary_assembly:Fonio_CM05836:5A:40214850:40215536:1 gene:Dexi5A01G0039790 transcript:Dexi5A01G0039790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRRGGFGVLCLLCAGVMACALPQRAGARADDAKWHASSPGWRHSNGDPAPHHAGGPLSAPPPTAGTDLPPSLPPTQTAPHFGFPLQPTLGSAAPPTAAAGEGYPFIGSNPTVPLPTGMTDTATVLPLPDTGGATGDKVKGQAASSVRAHVSMIGLGVIFAIVFLT >DexiUA01G0001560.1:cds pep primary_assembly:Fonio_CM05836:UA:4186744:4188780:1 gene:DexiUA01G0001560 transcript:DexiUA01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPGTEPPRKRVATEAAAFSAARGWPKRFGDRYYSPPPRPRSRPPSPRHRAAEGRRGRGDAEEATCDGTLGALRRGGGGDGAGKAEEGGDGSPGGRGRGGGGGAAKSGVEARAGAGAAGGNAASDGDSAAGAAVAAPRERGGSVGGEVGSKRPFATIGSRSRVYPPPERKEVSATRSFPLGRGRSTTAPLAGADDGLGLVPAPARPDGASAELRKSATRPLSIEKAGNLRVPKKVSAPAAGALKITEAGSGGVLKEASVHAGSGGAPKKASAHAVSGGLRKKVSAHAAVARRSSDPSRWSAVAAADGFLDTGSKGVAEGGRFGRSKELVPARPLLPKPRNIPTIRRFQLEHRRVRMSQSLKSANKRTLTESKGSATARGFSVPKKKMIVNHPDYLRMKVASACTMGTINKPDDVLESILEDDDFWKELAAYEESDHVSSDVPSVRCQRQCGTQNTDARSKVEMMCKRFQFVLFAIVKVVKRCSLKTSRIDLAAHHVIKNLPGYTKPEPIVGNVPGVEVGDQFLYKVELALVGLHRQLRKGIDTIRGGNGELFAISIVASGGYPDTLSSSGELVYIGSGGKLAGKKSDENQKLKGGNLALKNCMQTQSPVRVIFGFKTREARSKGASAFIYDGLYRVVKCWIDGEQGSKMFKYKLQRIPGQPELPHSKTGIMRWGQ >Dexi1A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:1A:2127170:2137280:1 gene:Dexi1A01G0002940 transcript:Dexi1A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKISNEQPLENGQEEAELDGTSAHQSNGIHKEKVTDSLRHGESITEASSLLKHEKDEEAQGHGQKDLEGVTVDDDSVQENTLKTESAIEQTDDGQQDQNQEPEKANDDTQPAGIANNVDVEVVTEAPTGPQTPVEPHLDDSGEVPGSIDEKPEPAEPTKADCVVHLDHNASLPEDTSVSEPTEEVVKVEDQQSQQDDMMDADVVQEEMLTCEETDVQEEVPKAEHADELATNAHEALNQESIEETDGPMDEKIENTVHQSNMEVPEETATREPPVNIEVQSQESLEEIEDAEVVNPEAAIQQRSIAFEEATPEDQHNLRITVDGATVQEDALESIEKTENVQQDQDVGLEKATENTQPASMANIPDVEVIADAPPAPVDISLDNSDGNTETDESAKADGVSHPDHKETFSEDTSMAEPTEEGVGVEDQQNQQADAMDEDVVKKEVLKSEHTDEPATDAHEVLNQESAKETDGSVDEKIEDTVHQSNMAAPEETTREPPVNIQEQNQESLEQIEDAEAVNPEAAIQQRSIAFEEATPEDQHNLGVTVDGGSVQEDTLEAIEQTEDVQQDQDVGLEKAMENTQPGSMTTIPDEEVHQMESEEINGPGDAKDEEITNNSSTENAVQDRIMQSGPTTDLQPVQELDQSESKSTVTYEMDEASDQTHAVVFNGLAQQDVTETSEPQVTETDELKNIEATEAQEFTAQGYVPPSKELSAEDDSTSDEPHNNDFPQTIEKDAVEVKETLCTTEEDTVEDNVTAEEPTSDSQEVDNAESTEETKESIAESIVEAFDVVMVDNAVEEQNVLPTEDVVKTHMPESEPEETKNTEPVETEEPSNQTNAVLFNDSADGDNTLESEIQQAESATETTETETVPQESSACVSEEPDHLTESETNCDNQEVSITESLEAIDGDKDIITGEISGQNNMVSARESAQENNVTESEPTADIQPLQELEPAAIKNTGLMEVKETSDETNTTVYQTPTEEDNPAIIELHESSRELTNSEAVEAGTPHQSSDVQSEEQVIEEMESEPQVLEPESVKEINDTETTVPQNISQDNIISTSGEAVPEEIATEDNSTIEPEVDHKELQDQESANFKEIEDDKPQEIDSLSTLSTSEQSTSKDNAATTEQNIQSENSEPAEGTEGTEDVKSDTALAEVAAPEENIETDATADTSPVQKPEPQETKDAEPAGREDVVTSDDLPAEKMDMETMETEVVPRELTEDGTEPVLELEPVEDTQGTNTTEHPGEPHGSPSDESTPTEEKLAVIEPAFDTQQVQNLTSQEIKDSEDAKTDQFSDLSSFPTPEEADQESKVQELGSTEKTRDIESMGTEYHQEHGVPNLEDHSEPNVDDEHVHEDKVPEVKDNEAMEAKEVVQESNIDIPDDAAEETSELRSDPDSYVQPAQQVELCKDSENRQLVKAQETSGQINTVTPEETPTEDTVVSEIDPPVDIKQDHEQEPVEEIKGNDENEAEEEFHASQIEVIEKPASEDNMASIQTTYDIKQENELEAAKEINSIEAINDGEQTENATSEDPSPTENETAQEGHPAELNEQTMGVDTDNAMLVTAIKDEIQTSLDLQDDACDFGETVLTTQESENVTANDAVQNPGDDILETSNNTDQVKEEQKDGYEHNSSKMSGTQNEDNIVNVQDRDISGVLLTKIGTEDASQALFESDPQDAQDITEKDNTIEGGEQTSEADALSIEKQDEVVQKVDWDQQQKEDEKIENQKEELQKDEQKHEDKGDDFTTESLVEYEGIENDPTYRTEDSNACEAEQTETVSKHEEVPQASEESVPISTDMKADNVKRIDEGTEDSAEAKNDNKEVQESTENDDIVAKDSTDKHDETTGETTNEELEPDLASSGQEASDPGPSNEDILQSDPASITQTVESGEHREDKECTDKVNDDVHAIQASEEEIADEIKENKEIQNEDAIHYDESQTKPEEEEEAQLHSNEPCSVDAKTDDTTILGEEIVPGNASINPREIEEIGESKGLNSPSEPLVESSIQNNVEQDSHHKVEGETISVLEQNAVNIESMQEKADASSSEMNQTKQCQEKINIDDVPQFKVEENSFGKIDETISYVKTEKNTTDAVTINDNITDKGNGADGGPSDRSLQTSKNSERDLDVASVITASKEESVNENMEDHKLALPAQGENTLEQAPCLEKTEREMPSSEKLLPTEPEENQIPNESKEEESQDENQMPNEKNEEEIQDKEIGDAQKEIEQDLPVSHFLMNLILGKKNSDANENSESEAERKQEEITEDESFVIISKQEESLGSVPTENKVDDNLTFEPENHDVKCTEETQEIVKEESDNVKLDTERSIEIDEEFNKKTHDLEIAPYHGNPQDSISGEPLSEEAASVSTKMETRDIEIMNLGLDDRVVDTVCQESTEASTEVENGGLKSSINDLTNTKASGEDTQGEGQIGILHDSLPGDKSADAESDQTPLSTESGTTGAKHLSCDAENIQNLACAKEDEPNESSVVDATSAPDSQMVCEEVEKKEEQKHASTVTGQVSEEGSYDNIQKSKSTEVKTDEQAPRMTEPVSNTEKILAHEEENSEACMEDRENSNISIEGVENFQTAVEIQADGPNMMISQDKKDEIADNKTAMEPETDTEQKSPEVTDGDQQLLVKRETMIKEQAVPGTVENQEQTMSIKSNEEQELFDSKVQERDLNMISPREASEAEESFVDVKPEFSTDEEQSPKADAEEIAYDEKTKEVEETKNYTDGAVMKTEAPGATKKAYKKLNLLSGVGSKVKHQLAKVKKAIVGKPGNTKPDSPKS >Dexi6A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:6A:28080378:28082563:1 gene:Dexi6A01G0020630 transcript:Dexi6A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKGIAGAGADKPSPDHDQNASPNVPAAAATAATAAAAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTAHHGGGEYESSDPGSYDYDEGEEGSDYEDELGARGALDGDEEVADAADEDDDPAMYEDDEAFARALQDAEERDVTGRLMALAGIGDWRAMEQDDDEEVEEEEDDGDDPQDAWEDVDPDEYSYEELIALGEVVGTESKGLTADTIASLPSVTYKAQDNQEGNMEQCVICRVEFDEGESLVCPMCSAEVSTSEKKQE >Dexi4B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:4B:13770619:13776208:1 gene:Dexi4B01G0013760 transcript:Dexi4B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSKRGYHYGQDSPPPRSKQRFDRRSGGGQNPNSGYHRRGPPSGGGGSDRRGFLPPDAAPPPPPPPPPPPSAAAGAPGAASTTTSFRILCPECKAYGFPPGFIGKVRDDSGALVTVHPPFAGDYVRIIETVDGARREADGRPPMYSPAQEALLMVHRRILETEAEDGDEDGEYGPRGKDPRDRGKTTTRLIVPKLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQHTPRCVSLSEEVVQVVGDGNCVRKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPVYEETYGRSDQIRNNIIMEAPGYEFDSNGGKVIEHPDILYGDIVFRILCPNDKASILLSTRDGIIEMLQADVGVDVRLTDIIAGSDERVVIITSREDSGGSASGSFEQGSNINDDIRQNATKRFAVPLVTRSTLEVVIPNSAVASLTMRAGSKLAQISEMSGATVTLADDRPDVFEKVVQISGIPEQTEKAKSLLQGFILSIQDDS >Dexi3B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:3B:3200022:3201346:-1 gene:Dexi3B01G0004740 transcript:Dexi3B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNSASHMDPSPPPLPLQPPPHPPRNPRGGGEMPYKVADCSLRALAGHAEGFGRHAIGGLHGPIYHVTSLQDDGPGSLREACRAAEPLWIVSGTIHLQSYLRVASHKTIDGRGQRVVLAGKGLQLKSCHHVIVCNLIFEGGRGHDVDGIQIKPGSTNIWIDRCTLADYDDGLIDITRQSTDITVSRCHFARHDKTMLIGADPTHVDDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTHSWGIYAVCAGVEAQIVSQCNIYEAGGGPPKKTTVFKYMPEKAGDREDVVAGSIRSEADAFLNGALPCLTMDGHGAQEAVFRPEEYYQHWTMEPASPVLKDIIKLCAGWQEVPRPPDDC >Dexi5B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:5B:9859068:9859669:-1 gene:Dexi5B01G0013680 transcript:Dexi5B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPLRFLLLIITVPLFLVLLLLHRSTLPTATLLRATGPDPRRFSLLIKLLAYDRPASLRRCLRSLAAADYDGDRVALHVLLDHRPPNSSLSSLAASHEMLNFVDAFPWPHGEKRVHYRAANAGLQAQWIEAWWPGPDDEFAFVVEDDLQVSPLYYKFLKRLVMKYYYDRENYSPYVFGASLQRPRFVPGLT >Dexi9B01G0033170.1:cds pep primary_assembly:Fonio_CM05836:9B:35356576:35356985:-1 gene:Dexi9B01G0033170 transcript:Dexi9B01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRNLSVVHLLVVLLLINMAAGESASVGGFGCNNHLSGSFKGVCLGLIHDAACYHACIDESSDNIYGECDLLQCWCQTRCPFESVATASAPIPA >Dexi7B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:7B:23773584:23774792:-1 gene:Dexi7B01G0017850 transcript:Dexi7B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGGAEFDGAENQRWPPWLKPLLSTSFFGQCKVHADAHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCDVCERSLLDTFRFCSLGCKIVGTSGEFRIRKKHAAIKKKKKLPHHKGSTAAGVDSEDDSSTSTSGGSDKSSVVQSFTPSTPPATANSFRTGKRRKGVPHRSPFGSLMVEF >Dexi1B01G0021890.1:cds pep primary_assembly:Fonio_CM05836:1B:27642011:27642706:-1 gene:Dexi1B01G0021890 transcript:Dexi1B01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWQAAASSRVKIKDGAIDFDFGGIGGEITNPLPHGREAGQRPGRVGVEIELDRARRHSADASSEGASARAPATRQACVPRPRQLHVRRIGSRMRTALRPPKQPRACFVWLGSTAGRTRAKEDDVEAEFASRATPRRDRERHLHLRNRADARVPGLIFACQVLRHGEERTNKWVRVATAGTRPIGNVAYYTTQRRVMSSPRKQAQVARPSTGGLALAGRILWPQLAPNLV >Dexi8A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:8A:20747252:20748683:-1 gene:Dexi8A01G0011740 transcript:Dexi8A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAARRWPPLLRRLSSDAAGSKAPRQRVAALWGNGDYGRLGLGALESRWSPTACPFFLDRAADPPASLSCGGAHTLFLTQSGRVFATGLNDFGQLGIGSSVTHALVCSHPSFSHEPVEVSGFHERVVEVSAGNHHSCAITGKRK >Dexi2A01G0023710.1:cds pep primary_assembly:Fonio_CM05836:2A:35375912:35377628:-1 gene:Dexi2A01G0023710 transcript:Dexi2A01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKINAIVIPRAVTILTEQVERWSTRDNNSQRPKPPRAEAARIVRLGATPRTQKESPPGSRKGQKGKGNQRQNGGGNKKKQNQAVPPSRRRQRGIFPRLEMVVRLTRVARERRRDPPRIPIRIKPPAGVEESLETTDVAFASQLVPRRRQQEGVRRSETAAACGLDTAKETACAMPHCNTTVKQRADSSLFPLPRPAAPPMASPRVNTPSQGGHTYIYHTHKTTRSPPNSGQGLKLNTSKQKHKQLTPLDSPSKPQAAT >Dexi3B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:3B:10021631:10023375:1 gene:Dexi3B01G0013980 transcript:Dexi3B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKRLSAFLATVVVVAALASGAGATEVKLAEIERTHTLRRQLLSSRSVPCDSWRFAVETNTLREWETIPAQCEGYVGNYMMGSHYRSDSRVVVDEAIAYAEGLELSGQQRKGGVGPYNWTKFAEYLAEARSPALPDTLRLYQRLQALGIKPVILTGRHDDSQEATAKNLASAGYTGYEKLVHRPQNAKVNPRVFKSGERRKLEDAGYVIVGNIGDQWSDLLGEPEGARTFKLPSPMYCSA >Dexi5A01G0028340.1:cds pep primary_assembly:Fonio_CM05836:5A:31644702:31646582:-1 gene:Dexi5A01G0028340 transcript:Dexi5A01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSNVALLTTLRVLTFCALAAAATTTLLPLAVSPCSHSLSRTILAATGLDPFLLSCTGDASDAPPLSSHGDVDGANHKTSKASRPIVTDQLCVKGEVPPDALPPFQCCPPASASEPINFTFPDPGEPLRTRRLVHVVGDEYMAKYARAVALMKSLPVSDPRSFYQQANVHCAYCTGAHRQAGRPDLGVQIHFSWLFFPFHRAYLYFFERIAAKLLGDPGFALPIWSWDVPEGMGIPEAFADVASPLYDPIREPSHAPPKVADLDFFLQGEKNLTDQQLILHNLRVMYRQMVSGATLPSLFLGQPYRAGDPEMPGPGTVEWAPHNTMHTWTGDNSRPNAENMGAYYSAGRDPLFYPHHGNIDRLWETWRNDIVGPDGSRRRHADFTDPDWLDSSFLLYDEEARLVRITVRDVLDADKLRYTYGDVAVPWLAARPPITPGVNPKPKGGLRLESVRFPVSLDAAVTVEVRRPPRAQQLRRSLEEKAREEVLVIQGIEADAGDFVRSDVYVNAREYYKVPVGGREMAGTFATLKHPGKEGMVVRTSMRVALSEVLEDLGAQGDESVTVTLVPVRGKVRIGGLKIVYMEE >DexiUA01G0012180.1:cds pep primary_assembly:Fonio_CM05836:UA:24447833:24448006:1 gene:DexiUA01G0012180 transcript:DexiUA01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCSKLLRRSTHIDGGSRTTTTTSRRPDWASLDKDLVDLNGWRVLPTSLQDYNRSV >Dexi9B01G0023580.1:cds pep primary_assembly:Fonio_CM05836:9B:18935030:18938198:1 gene:Dexi9B01G0023580 transcript:Dexi9B01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKSSSSSPWSSLFGLGCFSSSHAGHSGDSGSGSAKVASSSSSRPPAPAPLPLPSPEDLSLSLVGSDVLAFTVEELRVATRDFSMSNFVGEGGFGPVYKGRVDERVRPGLPQPQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHPHLVKLIGYCYQDQHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKISIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKDGPGEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKAVDKNRPPREQNLVEWARPCLNDSRRLDRVMDRRLNGQYPTRAAQKAAAIAHKCLNVSPKSRPQMSAVVEALESLLALDDATIEPFVYTAPPENR >Dexi4B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:4B:10052179:10056137:-1 gene:Dexi4B01G0012280 transcript:Dexi4B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLVLACSLLLTSAAPARDITSICASQISDFPQLNSSGLHLTLHHPQSPCSPAPLPTDLPFSTVLTHDDARVAHLAARLATTSNTPPRRPTSLRKKKASTAVDDSLATVPLSPGTSIGVGNYVTQLGLGTPATSYAMVVDTGSSLTWLQCSPCVVSCHRQAGPLYDPRASSTYAAVPCSASQCDELQDATLNPSACSVSNVCVYQASYGDSSFSVGYLSKDTVSFGSGRFPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFSYCLPTSTSTGYLSIGSYNPGQYSYTPMVSSSLDGTLYFVSLSGMSVAGRPLAVSPSEYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMGGAKRAPAYSILDTCFEGQASQLHVPAVTMAFAGGAALKLATRNVLIDVDDSTTCLAFAPTDSTAIIGNTQQQTFSVVYDVGQSRIGFAAGGCS >Dexi8A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:8A:9082824:9087863:1 gene:Dexi8A01G0007900 transcript:Dexi8A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNEWINGYLEAILDVGVKPRGRAEPLALTPRLLQAPALADAAAYSPTKYFVEEVVSRFDDRDLHRTWTKVVAMRSSHERNNRLENLCWRIWHVARKKKQMEMEYSRQLARRRLEQEVGSREAAEELSEGEKDRPDGAAPPSSADGDQHQQSRFARINSEVKIVSDDEDEVPKDERNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVHRVDLLTRQISCPDVDWTYGEPVEMITRPSDADDAVDDAGAYIVRLPCGPRDKYLHKESLWPHIPEFVDRALAHVTNVARALGDQLAATTGGDATSTAPPVWPYVIHGHYADAAEVAAHMASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYRIARRIEAEEMGLDAAEVVVTSTKQEIEEQWGLYDGFDVMVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDAGDLADGDGDLQALMSPGKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDIIKALHNGLLVDPHDAAAITDALLSLVADKSRWLECRRDGLRNIHRFSWPHHCRLYLSHLAANCDHPAPHQLLRVPTASSGAAAATTGDSLSDSLRGLSISIDASHDLKAGDSAAAIMDALRRRRATDRPAANNAAAAAKAIGFEPGRRQSLVILAVDCYSGDGSPDVERMKKAVDMAMSVAGGRVGCVVSTGMTIAEAADALRACGADPAAFDAMVCSSGAELCYPWKDKELAADEEYAGHVAFRWPGDHVKAAVPRLGKADDDGAKEADLAVDEAASSVHCHAYTVASVSKVKKVDSIRQSLRMRGFRCNLVYTRACTRLNVIPLSASRPRALRYLSIQWGIDLSKVAVLVGDKGDTDRERLLPGLHRTLVLQGLVSHGSEELLRDEDGFLTEDVVAMDSLNIVTVADDQAAADILKAI >Dexi6B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:6B:20029952:20035132:1 gene:Dexi6B01G0012420 transcript:Dexi6B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIKTLKGSSFEIEVDPSSKVADVKKLIETTQGENVYPADQQMLIHQGNVLKNETTLEENKVLENNFIVIMLSKKGSSSAASATAKAPTSQISVDRAIPATPATQPPPAAPAPVVPVSAPAPTATAASPAPTAAAITEADPYDQAASNLVAGGTLEATVQSILEMGGGAWDHDTVVRALRAAYNNPERAVEYLYSGVPEQEEVPVAASAPPISQQPADPVQAPHSAQPAIPSSGPNANPLDLFPQALPNASSNAAGGNLDVLRNNTQFRGLLSLVQANPQILQPLLLELGKQNPQIMQLIQENQAEFLRLINEPAEGAEGSLLGQFAGAGMPQTIAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDETMAANYLLDHMNEFDDEAPQ >Dexi5B01G0031460.1:cds pep primary_assembly:Fonio_CM05836:5B:32191807:32193418:1 gene:Dexi5B01G0031460 transcript:Dexi5B01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGDSGGAARRRDDLVCVTGGSGFIGSWLVRILLDRGYTVNATVKNLEDEGETKHLQALDGGDTRLRLFQMDLLDPASVRPAIEGNDLLEPAVKGTLNVLRAAKDCGVGRVVLMSSQAAMVPNPNWPLDKVIDEDCWADLEILKKVQYWYCVSKTLAEKAAWDFAAKEGLQMAVLNPGMVLGPMLTPSVNASLELLLQLLGANCPGERLNLDNIYVGCVDVRDVAQSLVVLYENPSAQGRHLCLESVERLVDFTNNIADLYPEYPVQRVTEDKQDWVVRAKDPSKKLIDLGVRFTPFDKTIRDTVDCLRSKGLI >Dexi2A01G0027530.1:cds pep primary_assembly:Fonio_CM05836:2A:38923910:38925160:1 gene:Dexi2A01G0027530 transcript:Dexi2A01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVDKKNDSEIVTDDMYPFLRRYKDGRIQRLVPNTFVPPSEEPGACGGVATRDVVIDHATGVSARLFLSASAAAATERRLPLVVYFHGSAFIAGSAFSEASHRQATTISARAGALVVSVDYRLAPEHPIPAAYDDGWAALRWATSSSSQSHPWLASYADRRRTFLVGDSAGANIVHNLAVRVTAQQDCEDMDMIGIEGIPFFWGPERLPCERPGRHEGRRVFAPERMDKLWPFVTGGAVEGNEDPRLNPPAEEVASLRCRRALVAVASRDVLRGRGRRYAAWLCGGGAWCREVALVVSEGEDHAFHLGRTAARASAVVLMDRVVRFLHGSDTLVSTDDTQTKLLHKDPTMQQGTNKICRDSSVVDETANPPGEKFRPEPGRAGSSSTNSLACTAPSAPAAVERTVAKSCL >Dexi5B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:5B:7173457:7176515:1 gene:Dexi5B01G0010240 transcript:Dexi5B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQCFKQSSGGGGNGCGPGKLLERRLSLGEYKKAVSWSKYLIAPPGARIRGGGEELWSADLSKLEIRGKFASGRHSRVYSGRYAGREVAIKMVSQPEEDAALATELERQFASEVALLLRLHHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQREPHSVPIELVLKLSLDIARGMSYLHSQGILHRDLKSENILLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVMWEILTALVPFSDMTPEQAAVAVALKNARPPLPASCPVAISHLIMQCWATNPDKRPQFDDIVAVLESYKEALDDDPSFFLSYIPPPPHHNHHHHHHHHHHHPSLLRCFPRSMRRSASLNV >Dexi4A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:4A:2594812:2595036:-1 gene:Dexi4A01G0003640 transcript:Dexi4A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARAHRREHGGAASFRFSKGSDSTTSMGCGHNLVAAKNHDPSPLGLGTTVKAVPQPRHDEPGLAEP >Dexi4B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:4B:21557096:21557341:1 gene:Dexi4B01G0019280 transcript:Dexi4B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELKAYTYPCPCGDLFQITLEDLRLGEEIARCPSCSLFLTVVYNAEDFAEAKEPPQKPGPTPVAVA >Dexi9B01G0022370.1:cds pep primary_assembly:Fonio_CM05836:9B:17040357:17040979:-1 gene:Dexi9B01G0022370 transcript:Dexi9B01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFSADAAELLGFVGSFPLAVLDVHYYNLFSSKFNGLTVDQNIDFVRKNRSSELAAITRQNGRPLTFVGEWTAEWNVKGAAKVDYQRFAKAQLDVYGQATFGWAYWMLKNVNNHWSMEWMIQNGYIKLNCSCSQSQNAMISLDPNCHGRMEASDNAEGEYYSSD >Dexi1A01G0026170.1:cds pep primary_assembly:Fonio_CM05836:1A:32181909:32182693:1 gene:Dexi1A01G0026170 transcript:Dexi1A01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQKNNNPSSRKLPVAVATPILVLLALAIVSLYDFNFANDYLQYMRRASSSPSSSPPNATSSSPSTAPDTSSPSPAINSSSSVSSPATTVEVEACDLTRGQWVPDDGTPYYTNLTCPFIDDLQNCMKFSKPSLEFMRWRWQPDGCDLPRFDAARFLDAMRGKSMAFVGDSLARNHIKSLLCVLSQVAQPVEVVTTAEIDVTGRAVRRDFHYGSHGFNVSLFW >Dexi8B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:8B:2713562:2714467:-1 gene:Dexi8B01G0003560 transcript:Dexi8B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRRAPAPPRPSTGVLPPPSLLRPPRRRVDDVFVRLVWAENLMSELTTIRALLPRYPYITVHAEHGCAVEDEDDGRVAAMPPGVRLDDLPAAARYALAKIDVDAFPVLQLGLTICDAHGRLPVLRAPGGAAAASVWQVALFPSRGGGGTAVTMRTLAYALFATGVVSTATWGKVTWVAYGGLYHLGFLLKVLIGGAPLPDTWGDFMEALRVYLAGKVFDVRYVAARLPAEVSLKGPLAYLPSLLGAPAAAAREPWQAGEKSLAACQVFMRIKGLYFAWDGIDMHAGCIHGLHTPPTESR >Dexi2B01G0022880.1:cds pep primary_assembly:Fonio_CM05836:2B:32503504:32506215:1 gene:Dexi2B01G0022880 transcript:Dexi2B01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSLRRQRHGAHLPLLLLLVAAAAAAGKGNKGEHARAAGATGLRFRRESGTFKVVQVADMHYADGRTTDCEDVLPSQVPGCSDLNTTAFLYRVFRAEDPDLVIFTGDNIYGADSTDAAKSMDAAIAPAIDMGLPWAAVIGNHDQEGTLSREGVMRHLVGMKNSLSGLNPEGVEIDGYGNYNLEVSGVEGTSLGEKSVLNLYFLDSGDYSTVPSIPGYGWIKASQQVWFQQTSSSLQKKYMNENPKQKEPAPGLVFFHIPLPEFSSFTAANFTGVKQEGISSASINSGFFASMVEAGDVRAAFIGHDHINDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDNGGWQGVKSIKTWKRLDDPHLSTIDSEVLWNRGSNGKKETMGAFDE >Dexi9A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:9A:2754768:2755073:1 gene:Dexi9A01G0005030 transcript:Dexi9A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSAPMGSRYGRVCEELLEMGARVAVRSYGHCPQTGRMYYKPPSATPAAAEGSQNGEEASSGGAGASAAMTARRQQQAAAEDKVEFHCSEFILYGVGQD >Dexi3A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:3A:6955037:6956380:-1 gene:Dexi3A01G0009900 transcript:Dexi3A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALQRALPPLSSPAAALLRRAPHPLSPPPAPLRILDRIGLRPFAAAAATASQAPPMGASLFGGLMDTRFPKRRPGFANRRKRASLRPKGPYYWVKCNPGEPIPTSQPNKGSVQGRKEKKRIKQRKDFIMAEKKKRRAQYSAAVKRKEAERTERKMAAVARERAWAERLIELKQLEEEKKASMA >Dexi1A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:1A:25264847:25267701:1 gene:Dexi1A01G0017980 transcript:Dexi1A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSSMSGAGEAGMRTVVWFRRDLRVEDNPALAAAARTAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGRLVTRRSADAVVALLDLVRSTGATHLFFNHLYDPLSLVRDHRVKELLAAEGITVQSFNADLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSMCPSDELIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLIDYFVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCTLFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPRPIVGLDEANSRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIDFPRELQMEVDRQPIRPANQAPMTAGRRREDQMVPSMTSSFRRAETELTADFGNISEDSRPEVPSNMHLQPRTEREETVDGGTGNAARMNGNQQQHILQNNMYRVLGVAPSVSEASSSWTGREGGVVPVWSPPAASGHSDPYATDEVDITSRSYLDRHPQPHTMMNWSQLSQSL >Dexi7A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:7A:2069947:2070538:1 gene:Dexi7A01G0000760 transcript:Dexi7A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCFTEVEGTAECTSLWEYRYEEYLGKALLYGAEEFEETARDHSVVFMEACTIYRIVYESARSTRSISKCRFVWVVAGAALCHLHARMYAMQRGEKAMLCPISVGLVEV >Dexi5A01G0037140.1:cds pep primary_assembly:Fonio_CM05836:5A:38490754:38494185:1 gene:Dexi5A01G0037140 transcript:Dexi5A01G0037140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDTKMLPEWDLGTVVGPSSGVVTAAGAVGGGGALDLKLGGPTSWRAVSAAPPAPPTTTMAPLPSAPAPARPSSSSAPAKRLRPGQAPPVVPACSVDGCAADLSKGRDYHRRHKVCEAHSKTPVVTIAGHEQRFCQQCSRFHSLGEFDETKRSCRKRLDGHNRRRRKPQPDPLNPGGLFANHHGVTRFTSYPQLFASSMAEPKWPVVKTEADVFQDQYYPSVHLNGASSLFHGKDRKHFPFLTNHHHHAGDSAAAFGSQPFTITTASSESSSKQSNGNCALSLLSDNPTPAQTTTMIPTAQPLGAAALQYGGAGDVSLTGMSYARVGDSKQASTLTTTTSHSAVVSLGPATSLQYHGYYHVMGGDQGNNPDGAAIQALPFSSW >Dexi7B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:7B:23786770:23787138:-1 gene:Dexi7B01G0017860 transcript:Dexi7B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVCIQMPAAAAPTEMADAARDDRKLVGALVGGGAGKAAAALVLALYRSPGGVFLRGGRALLYAYYGVLVAVALLGGLEVAVGCWASGDLDRRRRWGRMALWVSVIPLVFVAGLGGFAVLK >Dexi4A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:4A:1971242:1971931:-1 gene:Dexi4A01G0002840 transcript:Dexi4A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPEHLKNTSFQGAGRPHPAFFRP >Dexi6B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:6B:3220153:3221418:1 gene:Dexi6B01G0003950 transcript:Dexi6B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIWGAKRARSCTTRVDLLCDDETMIPIWRSKQQSNPFNLTEFRRDDDWANIGEGPAGLIAEHLLAAGDVADYISFRAVCHQWRICSTDPRAHGILDTRFLPHQWIMLRKEEEAEASPHRRRFLNTFTGCTRSLDLPELDGHDVFGPTTEGLLVLLDTDTCVLRLLNPLTRQMSDLPPTSSLITCEDLERFSSMKDLFQVCGAGLADNFTIAVHFRNIKTIAIAKPGDAQWTVVDRGSWFLPAMSFEGRFYCATTRDVMVVETSSADHQPPRLVTVAKLTKPFSRMMEDTVHLVDIDGKLILVDRKCNGNDHRKFEVYQVDLVAREMVPVRGLGGRAVFIGKQLALSVSPSVFPSVCADAVYLGFDDMMTGRFDRSPVHLMDGTVEPRLYHQYSIDGMPVYEPLGVDEHLSWCVTGYRVN >DexiUA01G0012230.1:cds pep primary_assembly:Fonio_CM05836:UA:24558056:24558365:1 gene:DexiUA01G0012230 transcript:DexiUA01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRYTAQHECMNA >Dexi7A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:7A:22122721:22124631:1 gene:Dexi7A01G0011690 transcript:Dexi7A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGRRAATAAALGRWCLVILAVASALGVSGPAFYWRYKKGFSASPSSPAAVSSSPTCPPCSCDCPAPLSLKTIAPGLANFSITDCGKNDPVLAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEAREQSEAAISKEKKLTALWEQRARQLGWQESRATSM >Dexi5B01G0039570.1:cds pep primary_assembly:Fonio_CM05836:5B:38347642:38353494:-1 gene:Dexi5B01G0039570 transcript:Dexi5B01G0039570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRWTEEVDDLVDAGDVDGSIDLLESVVSNLSTSAAAPPAAELRLAMALGDLAGLHASRGDTLRADELRARAISLRSRAAAPGPLGGQEPPEKCSPQQGGMGSKDSEVSANTEQNNEDEEDDWEAIADSGALDDTLVRSLEQEARLPSCSSSEKSSTASSGPKRRGRGSFLYDKSVMYSDQCGSERDLDDKESSPQSGSKGDVNEQENSAVTAAAQFGTRHVLVLYDFSPSTRTTDLERIFEKFGDHHGVAIRWVNDTSALAVFRTPSAASEAQSCIPPRYKVRSLKENDDLLTKIDGRDLEPPKPRPKTSARTAQRLIAHGMGLKQFTNFGSDELKKQEEERKSRIAARQAMRDEAWGSD >Dexi8B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:8B:21324380:21332650:1 gene:Dexi8B01G0012060 transcript:Dexi8B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLCRLMVTCNNEITATVVVTEEWLGLLGSPDLGPRIRFETIPNVIPSEHGRAGDMGSFLDAVYTKMTDPFERLLDRLPPPAPTAIVADLMLRWAVEVSERRGVPVCVLCPLNATTFALRYNFHRLPSVVARANGGTDPSLLIENYIPGLKSIRLSDLGDRLTNEKRLTRILDTFASLRRRAHCVIFSSFHELEGDAIDLLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPITSDQPVNSRLVVEVWKIGYGLKEKANADGLIGREEITTAVARLMSLDTAEAVEMRRRAKLLKDAARATVVEGGSSWSDLTSFISFISTRSLCVRSS >Dexi4A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:4A:11810324:11810758:1 gene:Dexi4A01G0012910 transcript:Dexi4A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKCCARIFLLSSSLRAEHPGHRHWRPVAKDGSSAGHCGRRRDALPSTPGTWRPVAKNGFFAMAGTPPGAALNSRHRSRAGPGRDASAFAAGADGWAMVRSNRGRNVASSPREELAALASKGRDRCFREAGLSPTGSRVQRTV >Dexi9B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:9B:753403:753774:-1 gene:Dexi9B01G0001290 transcript:Dexi9B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRELLLLLLAAALVAALAAVASAEDAKPTILTPVAQTPLGSFDGDTPASDDDAVDDDDDAAPVGAPTGATMTEAKPEIPSPPGTEATAGGAEAASAATQLGAVAARVGAVAAVAAGVFAF >Dexi3B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:3B:4442880:4443944:1 gene:Dexi3B01G0006410 transcript:Dexi3B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEITTTLHLTPAHAPSIPDSFILPASHLRPSTTASSAAISLPVIDMSLPRDDLRAAILDAGKEHGFFQVTNHGVPDHVLRAMDAVCRAFFAMPAADKAEFYSTDKAKPNRLFSGTNYETFGERYWRDCLRLVFPLPSGDTSGWPHKPHDLREIAGSYTSLVRGLAMEILRLLAEGLGLRPDYFAGDISGGRVSLDINSYPPCPDPTRTLGLPPHCDRDLITVLLPGDVPGLEVAYNGDWIRVQPVPNSFVVNFGLQLEVVTNGVLKSVEHRAVTNSAVPRMSVATFIVPADECVVGPDERFVSEENPARYRTMSVGEFKRMHNVVNLGSSLNQITNVKKSSQQEVHHKEESV >Dexi4A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:4A:8688706:8693971:1 gene:Dexi4A01G0010760 transcript:Dexi4A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVKTLKGTSFEIEASPEESMLIYQGKILKDDTTLESNKVAENSFLVIMLSKAKASSSGASTAAKAPATPVQPAAPAAAPVASVARSTPPQAPVATEETGDADVYSQAASNLVSGNSLEQTVQQILDMGGGTWERDTVIRALRAAYNNPERAIDYLYSGIPENVEAPPVARAAPASGQQTNLQAPAPAQPAVAPPVQPSAASAGPNANPLNLFPQGVPSGGANPAAGAGAGAGALDALRQLPQPMLQELGKQNPQILRLIQENQAEFLRLVNESPEGGAGGNILGQLAAAMPQAVTVTPEEREAIQRLEGMGFNRELVLEVFFACNKDEELAANYLLDHGHEFDEPQQ >Dexi1A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:1A:25478268:25485252:-1 gene:Dexi1A01G0018160 transcript:Dexi1A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTQREKRERKRRLRMSHPPPPSAREEASSGYSTRWWAAAPAPPPPLQSPRTGNCGEARKGRQADARSTSWSPRRQQQLERQQLATEGEARRRRVSEREKRPATLGGGPRAAPAREAACGTERDAEGSREIERVLSLSLVLRPHPDFPRKANHHSRVVDAEVSHSRDLRSTSCDVFLHPAQRRSLDSGVNAHETPEWITHGSRRSSSPKTPAMPARTRLPPPPPAPHFATGGGRGVEFRRKLHFLSSELRLDPFPLLAANPALRSAPLPLLRDSLDLLASHGLSSRDAARVFAAFPSLLTSPPDEPLRFLSADAPLPPPLLRAAVLRSPRLLAASVPDTLRPALLFLRRRVALLREPLPLAAALVLAFSVERTLLPKLLFLRDATGLPDPAVCAVLRRAPAILSYGIETNLTPKLQFLAECMGRDPAVELTEFPHYFAFSLEGRIRPRYEALRERGIEMSLKVMLTSNDDEFRERLINAALSGPKGEVRSIEMSLKDMLTGNDDEFREWLINAELFIAKDEVASLVKFCD >Dexi9B01G0045530.1:cds pep primary_assembly:Fonio_CM05836:9B:44985775:44986213:-1 gene:Dexi9B01G0045530 transcript:Dexi9B01G0045530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPLILPPLALAKWEGSPQVKQQPDTVSPRYGLCPSGHGIGHHLSVLQMQMQAPACCYRARAGLSARKGKESGNPCKLATFHDVLTW >Dexi6A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:6A:6751715:6751959:1 gene:Dexi6A01G0006930 transcript:Dexi6A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTTGDVPVPEAASYRDWSELPLDALAWVFGKLGAVDILMGASYVCHSWLDAAKDHGHRHN >Dexi1A01G0030250.1:cds pep primary_assembly:Fonio_CM05836:1A:35564262:35568317:-1 gene:Dexi1A01G0030250 transcript:Dexi1A01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPHGGPGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLSSLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSVGADYMSKLVKIAGIAIAASRVKAKATHVTLLCKNCRSVKTVACRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKMQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDNNSNGPSNFTLDEEMEFKEFAQRPDAYAKLCSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQASIFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYDQDKRIANHIIKVHASGATASSKNTEASEGENWLKRYIEYCRATCKPRLSEKAAEMLQNKYVEIRQKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTTVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLIDELTRMGMNESIIRRALVIMHQRDEVEYKRERHVIVRKA >Dexi4A01G0022170.1:cds pep primary_assembly:Fonio_CM05836:4A:25463702:25465006:-1 gene:Dexi4A01G0022170 transcript:Dexi4A01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRLALFVAAALIPHYAVAQLRPYYYRSICPNLDLIIRNSVKQSMAQSPISAPATLRLFFHDCAVQGCDASIMIMNSNGDDEQHNPDNLSLKPQGFNTILSAKAAVDSDPQCKYKLGRYDGKVSTKASVVLPHANFTLDQLNAYFSGLGVGGFTQSEMIALSGGHTLGAADCPFFQYRIGTDPTMDPNFAAQLKSTCSSNPSGFAFLDPSPVTFNNDYFKSLQGGKGLLGSDQVLFSDMRSRGTVNYYATNQGAFFGDFMAAMTKLGRVGVKTAANGEIRRDCRFPN >Dexi1B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:1B:26331549:26332149:1 gene:Dexi1B01G0020180 transcript:Dexi1B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAADARQGIKKGNKRRRNASDGFPSFLALRPQPEQGVSDYQLMHATLSTDHLGGQWAWIRPGGAAGSISRCERSARGRVGVSSVLQRPQACSSSARPLYCFFRELSPRSHGDH >Dexi6A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:6A:86034:89915:-1 gene:Dexi6A01G0000130 transcript:Dexi6A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGGPLGAIIGRYPSAAAADEQDPGGGVIRHDRKCRDWPFLILFAAFWVAMLVNSSFGFNQGNPLRLTFGLDYKGNICGSKHAEPDLHELDVRYWMNPNQVYQSGLKSSHIDLPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVSIDENMLIDKTIHNAINSKSAVLKRYVADIGKSWPVLIVCGGLLPVFLSVIWLLMIRFFVAGMPWITIVVFNALVISVTMFFYIKAGWIGHDPLTVVIGESDPYVSIGGRVAAKVIGEVHALIAFPAVPFLILAIFYIFWFSATLHLFSAGQVIRNDCNTDWCSYDLKLGKVNCDSCCGYSIHYTPHIGIAILFHFLGFYWATQFFIGCSSTVIAGSVASYYWAHGEISHDIPFRTVVSSLKRLLRYSLGSVALSSLILSIVEWVRFILETLRRRLKFVDSAHDSWVGKTVSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKSFCKASELATGLIMKNILRIGKVNVIGDVILMLGKLCVSLFCALFAFIMLDKHKYRAGHNKISSPLIPVLVSWVLGYIVAKLFFAVVEMSIDTIILSFCQDSEEHQGNAQYAPPLLMETLDEESELQRLTQGP >Dexi3B01G0024050.1:cds pep primary_assembly:Fonio_CM05836:3B:18748782:18752639:-1 gene:Dexi3B01G0024050 transcript:Dexi3B01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKVGKPPPQAAAREEGREELFASCSFADLGLHPTLCTHLQDKMGFQSPTRIQAEAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVDRIHGTFGTVLIFSVIVYGIAQQLVHRFHWIVPGYVMGGENRAKEKARLRKGISILIATPGRLLDHLQHTASFVYSNLRWIVFDEADSILELGFGKAVEDILEHLGSRNGAPDQSKNKGGHIQRQNLLLSATLNEKVNRLAKISLKNPMMIGLDDQKKPSGKSNMLGNSHTSLLSDDDEDRILESQNDILEHAVDDFKLPAQLVQRYVKVSCGSRLAVLLTILKSLFERQISQKVVIFFSTCDSVDFHHTVLSQLEWSSGPQLDVDKKQKFLSCKVFRLHGNMEQDDRKKSFLGFGSEKSAILVSTDIAARGLDFPKVKYIVQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIELDYLKDLQSHGVSLTEYPFQKVLDSFPVNGQKPHKRKMISLDMHPWIMSLQRSLEGFVAAEDATKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKREGSFAKRRKLPSKK >Dexi5A01G0029350.1:cds pep primary_assembly:Fonio_CM05836:5A:32446532:32447866:1 gene:Dexi5A01G0029350 transcript:Dexi5A01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVSPARRLSRIFSSAKPRTQPPKPSPAPASKAAAGDAEVKPNGGRGNLGRSLVKITKAIFRERDPDKLVSQFVAASSASRRFRDQHRVYEVAVARLASFGRHDAITAIIDAQKPFLEATGEGFAARLVRLYGRAGMPSHAAATFHDLPPKLKSVMTFNALLAAYVDAGDLDTLATAFRQIPASDPTVVPSLYSYNILISALCQKPDLSAAVDVISLMEKCDINPDEISFNTLLNGFYNNGRFDDAEKVWEMMRERNVEPDTRSYNAKLRGVVSEGRIEDAAALIEKMQKDGPKPDTVSYNELIRGYCKEGRLDAAKKVYDDLVKNECAPNRGTFHTLVPHFVEAGELDHALSCCHEIFSRKCKVNCSFLQEVVTALVSASRVEEAKRIVDLGRMNYYPRKGLRIPPRNRNDNDAKTETDLEDSEPDEEGCEEEEESKNA >Dexi5A01G0026470.1:cds pep primary_assembly:Fonio_CM05836:5A:30108050:30110120:1 gene:Dexi5A01G0026470 transcript:Dexi5A01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKERETIPRLLDLIPDGKEWKAREAQGAGRSRDRSFGSEEDRKLELKLGLPGLIEEETAAASRHEGIQRDSPALSLGWFREPSKPTTNTTNTGTKRVFLDTVEAKTEGCDDLLLNKGCDEQKQQARAGFGNKLALEQKIVAVSEKEKGCCPPPSYSPIAASVRNRPQAQGRGASAPVVGWPPVRPLRRNLANGSSSRQSTGSQKGEANTKEKLACIKNPLVKINMDGIPIGRKVNLAAYDSYERLSLAVKELFQGFLEAQKNISSAENAQLGADEKIFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLRSSDLSHGLIGVAPKE >Dexi2A01G0036850.1:cds pep primary_assembly:Fonio_CM05836:2A:46298217:46298764:1 gene:Dexi2A01G0036850 transcript:Dexi2A01G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSGAHTIGQAHCASYQAHIYNDTDINQAFARSLQASCPATSGGGANVLAPLDTSTPTSFDNAYSTTCCRRRELFNGGSTDNTVRNFASNPSAFSTAFATAMVKMGNISPLTGTQGQIRTTCSAAN >Dexi7A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:7A:29494167:29494631:-1 gene:Dexi7A01G0020800 transcript:Dexi7A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKGNGHPPSHRHPRVPVPLLAAAATVSAAAGTSRKVLHWKDYLGCPRDAIKLGACVGALGAAGLQAGAELGSKCCDAVQGLAAAEVAACFCTTIKESVLGIPTEWDVGVGVLASACKTELPDGFKCL >Dexi2B01G0032420.1:cds pep primary_assembly:Fonio_CM05836:2B:40310282:40310656:1 gene:Dexi2B01G0032420 transcript:Dexi2B01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKGDLTGASPCCVVLELLLEMGRERRHAQAEEGGTWPTTEGGREVQAEQRTPPTSVCLRLTRRRPGEGDLAPHASGCRTTGSSSHPRRRARASRADGRGKATSRHTCWWPGDGEHALVAGG >Dexi2A01G0029300.1:cds pep primary_assembly:Fonio_CM05836:2A:40348976:40350546:1 gene:Dexi2A01G0029300 transcript:Dexi2A01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGMKFISLVTKKPNKEHHLWIRKETAGSGKKALRLIDAVSKLPNQKEAIYGALDKWSAFEPEFPLIAVAKALEMLKRRRKWLRIIQVYADMEELGVRPDEDTARRIGKAFVAFGQEEKEKYVLDKYLKKWKYIHFNGERVRVRRDGPLA >Dexi6A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:6A:22339550:22339786:-1 gene:Dexi6A01G0015000 transcript:Dexi6A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQGKSIKISRGMVSLRRRRPFQLMVLRRLRELKKIVPAGTRHKADVDAVLRQTAEYICALELKVAILRRLSDIYGV >Dexi2B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:2B:30510785:30512050:-1 gene:Dexi2B01G0020470 transcript:Dexi2B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPVLKRKGAEAAAETPWVDVDGIPIPATKIRRLDAEVPPVGPGAGVPPPPPPPPPQHQGFGVEDARVSGGVAVPTAVDASPLLKRKGAEAPQPWLDVDGFPLPATKIRRLDAEVPPVEHAVGVPRAEPGAVVPPQPFVAEAARMSGDVVPPAVAVGVAAPAVNDERAIVVYQPAEAARNLLGGPLRPAPSLRVNPDWIHGLRSTMLQEASNHRALYEELAARDENLNLAVVPWAPAQVYGHAASSSAAAAATEMMDADQEGDGASMEVEHQPAPPAGGVLQGAAFQQQQQQWPTQHCVAPQQLPLPAASYQPSPVTWSW >Dexi7A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:7A:17425835:17427064:1 gene:Dexi7A01G0006130 transcript:Dexi7A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPPHGAPTWPPPSGGAISISSEPNSPSSGGSTSSPALGSSSIVSWSPPQPTARAAPSGGSTPATPLTPGCAACKHKRQKCPPGCVLLPYFPAGEPDKFRNVLRVFGVKNLLRTLREVPRPRWDACVRALVYESRTRLADPVRGLAGAIEDLEGSLMDTAVELVVLRRRLQSNGQARRQQALIINPILGHGPAAASPQGEEGVTNPNLGVAWQRPGRMTRDDVMPPEGPYYGDVWPPTAMAGLTATPPQLFSAMPPQFSATPAQLFSAMPPQVYATTQAQFLATQPQQFSAAQTQLPQLPAMQQQLVMRRQPTRGESTAMERDDGFVGNNPWANDDDRIQIVH >Dexi2B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:2B:13168072:13168719:-1 gene:Dexi2B01G0011560 transcript:Dexi2B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNNGVSSFVLVLLALSAAPLAVVAGDPDILSDYIIPANFNPTNITGDFFAYGGLRAALTGPAPANFTVTKASMAEFPALNGQSVSFASLFYPPGSVNPTHTHPRASELLLVVDGELSVGFIDTAGKIFTKDLATGDMFIFPKGTVHWQYNAAVSQNASALSAFGSAAAGLVSVPVTVFGTGIDDDVLVKSFKTDVDTVHKLKTALTPPPKKP >Dexi6A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:6A:21997694:21999311:1 gene:Dexi6A01G0014620 transcript:Dexi6A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDRKMQPLPPPEHRRALRFVVYLAISLLAFSCWALVNSRISGAMPHSAVRLPDADKTTRPAALTGGEDPAAAGDSSTPAAVPAMSGAAANLTGPEQQPLAGGGERVERCDADAAALRVFVYDLPPEFHFGMLGWKGGKGKKKMTWPNVSDPLAVPHYPGGLNLQHSVAYWLTLDILSSSSGAGSDKGDRPCVAVRVTNASDADVFFVPFFASLSYNRHSKLRRGEKASRNRALQAELVKYLTRREEWRRSGGKDHLIVPHHPNSMMQARKPLSSAMFVLSDFGRYSPDVANLKKDVIAPYRHVVRSLADDESPDFDQRPVLAYFQGAIHRKAGGKVRQKLYGLLKDERDVHFMYGSVRQNGIRRATVGMSTSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSEFCVFVRAADAAKKGFLLRLLRGVSRDEWTRMWRRLKEVARHFEYQYPSRSGDAVQMIWGAVARKMHSVKLQLHKRGRFQRTGAES >Dexi9B01G0041890.1:cds pep primary_assembly:Fonio_CM05836:9B:42258260:42260892:-1 gene:Dexi9B01G0041890 transcript:Dexi9B01G0041890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEELVAKAGGCAVIDGGFATQLEALGADINDPLWSAACLIAKPHLVKEVHMQYLEAGADVIISSSYQATIPGFLARGMTLEEAEDLLRTSVKLALEARDEFWKSTLRKSKPAYNRALVAASIGSYGAYLADGSEYSGSYGADITTDKLKDFHRRRLQVLAGAGPDLIAFEAIPNKMEAQALVELVEEENIQVPSWVCFSSVDGKHLCSGESFADCLQILNASEKVAIVGVNCTPPQFIEGIICEFRKQTKKAIAVYPNSGEVWDGRAKRWLTAECLGHKSFDALAKRWHEAGASLIGGCCRTTPSTIRAVSKILKGRMGH >Dexi5A01G0026440.1:cds pep primary_assembly:Fonio_CM05836:5A:30081213:30081935:1 gene:Dexi5A01G0026440 transcript:Dexi5A01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARALRPAAVARKWLEDPRIGYSGDLSPDASESERQALSSMVMPGARVSLAEPGRVVCSLRDTDGRWHAGAIAAAVDNVCSSVVFTVVGEPTATVHYSLSYFSPAHPNEEVEMKGRVVSRKGKLTAAAVEVRKKESRELVAIGRQWVTPASPTKNNKSSKL >Dexi7B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:7B:15407332:15407702:-1 gene:Dexi7B01G0007600 transcript:Dexi7B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGAGERKVEAPPPETEGIVWREDAGRFETPDGEAFLQYRLPSPAVMDMVHTYVPRSKRGQGLAARLCDAAFAHARGRGMRVVPTCSYISEDIGSDE >Dexi4A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:4A:6187138:6192036:1 gene:Dexi4A01G0008230 transcript:Dexi4A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAEAAAVAAEVKNPRCFMDITIGGEMEGRIVIELYASVVPRTAENFRALCTGEKGVGAASGKPLHFKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGSKFEDENFVLKHERKGILSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHVPVGEADCPTLDVKIVDCGELPEGADDGVVNFFKDGDKYPDWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKHDYKAALRKYRKALRYLDVCWEKEEIDEEKSTALRKTKSIILTNSSAHMALNDIDAAVESFKHALELEPNDSLSLYAPL >Dexi5B01G0029000.1:cds pep primary_assembly:Fonio_CM05836:5B:30279455:30279994:-1 gene:Dexi5B01G0029000 transcript:Dexi5B01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSASASASAPSSSSPERLAATSMPTDPDFLSCVLQPPASSSSRPDADYVALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGSAHSTPSNRLDCL >Dexi4A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:4A:22047164:22055402:-1 gene:Dexi4A01G0018120 transcript:Dexi4A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRAGRAPPRRRTHRPTSDADDDDDEWTRRTASPSTSSAALCHVTHDATWRRVKRAKVKIPRGKRKRKINCSKPKSTSVQRGESAVSSCGDPRHARGTSWRPGLCATSALVSPATSALVSPATGWVRGGARGKLRVGPGRGKREAHAQITLGPRASRSARQPDHRYTSQGRRGGSHMVSLCCLGGVWQEVRRVHHRFGCGSSVETERCPFTKGKNRCFFSPCFLAPSLLSLSILFVSHSHTQYSPSSLFPPPLRFVFSSPSSFRFLRPPGPSCAALAAPHANAAASPCRGGDRRHLHLIPNSPTSPQSVFRVFRPRLGHQSRPPELNQAYCGGLRGAMKSPPTMDPEALPPPLGTPPDDEVRCPELLDVSKARSCPGGSLSSLAVIEEKRGGRKGVPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHTESNEEILGVLSFVFWTLTLVPLLKYVCIVLRADDHGEGGTFALYSLLCRHARAALLPPGRASSAGDDDHLFDAAGGGAKKAGAENGNAMTLGGRGGGAAASVRRLLEKHKVLQRVLLVLALVGTCMVIGDGVLTPAISGKFREGAWVPIVLAFIFMLIMCIWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFRFLVGRIGPKEYRIYRCIVRYGYHDFHKDDMEFEKELVCSIAEFIRSGSSKLNGMSDDFDKDEEQRMSVVRSGSIRMLEEEGSVENTVGSSHGREIQSPSPSPASAPAPAPAPAAGVKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFLRRFVINFCYDFLRRNSRGPNYAVTIPHASTLEVGMISAGLARRLRSLCITGDLSNAVRLLCQSPVCPGARTYALLLQECVNRRDARLGKRIHARMIATGFRCGEYITTKLLIFYVKIGDLVCARKLFDGMPHRSVVAWNAMISGCARGGAAETQELAVELFDAMRASGTAPDQFTFASVLCACARLAALWHGRRVHAVAAKSDVVAGGNVFVNSALVDMYLKSSCADDARRAFAAAPERNVTMWTAAISGHGQQGRAAEALELFDRMADDGFRPNDVTFLAVLSACAHAGLVDEGLRRFSSMSSEHGVAPRAPHYAAVVDMLARVGRLRDAYELVKNLPDCQEHSVVWGALLGACRKHGGDVALVELAARRFFRLQPENAGKYVVLANTYAAREMWDSVASAHEAMRALGVKKERAWSAIEVQGKKHTFLAGDTYHDKYSAIYEVCTALGSAVTERSV >Dexi3B01G0028240.1:cds pep primary_assembly:Fonio_CM05836:3B:24101525:24112226:1 gene:Dexi3B01G0028240 transcript:Dexi3B01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRCFFPGSAIGSGFVRPSSRRAVAAPLREAEPAASLGHRTRVDFPILHQILLTVAEHHSAILPWQFVSQKTGATLKYVGLTREEVPDIEQLKGLLSNKTKMVVVHHVSNVLGSMLPIEEIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKHSHTVAIRPGNHCAQILHRTLGIDASARASLYFYNTKEEVDVFIHALKDTIDFLTCEH >Dexi3A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:3A:4641254:4645016:-1 gene:Dexi3A01G0006910 transcript:Dexi3A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATSSTSNQGLLDAQLELWHTTFAYIKSMALKSALDLGIAEAIHSNGGTATLPQIVSRVTTLHPSKIPNLRRLMRVLTAAGIFTVVAQPPQHPSDDELVYGLTPASQLLVGSSSLAPFTSLILHEMRVSPFLGLATWLQHGDERSSSSLFEMAHGKTLWELNDHNPTFGALFNEAMIRDSSFTMDIVIKECGSSVFQGLSSLVDVAGGLGGAAQSISKAFPHVECSVLDLPHVVAKATTSPGGGGVKYIAGDIEEDQLEEVDQAMAAALMLLQQPAAALEHHLCKAAKNNSWELRSAVVLHSARSTQRQGRNGMQV >DexiUA01G0003360.1:cds pep primary_assembly:Fonio_CM05836:UA:6732860:6733236:-1 gene:DexiUA01G0003360 transcript:DexiUA01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRGRKSSSPSQGAAPATSTGLDRLLAAASLRRAGVGRPTSRVFGSGGGRDSDEELRELVRAVQARRAAAAASTGGAAAGSGKAEAGVPAVRMTDRRRATPKLERINEDAAVVYPIAS >DexiUA01G0020220.1:cds pep primary_assembly:Fonio_CM05836:UA:42193731:42202406:1 gene:DexiUA01G0020220 transcript:DexiUA01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVGDVPVDDEAPVLCCDDLRVLLPNEIATAFKLLLLIASRESMLIIWRPGLRLSNRYSASNGYRDLVERVTRPLGHPEVLGSSSNPKTPGLDRLYMRLDRLGPIADLAMCQANNPRFAASDGVPPEVVIVGFRGASSTDGLTGPYRRSSGEENLDNRAI >Dexi3B01G0024180.1:cds pep primary_assembly:Fonio_CM05836:3B:18881218:18883043:-1 gene:Dexi3B01G0024180 transcript:Dexi3B01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGQNGTAMEVTAVELGHTAASKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDECTGKRNYTYMDAICGFLQYANIVGVAIGYTIAASISMLAIKKANCFHVEGHRDPCSISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVTNGRVQGSLTGISVGAVTPLDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCMGYAAFGDGAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWANQRWPKSRYIAGEVDVPLSFSGAGGRCYKLNLFRLTWRTAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQLLSLACLIITIASAAGSVAGIISDLKVYKPFVTTY >Dexi4B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:4B:5781521:5782892:1 gene:Dexi4B01G0007980 transcript:Dexi4B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAGSANAGVATDERQRELQAFDDTKAGVMGLVDAGVTAIPAIFHHPPDALALDSPSCDDDAAAIPVVDLSGATREEVVAQVRAAAEAVGFFQVVNHGVPGELMDAMLAGIKRFNEGPAEAKRRVYTRDTAHKVRFNSNFDIFKSAAANWRDTLFCELAPVPPSPEELPDAVSDAVTKVAMQVLELLSESLGLPSAHLREMGCAEGLNVVSHYSPPCPEPHLTLGTSIHTDPAFLTVLLQDGVGGLQVLLWVDVPPLPGALIINIGDLLPLVSNDRFRSMEHRVLANRRGHAEALGGKRLYGPITEGSCDPPVYRSVTAVEYFTLFYGNGLQGRLLDYFRLQH >Dexi9A01G0023770.1:cds pep primary_assembly:Fonio_CM05836:9A:19236197:19239760:-1 gene:Dexi9A01G0023770 transcript:Dexi9A01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLKASSGPIATADGYKQVLWSTSTRLWPSVSEFWEQSKRHARAFLNPEANRTRTKANSPRSVTNSYSNSTSSPNPNPRPHATSPPSRLRLPPPLPPRRRSRHASSFPSPPSAPPLAPRKPQPSLLRSPIYYPPPRLASPRPHHTPHPPPAAPGRDEMATASDPSSASDPAAAATAPPEGNALPGAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVEVAAYATLVRPADLASAISAASVRCNGITRDAVSGAPPFRDVADAVYDLLNGERLLSSSPQWCYDGNSSELLTGRVWAGHNIVRFDTARIREAFAEIGRPPPEPKGLIDTLPLLTQRFGRRAGDMKMATLANYFGLGKQRHRSLDDVRMNLEVLKYCATVLFLEASLPEVLTVENLVERAITRSRANGSASPEAPKPEANSSPDSSKRQRTVCPADGALPEGGDQGTSDPSTSKESVELVSHIEEMKLDTTIQMDASSSGGSGFLEPDDISTENIKISIAPLHQFGRRSSIQHKDSPLQLCSSGLNVQFGVSTKFLDNAGRPKLNIVVDIPENLSKVLEFCDDLARKSSQESGSTSEWRPLVKKYGYVNRPTVRLNIPTIVSGNSAIYSTEICLKEPSGSIQKLAFSNVDATELDFLVVRGSKVDAFFSLEIYDYQQNAGVRLVANRLVVHSK >Dexi5A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:5A:13524587:13525081:-1 gene:Dexi5A01G0015720 transcript:Dexi5A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLSSHGPCRLCTGLFFLDLHPWMVLLARVKPMGSGSCCCMGCNGGDDDGGGDAGGLDPKGFLLAMMIALVLFMLCHVRPPRRNSYVVYRCY >Dexi1A01G0024010.1:cds pep primary_assembly:Fonio_CM05836:1A:30670990:30674933:-1 gene:Dexi1A01G0024010 transcript:Dexi1A01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGTDDASLAAAVAAGKEEDEDLAAVDDDEEETSPIEEVRMTVPSTDDPSLPVWTFRMWSIGLVSCALLSFLNQFFSYRAEPLVVTQVAVQVASLPVGHFMARVLPRTRYRIPAMLGGGEWSLNPGPFNMKEHVLISIFANAGFAFGGGNAYAVSIINIIRVFYHRHISFFTGWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEKFAKGSRQISRSKFFLVALASSFAWYVVPGYLFPALTSISWVCWIFSKSVTAQQLGSGMQGLGIGAFTLDWASVSAYLYSPLVSPFFATVNIFFGYVFFVYMILPTAYWGFNLYNARTFPIFSNDLFVSNGTTYNITSIVNDQFVIDMDAYNEVGKVNLSIFFVLSYGLSFAAIAATITHVGFFYGKEIYQRFRASRKEKPDIHTRLMKKYDDIPGWWFYSLMALSITVSLLLCTVLKHEIQLPWWGLIFACGLAFIFTLPISIITATTNQASNMLTPGLNVITEYVMGLIMPGYPIANVCFKTYGYISMSQAIAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNIGVAWWMLGSIKNICHVDPSSDIPWTCPNDHVFFDASVIWGLVGPQRIFGNLGNYGALNWFFLIGAIGPIVVYALHKVFPSQRWIPMINLPVLLGATALMPPASTVNYNAWLFVGTIFNFFVFRYRKKWWERYNYVLSAALDAGVAFMGVVLYFSLTMENKHIHWWGTKGEHCPLASCPTAKGVDLGSDSICPVF >Dexi6A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:6A:615441:615689:-1 gene:Dexi6A01G0000740 transcript:Dexi6A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAETSISGPLPASLGKLKSLDTITMYTPLLSAPIPSELHECSSLAHVYENALSGSIPSQLSKLSKLKNLLLWQNKLCIEL >Dexi1A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:1A:3483468:3486741:-1 gene:Dexi1A01G0004780 transcript:Dexi1A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWVLFSTETFAMGVNAPARTVEDMLKRSFAEFHAQKNLPETEKLLLQMLRQPTKTIECIKGEPAIEEYYEMALQAEAHRELITEAIMQLPSTQQFLTPGRLVVVKSESVCLHHICFSLFTLSLCLSVPLG >Dexi9A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:9A:2473707:2479184:-1 gene:Dexi9A01G0004570 transcript:Dexi9A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSRPEEVVALVKLRVAAGQIKRQIPPEEHWAFGYSMLQKVSRSFALVIQQLGPELRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQEFYRHIYNRNWHFSCGTNHYRVLMDNFHHVATAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFYAAGTEDLASDPLSNSMGLFLQKTNIIRDYLEDINEIPRSRMFWPREIWSKYADNLEDFKYEENSEKAVQCLNDLVTNALIHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCALCYNNVNVFRGVVKMRHGLTARVIDETNSMSDVYTAFYEFSLLLESKIDDRDPNAALTRTRVESIKNTCRSSGSLKRRGYHLDKSPYKPMLVNHDCASAGGCHIWVIVYQVKPF >Dexi6B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:6B:17046864:17048516:-1 gene:Dexi6B01G0010590 transcript:Dexi6B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAVRFPVFGLVRLLGLAAAAGILFWAVHFRGGMALSTDEESKLPIFNVHPVLMLIGLVALNGEVVFFESLQLATGFWTFWYPGGSRSGRASLLPWHVFFGIFIYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLVLLGALVILAIVTPGPGKVDTYRGSSE >Dexi2A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:2A:15346219:15348708:1 gene:Dexi2A01G0012930 transcript:Dexi2A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPFLQLAVLLKLLCLASALNQDGILLLSFKLSLAADPLGSLSGWGYADTTPCAWNGILCSPDSRVVSVVLPNAQLVGPVAKELGSIEHLRHLDLSGNALNGSIPPELLRAPELRVLSLAGNGITGGLPEQVGQLRSLRALNLAGNALSGAVPRNLTLLPNLTAVSLANNFFSGELPGGVFFPALQILDVSANLLNGTLPPDFGGAALRYVNLSSNRIAGAIPPEMATNLPVNVTIDFSFNNLTGAVPAVPPFSTQRAAAFEGNAELCGKPLESLCGFTSSSAVEPPNGTAKSPPAIAAIPRDPTEALPGDDAAAGASLASGEQRGGRMRLATIVAIAAGDVAGIAVLFVVVLYVYQVRKKRQRQEVAKQRMAGVVFKKPDPEESPDGTLGRSLSCCLRKKATAGDDSDDTAEEITDTSTSFAAAAKEVVTDKKHSKDGHGVEAAASKKKGGAVLVTVDGGAELELETLLKASAYILGAAGGSIVYKAVLADGAALAVRRIGSDDAAVRRFAELDSQMRAVAKLRHGNILRLRGFYWGPDEMLIIHEFAVNGNLANLSVKRKPGSSPINLGWSARLRIARGVARGLAYLHDKKWVHGNVKASNVLLDADMEPMLADLGVDRLVRGVDRVKPSSSSAPAGRFGSKRSAKSLPDLPPASPLAGAGADTAAHYRAPEAARSTKASAKWDVYSFGVLVLELVAGRALTSVELCQCAADDKAQVERLVDPALRGEVEGREEAVASCLRLGAACCAMAPGKRPSIKDALMAIERIPALADASSSSTSCSTSTAAHR >Dexi3A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:3A:9246427:9247104:-1 gene:Dexi3A01G0012710 transcript:Dexi3A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGKEEPGLVVKQGSKLHAKLLSKEAAAQLAAPSFRVYYSVASAGAVPFLWESQPGTPKNDSPSAAALTPPLTPPPSYYSSSGASTGVGGRSGKRRPAGIIGAILRRSSRPGGRTPTSSASSWSSSSWSSSSSSSQHTPPSMSPVFAVQSLPGPRGHHRRAFSAGGDEDDAAPRCFWTERDCCQRGVVKGCGVNGVAAAVRNALATVVGGGKKPGRRGTAA >DexiUA01G0008990.1:cds pep primary_assembly:Fonio_CM05836:UA:17099752:17101853:1 gene:DexiUA01G0008990 transcript:DexiUA01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEMVALPDDALAHVFRRLPPRSLAIARGACNAWRATVDGRALLLLHRQHLPHYVHGVFVNYIDHCRPHLFSRPFRPSSAAAAAEEVVDGMLSFLPIDNHRVRDWWSVLDHCRGLVLCDIKSGGQLCVCNPATRRWTLVPPRWPVGAIWREYTSVYLAFDPAMSAHYEVVLIPTEPERPWREPPSPPKRRNERPAFEVRLHGVDDAPFCLDDWLQSSSHLGDLADDDDKDEEEKVFIRQQGQRQVQSSMEEDMAAEWPPTPWTLEVFSSSAGRWEQRAFVRHGDPVATVQDMRLDQRRLTCRGPRQRYAAYWKGALYVHCRGSFITRFSMLNYDYQVIKTPEYIKKGISAKPYLGISEKGVYFGIVQDRQLQIWILNESCGRLEWVLKCEDDFTHCVQHVRKYGAQMNAPWIVHDAHDADNAPKAILEERFEWDSDHDDIFTIEDGLNEEHYGSFDILGFHPYKEVVFLADYFTVVAYHLNTSKVQFLGNSRPKSYYRSFTNDIYESFVYTPCMIGELNEDSTMNEN >DexiUA01G0023750.1:cds pep primary_assembly:Fonio_CM05836:UA:48518345:48538000:-1 gene:DexiUA01G0023750 transcript:DexiUA01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNCVDTSSGAKLQQQQAPTSPTASVSESNIVVSSTDPDANDALAGLQALKFDADIDVEIQSPDIAMWESLFAEQMGASGADFLMFSPRRDFMATGSPRRDFMVSSPKRDYMVSSPKRDYMVSSPKRDYMMSSPKREYMVTSPRRDSSPRRSTFSNLYSAGSHQQAYAHGVHGADQGSGNAGQPPPYGGLANHGKGKSQSPLQKVYINNAVHSNGGRSNGPSSLSCSSSYGHGESLSLPSMDPFLNDGGYLEAYQLPAGKPGMGNGGATSATVTTVGPTSSQQLPTLSECLAMPEPLYGGGEEAAATVAAGGLPMGGALPAELYYGGQFGGDGLTLQHQMAKSDQWAADSSLHSMLGSVIQSEAEQEQDSGLQLVHLLLACADFVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLSLSSSNPSSSSSTSSGTATPRGGTGSGVAPYTFPPSPDTLKIYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGDFTQNYM >Dexi6A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:6A:15347:16254:1 gene:Dexi6A01G0000020 transcript:Dexi6A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDMAAADSNMAMALYQLQGQGGLTTTSTSSSSSYDPPHHHNMLSFTGPSPDPTLMPPATTGDPPNPSSLAASAPKYKFVTCSPADWTAHELATLEEGLLRYAREPNITKYIKIAAMLPTKTIRDVALRCCWTPGKESSSRNPDGYYAGKNMSYSKSKMAASTSVANIPMPPPITAFLLSLSLHHPSQSSLVPMEGWSINT >Dexi7A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:7A:269107:271519:-1 gene:Dexi7A01G0000180 transcript:Dexi7A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAGAGSSSGGSGSGGGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALQMAGMAMPAYAAYIARLQSLAASASAYKMNFGMAANSPLQQQLLANANWPYGLAAGRYGMQSSGWPFGNSNANQFPGVPKDWRNGDWLCSCGFHNYSSRTQCKECNAPVPSGLPSTTMKATSDASSSKTIIFLVQTVIRFVSALGTKRLASEELANDWDNKRLNPGNDNYLLSTGGSNNLFLGIEQGPGSSNGQAAFSKFDNGTSMGLPSGQAMSGLMGKGYKTTTSS >Dexi3B01G0038180.1:cds pep primary_assembly:Fonio_CM05836:3B:40997841:40998935:-1 gene:Dexi3B01G0038180 transcript:Dexi3B01G0038180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPTSESATESGGAVEEDDLVFPGFRFHPTDQELVGFYLARKVEKKGFSIDIIKEIDIYKHDPWDIPNEARHVVQGAGEKDWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIHGGAGECLGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSTASSDLQDAEIWTICRIFKRNTSYNRRCQQQKQQHASSKRSQHQEQQQLQYYYDYRYQQQQRASMTNQAYATAGHHQPFMLQGFHGVSPSAIAATTTTTTSGSMRAPLPPSTTPGWSELTTMRDSGSTWDELGRIMQI >Dexi6A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:6A:6270511:6277996:-1 gene:Dexi6A01G0006490 transcript:Dexi6A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCMASALVTASASPATAKSPACPSKQSSTARLRSRTVSCRATGGGGRAVDDLLWLPRREILTGLGGVAASLVGYQSFVSSVANAEEVIIKEPCAGADPVNEHLIKCMDPTIPCPPKLNVPIVDFTPELTVKRIRRPVHLLDREYQEKYKEAMAKMKALPASNPLSFVRQAAIHEAHCDKRSHYDPDHRKDVVKFNVHSSGLFAPWHHMYIYFYEKALGQLIGDDTFVLPFWNWDAPEGMVVPALFKDSFANSLYVSNRNPDNLENIVDLNYLSNLRNGKYSPVSLNGPHGDKAYQDAINNNLCTVYQQQIRIAGCARSFLGEKLCADNISPKGQDSLEAMAHTTMHVWVGRPHTKNCTGGVVNYKGETNCANDMGFLGSAGRDPLFYSHHSNVDRMWHIWTTKLGGEGFKDPEWLNVRFRAMAPNTDPMVAVLAKLEELGRKADETNLRGEETNRRIEEMRTSINLLHEEQNFVKTWKPELENKVTELQSSVFLMQKKLDLFVHDPSKFKCELLVTLNASFVFYDDVDNPHLVRIKFRDVVDTTNLGYTYDAESEKNLPWKDRQLTSLVPHAKGAVRWTSLKMAGVAVFPVTLKMNQVVEVPAVAVPAKKEGQPRVLVIHDIEYDPGVVNKFDVALNVPKGSALEVGPQNCEFAGSFAVMPASSEAGDKVNGGVTFSIEECLKDIKAADDGTVDVIIVPRTEGEIKINSAPAIQS >Dexi3A01G0026100.1:cds pep primary_assembly:Fonio_CM05836:3A:22170129:22173462:-1 gene:Dexi3A01G0026100 transcript:Dexi3A01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTRTCRHVFVLLSLQLLLVAPWQGETTAARALNFTRQDFPRDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGADGYHKYKEDVKLMGDTGLEAYRFSISWSRLIPRIEIHVTLYHLDFPQILEDEYHGWLSPRVDFAAYADACFREFGDRVKHWTTMDEPNVISIAAYDNGAFPPCRCSAPFGINCTGGNSTVEPYIVGYNSILAHAAAVKLYREKYQATQKGVVGMNIYSFWNYPFSQSPADVAATQRSLDFMIGWIINPLVYGDYPESMKRIVGSRLPKFTKEQSEMIRGTADFIGINHYTSVYVSDRPSSADAGLRDYNGDIAAIFRFSRNDPPTGQFIPINMPSDPQGLQCMLEYLNNTYKNVPVYVQENGK >Dexi9A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:9A:2401816:2402841:-1 gene:Dexi9A01G0004450 transcript:Dexi9A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISLDDWEILPDHKGSSFLEEECSNGGHGAVGGEDQLLLAAKLVMIDMDHFSPTSHPPSYNCTLDEETKKPGLPSEGAYWHDPVTEFKDIAVMPAEPRREEIVSKVTELVIYDSEEDDMIEPPASVKEADADQGEVLVAADAPEGQCAREEEAFNRSGISVGNLRVNGVGALCSFGVAAATFFIFLLGGGKQLQQKRQDHKIQLQMYAGDERIQQVVQQASRLNQTMSSVMGGASSARASISFGGYYQGF >Dexi4A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:4A:22524979:22525361:1 gene:Dexi4A01G0018520 transcript:Dexi4A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLHLLLLLPLLVVVSFLSHHRLAGARLPPSPWALPMIGYLHHLTGALPHRAMRDLATCHGPLMLLRLGGLLVMVASSAAAAGEVMQAQDLDFAPHPVTRMVRLAIPEGIIFAPYQVP >Dexi6A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:6A:7540073:7542835:-1 gene:Dexi6A01G0007620 transcript:Dexi6A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVAEEAVAGANKQQQPGKAAALAARDASGRLEPLTITRRYEPYVTYPLCRSTGDDDVAIKILYCGICHSDLHSIKNEWNNAMYPVVPGHEIAGVVTEVGKNVTKFKPGDRVGVGCMVNSCQSCTSCDDGFENNCSNIIFTYNSVDRDGTVTYGGYSSNVVVHERFVVRFPDAMPLDRGAPLLCAGITVYTPMKYHGLNSPGKHVGVVGLGGLGHVAVKFAKAFGMKVTVISSSPAKKEEAMERLGADAFVVSKNGEEMKNAAGTMDGIINTVSANVPVAPYMGLLKPNGKMIMVGLPEKPLEIPPFDLIMGSKTLAGSCIGGMRDTQEMIDVAAKHGVTADVEVVGAEYVNEAMERLAKADVRYRFVIDIGNTLKTSSD >Dexi1A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:1A:22939208:22939547:-1 gene:Dexi1A01G0015930 transcript:Dexi1A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDHRRASRGGALGDERVAGGEEPRGGGERASTQSLSSRSWPHMSGIRTRPAISVRCRFSRSRALSSGRRATATPPQQLSDDAASSAVSALSCFFSAGSIAAGAL >Dexi3B01G0027240.1:cds pep primary_assembly:Fonio_CM05836:3B:22757774:22760722:-1 gene:Dexi3B01G0027240 transcript:Dexi3B01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPTATAASGGAGGGSFPGLTCPADFASVAAPGGRISVVGFGSLLSERSARSTFPDLEGFRVAALRGFRRVFAHAAPIFFERGIAVEATKEFSSLSVEPCEDELIVVTVFEIKEEEVPAFIEREHEFRFLAVVPEGLDGVPFTNRAVVCARYSDEEYFQERCQGSKEIYYQRYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPETLKSRYGG >Dexi9B01G0033330.1:cds pep primary_assembly:Fonio_CM05836:9B:35515248:35517305:1 gene:Dexi9B01G0033330 transcript:Dexi9B01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPMSPELEQIDGQIHDIFRALQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRILKDEESNNPPEINKQLNDRKQFMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQMASGEINC >Dexi7B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:7B:19683105:19684845:1 gene:Dexi7B01G0013080 transcript:Dexi7B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCALACFGGDGNRRGCRWKSWRHSPARSPPRSIHVTVPREAANVVVKGASLPLRGAKPSMLAEALEVQDELSSQSATAAKEASLQVSSPPSAVVVADEAVTDASSSKALRKLIEQTASPVCSFLTEKQVTPPFSPVKCSPVVAAIVSPQDSIECSPVVAAVVYTPVSELREVSEHGSRSSGKQKVTFDLNVTTYENAVLADQEEPPEEGEKHTQKTVVLPENHCYQNCSDSDDDVEDEYAEDDVYDDGGDEKEDDFMDCKVDLADKEEVTTEENKQESHESLFSLSMSNDQQNDQEVTSSAPKNSGTSADVESPLITRNNLRDRSQYVHPMLNPVRNLSQWKELKESEDPSCAR >Dexi7B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:7B:9805321:9807873:-1 gene:Dexi7B01G0004000 transcript:Dexi7B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASCSNRGAAAGRKHLRVLLRFTSDTLRIPDELAAEIGGEEEEEAMVVAPFGKGKAGKVRRVEVGQDGDGAFLGRGWADFAAACGVGAGWLLVLRHHGGGALTVKAFDASCCLTEPATRHPCSGFECELNTKANVSFLHHQASSLPDVGKQQELPYASIQKRKNKIDLCSEEQKKQKASMTYRNKAPCRSRSSYEIGPSSWIKKVITPSTLKQNLLLSKQFCSAIGLKERSRITLKTSTDSTESWVLHGALYNDCKSYRFHGGWRKFWQENDLKEGDTCTFNVIKTTLWHVAIMRRKSCKKTIDHLYYEIPSASSRKRRSKNG >Dexi1A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:1A:10445646:10450236:1 gene:Dexi1A01G0011450 transcript:Dexi1A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLEQCGLSISGQVIPSLFRVLHAILKFLKHSDSELKDDFTYLSIHHIQKMPWVTFHQLYAGELVNHVKDSRFGYCNDSAQSGILTGSLLQLLCSLLEESDLGGTHGQDMYVKLVDIVPMIAASLLEQHDGPESLYQYLKHKILDDMLFGILLQLLDAPLIFQEICNLFVQTGRDNMRSTELIAAKLLSSILYPVHLFHLLLFLLHYDHMVLVDYLISKDVGVHCAQYLLSLARFEELCEQG >Dexi9A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:9A:8726362:8727616:1 gene:Dexi9A01G0013580 transcript:Dexi9A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLVEVAKARRPRRSRGGSSGGGLGCCPADACRRLLRLCNHGFKKRKSGGGGAAFGSGLRSALSKVLCSRAMRRVLRWEDLGAGCFSGAGSCGGREFRRLRRSLGDSGECDPRAMVFAEDDEERMGWKADMDVDSSRQLSPVSVLDLHSDDDESSPVHSGWEDEKPSTSGSSPPSEPFLGPASPCFSFSYNLHDKFCEMEVDEAEDETGRSGRSIEEQITSWEKIAGDISRIPAMMELDLSRSMRQWRELKPEVSEIGDKIETLIFEDIRRETVCDMLASHCTLAAAATSC >Dexi2B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:2B:7920793:7921265:-1 gene:Dexi2B01G0007700 transcript:Dexi2B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGNLSDVPCFTTCRLTQTCFEKCIEKRHRDAELNMGENSCIDRCVSKYWQA >Dexi4A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:4A:10318888:10325650:1 gene:Dexi4A01G0012000 transcript:Dexi4A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAMAAAGRRVKLDLFLDPSPGEASQKEGIGGEIRDQQTVVPTSPSSSDKKENPLALLGQYSDDEEEDDEAAAQPTDEAKGSPGDTNAPVTIEHADAALDNGDAQAEPSVSVGDQQEAPQAGDVKNYTQCVTEENTLASEPTQQEESATAVESVLDPSGMQIVGDVGGNWKAVMHGQSNQCYYWNTVTGETSWEIPNGLTSGVASDGVASASVPTHMDYSIEAQAHVLPQNTLEAYPSDMSVVNGAATYANFGMACGSAQVSQDAYAYTAPVVSHESMDIDPLYLAKYGEELLQRLNLLQRLHGSNEGVELLRREIGIRISDCNALSSYGSSLLPLWLHAEVHLKQLDSSVSKLEMSYRADSEPRDSMAEVAEHRAPSDADMIAPSNGEALKPEGSAGITLDENVNIDKPSSMSSAQNAQDIDTAAVTPKLESDNDEDMDVEMEVDEDNVEEQAHCSPVPNKEHPPSEQVSSSDLPPLEGPTPPEDNDVPPPPPEEEWIPPPPPDNEPTPPAPPAPPEEPAASYIHAGTSTEPYIAQANVGYALSGMEYYATAGTEGTTANYYMQWKAAKEELHGDDDDDDEPESALEALERKRQKEIEEWRKQQIATGEAQENANFVPVRGDWRDRVKRRRAEAKKESKDETVAASLSSAEQHKKRSPDLAQLSKGLPSGWQAYMDESTKQVYYGNSLTSETSWERPTK >Dexi2A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:2A:201331:202093:-1 gene:Dexi2A01G0000360 transcript:Dexi2A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYIVYYSTWGHVATLAEEIKKGVDSVAGVESTVWRVPETLPEEVLGKMHAAPKREEHPVMTAAQLAEADGILLGFPTRFGMMAAQMKAFIDSTGGLWRTQALAGKPAGLFFATGSQGGGQETTALTAVTQLAHHGMLFVPIGATFGAGMFGMDEVRGGGPYGAGTFAGTAEAPRTPSDTELAMARHQGSYFAAIAKKLKDGGASSA >Dexi9B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:9B:2036571:2037623:-1 gene:Dexi9B01G0003570 transcript:Dexi9B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVTPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRSAAAKKGSLRLDDWVLCRLYNKKNEWEKMQLGKEASAAATTKEEAMEMTTSHSHSQSHSHSWGETRTPESEIVDNDPLPELDSFPAFQQDSAAGMMVPKKEQVDDGAKNSDLFVDLSYDDIQSMYSGLDILPPTGEDFYSSLFASPRVKGNQPAGAAGLAPF >DexiUA01G0023140.1:cds pep primary_assembly:Fonio_CM05836:UA:47218459:47222666:1 gene:DexiUA01G0023140 transcript:DexiUA01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATMASSSSRTLIPSRHHGAAPSPSTSGDSSARLLRAQPRHGRRGRGVSVSTPAARRRPFVFTPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFIILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAETGGIPIGIGKNSHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >Dexi6B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:6B:4585468:4587205:1 gene:Dexi6B01G0005330 transcript:Dexi6B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVGVTTGVMKPLVSKLSNLLEEEFVKLKGVRNQIRFLRDELSAMSVTLEMLADAEQLNLEMRLWRDKVRELAYDLEDCIDAFMARVDHGRDGSGFKKYFRKLKRLKARHEIANQIAELKADVIQASERHQRYKSKACAIEANERHQRYKSVMNPSNSSTYCGIDPRLSALYVEIDQLVGIDGPINHVIKWLKMDNKASLAQVKVLSIVGCGGLGKTTLANQVYKNVKGQFSCAAFVSVSQTPDIKKIFRDIAKEVGITDNILDHDEKQLIDKLREHLQDKRYVWSSLLIHTWVLLEASWCKQSGCGVVPRLICEWPRWRHYGGAVDASGAMVARLTASRVDGYISVAH >Dexi4A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:4A:24668729:24670354:-1 gene:Dexi4A01G0020920 transcript:Dexi4A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGDGGGGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERHILLLHGLIGNKWARISSHLPGRTDNEIKNYWNTRLKRRQRAGLPMYPPDIEREIATLRAQNINPFADADGGSAAANSGLQPTPLLYDASNPFALSPAVPSPSGSHSPLTINQNYPLLNQIQGMHHQQQVFHHHLTGGHQSPSPSPQPVFHHHQDSSGAAGGFVSSGLPPLPTRPHELPSNQFHGSAGAGGTGLLESLLLGGDHHLPRHSPSMVKVNSMPALTYRDPGSRVLPVHGAGSDSDDASHCPPAEDMYHGATWNFAFEDMKPAKRRTPSEAGISDMFGINATGSIPGEWFGGGSTAPSPGPSSAVTDEEFSLEMQQFMSLLPLSIDEHSWNA >Dexi6B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:6B:4314333:4314730:-1 gene:Dexi6B01G0005050 transcript:Dexi6B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFFGLFGRITSCGRAHKDAAAATETKSVASQHVEIRSRGGAPREDGGAKGHINNDII >Dexi9A01G0041100.1:cds pep primary_assembly:Fonio_CM05836:9A:44762588:44766040:1 gene:Dexi9A01G0041100 transcript:Dexi9A01G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLGSLALGGAVLVLFFGQWWQPLADTDRRVKELAEAVDALLRQRAEVLAHHDPSSASDPVRAWLRRVQEAQDEMASIKERHDGGQEQLYVVRLAQHLFLPAGSPVAEQQLKAARALREQGAAILEAALATPQEPPPLLCDPEDLEGLPDEAAGPATRAHLNEALRFLGDSDAALGVWGAGGVGKTTVLKLVRELCGRVARFDHVLLVAAAKDCTVGKLQREVVSVLGLRDAPTEQAQAAGILGFLRDKSFLLLLDGVWERLDLEGVGIPQPLGMANGKVRKVIVASRSEAVCADMGCRSKIKMECLNEEDAWRLFEANVGGDVVHGHTQIPTLARQVAAECKGLPLALVTVGRAMSNKRTPGEWADALDTLKASQLPSVPASDKSTHALVKFCYDNLESDTVRECFVTCALWPEDHNISKDELVQSWVGLGLLLGLGDIDEAHRFGHSVVATLVAARLLEPGDNHRYNMFPSDTLVRLHDVVRDAALRLVPGKWLVRAGAGLREPPREEALWRGAERVSLMHNSIEDAPAKAGSAIAEAAAQPASLMLQCNRALPKRMLQAIQHFTRLTYLDLEDTGIQDAFPMEICCLVNLEYLNLSKNRILSLPMELGNLSQLKYFYLRDNYYIQITIPPGLISRLGKLRVLEVFTASIVSIADDYVSPVIDDLESISGGARVESLGIWLDSIRDVERLARLAPGVRARSLHLRKLLDVRALPLLSAERTPELGGVQESLRELVVYSSDVEEIVADAHIPRLEVIKFGFLTKLRVMAWSHAATSSLREVAMGACHSLTHLTWVQHLPCLESLNLSGCNGLTTLLGGAGDGAAAAEEVVVFPRLRLLALLGLPKLETLRVEGECAFPELRRLQTRGCPRLKRIALRPARGQEGTVRIECDKHWWNALQWAGEDVKACFVPVL >Dexi9B01G0043190.1:cds pep primary_assembly:Fonio_CM05836:9B:43208111:43213187:1 gene:Dexi9B01G0043190 transcript:Dexi9B01G0043190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGPRGRGGGDRGDQRPPFGHGDGEGGGRSSSFVWPPPATTPHQVSCGAPATPQVSFSPAPPAAQVTMRAPPSSASPAPSSSAPSPLARSSSAEKTMAPPAAAAASDAEADVDLAPVCKKGLAHPARPGLGTVGKSLMMCANHFLVVVADDNLFRNDVSINPESKLRATNREVLNELIKFHGRTALSGKLPAYDGRKSLCTAGAVPFESEEFVVTLVEPEKEQKESVENENTITIRIAGRTDRHQLLRGKQRDMPQETIQECNCSLFLLLKQAGGHTTVLERAFVRNGIPYVSEVPTIIFGADVTLPPPGEYSASSIAAVLASMDWPEITKYRGLVSAQPHRQEIIEDLSTVSKNPQKGHNVNGGMMRELLIAFRSTNRRPARVIAYRDGASEDQFSHVLLHEMDAIRKACASLEEGYLPSVTFMVVQKRHHSRLFHEVHGRRDVNHQSGNILPGMVVDHNIFHPSGLISIYAVMLVFR >Dexi1B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:1B:25643411:25646637:-1 gene:Dexi1B01G0019450 transcript:Dexi1B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPASASAQFTYPAAAAAAVAGAPSYFPVPFHLQYPQYAAWPAATAAPAVAPVPSYNAVFPMPQVQQAQQLFQKDSKIITPEALATVKAAIANSEKDKKVEATKKAVPRKAAGQSWEDPTLADWPESKYVGNRPIKLRKSTWKTRIDFEALGKGKTQPQKKIKLQKRSVLHK >Dexi2A01G0034670.1:cds pep primary_assembly:Fonio_CM05836:2A:44531163:44532560:-1 gene:Dexi2A01G0034670 transcript:Dexi2A01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQLGALVTLLGLLGSVAGLSEDHYSKNESYCPGAEAIVRNVVEKAIGSKRGIGAGLIRLFFHDCFVQGCDASVLLKNTTGSSEETEMFGLPNINSLRGFDVIDEAKSELERSCPRKVSCADIVAFAARDAVRNLSNGAIDFSMPAGRLDGRVSLKDEAEKNLPGPFDELDDLKKGFSDQGLDEHDLVVLSGAHSIGRARCRFFENRLPRPNPSDMEPGLAGRLNVTCENGGDDFHVAQDPETPVVLDSQYYRNVRTGEVLFTSDDALNSTDATRKLVKSFAESTSFEWEREFAKAMVKMGGIRVKTTKAQGGEIRDKCWIYNS >Dexi5B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:5B:2874932:2880250:-1 gene:Dexi5B01G0004250 transcript:Dexi5B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVDVEQPGMVAAGDGVGQPEMAASGYGHGDASFVQTCLNGLNALSGVGLLSVPFALSEGGWVSLALLAAVAGVCWYTGILLKRCMSVDPTVRTFADIGDRAFGRRGRVIVAGFFHAELFLIAIGFLIVDGDNLDKLFPGVHVSVGHVTLAGKKLFVVLVALAVTPTTWLRSLGLLAYVSATGVFASVLIVLSVLWAAAVDGVGFSAPGATAVRPITKLPTALGLFTFCLCGHAVFPTLYTSMKEKRRFPKMLAICFVLCTINYGSMAVLGYLMYGASVQSQVTLNLPAGRVSSKIAIVTTIVSPLSKYALVVTPIATAIEERILRRRGGAGGRSGAAVVMPIETAVEEGILRGGAAAGSGVATPIPRNLRGGFAVSVAVRTLLVLSTVVVALAVPYFGYLMALVGSLLSVGACVMLPCVCYLRVFRPPARAAETVAIAAILVLSSVRACFTTS >Dexi2A01G0031650.1:cds pep primary_assembly:Fonio_CM05836:2A:42378734:42379373:-1 gene:Dexi2A01G0031650 transcript:Dexi2A01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASPVAARLKREDCPRTKHDSLFSPWKVLVGPSDWEDHSAGKEGVQRYRIRNLPDNFPGLYELGVAGASEEGIRSRRRDSRGVVVVYLGQADSVRARLQQYGRSGSHLDTGNSLGPAGKDEVTVVAAGPGLFGEVFSRGYYVVFRCAL >Dexi3A01G0027500.1:cds pep primary_assembly:Fonio_CM05836:3A:24864645:24876901:-1 gene:Dexi3A01G0027500 transcript:Dexi3A01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRRSPRFVSEKKAEGDQKAKLRHRKKRLKVTEDEPEVEVTGDVESSAVGASCDVATAPPADDAAEDALEDVKKRHGQPPSIRCSPSMFKNILSVLNDDMRTHLHAKGFGGLLQFAPAKLDRHLACWLMQRLNPDTMKLELGGGKEISVDELAVWCVFQLPRTGGDPPILSADVLRAKQDDLGRLVCGSSYDPKKGFSVADIRKGLHSGSLSDSLGLRAFFLAAFNSLLFSNSDSYIRLEDVQLSEDVDNIGDKNWCKAVIDDLSAAARVYRQNFKTKGVKAPIAGKSEADKFLSELDEGTRKADTFMLKAAEYSTMAHEVMEQSHNEYFMKMQKLFADSRAERDAANDQLVRSLVFMYDRVEKLKEEKEVCLLFLLVVMNNTLDHMYKGMRLEQDCLGFLLVAMSSVLEKEEERHFLELIKQMIMNMMPHLSLNHLMYGHLVTVCHPVIAMLTWLRKKYQVFEEMRYPFVHPSIEKGPRAGESEFDVDVASYLNSEECGTTTNDPIGSAGGQQGVFSSVNTDQPAEQVDEQERRKEAESASGMMPEASVSTVECTTPQAGKEPIAVVNKSALDGHQEVTEAEANVATTHAITGPSEKQLLPLQPEVYNVAEGASTLDASLSKDEHTTSLACSKTTADKFQGRLRKKPIKYISPFQIKESRPKVPFERALALRHKVANDNNLKGMILLDLSIFSSYTGNDLLSTFGDDLAGDSSILDIATLCLRFDDVLHKQDSVGYRVFVPPKFFGMKDITELYKKHTTVEVSNVNRSELVKDVMVDLMGYFYQRALEEKCLDKKDAVNVLDVVVGGEDLHELSSSAMAANLEMNVASSDEMNVASAVPEVGGGGLAKSTAVTERLNGSSDDDDNDLSQIEIKRMRLSDMVATSVIANATTGGEHKHVGEKKSACRASGAGSAAMEISFDKVQLSTPECQYHAEDADGDTNSADGKDAAIDGSSLEKTQCASVGQLRKGQYIDVRVASFNSPPTVGSDGIKTKQTPNANEDIAPQPQDQDVHVATQTEDPTADSSSEPLPTDIVSIRVKYVKHLVFTSDNEMEDAKALLADHETMVKRKWDPFKRAGWPYV >Dexi7A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:7A:21841964:21844607:1 gene:Dexi7A01G0011270 transcript:Dexi7A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANHWGGSFEIATDGASEDDDSRNMDLDPGAVSARQQQLRHELDETQQGWLLGPPAAKKKNRHVDLGCVIVKRKVLWWAFWCLVAGFVLVGLPIIISKSIPRKIPRPPPPDQYAEALRKALLFFNAQKSGRLPRSNGVPWRGNSGLKDGSDATDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLRTFNSSASSVGHVNAQVGAAKIKGKTPDDHYCWNRPEDMAYPRPTLSVTTAPDLGAEIAAALAAASIAFRDDAAYSTKLAHGAATVYEFATRDSSSNHHQATYSSNRPEIEPFYNSTGYLDEHIWSSTWMYYATGNSSYIATATSRELAARVSAFDDDILDFSVFSWDNKLPGASLLLSRMRKFLNPGYPYEETLAGYHKATELDMCKCFRRFAAFNFTSGGLALFNHGRGQPLQYVVANSFLAALYADYMEAVNVPGWYCGPNFMTTNDLREFATSQLNYILGDNPRKMSYVVGFGSRYPRRVHHRGASTPRNGVRYSCTDGYRWRDSKAADPNVITGAMVGGPDRRDRFNDSRMAFGQTEPTLVGNAGLVAALVAITSSGRGAGVGAVDKNSMFSAVPPLFPATPPPPPAWKP >Dexi1B01G0025320.1:cds pep primary_assembly:Fonio_CM05836:1B:30411259:30411892:-1 gene:Dexi1B01G0025320 transcript:Dexi1B01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLYGLGARKVVFNGLAPLGCIPSQRVRSTDGKCLSRVNDYALQFNAAAKNLLDGLNARLPGAQMALADTYSVVMELIDHPEKHGFTTAHTSCCNVDTEVGGLCLPNTRPCSDRSAFVFWDAYHTSDAANKVIAERLWAGMSAGHGGAPPVAPPRVGASVPAAAPSPAPSQDDY >Dexi2A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:2A:33247141:33247924:-1 gene:Dexi2A01G0021090 transcript:Dexi2A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTAVLRAGLLAVPPPLSASSPSPFFATPAYTRVPRLTAAARAVRYRRRSRPSRAAAAITASLDLTEDNVRLALDEAKSEASKRNWFPFSPIPNRNALGQLFDTSVGITGEAYPSRKANRQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >Dexi4B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:4B:531717:532407:1 gene:Dexi4B01G0000830 transcript:Dexi4B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTRSPPDSRERDRERAAARKPNAKGSSDGLTPEQRRERDKKALEEKAAKKAQQAATGGTGTSTDNKNKGGAKK >Dexi6B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:6B:23808382:23812864:-1 gene:Dexi6B01G0016550 transcript:Dexi6B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGEKRAALLRRITEEGGFAFVASAEKAAAGDVRAAEAAREMAWEQLHSAPRDEVGAAWRDAYALACLHVAALRAAAAGVGDDERRAALRALDMGLIMGGGLLRAELEDAIARVVADHRGANGGEAEGVAGGNVERWKEGIAGDRDLADVGKHHPCTESKQELITFSQFLERMWSSDSPNLTYVAQHPLLDQVLGRKYFRLYPASVSVDLYPHTETMLSNASQVDLDSIDDKEFPKTGGLEFMDGILEEGDLLYIPPKWWHYVRSLSISFSVSFWWRTSHLPSGSS >Dexi2A01G0029560.1:cds pep primary_assembly:Fonio_CM05836:2A:40605509:40606907:-1 gene:Dexi2A01G0029560 transcript:Dexi2A01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRFVLRVFRAKAPGLELLDLHEDLLREILLRIGVPADLIRASAACVAFRRFVAEPSFFRRYRAINRPLLLGFLYAADHHHLQPVEAPHPNAPAAGALYRAANFSFAQRLPRRRAPFHFESWLSCDLRDGRVLLMSRVFVRNRCKCRDIFSLNLAVCDPLSQLYLLLPPLLPKDLLASFQLQQHCSPCFEAFLVPSTEEDETSFRVIAVTMTDAKMVVFVFASDTGCWSVVKRKNKLIRLDMGKMELSTHNLPPGHHERNIAITEAGEGKLALFSCYGYDSTCLDYYTTTMQNRSDTDDEWKMMSTIALPVNHRVCLIP >Dexi9B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:9B:5850439:5853113:1 gene:Dexi9B01G0009530 transcript:Dexi9B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMNQSMIGGYASPTAVTTDLIQQYLDENKQLILAILDNQNSGKVEECERHQAKLQHNLMYLAAIADSQPPQTAPLSQYPSNLMMQPGPRYMPPQSGQMMSPQSLMAARSPMMYAHPSMSPLQQQQAAAHAQLGMASGGGGGTTSGFNILHGEASMGGGSGGGAGNSMMNTGMFSGFGRPGSGSGAKEGSSSLSVDVRGGASSGGQSGDGEYLKAGTEEEGS >Dexi7A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:7A:24953147:24954565:-1 gene:Dexi7A01G0015120 transcript:Dexi7A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTVVVVPFPAQGHLNQLLHLSLHLASRGLPVHFAAPPEHVRQARARVHGWADDVLRRVEFHELAISDYASPPPDPAATSPYPSHLMPLFDAFVSDAPAALASLLRELSAASSPRRVVVVYDVLTAFGAEEASRLPNGEGYAFHCTAASLISRDLDGGLQLLRDAHGLDDLPPLAYSTEEHLEFVAKRARTHQTIPSSTGILMNTSRALEGEFIDFVATTFAGYGKKVFSIGPLNPMLLELDGSLPDGKGVTPRHECLGWLDKQPAASVLYVSFGSLSSLRGEQIEELAAALRDSKQRFIWVLRDADRGNVFADESGESRHAKFLSEFKKQTESTGLLITEWAPQLEILAHPATAAFLSHCGWNSTMESMSYGKPILAWPMHSDQPWDAELICKHFKAGFLRMIEKMMVSEEGLAVQQRAMELGKAIRASMAADGSSQKDLMDFIAHITR >Dexi9A01G0022790.1:cds pep primary_assembly:Fonio_CM05836:9A:17927145:17927417:-1 gene:Dexi9A01G0022790 transcript:Dexi9A01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRMRWMSEGDGRWELDAETPATMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFFHRFMASPLVPTFSAARDGVSVHHAHLLHLTDNW >Dexi9B01G0040700.1:cds pep primary_assembly:Fonio_CM05836:9B:41216514:41217817:-1 gene:Dexi9B01G0040700 transcript:Dexi9B01G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFCELLLTAAVTLLAAFLLATLFAANDPPPRRAAAAAIVEEVVEEERIIEVDEVRRVEGRDVAAPVEVEEWVEVEKVPAVVAEEEDPECLPEEEGVPVKASREVRLGDGVDKGEEGGGGVELRDLTPAAAVTAVVAEASPQASGAVEAVSRDVIDVAELEEGSVQADQVKQHDLGAEVAPIEVVEAGSEKQGAEVVCEVTEVFPLETEAVEVKHHHLVAEVTPSEDVLEAGLAENSVQAIQARSDELDSETVPEDVLDVVLEKKEEQVVQGKEHELPVEAAAQSVLDVPLAEKEELKDHQPVEESVAVLEEAQSKEEAKCEAHPVDRQEELVPEEESMAIRTGDVNVNHEGCSSDKVATELPVEAVTLPGLPEGDTESDMEFEEWEGIERSEVEKRFGAAAAFAASGAGAAALSRLDTSRAAQGCH >Dexi6A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:6A:25048774:25050841:1 gene:Dexi6A01G0017200 transcript:Dexi6A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIGAPSAPVVSPAPSWKPGGTVAVFNPVTREKLRIPKLPVSYRGGYSYGTRDTYTFGYEATTGKYKVLHLPCRADMRGGFNALLAFTLGQDGASWRGVAIPGGASCFLDAGIVSVAGATYWVTSGLEKVVCFDVKDERVAFAAALPVGTGPGYQCRLMEVHGRLGIAVCADRRWNSPAKTEEVWVLGDGDDDDRQGWTRRYSVRAHGVEQRLAAPHFAPYGGEYVLATRPEDWGRNHLYAHRLRDAGRRRLPRGEVRSVPMSETGTVVAYCNTGYYLRSFAYVETTEPLNLYKTQRGSTTK >Dexi8A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:8A:3266915:3267739:-1 gene:Dexi8A01G0004160 transcript:Dexi8A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDHGDGQQQTKEDKDDHLKRGFFDKSIGTAAGLSKLLPTGTTMAFQTMAPSFTRGGMCGEDDVINVAFTWWLIVFLTLLCAVLSFTDSVRGKDGHTYYYGIAMRKRLVLFNHDEDKRQELADALTSKKMGWKDFLHAFFSAAVFMTLAFCDAGVQRCLVRSESPEWKQLLANLPLAVGFLASFVFIVFPSDRNGIGVETAPHPHTAAAPPDGGQTPTATPRNAGEHNTAAAGLPHLQQLHSRAVTRASARPRALGALLRRTSSHDELDSVV >Dexi9A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:9A:1257854:1262932:1 gene:Dexi9A01G0002380 transcript:Dexi9A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAASADGGAFLEFVDYAISMLSSSGGDGDESPGDGPAPARPPWGWAVAQVLKSCCAYSSGVTAAIILSDLFQSWSEQRKSLTSKRKVELTKLINTRNKRRRLPNTVTIDSIHEKNFLSPKSVLEAVVIDVFVLPGTNIYMLTLGDMWSASTIDLYLHRRFYDYIGQYGLLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVILLDEAKDEDAMLLAAQFCAYSFSSMISEENRHNVPYSFYARIEKIESLEPFRCTERKQIVLVDSDDTKMGFILWGEQVLLANLFSVGSMLALDRPYIANCVDSNHEESQELCLEYGSAHRFMWCQLLNKKNSLEVSWREKEPGSLFVNLSLLPALLNSSCLHNLAPLSDLPRLTNRTHICRVRLGCIDCNSLRVLLFHNICGCVVNEHSGELQCSFCKGACRRECAHGFQLHLPIADDSAKLFAWCVGQTAVEFLQISPDEYLELPEVQALKLVDRIMPK >Dexi1B01G0029540.1:cds pep primary_assembly:Fonio_CM05836:1B:33819000:33819590:-1 gene:Dexi1B01G0029540 transcript:Dexi1B01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSADHWPFSSSYTSAAAVPRAPDSEHCPPATQILPRHGAHAKSKRGTRSGAQCCHSPRRTSYRSTSALTPTSMSVSAAPPCSLLHSRPPMTYTDSPSATTANPFSMWPSGMPGSVPTRRHVLAPGSMLELPCAKQAYILTLLMTLSPAPPLLPVLEKDGEAATSQCKEPMALKSEPVNQVTITLCLLLFF >Dexi8A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:8A:20779854:20781442:-1 gene:Dexi8A01G0011760 transcript:Dexi8A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPRVSASPAASKLHATAPPPFLAAGRGRGRDALVFAAGVAVATAVLVLLGSSASVVLAPAGTSTSSLLVASPIPGPDDPPRTFYDDPDLSYSAADGHHRLTGWDAKRASWLRSRGLLLRRSPEKVVMVSGSQPEPCTGEAGDHLMLRLLKNKLDYCRLHGIKLLYNRDFLHPAMTSYWAKIPIVRAAMVAHPDAEWIWWVDSDAVFTDMDFSLPLSTRYRDYNFVAYGVPKDMERKSWLAINAGVFVVRNCQWSLDFLDEWAQMGPAFPEAHARWGKVFKKDLADKDSDVACDQSALVYMLLSNRDRLLGKEKAFVETEYFFMGWWGEIVDRLDGVAARYDAVERRRSSPELRRRHAEREHVLYAAARNAAVRGAVPGPPGGWRTGWRRPLITHFTGCQPCSGGRNPTYTKESCDVGMRRALLFADDQVLRAYGFRHAGPLNDSVLPLPFDYPAADNLFILGRKQSKPELVVESGGSRD >Dexi6A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:6A:1853263:1855716:1 gene:Dexi6A01G0001940 transcript:Dexi6A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAALLLQRQCLGAAAANPYIFSGHGLRYRKLEVILTTTIDKLGKAGDVVKVAPGHFRNHLMPKMLAVPNMDKFVILIREQRKLYQREEEVVKEVTKEDDDARLQEERLKQYQTAAKRLDNALLVARQLNINIHPDNIHLQSPLASLGEFELPLRLPLDIPRPEGKLQWTLNVKIRRK >Dexi4A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:4A:22498344:22502599:1 gene:Dexi4A01G0018490 transcript:Dexi4A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRETRGKADQPRLPPGPWRLPVIGSLHHLVKNPLKHRALADLARRHNAPVMYLRLGELHAVVVSSPDAAREVMKTHDAAFATRPLSLAVRATVADGMGLAFCPYGERLHIPGPLLLPKECRESCKLLGYDIPKGAMVLVNAWAIGRDPKYWDEPDVFKPERFEGAAKSDFQGTDFRYIPFGAGRRMCPGQGFSLATVELVLATLLFHFDWQLPPRVVPSELDMAEDISIVASRKEDLCIHPTIQVPRHGTTQYWDEPEAFKPERFEEDTTCHFLGTNLRYIPFGGGRRICPGIGFALATVELVLATLLFHFDWYLLLGMLPSELDMTEEISLQATIRVPLHDTTQV >Dexi2B01G0033960.1:cds pep primary_assembly:Fonio_CM05836:2B:41301187:41303620:1 gene:Dexi2B01G0033960 transcript:Dexi2B01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGRAYKIFSGKKTNKFRKTSVVFLPSRSSRRFHPPAPAPPRRAAAAAAAAMHKTAQAWFTGGTAASSAAAAAESQPSLLADWNSYAATRPDASSSSPLPFDIEAAVRSANDTVSGTFSSVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAISFTLGCALIIASLFALKGPANQLAHMTSKEVMQLAPRCCCFYRLPFSVGFVGCMVGTIYVSMVLHSYFLSVIFSILQVLALAYYTVSYFPGGSSGLKFISSGLLSSATSCFGR >Dexi1A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:1A:5838425:5840452:-1 gene:Dexi1A01G0007570 transcript:Dexi1A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVGGGGDYDLPLEEVDAVLASFFGDPAAALAPLPAPEAEASPEVLVAGEGLREGLGKVEKFLMEDREGEVAVDGVDEFLDGVLVGDGEDDGSPKSTGDRSADGASAGEDEEVAGADGGDDPDSKKKRRRMRNRDSALKSRERKKLYVKDLEMKSKYLEAECSRLNYALHCCAAENMALRQSLLKDRPVGAPTAMQESAVLTG >Dexi5A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:5A:10335763:10336201:-1 gene:Dexi5A01G0013700 transcript:Dexi5A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKACTIFVPQKDGKKPQQPNSAMVEHVEAERAKLHGEVMACAYEDVQVMWSMLDQARIRDIGGNS >Dexi5A01G0024860.1:cds pep primary_assembly:Fonio_CM05836:5A:28711347:28714502:1 gene:Dexi5A01G0024860 transcript:Dexi5A01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSGEDAPKRNPLPSALVSNLQSVLAARRPTPPEEVSTAAAASEADEGAPAAEASDSAPTDGAPARPIVLLTCAGGIRSPGLAALVDALVAGGRCDVHVCAPESNKPVCGHSITIRETITATSVDFTGAKAFEISGTPVDCISLALSGRLFPWSAPALVISGINAGANCGYEMFHSSAIAAAREALVYGVPSIAISLNWKKDESKDSDFKDAAEACLPLINAALADIEKGTFLIGCLLNIGVPSVPSANKGFKLTKQSGYSPAQSWQVVSTNRPSSAVHFMGMHQSLGIQLAQLGKDASAAGAARRAQRKTVEVESVAAAGKQEVREVVKKLFRAEFVEKQHEDLNEDIDLRALENGFISVAPLNVHGLVEPEIGTPASDWLSAVVSLDKEKEAAPATVDQQDASVAAEEKEAPSAA >Dexi5B01G0033220.1:cds pep primary_assembly:Fonio_CM05836:5B:33687010:33689591:-1 gene:Dexi5B01G0033220 transcript:Dexi5B01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAMTVDFLRARLLSERSVSRAAKERADELAKRVAELEEQVWAVTAQRCQAERAAGEVLAILESQGLGGHLSGDDSASDQDGDTAGATGEEEEEPAAAKGEAEDALSGTAQQPGGGLSWKGRSVSPRKATQLKQKQRRSSYLYLLSSSSDSSPKYRMGQSCRKNKRRIELSNSSKSAAPENEGGGAWSQKRRQDAPDCTDDGQVDMDGEGGGDERNSGDGGGGQYVIRYEKGGEMERMLERQAELIGQYEEEEKAQREWEKQYNESRNANKVGVDIKNKAYQTVAESKSSQKDLPVTINMSAEYLPNGSISDSPQNSSTENCAQRLEANNEPDHGHVQTSSVSAQESSNTSTVTRQEQEQDPRDLISDGDSGYEAYAKHHAIKAPSDGSPSSDTLNSKVSDWSSSQFHDKTDSQAETQPYRPASINIVDIESVLQALQHARISLSAKLSKPVPPSQVTLALPAPGDEYKKYDDLLGNQDSSSEELSSLSHAHQEILALPAPEDYHDRVDSPVNDNGISVAERLSSSSPRRGEILALPAPGDDCRRQIEDYTNIPVGTPGLFRLPTDSFPVDEKMFSGSIYLGAPVTRSVSGDGSGFSAKQRYDLQTPARLSVPAPGRCNIPTPDFAVVSAPFLHGIPGLEHDLSRAGPLGNAYPFTQRGIDYTISNKWML >Dexi2B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:2B:6305982:6310313:-1 gene:Dexi2B01G0006410 transcript:Dexi2B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGAMDLASGLGGKINKGEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKELNRLAGVSGTCDFVKVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKESKE >Dexi1B01G0029240.1:cds pep primary_assembly:Fonio_CM05836:1B:33613992:33618524:1 gene:Dexi1B01G0029240 transcript:Dexi1B01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASGRAPPISVAVPLPPKAKSHSFATSNPKRQAKIPLTPVHSPEARPRDGRTQAQRRGRRGRAKAEKNPSLRRPLDPHLFRAPTPLPPEAFLLRSNLLGGVGGKRVVVVVVRPCWVHAGGRGAPESRAAMALPVAFVRLSLLLLVALPFCAPHPGHGFHAPREFQSPALHSDGFGLLARRSIAETPGDINITTNSSFVLAADRTYRKDPLNGFRKYPGGWNISEIHYFASVGYTAIPLFAIALFWFMFFFLVMLGICCHHCCCPHHSYKYSRTAYALSLILLILFTCAAIAGCVMLYDGQGKFHKSTTTTLKFVVSQANYTVDNLRNLSDSLSAAKKVDIGRFLLPSDVQSQIDEIQGKLNSSATDLAIKTTDNAAKIKKLLNQVRIALVVIAAVMLLLAFIGFVLSIFGLEFLVSVLVVIGWILVTGTFILCGVFLLLHNVTSDTCVAMEEWVAHPTEHTALDEIIPCVEPAMANESLYRSRQVTFQLVNLVNQVITNVSNQNFSSPTPVSTPFYYNQSGPLMPLLCNPFKPDLSNRTCSRGEVTLDSANQVYRGFECQTTTVSGAEICTTVGRVTPRIYGQMEAGVTVSQGLYQYGPFLIDLQDCTFVRDTFTTITQDYCPGLERYSKWVYVGLVMVSAAVMLSLIFWVIYARERRHRVYNKQFIAQHQPYPVEDKPAPMAPNA >Dexi7A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:7A:20975070:20979779:1 gene:Dexi7A01G0010130 transcript:Dexi7A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTPTSLLLALLPLLLLPALAAAASLPALPLSTSSRWVVGADGRRVKLACANWASHLEPAAAEGLARRGVGDIAARVAAMGFNCVRLTWPTYLATNATLSSLPFRWSLERLGMQESVAGVRVNNPALLDLPLINVFREVVSALSSKGIMVILDNQMTTPGWCCSRTDGNGFFGDKYFDPDEWLKGLSAMATMFNDTKNVVGMSLRNELRGPNQNVSLWYRYMQLGAEAVHAANPNVLVILSGLDFDITLSFLYYKKAELSFTGKLVYEQHWYGFSDGGNWEIQNQNDACGLVVDSIWAKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSREPYNVIFHPQTGLCVLAKSSKSLELGPCDQSIAWNYTSTYELVVKSTGQCLQAKTVGENAKLGTDCSEPRSKWQLISNSRMHVSTELTKNGTRVCLDAGPDGAIITNQCKCLSLDPTCNPESQWFKVILSSRGTPGGSSILQLPSFGPWPPTSVSS >Dexi5A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:5A:23946272:23946810:1 gene:Dexi5A01G0020090 transcript:Dexi5A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGRRGAGDRGRRQLQSVVRLGSYLAGGFLLLSAASSVAVRSLRALSDANQRKFATPCGACEGKGTYPCRLCRGSATIDWSPGHDPVFINPCLCPTCDGTRVQRCLNCLGKGYA >Dexi9A01G0045420.1:cds pep primary_assembly:Fonio_CM05836:9A:48966432:48971764:1 gene:Dexi9A01G0045420 transcript:Dexi9A01G0045420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATYSLLHARPPAASGGGPSLPLRRLRPIQCRRRRCAAPVSHSHHRVLLPARGTPDGFTTRATADPSSSASSAVDAAAAAAEEGAGVGAGSAVLLDVSGMMCGGCAARVRGILAADARVETAAVNLLAESAAVRLRAPAPPGAGEELAARLTECGFPSTARRGGAAAGAGESARKWREMAARKEELLARSRGRVAFAWTLVALCCGSHASHILHSLGIHVGHGTFLDLLHNSYVKCGIATAALIGPGRDILFDGFRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLIVTSSSDDPSSDTILNSDAITVEVPVDDVRVGDSILVLPGETIPVDVQSHSMGNVIGGSSFVDESMLTGESLPVAKETGLPVFAGTVNWVEDAQAREAPVQRLADSIAGPFVYTVMTLAAATFSFWYYIGTHLFPEVLLNDIAGPDGDSLLLSIKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDALVLDKTGTLTEGKPVVTSIASLEYEESEVLRLAAAVEKTALHPIANAIIHKAELLELDIPITSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASPTKLRDLRNHLEVMLSSEASSSNQSKSIAYVGREGEGIIGAIAISDILREDAKLTVDRLQQESITTFLLSGDREEAVTSIGRTVGIRNENIKSSLTPQDKANIISTLQGEGHRVAMVGDGINDAPSLAAADVGIAMRTQSKENAASDAASVVLLGNKLSQVVDALSLSKATMAKVHQNLAWAVAYNVVAIPVAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQNTEKRQGDLRSRLN >DexiUA01G0009450.1:cds pep primary_assembly:Fonio_CM05836:UA:18590928:18591170:-1 gene:DexiUA01G0009450 transcript:DexiUA01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTTTPHPLEPSVPGVGGGKEEESRRMRLRQASVPTAVPVGDEDDEGARRVRKPYTITKSRESWTDPEHDKFLEALQL >Dexi3A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:3A:17064833:17066849:-1 gene:Dexi3A01G0021460 transcript:Dexi3A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEVAPVQHRGHAAAAAWRVVAGWLGLLFQILLRIIRGTPSSWAQLLSFVGLRHPLLPVAAQAQPSPEVAFVQLPSEAPADASTPPLRRLTVVLDLDETLVCAYESSTLPATLRTQAVEAGLHCFDMECTSSEKARTYRKLCFMVYRMLKEGRGWLSLLILYVYNFREYRDHVKDLSCLSKDFQRIVLVDNNPYSFLLQPLNGIPCITFSAGQPVDDQLMGTIFPLLKHLSLQNDVRLALYETFHMPEWFQRQGIPQIEQAV >Dexi3B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:3B:14539171:14540415:1 gene:Dexi3B01G0019480 transcript:Dexi3B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLATTPAALDQISLLRSSPEPLFFPGVPAVDLSSPGAALAVVDACQRFGFFKVVNHGVPMGVVDRLEAEAVRFFASPQVEKDASGPANPLGYGNKRIGRNGDMGWLEYLLLAVNHQGSVSKASPVPSSSLRRCREVVNEYVGAVRGVATWVLEAVAEGLLGVARRDALSGMVADAGSDQVFRVNHYPPCPLLERLPDSCSVTGFGEHTDPQLVSVLRSNGTPGLQVALRDGRWLPVPPDRDAFFVIVGDSLEVLTNGRMKSVRHRVVANSLKPRVSMIYFAGPAPEQRIAPLPELLGQGHGEQGPLYRDFTWGDYKKAAYRSRLGDDRLDPFRI >Dexi2A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:2A:28160242:28170328:-1 gene:Dexi2A01G0016500 transcript:Dexi2A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESFGAARLISRAIFLLAFFFFFYSSYAPMARKRAADFVNLESGEESDDADTESQDFSDSQAFSQSEGGPYAAYSYSYDESNESIKRAAYVQVLKDVIYLDFVNFGGRQVPNDIPRIAVWKGEMLKEYSSFDMKSTGPYGCHPLLDFSATCYSKDMIFLQNRSSILLDDDFCEKLESYSGCKLPVVLKEKICNLIQTFCFNPRMPVNLDVNSINGLPDEMKKSFCNLLNYVSNINSRTPKLILDLVKLFTDSADTDDHNIVRSPQVTPSNEHIRTPVNNNFDFSEPKDFDNIEENGSHGVFCSQFELHKFFHSSSHLNIDPQANSEVQIVGEKTLSDKVRERATNLMLCITPTFEILVPENLLKDPEFADEDVISRAFQRSSKARPLHLSNMRSTFEFYWQKYIHFDMGFDEYDFIFPVVPQQPLDN >Dexi4B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:4B:17586386:17586802:-1 gene:Dexi4B01G0015730 transcript:Dexi4B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRTEPSDDQGCGNNFSGDFPAKIWSSLPKLISNNSFTGTLPAQISPNIKWIMMGNNMFSGSFPTSAPALERFYEENNRLGGELPSDMSKLSNLTVLSVPGNRITGSIPASIELLQKLQTLNLRGNQISGVIL >DexiUA01G0002910.1:cds pep primary_assembly:Fonio_CM05836:UA:6150110:6151525:-1 gene:DexiUA01G0002910 transcript:DexiUA01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAPPEETQPPHAVCLPFPSQGHVTPMLQLAKLLHSRGFHITFVNTEFNHRRLLRSRGADALDGVPGFRFASIPDGVPPSDPDTTQDPPQLCYYTMTTCLPHLLTLLADLNAAGSSGTPPVTCIVADGVMSFGYDAAREIGVPVAALWTASACGFMGYRNYPQLIDRGIVPFEDEADLADVEGGLLATVITGARGMCDGVQLRDFPSFIRTTDRDDIMLNFLMREAERLSLPDAVMINTFEDLEATTLNAMRHILPAPVYAVGPLLLRERHDINHVRWFPFVSDVYAFHL >Dexi2B01G0026460.1:cds pep primary_assembly:Fonio_CM05836:2B:35588612:35591641:1 gene:Dexi2B01G0026460 transcript:Dexi2B01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCLPAQNLLNRSTPRRTHHHTRPNLSTRFVEKRRGGPPDESGLADMSCAASSRRAVRRLCSSSSASSSSEAWPRDGPFLRLQKQRGQHLLTNPHILDDIVRRAAIRPGDAVLEVGPGTGNLTTRLLASPAARVAAVEIDPRMAASVASRAQCLGLAHKLTVHHSPPVTTGDAMKVEFPEFDVCVSNIPYAISSPLTAKLLFGAHRFRTATLLVQREFARRLVGAPGHGERNHLATNARLVADVAVLMDVSKREFVPVPGVDSSLVEIRMKEARPTEVEPGIGLDEWLEFTRVCFRQHKLQQQQQRKNNKKKKTKPEKTLGTIFKQKEMAMELLRLSRRGVEEGLRGGNASGGGGHMALHGDNDVDGGGEEDEDDCCEETTDGFSKEEIVAFKERIAGALQSARLDKERPSRLSNDDLLRLLPAPIHQAAQYGGYPCILGLLARTRTRLSPGREICHSHFADAEQTTNQTARAICVLWARTAVRQASSSYSTSPEVGAAAAASEAWDGRFRLHKPRGQHLLTNPRVLDAIARRAGINPGDAVLEVGPGTGNLTARLLASPASRVAAVEIDPRMVEAVTARAAALGLADKLTVRPSARTPPARSNALEEENAGPDLEVEFPEFDVCVANIPYGISSPLIAKLLFGAYRFRTATLLVQKEFTRRLVATPGDGEYNRLAANVRLVADVRLLMDVSKRDFVPMPRVDSSLVQTRPRGVAPGVDLGEWLAFTRVCFGQKNKTLGAIFKQKRMVAELFSRSQRAEEHDGGAGGISLGALDDDCDEDGCGKGDDGSDKALGCSEEDVAAFKERIAGALDSTELASKRPSKLSNDELMRLLRLFNQRGVRFR >Dexi1B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:1B:1744052:1746151:-1 gene:Dexi1B01G0002080 transcript:Dexi1B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHDVVAPAVVSNGHHQRSSSSKRTIPAVMLSSGHGMPVVGLGVWRMEKTAIRGLIHAAIREGYRHFDCAAKYQNEAEVGDALAEAFEAGLVKREDIFITTKLWNSDHGHVIEACKDSLKKLKLDYLDLYLIHFPVATRHTEIGTTASVIGEDGVLDIDTTVSLEATWRAMEELVHMGLVRSIGISNYGVFLTRDCLAYAKIKPAVNQMEIHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTKLFGSLSCLDDPVIKELADKYSKTPAQLVLRWGLHKKTAVIPKTSKVERLRENLEALDFDISEEDMEEIKAIDRNCRSNQPAKFWGIDVYS >Dexi3A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:3A:2323765:2324904:1 gene:Dexi3A01G0003530 transcript:Dexi3A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLVSIFSRLVVDSSSPAAGPPATPPWPWPPCGTSPQTASFRAGDEPCCAPTTTTAAGCATRCPPAATTAGNTKLMAPGEMYKTVNSVYVDDSAADVDLFSLAGDETEEGELPDDDVDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDAGPLPASNSILATSSTPSPPTKPPAAPLVAEAKARTTETEVADEETPPDDPDDGGGDEDETQQQPCKSLAEGSVAVAVDSGDPYGDFRASMEEMVAAHGLRDWAALEELLACYLRINGKHHHHLIVGAFIDLLLGLASSSPSDATATSSSSSNGSACAGSRCTTSTSTSSSTAATTSSSAATATAAAAEQCGVGDEGVACCCSSSSSCAAEHDVVQDDVAEEEEEKAQ >Dexi3B01G0028090.1:cds pep primary_assembly:Fonio_CM05836:3B:23902121:23902668:-1 gene:Dexi3B01G0028090 transcript:Dexi3B01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRPGRFLRAPSGSRLCHTCFDCDCEHSAFAFSFSAAPPPSTFLTTSAVLQYSRTSVTRPQAPTRARRVRALGQHRRPERVQRPDLEREATASAGEEAHEHQVLVRARAVEPPVHVGVQQQAHGVHVTALQRAVQRLHHRLAAHGRRLAVVKAQATGIACHDVGLVASSQLG >Dexi8B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:8B:1984574:1986531:-1 gene:Dexi8B01G0002830 transcript:Dexi8B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQDSDHDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVTTDSTVTQTKFHIMRFGRKVEEPDMLERIRLTIISNLLQYHPVNIDIATHVVVEDDGPKRRFDSPSSYMLYVETADRPGLLLEIIKIIADTNIDLESAEIDTEGLVAKDKFHVSYRGAKLDNSLSQVLINCLRYYLRRPETDEDNY >Dexi9A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:9A:14635205:14636560:-1 gene:Dexi9A01G0019740 transcript:Dexi9A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQACAAVSELELARARCGALRSRLAASPDLRGQPALGSLLRLVDAELRFLSSLHPAPSLATPLSSNLPHLAALHLLLTHPAVRSPSRLSPFPGVDFACAFRGRPAWALVSASNPARLAWTPRGALRARVTAVLKAARGAPPATRPEKLLLVFSRGVGADIALGLAEEFRTVETDLLAEFIGVSEDEDEEGWVAVSFNTSEEMRSFRAFEIDVVEGAGEVLLPQEAVVAEGSASADEDVPLGLEGAFGAFVGKMWRESRELVNLDTTALVAIVSGISNGGVGKLMAAPEEVTRARFKCNYKFVMDQAQSELQFPILAELGKAVERKQCIICETVNSEFKEIVSMCGGPEEKNRARHLLKQLT >Dexi1B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:1B:19807243:19813405:1 gene:Dexi1B01G0013800 transcript:Dexi1B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGTQLRSVEEAQAHAEATNHANFVESTEAVLNLVCSDCGKPCRSQTEVDLHTKRTGHKEFADKTAEAAKPIDLDAPLKPASTEEAMDVDAPASSASGEPQEMVVPEVNKEMLADLEAMGFATARATRALHFSGNSTIEGAINWLSEHQEDADIDEMPLVPANSKTEANKPNLTPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEQNERKRMIELRRLEKEEEKRAREKILQKLEEDKAERRRKLGLPPEDPATSKPSAAPPVEEKKSALPIRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLEVCGFEKLEGNEFLFLPRDKVDKAILNTAGAELNSAITNPFFGVL >Dexi8B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:8B:19346208:19346647:1 gene:Dexi8B01G0010770 transcript:Dexi8B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMENLSDAKIKFVLHGIEASIGCLELPRLTAQPPPVHRPRLELLHPLSGSGAAARLPAALHPNLLDEVKGNGEGSLDLGECWSGRVVP >Dexi8A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:8A:26761135:26761378:1 gene:Dexi8A01G0015640 transcript:Dexi8A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSRSHRRPTCRRAVDPVKVMPVTWRTPVDVKESACCALRHASPSVGSFDGCCCCCKEDDDDDAMARGETRSGNV >Dexi9A01G0048480.1:cds pep primary_assembly:Fonio_CM05836:9A:51266799:51267865:-1 gene:Dexi9A01G0048480 transcript:Dexi9A01G0048480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKALKAVSVLVGIDSISVDMAAHKMTVIGTVDPTKVVSKLRKQSWAAHIDSVGPAKEPEKKEEKKEDKKDGDGAKKEEDKKEGDDKKEGDGAKKEEEKKEGDDKKEGDGKKEGDGEKKEGGGGEKKEGDGEKKAPAPAPPHFPLHYMPPPPPYMNMITADYMNQYRPPPPSAYYQPYAPPPQSYYVRNISMEENPNSCAIC >Dexi3B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:3B:2129477:2130568:1 gene:Dexi3B01G0003260 transcript:Dexi3B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARAAIGLLVAASSLAVALSNSGGATLFGYTAGSATGPENWGKLSPAYKACAAGKQQSPIDIVTKQVVPNPNLDTLERTYAATNATLVNDGHDIAVRFQGKVGTITVSGKAYSFDTMHWHSPSDHTINGQRFPLELHLVHKAADGAVAVIGILYQLGSPDSFYYQLKSQLGEMAGDKCNFAEEESRVEAGLLHLRSLQKRTGSYFRYMGSLTVPPCTENVTWSVLGKVRQISKEQLQLLKAPLPACDGRPAQPLNGGTVQFYNPPNSTISFQM >Dexi7B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:7B:20107056:20117030:-1 gene:Dexi7B01G0013650 transcript:Dexi7B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAREKPKVDQAVDSNGDKRKHKRGAYLLLGLLIIFFHGSWSVYQIQFGNLPLPLDAKQAGKMGFSEASALEHVKYLTSLGPHPVGSDSLDLAIQETNKPFFFREGAGDCSSCVGVMLELARGVAQRAHGFKSGVLFLFNTGEEEGLDGAHSFITQHPWRNSVRFAVDLEAMGISGKSTLFQGTDHWALESFAAVAKYPSAQIASQNDKMKLLKPGSLQHIGENMLDFLLHSAASPTFLKNAKQQKQGNAEKDKAVFFDILVGSSYIALIWLVSPAFAYGFLEATLSPVRLPKQLKVVTLVLGLAAPVVSSAGLAVRMADVIVGSIVRVDRNPGGLPDWLGNVIVAVAIAAVVCFMFVYLLSYVHISGDKRTLGLLVCTLFGISLALVSSGIVPAFTEDVARSVNVVHVVDTTRIDDGNREPLSYISLFSNTPGKLTKELVDLGDEEFFCGRNMTIDFVTFTMKYGCWSYKDSNTGWSKSEVPVVLVESDLVTDGARQTVISVDTKSSTRWALGINKQEIEDFTVQGSTVTVVRQALPTTRRNIRPPAVVIGASPTRDFPCGKLAGSRWG >Dexi3B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:3B:9966657:9968344:1 gene:Dexi3B01G0013880 transcript:Dexi3B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSFLGKKDQQTKDPRRHQDQPPPPPPPNAKRWSFGKSSRDSAEAAASATVVSAGAGNAAIARAAEAAWLRSAAGYAETDREREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRAAAAPVLATVGGRSTAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLHSMQALVRAQATVRAHRAGVPVLPHLHHLPPLVRPRYSLQERYADDTRSEHGGAAAYGSRRMSASLESSSYGYDRSPKIVEVDPGRPTTTKSRSSSSRRASSPLLDAAGGSSGGEDWCANSSCSPLPCYLSTTGPPRIAVPTSRQFPDHDWCCAPEKPRPATAQSTPRYMLHAAPATPTKSVAGGYSPSLNGGCPNYMSSTQASEAKVRSQSAPKQRPELAACGRKRVPLSEVVVVESSRASLSGVVGMQRGCSPRAAHEAFSFKTAVVGRIDRTLEVAGIENDRLAFLQRRW >Dexi5A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:5A:25424398:25425525:-1 gene:Dexi5A01G0021640 transcript:Dexi5A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLSPANAGILRSALELKPFAFGDQRLASPLHLNLAYGDDALFRCSSPFGPPSFGFSASPSPLATASSSVSLSPSSSASLADDFDDDAAATGHRLQLARLALQYQEVADRYELCLTRLAEAADEAAALRRENAELRVANGDLTRRLALLSGVRKQAAAAAIADEVRRLRLGEHNERPPEKLAVMPKSISVRSNDYLKINMPNNQALPATPAANNRKPRASNPTKPSSRVYMGDGGGKKGEEEPKEEHVGGGTELEVYNQGMFKTELCNKWEETGACPYGEQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTSSERLLLPRP >Dexi5A01G0024300.1:cds pep primary_assembly:Fonio_CM05836:5A:28159449:28164935:1 gene:Dexi5A01G0024300 transcript:Dexi5A01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDATAEVVNSGGSLVPWAAVGAADLLLDRHDVRHLLDRVPPRPRRPYSAAILSIPSSDGVSEAELDRERYLDLPSADGGDEDVGSGDAPPSGINISFLRAWLNSLVLCSRSGTETRQADYSTVHFSYGSSAGSDNPNSLDSYYRPSFYVPEGLLNKLMVGAEMKFLLVMHIVSLQCILTHTETMFLYHVSHLQPPSEKVHQIIARTALYVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVDHPQLLKDDADAVDTNKGNKTVNFEGEHASSGGALSLLGTAYDSGDDDGDTAPPGSKGMDGHVKPASTLPENKEPGKDQRVLSETASASVKSKPLLMKKNPMITGNRIIAAQRVDFEDTITASTTAKSEKTNSGLSETKEMILEPPSFMKCTMEKIVEFILRNGKEFEAKLIEQDRTTGRFPFLLSSNPYHSYYLKFLQETQESKSRGRDSDHKDRRGSSDRRDRSPSQRDDRSSRRRVDRSSRERVDRSSRERDDRRSSMECQDGSYGKEGTRSNAWPTTGMVSSASDRSSAGPSEKQLHQQGKGIFHPVSGVRKEPPRKVTADEAAAIIMAATRGLGAASDSLNTIKGKKEDVQIRGSNDHSSSFGSFSSLQDRDVLSKHISNSEADTSVTSSGQPKKEGFGIIDDDWIANTIAKAVAVAASKEADSSEACMTKEQKMKAERLRRAKMFAAIIKSGGNKMDASAAVSEPTNVFREATPADMNASGLDPQPEAKEREGSSAPIEREGPNLTKPDKDSDDEQNRVRKYRKKHQVESDDEKDESEESYKHSRKRHRSEHSRGHSKDVHKHKHKSRSKNSESRESRHGRHRHSSSEDEHEHRSSKSRHRHRDDDRYSDDEERSRSHRHRREHRSSSKRKHVEEPDQSVQSQGRLEVSPSTSGAKFASDKTHADTDQSSQGATEVPNELRAKIRAMLLETL >Dexi5A01G0024190.1:cds pep primary_assembly:Fonio_CM05836:5A:28085558:28088435:-1 gene:Dexi5A01G0024190 transcript:Dexi5A01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPALAAGGRTVANLLSTTEWMLPSPASQVHTIAVLPSHSPTPRHELAFSNLTTSLGNGGGGGGKGGESGSARFHVVRDDFLHPLANGNKARKLDALLPLLRRHGTTDLVTCGGCQSAHAAAVVYAKRDEMLYEHATKVAGSNGTVMWADDIIAEDLVVDEDTTGGNCSRRVMIVKEGAESCKVFNDVPSTIFVTLHISSCRLQWRVTAIMLADTLERYRQQEKSLVSDFEKLYPGNFLGMVENDTHGSIVQWVERFSPRRFGKVLDGEISMCRQVAQQTGILLDPVYTLAAWEQAVDLCRRDSETKVVMIHTGGTLGLFGLAQRYSPQFTTDEQS >Dexi2A01G0033510.1:cds pep primary_assembly:Fonio_CM05836:2A:43688319:43689821:1 gene:Dexi2A01G0033510 transcript:Dexi2A01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAAGGGGGCRSEPARWLEIAGKLLAARDLVGCKRLAERAVEADPHLPGADELLAVADVLLASQRQLPSGRPDPVAVLQLQPGPDLAAIKRSFTRLSQLVSAPRNPRPAADTALHFVQEAFADLSKNATSETPPPAASASTPASGGASAAAAADADAFWTACPYCCNVYQYQRALVGRAIRCQSAGCRRAFVAAEIPNAPPIVPGTDTYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNFQSPLQPASAGTANVDHRNFQSSLQPASAGTTNVDHMNFQSSPQPASARTTNVDHKNFQSSPQPASAGAANIDRRNFQSSLQLASAGAANIDRRNFQSSPQPSSKGTANIGIQNVGNNGRPIYANSTPENVQPANKSVVRGSAPGPSRGRMKKTTARKKVGAVLKKPISGAVESGIEPSMLGSNLWNGNAGNSSGQTLGTREININEVAKPTDGATMLNFGGDEDIGFDLDVDATDAILGNLQHLPFLREDDNTRRMF >Dexi9A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:9A:7678779:7680338:1 gene:Dexi9A01G0012130 transcript:Dexi9A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASAAHKIPLEVAHTLVEIAEVARYAYHHRPGYHAAQDGESTTLPLGDDGGGGAGEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLMATVNNASFLAHLEKLQDESAHQHAELSSGNMTEVEVGDIPDKMGTGKKGSWVLVACDTAASNLEEISGIDNENYVMINEDDIVDGIATFVARCILEDPKSKSLSPVQLQKGMSILLAAIRACLERSGLSLN >Dexi9A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:9A:13826984:13829855:1 gene:Dexi9A01G0018790 transcript:Dexi9A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARAAAAAAMAASCDLLDVDPLELQFPFVLNKQISCPLRLANRTNHTVAFKVKTTSPRKYCVRPNNGVVLPRSSCTVVVTMQAQKVVPPDLQCKDKFLVQSVVVSDGLLSKDITWQMFVKEGGNVVEEVKLKVAYVMPPEPSSEIAEEHDGLERVLVPMQRIVDNGRSTSEVSSGSVSLRSAEVGMASVLNEVGSPVGRIVKNGEFLKAAGPALETKTYAGPAEQSNQVSLYSLSTIIAKLTEEKNSALEQNRKLRDELELVRREASKQQSGVSPVLLIAIGLLCIVLGYLVK >Dexi5A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:5A:7784091:7789184:-1 gene:Dexi5A01G0010250 transcript:Dexi5A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPAASAMDWSVDLDRGLRSRHHATRVGALDAAAPRLRELCACAAVPAPAPVASAYGVLPGEARVFAETMLLRLATEFRTAADVSMRARVVRTLLAAAAGARGVLAGARVADPDQLLRRVKAVYDTGSARDRALALRVFGCLAEVAEDSVHVRSLILSSLGASTALEIGKQMVLGSLEDVFKSEMLYSLSRLIFCGKASGIHHFNGSELSKLLLAVESSLDYPSLEMQVEPFQTSAALEELRASNGNVELLSMSAEASLIETDIGTRSKQFEHRKTAEMVEFNSKLLQYIRRDLTKGGDEKDSQSGLEMVTSFASFEPADSGQGFSACLLDVSSFSEGSYQIKWHACYVDEKGSYFSLLPLNDGAVFSVRKS >Dexi6A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:6A:3774118:3777680:-1 gene:Dexi6A01G0004100 transcript:Dexi6A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERKGGGEEEAATAAAARAAEQARELQDEAAALLTRTRAEEEALRRRAVALQGELRRLRKAAAAAVNAPDSDKVEEDLDRATCLITDGDVASLLPSKTHGAFLKMFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFPVILLFLRQWLWNGCFPALPVQLYQSWLLFLYTTLALRENILRVNGSDIRPWWILHHYCAMLMALISLTWEIKGQSQPDCARKQVCSLVLRSFAHVIIDISVKDYILGLLWGRLKEWMLYGERQLVSKVNCCCCVLSFFCCRFGFFLWLHGETWQHKVVFEGYVGFLLLRTAHTGVIPEWQVVVCGILLIAMAIGNFANTVDTLMVKSRFKAKMKKSRGKRDLDTCPSPTGSSPTDSVTKA >Dexi1A01G0030580.1:cds pep primary_assembly:Fonio_CM05836:1A:35840019:35840936:-1 gene:Dexi1A01G0030580 transcript:Dexi1A01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKKLYGLLKEHVDSADDVGEYKMPEAYDMGENVNQEKRFSVAMQRYKDPKAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRMRSSDDYQYVFEDSIEFVKSSVIQGAQPEDYSDREDIDAKDILKRELQDERKTLPIYKFRDELLKAVEEYQVIIIVGETRSGKTTQIPQYLHEVGYTAKGKVACTQPRRVAAMSVAARAYEEMGVKLGHEVGYSIRFEDCTSEKTIVKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISLFWPDLKLLISSATLDAEKFTWEAIPS >Dexi2A01G0024060.1:cds pep primary_assembly:Fonio_CM05836:2A:35719445:35722904:1 gene:Dexi2A01G0024060 transcript:Dexi2A01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLGFFLLLLQFGPSACSNVYIVYMGERSPELDPALVQDSHHGMLAAVLGSEPAAKDAILYSYRHGFSGFAAVLTDSQAARLADWPGVVRVVRNRVLDLHTTRSWDFMRVSPSSSVGILSESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGKCIVGDRFNASNCNRKIIGAKWYIKGYEAEYGKMNTTDIYEFMSARDAVGHGTHTASTAAGALVAGANFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDVLSIGSFHAVAKGIVVVCSAGNSGPYSETVINSAPWILTVAAGTLDRTFLAKITLGNNSTFVGQTLYSGKHPAKSMRIVYAEDIASNNADDTDARSCTAGSLNSTLVKGNVVLCFQTRAQRAASVAVETVKKSRGVGVIFAQFLTKDIASSFDIPCVQVDYQVGTAILAYTTSTRNPTVQFSSANSILGELIGPEVAYFSSRGPSSLSPAVLKPDIAAPGVNILAAWTPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDNYGFEIVSEAAPYNQANPFDYGGGHVDPNRAAHPGLVYDMGTSDYVSFLCSMGYNSSAVSSMTLQHASCQHTPKTQLNLNLPSVTIPELRGKLTVSRTVTNVGSAMSKYRARVEPPPGVDVTVSPSILIFNSTVKRLSFKVTFQAKLKVQGRYTFGSLTWEDGTHTCQKIPI >Dexi7A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:7A:19630992:19636741:-1 gene:Dexi7A01G0008340 transcript:Dexi7A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFASASHRLLHPPHLPPPRPRGEPPNPRTANPVRSPTVEASSAAERSRSGLGSGFRYLTGAASGWWDRWMVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >Dexi1B01G0027960.1:cds pep primary_assembly:Fonio_CM05836:1B:32622705:32624968:1 gene:Dexi1B01G0027960 transcript:Dexi1B01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGSKDVPNEALSMEQSSTSGAKRKRGRPRKYEYPVHDLPQKAQPIQSVLPLRCTYDGSSIRQDGLQASHTSGDSAYGNRSGRPRNSSNVLKNSYNQASYHSGTPLQGNSGNDDVLGKHFVGKLSKKIPGFSLITVKVKDNQVLKGWVPDENNLRPIRPKDDLAPALPMLRPSQVRKRASAIHTQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHAVPLAPRPYIGSAVLAAVPISISPSNPEMTTLAKQGTEHVIAQSSVAAVPIKSGGPVVVPCKQLDNQNELAGKKSVNEFQKDSESSSENKESSGILCWIQCPAEFQTISFKPFYCFVLRASLETFQPCEKPNTALVDVVVKDSPEERQLLNVEVADEVKEPSGQTRIIDATMTDKIKTTSGTGDQPDFANSEQQSSKVMSEQSELLKTEAGVLKGADGLKSGTSDDIHHVNDEHEMKVDSR >Dexi9A01G0025370.1:cds pep primary_assembly:Fonio_CM05836:9A:23825372:23825676:1 gene:Dexi9A01G0025370 transcript:Dexi9A01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGVKPLPLSEMANLFLSLHLAVSPGLDNNPNNLVACTTFFSEATHVMLCLVSMMIDSPLYSYFLYHINIPSVKK >Dexi6A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:6A:23823839:23824162:-1 gene:Dexi6A01G0015930 transcript:Dexi6A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDELRASVGGLLGDLPRCVEQASRAVVTAEADRRGRRRRVAATDADAGESAAAAACIAERRAPKVSARPVTMSTSTGAAGQTTARQSTRSMRKAPIWRELSPPR >Dexi1A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:1A:26323932:26324597:-1 gene:Dexi1A01G0019150 transcript:Dexi1A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTISVNVLPCTPSNGDTSSCEGPINGTRPTGVDILDAYYHSIHDVYTSDFPNRPPVAFNFTELEPEPPVAFYVVGGGFGNFDEGKDPDTYNLVDPPCQNTVSVPTGGWAAIRFRATNPGA >Dexi8A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:8A:1188426:1189809:1 gene:Dexi8A01G0001720 transcript:Dexi8A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWLFFFYLQLTALSWLPPPFSFKYQIQAELFARSTKMSEAPGAAGASHGATMMPPKEPQDIDAWVLMAGLEDHSLVQQQQLRSHAIHAWQRERSSGQGRWLEVEE >Dexi3B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:3B:9376848:9379151:-1 gene:Dexi3B01G0013160 transcript:Dexi3B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSFIDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLIKKHAEVVVGDEVVLPEFQKHCRRRPLPEFWRDWDRPIPAQAWKAHNCIPDEHYVQTLLAQMGLEEELTRRSVTHSAWDLSASKDRERRGWHPVTYKVSDATPALIKSIKGIDNIYYETENRREWCTSDGKPAPCFLFARKFTRGAGLKLLDL >Dexi9A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:9A:7993657:7996922:-1 gene:Dexi9A01G0012500 transcript:Dexi9A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASRIVAFSLFSVQWRARGVLGFLGAAAPRADLRVDVKRSMDDGEKRFNQLSIEERGKFDEETLVNVNSIKRNKAGSQRSSGFTNEYIVAVEVLWTPQNENDTLSERELLEDYPLLRPL >Dexi9A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:9A:6623286:6624961:1 gene:Dexi9A01G0010810 transcript:Dexi9A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKGKRVVDPSSQGMWVSATELYPSDESDTDSDRAAAARASRRAPGVFEGGGDPGASSSTAKANAGDPGASSSTAGSHVVVQDITPFEDGQYVRLRNRGRGGYLFASESGRGVTVSLRRRMVNTAWAVQILSASVGRRVLLRGAYGRYLAATRMLVEGRSGLLGHHAMQCNFEHRDDHDIEWSVARGKKGSVVLLHVAGVRSRALRANGRYQRWNTGVTLEPYGVNQVSSMMEWEVQVIPLRVERPPYQRRPLALIRWGEDFKEEVQVSFTYAWFGHNGRVEYQEWMDMRFDGRSLTALGNEIARRLGNGAQFENMTLCVQAGNFGRATPLLTDLPLRDDPVNILVFMVNSPGENRL >Dexi9B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:9B:4692792:4693468:1 gene:Dexi9B01G0007650 transcript:Dexi9B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGWCSLPPPHAGPELLDDIDGHVVVGDSTISVSSRTPDVGTYAFDTGTWNWRHAAKWSLPFSGKAEYVPGLNLWFGLSASRPFHLCAYDLSAMDLINLGSGRFCVVKIFENTLPAFTKGFSDDEGGDEAVDCRDVVDWDFAVLTGIEMVRCDGEASPGKLRMLKHMSRYHVLLPVSPLHTEVHAVHNTSRWILI >Dexi2B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:2B:1725230:1725859:1 gene:Dexi2B01G0002180 transcript:Dexi2B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSSKLAFLALVAVLVVVATTPCAAQDSPQDYVDPHNAARSDVGVGGVTWDDTVAAYAASYAAQRQGDCALVHSGGPYGENLFWGSAGADWSAADAVASQ >Dexi5B01G0024320.1:cds pep primary_assembly:Fonio_CM05836:5B:26398279:26399061:1 gene:Dexi5B01G0024320 transcript:Dexi5B01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHLVFLMASLPLLLAIAITTATASAPNATAAADLSALLAFKAHVTDPNGILAGNWTAATPYCGWLGVTCGHRHRLRVTALSLPGVPLAGAMAPELGNLSFLSVLDLSFTQLTGPVPASLGNLKRLTWLNLSTNYLSSHVPAPLGGLAGLEVLCLDSNNLTGEIPNDLHNLQSLVWLDLSRNDLIGQVPQGLFDVMSQLKYLSLAHNNLMGNIPKAIGFSLPNLEILELSSNQLSGEIPSSLFNMSSLIWMYLSQNNL >Dexi8A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:8A:2823919:2824185:1 gene:Dexi8A01G0003670 transcript:Dexi8A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVRGGGRGGRLHAGSLDPAAAVGWWSVRELLLVVVVVVAVVWWGLLLVGNGDGDLGSKGDGEAAAGGGGGGGGERVRACERVGSN >Dexi9A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:9A:9435339:9441902:1 gene:Dexi9A01G0014350 transcript:Dexi9A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRDKSPDHNNQFVDIGDQAGAFAKRLKEDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPDRDLEKLLKGILQQVATPKMDDGKGVKEEKDVGISELGAYLSDKRSHHIYSGCNTAELTIKTFQLNRYLIVIDDVWTTQAWEAIEYKLVAAQTNNNCGGRIIVTTRIEAVAEACSAASAREHCIHHMEPLKLHDSKKLFLSKAFGKMDATCPEELTDVMDNILKKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVAHPTLEGMRHIVALSYNHLPHEVKRCMMYLSIFPEDYEIKKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFDEIFGNVEWYRVHDVLLEVMVSKSLESNFVSLLGEQYGGVLYDDRIRRLSIQGSSSSLGIERGMEVKHVRSLSMFQLQKGHKLLDNLDKFVLLRVLDLEGCRGVTDQHVLHACKLYLLRFLSFKNTEISKVPPQVKKLEHLQVLNLEGTMISDGGLSDNVTTLKKLERLLCNLWVLPKGIGKMKALRELYTVRLVDCVEIAQELGELEQLEAIIIDVGHSVSKEVREALATSLSKMYFLRRCWISSADYNLEFLHDLRTPPRRLRDIWIGGRVGPCFPAWIGQLTHLVTFDMWRARLNGDQLLGVLFKLPCLKRICMGYLCCVDRELVARTNYRFPSLVSLEVFARMTEEPEEFRFEQGSMSQLERFGIDFGERDGQVSIVGVEHLTNLKEVRLRGSKSSPALEHALQQVKAEKSKREESRQFEVVVKCYG >Dexi2B01G0032830.1:cds pep primary_assembly:Fonio_CM05836:2B:40611745:40612956:-1 gene:Dexi2B01G0032830 transcript:Dexi2B01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEKEEIERRACEKPRETDDEEDDGIEDQNERDREVIRMDESSWMTVSQYRREWNELYSRCYGSFEDTTRVPPMQFTDKPAPYHSCAFPMDTLQLFSVKIAATRGRSLQLPSDVFGMVAMRDSIDNNRNIVFQRTRDDCQTVTKEDPYLVLTGPTRAVMVISSCPVIIEVDLKVKGTNDSADECLSFQVAPVVCFKSTYSYLLNYAYTSKVSTLEFKLGHIVCSVEATIFVRVTHGSWPDGLRGVFAAFTSGVCDRRAGYVFVGGRRITGIGHERIVLLDSRGERLPVSGDGKIELSRRVVSAETSGKLTVRVSALLEGDKDVVENAESIFDTLEAGSSVGDLQFSFCKMEVAVFWSLIAMF >Dexi2B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:2B:30763106:30764298:-1 gene:Dexi2B01G0020760 transcript:Dexi2B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHRGASLLLPAVLLLASSMAPNLAAVAGSCADDNLPANRTYAHCAALGPLGATLHWTYDAKTAQLSLAFVAATTPGANGTGVGWVSWALNPTGDGMKGAQALLALRTSAASPYVVNTYNLTGYHALGANSTPIAYKATGLAADESGGKVRLYGTLQLEQGMEVVNHIWNVGSTVTADGAPFKHAFAQENLDAKGRLVLSGSVLGPAPEPSPAPPPSGSATEPTGEAAATLYA >Dexi7A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:7A:29156024:29158102:1 gene:Dexi7A01G0020330 transcript:Dexi7A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATNGHGQSIRNSTTRRNLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPRKGTLRYGVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYISNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDGVSIFGSSHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVVMLLGHSDSYVKDKSMQVTIAFNHFGEVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITSDAGALSCRKGAAC >Dexi2A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:2A:26471904:26473181:-1 gene:Dexi2A01G0015470 transcript:Dexi2A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFWCTRSSLDNERPNQPADICPCGPRRYSHRELAAATSGFSDEKKIGRGGFGPIALGVGSAILYLHTECDHLVLHGDIKPANILLDGSCNAKLGDFGLARLVDHGSDSTSPTRQVVAGTPGYMDPEFVTNQRPCPESDVFSFGVVLVEIACGRRPTTAQPTGTPVLLNWVRDMYSKNSLLGVADRRLDGEYDGWQMRRMLVVGLWCTHHDQSERPSIAQAMDLLRREDAELPLLDLVTHGPDAVRSLEEIAYGDLSQEDSASEGSSTDTAYHTSTDSTCLLVE >Dexi4B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:4B:14033954:14034931:-1 gene:Dexi4B01G0013860 transcript:Dexi4B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNIAFGRFDDSFSAASLKAYVAEFISTLLFVFAGVGSAIAYTKLSGGAALDPAGLIAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLAVGGQITILTGIFYWVAQLLGAIVGAVLVQYSTGVATPTHGLSGIGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGNFTNIWIYWVGPLIGGGLAGIVYRYIYMCGDHAPVASSDF >Dexi3B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:3B:1123642:1132769:-1 gene:Dexi3B01G0001590 transcript:Dexi3B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRQQQQQSILSFFQRPPRDPDGAGAGTPPEKAPRPPAGSVASIMERLVRPPPPPQGRNQDASQGKFYELYELDAEIGQKELDWKMTVSGVGKCRQVGISESGIDYAVDKLVARGYKVGRIEQMESANQAKARGSNSVIERKLLHVATPSTAADSNIGTDAVHLLALKEVTLSSSGSRVYGFAFLDYAALKIWVGSLHDDESSAALGALLVQVSPREIIYETSGSVKMQLTPLSGIDFSDVSQIRMLHDALKNGEVLPYHDKTCLRMDGQTLVNLEIFGNNFDGGSSGTLYKHLNHCVTASGKRLLRRWVCHPLKDIDAINERLDVVEGFIQNCGLGPTTLVYLRKIPDLERLLGQVRSTVGLSSSVQLPFVGERILKKQTKTFIMLINGLRNGLDLINDLQRADHGVSALYKVVDIPTLSSLRESIRIFEEEIQQEFPCYQDDVKDYDGNTLADLVERFVGNAPEWSLVINAVSTIDVLRSFAAMTLSSFGAMCRPHILLKDDVPILRMKGLWHPYAFAESANGLVPNDLTLGGDLSGLNRFALLLTGPNMGGKSTIMRATCLTIVLAQLGCYVPCTSCELTLADSIFTRLGATDRIMSGESTFLVECTETASVLQNATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVERVRCRLLFATHYHSLTKEFASHPHVSLQHMACMFRPRSGDGNGEKELTFLYRLTSGACPESYGLQVATMAGIPKAVVERASVAGQAMRAKIAGNFKSSEERAEFSTLHEEWLGTAMAVIVKDGHLDEDIMDTLYCVTHELKAHFRKAR >Dexi3B01G0033290.1:cds pep primary_assembly:Fonio_CM05836:3B:35924995:35926118:-1 gene:Dexi3B01G0033290 transcript:Dexi3B01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLESEDGAAIKVAIVERHENNRTSIVRFGALSSARVEVVALHGNFNSKNEESWSPEEFNKHIVSGREKNAQLLTGNLTLKLNGGEALLENTIFTDNSSFTSTKMFRLGLRLVNSSGERVLEGVTKPFRVKERRVEEFSGLPEASGLSEYRWHAV >Dexi9A01G0025210.1:cds pep primary_assembly:Fonio_CM05836:9A:23227751:23229398:1 gene:Dexi9A01G0025210 transcript:Dexi9A01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQGDHGHAGKNKYAVACSIIGSIIGSIISILMGYDTGVMSGAMLFIEDLKTNDTQVQVLAGILNVCALVGSLTAGCVSDWIGRRLTISLAACIFLAGSALMGLAPNFGTLLAGRCVAGVGVGYALMIAPVYAAEISSTRIRGSVTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAVLAVGVLAMPESPRGLVLQGRVEKALAVLRQVCDTAGEADARLAEIKVAAGLADDDATPHERSSVGKGVWREMFLDPTPPVRRILVAAFGIHFFQHLTGIEAVVLYSPRIFKAAGIATRSEILAATIGVGVTKTVLILTAILLVDHVGRRPLYLSSLAGIVVSLTCLGVGLTAVERSAPHHSPTWAVVLSISTVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVAMTFVSLYKAVTIGGAFFLFAGLAVLAATFFYFLCPETQGRPLEEMEEVFSRGWRARLVGSPSATVELPVRNISADKALP >DexiUA01G0010040.1:cds pep primary_assembly:Fonio_CM05836:UA:19718822:19722939:-1 gene:DexiUA01G0010040 transcript:DexiUA01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPISPFPGPNRARQQAQHSFTLSLPRCLAARWAQAVRTVFLASPSRTRARVPAAPVFPAFISRRLSFLGSPDLSPSLRVAIAAVAAQTLGLTRRPRRRVAREGKGVAKPLISFSLALSSRAAITRRELPPFLPSQESRKTELSSPSFANSGEVPARRRRASSPAPPRFAPLIQSHPIESVWVSAESAAPKNLLLELNQMGMWQQF >Dexi5B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:5B:9084201:9084964:-1 gene:Dexi5B01G0012790 transcript:Dexi5B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQEAQTGNVPAASAGPKPAAAGAGKGAEGQSVVRRLQSELMALMMGGDPGVSAFPDGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTAEYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEFRKMVEKLYKPAA >Dexi3B01G0028330.1:cds pep primary_assembly:Fonio_CM05836:3B:24460836:24462366:1 gene:Dexi3B01G0028330 transcript:Dexi3B01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLLGIGAASRGRNGRPPHHAPSSSGVPLVPITLICANETTPSAFTCPRATPPPSPSPAAAALPSSDQLRRPAPSSPTCPEHFRYIHSDLSPWRESGITREAVESARDKATFRLVVVGGRAYVDKYRPAYQTRDVFTLWGILQLLARYPGRVPDLDLMFFCGDIPVVRAAAYPDTSKAPPLFMYCTDDRALDIVFPDWTFWGWPEVNIRPWAPFLEEVERESRSLPWKDREPYAFWKGNPNVSGLRRDLMRCNGTDDGGKDWHVRVFRQDWGYANRNGFKDSNLAKQCTYRYKIYVQGRGWSVSQKYILACGSPMLRIDTPFRDFSSRGLVAGRHYWPIDAARKCPSIKFAVDWGNAHEAEAQRMGEEGSSFVRDELSMDYVYDYMLHLLTQYARLLRYKPTVPENATELCLDSMACSARGRAREFMIESMEKYVADYEPCTLPQPFTADEVKELAQTDEDVRSKVKAMEEQEEKET >Dexi4B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:4B:12263218:12264045:-1 gene:Dexi4B01G0013560 transcript:Dexi4B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLFSILLPAARGTSAARDAARAAAATASRVARRPAAASGSARSAATAASGSARSAAAAASRASAVRPAYMYPEEVEGYSEEEEEDEDEEEEEEERHKSRAYDHVPAKDVTDKDLESDEAIWALYERWCKAYNKERNHGEMARRFNRFKPSARSVYYMNKGGEPVYLGKFADGINAQERVEVESKVAAIFRDLRKVEKFFERMKFMLIESPNQSP >Dexi8A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:8A:3929156:3929722:1 gene:Dexi8A01G0004510 transcript:Dexi8A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHADPFHSYCVALVVASHSELKNWASKQGITYSDFSDLCLKQETVKEVLQSLAKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREIIKKAYEKDLAQLYY >Dexi1A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:1A:27428628:27430463:-1 gene:Dexi1A01G0020570 transcript:Dexi1A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLPAGTAPRQGTSSSSPSAFLDGHAACSAAGGESRCLRHCVVLSIYGLDRRKDRNPEKMRRFLHLTNGESQPFLSLKP >Dexi4B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:4B:1014298:1017336:1 gene:Dexi4B01G0001700 transcript:Dexi4B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAADPRQQQAPPQQHSAAPVPGAHPGMGAASQMAAAAGVQQPMKRPRPADFSDIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEATQAAIALDALQGIGLVGIHLP >Dexi5B01G0037540.1:cds pep primary_assembly:Fonio_CM05836:5B:37026152:37027116:1 gene:Dexi5B01G0037540 transcript:Dexi5B01G0037540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPSVIFLLLIVAANPFHASRGLHLPPAAAGACIPQEREALLAFKRGITGDPAGRLASWQEGEEDCCRWSGVRCSNGTGHVVGLHLRNPADDLDLTDTALAGQISPSITSLHRLKYLDLSKNNVSGPTSRVPEFLGLLGNLRYLNLSGIPFYGRVPPQLGNLSKLHYLDLSAITNRGPWGTYLNSTDISWLSNLPLRYLNMDYAYLLGIEDWAHVVNMIPSLKVLRLTDCGLPSANQSLPRLNLTNLEELGLSGNLFYHPLSSCWFWNSTSLRYLELARPRDGEYE >Dexi4A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:4A:12671565:12674328:-1 gene:Dexi4A01G0013330 transcript:Dexi4A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLQVPAGGAAAGAIGSVGNTPVTPGSDPPPSSSSPLTPTGATPSPTDTSSPSSTPPPSSPSSDPSPPSPSSPTSPPPSPSVPPPSPPDAAPPPATPVASPPPPEASPPPPPAELPPPPPAPVPTTSPPPPPSVAPPPPDNAAPPPASVQAPPPPSAPPPRQSPRSTPPSSTPSPPSPAAAEPTVSPVVATPPPPSPAHSTVDYSPPPPVRTSSTTAQHSPAAAVDSSATPPPSSSSGLSSGATAAVAVVVVIIVLGFAGVFVCLSKRRKRNQADRYYAGFGVPSYTPQHLSGEAPFLRPPSAPGSMNFSMGAGGAPGMSAPMSQGYGQQPPWVSSANYSATMGSQGPARSVATSASGDLSVGNTKAFSFDELYDITGGFARENVLGEGGFGCVFKGTLGDGKVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDYVSNDTLHHHLHGRGRPVMDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDMFEAQVADFGLARLAENDVTHISTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLDGNFDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYNQSRSSIGSRRDF >Dexi8B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:8B:256821:258949:1 gene:Dexi8B01G0000370 transcript:Dexi8B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGSPKMTVLHAPVGVRSIVSSLVAFFIVASSVTFLLAEARKRKCKWRLSMDAKKRRFDATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKIRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLRWRKQKDDMRMKVMYGSFEDGDARLDEMEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMAKAKSYFKTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >DexiUA01G0026310.1:cds pep primary_assembly:Fonio_CM05836:UA:56161336:56163289:-1 gene:DexiUA01G0026310 transcript:DexiUA01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAACHGKLSCLALLSSLLVLLHAHVEPAAAACSVDAIYSFGDSIADTGNLLREGPVGFFASIGSYPYGKTLGKPTGRCSDGLLVIDYFAMALNLSLVSPYMDKGADFASGVNFAVAGATALDRAVLLQSGVMMPPASVPLSTQLDWFRSHLNATCGSQEDCAKKIAVALFLVGEIGGNDYNYAFFQGLRSIESMKAYVPQVVNTVMDVAKASLLVWNTREVIELGATQIIIPGNFPIGCSPSYLSLFSGSSAADLDDRGCLKSYNAFAQHHNEQLQEAIDDLRKANTDVTIIYADYYGAFMHLLDHASILGFDQGSLLQACCGAGGAYNFNMNLMCGAVGTSTCADPARYVSWDGIHLTQQAYKAIALSLLMEGYAQPDDAVQEIWSC >Dexi9B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:9B:5790148:5790520:1 gene:Dexi9B01G0009410 transcript:Dexi9B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVSLAATILLSGLVIMAAMGPGEATCTLPCAYGAYITCTNSPGKNFTGCACQYCAPPGCTGCVVRYDNSSSTLQA >Dexi6B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:6B:13777247:13781634:1 gene:Dexi6B01G0009430 transcript:Dexi6B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFALDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKITHSLKKRGKRKVEHRSSSFTIEDVRDEQEERAVFTFQQELLNRNLLPDKHNDYHLLLRFLKARKFDIEKAIQMWSEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDKQGRPGLKNFSKTARDMLSRMQKIDSDYYPEGRNSDTSTVESGSDIDDLGSPMMRSTVERSTMGCSRLAPVREEMQMRARDSAAYYSCDDHFVVVDKTVDYGRGGSMPDKTNTSGVRAKVGPLHTSTAAQNAGPSSNRRSAAVPKEVSDEGTFHRFFRLLLTLIFKVFAFFHIAYGQQETRVNDSVPPEPISDEHPAVETFSIEHISPIIERLQRLEGKVDELGSKPPEIPLEKEQSLLESWDRIKCMESDLKRTKKVLQATVMKQLEIAESLEEMIRSNMRRRRFCA >Dexi9B01G0035550.1:cds pep primary_assembly:Fonio_CM05836:9B:37155934:37163622:-1 gene:Dexi9B01G0035550 transcript:Dexi9B01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCSRILAAGHLLRGSRFESSQNAAAAAAGLRRLNGANRPGARKPLISPLLGGFGPNCGVPPGKFGRLGSFVPDSAYPSLGARLPRDTRGHAFSTSANTVTAGKPVNDKVQNDASKKDVDEQIADSQILKNLGKYLLLNDSPDFRFRLVLSLGLLVGAKVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSIYELMGKISEE >Dexi3B01G0020890.1:cds pep primary_assembly:Fonio_CM05836:3B:15805611:15806325:1 gene:Dexi3B01G0020890 transcript:Dexi3B01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVSISLSSSGHHPGLRPRPTSRAHPIYHWLLGGQTAGRCRRRRLTVLAAAGKKPGEAEEQIPAWAKPGADEPPPWAREGGAVQGQEAGQVPFYAYLLASAITAIAAIGSIFEYTNQRPVFGIIGSDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANREAEEQDRRDGFL >Dexi5A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:5A:18450493:18455604:-1 gene:Dexi5A01G0016560 transcript:Dexi5A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKAIIGAVWTPLVINAVFAGLNTLSSYMGPFLITYLVELLSDKNPNRGHGHGYILASLFFISKTIESLSQRQWYFGARRIGFQVRAALMVSIYKKSLLVKNSTTGTGKIVNFLDVDIEKIGEFFWHIHGIWLLPLQVSLALVILYHSLGMAASLSALLATVLVMVSNTPLARLQKNLNVKIMEAKDSRIKATAEVLKSMKILKLHAWETAYLDKLLKLRDVERGWLRRYLYTCSAIAFLFWASPTLVSVVTFGICILVDVPLSAGTVLSALATFRILQDPIYNLPELVSMVAQTKVSLDRIEKFIKEDHHGKPSNYCNISSTEKPSVPGIVEIEAGEYSWESADSILKTKFALNIDRKVNIMKGQKVTVCGPVGSGKSSLLCAIMGEIPRVSGAKTIVTGSRAYVPQSAWIQTGTIQDNVLFGKAMDKVLYDEVLQGCALNKDVELWANGDMTVVGERGMNLSGGQKQRIQLARALYSDADVYLLDDPFSAVDAHTGAHLFKECLMRQISSKTIIYVTHQLEFLRDADIVLVVRKCCLEEIIREDSRLLEAPGRILEYDSPNNLLQDESSAFSKLVMEFVGRTEDINQK >Dexi1B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:1B:9637505:9637948:-1 gene:Dexi1B01G0010300 transcript:Dexi1B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTTILPPVPVPAATSWAAAGGDDDVDVECRACYGIVVACVSLLLFCVLAATAGVVKACAVTGLAVAFFGLIGWLVPPGVSTRGAPDITGARRADVPPAFVYECSGEDDGGGKAGGSALCAVCLEDVRRGEAARRLPACGHMFHV >Dexi9A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:9A:5263113:5265241:-1 gene:Dexi9A01G0008900 transcript:Dexi9A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLDTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYILRVSSHGYDRSNMDDDESERIKKDIEASQDNALSLCTEKKGRYLQMNLQFFLQFRWLAGMRKGGLALVHLKHQRNLERGNGTGKGISYGDMIACDNENVSHFGTK >Dexi2B01G0024110.1:cds pep primary_assembly:Fonio_CM05836:2B:33566340:33568961:1 gene:Dexi2B01G0024110 transcript:Dexi2B01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRSNDQILTELDALSHTLYQAHAKRRTASLDAGAADSIRASSARPVSGRRMSMSPFRSSKKINMNEDDHDDDDHSDNAARPSKSQSFAAASTASPAGEKKGKIWGWKPVKALTRIGMQRMGCLFSVEVVAALGLPATMDGLRLAVAVRKKETRDGAVQTMPSRVHHGEADFEETLFVRCNLYCTGKPPLLRFEPRPFLISAVAVDAPELDLGRSAVDLSLLVKESSDKSQQGERVRQWDMTFPLAGKGKGGELVVKLSFQVMDDGGVGLYSQPAAAANNSSASSSSSSMFARKQGKSSFSIASPKAARSEMGLIPPKAGAPSPDLMGIDDFKLDEPSPAVPVVEVVKQEQPKEPEPEPKEPEPEADDSEFPEFDIVDKGVEGEQEKDEPKEEEAEDKKETEEEEEAAGDEVVKEVVHDSARTWRLNELEAITNQIKALEHMMHAGDVPDSPERQDEDQVVAAAGLDADEEEVTREFLMLLEQGGHEEDGVAKSSSMMPPQVSSSPNKSGAKPPGSGADAATCYISDLGKWLGPVVQTRDGGYLAAMNPFDLPVERKELPKLAMQLSKPFILRDTKLLVTGGAEVFQRLGAGGGSEALCAKLGALIAMDDVVGKTAEQIAFEGMASAIITARSKGLVASSSAAHSVSVLRAMCTAMDHGRQERIATGIWNAQEAPVAVDEVLAFALQKIEAMAIEALKVQADMADDQAPFEVSAEKTSMAEHLLDTAVPPEEWTNAGAGEDTASLLVVVQLRDPLRRYEAVGAPCIVVIQAARADGDDDEEEPRFKVASLHLGGLRLKSPDRRNMWDGEKQRLTAMHWLVAYGLGKAGRKSRAAAAGKGGSEVLWSMSSRVMADMWLKPLRNPDVRISPK >Dexi6A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:6A:27102481:27105171:1 gene:Dexi6A01G0019500 transcript:Dexi6A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSRISRSNEMVDEFEKLVIRMNPPRVAVDNDSDITATLVDSANKYGTLLEVVQVLTDLKLTIKRAYISSDGEWFMDVFHVVDQDGNKLYDSLVIDRIEQSLGAGSLSFRGAPERVEAEAEESQTTIELIGRDRPGLLSEVFAVLTDLKCNIVASEVWTHDARVAALVHVTDAGDGDGTGGGAVEDPDRLDTVKRLLRHVLRGSSRDRTASRAAIVDSSSAAHSQRRLHQMMHADRGARRVAGDEDENGGAGAGAARGGGSGRTVVEVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMGYVVFHGTVIAEGSEAYQEYYIRHLGDSAGGSGEERDRLRRGLEAAIERRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVETRGAQAANVFYVVDASGHPVQAQAVDAVRAEIGEQILSVRELDAGNGGGGPRSPTGAGGGRRSLGNMIRSRSEKFLYNLGLIRSCS >Dexi6A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:6A:27552210:27553703:-1 gene:Dexi6A01G0019960 transcript:Dexi6A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTARKCSLQNVNVDVEHCLSMCVEERLRRLISTLIRVSKQRIDTEKTGHRLVITSDVGRQIFQMNQKAKEEWDKKQAEEADKNKKQTEADGRGAAESEKEKEESRSKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAPASQSGRGSGPRPLSKFGKGPGENQEGSKRSHSAAFGTGGMKRPGRTPFAGPQRTISVKDVICVLEREPQMTKSRLIYRLHERMPGDSTAD >Dexi8A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:8A:5023523:5026692:1 gene:Dexi8A01G0005460 transcript:Dexi8A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPTRRTRTDPPEKSPTQPDPIIARKNPTQPGPTRKFTLKTRNPTQPDLTRPKPDPAPAFFLVAEQVASALFWLTDCLPACLVSACSPDFEASNPGPWRRLELSNHPHYWRSLNYHESVPSRATPRHGVASHWLWRRFLPPHNVALAVVTVAWRDCSVARILGSRMQLTKSLDQLRRSPFLLAQLLLSFLRAQSRRGALQRCRWLVYAKRKTGGLDVDGEPLKIVSSSAFSSCREAMALRPPVSKRTYLPNPAPPPSPPASQPTTRVFYFEPDVRTAGQRRSV >DexiUA01G0004420.1:cds pep primary_assembly:Fonio_CM05836:UA:8554354:8554836:-1 gene:DexiUA01G0004420 transcript:DexiUA01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAAMEEATTTRPPGWLILDRFVHRTTHDFAAVSDDATATATSSTCTGQPISASLRIANSPPAISRLHLHWPRRREFKRLPEPYVIAAHRHAILFKARAPFSEHDMGRDDTFFFPVDLFVYSSPSSSAPPSLHRLPPCFVGGVSAPAEDMFFTPYRNTQ >Dexi9B01G0033960.1:cds pep primary_assembly:Fonio_CM05836:9B:36004017:36004547:-1 gene:Dexi9B01G0033960 transcript:Dexi9B01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASSGLLKSYYLPRASKYFAYLRRSLQENRSFQLEEWRKDWISYSNEWQSGKELYAVKATGDALAISRSLFRKYFS >Dexi9B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:9B:7965787:7967001:1 gene:Dexi9B01G0011970 transcript:Dexi9B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRKASDPGLVADDLIPFGRACAPPPLAATVNNASFVQSAAAPTRDSSWAAEAAAAAQRGHRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGSNTRTNFWPRPPSSSAGVITPPPPPSSASALPSKVTNLLLLRLKKARGGAGAMAQPPPVLHHHQQQGVHQQGYGGGGGQEEYTFHVDDFLSYDSSSNSDELVVHGVKHEAEGSNSHCASHETAEDDGDEASLDFGFMDKQPSPAREAVDAAAGLYSPFEMVVADDELGGAAAPPGAIHEDFMKRMKYERKFSASLYALSGVSECLRMRLAGDELALSGLRDACRKQKQEEVEDEGGNVVVGHEEESSSCSDSVVSSEATSSSPEAASSPQDVKAGDSDMWSSLDLPPIC >Dexi1A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:1A:422353:425117:1 gene:Dexi1A01G0000680 transcript:Dexi1A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPVKMISSCPICNIQVLTVELEQHASSHFEDDDQLQRGMELAHQIALAESNTDIVVNGPEHCTGSFTSDSNAQGASSSHSGYPHHYAELCYVDSGIANSEEMEQLKTIDSILVRF >Dexi7B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:7B:17929877:17931365:1 gene:Dexi7B01G0010660 transcript:Dexi7B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVVAGGAPCFPPWADLEPGIISSIAACCSLADYASCRGVCSSWRSALPPPLSRPLAVLPADDASGHPVSLAACSLHARRWARLLLHAGDLLLHRPASIIGDAPGCRCVGASRDGWVALVAGDAAAPVGPVLFNPFTGEEIPLDPSLYQPAHHPAPKIVFSRNPIGHGFTAVSLVRPDMVAVQRAAADGYSYSEDTGPLLDGVFLVDLAYGGDGDKVYCLSRDGQVHVLHLPRRSRVNHRMPPMEVGTLPPRSPPVGAAAFPPPYDAISLVTEAKSLALCDGVLYQIWRRATGAGPVTVDAPVAGATAARWIHIFEGDVFVLKYDPEKDPGGTCWTVAEGKDLGGNAVFVGMNDAAVVRGEGVRANSVYYWDGPRGGGGGDYEAVVYGVETGASVRWPVAFTGGVSSPAWYFLPPADVRPRVEGKATDVEATSSAEEATSLGQDEEQQQSAHCLKKGKVSPGEVGKS >Dexi2A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:2A:1742129:1744883:-1 gene:Dexi2A01G0002240 transcript:Dexi2A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWGGRATVLAGGGTPVVVKMDNPNWSISEIDADDDTDAFLPGAGGRSRRRRKRGKNAKQITWVLLLKAHRAAGCLAWLASAAVALGGAARRRVAAGRTDADAADDEEEDPTSAPEPPRHSRLYAFIRGFLLLAVFLLAVELAAYTNGRSPAAASFAALHAAWVRFRAAYLAPPLQLLADACVTLFLVQSADRLVQSLGCFYIHLKRLKPKPISPALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQALIREEVEKWQQHGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLLDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVVCYIPALMSFLNILPSPKSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSDHDLAVMAPKGHRMQQRPVAAFAEAKKQPAAPVEKKKKYNWIYKKELALSLLLLTAAARSLLSKQGMHFYFLLFQGVSFLMVGLDLIGEDVK >Dexi2B01G0029960.1:cds pep primary_assembly:Fonio_CM05836:2B:38277745:38279659:-1 gene:Dexi2B01G0029960 transcript:Dexi2B01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLRLLLLLVCSALLLARAAADASFPINVWPKPVSMLWAEPHAAVPVSPSFHIVAPSENPYLVSAVERYAKLLSKERYRPVVRPAVNVTNGSELEKLTVAVSDLAAPLQHGVDESYTLEILTTTGGEATVTAATAWGAMRGLETFSQLAWRTGGRGKDRDLLLVAAGVRVDDRPIYPHRGLMFDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPIELPSEPELAEKGAYGEDMRYTVEDVKNIVEFAMSRGVRVVPEIDSPGHTASWAGAYPEVVSCAGKFWLPGGDWNNRLAAEPGAGQLNPLEPKTYEVITNVVNDLTSLFPDGFYHAGADEITPGCWEADPTIQADLDRGGTLSQILERYVSTVHPLVVSKNRTAVYWEDVMLDAAVNVSAAAIPPATTILQTWNNGPNNTKLIVQAGYRAIVSSASFYYLDCGHGDFVGNNSIYDDPDSDFNSSGGSWCGPYKTWQRVYDYDIAYGLTTEEARLVIGGEVAMWTEQVDTTVLDGRVWPRASAIAEALWSGNRDAAGRKRYAEATDRLNDWRDRMVGRGVRAEPIQPLWCRTRPGMCNLVQ >Dexi3B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:3B:15373925:15385846:-1 gene:Dexi3B01G0020420 transcript:Dexi3B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEFQDEEMTDTGVDSQLSHANTHIFMLSLYSLNAFLILFQVHMACVMQGRRVGVAYYDSNTRQLFVLEIWEDSAGEFPLIDLDGNDEAPVVKLMKSSTFSYEQAWHRLIYLKVAAMGDGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTIEQMEGGASIAIDSVAQISFYPLGGILLPTLFSVFGMLNKCVTQMGRRLLRAWFLRPIIDIDVINNRLNTISFFLCCEEVMTALRETLKSVRDVPHMLKKFNSPSSFCTNSDWNTFLKCICSLLHINKIFEVGISEHLANKLQHMNIDLIGKANSSITAELDYVSDLVVGVIDVQRGKEKGYETVVKEGLCDELDELRMVYEGLPDFLEQVVSANENTSLPFSPEFRIPPQIVYVHQIGYLMCFFDEKISDALLVGLPDYEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILEWSLIQTLSYFTDMERAIMRDLVCRVLQFLPHLTKAVNFAAELDCILSLAVVARQNNYVRPILTEDSILEIHNGRHALQEMTVDTFVPNDTKIRDEGRIIIITGPNYSGKSIYIKQVALIVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRHATLRSLCLLDEFGKGTLTEDGMGLLGGTVNHFANYDFPPKVLLSTHLTEIFTENYLPQSEHIKCYTMSVLNPDGQTSNDDITFLYRLVPGQAPLSFGLHCARLAGIPNEVVHRAGGVLEDIHSKRPVRRMNNEKLAATDKQYQDAVTKLMVFDTQNGDLDSFFQELFGCES >Dexi9A01G0046000.1:cds pep primary_assembly:Fonio_CM05836:9A:49417141:49419790:-1 gene:Dexi9A01G0046000 transcript:Dexi9A01G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKDDGVMAEAQVAQPLGRWPVLSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAVVMLSGQVADGLMTILAGEMIDRFGRFKLWHIGGSVLVGVSFSSVFGGCLLCTILGTDSYLVRTVGYSFFAAVFNIGWAATQVSHMSMVNCMTMNPTSRVALASCRNAFTMVANLGLYAIALAVFGVIKAKECSDIVLQYRGIAYLSIFIGCCFLVVFHIGTKEPNLNSESNCKKKARICWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLRMNEYSKAIIPAIIFCCSFVVSVVLQEIKWNSRRLKSILTVGAMLWMISGVAVFVLPSQMNNLMYPLAMVIGAANALVMVTTVGLESALVGEDLNGCAFVYGSLSFLDKISCGIALFVLESYEDTISCGETRGLNTVSRYGTGLIPSCFAVLSLVVISTLRLQDAATPATAALEAPLLV >Dexi3B01G0037470.1:cds pep primary_assembly:Fonio_CM05836:3B:40185446:40188694:1 gene:Dexi3B01G0037470 transcript:Dexi3B01G0037470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVTSHGAFQGESPLDYALPLLILQICLVIVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTKFLHTVFPPASMTVLETVANMGLLFFLFLVGLELDLTAIRRTGRKALAISLSGIALPFALGIGTSFAFRATIISGDSSRAPFLVFMGVALSITAFPVLARILTELKLLTTNLGRMALSAAAVDDVMAWILLALAVALSGSTSSPIISLWVLLAAAGFVAAAFVLVRPVLTWMARRCRDGEPIREVHVCATLAIVLAAGFVTDVIGIHALFGGFVVGVVVPKDGPFAGMLIDKVEDLVSGIFLPLYFVSSGLKTNVATISGARSWGLLVLVIANACVGKIGGAVATALLVKIPVREAVALGFLMNTKGLVELVVLNIGRERKVLNDEAFAIMVLMALFTTFITTPLVTAIYKPARPSVPYKRRTVECMAGDDADGELRVLACFHSNRSIPTLLNLVEASRGTRRGKLTMYAMHLVELSERSSAITMVHRARRNGLPFFNSSGDDNQMVVAFEAFQQLSSVRVRAMTAISDLDTIHRDVVDSAVSKRAAIVVMPYHKVLNHDGTFQSLGSAYHAMNKRVLREAPCSVAILVDRGLGGAAHVAAQNVSFTVAVLFFGGADDREALAYTTRMAEHPGVAVTLVRFRRPNLPNSGEETADDDEEDEAAIERFKSKVGVVKDGSVHFDERVACTTKEEVVEAIATLAKSSVFVVGRMPPAPPLVDNADELGPVGSYLVSPELRTSASVLVVKRYDPTTNPKSRRFDPEARPPAATEEDVLDEAEMGRSAMVVPVPWSPSPASDTA >Dexi5A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:5A:24822908:24826280:1 gene:Dexi5A01G0020970 transcript:Dexi5A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGAMFASAAPLLWCFLVVVGSLVGLRLLWAASQLLDRLWWQPRRLERVLRAQGLRGTSYRFLTGDLKDMAKLNQEAWSRPLPLRCHDIAPRVIPFLYKNMQEHVKTSIYWFGSIPKVTIVDPDLVKEVMSNKFGHFEKPMFPVLFKLLTDGVASKEGETWAKHRRILNPGFHLEKLKNWSADGFTVCCEELVSRWVESTGCDGSCELDIWPELKNLTGDVISRTAFGSSYLEGRRIFELQGEQAERFMKSFQKITIPGYMLLPTQNNRKMRRINKEIETILRDLIGKRIQAMKKGDESTKDDLLGLLQESNMRVTDGSSKSSMAMTIEDIIGECKLFYFAGMETTSVLVTWTMVVLSMHPELQDRAREEVLGLFGKNKPELEGLGGLKIVAMTLYEVLRLYPPAVQYSRKTYKEMELGGIVYPPGVIFEMSVLMIHHDPDIWGSDVHEFKPNRFSEGISKASNGPGAFLPFGWGPRISIGQNFALLEAKIALCMILQRFELELAPSYTHAPYTLFTMQPMHGAQVKLRVI >Dexi6A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:6A:22498102:22500512:-1 gene:Dexi6A01G0015120 transcript:Dexi6A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNSLPLPSGFGTRPWLIQQTCGGSCKDAQTTLVDMPDRSLHAVTIPEMQGKICLGCVYDGSWLFMLDEATHDCFLLNVVSRRPKISLPPLCPTKEYRGATCGVVGSPANFTVVIASDREAEQMFLLCYRPGDEEWTDLTADDDGCGVRFSGSIASHAGKLYAGELVVMDVDDGEIRSQDLSTDTEDHDRAMFGSTVRYLVVSHGDLFDVLIKYRGRPYDGSLIMMAVRRLDLSDLVWRRVESIGSDRVFLLSGDYGFSCSAASAQLQGNCVYLVWSSCDCERLYRFCLDDMTKSFHQILPKPTAPSCRTYWVVPSDIQASEFKGQALLSGPTSSEVTLLPNDFNNHLEEQQKSMSSPPWQDLRLELLELIASNLSLVDRIRFPAICKPWSMVTNPIEQAHVWPWLMHISKQDGKCKMLDPLRGEQYVLQVEAFKTEMDHHIFRSSKDGWVVASAGPFDDEIFIINPFTQDIVETSIHVEFYRFRGITFSSPPSSPDSVVFGITSSTNGKYLGEDLVSVFMRNADEPPRVFKLDKMNMAWAQVEDIGGAALFVDYRASFGLLSPGAGNGNRIYFPRYSEDGKHVAFYDMETKAYHPTFYGVKEPMNCVWVVPNLQEDA >Dexi4A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:4A:16509387:16510959:1 gene:Dexi4A01G0014400 transcript:Dexi4A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAQPFLPVGGEVVGFLNPAREEEQQCIQYHQSGRGDAADPFDQVPQAESQSPIAIGTSIVAACGSARDPHVPLGG >Dexi9B01G0035030.1:cds pep primary_assembly:Fonio_CM05836:9B:36803731:36805382:-1 gene:Dexi9B01G0035030 transcript:Dexi9B01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGDRSSSSSSRPTTTSFDSYQFDFGINASRSSGSRPLRPGAAANPSSTRPAATGASWTHQPASTKPSWTHQPSPAAASAAAKVGPGSGPTSMVGDIFGRSWSSAAPSSGLGIPQANNNPALFSDLLGSALGGGSGASRSQSNAPLRSAAAPQASRPAGANPNASANSSTFSMGGMSSALPKTTGAPMGTGGYGVGGRPMKPAGMAATATAQPMGQKKDPFGSIDPFAAKPGSMNAAKTSSVKPDQGFGAFQGVSSSSNAGFGSFQSADAGFGSFQSSGATKPSSFTSPPPQAPAPTPVAAAANSSVDPLDNLFASTTAAHTTAAASNGGGGGDMFGEMDGWVDVEAEFAGGDSGGSTTELDGLPPPPSGLTVSAAKAKGMDSYKGGQYADAIKWLSWAVVLIEKSGKNADIVEVLSSRASSYKEVGEYKKAIADCSKVLDQDKENVSVLVQRALLYESTEKYRLGAEDLRLVLKIDPTNRLARSTIHRLNKLAD >Dexi1A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:1A:26391706:26392135:1 gene:Dexi1A01G0019220 transcript:Dexi1A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGFFCVLLLITTAAAYSTSVATLTAKSGDAGVISDFTKRLSNPPRSWVRGGDVCSGTFVGITCDVSGRITGINLTDKGLSGTLTLFLSSLGALEILDLSGNQLTGAISSLAGMTSLTYLVLTGNGDCPP >Dexi5B01G0028470.1:cds pep primary_assembly:Fonio_CM05836:5B:29819908:29822005:-1 gene:Dexi5B01G0028470 transcript:Dexi5B01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSTVFSTTATHFFNAHARPARARGPAAIGPDSRAALAARLVFLGSARSVIGSTRVFGSTRSVGRNLGLGRQFGPSAPRATSRLDLSRRFNPTYKTDRDAGNPKTLGHLFPPPLERRRLLCAGVPRRLRRRAMVVTMAGAFFFSSPTLFFPTAVKRELCRGTPRRRARSPRGERAARTGVPVARRFYVRAQRPASRHRGASVLDGSLDAMARSSVMLCARAATVGVEEEAVARRSRSRRPSGRHRLCPGTAVKGVVELPASVALTL >Dexi3A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:3A:8746922:8749941:-1 gene:Dexi3A01G0012110 transcript:Dexi3A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAALARAALAAGSRLPPAVLVYAGQRHGCVSPLTEHASGQLTWPEAPCSADPRRALELSPRRRRGPPGKSAAVVIHQSAVQMSRPRMPFPPCPVPSRRPRAPAVLACAALTPRTVPTARDRAAAAARLPSCPWPSLRPCPSCPNLPLPSPLKLRAIPFLSCAENLAGVVFAPPRPPHVSSLLRAQPCPTDAHRAIPLLPPPPQPPASPPPPAHVHSSLHSSSGRTEGTISFLVSRWCSPTPSPSFSDPDVTGSRSPEQAEPPPPSLTPLSIFPHFPGPDSPPFGRRNHAGEPRDLVVSSTSFQGAELYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi3A01G0027420.1:cds pep primary_assembly:Fonio_CM05836:3A:24678520:24682447:-1 gene:Dexi3A01G0027420 transcript:Dexi3A01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASKKTLSLALGGARPLAAAAASARGVTTVALPDLSYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPVSEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVWLALDKEAKKLSVETTANQIL >DexiUA01G0017800.1:cds pep primary_assembly:Fonio_CM05836:UA:37781604:37783654:-1 gene:DexiUA01G0017800 transcript:DexiUA01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHQTRTGVLAWSSCILSSGSRDKDILQHDIRVPGDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQHPVLRLTQHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTANGNVLNSLDTGSQAKQVATLTGHTMRVLYLASSPDGQTPVRDIGLWSFPRSHIR >Dexi6B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:6B:25514004:25514204:-1 gene:Dexi6B01G0018570 transcript:Dexi6B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLVTWGTTTSSCGCQQLPRGIRPGAHPPWVRLRLRCWENGRWATGKTAKEDRESSGKSGRK >Dexi2B01G0035260.1:cds pep primary_assembly:Fonio_CM05836:2B:42362010:42365673:1 gene:Dexi2B01G0035260 transcript:Dexi2B01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQKSPAAISNPNSDARPPPDIRTLSATAPSPPSPHALSFRVMSSAAAHRCRKRRHDDAHGGASPTTRRRRRLAHSPLPAVRSFGLRFALAGAPQRPRKRRHDESASCVARPRRQRGTRRLLIPSPFHAVRPFSLRVALATSPRRRKLRVDAALHPVPNPRRRRSSSSSSSPFSRARYFPAHRPLAFRFLLSTGASATRRRRNPAAPSMGNFISQLLGFGKTTSEGGLEGHSERLEGSPELVDLTLQPDHEPEKVDVVRRGIGDWSVPALESLPPPEKRPLVWTKRRDGRLQESGFEMFQELDHAELPGVLDNRSKEDLPEVFTPLTDKDEREVNTVLYDSAPSDKIIVMHGPSNIEITKEKLECLRPRGWLNDELTCSTAGYDYQSVRRWTTSKKLGYELVECDKIFIPVHRDIHWCLAVINMKDKTFQYLDSLGGLDQDVLRVMARYIMDELKDKSNIEIDTTSWMVEASNCVPMQHNGWDCGMFMLKYIDFHSRGLKPSFSQEHMMYFRKRTAKEILRLRAD >Dexi1B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:1B:26024496:26025802:-1 gene:Dexi1B01G0019810 transcript:Dexi1B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSGPEKNNQCIHIASDGGRVCRPLIIADEGISRVNEGHMKELRDGIRSFDDFLHDGLIEYLDVNEENNALCAMGKQAMGNIAYNQVGYDKLGAGQNATVAVMSYGGYDIEDAIVMNKSSLDRGFGRCIVIKK >Dexi1A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:1A:20719389:20720119:1 gene:Dexi1A01G0014240 transcript:Dexi1A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLLALLALACSSANGSDPNLLQDVCVADKMAAVHVNGFACKDIKNISSEDFFFSGLDKVGNTSNKQGSAVTAVNVAQIPGLNTMGISMARIDYAPNGINPPHTHPRATEILTVLEGSLFVGFVTSNPNNTLITKNLNQGDVFVFPKGLVHFQFNNGTGNAVALAGLSSQNPGVITIGNTVFGSKPSIADNILAKAFQVNKETVDLMQAQF >Dexi9B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:9B:2348735:2351879:1 gene:Dexi9B01G0004080 transcript:Dexi9B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLAVESAAGEAPAAVTPEPKEETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEITRLSAELEARHAAELASFGYKPSGSSEKGNLDNLVKAIAGVSVSSNSESAKLSKGAKRREKKAKEEAAREQRIQEEQSNLVSDRMLENEKLEKKLEPLGLTIQEIKPDGHCLYRAVENQLLLHSNARYRYQDLREVTAKYMREHAADFLPFFLSEGKADAGSDPVESFEKYCQEIESTAAWGGQLELGALTHCLKKHIVVYSGSLPDVEMGQEYKSGSGSSSAGDASIRLSFHRHAYGLGEHYNSVIPAESSRQKKFDSL >Dexi9A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:9A:4212978:4214021:-1 gene:Dexi9A01G0007270 transcript:Dexi9A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQVSASKGSQGHDSIWVIVDRLTKTAHFLPVNTVYTAKKYAEIYLERIVCLHRVPKTITSDRGAPFVARFWEQLQMSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYAYGPDLVKEAEEKVRIIRENLRAAQSRQKSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEVTQQCGPVTYQVKLPEKLSAVHNVFHVSQLKRCLRVPTEVVEQVELSVEPDLSYDEHPVKILDEKERQTRRKGIKMYKIQWSHHSEDEATWETEDYLKKNYPNILS >Dexi7B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:7B:12930962:12933597:-1 gene:Dexi7B01G0005470 transcript:Dexi7B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAARRRQELAAEGQRHLEETIAAAFQILVSMNDELCNAGLWSSSSVSAAAAAAAATGPQHQHSATPPPPHSADSDAADAGGPPGPGGSLDEARHRYKSAVAALRASIAAVSSCAQDVGSTESEADHSDIERLEERASALRKEIESKNKHVKLLMDQLRELITDISMWQSPCSV >Dexi5B01G0031070.1:cds pep primary_assembly:Fonio_CM05836:5B:31895734:31901125:1 gene:Dexi5B01G0031070 transcript:Dexi5B01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRMKREESFEFGELSAQDAMGSASESSYSPPGAIFGVSPPESSPRGSRNRRRDRPSWVKLTYTPYFDGHLWRKYGQKKIKDAEFPRLYFRCSYRGDRQCLASKLLQQKNGDDPPLYEVTYTYEHTCGAPPVPFPDIVAEPPPTAREGLVLRFDSPGSHGDSQTPQQGPYHQSTSRSPFMMLSFGSTSQAHDQQPAFRPDLEAGSSPLPNEGQPPANGDGDMFSTWDSFTYDFDSHMHFGDHSHLPYNSNYDYDDY >Dexi7A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:7A:27466119:27468578:-1 gene:Dexi7A01G0017920 transcript:Dexi7A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAPALRLPLRPSAPHLPRARAPALAAGSSLALSLSPSSRASRLLKPLAACGAERSFSSSTTGHRPAPLLLHQRRRHGPPAVAATVTSKPVLKDPKKYQEWDSVTAKFAGAANIPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETEAVIVQTLGVISTYAVLVQLAMAESMPVPQFVATSVVVAAGLILNFLNYCGWIPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIISGSLAIAAVAMARMGKLSDAGAKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMIPRAVFIRDLMWS >Dexi9B01G0036920.1:cds pep primary_assembly:Fonio_CM05836:9B:38381563:38385700:1 gene:Dexi9B01G0036920 transcript:Dexi9B01G0036920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRNDANYTALTPLWFLERAAVAHPARASVVHGPVRYTWADTYTRCRRLASALARRSVGHGSTVAVIAPNVPAVYEAHFGVPMAGAVVNCVNIRLNAATVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIADKKKGAFKQPLLIVIGDQTCDPAALQDALRKGAIEYETFLESGDPNFAWKPPQDEWKSIALGYTSGTTSNPKGVVSAKAIFSAIANQGVTHFCGAPVVLTTIINAPPADTILPLPRVVDVMTAGAAPPPSVLEAMSKLGFRITHTYGLSETYGPSTVCAWKPEWDSLPADERARLHARQGIRYVGLEGLDVVDTKTMAPVPADGTTMGEIVMRGNGVMKGYLKNPKANAEAFENGWFHSGDLGVRHADGYIEVRDRAKDIIISGGENISSLEVEKAVYMHPAVLEASVVARADEQWGESPCAFVTVKDSVDGSDEAVLARDIMKFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKKLGPVRKSRM >Dexi1A01G0023930.1:cds pep primary_assembly:Fonio_CM05836:1A:30559357:30563159:1 gene:Dexi1A01G0023930 transcript:Dexi1A01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSGAAGSVTSPESEMAPTVRQEEALPSPSTASKRLRISSGARRGRRGITGKVTAFGGATSTAGLEEVEEEGSVAPAASDSMEATAFVPNSPIPLLSKLPTLDNNTLLESKPIQESAADTILKASKFILGLSAYTGGVLLRQSSGILMEWNKGKGTILTTAHLFCSRSPNLDKWLGGQEYARDAQVRVQLLQMDDIEAPGELIYLDEQYGFALISVPMVPPETVPCFCKELVFSEDIILLGRDKWDLQIGNGKVMNNGARSYQRHHYIYFDDAEISECAFGGAVIDLQGNFIGLIATFVDFIPSSTILRCLDLWRSFDCTPRIHLGMKLFGIKCLTLVSREKISRKYNIDDGLIVKEVSGGSNAESHGVRMGDIILTVNEKCIATAIEVWVH >Dexi2B01G0021120.1:cds pep primary_assembly:Fonio_CM05836:2B:31033033:31040261:1 gene:Dexi2B01G0021120 transcript:Dexi2B01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAQKTKEAEITEQDSLLLVSLIPDPSLFSVSIPGDSNGHVTFIQQTRNLLRIAIYNISYIRGLFHEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYSFSFSYPNTSTEEVAMNMSRTGSKKGSTTFTSNASEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYNDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVDSGDDGMSVDNESDHNDDFSDTEVRPSEADRYVVAPNGEHFLTVLILHLLFLKLLHCFQQMENSKVRVLVLFQKEMVEDIMERLLKDGVLSRASKDGYTVNQAVDPKTPHIKKEIMQNVSLTEGTKQNNGDLIYMKALYHALPMDYVTIAKLQGKLDGEANQNTVRKLIDKMVQDGYVKNSANRRLGKAVIHSESSNRKLLEIKRILEGNEGQQMAIDTNAEHVDSERKDLLKAHEMKDGSTMGCLHSVGSDLTRTRELPELQQNVSMQSGQDASAMDKDPSRTPTSLREVKEPILQLFKRQKPQAQ >Dexi2B01G0035110.1:cds pep primary_assembly:Fonio_CM05836:2B:42213969:42223542:1 gene:Dexi2B01G0035110 transcript:Dexi2B01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSIVAADPVVHVAFNRDATLLVAATSSAICVFSCSPLEHVFSSRGGFGEVVTLADLSGRSSKCRLRCLPVASHRISHGECYHQCLRVQDQKAADGGGQEMVGSTRKKRVAKHRTIGSRTGTMASSSSSARIRPTPVLSSTLVYLTFSHDGSCIIAADSSAVHWLCCKSFRLRGLYQERDAGTKIVAACGDMLDEKASTCAVITQLSVGSQDTFVVRRWKPGYMNYHWRYDERVIQIDAGAAVRAAHVHGDRTVVVHDGRVDVYGPDNDRGVLRRVETRGGKPICAVSRDAPLAFACAGAEVGEAHVERWLGDGESAPLSFAAHSSRLECVAMSWDGRLVATASFKGTIVRVFHAADGKLLHEGHGRIGRPHRGMVTQLSSVSGAACTYFRPPKPPRWQRCPHPPSSLPAPAPASLSSVQASTTALARASSSFSLATMTGGARRSHHRHRAQQSRRGRACPRRALLIERRGEHQLVPGEHGGRLGEHEAGTEALPRIPSPATMAGIVGSTSGGRRGDHYWNVDACESSGDHCCEVPAWRRTSVAPAACLSFRSPIAAPTRCTFELRGRHVRRKPRAARRPLDSARHKFTSLTRPRAVGRHVVASGDGAIGSCRGATGSSPPAPPQRVTRRAPSTFSQSQPPPKTLRPSPIRRHAPNLRPELRRRRRPPSASELFRRRSAMRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHRNRVYYASEALVRRATAVARPRLAAVGTPIGKFTHHGSFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELIKLEPATLTRETLRPSSWHAASACDTRFPAWGRRRRRRCPAVRRRLSASAHPHVRARLHVTTSCDGDLPAPCPLGLHILPNRRPVALHNLMAYYCRNSNVPGGLGSLVFIAAKASPAMPMIYSELNVVSEAEDADTWNLSFNPTQGKVEESLGAATWILEQPAAASRKALVYVPTNEVVRSVEALERRLGTLGWERYYENRTIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >Dexi4B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:4B:9164306:9165964:1 gene:Dexi4B01G0011690 transcript:Dexi4B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSTRATAPLPSLVSGGRDMLDADKLRFIEEISSDAGAVQERVLGDILARNGDAEYLLKCGLASASGRATFGAKVPMVTYDDLLPYIPRIAGGDSSPILTGPGYPVSEFFISSGTSGGERKLIPVVEDECVSGASGLDKGSGLYFHFVKSETMTPGGLPARTVTMSLLKSEQFKKLPLGIYTSPVAAITCEDTFQSMYAQLLCGLCQRHRVVRVGAVFASGVLRAIRFLQHNSDPSSPSSSVSSSVVDNNGGAGIIARVWPNTKYVDTIVTGSMAQYVPTLNHYSGGLPIIFTMYASSECTVGINLRPLCDPSDVSYTIMPNMAYFEFLPVDDAAAATVSHQQHLVELADVEVGREYELVVTTYTGLSRYRVGDVIRVTGFHNAAPQVRLVRRSNVLLSVDSDKTDEADLQRAVERASALL >Dexi7B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:7B:25864375:25865973:1 gene:Dexi7B01G0020610 transcript:Dexi7B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGEKKGTACVTGGSGYIASALIKMLLEKGYAVKTTVRNTDDIEKNSHLKDLQALGSLEVFRADLDEQGSFDEAVAGCDYAFLVAAPVNIHTKNPEKELIEPAVKGTLNVLRSCVKAGTVRRVVLTSSAAAVSSRPLQGDGHVLDEDSWSDVEYLTANKSAIWGYPVSKVLLEKEACRFAQEHGLSLVTVCPVVTVGAAPATKAHTSVPSCLSLLSGDQAAFAVLDGIERATGCIPLVHIDDLCRAEVFVAEEDAAVGRYICCSLNTTIAELARFLADNSGDRLEKPRVFLSSAKLVREGFEFKYKTLEHIYDDMVEYGKGLGILPSHADAPLPLPPVDGDESEHENHVAAE >Dexi9A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:9A:16196588:16198677:-1 gene:Dexi9A01G0021270 transcript:Dexi9A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKMLPFASQVAGIKCRAIGARVGLPWRPERNASDQAPRSTTQARKQPPPAPAADMPSRRKVPVHVRIVARGESPTPNQLCIFFLPPANETASFLTWSGCSSAVSMASGSGSGSGSAAPGVDVADAPAAMAAVTVEPRPPAARLSELGVRYALSYGARQTCYVVRGRVSATVEGSPERAIQFGAGDLVVFARGTRCTWHIAAAVDMHYAFDPS >Dexi4B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:4B:7932341:7934857:1 gene:Dexi4B01G0010580 transcript:Dexi4B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESDFHMVKGEGETSYTTNSRLQVCKNALIVLSEVTNAMRGHPVELQFFLNDLPGNDFNQIFQSYEQLKNSTAADHNGERLSPFYIAGFLMIVKTVEVAIQFPDGLDGNGVNIYIATTTPPSVVKLYQEQFKKDFMLFLELRYRELSFAGQMVLTFLGRKNEDVYNGNMNYLYELLAQSLQSLVEKDLVNQKKLNSFNLPFYGASVAEVKEVVNWSGLFDINQINLFESSWDPCHDSEDINVPDRVQSGVNIAKSIRAVMETLFVGHFGESIIDALFKEFANKVAAYLQRENNTKYSIITLSLQRK >Dexi1B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:1B:20216816:20218394:1 gene:Dexi1B01G0014070 transcript:Dexi1B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDSAERRDPPELSSGQPAVSDDDMAAFRAVLGADLPVSAAVDALTRCGGDTERAIKWLLDNASADSDGGDVESGNGPTGDAAPVSAPRSVKAEPGIGGGAAHPQSSPPPVKVEAAREVKVEVKTEPIDVHPDEVKVKVETSGEEEVKVKVEAPGEAEIKAKTEPIEAGGAAVRRVKEEEEADEVDVKEDEEEPPLDSPIKGEVLSPRRVKEDESDCSEGEVEMMDPAPRSKKRPYEEDGVVFIDLTTSHPAPYLNPKPIRAMPPRGAIPTNEWRMVVAPPPAELDECPPDRCEWCFFKKSYATGLSTCRGRKLLDGGEVVHFAFPSYDRIHGGLRVSYRQAAALAEIVRFSTNRSGELGKLSPVWAKCLAPLVNSFTIMVQGKIVFPMMELRLMQEVLLYVSFYVHRSSMCLIAPEYANHPDNPLRGLFKLLRRFGVPDV >Dexi2A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:2A:1766364:1766888:1 gene:Dexi2A01G0002260 transcript:Dexi2A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYSAPKAACLALALAMAAAVVMTPCAAQNSPQDFVDLHNAARAEVGVGEVTWDDTVAAFAQSWAEHLAGDGGCGLQHSSGSGYGENLLGGPGDDWSAADAVGAWVAEKQWYDHDSNSCSAPEGDSCLHYTQVVWRDSTAIGCGRAACNGDAGGFIISCNYNPPGNWVGESPY >Dexi6A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:6A:25920149:25922220:1 gene:Dexi6A01G0018110 transcript:Dexi6A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAGGGRGRELRMSIEEVAKKLSLWHTATFRPILTHDELEPILSAAGFVPLPPPPPPAQDERAAPAPAVAWREYAFLGCNANAAARRRPGPGPPRPRLPYPRLDGLHLKTYEAFLGAVEAYLGADRVSNLFHVRLMPVTNPHDRAFDKVFRPMRNVSPEEDGLIVYREGTLDDLTFEMCSNHGAVEYLGHHVIPGVSCTDLGYLRKVDGNCHQEGCCARYPAAAAASGGYDFFAIQLKDLLPKY >Dexi9A01G0048240.1:cds pep primary_assembly:Fonio_CM05836:9A:51081750:51086614:1 gene:Dexi9A01G0048240 transcript:Dexi9A01G0048240.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSHPHARSHQSTERQPPPAAQAPTDATVGRRPVLRHPWLLAPLHASPPCPVGGRRPLRLLFVSDLMSSPSPSGDGGQGQGGGRSRPSRSRSSASTPPVPEKHLGLGWMLPAPPAPKPPIPPSHCSVKGSTSGTISGSKRKTCEGGGTAPPVVSPGSSSTPHSTPSIPSDGFGSGSGSGSGSGTQDEDVVGGPTQVPQPDVGDGVLLPRYTPPPGRAHESNIYFPPDGLEPKPKAQAVWPNRPNTHAPNQIKLRYPLPAPPLLLAAGWHTSPPRSSPPPPPPRFTPPLATMLQSKSFVKKTKQGRIQKVVREHYLRDDIYCGFAPCSTCDAAAERKLDAAAAAILVVDTNVVLHQIDLLENPAIEDVVLLSVVLDEVKNKNLAVFNRIKALCTNKARRFYVFANEQHRDTYVKDMVGESSNDRNDRAIRVAARWYQSHLGATVKVLLITNDRDNKRKAIEEGLNAETVESYVRSLAQPGLLDLVVVPTSGDVTMEDVEDHRPSKKKVIYSEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEEEEEEDVHLAPNSADDAPRNTSSTQSTVGSSAPSVSSRPLGRVVGIIKRNWNS >Dexi9B01G0046170.1:cds pep primary_assembly:Fonio_CM05836:9B:45499390:45500233:1 gene:Dexi9B01G0046170 transcript:Dexi9B01G0046170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRREVSWVRLFKCITIGDALSLWHPPGVGKSCLLLQFTDQRFRPEFDPTIGCDFGMRIIDTDGKPTKLHIWDTAGQEVFRSINKSYYRGAAIAILVYDITKRETFDHVEMWLKDAVEVTPANLTTVLIGNKCDLSDRRTVSYEEGESFAKAHGLFFMESSAKTAHNVEEVIFYP >Dexi5A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:5A:2258219:2259646:-1 gene:Dexi5A01G0003110 transcript:Dexi5A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPISDQLLPPGDSPPLTAALLSPSSSAPASEPSPTASRLLLLLTAALAAATAYLLLIPIRPPLLTAVSAASVTARPLSKLQKPVVLLISSDGFRFGYQFKAPLPHIRRLFANGTSAAEGLIPVFPTLTFPNHYSIVTGLYPSSHGIINNYFPDPISGDKFSMSNHDPKWWLGEPLWATAAAQGVLAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDTILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITDAVIHIDEMLGRLIAGLEARGVFEDVNIILLGDHGMVGTCDKKLVILEELAPWIKLEKDWVLSMSPLLAIRPPDGVSPAEVVSKMNEGLGSGKVANGEYLRMYLKEDLPSRLHYSENYRIPPIIGLVAEGYKVEMKSSKINECGGAHGYDNAFFSMRTIFAAHGPRFQEGRTVPSFENVQIYNVMASILNLKPAPNNGSASFPGAILLPSK >Dexi1A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:1A:8205167:8205420:-1 gene:Dexi1A01G0009880 transcript:Dexi1A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGPFRVGLLALLRLSNIGGGDERLLLKLDAAYCRDATATKGSRRERPPRSGAEAGGGRRMEKAVRCD >Dexi9B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:9B:1380953:1383980:-1 gene:Dexi9B01G0002370 transcript:Dexi9B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRNHLEETLPAWRDKYLAYKALKKLIKNLRPPDPAAAAALPLPPPPAAEVAGSGAAAQGNVAALGNWFASILDMELHKLNDFYMEREEWYVIRLQVLKERIERVKAKKNDAFTSKSEFTEEMLEIPMKAIQGLRRASSTYNPLSLSRFFNGEDGEPCSGAITSESSLSDSSTDSQIQDADKDGKEVQSNSREQNAAQRGHNAEGEPRDE >Dexi1A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:1A:28137199:28141016:1 gene:Dexi1A01G0021350 transcript:Dexi1A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYFRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRPDREFKVLSPSEIKDFLEEVE >Dexi5B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:5B:13721588:13722495:1 gene:Dexi5B01G0016150 transcript:Dexi5B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLVCLEEVGAEYEVVNIDFQAKEHKSPEHLARNPFGQIPAFQDGDVVLFESRAISKYVLRKFKSPKADLLREGNLKEAAMVDVWTEVEAHQYNPALSPVVYECLINPAMRGIPTDQVVVEESLEKLRKVLEVYEARLAKHAYLAGDFLSFADLNHFPYTFYFMATPHASLFDSYPHVKAWWERLMARPSMKKLGATMAPKP >Dexi9B01G0036360.1:cds pep primary_assembly:Fonio_CM05836:9B:37902145:37904810:-1 gene:Dexi9B01G0036360 transcript:Dexi9B01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFTSYRPPVPLDIFCCSVPALSRQSELHLTDGFSYNYNCQAIPPAALKTIIKRLRLAPESIIDDDVDSGQLTGLIFVSEREHNLETLHVALRFAANDEVKVFSLADIYGSDLFGGARLEDNGCIAGGYEVDGSTVDHYLVYVSTKEPVQERRSPWNVVYKTNLRTGETERLTPLGTFDLSPSVSPSGKKVAVASFQGKRWDGEIKDLKTNIYVMSLENPSLERKRVIENGGWPSWGSENTIFFHRKVRNIWGVFQYNLSTGETIRVTPEAFDAVTPAAIDETRVAVATIRQKSEFTDVRTETQYRHIEIFDMNAPEQPLQITQNTRAKADHFNPFVMHDGKYIGYHRCKSDILQVHRYAIMYCQFPCPFAHLFIVLGSLRRKAHNLGLCTKLQHGDNVPRHFHKVHSPHEDVGVFRVSGVFPTFSKDGSKLAFVDNEFKAVWLADSKGLRVVFETNGPDNIFSPVWNQKKDILYVCMGPSFKANETLEIHAIPHVSSAARTRRQPRLLTKGKFNNAFPSTNPDDGNLIVFSSNRDRPAGAPERDHGLDPGYFAVYLMNVSDRSVVRVITSGYDLSGHVNHPVFSPDGRSLAVTADLAAVSADPMSLPTFLHSVRPYGDIFSVDIDPDDMEKNKDVERFVRVTHSRYENSTPAWTVFSTHDPHAQWNLLVMEDEYTPACPYAHPDGGESWHMTGQICIPKRHC >Dexi9B01G0023880.1:cds pep primary_assembly:Fonio_CM05836:9B:19312452:19314246:1 gene:Dexi9B01G0023880 transcript:Dexi9B01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSAFDALQLVSYTDEYFHCENCNGELVAESDKLAFEKMGDGDDNVRKCRCEKLKDMQQRIEEQLKPLVAQLDRVKNLPAPEFGSLQTWERANFGAFANGEPSVVDSSRNSQGQYGKDEFTGIPLLDRSYIYFAFQIEVIYGNVKESAESGNNGSELKVLPPWMIKDGMNLKKEQRGETSKAPKLDENTEAMEDKKQYSKDDQSIQEEYITAYYEAFRKKQEEEETKRKMQQEGETFVSDSLSERQVGMKYKREDEDNDDDEGIEWEEQQPTGIFLFSFFYL >Dexi1A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:1A:10748573:10751056:1 gene:Dexi1A01G0011610 transcript:Dexi1A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAQLLAARGISSSPCLARRIKQTESEIVRMFYPPVRQSEEAIATVGPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLEIVEQYGEMWKMIQEMVRNPICVVTPTELSEREGCRPDTIVMNNMINFLGKAGRLDDAIKLFREMGTMRCIPSVVTYNTIIKALFESKSRASEVPSWFESMKGSGISPSSFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAVNMFEEMNKLGCTPDVYAYNALMSGLSRKGLLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSAIRPDAVSYNTVLGALSHAGMFEEAAKLMKEMNTLGFEYDLITYSSILEAIGNVDHE >Dexi9B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:9B:10650574:10652831:-1 gene:Dexi9B01G0015570 transcript:Dexi9B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRTSPKATSTAAPCLPSLRHPRPTPRRSTLYHLGSAPTLPHGGDLSSLPAFLSVTTFQPIITGRRPTLPRIASIVLSTVSRPASFHSDVGSTLPCQCRSRKRLYLLSPYRYFCCLNPKKVEKIALRLKSSGTTHAAPLHARW >Dexi9A01G0028300.1:cds pep primary_assembly:Fonio_CM05836:9A:32922657:32926045:1 gene:Dexi9A01G0028300 transcript:Dexi9A01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLEQVHDEAVEQYFSRIDDPKWEDLNLPARPHTIFFTAFTAVVLYGSASPSFGTLPGHAGSRRQPQHLEAASCRSRACRLSSAPQLHELIAPPLAACWSFIVAEAPPPPFAESPERLHLRYPSPPPPWRRRLSHCRPSSRFQSKWILGGNGCAGLWESSPVGPVAGFLVGGDFAGRGPRIYPHPGMANCAAQQLQRCDNNRSQNMTPLVATLARTRPCRVTPSLDETAAMSMRYEWLLLDQPRPSTGARRFAGRPGQAWLADIYNETTDSRQGIVRRRPGRPSSGARRGPWTAAAAVAGKLRSRSMPASRSPRIGSHPLPGRRHAAQPPQHMRAHATAWPPQLSFSLSTRASRRLPPAREYLTAERKDKALSVSPSLLAFCNGPSVLQPGGRSAAGSPRHLRFTASTYVADAAAGQAIVGVC >Dexi2A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:2A:29874182:29877482:1 gene:Dexi2A01G0017870 transcript:Dexi2A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNRAAILILLLHLVLSLLVSASMADTPAGAVRRRRRQVLLREKATLLALKKGLTLPSTALLDWNESNGHVCSFTGVSCDRRREHVVGLALADMRISGAIPPVIGELSRLQSLDMSSNSISGAIPSALGGLSHLRILDLSNNSISGAVPPSIGNLTLLRDLFLNNNVLSGDIPRSIGNLSSLYNLDVSSNMLTGKIPTELSNLHSLGAIQLGYNQLHGVIPPSLAMLANLFYLSLEHNNLSGPIPAAIFLNCTILGVIDIGNNSISGEIPRAASGKLAYTFAALNLYSNNLIGKLPQWLSNCTILRILDVENNLLDDELPTSIISGKKYLMYVHLSNNNFQSHDNNTNLEPFFAALSNCSNLQEVEAGGVGIGGQLPSRLGSMLHRNMWHLDLELNAITGPIPASIGDIINMTLMNLSSNMLNGTIPASLCRLPFLEQLVLSNNNLTGGIPECIGNATHLGELGLSGNALSGTIPSSIGSLARLSYLSLHRNKLSGAIPPSLGRCASLLLIDLSSNSFTGAIPEEIAGIAKVTLNLSRNQLGGKLPSGLSSMQQVETIDLSWNNFTGEILPGLGDCIALTVLDLSHNSLAGHLPPSLGRLKNLESLNVSNNYLTGEIPITLANCDRLRYLNLSYNDFTGVVPTAGPFVNFSCLSYLGNHRLSGPVAKRCWRRRRSWYQSRKFLAVICVCAAVLAFVLTIVCTVSVRKIRERLATMREDMFRGRRSGGSSPVMKYKYPRITYRELVEATDEFSPDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQTGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAATELSLVQRVNVCSDIAEGMAYLHHHSPVKVIHCDLKPSNILINDDMTALVSDFGISRLVMSIGGVANTADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRRKPTDDMFEGGLSLHKWVKMHYHGRADAVVDPALVRMVRDQTPEVRRMSDVAISELLELGILCTQEQASARPTMMDAADDLDRLKRYLGGDTTATFASSLGFSSTTFDDDID >Dexi2A01G0033350.1:cds pep primary_assembly:Fonio_CM05836:2A:43596437:43601056:1 gene:Dexi2A01G0033350 transcript:Dexi2A01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVIGVVLLFLAAAPFGANANTDSNDVNALNVFYTTMNSPPQLTNWVSQNGDPCGQSWLGVTCSGSRVTTIKLSGMQLNGTLGYNMNQLTALVQLDMSNNKLGGSDIPYNLPPNLESLNLAGNNFTGTVPYSISQMVALRNLNLGHNQISNINDMFSQLTNLTALDLSYNNFSGNIPQSFNSMTSLKTLYLQNNKFSGTIDVLANLPLNVLNVENNQFTGWVPDKLKGINNLQTSGNSFDNGPAPPPPPSTPSSPSSSYIPPPSWRPAVPSTDGNNNPAENGGKGKHSKLGGGAIAGIVICLLFVGTLVAFLVIKRKSWRLSRGQDPEQNEPLSPLASGLKQMKSIKSIKIISTIGKEELQKTLSSFDGSKFQVLAVKKINFSSLPSHPSDLFIELVANISRLSHPNLAELTGYCSEHGQCLLTYEFYRNGSLHDFLHMKDEHSKPLSWSNRVKIALGSARALEYLHETCSPSMVHKNFKSSNILLDSELNPHLSDSGFGDLIPNQEFQESDENSGYRAPEVSMSGQYSLKSDVYSFGVVMLELLTGRKPFDRTRPRPEQSLVRWATPQLHDIDALDQMVDPALQGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRASMTTRTHESHPRRHGESGGDYEF >Dexi6A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:6A:8140781:8143870:-1 gene:Dexi6A01G0008080 transcript:Dexi6A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTVSRCTTVTEQGENVFEIFDYSKLKGMGNREFISSAKFSVGGYDWAIRFYPDGFTQRCADYISIYLELMNKDTKAQASCDLCLVDQTTGLPTSVHKSDLRVFDYRNLSQFYPESGLFIKRSQFEASPYLRDDHFTIQCIITVRNGPSVSELELLNEIEVPPSNISEHLGNMLNTREGSDVTFSVQGETFMAHKAMLAMRSPVFRAELFGRMREAKEQVVTIEEMQPDVFRALLHFIYTDSLPDMDDQEGDVDNREMIRHLLAASDRYGVDRLKLICQSILCKNLDVESVSATLAVAYQHNCDSLKDICLEFITSSSSVTDSVVATQVGATRPISRRRRCAPMLTPSTVSTCTPVTEKGSHVFEISGYSELKNVGIGKPIRSGAFSVGGYEWAIVFCPDGYNWGHKNFMSVRLELLSKDTKARASFTLSLISQTTGLFPYTLYKESLADFDSGKDRRMFRPLDYCDMERREFEESPYLKDDHLAIECVVTVKQPRKRVTTDKDVTFSVGGETFTARKKVLALRSPVFRAELYGPMREARAKHLTIENMQPAVFKALLHFIDTDSLPADVDGHGGDSSSADCEMIWHLLEAADRYALDRLKVLCESILCKNLDAETVSTTLALASQHNCGRLRDICLQYVSSPNVMDAVVATQGYKNLKASCPCVVIEMFEEAMRLQNT >Dexi2B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:2B:24435511:24436126:1 gene:Dexi2B01G0014580 transcript:Dexi2B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLACGWRWLLSAFFLTSLLFEVVVHGTGADAPASAAPAPGLSPDEARVLQRIGAKLGVSHWNSAASLCNPASGVDCQCSYSSNQTICHVVRIVLKRHNFSGELLLEFADLPYLHHL >Dexi9B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:9B:1149111:1149661:-1 gene:Dexi9B01G0002000 transcript:Dexi9B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKPSDAGPSAAAPVTGVPIVAVATTPGAAPRTSNWRSGGLCDCFSDCGVCCMTCWFPCVTFGRVATIGGATGTIYGLLCCFFGCHWIYSCTYRSKMRAQFGLPESPCCHYRELKKRGFEPELGWHANVQNGAGGAGHGPLIWSLLYRYSVPTKDYILLLSCTF >Dexi6A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:6A:28115820:28121276:1 gene:Dexi6A01G0020700 transcript:Dexi6A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSVADSIGSTNCAHRGTWHHRPARLWVTVAALVAGTIWLWFFFSVGLLGTYRAQDFVVNDLWKAADSDGWRASSAPRTYWPSPPTESDSNGYLRIQLNGGLIQQHIAICNAVVVARIMNATLVLPELDTNSFWHDERRSFLVAVYLLSSFLASMYGTSGFGDIYDVPHFIKTLKSDVRIVMSIPEITAQGNIKKLRAYKMRPPRDASVTWYKTTALEKIREYGAIYLTPFSHRLAEEIDDPELQRLRCRVNYHALRFKPDIMKTSSDIVNKLRSEGHFMSVHLRFDLDMLAYAGCSDIFTPKDRIILMKYWKERFPIKGIDYRERRLIGKCPSTPEEVGLVLHAMGFDNSTRIYLASGNPFGGKDFMEPFKAMFPRIENRNMIRMEESALGLAGSAVDYMVCLLSDIFIPTPDGPSSFANNVLGHRLYNGFQSTITPNREALAPIFMDREEGKASGFEERVRQVMLNTQFGAPHERIHPESFYTNSWPECFCQTKARNHADQCPPDNVNYVLESQFQDKEDTEVEAKLTPPEKAKSWLVDLS >Dexi7B01G0021450.1:cds pep primary_assembly:Fonio_CM05836:7B:26472084:26473529:1 gene:Dexi7B01G0021450 transcript:Dexi7B01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNLAFAALLLLLLLLATKAHGIRLDKQLHETINSKQQMGDPKSSAGEASIAADLVKSRHCTPDGRCSGTTEQMTPTPVVAKDSEVGVTFDAAGKVERALAQADETAEAKQQQQEEVVISSTGNGHTATVDVRRGAEAARHSAAAASRRVGRQRRATYPDLMDIAGMDYSPAARKPPIHN >Dexi5B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:5B:2791712:2792076:1 gene:Dexi5B01G0004110 transcript:Dexi5B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPARKDAFWCATACVYTWDSAFAAPRNARCISSSTSSSGLCFFAAFAAIYREGVGDGEEAGEHSLACGGLANGDGVAIASGAGPRHLRGPGVGAPRRRGGPRGHDFHES >Dexi5B01G0032800.1:cds pep primary_assembly:Fonio_CM05836:5B:33364125:33366458:1 gene:Dexi5B01G0032800 transcript:Dexi5B01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSAFFLPALFPKLNFLTAPLHLSLPRGRQLRCSPNGAAVPESSKPASRRGRKKSPSPSAPKEKSTKRRTKKEVQDADLEGEEAPAKRTTRRTKKSKEETEQEGVVAQAASGGTEETSQESEEEDGLEAGGDYDDGEDLANDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIKFDGKAATATARMKVSFRDREDGKGGTKLVAETAKSAAEDSLRKTEINVDVLKEYYHYSPEEIAPIWHDGIKILLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAIRKLTTCPEMYEDQDTLERQLRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Dexi3A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:3A:8407906:8408484:1 gene:Dexi3A01G0011630 transcript:Dexi3A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQEGLTHRVGTRDDDNAAAAVGGVASRDPGSRKPGVSGSSRSRRGLRSLAAAVSLSVALTALSFLASGSGGVPAVAATTVAMVRAGSVAAEAVLALAAWMAWAEGGLHARPAATLLPYAAQLGCALAWAPLVLGHGAARAGIACCAAMAAAAVACARGFGSVNPVAGDLAKPAIAWAVLLAVVNYKML >Dexi6B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:6B:19899625:19900705:1 gene:Dexi6B01G0012310 transcript:Dexi6B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLISFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLPPPPPPPPEKNEQSPPPTAGSPEARPSPPPPEEKSAPVTMTAAAANSEHGGDDEVLLSNAPGFCTDEVPMMHPDEIMVPLGGDELPPPLLPAATTCAAAAAVSTPTTSYSTSASSSSCCLSREEEYSPFAFMDMDFPEIVFQMDDDARWHDDCLL >Dexi6B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:6B:2338781:2339264:-1 gene:Dexi6B01G0002770 transcript:Dexi6B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding YISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCRYVAHSLF >Dexi7A01G0022630.1:cds pep primary_assembly:Fonio_CM05836:7A:30804506:30807465:-1 gene:Dexi7A01G0022630 transcript:Dexi7A01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVLLLCGDYMEDYEVMVPFQALQAYGVSVDAVCPGKKAGDVCRTAVHQGIGHQISANVYDGLVIPGGRAPEYLAMDDKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRTCTAYPAVKPVLVAAGAKWEEPDTMAKCVVDGSLVTAATYESHPEFISLFVKALGGSVAGSDKKILFLCGDFMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFTLTASFESVDASSYDALVIPGGRAPEYLALNDKVISLVKGFSDKGKPIASICHGQQILSAAGVLQGKKCTAYPAVKLNVVLGGGTWLEPDPIHRCFTDGNLVTGAAWPGHPEFVAQLMALLGIKVTF >Dexi9B01G0026360.1:cds pep primary_assembly:Fonio_CM05836:9B:28373797:28375607:1 gene:Dexi9B01G0026360 transcript:Dexi9B01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPQSSPSSGNSTQSPPSTSNSSPPPSLSPPPPSQASTNSPPKAESSSFPTSKLSPPPRKNAGSGNSGESTSSSGKKKTSSSPSPVDHTAAVITGVVLGVVGFALLLAIVACLCCSKKKKKRPPPMNMPFYTDEKGNVYYPNAGLPPMWQQYGSNGSIHPHRGWHQQHGGGNPLSPSPGSLAAPLSGEMGGGPYSSGPHGPALPPPSPNVALGFSKSSFSYEELAAATAGFSPANMLGQGGFGYVYKGVLAGTGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCITGNQRMLVYEFVANNTLEHHLYGKGVAVMDWPTRMKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPIDTANYMEDSLVDWARPLLAAALAGETGFEELVDPRLCGEYSSVEVERMAACAAASTRHSAKRRPKMSQIVRALEGDASLDDLHQDGVKPGRSMLFSTGGSENISRLRQLAFDSGEYDDYTSDYSTDSSVANTGRPPRRP >Dexi2A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:2A:30491976:30492902:-1 gene:Dexi2A01G0018450 transcript:Dexi2A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEAVDQQPPSISQSSVNAEDATPLHQETQEISSNEGSSRGGVCGQAPKNSRYSLRSRNVDETTHLRREEQKRIREEHIQNKLLIKQQRKTKAQEDVDRILKIQAMLHEKANAYKEEQRKQKLSKKTDDYNSTDEEEVNKNYTYEIINEPPEGSEEWLPYESDNEFGTQTELKRTPWRVENLTLDLAQCVVGLASLTAGE >Dexi2A01G0035980.1:cds pep primary_assembly:Fonio_CM05836:2A:45649782:45651424:-1 gene:Dexi2A01G0035980 transcript:Dexi2A01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIPALHSSGTKASSTTATKPWKLACQCACASGGHGAELQLLAAEAEEAAVSEEPRFRWDAFCSDLTESQERAIRGLSPKLPNRCKALMTRVVCLSPGDENLGPMLAYWVKAMKPKRADWLLVLKELKAMESPLLTEVLECALLENSFEPNVRDYTKLIHIYGKQKLLQKAEEAFHAMKGRGFPCDQVMLTAMMDMYSKAGDLTRAKEIFGEIILLGLPLDKRAYGSMIMAYIRADMLAKAEDLIKQMEDQQIFAGKEVYKALLRAFSYKGDSDGAQRIFDAIQFAGIVPDTKMCALLVNAYCLSNRIPEAVCVIRNMRSAGVTPCDRCIALVLGAYEKVNMLETALAFLTELEENGIEIGQEPSQLLAAWFRRLGVVHEVEQVLKELSMDTKTKQTVAVSVEQR >Dexi8A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:8A:5235715:5236459:1 gene:Dexi8A01G0005550 transcript:Dexi8A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHYSHHGPIVVADYTSHDPIFLSLLALTSSVAVVHIAGDNGGGDDGLTHILIYVHEKFNGTNATVASVVQSPLGTNSSFGSIGVVDDELRVGPNRSSPLVGRYQGVVIGTSLEVGTGYLTCITLVFTAGEYAGSTLSVQGPVLGFTGTIERAVVGGTGKFRLARGYMLFEIISKPTPETFAGDINLFVLMHQAGKY >Dexi5A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:5A:9786189:9787116:-1 gene:Dexi5A01G0013110 transcript:Dexi5A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRYAGLDPTWAHLPTPAPTHAAAIHYCSSRATAFENEALTSALRASMAPVHGSVAFAAAPSSFCSLATPSSSTSSASELLSSGHDAPAPASCGSARPPARALKGPKGGRVSKRKPRPSRRAPTTYITADPANFRRLVQETTGLPIPAVATLSSSSSFPAAAEPAAWTPAPAYVLPTLDTSAFLLDPATAPAPEDKSSIGPASATTAPAATVAAADDSSLLLEMDAMIDFPFPTLDLESWGIICNLYGEVFGPFDARIGQMSVDEAMPMMPMMY >Dexi1A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:1A:19479184:19482174:1 gene:Dexi1A01G0013850 transcript:Dexi1A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRILLLLSLAAAAAVVVAAVDAVDPLIRQVVPGGDDNELELNAESHFLSFVQRFGKSYKDADEHAYRLSVFKANLRRARRHQLLDPSAEHGITKFSDLTPSEFRRTYLGIRKSRRALLRELGGSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGAHYLATGKLEVLSEQQFVDCDHECDSSEPDSCDSGCNGGLMTTAFSYLQKAGGLESEKDYPYTGRDGKCKFDKSKIVASVQNFSVVSVDEGQIAANLIKHGPLAIGINAAYMQTYIGGVSCPYICGKHLDHGVLLVGYGAAGFAPIRLKEKPYWIIKNSWGENWGENGYYKICRGSNVRDKCGVDSMVSTVSAIHASKE >Dexi5B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:5B:8751596:8752945:1 gene:Dexi5B01G0012350 transcript:Dexi5B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAACCHSPARLAVSCSAAASSSASAPGSSRPLRVAVVGGGPAGASAAEALASSGARTFLLERSPAGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAAEAGAELVPGLVTSLSLPAGPADPYRVHYISSAGGQGRGVLEVDAVVGADGANSRVAREVGAGDYTTAIAFQERIRLPDAAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAGPKVAGGRVVKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGKLCGQAMAEEWARTGAVTEAGLRRGYLRRWDDEYLLMFRFLDLLQRVFYGGNAGREALVEMCADEYVQRRTFESYLYKRMVPGEPWGDLRLLWRTVGSMVRCGVIGREVERLRRLELQA >Dexi9B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:9B:11231265:11234082:1 gene:Dexi9B01G0016420 transcript:Dexi9B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFARRDIEAAGAGAGNDDSPAAKKGKPELPGARPALTRSEAFAFAAVLALFIAGIFCVFLTAPRREFGQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGASSCYFVSKLIGRPLAGRALGDLKSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE >Dexi2B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:2B:865185:866872:-1 gene:Dexi2B01G0001370 transcript:Dexi2B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLCVRFPPRTIPSSIGFLSTSPSQAMSNDAPSDSGGSASPAQSVVGGGGGGAHRRNRSRADPFLIVCRCFGVVTAATALLCVAVNVLSAIHSFRGGKDIFGGIFRCYAVVFAVFVGVLETEWELIIKFWKIFEYLPARGMLQIFVAVMTKAYPSIQRNDLILLQEIASYMLLACGAVYIISGILCLGVLKRRKQQKATSREQATKDLEVSTWFLIL >Dexi8A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:8A:523740:525053:-1 gene:Dexi8A01G0000780 transcript:Dexi8A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYELGRLLGKGTFGKVHHARNLQSNHSVAIKMMDKDKVLKVGLSEQIRREITTMRLVAHKNVVELHEVMATRSKIYFVMEYVKGGELFDKIEKSGKLTEAAAHKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMDMYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPTTRISIQKIKESTWFRKGPEGTRTVKEKIPCENTTTNAAPTLSVKRKKNPCEDVKPLIVTNLNAFEIISFSSGFDLSGMFIKKESRKEARFTSDKPASAIITKIEDVAKMLNLRVRKKDNGVVKIQGRKEGRNGVLHFDMEIFEITPLHHLVEMKQTSGDSLEYQILFEDRIRPALKDIVWAWHGDDEQQKQE >Dexi9B01G0044740.1:cds pep primary_assembly:Fonio_CM05836:9B:44396078:44396741:-1 gene:Dexi9B01G0044740 transcript:Dexi9B01G0044740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEWRMCDACEPQQSATSVHANATRPLLRARSSSFLAAISLHLRALSPAPAAAPPLRAVDGNPHSVSLAASSSPAPGGVGALSRTAADSARRLTAAVSTRASAARMPRTRAAQPPHIMPLTSSSTAEPAPMPAPSSVAAAAEAASTALEADDDGSAVARVLGRARGGGGGAGSGRLAPRGGGGGTELAGDAVGGAAAHRPTPPEAARAGGSRWPR >Dexi5A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:5A:24547807:24548172:-1 gene:Dexi5A01G0020740 transcript:Dexi5A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEPWPAGAGAGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQM >Dexi5B01G0021470.1:cds pep primary_assembly:Fonio_CM05836:5B:23748801:23755023:-1 gene:Dexi5B01G0021470 transcript:Dexi5B01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTHSLQAEVDELRAELPAGGGGVHGPAGGWRRRSDAKRAPGADEAGGGADARAVCVTGGISFVGFAVVDRLLRHGYTVRLALETQGTGCVPCVAVLAAEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLRRAFDGCAGVFHTSAFVDPGGMSGYSKHMARLEAQAAERVIEACVSTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATANVETVAEAHVRAYEAMGDNTAGGRYICYDHVVERPEEFAELERQLGLPGGAAATQSADDDRPARFELCKRKLARLMSSRRRCTYDTYYSVAFD >Dexi8A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:8A:30475348:30483216:-1 gene:Dexi8A01G0018130 transcript:Dexi8A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEATTGWLILDRFVHRTTHDATAVSDDATATATTTTCTAQPISASLRIANSPPAISRLHLHWPGRREFGGRLPEPYVISAHRHAVLFRARIPFSDDAMGRDDTFFFPVDLFVYSAASSSPPPMSQHYMGVLCHGDSNGEFTVPDLTEFDFPVAELCLLHHHHDSAAAVSGKKKNAAAEQWRVINVRLPPMDDDKNVLFNYCSWRTDAVVPVDGRFLCWVNCYQGILIADVLLFLAASDDEDDGTSHQFRYVPLPEEALLGSRRLYLEGECDEPSRRVSVTANGNLKLVCIDTNKTCERRPISIDFTISSWTLVDFYKGTWRKDGAIMKAGEFFDLLCGDGRSHLPRVKPSYPLASLVLDAIVFLLKEDGHTFWLVEVDMKNKVVRSSARPSNPRVPPSRRRRRLPGGVPSPPPLAEQAGAHRHAGTFRAPSTTAHRHAILFEAIVPFDDPISSRDTHLFPIDLFVYSASSSSPPAIHRLPACFVGGASTPDEDLYFKPYRRRQQRIMAGDEIGILCHGDGGGEFTVVDFTNFGHDGELCLLHHHGSPEKKDIETTTQWRVKKVTFPQGPSANHWITDSIVPIDGRFLCWVDNYQGIIVLDVVLAIADEEGPVQLRYIPLPEKALQSGRRVDPDGDCPDAARCVGATAAGMIKLVCVDEASSSNPVMSSADFIVRSWILDDINQGGWHEGDDGDDMEAAEIWGLLYNGSQQMRNLPRVKPAYPLVSLVDPDVILFQLEEDHDTYWIVEVDMRNRVLRSCARYMNEEDEEGCVAER >Dexi9A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:9A:7140916:7141732:-1 gene:Dexi9A01G0011420 transcript:Dexi9A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSAKSDYESLRDARISENMARMEMLGLLRCAVELSDIASASSSRASPRKAPKPRVMSMTPLRRSGRLVAATQTASASTRRRSARLNGESVQHKALPPIGALSKLAAVAVEETDEDEENLALVVDKKRVQALQERRCDSKARGAVYDPAKEIVRRGGLQALRGRRPEAAMLR >Dexi9B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:9B:10543899:10548816:1 gene:Dexi9B01G0015460 transcript:Dexi9B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFVPSLLILPTPTPSLVVLSPHFHRRRRLCLPAPLAASASSRRPYYRRRRSDAPRHPPPRPTPSLHHHQQQQQPRSQEQRPANAAAPGARSQEELEEAIFEFMRRSDKPGAFPTREELLAAGRADLAAAVASSGGWLSLGWSSAGSEGPTRRSSGGGHPDYPPSTGVYRHDGLAPSSEGDSRWEEAEEEVSPSGRQPEMEDTKEVNLKAGIEGMLTRLQKDRERARPPPRRSDNGALAGNSGIPSHTVAGDRHNRRAPDNGSVHGSYPQNGTLYSNNTLQNSSKDAWQTWNLGKSDLSNFEAAEVLPTETRKLSRHDRQDIALAQNDFLKSSNGVAVSEYPSDDVDSERDEIHSRLQNLELDLSAALKTLRSRFDRVLSNMSNDKAAVLDDISDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIERNKIIEDKQRRLDEVEKALSELRTVCIMWANPASEVLLVGSFDGWTSQRKLERSESGMFSLNLRLYPGRYEIKFIVDGVWKNDPLRPTVYNNGHENNLLVVT >Dexi5B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:5B:19633483:19645596:1 gene:Dexi5B01G0017750 transcript:Dexi5B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRINPARQATAHAARDENPNRTPCAGEGRRGGARLLCVDPSRSLPAPAMSSGAEVIELSSDDEEEEPSPGTTALARLAPSSPPDVKPHLFDDADVKPLLLPLPLHPPGYGALVPVKTEDPVPVPVATASPPPRALPPARLCRQFWKSGDYTVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVDKFTNPRDDNSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDVTTASYIQMLRHDQKLFSSNLGILLKWSPFASEAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKVKTNKPEKIATQNYVSSRLRYSLRVKYRFHIYHFGTPSVITTIGFVKGAPNIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSALYQRLEIRLKDMTYEYWGLHCHRLGYDNKSLPKATRALHRANHMNGGSSPISAPPRLLAADIPTSSCGIPRLSASAAREKINSLESHSKSNMGLKRKFDSSGAMSDSADQDGLDHTDGLGVYQRKRFNEYRTLTLENDKLRNECLQYEESEKQLVMK >Dexi5A01G0026270.1:cds pep primary_assembly:Fonio_CM05836:5A:29946199:29946525:-1 gene:Dexi5A01G0026270 transcript:Dexi5A01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSLGRSARPQQHAAVRSRNRRPQQAQPSGGDPPPPPVPAAAPMDSSPEPEPEPEPGPPTGGDPAPADPEVPRLTVEQMNVEARVTDIYRVLWGGSPNTQSIM >Dexi2B01G0024740.1:cds pep primary_assembly:Fonio_CM05836:2B:34085935:34087276:1 gene:Dexi2B01G0024740 transcript:Dexi2B01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLIGGVGGSGEPAATVKSILVYPIKSCRGISVPQAPITPTGFRWDRQWMLVNSKGRGCTQRVAPKLALIQVELPPEAFAEDWLPTHHDYMVIRAPGMEPLKIPLASECATIYDVSVWEWSGSAYDEGAEAAEWFSTFLGNPTRLVRFKEESETRLTDPDYAQGYKVMFSDGFPFLIVSQGSLEALNEILKEPVPINRFRPK >Dexi4A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:4A:7221107:7221998:-1 gene:Dexi4A01G0009160 transcript:Dexi4A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTLLDLCIEQKRLFNWNRLGPSPHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYQTWKELQNSSGLGCDRNTGGVAADDTYWDTSSEQQTHGKPPPFLEELELLFGHTPQDRGTLLTAGGVRESTPTIGSDDTPQEISEDPHSASAVRNTSKRTGRDEVVDSPQKKKSASMEDYVKEISESVAKRCERRSREQEGAIILEEDGIMEGSELYYMATYLCTKAANRRFFTQMKTKRLNWIKFHLEKGTK >Dexi1A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:1A:28358755:28361371:-1 gene:Dexi1A01G0021610 transcript:Dexi1A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIAVSVAAPASSPSASPVASVATPSVALRRGLPPTCRALRARRSSRGAAVVCQAQGSQDTAIQVPDVSKSTWQSLVMESEVPVLVQFWASWCGPCKMIDPIVGKLSKEYEGKLKCYKLNTDENPDIATQLGIRSIPTMMIFKNGEKKDAVIGAVPESTLVSCIDKYVDGR >Dexi7A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:7A:76774:78466:1 gene:Dexi7A01G0000030 transcript:Dexi7A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDLSKLVTRNQQGQFGLFSSIGQLAQLQSWNMTVDLPIPRGGTPKWVIILSSVLSSVAATIVVAAGVYCYFNSKYRRWKKDLDQLAKTMQSLPGVPTQVDFADIKKATNNFHETTKLGRGGYGAVYGCTLPAAASRTGQSMKVAVKKFTREVMDQRYEDFLAEVSIINRWSYNKGEPLLIYEYMNNGSLDQHLFQSGGFGQRRQRQQDTTIGQWDTRYGIARDIATGLQYLHHEHEPMVLHRDIKASNIMLDSTFSARLGDFGISCTVDAERSSVTGLAGTIGYIGPEYIINYKATRQTDIYAFGVVILEIVTGKKNTHVPTDDGHITQWVWRLHRDGMLLKALDDMLMPSDNQADLAEEAERLLLLGLACTNPNPSSRPSMTEVLQVINKLVPPPQVSHEQQPLLWMSEEWSSITSEYGASMPNQELRSKAR >Dexi3A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:3A:6198736:6199390:1 gene:Dexi3A01G0008920 transcript:Dexi3A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHPGVQVTVVRFVDGKAGSDEQSEVTLRPSHTKNADRSYTFSTAVVDTHKEKELDEAAVAEFRQRMGSLVRFEERVVVGNVVEEVVSIGKSREYGLVVVGKGRLPSTMVAQLAVRPAEHPELGPIGDALASSGHGVTSSVLVVQQHDMTNADEVPVSVVVDGHAHEHDAEAAKGTPMEEP >Dexi2B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:2B:26233430:26234482:-1 gene:Dexi2B01G0016080 transcript:Dexi2B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDKMKDFMKKVTSSGTPSSFKGTAHVLGSGPSSSSSHPASRPTNPTPNPRPAAPKQPSPPPPPAPAAPKQPSPPPPPASTTEFTPFTPLISSSFASRRPDANGAAAADTVACPSCGDAFRSELSVSEHLDGCLASAGGARARAAAYLAADPPPPAAAVEVLKRLLGNLLREPGSDKFRRVRLGNPRIKEAVVDREGGVELLEAVGFRVGDEGGELFAVMDEVPGDARLSGIRRAVLLLERAHPSATPAQVEDVAKESCSNGVDGQEEAKKTVDRQVGWCSVSYNL >DexiUA01G0008480.1:cds pep primary_assembly:Fonio_CM05836:UA:15894864:15897645:1 gene:DexiUA01G0008480 transcript:DexiUA01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSMGTKAAHFVSDLTTVILNPVSERETSHLPVVGDKKGTVFGGLVEAPLQPSSSKKYQGTNNCFVFTNLHDRPVIYRPTGANNYFTVCSSDYLALGGGGHFALYLDADLLTGSSSNSETFNNECLSHSPEFSVKDVELWGFVYPSKYEEMLTLCRTEKPGICRW >Dexi5B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:5B:265032:265397:1 gene:Dexi5B01G0000420 transcript:Dexi5B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYPLTKAREGRGGNEALDIPYCYHRRRPECRSEDRVRRKRRMGQPSYFARWIDRLTTMLELASNSSPLLPDAEAGLSRRRAGRTTKQQTGRRQAESEKVRGGGGGGGREGWEVGFAGAG >DexiUA01G0004260.1:cds pep primary_assembly:Fonio_CM05836:UA:8361056:8361464:1 gene:DexiUA01G0004260 transcript:DexiUA01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYDVTPFMDEHPGGDEVLLAVTGKDATADFEDIGHSDSAREMMEKYHIGQIDASTIPAKRTYVNPQQAPHNVEKDNDLVIKILQFLVPILILGLAFGIRQYTKSE >Dexi1A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:1A:25836422:25838089:1 gene:Dexi1A01G0018660 transcript:Dexi1A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDPRLELAGAARREQAVAAAAAAEAHLAAGAEAMVVEAFLPGPDGGGASASSTRVEEPGNIIDMYFEDQFTLPGKTFHPHWNSDPSKIKKNNVC >Dexi6B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:6B:18288913:18291302:-1 gene:Dexi6B01G0011230 transcript:Dexi6B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGTAITPAAAAPVAPRGNHRLPRHRVAASRSRAAPARRLALHASASPTATADAPDEAVAEPSVEPPETKLSKLACPICYYPLVGASDQSGTLLYLQFETAKTYLKPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYLRQESISDEYGSDH >Dexi9A01G0030290.1:cds pep primary_assembly:Fonio_CM05836:9A:35229038:35229307:1 gene:Dexi9A01G0030290 transcript:Dexi9A01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNSIISNNEHHVVKLTAEAEEVGEDGVNSMFTIDQKRLTILNLVGQMEEGNSIVSNNEHHVVKLPVEA >Dexi9B01G0042340.1:cds pep primary_assembly:Fonio_CM05836:9B:42536084:42536388:1 gene:Dexi9B01G0042340 transcript:Dexi9B01G0042340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHPEGEAAAGTWVTVEEWSGSSATALSRTAVLTASASSLTSHRFGSRWGRIGGRMLGAFVPEVNG >Dexi9A01G0024600.1:cds pep primary_assembly:Fonio_CM05836:9A:20998460:21020105:-1 gene:Dexi9A01G0024600 transcript:Dexi9A01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRTGTAVWVEHTDLAWAEAEVVSSPASPSSVTVVLSTGAKAVVDGRKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYTLNEIYTYTGRILIAVNPFARLPHMYDMHMMEQYRGVQFGELSPHVFAIADASYRAMVSEKRSQSILVSGESGAGKTETTKLIMRYLTFVGGRATGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQLNDLHPMREIGPYVNFYIQDADKYKLAHPRNFNYVNQSHMYELEGVSDAEQYLNTRRAMDIVGICFSDQEAIFQTVAAILHLGNIEFSPGKEFDSSVIKDEKCKFHLQTAADLLMWSLLIQVDANLLLSTLCYRTIKTPEGNIIKAVDSSAAVIGRDTLAKTVYARLFDWLVDNINKSIGQDMESRSQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYTTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFATKLFQNLRAHPRLEKPKLSKTDFSLSHFAGKACSVTYQTDLFLEKNRDYVIAEHQNLLSSSKCSFISGLFASQQDDPSKSSYKFSSVASRFKLLQPPPPPPLVAHQQLQLPPPPPLVAQEQALQHTRPPPPLTPALGTAYHAELTALAATAGLTDPADLAATTGPRGLAGRCLARNVLETKRQIAAAVSVERYARRWLCRCAYLHLRSAALLIQSGIRYILAVQRLLHLKRAKASTVIQACWRMRKLHNFHQQYRQATVLIQCCWRQKLAKRALRNLKHAAYETGALREAKGKLEKSLEDLTLRFTLERRQRVAVEESKALEISKLLKILDSVKLELQASNEENKNNCTKIASLQHQLELSSKDQEALRNSLSQIEDVKRENISLKEKNAEMEQELLIAQKCSHDNMDKLQDVEKNYVHLRDNLKNLEGKISNLEDENHLLRQKALNLSPRHSRTGSHHIGASPCSPSPLFESSPVKLAPLPHNLTEPRRSRMNSDRHEDYHDVLQRCIKDDMGFRKGKPVAACIIYKCLLHWGVFEAERTTIFDFIIHTINTILKTENENDILPYWLANASALLCMLQRNLRSKGFIMAPSRSSSDTHLSEKANETFRSPLKAFGQRTSISHIDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPGVATQQPISTHWDRIVKFLDSLMDRLHKDFVPSFFIRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLEKWIVDAEEEHAGTAWDELKFIKQAVDFLIIPHKSKKTLEHIKKNICPALSVRQIYRICTMYWDDKYGTHSVSAEVVAKMRDMVSNDVQNPVSNTFLLDDDLRLVIPGEVHELIGLSLICMIHAHKTHTYTVIGNLHNCSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQSSYLSR >Dexi1A01G0029220.1:cds pep primary_assembly:Fonio_CM05836:1A:34784371:34787262:1 gene:Dexi1A01G0029220 transcript:Dexi1A01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPTRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQNTMTSRLKEISKSIETAQGGLFLEELNARWMDHNKALQMIRDILMYMDRTYVPTAHRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIDRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLEVSASFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYEDLGRMYALFRRVPDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEFFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCNLPTEILTVCEKFRSYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Dexi2A01G0035730.1:cds pep primary_assembly:Fonio_CM05836:2A:45296379:45297979:-1 gene:Dexi2A01G0035730 transcript:Dexi2A01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPAQPSAAAAAAATTAEQAQDLIDAARYDDLEDVVALFSAGVSLDSTDSQGRTALHMASANGHLAVVEYLIQNGANVNATNLEKNTALHWACLNGHIEVIKALISAGASVSALNSHEKTPMDEAVTKGKMDVIDAISAAVTQAELDGVTVS >Dexi4A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:4A:21206923:21207361:-1 gene:Dexi4A01G0017490 transcript:Dexi4A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAVTLAVVMAAAAAVVATAKECTNIPTQLSSHTVRARLQSTPDAAERRWRELFHDHLNPTDEAAWMDLMPPPRAAAGAGEDEELDWAMLYKSLKGQVPGSGGGGGGGGEFLEEVSLHDVRLDLDGDAVTFS >Dexi1A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:1A:278652:279194:-1 gene:Dexi1A01G0000470 transcript:Dexi1A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELVPAAMMTDDEEMMDPATSCASPVSVEDACRGASDTHFGVGYEHCVASLAADPRSKEAGDMHGLAVLATKQAIDHAASTESKIDGLAELEESPHARARFNHCLELYGAAADLLRDALDNLKAQIYGKAMEQLAAALGASESCEDAWKGEDRIPVAAHDREYGKMAHIALGFTHAAA >Dexi5B01G0029950.1:cds pep primary_assembly:Fonio_CM05836:5B:31033532:31037309:1 gene:Dexi5B01G0029950 transcript:Dexi5B01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTPPLAPLLSPARHRAANPPLLAATKTPSAHISLFPHSHRHHDAPCCPNGRSLRRSRRWGAAASLDQEEAGTSETTVADEEDPGPPVSSEQAEASPEDLENIREIKRVLELLEKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDELADALVEVEAPKGKSKLGKSVYAKATDTGIDPEAAAKRLNLDWDSAADIDGEEEEEETEVPSAVVGTSGKQAHHFSPCAMSLEEASSFKKDDAEAGVVDDDREEGHAARDGTAGRPFRSGSTATDLSSNASSINYRKARTDKIGGGGVFWRCGVLSCMHLPGLSRRRSMRQQPSMSLSEASTAAGAGAGGRGSTVSKVASMERFKYSSSSSGIVFERADNKEEEEEEDEEEGEEEVSAYFDLPLELLRISSVDTESPVTSAFVFDGNRGRGANKVVPEMPLDLDFSFPAPPVFSKPSSPGS >Dexi5A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:5A:4530459:4530875:1 gene:Dexi5A01G0006110 transcript:Dexi5A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGPGEAVVAELKLLETYQAADAGRDGPVKPIGAQVEHLEEREVPDDRGQGAGKPIRVEAERHHSLAAPAPHPLPAAVAGAGVPPPPLIAAALKASSAASSPPPPASPAATGVADVET >Dexi5A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:5A:11501861:11502898:1 gene:Dexi5A01G0014440 transcript:Dexi5A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPKRPEPLLVLLIVAFVSATAAASSSDAGGTVLFYPTAEAAAAAHCDGTLYRDVCMSTLADIPDLHKKPLPDVISAAVNRTEDVVSATASNCSAYLHQRSLNARDRLAITDCLELLSTTMDDLQATVADLESTSATNGSASATSHGARHMTLDHVMTVLSAAMTNQYTCLDGFAYQNGGRPALDHVSRMVSNSLAMAKKLPGAPSPSPETETKTVARQPFMGYGQMVRGFPRWVRGGDRRLLQTPAVSIAADAVVAKDGSGNYTTVSAAVAAAPTNSKKRYVIYIKAGAYMENVEVGKKHVNLMFVGDGIGNTVIKASRNVVDGSTTFRSATVGEY >Dexi3A01G0022910.1:cds pep primary_assembly:Fonio_CM05836:3A:18523990:18525417:-1 gene:Dexi3A01G0022910 transcript:Dexi3A01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQAAMTAHLDQMSGLVQALSSELRRGMGPAADNLRAFVRAVDWMEPWLLCLMAFHVILLLTAVGFRRNANFQLLLLLLAYSGVYMAEKINRYLGEHWKSFAGQNYFDRPGVFISVVWSGPLIFISIVSVVSTLIALCRLMVKWKRAELRHRARLARDKQE >Dexi7A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:7A:4306556:4316254:-1 gene:Dexi7A01G0001610 transcript:Dexi7A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLKQPSPVLPSREDAIATVVKEEVNPDALRQAKRADAERCSCPHCCSGLHGIPVLLKDNIATRDRLNTTAGSFALLGSVVRRDAGVVHRLRRAGAIILGKANMEEWANFRNLEGLHAWSSRGGQGRNPYVLSADPCGSSTGSAIAAAANMAAVTIGTETDGSILCPASLNSVVGIKPTLGLTSRAGVIPISPRQDTVGPICRTMSDAVRVLDTIVGYDSHDALATKKASRYIPEGGYMQFLRADGLKGKRIGIPNGFFNYPNGTVQNLVFRQHLDMMRYSEMLKEFGQLIFLVAETTTGIGAPERTAILELKKLSAYGLEELMKDQKLDAIVTPNDSASSVLAIGGMPGITVPAGYGKLGVPFGICFGGLKGYEPRLIQIAYAFEQATRVRKIPTFSP >Dexi9B01G0036430.1:cds pep primary_assembly:Fonio_CM05836:9B:37970849:37971331:-1 gene:Dexi9B01G0036430 transcript:Dexi9B01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGLAALRAARAVKETTGIVGLDVVPNAREVLIGLYTRTLKEIESVPKDEGYRKAVESFTNHRLQICQEEDDWKRIEDRIGCGQVEELIEEAEDELKLIAKMIGGFTDLGDIS >DexiUA01G0013400.1:cds pep primary_assembly:Fonio_CM05836:UA:27890722:27893047:-1 gene:DexiUA01G0013400 transcript:DexiUA01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPPPRPPLLPVRSLLGFPLVCTRRRVRSTRSRLRPLCRLRADAAAMGSAGVVIDVDEVGETGDRYLPVYVSFTRRLAPALTVGDGIDVLRRACDEVKANPPADATSGVIRFEVLVPPSTKALNWCSQFRGSSLFPQFYLSSKLTSGPSFQLEICGIGSAICLHGSHLKNGFDSVLRYISSDSHLIRAYGFVGIKYNKELSSMEEKLGSYYFFIPQVELSEFDSCSILSSTMVWDDSISHTFEDAVSLYESCFDQV >Dexi2A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:2A:684818:686130:1 gene:Dexi2A01G0001050 transcript:Dexi2A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFSFQGVNQPFQLPPGFLSLGVGAAGGVDYSTSPILLPMAAPPIPMAPPLRSSPQLPDEILEDIFVLLPPDDPARLLWAALACKCFARLIAGRDFRSRYRQHNSREPPMLGFLANLIDNAGITRFIPTTIGGGHSSFRPALADHDRYRAHDARHGRVLLTQITGLLPGKSKDADVLVVWNPTTGELQRLPLLPRRRHVLYWNAAVLCGSATAACDHIDCHPGPFTVVFISMEAYAMFSYVYSSNTHAWSVTSLADLPDDILHESSRGALARNALYFMLRDETYVLKYDLATSIISQIAVPQRPDGRSLLLMTMEDGGLGFADVDFFGHDLRLWAMEDDHPEGVGWILRTVISLSEHLPPRALRSSPDAVAFAEVAGVIFLSTSDGLYTFDLKTRKGNRIMSNRFYDIVPYI >Dexi8B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:8B:2183793:2184119:1 gene:Dexi8B01G0003030 transcript:Dexi8B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGNGRGGARLQLQLSPPPAAGRMEVDGGSDSSSSSPSSCVSSDGSPGSKSPMVIGACTRCMMYCMVAKKDFPTCINCKQPSLVDLLHGAGGGAVAGDDKRRGKGK >Dexi3A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:3A:1724108:1726728:-1 gene:Dexi3A01G0002570 transcript:Dexi3A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDPLLDVPDGGEATQANPARALYTRHAHGQTRQQATHNPWVLPPFGYPHWGLYLSLQASIGVKIPAAEDEELEATLEEELEAAPPPLTAGEEEAEVGEDLLSSGHGDGDGSAERCAELEDCVSQLLLLPCTLAAAPPLHGLEASCSLLAQSAGPRERKASRLPTQQQLGRGRTGGGEAAERARRGRGFWIDGDRFTGAHSLPPDASGDSEPNRRQAAKIPRTQPPKCSETAAAAAASLSGHPSAGAAERKAPPPWCVYLIASSRVPRTYVGVTTDFPRR >Dexi6B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:6B:17731838:17739892:1 gene:Dexi6B01G0010900 transcript:Dexi6B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPARFQGQARLPRFAAPLRYDLRLRPDLAACTFSGAAAIAVAVSAPTRFLVLNAAELDVDRASIRFQARIGGPTRARGGLVPTEVTQFEEDEILVLGFDRELSVGEGLLTMDFTGTLNDEMRGFYRSKYVYNGESRNMAVTQFESADARRCFPCWDDPAVKAKFKLTLEVPSDLLALSNMPVVKETVNGPIKTVDYEESPLMSTYLVAIVVGLFDYIESSTSEGTKVRVYTQIGKTNQGKFALDVAMKSLDLYKEITNGNFLPSYFATPYPLPKLDMIAIPDFATGAMENYGLVTYRDTALLYDELLSSASSKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVDSLFPEWNNWTQFLDEMTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAEPFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPIKDLMTTWTKQQGYPVIYAKINGHYLEVEQDLYFLGIVEDSYALSVARMQTLTSLLRLLNAYHDESDYTVLSHVTSVSKSCTSIGFLNLVSPIDSVTLDKNWDHVFNTWKSSELISDFIESIVSPFTSDEKAAEVSEFFADRIKPSFERTLRQSLESVRISARWIESIKSEASLGQVVQELLQGEA >Dexi1A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:1A:22930101:22938686:-1 gene:Dexi1A01G0015920 transcript:Dexi1A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWWAGGERGRLAACGCGRANGQLRTYMARKRAADFVNLESGEESDDAELNLKTSLILRHLVNLKYSYGYDESNESIKRAAYVQVLKDVMYLDFVNFGGRQVPDDIPRIAVWKGEMLKEYSSFDMKSTGSYGCHPLLDFSATCYSKDMIFLQNRSSILLDDDFCEKLDSYSGCKLPVVLKEKICNLIQTFCFNPRVPVNLDVNSINGLPDEMKKSFCNLLNYVSNINSRTPKLILDLVKLFADSADTDDPNIVRSPQVTPSHEPIRTPVNNNFDFSEPKDFDNIEENGSHGVFCSQYELHKSFHSSSHLNIDPQGNCEVQIVGEKTLSDKVQELSNKYNALYNSNLRNSGASAATPVVVSPHGPSASRPCTQSVSFRARDNSTGGKMPRYGPRRLLNPGPLFQGDFCTTSNKISVSKSQIDNCKAICKLSSSQFKGLENLLKDPEFADEDVLSRAFRRSSKARALHLSNMRSAFEFYWQKYIHFDMGFDEYDFIFPVVPQQPLDNTFIE >Dexi7B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:7B:17438361:17440315:1 gene:Dexi7B01G0010030 transcript:Dexi7B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATRKKPTRRERRIERKIREAKKNEEQLAKYEEWKKRRNEEEARNRDPEKHTDFYAYQARKFEKFWNTVYSSHYGRFEDNSELLAITLVLDLHDPYLLLTGPVRGVVRGGPVTFEVSLYAKGTTESDDKELNLVASSMGKCWSHLWDSYLNWHQLFQWRS >Dexi5A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:5A:12432508:12437065:-1 gene:Dexi5A01G0015080 transcript:Dexi5A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSASGREMAPESLPLGIIPVVDMSSAATGRGELAHQLVRACAERGFFKAVNHGVPPRVSARLDAASSAFFARPARAKQAAGPPDPLGYGSRNIGANGDVGELEYLILHADPTTVASKASVIDAEDPSRFSVAVNEYVDAVRRLACQVLDLLGDGLDLRDSSSLSRLISAANSDSLLRINHYPTSTANLQGPDGRTCTVEDAKSGSNGAKGSIGFGEHTDPQILSLLRANDADGLQVLLPADGHGGGDKWVQVPADPAAFFINVGDLLQALTNGRLVSIRHRVMASTSRSRLSTIYFAAPPLHARISALPETVTAGTPRRYRPFTWSEYKKAMYAHRLSHNRLDHFRAPDNDATDDDTNS >Dexi9A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:9A:15315816:15320838:1 gene:Dexi9A01G0020360 transcript:Dexi9A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALAQRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWFALKQLVRDEANSSSEPENNDEQEQIRIARALLNYRLKEKMAMANYPHASPFPKKFPMQAERKPSFGPSSQSSYSKILPLFRPKSNSRCRPESPASTDGASQMAVRTIENLNPKSRFPAAEAAPYVPVGHYRMSCHSMAPSVTIRTAVPVFSAPPLPPPAARTQQQLPPLMSHTPPIRMASPVPIRPASPMFSPSASVQGPKPVMSVQVKDVQQQSRREPVAPMIPVQVKDVQYRPMKVSVSPVIPAHLKDAQRHPLVGSVSAVIPMQMKNVQTQAPKESLAAPVLGIKPSVKIEAPAQAKEASAVVPSEVPCPVAGSTTAVDCTTSSDIMPTKQSKAADGGDGKAEAEHEAEAQAVAEAAIRQLEIN >Dexi3A01G0029700.1:cds pep primary_assembly:Fonio_CM05836:3A:31969642:31970103:-1 gene:Dexi3A01G0029700 transcript:Dexi3A01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDGKHDAGAAAAGAPPAGAPQPAAPSAGPAGQPQPPEAARWGTRQMGPPAAPGAHPENQEAAKWTAARGDQELPPYVIMGEPVAAPQQQQQKHKGDSPMEHILDFFNTWSRKAEELASNIWFNLV >Dexi4A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:4A:6340765:6341934:-1 gene:Dexi4A01G0008440 transcript:Dexi4A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGSSPTSASANQDHQHTPLCRSCGAATTAPTPAPWSGTSDSPPPAYRPIRLPAINAPTNTAAIVLSPVPQPLPVPLAAPPHAFQVPAKRITSPDDIARFHASVHGRHFLGFVAALSASVHGRKLSDPLPAPPSPAVSSLLDLISALAAFVASTPPLPHNSRYGNPAFRLWHEKLSDSAGDLIARIISTAASPADLAGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLIAESDYPAVVLRVFAAYLNLMRTLQDTYQLEPAGSHGVWGLDDFHFLPFIFGAAQLIDHKYMKPKSIHNPDILENFSNEYMYLACVAYVKKIKKGPFAEHSPMLDDISGVPNWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWYD >Dexi6A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:6A:12808779:12809025:1 gene:Dexi6A01G0010400 transcript:Dexi6A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNITEGEDWFCRVARTRLRPTAIEYNMEDVNIDAEDDYDVDTRWGNQPERAPLHDHMVFINNC >Dexi6B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:6B:3281279:3281690:1 gene:Dexi6B01G0004040 transcript:Dexi6B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLRHLVLDNNRLIGRIPVELSELTSLQTLWLAYNWFAPSELPASFKNLTNLVSLWVANCSLIGNFPSYVVKMPKLEVLDLLRNRLTGMLPSELGKHSPALSFVGADDNELTGRIPEVPRTIA >Dexi9B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:9B:565564:567789:1 gene:Dexi9B01G0000960 transcript:Dexi9B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQQQANGHHQHKKEEEKDGDAGEWKQVAELRAVTEAQDPSCKEEDDYMLRRFLRARDHNIGKASAMLLKYLKWKPTAKPNGSITADEVSHELSQGKLYLQGYDRQGRPMIYGFGARHRPSTRDLDEFRRYVVYVLDATVARLPPGQEKFAAVADLKGWGYSNCDIRGYLAALEIMQSYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVSDKDLDRTLREAIDDAQLPEIYGGKLKLATPTPSPSSANR >Dexi8B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:8B:7209163:7210023:1 gene:Dexi8B01G0006220 transcript:Dexi8B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVQFTSVPINAMLSFHFILAFAIDYTPVNQKPIPTPTNGVFSPFWDTGNLSPSAVAAIKAAHPNVAVMAGLGGDSVQDIVKANFTPTSIDSWVSNAVSSVTGIINKYGLDGVDVDYEHFAPGADVDTFVECIGQLLTQLKAKMPNIATSIAPFEDPVIQKYYQPLWSKYSGVIDYVNFQFYAYGANTDVDLYVQFYDNQTANYPGAKVLASFKTGNVTGLISPDLGISAAMELQKQNKLPGLFIFSADSSFNSSYGFKYETEAQQIIANH >Dexi9B01G0028370.1:cds pep primary_assembly:Fonio_CM05836:9B:30980776:30981150:-1 gene:Dexi9B01G0028370 transcript:Dexi9B01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDECLHQLAHWKPCGTFLPQTLSSTGRFSLTTPSTLARSAVHRHTAASRSASPPRSWQHGCADDDAEPACWPSSRLSSPPSTLAHAPSFSAFTGHLPPLGALGVGGHGAGEGGGLGHGE >Dexi8B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:8B:8722844:8725274:-1 gene:Dexi8B01G0007330 transcript:Dexi8B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYTFPTYTQGYLASETFTLGGNAVPGIGFGCTNISEGFNGTASGVVGLSRGPVSLVSQLNASAFMYCLTRDASKTSPLLFGSSSLLTGAGVQSTPLTSDPSDSFYSVYLHNISIGGVMTSEYGSTGAIFDSGTTLTFLEEPLYSDTLNALLLQTDLTKAPDRDGFEACYVAPNDGTSLDKAVPSMVLHFDDADMGLPVKNYFVDVGDGVVCWVVQMSPFGSGSVIGNAMQVDFHVMYDVNNSVLSFQPANCDNLPAPPGSRSGSPRK >Dexi5A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:5A:10212716:10214202:-1 gene:Dexi5A01G0013610 transcript:Dexi5A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPIAEIDRKAAPAISTERTSESSDVDPSSGVVLGSLSHLLSETAQSEELLPVLGKHRKETLAHLRVPPKELFLDQLVSGHDNLPSCRSTGAIPNFPFQQLMCYSNEFGSKHGGSTNSLWFNQNESSCSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGIQSTQFYWDTTNPSSSSSKGSSGSNSLGFELQSTSSILENSIFPWTDLSPDRSNHLQEELKWPDLLHGTFTDTPATMQNLSQSLYEDVVKAESQFNMEGIYTSWSQNLQPQQHLQVVSDLYDKDLQRMSLSFENI >Dexi5A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:5A:4985092:4985370:-1 gene:Dexi5A01G0006710 transcript:Dexi5A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLADDGVFFVQSKHEASSDLQSTLIEIVTWSPKTNADESDANELEKLENLLRNALRDTRSRK >Dexi4A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:4A:4065867:4067401:1 gene:Dexi4A01G0005610 transcript:Dexi4A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWSVPTPVQEVAAGVVEPPSQFVLQEQDRPGSQLATDMPELIPMIDLSRLTGDNEAAKLQSALQSWGLFLITNHGIEESLMDAVMGASRDFFHQPLEEKQKYSNLIGGKRFQVEGYGTDVVLSKDQTLDWHDRLFIRVDPEDERNLAFWPKHPESFRDILHEYASKTKTISRKILRAMARVLELDEDYFISQIGGDKAPALARFNYYPPCPRPELVFGARPHSDGGALTILLVANGVGGLQVQRDGIWYAVPSKPHTLVVNLADSMEIMNNGIFKSPVHRVVTNSEQERLSLAMFYGVQGHKMLEPAAGLLDEKRPARYRKIKAFDYIVGLREHFSKGKRFIETLKI >Dexi7A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:7A:23115885:23118426:1 gene:Dexi7A01G0012910 transcript:Dexi7A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFGGLDSRLRLSVKAADSIMVGLVNAAMEDAYKKSLWKDGDLDRLFQKLRFAELAIMQLEWCLRFVRGEMEADGAGADDGHDDNEQLLDDLLETRDRIQERLDEAELAVAETDRDYMRRKREELAGPSRGREAPPPFDRLSAEEEEEDGGLAFLELRGSVIRKMSRMRARLEDASSSLAALMEKVSGEASPMARLQEAGHEGEGVKGLSGFYSMAQLLMEFQEMVLDAGTVRDSVASSFDAIERSFTTLRAAMDEQQWLMDAEREMYSTVVEGFVRDINVGSNRTSSSPCEGSCPPTLHHDSNATEKSPETFLLSPKDETRQLQSARHIVAEKSESRQCYHSQEHCIRLEEAERLTEEQIDSDVRSELQCVLYTAVFIDLVTKLSVQAYDIQKLKEENDEMDVTSKLQREIYGSIFKESLKVTEGRDEVDIRSELQNEIYSIIVEDLLKEVAVDHRIKTFIRDEVHAVSLTKILNAWKSTTEMTHNERFIKEEIDRILFGGLTKDLISGHNFRVTKPYGEIGPRNDLEIFSMIDNIKQFKKVNMQINAGVEGESPDSDQHEVPVKQEVLSLSANHGSQNSKGSNQKAETSADKDDVSDPVKNNIEEGLKDQRKVQMGEMDTSFSMLPDRTNKDMFILTNKFQAMFMYFEAFTCEKLGTAVLRWIFLAMRWSCFLDFSARHTKLWITTHQFFNTILGCGIM >Dexi3B01G0036420.1:cds pep primary_assembly:Fonio_CM05836:3B:39272196:39272579:1 gene:Dexi3B01G0036420 transcript:Dexi3B01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRNAEAPAVAAPALLQLRRRHISSTTTTHARQQSDGGGDDGGGGGGVVGHNPPPGMAPLPEDAPPQTPPRVGEPDPVGPPMPTSSEDKGAGGEGEAPAPPGGMPDTAPAPDVAVPVVSPDGTNV >Dexi7B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:7B:3765775:3767074:1 gene:Dexi7B01G0002160 transcript:Dexi7B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNQEPFVHIDARTAADMRRRGMDPHQMEQATRILLYNLYTSLPAPPVSAAARLSALPSASSNGEDRISSLPFALLRDIVSRLPVKDAARTAVLSRRWRPVWLCSPLVFTDAHLIPGFVEGRGRPTRADTPSLVAAVTRVLAAHPGPFRSVHLVCGYMDAHQRQLACWVQTLADKGVTDLVLVNRPWPLDVPLPAALLGVSTLTRLYLGIWKFPDTSGLPRGAGAEPAFPHLRELVLSSVVIENRDLDFLLAVSPILEVLGIQGSRYGVRLRLSGRQIRCVQICLCYLESIAVVDTPSLERLIIRESMTREGSFIRIKIGNAPNLRLLGYLNPGIHMLEIRNTVITAGIRASPSTMAPSVKILGLHVRFRVHNDVRMLPAFLRCFPNVETLHIMVLIISLI >Dexi9A01G0046740.1:cds pep primary_assembly:Fonio_CM05836:9A:50018587:50019524:-1 gene:Dexi9A01G0046740 transcript:Dexi9A01G0046740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMRVKPAKGWNYGTATFYGRPDGSGTMGGACGYGNLYEAGYGTNTAALSTCYMIMCDSNASPWCKAGAAVTVTATNFCPPNWAQPSDRGGWCNPPRPHFDMAQPAWERIGVYKAGIIPILYQQVTCWREGGLRITIGGSTYFQLVRFSNVGGSGSIRSVSVKGTKTGWIALNRNWGANWQCNSALAGQALSFIVTSTGGQTLYMNDVVPAWWPFGMMFNTNYNFYY >Dexi3A01G0023090.1:cds pep primary_assembly:Fonio_CM05836:3A:18670162:18672501:1 gene:Dexi3A01G0023090 transcript:Dexi3A01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLRQLSSSDGGPHQLLQEDWECSSRRGSRRWSSRKKQAARATRGHRRGGGFCGQTEEAAAAGRKRVMVVVDQRSGAKHAMMWALTHVASKGDFLTLLHVLPPQSSGSSGGRGCAADASALANSLGALCKACKPEVRALAYSFMHTTLLCFMRSSSEAFVEECINRAECLTLAVRRQSKGVGGYLISTRWQKNFWLLA >Dexi1B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:1B:11238219:11238632:-1 gene:Dexi1B01G0011170 transcript:Dexi1B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATSAASRALDLFDEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAAAAAAAAAS >Dexi5A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:5A:7681903:7683241:-1 gene:Dexi5A01G0010110 transcript:Dexi5A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKELLARSSAAAAAVLRMNSSRQGKTSYTNNSDVQRAIASVTKKARQDMAAALYRALGHPASMAIADLGCATGPNALLLVSDAVEAVLAEKSKAEEEEPQHQLQLLVFLNDLPGNDFNAVFRLLPSSPLAAGNGCLVSAWPGSFYGRIFPDASLDYVVASSSLHFLSKAPAMKVTNRGRVYVSVGSPAAVKDAYRAQFEADFSTFLGCRAAEMRPRGLLLLTFVARRTAAATAHDCYLWDVLADALMAMAAAGLVDEEQVHGFNVPFYAACPDELVEVVSNEGSFTVVGDAMELFESTRLLLASSQHPTEEEDDEEEELPRWLAVETVSTIRAVLEPMLQAHFGPAAMDELFSRYRILLEAYYRDKASINKDDITNVFLVLEKKHH >Dexi2B01G0034450.1:cds pep primary_assembly:Fonio_CM05836:2B:41713426:41715186:-1 gene:Dexi2B01G0034450 transcript:Dexi2B01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVHEALEHHHHQFTTTTSAAAFPAASGAGGGSASPQHRLLLLGPSRRVQMGVEPAQPAPAAAAAATTAEQAQDLIDAARYDDLEDVVALFSAGVSLDSTDSQGRTALHMASANGHLAVVEYLIQNGANVNATNLEKNTPLHWACLNGHIEVIKALISAGASVSALNSHEKTPMDEAVTKGKMDVIDAISAAVTQAELDGVTVS >Dexi4B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:4B:8545427:8549037:-1 gene:Dexi4B01G0011140 transcript:Dexi4B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAAMASSAAPRARLSPPVPAVRPPRKRGRSPPAPSGTSSWRASAENAAHRDQRSRWQTPERAPGRVWRQFRPPQSALPSSRRWVSSEDASTSSSGDACTIMSYNILADYNARNHPDLYRDVPWDAMGWDSRRRLIIREIRHWDPDLVCLQEVDRFQDIAAGMKCRGYEGIFQRRTGDTRDGCAMFWKSKRLRLLEEDSIDFSEFNLRNNVAQICVFEIRMLLEKANALAEKWDEIPIVLAGDFNSTPDQSAIYKFLTTMKLNISLHDRRQLSGLDNSEFDLYELCSSLKYQWSDEEVKNATGCSNIMVAEHPLKLSSSYANLKGNSNNRGLHGEPLATSFHKKFLGTVDYLWYTRGLECSRVLDTFPIGVLRRTRGLPTREIGSDHLPIVAEFGFTESVKDDSEEEDKSEQDDEFEPEASTLQYVSLSSDGESC >Dexi1A01G0021950.1:cds pep primary_assembly:Fonio_CM05836:1A:28666967:28667843:1 gene:Dexi1A01G0021950 transcript:Dexi1A01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSIRAKRLFRDLKEDPYVVELDLREDGRDIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTVNALANGQLKKLLGKSQSQ >DexiUA01G0017400.1:cds pep primary_assembly:Fonio_CM05836:UA:36734574:36737024:1 gene:DexiUA01G0017400 transcript:DexiUA01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKNLAAKELIYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSTLMMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHREYIELRKD >Dexi1B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:1B:24025604:24027811:-1 gene:Dexi1B01G0017700 transcript:Dexi1B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGLGGAEMESRKAEEWASQLQCWSLQLINCFAFKPEFLHDICKADFLIKLPGMWGGLVNENSPAGVGLLRTICQSKVGRGHVANIPGTIDALCNIARSSDDWQYMAVDCLIWLVQDASTCHKQNKVPDYAERLVKKQMRAAWLFREAALKHGGVHCDGDASDAFGQEADDSEWETASESDAENDARGEADDETEWKNDGHQEDFCEKS >Dexi1A01G0023060.1:cds pep primary_assembly:Fonio_CM05836:1A:29674210:29674500:-1 gene:Dexi1A01G0023060 transcript:Dexi1A01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSPWPDSEDAPADSHLQPPPRREQEQHESSGCTKHKSRQRQRESAGTGPLLLRARLRPEQPEQARREGEAPAPSRATARRAPLRMREIENS >Dexi1A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:1A:1497852:1497881:1 gene:Dexi1A01G0002270 transcript:Dexi1A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEFLLFN >Dexi3A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:3A:17003292:17004196:-1 gene:Dexi3A01G0021350 transcript:Dexi3A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIHRALSARLRRGLSTAAASASLSSWAMISRTMLVNSSDPRASLQLAEPPHASHLIVPAHVVDPRPRPPGHDADVTGLLGAARASSGDGLLLLDFRATAPSGGRRRAARERQSAGIDVKLGTTRVVCNPLSGQLFHLPDIDGTNKGTMSCQSFGILTRSERPHGPPDRYAVADLVEDRDGQKRSFVMRRFLSQTGEWEKLVRLPSPVPLARRMVIDQAVLDFAGRLWWVDVSWGAVSADPLSDRPELRFVELPSASVTKAVKGLRAIGGHCRMGVSEGKLRFVELLCP >Dexi3A01G0024290.1:cds pep primary_assembly:Fonio_CM05836:3A:19912277:19917950:-1 gene:Dexi3A01G0024290 transcript:Dexi3A01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSRRWRFASPNPAAAAAGEWSVRPYLLDLRGCLDEQGPRPVIPLSIGDPSSSLSFCTAPEAVEAVAAALRSGEFDGYASHDTDLAARRAVAEYLSCDLPYQLSPDDVLLTSGCTQAIETVMSVLGQPGANILLPRPGYPKHEAHAVFHNMEVRHYDLVPEKGWEVDLEAVEALADESTVAIVITNPNNPCGSVYTYEHLSKIAGTANRLGMLVIADEVYGHLVFGSTPFVPMGVFGETVPVLTLGAISKRWVVPGWRFGWIAICDPKYILKETKVILNSHVHIFFIINLVSGTGDLSWKLAKEESVMLLPGTALGMENWLRITFASEPPKLKQGLERVKSFCQRHLSYGVPLQH >Dexi7B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:7B:25307131:25308610:1 gene:Dexi7B01G0019850 transcript:Dexi7B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLPATLFCCISLFVLLNEVSHVESTRRLTSDGAFHWYNGLFVFGDSFADTGNSPKSNLSEVTRQWYKPYGISHGFLGDPTGRFSNSYVQSDFIAKILGRSQAPQTFRDTKDSYSDHFGVNFAVGGAGVFEVPRKAPTLAMQIDSFKKMADAGDIIRKWQLEQSVALVAISGNDYARVAKMSNDTEILAFIGNVTDEMAKGVQRLQELGVTKILVNTLHPLGCTPWQARPSNYTKCMGRGNMAAELHNGDLEQKLNATNSNSSVYLLDLSKAFTNIIDPSDPHDVPEVAKKFKEKLKPCCKSFDPNGYCGQVDEDGGDQYSVCSDPENHFFWDDVHPTHAGWEAVMKQLEQGLKDFLHITY >Dexi8B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:8B:5415231:5415467:1 gene:Dexi8B01G0005370 transcript:Dexi8B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPCPTRILSHLSAAAHGLPSLPGVCRLQLQTAAARQRIYTRWLQLQTAMARWCIYGQPTPCKEPARRRIYGRCMA >Dexi5A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:5A:23997554:23999615:-1 gene:Dexi5A01G0020150 transcript:Dexi5A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFAHFRDLHHEAAKCHCFRRAHPSIHSAAAAEIRYAASEIHYAAGGEHRHATARGIRDPLRRYLRAGEVETGRRTTTETEPSASEIQSLREEKKNRNTIRLPLVFFAGQAARSHSQPRTHPTMAAPESGLESAWQMLIANFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWSVIVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKAKAVDEKEGKCL >Dexi6B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:6B:7341194:7342345:-1 gene:Dexi6B01G0006630 transcript:Dexi6B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNIKRKDAEVASHGFSIFLDPKRIKLQASDAYALLVVKMPFACNDLVQIPEMMEEEKPIAYPDTAAPPPANLPIPLLSTPTQGQEAAAHGITVSTETGDRSSETPLAADQAAPMDIEDDVRQTQPGQHPQFWSGFF >Dexi6B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:6B:18497980:18499511:-1 gene:Dexi6B01G0011300 transcript:Dexi6B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFKAFLNSPVGPKTTHFWGPVSNWGIILASVADTQKPPEMISGNMTGVLCVYSALFVRFAWMVRPRNYFLMLYQLSRWARLQGFLGKKKEPEAQE >Dexi4A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:4A:20883033:20887965:-1 gene:Dexi4A01G0017120 transcript:Dexi4A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCDTLLANPSTSLVVSAIGIIVFALWGFLPLMRDIRNCFDHGGNWKKSPTYLISSSYLQPLLLWTGATLICRGLDPVVLPSAASQAVKTRLITFVRSLSSVLAVAYILTRCRYYILRKTSGVVCKLQFYQLNHAYMGFDFTMKALYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREILTNFLSSVMINATRPFVVNEWITANIGGVEISGIVEHVGWWSPTIIRGEDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKSQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEGMYSRSHVRPLLIDTSARISDDKAKPRPVSSREEQKVKTNGPLEIKSAPPENASLSNSEKKEQKKPVHEDARVKNRQSDNVTPVAPSSEVVTSTSKAGKVKAQEPEAVERHGDGSVSAANEKKLRI >Dexi8A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:8A:9415504:9420690:1 gene:Dexi8A01G0008070 transcript:Dexi8A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLNALAPFVIKMIKDMSDEELTMLLGVSVEIKKLGGKRWVSKLKGTLYEATDILELCHLGAEERRNSKGGRCWESTVMEKAPGCLRPLLFLLRSPGFAHGMGSRINELNQSLDAIRDEMSQFRFEPSYLPERTRPSDATPHSRTTTSLIDESAIVGDRINRDTKALVKELLSSERALMVVSIVGPGGMGKTTLAKKITNDQDVKMKFGSKVIWLSVTENYDEERLLRSAITQATNGGGGGNVPGGGDKQVLSQALVSALTSRFLLVLDDVWSDGAWACVLRDPVMVAARRHPGSRVIITTRNEELVRDMVGAAAYREHHVQPMDDLDAWSLLKKQLPPQDVGSEEGLDNLRHIGIGIIRKCGGLPLAIKAIGGLLRTKRATEHEWNGVLHDPAWNIEKSHRDLNIALQLSYEDLPPALKQCFLYYSLIPKGLNLHRDGIIYMWMSEGFLLAGSDDASPRKEEFDVGVSFYTSLIRRNLIEPLVTVIAEEVSLMHDVIRSFAQFMAKEEALVIRPGQESTQPISPSTKFRRLSIESSESESAVLPDWNSIAEKQELLRSLILSGRMKFEPSASTDSSSTNRLPSLRMLWVRYAESDRFVHESLGKLKHLRFLYLSNTDISRLPDDIYKLKFLEQICIENCASFSGEMPSSIIKLERLRRLVVGPGADFAIPKGFGGLTNLRTLGTFHVQTDGEWCSLQELGSLSQLRDLKIQGLEAVLPSSSAAAAKAKLHDKQQLRTLTLACNLPRQEETTSIGAMVQRVEEVFDQLCPPRHLGILCFENYLGRRGPSWLTAATVGLNSLVHLQIRVLPFCTQLPDGLCQLPSLETMTIGLAPSITHVGPEFVKHQRRRQRMVSFPRLQFLSFKGLPRWEEWAWEAMEDDIVAMPSLQRLFIHYCNNLERLPSGLASSKRVALKELRLDGAASITVLENFPSVEELCVFNCPSLKIIRGFVRLQLAVINCPALEVLEDVPTLDTLVLFDPAMETLPEYLRGLKARQLEVGCHRSLHDLFSLNIDISRLSDYLAEREKVKHCGKVKVWPAASHAATIQGRQAVQVLYICVHLGSPVSN >DexiUA01G0001650.1:cds pep primary_assembly:Fonio_CM05836:UA:4601939:4604667:1 gene:DexiUA01G0001650 transcript:DexiUA01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRQLALEVRPAAMDASKVAGCFKDKTILITGSTGFLGKLLVEKILRAQPGVKKIYLLVRASDNVAAKQRVIHEIVGNELFGVLREKHGADFHSFIKEKLSALAGDIIHENLGLEITRAKQLFEEIDIIVNGAATTNFYDRYDVALASNTFGTIHVCKFAKQCARLKLLLHVSTAYVAGTQEGRILEKPLQMGQTLKEGRCLDIEAELELANDVKAKLVMERSGISHGQLEKVAMKELGLKRANYFGWPNVYVFTKAMGEMLLGTMRGELPVVIIRPSIIVSTYQDPFPGWIEGVRTMDVMIAASYEQKLPCFISGPILDSIPGDMVVSASMVAMATHYNCAGAEVVYHVTSALQNPLSCNILEESVYAYVLINPREKDDKRTIQHKRPLLFSRYAYFHAYMVLAYMTRLVVLYLANYVLLGGRFTEYYNKLSRSLNSLMFVAKLYAPYVFFKGCFDDTNMRKLWGTTGARHGDGYMFNFDPSCINWRQYLFNTHIPAVVKVANQMKKEGRK >Dexi3A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:3A:10482649:10484015:1 gene:Dexi3A01G0014380 transcript:Dexi3A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQYFVPSKEAMESPDGLSTSKNNLDTSPSSQGRSWTPKRAKGAAAIFRLLSIPRLRWSTSNEDDDKIELSRAEVESLRTEIAEAEERESHLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLRDIVEVGQLPNFVPEDEKTRYAFYMLTHQGLKFECSSTSKIQVDSWVRALRSDCKLRDSADMAEAKTMGSQVEDGSW >Dexi7A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:7A:23392019:23394312:1 gene:Dexi7A01G0013280 transcript:Dexi7A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSESQPAPPPAEEPSPPAVEPSPAPAASSLEALAAEAMSFDEGDTEESIDEKVQRALECPCVAELKNGPCGGAFVDAFSCFLRSTEEEKGSDCVKPFIALQDCIKANPEAFSKEILEEEENDDEAENSNLKVRAPAWSRESKSKA >Dexi9A01G0023450.1:cds pep primary_assembly:Fonio_CM05836:9A:18802428:18804685:-1 gene:Dexi9A01G0023450 transcript:Dexi9A01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIEWPADGKLAGSLDVADVVPIVMCPKDNCFPGLYCLGLLRDPWLTDGRTMILSSFWGSREVILSVNVASCEVLRVSPQDSDFSWNVLALNKDNILAGSPITVSSSLITLPQIYYGIKVSQTENPWEWQEVSTPFPKPSDEISSILAEHKFSILKIPINNPTDKLANGAKLPFEAMFVSHKGSASYPTVVVLHGGPHSVYPSSFSKSLAFLFSQGYNLLVVNYRGSLGFGEEALQSLPGSVGSQDVNDVLTALDLVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQVL >Dexi6B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:6B:18744228:18744488:1 gene:Dexi6B01G0011530 transcript:Dexi6B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVGASTTGLGVFVGGWILGSLLVPGIPAFIIPPTWSIELLTSLMAYVFMFLCCTFLK >Dexi9A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:9A:2963271:2965288:1 gene:Dexi9A01G0005320 transcript:Dexi9A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYQKAPDLEAGGSELLYPGMTESPDLRWAFVRKIYVILAVQLAMTAVISGFVVKVPAISEFFVSSNTGIALYIFLIILPFIVLCPLHYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKVILEAAILTAVVVISLTAYTFWAAKRGHDFNFLGPFLFAALMVLMVFSLIQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLSLLQILRAADS >Dexi9B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:9B:13975918:13977942:-1 gene:Dexi9B01G0019360 transcript:Dexi9B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRTRMADAMRTLQMTIDAFRRGNYSSEDDGRNESEVMGYIHGYYKEAVDLDLLVHGVGFCFGFFDPVSNIIANTAAAYGGSSSSPVPVEKEADREGQQGGHGKKRRRSQAGTRSKAKGKKMSSCESGEATRQRGKVACCAGASSIAARSLDGLVTFLTTYFRYLHTSEALRYLRLARADLLVAVRLIEEDRDSEAFTVHHPTTRVALTCAALSAMGDQVTGSDDPKVTGLVNSSLMLASRLSKVSPLLAIQCRLCSATLNQLFELSLEGTHGTADSDDAAVMLNAISRFPSSIKKTSYPFDLELVLTKVLQDRIHGFYLKAISCIPAPCLRSRHHRGLLKAGHCYGPFDPVTNIILNTIWYDTVFPPHQEFEVDMINYETLARTECRSLNGLITFVTELFPELSTYDATRYLLLHNGTLDSVISRANLDGYQTSAGSHDAYEAAAYAANHPNPRALATFASGCMQEGLKLKSMLEVKHTLSPDDVGIISTCLLQYPRIKPRGLVQKLTKRTSQIVSAKRKDFEAHQSSIYRCVQAALRKHKQDKASSLYILLLLCCSCGEDYELLAIYGVNAEIPLNGNFGYYTNYNGYPYSHINIWARLKGSQLADVVPTLLFIQCRNDSEDMKDSQPMCLPVSESSKYSGMLFIS >Dexi9B01G0046600.1:cds pep primary_assembly:Fonio_CM05836:9B:45829481:45832252:-1 gene:Dexi9B01G0046600 transcript:Dexi9B01G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARAPRQKLPPVSSRRLLGFGGLGIAAAAYVGVDYLRYLSPAWHDRLQPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLAAFLCEAISVRFVSAVMGLQWHRSAAPLPDTGQWLLLSLNERIPQSIVNLLRAHVITLHHYLMLFIMLGFSVLFDCIKAPGLGIATRYMFTMAIGRLLRTITFIATILPSARPWCAEARYQMPQHPHPWAQKYYVPYASDSNAIRRVITHDMAYAAVQAYPDEYRLDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVMIWLLVLHSAQREIRERHHYSVDCVVAIYVGILLWRMTGFIWSARDTSRARRLAKLDEVQSRLVHAAKDSDIDRIRGLLKEVELAGQEKQGFSQRAILAFAAGTIIFTLSCVLTAFIMTSDG >Dexi3A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:3A:3010134:3011859:1 gene:Dexi3A01G0004620 transcript:Dexi3A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGRPPPELTDDVIREILLRLPPSEPAHLVRAALVCRRWRRLLADRGFLHLHRAFHGGAPPLLGFLHNRDRTDGVPRFIPSSLGAAAAAETAACRFSLPEPEPHAWLALDARHGRVLLHSSDPKRLVVWDPITGDHHKLPLPAHPYRSLAAAVLCAAMDGCDHLDCHGGPFLVAFAATTRRRSSDNSEMTWVSVFSSTDGAWGAPTSLSIPLGPASHVATTPGLLADGAIHFTLRRGDDGVGILKYDILRRSLSVIDPPFLRHDVVSMSMFPIPPYRLVRSVVLIATAAEEDSDGGGGNLGVAAVECVRDSPSALQRHFLHLWACRAVDDDGGGVPGWVQRRVIDLDALLPAAVPKKCAFAVKRVSGFAEGADTIFVSTNVGTYAVELKSFRTVKLTKIGFTNVVPFVSFLPPGNLPDAHANFLSWHILMKEQGKLLVDHIEHQLPIQVQKFQIM >Dexi1A01G0027680.1:cds pep primary_assembly:Fonio_CM05836:1A:33395476:33395827:-1 gene:Dexi1A01G0027680 transcript:Dexi1A01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDSNGALVNKSEDEKKGSSDPVVYQLVRVEGDGTLVPATEDDVLEFEHFLQDEKGMWKKFSATAMIVFC >Dexi6A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:6A:4840735:4846341:-1 gene:Dexi6A01G0005320 transcript:Dexi6A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSIVFPKHYLEALAFVPADITSSNFFVQLNNLISLISTYSQVVAVKDLASAYVQFLSAQGTPDDAVLAATKLYLEILFLENSLPLHRTLISVLAKCKKFSSVISGCFALLCEEYGGSGTKAKKRFSVSRAALSLIGYPKLGFLDEAVERCAEIMALDVVDGLDGVTRDIDEGSRPSPVVMELCQDAMSCMYYLLQRYPSKFTSLNKASSVFKSSVRTILSVLKSSAFSRDCLVASGVSFCAAVQGERDLSEACKKSFVHGVLLTLRYTFDELDWNSEAVQSCVSEMRCQVERLLQLIMRVTSLALWVVSSDAWYMPYDMDDVIDDGSFLSDIYEEDQPTNCSEKEEKNAKPGSNGKPSDQVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHYGLLDSTEETTMSEEILDVGQLETMGDHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCQMTESWMVLLMDRTIAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQKDSNRKSGITKDGLGELCESQAGTSASAQSNGNLSKSRDEGVVPTVHVFNVLRATFNDANLATDTSGFSAEATIVAIRAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSLTGLEFFHRQVEYIPIWFAM >Dexi5B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:5B:5267953:5271344:-1 gene:Dexi5B01G0007740 transcript:Dexi5B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHAPRLLLLSTLLPFLVSLLHASTAAAAAATSCAPRACGNLSIAYPFWLPNQPSSSPCGPAAFQVDCHNGEASLARSFHGAYKILHISYANHTVVVSNGNVQTDATTGCPVPRVDVSASLSLAPFTASADANAQLVFLFNCTAAAPAGFAAVKCPAANAVVRLDPRYDVSEARVVAGDCDYSVVPVLPGYNASGGSSVGEDYPLLLRGGYLLEWRAAAGDCAACNASGGRCGYDSDADAFACFCSDGSSRPARCGTYIPHPGINHTQMTCFVLADAKKSGKKIVLIVSLSITLCLLLAFLIIVLELRRRTHGFSLPSIMGRSNGNDTANVEKLLLKYGALAPKRYRYSELKKITESFKHRLGEGGYGAVFRGVLTSSSSGGGRPREVAVKVLHHSRPNGEEFLNEVMSIGRTSHVNIVTLLGFCLEGSSKRALVYEYMPNGSLDRYIVFSDSGDPAATSAAMMGWETLQEIAGGIARGLEYLHEGCNTRIIHFDIKPQNVLLDADLRPKIADFGMAKLCNPKESILSMADARGTIGFIAPEVFSRGFGVVSAKSDVYSYGMLLLEMVAGRSNARRRAHAADDKSSGEQLFFPLWVYDHLLEDGGVLPDSGGGGAGEGSEDIARKMALIGLWCIQTVPTSRPSMSRVLEMLERSIHELAMPPRPYHTSPSNSPSPSHPSSYPSSTSDFTLQSSRLRTPESTA >Dexi3B01G0023790.1:cds pep primary_assembly:Fonio_CM05836:3B:18539889:18542353:1 gene:Dexi3B01G0023790 transcript:Dexi3B01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETKQEAAAAAAPAAVEVAVTEPEKKAEEPAPEAAAAAAVEEKAVEAAEEKAAEGDSEEEKKAEEALEAAAAGDEAAVIDGAGSFKEESNLVSDLPDPERTALAQLKELVAAALANGEFNLPPPPPPKEEPKKEDPAKEEPKKDEAAPAKEEEPKAETAAAEEPAKEEAKADAPGEAAAEEVKAEAPAPEEPKAEEPVKEEPKTEVPAEAADAEVSKVEEAKPAEPAAEEKTVVVAEEEATKTVEAIEEAVVPAVSEPAAAAEAEAAPAAAEEAKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDELLGADLGLPELENVVFYRGADRDGHPVCYNVYGEFQDKELYEKAFGDDEKRERFLKWRIQLLERGIREQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFVNKKVFINVPWWYLAANKVMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKETVEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPAHEEPIMKGSFKVSEPGKVVLTVNNPASKKKKLLYRFKVKSTAESA >Dexi2A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:2A:24472039:24473739:-1 gene:Dexi2A01G0014650 transcript:Dexi2A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRKEKYGTGLVTEEEIKKGDFVIEYVGEERLWRMKRLYRGDKFYLCEVSSNMVIDATNKGNMSRFINHSCERNTEMQKWTVDGDTRVGIFALRDIKKGEELTYDCKFVQFGADQDCHCGSSNCRKMLGTTKSVNSIIFRNGHSGSFQKQHVEKKRRETKCENCVGQILRLWHQLQRKYVKCWVFDFDQETEIHTLQFPDFHLEKFNLKEEEWHILSVRYY >Dexi3A01G0027750.1:cds pep primary_assembly:Fonio_CM05836:3A:27389635:27391630:-1 gene:Dexi3A01G0027750 transcript:Dexi3A01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGPDCWPASDNRRQWVVFSNLDGMTLRGAGTIEGNGEDWWNLPCKPHRGPNGSTLRGPCDSPTLLRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLYISSPANSPNTDGIHVENTERVAIYNSRMSNGDDCISIGTGSYDVDIQNACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGITFDTVVMENVRNCIIVDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPVTCLQEGLPESLLDNPDLKCR >Dexi4B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:4B:18590710:18591564:1 gene:Dexi4B01G0016570 transcript:Dexi4B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDSSRRTSQETKKLRLVRSSSIPSASSILLLAGGPAARGVESTIKLLAAQIDTEARFVVKLSSTVVESRNGAIESNVSAIKLERREATMARLPISTPLPPWSPASSQATTSASRSPQPPRATTTFVWIREATPDSVAAWLSAAARRLSGRLVFCNSERGIDAQEDGEEEAAHRGAFELPCLESASAASLHLGFLCLTVPPAGVFARLEAVVRRLTASVASAPSMSSSSRSKQRVSGQRSSRDGRRSSRSVSLLDRPAMEQQGTVGARPWPSRAAASAVGLLQ >DexiUA01G0005000.1:cds pep primary_assembly:Fonio_CM05836:UA:9111545:9112129:1 gene:DexiUA01G0005000 transcript:DexiUA01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHMDVVHQFIDATTPLAVQLPLLLLFIVPLILLLHLHVASRNKKHQKKPLPPSPPGLPVIGHLLLVGDLPHVSLRDLAAKHDHGGGLMLLRLGAVQNLIVSSPRAAQAVLRTHDHVFASRPASTLVDRLVYGSSSIGFAPYGNHWRQVRKLVTTHLLSVRKVNIFHIARQEEVTTFFNSLPCALPSGTRQRT >Dexi1A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:1A:10092653:10093463:1 gene:Dexi1A01G0011210 transcript:Dexi1A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAPPWWQLSHGFRSQWWDSSPGCCSATSGRGPPTGEAAAGRRLQHPRALAAPRGGRGGGQVGAGGATFNCNGSTRGDSSSRSCGARPRTSWSTGRDAAARCHTAPAAASEKEVQPCWDDSVIPTSCDSPAEAEPEE >Dexi1B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:1B:2679209:2680470:1 gene:Dexi1B01G0003400 transcript:Dexi1B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSLPKDWNEEEDPYFNSLVDDFVNATTDMCDYPSPYDDIDCKALSQRECAQYAECALKHYNSDEKHKIKYEFISGITSCDMLDEKGCFSHVNFTAKGYGQNSAELFFAEIRDDHGNLEPTCVVSLEGIKKVGGLCDSRYDNKIYRDEGLPIDAQHCYACDRKLKHPKNGELYVMGHVAVSDYYHG >Dexi2A01G0026840.1:cds pep primary_assembly:Fonio_CM05836:2A:38372333:38381224:1 gene:Dexi2A01G0026840 transcript:Dexi2A01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDIILQVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIGKHYPASKKVTMARCCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAAFAAIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFWGLTQGLRKFPKESDKVLCHFCFKPKKITDEVLKPANGRRSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFFRTELCLEDDGPTAINAGRHPILETLHTDFVPNDIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRIFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEHLLSVKAYTVFATHMEGLSELASMYPNVKTLHFEVDLRNDRLDFKFRLKDGVPQVPHYGLLLAKVAGLPASVIDTATSITSQITEQEMTRMDANCEEFQSLRMAYQVAQRLLCLKYSSQGDEYIREALRNLKEGYAAGRLP >DexiUA01G0013770.1:cds pep primary_assembly:Fonio_CM05836:UA:28985633:28985883:1 gene:DexiUA01G0013770 transcript:DexiUA01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTESRNILLRHFYQKSEEKLRPKRAAPDNLAPENSNKQARGAVADVGSQSNARS >Dexi3A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:3A:10986700:10988200:1 gene:Dexi3A01G0014920 transcript:Dexi3A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSYEKYITMAASVAATAMVVRSVVNELVPFEVRDLLHAAGRYLRSRVFSSRHTVVIDEAEGLSANQIYDAARTYLAARITTDMPRLRVSRVDEAQGIMVGMEQGEEMVDVHDGVEYTWSLVSRDNAPSRAAGPTGGGAKARGRLEIKSYEVSFHKKHKDKALESYLPHVVATAKAMKDQHRSLKMHMIEYEAWTAVDLRHPSTFDTLAMDKKLKQSVMDDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLELTEVKCNSELRRLLVGMSNRSILVVEDIDCSIELPQREEGEKRARSTSAGEETDDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTAESFRILARNYHSVENHAMYPEIEQLIEEVMVSPAEVAEVLMRNENSDVALQDLLEYLKAKRKEAGESKAVNENGDE >Dexi1A01G0024700.1:cds pep primary_assembly:Fonio_CM05836:1A:31158403:31162025:1 gene:Dexi1A01G0024700 transcript:Dexi1A01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEDTRLAELEAEKVQHAINEKLRDIERKKKEADDYTNNLQRQAQAKAQKLRYEDELARKRMQTERDGQRRRDAELVKMQEASAIRKEEARRATEQKILEEMIRTEKEKAETERKTDKVTAIAEAEARAHEKKLSEDITRRMMIERMNGEKEKWLAAINTTFSHVEGGFRMLFTDRSKLIMGIGGITALAAGVYTTREGARVTWGYINRILGQPSLIRESSMPKFPLPLPRLLKPSSSSLSSGAGFENVILHPSLKRRIEHLAQATANTKSHGAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSNKGMLVFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEEERFELLKLYLNQYIRKEDGKASSWGALFKKQQRKIHVEGIDDDLLREAARKINGFSGREIAKLVASVQAAVYGRPDCRLDPQLFSEVVDYKVTEHHQRIKLASEGMA >Dexi5B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:5B:6874005:6874538:-1 gene:Dexi5B01G0009800 transcript:Dexi5B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAWPCDLLVVLMALLLVAGAAVADDGGDEKCGNPCGNPCGVPCIYASPPPPPVYSPPPPTPEYYPPPPPPEYYPPPTPDYYPPPTPTTPGCSPPPSGGGGYEPAPYTPGGGGGGYNPTPSGWYTPPYLPSYNTPSGTLYPQDPGFRPNGAPGRGGGATWRAMVVVAVVAGALAL >Dexi8A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:8A:16318674:16319637:-1 gene:Dexi8A01G0010080 transcript:Dexi8A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWWVQLSLAPRPAAPSISQSPSSRSCRESCQPEPRHSLPADQPRNLNQEALELPLVPLIKHISNLRIREPPNSAEHVVCLRNELHIAILDPVVDHLDEVASAAGANEGDASPVLRLRGGLLEDVPDVVVRVDVAAGHERRPVPRALLPAGHAHPEVQDPAGRGLLDAALRVLVPLVAAVDDGVAGLEVGDEGLDGGVDGASRLDEEHDGAGASEGEHEPSRVAVAQHRERALVARADERGVHLGGGAVVDGDREALLGDVEREVLAHGGEAGEPDARGRGVGRGGRGGCRRRGGHGCKGYGPVGDAAVAAAAR >Dexi7A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:7A:20146332:20146604:-1 gene:Dexi7A01G0008970 transcript:Dexi7A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPGPTGQAERAEPIIKAVTTCGGPDSLVHMSGANARRASRRGGGTQDAMVISSSEPRAPRSDSLDALDRGGGKRERILFSSHTARSAR >Dexi9A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:9A:14143515:14144109:1 gene:Dexi9A01G0019190 transcript:Dexi9A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAIHELDPSDERAGRIIDNIVRLERRIFPKHESLARSIHEELKRRNSGLIYMTSSAAGGDVDEVVGYAMYTIPTSLCASITKLAVKESCRRQGHGEALLAAAVARCRRRRVQRVSLHVDPARTAAVALYRKVGFQVDATVVGYYAPQRDAYRMNIDLDP >Dexi7B01G0020880.1:cds pep primary_assembly:Fonio_CM05836:7B:26096174:26100849:1 gene:Dexi7B01G0020880 transcript:Dexi7B01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGGDSNTLRMLVATDCHLGYMEKDEIRRFDSFQAFEEICSLADQNKVDFILLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTVNFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLIKKGMTSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDGESVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKLPLRSVRPFEYAEVVLKDEADVDPNDQASVLEHLDKIVRNLIEKNSQPTESRSETKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGDHIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKTAFYSCLQRNLEETRNKLSSEADTSKIEEEDIIVKISECMQDRVKERSLRSKGGAGFLSGSQTLDTGGKSVAAQSSLNSFSDDEDTRDLLLGTSDVGRKSSGFTRPSKDTTAVAKRGASKRGRGRGTGSMKQTTLSFSQSRSSAVIRSEDVASSEEEADANEVVKNSEEESAQQVGRKRAAPRGRGRGRGSTAKRGRKTDVASIPSMMSRDDDDSEDEPPKKAPPRATRNYGAVRRR >Dexi7A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:7A:21141123:21142573:1 gene:Dexi7A01G0010350 transcript:Dexi7A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKKLFRRSTSKGSTASSSSSSDDGGRGEIEWEVRPGGMLVQKRDGRGDVGVITIRVATGVSWHDVSIGATCTFGELKAVLSMVTGLEPREQRLLFRGKEREDSDHLHMIGVRDRDKVLLLEDPALKDMKLRGALVAQTMQNPYQPFIKV >Dexi9B01G0039350.1:cds pep primary_assembly:Fonio_CM05836:9B:40080618:40081128:1 gene:Dexi9B01G0039350 transcript:Dexi9B01G0039350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGTRAPSFLAGDLDGLDVGLLGFLLGHGDGEHAVFHGCLDLLRLDVVRQPEPAKELAAAALHAVPLVILLLTLLAAFAAYLKDIAVLNFHFHLFLLQPWNSMRAPANAAVSESEEMRGKRLPLREPNGKSWKGSQMSREKGSNTLLRRISDMIGWV >Dexi2B01G0024720.1:cds pep primary_assembly:Fonio_CM05836:2B:34078107:34080675:1 gene:Dexi2B01G0024720 transcript:Dexi2B01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAYLHLLSACKQRMAMEKAASLVSSILGGGGSTPEPVATVQSIIVYPIKSCRGISVPQAPITATGFRWDRQWMVVNAKGRAVTQRVEPSLALVEVDMPPEAFADDWQPAPDSHSHMMVIRAPGMSPLKIPLVAAEHATIEDVSVWEWSGSAYDEGAEAAEWFSAYFGKPSRLVRFKEVSETRPTDPDYAQGYKVMFSDAFPILIASQESLDALNEILKEPVLMNRFRANILVDGCHPYSEDLWKTVKINKLTFMGVKLRDCCKVPTIDQENAIPGIEPNETMRTFRSGEVLRPSHKNKRQGSRYLNGSLIH >Dexi7B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:7B:23750075:23752719:1 gene:Dexi7B01G0017810 transcript:Dexi7B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALCAPSSDVLVYDTFNATAAAACAASAGSFLFGNAAEAVAVEPLLPAPAHASPGAEVGENRVQQQQQQQQGRRKRRRRQRSVKSAAEAECQRMTHIAVERNRRRQMNEYLAVLRSLMPDSYVQRSDQASIVSGAIDFVKELEQQLQSLEAQKLALQQQRNTAAANRDAAPSPPSLPVPTPEDAAARQEAPPPPFARFFRYPQYAWRHAPPPREDTGGAAAVGAEEASRASAVGDVEVGVVVDAHASLRVMAPRRHGQLVKMVAGMQALGLAVLHLNVTAAPGELALYTLSLKVEEGCSLTTAEDIAAAAHHVLCIIDAEAAAQRLLAPGDGAAGPLV >Dexi9B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:9B:374785:374857:1 gene:Dexi9B01G0000530 transcript:Dexi9B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGGTAAAAGALFD >Dexi1B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:1B:19412657:19418626:-1 gene:Dexi1B01G0013560 transcript:Dexi1B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKVEVVALSSYEEKEELFKEQVSHLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWRQIEEAVQCDYVPGFGKKLSNLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQFYIRSFLPKHFLLNMNTLTDAAIQQVKWDPSKVRDKLKRDIEAHLASVRAEKLSELCGKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKSAISGKEDIKAITKTARSASMKLLATLAAIRLEEDGDTIENTLSLALIDTVRPGTTDRSIQSFDPLASSSWEQVPEEKTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWAIAAMAILGFNEFMTLLKNPLYLGVIFVVFLFGKAFWVQLDMGAELQNGFLPALLSLSTKFVPTIMNILKRLADEGQRPTSPERQREMELQPTNRSSYSNVTSAGSSSVTTTENGPEYSSPVTK >DexiUA01G0027110.1:cds pep primary_assembly:Fonio_CM05836:UA:57885529:57888337:1 gene:DexiUA01G0027110 transcript:DexiUA01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGGGGSSARNSVERAAKQANERKASPLTNGYAFSGMGNIVKESAKPSGGGDLCRALSHRTDPEKLKEMGNEEYRQGHYAEAVALYDQAIMMDARRPAYWSNKAAALAALGRLIEAVGDCKEAVRIDPSFDRAHHRLGGLYLRLGEPDKAIYHLKQSSKESTGADVSRAQSVKSRIAKSNDARRLKNWITVLQEAQAAVSDGADCAPQVMALQAEALLRLQRHDEADALFTGAAALRFGVDESTKFFGTFGHAYVLIVRAQVDMAAGRFEDAVATAQTAFQLDPSNREVTNVQRRAKAAAAARLRGNDLFKAAKFAEACAAYGEGLEREPGNAVLLCNRAACHAKLGRHEKAVEDCSGALVVRPSYSKARLRRADCNVKLERWEASMRDYQVLIQELPENEDVKKALSEVQAKLNSQRNGGAPARSQH >Dexi9A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:9A:15925314:15933727:1 gene:Dexi9A01G0020900 transcript:Dexi9A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSACRGSHLLPSSCSSIGGRFLCSPFVPTPLKTLGAIRTLSAMSYRGGRGGGGPNSYRGRGWGGGGGGGRGGRGGGGGGRGEQRWWDPQWRAERLRQMRGEIKMSDQTERRVEDLLSMSKLNTNNSASTSNASMRQSFPSTSSSVVEQATDIDKEKLSSQLRDLQNSRKMMASARSMQSFREKLPAFNMRESFLKAVADNQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGETVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLAGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDASIMHIPGFTFPVAEFFLEDVLEKTRYKIKSERDSSAGSSRRKKFSSVKSDPLSDLFEDIDIDKEYGNYSITTRQSLEAWSAAELDLSLVESTLEYICRYEAEGAILVFLTGWDEISKLLEKIKGNTFLGNSNRFLVLPLHGSMPTVNQREIFDRPSANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQLGAVSSFLAKSLQPPDPLSVNNAVELLKTIGALDDMEELTSLGRHLCTLPLDPNLGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKQSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKAYNHYGSDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPYLVYSEKVKTANIYVRDSTNISDYALLLFGGSLSPSKTGEGIEMLGGYLHFSAPKRTIELIQSTNDKCGILDSSFTIGHV >Dexi5B01G0034170.1:cds pep primary_assembly:Fonio_CM05836:5B:34439763:34441864:1 gene:Dexi5B01G0034170 transcript:Dexi5B01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHKILNLPKDTSPQEIRAAYRNLVKKWHPDKHPPSSKPEAEARFKAISEAYEFLLAQALLDQQENRAVFGLCNDGRAGERVAGGAFVGGSGLGAGVGARMERTRSDDFCTRSAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLECTLEELCRGCKKEVKFTRDVVTKNGSIVKKEVSQTVMVKPGWKKGKQVVFEGMGDERPGCLPADAIFTVSEKKHPTFKRVGNDLVLKAEVPLVSALTGWSFTFRLLSGKKVSLAFQDEIICPGHEKVIRGEGMPIPEQKGARGDLRVKLEVVFPKELTEEQRDGLAEILKGSC >Dexi9B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:9B:431410:432284:1 gene:Dexi9B01G0000680 transcript:Dexi9B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKAPYVIKYVRTWEVSDLTGSLQRAAASPSSLTHAMQASIFLLAAASNYTPCLFNLLLSSSFLATIDQRSGRVPARPLADDPSMDDGSMDSIIWHCRPVSLWSAASSLLLVYCRPPKPCSSGQS >Dexi3B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:3B:11664083:11673252:-1 gene:Dexi3B01G0016110 transcript:Dexi3B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGAREVETARKSGTSDEAAEAETLSRATPAVTGLAVQQQQPPPRISAGGRAIGFAVAAADDAIVATRNLEMLRLRLDKGPRDWPRALGAPHFVFPPQTSPKPSGCGSPCAPPPSPLPEMPPPSPTKLTLNPPLLPLASLPSPRALPPTRLPAEKRSRRLSSAAVSAAAPTSFSADPSAELRALCSHGQLAQALWLLESSAEPPDEDAYVALFRLCEWRRAVEPGLRACAHADDRHVWFGLRLGNAMLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGLLEEALDLYHRMMWAGVRPDVYTFPCVLRSCGGIPDWRMGREVHAHVLRFGFGGEVDVLNALMTMYAKCGDAVAARKGEDDIEVDWLAGVELCPSSGKAPQLIGHAMRDARAPAPPGLPLFHPCGRVRSRQPVVTQHGTSCTYLAKHLSTTSRSPSTCALNVTPLTGPRPTREHQPASSASTPPKRAHSHRPRRRTQARLASPACLASDARSHMGLIPFGWLPMDPATAATLTGALPPPFPAAAANGAAYCIDPSLLPHARQPAAAISPAAVEAAARQQQQQRRQEEEEGAAIRLVHLLVTCTGAIQAGDYAAAHGNLAESRAILAAITTSAGIGRVATHFADALAQRLFPAYPHAAPPALLPPAAPGELYSRFYDAGPYLKFAYSTANQAILDAVEGCDAVHVVDLALMQGVQWPMLIHALSKRPGGPPRLRITGIGPHPSPGAAGDELREVGIRLAEFARSLGVPFCFRGVCVDQLDGLSNWMLKIVPGEALVFNSILQLHRLLVDPDADPAVPAPIDVLLDLVTELQPRVFTVVEHEADHNKPLLLERFTNALFHYAAMFDSMEAAGGGIDPLAEAHLRGEVFDIVCGEGSARVERHELLGRWRERLSRAGFAQVAFGPNEVRLATAQLISATSFSGSGYGILECAGSLALAWHDRPLYAATAWRAVGGGSSAGGAVADNGRGKGIRP >Dexi3B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:3B:14062630:14064304:1 gene:Dexi3B01G0018950 transcript:Dexi3B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMSWQTYVDEHLMCETEGHHLTAAAIVGHDGTVWAQSAAFPQLKAEEMTDIMKDFDEPGHLAPTGMFLGTTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQSLIIGIYDEPMTPGQCNLVVERLGDYLIEQGM >Dexi5B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:5B:3815760:3816619:-1 gene:Dexi5B01G0005630 transcript:Dexi5B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPGAPAWSVAMRLRHRCGLDFRAAAENVLPGWGRGGEQLSLLFRFRRRLILTVTSQCSGGACPAAATQPEKTTPSQRGCKILRFLRSRWARLPRIASVWRRKRHHPPARIAAAAASPRGRRAQQSRTPTLPGFLAMAGTPTSAAAALRFAVVVVVASIVLLRIALMEHPPPYCKWLLGVPKRALQWIFTK >Dexi3A01G0030530.1:cds pep primary_assembly:Fonio_CM05836:3A:34503646:34506035:-1 gene:Dexi3A01G0030530 transcript:Dexi3A01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSFTNLLAAVLIALTFGQLGDSKDGMPNFFTQLSQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINKAEILFPGVACFLVAVILGSAVVFLVTSLVVSDAPKDLENGASGTNQVTKAEAGTAEYLIELEERRSIKVFGSSTFIGLSIVFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVVGIGLNILFLYRPMAGVPKSSFKAYLNDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFVAAVATLMASSGHRSTK >Dexi1A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:1A:5301803:5302213:1 gene:Dexi1A01G0006920 transcript:Dexi1A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPIPTGVLHPCGSLSTDFRGNPDYRMPAAMRRSIPNPPVSAAATLSCAAAAATTGSKEADGVDRISALPDDLLRRVLARLPAKDGACTAMLSTRWLGLWRSAPLSLIVTTIDI >Dexi9B01G0031570.1:cds pep primary_assembly:Fonio_CM05836:9B:33920925:33922254:1 gene:Dexi9B01G0031570 transcript:Dexi9B01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAKLISRDRITDAAATILFSTDDSVKIPERFVRTDEVEAAGEVVGEDEAFELPVVDMAKLLDPELSASETAKLGAVCRDWGFFQLTSHGVDEEVIRRMKESAAEFFRLPLESKNAIAFGGEDKFQGFGHHFSTGPAAAAGKLDWAECVFLVTQPVHGRKMELWPPNPPSFRDALDKYSTETTDLTRRLLRFMAADLGVSEEALVGAFFSTSGDVKGQTVAIHQYPPYRHREKVLGIVPHTDGLGLTVLLHVDDTPGLQFRRGDRWFPVRPMPGALVVNVGDILDVLTNGEYRSAEHRVVPDAERGRTTVVMFQDASDDGMVAPLPELLEGDQGRARYRSIGKLEYTKGNFVALAEGTRFLDCLVKK >DexiUA01G0019380.1:cds pep primary_assembly:Fonio_CM05836:UA:40378494:40380181:-1 gene:DexiUA01G0019380 transcript:DexiUA01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPVLIITLLLQLYLQTNYGDIEFGFFPHVAPKTVEHIFKLVRLGCYNTNHIFRVDKGFVAQVAAVMGGRSAPMNEEQKRVAEKTIVGEFSSVKHVRGILSMGRHSDPDSGGSSFSFLLGDAPHLDGQPIERIDILSTYYYDIDMENCEAEKSILRRRLSESASEVERWVIILQIEENALLDAGVLA >Dexi4B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:4B:4581581:4586383:-1 gene:Dexi4B01G0006550 transcript:Dexi4B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGSMSFMLKRQVICGYCLLYSNMAILVLIILPLCNQVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEIASVEDGRMMADAEAGEFWGFFGGFAPLPRRASAESNEKHEETAFKLLCFDQGKLEPVNYESLAHELLETNKCYFLDCGAELYVWMGRTTSLQERKGASEAAEKLLSDSNRTKTHIIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQSYIDCTGNLQVWRVNDKDKALLSSSDQSKLYTGDCYIFQYTYPGDDKEECLIGTWFGEKSVEEDRVTAVSLASKMVESAKFQAVQGGLSSGYKKFIAENGIDDDSYSEEGLALFRVQGSGPENMQAIQVEPVASSLNSSYCYILHDGNAVFTWAGNLTTALDQELMERQLDVIKVWSYLPLRDISNLKVKEIHHFTQDDLMTEDVFILDCHTSIFVWVGQQVDVKVRLQALDVGEKFVVLDFLMENLARETPIFTVTEGNEPPFFTRFFTWDSTKSLMHGNSYQRKLAIVKGGGAPALDKPKRRTPVYSGRSTTQDKSQRSRSMSFSPERVRVRGRSPAFNALAANFESSSNRNLSTPPPVVKKLYPKSLTPDSSNNKSSAIAALAGSLDRPTQILTPESVKDGSGSEKPKQTEDTKDGVATMTNTVESLTITEDAKENEPEDDEGLPIYPYERLKTTAADPVTEIDVTRREVIV >Dexi9A01G0031630.1:cds pep primary_assembly:Fonio_CM05836:9A:36603046:36605910:1 gene:Dexi9A01G0031630 transcript:Dexi9A01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESSSLNLTKLWKRFKGNDSPPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEEDPKSHEGLDLTKVTTREVISKYGLEDDTVDFIGHALALHRDDSYLDEPALDTVKRMKVLPLFIDARSLFSSHFVAVYGGTYMLNKPECKVEFDESGKAYGVTSEGETAKCKKVVCDPSYLPEKVKKVGKVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPSNNPEEDSCFLTNSYDASTHFETTVKDVLALYNKITGKELDLSVDLNAASAAEQEAA >DexiUA01G0003200.1:cds pep primary_assembly:Fonio_CM05836:UA:6570046:6572281:-1 gene:DexiUA01G0003200 transcript:DexiUA01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIEEEAAKLVNPEELKQDAIDAVEQHGIVFIDEIDKICKRGESSGPDVSREGVQRDLLPLVEGCTVSTKHGMVKTDHILFIASGAFQVAKPSDLIPELQGRLPIRVELQALTTEDFERILTEPNASVTVQYKALMATEGVNIEFTEDGIKRIAQAAWQVNETTENIGARRLHTVLERLMEDISYDASDLNGQSITIDAEYVGSDKPDRIGPLRGMQKGVITQVQMKRALIITVALICLSGLALVTVASKTPSDFIGFLVLGLLAIIAAITYTVGTRPYGYIGLGDISVLVFFGWLSVMGSGYLQAHTLIPALFLPATACGMLATAVLNINNLRDIDSDRENGKNTLAVRLGPVNARRYHACLLLGALLCLALFNVISLHSIWGWLFVLAAPLLIKQARFVMRELSPAAMPPMLERTQLSVKPMKYDTSELCDIYQEDVNVVEPLFSNFGGRSSFGGQIVTVKCFEDNGLLYDLLEQNGRGRVLLVDGGGSVRRALIDAELARLAVQNEWEGIVVYGSVRQVDDLEDLDIGIQAIAAIPVGAAGEGIGESDVRVNFGGVTFFSGDHLYADNTGIILSEDPLDIE >Dexi2B01G0033800.1:cds pep primary_assembly:Fonio_CM05836:2B:41196343:41197160:1 gene:Dexi2B01G0033800 transcript:Dexi2B01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILENHDDIVSYLNKKGVSVIFLFRRNTLRRVISVLANDYDKDAKQMNGTHKSHVHSKEEAEILAKFKPELDTSTLLTNIRNIEKSVRDCLDHFKSTRHMILYYEDIVGNSNALSQVQEFLKVPVRRLMSRQVKIHTRPLPDLVNNWEAVSSKLNGTEFAHFLDGSDYIK >Dexi4A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:4A:4085293:4085712:-1 gene:Dexi4A01G0005630 transcript:Dexi4A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKRLSFSIPSNPRRLTFRARPAAAAPTLHACPAFHHRVRPLRNPNPHRGGGGARIDFTAAESGDANPSSIACSSNIAYGLNKSKKATSCADLMRLRFK >Dexi4B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:4B:4052367:4053439:1 gene:Dexi4B01G0005720 transcript:Dexi4B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNYRHVPLSTRLRVALESALALAYLHSWASPPILHGDVKSSNILLDENYEAKVSDFGASILAPADKSQFMTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSIRFLSAMKVGKLMDVIDDRIKSDSDVWLLEEVAELARQCLDMVGERRPAMRDVADKLDRLSKIMQHPWVPPKHDPEEMESLLGELPVASLEMISTLNFSMEKRIRS >Dexi9A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:9A:12005976:12008919:1 gene:Dexi9A01G0016960 transcript:Dexi9A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNPRDTLGYPNLGPKLLGIKAHDDIDLAQQPSKGPPSLPRSHPARGKRLPSIGVSVSATPTLERVVSVSLEAQRPRGQPRPSSGWFPSRSRLSALEGNPDPRAGGFHPLEAQRPRGQPRPSSGWFPSRSRLGELELATQARAGLAGNNAQRSATHQRHHDAVKKQGSLLHAITYSRRGHRSNPLATATSKEATEARIGPRTQDTTFDAVNCHEKEGRYVSPCHVVSGVGRRDSRSRTVRGMDEHLGLRSLSPSPTLLVNPYYKQHVTRCIAPLLDVRPRGRNQDKTSSLTLAIGKTSVQYNVTKSNGAVRHCRLAGSRSNNDAAVTAAAQAAVLVGSSRDTGSMAAMAPDGKLPAQLLGLRRSSLTRVAVVMIW >Dexi6A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:6A:5115214:5117548:1 gene:Dexi6A01G0005570 transcript:Dexi6A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPPPPPPGSTSSAPAGHTYFPLPFHLQQHPPQPQMPPPPMPANSYQQYQQQLHQAHQLFQRDAQTITPEALQSVKAALATSDVLDPSTGANVRPSDASTSKKPVPRRAAGQSWEDPTLTDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVDALERQKNHVQRKPKMPKKGILHK >Dexi4A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:4A:3395377:3395982:1 gene:Dexi4A01G0004830 transcript:Dexi4A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGLGGFGGGRGREAMALLQHHQHQHQQQRRRQMEDDEEEARRQVFGGVAAFPAAALGLGHGQQVDYGEEAGGLGDSDAGGSEAEPAQARQRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKVSEKQKNFCSLFRHGLKVIEWCSC >Dexi5A01G0032560.1:cds pep primary_assembly:Fonio_CM05836:5A:35053236:35053877:-1 gene:Dexi5A01G0032560 transcript:Dexi5A01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRIGVAMDFSPSSKKALRWTADNLVRKGDTLVLLHVRHHGREEAKNVLWSHTGSPLIPLEELMEPPVRQRYDMPEDPEVYDMLNALARQKELCVVVKMYWGDPREKVCDAVGELNLESLVMGSRGLGQIQRYSILQR >Dexi3B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:3B:2220561:2221519:1 gene:Dexi3B01G0003440 transcript:Dexi3B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDQRHCRRGSITPDVELGAALALADMAGGGAQSQATMTRTDEDEMASTRLSLQLGRVGIQSSSCSSGGSSAGRTPRQTAPAAAATGAHGPRPRHVLTEAEKEAKRLRRVLANRESARQTILRRQVRTTAIRDELARKVADLSSENETIKKVEKDLVLKEYLSLKETNKQLKAQAHDLSLSLF >Dexi2B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:2B:17652575:17655639:-1 gene:Dexi2B01G0012420 transcript:Dexi2B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEISAMLDLLTASAERDPPARRLRSPAARPGLEALAGALAAGPPTDPAAARAVLAAARAVVSAVLPASARLHYFREDPTMQYGISK >Dexi9B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:9B:2552184:2554744:1 gene:Dexi9B01G0004460 transcript:Dexi9B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPFPTRLHHAVPVGAAGSRLSPPLLLSTALLPLTPPRRSTVILQSYPRKKPTPPRLRSLSPSRPVPMAPPSALLLLLLLAALALCSSSALSDAHGGGGFYDPARVTQLSWRPRAFLYTGFLSDAECDHLVNLARGSMEKSMVADNDSGKSVMSQVRTSSGTFLSKREDEVVSRIEKRVAAWTFLPEENAESMQVLRYEIGQKYDAHFDYFHDKNNLKRGGHRIATVLMYLTDVKKGGETIFPNAEGGHLQYKDETWSDCARSGLAGILQTLSLASLPCSPLMVRQILE >DexiUA01G0016330.1:cds pep primary_assembly:Fonio_CM05836:UA:34755969:34757347:-1 gene:DexiUA01G0016330 transcript:DexiUA01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFFFFFLLVTATVAAVILCPPAAAAPKEHLVTGLPGFHGASFPSKHYAGYVTVDETSERSLYYYLVLSERDPATDPVVLWLNGGPGCSSFDGFVYENGPFIFEPGSSPAGSLPRLHLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGIYIPTITDEVVKGWHCDPK >Dexi1B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:1B:3774294:3775402:1 gene:Dexi1B01G0004720 transcript:Dexi1B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIFSHSVDINMDDGDNNSEDGEMHITLQKREKGKWSSPIQGQGVLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGLRSE >Dexi5B01G0033570.1:cds pep primary_assembly:Fonio_CM05836:5B:33946606:33947997:-1 gene:Dexi5B01G0033570 transcript:Dexi5B01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTRRLIYIPPDLADLLRVVDIKLPRVEHLPEDAEASIDLPSDDLRSYLRVAYDAAFEAKLSDILREPPPERPDWILIDYAPHWAPATAAKHGVPCACVSLFIAAALSIYGPPDALMGRGKYVRTKPEQLTEVPDYVPFPTTVAYRGFEARAFFEPLLVTDDSGVSEAYRFGKCIEGSQLVGIRSSAEFEPDWLQVLGELYQKPVFPIGLFPPRPTQDVGGHEATLQWLDRQPPGSVVYAAFGSEAKLTAAQLLVIALGLEASGSPFLWAFRAPVDVDEGNSGLPEGFEERVDGRGLVCRSWVPQATFLAHESVGAFLTHAGWNSTIEGLARGVRLVLLPLMFDQGLNSRLLVEKKIGVEVERDEDDGSFEPDDIAAALRKVMVEDEGEEFGTKAKELSKVFGNDEVNDQCVRDFLRRLSEYSEQH >Dexi7A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:7A:17676363:17677196:-1 gene:Dexi7A01G0006290 transcript:Dexi7A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYGRSGYGRPGGAGDDYDSGYNKQSGTDDYGRGGDGGYNKSGNDDGYGGGYNNSGADDYGRGGGDDYGRGGGEGYNRSGTDDYGSGGYNKPSGDDSCTGAGDGYNKSSGDDQYTGAVGGGYNNSGGGDDAYGSSRDDAEKYKKEEKEHKHKEHLGEMGTLAAGAFAMYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHEKKESKEQAEDADEDADRAEGKKKHHFFG >Dexi9A01G0047600.1:cds pep primary_assembly:Fonio_CM05836:9A:50651611:50652858:-1 gene:Dexi9A01G0047600 transcript:Dexi9A01G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPILGALLPSGCHSPAVASPAVHFAESPAAAHHHHPPAISCHLAGSGSDHERSRGAGAGGMRRTSSEGNLDSLSGRADDHHHHLFPPSGKCAARARPTPLETIQSFTGRRASSTDDEDEEEEDADEFEADRDLGFGQFSSFIGGGGGSTYSQEHPLFLARGLGIDRLGSGLLSADVGGGGGGGGFGGSDGGGGNLVATGGNGGDRSGIEMHYKKMIEEDPCNGLFLRNYAQFLYQVKGDYWRAEEYYSRAILADPDDGELLSEYAKLIWDVHRDEERASSYFERAAKASPENSHVLAAHAAFLWDTEDADGPEETGTLGYVGFAPAHSTLASATT >Dexi5B01G0028380.1:cds pep primary_assembly:Fonio_CM05836:5B:29766473:29769422:1 gene:Dexi5B01G0028380 transcript:Dexi5B01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAAMEQSSSITFASSSSYLSNGSSPCSVALPPPGPPQAPPLAGSEGWGSGGAAGVSGSSVEAVSLNRLSKNLEQLLLIDPDLDCSDADVEVADGGPPVPVHRCILAARSPFFYELFAARGRGGTGRGDAAAAAGGAGEVAASGRPRYKMEELVPGGRVGREAFQAFLGYMYTGKLRPAPLDVVSCADPVCPHDSCPPAIRFAVELMYAAWTFKIRELILLFQRRLLNFVDKTIVEDVIPILQVASHSELTQVVDKCIQRIARSDLDDISLDKELTPEAVEEIRKIRKKSQTTDGDAFVSDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAASYCDSKVVSELLDLDLANLNLKNNRGYTALHLAAMRREPAIIMCLLNKGASVSQLTADGRSAISICRRLTRAKDFNTKMEQGQESNKDRLCIDILEREMIRNPMAVEDAVTSPLLADDLHMKLLYLENRVAFARLFFPVEAKVAMQIAQADTTEEFGGITALTAASAAEPVLTHLIWLLAVELGRRYFPNCSQVLDKYLEDDMPDGLDQLYLLRGTPDEQKVKRMRFSELKEDVRKAFRKDKADGGSMLSGLSSTSSCSPPQKAASKK >Dexi2B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:2B:12610596:12611760:-1 gene:Dexi2B01G0011050 transcript:Dexi2B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYDPAINDYRNMPGVETRVPSFGSARGFRHKNPAKTDWCLGKLIAALENLGYRDGDTMFGAPYDSRHAPPVPGQTSEVYSRYFKDFMEAIELASNKKQKKVVVLGHSFGGMVALEFVRNTPMSWRQRYIKHLILVAPTLPYGFLEPVKNLAIGTDILYVPTTTPLSTRSMWRSFESSIVNFPSPAVFGHEPLVITKQRNYSADEMEDFFAAIGFSEGIEPFRRRAAPKAKSFEAPMVPMTCINGVGNKTPLQLVFWDEDFDASPNAVYSDGDGKINLISVLAFDKEMARQLGQNKQFKSIKIDKAQHSTIVTDDFALNR >Dexi8B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:8B:17577820:17579249:-1 gene:Dexi8B01G0009790 transcript:Dexi8B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRLMNEAPMERCGDRFCTLPDDVVQHILGFLPALDVVRTCLLGRRWRQLWRSAPRLRIATADVPALRFVGSLNRFIRQVLLLRDPGAPLDECEFDLRGYSRLTMKHDSSFKVLCLLQKPKPAVEMEDINLMGQSLALRHLMLVKVKCPIIDERVEIILKILRACNSCLDQIIVQEI >Dexi3B01G0036730.1:cds pep primary_assembly:Fonio_CM05836:3B:39587659:39589681:-1 gene:Dexi3B01G0036730 transcript:Dexi3B01G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGAMARLAVAARAFSASASAGAGGVAMVQGASRGIGLEFVRQLLRRSDQGRVVATCRAPAAAAELHKLREEHAPGRLTVLPLDVTDEATIEAAAASIAETHGSLDLLINSTGILSIPNVIQPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPLLKVGGRSETGRGFSLVANMSARVSSIGDNGLGGWHSYRASKTALNQLTKTVSVELGRKDNIACILLHPGTVDTDLSRPFQRNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >Dexi2A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:2A:8477145:8479228:-1 gene:Dexi2A01G0008260 transcript:Dexi2A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTGGRRRRRRVLLFPLPFQGHINPMFELAGVLHSRGFAITVFHSHFNAPDASRHPDYHFVPVPGDGTPAQGTLVERILDMNRACEAPFRERLATLLLERRPEEEEVACLVADAHLLTPLDVARGLGLPTLVLRTASAACFRCFLAYPMLYEKGYLPPQDSKLYMPVKELAPLRVKDLYYSRRPGDDEMVRKLLARAIQAVRNSSGLVINTSDALEATELERIRDELNIPMDFMEVAWGLANSGVHFLWVVRPDIMQGLDDPNFPNGFEAAVQDRGKLIQWAPQRDVLAHRAVGGFWTHNGWNSTLESIGEGVPMICRPQFADQWMNARYVEKTWGVGFELEGVLERGKIAKAIRKLMKEREGDEMRERAKELTNRVDDCMKIGGSSHVAIDMLVNYLLSV >Dexi3B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:3B:8889427:8890387:-1 gene:Dexi3B01G0012680 transcript:Dexi3B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKVNLKRDFGFVEFSDPRDADDARHDLDGRKLDGSRIVVEFARGEVPVNMTEDPLLAAAITVGWMGTGFARELKVSISAWKGPRERLLKVSISSAWKGPRERLLKVPVSSSWKGAKLDSQVLH >Dexi3A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:3A:1987687:1989201:-1 gene:Dexi3A01G0003030 transcript:Dexi3A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPWAAQCAGMAFSAFSLCLVALAVVLLLVRRWPWCSCHVCRAYLTGSWSKDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKTFAALLGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAFKIIAQEVESRLMPLLAGAADAGEVVDLQDVFRRFAFDTICKISFGLDPGCLEKEMPMSKLADAFDTATRLCAMRGAAASPLLWKMKRLLNVGSERELRNAIKLVDELAAAMIRERRKLGVANSHDLLSRFMASAGDVDVDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPAVAAAMRAETAGDEGSTPVITYEHLKGLHYTHAVLYENMRMFPPVQFDSKFCAAADVLPDGTYVSGGARVMYHPYAMGRMPSIWGADHGVFRPERWLTGAGGTFVPESLYRYPVFQAGLRVCLGKELAITEMKAVAVAVVRAFDVEVVGESGSAACAPKFVSGLTASVSGGLPVRIRRARNN >Dexi9B01G0049450.1:cds pep primary_assembly:Fonio_CM05836:9B:48072490:48075447:-1 gene:Dexi9B01G0049450 transcript:Dexi9B01G0049450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAATRCLHLASVAKIPSRSHSKHKKSQSQPPRLRLRCCSRDTSTRIIPMAAAKKAKAIRVHELGGPEVMRWEEVEVEDPKEGEIRIRTTAIGVNFIDVYYRKGVYAAPALPFTPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQVLPASVAVPVPPSVDHKEAASVMLKGMTAHVESGHTVLVHAAAGGVGSLLCQWASALGATVIGTVSTEEKAAQATQDGCHHVIMYTKEDVVTRVREITSGKGVNVVYDSVGKDTYKASVECLASRGCLVSFGQSSGLPDPIPMSDLASKSLFLTRPSLMHYTAIRDELLESAGEVFANVANGVLRVRVNHTYPLSEAARAHADLESRKTSGSIVLIPDTDS >Dexi7A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:7A:17770002:17776265:1 gene:Dexi7A01G0006400 transcript:Dexi7A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGGAAASTSSSAASAMDRFQKIVLSWDYLRLVAESKGGKQGKGLQRVKDTYASVADYLGVFEPLLFEEVKSQIVRGRSDEEEEDAGLDWQRVAVGLCAESEGFHKFSMAVENEFRETVSENDLLLLSKEKFEEGVTPTAYAFALVEQRGGSANISLRAFVAGEIQNLNVSKPVKSPRLQRFASILAAESSALWILKVCSLSTIMREFTAMHSVASLPFKDLILSAAEKHKDAEDQSRAWNVPQPLMDHLKTNLNDSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMKTKGGLSVQKHGPELDIDGKHAHWVKASPWLLGANPRDLIMPVDGDDGFYPTGNELKPEVVSSSRKYRAHVLVCAPSNSALDEIVLRVLNTGIRDENNNTYNPKIVRIGVKAHHSVKAVSMDYLVHRTLDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMNRGFDVVIIDEAAQAVEPATLIPLVHGILVHECIDYNQVGDPVQLPATVISSTAQKLGYGTSLFKRFQGAGFPVQMLNIQYRMHPEISIFPSKEFYEGVLQDGEGLSRKRPWHSYSCFGPFCFFDVDGIESQPPGSGSWVNQDEVEFITLLYHQLAMRYPELKSSSEVAVISPYRQQIKLLRDNFRLTFGDQSKEVIDVNTVDGFQVVGSASTLQKDEHWNNLVESAKERNCYFKVPKPFSTFFSEDNLETMAVKKAEPKVEALEGINEVALGRENMNLDDTADQADAGDDDDDTAMDADDGGGDD >Dexi9A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:9A:1922682:1926419:-1 gene:Dexi9A01G0003590 transcript:Dexi9A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGATPAQTIGNIVVSIVGTGVLGLPYAFRTAGWIAGAAASLAPGPPPSIACASAGAVEATIGIRVLIAKWLPLCHQHQPHARTGAPSISSTPHHRPHSGNASAPCHRRLADTPPSTAAASGSGARMLAGASAETGFDIGGSRPESTTRTPAVLLRAASPLSACLASWPRHDGGRPRRVGRNAPRRFPALGVPRPGRATIASPRLQSRSDAC >Dexi9B01G0046920.1:cds pep primary_assembly:Fonio_CM05836:9B:46170326:46171281:1 gene:Dexi9B01G0046920 transcript:Dexi9B01G0046920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRKTKDWSPPASPSLVVRSPRQTVSRLRSRQARRDWEPSSRPPSFAARDHGPKPSEVYGFVGSITTVITTVVYLVWAYTPEPCLRSLGITYYPSKYWALAVPSFVIVAVALSMVIYIGLNFLATPPPTSFSTIFDENSRQRIVFSSAMEKERPIEPISDISVVQTNNLMFGDT >Dexi2A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:2A:4642800:4647190:1 gene:Dexi2A01G0004970 transcript:Dexi2A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVAIMVVGPLLSMVKEKASSYLLDQYKVMEGMEDQHEVLKRKLPAILDVVTDAEEQAAKHREGAKSWLEAVRKVAYKANDVLDEFKYEALRRKAKADGHYNMDVRKVVRSHNRFVFRHRMANKLRNILQEIDVLIAEMNTFRFQFKQQPPMPMQWRHTDASIPTDCVEIASKSRAQEKKHLVDRLLAQAKSTDLTILPIVGMGGLGKTTLAQLVYNDPEMQKHFELRLWVCVSENFDVNSLADRIVKEAENNSRRRGQVTASDENGHQVAATAREEEDNGHHVTTTGSELNGHQMCGNSALIKLQDEVKGKKYLLVLDDVWNRDEPRKWEKLKSYLQHGGSGSSVLITTRDEAVAKLMMGTRTIEGACKLGGLDEESISQIIKTRAFGSKQEKEWPGELVNMVGEVAKRCKDSGCSNLGELQKLDLGGRLEDAQNNNHEEVVEGLKANDRLRVLRIRSYGSSTLPKWLTTLRGMVELVLSGCKKLEKLPELWQLPALQILRLERLESLHCLCRDGKTAITFPELKVLTLYNMPQFEAWWDTDDVQGEEPIFPKVEELEIEHCGSLTALPKAASVEVDTNKCRSAFPALRKMKLHNLDTFNKWEAVEGTDGEELEDLSISECDALEYWPENVFKVLASLRKLSIVWCSKLTGHTQASDEQSAPAPEQDCDKIESLPPSLRSRLDYLEEKDLDARYEV >Dexi2A01G0029790.1:cds pep primary_assembly:Fonio_CM05836:2A:40823884:40824543:1 gene:Dexi2A01G0029790 transcript:Dexi2A01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVCFGGSATVVDDDDDDVAAAVVARHRRERSKRSLSFRGNFLLPGSRKDKAKKPSPAAEARTKTGVDADDADGLLTRVSTAASLSALPSSAAAASQDSGFNIPSASSSRYSTASSSSTSVSSSPSVSGVLSSPAPAATQRQAREGPTTAMCPASGAAAVVLCLLMVVFCGGRVGATVLTSTALYLFPRRWAPARARSMHAVDCYSPECGAEEETPP >Dexi9B01G0035770.1:cds pep primary_assembly:Fonio_CM05836:9B:37357110:37357757:1 gene:Dexi9B01G0035770 transcript:Dexi9B01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIKGPHATNVAVTHPNTDRNPNQPAPLRHHTVTTAPPAFVHLLHDLLRTSGEPGRSNQRERLVPDKPSEGPPMAKQKGSPRAAVRRRLGGAGASALGWALRVATSIVAWTLLLHLFTFLGIPRPPLPIARPSCLGGVRNSSTTADAVVAAGEAAHLAPPALPPRSECFSPSSSGDSRVLGLGFVESGPAACPVIGWVRRFSLPPVVGDRMWRL >Dexi5B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:5B:2881838:2886343:-1 gene:Dexi5B01G0004260 transcript:Dexi5B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITSQALLDSQVKLWQNIFAFVKSMALKSAVDLGIADTIQHHGGAATLSQIANKAMVPPSKTPCLSRLMRTLTSAGVFNTQQQPASSCDSEQLVYTLTPVSRLLVGSRNLSTISSMVLHPAMVCSLFELSGWLQSELPEPGMFKLRNGHGMFDVADGDPAFDVLINNGMASDTEFIIDIAIKEHGEVLFQGVSSLIDVAGGFGAAAHAISKAFPHVRCSVLDLAHVVEKAPGDTDVKYIAGDMFESIPPANVIFLKAAGSAPVNPL >Dexi2A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:2A:1696994:1697763:1 gene:Dexi2A01G0002200 transcript:Dexi2A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFPHPGSDGRSTRALDCRHGRVLFHMYHEHVMDLLVWNPVNGDRRAVPEPDIDWMAYTAAVFCAADGCWIIHDRTQVRTGEEG >Dexi5A01G0022980.1:cds pep primary_assembly:Fonio_CM05836:5A:27082414:27082797:1 gene:Dexi5A01G0022980 transcript:Dexi5A01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSEATGGEFEGRCSGGRGLGEAFSGGFEGFPGDRGSSGLGMRSRGPRWLPARRRRAARGEFWVEKGGGRKEGGRGEVSRGNEWVLWAHQDLEKFEAQDLSKNFGLVVRIPDTTAGDTYAVLNSR >Dexi1A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:1A:23096903:23097343:1 gene:Dexi1A01G0016110 transcript:Dexi1A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTARLLAAGALAAAMVALGCLAVGAGATVVTTCRAAADSDTRVDYRFCVAQLGNHHNSPDADIWGLAKVAALTGIINADNAVYDAKKMLVTARPKRAALEQCSKLYDSMGYAFAKAVGELNYRPVLNFEQVSSHSFSKCFDFR >Dexi3B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:3B:11374873:11375820:1 gene:Dexi3B01G0015710 transcript:Dexi3B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPVSTSAAASSSSADIVDDITAAAAGVPGLPAAPAPSSSSSPSTQSIVLRVVAVIAVACASLFAQHEASKGFGINVVSAASTRSSVADAGRRFDLFFVSNGRAERILHYASRGVERALFPDASFPRKQVRRVTVRMAGHNLTAGDAATVDATAAPGEYVISLSPALVSRTGDHDAAVAAAVRRAVARMWLWDGRGAAPARVTEAMVEYLASVASGDEATAAAATPLSSSPVDDGEEERRCMSARFLRHLERQREGFVARLNRAMRDRWSDAAVDAALGAPARHACAAYRAATLLTGRQDRAGATLAAST >Dexi5B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:5B:11612984:11613466:-1 gene:Dexi5B01G0014740 transcript:Dexi5B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASGCASLLSQRRGYSVAVAVVKGPGRMAVEKVAKRVMGKKEANTVAAMAQEKTAWVPDPVTGYYRPAGGANKEVDAADLRAKLLTQRVAR >Dexi9A01G0043130.1:cds pep primary_assembly:Fonio_CM05836:9A:46629840:46636577:-1 gene:Dexi9A01G0043130 transcript:Dexi9A01G0043130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAWRPLAAAARRRGSATRCGGGVDSVPVAAPAPTARGRDGGAAAATDGSIQATLELESVDPSLVSWIKAVPSRHNQIHHASEAIPRTKGILEPDSDKLKMPRFSGGTAAPVAQEIIVTPVSMSPIPVHNESSKECNNIPPMHNPQSTASAQHGALLKERNSGMSINCSRSSGAQIILHSKPHKKHNQPEDHWRGIFHVTGELIHTLDGLEVHFPFQTDVKAYEASKHMPKNLNLEALPLSQLWPKKFKMEPPDSQDIGLWFVSNHKRPHRSFSHLIAKVASYTGLWTKVGDSELAIFSSNLLSSHDQRKNGELYFWGVFGKRIRKKRCQPNSHIKNVKINNPLTKRKETKNTESDFGMTWGARGNPTDGTGNRERVRDNCEGIANVSELTGDKETDRVDGCMAVLGTPDSNPASSCSAPVASLLNGCCSHDSANKSTCSLEDSKCQPADRSSASSDLMLDIPPGFSLDVTPGFSEAHRQLQNGPAAVSCAETPPSLILDTPPPGFSLDIPPGFSEAHRKLQNEPAAVSCAETPPSLILDTPPPGFSLDVPPGFSEAHHKLQNEPAAMSCAETSPSLILDTPPPGFSLDVPPGFSEAHRQFQNEPAAVSCVETPPSLILDIPPGFHMGIPPGFTEAHGRLPAAISTAGPETCVSTPGTEKNPPVRFSLNVPRPVKKEVPPGFTTLHAVKKEPGLPTVDKATEKQHSLVSAASSMEKAGKADEMEITGNEVKAEQNENSEEREFPKIKRLSDLYPRPSDTDSTGFSQPVHLPEKFQERAPEKQMHPRKRGRQESPEHSPADTTTRRLSVNGRIALKNSSGQGDGKLRCVCASSEGRAVLPTRAAGLSSLASCGGRLDSESISCRCVVCGKEFPAQ >Dexi4A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:4A:23328605:23331188:1 gene:Dexi4A01G0019530 transcript:Dexi4A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISAPIHFLLGILLLPSLAAAQPRALGGAPPAYARYLVDAAAMPAVELYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRGRVLGGGTSINAGFYSRAHPEWFRGHAEDAEVTNWDMRLVNASYEWVERQMTFQPTVHGFQAAVRAALLEANVTPWNGFTVDHVAGTKVGATTFDASGRRHSAADLLAFARPSRLRVAIRATVTRIITNPVDPATRHGRSPQPTIAAVGVVYQDRLLDQHQALLRPGGEVILSAGALGSPQLLLLSGIGPANDLSYLGIPVSADIPDVGKHMFDNPRNGISIIPSVPIDHSLIQVVGIPSANGAASYLEAASYIVPLAPALRSSSPFIGSSTPLYVTVATIMEKVPGPLSEGSLWLSSANPMESPPLRFNYLSRPEDLARCVLGVRRVAEVLEGRALDGFRSAVGSTNRRGAVRRDFRIVGAALPVDWRTNDRALASYCQETVATLWHYHGGCVAGKVVDKDFRVIGARALRVVDASTFNQTPGTNPQATVLMMGR >Dexi1B01G0025540.1:cds pep primary_assembly:Fonio_CM05836:1B:30558671:30564149:-1 gene:Dexi1B01G0025540 transcript:Dexi1B01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARVSSPLPSQPLAPPSPPAGAATMAERQPVPPPLSSLPPLSSPPGRALVFPATAAVGDGASPAAAAARAAADPAAGVGAAVGVFPVASSSRSAEADPAAAVAAALAAAAAAAGAGGAQPPPPLLQEQQQPPPPLQHPVAPLQAPPPLQAEQQPPLPPLQAQPPPPPPLVAPEWDLQRPRHLPPLTPALATAYHAELTALATAGPRGFAGSLPLDDGIRVFDPANSAGRPPLHDAGKQVSDGAVSAEAALAAALRAAKAEAAATEERVRVASATWARERATADALARRVAEAERYLHPASSSQPVVPYTDLGPSSSRPPTLEGSRGHLPDPMVTQLHLQALGVQHIRGMVSIVLDSSSTTYNSWRDQVLMALRRYHLTGHAARDTMWHLLDTVVLSWISGTVAQDLQDSVNTLGGTARAAWLALENQFLGHAETRALQLSAAFANFAQGDLSVGEYRRKMKSMADSLADLGCPVEDRLLVLHILRGLNDTFDHMRDWITRQRPFPSYLQVRDDLVLKELTLRPRRPWLPRPRPPRLWPLRRHLRLRLPPLFLVLLPPGRVGVGETVVAVAALVGDAGDHRLRLHPVAPLLPLVVHPGHPSPTHGQGASRWPRPQHQPAALLAGPAPPAMTSWTPPTQPSLPPFWPGGWDQAALAQSFSTMGLTPPSTAEWIADSGASYHTTLILVYFLPSTPHLSLVLLPSWWGMGHVFLSLLWALLLPGTPLHLPPPVFRCSNFEFFGFIRCFRHHIYFHDLAPSARSSRPRRFGPIFVMRASWVVMFDFLFLLLLRMRHAFDLSDAFPTLLHFFAWVSTQFGCTVKAAQCDNGREFDNHTSRDFFLTQGIQLRMSCPYTSSQNGRAERMIRTTNDVIRTLLIQASLPARFWAEAVHRATYLLNRLPSTAIAAPTPHHALFGTPPSYDDLRVFGCACYPNTSATTPHKLAPHLTRCVFLGYSPDHKGYRCFDLTSRRILIARHVLESLFPTDPVVPPPVSIYPPSTGVPGPVSPFPVAPTGPWVAPEPPAAPRAATASPVAPRAAPEPPTAPRVAPESPVVPHAVPDSTAATRAAPEHLPAPLVHPGFPARSWQPVHVYRRRPVPGAAMSLPPPPPPSAPPARPWISSRVDPGVYHPPVVHRDPGHTHPMVTRRAAGGSRLAALSVVASEPGVSPVPSFVREALADPHWRRAMEEDPSGGNVVTGKWIWTHRRRADGSLERYKARWRPGVDYDETFSPVVKPATVRTVLSLALQRSWPVHQLDVKNAFLHGTLTETVYCSQPTGFVDTSRPDLVCRLNKSLYGLKQAPRAWYSRFATFLTSLGFTERKSDTSLFVLRRGTEAANLLLYVDDIILTASSQPLLQSIIHSLQQEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQYALDILERAGMVDCKPCATPVDTQGKLSAEASPPVADPTAYRSLAGALQYLTFTRPDIAYAAHLAVLKRLLRYVRGTVDCGLTLHLSPSTELVVYTDADWAGCPDTRRSTSGYAVFLGCNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHSPLTKSTLVYCDNVSAVYLCTNPVQHQRTKHVEIDLHFVRDRVAIGQVWVLHVPTTSQFADIFTKGLPSSTFSEF >Dexi9B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:9B:881576:882778:1 gene:Dexi9B01G0001520 transcript:Dexi9B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEEAVIFSTSDDASIALLRRLRAGATVPFVHHVDVCSAAPADLVADLAPAPGTDLAEDGYNSIWLFYCPKRFKNAQGKAIGHRQRAIAGGDTCWHSETAPRPVKGLEGATFCNLSFGRKEEGSSRSFNRMGWCMTEFDDKINGGGDHVLCKVHRSSSSLAKGKLKPSSGSSKSKKRKATGDHPQAPPSKMSGLCTSVEQVDHQVQPPSLSGYEMTDFIPVDYESLFPTEEEQLQQNTLFPAAEEHTLFPATEEQHNTLFPAAEEDQLQQNVMFTMDEFGLLDSDFTMDDLSSGQGDGVDYGACLPDSVFNMDEPSGIPEYCGHGAQQQRQQQNTFFPAEEQQQNTMEELLRGLGCGEYGACTPTFEDLFNSSTGCCDTPKAMAPPEAAFFEGLAAF >Dexi4A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:4A:2446266:2453399:1 gene:Dexi4A01G0003410 transcript:Dexi4A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVMATARLVSWSDLLPELLGHVLKRLPSLADRVRLRAVCHWWRSNALLQPLPPPLPWLGLLDGTFLSIPDGEIIEMPVPDDACCYGSVDNWIFLVDSDDKCSLMNPFSEDTLDLPDLATGWHIRMPGFSEFDPVFCKLTTWMMATLSWSDLPPDLLVLVLECLPSLADRVRLRTVCHPWRSNARQHSLPPLLTLPDGTFLSIPDGEVIRMPVPKDARCCGSVDSCLFLMEIDGGCSLVNPFSRVTVELPKLGTVGCYNSFNVTSEHKPVIPSALDLSPDSLVAMLILHDRRGSKVCICQPPIATDMSSARASWPFDDIAFFNGKLHGLGDRNKLFVIDMDYESDKPKITSIRCIISYGYGLRDLPQSVSREKVHKKREYLVECCGRLLRVRRFFQSDHHGRTSRYLKHHNTVGFDVFEAGMSANSGQWRKVNNLGGQALFVGRRSKSLPAVEHNGIQRDCIYFLRDYCPTKDPLRDSGMYNMRTGMITPLLSETVAVPQLHGGNWCPTWIFPYY >Dexi3B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:3B:6119050:6121061:1 gene:Dexi3B01G0008860 transcript:Dexi3B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVARLATPCFAPAHGHRRADGGAAAPDAHANGAVAGEDGSSSSIVGHILSFDGREGPAFAGAIHGVLLPSNQSTIGSAAGGSVLNELMSSFSGSSSFDSSNSFSFRKLQPRQYSGPLEYSTSSPSTSATTSGMSVSRQRTDEQILADLYATRHRRQCLQASSKGGPLLGGLRKAVSSVLRAASPCVSPARNPRRGGELAVVAAGDVGGGGEDDGAARVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLVSNLYAAVCRELDGGVLVTEAAAGDRPAAVCNGGGAGSGGDEQEVLDALARALKATEEAFFAEAEARASESPELAMMGSCVLVVLIKGADVYVMNVGDSRAVLAQRGEPDLSQVLAPSRQDAGGGGGEHAGVKMGELAALQLTMDHSTSIYKEARRIKSEHLDDPACIVNGRVKGSLKVTRAFGAGYLKEVEAFTARYPDEDPAKYLSHEILLRAANQAGMEVHELLEVQQGDRRRYHDDVSIIIISLEGKIWRS >Dexi3B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:3B:5574522:5575571:1 gene:Dexi3B01G0007860 transcript:Dexi3B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSKQSSQLLAMLLLISCLVGAATSASTMQCHDEDQAALMAISDAMGNSPYHFASWTPDTFCCDWSDVDCDAATGRVVGLSVSGDGNLTSASIPDAIANLTSLRNLTLRHLPGLTGDIPNSLSRLADLAFLTISYTGVSGPVPSFLSELASLVSLDLSFNSLTGAIPASLADLPSLSTIDLSRNKLDGPIPATLLSKCSGGEVVELYLSKNNFSGGIPAEFSGVNFTRLDLSRNSLTGDALPVLGKGKPLQYLDLSRNDLHFSLTGVELPEEVSFVDLSHNAIRGRVPAQVASLSNLQLFNVSYNRLCGVVPTGGIMARFDAYSYQHNKCLCGTPLPACHRYGLF >Dexi5A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:5A:3887231:3888238:1 gene:Dexi5A01G0005100 transcript:Dexi5A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEERRGRLLDLARMVPATLLLVGTSEKVVTSIKGAREMLAGDKWGFDDSDDPASPPSNTARGGDRGASDPVETTRGDDRSIGVHVEITGGGDQNVVAGVPLRTACGSPASLCCGMPVNCGGGEGAMVIQDATPTCGSPASLSPGFLLYNYNDGGEGTLGVQDGTLFGFDNWADILASALAPDGHLPIAYREITRLISLHAEAGHVFVVCAARLGLQQSGDDDEKEGDDDAPFALRPDNDAPWKRWMDLREAAVRHAHDALLRLSSAASAAAAAEDFLRWRSAESPRREGWRSAARQLVEDARRSLGEAKDAVRLMRDAALCEFFETWLILKRA >DexiUA01G0003830.1:cds pep primary_assembly:Fonio_CM05836:UA:7876568:7878660:-1 gene:DexiUA01G0003830 transcript:DexiUA01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDDYGETVLYAPKFDLQTAGIWLSPVIVGGIAAGIWAYQKHRQRTNVHIMAWNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >Dexi2B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:2B:22872533:22876846:-1 gene:Dexi2B01G0013820 transcript:Dexi2B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKAAKKAIIEDGEGVVDEAKSQLKAIVLTREHKAIFPQERSRIQKETSSASLAVRRLVKEAVRERRCKDNCTAVLIIFKH >Dexi3B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:3B:4491409:4492666:-1 gene:Dexi3B01G0006470 transcript:Dexi3B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAIRAASWVVGKALSPIADGFVEAWAASTGLGPNVEDLKLQLLYAEAMLSNARARGMDNSALREPMDNPALNELLHKLRDLAYGADDVLDELDYFRIQDELHGTHHAAADVDAAAGKWARALPLWYPAIPGGTAEKASRGDTKVEA >Dexi5A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:5A:8908659:8920403:1 gene:Dexi5A01G0011880 transcript:Dexi5A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding THLAGKVFEYNEQGPLPTDLVVEFCKDVQKRSQEGYIEFGRFVSSRSFLTGSDPIDYIQEFHNGDLVHCETTFEKMGRTAQVNIICGHCSNKMCKATRIEEACADTYNLSDEQGCICSISYHERMCRFIMDSLSWDLNNFIMDLGTILIVSFKLMDKIFQTEQIHVSLYLSAISSHSGLVRKPIFKVNPAKGLGVTLTGSGFSGARPTTLSPTVLNVDWRCEIPRSSPYEVNVLIPVEGYDPIEFTITKECGLS >Dexi4B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:4B:18954086:18956833:1 gene:Dexi4B01G0016810 transcript:Dexi4B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSSGSGPSSSAAPSSSQPSLLAEWNSYAAARSADDAGDGFGIDIEAAVRSANDRVAGTFGVCRLPFTAGFVGSMVATIYVSMVLHSYILSVFFSVLQVLALGYYAISYFPGGSAGMKFLSSALVSSVLRCFGR >Dexi3B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:3B:1115195:1117495:1 gene:Dexi3B01G0001580 transcript:Dexi3B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYSVVALIFHRVFAATWNVAGKTPDRGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSEAYTSTITEPAASFSFSQSADTTASASPASALQTPSSSPLDPSLFHKSSNREIRRTVITRGRRLKTCTCQVERPRRRRSYRSPCLMGCSKNTDVVESDTTTSDEEDDGVRTSSFVVSDMKSPAAMVVSRREKYCLVACKQMVGLFATVWVRRDLVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRICKRSGRRIPERILDHDRVIWLGDLNYRIGLGYSEAKKLVEANDWGTLFEKDQLKTERERGVFRGWNEGKIFFAPTYKYSWNSDSYAGEDSTSKKKRRTPAWCDRILWRGEGIVQLSYIRGESKFSDHRPVCSVFIVEVAVLHNRLIKAASGPNMKVGAEELLIIPN >Dexi6B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:6B:2617242:2617646:-1 gene:Dexi6B01G0003190 transcript:Dexi6B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKREAASNNDIVSTVQACAKEHGITVEHAIEKLGEVIEEAWMDITEECLRQPQPMALLDRVVSLARTMDFLYKDGDGYTDSYRIKNTLDSLYVNLIQ >Dexi3B01G0030090.1:cds pep primary_assembly:Fonio_CM05836:3B:29543886:29544738:1 gene:Dexi3B01G0030090 transcript:Dexi3B01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAGYRAIAPDCRGYGLSAQPPEHEEASWEDLVADVLAVLDALSVPTAFVVAKDFGVIPAYHFALRHPERTRGVVCVGISFSPGPWSRSKEGQEIMDLVTDESTPLPEWFTEEDLDVYFSLYEKSGLRYPLKMYRCCSTVLHTYIYK >Dexi9A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:9A:15136661:15147259:1 gene:Dexi9A01G0020220 transcript:Dexi9A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAEEQGIEARCRSGGSRSGRHCSLESCPRGTRLRIAGARAGRCGPEQARGREGEPATRSNWCGGGVSDGAAARIFFEAGVGAEEGWRKDGLGFDMFGPRFLIWHPPPPPPPPRGASLPKPADRMGRRRTTMADATRNLQMTTDAFRRGNYSSEDDGRNESEVMGNIHGYYKEAVDRLPSSLDPLVHEVGFSFGFFDPVSNIIANTSAAYGASSSSPVPAVEKEADREGQQGGRGKKRRRSQAGTRSKAKGKKMVSCESGGDATRQRGKLTCCAGASSIAARSLDGLVTFLTTYFRYLHTSEALRYLRLARADLLVAVRLIEEDRDSEAFTVHHPTTRVALTCAALSAMGDQVTGSDDPKVTGLVNSSLMLASRLSKVSPLLAIQGRLCSATLNQLFELSLEGTHGTADSDDGVIMLNAISRFPSSIKKTPYPFDLELVLTKVLQDRIHGFNLKAMSCIPAPCLRSRHHRSLLKAGHCYGPFDPITNIILNTIWYDTVFPPHQEFEVDVINYETLARTECRRAKIEIDASS >Dexi7A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:7A:30182646:30184376:1 gene:Dexi7A01G0021630 transcript:Dexi7A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPKTVFLAFIVILVVVIIILLGICWKVLKPDLMRRLLRPRSPGSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFNQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGSQRLLVYEFMRNKSLDKILFGGDGSPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEILSSRKNTDLSLPNEMQYLPEHAWRLYEQSKVLELLDPKVQDGFDEKEVQQVCQIALLCVQPFPDLRPAMSEVVLMLTMKSDQSIPAPMKPAFLDRKSLKDKAATTDTAMEMRSASYWLNTPSPMVDKPYDMSYGI >Dexi8A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:8A:26881143:26881757:1 gene:Dexi8A01G0015790 transcript:Dexi8A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFFQRRNQIPGSTTSLAAPTGGSASTITADVETGTHDLTVHGYSGTVALGVGKPISSAAFTAAGGHSWHIRYYPHGDSKESADFISVFLGLAAGDDDDVTARFTFSLIDVSTGEPVPSTIANAIKLRTFCANTPSWGFRKFIKRDILDKYLKDDSFTIRCEITVVKITSQTTPVKFHVTPSTDLPRHFGELLISKVELT >Dexi3A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:3A:2303939:2307631:-1 gene:Dexi3A01G0003510 transcript:Dexi3A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQATPAYAPSPRFVTASRYYPTRPGDSRALSGDLTDAPPRRAFSKQELDSLRALFTSLAEQSQSSGRAISRKAFLEYYGVRGPLGERLFQLVAKESGGSDGVTLEDLIIAKATYGRGTRDEVDDFIYQLCDITGDGALTRFVFTLAFTSLVHARSDLESVLESIHETIFAENKEVGEGSNNKTFETFLNSAVFSKDAQGVLEKSMSLSDFRNWCTLLPSLRKFLGNLLMPPDSGRPGFEVPLLQYPENISTDSLLLNKEYAWHIAGGFAQHEVQEWKLLYHSSLHGQSFNTFLGKVTNGDAQTVLIVKDTEGSVYGGYASQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANRNLQWCAINFSSENIPNGIGFGGQPHHFGLFLSANFDQGHSFTCSTFTSPPLSKTNRFRPEVIECWGIQMRGAQDEKSELVKGTVLERFKEDRNMLKLVGLANASE >Dexi7A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:7A:17780755:17783013:-1 gene:Dexi7A01G0006420 transcript:Dexi7A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVQSALSDKSYSTIAPLCDDLLLQAASRGAATDEWPYAVHLLAHLYLNDLNSARFFWKSLPQDVKDARPELAAVWGIGQCLWNRDYAGVFTAVQGFEWGPDLADFITAFLESYRKRIFQLLTSAYSTISVSDVAHFMGMSEEDGTNHAVQNGWTLDAATKMLTVKRPKAQTNQKLDASKLQRLTECVFHLEH >Dexi5B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:5B:22574303:22574758:1 gene:Dexi5B01G0020360 transcript:Dexi5B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSIEEDVAADREEEEKRQRAYSHASVGSLSLLLVGMMALMAYSHREAPLWLVSELCAVLCLLLYLWAYHLTQNLTAGGEVVPVEALVFSFPLVFGAGFLAALLAVAVGPLAGVLLMVINVTCTSCFFGFCFAESMRYSKPPVEHKKRV >Dexi4B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:4B:21202430:21205092:1 gene:Dexi4B01G0018920 transcript:Dexi4B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNGLLGLLNACVLVLAVVALGGGAWLSHRASTDCERFLERPVIALGVLLLALSLAGLAGALCRASCLLWLYLVALFLLIVLLFAFTIFAFVVTNRGAGWAVSGRGYKEYRLGEYSTWLQRRVENSENWAKIRSCLQDGKVCEKLGAKKETVTQFVNSNLSPIQLMNLSYVQSGCCKPPTGCNFTYQSETVWIKPAGFNTTTDDPDCTTWSNDQTTLCYDCNACKAGVLANLKNDWKKIATVNIVFLVFLIVVYSVGCCAFRNNRRDNSYPARPAWK >Dexi2A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:2A:8626113:8627438:-1 gene:Dexi2A01G0008560 transcript:Dexi2A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSHAKPFCAAAPPRLSRRRQQLSSNATSPAISTSKTRLPGLNKPAKPPPPSLLSRPKLPVPNTSTATDTAGDKDCAKKPPPEATSAPPSSSGAGDVLRLMDALGLPPDEEVYISLLRDCADAAEVAALHAHVACRCGPAGGLPPPLANRVLLSYAACGDIGAARRVFDEMPARNGMAWATMVSAYSDRCFHHDAMRLFVLMMWHGVRDLTDDGFVHAVVAVLRSCIRLRELRLGKQVQALVVKKGRVCGDIGSSLVQLYCESGGLHTRARQVLAMMMQYHCQEPVPEAAWTSLITVCHRNGLLNEAINIFRDMASAGVPRGSFSLSSILAVFAESENHRGYCGQQVHADAMKRGVDTNQFVGSGLVHMYAKQGRLSDAARAFQAIGGKPDAVCWSTMAMAYARGGRYREAARVMHQMRAAGMNPSEAMTDAVRLACFR >Dexi6A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:6A:5655819:5657866:-1 gene:Dexi6A01G0006050 transcript:Dexi6A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTTCTSRPSPDRTPSARPSAPPAHPTDCDSPIPDLTHRRPSRVGNRRCRIDVHPDWMLKQGRPPLPNCCPCSRGLLLQRFTNNFIHLPDNSSPCARPSPSLCAAGEERHGGVGEEACDGAGEQQLRNLGQHLLDTLASAAGRMDQSAAAAALLDVLPSIRSFHTEVHFGDSFYATPCPSARIYAANTPGDSVTPPLLSRS >Dexi2A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:2A:6786420:6787904:1 gene:Dexi2A01G0007060 transcript:Dexi2A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGTVLADVPPPWRRRLILAGRLRPDTKMLISARAGSHKRSRKDEVVAEESNTVAAGVDGNDATKRNNQFRGVRRRPWGKWAAEITDARKGARVWLGTYNTPEEAAKAYDAEARKIRGKKAKVNFPDEAPMASQKPIHVPTSLEVAKNAASSIQEPLVNISPDQGSNSFSTSNSSMKNDSRTTDITSVLARIPTLTEDDESAFLQDTANASMPVVTSDACVDHYELYMNFLMNSSDESTNTVLNYDDEPEDVGSNMNLWNFDDMPMTGDIVF >Dexi9B01G0025640.1:cds pep primary_assembly:Fonio_CM05836:9B:26772531:26776474:1 gene:Dexi9B01G0025640 transcript:Dexi9B01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGQRARARRAARLSNRIQERPNGGLLFLSSCSKAADASRPDGEIQTGAAYTTAGSSAQMASESGNGNCNAWAAKDPSGVLSPYKFNRRHEIAGIVTEVGSDVKGFKVGDHIGVGTYVNSCRDCENCNSSLENYCPNSVFTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPVGYTLAKAAPLLCAGITVYAPMVQHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSASKRDEAINLLGADNFVVSSNTQQMESLKNSLHFIVDTAAGDHPFDPYLSLLKVGGVMALVGFPSEIKLHPAILNCGARTLSGSITGGTKLIQEMVNFCAENKIYPEIEVIKMDYINEALTRLVNRDVKYRFVIDIENSFN >Dexi3A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:3A:2091061:2091381:-1 gene:Dexi3A01G0003210 transcript:Dexi3A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKIMSVDGSEFLEMPETLPGVLPVKTPAAVVDPGSVRVKLVISKQELRKMLDKEGMSLDDMVSLMRKEASDREQEECCGGWRPALESIPEGSDL >DexiUA01G0015420.1:cds pep primary_assembly:Fonio_CM05836:UA:32358409:32360200:-1 gene:DexiUA01G0015420 transcript:DexiUA01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVVDLNLEPPVHWDGIEEFNGPAHELDYDIIWDNGTQDQGVDADGDEDGAADGGTEGGQADVADGLQDSNSVTSVEANCIRPCTTSDASSSAMATWESGTSVSLAKESATKRTSLSSSSMVKGHGCTKEGATEWGKQRRSRIV >Dexi9B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:9B:700846:702477:1 gene:Dexi9B01G0001210 transcript:Dexi9B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPLLFIVVVVLGTTMVMVATAAAAPAGEHFLGVNYGTLGDNLPPPHRGMELARSAGAAAVRFYDANATLLAAAAASGLVFVPSVPNELIPSLAASQRAADDWVAAALLPYRRSPGLRYLFVGNEVLSDPSTKSRWAQLVPAMANVERALRHHGFGRRRVKVSTTLSMHELAHQNVFPPSAAVFRPDIAGDVMRPLLAFLDRTESVLFVDAYTYFTWSANHSVVPLPYALLEPASSPGHGGFAYHDAGTGLSYGNLLDQMLDAVVAAACRVGHCGVRLGLAETGWPTAGDLDQFGANVRNAATYNRNLARQLASGAGTPRRPGMTMPAMVFALFNEDLKWGPTTERHWGLFYPNGTNVYDVDLTGRRSSYPAALPPATNDRPYPGPLWCVVRTDKGPVNETAVREQAAAACKDKAGLCDAVRPGGACYLPNTVAAHASYVFSAHWNSFTEDYGGCYFAGLAVETTVDPSHGSCRFPSILLK >Dexi1B01G0021760.1:cds pep primary_assembly:Fonio_CM05836:1B:27601994:27602576:-1 gene:Dexi1B01G0021760 transcript:Dexi1B01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKFIVISIPPLGCIPSQRLRRLKQTGTQGCYDPLNDLSLRFYPMLDAMMQELAHELPGMSYSLADAFAMVTFVFENPRTESWTFTELEAACCGAGPFGAAYPCDETAPVCGNRDEYLFWDANHPTETVSAIAAQTMFDGNRTFVKPVNVRELAQL >Dexi3A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:3A:13010015:13010242:1 gene:Dexi3A01G0017160 transcript:Dexi3A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQRQRARACAMRCRLLEIKAKTKGASLGKGKNNHRAGRVVFMDHMDLPRGPWDRARRARAHHHARLNLAGGIV >Dexi5B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:5B:20619422:20619850:1 gene:Dexi5B01G0018430 transcript:Dexi5B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSSSQDAPNPPAPEQRVLVTNTHGENLVGLLHHTGSKKVVVLCHGFTASKRIKLVL >Dexi9B01G0040600.1:cds pep primary_assembly:Fonio_CM05836:9B:41133292:41139249:-1 gene:Dexi9B01G0040600 transcript:Dexi9B01G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPHQNYQIALVISSLPFAPRRRIGLAGVEPPPLAAPLAEAVEWSPTAVESSSVAIESGLAAIESGLPAGSFAVGLTAAPEEEVVSTGVDGLLVACMALEVLLADTKVQVGRRHNGYHRRSLLLVGSALQPPHPMQRRGSRRSPQSRAGGAAADALCSSNLEHPGGAWASKATAGARRGRTGGVASQAARGKADLVVGIVEARGEARREDQRRLLDEEDEAQETMTETWFSCCRAAHSAGSTMHLPRAHGSREPPPSGSGLPERFPLIQSLPGSLANISTGAWEHASVLSSGEMTAMPSLLPTRVRVLDSALRGACDLEDLPVQSLGGQPLSFPFVVAAARKRSRAEQQPELGDDIVAATPGPVPSDLTAAEAGLPRRSRVAALSQRRGTGIRTGDSRGGGERVLPDSGKNSTDRPLYLGLDATAAYVGASGKIASGGRNGPDFIQQEGVEVRGSFFPYRFGGRGISLHGDGVVGREGRDADPYGGGWEEKDGEEYLDNLPKDAMERYGLPLDNMAEYFAKIDAKIAMKTTKLIECLNLILEGEGFVMSLPGALDNSAGRTA >DexiUA01G0025670.1:cds pep primary_assembly:Fonio_CM05836:UA:54027218:54030117:-1 gene:DexiUA01G0025670 transcript:DexiUA01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLCKFKDRWTAHEPRWSQTAPKTRRAWPECVGLGFKKRRWPPHTSPTEFILHQIRLIGGALAMALCNLASKVSIPALRRATAPRVLPLAGTTRPLTSGYNQVRVRSSLHLVLSSNRVMDPRPHQTGRPTYHVGHTQPIKDETCVAGKRRRPPPTSPTEFVLHQIRLIGGALAMALRNLASKVAIPRSGGLWLPACRHRWARLTPSPPATTRCACAPPSPLVLSSNRVMDPRRNQILGIDPSPPFLSIQQPPFLFFQTMGLAQQKQSLLEALCPLLCPAYAALCPPEEQAQPARRAGATGGDKSINNTVTPKHDLRRQNKRKGTVEMDRLLSAVSFADCRGSDSLAEAASDLAVRHVPCPEHY >Dexi9A01G0035990.1:cds pep primary_assembly:Fonio_CM05836:9A:40552345:40553875:1 gene:Dexi9A01G0035990 transcript:Dexi9A01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVAASLSSQRAQRHEEAEFLCSAPPSSRVLQSALPWRQELFRVSIHCHGCKKKVRKVLKSVEGVQIVTVDASQHKVTVTGTMNADALIQRLHKSGKKGVPWQYCQPPANKTEAAPAPEAPPAKPAGDGGKDAAVAAAPPPEKAAEEKKPEKAAAKEPKTESSEKKQPEKEAEKKKQPEAESKKEEKAEAKKEEKKEEKAESKKEEKAEAKKDGGGDSKAAADEPKPKPKEEKAAEPKKEEAKEAAVAAAAKAAEDEPKKKKDDKPKDAGGKPEPVAVTTERSLPPITTERSLPPMMAASPKYAYEEQQYRYPYYPPQPVMSYHAAQPSASVSYYAPQPQQAYSMQQQQPYSPPQQQPMQQQWSPSYLYLPYPHATADPYHQQHQDYYSPPGMHASPPPMQDSYRIFDDENPNSCSVM >Dexi5B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:5B:1873874:1879105:1 gene:Dexi5B01G0002900 transcript:Dexi5B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAGAGGATRQNHPFPWLDAAISEPYYFLHLLAFFSYFAARSAALSADDGGELHDRLLRREIQAVLVFLVLFVVKIVREETWETFIADSLLYAKGLLLAVTLVINYWLALGYFLGFVVIYAVAQQPPYDGLVLTLVVEFRTSFSATCIQASSVLPELSNIYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKATEVARFPEVTSESKVFVPKVTKPS >Dexi8B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:8B:8259864:8261538:1 gene:Dexi8B01G0007070 transcript:Dexi8B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIMHVILSLLLLIMCRPYTLAVSAGQASGCYKRLFSFGDSITDAGNLGIVNPNISALAFPYGETFFHRPTGRCSDGRLIVDFIAEELWLPFLKPFLAGKTVEDFRQGANFAVAGATALSQQYFGDMGLDVSGIPPFSLDVQVECFKRMLHMLGPTEQERKEIMSSSLFLVGEIGGNDYNHPFFQNRSFNAEIKPLVPKVIEKIENAIKVTAYMYKQSAGPLCYGLMVLIGLGAKTIMVPGNFPIGCVPTYLTLFQSNNPSDYDAFGCIRWLNDFAEEHNRALQLMLERIVPRNDPTVTVAYGDYYGAVLEITRSPQKHGRYHHHIAYVKFFVLHAGKDHTIYICIKQLAGFRKDVVLTACCGDCASHKPGNATSIHLCPDPSKHISWDGLHFTEAAYKFVARGILDGPYARVVHPIQMQTLTKPLALLHYKAYM >Dexi5B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:5B:21296955:21298337:-1 gene:Dexi5B01G0018960 transcript:Dexi5B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKVFSEAEEVAKRYREQLSVISQNTIDDSLPGETLPFDDDAKLYANWKWFKFLHHNRPGDDGDGDLP >Dexi5A01G0025090.1:cds pep primary_assembly:Fonio_CM05836:5A:28949908:28952928:1 gene:Dexi5A01G0025090 transcript:Dexi5A01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGPGNKNAFKGLIAAEYSGVKVELAKNFEMGVSNKTPEFLKMNPLGKVPVLETPEGAVFESNAIARYVARLKDDNPLFGSSRIEQAHVEQWMDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAIASLKRALGSLNTHLTSKTFLVGHSVTLADIVLTCNLYHGFARILTKSFTSEFPHVERYFWTMVNQPNFKNVMGDVKQAESVPPVQKKAAPAKEAKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSPMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Dexi2B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:2B:2172061:2172873:-1 gene:Dexi2B01G0002630 transcript:Dexi2B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLHGLLLLSCIALLVPAIAGGQREAAEALLKWKASLTDADASLTSWSNATSSPCNWAFVSCSSTGDVTALSIINARINGTLAGLDFSAFPRLEELVLDQNDLYGTIPEGIGNLTSLIWLGIYGQSLSGPIPRSIGQLKQLAHLQLADLELSGTIPVEIGNLTSLQEMQLSGNNGLTGLIPPAIGKLEKLSSLDLSSNNLKGSIPSQIGNMTELETMVLNRNYLEGELPGTLSRLQKLGTIAVSDNQLGGRIAQQLGNNNNLTSIFDCK >Dexi3A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:3A:7725130:7725410:1 gene:Dexi3A01G0010820 transcript:Dexi3A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGINAWPCMRRCDLELIDTAPTPRVCMSDNDGLEGFLFYRGGLRSDNEIRNGDGVGEDARGLSDR >Dexi2B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:2B:13929748:13934332:-1 gene:Dexi2B01G0012040 transcript:Dexi2B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALKMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIALLAFAVLVPVNWTSGTLENEKGINYDQIDKLSISNLGKGSKRLALSPDQDLKSKLVLVRNVPPDPDESVSEHVEHFFAVNHRDHYLSHQTGLWGLWGQRVDAIEYYKKEIEELCKQEDEERQKVITDPNSIMPAAFVSFKTRWGAAVCAQTQQTTIVQSLANLDDIEKVLPFLKPIIERIPEVVGESIPMKAAFFMTYIMVDGWAVVTPMLLPFIIVFFSLAYVVFRHQIINVYTQQYESGAQFWPDVHMRLIIALIVSQILLLGLLSTQEAEKSTVALLPLPVLSIWFHYVCKGRFEPAFVKFPLQVRLKISSQI >Dexi7A01G0023530.1:cds pep primary_assembly:Fonio_CM05836:7A:31473479:31475902:1 gene:Dexi7A01G0023530 transcript:Dexi7A01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPAPPREGAAAPSPWAEAASSAALRHYRSLPKKGKPQGRESTVLAAFLLSTPQDPHSPAVLSMGTGTKCLGASRLSACGDLVHDAHAEVIARRALLRLIYSEISRGTPPEWLVASGDSGRWRLRDGYCLHLYITQLPCGVMPVPPSESESLREHLDGCVNGCSDIGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGED >Dexi7A01G0022640.1:cds pep primary_assembly:Fonio_CM05836:7A:30809069:30812162:-1 gene:Dexi7A01G0022640 transcript:Dexi7A01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAEPGVATAAGPAKPLTPEEEALRRSTDCVYFLASPLTCKKPIDGIFGASTPGIPPVTSHYGAYNSGKQMVPCYYFQKGNCLKGDRCPFYHGPQAAGNNPADQVAKVSSSPLETPQAKKNEESAAANISTQQGARIVDDRSMVHAAKSGVGAIPTEPASNAVKSRPNSEQAPNNTIAVKKSFAAEEDHPMDYQNQLPVEGDPVQDWNQNFPLPPTDDLPQNSREADDFLGESSPGFDVLVDNDADGAAYLHDEEDFGRDMYPVEDYEYAPADFDSCAHHDSEQFNGMGENGQVGQLYDGYDRKRHRSSSERNIDRHFQSDRRFLHRGLDRDEIDGSDLRHQLRRRRISGPSTALGPERANGGRHWRDERYRERPHGGHHTHSDRRQGLRGSTLSSRLQARIKLPGRSPDRADNRFDDERDRRRLRERFSLARRADFHGGRLREPALHQEGSHQRSSELVSSVRHADGLPFRRDAVDSARFAARRNLGDPRKANGIVESEASLDFEGPKPLSVILQRKREAAGGNNLSSSYEKSAEVAGMQTGSLVETEKKRGDKNIISEDCKSGSGEEEYKEEDHIPMEVHGQSLSHGDKFEVEDAAEVDPEGNQEADNYEQREGESDDYEAVDGQDYKSEDENAYQDDEDFDDDDDDFAQKVGVVFS >Dexi9B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:9B:6752214:6755750:-1 gene:Dexi9B01G0010710 transcript:Dexi9B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSGGRQLSFELLARDLTADDADDDSPRSLPDTTSDGQRRRRRRSKRKRGFRSPPIDEAASEGEQPRGDGIGDAVAAFRVTDLRSTTETVCESSEADRSAASCVTYVEVELRQRSVCGGGRVLAASTEDGTSSCGSSTRESAAAAAAVADVAAASWRPETNGGVKKKLEKEESLDWEKYMKENSNILGEVERLDNSPFRYFLGELYGGNSLRSTIAVGNEKKRQRVYNTMFHVPWRCERALLEMDTICKEMFDYDKMLQLIVAGFFVCLDSFLSLLTIMPARIVVTIWRVLKTRWCICGRKFLRPNAADLSDYGCFIVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSSCSTDNVTFELMRFLLDEAIAVLAFDILFYRLHQFVFLVLTIV >Dexi3A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:3A:16101002:16104106:-1 gene:Dexi3A01G0020280 transcript:Dexi3A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMHGLLPLVLICSSSLVLLAATTNADDILRYYITSDCPDDMNYTRGGAFQANLDALLSSLPAAASSTGFAVNVTGAAPDQAFGLAQCRGDVSAADCRSCLNYSATEMASACPGQKSAVLIYEGCLLRYSNASFFGVADTRSESYVCSSWAARADFSSPLGTLMSDLAEKAYGSPRMFAVGAVNHTAYEKMYGMARCTRDLDRDDCHSCLAKAVRMIPDTCPGKSGGRIFYWSCSIRYEVGPFYNIQAAEAAMSPAPAPAPGSGGPLINKGAGSSHMVRNTALLVSIPVAITLLLLLVVVYICENRKPHKHVEIARGRYADDEEMRSSGPLQYDLSTLRAATDNFSEANKLGQGGFGPVYKGMLENGQEIAVKRLSEISKQGLVEMENEIVLVGKLQHKNLVRLLGFCIEEKEKLLVYEFLRNKSLDKIIFGTARQQGLSWGQRKKIIEGIARGLTYLHEDSRLTVIHRDLKAGNILLDMDMNPKISDFGLARLFSIDASVANTNHIAGTYGYMAPEYAHHGIFSAKSDIFSYCVLVLEIITGRLLILVMHGPWTYGGHGQVWWHWSLGSVEQLLDGYPADEPGKQEMLRCIHIGLLCVQEDPQLRPSMASVLLMLKHRITTMSAPTKPAFVVLSVETPRVAVREPSSTNEVSVSDLEPR >Dexi7A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:7A:19299725:19301437:1 gene:Dexi7A01G0008130 transcript:Dexi7A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRVRKVQEEMDLWDDLNSQLLTNFNRATSVIGRLPVLGEDKNYGGLRGVPNIKEDLMGKQIEVLELLFVSMREKLEKLNGVVKTLNKALRDTNQMVRGGSALTAKQMQLQVGVLPTIAECLDGLRTLCEMHQAEFALKSSVISLLTWKSRQVQWIYHSCDIATLRQLLVDQPNMPKDEVQSIFDIIFADEIC >Dexi1B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:1B:19536224:19539387:-1 gene:Dexi1B01G0013600 transcript:Dexi1B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPAAAAPEAPPSAQVVGNAFVQQYYLVLHQSPDLVYRFYQDASRLGRPASAAGSAGMDSVTTMEAISEKIMEMDVAKAEIRTVDSQESLGGGVTVLVTGHLTGRDGVRREFSQSFFLAPQEKGYFVLNDMFRFVGEGPATAAVEAQPEGDAVVPPVAPPLANGTATPAVEPAVPEHDASQQQEHHVVEPAVPQPEEEEEAEVYNPPPEEVMDEEQPVPEVINEVPNNVAQVVATTVAPVSQEEAPKKSYASIVKVMKEVPLPSPAPLTRPAPPKPEKQAPAPAPVTDVPSFSSNPDNSIQEPEVDAHAIYVRNLPLQATESQLEDEFKKFGAIKQNGIQVRSNKIQGFCYGFVEFEDATSVQSAIEASPVTIGGRQCYVEEKRTPGSRGSSRGGRFAPGRGNNYRNEGARGRGNYSGGRGYGRGEFNYRTDYGGRSGGRSGAARGADVSYQRVEYAGGRGGRTAGASAPAK >Dexi5A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:5A:12940712:12942945:-1 gene:Dexi5A01G0015380 transcript:Dexi5A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVALHPPTTSFPFPAGAGGRSRPQWGPPASPAPPPPAATPEVPRRLLLPAAAGVWDFISGGAGGAAAASLSVRRGMQLFRQGDVAGSLAEFDKAIEMDPRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAPLYGIEEARKRFLEARTPKGHVGLDSRPVMREAYAQFKDGGDPEKLVANFSSGTVGEVFYSSLYAGLYYESQKDAEKAKSHIVAACKSPYGS >Dexi9B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:9B:5955973:5957740:-1 gene:Dexi9B01G0009650 transcript:Dexi9B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVKQAILPAAAPAPEQRRASPAGMLRLSLGFLILGVGVGLPAFGLFLARHSEAVAAAAPALFRPCVVAPKEEEAAALELERWIRPPARARHAMTDVELLWLASFAPRARGQGQGGSYPFRRVPKVAFMFLAHGPLPLAPLWERFFRGNEGRYSIYVHTMPLYRANFTSDSVFYRRQIPSKYLQNSSQSFVMSIDDPGPDGRGRYNLNMAPEVEFEQWRKGWQWFEVNRELAVSIVRDTIYYPKFKQFCRPGCYADEHYIQTMLTIEATHSLANRTVTWVDWSRGGPHAAHPATFGRGDITDEFLRGIREGGTCMYNDQHSTMCFLFARKFAPSALEPLLELAPTVLGFG >Dexi7A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:7A:20416912:20417397:-1 gene:Dexi7A01G0009390 transcript:Dexi7A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVSMPCERSRARAMVLAARADGVISMEITGGDSRDPLEVVGDGVDAVRLVSCLRRKLGHAEILQVEEVKHENQEYETDKEPDEPPPQCLCYHGYCCCHHLPAPPMVVCEEPSNCPVM >Dexi1A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:1A:8961901:8965733:1 gene:Dexi1A01G0010370 transcript:Dexi1A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRAASSAIRRPPLLLPSRLQAARSMASSLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGQPVVLDCVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSEFVKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFTYYHPESRGLDFAGLMNDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHDVTKPN >Dexi2A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:2A:10203208:10206101:-1 gene:Dexi2A01G0009460 transcript:Dexi2A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVRFIIGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSRLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVKKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSSTTNLLDRLPRYLTCGSWAGKLFCIVMIINYLLWRLLEFLQPAEDIEVVPDIGPLHAQIQRDDLCEAQENQI >Dexi9A01G0046480.1:cds pep primary_assembly:Fonio_CM05836:9A:49890737:49892537:-1 gene:Dexi9A01G0046480 transcript:Dexi9A01G0046480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIRWQHRSNDPASPSGQPQQAQGQPPPTSPSPASSPSGTGAAPALSVSTASSSPPSASAAATPTGAGAGGGGGGGGGGEDYISSEEEFQMQLAMALSASSNSDCVGDLDGDQIRKAKLMSLDRFAAHRDEGHTAESLSRRYWDYNFLDYHEKVIDGFYDIFGSSMESSRQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEIEQVAQCILLDFPVANIALLVQRIAELVTDNMGGPVKDANDMLARWLEKRSFWSI >Dexi3A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:3A:11097083:11097663:-1 gene:Dexi3A01G0015030 transcript:Dexi3A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKKQVQDHQPHQPQGVTCSAGLSEKEIERRRKIGAANKGQVPWTKGRKWSEEHKKLISQRTTEALRDPKTRK >Dexi9A01G0045050.1:cds pep primary_assembly:Fonio_CM05836:9A:48650235:48650849:1 gene:Dexi9A01G0045050 transcript:Dexi9A01G0045050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHLEEGMGASTSSTPMAASAAGELVGLRLIIQPSPRKQLPTVLRRSAVRIPASAASRCHEIENGRVFVGLEFLKSCLCCHKNLDATMDVFVYKGEHAFCSAECRCQHMVREERREIEALIRKRRDTFHRRHAGAARMPGSNRLLRLQTTAAR >Dexi4B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:4B:15027682:15033840:-1 gene:Dexi4B01G0014270 transcript:Dexi4B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLALLRRAATSTSAASQRADPLLHLNPAPPRNGAAGPFSPRLFSSRARSAAGAKSLVEDEADLSDWISDLKTDSFHLGVSSGDEGDAPSTRRPAAGASRGGRGGRDSRGAFSRSRFGGGEFGGDRRGGFERRGRVMSSDLDSDEDGDSGFGSSRGKRGRGGRSSGFAMRGGRGNGSDDEAGFRSPRGQRGRGGRVSGVERRGGRYSDLDNGDGDSGFGSSRGRRGRGGRISGFSQRRGRESDDSEDDDDEAIGFGHSDRMQRRGGRRGARAESGMHRGRGRSDLGVSRRGGRHNELDDDDSDIGFGSLRGRREVSGRSSRLSQRRGRETDFDDEEDDVEDGDEDDSDDDDVVGFGHSRERQHHGEKRGARSVGAHRGRRGSSMDFGQQKGAKKLDFGLSEDDDDEVVEVDDDDEPSGFEDDLFDDEGGKKNVREIAGNMSDSFVSAGGETIKQEGVAGTRSTGGGDSYLSQKRFDECPLSPLTLKGVKAAGYERMTAVQEATLPIILQGKDVLAKARTGTGKTVAFLLPAIEVVSKLPSVDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLRDHMENTPGFTTRLMGVKVLILDEADRLLDMGFRTDIKKIVSALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVQEGSEETHSQVKQMHLIAPVDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPTDREQYIHRLGRTGRKGNEGAGVLLLAPWEEYFLRSIEDLPITEATQPLIDLDTKKKVDKALAHVEVKDKESAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSMGLNNPPAVPKLVLRKMGLNNITGLRSK >Dexi3B01G0028860.1:cds pep primary_assembly:Fonio_CM05836:3B:27477160:27479975:1 gene:Dexi3B01G0028860 transcript:Dexi3B01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHNPRKRGPPAAPPPAASPALPVKLLPPGFVADRAEAAARVERLLRYQFRDRALLEEALTHQSFSDTATSYQRLEFLGDSALGLAFTNFLYLTNPTLGPGALSTLRAANVSTEKLARVAVRHDLYPLLRRKCPRLDLLVGQFIESVKQELKDDLATAPYGGCVVKAPKVLADIVESIAAAVYIDCKFDLEKLWKVTRWLFEPIITAETIDEQPVATLLELCQKHGKLAQFKTWQKGGMTVVNVFVGGEMVGLGSSEQKVIAKLNAARDALGKLVGGAKEQLLITAVGNGGDGIGELRECKNKLTEHCVGKHWPKPIFK >Dexi9A01G0048850.1:cds pep primary_assembly:Fonio_CM05836:9A:51597553:51600234:1 gene:Dexi9A01G0048850 transcript:Dexi9A01G0048850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLEKHQRSGDDTSPEANDSGELDDRRSLERSSSTEMSSNSGHRSRNRAPDDDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRAGPPTGTVPKKSSLAFPEHGGRPPAVAKVVADVPTNTGPLVKNSDGLTQSDLFKPAQTASIVDEPAIAIRFQREEVKIQAWENHQKAKIEAETKRIEAKIERKRAREQDRLANKLAAVSHRAEAKREAAEARRNQEAARTEEMAAQIRKTGHAPSSFSCWCWCL >Dexi1A01G0032320.1:cds pep primary_assembly:Fonio_CM05836:1A:36916716:36922899:-1 gene:Dexi1A01G0032320 transcript:Dexi1A01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRGRQHPGVGEGSQAPHPQPAGRGGRGGSHQGRGGGRQSRPDEAQQQQPRGHARGGTRDHPRRARAAAPSSTGSASPLAPELRQAMEAPHELAQTSPMQPGPSQSSPEIDPGEEHKPVEASAGHGIVPAIPSSSKSVRFPLRPGNGSLGTKCLVKANHFFAELPDKDLHHYDVSITPEVTSRILSRAIIKELVNLYRQSYLGGRLPAYDGRKSLYTAGPLPFTSQEFHITLLDDDDGSGSERRRRKFKVVIKFAARADLHRLGLFLAGRHVEAPQEALQVLDIVLRELPSARFAPFGRSFFSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDFVGQLLKSEIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTTQATRELTFPVDEGGTMKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEIVHFSASFFLGGQMVKHNAYEKDDYAQEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKDFAREPVLPPLYARPDQVERALKARYHDAMNILGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKVSTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFSADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSVASGPAGHGPQSASRSTRAPGGAAVRPLPALKDNVKRVMFYC >Dexi3A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:3A:11755373:11766241:1 gene:Dexi3A01G0015790 transcript:Dexi3A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTIGGGDDAFNTFFSETGAGKHVPLGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGEDGDEY >Dexi2A01G0031080.1:cds pep primary_assembly:Fonio_CM05836:2A:41871907:41873810:-1 gene:Dexi2A01G0031080 transcript:Dexi2A01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLRLLLLLACPALLLARAAADASFPINVWPKPVSMSWAEPHAAVPVSPSFHIVAPSENPYLVSAVQRYVKLVFKERYRPVVRPAVNVTNGSELEKLTVAVSDLAAPLQHGVDESYTLEIITTGATVTAVTAWGAMRGLETFSQLAWRTGGRGKDRDLLLVAAGVRVEDRPIYPHRGLMFDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPIELPSEPQLAEKGAYGEDMRYTVEDVKRIVEFAMSRGVRVVPEIDSPGHTASWAGAYPEVVSCAGKFWLPGGDWNNRLAAEPGAGQLNPLAAKTYEVITNVVNDLTSLFPEGFYHAGADEITPGCWEADPTIQADLDRGGTLSQILERYVSAVHPLVVSRNRTAVYWEDVMLDAAVNVSAAAIPPATTILQTWNNGPNNTKLIVQAGYRAIVSSASFYYLDCGHGDFVGNNSIYDDPDSDFNSSGGSWCGPYKTWQRVYDYDIAYGLTTEEARLVIGGEVAMWTEQVDTTVLDGRVWPRASAMAEALWSGNRDAAGRKRYAEATDRLNDWRDRMVRRGVRAEPIQPLWCRTRPGMCNLVQ >Dexi9A01G0044720.1:cds pep primary_assembly:Fonio_CM05836:9A:48310053:48313767:1 gene:Dexi9A01G0044720 transcript:Dexi9A01G0044720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRDLVIASLSAAAGAVATAAVLRFLSCRASSVRPQNLSLITNGNATNRPHGQSPFDPTKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSANGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDHVYVASHKLLSIAGVKVRKHQPQMAQIPIKFQEP >DexiUA01G0011660.1:cds pep primary_assembly:Fonio_CM05836:UA:23260023:23260766:-1 gene:DexiUA01G0011660 transcript:DexiUA01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDFRLSAHAHPACPPASSWAEGLASDVLLAIFHRLDHIDALMAADRVCRRWRAAARDEPSLWRRITMRGHEGIARRINRCGMACEAVRRSARQCEAFCGEYAGDNGFLIYLAQQ >Dexi3B01G0037020.1:cds pep primary_assembly:Fonio_CM05836:3B:39808332:39809856:1 gene:Dexi3B01G0037020 transcript:Dexi3B01G0037020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGDTDNGVCCLVGLVGSINNLHLQVWLLKEDGAAKTWVPERKFHTLGYLLQMPWPPAVLVVTRSETINCAVVAVTQNLSAIEENEVLKCLTLRQHQTHHQPEQDVEGRTPSSGSISLLKLILMDVHEYVVIIAREPLPPPKQQALAI >Dexi1A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:1A:5178714:5179034:-1 gene:Dexi1A01G0006730 transcript:Dexi1A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSSSSLSALLRHRLRATARGCFGNGGVGGLGERLRWRGRAGAEEFRYDPLSYALNFDEGDVPNVDGEEEDRAARRRGRRFLCRSFSSQLMPAAPRAAVEAA >Dexi3B01G0023700.1:cds pep primary_assembly:Fonio_CM05836:3B:18447066:18448518:1 gene:Dexi3B01G0023700 transcript:Dexi3B01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSGWRETPGGAAAAPSAPAPKDDVGASGGGLDAKVCLDSDVPRRRGLGRRLTLAPTPVGHGFFEADDTSWRSGGASVVCSADPLAGSKAARFGSSGGRAGGASVPRPWRGPLPPARVSPGLTLADALARARPCPGLAGGGRTPRLTAGVPLSPPASTRASPGHHTDTLDCAPVRPMERDPGDWSGIRSDGLGRAIQLCFGGRKALFTFSEGLYGLFANAGRPRGFSCPARMCRLVRCFIWRLHSWHAALGLGSSPSQRATVFRATTSLPWSPVAQEVKAAAWITALAAMAVEVAVVRMAAWVVALLARVGRDVEVVMVMTVNCSSVMAGLSTVEASALIRGVEEVAVTEAGSGVASGREVPGIAGASLAGSGVAPRLATMAFISRSNGLLLLLRVQLLTVLILLP >Dexi2A01G0034600.1:cds pep primary_assembly:Fonio_CM05836:2A:44498698:44504521:-1 gene:Dexi2A01G0034600 transcript:Dexi2A01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISESFQLELPYEIDSEDLESLSHLPDPETQDEPLLYQASEKVNPKQQSQDESEQLKITNEELKDKLVIMAEECNKLSEIIVAKDVEIASLSEEWEAAIFDLTSFLTDGCRSLDDAYQNIDNMISSFPHSSSSVSEHVEKAMKVSIEKEKMIFKLQIELQAAQKIGREVKEKLHILRGATLAITEAQQLDNEESSQEELQLVGLLRQKDGIIQELKNNLKAEKCCYAEIVAEHSCNDLIPPDSSIDMIEERPHDESQPTVSQANPDYQSKLDSVIHLVEDKSNKVLNLFSNFEAAQETMEEAELMLAALLKANEELKLERDDCRQAMGLLLSEKTFLISELKELEKSSSYTSQRYDKLHQQIDDCVLEMANLAATMRGSFDQVQRVSTVELFALCSEIITFGRDLKRYIRESRSYMVNMVSLIEEKGSSTEQFKHLNADTSGSACQQVELRSCQCGSSKPDFSQSDNSTDYASLRKEFDRKSNIAEGLSFDLKLLQESTSNAKDMKDKADEISTALSKVQRELDIKTNAMENMLKKQKALEEELAENCAVITTLRSELEQSQILSSALLRENKDLRVILEEETVKHTEIKVLLEDKINVIEGLESQILLLNRSEVGQLLSDIEELNNSIKLMSNDRENLQAEILTLRDRLEMAMALSEENEAAAVEARQTAEISKIYAEEKEEEVKILERSVEELEGTVTVLEEEVCNLKEEVRTYQLHKQSEDQLQAVGDMLSVEKASTCDDAEELCQGKCHIEKRLHQDARKRIERLTLDVKHKDDEIRQYKEHIAELILHSEAQSLLFQEKYHEMEDMVSRQKSQESSSEIVHAKNEKPSGRARRSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLVSGKQKEICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLLDQEELQKLLIVSQQQIEQSKAKDTELETLREELGQVILERDSLLDDMDQRKTDLLETQLLVEQLEQREQMLETQIEILQLEKDSLQQKIMEMDETMELLIGPNQPDANQRMGDHQHHGSSSEFSRRLAQSDMLLSHARHEHSRNHASRSSRTHHGRHR >Dexi1A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:1A:5480706:5486673:1 gene:Dexi1A01G0007140 transcript:Dexi1A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPDPSSSTASGERITTVRDLLPFLLHVPVTYRFSKKNVSSRRTKSGSGEPPRRLAGFLPPAATSPSPPVKYWFLIAPPPCAQVSLDGFVTDGGYSCACSAAASCGYRGKVLSALQFEKHAGVKSKNQNGHIFLSNGISLYSLFQELRDVPAEAFAEKFEAAAGVPMTMASRGKDYSDAVASDDGRSTQSSRDSAMGDTVYSLTDYLKESTSNSLSNLNWRRINLFLCLLVLRDTTLHPLLFKEGGLPDNTLLIYKLRNGEVLKQGYKRGTCIICDCCNKEFTPSHFEEHAGMGRRRQPYHNIYTSEGVTLHNLALQLQDRLNPNGFGNANISSFSDCPNVTSGNVMVVIYTAVHYNSSFSGGKEPSTTNVPIVPLKRTLQERVVETESCYICRDGHTTVGNIDSDTIVFCNQCERPCHIRCYNDGLAKRKAPLKILKEYMQFCFLCCEKCQMLRAHLDEELEKCEEITFLRRVRSNICWRLLSGTNKSNDVQLYIPQVIDIFRDAFAETAEHSGFFSDMVYTKNVEGEKDFRGMYCAVLTASTHVVSAAILKVRMEQVAELVLIATRSECRRKGYFVLLLNSIEAHLRAWNVNLLMAPVDPEMAPIWSEKLGFTILSDEEKKSMLEVHPLVMFENLLLVQKSLA >Dexi6B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:6B:18672999:18675155:1 gene:Dexi6B01G0011470 transcript:Dexi6B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHERGHPSPRRRRMLSLPAVCPCEAISPAPLLASLLSLATDVAAADVAALPALRGAARDAVRIAGLLLAFLDAVASEADAPPLPGEAVLGLSELHVALQKLRLLLADCSRKGARLWVLMNAELAASELHVVMGSVATAIDVLPERLAAAAAANADEARELAGMASRHAWRAAARLRPDPEDERASRGVRSVLARFAAGATPDADDARLVLARVFVGVGDDDASSACAEEAAFLEAELLERLETPGGEDENDLVLLASLLAFLLYCRVVLFDRIADDPKKPEVTSAAVPPRSPAARCAAWVHTEALQCPITLELMTDPVTVTTGQTYDRASIKKWIKSGCRTCPVTGERLRSAELVPNMAARGIIEQLLLSRGVPLHHEPSGKHRCAVDKTASPFGAAAAGGVRLAVAFLVTRLAKGTPQEQRKATYEARKLSKRNVFYRACLVDAGAVPWLLHLLASSDSTVQDNAVAGLLNLSKHPAGRRALVDAGGVGLIVDAVSVAAKVETRQNAAAVVFYLSSSTDYCEEISRIPEAIPTLVRLARDGAYRGRKNALVSLYGLLQCADAHGRAVSAGAVAALAGLLLAGDGGDDRGEDLAVDAVALLARIAEKPAGARAVAASSELVTRVVDFLGESASRSAREHCASLLASLGRHEGERVLPLLGKLPAVMPALYALIADGTPVAGKKARWLVNEIHRHYELRQTMQAATPATAEHRGIRV >Dexi1B01G0025230.1:cds pep primary_assembly:Fonio_CM05836:1B:30355733:30356215:1 gene:Dexi1B01G0025230 transcript:Dexi1B01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSASGALAAALLVIIGLLVATSAPLAGAAASHMVGDNGGWKLNVDGWAKGRTFRAGDQLVFRYNREVHDVAVVDAAAYRSCVVPRGAKVLKSGRDKVTLGRGTHYFVCTVRGHCQAGMKIAVKAV >Dexi5A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:5A:6108074:6108686:-1 gene:Dexi5A01G0008170 transcript:Dexi5A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLHQRALLLFPFVFLLLLAPPRSDAWGKEGHIMVCKIAEKYLSEKAAAAVQALLPESAGGELSTMCPWADQVRWHYHWSSPLHYANTPQVCNFNFSLPFT >DexiUA01G0025410.1:cds pep primary_assembly:Fonio_CM05836:UA:53574811:53575859:1 gene:DexiUA01G0025410 transcript:DexiUA01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHVVELAVAPERVCLLAMDGVVADLELVLRHSQRDDEVYGDADDGGDDDVPSDDEERAGELLAELGAADAAVEGALGVGHGEEEVAKGGVREEPRQQAAQEPRHAVRVDHAQRVVHVFEEPRTLVQDHHRVPWDAAGEHAHHQRCPPLDNTCICGRK >Dexi1B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:1B:25175555:25176447:-1 gene:Dexi1B01G0019030 transcript:Dexi1B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAFAYISGTYIDKKCPVTSTVSIRGRAIVLKCTGLSLFVGTIFTLSRNTKGKYEKRRCNIPAHISPCFRVKERDHVIIGQGRPLSKTVRFNVLKVIPAGSKSGAVKKSFTSA >Dexi4B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:4B:3156203:3160654:1 gene:Dexi4B01G0004400 transcript:Dexi4B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYSAPTVRESARRRDLVEERRMNSAFLDGGAGSSSPSSSGGRSRGRKQAQTASESAAARKAGETAAAWQASRRAVREIIAERSSSGRRAISQARNAVLPIGDARVYRGRGRHGGFTDQSAVTGD >Dexi4A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:4A:9971975:9972454:1 gene:Dexi4A01G0011740 transcript:Dexi4A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWSPACITRRSHLTMPTSSTCLKNSSEGSFPVASTARMTPKLYTSHLAVNLSVWWYSGAMYPHVPARFDAVTCVCASGIAFASPKSAMRGSRSSSSRMFAGFRSRWMICGLYSIPSATPTATRRRSGHESPTTAPLLLPSSPAPAPPLRAL >Dexi5B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:5B:7623039:7626088:1 gene:Dexi5B01G0010780 transcript:Dexi5B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFKPTAGAVSMASRLAGASTPLDARIIKNGIDPATYRYKLHFNHVISSGRVARAREVFDQMPEKDIVSFNRILSAYSRSGNVASAEDLFRMADHRNVRTWTIMMSILAADGRGSDAVSLFRDMLREGEAPDRVAVSTVLNVPGCDVLSLHPFVTKLGFGTSTVVCNTLLDAYCKQGLIAAARGVFLEMPERDTVTYNAMMMGCSKEGLHREALQLFSAMRRAGLYASQFTFSSMLTVATELKASLNMEVEA >Dexi4B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:4B:3526815:3531761:-1 gene:Dexi4B01G0004910 transcript:Dexi4B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVVGGGGVAGSAGDPSSPSARAWGGLDDDAASSGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRSASFRELLRKVEAVDEAGGGVGGGGVLVRYQLPGEDLDSLISVSGPEDYENMMEEYEKLAAATPDGSAKLRVFLFPASGSEAGGSASGSGSHHLAAAAAVDESGQRYIDAINCVSAEAVAAAMRRKDSFASGGSSAHNSEASEYGGLVEGMSPRAGPPPPAVATEYSYPGGGAHYHGGFPDSVGLSAVTISAPAMGIPAQNPILVRTEPSALQPHQVAASYATSHQVPQVTTYVPQQHQQPQVTQYVPQQQPQSASYVQQMPQSYIEPQQVHYISAQQFGVHGVPQSVNFVPVQMSQFMPSIPATSSMATAAVQQVGTFRPASAGAEPVQENLHFTRPVQAPVDQSYRVLQTPLSQLPPLSTVHLQTSDTQRYGVQPVLTSTTSTPVVTSSGTIPVVVSSATVPSLRYDDCTMCQKALPHAHSDNIIQERGNPRAVSNPEAAPVFYSLHQDSASNKSSPGASSGTPANYMAEPRVGNTVGMGQFESAIPARIPAVQATASPDAGVPVQPTMVVLPVSSAPAPNGAFVGHPLQAGAEDPSGARYQQQPYSYSMQPPQVQVNGPQVIDASAYKNSNHPATEPLMEYARDLPHDYTRAIDARMQGVHLGPIAPPDSSVQGKPSIPHGAIDHAKVEKPPVNIDGSSIYKSQAGGYHMGITNAFTAPALAEEDNIARHSEQLPSAFDVGAQNVHPDIIQNPLNVPLQANLRVPIEPPVSNEKIPLRPPYSGVQVPAGPPLHQPREMLGHLVSAPPNGSSKFPLQATAGIDRVETIREPVYTDSLFSNQDPYKAVGNASLVPPRPSKLAKEPVASGDQHMDGHVPNTNTDTAILLEEGNLPHIRDPGFKDIHTVKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAALSGDLIDHGAVMDAKVQDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEAGKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRALMEQCWAAEPSERPSFTEIGKSLRAMAASPTKAQPQK >DexiUA01G0006200.1:cds pep primary_assembly:Fonio_CM05836:UA:11840247:11843345:1 gene:DexiUA01G0006200 transcript:DexiUA01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIQKGEQRDLTGEDGSLADAGGADEEDTGPRLQGSLHSLATKALYPTLDQRSPASVSSLPSGNEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNNEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi2A01G0036100.1:cds pep primary_assembly:Fonio_CM05836:2A:45702648:45703795:1 gene:Dexi2A01G0036100 transcript:Dexi2A01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRAAQQVFRQGTSRAGPALALPGVASRFSTAASDSQRLAGKVAVITGAASGIGAATAKEFVRNGAKVVLADVQDDPGHALAAELGADAACFTRCDVTDESQVAAAVDLAVARHGKLDVVFNNAGIVGSLARPAVGQLDLADFDRVMAVNTRGVMAGVKHAARVMAPRRSGSIICTASIAGVLGMVTPHPYSVSKAAVVGIVRAVAGEVGRSGVRLNAISPTYIPTPLVMRILEEWYPEKSAGEHRLMVERDINEMEGAVLEVEDIARAALYLASDESKYVNGHNLVVDGGYTVGKAPNMPAPAQ >Dexi8B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:8B:27891861:27896262:-1 gene:Dexi8B01G0016750 transcript:Dexi8B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDAFAPYVKKLLADVAKEEVSMLLGVSGEITKLEDNMESIKAFLADAERRRITDQSVQRWVSKLKNVMYDATDILDLCQLEADKQRGSTEEGSSSTVKKKVSAGWFQPLLFCLRNPVFAHKIGSQIKELNQRLENIHKEADRFKFNINLGSNPEPRKLTDAERSVQKTTSEFNESAIVGEKIEQDTTELAQLLTTGSNQDIKVVSIVGTVGMGKTTLAQKIFNETTVQEHFKVKIWLSITQHFDDSEMLRAAIEHAGGDHGGTRDKTLLTRTLTNTLSSGRSLIVLDDMWSDQAWSHVLRVPIRNASLKQPGNWVLITTRFGDLAQRMGASFYQHHVKPLNDEDAWSLLNKQLPHYPNQHARISSKGHLDFLELNWSSREWRGLRDEIEEKQEQQHAAEDVLEKLCPPPLPHTRSIYSRILWSHAAKLDDSTGGNRGLQELDAFDAERPTLLHKTP >Dexi2A01G0033640.1:cds pep primary_assembly:Fonio_CM05836:2A:43837466:43842693:1 gene:Dexi2A01G0033640 transcript:Dexi2A01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGMKIDENVQREIMNHRSLRHPNIVKFKEQICHRDLKLENTLLDGSIAPRLKICDFGYSKPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTLTRILSVQYAIPDFVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQLGVIMTDRNVHAQSLEEIMTIIQEARKPGDGMKLTGQIPGLGSMELDDIDVDDIDVEDSGDFVCAL >Dexi9B01G0037850.1:cds pep primary_assembly:Fonio_CM05836:9B:39124893:39125450:-1 gene:Dexi9B01G0037850 transcript:Dexi9B01G0037850.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIMDTTQKADTVEPSDKVFKQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPRPNEK >Dexi3A01G0028460.1:cds pep primary_assembly:Fonio_CM05836:3A:29173077:29176089:1 gene:Dexi3A01G0028460 transcript:Dexi3A01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRQVVAEPSSSSAGASTPTEKGKRDDSWEYRLRKYLLLLATLVATVTYGAAFNPPGGVWQNADPTTEVIAGDPIIRKTSYRRYLIFFYSNATAFASSLVVIVIVLILAVLNERHEASLVAPLRILRVVMVLDLFSLMGAYAAGTFRDSLTAIYSSLLLAGIVVYLAIHMALALLEYRTNRRIRQSQTNDTTRAPADATSPVAGSTQPAKDDTALAKLRKALMLLATFAVSVTYVAGLSAPGGFWDHEEGGHKPGDAILKGGPHDRRLKAFFVCNTTAFVASLIIIIILQDKRLSFSPNFKSFELYGFIAVTLMGLVGAYSAGSCRQIDTTIYINSLVGAVMVFILVQAAIHFFKIVIFSDDMEGKPLKSIRDKVSKCLLRIQKFCLGEENASSADQRRTQALEKARSLVLLLATLAAAITYQAGLTPPGGLWQDDDTGGLYKAGDPILLTTNPRRYKAFYYSNSVAFVASLVAILLVRMKTLHYHNALEAAMILDLLGLITAYAAGSCRDVTTSIYAMGLAGAVLVYVVIHVVMFTLDHIDDTPSPTPASVEAELKVVEKRRKRLLLFAILAATITYQAGLTPPGGFLQTDDPTTRHRTGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIILLVNPNMYRPAIRTNALSVCTAAGLIGIMGAYAAGCTQHLKTSIYIFALAAFVLIVVLVVVLYLAMKERENNKKKNKTRDQPAGSAGIAAAAANGNTMGSNNGEENKSSDTMEIAPDLEAQPSPGGSAADTAGLEQNETKEDEPQSPPKTEDDVEKKLREKRKFLMLLGILVASITYQAGLAPPGGTWQSNLGGHAAGDPVMHDNRRHRYLAFFYSNSTSFVASVVVIVLLLPPSMPKKWWPWWLGVMNTTIVLDLLCLLIAYAAGSSRSWKTAGYVSALVIAVLAYFVVHVLVSCFVRIMDKRRQEHEHRSAHSTTTTTPPNPGTNGQSLSHSR >Dexi6B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:6B:26867538:26868367:-1 gene:Dexi6B01G0020410 transcript:Dexi6B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVASSTPVPEWLEALLTTRFFLGCGAHPASPRNECNMFCLDCTTTRGAFCYYCRAHRHASHRVIQIRRSSYHDVVRVSEVEDVLDITAVQTYVINSARVLFLNERPQPRGAGAAAGKAAGSPYNCEICGRALLDPFRFCSLGCKLVDTKRSNGGHAAAATDVDGIEAGDAGCAARPLSRRRKGIPHRAPFWS >Dexi6A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:6A:5895455:5900083:1 gene:Dexi6A01G0006210 transcript:Dexi6A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGADPEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAAHVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHKICGHIHFERLSQLYDQIEDYLKKHKCDILPVVHCTSLWYFLLSDKGEKTEQQTGTSMVGRKLLESQLQRIGVFGANDTVDNFPDFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHYISSVSRDMAPPSKPGLLENYAVGRIDIA >Dexi9A01G0026380.1:cds pep primary_assembly:Fonio_CM05836:9A:29312117:29312452:1 gene:Dexi9A01G0026380 transcript:Dexi9A01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERAPAELRLPPCPQADSPSRSRSRSSAAPLTAAAPGSCRLHLAPPPLQASCSATARASSRRARPRAAPPLTPRSAAPPQPQRSRALWRRMRKREDRGGLRRTERRRCEI >DexiUA01G0005730.1:cds pep primary_assembly:Fonio_CM05836:UA:10467893:10471248:1 gene:DexiUA01G0005730 transcript:DexiUA01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATMSMADAVTLVDTLEHLGMGLRFREEIDLLLGRVYRADEDLEFSTSNDLHIVALRFRLLRQHGFFVSADVFEKFRDGNDNNGGGSFSTRGLCGSKTRDLLSLYNAAHMAIPGEEALDDAIAFARGHLEAAVNKGELKSPMAEQVSRALGIPLPRSKPRVEATYYIAEYEQEETHDAVLLELAKLDFNLVRALHLKELSDITLWEESAVSVIPEYLRGFYLQIVRQFNELEGMLKSHEKHRISYLIKEIYVQEAKWSNDKYIPTFKEHTEVSLMSSWASTLCLVASAFAEDDVLATEQAEHGVTGDEAAAAITAMVELSWRRINQGCLEMDRALLPAAARPVVGMSREVEVIYLDGRDGYTFGSDINHIIDRLFVDPVPV >Dexi3B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:3B:10989690:11015554:-1 gene:Dexi3B01G0015160 transcript:Dexi3B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDLKISRLRWLQLALHYSMIGDLEKSLHMLAEVDLAEEGVLQLLLASIHRLSSRTGSDNEVAISSKYVLFPGNTFGLSFEIHLQCENRLMILAVRFATQMIKCYGLQRQNMDMPDNSVKLQEMASLLMVIRNIQTRISAKNQNSVRMGDDNNTLKIDTEALQNDSSLPVVVVDGVSSGPGGLDAHDRQESAPDSHSLLALTPVESSLSASNFPDIDTNKGSAQVGRQIIQGNIKEMINRWETNNFDLKTVVREALQSGRLPLAVLQLQLLRQRELGSNVDSEDAFSEVHEIGRSIVYDLLMKGETELAVATLERLGDDVESDLRELMQGTVRRSLRLQIADEMKKRGYIRSNEWKMLETITLIERFYPSSSFWDTYFGRENVIRDAAKIVTLPGEDKPVLALHIRNRPLIECGDVDGAVLGSWVNINDYTDSKEFHGSNISDGYWACAAVWSDAWDQRTVDRILLDQPYNIHDNIPWESQFEYSVSHNDAGKVFPDYNMYICDSEELEPVCMEIPHAKVAPLAITNFEDSTLVASCIFLLELCGLCANILRLDIAALRRISSYYKSVQQNKHHDLSSPTASELHVQSHGADIVPALARALAEDYVQSDHLHVLEQTQTSMTPKREQPPQPLVSILQHLEKASLPSLDEGKTCGFWLLTGIGDASLYRSQQNEASQHWNLVTEFCQAHRLPLSTKYLALLANDNDWVGFLTEAQIAGFPIEVVVEVAAKEIRDSRLRTHILTVLKNMTSLRKKSSANTPSGSSDSSFSAIDGNNAVELFCILGVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLEITAAREMSSIKVDDISSKIAKNVGSAVEATNKLPGTSRNVEFRYNRKNPKRRRFLEVSPEKSTSCFSLDNSCGPNSAATSNPVDTVSHQERGKTISGETIISVDIDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLSFIRSLQAFSQMRLPEASAHLASFSLRIKDEVSHTQINSSKEVSVIAGWVAATAVKAADAVLSTCPSIYEKRCLLQLLSDVDFADGGASSSYFSRRYWKINLSEPSLDKESDIYNWNDLMDDASLLTSLEKDGQWEEARTWARQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMKYSFPPQKAGQFFLKHAEAVGKEIPAPELHEILLLSLQWLSGTMTKSSPVYPLNVLREIETRVWLLAVESESQSKTDGEFATSAVAHNIAVGNNTSIIEQTADVITKIDNNMSPPCMKATERNVTKDNLSCQHAQLSESSEASSTTTNNTRAKRRVKTNLPFKRGVNDNSESRTNDMDNNYNNFHSSKLGEQARNLLSEEESAKMEASLSGWEQNVRPVDMEKAVLSLLEFGQITAAKQLQQKLSPSYVPEELVLADVALRISNNSSNGEINSPCFDTETLSIIQSLGVASSSDMIDPLQVELLILSHHFYLSSSCLDGVDVLVTFAANRVDSYVLEGDFSCLARLITGVSNFHSLSFILSILVENGQLELLLQKYSATDTATGTPASVRGFRMAVITSLKQFNPNDDDALLMVYRHFDMKHEAASLLELRAEQYMNSWLSRYDKERRNDELLEAMHHLVETAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRVQLATLATGFIDVLDICNRVLDKVPENAGPLILRKGHGGAYLPLM >Dexi8A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:8A:21007756:21009491:-1 gene:Dexi8A01G0012010 transcript:Dexi8A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTAMILLLAVVVAAALRLAPAVAELTVVEHPPKTEGSLNVLAVGDWGRRGQFNQTLVAEQMGLVGEKLGIDFVISTGDNIYDDGIANTSDPLFKECFTNIYTAQSLQTPWYIVLGNHDYTGNALAQQDPAIREVDSRYLSIAKSFIYWNSTKYDWRNVAPRDTYIETLLKDLDDALTVSEAPWKIVVGHHPISSACEHGNTTELQQLLRPILEACMTLSYCLLSFNFCLPIDLDELRN >Dexi9B01G0028140.1:cds pep primary_assembly:Fonio_CM05836:9B:30741890:30746677:1 gene:Dexi9B01G0028140 transcript:Dexi9B01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSSSTSTLASASSMAASLSFKAVALAAVVLSVLFACGALAQHNTSDSDDGRRSMLSYSTAWVPAKATWYGAPTGAGPDDNGGACGFKHTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCLKSNHPACSGVPQTVVITDMNYYPVAKYHFDLSGTAFGSMAVGGLNDKLRHAGIIDMQFRRVPCNFPGLTINFVVQHGSNPMYLAVLVEFEDKDGDVVQVDIMQHDSGYWEPMHESWGSIWRIDPNRPLQGPYSLRITNESGRKLVAPDIIPANYIPDTNYRSYVQY >Dexi7B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:7B:19281479:19284279:1 gene:Dexi7B01G0012540 transcript:Dexi7B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYAALLHQPLASSLSPCSSSRRAGAARAPLRLQRAGTPSLASARAVARVRISPRCGASAGAGAPGEPPAAALRRLLDTPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNSMNVKRTVKGYINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVTKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFNHYYDEEKRYVVTPAQSSYQTSEPSIPGDFRSTTEKPQEPVIDILPQLYDIGSSGSRGPSTGMWSRTLRLKITGRDGVLKIDAKIPAGFLEGMTRIIPGLAGANIMERLRNAPIDADNPQNGQILLDFEDAMGDRIQVFIA >Dexi9B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:9B:8514124:8517688:-1 gene:Dexi9B01G0012660 transcript:Dexi9B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGSFGSALLVRHKLENKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVDKGCYVCIVIGYCEGGDMAEAIKRSNDTHFSEEKLCQWLVQLLMALDYLHAHHILHRDVKCSNIFLTRDQNIRLGDFGLAKVLTPDDLASSVVGTPSHMCPELLADIPYGNKSDIWSLGCCMYEMAALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLVRSMLRRSPEHRPSAAELLKHPHLQPYVLQVHLKSPPSRSIIPLHQSPTEKRMTFSAEPIYRSKGRRNSLGNERIVTFSKPSPERNSISYIPGIKDYTTTGVKELSVDDNQVEEVTSKNIASRTSNVMKTPKTTPWKMMTTLKNRLEAPQASYDRTSHTEQFPRTQVDNRSARLARRASLPLPVFETPKRSSISILSQLDSPDISVNSPRIDRIAEFPLASSEDPMFSIGGKPSASSAHGSCSVATPPFVDRSITKDKCTAQTLRAAASDAGDEDEDDDLSTGRNATAAAISSRGSSGSLMRRQQGQQQRRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPDEKVSPRETAIWLTKSFKETGL >Dexi9A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:9A:4845492:4845777:1 gene:Dexi9A01G0008180 transcript:Dexi9A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGWGKLEYRKLKMLSSKIGPKVWAAASSIPGVEGNGSSIPVKPSNAQLDLRLRGADGQRRFHPWRLEKRERKTENGLDHVGHR >Dexi5B01G0040160.1:cds pep primary_assembly:Fonio_CM05836:5B:38765255:38767844:1 gene:Dexi5B01G0040160 transcript:Dexi5B01G0040160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPSSSAPVREVGASFWEAREEAAARLEAMAAAALVDDELPEEQLQGNSRIQEDELLALQAIYGDDMLVFDNMDGLRFFQISLHYQLQGDIQVYINVDVGTNETTETGDDETDDSEDANDGLLYACSLQHLPPITLTCLLPRSYPSTRAPHFVIVAKWLDEPEVSRFCSVLDEIWAELPPGQEVVYRWADWLSGSSWSCIASDGQMVLGPDASSAPARADERAIGRSLILDSTIPLMQRYSEERSQETFDQSVHECGVCFSENTGADLLSSLSFSNLAIGDRLVSTEICSEFHPFLLYEICAGRNFMHLPCDHSFCIKCMEAYCSIHVKEGSVATLACPDTSCRAPLPPPVLRRLLADEGYARWEWLALRRTLDTMPDVAYCPRCSAACVAAGDDAQCPACFFTFCARCGDRRHVGDACEQQKQEVSAKGQRKLEELLSLREVLRSTRQCPSCRMAIVKTAGCNKMVCGNCGQFFCYRCGRGINGYGHFFNGGCGLFERVGMGRDGQLDEEVERFMRAVRYTCPDCGAKRTKAEAGANNLLTCQRCQARYCALCRKRVWEVSRHYGPRGDCQQRRMWY >Dexi2A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:2A:25170098:25171142:1 gene:Dexi2A01G0014930 transcript:Dexi2A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVVSAFLKTVMGRLFLLLEKEYNKHRGLVEETQSIQQDLRMIAAAMDDQLCILGRDERTAVARLYSEEILDLAHDIEDCVDRFMHRLRCKQRRSKGRAASSFVNRVAHELKKVQSRSSYADEIHKLKRRIKKAHQRVVEAIPRPISCSRQPNGLPTMVASSKPCHVTRKPVGIEKPMEELQLFLDDVDGERQQLRVISIVGFGGSGKTTLARAVYDSPHTMEKFHCRAWVAATDSSLETRGRVMSILNDIHQQVVPRDTMDVDNNNLEVSLKEYLTDKSL >Dexi9B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:9B:6012257:6013674:1 gene:Dexi9B01G0009760 transcript:Dexi9B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHSLALAPALAMVMLSLSCCVSAARVVAAGGSAERHPVILIPGAGGNQLEARLTEDYKPSSLVCRVWPLVRGRGGWFRLWFDPSVLLAPLTRCFAERMMLHYDADADDYRNAPGVATRVSDFGSTSTLRYLNPSLKFLTGYMNTLASTLEKEAGYEEGHDLFGAPNDFRYGLAGPGHPSRVGSEYLHRLRLLVESACAANGGRPAILVAHSLGGLFALQLLARSPPQWRASHVARLVTLSAPWGGSVQEMLTFASGNTLGVPLVDASLIRDEQRTSESNLWLLPAPRVFGNTTLVTSRSHNTSYSAKNMTRFLRDIGFEEGVEPYRSRIRPLVEALPEPGVPVTCLVGTGVDTVESLVYGDGGFDEGPEEVVYGDGDGTVNLASLVGPIKAWADSPAQVVEVVELPGVSHSGILNDKSALRQIIRVVDSINLNATSYQTS >Dexi4A01G0023870.1:cds pep primary_assembly:Fonio_CM05836:4A:26820839:26823202:-1 gene:Dexi4A01G0023870 transcript:Dexi4A01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSFVLDALPNNDVGSRVVIINTLEDNGVALAVLSPSWLRVHRLEKDDSRSMFLRNACGNEQDHKNKHCGSTKWSKDQYNRDIDAAVNDMWDITKGLHLAILLLGRLLRRKEFPIQWTDVLMHLKNMNKRTSRLEGILALSFDDLPHYLKSCFLHFAMMPENSIQIARRLVRLWAAEGFLKPNKGESMEDIGDRYLKELVSRGMVRLVGNPSKGDISPLVTVHRRLHAMARFEAQEATFLDVYDKAHVPSTTSIRHLFLQNFRDAYVHHMGTSFPNLRSFVCEFADGDEGENKQNDEEPQHGAGGGINNNQSNHHKCLCLPWTSSGGINSDQCNHNHCFSFPWRSKGGINSDQCNNNHCLSLPRRSNGGINSDQRNHNHCLSLPWRSNGGINSDQCNHNHCLSIPWRSNGGINSDKHNHSHCHSLPRRSNGGINNDEHKHNHFLSILRRSKLIRVIDLKGLQVTKVPPDFGNFIHLRYLAIRSRGLKELPITIANLINLQTLDIRGCKVKKLTQKFWMISTLQYILADNLLLPKSVGKLKNMQGLIGMNCVHQWRNNITPLDNMVNLRHLHIFGLTPDHFRVLSEALVKLESLEYLNLGGSREASIPLILFTGFLLRRLQSLKLCGRIDMTGDKEDKRCTLPNLTRLELVDSMVNQGFINKIGKLPCLTELVLSEGSYGDAALSFSGGEFANLTNLILRGLSQVSEWNIRAKSSLPRVQQITVSGCTKMKLKIEGQHEALKKIVWEFTVIDMPDNWPWVEGSTGLDERLKRVIVRRKGPKRRGS >Dexi1A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:1A:23275543:23276728:-1 gene:Dexi1A01G0016260 transcript:Dexi1A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGNKQITAAALMLLAVVGMTDCIHLALAARQPGGFGVGEEAMKARYESWMAEHGRTYKDEAEKARRFQVFKENAEFIDRSNAVAGRKYHLATNEFTDMTHDEFMAKYTGFKPLPSGANKLHGFKYENFTLSSDDQQAVDWRQSGAVTGVKNQGGCGCCWAFSAVGAVEGINAITTGQLVSLSEQQLLDCDTNGNNGCSGGNMDRAFNYIINDAGGLATEDSYPYTGTQGTCQSFQPAVTINGYQGVPSGDEDALAAAVANQPVSVGVDGSTFQSYGGGIFPGDGCGTDITHAVTAVGYGVDQDGTQYWLLKNSWGTTWGEAGYMRLERGTGACGIAQDAWYPTASA >Dexi9A01G0041890.1:cds pep primary_assembly:Fonio_CM05836:9A:45479468:45480877:1 gene:Dexi9A01G0041890 transcript:Dexi9A01G0041890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISASFLKGGSPQSVVFFLGLYLMAIGAGGIKPCVSSFGADQFDDSIPAESLKKDSFFNWFFFATYIGSFVAGTVVVWVQDHYGWGFGLWLPTLFIALAIASFLLGSRKYRVQKPLGSPIVSVFQVIVASVRKWNVQLPQDDSLLHELPAKTTPMADGHKLQRTPVLRFLDKAAVISSTEDPSDSNPWRLCTVTQIEELKVIIGMLPIWATGIVYFSVLAQFSSTFLEQGRTMNKHLGAFPIPPASLASFDAVSVLIWVPVYDRALVPAARRLTGNARGLSELQRFGTGLFLSVLVMSAAAAVETRRLASAHAGGEGGTSPMSILWQIPQYFLVGASVVFACVGQIEFFYNEAPRSMRSLCSALALLTVALGSYVSSLVVTAVDWLTTRGGGVGWIAEDLDDGHLDRFFWLIAAMSALNLVVFVYCARRYKCKKVS >Dexi7B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:7B:19402308:19404747:-1 gene:Dexi7B01G0012630 transcript:Dexi7B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTISPLSKDCFLEESSSEMELVGLFPYWLDVNQGNAILNDVHMHSLFVLTGPNGGGKSSMLRSVCAAALLGICGLMVPSASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRALVSRATARSLVLIDEICRGTETAKGTCIAGSIIERLDTVGCLGIISTHLHGIFDLPLSLSNTEFKAMGTEVIDGCINPTWRLMDGICRESLAFQTARREGMPDLIIGRAEELYLIMSANNKQTTSMVHNEPSNVCTTVNGLVDKPDSIRNRLEVPRAFEPLRREVESTVTMICKKKLLDLYNKRSITDLVDVVCVAVGAREQPPPSTVGRSSIYVIIRSDSKLYVGQTDDLVGRLHAHRSKEGMQDATILYIVVPGKSVACQLETLLINQLPLKGFKLINKADGKHRNF >Dexi3A01G0024980.1:cds pep primary_assembly:Fonio_CM05836:3A:20598316:20598886:-1 gene:Dexi3A01G0024980 transcript:Dexi3A01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHELFLYNNSLSGPVPDNLLNKQGLTY >Dexi8A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:8A:895402:895699:1 gene:Dexi8A01G0001290 transcript:Dexi8A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIAFFTTASGLLVVYAYFLITSRDPTYQDFMERLFLSRQRKLYAAQKFDLERSTVGVPWKAITLTIPSFMACDATGTEVSPLLSEASQCTL >Dexi5A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:5A:8184594:8185307:1 gene:Dexi5A01G0010920 transcript:Dexi5A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVIKADLVGNACMRDIMAVVAKLQGIKSMTIDAEKCTLTVTGTVDPVCIVQRLRKKCFSAIILSVEDVKPPEPPKPPKDPCKEKCEKLCKDKCERIGCKECREKCEKACQERCERRCNAWLTGSSCSCGGCRPSSGWCHTSSYTYCWCGRGCGGGCRRPFGGC >Dexi5B01G0040100.1:cds pep primary_assembly:Fonio_CM05836:5B:38729045:38733079:1 gene:Dexi5B01G0040100 transcript:Dexi5B01G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPTPVPLPLSGSGEGSPPPDARHAPPPPPPPTGYPPRPGSAGYSASIAVWRAVHITDRVCRPVCNQQMSEIHDHKTTGEKKEDQKFGEIESLCTAENSDATQPKEKVKAKEELGGVDSWCTIERVTLKTKEKPKDVDNWRGRDDPQLITSKDNMLTLISPIRAPAARDSMFFEFQLKIMGSGDADEDLSKDVPLNEDLVLRIRFLGEGQHEPAQCFEVVVGYEVNGAVHDVDEHALEKGPYKLQVKVSWKGVKNMRVLDSLRRS >Dexi4A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:4A:11173522:11176456:-1 gene:Dexi4A01G0012530 transcript:Dexi4A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGVCGHRPPAAPAAGARQQDSAFPSEILKEFLFLGSYDNASRSELLKTIGVSHILNTVPLCQNLYRNSFTYHCLQEDKVLQFDNAIQFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRGWRLAQSYQWVKERRPQVQLSDGAQQQLIEYETKLFGSNVSMPAPSFAPADSFPSLGFGFPKPAGDIQVPAFTQQAPASIFERVSPNNFPSNFTFGAERTKEAKLPDSSNLGVINSSGGDSMMDSS >Dexi7A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:7A:8185010:8188663:1 gene:Dexi7A01G0002070 transcript:Dexi7A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKSGAALLAAPVTLWCPGSPEVSQDGSSVPFQTTFTMNVLDSHGTLEFIIIPTLNSPPLGILNLSSNPSNVTSPSSGSGFIVFKFETDISPSSLSISIITSKSWPSSTLNITTIPMNQETTKSFKVSIKYTGQHVEVYTDVEGRHLDKAIIEADLNLSDYVPQSAFLGFLLRSELHSILSWELKVNLPGDGQGINWKVTIPAVLGCISVTAIMNMFVAAFYFNSKYNKLKMEMELSETLRRLPGMPREFKHATMRKATDNFHESRRLGKGGFGAVYKGTLWSGKDAMTCVEVAVKKFTRNERRCYDDFLAEVDIINRLRHRNIVPLVGWCYEKGELLLIYEYMPNGSLDQHLYPKEQPGQILGWATRYGIVADIAAGLHYVHHEHEHMVLHRDIKSSNIMLDSTLHGRLGDFGLARIVGLDKNSYTDLGVAGTWGFIAPEYSVSHKATRKTDIYAFGVLILEIVTGRRAISVFQDTFQLLNDWVWRLHRDGRLLEAVDKKVVSSEEYDADGAIRLLLLGLACTNPNPLDRPSMAEVVQVVAKSVPAPDVPHVKPSFVWPPEDERIPHGFDDITELSDLDESHWEETSSSDALAVSAIIRRKARLSSIG >Dexi3A01G0028490.1:cds pep primary_assembly:Fonio_CM05836:3A:29263426:29270277:-1 gene:Dexi3A01G0028490 transcript:Dexi3A01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAASLFAPKSAAAAKPGRALPPLHVPRGILPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRCAHSRAPPPPPPLPHADDRSEAATSAAHPQLRLRRLAEEFRALPSDADRARRLLSLASALPPLPAPDRSPGNRVMGCVSRVWLAARSDGGGRMRFAADSDSELARGYCACLVAALDGATPEEVLAVDPADPALAPLGAGMAAARSRASTWHNVLVAMQKRARAAIAAREGRRLGEPFPSLVIARDGAVRAQGSYAEAQAMFLSPDESKISELVNILVEKKIGVVAHFYMDPEVQGILTAAKKQWPHIHISDSLVMADSAVKMAEEGCDYITVLGVDFMSENVRAILDQAGFNKVVVYRMSSEQIGCSLADAASSSEYTHFLREASGSYPSLHVIYINTSLETKAHAHELVPTITCTSSNVVPTILQAFAQIPDLDVWYGPDSYMGANIADLFQRMATMSDEEIAKIHRDHNRKSISSLLPRLHYYQVSFMCLWKFIRPRYLNSSLLIDGNCIVHDMFGHEVVEKIKEQYCDAFLTAHFEVPGEMFSLAMEAKPRGMGVVGSTQNILDFIKDHLKGALDRNVDERLQFVLGTESGMITSIVDSVRELFDLYSSSQDRANIEVEIVFPVSSDAVSKTSTNGSHHLDSSVASDIDNLTVVPGVSSGEGCSIHGGCASCPYMKMNTLGSLLEICHELPDKDNKLSLYEARRFNVKTPLGKSVAEVGCEPILHMRHFQVSHPIALYFSVLMPNNHYSLLIHHAIMMLATKRLSDKLVHQVVHGNGEEPS >Dexi3B01G0030730.1:cds pep primary_assembly:Fonio_CM05836:3B:30908567:30912863:-1 gene:Dexi3B01G0030730 transcript:Dexi3B01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPTGAPSERKPESVLQPSHSMAAKALAMHGRLLLPFLLLSVVSGAYNGASQPSIDRRSFPEGFVFGTASSAYQEDVNIMKDMGMDAYRFSISWTRILPRLQPFVTIFHWDSPQALEDKYKGFLSPKIMFLDPIIKGDYPLSMRKLVGNRLPKFSKEQSELMKGAFDFIGLNYYTAYFAENVPPSNSQNYSYNTDPRANLTGSRNGVPIGPTSASTWYFTFYIYPKGLHELLLYVKETYGNPTVYITENGVPEISNSSLTLQEVLKDHIRTRQGARFARPIIGDY >Dexi5B01G0036000.1:cds pep primary_assembly:Fonio_CM05836:5B:35867737:35868114:-1 gene:Dexi5B01G0036000 transcript:Dexi5B01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRGIGETAASGVKASRGPRPEQRWQQADDGLAQESRLLGATGHGRGAGSPVLLSGGGWQRRGEEGGSRISTN >Dexi7A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:7A:18755927:18757746:-1 gene:Dexi7A01G0007400 transcript:Dexi7A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKKAKELSVLCDANIGVIVASPHGKIYDLATNGNMQGLIERYRRTYSEMHGVSSEENKTQVRDWQYASSVAIQEEVLALTHEIDLFQKGLRYMHGENVINHMNLGELQALENNLEMWVNNIRSKKMQIMSKEIEVLRYKEGILQAANGILQERIMEQNGILNFSGTTMVPQPQAPFYLTMESNCYF >Dexi9B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:9B:5061459:5062256:1 gene:Dexi9B01G0008230 transcript:Dexi9B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACAVLLAPAGSSAAARRAWCGCGVAGAPDGAVAGVVGIEEKVKGSQSCEPMKPSAVGKRVQTGVICADAAGPP >Dexi5B01G0033710.1:cds pep primary_assembly:Fonio_CM05836:5B:34041336:34049890:1 gene:Dexi5B01G0033710 transcript:Dexi5B01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGEVWESAGVGGGARRRKGGAEAAGAGASSSFAEGMGEFVLKSMDARFSGSAGEDELFVSSRQAAFGHSKSTAASSGTLKGQDHAFVRSYSDRLLKCDLTLDMLSENEKIKIFEKLVKFQNDGTVEVDVTRSALVTSELSEIDAFGYVPRDIGEVMPGVTKSVICILLRRLEYLCISSSLFHGRKSVVLNLPEFYPCEDRPTDEFPHPLARMPQSATYRLSYLILDLIIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPQLMPKPKDWGPLVDVVGYCFLNLGTKYEPPLELSQWLQQGPKPIYIGFGSMVCILCNSNFNPLDDEKKVTSTILDALRETGQRGIISRGWGALGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLIAGCPTTVVPFFGDQFFWAERVHARGVGPAPIPIAALTVEALSNAIRFMLDPEVKSRAMELAIAIGNEDGVAAAVDAFHRHLPSELPLASPAPMWFTF >Dexi9A01G0028430.1:cds pep primary_assembly:Fonio_CM05836:9A:33111068:33115204:1 gene:Dexi9A01G0028430 transcript:Dexi9A01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVISMEGIEARARELGVDLSAVDLDSITLPAGEDFGILSDDEEVLRNEDDPELEMGLSNVIVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMLDDFEKYMKVPDTWTPAEIKPYTPGENLLKWLTDEKARDQFVIRAGTLTEVYWNDARKLAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGENGFERLMRFAHPMVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGNADEFTTGGNIGVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETDTFSLLDKKSLKVENVVDFSWSPTDPIISLFVPEMGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAIIHGDGPRPDISFYTMRTANNTSRVSKLTTLKAKQANALFWSPAGRFIVLAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFIWRPRPPSLLTPEKEEEISKNLKKYSKKYEQEDQDAFNQLSEQERKRRTQLQEEWDSWVAKWKQYHEQERAYRRELRDGEASDEEEEYEAKEVEVEEVVEVTEEVLAFDLDQE >Dexi7A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:7A:20860208:20860420:1 gene:Dexi7A01G0009920 transcript:Dexi7A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSRGAGGWPRRRPRRSPAVGAELRRPALSLLRPTGQRAIRRSPWQGECSRAAAREGRALGPGRGGD >Dexi9A01G0029140.1:cds pep primary_assembly:Fonio_CM05836:9A:33924048:33925783:1 gene:Dexi9A01G0029140 transcript:Dexi9A01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAEAGGSLLVPNVQALAQNYNISEEQLINHGVPDEVISNFSNDMTEFFKQPLEAKKVYSMEPGNLEGYGQHFVVSENQKLDWADLFYLILCPKDSRNMRFWPNSPASFRESIDMYSTEAAKIVSCLLRFLAMDMGVEPEYLLERFRGQPQSMRMTYYPPCKQAEKVVGLSPHTDATGLTLLLQANDVQGLQIRKDGKWVAVNALNGAFIVNVGDILEILSNGRYKSIEHRVVVHPTKERLSAAVFHQPCKDATVGPLPELVKKDGESQYSSSGYMDFITGFFAAKLDGRNYLESLKS >Dexi6B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:6B:26044400:26049310:-1 gene:Dexi6B01G0019260 transcript:Dexi6B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAARKEWRAVPDAPLRSNGAEDAAEHGKLGQPEDRAIYEEGAGGMDGFCAITIDGTGGLSEDILQQRLQSVVRQREELQQVEIDLRAQAIAHPQIIQVQQTYQAAVKEKAAAAAKLKDQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLLREQTKELATVRRERDNSEAERAQHLKQIHDLQEHLREKESQFIALEEQHRVAQENILYKDEQLREAHAWVSQVREMDALQSQSLQVELRERMEQFNQYWISSQQQYAEMQRGFLHTIQQLQLELTEARERSGAQKDVPQVSREGSAESSFVQSIANSVASNGTAAADGNQQLKNNGIVDVSVKGNSASAVPVPSSLLGIGGYAPSAHITGLHSYMIHQGIPQPLASPNSGVPQFGSFQSQSAAQPNLHWANQQEVQTVSQPQDETTYQPSQSDQAALQPDVINPDELSSKPSQASQPDNLNAHGKQQQNPASVPAESTHELAKTSQVVESNAAEHVVYEEQQKAFKEQDPPSIVNIRAGMVEHQEQNTEPKDEIAVSDKQSEPVPRQQHIPSNFSASTTQNHFKNNVAEFNPNVVNQVDTVKSAVGGFGSQLPRMPKEPALLDEISLLACILPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDLIHLCEGAQQLISASTAAAKIAAAKSSAPHSSLLPSVAVTPVAQSTRQKRGPAVDSRSSNAIPSGNGLDQFNIIQGVSDVTISGKVRNTQDNGFSDEVRSGQPSMHTAAVNGVRHDKSASNIRHGYGGKQQGRYVYWCRVHFQKMKRLSL >Dexi8A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:8A:550853:551110:1 gene:Dexi8A01G0000830 transcript:Dexi8A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSRCRLCFLLLLLLLVFTRPSACRPLNGNIIVILQQLPHQDAAAPSSSSMSIIQQQQQYQWVLNSKPRGKPPPSAPSKRTN >Dexi8A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:8A:1240202:1244517:-1 gene:Dexi8A01G0001820 transcript:Dexi8A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADALSDDRAKEAYGDVLGMVFSPIPFQPGTLPPIRDPPAAEQPEPMERVPAVSVTSTIPGFFKRMIFPPQEVSWVTVSELLYSIRLFYQPNLLQEFDTQKVSWNPHKHCLAFVSGKNQVMIHDFEDSDAKEPCILTSDHVKAIEWRPNSGKMIAVACKGGIFLWSASYPGNVPFMKAGVTSISAFPRGSGGLGTPIRRGLSNISLVRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKQPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPGEGAKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSH >Dexi1A01G0028430.1:cds pep primary_assembly:Fonio_CM05836:1A:34066256:34066899:1 gene:Dexi1A01G0028430 transcript:Dexi1A01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCLSTRSAKANLSLSLPKYPKSRRKSTDESPNISIRRSEAGRRRLSWSCFVAGASQSPSEPRTTVRAPAASRPSRRRSRSRSSAWSAAAPQASALWRRAGASAVRSHGRKSSATAKSTERASTRMARGPGSPITTLSPGIVGARDDEEKAGAEGGRSLAGAEAGGGVVATHEGEAGGRRAEREEDQLPDPLGLHGGGSARQG >Dexi1A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:1A:3441480:3441783:-1 gene:Dexi1A01G0004720 transcript:Dexi1A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLFLRAVARLAACVAARPPAATAATVLYHAGALPRDPTLERLVCDDMLDAGDDCILRLVVVGVMRSLG >Dexi8B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:8B:2896514:2897944:1 gene:Dexi8B01G0003700 transcript:Dexi8B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVFALLAVGGSSLAVAIPCLLVAFLCLRSSNNLKPSTHHRCCSSEPTLPISTSTPESSSWSFYATDMDTSLEKLSLADLTAATGGFSPNNIIGDGSFGFVYRAVLPSGAAVAVKRLSGDGAIGAGNREFRAELEVLGNLSHPNLTRLLGYCAAGHDRILVYELMERGSLDTWLHGDAEGGGEKLDWPARLRVARGAAAALEFLHHGRRLPVLHRDVKSSNVLLGEGFEAKLADFGLARIVKESPAKSHVSTQAAGTAGYVAPEIWLGVGATAKADVYSFGVLVMEIVTGHRPSWPVKAKIGEEEVDMVDWAREKIATDKASEILDQRIGIGEQAKEMDEAKGLLEIAWQCTDSAHKNRPTMEEVVAMLNKI >Dexi6A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:6A:12789828:12790550:-1 gene:Dexi6A01G0010360 transcript:Dexi6A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSPTGEEAPRAAASQPPGAGGRKRPAGLVPVRVWSEADEVRILEGLAAYAAEHGAPPARSQLHAALEGRRLDKAKFTVTEIYEKVRRLRAKYCNLRAAGGPPEPDGGGGDGGGERRKYELSAAIWGDQPANVAKKQGGTGVDAGQPKARAISTGVRRGFEELQGLFPFLAMEVGKIADDEELAPVLKRAFEFIDDQEAGRLDAKAKRQKLEEARMATKVTALRNEVLKTLISFSGET >Dexi9B01G0041170.1:cds pep primary_assembly:Fonio_CM05836:9B:41624827:41627370:1 gene:Dexi9B01G0041170 transcript:Dexi9B01G0041170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFGSSAPSDDTSDDSSGSSSPPSDTSSSSPPPPPSSPSTSTSSVPPPNNSSGSGSPPSPTQSQSPPSPSLSPPTTTATPQNGSALPPPAAPSRATPSPPGAKRGAGESDKSGHAKSGSSSSSSSNGSPPVAAIVAGVVIGVLAFGLLMCIAACVCCAKKKKKKPPHMTMPYYTDEHGNVFYANSMPKWQNSSAMTDHGGGWHAPFSPASGDTSGSHGPGQNPSPGMPSLGFSKSSFTYEELASATGGFASANVLGQGGFGYVYRGVLPGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVTLVGYCISGSSQRLLVYEFVPNNTLEHHLHGKGVPVMNWPTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGKRPVDPSNYMEDSLVDWVSYLASLSTGGCKLSHARSSPVRDGDAQARPLLARALSEEGNFDELLDPRLENRVDRLELERMCASAAAAVRHSAKRRPKMKQVAFESSEYSNDYSAASESGETAAPTRRQQHH >Dexi5A01G0040020.1:cds pep primary_assembly:Fonio_CM05836:5A:40335441:40338048:-1 gene:Dexi5A01G0040020 transcript:Dexi5A01G0040020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRRRPRRGSKGAPNSDLFRTLTDCTRRGDAAAAMAAFDAAVSDPDAPPRLAAHQYNQLLHLLATADRSSVPSPAAAARRVFSHMLQAGAPPSEATITSLARVTAADDPDEAFHLVATMKDKHGLAPRLRSYSPVLAAFRRTGDAAKAYAVEAHMAASGVPPEEPELAALLDVSSAAGDADKVYEYMHKLRQTVGCVTEETAQLVEGWFRTDQAAMTGKPQWDAAQVKDAIVLNGGGCHQLGWLGAGPWTVQRVRAEADGQCGGCKCRLSCVDIDMEETQRFADSVAGLALERETKANFSQFQEWLEANKGYEAIVDAANIALYQQNFAEGGFSLTQVKYTFNKGKAVLLMPPPYSSEIQESEMGSWHIPMEEKSGDERIRIWLCISRTGSCKEPDEVTATNGVVQEAPPTEAYNGVQQGLPENKAESITGGKRKDRD >Dexi9B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:9B:2431255:2433073:-1 gene:Dexi9B01G0004240 transcript:Dexi9B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSCALAAAHSHAMEPLSLHLLPHSGRHFPPFPAAASPLRSALPKRTSATVAPPPGRRRRRCAQLLMSAAATETPPQSAEDAPSPSPPAGEDPSAFDWLDQWYPFAPVCDLDPGAPHGKTVLGFSVVAWYGRAAGDGDGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHKNDKACVASYPCVVQNKILRFYPRAEAEYRDVLQRKRPPYIKEIDDPSFVTAFGIRDLFYGRELFCQSCNGGARYFFTVEQDKEGGGPIKLKIEEASMDGFLSSWERGHWKFVAPCTFYSSGTSMLTKEQQGKKKAPRFMLVVFCVPVGPGRSRLIWAFPRNFAVWLDMIIPRWFYHINQNSVLDSDAYILHVEVSQKQEKCLNERKFAASGLQNWQNVCYVPASSDTMVVAFRNWFRKYCKNRVGWATPHPDQLPPTPTKDAVLER >Dexi4A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:4A:18588405:18601122:1 gene:Dexi4A01G0015540 transcript:Dexi4A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGPGDQGEASQPQPLDWRFAQVFGERAAGEDVQEVDVISAIEFDKSGDHLATGDKGGRVVLFERTDARDSASRRELERQDVPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANSALSLLSTNDKTIKYWKVQEKKIKRVSVMNLNTSQSVGSSTSASASTSSSKAPLPNGGCSDKFNCLNTDLSFPPGGYPSLCLPVYGAAFRIQQSLEFTVDSKYFINDEIYGILLILFNCLLIHSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPNHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKNWPLTLLAILLFHTQLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSIEATTLEASRNPMRWRKSRR >Dexi8A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:8A:8819890:8820381:1 gene:Dexi8A01G0007770 transcript:Dexi8A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLSSPNPPPDPEPPPAATPRSGDAAGSYPQIQTCRRQLPSDLDPFSPRLPWCARARPLHAAASTAPRPRQSFSHRQLGRAAPSRDEVEARMQRRGWCMTWWRYGGGGAHAEEGEGEKHGGTMVEEKHMRMRERERKVEASWWRRSVCGGRGGSVVEERMQ >Dexi9A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:9A:408854:409926:-1 gene:Dexi9A01G0000750 transcript:Dexi9A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLSGTHRQGGGAQQRRGGSPPPRGRATSIYRSGYYRPGVVQDDMAVPPATYLGTGASTPAWGPRASEGAEAREWVAQVEPGVQITFVSVPGGGGGNDLKRIRFSRDMYDKWQAQKWWGDNNERIMELYNVRRFSRQVLPAGAGDDADRESFYSQSQVGSPSATPSPAPLTPDRISWPAFAAARQPPPPPGAARQHSFRPMSPPPPSCSNPSERAWQHHQQRQQNGAGGGKSPAASEAAATETARTTTSSRDDVSISNASEMEVTEWIIQDEPGVYITVRELADGSRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >Dexi1B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:1B:21355116:21355556:1 gene:Dexi1B01G0014930 transcript:Dexi1B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGLDLKLHLSPPAPARGGAARASSDEEWSSSSSSSPSSCLSSEGEREPPHRLHHGLQWSDSPEATSMVLAACPRCLMYVMLSEADPRCPRCRSPVLLDFLHHAGVGNTGNVVVGGGGGGGREGGVHGRDSSTPRGGGRRNRRA >Dexi7A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:7A:22522344:22523550:-1 gene:Dexi7A01G0012270 transcript:Dexi7A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATNNKQPRKAERGAARTDGNTGDDIPKATVDTVRISDDVGNNHKAARDMKATDIAAGNKVGAVYHGMSPVEAKDSQTIVALQSPVTVMRPVRGDLEEHVPKPYLARALAAPDIYHPDGTTGGHRHHHMSVMQQHVAFFDRDDNGIIYPWETYQGTDMAAASPPQLLQGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFVPVNFENMFSKYARTFPDRLTYRELWSMTEGFREVFDFYGW >Dexi6B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:6B:13848945:13854643:-1 gene:Dexi6B01G0009460 transcript:Dexi6B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRRRFPSLPFSAGRRRRLPSLPCSAERRHCRRFPLFPAPPDAAVASPLFPAAAAAMRPPCGRPARRDGASPRPTPSSTRLAWRQLAPETRPRRVRCVTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVASVSAALHARFREALAAGRLSKVEVIHNCSILAAVGLRMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIVGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMLLSDIGIDLTQWKEKLQTEAEPANLDKFIHHLSENPFFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLERYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFRSVGTLSYIFNNFEGARTFSNVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPEALRSCTSADEYMQKLPSFDQDWARERNDAEAAGEVLRYVGVVDVVNKKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >Dexi1A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:1A:23791493:23792651:-1 gene:Dexi1A01G0016540 transcript:Dexi1A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPTSPPPPPATDAAAPGLPDLSIPYDLATRGQRSYPTSPTRPTPRTRTTASSSPRSPPSPSPSSAASPTPRRCSPPCTRXXXXXXXXXXXXXXXXXXXXXXXXHRLLLSALSALSLAKLRRFTDAAALLASLHPDPACPPPPFLIRLLHAILPLFLPDRPLALDRLFTLLSSVRARSDAGHPEWRRRDALVASLLAADHLAHREFDVALALLADIAAREPGDPVLLSRLAYAHLQIGNLAAASAAFRHVESVAAAGEDPARHANLLARNRALEFIVAKDYAAAVREYENCIEADPADAVALNNKALCLMYSRDLGDAIKVLEGALERVPTAALNETVVVNLCSMYELAFVNHGEVKRSLAEWIARVAPDDFDTSCTRM >Dexi2B01G0030430.1:cds pep primary_assembly:Fonio_CM05836:2B:38731065:38732544:1 gene:Dexi2B01G0030430 transcript:Dexi2B01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPQGYPPAGYPPPQQGYPPQGYPQQGYPPPYAQPPPQQQSSGPSFMEGCQIRSTRASPASPAVAAVLVAPWLPSAAAASWTPASESTSTTAGGTTSVEQMA >Dexi8A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:8A:290324:291824:1 gene:Dexi8A01G0000400 transcript:Dexi8A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLEGVDTSAILAEASKTVANVIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPNVNKKLSAGIASILESKLSISKSRFYLKFHDSKASSYFSLLTRHTIHMGPF >Dexi9B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:9B:8622054:8623093:1 gene:Dexi9B01G0012800 transcript:Dexi9B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEERHSGVGEEACAGAGRQRLRNLDQHLLATLASAAGHIDIAFAHSSKAPPQPRSSMCSPLSAPSTPTFTSATASTQHHVLRPDQGRELATFYTDHGVKSFYKEEGETLPWMDGVLLWGQQVEFFKDKFCSPV >Dexi9B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:9B:6162102:6165961:1 gene:Dexi9B01G0009970 transcript:Dexi9B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGNPDDAARPPPGPSSFPSSSKVSVQVYTVPSSTSGGVGARAHHAAAPSPHASGRSGHHGGRAGDLDPVKARIVGCPPEAAEEIAAAAREREAWQRAAAGDDAHAPRPEDPELDQFMVESYCDLLVAWKEELSRPLREAKEFLTTVESQLNSITSTGPHLGALVSAGDKTRLDDLSDNYQDEEGSGMESEEALGIDPCSDDKELKTRLLKKYKGCLGGLRKELCKKRKKGKLPKEARQKLLSWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPSEEMQFAVMDGYHSVPPNAALYVDARVLGATPAMLYARADHGAHGLWHD >DexiUA01G0024470.1:cds pep primary_assembly:Fonio_CM05836:UA:50967125:50977835:-1 gene:DexiUA01G0024470 transcript:DexiUA01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVAVSAPTRFLVLNAAELDVDRASILFQARIGGPTRARGGLVPTDVAQFEEDEILVLGFDRELSVGEGLLTMDFTGTLNDEMRGFYRSKYVYNGESRNMAVTQFEAADARRCFPCWDDPAVKAKFKLTLEVPSDLLALSNMPVVKETVNGPIKTVYYEESPLMSTYLVAIVVGLFDYIESSTSEGTKVRVYTQVGKTSHGKFALDVAMKSLDLYKEITNGNFLPSYFATPYPLPKLDMIAIPDFATGAMENYGLVTYRDTALLYDELLSSASSKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVDSFFPEWNNWTQFLDEMTSGLKLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGTEPFQG >Dexi5A01G0036880.1:cds pep primary_assembly:Fonio_CM05836:5A:38287029:38289950:1 gene:Dexi5A01G0036880 transcript:Dexi5A01G0036880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAVVGPAVTAPSFRTAMPCRPWPRKLHSWRRAARPNDEDYYLIDAEESIGDGFSFSGGKYGEGPNKSDEWFAQGKMVNAYPVYGDKGKAKDPFFGLTMGSGSQPSDDVFRWFCVEAGSSSNPKVLLIHGLPSQAYSYRSVLPILSDKYHAIAFDWLEYTASLESLVNAVAPDKLSIVVQGYFAPVAVKYASEHQDKLNHLVLVNPPITDKHVKLPSSLASFSNFLMGEIFSQDPLRASDKVLTSCGPYMMKEEDAMVYRRPYLVSGSSGFALNAISKAMKKDLKAGHHVQEDRGEE >Dexi1B01G0027560.1:cds pep primary_assembly:Fonio_CM05836:1B:32256942:32258906:-1 gene:Dexi1B01G0027560 transcript:Dexi1B01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHSLLLLVAALLAAAALFLICPAEAGVVGVNYGRVANNLPNPAAVVQLLKQQGVKLYDADPTVLRALANTGIKVVVALPNEQLAAAASRASYALQWVRRNVAAYYPATQIHGIAVGNEVFATAKNATAQLVPAMANVHAALARLNMDKDVKVSSPVALTALATSYPSSAGVFREDLAMPVMKPMLDFLAQTGSYLMVNAYPFFAYSGNAGDISLDYALFRPNAGVQDAGNGLKYYSLLDAQLDAVFAAVNRLGGNYNGVRVVVSETGWPSKGDADEVGASPANAAAYNGNLARRVLSGNAGTPLRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGRGGNAAAGGGGQGNNGGLGWQENGGPSSGGGSTTSGNPSSGVKVTTGEAWCVANAMVGEQRLLAALNYACGEGGADCKAIQPGAACYEPNTMVAHASYAFNDYYQRKGRSIGTCDFAGAAYVVNQAPIRPKA >Dexi7A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:7A:18275607:18276729:-1 gene:Dexi7A01G0006910 transcript:Dexi7A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLHGGREFVAHLGASSPLADLLRAAPNLPAARAAHARVLKSPFAGETFLLNTLVSTYARLGRLGDSRRVFDGIPSPNTFSYNALLSAYARLGRPDEARTLFDAIPDPDQCSYNAVVAALARHGRGHAGDALRFLAAMHADDFVLNAYSFASALSACAAEKDPRTGEQVHGLVAKSPLADDVHIGSALVDMYAKCERPEDARRVFDTMPERNVVSWNSLITCYEQNGPVDEALVLFVEMMDAGFIPDEVTLASVVSACAGLATEREGRQVHARVVKCNRFREDMVLNNALVDMYAKCGRTWEARSVVSETSMLTGYAKSANVEGAQIVFSQMIEKNHMHRMVRRKKLLGSSSG >Dexi6B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:6B:25222736:25231494:-1 gene:Dexi6B01G0018170 transcript:Dexi6B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAPPKGELEENPRKSKSPRLDLLASVASDLIELDAAETSGNNRCDHVLTDGDRRRLGVSLLSKKKAGTCAGCRREDAEGPRKHRPEESSILMCVECNRHLCCGVGAIEYPFGHSRAHAMKKHHWVDVLYDDAERGYCFNCNAEVEMPVEFEVDGHVIGIDVIREVVRWLPDTQDRLRARMIVLRTHPQFLGVMEHTWPMLEGTRNKNMCNHIPTDSALKEILSSLMLSDKARKCDNRGCKSNNSHEFDQDPLQTEKNKVSSFEFPGRIIDVPMNITNKEPEFDEGIFPTEKQTDLLRTEHSEDVSKQIQIYQDMMKQLEPYSTACQLKDGKNEQKHEDADGIQTYLFNKLPPVLTLHLKRAGTDHNCGLKNCVPVRFKEYLDVGRFMDPSSVDKVNSLYRLAGVVEHSGTESLKTGHYVAYVRAKRLGNQQQQSSCSSSWPVMGDTRPRAWDAGESSRDAKTPRLDLLAATALGVDDSGWEQWTEVTGNSDQCSHVPTDNAHKEILGSSLLSDDAGECADCQRGEEPGKCRSVNSPILVCLECGRQSCVDSDNYVPFGHAQDHAKKEQHWVAAMFADPQAGFCFRCGFEVPVYPEQEEMSGEIQAGGGAFGSDGYPDLVSGLLNFGDTWYGHEFRSANVQGYAIRGIRNRENTCYVNAILQCLLMLDKLRGRMLGSDAPLGQLGLALKELFVEASAADAVGSMLDADKFLRSIRVYADKYQAYKMHDSYELLESFCNALHNEENEIETPNRKRGDPTVIDSIFRGELSYTRSCVDCGSSSVVHEHFCELSLPLTAAERSSRSSAVPETSGSLKSQPKNIATQLIPANEKSTSEKIQAVPESGDSHILCSEMKDDVVEETPEPLEVDFSEAQRIWQGKDVTQDPLLTREDKVSCSELIQGIIGAPPKSVSFVPHKLSDVEVEQVIEMTTDYHSPEDTGPPPLVSLLGENGAPMASGSYVDQNNNANPDDLRNQLEVSKQAQENIYIERLTAEDKGNAQSRDVLYDKEVGISDSVPSIEDCLSLFFKEQVVERNCDDCPKVLELSISQSENREQMAASTTNNSACQTEQSVEPNRLSAECKSSGRQPDDSDAKSEIIITAEGTNSRMSYGDIEIECCEGIHQAASSCLPAEKQTNLLSAQQSQNLSTPDQDSMKQVGLDLSACQLGDNQNGEKERSGCSIEKPRITKLPPVLTLHLKRYINDGNVHHKSDAHVSYKEYLDVGQFMDT >Dexi3A01G0022140.1:cds pep primary_assembly:Fonio_CM05836:3A:17708937:17713057:1 gene:Dexi3A01G0022140 transcript:Dexi3A01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAGAGVGAGVLVVARAAAASTMYIAREASKVWRKVTTEISVEIQLLREKWGLLLAGLIFQELGQEKGYLSESIFSSIFISFVLVFCDSVSQSSIPCLSGLISQYDYLSLQWTFHPFIYHSKRFYTVLIWRRVLAFLVGSNLATLPPPKSVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYQKYGSKRFIKFLAWFMAVIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTKEKDIWLKDEKDSKLKDEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHALSEATANGT >DexiUA01G0022610.1:cds pep primary_assembly:Fonio_CM05836:UA:45899267:45909338:1 gene:DexiUA01G0022610 transcript:DexiUA01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRSRSPRAPADAEDDKKRATGWRGSGVRPEMVLLGFLLTLPLLFLVFGGRWGSSSFPSSTPAVSRHVAAGDRGATPQKQKPEHVTPKKNVSASTGTSLSQDKLLGGLLSAGFDESSCQSRYKSNLYRKPSPFPLSPYLAQKLRKYEAYHKKCGPGTKRYQRAIKQLKSGRNSDDSECQYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLTHVAPEQEGLFCEPFPGSSWVLPGDFPENNPHKLHIGAPESYANMLKNNVIRHVDDPSSVQASSLPAYVYLHVEQFQLKLSDNVFCDEDQVMLRKFNWMILKSDSYFAPALFLTPMFEEELAKMFPQKEAVFHHLGRYLFHPTNRVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQVMRCIREQHLLPELGNAETATNTTAAEAGKVKAVLIASLYSGYYEKIRGMYYESPTKSGEIVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWNKEVSEVACNTSS >Dexi1A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:1A:2053180:2058006:1 gene:Dexi1A01G0002870 transcript:Dexi1A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKSHSRTWAGVVPPLVLWCCACIVAAAAMAAAAGGGGEHRYGGARYKDPMQPLNTRIDDLLRRMTLAEKIGQMSQIERENATANVMRDYFIGSVLSGGGSVPSPNAAPAEWVQMVNEIQRGALSSRLGIPMLYGIDAVHGHNNVCRDPRWGRCYESFSEDHKLVQQMASIISGFQGEIPANGRLGAPFVSGQRNVAACAKHYVGDGGTTNGINENNTVATFHELLSIHMPPYYNAIIRGVSTIMVSFSSLNGVKMHANRPFVTDFLKTKLRFRGFVVSDYEGIDRLTTPQHADYVLSVKLGILAGIDMVMIPYRYTEFIDDLTLLVNNGTIPMSRIDDAVRRILRVKFTMGLFEHPYADDTLATELGKQEHRDLARDAVRKSLVLLKNGKPGENPLLPLPKKATTGSILVAGSHADDLGSQCGGWTITWQGLTGNNLTAGITILYGIEHTVFPGTDVVYSENPDASFIQQNKGKFDYAIVVVGEPPYAETFGDNLNLTIPAPGPAIIENVCGSIKCVVVLISGRPLVVEPYMETIDALVAAWLPGTEGMGVADVLFGDYGFTGKLSRTWFRSVDQLPMNVGDAHYDPLFPFGFGLETQPSSAA >Dexi3A01G0022200.1:cds pep primary_assembly:Fonio_CM05836:3A:17773471:17774864:-1 gene:Dexi3A01G0022200 transcript:Dexi3A01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQTEDAIVAGIAGGHGTEKEARAMGVSGDEQRRDGGFNMKALLWHGGSVWDAWFNCASNQVAQVLLTLPYSFSQLGMVSGVLVQILYGLVGSWTAYLISVLYVEYRTRKEREGWFEVLDALLGPYWKAAGLVCNCTFLFFAAVIQLIACASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVCSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPNKPVLYFTGATNILYTFGGHALTV >Dexi4A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:4A:3602563:3605397:1 gene:Dexi4A01G0005120 transcript:Dexi4A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKPPAVSLEGNPSSHHPAMASAAAAAAAEWEAAERKVLVARKPCFGLPTACPTSLPVLLYLRLAEVPFDIHVDTSFPDADHIPYVEFGDCVAFNNERGGVIEYLKEEKIVDLNSKHPSVSPADVVSTKAMVSTWLMDALQYELWVVTDGSIAHDIYFSDLPWPIGKTLHWKKARDVKQLLGITKLNAAEKEEEIYQKARAAYGALSLILGDQIFLFDNSPTDADALFLGHALFVLSALPDASVLRGTLQIYENLVNFVEHHKVRLLGDSSSPGLGSSTSPSSSTPRKRASAGQSYKPKPRAKKERTVEEKKFRQRSKYFLAAQLVAVLVFLSLMGVDSSELDDDDGIDYED >DexiUA01G0002380.1:cds pep primary_assembly:Fonio_CM05836:UA:5348716:5349726:1 gene:DexiUA01G0002380 transcript:DexiUA01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLALKMQLKILNKPYVKSFKDEYGVIFDCVDMYKQPALDHPLLKNHTLQISPNSSLKSTMADPLTSGVGLREICPTGTVPIRRTLKQDLTGASMPLSRFQPDEESSGVPGQHFAMLLIDSEKGSKFQAAGAVVEVDILAVPSGQRSSAK >Dexi2B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:2B:23672000:23673160:-1 gene:Dexi2B01G0014160 transcript:Dexi2B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGTRRNKSKPRAKQRRQLPSLPTSVHDLPDELLDRVLLGLGSPLDLVRAAATCRRWRRAIADESFLGRSHDLHGAPCVAAPEHVTGVLRWPPKKPATFVPSSPAVADASRLFSLDFLYVPPAEADRPRRTYYYYSSTRHNRVRHNRCREIIDSRGSLLLLTNEPWREHIDRRRWSPDFIVCEPVSQRYPGILRPVDLSHLPLLGAFLFDGGGRGRRHHVKLQGPLRVLRARQAALPIWHATGVATVGDVEVPLMETIHLAGRSGGRVYWGIEDGTVLVLDESTLKFSVLTFPAQMQGTYRRTSFRVIGGAVSGEDGVRVVRVHGEDLEVFGKLPDSGEWVMEKSVGLRDAMARLLPGWD >Dexi7A01G0022430.1:cds pep primary_assembly:Fonio_CM05836:7A:30704795:30705435:-1 gene:Dexi7A01G0022430 transcript:Dexi7A01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIVGIAHVEDLESIKDASKRAECERAALNCAKTILKGRRQFETIEQVIEHIQLFDRD >Dexi5A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:5A:19405532:19410467:1 gene:Dexi5A01G0016750 transcript:Dexi5A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLLLVLAAAAPCGATTVAYNDRAVVIDGQRRIILSGSIHYPRSTPEMWPDLIRKAKEGGLNTIETYYNFEGNYDIVRYFKEIQNAGMHAILRIGPYICGEWNYGGLPAWLRDIPGVQFRLHNEPFEIDSPKQVESLLMMILFYTQWQREMETFTTLIVNKMKDAKMFAGQGGPVILAQIENEYGNIMGKLNNNQSASQYIHWCADMANKQKVGVPWIMCQQDDDVPHNVSPVEILNYIIGFKAWDKPDFHRSAEDIAFAVAMFFQKRGSVQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKYGHLKDLHNVTKYTYGGSSVCFINNQFDDRDVNVTLGVTHLVPAWSVSILPDCKTVAYNTAKIKTQTSVMVRKANTVEKEPETLQWSWMPENLRPFMTDDRGSFRQAQLLEQIATSTDQSDYLWYRTSLEHKGEGNYKLYVNTTGHEIYAFVNGNLVGKNHSANGAFVFQLETPVKLQSGKNYISHLSGTVGLKNYGPLFELMPAGIAGGPVKLMGTNGTSIDLTNSTWSYKATPSQQSRPQMAEPQWPFTWYKTTFAAPAGEEAVVVDLLGLNKGAAWVNGHSLGRYWPSYTAADMDVCHVCDYRGKYKAEGDGIRCLTGCGEPSQRFYHVPRAFLRSGEPNTLVLFEEAGGDPARAAFHTVAVGHACVAGAEVGDDVTLSESCTVKHAAAFAGTGCESGKLTVQATC >Dexi4B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:4B:901450:903170:1 gene:Dexi4B01G0001510 transcript:Dexi4B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKAMAWVLAPLLLLVFLSGSSSALVHSGADDHGDDYTIVAMSSLRPEATCWGHRVIPPYNGSWVPLSRPLGPCSPSGAAPVASLDELLRQDQLRVDDILKKLSGDVRDNKDDGDKPPVDLEETQYNYDPTIKTKVGTQTPPPSDSTQGLSIDPEAGSAAASSSSPRWSNLPGVEQTVVLDTASDVPWVQCSPCPVPPCHPQTDTLYDPTRSPTYSAFRCNAPTCTRLGPYANGCLNGQCQYRVVYPDGSSSSGTYSSDRLTLDPTNSIANFQFGCSHAVRGTFNRRTAGIMALGGGPESLISQASSTYGDAFSYCLPPTASYHGFFVLGAPRVASSRYVLTPLLRYRNVPTFYRVLLRAITVAGRRIDVAPSAFAAGAVMTSRTIVTRLPPTAYVALRAAFRNSMGMYRLAKPKGGLDTCYDFTGVGKVRLPRIELVFDGDAVVELDPSGVLFNDCLAFVPNRDDAMPGIIGNLQQQTIEVLYDVGGGAVGFRRNAC >Dexi1A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:1A:27556559:27562411:-1 gene:Dexi1A01G0020740 transcript:Dexi1A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGHLPEPGKQEVATTAAGAGLNDLVDPQFMCCVCLDLLYKPIVISCGHMSCFWCVHKAMHTYQSQCAVCRQPYKHFPSICQLMHHLLLKLEPVDYNRREKEVLEEEKHMQTYSPQIIEFLNSKNSGTSSAKGSSRAHHEDLSDVHVGIGCDSCGAYPIRGKRYKCRDCTEVIGFDLCGECYNSSLKLPGRFNQQHTPDHRMELEESTLYQRLQEEMMMIEAAAPPGALLQIVLGNQGIVANAEGPGEAAIEEAVDVPGDMLHIIIDDEEIEDNDEEDQEV >Dexi6A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:6A:28706688:28708758:1 gene:Dexi6A01G0021460 transcript:Dexi6A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTLSPPTPRVQDPPKLPKAVAAAAMAAQTFLLAPPAALFAAPSSSARPFHSLRLVAGHGSAAAARALVVADATKKAVAVLKGTSEVEGVVTLTQEDDGPTTVNVRITGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNVVANAEGVAEATIVDSQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >Dexi4B01G0021240.1:cds pep primary_assembly:Fonio_CM05836:4B:23261480:23263433:1 gene:Dexi4B01G0021240 transcript:Dexi4B01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYLRSSSSFKRLLLSISHRSPPTTPSPTHDAAAVAGEEEEEAPPESPPLKKPTWRCFSYDEIERATGGFRETNLVGRGGSSEVYRGELPDGRAVAVKRLMGSSACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFDFSHRGSVAANLHDEGSPAMGWAARRGIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDLQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELITGRKPVDGSHRSLLSWARPLLADGKIDALVDPRLGESYDGEEARRVAFVAALCIRAPATWRPSMTEVLELLEGGEIRQDRWAMPEADVVEEPWWFDDLEDEDEEEEFNTPSPSSSSSTTSN >Dexi5A01G0028590.1:cds pep primary_assembly:Fonio_CM05836:5A:31814815:31816655:-1 gene:Dexi5A01G0028590 transcript:Dexi5A01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGGGGSGDAAGALRGFLREATSGCAVVDGGLATELEAHGADLQDALWSAKCLATAPHLIRKVHLDYLEAGANIITSASYQVLVRTHSPVSIMVLRDPRVVRTATIEGFQSRGFTEDESEALLRRSVHVAQEARAVFAESDRRWRSRPPVVLVAASVGSYGACLADGSEYRHARTPFVFGDYGKSITKEALKNFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELLEENDIRIPAWFSFTSKDGVNAASGDPITECAAVAESCERVAAVGVNCTAPRLIHRLILSIKKVAVDLHLTLPVFLPVHVLFVLALASCVLTFVNFQVTSKPIVVYPNSGETYIAETKEWVDTTGASGTDFAACVGEWRRAGAALIGGCCRTSPATVRAIARALREDDADEYDDVPAVAVL >Dexi9B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:9B:8304810:8306804:1 gene:Dexi9B01G0012380 transcript:Dexi9B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIKKESLSVAQQQDLSMVMGVFNIKQHHARALLIHYRWNTFRLNDHLERKGQDRMLTEAGVVLPRENKTTAAPPPPPASTTNKQPSRKKTKTKTKKKEASCNVCFEDFSPHAMSAMDCGHSFCDACWTGYFVSAIGGGSKQVRCMEFKCPAIVDEEVVHHFLGRKDPAMAERFQDLLLQSYVDDNSAVKWCPSVPHCGRAIRVASAELEMEPLCEVECPCGVSFCFRCAAATAHSPCPCAMWERWDAKGRGEAENTRWILANTISCPKCFKPIVKDGGCNLVRCRCGQYICWLCGGATGADHTWTSIAGHSCNRFKEEDKKKVDDAKRQLRRYEHYYKRFESHRLSCTVEREKIGPAVAKRVEELESHDSILIKDASWLSGAHRSLLACRRALAQSYVFAYYMFDAEETATVPPPEKGSLSMAQRQSLFEDYQEQVERNVEKLSELLGKDVMDMPDEDIVKVRQNAVNLVRVGETHCGKMYGCIQDELLPMLVEPMSIASFQPGGPSKAAELPA >Dexi7B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:7B:20537113:20537321:1 gene:Dexi7B01G0014190 transcript:Dexi7B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKQSPRSSASLPSGARQAPSRFAWGMVIVTAGRGDQNIPEFRVSSSTIVAIRLLLGLGICAAAG >Dexi3A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:3A:431500:433679:1 gene:Dexi3A01G0000490 transcript:Dexi3A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGKEILIILFFRCLKLSGSMLHHLRKHSLSAHHPENVLSPQGVLSFYNLSMSFPSPPSASASTLPDGVQFVLNTLPVHYKCIGDGDGFSAYVDTADPRESANVPLEVHKMHNLLRNSMSRIITISGEEILVKKYRIRMRGVDAPELEMANGKESKNAPMKLIGGERVTIYVYGQDQFVHHVGDIYCGDVFVQEQMLKSGQVWHFKTYDNRPEFAQWESEARAGRRGLFALENPEKPWDWRRDQRNANVPVH >Dexi1B01G0030950.1:cds pep primary_assembly:Fonio_CM05836:1B:34720009:34721384:1 gene:Dexi1B01G0030950 transcript:Dexi1B01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGSDEKDYVEPPPAPLFEAAELTSWSFYRAGIAEFVATFLFLYISILTVMGVSKSASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTLGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQQGLYMANGGGANAVNPGYTKGDGLGAEIVGTFLLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSHAWNDHQQFGAK >Dexi1B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:1B:26759475:26759798:-1 gene:Dexi1B01G0020650 transcript:Dexi1B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTRTDGRCDPAHRQAAASFAQRTRRMCSLLIFAWARRGGDAVAIDSTSASAVVDCRRAPRPFAARIRPWDHRIWPPGCRIRRLQPPLSSQPASIAMAGPPVPPP >Dexi8A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:8A:9142781:9145534:-1 gene:Dexi8A01G0007950 transcript:Dexi8A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWREEHAIRRPRDVNLHAVDPRVQSHPKCIHLNQEIPQGIRPTELGNALVESPPWAHTMGGLVIHPHFLSRICKPATKKKKVYQKAPVRESRSGCRTHAVEAAEAEVEEGRRYEGEEREEEEQPKEGARREETEEAGGDKARRGGRALGGGEEGERRRLPPAPEEPPHSLRALPPCGTPPTGNEEETEPNQLGEICSEKARAQPSPAHPRPKIRHRLHLPPLLLHPASPLMGAATSTAMAVPRSVSDLPGDVQHLILERIPCSADQASMSLVSRAWRVMVFGHRNLLPPPPTLPLLLLPADSFDARGFRASCALSGGRVHRSLSINPRNARCFGSHDGAWVFLATLEPRRSHFALNTTSGRRHPRHPSYVHGMVIHAAALSTSPEEATCVGAAIVTSWPLSDLGATVDALPPRRLRHDYAVQTGDEEEEDDSVDHVEDVIYLRDVGAENLLVRTPILHENQELQTLWGPARFRPDGRLYDDQHVRARYLVESDGDLLMVFKVFKAEERDGQEADDDPNFPVADYPAEWTELDTLGESYQPHNYPGFNERIYFLDDGDLFDDVLIFGDGNVRRYPCSDNGKWSEGRVHRCFPRSDPLASPLRWRQSLSSLT >Dexi9B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:9B:4768228:4770486:-1 gene:Dexi9B01G0007830 transcript:Dexi9B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHVLVLPFPAQGHVTPLMELSHRLVDHGFEVTFVNTETHHARVLSALPAGGAAVLGGIHLASIPDGLADDEDRKDLSKLIDAFTRHMPGHLERLIANFEAAGRPRAKWLVSDMISMGWSFEVAKKFSIRRQEAFQLAAGMPPLHTSQLSWNNAGAPAGQPIIFDLVTRNKKFIDLAEMSVANSFHEAEPGAFRLFPNILPIGPLFADHHKPVGSFLPEDERCIKWLDAQPDHSVVYVAFGSIAIFDPRQFEELVEGLELTGRPFLWVVRPDFTTGLSKTWLHEFNQRITGRGMIVSWCSQQQVLAHRAVACLVSHCGWNSTMEGARNGVPFLCWPYFSDQFLDRSYITNVWKTGLAVPPNAEGIVTKEDVRSKVEQVVGNAEIKERARLFKDAARRCVSEGGSSDENFKRLVNLLSE >Dexi1A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:1A:3142300:3147791:-1 gene:Dexi1A01G0004260 transcript:Dexi1A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASQTPFRLAACFVVAWLARLPAPPPTPSDSSESVRSASAFRLASAPPHAAAASRLAARRAMQARVVVFPVKGRAWCFARPLAPATPAAGGGALPPPTLRDLWRGITSAERTAPEKAESVVDFVADKMNRAWIGFGSAPEGSMKSRIHIFGLKLLSRVRPSEVLLKSVTKDVRALEIVHPASINSRLVRRRLRHIAIRGASVHKKFLYGSVCLLPVTSVFMVLPLPNIPFFWMLFRAYSHWRALQVCEAIHLILRRFILPSVTVVVSIDMSVVPVSSKLYLYTGSERLQLLVSDCSDQWKVLEKEIDSGKDGNPSEIARYSPWKLRPSKKLDAFLERGNLDEGLDCDTISSICKEYDLDKIDVLKYRDLP >Dexi1A01G0024300.1:cds pep primary_assembly:Fonio_CM05836:1A:30876295:30876633:-1 gene:Dexi1A01G0024300 transcript:Dexi1A01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARSEVPLAVLHERPASTAASPAPAPPPATSGSDAGQQEHGQSGYARGALRFDLDPGHRSPACSSAGQSNAR >Dexi5A01G0034570.1:cds pep primary_assembly:Fonio_CM05836:5A:36611205:36615139:1 gene:Dexi5A01G0034570 transcript:Dexi5A01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVSDLNVPGAPCTWTTYDSTTPAYPGSLALWSLLPSPSCSHFTPPSRRLPFLPVFPSSPAPPRPPREPRGAHGGRRPPLELPEAKIDLLSCSSYRSMGDSVPMSIINSISNFRVLFSSNTVETELVKRYGRKIDEILDLLKMVIDGALTQITPDDKLLGVLDELDVAINEALKLVGSWDWMMSRIYFYLIHCMILANYYMKVTQVEFLITKMQKYVLEVCQAVNSLVLPSETNCISLCMEKAKQFHCEKMTSVIGEASKDLVEKVMPKSEILTNIQVSLSLSTNLELLMEAVALSKIKIKVSDDQISKASSFEDTDDSEYDDLRKDGLHASDVEQHLPSSGSCSDIGTDKAVPADSRADSSVVDSKVRRFIEDLKNESIELQRAATGELLILSRHSMENRIAIANHGAIPFLVSLLYSDPSTQESAVTVLLNLSLNDNNKIAIASANAIEPLIHVLETGNPEAKANAAATLFSLSVNEENKARIGRSGAIKPLVDLLQDGNAQGKKDAATALFNLSIFHENKARIIEAGAVKPLVELMDPAAGMVDKAVSVLAILATVQEGRDEKSYEETEYQ >Dexi2B01G0026840.1:cds pep primary_assembly:Fonio_CM05836:2B:35938293:35941458:1 gene:Dexi2B01G0026840 transcript:Dexi2B01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAGDHHHAIDGRLEALLSGAGADGEGPWLRRMVSAAALELRLLTPLAAPAVVVYMLIIVMSSTTQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAVMYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFALHVALSWLAVYVLGLGLLGASLTLSLTWWVLVLGQFAYIVWSPRCRATWAGFTWAAFADLPGFAGLSIASAVMLALEVWYFQVLILLAGMLPDPQVALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSAWMVTALSAFVSAIAGLVTFLLRDKLSYIFTSGEVVSRAVADLCPLLVGTIVLCGIQPVLSGVAVGCGWQAMVAYINIGCYYFIGVPLGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITLRTDWNKEVEEARKRLDKWDDTRQPLLANKE >Dexi4B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:4B:4569698:4573893:1 gene:Dexi4B01G0006520 transcript:Dexi4B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDFRGRQGSGSYGGPPPGSAPGGGGGGSSLYPRVGQPSHGGGGGGGATASPRVAPYHHGPGGGPGSSAPIVTPLAPTSSAASSKVGIQVGIKPEFRITPPVKEFVRSYNILHEMGFTSSNVPELLAIHDNDPDKVIQRLLSSPS >Dexi3B01G0031670.1:cds pep primary_assembly:Fonio_CM05836:3B:33705311:33713309:-1 gene:Dexi3B01G0031670 transcript:Dexi3B01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSCSKVREAVSSTIRLHIEGFSITRASIKDDDVAVCFRSRCHVDGHDWEIRFHPTLYVHDGQWYCPALDLVFLGESRTTVMATLSCKVIDRQVCYYGYEDYDFVPFEESKTVPSAFRRPMDQSLPLYIGVGKAEDKYDFHSSLTVDCGVREPAAAEGAIAVPSSGIAQHLGELLRGKGGSDVTLFVSGETFAAHKSVLAARCSVFMAKFFTGRGEDNSLQRVVEIQDMDAAVFKAMLRFIYTDEASELDVKLDAAAMTFAQHLLIAAGRYGLDRLKVMCERTIALGMDASTVASTLALAEQRNFPRLKAKCINFIAEGSPENLDAVLATEGDLKDIRVTASDLHQHLNGFLQSQAGADITFCMCGESFAAHKNILAARSPVFKAEFFGEMEEKTSQCVEIQDMDAQPEAVAGTVMAQHLLVAADRFGLDRLKVICEHRLSICISIDTVASTFALAELFNCSQLKTKCIEFINRGSPENLDAVTATEGYRHLEESNSFVLCELLKDVHVRKRSRPTDSSIGL >Dexi7A01G0023570.1:cds pep primary_assembly:Fonio_CM05836:7A:31503222:31508934:1 gene:Dexi7A01G0023570 transcript:Dexi7A01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKLGVEVVSAHDLMPKDGHGSASACVELTFDGQRFRTAVKEKDLNPVWNERFYFNISDPSNLPELALEAYVYNVNKTMENSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPTIKASNPLPAMDPVSNNPPPAPSAAEQIAADITGTNLHTSQEHRPEARTLHTIAKEAHHHQSHGHLPASFGGQPSNSKYSTDQMKPEPQPPRIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKHASTYDLVERMQYLFVRVVRARDLPNMDVTGSLDPYVEVRVGNYRGITKHFEKQRNPEWNAVFAFSRDRMQASVLEVVVKDKDLLKDDFVGLVRFDLNDVPIRVPPDSPLAPEWYRLVGKSGDKSMGELMLAVWVGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIVEAQDVAIFDKTRYPDVFVRAQVGHQLGRTKPVQARNFNPFWNEDLLFVAAEPFEDHLILTLEDRVAPNKDEMLGRVIIPLAMIDRRADDRIVHGRWVNLEKPVLVDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPRFNEQYTWEVYDPATVLTVGVFDNGQLGDKSGEKTSGGKDAKIGKVRIRLSTLETGRVYTHCYPLLVLHPSGVKKMGELHLAIRFSSTSLINMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQVVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMTVLSGVLAVSKWFNGIWNFRYRPRYPPHMNTKISHAEAVHADELDEEFDTFPTSRSPEIDARGNDVELRRYKGKVLLIVNVASRCGLTNSNYTELGSLHKKYGDKGLEILAFPCNQFAGQEPGTIEQIAEFACTRFKAEYPIFGKNNIQKLLEV >Dexi5B01G0030760.1:cds pep primary_assembly:Fonio_CM05836:5B:31627110:31627529:1 gene:Dexi5B01G0030760 transcript:Dexi5B01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAGYTGAQGEQPPAGSVSTGTQGDQQPATAGAAPLDGFGQFVGLEGSIWFDTITPSIFNSGDHIDTGTANGSGGGGETLDAQRAWHKQAESSGGGAGSSLVELPQATTPDEQQQPTPEAPNEEEHAVS >Dexi5A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:5A:1316822:1322141:1 gene:Dexi5A01G0001860 transcript:Dexi5A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRLATASSDSTIKIIGVNGTSHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPDEWSQAHTFVEHKSSVNSIAWAPHELGLTLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTAVSWAPAMAPGALISAGSSGQYEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAAKEGEQWEGRVLYDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGYFHPSGDRKKNLMARRIDSDYMDFLPMGGFDMGIDFDGFEENVKKFIELPGKYLDSAHDKAVEFIEDVHAILIAPFTYDEVSDEEDQSSSIVFTKSSPTSVETELVGPNTEASTPASLITVENSSIGCVDADAHGTESFSSESKGLSLKNHVYSENTSSEGGRIEANNLRLLPEAVDTSSSGLSDCSEEIILWNPETSVKPRPHETRISHEPSSDVPLCADDLNVPTDTMVKSVDIKDLSDDQLTENDKIELSPVPQRNNASFQKMFMRNLSSKLRWSKKQVTMHQAMPAGSQDSENLGYRLVSSPDDLEDDWEVL >Dexi1B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:1B:8130695:8132782:-1 gene:Dexi1B01G0009160 transcript:Dexi1B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHALNGYEEEEVDEEEGHPGRRGGRDAAGYGDVGGEDGRGAGGDSSGKIFVGGVAWETTEDSFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSAYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVRIF >Dexi1B01G0022800.1:cds pep primary_assembly:Fonio_CM05836:1B:28468117:28471815:1 gene:Dexi1B01G0022800 transcript:Dexi1B01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPAPLKDAVGGLERDGFIALLSKLIGESARLQNDPPTHRPQEDLVAQHVVDALRPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPSEWDFDPFSLTFDSEDKDKLQGRGTTDCLGHVALVGQLMRRLGEVKPALKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMEMNMEALKEIQKRFYNDFPPHEKEKLYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSHVVEKLKEYVEDINETLETALDTRGPVSKYVLPDENLRGRLEITFDGDVMNGVACNLESRGFKALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFVSIISQLEEEV >Dexi4A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:4A:4638689:4640011:-1 gene:Dexi4A01G0006450 transcript:Dexi4A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDELFPSTPGKVKVERAGAMSRHLHRCFASTGTMFLWALFLVAMTATYLSVHSFVDTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCALALRRRGDGVVGIDNFNSYYDPSLKKARRALLGSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAIVWASSSSVYGLNERVPFSEAHRTDQPASLYAATKKAGEEIAHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYVDDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERYLRVKARKNVVEMPGNGDVPYTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHTFRNS >Dexi9A01G0040880.1:cds pep primary_assembly:Fonio_CM05836:9A:44574281:44575336:1 gene:Dexi9A01G0040880 transcript:Dexi9A01G0040880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQTAKVKTLLALTLTRLAIARRPRLARRSISRGDVGQLLALGHLDRALHRTEHVIEEDSILEAFNIIELYCNCLIENAKQLDKPHECGDDTREAAAGIMFAAGWCGDLPELLFARSILENKFGGDFGMMAKEGTGIVDPTLVWKFSGNKRNMELKKKVVKEIAAENNIQLNFSMFPEVGEQDGCNNIPHHQELNHKAIYHIDMDGSSESDSDHSSSHNENSCDISDSDGSKNAQLKDKKPTTSVRTRR >Dexi8A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:8A:449812:450699:-1 gene:Dexi8A01G0000680 transcript:Dexi8A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKPPAGGGKLELEEALLQIVQQHHHQSLRHRQQTERAKKDALRSAVRVADLLVDAVDGGVQELFVNEKRIELEARALLSTVARYRKQTDQWLAATSEINSVLKEIGDFENWMKIMDFDCKSVNAAICNIHQS >Dexi1B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:1B:19392156:19398785:-1 gene:Dexi1B01G0013550 transcript:Dexi1B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRPPASISSGLVRALDKGRGRLKEAVEAARTDGGNGALHLAAGAVRMEVCSYLVEGLRVDVNAVDDKGRTPLIFAVLSENAAVVKYLLDNGADPDKADDDGLAPLHSAAGIGDCEMVQLLLAKGAFVDPLAVECGTPLHVAAKERQAGAMKILLDHNADCNKAYMIYGLYGMTPLFQAINVSSVECVKLLVQAGADVSSDCVSTALIDSNLGNECSTECLNFLLDSGASHNVPDDDEHGSKRKIAQLKSLGRKAVEKEDYFSAVTFYSKACCGTIFSLLNEVMRMVSKEKDQAMDLDPEDATLLSNRSLCWLRMGDAEKALQDAVECKEMRPDWPKACYRQGAALLLMKDYKRACEALFDGFKLDPENAEIENALRYVSVGLHWHTCFNNQAMELVKISDSTRAG >Dexi4B01G0022180.1:cds pep primary_assembly:Fonio_CM05836:4B:23866858:23867159:1 gene:Dexi4B01G0022180 transcript:Dexi4B01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTSSAMRLPYTKTLCGPPALVASAAGAVAAGGGGGGAIAMWELDAAAAAAACRALSGGGERLSWKEEEEARIKIGAVYPVN >Dexi9A01G0035550.1:cds pep primary_assembly:Fonio_CM05836:9A:40193929:40196667:1 gene:Dexi9A01G0035550 transcript:Dexi9A01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAAARTFAYNATLCACDPGYYYLLSGNGTTGSCAPMPGGGWGDWQVGAVGAPRNQSLYFLAPVLSIDVLRRLTQSQAVLLMVALATLLAWFAFCAAARFAGRDPRGEKRMFRARYWVSRLDFLFDNSHWAGDQQVLRKRKTELGGTCSVASLIIFTGLLTVLLYQAIKRRSIEMHQVKPANAPDLLSFVNDLEFHITTVSSMSCAQAVPPSTFAMGTPGFMDFRVVSLPTLFTYSCTNTSNGPSIKLSCNECRIPPRDHYVSWQFVDLPGQPATAVGFQFNLTAKQHGDSKHVSFVSGMMNSDGYIDDGKMKTFRGRDSNVLKIQLFPQIYNNLGNLRILQPLVQDFTQGSVFSDVGSLNASLQNPRDGVVNATLYISYLPDYIVEISNESVVGPVSVLASIGGLYAFSVAICLCFMAQCEARIKKLRDEDTRMLKILSKRRARRNWDKVRKFVMYTWCPSNLDPTDTSGKKPEGSVIHSLLSFA >Dexi3B01G0024520.1:cds pep primary_assembly:Fonio_CM05836:3B:19162036:19163538:1 gene:Dexi3B01G0024520 transcript:Dexi3B01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLNTLNLQHNQLSGQIPGQFSLFTRLISFNVADNQLSGTIPLALRNFSTSNFAGNPGLCGPPLGECQASAKSKSNAAIIGAIVGVVVVVMIGAIVVFFCLRRLPAKKKAKDEDDNKWAKGIKGTKTIKAISKMKLSDLMKATNEFSKENIIGTGRTGTMYKAVLPDGSFLAVKRLQDSQHSESQFTSEMKTLGQVRHRNLVPLLGFCIAKKERLLVYKHMAKGSLYDQLNQEEGSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELVTGEKATHVSTAPDNFRGSLVEWISYLSNNALLQDAIDKSLIGKDADGELMQFLKVACSCTLATSKERPTMFEVYQLLRAIGERYHFTADDDLVLSPLNTDGETLDELIVAK >Dexi7A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:7A:22668924:22671880:-1 gene:Dexi7A01G0012490 transcript:Dexi7A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAWRQLLAGASLLWWLLPWASAIHRSDFPASFLFGTATSSYQIEGAYLEDNKSLSNWDVFTHMPGTIKDGSAGDVADDHYHRYDVDIEVMQSLGTNAYRFSISWARVLPKGRFGGVNQIGIEFYNKLIDSLILKGIEPFVTLSHYDIPQELEDRYGAWLDAEVQKDFGYFADVCFAAFGDRVRYWSTFNEPNVAVLGGYVLGTYPPARCSPPFGSCARGDSDAEPYVASHNVVLAHATAVEIYKRKYQRKQKGLIGIVMYTTWYEPLKDVPEDRLAAERALAFGVPWFLDPIVYGEYPPEMRQILGSRLPTFSPEEKRKLGYKLDFIGINHYTTLYVRDCMFSSGCPLGKATQHALAAVTGERNGVPIGPPGGEAYNSAEDWLQDHDRIQYLDGYLTKVAKVIRDGADVRGYFVWSLIDNFEWLYGYTLRYGLYYVDYQTQERKPKASALWYKRFLEGLHEAQ >Dexi2A01G0037190.1:cds pep primary_assembly:Fonio_CM05836:2A:46798480:46802917:-1 gene:Dexi2A01G0037190 transcript:Dexi2A01G0037190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQAGQLSVDASPQNARKISDRMFGVFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGDESSIIVGTDRTSCFARNPVALRMEVLCDSKRTNACPTGGVGVYNPGYWGMGHGFRKDLSLMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASLNEEVSTATIASLVKDAVDGIEFARGGPKTPWGSVRAAMGHPEPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDVNIISSCDRSTISPSNPADLYDVHVYTSSSNMFSRTSMFDNTPRSGPKAIVSEYAVTGNDAGKGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQRYGCPNYWMLHFFKDSSGASLHPTIIQISNYNQIVASAITWQNAKDKSTYLRIKVVNFGNSAVDLSISVAGLENGIKKSGSRQTVLTSSSPLDENSFQQPEKVVPVSSPLANAEEQISVSVRPYSLTSFDLLLEPSKHASI >Dexi2B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:2B:25833577:25834733:-1 gene:Dexi2B01G0015750 transcript:Dexi2B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKWSRTDKDGGQASPRAGRPSPHVNPSFARHSPPPSSLPWHSSRGKGPAPCCSHTGGEAAGEGWRQAEIEKAGGGGGGGGGGARERARVGFNHCDTSQNISKNFAIYAVVQLKRLIFNPVHDETFYLTNKHKRKLKEEYGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVPPENIQQCLSLTEDFRRLPKNHRAKEDKLEVCLFIARLSRIISFFF >Dexi5A01G0031580.1:cds pep primary_assembly:Fonio_CM05836:5A:34206221:34206514:-1 gene:Dexi5A01G0031580 transcript:Dexi5A01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKETTATPNKDLKDRAHLRRIRWAEAGIRLGSGASPVREAALAAALRSDEGALDRRKDLAAAAAAAAAISAVLLVLVVAGRGCRRTGGGGSLGSS >Dexi6B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:6B:21620936:21622199:-1 gene:Dexi6B01G0013880 transcript:Dexi6B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDTIPFPFARAPQERRGSRRQRATGPRLLATNLMGDRRALPTTRARRPNPVVVVDGDDATERNGFSLSIWPIDDMHPPAVLSSFRRATRPIDRSLLRYRLLATTLSRTRGNRSVGEGDPSIDLPHLILMSLAAEGRTNLTGPRCSRSARGSPGICRDDDAPGGAFLTTRSKPIEWRDRVSRSGGPHRLLVPRFVTIDDANDTGREGPPIVTAAAVVLWWGSEMDARGPMH >Dexi3A01G0032420.1:cds pep primary_assembly:Fonio_CM05836:3A:37329416:37332199:1 gene:Dexi3A01G0032420 transcript:Dexi3A01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALGVLLLLVLVAGLTPFPSTADVLCDNIKQMAPTLSNNTSSSPLHFSTTTFGQAPDVVYALALCRGDVDDSVCGGCMASTFNLLLNLKPPPQQQCYEAAYYYGDLCAVIYSVDDILNTTGDGSSNSSNGDDEPFTRWNAYSWGGGAHWSIDNITGDAQDVSLTVGLLHQLLVETAQAAAASTTPRRFATGMMGKPMVFYTLAQCTPDLSEASCWACLNRLLGMVNTTIALRKGGQIHVIRCYISGYMAPEYASEGLYSIKSDVFSFGVLVLEIICGRRNSGGHKCGHFFNLLGYVSCNSTYDLKSYSCLFGILCI >Dexi6A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:6A:18725115:18726761:1 gene:Dexi6A01G0012380 transcript:Dexi6A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRWRHSHAPPPTNLAPRVLPSSVVLLSPLSPGPPAAVVAEPSSSQKRSRLDAPLLAPVGFGSSRRKKQQGLLAPPCLLAPRRRGQASCGKTSCGKRDRPQALTQVCKAEKCAAAAREVPSSPSPPPHASSRPRIAGYDQGVDAVGDLGTTREVPVEGAEEGEEDAGSTAAAGDLVVAREVPVEVTEEGEKEASEEDERDLREASVVIFFVLLGFFELIVHCSYLTTTRIHA >Dexi4A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:4A:1267387:1268268:-1 gene:Dexi4A01G0001910 transcript:Dexi4A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLALMNEKDSAIRERDHALAEKKAAIAERDMAFVQRDAAMAERNAAIVERDNALAALELARTNGFNVNSVNGFQQGSLNGTKNIHHHDQLSHVQTSPLQLADSPYDHAREMHISEAYPIATAPGSIGKGKKPRKNNFQASPLKRPSGVLRKTKKPTVGWKNGGMSGGGEDSGRASVMKNEWKDQDLGLNQVAFDESTMPAPACSCTGELRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNRRHARMGGRKMSGSAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >Dexi9B01G0045040.1:cds pep primary_assembly:Fonio_CM05836:9B:44698679:44700797:1 gene:Dexi9B01G0045040 transcript:Dexi9B01G0045040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMASNGMASSPSPFFPPNFLLQMQQAAPDHDPQQAEHHHHEHHHLPPHPLHPHHNPFLPSLQDFRGMAPMLGGKRPMYGGADVVGGDEVNGGGGSPNEEELSDDGSQLGGEKKRRLNVEQVRTLEKNFELGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQLDAVKADNDALLSHNKKLQAEILVLKGGREAGSSELINLNKETEASCSNRSENSSEINLDISRTPPSSEGPMDAPPPPHHHHQHSSGGGGGGGMIPFYPSVGRPAGVDIDQLLHSSSGPKLEQHGNGGVQAAAETASFGNLLCSVGDEPPPFWPWADHQHFH >Dexi3A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:3A:17018796:17019149:1 gene:Dexi3A01G0021390 transcript:Dexi3A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLLRSIDVREAEELAANGVADKARGVGVHVDTDQSASTGGAVAADDWSGGAAVAEVEEQEAVAAHGADAAAEEAHGVAVGVGAGPRVDEVAGDEDVGGARRLDELE >Dexi3A01G0029180.1:cds pep primary_assembly:Fonio_CM05836:3A:30595800:30596402:1 gene:Dexi3A01G0029180 transcript:Dexi3A01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAVVLAGLRWVASPIAKKLLMDASTYLGVDMARDLQELETTVLPQFDLVIHAAQNSRHRSKLEAWLRQLKEAFYDAEDLLAEHEYRLLKRKANASSITTTILKPFRSVTSRTSNLLPENRMLTRKLNELKNILAKSKDFVDLLGLPAGNGGEGPSITGAHIVPLATSLPPPKVFGRDRARDRIIDILTKENDTEECG >Dexi2B01G0035370.1:cds pep primary_assembly:Fonio_CM05836:2B:42418091:42418956:1 gene:Dexi2B01G0035370 transcript:Dexi2B01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAKGGAAAGKKKGSVSFTIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGSLGDSVTVSREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRTVYELRYFNIAENEGEEEE >Dexi6B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:6B:835015:836446:-1 gene:Dexi6B01G0001000 transcript:Dexi6B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPETVHLTPWDLQMLTIDHIQKGILLPKPPVSGDHLVEHLAPSFSRALARFHPFTGRLTVDESCDGDGPTTFTVSLRRRCTGDDGAEFVHAVAADVTVADVVASLYVPRVVWSFFPLDGMVGADAAASSRPVLAAQVTELADGVFVAMALNHAVADGTTFWHLFNTWSHISRRSMSAVGEHEHDDKTTISIPPPVFERWFPDGCPVPVPLPFGKLEQIVRRFDGPPVNECFFVFSGESEPQGEIERRDSRHCRQPIDDDDATTTISSLQSVLAHVWRGVTRARRLPRQQETTYTVLVGCRGRVRHVAHAYAGNAVVRCTARATAGEVVDNGLGWTASLLRRAIVELDEAALVGSVDTWHRDPRFAYLAGWWHPAAMVTGNSPRFDVVGNDFGWGKPLAVRSGGANKVDGRATVYEGIDGGGSIGMEVCLAPEILARLVVDDEFMNAVTTTKSTGR >DexiUA01G0012860.1:cds pep primary_assembly:Fonio_CM05836:UA:26689793:26690062:1 gene:DexiUA01G0012860 transcript:DexiUA01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGHPLPLFIHPSQYASARISTERAGAVLVQQLLHQLADGAAAAPEKTCSASASVYLATTSPPPLHQQGRVPPQPVGPTHYRRQWDA >Dexi6B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:6B:24305944:24306285:-1 gene:Dexi6B01G0017120 transcript:Dexi6B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGRRRSEYPLTSTVRRSGRSEAAPPVRFAAAQAASTSAPPWAKAARDSSTRRRRKKKRRRRWRRWRELGAMNGDAAARSAGAGSFSPDELTRSDTSWN >Dexi3A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:3A:3315100:3315510:-1 gene:Dexi3A01G0005130 transcript:Dexi3A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRREPQPLDLPNPTPPPPDPAHLTQRRRRRSPEKSNRTGGLESLQSEPPRPPAPIPSRPWPPSPLCALRRGPAAAQVEPTGTIASVIATSPAPHGGRGALPSAGGSPSDLLFLAGGGRDLRL >Dexi1A01G0022070.1:cds pep primary_assembly:Fonio_CM05836:1A:28777209:28779373:1 gene:Dexi1A01G0022070 transcript:Dexi1A01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLEGVEIDDAVDGFEARRDRRGGAAPVDGDREDRARSTWAEVVSEEKGREEEEERRWETVEHDRKQQQHPTPTQWHNQDEGGEMIGGGSDSATGIDNCGLQLRTSQCGTEQQHSDEWNGYKRLPSEQKYSEDASHIHHGLNVEPTREELNNLSKACTRLWELDLNRLTPGKDYTIECGEGKKVYHKGDKASENLFSWLEESVLRRPTYSRFCALLDNYNPHQGYKESVTQQDKNEEAAFIEEISRSAPIKYLHRYLVLKEITSQDY >Dexi4A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:4A:6295316:6300161:-1 gene:Dexi4A01G0008370 transcript:Dexi4A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMISLPLTVGMVVATLRYFAGPAVPAHVLAVVGYAWLCSLSFVVLVPTDIWMVRSRRLLSMLPLAISGNQKSDVGFFWSWSYWSTFILAWVHGILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWRNADWTRRLQFLSHKVAKMATKLDHAHQEYCSTIFLQDDALFKPSGGKLGENDMDYDTDNKTMATLRRQLRRAHEEYYRRKRKYLSSFRENRSGTLGSFLDSTASILLAEATLLPSDVDLSLFSNLIHVVGKKEVLVQVAAFVPLMYMCICTYYSLFRIGMMLFYSLTPGQTSSVSLLMICS >Dexi2B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:2B:8045865:8048504:1 gene:Dexi2B01G0007870 transcript:Dexi2B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILLCLNVPYFCPDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLTHVYTLIIRPDATYSILIDNEEKQTGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDRKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTMPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKTFAEETWGKQKEAEKAAFDEAEKKKEEEEAAKAGDDEDDDLEDEEDDDKADSDAEDNKDSDDKHDEL >Dexi9A01G0038440.1:cds pep primary_assembly:Fonio_CM05836:9A:42682703:42684775:1 gene:Dexi9A01G0038440 transcript:Dexi9A01G0038440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPSAAGAGAGGESSSQAAAVEPIRMPTAEEIKGQDIWNNCAVRSVVSGVMGGPKATCIGCAGFAAFSVAIEKFFDRHT >Dexi9A01G0030500.1:cds pep primary_assembly:Fonio_CM05836:9A:35522973:35523254:-1 gene:Dexi9A01G0030500 transcript:Dexi9A01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLAFNPDPPY >Dexi3B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:3B:10997013:11002037:1 gene:Dexi3B01G0015170 transcript:Dexi3B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPPSPTAAAADQKRAAAADAERQARADALAKAEQAEQEAAAVAQEIDALAARQREALQRAAQARRAAAAHRGGPEDDVHSQDDDDDLNCAILRHEAAAVINLHAQAVGVQNIRSLVHPVLDLAANNYTRWRDQFLLAVGKYSLEDHVLLDAPAPNFPDWVRMDKTVKSWISSTISADLEETTMETDATARVIWLALETHGKPGNPNFVQGDLSIADYCRRFKKMADGLAALGEPVTDRTLVLNVICGLNDRYRDVGRHLRRGRPFPTFTEAKAELELEKLTLAHQATAPSSALVAGTTRTPPASSGGRPPDQRPPSSGSGSNHAAPKPKKGKKKPKSTSGGSSHKGDSGSSKGAATGASSTPWPSLQDPWAGSIQMWPCQRPPLAPIPGLPQQQALLAQAQAQAHAHAIAQQQALAQYQAHAQAQYQAQLQAQQQQYLAQQQTPLSRLPLGTSSRCPPPSAPICKPGDFSPGAIVRGPYTLCVALPPQLFSVVPPLRSGTDVLVIPHGAPVKAVQCDNGKEFDNSSARTFFLTHGASMPPTYWAEALATATYLLNIVPTKTLKFATPHHALHGTPPVYDHHRVFGCTLPQPLCHGKSQTCPALHPASFPFATEHPQPSPADYHSYFLQVQALSPPHSHVRPLHSHVRPPTSPAALSRCLCCLRRLPQAALDPAQPPYSRLQPRPSRPWPLPSRPSLLPGRPSADSPAATTSPVRCPQLTASTYRPLHRPLHEFRHVYTRWIAGAPPAPAPVPLPKGTVPVPPVANQHDMTTRAKRGFRVPVLYHAAPLSPVPKTFCSALADPNWRAAMEEEHDALLKNHTWDLVPRPPRANIVSGKWIFKHKFLSDGSLERYKARWVLRGFTQRPGIDYDETFSPVVKPATVRTVLSLALSRGLPAHQLDVKNAFLHGTLSETVYCAQPSGFEDPAHPDYVCRLNKSLYGLKQAPRAWYSRFAAFLLSLGFVEAKSDTSLFVYRRGSDLIYLLLYVDDIVLTASSSALLRRTISALQQEFSMKDLGQLHHFPGMSVQRSGSGLLLSQRQYMLDILDRAGMADCKSCTTPVDTNPKLPADGPPVSDASDFRSLAGALQWLTFTRPDIAYAVQQVCLHMHDPREPHLAALKRILRYIRGTLDLGLLLRPSTTVDLTVYTDADWAGCPDTRRSTSGYAVFLGGNLRQNTVSRSSAEAEYRAVANGVAEISWLRQLLMELHAPPRRASLVYCDNISAVYMSSNPVQRQRTKHIEIDLHFVRERVATGDVRVLHVPTSSQYADVFTKGLPSSVFTEFRSSLNVRKSDDQTAGASAGRGLPALCGC >Dexi3B01G0022270.1:cds pep primary_assembly:Fonio_CM05836:3B:17128661:17128918:-1 gene:Dexi3B01G0022270 transcript:Dexi3B01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRASQSVFVLPENLATLDVDAAAEAGGKTGPDGGAGAEQQARPPAGRHRRAMSVAVAARDLELIKEDLGSYKVGA >Dexi7B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:7B:11880206:11881225:-1 gene:Dexi7B01G0004870 transcript:Dexi7B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLKTSFVLITALLLQQHLWLAIADRTRAFNTTSSARGLSLCLFASHEGSSYSYRRGSDGFLYYLKHSLSDSSANMTISPEDNDPELAILTIGTGTAKHNPNGEHTHSPSYRPLTPSDEFCKPENGMEPAGEQCAFHVSGAGGMSVHGYVAREHVIDHETGQVLTSFILGCSHSTENFQSGGVFAGVATVSKAPASLTMQLVARGMTRFSYCLTRGMSRQGFLRFGADVPRNSRYQTTRILPVLEAGEAAYYVDLVGVSLGDRLDRINPQMFAHGKDGEGGSVIDLGTTVTVMAEGAYHVVEETM >Dexi5B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:5B:1811361:1811756:1 gene:Dexi5B01G0002810 transcript:Dexi5B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLAALLVAACLAVQHASAGLVVPDASTMDLLAASSAKTTKQCSGAVGECGVDEAEELGLSGSGEALRRTLAARQPRNRYISYAALRADQVPCNQRGRSYYSNCGAQKAANPYKRGCSVITRCARNMN >Dexi3A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:3A:7772364:7772621:-1 gene:Dexi3A01G0010900 transcript:Dexi3A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVEGEVRIQKVERIDLVYNLLTKPSIYGNKPMAATGKYPTSGHDVAASWNKAGNPSKGIVSVEDINKRSERYITEMKKRFLG >Dexi4A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:4A:25000012:25000469:-1 gene:Dexi4A01G0021460 transcript:Dexi4A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINERKMFKQLVRAASQINTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEEPAKVWSTGDCWRTPRAVNL >Dexi3B01G0022920.1:cds pep primary_assembly:Fonio_CM05836:3B:17635502:17637934:-1 gene:Dexi3B01G0022920 transcript:Dexi3B01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQAEDAIVAGVAGGHGTEKEARAMVVGGGDEAEQHEQRRDGEFSMKALLWHGGSIWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVLLQIFYGLVGSWTAYLISVLYVEYRTRKEKEGVSFKNHVIQWFEVLDALLGPYWKAAGLISNCTMLFFAAVIQLIACASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVCSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPNKLVLYFTGATNILYTFGGHAVTVEVMHAMWKPRKFKYIYLLATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTRWRDVAVALMLIHQFITFGFACTPLYLVWEKVIGVHETGSVCLRALVRLPIVVPIWFLAIIFPFFGPINSAVGAFLVSFTVYIIPSVAHLLTYRSASARLNAAEKPPFFVRGWTGMFVVNVLVVAWVLVVGFGLGGWASVVNFIKQISTFGLFAKCYQCPPKTHVQTATGPTLPAPPHH >Dexi2B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:2B:24651831:24655512:1 gene:Dexi2B01G0014770 transcript:Dexi2B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDAAKNTTTTGPTFSSHIFSFSNPGASSFGFGFGFDSGAPPPPPPPAIEVQLSEESPIATARLEPVVVDDSLTIYKGRVSTSDVFGVKDSDLMPGKYEGGLKLWEGSLDLVKALNSDIKEGRLLLEGKHVLEGAGLIHFQDFNSEVLKCLTIPNVKVNLLKESPEETCTSKSVGFFAGDWSEMDKLLLCGDAEQDKTTIGDTEDKTYNGYDIILMAETVYALSSLPNLYRLIKKCLRYPGGVVYMAGKKHYFGVGGGTTRFLRLVEEDGSMQTERLNNVADGSSNVREVWKLSFK >Dexi1A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:1A:3931587:3934248:-1 gene:Dexi1A01G0005370 transcript:Dexi1A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQERVSKSSSSSISSSTQESEEELTIGSLITEATNTTNSGKSLGRRLSHLDSIPHTPRVNGKIPDFNNATIDHESLLERLGTYGLAEYQIEGDGNCQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLVEIVPRDGTPTRGHNGNAVFDHSFVRFSELWLSFWCEVHYNSLYAIEVYLASCSITYSIFSDLPTRKSKKKHWLF >Dexi1B01G0024570.1:cds pep primary_assembly:Fonio_CM05836:1B:29847098:29847678:-1 gene:Dexi1B01G0024570 transcript:Dexi1B01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVGDDPDGLLIDASSGKILHSIKGHRDFSFASAWSPDGRTFATGNQDKTCRVWDTRNLSKAVHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHIYDVKSDYNRRQELDFFGEISGTSFSPDTNMLFVGVWDRVYGSLLQFGRLYNHSYLDSLI >Dexi5A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:5A:24745396:24748272:1 gene:Dexi5A01G0020870 transcript:Dexi5A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAPELLREASPWSVLAGAAAVLLLWWAAQVLDWAWLAPRRMERALRAQGLKGTRYRFLWGDLKEERRLAVEALSRPVPMGRPHDILPRVSPLLHRAVEERGKLSFTWFGTTPRVTIIDPELVREVTSNKDGNFVKTKLATRIVKLLIGGVAILDGEKWAKHRRIMNPAFHAEKLKVTMVLYEVLRLYPPALFLNRRTHKQTELGGVMYPPDVMFVIPIMFIHRDPVFWGPDAGEFNPGRFAEGVSKACSDPGAFIPFSWGPRVCIGQNFALLEAKLGISMILQRFAFELSPAYVHAPYNILTLHPQHSVLVRVRRL >Dexi3A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:3A:14368937:14369762:-1 gene:Dexi3A01G0018780 transcript:Dexi3A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESTAAGNEVGFNEEAERESWEETPRFLHSSTTPPRRSFAGGSPELDASELHHHHVVIFPKQEASPSSSFLPIAPLFKLKVKNKQASLLLALHQPATLVGVVHITGEPFPLSIYSPLL >Dexi3B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:3B:5623158:5630493:-1 gene:Dexi3B01G0007970 transcript:Dexi3B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSIMVRKKRTGTGESSGEATGAPGQGSSQRSETTQQQGGGRGLMPLQGARGGGQHQGRGGGRGGPPSPLPVGGPPEPQPREFQGRGSYQGRGGPPSQHPGHGPLESQPRNYQVRGGYQGRGGPSPHPGDGPPEAQPPGYQGRGGYQGRGGPPSQRPGGGPRVYQPQEYQVRGVPRPSGGVSQPHHGGHGGVSVGPSVPPGPLRSVPELHQAPNVQYQAPVVVSPTPPGVGSSSQPLEAEVSTGQVQQQFQQLSIHGQSSTSQAVQVAPASSKSVKFPLRPGKGTYGSRCVVKANHFFAELPDRDLHHYDVSITPEVTSRGVNRAVMAKLVTLYRQSHLDGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLGGGRRRERAFKVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYCPVGRSFYSPNLGRRHHLGDGLETWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERETDILQTVQHNAYSEDPYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSSWACMNFSRNVNDNAARMFCQELAQMCQVSGMDFALEPVLPVLTARPENVERALKGRYQDAMNKLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKANKQQYLANVALKINVKVGGRNTVLVDALAGRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMVKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRSVDKSGNILPGTVVDSKICHPTEFDFFLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMVSGATSRGPPPGSARSSRAVGNVAVRPLPALKENVKRVMFYC >Dexi2B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:2B:3169187:3173946:-1 gene:Dexi2B01G0003610 transcript:Dexi2B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTSPPGTSKQSAVRKHSPGSSHKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIVRRILDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLTLEDTKGRTPVDLLSCPVSQANGDSPDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSLLELPFCCSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTMIATEAGELFTWGSNREGQLGYPSVDTQSTPRRVSSLKQRIIAVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVIARCLKKGGNTNLKFHRMERLQPDNALSGSNGEMSKTIPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASSEILASLEKLLDEKSSEPWYHRRLPTMTATYPAIIDSDGEEEEAIESLMPRKCGKSASRPSGISSHENFLQKDCTAEQAVSKQIRALRKKLQQIEILEGKQLAGHQLDSQQLAKLESRAALECELAELGVPSEAYSRTSSVCPSEGRTNRKPEISKKQKRKNKQASQSNTPSVKSESGPQIPVNDLDELPTNVSSEKEAYAADPIEHAEDAAFRNSKDIASPLEKKPSQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPVPVVPVTQKHEGPAWGGAKITKGPASLRDIQSEQRKTNEPVLAKAKDRFESSPDSVGRVRLSSFIPDARSSPIAVTPARSVPSSEGDKSTPPWLSSATSPNVSRPSLRDIQMQQEKRHHGISHSPKTRTSGFAIPSHSGSPEVGGVKDNVPNRWFKPEIDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQV >Dexi9A01G0041610.1:cds pep primary_assembly:Fonio_CM05836:9A:45241714:45243282:-1 gene:Dexi9A01G0041610 transcript:Dexi9A01G0041610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAVNPKAYPLADAQLTISILEIIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQPIQSLKDSIEKLLI >Dexi7B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:7B:10532427:10533744:-1 gene:Dexi7B01G0004340 transcript:Dexi7B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQGGEESLPKPSLSPEEAAIVEKVLGNDDLLREVFRRFSLPTLLVRIACVCRRWLRIVSSQGFLDDFRRLHPPRLLGSFPNKPQDLPKLLPRHELPDDLIAVTSHAKAYFSGVSKNIPFGNYVILDIRNGHMLVAIVDVCDRTRIAVIVCTPFKAAPLVYLPFSQLSAYRGTNKGEFDMFEFLPEDGGDGRSYFEVRVIKRYNGDPMFILATVCTCAGGVRGEYRATEPMRLPKAKHDFSSQGCRIYLLERSGDNAEFVYLSISDTIDNYSDTDYLLHLKTRTVEVVSESHWQITSLLNPFMVIWPPTFPAVMNEEDEDERGHAGL >Dexi6B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:6B:5838472:5838851:-1 gene:Dexi6B01G0006210 transcript:Dexi6B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAPAARDWAALPSDIVLDVFLRLGPHEVMLGAEQACKPWRHVALEEPMLWRRVGLDEDYTDKRWIRCPCSVKQQMLRVAVDRAKGQCEAFKGNCYDGYLPHLVRSS >Dexi4B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:4B:16133546:16140374:1 gene:Dexi4B01G0014910 transcript:Dexi4B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHTRRHSHPHRLLPLFHFSSDASSSSAPRRPPPPIKPVSYAPKPKQEAAPEEPAAPPTPYDGRDSQSSLPRRPQQPAPPREWTRQDMRYVKDAAPVISPVSYPSRVAPLPEDRPAGGDAEGVPEEGLRGEGERIQMEAARATRNIFGMQVEEEEQVPYPTIIPVVKRPQKVAIDLVDAIRLVKTSANEKKRNFVETIEAHVMLGVDPRRGDQVCVFLCTIPRLPQQFSRQDETVRVAVFAEGPAADEARAAGADVVGGDELIEEIRKGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSEESLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSVAADHYNKVQVS >Dexi6A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:6A:25083035:25086966:1 gene:Dexi6A01G0017250 transcript:Dexi6A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPAAISIEDVRDAEEERAVAAFRDRLNAHGLLPDKHDDYHMMLRFLKARKFDAEKAMQMWAEMLKWRKEFGADTILEDFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMNITSTDRYIKYHVQEFERAFRERFPACTLAAQRHIDSTTTILDVQGVGLKNFSKTARELINRMQKIDSDYYPEVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKWQGMLSDTSNAESGSDIDDFGPSFVQKTSDYGYLTPVHEEVGNNALNIDGAIAQRGWENVVKIVVTALIKLFSFIRLFISGAERRLENVHHSAPQVIPAAEKPRPQTVSDAEMHACLQRLDNLESMCNHLAMRPPQIPEDKELMLLSSFERIKSVEADLERTKRVLHATVTKQKSLMEALESVQESSKVRVCIILEHFAAVLSAIALN >Dexi1B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:1B:5449269:5450586:-1 gene:Dexi1B01G0006770 transcript:Dexi1B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVVIPAQRRGCHLITPKILREIEDDLSGFKCGLAHFFLQHTSASLTINENYDSDVQADTETFLNRIVPEGQNAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDHTSPRKIVITLNGA >Dexi2B01G0034880.1:cds pep primary_assembly:Fonio_CM05836:2B:42068496:42069843:-1 gene:Dexi2B01G0034880 transcript:Dexi2B01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAYVFRCRGVCKAWRDITIDPGFLAARSRCWPADVVLYKYEYRTVASDDGGNDTVAVDVALDLLPVSSDEDTRPRRLIRYPNRHAWFLLVASSNGVLLFRKEEGLYLLCNPVTRQWAELPRLPPRPPHLKHNKAMVDSECAFYFHSNSGEYRLLCRRNSSIKETTWWILAAGAAEPRRLDMGAAAEVAKVAPCLRTAVAMHVALDGRLHWPPHQAAAVAGETEMVVFDVSLETFHLMAGPPTTTAALTKVFDMDGLLVAADFGKEDYIDLWFLEDYNSRRWELRHRVELPLMDDAIMQQVWTLGGTARPTLEPRSLLSVAAAGDGEAGNIMLGNYRWLVVYNVKTMTTKTVDSVVKLWGKDVLVSRHVFKENLEKQTCFTTAEQCSVDLSSFHFQ >Dexi3A01G0033390.1:cds pep primary_assembly:Fonio_CM05836:3A:38168160:38168543:1 gene:Dexi3A01G0033390 transcript:Dexi3A01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELRELGDHRDGELGGEGDGGAVAVEEAEWGRVEGMAEEAGRLVGQAEEAVGPDLRDSVGAVPAAAGGEAFVGELLGGGEAGEEEEEQEEEEEDGELGLGARHRSDERRRKVAWVVGLGGI >Dexi5A01G0024810.1:cds pep primary_assembly:Fonio_CM05836:5A:28651455:28654725:1 gene:Dexi5A01G0024810 transcript:Dexi5A01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQGSAAVAAARVDLCALDVIPVFAKEMIAGGVAGAFSKTAIAPLERVKILLQTRTNEFGSLGVFKSLKKLRQHDGIKGFYKGNGASVLRIVPYAALHFMAYERYRYWILNNCPSLGTGPVVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNGSDQLSRALKRASPQPTYGGIMSVFRGVYSEGGMQALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEEYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQQHEQFGAPRITGTFQGLMVIKQTQGWRQLFAGLSLNYIKVFVLLNI >Dexi4A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:4A:22631154:22635645:1 gene:Dexi4A01G0018670 transcript:Dexi4A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAFLPELATQVVVPVAAVVGIAFAVLQWVLVSKVKLSPEPRRGDGSSGKAGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVEGFSTKSQPCHYSKGKTCKPALANALFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIVACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTIGIALVSWLGLPYTFTIFNFGAQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNNIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >Dexi6A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:6A:16140892:16145341:1 gene:Dexi6A01G0011370 transcript:Dexi6A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVRSLYRTAKSVDFQSSAFYKMSANLHSLALPEVRRQSASMEGLFALDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKITHSLKKRGKRKVEHRSSSFTIEDVRDEQEERAVFTFQQELLNRNLLPDKHNDYHLLLRFLKARKFDIEKAIQMWSEMLQWRKDFGADTILEDFNFEELDEVLCYYPQGYHGVDKQGRPVYIERLGKVEPNKLMQITTVDRYMKYHVQEFERAFRDRFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLSRMQKIDSDYYPEMQMRARDSAAYYSCDDHFVVVDKTVDYGRGGSMPDNTNTSGVRAKVRPLHTSTAAHNAGPSSNRRSAAVPKEVSDEGSFHRFFRLLLTLMFKVFAFFHIAYGQQETRVNNPVPPAEPEPISDDHPAVETFSIDHISPIIERLQRLEGKVDELGSKPPEIPLEKEQSLLESWDRIKCMESDLERTKKVLQATVMKQLEIAESLEEMIRSNMRRRRFCA >Dexi8B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:8B:26159961:26161950:-1 gene:Dexi8B01G0015330 transcript:Dexi8B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDRLTGDELLSDSFPYKEIENGVLWEVEGKWVIQGAVDVDIGANPSAEGGGEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFIKRYIKNLTAKLEPEKAEEFKKGIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFAHGLKEIKC >Dexi2B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:2B:7901788:7903112:-1 gene:Dexi2B01G0007660 transcript:Dexi2B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPCTSKKARNKAGDDSTGDRITALPLDLRARIASLLNYWQVVQLSVLSQAWRHIHHHTPVVKINLYDFYLGEPDPVLGLLDEPSILSVRVALGRRAQDASASRVDTLRLAYLVDDRRLRRHADRIVALTDARYIRIRAPFLEEPVRDAWTLDLPPSARDLEVIARHHPAPAIAGPGAAALRKLDLDWMVIREWPHLPSLRFLSLDKVTIKASFAPGAWCPLLEELDISCSRIEHARVDICLPFLRFMDLDGLDVNPDGRCQVPPFGEITIDAPELLELDVNCGAPGSTADYKSFTLRAPRLHFLFWCNQFAERVAIDVGRPGSVKVGAIVLTTVYTRELKDYQEQMMRMLEGLLPGVPPESIADVSKPFITLEECDDSDDDDEDDKVEKLTCDIMALMSRGI >Dexi9A01G0028560.1:cds pep primary_assembly:Fonio_CM05836:9A:33291924:33293005:1 gene:Dexi9A01G0028560 transcript:Dexi9A01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARMAVAALTVVVAVLSLAASGSAQLRYGFYKGRCNSSDVEAVVQGIVKARFAREAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTATPNLSVKGYDLIATIKSELEKRCPGVVSCSDIEILATRDAVALAGGPAYAVRTGRRDRGQSRATDVKLPGADYTATQTTTYFSRLGLSPFETVVLLGAHTVGATHCSVIKNSRLYGYGGKPGTTDPSMDPATASVYKKYVCPNVSSSDGNAVFLDDQWTALKVDNHYYKNLQLHRGVLSCDQNLYSDGSTRQIIDQLANNNGAFLSAFGQVLVKLSEVNVLTGTQGEIRKVCNKFN >Dexi5A01G0029140.1:cds pep primary_assembly:Fonio_CM05836:5A:32311782:32312402:-1 gene:Dexi5A01G0029140 transcript:Dexi5A01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHGAAAILIAYLLVAVALAAGADASRRPVNARLTVHRDAFGAYVARAKPVVVPALSCSQVHQVREDETCFSVAQAAGLTQDQFLGFNPNINCVAVFVGQWVCLAATSA >Dexi6A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:6A:27761840:27762083:-1 gene:Dexi6A01G0020230 transcript:Dexi6A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKQQSVPLCQDAARPPSCERPVRNWSRDLFSCSVHALDMDISSTSARLNEGNTSQLISRGALAAWFSSWMEN >Dexi4B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:4B:10303234:10304790:1 gene:Dexi4B01G0012500 transcript:Dexi4B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWVILGRVLRVGFVPGDVVAEDAGAQAAGHGAAAGANAQALEEDVVAVDDDEEAAQVVVDAVAAVEEEEDDDEEAQVVDVVVAAVEHQEQEQNAAPHAAANAAAAPEPDFSFSAMPPPRVTVLAAGPGGHPDGANLDKYPYIVAAEPNFLLVHFSTAPFRGTNFGDNPYPTDLVLLRHFHTSADDGLTTASSVRIPGRTGYAPTLWNIGRVGLYADAHEHGRYKIAELSVDKGSERAKLVSFGSVYHPTRAAEWHVQEMEYPMAEENRDWVPHGAVTVDSTIWWFDLSWGILSCDVDEHEPELNFHYVPDGRGLAMATPDIHTRRAITVSRGKLRYVEIVVTGGGGSAATVCMWTRMIGPDGWNWYVKYAMSFERIWDDHSYRETGLPRNLPVLAVVCPSNPALVYFALEQRLFGVNVPAHRVVHSQAYELVNIPDQPQQPPSGRYVVAWNLPPEVAQASNV >Dexi7A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:7A:29105805:29107753:1 gene:Dexi7A01G0020270 transcript:Dexi7A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAVQYAAAPVRIMGPYERPAPVMGVWNSDPFKVDSCQATSGSTVMEADHKFDNRLEDVPQVSLEPARSTDQETSRPPDRVMRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEHELQRARQQGAYANGNLGDSNLGFSGPMDPGVAEFEIDYSNWVEEQKRHTAELRSALQVQTTSELELRMLVETGLKNYEQLFAIKAQAANADVFYVTSGTWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQLIAVSGLQHTSTQAEDALSQGMEKLQQNLAETVTAAADPFGPPDGYMLHMATAVEKLKELVGFVTQADHLRQTTLQQMHRILTTRQAARGLLALGDYFQRLRTLSHLWATRHEAAIS >Dexi3B01G0036690.1:cds pep primary_assembly:Fonio_CM05836:3B:39551146:39553664:-1 gene:Dexi3B01G0036690 transcript:Dexi3B01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRLLLAVVALLWAMAAGQMLTPESPICSKDNYTDGSQYEKNLDELFTTLSTAALDNGWFYNGSAGAGTADEVFGFIMCYADRNAIQCHECLAKAGAGIKHWCPGSRSASAMYDACVLRYSDEPIPATADLDAVYAEYVPIPGVPVTSGAVQAAWVPLMSKLTGAVATLPSRIGNASAPYSGQQSQQQQEQLQMYGLAQCTRDLNASECINCINNFIGQLSDKFPNYTGGAIKGYSCYPTSTRWVHSTSPCRLRRCRRLPQRRCLVDAERNFLHLHDKSSSSSKTGIVIGVSVGSVLIVLVSAMWFLLRRRKSKKQAKIFEQGREHEMKKGGDFDEPEMEDEFEKGTGPKRFGYAELAIATDNFSDKHKLGEVSKGSKQGRKEYASEVRIISRIRHRNLVQLIGWCHDAGELHLVYELMPNGSLDTHLYSSNIGGALLPWPQRHEIVLGLGSALLYLHQDWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRSSAQSDVYSFGVVLLEIACGRRPMVARRGEQDVIHIVQWAWDFYGRGDILDAADARLKGELDAGEMEAVMIVGLWCAHPDRSLRPSIRQAVNVLRGEAPLPSLPARMPVATFLAPPPDAFYYTSSVTTGSSSTGTGTSTSTTQSSTASLLK >Dexi8A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:8A:5811191:5813466:1 gene:Dexi8A01G0005880 transcript:Dexi8A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSSVQTVEVHGSLDRERKGLSTRSDARVQRAIFFLIRILSTSPSPTIHLSSHLSHPGPPTPQKRHGHSAFACSTGCSCLHATHTPRCASSSHGTNLPVRAGVSLPRAASPPRPHAWQLVDIIGETASCRCLARVQERCGFGFGFGFGFGPVHHEWAYAVHCYGQRIVNSASGSSPSPTMLLPFPMSGLDLDGTAMNLMDRLVPSPLDLAEPVLLCRLPLM >Dexi3B01G0029160.1:cds pep primary_assembly:Fonio_CM05836:3B:28241093:28242536:-1 gene:Dexi3B01G0029160 transcript:Dexi3B01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGYAVRDPSATRRRHRALSPATTTAAQIFEVGDVVTLDPSRDVGASNNRRLAALYCNSVSGFEEIMGLVDSIVKIVRAPHVKFGEKYYVPTDEPEFFPKRQCKIVTSDGKQVGYLGIVHAEVLRKFGIPDPCTFVEMDIEALL >Dexi5B01G0035290.1:cds pep primary_assembly:Fonio_CM05836:5B:35319339:35324720:1 gene:Dexi5B01G0035290 transcript:Dexi5B01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLINSLTLSALTLRMKSCEGHAWKQARPVVLKRTRLPRLRTLLHESAALCELADGRYELARQRATTRTWGGRGVHCRVAKRLADLVILAMACAASNRPKSSLLGFSTSIAWANNNLGLYPPEPFDATQPEIAFSSHPSLLFSTRTQATGDRANRSTRQEKKGRGKIITPVPCSARPPHESMEIRAPPTSLRLAPPPASASFRRTALKSSFINGSVSLRVVQIRRSNVSRFKCNANLFDRLSRVVKSYANAVLSTFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDCLLFKRGMRILPVKPLSGDNASSLKAQLDQQRGVVDNLISNTKLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKELSGSSLKGELPPGRTAASNSSAARSFPDLEIESELNELRRKAKEY >Dexi9B01G0039280.1:cds pep primary_assembly:Fonio_CM05836:9B:40031367:40033652:1 gene:Dexi9B01G0039280 transcript:Dexi9B01G0039280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNHSVVERLTVEEFVHHPFLSEHAPERTISQTPSDIRDGFAINNSSPTRPSSQSSQEDCMPFPLDDETSGQDENPVLDTSAARESPSQDMPMKGLSADVPRLLANSQLADDAYMQIERQFLTEVEYAEELASTVGQIADGTEMPDAIEIIFQSALHIGKRGGVDEMFGRAAHAMTGYTRAVSMLQFLLIEAPSLAVNPPLTLTRSDRQRLRSYIEAINTRLGQIQSQRH >Dexi5A01G0039900.1:cds pep primary_assembly:Fonio_CM05836:5A:40280775:40281581:-1 gene:Dexi5A01G0039900 transcript:Dexi5A01G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLGSASLACGEARLVSQQRSSPPSAQTPQASSLESLILFFLLLLACCCCLSCRSEIHASCMRRRRECPETSRSLSHEAAAAAAEAEAAAAAWAAKTGSVTRASQQLQWTPVLVTAPETTWLWAPAGTT >Dexi2A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:2A:7814028:7815635:1 gene:Dexi2A01G0007720 transcript:Dexi2A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASKPFFPAAPHSALLPSRSAAARASLDGSYWRAFRSSELVSGADFPITDLTFSPTAASPTLAAAWSTSLHLFSGDPLQSLRRISVAGDLAFSPSFRCDGALLAAGDKRGVVRVFRGDKPATGAALRTLRAHAAETRVVRYPVAGGDKLHLFTAGDDALLAYWDVPSETPVFTVPAAHRDYIRGGAASPADHNIFATGSYDRSVKLWDARTGNSGPSLSFSHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLVHSVESHVKTVMALALGKMANTGETRLLSAGIDGYVKSFDFGKFKITHSLRHPQPLLSVACSPCGSVLVAGSAKGKIYMGTRKKKAVDEEDEGKKAASGEIVWVSPEPEKPVLMPNYYRYFLRGQNEKAKEGDLVIEKPKKVKVAEHDKLLRKFRHKDALVSALAKNNPRSAVAVMEELVARRKLVRCIGNLDTEELGLLLEFLRRNATLPRYARFLLGVANKVLEMREEDIRSDEKLRMHIRNLKRMVAEEIQIQHTLQGIQGMISPMLALATR >Dexi3B01G0038270.1:cds pep primary_assembly:Fonio_CM05836:3B:41059491:41060753:1 gene:Dexi3B01G0038270 transcript:Dexi3B01G0038270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQDSAADDWVILGSGASTSDDDGVLALSSGCATPASPYDYGSDSDADADPTTALVLAACADHTYPISDAEDDDLYPAPSPPQPRPISGLFHHTLTGDVAYAAFDPLPPATVSHHAVNKQLVPDPTFSTLIPDDVVRALASTRGLVCLRGAADKDYYVANPLTFSVARLPRPDGDHWAKEDKPPGVVITFDVDDDGDGDARGGGGDEGDHGRSFYRPYRVVVAFHVEDGAYAFETFSSRTWEWTIADTVALAENVVPASGVGALGCAFWQTTMGFFLCFEPVSGCADLVPAPMEVTDWTRWELGEMEGALSATCTDDKLDTVVIVCPDLSRRTDTGDLVWTMAGHFEGGCLRGRGHVTLLRSQGKAEVVMWDRTKETVVAMDLQGRTTRTITLVPPGTGYYDDFIPYVSSLAAVSASG >Dexi9B01G0031220.1:cds pep primary_assembly:Fonio_CM05836:9B:33635237:33635881:1 gene:Dexi9B01G0031220 transcript:Dexi9B01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAQPPLLPAPPRFAGSAASDRSVWGSKKPGRASASQSWTRDKLVARTAAAVPIPGRASLSDSWTRDKTERKEAAIVEEQRVGRAPSRGESLIRAKRASSRALSEVVERSEKKAKPEENAAANKLDGDAEKPEENAEAKKLDGDVVFYAGPAFIKSPDPSEVPLPPKFVLLGKPPEPSDLPVPRFLMTKAPRATRWFVIKAPKALRRRSI >Dexi6A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:6A:26857470:26859907:-1 gene:Dexi6A01G0019240 transcript:Dexi6A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVVSSSAALMVAAAAALVLLLSLHLAVVVVGARGVQSQPSYRGGGGPCALAVTPLGYPCEEHQVTTADGYILSLQRIPRGRGGGWAAGAGARAGQPVLLQHGVLVDGMSWLLASPEESLPFILADRGFDVWIANTRGTRWSRRHVSLDPSSRLYWNWSWDDIVVNDLPAMVDYVCKQTWQKPHYVGHSMGTLVALAAFSEGRVVDQLKSAALLTPVAYLAHITTPIGILLARAFVGELLSDLLGVAEFNPLAPPVSNLIRAFCRKPGMNCYDLVGSITGKNYCLNSSAVDVFLKYEPQPTSTKTTVHFAQTVRDGVLTKYDYVLPEKNIASYGQADPPAYDMSSIPASFPLFLAYGGRDSLADPDDVRLLLDDLRGHDRDKLAVLYLDRFAHLDFVIGV >Dexi2A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:2A:30213554:30225500:1 gene:Dexi2A01G0018190 transcript:Dexi2A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIRPPRFLSPSPAAGPREPRLRRPLDASRIFSSPRPPGRRPRAASPASTDLRRLTARIVELTRRRQLAQIMEEVEAARRRGRASGAGALNTIVMNAVLEACVRCGDVDRALRLFEEMRGPRGCGVDGVSYGILLKGLGIARRIDEAFEILESIEKDSSIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRKVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDKAIQFLEDMKEEAKRESNPELLPDAVTYTTLLKGLGNSGDLYSVLKIVVEMKSSLLSVDRTAYTSMIDALLACGSIDALAAVAIKAAVAVKVESLSGFTNSLLRPHVFPQIILNDPVEKYMVPFQESRPLPADVILRKVVMRFLKDRSVPLVDDWGGCVGIVHRDDCTKLDAPLLSMSRGPPLCVPTSTTVEHVIDLLLREKSEMVVVVKSGNMYEGNYTSSSRPLGVFSLAILWNFSGDCSSDIHDADISRVTTLMQELQDAEACNCG >Dexi5B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:5B:1215528:1217300:1 gene:Dexi5B01G0001900 transcript:Dexi5B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRLATASSDSTIKIIGVNGASHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPDEWSQAHTFVEHKSSVNSIAWAPHELGLTLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTAVSWAPAMAPGALISAGSSGQYEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAAKEGEQWEGRVLYDFRTPVWRLSWSLTGNILATEPVSTPFGLPSPVTESQYNYNRTAFRVLSPYSYNAARRSRRLLRIRACAPRIPPPPPDVCDGTSPSSSRDRNLPRVKARSYPSPPPELPVSPIVFRRRWR >Dexi8A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:8A:1132162:1133046:-1 gene:Dexi8A01G0001630 transcript:Dexi8A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPIPAPPSRRPDHQQPSTGDADTTAAAPTETTPLQQEQPYPSFNQRPLSPPPGTYIVQIPKDQVLRVPPPDRARRYKKLAARPARRRLLRRACCFSLAAVLLLILLAAAFVGAVYLIFRPRSPTFSVSSLSIHGLDTLSSSSSSSSLSPELDAAVRADNGRNRRVIIDYRGGGSVTVSYAGERLATGPWPAFRQAARNVTVFAVAMRGNGVRLTEEQTKQLAAEQAAGDVPMVVEAVVPVRLRFGGTLLRTWTVDVKATCDVAVDRLAANATAVNRGCKVKVKPLWWWW >Dexi5A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:5A:4012921:4016091:-1 gene:Dexi5A01G0005370 transcript:Dexi5A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALDRGGFRRCTPVQAATIPHLLSHKDVAVEAATGSGKTLAFLIPVVEILRRRSSPPKSHEVLAVIVSPTRELSSQIFNVAQPFIATLKGLTSMLFVGGVDVKADRKRLAEEGANILVGTPGKLNDIMQNEDTLDYKNFEILILDEADRLLDMGFQRHINFILSKLPKQRRTGLFSATQTKAVADLSKAGLRNPKRVEVKTEAKLTSKGAAQQELCASKTPLGLRLEYMICEASKKSSQLVDFLVQNNGKKIMVYFATCACVDYWAVVLPLLNSLKGSPIIPYHGKMKQGPREKALASFSDLSSGILVCTDVAARGLDIPSVDLIVQYDPPQDPDVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKFRGVPLTERVCPSNTEDVVPQIRSAAREDRNVMEKGLRAFVSFIRAYKEHHCSYIFRWKDLEIGKLAMEYGLLQIPSMPEVKHHSLSLDGFIPVDDIDVTQIKYKDKAREKQRKKALKRKAEEEAQNPKPEKKRAREKPEKPKRKKTGKQRQSVQTKEDLDELEHEYRLLKKLKRGDIDEDEYEKLTGFGDSDGEASGGDTNDVDEGKERGNKAQKKLKQRGKGSEGSRKFEAKDKTRNKRR >Dexi5B01G0029080.1:cds pep primary_assembly:Fonio_CM05836:5B:30350818:30351285:1 gene:Dexi5B01G0029080 transcript:Dexi5B01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAATQWRVEGSFEQRSPTDEHAPAAANSTKGERVAPRLPAGLAEHTCQWSGSSIEPDFATEERRVTRRSAPNRSIGRPIYRPAKTNEPLDHPYATPALGPFAPTAGLVRAALRRGWETRRGSPPRHHRHLQPPPPPPPPPAATTAIDRERENE >Dexi8B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:8B:7780425:7782518:-1 gene:Dexi8B01G0006640 transcript:Dexi8B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTVRFFFSVAAAFARLVQNLRKAALAAAMAAAVSSSPARSPVGLIATRPLPLRRKASLVVPVAMSEKLLLATTPPPVLVAPSKVCDVISNGGGVGVVVEGQQQQPESKRKKTTTTPWSARRRPSRLVIPVADDAGELAAGWGAAAAAGKEADVEVEGEGFWVASRAGPRHAMEDAYSVITGNNCGDSSQLAFYGVFDGHGGRAAVDFVSKRLGENVVSAVLAADKAADDGNDAISAAIRSAYLATDSELLSQHQDASGGACAATAVVKRSDLYIAHLGDCRAVLSHGGGTAAALTADHTCAAEDERARIERGGGYVCRSGSGVWRVQGSLAVSRSFGDAGLKRWVVAEPAVTKVALGAGCEFLVVASDGLWDKVSNQEAVDVVSRSRAASEAAAVGRSCVELVEMARSRGSRDDVTVMVVDLERFVR >Dexi6A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:6A:27241324:27246228:1 gene:Dexi6A01G0019610 transcript:Dexi6A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVARRRLGSGCVSAPLSLAATVPCFFFLTRSHRDPFRSSQVLGQLAQALRPASAAAARTYSAAAKEVRAASRDLCPLSLAIPRLTSHRVSAHALITVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKAADILAKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEDSFAYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRTVPMAATA >Dexi1B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:1B:643004:643309:-1 gene:Dexi1B01G0000720 transcript:Dexi1B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSARPAAHALARQPQLHASSDGLCAGRSSTTTRPPQIHAPLRPHPTPRARAVEHRAGSFRDASAPATSTRTAQTGLLTSRRLRRPSNRGGGATTGRTP >Dexi8A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:8A:1894212:1896735:-1 gene:Dexi8A01G0002850 transcript:Dexi8A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSAAGSLRAAAAVVVGVATSSEGILVASSSAAAVDTSTASALHTATITAGEAVAISAATGTFRQKQLDFAVRSIFGKDTSEPAGELAGVDVPAASFFAFTLAAADKSVTSSTFFPFPSAAASTGVINDAIALAPIAAGIDDAIRDRDTSTSPKCHVSLRIKKTTTTAAGRDTVDAGNTSAASRQGEYYSFFLADDANDDDAASSDELDGDHAILRHVDGPTWLRRHRSATGNVKTEVLIGLSVAGIVLSLASLFIFLYFNNNNRGKRRRRPWKHNVVVSPEAYQPAASSPSYEQLSGSKSWFTYDELAGITGGFAAANIAIGAARHPRIIHRDIKSANILIDDGFEAKVADFGLAKLTNDSLTHISTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDASQPLGEESLVEWARVLLADALETDDFGEVADPALEGRFSTPEMRRMVGAAAACVRHSAAKRPRMVQVWRSLDVEDDEGGPPDLTNGVKVGQSMAYDSRQYSADIELFRRMAVFDDGDDGDDHLAVAEDDGGGGGGGGVAAGSRAHTYS >Dexi1B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:1B:24552112:24552843:-1 gene:Dexi1B01G0018320 transcript:Dexi1B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGQQQRDDAAAGLGDDNAAAARNGRNQEDSRCHQFCQHPNPMGVRFVYAALFLVANVLAWVIRESRVTFYQGQRLNGCHGDRDCLAADAVLVISFASFLFFLVMFFTTVSTSKLHDWRNSWHCQWWLPKAFLLGGSIIISTFTPAYWIQLYGYLT >Dexi3A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:3A:7530093:7531462:1 gene:Dexi3A01G0010540 transcript:Dexi3A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPALASSTAAQQLAAWRPLRRSLIPRPACVSRSSSILARGASARYSLRPRLVAAQSHPPPPLPAVRRDAETGLALLLVVIAAVLRISFGFSLAFVVVLATGKRLVERKQGLRKFEMAANKLVKVVAEEAPGTLSSLKLSFLEISDLTSQLKNLRKRITISRFGKEASTKVSSWTGWPK >Dexi9A01G0048250.1:cds pep primary_assembly:Fonio_CM05836:9A:51086676:51092274:1 gene:Dexi9A01G0048250 transcript:Dexi9A01G0048250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLIENDINTRPFSTQVLACLPPLPWTLSSEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTLLPNGNFEVGVHIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPDADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRQRRCDRGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKTNDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVVVHRLLAAALDIAKLPPIFQDGPQLTGIADSKNSNATLSIFFKFAVWPTDTEARIVKVKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVTKPGTNISYAVLQTVRIHMEVVEPQPHRPKLQLTLI >DexiUA01G0022730.1:cds pep primary_assembly:Fonio_CM05836:UA:46415652:46416308:1 gene:DexiUA01G0022730 transcript:DexiUA01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACMATPAAAQPPLLPTPPRFAGSAASDRSVWSSKKPGRASASQSWTRDKLVARTSAAVPIPGRASLSDSWTKDKTERKEAAIVEEQRVGRAPSREESLIRAKRASSRALSEVVGRSEKKAKPEENAAANKLDGDVEKPEENAEAKKLDEDVVFYAGPAFIKSPDPSEVPLPKFVLLGQSPEPSDLPAPRFLMKKAPKATRWFVIKAPKALRRRSI >Dexi3B01G0031690.1:cds pep primary_assembly:Fonio_CM05836:3B:33722012:33723052:-1 gene:Dexi3B01G0031690 transcript:Dexi3B01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRHSCSHFPEEVRSVHLLTIHDFSVTSATVGYSTDCIKSRCSVDGYPWELRLYPARSSVFNLSYHIGLELVFLGEARRRCGVTATLHCRLVKHQNIQPNECMKMSKIFCDRSDSVFLSIGAGTVTDVQQGGNLLTVECTITVIRDLPLPLPCDLHRDLGELLDSQVGANVTLAVSGEHIPVHKNILAARSPVFMAEFFGSMAERSAERVEIKDMDAQVLKAMLRFIYTGMVHEFDNQPAAGENAVMAQHLLVAADRYGLDRLNVICEQRLIVGIDIETVASTLALAEQHNCAGLKGKCIEFIAGSSPESLDAILNTEGYRHLEASSPSVLTELLRAANGKRSRS >Dexi5B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:5B:19735157:19737660:-1 gene:Dexi5B01G0017850 transcript:Dexi5B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLGLSCDEILLLSCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAESRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGASRPATVQMRGQPVAQQSSCCS >Dexi7A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:7A:20857753:20860055:-1 gene:Dexi7A01G0009910 transcript:Dexi7A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGHYGYKKTDGICDGVCGEPASKAALTMSRLKCALRGFDLRALMALLVGAPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMENLCKLHGWKVRDTPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGIKKPLHFQENRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPDILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPSYLLKNVDRFRYLLPGYCRRESG >Dexi2A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:2A:30820908:30824563:-1 gene:Dexi2A01G0018630 transcript:Dexi2A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHLTGPRVAVAAKPVGGLRLPTPSIAVPTGRRRARGLVVRAATVVSPKVGAQVVYSKYAGTELEFNDADHLILKEDDIIGILDSDDVKDLKPLNDRIVIKVAEAEQQTAGGLLLTQANKEKPSVGTVIAVGPGPLGEDGSRKPLSITPGSNVMYTKYAGSEFKGAEGDYIVLRASDVMALLS >Dexi8A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:8A:28902615:28903489:1 gene:Dexi8A01G0017160 transcript:Dexi8A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIVIKVNMTCDKCRSKAMALSASLWGVDSVRIAGVEKDQLVVVGSGVDAIRLVAALRKKLMTHAFLVEVGEAREAGAVVVNTQEAQPAYEPANVRRRSGIQSMITKLTSKLFMWPHDDIRRISSPSPPSSPPQHVEHTANLPPAESRVPSSYVLAVCKTAPPATEEELELLRDQHDQDSVDALQERVAMLHIDDEDGI >Dexi9B01G0021550.1:cds pep primary_assembly:Fonio_CM05836:9B:16257118:16257833:-1 gene:Dexi9B01G0021550 transcript:Dexi9B01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSPPSPAAAEAMVPGVGGVEPAVTLDQVPRWSDPDQRIFPAASTSDEAYADEGGSEPPAASGFLSFSDPLTGDDAGASGRGGASRFPVDQEINSRIYLWRGEPWNLEVDAVVNSTNEVAILDAILLSSLDEAHSSPGLHAAAGSGLAEECATLGGCRTGMAKMTNAYDLPAR >Dexi2B01G0033260.1:cds pep primary_assembly:Fonio_CM05836:2B:40901103:40904723:-1 gene:Dexi2B01G0033260 transcript:Dexi2B01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGVVRGAMAASEPSARAVAEEVVRWGSMKQTGVTLRYMMEFGSRPTERNLLLSAQFLQKELPIRFARRALELDSLPFGLSNKPAILKVRDWYLESFRDIRYFPEVRNRKDELAFTQMIKNVKVRHNNVVPTMALGVQQLKKQLCRKRKVPFGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPEPEPGVIGLINTRLSPIQVSDEGGGIPRSGLPKIFTYLYSTAKDPPDLDGRYDAAAMAGYGFGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >DexiUA01G0005030.1:cds pep primary_assembly:Fonio_CM05836:UA:9132962:9134366:1 gene:DexiUA01G0005030 transcript:DexiUA01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPHADQVTPEPESGDTAAPAKRPRAPPIITEVEIRTEFAHHDGAVSRINNGTFGCCPASVLASRSRWQRLFLSQLDAFYFCSLQPGLARSRAAVALAVGAGVDPSEVSLVDNATTAAAIVMQHVAWSFAEGRFSRGDAVLMLHYTYSSVKKSIHAYAARAGATVVEVPLPFPVASPSAVAAEFRAALAVAKDGGRRAVRLAVIDHITSMPSVLIPVKELVAICREEGVDKVFVDAAHAIGQDDPIASQLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDAVDFMARFEGGIEGISRRNHDKVVEMGMMLAEAWGTFLGAPPEMCGSMAMIGLPGCLGIDSDEDAMRVRDMLRNDFKVEVPIFHNSRGVEGKEMDKDAKGDEVTGYVRISHQVYNVREEYEVLRDAVNKLVLDGFTCAKLRPSGKVPSH >Dexi5B01G0037570.1:cds pep primary_assembly:Fonio_CM05836:5B:37055700:37059548:-1 gene:Dexi5B01G0037570 transcript:Dexi5B01G0037570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKKVEGPHGDVVAEEDPGRRRGLVGEADGDEKAPRRSQRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPSFLFIVGMAIPLSLKRIPDRGQAVRRVVIRTLKLLFWGILLQGGYSHAPDKLTYGVDMKHVRWGGILQLIFSGVEYDYWGALWACACPYEAIPLNKQLYTFSYICVTAGAAGIVFSLLYFLVDILNLLYAFAPLQWIGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILLWALVSGVLHRAGLYWKL >Dexi2B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:2B:7506138:7507499:-1 gene:Dexi2B01G0007140 transcript:Dexi2B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKVEVGEGEMEVEVREVAKAAAAAVAAAGPRCRVVRIIVHDEDATDSSSSEDEGEEEEEGEERIRDPQRGRRVWLGTFNTAEEAAAAYDAARLRIRGPGASTATNLPPSVDSDPLPPPTAASLPPRAPPAAPLPPRPPPHAAPLPPRAPSPAAPLPPRPPPPPPPRPEQKKKPSLPLLPPKLLQQPPPLVLLPPKKQHQSPPLLPPKKQYLPPPPPLLPPKKRLPSPPLPPPETWKQGGAASSSTPVPPPFTPLPVWALLSGKRKKRSGCGGRVPALRTPAAEETGRA >Dexi7A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:7A:22142388:22147454:1 gene:Dexi7A01G0011730 transcript:Dexi7A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGPGPGPVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVGNDVGENVGLLPGVLANNLPPWLILVIGSACAFFGFGTLWLAVTKTVAMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQISSVVLGVYLMVATILGDTLNLSQAVTYLLFGIMILLLLAPLAIPIKMTIYPNKQTKEKPSNLAPSYSTDSLSGADPENSEPLLGSASTTLATGTNESDESTDLDVLLAEGEGAVILKKKRGPRRGDDFTFLEALVKADFWLLFIVYFCGVGTGVTVLNNLAQIGLAVGANDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLDPSDCYGPDCFRVTFYVCAIVCCCGTLLSVLFIARIKPVYQMLYASGSFRHPRSQQQLH >DexiUA01G0016960.1:cds pep primary_assembly:Fonio_CM05836:UA:36146836:36147647:1 gene:DexiUA01G0016960 transcript:DexiUA01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQSQTEQPVTVRQLIDHDQQLMLFDDDVATATFTGGKADEAIKRKPVSRRSLRKILSRLHVDVWTAQHDAKFLDLLLRHTRGVGGGGGRRGVGDLTGDEWRAIRDELNGATRSAFPVEELQRRVAEFRREFEAVSRIKEHHRFSYDARRRVVVATEAEWKRYVLDNPEAVAYEGGRSTHFGRLRAIFSGNGGGGAETRGGRGGAAKQRRESRAKRCIGKLLRSFGLRKL >Dexi8B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:8B:5828915:5831092:-1 gene:Dexi8B01G0005580 transcript:Dexi8B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTESYSISDCERNESPPPAVMDADEEEIMSAPHNTLNIPDPKMKSPEIVDAIRLRVVPYGGKEPIAFHKVKRDLLRNQSVHVKAQCVRHRKEEVDIPGLLKSHKAYKEGDWKAFIDTGVDGDRRDWSFKHRVYRRNFRSKRQVKEFLDTDGPVTGKFRGKKLHKKVVTLLPKI >Dexi1A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:1A:2532973:2536138:1 gene:Dexi1A01G0003510 transcript:Dexi1A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEANGGEDLGQPRGEDREHDEEGEERQNGGAAHQRQPQAQRPAARPSSGQQPQHNPTPPVTMRNVGYVGKHRLSAAIARLDQELQSLQEELNELETMEPASTACQDVITSTGGKPDPLLPITSGPENSSWDRWFQRVRSSRSNKWWASRGSDFS >Dexi4B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:4B:12702434:12704342:-1 gene:Dexi4B01G0013670 transcript:Dexi4B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAYSRPSKPPGPAAGDRRGPRLAKELGRIEPKKLGIGLVAGCCLALLTYLSFARLFAIYSPVFDSSSLVLKNAPPATATMPATEAAPVHQKTEVEEQKDVTDPEADPNMLNIPEATRKDEQEEAPAMKRAAATEAKITCDENGVDEGFPYARPPVCELAGDIRIRPKEKTMYFVNPSGAGPFDANGEKKIRPFARKDDFLLPGVVEVTIKSVASAEVAPQCTRQHDVPVVVFSVAGYTDNFFHDNTDVLIPLFLTTAHLKGEVQLLITNFKPWWVHKFTPLLKKLSNYDVINFDKDDGVHCFRAGHLGLYRDRDLIISPHPTRNPHNYSMVDYNRFLRRAFNLPRDAPAVLGEETGAKPKMLIIERKGTRKLLNLREVLAMCEDLGFKVTVAEAGGDVRGFAESVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFTDPMRIHGQGWPAIAEIIMKQDVMVNLTRFKPFLLKALDELQE >Dexi9B01G0038000.1:cds pep primary_assembly:Fonio_CM05836:9B:39232665:39233069:-1 gene:Dexi9B01G0038000 transcript:Dexi9B01G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQQQQQQQAARRTLPWRGQIKARIFASLFRCLVPKAEARKDAGKNKEVINSPRVCPVPELGRCDPVRNAMAPVLEPAESRGGVGGGARGRE >Dexi7B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:7B:20805395:20806143:-1 gene:Dexi7B01G0014660 transcript:Dexi7B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASAAGVVVPGAAAASAPAGAAAPAPPTAAALLARAAAARLVWTLNARIALAAALFVLGCCAAALAFFAMTSSAPRGLPQPGTPPHVRAILIPSLTRSSHLLAFFASWFDLCLCMPPQGTCAPTEEEAADLRAASQQLLLAASAQVLGATAALLVPAPLFAAPGCLLGVLTANRADDVIWQLVACHGHVHGAASFHYWLFVVVMVVVLLVGLAVSLA >Dexi3B01G0023710.1:cds pep primary_assembly:Fonio_CM05836:3B:18449582:18450333:1 gene:Dexi3B01G0023710 transcript:Dexi3B01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEILDMAVDKTLDYCVDKVLAEDDDSLVEIAEDASNVGAVLFGDRSVANESDGSLDVVMVDNLAAALSEDGGKNDAGKGSGGALDVGVMVANDLLVDARLGRARDCPAAYPGRKGAGSAPPGPRKGQLQPVLGVPTPRELEIAARIEEVKSQPTRSSPRLAGVADHHILDKAKLRTAWKNLDHPGTASPSCAFLLPDNVISTKVGG >DexiUA01G0025160.1:cds pep primary_assembly:Fonio_CM05836:UA:52710516:52715118:-1 gene:DexiUA01G0025160 transcript:DexiUA01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTAGRRVGAVGGGGGSGGRRRAGCGGDQTQAQQRLLAVAVAARFAEAGPPPSAEAPCAGAGGCCVELLECLLAALGVSATAVTPAPAQYRWAVRSIRRRRPRGGASAEGRRAGAEQPPPGRLTGNGASASAVASLYTMQGKKGVNQDAMVVWENFGPKDDTVFCGVFDGHGPNGHLVAKRVRDVLPVKLSANLGRNGTATGGTIPHRVEDTDASLENEENSDHPEWFPALRASFLRAFYVMDRDLKLHRNIDCLFSGTTAVTVIKQLSEIKPIESFTGEAERIRQRRGRIFSLPDEPSVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVSYHRITEKDEFVVLATDGVWDVLSNVEVVSIISKAPSQVSASRFLVESAQRTWRTRYPTSKTDDCAAVCLFLNTEAASTSSSSGTKDLRNDIEASSSKHSLTVKSSPAVPANLVTALVGDEEWSILDGISGPVTMPILPKPTSVVNEKTKN >Dexi9B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:9B:2557626:2558891:1 gene:Dexi9B01G0004470 transcript:Dexi9B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGSVRRAAAAAVAILFGALVLIALVMDDGEKAALLPAISGRKTMSRADGEQRTPDGFKTDDTFQDSKRRVPNGPDPIHNRLHFGKEIPASVSPRWEPASPDDHRAEHDGDGTHVKAWKLLKRQTCVEQQTDVALHCKEEEEQTRGALWWVWLECGV >Dexi5B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:5B:2445637:2449143:-1 gene:Dexi5B01G0003620 transcript:Dexi5B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTLSARLGTTGPAAARSSPYARPSVPEPQGARGGGRISRPPLRLGAATARLLGPARKAPPSGSGRRAAADAADSAAPIRRSRGVETTTSEETVPKPEPEPEVRYDTPPLEKRRGRGGGGFVFLCALSGHTEAISGISVPSGSDKLYSGSVDGSVRVWDCNSGRCVDVIKMGGKVGCMITHGPWVLIGIPKSVEAWNTQTGMKLSLQGPSGLVCSMAIMDEMLFAGKESNIEPVSILSGHQRAVISLSISATRLYSGSLDKTIKVWDLMTLQCVQTLSEHKAAVTSVLCWGEKLLSCSLDKTVKVWTLSESGNLQVKYTHAEEHGLRTLFGMHRVGKSPILFCSLHNRNCIRLLDLQSFKEVGTLFSNKEMRTIELADGGPLFTGDCSGELKVWRWGAQDQEQEAASAAQS >Dexi7B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:7B:24276817:24279762:1 gene:Dexi7B01G0018580 transcript:Dexi7B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAWLPGAAVLGVLLLVVLAEAGPADVEMVFLKNAVAKGAVCLDGSPPVYHFSPGSGSGANNWVVHMERFSVLRADFYNWNRVKIRYCDGSSFTGDVEAVETAKNLYYRGFRVWRAIIDDLLTVRGMNKAQNALLSGCSAGGLAAILHCDRFHDLFPATTKVKCFSDAGFFLDGKDISGNNYARSIYKNVVNLHCMFPQYVVPTMRTPLFILNAAYDSWQIKNVLAPSPADPKKTWAQCKLDIKNCSPSQLATVQNFRTDFLAALPKPSPSLGMFIDSCNAHCQSGAQDTWLADGSPLVNKTQIGKAVGDWYFEREVSRRIDCPYPCNPTCKNREDD >Dexi3B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:3B:6358715:6360113:-1 gene:Dexi3B01G0009220 transcript:Dexi3B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMLTGGPPPTARPESPSLLSISPPQPWLPTRRVSGGCTQISASIAEELPRSSGRIMPMPSTEKNDDVRWPSAYSYSCIRENIHMLMNSNHRIIFLLVTVLYALLTPWLLWRVAGQPTAFVWTISLVACSYSIAGTICLSDTITTAILSVFFRVSCGTLLTVAATHLIGPTTGAIIFTLFTFYAAGMLGYAIGEHLQRVGFENSAGIAAARPARDEELQRRRDESVFYICFIQGNMTLGLIVRMAWLAFFPVVSGADDLLFIVEELSQEAMFLSWMGGREGRPARAPNSKGPHRILIAVKPPREPELTLHRCFAAGRRWLDIFNLDRHRYSACPCDPCVTLT >Dexi9B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:9B:7183383:7185109:1 gene:Dexi9B01G0011280 transcript:Dexi9B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTLCLIFIFLILFLLELILTRSRTKQPALHLPPGPWQLPLIGSLHHILLSRFRDLPHQALRELSGTHGPLMLLRFGSVPTLVASSAEAAREVMRTHDLAFCSRHLSATLDIISRGGNDVLFSPYNDQWRELRKVCVLELFNPRRVLSFRPVREEEVARLIRSVSGECGSGSGGGGGGGGVDVGEAICRMVNDVVVSTAIGGRCERRDEFLRELDEAVRLTGGFNLADLYPSSRLARRISAAARDMARCQKSVYRIVESIIHERAATRMPEREEDDLLGVLLRLQREGGLQFDLTNEIVSSVIFDIFAAGSETSSTVLVWAMSELVKNPRVLHKAQSEVRETFKGQGKLTEEDMVKLRYLHLVIKEALRLHAPVPFLLPRECRETCKVMGYDVPKGAKVFVNVWAIARDNKFWGDGEAFRPERFEGCSVDFRGNDFEFTPFGAGRRICPGITHGLANMELVLASLLYHFDWELDGELDMTEAFGITLRRKSNLMLKAKPCIEFVSD >Dexi5A01G0028860.1:cds pep primary_assembly:Fonio_CM05836:5A:32057358:32061626:-1 gene:Dexi5A01G0028860 transcript:Dexi5A01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNGSGGDSGGSSGKIRIGVCVMEKKVSCSPMEQILERLRAFGEFEIIIFGDEVILQDPVERPFLVNELPPQYLLHDRSKVYQQLKLYGVPVPTYAVVRREYPNQELNYFVEEDDFIEIHGKRFCKPFVEKPIDGNSSYNLISDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPEVRKARRDRSYIYEEFMPTGGTDVKVANLVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPSEKQIARSVSQAFRQAEK >Dexi1A01G0030780.1:cds pep primary_assembly:Fonio_CM05836:1A:35936646:35939513:-1 gene:Dexi1A01G0030780 transcript:Dexi1A01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGAHIVRNGSLDAGMLGDYVGGKGGGKPSRGGGGAARNTSRLVASLTCLQFAFAIYATFLLYYMSPAVDMRVKPDLAWATRIAQHWKQLIATHPGGDDAPPLSPQEVCEHESIDFEQKKSTDELMIRLKRDLYDEVRSFQQKTFGAETLPELLRMRSRWGPPGTPNVPRVTVILNHFKRRTLCAQLDQLRRQTLPFHRAWVLSFGSPNEASLRRIVESYNDSRVSFVSSGYDFKYYGRFQMALQSESDFVYILDDDMIPGARMLEILCHVSGTDKYGNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLPADLVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYITQWAAMHPQKVDALFYAHSLGEVRSLAPLLEKFRTTPGKKAYLVVSGGGHCPCEEAATVLKWPKVVCKDRRFKIFDLGIGALSGPSRSEVPVLQAVYASMRGIVQMHNPSVVVALADVDDKVKEALRMAANAAVNRTALVLLPRNSVSKVLWMATLKPASLPNWNRMRVSVNIITQNRAKSLLRLLTSLRNAYYLGDEVPISFNMDSRVDAATLQVVNSFDWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPYYYLWVKYALLAYRYDPAAGLPELSSISLYTPRLVEVVKERPKWNATEFFKKVHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNVLKHDKTDFEVPLVEDDFSLMLPQGKMPPASKLPVVNLFNQAISLKGLKAAGAKLRQDVLSCATKELVAVDTITGLPTNCTAF >Dexi3B01G0026310.1:cds pep primary_assembly:Fonio_CM05836:3B:21300091:21305248:1 gene:Dexi3B01G0026310 transcript:Dexi3B01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGVQQLLLLLFVWSAAGSRMAFADTNPQDVAALRSMMKKWKKFPSSWGKSNDPCRAEWDGILCDANGRVTSLNLFGMGMSGTLSDDVGNLTELRILDLSSNSLDGPLPAAIGKLVKLEYLALIGCGFTGPVPSELGNLSELIFFIPPSLGKLSNVTWLDLADNLLTGSLPNSRDNGTGLDQLLNAEHFHFNRNMLEGSIPESLFNSNMSLKHILFDINRFTGQIPASIGVIPSLEVLRLNDNGFTGPVPALKSPTKLQVLDLSNNSFDPSNVPSWFSDLKSIMTLTMKSVGLSGQLPQMLFSFPDLQQLVLSDNELNGTLDMGNNISTNLNVVDVQNNKISSVTVYNSFNVEDLNCTPNQMVLVPSNEGEYLTVAIKACPVNKKKFNYSQVLNCFNLTRQTYNPPEMFGPYYVQADPYPFQDKTSRAVLIGIVTGSVLLILGLTLVGFYAVRQKKRAQRLVSINDPFASWGTMGEDIGEAPKLKSARFFTLEELKLCTNYFREINVIGAGGYGRVYRGKLLDGQLVAIKRSKEGSMQGMKGIQLDWSRRLRIALDSARGLAYLHDHASPPIIHRDVKSTNILLDEKMTAKGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIHDNKYIVREVKMALDMEDRTHCGLKDLMDPVLVEMGALLAFPRFLKLALQCVEEVASSRPSMNSIVREIEGIMLDNGLTPGSMSSSSSFSVEHKMMKVGPKLPYSNASTSSSTTFDMDSRAFEYSGAFPSSHGSLKP >Dexi4A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:4A:6865519:6866509:-1 gene:Dexi4A01G0008910 transcript:Dexi4A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRRHLLPLLRTANLLPSPIHHRGCLLSSSADRFCLEDYLVAACGLAPEQARKTAQKAFDEAKRHCRKEAFVDLSWSRLHSASSPDAVLALLSGVGLSRDSIAAVVSADPLLLRASTKKLGPRLLDLRDRLGLSTPHIVRFLLVGSRALRNCNVVPRLEFFISLYGSFEELLWTLKKSDCVLLSDLETVIKPNIALFRQGGLSVRDIVQMCSRWPWLLTFNTEHVKEYMLRAEDLGVPRSSRMFNQAVCVVANNTKEMLAAKLEFLKSTLGCSESDVATAVSKMPSILGLSEDCLRRKIDFLVNEVRLEPQYIVQRPVLE >Dexi1B01G0029630.1:cds pep primary_assembly:Fonio_CM05836:1B:33880713:33883572:-1 gene:Dexi1B01G0029630 transcript:Dexi1B01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGAHIVRNGSLDAGMLGDYVGGKGGVKPTRGGGGAARNTSRLVASLTCLQFAFAIYATFLLYYMSPAVDMRVKPDLAWATRIAQHWKQLIATHPGGDEPPLSPQEVCEHESIDFEQKKSTDEVMIRLKRDLYDEVLSFQHKTFGAETLPELLRMRSRWGPPGTPNVPRVTVILNHFKRRTLCAQLDQLRRQTLPFHRAWVLSFGSPNEASLRRIVESYNDSRVSFVSSGYDFKYYGRFQMALQSESDFVYILDDDMIPGARMLEILCHVGGTDKYGNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLPADLVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYITQWAAMHPQKVDALFYAHSLGEVRSLAPLLEKFRTTPGKKAYLVVSGGGHCPCEEAATVLKWPKVVCKDRRFKIFDLGVGALSGPSRSEVPVLQAVYASMRGIVQMHNPSVVVALADVDDKVKEALRMAADAAVNRTALVLLPRNSVSKVLWMATLKPASLPNWNRMRVSVNIITQNRAKSLLRLLTSLRNAYYLGDEVPISFNMDSRVDAATLQVVNSFDWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPYYYLWVKYALLAYRYDPAAGLPELSSISLYTPRLVEVVKERPKWNATEFFKKVHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNVLKHDKTDFEVPLVEDDFSLMLPQGKMPPASKLPVVNLFNQAVSLKGLKAAGAKLRQDVLSCATKELVAVDTITGLPTNCTAF >Dexi8A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:8A:1187836:1190564:-1 gene:Dexi8A01G0001710 transcript:Dexi8A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASALPLLLARGPVSARPALAHLHSRRLAVAPLRPATLSAAAAAHPRKAGRLQQLNAASCCGNSAPAAGTTGGSAKGWRVFLAWYLMSLDKNPIATKAVTSAVLTLAGDLICQLVIDRVPELDLRRTFVFTFLGLALVGPTLHVWYLYLSKLVTASGASGAVARLILDQFIFSPIFIGVFMSLLVTFEGKPSIVVPKLKQVLAANFVALAWNVILSFKAHKEVITK >Dexi2A01G0034210.1:cds pep primary_assembly:Fonio_CM05836:2A:44285686:44285934:-1 gene:Dexi2A01G0034210 transcript:Dexi2A01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSCSRSPPRPPARARLLTPVCSHLPPRPKPELDPASSAQSRIRVPAAAHNCAAALRRYACRCLLVAPVARRPLARVLCG >Dexi7A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:7A:2268157:2270501:1 gene:Dexi7A01G0000830 transcript:Dexi7A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEEKEAATAARELLKADVLRGRRGTASQSRKPAAAAVATAQKRKAAAAGAATATEQMRCTRAGQIPEQNSGGTPPAMPFNDGSCFLNGANSFFGAPSHFGPFKPPQSMSDSAQEDQMSSPPASVESNQYVTIDSPDELPRTEKRILWTQEEDVKMMSSWLHNSTDPTIGADRKNEQYWNDVVGTYNETTPSIRRRNVKQIKDRFHKVLVIWALATMEMEAIWALVTREMIWALATMVN >Dexi3A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:3A:2022619:2024082:1 gene:Dexi3A01G0003080 transcript:Dexi3A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEPLQPVTVLEQWDVSPSPSPAAGQPPSLPPTFFDLVFWGFPPVQRLFFYDNPDLAGVVSSDFTAGELPRLKNSLAAALHYFYPLSGKLTTTTTTCEAPAPEVVFSHGDSVQLTVAVSADDFGDLAGDHPRDTARLRPLLPALRKHAGGESQDVLAVQVTVFPRAGVCIGTTLHHAVADGSSYAHFIKTWASIHRLGLDECKKAVAMDAPPLFDRTVVRDDVGLREAFLRDHRALAKSGDRRLDDWDLSRRSTGDVVLATFRFTDTQLLALGKHVESETSARCSPNALACGAAWAGIVHARRRRNMDGEVVAGDMVGEVVASSQERFGFVTGCKPRVNPPIPASYFGNCLGLCSVEATRLVNGGGGLTAAAEGAAAIWRVIEGLGEEGRVLRDARGWVRSVREHAAARAVTVAGSPKLRLYAAADLGGAWGRPRKVEIVSVERTGALALAEDGGGGIEVGLALPRAEMEAFRAFYLDLFDTLSAS >Dexi3A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:3A:10125847:10126607:-1 gene:Dexi3A01G0013870 transcript:Dexi3A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLVAAAVVIALVAGHGAAFDPNPLQDFCVADTTSKVRVNGIPCKDPATVTPDDFFFSGVDHPGGTASRRYGFTALPVDIPGLNTLGASHARVDVAPGAVFPPHYHPRASETAVVLDGAVYFGFVTSYPGNKVYAKVLRKGDVFAVPQGLVHFLHNNGTEPAALYATLSSQNPGLVLLADALFGSGLPGELLAKTFLTDGDTVHRIGAKFQSS >Dexi5B01G0021760.1:cds pep primary_assembly:Fonio_CM05836:5B:24056887:24058606:1 gene:Dexi5B01G0021760 transcript:Dexi5B01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLNFRPTAGHVRPHRHPLLAHLDACSSRAHLAELHGRLIRAHLALDAAVAGRLVALLASPVAGHDMRCARRVFDEMSQPIAPVWNCMIRGYTHSGAPGDALVTFRAMVRRGVAPDSYTMAAAVSASAAAYGWAWEWRATGDAVHAMVRKIGCAADLFVMSGLVNLYGTFRSAEDARKVFEEMQERDVVSWTSMISAFAQRGMWDDALRLLGEMQADGITPNKVTIISLLSACGHGKAIDKGLWVYGQLSEYGIEADVDIGNALVSMYAKCGCMSDAFEAFKVMPARNTKSWSALIDGFVQNQKHKEALAMFEEMLSNGLNPDAVTLVNVLSACTHLGDLQQGRNLHRYILSRGIYCDTILTNSLINMYAKCGDMAAADVVFRTMKHRDVVSWTTMVCGYVHGRQFTASFIFFEEMKVAGIVASEMALVSLLSACSQLGALDKGKEINAYIEEKNIKRDVFLESALVDMYAKCGCIDMAAEIFSKMQHKKTLTWNSMIGGLASNGHGKEAVQLFDQMLKFGDPRPDGITFKTVLGACAHVGMTS >Dexi1A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:1A:1344645:1344890:-1 gene:Dexi1A01G0002040 transcript:Dexi1A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHDVVAPAVVSNGANGHHQRSSSKRTIPAKTAIRGLIHAAIREGYRHFDCAGMQCNAI >Dexi2A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:2A:29936186:29938588:-1 gene:Dexi2A01G0017970 transcript:Dexi2A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRNAAPVATSSPPIPRKHKPRPRSFEPNPSSPILRPRLAEEEAAPPSPRSVSPLYPSVPPSPPQEQQLLPPPLSSPLGRWSFQGSLLLVPSRRRRIEQTFKKGTIVDALMESKGGKKSSSSSNFMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCVRQPS >Dexi6B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:6B:22575554:22576889:1 gene:Dexi6B01G0015200 transcript:Dexi6B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAFPAPHVMALSKVQRLYDACDLVFASPGAAPTLGEIRWLQKILDGVEAADVGIDDGEKPASSSSDDDELSPKSGHLHSARAFTRITYVHIYQCDDFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVAAPPCAAARKCGLARVVAADEVRSAPCEASVLFPTSGGNLHAFTAVTPCAILDETDLPEDFTVAGAPYLGPELTVDMDDDDDDYDDYTE >Dexi7B01G0022640.1:cds pep primary_assembly:Fonio_CM05836:7B:27506273:27511850:-1 gene:Dexi7B01G0022640 transcript:Dexi7B01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRGLVAVALAFLLLFASSPASAAASTAGATRGMARTEEQARAAYELWLSRHGKVRSAPRDYEHRFRAFWDNLRFVDAHNARAGARGYRLGLNRFADLTNAEFRAAYLGASGIAGRNATATGERYRYDGIEALPEFVDWRQKGAVAPNYLMDRRRAGSCWAFSAVGAVEGINKIVTGELVTLSEQELVDCSKNGQNSGCNGGMMDDAFAFIANNGGIDTDEDYPYTARDGKCDLVKKARRVVSIDGFEDVPHNDEKSLQKAVAHQPVTVAIEAGGREFQLYESGVFTGRCGTSLDHGVVAVGYGSTEDGQDYWLVRNSWGSDWGEAGYIRMARNVSSPAGKCCIAMEASYPVKAGPNPDPSPSPPAPAPPVTCDRHSTCPAGTTCCCTYGVRNTP >Dexi2B01G0021130.1:cds pep primary_assembly:Fonio_CM05836:2B:31042709:31044513:1 gene:Dexi2B01G0021130 transcript:Dexi2B01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPAKKPPAAGLEYCELCRHHHDLGRRHRYGRNHRDKLGAALPRFRSKLSDLRRALLHGSPSSQPPRPHLWCPFCSIDLVDLDDRSPCSNAIYHLASGEHLKGVKDFLRKHGGGMDQVDSLRISEDEVAKWEKGCESLSTGAKTGTEELIGPSLGPMKDIQNESTCDNSDTFARTNIPSFSNTASYVVMPLQNPTNGAYHPISTECHGAFGSGNASYSAPYGTVGLPITAWGSAETHKQQGVLSTNCFHGTGPEMNGKSHQPTIPGNGPRPLISFAAHVIS >Dexi3A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:3A:11683969:11688595:1 gene:Dexi3A01G0015690 transcript:Dexi3A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAYEVMFGKLSLQNLFEDYFDQAGNMTSRIMLKALEDPHVDLIATVSAAADKKCERVKGDALFRWQKESDDPHTFVDLLVSTSNPMWQLRSSAYYPEYGIGAFGTLPLLMGNRVRSEDSGVMGLRYGSENLSLGASFVPFPLSGEVPYGAWLVGRKGNLSAGVQYKPLSGNKNPMPFTDPENWNCAISYSVGSTSPLSPLSTFTLELARSKQLTMSFYRHMVVQRGVKNPSEDKEVVEITNYIDFGLEFTGRIDKPTENGNSLFQLAASWQANKNFLLKGKLGPSKSSVVLALKSWWRPSFTFSVTAVNDHSKGTTSFGFGIRTDDLRQPSYQRADPNYIMLTPNMENLAQGVGERPRFQARSDSGSYDHLPTDLRPIDRIM >Dexi1A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:1A:25646955:25652670:1 gene:Dexi1A01G0018460 transcript:Dexi1A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQVKFRSPEPAAGAVEAMRKAYAGIMLNMAQESAARVLAAERRAAALAAGLETAKEDGVAALLRLKAIMESRR >Dexi1B01G0029600.1:cds pep primary_assembly:Fonio_CM05836:1B:33841110:33844379:-1 gene:Dexi1B01G0029600 transcript:Dexi1B01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGGLHRSSSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLTVFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTHERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVNFCPCHVLSSTFFFFSELCSLFRPYLLHQGNRFLSAHFISLGCNAFKMKVLEETGCDVSALLNLDDCIEVSIGQQREISWHRIDDLLPASDDAVSRGVNGMKLYMVAPFLTKLKAWIATHPPPLYQKSEASARGTVWKAKNSSSGGAPPVENPVPRAGSDAQHADNNCPGRSFRNFRFDTASILQSMEASFLRS >Dexi8A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:8A:24179691:24181323:1 gene:Dexi8A01G0013880 transcript:Dexi8A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFAAVGGEEDAGRRHYGGRVTAFVALSCMTAAMGGAIFGYDIGTAGGVSSMDPFLRAFFPDVYRRMRGATHVSNYCKFDSQLLTLFTSSLYIAGLLTAVLLASWATARCGRRPSMVLGGLAYLAGAAVSGGAVNVSMAIIGRALLGVGLGFANQAVPLYLSEMAPARYRGMFSNGFQFSLCLGALLATVVNYGAEKITAGWGWRLSLGLAAVPAALLTVGAIFLPETPNSLIQQGRDLSDVRALLQKIRGTSAVDDELADIVAAARHVAGDGGLRLFLTRRRYRPQLAMAVLIPSLTQLTGINAIGFYLPVLLRSIGMGESASLLSTILLVIVSSASTFVSMLAADRFGRRTLLLAGGVQMLAAEVLVGAVMASKLGDEGDLSKGYAAALLVLVGVYSTGFGWSWGPLSWLVPSEIFPLEVRSAGQSVTVASGFVFTILVAQFFLAMLCRMKAALFFFFAGWIAAMTAFVYFLLPETKGLPIEQIDKVWAEHWFWRRVIGADEAQASDKL >Dexi9B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:9B:6889176:6889815:1 gene:Dexi9B01G0010930 transcript:Dexi9B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALAGEVTSDEATKAVRQVVKGDLDEEAIKAKFVDKDGKAKWLEYLDYLNTQECGGGAVYGKEVSGKQAMDKDIGREAAELKDVKVDEEAMHARFEDWMKQYGRSYNSEEEKARRYEIFKESALECDRQNKRNASKPNGARFGIGEFADWSMEEWNSRSCRSGDFPWRSSLLRERL >Dexi5B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:5B:3026422:3028776:-1 gene:Dexi5B01G0004470 transcript:Dexi5B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVAKRTSVFDDPTVEIQELTAVIKKDITALNTAVVDLQALCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENMKVHENRRQMFSSSAAKDASNPFVRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSSQPFMQQQQLATQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >Dexi1A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:1A:24558155:24558574:1 gene:Dexi1A01G0017190 transcript:Dexi1A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAESPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGLKKEMREMLPVVIFKESFLIRETQSVIYF >Dexi6B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:6B:2370186:2373548:1 gene:Dexi6B01G0002830 transcript:Dexi6B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRKHRSKWDTKEVSHDIVEISEDESLPDKTGVQHKPDTSMHHGSGTGHEKEQADGFNKDTKELQSKAPSERSQPLRTTDERDEWSKAAGSQVMNRYADDRRRGDGRGPARSRGYSSRVPSDPDAWRPRSRSPSPRGVWNRSRRFLHEDGGHRPFEDPYPPDPRERCARGASCRFAHGDSASRGGWRDEARESGHDRVGPESSYGNRTEHRRTAAANMNNENVSSMGGQNAAATVGDLSMQSVGLQSSQPNVNSSLIAASTSVPPAVQSNQWPWAQQQTGIVQTGLSVPSEQQQQAPQTFQMPIAIGSSNGNSIHLPHAGAPSGPAAAAASVVNETVPPLSKKGENKDSDAEANEDGDNKKSKDTKPLKMFKVALADFVKEALKPTWKEGQMSREAHKTIVKKVVDKVTSTVENTPPTKEKIEIYMSYSKEKLNKLVQAYVGKYAKA >Dexi6B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:6B:20336515:20341859:1 gene:Dexi6B01G0012630 transcript:Dexi6B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPKDYTNPYLPVNQTAIEGIVQPTVGPDGKIKEPESNRGVGVREGIKWASATFRSYKAHDERSRDYTLSDPNAQLQAAAQAPAQSTPGVAWQNTAPAAPFYGSTTASAPAGTGQVPAWNPASAPAGTGQVPAWNPNMQGGAFASASTPYPSQPMMANSMPHYPAVGTSSGAPPVPFHASQQMPQYGIPPGAPPHAPPAGQPMYFPK >Dexi1B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:1B:855887:856710:-1 gene:Dexi1B01G0001010 transcript:Dexi1B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRCYFVSASLGEKLYITGGLGLTDKSPNSWDIYDSATDSWCAHKNPMLTPDIVKFVALDEELVTIHQAAWNRMYFAGIYDPLDRTWRGTENEIARCFSSPTIVVDGTLYMLEQKLGTTLMMWQKDTKEWVMLGRLSDKVTRPPCQLVAIGRRIFVIGRGLSIVTIDLDTAARVDGMLVTSSTGPLVEQDLSPERSKVITI >Dexi8B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:8B:3528030:3528254:1 gene:Dexi8B01G0004040 transcript:Dexi8B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGATTNSTLEIVDVTTTTITMTTVVTITEIMNVVDAMMTIVERTTAIMTMATMKAMETKTTGTLMKRNATHL >Dexi2B01G0034210.1:cds pep primary_assembly:Fonio_CM05836:2B:41501358:41503132:1 gene:Dexi2B01G0034210 transcript:Dexi2B01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPEGEGRDGHAGGGRSFSYGEAEYWDARYVEEGGTPYDWYQRYEALRPFVRRFAPPASRLLMVGCGSALMSEDMVSDGYAEIMNIDISSVVIEMMRKKYFNIPQLQYLRMDVRDMSMFPDESFDCAIDKGTLDSLMAS >Dexi2B01G0028710.1:cds pep primary_assembly:Fonio_CM05836:2B:37261041:37263881:-1 gene:Dexi2B01G0028710 transcript:Dexi2B01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSCGGTAEEKEQRRESESEGEEEPAGVAAVLDFDMLCASVALSAERRKGAAAAGAAGGDCGGGVGVGGGVQRMWEGDVVLDCLEDRRIALETACCPFYRFGKNMRRANLGSCFLQGTESSMDDCVLHLICPCCTLCQEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALNKASLVPIKSPGLCGMDRASNAADEHEPLVPPAQLDQV >DexiUA01G0004690.1:cds pep primary_assembly:Fonio_CM05836:UA:8768507:8769037:1 gene:DexiUA01G0004690 transcript:DexiUA01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGASDLEAALQRVSDEDGARVDALNLRAARRAAAAATEPSPSFFEGFALQGIRVDRIRPGYILCSFTVPPRLTSAAGGSSHLAPGAMVALVDEIGSAAAVADGKHLKVSVDMSVSFVDLAAAAPGDTLRIVARALGHKGAYSGTHVLVANATTGQVVAEGRHSLFGKMKIRSNM >Dexi9B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:9B:238609:238914:1 gene:Dexi9B01G0000370 transcript:Dexi9B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGVAVPVPVPVPPAAAADKLCRRCKVSYDPSANTRLSCRFHPSLFVCRRHDDQKRYYELRDGDPPYAAKFYDCCGAEDPQAPGCTTDFHRSYDDAQE >Dexi8B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:8B:1496751:1503260:1 gene:Dexi8B01G0002170 transcript:Dexi8B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGRASSSGMAASLVPHAQGAVPTVGFGGFHGAVRVEPAAPSDPSDPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSILFAQKPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSENLKLTTQALSDKATPMDELEDMHQRSFSWLLENVSRYSGEVSSDDTPIKLITDILAKIVWRDYLLLSVDTTSGGVPLSHKNSGLAANTQYPVYYLQDLEKCIVEILDVIANTEDHLLNVSCELLVRDCLDIIQQGEKLPKFQDHVEQLVSFFLSLDQLVVHKGITWPLERLARPLVEQSLPAIKFTDTPSLVKLLSVLVEIFGPIPLFLKNYLKYDKESDVKSYLELFNDDLLPWCFNGKYSTYKSKIDLLLSLFQDESFFEQWCSIIKYTRAEQNHSIDDKTSNIKDRLELLTLVLQKVRERIARGKLRNLQKNGYLPEHWRHDLLDSTAASVLCDLPASDLHVSFVWYVLFNPFGFVPS >Dexi9A01G0031830.1:cds pep primary_assembly:Fonio_CM05836:9A:36748066:36748635:1 gene:Dexi9A01G0031830 transcript:Dexi9A01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVIEMYFQRIIPLSEQRARRRTVVVQREAASISKQDFPHLKLGILFSPHGVPEGMAPVSGSSTIEFIDGKQQHVMHKNISLEQLDEIMLPKAVDWLYKKAEATLYQLFWKSNHETAYLLLEKIGVFTDFLKPWVPLAPDTVQSQVLEWIKKADEM >Dexi5B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:5B:20128750:20129114:-1 gene:Dexi5B01G0018130 transcript:Dexi5B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWDDEDFFSYCPPSRCSEHGPEIRFPFRLESSNTSSLCGVPGMNLACSGQDTILVQPYVVTAIDYRRGSLTLVPRVDSSAFCRQKLIVYTK >Dexi7B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:7B:4087978:4088184:1 gene:Dexi7B01G0002400 transcript:Dexi7B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRAEGPATVLAIGTATPPNCMYQADYADYYFRVTKSEHLTDLEEKFKRICAYATL >Dexi5A01G0022530.1:cds pep primary_assembly:Fonio_CM05836:5A:26666938:26667243:-1 gene:Dexi5A01G0022530 transcript:Dexi5A01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPFGRPGGGVLDVMGLLPPRGGAHNNHGVPPAIGLASSHGMSGPMSTGAGAITTTNTSSAPPSLQMQHFMAQDFGLLQDMLPPPFVHSSGGGGNIQQP >Dexi9A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:9A:14057692:14057955:-1 gene:Dexi9A01G0019080 transcript:Dexi9A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINPNGGILSYGTLEGYAMWVATGVASAFFASLERCSCIHLHTAEDDGDEEDLEEARDRPLMLSRPQALPEYYYDRSGSSASFAKM >Dexi3B01G0035280.1:cds pep primary_assembly:Fonio_CM05836:3B:38013614:38016915:-1 gene:Dexi3B01G0035280 transcript:Dexi3B01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGAGDGASASSAAARAAVEEEARALLPAPSPDGYDEDDEDLEERAYEAAEKVIVCISDGPDPESGDGGGGEYDAELCSSSSGVAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATSMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFADTKPNGKDLLIVFAKGFYGSKEAGNIGLENAGQALITRSFAIVPTIVVALFFDTSDSALDILNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPNTQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGSLLCVAVFIYASFVLYLILRGTELSEKIVKAIRNGFS >Dexi9B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:9B:6844868:6845796:1 gene:Dexi9B01G0010860 transcript:Dexi9B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQLHCSTPTISPPAALPRRLRVACGALPHPAAAPARALGRPPRLVGVGDARADRAVWRVRRRLRYDDEDEEDDEEWGHNEDVARMERYTEDARDQALLVKARVDDEVELVLVFKGFSSSLSGGTAADPARSILPERAIIQSVDVVKGPFDPNNIEYLEKGLKWDDFKSRLQ >Dexi9B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:9B:3824064:3827812:-1 gene:Dexi9B01G0006360 transcript:Dexi9B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLLAAGALLCLVALLPRPGAAAASAQQGQQPAALARQDVAALYGLRASLGVRATDWPARADPCALWLGVTCRAGRVAELRLTGLRRTRAGARRAGFDVGPLRGLTALRTLNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPADLGASGNLTTLVLSGNSITGPIPASLFSIPGLRVLDLSSNNLTGPLPNVSFSGSNGAGVSFNASGNSLYGDIGQAIGSLTKRFWVVDVSANYFDQAVGTGFENITDGVVHLGTNCLSGAADQRSHGDCEAFYMRNGVRLAEAPQPSSPLSEPLPPQVLPAASTDKRGNRWKTILAGVLSGAAIVLILGFTVLVFCLTRTSGRRRPRARGLEQTEEGIRSGRRSSSVNPVTMSPIASPGASGSPKSIPVIIDDVTYEQLHHATGGFGDDNLVNHGHSGNIYHGVLESGFEVVVKKVDLKSSKRCQGELSFLSKHNHRRIVPLLGHLAKDEEQFLVYKYMAKGDLTTTLHKKSLEVEGMRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRSLDKNTSGPPASCTYDVYCFGKVLLELITGNFGLSGSNEADSDEWLARTLGYIDAYDKEGVSGIVDPSLVVDEDHLEEVWAVAIVAKTCLNPKPSRRPLARYILKALENPLRVVREREDLHSHSSQLKSTSTRSSWRFAFHGNKYESWDVMPSGKTLAARKSKAKSQGTERSDEDRGEFILV >Dexi5A01G0025660.1:cds pep primary_assembly:Fonio_CM05836:5A:29483778:29484623:-1 gene:Dexi5A01G0025660 transcript:Dexi5A01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSRALLAGAVLVAAALLLPARHAVATADDKARAPASANDKATPPSASGYDSAKPPTPTPADKGAPSPAAYDAKPPSSSPGDMAVPPSPYDSSAPAPLLPLVSPPPPPPLPFVIVEGVIYCKSCRGKGYNTGIDAESRTIPPAGLQGIYTPTSVLFFAPAAKGQCPY >DexiUA01G0020410.1:cds pep primary_assembly:Fonio_CM05836:UA:42691696:42695024:-1 gene:DexiUA01G0020410 transcript:DexiUA01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADAVTLVDTLEHLGMGLRFREEIDLLLDRVYRADEDLEFSTSNDLHIVALRFRDGNNGGGSFSTGLCGKTRDLLSLYNAAHMAIPGEEALDDAIAFARRHLEAAVNKGELKSPMAEQVSRALGIPLPRSKPRVEATYYIAEYGQEETYDAVLLELAKLDFNLVRALHLKELSDITLWWKALYDKVKLTFCRDRAVEMHFWTLDMVPWDEWEESAVSVIPEYLRGFYLQIVRQFNELEGMLKSHEKHRISYLIKEFQMQSRIYVQEAKWSNDKYIPTFKEHTEVSLMSSWASTLCLVASAFAEDDVLATEQAVQWGLGMPDMYMACAEITRFRNDAAAAVTAIVEPAWRRINQGCLEMDRALLPAAARPVVGMSREVEVIYLDGRDGYTFGSDIKHIIDRLFVDPVPV >Dexi6B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:6B:2791305:2791841:1 gene:Dexi6B01G0003420 transcript:Dexi6B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAAVAPPPVSVEVPASVPSPVQVPGTVTVPVATPQLMEQDSGASSSSAGEAGVVMPEAMPPYLYPMMIPPPYYHPTFVPVPCYGYVPFYYAPPGAAQAPHEVLKPVAVHSTPPLNVKDLYNMSELSLKGDDSNANSGVPASPLPPKPIGRPERQSAFHGKGPAGGSSGGLIPAVK >Dexi9A01G0028520.1:cds pep primary_assembly:Fonio_CM05836:9A:33223146:33224722:1 gene:Dexi9A01G0028520 transcript:Dexi9A01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSARSNASVENRLGYARSTSMDSASPSLAARSGSMLSRRSSRQGSRGSISLSREMGDSILNSMRHSLQSADQMLGDIDSSVLAQLIESGRVLAPESDVDEDIANNSKHDKVEPYKLSMKLDYAAYMIHLAVFGFFGVFTRYGLQKLFGPDCLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGMFIVNESITVGAETGERLRSLILKHIREKSSIGHKYDWEHWRMDTRT >Dexi5A01G0039600.1:cds pep primary_assembly:Fonio_CM05836:5A:40156869:40161077:-1 gene:Dexi5A01G0039600 transcript:Dexi5A01G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTMDLVTSSGRITPMFATPTPLRAEKMSAEAAPMKPNKGAISSPLNADDIVVRLDDTGAGETTTYARRGLGHQAGFYESSTSRLETREGDLCKCKGKAKRTKKKEGSPNPHAGSPIRAPTPPPARTSPPIRPSTHQTRTQQPSGAAPAAGDAMDVSRRKKWVAWAVAVAIFVVLMVVTPAIPQNEDYHDFADQRTLFLGIPNTLNGELWSWSLFFAGVTAVAFGSSYYHLNPNDATLVWDRLPMTIAFTSIMAIFIIERVDERAGAKSLAPLKRLLTNLSIGGLIRLSVVILLSIFVLQWYLFSWPSC >Dexi6A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:6A:23956900:23964735:1 gene:Dexi6A01G0016090 transcript:Dexi6A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRHLPLGAGAEPRCIASTSKVYTLEKVYGFRFAAVDSLLQAVIDVSSRGNGRDRDINVFVQRRSTFYLSRLLNPLESFIKNGLSKREPSLYEWYSSDRNPLVVRQFVNIFESDPLFNSATAICREGDMMNSSKSDLSLLMLGLICLAAITKLGSAKKQLLRALDREKIWARLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSNNLTDLDDSLNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYNDDVRSAATDTEGREEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSDCLKELEISKNNMSKGRGLPEPEENLDTELSSFDKSLESVEDALVKLENLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEVSFLGFLLFQLMQVADQYLLLQRKDVERQVAGPMILQHHKNQEAGGILLVDNKRRPFWDLFGQSSAKNVELALQVADQDMIHLLLLKGTVADVEKKDMESNDILRFEQLRRELIELEKRVQKSADDAQKESSPVFQEMGVTDETTEASPVASVPSGQASKKENVITKSVEKVKETTTVWPSILRSHSSKKHTVLQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPLTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKVKEMKVAEGSSEDLLETVGSRTEQVK >Dexi5A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:5A:3567595:3570315:1 gene:Dexi5A01G0004710 transcript:Dexi5A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCWALALAAAAYVAAKLMEVLWWRPRRVEEHFARQGIRGPRYRFFVGCVREMVALMVAASANPMPRPYRSHNVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLADADPDLIREILVSRADHFDRYESHPMVRQLEGEGLVSLRGEKWAHRRRVLSPAFRMDNLKLLLPFVGRTVVDMVDKWHGMAAAGSSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLTTLIGRRQEAADDENAMDLLGLMINANANGRRMSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPEWQELARQEVLDVCGARDIPSRDQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFAKGVAQAARHQAAFIPFGLGSRMCIGQNLALLEAKLTVAIILQRFDFRLSPSYIHAPTVLMLLHPQYGAPVIFRSRSSEPSDRDRDM >Dexi7A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:7A:22745733:22747517:-1 gene:Dexi7A01G0012580 transcript:Dexi7A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKGKWEKSSWGKKLIVQKTRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAAAA >Dexi3B01G0029820.1:cds pep primary_assembly:Fonio_CM05836:3B:29106967:29107731:1 gene:Dexi3B01G0029820 transcript:Dexi3B01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGLPRFHLAGTSNGFRPLPRIGRSSFVAGFSTELFDLPYF >Dexi2B01G0035490.1:cds pep primary_assembly:Fonio_CM05836:2B:42476901:42477188:1 gene:Dexi2B01G0035490 transcript:Dexi2B01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPGPKHAGLCVQHHSSSGRDKGTDEACTPVVTAHALIRAAASSVGGLPFVALLIRWHLPRKLQERSHVHQARLLQ >Dexi3A01G0032070.1:cds pep primary_assembly:Fonio_CM05836:3A:36750114:36755548:-1 gene:Dexi3A01G0032070 transcript:Dexi3A01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGMAAAAGTAVLVYLVLSGRLCGDAAGDGGGDDQLISSAVTAAAEARRKRKEEARDRRRRRARRPWPERAPGGWGEAASVAARTVRFTWAETLGKWTLGEVAFGIKYYMRQQGNLQHEYAGSDSVLLDGPEVRQELISLLRYLKLCMYFSKKPYNVFLEFGGYDQNDVLIKKSKARIIGHSMGAAIATILTYILRENDKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDVVPSLGIVSAAKLRTEVMASPWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFISDPRSKVVDVDMLQSQSPEGGRKPSVDTHAVVKKRPALVCWSCVAPQKQTVESSKQTQDRENQTDTNVKTVKVIREPAAEPVPIDLCELNLQESDNEDADKEEKESTLKETEDAMELLESLTDDKQDLLPSTSAQEPQQLYPPGRILHMVGLQAAAEATTSEQGSQEEVVTLYETPRHLYSKIRLARPMIREHYMPKYIKTMEQLIEKIAEEDIEDQLDSL >Dexi3A01G0024400.1:cds pep primary_assembly:Fonio_CM05836:3A:20054111:20056551:1 gene:Dexi3A01G0024400 transcript:Dexi3A01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVSNTVSGSGGWAVATALRPPAATLFWRSAGCRQRKLSLARASTDGSGSGAAPAEASIVGDNMEREEGGGEGVASAESSAGKQPPPVNPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGSTLYSIFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQSLDG >Dexi1B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:1B:22954253:22954612:-1 gene:Dexi1B01G0016460 transcript:Dexi1B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATVATLLSFSRRGYAAAAAVVEVHQRAAPAMAGRVSASAGGNSAAAAAREVFWMRDPQTGCWAPEDRFADVDAAELRARLLARKD >Dexi2B01G0031730.1:cds pep primary_assembly:Fonio_CM05836:2B:39753141:39753786:1 gene:Dexi2B01G0031730 transcript:Dexi2B01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHRRHFFAHPEHPLLRTQYGGDSDHACDICRSQLAGLAGYRCGACDIDVHETCADYFNDSIVFFAHPWHRLTLSRIPGGAAAEWTCDLCEEECAPGSFVYRCVRCMFDVHPLCKMLPQTVRSPLHPGRDLFMVPSAGQCSACHGDLPVWQYVCGGACFFRLHIACVAGAPAPAPLSRAVTLAKLAAVVTVSARNRAAARA >Dexi9B01G0023320.1:cds pep primary_assembly:Fonio_CM05836:9B:18384126:18385919:-1 gene:Dexi9B01G0023320 transcript:Dexi9B01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMATNGMAPSFFPANFLLQMQQPLPHHHPQQQEHHHHHHDGHEHHLLAPPPPTLVSPFLHDFGGAMAAPPPMLGGGLGKRMYPGDGGDDDNNLNAAADPQQQQDGGGGASDDEEGSAAGGGCGGGGERKRRLSVEQVRTLERSFEVANKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQLDAARAENDALLAHNKKLHAEIMALKGGGGGGGGRQEAASELINLNVKETEASCSNRSENSSEINLDISRPPPPAPAADETTHRGGGLPFYDQLLHSGHPSPAAAVPKMELGHGATAGDTTPAATGGSFGSLLCGAVVDEQPPFWPWADGHHSFQ >Dexi1A01G0022860.1:cds pep primary_assembly:Fonio_CM05836:1A:29457059:29460740:1 gene:Dexi1A01G0022860 transcript:Dexi1A01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARRKSGLRTQGSAGKSLSRPGSGTLDPVDEVVVKIDGNGNGHAPFSFHGGETAGGGGGGGREGNATPSTNSTATTPRTASRPRSSETNSPRSPAKVWREGSYEFWNNDGGGAAGAGAGADGRPAEAFSFKNRPPPSGSHATSPSMAPQQQQPPSNAAAEGGGVDPPTRLIGNFLRKQAASGCEKSLDLDLEMEEFGRTAQLREQPSFSSSLERDAPRVSFHEPQKRRSTSSCSSDSDTDGSGKRGGGDDGEVVRCTSSSTAAGAGPLLRAKTRSRLMDPPPQPAAAAPPAPAAPPVIEEERKSSGLRTPTKSGRLFSGLMPGNKSGPMGGKSGPMDEEEDDPFADEDIPDDFKRGKLDALTILQWLGLFLVIAALVCSLTIKVLAEKKVVGLHLWKWELLVFVLICGRLVSGWVIRIAVFGVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHFLFDKNVQQETNSPVLPYVTKILFCFLIATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDENHVLQEVHELQRAGATIPKELRDAVPTKNVSGQRNIQLSGVMPKGEGSKQLSKEKVGDGISIDMLHKLNQKNVSAWNMKRLMRIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAKIAAKRIFHNVARPGSKYIYLSDLMRFMRKEEATKAMDLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVVVGIIVFALWLLILGIATTHFFVFLSSQLLLAVFVFGNTLKTVFEAIIFLFVMHPFDVGDRCEIEGVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNYYRSPDMGEGIDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLEIEYRMLPLDVNIRNAPPIQSTRMPTTWSYS >Dexi9B01G0046110.1:cds pep primary_assembly:Fonio_CM05836:9B:45467021:45480750:-1 gene:Dexi9B01G0046110 transcript:Dexi9B01G0046110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGWAPARSVERHGAPRGGGMRSKSVAPVPRRPSPSPARSRPAPENGGSTDSCRVRVAVRVRPKNSEELAHDADFDSCVELQPESKRLKLKKNNWSCESYKFDEVFSENASQKRVYEVVAKPVAMKVVNTIKLKEEVDYENLYKKMEREVDQLTSEMERQQKLIKSEKILVDKKLKETERSFHDLRVTSSMQIEEVIYEELKSTQEKVQQEIWQRQDLEDQILRLKQTTSDNYAEESKTSCGMVRSGLGNAAFVSKSGKLRETGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEGPEFAPYINQERIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGLNQGVIMSKGGARLLANVASKTDDPQTLRMVAGGIANLCGNDGNTCDIIASGGIKELLRISRESPREDARNLAKKALDSNPAFLKEVQ >Dexi6A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:6A:12459070:12459531:1 gene:Dexi6A01G0010180 transcript:Dexi6A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHLLLAVVLVASILHHAATSEAIRPANSTDAATTVYDVLEQNNLPRGLLPLGVKSYVLHPGGGLEVTLPSECNFAVAVAGKQFTFRYGTSVSGVIKSGSISRVNGVRVQVEFAWLGFNQVTRAGNQLTIELEKSTQSFPVSAFAQSPRCS >Dexi6A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:6A:22005450:22011246:-1 gene:Dexi6A01G0014630 transcript:Dexi6A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLSRWAKGDVSIESLARPVAVDHRISIEYYFRIADNLLRQADVYREEKNLIDLYIILLRYSSLLLETIPKHRDYNSFKSREKEFLKKGPHNSEKLRRVLNELESLKPVVQKQFANRNSRGAEESNSVHGAYAASSGTEQYIPSPYMSKSLAANPTGVLQKPFSTSLHEATSLQNVQLERHLIKPYSTLPCPKDETLSRHSILGPNGLHGQWAGPVTGIRIEYPRNPELTQTDFSSLVPSISSQDSLHGHITVSQDKNEDMLSVLSLDDGRWSLPVEEPASVSPGLQEEFSQLNIRQPSVPPVVAQMHSERVPIPASRVADPRPGLGTSETGRYQSLHVPVALMECFLRVAEANTAKNLETCGILAGILKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKGSLLSLGWIHVSPLLGLVTAVLLIKSIMLPEAIAIVMAPTDTTRKHGIFHLTDPCGMGVIHDCDATGFHPHEEPLDGTPIYEHCSHVYMNPNVKFEMVDLREA >Dexi3B01G0025740.1:cds pep primary_assembly:Fonio_CM05836:3B:20580097:20586052:-1 gene:Dexi3B01G0025740 transcript:Dexi3B01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVGKRVLDTGWLAARSTDVALTGVQLTTTQPPAAADPAAPWMSAAVPGTVLGTLLKNELIPDPFYGLNNQAIVDIADAGREYYTFWFFTTFQCAPSGNQHVTLNFRGINYSAEMYLNGHKQVIPKGMFRRHTINITDILHPDGNNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWICPIRDRNTGIWDEVSVSITGLFFYKPNLWWPNGMGKQSLYNVEVSVDVKGFGESDSWNHYFGFRKIESTIDDSTGGRMFKVNGEPVFIRGGNWILSDGLLRLTKERYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINRALKNDLKLHPMFACNQASNSQEKYLSEESTDPSQYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPEGWTIPIFKKRIDGYTEEVPNPIWDYHKFIPYSKPGKVHDQIELYGHAKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTADKLTDVAVQISVWDLDGASPYYKVTEKIVVPPKKVKQIMEMKYPKMKDAKPVYFLLLKLFRLSDNAILSRNFYWLHLPGNDYKLLAQYQQKNIPLKIYSEVSVSGIKHKVRMTVENKSKKSIAESTRSVSTIELGNGSDSHNTGKETPQDGNGDGSLWRKICGGLGIARSSDNLRTLEVTGTDSGVAFFLHFSVHTSGSSSTAQEKYRDTRILPVHYSDNYFSLTPGEKTTVEISFEAPLGSSPRVVLRGWNHHLDHAVMI >Dexi5B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:5B:19052580:19054875:1 gene:Dexi5B01G0017450 transcript:Dexi5B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLTSWPPATESRRTLDGGALPKRLTELARSTSASVVRLMGTDPSGHDSPVAAAHSCTPASAVVPLGLSGRRWCPLGRAGGGSSGLCPRSLGWSNAGCRCAATQSMRAEEVHARTCLDGPERRLVEATEERLHPPVAPELVAGPEPLRLVRRGGGPAGEKQQQRGGEEREDVGGGGHQCGHSSGLSSCLLFFFSQAGEGEEYIGLAGPPAARL >Dexi4A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:4A:1808101:1808379:-1 gene:Dexi4A01G0002640 transcript:Dexi4A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALPSWTGEMPPLGHRLELPPLGHRLELPLQTIGAVAAAGSPSGCRRSHRLEPPLPPSVVMRSPEGFWVAVVKGQREQFWASAHRREPSR >Dexi6B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:6B:73243:73887:-1 gene:Dexi6B01G0000150 transcript:Dexi6B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKYCDQHKDCERQRLYRRFCAALVGIILLALLIVLIVWLVLRPSKPHFFLNNVDIVCINVSSSAALTVTMQATLAARNPNDRVGIFYDRADVYAEYRGLQVTVATSLPPMFQGRNDATVWAPFLSAANVPLPPYLATALAQDETAGYLLVTIRVDGWIRWKAGAFITSHYHLRVRCPALLTVNDGQGSYGSNAGGGRGYFKFNRAAPCIVDV >Dexi5A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:5A:4713686:4715293:-1 gene:Dexi5A01G0006420 transcript:Dexi5A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLNNLMENSNRPPCTLLSMDPAGSHPASTESSGGGGTANGVGSGGDPELFITPRRESAHPGPPDINLPLSADPSPPPPSWSLDAFEILDVTLGTHNYESEVALTLPKSTGNGSATVGVGARKCAKRGDSIWGAWFFFNHYFRPALVEKPKGKVTRDASGYILGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVVSPNLSAVPEADLKRWADLTGREISFSIPSEASDFESWRNLPSTDFELDRPHPPASKGAAHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIVVSMLFSDPHSVKVSWRNTLTHGIVKITCVSTARMPVIKRHDRTFRLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLVL >Dexi3B01G0035110.1:cds pep primary_assembly:Fonio_CM05836:3B:37724443:37727865:-1 gene:Dexi3B01G0035110 transcript:Dexi3B01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISTSHGLLDFMRLKAAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRLVRDLYSMLDEINSEEAPVDLKVPESFDEFIWDMKSNDYDLRSFAFKLKATMESMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDEYSSNALARKQLPPPELVPRLSDYSYYHFVVASDNILAASVVVRSTVRSSLKPEKIVFHVITDKKTYPAMHSWFALNSLYPAIVEVKGVHQFDWLTKENVPVLEAIETQRTVRDRYRGNHLARTSASDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQHDLSPLWDIDLAGKVNGAVETCR >Dexi5B01G0028480.1:cds pep primary_assembly:Fonio_CM05836:5B:29830465:29833124:-1 gene:Dexi5B01G0028480 transcript:Dexi5B01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATLRKRALSVHTSSNGTTLAAAAAAAELVSPSARLVEDFYIVVVIGIATPVNLPVARAGMESQLARYPRFRSIQVKDGSGNPRWVPTTVNLDHHIIYPKLDAAAVARDPDAAVEDYVATLSTLPMDESRPLWEFHVLDFPTSEAAATVAIRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPPPTSRTGAIWERPRPPVSAGVMAFLAWAWSFVVLAWHTVVDVVSFFATILFLRDPHTLFKRVNHGARQRKRLVHRGLSLDDVKFVKNAMNCTVNDVLVGVTYAALSRYYFRKTGDADTSKEIRVRSILLVNLRPTTSLQACVNMIESGKESDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVILKIFGLKAAGAIFHRMISHTTISFSNMIGPIEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDSHDLLDDFVESLKLIRGAASSLGKNHKND >Dexi9A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:9A:2150015:2151328:-1 gene:Dexi9A01G0004090 transcript:Dexi9A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSISSLQITRIAVVTGGNKGIGLEVCRQLAGNGVTVVLTARDETKGAAAVEKLRGMGLSSVIFHQMEITDDTSISRLANFLKTRFGKLDILVNNAGVVGLEFLQDRIDGTSTTSEKFGGIVDTYERIDLLMKWCFRETRDAGKECLRTNYHGTKQVIGALLPLLLASDDGRIVNVSSELGQLRLFGDEALRRELDDVETLTEERVDAVVAAFASDLDAGVAAVAVRGWPDGAMSAYAVSKAALNAYSRVLARRHPTVRVNCVHPGFVRTDMTVNFGLLPPEEGAARVVAVALLPAGGPTGAYFEERREAPFV >Dexi9A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:9A:14738346:14744864:1 gene:Dexi9A01G0019850 transcript:Dexi9A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATTTTTTSPLLLRCRPLPSPKTLAVAPPSPLLPFFLRPRLFAATPSSSPSASAASPRRRAAAAAATSGEKKPAAARAKRAGAVPAPAAAAAGMSASGGGAGGKRTVADVLMGNARVAASKAKKAAPSPKKPQAKPDGAEVEAEAAAVAEKPPSPVRSKRASSPAKSPKSLADGAAGEKKRSTSPAKSKGPAAAAQLDEAKENSPSPKRSKTLAAKSDAVLQTDGKKKRSPSPTKAKGQASQPEEKQEPASLKKAKPTDSSKSVEKNTTLELKKKGSEFDPMAAAYWNPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVMATTPDDLLATVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTISRVLSTFQTIAKESGKDSQDKKRNHMKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGHAAVYHDKKSSPPKVQSPFEEAAKIIKQAYSVLPIYDKIVPALLEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLNVRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSIGDSLDLVPIAAFYGRGKRTGVFGAFLLACYDEQNEEYQTICKIGTGFSEQQLEERSSSLRSKVIEKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPSKGISLRFPRLLRLRDDKSPEQATTSEQVADMYRAQKINHGYNQEDEDED >Dexi5A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:5A:25399835:25404432:-1 gene:Dexi5A01G0021590 transcript:Dexi5A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPSSPRDDQGSEEAPPFFTDYGEASRYEVTEVVGKGSYGVVAAAVDTPTGERVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVQIKHIMLPPSRREFRDIYIVFELMESDLHQVIKANDDLSPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANGDCKLKICDFGLARVSFNDTPSAIFWTVTNHSNSSKADEHIYCQIIFVLAELQLAQSIDRADNIRNEKARRYLGNMRKKHPIPFTQKFPGIDPMALHLLEHLLAFDPKDRPTAAEALTDPYFTGLANSEREPITQPISKFEFEFERRKLARDDVRELIYREILEYHPQMLQQFLCGGDKANFVYPSGVDRFKRQFAHLEESATKGEKTGPQLRQHASLPRERVNDSGDDLEKPTADYCIRLHVCEQPAFASVTDGLSKPHLSSRNFLKSESISASQCVVMKQKRDKDVSAITISYCIVYQDHPHGT >DexiUA01G0016440.1:cds pep primary_assembly:Fonio_CM05836:UA:35008936:35009516:1 gene:DexiUA01G0016440 transcript:DexiUA01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSTDKDKGKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >Dexi4A01G0022840.1:cds pep primary_assembly:Fonio_CM05836:4A:26112380:26116474:-1 gene:Dexi4A01G0022840 transcript:Dexi4A01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACAVCAKCAPAALQPQPQLETGTAATHRAPALSAAAARFTPRLFARHDHAGFRPPPDPRSVVCSCTGSAMAAIVAARASAPGSTIAAFHGHASPSRALPLAVSAASAASSSSSSSLAATRRYHHGSACCFAAKPTTPLAMDQDVPEAATASAASTEKPRKKRRSRKKSATAKLEEKDGDGAAEKPPAAAAAEEDEANKRKKEAGVEESARALVAGLDDVIVNPVGLGRRSRQVFDEVWRKFSRLAQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRMVDIVVGDVGDPATVKAAVSGCSKVIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNEMAQLRAGKSSKSKLRIAKFKSAKSLNGWEVRQGSYLPNTFASRFDEGIDATFEFSEDRKAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMATKAGFCRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKRQTGQETDFILVSCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGNELYELVAHLPDKANNYLTPALSVLEKNT >Dexi4B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:4B:2576809:2579382:1 gene:Dexi4B01G0003700 transcript:Dexi4B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPGRRGVPSLLNPSSSSSEEQQEHIASDVTQARTLSLSSSSFLIGWTPLVELKRITDKDGIDARIIGKVEAYQPLCSVKDRSALRMIEDAEERGLITPGVTTLVEPTSGNLGLGIVLIALRKGYRFVAVMPGQYSLDKQILLRYMGAELYLTDPALGFPGITEKVEQLKKELPNVHVLDQFSNKANPEAHIRWTGPEIWKDTAGKVDIFVAGSGSGGTVTGVGKYLKTQNPGIKIICVEPAESPVVSGGEPGKHKIQGIGPGFIPEVLDTSVIDEAVTVTTEEAMVNARRLAKEEGLLVGISSGANLAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECIAMTF >Dexi4B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:4B:5446793:5448955:-1 gene:Dexi4B01G0007570 transcript:Dexi4B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKRLLRCFGCGGEEEQKQEAAPPPRPAGKRPGGGGKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKSATKNFSTSNFLGEGGFGPVYKGFVDGRLRPGLEPQHVAVKYLDLESEGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPKGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPDKLHRLMDPSLEMQYSARAAEKAAKSVPKARPSMRDVVDALEPLLALDDDVPMGPFVFTVGGGDAEAAPAAQADDEAEAGSRQGKRHVIPLKYANAVRRPESPPTLSRA >Dexi4B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:4B:1139491:1142535:1 gene:Dexi4B01G0001830 transcript:Dexi4B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKNVARRSTKKYVEEALYRRLFRKGSTPQAVREEVDGFLDSRKRAFKWEVGVCVNRMRRSALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLELPETSKTHLTYGALLNCYCKELMTEKAESLMEKMKELNFAFTAMSFNSLMTLYTKVGQPEKVPSIIQDMKGDDVLPDVFTYNVWMRALAALKDIPGVERVIEEMKRDGRVTPDWTTYSNLASIYVNAGLFEKAEAALKELEKRNTSNDIEGYQFLITLYGRTQNLVEVHRVWRSLKRNCPRKANMSYLNTIQVLANLKDLPAAEACFKEWEAQYIHPPKTNTKDSGASITESESSTNTPSNQSDVKGTKGVEELEMKHPKYDIRVANAMIKAYVAEGMLDKAIALKKRAKMRGGRLNAKTWEIFMEHYLKAGDLKMAHWCADRAMKKGHSSGRIWVPPRDVTETLMNYFEKNKDVDGAEQYVEVLKKVQKDLGTVVFEPLVRTYAAAGKKFPGMRQRLKIENVEVGKETAELLDSICVDQ >Dexi1A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:1A:21419684:21420111:1 gene:Dexi1A01G0014740 transcript:Dexi1A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQLHVLLRDLDALKQHPDHLASIDRMRERVVAMKSPANGAASRSKIKNMSAEVVDNNPYSRHMALQP >Dexi4B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:4B:18206758:18207881:-1 gene:Dexi4B01G0016190 transcript:Dexi4B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHQISREHEACTCPENSGGTVARAHDAPFPSSSSRSTYTLCSPPWHPEPITTTRPSGMADTVAAVRASSGTCATVRHCAFPAPSRATRWPSRIMHMRSVVCVFVPGAAQQVLGHTPSSRKPPSTGSHAFVAGSNASAEPANLPCSCVVYPPTTRNLPPATQSPRGSSRSSAMSGSWAHASASGSYAIADLSAFFSSSWPPATYTFPFTAAQAKNDRRGPGIAAPRRHEPTNTASIGAHVSGSHPPATTSRFLPCPPPSTAATWQNRGRLDVGPGSAGSGAHRPSTGSRSTIRYEFAGPPEAPADECCLSAGAASSPGAGDVGGCAWASASTGRDSPSALRRRW >Dexi5A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:5A:6899876:6900130:1 gene:Dexi5A01G0009180 transcript:Dexi5A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAIPLRFRCSARGGVGRLDGRFLAAVPWSLGLSAGEYTDAGEETAAVIARGGGGEWCGGCRGWGRDGMALRKGKSSPRQWEG >Dexi5B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:5B:22905447:22906724:1 gene:Dexi5B01G0020690 transcript:Dexi5B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAAAPTTPVKRATHVVLLANPGAGHILPVAELARRIVAHGDGHEFTATLGTFTNFSSSEHSSTLASLPPSVSTAVLPEVPLDDLPSNARVETRIFTVVNRALPHLRDLLLRSPSGVAVFVADLLSPAALQVAVELGVPGYLFCTTNLMALSCMLHVPELDRTTTCEFRDLPEPVQLPGCVPLRGADLLDPLQDRSDPAYRLMAEFGNNHRLAHGFIVNTFDAMEHQTLEAFKYLSDKGVYPPAFAVGPFVRPCTGVENAKHGCLRWLDQQPDVSVLYVCLGSGGTLSTKQTAELAAGLEASGQRFLWVVRFPSDKDCSASYFGTSGHGADDPVSYLPDGFIERTRGVGLCVPMWAPQVEILSHRAVGGFVSHCGWNATLEARDVQ >Dexi5A01G0032360.1:cds pep primary_assembly:Fonio_CM05836:5A:34907221:34909805:1 gene:Dexi5A01G0032360 transcript:Dexi5A01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFNMINSVHDNIVLNNCVKDILSLIKPEEDDRSKRLSTIQELENCIHSLSSLSGAAVKPFGSFVSDLYSKSGDLDLSVQLGNGPNLPTNKKKKQNVLREVRRALQIRGVSGYMQFIPHARVPVLQYVSNRFGISCDISINNFAGRIKSRIFYWVNTLDERFGDMVLLIKEWAKAQNINDPKSGSLNSYSLCLLVLFHFQTSEPAILPPLKEIYEGNIAEDITG >Dexi9B01G0035000.1:cds pep primary_assembly:Fonio_CM05836:9B:36770837:36776333:1 gene:Dexi9B01G0035000 transcript:Dexi9B01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAPAPAPAPESQFSSQQPTPPPPTAESVLSQASRDPSGAASHLPELSQDALSDILGSLSASSPAGHLALLPAVLSLSPSPTAVSAAFTALLSAPTWPSSTLLAVASLLRDLPPAYRNRVPAFLGKILSLLPSADAQDLPAVAYQLLLLASKPLHPRAVLAGLLRFFGGRRGARVRAPPSIARQVEGTVLLNVAFVVKQDPVLAREVLAAVKADAAGALSGFAVAVLLSVARVRRFNEGAVGVLRDAAAVSRRDYRMSRRCKWLPDCMKEEWARAAQCVEKGLLKAVDESVVGREHVVPSIVQVGFLLVEVLDGDRAEEGGLGEGVMSTEEIGINMLKSLFEIHEMARTEIIEQCKFRILSAKPQQSAPVVRLLGCLIRGHPFPMLEYIAHLKELLDYFSFMNDKISTGLISCILPLTKFSHDLKDYIILVVRKAMFKREDMVRIAATNAIVELIIADIRKSEANPFEDSSEVLYEGLVRIVTSDPAIADNVLDFLWPHFLNYYTEDAECPLKSGLCFKVDNAKLCILEPLDSLLSCISRILRIQQNNKCEQPHDANWKCFGFAASQDNEAGRTSSSDLFVKALSRIQKYLRISLPEDQQGQSQEAGSLSSPSEIAHCHNLTMLGIIEVFVDFAASKLDKASDESKEMIEKEILELVDAHSGFERKTSNCREKISRRRGHSGDATDKHTNEPKENSNGTLQKLREKRGKFVDSCLYELSVMCVKQCNAGGYNNSSQHPSQAKSNQTSYLVSFVLKAFLESFKSLATKDSGDFEIKVSEDMKKLLQQIMQLIWRLLLDSNQENGGTKRNMTQGKKNNEGKKDHSYLALACLKELLKPNVPGDYSSDIIEVIVASAPPNIDDVMDAGALDKNDTTMFEDQSTKKVHVLLNILKMLYAQVLSQSFLREFEAVTELILGISRKLHLEQRHLVGSWATDLCGKKTVQSPSMAREVVKLAIHLTPSPDDMILVCEMAAELKKLMTSGEDSSKDSSDAYHIINCKTKNSLAAFYLQMVELSLTELDWSLGKIKAMVTLGYDSATIDEDQPADERMQRLAFEDALYSRSTLVVHVLSSFAHMSLKDSQAEQFLKLTAKFYKLLTRMSKSQIAPKGYTQSIPSLRFQKLAEVTCRMLTSPVYDFVSSVQEDQETPRKGNLAKIRRESKCIPDLIYQIEDYEKCLIQLSKLTKVNLLRHAKRSVARDFRIQDKSEEQQHEDNHAPANAAPSDREPDEDAGGQNTSVGSYDDGNVSSESEHDGNAGGLRAPGDGNADENIRPSIPCGSSFQESKSDSEEEEILARRKRAKTKQVVHDSDEEAEDE >Dexi8A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:8A:17352754:17354977:1 gene:Dexi8A01G0010360 transcript:Dexi8A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMLCRPTGLDLPGWLSRAAHSSESAQSSPVRSLPRRGERRLGEGRVRIDGMQQRPEDAMEEVEAAAGPELGFWLAARRRLAPDDPFFAAGDLERELLAKHLALDLSEDDRNQLEKMEVASTCTVFCPIAGCGAHLGCLEDFEDHYVTRHSASCSVCSRVYPTSRLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLIDKHQFPKSFEFFKKARPSQRQRQKSQRRPTAHKGEEARDNSMDVDGKGTRQTNWKYRPKQHDHKESKENERQHQEAKENEMEVEQKIDELTSAVSKLSTADSTPANVTFGHRRSRGLTFVPRSIRQNKQPASQPEAK >Dexi9B01G0025390.1:cds pep primary_assembly:Fonio_CM05836:9B:25581434:25581870:-1 gene:Dexi9B01G0025390 transcript:Dexi9B01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLVSARSSLVATLLLLLSAAAAATPQAPVATACHNDIIALRTTCYEYVQEGGRAIPPSSNCCATLMGLTNVPCVCEYLGSDLDVDMDKVFYVGRHCGVAIPRGCGGHMI >Dexi1B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:1B:20535255:20540924:-1 gene:Dexi1B01G0014280 transcript:Dexi1B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPRERTGGSYYPRAPNPTPSPHHGSERRRHGRRSPPATVSLPAAAVASPGAGGSRAISEPVLPAIRESINAPEKVVGFRISGEENHTCTQEVGSISECHLSGQGISGFPTDTVGLVGAYPERTKVVGSLQTDHLASGSTGVPGNGTQMAARRSQAVNANHLLNFQYDPISRPQPRGPRPYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYEVESMDPDKMLQWEDIICVRYYSPSEVQCPICLESPLCPQITSCGHIYCFPCILRYLTMGKEDYKGECWKKCPLCFMMVSTKELYTIHITQVQHFHAGDVATFTLLSRSRNSLTPSIKSTSTESSSANEDPCNVFSKFILTCDVELSVREAKSDLSSWLHMADLGLVDDLEKLPYVSAALEQLEERMKYWTEYRNYGCSPPLKDSFSPGSSLKSGNSFDVNTYHQNNGHKISPVSDRDMASGISALSMSPVSNKSPDKGTMPKANEKSTTAVDPNEHDAYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITGKILELETVTQSEAIRKRYRFLSHFSLTTTFQFCEIDLSDIVPPSSLAPFLDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEFANFSQSHNDVMFSLDDFEALGNNAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRVETRDASGENESASNQGPSATPALSFASIITSSRAATAADNNSEMQKQNGVGKKGKKPTRVLLSTGGGRRY >Dexi5B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:5B:21366712:21366941:1 gene:Dexi5B01G0019060 transcript:Dexi5B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPLDELYVEALRGGDVAAAAEQEEDVRVRVRGRRRGRTRIGEPVGEEVAEWDGWREAARLWRRRRSGL >Dexi6A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:6A:21565868:21568776:1 gene:Dexi6A01G0014200 transcript:Dexi6A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATKCLLPLFTVSMVLLLLNFEALEGALRLASRERRKVGVVAVTGDVGSGFVSRFRMLIGLDHRRSRHRRHQHSSEAPAPAPSTSPHEARAPAPARAHVSLPHTGHSRMPVKNRSHIAPVRSVARKLGGGNHTRLPKAAIVALAVAGACLLVLGIAIAAVFFRRTRKLQRKPFKLFHGSRTHRSPCATMKVSSHPSPDMLSLSSAAQCQDDYAILKGSSESKSLSTPSKSVELIVSGYTVKTNVDLQSVEADSFHSISCSRSSGGSITESPLQICDKTITDPSPSSPHTGDSPSGSSYQSLSPDFRTPSSPKSPASTASDRPHASSNPFYYPPEKQDIQETANTTGSMAHPESPREEQDNSNWFMNTSSGYKSTSNVTETTASETNTPFSASNAIFNLDSKETSRNSAERAEFKPSHATSVLKSPPPPPKSPPPPPPPKKTLSSLKGHNSGQPPLPPPLPIQVQVGKDGLPLPRLKPLHWDKVRAAPNRSTVWNDIQSSSFEFEFDEQMIKSLFAYNFQGPVKTEDAKNKTLSSSKHVIEHHKLQNTTILLKTLNASTEQVCGSITEGTGLSVQQLEALVKMKPSEEEEKKLLDYDGDINKLDPAENFVKVLLTIPMAFSRIEAMLYKETFDDEVAHLRMSFALIKGACRELRSSKLFLRLLEAVLKTGNRMNVGTIRGGASAFRLDALLKLSDIRGADGKTTLLHFVVQEMVRSQGLKVSDKICRTPGPCHATPTGREDCLEMGQEFVSDLSNELGNVKKVASIDMDTLKSSISNLSHGLAQLSRLIGKDLTSNDSTQNFLHCMRSFQTHAENTMQELKLAEAEVLQQVRELTEYYHGEFGKNESNLLHIFVIMRDFLGLLDRVCREMRGSKHIQHLNIVLPLR >Dexi5A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:5A:12912870:12916584:1 gene:Dexi5A01G0015340 transcript:Dexi5A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSEQAGLRRSSFKASVGAADGLGRRVSSMDGIRKEVRSSALRKRRCGGAQAAEGAHPEAHEKTFEAAWALTNIASGTSENTMLVVKPALLVLRQLIHSEDKDVLADACWALSYLTHSNGENSNIQAVIETGACSRLVELLSHPSTSVLFPSLLVVGNIASGDEAHTQAVINGNLIGPLVHLMGTAESAISNGAAWAITNATCGGTHDQIKYLVSQGCIKAFCDFLGHSDPRILKVCLEGLENILMVGEAEKSLGNCDINMYAEMIEDVDALDKIEDLQNHDNITIYHMAVRLLETFWVEEDDAMPSEENAPQASIHDTKLDVSVPPGAFNFG >Dexi2B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:2B:8719432:8722221:1 gene:Dexi2B01G0008520 transcript:Dexi2B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDEVGLEQVPDVIQNYHLCVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDINAATEHKIKVARIPGSTTGNAVSCAEMAIYLAMGVLRKQKEMDTAVNRKDLGVPVGETLFGKTILILGFGAIGVEVAKRLRPFGVKVLATKRNWSSDTLPCDVDEMVDKKGGSENMYEFAGEADIVITCMALNNETVGIVDHKFISSMKKGSYLINIARGRLLDYNAVFNHLKSGHLAGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKSVGETALQLHSGEPFTEIEFVN >Dexi8B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:8B:24036394:24037831:1 gene:Dexi8B01G0013640 transcript:Dexi8B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNDTTVPQPPPSQGKLITVLSIDGGGIRGLIPATIIGCLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDANNLPLFAAKDLNNFYLENGPKIFPQKNAGWLTPVMNLIGTITGPKYDGVFLHDKIKSLTHDVKIADTVTNVVVPAFDVKYLQPVIFSTYEAKSDPLKNAHLSDICISTSAAPTYFPAHFFTTTDGVKGGLSREYHLVDGGVAANNPTMIAMSMLTKEVLRRNPDFNPGKPTEYRNYLIISIGTGSAKLAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFEALHCQKNYLRIQDDSLTGHASSVDIATKENMQALIGIGKELLKKPVSRVNIDTGMYEAVAGEGTNEDALARFAKMLSDERKLRCGRGISTPTNN >Dexi5B01G0034800.1:cds pep primary_assembly:Fonio_CM05836:5B:34944062:34946719:-1 gene:Dexi5B01G0034800 transcript:Dexi5B01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWALNLKAGGSCLMPRHAQPPPASPLAAAGEIGSLSLRLTRWRRQPARWPRLVVNASGRKSKSSREDGDESKDKASSSGKGDASTPSGDASKRPTQNQGESESNDTMYIPSNLSYWRDVRASFVIPKLEQMVDANSPPQTSKDEQVYHLPRKWAHSIPMPESGCVLVATEELDGNGTFERTVILLLRLGSRDAYDGPFGIILNRPLYTKMKHVNPSFGEKATPFGDCSLLFGGPVDMSLFLMKTAEGKPIKGFEEVVPGICFGFRTDLEKAGALMNNGAVKPEDLKFYVGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDAVTTDPSCLWTEILQLMGSQYSELSQKPKQDGV >Dexi3B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:3B:7697462:7698974:-1 gene:Dexi3B01G0010930 transcript:Dexi3B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTFAVRRQDPELVGPAVPTPRETKRLSDIDDQDPHRMHTRTAFFYRGGRREVDDPAAVIRRALGEALVPYYPLAGRLREVAGMKLVVDCTGEGVLFVEADADVRLAELEAATGGLMDPVPCMEQLLLDVQGSSGILDCPLILIQVTRFLCGGFVFALRFNHLMCDATGIGQFISAMAELARGLPSPTVAPAWSRELLEARGPTPSSLLSCSHDAAMPLTTTAPPPPVGGGGGDMASRAFIFTKADIAAIKQHLPSHLRDKATTFEVAAAAIWRCHVVALDPPSGDDMQQLGFVVNVRRMPELGLPAGYYGNACVFVMATATAGALRDGSLGGAVELVREAKAAATAEYVRSTVDLIVLRRGRPPAIPEGNLLFVTDCRHAGFFHTVELGWGEPVYGGLMNIYQPGAALFATVRNGDGEDALVVPCLTLPRPAMDRFASEIEMLVNGDSS >Dexi1A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:1A:25791258:25792587:1 gene:Dexi1A01G0018640 transcript:Dexi1A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAMAILDLSSNSLTGGIPVNFGSSPALETLNLAYKNLTGSVPGNGVLRCINPHELAGNDGLCGGVLAPCSGSRDTGTAAGRPRGGSGARLRRIAMGWIAVVAAFAAALAGRYAYRRWFCDDDEGIAGDHGAWPWRLTAFQLLGFTSADVLACVKEANVVGMGATGVVYRAELPRARAVIAVKKLWRPAAKLRRASGAAVPVKDAAAAAAAATAVVVVDTDKAVFRTTPDSNYA >Dexi4B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:4B:11931800:11942884:1 gene:Dexi4B01G0013390 transcript:Dexi4B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSDDDDLVVYGTPIEREEDTSTRKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTVGSKEGWTPQTFTSSRKNRAELKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTAAEYARKQASKEQKERPSAIPGPIPDELVVPTSNSIGVALLMKMGWRQGRSIRDSHADSLYESRRNARKAFLALSGSRNDKDQEQSSDKPSLDQAVAGSLEEMHVSGNTPVYVLHPKQDLHGLGYDPFKHAPEFRDRKTLQKSRDRDHKRNDVSVRGNLLVPNSVQSSAGNYAPGFGIGALEELDAEDEDIYASGFAYEQTEVDIEPSKTASDSNYKLDDRKRGFYLSFKIASNSEYKLERFLPPEIPDDFDAQHKFPTPVQSAAKFSDIAPPEALPPEDTSLTLLIEGCAAMVARCGKHIEDFYKEKTVQLQSNLVDTFVKPISLDGLPESEKPFSNDPAKQARFEQFLKDKYKGGLRAANAAPISSMSEADRARERLDFEAAAEAIEKGKGKKVHDPSSVFSLPGMNEQRFVAATQLESSVVPKDEKPIYPRRNQFEWRPSPILCKRFDIIDPFMGKPMHVQRPRSKIENLIFMTESHKGTKDDVESSIRSSQHASMERITETESQGTVNDPDIEPNSMQRPVDLYKAIFSDDSDDDADEILNNQPVDPVKTSEGANMALNRLVAEDFLESLGKELGLEVPTERPNVSRAETLSSAGTSVSSQNDKITPALMEVKESRSSLGMVQVGNANNEASLASAEKLDLKFEKLVNRTEENRSRHMHRQSQSPRSDSSSERHRSRKRRSHHHIRDGTPESDSVSDHHRNRRRKSRSRHRKGRSRTPDTDSSSDTKHQRKRKEKRARRTYTPDTDSSDHEHKERYKSSSRRSSDKDKNRNHSRRHKHRRKDHQDYL >Dexi3B01G0035060.1:cds pep primary_assembly:Fonio_CM05836:3B:37700286:37700968:1 gene:Dexi3B01G0035060 transcript:Dexi3B01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLQYADTIVDHDTTFLSGMLWNSSKASSASPALAYPSSIVFQLTVSFSGISSNILLAPATSPCRTITAMAVFQDTTSRAGISSNTFRASVGTPRVPDECVLDGAELDDVRVRRAYGRDARGGERLDEEGVGEGVPLPRRHGREGGEGGVREGVERVGAEERVGEEGGAGSGVRSARERRGGGGGGEDAATSGDPAEESVGGDEFGRGARVAVEPLL >Dexi9B01G0043430.1:cds pep primary_assembly:Fonio_CM05836:9B:43390906:43391295:-1 gene:Dexi9B01G0043430 transcript:Dexi9B01G0043430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLLSPPSVPSPPPPLLRNGAPRQCLAPLLAAVPFPPPRHLGLAVARGDGGRRSVGMLGSSRARVARVFRVSAVSGDGGGGAGGSGIAAAATATVVLAVLNRVLYKLALVPMKNYPFFLAQFTTFA >Dexi9B01G0043730.1:cds pep primary_assembly:Fonio_CM05836:9B:43676623:43678897:-1 gene:Dexi9B01G0043730 transcript:Dexi9B01G0043730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTAQNAAAHPKPTAAADLGAYFRVMRLGDDDSSPQAPQVPVPTTTADALMQQLHTAARHYFPHQQPQSYGVGGGETSTSAAAGYAPPPYPYASSRGGPRDFYASHFGMHSPRSHHAAAAPTRVTPELYADAAGHFDPISSSSSSTPSPNPSAAATHYQFMPSAAPRLGAAACFPQRDGGNSSILNPHASAFQSTIHAANPNQMGMGYYNQSWLPHIMPQQVRYYRTLEQVRSRLRRRPLEMDLLAFPQTVAHVVHLLDEGDDEQVRRSVLAGVRRDVRGVMESADGHAVLVALVRACAGRRDELHDIVKSLYNGNCFLTKYNHGLANLLKELVTALTPYPQLLVQFICWLLRERLMEQYKSAELLQCCFKTMSYEDSKVIIQFATMIIDELLFSSFGSRCLAECLLCARNVELYALERIILDRTIEIAMGQYSNYFLQRAIECGSEHLQVAIAERVAADVVNLSTHRFGSYVVEACFLLARTAVPLQRLLAAFLCLRGDELAELVRGSYSNYVVSKMLDAGKNVSGRGAHRRFITKFDLMFGSGAGYIELLTLTAVMPSMQHFPKETRALARLIDKLPASVHREMHARGVMMVVNKLIHKHHRVHATLNY >Dexi5B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:5B:21388206:21390136:1 gene:Dexi5B01G0019080 transcript:Dexi5B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLNPFLHAGKTFGTRDPRTGDVIANIAEGDKADIDLAVKAAREAFDNGPWPRMTGYERGRILHKFADLIDQHIEELAILDTVDAGKLFAIGKARDIPGAAHLLRYYAGAADKIHGETLKMAQRMHGYTLKEPVGVVGLIVPWNYPTTMFFFKVGPALAAGCAVVVKPAEQTPLSALFYAHLAKEAGVPDGVLNVVPGLGPTAGAAVASHMDVDKLSFTGSTEVGRLVMKAAAESNLKPVSLELGGKSPIVVFDDADLDMAVNLVNFATYTNKGEICVAGTRIYVQEGIYDAFVKKAAELAKKSVVGDPFNPRVTQGPQVDKDQYEKVLKYIDIGMREGATLVTGGKACGDKGYYIEPTIFTDVKKANNTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYFAFDPDAPFGGYKMSGFGKDMGMDGLEKYLQTKTVVTPLYNTPWL >DexiUA01G0013880.1:cds pep primary_assembly:Fonio_CM05836:UA:29229685:29231696:-1 gene:DexiUA01G0013880 transcript:DexiUA01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVTVATGFHEHGGLAGFQVITDGSRGHGGGTATAAPWWSAAAHQEWCAAAKFPIISSGDSDPPEDLKYHEPSTAAIASYPDLHKYHGPFDLTLRQSMNLKMWSNIASAGQRQSYGLHSPYGAQAMHGRVLLPPAIAAEEPVYVNAKQFNGILRRRLARAKAAPARDLRVSRNRKPYLHESRHLHALRRARGTGGRFVNTRSLATGDPPPRLAGTSVRGAD >Dexi1A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:1A:2635200:2640962:-1 gene:Dexi1A01G0003610 transcript:Dexi1A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSDSRYCGVEVLDFPADEGLPAVLNHSLASSFDFILAPLVGAALLRYLPLPSLRNSDVLCFAPQVDPNYRPTPGAVLPVSASDLVLGPAQWSNHVVGKISEWIDLDAEDERVRVDSELTLKQEIAWASHLSLQLWLRLPLEKCEPMDDDLDKVKNINKTSKMVDSWELWNSFRLLCDHSSQLCVALDISSTLPSINSLGRWFGEPVRAAILQTNAILSGRSNHNVSPVSGGVLSGDENHIEGAPVRHALSPYLDYIAYLYQKMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDVVKYTQYQRAVAKALIDRVSDDAVSTTRTVVMVVGAGRGPLVRASLQLNEIWHSMQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWENLVTVISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETAYVVKLHRIATLAPPQQVFTFTHPNFSPNASNQRYTKLQFEIRPDTGSCLVHGFAGYFDSVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPDGSPIEVHFWRCCAPTKVWYEWAVTTPTLSPIHNSNGRSYWVGL >Dexi8B01G0008430.1:cds pep primary_assembly:Fonio_CM05836:8B:11270869:11273779:-1 gene:Dexi8B01G0008430 transcript:Dexi8B01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAATRSSSGATTHIFLVHGICHGGWCWYKVATQLGCLQSPAGRPWRVVALDLAASGVNSRRLGEVATFREYTGPLLDALRSLPDGEKAVVVGHSLGGLSVALAAEEFPEKVAAAVFLCAYMPDCTSPPASVLVEYTKADRASQLDNEMKPQDADGKLPAHFMFGPQFTEQNVYQLCSKEDITLGKSLMRVGAVFLEDLQVMGPLSKDRYGSVRKAYIVCKQDLAITEVYQRWMVSKNPVGEVMEIHRADHMAMLSAPNEVVQCIVDIANKYN >Dexi5B01G0036820.1:cds pep primary_assembly:Fonio_CM05836:5B:36423947:36428878:1 gene:Dexi5B01G0036820 transcript:Dexi5B01G0036820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLLPWPSPSTTRLTTPAPTRPPPRTTRVRSPLPPPPPYTRVRLPPAPPPPTKPPPPRLEPPVATKPTAASTPVPPATASTAASSSTCLDCIHFGKCSGCTHEVDLDKPPVLQEVANFFKGHGAGDFTFSRGRLSQWRCRAKLAVRGTPENPSIGLYQEGTHVVTDIPECRAHHPSINAAVKLLRQGISELNIQPFDEDAGTGELRYVQMAVTTYNTSIPVDKRYEQGRVQVSLVWNSRDERSQNAEKLALLIEFLWRNGGPKSSFHLIHSIWANFQTSTSNIIFGHKWRHLKGERDLWERYGGVDISLDPCSFGQANTLSFNSLLHKLNKYVPRGSTVVDLYSGAGVIGLSVAASRKCRSVKCVEINKQSKMSFEKSASRLPTNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVISALQKVALSERKAYKAKSSLAKVKDEKRPWILRAREAAVHDCKSLISGNAWQLENAHAFNFFPGTDSIEILAIFKRESEAGQKKKKKAKKKKAK >Dexi7B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:7B:10621207:10623178:1 gene:Dexi7B01G0004370 transcript:Dexi7B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLASATMTGAAAVHAARTPSSDVETAARTGKLADAGAAFVLESKGTWWHAGFHMTTATVGPALLTLPYALRGLGWWLGVAALTALAAVTFYCYLLVSRVLDHCEGSGRRHIRFRELAADVLGSEWASYLVLIVQTAINAGVSIGSILLAADCIQIIYTHVAPHGHLKLYHFIIVVAVVLALLSQMPSLHSLRYINFGSLIFSVGYTMLVSAACICAGLSSNAPPKDYSLSSSNSSRTFNAFLSISILASVFGNSILPEIQATLAPPASGKMAKALVLCYSVLSLTFYFPAITGYWAFGNQVRSNVLKSLMPDNAPWLAPTWLLIVAVVLVLLQLIAIALVYSQVAYEMMEAKSADAARGRFSRRNVAPRVALRTAYVAGCAVVAAMLPFFGEIIAVVGAVGYIPLDVVIPLVMYNMAVAAPRGGRRRSPAYLVNVAVMVVFAGVGVIGAVASVRKLVINADRFKLFSNGLS >Dexi7B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:7B:21907905:21909973:-1 gene:Dexi7B01G0015900 transcript:Dexi7B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERPSGEGDDKKRYPVGFRFTPTLRELVEFYLLPRLLDQPTVPNNAIIEADAYECDPEMLTKKYEERGVDDNWFFLSPRSRRYPGGDRPTRRTADNRGRWKPSTGQSKPGKNAAAGHSKGRKGLGKNLSVGAVDFTENTLAYYLGEPKDETKTKWLTQEITVPEPEKEKDPDEKPRDHMLLNKYVMCKIYKSPLKKWKEREAADKAGCSTSSTPALDKDVPSSSQSGPAPPESAGEASVTPTSSSKREGKRPALEQPSEHSSAPNKRAGSKQMTMPPGQPVPTQTNGGGMRAPPPVGVVGAAGGHYHGVPGLPSVMQWPPAMYNSMQGPVQLQRPPQMYMHNRMAPVQGPPVLQLHPPHRAAATASRGPRGSTMVMHPPNQVLAGQPVRSPSFPQPPQEQQLM >Dexi1A01G0029450.1:cds pep primary_assembly:Fonio_CM05836:1A:34928749:34929460:-1 gene:Dexi1A01G0029450 transcript:Dexi1A01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFWGGGRADEVADFDEYDPTPYGGGYDIALTFGRPLPPSEEICYPISTTSTTASSYDRPQQHGGRRLGTEESHGGPAAASYGGGYARGPTKPHEEDTHGSVASGYGYGRKGHDDEHAAYPKPKPAAAYGGDDDEQAAYRKPKPAAYGDERPSYGRKKNDDDDDSDDDDRRKQRYKKKDDDDDDDSDDDKKKRYEKNNRRRRDYDD >Dexi2B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:2B:2877967:2878233:1 gene:Dexi2B01G0003210 transcript:Dexi2B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAEARKAAAPEKQRNQACCPVCLDSYGDGDVVRVLPDCGHMFHRACVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLAAARLSS >Dexi8B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:8B:22829756:22831301:-1 gene:Dexi8B01G0013020 transcript:Dexi8B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFLGNASTVAQLAGVPVGLIVKIKQMAQTASQNRADCEDLARRVDMLADLLSRVRSSEPVAVAAPTMVALAATLQEAHDLVVSCHGHGRTYHLLTASRKAEQFRKVERQIDSYLQHFQAINNIAIDHIARRLDALMMHTNGGSSAPRHTTMVMVEPGAEAEFSLAEITVATNDFAVVLGSGDSGTVYKGRLHGGCGVAVKRLRRGGGGEDRFDTELAILAPLQHHHIVRLLGRCAEDGDRIVVTELMTNGSLHDHLHGRRRSSPVTSSWKARVEVLLGAARGVEHLHRRAMPLVIHAGVTSSHILLDLDATATTAAGASWTAATRLTGFGASMWRAAGVESQPVSTAIAGGGGYADPELVSTGRIKPASDVYSLGVVMLETLTGKPAMVKVWDERSSRTAAMALVSYALTSVRDGRLVDVLDRRPVASPATWQLEPLEMVAAMVARCLCLHGDNRPGISEVVVNLERALQLICTRGNF >Dexi5B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:5B:14628255:14632619:1 gene:Dexi5B01G0016450 transcript:Dexi5B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPNMRWKRKIHHGGSSQQQQIGPTLPDELCAIDEDVSHLSRIKSEPSQRTRASIYAGQKRPISTFKLLSGRESNCSGMGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRAYSSLSPTVHIVNVQNAVRESHANITADVNVVTFADETSDVLYSGSDDSLCKI >Dexi7B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:7B:14126169:14131466:1 gene:Dexi7B01G0006400 transcript:Dexi7B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPQQHGHPHTSGGLGYFHLRLVGPAPALLLLRSDRLYSLSPSRRRGHRLRLLLDRPRRPHARGFLLSTSGCALWLTHRFYGDGAPSVSGRPLRARTPAELAVGDEVSVLWRGARYGLVVERFVLCGGGGCGAAEGAGSFGEGLVVRAESLRKRLRAISESEDPLSFLRDSSCLGNGGADAGVKEMRQDGAGDLCPGNSAHPASDQNLPKDDCNLGEGKLEYRPDVAKGVGADTELCQGSKGCGDDNEDQTGCSIGNGKQHHNEGCYSDGSTFFLNRLVGIGSLSDTLAEPHTGVTLPQLLHPIESLVRVFAATFTSDISWFLDYCKIPRYLPVTVACHNKERCWSASSENRMEAPFESHPNLLLVYPRFPEEIAFGKDRKKQGVACHHPKLIVLQREDSMRVVVTSANLVPRQEKHVLHTQSAHRIFVGSVQTSVVGISHRFNMPSDAGSQLRALSAFLGKCRENMHGTTEVILKRSTNIPADANAVSVLVADLDKFSEEVNHDQCPQAGSVQLGFLPREVAKWVAPLSDSGFFNFSGFIYPREALEAAFGVTNTKVQLLLYVSKGPEFSRISELMCDQHFPPLCSLIASLKQCLGLWRLEEVAQRRFESRPGGHSFGWTYCGSHNFSPAAWGQLLSPPSKANPTEARAAPSGPRLHICNYELGIILISPPPGGKSKHASESGHRIDGISLPFVVPPPRYKGSDRPATRLAMREAVAEACVLQSNDVVDLTDDTDEDVPDEDDEQEVELSDCSQQEKEEEKIYAETLWGQVDSSQSQGKD >Dexi7B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:7B:17224797:17225131:1 gene:Dexi7B01G0009710 transcript:Dexi7B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSVRTGPEIGELAFARGGRLLVSAFMYAERHVVAIGFLILDGDDLDNKLALPGLNNKQMLAICFVACTVNYGAMAVLGYLMYGDGVQSTGDAESPAARLS >Dexi3A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:3A:4379139:4381197:-1 gene:Dexi3A01G0006610 transcript:Dexi3A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKKKQSNSAGMKTMSKKPEEQAATKKPEEKAPAGDFASPSLNQEEEEKIQIEKIPSGSEVRSPSLYLVVRHAVVRPAYSVYRIKHPLVSADHPLPVPCRVKYLDAEHDMSFAAVSSRRRSWIVGVGGFRGRQGGRGQTIVFDCKTGLVAKGPSPMASKYQPVLFVVGEKVYALAHMPNVWRQPDSAPLFEVLDLSDASCVDGKLTGCDWFPLPPLPLFPCLNMEGVRIRVAIFPAEVGIRPVNSGDGPPAGLTGRGPIRQLGRGRDPFTDEEAGTVAFDTITDRWHYVDRQKNMPFIGEAVPYDGLYLGRSKSKEWDDLTAYQLSMTKTNGTYQTPRLSIEEVPIIAPTYMPGQFFASLGKGVICAVGCSTQNWTGNEELEMDDIYINIHTCVDAKEESKTKWPGELMLPNKPAMYAFSVQEPIYQLIAPSLAAALRVHV >Dexi3A01G0033490.1:cds pep primary_assembly:Fonio_CM05836:3A:38247089:38248480:1 gene:Dexi3A01G0033490 transcript:Dexi3A01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATAMRSPRGGARRPFAPVVVSPSRAGNQLAQEEAVAVLGAGAGGKAAAKKCGGSGSLPLPVPVSSKKTPAAAVKRAPRTAGRGMVGSCAKKCSAPAAANSPVRGVSDGAREMVRAMPSLPLSNVAGQVRRGSEASASRKRTMEEAMAGLPEPGEGRVKYLVVTFERLLSLAAAGDGGPEARGRGRGARTTRRKDEATATSRATSESAPEMPPGAEEIDVSYPSIASSSEVSFPAVAGVACILDTSDRTRRITHARGQRRQRTCNSTDSSDRGSSRKVARVTSQHPFNLKTEQRGKAKEFDFVQRLRKMQMEEERLRNPLAQGLPYTTDEPEVWQVGKDA >Dexi2A01G0032720.1:cds pep primary_assembly:Fonio_CM05836:2A:43126478:43128010:1 gene:Dexi2A01G0032720 transcript:Dexi2A01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAETAARKLLRGDAIARGVSFGLWDLVTGFFANILSYLFAALAGVAHLLVLPLELLLHWLVTLITNAAGAIASGLDGLWQLVTGFFAGIFAALASVPHLLVLPLMALWRWLVTIVADAAGAIGSGLDGLWHLVTGFFAGVFAGLASAAHQLVLPLETLWQWLATTAANAACSISSGVDGLWQLVIGFFPGILAHLSAAVVGLTQQLESLWQWLVTNVAHAAGAISSGLDSLWQLVAGFFPKILAHILAAVSGAAHEIPGKLEELWRWVKAAAVVALPFVVGIAVILLLVALVRFWGPALCAAVVGGCALVYAVSYLGNVVLTVVTVSVAGVLSCLLHPWAQCLHVHLHVVSMSALSALAVRACQALVKAFSDLMLSEAAAAPSPCPARLPPPRPATQPLAVAAEEEDGERVSLMAALQEQSERQWSDGAVAPREEKLELVVVEEDDTEAAGEEGVVADCCCVCMAQAKDAAFVPCGHTLCRDCARKLLASRGLCPLCNAAIDGVLDIF >Dexi5A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:5A:11163865:11166148:-1 gene:Dexi5A01G0014240 transcript:Dexi5A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVGSPGTWGGLALRVSQIVCAAGSLVVMSSAYGFSNYSAFCYLILQMLLQLMWSFMLACIDIHSLRTNWDLHRIGNVYKYVIGDWIMAMGSLAAASSSVAVAIFLTTDVEFCRVYPYLSCSRYTVSVILASMTWSFTAASAGSTFWLLVSLCDSDWDI >Dexi5B01G0028600.1:cds pep primary_assembly:Fonio_CM05836:5B:29968711:29970595:-1 gene:Dexi5B01G0028600 transcript:Dexi5B01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSSNGTLLTLRVLLFCALAAAATTTLLPLAASPCSHSLSRTILSATGLDPFLLSCAGDDASASPSLSSHGDDDVDGANHETGKASRPIVTDQLCIKGELPPDALPPFQCCPPASASEPINFTFPDPGEPLRTRRPVHVVGDEHMAKYARAVALMKSLPVSDPRSFYQQANVHCAYCTGAHRQAGRPDMGVQIHFSWLFFPFHRAYLYFFERIAAKLLGDPGFALPIWSWDVPEGMGIPEVFADVASPLYDPIREPSHAPPKVADLDFFLQGEKNLTDQEVILHNLRVMYRQMVSGATLPSLFLGQPYRAGDAEMPGPGTVEWAPHNTMHTWTGDSSRPNAENMGVYYSAGRDPLFYPHHGNIDRLWETWRNDIAVGRRRRADFDDPDWLDSSFLLYDEEARLVRITVRDVLDADKLRYTYGDVAVPWLTARPHITPGVNPKPKGGLPLESVRFPVSLDAAATVEVRRPPRAQQLRRSLEEKAQEEEVLVIEGIEADAGDFVRFDVYVNAREYYKVPVGGREMAGTFATLKHPGKEGMVVRTSMRVALSEVLEDLGAQGDESVTVTLVPVRGKVRIGGLKIVYMEE >Dexi3A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:3A:10757836:10758934:1 gene:Dexi3A01G0014660 transcript:Dexi3A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKPKPAGPAPPPPPPPAPEAKKGFMRRMFPFLLAANLFVGAYVLVRTYRKDSGKDSATDPATAPTSSARKPAEPVTVPRKELPPIPEDEQRQLYKWMLEEKRKIKPRNAAERKKLDEEKALLKDFIRAESLPSL >Dexi1B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:1B:6044430:6045068:-1 gene:Dexi1B01G0007320 transcript:Dexi1B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHRGCCSISRQQVHQQPSPAPARVIAGDGSLKEFPAASPLIAVSDELGLDDGGGDTSSFVCSADVLYFNEHPPAMSSGDVLLPGQMYFVLPGDLLGRPLSAADMAALAARASSALASSSGKQPQRRRRCVGGKRKKKKALRVMPLQEQQDVESVGLFNEKLNELTLGQVGVLLSPVRKKMNDEMITAAERSRHKRALSIIREDAE >Dexi7B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:7B:18241502:18243537:-1 gene:Dexi7B01G0011130 transcript:Dexi7B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHAAAAAAEADVRVEAESSSQSQQGVASSRGAMSQEDCVSGIRSALNHPTARFLREQMEKSGCQVWPRLIRAATCNSAGGYASQEGIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSVKNNPYCSEAAAKDAVEAVWHICYNDTRPFDRAP >Dexi3A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:3A:10584996:10586927:1 gene:Dexi3A01G0014540 transcript:Dexi3A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFWQFSDQLRLQNNFSNLSIAHSIWSSASALPDQGVAAGTNGGGPGLIGSAGSKLAFGNATTTNADRYNYFPANAGGAANLDAKTNSNNSGGLAAFNKNINSPAALGNDYYFSKSAANTNAGGDVIKSYFNKSVGRQANNNSSNFSVGKKNAAVHDKKKGAGNGNGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKVFA >Dexi5A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:5A:22753729:22760938:-1 gene:Dexi5A01G0019220 transcript:Dexi5A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARVWGFMRGEMANVERSCDVRNREGGGAXXXXXGIGCGAGGIGGSVGEVRGGRGESGYAGAAVATAMPARVWGFMRGEMANVERSCDVRNREGGGA >Dexi7B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:7B:17463457:17464283:1 gene:Dexi7B01G0010070 transcript:Dexi7B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTDLVVEVKSPADKLWAALRDSTELFPKIFPQQYQSIETVEGDGKSAGTVRLLKYTEGVPMLTFAKEKLELADDENKVVSYSVVDGELVNFYKNFRITLKVSPGKEGAVVNWSMEFDKANEQVPDPDVIKETATKTFHDLDDYLLKNAAEAAPAAPAVAV >Dexi2A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:2A:5062570:5065594:1 gene:Dexi2A01G0005290 transcript:Dexi2A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGKEVIDMSTDEESDCVLVCPPNGNADHEEVVSGSHDEDSPERQETIGSHMDSNGQEVVPVNEDSPKLIHEQKSSLTNSPAKPAIAGQQGSSHTVPEPCTVTAEKRSSRAGNCAQVSQPTSSGEKLSDKSSSSPRSMAKKV >Dexi9A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:9A:8082337:8085320:-1 gene:Dexi9A01G0012660 transcript:Dexi9A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSMYRGNLHIGGRDSVAAAPRRWEPPRPTLSAKRFRRLLRSRSLAIARLDGAPPRPGSPSSAGVDGGHGAAENGAEARDVEGVGQVEEQQPQQQQQQEEDEGQQQQQLEEGHGEEEQQQQQAEEEEHEEGAVEDADMEDAGEIVVEGDDNGDAEEGQGESEGVDSNQQEASYSDQIDEKKRKLNEKLDVLNKKKHDLVQMLKQVLNAEEEIRRRNMQASLRITMPQPSENATDGSSVSRLAPRMTVDVNFGDVAGDSDAGSNQGTPGRPLHHFHSISPSTASFVRSPFGSLQGHTPRSPATFSTASPSRFAANGYQGPPGPHSASFPGGTYAASSPSPAASGGSSSVFRDPRPPNST >Dexi8A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:8A:17276610:17282513:1 gene:Dexi8A01G0010340 transcript:Dexi8A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGAEGELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHVVTEDDLNANFLIPPDESIYGGRSRAEVCCDSLKDFNPMVRVYVEKGDPSLINGEFLDKFDIIVVSGASLKTKLFINEICRKRSKRIAFYAIDCKDSCGEIFVDLQKHSYIQKKHGGETEQQELTYPSLQEAISVPWSNLPRKTTKLYFAMRVLENYELSEGRSPGETTLSDIPAVLALRKDMCDKMSINESQIPTALMERLLAAGKKEHPPVCAILGGILGQFGLGF >Dexi5B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:5B:7769071:7771688:1 gene:Dexi5B01G0010970 transcript:Dexi5B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEGARKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTTFGNVNTALATRNALHLLEAVGRTDIPVAEGSHVTIKKAAKLRIASFVHGSDGLGNQDFPAPTTKPIDQTAAVFLVEQANLYPGQVTVVALGPLTNLALAIELDPTFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQCDSKYARYLCKIMGVYFDYHKDAYFIKGVYLHDPTTVIAAINPSLMTYTEGVVRVQTVGITKGLTVFDNTKKRYGEITPWTGMPTVKVAVTVDAPAVVELMMQSLMTDD >Dexi9A01G0022660.1:cds pep primary_assembly:Fonio_CM05836:9A:17820886:17822107:1 gene:Dexi9A01G0022660 transcript:Dexi9A01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSERSDQPFIRYQRNIVSTVNLDCQLDLKMIALQARNAEYSPKRFAAVIMRIREPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIIQKLGYPAKFKDFKIQNMVGSCDVKFPIRLEGLAYSHGAFSNYEPELFPGLIYRMKHPKIVLLIFVSGKIVLTGAKVREEIYTDFENIYPVLTEYRKCQKW >Dexi8B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:8B:23728382:23729404:1 gene:Dexi8B01G0013460 transcript:Dexi8B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPTPELLKLYPSNNGADELMLVQVTRFVCGSLVVAIAGNHLVSDGYGRCSFMMAWGHATRCIAVPPHDRTSSSIVFTPRNPARIEFEHRGVEFKQARCCDTLDNMEQHASAGGGGDKVIVRRVHFSAEMVSELKALASSPSSPRSCSTVKCMVSHLWRCISKARGLDRETPTTLKIAVNGRRRMCHPEVPEGYTGDVTCPTTTVGELLAKPLWHVAELVSREVAKVDDSYFRSFIDFASSGVVEEEGLVPAADPTKMVHCPDVEVYSQVGIPIYDVDFGAGRPFLYMPGYLPEEGLVFIVPSSSGNGSIDAKVCLFSGAMDIFKNCCYSISGSS >Dexi2B01G0034590.1:cds pep primary_assembly:Fonio_CM05836:2B:41826874:41832617:-1 gene:Dexi2B01G0034590 transcript:Dexi2B01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRREAAAARATKSGELPKSAGISWKDVAAAATAATATAKSGELTKAVAAVREAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLESRLLAYYKKKPKDNMVRISTISLIARVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNQKEKEHQITMGAHDIEDALVWKKKIELLIDQKPDPAAKSHKAFATMDFDMELGGQFSLSDRDSAAEDEEEQPTLVRRKTIGNGPPDSVHDWTKDADFGLRVFEELLEVEYLARSCSRAMRAVGVVEATCEAIFGLMMSMDATRYEWDCSFRQGSLVEEVDGLSGLGICVMFGIGGAMMMEAMLCYLDLRNTQIVAGSEDMRRVQDLSSQVSQWKTPYPGLREYFSQTDDIHITPRIPAMESMADVASSQKDEKSNEVDLKTKTVDQEHAENKNMGTIDEESDDDEDYQVPEADIEIAAASYLMELAAIDWFKDTKRMDNVGRQKNCVAQVAAEKGMHTFVVNLQIPGSTHYSLVMYFVTSSLKKGSLLQRFFDGDDDFRNSRLKLIPSVPKANTYEELPEQVIGAARLAHVEPSTAVVPDLENSSASNKDNSSNDATSSEDDSSKKTN >Dexi9A01G0031840.1:cds pep primary_assembly:Fonio_CM05836:9A:36758027:36758267:1 gene:Dexi9A01G0031840 transcript:Dexi9A01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAAYLQVKKTMPAGKSVVGRDDSRRSLMMQRYHDHQDPKLERWTHNATMLDAGMVAKGE >DexiUA01G0021300.1:cds pep primary_assembly:Fonio_CM05836:UA:44186824:44187342:-1 gene:DexiUA01G0021300 transcript:DexiUA01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFFTSALFFAGTKSPLTTNQQTSSSGWVLPVSTTRKKNQMETATNYGSWPRQRPRRRGDQNKKSRLDDDAQGLAGERSQSAAENKTASERTFVRFFSTKHRSLRRTVGRWLPKETPIRSAPRLGGIYLIPITRRGSP >Dexi2A01G0033410.1:cds pep primary_assembly:Fonio_CM05836:2A:43621008:43621604:-1 gene:Dexi2A01G0033410 transcript:Dexi2A01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRACICPSPSSWRLAGWRSPERDSGEAGEHDNGAGIRAAQVDEHLAVARRALLPFLRLTNWPYRLLASSGSLHRHSEETQRALVADRHAVGVLHGEADFDDVPAPLGAASPRTCTHPARPRSAPASHLCTSAGPPLLATGHLLETFTAVPHDHPTQLQRRRRRRRHGGPYRRLAGLFRSKASTRKGRGRRRRQRARE >Dexi9A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:9A:5364959:5369132:-1 gene:Dexi9A01G0009060 transcript:Dexi9A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSRGEESTDPMRSRGGGGRDVGVEEAAAEAFAGKRGRGTGPSPTRGPDAASGFLSSSPSSSSPPSQFPTKPEEPSRPCRELKPPDARPPPAPAAAEIRLRMTMAEAEAGRAAIRRALRSLKRRHLAEEGAHSPAIEALTRPFAAHALEWKEKAEKHELELQQCYKAQSRLSEQLVTEIGEGKASKALLKEKEEMSVKAELEQALTKLKVAEDENQSLIDRWMLEKMKDAERLNELELVVYSTMHSKKLMASYGVLKLLQ >Dexi1A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:1A:9576668:9578122:1 gene:Dexi1A01G0010950 transcript:Dexi1A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALSTAANPTQLCRPRASSLGKPVKGLGLGMNRERAQRSITTCQAASISADRVPDMEKRKLMNLLLLGAISLPTVGMVVPYGAFFVPAGSGGAGGGTYAKDKLGNDIKVDEWLKTHGPNDRTLAQGLRGDPTYLVVEQDKTLATYGINAVCTHLGCVVPWNSAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGEDPWWKA >Dexi2B01G0023390.1:cds pep primary_assembly:Fonio_CM05836:2B:32870466:32873262:-1 gene:Dexi2B01G0023390 transcript:Dexi2B01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATALLQRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSMHTHLVAEGGAVVGEAVDEAGEGEEVDVGMDTMTMLMVVGVRMIMPLSIWATGIPVEEGEVSGAVAGEAAMVASLITSRMEAIMTRHLFLLQPEVVVVAGVGEVHPEAEDAVAM >Dexi5A01G0031550.1:cds pep primary_assembly:Fonio_CM05836:5A:34187169:34190294:1 gene:Dexi5A01G0031550 transcript:Dexi5A01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTQAPRSLLPALSPPYYPSTTANSWLEQSAITSTRLKSSSLPTPTQRRAADIPGRDTTAPPRHRLGRARSIAYARLIKSIALHRIGVRRHHRPPRPVAELDRIYCVRSFEYLTKAPAPPAGTTDPRRPQAGGAGARAYVIAHANTRPSARHRQRLEGHDDDDGKSSRQGRLPSRRRSLPRTGPRRQAPRVAEKDGPGWLRRRRTAPLGLIDKNLHGNRKATTGPKATESGSSSFPRVASLLPCAGAPPSPPHAVPPFHLAGAVGRPSPTPRHRRRPYPSPRCCRLPYLPLSLPFSLALPPPPIPHALPPLHLTGAVPPLHLAVPASLPWLTVSGPL >Dexi9B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:9B:5348231:5349481:-1 gene:Dexi9B01G0008760 transcript:Dexi9B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNTKCDEKGTTMSKQWSGERLQHLYLVLDDWSEGYSIRRINLSAEDIRPPLIPADAAQGDAIFTGRYTLPSALFRFEGRRGEPKSIVGAFGNKILASLPLTHGRSHANEIHVFDIRTRSFILRSWPSQDVFNFNHICIPIGGTLFVLSNDSFDMLNLPLVYDYANRNCAWSCFKLPEPTFPSNLITSYAVHPHEQTIFVSSVDQSGVFATFSFSVDSMMWRQHGPWQLPFNGCGYFVPNLGVWVGLSGERNTIGHICSCAVVSSLASHNERPVLKLSKEKLFSEVSGERHIGATLIYMGGENKFCLLEGICVEADWADQQKADWADQLTEFGERNDDYVDDLNETDSDEMSVESFDEVNEKLDPKRFLRLTTFSLCYDKNGELTVGNSRRVWYYNVSTEVTEAALKCPMAFWM >Dexi5A01G0030130.1:cds pep primary_assembly:Fonio_CM05836:5A:33155562:33158185:-1 gene:Dexi5A01G0030130 transcript:Dexi5A01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFIQTLFQKLSEVALDQFKSYKGIHGKLDTLSSTLSQLQAFLDDAEAKQLADASVRGWLTKLKEVAYDIDDLLDSYSAKSMHLKQRQRKLPAKASISSATLFLRRNLHQFRIKQKISRILERLDKIAKERDTIGLQILGGMRRLETPERPQSSSLVDGSAVFGREADKEEMVRLMLSDSGHNSCSVCVIPVVGMGGLGKTTLMQMAYHDGRVKEHFQLRIWVYVSESFDERKITKETLEAAAYDQSLASTNMNMLQETLSRVLRGKRYLLVLDDVWNEDSDKWLSYRAALLSGGFGSKIVVTSRNENVGRIMGGIEPYRLQQLSDDDSWSVFKRHAFRDSDCSAHPQLEAIGRDIVKKLKGLPLASKALGSILFCKTDEEEWREILRNDIWELPAEKNNILPALRISYNHLPPYLKQCFAFCSVYPKDYIFRREKLVKIWLALGFIRQSSKKRLEDTGNAYFNELLGRSFFQPYKDNYVMHDAMHDLAKSISMEDCDQFESQRGHASATKIRHLSFPWKDDHCMQFGPLYGYKKVLDMHGRGLKELPESIGNLKQLRFLDLTSTEIKTLPRSIVKLYNLQILKLSDCNSLKEVPQGITKLTKIRHLEASTRILSRIPGIGCLIFLQELEEFVIQKRLGHKITELRNMDQLHGQLSIRGLNNVVDGQEALAAKLRTKEHLRTLHLIWDEDCIVIPSEHQEVLEGLQPHLDLKELMIKGFPGVPEAEEATPSSINPEIT >Dexi1B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:1B:22749122:22750631:1 gene:Dexi1B01G0016210 transcript:Dexi1B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEFISLETPCEADVKGEEGGELQAEAPDVNGAEPLASELQPEGEACAVGSNPKPSDGNIDLEEGQVEDLQAEAPDVNGAEPPTSELQPEEEAYTVGSNPKPSDGNIDLEEGQVEDMDLEDDDVVVVKDQLLDASVQPEVSVPAVQTLIGFEVNLDKVDGAENAPIHESNIISVEESRILSNLLFLDMGFLAARGVKRARVESKEPSVRVIYSDLTRESKRKLMELMQQWSQWQARRQPNVKEAVEEILESGEETYYPALHVGSENSCAVVCMPFIEIELHNFEKQ >Dexi1A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:1A:975475:976526:1 gene:Dexi1A01G0001480 transcript:Dexi1A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLGRLARFICSENFTNGNPNQQQGERNQSGCLTSMVQRTETTLQHHHGCLRSTGGAASDRGKKTIATTEVGRVARKTRRNVWAKGTCGRGRGTAKSSRAYDQAREADEWAF >Dexi5B01G0023330.1:cds pep primary_assembly:Fonio_CM05836:5B:25539773:25542190:1 gene:Dexi5B01G0023330 transcript:Dexi5B01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFLRRGHSLDRLLSRRRRAVSPSPSFSSSPSTSSSSSSPSSPRGSSVRSGMAAAEEDDDAATTTVPPLQRRMLSRSHGSRAISGGRVHDLPPVPAKIARDIGPPSDLDFVKEKFAKLLLGEDMSGTGKGRRLEPMSADRRARWNKEIDWLLSVTDHIVEFAPSQQVSEDGTNMEVMGTQQRGDLLVNIPALRKLDAMLLEYLDSFHEAQEFWYVAKGADGGEDDDSWDKWWIPTVRVPPEGLSDASKKWLQHQKDLVGQVLKAAMAINADVLAEMEIPEEYIEPLPKNGRSILGDSMYKIITGDIFDPNELLNSVDLSTEHKIVDLKDQIEASVVIWQRKICNKLSWGGGVSLEKREEFEERAQTVLLILKHRFPGTAQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAVARDPKRTKSRRRTSLESPLLDDATTELAESVHKNTVHWQDQDFGDGERNPAEASDANAGKLKKVHRIATRKFLHTQKIDSVASGLRSFSHR >Dexi5A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:5A:5229880:5231108:1 gene:Dexi5A01G0007030 transcript:Dexi5A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRETYMEVLPPMDHLASRNSWYHPAVRNWTAEENKQFERALAGLDLRCPDWEQVARAIPGRTVREVVNHYKSLEVDVQQIENGMVPLPFYPGAAGSFTLQWDGNGGHGPGDFRHGYRFGGGCGKRHPGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQTSLISNQSNASAPGAAVGQFSLSADAKQQNLPFNSPSRTPGMPTYGMGLQDHGLQCGPLHDQLIGNQSMLF >Dexi3A01G0027530.1:cds pep primary_assembly:Fonio_CM05836:3A:25245935:25246765:1 gene:Dexi3A01G0027530 transcript:Dexi3A01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMWRDGAGEQGSHRRKTRSTLCPLAVAAAPQAWAATHRSSWHRVLSDGATASLGGRVKEWMARGVQGGLVTCGRPIIKSAAPSLCNTHLQRSQKSIAQAYRKVGFNPALTSKVTPKFSVLVAEFVRQIQGKRRQALKAAERQKCPKDGEVD >Dexi6A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:6A:19387711:19396808:1 gene:Dexi6A01G0012690 transcript:Dexi6A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAQFRGQARLPRFAAPLRYDLRLRPDLAACTFTGAAAVTVAVSAPTRFLVFNAAELEVDRASVRFQASRPVRARGPRLLLRYADRSSLISLVWWRPGGFGSLQDFVPSEVAQFEEDEILVLRFDRELPIGEGVLTMDFTGTLNDQMRGFYRRLQSIDLYLSITIMIWQFDQLSRNGGETFPLAAIEEGFTGAGVARKHAETAFTISILLSEEDTRCDNLVRTLAGLAKFKLTLEVPSDLVALSNMPVVKETVSGPTKTVYYEESPLMSTYLVAIVVGLFEYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKINGHDLELEQAQFLSDGSSGSGMWIVPITSCCGSYDTQKKFLLKDKTDKIHIKEFIASQSSDGEKNQNIWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVARKQTLTSLLRLLNAYHDESDYTVLSHVTSVCLSISKISVDATPDLNKDIKQLLINLLLPAAMKLGWDPKDGESHLDVMLRSLLLIALVRLGHNETVNEGVRRFHIFFEDRKTSLLPPDTRKVRNQDSYYILGGISLEGREVAWTWLKVCYSHRTSKPEKLGSCVEDMEIELTHIRLHQLHYFTGTMDYIYLCYSSHFYHPVSNVTYFVTSSVVHGQFTSEEKAAEVSEFFAGRIKPSFERALKQSLERVRISARWIESIRSEPSLGQAVQELLQGEA >Dexi2B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:2B:6520351:6521161:1 gene:Dexi2B01G0006590 transcript:Dexi2B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAYTGVINTLLLKLSKLLEDHEYTKIKGAVRNQVTFLRDELSSMKPVLEMLADVEELDPLKKEWRDNVRELACDIEDYTDSFMVNVNHDHDKLPMGFKGFFRKLKKLKGPHEIADVLEELKTFAIDASDRSKKYSIIVDTASNSSISASQNICAILRSIRIQVGIFDDTSDDELLIRKIRYRLRDKR >Dexi3B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:3B:6777667:6778551:-1 gene:Dexi3B01G0009790 transcript:Dexi3B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSDNTACATLPHGSGASATTPPTSPPQPAVVLSPCAACKILRRRCVDRCVLAPYFPPTEPHKFATAHRVFGASNIIKLLQELPEEQRGDAVSSMVYEASARIRDPVYGSAGAIWQLQKQVNDLKAQLARAHAELAGARAQHAHLLALLCVEVAAASPAAYCAAAGYHPSSQQLAAAAAPAAQADALYVVDGSGGLQLQASPVSWADEPLWTEATSSRARVS >Dexi9A01G0023780.1:cds pep primary_assembly:Fonio_CM05836:9A:19240110:19240508:-1 gene:Dexi9A01G0023780 transcript:Dexi9A01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTYAWVGLKWVKAHYIRGSPLQLRSAAVGARQPEFRGIDTVVLAALLSMASHLQGWAGRARPLRVRDWSFILPSAAGDFSTGWALFPSRDAVDEARVPSRVAAVGLAVVASASPAESLVPYADTAIEAWL >Dexi9A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:9A:2067819:2068515:1 gene:Dexi9A01G0003950 transcript:Dexi9A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGNPPLSSTEAVIKKPRSVASRKPRSTEQLASEYNGMCAPSRNIFHDDDAGGHRRKELYLNSPEMKGSVAHKNDVSRKLRKEDRSGGDYDGHSRSRKSKEAAQHGGGGVLALECTTGGPGSPDNPRLVPRDASVPGENRLRKVKLKVGGITRTIHTKTVPEAGGSDIPATLDGSSHRHKHKIV >Dexi7A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:7A:27887219:27889365:-1 gene:Dexi7A01G0018460 transcript:Dexi7A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFLSQLKLSHPDHVLVKNAASSDANFERALQAVA >Dexi5A01G0028160.1:cds pep primary_assembly:Fonio_CM05836:5A:31493236:31496229:1 gene:Dexi5A01G0028160 transcript:Dexi5A01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMTSVLAAKAYKYKAESLVKEYLLADSCVSYTAVLGGILMCKMAYDITSLISSLYYKGYGSLTKTQKLEWNNRGMSTVHAVFITFMSVYLVFFSNLFSDQLGGPVTFRSSNISNFTLGVSVGYFITDMAMIFWAYPSLGGMEYVLHHMLSLISIAYAMYSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKKSKAYLVNGVAMFVAWLVARIILFVYLFYHIYFHYDQVKQMHTFSCILVFAVPTILLVMNTMWFAKILRGLKKTLAKRQ >Dexi3B01G0034860.1:cds pep primary_assembly:Fonio_CM05836:3B:37524988:37525659:-1 gene:Dexi3B01G0034860 transcript:Dexi3B01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLAGVAATTARPSSSGERGRRLRVTSMATQKGPKPTPNKTISGTRSRSGTTVFPLGEPGPRPSSAASGKAPPVKLLTNVEKLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAATDPATPGALLALAAPLLAAGPAVVYLVPEEQAWQVAIQAVAALVCVVGGAGAVAASTFVSRLQGSSG >Dexi2A01G0025470.1:cds pep primary_assembly:Fonio_CM05836:2A:37092417:37099194:1 gene:Dexi2A01G0025470 transcript:Dexi2A01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKASSPRLAVATRAFRCASAGREGQELQETGAAGWAAACGQQGTVQGERLEIPECSVGCGCSANEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKSQYPRIVTEDFSPLPSKGKAGKEGWYPPGHGDVFPSLNNSGKLDHLLAQGKEYVFVANSDNLGAIVDMKILNHLISNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARANPANPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKSGVKLEIPDEVVLENKDINGPEDL >Dexi3B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:3B:719610:720851:1 gene:Dexi3B01G0000890 transcript:Dexi3B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRTSSRTPTCTVPGLLVGLTKLCKLTKVCAAPTLDDKAKSRLGTSCGGYDHRLLLIRLFEAMGSLKSAYIKLQRAHFPYDPAKIAFADEIIMSELDSITALQSLCSSSCGIGSLVNERWSLVQELEAKARKRDSDIVLLKKELERLQRDNSRLNKQIKSGNPSSVKHPDKGLDVRKELATATPSALLELFNVASASVHDFAEMIASSLMSSDGCSVSNADAAEQSWMRRYSVEAHLWRTIMVGASPGNEEEKEVFDGIMRFCDPMDALMQYPRSSFSVFCRSRYLAAVPSEAEAAMFGSKLEQRALVSHGGHPRTWFYRAFAAMARSAWALRLLMARHGGVRMFYARRGSEYAEEWMQSVAAPPASDTHLGGGVAFTVTPGLKVGDTVVPCRVLLLSQHLESFNQVQRRR >Dexi2A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:2A:3187528:3187937:1 gene:Dexi2A01G0003670 transcript:Dexi2A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHVKSEYLGLIDNIENIKNLNWTSLVLNFLIASIREYKETKAANLKGNVALLQVWYWEKISLSHIHPSLEHHAYEKPLMQYWDDTRATERCQIGRKHQFGEGKVNAD >Dexi5B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:5B:675610:677847:-1 gene:Dexi5B01G0001050 transcript:Dexi5B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDDSAAPQRSAHVHPDAVAVHIPSADEPAFVRDRHGDDMIAAMAAAASPPPGSYSPFRPPPFPVSSISLPASPSGFIDELQRNALTDAPARMMAPPRAPAAAVQPDKVVFRSQPIPAAPPATGANAGGQLGHGGDPSRSAPHAARSKAQRRDKSYDSFKTWSGKLEKQITSHLLGGRPPQQQEDEEPEEEDDAAAMTTRRNSASMPQVQRFFAALEGPELDRLRVYCLLLTSSSVVVAASTNVTTTCTRRRVSSSSSSLVVVLMTWLLMCVADRGVVMVVVAPWQSSEELILPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKRIAISASTRFLHITVKINLVLWCVSVALMFVVWSLYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDAVASTLPDWLWYVLMTPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFSVGLAHYMVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFSFSLAWWAYTFPMTSAAIASIRYASEVKNAFTQCMCIGLTAAATLTVTALFLTTVLHAVVYRDLFPNDISIAITERRCKPIFADAEMTPTRDRKRGGKGGWKKQAAAAALDTAASDATDLEAARASKTSYT >Dexi3A01G0035250.1:cds pep primary_assembly:Fonio_CM05836:3A:40579575:40581847:-1 gene:Dexi3A01G0035250 transcript:Dexi3A01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSLALSSRPLTFLRPSRRKPTLHRLLLPKPLATATASASALTPLTPRFDPNSTSGPDPDPTPLFLRPANHPVPAAALDAFRHRAAALVPPSAPHLHHHLRWLLADAIAAGDPSSSDTALLRAPLDDLEDMWQQHVGCRRPFQYVVGNEHWRDLVVAVRDGVLIPRPETEAVVDMVRKVDGFADGWWADLGTGSGAIAVAVARELGPQGKVFAVDVSEVAVEVTRLNVQRYGVQTNGNKQSEFLVDLIRTKWSSSFHSVEAVLDFAEIKRFVTGYRR >Dexi3B01G0022100.1:cds pep primary_assembly:Fonio_CM05836:3B:16979069:16980993:-1 gene:Dexi3B01G0022100 transcript:Dexi3B01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHYCLYLALALVSLLVVIAKRRRAHGHGLCLPPGPWQLPFIGSMHHIAGKLPHRAMRDLSRRHGPVMLLRIGEVPTLVVSSREAAREVMKAHDVAFASRPLSATVAVLSNGARGIVFAPYGDHWRHLRKVAVTELLSARRVLSFRAVREEEVAALLRACATCAAATGPGGVVEMRARLSALVADATARVVVGDRCKDRDVFLRELGRAIELVAGFNPADLWPSSRLVGWLSGAVRRAERCRDTVYGILDRIIEEHLVEIDSGRAGENEDLLHVLLKIQKEGSLQIPLDMDDIFGAGSETSATTLEWALAELIRNPKAMRRATAEVRDAFGTLGTVPEHALGELRYLHLVIRETLRLHTPLPLLLPRQSREEACRVLGYDVPRGATVLVNAWALGRDERYWPGDPEAFRPERFEAEAGGVEVDLKGADFELLPFGAGRRMCPGMAFGLANVELALASLLFHFDWEVPGLADPAELDMTEAFGITARRKANLLLRPILRVPVPGL >Dexi7B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:7B:21448385:21450509:-1 gene:Dexi7B01G0015390 transcript:Dexi7B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAIINAVLFPALAVVLALAVFYFVRRRRRRRRGGRSVLPSHGGGARADRLQAAGGSGGYDVAGGEEALVRFPGGEALTVAAILEAPGEVVAKSAHSTLYRAGLSAGEAVALLRFVRPACAAGAEDAAAAARVLGAVRHHNLVPIRALYIGPRGEKLLVHPFYAAGSLRRFLQEGINASQRWEIICKLSIGIVRGLDYLHTASQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNSAAAQEMLETSAMQGYKAPELIKMRDVTRESDIYSLGVIMLEMLAQKEPVNDKPPNARDIHLPASFKDLVLERKISEAFSSELIKQSKNSGKEENLNAYFELATACCNPSPSLRPDTKKILKRLEDIAR >DexiUA01G0008840.1:cds pep primary_assembly:Fonio_CM05836:UA:16877334:16878209:1 gene:DexiUA01G0008840 transcript:DexiUA01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIIQHLKHTCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTKEDLVTALDVISRVGDLVGIKYFPAEPPKIAEVGHNKLE >Dexi3A01G0023160.1:cds pep primary_assembly:Fonio_CM05836:3A:18734816:18736257:1 gene:Dexi3A01G0023160 transcript:Dexi3A01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAAGRRPSTRAFPSGRLLSLPAGGPESSNRKKRAAPVDGIPDDALVEVLSRLPVKPLHRSKCVAKAWRDIIDGPEHRKRLPQTLEGFFFMNEESHSRRRTGGRFGFIDLRPRSVPLDIDPSFAFLLQRPEIKVLTLLDSCNGLFLLEHGLKSELSDRFGYIVCNPATKQWY >Dexi6B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:6B:23532418:23533946:-1 gene:Dexi6B01G0016270 transcript:Dexi6B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRTHLFVPGPVNIPDQVIRAMNRQNEDYRSPAVPALTKLLLEDVKKIFKTTTGTPFMIPTTGTGAWESALTNTLSPGDRVVSFLIGQFSLLWIDQQQRLGFDVDVVESEWGRGADLDALEAKLRADTLHTIKAIAIVHNETATGVTNNLATWGVDVALTGSQKALSLPTGLGILCASPKALEASKTAKSVRVFFDWKDYLKSYKIGNYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHTRLATATRLAVEAWGLKNCCQKEEWFSDTVTAVVVPPYIDSNDIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLMGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNFTPLIPSRI >Dexi3A01G0022130.1:cds pep primary_assembly:Fonio_CM05836:3A:17697915:17699412:1 gene:Dexi3A01G0022130 transcript:Dexi3A01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDCSDLGEEFWLPEEFIDDDFFSEEEKAAVAARSESDEEDSLAGLSRRLAGLLGDSGERKPPAKGEVTVGSPQSTLCGLPKSGQESPNGVASKGTSPPSSPLEQKPADPWELLYEAADQVARMRAVGNNIPVPTNTYGFGSHGSFEPPARKPSPPPIAPPTTAKAPAGGYYHPLAHLVSQRQMQAAQVWCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALISRSNAMLANQKRRAAAAMSSPALCHSS >Dexi4A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:4A:21375592:21375909:1 gene:Dexi4A01G0017630 transcript:Dexi4A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGMQLKWVLLDYTDCVVAAPRRQRRLHGEAATLTQITLPSPPLVHLDQNLEGRSGIHGAGGDGAQLDGGGGGRDAAADAPGPGRPLLLADASAGGASTSVTAI >Dexi1B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:1B:25146737:25153450:-1 gene:Dexi1B01G0019000 transcript:Dexi1B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGAPDPEQLLRQVEDRLRDVGQRLLAPPDEAEDLLKLLKEVEQCLLKVDQSPPESTSNAIRPATEALVKKELMGHPDPDVRLGVASCISEITRITAPDAPYDDDAMRDVFSLIVSSFQHLDNIKDPFFGRRVSILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSGHSDAVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAEKVIDSCREKLKPVFLQSLKGTSLSEYSQIVASVCEEDSDDKEDNIVDPSGKDTEDNIVDPSGKDMVDDGKLSERTISEELPQETSKAEQDVSRPEQDGSSMNGNTGAAISSDATPADNGAESIAAEPKKKALAADKSTKLNPSDKSEEIAHSGADTKKDLVASGEEGSNGAADDTSKPVDVTPVKPRRGRPPGPKSLEKKTAGKKSLDLKKTEEASDSARKLKKTGEGESSKKLQKSSLKQQKDGNISEEKPQKSSLKQQKDRDISEEDPAKDLSLKELMSPKSSTRGAGRTKGQNTENSTSRKKQEQEDEELPRSRKSKGLDGSLVGSRIKVWFYKGVVESFDSGSKKHKVAYDDGDVEVLLLRDEKWEFISEEKGASVVSETPRGRKRKGDAVKEEKTETPKSSDAVDPPKKRGRPKGWRPNNGTPSNNSSASPSTKGKSASKDLKETPKTDSNLSNEDEKASKDKASGSTEKIKDELPKDGDDKSASKSKEASNKTKDSKDEGKSTEGKGRPGRKAKNPSSAVIDADKEKQKEKEGKTAEIEQEASANASAGKKRRRKA >Dexi5A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:5A:6677051:6678313:-1 gene:Dexi5A01G0008900 transcript:Dexi5A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCAPRRLHLRPLSAAALASAAPAPPEAPTEWAEAPLASVRPATADASLYHVSLDLSAHGDLLASHAAAGQFLPFRLPAAPYPIFLAIASPPPSPSSSAAAAFDFLVKRLPGTPSARLCDLRPGDLVHVGASVVGRGFEVSRIAEARDVLVFATGSGIRFC >Dexi2B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:2B:10165179:10169208:-1 gene:Dexi2B01G0009390 transcript:Dexi2B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSSPLFGTPSSTPAFGTPSTTPAFGTPSSTPAFGATPSTPAFGTPSTTPAFGAPSSMPSFGTPASTPAFGTGSTPAFGGLSAFGTISSTPAFGAPSTTPAFGAPSTTPAFGATPSPSPFGFQQQATPSPSPFGMLGGGGGQITTQMAPVAPLPLSASDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSNRLKLQDEVLVSDTDRLSMTHSNVKKLKGPSADLSKRVNTLVSASRLLANTGGAGGPVYIPSSAKVDERSVTELLEALQQQTEAVAKLGNVLKRDIRDLEIMQTEDTDMAEDTVGRRALKI >Dexi5A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:5A:9017618:9020225:-1 gene:Dexi5A01G0012050 transcript:Dexi5A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPETQPKQAAAEVAAMDTEAGAGDGRSAAAVAGILRGFLAVQQRRAEAYSTLRRGFSVYMANGGELAYQQLCGNITAEFNDCSKQVLEMISLLSMPEFCRNDLADILKDVQAHEKEKLHLTAKIQVLKKAGRPSERLVNHADCRSRSATQHVCVHVKEITEAAGTEDAEADAEYEAALKEAIQGVQEAVTSINEHMEELRYEIEALEAETVGSRLTEVEEAFPSTLSIK >Dexi5A01G0035680.1:cds pep primary_assembly:Fonio_CM05836:5A:37429023:37429789:-1 gene:Dexi5A01G0035680 transcript:Dexi5A01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDKVVELPPLREVSDDALIDSHCLLSDHIAAPGCVVLLVEGGDDTEVICSIAASRGTFYFDCGGKDLRTIDFSAGAEPVVTAAIDGDMVDKRLPCQVFLIESDGELYMVRLFFALPYDGGGEIDGVGVYKMDFSRRRWCAVSDLGGRAFLLSPFYFGASCCAGDEYGALPDRVYFVIHWNKTLQVFDVQQGTYQLHKLDEAPEVVNKAFWMFAPHN >Dexi7B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:7B:4932618:4934662:-1 gene:Dexi7B01G0002570 transcript:Dexi7B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICSMWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSTATPPPHRAPRQQHHRPVNLMLQYTSPSLSQPTYNSIFPGTGALHDPIIPALTPPPQDYMLNSGLAIPNAPSLLHAYSATQQQFHHRHVAKEESGSMIVFGSDQQSCSTSDGAHSQPQFGHAKELSFDGYFGYNNGSIDHNQRLFQLHDHHQAQAPVEYNYEEIKQLLMSSTTGNIHGQGQEGGLEGFGSQGRR >Dexi1B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:1B:4678103:4680454:-1 gene:Dexi1B01G0005720 transcript:Dexi1B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKLDEEAAVKTCHDRKSFVKKAIAQRGLLASSHVAYVQSLRRVSMALFYYFAEDEHLYYLQEQSSSTTTLCLNHPSSPEKKVLVINCLRPAGAPPVHPVVEQWDPEAVETATIDRFFGLDHQFFPPSSIDQMKGMPVSPQPPRWDLSWDPFSSTIDQNHLYPDYGVESIKVVGDQEDEQIPELEEDSDDDSDGHDHSEGETEEEEEEEEEEEEEGKGEEADAAAAAAEVAPTTREEEEKEKERKVDHVKTELRVVASADVGQHGTPGFTVYVARPPTSMAEAMKDIQANFMKIVETAGEVSELLEVVPYRRRVQPPAPVGDGEEQGAPEIPPEPFELFQSHKESLDRLYEWEKRLYEEVRAGERVRLAYEKKCALLRSQDANGAEPFAIEKTRVAIRDLRTKLDISLTSVDAVSKRIAAVRDNELLPQLMQLVRGLARMWRVIADAHRVMKRTADEACALLTSSAAAAAAARPAALVGEGGIRGPPPPPSSTRAAAGAGALGAELRGWRAALEAWAESQRAYAAALWGWARSCCAKDGGGGEEDMPRLIVGWARAVESVDVDAAVMAVEAVAAEAAAIIAAVKRQQRGGGEEWFNEEEAKNKVCVGLTAALAAVAEAGGLAVAAYDGLVMEMEMGARGEPERETMGMEESIQN >Dexi7B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:7B:9387415:9395722:1 gene:Dexi7B01G0003800 transcript:Dexi7B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLVVVLRAALSHVPEERKAAEASLEQLQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVSKNWSPIDPEEKQKIPETDKSMVRENILGFVTQLPPLLRFKSEEERIPLYQVVEESFPRLLNIFSKLVQIPNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNLLERPVPVEGQPSDPDARKSWGWWKVKKWIAHILNRLYTRFADLKIQKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLLNSVTKNSMYQLMQPQMDIILFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIHFIVGIFMRYDEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSPVGHLRAKASWVAGQYAHIKFSDQNNFRKAMHCVIADLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEIVSYMTFYSPSISLDMWSLWPLIMEALHDWAIDFFENILVPLDNYVSRGTDHFLACKDPDYQHSLWKALSTIMTDQNMEDSEIVPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRTEKPYLKSLLLQVVRTTTLLPVIKACKSCFN >Dexi1B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:1B:5219012:5221510:1 gene:Dexi1B01G0006330 transcript:Dexi1B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLLAVSGGTAGAPFPAAAGADARRPPGSVAVVDKSNSNGRSVQSGSGMEPLQPLDVKEAMAMLKEGKVVRSAMYVPLLHRCVETGSLGDARAIHGHMVKTGTSADMFVATSLVNAYMRCGASRDARRLFDGMPEKNVVTWTALITGYTLNSQPVAALEVFVEMLEAGRYPSHFTLGATLNACSASDNIGMGKQVHGYIIKYGTESITSIGNSLCRLYAKSGSLESAMRTFRRVPDKNVITWTTMISACAEHENYVELGLTLFLDMLMDGEMPNEFTLTSVMSLCGTRLDLNLGKQVQALCFKIGCETNLPVKNSTMYLYLRKGETEEAMRLFEDMDDVSIITWNAMISGYAQIMETAKDDLHARSRGFQALKIFRDLKRSSMKPDLFTFSSILSVCSAMMALEQGEQIHAQALKTGFLSDVVVNSALVNMYNKCGCIEDATKAFVEMSTRTLVTWTSMISGYSQHGRPQEAIQLFEDMIFAGVKPNEVTFVSVLSACSYAGLVEEAERYFNMMKEEYQIEPVVDHYGCMIDMFVRLGRLDDAFSFIKRTHFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLDLKPKGIETYVLLLNMYISSGRWHDVARVRKLMKHEGLGILRDRSWITIKDKVYFFRANDKTHERSDDLYQLLENLLEKAKTIGYEPYQNAELSDTEDDDKPAVGSVKHHSERLAVALGLLQTPPGATIRVTKNITMCRDCHSSIKFLSLLANREIVVRDSKRLHKFKDGRCSCRDFSALL >Dexi1A01G0026290.1:cds pep primary_assembly:Fonio_CM05836:1A:32235938:32236381:1 gene:Dexi1A01G0026290 transcript:Dexi1A01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITHRIVFITAGIVLMVVLHLVVIIWALFRTRPSRRVAEHAEESGGGGGGGGGLLSEELGELPCHEFKQESGGGECAVCLEAFRAGDRRRVLPRCGHGFHAECVDTWLRRSRRCPVCRTEVVEQCKDAGGGAVAATVEVGAESGR >Dexi2A01G0021860.1:cds pep primary_assembly:Fonio_CM05836:2A:33826426:33829861:1 gene:Dexi2A01G0021860 transcript:Dexi2A01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRSGVLSETASCAGTPRRRNDSWSPRYNFDSPRQYGFEKDRSEQNIGMIVVPRIRIDADKFDYASKMLETIRSLIQRLEKVDPMKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKVRLYTAKKIQQQLEVARTEFIQGNVVVRKQALLLPKVLHYYARDAGLELRHLVELVCESMSDAQREHCPRRRADKCVEWMPYKSSFRYVVHRDLAD >DexiUA01G0011380.1:cds pep primary_assembly:Fonio_CM05836:UA:22964168:22965407:-1 gene:DexiUA01G0011380 transcript:DexiUA01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVPSGAPVLTSRALERTYYHAIGSSNSSVAAVPAVPSSGSATFANGGYAPRTVNHGTVTIYPHPAPADSSVSRAMPHASSTSREMPREAVIRSYPPATSTSVRMQPLPARTAAPSRNARHVSVGHASNGRNRRARSSYYALHPFMIEAERYMMLDQLVFYESRAAAADPHRNMRLDIDNMSYEDLLALVEFMGNVNTGLADEKISKCVREVVCCSSDQMKNDQDDQDDGSCVICLEGYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >Dexi7B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:7B:8717499:8718866:-1 gene:Dexi7B01G0003660 transcript:Dexi7B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLVVLQLATFFFLATPPSSLAQDAATPGLHPVVLVPGNTCGQLDAQLTDEYEPLTAGCGIPKQGLGWFRLWENFTALQEDPSLSCRATPISSGWSTTPSPATIGTFQASKPVPESMKHNGSFLELIRNVCMEGLVKALEEVGYKEGGNLFGAPYDFRYAPAAPRLPSKVFSYFSSSFRLLVERASKMNGNKPVTIVSHSMGGLFTMVFLDRSPLAWRRRYVKHFVMLCLGVGGSPLNMWPLAFSNLSPPSLPGTVLTYGNRSFASMFSLLPSPKVYGDTPLVITRAKNYSAGDMAEYLAAVGLSEEQVALYRTRALPVTLNLRAPLVPTTSINGIGVPTVDSLVFWDGNFTGKPELVNGDGDGQINLQTVLALERLIGDDPDQPYFKSILVPNTTHKGMISDEFALKRVIGEILGAN >Dexi3A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:3A:10833347:10834924:1 gene:Dexi3A01G0014790 transcript:Dexi3A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGASRSDPCTAAMGNLCCCVQVDQSNVAIREQFGKFDSVLEPGCHCMPWFLGKRVAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDAFEQKDEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSSVSHH >Dexi4B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:4B:14531888:14532412:-1 gene:Dexi4B01G0014060 transcript:Dexi4B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGGRPSPGAQGPDANGCSLQLAGAERESAERCLAGTARGVKQDQLDTAARGEYEEHLAVGVGGPRVRVSSWMERGRRLQPAGAEERLRVAGQAARRGGGESTHRQRSSSPGRRACTSLGESSPGRRVRGGGESARRQGSRSPERTRVGGVAGVVCWPERLREIENWIWPMRP >Dexi3B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:3B:14391950:14393047:-1 gene:Dexi3B01G0019390 transcript:Dexi3B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHPRATDANAVASCYAFARAVASDDAAFAISPHAIASDDSAFAISAHAVAADIATCTTSPHAAGDACTNAVASSDACTGATVSSGASTSNAVPAFSGDASTTNADPANAISIGTNTTIAFAAAFSRDASATSAVAAFSGDALTTTTNALAAIAIATFAGDARAAKVVSTSSRDATATTEAPPVSTRCPGSSYTTTPAKWSRATAGNSISTCAGGSSRGAQTAALAANCASASVTISTWQPCTSWLPSPSPANGAVVIGVSVAVATVVVLGLIAGLIYCCFKKRRQRRRGGGGRSSPPSGTYRYRCPYIHPLHARTALAGGSAPPPLAS >Dexi6A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:6A:8815900:8817001:-1 gene:Dexi6A01G0008400 transcript:Dexi6A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPSSLVVLPLLVLVAMAARAGVATLLYDQIDITWGGDRSFFYMEQEGVDVLALCLEQTNGGSGFTSKDAYLFGRFDIDIMLVANNSAGTVTTFYLMPDDVPWPYHDEIDLEFLGNSTGEPYTLHTNVFVNGAGGREQQFRLWFDPTTDFHTYSIEWNPKHIIILVDGTPVRVFKNDASRDVPFPTWQHMRLQGTLWNADQWATQGGRVKTDWTQAPFFAYYRNLRVTPCAPSPGVAWCGDEPRESTWFEQRLDMAALRKAQEEHMIYDYCVDEKRFKDKGFPKECTME >Dexi9A01G0026510.1:cds pep primary_assembly:Fonio_CM05836:9A:29586329:29588270:-1 gene:Dexi9A01G0026510 transcript:Dexi9A01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSFLLALTALVLVGVARSSTTFTIANSCAYTVWPGLLSSAGSPPLPTTGFALAPGESRALAAPTGWSGRLWGRTLCVSSSGNHFACATGDCGSGDVECNGGGAATPATLAEFTLDGSGELDFFDVSLVDGYNLPMVVSPTNTNAGGNGKCAATGCAAELNAACPAGLQVDAAADGPVACRSACDAFGDAQYCCSGAYGSPSACRPSAYSQFFKNACPRAYSYAYDDATSTFTCAAGTTDYTVTFCPGVPTSVKSTGQNPQAAGLPQQLNNGTTMVFFGGNAQPSSGAAAAATANLLVAVAAVALLISA >Dexi6B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:6B:26966303:26967834:-1 gene:Dexi6B01G0020540 transcript:Dexi6B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVDSPAVRSAGDAVWMVWAESKRLWGIGLPIAVGMLSMYAISSITQMFIGHLGNLPLAAASIGLAVFATFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIILLGSSLLMTPIFVFAEPLLLLVGQDPDVARESARFALYILPSVFAMAINFAGSKFLQAQSRVSVPAWIGLGALLACVLLNYLLVVVLGWGLAGAAAAYDVAHWVIALGQAAYIIGWCRDGWRGWSPAAFHGISAFLRLSLESAVMLCLEIWYMSTITVLTGGLHDAQIAVDSLGICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAWNAVVVVVAEALLIGVLCMVLILMFRDSFSVVFTSDDTLRRAVSRIAGLLAVTMVLNSVQPVISGVAIGGGWQGLVAYINLGCYYAFGLPLGYILGYQLNFGVGGIWSGMLCGVALQTLILLAIIWRTDWKAEAAQASSRVQKWGATTGGDDQVNRHLLA >Dexi3A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:3A:881896:883281:-1 gene:Dexi3A01G0001230 transcript:Dexi3A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEMLEKKERFLQKKCSAEIEKAKDYTKLKNKNAAIQCLKKKKLYETQIEQISNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKVIQQSLNIDDIENAIDEANEQTENMRQIQEALATPVGASADFDEDELEAELEDLEEEELDAELPEPPARISAPVQEPLAKATSSSKPRSDLSELTKLQAEMAL >Dexi3B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:3B:5811550:5812296:-1 gene:Dexi3B01G0008240 transcript:Dexi3B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAGVALCLAGALVIALYAGRSLSPLNHHRVLAGHGHKQQAAVSRGLWITGTFLMLLGCVAWSLWIVFQGLLLKEYPNKLLATLIQCLFGMIQSCLVTVIAERDHPSRWKLGLDFSLFAVAYSGIVGTGVCFYLQTWCVGMEGPVFLAMWTPLLLLLTVLGSSLLGEIVHLGRQGSRHRSQCFFVFFL >Dexi7A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:7A:20804135:20808456:-1 gene:Dexi7A01G0009880 transcript:Dexi7A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIPVLLVEGKETETETLDKRGVGAVTVRLRPLPSFSATPPAAHPHRDSAALRSIAQGFRAGHLRRLVGSAGADVPAAMDAFGPAPMGYGGLAWPRIDKRDLVVKYSSTGFQVKYGDTLKRFNACVNGSHFDHDLSALRLKIASAFKFTPDAEFILTYTDEDGDVVLLDDDNDLQDAAINQKLNPLKISVQLKSGNVWATWTKQQATNSKSPRPISLEDQLAHVKSIIDVALKRVPEQIPAVLANLSHDLRFRAALYAPPLAELVDCFAKLVTRSSNVHPSCGSADGSQNLRNAKVKLESALLTGSSSGPSDGKHSGISEAGLKGVLSEDTTAKVEQAPSCHSFKDSLVFTSSGGMKSDLKRSLDSEIKTDACSKGKSVISFVPPVSTTSHGAPAQRSVPVPTSCGSNGMANGDMRSLFPPVVYPPTPFYPPTPFLTTVNPMFESNGKTTGDLHSTFPPPPNIYRPFQLNTPSPVSTCFPNIYSTGSSHRDRTASLLSCYVPSPEGVNSFGSSDRGLGTNYGSIPQHEQHRWIQCDGCGLTPIVGPRYKSNVKEDYDLCDDCFSRLGNEAEYTRLDRPASKCNMKILGQIPAVKTDCRFIKDVTVPDGTPMAPSTPFTKVWRMHNNGSTMWPYGTKLLWVGGDHLTCLSSVRLTISANAGVNPGEETDVTVDFLAPAKPGRYRSYWRLALPSGQKFGQRIWVHIEVDHPIRTSGNKQGAAINLNRLPEANCTGPFTFDINRAPVEPFHGWPRSTWFASGTMEPKESEPAPSDMPSVPAAVEPVQIPVTDAPTSSAEAALASMPAGVPAPEAIFLPNLVPNSAPVSAPVPAPAPAAVPPVSMPVPVTAPDPWPEEVVNHLKEKMMGELEVLGFMQADLNKQILRQNNYDLEQSVVDLCGLNEWDPLDDEFSELGSDDTEMKEEVVINSDEEGFIVTDLVTKAKKDQ >Dexi4A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:4A:4088600:4090104:1 gene:Dexi4A01G0005640 transcript:Dexi4A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRIPMLVQELAAKVQQPPSRYVQPEQYHPVSLAVSAEKPDPIPVIDLSRLLAADGADDEGSKLRLALESWGLFLVDNHEIETSLMDDLINASREFFHLPLEEKQKCSNLIDGKHFQVEGYGNDPVASKDQTLDWLDRLHLRVEPEDERNLVHWPEHPKCFRALGLEEDYIVAQFSNKAPSFARFNYYPPCPRPDLVFGVKPHSDSGVLTILLMDKDVGGLQVLKDGVWHNVPNSPHRLLINIGDFLEIMSNGIFKSPVHRAVTNAGKERISLAMFHGLDPEKEIEPAPALLHENQPAR >Dexi1A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:1A:7868294:7868687:1 gene:Dexi1A01G0009570 transcript:Dexi1A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSGSGCDDDVAPPSPPLGTALADATADIGQRRRNAGGGRGGDRRIQFLSTLNSGGGSVTLSRDDSSTAREWRRESQPRLRS >Dexi5A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:5A:9497679:9498560:1 gene:Dexi5A01G0012640 transcript:Dexi5A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGLLALAIAAVLKLAGADSPWNGGARATFYGGDDASGTMGGACGYGNLYSAGYGTNTAALSTALFNNGKSCGACFELRCSGSGSCLSGGSILVTATNFCPPNYALANNNGGWCNPPQAHFDLAEPAFTKIAQSVAGVVPVQYRRVPCLRQGGIRFTISGHSYFVLVLITNIAGAGDVAAVSVKGSQSGWQPMSHNWGANWQSSALLDRQALSFQVTGSDGRTVTSNDAAPAGWSYGQTFSGNQF >Dexi2A01G0033630.1:cds pep primary_assembly:Fonio_CM05836:2A:43828895:43830838:-1 gene:Dexi2A01G0033630 transcript:Dexi2A01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAAKPIRCRAAVCKAPGQPLEMEEVEVEVAPPRAHEVRLKIVCTSLCHTDITFWRMKDFPAMYPCILGHEAVGVVETVGEHVEEVSVGDTVVPVFLPQCGGCADCLSARSNICSAVAHRHGFMPRDGTTRFSLASTGEPVHTFLSVSSFAEFTVVDVANVVSLAAAALPLPPEMACLLSCGVSTGVGAAWEVAAVEPGSTVAVFGLGTVGLAVAQGSKMRGAKRIIGVDLNPDKFEIGKRLGITDFVNPNDIGEKTVRQVIKEMTGGGADHCFECIGSTSVMAEAFESSRAGWGKTIILDTDAGLAPVSISSATIKWGRSVTGALLGGIKPKPCTWSGFNP >Dexi4B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:4B:8688427:8692602:-1 gene:Dexi4B01G0011290 transcript:Dexi4B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPPTPQPPARLGSLWSTLEDQRGAAVPLLSSAWTLPTTSAEEREQPKEGPFRRAVAAVAGWWDAACGAVEELWAFARADPRKPIFAGKVGLALALISFLVFLREPHDIVSHSIWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGACALAVAELSKNLGDLEEVILIMSILLVGEDLHNLIAKNFTGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLNVVNAFEELSENANFKDPVEEPTAVSTAVLLEFD >Dexi4B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:4B:2201115:2201483:-1 gene:Dexi4B01G0003230 transcript:Dexi4B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDGGVHNNCFNLDCGGFHLSPSPFALGNSWSNSDSQIGGERYGVPLGIHRFYLWLFYQIKA >Dexi3A01G0034120.1:cds pep primary_assembly:Fonio_CM05836:3A:39400317:39402050:1 gene:Dexi3A01G0034120 transcript:Dexi3A01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLPSSCCHHHHHAAPFFAPVAASLARPRWGALRLAAAAGRSVPASGTPMVAPLQTPPAAVDKRRCECFDLHQQIVPYAEAYAWQKSIVKRRGELAYSGEDHSDTLIALQHPPVYTLGTDSSEEYLHFKKEDAPFELVMYPILNLRYHKEDVRWYLRSLEEVIIRALKSAFSIKATRVEGLTGVWIEFLSISSPGNQKVAAIGIHGSRMIVYHGLALNVTTDLTPFQMIDPCGIKDRGVGSIKQILQMASQGREIDDTLLMDIAYHSMIKEFAELFQLDLDINSDCSFQ >Dexi9A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:9A:775280:780956:-1 gene:Dexi9A01G0001460 transcript:Dexi9A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDPSSPPPPAQHRDWFFPPAPPFLPSSRARAPRTPFPSTYRSSKPYSAYSLSDRRPPPTPRSRSRSPHPPPEQQPQTPPPTSAARRRDPRYAGVRRDDVRTAASEKAAPPTAAPVHGRKPAPSAIIPRWSGMLSAAVILLCLASLLRRNFSLHDQVHHLQGQLALATAKLQSCIIGSSSYMSDIDFLYQDENISMPNRSLKNLSLLISLSVLYAPLAILKYIDLVTKIRSSRDSEEVPINKRFAYRVDIFLSLHPYAKPLVLLVATLLLIALGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAVGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMRFEDVLISFPDAVPCGIKVASYGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVKRGYLPKDFIVPKSPERILFCGWRRDIEDMIMVLDAFLAPGSELWMFNDVPEVDRERKLIDGGLDFSRLDNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPLRPRGTFSEGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQISLS >Dexi2A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:2A:26764283:26765946:1 gene:Dexi2A01G0015630 transcript:Dexi2A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVLAIAGAALLLGFLYLVKNPRTTTSKLPPSPPWLPLVGHLHLIGDLPHRSLHALHLRYGGGGRGLLLLQLGRRQTLAVSTAAAAADVFRHHDLAFSSRPHHAAAHKQTYGSRNISFAPYGDHWRRARKVAVVHLLSPRRVEASASARRAEAAALVARARRVAMTGEFLVVRDLLYGYTNAVVTRVAFGAAGTTAERLRQLTAHSATLVAGFQADDVLPDAAARVFRWVTGLEKKIDKKVHDWDKFLSEIMVEHKEKTEHGQGGDGDFMDVLLKLRQEEGSDGFELTDDGIKAIAKDMIAAATDTTAVAMEWAMVELISNPRVMSKVQDEVITRVAVAGDGEQQPGNITDAELSRMGYLRAVVKETFRLHPPLPLLLPRESMSTAAVRGGRYQIPAKTTLIVNAWAIGRDPAAWGDATEEFRPERFLAGGEAEGVDPRGGGGDYRLLPFGAGRRVCPGIGFALPVVELALASLLRHFDWELTGGVRPADLVDMVEAPGLSAPPRVPLVLVPKWKALA >Dexi1B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:1B:1301433:1304680:-1 gene:Dexi1B01G0001640 transcript:Dexi1B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAGEAAETSGGAGLPLAVRELLAGGAAGGVAKTAVAPLERVKILFQAGNTVTHCGGGQFGYGLLEVPDKKSRVSWFWIDWILPNNLSDRRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQMKSHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQALSSSSLVGRGTFESMVMIAKQQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREETAVAVLSEERNNTAPIPSS >Dexi1B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:1B:4785916:4787049:1 gene:Dexi1B01G0005890 transcript:Dexi1B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGVGGLEQNRVGGVAAAWNKAAPAWSHCVGSAAGPRPGGRAPREGGGGGRPDGGVEAADLAGAGGGGPEMAAPWPAAG >Dexi5B01G0031630.1:cds pep primary_assembly:Fonio_CM05836:5B:32342072:32344308:-1 gene:Dexi5B01G0031630 transcript:Dexi5B01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTAENTATASVQGANTSEPTLGRVMAFLFLVSFVGLLVIVPMRKVSLVVRSCLGSFLWSAFQWFYTGGPNCGFTAFPTFGLPAYNRGFYINMNGTYVGVGMISPYLINISMLVGSIISWGFMWPYLLTKKGDWYDANLQETSLKGLNGYKVFGAIAMILGDGIFQLVVISLRTIHTMRHHQVTAAETMRSFSDLDSAPRPVLSFDDRRRTQVFLREHIPSTFAIGGYVVLAVLSSAAVPLIYRQVRFYHVAAAYVFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGASSGGIVGSLVICGVVSSVVSTASDFMSDFKTGYLTLTSPRATLVSQVIGTALGCVVNPVIFNVFHHFYESNNSKYQAPLAKVYRAIAVVGAGDHELPKHCLAISVALFALALAVSAARELAVHNRWPAQHYIPSVTGMAISFLLVPAVSIDMCVGSLILYAWNATDKEDAQVFAPVLASGLICGDGLFSIPYALLARYDVTPPICVKFLGRVQNKLLDEYLEKAAQ >Dexi2A01G0035350.1:cds pep primary_assembly:Fonio_CM05836:2A:45008025:45011562:-1 gene:Dexi2A01G0035350 transcript:Dexi2A01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARRRASKAQAAGAAASDQQIALWGACVLLSSLSLLLAAASSGLGAARLTALEVTALVKATTAATSAAAVPVAGGVDGDVTNKYCSDDDDLLRTMSVDGEWVRDDAGDEHRRRRALYEPGKCPFVDEGFRCVENGRPDGEYAMWRWRPRRCELPTFDAARLLETLRNRRLVFVGDSIGRNQWESMLCMLASAVAVAEDDDLDDEGSAIYEENGSPITKHKGFLSFRFRDYNLTVEHYRSPYLVRRGRPPRRSPRYVAGTLQLGAMDSRAPLWKDADILVFNTGHWWNQERLQRLGCYFQDGKKLRLNMTVVDAYQRAIDTLQKWIHKEVNATRTLAVLRTYSPAHARVADSGGGCAMETLPELNTSKIALHRWPGILNPTFEAPKKKKKLHAAPELYVLNVTLMTAQRRDGHPSVYNVALSARLPVGQRADCSHWCLPGVPDAWNELLYALIIRRLL >Dexi5A01G0028880.1:cds pep primary_assembly:Fonio_CM05836:5A:32070628:32073751:1 gene:Dexi5A01G0028880 transcript:Dexi5A01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGYGYSSYPPPQGKCPTLRVRCPSETRSSRYYNNGPPVMAPPQYQYGAPPPRREPSFLEGCKPAAKQEELEKDPVRPRPTGHGLSLARRPCSETKWQVHEDRGHKSCKAEALKNNDAISIGYLFLRAVLTRWAITIDHQTGALRGGPAATDPLLRSVPLALVCHALQSDANILSHARPCCHRSARESVMRAGAGLPLCLPSRE >Dexi6A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:6A:7061212:7061794:1 gene:Dexi6A01G0007150 transcript:Dexi6A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDEFPEATVAYTVREELGRGTCVRIGETRDELCGGFRCSASRSARHLRRRCTMREPRRGKAERSRGRRYDVGRRSSDGPAACGIS >Dexi2B01G0023080.1:cds pep primary_assembly:Fonio_CM05836:2B:32647756:32650284:1 gene:Dexi2B01G0023080 transcript:Dexi2B01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVVVAFLACAAIYFSIAAYSRRQGIAEVQLPAVTHRVYLDVDIDGQHIGRIVIGLYGEVVPKTVENFRALCTGEKGVGSNGKRLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGIFPDENFTVKHTHPGVVAMANSGLDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDTVYAIEGGAGTYNGKPRKKAVITDSGEIPKEKWGDQET >Dexi1A01G0027100.1:cds pep primary_assembly:Fonio_CM05836:1A:32981832:32982809:1 gene:Dexi1A01G0027100 transcript:Dexi1A01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMSSRPLCLALLSVILVTVIYLVVFPDDGSKLTDVFGPGSSSSWDSNGAGVSAKNQPPQAADEPVDLRLLMGIVTIPSAYERRALLRLAYSLQPRPVRAVVDVRFVLCTIDKEEDRILVSLEIIAHGDILVVNCTENMNDGKTYAYFSAVPRMFAAAPYDYVGKTDDDTYYRVAALADSLRGKSRRDAYHGFSTPCHTDPERQYMSGMGYVVSWDVVEWIAATPELADDHHEWEDEDFGGWMRKGGKKMNVYNEEPRMYDYWDREMDTGMNCFRHEHRADTVAVHKLKDRLKWARTLHFFNATQGLRPSELYHVDLENNIYKV >Dexi2B01G0030570.1:cds pep primary_assembly:Fonio_CM05836:2B:38838691:38841987:-1 gene:Dexi2B01G0030570 transcript:Dexi2B01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRDAPLMRGGGGGGGQPLSRGSRIAAAVVVGVTLGCLCAFFYPDDLFSRASDSAIHWPRRADSVACETSREVSSLKSQLLSFERKNAELRKQINELSMKLQLAGQGKNEFLYKAGPFGTVKALRTNPTVTPDLSINPRLAKILEQVAVKKELIVALANTNVKEMLEMWFTNIKRVGISNYLVVALDDTIENFCKSNDVPCYRRDPDDGIDNIGKTGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDYLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSRPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAHLRKLKPVIVHLNYHPDKSDRMKAVIEFYVNGKQNALEHFPDGSE >Dexi2B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:2B:135994:138471:1 gene:Dexi2B01G0000310 transcript:Dexi2B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQEDSNWEIRVAVQVSLLLQILLIFIGPMRKRTSHPVPRFTVWSCYLLADWVADLALGLLLNNMGNIGGGGGGGNTSSSSTFGGGNKRGGGGGGATAGNPNSSSSSSSNNNSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSACVIFFCSLRGNPMVHATLLMFAVGIIKYGERTYSLYSGSVDGFRAKILDPPEPGPNYAKLMTEFDAKEKAGVDVEIVIADGEASKAQKEMQDKETTRLVQQTGKSVEARAYDLFLIFRRLFVNLILSFKERRLSQAFFLQQRDGMTPSLAFEVVEVELNFIYDMVYTKGPVAHSRAGWALRCVCSACLLAALVVFFLLDKASHGIERVDVGITYALLLGAVALDAAALLMLLLSNRVAVFLEESSSPALACLSRLTRKVKGLRLRARRWAGKTSQLNLIDYCLGRPGRYTSKRGWRFWADKVAHTLGVEEIVDDLVFIRREPVKDALLEFIFEDLKEDAQKLKEKEDKIIMVEQLEPGAAQSNKLLVKENKDVEETTMAAAEAAGQNKVRNQKEEIMAVCTRRGGGVVGSLEKEIKAALSSNDDDKDDDAAAAAADKMKKSKEELLFSLILKSVTDKDFDESLLLWHVATDLLSRLKPQQGPATQDTACKQPIAETVSEYMLYLLIKQPGMMSTTGGIGLLRYRDTCEEARRFLGSMEASWVIDNPEDARRMLVSVNTSLPPAEVKGDRSKSVLFDAVILAKALMEVADEELRWQVVAGVWGEMLTYAAAKCPGSTHVRQLSRGGELITLVWFLMAHMGLGDMYQIQEGDAKAKLIVRGQ >Dexi6A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:6A:26228432:26229598:1 gene:Dexi6A01G0018480 transcript:Dexi6A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLLAPWRVLPPATSLPRLPPSSARQVPTRAETSPRRAAAAPRLRATAPDANDAAALMVARAEAGDFAEARSIWAQLLHSSAAPCLPAAAPRLLPAYARLGRSDEILLAVRELCARDPAAARALYPLAVSCLGAAGELARMEDAVLEMGRLGLRIDSATGDAFVRAYAAAGTIPQMEAACRRHKKTGLLISRAAIRAVASTYISQQKYYKLGAFVTDAGLGRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLSAEHMRRDGVAPDLVTHGCFVDAYLERRLARNLEFAFDRLDGNAEPVVATDGIIFEAFGKGGFHASSEALLEATAGKRRWTYYKLLGVYLRKQHRRNQVFWNY >Dexi1A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:1A:28127428:28131358:1 gene:Dexi1A01G0021330 transcript:Dexi1A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARCSLLLTLALALSSLVCSGAAAQQVDAVAAAQQAADRVAGLPGQPPVGFAQYAGYVTVNETHGRALFYWFFEATSAPDKKPLVLWLNGGPGCSSIGYGEAEELGPFLVQKGKPELRWNNYSWNTGTHC >Dexi5B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:5B:17944324:17945417:1 gene:Dexi5B01G0016980 transcript:Dexi5B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLRPASAMAFFTGTMVVVELLEARPGELLGEVDAVEQGLDLDAHLVLVAQRALGAFALAAQLPQRAGVAGDVPAVLALDELDEVVHDALVEVLAAEVGVAVGGEHLEDAVVDGQHAHVEGAAAEVEDEDVLLGALLVDPVGDGRRGGLVDDAEHREAGDDARVLGGLALRVIEVGRHGDHGVRHLLAEVGLGGLLHLGEHHGAHLLRAELAHVAVPDLDAHVRLAVPVHDGVGQQLHVALHHRVAELAADEPLGVVDGALRVRRRLVLGRLADEPLAAVGEGHPRGRDPVALVVGDDLHVAVLVHAHARVGRAQVDADHGADGVLLGGGASMSRGGVDRGHEIGDQQREQKRPHAR >Dexi5A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:5A:13653970:13655166:1 gene:Dexi5A01G0015850 transcript:Dexi5A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRWKDKYVKERLQGLSGLSCSSTASTSVVVASGRAIDRHSPRLRDPHRRLPPPAPRPPGSPYEDGKEKRKKSTEAAAAAASSRSSTSSSSSEHKKKNKKKQAVVQLQQVSPASSSRFLLNSSRLAMHSDDDVITVVDALPPPLPSPPRPSFFDDEDDINVVADSLPPLPSPRPAFVDDDMFRSRGDAALQLQPAVRSGTPHQIEALPVGFLASPSAGAGSSSSSWLSSETGRVANGDKTSMMRSCSTRTGQQQVVVLRVSLHCKGCAGKVKKHISKMEGVTSFDIDIPTKKVTVVGDVTPLGVLNSIAKVKSAQFWPDALSSLSTPPRVSASF >Dexi1B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:1B:20008505:20008849:1 gene:Dexi1B01G0013950 transcript:Dexi1B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKNSPCSPDLAFRKVPEPNRVRRLTCRCCPCGVENASLLYVGAESERHLVEGPPQHGAAEEVTEALLDQGGRRPPVLLQRRMERHLQPVLAASPDALDPPLRPACAHVMGFG >Dexi9B01G0030770.1:cds pep primary_assembly:Fonio_CM05836:9B:33199752:33200547:1 gene:Dexi9B01G0030770 transcript:Dexi9B01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNVRYSSLPSEDGDDSNLTKEDVDLRYTYTPKSFRRIPWKSIALALFLLLLGTSLLFLSYFIFTGHMEGDSSQAYGLLFLGFLAFLPDSEVPFNVVIAQLHLVSSKRNVLISYKTTCLCYGYGKRDIYMIFIAGFYETRVAYYSWRGAPGYTFASIPDY >Dexi1B01G0026140.1:cds pep primary_assembly:Fonio_CM05836:1B:31044095:31045940:1 gene:Dexi1B01G0026140 transcript:Dexi1B01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSAVALPSSCRGARPAGGRARLLVARAAASSPKLPSGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQNAGAEVVNGLFLRYEAPKEPNGTYVVHYNHYDGSSNGKVGGEKRSLEVDAIVGADGANSRVAKEMGAGDYEYAIAFQERVKIPDAKMAYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >Dexi1A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:1A:2836383:2837742:1 gene:Dexi1A01G0003850 transcript:Dexi1A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAGKRKELDQVVDGLSDFSLSGPAAKSRRLDPGLPPIMEEEPPAPSMAFQMLGEKINHVVDMPSMEVMMEGATSHHVPSEDMALVLYKPVDSPAPFGAGISSSSFIVSSDLIRGLKSK >Dexi5A01G0023640.1:cds pep primary_assembly:Fonio_CM05836:5A:27609172:27613298:1 gene:Dexi5A01G0023640 transcript:Dexi5A01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVASQAQAVLRARLCDPGFVHSALRSSPDTNYSKLKYLVASSISEACNNSVLLLGPRGCGKAAVVDMVLEDLKKEHPDAISVEIARQLCLEHQLSFSKMASSDDNTEFIIDMLRECGLAHKTILFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGLSCRLDADQLLEKRVRSRFSHRKLLFVPSSLDDIKSFRTVSYMDMESGFLSMESFLNALSAMQRQPKMDSLQEYRSIQDAYKTSDKYASTVCFRAFEHLLDRELISFGDIRGRNQALEYRPVKLLISSRELAQSLKLNTTCPAVLQKLFDRERYM >Dexi2A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:2A:11322917:11325541:-1 gene:Dexi2A01G0010170 transcript:Dexi2A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFMPPREAEPPPLAAARDPIAPASDPQRRRETSTPSLHALFLRAVDPSRPSSWSAAVADLLSSGDPVSALAAFAAALRANPAALRPALPPALRAAAAASSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCSRPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYAALAIFRSMVASDSARVVDEAAALVALSASARVPDRGIIGGIHALVAKIGLDEHAGVANTMLDAYAKGGGRDLGTARKLFDMMERDAVSWNTMIALYAQNGLSAEALRLYDKMLNVGGGVRCNAVTLSSVLLACAHAGAIQTGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARRAFQRIKEKNVLSWSAMISGYGMHGYGQEALDVFSEMRRSGLKPNYITFISVLAACSHAGLLNEGRHWYNAMRKEFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAMWGSLLGACRIHKNVELAGIVANRLFELDATNCGYYVLLSNIYAEAGMWKDAERLRVLVKTRGLEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLEKMREAGYAPNTGSVPHDLDEEEKGSMLRMHSEKLAVAFALMNSVKGSVIHVIKNLRVCTDCHTAIKIITKLTGREIVVRDIKRFHHFKDGLCSCGDYW >Dexi2A01G0035020.1:cds pep primary_assembly:Fonio_CM05836:2A:44762225:44763265:-1 gene:Dexi2A01G0035020 transcript:Dexi2A01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAIAGQDAAAQPPRLPWTVRLQLFGLVAAAGAPMRRDGTVNRSLFNLLDRRARASARPDKSGVRSTDIDVDASRGLWARVFSPSIAKAPLPVLVYFHGGAFALLSAASSAYDAMCRRFCCDLRAVVVSVNYRLAPEHCCPAAYDDGVDVLRHLASAGLPGDVVSTPVDFSRCFLAGDSAGGNIAHHVARRWTESAAAAASPADSNHIRLAGVILVQPYFGGEERTEAEVKLDGKAPVVTIRGSDWAWRAFLPEGTDRDHPAAHVTDENAGLADSFPPVMVVIGGLDPLQDWQRRYADVLRRKGKAVRVVEFAEAIHTFFFFPVLPDSGRLVAEMKAFMDEN >Dexi2B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:2B:21926859:21931558:1 gene:Dexi2B01G0013410 transcript:Dexi2B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADDLGSPRELTGLQRRRALYQPELPPCLQGPRVRVEFGDSTTSIDPKCADIVAQAFPHTFGQKLVHFLEPWTTVPDAHVIEEHPPIRVGVLFSGRQSPGGHNVIWGAYAALKAQNPHNVLLGFVGGTEGLFAKKTLEITDDVLSSYKNQGGFDLLGRTVDQIRTTEQVNAAISTCCDLSLDGLIIIGGVTSNSDAAQLAETFTKHNCVPVTLSGDLKNQFVETTVGFDTVCKVNSQLIGNVCLDAVSAGKYYYFVRLMGGKASHVAFECALQSHPNMVILGEEVALSKLTLMEITNKICDGVEARAAQGKYHGVLLIPEGLIESIPEMYALIQEINNLHSNNVPEDDIPSQLSPWAAAMFKFLPPFISREAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYAVLREKASSFLLDDFYRTPGGIQFEGPGTDTKPITLTIEGQDYLGDIEILQDYLDKVRNILKPGCSREILKAAISSMASVNDVLKVMSAPIHAELPLYHFN >Dexi9A01G0039960.1:cds pep primary_assembly:Fonio_CM05836:9A:43844007:43844619:-1 gene:Dexi9A01G0039960 transcript:Dexi9A01G0039960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWANFSRAGAALSKAQVKKAKRFLPNPERHWGPKVRAGRKITSKHISLLGPEAINGALNGTVSHEDRNEVAPDKPAPESEGIQDDEPLTKRQKISDNEQANEP >Dexi9B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:9B:15104862:15105862:-1 gene:Dexi9B01G0020360 transcript:Dexi9B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLNSLTSAATPAPAAPRHRNPTATRNRTGPRRHIRDHPERANERNRVLTSCSAVRPTYSSAGHEFFRQWKMCPLTIPPALPPKCHLLILAPPPSPRAQRRSLERRDPARANRRQSEVRAGPACDPGNPEEDPQARRPHALLQRVPGPSSAPLLITPAPPRIDSSPPGDRLAPAAATTTVGWPAPAGLDRIQPPSSRSPPPPRARACWRAAVVRRRRKTTDGAPRRQSLALLEEKKKKVAIAYRPRA >Dexi6B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:6B:26550023:26553232:1 gene:Dexi6B01G0019990 transcript:Dexi6B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAMLRWAAAALALAALLAASPASAFYLPGVAPNDFQKVQSPFSPTSLLLRDMCCMCSNRYIHICLQKDQLLVKVNKLTSTKTQLPYSYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKVPIDDKQAKELKEKIEDEYRVNMILDNLPLVVPIARQDRDAVVYQGGYHVGVKGQYAGSKDEKVFIHNHLTFLVKYHKDETTELSRIVGFEVKPFSINHQFEGQWNDKNTRLITCDPHASKLVTNSDTPQEVEAGKEIIFTYDVGFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRFYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFAFFVLTGAIGFCACFWFTRLIYSSVKID >Dexi6A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:6A:26997467:27001845:-1 gene:Dexi6A01G0019360 transcript:Dexi6A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRTVLGFFGFGFGFSAGIVIGYFLFIYVQPNDVKDVKTRPLVDYDSKSMENILPEIPLWVKNPDYDRIDWLNRFLELMWPYLNKAICKTAEDIAKPIIAENTAAYKIDSVEFETLTLGSLPPTFQGMKVYVTEEHELIMEPSLKWAANPNVTVAVKAYGLKATIQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADVMSIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVIDPETQVLEINVFDWEQVGKHEEMGMNSVLLKDLPADETKVNSLDLLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDIEKEGTEGTDEIEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIICKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPENDKLHIQVLSKAPKKGLIYGKETLGYIDISLADVVSNKRINEKYHLIDSKNGQVQIELQWRTS >Dexi9B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:9B:6877226:6880079:1 gene:Dexi9B01G0010910 transcript:Dexi9B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTDLINLDLSDCSDKIIAEYICPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNNRHRAAKIFSHPDVKVEEPWFGIEQEYTLLQKDTNWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLYAGIDISGINGEVMPGQWEFQVGPAVGISAGDQIWVARYILERITENGGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSDGGYEVIKKAINKLGLRHREHIAAYGDGNERRLTGRHETADINTFIWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTILWEPSHSNGNGVTAP >Dexi6B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:6B:26806867:26808229:-1 gene:Dexi6B01G0020310 transcript:Dexi6B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSTDGGTPEVTLETSMGAITFEMYYKHAPKTCRNFVELARRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKSELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDV >Dexi3A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:3A:9586921:9587808:1 gene:Dexi3A01G0013180 transcript:Dexi3A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTYVRAQAFADHFKMALDCFFAVWLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKSKKPRNEEGNEGGGGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSATVPAIGFGRRHSDNRVGNDIESQQ >Dexi5B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:5B:20441694:20445262:-1 gene:Dexi5B01G0018340 transcript:Dexi5B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLAALPAVLLLLAFAPGAVVPASDGEVRALLALGAALDPTRRLLPSWAPGRDPCAAPGVGFEGVACDARGAVANVSLQGKGLAGTLTPAVSGLRALTGLYLHYNKLRGGIPRELAALSNLTDLYLDVNGFSGPIPPEIGAMASLQVVQLCYNQLTGSIPTQLGNLTKLTVLALQSNHLNGAIPASLGGLPLLTRLDLSFNNLFGSIPVRLAQLPRLVALDVRNNSLTGSVPAELVANLQAGFQYGNNSDLCGAGLPGLRPCTPADLIDPDMPQPFSAGITPQVTAPSHGHAPSTKALAAVVVAAVSLLAATAVGLFALSWRRWRTQRVAGGGSSSSPSTATAAGGRCSTELAAAAKASSSARKSTSSALASLEYSNAWDPQDALAQGLRMSTEEVESATRYFSELNLLGRRGRKKAGGGQVATYRGELRDGTPVAVKRLGKTCCRQEEAEFLRGLKLLAELRHDNVVALRGFCCSKARGECFLVYDFMPNGSLSQFLDVDDADSTAGGGRGHRVLEWSTRISIIKGIARGIAYLHSTRPSKPALVHQNISADKVLLDYTFRPFISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRLSDKSDVYAFGVIVLQVLTGKTKVAAAAAQLSGDVGELVDGNLQGSYPATEAARLVKIAVACTGEDPDQRPTMEEVLQELGTI >Dexi7A01G0022520.1:cds pep primary_assembly:Fonio_CM05836:7A:30761039:30762621:1 gene:Dexi7A01G0022520 transcript:Dexi7A01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAWPGAGVAGVSSPNSLLLLRSPPPHAIYMRRRLVLGVGTSAVAALAASAPPAVLQDGAATLFATAGAYALVRSFDMLTERRLLEKSLSRKIVHVLSGILFMASWPLYRELLRGPLYYVLVLLFSVLVFWRESPIGIVSLSMMSGGDGFADIVGRRYGSVKLPFNEKKSWAGSISMFISGFMVSAIMLFYFSSFGYIHVSWEEAFGKLAFVALAATIVECIPVTDVVDDNISVPLATMLFKRN >Dexi2A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:2A:593524:601442:1 gene:Dexi2A01G0000980 transcript:Dexi2A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRILHNHDLNLLPVMWNSPIGPDWFLARPRLHRIISEPSSSARLTVDEIGMEIESGKWRRLFFVAGALPFSPTVTAAAGCYGHGRDREGLVHVTGQAAMAAVLDVDDHLRKLLLRAQVIIDEAMGRHITNHAMLQHPTVSRQPDPDAHTHCAAGLRMLPGVPEDTMWLQCAAKKLCVMLRAYAQRFSSELATSPFAAMEPDAMQLELTEAQCIDKGPHNTRSRLGADSLGRQAQSPAPASFDMTS >Dexi6B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:6B:24757764:24759255:-1 gene:Dexi6B01G0017620 transcript:Dexi6B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVGDGLLRACTREAESQLSSGSTREPELRQTAAAAAQTTMIDSASAVCEFSVNYEQAKHQASVQTICSDAISAGGHMRRIRYYASTMSENICIGLERLSKQASIGVKVIFEVLLIDKDGIPAVVAAEPTLQYGIIVSIDSSTAVSSTDLGKKYVKDGQIKFLCTIKMLNIDDTSVRARTSGKGIPVPPSDIVQHLGTLLDTADGTDLTFTIDGETFNAHRAILAARSPVFRAELLGSMAEATMTSITLHDTAPATFKAMLRFMYTDALPKEDELGDSPLEEMFEHLLAAADRYALDRLKLLCAQKLWDNVSVDTVATTLACAQMYNCLELKEKCISFFMVDKHFKKAVLTEGFVKLMQQDPSIIIELRDRTQT >Dexi3B01G0035450.1:cds pep primary_assembly:Fonio_CM05836:3B:38448156:38452174:1 gene:Dexi3B01G0035450 transcript:Dexi3B01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLLEQLAGEALRELMGAVRGTLFCRSTAERLRRSVEPLLPLVQGLGSRSSAGELGELAGRVREALDLARRAASSPRWNVYRAAQLSRRMEAADRGIARWLARHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAAAQPPPPALSVPVAPPPPALKGVPMQMPMLMDAPLAKASVEAPPPLKGVALPAKAGVMAMDMELIDGHEDEGMSGGGLKMAKEKVKEMVMSGGGGWEVVGISGMGGSGKTTLAMEIFRDQKVRAYYNDKIFFETISQSANLETIKMKLWEQITGNLVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLSQLEDLVFKFPGCKTLVVSRFKFPTLVTRTYEMQLLDEEEALSVFCRAAFNQESVPQTADKRLVKQVSAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASVECLSEKVRDCFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILSELANKNLLTLVNDAQNKAGELYSSYHDYSVTQHDVLRDLALHMSGRDHLNKRRRLVMPRREETIPRDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSIYYLPPFIATMQNLKALVLINYGTTSANLDNLSAFTTLNGLRSLWLEKIALPPLPKTTIPLKNLRKISLVLCELNNSLRGSTMDLSMTFPRLSNLTIDHCIDLKELPSSICEIGSLETISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTNLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >Dexi6B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:6B:2923600:2926664:1 gene:Dexi6B01G0003550 transcript:Dexi6B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTAPEADPGASSSSSAPAAAAPAPAPAVEALAGAVAAMTLGERFETLRGIGEECIQEDELMRLLQNKPVPICYDGFEPSGRMHIAQGIVKTISVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRAGEYWPLVMDIARKNNVKRITRCCTIMGRSDQEELTAAQIFYLADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPTSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGKFDVIRKESNGGNKTFLTMDELISDYESGALHPADVKPALAKAINEILQC >Dexi7B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:7B:10358015:10359215:-1 gene:Dexi7B01G0004230 transcript:Dexi7B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVAGGDRHAALAVGEEGTTLSYYASASEINKYWHSVFPKTSIPSAVLDRFNAPSDQLSKENTKILHNWAHRVASEKLLFPENVFTVGAKINLYIDQAAGLHAPWLHPDIGDSIAMSTNNFTYIATTFAPVSASMARDMWSTLSSCEHPRELSSEQKACAASVGSMHEFVVSALGTGNLHALSTSLDVPEEGIRSPSYMYKVAAVRAVSAHGDKAATNIVTCHSMSFPFSLFYCHTVNPTRIYEVTLQREEDGVVIASPRTPAVVRRALAVCHVNTSGFDPTLMYWVKLGARPGEASVCHFLTRGDVLWAPTSAS >Dexi9A01G0047610.1:cds pep primary_assembly:Fonio_CM05836:9A:50662383:50664190:1 gene:Dexi9A01G0047610 transcript:Dexi9A01G0047610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRRFLLLTAAVVLLLLDAPPLASCADIYALVYKGCANQSFPGGMVPSTVAVLASALGAQSSSAKFYKTSSAGSSNSVFGLFQCRGDLSGSDCAACVSRATTSWHDVCGASVAARVQLAGCLALYEVSGFPQVSGVQMLFKTCGTGSGGGGDFEMRRDTAFAALEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGAPSGQVYLDKCYISYSYYPHGVPHGGGMGGQQTAKTVAIVLGGAVGVGFLVICLLFARSLVKKKDDY >Dexi9B01G0027370.1:cds pep primary_assembly:Fonio_CM05836:9B:29822651:29823153:-1 gene:Dexi9B01G0027370 transcript:Dexi9B01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLIPCSSCSPSSSPPPPRCSASSSGGASGVVHHRVRVHLVFPILLAATATLLGFLLRCVSNASGVVHHRVHLVFAVLLVIAVLLVFLLLIIGRGSNGQPVATERAGAVAAEPLGDAVLVEGMRARHRPQGLVGTEIVQADGALLPGAVATRHLLQAQDGLA >Dexi8A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:8A:27457404:27461198:-1 gene:Dexi8A01G0016200 transcript:Dexi8A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPGADSQSQQQQQQHPAPQSGMPPRPNGRAPTLQTSLSLGGGGGGGAGAGSPDGAASPPVDARGEPAVSNSDPGHDSATESASSQETWPDETAKSGGTGGVASTPVAVAVPIVAVRMSASGGSKDKEVVGNGGGGVSRQQLQAVHRGRIPGDTGRVTLREIARERVDVVAEKMKAMSEEQLDEIKAELRAILEGTGGSHHIEEFLYLQKIVQGRVDLSPAILSVAHHVQLEILVAIKTGIQAFLHPSVNILQSRLAEVFLYKRCRNIACQSALPAEECRCGICSNRSGFCNLCMCVVCNKFDFEVNTCRWIGCDVCSHWTHTDCAIRDGQVGTGQTIKNGVGCAEMLFRCQACQRTSELLGWVRDVFQQCAPGWDRDALLRELDYVCKIFRLSEDPKGRLLFRRCAELVDRLRSASAESMTPRMLLQTLRELNIDSSKSFENEEPGRVITPQDACNRIAEVVQEAVRKMETVAEEKLQMYKRARLAVEACDHELEEMARKAQDLKAEQLRKLQQAEEMESIIRLKQAEAEMFQLKANEARQEAERLRSVALAKKSEEAGQDYASLYLKRRLEEAEAEKQYLFEKIKLQENQRAPPQAASSSGLGIGGGSASDSSQMMMLSKIQDLLKNVRSMPPSSKSDGHHSK >Dexi2B01G0036370.1:cds pep primary_assembly:Fonio_CM05836:2B:43222819:43223028:-1 gene:Dexi2B01G0036370 transcript:Dexi2B01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRSLHCQESEIPRPPDLNRSPDLHFWESELGEETLGEMGRGGEEAPRTTSAASAEKRQSRSTRGLG >Dexi1B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:1B:8145533:8148959:1 gene:Dexi1B01G0009170 transcript:Dexi1B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAAARKPSAASTVALRLALALASAGLLFLLLRLSPSSPSPTPHPHRRLRLRARTHDAHARHHHQIPFDPVIADLERRLEDREWERLAAAGLHAPGMEAAPVPEDLSDGEADADEDYINDAARFNVTRRVEELFPKIDVDPADGAVTGDELAAWNLANSRREVLHRTARELDLHDRDHDGRLAFGEYKRPSWAWRFDGTYIFLHPADTANPKLIHWLCKEEVRERDKDNDGKLNFQEFFSGLFYSIRHYDDEGITDGTGGSDAPAKKSFSHLDLDNDGLLSADELKAIIGNLHPSEHFYAKQQADYVISQADTNKDGQLSMKEMIENPYVFYNALFTEDDYGFHDELR >Dexi1A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:1A:8438120:8438518:-1 gene:Dexi1A01G0009980 transcript:Dexi1A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRNLSATAAVFLLLVIVSAEMGSVAADTCRHLSGTYHGLCDTSYTPCEDTCRAESKDNTGGACFDSPPRCYCFTNC >Dexi1B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:1B:18956211:18956588:1 gene:Dexi1B01G0013360 transcript:Dexi1B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTAYALRLTTGSGGRSPASAKPPVQRRTSASASAAICLPAVAFASGEGAAARRASISLIAPPALLRPTLAATLASPAMSAPVLSRCLLSSDTQKS >Dexi3A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:3A:13621690:13627274:1 gene:Dexi3A01G0017870 transcript:Dexi3A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGKVSSLRYGLNVCVICRWLHAPSFATVSPQEISGSNPAEVHNFGEVQGSWTASANWNWIVDPLNGEKFIKIAEVQGTEIKPFVESLSKCPKHGLHNPLKAPERYLMYGDISAKAAHMLGQPAISDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQRSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPVLKVDSKVSVVMAQMLRLLHECGLPAEDMDFINSDGVTMNKLLLEANPKMTLFTGSSRVAEKLAADLKGRIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKVTTEAMTEHMNSLLKIPGSKVLFGGEPLENHSIPKIYGALKPTAVFVPLEEILKSGNFELVTKEIFGPFQVVTEYSEDQLELDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVPKNWALPSAT >Dexi2A01G0034040.1:cds pep primary_assembly:Fonio_CM05836:2A:44147306:44149852:-1 gene:Dexi2A01G0034040 transcript:Dexi2A01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAIAARLGLSGSRPVVRKAGELRRLCDVNFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQRGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKIKLIDICGTSSSEFTTVSTSMADLCFDVFGIAKEKKDPKSIKGNRELLDVLPSKRKHEDDSDSSDESSEDDQDELDLPTHKRQKRMDKQAYNDWKSSVLSSNKQTKTDPAKPRRQAQLNFKKPTDVAVEVPSAAN >Dexi2A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:2A:6833826:6839104:1 gene:Dexi2A01G0007090 transcript:Dexi2A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLWCDRGKATKLTFSKSAKPMDLEGLGFPRRGLAPLPRRRPELGDAIAARVRAPDRRASWVQGRKARESWNEVGDPSAGGEREDLPVAAAAAAMVRGGTRCYRASGVQEDGRVRAPTARSFGVANWRPSEEEAGVPSRRVGGVAVAGGVEIAARRRWWWRRRRRGAGEGEWILISELWDLNSAGDADCLRGKVCGAGLSGSRRAVTVEPFVPSRRPTPLLRSVARSRDISPFRSVAQPISSHPDATPKSRDGTELASSPGRRRRPTRARPLHSFSPWTSTSCPKDPGLQGVDKSSSTPSSRVLVHGGVAKRGIRWTTERKGSVGVPVHARITGVTGGKEDLPAAAETMDGGSGEEDACGIRWRRSDEGAGAGSPWAPATETASREDGGLGCPAAEH >Dexi1B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:1B:17439501:17439851:1 gene:Dexi1B01G0012730 transcript:Dexi1B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKSKSMEIDSAMWQSARLQPTVSDELGQSCRVYCPATGKVPFEWEDEPGKPKGSARMDIVPPLCPSPAMQSARLNDRRDRRRKKSKQSAGTEAGFEGCIPLRFHFGRAMKRWV >Dexi2A01G0032670.1:cds pep primary_assembly:Fonio_CM05836:2A:43075607:43075998:1 gene:Dexi2A01G0032670 transcript:Dexi2A01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSIVMGRWLKPDVYPLIAAMSFVTGMCVFQLTRNVLMNPDVRVSKSNRRSAVPDNAGEGERYSQHAFRRFLSTQRPEVFPALNCFFSESSSAGDK >Dexi8A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:8A:2094164:2098762:-1 gene:Dexi8A01G0003040 transcript:Dexi8A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAALPLGVAGNSGSVDDEAATKVQKAYRGYRTRRKLADSAVVVEELWWQALNFARLNHSTIFSELGPKMATSRWKRASIKASKIGQGLSQDSKALKLASQAWLEAIDPQHRYGWNLQIYYDFWCQSKAGQPFFYWLDVGDGKDVDLPECPRTLLKKQCVKYLGPQERKLYEYIIVEGKVIHKQSGEPLDTEGAEWIFVMSTARRLYAGMKERGGFHHSSFLAGGAVITAGKLTAENGVIKSICPYSGHYKPSTKDLKNFMKFFEDRGVDLNEDKVQ >Dexi5A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:5A:17306391:17307949:-1 gene:Dexi5A01G0016420 transcript:Dexi5A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSGVDRGFSQIASKVHRIGLKFGIHLMKGISVQAINANTPILDIKTGKPYLEDGRQWTARDIGLTQRTLKVDCIFGTDYSPEEIITISELLQEIDRPIILSLSPGTEVTPALAENISSHVNMYRITGDDWDNWNDVSSHFSVAR >Dexi4B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:4B:20439967:20441639:1 gene:Dexi4B01G0018030 transcript:Dexi4B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAALAPAPAVFSSASVRPRRGAAPAIVSSASGRPRRGARGAVRCEVVSSSAPSAAAPQAAKWAQRTVVLSPQRRGCHLITSKLVVYHPSQIVNEIRDDLAEFKCGMAHLFLQHTSASLTINENYDSDVQADTETFLSRIVPEGPSAPWRHTMEG >Dexi9A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:9A:2684225:2691783:-1 gene:Dexi9A01G0004890 transcript:Dexi9A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAARMLSDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDAESINDAVEFVLQNFIEMNKLWVRMQHQGPVQEKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPSVDIKTVLSQLMDRLSNYAASSSEVLPEFLQVEAFAKFSNAIGKVIEAQPDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDTATTKVMAVVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDDAQDDELDEEDFKEEQNSVARLIHMLHNDDPEEMLKILCTVQKHILLGGPKRLTFTVPSLVFSALKLVRRLQGQDGDVTGEDVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMSIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANATRGSSGSVTLFIEILNKYLYFFEKGTPQINNSAIQDLIELIRTAQSDNTEADPSTEAFFSSTLRYIEFQKQKGGSIGERYEQIKTSS >Dexi9A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:9A:11644852:11647023:1 gene:Dexi9A01G0016600 transcript:Dexi9A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASSLASHLKSLPTAWQDMTLMLDQKRWKKILEKKQQRQA >Dexi9A01G0048310.1:cds pep primary_assembly:Fonio_CM05836:9A:51110949:51111477:-1 gene:Dexi9A01G0048310 transcript:Dexi9A01G0048310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSARIFSPAEPAFPPRAAAAAATASCDLSAFFPSPLAAAAGGAPEDASPRRGRDEHREMAARPMTQMSAQRNAARMTTTSESKASGLGGSAAGRAGYWPELVCRSRRADDAIGKGRGGCGRQWWLMEEKLVIWVASQLGVGAFDGVVVTWRPPR >Dexi9B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:9B:9238844:9241838:-1 gene:Dexi9B01G0013760 transcript:Dexi9B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDYHSVNSAGAIKLPVFHRNHPCLRSLARPPPTAEAGSMNQMEASSIDIIQDSRINNFLFLMPVKLGTPPVLNLVAIDAGSTLCWVQCQPCTIECHEQLVEARAIFDPRNSTSFQHVSCASSDCLGIRNGLKLGSANCMEKEDSCLYSISYGGESAYSVGKVVRDRLLVGSIDIGMLFGCSLDVKYAAKEAGIFGFGSSSFSFTEQIAAFIGYKAFTYCLPLEESEKGYMTFGESDQQGLVDGYTPLFPSSNRPTYSLMLKMLTVGGQNIVSSSSEMVVDSGALRTYLSADNFGTLVMTLEKAMDALGYSRYNPGDARHLCFQSKQDWSSWNGTHTPFTDWTSLPVVEISFAGSLKLTIPPTNLLYNDPIDGLCSTFAHDTSGKLQAQILGNRLMRSFETLFDIQGGRFGFRRGTC >Dexi2A01G0033950.1:cds pep primary_assembly:Fonio_CM05836:2A:44106207:44109442:-1 gene:Dexi2A01G0033950 transcript:Dexi2A01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDYVPATPGSRWVGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGSVSGYSTSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQMRPAYPSADDGVWAAGGGHYGSKREMDLSHAAQAGRLAAPGFHEFDEGVPFIPPRQATTDHHTAAARGPSPASIKLPADLRSPEDGVVPANKMEVSTPKPEAQASAEPPEPTEEEVIEALYTHHPGHRRLPIFREICPE >Dexi9A01G0041730.1:cds pep primary_assembly:Fonio_CM05836:9A:45317758:45318222:1 gene:Dexi9A01G0041730 transcript:Dexi9A01G0041730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASASPTPAAGDHPRVLIRSFLSRETCKELEFVHRSCGAAGYRPSVLSTSLPHLAATGCGHLLLPFVPVRERLRDVVESFFDCHFDLFIEFTGLIRYSSN >Dexi3B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:3B:8068687:8069574:-1 gene:Dexi3B01G0011490 transcript:Dexi3B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQVLEVTLISAKDLKRVTVFTKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWHAPLRFTIPPAADPRALSLHVLLRAERAFGDRDVGEVFVPIRDLASAAPEGGSAGDQRHLSYQVRRPVSGRKRGVLHISYKLSDAPPPDTTAGPYAADGHHHPHQYSMKQHHHPATSKRHQHQHKVASAITAYPVAPRSGGPYPPYGPLYGGGGAYPHHHQYGYGAYGYGGGPAQGAPYGYGGNGGAARAGGGGMGTAVLGLGLLGGAVGGLMIGEMIADAEVDGAYDGGFMDGMGF >Dexi3B01G0033810.1:cds pep primary_assembly:Fonio_CM05836:3B:36621367:36622707:-1 gene:Dexi3B01G0033810 transcript:Dexi3B01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLRRSSVMVVVLWLCDPNDPCPTYPLDPIDGYCEVTDATTFTLSANATDGKNPLFPVTFMALGACSTDMLFAKSYPVDSWGLAGMSRLPQSLPTQVTSNFKVPNQFALCLPRSGATGAVIFGGGPFHLMASSPTSGDLTESLRQNQIPLLKHNKNGGYYIHVNGINVNNEPVAFPSGSFDLNPDSYWEGGGVILSTALPDQYGAGMVASYTTLRSDIYHPLFDAFAAATSGIPRAPAVEPFKMCYQASALGVNRLGYAVANIELLLDNGRTWLIPGGSSLVKVDDNTVCFAFLELNTLPRVPGSPAVFLGGYQLEDYLLLFDLDKETFSFSGPLAGISTSCSNFNFTM >Dexi5A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:5A:5592332:5592583:1 gene:Dexi5A01G0007550 transcript:Dexi5A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLAGEASLRVPHCFQKGTPFIPELSPGAADEQLPSTDLFALFGWRWWWGADGGVPPAEQGL >Dexi5A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:5A:22448414:22448944:-1 gene:Dexi5A01G0018980 transcript:Dexi5A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYKAAPGKALFVVLILAVAAHLAAADDDDDKAYPWKCFRSCTKACHKDDDYAAATVMDGGGPTGNGSCSASVSGDCSSPVSGDVGECKGGCHDDACFKDVPAIGYPQCIRERRRSA >Dexi7B01G0022020.1:cds pep primary_assembly:Fonio_CM05836:7B:26969345:26972662:1 gene:Dexi7B01G0022020 transcript:Dexi7B01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLERNIKKGELEEEVARKEEERKEEEKKTQESQQGQGLSLSLANGSARSGMSPMSTPSAKPAQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAATKTTAATAVKPPMMPAATAAPAAASSMLTRSPSLQSTSVAIGQPPVVADPSSICKLQADLPIARRHSLQRFLEKRRDRIVNKAPYSPAKSSEGVESPGMEVTAEGKAQ >Dexi2A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:2A:28253749:28254520:1 gene:Dexi2A01G0016560 transcript:Dexi2A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVIIQHPGGKVERLYWSTTAAEVMRNNPGHYVALVILRVAAAAAAAAAAAAGDAAAAAATAAAGGTGGAKITRVKLLKPKDTLMLGQVYRLITAQEVNKALRARKNEKMQRCEAIRQQHEQLRRGDGADQSSSDKDGKQEKDRHRGRGRHWRPALQSISEAASQSSSSSVSEAAAS >Dexi9B01G0035630.1:cds pep primary_assembly:Fonio_CM05836:9B:37221004:37224186:1 gene:Dexi9B01G0035630 transcript:Dexi9B01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSDCRLSELPPPQDSTAEKIDKGENAHNTRDVSPQTNLQRPIANNVSPAKLAQIERESSTESDDSSESGDEGEAVVERSRSLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESSNCNGETDQPPRRSDNNVRRMSVQDAISLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNDKQEERISDSTSESKSNNMAFETEKDGAGMNAEPDKSLKNFVTSEVEGSTFHADNHDISVSEMETTVANNICAEEAKSGLEENSDRAIASAEWNRQKEAELNQMLLKMMQVMPGKFAGANATAAGLDSANQPKGVTHGQHKEKRDTKVRTEKGTRRPTKEVSSKPLKDTVGQKKSAMTPKTGTVTEKRISPVPQRARRNSSPPVLPKEVASKTPVRKSSPKPSPSPAPAPVPTIRSSWSGGSLTKATTTQRTKSSPGMASTSTPSNRRRTPTTPQSSQPASKVERTIHPVKNKKESMAATKPGLKGQEEKKTKTATKTSRITKVSPSSEEKSSITTKQSLHKVSKKSSVVPLESKPLKKTTGISQSVGSSTVKNKVPQLDDSSKDSGSVNQAEDKEQSPMTTEPTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTEKPASSLTATEMASSDQVEPSINDADEAIQPPDEDMDISSAAWVEVEHQEQEVIDMSENVVAEDATSPGIAPLPSSSPRVRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDNNANGWASPSVVSEGEDELEESRGTSDGANSSRRTFDGSKTNSILSGTFTNYGLKDAQSTTGSFNSMNSDKQRERPGAATSTKASRSFFSLNFRSSRSNESKLR >DexiUA01G0012920.1:cds pep primary_assembly:Fonio_CM05836:UA:26813928:26816278:1 gene:DexiUA01G0012920 transcript:DexiUA01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGRVKTLHPSIHGGILARRDLKHHLEALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLKYLEGKQDDQDFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGDTFPPSLTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAVQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTTIDEDLAREIREFRRPTDGETRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEARRSGKGMLSLRQVNGGWLAQESDDRTPEDITFAKMSERAPEGSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQSGIAVIAEPGGSIRDQDAVDCCNKYGVSLLFTGVRHFRH >Dexi7A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:7A:17997752:17999940:-1 gene:Dexi7A01G0006670 transcript:Dexi7A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFIVRVMSGYEERRIRSYRLQLQKRLEMARDIAVTRGVREKRVNCVSKITNVSPHLSVCLISLNSLSFIQAQARKEELRKQPEKAILSEVRQVVQQMQALNQHLEEAETAIDEYFKPIDKNAKIITDMQLEKEEKQMKEMKKVMQEQIQMQREIAMKRAEAASQSNDAQVSENLLKSLQNKKL >Dexi3B01G0028970.1:cds pep primary_assembly:Fonio_CM05836:3B:27712286:27713993:1 gene:Dexi3B01G0028970 transcript:Dexi3B01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIGESAPSVERAFEGQPYPGYWGQVTLRSMLVAVVLGAMFSLMTIRIYMQVGIVGAFNMPMNILSFVTVKSLVSLMRRCGINVSPFTRQENIFLQTSAITCVNVALSSGLATYTIAMTSAVAKALSPNPDERDILYDLTMGKYGVFLLITGLVGITSMLPLVKFRLQSYALRKAFIGSFMWSLFQWFYTGGSSCGFQVFPTFGLELYKRR >Dexi2A01G0031130.1:cds pep primary_assembly:Fonio_CM05836:2A:41902461:41902943:-1 gene:Dexi2A01G0031130 transcript:Dexi2A01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLSHARPHIWPRVQAHHAQINHEGYLRRVVASGKPWINHVEDRTTTVALDHPVSQHDGIAMAVALDRPPATDDLQEEGAEREHVRRGSRLAVAHELRRESGEPEVTQASIHLTVQEHVACLDVSVHDDLLPVLVQIQEA >Dexi5A01G0027720.1:cds pep primary_assembly:Fonio_CM05836:5A:31125399:31127197:-1 gene:Dexi5A01G0027720 transcript:Dexi5A01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHHRSPEYNALLLAGPRLGPLKQAHARLVVAGHGRSLPLTTKLATLAVAAGAASYAHLLAASHPAPDSFLYCTLARAAAHRGLPAAALAFYRCLLAAALPFSSFAFTAVAKACADMSALRAGMAVHAHAILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDRSVVAWNAMISGYEQNGLAEGAIEVYKEMQMAGEAPDSATFVATLSACAQAGALDLGRELERHIVSERMDMSVFLGAALVNMYVRCGLVNKAREWFDMLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRREGPPPNDITFVAVLSACAHAGLVSEGRDAFATMKTAYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPGEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHRVLLSNIYALSGKMNHVEEA >Dexi1A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:1A:6504027:6510265:-1 gene:Dexi1A01G0008390 transcript:Dexi1A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKTNKDGYAGGDGEGQNIPDDDISRRGGFMPRAASSTLDGFGWCSAASARRRANGLAQLPPLSLEASPSQHAETEEARQRRPSKGGDRPYVWPEIRSSAVVAGDRLPVSGAGDRPTARRGPTWELAPPVEEDEGVPPPPVEDKGMSLDLQRHLLDRAARTVPRRSTLATSRRLRLRLRRVAYGWIRCLALVFLPGLNGAWGEPSG >Dexi7B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:7B:18303542:18304183:-1 gene:Dexi7B01G0011230 transcript:Dexi7B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALAHAHPSWAMALAAPWLLLTARAAARLALWLYAAFLRPARPLRRRYGAWAVVTGATDGIGRALAFRLAASGLGLVLVGRSPDKLAAVSAEVKERHPGAQVLTFVLDFAADGLAAKVDALAEFLRDLDVGVLVNNAGACYPYARYFHEVDEALVRNLIRLNVEAVTRVTHAVLPGMVERGRGAVVNIGSGASAILPSDPLYTVYAATKA >Dexi6B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:6B:20248285:20251030:-1 gene:Dexi6B01G0012550 transcript:Dexi6B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPSRVALSAASRFPNRHAVAGDRSSIYKGRCQSLAIPMALSAAAPGKGGVLDRPVEKTTPGRRSEFDVKKKRKMTPPYRVMLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC >Dexi2B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:2B:5445009:5445365:1 gene:Dexi2B01G0005860 transcript:Dexi2B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALQENVDQSEHNDPLAVGNDGVLPTDVLRDVLLHLPADELCRLRLVCRSWRSLTSDPIFAKAHSSRHPLIVGLHMQPGPIRRGNRGFDVHFVDPFSGDIVRYPRGELGMSIN >Dexi4B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:4B:20490972:20494178:1 gene:Dexi4B01G0018100 transcript:Dexi4B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGAGEEEQVMSEVHLGCPPHFSGLHVSRFSFSSRPLGASVEGEGSCELIAATSVSCDMIIDWLFGLEGGSSPDADVVTVDEDGDLVLDRRRRRNKYARSDYHLLTIQHGVTSSLKCVGLQVWKAALLLADFVLHKSFTSSNFDGVTAIEVGAGTGDNPWFCLVGLTLARVARRVFVTDRGTDILDNCMANVRINSGKLKFDEAKVCVRELDWKMSWPPPVRTSDPSDQSLSYSWSAHEIEEAEEAAVLFAADVIYSDDLTDLFFDTVKKLMSRGAKKVLYLALEKRYNFSLDELDVVANGYAHFRSFFATQEEHGDAVKRDESGLVGKQIDLVEVPQYIREYERGKDLEIWEIMYSPEQELQ >Dexi8B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:8B:9523679:9526711:-1 gene:Dexi8B01G0007680 transcript:Dexi8B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCTWCLCFLLCLRFLVCNACLHKERSALVDIGNKIGAWTHPSDGNDCCRWDGVTCSSGTGRVTGLDLTDLGGVIYLLNATWFLPFEELQSLSLSNLAIQGCLPGAGFEIWSSLHKLEILDLSNNQLNDSAILFLDSLPSLRSLFLNTNLITSAQIVKRLSKRKLEVLDLSWNVIVDNISTGTVFIPSYYYGTLLEIDQEEFTTKSRQDYYKGSILSYMSGLDFSSNQLKGSIPECIGSMQWLRALNFSNNSLSGPIPMSLYNLSNLESLDLSHNSLAGQIPSELAALHSLEVFSVAYNNLSGPTLGTMGQFITFGQSCYEGNPGLCGRPLPKNCSTTLSIPLPAVDDAHDRLDDLILFGSLALFYAVGFWTSLGVLYFKSSWRWPLFSAVD >Dexi2B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:2B:7937323:7937653:-1 gene:Dexi2B01G0007740 transcript:Dexi2B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGEAEATAPGLGRGSSGGGETAARRGGGATGRSWTWATGMPPQRGYSSDGRRGGRIRRAEAEDAVAPRAMGVLGGSSDRDSGGGISSSEDDWTRRRKEAS >Dexi2B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:2B:29874974:29875405:1 gene:Dexi2B01G0019660 transcript:Dexi2B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLASSTGAAGSAASAALGSSWFFGLCLVVVSVWVVSFAVFICGHSSRVKDDLPRKKPAPAATAKKPAAAAPSSGTARSSSRTVPDYTGMYTAALPAYVGGATYAASAYGCSGGHGGGGGCGGGGGGGGGGCGGGGGGGC >Dexi5B01G0036670.1:cds pep primary_assembly:Fonio_CM05836:5B:36333435:36335209:-1 gene:Dexi5B01G0036670 transcript:Dexi5B01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEEDKKPAEGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTGGYVPSA >Dexi7B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:7B:14643828:14647326:1 gene:Dexi7B01G0006850 transcript:Dexi7B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGRGAWACLSVMLLLVPLAGASHVVYEHESLVEMEAAKEAVPPSIVDPQLKTGYHFQPIKNWINAPLYYKGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWHALETALKPSIPSDQYGCWSGSATILPDGTPVIMYTGVASPNNYQVQNIAYPKNASNPLLREWVKPSHINPIIVPEGGINATQFRDPTTAWRAAGDDHWRLLIGSVAPTGGTRGGTRGVAYVYRSRDFRRWTRVRRPLHSAPATGMWECPDFYPVSKDGRRVGLETSVMGTRVKHVLKNSLDLRRYDYYTVGVYDRRAERYVPDDPAGDEGESRRMRYDYGNFYASKTFYDPVKKRRILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKSVTLKDKVIKPGQHLKVTGIQTAQADVEVSFEVSSLKGAEPLDPALAGDAERLCGAKGADVEGGVGPFGLWVLASANKQERTAVFFRVFRAAAGSDKPLMVLMCTDPTKSSLNPDLYRPTFAGFVDTDIKDGKISLRSLIDRSVVESFGAGGKTCILSRVYPSLAIGKNARIYVFNNGKAEIKVSRLTAWEMKKPLMNGS >Dexi4B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:4B:8968847:8970098:-1 gene:Dexi4B01G0011510 transcript:Dexi4B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFWWHRGRSSLRGACGEQQPTFKVFCRADERRCLAVRDGALVLAPADPADEHQHWFKDARLSLWVKDVEGNPVFSLVNKATGLAVQHSLGPNRPVRLIMFDPDDFDESVLWTESGHLGREFGSIRPMHNVRFGLDAIPIDGEDDDNDGVTFVLSECTRGDTQSWNILYWNDEANTTLAGLESEPTCRIYCKADESFSVTIRNGTVCLAPTDSGDAYQHWIQDKRPGSMIKDGDAYLAFALVNSVTGDAIRGSEGFRRGTVNLVPYNPFYMDLSVLWATSWDKGHGFRCIHLVDNMSMNMDAFHGDGANIVLSLCCEPDDNLHWKIVPWCKYVSMYRLL >Dexi3B01G0023540.1:cds pep primary_assembly:Fonio_CM05836:3B:18346706:18347616:-1 gene:Dexi3B01G0023540 transcript:Dexi3B01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIGIATYASPNIYNLVLPEPEGGEKMAEIKIKMDKTSIILSAIVGVLGVLSAIFGFAAEGANSTVSKLSMILSSFLVFPFMVYDDLLSCDLLVCVNPMPGLAICALVFLLMAQITVSAVSGCCGCCKSRAFPSETKRVIGIICAVGSWIAAVAGCIMFEENAALNFRGYYIPGLYAGAGVLALAATALSVASYMLLRGQPEAAAKMPAGERPAPSGIAMGQPQFPPAAAPAPGAPNMQSPPQGQGQV >Dexi8B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:8B:21196923:21198464:-1 gene:Dexi8B01G0011940 transcript:Dexi8B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKVVKPAAYAGVDAAAAATTTTVIPLTAFDLISYDNYVSSIHAFHPPSPTNAALEEALARVLAVYREWAGRLCVADGRRGILLNDAGVRFVEASSDAAFESAVMPRRGRITPEVRSLHPRAASGGGAAAAEEDEELLLLQVTRFACGSLVVGYTMHHAVGDGFAAGQFMAAWGQTARGVPIDPVPVHDRTSFFLPRTPPRVEFDHRASEFRQRDDVDNNDNHHAAELKDDEVVIQQVRFSREFISELRSRASGNGTTQHHHHHRPYSTAQCLVAHIWRCMTKARGVDGGRATTLHLAVNGRPRMSGPRVPEGYTGNLEEGLVPAAAAEPEAAVLCPDVAVYCLLRAPFYDIDLGGGGRQFFYTPGYYPDEGVVYILPPSPLGDGSVEVHVSLFSRAMDVFKGCCYELGQLEEIILQ >Dexi2B01G0034700.1:cds pep primary_assembly:Fonio_CM05836:2B:41966848:41967209:-1 gene:Dexi2B01G0034700 transcript:Dexi2B01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVHCYPLPKPSFLTTPHSSVSAGTPALRRVITRRGTRRPLQRCLCSQYAGERDPTDSPQQLERLFSNLNQATMKHDPVPSS >Dexi1A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:1A:4690445:4695988:-1 gene:Dexi1A01G0006250 transcript:Dexi1A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRGLEAAAAGGGGGGADDGRPEAKRVRPPALASDLPHSSCSKLSHFLLCSVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRSSPKRIEGPDGRALQLQVRTRLSLPLFTGGKVEGEQGAAIHVVLLDVGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPTLKDEVWRLEKIGKDGSFHKRLNKSGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWETLVEHAKTCVLSGKYYIYYSDEDRSIGAIFNNIYAFCGLISGEQFYSSESLDGQKLFADALVKKAYDNWMYVIEYDGKGLFNPTPKKNAASTGQAETNLPAGAPASYQQHLSSTSMPGPSPAGGSDPEGYDGGQSTMHPAQLQSSSGNVQPPYDDTFSFLPPNMLTGSANDGMGLELGQLQQVISQSQPIQPANVGYTDWPRNRESQYGDDFTEDIRIKSHRMLESEDMQQLLRVFSMGGASGSLPDDTFNFQSYMPSPLPNLGFETERSHSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >Dexi5B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:5B:8385787:8387772:1 gene:Dexi5B01G0011820 transcript:Dexi5B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPWKDFDFDEDDDSASSGSAAARLESAAVAAYVMAEDADALDCGVCCLPLKPPIFQCDVGHVVCSPCRDKLKDTGKCHECGTATGDYRRCHAMERLLESIRFPCPYAAHGCTAMPAYCHKQSHRQACSHAPWRCPGKGCSFVGSSTVLLDHFTGEHGWPCTTRTRAGKTCRCSIRLSDGFNFLLAELASDGEGGGAATTGRYLLLLNVTRQEVGRAVSVLLVGRKPSAKALKCVLTYSRRLHDASEHQKFVGSHLLQSEINVECWDYLSSGLPGPEDCFQFVVPDFVLGEDKEHAIQIKISVSVHDLE >Dexi1A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:1A:10190327:10192767:-1 gene:Dexi1A01G0011270 transcript:Dexi1A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVALAALRWVASPIVKKLLADASTYLGVDMSRELQELEVITLPQFDLVIEAAEKSPHRDKLKAWLHKLKEAFYDTEDLLDEHEYNILKRKVKSQKDPLLKEDATSNKSTVLKPLRAAMSRASNLLPENRRLIRKINELKAILAEATGFRELLGLPAGNIAGCPAIPATSAPVATTTSLPTSKIFGRDKDRDRIVDMLLGKATISEESAASCSSLGIVGVGGMGKSTLAQYVYNDNRIEEYFDVQGLVYSCNQSKPFEDIGKDYFDEMNLKKLRVLYLSCYNSNNLPESVGGLKHLRYLNLIKTLISELPKSLGYDDRSYDRFERALPQVPNIGKLISLQKLNEFSVQKKKGHELRQLRDMNEISGSLSHDLGTDDSLHLEILEGLRPPPNLESLVIEVW >Dexi9A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:9A:5240469:5241404:1 gene:Dexi9A01G0008870 transcript:Dexi9A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREQQQQPEDAEPPGKHGAPGPPTPPPFLEVTCRSSGKVRRFAAGTTARYALHAINRKLEPGSPPALHVEAVRDGEEPVSFGPSAALADYGRGWRLQTKQAEKYCVATETPRSTYAYIAKILLAFVFIFLLGGLFTYMLEVLPDMLQTPPMSQSL >Dexi3A01G0034850.1:cds pep primary_assembly:Fonio_CM05836:3A:40165518:40165893:1 gene:Dexi3A01G0034850 transcript:Dexi3A01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDASFNAKLGDFGLARLVDHGRVAHATAPAGTLGYMDPECRATTQSDVYSFGVLLLEVACGRSPAVVLDDGDDVIHLSWHVSELHGQGRALDAADPRLDGEFDAREMESVLVAGLWATGH >Dexi5B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:5B:20327967:20332072:-1 gene:Dexi5B01G0018250 transcript:Dexi5B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAAPAGRKSPTTVLLLYVACAFMLLLLLTSYAPRLQPHANGRSLHRRLKLHPKNFPSSSSSASSGVVSGGSRGQHQQQQHAASFDPAIAELERRLDDKEWEREHYRLLHGDDEGGEPDDHMKEWEEFLKEEEDFINDDDRFNLADRIRALFPKIDVSPTDGFVSLDELTSWNLEQARASQHHRSAREMELYDKNGDGIVSFGAFNALRQESHGRGTKMADKDHDGRLTLDEMTENPYTFYGSVYLSDDDYFHDEFR >Dexi5A01G0030440.1:cds pep primary_assembly:Fonio_CM05836:5A:33378097:33379213:1 gene:Dexi5A01G0030440 transcript:Dexi5A01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTSQASCDAAAYAVEYLGAPGVWLVPTDEDLIVHYLERKLRGEPPPTDFVLDGRYAYAEHPEKLDLSALIFVFFSLSRSQWPKLGEGAAVEGAWYLFSPRERKFAGAMQPKRETDDGVGYWKAIGKEKLILGGADGMEVVGTKRALSYYEHIYEEDAEGWRRTVWNKYKPTLWRMDEFVASNTNRPVGDDTASDPMLLNDFVLCKITWKPPLKVKNTGPAAAQVSEEEPTSYGDGERSTGQSSSSQEVAAPGHKANSIVPQAVAVPGHEAIISSNHCPWVVPDHTAMSSQRRSKPRCWTITTPGCSMA >Dexi7B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:7B:12376919:12377464:-1 gene:Dexi7B01G0005120 transcript:Dexi7B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Dexi2B01G0022840.1:cds pep primary_assembly:Fonio_CM05836:2B:32463673:32463990:-1 gene:Dexi2B01G0022840 transcript:Dexi2B01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASGGLRRDEAEDVEHVREVGGGGGEDAEDAEEDLRVERQGAGPGDEEGVEGGEEELGRPAEAEHCEKQREQETRGRWRREAAEER >Dexi6A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:6A:18681760:18682918:1 gene:Dexi6A01G0012330 transcript:Dexi6A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKLGYYCDFEDSDTLIRSNAKLTRLSSVILRFKLYKTQLGCSNSHLGCRRMSYLRYEQIADLIGDADNTGSSRLTLCIGGPYGLGVQVREHADATIRLSSLVLNHQVALIVLTEQLYRSAICLSDCMDYNKRTEISPLGLCVSSPSSTAV >Dexi3B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:3B:15403786:15404079:1 gene:Dexi3B01G0020430 transcript:Dexi3B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLQLEKGARRPSRDPTGVKSMTSVLLSFRYELFIGGQVLRRCKLHATEAAKQEQRRRRVERGLKLTIPPNGKATITRKVRECQSRKGRRDGRWW >Dexi1B01G0030280.1:cds pep primary_assembly:Fonio_CM05836:1B:34311213:34313124:-1 gene:Dexi1B01G0030280 transcript:Dexi1B01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPGPLLLGPHRLLLGPLARGRRAAVAGVRAMSSAAPRSTRPLRGVVFDMDGTLTVPVIDFPAMYREVFGGDEAYAAARAAGGGAVDILHCIEGWAPDKQRHAYEVIARFEKEGLDRLQIMPGASELCGFLDTKQIRRGLITRNVKDAVDLFHQKFGMTFAPALSREFRPYKPDPAPLLHICSTWNIPPHEVIMVGDSLKDDIVCGKSAGASTCLLDETGRYGPHDSLPEEVKPDFMVSSLTEVFTVLEENFDLAPVPAE >Dexi3B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:3B:12642584:12647559:-1 gene:Dexi3B01G0017190 transcript:Dexi3B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSADAVAVARDVASSSPSKPAPAFDMLRYQRPPSDCLPLPNGIAADGSGSGSSGTKKPPAAPAPRSSKDDDAAAAAAAVDSSRLAAFLASTSLEPRPRARAPQQPPAAQTAPSSSPAAVAIRSPARDHGSNHHHHQPDFSDPASPSAPVTTTTGGGEVLLQWGQNKRSRGRRDGASGSGASPLRRQPGAKIQRRSSPSPADKLMPPPSGPSYTRGSNLRAASPLPPRSGAGIGTSDSSQHSRGALPHHHHRSAEERGKSPAAGKQQRQAAAPQQQQHKLGLGPVMGLGVPDIKAQQQYHHHHQGGGGASSSSKPAPKLELPRIYTTLSRKEKEEDFLAMKGTKLPQRPKRRPKNVEKTINFITPGAWLTDVTRSRYEQQKHRGLKGLESMDSDSD >Dexi8B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:8B:20393788:20394251:1 gene:Dexi8B01G0011340 transcript:Dexi8B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYPDADENLEAIISRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMK >Dexi5B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:5B:9542903:9546238:-1 gene:Dexi5B01G0013440 transcript:Dexi5B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGRFVILVLAYRFLIPILSSAEPDHITRESYVVYMGSPSSGGGRLEEVQAGHLQMLSSIVASQEQGSVALTQSYHHAFEGFSAKLTQEEAAALSGHERVVSVFKDRALQLHTTRSWDFLEVQSGLSSGRLGRRASGDVIIGVIDTGVWPESPSFNDAGMRDVPARWRGVCMEGPDFNKSSCNKKLIGARYYGLMPESSSSSSNASRGGAASTAATSGGSTRDTVGHGTHTASTAAGAVVSDANYYGLAGGAAKGGAPASRVAVYRACSLGGCSSSAVLKAIDDAVGDGVDVVSISIGMSSAFQSDFLADPIALGAFHAHQRGVLVVCSGGNDGPDPFTVVNSAPWLLTVAASSIDRSFQSSIVLGNGAVVKGVAINFSNQSLSGGKYPLVFGAQAAAHYAPVDEASNCYPGSLDAQKVAGKIVVCVSTEPTVSRRVKKLVAEGSGAMGLVLIDDAEKDVPFVAGGFAMSQVGTDAGAQILEYINTTKNPTAVILPTEDVRGFKPAPVVASFSARGPGLTESILKPDLMAPGVSILAATIPSAESDDVPPGKKPSAFAVKSGTSMACPHVAGAAAFVKSAHPGWTPSTIRSALMTTATTRNNLGKPVATSNGAAATGHDMGAGEMSPLRALSPGLVFDTSTEDYLNFLCYYGYKEQVVRKVSGDARFSCPAGAPSPDQIAAAVNYPSISVPRLQRGKKPAVTVTRTAINVGASKARYAAAVEAPPGVEVRVSPDRLVFSERWTTARYEVTFDVAEGAGVSKGYAHGAVTWSDGAHSVRTPFAVNVL >Dexi7B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:7B:13218109:13221845:1 gene:Dexi7B01G0005720 transcript:Dexi7B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPFFGAGRGEEKKELGYCGAKGGNGGAAGWAAASSSSSTAAGGGAKEDVEQPPPPPRVDRILADHVKLRICAGAEKVRAKFISSLKKDLPVLRDADGNVISARTFTFRQLAAATKNFRAECFIGEGGFGRVYKGRLDGTGKKPLDWNTRMKIAAGAAKGLEYLHDKAKPPVIYRDFKSSNILLGEGFHAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTTKSDVYSFGVVLLELITGRKAIDSTRPATEQNLVSWARPLFTDRRKLAKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQVYDPSTGNTSKKGSGDKRSRAIGSRRALSMNDAAGSSGRSPSNDQDDSPREHDKGLEREKMVAEAKMWGENWREKQRAAQGSLDSPTGGG >Dexi5A01G0023750.1:cds pep primary_assembly:Fonio_CM05836:5A:27724584:27725082:-1 gene:Dexi5A01G0023750 transcript:Dexi5A01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRDGGRGLGGERAVGRRGELAGVGRDGAEEVVRDAAAVGEGHLVGGDVEARSCILSAFTTSPPSATAASIDSFVLPVPVAPTITTSLVAVAAAGSSALLQEQEQEQQVASDPQLLPSILTMLLGRRGRFRRGPSFLATPETAAAAMGKRTTVAILVMRPVI >Dexi3B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:3B:13029153:13031162:1 gene:Dexi3B01G0017670 transcript:Dexi3B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMEQNSGDSRSGEAMSIFGQSIDVRRPVRSMRRRATQKRFSPVVQSPAIPSSVESLHQRRAVAERERARAESELSRASSMANELERQIEQANSRERSHSHSRSELHGTRAGGSGGIRRKKGHVDVEAPGGADQARDQSNSLYVEVMQELDRVKRELRKLQREVKASREATTNPSASRDAETPTPRVSSASRRFDSVNREADDETEAPGIAELAKAGGSRKDTHATWTRGKGLWKDTSRSSGAEERFATASSSDVGIEPADEMAMVPAATDDTGGHTENPESALTITRHEEGHDDRSSLQAAAAEAELTSARVELESIREEGVRFTSSVERTRQETARVTEEIDRLTEQEKRASAQVQQLNARLLQARSRLDAATTADERAEAALAELSAALRRLGEETDAAEKERALTEMENRCVGEDAETIGKEIAAAERRVRGLVKELEAARASEAAATTKFRAVVDSAMQATRAAVMSETERSSGNVAITRFAYEYLTGRGEVVGAIAEKKVAAAEAWVEALRAGEREVVMRAEAIEREIGEMVAAGGEEAEDAGDDFAGHRREPPRAGLQRARTRTRAEQRADSELPVTSRKTGTPSSSMAGKPSPASFRVKRKKRTLVWKYLKRIAGKCRSQS >Dexi2A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:2A:17841205:17841594:1 gene:Dexi2A01G0013210 transcript:Dexi2A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRYARKLNVLSVQNANVGTPTSSVMLMANGQTAWCRRQRPVAERLRRRRGKGGFPPQQGAVRLTFRVHMDSKRSITPATERGCVIGKAIWGEGEAAKVMLRVEERDAKASFEDGGGQVEHQVEVTL >Dexi6B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:6B:21532331:21533472:-1 gene:Dexi6B01G0013780 transcript:Dexi6B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSRAKAAAASPPSPPPAADGSQDTPTPSPSTSLRLGIGPASPDDDGGFDAGSLGLKSPTTAAPPRRSLRLAGSAGCDPTTPAALDGGSSGSGSGSGPSTSLRLGIGPASPDDGGFGAGSLVLRSPTAAPRRRSLRLAGSAGGDPTTPAAPDGGSSGSGGSVKSTGRVRARVSASSPASASARDFNSGSDGGGSVAADRGSAGVGGGADDAAAPFLSLRSGSRIAKRRMEADGQVDGEAGPGSSDGGQVHDEMLHQGGGTPTKRWKSIVIGGVKTEYVADSESDGDEDCLMLRQNVVYVADSESDSEEDCVMLEQNGVKMPGAHVPAGPSGMEPNAVAMKMDWTEEDAKDGPSKAGNGESAINVSRRKCFKS >Dexi3B01G0038090.1:cds pep primary_assembly:Fonio_CM05836:3B:40916184:40923933:-1 gene:Dexi3B01G0038090 transcript:Dexi3B01G0038090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFATGPLSSLLPKLAKLLQDEYKLHKGARKGIEFLHKELETMHAVLRKVGEVPREQLDELQRIWARDVRELSYDMEDIVDTFMLDVEGPDPPSKRGARKVFNKMIRKVNKAMARREVAQDINDIKERAKELAERRDRYKVDGSAPTKTCVDPRLKAQYTKATEIVGIEEAKEQVIKMLAEGHCGQEKGIVSIAGFGGLGKTTIANAVYGEIKKDFGCTAFVSVSRNPDPKKLLKDMLYSFDKEKFKDEIHSTMLDVKQLIDLVREFLQNKRYLIVIDDIWAKEPWDEVIKPALIENNMSRIITTTRNADVARHIGGYYRLKPLSVESSEMLFYGRIFGWEVKCPSHISQVSKRILKKCGGVPLAITTISSLLANKPHTIKEWDKVCESIGSGLLGSDPGAGSMKKILLLSYDILPSHLKTCLLYLSIFPEDYDIRKDRLIWRWVAEGFIQEKKGDQSLYGIGESYFNELINRSMIQAADTDEEGTPRACRVHDMVLDLIVSLSGEECFITTVSGDGNHSLGSKQAIRRLSLQNYTSWPIMKNMKKLRSISIFGPDGTVICSMPSLSCYDLLRVLDLRGCKLKDIASMRFIGSLSHLRYLGLSSSKDWSRDARDQLPVEIGKLRFLQALDVSQTNVKELPSSIVRLSQLMWLRGCQWATILRGRWWSVLPVGLKNLTSLEVLEQVEVTSGCIAEELGHLTQLRVLNVRVPSPDDIVNKAMQESLGKLKKIEDLYLDGNYDYTFDDFYGSMQGPLGNLGRLGIEDATYLPTWIKPSLLPGLSNLHIDVLRERKDDIHVLGTLPCLRCLQFVIRSAPEQGALDRCVVGDDAFPCAVSCEFAFADGPNLLPCMFPPGAMPRLQHLKLYIRIEEFGRDGGCSIDDLALGHLPSLRSVVLRIGGDDDEDSDEVREKLEHEAAVHPNHPTIRFW >Dexi1B01G0023160.1:cds pep primary_assembly:Fonio_CM05836:1B:28814912:28815976:-1 gene:Dexi1B01G0023160 transcript:Dexi1B01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVVESLAPNMSTGESDPAAVPAESPHGIEVGVEFKPVERPVEPVNLDQPVKCPLPEPSILHDGSIWKEKMSSVSARVRTDLPVVQEGSQLESDSSNTRPRSAVPRRAILPSVSAPEHNILALLDECDVPVSHGSAE >Dexi2A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:2A:5494261:5498082:1 gene:Dexi2A01G0005720 transcript:Dexi2A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVSTSSTAPSLLPCFHGRGRAAAVAACLVAATFLALAAFLDPRAQASSWFFSSSSSSSFSSLQPSGGGGEHLLLTSTSYSGGGDGGRRRNSTGKEVHEEVQVGDDDILLSLPKSSSSDHGAPPLSASPPAAVLAPAAAPATGSSDEAIQATPQVPRRRDVKLERLELGLAKARAAIMDAVRNKDNRPPLADNDYVPMGPIYRNSYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYSMEMESRLRTSDPDLAHVFFLPFSVVKMVKMIYEPNSHDMGPLKRTVSDYISVLSNKYPYWNRSLGADHFMLSCHDWGPYVSSANGQLFGNSIRVLCNANTSEGFNPSKDVSLPEINLRSDVVDHQVGGPSASHRPILAFFAGGNHGPVRPSLLAHWKDRGDHPDVQVSEYLPRGVSYTDMMRRSRFCLCPGGYEVASPRLAEAIYLECVPVVVDDGEYALPFADVLNWDAFAVRLRVADIPRLREVLAAVSPRQYIRMQRRVRMVRRHFMVHGGAPRRYDAFHMILHSVWLRRLNVRIAAQQG >Dexi9A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:9A:13190759:13194146:1 gene:Dexi9A01G0018160 transcript:Dexi9A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVLFPWLLACLLVSLLAVVYLLHHDRRRRHDLPPGPRPLPIIGSLHLLGTQPHRSLARLAKTHGPLISLRLGTVTTVVASSPSAAREILQRHDAVFSNRSVPDAPGDHSRNSSVWLPNAPRWRALRKIMGTELFAPHRLDALRHLRRDKVQELVEHVGRLAREGVPVSVGRVAFTTSLNLVSSTIFSRDLASLDDDGECREFQEVVTDIMEAVGSPNVSDYFPAVAAADLQGWRRHLARLFARLHRIFDEEIDARLRGRREAGGGEGEAAKKNDDFLDLLLDATEDGDNTAGLDRDTLRSLFTDLFSAGSDTSSSTVEWAMTELLQSPTSMAKACDELATVIGSGKSIEESDIGQLPYLQAVVKETFRLHPPAPLLLPRHTQVDVKIMGYTIPQGSRVFINVWAMGRDKDTWLEPEKFMPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLNHFTWKLPAEVERNGVDMAEKFGLTLTKAVPLCAIATPI >Dexi1B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:1B:22912743:22924585:1 gene:Dexi1B01G0016410 transcript:Dexi1B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAGAARRAASTLTAAECSPASVAAAGAASPPPHRRVQERHKWESSSGGSSSSSTDEPELRRIRAEVHCPRCSKHMDILFAHRGPPPAAAGGYQALNLCPNCRSAYFFRPHVLAPLQGTFVEIGRVRADFLDHPAARVRDPSFWEAIRASSSCRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGSVGGEEGAGKEGWGGSNLGKDLPTPKEICKGLDKYVIGQDRAKKAGYVGEDVESILYKLLTVADFNVQVAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQATCVMWGQVYTRRAKSDQLSCAMGDDVLKDSSSASNTKAEEEHRQMMAALLTKFDIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPVLVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILENILMDSMYEIPDAKSGEERIDAVVVDEDAVGSAEQPGCGAKILYGDGALDRYLSQIKASGTVAGNEVDGEAELSASRAIGM >Dexi3B01G0035850.1:cds pep primary_assembly:Fonio_CM05836:3B:38840626:38842547:-1 gene:Dexi3B01G0035850 transcript:Dexi3B01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLVSLIFLFVLFPHATFSATGAAGCDRQCNSLVVPYPFGFSGDCPILLSCNATASTASLLHPPGGAAAAAYPVMSFNSTSSTFVVSVATSCDRSVSEARAALTGAGYGISSRTGVFLRGGCAPGKKNDSSSSGCAVPSDVMATLLRTAGCGGGGDGGGEAASWTCVASAPPDPSSGAAARGEGQFMRWEAVVATGCAEALTAAVYARTPMGVPAVEFGVAELGWWLDGSCGERGGARGKKR >Dexi8B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:8B:9150259:9151784:1 gene:Dexi8B01G0007530 transcript:Dexi8B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSTMIMVAALAIVAALLVSLPVLYRLFSADAGDKTSRKKAIPPGSFGLPVIGHTLSLLGALRANTAEEWLRRRATAYGPVSRLSLFGCPTAFLVGPAANKFVFTSSALTTVNAEAFRRMVGRRNVFDLAGDEHARVRAMMVQFLKLDAVKSYVANMDAEVQRHLDAHWHGRASVAVMPSMKTLTFDVMSTVLFGLERDTAQELSKEFHQLVQGMWTVPIDLPFTRFSRCLAASRRGRRSVAAVIEERRAKLERGESSPADDMLTHMLSNGLPVEEITDHVMFLMVAAHDTTAALITFLLRHLDANKDAYAKVLQASEHTEQEEIARCKAAGEALSWEDLCKMRYTWAAAMETLRMVPPPFSMLRKVLADVEYGGYLIPKGWQVMEVLTMTHWDPAIFPDPGRFDPARFDDPSALPPYSFVPFGGGARMCPGNEFSRVETLVAVHYIVTRFRWKLAAGCDGSFSRHPMPYPTQGLLIDINPIH >Dexi2A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:2A:31680155:31680793:1 gene:Dexi2A01G0019530 transcript:Dexi2A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEQEDSKITAWENIQKAKAEAAIQKLVIKLEKKRSSSLDKIFNTLKSAQRKAQVMRERDAATTRQ >DexiUA01G0016630.1:cds pep primary_assembly:Fonio_CM05836:UA:35439256:35440921:1 gene:DexiUA01G0016630 transcript:DexiUA01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASIGSFEAGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEYSKDRFNFVKSQLGIFLRSCGFKGSSITWVPLSAMANENLVTAASDSRLLSWYTGNNLLKSIDSLPPPQRDVSRPLRLLICDVISSITLGQVAVCGKVEAGGIRAGSKVLVMPSGDLATVKIIERDSSSCNMARAGDNIAVGLQGIDPSHLVSGGVICHPDFPVRVASRLELKILVLDITIPILVGLQLELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAIVEVKLDKEVCVEEFSSLKALGRVFLRSRGITVAVGVVTGILDQA >Dexi9A01G0048220.1:cds pep primary_assembly:Fonio_CM05836:9A:51074185:51075876:-1 gene:Dexi9A01G0048220 transcript:Dexi9A01G0048220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGVEPQTPPGSPSIGKPPRHQLTSIRHCNSSVRIAAAAAASSGLNLGTLSLISPTDVRPGFLPVFRSGSCAEIGLKSYMEDEHVCVDNLIEHLGGRATGIPAPGAFYGVIVELF >Dexi9B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:9B:2934688:2936121:1 gene:Dexi9B01G0005000 transcript:Dexi9B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPQALLEEIVKRITRLSDLNSLSLVSKLFYTVESENRHSIRVGCGLYPAELAMTSLCSRFPNLCTVEINYSGWTAENGLQLDNQGLSVLATCCPSLTDLTLSYCSNINDSGLCWIAHCKKLTCLKLNTVAAISSAGLFTVAVGCKSLSALRLIGLEKVANVNWLEYLGREGLLEELVVVACKGISQYELLRFGPGWMKLQRFEFDEVKGRYEIEQPHDPSHMAHSHYRYDFCCQSLKVLTLARVVTLPEIGLRFFLNNCKSLEKLCLHYVVGIYDSDMITLSRSCSNLRSLSLQLTPLFNGGLEARDVRTPLTDDSLKALALGCPLLETVELTFAACEPSYPSEIGFTQNGFVMLIQSCPIRDLILTGANFFNDEGMKALSSTQYLETLELMDCVAISDVGMRFLAHSPRLINLTLRQCHYVSDRALTEVARSRSLESLIVEGCRRVSLEAIQGAAKSVEYKVDGPGLFCLNRG >Dexi1B01G0021920.1:cds pep primary_assembly:Fonio_CM05836:1B:27667949:27671421:1 gene:Dexi1B01G0021920 transcript:Dexi1B01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDEQEAVALCRGRADLLAAAVRHRYALADAHDALADSLASSAAALHHLLVAAAAQPPRIALPAARKEAPPPTAASPPHSSSHINFAPSSDSETGSVSSSPPHRVTAAGSLDHHLPPHHPLPYPHYGYAGYGYGPEPAYGGYPPPGSLRLYYARSRPPPASVAVEQRAPPSERVYYGSFEPTAGYHSYGGEPAPAVGRAPPPPSPPRSSSWDFFNVFGDYDVYDNYCYDAGGAGATAAASYTPSRSSREVREEEGIPELEEDDAVVKQVAGEFSAPGSGARSRRSSLGGVSSSIAEVDEEQDSVVDKEVIGGGNVARQQTPAQRNVAASAPTPRRVVDSSDVAGEIKAQFVRAADAVRALSPVLEVGRRRYHHRNSVYHVSSRMVSAIALPHSDLGGVELLDVGGEKVLGGRSLSMTLQKLYIWEKKLYDEVKAEEKMRLLLAKNAKRLKFLDQKGAEAHKIDMTRNMVRKLSTKLRISVRVIAKVSKKINRVRDEELWPQINALIQGFVRMWRDKLDCYQIQCQVMSEAKNLDSIVLDGSSRELALELELELMKWIVNFSSWVNEQRSFVKALNGWLSLCLNYKAEETVDGVPPYSPGRVGAPLVFVICNSWSQAIDRFSEKEVVTSMQALVSSVRKLSEKQNVEQTEQIIATRERERWNKILERKTTEINKEADVLNRKLALVPGRHSRLPSTQTYQDHLLDASSLQTSLQRVVQALECFASSSLQAFEQTLIHAEEERSSRENAKVS >Dexi8B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:8B:18343673:18345992:1 gene:Dexi8B01G0010000 transcript:Dexi8B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATSCGAPSPPPASGTGGEGGEITYVSYGGEQHLPLVMSLVDAELSEPYSIFTYRYFVYLWPQLTFLAFDAKEGKCVGTVVCKMGEHRGTFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNNGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGLPPMMIGSERDDQQIDSPYL >Dexi8A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:8A:4435698:4437529:1 gene:Dexi8A01G0005040 transcript:Dexi8A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKPFLLLAVVLLALGLLGPTHSMPFTDSDLASEESLHGLYERWLAHYMVPRRGQLDNYDKPHRFNVFKENVRYIHEANNMKNRPFKLALNKFAGMTSDEFRLTYAGSFRARNVGRRANEGSFMYKDAVNLPQAVDWRQRGAVTGIKDQGQCGSCWAFSAVAAVEGINKIRTGKLVSLSEQELVDCDNGDNQGCNGGLMDYAFQYIMKNGGITTESNYPYLAEQRSCIKVKERSHDVTIDGYEDVPANNEDALQKAVANQPVAVAIDASGQDFQFYSEGVFTGSCGTDLDHGVAAVGYGITQDGTKYWIVKNSWGQDWGERGYIRMQRGFSDSSGLCGIAMEPSYPTKSESHATIMEGNLKDKL >Dexi1B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:1B:10110028:10111444:1 gene:Dexi1B01G0010470 transcript:Dexi1B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHNKQKSREGAFPRTMHPSCSPLTVPPGFRFHPTDEELLYYYLRKKVSYEPIDLDVIRKIDLNKLEPWDLKDRCRIGTGPQDEWYFFSHKDKKYPTGTRTNRATSAGFWKATGRDKAIFLGNAGRKIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNAEVPITEEGWVVCRVFKKKSIIQRGFDQHGMVAATVDDDELQSFHSPSSATPVDQKHSIHQVMHGGFPAFDHSMNLPHLTSVEAPLASVPAFMSGTPAIAANSLDMAGSSPHNLVKLTTSCGTAGDMMLLNHGGERYGASAADWSILDKLLASHQNLDQLFHGKFGGAAVGVPHHYQQQPLMGMSTSSLQRMPLHYLGCETADLLKFS >Dexi4B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:4B:7211249:7212956:-1 gene:Dexi4B01G0009900 transcript:Dexi4B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLQKYQLQNHQLLLPKKQCVTYALYALIALAFLHYLLFYPASASGKSVVVAQVQEQVAAVASARVDAREQLLPPAPPPPFNQGDEALRNPQAKEEVPAPPPCDYSDGEWVPDARPPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRRCELPPFSPEALLRWLRNKHLGFVGDSLARNQAESLLCLLASRSAPELVHRDGEGNASRRFVFREFNATVSVFWSPFLVKVIEKSEHAGVGARRHNNVFLDAFDERWMSQLGDLDAAVLSVGHWFLIPGVYHDAGKVVACHGCEELNHTETDFFGVFKDAIHRTLAEVIRRQQHGHGEKKKKEKVVAVTTFSPAHFEGDWDKAGACPKKRPYRNGEKGLGYTESEMRKTVVEAVRAAGEAAGSGLRFVALDVTNLANLRPDGHPGPYMSKDPFAGGEAGERRVQNDCVHWCMPGPVDTFNEILLQTILR >Dexi6A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:6A:2481098:2482423:-1 gene:Dexi6A01G0002670 transcript:Dexi6A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLICSGCKRLLQYRRGSATGVCCPSCNTFTSPSDMSELVCSGCFTMLVYNRGANNIRCPHCSRVNSTRSAANQIGHLSCGHCRTTLAYPPGASTDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >Dexi1A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:1A:11601928:11605112:-1 gene:Dexi1A01G0012090 transcript:Dexi1A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLTLTASGKKSGEGKESLVVCSEVKRQAYLAGPLVAGLLLQNIVQMISIMFVGHLGKLPLAGASVASSFAVFTGFSVLSGMASSLETLCGQAFGAGQHHLLGVYKQRAMLVLALVSVPAAAVWAYTGEILAWCGLDLEIAAAAGSYIRWLIPALFVYGPLQCHVRFLQTQNVVVPVMLRSAATAVSHVAVCWLLVHRLVLGSNGAALGTAVSYLINLSILALYVRLSTSCKNTWLGFSREAFHGIGDFLKLAVPSALMVCMVWWSFEILVLLSGLLPNPRLETAVFSISMNIAFMAFTVPLGLSAAISTRVSNELGAGRPEAARLATQVTMVVAFLVCATEGVIRGCGQQKIGAFISIASYYLVGIRSAFSFAFVCHLGGKVCSFLF >Dexi1B01G0025670.1:cds pep primary_assembly:Fonio_CM05836:1B:30670254:30671638:-1 gene:Dexi1B01G0025670 transcript:Dexi1B01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAIQGMALSTGATALASHNHGAVSSSVSTSSYALAAAFPRARATLAVGATTSAVVTPAVDVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPPRLFAPPAPPRKDQFDDGEIIPIEIDEDILE >Dexi7A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:7A:15201257:15204202:-1 gene:Dexi7A01G0004390 transcript:Dexi7A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCPYFAAEETTRGIRPGESPAAALRRILASPGAHQAPGCFDALGARLITKQTVHCFCVSAARLGLPDVGLISYGEMVDQGRKINESVSIPVLGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQMSPKACGHTEGRKVVSREEAVMHIKAAVDARNESGSDLVIVARTDARQGISFDEALWRAKAFADAGADVLLADALASVEEMKAFCKICPHLPKMVNMFEGGKTPLLSPAELEKIGYSLVIYSISLVGVSMRAMKDALISIKDGGVPPPSIMPSFQEIKDTLGFNRYYKEEKQYQL >Dexi1A01G0025970.1:cds pep primary_assembly:Fonio_CM05836:1A:32000106:32001082:1 gene:Dexi1A01G0025970 transcript:Dexi1A01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICIAFFVQYNFCTSAQMPNDRANWSDMATKTLLDLCIEQKRLFNWNRLGPSPHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYQTWKELQNSSGLGRDRNTGGVAADDTYWDTSYEQQTHGKPPPFLEELELLFGHTSQDRGTLLTAGGVRESTPTIGSDDTPQEISEDPHSASAVRNTSKRTSRDEVVDSPQKKKSASMEDYVKEISESRAKRCERRSREQEECDRTLQILEEDGIMEGSELYCMATYLCTKAANRRFFTQMKTKEGPLNWIKFHLEKGTK >Dexi3B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:3B:967734:967994:-1 gene:Dexi3B01G0001310 transcript:Dexi3B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQQQYAYVTTLGPGGYYLHNFGAADETLRAMPCSHAFHYGCISQWLRRNASCPLCRHQLLPDEDEDEDEDGHQNQRRRTTT >Dexi7A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:7A:17252153:17253184:1 gene:Dexi7A01G0005960 transcript:Dexi7A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFVRVIWAWLLLLHLAEATHIVYENLLEVEAAAVPPSIVDPELRTGVGRGYEQAMHVGNLLLLTAQPLAE >Dexi9A01G0044380.1:cds pep primary_assembly:Fonio_CM05836:9A:48027145:48029096:-1 gene:Dexi9A01G0044380 transcript:Dexi9A01G0044380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSWLLVGAAAAMLLLLLAPTATAQDCLSATFSGGRTFGKCNSMPTLSATLHWTYHPENGTADIAFRAPSSSSGWVGWGLNPTGGLSMLHSNVFIASQSSGVASVLMTKLESTVSPSLQPETLSFGVPVGPTVEYSGGAYTIYATIKLPGNNTVQNTVWQAGPLSGGSIQQHPQAPANLASTQSLDFLSGTSIGPSNSRLHRKNSADPAWFYLHIACQCSGYILGVAGWGLGLKLGSESVGITYQPHRNIGIAIFSLATLQVFALLLRPDTKNKYRFYWNIYHHSVGYSVIVLSAINIFKGLDILQPSSGYKTAYIVILATLGGIAVCLEAITWPIAIRKRKRDADKASNGTAGWQQGA >Dexi6B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:6B:682039:682464:-1 gene:Dexi6B01G0000780 transcript:Dexi6B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKKEADEEGKRELMFKEDGQEYAQVTRMLGNARCEALCVDGTPRLCHIRGKMQNKVWVAAGDIVLVGLRDFQDDKADVILKYMADEARLLKAYLELPGTLSLNDGGAEDGGQEDSYVHFEDEDIDKI >Dexi5A01G0024250.1:cds pep primary_assembly:Fonio_CM05836:5A:28125303:28126583:1 gene:Dexi5A01G0024250 transcript:Dexi5A01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFAVAPLPVAPPLRPPDTLAGWRRRPGPNPPRFAVVLAASSGVGAERAPPTFGRLREELLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAAISVRMGRENEAVDLLVQKRKLTKALENIKERIEVLDKLSAKIRTSNGENSDDKVRVFSSTVNDGVIGAESSDSHPKSVEKEYFELRNEAHARMAGHSEQSAFQIADGFSVLNDPDPASSIKSSSAYDGFLENIDLQMKSLEYQIEQFISSQSVEEDGSEKQKIDKWLRLSDIQMLVKETREK >Dexi9B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:9B:14948697:14961601:-1 gene:Dexi9B01G0020190 transcript:Dexi9B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGTPDPQQLQATMVAIEQACSLIQLHMNPSEAEKVISSLHLSLMPYQSCRFILETSQMPNARFQAAGAIGDAAVREWGILTDENKRSLIIYSLNYVMEHASSPEGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFSEVEQSVRGIHGPNRQYAAINFLETLVSEFSPSTASAMGLPKEFHEQCECSLEVHFLKDFYCWAQSAVFNTADKILNSNVTIPEERACSAALRLMFQILSWNFKHMVEHESSDAKINSGLRMDTINMKKFERSLVKPGSMWREILISSGHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLMMILSAVILWIEPSDAIAASIRNGGSESEFIDGCHALLSMASLTTCSLFDNLLKSIRHYGTINLLSALTSEAVKSVLVNQSEEETWGVDSLDILLETWNVILGDVDADKSPISVDGALAASNLFKIIVESHLKAAADSAFEDSDDTEYFHVSVSKRDEQLALYALIARAAADTTIPFLAQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQTGFSNVIEAAQHPVVTISWSIINFSRQCLDPGIRAKYFSPRLMEVLFCIGICLVYFLYLEFFFSILDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEVSAQYLRDLMGPVAGCLVENANRSDIKSVAQQADVTYMVCCLLERLRGAARAAQPRTQKILFEMGRTVMNPLLTLLEVYKNQVMLSLSSSLRNESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFLLMSHLLEVYPEKVAHLNREAFARIIGSLDFGLRNQACTLSKAAFTLLFCACVANRFWVSTSKFGNC >Dexi4B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:4B:3985366:3989068:1 gene:Dexi4B01G0005600 transcript:Dexi4B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRRREEIEGELKKLRAERKELDARIRLLESQLEVGPVGVGGAAAEEGVVDGSCDGSVACRGRGGNGFAPDGGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGCLGIVDGDDVELNNLHRQIIHKEAYVGEPKVKSAADACREINSSVKVVEHQHTLRPCNALEIVRKYDIIVDATDNLPTRYMISDCCVLLNKPLVSGAALGLEGQLTVYHHNGSPCYRCLFPSPPPVAACQRCSDSGVLGVAISLDTLVKLRGSLPDCTICGKKSAFTEQDFQKFDYENFTQSPMSDKTAPSVDLLPENARITSRDYKSMVDNAEPHLLLDVRPTHHFQITSISPSLNIPLSMLEEKLPVLETSLKKRAAASGKEPSLVVLCRRGNDSQRAVKLLREKGFASAKDIIGGLQAWGRDVDPDFPVY >Dexi5A01G0023760.1:cds pep primary_assembly:Fonio_CM05836:5A:27725187:27725633:-1 gene:Dexi5A01G0023760 transcript:Dexi5A01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGPRTSRSHAAASASRPAPARRASTVGVASRRRTGHPQSPAMRRILPTCAAASTRVLALISSIADARLLRPAKWSPSSGTPMAFRSPAAWEARSAGDVDAKYSLSSSTMPSRTMSSTRRYSSSTGASTSTQRRQHGNLSAGR >Dexi9A01G0042640.1:cds pep primary_assembly:Fonio_CM05836:9A:46205488:46206128:-1 gene:Dexi9A01G0042640 transcript:Dexi9A01G0042640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQPTHRSPRRFPAACCLLTLALLFASAAAKSSRRAITDNEIRAKKEACYTDVENGLWGWVCRSSPTEKENCVLRCLSPECYNLIYGGDPLEEGELDYVRSQEYKYCMHK >Dexi2A01G0023100.1:cds pep primary_assembly:Fonio_CM05836:2A:34886906:34889469:1 gene:Dexi2A01G0023100 transcript:Dexi2A01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPALASGEMSGDVFYADKYHPIQAGSIDGTDVAPHDNAVLRALICSQAGLYDPFGDPKASGDPYCTVFVGRLSRQTDDDTLRKAMSRYGRVKSMRLVRDIVTGASRGYAFVEYENDRQMRRAYQVSAIYAWNSDAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLQPIPYESYDKLKKLGIPPPPEGRYMTRYQVPPPPRRKSSNTDMEDSPPRRRSKDRASDVAYRRQKSPADDDETPLRRKSSHDVREESQSRRSARSSITREDSSYSSQRKYTRHDDRHGKRRRSREPGEVSPSEEDGSYRRERTSNESGRSSDQRAHHHRHHREDAYESSHSQHRDSRRHGDRHQFRRSESRDYSH >Dexi4B01G0021900.1:cds pep primary_assembly:Fonio_CM05836:4B:23757685:23757957:-1 gene:Dexi4B01G0021900 transcript:Dexi4B01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMLPAVVLTPPAAVAMVVVQQHATTGWERLGLIALGIQWAYARWWAPAAAADVAPPPPPPPQPLQQQLPHVYGVPAPGFDASAPYWA >Dexi4B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:4B:2547729:2548928:1 gene:Dexi4B01G0003630 transcript:Dexi4B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSDLPSELLGLVLRRLHSFADRVRVGAVCQPWRSSALLYSELPPAMPWIALGDEAYLDIINNTVHKLNLNIPSDGDCCGSVDNLLFLTRGGGGCFLADPFSSAVHPVADLALFFKQQTRKEMFSLNYYGRRLSIKKVVVDWPQGSSVEPVVATLLTNSINMHENTIFVCRAGTDTVGKKSYRTMSVDLWNVLDIAFFHGNLYAISTCGQLHRVEIRVPSDGNPVITNVKELDTIGSPRRGSNDDYNEDKMVNFIAYEKIIPTEEAYLVESGDKLLKLNPWIMDSNPGKTVRFTVYEAHHSSPFKWNLVRSLCGRALFLGRHGSNFKSVPVGDGHYCAQEDCIYFVHDSGDFGIYNVKSRKMMGSLVPDTEVLPQPQRPWIPTWVFPRSPVCKLRHLD >Dexi4B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:4B:1198536:1204756:1 gene:Dexi4B01G0001940 transcript:Dexi4B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAMLLQLPWWSAAAVLIVLAAAAMEVEAGGGGDGSGERQALMAVKAGFGNAANALVDWDGGRDHCAWRGVSCDSASFAVVGLNLSNLNLGGEISPAIGELKSLQFVDLKLNKLTGQIPDEIGDCVSLKYLDLSGNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNKLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSSDMCQLTGLWYFDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGQIPPELGNMSKLSYLNLANNNLEGHIPANISSCSALNKFNVYGNRLNGSIPGGFQKLESLTYLNLSSNNFKGQIPSELGHIVNLDTLDLSYNEFSGTLPPTIGDLEHLLELILNNNNLVGEIPAQLANCFSLITLNLSYNNFSGHVPSAKNFTKFPMDSFVGNPMLHVYCQDSSCGHSHGTKVNISRTAVACIILGFIILLCIMLLAIYKTNKPLPPEKGSDKPVQGPPKLVVLQMDMASHTYEEIMRLTENFSEKYIIGYGASSTVYKCDLKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPVDRPTMHEVARVLLSLLPASAVKPPTTKGTAGDYTRFLATTTADMKHDVSDDIGDNSSSDEQWFVRFGEVISKHTMS >DexiUA01G0021420.1:cds pep primary_assembly:Fonio_CM05836:UA:44392708:44400615:1 gene:DexiUA01G0021420 transcript:DexiUA01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKFGHGDMTKGRCQDVQVYECLPFCSRIGCDRVDEPLRVDDAWECSARALFAFHSIAPENLDLPFGTVQAFVQRFPRSPLAEKKRKTPAGPSSAQPQRFRLVPPAAPQGQFRAPQGGGWVARPPQPNAPRFPPPPQQQAPRQSAQQPARPGAGYQCFKCGSKDHFIKDCPQNKQQNQRPGNQQGKGKQQQQRVQVRQGRLNYTNLADLPEGAPDMTGIFPICTQPAVILFDSGATHSFISTRFHVKCGLKILPYQIIVCDINCGRQDCIQPRIDIVLGANWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCVDACAYTMIETQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGTRVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLQSVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSIHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCEEAFHTLRRHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSEGHAEHGDHEVRELVAPLLHVVAVAPPAGRADAALQVGRRDEAVDDADEDGRLRRAPVAHRLPAGHAWPEEIQCHGRTGGRSGSQSQGTQGEVKTEERRLKRNDQGSFSLVVGASNFIGRLDYLVAGRRHLEVSSQRTLLLRSRRVTGRRNAQGFCVCYGALHFGPCEYHIKVTGFEPMALYCATPRLPPGTYGMDLPDRKRLEPQEARTTAFRK >Dexi2A01G0031980.1:cds pep primary_assembly:Fonio_CM05836:2A:42611104:42614796:-1 gene:Dexi2A01G0031980 transcript:Dexi2A01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRPRPGSLQALAVAVLLVXXXXGVVLENTAWNLRAGGVLYSLDEELVTSKVHSLKKIRGPDPNYKDICFAGAVRNVSQLHEVFPDVDMVFGNGQKLSLTPENYLFRHSKVDGAYCLGVFQNGKDPTTLLGGIIVRNTLVTYDRHNEKVGFWKTNCSELWERLNIGGAPSPSPSSDTGSQADLSPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELEIDSRQVRVMNVTSQGNSTLIRWGIFPAGPDNAMSNATAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSRRSWFQEHVVSILLGILLVVLVTLSAILVVLVWRKKFSGQTAYRPVDSVAPEQELQPL >DexiUA01G0009220.1:cds pep primary_assembly:Fonio_CM05836:UA:17933995:17946557:-1 gene:DexiUA01G0009220 transcript:DexiUA01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVSSFSKLRKEKVAAEGSYSTGDAAYDEEAELERALHQSRAEEEEEVHTSMEGVVVRGEGIRVVDYNLGTARGSTQPRIDTGNKFQPHQTPNSCLRCPVLRLSLSPPIIQGQTPQSHPNPGNSLILSLSVSRSRRDASFLHWLSSHRAVSRSPVAAPRTMPCLLLPAPPPRSCLIASVRRSPHRKDRFLRPRTRRRPRLWRCVSMTEMARVGSGGESAEVGVPVESDPMLRGEESPGPRREASRWAPVEATLNRMVKVVNILGRQTKKPIKGNKCHTRKIARTEERERGGGLYTLAGPEIPSGLTEFSGLARQFPAWPEIPTQGPDFPKEELARSHKALKNYKAQRSDEQAEQLQEINDQILVDKLQLATTSLDLHACPCDKMTATRGRERFDACLPLLLGYSEILMK >Dexi7B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:7B:506159:509228:1 gene:Dexi7B01G0000090 transcript:Dexi7B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEFEEVKGLQHLDFTFSDAEAYDELASIVLGLRRKWPLAVTAWSPVEVVARPPGTRRRAYGRAVSSSPKHCEELPGSQNYRKDTDILMFLKILGNKYQSKLLEIIDASELPEFLGGTPVHVLNMEAASRIVQSGEVQCARQIVTISNGEEKFISYSKPKHHNVQLIYICFNVEWQTRGSDTSTAESGSKALRTYISHPKLTPVREEVKMVRASSFSTRLPEYDVPVVDKAVDATWKREQPRKTPLPPKDSSLGTATKPSDSSWDKMVAIHMACLMAIVMLVRSILLQRDCHIRSS >Dexi1A01G0023620.1:cds pep primary_assembly:Fonio_CM05836:1A:30251796:30254168:1 gene:Dexi1A01G0023620 transcript:Dexi1A01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKSGKIPDPESTDNAEFKIVLTIIRDGLKSDPKRYRKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSDADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKSQADYISVPVEGPYKPAHYRY >Dexi2A01G0029240.1:cds pep primary_assembly:Fonio_CM05836:2A:40279095:40279295:-1 gene:Dexi2A01G0029240 transcript:Dexi2A01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVHRVGGEGKLPADLMKTVKAMLPDRKVVMGRAKYGIFVGRHIRFGNKISEDGCNT >Dexi4B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:4B:19787338:19796050:-1 gene:Dexi4B01G0017440 transcript:Dexi4B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAAWCEVEKMGSVTFDLIEQQPHENRGGSFSCVLLQKDRKNICRTKICDLPIEVPFVWPLISFIPTKAYQKSVLPKFSLLPYPEDGRQKHEWGKFMRFLWDNKKTGAMKLGKDAIVLTQTSSSRSVAFLSQSFNEGKDNLEIPVVAYRKEGQYMEVDLSVQSEATAEYNLSVIKEFSSFNEYFIGEKLGLFGDKRTGTQIYIWNLDKWGNDYTLEWNSGKSSENPVHKGCGDILIRSRRVRSRPGQTSNKVPLDYSLQSYLEVMFLNPRMKIFVQGSLSYKRVGGQKHSADVGRGVIGVADITNLIDDGDGNSWVLNSKQGFQDCELYAKLEEWLDRKVAEYWDAKFDNLELRKGDEHYKPDADWVQCYSCRKWRVLNAGFNIDTLPEEWFCYMPPFNDDLDGVTSQAEDEPRPLLRRLRRGPSKTSKC >Dexi9B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:9B:4016803:4018373:-1 gene:Dexi9B01G0006710 transcript:Dexi9B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGASSAWGPSPALVTALVALLGLGLAAYIVGPQLYWHAAEALTAAGACPACDCNCDARPLLDLPEDCAKQFKGVKSRASGEETEKSFTELLIEELKQREEEAAQAQQEADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAEALVQQKKLTSLWEQRARELGWRPENTKAHLK >Dexi4A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:4A:22729223:22730510:1 gene:Dexi4A01G0018800 transcript:Dexi4A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTLLKSSFAGARLPSTPRVSSPSSVVVSTPRSSGPICASISSSTPPYDLTSFRFSPIKESIVSREMTRRYMTDMITHADTDVVIVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELDIAYDEAEDYVVIKHAALFTSTIMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTTQTVPPVWREEFVIASKDDEVVDA >Dexi3B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:3B:7501116:7504097:1 gene:Dexi3B01G0010700 transcript:Dexi3B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRHRQLLLATACLWALSCASLLGASTPDGLLRISLNKNKLDREALTAAKLARQEDSLRLGAIACYLHHRYKSGKSSTYTADGESCQITYGSGSISGFFSNDNVVVGDLVVKKQKFIETTRETSVSFILGKFDGILGLGYPEISVGKAPPIWQSMQEQKLLADNVFSFWLSRDPDASSGGELVFGGVDPKHYKGEHTYVPVTRKGYWQFNMGDLLIDGHSTGFCAKGCAAIADSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILNLLIAQTSPQKVCGQIGLCMFNGAHSVSEVIESIVGEENLGSDVMCTACQMAVVWIENQLRENKTKEMILKYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFTLTPEQYIVKLQQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFATSA >Dexi8A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:8A:2065644:2066228:-1 gene:Dexi8A01G0003010 transcript:Dexi8A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVRFKEGVVVEDVLKGMADLVAEMDMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASADDLAAYMGHEKHAAFAATFMAALEKVVVIDFPVVIAKPPPPA >Dexi1B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:1B:21410026:21410571:1 gene:Dexi1B01G0015000 transcript:Dexi1B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTAPTARLLAAAAVALGCLAVGASATVVTTCRAAADSDARVDYSFCVAELGNHRESPDADTWGLAKVAALTGVNNADDAVYDAKALLAKQSGGPARAALEECSKLYDSMGFAFAEAEDEINNRRYAAGKGKAAEAASFAHQCDDALAKAGAVPSPMAKHSSYSVQIAIVCAAITNLIK >DexiUA01G0007410.1:cds pep primary_assembly:Fonio_CM05836:UA:14028642:14030165:-1 gene:DexiUA01G0007410 transcript:DexiUA01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLTSTPRGVGAPAPSPRTALLGLRLGAAQATRFSGLAVSSQPVERRAAALVAMAKREQELEEIRGMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >Dexi8A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:8A:23857991:23861323:-1 gene:Dexi8A01G0013690 transcript:Dexi8A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATKQADPPATASSTPTTTAAGETTVIRNARHRPSKLKLCYHLAISNSLYILLAPFAAVLAHRLSHHTLSDLAAAAHSFTTTSSPSFTIAVLALAAVLATVYLARRPRAVYLLDFACYKPGPEHVVTRETFMSQSAKAGVFTDENLAFQQKILERSGLGQGTYFPKAVLNSPPNPCMAEARAEAEAVMFGAIDQVLVKTGVKARDIGIVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYWGNNRSMLMSNCLFRMGGAAVLLTNRGRRRAKYQLVHTVRTHHGADDRAYRCVFQEEDATGRVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVGRKVFGLRSLRPYIPDFKMAFEHFCIHAGGRAVLDTIEENLALSPWHMEPSRMTLYRWGNTSSSSLWYELAYTEAQGRVRRGHRAWQIAFGSGFKCNSAVWRALRTIDPAKERDGGNPWVDEIHRFPVEVPRVERVVESSPAPETKAAS >Dexi1A01G0029090.1:cds pep primary_assembly:Fonio_CM05836:1A:34628085:34630277:1 gene:Dexi1A01G0029090 transcript:Dexi1A01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKIKETKVTRLCHEKTILAVNGQFPGSTIYARKGDVVVVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGANFTYTIIFSEEEGTLRWHAHSDFDRATVHRAIVVHPKRGSTYAYPKPHKEIPIILGEWWNVHVEQVLEESKLTGGDGNISDVNTINGQPGDKFPCSKNGMFRLPVEHGKTYLLQVINTGLSSDMFFGVAGHRLTVVGTDGHYLKPFTVESIMISSGQTMNVLLVADRPTDGSGSNSRYYMAARTLVTNKAIRFDNTTATAILEYTDAPPSAGPPDFPDNLPTVDDIAAATAYTAKLRSMATKEHPVDVPIAINVLPCGPNKTCEGPKGNRFSASLNNVSFSAPSVVDVLDAYYYSIHGVYEPDFPNKPPFLFNFTQGLPLELAFTKRSATVKVVEHGTVVEVVFQNTAILGFGSESHPMHLHGVQLLRGGARGWKL >Dexi6B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:6B:7337678:7340315:1 gene:Dexi6B01G0006620 transcript:Dexi6B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGMLAYESHQGLWNSGYYSQLFGIGGVMITIAILMLSTGYFGGIGAPFAPYFWPHLGQVPKKRERKRPVRVYMDGCFDLMHYGHGNALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYSIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDAGAVDEKAGVKPNDELKSQLSHFLPTSRRIMQFSNGQAPSPGARVVYIDGTFDLFHAGHVEILRSARQLGDFLLVGIHDDQSIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVTEGNSAGEVDPYAVPKSMGIFKTITSPKSITSVSVATRIVDNHEAYKKRNLKKKASEDRYYAQKQFVSGD >Dexi3A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:3A:3993535:3994020:1 gene:Dexi3A01G0006140 transcript:Dexi3A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFQQQQQLHHQEDMSSSASGPSPCANGCGFFGSPATMNLCSVCFANHLHDAAASNKAPQAAVLPQEEKALTEAEIELEDWVQRTKKAKENPFYSNRCAECFKKMGVAMRFQCRCGNTYCLNHRNSEAHHCSFDDQRAGIISIIRNNPLVEADKMRHRI >Dexi3B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:3B:41998:45428:-1 gene:Dexi3B01G0000040 transcript:Dexi3B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPHPIVISILSKLSNVIPTWKIIPNEDIINRAIKSEEWREEVRNNHYCYKGKPRLKTGYELFMASLNIESNLDKVTLPFIIVHGGDDAVTDPSVSEALYSLAKSKDKTLKLYPGMCHALTSGEPKENIDIVFSDIIQWLNERDQLH >Dexi9A01G0031760.1:cds pep primary_assembly:Fonio_CM05836:9A:36694624:36695121:1 gene:Dexi9A01G0031760 transcript:Dexi9A01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFYYDQEDVLFDAVVERRSGGGGDVSWSVHRPNIIFGFSPRCAINFVCSLCVYAAICSKEGAPLRWPGSRGGWEGFVTASDADLIAEQHIWAGVEPMAKNEAFNCSNGDVCTWKKLWPILAGRFGIEWTGYEGEENRFKLSEAMAGKEALWATIVEENELVAT >Dexi6A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:6A:24223576:24224319:-1 gene:Dexi6A01G0016360 transcript:Dexi6A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPRPCSGVLGDHGTWLTPPAGTLHTLRAEAFSPASSDGDLGLDTSPDPDTRAADFYACRAVRAAFTPLLAAARHMSPAGSWLPVPSFRSPRSSRAGAGDPVAAAGADPDAVAQSYWHVHAQDKSAWTQEMDIRSPSFM >Dexi6A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:6A:6757434:6760964:1 gene:Dexi6A01G0006940 transcript:Dexi6A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRHAPKPPWPRKLSSEKIVSLWPMRLTSENLYAPGATDHFTGDQPWLMAGLPHPDPAAAAGGRAPWLNSMPSVTATPCPDLVANHAAAVASATQAILTDPAAGNLHHLPPSSPHDVDRAEEGVNRHQVLAGVRFLKYCSDVSNEGFSQLEDLTLLYCDDVGGRDVYEATGKACRQLRCFTMMHDEDHDQLGREALRVAAMHELRSLTLQGCDDTNNELPHTLSFSTWMAAPESSQTMLFGLSQPNFSYLSLLDPNSLTNHQGVRSLPSPSPPHRPQQPPWNPPKTHLTHLHSSCRHHRNSRLLSAHSTTFPDAAAAPLPLFLVADVSPIHAWYLAAGGEAERVLHRQAPTAPRTAPVAYGCRTQQQHPRQEVKQQRHGRARSLLGVQAAARHLCFNPSYDVPRCRLLAGPGDGDEDAGFTGRRSAAGVRGEETRGRTTFGSAVGEEKIWGAPVGTPHDGEHFWDSTHHLRHGGLDIFGVR >Dexi9B01G0028960.1:cds pep primary_assembly:Fonio_CM05836:9B:31552912:31554200:-1 gene:Dexi9B01G0028960 transcript:Dexi9B01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMRSARSLSLVLLLFFLAAPSPARGCDRCVRRSKATYQASSLALNSGSCGYGSLAASFNGGFLAAASPALYRGGVGCGACFQVRCRDSELCSGAGARVVVTDQARTSSNRTALALSAAAYAAMARPGKAARLRAQRVVDVEYKRGVTQTSEFCAGLRIPCDYANRNLSIRVEEKSRPPSELSIRFLYQGGQTDIVAVDVATAGSSNWRFMTREHGPAWSTAQAPAGPLQFRLVVTGGYDGKWVWAESEVLPRRWEAGRVYDTGVQVADVAQEGCYPCDTHEWQ >Dexi3A01G0028970.1:cds pep primary_assembly:Fonio_CM05836:3A:30149360:30152440:1 gene:Dexi3A01G0028970 transcript:Dexi3A01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSSMNSLLQNLSEVNELDVQKKEWRNKVRELAYDIEDCIDIFMHQLHPGSGNAGLVRKITRKIKKLRARHKIAIQIQELKSRVVEESGRHDRYKLDVPSSSDRLMEIDPRLPAMYSEATRLVGIESRRDKIAHWLTDQDYWECCQDKKLSVVSIVGFGGVGKTTLAKQVYYQIRDRFECTAFVSVSQSPDVLRILSDILSQIGFGRMRKSNDLQKLIENIRGCLSHKRYLVVVDDLDKLTSLKIKVDEFPGHAVRLLGELPCLVYLDLSATEDPKHDLTFYSSAYPSLREFGFAYTFSSVTFEPGAMAKLQECHIKAS >Dexi9B01G0039870.1:cds pep primary_assembly:Fonio_CM05836:9B:40553596:40560455:-1 gene:Dexi9B01G0039870 transcript:Dexi9B01G0039870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRHRPLLLLAAISVAIVASLVRPAAAVRPFVLVLSVDDFLKDSSAHPSLPSADSGGDADADEWDDFADDSPAADPLLSPSSWVPLLDPTSSPLSGDEPDSPADSLFVAGARAMLSAVSEGDDAAFVTGAAQIEAAATSGHPGAQSALAFLSGAGMSRPASRSRAFLLHKFAADGGDLQSKMAIAYSHFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPIRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNAAAMYKLGLLYYYGLRGLRRDYVKAFHWFSKAVDKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQHYSAYNGLGYLYVKGYGVEKKNLTKAREYFKVAADNKEAGGHYNLGVLYLKGIGVKRDIMEACNHLLQAVNAGQPKAIYQVAKLFQKGIGLKRNLHMVNNYAPFVVFYRHMIFLFQATMLYKSVAERGPWSSLSRWALESYLKGDVGKALLLYSRMADLGYEVAQSNAAWILDKYGDESICMGESGFCTDMERHLRSHALWWQASEQGNEHAALLIGDAYYYGRGVARDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVAVDSAAKLPVMLALTSLWLRKNYADSFLVRFIDSLPEIYPVVEEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVAAANPQQPDDAPM >Dexi8A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:8A:967230:968554:1 gene:Dexi8A01G0001330 transcript:Dexi8A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRLPNLPAGFRFHPTDEELIVHYLMNQASSLPCPVPIIAEVNIYHCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYGGKPPKGVKTDWIMHEYRLTGSANNKRTKRIGSSMRLDDWVLCRIYKKNNNFQFSDQEQDGSTVEEEISLNNNLNNGAGAASPKSEANDHDQFHPTTMSKSCSITDLLNTIDCSALSQLLDGPVEAEPPLIYPTTTQTHQSFNYNNNVNTNHFNLPQVEACSDYVAANCNGLKRKRVMTMDGAESFDDGSSNFSTKLKLPGDSRSSHSHFVGSTSSFCNQQQLVDTSGFQYSSLLSYPFLQMQ >Dexi6B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:6B:17381819:17387643:1 gene:Dexi6B01G0010700 transcript:Dexi6B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATYRESLSRLAVEVDDAAADEVPAPSAPDARGGDLSATPPSSGRRRRYSRPGPASGSDAAEPDEISKLREDIQKLQASEAEIKALSFNYAAMLKEKEEQLGKLREENGSLKRNLESCKAVSASSNGILERSPRAQRNSVQENSLNIIKQNGYSSGSSHGIQPNGLHSVTDHHKLNMLEEERASLAIKQTSLENEIKQLKQQLSNKSKKETEIERRLEDENKLNGFLQQELNELKMNKERISTSMEELHKELNEKKSELRRVQDELSRRDKENVSDESFQSLRSMLMALQKENSDLKIEKGRLETDLKTMKSTSQKTADSTSDNKIPDLEKVKEEMDSLKRALQDASRERDKAVQDLARLKQHLLDKDIEDQEKMDEDSKLIEELRVICEQQRAHIMQLERALKVEIAKQEESKRIINEEHKRSNEQMEDLKYKLASCMSALESKNVELLNLQTALGQYYAESEAKERLGGDLAVAREELSKLSESLKVANQTIEVSRREKEDIATKLSQTERMLADGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQNNAGKGVVRGVLGLPGRLVGGIVGGGSSGKSNQASQDSQSFADLWVDFLLKETEEREKREASEAARQLQDESQPATSTSNSASFQQPSPQPSNLAPGPSTTTRPHLFGRPDTEFSTVPLALSSYSSMPTASSSYSSVLPPFSRPPPR >Dexi9A01G0038360.1:cds pep primary_assembly:Fonio_CM05836:9A:42653032:42655849:1 gene:Dexi9A01G0038360 transcript:Dexi9A01G0038360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAPSRLPLQRFPPSRLRLLVPCSPMAFTLAILRPSAPAPLAGHRARVAAPATARVAPSYRSRYSSARVSLGSELAVGADALFADYKPSTAFLFPGQGAQTVGMGAEAQSVPAAAKLFSQANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGEDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQELCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEVVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALATTEIRTPRIPVISNVDAQPHSDPDTIKQILARQVTSPVQWETTVKTLMGKGLERSYELGPGKVIAGVLKRINKGASIENISA >Dexi8B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:8B:10363882:10368242:-1 gene:Dexi8B01G0007980 transcript:Dexi8B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTQQRRARPSSHLRKVEKAVMADSDRAKRTTVAQAPCSHGRSRTSFFPRKWWRVPSSSPEENVDSAGRNVIRVNKYYDLVTSFTEYHWGQSFHFAPRWNGETLRESIKRFEHFIALQLRLKKGMKVLDVGCGIGGPLREIARFSSTEITGLNNNAYQISRGKVGTLEFLRIAPKGCNRLFSILQTASHGLLMGSRQQIFTATFFVLGRKPLKESAF >Dexi3A01G0024550.1:cds pep primary_assembly:Fonio_CM05836:3A:20191367:20192146:-1 gene:Dexi3A01G0024550 transcript:Dexi3A01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQQSMASALLFLNLIMYVVVAAIAGWAINYSIDESWNSLQGATPPVRLFPIYFPIGNLATGFFVIFALIAGVVGISTSLTGLNDVSQGSPANMMSAAASALVAWTLTLLAMGLAFKEISISLRPASLRTLEAFTIILAGTQLLCAGSLHAGANEAILATPIGGRV >Dexi5B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:5B:6038129:6040185:-1 gene:Dexi5B01G0008930 transcript:Dexi5B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEEFKLVKIQNHLLRVNIHCDGCKHKVKKLLQKIEGVYSVAIDVDNHKVSVTGDVDVETLIRKLTRGGKHAELWSQQKGGGNQGHKGNNQQKQQQQNHQQQQHLHQQNHQQHQQQQKQGANPSKDAHNKNNNGGQKDQGKQGGVGSLMQGLKAFKNQHNNKHQLAELSSEDDDMYDDEDDEFDDDYEEELRFLGDKMSQLGFHSNNHHQNQNKNGNNAAVNNNHNNGKKGNGAGGGGANHHQNQNNANVINMAAANAKMGGGVPNQKNPNVINMAAAANAKMANGAQRNPGAMSGMLGLSHGLGAGNAAPGFQGYTGFNHPSYAAAGYGGIQQQHHLQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNSNMMMMHQPQQQPQMMYHSSPQISPYTGYYNPYNYYYHPHPGSAGYPASNGDVETMFSDENTKGCVVM >Dexi4B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:4B:462818:465468:1 gene:Dexi4B01G0000710 transcript:Dexi4B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVPALATGEASIDAVTEQPLKVYIWDMDETLILLKSLLDGSYAGAFDVLKDREKSVEIGKRWENLILELCDEHFFYEEIENYNEPYLNALGEYDDVKDLTSYDFEADCFSSPYDDVNKKKLAYRHRAIEEKYAKGLENILDQHMVKVWNDLYDLTDKYTDGWLSSAHKLLEEALGKSAEAPITNSSSINCIVTSGSLIPSLAKCLLYRLNDVVSSENVYSSWEVGKLQCFKWIKERFDSPNVRFCVIGDGHEECSAAQVMKWPFIKIEFRPDAPHRFPGLDMPTVQTYMDVIYESSSKDG >Dexi9B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:9B:12822762:12824421:-1 gene:Dexi9B01G0018060 transcript:Dexi9B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >Dexi9A01G0048620.1:cds pep primary_assembly:Fonio_CM05836:9A:51338938:51342334:-1 gene:Dexi9A01G0048620 transcript:Dexi9A01G0048620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVHDNESHAPGHRDSAKRPRHELGPRALTSMNGRHVQRLCKLDATGAFLEWIKGRSKGKSAAMAAVALLAAALLASCAAGASCAAGCRKHVAKITEYGAVGDGKKLNTAAFARAVADLSERARDGGAALVVPPGKWLTGPFNLTSHFTLYLDEGAEILASQDMKHWPLIAPLPSYGRGRDEPGPRYSNFIGGSNLTDVIITGKNGTINGQGQVWWDKFHAKELKYTRGYLLELLYSDSIIISNVTFVDSPSWNLHPTYCTFFLKIEDCYIVSGDDCVAVKSGWDEYGIKFNMPSQHIVIKRLTCISPTSAMIALGSEMSGGIRDVRAEDSVAINTESAVRVKSGMGRGGFVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNTSDPSAKPEVTGINYSDVFAENVTMTGRMEGIPNDPYTGICISNVTAELAPDAKKLQWNCTDVKGVTYGVTPKPCPELGGRASRAPSRRKSSSSAHMNCQSVPINGRMPKEII >Dexi5A01G0036930.1:cds pep primary_assembly:Fonio_CM05836:5A:38319870:38321762:-1 gene:Dexi5A01G0036930 transcript:Dexi5A01G0036930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRRASINRPPTPSAEEDRDKEPSLEEAINIKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIRSFLMSTSLR >Dexi2A01G0023050.1:cds pep primary_assembly:Fonio_CM05836:2A:34861221:34864920:1 gene:Dexi2A01G0023050 transcript:Dexi2A01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAALRLRLRVLRRRPRVQRHRSRSRGGRAAGAEDVGREPVLLVSGMGGSVLHARRRSDPKFDLRVWVRILFANLDFKKYLWSLYNAETGYVEPLDDDVEIVVPEDDHGLYAIDILDPSWFVELLHLSMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMSGLKSKLETAYKTSGGKKVFAKYINKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQMLTYNGKKVPLPFNMAVFKWATETRRILENAELPDTVSFYNIYGTSYETPYDVWLPMSQVKPEYTYVDGDCTVPIESAMADGFAAKERVGVKADHRGLLSDENVFELLKKWLGASEKAQRRRHVSKAKVLDLSC >Dexi7A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:7A:16784264:16784665:1 gene:Dexi7A01G0005530 transcript:Dexi7A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSPSVLLTSPHPVVAEHIAASIRASPPPDPTSCPLASVPAPLQSSLRVGLLSLARRRPIHSTGMPIHLPDEEIGESQEGEEGRQPCTREELGRSRAAKDDGSREEETYSWVRRSLILGLQFRSPVGSPV >Dexi2B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:2B:2953415:2956054:1 gene:Dexi2B01G0003270 transcript:Dexi2B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDEQSAPPVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLGLETVAASGVLSDLSYAVLWRVAERQVQLGLSVVIDSPLSRRAHLDALTRLPGALVVIVECHPGDKEEWRRRLEKRGAAVANGGSGDGWHKPKTWEELQRLVEGYQGCTDYEIGDVPRIVVDTTDPEVDAEAIAAKVVGFIRSHLSFLGAKMASAGIKPGVPVILRELEPSSEMFKQGASLRVTGILQSYDVDSATAVIKDGSVSLKIDTQNLRDVNFRTNSAYQFIGELQIHADSEAILQARIGRNVDGLDLNLYQQALLIQREQEAKLRSSRRA >Dexi2A01G0037440.1:cds pep primary_assembly:Fonio_CM05836:2A:47010937:47016727:1 gene:Dexi2A01G0037440 transcript:Dexi2A01G0037440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPYALHLAVAALVGASFAAASAYYMHRKTLDQLLRFARSLDRDQRRRTRPLLDGEAHDYELEDSDEDQDHPPPHRDHDRRTLPIPPGLPPLHTGREGRPVISPGSSKRVGAIVRPTTPKSPVPTVSAFERIEDSDEEEDLVPYSKNDADYLATNGAIVKTPSHDEMEAYKVLQKCLELRERYIFREEVAPWEKEIITDPSTPKPNPNPFNYVHQAKTEHHFEMVNGVVHVYPNKDSKERLYPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLYEVTIDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVLWKLSSCDLCEIARNSVYQSGFSHRLKIWKEEMELIHFGNVKLPEEIDR >Dexi4B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:4B:15383166:15383729:-1 gene:Dexi4B01G0014430 transcript:Dexi4B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGGCQDPLRAGEKFLNHPRFASNLDSWPNQDPRRPNQDPRRPNQDPTHQWPRLLSKAGEGGRGREAAAPARGGRRKAPRSSTRGEGGAARPAAQPRIARPGERRRCSACYSTSRTSTRGGGGEVRPTARPRPAELEQGSREGDAGNGDGDGDGERDTVKEASYLRSRTKREQLAMAWRERRASS >Dexi2B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:2B:27466035:27471875:1 gene:Dexi2B01G0017050 transcript:Dexi2B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARRHPPSRLVVLLLLCLASLIPTPSLAQAQPPPASVTVGLIIDADSPVGRIASTTIPMALDDFYASFPNASARVRLAQHDSSGDVVAAASAALQLMTTQGARAILGPQSSVESAFVADLATVAEVPVVSFSATSPSVSPSGARFFTRAALSDAAQAGAIAALAAYFGWRRVVPVYQDDDYGATFVPFLVDALTNTSASARAEVPYRCALPGDASRDAVSAAMYRLESEQTRLFVVHARPALAELIFAAAVDAGMMSEGYAWVATDGITCLLSSIRPPQGIIGIAPHAPGTPRLRDVRRRWARRYMRDHPDAEAAEMGCYALWAYDAAWASVIGDKLLAAIANTTFDGLAGRFELGGGELAVPAFRIVNTIDDGKERVLGFWTSKDGLHRKLGGAPNNSGLAPVIWPAESTVVPVGWVQPTSGRKLRVAVPGDVDPGYRPIMHLDVDPATNRSTAGGFVIEVFEAAVRLLPYALPFEYVLVGSMHYDSLVEKVGSGDYDAAVADITITANRSQYVDFTLPYMTSEIAMVVPMRDQRSKRAWVFLKPLRYDLWLVSFVFLIFTGFVVWAVEHGVNREFRGPPSNQIGTLLYFGFSTLVFAHREDLKSNLSRFVVVVWVFVVLILQSSYTASLTSMLTVPQLEPAIGDYTSLWLGTAKVGIMNNSFMPASMTKSGFPQSRLRSYQASQSFHDALLNGTIGAIVDETPYLRIFLKAYCDNFTEVAQINKTGGFGFAFPKGSPYVADLSRAILNLTESDGMSAIERKWFGDDDEGCAAQGSQFTSDSLSFASFWGLFLITGATSLLCCVVHLVTFLVSNRRSIWVVVSTPHEPWKDRFRMFLELFDKKDLSSHTFRTKDGGCGSVAGRSAHDAGAASPAVEHIAASSPFSVSNHTYDMSECSFDTQSPPPASAAGEMEMAAGGQAEEEEVAVAPDPEDISDQIGTGHQGSN >Dexi9B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:9B:1280161:1285671:1 gene:Dexi9B01G0002250 transcript:Dexi9B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLAEHAPRAAVQRRVEDYRGRVIAVDASLSIYQFLGMLNRTVRMLEAGIKPVFVFDGEPPEMKKKELAKRSLKRDDATKDLNRAIEAGDEDSIEKFGKRTVKVTKKHNDDCKRLLRLMGVPVVEAPGEAEAQCASLCENHQVYAVASEDMDSLTFGARRFLRHLTDLGYKKSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIKGIGGQRALKLIRQHGCIEVLQNLKQTRFSVPEDWPYQEVRTLFKEPNVCTGIPDFTWTSPDSEGLLEFLSTENSFSTDRAVEKIKAARDRYSPGRLKLSTPVTSLLGSHIEKEPKCILGSPGQSLKVRSAPQACKSSSSGFRYGTSKPFMLGMKSGFHGRPYTLSLV >Dexi2B01G0021760.1:cds pep primary_assembly:Fonio_CM05836:2B:31463376:31466215:1 gene:Dexi2B01G0021760 transcript:Dexi2B01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCTQFHASYREHENELPISPDEKEGNGFAASDPTKAPSPIEVPELSFEELKEKTDNFGSKALIGEGSYGRVYYAILDSGKHVAVKKLDASTDPELDNEFLTQVSIASKLKHDNFVEMLGYCLEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLEYLHEKVQPSIVHRDIRYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGDYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQPPAAVAPDAAAPSDA >Dexi2A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:2A:32904088:32910650:1 gene:Dexi2A01G0020730 transcript:Dexi2A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAPAVSLFRSRASARFPIFVAADSGAARRSPRVDGRSRVSRRTLETSPPGAAGSSAGRKHGSTETAPRRSGSYLTAFVILTTGPNLQMIFVGITRVPKLGPAVSTKRAVAAVRLLRIEKGKAFADLLNEKTNDSGDNEMGYVERTLGFRTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCTEEKMFREMEPLLLQILRIAFFEILKLDVPAYAIVDENVSLAKAALRPGAGNMVNAILRKLILLKETNSLPLPNIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDDTLRLMNWNNSDPHFSLRVNTSKGYTRADLVKRLESLQVHYEESIMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGETIIDCCAAPGGKTLFMASRLSGQGKIWALDVNKGRLRILMDAAKLHSLNDMIHDIHADLRLYAKETTAKYDKVLLDAPCSGLGVLSKVHFFIKFTSKFYPSSVLLN >Dexi8A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:8A:13047992:13054315:-1 gene:Dexi8A01G0009630 transcript:Dexi8A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRHAMNVGRCSPELGGQGPRQLGCCNRNVPYAVQLRSLSTEVRIFPLTQCFIIYLVGREQSNPEEHDYREKNIKQNDFALQQALDQITSAFGEESIMWLNHAYGRKEVPVISTGSFALDTALGIGGLPKLSDTILKTFPNHNTIYFAYLSSFLHILNFYIYGQGRVVEIYGPEASGKTTLALHVIAEAQKSGDLFDFKTIKTKVGNVMWGGTWTPPAPYTSGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQVGYLFTLS >DexiUA01G0018460.1:cds pep primary_assembly:Fonio_CM05836:UA:39101178:39101381:-1 gene:DexiUA01G0018460 transcript:DexiUA01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTFFLFAPGVETPVAAPPLPFRSSSLLSISLSLSLNSTLLAAASSTMDLIWA >Dexi4B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:4B:21843755:21844716:1 gene:Dexi4B01G0019630 transcript:Dexi4B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWFNLTDLIRRYEAVTNTQPLQKTHCTDHQQQMLAEEIGRLSHECKQLEASLMKHTGEDLSSLPSVDELDELEQQLELALSKVRARKISGNGGADAGEGMEEIVEPLPPSPSFAYLLNVNEKAAASTVLQLWPQMDDGEDVIGGAGDRSSSPPPPRGFQLW >Dexi9B01G0028350.1:cds pep primary_assembly:Fonio_CM05836:9B:30948477:30949028:1 gene:Dexi9B01G0028350 transcript:Dexi9B01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLGLFLALNLLFAGAAHGCASYDCAPTDPTPPAEPAPSTTYSPEPPTSPAEPTPSTYTPEPPTAPAEPAPSTYSPEPPTPTTPATNDHRPAERCPKDALKLKVCASVLGDLAKAILPEEEKACCELLDGVADIDAAACLCTVLKADVLDIPLRVPINISIYLNKCGRKNYPVGFACPHRH >Dexi1A01G0022170.3:cds pep primary_assembly:Fonio_CM05836:1A:28867839:28869076:-1 gene:Dexi1A01G0022170 transcript:Dexi1A01G0022170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDHAATTSSRHEEEEGDRSTTQSRTAPANATTVAKPAARAVAPESSFVVEPAGEIAGAGDVAAAGLGVLGLFGDRAGVATGGSVGAGVGADAGGGVAGGGGVAGGGAMGGDGGGVAGAGGGVAGGDGGGVVGAGGGDAGGDGGGVVGAGGGVVGAGGGVVGAGGGVVGAGGGVAETAVGWWERAGETPVATEEEWWAPEEEWSGPEVGSSAPEEEWWAPEEGWPSEPEVGWLWEQEVGWLWEPEVLGAPVVGSSAPEEEWWAPEEGWPSEPEVGWLWEQEVGWLWEPEVLGAPVVGCRREALVVGSSTAAR >Dexi1A01G0022170.2:cds pep primary_assembly:Fonio_CM05836:1A:28867839:28869076:-1 gene:Dexi1A01G0022170 transcript:Dexi1A01G0022170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDHAATTSSRHEEEEGDRSTTQSRTAPANATTVAKPAARAVAPESSFVVEPAGEIAGAGDVAAAGLGVLGLFGDRAGVATGGSVGAGVGADAGGGVAGGGGVAGGGAMGGDGGGVAGAGGGVAGGDGGGVVGAGGGDAGGDGGGVVGAGGGVVGAGGGVVGAGGGVVGAGGGVAPAAGSPEETAVGWWERAGETPVATEEEWWAPEEEWSGPEVGSSAPEEEWWAPEEGWPSEPEVGWLWEQEVGWLWEPEVGSSAPEEEWWAPEEGWPSEPEVGWLWEQEVGWLWEPEVLGAPVVGCRREALVVGSSTAAR >Dexi1A01G0022170.1:cds pep primary_assembly:Fonio_CM05836:1A:28867788:28869060:-1 gene:Dexi1A01G0022170 transcript:Dexi1A01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEGDRSTTQSRTAPANATTVAKPAARAVAPESSFVVEPAGEIAGAGDVAAAGLGVLGLFGDRAGVATGGSVGAGVGADAGGGVAGGGGVAGGGAMGGDGGGVAGAGGGVAGGDGGGVVGAGGGDAGGDGGGVVGAGGGVVGAGGGVVGAGGGVVGAGGGVAVGAXXXXXXVAGAGGGVAGGDGGGVVGAGGGDAGGDGGGVVGAGGGVVGAGGGVVGAGGGVVGAGGGVAVGAGGGVAVGAGGGVVVGAGGGGVVVGAGGAWGAGGGLPAGGACGGEFDGGALGVGGGCAGGACCAAQDAIRSARTRGRRRGAMVLVCVVFELSSSSGRERAGV >Dexi6B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:6B:26744930:26746979:1 gene:Dexi6B01G0020210 transcript:Dexi6B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKPYRVF >Dexi7A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:7A:18936501:18937109:1 gene:Dexi7A01G0007640 transcript:Dexi7A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVSAPLPLTVLLLILAGSVCSALVSDTDTVAQVCAKATSGGAHTDLAPFCVASLQAAPGSDGADARGLAAIATNLTLANYTAAVAAIKDLERRHGGGWPEPQRRALATCRLRYIEALNVVHSAVHALASGRLRDYAADMGVVGKAATDCEDAFGAVNGGAPSPLRKVDEDAVNLTTVAALIVRSLKRGRVVVGVGAAY >Dexi9B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:9B:13989320:13991820:-1 gene:Dexi9B01G0019380 transcript:Dexi9B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEATDDAGGSNSSMDNVGRNESAVMGYIDGYYKEALNRLPRSLIPRVLDAGFCFGFLDPVSNIITNTAAAAYPPSPEANRDEQAGRLRWANKRKRSQSVTKKGRKKKKGRKIIAARSLRGLEAFLTSFFRYLTTQEALRYLRLAKADLLVAVHLIEEDRHTEAFTIHLLTTKVALTCAAISAISSEEFSGSVVPSAHHPDVTSLVQSSLTIASRQDEVFTLLAMQGGRLDTSTLRRLSDLSMKDMHGTADPREPMRRAISRFLAFPPHTKKMSVTFDLELALIQVLLERIHGFYLEAMSSFPATSLRLRHHRGLLKAGHCYGPFDPVSNIILNTIWYDTMFPPHHEFKVDMICDEILASTECRSLHGLVTFIQKLFPALSSYDATRYLLFCDARLDKVILRATQEGYHAAIPLKDAYEAAALVAHHPNPSALAKFATVLLPAEETKLKSLLKDKLILSPCDLQSIAATLSQNDPPSKSLVRVQELTLHANMIVSAKRKEFEALQSSVCHRVQAALKKHAHKEASSGVEYELLAICGVNAQVSEPGKFGYFDKCDGYPYSHVNILARQKGSWLSDAPTLLFIQCSNDSENKEDIPLCLPVSKSSKDAGLLHTLPDAKWEEDYGNEITPAEVKRISEMLDLMVASSAV >Dexi2A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:2A:31928017:31928407:-1 gene:Dexi2A01G0019770 transcript:Dexi2A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPIFGSRRVAGPAIGRPLLARSHLDEAHNEQRLGKTRLAKFSSYRLLDASLNIAKFQSRSYLILHRQKIEYAAADGDYEQIKVFQREEPHTEFISEIWRGSSRGLLPLANPPG >Dexi2A01G0024200.1:cds pep primary_assembly:Fonio_CM05836:2A:35926747:35927217:-1 gene:Dexi2A01G0024200 transcript:Dexi2A01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGATGKVKKGAAGRTAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAASSAKEAKSPKKGAKSPKKA >Dexi9B01G0024290.1:cds pep primary_assembly:Fonio_CM05836:9B:20184165:20190584:1 gene:Dexi9B01G0024290 transcript:Dexi9B01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDSGFVLGDEEAWMAVALPPSPVAQVVAIAVFLALAFAFYVFFVPFVGGDELQYVVVGLYTPLGISTYDYIVALREQEEQQDHVDHQSPQMSIISSVAGFSTTSSVGPLRHGSWCTPPRLLLEDQHVLSLFFNVLGILNGHAIFLQYVSYPDMPQNSGGTKMKKDEGIKTKPHVKISPWTLARLNAEEVSKAAAEAKMKSKVLQPITRRGEVPKPEKWGADKSRVFLPEKSPDTRAKTCASGTDSNFSDDGIETPDSLAPLQHEARSAFQPSIASSIRNLNLTSSPESSLDSPDLHPFRVSMSGADELHSFMSLAASESTVQKSIALSRSTSGGYEASGGEDSDRIPSRIVHRSSNWTNVILNSGRREIASDLRVPTSGGFAANTRLT >Dexi9B01G0042120.1:cds pep primary_assembly:Fonio_CM05836:9B:42429813:42435552:1 gene:Dexi9B01G0042120 transcript:Dexi9B01G0042120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPPPPKLPIPGRRNMLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEEKCTPKEICDKILMRNFEFRWYDVGLFNNKRIKLYCDTCQRFLADRLVEGTCPNKVCNASARGDQCETCSTLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLKDKLVDYINETSVAGLWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTIMFPSTLLGTEEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTDIPPEVWRYYLLMNRPEASDTLFTWADLQAKLNNELLSNLGNFINRVLSFVAKPAGAGYDSIVPDASNAESHSLTKVLAEKNSKWVEQYLDAMEKVKLKQGLKIAMAISSDGNAYLQESQFWRLYKEDSAACAIVIKTSVGLVYLLACLLEPFMPSFSEEVLRQLNLSPEESLSFSEEKGEVAKAKSPWDFVPAGHKIGKPAPLFKELKDETVALHREKYAGSQAERSSKAAADAEANKVANKLKGTKLSDGGPKKEQKKQSGGSKLKTSEADITIAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEDAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAIGERVTFAGYSGEPEASLSGKSKTWEKLAAELHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >Dexi7A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:7A:26073146:26074606:1 gene:Dexi7A01G0016130 transcript:Dexi7A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAETTTSRSKVEAAGRLPSMECEPKTLTLDQIKLAKEAALYVMNTKTEEEAIRIFTEGLKPVQMKTVRTSSSFDSSSDDEVELGGSSDGATRGCSSGGGRHSSKGGARGGGHHRGCCCRRRSCSIERDVATAPS >Dexi3A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:3A:6348700:6349533:1 gene:Dexi3A01G0009150 transcript:Dexi3A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISLKLLVDNKTKKVLFAEAGKEFVDFVFSLLTLPIGAVVKLISAGTMHGSIGRLYQSVDSIGVSYLQPGADKKDLLQPKVLQPPGGREPPLLLHGGGDGADASPVASVKMYTCPSHCVTVTMEFKAECPQCRKAMTTEMTVVLPSARGATAGGGGGGPEESGGYVKGVVTYMVTDGLEVTPTSAISSFALISRFSSGKDVDLAEKFVTVGMDEGLALLKAALRSDTVLTDVFLARKK >Dexi1B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:1B:9686728:9687087:1 gene:Dexi1B01G0010350 transcript:Dexi1B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNLGGGCGGGGGDDKENAPPSTARGVAVKSHTAMKKKPGLGSRATRRRRPPLRDITNLFVSAQCQSPATAEPALAGTETEVAVAVAVAETVRADASATGGVTLKQGRYSLVKGFRI >Dexi5A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:5A:24376270:24377858:1 gene:Dexi5A01G0020560 transcript:Dexi5A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRPRDPSPPHSDARAPPSTSGVRLGLLAPVVVLVLVLAGLGFRLSRSPPHPKTLQTTAHSVYERGLVKSEVSAREILNEHARVSENRWQRHFPNPVLAYVTPCDRNRLVLEGQHNFDATWVSELQSNGTLG >Dexi5B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:5B:13285553:13286847:1 gene:Dexi5B01G0015850 transcript:Dexi5B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAKEQRNPAWWGRRAWSLLSAVRARAPLVQCITNLVSMDIAANTLLSAGASPAMVHSLREVPDFTPRCDAVYINVGTLSEDWLPSMRAAASAGRPWVLDPVAAAASGFRMEACLELLALRPAVVRGNASEILALADRSIAASSNFKGADSSHESVNAIEAAKVLSLSSGAVIAVSGAVDFITNGEQVVGVNNGVAMMQKITATGCAVTALIAAFVAVEPSDALVAAACALAIFGLAGEIGMESSKGPCHSQDAPH >Dexi1A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:1A:3688360:3689325:1 gene:Dexi1A01G0005050 transcript:Dexi1A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAETLTEAGGGERMKRKKTMGRQKIEIKPIKCIDARNVCFSKRRHGLFKKASELCALTGAHLAIIVFSPAGKPYSFGQPSVDALIDGYLDPGSYVAATSEAEAAAQAAVLSENHGECERLEKAIEEEAQRRTALDAAARAAGVDDDDKVRGSGLPQLVAMLAALERVQAEADAVKRAYEIFAAEEAAAAIMMQQQYAATTDAGGDAVQFQYSSGAGGAFTADGGGAGTSSHQEDMDLKLQMMMMGGNVSHGLPFAPAMLLPPDRPPQPPCNYGSDHNHIAGYGNVYGYGGYDLGDGSGHGAAAMGGYYGTTTTCNFFG >Dexi1B01G0026670.1:cds pep primary_assembly:Fonio_CM05836:1B:31496754:31498123:1 gene:Dexi1B01G0026670 transcript:Dexi1B01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLISTPRGVGAPAPSPRTALLALRLGAAQATRFSGLVVSSQPVERRAAALVAMAKREQELEEIRGMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >Dexi3A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:3A:6017915:6025370:1 gene:Dexi3A01G0008590 transcript:Dexi3A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGMDGGGGGLSRGAVAAMSRQLRSRTTLNIILLLHHTLKRFIIVIQLEILHAEFALIGNPTIYEDNATRHFGVSCSGGLGSNEPCFMPGAQQVASDSMSPRSTVDAKMQQLSLSNPQNERFAVNATGYAFVPSGDTSGNPILPSNLHSTPMYMDRFHIARNESPLHITSINALSPYQARWKIKARVTAKTDLRHFTNPKGHGKVFSFDLLDAEGGEVRATCFDLQAEQYFDLIEVDKVYLISDGSLKPAQKKFIPLNNEYEISVHHTTSIEICSSDDGSIPKLQYDFQQISDLENMESGATVDLIGIVMSIGPSTRIIRNDGSETQKRTLHVKDMSDRSIEIIFWGKFCDIEGQELQLLCDSGSNPIVALKGGRISDFSGTRSVVTISSTQLKVNPDVPMAERIKQWYMAGGMTAPCVPLSQDTSSVSRVYVQKTIAQIKDENLGQSDKPDFITVRAVISHVVADNFCYPACTLEFNGKRCNKKVTRNDNWTWYCGRCNQSFENCEYVYLLTCQIKDHTGTTFATAFRDAGEAIVGYTAHELFIIRNVHQDEVRFRKIMDAVLGREYLFKLRAMVETFNAEQRVKLNIFGVDKLDAPDMNHGVLERSATF >Dexi6A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:6A:2989955:2990795:-1 gene:Dexi6A01G0003360 transcript:Dexi6A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHAATLAEEIKRGAASVAGVEVKLWQVVLEKMSAPPKRDDVPVITPADLPEADGFLFGFASRFGMMPAQFKEFMDGTGGLWCEQKLAGKPAGFFCSTGCQGCVQETTALTGVTQLVHHGMIFVPRGYTFGAGMFEMDELKGGSPYGAGTYAGEGARVPSALELQQAFHQGKSFAEIAKKLKGSSA >Dexi4A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:4A:1251349:1253517:-1 gene:Dexi4A01G0001870 transcript:Dexi4A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKNSTTAFAQSWGSTQGRLVRVEVLVLFSALIWILVEFFGSLRRQYNHGFFRFFVWAVYTLFTVLGPYTIGLLQDGPFRDQTFVLWGTILLVIQVSADSISVYSIHDIEQRKRVLVQHVLQIILVLWMILNCNGHNKSYAATIWIFWIHSIILTYRNSRVLSNASRKGGLLKQSKVVADYMMIEHEQIPRDFNPKTMEGYKYIFHGEDEVASQLPTGPEYRVEFKEVTKTIRTFRRRKVISKCITIDSVWRWIESQINFTKEAMEIRKDVALSFSLFKLLKRRLCGYHIGEAGLTKTLHFVLNGLLSEEGQGQKAGKKFMLTDELIERVLSGFKEHNGQLQDGHSALARNQLGSQFSWACTLPTHTHKILVWHIGTTIAMEGHPVPPTGDHRVAKTLSDYCAYLVAFVPDMLPGHGYDNQRIFDAVVMEARERLIRCDTVSSRCEMLVTMDLPRDNSCRILELGSRLGRELRGVVPEARRWKLLADFWAEFILFLAPSSNAEIHAEKLAAGGEFMTHLWALLTHAGILDRPSTTNSAGGNYSGAPANDSPV >DexiUA01G0024420.1:cds pep primary_assembly:Fonio_CM05836:UA:50855264:50858358:-1 gene:DexiUA01G0024420 transcript:DexiUA01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDPPAPAPAPTRQSEAGAGGRRTDKHGRRLEVYNEVLARLRSSAAAEISPAFEDALWAHFHRLPARYALDVNAERADDVLTHQRLLEEARDPERRPAMSVRVVQVSRLIDGDADDSFDPDMETAASNHSPSQLVHPPPAFGSSSNLEALGLETSEGDVRSTNDTDHSVHLISRPMHEITFATVDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLEDVAIKVVRPERISADMYRDFAQEVYIMSIKDLKS >Dexi2B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:2B:277707:278567:1 gene:Dexi2B01G0000630 transcript:Dexi2B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRNPVMPRRRVVHVDGCSVQTTVTPRPAVVRRWLYTTLWLNRRRIHSGGLTVGMGVQWTPQFRLRRSRRLPAGAEPRPGTLQLCVGNRCLVFQIARAGGAGAPPQILRRFLADGRVTFAIYRVESDRRKLRAHHGMEVESAMELQGAGGLGNASMKTMAEKLLGIRSGVEKPEAVMTSRWDGPTLSREQVRYAAVDAYISCRLGVQLRRWAAAAVARARRQVCLAEYCSGDEESEGGYYSDDDAQSEASTEPDYYYRGSGGGWDDDRAGDDDQLYDSICSSVY >DexiUA01G0012330.1:cds pep primary_assembly:Fonio_CM05836:UA:24955879:24958446:-1 gene:DexiUA01G0012330 transcript:DexiUA01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGQQQPPPPPPPQQPPTWQQLYATQTEILWNLQHQQQMMQQQMLQQGGPRAPQPQVARYEDFLATHPPIFARADEPLEADTWIRAIESKFTILATPCTANRKVVFAAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYAQAFNGLCPYAGHHADSDEKKLERFRRGLNTKLKAQLATTRAPTYGDLVNLAIAQEDANTC >Dexi8A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:8A:26188536:26189885:1 gene:Dexi8A01G0015160 transcript:Dexi8A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVESAKAVKPKYGNGGAPSMAADTVKLTVLDKVNFDQYISTLYFFSPQTAKAPPNAILEQGISQTLAVHREWAGRFGLDANGDPAILLNDEGVRFVEATADVALSTIMPVEPTPELLTLYPSDDGADELMLVQVTRFACGSLVVAVNGNHLVSDGYGRCSFMTAWANATRGVGIPLHNRTTSSIFMPRNPARIEFEHRGIEFKQPPHCDALDDMEQHANASGGGDKVVVRRVHFSADMVSELKALASLPGASRPCSAVKCVVSHLWRCISKARGLDGETPTTLKIAVNGRHRMHHPEVPEGYAGNVVLWACPTITVGELLAKPLWHATELISQKVARVDDAYFRSFIDFASSGVVEKEGLVPAADPTKIVLCPDVEVNSLVGIPVNDVDFGAGRPFLFMHGYLPQEGLVFITSSSLGDGSIDAEVSLFSGAMDIFKNCCYAISGSS >Dexi2A01G0031060.1:cds pep primary_assembly:Fonio_CM05836:2A:41850563:41853538:1 gene:Dexi2A01G0031060 transcript:Dexi2A01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIEADGTMPSDTSVGVAHDAFNTFFSETVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGEDY >DexiUA01G0013190.1:cds pep primary_assembly:Fonio_CM05836:UA:27380490:27384277:1 gene:DexiUA01G0013190 transcript:DexiUA01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGIYHGKQCHVADIPAVLARAWAAGVDRVIVTGGSLNESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQELLALAKEGIEKGKVVAVGECGLDYDRIHFCPADVQKKYFEKQFELAEAVKLPMFLHMRAAGEDFCEIMARNVYRQVLEVVAGCKGIADIEGLSKTLYNNTCRLFFPQDLDASADAQLESGTTAQDS >Dexi2A01G0033000.1:cds pep primary_assembly:Fonio_CM05836:2A:43310016:43311737:1 gene:Dexi2A01G0033000 transcript:Dexi2A01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERGHQEPAAAAASSSASDWFDESFAILAADLDLGLDAYGWLESSAEEQEQGIDRMVTETLPSPASTLPQSSLGPVSNSAASSPAASPREIGQPRKRKSPQHGSQSCQVGGAGGGRGQHGSQSCPVGGAGGGRGDPELDRGGTSSNRKPSKKGGAKTGAASLERDARWAEQLLNPCAAAVEAGNLPRAQHLLYVLGELASFSGEPNHRLAAHGLRFLSLRHLPAGVGQAAAAATMKILSFECPTPAFTGVDPRLFRASLIRFNEVSPWFAVPNALANAAIAQVAASTTATSEPRRIHVVDMGVSHGVQWPTLLEALTRVPRGSTPPSVRLLTVTGAAVGSSPPVPFSASPPGYDCSPQLLRYAKTINLDLAIVHAPSLDTLHGTVAAGETLVVCLQFRLGHATADEQLTVLRNIRSLNPELLVLAELDCSCRSDGGTASEFAGSLEHLWLFLDSTAAAFKGRDADERRVMEAEAGTALMAATARRGAAAGGGREAWRVRLASAGFKAAAFGGEAVETAKALLRKYDGGWELVPPSPSVGAEVGLRWKGWPVSFCSLWRPAQVGPELMRRGA >DexiUA01G0012300.1:cds pep primary_assembly:Fonio_CM05836:UA:24842094:24851487:-1 gene:DexiUA01G0012300 transcript:DexiUA01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNDRISLLEESFHPPALDAGRDGDEGEEIPSVLLEYKAYVADHRNNTTALAYSRCGREIQVTLFAARPPRVSYICVFCRPGPAEEVIAMEPNVVATDDDLLLLRIVVSPHKDLTYGSDYYIYRPASDDEPSLTRLPLPPDDRFLGPKNIGILSCPANRHADDDGSTGLSLLRPHRAPQDKFFMVAALEDDRSALAIGRFVLYPYNSKVQSWSVANVSLEAQHRQKYQDDGYFMHRNTRTIAVGGQDATIAFVDLWWGILLCDLSHAKDKPWLRYADDVPSRPPLLSTSMIGEYHRSFTCSMIAHLICSLLASTATPWSAPAWEQMVWQSNVAG >Dexi5A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:5A:25254493:25255293:1 gene:Dexi5A01G0021410 transcript:Dexi5A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRRRHEHEPTAADLPIPREDAGSVASDDEDSDGEFEFPFVSRESSAAGAAADELFAGGRIRAFYPVFGRVLDDVAAPEAPRAPLGRLFQLEQARTSSVASTSSSSSASSTAADDGAGLDGASPDSYCVWTPGSSSAASSPSRPPRKSGSTSSVARWRRIGELVVGRSHSDGKEKFLFFSAPPSPARDRDHHSPVSNSKAKQPPKGSKAAGAAELDTVAAGRRKSYGGGAKTSTGGRRTFLPYRQDLVGLFASVHGLSRSPHPF >Dexi3A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:3A:3575216:3575668:1 gene:Dexi3A01G0005550 transcript:Dexi3A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAGALAPHFPLRLPPRLLPHPRILAPASSSSSPYSLRPRAPRLAFTRAASGGDGGPPAEGDKEQSPPAEGGKEQRGPSFPSLSEIRWGELLSPEPANAAAVVLTGALTWAGASLLLQLLLIFASIFAAAVKYSFVAAILLFILIALL >Dexi6B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:6B:53104:55827:1 gene:Dexi6B01G0000110 transcript:Dexi6B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDEPPVLLDRASRATRGKRINKLLEDEIEEDEVFWNQDALKDEENDDNYEEEQDAGDEFDSDFDKDESEPDDEPEKEERERLPVKKRLMFPGKTLRKTNAKKKKVTPKLENDAKADSLSPSAQVDIPDEMETEKTLRKSTRTSVIVRQAEREAIRAEKEATAKPIIKKKKEGEEKRMTQEEMLLEAAETEIMNMRNLECVLAREEEVKKKAVVHKDTYDGPTIRFFSRDGKSRLEFINGASFGSELCTTSAPYPEKSVCVVTGLPAKYRDLKTGLPYATMEAFKIIRESFLKEEADRKRPNMSNMGELFESIAGEHSMPKKRRVEVRPPSTSVGLRRGGRFGRIPAVDMVDEE >Dexi4B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:4B:20809233:20813345:-1 gene:Dexi4B01G0018480 transcript:Dexi4B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAASLEDLKNENVDLESIPIQEVFAVLKSSPHGLTSNDGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKARAGIQEVHFMPFNPVDKRTAITYIDSDGTWHRISKGAPEQIIDLCRLREDVSRRVHAIIAKFADRGLRSLAVARQRVPEGNKDAPGTPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPLPDAWRLQEIFATGVVLGTYQALATVLFFWAVRDTNFFTNTFGVRHIGDSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLLATLIAVYAHWPFARIKGIGWGWGAVIWLFTIVTFFPLDIFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQQETSGLFNTENNNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >Dexi2A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:2A:13531211:13536292:1 gene:Dexi2A01G0011640 transcript:Dexi2A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPRRSSGGDPSSPLLPSPTSPASGGPLGRLMGLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFIAVAVAVLAASLGEQPAVPLRVWLAGYVVQCLLHVFCVTIEYRRRRRDADQQGAADGDFKLSIVKHLESANTMFSFIWWIIGFYWVSAGGQVLSVDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQQEGASEEDINNLSKFKFRTMGDQDKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGAELRELPCNHHFHCSCIDKWLHINATCPLCKFNIVKSNLDREEV >Dexi2A01G0024670.1:cds pep primary_assembly:Fonio_CM05836:2A:36409193:36411454:-1 gene:Dexi2A01G0024670 transcript:Dexi2A01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHARAPLLRRLLLLAALAASCSYYLLVLQAQASAPPRYDGFAYGGGAAAAWKDAVLVEAFLDPLCPDSRDAWQPLKLAVERYAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNSSSTYPLLELFFKNQEKFYNSATSSLSSPAVAVEMSKMASKAVGNSASEFLSGFSDSRTDSAARVSFKYGCTRGVFGAPFFFVNGFLQPGGGSPIDYNTWISILNPLVSQQGERIEMFTSV >DexiUA01G0010500.1:cds pep primary_assembly:Fonio_CM05836:UA:20846654:20847992:-1 gene:DexiUA01G0010500 transcript:DexiUA01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFYSTSAASVHCHWQLRNGSVHHELIRPNHYRPRNVINCCSTAGCRTRDYYYQVLGVTVHSTPQEIKEAYRKLQKQHHPDITGYKGHEHTLLLNEAYKVLARNPSRHVDGKSRAGFGNSYTGDGYSSWNGPVRNQALFVDENKCIGCRECVHHAARTFAMDDVLGSAHVEIQFGDMEQQIQLAVESCPVNCIHWVESQELPVLEFLSRPQPKEGHGIFGGGWERPRNVFAAAKNFIKRLEREQELEREQSSRSHGRTNKWHADCEAESEAQAKARHKAWEELRWKPLVDIWNGLRG >Dexi8B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:8B:27436675:27439480:1 gene:Dexi8B01G0016300 transcript:Dexi8B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVPRGRRGPSSRPANGERKKPSPSPTRCAGTELTKPAASPPPPPRTPGWMEPPLPAPAGDGSWLILDRFVHYSRRHRGVVEGDATTSSPAEDCAGRHVRVSLRIADPPAVSRLYLHWTDRPQIALPFTEPAAIAAHRNSILFRMTVPFDDFRWWHDTPSFPTEHFVYSCCSSSSPPSLTALPPCFHGGGKDRVLDKAVRQHRSQRQRIMFDEDMGILCHGDNGEFTVAHLACRRKKLELCLVHHPPSASGAAMEWSVKELKTPPDMKIDLKSWRNDVVIPIGKSLCWVDYYQGLLLVDVLAVGAQSKPNPEHLHGIRLPAQALKPCRLYDDVGEPDPFRHVCVTDNGIIKLVCVFANHPPSDDDFKIITWTLVDINKGSWIKVVDTIMVADKFFGLYDAAQSCLPRVNPTFPVMSLVDPDVICFLLKKERSNLTWMVEVNMRSKVLQSSTLYINKEEEGHPSEKDKEEGHPSEKDSIRSFFGHYFIPTKFSSYLSKDAITSRKLSEGMQKAKEERAMQKAKEERAMQKGKVKEEPKE >Dexi1B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:1B:12148215:12154428:1 gene:Dexi1B01G0011730 transcript:Dexi1B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQSLTLRGAAVPSARRAAPPPASSAAHLAASGPCLLRVPRALRRRRPRSLRASASLEQEVKEVAGAPAPSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLADDLGPLFEAILRCIPEPRIEKDGALQMLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRVAKISELFVYQNFSRVPVESVSAGDICAVCGMSDIMIGETIADKVNGTPLPTIKVEEPTVRMRREGYEFMIGPPKVINKTVDGKVLEPYEIAAVEVPEEYMGSVVELLGKRRGQMVNMEASGS >Dexi9B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:9B:15407885:15408142:-1 gene:Dexi9B01G0020710 transcript:Dexi9B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLGPYTGAGGRRKVHSRSCGRSAAAVKQLLSRLRSAWRRRAARPRPRPRRGSSRFAYDLQSYRQNFDDGLASSGRPPYRRL >Dexi8B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:8B:3403065:3417596:1 gene:Dexi8B01G0003900 transcript:Dexi8B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLRDLKNFLADADRRNITDLSVQEWVRELRNAMYDATNILDMCQVKAMEQEIKKRSMNFSFIDLGSYEPCNTRVSSNPCKRETSGELDELSVVGEKIEEDTENLVEILTTDNLSKYESSKIIVVAIVGVGGIGKTTLAQKIFNHDVVGQEFPKKIWLSVNQDFNETELLRRAITEAGGNHQSSGNTRGAIERGLKETLDGKKTLLVMDDVWDHRAWEDVLRTPMINASLAHGSRVLVTTRHDTVARGMMAKTPYHHVNKLEAEDAWLLLKKQRLKLEDYDMETIPGYVKEIKPRHLMVDCTVWVLCSIAAGKTGPEWDKFSHIQHVKAYANDNSHPKKLYVLYRRDPFCLETNISRYAIAQDRKARAWFRYMATCTIEDEWPIRQHQHGHGDKRFPLCLRFRYIYLCSPTAMPPLILQNISWQVSAHLLPWLSRVCLHCNEAAGLASSSDQWTEAKMQKPDTHKPKLTFMTVVLDVLASYLQNMLTEMAKEELHKLLGVYGEIDKMCTKLGDLKNYLADADRRNITDQTVQAWVRELKGAMYEATDILDLCHLKSMERQPSMDAGCFNPLLFCMRNPLHAHHISSRIRNLNKRLDGIKDRGSTFNFINLGSYEDPNQTVASFHPSKRETSGELDGSGIVGENIEVDTRNLVRLLTHGTKTIHGDNKILIFAIVGVGGIGKTTLAQKIFNNNIIRQEFSKKIWLSVNHEFGTIELLQRAITEAGGDNQAASNTKGALERTLMAALNGHKTLLVMDDVWNHEAWDGVLKTTLVNGSAHGSRVLVTTRDMRVARRMKAEEPYHQVKKLERDVAWSLLKNQVRNILKS >Dexi4A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:4A:22546780:22549119:-1 gene:Dexi4A01G0018560 transcript:Dexi4A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFADELLPPSPPSPHHHHHPAAKRARRSPPHRGRREALLLQLASFFPDMDPQVLEKALEASGDDLDSAIKSLNELRLESTGFKSENGQPTLIQPSVEDIPNGGVDAATEHPPVVDNYQTNNNGSEWVELFVREMTNASDIDDARARASRALEALEKSIVECAGAEASQNLHKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSHEVQSLKQLVLQYQEQVRTLEINNYALTMHLKQAQQNSSIPGRFNPDVF >Dexi7A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:7A:28867914:28868290:1 gene:Dexi7A01G0019870 transcript:Dexi7A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAEAEAAAGKYLCCSVNTTILELARFLADKYPQSGDLLEKSRMCVSSVKLEREGFEYKYKTLDGMYDDMIEYGKALGILPK >Dexi5B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:5B:3512386:3512783:1 gene:Dexi5B01G0005300 transcript:Dexi5B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCHESNASLALFRSAAGGAQLASNAAHTPVQEQHQVQYYLICLCLVDTFSCGARMKKIMPWRASYQLSWRRGHLHERVRHDLTRLRSLSPAVTTGRFGMIRDRVSHAMRAP >Dexi7A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:7A:26150932:26151579:1 gene:Dexi7A01G0016270 transcript:Dexi7A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAKPASRPAKTAAAPKPKPAAGASHPPYFEMIKEAITALKERTGSSSHAIAKYMEDKHGASLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKDSPKPAAAKTAAPKPSKAAAKPKKSTTTVGKPKKTAAAAAGTKRKAPEKKIVAKPKKSPAAKAKAKPKTVKSPASKKARKVAA >Dexi9B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:9B:11511474:11516694:1 gene:Dexi9B01G0016760 transcript:Dexi9B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLRRNLKRQASRSLSAFAGASSPRAADQENLHPNLASSPPASPSKGAASPRPKHPAAAAPTPTATAEEDRAAAAPADSEPSVKVPVRPTVSRPVDGKDLWFVRKTAPESVAVGDRSFAVDGVLDDRASQADAFDLVGLPMIENALAGFNTSLVCYGQSGTGKTYTMWGPLGAMVDSGSDHADQGIVPRVCQNLFSRIQGVRNSSPVHYIFENCEDHVSAGADPDNSPEKQISYQCRCSFLEVHNEQINDLLEPSQRDLQIRENAGNGIHVENLTDEYVSTAEDINQIVMKGLSNRKVGTTSMNLKSSRSHVIFTCIIEAWSKNCTKEERHLKKSLSRLGKLVNILSEPQESHKVDLPYQQSRLTHVLKDTLGGNSRVTFLCAISSEHRCRSGTLSTLRFGERAKLLPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDTTTSKPGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEDEMDVDEEDVQELRDQISKLHSSSETFDDFMDAESGDENTPHTKGNLETNQEDDQPIMDDSGSPLHEEHKEVANTRSADENLVSDRKSSLSISASPSLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSESPGDRNVEVCRNSTVRSSLQSSKLSPTDSLAASLHRGLHIIGYHQQNPAPRRSFVGLSFDHFAVNPRQSIANVSSAVQVLPEGRGTIICSSCKKSMNENENQTEDINSAKQIVLALGATSNESAGASVKGDNHEPIVSKRETELEALCEEQAAKIKELSILIDQYRKGSEDGPDSNGETPAEELTNKIPEQCGDSKVPSLDSDEREALLAEIESLKEQLKQQTTVSTNGSLLDQIRNGGTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGRGAVHPVHAAGELLVRLREAEEASTLEKERSAALLQENEKLKKQLEKLRKKHEMELETMKVHLAESRLPETALGGFYHHESEETPEYPRDAASTHDDDQSWRSAFASAYE >Dexi5A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:5A:6110004:6111748:1 gene:Dexi5A01G0008180 transcript:Dexi5A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLPSLLLLLLAAAAALPAPSYGWGVDGHLMVCQIAQGRLSDAAAAAVKDLLPSYAGNNLSSLCSWADDVKFRYHWSSPLHYIDTPDGLCTYSYDRDCKDEDGVKGRCVAGAINNYTSQLLTYGGSSAPQYNLTQALLFLSHFIGDIHQPLHVGFTSDRGGNTIDVHWYTRKTVLHHVWDANIIQTTEDDFYGTGVADYIDALTTNITGEWSEQVPSWEECGKNQTACPDIYASESITAACNWAYKGVDEDSTLDDAYFSSRLPVVNLRLAQGGVRLAATLNRIFG >Dexi3B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:3B:12757967:12758269:1 gene:Dexi3B01G0017330 transcript:Dexi3B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLESALDPARRRHSWPPPAGSYCFACLFTRLGAGDLWLRSLAVAARALLTEVLLAVLWKRERSGAGGRGTTAGEGPARSPPGGSGGGEQGDRDWRGRR >Dexi3A01G0022980.1:cds pep primary_assembly:Fonio_CM05836:3A:18579049:18580680:-1 gene:Dexi3A01G0022980 transcript:Dexi3A01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAATATSTSRLLLHHHAVAGSDRQHQHHLRYPAIPYPISLRCGSGRREAAAGALLPDRVTPFSYGVDEDADDHPREECGLIGVVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPSRLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLARVCDACERLGGAYSLLFLTADKMFAVRDPHGFRPLVMGRRGNGAVVFASETCALDLIDATYEREVQPGEVVVVDRRDMSVASACLVPQRPRRACVFEHIYFSLPNSVVFSHAVHERRTAFGRALAEESPAPGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVISGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLGKLHGIYGEESGGYCDACFSRKYPVLPTLADPAAEPEE >Dexi7B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:7B:16837316:16840431:1 gene:Dexi7B01G0009170 transcript:Dexi7B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSPSMCGGGETGMRIVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWSPEEDGPYYPGRVSRWWISQSLQHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATHVYFNHLYGLFLPVAALPCAIYETKQLNPGITIFSDPISLVRDHRLKETLTAEGIVVQSFNADLLYEPWEVVDDEGHPFTMFAPFWNRCLSMPYDPPAPILPPKKINSGNLSMCPSDDLIFEDESERGSNALLARAWKPGWQNADKALTAFLNGPLADYSVNRKKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYLSFNHPSSHERPLLAHLRFFPWVVNECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWIPELARLPTEWIHHPWDAPVSVLQAAGIELGSNYPLPIVELDAAKVRLQEALSEMWQLEAASGATMNNGMEEGLGDSSEIPPIEFPQELQMEVDRQPAQAANVPMTARRREDQMVPTMTSSLNRAETEVSADLGNSEDTRAHVPFHTHFEPRIEREDTIQNTENPALRINGVHQHNLFQQAQHRRREAVAPSMSEASSGWTGREGAVVPVWSPPALSGHSETFGADEADVSSRSYLDRHPQSHRLMNWSQLSQSL >Dexi1B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:1B:23328698:23329432:-1 gene:Dexi1B01G0016910 transcript:Dexi1B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCFHRDQEAPVAVEAAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCSEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Dexi5B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:5B:9250798:9251459:1 gene:Dexi5B01G0013020 transcript:Dexi5B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAATVTSKVETSDLIQVMVIRWELAARTGTVVVSGREAPGALARIATASMVDRNAAAGLAVMVRGAHNTHAYVPVSVRAPPLAAAEEVTQMETDQEVEVEERQLAKVGKKDKSCGRYALKGHLAADCTNEVYCVICDGHDHVNHQCHLLKQPCRVAHVVGYAVSSLGFYHISHLPLSRKKDSKTALVKVVGSSLSAEQVVAQLAMGGL >Dexi4B01G0021040.1:cds pep primary_assembly:Fonio_CM05836:4B:23083961:23086813:1 gene:Dexi4B01G0021040 transcript:Dexi4B01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKTIPLIAVTSCFIIPLADIGSLVEKIDDPNMANDADLLEVVRLLDNACKEAGFFYVKGHGITESLMKEVRDVTRKFFQLPYEEKLKIKMTPQSGYRGYQRIGENVTKGKPDMHEAIDCYTPIRPGKYGDLAKPMEGSNLWPENPTNFEELLENYINLCRDLSRKIMRGIALALGGAIDAFEGETAGDAFWVLRLIGYPVDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGEWIYATPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVQFCREKTGGAAKYEKVVYGEHLVKKVLTNFVM >Dexi3A01G0025590.1:cds pep primary_assembly:Fonio_CM05836:3A:21307675:21308731:-1 gene:Dexi3A01G0025590 transcript:Dexi3A01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSTHTVRRRGSAVMNQTRTHLGDAACSTHLLKIDSSSRTGGLRLLSCMCEFDGHDWEIRFFDPCNLIVDESRIGLILGFLGENGVRAALSCRLVDPSGAIEPSPVKRSPTTTFRCPSDSPAYVALMTCSKIASWCHRRRAWPSTSASSWRARRGPTFAVSGESFAAHKTVLAARSPVFMAEFFRGMMEESSGRVEIKEMEPSVFEAMLRFLYTDAVPELEKTMDAVTLAMAQSLLVAADRYGLDRLKAMCECKLASAIDTSTAATMLTLAERHGCQKLKGKCVEFIAGGSRGNLDAVMETEGFKDLAVNNPSLMAELLVAAHGRKH >Dexi3B01G0022460.1:cds pep primary_assembly:Fonio_CM05836:3B:17278814:17289122:-1 gene:Dexi3B01G0022460 transcript:Dexi3B01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQLGGLGGVRAPPAPPLPSPVHGGEGSGLGFVRGYLTAASAAGLARPATGKAVDWRYLLASPQFRRLFSDESKKNYENYYPKGKKEVPKGDGSNKSESKQESNTDEGWNFQETAMKHLQNFLAPLLILGLMLSSMSSSTAEQKEISFQEFKNKLLEPGLVDHIVVSNKAVAKVYVRNSPQPKSQGQNSDTHISTNDVAGKPAPSRCKYYFNIGSVDSFEEKLEEAQEAMGIDPHDFVPVTYVAEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGANDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDSEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEQTQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMSKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLEKEVLHQDDLTRVLGERPFKSAEPTNYDLFKEGFQDDDDKSQAPAKSAELPDDDASPSLGEVVPT >Dexi4A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:4A:5132887:5136541:1 gene:Dexi4A01G0007030 transcript:Dexi4A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMLASKSHAFSNKRKGPCSDGDSSISDIWSLLKGDVASKLSRSVVSLVLTDDLCVAYGLHSVFSGIAIECHDDITKFVTTGILATAFLSGCYKEEIEVYCEENVVTGHLYEYDSSSQVAVVKVESALNVPCVRLNREMECKPCKQLIAVARRFDRLITTSGKISYESEDREFLIFSHMFKGHKETFHPLAYDVFEEEWFPHLCALGYPIPSRTMMNRGMILVNTFECPFGDVYPKGVWGKFRKRISSEISRNTVALASFKGDTRIFACTGVFIDFDDECPIILTSASLVRDPGDQNKIIEDLRIEVLLPGKRREIGTLKLLSLHYNVALVSVDNYRALCPVNLERLPVNVTNDMIHDCLVNDTTVVAIGRIFQSGALMATSGKLTTDALHVLDCEALCYTTCKTTKAGIGGPLVDVDGNFIGMNFHGMFYNEIGTPYLYREDLSEILKFFKTKETKKFSWGGTIVGDKEPMNRWPVPDPCWCDPSDMEEDHLNKLVSRRELK >Dexi3A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:3A:2106135:2107433:-1 gene:Dexi3A01G0003240 transcript:Dexi3A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDRRHAAASYAVQILLAFACLFSPAASGLRIPHGDLPDMHRHFRRDQVWYPPAAEVVIVDLGNTNSCISGFVPGKTAVDMFQHCIPSWVAFTDDGAALVGEAAKDHAGTDAIYVIDRRRRMYEEDIVQEAIERAPYKMGTRHSDTPIVQLVKGTGNNGAVKELGFTDVASMVVAQLKNKAEEYLGRPVEYAVITDAVSEPIAIAVAYGIPKRLREGGNALVVHVGGGTADVSVVTLMGGSLGVLAYWDEPFLGGDDFDQRIVDYFVELVKAKHGKDVREDRIALAKLRAACERAKKALSSQDRVQVTVESLFDGVDSSEPLSRSKFEELNDETFGRVVALIRRVMLQAEEKRIIMQLLQEKRGVMLDARQKKRTNTKISEIILVGGSTMIPKLLTLVKDYFDGMEPNMRLKQDEAVALGALVHAYSSV >Dexi1B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:1B:6140373:6141518:1 gene:Dexi1B01G0007390 transcript:Dexi1B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQPTPSWTIRHVGGAMRGAGAEQISVLVRTITESKASKNVLHYFYTLGYKLDHELLKIGFAFRFHRGAQITVTVTSANKMPRLHATDEVVPVTPGIQLVEITAPAAADNYNDVVSSVTAFCEYLAPLLHLSKPGHSTGIVATAGAAAASLMSSGGGKTL >Dexi5A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:5A:1987410:1987805:1 gene:Dexi5A01G0002760 transcript:Dexi5A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLAALLVAACLAVQHASAGLVIPDASTMDLLAASSAKTTKQCSGAVGECGVDEAEELGLSGSGEALRRTLAARQPRNRYISYAALRADQVPCNQRGRSYYSNCGAQKAANPYKRGCSVITRCARNMN >Dexi9B01G0046720.1:cds pep primary_assembly:Fonio_CM05836:9B:45932792:45937355:1 gene:Dexi9B01G0046720 transcript:Dexi9B01G0046720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLENRIPLINQLESSFEYSPDDLSLILDTLTVSWDDSGCSGVPHCKLHKSILQVALKCSCIDTTDCLGQFIALVVKASSWCGKHLLWSVESMEESEEIQEEEHSRILPEELAVKKTFASFAGYLESYSKNHRAINVPTSSWGVMGFPVHALASALQRCEIKGDSAIDEKSITVMFKFAISLINMYGTAPDSSKDYLAKQISSMLDIISNMRHLCAFSEMEKLTLQLHTLFLSTSDNSNAVLSQCKPSVASFMAILGHLNVTEDDANELCSAMSDLYHILLKERHWALIHLAMGSFGYFAARTSFTQLWRFVPGDAALSYNAITGTSIDENGFMVELRAYLQKEAALHTDRWSEEQFWFLVSEGRALKKLFETSSEIPAVSEPEKTVTSKDASTKKRKMPDGICEGVVLLQNGLKVMRGAFDEADFAELKDRFAAHLSRLEDAVSQIASLSDEI >Dexi2B01G0024360.1:cds pep primary_assembly:Fonio_CM05836:2B:33824636:33825543:-1 gene:Dexi2B01G0024360 transcript:Dexi2B01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILRRALSLTAAASGHATPRVAAAFASTSSSPSAVVPPRSPLDDRLHRLLRSEITYLAERRPPYPPPRSFKSFAVEDRPGEQWVRLRSRAGADEEEVKVEATMFDGAAEPIPEDAPLFRRVESLERGPRLHLSLIVEVTRADRVLGFICSAWPDELAVRHVLTLRAGGGGGGASGGGGGRGGRNFEKLGAEEREAVTKFLEERQVDGELAEFLHGYMANKEKMELLRWLKSIESFLDK >Dexi9B01G0035280.1:cds pep primary_assembly:Fonio_CM05836:9B:36993447:36996470:-1 gene:Dexi9B01G0035280 transcript:Dexi9B01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALLLLAHLAALMAVAGAKGGGGLNDDVLGLIVFKADVSDPEGRLATWSEDDERPCAWDGVTCEPRTGRVSALTLAGFGLSGKLGRGLLRLEALQSLNLAKNNISGDVPAELARLPALQTLDLSGNAFAGAVPEGLFGRCRALRDVSLAGNAFSGNIPRDVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDISGNAVTGDLPIGISRMFNLRELNLRGNRLTGSLPNDIGDCPLLRSVDLGSNSLSGNLPESLRRLSTCTYLDLSSNGFTGSVPTWFGEMTSLEVLDLSGNKLSGEIPGSIGGLLSMRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGGLPSWVFASGVQWVSVSQNTLSGEVAIPTNVSSVLQGVDLSNNAFSGVVPSDISKLQNLQSLNMSWNSISGSIPASIFEMKSLEVLDLTANQLNGTIPAFVGGESLKELRLRKNSLSGNIPAQIGNCSALASLDLSHNDLTGAIPETIANLTSLETVDLSQNRLTGGLPKQLSNLAHLLQFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDTSSNPISPTEPVPSAGLHHKKTILSISALVAIGAAALIAVGVITITVLNLRVRTPGSHSAAVLELSDGYLSQSPTTDVNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVAGGNLHKQLHESSTTNCLSWKERFDIILGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVEDCVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMGEVVNILELIRCPQDSPETELG >Dexi9B01G0029660.1:cds pep primary_assembly:Fonio_CM05836:9B:32129260:32130122:-1 gene:Dexi9B01G0029660 transcript:Dexi9B01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQEKLMLLGTWASPFVIRVKLALSFKGLSYENVEEEDLYYNKSELLLMSNPVHKKVPVLIHNGKSICESQLIVQYIDEAFSTEGTFLLPADPYERAMARFWAAYIDDKLFAAAFRAGKAKTEEEKAEALKQTFVAVETLEKAFKECSKGKPFFGGDSVGYLDIVLGALIPIVYVGKARYGIELFDDTRSPLLEAWVERFAALDAAKAILPEVDKLIENSKAKQARAAAVAAATSK >Dexi1B01G0030410.1:cds pep primary_assembly:Fonio_CM05836:1B:34410841:34412512:1 gene:Dexi1B01G0030410 transcript:Dexi1B01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPHQHRHHHGSSSLGATEELNLLHMAARGSPDVDGGEVRRGALGQWKCRLLGSLLRPRRLRCVVCLQVQHVTGLPPAAEGRGVVVGWRSKGGEGEHTAPARVTRGAAAFDEVFLQYLSAGGATLRGFTVWAALLDSPAANGDLGAFPVDLAEVAAAESSNPKFGGKVFNFPLGGAAAGAVLTVSIYCRVMEHEETNGHAREKEKEKEKKNKGKGSYASCLPDLSCLRNRQVAAAASGSARRATSIRSDRGGGFITIENSVAEMDAGGAFRVGVAEDVDEEGAGFITMEKGTVSSRSRRPLPDTIGDEEAEDEKPCLFMELSEEAASVASAFEVDRVEDEFLAMLEDRYWARSKEIEKGLSVSLDIGLDLGLDLDSLIKDAEMELAKAEQAWKSKVGAAIVEEEEYKELVRRWSARETTHHSSAAAPTGCSWGFGFGSPI >Dexi2B01G0027950.1:cds pep primary_assembly:Fonio_CM05836:2B:36694522:36694969:-1 gene:Dexi2B01G0027950 transcript:Dexi2B01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAEAEDMEAVDSMMIDVSTLRAATGDFDESNKLGEGGFGAGVLPDGNEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQKERLLVYEFVPNRSLDLILFGTN >Dexi3A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:3A:912180:915079:-1 gene:Dexi3A01G0001270 transcript:Dexi3A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSLRAFHRLPAVGLAACDPIPLHRPKLFAPLAQLFSPYLIGVTSMLPEISALPKKLPEVVYITKRSYKQLRLLRCELKNFIFFSQDTDIIIDHIKKSTRELEQGPIGKNLSSAEKRKFLVNTLLGLEESREAVYGTLDAWVAFEQDFPLASLKQALSALEKEEQWHRIVQVIKWMLSKGQGNTMRTYEQLVCALEKDNRAEEAHKIWQKKIAHDLQSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGRKPPSKDIIRKVEDAYEMLGLLEEKKALLEKYQDLYNKPSRDDRKKGSKSKRVDMNKTGG >Dexi1A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:1A:3346202:3353997:-1 gene:Dexi1A01G0004590 transcript:Dexi1A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRLAVLAPRPLAPSAGRRRHAPAPGGAPSRPRALSAAPRGRVLCLAAPASAAASTTDAGQDRLQKVPISNIRNFCIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYVVNKEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVAQEIEEIIGLDCSDAIRCSAKEGIGITEILDALVTKIPPPKDTSKDPLRALIFDSYYDPYRGVIAYFRVIDGSIKKGDKICFMANGKEYVADEIGVLSPNQMQVDELYAGEVGYLSASIRSVADARVGDTITHYSKKAAAALPGYSEATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYRVNCADGETVECSNPSLLPEPGKRRSIEEPYVKIEMLTPKDYIGPIMELAQDRRGEFKEMIFITESRAKIIYELPLAEAYSVGRALTQKLKELIPRQMFKVPIQACIGTKVIASEALSAIRKDVLSKCYGGDITRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >DexiUA01G0001610.1:cds pep primary_assembly:Fonio_CM05836:UA:4246531:4250415:1 gene:DexiUA01G0001610 transcript:DexiUA01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPFFSPSPKPPPPPPWLHGPSTQTHSPSPVPAAPPPRDEAAPPKPRHHSPKRAPVRNKTAAKPLTAGVPGGRTRRAVLGIIRSVRSLELSDPPRPVPARDDDGAVPFRLPIEPREWGREAVEKGKPRAVPWAAARDEGLKVALRREKKAREPTRAETELPADELERLRRLARGMGKWARAKKAGITDEVVEDLRREWARGEELAAVRLVEPLRRNMDRAREILEVHDGQVKEEEARLKAVDSLQMISGLSSEESSVGTFREYQDFQAEHAHGNTENSNTIIELEAEKHRLEKELKDQEWKLSILNKKIERSNQALAKLHSSWSPSDQYADIELLTEEEKAMFRRIGRKMDGLVLLGRRGIFDGVVEEIHQHWKHKEVVKVITKQNQARQIMYTANLLEVETGGILIAVEKLGTSHAIILYRGKNYQRPAKSSSSNLLTKREALRRSIEVQRRGSMKYFARERQKSILELKRRLVSIHSL >Dexi1B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:1B:4068897:4074891:-1 gene:Dexi1B01G0005000 transcript:Dexi1B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVHITVSFPVNLICRADGSYNGRRSVDEGFPRDSYGRGAFNQDVHDRNMYPPPTSAGAMWSQPRRNHDDHRRHDTDYRNDGKHHDFESYRGVDKLRDNYHAPDNYYESGSHRDLGVDRSKRIGSRERVEFHGEFEDRYRSSHQSREDSYERDHEYGRHSYDSDYERGRRDSSWRRRGSMDGERERRGLSHERDEGSYMRHSRSRSRGHDDRSRSRSRSRSPRARSRGRNQRDDFYDDNRFDRRREYDWDERRRGDSVASSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEVTGENGLEIDGRNVFFEYRFHSTGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIALEKNGQVLRVAYAKSTHGPASGASQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQNSNTESQKDGPAAQSGFVWDEKSGYYYDSSSGFYYDGNTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKADGGMANENTKTSDSNSGKNVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQGQGQASRVVLDKEPSSSDDRFNHSHSGTGFSLKSKPNSDSGNAKDMSSAASYGSLGQGTASTQMLDSDVKPRPVSNSLGTTVMGVIRGSTRGVIKSDTTFHALSDTGSAETRTSTNGLKTTPEALATPAPYKTDISALVSNTSSGVSGSGKRRFSEAPGQLQYRDRAAERRNLYGSSLGNDSAGLDSTGDYPSRKGSSEIGSMPFPPGVGERSSGEIGNTENYEVITADRALDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAQAGDSYKTIIQKKAIARFREMS >Dexi1B01G0025700.1:cds pep primary_assembly:Fonio_CM05836:1B:30696657:30699971:1 gene:Dexi1B01G0025700 transcript:Dexi1B01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAAAERHHQPHAKRSPPAMSGATSCPCPCRWPRLHVAAVVVAFALAAAVLVGGAEGKQQPSAAQHNYEDALEKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGDDVAAAGELGHALEAVKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDHPGSDVAGETAAAMAAASIVFRASNPHYSHLLLHHAQQLLLRGEHEERHRSTLEQYKAKAEHYVCACLGRNAEGGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSAYSDYLSDAGVAAVSCAGGETVAAAEVFALARAQVDYVLGTNPRGVSYLVGYGPKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLHRMARLEREQGSTTPVTSTAADV >Dexi5B01G0028500.1:cds pep primary_assembly:Fonio_CM05836:5B:29840581:29843025:-1 gene:Dexi5B01G0028500 transcript:Dexi5B01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGATGLRLVSAPRTPDTEQADDASMMEPVSPTGRLMDSFYIVVTIGLGTPVNLPAFRAGIEAQLARHPRFRSIQVTDVFKDGNPHWVPATVDLDDHIVVPELDPAAVASDPDQAVEDYVASLSTLAMDRSRPLWDFHILDFPTSEAAATVAVRAHHSLGDGMALLTLLIACTRSAADPARLPAMPPALAARSGPIYARPCPPASAGAVAFATWPWSYAVLAWHTVADVVAFAATVVFLSDPHTLFKRRSEGGEGRVVHRKRFVHATLSLDDVKFVKDAMKCAGKSKAVKWGNKLGYIILPFHIAMHDDPLEYVRTAKKTVDRKKSSLEALFTHAAAIMFGRMISHTTISFSNMVGPGEQVEFCGHPVAFIAPSVYGPPEALTVHYQSYNNTIKVILAVDEAHFACHKLLDDFTGSLRRIRDAVAACKLQQ >Dexi7B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:7B:22002084:22006060:-1 gene:Dexi7B01G0015960 transcript:Dexi7B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASPVQEELQPERKLRNQLASAVRSINWSYALFWSISSTQPGILEWTNGFYNDEVKTRKIANSTELTANQLVMQRSEQLRELYEALLSGECDRRMARPIASLSPEDLGDTEWYYVLCMTYTFQPGQGLPGRSFASNEHIWLCNAHLADSKAFPRALLAKTIVCIPLMGGVLELGTTDPVCVGGSRLGKPSHCIILGDAASDML >Dexi2A01G0022410.1:cds pep primary_assembly:Fonio_CM05836:2A:34305918:34306975:-1 gene:Dexi2A01G0022410 transcript:Dexi2A01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRHSQDVSADKVVVNLETSSQVAESRRGASTAVAGAQNAPIDVEAIEDEVQVVSPSRVPPPEHMGWLLLGLIELFIYCGSFSCLFSLSNNTSLASSDIEPPCILQRRNRRIRREYVTVLDLEEVGPSWQVWVFHVDDESVSFPQVLNARGLYLQPIACLHIGEKGPACRCLIFI >Dexi1B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:1B:14349050:14349851:1 gene:Dexi1B01G0012180 transcript:Dexi1B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPYSFININGREDPGQSKRNMAELGVIMKILDLLKKGRKEFLLALYAHTLPRWRRFSVPLGTSARCTLWALALRVNSVNGFQGSEEDIIILSTVRSNAKASIGFLSDRRRANVALTRARHCLWVLGNAATLLGSGSVWKELVRDAMDRRCFFDSGDDDDGMCISSPVALPRHGQDAKVAVELDYSAM >Dexi3B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:3B:8763772:8764128:-1 gene:Dexi3B01G0012480 transcript:Dexi3B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGVWVEMLCFSASRCRGYLHAKALSSGGEFLSYVWLLLSYMGMETFTERLQRDREELPSRQEKKTSTDPPASDTHNGTASSNSEISTHAPPPASEVHTSAPPSAHDEVHIDMF >Dexi1B01G0022020.1:cds pep primary_assembly:Fonio_CM05836:1B:27746007:27750571:-1 gene:Dexi1B01G0022020 transcript:Dexi1B01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPEAKPEAVPPSPSREEPPETEPAAPEAAGIQELQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILEEYIGHADCHVSEQAEPCDVENAVKKRKLCSETSENSEEAVTDGNSYSVSESTGSIVESSSSQSKTSENVEKPSNLSLFAVAYNRRGIDETEVKPRKNTNEGSNQQETLMDREQCFKVVAAAVKSVFENSVVDLKSPEVNFPNNFQSLHSYWSAFWRTQGIT >Dexi6A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:6A:26027355:26029008:-1 gene:Dexi6A01G0018270 transcript:Dexi6A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPAFREMANTAKGKVCVTGASGFIASWLIKRLLKSGYHVLGTVRDPGNQKKVGHLLDLEGARERLELVRADLLEEGSFDDAVMACEGVFHTASPVVTKSDSKEEMLNSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRIKDESDLPTNVLLDETSWSSIEYCESLQIWYAVAKILAEKAAWEFAKEHKIDLVTVLPTFVIGPNLSPELGPTASDVLGLFKGETGKFTVYGRMGYVHIDDVASCHIMAYEAAGAEGRYICNAVVLDLDELVSLLATRFPAYRVPNSLPNIYGEQSYGFDTSKVRALGLQEFKGVEEMFDDAVDSLVAHGHLPAAKNASTRCVF >Dexi8B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:8B:645249:646813:1 gene:Dexi8B01G0000950 transcript:Dexi8B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLSRGGVDPTDPNTVLSFKQYDKPLIDLISTLPEGEKVILVGHGAGGLSIIHAMHEFVDRISQAFFVAATMLPFGFQTDEDKKDGLPTLPENEIELTLGEAADDPPTTIALRPEFQRDRQSQQSPEEESVLASMLMRPWPAKAISTASFEGDDERLNRVKRIFIKTQRDYMLDPEQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIVKSL >Dexi8B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:8B:16786396:16790199:-1 gene:Dexi8B01G0009440 transcript:Dexi8B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNCVDTSSGAKLQQQQAPTSPTASVSESNIVVSSTDPDANDALAGLQALKFDADIDVEIQSPDIAMWESLFAEQMGASGADFLMFSPRRDFMATGSPRRDFMVSSPKRDYMVSSPKRDYMVSSPKRDYMMSSPKREYMVTSPRRDSSPRRSTFSNFYSAGSHQQAYAHGVHGADQGSGGAGQPPQYGGLANHGKGKSQSPLQKVYINNAVHSNGGRSNGPSSLSCSSSYGHGESLSLPSMDPFLNDGGYLEAYQLPASKPGMGNGGATSATVTMVGPTSSQQLPTLSECLAMPEPLNVGGEEAAATVAAGGLPMGGALTADLYYGGHFGGDGLTLQHQMAKSDQWAADSSLHSMLGSVIQSEAEQEQDSGLQLVHLLLACADFVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLSLSSSNPSSSSTTSSGAATPRGGTGSGVAPYTFPPSPDTLKIYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAVADRLERLRPAALQRRVGEALAVNAVNRLHRVPAVHLGPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRLMEGRGFEPVPLSPAAVGQSQVLLGLYGAGDGYRLTEDKGCLLLGWQDRAIIAASAWRC >Dexi6A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:6A:5226686:5227104:1 gene:Dexi6A01G0005720 transcript:Dexi6A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTTLRTTTGFGLFAVSPNAHGKGPKTHGKAFAVTRITANPARQKTYRQRDLCREPYITLSANLCPPALVGPARLFAVSQRPDSRQREHVCLEPESGLTANMLPLPKGPKIA >Dexi2B01G0028430.1:cds pep primary_assembly:Fonio_CM05836:2B:37073542:37075122:-1 gene:Dexi2B01G0028430 transcript:Dexi2B01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMRRRKEATAVSSSGATFLSTPNAAAGRPPPRGPGVAAAASHPLRRPSGGDLPPGRLPRGLARASAACASFRRLITGAAFLQRYRSLHPPLFLGFIDTSFHPAEAPHPNATAARSISPAGFSFDYLPPTRCSWSPWDACDVRDGRVLVVSRPIVMGIMGNMGASFPDPAVCDPLFRRYRLLPPIPYGLLVSVHVQKQKLTSLEAFLIPGDEEDGASFRVIGRADSTKNKSLVFVFSSGSGLWSVGTTWDALNLGDSVAMLMAASTGK >Dexi1B01G0021980.1:cds pep primary_assembly:Fonio_CM05836:1B:27713140:27713376:1 gene:Dexi1B01G0021980 transcript:Dexi1B01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASKKGEVLLSRKLGLAPPEGAGADQQRPNLAAIFKGPMDDHYFAAMRDLFPAAQVLYDAELMAAAMEANGAMSLT >Dexi4B01G0021340.1:cds pep primary_assembly:Fonio_CM05836:4B:23331426:23332281:-1 gene:Dexi4B01G0021340 transcript:Dexi4B01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAPLQCFLTSRPVSSSTLPKLARRPSRISCKAAGGDEKDKVVPSGGDGLGVKLGKLAMVALAAGVLALGPVDGAMAAKSGGRVGGQAFRSAPRSSGPRINNNRTNIYINPPVAPPLGGYGYGGYSPFFGGGWGWSPFTFFAPGPSVAVGVGGGFDTLVLFMVLGVVVGAVRRFLNRNNDDDFDDY >Dexi2B01G0022650.1:cds pep primary_assembly:Fonio_CM05836:2B:32260650:32261530:-1 gene:Dexi2B01G0022650 transcript:Dexi2B01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDDGPEWMMEVGGGGGKGKGGGGGALDKNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDSLLCSYESLKKEKHALLKQLEKLAEMLQEPRGKYSGNAGAGAGEDVRSGVGGMKEEFADAGAGLYSSEGADVGGKFAHFTEDDAGGLFRPSPQQPAAGFTSSGPPEHQPFQFHSSCWPSSTEQTCSSSQWWEFESLSE >Dexi3B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:3B:2214707:2215216:1 gene:Dexi3B01G0003430 transcript:Dexi3B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLSRPPLPVPAHAAAAGADGDLLELDVLWPSGASASSAIGLGLLAALPEDEGKKKKRAAGGGVGGPVRSAARPIPEAAALAASGMARSAPVAAQGGGWDDAGEAMVPPHEIVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >Dexi1B01G0028580.1:cds pep primary_assembly:Fonio_CM05836:1B:33079385:33080937:-1 gene:Dexi1B01G0028580 transcript:Dexi1B01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKEKPRKEQEKEEEEELVLEDGGIEESPRRSFEDGDDYDEGGEEDDDDNDDERDSDGVGSPRSFQSRQWPQSYSGLGSDLKLPLVSDKVDGKQESVKNLPKTLGSIRDERISFHLQHTGEVYISQGCNGLMYLQALDFFPLLLRFMKLDGEALQF >Dexi5B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:5B:3835643:3837369:-1 gene:Dexi5B01G0005660 transcript:Dexi5B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSITRFAFVLALAIALSNEVVESRNFKILAQDGLPDLAKGPGLTVTSGKLCQLCEQYSAEALLYLKQNETQTEILSILHHECASLAPLKQQCITLVDYYVPLFFLEVSMVNPEKFCESVHLCKNGMKISLPTREGTCSLCHKVLVEVLVMLKDPNTQLEVVELLLKTCSKAENYEQQCKRLVFKYIPLILVKGQKFLETNDVCSAMHACKTGTQASIESMSLSATL >Dexi9A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:9A:1229240:1229767:-1 gene:Dexi9A01G0002290 transcript:Dexi9A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKPSDVGPSAAAPVTGVPVVGVRIPTPTTPSPIAVRTVSWSFGLCDCMGDCGVCCMTCWCPCITFGRVAEILDRGATSCCASGAIYGLLCCFTGCHWIYSCTYRSKMRAQFGIHVEPCCDCCVHFCCEPCALCQHYRELKKKNFEPELGWDLNVQRGAGADMYPPAAQRMGR >Dexi4A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:4A:7160078:7162685:-1 gene:Dexi4A01G0009070 transcript:Dexi4A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAFRPSAPRRAAFAALLTLLFLAALFFLLSSAPASTAHSSSPPSARLAAIRRHAADHAAVLAAYAAHARRLKESSSAQSLSFSSLSSDLSALSARLASHLSSSSLADDALRPLEKEARERIKYARALAADAKEGFDTQSKIQKLSDTVFAVREQLARARRGGRMSSRIAADSTPKSLHCLAMRLLEARLANPSAFADDPEPSPVFDDPALYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFSRRPPPLGVHVQLLAYSDFPFLNATNSPVVRQIEGGNRDVALLDYLRFYLPDMFPALRRVVLLEDDVVVQKDLAALWQVDLDGKVNGAVEMCFGGFRRYRKYLNFTQPIVRDRFNPGACAWAYGLNVFDLEAWRRDGCTELFHQYVEMNEDGELWDPTSVLTAGLMSFYGNTKPLDKSWHVMGLGYNPSISPEAIRSAAVIHFDGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >Dexi7B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:7B:15336299:15339031:-1 gene:Dexi7B01G0007450 transcript:Dexi7B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGDRVALPQRPRRLAAVVAILAALCSPAAVVVSARKVGQSCALDRNCDAGLHCETCVADGNVRPRCTRVVPVDPQTKVQFTNKTLLHAVRLAAFSRRRRRSRRHHSAKDLPFNRYAWLTTHNSFARLGQRSQTGVAIATPWNQQDTVTEQLNILFISPFYCRICCILVSDTGFCSCFDLCAQNGVRGLMLDMYDFRNDIWLCHSFGGICQNFTAFQPAVNVLREVERFLSRNTAEVVTIFVEDYVESPMGLTRVLNASGLLPYMFPVWRMPKSGGDWPRLSDMVRDNHRLLVFTSKAAKEAAEGIAYEWRYVVENQCASLSFALALALPPDKTINAGYLTCVLRVVADGTKGMVKGTCHNRAESAAMNDLSRSLVLVNYFRDLPNFPEACKDNSAALMDLLTACHDKSGDRWANFIAVDFYKRSDRGGAAEATDKANGGLVNGTCTTRHGKTPKGIFNASSDAAAWRPPPVLQWQRLVLLPVVVFAVLLRL >Dexi2A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:2A:29948764:29949806:1 gene:Dexi2A01G0017980 transcript:Dexi2A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAASRRLRLLARCGSLSPLLSAALARSSRGLRALCSLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRSFPIRGLYYDKLKGCLLKLDFFGSIEPDGCFFGRRKDTYVRM >DexiUA01G0028030.1:cds pep primary_assembly:Fonio_CM05836:UA:60231420:60236548:1 gene:DexiUA01G0028030 transcript:DexiUA01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLRWAAELGVSDSPLPSSPSAAAAPSSSSSPSSCIGRSLVVADFPDAGGRGLAAARDLRRGELVLRVPRAALLTSDRVMADDPRIAACIGAHRPHLTSVQILIVCLLAEVGKGRNSIWYPYLSQLPSYYTILVTFNDFEVEALQVGDAIWVAQKANSSIKSDWEDATPLMKELEFKPKLLMFKSWLWAFATVSSRTLHIAWDEAGCLCPVGDLFNYAAPDDTSSFEEEDIAEVERLTDGGYEDSNAYCLYARKKYKKGEQASAVVIARCVGGRHRAALQRAMRMQHGAMTAGTRLRTASCYGERCGGDNSIVARGGDREGRAGAWPL >Dexi7B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:7B:17574319:17576549:-1 gene:Dexi7B01G0010190 transcript:Dexi7B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRPRKGDRRIDAAIDRFKPMGYAECDIRGAVTELLKAIEAAPRGILPVGWLDRMAAEREGNGQLYGGASASAWRLLEEGSYQVVQDKLFDKEEEEKKKENEKLLLEGQENEKQEQPLLLEGQQGEEEPPQHQESAVDEAVPEHRKSIFQVHDEVPADTEAAREEVGDPMFIEPPPINAVVPQTVSMGTGRTRRPCYGWLSESEDEEEL >Dexi4B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:4B:2333223:2334590:1 gene:Dexi4B01G0003350 transcript:Dexi4B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVRVLNVAHVLPASPDQAATAAALPDDGGHDVKLSFMDAMFVNRVPMQRLFFYEGPNVPPFPSLVRSLKSSLAAALAVFHPLAGKLTHLASTTGDDDVFVDCAPAAVSPGVTFVEAEFAGTIDDMRRLAAGDEHHTETLMLLGPSLNAGRLPAPVLAVQVTRSVWQFMSAWSSMSRSPEASSSLTPPTFDRTAIRYPKSDEVARKFLRTVAPALPVARSPAMYTPPNQRRRTFLLRAGDIAFVEELILAQSKSINMEIATRHPSTYVAVSSLVWTSIVRAKSSPSELNLDPAAAGDDDAYFLVPVDLRRHLGSTIDERYFGNCVAPCYAVAAIGDLRDDGAGLARAAAAIVAGVRAGVRDDDPLGGAERWVERFMAVPKERLTHTGSSNRFMAYEVDFGWGRPSRVEELMSLFVRELVLLLGAGDGCVQVTVAMDHKIMEGFEANLFRVSSV >Dexi6B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:6B:22179893:22183049:-1 gene:Dexi6B01G0014690 transcript:Dexi6B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGEVDFDFSPFLIRYKSGRVRRLMGTSRVAAGGDAATAVTSKDVAIDAASGLAARLYIPSNALGTSEKLPLLVYFHGGAFCIHSAFSAAHFRFLNALVSAARVVAVSVDYRLAPEHPVPAAYDDAWAALRWAVSSCCAAAGSAAEEPWLSVHGDVARLFVAGDSAGANIAHNVAVRSGGGGSLPGGARIEGMVLLHPYFRGEELVPSEGTDPKFLEKAERSWGFLSGGRYGLDHPSINPLALTAEEWAKLGCRRALVTVAERDTLRDRGRRYVEALRGSGWDGEEVVLYETEGEGHVYFIDKSGGDGERAKKELAAVVRLTGTPCIIGHS >Dexi6B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:6B:3179480:3186878:1 gene:Dexi6B01G0003880 transcript:Dexi6B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTPGILRMNDDKFTFTPNDPRSAMKLNVDFRSIKGHKFNKVDGNKPALLNLSKDSDKGGGYMFEFDNVGNRDLSRDFVAKVLGKHQGIPPRPTMPPEISGSSTGLEQLSAAEVERRVKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDEANKASKQRPGFKTAMSDVRPSADGRTNKVTFNLTSEMIHQMTDIEFWRKYCRAEYLLRTKNTVAATAEAAEDEELAVFLKNDDILAKEAKLKIKRVDPTLDMAADAGDDYIHLPDHGILRDGSKETVDTDSEWAQRTLSQELNRHAAVVLEGITSDVELTDAKTVAEALTRSKKEPPSTSTVDDDSHERLVKVARMTEIEDLQAPRSVPYAPLSIKDPRQYFDSQQANALRSLGGSNDGRKARNCSLSTEEVFHHIMDQISSFKVNKSNCSVLESDMALKVILLNSLVHVLNELNEGISRSRRLNLKNPQEGLLGRVPQHTQDELMDHWTAIQELLRHFWSSYPITSAVLYNKVQRVKDAMTQIYQKLQAIKESAQPDVRHEISRLVKPMTQALDAAFSHDLEQQQKSAKAGNKPNGY >Dexi3B01G0029900.1:cds pep primary_assembly:Fonio_CM05836:3B:29208731:29209596:-1 gene:Dexi3B01G0029900 transcript:Dexi3B01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLRDVLYSHIKARESYERFMEIGCNPERAGNTVALLLWLDHGCNNVIRHVPGLTTTAVFHLANEAKGVIDCLSQNVLMVPPTPLMSALCQDNGIDPAAFAYRQDLLTGLLGRNMELEAPYAGCAPVIVPEDCRSMFITFSRNQAVERDEIFDYFRHKWGDCIVRVLLEKTNGGAQPMYGRVIFKSPAFVSLALNGEERVSIIIREREIWLRKYIPRQNNNV >Dexi3A01G0025270.1:cds pep primary_assembly:Fonio_CM05836:3A:20957149:20958022:1 gene:Dexi3A01G0025270 transcript:Dexi3A01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGRLGDDPELAALQDALAAVGATAPRLVYRKELQKSDLDSNQRRLLIPCEGADDGDARALTAFLTADEVDRVHEAYGFPFPKAKLDDRWSFFYRPRGQGIVVPVYDRHGRRFDMGLKKVESNRGYRFFGAEWMRFVRTNQLQEAMAAVAEEKGRKRKLEAEVWAFRSAELRPEFHAEGGGGDQHPDGVLGVAILV >Dexi9A01G0035790.1:cds pep primary_assembly:Fonio_CM05836:9A:40373739:40377092:1 gene:Dexi9A01G0035790 transcript:Dexi9A01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPSKSLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNTAVMELMRGLRNQLTELITGLGAQELGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIDYAKVVKMMGNRANAANLDFSEILKDDDVEAQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLYEYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGKAAQKNKGKISRSLAAKTALAIRYDALGDGEDNSIGIESRLKLETRLQILENKDLGKSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADVVLGQSTEETPKKSELTSKKRKHEAETAPSSEPVEESIKEDGDQERKKKKKKSKETEEINVAVADGEKKKKKKSKDTEEPAVPAAEGEKKKKKKKSDADGEDVAMQTEESGKKDKKKKKKKHAGDE >Dexi2B01G0030620.1:cds pep primary_assembly:Fonio_CM05836:2B:38898925:38899489:-1 gene:Dexi2B01G0030620 transcript:Dexi2B01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAPAMEDTKATASFSHSSNFLLHHHQQHHSQPHVAVFASQHPSSFDAAPIRAFAHLTCVHAVLDELGGAGRIHVLDRLRHRHGRAVAVADAGARATLKVTALVSSASHHPLELQLIHDNLSGFAQELVAITNGDVVASTSPWGRHTWPQCRPSSAS >Dexi3B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:3B:9009911:9010677:-1 gene:Dexi3B01G0012840 transcript:Dexi3B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIFRYNIFQRFALAATELNGIIYAAGGYDGSTYSGSAERYDQREGVWVRLPSMNTRRGCHNLTVLGESLYAIGGYNGDKMVSSVEIYDPRLNAWRMGDPMSSPRGYAAAVNLDGSVYLIGGLQSNVQILDTVEVYNPSSGWSVLGFSSLGKRSFASAVVM >Dexi1B01G0024420.1:cds pep primary_assembly:Fonio_CM05836:1B:29720600:29722204:1 gene:Dexi1B01G0024420 transcript:Dexi1B01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQPTVLHKLGGQFHLSSSFSEGVWARNICPSVSSYERRFATRNYMTQTVWGPSMSVSGGINVPMVSSSPLFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGECFKRTIKDEGFTSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFGGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGVAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >Dexi4A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:4A:1401322:1402701:1 gene:Dexi4A01G0002070 transcript:Dexi4A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAVPRPHAALVLLILFLAGASLAPPAAAEIRETLIRSDPRSIIPLDEFGFSHSGVLELNVSGIAFDPPASAELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQSDLVKLAFTFDRLRPPANPAGVEVARSSSFSTAFSVSDPGQYTLVFANCLGGGLKVDMDVRSAMYNVDPVTRERQYLSAGASSLPTFYFLFCLAYAGLAAAWVAILLRKRAAVFRIHYFMLAVLVLKALNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVAWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALQTITSYRYLWTSVVAGELATLAFYVFTGYRFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >Dexi8A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:8A:3146066:3148997:-1 gene:Dexi8A01G0004010 transcript:Dexi8A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIRAVRSLDAAVAVADAAQQGAHTASSTSFVVVFYSFHPLPPNLPLPNPNLPKHSLRSDPLFCFLSVQGHLVGISPSVAIPLFYLKFSGSLMLFYLRDDEELVDQKKYLEERCKPQCVKSLYEYEKCVKRVENDETGHKHCTGQYFDYWSCIDKCVAPKLLKKLK >DexiUA01G0001850.1:cds pep primary_assembly:Fonio_CM05836:UA:4774202:4774528:-1 gene:DexiUA01G0001850 transcript:DexiUA01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGGGRDCESDPDASTVLHVPGPCASMHRALAECHRRAARGPLQPEVLCRHLNRALAECVVTSCCPDETEAVRTLCGSSGTALKRTQCQRARIDLSLCLEAHQEP >Dexi1A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:1A:7869665:7871736:1 gene:Dexi1A01G0009580 transcript:Dexi1A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYFYYLCLLHFVLSKFSAFQLIGWTPLIELKNIAKKDGINARLIGKIEPYQPLSSVKDRSALRLIEDVEEKNLISPGITTLVAVTSGNLGIGVAFVAAQKGYKFIAVMPAKIAIDKQVLLRYLGVEVILVDPAINGFKGLLDRVEQLKNEMENIYVVDQFTNPANPDAHFRWTGPEIWKDTEEKVDIFVAASGSGGTLTGTGRYLKMKNPTVKLICVEPAESAVISGGESAYHKIQGIGPGFVPEILDTSQIDEIVTVTAEEAMDMARRLAREEGFLVGISSGANAVAAREENKGKMIVTMFPSGGERYLNSELFAQVKEECINLNMAF >Dexi1B01G0026330.1:cds pep primary_assembly:Fonio_CM05836:1B:31218484:31219512:1 gene:Dexi1B01G0026330 transcript:Dexi1B01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAERTPHEPVLIAFGAPPEGAHLLEEHLAAAGALLAGHEAHAAASGARVQGNALAAGAGRGTRHLAGLQRLGQLTGLVHPADLLGSAQVPPVGEHLRELCRGATAQRGVELVLEPGVHRQVALVDAHAVAVEDGPRGPAILITTFRPLSGGGGGGGPAGGASLALASFASILCWNALTRARTMPGNLCISRLFTVTPVSSPAAASGCFLAHSSMGGSFGGGDISSSAFRNAAMTSGSNSGDPGVDFSIRPSASASASLSSSSLSSRPSMSSQTLITSGSRTGRRRLGRTDAVVAPLHHLLVPVEAEGSEGG >Dexi2B01G0027200.1:cds pep primary_assembly:Fonio_CM05836:2B:36185758:36187268:1 gene:Dexi2B01G0027200 transcript:Dexi2B01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Dexi6A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:6A:4452910:4455245:-1 gene:Dexi6A01G0004880 transcript:Dexi6A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWTNAAPSAAGDSSIWATEDDYRQWNADSGYGDRNPSSRAGSEQPPPGKKARGGGGSGGGGGGGDGGGGNSTSKSRAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGMEELRKPPPNWQEIVAAHEESTEQREEHQIPIMTSGSVVAGDGGGGGGGGGSQGGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPTVGGGGYNAATANGGMVQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDGRDIASTPDSKQAGASAKAPADSAVASTAMPPHADVYHLGIQSQRSTIVSQRSGQMQRPIQKWKGPDKISRIYGDWIDETE >Dexi4A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:4A:31530:32747:-1 gene:Dexi4A01G0000030 transcript:Dexi4A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNLANHIQAFRQLNITVVASSPNSRHTDPKALVSKNYQGTCFAGPCIEDGKKLSWWMVDIGQDRQLMCNYYTVRQDGSTIFMRSWVLQGSMDGRNWTSLRVHGDDTTICHPGQFASWPIVGPPALLPFRFFRVALTAPAAGSVTNAWNLCICFLELYGYFR >Dexi3B01G0030060.1:cds pep primary_assembly:Fonio_CM05836:3B:29468036:29468305:1 gene:Dexi3B01G0030060 transcript:Dexi3B01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCQAQAVAGFNNCYASCTSQSSSNCTCDNPNASYCCKGCGSAISSAYSDCQRGYGGAYVYYCMVSCTNNCFKTCP >Dexi5A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:5A:2313695:2314060:1 gene:Dexi5A01G0003150 transcript:Dexi5A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNMTSNTTNEPKPGADVLIGGRYEVEHIDEEPDGVVGDVDGEDLAVPATATPRRAHPATSAWSTLIPVASSQRSKGTASRNAASTRTAPPLVASTPDARIRRRLTWSGRRSCGRCLDTA >Dexi2B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:2B:2055086:2057318:-1 gene:Dexi2B01G0002450 transcript:Dexi2B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSPAASTSASPPAAMLLHFSSPSHAARRLSTQHGHKSSSGSGNGLPLKPQVLAAVAAAAVLLVAVLIACCCCCCCRSKKKRKNAAHHHHHPPHKGMMFYADSSGFNGNTSGYYCPPGARPPWQQNQVGPTAAAPTSSTGGLAPPPMPPPPLPMPVGLDTTAFSYEELVAATGGFSEANLLGQGGFGYVHRGVLPGSGKEVAVKQLKAGSGQGEREFQAEVDTISRVHHRHLVALVGYCIDGARRLLVYEFVPNSTLEHHLHGKGRPVMEWTMRLRIALGAAKGFAYLHEECEPRIIHRDIKSANILLDNDFEAMVADFGLAKLTSVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELLTGRRPGDRSSYGQDGLVDWARQALPRALADGNYDELVDPRLDGDFDPTEAARLVACAAASVRHAARRRPKMSQIVMALQGEMPLEELNDGVRPGHGATFSSSSGGSGSEYGSGSGGSSYTAQMERIRRAALPSPEYSANYPGSIPEFGHPSPASSVATSAAERDDRRRHGGRR >Dexi3A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:3A:10599127:10599360:1 gene:Dexi3A01G0014570 transcript:Dexi3A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTRPRFLLPLLVQLLLLLSPAAGARWQDFLRLPSEGDGAAAGTRWALLIAGSNGYYNYRHQASLSCQFLLCYGS >Dexi5A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:5A:6499935:6506944:-1 gene:Dexi5A01G0008700 transcript:Dexi5A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQNKRSSLLESGNQGHSGKKARALAMPSGVIKQEQKEVGGVEEEEEKEEDEQEEGEVNQGSSAGAMVAVEDMETEPQLNVRLGLSLFHCRACHQPLKPPTFKCEAGHVICATCCNSHGEVCSAAAIFSPCVEVDAFVRDAKQPCAFEEFGCESAVVYFDAADHHRACRWAPCSCPDPGCGFLSSPARLVDHFAAAHSWPVTEVSYGKPHRVALPPPQGWHVLVGRDDRCVFLLSPCALGAAAAVALVCVRANGGEGVAQFNCKLWAESAAGSSGEVSMTMVMCEVGSSSMSGGFSAAEQEMFMVVPPRILHEVPGEVPFVMVRIDRTASAAVAAAAKLCVEVDAYVLFDCRHVLDASMFLASWQEERSGRFRLQSTLHASPPKSIVLHLAMSEQQRVSTPENGHGSKRTRAQAVANGGVKLERREQREASQGGDGEVEGEGALVVAVQAMEEPQIGVRISVSRLHCHACHLPLKPPTFKCEAGHVVCFPCRGSHGQYCASAAVYAACVELDNIVRDTKVPCAYEAYGCTSWPVYYEVEDHHRSCRCRPCFCPESGCEFFTSPARLAEHFASEHDWPVTKIAYQKPCELAVAGPQDREVLVAESDGCVFLVSTCAFGAATSLSLVCVRSIGDAAAAVPQFRCKLWAEVEANKENLSLVTSLVANSDLSGGFVAADQGMFLAVQPPLLHDESGEAPVLKVRIDKVPGGATASARSPSATLPLRKLTKRLQ >Dexi3A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:3A:5227934:5228916:-1 gene:Dexi3A01G0007570 transcript:Dexi3A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGLLVALWCLAAASVVSGEALPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFEEIKQTICSGPDECRALFGKALFVFGEFGGNDYSFAWKAEWSLDKVKTMVPAVVASLVRGVERLLDEGARHVVVPGNLPAGCIPITLTMYPSEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDKLQRRRPEARLVYADYYTPYIQFARTPHLYG >Dexi1A01G0023370.1:cds pep primary_assembly:Fonio_CM05836:1A:30039350:30042164:-1 gene:Dexi1A01G0023370 transcript:Dexi1A01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSLSSSGSSPNPEAVMEQIKAQLAQAYAQEFLETVGNKCFEKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSTQR >Dexi2B01G0023070.1:cds pep primary_assembly:Fonio_CM05836:2B:32632964:32636654:-1 gene:Dexi2B01G0023070 transcript:Dexi2B01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSDALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWMVVSGSVPPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPASVCALAMVFLREGPAGGDGGEEEDGRCFAAINALAVAIALYLLAADLTGVGGGGGVVSAVFVAVLLVLLASPGAVPAILAWKSWVKARKAANADLEEAEADSMAATPLLVAAGKAAAGRDGEDEARAPGGRPRLGEEHTIAEALTSLDFWVMFASFLMEVGTGLAVMNNLGQMGVAMGYADVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQVLMAAGYIVMALAMPGSLFVGSVVVGACYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATPVPGGGNTCVGAHCYRLVFLVMAAACAVGFGLDVLLCVRTKRVYAKIHQSKRARGSAAVAQRVS >Dexi5A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:5A:3229927:3233902:1 gene:Dexi5A01G0004280 transcript:Dexi5A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPARFKETTLINRRKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRSSIWKQMTEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPTSKFSYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLDAHELAAFSSAYAELESALSGLNVLIETYFADIPAESYKTLTSLSGVTAYGFDLIRGAKTLDLIRSSFPAGKYLFAGVVDGRNIWADDLAASLSTLQSLESVAGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEVYFAANAAALASRKSSPRVTNEEVQKAATALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKGKKISEEEYISAIKEEINKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSAEEIADRINKMLAVLDTNVLWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASAK >Dexi5B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:5B:3790414:3791799:1 gene:Dexi5B01G0005580 transcript:Dexi5B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALAERYDNVTGELRKNLPSSLQSQGSGISETDSETQSTPPSPEPNMEQKTPKQKRKTRAVGFDVFLGSGGSSDISKKGSDGSSSSSSSDSESEVDEASEENGNGVSYMMNGRIAELEEELQEARQHIEILEDKNRHCQCEKLEESLKQVSSEKEKIVAEVLANKNEIEDLKGELATVTGEKLQLETQVKELEQASHSLEDSSAEITRLQEIIKDLQTRLESDSNEKNALEERAAEFEHVRRQLEDSRTEVSELQATIKNLKDDLGKSLQEKALLQDRVKDLEQAIGDLNASVASLEGKLTATEAQLEQLHTQKAEASLESEKQISELNETIADLKKKLELMSSEKAAVDNKVSILLIDVTTRDEKLKEMDSHLHQLHLEHVKLLEEADAARKAVSNMRARVCELEEEVEKQKLMISDSAEGKREAIRQLCFSLDHYRHGYEQLRQLLQGHKRPMVMAT >Dexi2A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:2A:2562421:2563254:-1 gene:Dexi2A01G0003010 transcript:Dexi2A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEVMILHGLLVLGWFIASASSGRITPQEKAESVTPIPTLSPPEGNMTFIDGVTWCVARPGVSQEDLQNALDWACGPGGADCSQLQPGGRCYQPNTLLSHASYAFNIFYQQNGNSDIACNFGGAGALALGHATASSAMLGMAWMAMVAASLIGLQLIV >Dexi3B01G0037290.1:cds pep primary_assembly:Fonio_CM05836:3B:40070392:40071130:1 gene:Dexi3B01G0037290 transcript:Dexi3B01G0037290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQLVQNAYMRDKPASIEPFDMEILGQAFQLRETAPVDLPSAEKGVPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDRDKDKKKDKSGHHDSGGDHSKKHHDKKRKHEGTEDSADVLKHKKSKHKSSKTDEMGNGLS >Dexi9A01G0032590.1:cds pep primary_assembly:Fonio_CM05836:9A:37534435:37538674:-1 gene:Dexi9A01G0032590 transcript:Dexi9A01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGARAGTDENRSKVASETKLIKQPTWVFVEKESKYLIMWFSHYQVNDLREQIIRLTGHACHEEEEILRADLLEKLNRCKRDTLIELCRSFNIIGSRANRKEELVSFLMDFVMDHCSGIDGTYSDKKMKKRRRMKEEESLSSGKPSKKKKQEGEEEAEGRNGVEDRAKYYDCDLMDSRYIFNYNNKGKIPNEETNLAPSERINGRVSENFDGISLSEVPIPTDEQAMIATPYKKLVATADGGSNDVKAFKKKKALIAQKKGSPNKENRKVKSCGKQESKGDTQPQKQAMKPSKDELRKAIFLILDTANFATVRYTTPLIFKMFLLMTFGDVVKEVDKYFGKDLFERKPLIRSLIEEELFRLTEEAEKKELEEEELAKAKVRAEQAAKEMAQVRTTESDIDRPGVNGGASVESAVKRNSSDAAEGSQDHKTDAGTPNENIRDELTKDGNGEEATPIANGNSVIQVPNNSGVQTMKNSTVQTLENSKDGKVEGVSNGENNDTESSRNEEDRGGNVGSNAEVINGCQAEESNYHGNDDHAEHTEDGKAREAHNNRNSTNVEIHGDKDGEAKVRINAEQSQVDAGGNGKAEDDEHNTNTKVDVDSGENAAAENAKTDSDVKGNSDGAAIGSPM >Dexi2A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:2A:3398786:3400523:-1 gene:Dexi2A01G0003860 transcript:Dexi2A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKLDDSKKLFLSKAFGKMDATYPEELTDVMDKILKKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVSHPTLEGMRHIVALSYNHLPHELKRCMMYLSIFPEVYEIDKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFDERFDEYVLLDELYRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIEHGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTDQHVLHACKLYLLRFLSFKDTGISKMPPQVKKLEHLQVLNLQGTSIGDGGLSDNVTTLKKLERLLCDGWVLPKGIGKMKALRELDAVKLEDGVEIAQELGELEQLEAITIEVGDSVSKEVRQALATSLSLPAWIGQLTHLVVFSMWRARLNGDQLLGILFKLPCLKRICMGWLCCVDRELVARTNYRFPSLVNLEVSACETEEPGEFRFEQGAMPELERFAIHFYERQDQVSIVGMEHLTNLKEVRLMGFNSSPALEHALQQVKAEKSKREESQQFEVVVKY >Dexi4B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:4B:22819736:22821841:-1 gene:Dexi4B01G0020730 transcript:Dexi4B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHGGSGSKRQRSDEGAEQPDAGSLRIDTETRCRAGKGPAMAPLLPGASSLKKRRVGGVAEEGQAKAAAEEEEEDRISSLPEDLRLRILALLPLTSAIRTGVLSSRWRALWARRWPVPASLDLHHHPIDDPDLLHASLERRGRRRLDRFALTLHFGRHEPEPHRYLGDKDIHRCLEYAAPCNVEDLHVDISDHWVRISSMLSFPSGFSNLVRLSLLRVGSVSFGYSLAFPALEIIHIHSARSVDLDDLLSASPRLRTLDLRYVEFLDDDMGAIYVSPVRRCHLRSLTIAECNRITKIHAGRACGLRSLHLSSDLFPTYKIPSTALLEDLYICLRGHNYNPLKQWIKELPNLTNLKVLTICSNALRRVYALARFGAATCLTRLRSLPSLRELQLLMFAMASNNFAHIYMFLKTCRCPQLERLFVELPTSSHDTVVGNSSEAVEEDKPAEVSEEDDPDEVLSKEDEPDEELSEEDETDGDLYEEDETDGDLSEEDETEYMLEERPYCEDVYDEDPLDENVPQEEQSEEDVPEYGLNNLMIARMMKFKGQYHEMRLVSFLLRKAPVLKKLLLVAPKGHIKALGKDTVDISYAMESKLLRSRKSSLDAWIILSETDFGANQPVHSVLFPRF >Dexi9B01G0036530.1:cds pep primary_assembly:Fonio_CM05836:9B:38019395:38022819:1 gene:Dexi9B01G0036530 transcript:Dexi9B01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHQKVELDEYKTVSRVASQKTQGPEKNQKKTSDEKARGRMAPQKKVPMQETPQEKEPTEKQHPVKNDEHEPIPSFGNRNLLHGHLYHNDRNEDHQHDLYHQTKVTGKKTPQQEKHHIRIRMRKTDVEPDIRIIVGYECGSKIYPHPLSPIKRARARPAAPPDNLTFFSTRVMLSFPHQSTMEISRLEFAPRPSSVPARAMPSSWLRRARSGTRSRVPVPPFSATVCLPPPPVATAKKTVVRPSPIQGQAHDAKASGVRTDSCRSYFRLLPLQICNPMGDPSAQYRNQER >Dexi4B01G0022530.1:cds pep primary_assembly:Fonio_CM05836:4B:24081238:24081764:1 gene:Dexi4B01G0022530 transcript:Dexi4B01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDFTNGNGTGGESIYGDAVFADENFKLNHTRAGTLSMANYGKDSNGSQFFITTKLDGLHVVFGKVVAGMHVVHKIEAQGQSTGVPKAKVVIVNSGQLHVR >Dexi1A01G0031440.1:cds pep primary_assembly:Fonio_CM05836:1A:36424105:36425007:1 gene:Dexi1A01G0031440 transcript:Dexi1A01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWRSPPWLLLILLATVIVSTTSPLARAAGMARPGCQERCGNLTIPYPFGIGPGCFRGDLEVTCDNSSGRAYLGGEGTSIWAFDIFLLQGEARVHKHLTWICYNETDITDFNSSSLDLTGSYYQISHTKNKFTAIGCDTIAFIQGESRNTYTSGCMSFCSSKESVDTGDQCTGMGCCQTSIPANLTYFNTTFSTRLSASVVDFNPCSYAFVIETNQFRFDISDLAGNNFANKYSDGVPLVLNWVAGKGSCDEAKKNTSSYACRSKNSECVPSGSGLGYLCNCSSGYGGNPYLEDGCQGK >Dexi2A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:2A:31557163:31560793:1 gene:Dexi2A01G0019330 transcript:Dexi2A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAWAAAAAVWWWMAAARAGAVWLEIAPSGSKCVSEEIQSNVVVIGDYSVLYEHHHAHPNVSVKLWHLQQYFRKKKLI >Dexi2A01G0019330.2:cds pep primary_assembly:Fonio_CM05836:2A:31560229:31560436:1 gene:Dexi2A01G0019330 transcript:Dexi2A01G0019330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGDHVLVQVPPELGHVGLLGEEELVLLPAREDLDEGAPATVVPPPSTASRATTASRSAGSSAHR >Dexi2A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:2A:28810173:28811671:-1 gene:Dexi2A01G0017020 transcript:Dexi2A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGKKVLGWAARDASGHLSPYSFSRRVLRDGDVTIKVLFCGICHADLHVIKNEWHNAMHEIVGVVTDIGPSVTKFKAGDTVGVGYYVDSCRSCDSCSNGYESYCPKLVPTSNGVDSSDGSITKGGFSDVLVVIQDYVVRVPESLSPDGAAPLLCAGITVFSPMVRFGLNVPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVISTSPGKREEALDRLGADAFLVSRDPEQMKAAASTMDGIFDTVSAEHPVAPLLELLKPMGQMVVLGVPVKPLEVPAFSLVAGGKRMAGSPGGGIGECQAMLDFAGEHGITADVEVVGMDYVNTAIQRLERNDVRYRFVVDIAGSNLGTVA >Dexi7B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:7B:20628732:20629880:1 gene:Dexi7B01G0014360 transcript:Dexi7B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLYVGDSSGSGTSPSRYCTTCRIPGRAPGSGCEHSRPSLSTRLASRSEKSPSRRASTVSATAPARHRSSTQSTSTMRPSSCCTTTGFRPHATSSMKAPKANTSDALEAFPVCPSSGAMYPMVPTTWVVCGSVPWSYSRASPKSPSRAFISLSSSTLLALTSRSLYANLCGPNVASQSSLTSAYMRRRRRSAKTTAPTANTSAADAIGSTISSVLECGFGVVTGSLGREDVLRAGAEAPSMSKLQPST >Dexi4B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:4B:6556965:6558296:1 gene:Dexi4B01G0009150 transcript:Dexi4B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQQEIRKYQHQVQALEERLRMFEPDPVALASMNEVEATEKFLMETFTRVEERKKYLLCNHMGPFDPSPSDMQQHVFGMPPAPVPPTPQQQQHEQQQEAGHMGAFGVGADVAAWFADGMPGTTPSIFGGLDPIMAFREQAMFDMRRDGVVDPAGMAAMCHVDTNGGVGPSDDWQQAYTSAELLSALIPSTPFPLDDQVPRDDELVMSKASSSSVLQPTTRFSVHELEAVIQDAMVAPVLTPPPMAPLPPAHKHEPVEASGRCSNAPLGGDCAAVAQEHGGLPGGAVNLG >Dexi2B01G0028750.1:cds pep primary_assembly:Fonio_CM05836:2B:37311152:37312540:1 gene:Dexi2B01G0028750 transcript:Dexi2B01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTDDVLASILIRLPTLADFGRAAVACPTFRRVIADPAFLRRMWALHPPLLLGFLHLQGRVPHRRSADLAAAVQQPYLLDFERKFEVFLASSEQEEEAARQTAFRVVWMAQCPTKLVTFIFSSAGL >Dexi2B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:2B:1068787:1069127:-1 gene:Dexi2B01G0001620 transcript:Dexi2B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRPPPPLMGELVEEILLRFPPVDPASLVRAALVCAGSGAASREHLYEDEGDVLRFVPLVSFPGGRAFRHTNRRAIDARHGR >Dexi5B01G0021220.1:cds pep primary_assembly:Fonio_CM05836:5B:23519279:23521672:1 gene:Dexi5B01G0021220 transcript:Dexi5B01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIRTPRFQPRPASISAPASTSLAAADANARPRAGAAAVRASAASPFTEVTSSSRYRRDAWSYAADGNSSYAPSSSSDAAAAAAAAGRRDDEIALQLPELRRLLDAMRASRGRGDEGESGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFALLKDLVDEVKSADLVSPTLIIIGKVVSLSPFWVESSEHDALQIENSYATESR >Dexi6A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:6A:1563833:1564189:-1 gene:Dexi6A01G0001670 transcript:Dexi6A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTILLAAVAMAALFAVGSCAALTFKAGPGCSDKKLVLIPSIAISEVEVKEKGADDFTELKESPAGTWTLDSKTPLKTPLSVRFAAKSGGYRVVDDAIPAGFKSGASYKTSLQL >Dexi1A01G0024140.1:cds pep primary_assembly:Fonio_CM05836:1A:30768149:30769997:1 gene:Dexi1A01G0024140 transcript:Dexi1A01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGAHTTTSLHSPCTTVSNTGCFQQKQVIFFTSNRRSGRRHGGARTFFQVSCSVEKPVIIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRNGRKEKGVTALDPRANNFDLMYEQVKAIKEGLTIEKPIYNHVTGLLDPPEVIRPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDDVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYYGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIVAERAGAPAEAAKV >Dexi2A01G0037200.1:cds pep primary_assembly:Fonio_CM05836:2A:46803842:46804865:-1 gene:Dexi2A01G0037200 transcript:Dexi2A01G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGSNSYLGEGGFGCVYKGWIDEATLAPTRPGVGRMVAIKKLRKESFQGHREWLAEVTYLGELHHDNLVKLVGYCSDSDSNKVLVYEYMLRGSLENHLFRRGTQPLSWPMRLSIAVDVARGMAFLHGQESPVIFRDLKGYAAPEYIATGHLSVKSDMYSFGVVLLELLTGRRALDEPRGSTLVDWAKPKLKLGKQVIRIMDTRLGGQYSKRQAQEVAALALRCLDDEAKNRPAMDDHVLPELQRLLHHNKSGSSTTPVRTTGRRVSSKLN >Dexi9A01G0045670.1:cds pep primary_assembly:Fonio_CM05836:9A:49215218:49215663:1 gene:Dexi9A01G0045670 transcript:Dexi9A01G0045670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTLLAVPLLLVATFVVLTFADDANGDAAATSTGLHPYSATAAAGRRRAGCRTIRQVGKFAVSAYCLNTGARLAFVNVVGGQSQPYGGGARYRLVITVAVDDAGPSAAETTTTMAQYGVLVWGILGTTTWQLWYFAPNN >Dexi2A01G0031350.1:cds pep primary_assembly:Fonio_CM05836:2A:42118195:42119855:1 gene:Dexi2A01G0031350 transcript:Dexi2A01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALPDPEADASKKHSNVKYAFTCAIFASMASIILGYDIGVMSGASLYIKKDLRISDVQLEILVGVMNVYSLIGAFAAGRTSDWIGRRFTVVVAATIFFVGALLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPASSRGLLTSFPDFFINLGILLGYVSNYAFARLPLYLGWRVMLGIGAAPSVLLAFMVFVMPESPRWLVKNGRLADAMAVLEKTSATTEEAAERLADIKAAAGIPEDLDGDVVTVPDEDRNSKETQVWKELILSPTPAMRRILLSALGIHFFQQASGSDCVVLYSPRVFKSAGITDDNKLLGTTCAVGVSKTLFILVATFLLDRVGRRPLLLCSAGGMMVSLVGLGTGLTVVGHHPDAKIPWAVALCIASTLAYVAFFSVGLGPITGVYTSEIFPLQVRALGFAVGVACNRLTSGVVSMTFLSLSKAITIGGSFFLYAGIAALGCVFFFTYLPETRGRTLEEMGRLFGIADTGTEEAERTPGEEKVVQMAAN >Dexi9B01G0033220.1:cds pep primary_assembly:Fonio_CM05836:9B:35418082:35423323:-1 gene:Dexi9B01G0033220 transcript:Dexi9B01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSRVVGCFAPADKAGVDLDFLEPLDEGLGHSFCYVRPGAPADSPAITPSNSERYTLDSSVMDSETRSGSFRQDAAEDLAAAAAAAAGLQRPCRSFGETTFRTISGASVSANASSARTGNLSVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNSFLSGPLERGFASGPLDKGSGFMSGPLDKGVFMSGPIDGGNRSNFSAPLSYGRRKAGLGHLVHRISRPMKTALSRTFSRSSQNPGWVHKFLLHPMAQLPWARDAKSRSEGSQNGLEAGLPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLHKAIDKELEGLLWVYEESSERNDHVSTHEECEPVATSMDASHGDGCQFQIDNEKQEQLGNFEKHNVSASKDCDESALQVQPNCTSSEQKDLAIQVSNSQELGADEIVEETAEADLGNDLQSRESHNLDRDLSSTDEVLRIKAEHPDDPQAVFNDRVKGQLKVTRAFGAGFLKKPKFNEALLQMFRIDYVGTSPYISCNPAVLHHRLCANDRFLVLSSDGLYQYFSNDEVVSHVSWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >Dexi3B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:3B:9011260:9014017:-1 gene:Dexi3B01G0012850 transcript:Dexi3B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHYPNPNNQSATGLQIRNLPEKQLCGVIFGCKPDTIEECLTKQLFGLPSIHYSYVKNVKPGMPLFLFNYTDRRLHGLFEAASPGQMSIDPYAWNNEDSLKTPFPAQVRVCTKIKCPPMLESRYKTVLSKNYYDRHLFYFELDHAQTKALVSLFKSLAPANINRVPAVSNKQSIVLSLPPSKMKTPALPDPKKVKAKSKDANPFSILSSANDGVLGNCVDSDAENGSVSENSRSDTDEEESGEPVSDWEDLDDNVLQNQFSPHSNPDEFSQNSSYKTVCQGMELAEWSHAVIDPVNGETHTFDADTLVNLHNEHTGAGTVDKIESDVHNNPDGVELQPERQIILEKLKELFSIRQQVALSNHDAVESCSDQCVPEGKPVNANFSCDPLVSTVEDKISPEERHRDYAELRQIIADLDKRAAALEKKQKDTLVGLTPMSYPRSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGISLNSKIYAIGGGDGNETFSEVEMFDPYLGKWICGPPMLISV >Dexi5B01G0032870.1:cds pep primary_assembly:Fonio_CM05836:5B:33413151:33413718:-1 gene:Dexi5B01G0032870 transcript:Dexi5B01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPINIFNDDEPVEPTPLDGVEATNNGEHGVLVLKTHCEHPAIARDAAKENFVVLLHVKAPVAAAAEVSESERAPLDLVTVLDISGSMEGPKLGLLKQAMGFVIDHLGSHDRLSIVTFSCTAQRIIRLSRMTDGGKALAKSAVESLFAEGSTNIGDGLRRCSTAAGTRTLWRASSFSLTARTII >Dexi2A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:2A:25665541:25670011:-1 gene:Dexi2A01G0015080 transcript:Dexi2A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEAGPSGGNSGRAVLVTGGAGYIGSHAVLQLLTAGFRVVVVDSLANSSELALRRVRSLAGDHARNLAFHKVDIRDKDGLEKVFTSARFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEDICRDIYHSDPEWNIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFENSSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVIGQRRPGDAEILFSSPAKAEQELHWKAKYGITEMCRDLWNWASKHPYGYAASESPKLNGSSR >Dexi9B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:9B:15279023:15281365:-1 gene:Dexi9B01G0020570 transcript:Dexi9B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEGEAGDDYTKDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGVASNLVMYLTERLHQGTVEAANNVTNWSGTVFLTPLIGAFVADAYLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDAGAAACPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPAERLRKLSFFNWWMFTVFTGILFSTTVLVYLQDSVSWSWGYGVPTLALAASVAVFLAGTPLYRHKLPQGSPITRMGKVVASAVWKCRVPVPDDLAELHEVELEHYASRKTFRLDATSSSMAFLNKAAVKSEDAPGWTLCTVTEVEETKQMARLVPLLATMFVPCAVTAQLGTLFVRQGATLDRRLGRRGHYFQVPPASLGAFVTLTMLACVAVYDRALVPFLRKRTKNPRGVTLLQRIGAGLVLQVATMATTAAVESRRLAFAGTHAVGPGAPLPLTIFVLLPQFVMMGAADAFLVVGQIEFFYDQAPETMKSLGTAMSLMANGVGNMLSSALLAVVERATEGRGRTPWVGNDLNASRLDCYYAFLAALAAANLAAFAVLSCRYEYRAESTEAIGVTARVVHSESAAPVAP >Dexi5A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:5A:23579894:23582158:1 gene:Dexi5A01G0019670 transcript:Dexi5A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGEEAAAAGEELEPLFDYKRVQPTIKFRFDDTDLEKADIFKHCNKRPRAAAAAAEEEGKADEKGAAAKVVDIEEEDWLLPPPPKAAFKPSAEDSALRELRLKKQELAKFAESAHDILQELDATTKKEIGSKEPPEQIIIDEEPEPQVEIAREKIVISIQDKDGRQHIRVYKDEKFDKLLKVYAKKAKLNPSDLAFVFDGEKINLSSTPEDLDLEDDDMIEVCHKRR >Dexi5B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:5B:4485375:4487016:-1 gene:Dexi5B01G0006670 transcript:Dexi5B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHSIRRLIHSTLSQPNGQASRRDLATNAALQWLDDELASLALPKLDSYACARLLQRCIARGDARAGRAVHARVVRCGGLPRLDTFCANVLLNLYAKLGPLAYARRVFDEMRERNMVSFVTLVQGHALRGELEEAAKLFLRLRREGHEVNQFVLTTVLKLLVAMDAPGLACGIHACACKLGHDRNAFVGSALIDAYSLSGAVVDAGHVFDGIIRKDAVTWTAMVSCYSVNESPEDAINVFSKMRMAGFAFNPFALTSVLKATVCMSSVVLGKCIHGCSVKALYDTEPHVGGALLDMYAKCGDIEDARAIFEMIPHDDVVLWSFMISRYAQSFQNEHAFEMFLRMVRSSVVPNEFSLSGVLQACANIALLDLGEQIHNLVIKLGYESELFVGNALMDLYAKCKSIENSLKIFSSLRDANEVSWNTIIVGYCQSGFGENALSVFREMRAAQMLSTQDMLSMDEAWMLWNSSTG >Dexi9B01G0038560.1:cds pep primary_assembly:Fonio_CM05836:9B:39570292:39571399:1 gene:Dexi9B01G0038560 transcript:Dexi9B01G0038560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASSSALLRRLRRSSTVASSSVFRATFCSSSGSGPGPSQSAQLPSSIFGDDTEVANVPPLTTPKLFVSGLSKLTTDERLQGAFAPFGRILEAKVITDKVSGRSKGFGFVKYATIEEAEKARQEMNAKFLDGWVIFIDPAKPRQSKPAPQQDTRSSHAGFTTNKTVGWCG >Dexi4B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:4B:20333392:20335090:-1 gene:Dexi4B01G0017910 transcript:Dexi4B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGQDGFCWVLSTPKQSLGFILADGGFDVWIANTRGTKSSRKHTSLTPEDPAFWDWTWDQLADYDLPAVLQFVFNQTGGKKVHYVGHSLGTLIILAAFSEHKLLDIVRSAVLLCPIAYLHRMRSKLIRLAAHIFLAETIHMLGYHEFNPVGRVAQEVLGAVCTEIDCYDLFGAVAGPDCCLNTSTTCIFLQHGPQSSSIKNMIHMSQLVRKEGIRKYDYGNKKENMKHYNQPQPPLYNLSSIPPHVPLFHTHGGQDFLGDVPDTRHLLRTLVRQHDSDDIEVMYMPDYAHGDFVMGYNAPQLIYKPMVEFFKRH >Dexi3A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:3A:16547474:16548946:1 gene:Dexi3A01G0020910 transcript:Dexi3A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKAPVESAGRSIDTLPDGVLQHIIGFLPARDAVRTCVLARRWRDLWMFATGLRIISNCDDDVAELREFVDHLLLTRGIAPLETFELRFDGISADDFCEGDVLRVNLWCRHAIRCQGSGHKMLMKGSYSPVHTAAAISEHLKIVEVKCEVFDERVYKVLKLLCTFNIRKLINNAIEIFQYF >Dexi9A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:9A:917025:920324:1 gene:Dexi9A01G0001740 transcript:Dexi9A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGKFPLPLPLPRYRNNGAYRARRLQDLPFFIRRLFRELMDPQRTLPLVFRARMLMMVALSAIYVLSPVDILPESVLGLFGFVDDLLILLIVFLHLAAVYRSLLLYRHGGQ >Dexi6B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:6B:24018699:24022656:-1 gene:Dexi6B01G0016730 transcript:Dexi6B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNLARKPPASMAMASTTLLLSLALLASAAAALPSSDADAISRFQEYLRIDTAQPAPDYAAAVAFLRGQASEAGLEARTLELVAGKPLLVLQWPGRRPSLPAILLNSHTDVVPSEPHKWDHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRSAGFVPDRNIYLTFVPDEEIGGHDGVEPFVSSKEFKDMNVGLVLDEGLPSPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEVGLDIRIPPSAHVEALEKRLVEEWAPPSRNLTFEFKQKMSVLDNFGKPAMTPADSTNPWWLLLQEAVKSAGVKLGKPEIFPASTDARYFRKIGLPAFGFSPMANTPILLHDHNEFLSKDEYLKGIGIYESIIRILATHTDGAKDDESRAEL >Dexi4B01G0022800.1:cds pep primary_assembly:Fonio_CM05836:4B:24352553:24355965:1 gene:Dexi4B01G0022800 transcript:Dexi4B01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSESSRFVQELVLYAASAAFSCLVLVAGLRHLDPNRAASQKAAQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDHIARLCEGFTGSDILELCKQAAFFPIRELLDSEKNGRKLDKPRPLRQSDLERALSTSRKAKRAASSGLQTPLWARPSDSDDDQVQNAIFEISKLMSRIVQNSQSEPQEPSSP >Dexi3B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:3B:717970:718312:1 gene:Dexi3B01G0000880 transcript:Dexi3B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTIVVGVYQVIRPTGGRRPSSSTTSCCGRSSSSRTTYHPAMKQRESGAPSCVTPYESGEACSVLPACTHMFHKPCVAKWLRKRNTCPLCRATVLRPPAAVAAADDMV >Dexi1A01G0022740.1:cds pep primary_assembly:Fonio_CM05836:1A:29358857:29363006:1 gene:Dexi1A01G0022740 transcript:Dexi1A01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGGAHTRGHTSSSSQYSFRTSVSSAAEIVGVEEVEEASPPTVEERVFVAVPEEVRHGKSTLLWALENLAKDGARVVIAHVHCPAQMIPMMGAKVHYTTVNPQRVNDHRKKVRAEAEDKLDEYVKMCMRKKVSCEKLIIDNEDVAKGLEELIALHGITTLVMGAAADKHHSKKMKSLKSKTALRLMEAAPSSCKIWFTCKGYLICTREANTTVPAIPPSPAFTDASRSSSVSSAGSHLRSVTISHTHSESEASSSNGSPRHDLIRSRTEVGLYPSLEPISTASRLYESYGRPTSTPRSSIDSWGELGRRSENSWFDPSKNNDAVTIPGSEMRHQMQEPDDENFSPPSHELGNPGVDANIYNRLTEALSEAELLKKEAYEESTKRRRAERDLISGLQKAEEIEKLYQQEIRQRKTIEETLVRQAQENEEMKIQHHAISTELHEVKEQKLALEQQITVMASVIKDHEEKMAANKHLLHVLQTDNEKLQQERDAAVAEADDLRQKDGQENAMPFPVETLSTEFSYSELEQATQGFDGGLKIGEGGFGSVYKGFLRNTTVAIKLLNPESMQGQSEFNQEVAILGRVRHPNLVTLIGACREALCLVYEFLPNGSLEDRLERINNTPPLTWQVRTKIIYEMCSALIFLHSNHPHPVVHGDLKPGNILLDANFVSKLGDFGICRLLSQSNTAAMSQTTRSITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSLGIIILRLLTGRPPMRIAEVVEDAMDRGDLHAILDPTAGSWPFVQANQLAHIGLRCAEMSRRRRPDLAAEVWKVVEPLTKAASLTAGRLSFPPSLDDAQAPSYFVCPIFQEVMSDPHIAADGFTYEAEAIIGWLDSGHDTSPMTNLKLEHFELTPNRALRSAILEWQQQLQLQHGT >Dexi5B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:5B:7966899:7968543:1 gene:Dexi5B01G0011260 transcript:Dexi5B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDFADADADAKPSSPKKARPGGGRRRRCCCGGCDGCRRGDGQWDLDAETPVTMEGTPPHPLHTPHPSKQLSFFHRFMASTFSAAGDGLSIHCAHLSTSRTTA >Dexi9A01G0023920.1:cds pep primary_assembly:Fonio_CM05836:9A:19390966:19392734:-1 gene:Dexi9A01G0023920 transcript:Dexi9A01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMATNGMAPSFFPANFLLQMQQPLPHHHPQQQEHHHHHQHHHDGHEHHLLAPPPPTLVSPFLHDFGGAMAAPPPMLGGGLGKRMYPGDGGDDDNNLNAAADPQQQDGGGGASDDEEGSAAGGGCGGGGERKRRLSVEQVRTLERSFEVANKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQLDAARAENDALLAHNKKLHAEIMALKGGGGGGGGGRQEAASELINLNVKETEASCSNRSENSSEINLDISRPPPPAPAADGTTHRGGGLPFYDQLLHSGHPSPAVPKMELGHGTTAGDTTPAATAAGGSFGSLLCGAVVDEQPPFWPWADGHHSFQ >DexiUA01G0009010.1:cds pep primary_assembly:Fonio_CM05836:UA:17106401:17117796:-1 gene:DexiUA01G0009010 transcript:DexiUA01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRALNLNPTLLPPPPPPAAAFHHHLAAAADDTRLPLLAADYALLQPSVSADEAPAAPTSAEWSAGSAFTAASSDAGATTATASSTATAPGSLTAAAEDGGRDTWNATN >Dexi8B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:8B:22823284:22823571:1 gene:Dexi8B01G0013010 transcript:Dexi8B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERAVLDAMESLELGASHIEPSRMTLYRWGNTSSSLLWYALAYTEANGMVRRGHRVWQVGFGSGLRSKCNSAVWRALRDDDPSAEDKGTSVPC >Dexi4A01G0023660.1:cds pep primary_assembly:Fonio_CM05836:4A:26682827:26683494:1 gene:Dexi4A01G0023660 transcript:Dexi4A01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSIIAAVLLLLLWPLCHHRASAAEYVVGDVVGSGWDSGVNYAAWAREHTFAVGDVLVFEYVSSQHNVYEVNESTYKSCDTGAGGSNGVRAMYTSGYDTVVLAEARAYWFICNFPGHCLGGMKLAVNVSASGSGGPSPAVSQTQTDGNSNSAASIAGEGRRGWVALGLALVAIVLMNCPSFAAWQ >Dexi1A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:1A:4682051:4685911:1 gene:Dexi1A01G0006230 transcript:Dexi1A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDTTAAVPVATEAKEEVIFRSKLPDIEINNSQPLHTYCFGKMGEVADRPCLIDGQTGASYTYAEVDSLSRRAASGLRRMGVSKGDVVMSLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVRGFAAERGVPVVTVDGRFDGCAVFADEVLAADELEEDEDIHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFTKEDVILCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFELGALVDLVRRHGVTVAPFVPPIVVEIAKSPRVGADDLATIRMVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFQVKSGSCGTVVRNAELKIVDPDTGAALGRNQPGEICIRGQQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDEDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSLKDDLAGEIPVAFIVRTEGSQVTEDEIKQFVAKEVVFYKKIHKVFFTDSIPKNPSGKILRKDLRARLPGVH >Dexi5B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:5B:1673279:1673584:-1 gene:Dexi5B01G0002650 transcript:Dexi5B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRCSSAPPRGTKQWTPQELAAAKVFARAAVENVEAYMELTGADVEEEYRRAGKLHKYEPAKELDKRFARVIKKYPPPPGLVPDIDRYLKLLDNDEDED >Dexi7A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:7A:28490901:28493106:-1 gene:Dexi7A01G0019240 transcript:Dexi7A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYGQNVRRKSHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYETNGRQVPQELVQKIGKVFEAILEETGKLREETNEDISIAKAITIVMDRNPHLRQEGIAHEVLQWYLCRMEGWFATDADSISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHKVVEIVRHRNRVEVTVSNGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAVRELSVGIENKIVLHFSQVFWPNVEFLGVVSSSTYGCSYFLNLHKATAHPVLVYMPAGRLARDIEKMSDEEAAQFAFSQLRKILPNAAAPINYLVSHWGSDENTLGSYTFDGVNKPRDLYEKLRIPVDNLFFAGEATSVKYTGTVHGAFSTGIMAAEECKMRVLERFRELDMLEMCHPAMGEDSPVSVPLLISRL >Dexi9A01G0025560.1:cds pep primary_assembly:Fonio_CM05836:9A:26180487:26191402:-1 gene:Dexi9A01G0025560 transcript:Dexi9A01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATHALRVRPLLATARPTPLRATAAVCRGPAPAFVVVRCSSAGAPSAAQAFKINLIPTKPVDGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDFVGGTLVLGGDGRYFNKDAAQIIIKIAAGNGVGKILVGRNGMLSTPAVSAVIRKRAANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSIGVVSYGDFTIEVIDPVSDYLDLMENVFDFQLIKNLLSRPDFRFIFDAMHAITGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAQAAIPYFQSGTNGLARSMPTSGALDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKNVGDRLVSVEDVAREHWATYGRNFFSRYDYEACESDGANKMMDHLRDVISNSNPGQKYGDYTLKFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQYQSDISRHGLDAQTALKPLIDLALSVSNLKDFTGRERPTVIT >Dexi6B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:6B:389476:389829:-1 gene:Dexi6B01G0000580 transcript:Dexi6B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPHGADVVKLSIDEDIKFQEAVKKQETIAGKTGHHLPWTWHKKHESREEKKTELINVTKHKHVDEKIVPGPHGEQIEVLSEDEDIRFEEAGQNEEEFEKSKAHITKN >Dexi8A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:8A:283459:284307:-1 gene:Dexi8A01G0000380 transcript:Dexi8A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACLFGPLGPISSLTPEHRRRRSPSPQLAPATPALEDSSRSNHIMRFAGWYLKIAAVGASIGAGMELFMIHTGFYDKVTVLESEKRAWESSPEAQAMREALNPWRKHDEQQRK >Dexi5B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:5B:7617095:7622181:1 gene:Dexi5B01G0010770 transcript:Dexi5B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAELALSLRAAANVCLSRYEPLVLVAAPLLALLVARVVHAAASAVADRGIVVIAIDTVKLLPGVSSYIAAEKKKISSKYRGFSSLLLYQVVEKMQSGGTSTKNNRRAELPTIGLAEQVIKDLETLKANDVEWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKTVAQMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMQSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKDFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIQPFDFSVKGVTSISSDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWTGGLYVSPTMAGSRPGGLIAGAWAAMMSLGLNGYLDNTSRIMDVSKKIKRGIEEIPELFVIGKPNMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTAIYGEFLKDLQDSVNTMKANPGPISGGMAPIYGAAGKMPDRGTVRELLVEFMDSSC >Dexi2B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:2B:4814136:4815706:1 gene:Dexi2B01G0005120 transcript:Dexi2B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKADGIRNLLLKIVFPLAFPLAGAFICDLITNRADRHSGYTDSSESSFQLGQSIGSIHEGEEEEMESTRRRASRRLARSESVCSTTGRLLINELARQASNAEEVMVVEATENSSEAAANKQLQDDQRMATDEIASLKLMVSALEERACSMEAQFHDYCDMKEQESAYQKMQIMCLGMKLELLESQNQRLEAAAVEIRAAAEEFAAMKGKLDNLQSKLKKITKRSKQDSDALGEKILALDAKQSQMARRCEEFEQCMEEMKQLTLQLQEQKAAANNENVEVVVERSLRNLSSGRDLVDGLEALRDRWAAGMEEMIYLGWITAWLQHDLMFVDDDDDGSTVLGGSTYEDDDHGRRKGGYPEEEEERKKKGETMVAAAAPSNEVELCKAASVSSSGSAGPRRSVEVEPPPASCLGFAAAGGRSGREGGGGGWSIGRPRLLRKLRGWAAAGGNAGDRGKARCRIAGPCCQK >Dexi2A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:2A:5237227:5237637:1 gene:Dexi2A01G0005420 transcript:Dexi2A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSAASAAARRRGTLPLPPWDLARRRAAASGHRGTRRRPRRSSHPCAPSMHVYSCCLRNRRMVDGIDGWWPESTDGGQNRGARAVEKRDGGEQLHSEGVDEEQRGDRLYGKGVAEEQLGDGLVLLLVVGRAA >Dexi9B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:9B:9603254:9605608:-1 gene:Dexi9B01G0014350 transcript:Dexi9B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPVASPIAAPVFPSPRPFMAMALPQAGLLDGSSEGPSSAMSPTSILETKQFCCSAFPPFLSERSLRRAAHQVDAAAAPEPAGVGLADVLRDHGEGKLGGGKVVFGSQLRIQVPSVRAFELVSSPIEFGAKNRDAQLAVLSPARRFLPEVVSSPSATRVFAGAVTPGREMAMSEDYTCVISRGPNPRTRHIFDDCIVESRGDDALVEKMDKGACAADDSGVAVASGFLSSCHACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >Dexi5A01G0031940.1:cds pep primary_assembly:Fonio_CM05836:5A:34490253:34494084:-1 gene:Dexi5A01G0031940 transcript:Dexi5A01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQGADKRPRKRPRLAWDVAPTLFQPPKAIPMLYCGQELINGNFATTFLPPPPIYYPGPPRNLSPPWRPDDKDGHYVFVVGENLTPRYRILSKMGEGTFGQVLECWDLENQEAVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQILESVAFMLDLRLIHTDLKPENILLVSSESIRVPDYKVSIRPPKDGSFFKNLPKSSAIKLIDFGSTTFENQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSVSLLSLRCQNWPEGATSRESMKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPNERLKAREALRHPFFTRCIRRCGF >Dexi8B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:8B:25358328:25358766:-1 gene:Dexi8B01G0014710 transcript:Dexi8B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYYFHGNLTSLRHKWQLQVPLLADEIFFIALSDGTICRHGRQSCNMSGGNEPLVMAAMNNVSFQLPLSPPREFNYTDFSLVASSAQLEATEKRMVGRHFRHGAVVDLLFQNTALM >Dexi9B01G0025470.1:cds pep primary_assembly:Fonio_CM05836:9B:25962544:25963125:1 gene:Dexi9B01G0025470 transcript:Dexi9B01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPYKWVGQTWRRRESGRGSEARWSQDTNDGSPLRVESSSAGVSMAMPPPPMWSAPTRALPPPPHTFVTANLMALSRYKTRRLWRRRRRSAKKGGSGNSSSSSRACYAKGYERASRWFDMKRKAERDEKLGSGCVGARLLCEAVSFTAAAGSREFRDAGRRRRLQRGLERRGIGWVGYEMGRVGSQSDRES >Dexi1A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:1A:22666274:22666659:-1 gene:Dexi1A01G0015580 transcript:Dexi1A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRLDPSEKVVELGSRSFFLCPKVAAASVSSSSTCPTEASEATANPADRPNMLPPWLGFMHHFWPLLQRSLRSDENTCKL >Dexi3A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:3A:4336042:4338024:1 gene:Dexi3A01G0006550 transcript:Dexi3A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTAAGLSITAAARASPPPQRRRGNLQLQQRRRRPTPAADFSASGKAANQTSDRARAANAAAMDGWAAELEAPVAVVTGASRGIGRAIAVALGKAGCKVVVNYAKSGMEAEEVCREIEESGGTAISFAADISCEADVESMMRTVIDAWGSLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAATVMMKRRKGRIINIASVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINVNAVAPGWVASDMTAKLGDDVEQRALETIPLGRFGRPEEVAGLVEFLAVHPAASYITGQVLPVDGGLSI >Dexi4A01G0023310.1:cds pep primary_assembly:Fonio_CM05836:4A:26433425:26435211:1 gene:Dexi4A01G0023310 transcript:Dexi4A01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVNLFRTGKADLVRESELHRFAAAAELVDEVIALDDAWRRQRFNLDKVRQELNAASKRIGKLMTATGNQDEEETKKLMEHTNGIKKRQAAMEAEVDEAKAALDAKLMAVGNILHKSVPVCDDEANNVVLRRIGDQRMEEGLKNHRDLCVMLDIADLKKGASAAGGRGYKLMQTPHMMTKEAMAKCAQLSQYDEELYKLEGEGKFLIATSEQPLACYHQGEQIHPDQLPIRYVGYSTCFRKEAGSHGRDTAGIFRTHEFQKIEQFCITSPDGDCSWYMLEEMIKNSEDFYRELGIAGQVVNVVSGALNNAAAKKYDLEGWFPASKTYRELVSCSNCTDYQARRLGITYGQRTRDERCKKYVHTLNSTLTATERTLCCILETYQKEDGLEVPKVLQPFMCGIEFLPFKKTVDGKPDARSKSNK >Dexi7B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:7B:24324023:24331294:-1 gene:Dexi7B01G0018670 transcript:Dexi7B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSFSGLEVWRIENFKPVPVPTSAHGKFYMGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTSAILTVELDAALGGRAVPFARSSLNHEDIFILDTKSKIFQFNGCNSCIQERAKALEVMQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWALFGGFAPLPKKSPSEDNGNERENVVKLLCINQGNAEQINFDSLVRELLESNKCYFLDCGAEMYVWMGRSTSLQERKGASEAAEVTSSLLKSQGLDVKGLMKAAPVKEEPQPYIDCTGHLQVWRVNGSDKALLSTPDQSKFYTGDCYIFQYTYTGDDKEECLIGTWFGEKSVEVERASAMSLASKMVQAAKFQVVQARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKNFIAENDIADDTYCEDGIALFRIQGSGSENMQALQVDAVCLGVFYYVLYRGMFLCCVGLIEILMHGSSYQRKLAVLKGRAPPSLDEEVRDKINLSAPEVCPPAQIVPVFEEDLQPSTCESEIEDNEGRTIYPYERLTTTAEYPVPDIDVTKRESYLSSAEFREKFSMAMAAFYKLPKWKQNKLKSGVQLF >Dexi3B01G0020840.1:cds pep primary_assembly:Fonio_CM05836:3B:15776426:15778311:1 gene:Dexi3B01G0020840 transcript:Dexi3B01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFNCCSIHMMYHAKHFSVPFGQQRAQNNEHASNIGAIGGSNINNPANPVGGGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGGSIKASEDQKLSHSPPSLDEYPESTQPSPKKPRIDALSPDSERDTTQPEFESHLIGPWDQEICGKNICGVAFPVEEFKADPGMSKS >Dexi7A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:7A:29873556:29874969:-1 gene:Dexi7A01G0021160 transcript:Dexi7A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQSFAWYTMAMLFAAAVVSAQLSTDFYDETCPNALDIIKSAVRAAVSKESRMGASLLRLHFHDCFGCDGSVLLDDAPGFTGEKTAAPNKNSIRGFEVVDDIKSQLEDACAQTVSCADILAVAARDSVVALGGPTWDVELGRRDGTTASLDDANSDLPKPTMDLSDLINAFSKKGLSETDMIVLSGGHTIGQARCVNFRGRLYNETTTLDASLASSLKPRCPSATGNGDNNTSPLDPSTSYVFDNFYYKNLLRNKGLLHSDQQLFNGGSADDQTKAYAADMAGFFDDFRDAMVKMGAIGVVTGSGGQVRVNCRKTN >Dexi1A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:1A:7005541:7007124:-1 gene:Dexi1A01G0008860 transcript:Dexi1A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPQLLLGALLFLLPAALILLLRARGKRPRLPPGPPSLPLLGSVVWLTNSPAAIEPLLRKLFARHGPVVALRIGSSRLSVFVSDRRLAHAALVERGAALAHRPALASVALLGENANTITRASYGPVWRLLRRNLVAETLHPSRVKLFAPARAWVRRVLAEKLAAAPPAGGGPVVDTFQYAMFCLLVLMCFGERLDEPAVRAIAAAQREALIYRSKNMPVFAFFPAVTKRLFRSRLDKARALRRRVTEIFLPLIDARREYKNKNRNGGGEAKKKEETTFEHSYVDTLLDIKLHDEDDGGERSLTDDEIVVLCSEFLDAGTDTTSTGLQWMMAELVKNPSIQEKLHSEIKATTGDDQEEVSEEDVHRMPYLKAVVLEGLRKHPPAHFVLPHMAAEDMEVGGYTIPKGTTVNFMVAEMGRDEREWENAMEFSPERFLPGGDGEGVDVTGTKAIRMMPFGVGRRICAGLGVAMLHLEYFVANMVREFEWQEVAGHEVDFAEKNEFTVVMKKPLRPRLVPRRPRSSTATQ >Dexi9A01G0040850.1:cds pep primary_assembly:Fonio_CM05836:9A:44553224:44555364:1 gene:Dexi9A01G0040850 transcript:Dexi9A01G0040850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFGKSTSKQTTKLKTLVKLTATRLPVVRRPRVGRRSITRSDVGQLLSIGHLDRALLRAEQVIEEDNVLEALNTIESYCTILTQQAAQLDNPKECSDEIKEAAAGLVFASARCGELPELLDARAILADKFGREFVAAAKEGSLDVVAPTLVQNLSGERASLEQKRRLVKEIAAENDILLEFPENPVEIRQAGRTTSQSNNGRSERHHVGRTTSQSHGQSERHQVGRTNTQSNSQRERERSWNVPAREFVEQGAVKTDRREGTQKSVDGKVPSLAQLSLDEKVPRESNKYLDARMAAEAAFESATFAAMAARAAVELSRTESQGKGPRGSGFNKVPSVQTTATPPEQRTAPPSRRPPQKSPSPSPSWSDRSTATSVGSDAAYKGKEVVFDQSDEELEDVVWPPPPQQQRRASYRRAASTVDTGVGAGPWHGNAGTRPFQDGARENNNHPPQHRRHATEFADGGSLHDAMGGGQRGGQYVAPPYRRNPASSTGRNSDAAYESSAYVHPPYARIVSALERSNEHIARHEEVRRIGTDGRVLQERVYGAAAASPGQGQAQARRPLDPDSRANSVRTRR >Dexi3A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:3A:2389560:2390188:-1 gene:Dexi3A01G0003610 transcript:Dexi3A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGSSNNNLCVLLLTVTGVLFYWATTTTTSPPPLQMLQIRAAVDSATLSRLIVPNKYNDSYHLAVKLSLYNDDPTTTSVVDAELIRFCATAVTGTTSDTSAPEFFFRQQGSSMTSTAEVTLVFDYGRRGDVAADELVKKEMAKSSGRRDGEVGVGAGQGPGGASLAASGDRCRVKYP >Dexi2A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:2A:9070866:9075860:1 gene:Dexi2A01G0009030 transcript:Dexi2A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRESGGGANGGGGAAAARRAEAPCQICGDEVGVGFDGEPFVACNECAFPVCRACYEYERREGSQACPQCRTRYKRLKGCPRVAGDEEEDGVDDLDGEFGLQGGAGHDDDPQHVAESMLRAHMSYGRGDAHPGFAGPVPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSLPVQPRSMDPSKDLAAYGYGSVAWSDRMERWKKKQEQLQHVRSDGGGDWDGDDADLPLMDEARQPLSRKVPIASSKINPYRMIIVIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRDRRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLSCCCSRNKNKKKTTKPKTEKKKRLFFKKAENPSPAYALGEIEEGAPGADIEKAGIVNEQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELSNVASIWFMALFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Dexi9A01G0032900.1:cds pep primary_assembly:Fonio_CM05836:9A:37746096:37749658:1 gene:Dexi9A01G0032900 transcript:Dexi9A01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSSKKKQKQLSAWQFLFGGCLHGSASGAGSKVRPGPRPSTAAAKQQPSSPAPATSSSGLQQRLSVTDVMSTCSDQDLSVSLVGSNLQVFTVGELKAATQGFVDSNFLGEGGFGPVYKGVVGEGAKPGLRAQQIAVKLWDPEGTQGHKEWLSEVIFLGQLRHPNLVKLVGYCSEEEHRLLVYEYMPKGSLENHLFKKFPPVLSWSTRLNIAVAAAKGLAFLHDAEKPVIYRDFKTSNILLDPDYEAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGQRAVDKTRPSREQNLVEHMRSWLKDPQKLGRVMDPALEGKYPATAAHMAALVAYRCLSGSPKNRPDMSKVVEDLEPLLSITDDAPGEPVVAQEDAKKERTRRRDGDQREKVRAQSKAAIRSPRRSVPRRQAAPGQSQEFWEWHMPAQTKP >Dexi2B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:2B:11691110:11691640:1 gene:Dexi2B01G0010430 transcript:Dexi2B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAGAPTIRGGCVGRVRGGCVGWDRISRRTMLAGSTPIVRDPPGTGADPCGWNSNHARVAAAAAASPTSVPHGGNGDGDRRTARRDPWTARWEDGEAGYTDGESGSTDGATGGQRGGMDGESGIRDPRTAQREDGEEEEWESALEVDDGEGRSTTVRGGGISWCLGSEGRRSVL >Dexi9A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:9A:13399316:13401276:-1 gene:Dexi9A01G0018340 transcript:Dexi9A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGAEPTVLGLGLSSRDGGGGGGGRAAAAAELPAVDLAMHPSGLVPTLQNIVSTVNLDCQLDLQQIANSARNAEYNPKRFAAVIMRIRDPKTTALVFASAKMVCTGAKSEEHSRLAARKYARIVQKLGFPARFKDFKIQNMVGSCDVKFPIRLEGLALASGQFANYEPEIFPGLIYRMADPKIVILVFVSGKVVLTGAKVREQIYTAFENIYPMLVQFRKRQYR >Dexi5B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:5B:21905026:21909073:1 gene:Dexi5B01G0019580 transcript:Dexi5B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAALVSTATRGAAAPVVGASTALGRPVALRVLFCCSLVRLWRRLAAALRVAAKWLHPRDNTRGCILLAAVCAVALLLRGTAVARHPGRARGAGSAYRRKLWRDTMRAALTYEECAHAAGMLEREAAPRRRDSDDASDIYDEELVRDKLRQLRQCQEGSLRDIIFCMRADLLRNVVNPELHKGRLQLAELAEMELKHRCNQVMELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKMLQNPSYAELQKAANQGRRCTWEKLLAIKANSAIELALDECVARLNHSRRLKRSVERASASQGHGRTTRLCPSRRVPSWNRIVRENSTSYGSNMDALLQTHTDVYNPLMRKRRHRQTPPPSAATPFLCSLSPCHRWSQPQESRAAHEDDDG >Dexi3A01G0024410.1:cds pep primary_assembly:Fonio_CM05836:3A:20058781:20063495:-1 gene:Dexi3A01G0024410 transcript:Dexi3A01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNVKPNGRMSLAFVGCFDNNRKGPVIINSSRTPMNALGSYPASVASCELVMGFTASELYWDGGT >Dexi7A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:7A:21856039:21860478:-1 gene:Dexi7A01G0011300 transcript:Dexi7A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPLDEAKARNVLRQVEFYFSDSNLPRDGFLRKTVEESEDGLVSLSLICSFSRMRSHLGLEGEVKPETVPEETVLAVADVLRRSSSLRVSEDGKKVGRAKELLKPDEVIEQVDSRTIAASPLPYNVKLEDVESFFSQCGKVNSVRLPRHVSDKRHFCGTALVEFSEEDEAKSIFEKTLVFAGVDLEIRPKKEFDAEREVKKEAYEKTQLNKNSGEGYRKGLILSFKLKKIPADGGTEQNGGDKVDDTEGATKEGSSNITEKPSIGHEEKASEDKGDVNEEQSDVVEEVKWVATGETTQSVDKDDKSPSDNDEDIISREDIKEEFTKFGTVRYVDFSKGDDSGFIRFEDSTTAEKARAFAAIADEGGLIMKAHIVTLEPVSGEAEKEYWSAIRGGQDKYKDSRSNRGRDWKNNRGGRHFGGGKRGRFDSRDRASNKAQKV >DexiUA01G0011600.1:cds pep primary_assembly:Fonio_CM05836:UA:23147705:23150456:-1 gene:DexiUA01G0011600 transcript:DexiUA01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGAVSGVVSRKVLPACGGLCYLCPSLRPRSRQPVKRYKKIIADIFPSTQGDEPNERRIGKLCEYVARNPHRVPKITSYLEQRPLLANSLLSITQTLLDQSREDGMCIIGCETLFDFIVTQVDGTYQFNLEELVPKLCKLSQVVREDEKANALRAASLKSLSAMVWFMGELSHISSEFDNVVEVVLESYEPQKAQNENRAPENQGGQWVEEVLKTEGHTSPSPFTVSMIPSWKSIVSDNGGIHLPMYVI >Dexi9B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:9B:13799631:13809203:1 gene:Dexi9B01G0019200 transcript:Dexi9B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSARAAGGSSCPPLLFSPLKPFPLLRFPPRRRPTAARLRLRASAAASSPGGEEVFGARKELTGLQPLVESLPPAARTAAELAVAAAAVAAGYGIGLRLGGGSRAVAVAGGAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGHDDPTNMDKAEVEAIANKYGVSTQDAAFKAELCDLYARYVYSVLPPADEDLKGSEVQAIIKFKRALGLDDVDAANMHMEIGRRIYRERLETSDRDADMEQRRAFQKLIYVSNLVFGDQSVFLLPWKRLFGVTDSQIEIAMRENAKSLYSSQLKSIGRGLDIGTLIDVRRAQLAYKLSDEIAAEMFREHAKKLVEENVSTALDIMKSRIPDSLAKAVEEVESVIKFNSSLTTLSKHPQADQFACGLGPLSLGGEYDHDRRADDLKILYKAYATEVLSDGIVDDQKLAPLNELRNIFGLGKREAEGILSDVKAHIYRRTLAKVFNTELASVPSKAAFLQILCEKLQFDPELASKMHKGTILTAFLIATLILHHRHEIYREKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAVHTEICGQLFEKVVKEAIASVDGYDTDRREAVRKAAQSLYLKKEAAMAIFGKAVRKLFLTYIQRAKEAANTIETAKELKKLISFNTVVVSELLADIKGELSQTAETEASSTASESEGEDDEYEWESLATLRKTRPDKELKEKLRKSSQNEITLKDDIPLRDRTELYETYLKFCIGGETTNVSFGTAISTKKDDSEFLMLRQLGDILGLTRKEVQDVHIKFTEKAFVQQAEVILADGKLTEAKADQLAKIQKQFGLPTENAQKIIKAITTTKLSSAIEASVARGQIGIQQVRGLKDANFQLDSLISEPLRESIYRKTVEEIFSSGTGDFDEDEVYVKIPADLIINAEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDLLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPKPEKLSRLQDLLGISNEKANKIRDAASEGTLPIAAAEEKEELTF >Dexi7A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:7A:29054067:29056941:-1 gene:Dexi7A01G0020190 transcript:Dexi7A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKYRSRGHTMANNKKLPPYLLLVLLAIGAAAVSVGILHKMRERRVLTVLLQERDQQLMSFQVLFEKEKEINKEMRRKVDELEAKTSALSIERAELKNKLMDSETTTTYLTNTQKELEAALVEKESHINQMKENVAASNPDQATTGKEFLQEKEAELDKSANSSDSIPVTAEDNSNSTTTSESNHQEENNVVGANNENATSELEKPENSGDSMAAPAEEENSSITNASERSHQDESILVGVNNENTSSDAVVPDKTENTNDSVPTTAEEQNSYNTTATESNEQDNSSSQEQFVKLTTNMEDGQPQETKSDANEQPDDAPEGSHSDKSELPQWSQKQEDSQEASKEEPDGTKQVENPQGEVSNHSRDSKLLENQDGSVIIEEAAKEINPEGTSSKESLTEANQNKTQAVEPAANPADANPSMPTNNEEIKETSKRHRRRRSRSRRKRRATVAANNNDGNHQMEVDTTA >Dexi7A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:7A:20931783:20932205:1 gene:Dexi7A01G0010010 transcript:Dexi7A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKGPAAAAAAAVMDETGVSSPQGSAHGSEGGGEKEGAFLLGQPTWEDAGGGRWRCAETGHELPEREKEAYARSRACRLALVDHAVAHKKPPLNAFKPHPEHKCHLP >Dexi5B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:5B:22934979:22939213:-1 gene:Dexi5B01G0020720 transcript:Dexi5B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFDAETGATNGNGAISHATKPPAPTSGTDAGAAVVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTLLSVMAAVTLYEYSLMSRVLDHCEARGRRHIRFRELAADTTINTGVSIGAILLAADCLEIMYTSLAPHGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFVSLLLSLGYTILVAAACIRAGLSKNVPPKDYSLSSSKSEQTFDAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYSVVVFTFFLSSITGYWAFGSHVQSNVLKSLMPDSGPALAPTWLLGVAVLFVLLQLLAIGLVYSQVAYEIMEKSSADAAQDRFSRRNLVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVLMYNMALAPPRRSPVFLANTAVMVLFAGVGAIGAFASIRKLVLDAGKFKLFSNNVVD >Dexi1B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:1B:5014624:5015660:1 gene:Dexi1B01G0006150 transcript:Dexi1B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATPKPAAAVCGGRRYALLLALWDSDYATKVYGGYHNVFVSAFGSGAGDERWVSYRVIAGEFPSPDDLSSYDGFVVSGSPHDAHGEDPWVHRLCALLRSLHAMGKRILGVCFGHQLLCRALGGKVARARNGWDVGVRYVTFAPGLVSNGGLGFLGDDLGGELELLLPTATAAIVEVHQDEVWEIPPGAAVVAYSEKTRVEAFVVGENVLGIQGHPEYTADILLELVDRLAGQNAINGSVGDEARRTVAVTGGPDRAFWTGLCKGQTY >Dexi9B01G0026560.1:cds pep primary_assembly:Fonio_CM05836:9B:28760955:28762676:-1 gene:Dexi9B01G0026560 transcript:Dexi9B01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGVPLNCFQVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVSEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSSS >Dexi7B01G0024740.1:cds pep primary_assembly:Fonio_CM05836:7B:29007622:29009216:1 gene:Dexi7B01G0024740 transcript:Dexi7B01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAAAAALRPTAAALLFSPPHHHRRTVEHLPFARRRRHSYSSTATVTSPSSTLEDSEGKASRRRRARGSPEGLLRHQLDMCSRDADLTTALRLYDAALSPGSPVPLSLHHYNCLLYLCSNAAAASDPDSSAAAAQRGFDIFARMEADGVQPNEATLTSVARLAAATRDPAMAFSVVRRMAAAGIPPRLRTYGPALFAYCDAKDAHGAEQVEAHMDASGVVPEEPELAALLRVNADKGRADEFYRLLHRTRALVRQVCDTTAQVIEAWFRSDEASEAGVGKWDPSKVREGVVKGGGGWHGQGWLGKGPWSVSRSEMDKDGTCQRCGERLVCIDIDPSETDNFANSLTELAIKREAREDFLGFQNWLRRHGPFDAVIDAANVGLYNSKAFSFSQVNSVVNAIQRVTKSNKLPLIILHRNRVNGGPAKAPFNQKILEGWRNAGALYATPPGSNDDW >Dexi2A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:2A:14484920:14488242:1 gene:Dexi2A01G0012510 transcript:Dexi2A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIQSTSASPPRHASTRPAAMGDVPVPAQAAAPLLPDIDMTSRRRREPSEPRSDSDWDAGSSREGSPDLLRRAPAAQISRAAAAAPSSSSWLREIERDRVRLVREWVHMAARDRDDDAGPPPSPVPDHARRDGPRIRGRQARLELVMRMAADRQAELQLLSQHRAVSDFPHRNRIHALLRGRFLRNGGLPEERRPPSMAARELGQLRQWHPVSGLRLENLVCGQAASQADASSTHDVELSTNDRSESRPTTSESTQEIHEQTSENTILQQIEGTATTSVFESGTLSVAEVFCGSHSQAGSTEVLEHETRDWQRFSHTVTGAESERSWHENVDISSREGTVVEDDHDDRLPEANEESTSVDHLPEGLAESTSDDILPEAHEEQHGSDHLPSVTQELRDNNHLQESHGEWSRDDHSIEVYDEWQSDDHLPEVNEEWQDDDESNDTADNWHDNTSEQPIDHDAALIRRTNTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPLPWDLEGTTPAPDSPDQNQEQQRDDDDQELQHTVDRPPLVIPPPPMPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQG >Dexi1B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:1B:9345956:9351948:1 gene:Dexi1B01G0009970 transcript:Dexi1B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLGELGSKLTSLPPGDSIVVASSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHLLTTGISEIPEDATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDSITNHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPLTEETADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEELLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVADDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFQVSPQPALSKEFVDESQPAQLVQLNPESEYAPGLEDTLILTMKGIAAGMQNTG >Dexi3B01G0023170.1:cds pep primary_assembly:Fonio_CM05836:3B:17944791:17946319:1 gene:Dexi3B01G0023170 transcript:Dexi3B01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITRTAVDVVSAATAPLKFGINYGQIANNLPHPTQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLQNLSDARSARAWVSQHVQPFIPNTRITCIIVGNEVLSGTDTAAMQSLLPAMQAVYQAVSDLGLASQVNVSTAHSVNILASSYPPSSGAFREELGQYIQPILNFHAEVRSPFLVNAYPFFAYKASPGSVSLPYVLFEPNAGVVDPSTNLTYDNMLYAQIDAVYAAMKAMGHTDLTVRVSETGWPSKGDDDEVGATVANAAAYNGNLMRRIAMGQGTPLKPDVPVDVFVFALFNEDLKPGPTSERNYGLFYPNGTPVYNLGFNGASFGPSPTFSSSSKPTITLLMAVVVLLAGFFL >Dexi3B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:3B:14031175:14034440:-1 gene:Dexi3B01G0018900 transcript:Dexi3B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAGSHLRSLKHHGAARLASTSVVKQSDGGLFGWLLGGKSSQLPPLDVPLPGITIPPPLPDYVEPSKTKITTLPNGVKIASETSPSPAVSVGLYIDCGSIYETPASSGVSHLLERMAFKSTVNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKNYTPEMVEVLIDSVRNPAFLDWEVKEQLQKIKSELAEVSANPQGLLLEALHSAGYSGALAKPLVASESAVNRLDVSILEEFVAEHYTAPRMVLAASGVEHDDLVSIVEPLLSDLPSVKRPEEPKSVYVGGDYRCQADFPNTHIALAFEVPGGWNEEKTAMVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILSNYPQIESFSAFNSVYNNSGVFGIYAVTSPDFSSKAVDLAAGELLEIATPGKVSQEQLDRAKEATKSAVLMNLESRFVASEDIGRQVLTYGERKPIEYFLKTIEEITLNDISSTAKKIISSPLTMASWGDVIHVPSYESVSRKFHAK >Dexi3A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:3A:15876902:15882129:-1 gene:Dexi3A01G0019990 transcript:Dexi3A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSWSIDSYLNEHFDIPAKNPPGEARLRWRRAVGLVVRNRRRRFRMFSGVHALDDAQRRKILGKVQVVINVHKAALQFIDGVRRYHLSNELIEKGFSISADELAAITGIREDSTIFKTHGGINGISRKIKASLKDGINETEIETRQKLYGTNKHAEKPPRSFWMFVWDALHDLTLIILMVCAVVSLAVGLATEGWPKGIYDGLGIMLSILLVVVVTASSDYNQSRKFMELDHEKQKVYVHVTRDRKTKKVLIHDLVVGDILHLSIGDVVPADGLFISGYCLVIDESSLSGESEPVHVSEENPFLHAGSKVLDGTAKMLVTAVGTRTEWGKIMDTLNDDGVDETPLQVKLNGVATIIGQIGLVFAILTFLVLLVRFLVDKGMHVGLLNWSAHDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMSDKALVRHLAACETMGSSSCICTDKTGTLTTNHMIVDKVWISDVSKSVNGDTNFNKLKAATSESVVAILIQGIFVNTGSEVVKGDDGKRNILGTPTEVALLEFGLSLQGDLYDEYKKLERVRVEPFNSVKKKMSVLIQLPNGGFRSFCKGASEIILEQCDNVLDSEGNITSLSEIQKKKVLNTINSFASEALRTLCIAFKDISEISDDQNVPEDGYTLIALFGIKDPVRPGVRDAVMTCLAAGIKVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSTDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGISGTEVAKESADVIIMDDNFSTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFISACIIGVQTYHQYSTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVFNEINSREMEKINVFRGIFQNWIFIGIVTATVLCQVIIVEFLGTFANTVPLSWELWLLSVILGSVSMVVAVILKCIPVESRNTDNKPHGYELIPEEPETV >Dexi7A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:7A:22554076:22556480:-1 gene:Dexi7A01G0012320 transcript:Dexi7A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFISCFGGDGDRRRRHRKSRRPSPARSPPRSIHVAVGREASAAVAADVVVKEVSPPLRGAKPSTLAAAVEVPDEAAAGAVVKEASLPLRGAKPSMLAEALEVPDEVAGESATEAQEASLLASSPPSAVVVAYEAVNDVNSGKALTPREVSEHGSRSSGKKKVTFDLNVTTYENAILLDEEEEPPEEGEKHTQKTVLLPENHRYQNCSDSDDDVEDEYAEDDVYDDDSDEEEEDFMDCKIDLVDEEEVRTEENKQESHESLFSLSMSNDQQNDQEVISPAPKNSGTSADAESPLITRNNLRDKSQYVHPMLNPVRNLSQWKELKSLKTQAVPGTRLGKENVNLVLDVGQGHDSIATQTRMSTTLSGKREVYVDASLSTWLNSSEGSTVDKEQSKSPCSISSVSREERPVLGALTVDDLKQSSPQSSPRNNLEGALILGTVGSYWRCTEQDKEYCSSRSDSGTNGIPNTTSKYREDKKVNWHSTPFNVRLDRALKKTSV >Dexi7B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:7B:17083475:17084791:-1 gene:Dexi7B01G0009480 transcript:Dexi7B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAERRQWTWSARLIHSCTGIHRPPWPAALAWFTMACKRRRRGADASSADPTFSSTDLQPLPASFPPRPRFGMSSDKDLVSGDARLEGWKALGRASAKRWKATVPRRGGEIRRRRGAASVDDGEEGSLGGGEATTGRGGVYRRMGGSGTQAKPAEIEVAVLSPPLEPHGTMRATRRARIVGAVLELYSGKLSHMPISCKIRSPRGGLPLRKRRTPLEKQTGVPISWLKNRGGQRSSTSARRRNFLGRQCRPARHGTSRRWNPSTAGGLSSEARKFLAAEPLHGQRTLSSIAGQAGAGGELARGEDVG >Dexi3B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:3B:8768359:8768612:1 gene:Dexi3B01G0012500 transcript:Dexi3B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSDTLDGLRISDAVDLLKDVFTSATERDIYTEGDRLEVVVISSSGLRHTPTVY >Dexi1B01G0025290.1:cds pep primary_assembly:Fonio_CM05836:1B:30394740:30395700:-1 gene:Dexi1B01G0025290 transcript:Dexi1B01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDQKLVTFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEALVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHRPLSPSQPLLAQQQHDPPRVREGFCNETKQTLSPEQGLAGAEEDDEDEEAAPASAQPQGETSSPATSTVSPSCSSSSASASVATPGADVAAWPDAIDLFQVDSIMDMDWAGILSGCGEDGVGGIDVDLFDHHYPGDGFDDQQVWM >Dexi5B01G0027660.1:cds pep primary_assembly:Fonio_CM05836:5B:29210047:29211325:1 gene:Dexi5B01G0027660 transcript:Dexi5B01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARAFGVKRRVPSMRGDEAKSVCPGINLVQVPVARGKADLNLYRSAGSEVVTILASKGKCERASIDEVYLDLTDAAKEMLLQAPPNSPDEIFMEAAKSNILGLPSDASEKEKNVRAWLCRVDAEYEDNVRLFMAIICVKFAMLRKSAQIPMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKM >Dexi3B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:3B:2994151:2995380:-1 gene:Dexi3B01G0004380 transcript:Dexi3B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGAGGGPPSSSNNSGGTNAAAGGASGGRGGDHQHPFYYTGPAASNSGVAQQQQQQQASPFAGALAITPVPEQAQPSSADKKALVPVAAPAAGPVVAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAILAATGTGTIPANYSSLNISIRSGAAGANPARAAPFPALALHPHHHQGGPAPHDMSAMMGYHHLLPPPQQDPNAGDAYMRKRYREDLFKEDDDRQDPSAPKAREQQAAATPPPPPSAAMWAVGPNAAAPSGGFWMLPVSASSAAAARPAEQPMWSFSAGAGGNATVQAPLQFMSRASYPSRAGGGAGGMSDTNIGMLAALNAYNRGGSEDQQQQQQQPEGEQQHGGDGAGNDEEDGDDSGEENHGNNNSSQ >Dexi3A01G0030660.1:cds pep primary_assembly:Fonio_CM05836:3A:35000418:35002407:1 gene:Dexi3A01G0030660 transcript:Dexi3A01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGNQLLLAAATVVADEEGNSVTVLSGAGVDAHGSPEKRDWANLYRDAIREIARKLLAVDVAEYIRLRAVCKPWRISTDAQSWEPRFFPRNWLLLKHDAATANVAAEAPPANPAAAHRFVNVRTGATLRIYLPAFEEYGDYIAEAEGLLLFHNASTDTVRVFNPLTRATAILPGFFAGLPPIVEEEEAVDLTAAGVITAGGLSVQGRFYVPTRNGDVLRVELHPKPHLVYVARPPDRRLCRAWAMASYLVPSLKDDDVGADNDGMLLVRFLVGAIDVLGVHLGSGRYTRIPELGNRVIFLPGRTILADKFPSYPPLEVGH >Dexi6A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:6A:20945735:20948990:1 gene:Dexi6A01G0013680 transcript:Dexi6A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAPPPKQEELQAHPVKDQLPSVSYCITSPPPWPEAIILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIIMAGRYSNETDPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILMLIFSQYLPHAIHVAKPVFDRFSVIFTIAIVWLYAYILTASGAYKNARTKTQVHCRVDRSGLISGAPWINVPYPFQWGAPTFDAGECFAMMMVSFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFILGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMVNVPFSSKQFVAVLVAFFLDNTIQCRDTAVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >Dexi3A01G0035010.1:cds pep primary_assembly:Fonio_CM05836:3A:40283190:40285347:1 gene:Dexi3A01G0035010 transcript:Dexi3A01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYVPDWSSSMGDAFAAFNGGGGGSGEDDGLIELLWCNGHVVMQSQAPRKPTARPEKAPAAAVAMPVQDDEAAPWFQYPPAEDTIERDLFFELFGDAQAASGACKEEDDECAGDAIAATPQRRSSQIMPPPQEKAAAYLGDLGYLSDGVELTAAAAVTEAAAESSMLTIGSSFCGSNHVQTPPPRVAAEAAGDAGGGGAKARDAATVTSSSMWPRSCTTIKAGNNPGAAAHRSGKRKQQSDAAMETEDAEFEPADATCEPAAQKLTAAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAAAAAPVMFPAGVHQYMQRMVAPPHHVASMPRMPFVAPPAVQSSPVADPYARYLAVDHLQPPPMFPERFQQHYLQGMGFYQQQQQSSAPPPPSLPAATARTSASDGILHKKCESCGKPEIQGMTS >Dexi1B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:1B:6182509:6186277:-1 gene:Dexi1B01G0007450 transcript:Dexi1B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVMDYLRSCWGPASPAGRSRRGSDAAGRQDGLLWYKDGGQVVDGEFSMAVVQANNLLEDHSQVESGPLSATDPDLQGTFVGVYDGHGGPETARYINDHLFNHLRSKASTAAEAHTLDKITIKLCYKFYGFASERKCISADVIQKAYRATEEGFISVVSDQ >Dexi6A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:6A:28277545:28278141:1 gene:Dexi6A01G0020870 transcript:Dexi6A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHRWERQEFMCLPQLEIPGSTPLKLRWFGDKSGTLIFTIGEGGTSGAYAFNLATRSVEQLVDGVECDSWRNFMIDTV >Dexi3A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:3A:916631:917484:1 gene:Dexi3A01G0001290 transcript:Dexi3A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIKVTTNKTKRYHISNDTSPRGLISVGPWGGSGGQAFYMHGSIAPRLRSIVLQHSMSGGIHSMACDYYYYYDDGIRTAGPWGRSHSLDATPLSRTAVACPPGEHLTAVEGTTGHVSNVAGAVVTSLAFRTSTGRTYGRTAGTGTAFSVPAADGACIVGFWGRSGWLLDAIGLYIKPCGSSSSNTTRRARVRNIIRDE >Dexi1A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:1A:24775201:24779685:1 gene:Dexi1A01G0017450 transcript:Dexi1A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGGRLQRSGSKRGLDPTGGGDDDDHAPKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLQFRSQLALPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDILVLEGDFNKEEDEDWTEEEFESNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIAPGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAADTRSVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYEDWMHAVEYDGKALLSFKQKKKSVTTRSDTAAASISNPASYGSADSQKQLSLPAKAGQPSSTADGRSAYNGNQSARYAANTQNVPTNITMQYDRGALPPESQFSGSSFQAQASRGPSVLALGPPQQQHQSFEFPALGQSMQPTGMNPFDEWSQPQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGAGTNLTEDGFNFPSYMPAPSPNLSFEDDRTRAPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >Dexi5B01G0036930.1:cds pep primary_assembly:Fonio_CM05836:5B:36474678:36475663:1 gene:Dexi5B01G0036930 transcript:Dexi5B01G0036930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRQPEFADLAELLPKVATDDRTVIITSVNEAFARPNSLLDLFRESFRAGDGIEHLLNHVLVVAVDDMAFAHCKAVHPHCYLLEFKSMNLSSDNKFMSQAYVELVWTKLSLQQRVLELGYNFLFTDVDIVWLRNPFWHISVFADMTTSSDVFHGDANSLDNLPNTGFYYVRARNLTVEMLRRWRAARARFPPNHEQAIFNEIKYELAGDELGVRIQFLDTARFAGFCQIYHSDMAAACTMHANCCFGLGNKLYDLREVLGQWRNYTGLTPQEKTSRKFLWKDPTKCGSPDKKNWSMNP >Dexi9A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:9A:16318891:16323036:1 gene:Dexi9A01G0021460 transcript:Dexi9A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATATLAAARSPAAALLRLRRCGPAAVSLRAGHGYRGIAMAAAAADAPAPADPLPKVNGYGINSLAEFFTDFGYLPREELRFPAKKLRAIWFSPPTNDGFTGTGIYGPLPRIFISELLVDELTAQSQVYGSAVTLDILFLHSMTFALSSLLFLDTRESEYAAWTLVNGYALNHATIATHCLQSDIRSINNFNRFVEDNGFKLNSEGGTLKVSPDGLLQQSSTVADSSLFTFADGITESIPQSYIEFAERLLLPQFKDMKDEEVKEHHRRDGFEVGNADKIFESTSKDQLTRRSA >Dexi9A01G0030180.1:cds pep primary_assembly:Fonio_CM05836:9A:35095404:35098341:-1 gene:Dexi9A01G0030180 transcript:Dexi9A01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALSTIGAPPTAPRSSGLSSTDADNIRFIEEITTNVDTEQERVLEEILSRHGESEYLVKCWGGGLTGDTSRATFRAKDLEPYIRRIADGDRSPILTGSGHPVTEIFTSSGTSGGERKMIPNVEDEVDRRYLLESLFATGKALYFLYVSSEGKTPGGLPSRTVMTSYYKSHQFTNSPFPRNNTSPTAAILCLDTFQSTYAQMVCGLCQRKHVMHIGAAFAVGVVRAIHFLQQHWEQLSSDIEAGKLNPSCVTDQSVREAVAHILQRPDPEVAKFIRDECSRGDWAGIIPRIWPNAKFLGIIVTGSMAQYIPTLNYYSRGLPMASDIYGASEGDFGLNLDPLCDPLEVSYTMMPNMAYFEFLPLDPDRQEDVDEITAAQQLVELGQLEAGHEYELVVTTYTGLNRYRVGDVLRVTGFHNATPMVRFVRRGNVLLSIDGEKTDEAELHRAVERAASLLLRPHGVAVAEYTSRVCTKDVPGHYVIYWELEVNNSSGNAAAVVDGDVLDRCCLEMEEGLSSVYRQKRVVDKSIAPLEIWIVRPGTFGELLDYAISRGTSLSQYKVPRCISESPPIIDLLDSCVMSNHFSSTLPQWAPDQQSNN >Dexi5B01G0030890.1:cds pep primary_assembly:Fonio_CM05836:5B:31736675:31738594:1 gene:Dexi5B01G0030890 transcript:Dexi5B01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPARMTTMRGASAALLVMLASLAAVARAEDPYHWFEWKVTYGTRTIMGTPQKVILINDMFPGPTINCTSNNNIMVNVFNMIDQPLLFHWHGIQQRKNSWQDGLPGTMCPIQPNTNFTYHWQPKDQIGTFYYFPSIGMQRAAGAYGLITVHSRDLIPIPFDNPADDFPLLVSDWYTKDHTVLSKNLDSGKGIGRPAGLVINGKNEKDASNPPMYNVEAGKTYRFRVCNVGIKASFNVRIQKHSLKLVEMEGSHTVQNMYDSLDLHIGQCLSFLITADQTPGDYLVVASTRFIKEVSTITAVIRYKGSNAPPPPQIPESPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSKGKVDGKERYALNGVSHVDPETPLKLAEYFNATDGVFQYNLIGDVPPAAGTPLKTAPNVITAEFRTFIEVVFENPEKSIDTFHINGYAFFAAGRHTIQVYPRSWTAVMLTFDNAGMWNIRSNLWERHYLGEQLYVSVVSPARSLRDEYNMPETSLRCGKVVGLPMPPSYLPA >Dexi2A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:2A:118415:119869:1 gene:Dexi2A01G0000170 transcript:Dexi2A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTLLVAAVAILAVALLPLLRRLLSGHGHTRSSKGPLPPGSLGLPVIGHTLSFARALRSNTAEEWLRRRAAAHGQVSRLSLFLCPTAFLVGPSANKFLFSSAAVTPKNHPSFSRMVGRRSIREVVGDEHRRVRAMMARFLRPDAVRSYVAGIDAEVRRHLDAEWRGRRTVAVMPSMKSLTFDAMCTVLFRLDREKDASVRRELSVEFQELIRGVWATPINLPFTTYGRCLAASRRGRRTVAGIIQRRRARLESSPGDDDLVSHMVAEGMAEEDIIDNVMFMVVAAHDTTAALLTFVIRHLEANRDAYDKVVAEQAEIARRRKSKGDGEALSWEDLGRMRYTWAAALETLRLVPPIFSALRQTTEDVEYDGYVIPRGWQLLHATNMTHWDPSIFPDPGRYDPARFVAGSQPPPFSFIPFGGGARICPGNEFARVETLVALHYIVTGFSWKLAAGCDGSFSRNPLPYPSQGLLIDINEQPEHA >Dexi8B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:8B:5893756:5894719:1 gene:Dexi8B01G0005650 transcript:Dexi8B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSHAGGVDWISALPDAVLQHVLGFLPADEAVRMSIVASRWRHLWSSLGRLRIVWPDRWSAPDFRRLVNRVLLGRDPACALDEVEFVGRPVDKDTHMVQ >Dexi5B01G0026480.1:cds pep primary_assembly:Fonio_CM05836:5B:28242444:28244531:1 gene:Dexi5B01G0026480 transcript:Dexi5B01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRRRGEVATDTEEARRKLWSVCRNVTKTPMSRSAAAKGSMALMWPCAGNGNTSTEVLDSPPSPSASFACRIAARARSRSSRPPSDSISHLTLAATSSSLTISWPSYPSSTTASRSSSTRNTLFSRCSAYIGHASIGTPPMTASSTEFQPQCVTNPPTAPCASTSLCGAHDMTTSPLPSVRATKPSGRMASRSASSSPGGRRTTHRNRCPLVSSPRATCLVCSAVNRPMVPKQRNTTLDSGWPSSHARHSWRRPGAPPASSNFTSGPTQCSGGVRRPGGVQRPLTMASVARGSSERKLFTIMPCELHICSDKARKCR >Dexi5A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:5A:20964273:20965141:-1 gene:Dexi5A01G0017660 transcript:Dexi5A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNTQSSIISCAAAPCDAGTKCPASYTATCTSAPCLCTYPASRLPPPPPLLLRHTARGALRNAASPSHSSSLTHASAATAPSTRVPGDRSSASTSLFALFITSSWNASSTAWLSSCHGAPPSARRRAPSAALTPASLKTSAAPAATASRDDAAAPSASYSDGSPPRQDPRARVRRLAPPRRACQRHRLPLHRLGLAPLRVADEPHAVGDLRVRGDLRHGVDEAVADGHPAEVDPPPPRQARVRGEDERAGRGDRLAAVALAGEEERPRAELR >Dexi6B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:6B:22251766:22253365:-1 gene:Dexi6B01G0014790 transcript:Dexi6B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTNRIADYFDVIAGTSTGGLVTAMLTAPNKDGRPLFAAKDINNFYLKHCPKIFPARSVLSGPKYDGKYLHSVVRVLLGDTKVSQALQNIVIPTFDIKLLQPTVFSRYDARDDVSKDALLSDVCISTSAAPTYLPAHQFETKYKDGKPRAFNLIDGGVAANNPTLLAMTDVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAVQCSKWGILGWLYKKGATPIIDSFSQASSDMVDIHASVLFQALHSEKSYLRIQDDELKGDTSSVDLSTKENLNRLVDVGTALLKKPACKVNIETGKNEPDGTRGTNEKELIHFAKMLVDERRARLKKKGNSII >Dexi9A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:9A:1347409:1349096:1 gene:Dexi9A01G0002530 transcript:Dexi9A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGAHSFAASVSGNLRIPLTSVPSPSHTPARRTALSVVAKVKVPTPQDDRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKQCTLASASTMHKSLSKELEYSAGPTIEIAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALAEAAREHGLQF >Dexi4B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:4B:806902:808547:-1 gene:Dexi4B01G0001310 transcript:Dexi4B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKFAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKASDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTEDMKSFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >Dexi7B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:7B:4593680:4595321:1 gene:Dexi7B01G0002490 transcript:Dexi7B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERPMARDQLRVLYTLDVARTQLYHFMAIVIAGMGFFTDAYDFFAISLVVDIIGYKYYQGPPPRRVSVAISSIALCGAVPGHLIFGWLGDKMGRKRIYGITLVLMVVTSLASGLSFSKRVGKNVVVVLCFFRFWLGVSIGGDYPLSATIMSEYANKRRRGAFIATVFALQGFGNLAAGIVGMVVSAAFVNSNPSENADFVWRIVLMFGAIPATLTYYWRMKMPETARYTALVAMDAQKAASDMSSVLNVEIAPENEAVSELTRQNQYGLFSADFLHRHGIHLLGTSMCWLAVDITFYSLNLFMKDIFTSVKLIPPLDMDHPFQRMIKTTALHTTIALCGTLPGYFFTVAFVDRISRVRIQILGFAMMSVFMICLAAPYDRYWTQHKNKYGFAVIYGMTTFFANFGPNTTTFIIPAEIFPARLRSTCHGIAGAFGKIGAITGVFVFRYNENHVPSTLYGLVGCNIVGLLFTLLLPESKGKSLEEITGEFEQQPPQQHAVAFVSGEYRRAVPV >Dexi3B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:3B:9519259:9521237:-1 gene:Dexi3B01G0013350 transcript:Dexi3B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARGAARALLRLAGAGSGVQSGGVVPPLARAAFARGFLDFGKAGNKEELEKEKARLKDEMSRGYFADISEIRKNAGKIATASKVIIPEVEAVKFPDLAVESSHGGALHLPLVAPAPQEDGGKAGDDVIPDASLVCLSFRASSQKMAESWSSRFLDAFGADKNIHVYEVSFIDSWLLSSSPVRRAFLKLMRKSDNPQRHVVYAFGDNYDFRKKLQIINLLTG >Dexi5A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:5A:1845978:1848441:1 gene:Dexi5A01G0002690 transcript:Dexi5A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRMRSLPALVTNQAYCEQALSGELSVVFVVLVHNIRCQDGGDEVEREMAGRDDGGGSMTGAMEEGRHGEQQRYQSSEDGGGSSDRCSGGNDMISIQFMQKIVAEVLGTYFMIFAGCGSVVVNLSTNGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTLAFATCGRFPWKQVPSYVVAQVLGSTLASLTLRVVFGGATAHEHFFGTAPSGSDAQAVVLEFLISFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLLAGPITGASMNPARTLGPAIVAGRYRSIWVYVVGPVCGTVAGAWAYNLVRFTDKPLREITKSGSFLRGRPGQRPS >Dexi1B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:1B:3993130:3994509:-1 gene:Dexi1B01G0004890 transcript:Dexi1B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPPAADEVAIVLRPSADLGRVEAASLPPASFLSLKNPNTGREQTGTLSTTGVARTPAEYDRSITRERDRIEEWTLPAGELELRSRPWNTIFPEAFIRGESTDTTLGCGGGCWGVDGRRLAGLWPARAGAAATAAVEVAAGGCGCGGGGGCERTRRRRGRGVGSTESREWRAEKSSWSWTEEDDDEREKREEAREREVSESELSRRSGAAERSDEVDARAPLFRWRSSSFSDLAAEELDALDGVAAVAAAGDADGDAAMDVFCGGGVWTFLSRRSSRHRLEHVGALGENTYGSIAASAAAGSTALTRSGVDGGISATSAVSRRTRSTSAAFPTAACGRSGRSFPSAKSSSAGSMVAAPPRLNSKSMKSLEIAAGSFATGSSSTSTTAAAAAAAPPNERRGGPSHPNDMAPPAVAACTLLATAEAIAGGGEEHPRAGSRRTAYHSSILYFGGGRRRT >Dexi5B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:5B:1641030:1642279:-1 gene:Dexi5B01G0002570 transcript:Dexi5B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLCAVERRGRVHVITLTGAGEHRLGPALFSAIRSAVAALRAAPGDGAGAGALVLAAEGKFFCNGYDLAWARAGPSPADRISAMRAAFRALLADLLALPMPTVAAVTGHAAGSGCALALAHDAVVMRASRGFLYMSEVDAGIKVAGFVGELLREKVPDAVARRDLLMEGRKMTAAEASRRGIVDAAVDGEVEDVVAAAVAVAEDLAARGWDGETVAEIRKVTWPALWSKP >Dexi1A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:1A:7467884:7468378:-1 gene:Dexi1A01G0009210 transcript:Dexi1A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQPPNRQDAEAPQPNDPHDAAAEPFLVPPPPVADVDGARRRWSLLSWPTALGFGFLTFNSGMAIYRSGGERGAVGFVVFSYLDLVLLFGCLRWYEATEPSSSARSWLKAAVWVLTTALTVLFSAKVAAVMPPAVAVAVWLMAFATVAGGFYAFFCYSDEKA >Dexi5B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:5B:1356421:1357014:1 gene:Dexi5B01G0002100 transcript:Dexi5B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTAASPGGGNTPCCKATTLLAVAATSFLFCFVLVVAFLFLRFLLQRQRWRRRARLLHHPPPPAKLGLDAAAIALIPSFPYRGAAGADCAVCLGVLEEGQMARELPGCNHVFHRECIDVWLASRASCPVCRGNAEPAAARPPPGERTAAASAARVEASSSSSTPGGGDVTAPWTRPSEAGSGLA >Dexi2B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:2B:8209802:8211055:1 gene:Dexi2B01G0008030 transcript:Dexi2B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQQPPAQRYWFPYWTAPPQPPPPAPRPAVRQQLSRRDTRPAPAAASPPVTPSPSRRQSQPQPPASRGGGAAGAPPASAAAQPRPQPTRLSSRPSPSPSRAPVPLSSIREPNVTAAAAPVPVTKEEPKTKPATHHPTTGHEIPEQKDIIVPQEKIIHEPHVDSKSKTATTTTKAVEKEKEKNKEEEKKNKEKEKEKDKKEKDKEEGKKNKDKEKGKEKEGEKEKEKEKDKKDQKEKEKEHKEKEKDKEHKDKKDKDETKSKELASEHGSKMHKELKAGAIDMVNKLSAMAPSSGGHERPASAAAGSTVITLAGENKGASMKVHGAAMADGKETKERRGRKLDGSVDGGKEEAGGKGITAFVNSNVINNSLMLQSSCNGGAPGVHLKLSTKSKKKGAAVRKKLGARNDRAIEQ >Dexi4B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:4B:2692818:2693824:-1 gene:Dexi4B01G0003870 transcript:Dexi4B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNDIVNGLMSVSVSTLLKVMMMHELAPMLMNRFPATVMYTGILECR >Dexi2B01G0025280.1:cds pep primary_assembly:Fonio_CM05836:2B:34550034:34550959:-1 gene:Dexi2B01G0025280 transcript:Dexi2B01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSISVGSLAVVLGKLQMPITSGYSRIKTLGTQILAKQIHTLNKRAVEIADRRERYRQLDTLPGCSTGSRSATATASHGARGVDPRLSAFFFDDGEDHLVGIDGPKYELVAWLLHGRGSTDHQKALFIVGSGGIGKTTLAKRVYLEIGGHFGCKASVSVSRKPNLMHILRDCLLQLCHDREFTEHIGEWDEN >Dexi5A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:5A:6097630:6102285:1 gene:Dexi5A01G0008140 transcript:Dexi5A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVAGSSSMAAAASSDPSHGWQTVSYPKRNRKQAPRAAAPDLALQANGKGGIFDAVERRSQERHRALQQQLASRAADLDDARIAAATGSGYSDDEDSDEAAAPRQEGEPKKPKKPKVKKPKVTVAEAAALIDAENLAAHLIEISGSYENQQDIQLMRFADYFGRAFVTVSAAQFPWAKMFKESPVSKMVDVSYHFPRGPKGSKKAVQQSPRAQVAIFVVLAMTLRRKPEVLINVMPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTAMFCWAHSLFPTLCSKSGNPQARDLVLQLLERILSVPKARSILLNGAVRKGERLVPPVSFDLFLRATFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQASKQLLPLCAEAIQEKNADVTREAVDVFIWCLTQNAESYKQWEKIYPENIEASVAVLSKIAIDWKDVSLKLNSEALKATVKNLKAENEAALESATDAGKQASIKEADKHCKAILGKLTRGATCLKSSLVVIALTVAAGFVLSPDMDLPAEWEKLQAMVSSHISF >Dexi8A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:8A:738045:746516:1 gene:Dexi8A01G0001070 transcript:Dexi8A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFSQQFSQLIDLARQGHEADVDSPTVPRQRTSYISTPNRSQRWHSSDDESDGLNYADSVFGEIESNISFDDDDGESNASIEHQTTMGREIVIQLDSGSYVNTDTDIDPMNAGVDHWDSDDPEDEHSEDSDLDETGDTMQAHRQQWHDIAPSGLYEQESEDTVWTWRTARSQGVNRTNLRADMEGREIRRTFIGNPGDYVDARQFEMVLEQFAEDNNTTRGAPPASASSIENLPSVVVSTSGDINGDSQFSSSHTFPSLMERLLEMVIVLPIILVGLALVYLYDILWLRLEKIRNKLRRQGINGPKPTFLYGNTQEMKRIRQELKCVQRQRKDTNMNSYISIIFPHFIHWRKTYGTVEILHVAQPEIVKDMGRWTTSELAKPHYLMRSRKPLFGEGILSANGDLWAYEKKILAPEFFMEKIKGMIGLIVDATVPLLQAWENILDGAGAVTATWCLMLLAAHPDWQVRARAEVLEVCRGQTMLDIDTLRQLKIITMVIQETLRLYPPASLIMREALTDVSLGGVDVPCGTIIQVAISMLHLDKDAWGPDADEFRPDRFANGAAAACKPAHMYMPFGYGPRLCTGQNLAMASFLRVHWCSA >Dexi9B01G0030190.1:cds pep primary_assembly:Fonio_CM05836:9B:32652369:32665317:1 gene:Dexi9B01G0030190 transcript:Dexi9B01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAAEEETAAAAAEAEEWSGEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRTTKKSQHFAINACLAPLYSVEGMHIITVEGIGDRQRGLHPVQVMHCSCVMSTATCRMFSQGTWFTMWVLHPWFCDVNVTGEAEYTDDTPTPPNTLHAALLLSKKAHARILSIDDSIAKSSPGFVGLFLSKDIPGTNHTGPIIHDEEVFASDVVTCVGQIIGIVVADTHDNAKNAANKVHIEYSELPAILSIEDAVKSGSFHPNTKRSLGKGDVEQCFVSGACDKIISGEVQVGGQEHFYMEPQCTLIWPVDSGNEIHMVSSTQVCFAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAVSVPSYCLRRPVKLVLDRDVDMISSGQRHSFLGRYKVGFTNDGKILALDLEIYNNGGNSLDLSLAVLERAMFHSDNVYDIPNIRVSGQVCFTNFPSNTAFRGFGGPQGMLITENWIHHMALELQRSPEDIKELNFHSDGTVMHYGQLLQNCRISSVWDELKASCNVTEARKGVRSFNSNNRWRKRGIAMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIRARMEPIASRGTHNSFAELAQTCYMERVDLSAHGFYATPDIGFDWIDGKGTPFLYYTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGFSINPAIDIGQIEGAFIQGLGWVALEELKWGDNNHKWIRPGHLFTCGPGAYKIPSVNDIPLNFKVSLLKGAPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIFAARAEEGHLDWFPLDNPATPERIRMACVDSITKKFADADYRPKLSV >Dexi9B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:9B:4572391:4575160:-1 gene:Dexi9B01G0007470 transcript:Dexi9B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVRHPRVLAPSAGRPRRRPGTVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLAFEDREYTVQDLAAPGAFDGVDIALFSAGGGVSRKYGPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMANVRLGQGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTKEVLEGKAPTCNIFKQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKSLDEDTAREILRAAPGVTIVDDRSANRFPTPLEVSDKDDVAVGRIRQDLSQDDKRGLDIFVCGDQIRKGAALNAVQIAEMLLK >Dexi9A01G0024440.1:cds pep primary_assembly:Fonio_CM05836:9A:20713135:20713393:1 gene:Dexi9A01G0024440 transcript:Dexi9A01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQSTGNDLYLLPSLPRNKWPHGCVKGLRARGGVTVNISWKEGNLHEALLWSSSGQNSLTRVHYGDQTANMSFSSGSIGI >Dexi3B01G0026850.1:cds pep primary_assembly:Fonio_CM05836:3B:22245825:22246803:-1 gene:Dexi3B01G0026850 transcript:Dexi3B01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKNPGSATNGGGLKLGYYSATCPRAEDIVSEQVSQLYHKHGNTAVSWLRALFHDCMVGSCDASLLLDTTAGPTGVVSEKASPRSFGMRNFKYIDAIKSALERECLGTVSCADVLALAARDGAAILGGPRHVAMRTGRRDSLASHRADVERDVPNHNDTVSSVLSRFAAAGVDGAEAVVALLGAHSVGRVHCSNLVARLYPSVDAAMDRAYGDYLRGRCPKAEYGEEEDERDVAYARNDRVTPMVLDNVYYKNLMARRGLLLVDQRLADDPRTAPFVEKMAADNDYFHERFAAALLKLSENNPLGDDEGEVRRDCRFVNKA >Dexi9B01G0021230.1:cds pep primary_assembly:Fonio_CM05836:9B:15901329:15902005:-1 gene:Dexi9B01G0021230 transcript:Dexi9B01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSAMRPMEFLATLSMNASSFPARNSSVRTGPGATTLAVIPFAATSLARILVIASTAALLATYAPYPGGSAATVVDENATMRPPLCAGMRRAASRHTRNLPRVFTANVASKSSTARGVLGVEDARAGHHDVGRGAERLLGAVEERAHGVRVGDVRAHGHGAEAPRGELRYELVGLGRVGGVVHHDARAERGEVGGHLAPDATGAAGDERDAAFERERRG >Dexi5B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:5B:15136128:15143616:1 gene:Dexi5B01G0016510 transcript:Dexi5B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGDVEVVDFDSDDDDLMDDDAPEVNPAPAAPRLRSTIAAGGDSAAARKTKGRGFREEPSSSRPLVGRTDFDSLGSDDGPGPLRSIEGWIILVTGVHEEAQEDDLHNAFREFGQVKNLHLNLDRRTGFVKGYALIEYESFDEAQAAIKAMDGTELVTQIINVDWAFSSGPVMRRNVRRSYS >Dexi2B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:2B:11358043:11359035:-1 gene:Dexi2B01G0010270 transcript:Dexi2B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAATIVTWTLLLAPTVLLLLAADAAGGKGPSVLLPEGNCTTMCGDVVVPYPFGTTAGCYLPGFNLTCNTSHEPPLLFLGNGTLQVVGISLEKSTVHIVGPHIHMVESVGTDYVATGTWGGPAWGLSDEGPYILSREYNELVLFGCPFFAELVMPSDRDQVINTCGSICSGGSWDSYGDECWEQPNSRLCKCSGIGCCQVIIPNGRMAYNVRLKTLQDVTDVSLFHYSVFISEEGWFRQSNASWSSLSVIPAVLAWAIVSNALPYTSDELRDGNATCPKDLGSTACHSSYSTCKNIGRPYGKHLNSYTCSCWHGYQGNPYLPDGCQGP >Dexi4A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:4A:6082626:6083866:1 gene:Dexi4A01G0008120 transcript:Dexi4A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAAKEAIPLMTPYKMGQFDLTPPCTTTQRASKGGLLTAEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGAFFVCQIWHVGRVSNNAWRASTYLEHGQADLPRPRVRHGVLQAAAARTEEIAGIVEDFRRAARNAVEAGFDGVEIHGAHGYLLEQFMKDGTNDRDDCYGGSLENRCRFAVEVIDAVVQEVGAHRVGVRLSPFADFVECADAEPVALADYMVRQLNMHEGLLYCHMVEPRMANVDGRRQIPHRLLPFRKAFNGTFIAAGGYDCEEGNKVVDTIVRKVGAPLNKYDRSTFIIRDPVVGYTDYPFFEDDHNGDLTVLA >Dexi9A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:9A:16290477:16294810:1 gene:Dexi9A01G0021400 transcript:Dexi9A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGPVGHGGGEARVGVLEAAAECGCYSAARCACDTAAPAGKPPQQETVFLRRQHRRRVQVSSDSRPPCARFPPVFSASLRRALGVEGIAGMFCLPSLAALNSSVLGMAAPKPISRLISHVILDLDGTLLNTDSVVSKVVKPFLVKNGKLWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMINPMFSEQWCNIKPLPGANRLLKHLRSNGVPTALASNSPRSNIESKISCHQGWKESFSAIVGGDEMEKGKPSPDMPGVTAGKAAGMHVIAVPSVPKKTAEFSTADEVINSLLDIRPENWGLPPFNDWVEGTLPIEPYFIGGPVIKGFGRGSKVLGIPTENFSDVVSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTVEPWLLHDFDEDFYGEELRLAIVGYIRPEANFPSLESLIERIHEDGRIAEKALDLPMYAKYKDTPYLRDPLQQGSTTDGSQAELNSK >Dexi8B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:8B:698949:708345:1 gene:Dexi8B01G0001020 transcript:Dexi8B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFSQQFSQLIDLARQGHEADVDSPTVPRQRTSYISTPNRSQRWHSSDDESDGLNYADSVFGEIESNISFDDDDGESNASIEHQTTMGREIVIQLDSGSYVNTDIDIDPMNAGVDHWDSDDPEDEHSEDSDLDEAGDTMQAHRQQWHDIAPSGLNEQESEDTVWTWRTARSQGVNRTNLRADMEGREIRRTFIGNPGDYVDARQFEMVLEQFAEDNNTTRGAPPASASSIENLPSVVISTSGDINGGVTCPVCKDDMPIGTVAKQLPCMHLYHSSCIIPWLSSRNTCPVCRYELPTDDTEYERSKRATANEGGIYVVEHTHPHETAEETSYEPDVEGNSNTVGGTMEETNTREDSVYSAQQPNGARGHHRWLFIAAAPVIVLKSSPVSSEKTETMTLSNGDLWAYEKKILAPEFFMEKIKGMIGLIVDATVPLLQAWENILDGAGGSKEIYVDGYLRNFSADVIARACFGSSFTKGEDIFCKLRQLQKAISQQETFVGLSALWKYLPTKSNREIRKLNQEVRLLILDLCKEHRSRSHGNDVTHMSTQNNLLHAIINGADRRPSYFSGTEDFIVDNCKNIYFAGHKTAAVTATWCLMLLAAHPDWQVRARAEVIEVCRGQTMLDIDTLRQLKIITMVIQENLRLYPPASLIMREALTDVSLGGVDVPRGTIIQICLRSENIRKNLKRQGIKGPEPTVLYGNTREMKRIQQDLKIVQTQDANNYSLTVFPHLLLWRETYGPVFIYSTGALEILHVSDPEMVKDIGHCTPSELGKPTYLKRSRKALFGGGLLTVNGDEWAYQRKLMAPEFFMDKIKGMIELIEDATSPLLESWESMLDNVGGSREIVVDDYLRKLSADVIARICFGSSFTRGEEIFCKIRQLQKALSQQDALVGISAFWKYLPTRANREIKELDEEVRLLILSVMKEHNNNRT >Dexi4B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:4B:4664354:4665912:-1 gene:Dexi4B01G0006650 transcript:Dexi4B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAATATLRWVLQLHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDRLRPYVALMGTC >Dexi4A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:4A:7669556:7672672:-1 gene:Dexi4A01G0009710 transcript:Dexi4A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPKRKAPATRDTSSEPYPSHASPSPAQCLAVRDALLAFHDFPEEFAPFRLLRLGGRSPEDGSGASPPPQLPSPSVLDGLVTTLLSQNTTETISRRAFASLKDAFPSWDQVVDEEGTRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRDLSVDEVKRELSQFKGIGPKTVSLTSFLLFSVFSKLILLFKGLVHAMDRGEVACVLMFYLQKDDFPVDTHVLRITKAMGWVPATASREKAYIHLNNKIPDDLKFDLNCLFVTHGKLCQTCAKKMGTEKNKVPNAACPIASYYCVGELLEQ >Dexi4B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:4B:776049:776453:-1 gene:Dexi4B01G0001230 transcript:Dexi4B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKDLPIFLRPCRTSHPSCRTAAGAGPGPGPAEAPLVGSSPLRDIPDGAGGRWSSPLLAASRLDADELSISRATPSSSRRVDLLDSSSSHPPEAMLRVAGAGEPQIAGAPRGGRSPARGGGERGRGEEAKDRV >Dexi5B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:5B:5718627:5718997:-1 gene:Dexi5B01G0008490 transcript:Dexi5B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPFSDLVVAALIVAVLLRALACSSRSMRQGLNGWNKSSSMLRDGFGVKYSGFLHIRPCGFCRGD >Dexi6A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:6A:15761444:15762697:-1 gene:Dexi6A01G0010990 transcript:Dexi6A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLALVVAVALLLVSVPSGGATVVNVNSYGAKGNGVNDDTKALMTAWKAACGAAGAVTMVVPPGTYYIGPVQFHGPCKSSTLTFQLQASRLPVGTLKAATDLKRFGNDWIEFGWVNGLTVAGGVIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTIVRDLTSVNPKFFHIALLSDKNIKISGLKISAPSNSPNTDGIHIERSSGVSITDTHIATGDDCISVGQGNDNVEVARVQCGPGHGMSVGSLGRYAGEGDVTRVHIRDMTFTGTMNGVRIKTWENSPSKSHAAHMVFENLVMNDVQNPIIIDQKYCPYYNCEHKV >Dexi6A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:6A:24048985:24051773:1 gene:Dexi6A01G0016210 transcript:Dexi6A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPRKRKGAPRACSAARSLQDLASRKRACRGSDPQQPPRADAAGGRGGPPAAVVMTAPAASGASGAAGVLPGRGLKRKVGCIDSATRIGRRKRLESEYELGEEIGHGKFGSVRVCRAKAGGVSRGEEEFACKALPKNAGETAHREVEIMQHLSGHPGIVTLRSVFEDAETFYLVMELCRGGRLLDEVAREGRLSERRAANVIRELMAVLKYCHEMGVVHRDIKPDNVLLTKAGRLKLADFGLAVRVADGQKLTGVAGSPAYMAPEVLLGDYSHKVDIWAAGVVLHVLLMGTLPFQGNSVEAIFEAIKTVELDFNSDQWASVSHFARDLISKMLNRDASSRLTADDVLRHPWISFYNECPLKAEFSNLWSTNKTAAPMIDWERVRSCCESSSSECSSDNSEEQDECGIVDALTTAITEVRISEPKRRLLCPAAGLFPPSRNALLT >Dexi7B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:7B:13400471:13407387:-1 gene:Dexi7B01G0005860 transcript:Dexi7B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTPELRWLGSLTRPGRLAPSPLAALAASPRRRRRLPSPSPSPSPSDSSTPSTAPSSAGAPGAEGIEGPEWKKVSAKRFGIKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVITQIDNCSLVITNNVKDTFSGSAVIVGRRFPICHVHENDSIVEVSSFHTCARGSSGSQLYSSKSQSCSKNDFVRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDLKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLASSVARLDKGRILMEMNYMLAYGSAEASLRLLWRFGLLEHLLPFQVNSVINLFVQVLFSKLDNFVAPNRPCHNSLWISLLAFHEALARQPRDPLVVATFALAFYLGGDMSLAVDIGKSINRQHDSGFPELLEPKVWTDKHLVDEVQSFAALMKRALTEMTDESFVLSAMANIPQAPSSDLVFIPLQAYLKVLKFIECVQYGKKERGYEPKKDGKINYHNLSYGKHSEISNLFTLAVFDTLYPTNMEDQHDGNS >Dexi4B01G0020920.1:cds pep primary_assembly:Fonio_CM05836:4B:22994364:22996165:-1 gene:Dexi4B01G0020920 transcript:Dexi4B01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFILELTRLKAVNCFRGHKGSQHHHLALKSLGSVQTFFLKTMCESFSTYPRTYDLLHAWLLFSEIEKQGCSLEDLLIEMDRILRPHGYAIIRDKVAVINYIKKLLPALRWDDWTLEVKPKIDALSSADERVLILKKKLWNQTLQDL >Dexi2A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:2A:28429402:28430200:1 gene:Dexi2A01G0016700 transcript:Dexi2A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTDQSPEEVYSVWALPSEHARRRLCRLMAELRAAHGGPAFEPHVTVVGAIRLRRSAAVEALRAAAAGVRPYTARVVSDRDGFYRRGCLLLEPTPEVMEASDHCCCHFGYERPIPYVPHLSLIYGDRTEEQEAAAMRKAQELDEDIRELQFEISELALYKTDPDDVESWELVEAYDLRQGK >Dexi8A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:8A:19071454:19072970:1 gene:Dexi8A01G0010910 transcript:Dexi8A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIKAEEQKKEEAPAAIPEAAAAESEAKKQEEAAKEPPPPPPPCILGIDLHCTGCANKIKRCILRCKGVEGVEVDMAQNQVTVKGIVDPQAICDRLRKRTMRNATIISPGPPPPPETAAADKEEPAAVVVHSQVSEVRTVELHVNMHCEACAQQLEKKILKMRGVQTAPADSGASKLTVSGTMSADKLVHYIHRRTGKLATVVPPPPPPPEAPKEEETKKEDGGKNPEELPAEDAGKKEDQEKAPAEDPGGEKKDGDGGDKKEEETAKPEDGGGENKGGGGGEEEEKAKPQLVAVDGFPPEEMMKRMMYWPYHHKHYYDPRVVDEEAMAMPRRTTAMVHPYAMPMMQWTPPPAPPPPPPPAPAAHLMMYQQYYNYGMVERPAPAPQYFSDENPNACVIS >Dexi7A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:7A:22832279:22833208:1 gene:Dexi7A01G0012660 transcript:Dexi7A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGPVSRIWRGARRNGGNDRKTPAGTRRRTRGSSKVGSRRGGRKGVDDAARGGAHVEFTRAGESVTPFHPLRKKRSGDHEKGGGREATNPSRDTKRGEENQRDCRDDEAEAEAAGGRSARAGRPLPSFSGLRSGRRAGGRRRSARRPERREGNYENDVRVDGRDATADCRRSPFRSGPGRTHGTATVVGVWLLLVPVIPSDGSQRLQLLPLLSVRVKSRVTENDVFFPDPPQRYGDQEQQLSYSHGG >Dexi3A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:3A:4986558:4986815:1 gene:Dexi3A01G0007340 transcript:Dexi3A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGKMEEIAVARADVVPVSGSVAIREVKQEGKEPTTPGTPSPATVWRDSDGRRGEGGAVGTLPGWKLDCLCSESSLPPAAKGG >Dexi2B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:2B:40:1560:-1 gene:Dexi2B01G0000010 transcript:Dexi2B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAVAAVVLLLALSAALVSRKRDKNRRLPPSPMALPVIGHLHLIRPPPHRAFDRILARYGPLVYLRLGPSTHCVVAGTADAARDLLKFEASIPERPLTAVTRHLAYDDAGFAFAPYGPHWRFMKRLCMSELLGPRTVEQLRPVREAELGAVLRAARDRGGQPVDVSRLLISMSNNAIMRMVASALPGHMTEAARDCAKSVAEVVGAFNLEDYVPALCRGWDLQGLTRRTREVRDKFDALLEIMITAKEEQAAGPPRTASKDLLDILMDAAADPNAEVKLTRDNIKAFVLDIFTAGSDTTATSVEWMLAHLINHPACMDRLRAELDDVVGGSRLVGEQDVAHLPYLQAVFKETLRLQPPAVFAQRETIEPVQVRGYTIPPKTSVFFNIFSIGRDPGSWEEPLQFRPDRFMPGGAGAAVDLKGQHMQLMPFGSGRRACPGMGLAVQAVPAFLAALVQCFDWAVPIPQGQSKAPPLDMEEAQGLVAARKQPLVLIPTPRLNPLPGV >Dexi4A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:4A:16850731:16850969:1 gene:Dexi4A01G0014560 transcript:Dexi4A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQETEFHWANELLQAAVIFAGGIAIAAIAVVGAMKTRSVVVGLMAGLPTLPLRLGRSSQEEICIGSLGRR >Dexi4B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:4B:3149283:3153620:1 gene:Dexi4B01G0004390 transcript:Dexi4B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPSSGKRHAEPSAEAAMAPARRAAPVKLEVEELGADERGPLSKRAKGIQPTPPTPPQQQQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGLEPSKKKDNKPGTSAAGERLKASNFPANILKIGTWEQYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKSTCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASSLSPSMSAKNETNDSIGMPPEYFPQTLSTGAVAIGVQGVSRNVNGGAPEFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEEIAQYLLGDTQGPPVSVSDERSLMARVDSLCCLIQKDAVPIAKPKPEPNDSDGIGMGASDGSDEEFSSAPTGKTTDAAEPPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDTEN >Dexi4B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:4B:443276:443798:1 gene:Dexi4B01G0000650 transcript:Dexi4B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLLGTAASPCSAEETTTKTAVSVEKACRTAASAQAGVSYDHCVSTLASEARGRDAADMQQRLAVAAARMAADHAAATGARMEGLGEAEEPARARARLRHCLDLYGGAADLLRARVYGRASQRLAAALGASESCEDAWKGEETAPYGRLALLALGLTTAIVA >Dexi5B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:5B:4722445:4726037:1 gene:Dexi5B01G0007040 transcript:Dexi5B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDYIDPYEEAEAEAAAGAEDSDDEDDSDAESDYEEQSFGLLRSGKHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERASHRAFARFVRTDPSFADDLVGITGILGAIKPAPVPNANGSGNATGDAEANTAPAGSSSAPTENAVPQEVERYAWPWACVLAADAGFNAEEFADRVAMFSLVEVVPLFVDGMDGMESFAIVRFTNDWSGFNDALTLENHFSVNKLGKKEFETRSSGLDVAEGEGSKGEARVYGWVAREGDYNGGTVVGRFLRKHTILKTIDEVSKTELEKSGEMVARLASQIEEKNRYLQDLETKKNATELSISRLEEDNRKLHEAYNEEMRNLHRRARENALRIFQENENLKQDLENKRRELNSRAKQLEKLSAENDNDRKTLDDEKRKAKDDTSELELASIEQDRANEDVLKLLDGQKREKEDVFARMLQLEKELLEKQQLELEVARLNGTLQVMKHLEGDDDGDIHEKMEKLSERLEHEKKRLEDLSGDLVRKERESNDELQQARKELILGLEDELNGGTAIGIKRMGELDEKPFQSACRRKYGNDDYHAKAAELVSSWQEELKKPSWHPFKVVQVNGEAKEVLDDDDAKLKYLWIEYGDNVCNAVKTALVEINEYNPSGRYVVPELWNFRKGRKATMKEVLRYLFGQMETTTKRRRG >Dexi7B01G0022000.1:cds pep primary_assembly:Fonio_CM05836:7B:26933447:26935202:1 gene:Dexi7B01G0022000 transcript:Dexi7B01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLVVHVLLVAAAVRAPAAHAWGKEGHYMVCKIAEASAAVKDLLPGWAGGDLAETCSWPDTQRFRYKWSSPLHFADTPGDCKFDYANDRSESLMFLAHFVGDVHQPLHCGHTDDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKSFYNNDVSTMIQAIQRNITVRCVV >Dexi3B01G0022610.1:cds pep primary_assembly:Fonio_CM05836:3B:17368850:17371076:1 gene:Dexi3B01G0022610 transcript:Dexi3B01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFVLNNGAKIPSVGLGTWQADPGLVGDAVYAAVKAGYRHIDCAQAYNNEKEVGLALKKVLDEGIVKREDLFITSKLWMKKGAGFGPQSVIPSDIPATWSAMEKLYDAGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPVWQQGKLRAFCESKGIHLSAYSPLGSPGTKMVKAGAVLEHPVILSAAEKLGKTPAQVALRWGIQMGQSVLPKSTNEERIKANFDVHGWSIPDDLFAKFSEIEQEKLIRADFLAHPEGVFKSVEEIWDGEI >Dexi6A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:6A:13041853:13043879:-1 gene:Dexi6A01G0010600 transcript:Dexi6A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKQHLLRYHHHFASSSPPSSPLRHSSSSSSSPRTHHHLVGGGYPHPFLFFSRRPLPRFAAFFLLGSFLGLLHFLSHLPHTPHIHPTTVSSPNPVASATPIFRLQDDEGYGAAAEIDRKKLLIVVTPTRARAAQAYYLSRMGQTLRLVDPPVLWLVVEAGKPTPEAAAALRRTSVMHRYVGCCDKLNASSAASLDLRPHQMNAALELVENHRLDGIVYFAHEEGVYSLELFQRLRQIRRFGTWPAPVISENRKDGVVLEGPVCKQNQVVGWHTSEDNSKLRRFHVAISGFAFNSTMLWDPKLRSHLAWNSIRHPDTVKEGFQGTTFVEQLVEDESQMEGIPADCSHIMNWHVPFGSENLAYPKGWRVATNLDVIIPLK >Dexi5A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:5A:12687887:12689030:-1 gene:Dexi5A01G0015160 transcript:Dexi5A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVNVRGITRGAAAAGGGGGIPRGYYGNAAVCSVAVSAAGALCANPVSYAVELVNKAKEEVDMEYIGSAADLIVLHVRKAPAAHLDFGWGRPVYGGPAEVGGDLAWVASYFVSVTNDRGEELGIAVPVCLPRPAMERFAEEMGKLLQRPLVDVAVRQRPRSAL >Dexi2A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:2A:33322876:33326121:1 gene:Dexi2A01G0021190 transcript:Dexi2A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKMTLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDTEEGKVEDVDEEKEEKEKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEDLIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFCKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEFEGKKLISATKEGLKLDETEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDESAEAEADMPPLEDDAGESKMEEVD >Dexi6B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:6B:56681:60824:-1 gene:Dexi6B01G0000120 transcript:Dexi6B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEEGSGGGVPSASASASPPALHPICFGLLPAMSPSGEVVASISSAVAVALVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAAFPLPPGADLSRSALVAPLLDLPLSFLREYLVEKKHLGGLLILLPKKLSDKNGTGNDVDKGQVKGVLAELEKLLLHEEVPYPVYFAFHDDDFDNLLADIRKIATSGQPASATTGGYKLVVPSAEPRKVTSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSDPKTRGRYNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKKIFEDFSDVSNEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSEMSGPPEFLESTGGLHDTRESTDVDSVIRTAKLVSESLARHIYGLKGRNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQNDALDGMFTFYDATKATLNVYQVASVTFDLLFLLVLGSYLIVLFCFLVITTRGVDDLINIFRRPPSRKLKGA >Dexi2B01G0031410.1:cds pep primary_assembly:Fonio_CM05836:2B:39589087:39590483:1 gene:Dexi2B01G0031410 transcript:Dexi2B01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGQATEQGDCSKFKSNIPHCCKKDPEVVDLLPGTPYNMQIANCCKGGVLTAWAQDPDHAVASFQVSVGQAGTTNKTVKVPKNFTLKAPGPGYTCGPAKLVKPTKLDVLFFNRGNSPYLASVVNDPNKNSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKSLDPYGLINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNTSPRQSASLLLTFVAVWAALVVLLAHA >Dexi9B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:9B:5699790:5700506:-1 gene:Dexi9B01G0009200 transcript:Dexi9B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTVRGYVPSSSSSAAVLSRPRPRIPASSVPVTTRRAASVRLRAAVADAPSGLELQRREEEGLLGSVFGRGDEEEEELEKVGEKVEGWMRESIAEIVRNIGEAPFLVHLFSSDDNAGVTMRREPASPESWPDVRRRWGPGGQRRPDGIILVEQLAAAAVDGGASATEAAARQVWGLVVQARGMECASCYVLDTCRVRSPAGFCTHFCLARAQCFGDTVELQLRNAWLNRLAGRR >Dexi1B01G0031230.1:cds pep primary_assembly:Fonio_CM05836:1B:34950129:34954171:-1 gene:Dexi1B01G0031230 transcript:Dexi1B01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSAGIPPPHPSLSAASASTSYFLPSLRPRRRWRRLPVTRAAAFFPPKRSTPDPAATLPPEPPEPSTSSSEPAQPLPFAPAGDFRGGEDPLISKLRTQLGVIHPLPAPPISRSVIGLFALFFFVGAAFDKLWTLRKRRRAERELKVNGSWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRTGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFSKVPVIVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKVTFELSLFRLFNLMGKTDPYVVMILGDQVIKSKKNSQTTVIGLPGEPIWNQDFHLLVTNPRKQNLTIQVKDSIGLIDITIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKREAGEVLLRLTYKAYVEDEEDEAVKSEFGGGYVSDEDVLDYVQGDIGKGGDFLGKERETFMDLLAALLVSEEFQGIVSSEAGSSRDPDQVGSVSGSVDSVVSPVATNAETVSNSSTDTALLWLAAITSVMVLVSSDLGASGYFNP >Dexi1A01G0026260.1:cds pep primary_assembly:Fonio_CM05836:1A:32221372:32226712:-1 gene:Dexi1A01G0026260 transcript:Dexi1A01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNALYICGTDEYGTATETKAMEEKCSPREICDKYVVVLYMAVLELFDFGTFRYRANCEESFFTEKCRYHAIHDEVYKWFDIKFDKFGRTSSPQQTEICQEIFHKLMKNNWLTENTMQQLYCDTCQRFLADRLVEGACPTQGCGNKTARGDQCDNCSHMLNPTELIDPKCKVCKNTPRIRETDHLFLDLPLLKDKLVNYIDDTSVTGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPIEKYKDKVFYVWFDAPIGYVSITASYTSEWEKWWKNPDNVELFQFMGKDNVPFHTIMFPSTLLGTGDKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTDIPPEVWRYYLLMNRPEASDTLFTWTDLQAKLNSELSNNLGNFINRVLSFIAKPAGAGYDSIIPDAPGARSHPSTSALAEKTSKRVDQYLDAMEKVKLKQGLKSAMAISTDGNLYLQGSKFWQLYKEDPVSCAIVMKTSVGVVYLLACLLEPFMPSFSNEVLRQLNLSPEENLSFSQEKGEIVKAKSPWDFLPAGHKIGRPVPLFEELKDEKVSEHRKKYAGSQAERSSKAVADAEATKIANQLKSATLSEGGSKKEQKKQTGSSKSKEAEAEVSVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEVPRTVVSGLVKYIPIEEMQQNRKVCVLCNLKPVAMRGIKSHAMVLAASSDDHTKVELVEPPADAAVGERVTFAGYSGEPEASLSGKSKVWEKLAADLHSDGELVACYKDVPFTTSAGVCKVKTIANGEIR >Dexi3B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:3B:15520751:15523783:1 gene:Dexi3B01G0020550 transcript:Dexi3B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPTSLSLAARAATRAAAAAVSTPLRRGGLAAVCQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRVRAAVPTIQYLISKGAKVILSSHLGRPKGVTPKFSLAPIIPRLSELLGIQVQKADDCIGPDVEKLVSALPNGGVLLLENVRFYKEEEKNDPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPTDVVIADKFAPDANSQVVPASAIPDGWMGLDIGPDSIATFNAALETTQTVIWNGPMGVFEFDKFAVGTEAVAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGVEST >Dexi4A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:4A:1444555:1444749:-1 gene:Dexi4A01G0002140 transcript:Dexi4A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPSNAGAHSACHRAGLLTTGDAAMWPRASASAPSAVLDDGTSAASLVAAPVAAGEP >Dexi2A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:2A:3228017:3236572:-1 gene:Dexi2A01G0003700 transcript:Dexi2A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMKPRSVQKGEIPSHVAENCGVSENACHGKCQSCYSDVKPFCSEVNGISSSIGSHADGKASKENRGACSFTSDRADALVEDIKGSVARSGHAESADPDGCLTAKNGSSMAVDDLPQEFEIEQAGATLQDLFFANDEEEDDSDWDPTSSLVLNRWFCSNCTMPNVDDTTHCLNCHELKGSALGGYDAFKTQIAQAALVSPDTELPPVSTAIGFDERMLLHSEVEVKPNPHPERPDRLRAIAASLAAAGIFPSKCALVPPREITKEELLMVHTTDHIESIEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLIVSGRVHNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFDGDSSVLYISLHRHEYGNFYPGTGAAHEVGILDGQGFSVNIPWSCGGVGDNDYIFAFQTIVLPIAAEFAPDITIISAGFDAAREARFATKPFALEVCFEALDPVKAYLFEKPPKELTGFFVVLPAVCRYNLRSISSSATEVVKVCIISNNSTHMGMEYVLVGDGSGFRSESAPSKEGLKTVLQVLKVQQQFWPVLGPTYASLQAQQGSVFSKSTSKGNELKKRKYSGGSGPFWWKFGSKRLLYNVLYESRRLRKIMGSREGRAIDFAEP >DexiUA01G0011270.1:cds pep primary_assembly:Fonio_CM05836:UA:22662257:22662641:1 gene:DexiUA01G0011270 transcript:DexiUA01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVDLNEPINWDEVEEFEGGVLDLDYNFVWDSGNEEGITIERTMTAMTRIKTTVMQVKQTKLKKETKLNKRTSSWTKKLMKHTPAPKLLPRHSTQVVHTTQ >Dexi3A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:3A:3475297:3475587:1 gene:Dexi3A01G0005370 transcript:Dexi3A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTGPTVTSLHARVPEGCAEEHQVAEIEELAAAGRAGEYRRGSTPPTVLGSSVVLPHRGAPPVRLATGRVRAALPCRTTDELRSMRIETTGEGG >Dexi5B01G0023870.1:cds pep primary_assembly:Fonio_CM05836:5B:25964313:25964591:1 gene:Dexi5B01G0023870 transcript:Dexi5B01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLDRIREVSAMGLLSVAGSPRFRVYEMDFGFGRPAKVDIVSVARTGAVAVAESRSGTGGMEVGVSLQPDGMETFRKCFADGIAWLHQRS >Dexi1A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:1A:23035092:23038807:1 gene:Dexi1A01G0016060 transcript:Dexi1A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPPVDGMETPEIFSGGGGGCATVVRRAVLIGNGSPGAENQCLGLARALGLADNLTLYRVTRPSGGINEWLHFLPISLHKFIDQVLRQFFRNTRFAIVVQGRRPYRVPNAGSVGLSTVLEADVKKIVTVARDTYEKEGPTLVVACGWDTISYSSSIRKLASDNVFVIQIQHPRSCLDRFDLVVTPRHDYYALTASGQQEVPRLFRRWITPQEPPRSNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLVVNIGGPTRNCKYGVDLAKQLATSLYNVLDSCGSVRISFSRRTPWRVANIVLKEFAGHPKVYIWNGEEPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVIGTEHCKWKFSAFHKTLRERGVVRPFTGLEDVSLSILPHDHLP >Dexi5B01G0025320.1:cds pep primary_assembly:Fonio_CM05836:5B:27382068:27385624:-1 gene:Dexi5B01G0025320 transcript:Dexi5B01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFPPGGGGGDHRGGDAGDAALARDIVTLHKALSLDPSTRRRRSLPLPSPSPAAAEQPRHKPRLKPSFSSSSSRRLLPSAAAAAANSSSAAASTSSSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSAVTVQFRRMSVSASTRSVAAALGAAAFEESLTLRSPVYFSRGAKAAVKYEPRAFSVAVSASTLELGKHEVDLTRLLPLSFDDLEDGGDSGFGKWSTSFRLSGPARGARLNVTFSCSLVGGGGGGEQQKAGEVSGLRRGSMARPVSVQALTPVPTRSRDVRVLHEVLPSLRSAGALPFAGDGGLDARKEEGSALDPTEEGSPEAKHCTSVEVKKEDLVHPESHWGNAEFSVVEHGVEVALDDPQMPKHLEASNVADQEEDLGFKIDDEESFKPALESNDVDEDQIVGVMLEEAVSDVAVQRENGEDKQDGILEPASLPIAAPEAENQFGRDIELEDLECIFNDLSIAEPEEFKSPIVEDKCSRRLSCSGMIDDYRSAIRKGRSRSMDASSDSVANEFLDMLGIEHSPLGQPSDSEADSPRERLWKQFEKEALASGNAILGLDFDDGMEEPICDDVVEDFDLSAMIHEAELELQNGNQPIDTRFRAKSMEDEETEALMRQFGLNEKSFQSSPPDSRSGFGSPINLPPEQPLELPPLAEGLGPFIQTKDGGFLRSMNPTLFKNAKNNCSLVMQASSPIVLPAEMGSGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWESAPPLESAERYDILDNRSIDALVGVVGSGGPGKKKKGRCADPSSSLGGESPSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEVAPSNISSKPIGEFSSLQGKSAENTWSLGLEGTAGLQLLDVKQSGEEVDGLMGLSITLDEWMRLDSGVVDEEEQHSDRTSKILAAHHAKSMELVAEKWNGDRKSKRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGSSEQDDEEPKTEEVPDKALVTEEKVEELEDSIPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLLAAGMGKGNKHPLMNSKALAKPSKESAGQSGDTLWSISSRVHGAGTRWGELSGAKNHSRNPNILLKKDKRLR >Dexi2B01G0025490.1:cds pep primary_assembly:Fonio_CM05836:2B:34715015:34725225:1 gene:Dexi2B01G0025490 transcript:Dexi2B01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAAGADGGGGTGGGGGGGGGGERSSFVIGLIENRAKENGSVLPGWVGVLLPPFDIILQVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELIGKHYPASKKVTMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAAFATIKWIESEKGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELMSNEELFWGLTQGLRKFPKESDKVLCHFCFKPKKITDEVLNPANGRRSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREEYNMPNLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFFRTELCLEADDGPTAINAGRHPILETLHTDFVPNDIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRIFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEHLLSVKAYTVFATHMEGLSELATMYPNVKTLHFEVDLRNDRLDFKFRLKDGVPQVPHYGLLLAKVAGLPASVIDTATSITSQITEQEMTRMDANFEEFQSLRMAYQVAQRLLCLKYSNQGDDYIREALRNLKEGYAAGRLP >Dexi4B01G0021140.1:cds pep primary_assembly:Fonio_CM05836:4B:23173743:23174066:-1 gene:Dexi4B01G0021140 transcript:Dexi4B01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQPDLGILRPKLAVGCLKGVFRPSTRICCCCCACSAFCRLVDGRDTSSRRAPALSAAALHAAGHELSRRSATRSSGSATVRALLVLEELDITCRLDDTAETLQVT >Dexi3A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:3A:2571159:2572824:-1 gene:Dexi3A01G0003850 transcript:Dexi3A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMDIDLAVQVTCPPVPGEDFAFATSETDAAFLVLAHLPGYGKDEVEVRVGAGGTEVAVACARKEAFSVEAAAARGMRVAHRQVVDGFRRVFDVPPGVDVGRITVGFEDDDGLLVVIMPKMAPPDDGDGDDEEARRLDVETTSGCESSGTERESDVDVETELELGDEASSLELDREDWVDVESEEDVPVVTDVPVVTDVAVETPVPVVSDVPVETSVEVEEDREVPVETSVEVEEDRDVPVETPVEVEEDRDVPVETPVEVEAEPPMVDIECDVVFEQLAEPEPLVETPIEVLGPPHREPEPPADVPNPPVDIQCDVESKPEPPVEQQPEEPKPPAEEPLQEPPAEEPVPEPRVEPPAEEPVPEPPVEEPVPEPPPEEPEPEPQAEPPVEEPVPEPPLEEPEPEPPAEEPVPELPAEEQVPEPPGEPQAEEAVEESPAVEHPAEDQRDSQESDDDSSDGSPNDARRRRGGRRGRRQRRGRRGGLRLGMVVAPALILLALAVAAARRRRQQQQGR >Dexi3A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:3A:2445091:2446347:1 gene:Dexi3A01G0003680 transcript:Dexi3A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMGGMRHHLMCPISLQPMQDPVTAPTGITYDRRAIERWLAAGHATCPVTGQPLALADLTPNHTLHRLIQSWRPRSTPVSVVEHRPDGVAADVVAKKLLCASSSSSPPIDVIREAADAASRSDVARRSMVDAGVLRRVLHLAVSCAKTKSSLQNVESCLDLVRALAVSGDELRPLLVADHHVHELVDALTDVLVALVEPGAGDPARATAVKLLDSVTEVAGAPIMERLRPELFAAVTAVVRDRVSPSATRSALRVLLHACPVGRNRALAVEAGAAHEAIELELDAPPSSSTTASGGRRETELGMAVLAELCACADGRAAVAAHPAGVAVVARRLLRVSAATDACAVRVLAAVGGRAASPEVLREMARVGAVGKFCCVLQADCDAAVKEAATAVLRLHSGVWSGSPCVSAYLLSRYL >Dexi1B01G0022860.1:cds pep primary_assembly:Fonio_CM05836:1B:28541329:28541497:-1 gene:Dexi1B01G0022860 transcript:Dexi1B01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFEGIEAPLIN >Dexi9B01G0032260.1:cds pep primary_assembly:Fonio_CM05836:9B:34510162:34527357:1 gene:Dexi9B01G0032260 transcript:Dexi9B01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNNVSASANPPTGPQTTEALDMDVAYYPLALLLPLILCLSSLHAGSAASITTGTADGTELWGYVEVRPSSSLLVVLQEPAEDVDAIRAMADSSLAAGRPALSSTVSSSPSQGASGVGLGNFQEIGPLDVDLKPRNSTWLQKADLIFVDNPVGTGYSYVEDDSLFVTTDWEQAAYAMTLLKALIKEVPTLQSSPLFLVAESYGGKYAATLGVAVARAVRAGELNITLGGVAVGDSWISPEDFTLSYTPLLLSVSRLDDNAGDEANKRAETVKEQIVAGQWAASQKSWGDLLGFIATKSGDVDVYNFLLDSGMDPVSSVDTSTGSSLSNLQAKNTNTIDSIMNGVIKDKLKIIPKDFNRHWHRVFSAAKLQSPSVPVGTVDTQSKSVSAPALRPTYLRRALRRSQRTRLPQTTPQAALPLVDMDRPCCSLALLLPLLLCLCLGSLRAGSAASVTAGTPNGSERWGYVEIEMSPHGRPSSGFKVARYATVDSSRSRHGKLRSNLELLCSTLCFTRIEPERMASFHFHPTIGASGVGLGNFLEVGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVKTDWQQAADATTLLKALVKELPTLQSSPLFLVAESYGGKYAATLGASVARAVRTGELKIKLAGQDSILPTRMSTVSRSEIAGSRQRISRVSRLDDNAGGEANKKAKDVKRQIEAGQFAAAQASWSDLLDFISTKSGNVDLYNFLLDSGMDPVSADTPTTGSSPSSVQALMYATYLGSQDSDSNTIDGIMNGAIKEKLKIVPKNLKLSLTSHRLGPRLASLPSIDMDRPYSLPTLLLPLLLCFTLGSIPSGSAAEPVTAGTPDGSELWGYVEVRPSNNELTSHGRPSSGFRVAPYALLPLRPPPMLELRSSLQAHSQSLALSFVLLGWQGASGVGFGNFQEMGPLDVNLQPRNSTWLQKADLIFVVCITIPFLLVFLVVFAAVIFVYRGFEASLPWRMQDNPVGVGYSYVEDDSLLVKTDWEQAADAMTLLKALVKEVPTLQSSPLFLVAESYGGKYAATIGVSVARAVRAGELNLTLGGVALGDSWISPEDFTLSYTPVLLSVSRLDDNAGEEASKIAETVKEQIAAGNFTDAEGSWSDILQFINTRSGGVDVYNFLTGSLDPASSSANTPTGSSFPSTVHGMTKYSRYLSGEGSGPNTIYGIMNGVIKDKLKIIPKNLT >Dexi6A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:6A:25724824:25731369:1 gene:Dexi6A01G0017900 transcript:Dexi6A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIKPSGNVQTCCSCSSTAPRRRFPLFLLATSSSFSSSSLVQLGRPGHGRGKNKVSIGCVASSSARSETPNSSSGGAAVLPAMAASTQVKAVATIKVTVGGFLSSLRPSRAIDDLKDLIGRSLYLELVSSQLDAKTGQEKPTLRSYAHKVAVNDDEVTYEADFDVPADFGDVGAVLVTNEHYFTEMFLENIKLSSGDSSSSDDVSTTAAPVLDIRCNSWVQPNSGDGNGNGDEPGKRVFFANKPYLPSQTPAGLLSYRNKDLEQKRGNGKGERKSTDRIYDYDTYDDLGDPDANPDKARPVLGGSKQFPYPRRCRTGRPMSSKYPDTETRKGSNYVPRDEVFSEVKQLQFSVTTLQQVLHAAVPAVQSTLINPNLEFPSFFVIDKLFEDGVKLPKAEDLGFLRSALPRLLQTLRESPGDQVLLFDLPANVKKDKFGWMRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITAEVLERQMGHVMTVGEAMKQKRLFMLDFHDLFLPYVHKIRALKNTTMYGSRTIFFLCDDGTLRLLAIELTRPASPTQPQWRRVFTSSTDTTESWLWRMAKAHVRAHDSGHHELVSHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMEINALARSALINAGGIIELSFSPQKYSMEISSVAYDKLWNFDTEALPADLIRRGMAVEDPNAEHGLRLTIKDYPFANDGLLIWDAIKGWVKAYVARFYPDAGSVAGDVELQKFWNDVRTVGHADKKDASGWPTLDSPESLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPVDAAAYAAFLDNPDQALRECFPSQVQATLVMAVLDLLSTHSPDEEYLGGPETAPWNDDGEVRAAYGKFTARLKEIEGIIDGRNTDRKLKNRCGAGILPYELMKPFSQPGVTGKGIPNSTSI >Dexi2A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:2A:22917709:22920935:1 gene:Dexi2A01G0013980 transcript:Dexi2A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKLEVVLWLSVEAACSGPRRLALLGNGSHLYLAAAEHQSVHQSSPKMSTHADLVSPVRQRRRADEVWDWESSSKGLALAHSSTSALGLCAEGYFGNEVGDGRSGRFGRTPNWRTPLSTVVFPRCGAAGVLIRHSLSTSPACPFLISSAAAAGERIRGIGV >Dexi5B01G0038670.1:cds pep primary_assembly:Fonio_CM05836:5B:37768900:37769118:1 gene:Dexi5B01G0038670 transcript:Dexi5B01G0038670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPSVEAGEVWVEILANVACELVAATGAYLAVAEETGYVAAEASVGIVVAAQEAMDEDLVAAEVDQVEDLE >Dexi2A01G0031320.1:cds pep primary_assembly:Fonio_CM05836:2A:42077220:42080018:1 gene:Dexi2A01G0031320 transcript:Dexi2A01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHPTEPGAGPEQAPEAALPLAAAAPAPVKKKRNLPGTPDPEAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPSRALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAPPAPPSPRPPDLEAEENVDKDKEKGQEEEENDDSAVADVEQPQCVEAPAPEAPQRIPSPSPPPLPQEPTCPPSPPPLQKEPPPRPSPPPLSKEPQLFPSPLPFPLEQRPVVAVAPNVDESEVVAGTTVTAKLEDEADQEEDTCFQEAEQYKEAELEVSNLLDKDTPMLPCFLPSPSEAIGTDGSSTTCGAGGSVSNSIAPSTTTNTFAGLFASVTTSTTSQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTSASNPGTFAPPPAPHMSATALLQKATEVGASQSSSSFLKEFGLASSSSSSTPSKLPPQGRFTDNGTQPWHHRSIQQMEMERRCNHQQREMESSSQPWNHCSTQPMEMERHRNHQQREMESSSQPWNHRSTQQMEMDRHRNHQQMESTSSQRWQHHRSDQQMDLERYRINQQMDMERYRTYQQMDMESTQQQRWPHHRSSQQMEVMERHRSSQQMERESRAMLSGGLGLGLAYESGNSGLPDLMMGPSPLFGPKPATLDFLGLGIGGTIGGSTANGGLPALMVGGELDMGSSAQVPAPWEDAKRKTNGRTIL >Dexi9B01G0026130.1:cds pep primary_assembly:Fonio_CM05836:9B:27948167:27948567:-1 gene:Dexi9B01G0026130 transcript:Dexi9B01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYVTRGNYSVKSDVFSFGVMVLEIITGRKKNKHNSNPWQSEDLLTMVWEHWKAGRVSETIDPSMNGVFSEDDARRTIRWIGR >Dexi9A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:9A:4454015:4456143:1 gene:Dexi9A01G0007610 transcript:Dexi9A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Dexi5B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:5B:9755841:9758139:-1 gene:Dexi5B01G0013630 transcript:Dexi5B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADHAISIHPTPTFSPYEGSLATSGVNRCDINRLPIDFLSKVLQRLPLKEAARTAVVSHRWRDVWRHAISPPPSIGRIRTFAGSSLASSGVNSGEAPSVFFRENPSVLFPFGLLSPCNILIDFVATQLPVKDAALLSDILTTQMPVKDYEWRSFLSQRWLLDLLTPPFSLDEGGITLPDVDILRNGEDRICCLPVNGGEDRISRLPDELLSSIVTRLPLKDAARTAVLSPRWRHVWVGCVAASDIKGVEDRISRLPSHLLSNIITHLPIKDAARTPALSHRWRRVWASTPLVLDDADLFPDNCVVRWRTITDAVSCVLAAHQGPFLSIRLTHSFYLAVTRDMALARKWLRVLADKGVERLELFNYPDSTFTSLPSEILCMASLCRLDLRHWDFPSTDVLIRGVNVFPRLRELRLCEIHFRTVDIDRLLQYSPELEFLVIIASDGKPPTVRIRNCNLKCVIFWMSVADMFQVLLAPSLERLILWSKKPDGQPIDDFPIRLNIGYAPNLNVLGYLDPKIHILEIGSTIIEAKTTPKPSSVVPSVSILGLCVRFDVPKEAQMSGGDDEPIGEFNFWVEAIPIRCLQSTLRKVVFKNYHGYDSELAFLRFIWERAHVLEKLVVDLAGRDDPALIEEVATKLKSKVCGKRIWKNRKAMVVLRTGGSNWSLSIASNLTLSDPFDF >Dexi5A01G0036030.1:cds pep primary_assembly:Fonio_CM05836:5A:37697893:37698669:1 gene:Dexi5A01G0036030 transcript:Dexi5A01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVHPPCGDADYDDNPVVRLLISELRKHVRNLRKRVRDYAPEGDDGEGTARGAPRRATTAVVVAAAEQDAEVEDDGLVADDDFLPLIGPATEDDDERLASSQEEDFIPVVDPDEDDDELASPEEDFIPIDTAEDDDDGMVAYGDVTVALGEPEMGDSVIPQVGLTDDDDGGQRRQLASSGQEEDFIPLDPDEDDDHAVYGGHGGGVLLNGENGLFALDEDEDLMSLDQIEGEDIVHDAAFYDRLVRPALSCCQFR >Dexi4A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:4A:10926574:10928913:1 gene:Dexi4A01G0012370 transcript:Dexi4A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIPTTGALSTLHKHDPEKLAVEVEVVAGGEHTEATTLAAIVSDDIIPIALATILDVMDSDDNGEFPMPAITASTIITEHVQVVVPIFVAVPTLDTDPSDTNMKVHANDLMRFLDSNIKIYVPMDGQDTVLIPTTFDRPALRLLLPSFHWVANNALPQPPPPHEPIATMMRYRPQTPSPHEPACRSLPPPYSTQKHRRHASSPELQQLRTGRFCSHASLRSK >Dexi1A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:1A:7556471:7560371:-1 gene:Dexi1A01G0009280 transcript:Dexi1A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIAQLRDCKYLPEAEVKGLCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Dexi6B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:6B:4092805:4093050:-1 gene:Dexi6B01G0004820 transcript:Dexi6B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSMLIGPLLSMVKDKVSSYLLDEYKVMEGMEEQREILERKLPAILDIIEDAEEKAAHRVGVRAWLKALKTVPVLTF >Dexi7B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:7B:9862892:9863357:-1 gene:Dexi7B01G0004040 transcript:Dexi7B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPKHPRVLLPFTGATLRIPDPLAEEIGFREAMLVGATGEAKVWRAEVGSDVDGAFLGRGWPEFAAACGVDVGQGWSLVLRHRGRGVLTVKAFDADSCLVDLGAQPPAADAGSGRTYT >Dexi9A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:9A:3394497:3397845:1 gene:Dexi9A01G0006030 transcript:Dexi9A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRLVIEKGGAMGISARWLKSLVGLRKVERQQQHRKEDEDGGLMKNDATYQFHGQNQHFQDDNSLAAQEELPEVDNGNGSPERDSDLPLCLEPTCGSPHGPLPQTEDELNEIWAATVIQTAFRAFLARRARRALKGLVRLQALVRGHIVRKQAATTLRCMQALVRVQARVRARRVRMALENQTDRQDSSPEQNNEAYVREIEDGWCDIIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQVVYPTVALTYCRSYCSSNEWQASSRQATAYEPDKNSWGWNWLERWMAVRPWESRFLGAYTADGVAMVNEFRQPDKSATPYRKPVKKHDPTLQSKTLNQKVFPSNSEGGGSSTNRSSGSVSAKSRMKVVTREGYDDASSRPSVLGARSTSNPKERTSASNQKERIGDLDCQVHKRFSLPGSGKCTHYLHLS >Dexi8B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:8B:2711343:2712107:-1 gene:Dexi8B01G0003550 transcript:Dexi8B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNDHGDGQQQTNKDDHLKRGFFDKSIGTAADLSKLLPTGTTMAFQTMAPSFTRGGTCGEHEVINVAFTWWLIVFLTLLCAVLSFTDSVRGKDGHTYYYGIAMPKRLVLFNHDENELELADELRKKPSSAPPFVFIIFPSERNGIGVETAPHPHTAAAPPDGGKTPATPTATRNAGEHAPAGLHLQQLQSRASARPRALGVMLRRTSSHDELDSVV >Dexi7A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:7A:30208074:30211029:-1 gene:Dexi7A01G0021670 transcript:Dexi7A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGKRKKRKERGDEAVANGLSASSAPFDRRVFPTLLAAAQTSGQSSISAALAARVLRRVLSRAPQMLSPLPDSLVALLPCLLSSSCSSVAALSCEVLGAAALQSMEAGEVLASDSGIAIGLMNAYGVYSLHLTLAIEVEPPFEWEGFTHIALHCWVEKQKLGGCSQFDKSMTKDETSLYALVLHVAIRLLSDKDPIFRKACLVAAKLPSSSSCATSHLKALRSSQRSFFYEIIKNIEKNCNFKEALESIKLAMQEKNDEPFQWMCWLRHLPQGGDADSRIDFCNILKSLEELVEAFSSNPEQALVGFTGFKSGFCKSAVYEDACQSFETLLQMYRTTRNQYMSGMLALHGAHVN >Dexi3A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:3A:3388483:3392231:-1 gene:Dexi3A01G0005240 transcript:Dexi3A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAISISCPSRSLQLNGSKPLSPLPPLLLSPDLFTPLPALLCSLARPQTSSSGTTIHEHQALLSSVACPPAIAGSWAAAAALHWLLLGCVCVLGYPESDLVRGLPGQPPVAFRQFAGYVDVDERAGRNLFYYFAEADGGEAASKPLTLWLNGGPGCSSIGGGAFTVLGPFYPRGDGRGLRINDKSWNKASDMHIFLLKWYEKFPEYKSRDLFLTGESYAGHYIPQLANVLISHNRESKDFKFNIKGVAVRTYAHLDYYEYYYFTEKLFISQFYIGNPLLKLDRDAASIYEYFWSHGMISDEVGSAITNACDFEDYTFSSPHNESQSCNDAIAEANKVVGDYVNNYDVLLDVCYPSIVMQELRLRKYVCFHSDIEVRVLNYSGTDGNINILPLLQRIIEQNIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLGVTVPYRAWFHKDQVAGWVTEYGKLLTIATVRGAAHMVPFAQPDRALGLFRSFVNGQRLPNTTTG >Dexi2B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:2B:29998291:30001523:1 gene:Dexi2B01G0019820 transcript:Dexi2B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVHDKATNTLTIIDSGIGMTKSDLFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKMTLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEEEKKDTEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVQFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEDLIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFCKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDETEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLLETALLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDESAEAEADMPPLEDDAGKSKMEEVD >Dexi9A01G0044890.1:cds pep primary_assembly:Fonio_CM05836:9A:48473239:48474590:1 gene:Dexi9A01G0044890 transcript:Dexi9A01G0044890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIIDRYDSHSKTLQKSEAPSQLQSHVDDSTCATLREELAESSLKLRQMRGEELQRLSIQQLQELEKTLESGLGSVLKTKSQKILDEINGLERKASTTLQISYRMQLIEENSRLKEQVTRMARIERQLGADSEVVYEEGQSSESVTNASYPRPPADTDDGGSDTSLRLGLPLFNSK >Dexi1B01G0028710.1:cds pep primary_assembly:Fonio_CM05836:1B:33170677:33175991:1 gene:Dexi1B01G0028710 transcript:Dexi1B01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGDSSGIGGMTGPGEKAGGGGGGAERRKYPIHVEDYELYEEIGQGVSAIVYRALCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTKDQSLWVVMPYMAGGSCLHIMKSDHPTGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDGRGGIKLGDFGVSACLFYSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFRADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSREKDESLMAQKKMSDGKKEEISQDEYKRGISSWTFDMDDLRSQASLGTECEDSISCKDSDTSFYDLDSSQEQGSDGPHLSRDFSMKFDADIENDVTANDKSTVSSPDQPACLLRNASMRGMPINGLVRKDNSTESYDLECQERHSDVVPSSSSHERKFSFSSCSSDGFLSSKERNSMASYINQLEVVCLIYLSFQSNTLLRANPFSCKLQSNIHNRDKCNGAPCHVSDETSPETAPKAHKSAEDHDDRSKPPLIRGRFKVIPGHIDFDKAQPPGLQKCHSMQTISRLPSLSIPSSAEAASTIIGGSFFMQLYSILQTNMLQREQILNAMKQLSGFDMVSPGVPSMASPCIPSTSRSLSPSASLSVDRSMLEAAYEKEKELMNEILELQWRLLCTQDEVQRLKAKAAQWIPGNP >Dexi2A01G0031860.1:cds pep primary_assembly:Fonio_CM05836:2A:42533586:42537622:1 gene:Dexi2A01G0031860 transcript:Dexi2A01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVTVDLQVIVPLPLAVFFFPAEIEKVVDKIQGNVPKIEWDFEGIHYFDNGPLTVQYLIVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGLELERNFGGQAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGLLKYGSNLSCSIDSNSEIVPGSEEEVEIRACSIYAVEKMRDLISKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >Dexi2B01G0030760.1:cds pep primary_assembly:Fonio_CM05836:2B:39007599:39010782:1 gene:Dexi2B01G0030760 transcript:Dexi2B01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALALPLPLRAPAPPPPPHHTIPNHIITHRQPHTPSSSSSPPRLFSPTSLLSTSRPTPTSSSRKPXXXXXXXXXXXXXXXXXXXXXRKPRLGRPLDPSRGDQPWHLPQSISLPARRALLALLADPADHASASPRDILSALPETDLAAVLNALASRGGDPGVALAAVLAARDLHGDRALHHPRVLPAAVRVLARAGRLAEASALIEAAPAPDSSAYTALVSAFSRAGRFRDAVSVFRRMVDGGVRPALVTYNVVLHVYSKMDVPWKEVVALVDSMKEDGVAMDRYTYNTLISCCRRRVLYREAAQVFDEMKAAGFKPDKVTFNSLLDVYGKARRHEDAVEVLKEMENAGCPPSVVTYNSLISAYVKDGLLTEALELKQEMELKGMKPDVVTYTTLISGLDRIGKIDAAVGTYNEMVRNGCSPNLCTYNALIKMHGVRGKFIEMMAVFDELKSAGYVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPETDTYVSLISSYSRCGLFDQAMEIYKRMMEAGIYPDISTYNAVLSALARGGHWEQAEKLFAEMKDRDCDCEKCEAILTEIKSSGVKPDIVTYNIFIKSYVANSMFEEAIDLVKAYSKFQTSLKMLF >Dexi2A01G0024390.1:cds pep primary_assembly:Fonio_CM05836:2A:36109660:36111387:-1 gene:Dexi2A01G0024390 transcript:Dexi2A01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGTITLCLILGLLAAANAVPFDFFYLVLKWPGSSCTVSDGKCCVPKDGGYPAEDFLVESFQPFDVSINKPLVSCRNSEPFDIDKLDPIENSINNYWSNIACPPSSTVSTLKSAWKSYGVCSRLEQLDYFKAALELRTQADVLGALTDQGIMPVLDMYSLDKIKWAVKQKLGVTPGVLCNDGPFAKQHLDKVYICVDTDAKTFIECPKLPATTCTESIIFHPFYTWMLNGTFAYDSKIMLN >Dexi9A01G0048080.1:cds pep primary_assembly:Fonio_CM05836:9A:50923163:50924983:1 gene:Dexi9A01G0048080 transcript:Dexi9A01G0048080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPSSAHNSPHWSTNSGAPVWSNDHSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRSPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGIKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDVGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWRPTCGVKSLLEDEAVTVGGTNHSHATKDLYDAIAAGNFPEWTFYIQTIDPDHEDRFDFDPLDVTKTWPEELVPMQPVGRMVLNRNIDNFFTENEQLAFCPGIIVPGIYYSDDKLLQTRVFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDSAKNAPRYPIPSVPLAGRREKTVIRKENNFKQPGERYRAMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLATRLSAKPSM >Dexi2B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:2B:7734184:7735001:1 gene:Dexi2B01G0007440 transcript:Dexi2B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQLVRRLVEQPHGPQNVSALETRAEHDVPGDGVLVGHRPERPPGAVVVAALGVHVEDRVAEHHVLAHAAPQHPPVHAPAGLHVAQPRAAPEDAREDEGVGRGGAAVAQHLRERAHAVRPEMIAVHAGRLLVDVPSNALRASWKPRAPTKQGWPQPLARSWGAPEEAAPVFLPRR >Dexi3B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:3B:8999817:9001719:-1 gene:Dexi3B01G0012820 transcript:Dexi3B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVTSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIVPDGVNAKLLGNHGRLEKRAPGKAFLEAVA >Dexi1A01G0028290.1:cds pep primary_assembly:Fonio_CM05836:1A:33968462:33974763:1 gene:Dexi1A01G0028290 transcript:Dexi1A01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGVQFMFDCVSGSLSDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDDKPMVKKVVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQLLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKQSKILAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGATSISKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDNKMQGSSLSTEDLRDLFTFHEQVRLNLFLWIYSSLFLFCSSCYQSEIHENLKCSRCNKDGNSLLDGNGFGLAATELSSKLSVQDYIDIGGFGEISGCLQKMNNSHRQIGKPSEEDLGSWGHHCDPSTVPDTILQSSAGDEVSFVFTNQVDGKLVPVESMARSACHQPNGIAASANRDEGPGKQPFLGKNLKIMGSNLKNSPLKCPTRSRTASPNCLQGLKKTSPSLDRHPQTKRLHVASDMSDDDFV >Dexi5B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:5B:4638237:4638780:-1 gene:Dexi5B01G0006910 transcript:Dexi5B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKPVVSEGGSGIITAGRAKRSNAQPAGERFRQLKDEENRRKLNKALAAGDSNASAFDAEDEDSDDETTEEGGTVEEPSSTGSDKKLPSYSGKKGKRSKRKRMVQ >Dexi3A01G0036760.1:cds pep primary_assembly:Fonio_CM05836:3A:42299559:42300112:1 gene:Dexi3A01G0036760 transcript:Dexi3A01G0036760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAHQQQQQAPSMMARVDRLDLVLGYLEEMMTQQHHHHGDGSSWSPSAASSSSSAGTATPRGSKTWRRRPAKEALEEAQTKGTLVDRIAFLEDRVLKMEEDMVTPENTKMMTKTSSSKKRNKQGGLKSLVKSCVRGKLKTKE >DexiUA01G0019880.1:cds pep primary_assembly:Fonio_CM05836:UA:41304502:41304906:-1 gene:DexiUA01G0019880 transcript:DexiUA01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKLAVFLTVLSFVAFVVHSCEPNCPTPAPPVSPSPPAVPTPPSGSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAALCLCTAIKANILGINVNADIDVSILLNHCGKTCPADFTCPSN >Dexi5B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:5B:13374211:13375840:-1 gene:Dexi5B01G0015900 transcript:Dexi5B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNACRVAASNWNGEGSFLFTSSTALYDCSDNMMCNEDCPSVPIGRSPRTDVLLKVENVVLEAGGSFPLTWTHKIDRGAHVFWLRKGTLDSRPDHIINQIHYEDAASLAIAIMKKRMRSQIFLGCDNKPLSSIIKKDKSNFAGKKLWTLLTEVENLKRNLKDLLVLTVHWGRGWRTPKLGLRLGGSQSTQASLNSLVSKISIFA >Dexi2B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:2B:26452422:26453329:1 gene:Dexi2B01G0016280 transcript:Dexi2B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASSLTRAFLIPMNSSTASAALPEFAQPPITVVHATASRSGISWPKNRRAASSSPHRTYAASMQFQAATDFPSISSNTFRASTSWRAAAYTSMRLVITCPFSPDGARTPLASARAWSARPWVSTPAPAEASAARRVEKENALGRSPWRPTRREKSASNADGVAPDPAAARRSRLARVGGAGPCGEAERKMSSARGSGGEGEELGEEEALVGEAVEEELGVELVEAAAGGGRGGRGGARAAAEVLRDEVEALLERARVRDVRGKVGWEEGDGSAARGIAWHVSPAAWAPGCFWPGG >Dexi2A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:2A:10894575:10895886:1 gene:Dexi2A01G0009860 transcript:Dexi2A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGGIRQLEAKSGDLPGPCPPPAGEVYEGPDLISRLPDDLLSEIITRLPTKDRARTQALSRRWHPLWRAAPLNLEAAVEHRNEENHVAAIAGALRAHGGHVRRVSVAWRGYCHRFPELDCALRSPCSGTSMNLTCSTLPGSPGSRLTASGFLIPCSVPPRPSASSASGRTPKLVPVSLSSVASTVKILALATRPNLDLVIRFLKCFPSVEKLYIKYHPS >Dexi3B01G0030510.1:cds pep primary_assembly:Fonio_CM05836:3B:30040087:30043229:1 gene:Dexi3B01G0030510 transcript:Dexi3B01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPLSIPHLPKNPPRGLSRLPAATSRVQQQEERMAQGALRGPSDYSREPPRHPSLRINAKEPFNAEPARRDLVASYITPVDLFFKRNHGPIPVLDDIASYYVTIGGLSGGPRRLSLDDIRKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLGLVGVPCHTEITPSGGKHVEFISVDQCPEEKGGPYKASIPLGQATNPAADVLLAYEMNGEVLKRDHGYPLRAIVPGAIGARSVKWLDRIDIIEEESQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPIQSAICSLEDMSVIKPGQITVAGYALSGGGRGIERVDISSDGGKSWFEAHRYQKQGVQYVAGNITSDKWAWVLFKATVDVKGDTEIVVKAVDSSANVQPESVESVWNLRGILNTCWHRIRLLATPNLRSSM >Dexi9A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:9A:7765114:7767466:-1 gene:Dexi9A01G0012260 transcript:Dexi9A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGAGGGPDASSPADPPRPEPDPAADADAMGARLPGDLLRAVLQRLPPIDVARSACVCRAWRAVASDRAVLEAAFCAPWGVRRVVGEPETQAFWRAASLGRFALSHAVRRGDTVPGVALKYSVQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILLGSTCYIEMDHNAKREVAVFYPEGRPSEITVSLANIISKERRSWRILESVRRSLHVDDGTAAYYLSVSEGDPRAAMMEYSEDLRWEQQHAGR >Dexi3A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:3A:11356008:11356643:1 gene:Dexi3A01G0015270 transcript:Dexi3A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHAEPKQKQSLMDKAKGFVAEKIAHIPKPEASLDSVSFKNMTRECIVLHSNVNISNPYDHRLPICEVTYSLKCAGQVVASGTMPDPGWIAASETTKLEIPAKVPYDFLISIIKDVGRDWDIDYELQVGLTIDLPIVGNFTIPLSTSGEFKLPTIKDMFTSSS >Dexi4B01G0023470.1:cds pep primary_assembly:Fonio_CM05836:4B:24814442:24818101:-1 gene:Dexi4B01G0023470 transcript:Dexi4B01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVSTSHLLITASLPKPKPSSLRPPRLPLAKPLPAALLALAAAPALAADAPAPPPAPSSPAPAPELQAEAPTPTANPFANSLLTAPKPSSAASDLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFVAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFLDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVERAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Dexi5A01G0024900.1:cds pep primary_assembly:Fonio_CM05836:5A:28756937:28758803:-1 gene:Dexi5A01G0024900 transcript:Dexi5A01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFHDLSLLSSDDSSRLLLSARALELEYAAVTFDHPHRGLLADSHRCRTQPIAPLSSLPLPTSAALHRRRLASPASEPFRQYTRTTLSIDSPAAAASALSTSAARLLRTYDIVAARPLTQAAFDHLCQVPYSEQLDLISIDFSHKLPFRLKLPMLKLALQRGLHFEIAYSPFIATDGDKCILADAKLLVDWSKGKSLIISSAAHTATEIRGPYDVMNLCSYLLGLPMNRAKAAMSTNPRSLILKALRKKHFYKETIRIDRLLPHEQLNSEKFLLGDWIGWDSESCKGDRHPSEANQMEPSSNKDQRPNSALYGVIQVSHDSPDVSVIAKPSEQPANGEEIPSQAQDEAVQADVLMDHGLSILPTSLNHQDPISPCKPGHNEDVVDHFVQAASGHSINLKSVDKHVDFDQEAMEVDATGSCRLDLLACSNVPSTSETSIKLACSALLHGMETSGTDLKDEGPRHSCEIVDDAKSYAQHHTDFVSHEREKTPFSHEISSGFDVCFKDRDVDQSTQIPIDNETYCGTSKPVVSSPGGIDDKELLDQRIDENMQQTLQ >Dexi8A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:8A:9899484:9900542:-1 gene:Dexi8A01G0008370 transcript:Dexi8A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSPTPNRTVIEEVTGWLRIYSDGTVERLTPPGAEPFTAIVPPYTSPRNGVTVHDITTDHGVDVRLYLPEAADTSPHRRPVLVHLHGGGFCVSSPNWALYHNFYAPLAAELDVAGIVSVYLPLAPEHRLPAAIDAGHVALLWLRDVSCGWNIYGAGDDDSSTVVGRFRDGANFSRVFLIGDSSGGNLVHLVAARAGEDEPGVLHPVKLAGGVLLHPGFAREKKSRSEIENPPSLFLTPEMIDKLLALGLPVGVNKDSVYTSPELAAKAVAHIRMPPLLLMAAEKDLLHDPQVDYGKAMEHVGKKVKTVVSRGNVAHIFYLNFFAVKTDQLTADRTKELVHTVKSFIDHH >Dexi1B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:1B:4206985:4210742:-1 gene:Dexi1B01G0005150 transcript:Dexi1B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLHEEMPFDLDFHPSSALVVTSLITGELCMFRYGPESQPERLFSVKAHEESCRAVRFVDSGKVILSGSADCSVLASDVETGKAIARLEDAHENGINRLICLTETTIATGDDEGCIKVWDTRERSCCNIFHVHEDYISDMTYVADSNQILATSGDGTLSVKNLRRNKVKSQSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCSDRFLGHTQSVDTMLKLDEETLISGAADGVIRLVGILPNRIIQPLAEHSEYPIEALALSNDKKYLGSLSHDKMLKLWDLQELLNGPQAVNGGEHAESGCDDSDDDSDDDGMDVDMAPTSSKGSRRKNVGKDQSSSRPGSDFFADL >Dexi4A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:4A:10899291:10908153:-1 gene:Dexi4A01G0012350 transcript:Dexi4A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKSCVLLLLPVAILLLAGSSPAMAQLEIGYYSKTCPNVEAIVRAEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVDRVKAKLEDACPNTVSCADVLTIMARDAVVLAKGPTWPVALGRRDGKVSSATEAADELPPAYGDIPLLTKIFASKNLDVKDLVDPPDYRALPSRFTTIAASQGAGSSSRCSTATLPVMPTSGDPRPHVVLLPCAGMGHLVPYGRLAVLLSGAHGCDVSIATVLPTVSSAEASHLDSLFAAASPGVRRLDFPRAPFDESQFPPGSDPFILRFEAMRRSAPLLGPVLASAGASALVTDLMLASVVLPVARERGVPCYVLYTSSAAMLAFCAYFPSHVDANATAGVVDVDLPGVYRVPKASVPKALYDPEHFFTKQFVANGRGLVHADGILVNTFDAFEPEAINALREGKVVPKFPPVFAVGPLLPVKFTPAKEPAGYTRWLDAQPARSVVYVSFGSRKAISPDQLQELAAGLEASGHRFLWVVKTTVVDRDDAAELGDLLGPGFLERVQGRAFVTKGWVEQEEILQHGSVGLFISHCGWNSVTEATAFGVPVLAWPRFGDQRVNAAVVARGGLGACEERWSWDEEEGLVSGEEVAGRIKAVMADETVAMKAAAVRDAAAAAMANVGTSYRSLAEFVARCCDAGGRRD >Dexi2B01G0021730.1:cds pep primary_assembly:Fonio_CM05836:2B:31435011:31438723:1 gene:Dexi2B01G0021730 transcript:Dexi2B01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAALRLRLRVLRRPRLQRRRRRSRGGREAGAEDEGQEPVLLVSGMGGSVLHARRRSDPKFDLRVWVRILFANLDFKKYLWSLYNADTGYVEPLDDDVEIVVPEDDHGLYAIDILDPSWVSSRVLVLWKIKNVIRLLAVFLFFYASVCRTLHLSMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMSGLKSKLETAYKTSGGKKVTIISHSMGGLLVRCFMSMNHDVFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQMLVECPSIYEMLPNMDFNWKTKPIIQVWRKSPEKDGTVELIQYEAADCVSLFEEALRNNELTYNGKKVPLPFNMAVFKWATETRRILENAELPDTVSFYNIYGTSYETPYDVCYGSKSSPIGDLSEVCHTVPAYTYVDGDCTVPIESAMADGFAAKERVGVKADHRGLLSDENVFELLKKWLGVSEKAQRRHVSKAEVVDLSC >Dexi3A01G0032450.1:cds pep primary_assembly:Fonio_CM05836:3A:37347577:37348066:-1 gene:Dexi3A01G0032450 transcript:Dexi3A01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAPRALSLLAPYPPLTLRMSCWRISVGGESRRGAVAVRAKKKKRGRGGDGEEEERVDTHSFAPKSGEATGLFPEAVLLRKL >Dexi2A01G0034660.1:cds pep primary_assembly:Fonio_CM05836:2A:44524297:44525470:-1 gene:Dexi2A01G0034660 transcript:Dexi2A01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLIRLFFHDCFVRGCDASVLLDKNNDNQTAEKFGIPNFPSLRGYEVIDDAKAELEAACPGKVSCADIVAFAARDASFFLSAGKVTYFAMPAGRYDGNRDLLNLPPPFAGFNQLVNMFAAKGLDVFDMVTLSGAHTVGRSHCSSFSDRLPPNASDMDPAFAAVLQANCTSANGTDNTVVQDYKTPDDLDNQYYRNVLDHKALFTSDASLTSDFTSNNLVRAYAAIPYLWQRKFEEAMVKMGGVEVKTAANGEIRKTCRVVNSKP >DexiUA01G0024970.1:cds pep primary_assembly:Fonio_CM05836:UA:52340123:52342750:1 gene:DexiUA01G0024970 transcript:DexiUA01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSVSKTSLPVLRWPREAAQDAPPRGPLPPPRPRLLRRLHAPRQGRNHEPQPPTSPGTPSSMAPSRLRRLLIGTAAASSSSSSIPAFGSVTVSSLNPAEVAKFAAIAETW >Dexi9B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:9B:13448452:13449944:-1 gene:Dexi9B01G0018850 transcript:Dexi9B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDQQFEHMHLPIRGLNLHVAQAGKGDKGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDEPPVEEEVVYDDLLDDLLGILDALSIPKVFLVGKDFGAVATYDFALRHPDRVCGVMCLGIPFTPFTASFPNMPEGFYMSRWLEPGRAEADFDRYDVKRVVRTIYILFSSSEIPIAKEDQEITDLADLLTPLPEWFSEKDLSVYASLYEKSGFRYPLKMPYRSTDKRETIENPKFQVPVFVVMGDKDYVNKLPGFETLLKGGIMAMFAPDLKIAFVPEGSHFVQEQFPDKVNELLIGFLKDHSVPATA >Dexi2A01G0023110.1:cds pep primary_assembly:Fonio_CM05836:2A:34889927:34893532:1 gene:Dexi2A01G0023110 transcript:Dexi2A01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIAFASARNPSPTRVSSPSSASPFSRVPQRFAVCGPWRGRARRLRALRPQSQVQATRATASDASHHDVVVVGAGIVGLTIARHLLLHTPLSVAVVDAAVPCSGATGAGQGYVWMCHRTPGSDMWELAVRSKQLWEELAAEVDGQGGGSARERLGWMRTGSLLVGRTPEELASLEERTKVLSQAGIHAEFLSASSLHALEPALSVGKDGGAMFLPQDCQIDAFQAVSLIEKGHLLVVEKFDKVKLNHGLMEVGYADYQIAQSNSSNMASESSEDEHGALSISMTATLDTKGNLVLGSSREFKGFSREVDKSVVKSMWERAGEFFPAMKNVPLDIDQNTQIKIGHRPYMPDGKPVIDFIPDLPNVLIATGHEGNGLTMALGTAEMVTDMILGNPGKVDSSPFSIKHRFSGIIFRKYQCFLHMPLVYNSVQK >Dexi9A01G0032970.1:cds pep primary_assembly:Fonio_CM05836:9A:37798145:37801546:-1 gene:Dexi9A01G0032970 transcript:Dexi9A01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPTTTTTGGSGIGAILAAGDRDFLVRNSGEQVKISSIEANTVALYFSASWCPPCRRFTPKLIETYKELSSQGKSFEVVFLSADNDEEAFNAYFAKMPWLAVPFSDSDSREAIDGRFKVSGIPHLVILDAKTGEVYTEDGVEFVSVYGVEAYPFTPERINELKEQEKAAKDSQTIQSVLSTSTRDYLISNKGDKVPISDLEGKYVGLCFVVGGFGPVDQFTSVLAKIYEKLKEVGEKFEVVAVSLDSDESSFKESFAKMPWLAIPHGDKMCEKLVRYFELSSLPTLVLIGPDGKTLNNNVADIIEEHGFEAWEGFPFSAEKLDILAEKAKAKAAAQTLESLLISGDSDFVIGKDRAKVPVTELVGKTVLLYFSAKWCGPCRAFLPTLVQEYNKIKEKNSDFEIVFISSDREQSSFDEFFSEMPWLALPFGDERKEYLTKTFRIRGIPSLVAIGPTGQTVSKNAKIQLMIHGADAFPFTEERLEELQKKLDEMAKGWPEKLKHELHEEHELVLMRRGTYGCDGCEAIGSSWSYRCDECDFNLHPKCALADKEDKGEEEDGKAAEEAPAGYVCEGDVCRKA >Dexi2B01G0028850.1:cds pep primary_assembly:Fonio_CM05836:2B:37396690:37398533:-1 gene:Dexi2B01G0028850 transcript:Dexi2B01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNIPVQPPMTSSGLLRYRSAPSTVLGELCEDFLSAGARAGSPDAGGADNVFARFLADHHIRDNKPSPPPAAAAGHFHSEADMASQQQHQQQMMFHSQHQQQQQEMVDAKSGLYRTVSSGMEPAAAAGTSLTRQSSSPAGFLDHLNMDNGYGAMLRAGMSMGFRDGSSAATADSLAGGGSRLKGQLSFSSRQGSLMSQISEMDSEEVGGSSPEAAGGSRGYIPGYSMGSGWEDSSSLMSENLSGMKRPRDSLEPGQNGLTHQFSLPKTSSEMATIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLKESHANCTCSASKNQQHSE >Dexi9B01G0028970.1:cds pep primary_assembly:Fonio_CM05836:9B:31559338:31563336:1 gene:Dexi9B01G0028970 transcript:Dexi9B01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGAGSGESPTAAAAEAAAAGATLHIRCANGSKFTVQADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVDTDHTIHLVRGVAPPAAPAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRSSGIFGPGFPELENVEQHLSQNPNLMREIMNMPAMQSLMNNPDLIRNMIMSNPQLREIMDRNPELAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFAALLGNQGSNQPGQPASNAPTPGSESTTGIPAPNTNPLPNPWSTNAGSAQGATRSSATGSARTGATSGLGGLGSPDLGSLLGGLAGNPRSGAAGGLGGLGSPDLGSMLGGSPDASILNQMLQNPAMMQMMQSILSDPQTMNQLLNFNPAARNLMESNTQDGNNSGSATGTQGNPSLDTLMSMLSGLGSGGGLGVPNNSNVPPEERYATQLTQLQEMGFIDTVENIQALVATAGNVHAAVERLLGNLGQ >Dexi6B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:6B:25902367:25902591:1 gene:Dexi6B01G0019040 transcript:Dexi6B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRGGPAPRGAGADSTARGPPLGGAAPLLRGATPATASGSVEVRRGESGELRRRRLGTGAEGTARWGAWVGC >Dexi3B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:3B:14665976:14666770:-1 gene:Dexi3B01G0019680 transcript:Dexi3B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAETPQPGGPAPTPSVDMLLAFLRRKLAGEALPLATAAHFHDADIYAADPATLTLGFDPSPAKKCEDGGSWFFFTHVKPKSRNDSRKSRMVGGGAGTWHSERAPRAVFDDEGSCVGHSQYFSNKRKTGKNCSERTDWYMVEFTEGQEGDHERVHGGEPMLVLCKIYRAHSSSRSSASSRYARKRKPTDEHVDQSSAPARRSAASLPLLQQRRLCRKSRSAPESPWTDCRVKQQSLNLRCLMAISAS >Dexi1A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:1A:13834547:13835462:1 gene:Dexi1A01G0012730 transcript:Dexi1A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQPVLPFLLLLLLPAAGRPCPGGRTRVFILAGQSNMSGRGGATNGTWDGVVPPECAPSPRISRFSPALRWEEAREPLHAGIDVGNVLGVGPGMPFAHAVLAAAGVGPAAVGLVPCAQGGTPLANWTRGTELYERMVTRARAALDGCGGGAELAAVLWYQGETDAMSREDAELYQGRMETLVRDVRRDLGRPDLLVIEVGIATAQYNGKYLSEVREAQKAVTRTVPNVRYVDAMGLPIASDKTHLTTEAQVQLGNMLAKSYLETL >Dexi7B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:7B:14905135:14909906:-1 gene:Dexi7B01G0007090 transcript:Dexi7B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGRRSAASAGEVAVESSRSSLSALSPGDLGAPYGRPCGLLLFVRPGGIPEEWPLGWVMPRSESDSDDIFFDAFEDDQSAREPSSSEDCSTSDEVSVPKKFEYEIWANEPMSVQERRERFLKGMGFDEFVSTRMDSFQCHGEITAVEPFNNTEERTVSDHSSLNSSVGDNESEFDGSCCIRDMDSGRRYIVHNDAHSSITDLLKEVGSDKVMSLLEFENLLGLSRSVQKLLRRGYGNNPARETKGAKKKDVKTLWKKFMTKRSFGGICKYDVHVKNCTTGVPTRTNVQHRKKSFLEFSAVYMDQEIRAHKGSIRVMKFSPSGWYLASGGEDCVVRIWQIIEVEASPKLFKGEDAYEKVEKVQVFKTNIGRGQNRALAVIPKKAFRISETPLHEFHGHTSDILDMTWSNSDHLLTSSKDKTVRLWKPGCDGCLGVFKHKDYGKQNGNTLLMRVADWADTRNIITALSYQPDGKGFIVGTIAGACRFYNESGENIRLERELFVQGKKKSAASRINSLKFCTSDSNRMIIASADSKIRVTDGDTFRKFEGPWKSKALSSPSLTSDGRYLISAGKDSHVYIWNYANSGHAKSVHSCELFFSKDVTTAVPWPGVRQDGHTKPSCLTEKSASAPALRRHGDCRSPGPWSFADGTKGSATWPEEKLPSATKPESAPQLGDCLSVISAAWNTVIVTASRDGVIRSFPNYGLPVRL >Dexi2A01G0035590.1:cds pep primary_assembly:Fonio_CM05836:2A:45185704:45185929:1 gene:Dexi2A01G0035590 transcript:Dexi2A01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEHMCYTAIDNLPDEVLTDVLLRIPTPAALVHAAAVSKRWRGLIASPTPTGRFLNHVMKHS >Dexi9B01G0046910.1:cds pep primary_assembly:Fonio_CM05836:9B:46161937:46163089:-1 gene:Dexi9B01G0046910 transcript:Dexi9B01G0046910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAVVPVDISSDEEDGVGKPAAAAAGKRKSPEGALEWAEKMLAEEDFGADAGGFDPAAMQAFLDSLLDTTGIVMGDKESAVDDKNAVRGACGGGDDDDVDGDPDKPVAVAKEEGPRRDAGEDELQIVAEKGELACRDFPHPRHLCATLPFGTSSHAKHCSM >Dexi3A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:3A:15193984:15197387:-1 gene:Dexi3A01G0019330 transcript:Dexi3A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEPSSPPPPPRRPRTGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLASRHSNLCKKVFPNRDKECAFCLLERQIARLLRADAGALDSPAKIIRCMPLFAEHFRWGRQEDAHEFLRYVVDACHTTGLRMRKRLTAAVANGNRGDEEGRGQQSVCMVMRETFGGSLLSQVKCLVCKGESNKTDEIMDISLDLPGSSSVADALARFFQPEILEGANKYSCERCKKLTSARKQMFILRAPKVLVIQLKRFEGINGGKINRNIEFKESLVLSDFMFNKNQDLQPAYNLFGCIVHSGLSPESGHYYAYVKDAIGQWFCCNDSHVSLSSSQNVLSEKVYILFYILNSKNQKPSTNGYSSTAAKSFSTNGIGISTTSSSETLKMPLVKQNGSCSTKGNALLPLKNGKIASGPLFKPIHFKNSVTEKVRSNGKENLPSKLNPEVNESATSSESNELKTGKFVGPSKRSANGTVSSGKIDDHSERILQDANGNDHLIHSQCLGEANNGNATCDQQYSERSSSAVASKSPVIHQEESANSVKDVHLKRQLEEDKFKKVH >Dexi7B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:7B:3833193:3833747:1 gene:Dexi7B01G0002260 transcript:Dexi7B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVKISTGKLISLSEQELVDCDVHGEDQGCNGGEMDDAFKFIIKNGGLTTESSYPYNAQDGQCKAGSNSAATIKGYEDVPANDEASLMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTASDGTKYWLMNNSWGTTWGENGFMRMENDISDKRGMCGLAMQPSYPTE >Dexi1B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:1B:6643022:6643612:-1 gene:Dexi1B01G0008000 transcript:Dexi1B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSMGGSLALAAATAVAFSGSLVIFSLCRAHLSHPTADDDASAGPLRPCLSSSEKRRRGGRVKRKAEKRVRFAADVVDNEGAPRPTRSSPAAAAGTCRGAAAESSSDEPTMMPANREALYRGMLRDRSAHRVTCSY >Dexi2B01G0034710.1:cds pep primary_assembly:Fonio_CM05836:2B:41968178:41968642:1 gene:Dexi2B01G0034710 transcript:Dexi2B01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVSSLGAQAGGRPKRQTPPLPLDILLEIAARSDPATLIRCAATCRDMRRRAADPAATFRLRHTGGRFVLPLLRGHLTGPTYRPTGDDHQYLLDTTAAMATKLTTARLPSSSSRRGDVRVRALGFARRPRPPRPDHHD >Dexi9A01G0035700.1:cds pep primary_assembly:Fonio_CM05836:9A:40299828:40302362:1 gene:Dexi9A01G0035700 transcript:Dexi9A01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVEAVRSSVLDLDRFGKAVKLTAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHIAEVTGIPCQSNEFVQELLRGVRLHFDRFIKELKESDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFGWHFPELVKIVNDNYIYAKLAKFIVNKSDLAEKDIPALSDIVGEVEKAKEIVEAAKASMGQDLSPIDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRATTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLREQVEERLEFYDKGVAPRKNLDVMKAAIDSMVNEASIDDDDNERTDASAKKSKKKKSKTEADADAMDLDKPSKAADGEAEPGTEKKKKKKKHKLENQEQENVTANGDAEVEETPKKKKKKSREVSEDAEPKTATEGKKKKKKKSKAEDDE >Dexi7A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:7A:18848367:18854137:1 gene:Dexi7A01G0007490 transcript:Dexi7A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDAQCRQGLVRGPKSVNKLQTGGGQRRKAGPQTHPQISISLSFSSLLSPSLPLAQVVAQSLPSSAPARAEPRKQTRVSLLLRAPLDSSRSDWRLRAVGERGNVAAMQRQGRQHLERSNSKRALDHGGSGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAGPARIQGRSSPKRIEGPDGRNLKLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDSNTGHVVTSGPESSAKLDVLVLEGDFSKDEDEGWTEEDFESVIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLNENQRVSVLSMPITCNAGLGSLLSCQHHIVDTLWIKILEVFADTLVKQAYDDWINVVEYDGKALLRFKQKKKSITTRSETAKASASYPASNGSSQKQLAGGPVNAEQSSLNNISEGDGTRITSIGNQAARGHAANPQDMAPSITMQYDMSSLPPEGQFSGSSIQAQASSSSNMLALRPMQQQLPHFGFSGLGGQPTQPSALNPFDDWSRLQENRGGVDDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLSNVDSFPPYPSPAPAFNFEEDRSRSSGKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELEN >Dexi7A01G0024270.1:cds pep primary_assembly:Fonio_CM05836:7A:32018729:32020121:1 gene:Dexi7A01G0024270 transcript:Dexi7A01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGLLRGLVSPAGGAAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMAPTLRPGDRAVAEKVTYLFRRPSIGDIVFFKVPTAVQNYGVNKDAVFIKRVLATPGDFIEVRQGQLIVNGVAQKEHYTATHALYTMEAMRLPEGHVFVMGDNRNNSCDSRAW >DexiUA01G0006160.1:cds pep primary_assembly:Fonio_CM05836:UA:11636607:11638106:-1 gene:DexiUA01G0006160 transcript:DexiUA01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDPRRRRERTSRRGNGHNGGRRKPSPSPTRCPGTELKKPSASPPPPPPPPPRTPGWMEPPLPAPAGDGSWLILDRFVHYSRRHRGVIEGDATNSSLAEDCAGRQVRVSLRIADPPAVSRLYLHWTDRPHTDLPFSMPIAIAAHRNSILFRMTVPFDDPMWWHDTPSFPIEHFVYSCCSSSPPSLTPLPPCFEGGDEDPDLDKLVRPHRRQRQRTMFNAEMGILCHDDNGYFTVAHLAYRSQKLELCLVHHQPSAGVPMDWSLKQLDIPLEMKIDLDSWRTDVVVPIGRSLCWVDYYQGMLLVDVLTTNSQSSPKQQQLHSIRLPSQLLKYRRLYNDAGDPDPFRHVCVTDSGIIKLVCVFVNRSPSDDGFKIITWTLVDIDKGSWIKDVDTTMGADEFFDLFDAAESCLPRVKPTFPVMSLVDPDVICFLLKEKDCNLTWMVEVNMRKKILLSSALYIAKKEEEAHPSQKDCKNGFYGHYFIPTKFSSYLSKDAITR >Dexi9A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:9A:1031292:1036274:-1 gene:Dexi9A01G0002000 transcript:Dexi9A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQQEPGSQMRTRLRSTCVESREEEAHVESEVPSASLLLAKGLDPGKERCAEIFPCLARHVGPPDTACGPGTSASTRLGWAAVLLDRVLQLLAPSVGFYSASVNVKFEEGATFIFGSWLCTANQDGKFRHELRDVTIALRRELCGETMASPPPPVRVTVRRSSRVSDSNTIFGSYPTRRSTWRQKPSSTRTNDDSCLVALKYQDQTDSRRTRLLGGLRIISSICQGTSVRTVTSVIQEARPRSTLVLFLSEQGFLSEQGSFFDKNPDYGNQHGSFFDTNPDYDDQPSSFSTKNSDSAQLHQRIPPHHSSRRPALRLSVIVLRPVNCATSRCPAAVDLPSPRVSSRCGDDLALPYPSLRRPLPFNTSNQRRP >Dexi7B01G0022100.1:cds pep primary_assembly:Fonio_CM05836:7B:27081150:27082589:-1 gene:Dexi7B01G0022100 transcript:Dexi7B01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVPIVSSIAGAATVVVMIALIRRCVAVKKKMKKIIIAKVLEEIERETQACDALDDVVIDIGPVETFLKEILNEKPMRFSSEQLAACTRNYSSELGSGGYGVVYRGDLPNGLPVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDMNTKALVYEFLENGSLEKYLYGEEDGKPAARLEWKTLHGIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTGDFTPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEILGRRRNFDPCHGESKEWFPRWAWERYEQGEIDRVVSCCSGRDGMVMEEADREKAEMMCKVALWCVQFQPAAKPTMSSVVRMLEGEMAIVPPVNPFHYVMDSSGSCSSSSALWSGSYQSSRDTTAGRNSELSVSPAAKSTDPIIEDVTPADAP >Dexi9A01G0025860.1:cds pep primary_assembly:Fonio_CM05836:9A:27242454:27244124:1 gene:Dexi9A01G0025860 transcript:Dexi9A01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding LITAFGSPFAHRVEVALALKNVPYELVVEDLANKSALLLQHNPVHRFVPVLLHGGRVVCESLLIVEYVDEAFHHGAAAPRILPADPYDRAAARFWAQFIADKCLKPLWLSMWAGGDAQARFARETKESLAIHDAQLEGKKTRFFGGDAIGDELVAFFAANKERYTGQGRARRLLRRQQGEV >Dexi8B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:8B:23490539:23492139:1 gene:Dexi8B01G0013350 transcript:Dexi8B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGEPPVERPKWKLAFEVPPKFPIIAGENVRDANGKPLMVILVDANTGKPLPAPPGVLRIELVPLLGNFPREEWWDAQHFQRGIVNYVEDQREKQPVLGGEYRPTMWDGRATVNELMFADGSTICGCMFRIGVRVLPGSYDGPRILEGMSQAFMIRERHCYELHHGINYKWQLAFLSPPQMPVDFSRQIRDVIGNPLEVILVDSETGLPSPLPPTVEELHIQLVSLFLLHPTEAKALLTFLPDKHDWSSADQFQRAVSNSRGLGLCLSGDVSLAMKKDGRVTVKELQYTGSLFTDCFAHIGVYVVPGSYNGPGTIREGITKDFQVLDSRENIVTKSWPPGLGDELWRLKHISWGGVFHRRLEEKNVRNVQDFLRMLAVKPDELRTIVGEGMADHTWSGVIDYARNCVYPGDKVYAYSTAHVTIYVDSIFGLTKVEMDGVECPLQQLDEAQKLFN >Dexi7A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:7A:23159845:23160803:1 gene:Dexi7A01G0012970 transcript:Dexi7A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEMELKGCFRRIKNCAIELFSTMEEDMEIDDEDSWELVGRDIRLKATFLYIDLSRVIACCEGEEHKKALTALANRFFFSMDELGDAVESRSLPLTQARCSDTANALREVVAVLAPSLQQPGPRDPEE >Dexi3A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:3A:13761976:13767838:1 gene:Dexi3A01G0018060 transcript:Dexi3A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSAALGEFVRRTVSFLLAKCERPPVTGQEDLKRLRSLLLRSSTILEQAERRHVASRAMLLQLRSLRDKTFRGYYIFDTIRCRSSLTLHGGHKDDGDGGVEEEEEEEVNRRAFALSSSNTAKRARFSSNSNRSESEVAAGAVVLRVACSPRELQEMACSLEAMIRDMKEFVVFLMGYPILCREPYSAHLFVEKCMFGRNMERERIMEFLLQKDPESNTSGNLLGVLPITGPSLIGKSTIVEHVCKEERVRNHFSLILWYGGNDLRDETMPSFRDNCVIKHKNDNASEQRLLIVIELLDDVDDEIWKSLYTSARSIPRGSKMLITSRSKKIERFGTTEALRLKCLPTEAHWYFFKMLVFGSEDPEQHPKLISLAMEMAYDMPGFREYLKKNVSGLLGEEYPDDLEAAAKDQPQLCGLAMELFSSAAITELVNRSISFLFARCKKKTTATVQEDLHLLRRLLMRSDTIVEEAERRDVVNPDMLQQLKALREQTHRGYYVLDTVRCRALPGIGGGGHVDSDDDDNDDDDDEEVGLNRHAFALSMFNPAKRLRQESMAAINDGADTSSGELRRVVCSLQAMIDGMDEFVMLLMSCPPLYRQPYSVYLSLDRCMFGRSMEKDTIIEFLLRSEPPNNAVNNLGVLPIIGPTHIGKSTLVEHVCHDEKVRNHFSLISVYRGNDLKDETEASFRDKCVIKHQNDQASEKRLLIVIELLEDVDEDAWKRLYSCERSMPRGSKMIITSRSENIIRFGTTEPLRVKRLPVEAYWYLFRTAAFGSDDPEQHPKLASIALEMVDAMQGSFIFASMGADVLRANFNTRCWSRILTRLRQYLQKNASLIGEYPDDVKVKGYPRFSWSIIKEKPDKYFMLHDIYQRDSAHEKVPQISLSDLLTDRAQTTGKHEILFWKSRIPPYFNYICKCEVRDM >Dexi6B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:6B:26036711:26040260:-1 gene:Dexi6B01G0019240 transcript:Dexi6B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSLLIPWVEGLVAKAADALVQRITSMWGVEDYRSKLERQLLYVRSLLADAEEKAEANTEAGRAVKAWMKKLKAAAYEADEAEAGESTPRKVLYFSRDRVVFHHKASRDLKNVLDKIEDLAPQVLYQQTHSALDETADIFGRDNDREVVVKLLLDQEGQQTVQELQCLPRLRYLRIWGCSKLEGKGPSSEEEEEILPLPRLERLDIVHCQSLLQIPKLPASLEIIRILETRNLVALPSNLGDLAKLRSLNVSRCSALEALPDGMDGLTSLEVLVTFDCPGIEKFPKGLLQRLPALKYLLIRGCPDLQRRCIEGGEYFDLIASIPGKDIQPPAMETQPWQRFLPSRSGGSRGN >Dexi3B01G0023310.1:cds pep primary_assembly:Fonio_CM05836:3B:18100304:18100993:-1 gene:Dexi3B01G0023310 transcript:Dexi3B01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRGPLVAISSNTFQAARTSPLRNRRRNNAASAERLGVFPERRTHVSTWSASAAIPAARNACSASRSTPYRTRALLPVDDDQERRSAEASGRNAARRIAAKGRSAGADSARRAAAAKRRGGGRGAADGVKREVASRGGLEEAREREEGALAERRRQREDAAVVGMEELRGRIDVVVVAVDRRRWRATRRRSGVLGCLADAARRRIWRRGGGGGGGRRRAG >DexiUA01G0007220.1:cds pep primary_assembly:Fonio_CM05836:UA:13796993:13798740:-1 gene:DexiUA01G0007220 transcript:DexiUA01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPTVTLRHASAAAWGTVCTRHAVDARRDAPTRVAFRRGCSRGDLVRAAGLGRFFGAGDQGSSKSHEVTRSQSQVDDLAPARLFVGLPIDAVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYKAVADMVRAEGLSLRVSLRAHGTPGAGVPTLPSWVTGVAVDDPDIFFTDRSGGRHDGCLSFAIDELPVLHGKSPLQLYEAFFRGFANEMEDFFDDSTITDVTVGLGVHGVLRYPSYPPGSDARKFTGVGEFQCYDKYMVSQLRRHAEEAGHAMWGLSGPHDAPRYHESPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGMASNVFAGKPVELSAKIPFMHWWHGELSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMIVPGMDVCMNKQLHSAGSSPDQLLAQIKNACRRHGARIAGENASLAMTHSSSFSRIRSNILTTELMRPCHFTYQRMCADFFSPDHFPQFMEFVRSVICGEWDEDDEEQRGMMAMSSAANNGNAREATKAA >Dexi4B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:4B:118115:134281:1 gene:Dexi4B01G0000180 transcript:Dexi4B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSPSPIAVAACRHQALLTPSAFPAPIPARFSPRHRRRPRRPLSSVPAAADGDASSSTVSAVSQRGAPTSPVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSAIGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNILYTEGITYGELFLENEYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWAETRENLGHPLGTYEETNLVCPHVSEKLSREEMPGQPRAFVLEIGTEELPPHDVVEATKQLEKSLINTLEKRRLSHGKVHSYGTPRRLAILVENLSMKQTEVEVELRGPPVAKAFDQEGNPTKAAEGFCRKNNVSVDCLYRRIDGKTEYIYAQVRESARFADEVLTEDIPTIVSGISFPKSMRWNSNIVFSRPIRWILALHGDFVVPFSFAGISSGNSSCGLRNSSAANFKVETAESYLSAIEKAGLLIDMQERKEKVLHESSILAKGVDGDFIVPDSLLQEVMQRHQKYFAVTSKSTGNLLPYFIAVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKFSEFRGQLSGILFHEKLGTMLDKMMRVENTVAELTLILGINERMIPTIKNAAALAMSDLATSIVTEFTSLAGIMARHYALRDGIPEEIAEALFEIMLPRFSGDVFPRTDAGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKSFDLRGALTLMAEVQPIEIQNDVIDEVDEGINREIVRSVLMERANCPYLAAQTATEMEAFSRTETFPKIVEAYARPTRIIRGKEIESVLEVDPSVFEKDEEKVLWGAYLNAADKIHPGVDIKTFADASLLLIQPLEDFFNNVFVMAEDKRIRNNRLALLRKIESLPKGIAELSVLPGF >Dexi1B01G0025960.1:cds pep primary_assembly:Fonio_CM05836:1B:30929846:30931984:1 gene:Dexi1B01G0025960 transcript:Dexi1B01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLGSLAVTHLLQHTLRSLCALDDAQQWVYAVFWRILPRNYPPPKWDLQGGIYDRTRGNRRNWILAWEDGFCNFAASSAYDHEGAAAPAAAAAYTAECAAGGQEAAATTTKQAGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFQEAQQEHEINLISSWSNPADSYPRTWEAQFQSGIKVAEDLSYVVMLRRKFGYLESIPGVLLPHPSSAAFPAASGAGYAAAVGGGPADATCGWPPPPPPPGLVAPPMELYDPYYAQAAAAAQMHVVPSMSSLEALLSKLPSVDTGMAKEEADAVDRGECLGATLDVAAAAGSGGESTSVAAAVATTSAATGSYYVDVAATKPSEGF >Dexi1B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:1B:22896604:22899968:-1 gene:Dexi1B01G0016400 transcript:Dexi1B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPAPSASPAISSLHSLSSHYVLLPARFLPPGGVRAPLRRGDLAIRNGGGPRTYPGGVSKWQWKRMQAKKARQLLKARLARERQLYEMRKRTELRDAVAHLERPWDPDTTSTADASAVAPNLLSVGAEDQLRALADRFHRPGGVDLWNDRDGPRVFASPATGAASARFFPKNAVHSVQPYALSSGEVSAPRALGNAADLPLLGRGDGRAQGARVNATENGDEAYLTGDDEPAVELMERDGTWEPVNALGDGDDSIPGNWSSDDDIDADPLELEDMGDVLPRHVPQPTMLRRHGRYSGVARWEAAGAMASGSDDDRDWSGDASFSDSEQAREGHREQRWQGRSAGARKHGVRWNASSTVASSRHRGRAGAGSFSDSEVIHGGSEPKWRPRTDDIRNGAGRWNASGQQSISN >Dexi1A01G0028460.1:cds pep primary_assembly:Fonio_CM05836:1A:34087653:34089562:1 gene:Dexi1A01G0028460 transcript:Dexi1A01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEWEGGGEETAREETPVDFDFMSLLAKPKVRRKVTPALDYYKILEVNYDASEETIRSNYIRLALKWHPDKKQDEENSTSRFQEINEAYQVLSNPAKRREYDKKGILYVQDQNVVDYLNRHKGLILTCNGLGIRHSVW >Dexi9A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:9A:6854752:6855414:-1 gene:Dexi9A01G0011050 transcript:Dexi9A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHQERPRQVYRECMRNHAAKLGTYASDGCCEYTPDDAHPSAMLCAACGCHRNFHRKAFLDSPSGAPTGGGAMLASPGVPAAGYGMHMALAPVDGGSGGRRRTRTKFTDEQKERMARFAERLGWRMPKRESGRAPGDDEVGRFCREIGVTRQVFKVWMHNHKVGGGGGSGGPGGGGGGADQTSSTTTRGGGGVGGGGSLSPAAMDEEDEEVRGSEMCM >DexiUA01G0020990.1:cds pep primary_assembly:Fonio_CM05836:UA:43231399:43233071:-1 gene:DexiUA01G0020990 transcript:DexiUA01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSYNALDGSLTPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLAGNAGLCTRGGDVCFVSVDGNGHPVSMSTAEDAQRAHRLKLAIALLVTATVAMVLGMIGILRARRMGGFGGKGGNGGGGGSDSESGGGELSWPWQFTPFQKLSFSVDQVVRNLVDANIIGKGCSGVVYRVSIDTGEVIAVKKLWPSTHTASCKKEDGGTSGRVRDSFSAEVCTLGSIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDLGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRCRDRAAVLDPALRGRSSAEVEEMLQVMGVALLCVSSTPDDRPAMKDVAAMLKEIRMEREDLANMDVLLKGGASPASSSTSSTPPYRQGPSNSNSCSSSSFSAIYSSSNNKAKSPFG >DexiUA01G0021760.1:cds pep primary_assembly:Fonio_CM05836:UA:45002663:45003607:1 gene:DexiUA01G0021760 transcript:DexiUA01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLVMAVVLMSLARLSVGSRRLMDLYIPPVSDQLTYHHGTVLSGDIRVSILWYGKFTPSQKAIVSDFLLSLTTAPNAATPSVGQWWGTIDQMYLSTAAATTNGAGATPATRVILDAQVSDEAFSLGKSLTLAQIEQLATHAGGKKGGIAMVLTGEDVTVEGFCSSRCGKHGSTAEAPQSTYIWVGNSATQCPGQCAWPFAQPQYGPQGAPLVAPNGDVGMDGLVMVLATMVAGTVTNPYGDAFYQGPKDAPLEACSACPGAYGSGAYPGFPGNLLVDKTTGASYNANGVNGRKYLLPALFNPATSTCSTLV >Dexi5A01G0032710.1:cds pep primary_assembly:Fonio_CM05836:5A:35135925:35136377:-1 gene:Dexi5A01G0032710 transcript:Dexi5A01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSRSCRLFRAQRSLHDLLGGGTVADVVLWRKEEVAGGLLAAVVASWALFYCVPGYTLLSFVSQVLMILLTVLFVWAKAAQLLNRWMAPSI >Dexi2B01G0024320.1:cds pep primary_assembly:Fonio_CM05836:2B:33800740:33801159:-1 gene:Dexi2B01G0024320 transcript:Dexi2B01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVVARRAAGLLPLLLSSPSSARIPLRRALSLTPLPGSYRLLSHPARPFSSSAFSASASASNGVAAERARELHLYNTKSRKKEHFRPRAPDGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRFPTLFIVPDSA >Dexi9B01G0036620.1:cds pep primary_assembly:Fonio_CM05836:9B:38107863:38108099:1 gene:Dexi9B01G0036620 transcript:Dexi9B01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVARARAASRLCFQDSQVVSEQALAPGNKGTDERRTGPVHRARALKVFTLRRIDRDGCGARRAARHRRWSTQQHSS >Dexi5B01G0034520.1:cds pep primary_assembly:Fonio_CM05836:5B:34737491:34741024:-1 gene:Dexi5B01G0034520 transcript:Dexi5B01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRRPATVKASKSLTETSRSSCCHSIIASARTSPSLLGFGWSSACSAMLLLLRGIVLLWGWGDRAAQASTASACAYPQVKNGEALELNAAVEDEETYNILVFEYICNRSLEWHLFDQSASVLEWHKRHAIAMGIAKGLRFLHEECRAGPIIHLDLHPSNVLLTHDFVPMLGDFGLAKWNVGNSLIRTSILGQSGYFAPEYAEYGIASVKTDVFRFGVLLFQLISGRKAEPLVETLAFHELIDHRIQDTYDTYGLYHLAKTAYLCVRTNPEQRPSMGEVVRLIDSKNEHIRDLSQQLVPHFTK >Dexi7B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:7B:22906074:22906531:1 gene:Dexi7B01G0016870 transcript:Dexi7B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMANRTTTVLGLKPKHQTPQQILIAKEEERQRKLAEEREKRAAAAERRLAAMAAQSAGTSSAAAANSGQKAAPDDNSCSCCFTSLAGKVPFHRYNYNYCSTTCMHLHSEMLQDD >Dexi5A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:5A:1575562:1577188:-1 gene:Dexi5A01G0002210 transcript:Dexi5A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIAVPSVPKKTGEFSTADEVINSLLDVRPENWGLPPFNDWIEGTLPIEPWFISGPVIKGFGRGSKEPWLLHDFAEDFYGEELRLAIVGYIRPEANFPSLESLIERIHEDGRIAEKALDLPMYAKYKDSPYLRNPLHQGSTTDGSQAELNSM >Dexi3B01G0029180.1:cds pep primary_assembly:Fonio_CM05836:3B:28258153:28259771:-1 gene:Dexi3B01G0029180 transcript:Dexi3B01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELSRPLRLLHSLPSLRPVRMLGFGWAGGWLIALISPAVRQPSPAERLAKNARIRLAPYPVCRRPVPPAPFPTATAAGPLASASHRASTSRSTVTAASVSAAAHHGTVATLSPYRVASPVPLLSRGAAGRRRTRWRTRRRVGPPPPSPHPSQGTVRHRRSLFLAALPFLFSARIEFPRWQHRQIRRALHRMAGNPTPGQNGAVFVQRQDVTRILVRWQQTPFGTPLSMGY >Dexi5A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:5A:11929721:11939798:-1 gene:Dexi5A01G0014740 transcript:Dexi5A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQASAAAAAATPALRFLHPSFATGLASPPLAGGCGGFRVQFHPSRRGWGGMQGWREGGSHVARVGGLLGGMFGGGGRDDGEGTRKKYADTVARINAMEPEVSALSDADLRARTAALQERARAGDSLDSLLPVSFISSVSFFDIDALLNGGLLFILTENMTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYILNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGVPIQNETITLASISYQNFFLQASGNSFFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVNPIDGVIVSKKQMPPRKTWKTNESLFPCELSKETLSSVKDAVEVAVKEWGEKSLSELEAEERLSYSCEKGPTRDDVIANLRNAFMKIADEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDCIYAERRRALASDSLESLIIEYAELTMNDILEANIGPDTPKENWDLSKLIAKLQQYCYLLDDLTPELLESKSSSYEDLQEYLRKRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAFKSVVKNQEEEKAQNKGSKKKVDKLGAAQAA >Dexi2A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:2A:14316902:14318693:1 gene:Dexi2A01G0012310 transcript:Dexi2A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAPPPPCSLRLRAFRRDGILSFGCRFPSIPGCLATRNTLRPRGRGGRAYCLLSGGDNRKKQNEARKALENALGPKKAEFDKWDMEIERRRRRGQPGGPSTGGGGWSGGGRWFRWLTNGDFWDAAKQSVLTILGIIAVFFLIANFNVLVAAAINSLLLVLRVIRRTLSFIAHCVFQDTLVERPGPKSSTLDKSNVAPVPVKEISGMSARERVVRKWGTD >Dexi2A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:2A:3565687:3567568:1 gene:Dexi2A01G0004040 transcript:Dexi2A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAAPLAFRRDVRGPLGRRPLGSRSGLHGGGALWCSSSGAAGGSRPAAAPVWLARARGRNRSGAGGRSSTKDEDEEDEEVAAEVVIVDAGDEEEFVADELAGFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILYRDDFTCQYCSSRENLTIDHIIPISRGGKWEWENLVTACARCNSRKGQKTLEQANMKLRKTPRAPKEYDILAVPLTKSAFRTLKRNHGLPEVWLQYLSRPSP >Dexi9B01G0040510.1:cds pep primary_assembly:Fonio_CM05836:9B:41039133:41039450:1 gene:Dexi9B01G0040510 transcript:Dexi9B01G0040510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVSLNARCRSRSGSACASETMSLHMPTWETRCAALVLKWRCRPSADAASLSWMRFHAAVSSFRSFHTARHEASTESDVAPRTSSEEAARPARMQRSMRP >Dexi2B01G0029350.1:cds pep primary_assembly:Fonio_CM05836:2B:37776778:37780859:-1 gene:Dexi2B01G0029350 transcript:Dexi2B01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVGGGGVGGAGGWGTWEELVLGGAVLRHGGAAWPAVADELRTRSPCAFSPEECEAKFAEIQSRYSACNAWFEELRKQRVAELKRELEKSENSIGSLQSVIESLSNSKHGDGSSECRTTESCSQSENTADNSSGKEASRDRSSAGSFTEEASNFQKSQQVQLCDTDSIQANNPSSDEPHPQDQVEKVCPKDSLLWGSRKQRGRRARRTIMKCDDSSRDAEPTSTACIEREGSSEGCTKNLKNPKVESTVMKKGFKTPKVESGVMNKDLKTPIVGSGVKKGLKTPNGESDVMKKGLKTSKAESDVMKKGLKTPKAESDIMKKGLKTPKAESDAMKKGLKTPEAESDVMKKGSKSPKAECGQPVSERIKQKLTEILSNISTQGDCLMLQRQLDAQRKRVRYKKMIRRHMDFRTLHSKIKNGAISSTKDLLRDILIFVNNVIAFYPKATLEHMAAVELRDLSAKIVNQSASLLLKNRGETGTSGASVVKKNAQALQPGRPGPGNARGSKASSREATAKEGEGKSSRSDSSLTANQETIQRNELVKKRGVGRPPKSEQRTAGVQEDNPSKGRKRGAGAQVDSPSKGRKRGAAAQEDSLSKGGKKSKR >Dexi7B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:7B:25798710:25799017:-1 gene:Dexi7B01G0020520 transcript:Dexi7B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEVKAEPLNKQDDSTRSHVSSSLQLCDRQSVAATDSAEQRKKTRMRSSASTLRSKTRPAAGTLVVSPASVLRQWANELSVKD >Dexi1B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:1B:6751235:6752041:-1 gene:Dexi1B01G0008070 transcript:Dexi1B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCHKALSSPHHHHLPTLRRRLHRSSGGGSALRIPSAAAAMSSSAAAVTTPIEHIVLIKVRPEAADSGAAAAMVSALQALSAQVPGLAHIHAGPVLRLGSPAAAALGPTHVLHSRYATEDDLHAYAAHPAHVAAVGAHVAPNALDATAVDWVNAAPAPSPLAPGAVVRLTLAKAKDGVAPGEVVEVVTAATQAAAELMGAEVRFGVSFGENFSPARAKGYQFGMVVVFDSVEELDAVEGNEKVLEARAGVRSRLDDVLVLDFVVGAC >Dexi9B01G0044400.1:cds pep primary_assembly:Fonio_CM05836:9B:44171442:44172177:1 gene:Dexi9B01G0044400 transcript:Dexi9B01G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPERVHRRLTAATLLPPQPAAERGTTTTSGKRKAADVDDDELESTTDDDQFEAEFQLFKDDDGNEAGSSWASKSRAAPSLASSGAGSPAPRVAGGPAATSSCKKYRGVRYRRSGRWAAEIRDPAQGRRAWLGTYCTAEEAARAYDREARRIRGKSARLNFPLLHDEGSRRPVAIDLNLPAVSDDLDAAAGDDTMHDAADVRRAGS >Dexi8B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:8B:23816552:23817565:-1 gene:Dexi8B01G0013520 transcript:Dexi8B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPLLVAGARNDDTPGGRPRRGSATMDAARRTAAHSGRRPSGSARRETPPPVGPRSVSDSPPEAAAMAAAAAVSDSPPEPAEVTWTGARQSGQLTWARSHVSMHPRWNAWRHRGSRRSVSPSRNSARHTAQLPSPSSSAPAESFFPASYTTAAIAAMARSSSPLVRIYHTWCTPPSSSSSPTSSSAAATAPAITWPPPSSTSCCAASAAAARARRRRRRSRWTRRAMRRTAEKASRDAESAMSGVGRRPELMWTVAEGRWRRRRAEDSEQVSAQAKGSGGKGGTPARVVTSRCGNGPVGFGGFGRGGFGFSSGGGGMGTHAAGLKL >Dexi2A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:2A:14079053:14079388:-1 gene:Dexi2A01G0012130 transcript:Dexi2A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGASEIDGNGGEIVSSRTINRPTPAAAGRVAARWPPSKPRSTTLRPPSHRALGLLPVQRRAIAHRPRPLAGVKEDGEHGWKTRRSRSQTGCDRVRSDLRNILAILQYSP >Dexi8A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:8A:6836327:6837887:-1 gene:Dexi8A01G0006570 transcript:Dexi8A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREPAKRAVPPVGGSGSSIEVLPDSVLEHILGFLPSPEAVQRSVLARRWRHLWNSATGLNVGCGSVPISVEELRSLMNHLLILRQGSPLEKCKLEFDGYSGHDDVPHVNLWFRQVVMCKVQGPEPKVLMKLRVDPTKRSGAISKNLKKVELKCEVVDVRVLKVLKFLSLFNI >Dexi3B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:3B:1924764:1925419:1 gene:Dexi3B01G0002890 transcript:Dexi3B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSATFAHVLDSSPLLHRHPIPLRYKSLSRPYPIKQKHRDHHTSILFSRPFDPTAAFDPRFHKEDRSMAYMRVTHRDEEGKKVTEKMPIPETRRPDTAKHFERKLEEQGFHRFERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVEDELDPAPPAIDPNDPNYEEEQEQEEEVAKEVVVGEVEVAKVAEERDGVARVDVAPPLLQEQKQ >Dexi2A01G0034890.1:cds pep primary_assembly:Fonio_CM05836:2A:44713702:44717167:1 gene:Dexi2A01G0034890 transcript:Dexi2A01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRRKQGELLGSGPLRPANIIKNKFPTFKNGSNGIIIKLADSPEIPTLKESVAKETTDLLDKHQRLSVRELTMKFEKGFNTATLLSNEVWIVAVHCSVKWRHAALLERDILLKNLKSVLESSRSRVAIKNRDEIEESLSMVDILAVQLSKREDELLQQKAEVTKIAASLKLASEDAKRIVDEERANARLEIENAKGAAQRVHLALKEQENVSQGSGKQDVDELKEEVQEARRVKMLHCPSKAMEIGNEIQVLRDQLAEKSSDSVHLLKKLELHRRFEENDMPLYELEGLETLGSMLHIVVWDNPSVDFSNGSVQWFRIHPEGSKKEIISGATKPVYAPEPHDVGRYIQAEIKFGGQISNAKTAGPVDPAAGLADYVEALMRNPETEYNVVVLQVNGVTQPADSLHVLCIGRLRMRLAKGTSVVAKEFYSSSMQLCGVRGGGDAAPQAIFWRPRKELSFVLAFETTRERNSALMLARRFAMDCNIILAGPEDKTPW >Dexi9B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:9B:7628187:7628504:1 gene:Dexi9B01G0011710 transcript:Dexi9B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIFRNENKYLHFNFHQDPYFEYEFWLKEIGVDGLFTDFPGSLHNFQECRMPYPKMENRETLL >Dexi7A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:7A:1296599:1298338:-1 gene:Dexi7A01G0000490 transcript:Dexi7A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAIYAVGHVSLSSVPVDHQLVAFWAPFLLLHLGGPDNITAYSLEDSKLWLRHLLNLVVQVLGVAYVLYKYVAGGDSFILLAAVLMFGVGVLKYAERTLALKRSNLDSIRSSVEKQVMEEGDMDIKKEEDNYSAMWTLMEMELSLMQVSSTLCLAGYAIRVASSLAAAASLMLVKFSNKGGHSTVDVAVSYTLLVGAFVLEAASLLRALVSTWTHGFLCNTRWSWLQYAALCTGRWDRLRWLVKAITGRGGEKQTSARRWSGKIGQHNILHVCSRQNRAYDPLLGKIARMLGYEDWWIRPEAAHVQIHKAPDLKVGTEHAGCDTKELGSRGVPGSWEMDLYNSLYDANLLGVEFQEGIIIWHIATEVFIAESRGPGTEDSGGLVKTIRTLSNYIIFLLVDRPYMLLGLAHRMLYRQTCDNLADIQQHSSGNLKRGMCTKLKDFFRLHDGPRAGGKHVEDLAKTLYKEKPTPTLAVPRLYFSYLVARKLIRRIEETGRVAMLKLLRDVWIDFLVYAANRCSRESHAKRLGNGGELTTILWLMTDYLHLQANNAAQDRH >DexiUA01G0005010.1:cds pep primary_assembly:Fonio_CM05836:UA:9122046:9124183:-1 gene:DexiUA01G0005010 transcript:DexiUA01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKHLVLVTCFWLLSCALLLDASPDGLLRINLNKKKLDKEALTAAKLARQESHLRRRFSGPRQSLGASSDDEVPLDNYLNTQYFGEVGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHHRYRSAKSKTYKKNGETCTITYGSGQIAGFFSEDSVLVGDLVVKNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGGAPPIWQSMKEQNLVAEDVFSFWLNRDPDASAGGELVFGGVDKKHYKGKHTYVPVTLKGYWQFDMGDLLIGGHSTGYCADGCAAIVDSGTSLLAGPTTIVAQVNHAIGAEGIISTECKEVVREYGEMILELLVAQTSPQKVCTQIGLCVFDGTHSVSNPIESVVEKEKLGSDLFCTACEMAVVWIQNQLRENKTKELILNYANQLCERLPSPNGESTVDCNQISQMPNLAFTIANKTFTLTPEQVAS >Dexi8B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:8B:4612604:4615573:1 gene:Dexi8B01G0004920 transcript:Dexi8B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSFCSTATFAVFVALCLFGLWMVSSPETIPGAISLSSATAKLAAVEVKEEDSSIDATNTVKKDTANVVAEDDPVKLAGDANGDDEKASSSSSSSSKDQSFDDENGRTEGGELVKPGTGSESTDGAAAAAAQAKSFAAVEEAAAAETDSKDTGGGLVDQTASTNAKEIAGGQELTAVEQASTDAKESGTAEQAAAEATDGKESGGAVAGRGGGGTPKNLTFDDENGKMEGVDLVKDDGNKTRISEESAMVEGAKLTYRDPIRWPHSRDKIWYHNVPHTMLAEYKGHQNWVKVSGEHLTFPGGGTQFKHGALRYIDLIQRALPDVVAWGRHSRVVLDVGCGVASFGGYLFDRDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPANVFDVVHCARCRVPWHIDGGKLLLELNRLLRPGGVFVWSATPVYQKLPEDLTKAMCWEMLKKTKRSVVDDQVGLAIFQKPVSNSCYDKREQKDPSLCEVSDDPNAAWNIQLRACMHRVPEDESVRGSRWPEPWPERLKKAPYWLDSSQTGVYGKPAPEDFAADLEHWRKVVRSSYIGGMGIDWKTIRNVMDMRAVYGGLAAALREMKVWVMNVVTIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKPRCKVLPVVVEVDRILRPNGKLIVRDDKETVDEIKSVVRSLQWEVRMTVSKNKEAMLCARKTTWRPTEIETR >Dexi9A01G0027080.1:cds pep primary_assembly:Fonio_CM05836:9A:31400642:31401392:-1 gene:Dexi9A01G0027080 transcript:Dexi9A01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYSNIHFLTDDSSWPTGYLMEYFDFSVKSEPERFNLVVAALLNATADYAANNSTRRCLAYIIEASLGMYANLIGARTLAIRCTFRYETKPFISGPLMVSLGGTPAGSGAPAQAPAAVVTRTTAAGRGGGVQ >Dexi5B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:5B:5864461:5865945:1 gene:Dexi5B01G0008640 transcript:Dexi5B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQTAAARGTQFENGTTHRSTNHANRPSGIRKGSKGCSTITWLKNSRASLRYSFFRFFFTMAPPFNYLLARRRSPGTHLGTDWALKSQVPEPITRTAAAPEPPLEPRSHTAARRTQRFQEPGSRDRRGLVVGSRRRVWAGRAESVGFRARHCWNLEGVKFGTHRQPPAACVSRCRFPRRRRAFSDQMMTVSTGPPVWLRNFSVGRRLLVTEGGGTVCGSSVESTWI >Dexi5A01G0036940.1:cds pep primary_assembly:Fonio_CM05836:5A:38322278:38327287:1 gene:Dexi5A01G0036940 transcript:Dexi5A01G0036940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLLPWPSPSTTRLNTPPPTRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLPWPSPSTTRLNTPPPTRPPPRTTRVRPPLPPPPPPYTRVRLPPAPPPPTKPPPPRLEPPVATKPTAASTPLPPATASTAASSSTCLDCIHFGKCSGCTHEVDLDKPPVLQEVANFFKGHGVGDFTFSRGRLSQWRCRAKLAVRGTPENPSIGLYQEGTHVVTDIPECRAHHPSINAAVKLLRQGISELNIQPFDEDAGTGELRYVQMAVTTYNTSIPVDKRYEQGRVQVSLVWNSRDERSQNAEKLALLIEFLWRNGGPKSSFHLIHSIWANFQTSTSNIIFGHKWRHLKGERDLWERYGGVDISLDPCSFGQANTLSFNSLLHKLNKYVPRGSTVVDLYSGAGVIGLSVAASRKCRSVKCVEINKQSKMSFEKSASRLPTNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVISALQKVALSERKAYKAKSSLAKVKDEKRPWILRAREAAVHVDSTITEESIETWPETLIYISCGWESFKKDCKSLISGNAWQLENAHAFNFFPGTDSIEILAIFKRESEAGQKKKKKAKKKKAK >Dexi2A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:2A:27229636:27231156:1 gene:Dexi2A01G0015820 transcript:Dexi2A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLVQVDQSTVAIKETFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALSDKASDAFYKLSNTREQIQSYVFDVIRATVPKLDLDDAFEQKNDIAKAVEEELEKAMSTYGYEIVQTLIVDIEPDERVKRAMNEINAGKMRLAASEKAEAEKVIQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQANPQ >Dexi7B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:7B:16469367:16471541:-1 gene:Dexi7B01G0008700 transcript:Dexi7B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAKTNTGESLHCSTFASRYVRTALPRFKIPDQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPFDKPNIVTGANVQKEDHDRCCVSHEQNEVCWEKFARYFEVELKEVKLREGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLVAKNAETGWDTSIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDVMQNCRDNATVLREGIGSMGYFDVVSKDSGVPLVAFSLKDSSKYTVFEVAESLRRFGWIVPAYTMPADAEHIAVMRVVIREDFSRSLAERLIADLGKTMADMDAHAGKKDHPAKKSVHDIEKEVTTFWRRLVANNKKKSSMVC >Dexi9A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:9A:3195125:3198427:-1 gene:Dexi9A01G0005740 transcript:Dexi9A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDKVTVHDQVAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGAEKVRMENKTWKIDTGTRTLEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPTEDQVSYGCSKLSFHLTLLYPFTSNQVAPPLDWEQYVSEIATDILTEQSPKRLYAVRQKFYELLVNCIPPESILKKLLTELLRKLDADLKHEICHWAAHYEHKMRLGSKAIFHLEGMLSPLLSSAYYS >Dexi3B01G0033350.1:cds pep primary_assembly:Fonio_CM05836:3B:35967508:35971872:-1 gene:Dexi3B01G0033350 transcript:Dexi3B01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLTRDFGLRPQGKAAPMSASRSSGPGGSAWKNTTRSASPSSAAAPSAPLYDDLFAPAAASAPPPTKSTPSPSFDTIFDSYKEPSTATAQPPKPKHSSMPLYDKPVYDDDDIFVGVPGLKSSSSRHDDVFGGSQSQAPAPDFDDLLGGFGKKSQGMEQVEEKRKPRPAAASAGFDDLFPSFGGRSSPRQREAVGAKEKKVSMSASKPTASMASDPFVVLETTSSSAHPFKDQLDELGKPAKYQGKSHESTSADSSLFEDSSTFNQASKSEPLFTSEVDNVSKDRSHSSRARDSNPVQSFPKRHSAQQPSAEDFENIFHKSQSARYSDVHVDMGSEKYTGNGINDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPPVALKQKHGSKSKRKDDDYLRRPRKNHDHQRSSSNQAGVSSVSELEDFAMGKSQNAHAFKGEEFERSTAAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNRERQEQDNEARSYAQDREDKERKERLEQEREMRQKQEKENEQRRLEEERELERQRERERARQAVERATKEARERAAAEAHAKAEREARQRAERAAVQRAQQEARERAAVDAKERAERATAEAKERAAVERATAEAKEKASSQARDRAAAERAAVERAQQEARKRAERAAVERAAAEVRERQAAEARERQAAEARERQAAAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPTVDSMFDTQSQNRGTSTSASMKKASSTANIADDLSAIFGGAPASSDEFQEINGESEERRRARLERHQRTRERAAKALAEKNERDMQQQREQAERHRIAETLDFEIKRWAAGKEGNLRALLSTLQYVS >Dexi3A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:3A:15746943:15751589:1 gene:Dexi3A01G0019830 transcript:Dexi3A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEIAAAARHFAAMARIVGPDPKAMKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPPLLDRICAAHGHAGDVALTAASLVEPFLVAEQRGNPGDEFQPRLVPEARLDVLVEHEELGNTPDGNSGPPRWLSARLLAMVIGDQTARRINVSVMQHRGDPLLLVGSPFGLLSPFHFFNSISVGAVANCLPPCSVRHSLLMADIHCLPGMEGAPVFDKNSGLVGLLMNPLRQNGSSIEVQLVITWEAICTGWNNKEREEIGRDPRLCSSLSCGVVSKVVQVPSTQLSHPSSTVDADDMDIPVMLQTTAAVHPGASGGILVNPHGLMVGIVTRYNIRFLKHSFRNMHFSKSFFPPPLIFFVPISSNAKHGGGSTIPHLNFSIPCKSLETIFKYSEIGEPAILEQLDKPNEVLSSVWALAPSSSPFIDNPPEKAKEEKVLEFSKFLSDKQATLKSSTDLKELFKNKIPSKI >Dexi6B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:6B:5242260:5243043:1 gene:Dexi6B01G0005710 transcript:Dexi6B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSYFLLAVFLALVASQAIASDPSPLQDFCVADKDSPVKVNGFVCKDPMHVTADDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRGTEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPVHDMPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHN >Dexi4B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:4B:1804015:1807616:1 gene:Dexi4B01G0002800 transcript:Dexi4B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPSLDAEVSFLSDGDSSSSDSLDSSYHPYVNQEWVFSSVNQCKESEDQNASQQRRKLLEGQSSRTGALSTHVEQRAYNLRPRKDDLVTIQRRSKFARLRQKQQNQRRNKRQQEIRDHEDFMHNLFWIAEKEPAKTSDQDFENRIEVITDGTRAKMGVEWSHYFEASDNGLFTTTLKGADWKVEKMALQAGPSVVGLQSFTGDTYLFSCSGTIVEFFEESTMIVTVANLVKCPDAVEVANNLKVEVGGPLMDFDGNFIGMNYYHAKETPFVPSFIVLKCLQQFKLFGYAFDCSCFT >Dexi5B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:5B:4975370:4978303:1 gene:Dexi5B01G0007430 transcript:Dexi5B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGAAVAVERRQEQRHIGTAAHLAAGGFAGAVSKSCTAPLARLTILFQVNIFVAPSSPRIIHLLYVGCAGMHSDVAALRKYSIWHEASRIVREEGFRAFWKGNLVTIVHRLPYSAISFYSYDRYKNKTTRYYKGIFHAVSTICRDEGIKGLYKGLGATLLELQGVGPSIAISFSVYESLRSSWQMERCSPHDSTAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTASAHKSTITGTVRDILQKEGPRGFYRGIAPEYLKVVPSVGIAFMTYETLKSLLSSMDADDER >Dexi1A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:1A:28179358:28180310:-1 gene:Dexi1A01G0021410 transcript:Dexi1A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTTEGHVVGIPVSNRAYAIEEPDLNYGIEEPEFQVEETPDHGGFSSSFQSSYVSDTNRPTTTADRPTSKHGRKGDKIAQGIKEHVTLGPKLADTVKGKLKLGAKIIQAGGVEKMFRQWFSVDKNEKLLRYSQCYLSTTAGPIAGLLFVSTARVAFRSDRSLAVSSSRGDTARVPYKVTIPLRKVKAVRPSENKYRSEQKYVHLVTNDGFEFWFLGFVSYNRSLQHLEQAVAYAQQAQ >Dexi9A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:9A:9752855:9755319:-1 gene:Dexi9A01G0014800 transcript:Dexi9A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTRSAPLRRARPSSSPLPRALSASWSANSRGSVVGSAGSKEKLELVKAKFGFHDAFDYNEDPDLAATLKLDTVLLNMRVHGHIAVCSCGLISPSGSGCRGSSHQASVPTELGNGRMGSEFAYAVVRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPKGLFTFSAVAHGEPFSFTLELFDSVLPEGSKTKTKMGLRNIVCSIQKEKKGWWNRLLKSEEKHPYIKVDWNKWCDEDDESGMF >Dexi5B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:5B:4737831:4738189:-1 gene:Dexi5B01G0007050 transcript:Dexi5B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPRFLTTVPFLAAAPTTGTLRRRRGWYDSSPSPPWGAGLRVTFIGGIFAVQLPASFREEAERSSGVRRRNQPSCCHHRRLPAGASLVSASVRR >Dexi3A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:3A:3597837:3598112:-1 gene:Dexi3A01G0005570 transcript:Dexi3A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKELSKVVLDNASPPPVGLGAAAGSATQGPVPAPAPPPALRIRRRKLRGHEGKEEEAASGARVHCVYTHYWTPESERRCRAFPAEFLDG >Dexi4B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:4B:14999386:15004002:1 gene:Dexi4B01G0014240 transcript:Dexi4B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEKTIVWFRRDLRINDNPALATAAKDGSVLPLFIWCPADYGQYYPGRCSRWWLKQSLAHLGKSLESLGCPLVLIHAEDSTLAALLECVHSTGATRVVYNRLYGIENVCGCSIDDLGLESSKDEESSNALLSRAWSPGWRNAENMLEEFVYYGLLEYSKHGMRVGGSTTSLLSPYLHFDLESDILGWQYISGSLPDGHELSRLDSPETQGQKYDPDGEYIRTWIPELARMPTEWIHCPWDAPSSILEVAGVELGINYPKPIVELHMARESLDDAISTMWQLDTAAKLAELDGEVVEDNIHNIRSYDIPKVVLKKELSPSASSIDQRTPSTNVQIIQDGSLPQKSDRNGTGKVEENDSEDSDTSISRPSKRAA >DexiUA01G0020740.1:cds pep primary_assembly:Fonio_CM05836:UA:43066186:43069692:1 gene:DexiUA01G0020740 transcript:DexiUA01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVMSGGRRTRRSSSARAAAAAALLLRSLLAMAFVSSALAVDAQGAALLSWKRTLRAGDEALPDWKDTDASPCRWSGVSCDASGHVTGLTLQFVDLHGGVPGADDLSAVAPTLSRLVLTGTNLTGPIPPQLGDLPALTHLDLSNNALTGSIPTSLCRPGSKLESLYLNSNHLEGAIPDAIGNLTSLREFIFYDNQLEGTIPASIGKMTSLEVIRGGGNKNLQGALPPEIGDCGNLTMLGLAETSISGPLPASLGKLKSLDTIAIYTALLSGPIPPELGECSSLAHVYLYENALSGSIPPQLGKLGKLENLLLWQNNLVGVIPPELGACSGLTVLDLSMNGLTGHIPASLGNLTSLQELQLSVNKVSGDIPGELARCSNLTDLELDNNQISGAIPGEIGKLTNLRMLYLWNNQLTGSIPPEIGGCSSLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNNLSGEIPLEIGNCTSLVRFRASGNHLAGGIPAEIGKLGNLSFFDLSSNRLSGSIPAEIAGCRNLTFVDLHANAITGVLPPGIFHDMLSLQYLDLSYNSINGVIPSDIGKLGSLTKLVLGGNRLTGQIPPEIGSCSRLQLLDLGGNTLSGEIPASIGKIPGLEITLNLSCNGLSGAIPKEFAGLVRLGVLDVSHNQLSGDLQPLAALQNLVALNISFNNFAGRSPETPFFAKLPTSDVEGNPALCLSRCPGDASDRELAARRAAKVAIAVLLSAVVALLAVAAFLLVRRRRGSSVLGGAGDKGDAEMMPPWEVTLYQKLDISVGDVARSLTPANVIGQGWSGSVYRATVPSTGVTIAVKKFRSSCADDASSAEAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGDLLHGSGGGNGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPAIVHRDVKADNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPVEPAAFGEGRSVVQWVREHLHQKRDPAAVVDHRLQGRPDTQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRNDDGGGEARKVSGGGGVSGARLDSAKWAGLSPTKPTAQAQSQSQSQSPSSSLAYSM >Dexi7A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:7A:17979169:17981187:-1 gene:Dexi7A01G0006620 transcript:Dexi7A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCAGPAASAAANPSFAGRRLQNPVRASVLQACWRPGRPAPAFLSLRRPNVELRPLRVAAGAEVDPKIVNGEDFPPMKDLIQLYKIAFLDGNDEVLGEVEKAITAVEKERSRVASKFESVAAEITSAKEKFIRLNADLENFRKQTEKERAKFTSNIQVDAVQSLLPLVDSFEKTNLENTPGTEKEQKISTSYQGIYKQLVETLRYLGVGVVETVGKPFDPSLHEAIAREESSQFKAGIVSHEIRRGFLLKERLLRPATVKVSTGSGKQSVSSVEATKDAAV >DexiUA01G0017940.1:cds pep primary_assembly:Fonio_CM05836:UA:38204220:38204459:-1 gene:DexiUA01G0017940 transcript:DexiUA01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVALVSADIQEVIGSAIAIKILSHGLLPIWAGVVITALD >Dexi9B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:9B:7973010:7973700:-1 gene:Dexi9B01G0011990 transcript:Dexi9B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPGWVE >Dexi2A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:2A:3290571:3295327:-1 gene:Dexi2A01G0003780 transcript:Dexi2A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTSPPGTSKQSAVRKHSPGSSHKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIVRRILDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLTLEDTKGRTPVDLLSCPVSQANGDSPDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSLLELPFCCSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQSTPRRVSSLKQRIIAVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVIARCLKKGSNGEMSKTIPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASPEILASLEKLLDEKSSESWYHRRLPTMTATYPAIIDSDGEEEEAIESLMPRKCGKSASRPSGISSHENFLQKDCTAEQAVSKQIRALRKKLQQIEILEGKQLAGHQLDSQQLAKLESRAALECELAELGVPSEAYSRTSSVCPAEGRTNRKPEISKKQKRKNKQASQSNTPSVKGESGPQIPVNDLDELPTNVSSEKEAYAADPIEHAEDAAFRNSKDIASPLEKKPSQPTSSKKKNRKGGLSLFLSGALDDTPKPRPPVPVVPVTQKHEGPAWGGAKITKGPASLRDIQSEQRKTNEPVLAKAKDRFESSPDSVGRVRLSSFIPDARSSPIAVTPARSVPSSEGDKSTPPWSSSATSPNVSRPSLRDIQMQQEKRHHGISNSPKTRTSGFAIPSHSGSPEVGGVKDNVPNRWFKPEIDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQV >DexiUA01G0005680.1:cds pep primary_assembly:Fonio_CM05836:UA:10295882:10296172:1 gene:DexiUA01G0005680 transcript:DexiUA01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDTAADVLPPVGATAASEDSLLDPFVYISNAFVAVAEELTKVAASGYSSATGGNGGRVQHDNGRVGATNVERRFLDAEVDVVGRGWRPSPEMPR >Dexi2B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:2B:13731028:13737017:1 gene:Dexi2B01G0011910 transcript:Dexi2B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMCHACGSEEITMDEVNIMDPTCSTSCCKMCNPGEGSSIVTGEINWFPMDSSPCSTPYGTPVFSRENSFSSFASCFSSLGGSLTDSDFEEEIELQDTGQLNPDTLNDLMENGEGSFIQVEECRLTDIAGVDDGATFPIQEDQNISSGHPQLQTLEDSTKEKIDATNIASYSNLSSEQHQDILSNSQFIETKCGVSVENIDLEQSTVIDVEEVTSLPMPGGDIVPLNEQVMGQLDTAMENTIVYNDISNTEPDMKHGTDFDNENECLYPLVLPSFYADPHIWLPPAPENKEDDLDTVFNNYDESENNSTGWARSTLDINLSDRSKESHEDQLQKVMSEVMNGQFKILVSRFLAAEGFSLSDGGTDKNWLDIVASLSWDAALLVKPDANSGNAMDPGLYVKVKCIASGSCQQSEVINGLVFKKSAAHKQMRANVKHPKLLLLQGALGHSSTGLASINSMKQKYSCSSCGQSPEAHMYSYTHHNGTLTVLVKMLPLESSLSGKAQGKLWMWTRCLRCNAKPTHRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGFGSIVAMFIYSSVEIYSACKPPLTLEFNNRNKKDWLDLEVNNVLLKWKHLFTVIENGIQDLRTKYSTQAMREDIYERLFLEVTRMLKKEQYEVEVSLKAFNQVAIPESFAHEILGLNWLYQQLLLGFYIWDLRLLHILQYTKVNTALSDKSIQGSTGSISIQDAPSVKNIGMERNEATISSSSSFEDKAQLTDKLLIKEHELLIYQDNDNMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPREYKTRFRAFMSQHFLSVPDA >Dexi8B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:8B:6419007:6420151:-1 gene:Dexi8B01G0005940 transcript:Dexi8B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGTAAIPHGDMAEILPSLPLEIRCPPFPLRRYKGFWLPEAVLTELASIHARIQPRTSDIFLASFPKSGTTWLKALAFATANRARHRPSDVDHPLRHMNPHQCVKFMEMDLDLRRRDVSSLLEEFEALGSLPPRLLATHLPYCLLPESFTRESRLVYICRNPKDVLVSDWFFVRKVSSAYGVDARCFTLEEAFERFCDGRLYGGPHWKHVLQYWEESMRRPQHVMFLEYEKMVRDPGGGLKKLAEFMGCGFSSEEEKGGVVEAIVKLCSLRELKNQGVNKSGGNSNQAGGIKNEAFFRKGDIGDWRNHLTPAMANRLDKIVEDALQGSGFTFQEDISTTID >Dexi5B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:5B:12086710:12089436:1 gene:Dexi5B01G0015090 transcript:Dexi5B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRHSGCAAIQPRTRAEKRAAMAALYYHLAAGKAGLETVAPSSPSLALRPSQSKVLCIGSSRWWMRRRRWEGKASGVSISSSSRARARARPALFSPVAMEWQECTTELEVDVPCSVAYQCYSERESIPQWMPFISSVKILEDKPDLSRWSLKYEVFGRNVEFSWLARNMTPIKNQKIHWRSLEGLPNRGAVRFFPKSPSSCRVQLTVEYEIPEILAPVGSALKPFMEGLLLKGLESFAAYAKERNSKIPQP >Dexi9A01G0034540.1:cds pep primary_assembly:Fonio_CM05836:9A:39308885:39311457:-1 gene:Dexi9A01G0034540 transcript:Dexi9A01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFLVALALVSVLAAAIAWVWDHAVVRLVWRPYAIGKELRSQGIHGPPYKLVKGSNEEFNAMKEETDGLVLDVHDHNYIPRIAPHYLKWRAQYGEPFLYWFGPKPRLCIFDYELARQILSSKSGNFVKNDPPTTLLDVVGKGLALLDGIDWVRHHRVIKPAFAMDKLKTTMVLLETLRLYGPALFMQRKTVTDMTVGSMKLPKGIAIVIPIPIMHREKVVWGDDAGEFNPLRFENGMTRAGKVPHAMLAFSMGPRSCIGQNFAMLEAKSTLALMLQKFSFTLSHDYVHAPADVFSLKPKFGLPIILRQLDESYI >Dexi7B01G0020840.1:cds pep primary_assembly:Fonio_CM05836:7B:26080295:26080546:-1 gene:Dexi7B01G0020840 transcript:Dexi7B01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWMVAMSVGAVEALDQAGLYRWNYALRSVHRAAKANVRGFAQAKKMAAAAETERRRADKAEEAMRTVMYLSCWGPN >Dexi9A01G0048420.1:cds pep primary_assembly:Fonio_CM05836:9A:51208473:51212568:1 gene:Dexi9A01G0048420 transcript:Dexi9A01G0048420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPAVEVANTPKVAKAATQAKDEASCCVSTPPKASVPPDEMRAVARKFADQPIQETEPGVWAVLTAISKKSRLRPQGINILLNGDEHCLGRCVEDRFQISDPQISSKHCMIYRDTVLGELNRHEPVPVFLKDTSSNGTYVNWKRVKKNSSPVKLNHGDIISFIAPHNDASYSFVYREVNAISCTENGATALKRKSEEGGSGSKRLKGLGIGSPDGPVSLDDVRRLEKSNADLREQIEAHVVTIETLRTEIKAAQAQHGKELEELRETTSSSYLDQTKSLRLALEEKQKQLDLLSTSNTELQSSIKDLDERLSASKQSRADADEIILSQKATICELEERLSEERNFRREERDKAAQDLKSALHKVQTEAQEEIKRQAESYLRQQREQKEVISKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEARLQDEQLVSVNNQKKSNNLEIELSKLKKELENEKAAREEAWAKVSALEFEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTLMSVDLNKVPIATDDGCLRSCSKNTLEDPSASTQNTQLSEHSSSDEDANMTEQHADGTAEGGSTQGLECSSPERSEERLRSDFHGNSVSAAPEREVADTEQVPETESEAGMVGCDDQRCDNMGGETMPLEDEAQPHENEEPTTLLKDGGQPRANEDPVSTPKDGIDHCSEDKHEDHTESKQDTHVEAIRTADLLTSEVGGS >Dexi1B01G0029920.1:cds pep primary_assembly:Fonio_CM05836:1B:34037976:34042109:-1 gene:Dexi1B01G0029920 transcript:Dexi1B01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLACCYNDPEMQIDPDTVYPIRPDCRDDAPKTRFKPRPGLTLSPRRWKLLHNEEGCLDIAGMIKRVQRGGVHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIENPNSDGGTSVGSEQQTSGAPLPKEVIQWKLLLHQIGKHCLDVNRTDRVLVYYESQENLARLWDILAVYSWIDKDIGYCQENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWEVSCLDKISCFIVINLMWSMEYNPNLFSMLESDTGISRETTKDEGVLKQCGKFERKSLQAAKKDEIPLSIFVVASVLEARNKKLLVEAKGLDDVVKILNEITGSLDAKKACREALQIHEKYLNTVSFRFIHKS >Dexi2B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:2B:9885846:9887357:1 gene:Dexi2B01G0009080 transcript:Dexi2B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDRLKPVLDKLQQQSKNVSWDTLIAQAIKENVNLSASAYSVPGQDSSKYLNYGAGISEVEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYITNSDGLMISNSTWDYKIPSVDIIPKKFNAEILNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKEFANSNGSGSSPLGFQMDVPAPMTLVKELCGFDIVEKYLRSLSTYERSAEE >Dexi2B01G0021310.1:cds pep primary_assembly:Fonio_CM05836:2B:31137602:31139042:1 gene:Dexi2B01G0021310 transcript:Dexi2B01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEAPVGGKSNSHPEGRRDCLPQEHLDIDSMGYPKLPSTMLNGEKRIFACTGFFIGWNGSTKILTSASLIRTSGDENSIVENLRIEVLLPSNRRINGTLEHYDLHYNVALVSVKNCCDFALVSVKNRYDLRPANAQISRFNCFDVAAVGRCFKSGVLMAMSGEKIYSTGTLDCDFLAYSSCRITKVIICFCIAYFFMVMY >Dexi9A01G0049430.1:cds pep primary_assembly:Fonio_CM05836:9A:52058424:52062411:1 gene:Dexi9A01G0049430 transcript:Dexi9A01G0049430.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGTTTNPFLLHPPTRTRMRSPPPLAARLLLLSPSAHLTVARAMASTVAAQAGFDMHLLLSEGVRVDISEDKFLEYFWWCWNKLKEKTDDDIPMPAYFRFLALLAFKIFSSEQVDVAVLEVGLGGKFDATNVVQEPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMISLKQRASELGISLQVADPLEPYHLKGQHLGLHGEHQYINAGLAVALASTWLEKQGHKDSIPLNRTDPLPDHFIRGLSNASLQGRAQIVPDSQVNSGEGKNSSLVFYLDGAHSPESMEICAKWFSNITNDDSKLTSSAEQSQNSKSQKILLFNCMSVRDPMRLLPHLLDVSTQNGVHFDVALFVPNQSQYNKLGSNTSAPAEPEEIDLSWQLSLQAVWEKLLQDKGINRANSSQVLVTGSLHLVGDVLRLIKK >DexiUA01G0025570.1:cds pep primary_assembly:Fonio_CM05836:UA:53867186:53868589:1 gene:DexiUA01G0025570 transcript:DexiUA01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVET >Dexi2B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:2B:10332217:10332841:-1 gene:Dexi2B01G0009600 transcript:Dexi2B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARLSLLPCRLPAPLPPPPAALRRSPRAAAAAAAAASTSPRCRVVWTAAAPARGRWWRRRASSEGQVQQQQQQGGEGEDVVDSNVLPYCSIDRKQKKTIGDMEQERCRHAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLIMTHGNS >Dexi9B01G0049520.1:cds pep primary_assembly:Fonio_CM05836:9B:48116330:48119738:-1 gene:Dexi9B01G0049520 transcript:Dexi9B01G0049520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAASVLFRREVAGAGSDGMQGGSGTRPLDGHKDLDQPKNKTGKKDKPPIEPIVVPIVLRMSDFDHKALLEEWIATRAFRDNCLPQDLRKLINNLKLIQDYLCSFESQGLTIVDMSANSFPQTLDWLHSYLLQCIERRLLAACSESCNEEGN >Dexi8A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:8A:30065028:30065383:1 gene:Dexi8A01G0017940 transcript:Dexi8A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDSLMSCLEQAIRLHKGKGSNLHRRLCQDAITKTSLFGDLFVRIHELGAERDKQALTGSAGCSPVAEVRLQQERTALGKIQTQTQL >Dexi7B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:7B:21785128:21785624:-1 gene:Dexi7B01G0015740 transcript:Dexi7B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSNGMPRSAANCESSNGWWEPLETKAVSFSIGRETFPVPRRCASSCKNEAHWPPTPRSKSMIQIREAVVQAISSRSAWVAVKLANWSTGLVSIELKGYIEWRGEAVGAMVSVGERDEEGLLEVGGRAEERLPNWGNLLIEPRQYLSRAD >Dexi5A01G0030050.1:cds pep primary_assembly:Fonio_CM05836:5A:33060473:33065448:-1 gene:Dexi5A01G0030050 transcript:Dexi5A01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGDGVQKEALDLVSSDVNFPKGHFPDYRIGPNNQIIDPEETHEVVPLKEIVAKETQQLLEQHKRLSVRDLKEKFEKGLSGATKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDAEEAISLVEALAVQLTQREGELIYEKAEVKKLANFLKQATEDARKVAEEERALALAEIEKARVSIEKVEKALQVHDSASSSREKEVLPYFTMDEIEELKKEVREARRIKMLHQPSKVMDMEFELKALRNLISEKTQLCNQLKKELAMIKRLEEDSSNLFELEGSDTLGSQLRIIPRVDGAPSIANCPIQWYRVISGGTRDLISGATKLTYAPEPFDVGRLLQAEIVLNADKIIVQTDGAIENAAGLERYVDSLMKRTDIEFNVVVTQMNGKDYSSSSVHVFHIGKLRIKLRKGWSTKARETYSTTMKVPSDPSMSVLFVWEPWWRSLSQAPATKAPDEVIMHVLAATRYIIR >Dexi1A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:1A:12300160:12308156:1 gene:Dexi1A01G0012390 transcript:Dexi1A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERSRMSSRIAVVVEDRCRPNKCGQECRRRCPVNATGRQCIQVTPSSKLSLISEDMCIGCGICVKVCPFNAIQIINLPRELDKETTHRYGPNSFKLHRLPVPRPGQVLGLIGTNGIGKSTALSILAGKLKPNLGKFTDPPDWNGILRHFRGSELQKYFNRLLEDKMKVLVRGRRCDGGGNGPASEGVVRAAKEVAAARSSGRRPSKGRAAAAEPRKSGGGRAEEEQQRPMSRGRAAAATGDRIDGGGGDECTEQTTTPTVGGRRGIGGIDGSKKKATVKYQHIDDIAKSVKGKVVELLDKMDKRGVKDKLCDILELKDIMNRNVSDLSGGELQRFAIAARAMENADVYMFDEPSCYLDVKQRLKTAQVIRSLVQPKNYVIVVEHDLSVLDYLSDYICCLYGSPGAYGVVTLPSSVREGINIFLNGFIPSENLRFRDEKLTFRVTESAEEITEGETYQSYKYPTMVKTRPGFKLSVMNGSFNSSQIIVLLGENGTGKTTFIRMLEGRVKPDKVGDEDVDMPAYTVSYKPQELIPKISSTVRELLYKKIPGSCSHAQFRSDVMKPLKIEELMDRQFANLSGGELQRIALCLCLGKPADIYLIDEPSAHLDSEQRLLAAKVIKRFILHEKKTAFVVEHDFIMATYLADKVIVFEGKPSVDCTANAPEPLSTGMNRFLSHLDVTFRKDPTTYRPRINKQGSTKDTEQKAAGCHYYLGY >Dexi5A01G0037540.1:cds pep primary_assembly:Fonio_CM05836:5A:38857953:38863681:-1 gene:Dexi5A01G0037540 transcript:Dexi5A01G0037540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPALTENIALATGMCKPAKILKTPAASLGALPHLPPTPLRLVISAPRPSRRPRSHRRAAVMDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGISNVRRTQNVAARAAAARLAQVMASQNAAAATGDDDDEDDYGADHPPPAPVRFGGGRTAHGSNGVSLLGRTARSPSPAVLYFRISRSSRSMLLLYLGIGCSASCCITTDHHSGATDQNQHNIANSVPYPPCGCGASSGSPSDALHRNPGVVLNGQGPGRHPSNGASYRDLGTMKSEQGSGRRNLSGALHLRTGVVKNEQGSSHFPRRVRRVRKLAGQKAKEVDDTGFKLWYTGATSGRNGVGLSESVKRQFWEDLDGMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGCRSQEGEDIDFILARRDDRRDCLDCKVIPGECVVPQHKLVVADFRLRVRVHQDKRAKMARTKWWKLRGEAAQTFKGRMVEEGPWDEGEDVDDMWLKMATCVRKVAAEVLGVSRGGKQEGKDTWWWNEEVQRAIKEKKEWFKRLHLDRSAANIEGYKVAKKAAKRAVSVAKGKAYDDLYQRLGTKEGERDIYRMARFRERKTRDINQIKCIKDETDQPLVKDEEIKDRWREYFDKLFNGEIEGPALELDDSFDDINRCFVRRIQEAEIGEALKRMKGGKAMGPDGIPIELMSHTMKLWERVIEHRLRGATSVTQNQFGFMPGRSTMEAIFLIRQLMERYREQKKDLHMVFIDLEKAYDKVVPQKATFRYLGSMLQQDGDIDEDVKHRIAAGWMKWRQASSVLCDRRVPQKLKGKFYRTAVRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWSCGYTRRDRVRNEDIREKVGVAPIEEKLTQHRLRWFGHVQRRPSEAPR >Dexi9A01G0045230.1:cds pep primary_assembly:Fonio_CM05836:9A:48806558:48810039:-1 gene:Dexi9A01G0045230 transcript:Dexi9A01G0045230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEQALLSTEIVNRGVEASGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAELGSLSRDELWSKVWGEASYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIELARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNTNCATMKEGRAEASTAMFAALDELFEKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGANDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLMFFAGVLFRHLFPSKASTPAPADGSSAAAAPYIPDFKRAFEHFCMHAASRDVLEHLQENLGLRASDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDCVDQYPARMDAHQ >Dexi4A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:4A:529036:530306:1 gene:Dexi4A01G0000780 transcript:Dexi4A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGASATSTSTPPPPPSRAGNSDTLPSYLAALPLLAANGFPHPKSQPYTSNLGECTITTPFPCSGLVVVSVAGGTIAGSAIHAATRARMAASVCAATARPTAAAVHPYPRASDAGTTASVEMVYTLLPKYPAGSGGRRRSRLSMAKRHTVSCSGDIRRARATHRHRRELSAWNLVTAAAPASSPAASSSCRALSLSAASDSGEKWSILSLSSGGGGGGGGGGGGGCVLLGSTIGAAAPPSGSGDHRSNTGGARDATAAAPGVCGGAASLARAIWAHEVPEGGAVGERVVVGERDEDAVGEVGDLGDEEGKVVAVVVDGAVEREEGPDDGGGDVGVGRDDVGDGDAVRRDGMDDLGALAGAVDGDRAACGELLGGEAAGDGVVDGERVGEGGMEVVNEVGGGAKRRPYWR >Dexi2A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:2A:7538904:7539784:1 gene:Dexi2A01G0007620 transcript:Dexi2A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNVETVEYKNISFTVWDVMGRTRSDLSLWRHYFQNTQGLIFVVDSNDRDRIVDARDELHRVLNEDELRDAVLLVFANKQDLPNAMI >Dexi5A01G0029510.1:cds pep primary_assembly:Fonio_CM05836:5A:32550432:32553042:1 gene:Dexi5A01G0029510 transcript:Dexi5A01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLSKPPSQEVSSSTPTAAAASLQCSAIHGHGLPQDPKPPLIRRSTTPISDSRPLLSLHTFLASSSPTTITPAAASPAAAPFAGAAHTHVPVRSGGPLFLSSPPWMLSQSATPLTAALRDKLRRAQALDGGRAQAVADAVRWDRKRISGGEAEAAASAGTVGGGGERFLNAPNLVSIGRMVSGPVIGWMIVNEWYLPAFATLAVSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVQKDLLHPGLVGLVVMRDLLLVGGAFYKRASILGWKWNSWSEYVNLDAIHREKVEPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITLLR >Dexi5A01G0035240.1:cds pep primary_assembly:Fonio_CM05836:5A:37051217:37052964:-1 gene:Dexi5A01G0035240 transcript:Dexi5A01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATLPELQSTPRCHSYFQVIHPVRTHIKICSTSKRRIPGQGLLRSGVGAGLSRTFQPVYAVESDIANVLKKMPAGRGSSITEAERKGDLSLEDVKTSIVSREDETINVRVQLPGKATQKVFDEALTFLARDAPPVPGFRKSKGGTGPHKIHLQLSNTANDVAVLRYPAASSCRCSEKAGSQSSSFRRYLALPSKNLSRKVNPEIRTTQTESEMETAFRPGSAFGFNVILQLEKSDSDEDSEEQSDSSE >Dexi6B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:6B:2052560:2054583:1 gene:Dexi6B01G0002330 transcript:Dexi6B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDASAATAAAPPPGAASFSYLAVFSNCPLVSAVLAFAIAQSIKVFTTWYKENRWDAKQLIGSGGMPSSHSATVTALAVAIGMQEGFASSLFATAAVFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPPQVFAGGVLGFVVATFTAMIAGLGS >Dexi7A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:7A:25076974:25082053:1 gene:Dexi7A01G0015210 transcript:Dexi7A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREQVTEFLGQVPLLQRLPGSSIRRIADAVHVKRYEPGDYVAREGEPVDGLYIIFDGQAEVSAPANSEETNRPDYLLNKYDYFGYGTNSSDHQVNVVALSKVDIFRGFTLPEAPTFRQVFGGQFIGQAGISVIKYYVAKHLRALAAASKTVDCLKMVHSLHAIFLVAGDNNTYASDLLYSGVSLNPHREKGLKTYSLSLDHSMWFHKPVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELIMSLTQEALIRREKTRANRRPKL >Dexi5B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:5B:12193800:12197388:1 gene:Dexi5B01G0015190 transcript:Dexi5B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASECAGGGALRQHRRRAVLPLPLPLAVAVELLALALPFTAAAASGFRCSPGSRPVLFNFGDSNSDTGGMAAARGWHLTRPEGRAYFPRPTGRFCDGRLTVDFLCESLNISYLSPFLKALGSNYSNGANFASAGAATMPRDVPFALHIQVQEFLYFRDRSLELIDQGLLNSDHSLSLGSIRINGTKMRNICLSGPIDAQGFQNALYMIDIGQNDVNALLSNLPYDQVFAKFPPILAEIKDAVQTLYSNGSRNFWIHGTGALGCLPQKLAIPRKNDSDLDQYGCLKTYNRAAVAFNAALGSLCDQLSAQMKDATIVYTDLFPIKYDLVANHTKYGFDKPLMTCCGYGGPPYNYDFNKGCQTKDVTACDDGSKFVSWDGVHLTEAANAVVAKAILSSQYSKPSLKFDQFCRG >Dexi9A01G0023090.1:cds pep primary_assembly:Fonio_CM05836:9A:18257944:18260353:1 gene:Dexi9A01G0023090 transcript:Dexi9A01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGQVVDVPPPDGRLRLRVPVPPPRSFLRTARAGLRETLFPDDPFRAVAREPAGRRRALAVLRYVFPCLEWLPSYSSADLRSDLVSGLTVASLAVPQGISYARLAGLDPVVGLYSSFVPSLVYAVLGSSRDLAVGTMAVGSLLFASMLGPAASPATDPALYARLAFTATFFAGVFQAGLGVLRLGFLVDFLSHAAVVGFMGGAATVVCLQQLRGFLGLHHFTHATDIPAVLGSVFSQSAQWQWQPFVLGCCLFLFLQITRYISKRRPKLFWVSAAAPLVSVILSTVLVYLINGEKHGIQTIGSVKQGINPPSLKSLLFSSPHTWLAAKTGIITGIISLAEGSAVARSFAMAKNYHVDGNKEMIAFGAMNMAGSCTSCYLTAGPFSRSAVNRDAGCRSPASNAVMALAVLATLLFLTPLFRHTPQVALSAIIASAMLGVVDLRAAARLARVDRVDLCVCLGTFLGVVLGSIDVGLAVAVAVLVLRVLLSVARPRTTALGKIPGTAAYRRVDQYAMAEPTPGVLVLRVDAPICFANASYLRERISRWITDEEDRVVGSGAAAPLRCVVLDMGAVASIDSCGTKMVEDLKRSLDKRGLQIALANPGSEIMRKLDKSKVLQLIGDEWVFLTVAEACDYAQSNCKVGTDASQGAAAAASPDEMV >Dexi9A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:9A:254707:255946:1 gene:Dexi9A01G0000380 transcript:Dexi9A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLLASSPAVLADVPPSNKLVFILAGQSNMAGRGGVVANRWDGLVPSDCAPSPAVLRLSPDLRWEQAHEPLHQGIDAPNHAVGVGPGMSFANALLRSGRAGAPVVGLVPCAVGGTRMAEWVKGTDLYAEMLRRARVAVETGGRIGALLWYQGESDTVRWSDATEYARRMTMLLRDLRADLGMPHLLVIQVGLASGLGQYTQVVREAQKGIKLRNVRFVDAMGLPLQDGHLHLSTQAQVQLGHMLAQSYLNYGTSRP >DexiUA01G0022920.1:cds pep primary_assembly:Fonio_CM05836:UA:46706156:46706789:-1 gene:DexiUA01G0022920 transcript:DexiUA01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPKANDDGELVCVTGAGSFIGSWGDSKNAHLLELEGAKEKLSLLRADIMDPKSLRAAFSGCHGIFHVASPVSNDPDRCTYVTMNCVQELVTVAVDGTRNVMSAAAAEGVRRVVLTSSYGAVHMDPNRSPDAVMDEAC >DexiUA01G0006970.1:cds pep primary_assembly:Fonio_CM05836:UA:13350384:13352741:-1 gene:DexiUA01G0006970 transcript:DexiUA01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRKGHGEYREITEGDFLGEVTSSEKVICHFYHREFYRCMIMDKHLKALSSVYVGTKFVKLDAENAPFFVSKLAIKTLPCVILFKKGIAVDRLIGFQDLGSKDDFSTRALENILKMKGIIDEKKKDDEDEDDESESKNRRVRSSTAQDSDSD >Dexi3A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:3A:4008852:4010535:1 gene:Dexi3A01G0006160 transcript:Dexi3A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILCRVRAALQFRRAAASSSPPWADLPAELLGDIAGRLHTTADYVRFHAICRSWRAVHVEEEDHKPRLPWLLAPSSSTFDDDLQLEEQRCRCVFSKETYRMPGICDVRDRRVACTSSAAAWLVTGGGQHNKGVVVRLVNPLTGKQEQVPDERIISTEWLGRRHRIISGAGAVVLVYELDDLNPAPDYDEYPRRRRFRVSFLRPGCKRWLSVSSYLRFTDRCCAVACYRDSFVVCVGLVYCHVLKPYGAPTSGVHGTREVRVALPGQPAGKVRRSSYLVECDGGDLLLARVLQDTTSSCIGGGLSVSLHELRLENNGGQDHELAVEWVRRDDADMMIWLRDHVLFLGFPASFAAKAAPYNGEVSGGTTYFVIESGGQGRRRPLSVAKTCSVYKYSFHNNEATLVETLPSGWHDARCLWFLPRPQIQTLFEHQKEGSGEPAGNFAGESDGARQQMQLRIYAGDLSPKVDNARLREMFSVYGKVATARVAYDKRGRSRGFGFVTMATQEGYDKAMAALNPVVKEEPDDISFDFIDLAARFFS >Dexi4A01G0023280.1:cds pep primary_assembly:Fonio_CM05836:4A:26406678:26409869:-1 gene:Dexi4A01G0023280 transcript:Dexi4A01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRALLQHGHHHWPQQRWAGVPSGAARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQESNISKFKSQVQSSQRETEKLRGDIDKMRSELKRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >DexiUA01G0020180.1:cds pep primary_assembly:Fonio_CM05836:UA:42121254:42126513:1 gene:DexiUA01G0020180 transcript:DexiUA01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVAAAMAPLYFALALGYSSVRWWKFFTPEQCGAINTLVAHFSMPFFTFDFLSRANPYTMNRRVLAADAASKVIAALAVAAWAHCCGAKAGARSWSITGFSLAAFNNTLVVGVPLLDAMYGGWARDLVVQIAVVQSLVWFPLLLLGA >Dexi5B01G0039680.1:cds pep primary_assembly:Fonio_CM05836:5B:38415618:38418645:1 gene:Dexi5B01G0039680 transcript:Dexi5B01G0039680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLPRPPPLPAHPNFRSPLASSSSISSWLQPARLPSASSRLLCSSHPASPLDDASSAATPCIVAGLLDYLNESWTHFHATAEAKRQLLDAGFKLLSESDDWDLQPGGRYFFTRNMSCLVAFAIGENKISFHFSTVNSDGFKPNLETHLVPLLATKHEEATMNSDDKSSSSTKVAHHPLLLQILSEEIGCESDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLVDSSKAAEQLSNEKAIRMVAMFDNEEVGSDSMQGAGAPTMFQAMRRIIDSLMHQSMGEGALERAIHSSFLVSADMAHALHPNYPDKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSIREMCGKEDVDTTYRHFKAFFEMFSDIDRKLNVDF >Dexi5A01G0037730.1:cds pep primary_assembly:Fonio_CM05836:5A:38979721:38982924:-1 gene:Dexi5A01G0037730 transcript:Dexi5A01G0037730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAPWAEGARVVGTQIRNRFRVAPVDRRWLWRGADGRVATEAVRRWSDRVRDLLQRDRAADQSSASTETSNGAAAKPSSSALRFYRKKVGKEVDGIEDSVIFRSLQALAVPLIGNACYVFMHGLNSVQIYGAEKLHHALQERPKGKPLLTVSNHVAAMDDPFVIASLLPPSVLLEAQKLRWTLCATDRCFTNPVLSTFFRSVKVLPVSRGDGIYQKMHHWACSLLIASIVFSVQGMDMALSKLNSGGWVHIFPEGSRSRDGGKTIAPAKRGVGRLVMDADSLPVVVPFVHTGMQDIMPVGKRLPRAGKKVIVVVGDPINFDDLIIDDSDDTEHVSRGILYDKATQRIGQRLQELKVEVDRLAEEQQSELQNHHMHNVNDAGYLLWQQVDWEGFGIGSSMSLSEPSVVQVQSKEAEPEPHLESEQSVSPAPSAAISYDVAIPHWFQRHVDPSELMGFAVRGLIKNGKFLEEGYRELRESATMNSWWWSQANNGVPRWSTA >Dexi6B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:6B:23449364:23450287:-1 gene:Dexi6B01G0016180 transcript:Dexi6B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAALPRPSSSTTAADDPWRSCTTSCGNISIAYPFGVEAGCYRDGFNLTCDRSYQPPKLFLGDGATEVTDISISTGTVRIRSAYVNITGLVLPSGDTVTAGGLANRTVWSAGLRSGGPFFLAEEMNKLVVVACNVQVLLLGSGDDIVSACSALCPELITGGDNGTSPAHRYLYYNGGCCQATVPLGYTSYPVEARKLDSTAAIRTNIFYVAERGVNFTIDTAMAEDSPPETLPAVLEWVIAGANSTCPADAPAPECRSNQSFCQDSTAEGHRGYICRCKDGYDGNPYITDGCQG >Dexi3A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:3A:1401178:1405179:-1 gene:Dexi3A01G0002100 transcript:Dexi3A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDATAAADKGEAALKLLEYADPSHFLSPSADLVTAARAASQHIYSSLVPLSPAQPPSTLLTDPAFDAEQIWSQIELLARPLLPHLRRQLRRLEQQPPSQPQATLPLPVEKPADSEEEEEEDGQGSELDELKELEETDDEEELSDDEEDEEEEEEELDQRAHKGLEDQFLKIGEMAEFLDKGDEEEYGGGANRGEEKKATKNWMAESDDEGDEDRDEEGDEDDDDQLDLEDFEDDDEDGEGEDGGGIMYKDFFEKSHNRPAKKRDGSTKKVQFKEDTHTMELDGSENDDGNEEQGLSTHEKEQLKARAKIEQMEKAALESSAWTMQGEVTASSRPINSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPSKAPKEHKELDESKSKKGLAELYEDDYAQKAGIAPAPLAISDELKQQANTLFKRICLKLDALSHFHFAPKPVIEDMSVQANVPALAMEEIAPVAVSDAAMLAPEEVFEGKGDVKEEGELTQAERKRRRANKKRRYAASHKERPAKLQKD >Dexi3A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:3A:5450506:5451226:-1 gene:Dexi3A01G0007810 transcript:Dexi3A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQETMILQTGNNGLLLLGPKQRDQELRRSFSECSNHINTNQFIPGGGGGGGCSDAAETVRCACCSVPEECTAAYIRRVRAAHCGSWVCGLCAEAVGERRRREPTAGVEAALRWHTAVCRDFNATTRLNPKLSLAGSMRDIARRSFNRRASASSSATTCHDELRGSKTMERTLSCQPRFFA >Dexi2A01G0023910.1:cds pep primary_assembly:Fonio_CM05836:2A:35605466:35607416:1 gene:Dexi2A01G0023910 transcript:Dexi2A01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSKGEEEEARWEGEAEIDYVFKVVVVGDSAVGKTQLLSRFTRDEFALDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVSRWVEELRAHADGSSTVVALIGNKADMPAVRREVAADEAARLAEEQGLFFSEASALTGDNVERAFLTLLEEVFAVVSRRALELDEARRMRGDQGGGEVLSLKGTTMDVGSIMETSAMKRSSQCACS >Dexi1B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:1B:10681886:10683504:-1 gene:Dexi1B01G0010850 transcript:Dexi1B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPSAPPAAKGAHRCGGGRNGCVFVKGGGGGECGGAAALAAAAEALAEARSLHAIRSALEDLEDRLEFLHVRLRPFYPLCLPPQLFQGFVVSNELIQLLTSVVVPLQTVQSQQRAEQDAAIARLEQSRLALAMRLAEHQGKRYRVIDETLAFVGEVSDKSRFISPEDVRATHSEDNAEDKRGSSSIMSNMVSCSLSFAKNSFRLDKIGGALGNAAVFAVSMLAFLQLHQIAFGSRTPAMGYRKRIEYTFQSGSSQQNGKGKHLEVYLARG >Dexi6A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:6A:1066317:1068478:-1 gene:Dexi6A01G0001220 transcript:Dexi6A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLTTPPPIEDDDSDRERPLPSSKGGRRGDPPDPGAELVHTVAPEVAAGDIVSSLYTPVVWDFYSFNEVLGADAAVSGDLPVVSLALFAALLGGVWIDGAAVRIVSRRMVRPSPPTKTTTATGNGHHHPPKEEDDDVEVIHLTPWDLRLISIDYIQKGILLPNPPVTGADLIEAMESSFARALVRFYPFAGRLAADERGDGTVTVSLRCTGEGAEFVHAVAPEVAAADIVSSLYTPPVVWDFYSFNQVLGADAAISGDLPVVSVQITELADGVFVGMTLNHSVADGTAFWHFFNTWSEIHRRGVDGGELSTPPPVLRRWFVETIPVPIPMPFPKLHDIVKRFDRTPVQECFFTFSSESVKKLKTQANSEVAGDEVSVTISSLQAVLAHFWRAVCRARRLPPEQETFYSVVVGCRGRLNGIPPGYLGNAMVIGKAAATAGEIEKRGLGWTAKLLNRAVASFDETTMREWLERWAMAPDFTYMDNLSSAGTAIVTGSSPRFDVFGNDFGWGKPVAIRSGAGNKADGKATVFEGPDRGGSMSLEVCIAPDALARLVADEEFMDAVSSPAGRPAGVMRG >Dexi3A01G0026550.1:cds pep primary_assembly:Fonio_CM05836:3A:22789021:22796236:-1 gene:Dexi3A01G0026550 transcript:Dexi3A01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVATSPAPPPPSASSSSHHHHHHAPTTVRGLLCHAVAGASAGVVAATFVCPLDVIKTRFQVHGWPKLATGSMGGSVIIGSLQQIAQREGLRGMYRGLSPTVLALLPNWAVYFTVYEQLKSLLSDNDGSHQLSLGANVVAASCAGAATSIVTNPLWVVKTRFQASSKRDERIPTEAIQIGSNGHVSCRLTQKLSRRRTQMLALLPSCTGGHAAGGGRLQLQTPSRYGRVPWAAGAACPATYLSQRELELEVPSCPSVCYCRCVVGLVPALAGVSHVAIQFPAYEKIKAYLAARNNTTVEALSVGDVAVASSLAKVAASTMTYPHEVVRSRLQDQGAHSEARYKGVIDCIRKVYLKEGVAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDLFPPEPEPHIQPLKH >Dexi6B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:6B:7816086:7816976:-1 gene:Dexi6B01G0006870 transcript:Dexi6B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKHTTVSRCVTVMEQGKHVFEIFDYSKLKGIRDGEFIRSATFSVGGYDWAIRFYPGGYAPHCTDSIAIYLELMNKDTKVRAGCDLCLVDQTTGLPKSVQKANLRVFDANDLTGFHPQTGLSINRMTFETSSYLRDDHFIVQCAVAVRKESRVSGLELLNGIEAPPSNISEHLGNMLDSGEGADVTFSVQGETFMAHKAVLAVRSPVFNAEFFGRMREAKEQLVTIEEMQPDVFRALLDFIYTDSLPDMDDQEGDIDNKEMTRHLLAASDRYGVDRLKFICQSILCKNLDVESV >Dexi6A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:6A:22695843:22696457:-1 gene:Dexi6A01G0015290 transcript:Dexi6A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEPGQHHHDPCSICMESMAPSDAHRGGAACAHAFCGACLSGHVRAKVETTAAVVRCPDPLCGGALDPELCRASLPSDVFERWCAALCESLFAAARRTYCPFPDCSEMMVADDDDGGGGEDCVAQCECQVCRRLFCARCHVAPWHAGVACDEYQRLDEGDRGKEDMMLLEMAKGNNWKRCPNCQFIVEKVDGCALIRCRYRA >Dexi8A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:8A:4579735:4580747:1 gene:Dexi8A01G0005120 transcript:Dexi8A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPGGGAGAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYNHSGKTVSQMTVAYLKEKPAGQGSNPSTPSAGSGMRSAAARLLGTGNGNRALSFGSNGTTRAVSGSSRISGGIGASTSASGSQGMANYDGKGTYIIFNTADTLFISDLNSHDKLLVT >Dexi2B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:2B:17504597:17510281:-1 gene:Dexi2B01G0012400 transcript:Dexi2B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAKEAPPPPQPAAEEEAMLSATAAMAKEASVSFQGRRYSECALVLAKLLEMKEGDPKVHHNMAITESFLDGCPHPERLLKIFGDVKKRSEELACASREQADSANGVGSNASSGSRGSGIVLPYSAAHNASTYGDEFDTTIIAFNTAVILYHLHDYESALSVLDPLYRNIEPIDETTALHVCFLLLDITLAMQDATKAADVIQYLERSFGVANTTNPNENASIAQQQLAPPKPPAKSNTPTDSDSNVYGGGCENLSAGNFPDEPIEFESLYSSFDGHQHLERPILNDVSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRTEPAMLAMFYNNLGCILQQQRSNHTSIWCFSKALKYSLSLRSETPLKLSTLSQDKSSLISYNCGIQHLMCGRPLLAARCFREAMPLFYRRPLFWLRFSECSLLAIEKGLLYAVGASSCNDEIEVNVVGSGQWRQLIVNPVNSGSNSDSAGVTSDERINLVSLGFARQCLLNAQLLLDASEQEHLVTASDIEYGNQGALQGHKNSGQKSNVSTDSKIASAPTLANVNGEQKGTSLNATLQSSLALYDEICRKENLEIRQAILGSLAFVELCLENPLRALSYAKSLQQLTDCSRMYVFLSHVYTAEALCALNRPKDAAEQLSVYIKEGNDIELPYKVENCEKTLVEKDSDGEDTVAPTVMKLTSEESQHSESLRPEEAQAILYVDLGMTAAMQGQFEQADYMVNRGLALLPNNPRAVLASIYVDLLQGKSQEAVVKLRHSRNVRFRPSSVAASS >Dexi5B01G0031120.1:cds pep primary_assembly:Fonio_CM05836:5B:31929282:31934563:-1 gene:Dexi5B01G0031120 transcript:Dexi5B01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADLTGAKRAMAYGLCKHLNIDPSTVSNTSIEESDIASLFSCIVKSSQDEVMKWVKFSSDFAGSDGKQNALLDNLNQDLSQKSVLLGDGLKPSVADIVVFATVHTFVNTVDFGSTLQKINVAKSAFDPPSVTHPKKAEKGDTESSSKKAASGQKVVDKPNASADSKKPVGEAKAPGNKETPAAKDKKTSGEKKKAQDKSAGKTAEKASEKEKVPEKTADKDSECNISILNIQVGLIRRAWKHPSADSSLLVEEIDLGEGNVRQVVSGLAKYCSPDDLTNRHVVLITNVKPGKLRDVMSAGLVLCASTEGHTAVEPLIPPEGAKIGERVSFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKGIPFTTSAGPCRSSIRNGNVK >Dexi9B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:9B:12709645:12717620:1 gene:Dexi9B01G0017930 transcript:Dexi9B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKFREQVARQQQAVMKQFGGGYGADGVFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCDDGKKYGTENTCTSGSTLSKAALSFAKARSLMEKERSNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAESQAIEVSKRQMKLREASGNGDMISRLEAAETKLQELKSNMGVLGKEAVAAMTAVEAQQQRLTLQRLIALVESERNYHQRVLQILDQLEREMVSERQRIEGAPPPMVESSMPPPPAYEEVNGIFMRNTVAELVETVEYFLAEAIQSYRAESDAELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRERVLAISGLQSPAYPSAKSCFCGGRRLGIPSSTMAAAATAAVTLLRLPLARLSNHLRSAPSPRLPPPRLRISTSHRFLSSLGHGSASAAVAEAEAVAAPDAEGDLVDAAGESHEESTAEAEPEPEPEPRSFVLPRLPRPKLSVKERKELASYAHGLGKKLKSQQVGKGGVTPNLVSAFSDNLESNELLKLKIHGNCPGELPDVILQLEESTGAIAVDQIGRSVILYRPSTSKMKKRQEVAENARRFERAKEANARRFVKSEESFEERPRNSTGRKFVKPGSTFRSQQKRRPMTSKGSSYN >Dexi1A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:1A:23646310:23646757:-1 gene:Dexi1A01G0016440 transcript:Dexi1A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHHLFHRHKDGEEEGTGGEVDYEKKEKHHKHLEQLGGLGAIAAGAYAIHEKHKAKKDPENAKGHKVKEEVAAVAALGAAGFAFHEHHQKKDAKKHLDD >Dexi1B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:1B:12320911:12321666:-1 gene:Dexi1B01G0011890 transcript:Dexi1B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYYVAAVTIVLLVMLHHLLMKRSKRLPPGPRFAFPILGHLPLLKKPLHLSLADLAARHGPIVHLRLGSRHTFVIGSVDLAKECFSGELDVAIANRPHFTSIKEASFDYSVLSLANYGAHWRTMRRVATVHLLSAHRVNIMSDDVIARELRAMVRRLAARASSRVELKRRLFELSHSVLMEIMAQTRNTYSEDEDMSKEAREMKDIIEAIAPLVGVANLWDYIPLLRWLDVCGAERKLKDAVNRRNVFC >Dexi3A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:3A:7135828:7137051:-1 gene:Dexi3A01G0010060 transcript:Dexi3A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASSASGAAGNKVCRGAACDFSDSSNTSKDAKERSASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVMLGHDHGHGHGHGHGHGHGHSHDHSHGDSNDDHSHHEEQEQGHVHRHEHSHGSSITVTTVNHHNHPSTGQHHDVEESLLKHEDGCEGTQSAAKAAKKPRRNINVHSAYLHVLGDSIQSVGVMIGGAVIWYKPEWKIIDLICTLIFSVVVLFTTIRMLRNILEVLMESTPREIDATRLERGLCEMEGVVAVHELHIWAITVGKVLLACHVTIAREADADQILDKVIGYIKTEYNISHVTIQIERE >Dexi8A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:8A:2527949:2530945:1 gene:Dexi8A01G0003480 transcript:Dexi8A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYAVQQALSSEAAAVVRQAVALARRRGHAQVTPLHVATAMLSPAAAAGLLRAACLRSRATSHPLQCKALELCFNVALNRLPTSAVVPSPASVMFHHHGSAGGHHHVAPQPVLSNALVAAFKRAQAHQRRGGAVESASAPQSPAVVATATAAAAAAKVEIEQLIISILDDPSVSRVMREAGFSSSQVKANVETAISSPEHHRNTTTSISHTTSSPPSGSSGSGHPRLPSAGAEDDAMRVLDCMASGGGSIRRSVVVVGESAATAEAVVKAVMDRVSKGELQPRHEPRLKNLQFVPFPAAAALQRMTREEVDAMTGELGALVRQGCAAGKGVVLVLQDLAFAANAWAAASERRRNTQGSGGEQQPRHGQSSYCPVEHAVMEVSSLVSAAGGGGEGGRGLDRFWLLGSGNKKDYMKCRAGQPSLEDVWTLHPVVVPDGGGLALSLSCASDAGQANQESARRQWPPFGNGASAGESELTSCAAAKTPSVPPWLRGYQDPDMTRTGNHSTNLQLQDWNPNCYGPAAHRTSELTLSFSSPATNSPDTSSFSGFSPSFNMMMSSKPWQFKLTQPWPNHQHDDPLAKSYHHHQTSHAHNSPESYSVSNSSVGGLAESPRFMELTAENLKILCNTLENRAPRHKDVVAGIASVVLKCRSGMKRRMRWCQERPSAVTWLLFHGGDSDAKKAVSQELARLVFGSYSKFTSISLADFTQVHSDSSSSLFTMKRQRSPDTGYGYFQRFCEAILENPHRVIMIDGIEQLEYDSEISIIRNAITNGRIRGCNGEEISLEDAIIILSCETLNSRSDPSSPRLKQRAIGNGGKEGNNGMNIENGMEPSGFILDLNACAEDGEEDEDSVYNNVRIVNIVDGAFFFQLTEEL >Dexi5A01G0039480.1:cds pep primary_assembly:Fonio_CM05836:5A:40088766:40089236:-1 gene:Dexi5A01G0039480 transcript:Dexi5A01G0039480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCGMDLIHKNLDDNRIWTPFLSSDVIHDVLAYFKTKLTLAEVGLDGYASGALDWVIDGDDCSVFPSRYFKLLIC >Dexi5B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:5B:17580019:17588334:1 gene:Dexi5B01G0016830 transcript:Dexi5B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLDDDDDEDEVPVKVKPSGGSASSSGANPRKPKPQTQPPQQPRRRVAADDEEDEEEEDVELEEEEEDEKDLEAMRRAEEEERREQEAETQTRRRRGRPKRRREPESEDEDPEEEEEQEEPREEENTEAVPVGNPVRVTGKGKKQKKHYNSFEYEGNTFELEDPVLLTPEDRSQKPYVAIIKGSIGYFTELDEFTPIDASDITETEGSLNVTGQWFYRPEEADKKGGGSWVARDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKQIPSRKQHPGFIVQKVYDAVEKKLWNLTDKDYEDNKQQEIDLLVKKTIDRIGQLPDLEPEETPVDNGDQLSNKRGLRKRPLNPIDVTREPPVGNSEHFTKAETPGSDKLRNYAILVKYRALNGEEYRDKWLDKLVGCIPLALKESAGASHADPDAAAKGSTNGSSAKDVNSAENEKSYPPEVVVPIMVALENSAYEALGNDYAKYNQKLRQLVFNIKNSSKLRRRFMDKELDPPVLLTMSPDELKVGLTSAERTSEPEESRQLQMTDTRCQRCNEKKVGISDIIHAGHGDRYQLECTSCGHTWFSSRDAITTLTVDAPRTAANVGTAPWATAKFDVLEKQLTSPRDQPDKPAADALQKSTAAYMPTLEKQKSFVKSKQEEPSSAPPNQK >Dexi7A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:7A:16476898:16484055:-1 gene:Dexi7A01G0005280 transcript:Dexi7A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRFSWPYPAGQASFCGSFTGWREYPMALVGAEFQVVFDLPPGVYQVKDSDNLVDVALTIIRNEISSVPIFKSMPDSSGMPLLNLATLQGILKFLCLKLQEQAEGYSLLHNQLTNIPIGTWAPHTGRTSNRQLRTLRLSSPLNCCLDFLLQDRVSSIPIVDDNGSLRDVYSLSDIMALAKNDVYARIELEQVTVQNALDVQYQVQGRRQCHTCLQTSTLLEVLQELSIPGVRRVVVIEQSTRFVEGIISLRDIFTFFLG >Dexi9A01G0032420.1:cds pep primary_assembly:Fonio_CM05836:9A:37316840:37319629:-1 gene:Dexi9A01G0032420 transcript:Dexi9A01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGGGGPRAQAEDAAAGGGESDDIELSLGLSLGGRFGTEAKRQRLARSSSIASVCSVSSLEGDGDADPSPAAPLPLLRTSSLPTETEDERWRRREMQSRRRLEARRKRVERRNSMCAPPSSSSGPPKPAAEASAVVNGVQLRRSVGSQGSTSVNTTEQGIGGSTVCQSTDAKSPSTSDNTNTNSMLPPNPNNMLPPTKAAEKPQNGTSTEQPRLRTLGSLTTRTSSCSDIRKIMMEDMPMVSSKVEGPNARRIDGFLYRYKKGEDVRIVCVCHGSFLTPAEFVKHAGGGDVSNPLRHIVVNPSPFS >Dexi2A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:2A:22623300:22625020:1 gene:Dexi2A01G0013860 transcript:Dexi2A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESECGGSDGGYRDLGGVRVELDPLTARGGGGGGFAISFWLYLSSSARPSSLILHQVTMGDANKLPFLALGEGNKLLLFPLTSLHREAPAPATSSYPWTGTTNLSSTSECPLEKWFHIGCEASCRRNFILEVVLINAFGEPVKDKEVLCCCFACLR >Dexi7B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:7B:14535106:14542051:1 gene:Dexi7B01G0006810 transcript:Dexi7B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLGCFPSDPALHASCGMPWGVAVTPFSAADERGSPPASGDEGHLIPRCQSCFAYFSLLCPLDRWSWTCSLCGADNDMPADAAARYARDGGHDPPEMRSAFVDLLLQGEEGEAAAAAAPTPVYVAAIDLSSSEEFLELVKSALQAALEALPAGSLFGLLTFSNKIGLYDVQGPIPIVKNVFIPPDSDGALPIDLEDIMPICSFLAPIDSCKDRITEALETIKPMSSWDVAANTVEGQDHVLHHTRGFGVALDVLVNYLGSEYGNTFELARIFAFLSGPPNYGAGQLDTSEGQNTGKAGEADHMLLQEQTNFYRNLATSAVQAGVCVDLFAITNEYTDLASLKVLSVESGGSLFLYSSTDESTLPQDIYKMLSRPYAFGCVMRLRTSSQFKIADSYGHFFPDPQYMHVQHINCCDSFATYSYDFEFEKDSQFSRKSSPPILQIAFKYTVLVHNGDTSDAPNSGSRYVCRRPVITDQSFKTKYSLERRLRVRTIQYNATANIWDLYDFVDPDVVLTILVHQVQALSIFYLNRVHFQVILSSISDFLETRLWLQDWLVAVIAQYNKAYKNVTSGGGTGMYAIDVNFSHCSQLQPLSRFVFAVLLSSLLQLNSERTHPDYVTYLQCLFRYCLLRSTIDRLKQERNITPKLVFIHGARDDTTEFEKYLLEDQTLDGSQLSSSTGFSSFLDEVRSKVAEHGI >Dexi9B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:9B:11289619:11293315:-1 gene:Dexi9B01G0016480 transcript:Dexi9B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIGVGGAGGEETAEGAVVEKTLQNGDVYRGGFCQGAPHGKGKYVWADGCMYEGEWRKGKACGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYRGAWVADRRHGAGSKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRSGVLSGRGVLIWPNGSRYDGVWENGVPKGTGVFTWPDGSRYIGSWPGTCLDLPAISGTFFAPMGAGAAGTIRKRSSVEGVGEKAPPRICIWESEGEAGDITCDIVDALEASMLYKEAAAVAGGATNMRALPQRSARRAASGVPRWASSAATTPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPTRALIQADFDPKEKFWTRFPPEGSKITPPHSSAEFRWKDYCPMVFRHLRKLFTVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKMLIRMLNSYYQHVSRYKNSLITRFYGVHCVKPLNGPKVRFIVMGNLFCSEYQIHRRFDLKGSSYGRTTDKFDDEIDETTTLKDLDLNFVFRLQRSWYTDLQEQLKRDCYFLESEGIMDYSFLVGVHFCDDFSASKMGSSTCTASPRLLTKSESFQGGGTPELCFSDDDFDTIPDCRRKPLIRLGSHMPARAEQASRRSEFDPYLFTGAGFLFPNQTGEVHDVILYFGIIDILQDYDLTKKLEHVYKSFHTDPNSISAVDPKLYSKRFQDFIGRIFVEDDG >Dexi3B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:3B:15173972:15177094:1 gene:Dexi3B01G0020140 transcript:Dexi3B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPKPPSPSPRPPPSDSDTGVGFDPVDEWLVDFDPAMSGELGSPAKGLGSAEEAEVPHAPEPTATACDDSSGKAASPTNSCVFGVKAEPVQVDGSLHPAGDFCGGEIGDKAEMVVGGLDELLAPDQLLASGIGDLAVKEDVSEGTVAMEMAATPADVEMNTTVPGGKKEQESSEEESESSEEESSEASSSSDDEEQGGEDEESSEASSSSDEEELEAMKPGGGVGEGNSLEALLEEGELMVVSDEEDEEPRGHNKFKHEAEVLPPVPKIEIQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRTPLGIVDELFGPVKNPYYLVRYNSEEDVPAGISAGASVSFVAEFADHILNMKELYAKGYDASADNDEQEDEPEFSDDEKEAEYKRSLRQAKRQTDRQHEPKKHSGDKKRSQPRGSGFRKDMPPRNRDAPTPGYQSQPRFQCSDMAPAVAENTARSMGPQTAPSPAMLPPGPMNPAMPSPIHLANQMGGCFINPAQQFLPQQPNMVWPGGLPPPAHPNMGVDGAALAASIMQNLLAGANQFQQQFQNQNFGGFPNLPFPQFMPPTGMPANQLPFGGGPQVGNSPFGTVPQMPLGQGNFGQPPPHFVSGNRQEHGPRPGFANPAQPHGDGGEHSPQQFSSGQFNHGSSSFRGGRQQQQRGGQHSSGRGGGRGGRHSR >Dexi1A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:1A:11585710:11588267:1 gene:Dexi1A01G0012060 transcript:Dexi1A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPLLFSASHCPHVIVATETHGGVEGAEMGDKSSVSSEVKKQLRLACPLVTGCLLQYVVQMVSVMFVGHLGKVELAGAAVATSFAGVTGFSLLVIITHKHIPEFHSYLLHSLPCHWIATNTRPCQTGMACSLDTLCGQAYGAGQHHQLGVYKQRAMLVLALVSVPVAALWAFAGEALARCGQDPEIASAAGSYIRCLIPALFLFGQLQCHARFLQAQNAVVPVMLSSGAAALAHPAVCWLLVHRLGMGNRGAALANGVSYLANLSFLALYVRLSPSCKTTWAGFSTEALRCIPGFLKLAVLSALMVCIEWWTFELPMLLSGRLPNPKLEAAVLSICFNTNSLAFMVATGLGAAISTRVSNELGAGRPQAARLAGKVGWFVALCIGVSEGLVVVLVRDVWGYAYSNDKDVTSYMTRMMPLIAASIMLDCQQSSLSGVLRGCGEQKIGAFINLAAYYLVGIPVAVVFAFIIHLGGKALKANDRLSITTRPIDMTSRDV >Dexi4A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:4A:11471469:11478902:1 gene:Dexi4A01G0012720 transcript:Dexi4A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVECSLAATLAPRPLAGRARNRKAPPRVLAGRARLRVRSAKREQPPPPEPAAVRCGPVTEHGGRALGQAAAGLAAAAVVSLSGFAGDVSPLPTPPARAESLTVAFPVAKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYSKISGMLSTLGDPFTRIISPKEYQSFRIGSDGNVQGVGVFINKEPSSGRLLVMDCIQGGPADRAGIHEGDELVEIDGISLLFSTVNLFWKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGTGNDRGGRIRQKEPQGNYFPIKIDWVFPNHICYLFFPTVQLSREIINLSPLSTTIISHRSDDGHECKTGYVRLTAFSQTAAAEMENAVKRMEDEGVESYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDREGNVLPINMIQGHSLTNDPLVVLVSFLFKHLGLCLFSVLHGYRSTKGVQVQVKSWQGHYMTMDELFWSATGPLSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCSPDILSLPRAPSVRENSEASSLEMDSCIMVAEQALEIEQTKGSAS >Dexi5A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:5A:620857:622092:1 gene:Dexi5A01G0000890 transcript:Dexi5A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTASESDVTSMATTSPPRTPKTPRKQQPAYYVQSPSRDSHADADDKSSTTHTTPVYNNSPVESPSHPSSTGRHSRISSATRFSGTLRRSGSASPGRRKRLGSKGWREVADAIDEEEGAYDDDLDDDQGLPPCCVAALWLSALVVAFTVVCLVVWGAARHYKPSVVVRSLTVHNFYAGEGTDRTGVPTKLVTLNCSLKINVHNPSTMFGIHVSSSSIRLMYSEIAVANGQFDRFYQPRTSHRVASAILHGEKTPLYGAGATLGSSNAGGRVALTLELAVRTRGFVMGKLVRVTHARRVKCPVAIDPGSSKPVRFRQSACSHTRT >Dexi5B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:5B:4521394:4521855:1 gene:Dexi5B01G0006750 transcript:Dexi5B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi1A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:1A:23027476:23028237:1 gene:Dexi1A01G0016040 transcript:Dexi1A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLPLVGALLLVLTALAVSSEGGAAAPRLRGSVACLDCAAGHDLSGVVVAVKCAGDDGLRAAQTDGRGAFDVAVPAVASPTPCAARVLGGTEQLCAPRGLAVAHVVPAGRMPDPSSSSSSYALGSRLAVFTRCRPATGGSAAATTMDAPDQQQQPRVPAARRMPPAVQTPVVGAPPRAGGNASPPFGMGSGLPLIYFFPFVPIIGIP >Dexi7A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:7A:8273141:8274758:-1 gene:Dexi7A01G0002130 transcript:Dexi7A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEESNSECSHARAYLLQTASPNASTISIRSASLQPFSPDNWNQHREDRIQMIRKRFVNIHVSDGNGSRVVGAKVAVHQISRDFPFGSAISKSIIGNKPYQDWFNKRFNAAVFENELKWYATEPSPGKEDYTLADQLLQFVQSNDVMARGHNIFWEDPKYTPAWVKNLTGSQLRAAVAGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGANATAEFFTTAKSADPLATLFLNDFNVVEVCDDVSSSADSYISRLRQLADGGVIFEGIGLEGHFGKPNIPYVRAVLDKLGMLRLPIWLTEIDISSSFDQKTQAAYLEEVLREGFAHPSVDGIMLWTAMSAKASCYQMCLTDANFTNLPAGDVVDRLLTEWQTREVMGATNDRGSFNFSAFLGEYKLTVSYQNLTTEGTFSLARSDDTKHINVRLSRPA >Dexi2B01G0027340.1:cds pep primary_assembly:Fonio_CM05836:2B:36321844:36324501:1 gene:Dexi2B01G0027340 transcript:Dexi2B01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFERDEKPERERDIEMGKSNPKDKSDYGLEDFFQEVKEIEMLLDKMSNIVHKLQEANEESKSVTKASAMKAIKGRMEKDIDEVGKIARNVKNLDNRKKPGCGKGTSVDRSRMSMTIALKKKLKERMNDFQILATVEEIQERHDAVMEIEKRLLELQQIFADMAALVDAQGEILDNIENQVQNAVNHVVTGTEALRTAKSLQKKSRKCMLIAIVILLVIAIIVVLSILKPWAKK >Dexi2A01G0023140.1:cds pep primary_assembly:Fonio_CM05836:2A:34902708:34905171:1 gene:Dexi2A01G0023140 transcript:Dexi2A01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGKSGPSSWPEMADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYCAGERSPRALRLTNEAIELNPANYTGSIIIFRHHKRWLAEKLGPGVANREHEFTMKILALDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDIFNNSAWNQRYLVITRSPLLGGLMAMRDSEVDFTIEAILANPRNESPWKYLKGLYRGENNLLVADERISDVCLKVLNKDWSCVFALGLLLDLLRLGLQPSDELKAALESTRHCDPEMAGDDFATTVCCILQKYDPLRINYWSWYKSTLSS >Dexi8B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:8B:23671237:23671542:1 gene:Dexi8B01G0013430 transcript:Dexi8B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNEEEKTEDPWVGHRFLGVAAAGAAVAIAAGFFMMSQLRDGPEEDQRADDPPARPGRTMKGPGTGGERINRDNFEAAPADFFRKSRRNGTKSAVDAFK >Dexi5A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:5A:18831856:18833019:-1 gene:Dexi5A01G0016630 transcript:Dexi5A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFSVKKKMVPSWLELLLTTQFFFNCGSHLHCSRRECNLFCIECEAPPAAFCGYCYSDHHSGHRVIQIRRSSYHEVVKVCELEDIIDISNVQTYVINSAKVVFLNERPQLRPCGALSSSPYNCEICNRTLLNEFRFCSIGCNLRGIKKDMKMPSDVANGPEYIKMEDVSTDNMMEDVAPCSSSDSNTRSGKEICNDDNNEEPPAKGATHHRRKGIPRRAPFF >Dexi2A01G0035790.1:cds pep primary_assembly:Fonio_CM05836:2A:45325648:45327239:-1 gene:Dexi2A01G0035790 transcript:Dexi2A01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAVPNPRPTASEDAESLRKALQGNAYLLALSQPSHGCRRLNFYSHCFVLVSFISFKRCCIAIATDNGDLFSVNVGWRADKSSLIGILCRRTAAQRAAIRRAYAFLYRESLLNCFRYKLSRHCLLSADFWKALILWTMDPAERDANLLHEAVKKKDENYILVLIEVSCASTPDHLMAVRKIYSKLFSSSIEEDVASSPAFQEPLKKMLVSLVSSYRYDGEHVDMDVAKLEAAQLSEAIREKQLLHGNEVVRIISTRSKSQLAATFQQYKGDQGRDIVEDISSQCSDRFCRMLNSAIWCLTSPEKHFAQVIRYSILGLGTYEDMLTRVIVSRAEIDMKQIKEEYRARYKSAVNLDVAGDTSFGYRDMLLALVARE >Dexi3A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:3A:7862006:7866749:1 gene:Dexi3A01G0011050 transcript:Dexi3A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRRSARGESSRKAARELDRFVTANEVFHLTRARSELCHRTRGASSARRRRPFSTFELVSARESGRAGGAGFSLADRACVGRRHIPKKGPWAVDEMDSEAYVSQFSADGSLLVAGFRAYASLSPVVHIVNVQSSGKESHANVNEIHEGLDFTADEHEDEDFGIFSVKFSKDGKEVVIGNSESTGQRYIYTGSSDSSLHIYDMMTGKTVERLSWHGSIIRDCSWHPCYPTLVTSSWDGYLARWEASGDNDE >DexiUA01G0024610.1:cds pep primary_assembly:Fonio_CM05836:UA:51146243:51147738:-1 gene:DexiUA01G0024610 transcript:DexiUA01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATEATQGMVITPPATSTAQVSPSTAAAMAATSAFLAGITLATRSPLISDIPAVARVPFPSAPPTPCQRHSSRLASQPINLTVRPSKKGEILAMKRLGFLDTNTGGNNIDTARKEFTRFFNDIVDIKNFPALRDLLPAARGLDDEELMATIQQASAMVGQLAASPSSSNDHVKHGAIGEEDSGEECEEDSDEEYANSTYYSRIANRLSDEEKGEIINLASIRPDNPVFVTVLQMSHVQRRNSFLIFPSRFVADHLDSKLHEITFVRPNKKDKWCVKHYHTRDAQGVRNYNFSMFVQDNRLRQGDICVFELMKGARRVTMTVHVIRKVDGRFVLVG >Dexi2B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:2B:12630271:12630673:-1 gene:Dexi2B01G0011080 transcript:Dexi2B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFDDIGHLIGEIPDLPLPRILPCSPAFPKIPLIPCGKPSEVTECRTSLAKYMPACAGFLTGGEPSPPKESVGGLVGDVGSSALCVCHVMNGEADRLFQAPVNHTRAISFMELCGYDIIRPEEAPEFCGRMS >Dexi6B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:6B:10731699:10735158:-1 gene:Dexi6B01G0008520 transcript:Dexi6B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAGSGEADTAAPAPAPEERDWSEMTPVCLAEAFSRLALEDLWRGAMACCRSWRDAARSRPGLFAALDLEPGFAESTPGAETAAWWTPAFQRRVDAMLRSAATLAAGELREVRVRHCSDDALAFAAERSPRLGILSIRTSPSVTDRSMLVVGASCTMLTELDISNCYEVSYKSLEVIGQSCQNLRVLKRNIFNWIDPSEHVGIVPEDYLRECPQDGDREAIIISKFMPKLKHLELRFSKLTGVGLNSIPRGCKELEVLDLFGCANLTSRGIDQVAANLKNLETLVKPNIYIPRSSFHMGRYGHWQLYDERFQTNVFQI >Dexi7A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:7A:29771821:29772141:-1 gene:Dexi7A01G0021030 transcript:Dexi7A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHMLLLAVVPAVAAGGFLQTFQLAFLLWPFNALLPLARDLPRACITLRGVASFYAAGLHAYVSGARRGAQLMQARRHLLQGDAGVVRTREDAVAHAMMAFDDIY >Dexi3B01G0030360.1:cds pep primary_assembly:Fonio_CM05836:3B:29894277:29896378:-1 gene:Dexi3B01G0030360 transcript:Dexi3B01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRGKSSNKAKKGSATPWQNGEKKIDGGAGSNSRQVAPDTGFGDIGYGSSIDETFFEANPWLESDCEDDFYSVNGDLTPARSLSSQTSRIPPFPANKNLPTLGAILKAEPLKPPTQNMRKLGDLLREPQDEGDLSRADSLRLAEEANRCCVPQFARAISCNGRRRSLQK >DexiUA01G0027400.1:cds pep primary_assembly:Fonio_CM05836:UA:58700516:58702348:-1 gene:DexiUA01G0027400 transcript:DexiUA01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAPVATHPGSTTVHSGALLAASVSTRRPSPCRVAHRPRALLWPPGMRLPWKQTRIGLVAACVLMVLMAAQLLLLMATPAEAAGGLYANALRRRLL >Dexi9A01G0037580.1:cds pep primary_assembly:Fonio_CM05836:9A:41836052:41838662:-1 gene:Dexi9A01G0037580 transcript:Dexi9A01G0037580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSDPAATSKPTQMDLEQQARRRLLLAPNSPVLMLVQTDAKGKGKAEDGSIKSEDLADSIGGLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVVDMQASNKKRGYVVNLDPAVMTLPFGANIDIRDTVMYKDVMKEYGLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMQDFEAFQTALESDKSYSATYTRSLSLALDEFYKNLRSVGVSAMSGTGVNTFFEAIEASAKEYMETYRADLDKRIAEKERLEAERRKENMEKLQRDMMKSKGQTVVLSTGLKDKNSASDMMDDDEDEEEEFEEGFVVDDEEEEDEGEDEEVDHFGF >Dexi3A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:3A:10226728:10227276:-1 gene:Dexi3A01G0013970 transcript:Dexi3A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTFPASPITTPSPEPDVSSAALDSTPGESSAVADEPVLVRRPWRELADPRALSVPRGLAAARRRARGNLARFVANYELVFFVAVWPIFFPAVVVPFLAATPGKRFRLLLIWTPLLLLVTRDTGSALVSLPVALLLVVSHAVLHLPDPEESDGSIDEEEAAAGLCYKARTAVH >Dexi9B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:9B:625210:628595:-1 gene:Dexi9B01G0001090 transcript:Dexi9B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGDGSSISPLVSFVLGAAMATVCVLFFMSASPTRRLADISAFSIRNATTTGDLLASDDAGLADANLTAANLTAIPAPAPAPVQAPSAWGDLEEVLARAATKDRTVIMTQINAAWTRPGSLLDLFFESFRSGEGGVARLLDHLVIVTMDPAAYEGCQAVHRHCYFLRTSNGVDYRSEKMFMSKDYLEMMWGRNRFQQTVLELGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVYMGDPYSLRNFPNGGFLFVRSSAKTIDFYRAWQQGRWRFLGKHEQDVFNLIKHEMSAKLDLAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKLHDLRGVLDVWRNYTAGTPDERRAGKFQWKLPGICIH >Dexi9A01G0044640.1:cds pep primary_assembly:Fonio_CM05836:9A:48253245:48255895:1 gene:Dexi9A01G0044640 transcript:Dexi9A01G0044640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPVDLQCAANGNCAAAPWKSPPAPAAGDGELRWLRRCVEAAGKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLAVKETVRYGLFLGTFAGSYVSVDEYIAAVWGRMRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKFCKPLTWSNGDIFLMCLASAQILSAYILKQDSLPSSYKSFLNKHGGKDLTILQGVKDIVNHTAFSNLAGIEKYYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKRPYTIIGKSLLGIARSSLFLSVYCASAW >Dexi1A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:1A:23279093:23284182:-1 gene:Dexi1A01G0016270 transcript:Dexi1A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVGALHQADSAALRTAYAAWRNELAPLAKPLLIVSIGGPTKKCIYGVDLATELVTSLLPMSYFKRLQDIPRSTFGTTKILTPHLGHLAWADAFVITADSPSMLSEACSTGKPVYVIGAEYCNWRVSDFHKTMRERGAARPFTGLEDVSSIANPHYLQLFISSSWSYPPLNDSAEVAHCICEAIAEQGWKIG >Dexi5A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:5A:23739826:23740124:-1 gene:Dexi5A01G0019840 transcript:Dexi5A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGRRDADGGRLFLSGSGDARSLAAWGRSRAACSSSLLGRREKALRGRGGARGRRPEAREAGPAAELGVRRLGVGAERGVGGGG >Dexi8B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:8B:9122766:9123678:-1 gene:Dexi8B01G0007520 transcript:Dexi8B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRATEFQQLVQGIWSVPIVLPFTRFSRCLAASRRGRRAVAAVIDERRAKLERGESSPADDILTHMLSKGLPNEDIMVAAHDTTAALITFLLRHLDANKDAYAKVLQEQEEIARCKAEGEALSWEDLCKMRHTWAAAMETLRIVPPAFSMLRRTLADVEFGGYVIPKGWQVMYATNMTHWDQAIFPDPGRFDPARFDDPAALAPYSFVPFGGGARMCPGNEFSKVETLVAVHHIVTRFRWKLADGCDGSFSRHPMPYPTQGLLIDIEPIH >Dexi3A01G0036260.1:cds pep primary_assembly:Fonio_CM05836:3A:41669566:41671303:1 gene:Dexi3A01G0036260 transcript:Dexi3A01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLTEWKAKQRDGAVVSVSHGALGSLLGKLGDLLTAKYKLLKQAKGQIMFLKAELESMQAFMKKISDAEEPDEQDKCWAKEVRELSYDIEDSVSEFMLRVERDSSRPRGFKEFISRSTKLLTTMNIRHQIAKEFESLKIRVKEVSERHTRYKMDVVAPKANNTTIDLRLLALHAETASLVGVKGPRDQLIQLMDEDGAAANQLKVLSIVGFGGLGKTTLANEIYRKLGEKFHCQAFISVSQKPNIRKILRTILFQVGFVPPKDNNIEMWEESELIIALKKFLLDKRYLIVIDDIWDASAWDIIGYALPENKNGT >Dexi9B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:9B:13325484:13330873:-1 gene:Dexi9B01G0018690 transcript:Dexi9B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAVREVGSKAELDAAVAGARAAAVHFWAGWCEASKQMDEVFAHLAVDFPHAAFLRVEAEEQPEISEAYGVSAVPYFVFCKEGKTVDTLEGANPASLANKVAKIAGPASVAESAVPASLGVAAGPAVLEKVQELAQRNGSSGAEVPNSDGLPDSLNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDILKKEGVDFGSFDILMDNDVREGMKKFSNWPTFPQLYCKGELVGGCDIVVAMHDTGELKDVFEEHNIPLKPQGSKSVEAVEPEAPTGKGGVVSEPVGLTDAQKARLDSLVKSSPVMVFIKGSPEEPKCGFSGKLVHILKQENIPFSSFDILSDDEVRQGLKIFSNWPSYPQLYINGELVGGSDIVMEMHKSGELKKVLSEKGIVPKETLEERLKALISSAPVMLFMKGTPDAPRCGFSSKVVNALKKEGISFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >Dexi9A01G0049590.1:cds pep primary_assembly:Fonio_CM05836:9A:52213993:52215908:1 gene:Dexi9A01G0049590 transcript:Dexi9A01G0049590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVSKVVLGSIAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIVFHVISPDEAYASVDLPILGLLFATMVVGTYLKSAGMFKHLGTLLAWKSQGGRDLLCRVCIVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLCMYWKDLAAADIAADGKQMEAVEEGLQAAASKTPSPPAPSGGQQLNKSPKLAYSSPLMTEDISTKHPWFMHCTEERRKLFLKSFAYIVTVGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFITVSGFNKTGLPGAIWNFMAPYSKVNSVGGISVLSIIILLLSNLASNVPTVLLMGGEVASAAALISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWNHIVFGVPSTLIVTAIGIPLIGKINV >Dexi4B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:4B:22189229:22191087:-1 gene:Dexi4B01G0020030 transcript:Dexi4B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYPVISYTVGLMQSSSIKNELFVVWASFLLLLLGSADTMTAFNFNDSSQQTRSMMNQGLHVIYLLLLILYYKGQLRYSFVISLFLLWALSVVRLALRAWAYRTTCRSHGLVRENQIVHEYMEYEPLHSAGITGGTYDPKTMSGYIYLVDGKEEKKVQHGVEVIQVPYRVALKGQGPDYVEPTPFVVPRETVDVDRVWQCKGKLLGSSTNRGASKRRDLCLSFALFKLLRLRFAADHIGNVNLPFQRDKCRDFVVNGLLSDDKDLDRAFRVVEVELAFLFDFFYARYPSIKNSLAPDLIVYVAILATSIFTLFCPDLMRYQPAEGGTNILIAGGLNLDLLITRLVILWYIFIESYQFLAHFIFSDWHKVKMLCRYVRNESWHNWTLVEIPLKVLCHFTFKKYWKGTIGQYFLLDNTHPHRFKSFLSWISLQSLDASLMTKSISLDDEVRHAVLRQLKKCRGEITDGRMSLRKLGVYDKDILLGHTYARYILTWHVATSMCSYGLEDMENTSAELKKNHRIATKLSGYCMYLLAFQPELIPDSTYRSLSMARGSLQNARDYLADCNSNKDKYDKLVKLGCAR >Dexi7B01G0023500.1:cds pep primary_assembly:Fonio_CM05836:7B:28082222:28086386:-1 gene:Dexi7B01G0023500 transcript:Dexi7B01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFSGRLRTMVKQENKKKASRKEALSIKLGDFPGGAEGFELVARFCYNNGRVLLCPTNLPLLHCAAVFLEMNEEVCACNLLAQAEAFVNGLYYWTWSDVLTAVKSCEPFAAAADASGLLERLISALFSKITAGSPEMPTAAGVGTPNRSSSSCSSSPDTLGFARSSSSTKTPESMRPCAGREWWFDDMTSLSPHTIEKVMRVLGCYGIENKNLILTRFLLHYLRSATRRPPAICKDNGALTGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGISRECRHKLERLMGLMLDQATLDDLLVSGDGGGVYDVNLVMRLVRVFVGSEEEAEAPSQRMKKVGRLIDKYLGEISPDHALKVSKFLAVAESLPDSARDCYDGVYRALDIYLESHPALSLEERTALCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQCKLQISKPTAVAARPDPSRTPRRVSRARSSSVDMDGSGGGDEKELLRLNLQRMQSRVVELERACKEMKGQMSKMAKGSKSFSFGGGAACHQTGGRGLPRLAKSRLKWVIVTTPERRASVGDRPGGPSKPTRPPLSQPVFLGPLDYDEAAHHHLGTSMT >Dexi6B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:6B:14519171:14523494:1 gene:Dexi6B01G0009700 transcript:Dexi6B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGGSAGHALQAVVGRRHMRPPPILPLQHSQRPLGSPLQHPWPCAWHPRRCHRSKASATCRCSTDSTPIGPSLQHPCLARATPCRCRSVAAAKDHPNP >Dexi2A01G0027930.1:cds pep primary_assembly:Fonio_CM05836:2A:39239809:39240091:-1 gene:Dexi2A01G0027930 transcript:Dexi2A01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNIWSREDVAVYRRMEAIGGLVQKHDPDVIFFQEVTPYIRSIFQSSSWWEKYHSSTVYTDTDQ >Dexi1B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:1B:4337002:4337739:1 gene:Dexi1B01G0005320 transcript:Dexi1B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSITEELAMAVPAEQLWKAAFATSDESSMRNLLTGLSDADVKIHGDGGPGTRYTLKFNPGLGGASRVLIKGRLAARDNVARVISWDEVAVEGGEVAAAAQLKSQVVKCKVEPTVAGGCLAKIAVEYESVDGTPLSAMNEAKLMKGYVGLMKKAEENMVARSVQFA >Dexi9B01G0031910.1:cds pep primary_assembly:Fonio_CM05836:9B:34226895:34227622:-1 gene:Dexi9B01G0031910 transcript:Dexi9B01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSAAVVALARVDEMSSVVKLGTWGSDGGSAFDITVAPRRLESVTIRWGKVIDWLAFSYRDRHGEVHTAGPWGGNGKGEGTETITLGPSEYVTEVAWSVGPFKLKNVERCITSIKLVTNLGTYGPFGHAVDSTHHSLPVLNNASIVGMFARAGDFLEAIGFYVLPF >Dexi6A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:6A:27090069:27091579:-1 gene:Dexi6A01G0019470 transcript:Dexi6A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWWCLSVAVWCAATAAVAQSPPAADPLQAKCSADFGKLTDCMDYATGHAGSPSSQCCADAADTQKARPQCLCYIIQQVRSGRNEVQSLGLRFDRLLALPKACNLANANVTLCIITPSTSAGDTTAGTSSGFRLEAGFRGSVALAVLSAVLSSVF >Dexi7B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:7B:16686777:16688636:1 gene:Dexi7B01G0008970 transcript:Dexi7B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRVRMVQEEMDLWDDLNSQLLTNFNRATSVIGRLSVLGEDKNYGGLRGVANIKEDLMGKQIEVLELLFVSMREKLEKLNGVVKTLNKALRDTTQMVRGGSALTAKQMQLQVGVLPTIAECLDGLRTLCEMHQAEFALKSSVISLLTWKSRQVQWIYHSSDIATLRQLLVDQPNIPKDEVQSIFDIIFADEIC >Dexi8B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:8B:17751392:17753956:1 gene:Dexi8B01G0009840 transcript:Dexi8B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDAALATATSLPVVVACLLLLVVLHPIAAQQQLQMLSFSKEDTAWRPSDGHRILVSSNRNFAAGFRPDSPGKYRFAVWVVASNDGSSNPDDAVIWYAAHRSNYSAVKGDRTSALAIDAAGRLSWTAAGGIVIWSPPVPRPAAVELWLNDKGSLVYGDGSWSSFEEPTDTVMAGQAIPSEAGEITTLQSASGRYRLTRDGKLHLGDQEYSRLFPPLLNLTDDGTLVQEGFPNSRLVATDLGNTTLLRRLTLDDDGNLRLYSMVPKSPPWRVVWHLLQDICFIPGTCAAGSICVPVGTDSIDCVCPPGYQNFTTQDREDGRSPWACLLKVNYSGRGDDDKFVPLDFVTFSGNGSIREADHGPLTTKLQQPKNLDECQKTCRLNPRCVAFGYKLDGFRTCLLYWGLVDGYWDPVAETTTYLRVAKSNNDTNPFDARLTEEVVTACSEHAALPKLKRALTMSMPEQIALITILFVVELLVGALSVWQFLRKYSRYREAARVQVLQEWLPADAARRFSYAELKAATRDFSDVVGRGAFGTVYRGDLPDGRALAVKLLRGVDGGGGEAEFWAELTIVARMHHLNLVRMWGFCADQDKRMLVYEYVPNGSLDRHLFGNAGEEAAAANSPPPLDLHTRYRIAQGVARAIAYLHEECLEWVLHCDIKPENILLDHDFCPKVSDFGVSKLVTKQDRVTMSRIRGTRGYMAPEWVMHGHPITSKADVYSFGMVLLEIVSGRRACGFQLVHYDSEEWYFPKWAYEKAYEELQVREIVDPRLLPTASSSYYDDATVDRMLKTAMWCLQDRAEMRPSMGKVAKMLEGSVDIIGKPLKPTIFCLQEYHDVTTTGTLDTGTVADPGSA >Dexi4A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:4A:4179254:4181229:1 gene:Dexi4A01G0005840 transcript:Dexi4A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFKKEFTFDERLQESAAMMANYADRIPVIVERYSRSNLPQMEKRKYLVPCDMPVGQFIFILRSRLHLAPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMCYSSEKTFGCPGLG >Dexi6A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:6A:7590984:7592410:1 gene:Dexi6A01G0007670 transcript:Dexi6A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRKQLAIAREARRVDVLCERLSLSHRMVKGSEHDKEITSMIGSAVRTLEKEVGGALDQVSAIMGRGIVNRLSCGAEVQKLCSSALEIVDSTVDNTLEFELNNNPKIIGPQPQILFDEITPFSVVIVLKYQDAISKEHIDGCKVWHRSAQVHDYSPEPTCHILRPNTRSLISGLSPSTEYFFRVSPFGSTEGFTEWETKYTTRSLDHGSSQCSTQNSDSVCLKEDSTQHQKRVLNLQNHQGAIQYDSPKGSTNSSENNLSCDRYSKRTKIARLDGASDNDESQLPPTSEVLPFASSNSSPSEAPSKPDLLIGTPDSSSKNYVEQQYEYCVKVIRWLEHEGHMDSDFRVKFLTWFSLKATAQDRRIVGAFVDALISDPASLVAQLVDAFMDVVCIREKPPQPQQKGACCKLWH >Dexi2A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:2A:13906396:13909227:1 gene:Dexi2A01G0011930 transcript:Dexi2A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRNVRKVAEAALSLRPVPPVGTGHPARFLGGVSGACSNAGTASVEFVPWHNGGGILHREASVDPTAVVEAGAVVHSGAVLAKEVVVGSGAVVGPSVSIGQSTRIGYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVDEDGQVKKKPQMLYARIGDHVEIGANTCVDRGSWRETMIGDHTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHASIVSKVRLAANSSVTKDIQKSGDYGGFPAVPINEWRRQTANLRLFSKKDGLKR >Dexi3A01G0029440.1:cds pep primary_assembly:Fonio_CM05836:3A:31138022:31139550:1 gene:Dexi3A01G0029440 transcript:Dexi3A01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRRHVVVAVMAAAAVLVAIAPKAMAADAAPVDAPAYRNITVGGADGWFFDANTNSTSGNYSVWANGETFYLGDFLIFNTNDNSSVVQTTNVTTYNLCDPSDDLAPTTSIYGGGGGGGGGVEENSTVAIPLVVEGTNYFFSDADGGAQCQRGMRFQIKVEHGQGLPPSLKGAPPAPKERVLAPPPAGTAFSGTGAVEPGDGAGDNGGAGRNGAATAKGVAGGRLLWAAVAVALVVVVGA >Dexi3A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:3A:14774360:14776607:1 gene:Dexi3A01G0018920 transcript:Dexi3A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDQSIVVMLIGNKSDLRHLVTVQTEDAKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQILSKKTVEAPEEGAAAPGKGEKINVKDDVSAMKRVGCCSN >Dexi4A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:4A:10647646:10650932:-1 gene:Dexi4A01G0012140 transcript:Dexi4A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQIRGSAAPVPATATARRWMAPASARVLRFAPLAPSTGPASLRRGGFGGLAAGAAYKPLTTMCMKADYTTPVDSVTTAKQTGGEIEEPAIVAPANEEINTEEVVVPQQKCAKIHDFCLGIPFGVAAAVAWKHCQAYATTKKLLPWGFYAALSAAMICFYSYVLLAGGNPPPKKAKVAA >Dexi9B01G0029490.1:cds pep primary_assembly:Fonio_CM05836:9B:32016912:32019673:1 gene:Dexi9B01G0029490 transcript:Dexi9B01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAARSALSSAGRAANEAVSLVVFMLLDALEVLLCVVYKVSDYVLEGAWRPCYCSSRSSPASAATGKIVVSERGGSKVVSMVSATKLHLEDISDTLYARPSVLASAAAAASPSGASRRRPAGVTVHSTIVQMLRGKIGVGDGKHRPYPSPRWSDCHCANCNPADTGRLFVHVEAPTTRREEVVEDVLFIHGFISSSGFWTETVLPHVSAGARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERYGVRSFHIVAHSLGSILALALAVKYPAAVKSLTLVAPPYFPVPRGEEVGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEVVRDQLTCDVTVYHGRDDELLPVHCSYAVKAKVPRAEVKVIDGKDHVTIVVGRQKDLARELEEIWDRKR >Dexi3A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:3A:2188038:2189010:1 gene:Dexi3A01G0003410 transcript:Dexi3A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDQRQCRRASITPDVELGAALALADMAGGGAQSQAVRMHTAMTTDEDEMASTRLSLQLGRVGIQSSSCSSGGSSAGRTPRQTAPASAATGAHGPRPRHVLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSENETIKKVEKDLVLKEYLSLKETNKQLKAQAHDLSLSLF >Dexi3A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:3A:14240225:14240890:-1 gene:Dexi3A01G0018710 transcript:Dexi3A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYVRFGDEFVLAVAMKAKARTSGTARACGTSATTGSFDGYATLVRVAFRDGRVVGAHRQVDSDAYKAARAHGEVRHREFSEVPEPVSLVSRLTGLALWSPITDNANTAVAAFSPSATGVCILVDPDTLDTVRKFGHADKLGGLVHSAHPIVTTDDSSELWTVIPDLVRPGYQVVTMEAGSDERKMVGRVACRG >Dexi1B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:1B:24184290:24185699:1 gene:Dexi1B01G0017870 transcript:Dexi1B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASASTSLLFPQASSSRSRARLSTSLGFSAQPARLRGRAAAAAAAGGQRRGRLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVGVPRIVVFLNKKDMVDDEELLELVELEVRELLSNYEYDGDDVPIVSGSALKALEALMGNPAIKRGDDEWVDCIFSLVDAVDSYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRDTRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPGSITPHTKFEAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGNVTTIMNDKDEEAKMCMPGDRIKMIVQLIQPVACEQGMRFAIREGGKTVGAGVINKIIE >Dexi1A01G0030720.1:cds pep primary_assembly:Fonio_CM05836:1A:35893022:35893240:-1 gene:Dexi1A01G0030720 transcript:Dexi1A01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGGAGRRQERQALMVAFAVALLMGTAVYFRIWARQSSDPSFTADDREELRFAPQILSAFLVLSVGEF >Dexi2B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:2B:22895575:22899505:1 gene:Dexi2B01G0013840 transcript:Dexi2B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSIVTAARAAAEQQKAAAAEDVVLNPGAREFLPWWRLGSSGKKALSADAPEFVMAAAGASVDGDGNNATPQGKVVRKARRNKKYFSRWQNSVKRTIFVKQIDHTVTEQILASVFGTCGTVVDCRICGDPSNGLSFGFVELQCKEEAYAAILLLDGAIIGLTPLKVSPSRTAICPINPMFLPQSEAEWELCERTVYCTNISKIVKSSNLKAFCEAYFGKVCRIKFMDSDKRTTNLAFVEFAEVDGAIAALDSTGIFVGGLRMRICPSKTPIRTDHYCNGSSSPVLAGSLVETNQAADASPLELVPVNGVSTIYDD >Dexi1A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:1A:23924151:23929290:1 gene:Dexi1A01G0016660 transcript:Dexi1A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGDDTATPPAKATAARRSSSSFTSVFMHADGVDVVLMVLGVVGAMGDGMSTPAMLLITSRITNDFGRGPDQVHDFTSRINAECMISGTKLDLLEQNARNIVFLACAAWVMAFLEGYCWARTAERQASRMRARYLQAVLRQDVEYFDLRSGTTSTSEVVTSVSNDSLVVQDALAEKLPNFVMNAAMFISSYVAGFAVLWRLTLAALPSVLLLVPGVMYGRVLLGLARRVKAQYALPGAIAEQAVSSARTVHAFVAEQSTIERFAAALEESVRLGLKQGLAKGVTLGSNGVTFAIWAFNVWYGSRLVMYHGYQGGTVFAISSIIVHGGLALGNALSNLKYLSEASSAAERMLEVIRRVPKIDSSSNAGEELNGLAGEVEFKCVHFCYPARPESPVLVDFDLHVPAGHTVALVGHSGSGKSTVIALLERFYDPTAGEVVMDGVDIRRLRLGWLRAQMGLVSQEPALFAMSIRENLLFGKEDATAEEVTAAAKAANAHGFISQLPEGYDTQVGERGVQLSGGQKQRIAIARAILKSPKILLLDEATSALDTEAEHAVQEALDLASVGRTTIVVAHRLSTVRNADLIVVMQSGKVKEKGSHDDLITNENGLYSTLVRLQKTKDSEEANEISRISTTSTAIGQSNGHSMSRRFSWGLGSSSARSVGDARHVDNIQKPSLSSPSFKRLLMLNAPEWKQALMGSSSAVLFGSIQPIYAYVMGSMFSLYFLTDHAEIRDRTRVYALVFVALAALSFLLNVLQHYNFGAMGEYLTKRIREHMLTKILTFEIEWFDSDENSTGAICSRLAKDANAVRSLVGDRIALVVQTISAVLIACTIGLITSWRLALVMIAVQPLIVACFYARCVLLRAMSKKSLQSQFESSKLAAEAVSNLRTITAFSSQRRILRLFDQTQDGPRKESVRQSWFAGLGLGTSVSLMACTWALDFWYGGKLMAEHQITAKELFQTFMILVSTGRVIAEAGSMTTDLVKGADAASSVFAILDRKTKIDPDNPKGYKPEVLEGRVEIVGVDFAYPSRPNVIIFKGLSLTIDQGKSTALVGQSGSGKSTIIGLIERFYDPLKGVVKIDGRDIKIYNLHALRRHIGLVSQEPTLFAGTIRENIMYGTNRASDAEIEGAARSANAHDFISNLKDGYDTWCGERGFQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSIVVAHRLSTIQKCDLIVVLEKGNVVEKGTHASLMAKGHSGKYFELVSLQQGGSWH >Dexi5B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:5B:4362201:4362820:1 gene:Dexi5B01G0006490 transcript:Dexi5B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAPPHVVEDLFGVVQLLSDGSVIRGDESAIMPASPFPDVPGVQWKDVVYDATRGLKARVYRPESSAGAGDDDARKLPVLVYFHGGGYCIREYDQPPFHSCCQRFAADLPAVVLNVQYRRAPEHCLPAAVEDAATTLPRDP >Dexi2B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:2B:22176653:22182128:1 gene:Dexi2B01G0013490 transcript:Dexi2B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSHQNFTIHERGERTGEERNRCQWKVAVAAEKQELARKGREKVEGLFLGLDPSLLGRKKAKKALSLLFLLLRRAAGGAEQEEMFPPGLIHHRPDGPAPGDGAPRSGPGGGPGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPESEPFSSFSLSFLEATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEGSEQSKDGKMCKAIYFYSFQLVDSRSQEVKEALRAQMEVQRRLHEQVEVQKRVQIRMEALQKYIDSILESACKMVTEQFASSGFSISDPDLPEIFPGGIMCGPTDTLSSSVFNQLSVSSIDSHSPGGKPSPSGIEGPPMLLHKSPELKRRSS >Dexi9B01G0023270.1:cds pep primary_assembly:Fonio_CM05836:9B:18316456:18320200:1 gene:Dexi9B01G0023270 transcript:Dexi9B01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAGPVSSGQQHQGCFGVYTSSRLQCVGYEKKSRTNQLAVRAISMDRSQLDFSNPNWKKQFQEDFDKRFSLPHLRDVIDVEPRPTTFSLKSRTPLENVNGSMQESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPARRLDMLFVLGGNGTHAGANAIHEECRKRKLQVSIVCVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIETKGFALVCVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKFHFKDIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIQEVIKTTRFVDPNSRMWHRCLTSTGQPDFH >Dexi2A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:2A:10470650:10472209:-1 gene:Dexi2A01G0009610 transcript:Dexi2A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGMFAATNPMHMIVRQLFYRLVFTLKFEFFLCMEMISFWLWLEAIGHADFLASVDALDNYHLQSIAMAGKTFVETLRQSSHSTHGSTTQGGYFQQEAVKGIVFYLNNVCFKVLEDMRELAEAKEDTYRLRFTNQQQAQQDQQQDRKGKAPMSTKDLLSKIKASYTSTRSHEAGSSSRSQPSPRPLILRDIERVS >Dexi6A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:6A:2800626:2801295:1 gene:Dexi6A01G0003070 transcript:Dexi6A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMARALVPVAMAAALLLVATVFMEGAAAATYTVGAPDGLWDMQTDYAQWVKTKTFHPGDKITFTYSAELHDVVEVSRAGYEGCSSANNISASRTGNDVVALTAVGTRYFLCGLTGHCDSGMKIRVDVVVAASAAPGPAAASGPASSSASSSAPLSCIIAGGVVAALHLMQHAVVARPFWW >Dexi2B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:2B:65105:66565:1 gene:Dexi2B01G0000140 transcript:Dexi2B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTLLVAAVAILAVALLPLLRRLLSGHGHTRSGKGPLPPGSLGLPVIGHTLSFARALRSNTAEEWLRRRAAAHGPVSRPSLFLCPTAFLVGPSASKFLFSSAAVTPKNHPSFSQMVGRRSIREVVGDEHRRVRAMMVRFLRPDAVRSYVAGMDAEVRRHLDAEWRGRRTVAVMPSMKSLTFDAMCTVLFRLDREKDASVRRELSVEFQELIRGVWATPINLPFTTYGRCLAASRRGRRTVAGIIQRRRARLESSPGDDDLVSHMVAEGMGEEDIIDNVMFMMVAAHDTTAALLTFVILHLEANRDAYDKVVAEQAEIARRRKSKGDGDGGALSWEDLGRMRYTWAAALETLRLVPPIFSALRQTTEDVEYDGYVIPRGWQLLHATNMTHWDPAIFPDPGRYDPARFVAGSQPPPFSFIPFGGGARICPGNEFARVETLVALHYIVTGFSWKLAAGCDGTFSRNPLPYPSQGLLIDINELASST >Dexi4B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:4B:18319583:18320420:1 gene:Dexi4B01G0016270 transcript:Dexi4B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSANSTASPAVSGLDYDDTALTLALPGSSSSSSSDPAASDRKRAHAEHDKPPSPKARAVGWPPVRAYRRNALRDEAAKLVKVAVDGAPYLRKVDLAAHDGYAPLLRALHAMFASCLVANGAGRLVDAATGAEYVPTYEDRDGDWMLVGDVPFKMFVESCKRIRLMKSSEADNLGKSSPQIRADPGYKMP >DexiUA01G0022980.1:cds pep primary_assembly:Fonio_CM05836:UA:46888645:46889002:1 gene:DexiUA01G0022980 transcript:DexiUA01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDPAELRRTLLLAEPNRIRETAGIELTSGGEAEQLGLAGGTGGSGAQPETNCALAARRWGGGRAGFFSAALLGRAARRLPACCCCFVGWDGLRSRGCFAPCFFVFV >Dexi1B01G0026320.1:cds pep primary_assembly:Fonio_CM05836:1B:31193415:31194692:-1 gene:Dexi1B01G0026320 transcript:Dexi1B01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCDQESRLAYMTYHLLEITRSKRTPGTLSIEHDVAAVAALTKRIKSSENQKAEPLDSQGNNDQADSDSSTLISSIGRDNSINCLARCSRSDYGSIASLNRSFRSLVRSGDLYKERRQLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDADGRVLRSAELYNSETKRWTTLPSMNKARRMCSGVFMDGKFYVIGGMTSNTEVLTCGEEYDLDRGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNKRDNSWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPSGNFVYNCAVMGC >Dexi5A01G0034550.1:cds pep primary_assembly:Fonio_CM05836:5A:36590519:36592105:-1 gene:Dexi5A01G0034550 transcript:Dexi5A01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQDLQLPPGFRFHPTDEELVMHYLCRRCSGMPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPPVAVDRKPAFAPPAGSPPEQKPFVAAPGGLPPAFADQLAAYYDRPSDSMPRLHADSSCSEQVLSPENHQFASCDREVQSQPKITEWERTFASDPVNPAGSMLDPVGGGHGGVGAGDPLLQDILMYWGKPF >Dexi6A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:6A:5411526:5413091:-1 gene:Dexi6A01G0005890 transcript:Dexi6A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDPGHDPNHQFLSNLGLELLSSPATSPSGGFRSSSLLRSLTEPSAAAAKPSSLGFQQYHQQQQTMNQQAPSSFREALQFTNNTPLWNPSTGFAAAAAEGAASLAAKSALEGAGDSSSIMVKKANTDPTPLKKSRTGTPSPQPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGSLSAPYLKNRQQVPHLKVTRDGGDAAAAKGDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >Dexi4B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:4B:14982892:14984245:1 gene:Dexi4B01G0014230 transcript:Dexi4B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALCDKLFSDVDGELMRHHSDAEDLFGILEAWEDCVTGGSSATSTPRGAQVSQAADAAIMATPKPPAPVAGNVRRRLGDREDRTVVPPAPKKRRCSPAVSSSEAGGATSEDGAVDNKTSHITVERNRRKQMNDHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLQSLEAKKQRKAYTEQVLSPRPPPTCCSPRPPLSPRPPLPPLKSTPPISPRPSVPISPRTPPTPGSPYNKLLLLSKPPPLPLPLSPPGSAYASPAVTPTREPSPAAASYLPSLDTIAAELRAYAAGTTTSSSKQQQQQVGGERVLGVLRDVKVEFSGANLVVRTVSRRAPGQAVKVIAALEGRSLEILDAKMSTVDDTAVNSFTIKVRAHVCVLLARGRTVCCARN >Dexi3B01G0031340.1:cds pep primary_assembly:Fonio_CM05836:3B:32456474:32458928:1 gene:Dexi3B01G0031340 transcript:Dexi3B01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKATTDGPDHAPQPLASHLTRCIKITHPYPAAPLAQSPSPRAAAAAATTSARGARRPPARLHDDATMKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVLGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPQVLQRKRARIAEKKKRIAKKQADAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAAAKASAATST >Dexi9A01G0043970.1:cds pep primary_assembly:Fonio_CM05836:9A:47633138:47635531:-1 gene:Dexi9A01G0043970 transcript:Dexi9A01G0043970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPSTSTSVPTFSSPAAASGTGTEQDSALVSAVADALVSTSRLPTPPPMDTVLAPYLPRLTSSHHPRVLSLAAANPSLASPHTLLAYRRLVSPSSCLASLLPLLPVLQYRDLLPLLLEFVPHDPLRQLHRHLLASLPTAALADAALSAYSRLRLPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSPSTSPQASLDAFRTLIALRLLPNHYTFNLLVHTHCSKGTLADALSTLSTMQGFGLSPDAITYNTLLNAHCRKGMLGEARALLARMKKDGVVPTRSTYNTLVSAYARLGWFKQATNVVEAMTAFGLEPDLWTYNVLAAGLCQAGKVDEAFGLKDKIERLGIMSPDVVTYNTLLDACFKCQRSSDALNLLEEMRDKGVKASLFTHNIVVKGFCRDGQLDKALRCLKMMAVDGLAPDVITYNTLIDAYCKAGDVAKAYVLMDEMVRTGLKMDTFTLNTLLYNLCKEKRYEEAEELLRSPPQRGFVPNEVSYGTIMAAYFKEYNPEPALRLWDEMVERKLTPSISTYNTLIKGLSRMGRLKEAIEKLNEAVEKGLVPDETTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFKSWVEKGKKVDVITYNTLIQALCKDGDVDTALHFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNMLHKLTESGKLSERFSCPLMKSSEEMETGKDPEVKPDTETSGNAQCDHQENYRKRVNELCIGGQLKEAKVVLDEMMQKGLSVDSSTYITLMEGLIKRQKRQTHAAG >Dexi8B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:8B:615458:616677:-1 gene:Dexi8B01G0000900 transcript:Dexi8B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKGKKSLVSGGGGGGHGSEMMEAMRRQQELVMQLRALVLPLLYAVDATSADVAVQLFDDVIGCNISVASKLEGFLMMTTTTTGAGGGPVLEDLLDDKSLVRKTNSTTTAAGGGGRTTEEQAKKPNSVGQKRRRNDKRSRSLVTHVPHYDGHQWRKYGQKNINGRQHPRNYYRCAYRERNCLATKTIEQQEQNTGTSSAMAGEESAKYTVVYYGDHTCKDYSNSMSMAQTPRQHVNMNLRNGEMVQTTTNAQEPEADLDLPALLEVFERSLINLDDWNEDMISSSPV >Dexi2B01G0022170.1:cds pep primary_assembly:Fonio_CM05836:2B:31847383:31848417:-1 gene:Dexi2B01G0022170 transcript:Dexi2B01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRLPAGASSSASAVPARVRPHLARITSFLIVFAVGYSLGLLSSSTRPSPRPSQTTIVRPHAAHLLTDASTTVPASNATATTTASSYPRSPPHDLFRFKEECGEPVASDAVVPTLLEKLFDGESPYGGFPPPHTAALLHPARARPRGWGSTGAVFAELIEAVRPEVIVELGAFLGASALHMAAVSQNLSLSPAILCIDDFRGWPAFRDRFRRDVPPPKHGDALLLPQFMANVAAAGADANVLPLPFSTASALMALCEWGVYADLIEVDAGHDFHSAWSDINLAWAVLRPGGVMFGHDYFTSADDRGVRRAVTLFAKVKGLTVRPHGQHWVLSPKPHGHGSSAR >Dexi4B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:4B:2430477:2430761:-1 gene:Dexi4B01G0003470 transcript:Dexi4B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRAIPPAAMSVTMRTTNWHATAIASGGELVDLMSSPPASTVDVVPFVRPSLEIVPAEDTLDRVLAAVRADSARPCRDGAERRRMHFPDRPA >Dexi6B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:6B:8816730:8817106:-1 gene:Dexi6B01G0007580 transcript:Dexi6B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSKNPSAAAAIVLLLIVMTAEMYCVEGNYCKHLSGSYHGWCITDGDCDSKCIDEDKRNVSGDCGDFPPRCYCYTFCSP >Dexi2A01G0035550.1:cds pep primary_assembly:Fonio_CM05836:2A:45165243:45170960:-1 gene:Dexi2A01G0035550 transcript:Dexi2A01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKNSNGYLGVTEPISLSGPTEKDLVQTAEVEKYLSDAGLYESQEEAVSREEVLGKLDQTVKAWIKKATRISGYGDQFVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNDYFFRWLHDMLAEMPEVSELHPVPDAHVPVLGFKINGISIDLLYANLAHAVIPEPYGSGSPLNSSNRECADSAANNLLSSSPAVVASDELDELTSFHQIKANQKDVNADQKPSLEGCSEDNLEQTCSLRPQYSNNLKRKANEELEIEVDDLGETKAS >Dexi2B01G0025890.1:cds pep primary_assembly:Fonio_CM05836:2B:35073397:35074852:-1 gene:Dexi2B01G0025890 transcript:Dexi2B01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPSAHTLCHSRGGPPTTTLEQQSPVRLAGPSPTTSLHSTLHDLAVLHSSLPPAAAPSAPTERQESSRMPATPTIIGALLGLGTQMYSNALRKLPYMRRIAPLFSFPPLFPHRVFISPFRDLSPHCAPRPVRLFVNQLVKFDEKVKEDLDKMLERARVANEQRYIG >Dexi3A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:3A:10795586:10803255:-1 gene:Dexi3A01G0014710 transcript:Dexi3A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVSHSCVGNFTSPNQLNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATIELFRPHNETQDFLFIATERYKFCVLQWDAEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLHGCVKPTVVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGTLHLLVLTHERERVTGLKVEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADASGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPAGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISFHPFFLQCHSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNQTSMEESETHYIRLLDHQTFEFLSTYPLDQYECGCSIISCSFAEDNNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEERARDYNANWMTAVEMLDDEAYIGAENSYNLFTVRKNSDAATDDERAKLEVVGEYHLGEFVNRFRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTAEARNFLDGDLIESFLDLSRSKMEEVSKAMGVPVEELSKRVEELTRLH >Dexi3A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:3A:16162492:16165146:1 gene:Dexi3A01G0020350 transcript:Dexi3A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGHMAAASPEQVARNGGGSSSAGGATARPPPLSPPDAVMLEQPPPVPYLFAPQVPVTPLQIPTEFSPVFNHAPINGTDGSTNSHSQEKGIPTLITWSQGGNEVFLEGSWDNWASRRALERSGKDHTILLVLPSGLYHYRIIVDGESRYIPEQPYITDERGQVANLLDVHDYVPESLDSVAEFEAPPSPEHTYDLKYPGDEEFAKEPPTLPPQLLVSVLGDADDADDQTPKPQHVVLNHLFIEKGWGSQSLLALGVTHRFESKYVSFVLYKPLRR >Dexi4B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:4B:4411844:4413491:-1 gene:Dexi4B01G0006260 transcript:Dexi4B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAARVQALAETGVSRLPAQYIQPPEHRRAPPSSSPVAAAPSIPVVDLSSPAAADAVRAACADWGAFHVIGHGVPVELLDAMRGAGLAFFRAPMEDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPGYRDTIVKYSSSMKDLAQRLLRITSGNLNLPPSYIEETVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLDVLKDGMWIPVPPLPAGILVILADQTEIITNGRYKSSVHRATVNAERARLSVATFYDPSKSRKICTAPQLVSKDEPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIQQ >Dexi1B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:1B:11401158:11403417:-1 gene:Dexi1B01G0011310 transcript:Dexi1B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFAGRSLPARRHRHRHLPLFTASSSHPIGSHRYLVFPPSCSAREVLDVTRQRDDGRRPRTEEGRAGSTGVRYGTNGGTVRRDTTTREAGTPVQREGSRGVPRPWKKGDRVVVKEREDWESQEKNRRRGPIQTGEQEWRRDANSWTRGGKGMFAKESGNAGNSRDVGRETRNETKKNKRVKVGEQGGKLRVLLDMCSKRGDVMGAISLYDSAVEDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTGSGITSIDPAQKLDLSLEGSLGCSDEGDTSEGHVREEKEKPELPSGDLNVQTVTIPIGDELREYARARGFEIFEKMCAEKERVQMSEAALTAKARMALSMGDGDMAFEIVKQMKDLGITPKLRSYGPALTAFCNSGNVDKAFEVEAYMLKSGITPEEAELEMLLRK >Dexi9A01G0043540.1:cds pep primary_assembly:Fonio_CM05836:9A:46960918:46962219:-1 gene:Dexi9A01G0043540 transcript:Dexi9A01G0043540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRRWLPFGGGGCCCCCGDGGGGGAVADGLVWDVPLKAHASGDYSIAVAQANEALEDQAQVLAAPGATLVGVYDGHGGPEAARFVNRRLFSLIQDYAAENGGLSAEVIQKAFGATEDEFVGMVQKSWPSQPRIMSVGSCCLVGAIENGTLYVANLGDSRAVLGRRRDDRTKRVVAERLSRDHNVADEEVRREVAEMHPDDSHIVLNSHGVWRIKGIIQVSRSIGDVYLKKPDMCRSNPMLQQSLCPFPLRRPVMSAVPSITVRKLRAGDRFVVFASDGLWEQLSDEAAVGIVAGSPRKGVAKRLVRAAQLEAARKKEVKYEKIRTIEKGQRRHFHDDITVVVLFLDKCKGAARSGVEDIDGTYVPVDVFSCTPAGGDNEDPTRPVLH >Dexi7B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:7B:2262359:2262679:-1 gene:Dexi7B01G0001290 transcript:Dexi7B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSSPAPQQGSNQHARLHLQKSRTTKPAEAWPLAVVRRGLGLSASRARGTRHLPAAAVAVPCRLAASAWGWSAVAALRLGAGRGARLAAGRRAGPRLAAGVRLA >Dexi2A01G0030280.1:cds pep primary_assembly:Fonio_CM05836:2A:41266011:41269620:1 gene:Dexi2A01G0030280 transcript:Dexi2A01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGAHRWAGEAHGGGGGGSNYIAKHVGIQNQVLRWLQHFSDRVEERAKGAAAEVNVLLKEAEALELDMKTAVVAFDHLTRQRITEHAILTLTLQLEKVSDDDNINLKTRDSIRSSNQSQVRAQDYERDILPRYKEALHIGLASCKDHFRKKGRSTTSVFRAMSTYSPLPHIIGSEEYNHDNSCGVADDAQTIDDFSWLREFQGESLDSRPDDLFGSQMLGVQQGFEKDPVLSTSMGGAGDERSGEADNAKEAELLASLQNPDINVHDIYSALVREGLFDAGDEILAVDPASGSLNSAVEDSAESASLVNETVPCEEENLIEGDHTAHPSDENDGVSESS >Dexi8B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:8B:9311540:9313762:-1 gene:Dexi8B01G0007590 transcript:Dexi8B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGAPAIAITAALALAVEVSNLDFTGTPVEAASFVSKKLEYLVSSRPTAVNLSDAATKLQNLVSKTAESAKDASAVFQVYIEAAETMLVDDVADNKAIGSHGAEFLQRQLGNSKNISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHEKIPATLIADSAASALMKQGRVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLALPSGDGIVIEERSPKELLNSEGGLGKQVAASGILVWNPAFDVTPANLITAIITEKGVITKTDADGAFDIKGFIQSAK >Dexi9A01G0048050.1:cds pep primary_assembly:Fonio_CM05836:9A:50903890:50908829:-1 gene:Dexi9A01G0048050 transcript:Dexi9A01G0048050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARRRADLSPSPSPSSSSPLLSRLRSVVSSLLSRQYSTNSGGDGTGRRKGGASSSVPRPLRPGFVDPSTWRHFDSRAFGIYLDAIPKDALAVLKRLRRNGFEAYLVGGCVRDLLLKRVPKDFDVITTASLRQIKNNIFRRCMIVGKRFPICLLKMRDSVIEVSSFQTIGKHANKSEEADYFEELNGYDDRDILLWKNSMRRDFTINGLFFNPMNFKIYDYVNGVRDMRKNKVTVCTVIPARISFMEDPARILRGLRIAARLGFQFSGETSNAMEDFSSSIIKIDKARLMMEMNYMLSYGAAEPSFRLLRKYGLLDILLPFQAAYLSDQMKSRSSDTDLMLMVSLISCLMLSISNLQKLLANLDRLFSAERPCHCSLWLALLVFHTALVISPQDTLVLASNNDRGRLLKIFEALDSDLTSYDERRWLHKIDYYSLKDGFPAEVRFVLGKVIMDTMGDKLPCVSDEDALLF >Dexi8A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:8A:17971235:17975392:-1 gene:Dexi8A01G0010540 transcript:Dexi8A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFLTAGGSAARSSTIRLLCTATASLPHLLALPPVAPSPAADELARLLLAHHNPFHPAESPLQLLSGGGVSLSGDLLVQLLLRLRGASKLALSLLHSARLHPSFASTRPPADAYDAVVDALGRARQFDAAWRVVVDASADGAASPRTFAVLARRLVAAGMTRQAIRAFDDMEAFVGREPDAVEFATLLDTLCKYKYPKADMLRTWRRLKRRVDEEAAKFGDEFKLYHIKPYKSRFVGKGHGENGEQNATVTMTLLTVNNQVSKGMA >Dexi6B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:6B:3683239:3686757:-1 gene:Dexi6B01G0004410 transcript:Dexi6B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKSLPLGFRFHPTDEELIRHYLKGKITGQINSEVEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRTIRSKGDKRKQHTIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKPERPSPEEVDPSGFSPTPSRSSPDHIEANEEANTPLNRESPESGPHESPIQLPNSVETHSTPMTRWLESRNDNLVAAAADVSRMHVNVHGDEPKVEPSAGAPALFVNPQNGDGSLNNFVPDIAPNLPHGNAFFPDFQQGPFGFDGNMNPPDALDAFLNQTLVDPDEHSSTTSRVQYDSDIPTEFENNGVAQGEDDSWWANLDFLPDEPNPLNSVYENAPLLHYDPNDQDVLSVDSGADSLHELFNHMEDSSARRAGMNMEYGLQRTGISLFPRQLQSSVQPNHVFANQGTAARRLRLQLHDDVESGESIARDESEDEVSCVVTADKDVISDGGDEAESSGIIIKSRPAPCSSSESSFTQQGTAVRRLRLQSDLKVGPCVSTDETSSCIINETEVHPTAEKSEIGDDASTNFAGGVDDLSGNFNDTEEKIIPKHVAETAVPEAKSVPRLRKASEKSNKDTIKQEDGLEPHVRAPAQQGGIQSYIVWLVLSVALLLLLCVGVYGWM >DexiUA01G0026210.1:cds pep primary_assembly:Fonio_CM05836:UA:55504224:55505141:1 gene:DexiUA01G0026210 transcript:DexiUA01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPDTLFELDMDTGQRQVLKQSEVKGFDSDNYRSEHLWVTARKNPILVYGYGSYGSSMDADFSSSRLSLLDRGFVFAIAHVRGGGELGQHWYEDGKFLKKKNTFNDYLDVCDALIEQGYGDPGLCFGMGGSAGGLLMGAVINQRPERFKGIIAQVPFVDVVTTMLDESIPLTTGEFEEWGNPQDEIYYRYMKEYSPYDNVEAKAYPHMLVTTGLHDSQVQYWEPAKWVAKLRELKTDDNLLLLCTDMDSGHGGKSGRFKSYEGVALEYAFLIGLAQETLPGRAER >Dexi3B01G0021190.1:cds pep primary_assembly:Fonio_CM05836:3B:16090372:16092040:-1 gene:Dexi3B01G0021190 transcript:Dexi3B01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFGPDEQILWPTSVLAGVAMCAAVYDLTRQVSSRCYKGYDGLNEMHKVEWNNRGFSTFHALAAAAVSFYLLVLSDLFTESARSAIVDRKSWLSDAMFGVSLGYFLTDLAMILWYFPHLGGKEYLLHHGLSMYSISLSLLSGKGHIYILMVLFTEATTPFVNLRWYLDLAGRKGSKLYLYNGVALLVGWLVARVILFVYFFAHVYIHFDQVRSAFPLGFYSMLTVPPVLSLMNLLWFCKICKGAVKTLCKAKQSASVKMD >Dexi1B01G0030360.1:cds pep primary_assembly:Fonio_CM05836:1B:34369261:34370965:-1 gene:Dexi1B01G0030360 transcript:Dexi1B01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQPHWRAGTAASRISYKNATIAVCAFNFLLAAFFLHSYFLSWPRIAGGDQFDSDQLRYIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMAAQDPKQTAAVDLSNRLKDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGTSSAKTR >Dexi6A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:6A:2540727:2545062:1 gene:Dexi6A01G0002700 transcript:Dexi6A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAVAGEDGVVLGVDGGTTNTVCVCLPAAMPPPESPAAVPILARAVAGCSNRNSVGESTALETLEQVMTQALTMANTDRSAVRAVCLAVSGVNHPSDQQRMLEWIGSLFPGNAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTSLTGDILEKLELSSPDEIIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADTVIAVVRRLRLCGEDEKDKFPLVLVGGVLEGNKKWDISGEVIKCISKVFPGTVPIWPEVEPAIGAALLAWSHHRKGSKLENGS >Dexi2A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:2A:27853772:27855997:-1 gene:Dexi2A01G0016270 transcript:Dexi2A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQERDRRRTLLLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSVVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFHLVAAISIAVGILTWFFAVDPHFPTGDGGGGKQQQPAATAREVVAEMIEEAKFVVRIPTFQIFVAQGVSGTFPWSALSFASMWLELKGFSHGETAVLMTIFWVASSLGGLLGGKMGDYLAVRYPDAGRIVLSQISPLSAVPMGAVLLLGLPDDPSKGVSYAVVLFIMGVLMSWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGLLAQRVYGYKPDDKGESVQQDRENAASLAKALYTSIAIPFLICTAIYSFLYCSYPRDRERARMQSLIESELQQMEHESTCLEDGDGRHKVFASANDGERATIGVTYDHKDAPEGEKDTVSLLANRES >Dexi1A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:1A:27919628:27930177:-1 gene:Dexi1A01G0021090 transcript:Dexi1A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGGSGGGSRHGGVVSGGGGRQPAGRADGRGERLPWLATGGVQVQRGVIAGCGRGIPNKMDNAQNENRTDTKQGDEVHQIKQDDEFCSMKEARLEEYKKIIDQKTSLRRSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLMELYFVGIVEDASIFVNIIKDLTSAEHLKDREATQTNLSLLSAFARQGRFFVGLQSHGQEAYDEFFKELNVTADQKKFFKKALNSYYDAVAELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAEALDMQPPVMPDDGNTTRVTTGTDVSPSSGKESSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEVEPKSNEQHAKGREQSTESTSEQDTELHDNAQTSATEHQSEVKVDDGVKDSEDKDKDRGKEGEKEKSKEKDMDKKNEREKEKVRALDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHCTLVENAYYLCKPPERSARISKVRPPLFQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFSKGSSKRKLDKFLLHFQRYIMSKGPLPLDIEFDIQDLFAEIRPNMSRYSSIEELNAALVELEENERSAPVEKAENERHSDNESQKGQPRDAASSANGQSATNGVEENGKDHEVADSESYSGSGSIDGRDEEEDILSEDKSNDGSDNEGDDEDDGIPVGSDEDENVEVRQKVMQVDLKEQEEFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDQRATESESGEETVDEEGGNFGSSKVRVKVLMKKGHKQQTKQMLIPADSSLVLSTKQQEAAELEEKQSIKRRILEYNEREEEELNGASQMGNWGQGASTTSSIRSGGRGSWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >Dexi3A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:3A:475261:477751:1 gene:Dexi3A01G0000560 transcript:Dexi3A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPFVVVSSNGCRPYPHRHRRFPGNPRPSALPPLPSLRTIPPAGPLPRLLRRRNVSAAYGDDDMDDDFGDFDADGVGDDDDMDNEQDYDVDYDRLLAPVKPPLPSSLHGEEGDIAMVAADSFVSTQDSASDTVVDYAVDEDEFHKISLLHCDFLIRKVPDPGDDVYDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKDFGRYNVTEPPVEHLRDPLYKTEREIIKVFLTKHYRNRRADDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRRNDLLLIRDGGDSFRIIDKTKRDDATTVIQREEWTKSRQDVEKHFRKLRDFDYSNWF >Dexi8A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:8A:29693254:29693884:-1 gene:Dexi8A01G0017750 transcript:Dexi8A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSKLLLVMAILAMAASWQQAIAYDPSPLQDFCVADMKSPERVNGFPCKDPMAVTPDDFFNAAKLDQPRNTKNKFGSIVTNVNVSQFPGLNTLGISLARIDYAHFQMNLDHEKPAAALSSLSSQNPGVITIASAVFGSKPPTSDDVLAKTFQVEKNLIDWLQSQFWENTNY >Dexi1B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:1B:9057958:9058358:-1 gene:Dexi1B01G0009710 transcript:Dexi1B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLLDLMSLSPSHDGEDDSSVGSCGSSVVQVVPRDVSDELLGKFEDAGEFVFEYGRSSLWSPLVLRPEVIASAQLGRGRRSHRRSWRRKVSTVFCCW >Dexi8A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:8A:5533548:5535281:-1 gene:Dexi8A01G0005730 transcript:Dexi8A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAARLASAGGVALVLLLVISAAAAPGAMAQEETEDELEFSYVPGAENGPEHWGSIKPQWSTCGTGMMQSPIDLSHDRVKLVPSLGYLNLSYRPADASVVNRGHDIMVNFSGDAGSLVINETAYYLKQLHWHTPSEHTIDGHRFAMELHLVHESAENKAAVIGMLYKFGAHDKFLRKLEPYIKQIADRRDKVEHVGVVDPRLVRGSDSVYYRYMGSLTTPPCKEGVIWTILKEVRTVSMYQRELLREAVDDGMENNARPLQKVNNRDISIFWPKPHRHYY >Dexi3A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:3A:13352788:13353999:-1 gene:Dexi3A01G0017530 transcript:Dexi3A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSCGGGVQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSRCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPIAAAAAEASLDARGDDDHKPTATADSDGLVAQTKQQQQPAVFDPFPAATTDFVFDLGAAALYDVGKGSPDDAGGFVADYSSVLDDVSENLGYGESSSNSSNNWNCTEMVLDGEVLHWASAAAAATKPEPYTELEQQHSGGYGAGQVVDDHEPLEHKFSLPCGQEQSLAHFDFNLEYF >Dexi7A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:7A:27314791:27317228:-1 gene:Dexi7A01G0017770 transcript:Dexi7A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTRMAGSVLLRHAGSRMFTAAAVSPAAAARPALLAGADGGGLPAVMLRLMSTSSSSSPAAAAAASQAKEDAAKAANKDGGDKKAINSYWGIEQSNRLTREDGTEWKWTCFRPWETYTADTSIDLTRHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVIAVQGVFFNAYFLGYLVSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIANVPAPAIAIDYWRLPTNATLKDVVTVVRADEAHHRDVNHFASDIHYQGMQLKESPAPIGYH >Dexi4A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:4A:21303124:21307370:1 gene:Dexi4A01G0017600 transcript:Dexi4A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKFPMGMRVLAVDDDRVSLTILEKQLKHCYYNVLSANNETKTVMKGINHGACDYLVKPVRLEQLRGIWTHVVRNSKNNPRIDISDSSDDADQKFQSEDGDKDEQDGENHTSNYSKKKKKDINGTDEDKENRSTQKRQRVQWSGDLHRKFIQATNQIGMDKAVPKKILEVMNVDGLTRENVASHLQKYRIYLKKLIEGKVSDSNRKINVSESSKRLPELNRYQSSPSFVGSPRSNNLSARMNSPPAFGAHTFLSTQSVQELSHRNSSIAWQDMEQVGSGFNMSGASRCFHSVPSGSSFANISNGAVFKTSRPLSIGISSSSFANISNDSSPLGMSMRFPSPRSCSSYASMLRGKILGASRGIPFEDVADGEVLAPSGHLSSLKVPELVNQPSVQIPSSSCGLFNQVSREAHQFAGPRNSSVGMYKGPSQGNIIKINQLSRLAASSGQIPTFGNVYQNQIAGIIGQTAPMIDNSVMPAQMLNGAGPSSENLPKGVTVTTNQQAVGDQMTFNSNKFLIDTSEAQNGASGDLDEFFADWINEDFFNNGDAFTGGDWEFAP >Dexi6A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:6A:27999080:28000208:-1 gene:Dexi6A01G0020490 transcript:Dexi6A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGSGCGGGGGGGHHHGRGDHPHVSTASSTSDSAAAAAAAAAAAAYLYTTAPRGGGFQLWPHHHHHPVQEHHFYAPNIIRFATDDPTAAGGGSSSSRGRGSASASGAGGVTISCQDCGNQAKKDCAHMRCRTCCKSRGFDCPTHVKSTWVPAAKRRERQHQLTAGAAAEPSKRPRDGAQPSSTTATTTSSGGEQQQQQVVAVAGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTSVSIAGHVFKGILHDVGPDPSSAGAGGGFFRHAAAAEAAGSSPASTAAGGDGGGVAGPVSSSAVVMDPYPTPGPYGGAAHFFHGHPR >Dexi5B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:5B:4533728:4535135:-1 gene:Dexi5B01G0006780 transcript:Dexi5B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEHSNFPITKTKGPASAKYIHKRRRSQSNSRRVRQQQLDFQSIILHKVNVQSLPEDVALRITSKLTLKEAARMSVLSSVWRQACVFHPNLYFGSETELGSHAKGEVCSDPSKRMLTISAFIERVDGILKNHCGTQVNKFAVKFGLSAKHTKYINRWVSFAIASKARAVILNLSRNRNSFAYGDNYHFPFQLFDGQNGSYLHVLQLECVTLGPSPGFCGFANLKMLDLYFVISLQDLRHLLSKCCVLEWLSIRSCFELSSLCVPEPLYRLQYLRLQNCAFRSIEFHAPDLTTFEYQGSPALMDFSKCLKLKTVAIWIDVTKTLKYLLTGIPSILPNVETMHAQLLIVNEMSGFTQSPLKFISLKHLTIELTCWGNTKSVFQLAYLLEAAPLLEDLHFEVSHYFGCLPSLL >Dexi2B01G0021560.1:cds pep primary_assembly:Fonio_CM05836:2B:31319474:31319788:-1 gene:Dexi2B01G0021560 transcript:Dexi2B01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVFQSDAHLFINTATGRRFVRKDLPLLRRYFVVAADGGSIVLVERASPHAACVLNPFTGSLVRFLAPMPIVERDVVCCLCDRLVTADARPALRQIWYDLLG >Dexi7A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:7A:3541686:3544100:1 gene:Dexi7A01G0001410 transcript:Dexi7A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFVPFLLLLSSPSVQAQQNITLGSSLTPQGPNSFWLSPSGDFAFGFRPIEGNTSSYLLAVWFNKINNKTVAWYAKTTNPHPVLVQVSSGSCLQLTSNGALSLQDPTGTEVWNPEVVGAAYAAMLDTGNFVLTDADGSTKWGTFHNPADTILLTQVLTPKMKLHGRINATDYSNGQFLLNLQNNGVFFDTVSVSYSHQHESYWSMTGNIKNLVFNATSAIYITLDNGTHIKITSGKISSTEDYYHRATLDPDGVFRQYLYPKKISNLYTQAWSVVDFKDPGIYIPRRSAAETNAGTGTCGFNSYTVLDVTNNQTTCNCLPQYSFIDEQSQDKGCKPDFQTQSCDLNEAGATERFQFKTLIDVDWPECDYEQYNNISNHKCQQFCLEDCLCAVIVFRDSDNTCWKKKMPVTGSNVGNSVQRTVYIKVPTNNDSTQSDLREPNRWKKDKKYWILGSSLCGRIDFLVEGDDEATFDLKKVDRYVVVALWCLQEDPTMRPTMLKVTQMLDGAVAIPTPPDYSSFSQSLP >Dexi7A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:7A:13809284:13812523:-1 gene:Dexi7A01G0003720 transcript:Dexi7A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATERLFATDELGGRAVYRLHAATVLAGICLVLYYRATHVPGAAGGRAAATAAWVGMLAAELWFAFYWLLTQSVWWCPVRRRAFTDRLAARYGEQLPCVDIFVCTADPQSEPPSLVMATILSLMAYNYPPENLSVYLSDDGCSILTFYALWETATFAKQWLPFCRRYNIEPRSPAAYFTLPDRPKDPQALEEWSFVKGLYQEMTERIDSAVRSGEVPEQIRVNHKGFSEWNMGCTSKDHQPIVQIRVSSVISNSPIIMNVDCDMFSNNTNSIREALCFFLDEEMGDKIAFVQYPQNYNNMTKNNIYGNSFNVLNQVELRGTDSWGGPMYLGTGCFHRREAL >Dexi7B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:7B:2675022:2676098:1 gene:Dexi7B01G0001580 transcript:Dexi7B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRIHEEEETGTPPPATMAVPPVLVKGKRSKRQRVHAPPVVLSSTAPAPEWSSSAASAATAPAAAAAEESAGTSPSDEAASSGGGGGCLTEEDEDMALCLMLLAHGVPAVTAKEDEEVVASVAVAKEARFRSRRPADGAAAGEYVYECQTCNKCFPSFQALGGHRTSHKKPRLLPPPAATSEDNNNKVTTSTEPTAPSPSPPAPAESTADATVLAIPVPAAPPMPPPKQEHQDAVVAAAMIAAATSSSSSKHQRVHECSICGAEFGSGQALGGHMRRHRPLVPAAAKEDAPARKEKSLLELDLNMPAPCDGETAAAVTSPRFAFAVAAAERPPAAAQLLFPASAASALVDCHY >Dexi7A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:7A:22961534:22961899:1 gene:Dexi7A01G0012770 transcript:Dexi7A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSSRRRFPNLKAFLQAWKKQGEWAQLDGAGGEAMAIPRDVPRGHTVVYVGEQLRRHVVRVSSLDHPLFRELLDRARDEYGFAAADTRLCIPCDEDVFLGVLCHVDAERDTTRLAALCS >Dexi1B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:1B:4979832:4980251:-1 gene:Dexi1B01G0006080 transcript:Dexi1B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTQDIPRGGAAMGGGRPPLQELTPRPTPRAKAKGGPPPRHDRDENETTASMSSRLDVLSSMAPHTDTDIAGSGVACSVPVPRVSSRSPMVACRGWLADATGRPPSRHQCGHMPGTTHPRLAIMGMDGLTYHGLTQL >Dexi4A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:4A:6272938:6274179:1 gene:Dexi4A01G0008330 transcript:Dexi4A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEAVAFRPDQPRVPCCVLRGYAIASAGDLEGTIIKKGVMVSQEGEGIVAAPPHGSTCCAWANVAAGGAATGLPSTLATSSARRPLHQTAVRRLRRRRRGTMATAARRTVVEAESQRQNHIAVDRNRRRQMNEYLAALRSLMPPSYARRVRAGRISERFTRFFTFPQYSTAAADNVVDDASGSSSGGGETRSTTGAVADVEAVVSEGHATVKVLAPRRRRRRRRSQMLLGMQRRGLAALHLNATTTADHMVLYTFSLKARMGDEWQLSSAGDVAATVHGIVAGGMDTAEGRAIYYPAN >DexiUA01G0022870.1:cds pep primary_assembly:Fonio_CM05836:UA:46648892:46649252:1 gene:DexiUA01G0022870 transcript:DexiUA01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMMQFSKMHGLGNDFMVVDAVTQNVFFSPELIRRLADRHLGVGFDQLLVVEPPYDPDLDFHYRIFNADGSEVSQCGNASPMTIWFA >Dexi8B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:8B:4756581:4762822:-1 gene:Dexi8B01G0005020 transcript:Dexi8B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSPWFVLLLSVLVKAFVISGDTNGQDYVGLTAIAASWDTMPSNWVGSDPCKDNWTGITCNGDRVTSITLNSNKFSGPIPASLGSLSKLYWLDLADNVLTGGLPISDGTNPGLDNLTKTLHLLLDNNNFSGSFPPTLGLVTALEVLRLDSNYHLTGPVPANFNNLTHLVELYLENLNISGQLPQALFHLPVLQTVRLRGNYFNGTLNISSDFSSQLELIDLQDNRIEDTSSSVPQLHGARVFTFVELKKITSNFSEANDIGNGSYGKVYRGTLPGGQLVAVKRSQQGSMQGSLEFRTEIELLSRVHHKNVVTLVGFCVDQGEQMLIYEYVPNGTLRDSLTGKSGVRLDWRRRLRVVLGTAKGVAYLHEYADPPIVHRDIKSSNVLLDDRLNAKVSDFGLSKPLGVDGRGHVTTQVKGTMGYLDPEYYVTQHLTEKSDVYSFGVLMLEVITARKPLEHGRYVVREVKKAAADRAGKDLCGLQELLDPVLAASPSAPAGLEQYVDLALRCVEEAGNDRPSMGDVVGEIERVMKMAGVGTGADSASGSLVSYGSRSPRHPYSGDSPFEHSAGALPSVRVEPH >Dexi6A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:6A:18175217:18179120:-1 gene:Dexi6A01G0012000 transcript:Dexi6A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPSLDGFEYSLYLAPSPLQSTRSSDSRSFRRISPGKMINLFKIKGQKKDDAATTNGKPAAKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATIKPDEGYYVGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDDPKKFEKNVHRAMAGGYVGETHFPRCL >Dexi7A01G0022270.1:cds pep primary_assembly:Fonio_CM05836:7A:30629678:30630790:-1 gene:Dexi7A01G0022270 transcript:Dexi7A01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGVPPRPPSSKVQALYELCKRTFSSPSAAGASPPPPPADAVRSISSLMDTITPADVGLRDDSVEEDRGHGFFDSNFLKSSARVARWAQPITYLHIYECDAFSANNHIGKLEYSAYPLQPSFLFMIIQE >Dexi4A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:4A:11006810:11008063:1 gene:Dexi4A01G0012460 transcript:Dexi4A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVTPGSSRATVPLVHRHGSCAPWQTSEKPSFTERLRRSHARANYIRSRTFKGMVSTLDDDANVTIPAYLGGSVDSLEYVVTVLLMDTGSDLSWVQCSPCNSTACYPQNDPLFDPSKSSTYASIPCNTDACRNLTADGYGDGCADTLCAFAIEYQDGSQTRGVYSEETLLLAPGVTVMDFHFGCAHDQDGSNDKYDGLIGLGGAPESLVVQTSSVYGGAFSYCLPAQNSEPGFLALGAPTSVNNSGFVFTPMTVEQETFYTVNLTGITVAGMKLDIPPAAFFQGMTIDSGTVITWLPQTAYEVLRTAFRSAMSKYPLVPPPEDYLDTCYNFTGLSNVTAPTISLTFDGGATVDLDVPSGILLEDCLAFAGDGVDDTGIIGNVNQRTFEVLYDSARGHVGFRPGAC >Dexi3A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:3A:5614056:5616989:-1 gene:Dexi3A01G0008070 transcript:Dexi3A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSSRNGRSVEHCNEVVDYARGPPYADEMNAISRQWCFDDGANGNNKLDAAYKEAQILMKENGEKCPVFLFFSIGLLKGLQMLKIFKDHPQGTSILDDFDFYEEKDNARRAQKRANSESTHQATFSENLESMVCTCRAVTLLFGKFGGKHGELESVRQLGQGSLVN >Dexi7A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:7A:8819799:8820335:-1 gene:Dexi7A01G0002190 transcript:Dexi7A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTAELATQLGSSDFVMESDLNKLPYLQAVVKETLRLHPAVPLIPREVIADDVSLGGFDVPNGTGVVVNLWAIGRDKNVWPHAEEFIPERFLAGQKAHTVLGKLDFVYRPFGAGRRVCPGMEYTARSVPLLLASVLHKVEWRLPDGMAPEDMDHSDRYGTVLNLATPLRAVPVSPV >Dexi3B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:3B:6688846:6689714:1 gene:Dexi3B01G0009660 transcript:Dexi3B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFPAEAEETNWAARMRGSGSPELSETPSSCSAPLARRLRVLSRRPPSPSPGRRDAAGTVTARGRAQRGRDEAMGTVAAGGTAWQSKRPPRKPSPATRSAVSVGCERVELGSVRPRAQPQWVRKASSQSAHPLTNPNPISLYHYWLEWFGFFASYALRLASRGFLSSAAATSRRRAIPADSAASSANSRLVSSD >Dexi6A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:6A:24499524:24501199:1 gene:Dexi6A01G0016610 transcript:Dexi6A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPARHLRLAASALLAVAFLLAAAAVPATRAQEETEDEHEFSYVAGDEHGPAHWGSIKPEWSACGMGKMQSPIDLSHERVSLVRSLGYLRHSYRPAEASIVNRGHDIMVRFEGDAGSLVINGTTYYLKQLHWHSPTEHTVDGRRYDLELHLVHESAEKKAAVIAILYEIGAGHDALLHQLEPFIRRIADKQDREERVGVVDPRRARGRASVYYRYTGSLTAPPCTEGVIWTIVKRVRTVSKYQLELLREAVHDDMEENARPLQDANNRDVSIFRPSPRKHY >Dexi1A01G0022370.1:cds pep primary_assembly:Fonio_CM05836:1A:29044086:29044970:1 gene:Dexi1A01G0022370 transcript:Dexi1A01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQTASHAQCSSWVMEQERALSPASDASTTCTSSGVSGPGSPAASASSASLNDSSAGVATAASSSSRKRPRRRELKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAALAIKGPARAAAHLNFPEMSHALPRAASAAPDNVRAAAALAAAMEMTSTAVAPAAAASSDDESSRGGAAGNDEDDEEDEAEPAASAASSSSSSGSGHDASSNAQDDEEKKVTAPSSEHAALAVADGHVIDLALLELPHVLLEFGFEFALPPTTPYCYDLSWDEPLLLWEH >Dexi9B01G0042050.1:cds pep primary_assembly:Fonio_CM05836:9B:42375161:42378887:-1 gene:Dexi9B01G0042050 transcript:Dexi9B01G0042050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRRLAAAPLLLLLLLLAAASPAAHAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLAAGSLAVSPDGSISAELSHPSRPRPLVLRLSALPPHALRLQIDEDYSTATPPHRRFHVPDVLLPDLEARTLHLPEPKTADGVSTVALSSDLDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLRESKPEDETWEEHFRSHTDKRPRGPQSITFDVSFYCADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIGHGARGSSGFFWLNAAEMQIDVLAPGWDEATAPENGRVDTLWMAEAGVVDAFFFVGSEPKDVVKQYVSVTGTPAMPQQFATAYHQCRWNYRDEADVDGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEEMQRKIADKGRKMVTIVDPHIKRDSSFHLHQEATDKGYYVKDANGNDYDGWCWPGSSSYPDMLNPEIREWWADKFSYESYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDALHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGLPFSGADVGGFFGNPEPDLLVRWEASVTGIPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEDGQKSVSVYLPGKESWYALRNGSPYKGSVSHKLQVVEDSIPSFQRGGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSNAAEGELYVDDGKSYDYQQGGFIHRRFVFADNKLTSLNIAPDNLGKKKFTSDCVIERIIILGLRSGAKKAIIEPGNQEVEIESGPISLRSGSSPVAPTIRRPNVRIADDWTIRIA >Dexi9A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:9A:5885025:5886779:1 gene:Dexi9A01G0009680 transcript:Dexi9A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERRMDPALYKAATQGKVSSLKQLVDPENPSVLSSTTPQLNTALHLAAVHGHAAFAGEVLDRDEELLVAKNDDGDTPLHLAAKAGKLEVAELLISRAIAWPQDQKSPLIMTNKASNTALHEAVKSRRTAVAEALLDADPSRAYDLNEQMESPLHMAAREGLVHVVRKIFDFTWVEADGTALHQAVLGGHTKIVDMMLEKHSWLLKQTDSDGNNALHYAAQKNNAQVVELLLTKQAQLAYKPNSERQSPLHVAAHYGSTASIRALLRHCPDVVEMADTSGRNALHVSVVSGKTNALRCLLRHVRPSELLNRVDNEGDTPLHLAARMSRVQSALLLLKDRRVDPCVRDRDGQTARSLVEMKLRTGEMDAYEMYLWKELKQQESKRCRKQQLPPLAPYPSRRASNEKYFECIIETYILVATLIATVTFAATFSVPGGYGDDGIAVLSRNAGFKIFVISNTVAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTVTACLAMLVSLMTAVYITVAPESPWPAYVVIVIGMSTPAVVFLMLGKEVIFVPM >Dexi9B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:9B:11239801:11244824:-1 gene:Dexi9B01G0016450 transcript:Dexi9B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWAEQLQRELAGRGLAVVSIPGKGRGLVAARTFFPGEVIISQEPYVSTPNKILVGSSCDHCFASNNLKKCSVCRVTWYCSSDCQKKEWKQHQLECRAMAALPEDRKKMLTPTIRLMVRLILKRKLQNEKVIPSSGIDNYYLVDILESHISEVDENQLILYAQMANLVNLILPSLELDLKEIAHTFSKFACNAHTICDPELRPLGTGLYPVISIINHSCVPNAVLIFDGRTAYVRALQPISKDEEVSISYIETAAVTKKRQNDLKQYFFACACPRCVKGFQEDALLESYRCKNQACDGFLLPESGVYPPFHPMIGLQFYTCGKLEWLLECTEDALKSLTRAADILKITHGTKSQFMKELFGKLEEARAEVSFRLSSGHNHGEQF >Dexi3A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:3A:3123545:3124088:-1 gene:Dexi3A01G0004770 transcript:Dexi3A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVGLLGVDWRLELELDDMSLVIRFTGPERWIVPSSSPSEFLPNLRRLLVADVPSSWDVTWPRLLLEMAPNLEILHIHIDIVHGEEEVPADEEIPWSLARDRELRPLHHLKEFVVAGFEGTARQIYLVKFVVRACTAALRLVAMFRNGHGALGLGDGKAATLFVER >Dexi3A01G0035730.1:cds pep primary_assembly:Fonio_CM05836:3A:41013120:41014185:1 gene:Dexi3A01G0035730 transcript:Dexi3A01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHVKLSYAELQRATDGFSVSSLIGVGSFGSVYRGTLGNEGQEVAIKVLNLLQHGAERSFLAECEALRSIWHRNLVKVITACSTMDHSGHDFKALVYEFMPNRDLDKWLHPSVGEEYGMGGEISVEGDVYSYGILLLEIFTAKRPTDPLFHGGQSIRSYVAAAYPERVMEVADPTLVQHEENNMDEGRLEECLLSVFRVALRCTGGSPGAMMLTRDAIRELTAARDVCDD >Dexi4A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:4A:496458:496924:1 gene:Dexi4A01G0000740 transcript:Dexi4A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRERDRERAAARKPNAKGSSDGLTPEQRRERDKKALEEKAAKKAQQAATGGTGTSTDNKNKGGAKK >DexiUA01G0010970.1:cds pep primary_assembly:Fonio_CM05836:UA:21926165:21926477:1 gene:DexiUA01G0010970 transcript:DexiUA01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTDAFKETHNLVVADEDTYVGGQVSNLQVAELIGCMANNRRAAYCKVVEVVAETTAPLLPMEQLLSAIPSKMVCSVLFCRQRTPR >Dexi4B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:4B:20600424:20603529:-1 gene:Dexi4B01G0018240 transcript:Dexi4B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESPVESEEGATLCGHQEAAAAAATKGGGGKRRGKGQRRGGEGGERKKYKLVSYHELPDYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFILFFGLTLVHLGQYFPQVADLIGHLSWPITKVAENVSTNIGDVLSVSNFHFLKHFIHMGAAMFIQTNPTLASYGMAVTSQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPHWQVVYLSAITAAGVATVYALMSPRLSSSRYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPARNVTLAYEGAMAASYLTGTVFYLTRVPERWRPGAFDLAGHSHQIFHALVIAGALAHYGAAIVFIKARDEMGCPA >Dexi9B01G0021600.1:cds pep primary_assembly:Fonio_CM05836:9B:16332010:16341355:-1 gene:Dexi9B01G0021600 transcript:Dexi9B01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILLAAVALLLALPRAARCQQLPPSPPLGRAPPPPLPAVQEDLGARLQNLTNGLTDQLQKKYSFCMIDAKTDLNQTFNFSSDLSFASDCMEQTTGFVCAGDMLAMLCNRAEVELYIKSLTSSSSSSSITPRVSSNCNRSTWATGCQPGWACMTLSGASSSDEVIPPRAAKCRPCCPGFFCPHGLTCMMPCPLGAYCPLGTLNTTTGLCDPYFYQVTPGPNPECGTADSWADIVTTNDVFCPPGHYCPSTTQKHNCSNGYYCRKGSTDEKSMYISFLMAYKFAECFWKNTCKDNAIKEDLTLYGLIFISYKKIIGFVPQDDIVHGNLTVEENLWFSSCCRLSKGTSRSDKLRVLERVIESLGLQEIRNSLVGTVEKRGLGIKVPDRENPPDYFIDILEGIVKTTIRGNATPKHLPLLWMLHNGYEVPDDFQKDLENINTIPLLCQLAALRSFSPEKLQYWRERESGMSSLAYFLARDTIDHFNTAVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYAFSIWFELGLAQLSSAIAPVVLVLVGTKQDLPRVIKELCYPKWALEAFIIAGAKEYSGVWLITRCGALLQGGYDIKDFNLCITIIMLYGVLFRLVAYFSLLKLK >Dexi9B01G0020860.2:cds pep primary_assembly:Fonio_CM05836:9B:15497884:15498295:1 gene:Dexi9B01G0020860 transcript:Dexi9B01G0020860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMPVNLAGDPMMEALEEELEECEEDLEDEDEYVATGRARLLTGINARAQQRASFVSSVRKKGGKSKQRGLERQRAPQPYMTTAMKSMLKKSQKAREKQRTSPSPPPPTKRRRGDHSSSSNEDEEEEDCE >Dexi9B01G0020860.1:cds pep primary_assembly:Fonio_CM05836:9B:15496456:15497880:1 gene:Dexi9B01G0020860 transcript:Dexi9B01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTDPCAICLGEISRGQAVFVAECSHTFHHRCISESVAHGNRDCPLCKATWLVVPSVDPAAVPAPAMPPPRAYADDDPVAQQTAVQAQSAEHVVAGEMSLKTHCEFPAVARDASRDNFAVLVHARAPGLAAADAEASRAPVDLVTVLDVSGSMTGPKLALVKQAMRFVIDNLGPDDRLSVVSFSCRAKREMRLARMSDDGKASAKLAVESLIAGGSTNIGDGLKVASEVLEDRRYRNAVTSSGRYESTIDADGRAATVDVGELYAEEERRFLFFVDVPRAEADGEDATQLVKVRCTYRDVATGSSADVAGEDAVVQRPAEVTNPVVSVEVERERIRVAAAEEIVAAREAAERGAFGEARSILGRRL >Dexi4B01G0022130.1:cds pep primary_assembly:Fonio_CM05836:4B:23835353:23842912:1 gene:Dexi4B01G0022130 transcript:Dexi4B01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGSPPPRPLVFAFYLTGHGFGHATRAIEVVRHLVAAGHEVHVATAVPEFVFTAEVRSPRLRIRRVVLDCGAVQADALTVDPLATLEKYHEAAVVPRQSILRAESEWLSSIKADLVVSDVVPVVCRVAANMGIRSVCIGNFSWDFIYAEYIMEAGYHHRSIVWQIAEDYAHCDILLRLPGYGPMPAFRNVIDVPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQVAAQILQDTAVGKEFVSDKFSGARRLQDAIVSGHQLQRIPGRDVRIPDWYSLSETENGAGPTSQNVATKETAASGCVEDFEILHGDLQGLTDTMDFLKSLSELNGNNLDSLEKQHQERTAASVLFDWEKEIYIVRAPGRLDVMGGIADYSGSLVLQVCSLAGEKMPLREACHVAVQRNHPSKQKIWKHTQARRLENAGLAPVIQIVSFGSELSNRAPTFDMDLSDFMDGGKPISYLKAREFFCQNPSQKWAAYVAGTILVLMTELGVQFTDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLHIAPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMICQPAEVKELVSIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAALDLVSESLTSEAPMQSDYKQNGMGLLKSEAALEYLCNLPPHRYEAAYAKDIPEVISGDAFLEKYGDHNDTVTVIDPKRSYNVKAPTRHPIYENFRVETFKTLLAAATTDEQLSALGELMYQCHNSYSVCGLGSDGTDRLVDLVQEMQHRTIPEGGSPSLFGAKITGGGSGGTVCVIGKNCARSSEEIAEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRRS >Dexi3B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:3B:14456651:14461366:-1 gene:Dexi3B01G0019460 transcript:Dexi3B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNAVEEDEPAGPGVCGELWHACAGAGVALPRRGSAVVYLPQAHLAAADGGGGGEVPAGASAPRVPPHVACRVVDVELCADAATDEVYARLALVADGKMFGRNILDGETEENCEMEDGHGEKKLQTSHMFCKTLTASDTSTHGGFSVPRRAAEDCLPPLDYEQLRPSQELIAKDLHGMKWRFRHIYRDGSGRLDSMETDCFHRVLQGQELVRSRTRGVACSPSFDTPRCQGSYERRFPADMWDSKMSDSMSGFQHRNATGFLGFSESVRFSEVLQGQEMSQVVPSFFGAAFDSHTQNGRIGSFDYVQRSTAAQGFALQQFNLPATEVHSPSSVLMVNQTMVLQPELEGMTNLEEANGSRYTPITMGREAETWPSAQQQRASDNGRELFNTTDVLAPASVAKSGSADKRGRSSCRLFGFSLTDRIRGAEEDGGKEGNYEAAQQTPRVLDLFGHSQSAPNALHALCAAPLGI >Dexi2A01G0028590.1:cds pep primary_assembly:Fonio_CM05836:2A:39847873:39849164:1 gene:Dexi2A01G0028590 transcript:Dexi2A01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPEDEELVENSVSALTCLEQGAAAFSVESAVRRSLYWPDGTIRKRTRSYLAKKSSDHTRKIVQALVDKYNEDHNLLKVCPPPLLPDDAHELKDVLCNNLKFLSIA >Dexi5B01G0025080.1:cds pep primary_assembly:Fonio_CM05836:5B:27185810:27188956:1 gene:Dexi5B01G0025080 transcript:Dexi5B01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSGEEAPKRNPLPAALVSNLQSVLAARRPTPPEEVSTAAAASEADEGAPAAEASDSAPTDGAPARPIVLLTSAGGIRSPGLAALVDALVAGGRCDVNVCAPESDKPVCGHSITIRETITATSVDFTGAKAFEISGTPVDCISLALSGRLFPWSAPALVISGINAGPNCGYEMFHSSAIAAAREALVYGVPSIAISLNWKKDESKDSDFKDAAEACLPLINAALADIEKGTFLKGCLLNIGVPSVPSANKGFKLTIQSGYSPAQSWQAVSTNRPSSAVHFMGMHQSLGIQLAQLGKDASAAGAARRAQRKTVEVESVAAAGKQEVREVVKKLFRAEFVEKQHEDLNEDIDLRALENGFISVAPLNVHGLVEPEIGTPASHWLSAVVSLDKEKEAAPATVDQQDASVAAEEKEAPSAA >Dexi5B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:5B:11754581:11758274:1 gene:Dexi5B01G0014880 transcript:Dexi5B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGAALVAREATRGASPRDVLRSALLAATDLAGLTKGTPRRPQPPPGAAQHPATESSRPTSSSSSVVYFTHDDAPAPPRDPPLERPPPSPTQEPAHPAQTQEIAGTGTAAAVAAEPTAAAAANLEDVAAHPEPSPVPPQALPLPSPAPVGKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESARRVMYGAPADTDGKRSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGPDWSSKLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRRLLTYTNLIPKGLFLDRAMKVAKLELARECDYVLEASNQKRYKELLSDSDGYYVPKVIDELSSMKVLTSEFVPGVPIDKVAQLSQETRNYVGCKLLELTIKELFVFRFMQACTDPNWSNFLYDDATRKFNLIDFGAARDFPKRFVDDYLRMVVACANRDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSKSGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFHVYDQHNFSDDYSDVASSTA >Dexi9B01G0047380.1:cds pep primary_assembly:Fonio_CM05836:9B:46503799:46504664:-1 gene:Dexi9B01G0047380 transcript:Dexi9B01G0047380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLAARLAVPAAAAVLLLFAASASAANFTCATPGATCQSAIGYAVPNATTYGELVARFNTTTTLAELLGANNLPATTSPSTPIPVKATVRIPFPCRCGSNGVGQSDGGPIYVVQPQDGLYAISHDVYDDFVTYQEVATANNITDVNLIVIGQKLKIPLPCTCDPVDGESVMHFAYSVAKGDATSGIAAKFGVNEQTLLSLNKITDPKKLEQGQILDVPLPEDECQS >Dexi1B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:1B:24208489:24211431:-1 gene:Dexi1B01G0017910 transcript:Dexi1B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIAEMPRAPLDSRPRKRQRLGWDVGPAEMHQIQIGLCGQEVVNAISAVALGLSSGGVPRDGSPPLREDDKDGHYVFAVGDNLTPRYKINAKMGEGTFGQVLECWDKERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLVMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPTEGSYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGIYLDLDGVTHVISGVLVVFLLSFARRHSDKYIRKGRLNWPEGCTSRESMKAVMKLPRLQNLVMQNVDQSAGDFIDLLQGLLKYDPADRLTAQEALKHPFFMEGFERRR >Dexi3A01G0031920.1:cds pep primary_assembly:Fonio_CM05836:3A:36484073:36488688:1 gene:Dexi3A01G0031920 transcript:Dexi3A01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVQEVLRRGIGDEDVAARRAEEAATRRHDAASWLRKTVGVVCAKDLPDEPSEEEFQLGLRNGIVLCNALNKVQPGAIPKVVRVPAESTVHTDGSALCAYQYFENLRNFVVVVQGLGLPTFEVSDLEKGGKSVRVVDCILALKLFSESKKKGRQASCKYGGMSKPLIPGNYFILKNSDAFMNKNMRYHSAEAIQNGFSGEQNVPTDCFPESAESTTSNSLSTLVRTILLDKKPEDIPLIVESLLGKVIQEYEHHVANQNLMDKEKQNVSNLKEVSLEVNSNKAAQQFQTEAETNFDLQHKQIRELRGTVSSIKSGMEQLKLQYSEEFTKLGKNLYTLSNAASGYHKVLEENRKLYNQIQDLKDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFSLQAQRKGTIDYDISVQMIEIYNEQVRDLLQDSGNRRYPFSICCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLSQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAVGETISTLKFAERVASVELGAAKANKESNEVRELKEQVSTYSLLLISKKAIDELTLRFITGVILVLSDRLPQGCIG >Dexi7A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:7A:27476551:27478483:-1 gene:Dexi7A01G0017950 transcript:Dexi7A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGYTRPRPLGEDDAAPRLPSAVLYVANCGPAVGVTDADVRAAFSAFGEVAGVQAADSSGARVIVRFHEPAAAEAAMAALHGRPCERLAGRVLHIRYSVPVKPKALPGGSLPVARSATELGIPGIYMVEDFVTAAEEQELLAAVDSRPWKSLAKRRVQHYGYEFLYETRNVDSKQFLGELPPFVSVVLEKMASFPGVKKCTARLVDQLTVNEYPCGVGLSPHIDTHSAFEEIIFSLSLAGPCIMEFRKYPKGSWRAPTVVNGSDEDVSQESECIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNSRRVSFTFRKVRTGPCDCEYKQFCDSHSKRC >Dexi4A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:4A:3383029:3390931:-1 gene:Dexi4A01G0004820 transcript:Dexi4A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVDDMIRRLLENRGGRTPRNAQLTDAEIRRLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTECFNCLPVAALIDDKILCMHGGLSPELKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGPDKVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGYLTEQESHYFIEVLMKSEPYHLSEALMKSEPYYAIRALIKPKPHQVIGSLTKLEPHQVGGAFKNQKPHQLDGDSLMTEVLT >Dexi8B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:8B:10934976:10935776:1 gene:Dexi8B01G0008290 transcript:Dexi8B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQATSIVVPTDAELLQAQADLWRHSLYYLKSMALKCAVELGIPTAIYRLGGSASIPDLIAALSLPVAKQQFLDRLMRLLASSGVFSVVASTEAIYSLTPLSYLLVDGIAADDHMNHAPFLLTVTATHYIDLAMGLADWFKKDAKKPPFDHVHGASLFEDSMERSDPVFHKMAMQGLVVHDNFGTNIALREFRDIFQGIKSLTDCCYHGDGATAKAIAKAFPNMKVTVLDLPQEIKKIPADGVVNYVGGDMFKSIPRAQVVMLKV >Dexi3A01G0025060.1:cds pep primary_assembly:Fonio_CM05836:3A:20669925:20676420:-1 gene:Dexi3A01G0025060 transcript:Dexi3A01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEDYDGQDGGAEAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGASSRSSSGGGAGGEGEDGADEGDGEEYDSSNLAGTRGAGAGGYRDDERGVDEDEEVEEERDLFGSDNEDYVRTPARSHYLVPVLPSIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEIASFSRDEGGSVYFDDRSLRLFKREICDYVGADLNIGFETFKEKKDLGSEGFGDLLACIRSSSIILQNNIHFVTYRNNLNKILATAYLREPWKMGVHKRMGVVYLDVHKLPERPKSEAERKRCYWGYSFENLATENSFNEDGGGIDANVEFCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEKYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGTLVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVHPFNRLELLRAQSPCPEAITLHVQQLSGAAD >Dexi9B01G0044340.1:cds pep primary_assembly:Fonio_CM05836:9B:44119943:44124071:-1 gene:Dexi9B01G0044340 transcript:Dexi9B01G0044340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILQIVCKDFPRPPLENTINYLEAGQLSSFFRSSQRPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPVNGIWAILRNNEMLTWPEKVKFAIGLLPAMVGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSRGGEVRLNSRIKKIELNPDGTVKHFALTDGTQITGDAYVCAAPVDIFKLLVPQEWSEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWIGRSEAEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYGRLSLRSQKSLQSEEVPVAS >Dexi3A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:3A:5880492:5880716:-1 gene:Dexi3A01G0008380 transcript:Dexi3A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDATGGGGEKKTSWPEVVGLPAEEAKKIILKDMPDADVVVLPAGSPVTLDWRSNRVRVVVDTVAQTPTVG >Dexi3B01G0025630.1:cds pep primary_assembly:Fonio_CM05836:3B:20464455:20467578:1 gene:Dexi3B01G0025630 transcript:Dexi3B01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGSGVDWESLAEATSGAIGSLVSTTVLYPLDTCKSKFQAELQTHHGAHKYRNLSDVFWEAIRKRQLLSLYQGLNTKNIQSFISSFFYFYGYSYFKRLYLEKSGAKSIGTTANLLVAAAAGACTVIVTQPLDTAASRMQTSAFGKSKGLRETLAEGTWMEAFDGLGISLILTCNPSIQYTAFDQLKQRIIQRQRRKNGVSAEDNSRVALSAFSAFLLGAVSKSIATVLTYPLIRCKVMIQAADPDEDDDNEPQRPSKPRAPKTMLGALHAIWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSQKKIKAA >Dexi6A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:6A:2675445:2682916:1 gene:Dexi6A01G0002920 transcript:Dexi6A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYLEAPMESQRLHPALTADILREIFLRLPSHADLVRASAACVPFCRLITHPSFLRRYRSLHRPLLLGFIDNNGLNPVPAPHPNASAARAFARTVNFTFGYLPRDGGIKDISDGRILFELIDEDQPSILLWDLAVCYPMSRRCRLVPPVPNQVLASAQIQECDIFGVETFMLPSKKLEEATFTVVRFFLVKTGMVPFVFSSVSGRWSVSTSTSWDALGLDAPKSYTHMLGPRRYMNGCFYWKVNHKDKLLKLNMNTMRFSTHDLPRDHEERRVAIVEAGDGKLAIFSQIDEGTCLDYYIFLPDDSKKGGQWRMENTLRLPSRYKCHMLARQSEGHIFLFGIPKVNVISHHACFALEIKTFKIERLNGIGLPAIDAPYSGFPPIASPRSIQGYEMMFYYTLSQSSWEGEVTGEGISFTRGLDHLKTVYLSGFRGFRAQVELLSAIMEKGAAIEHVTIEPQVKLKCPRAMNAFIPEYKIKQWARRLSQRFGKAITIAPPVGDPMLLLFPE >Dexi9A01G0037610.1:cds pep primary_assembly:Fonio_CM05836:9A:41929528:41945435:1 gene:Dexi9A01G0037610 transcript:Dexi9A01G0037610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTGGGSGSAGPLTERSIAYTVVVKDDGEWRSLPPGSLCGHRPMPSSPSSLSPSPCITAASPVACPDEPVAITYAGRRCYGKLASADGKGESEAPTRSHGRWRMAQGVQSAEDMRRSPAPESHGRRPRYRRRRLPKLSLGIPYGVAIVSPGNFDFPSESILPFVSAELKCDTISSQSSEDEAPPGEQSTLASSQNMPESTLAAVESAAPAMAHCTTCDARDGAGHGTVPPVVSLGQPGVHTNETGQGKEVGLWSKTEWTPQRPAYPGGLDEALGDTVEERRRTLGFIVILAPRCHRPPPWPMADSYRQAGRRIEPTMANALDSTVGRRIRPAGDQQRLLATWFAPDGLGCARIMPPANASHGLWDKATSVSSRAAAPRGGGGYSSMNDEPLRLGGAQTRRHDGCSSSHTSMKLADQAGVTPARQGGRAAREQGRPGRRHDEDAGRRTPPRTSSANARRMSLHAAAECLFVASREWEAEAQSGARTRDGADSSSWARGTLWNSLGVRTRKGAKVNRQFSLRGDAFLGFLSQEFESKKSSNIGTKGLLLGKTFYLRRRTGGATSTRRRNFEEDKGRTPKLISEAEETNQPDNEEEEDASKLEQQIGGKQWLAQDGD >Dexi2A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:2A:14603494:14605735:-1 gene:Dexi2A01G0012570 transcript:Dexi2A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSDEVVQIRHADAAGNPAVVTISCPDKTGLGCDLCRVVLLFGLSVVKGDMSTDGRWCYIVLWVLPRRERPVPWDILKDRLLQLCPVASPFGFDAAYLAAAGLQDAAPPEPQLFLLKLCCFDRMGLLHGNATLSIRSVSTDVTRVLCELEFTIRRVKVSTTPDGSVLDLFFITDARSLISSNILFSTFKMIFSFGNDFRELLHTKSRREEAYDKLDSVLGDSLASREIDPATEDMLSCLQTCASLTPAVMEQMFNTDLIIEEQSINTRGDTTISVTMDNSLSSVHTLIQIQCGDRKGLLYDIMRTVKDCNIQISYGRFYASQNGRCDVDLFVVQSDGKKILDQQMERTLCCRLRMELLRPLRVAMVKRGPDIELLVANPVEVSGKGRPLVFYDMTLALKNLQKRIFLAEIGRHVVEDREWEVYRVHFGEEHDFSPSLRSKIVDGVTSMLMGLD >Dexi4A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:4A:24537230:24546089:1 gene:Dexi4A01G0020750 transcript:Dexi4A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGNGDVYIPDSEDEEPAVEVEAGDVEVANLGGALVAANGLQMTDPEVPVNPKAIGDGVKSDGLDLATESVPTDAVKAHLLRSGASPESSRQRLLAESKIQAGGSCVNWGASQFVVDSPAPCTLSPVRGKDGRVWMEGRFQAAEMGSELPPELLLSCNSVSPGGFIGLGNMGSHMARNLVMAGYKVTVHDINENSMKKFADDGIPTKQSPLEISETSDVVITMLPSSSHVLDVYNGSNGLLRGGGPLRPWLYIDSSTVDPQTSRNISTTISRCHLKEKRGYAEKPMILDAPVSGGVPAAEAGKLTFMAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGVMEGVPSSRNYNGGFTSKLMAKDLDLAMASATGVGFK >Dexi6B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:6B:690686:693799:-1 gene:Dexi6B01G0000800 transcript:Dexi6B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKEQLHEVKKVIKEKKDDPGISDYLDMEKELQEVIMLTEELLATAKQTESSQHGAGLSSPNYSAGLPSEGLGDLSNSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKFRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSGDASDDDE >Dexi2A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:2A:11261735:11262708:-1 gene:Dexi2A01G0010100 transcript:Dexi2A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPDECPDPKRIPSSVFARSKSTTPTDWSVTSNESLFSINVGNASFSKDHFFLYGKSGDMGNPNDPLAPLPPLPRPSTSSSPMKSDVAKVTVQASAKLKPVTRDGDRDGDDNTDYNHSLSHRSDASTTSFAFPILAGDARSSESLKDEPAELARQSTSQLSQQAEPAVEHETLKVEAVAVAQETEQAPVPALEPTVVAPAQASAPAPTPQQPAATKWFPCCSCCPFCC >Dexi1A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:1A:23142119:23148116:-1 gene:Dexi1A01G0016170 transcript:Dexi1A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVWRENDDCRMSCRWSKDMCGSDSSVAEQERAVPGSVVAPGQANRRRRRDSTSGRSSSPNPSGRVCRAQRGRPRQIPGPALDASPTAVRRCLRPSTAHARPVPHASPSCPPPPVCRCHAATSPSDTHVGQSRCRAASSPSAAPLQVLRPNSPFFRRSSSLSRGPTVKMGAKSIPVTAWTSWPPAIGKCLHLPCSKAAVSSSWSRTMERGQRRRVTVGDGIGRRQVPGHAKANMEADPSRSRGSSSDEKGGLEARQRERERLGNATGRRGWPAPADWSPGGDGTPAVADSSFGWRRLRCGEGHAAQHLDSPALQTLDSDISNLISSCPQLDEVHLRYFKGINCLSIQAQSIQILKIEGDFEDLRIDAPNLVIMYLRLDMVEGYQSVPVQGDMKSYLKQTFGSLTRIVSLNVGSSFLTSYPRPEAFTRKGIWDQDETEIQAPTLDYLTMITLNDFRGLDCGFSIPSMARKKVALQRIPNDSTRRATFKKRRKGLMKKASELATLCDVDACVVVYGAGESRPEVWPEAPGEAERVVARFKDVPELDQCKKMMDMEGFLSQSVVKLREQLHKAQRENREREMKLLLHDAIAGRRGPMGGLLGLSVEEVVSLGSMVENRIRVVGEAIARLQGEGHDLPPPAMAPPQTQPAGLSLSLPLECYSTGAGHSRDMMMQAPHTQGWMAMMTGGDIGGGAGTSAGGDIMPPQFGSMGLGFAWPDAGGQSFPSM >Dexi5B01G0021450.1:cds pep primary_assembly:Fonio_CM05836:5B:23732689:23733606:1 gene:Dexi5B01G0021450 transcript:Dexi5B01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARAGDQPAVRKGPWTLEEDLILVAYISQHGEGSWDGLARAAGLNRNGKSCRLRWLNYLRPGVRHGSITAEEDAAIRELHAALGNKWSKIATHLPGRTDNEIKNYWRTRIQRKPKPKPAQTRVPPPAVISSTAGDYYYSWCVKPDPDQQASSYYGHNKADVAAAATATALSGEGGSSAVKTTSQDSTTAAVGDWRVQQTSSFPCYSVAGHGETGGVDALTTTTNFLSSQLISDSFWNVVDNFWEAKPVAGAF >Dexi2A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:2A:11200006:11200350:-1 gene:Dexi2A01G0010030 transcript:Dexi2A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVWSRKQSSWKKSMRWNFNRRSRWLFAGGGVRGNRADVREDGRWSLHDVPRDNLDGLEHDGNVPEQAVQVDWWSTQSATWVAAVAHFDPHLSHGSNLSNPKLLYLYHEQLKR >Dexi9B01G0037750.1:cds pep primary_assembly:Fonio_CM05836:9B:39047231:39050099:1 gene:Dexi9B01G0037750 transcript:Dexi9B01G0037750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLSECCGLAPLRLRAGRGAIAAQSSAPAAALSASAALPPRGPAAAAAIHRDWALRVSAPTRLTSVVEEEGKGTSSPLLGEEAAAGGEFFDPGAAPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVLVVLGLAAAAARIDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHFKRSPGKAGSHFDPSSELFQPNEKKDIITSTASWLAMVGVLAGLTFVMGPIQMLKLYAVPYLIWSHTCTIMAMKTSFLGTAESYLRGGLTTLDRDYGWINNVHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPKKSGPLPLHLFGVLSESLKQDHYVSDTGDVVYYQTDKKMT >Dexi1B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:1B:2022279:2022734:-1 gene:Dexi1B01G0002520 transcript:Dexi1B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAAPAVSHLHSPFLLIPSPPRPHPPLHLRFLLPSPPPLRLRRRFPLLAAAAISTGGGGKEEAARKAEKARQLQKRVLVGVAIGVGAGGVVVAGGWVFATAVAAAVLAGSREYFELVRSTASGGVTPPPRYVSRVCSAICAVMPILTL >Dexi5B01G0028780.1:cds pep primary_assembly:Fonio_CM05836:5B:30094418:30110972:1 gene:Dexi5B01G0028780 transcript:Dexi5B01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPAVKDAAEHAILKLRSLSGPSEIAQNEDILRMFLMACSVKAVKLSVIGLSCLQKLISHGAVASSALKEILATLKDHAEMADEIVQLKTLQTIATFFSVDHLCFFQESMSQALGICLYLLESSRSSDSVRNSLVSNSGEPTMRENLSDVGKLGLRLLEDLTALAAGGSAMWLRVHSLHRTFALDILEFVLSTYVAIFWALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPSFRRLVLRLVSHVIRLYSSSLVTESEVFLNMLVKVTRQDLPLWHQILVLEILRGFCVEACTLRLLFQTFDMNPANTNVVENIVRALALVVATIQVASDSRIEWSMDNDASNAAVLVASEAHTISLALEGLLGVVFTIATLTDEALDVGELESPKCESNSVECSGQLALLCMAMVNSTWLTILDSLSLILMRSAQSLNSHVPYYKLYDIFPCSHGCGNLINRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKKSIMTIPQLFTPYFSFISMPACCCLLDQRSQKLQWISVIVLFSHQKMCRQALRTLFNVAHRLHNVLGPSWVLVLETLSALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQVRKETFLEAVRKFSLDEHSCSEVASVCIAPIVKSAHVWKFSIVRPADRQHFLFSGAHDIDTCQQSASWLANCSNPQLRSMALDSLDQSICSVVGSEKFQGISSAPHQFQESQMVNESETVSFEYAVLSPLVILYSSNKNVDVQMGALKILLHVLERHGEKLSYSWLSILHMLRAVTDSSEKDLISLGFQSIRVIMNEGLATIPVQCLEECILVTGAYGTQKTEINISLTAVGLLWTATDFVVKGLISKSVEQANHMNEEDQLGATIEEANIKQVPLKQVVDYSKLFFSVFSVLQELGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWSYVFPMLEHVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLFLDFIKNSILNGSKEVALAAINCLQTFVGANCPKGNLESSYVKSVLDIYELVLQTSPNFKNDSTDKVKQEVLRGLGDLYVQAQSLFNDDMYLRLMAIMHLMIKATMTPTDYDNELGSIPAVQRGILEIIPMLRPTSLLSSMWAPLLLELLCYLNGQEGPLQKNSKQIHDQSSDAPVDGAKRALVERSKLNGSSTKLESPVSCGWGILFVEKLVPIIVDLFLEAPPNERFSASPEVIRGLGRCMNTRRDNPRGTLWRISAECFNRVVTDEVRQDNADCKSDVNSYKLSRARFWKEVADVYETFLVGSCGRVLSSVVPSADSVTADETLEMSVLTVFGDDILKLQKDAPVEVSCCIAKASSPAAASETSKVSISILLKRCEVILGQFLADENDLEVLNPIYLVVLLAFAGDHPLPTVRVEETICVLQELARLSIDIDAANALNIPTYLKEAMGENKSHGRAHLLSLLPTFSELVVSR >Dexi1B01G0025820.1:cds pep primary_assembly:Fonio_CM05836:1B:30796731:30797351:1 gene:Dexi1B01G0025820 transcript:Dexi1B01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARCCCAAWLVVVLVAMSAFVVTFLLVVELTPVEVTVDEASLGRLSLANTSGNGNATAPSFLLSCNLSLAVAVHNPSWSTRAWRTSPLDAELRFRGRPFAAFRMGTAGEWGRIRALRTEVHRVASAAERAPVDLGRFEVAEFARERVAGEFWLELVVAGEFKYQAHSGRRRIKVSCPLRLSLLPTSAAFERVECTEECRDDDDD >Dexi4B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:4B:32182:33731:-1 gene:Dexi4B01G0000040 transcript:Dexi4B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEETKRSLTVAPFECAWGEDLRFGESGRGCVAFEASAQNDVTLVFRQQPGSQHYRYKMDSSRHYTVILGSHRNKRLRIEVDGSTVVDVAAVGLCCSSSFQSYWISIYDGLISIGRGRHPSSSLLFQWLDPDPNPNIQYVGLSSWDKHVGYRNISILPSAPQNSVFWSQIEYASVDSEQRLCCGKQGFRDDSDQRLPADFLESWDFSDAVFVVGSERKVVPAHKVVLCASGDFPFETTDGATIELPSVSYPVLHSLLEYIYTGSTQIAEWLLSSLLELSSRFKVKQLVNCCNEITDCLEVDKLYESGKILKLSSSRFQDHKFDSFPLKAPVNVQKIGQFLAKGKHSDINIYVDGHGLVAKGHKLILSLWSVPLAKMFTNGMKESSASNIFFKDVPPEAFLLLLQFMYYGALKVDTWDTSVLVQLLLLSDQFAITALQFECCKRIMECLSEVPIVSFI >Dexi1A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:1A:1235662:1237142:1 gene:Dexi1A01G0001840 transcript:Dexi1A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFFSRMDDVTSYAVGPDGRTILVSTADATFACDTVCNKVWEKRADWSLPFSGRAYFVHEMGVLVGIPKDANAYGHLCFCRWLLGSADGDEQHDVWFSKDNLSNKGPSESHVVGTSLVCLGESNRFCIVECVRNGDDQAVMKWLEERGWEGRDHITDEEEDEGCPLNARCCLTTFSLTSDTNGVAETACFPFVLAVGRCSVVGLDSLHTPLMCLSSVYTVSPSSKLYTYVRPRVAGVVISDGSTSSTES >DexiUA01G0019830.1:cds pep primary_assembly:Fonio_CM05836:UA:41164564:41164878:-1 gene:DexiUA01G0019830 transcript:DexiUA01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAGSWPPRIDDIGDGELVAAGMIRWSSGDDPDGGLAEDPGEAMTIPTEVWRRIRGSGSVENLIGGGRTRVFNIASVSGLERGLLLNGREDKRWKDVLMNI >Dexi2B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:2B:28601796:28603797:1 gene:Dexi2B01G0018300 transcript:Dexi2B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGGGSRLGFRGAVVEQEEEEEVVSAPERPMRRRRRRWGVEVDDGYSPSSTGGGGSSCCDSFGCDSPLAGFVRPDGDPDTDLETDGLATSSSSASAAFTERQDEEEVLCGVKEEGWAKVQEPAKNPAGCATPECHNSRYRAEAAVLLHGRKGSKQRPASLDFGSPGFHGATFSPSFVVGGVGLMNKGLVASRIRSDVFHSPGTPNYPRHRASVLGFQKGWCSERVPHPSKGSRRYPGSSMAFPYSNGRTLPSKWEDAERWIFSPNSSDALGRSTAAHARWPKSKSGPLGPPGRLGGQYSSVSSVSLLDSGRAGPITSNSPLLAGVLMPEHVCGGKNVNGTYSGRSAGEETAIGSGVKFCPPNGGAHAIRSSRVRRRLDAAVDSSASFPSAQESTQGI >Dexi4B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:4B:1924509:1924815:1 gene:Dexi4B01G0002910 transcript:Dexi4B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGWLFGIAGGEEREAAVRARVSRFAGQGSGTSARMGGRLEKEPRPGAIRNNFICSASTMS >Dexi1A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:1A:24105364:24116630:1 gene:Dexi1A01G0016830 transcript:Dexi1A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGAAARGREDHGADAQRLRGAEDALSVAVATEQVTATEVCGAPVARLSIDATQEKGNDFATGLVVPVEAVSASTDGRRFQIGQGNGSSLEQRVEEEKGGSLVPAPQSTAEPGSLQTCHEANGGFSSKTLCALKDTYSMLGETAEGSPFEQEGLVCNGGDWGAEARVIKATVEDLRIAFDEAHLDYAGLSGSMSHGSEQVPRAVDGIGSITDATDEVGNGADLGGKVQVHKAEDLRIACDEAQCDDAGVLGLISHGSEQVLRGGSIMDANDEVQQDLLMPDIEAEVSRPAQIDPVPSVSGGVDLSLDGKTGQFGGISGDLMACNVSDIKMCDGLCAAGGKGSQFIDARCMYDTVDMATGGPCQQDILATASHDNVDLSDPREHHSGKLPCGADALPLITGDNHGLEKVGFLPNVNAVTSGPVDENILSSIDVPLDGQVGHAIDTSENSAGFEKLVCDSLEGVAVKAPSWTSIRSNLFLHRHRSTQSIDESMVCNCKPPQDGRLGCRDRCLNRILSIECDKRTCPCGEQCSNQKFQRRSYAKLRWFHSGKKGFGLQLQEDVTEGRFLIEYVGEVLDITSYESRQSYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISVLDTVTHADAEEAHFEQLDKDSEELIVTNGSDSDASHPNITEPEFSIQREDLHDCSAAKADLEPLEQTGGTFVETSEADNSLEAWSLQEDEDVTRTPVHVSQSYEISLQQFPVHDPRSSDLLQKTAKPTEGSKAPNAIDGSMPSSDFRNNLVPGFNDKKRNSLTQHKNPKPQLFSIDNEHILGVEGRLNNLLDVNGGISKRKDATNGYLKLLVVTAAEGDNSGGTSKSVRDLSLILDALLKTKSRSVLLDIINKNGLQMLHNMLKQNREKFHRTPIIRKLLKVLEFLAQKGILTSKHIHEGPRFAGVESFRESMLSLVRHRNFQVQQIARSFRDRWILHNSARSEPMEYPHPSTSAQNIQGTNMIWSSARRKRKSRWDYQPDEHYKMVGLKIQKVCSGQGELDVNKKLQRHQGTNNCHNDFHGMGSSTEGADDEVPPGFEPQQQCQPAQLPIGSEVAPGLCMERYQPSLGISYGVPVALVEHFGTPESEGGQCHKKWKVAPGVPFSPFPPLPPYPRGSPCPSTSSSQMFQHDGTSPVNHNGSGHCGRTAARDGRVHRTWRNEPRTKPPYHQQGRRFLSNHHRSERFEPPRPQ >Dexi2B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:2B:1447405:1452126:-1 gene:Dexi2B01G0001890 transcript:Dexi2B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAVVQELVSRAVSLVLGKRKDKASQGEYLERLQKAVQEVEFMQDRTAKLPITEVSLLRQKIELKRQFMEAAACLLTSRRKKRQQETSQVVALSSSPHGLLPTSCGAMFSVSYFIATAKDELRLSCDDVERFERLAVSARSILTDVQSGCSLRSSMNFSCPLVTHLFEWKTLRYTAVQADQKRCFEVWPARLEEDRGVEALSMASQFNLVADTATGQLILLAKIPLRDRSNDALVVSFVDERGTCSKAVATARSTLYEQLRGDGGGRDQLRMRKDLLEKRRGKGWGAENLVGEKHREPLQHARH >Dexi2A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:2A:13219513:13220551:1 gene:Dexi2A01G0011330 transcript:Dexi2A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRSLSRTARRTRLQLMDHRRLHAGAGAPPPLALVQSGIRPESLPRHVAVVLDGNRRWAQERGLLTPDGHEAGRRALEHMEVDYLMGLIERTIRENVDLYERIGIRVHVIGDPSRRPRSLQDAAREAEEQTRNNSKLHLMLATCYSGRWEIVEACRELARRVQGSLLRPEDIDEQLLAGELRTSAAAGEELSCPDLLIRTSGEQRLSNFLLWQSAYSELYFTDTLWPDFGEAEYLKALSSFQSRERRFGQRTA >Dexi8A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:8A:6485046:6485421:1 gene:Dexi8A01G0006360 transcript:Dexi8A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDVRSRREETARPGTEDRLGALPDEPLQHLLSFLPSDDAVRTCVLARRWRDLWKSTPALRITEPECRWKKPEDMNEFVNHLLLLRNRSPLEICELNSYPYHTMSEQRDRPCGSDMP >Dexi9B01G0023050.1:cds pep primary_assembly:Fonio_CM05836:9B:18046918:18050804:1 gene:Dexi9B01G0023050 transcript:Dexi9B01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVAAGLEPFPTVDKCDASGRSAHAVVSDLDGTLLRSRSAFPYYALVAFETGGVPRLLLLLLLYPLALALHALLGPDSPAPTRVLVFAATAGARVRDVESAARAVLPRFYAADVHPGAWRAFSACARRRVVLTSTPRVMAEPFLRDCLGVDAVAGTELATWRGRATGFVDARRGGVLVGRRKAEALREIFAGDGDDKPDVGLGDSRSDYQFMSMCKEAYIVPRAPVEALPMDQLPRPVIFHDGRLVRRPTPLSALLVALWFPAGFLLACLRIAAGALLPMPLVYYAFWALGVRVLVRGAPPPPRAERASGRRGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRATDAAMIRELLAEGDLVICPEGTTCREPFLLRFSALFAELTDEVVPVAMENRMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLSKLPAELTCAGGKTSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVATAPKS >DexiUA01G0015630.1:cds pep primary_assembly:Fonio_CM05836:UA:32874471:32878917:-1 gene:DexiUA01G0015630 transcript:DexiUA01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQRARGGIDLEDAAGGEVSVEERGKRSGRGGGRGDAREEEREEGDGDGEVEPEGEGGGEREGAGWRRRPRRGCVGRGAFVGRPVGGGAGIGRRHCWMRLLAGAAWGMGLGSVECRVGGSGKN >Dexi5B01G0036620.1:cds pep primary_assembly:Fonio_CM05836:5B:36295636:36296067:-1 gene:Dexi5B01G0036620 transcript:Dexi5B01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPDDCNFRIPPRAMPPRRPPPLRGWTGHEPVTSAAAEHTDKWEEDATGPNQASANSAAEQTTPQPRARATQKETPTPRHQSIPRHRRARRSSSEPGRKEAGEGGREGERITHYLAGGKHSASAMPVAGVAVLLRGVTREA >Dexi2A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:2A:29806983:29808405:1 gene:Dexi2A01G0017760 transcript:Dexi2A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDDDIGGQRGRKGDALALLTPPPPPRGPGLRSPSSPSPSGSGLLPSPSSSSAAAVLALPASRDPAAASPGAGFLAVPRLAGEMDGGGEALLVRRSKGKIRAGERDSGAGGSRFRSLWQDYNDLLAETEAKKKRRVSANRTKHALLAEIKFLRMKYKCFVKVNSQKTHYKLKKQARYIPSPLGRGSGFTGHDAAGAEGPSSSKTPNFDLNQGSAMNDEGNDCQGPRGHLEPDNFDQVGVDEEMIAADVKLSVCRDTGNSPASDDKRTIPWQDRLALKA >Dexi9B01G0032630.1:cds pep primary_assembly:Fonio_CM05836:9B:34801861:34805947:-1 gene:Dexi9B01G0032630 transcript:Dexi9B01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAMCVVLSFLPVKLVKVQRQPPELKRRLCCRTPAPALIGGAGVVGWGSKLMLLRLLPCSSWRQQRVWIQATGAGAATSSTAQAQRQQHMDDEGNHMSRNVSNFEPSIWGDFFLTYSSPLASSTQQYLFSQQRKMIEQAERLKERVSKNISASSNCNLYQRMQLIDVLERLCLDHLFKEEINVILTDINNADVSGCDLQTVALWFFLFRKHGYRVSPVLLHAPDVFANFIDEQGSFAANSPMDLLNLYNAASLRANGEMILDEAVSFTKRHLESILTSIEGQFAHEVKCALEIPTPRRVRIYEAKHNISGHGEGYEVIMDLAKLNSDLMQLQHQQELRIITRWWKDIELQSRLSFARDRIVECYFWVVGVYYEPSYARSRIILTKVLAIVSILDDTYDVYGTSQECELFTKCVERSVYPLTTKTIYSTMNNIGIWDPSVADSLPETMKFIFGEILYTCQSIEDELSPSEKYRMPYLKNFRERMNSHVASTIESCMKEHGVTVEVARERIQDMIEETWKDFNQEWLDINSRRLVPKELLERIFNLTRTMVFMYNQDDAYTNSHVIKDTINSLFVEPISMI >DexiUA01G0026170.1:cds pep primary_assembly:Fonio_CM05836:UA:55208926:55209852:1 gene:DexiUA01G0026170 transcript:DexiUA01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAIVRQLEQRGDVDVIVRTRDELNLLDSKAVQDFFASERIDQVYLAAAKVGGIVANNTYPADFIYENMMIESNIIHAAHLHNVNKLLFLGSSCIYPKMAKQPIAESELLQGTLEATNEPYAIAKIAGIKLCESYNRQYNRDYRSVMPTNLYGPHDNFHPSNSHVIPALLRRFHEATAENAPDVVVWGSGTPMREFLHVDDMAAASIHENTEPMLSHINVGTGVDCTIRELAQTIAQVVGYKGRVVFDATKPDGTPRKLLDVTRLHQLGWYHEVSLEQGLASTYQWFLENQHRFRG >Dexi5A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:5A:3841191:3841831:-1 gene:Dexi5A01G0005040 transcript:Dexi5A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSIKEELAIAVPAEMLWKAAFATGDESSLRNLFAGLSNAEVKIDGDGEPGSIYSLKFNPEGGEMAAAQLKSQVVKCKVEPAVAGGCVAKVTIEYESLNGRPLSPVDEAKLMKGYVGLMKKMEENMVAYS >Dexi5B01G0028300.1:cds pep primary_assembly:Fonio_CM05836:5B:29708077:29711303:1 gene:Dexi5B01G0028300 transcript:Dexi5B01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSAHSDDDESDSASGDKSPPRTSEPTSADAGESDEQPDTPSRGVKGDISELTETLTRRFWGILQAREELLQSSTKKNKVSSENMSAPSTQSEDSTLLSSSIQNESGMSKAPSVQELTSDPVPNVEAEKHPISTPEAEVIDKTVIQEELVVKTEVKSLPTEKSKPYPAEDDDEQEVDDWLQDMDHVPGKAGNTASAVAEEDVSFSDLEDD >Dexi4B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:4B:16294293:16298843:-1 gene:Dexi4B01G0015060 transcript:Dexi4B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRALPVLAFCLLCLYTPTAFGSSSASSTDFLRCLSSSIPSNLFVTQSSPSFTSILVSSIKNPRFFTPSTVRPLCIVTPTNASDVQSTVLCGRRHGVRIRVRSGGHDYEGLSYRSVSPGEVFAVVDLANLRSVRVNSAQATAWVDSGATVGELYHAVAKGSNGRLAFPAGLCPTIGVGGHFSGGGFGLLQRKYGIAVDHVINAVLVDAGGRLLDKNAMGRDVFWAIRGGGGESFGIVLSWQVKLVPVPPKVTAFIVPVTVDHGAVDILTKWQVVAPALPDDVFAGKVWGEKYFKGNYKRLALAKGKIDPEDYFRNEQSVPPLVPRSYEAGKVWGEKYFKGNYKRLALAKAKIDPEDYFRNEQSVPPLVPRR >Dexi5A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:5A:8336696:8337317:1 gene:Dexi5A01G0011110 transcript:Dexi5A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARALLVVVLAAAGALQLAAPPVVADGDLPAAVAEVCKNTPFPELCTGSSQRHAGKYDTVDPLTVLGMQVDAFSKRVKAASRRAKKEAKAAATPEQRRALNLCKSYYLDAGDNLGACKRAIRFRDGVTIRATMSMAAQDMQNCDEEFRKAAAKNPVCDLNRSLVDMVENCRALSNMIPAAVQFQ >Dexi3B01G0037780.1:cds pep primary_assembly:Fonio_CM05836:3B:40614470:40618605:1 gene:Dexi3B01G0037780 transcript:Dexi3B01G0037780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYQGRPAALAACSSKRRRRTAADAGAATRDSSSWSSLHEDLVGLIAWRVLAGDLRDYIRFRAVCTNWRSSTASPRGRGIVDRRFHPRRWMMLPEGHGLHPGHGKLRGFVRFFNLSTGAFVRVQLPIFKDHCVLDSIDGLLMLQRDHDTAIRLLHPFTGDILDFPPLETLLRYVNPELLGNKWWYIRSIGAASINVSKDGIVSLIFLGSPGMMQAAYATSGEQQWRVSGWFLKQTYSPLSFQGKLYVVRDCGGFTGPEVLLIDPPELQGTEPWVPPPRFQKVTGGDRPTTAGPACLRELLRSVTAAVSDRVPSPDPAAAAGSSYTVAAPQTLAPLPAAVACPCSTGGG >Dexi9A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:9A:2729214:2729621:1 gene:Dexi9A01G0004960 transcript:Dexi9A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPPPPLNLNTFFCAFFAMYSTICSSVTSRRLRLPLFPRRPRPPPDSDPESSLPLPLASLEEDGVSLRRRLLRLRWLRLRRGETLEPESASESDGDGLRRRRDDDERRRPSLSRSLFGMSAMREGSRHRVVGW >Dexi4B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:4B:17526120:17527480:1 gene:Dexi4B01G0015690 transcript:Dexi4B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDAESYESEVRIGSKFQADIPEWSGPILSNRDQFTEPTELDPSETTVMGCLQRFKDTKNSFGNWIQCREVLDMGVVCGKWRRAPLFIVQSSDWDCSCSVVWDPIHADCAVPQELETDEVLEQLKYINKPLLWPNI >Dexi1A01G0030860.1:cds pep primary_assembly:Fonio_CM05836:1A:36027673:36029046:-1 gene:Dexi1A01G0030860 transcript:Dexi1A01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAYTLNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMRSYSSAGAGGFTIFSKQELEKATNNFAADRVLGRGGHGIVYKGVLEDKTVVAIKKSKMMEEAQTKEFAGEVFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDLKAEITFDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLKPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFDGPEEDRSLVSCFMKAMKAGQHEELLDSQVRKEMRAEVLEEIAHLVMRCLSMSGEERPTMKEAAERLERLRRYQRHPWAQADDNLEERQTLLPMEQRDLPSMFRQDVLDLEECSTYTYSM >Dexi3A01G0022070.1:cds pep primary_assembly:Fonio_CM05836:3A:17653086:17654010:1 gene:Dexi3A01G0022070 transcript:Dexi3A01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKSAPAAAAAGAGAGAAPPPPPSGYFSSVFSTSPAGNAKDAKQTDLFAMLNKQSSRGQNGSSTTDSKSSGRPTYKDGKHVYSNESSESPYFGSSVHYGGREFYSSSPQKQPANEPPRNYKEDNPDGSATRGDWWQGNRLRAKLYVILST >Dexi3A01G0035360.1:cds pep primary_assembly:Fonio_CM05836:3A:40679560:40679820:1 gene:Dexi3A01G0035360 transcript:Dexi3A01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACFPCAQHITSSAAGCCHLLPLAALPSEQQPPPFEENHYPNPGLPWQARAIADLIAPESTAELSRQAPPQSSNLSPKNRPKAPK >Dexi8B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:8B:17698124:17698397:-1 gene:Dexi8B01G0009810 transcript:Dexi8B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTASFPRPLAGRHRPVILADINSELGPGLGLKAHYEHCDIAVEDTAVARHSRLDVMLNSAGVVGPLSPATWPPRWPASTSPSCY >DexiUA01G0015940.1:cds pep primary_assembly:Fonio_CM05836:UA:34134520:34136345:1 gene:DexiUA01G0015940 transcript:DexiUA01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEGLLCCLLVADVPSSSLTEPLFSRAGGRSTPSLAPTKALKIGPSSSPHSSSQLLGPANEVVQDFVAFFDTQAELQVEQQPREEAPRVLEEPRPPQLLEAAAEPHAEVARPEEATPAPGEALRVEEPSAAPVEADAAVVPPHEGGEGRTHGGGFPHLKELAEALGVGAPVTQGRESGEAAPSTLVVAPPGPAPVWSYEAHARGSAEMWQARSVLPQRFVDEAVAEEHLWEDILRLHDEAGKVHQELRNQAFAKNDQIAELLLELCRLSGVLETRERQLDDLRGARDRALAQCREKGEVIAHLEGSVSALREQLVNSSDWLDNERAARRAAESSVEEERRLMVEARDQLEREQAARATAERQAREAEQRAREAERALEVAQGQVEALKQALRAETESKEAVLVEQTETQRELDELVQAASAACNEIAGPGLQSGSSLASRLRALGGHFTSRVKEALLLGVRKALGVVTTHYQADLSKLAAGYVVADDLNDEEAVAAMEEADAAADGTARVLAGHFEGVLFPGEDGGGWDDLGGEGDP >Dexi3B01G0023820.1:cds pep primary_assembly:Fonio_CM05836:3B:18578861:18579131:1 gene:Dexi3B01G0023820 transcript:Dexi3B01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEAVAAGVVLLALVVACASAGRNDDEGWGALGEVTYDHRALVLNGTRRILFSGEMHYPRSTPEDFC >Dexi7A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:7A:231782:232474:1 gene:Dexi7A01G0000160 transcript:Dexi7A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAARRPLLLCALAAAAALSFLLVAPPPPLLSSLLLVFPSSPYAGSPKLLFLLAGQSNMAGRGIPPSPLPPPFRPHPRVLRLAASRRWVVAAPPLHADIDTHKACGLGPAMPFAHRLLQDQDDGSGEHPLVLGLVPCAVGGTRIWMWAKGEPLYEAAVARARAALAAGGGTLGAVLWFQGESDTIELDDATAYGGRMERLVNDLRADLGIPDLLVIQVTTPLPCVSLVA >Dexi8B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:8B:25730150:25730767:-1 gene:Dexi8B01G0014950 transcript:Dexi8B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGEDKKESKAMTAVSIAGRIIGMGLAVAAAVLMSTASQCTIYYSYGTRPRTVTYADFPPFVYLVIAAAMAAFLEGIAIFLAVWKKGKDNKATVLMPLLGAAVPALLYSAAGAAFAAGADLSYCSAYGKRVHIAVILSLAAAVVVSVAEVVRGIGGAAGGGGGSDSESSSSSDSGGCDHGCHHKH >Dexi4B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:4B:19840561:19844712:1 gene:Dexi4B01G0017450 transcript:Dexi4B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQGGEEAPPPTQAEGEAQPAADVPMSGAAAAEDEEEEPVMGEGGSVGAAGAFHSVEGSVMPKAAGAEEGVGEELNGDAAVDSVDKAEKLANGEEPVTVGDSLEGAAADGGDDKGVDGQNLDAENQIVLVPSEEDLAMAEISKNSFMFDYSTGADDSGTEEEQAYFMKELERFYREKMMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSSKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKVETGQFQVRSSALADRIGSESQVSGSHISGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGAIVLKKDKTPKSSGSAKRKRTPSLEDDRVMPYKSDKLQNDSMVVDMGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >Dexi5A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:5A:10285584:10286095:1 gene:Dexi5A01G0013670 transcript:Dexi5A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGQLLEEEEEPPRRSKMKEGTANCVDILIAIILPPLGVFLKFGCKVEFWLCLLLTLLAYLPGIIYAIYAITKD >Dexi4B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:4B:10889571:10891281:-1 gene:Dexi4B01G0012860 transcript:Dexi4B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEISLASSVSSLLLVTLSMVYLYYTTRRRSKSSPHRRLPPSPPGWPVIGHLHLLSDMPHHALAELSRSMRAPLLGLRLGTVRAVVISTPDVARAALTTHDAALSSRPGLLSGRVLSFGCSAVTYTPSGPYHRVARRVLVAELLSPRRVEAYAGVRAEEVRRLLARLSSHASSSSSTVDLGKCFLNLANDVLCRVAFGGRFRHENSDRLSVVVDDVNELFGGFSIADCFPELEPCSSLTGFRRRLKSSDADLRKFCDDVVDEHISGKRHRRIPGDESESFVDVLLRVQKSSELDVPLTIDNVKALVRLGGWFSQDIFIGGTDATFTTPERVMAELVRHPGALKKAQNEVRRVVGGKGYVEESGLGELHYMRAAIKEALRLHPPVPLLVQRESVAPCTLGGYDIPARTRVLINAFAMGRDPEVWENPLQYSPERFMNGGGSDIDIKDPEYKMLPFGGGRRGCPGYTFAMATVQLLLASLLYHFEWALPDGVSAMDVNLDESFRLTTRKKEPLLVIVRKSEGYEFKGEELNDV >Dexi6A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:6A:17791267:17795151:-1 gene:Dexi6A01G0011820 transcript:Dexi6A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPFFSPSPKPPPPPPWLHGPSTQTHSPSPVPAAPPPRDEAAPPKPRHHSPKRAPVRNKTAAKPLTAGVPGGRTRRAVLGIIRSVRSLELSDPPRPVPARDDDGAVPFRLPIEPREWGREAVEKGKPRAVPWAAARDEGLKVALRREKKAREPTRAETELPADELERLRRLARGMGKWARAKKAGITDEVVEDLRREWARGEELAAVRLVEPLRRNMDRAREILEVHDGQVKEEEARLKAVDSLQMISGLSSEESSVGTFREYQDFQAEHAHGNTENSNTIIELEAEKHRLEKELKDQEWKLSILNKKIERSNQALAKLHSSWSPSDQYADIELLTEEEKAMFRRIGRKMDGLVLLGRRGIFDGVVEEIHQHWKHKEVVKVITKQNQARQIMYTANLLEVETGGILIAVEKLGTSHAIILYRGKNYQRPAKSSSSNLLTKREALRRSIEVQRRGSMKYFARERQKSILELKRRLVSIHSL >Dexi1B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:1B:1677674:1677997:1 gene:Dexi1B01G0002000 transcript:Dexi1B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNCVTADHVDPVRGPGGSSDSQPTLVHSAPGGSSAMARPSSSHRAGKAPASPQASDEDVHGDDSEDSPAPGYADQFIFSQPKDDAPQYTQTQGESSQMNMTQT >Dexi2A01G0031370.1:cds pep primary_assembly:Fonio_CM05836:2A:42130831:42132868:-1 gene:Dexi2A01G0031370 transcript:Dexi2A01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLPETVEAKKRRNVNGASLYIKKEMKITDVQLEMLMGIISLCSPLGSFAAGRTCDWIGRRYTVVLTGGIFFAAALLMGFSVNYPMLMVGQCVAGIGGGYALTIAPVYTAEISPASARGLPPSILTALMVFGMPESPRWLVMKGRLADAKDVLDKISDTSQEVAERLADIKAAAGIPANLDGDVVAVPGRDRGEEKRVWKELVFSPTPAMRRILLSAIVLNFLHQASGIDSVVLYTPRVFMSAGITDSNRLLGMTCAVGVVKLLSIFVATFLLDRVGRRPLLLSSTGGMIVSLVCLGVGLTVVGHHPGTKITWAVALCVVSNLAFVSFFSIGLGPIAFVYTSEIFPLRVRALGCAISMATNRFTGGVVTMTFLSLSKAITIGGSFFLYAGIAAIAWVFFCTYLPETRGRTLEEIGKLFGMADGTGLEAEGEAAKVEMS >Dexi2B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:2B:19790979:19792671:1 gene:Dexi2B01G0012810 transcript:Dexi2B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKASWGRQRQWTLTLVTVAALLENADENLLPATYNEVGAALGASPTALGSITMCRSLAQALCYPLAMWAAARFDRARVVAAGTFLCAVTTALGGASATFLQMAIARGFNGVGLALVHPAVYSLIADHSDDDTRGAAFGWVYMAQGVGSAMGTSLGVLLAPTTFFGVPGWRLAFHGLALAGVTISLLTWLLATDSTSRQRILRTTNSPKAATVAEIAREARGVLSVPTFWIIVAQGAAAQVPWSALTFMPMWLELVGLTHWETTVVTTLNCLSNGLGALLAGFAGDLAARRFPDTGRVALAQASNASIVPMAALLLLLVRPGWPMASAVYAAGFLLLGVAMAWSTVSTSNPIFAEIVPEKARTTVYALDLCLENVVASFGAPVVGILAERVFGYRPGASGGSGAQAAALGKAVFAEVAVPATICCLTYSAMYWTYPADRRRTQMMAAAMPEVSSGDDENCGETGGRAAVASSLADDEGLNQALLSVKVAK >Dexi9B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:9B:7146474:7147475:1 gene:Dexi9B01G0011240 transcript:Dexi9B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGQALVSRPAGADAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >Dexi3A01G0026470.1:cds pep primary_assembly:Fonio_CM05836:3A:22722314:22728526:-1 gene:Dexi3A01G0026470 transcript:Dexi3A01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRLLMRINPRALIIFSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSVFYVWLGVDAPGSMKVTDSSSKPQEMGSQTLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGKSLFLPSNILVNKNHGYNSQVNSTRWLLYTEQAPSAWLNFALCGLVGIITAYTFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGHSTGQPLLGAKVVASMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >Dexi9B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:9B:2365052:2372920:-1 gene:Dexi9B01G0004100 transcript:Dexi9B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVGNHQSNQHSKDVQPTWLQDITCHANHGTATGPARSSTTNQNLLAFAPLVASLSAAEPSSALARGPSPRRILRPPAAPHPWSRGVGDPSTPVRLLPLPIAIRTRLDSIVSFQAVTPRITGRTLQGSHYSPLVLDRASSTSGGWDAGTVTYYGNIAKWRKQEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIFVTVEDLEDIKSIPADTSFGGEQKEEQTTESVPQADAANVSQQSSAVSRISPAAKLLIKEHGLDMSSLRASGPRGTLLKGDVLAALKSGAISSSKEKKAPAAPSSQPTRDSQAQPATTSQKADTYEDIPNSQIRKVIAKRLLESKQTTPHLYLSKDVVLDPLLAFRTELKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNSEKEESQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISTEVKQLAEKARAGKLAPNEFQGGSFSISNLGMYPVDHFCAIINPPQSGILAVGRGNKVVEPVVDSDGNEKAAAVTKMSLTLSADHRVFDGQVGGKFFTELASNFSDIRRLLL >DexiUA01G0014510.1:cds pep primary_assembly:Fonio_CM05836:UA:30600414:30602061:-1 gene:DexiUA01G0014510 transcript:DexiUA01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGDQPGCHPVSEEDFADPLPAVSRIESNNGHAFMRTHLGWDYSGLRDPEAFIAFQTAADYCFEYSDDEYDPTRECFVINDGQASEGSMSDDDGGGDDQGNDDGMDPISAQPSDPSNHSPSEDERDPRHLPRASGDVSPPARSDHEPAKQGDEHGTDARHAGRAAQARILAEGKDDDLAPRTSQKLIAAAALLRAMPEAATPEGRKLHLEARKLVEHAARQQAESSASRLRRSSASMGERGGESSVRSPRPNGRARAPSRGDSCRDSAQRHTNEPRTPEARTLPARVPARSCLRDTRGAVGDGDARNTLNQIRQREGARAHQRGRTDVGWNRDARQADHCVEEIVEQ >Dexi9B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:9B:13452438:13453329:-1 gene:Dexi9B01G0018860 transcript:Dexi9B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQAFLVAKDFGVVPAYEFALRHPERTRGVACLGIPFSPVPFAFEDTMPEGFYILRWGEPGRAEADFGRYDVRRVVRTIYVLFSGAEIPVAEEGQEIMDLADLSTPLPEWFTEEDLDVYTKLYENSGFRYPLQMPYRSVHKMPNRLDAKFQVPVFMVMGEKDYCFKFPGFEAALRGGAMENFMPDLKITFVPEGSHFVQEQLPEQVNELLLGFFKDHPVVAT >Dexi9B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:9B:2492742:2494882:-1 gene:Dexi9B01G0004370 transcript:Dexi9B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVHEDGFPAAPQGYGAKMLPRLLKLYVLPPKVRGEIKLCVRVIITKMEKGEVVWRAGTAAMILVNDVVSGSGMTSTPTRSQQTYPHTHPHPSDGDALP >Dexi5A01G0038270.1:cds pep primary_assembly:Fonio_CM05836:5A:39302007:39302520:1 gene:Dexi5A01G0038270 transcript:Dexi5A01G0038270.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHGDSVMRFSKEDLAGSTFMAFGIILFVGFFYAAVVSKLLPPYENQFLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >Dexi9B01G0027130.1:cds pep primary_assembly:Fonio_CM05836:9B:29571582:29571906:1 gene:Dexi9B01G0027130 transcript:Dexi9B01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITREVPASHASGKQSRPTLGFDLNVADDQALEEDVPQSSAQTTCSESELMKLQTMANLYQTLPTELRSQCYQQDRYLESSLMLA >Dexi6B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:6B:1310714:1311610:1 gene:Dexi6B01G0001470 transcript:Dexi6B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLTFVALLLLLAASPAMVTAVDGGGSEEAHATFYGDETGAETMQGACGYGNLFEQGYGLNTAALSVSLFNDGKSCGGCYELRCTGTPYCAAAGGSSPVMVTTTAANLCPANYSKPNENWCKPAATSLRPVKAHVPPPRHRLPRRHHPRRVSPRGMRRQARRVAVLVFNVAGAGEVADVAAKGSRDGGDEAELGVWEGDARLVGQGISFKVTNGDGRSIVFDDVVPPTWAPGQSFEGKHQF >Dexi5B01G0024200.1:cds pep primary_assembly:Fonio_CM05836:5B:26297523:26302146:1 gene:Dexi5B01G0024200 transcript:Dexi5B01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQTLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMVRTGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >Dexi9B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:9B:10746798:10747214:1 gene:Dexi9B01G0015700 transcript:Dexi9B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPGNPYEKPSGMATEEAGAAVSSAWTRVLRSWTWPPRSLSAWRRSCMVGSGQASFLLSSSPGIPDTKLVGFLALPGISRREYECAGGGGGERRRRWCAVIAGKVEAVALQYLRGYCSREQGGGGELGLALEASNL >Dexi5B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:5B:833078:834190:-1 gene:Dexi5B01G0001320 transcript:Dexi5B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANYCGMEEEMMFMAVRRTEHVEVTSMETAAGPRKVRVFCDDYDATDSSGDDDDDDEFAAAAARRRVKRYVQEIRLERAAVKEAPTSAKAAAMSSAARTTKLVLPAGSKKRKTDGAGEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDTAAIQLRGPDATTNFDHAGDSAAAVPPEVAGRVPQPPPPPPAASRNASSSATSYDSGEESSHAAAASPTSVLRSFPPSAVVVDAKKPALAAAPVAKTAAFRAMETDESSSDGGSVFGCPFSGDDGFAGEFPPIYTDFDLLADFPEPSLDFLADIPDEPLPSFPAGAAIPDESSSEPEQEPSPAWLQQVDDFFQDITDLFQIDPLPVV >Dexi5B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:5B:19665187:19670126:1 gene:Dexi5B01G0017770 transcript:Dexi5B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALHLELGLALALVLALCPLASMADPRTSVAGQRCADGAAVSGSTLANNFVPAMDDLNTNVSAHGYGTSAVGGPGGPNTVFGLGQCLRDLSPIDCKLCFAEVRSLLPKCYPRVGGRLYLDGCFGRYANYSFFGEALDASADAAVCGVSGSGAEGGGNNYTGDGGPRAFGAAVRAALANVTAAAAAPGSEGFGAGSDESGGATAFALAQCWESLNGTACAQCLRAASDAVAACAPATEGRALFTGCYLRYSTRLFWNVNGTGGSDSSEDTSRRRNLTWNLRVDIIQGIAEGLSYLHEDSETRIIHRDIKASNILLDDKLKPKITDFGLARAFGEDVTHLTTGVAGTLGYMAPEYIVHGHLTEKADVFSYGVLVLEIVTGQRCSSSSGSHGGQVWKHYKDNTVEMIVDQSIYEDTIRDEIMHIVQIGLLCTQADPDDRPTMGKVVELLRNHRNDLEIVLSDPPFLNVEAVEDIKGGEHSRLLSKTSAPSLSGSWASSV >Dexi6B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:6B:2567467:2571206:-1 gene:Dexi6B01G0003100 transcript:Dexi6B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSGFRRMFSVSAFAPPKPPTPRPQADPSPNLFVSGLSKRTSTEGLRDAFAKFGEVVHARVVTDRVTGFSKGFGFVRYATTEDAQKGIEGMDGKFLDGWVIFAEYAKPKPQEAPTNSQPQQPWSPPSGSWGSQ >Dexi7B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:7B:12994965:12998251:-1 gene:Dexi7B01G0005540 transcript:Dexi7B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKPGSGAGAGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >Dexi3B01G0035940.1:cds pep primary_assembly:Fonio_CM05836:3B:38899539:38904808:1 gene:Dexi3B01G0035940 transcript:Dexi3B01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPYHIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAPCCKNEIVIQTDPKNTEYVIISGAQKKTEDFDVEDAETLLLPADEDRDKLADPMYRLEHQEEDLRKKKEAEPVLVRLQRLSDSRHSDDYALNRSLRDRLRSQKKRVAEEKKSARKMGLGVRLLPPSAEDAAAAASVKFASKFEKSRKDKRAAIKAASIFPESSSSASKGKLDLAMKRRTINPTVASALMAGRAKPSSWQSAGSTSSRTRMPVLAARK >Dexi5B01G0033260.1:cds pep primary_assembly:Fonio_CM05836:5B:33714924:33716762:1 gene:Dexi5B01G0033260 transcript:Dexi5B01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVSMAVHQSNGPRGRGLVGERSMAEDLTLCGGRRPHAGQREHVCAPAGSSLPSAAPTPDHQQESAYVLRSPPCPPSRTTRPGPCTKHVLHFRLSFFPLKAKRAQRRSPKHFGHERDDDRTPTPNNLSCLPVRRPNSSRRRLLLI >Dexi1B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:1B:2485295:2487329:-1 gene:Dexi1B01G0003060 transcript:Dexi1B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLRPPLPGPSLLARRRASPSPAGQRVGSAAVVVGGRRRCRRGLAVVAASAAPSWMEEAGVEVLEEGLRRNPSVSDSYRPVGLTRPNATVLEAQARVCTGPGQTRPLGEEQAMRVLDTILRSAMGELKEEPVSSAQLGAFFAGMTIRANSFPEATQWSEDERRAMSIFWPRLEQVLPPEVKFIADPEGTIMGANGLMGPRYTGQGTGDMRLVGALREVLAGGHLGYEEQFEPAMVNLASYTGGITEGQMLKFMGANIHLSPTQAKTLLEGAY >Dexi1B01G0024560.1:cds pep primary_assembly:Fonio_CM05836:1B:29845299:29846339:-1 gene:Dexi1B01G0024560 transcript:Dexi1B01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWVDPEPKRRERFLRELHFVDTPEPDRWPDPPQPDRGRVFRELKTLWVHPPPFCRPGASPVTDLLHWEVVIDGPDGTPYAGGTFPVDIEIFGDYPMKQPPNTFKTKIYHPNINSEGYVFLDILQRKDWSPAQTIQKLLTSIVSVLYDPLLDYPINEEAAYLYKNDIDRYEEVATAWTWKYSSTPIVSYCPSEEDKPWLDYCKAVAAMVSADQEEERLRRRRRKAYEERQRRRKAEEERRLIAAAEDGKGQTQIKGTSSSGHGVRPLWKRVLEWFVVAIAIFCLLVY >Dexi9A01G0049460.1:cds pep primary_assembly:Fonio_CM05836:9A:52090126:52096563:-1 gene:Dexi9A01G0049460 transcript:Dexi9A01G0049460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTSLANDTSCESNVTTPPNPIRDASNPSGLLSIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLQYTTTMEDGSLVVCERSLSGSGGGPNAASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEASSVPEVLRPLYESSRVIAQKMTAVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSAKKIRNNSNMEFTFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKASACSLPGMRPMRFSGGQMIMPLAHTVENEELCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDTKTDGVSSSRTLDLASSLDVGSAAPQASGDASHDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSVVSAVQRVSIAISPSQSGLNAGQRMLSGFPEAGTLARWVCQSYHYHLGVELLNQSDEAGEALLKMLWHHPDAILCCSFK >Dexi7A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:7A:28666164:28666819:1 gene:Dexi7A01G0019480 transcript:Dexi7A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRSESQSLSEDNRIKVPPSNLCQDYAGMLEKKEGADVTFSVDGHLFPAHRCVLAARSPFFQAEFFGPMKKNPAHDMIMVADDIKPTIFEAILHFMYTDSLPDGYCKDDDVTVWRHLLVVADLYGLERLRLMCEDRLCRSIDVQTVASTFALAEQHSCVQLKDKCLEFIASRAVLGAVMETDGFKELAASRPSVMKEILDKVAVVKG >Dexi8A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:8A:261425:262387:1 gene:Dexi8A01G0000350 transcript:Dexi8A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVHVTIRPVTTQHLQTLGRCAGSHPRHLKRYGRITRETELSLPLPARTNDLTHSPIGEEKAYPKTMDDRDINTALSETEVTAIRIDHKTLQSFTLTLAPRAVKTFPVGQTGNSSPIGGVRTHPVPLTQIPHCGRQQLAGTPPTKVSWCVRYSDHEVGQQGLSVSTAVVRNRYHLRHNTGLTQKLAPGSPKA >Dexi4B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:4B:22511946:22513023:-1 gene:Dexi4B01G0020300 transcript:Dexi4B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPPPPAPGGDAGSGTADAGSSFPAGGHDDAPTPRVVFSNPGALFILDGSRNLFVSRKC >Dexi5A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:5A:9000420:9003121:1 gene:Dexi5A01G0012010 transcript:Dexi5A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATREVLMLEAPPPSDPALAPWRSPPDAEVVDALPYIDGDYGDPAVKREVDRLVEEEMRRSQRKPADFLRDLPPVPTAGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQTIRMQKTAVEYNEKIETVNRERKFHQQNTGGQLHALTMEWQELCQKNTAIQAACVDLQNQIDQLKLQAKELYLGCDKIFLNRGMPMEDNTGTNSQAS >Dexi2B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:2B:28179000:28179947:-1 gene:Dexi2B01G0017810 transcript:Dexi2B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTPRNSIGHILPGAGFLAVGLWHLFNHIKLFSLRPDAYVAPVWFPAPRVRHLELILVIAGSAVEFAMEMFIDHSTFLPFDADGSIPSDRLHNHEHAIICLALLLYAASALHLDRAAATPRRGRDAISLLLVAVVFAQELLVFHFHSTDHAGLEGHFHWLLQLVVAACLATALLGVGLPRSFAVGLVRSACVAFQGVWFIVIGAMVWVPSRVPKGCSLVEEDGRATVRCHSEASLHRAKALANLQFGWYLSFMTVFVVVLYLYVCKRYPAEAAYVRVPEAGEEEEHLEERKCGVMRDGDGDDVHGFTTLEIEV >Dexi1B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:1B:26363653:26364338:1 gene:Dexi1B01G0020270 transcript:Dexi1B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLEKLEWIRKHTTEPYEKAEECMRTNYHGTKIVTEALLPLLQSSSHGRIVNISSYFGLLRFFSGEELKKELNNIDNLSKERLDELSELFLKDFKDGQLEPHGWPAEGGYPAYKASKALANAYSRLLAKKHPTLCINCVHPGYVSTDINFHTGDLTVEEGARGAMILALVPKGGMTGAFLDCTEVATFV >Dexi1A01G0030320.1:cds pep primary_assembly:Fonio_CM05836:1A:35693940:35694230:1 gene:Dexi1A01G0030320 transcript:Dexi1A01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARLRHRCTARVALDGGGASRPVLTRSTAEDARVLLLARQMAHSDRSPSWPASSASNGTVGCFRSATASSMPCAAVSSAAGPCADGVGLGVAQP >Dexi1A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:1A:22433332:22436726:1 gene:Dexi1A01G0015390 transcript:Dexi1A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNFQQQAKLEVCAAGSSKGDTVMPVVKKRRGHPGNLDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPELTCPHHDASRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNHSLATMVGSLHGHQQDIFSHGVPTFPASPTDVIANLSSNDHNSDSHLRSLSPYALITRNTALFSNQISPKDSGFPLDGSASSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPTNVSTPRDHMDISSGSQGDSLGNSAANSVGIKTADDDGSYMSGRSNILMNSPWVSSYKPTTVPLIGLMNHPFAMRTEKESPGIFPGSQTQHSRQENISGVGDAGLTQDFLGLGGSGNLEMSSETYNADVTALRYSDEQQKTQEHIYSYHQSSLDSTALEKPIWES >Dexi2A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:2A:31686958:31688359:1 gene:Dexi2A01G0019540 transcript:Dexi2A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGGSSSQHRPSVDEGAPLGRQGSVYSLTFDEFQSALGGATAGGGGGGGGMSKDFGSMNMDELLRSIWTAEETQAVASASGAGTPLPPTTMLQRQGSSLTLPRTLSAKTVDEVWRNLVRDEPPPQPGVDAGGGGQQQQAHRQSTLGEMTLEEFLVRAGVVRDNPAPAAAAAPPPVLPPRPVPVAPKSSPFLGNFPSAADDAADAAALGFAPIGMAGELALSNGLMPPRAAAGMVGGSAMAVQAAVNQLDSGGKGYSDLSSPTEPLPYAFEGMIRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNQELERKQVSFLVFSKQCNCKC >Dexi9B01G0038480.1:cds pep primary_assembly:Fonio_CM05836:9B:39529790:39536202:-1 gene:Dexi9B01G0038480 transcript:Dexi9B01G0038480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPNPSRRSWVGPAPMPFLTPRPERRHLEMRWDDGGPRSAARRSGVGAVAGNGGSGGRGEMDREVNVQVVLRCRPLREDEQRANVQSVISCNDQKREEVTVLHSLFKEADKKFTFDKANKKICKSVVLKDLYQEMERMKQDVKAASEKNGIYIPHERFILDEAEKKAMREKLEHLELSLVKQNKGLKRSLASAQGISKTSIDFFKDIRIHVSRLIKIMEENQIQRYSKLLEFENEFKEICVNDEQAALDKIAAILSGLTAKKTTMVSTYVGQLNEIYSEEQKHLNLEMSNLQQVSDNGKDESVAYVGEVESRFQEDMSLHDRLNGQMEDILEQCLKNGEHSVSYWSHTQSSLHDLCKSAIMEADDFSEERRNKNEDIFQEKLTFSSQNDTEFHAIISDANSPIRHRPRELLTNANSLESIEELRSSVPDLVAKFRSENKLDENDKGKQFSDQRMRTPRSPLTPVNQYIE >Dexi9A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:9A:8419476:8421500:-1 gene:Dexi9A01G0013120 transcript:Dexi9A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAAMKIYGSQLAFSTEAPHSEGSSTAHMLFGIRFQRVWLQGVLLSVKYEETGEGRLLLDDGTSVVELFVLPREAEGRPLFSKFVELKRSGMYVMVIGAYIATGCKDNYPAIKVHKVVDLSAQPDREAMWYLEVAEAYNIFYAPFAAANPTPHKHLTFNR >Dexi9A01G0042160.1:cds pep primary_assembly:Fonio_CM05836:9A:45714631:45715358:-1 gene:Dexi9A01G0042160 transcript:Dexi9A01G0042160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTTIIPQFFQTDKISLLGSTIEYVKQLEEKVKTLEGQNARRTSKPSVFESKCRISTDSDASGSSGSAFAAGAFSPSVEASIHGDTVLLKINCRERRGVLVMIISELENQGLSIINTSVLPFTDSCLNITITAKASILSPGYIIIMRTPLSELTIGEGFSTTVELVSNLTTALRSFST >Dexi7A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:7A:22708735:22712461:-1 gene:Dexi7A01G0012550 transcript:Dexi7A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSLICGVLQPVCGFINQAGVPAATAKGVSSFACIKRNLRDLTKAMEDLQALDKVVRAQVELEANNLNECHPQVSLWLRRVVDVLVDPIVDECDQLFQSSCLCSSALSLGKRYNLGRRIVEMLEYLDRLVKEGNQFETFASKRLPDFVEQRPRTQTFGIEPILRDFRKSFESADMSIIGVWGPGGVGKTTLLNTFNNELKAWGSDHQVVIMIDASNSGTLNRSAIQRIITDRLGLPWNETETEEARARLLEKALRRKKFVILLDDVRNKFQLEDVGIPTPDPENKSKLILTSRYENVCYQMGAHQSTIKMEYLEKEAAWELFRSNLSNHAIAAIDSPDPKNVVREYAHAIVQSCGGLPLALKVIGRAVAGLTEPWEWSLAMQATKDDIKDLEGIPEMLHKLEYSYDKLTSEQQQCFLNLAKLKYINLSHTCIERLPKEFWVLQELVHLDLSVTEALKETFDNCSKLHKLRVLNLFRSNYGVRDVNDLNIGSLKELEFLGITIYAEDVLKKLTKTHPLAKSTQRLSLKHCEQMQSIQISDFTYMVQLGELYIESCLDLKQVIVNSDKRRDDLKQLIAYNDKRKLSCLKFLTLANLPTLQTILVGSSPHYFRNLLEITISHCQKLHNATWVLNLEALEKLSIYHCHELEQVVQETINEVDNKSGSIQQNGIQRCGRKQGFSEEQEIHGMVEDAHNGYVKGCQNMTKSGRISGIVCPWEFPKLRSLVLTGLPKLTMICNPRDFPCLEIIRVECCPRLRALPLDQVYKCPKLKQICGSFDWWERLNWNGKEIMEKKYFIPIQDEG >Dexi6B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:6B:19065348:19066107:1 gene:Dexi6B01G0011750 transcript:Dexi6B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEKEWGVNNLEVRSEGKEFVINQEPDQEATQELVVYNLLKLFDIIQPCYEGTIKKTPVFFDSLQHDILTAPDPKGDRQTWSRYSKFMRSALAFKPPVVRAGLITNVYKVLALPIIVKNVNFQPILKKLGDDWRSCARQLEPFILVYKYRNDISENFIYDKWEQNHWNVVKYSRHFIEHVVLYLKSNSLLHDIGIIEMMVARCLERYIARSMRAIVMEYGVNGM >Dexi7A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:7A:21003911:21005517:-1 gene:Dexi7A01G0010170 transcript:Dexi7A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVCVTGAGGFIGSWIVKLLLARGYAVRGTSRAAAGDPRNAHLWALDGAAERLTMVRADLLDRNGLRAAFDGCHGVIHTAAPIVGDPAEIIEAVITGTRNVVEAAADAGVRRLVLSSTISAMYMDPRRDPDAPLDDASWSDLDYCKNTKNWYSYAKTVGERSAWDAARARGLDMASVIPVIVLGEVLGPALNTSSEHITKYLNGEVATYANASHAYVHVVDAAEAHVRVLEVPGAGGRRYVCAESSLHRGELCRVLAELFPEYPIPTRCKDEVNPPKKGYKFTNQPLKDLGMKFTPLREYLYEAVKSLKEKGFLHNNTGTKVELV >Dexi8B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:8B:344892:346568:-1 gene:Dexi8B01G0000520 transcript:Dexi8B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHDETSGDSQNESHGASSAVQNNPGREKEEKKKKERFLDFLRAAPSKELWLRRLGIAAPKALLRRVATLRANSIRAPAAFARSVDWRALRGRCKAWARRPTNAALLVWLAFVAVGVALVFLLMIGALDSVVPDESRRRRWTEVANQILNALFTIMCIYQHPRLCHHLVLLFRWRHDTDVAELRSVYCKNAAGPPRRERLHVGVVLLLLHATCFAQYAYCALFWVFSSSQTRPGWAVNMTMALGLGFPVAAAVHMVYGPLGKKIAVLPTSSTDDDEESSTANTSRHQQHDNNGSRVAVDKPEWAGGLLDVGDDPTVAALSVTCTFCVFGWNMERLGLGNMYVHVFTFVLLSAAPVLVFAVAAIHMHDRALGSVVGASGAVLSVLGLLYGGFWRAQMRRRLGLPRESSVCGGRAATADYVKWLFCAPCALAQEVRTANLYDVDVEEGNVVKLYVRSTDDEDVSPPSDEEKPAIMAPLEREGCIVDAPPMSV >DexiUA01G0020970.1:cds pep primary_assembly:Fonio_CM05836:UA:43221901:43223139:1 gene:DexiUA01G0020970 transcript:DexiUA01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALEAPREPRRPKAHWDHVLSEMVWLAKEFESERKWKLSIAKKIAQRANKSVVDQATKGERKQKAEEHRIRKVALNISKDVKKFWIKIEKLASSFIHHTFMDCCNVVYRHQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDMPYSQNLENGTLQMNQSSRPEEVAEENINEAIPDDPDNMEVDGDYESSLDEEPAHYFILLV >Dexi5A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:5A:24676600:24680071:1 gene:Dexi5A01G0020820 transcript:Dexi5A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGRRRYSSEQFLFDGPANAGAGRWAQQRGGGVRRRDGEIFVSVEPATPARLRGGDAPAGESPGKMQQLSPGLLDLHAFDTELIPDFQVPGMYAASQKFGYGEVLDDSDMSFAQNKQMSKSTIFPENNYLRAFPEKEKTAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQRSNSLTVHETKLKVDLTEYVERHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHAYRNQGFQLFVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGNDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRVKSLSKGGNAKKDVPLAAPLRESCHSPLPSFVPSFSASEVINDITERSNFGWPKQQQFVKQQPALAFADRMPKLKEGVEFSSSNDVYVKEQRSKGGMASNIAEVPDIMYQQGRQAVRKTVLENNMRDSIAYPARRAQPDEEDEHLNDLLQEEEDLVNAHRKQLEETLDILREEMNILDEADQPGNQLDDYVARLSSILSQKAAGIVDLKSRLEQFQRRLNENNVLLYAESP >Dexi1A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:1A:26998091:26999446:-1 gene:Dexi1A01G0020060 transcript:Dexi1A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSMDPQVTIHGDWVSAVVPLMKLLCLTVIGLLLANPRVQIIPRATFKLLSKLVFALFLPCLIFVHLGKSVTIDNILHWWFVPINVLISTAIGCILGYIVALICRPPPHLFRFTVIMTGFGNTGNLPIAIIGSVCHTSDHPFGPGCDTKGIAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIQEEPEQISNYSRSLLHEAEWPGMADKVTEHSKTPFIARVFMSISGSSQNTFPDIDFTEDGTSGAGPSSPKSLRCLAEPRVVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPVLKGFVFGADAPLSFFTDSLEILAAAVVPSVMLILGGMLAEGPKDNALGIRTTIGVIVARLLVLPCIGIGVVTLADKLNLLVKEDHMYRFVLSLQYSTPSAILLGAIASLRGYGVKEASALLFWQHICAVFSLSLYLIVYFKLMSFI >Dexi9A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:9A:6711672:6712777:1 gene:Dexi9A01G0010930 transcript:Dexi9A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGEYGTGWPARARPNTRGIHNAEHMRSIAHTFCSPSDRCSIVNAAAPIPIYMFPHTEHWHNSRTPQASSLISSSSVAAARGRKKGAQSTGMVGKKPRKAYTITRPRERWTADEHDRFLHALVLFGRDWKRIEAFVVSKTSTQIRSHAQKHFIKAQKLGLPTPAPHPRPTRQLPRLGSWRHHDAMRRDASTPLRNRLVGERVEVAGSVVANVRHDPLVRLHLQGIHMYGMERLAPVMLAPGRDFGTVAARRDWSSSMRGAAIFIGRKTPYTLVH >Dexi3A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:3A:2411629:2412048:1 gene:Dexi3A01G0003650 transcript:Dexi3A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRALCLALMASAAIVSVWSSGEAAVSVRQPAATATPGPDTNVLCVSKCGTCPTVCSSPPPAPPSSSAGDNSVTPPPPPKSGGGGGYSSPPGQGKGDRPSNYYYFFTAAASRRSSCAGAGVYVVVVLALVSVVANLR >Dexi6B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:6B:5640787:5642452:1 gene:Dexi6B01G0006030 transcript:Dexi6B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHTHHHRHWGGARVPLMPKPSSNPNPRPRHRPGPAGNPSVPAGAAPPPPRAAVPVAPPEPGPSPLGRVKFRPSEMTLSEARLLRARLTGELSRVRALLTRIDTWQDGQRRRWAAEPDPDLPPPPPPALAQAMLKRCSGILGRLRKSKNSVWFNTPVDVEGLHLHDYRAIIRCPMDLGTVKQNLVAGRYPSHEDFADDVRLTFNNALRYNPPDHKVHRYASSFLATFEGLYKEAVSWFEQQCQPIEIEPPMQQNLLLPPPLPPQMPVSVPVQAPPRMGVGRRPKPKAREPNKREMDEEEKQKLRVEIESLPEEKMLNVLQIVQKRNSDPALSGEVVELDFDELDIETLWELDRFVVNWRKALKKSQRNSVMNGDAAGMNGDAIDVTIVPDEDDLVQVDVNPPMVVEIGDSETDMPEKRATEVEMVDEYVDIGDEMPTVNYQSVEIEKDAQAASSSSGSGSASSSSSDSDSDSDSDGDDAGSLD >Dexi2A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:2A:8604566:8606047:1 gene:Dexi2A01G0008520 transcript:Dexi2A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAPAVEETLNSLQRLLIRAHVIVEESQDRIVTNQAMLRQLNWLQKEMYRGYHTLDTLRCRAPDADGDDHNRAVAAGRSFTTSRFNPAKRLRPRGGSSSSEHEGAIQVLSDLETTIRDVRELVVFLSGCPRLCCRQPYSVHLLVGKCMFNRQMEMEHVMEFLLRSCDEEEEAPAVLPIIGPGRVGKTTIIEHACNDQRVRSHFSQILRFSQDGLRDVKTIATLGDCSVIKLIHGDDRAVAGGDNQRTLVIIEVAGDIEEGVWEKLYSDCRHHIGRGSKIVVASRSDKIARFGRATQTQSMTVQFFTEEAYWYFFKARTFGSTDMKDHPKVAAIAMDMAREMNGCFFAVSLFGRLLKANFDARVWSMALAQAREFKRMNLLLFGAEVVDLWQVGDPVFVRRANNASSEYFVILDDYQTGSIKEDSTPSGEGPKMSIQDVFFGENNVRPRGRFTVLAYRSYIPPHYSYMMTCEVQRRQRVFSSRKKRIQHVAS >Dexi9B01G0021910.1:cds pep primary_assembly:Fonio_CM05836:9B:16596057:16596809:1 gene:Dexi9B01G0021910 transcript:Dexi9B01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWLGLWGVLAACTAAGAAAWSQGTSTFYGGDDGSGTMGGACGYGNLYDAGYGINNAALSTTLFNDGASCGQCYAVTCDTSRPGGQWCRPGTSVTVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAWANIGVVTAGVIPVLYQQVKCSRSGGVRFSIAGSNYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQHVEFLNVAPAWWLFGQTYNTYQQFAY >Dexi3A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:3A:6751721:6753274:-1 gene:Dexi3A01G0009630 transcript:Dexi3A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSPVVAEGDAALHVAAPPKDAAFGEGATVRHPGRLRVMHPHVAEFLRSPRRVARPAKKPEPAQEEEVAAAEAERPRYVCAFEDDEDGVPAPGRLVWGKVRHHPWWPGQVFDDADASGLSLALRRPRRAVLVSYFWDKTFAWNEMDTLRPFRAGFTRLAAQSRMAPFAAAVETALDEVARRVEAGLSCFCVAGEDEATANRQVIENAGIREGAYGAAVDATFARGALRGEALVGYISALATAPLAGAGRVDLAIATVQLKAFARWRGPTTRGLPEYTVVHGIDDLAAPERAKRRRSSTGGGSGDGSGKRRMTRSRAKGDAACDGGDYEALELENIPQPTPQPMSTKMGKLMSRAAQQMSLSPVILRNNGDTPPAMTHMERCPRVADKVPPMNNGDPNSGAVLVVDERRPAPGMEDRLQTGLVLNFRSASVVPSTRRLTMIFSQFGPVKEVRAENSTAIVIFKKDVHADEAFSGTSEISSISSTLISFRLTDSIPAAPTDPPQSMLLDPLNAEALQ >DexiUA01G0003640.1:cds pep primary_assembly:Fonio_CM05836:UA:7382231:7391787:1 gene:DexiUA01G0003640 transcript:DexiUA01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVWVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLETQPAVEGLVVATSLIGATIITTFSGPVSDQLGRRPMLIASSLLYFAGGLIMLWSPNVLVLLLARLQAYSDKNLE >Dexi7A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:7A:29211762:29216777:-1 gene:Dexi7A01G0020420 transcript:Dexi7A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPFRASPALATAAMLLLCLMSGNTCNAQTPVFACDASNATVAAYGFCNRSSTAAARAADLASRLTLAEKVGFLVDKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNVTLFRAIGEVSLSSTAPPPTPTQCNLHFFLSSSPALFPXXXXAGLTFWSPNINIFRDPRWGRGQETPGEDPLLTSKYAVGYVTGLQDAGADAGDSLKVAACCKHYTAYDVDNWKGVERYTFNAVVSQQDLDDTFQPPFKSCVVDGNVASVMCSYNQVNGTPTCADKDLLSGVIRGDWKLNGYISSDCDSVDVLYNNQHYTKTPEDAAAISIKAGLDLNCGSFLAQHTVAAVQAGKLSESDVDRAITNNFITLMRLGFFDGDPRKLLFGSLGPSDVCTSSNQELAREAARQGIVLLKNNGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCQYTTPLQGLGANVGTVYQPGCTNVGCSGNSLQLDAATKAAASADVTVLIVGADQSIERESFDRTSLLLPGQQTQLVSAVANASSGPCILVIMSGGPFDISFAKSSDKIAAILWVGYPGEAGGGAIADVLFGYHNPSGRLPVTWYPESFTKIPMTDMRMRPDPATGYPGRTYRFYTGETVYAFGDGLSYTSFTHHLVSAPTHVAVKLAEGHTCLTEHCLSVEAQGGHCENMAFDVHLRVRNAGKMAGGHTVFLFSSPPPVHNAPAKHLLAFEKVSLEPRQAGVVAFKVDVCRDLSVVDELGNRKVALGSHTLHVGDLKHTIDLRV >Dexi3B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:3B:5113554:5114573:-1 gene:Dexi3B01G0007220 transcript:Dexi3B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSGSRSGGQRRLKDRLAQLLRPANSLLLRSPCSSSSSTSTTTFTATATAAATTTTTTISTSSTSTTAANYNNITGALLPRRPEPFSAALARLRHPPPPPPERACSNRDGSSSSRHSRRRRSKNVVAMAAGGVRTLSSNPYGFTSSGEDEEQDTDDDGDGDWYGDDDDTEAFLSSSRRSLMSSDTSAGFYTSCKKKKLPPPPAATTTLLPTTMMNKSRPQQRKTRRRRCRRPAASCVEACGGGGAVREPASGFRPLVVAAATEEQVRRGLAVVKRSRDPYGDFRESMVEMIVGRQVFGAAELERLLVSYLSLNAPRFHPVILQAFSDIWVVLHGGGG >Dexi4A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:4A:8668394:8669875:1 gene:Dexi4A01G0010740 transcript:Dexi4A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSTSAKKASAAAAEAVGAKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPTSPPLSASPPPPAKREPSAAPGDEVVPAWFRRKARTPRGGHAKSVSSRRLVVPESNGEGEVVEEEEEQLLYRVPIFDPTLVAEFSSPPPPPLEDAATAVASPCSVEDTAKPDAPAPSPPPVQSSFTDGGHGSFEPTDAELREFAADMEALLGRGLDDGNEEDSSFYMETLGLLDPVDDGDEAAALVKFQIDGGSETSGTLLACGGGGLELEMEMEPEASDEMLDIDFDYGSPHQHETTHGDEKSASSGTSAQFLQRSLSLTLNYEAIIQSWGSSPWTAGGERPLVKLDHSWPHDYTGSMWVVGGVVGHGGEELGTPRLGMTMDGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRATITGASVVAVAGLA >Dexi3B01G0032150.1:cds pep primary_assembly:Fonio_CM05836:3B:34549662:34549814:-1 gene:Dexi3B01G0032150 transcript:Dexi3B01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGPGAAVVSYRFEPQPLHFVQVRLCVYIPSPDPAQCGSLRH >Dexi8A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:8A:24197441:24199135:-1 gene:Dexi8A01G0013910 transcript:Dexi8A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSSAVAQEAVHHVVHKLKETYEHSSTFTAKKSIERMEMAHIRLEAALEASRQWSISSAPLLRWRSKLKRATQECDHTLRRCKRRLQEEKESYSLPSVVARAAMSLVSSIFGHGGDEEVGGSASAMRRFEWFADSASEFLRYVEIGGSTPWRFVFFDSALVRHLLEGKGTKNCFVHGGQHLSFVLQPFSASECGMECTLIFSHKDGDAPEDNFLLSLNLRLSESTNIIGVVVRCMELFAPHLSSTAEAVKTKLTQLPMQDLRWVPDAHVIPVRDEHWDNLYTIFSKWKIKSLNKGLNDTIDDDHCDDANIAVKARSHG >Dexi4A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:4A:24549857:24550309:-1 gene:Dexi4A01G0020770 transcript:Dexi4A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSSAGKDGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi7A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:7A:27077061:27077661:1 gene:Dexi7A01G0017350 transcript:Dexi7A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLTKAMKGSLCHEYETELPASDVWEVYRGLLAGQLVPQLVPEVHPKVELVEGDGGAGTVLLVSFPPVANEYKNNPPVASTSGLASIAEAIAKYAKEKRAQAMGQLSEE >Dexi5B01G0025920.1:cds pep primary_assembly:Fonio_CM05836:5B:27832665:27833317:-1 gene:Dexi5B01G0025920 transcript:Dexi5B01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLARCLVVCLPLAVILTVVSLPSGGEAMGLPQPPPDLNFTISAEGVVWCNSCRYAGYVRSMGASPLPNATAMLRCRRDDGRALTVWNTTGADGYFLIQADWESAPFKSKDCKVYVSRSPAHGCAMPVKPAARKGAPLKFRRFVPLPNELQARYSAGNFTFAPENPAKC >Dexi2A01G0035670.1:cds pep primary_assembly:Fonio_CM05836:2A:45221894:45223326:1 gene:Dexi2A01G0035670 transcript:Dexi2A01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVGSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHESMQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELESAPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi3A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:3A:14112413:14114380:1 gene:Dexi3A01G0018500 transcript:Dexi3A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSRGRAPSTPQLLNLIQDEGEWKVVREEADGGRSSRTTSYEAQEDTKLELKLGLPGVHDEERQAGPRERLELRQQESCTELSLGCFASHSRLATSTASTTAGAKRGFLATVGAKAQACSQRHQDKEGCGNELTLGGENMAGERKKGCCPPSSSHDSAAGPVHSSSNPHQGRGAVLPVVGWPPVRSFRRNLTNGSSSKQSPDRQSDELGDKAKLACKRSLLIKINMDGIPIGRKIDLAVYDNYQKLSSAVEELFSGFLEAQKDLDCTESGELGAEEKIFSGLLDGTGEYTLVYEDNGGSRMPVGDLPWNVFLSTAKRLRVLKSSELLHGLVSTMQIQAVIY >Dexi3A01G0028120.1:cds pep primary_assembly:Fonio_CM05836:3A:28459251:28460334:-1 gene:Dexi3A01G0028120 transcript:Dexi3A01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGCPIALVYFRAGYSPNDYPSEAEWRARKLSERSSAIKCPSISYHLVGTKKIQQELAKENVLERFLDNKGNIEKVRQCFAGLWSLENDSIVMSAIESPELFVLKPQREGGGNNIYGDNLRETLVRLRKDGSNELAAYILMQRIFPPASPCYLVRDGACAKENVVSEFGIFGAYLR >DexiUA01G0025610.1:cds pep primary_assembly:Fonio_CM05836:UA:54011529:54011792:-1 gene:DexiUA01G0025610 transcript:DexiUA01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSTSSLLAGGYDGGPVQEEKGVAGSPLSSSGGGRARRHKPGGAARGQMSTRGGMSHGGEEVDAATGTAWPFLPGGAAAVFPETR >Dexi5A01G0034490.1:cds pep primary_assembly:Fonio_CM05836:5A:36513635:36521231:-1 gene:Dexi5A01G0034490 transcript:Dexi5A01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSATASARAVSPLPAPSLLPGGGRRRGGGGGARVGNRRAAATVSVRSSLLDFVGGDLVRPDLGKWLDDVEKHKAVAIYPPHEGGYEGRYLNHLRYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLGLLHPDAKGLVVLSKAELQFLAMLPELRPKVRVIAECGNWRKFVWKPLKQIAGLEPDPDAEK >DexiUA01G0003150.1:cds pep primary_assembly:Fonio_CM05836:UA:6495646:6497391:1 gene:DexiUA01G0003150 transcript:DexiUA01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAIQQQTGHLSASPNNHRPRNSDISRQQKGGSSRVKGRPYQDKDESENIDEIDSDIMFSKNGPPISLGSNSRPQATSAPGEREKEIVELFKRVQAQLRARGKSREDKKPELAKVQGERGSVDSLLKLLRKHSVDQRRKGTDDKEQNFEITRRSNDSGNRQSSTIFSTKSDTQEEQKNSPPAPFKRPASNFRRRSPVPGVKFQPVINADADADHKSITNNVAEAVLKAKTALEERTATDEPDTVSPYEPDSVIPPENISLDHFDALSDDESDTEDLNDEEYPEPSLEIADITDIDESRDDGAAKSSDLSSLKVAELRELAKSRGIKGYSKKKKNELVEILSAMA >Dexi5B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:5B:9049646:9051994:1 gene:Dexi5B01G0012720 transcript:Dexi5B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWRGDAAGGAAAATAWWWVAAVVLCHLLSSARAGLLETNPGLAYNFYQKSCPSLDSIVRQVTWAQVASNPALPARLLRLHFHDCFVQGCDASILLDNAQSEKTAGPNLSVGGYEVIDAIKTQVEQACPGVVSCADILAMAARDAVSYQFKASLWQVETGRRDGPVSLASNTGTLPSPFAGFNGILQSFAARGLNLTDLVALSGAHTIGVASCSSVTPRLFNGNATQVDPLLSAAYAKTLMGSCPNATAPGTVSLDGGTPFKFDSSYYTNVLNKQGTLASDAALAQNAAAAQMVQDLTNPIKFYAAFSMSMKKMGRVDVLTGTKGEIRKQCRKVGS >Dexi9B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:9B:3093206:3095085:1 gene:Dexi9B01G0005240 transcript:Dexi9B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGVGRRFSNIVCKKADIDMNKRQLTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Dexi2A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:2A:10952972:10954971:1 gene:Dexi2A01G0009930 transcript:Dexi2A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSPEQSTPQQHSRGEQRTTTWRRGAPPQPSTLQEHHNLQETPPLPSRLQKPQRTAGTGWRRGWAGEATGGRVGGRTDGATSPRQVEGDGRGDGPRDGQGDEPRDRRKTRRAVDVILSCRQKLNEKSQTRLANLEAANDNGDQKPQDIDPIQVDLLLGKAYSDWGHISDAVSVYDKLITEHPEDFRGYLAKE >Dexi9B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:9B:1533765:1534622:-1 gene:Dexi9B01G0002720 transcript:Dexi9B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKERRDEAGKSGTARPAPPPPPHPTRAVAVEEIDVHESNPAKAEAGLAFQFFLAQEQQRSVEMPAISNGWCRDDDDAIRMAGSCSPRP >Dexi4A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:4A:3801653:3804677:-1 gene:Dexi4A01G0005290 transcript:Dexi4A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLFALSAAGAVLLWAISLGRILSFTSPSCLPPSPGFLAPLRGDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHNIHILCMSQGNADGLGITRKEELYHACDTLKIPREQVEVLDHPKLQDGFHEKWDHGLLAELTMEHVQLWAIDTVVATSWKPLNFRKSKKAYFFLNSKLLQLNGQGNIEVWQLASLNILRKYIGPVDIWLSSLISSSSKQAIYTLVNNSPSKSYEAMAAHRSQWVW >DexiUA01G0007850.1:cds pep primary_assembly:Fonio_CM05836:UA:14730074:14731995:1 gene:DexiUA01G0007850 transcript:DexiUA01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLHSGPGNKNAFKGLIAAEYSGVKVELVKNFEMGVSNKTPEFLKMNPLGKVPVLETPEGAVFESNAIARYVARLKDDSPLFGSSRIEQAHVEQWIDFAATEVDAGLGWYLFPRLVFRPYAQTTEEAAIASLKRALGSLNTHLASKTFLVGHSVTLADIVLTCNLYLGFARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQAESVPPVQKKAAPAKEAKAKDVKKEAPKEAPKPKAVEAPAEEEAPKPKPKNPLDLLPPSTMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDDAQKERVNAMIEDQEPFEGEALLDAKCFK >Dexi2A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:2A:13582016:13584602:1 gene:Dexi2A01G0011710 transcript:Dexi2A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTETTTPVVQALSGLGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPDDPKNDHLRALEGAADRLVLLRADLLDPDSLHAAFSGCEGVFHAASPVTDDPEKMIQPAIRGTQYVITAAADTGVKRVVFTSSIGTVYMNPYRDQNKPVDDTCWSDLEYCKKTENWYCYAKTVAEQSAWEVARMRRLDLVVVNPVLVLGPLLQPTVNASTDHVMKYLTGSAKTYVNAAQAYVHVRDVAEAHVLVYEAPGAHGRYICAESTLHRGELCRILAKLFPEYPIPTKCKDEVNPPAIGYKFTNQRLKDMGMEFVPVLQCLYETVKSLQEKGMLPVRPTNDQDQRLLTA >Dexi8A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:8A:20539732:20546539:1 gene:Dexi8A01G0011590 transcript:Dexi8A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLTTNFAIFTTIVIHCLSISSAIAHKQRELNDSPSAFSLQLVTIPEGPDHNASRGSDGFLHLQRSLTTSAVDLNYVPIHGRGADVRIGTLTAFQEVTLMVSTMSSLTWLQCKPCSPMVPQRHPLFNPNKSPTYHAIKSVSLKCLPPFRPAQELDKCAFHLVGQEGVWASGFVSTDHFRISSGAFQPDYLFGCAHITRTFNNGGNAAGVLGVGRGPMSLVTRAAAQGLTNFSYGLSHETSHRSFLQFGADVPHKPGRYRTTRILPPHSYHVRFIGVSLGERRLDGVLPEMFARRSDGQGGCIVDLGTPMTTMVEEAYHAIEEAMWTDLKCHGAERVEQAGYGLCVLATEAIKGHLPSLSLHFAEEEATLVVSPEQLFVVIDDKKSRQVLCLAMTPGRRTIIGALQQVDTWFVFDLKEDKLSFWPESCHQESV >Dexi1B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:1B:5391301:5391944:1 gene:Dexi1B01G0006620 transcript:Dexi1B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLRDNNVMCCTCRVTQEGKERRKRWAKRRTSSKPNKKAPKEVEDEDTRQSEENEETHTMPGMLPNSVIEMLAAREKVTFSSDSEEESVKQKVQKRKKRTKTSGPETILLKDVRATQHVKNALDFLEQRKNRVPRSNAVLKNANKALRLLSSKGNFLS >DexiUA01G0001210.1:cds pep primary_assembly:Fonio_CM05836:UA:3608898:3610026:-1 gene:DexiUA01G0001210 transcript:DexiUA01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSQPFKVLHGGVSALVAEALASMGAHMASGYKRVAGMQLSINHFRIANLGDTVLARAVPVHVGRSTQVWEVKLWKIDPFTGEKGPQIAESRVTLLSNLPLPEEHKNAGAALRKYASKL >Dexi6A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:6A:17686661:17688200:1 gene:Dexi6A01G0011780 transcript:Dexi6A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQATEPPSSSYPPWVLFEPYADVDTTGSYSTAADPNTLAVARTSSDHPIGVSLSLALPPAESRVCVHFPRGTEPPKHENEIIAAHGDSMLIKVARESDYYRARPDYFLYNAGNAAANSPQRRPPSLLLLPPSYHYYLNKASTGLLRRGEDDLVVANLKMAKPKDDDTTPKNKQQQQHVAEMTLLRSGKWWTLRWSRITGIEKEKLRYWISSRCKGLCYSTCLSPRIPVVAPITIRAGTYVCVTSGSNAVKFVNRMDSMTWVVDGMVDSTELWAHDSYNGLPRLEPGFPVASEILEALQEISSYGLPGDDMRKSISILSHANGRRFRSFLVIPKNMRKDWLLTEINAPAGM >Dexi4B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:4B:1882167:1891251:1 gene:Dexi4B01G0002850 transcript:Dexi4B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLRNGGAGPRMSTKLDRQGFGATPKAAAGKQRLSSASAGAGAYRRTSSGPLPAAGAARASSDGAVSSRVRVAVRLRPRNAEELAADADFGDSVELQPELKRLKLRKNNWESETYEFDEVLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILSDITPETDSVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRNQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRTEMDVSVSGENGHSSSMMGSLRPPIIRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERIRAEAQCRIAEAERECKIMLENEKTKYHQEYLDSIKILEEKWKIHQQSPKKQTKEAESTSNGAGEVHNLLQNEKMLRQSAEDEASDLKNQVSHWKKMEATATAEVVKLRKMLDTEASQKEKLEEEIGVLRSQLLQMSMEADETRRSLDKGDGPGKIFPGLDSLVSQTQGSQPREQSNGPKQPIAKLFEQVFHSLFSVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLVLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRAATQGNVSAK >Dexi5A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:5A:7777261:7778294:1 gene:Dexi5A01G0010220 transcript:Dexi5A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPHIQELPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLEMKSAASEQQLRSGTSTSATSPMLPLEVRTPR >DexiUA01G0008350.1:cds pep primary_assembly:Fonio_CM05836:UA:15522528:15522777:-1 gene:DexiUA01G0008350 transcript:DexiUA01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVVAAARDWSELPLAALASVIGKLGALDILMVASLVCHTWLEAAKVPELLPSTARLGS >Dexi6A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:6A:59505:60311:-1 gene:Dexi6A01G0000080 transcript:Dexi6A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTAESLGILKSCKTRNCLKCPDLKRSPRDEASRAEIWKERLRTLKMGALAMARAVVPKGSGESVNHHPDYEFFLSRCG >Dexi3B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:3B:3126779:3128188:-1 gene:Dexi3B01G0004610 transcript:Dexi3B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITATEVSLEASNHVDSAALDDDGRPRRTGTVLTASAHIVTAVIGSGVLSLPWAVAQLGWAAGPPVMLLFGGVMYYTSTLLAECYRAGDPTTGRRSYTYTDAVRAILGGAKVTFCGVVQYVNLAAIAVGYTIAASISMQAIWRANCFHTNGHAAACKSSSVPYMIAFGVLQLVFSQIPNFDQIKWLSIVASAMSFTYSGIGLGLAVAQTVSNGAFHGTLTGVAGGVTVAQKVWHTLQALGNIAFAYSFSNVLIEIQDTIKAPPPSESSVMKKATAISIATTTAFYTLCGCMGYAAFGNAAPDNMLTGFGFYEPFWLVDVANAAIAVHLVGAYQVFCQPIFAFVEGRAAAAWPESAFVTRELRVGPFALSVLRLTWRSAFVCLATVIAMALPFFGSVVGLIGAFSFWPLTVYFPVEMYIKQRAVTRGSAKWICLKALAVACLVVSVAAAAGSIAGFVSAFKVFRPFSG >Dexi5A01G0032000.1:cds pep primary_assembly:Fonio_CM05836:5A:34567078:34569371:-1 gene:Dexi5A01G0032000 transcript:Dexi5A01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSGSRARAEQARLQWREQKPRSPDMATPTPPTRPPRPRAARAAVVYYLSRNGHLEHPHFMEIALSCPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLSDDDYIHPVVGREYVLKGTERLHPAVPTALLDAAAASSSSSSSQETPTSSSSGRWELPHARPAAAHRKTKSSSSCGDELGEYVVFKGDEQRATDAATQTEDSGPHPRRVVKAPTSAAAQDELSSRADTASPPRTESTTSPDTLEALIKADHGGRVVAASAVGGSGRATARASSVLMQLISCGSVSVHDARATPVMPRAHHHHRARRPPRPPASAAEVPSSYRAKIVEDKEYFSGSIIETATKRSPADDASQDMAVLRRSSSYNAERVIKLELAKEVGDLHDRCIPRKPKAKKDGYLVISCTAQGNNKG >Dexi4A01G0024260.1:cds pep primary_assembly:Fonio_CM05836:4A:27084719:27089197:-1 gene:Dexi4A01G0024260 transcript:Dexi4A01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDAIGSNANQQGPEGQQQPPPPPPPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLATHPPIFSRADEPLEADTWIRAVESFTILATPCTSNRKVVFAAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYSQAFNGLCPYAGHHADSDEKKLERFRRGLNTKLKAQLATTRAATYGDLVNLAITQEDANVVHKAEKKRKTPAGPSSAQPQRFRLVPPAAPQGQSRAPQGGGWVARPPQPNAPRFPPPPQQQQAPRQNAQQPARPGAGYQCFKCGSKDHFIKDCPQNKQQNQRPGNQQGKGKQQQRVQVRQGRLNYTTLADLPEGAPDMTGTFPICTQPAVILFDSGATHSFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTHKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCVDACAYTMVETQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRSLNAVTIKNKYPLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCEEAFHTLRRHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKARCHCLSVESYADTLCHEMAKLNLEIVPHGYFSHIAVEPTLHDQIVVAQLNDASIKILKRKLSKEKVKEKYKCFRLDGQGVMWFGHRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTFYNAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVKEAEEKVRIIRENLKTAQARQKSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEITQQYGPVAYQVKLPEKLSAVHNVFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKVQWSHHSEDEATWETEDYLRKNFPDILS >Dexi3B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:3B:8246594:8248777:1 gene:Dexi3B01G0011790 transcript:Dexi3B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLSCRPPLLVVLCLFLMLSIHVPGGSSLSFNLRFSQPQSHDLSELIAFSGEAYLSPDTLELTRNSRDKSSRSSVGRATYVQRVPLWDAATREMASFTTTFSFRISRDNASYTGDGMAFFLANPEPAGIPANSSGRGLGLVPVSTTNVTGGGGIVAVELDTFLNPESNDTSDSHVGIDINSVNSTASTDATSPAKNLTSGYEMVATVRYENATNLLTAELTINDTRYSVNATVDLRSYLPEYVAVGFSAATGELTELHQVLSWSFSSTLEPKNVKSKQARVVRKILIPVALLVLAAACASGLLLLWQMKKHKRRSSSSDDSDSEQQRDDMARGVAAHGPRRYAYRELAAATNNFADDEKLGRGGFGSVYRGKLLVGEEDEHRHVAIKMFSSESSAQGRKEFEAEVRIISRLKHRNLRQPPRPPAGVRAGSPRQPRQAPPQQQQQHGHRDQVADVAREALRYLHHEWEQCVVHGDIKPSNIMLDESGGAKLGDFGLARLGDHGGRWHTTKAVLGTAGYIDPEFVNTRHPSTHSDVYSFGIVLLEMVSGRPPVILHEGGGPPPFLLLKWVWGLYGRGRILDAADERLRGGGGGGDDDRCMERVLVVGLWCAHPDQSERPSMAQAMHALQSEDARLSELPQQMYYRTSSSSGFAITGRNAAGSGGLSIEGYYSGDSSSATATTTGDSKISSGSSTTALLRDSKAERASSFL >Dexi1A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:1A:9249783:9250283:1 gene:Dexi1A01G0010720 transcript:Dexi1A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMWNQGEGGGELAIGESYHVDLGQAMDLSESDGALLMELMEDLPPSDLLDGDVDRLSHVIQSLEAEIGGGGDVAVRMVNGESVAGASSNDGVILEDMLLDLDDHYEGGSLGYWPEMSLMGHEVEGWYVYSNGYESAVVGYEAINHQYHCCVEGTDEQVYSPLWE >Dexi5B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:5B:795734:797066:1 gene:Dexi5B01G0001240 transcript:Dexi5B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLSVMYQKPVVEKSDDTSDDEPDIDIGKLLKDVELFGASTYKERKQIENRKVVELGGKAVKKHRTPLSVAKPALKNQKKRQLKKMEEEKLLGIFRKRDKNSKPQKTRPEDRVLRATEGHFKNGILDVKHLLSKPKPSGRDAPEPKMRKGKPKGKGKQKGGRRKRR >Dexi4B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:4B:16935322:16941008:1 gene:Dexi4B01G0015360 transcript:Dexi4B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGDPAMAAVLGSSPSWFCGRRLTVASPCVQRTLIDIINAALLVAYASTLATACVRRQWATTGGARWWRWGLTVVSACCVAAAAAYGAAAFHGATAAAPHLARGLVWLVLAVSLHVKPNKPARAVAVLWWVLFSLLVTAYNAEILLTGGELDVAEMIAWPVNLLLLLCALGSVLHRHGTASSSSNDGLSEPLIGKSGDESAVHTSELYRAGVFRQLAFSWLNPLLGLGRSKALDITDIPLIAGEDTAHHASHKFAEAWSRHVSDKSRRSSSSVGSSNRLALILIKCFLGEILLTGLYAFLRTLSIAVAPLLLFAFVWYSNQEEERDLLVGLALVGCLMLMKLVESLSQRHWFFDSRRTGMRIRSALMAVIFQKQLRLSSQGRKNHSTGEIVNYIAVDAYRLGDAISWMHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKILQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRNMIESLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAIMGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIERFLLEDEIKEEDVKRVHLDNSHITVQVQDGNFSWNANRDQLSLRNVNLSISRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVEVFGSVAYVSQTSWIQSGTVRDNILFGKPFDKELYEKAITSCALDKDIENFDNGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETNRILVMEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITALDTSASQQNQDQGQLVPDENIVPSALQATRQSSDIEVAAKGPSAAIQLTEEEVKGIGDLGWKPYKDYINVSKGAFQLSGMCTSQVLFTCFQIGSTYWLAVAVQMDNVSAALLVGAYSGLSIFSCVFAYFRSYFAAILGLKASKAFFSGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVITGGIEVVTTVLVMGTVTWQVLIVAIPVTITMVYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMQLIDTDATMFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGGISPGFAGLCLSYALTLTSAQVFLTRFYSYLENYIISVERIKQYMRLPAEPPAIISEKRPPALWPQEGRIDLQELKVNNSKGTVRNNLDPLGQHSDQEIWEALEKCQLKTAISSTPAHLDTVVSDDGDNWSAGERQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVLVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNSS >Dexi7A01G0023960.1:cds pep primary_assembly:Fonio_CM05836:7A:31824507:31825610:-1 gene:Dexi7A01G0023960 transcript:Dexi7A01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGGVVLLLGSMLVAASAAMPLPLETMMPNDTALSMDLHALSCPDLHSIVGGAVWNARAKSAQITAGLLRIFFHDCFPQGCDASILLDGGNSERSMPQNQGLQQSALELIESIRDAVHRRCGATVSCADILAVATAHAVNQAGGPVIPIALGRRDSLEPAPGWAVATLPRPDADVTTLINAFGSKGLGGVDLVALSGAHTVGKTRCSAFADRTRSPNDAFTTGLAQACATDANRLQELDVISPERFDNAYFVDLRNRQGVLTSDQGLANDARTSWLVNGFADNQAWFFGQFAASMEKMSKLTPASSGGGEIRRNCFRRNSPGIIIQHATDDLQASA >Dexi5A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:5A:7873081:7873293:1 gene:Dexi5A01G0010390 transcript:Dexi5A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVFISLVLFILLSPGLLFQIPGKCRIIEFGNFHTSAISIIVHAILFFALIAIFLIAIGVHMYLGS >Dexi8B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:8B:16519592:16523706:1 gene:Dexi8B01G0009330 transcript:Dexi8B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVTSLLGVIRSEARLLRLVRSDVQFIKEEMESMNSFLAHLARWAPPGGDHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRSKGGLRRYLWWVPWFLHKLVAQHRAAIQLRELKDGARDVGERRLRYGVEVPAKSAAGQSTPVASHGLTSAASLAPSLTHAASPHPAGGYAAGDDEDGDDQPLVAALTDYSDQRALLSRTLDDYVKAKLWEWRTEFKPNAGETMSTVIVDPKRALDAYAVVYETAVFHPGYKLNRGYNRAVVIDIPALHPDYLPLRTKEILYYILRELKLQHLSSQSQEQDSDDSDGEEEDHESWQVYFKKLHIYREKKRVFNRIRENIKNMKIFEKLDKIQSDMQTRPPKGQQKKSMDRQDPNVHVLLKQLLWSAAVASASQHEQLKNKEVPKLSASDDTIKAIAKKLKQHMEADEQGGGEEEGGEEKEGGAEKMDKLKLELKEQLKIKGLVDDIKHQLRRECPLFILEVDDTVELPRWEETRNALTLLGCSADVLIVTTVKDIKQAKECCYPQREPTDYSLAGLYYDIVLEITSKQKDEDNYNPQIFRNILEECEPHEDCMKIFTHTIYINPRRSSEELNKLYRNLQASPKSFDIVPRKMLKFSYSDMPKEYKSCLLYLAIFPPGYKIRRSTLIERWVTEGLIFRDDWPSSMHRANRCFDELINRWLIHPADIGARGMVKTCMVNDPVHGFITKIASKQHIVETRLSHHLARHFSVYNDLQLRSSDKITGFFERLSTSSRVSLLKVLDLEVKGPENLEAVELRMRSSRSSNMGTLKSAFSNSNQISKLILRLEQGNEQIQNPIVFNEDDFPQLKLLTVDCSAIMDIVFNSGSASKLERIVCSSLASFSDLNNLPKLKELQLNGDLVPNT >Dexi5B01G0031870.1:cds pep primary_assembly:Fonio_CM05836:5B:32541395:32542001:1 gene:Dexi5B01G0031870 transcript:Dexi5B01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLYRKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Dexi5A01G0023590.1:cds pep primary_assembly:Fonio_CM05836:5A:27545410:27553144:1 gene:Dexi5A01G0023590 transcript:Dexi5A01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLEATRAAHEDLERLERLAVRELQRDPANSRDRLFQSHRVRHMLDLVVSTSDKLVEIYEDKDNARKDEINTHLTAPSQNHLFAKYYERLKEIRDYHRRNPSARFVSTTDDYEELLKEEPVIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGSFSQTEKISQSHKTTRQYKEYLEHILEYLTSFLYRTEPLQDIEKIFAKLESEFEERWANGEVPGWVNKSTENPEEPEIDLDYYSTVEELVELGPEKLKQALAARGLKSGGTGQQRAERLFLLKHTPLEQLDKKHFAKVPHTKDVSNAASNGNNFKDDLKKEIALMEVKMRRLCELLDEEEVQADTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKGLWEKIQARQGLNKWRPDLEEEYEDQEGNIYNKKTYADLQRQGLI >Dexi6B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:6B:14748036:14748314:1 gene:Dexi6B01G0009720 transcript:Dexi6B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGQFVYCGRRTTPRFPSATDTAPRCPSATCCRSVGSPAASPLATSSTTSAVSDGCTTVTCCSTGSSRAPAATVPGNTSGDAHRSPGATDP >DexiUA01G0022330.1:cds pep primary_assembly:Fonio_CM05836:UA:45475370:45475883:1 gene:DexiUA01G0022330 transcript:DexiUA01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEKAAADEGDEGTIERSHSINLNTVPPVAVGARSTQENVGTHGVGVSGAKDSITGKSEQSSDADQKKLPKCERVDYESEVEGCENPSDKAALVTVVGNEGHADFRDDERAQVLSIVKKDEPADEVDDPITPVAVAVAVAAYREEKVFMV >Dexi1A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:1A:1061954:1065260:1 gene:Dexi1A01G0001560 transcript:Dexi1A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAAGAAGAAGAPLLLHGDLDLTIHEARGLPNMDLLSTFLRSLCLCPPALKKTTTLPSRSLPNNDDDTSHHHHHHRRRRKHQPHGHRMLPTSDAYVKVMATGGSHHQSTLVRTFVFRNSEAPKWEVTFLLHLAHHAARLEFHVKDADPFGSDLIGVASLSAAAILATAGKPERSEWWLELVRPDGRRGGPPKPAAGSSAAIRISARFIPAGSTPSPWRLPGGGIPAYFPARRGCDVRLYQDADVAAAGGEDAGVPGVFQPGRCWEDMCLAVLGAQHLVYVAGWSVDTRVRLLRQAMSPEMEAKAAEVWELGGVKVENMSLGELLKYKSQEGVRVLLLVWDDKTSHDTFFVKTRGVMRTHDEDTKRFFRDSSVICMLSPRYPSNKLGIVVGTLYTHHQKCVLVDTPASETTRRVTAFLGGLDLCAGRYDTPSHTLFHGLHTVFHGDVYNPTFPGDDAANKGPRQPWHDMHCRLDGPAAYDVLENFEQRWRKTKKLHMREVFSFRNKNKKTRWKEDDLLKLDRISWILSPTKPPPLSDTAAGGNEDDDEQVALQVLPEHDPERWHAQIFRSVDGGSLKRFPRPWDKEQMMRHHLMCDKNLTVEQSIHTAYVSAIRSAERFVYVENQYFIGSSYAWPSYGHPGAANLVPMEIALKVAAKIRAGEAFAAYVVIPMWPEGDPRSAPAQEILFWQYQTMEMMYKIVAAAINDGAHPQQFLNFYCLGNRETPPEAVAAGDGDDDMTSAPAAARRNGRFMVYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPEHHLAGGKVFGYRMSLWEEHLGRETMARWPEVVRRPELRECVGLVNGVARENWERYTAAEGRGGAMKGHLMRYPVVVGVDGSVGPLQGYETFPDVGGRVLGSPNNLPDYLTM >Dexi3A01G0033400.1:cds pep primary_assembly:Fonio_CM05836:3A:38187300:38194691:-1 gene:Dexi3A01G0033400 transcript:Dexi3A01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGISLVLSGDHFVVAPSAAAVAAAAAEIHHSGEGKGVSVDELKEALHRRGPDNLGCLRRRLLANGTVLGSDSCNGGGERKANAGDGSVAELLFIGATLHLRGAEPVAQPLVSPSGSVLVYNEIKPVLAVQVVTNQFRRYFPQSKMDSNMIWFGRDAFGRRSLLVHWSTSEDSRFILSSVAPPSFARNNSDATVNVFVSDTDMNGCTKASYWEELPCGIYSIHMKDIRKDGTCVKEGWNVEVNTHEWMDSSLNKLIEWERKLTVPTIEDNSIDRGNHLLSQNFISSGAEENSKNGFMKIDLLSDSANCITQSAHKVLVALRESVMLRTKMNTLFQGGINKPGDEEIAPIAVLFSGGLDSMILAALLNQCIDSKWTIDLLNVSFDGQLAPDRISAIAGLRELQRIYPLRRWRLVEIDTALTDLKGESEHVMALIHPSDTYMDLNIGIALWLAAGGDGWVDGSACLMPDGSRHKYKSSSRVLIVGSGADEQCAGYGRHRSKYRLGGWNALDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWEVAKLDEPVGKGDKKILREQSPSPFHIEGCKAAGSTRSCSSAKASNPGAYSNLIFWLWSFGSRIARESNRKNFGSNRAANQASAGSVQIHKHMQ >Dexi1A01G0026620.1:cds pep primary_assembly:Fonio_CM05836:1A:32463047:32463771:1 gene:Dexi1A01G0026620 transcript:Dexi1A01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMAANQKLLQHPSIHPSTQPSRSRAPRLPTPTQVATMAVMVARQGRELQRYSQSTGGRIVVGCIPYRVRRDDGELEVLVITSQKGHGMMFPKGGWEEDESMDEAARREALEEAGVLGDTEQVLGLWHYKSRRYVDQTYEGIMFPLRVADELHQWPEMASRKRTWATVAQVMDGCPHWWMREALETLAARHGKLSSAL >Dexi7A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:7A:2756589:2759145:-1 gene:Dexi7A01G0001060 transcript:Dexi7A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVAPVVDAEYMAEIERARRDLRALIANKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRFPEEYNHGANKGIKIAIDLVEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKVGASHLREVFYRMGLSDKDIVALSGGHTLGRARPERSGFDGAWTKDPLKFDNSYFVELLKGDSEGLLKLPTDKVLVEDPEFRRYVELYAKDEEAFFRDYAESHKKLSELGFTPPRSALSRKSGNQQKSLLVQAAAGVAVAAAVVAWALLCESNKKLG >Dexi8A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:8A:28771072:28771816:1 gene:Dexi8A01G0017050 transcript:Dexi8A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTVTEDLAVADVAPPQPEEAGLSEAPGSKDPHDLWVNPKVELAQAKRFATGVLEHYNKRKKIKFELLDAKPVTSIPEPRCCYTHINFTARSSKEDSQEQAFFAEIYHCPKRWHPNGFIVTCCEPLSPDSAGDHIFFLIN >Dexi5B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:5B:5355581:5356209:-1 gene:Dexi5B01G0007920 transcript:Dexi5B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFISPAMAVWSSLILILAAAAPASVQGEGDCSASNRCGNHTILEPFVIVPEDATEPNCGEMGFQVNCQNNTPYLGYYHQSYESHAHPLQILHIFYGNSSLLRLPELYKFPSTNTSSKIALPFSISPVNKNLILYSCGGEPPPVTTAEGLVEKKTCGNSTFVARVGGSYGDPDNSGRRYFLEGCLSDLLIC >Dexi9A01G0046850.1:cds pep primary_assembly:Fonio_CM05836:9A:50081685:50084291:-1 gene:Dexi9A01G0046850 transcript:Dexi9A01G0046850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAATAAAVSLHPLLSRPACVLRFSRRLPPLLLRATSTSSTSSAPDFNITFAEPAPTKKASSPSPSAQPLVPWIVRGEDGKPRLSTTPPPEVLQAIALAEAEAKKAAKKESLKGQKGAVAAAAAVASSAGVKAKERNAGPAAPPKFSKAARRFYNENIKESEPQRLAKICSDN >Dexi7A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:7A:22216350:22220583:-1 gene:Dexi7A01G0011870 transcript:Dexi7A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAAAVVTNGSPGAVPAVVSPGAVGVGVGVAQPLPTTSLYVGDLEGSVSDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPLDAARALEVLNFAQLNSKPIRVMYSNRDPSSRRSGSANIFIKVAMDEAGQSKGFGFVQYEKEEAAQAAIKSLNGMLINDKPVYVGPFLRKQERDHSFDKAKFNNVFVKNLSESTTKEDLLKIFGEYGNITSAVVMIGMDGKSRCFGFINFENPDAAAHAVQELNGKKINDKEWYVGRAQKKSERDMELKRRFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRDLFSNFGKITSCKVMRDQNGLSKGSGFVAFSTREEASQALTEMNSKMISGKPLYVAFAQRKEERKAMLQAQFSQMRPPVPMTPTMAPRLPMYPPMAPQQLFYGQAPPAMMPPQPGFGFQQQLVPGMRPGAPHMPSYFVPVVQQGQQGPRPGIRRGAGAQGQQPVQPFQQQMLPRGRMFRYPSGRNMPEAPAMPGVAGGMIQPYDMGGFPVRDAALSPAAQIGTLTSALANAPPEMQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLAM >Dexi9B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:9B:234171:235355:-1 gene:Dexi9B01G0000350 transcript:Dexi9B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEPNKKRADANDKSSSRFRRVCVFCGSSPGKKAAYQLAAVQLGHQLVDRGIDLVYGGGSVGLMGLVSRAVHDAGGHVLGIVPEAVLPRELIGDTPGELKDVPGMHQRKAEMARHSDAFIAMPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGYYDSLLCFVDRAVEEGFVTPAARSIVVAAATPHQLLAELEDYVPVHDAHLPKLTWDWDMSSCCYGGFSR >Dexi2B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:2B:1990853:1991580:1 gene:Dexi2B01G0002390 transcript:Dexi2B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSYAYSSIDANDDDDDDVTTVRCASPPEVLTVSTVASATVGVGHHMFKVEGYSRLKCTHGVTVGSYLNSGEFEAGGHAWRILCYLNGARAEDAGFVSFFLVRVDDEDAAGSVAIAEVELELLHHAGEVVRWPSSRVGRFPARRFRVGSGWGWPRFIAVEELERSSWFLKDDGFAVRCTITVVEEELVVEEEDVEEEDLERMGMVCACEDDSS >Dexi9A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:9A:13761975:13765328:1 gene:Dexi9A01G0018720 transcript:Dexi9A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding NICCCVQAEKKARICQLKLEQGAAKVAEELQKYDPRSDPDATGDPYKTLFVAGLNYETPEHRIKKVFEAYGPIKRIRLMTDKETGKPRRYAFVEFAHTRDMKNAYKHADGIKLDNKRLLVDVERGRTVPNWRPRRLGGGIGSSRISGEGADQKRAAREQQLVGRHRSEEPRRGDHHADRNLEKSRKRVRERDQDERTGEHALDQMRDREPRGERSSHRDHGKTLDKDQERGRERDRGRDHDRSRHDREKHRDHGRRYDRGERAQGHSHNRHRDRGHLHEWGAYSDDEPRHERNMAGSGQDRGYNEQRKSHDAYGYGLGPETKYSTQHKHGYRQEDLYSKMAEAGPISTEPVALEEGEA >Dexi3A01G0028200.1:cds pep primary_assembly:Fonio_CM05836:3A:28688959:28693906:-1 gene:Dexi3A01G0028200 transcript:Dexi3A01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSRVLVVGGTGFIGRRIVRESLAQGHPTLVLMRPEIGLDVDKLQMLLSLKKQGARLVEASLDDHAGLVAAVAQADVVISAMSGKHSNNLLLQHKLVEAIKEAGNVKRFIPSEFGMDPSRMVHALEPGRITFVEKMDLRRAIEEANIPHTYISANCFAAKFCPNLCQLRTLLPPKEKVHVYGDGNVKAIFVDEDDVATYTIKSIDDPRTLNKTIYLRPQENILSQNDVIEKWEKLSGKVLEKIHITADEFLASMKGTDLINQVGVTHFYHIFYEGCLTNFEIGEDEAEATMLYPEVQYTCMDEYLKIYV >Dexi9A01G0027610.1:cds pep primary_assembly:Fonio_CM05836:9A:32311137:32312605:1 gene:Dexi9A01G0027610 transcript:Dexi9A01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFRRALNDPMCLEEAVVQEGIERCPFLRNINEPTSFSFSSVNFPVPARGAKGPIFEDGPNFDMAFRVFHGRDGVVPLSEGSFPQIEKPLPMPNQEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNLNKKNPNELPQNKGQSNNHEALSNEWLENGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLKCPPAVVAARAAISRTAFAKGLRPQPLPRKVLVIALLGMAANVPLGIWREHTQKFSVQWFAAVHAAVPFIGMLRKSVLMPKSAMALTIAASILGQTIGSRAERIRLKRVAAAKSAREGHDTADCIKTPMSLKTGKSNVVQFWDPLALRVESTVGTGSPAVLVPAVGGFN >Dexi5B01G0026250.1:cds pep primary_assembly:Fonio_CM05836:5B:28035691:28037120:-1 gene:Dexi5B01G0026250 transcript:Dexi5B01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSADSSGGDRYRSHLAGDGEKNTVWRHGAPPTYDVVNALFEAERTQEWPAGSLEEVVQNAIKTWEMELSHKARLSDFKSVSPGRFSLSVNGGRARGGEETLAMGSYNALLDTALLASTGAYDAAAETFESSHDLFRSAFPRGFAWEVLKVYSGPPVIAFKFRHWGHKEGPYKGHAATGEKVEFHGVAVLKVDEQLRAEDVEVYYDPGELLSGLLKGPKVASSEEDSSEVALAERLSDAATVSASGADPPTQTCPFLSSGKPHQ >Dexi3B01G0025890.1:cds pep primary_assembly:Fonio_CM05836:3B:20822099:20823940:1 gene:Dexi3B01G0025890 transcript:Dexi3B01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILARLRGAPPHESTRASRAGRVHTHPTPKQKAPQSQRRRRRRSSLSSAVAAAASSSPTHRSLPRRYLSTGGGAMSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDV >Dexi8A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:8A:2727912:2728668:-1 gene:Dexi8A01G0003600 transcript:Dexi8A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEEHPNLSDEELYRYANLVTSAVIAKVQTIDWTVELLKTKTMRAAMRANWYGLLGKKIKDTFGHIGGPALGGLLGLKKPNNHGVPYSLTEEFTSVYRMHPLIPSTLKLRDPTGQPDANNSPPYLEE >Dexi3B01G0032680.1:cds pep primary_assembly:Fonio_CM05836:3B:35113310:35113915:-1 gene:Dexi3B01G0032680 transcript:Dexi3B01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNLLSNLMSLAELHIDDCGESLTAEGLWPLITQEVYSCPKFFDYCPDPTAEVQGQDQKQLRGSRSKLRELVTDAGTGALFGVLCHASLTELEFHGANSFTAEQEEALQRLTSLRELRFHKCFKLKCLPASLHKLTGLKRLQIYNCHLITSLPKGGLPVSLQELYVSSERDIKDLQKECRNYIRDHPQIMLLL >Dexi4A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:4A:1529212:1543428:-1 gene:Dexi4A01G0002230 transcript:Dexi4A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRPRPPPSPQGLQLVVPQDRPRQNLQRAPSPVVTEDLQPPPPPSEKCVAVVAESTSALTLQEPDASASGVLLDYTSSEESATDSDDDSPPSDSSLGSKILFRRTPKWYQVYFIRTDRSGYFRMYPDLGGPFQSLDQADCAISSHLAKLQLPSVFKEEDGFPSVERLIHEHNYYPDGTPKRGPNSRSKTHPNEEQCHLVEALLDQYNEYNNLFEDLAHDLESLLRPGWIIEKNRCYYHFNFTTKAGKLFFAEVSKKFGERAWKVNCCCIIDSNENGHCYGCRNNGSPDMKHPNNTDAHTAGRLDVLLPFGEEEPFSDDVSEAARLRVLFKDHNPDAINRIYLRAMELRGQTVQRIFAYPPPFAIDETRTRSLFPRLPPVRASPSPSSSPLPPVAAAQASAPCRASSRRCRDLARAPSPRPAALASAPLALAPHQRLAAVDLALLEACEIEGRAQGPPSPPPNLQDADPSPKTLTRLPSITVSPSSSPPPTHVGPGSLAVPVQGTATVDAHEFESLVRHEWIYEDEMWFYHLNFTTKTKEANSTMSSSNLFFAGVSHMQGEDAWKVNCCCIINSKDDGHCYGCRNNGSPDMQHPNDTNAYIGGHLDGYLPFGDDELSGSDSEDV >Dexi3A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:3A:12444043:12446629:1 gene:Dexi3A01G0016540 transcript:Dexi3A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICAPSSDSSASSAASGGRAWIVHGLALGAAAAAAAAAAYLYRRPAGFRSRAVGIIPARFASSRFEGKPLAHILGKPMIQRTWERVMLASSLDHVVVATDDERIADCCRGFGADVIMTSESCKNGSERCCEALKKLGKCYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTSLKPEDAFDTNRVKCVVDNQGYAIYFSRGLIPSNKSGKVNPNYPYLLHLGISGFDSKFLMIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDGHGVDAPEDVEKIEELMRARIIQ >Dexi5A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:5A:24933473:24934051:1 gene:Dexi5A01G0021040 transcript:Dexi5A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDDIIGELKLFYFAGMETTAVLLKWTMVVLSMHPEWQERAREEVLRVFGKNQPDYECINQLKTTELGGVKYPPGVVFSFPIVCIHHNPEVWGEDVDEFRPERFCQRVSPRHPGTRLHSFHSAGGHGYVWVRTLLYWRPR >Dexi8A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:8A:24902575:24906739:-1 gene:Dexi8A01G0014400 transcript:Dexi8A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEAATEGARASSGGGEGGADGSPGGGDLDRLSALPDSLLHTIMSYLKARQAVQTCLLSTRWRHLWHPMPCLDVDHDEFRAAASAPSNHHPPAANPDYSDSDLDSYDEDSDDNNDDSSVNDREWDDFEDFAENLMHRCNIAQLETLRLHVNRSRAPRFADKLAGGWLRRAMKYCTPDPPRQREGMSHGSWLMKRMYLCNVALDNRFSNHLLSVCLLLEDLELDDCSCEIRSISSHSLKTLVLKNCRFRILSEITSPTLKRLVISGGTNSDDYVLGNKQPQQQRRRAATARASVPAFGGWEGGGAAPDYSLDFTKIRAARMQQRQRKALSWSSFVGNATANGGAAAEDEDKHQWSSAASDADDERDRRRRHRPRHRRVRSDVADRDDREPIQPPPKQAP >Dexi7B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:7B:23240879:23242763:1 gene:Dexi7B01G0017240 transcript:Dexi7B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVAISLSFRFFLTPRPFRGNARWPRPIRATASSDMSGLAGGERKVGALERRVGDLRALVASVLPAVASIRRNIGPNFVTGFCLGIAVLAAVARRVIITSQDHDNRGSVADLVRRGQLKSGLRGTAKLRTYDDPFSNPLVKIDEDTSTAQMIGKEYRLAPVRLTKEQQEMHQKRRSHAYQWKRPTVFLREGDPLPPDVDPDTVRWIPANHPFAPASSEVDEETAKQNVYQKDGVPSRVKAEHEALQAKLEASK >Dexi9B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:9B:104826:105987:1 gene:Dexi9B01G0000080 transcript:Dexi9B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTADDEHSIRSIGSLELERDARLEKLMSRRTIHRNLIDLDVHIPAVMLTAGNDPPGSAPSSLLGHHHSDYFQPEMQMRRHESFTAGAVAAGRDAAAGGGGSDKCSAANSSSSSSSAASDHQHQGGPTADNTNNKAEEEEAPAAARKWGGGMAAAPVDVELISDSSDDDMSLPGDVLMNEDDEEDSFEVESITQQVAASSAAVPPPAAGRPTTVEKREREGTREHHMILAPAAPPSGAGLVL >DexiUA01G0016200.1:cds pep primary_assembly:Fonio_CM05836:UA:34525372:34527499:-1 gene:DexiUA01G0016200 transcript:DexiUA01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLGTAITPAEAAAPFAPRGNNRRPRHLVAASRSQAAPARRFVLRACASPIATADAPDETVTVSASTRPAPLMHSVEQVKSTLRPHAPQAAQLNTRAYYFQEPSVEPALETKLSKLACPICYCPLVGASDQSGDTSSLECSSCKKVYPNKQDYWDLTVAVGSTEYSESMPAITELFRTLLYLQFETAIAYLKPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYLRQESISDEYDSDH >Dexi7A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:7A:14976790:14980599:1 gene:Dexi7A01G0004310 transcript:Dexi7A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGRGGGSSAAVPAEIAGHVTCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQKNVLVTVGDDDQSSSQSSAICLKVFDLDKVQEEGSSTTSPFCVQILRVFTDQFPQAKITSFMVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEAASDGSTSLPITGLGFRIEGQAHQLFAVTPSSVSLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIDDQRTQKGTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNDFIKDEDGIGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTRRGSNSMHLLTVPSPMDFVNIFVHSPQYLMEFLENYIKAVKDSPAQTEIHDTLLELYISNDLSFPSISQENGFENHSIKETKGKETANGYKSGTRDKANLGKEGTKIAKDIADRRNKGLALLKSAWTPEMEDPLYDVDLALILCNTHAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDCIARKLEQESKLIEDDRKSIDKYQE >Dexi5A01G0026910.1:cds pep primary_assembly:Fonio_CM05836:5A:30487452:30488572:1 gene:Dexi5A01G0026910 transcript:Dexi5A01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEPLVLAHVIQDVLDSFTPTVPLRITYSNRVVLAGAELKPSAIVNKPRVDVGGTDLRVFYTLVSKDGIGGSRCPKPKQPLAERVFALDGDRYPWNNWSQLCQECCLPCWVREVAPRGMGSGMPAPADRPAQYAHAQGRAR >Dexi8A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:8A:22838923:22839261:-1 gene:Dexi8A01G0012930 transcript:Dexi8A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFIPAVLMGTVAAARSRRKAEKAGTVATKGLGAARRVASPESKMQWPGVGGGAVQSTRRRGEVAWRRRRKSSVTLRHALGSPRRLDPVLAEIPMAGIRWSRERNAGSAG >Dexi1B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:1B:6688067:6689546:1 gene:Dexi1B01G0008030 transcript:Dexi1B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAGEERSTERRPARLRVKRVSESTRAFGLGPHFTSRRAALPPRGPCAPPTTALLLLVEVARFSAARRRIQRRRRRAPASPRPSAPARYPSECSAGSGTEESRPNLPMSRRAGGTQLRPLSSHPARGASARA >Dexi5B01G0036190.1:cds pep primary_assembly:Fonio_CM05836:5B:35998335:35998946:1 gene:Dexi5B01G0036190 transcript:Dexi5B01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYALCVTLLVWLCLGKPLCRFIAAVARVIESIALRRMHDAWTPSQSYVRLVCGSRSARGFKRRCHRHPRLGSTCADGLVANIKITPASPLPRT >Dexi4A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:4A:24008031:24008322:1 gene:Dexi4A01G0020330 transcript:Dexi4A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLAAADRYVVDKLICEEKVCGDISVGTAAARLVLAEQHGCSKLKAMCMEFMVATPANLRARYT >Dexi4B01G0022160.1:cds pep primary_assembly:Fonio_CM05836:4B:23861115:23862557:1 gene:Dexi4B01G0022160 transcript:Dexi4B01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNEKVPQSTTPMSIPSSLEAVTGIPRSTKELPKLNSNVKQSMMASKLPNAGPVPQNLVTSAPPTDYLETASSATSVWLSQADGLLHQGFPMSNFNQQQVFKDAPPETDIQGADPSNNALFGINNDSQLGFPMGADGFLSNGIDASKYPNHISTDIDGNYRIPKDGQQEISSSMVSQSFGASDRAFNSIDSAMNDGGFLNRTSWPPAAPLKRMRTFTKVYKRGAVGRSIDISQYSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNSILPNQACSSSDGGNAWRARCDQNSGNPSTGSYDQFE >Dexi4B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:4B:21224683:21230215:-1 gene:Dexi4B01G0018930 transcript:Dexi4B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGGGGGGGGGAGLGIDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASYEDHHHHDSSPEYPMSPRESQREQGPAAGEVAGPGPCAACGGVTTKKCSRCKRVRYWPYGSCYPTMLWGESAPSDFTLLFSSQECQTKHWQSGHKFKCKPMNADKLSSGIEANSKKPSGFGRISLVPTTKKLKKGQLLFPYDEFLKLYNWKEFDFLPCGLMNCGNSCFVNVVLQCLSCTRPLVAYLLGKDHSRECSTRHEDWCFLCELQCHIQRASESIHPFSPMNILSHLPNIGGNLGYGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTVIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIHGDAESLEKCLDQFTAVEWLDGDNKYKCDGISFGWMLQSGRFGKLNKRVTFPMELDLTPYMSSTDGNDLYDLYAVVVHLDMLNASFFGHYICYIKGSRGKWYKIDDCKVMVVDEEEVHAQGAYMLLYSRRTARPRPLAVVEEPVKQQQQCKVLPFSGQNHMIPEDATSCESLLESSEDLLQQDSESNNESLHKMEIKDQESDLNLHISTEADKFSSNEVDLQGLPVSHVLEDTRVSYSPLEASTALISVPLGPPVEGGPNTTSSVDFVNSTREASPVHSFAEPCEESASCIDSVDYMDIDAEAGTEVQRCDERSPALDGLTGRTDNKTPAPVLANGMAGKPKPTFARGFLDKPSRKRSSFAEEDYIVGSPRKLNGHCNEHLSGPSST >Dexi1A01G0025830.1:cds pep primary_assembly:Fonio_CM05836:1A:31942194:31946992:1 gene:Dexi1A01G0025830 transcript:Dexi1A01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGTKSKPQLPPLAPAGKKGSKAAPPPKAAKRVPKKQMLLESSDDSDTEQPQQLQEEDSDIDVPSDSDAEELSGSDAEAEGGSSSGEEVEEDEDEGDDESDDDSLADDFLADSDEGSEGGDSGLDSDDSDDLEAKSRAIDERQQKAEDDAEEELKTNIRSESDEFKLPTAEELEEEAHLPPNLPNLKRRISEIVRVLSNFNKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLIETLIEMFPAVELVEVLEAFEKRPPECLRTNTLKVGLVVYDSTISAGATTEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKDIEDIRNCAFVQKQLLLAAIDLVDANSKTGGYVVYSTCSIMIPELKKLSNTIPVTSESTKASEGAAEMADSSEDDEDKKTVNDEREKGVPDKEEKAVQMKNRKETKKTNKGANITKESKHVPDRPAKHIKNHKKDALETDGPESTEPYVDGKEVHREETKQTGQKKKSTSDRTKKFGPKSTLGLKEKKPVSDTKRKRKWQFKLRRDWEAEKKSDKRRKV >Dexi9A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:9A:12981077:12981760:1 gene:Dexi9A01G0017890 transcript:Dexi9A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLSPAAGAAFAAVSSCSSSSSSSRLRPVLNAGSHGSGSVGYSLASIVVTADMGGLRFGCSCTHSSPIWMHLSTSAAFDVSAIDWSMNSKLSPSFHSLHACRNGDEFVEVELGVVEPSVLLPADDLEHQHAEAEHVGFRREDPVHGVFRGHVPTATNQSKA >Dexi1A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:1A:11361933:11363617:-1 gene:Dexi1A01G0011950 transcript:Dexi1A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSTLHLVCMMICIQVLGAVAGCKEPKVPPAIFVFGDGMLDVGNNNYLNYSEDLGSPHLANHSYYGIDFPNSEPTGRFSNGYNIADFIAKAMGLEISPAAYLSLTGPINMDGFTGVNYASECAKILNINDEMDRCAANTIPLLTQVAAFTATRAQMELQLSSRELKKLLSKSLFLIGIGTCDLFRASLLQALGISTKFDPSADVQYVASSLAAAIRALHDAGARKFAVINAPPIGCAPGGRMPWLVRGRRVHVPNGRCDETKNKLVVEFNDGIRHLMANLSSELDGLRYSIADFYDFANATFVNPSAAGFVDIASECCTNYLCDSPPCQNRSQYWFWDNLYPTEQAAKLAAAAFYDGPAQFTVPFSFKKLVQKK >DexiUA01G0004920.1:cds pep primary_assembly:Fonio_CM05836:UA:9073925:9075343:1 gene:DexiUA01G0004920 transcript:DexiUA01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEANDLACATSSASSKLIHGGLRYLEHYEFRLVSEALAEREVLLKMAPHLAIPMRFRLPHRPHLRPAWMIRIGLFMYDHLGKRTSLPGSTGLRFGSESVLKPEIVRGFEYSDCWVDDARLVLANAQMVVKKGGEVKTRTRATAARRENGLWIVEAEDVDTGEKFSWKARGLVNATGPWVKQFFDEGMHLPSPYGIRLIKGSHIVVPRVHTQKQAYILQNEDKRIVFVIPWMDEFSIIGTTDVEYKGDPKNVEIDESEVSYLLKVYNAHFKKQLARDDVVWTYSGVRPLCDDESDSPQAITRDYTLDIHDVDGQAPLLSVFGGKLTTYRKLAEHALEKLVPYYKGIGPAWTKGAVLPGGDIGDNRDDYAAKLRRRYPFITEGMARHYARTYGSNTEWILGEAKTLADLGEHFGHELYEAELRYLVENEWVRRLDDAIWRRTKEGMWLDAEQQSRVAQWLAQNAGKRELSLAS >Dexi2A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:2A:29020477:29024965:-1 gene:Dexi2A01G0017190 transcript:Dexi2A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVVAEADLADPNPDVEGLFQHYDRLYFQGALADAGFVVKWGSPQASRLGFSRSRPPPTCVCGFSGCFRAGSPVVLFQAAALSAVRLCASRGLRPDGGYNITTRHDFSPEEPRSLKGSLWKCESCGGTLLRATNQGPPSDACCIENVDSGASCGNMLCHWHNVNLVEVHFLGLQTRVLHLMPAALRTLTVVPPVGTCFVTGTSAQLLLTYPLEMSKSEGAIQVYSSSALQVRTTEPNAEDKHLSLVSGSNGKPPGSSSSKKAGKRRRPEVVMETSIVLAESRRKAKEKQGIAAEDDLLSLVSGTSAKSPRTRNQSKLFKAAKQHKSEDIQKPSGLPASPQGKQNRKHGLVATEKEKFLSAEGYNDAPSSGSDASNNAGKRHKRADVQKPSVEPSAPLGTPKLHHALVATEKDKLSSAKGCNDAKSPGRNTSKKAGEQHEPQITITACSQPAHSQERLKQDTVAPEKKELPPAMCCSNEKLMDKRSSKKAHRQHESKNQRKGKLKTKPTREKEYAVMSLMLDYYESDRSSGSTEPLVNKRTERIRRERERARARERARARARIQTYSRSKKINSAPFVCSRTDASTSSHRIKLSPCRDELMEPYWPPAPYSDAAVRTTANQVVVTPATGGDHSQPSAPCLDIVPLKPADPPSLTPPDPSTTPDIIDISDDD >Dexi5A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:5A:4406976:4407958:-1 gene:Dexi5A01G0005920 transcript:Dexi5A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQNLQTKKDEKPTTTTTTSLTMKLLAGKDVVDFLLSILALPVATAVKLLGEDAMVGCVGSLYASVDKLDASCLLPGVSKAVLLRPTVASPAVYGSGRWSIAPPQQHQQPKSFFKCSYQLDRCHDYVTDVRGATCPICHHPMSHELRYVEPVAELDDVLVDKKVEEEDETAAAAAAAAAKGFVQGQGVVMYTVTDDLAVSPITFAPTTDDLAALQEMTVQLGHAEGLEILKASLQSKTVLTDVFLAKKDQLLVW >Dexi9A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:9A:13320593:13321329:-1 gene:Dexi9A01G0018280 transcript:Dexi9A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQMELKTVCDSLGIRLIAYSVKSIRHVEENLGALGWRLSPAEISELESAAMAAPKKMIQNVFQTA >Dexi5A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:5A:6234741:6239286:-1 gene:Dexi5A01G0008370 transcript:Dexi5A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEHNQENEESMDVSEPEKVPDIFIYREDTVSLKSKEDARGLVLEVAGEYDSEGSITDDDDTDTEEHEHKAARGAENSVTDGGNATNGSEVDSQSSLPDNKVRVLWIDGSEKTEDIDDVVVVDRSFLHGDLVASASEPTGQMGLVVDVNLVVDLQGANGDMIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVTVLFDDGSVCKVNRADPMRLKSVSSPIHPDTACPFYPGQRVKAVSSSVFKTSRWLTGLWKASRLEGTVTKVESVAVIVYWIASAHFADQQAPSEEQNPKDLTLLSCFSYSNWQLTDWCRPYQYTSCADDGVTENSETKELNSVEHTGNNCTCSEISALLSHIPESQADCHTEQDERTDAYANHRQTDVDSSADVLSMSDGDNSCIAKESESGTSVSAIPKESSQDYRKKFRKVFLKKDKRTKKRDDSFERALLISNTCTKVDVIWQDGTKECGINSTLLIPIHSPNEHEFFPEQYVVDKVTNDVDDSSEPKRMGLVRSVNAKDRTASVSWFKPLLHPEDPKEIECNEIVSVYELDGHPDYDYCYGDVVVRLPSVSPLIESTSSEEKMQLDKKVDSSEGLDASNVALPDASGDEQVSQKESCPQFTSLSWAGNIVGFEDGEIAVIWGDGSTSKVGPHEIYVVGREDDGASLEDGTVSDGASWETVDDNEMDLLDDSAKDDSQNIHENATERENGSFSSQDGSSVATGPLSVAFGFMTRLASDLFARGRRHLDGSNSDAMDEVESHQSNDVSEMGDDIDKENHVETVEHTTDTTNDSSAEKSVDMDMADNTAGSECFKHFDVQQCPPDHHYLENTAQGTGGRKWVKKVQQEWGILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNAVPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFRKRGHYILKACEAYLQGNVVGTLTEDACTTDGSKEHSSSVGFKLALTKILPRLITALKETGADCDQYEHLGKTETVRES >Dexi7B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:7B:25272248:25272643:-1 gene:Dexi7B01G0019810 transcript:Dexi7B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGRNGHGRRRGRGAAADAAGFIVTGFAAVFTAVSFLLTVIVGAGDRGEEVLSPGSQWQVVLLAFAAMSAGLLLIMHGMRARDHARHPIFAGRVADAAAGVLWNGDGPERLLPVLIVLVCSVLEAWFDFF >Dexi4A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:4A:1577725:1578459:1 gene:Dexi4A01G0002310 transcript:Dexi4A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSSLPCLVLDYGGGEQRAIMFSVSDGVHRPCAADELHGKRAWPTSHGWVLTWDPATSAMSLWNPRSPPAVALPPPVESVCALSGNPTIAGGCTVVLVEPPQSTVLWYCHAGAEGSGSGSAWSKHEYDLGGSSIPVPGGPCWCKRVISRLASCRGRFYYSRSAIEYGVIDFPSPAAASSPAFSTVPMRKVPVRHPAGQFMAIASMYPVEIDESPRSAPAAS >Dexi4A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:4A:3895280:3898110:1 gene:Dexi4A01G0005360 transcript:Dexi4A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSSVKFAGGTLTVCGRTVLSGVPAGVVSSSAAAEGPVDGVFIGADFAKPASRHVISLGALRLVTSPLLILRTRDFHFIYLFALIFFDWGLWWRRGMRFMACFRSKLWWMSQWMGDKGGDVPHETQFLLVESTGGEDDVAAAAYVVFLPLVDGAFRASLQGGGAGAGDDELELCVESGDADTCAAYFDRALFVGAAADPFAAIAGAVAAAKSALKTFRLRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRTLVAGGAPPKFVVIDDGWQSVETDHPISDEQSGEAKHPRPLPRLTGIKENAKFQNSGDPATGVETVVRAAKEEYGLKYVYVWHAITGYWGGVRPGEVGTEHYRSSLKFPKISPGVAENDPGMTTDWITAQGVGLMDPRAVYRFYDEQHAYLAAAGVDGVKVDEQCILETLGDGHGGRAVITRQYHQALEASVAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFFPREPASHTTHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGEYHASARAISGGPVYVSDAPGNHDFELLKKIVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVVGVYNCQGAAWSSVEKKTVFHHHTGAGGALTCGVKGSDVHLISEAATDAEWNGDCAVYRHGSGDLVVLLAGAALPVSLKVLEQDILTVSPIKVTTQHNASSSNLISISDTKDLAPGFRFAPMGLVDMFNGGAAVEGLTYHLLGGAKLAGDDGSVPSSEAVGLVCMDVRGCGRFGGYSSVKPRRCALGSVEMEFAYDSSSGLVTLQLEKMPKESVHKIVVEV >Dexi9A01G0025030.1:cds pep primary_assembly:Fonio_CM05836:9A:22150182:22151549:1 gene:Dexi9A01G0025030 transcript:Dexi9A01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDRSTGRLSRQMGLLFVQVLPRGDGDPGSPAAAVFRCQRCRLDAASTSAILSKDFQGQYGRAYLFDRVYVLPLLLLPDQSCSFLDHRFG >Dexi8A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:8A:1841037:1841345:1 gene:Dexi8A01G0002810 transcript:Dexi8A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGEVRTMGVLGGGGGGAGGRVGRRRLEVRGHRAEPALGGHCGLLLVLLPRQGCWGDDELPEEEACRRERAETDPTRGG >Dexi9B01G0038520.1:cds pep primary_assembly:Fonio_CM05836:9B:39556651:39560491:1 gene:Dexi9B01G0038520 transcript:Dexi9B01G0038520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKTHLPPARPPPPPTPPLPVCHHTNPRARPAAADGLARLDAGPPPACPFLTPTVPSRSNPPQAAAMTAPSLLLLHSCAAPLQPRSFRMNSRAAPSRVVVCSAASAEGFISAAPILLPEGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVVYCKRVLGTSKTGRAVLINAGQANAATLLNVSKDDILIQSTGVIGQRIKKDALLNSLPRLVGSLSSSVQGANSAAVAITTTDLVSKSIAVQTEIGGVAIRIGGMAKGSGMIHPNMATMLGVLTTDAQVNSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSGILSLDSSEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVNGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADRLDISLGAIPLMKNGQPLPFDRSVASKYLKDAGDVHGTVNIDISVGKLPASSLQILRSGGGNGKAWGCDLSYKYVEINAEYTT >Dexi7B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:7B:18960896:18965386:-1 gene:Dexi7B01G0012110 transcript:Dexi7B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAAPLDEAKARNVLRQVEFYFSDSNLPRDGFLRKTVEESEDGLVSLSLICSFSRMRSHLGLEGEVKPETVPEETVLAVANVLRRSSSLRVSEDGKKVGRAKELLKPDEVIEQVDSRTIAASPLPYNVKLEDVESFFSQCGKVNSVRLPRHVSDKRHFCGTALVEFSEEDEAKSIFEKTLVFAGVDLEIRPKKEFDAEREVKKEAYEKAQLNKNSGEGYPKGLILAFKLKKIPADGATEQNGGDKVDDTEGAKKEGSSNTTEKPNMGHEEKAPEDKGDVSEEQPDGVEEAKGVATGETTQSVDKDDKSPSDNDEDIISREDIKEEFTKFGTVRYVDFSKGDDSGFIRFEDSTAAEKARAFAAIADEGGLIMKAHIVTLEPVSGEAEKEYWSAIRGGQDKYKDSRSNRGRDWKNNRGGRHFGGGKRGRFDSRDRASNKAQKV >Dexi1B01G0031380.1:cds pep primary_assembly:Fonio_CM05836:1B:34989168:34989916:-1 gene:Dexi1B01G0031380 transcript:Dexi1B01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGTPRGGLARSSSGVWWKLGDTTAAADASEVDRRLRAIADEEAAVRARVERRRDAAPSLRRRIAVASVSLETVALVYGLWAARRRRLKKKLLVLLPALAIPAMATLVLAAFARFRRTLDSRDEQQLERLRTERKAKIGNFRGSHHNLQKLIEKYDPDSADDSNNMNTNKKLKRTHSRLSFHVGDE >Dexi9A01G0023470.1:cds pep primary_assembly:Fonio_CM05836:9A:18832876:18841755:1 gene:Dexi9A01G0023470 transcript:Dexi9A01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLPPASSSAAAAAVRSLARAVPQRHQLLLHASRRGRGHRHPLPLTAVSPWRAHLRRALSVSASGGSNGAAPVSAEREYDYDLFTIGAGSGGMRASRAASALYGARAAVCEMPFATVASDALGGVGGTTFSTCACRQKQAIDIFSSKKLIYLCVRCVLRGCVPKKLLVYASNYSHEFEESRGFGWMYETDPKHDWRTLITNKNLELQRLVGIQTNTLKNSGVTMIEGRGKIVDPHTVCVDGKLYTAKNILVAVGGRPSKPNIPGIEHAIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQNKVLRGFDEEVRDFVAEQMSLRGVKFHIEQTPQAVTKSDDGSLSLKTNKETISGFSHVMFATGRKPNTKVNIILSQFGTGRYWGQNGRAWCYCDVTNRLNLTPVALMEAGAIARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEDKAIETYGDIDVYTSNFKPLRATLSGLPDRVFMKVIVCSKTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDSTIGVHPTTAEEIVTMRNPTRKVQRDTASEV >Dexi6A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:6A:17805471:17807848:1 gene:Dexi6A01G0011830 transcript:Dexi6A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNSHFGSLSSFCSIVRRAVAQETIQQIVHNLEPLIRKVVREEIQSIFSQHDQASFRSLALHIQEAGVLPRLKLVFAKELPTPIYTNNKLVDIVQNPIEIRLMDTRTNCVIAPPDTHLGSSVRLEVLVLDGDFRHGDRDAWTADQFNAAIVKAREGKRPLLVGSLVVQMNNHGVAVIDDVSFTDNSSWIRCRKFRIGVRIMPAGSHFGERIQEAVSESFVVKDHRGELYEKHYPPLLSDNIWRLKNIGKDGPIVKRLESEGIRNVQEFLTLNTIDPAKLRAFHVKQLATQAYKLWDKLEEVTNEMPLAATKCLNPLSNSGRRPSDSQESIISSGSQNAKYLDYTGTATSSAAAAMSTNSSNTSGSAAAAPTNDDMFWTPSIPPDDQFGWQNSTGCWD >Dexi2B01G0026380.1:cds pep primary_assembly:Fonio_CM05836:2B:35496108:35503656:-1 gene:Dexi2B01G0026380 transcript:Dexi2B01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPQTGKSVLGLDDLARRKRGSEGSNAFKPPLPKVAVAAGSIDEDDKPGPGENDATSLPTSGRSNSSRRYRGSGSDDKTSSNVADEDERIPTPSRRDEAHRQETHISRSSQGSRAHDTPRNYDHYDNRGSRDKRSDRERSASISYSSSGRRRYHDDRESHTRRDERERSTSIEYGNKRSRPEHGSRSSRTPARSDWDDGRWEWEDTPRRDWRDDRPGSRRHPARSPMLAAASPDARLVSPWSGGNTPRSTASPWDNVSPSPAPIRASGSSKGSSYTSSSGRSHHLTFSSTAGSNVVDADRSPSNPDRNSEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNAMYPGDDNSYKKREAEMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTTQAEPVMPLKDPTSDMAIIARKGSTLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFREEAKFSQHLKEKGEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLQVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKDEKTAMEEEMEKLRQEQAEAARLEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >Dexi1B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:1B:17879277:17890840:1 gene:Dexi1B01G0012990 transcript:Dexi1B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPALRHPPGMSDTTPAAAALNHWNRLIQLAAASGSYADCLHLYSASLLAAGLRGNASTFPSLAKSCAALRLPGLGRAIHARALLAGAAVSSDAFVRTSLVDMYAKCGRLPDARRLFDETPLSSRTLVAWNCMVSAYGRSSQVEEAVAVFNAMRRGEVRPSGSTLVGLLSGNIGVCLYGYSVKSGLDADVLVSNSVLTMLVRGNQLEAARLLFDHYTTRRHSRASPRLPSPPLPSPRPLLLSPHLRDGPTPPPAAEFLDGLTLTPASLRATAPAPDAQQAAPCPPDPNPDLSPTGSHPYALHCSCKELIEHKLDLNETVKPKKQRLHQFATERRVAIKKELAKLLAADFIKETRNPDDLIADLTETFDNLRKWRWKLNPAKCIFGVPSGKLLGFIFSERSIEPEHWIMYFDGSIQLEGGGAGSLIHEPTDKLKELMA >Dexi7A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:7A:11655388:11656065:-1 gene:Dexi7A01G0002860 transcript:Dexi7A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLRMDRPPGLAAVTALSLISATRWRISPPLSPSMASRMSASTSTRLTSSPISIPMPHSVHLYRQLVCCSAKNGQHTMGTPPHMLSNVEFQPECVRNTPTASCSSTAACGHHVDSMLRPSTDDKNSGGNPGESPPSPLTRSGRTCSADITVMLPKLTYTTERGGRPSSHRSGASCSFHRLDPMAATGLSTGTLFRTVSGSGPTV >Dexi5B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:5B:8460129:8461800:1 gene:Dexi5B01G0011910 transcript:Dexi5B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSSLPDDLLHSILRDLPLKYAVRTSALSRRWVSQWLDALAASPVLDFTDRDFARGQPPARAAATVGRCLRLHAEHGAPLDAFRVALVALPSGDGGTFGRDVVGWVAAAVARGARELEVDLPPSQERKNAAPHGDRGSAAFLELPGDLFQIGNSLERLAFGRLSLRAVPLPAAGLAGLRSLSLSHADVTDEALRVLLANCRALESLSLRCCSLLTAVSVASERLRVLELLGCRAVGELRVAAPALESFTLYGNVILSAADWAEEAIAVDFGATPALRDVYLSHMDCCGYLDFNHDHYYPFVYYVPYARILTLCSIGLLTPTLSWDDDDDRFDHLPYVQVNMGNASYIDMPNLQELQLLMSSLDEEGDADPRHPERVYYFFHHTSFPVLKRLFIRFPSNPTNGSCSATAPIDGEDDEDVEFGYKIVLGQLTFIKAVNFRGTSWREWRLVGFLLKRAPVLEQLVLVTVGGDGAPGDEQLEVIQERALVLRKASRDARISVCRPSEDDSPNHAHTRFFHEEFCCVHQE >Dexi2B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:2B:27117842:27122211:1 gene:Dexi2B01G0016800 transcript:Dexi2B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVLIRGHEDPKPLRALSGQVCEICGDEVGLTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVAGDEDEEDIDDLEHEFNIDDEKNKQLTLHNGQITEAMLHGKMSYGRGPDDGEGNNTPQMIPPIITGSRSVPVSGEFPITNGYGHGELSSSLHKRIHPYPMSEPGSAKWDEKKEVSWKERMDDWKSKQGILGGADPDNMDADVPLNDEARQPLSRKVSIASSKVNPYRMVIVVRLVVLAFFLRYRILHPVPDAIGLWLVSIICEIWFAISWILDQFPKWFPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFEALSETAEFARKWVPFCKKFSIEPRAPEFYFSLKVDYLKDKVQTTFVQERRAMKREYEEFKVRINALVAKAMKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHAKDGLPEVTADVGMDSDKVVLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPSISTFASLFFIALFMSIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLIINIIGVVAGVSDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIVRTKGPDVKQCGINC >Dexi5A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:5A:23012678:23013965:-1 gene:Dexi5A01G0019350 transcript:Dexi5A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVAPRKPATDEPASDAAAETRISSLGDDLLLEVFLRLPSLATLVRAALTCRAWRGAVASSPAFRRRFRAVHPPPLLGFFVEAPHDGHSPNVPASPPFVPTCTRDRDLAAAVRGGDCFLTSLHGGLQGSGGGGELSCWDIVDCSGGYVLLVNADEELFTVVNPLTRCNETASFDLCTNPDDSVVQLHPRLVCVDEDRISFLAVLLAHDESRVQARFFSLDTREWSMGPWVKVPEPVRSADHSNWIRDQGSMQANGFLYWVYDDRRHMVSLDTATMEISVFELPQCLLESYVKNVGETKGGATCIVYAEMLNVGVLMHTRDDDGVGGWVQDRAVTMATELERVLPGKFDEHSQMVVNNENIALEDA >Dexi2B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:2B:27256348:27258912:-1 gene:Dexi2B01G0016950 transcript:Dexi2B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRISAVVEGYKPCAAMVATQCMFAALTLWVKAAFNGGMSPMVFVAYRQAVAALVLVPIALVTNRSKLKEMRLGMKGFFLVFMAALFGYRATANQNLVYQGLQLGTSSLATTMTNLIPAITFVMAVAVGSYMDPLTLSAWTCFLSTLQSVTIAVFLLPDRSAWKIHSLFELSVHLRPVSRHDGKLEMQGVFGSGVVFYLQSWCISVRGPLYSAMFTPLCTVITTALSAIVLHEELHIGRHGSSLSESERQS >Dexi9A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:9A:12591411:12592683:1 gene:Dexi9A01G0017540 transcript:Dexi9A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNQQQALPHELSGRLEGILEDREAPWARRASRAAMIELRLLAPIAAPAVVVYMLNNVLSISTQIFCGHLGNLELAASSLGNNGIQVFAYGLMVDI >Dexi8B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:8B:27048192:27055535:1 gene:Dexi8B01G0016110 transcript:Dexi8B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARAPAADKRYRPLRILLRVPIPLPWGFAAAAAAAAPTRTAAVGVVETAAPNPTNQSTQRGAFPWGDKPMPPAPNVWVSSSPLSLKNDGVSGHSFQGRPTFSSVIIAARDEQRKIRTTGLPHLMHGKVKDNHSDALEKQVIKKDVALLEKIRCLNIKARKLRAAKMSGISSCRESKVEHPKSMDVVANDAPVSANISDITSSFDMANSVSESSNHVLNGTSDMSANLVMIDLSEGHATKFSEARKPVQVRTADDMINSSDYEIQHPRRKLYSQYAKRLPEQERWKIKQPVKSIAKLDDLNKHRFVQKHKSDDAPAKSAEYHVYGGNASINRHGSSAEDVSFKISGLGWEDHPTVDSLPVLTNTNEDQSSPGNTTYSNTLEHTAWKSAAQSHDSSAPKHLQAEDSEGQVHKQESISRVSTPASDIADANKGPLVDNAIPSAKNADTNMIHIDQKGASESHDRTAPMHLQMQDKRKAHSQGRISRGLPASEPAGVNKGFFTHNLIPSSQNNGINATEHIAQKSASQSHENNAPEHLQMEIRRQVRSEEGVLRERSNIADSTENATTIDGTLVDTWNSEAKPHADLSTQSKSRRPTSPLVFVTKNTEASRVHKAHISGVVINNAIIPVQASSIKGFTVGSIMLGDASLASVKQEKTVAKEVNDDVTHSCSSPKQTKQSGMNQHDEHHVNPHASGDSIMHTPVNKDQSKKENSEVGGLNCTANPACTQPSGNQSSVSQNVAPVETSQMERHTQTPACKELDLQNPRQMLPTENHATSSGNSSESKLDTKTLDKEALDARTSTKAEVKTEPGSREDEKTKKHPWRSSASSKQGSTNGSASRAPDHYEQETNSFLKMMQELSDELKQVEKQLESSTSIDAATVNRLQPAQMVSLPGYTWGEHHMSRGHRQYHVDGQGNTWVNYAANSHPDGSVMAQGLALPTTHLLHNIYIPQNNVPGVNSAPGWSWDTAERVLISDMDDTQGFGTAITAKSGTAPAQNVLPRVGEMDVMLGVQAMGGMAYTPAAHPEVLHHTGTELQQLNPAAPPVAAWTDGSAIVPLPAGYHPAEARGAVYYV >Dexi9A01G0045170.1:cds pep primary_assembly:Fonio_CM05836:9A:48748874:48751829:-1 gene:Dexi9A01G0045170 transcript:Dexi9A01G0045170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPGTTTVMPDVRRWSKGPGSSSSPVTTAIFLFFFVVVVGVLVSARWITTTAILTATKTISIPAIPAAPPPPRPTYSLSCSAAPLPRDPEIPSNISQTLGLALSPNASSTSTCAAIPDPPPVPAAANASSACPAYFRFIHEDLHPWRAAGGITRAMVDRARATANFRLVVIRGRAYVERIAPAFQTRDLFTIWGILQLLRLYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPLFRYCGDNETLDIVFPDWSFWGWPEINIKPWDALQKELSSGNQRVKWMNREPYAYWKGNPDVAAKRQELVKCNVSGEQEWNARIYKQAQGIGKQASNFIQKELSMDYVYDYMFHLLTEYAKLLRFKPTKPPEAVEVCSESLSCQAIGREKKFMGDSMVRSASDAGPCNLPPPFSPEEFKALQNRREKTSKQIEIWEQKASKPVDSKPRELHAFPSPKRFI >Dexi8A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:8A:5954020:5964459:-1 gene:Dexi8A01G0006000 transcript:Dexi8A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKNEFVVSGCDVNGTLYGKDINGGHANVISNCFSTCRSGSLVGGHGAGPLVPTQSQGGGYCIGNDGCCRSPIPAGSTPDHMEIVMPNQFVNTSQWELQPFTLITEEVKQGFPMPASNSGQCPGNIASRLCKSENGDFRQQNGGYTCYCHKGYQGNAYIDDGCQDINECNNVTVRNSCFGDCNNLPGHFECRCPKGTHGDPSKQGGCVNSRTDIAERMIIPLVELAKATNNFDKARELGRGGHATALILMALHLAAATAVLLPRAPTIGKPGCATICGNMSVPYPFGLSPGCYWPGLNLTCDSSHGGTPRLLLGDGTLRVTEISLENATVRVMQLWVQELVVSGCNAVAWILADIGGNPPGTIIGGCASLCTVLNRSYGPIMVGMESSTGKYCNGVVGCCQASFNVGDPHSEVQAKWLNSGNHTKEQQLQPLIVFVTEAGWVDKNGRMVASELEEVPIVLAWSVTQGLPQHDDNWCPDDIRRTLCKSQHSQCSVAEQQGYMCSCEDGYDGNPYLPGGCQDIDECKLPSEENGCFGECINTMGSMECWCPHRTFGNPGVEGGCVRINDSNTVLHDYCNSSCGDVRVPYPFGISPGCYMPGFNLTCNKSYNPPRLLLDSDGILEVVNISLLDSTVRVVRHAMAYTNPTHVDATTAYFYIPKNISSGAFIDECQMMNQSGKQCFGNCINIPGGGHECRCPRGYFGNPSKPGFCTPTGDTQWILS >Dexi3A01G0028390.1:cds pep primary_assembly:Fonio_CM05836:3A:29060405:29062388:-1 gene:Dexi3A01G0028390 transcript:Dexi3A01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSSKSGAMPPRNAEAAAHPKPPVHPPVPPPRRSQVTLSPLETPQLPPPAGFRAVQPVSISLPASPGSLGLPTPTDSGDLRRHAMMASAARGPHRLAAQDKGSNSVRFTQPDRDEEAMMFRSQPIPGAPPPQRLASRAGRRRARPAGAATMNWDRRYDSFKTWSGKLERQITHLAGGPDGGLGYDHDGGEDVSDDVVGISHRTCATSIPEVDRFYAALEGPELDQLKPSEDLVLPSDTTWPFLLRFPISAFGIPMGVSSQAILWKVIALSVPTTFLHVTSKVNLVLWCVSAALMLAVSATYACKVALYFEAVRREYYHPIRVNFFFAPWITCLYLAISVPHALTWAARLPHWLWYLLMAPLLVLALKIYGQWMSGGQRRLSKVANPSNHLSLLGNFVGAQLGATMGLREGPTFFFAVGLAHYVVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVSSVAWARISGEFGHVSRVAFFVGMFLYASLGVRINFFRGFRFSLAWWAYTSPMASAAAAAIRYSTEVDNALTKALCVALSAVSTLTVAALIATTVVHAFVLRNLFPNDICIAITEHKVKPIMELQETDGNDIEEATAEPTAA >Dexi8A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:8A:30451515:30457529:-1 gene:Dexi8A01G0018120 transcript:Dexi8A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRCRRRRKPSSRRANSVHDGEINPSTAPPPPPRVPGWMEPPLHPPPPATTNATWLILDRFVHYSRRRRGVIQGDATTSSLAEDCAGRHVHDVDTIMGADEFFGLINSSAAQSRLPRVQPSFPVMSLVDPDVICFLLIKEEDCNRTWMVEVNMRSKVMLSSALYINEEEEEGHPSAKDCTKGFYGHYFITTKFSYLSKDAITR >Dexi5B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:5B:9092019:9092439:1 gene:Dexi5B01G0012820 transcript:Dexi5B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAGTIFSFCNNLDLSWAITVPLLCLAETCERLILLQHDPHDLRDYAALLYHCGYYEECLHYLSSYQTAVVVLSDTTLPVEES >Dexi2A01G0027450.1:cds pep primary_assembly:Fonio_CM05836:2A:38850864:38857204:1 gene:Dexi2A01G0027450 transcript:Dexi2A01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGEWKSPHFSVLASPSSVVPREISPAPSPLTSLSHAVPFDRRRLHLLRRCSSVTRLAFEARSRRPRLQSIMEEQQVKPSDLPPATSDNQDSAANPPVTTADPVRQAASTDSPSQVAGADPAAVSAPTASPTKDAAREAPSSMFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFARFTSGLGLRLSPKAAQQEEIAEGSTSPTTGQPGVFGSLTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLFLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAGPADNKSDAASSASTVAKEDNAAPANKGTGSGDKDEVFSDSEGEDGSSKGRKEKTASGGQNSADAAKPSDTSTVQEASAAASRLENVAISSEQGATKAPDATSLKTEVSNKSSSTTAPPPAVDSSSMSEFKAIAADASVFSFGDEDDYESE >Dexi5A01G0029230.1:cds pep primary_assembly:Fonio_CM05836:5A:32357486:32359283:1 gene:Dexi5A01G0029230 transcript:Dexi5A01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIEGVAQGLIYLHKHSRLRIIHRDLKASNILLDTDMSPKISDFGMARIFDPKGTQANTKRVVGTYGYMAPEYAMAGIFSVKSDVFSYGVLLLEIVSGMKNAGSQGRGNSLSLLGYAWELWNEGRCHELIDKSLHGRCPEIVALRCVHVSLLCVQEQAADRPSMTEVLSMITNDNATLPDPKQPGFLSLLVSNQTDITEETCSLNGLSITNLDGR >DexiUA01G0019970.1:cds pep primary_assembly:Fonio_CM05836:UA:41460120:41460748:1 gene:DexiUA01G0019970 transcript:DexiUA01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELITNWTIYTNRCAAMMNLIIIAAINLALGILPHVHNFAHIGGFATGFLLGFVLLIQPQFGWLEQPFGSKSKSKYKYKVYQIILLILALVLLAAGGENGNDHCSWCHYLNCVPTSSWKCDN >Dexi7B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:7B:14279495:14280088:-1 gene:Dexi7B01G0006560 transcript:Dexi7B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAPPPQHGDKCGGSGGSSSSSRQRQRSASFHGRAGAEQQRQHQQQLQKQRPKTMPDLLVGARGAAASFRSGSSSPPPRDGGGETTGRRTPSKVLVSVTVQSSMWPLHVMASADWTVADLVAAAVALYVKEGRRPPLPSADPAAFGLHYSQFSLEVYCYCKSK >Dexi9B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:9B:7286754:7292747:-1 gene:Dexi9B01G0011460 transcript:Dexi9B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAAAGEKKKSKGKKKGKNGPAKVAMKARGAAAAAEERSNPFEAIWSRRKFDVLGKKRKGEEQRVSRSRSEAIRKRENTLLKEFEESAKSSAFHDRRIGEKDDTLPEFEKAILRQQRERLAKLKRESKYNLPDEDEDDSMLLEKDDFDEEVPFDDESDEDGKMVLSKKRLSLQSGDRPSETDMPQETHGQKSKKEVMMEIISKSKYYKVEYAQKAKEREEDEHLVDKLDSDFASLAQTQALLSLTESSKVKVNRNNSSAGLTGKEIFTKAKSDTYEKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGTADSSDEDDVDEDVDYMKPDNSKPMSGDDLGDSFTFGDSTGKKKGWVFYGVLLQYFAVLATQSPVKFKTIDTLVKPLIEMSGETPYFAAICARERLIHTRTRLCEDIKVPGKSSWPNLKTILLLRLWSLTFPCSDFRHVVATPMLLLIDCKLSLVLVYRDCDDVSGKGCCSLGLASYIKGLDYDPDRERAQRKKLNKLLKSEKKGAARELRKDNHFLYAVKEKERIKQEQEKAEKAGKAWAFLQEQESAFKSGQLGKGKGRKRRR >Dexi6B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:6B:26680495:26682936:1 gene:Dexi6B01G0020130 transcript:Dexi6B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVAPTLPAAISSTAAAFSLAPNPTARGDPLASRPLCRIAASAPLVPLAATSRHVHGCRSAPAPPAVASPQNQARNPRLRFAAEGMAAEASTASAAQAKPFAVLFVCLGNICRSPAAEAVFRNLVSKRGLDSKFNIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILDAFERWRHKEPLPESAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKGE >Dexi5A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:5A:10444059:10445532:1 gene:Dexi5A01G0013750 transcript:Dexi5A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVQVRVLGTTCVPLLRPPPPHLEQIPLSFFDAWFLPLPPIQRIFLYDGDGADDTSFPSLVRALKSSLADAIAVFFPLAGKLTYLPSTGDVVVDCSPSAVGAGVAFVEAEASGGDARLLSGEATHDVPAFLGLVPSLEASELPAPVLAVQVTRFVDGGGLAVGVAIHHAVADGHSFWRFMAAWSAVARGGAFPVALAPTFDRSAIVHPIPMATEMARDLLRKLTPELPMIPTANWLRRTWLQHETTTLELDRHQIEHLKNRIAEGEMTRTAPRPSTFVAVSALVWSSAVQARSLDPGAATRLVFQGDCRRRLDPPVGEGYFGNCIKGCVAEAAAGDLQLHGGGGGGVVGAAKAIRKAIDEFVARPLDEFDRAGRVWGEPGLVAVVASPRFMPYSTDLGWGAPSRVEYVSESAPEGLVVITGGRTDDIVQVSACLRPVHMQAFRSRILDFVA >Dexi6A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:6A:1644129:1645036:1 gene:Dexi6A01G0001740 transcript:Dexi6A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEIACTYAALILSDDGIPITAEKIATIVKAANIKVESYWPALFAKLLEKRSVEDLILSVGSGGGAAPVAAAAPAGGAAAAAAPAAEEKKKEEEKEESDDDMGFSLFD >DexiUA01G0014580.1:cds pep primary_assembly:Fonio_CM05836:UA:30637976:30639518:-1 gene:DexiUA01G0014580 transcript:DexiUA01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHPSSRAKCEGSSGNGSVSRCMSLLDAGGSGQPTAVASACCGGCSDTKCGATKELEPSHFKKWSLTCGDTCTPSHSHHRTPVNLSSVVSPSSSSVSSYEQHYRHLHHPYQPWLVEHPWTKPRCGTAGQVHIVVDDDDVKLVNATIKMNNKSHDSSASNGSVEQVDQCGRSKFKELRAENLKVLCSALEKEVPWQAELAPEIASTVLQCRSGMARTRRTRDVDVPASSRPAVGPKEDTWLLFLGGDAEAKVRMAKELARLVFGSRKSFVSVAGATTASSSSPARSDSADHRKRPRSLPEASNNSNGLLERLYETVRDNPHRVIMVDDVEQAGGDKGILEAIEMGFVRSHGGDVAALGDAIIVLSCESFDAKSRTSSPPTTKKAKTESEEKPKEEAITATATASPSTSCFDLNMSVENDDDMEEMSPA >Dexi3A01G0032350.1:cds pep primary_assembly:Fonio_CM05836:3A:37103301:37109655:-1 gene:Dexi3A01G0032350 transcript:Dexi3A01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGSGFDGVHMKRRRSSAARRPRPEGGPAADHRDNASSPPSPSASSRSGLRRLPLTSDENATGPDGGNRRREFLLNAPSPERATKGSIRLRSDAAGVGTRKSEGSSNGGLVSPEGNRGSSPASGKMGKVKLKIKNVLPKPNPDTPDSRSLPAKPPRPVDSRQQQKTEGAKDSDRSTSSKEKKARKERSIDEAMAQEQSAKFQREPSSDPVRKSRRLAKKSLLDNEIDEDYDTSNTGTPEDWDGNAPEVKNKGGSSSKKNVSKKVKNRSKAYEVDNEFVTIKDIKKRSRQSVDDDNTEEEPISDSEPDAEDEQKMVTESPVNVRSEPLTTRRRALQSWMDGSSNSTIEFPDGLPLAPSRSKKDKLSEEEMLAKKAEAAQRRRMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKEPSEQIVLFTQERAARAQNIAASSIRWVMGPTGTTISFPHAVGLPSIFNSKPHSYPPPREKCAAPSCPNEYKYRHSKLNLPLCSLKCYKAVEKDLRHRHLASDLNNKQMAGGRVAHATLKGPSVVKEIFIGLTLGLIAGGMWKMHHWNEQRKTRSFYDMLDKGQISVVVEE >Dexi3A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:3A:7507451:7507773:-1 gene:Dexi3A01G0010500 transcript:Dexi3A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYDGAAECRATRDAYAPPSMRIKSVLYYPFGFCIECAGRFVEEEDLGVLHNGTGNGDALLLPSG >Dexi1A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:1A:21430437:21440973:1 gene:Dexi1A01G0014770 transcript:Dexi1A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQLHALLGDLDALKQRPDDLGSIDRMRERVVAMMSPAAGGASRSKIKDMSADVVDSNPYSRLMALQRMGVVENYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQSYSLNITTVKGFETFLASLKARSSHGRSTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLNFGQVSPYLGYNSLKDYFPTMEMRPNPQCSNPACVERQKEYMDSKPARDAAAKAKMEAEASEANECPVHLDNEWNISVVDDEDTATSNIRSTPDILPEGLVRELPAADLYPEPPVTASSSALDDDLEELQRQLDALNSS >Dexi9A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:9A:6472424:6472735:-1 gene:Dexi9A01G0010590 transcript:Dexi9A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASMLFLSYHQLHQPAAPPRRKEETTAAAAGGGGFRLTLSSLPVFERQRREAPATAEGEALRERGVGEDTMAAAAAAGNKELEEKFEEALRLSCWSS >Dexi2A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:2A:26065511:26077685:-1 gene:Dexi2A01G0015230 transcript:Dexi2A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGVEDGDGVGPPPTKAASAADGSAGTAATRFFSLPQFFLARSSSSPTSLPPLQAAANAPAVLALQPRSSSIYPMAEPSSKSKRTKKSKKPSAGKGDGEDMDALKSDVATFASSLGLVAGAGDPAGGFDDSDFRKSGPMQKPPKPPSDQPQQAPENTAKPQQNPRPAKKPHPLELHGPLTTTKPGAATTNYPLMKAAGLSGQWFTDADELEARVLGGRKQVPPAVGLQEMQRMVERKRELAEKLMAQYAREYDAVRRGHGDLKLLEISAKSGTSSDKVSAFTCLVEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLMRLLPDRKLKSLIQRPLDILPETKDGYSLLLFWHWEECLKQRYEKFVMSLEDAVKDMLPNLKDKAMKTVFILLKSKSEQERRLLTALVNKLGDPERKAASSAAYLLTCLLASHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQILLTPKGDGPKIAKRLVDVYIALFKVLMSSNDTKGDTRNKYSKKTVEKGKMEGGNNKVKDSKSHGNNEVSSTAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVDVQTPILFRLVHAENFNVGVQALMLLFQISMKNQIASDRFYRALYAKFLSPAAVTSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKAKSPLWAIVLQNESVDDGDEHFEDIVESPEDSSVGSAVLAKHNDKSAAHEKYNIDAVADSDNAKQVKLVERDENGENNASAEASRLHALYDPRHREPSYCNADRVSWWELTVLASHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDMNHRLIGEELLELAENEVPPEDIVFHRFYMNKSGPIKPKAKRKDSILDEDTGELLADNADDASDESGDEMQDLGDGLAEDGEYDYDDLDSNAFDEEKDLLGDDSDIELDGISDSSSMDGRSENDDDDIVSFEDMDAEALDVGNSDDNTSDEEMVDAGNGGGSNAKKKVSAPKRKHGVKSGRSPFASLDEYEHLMAGNANETKLKRKHKAIGRGGGEKKPKSRSQNKRSRSSK >Dexi3B01G0028890.1:cds pep primary_assembly:Fonio_CM05836:3B:27507043:27507474:1 gene:Dexi3B01G0028890 transcript:Dexi3B01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTVLLMVLAATVTASAAMVTDDAGASIVSAGRQPRRSRFLLANSAAYYNPPLPSTYACSKKSASVCLAPGSPGPACCDGQCVDIAASADHCGGCNKVCKNHHDTCCGGRCVDLLSDKDNCGTCGNQCNKKCSYGFCDYAV >Dexi4A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:4A:18567060:18567604:-1 gene:Dexi4A01G0015530 transcript:Dexi4A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAAMDGLFADGVYLRLRSRARGTYLHAEKDGVGLSLLPRGGDDGAPAEAGVWRVHRVQRDGDNYVLLHGAAYGRYLALSDEAAPHGCVGKRAVQRGYDDPELDAVMWKPSAVPDAPAGHVLMRHLLNGTLRANGRFRVWNNGVSIDMYFGNRSTMRQWIVEVVPPRPQGASGPI >DexiUA01G0000600.1:cds pep primary_assembly:Fonio_CM05836:UA:2238463:2239023:1 gene:DexiUA01G0000600 transcript:DexiUA01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASSSTNCHSIISLITLLFFIIINVREVAGEPPSVVPSACKRAYGVGIGSLTEDFCLSALTGHSAGAADNGDLALIAVDLATANATATERKIDDLLNGGDVSEGLQSCRALYKAVVHQYQPQCRAAVKERRFADGKLCLLRTAQAPVDCERWFQQRMLASPVAREDDSLAKLVNLAIALSSIA >Dexi5A01G0025080.1:cds pep primary_assembly:Fonio_CM05836:5A:28938848:28942406:-1 gene:Dexi5A01G0025080 transcript:Dexi5A01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFPPGGGGGDHRGGDAGDAALARDIVTLHKALSLDPSTRRRRSLPLPSPSPAAAEQPRSKPRLKPSFSSSSSSRRLLPSTAAAANSSAAAASTYSSSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSAVTVQFRRMSVSASTRSVAAALGAATFEESLTLRSPVYFSRGAKAAVKYEPRAFSVAVSASTLELGKHEVDLTRLLPLSFDDLEDGGDSGFGKWSTSFRLSGPARGARLNVTFSCSLVGGGGGIEQQKAGEVAGVLRGAMVRPVSVQAPTPVPTRSRDVRVLHEVLPSLRSARALPFAGDGGLDARKEEGSALDPTEEGSPEAKHCTSVEVKKEDLVHPESHWGTAEFSVVEHGVEVALDDPQMPKHLEASNVADREEDLGLKIDDEESFKPALESDDVDEDQIVGVMLEESVSDVAVQRENGEDKQDGILEPASLPIAAPEAENQFGRDTELEDLECIFNDLSIAEPEEFESPIVEDKCSRRLSCSGMTDAYRSASRKGRSRSMDASSDSVANEFLDMLGIEHSPLGQPSDSEADSPRERLWKQFEKEALASGNAILGLDFDDGMEEPICEDVVEDFDLSAMIHQAELELQNGSQPIDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPDSRSGFSSPINLPPEQPLELPPLAEGLGPFIQTKDGGFLRSMNPTLFKNAKNNCSLVMQASSPIVLPAEMGSGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWDSAPPLESAERYDLLDNHSIDALVGGVGNCSPGKKKKGRCADPSSSLGGESASEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEVAPSNISAKPIGEFSSLQGKSAENTWSLGLEGTAGLQLLDVKQNGEEVDGLMGLSITLDEWMRLDSGVVDEEEQHSDRTSKILAAHHAKSMELVAEKRNGDSKSKRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGNSEQDDEEPKNEEVPEKALVTEEKVEELEDSIPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLLAAGMGKGNKHPLMNSKALAKPSKESAGQSGDTLWSISSRVHGTGTRWGELAGAKNHSRNPNILLKKDKRLR >Dexi4B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:4B:837572:838245:-1 gene:Dexi4B01G0001400 transcript:Dexi4B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGGGSGDGEKSMSFRCLDVARYVVAAAVTVLIMAVIVNAVKVNPQQLGLHMNLRAFNPSGRARMYYVNIRAYVFDKNTSASSSPTPEYDSIFYFKPDGIDVLQQEAVDSLMTAKMAKDQVTPPYYDMLYNGSSISDVTLRLDGELVTEVNSRLNETRPMTSYYCEQLLVGGDSDDLKGRQDAICRQQGRS >Dexi5B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:5B:6856662:6857935:1 gene:Dexi5B01G0009780 transcript:Dexi5B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHVGKGVAGGDGSDGQDPEQPRGDQEPAADHVSRGLAIGQFIRELTVEGVASFLLVFWSAVASLMQEMHGTLTFPMVCLVIALTVGFVLCWLGPAHFNPAVTATFAAFGYLSWTKVPFYVATQLAGSVLACLSVNAVMRPRAEHFYGTAPMAGHTRLPFLLELLASAVLMVVIATAARGSSKTAGGLAIGAAVGTLGLIIGPVSGGSMNPIRTLGPAIVLGRYTSVWIYLVAPVAGMLIGALCNRAVRSSDAIIAFFLCGARARAVATKNGRRVPAAVLTPLAIGAVASQQL >Dexi5B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:5B:19436467:19437702:-1 gene:Dexi5B01G0017620 transcript:Dexi5B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGASKPRLFTGVLACLSEDNIADILLRLPAKSVLRCRLVCKAWRSISTEPSFLAQHARLRPADVLLYTYQYLDTPYYTDWDDEPHFTDIGLDVVPVSSDETGHRRRHIMRYPKMRDWFLLASTDGVLLFKKHEEVFVLCNPTTRQWAELPQLPRADKNSRSLSDREYAFYLDTASGEYKLLCRGNLTTTGTWCILSTGASEPRQLDMHAAEAAGITELVPSLRKAAAIHVNLHGRLHWPPHQGSVTGQTEMVVFDMSLETLHLMAGPPATTDKMTKLFDMDGMLVAADFGTLKQIDLWYLEDYDARRWQLRHRVATPCELGYAMPNVVPRTLVSVAAAGDKKGNIMLGNGGGLVVYNTTSKTVKNIESVATSRNSVVVSRHVFKESLVQGPGFVAAAQFSVDLSLVHF >Dexi5B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:5B:1079856:1080386:-1 gene:Dexi5B01G0001700 transcript:Dexi5B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMAACLVQQRTTALPAVNQKQRLISLKLLMRAIHRMKRRSAAAAAAAASSGKAKIESKSSSSSPAAAADGSKGGGMEESIGSKVVVSKNNPKGAVLRSRLHNRGGGLKKQQGAVRVKVVLTKEEAARLLSLTVGGQKTAAQIVAEIKRMEARRAANAAAATWRPALASIPEESP >Dexi8B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:8B:27762454:27765395:-1 gene:Dexi8B01G0016610 transcript:Dexi8B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGPKVDISFAGRFTASAIAACFAEICTIPLDTAKVRLQLQKNVAAGDALPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGKDHVGDVPLSKKIAAGFTTGAIAIAIANPTDLVKVRLQAEGKLAPGVPRRYAGAMDAYAKIARQEGVARLWTGLGPNIARNGIINAAELASYDQVKQTILKLPGFKDDVFTHLVAGLGAGFFAVCVGSPVDVVKSRMMGDSAYKSTLDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKVFVRKPAS >Dexi5B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:5B:3422277:3422918:-1 gene:Dexi5B01G0005120 transcript:Dexi5B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGPPLLAIAVLCHLALAAAAAASTLPSTGPLPRATATATAAAGDHQEEVIVSGAGAQRACTYTVQIKTSCSSPRSSPDAVSLTFGDVYKNEVYAASVSPARGFERCATDTFRVTGPCGYGVCYLYLRRTGRVGWTPQWVRVYEPTSATPSTFYFGDPLANGVWYGTDRCLRRAVAGGGGAAGDSSSSERDAAAAMSTTGGGGVPTAAVM >Dexi9A01G0022840.1:cds pep primary_assembly:Fonio_CM05836:9A:17977542:17979252:-1 gene:Dexi9A01G0022840 transcript:Dexi9A01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGVSVVGDGRPPPPASATTAVSVKYSGYHLLVVNGYSRIKNRPNGVASRRFRIGGYRWVIQCHPNGYRPYHICHTAFCLFLDQGNVVDPVMVQYEFSFVVDHQLQNNDSSCLIRAKNTFKFSSSDPYSSSCLIGKKFFETSKHLIKNDSFTIRCDIVMTKDVAITDADAAPVLANRDMLAPDIRQHLAHLLQSGVGADVTFQVGGETFAAHRCVLAARSAVFKAQLFGPMKEGTTASVIHVSDMDERVFRLLLGFIYSDSVLVPTAEAEAEAIMRQHLLVAADRYDLPRRSLICEQELCQNHINKSTVATLLALAGQIQYCRVLKESCLDFLTSPANLHDVMVVDGLDHVINIAPLYINARTVATILALAEEHHCRELKEDCLDFLDFPANLKDVMEAGGLDHLRSSCNSVLIDLIAKLAQLTDH >Dexi3B01G0022080.1:cds pep primary_assembly:Fonio_CM05836:3B:16925635:16926470:1 gene:Dexi3B01G0022080 transcript:Dexi3B01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTQLGLATGLFGVLSFLLAVLAEINKPPYGTPIQGQDVVVCRFPRDPSVALGALAAACSAALGALAVFFPYGGRHIPREVLFAHTPLYVFFHVAVGVTVAGAGTTVLATASEAMLHARNVHREPGHACPTAETGVLGGAAFLNLDAMLFWIVCLMLVCNVREDYFDDGHGGDGGAGDGIEEK >Dexi4A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:4A:24489956:24491882:-1 gene:Dexi4A01G0020710 transcript:Dexi4A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSHFFKRGRGGQWQDDPSNDDFTGSENIIRYNYKELVRATSNFDKANKIGEGGYGPVYKGTLRDGTDIAVKVLSLHSRQGQVTRKADVYSYGVLLIEIVSGRCNTDTKLPYDDQILLEKTWRYYEEGNLEKIIDTSLGDGLDIDEACRFLKVGLLCTQDVTKRRPGMSAVVAMLKGEADVVTDTISKPDVIRDFRDLTLRSRATSSTLLTSIMVRSSPLSSEETTRTSITFTAISERD >Dexi2A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:2A:531081:532577:1 gene:Dexi2A01G0000920 transcript:Dexi2A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTVRLAAAFLALMVLTAATCAAAQLRRDYYAGACPNVESIVRGVMARKVQQTPTTIGATVRLFFHDCFVEGCDASVMVASTGNNTAEKDHPNNLSLAGDGFDAVIRSKEAVDAVPRCRGKVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTKSSVDGRLPAPFFNLDQLNKVFAANGLSQADMIALSAGHTVGLAHCGTFAGRLRGPSAPDTTLDRGYAAQLQAECPASVDPRLAVAMDPVTPVSFDNQYFRNLQAHRRRMGPEQRRVRPGLRHRRHQAGPGRGQDGGGPRQHTPQLCSA >Dexi5A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:5A:21078057:21079984:-1 gene:Dexi5A01G0017750 transcript:Dexi5A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSITEKDKQYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIKFFPSVYAKEQEVVETNQYCKFDSTLLTLFTSSLYLAALVASLFAGYITKRCGRRVSMLGGGLIFLAGAILNGLAQNVAMLIIGRIFLGIGVGFSNQSVPLYLSEMAPAKMRGMLNISFQLMITIGILAANLINYFTAKIPGGWGWRIGLGLAAVPAVIMAGGSIFLPDTPNSLVARGMPDEARAMLRRIRGTDDVALEFDDLVAASEATEAVENPWSTLLQRRYRPQLAMAFLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMVATFVSIATVDRLGRRKLLLQGGIQMIVAQLVLGTLIAAKFGTSGVAEISRSYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSAVVVFNMVFTFVIAQVFLMLLCRLKFGLFYFFGAWEVVMTLFVYFFLPETKGIPIEEMDRIWAKHWYWKRFVDGSGSSKVQMSTAV >Dexi4A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:4A:22922304:22924929:1 gene:Dexi4A01G0019080 transcript:Dexi4A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSAISDVALDRSENDPARYHDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHMQEARSFFHTFREDHEVMNSRDLQKLEAVLSPSHLEYSYELLLQYLQKTQALVVLGVINEHITFEVSPGQPSLISDDADVVALIGTSKDLAKQINQKEVHWGLLEDSVEERMEKALAESDKIEAENKDADAEDNKARGHILLHYV >Dexi7A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:7A:2357343:2359016:-1 gene:Dexi7A01G0000880 transcript:Dexi7A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLSMATSSSKKARPAASAKVGVAGAAAAAEDGRLGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSHAVRALVAGDDARLLALALAEKLDALNRVAAVATRLGRRCTVPALLGFDHVYTDLLSGRCSDAGAFAVASPSEATSLVRKLDRLASATAALYAELETLAELEQSARKLPTDEARRALEQRTRWRRHDARRLRESSLWNWTYDKAVLLLARAVCAIYERISLVFGDPMMGLDLLATTRESSSGQCDQQSRQLSGPICSGPIKSNNLNNGSKTGPISRVDYSDMPRPVNFRSSCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDSSYISTIRSGMLVQFSGEQGLSATPTKSGKIDRRVRFGPKSTVTSLAPPSTIGGSALALHYANIVIIIEKLLRYPHLVGEEARDDLYQMLPSSLKVALRKNLKTYVKSMAIYDAFLAHDWRETLEKTLAWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCVEWQLE >Dexi4A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:4A:383369:384919:1 gene:Dexi4A01G0000590 transcript:Dexi4A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTENIPNLLIVNWTQNEVGSFPPGKKITIVFVIGGPGSGKGTQCSMIVKHFGFTHLSAGDLLREEAKSDTEQGMMVKNLMHEGKLVPSELIVKLLLKAMLQSGNDKFLVDGFPRNEENRQAYDKIIGIEPELVLFIDCSKEEMERRILNRNQGRDDDNIDTVRRRFEVFQESTLPVVQHYEKTGKLRRVDGAKSADAVFEDVKAIFVQLNTQANQVSSVSRAQSNPFKRFLDLFCGCFGTQEATN >Dexi3A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:3A:8039559:8045611:-1 gene:Dexi3A01G0011290 transcript:Dexi3A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGGGLGYASRRGLYAQAAHHGGGSAQTSPGGSPKDSSPVHRHARAGSLGGAGAASTAGRRAGVVGSGAAARAHNSAARAAAQRLARVMGGGGGTGAGGDGGSGSDDDDYELSGPPIELSSTPRRTSTRSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGAGAGSESPVVPNRREQRKSVDLGSSMRARRTSSSLHDEINTLQMENESMYDKLHLAEERYEDGDAKSMNMEREASDIGDAIETEPNLISRKDAALEQRKIAMRIASRGSSSASCDEIVTLKSEAKAANNVVTSVSRRVKRSRSELRSLQAAANKMILSQEEMEEVVLKRCWLARYWTLCSKLGILSDIAEEKQEYWCSFAPLALEAVLSIGQKARDGTLSDNAEIESRSKMPDANDISGDVNIESMLSVEKGLHQLASLKVEDAIMLALAENRHIKPLSGQPSEGRSPSESLELSVEEREDVLFKQ >Dexi9A01G0021830.1:cds pep primary_assembly:Fonio_CM05836:9A:16651926:16652507:1 gene:Dexi9A01G0021830 transcript:Dexi9A01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLIALGFIVLMSMGIANAVRVARYSSADGTGTGGGYGGGYVNGAGSGAGGGTGSGESGSDGAHASAGGGGGGGGVSQYNGSGYGGGSGSGSGSSDHSYYDGGSGYEKSSSAGGTGGGGGGGQGGGNWGSSGYGEGSGTGSGSSYGNTYWDRTNYANANANGNGDGKGNGENGGSGGGKGAGSGYGDANP >Dexi6A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:6A:25208338:25216223:1 gene:Dexi6A01G0017370 transcript:Dexi6A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLVTGQNSCAPDGASSSNPLNAFANALLGQSSKTQSIKELPGSVSVPSTSDFGTAAPLSTIPGSENEFKQDQRPLARGADFIRGGPANDWIESFRPPSLPEFGGADSQFAEFDQIYNNTGTTFGPPLDGPPQRVLSGVLHSFLASGRSGVPFQPVPVNTLLHSLDIDNRMRGPMHGQYPELEQYWNQSQSSMGPALMHNSADKWITEFGNQNNNPESWANSFEQQYGPNGWASEFEQHQSQMARGQMGGANMANLAAMEQSRMLAQTLASNNDPKFQLSQGADKWASEFSSERNQGALNDSWVDEFSKLNITDEWAEEFSGGFGESSADPWADEFQEQLSSFKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAHEANPTNLEVLLALGVSHTNELDQGEALRYLYRWLQNHPKYGGLALPQSTDSPYGPDISDGYPVYMIVVRLFNEAAQMSPEDADVHIVLGVLYNLSRDYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSAEAILAYQKALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLKISLSNASRGDMIPACDARNLDALQKEFPL >Dexi6B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:6B:27140612:27141634:1 gene:Dexi6B01G0020790 transcript:Dexi6B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARWQLLADEVKRQASGFLQDKYKQARLALGDVTPAELLAQEATNNEPCVPDAKTLACIADAAFDMDDCWRIARVLHQRLSRAADWKEWRPVYKALVVLEFLLTHGPDDLPREFMPDMPAMHDLRSFHYVDDKGFNWGACMQRRTDSVLSLLTDAGRLREARCRCRCFFGGGGMMSPTTTSSPSSASSASSARTWSSFGGSSHYSDSPTMCLTCASDNDYRHDKKCDAYTADDDCCRGPPPPPSSNDDDATTSWDDAQIQMDPDAASSWPARLLGSLSLGSRASGFQSLSHPEQRRKKLQLQSHQDY >Dexi4A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:4A:2073079:2075545:1 gene:Dexi4A01G0002910 transcript:Dexi4A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDVVTVASPAGSSGGGSYSRIYGPRNFEDEFVIAKNQDEINRVLAKIERICDQVHLDDELVANNGGFCFGLLSPTTNILINSVISAASTPPLHGGRVAHGGRDMNQRSLDGLIVFLTCLFPYLPDGEAMAYLDAADADPLVAALLVINCRGLRRFGFSSDATAAAVEAALRGAADHPDPRRLVLGWKLLSRNLNNVVDMKPDSIIRRVVDAMRESSDTDLQLKKPWELAEARRLDLDGDNPMGKELRLPPARGAMKRVFLATIHGFYLQALARLPTAELRSRYHRSMLEGGYCYGPLDPVSNIIVNTVWYDQSFLSSSNQVSLDMISTKSLRRAAARSLYGLVSFLCTRYQSLSPDQALQRLLVAGADLRVADPKLFDDCDMVDTCDGRMKKRSAEPDSTFTSVAEAYAAAATAAFHRSPLAQRELLGSPDAVSKLKIASGMLHLEDGRMLSCDDLGFLSTVLLNCSSSVGKPHLEHVQAPVQVRKRLCAYEFWGQHERARRKVAAALDKFNETMVPKYRLHVICGVNELVSGPELSSDMEDRCYYPLTHHDYHHSHINFLATREGSGCASAPAMLFFAECSNRGTGGTCWCVPVSLPHPDAEQVRCIYCEHQGIRIVHPDERNFHGRDEFEKLFYGSDRRYDNDNLVMKKTVDWVHDVKDDAIYCSHVDKDDDRHGNELMIS >Dexi2B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:2B:13912540:13915152:1 gene:Dexi2B01G0012030 transcript:Dexi2B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRGRREAGGGRSSGEKDLLNVMLDMSSEYGKDDGKVINRDAIRTFLTAEETVEIQGHTVPKGCTVLVNLWVVHRDTETWPELEKFKPERFLSRLEQTGFLGTTKFNYIPFSAGRRVCLGLPLATRMVHAMLGSLLHHFEWTLPQEVKENGADMSESLGLTMIMATPLQAIAKSV >Dexi9B01G0035300.1:cds pep primary_assembly:Fonio_CM05836:9B:37005236:37009648:1 gene:Dexi9B01G0035300 transcript:Dexi9B01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKEKEEKEKEKEKEKEKEKGVRAHPGNGAALQPSLANKEAARPRRRPSRRLSIASSGDHATLYLAPLPPPAMEPSPDDPEPETLTQDKEQEQDNSLFAGIYHHHPPEGPPELDEIVGEKRELSSSTEGRTDEARLQQGDPTQCGSLRH >Dexi6A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:6A:9607867:9609327:1 gene:Dexi6A01G0008850 transcript:Dexi6A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQPFLCCVAVLIAAMAATAQAKHSQCLDNPPDMSLRGDEAGVVVSDLPGGFRGYVTGSASSSHAIVLASDVFGFEAPLFRKIADKVAEAGYFVVAPDFFNGDYLDLIENLTEWLKSHSPVKAAEDAKPLFAALKKDGKSIGVGGYCWGGKFSVEMAKTDDVKVVSIAHPYNVTADDMKDIQCPIEILGAQYDSSTPPKLVYQFVDVLRKRSEIPYFTKVFPGVGHGFACRYNSTDPFAIKTAEQALALMIDWFDKYLPSTVVQIE >Dexi9A01G0023660.1:cds pep primary_assembly:Fonio_CM05836:9A:19087690:19089117:1 gene:Dexi9A01G0023660 transcript:Dexi9A01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMHVELHNMGIALQKFQDLFSTIGHDRMKSFSAISGSQDVQDVNNGQPESIPATTQIVDHQVEMSPGSMQVQSPTCLKSCALPSLEPAAANTQTADCLPEPKGDIVMGDLYPMQPTDSVNLEPENHP >Dexi2A01G0032840.1:cds pep primary_assembly:Fonio_CM05836:2A:43188074:43191554:1 gene:Dexi2A01G0032840 transcript:Dexi2A01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGPSFVRWREEFVSQERGSRVVHYYLDDDAGGSHLAVVGTERSLRHMLYVVSEDFRAAWGCGVGADDGGGPAAVFARKWRSRREVVDFLASFLPVKAALDSKFSKCRSSVDNDIGLDGYSETDCVLHQNLGKDCSSDITWSGPFWTCGKQLRHYQAFCRNGTTISTHTFVLVLSEEESRYLAYLEDMYEDKKGQKKVKETVPASTLAHPDKLGLRSPYRLRIRPRPRQNSYVNGAALIPGTAIDVWQFSGWWEGIIVSLDNTAADSLQVYFPGENFFRVCQLQKVRISKDWVKNQWVDIEIRTDVLSQIPLDGAGTRQPDNLSSVRMLDSSSSGVPEQELPAIQGNSCGDKPVLEQGFVATQANSSGEKPVPEQGLAATQASSNGDKPVPEQGLVATQASSNGDKPVLEKGLPAIQAASTEDKQTEVSKQAESSLTDKTSSVVVEDEKQTILGKRPRDTEQDCKEEVGVDVGKT >Dexi3B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:3B:4914062:4916318:-1 gene:Dexi3B01G0007000 transcript:Dexi3B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLAQKFVDSYDDSDSDDDHQDAGCVRAVLAELVLTFLFVFTGVSASMAAGSGMKPGEAMPMATLAAVAIAHALAAGVLVTAGFHVSGGHLNPAVTVAMMVRGHLSKLRTVLYVAAQLLASSLACILLRYLTGGMVTPVHALGAGIRPMQGLVMEVILTFSLLFVTYAMILDPRSQARTIGPLLTGLIVGANSLAGGNFTGASMNPARSFGPALATGDWTHHWVYWLGPLLGGSLAAVVYESVFVVNKTHEPLLSEEC >Dexi9A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:9A:6004226:6005806:-1 gene:Dexi9A01G0009960 transcript:Dexi9A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATITRLLCYVLPPCRNVPQRSVYTSDAARAPRDCVSERSTCSGRASGAMAGHVPRLERGTRCHVPGVCPGPWWRLGPRGGRPWQRPGERRTRETTATPTRVGNLMALSHGAIYSAENNPRRGSVGTKAALDDDAAHNTQSRSHALAGRCCVISDSPRRTASYGGDPPDPTPVKNTKSGKNFGSRFFTFCRASYHFTAAALRAIFRFVLPPLPAKAKPRSMQRRQTAALPEHEFRYASQQQQCFISIFKHAIIGSSVPGYTPRCAAHLLVPVGRKAGGRGETPTNAAGNQGGGLGSIRFDRWIELKGTCALGCDGPFRAGARGTGGGPFDPGRGGALGLAMVSTASPKPTGQAANPSP >Dexi2B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:2B:26542268:26542621:1 gene:Dexi2B01G0016420 transcript:Dexi2B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVPPGRGTQHAAAGQRGAGTRYPIDPTTPRVKRGEENNAAPAVSSGGRGSGDERRTEEERKKDSREGGGVVESDRSATGWWGQRSEPATARASASASKVRRTASRYRAGVAPTL >Dexi9B01G0043740.1:cds pep primary_assembly:Fonio_CM05836:9B:43680325:43681940:-1 gene:Dexi9B01G0043740 transcript:Dexi9B01G0043740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELASAVFLALLRAVARAPLPPHHPGIRAVGEEQSQELRIIVEAAASRRNRSLMSVANSAAGNNALRELFGAVAKNDGLCRRLFNCLVDEWIMGQRNGPELLQYLFTQLKYVYCSIFINRLALPRFADMVTSKFGWRCMLLCLQNAMSDAKQTEFLALKEAILRDTVGMAKSRYGNRFLQEILKGGYDILIKNPIRERVEQDLQELSTHPLGSNVVEACYVPDPEEPDLFLVQRGLDAFLGLTETQLVQLVPDSSASRVLCNLLGISKDIEPLSAWARSMKLARRIEKVLGAADQGNPFARQVMEVVREVLSRQQRR >Dexi5A01G0034350.1:cds pep primary_assembly:Fonio_CM05836:5A:36425743:36431260:-1 gene:Dexi5A01G0034350 transcript:Dexi5A01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPFHCVLFNYLSEILYACGLLISKHVFMLTNSLLISDISDNELLCNFVKSIKRSSHGPICLICKSGTASSHIIWCQNSNCSGSFHTFCQDPPLQDGIRTSECSLCKIKEASSRATEENLINKIQRYMGHRMLVIQDSGFQYQFLVKWHSLSHHNDCWVPLEWLKVFDPIRVQSYLKKNILLKEVYLEDQRKPEWFEVDRVIACRTKSGPAGTCDILTAIQDNKGFVENEFLVKWKDLDYSEATWESCSTEGVEAAISKLVERQQNALKRTDCVSPTSLEGMITKEVNNGALYSYQLQGVQWIFDNFKTRRNVILADEMGLGKTAQVVCFLNHIIKERFTAHPALVLAPKSILLQWEKEFRRWGDNLNVIVYQGDKDSRKCIQAHEMHSSEGSALFDALVTSYEFVQIDKTVLQKFKWSAIVIDEAHRMKKLDCNLATCLKCYSSEFRLLLTGTPLQNNMLELFSLLHYIDPDEFSDPKADGLFTPIESGEELTMEEKIARIHDILKPRILRRMKSDVLKDSMPTKKWVEVPCALADSQRELYINILEKNYSKLNGAIRNGKTLALNNVLMQLRKCCNHPVGFPSSLVDKSIIYFLFPGLETEQQAENILHSLVAASGKLQLLRKLLPKLKERGNRVLIFSQMTMMLDVLEDFLFYLGYKYARYGSL >Dexi7B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:7B:19254306:19257066:1 gene:Dexi7B01G0012480 transcript:Dexi7B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQENNKTCGGGGGNNAMVADEAPEAAKETGCGAADPRLQGISDAIRVVPHFPKPGIMFNDITPLLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGDVFSESYALEYGTDCLEMHVGAIEPGERAVVVDDLVATGGTLSAAIKLLGQYLC >Dexi3B01G0025320.1:cds pep primary_assembly:Fonio_CM05836:3B:20097604:20100716:-1 gene:Dexi3B01G0025320 transcript:Dexi3B01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDKPPMLNPASGEGKLVDSFGGLKTYLAGSDKSKAAVILISDVFGFEAPNLRKIADKVASFGYFVVVPDFFHDDAYMPENAERSLKTWLESQTPVWPIDKCVLDQNSAKVAVELSKAYLIEAAVLLHPTFVTVDDMTEVRCPISVLGAEIDKISPPELVKQFEKVLLENSAVGHFVMIFPGAKHGWSVRYRHDDAVAVKRAEEVLTDMIGWFNKYLNLTLKLKPSL >Dexi2A01G0028200.1:cds pep primary_assembly:Fonio_CM05836:2A:39499277:39499868:1 gene:Dexi2A01G0028200 transcript:Dexi2A01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYYPIEEEVVMQQHPGLRAAQWQWRLFSLLSSPAAPQQQPRRPANHVNWEETAAAHLFSASLPGVRKEEIRVEVEDARYLVIRTELAAAGAEEDDGGLVGGRRRGFERKFRLPGMVDAEGISAEYTHGVLTVTVPRMHTRARPAVGLLDAGAARAA >Dexi4A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:4A:6771106:6772929:-1 gene:Dexi4A01G0008810 transcript:Dexi4A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAISHHALASTHPLRWSAQNSRFEKKTGNVRLVYEGSFPSGSRKLGLVCASGSQSSVVEPVQIPSDGNSGHTPKKSSKVLFKWHKNGSVKLLSLLLQIPIIMHNESEQAHRWSQVYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADLFGKEQVHEWRRSYDVPPPNGESLEMCAERAVAYFKEQIVPQLAAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTR >Dexi1B01G0027360.1:cds pep primary_assembly:Fonio_CM05836:1B:32091766:32094413:1 gene:Dexi1B01G0027360 transcript:Dexi1B01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASATAATRFTLLAGAGLRARARAARFPTAVGFQRQRGLTTTALLKTAELQPKAQGQPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPTSANSDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPASKEYALKVIEETNESWEKLVKRNIPAGELSLA >Dexi5A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:5A:3020966:3021427:1 gene:Dexi5A01G0004060 transcript:Dexi5A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYSPRFQRQASCSCAPSISRRGFVRAGFDLDGDDYCYYDDDIYNSSSSSSAAAYDKASGHYSHAGAPRPSSTRARLRGLWRRIMREKKRILLCTTGCVPAAAPPHREAYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRVAV >Dexi4B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:4B:16563361:16564508:1 gene:Dexi4B01G0015220 transcript:Dexi4B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAEEQILVDKDMSSLMKDKEDVTRLTKDKEDMARLLKDKEEIIRLMKEKEEMVTLIKEKEDIGILKKGNVDKRDQSADVHVAKSITYNDGTFRMMEKEESNYTMMKLKLELEALKSSYEESQSLLKSTKEDVTKLLKDKEKSDIIISKLRQELAEAGKSYYINIQELESRALQANERFQQRIKEVEFMLEDSRMRGRDLEDSLKSRIKTWEQKEIMVHQFVGLQIRNVQEI >Dexi6B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:6B:22029866:22033756:1 gene:Dexi6B01G0014540 transcript:Dexi6B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGDGEVGAAVLHGGGHEGAVVRGRRGAAEEEATACSTSRGSSARGSSGADSVSHPLWLSYLRCLICLLAFVDAIPLFVRRGGRLGTDQDSDERLTSSSSYGSTEPQEEDDVPLQEAKDYRWVRAQLHGQTKIAVPRPTGECQDKRNRLGAVLFQDRKDRAQRPASLDFGCPGVAKSSGHSPGFPVNGVGVMNKGMGVSYSSHSRPEVLSSPGTPSYHRRGMTVVGYQRGPNSERMIPPPTGHRRHPGSSTVPSHSSGRTLPSKWEDAERWIFSPNPGNAVGRSVPQLWRPKSKSGPLGPPGRFGGPWSSSSSSTLFLESGRVGNLTVNSPYLAGVLLPDQHVCGGVMDSGRDLSAASGEDSSNGRGGRSSETSQYPAMRSTRVSLQFSSAADSYQSLPTSYESIQDGQIESIKDSATSSTPMVLRKDVATQTSPDISRIEGEEAKMTAWENMQKANAEAAIQKLVIKLEKKRPYSLERIFNTLRSGPRKTQVVRSTSTSNHDQHISRTIKTAPHLSKNGQMSSLSGCFTCHAF >Dexi3A01G0027920.1:cds pep primary_assembly:Fonio_CM05836:3A:27928282:27928713:1 gene:Dexi3A01G0027920 transcript:Dexi3A01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTVLLMVLAATVTASSAMVTDDAGDNIISAGRQPRRSRFLLANSAAYYNPPLPSTYACSKKSASVCLAPGSPGPACCDGQCVDTSASANHCGGCNKVCKNHHDTCCGGRCVDLLSDKDNCGTCGNQCNKKCSYGFCDYAV >Dexi4B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:4B:4708183:4710350:-1 gene:Dexi4B01G0006740 transcript:Dexi4B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSAGDDDNKASTASVWSSATKMAPPTLRKPSTTFAPPPSLLRNQHLRPPKPAHASAAPAAAPSIVAAEPAPVTSFQPAFVAVQSTVLEEYDPARPNDYEDYRKDKLRRAKEAELAKELERRRREEQERERERDQREREAREREERDYQSRASSLNISGEEAWKRRAAMSGGGAAAAQRTPSSPPHGDGFAIGSSSSAGLGLGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDESSSKAPEKKPKTVNFDGPPTRVLLLRNMARNVQVGPGEVDDELEDEVASECARYGTVTRVLIFEITQNDFPAEEAVRIFIQFERAEEATKAMIDLQGRFFGGRVVQASFFDEERFGRNELAPMPGEVPGFFD >DexiUA01G0005210.1:cds pep primary_assembly:Fonio_CM05836:UA:9397336:9398026:-1 gene:DexiUA01G0005210 transcript:DexiUA01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSSSQSTNIFYSKIEQSYVDGGTPHAVNTAWAMLALIYAGQFERDPIPLYRAARELINMQLDTGDFPQQEHVGCFNSSLCMNYANYRNLFPIWALGEFRRHLLANKK >Dexi5B01G0031550.1:cds pep primary_assembly:Fonio_CM05836:5B:32238965:32240611:-1 gene:Dexi5B01G0031550 transcript:Dexi5B01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPAASSAAAAEPERLLEVSEEEVVIDFKPDSKCRADLRLRSLHPSFPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQPHPPPSFPRSRADRFLVLSSLSAAHLDSSSVGDDAGAGGGGGVCAVRLRVFFGGPYLLRLAADAGDSAAVRLILRRQPHLLPFLEHQPQLAAAPGDAERWATLHAAAARGDCGEVRRLGPEALAARDREGRTVLHAAAAAGEVEAVAVLVDMGADTSAADARGRTPMDVAREKGYQEVVDVLERWELVMTAARRGDIQSLESLLGKRAGVRGRDQYGLTALHVAAIKGHCDVVAMLAGSGCMDIECEDVEGHRPLHLAVEGGHADAVELLLDMGADVNARTRRGATPLQMAEAMGYEAIAQLLCGRGAEVAPAPALCVASSSSSSISCA >Dexi8B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:8B:1723914:1726040:1 gene:Dexi8B01G0002490 transcript:Dexi8B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDILKVQTCVLKVNIHCDGCEKKVKKILHKIDGVFQSSVDAEQGKVTVSGLMDPDTVIKKLNKAGKPAQLWGAKPGVVSQLQKLQLGGGGGTGGKGQQPNHAGGKDQQPKVAGGKGQQPKDSGGKGQQLKDAGGKGQRAGAGNGGGGGGGGAAAAKEAKMAMPQATPQQLQQLQQQMQMRGVKLPPHLMAMAGGKMPLSAAAPPLAKDPKTVKFSSPENDGWGDDDGSEYDDEFDDFDDEEDFEDDCGLDDDLYGDDPPKIIKPIAIPPPPAAGGDKKGGNGGGGGKKGAGSGNEIPVQNKGNGNNGGKKGGGGGGAGNEIPVQNKGNGNNGGKKDSGAKQKQSGGGGGNGKNGGGAQLPQNGKGGAQGGGNQHGQAKKGGGGAGGPLACVVGGPMMGAGGMPPPAQQQQQMGAMAMRPPANLMGGGAGFPGMGRMGGGMPMGHLPHTGGNNGMQHPGGVSAAAAHGMAAGGMMAGAGFYLGGGAGGGGGMPSGPPEMMQAAGNPMMQQQQYMSMMQQQQQQQQQQAQMMIMMNGHGHGQQGHGGGGGYPAMGYGCSPPMSYPAMYYPSMPAQDDNMFSDEDTNSSCSVM >Dexi4A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:4A:21012211:21012471:-1 gene:Dexi4A01G0017270 transcript:Dexi4A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIKLHGFGSDVHESVHGWITGRKKTFLNKTGGDPGSGGEVIRAAPNELTGSSRNMLTTTPPPPDLDEIVTVDDDVHGGLRR >Dexi3B01G0032530.1:cds pep primary_assembly:Fonio_CM05836:3B:34917040:34923031:-1 gene:Dexi3B01G0032530 transcript:Dexi3B01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSLCAAAAARSRAAAGAASAVSTDAAVSVPPRPVGNGAPQQQQKRLLSALAAPRISGASNVASLKLMDGALIGRRYESSAAAVDSTDLPPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPELLKDGPELDIRIQTDKDNGIVTITDSGIGMTKQELVESLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVADKVVVSTKSPKSDKQYVWEGEADSSSYTIREEKDPEKLIPRGTRLTLYLKVEVDEDPTEIKKEGDAETQTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTDEYNEFFKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGAMEDKENHKRIAPLLRFFSSQSNDELISLDEYVENMKPEQKDIYFVAADSLSSAKNAPFLEKLTEKEYEVLLLVDPMDEVAIQNLSSYKDKKFVDISKEDLDLGDKDEEREKEIKQEFSQTCDWIKNRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKGLNAACRNNPDDPEALKVLDVLFETAMISSGFTPDNPAELSGKIYEMMSSAIAGKWSSQSHAQPANFYPEHDAPEHVAPAVEPMAVEAEVVEPEPVESGQQK >Dexi5B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:5B:1651274:1651889:-1 gene:Dexi5B01G0002600 transcript:Dexi5B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASGFLCLLLAAAAASLLAPAGCAHAQGGLFPTPASTNVSASWTISLKANGHGGSQQSSGYLDGMSVSVFLLQPVDEGGGDGLCFAACFYCTDPCADFYFGVCILQADSGGLFVGTGILRVVWSANRGRPVRENATLTFATTGDLLLRDADGSLVWSTATSGQSVARMTMTKSGNLVLFDGKNTPIVCSWASN >Dexi2B01G0036380.1:cds pep primary_assembly:Fonio_CM05836:2B:43226280:43229374:-1 gene:Dexi2B01G0036380 transcript:Dexi2B01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAGDRKPGDGADKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKISTYLGVVDHLKLPLQAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLYM >Dexi3B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:3B:11504380:11505576:1 gene:Dexi3B01G0015890 transcript:Dexi3B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHCTGSNQSVAPAPAAAMASTTFSLFFPLPNNGQWSPAPAAPFDDDHSTITTSPSSPSSSSSAGTVDCTLSLGTPSSRRAAESTELSKCAAAQRACASAVSWDVAAEQSYYCCQGSKPATIVAGGAAKGAVARGEHDSLLVDRRCAKCGTSSTPLWRNGPRGPKTLCNACGIRFKKEERRAAATATAAMDHQSACGHVAQQYYGPPGKQPSGAVPFYGEGAAFPCGGDVPDADVAPFLSWRVNVVTPPATAPAFAVWPERTSLFRYN >DexiUA01G0007990.1:cds pep primary_assembly:Fonio_CM05836:UA:14910733:14911237:-1 gene:DexiUA01G0007990 transcript:DexiUA01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPSSKVIIKFLIVMQRHGYVGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTFAGIMDHEEARRKNVGGKVLGFFY >Dexi1B01G0026130.1:cds pep primary_assembly:Fonio_CM05836:1B:31036888:31041129:-1 gene:Dexi1B01G0026130 transcript:Dexi1B01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSSSAFLLLVASSSPRRRRSRVGAALRSCSYGGAEVRLHWARRGASLDGAPVVRAAAAPAGAEGEGATEAGESSTPTGVVVQGTEAMAAGSASTPRPVTSAPSSAANQNGALGSSTASKSAEPVSSESKAESPTPVTETETDASAKVEELKPAALDDAKESVGTAEPVEAKADAAAATDVAGSAADGSEDKEPGPLAGPNVMNIVVVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEARDLGVRRRYRVAGQDSEVTYFHSYIDGVDFVFIEAPPFRHRHDNIYGGERLDILKRMILFCKAAVEGRGPVDDFVNFDLPEHYIDHFKLYDPVGGDHSNVFAAGLKMADRVVTVSSGYMWELKTSEGGWGLHDIINQNDWKLHGIVNGIDMKEWNPAVDVYLHSDGYTNYTFETLDTGKRQCKAALQQQLGLQVRDDVPLIGFIGRLDHQKGVDIIADAIHWIAGQDVQLVLLGTGRPDLEDMLRRFEAEHKDKVRAWVGFSVPMAHRITAGSDVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADAGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDQAAELYEDVLVKAKYQW >Dexi1B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:1B:25563489:25563752:-1 gene:Dexi1B01G0019350 transcript:Dexi1B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHTNQARAHTFLTQAAGGDEAEVTTVGAPPARSPAAPITPSAPQASCASRPEQTPPHSLPARRETPGCTIAAARLGFGRALRFQS >Dexi7A01G0023910.1:cds pep primary_assembly:Fonio_CM05836:7A:31787229:31790761:-1 gene:Dexi7A01G0023910 transcript:Dexi7A01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVPWKMKTLPVLRGHLCDPTRLAAAASSFHSTPASFAKWKNKWDCPKSEKGARKASRSYERMEAQEALLILMKFHGSKPSGKDLRVTGRRSLGKEYTIIERLVLRQTLLLADKKDKERFCNFFHEEHYVHPDEIFEAIFGAHHGFTWSRISWEDFRFRDRSFRFRWGGGESQRERIPSDSEDESEEETTRVGSHAHRAILGLPPCGPLTLEDVKTAFRESAMRWHPDRHPGSSQAVAEEKFKLCVNAYNSLCSILKAA >Dexi2A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:2A:7212161:7213075:-1 gene:Dexi2A01G0007400 transcript:Dexi2A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSQTRRNAFMLQPFDEVAWQQLVDKKLGLVSSARAHLARLGSARLPNEPSPSQCFSSFAKRAEPEPARELKQARWWLKPVNEPSRAEPQPSRATSASSFHEPSRAWLGSARFHPCLSQGQWTES >Dexi5B01G0027300.1:cds pep primary_assembly:Fonio_CM05836:5B:28907682:28915241:1 gene:Dexi5B01G0027300 transcript:Dexi5B01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLPPPVNSSSSSTSISALSLPRSARSRAAPNPSFRASRALAARCSARPPPDPPPPPSQPLLAHPPIWAATVPSLPPLGMAGAAYRCRLSPPRSHSPSSTAPLRPPPSCNWQEFTGTISYRDGPDKRSLKFPCCALLAFNVLIFHFMIGLSNIASPQILLLASFLLLLSFWVDLCHQTNDEDEEDVYLDVFSVVVLVLGGAQACYGALLFSKMSKVRSETVSTEKRKVASLAAVSLMCFSSSAILALVTNVPVLLYWYSTDADIIYNAVILHDPC >Dexi4A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:4A:21850067:21850702:-1 gene:Dexi4A01G0017940 transcript:Dexi4A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGVGKTKAKKTVCVTGAGGFIASWLVQLLLSRGDYVVHGTVRDPSDPKNAHLMALDGAGERLRLFKADLLDYASVAAAVAGCQGVFHVASPVPAVNPINPDGNLTSATYVQVEILAPAVAGTKNVLRVNYAADARRVVVV >DexiUA01G0024000.1:cds pep primary_assembly:Fonio_CM05836:UA:49115668:49117605:1 gene:DexiUA01G0024000 transcript:DexiUA01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVTVINGMEPAMEKLSDDELKAKTVEFRARLEKGETLESLIPEAFAVVREASKRVFGMRHFDVQLLGGMVLNERCIAEMRTGEGKTLTATLPAYLNALTGKGVHVVTVNDYLAQRDAENNRPLFEFLGMTVGINMSGLPAPAKREAYNADITYGTNNEYGFDYLRDNMAFSPEERVQRKLHYALVDEVDSILIDEARTPLIISGPAEDSSEMYRKVDKIIPHLLRQEKEDSDTFQGEGHFSVDEKARQVNLTERGLVKIEELLVAEGIMEEGESLYSPTNIMLMHHVTAALRAHALFTRDVDYIVKDGEVIIVDEHTGRTMQGRRWSDGLHQAVEAKEGVDIQNENQTLASITFQNYFRLYEKLAGMTGTADTEAFEFSSIYKLDTVVVPTNRPMIRKDMPDLVYMTEAEKIQAIIEDIRDRTANGQPVLVGTISIEKSEVVSNELTKAGIKHNVLNAKFHAKEADIVAQAGYPAAVTIATNMAGRGTDIMLGGSWQAEIAELENPTPEQIAQIKADWQMR >Dexi1A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:1A:25044728:25046577:-1 gene:Dexi1A01G0017670 transcript:Dexi1A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLIRAMELELSLGDSPAPARGASTPVLTPTHAVKQEDHELVLELGIGTAKRTEQDNQKTPTQPEDVQDREEDDEACFHSESPVELSLGCPLLPASAEIGSVNSEECRRGFDMNTVLVDGDAVQGRSLSTLSFPMEVSVQQAADQEGAEDEENIGVGGAARKKLRLSKEQSAFLEDSFKEHSTLTPKQKSDLAKRLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLAQENRRLQREVAELRGLRTSPYPFYSRLPAAGFISTARPCPSWLVRYQGRN >Dexi3A01G0027460.1:cds pep primary_assembly:Fonio_CM05836:3A:24720171:24727117:-1 gene:Dexi3A01G0027460 transcript:Dexi3A01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLVAALSKTAAAAARRAATATEGVAGHRIILPARLLTYNTSTPSSLIPRRRFGHMSEVECTTPLDPLPLLGRNFAVAVGSGVMDSEAPALVVVSFYRFADFPDHAAFRRPLKELCEDLVSERDLTRIRILLPVIYWVHVEVSGNAEISEAKLLKLKRDVTFRRIHIMMGRPIHLISHFYKLGLSHGLLADFAQRVSGGIILAPEGINGSICGTPAAVDNVLNFIQEDERLKGLRMIQSPVTPEDEAIHHGHTSQSPVGAGDDAPFRWDHVRVKLKKEIVTFGDPGVMPTKMVGKYVKPKEWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPTWVDDQFQLVESDTQESVINNDNGTGEPAEDLNDSKSKQLPRIAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGNHKLCYGCKQPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLDGKDAAEAKQFPNSI >Dexi4B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:4B:12004572:12017859:1 gene:Dexi4B01G0013440 transcript:Dexi4B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQGFPYGMPGYGFSTFASSSAAAGALTAGATVSAASVSTLTPLRITDIPFPHSPSQIPGFGDSGVGATAGSVSGGVNSVDLLGILECRGCGISTFSATSAEIMNLGSHISEVLGKLDDVASDFLEAYLFLIELLLIVLKMNPVVSEEVVLLDGDTPHPESAEEASNNQDAKKLYYPSREHPNSIEISSDDIRCLQPESLLSSPIMNFYIMYLQGPMSLIVRPRGEYHIFNTYFFSKLEAMTSKTCYSKSSRLGTHWSLVIVCMPTKDDQTGPIILHLDSLKFHNSRLIFSVVCRFLKEEWNYLNENGSSAECPLHETVWKNLPRKIEKKPVEPLPMDM >Dexi1A01G0024230.1:cds pep primary_assembly:Fonio_CM05836:1A:30840712:30848259:1 gene:Dexi1A01G0024230 transcript:Dexi1A01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMDLNGELFAWQSSYLLAKQVEHLSLTGVPDLGDMICDGGSSSGPRILPGHHDPFLRRTHTMVPGEVSPTPQQPPSPFPPSRLPPNPNRRSSPPPRDLATIQLPEGAGIQRRTLPRRRPPRDFVRPRSRALAPPRRALARTRLRAASGSFLLFSGAPRAPFLLVLLLLLPPAPAGAGGRGLRGVSGSLPVKRETEESGGEAITRVRGPARREMESPERNVWAEPGAGRRARRNYRPPAPPATRRRLGGEGADSPRGVTALGEAGSDFWYVFRRPRRFSSYVVPASPRSVFISHDLSTPLVLRPPPNSATAPRMRIRPRSPRRMIAVRLPRRHHHPQSHAPPSVREKRIGDSILSPARPRSEIAAFPSSNRSPSTERRRRPSPSSSLLLREAFARRRW >Dexi3B01G0025820.1:cds pep primary_assembly:Fonio_CM05836:3B:20720828:20723998:1 gene:Dexi3B01G0025820 transcript:Dexi3B01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGGDGESQQHLKTWVSDGLMALLGCSQGIVVQLVLRLARECASAGDLAARLVDLAGFPSSPDTSAFAADVHARLPPRHRLAVSGATSEYQRQAQDAAALARKQTQFKLLVDDGDETAALPDGGRNVRRRRCPESDEDAGDHEDEEDDEEEMRRDQAARAELERNIRERDEASTRKLMDRKPSKREQDETARRAEAMDRGGGDTSELRRLSHEEYLKMRAKKKVEELRDELADEERMFAGVRRTDAEEREFKRKKELYNLVHGHADRYEGAAGDYYRMPDAYDDAMNVDQGKRFSVVTRRHDDDARGSKGKKAFSEQESWEEQQIRKSQLQFGAQDRTHASDEYQFVFEDAVEFVKSAAMAGTDLDDGETDELADEIKVTLQRELQDQRKALPVYKFKDELLKAIAEHQIIIVVGETGSGKTTQIPQYLHEAGYTSNGRRIACTQPRRVAAMSVAARVAEEMGVKLGHEVGYAIRFEDCTSDRTVAKYMTDGMLLREFLGEPDLSSYGVVVVDEAHERSISTDILLGLVKDVARFRPDLKLLISSATLNAERFSDFFDMAPVFKIPGRRYTVDIHYTVAPEADYVDAAVATVLQLHVTQPSPGDILVFLTGQEEIETVEEILRRRTRGLGTKIAELVICPIYANLPTELQAKIFEPAPPGARKVVLATNIAETSLTIDGISYVVDPGFCKVKSYSPRTGTESLLVQPISKASADQRAGRSGRTGPGKCFRLFTEHSYAKEMEDETVPEIQRSNLASVVLSLKALGINDLVSFDFMDPPASEGLLRALEDLFALGALNSRGELTKTGRRMAELPLDPMLAKAIVASEKYGCSEEMITIASMLSAGNAVFYRPKDKALIADAARQRFFNAGGGGDHVALLNVYTEWEQSGHSAQWCVDHFVQSRTMRRARDVREQLVALLERVEIERRSSAGDLDAVRKAITAGFFRNAAQRRRDGSYRTVKSWRTVFVHPSSGMARVDPPPRWVLYHELVETTREYMRQVTELKPGWLLEIAPHYYQENNLDTPEPKKAKSHGQDAAAAVATEKPSLSLKDFFKA >Dexi1A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:1A:845121:848820:-1 gene:Dexi1A01G0001340 transcript:Dexi1A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKPAKLREAVAGLTQISDNEKSGFLSLVSRYLSGDEELIEWAKIHTPTDEVVVPYDTLESPPEGAFLTCCFIPEFFFYFNIEATKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYTNSSIEIHTFNQSQYPRVVADEFLPWPSKGKTDKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNGYCMEVTPKTLADVKGGTLISYEGRVQVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFITRNSVRTNPSNPSIELGPEFKKVGSFLGRFKSIPSIVELDSLKVSGDVWFGSNIVLKGKVTIAAKSGVKLEIPDGAVIENKDINGPEDL >Dexi7A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:7A:2848500:2849577:1 gene:Dexi7A01G0001120 transcript:Dexi7A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISAEEKEEPPEAKKEQLPCTWPAAMSSRYKLRNKLGDGMFGEVYKAWDRVGKRLVAVKHLRGRTGEGFVPTNHHYFTREATSLAACRGHPSIVKLVATHADGTHDIDGDFLRGHEYMKLRFKQGRPFQEHEVRDAMRQLLSGAKHVHKVGVLHRDMVPENVIVDNQSVRGDKMVYRIAGFGVSKPAVGAEKDGSGALASPEPYRAPELFLGSEDYDGRVDTWSLGCVMAELVTGGGGTAPFFRADVEEEPVFEKMLRLVGTKGILEWPALKLLARRDVAAELREKGCGSYAGGLRDMFPEEKLSEAGFEVLSGLLEPNPERRLTAAAALRKPWFRRRGFGGGCFAP >Dexi3B01G0023150.1:cds pep primary_assembly:Fonio_CM05836:3B:17930281:17932818:1 gene:Dexi3B01G0023150 transcript:Dexi3B01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLVFSSVSSCQRPLGISPKNAGKALLSESARNFQRKKCFSGGSYRSSQFECSANSRRTGPRRTKDTLYDLHPEISLLYGEDNGAATVSSKDQGKDAAAERLVETSASYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMWISPIEPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEENWRRQQPWSLRLVFSHLPGGRSSVADPRVPAEERAFRVSSSLKSEHVLE >Dexi9B01G0034610.1:cds pep primary_assembly:Fonio_CM05836:9B:36520095:36529457:1 gene:Dexi9B01G0034610 transcript:Dexi9B01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGGAGGSEVGVASGVGCGGRRRLERGVLVAGFLVSDAASWLLPSSLAPLGCLILACVFAAWSGQPMAVDFERTGINRMELPCKEMSSDGVSLSVVEQQVGDTGLPDWEDNRRHFTHVMFVKQAGGRVRFSSERPEGSAWPEPGGGATVPACMEPKMESESLNLEDNGDNQPSSEASLASDVIYDDSPVPPCIGSEHQAEIPNLATEDERRELLAGSLNGYPIVVGLALPIMWASPSAVNKKEEELQMQKVSESETRISSRDVQSQVFKSFSDSQTSLMEFVFSLKSIVGTDGFVEAVGVGKGKHDLTGFIMDQSKPNQALPVHSDLPTGKDCSSLTVEDIIKFLTGDFRRSKTRSNDIFWEAVWPRLLAKGWHSEQPDDASSTKNCLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKKVVATPSLIDLGVDRLDHGSTSEKNGSITDMKLNQESLLDGYPELPKFTIIDTSLVEGEEPFTVRELRKLPADANVSFAHHASNMVSYSSSEEEDANDILSDDQEDCGGAIVEVKEIEMVSVGSLRNMVIANGHSNGNGDKIDLTGICGSKTKPERRKYLSPVSKRRRLTSCSSEQSSRRSFSFSKGGSLEKEKSKTLSSSKPAAVDVGDTFQTKRTAGYSTKEKPSGQKTDASNSATNVGRNERRVMENLIDDKSFEYKADAGTEIHSKITADEPMYANQRAHVSVPIDSKKLKTPHGRASGIVGVTSSENQSGMKAGEAPSISNSNMAHDPSEATGKPVSQQPEPALEANPRRHGTRNRPPTARALEAVAFGLLGSGKRKGDPKNMATSRPSQRARKATRDPEAHP >Dexi2B01G0028140.1:cds pep primary_assembly:Fonio_CM05836:2B:36785578:36786257:1 gene:Dexi2B01G0028140 transcript:Dexi2B01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKSGGGSAKAAAGDED >Dexi2B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:2B:8354122:8356227:-1 gene:Dexi2B01G0008270 transcript:Dexi2B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGDARSPTKRPRDSDDSGSDGDSGSDCDGGLVSDLREIVCLLRLIKGGANKDGQKMCQQIVASVAADIQTMLEETQLKFEQERQNLLKVLSNASKECENSLNEEYGKFQETYDLFCREKDAHMQTFRALFSEVEAEKKKLLEQYEHHKKTETTMLSELGKTFSEKITYAEQSVRKMKQDDKSFIIFRKSIGSFLECGSDDDFDLDDE >Dexi6B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:6B:11182916:11185262:1 gene:Dexi6B01G0008830 transcript:Dexi6B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHRKQSRRAISGVRVLSTAMASPSSTAAAAAAHRHCRLLALPLFLLVPSCLALLAEAGGIPTTLDGPFPPATRAFDRTLRQGSDDVPLTDPRLAPRVQPPAPEQIALAASADAGSLWVSWVTGRAQVGSNLTPLDPASVRSEVWYGEHSAAAGDTASYPQVATGSAEVYSQLYPYPGLLNYTSGVIHHVRLRGLRPATRYYYRCGDSSLPGGLSDERSFTTLPAAGAGSYPRRVAVVGDLGLTGNSTATVDHLAQNDPSLVLMVGDMTYANQYLTTGGKGATCFSCSFPDAPIRESYQPRWDGWGRFMEPITSKIPLMVIEGNHEIEPQGNGGEVTFVSYLARFAVPSKESGSNTKFYYSFNAGGIHFVMLGAYVDYNHTGAQYSWLERDLQMVDRQVTPWVVAAWHPPWYNSYSSHYQEFECMRQEMEDLLYRNHIDIVFAGHVHAYERMNRVFNYTLDPCAPVYINIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGICHMNFTSGPAKGKFCWDRQPEWSAYRESSFGHGILEVTHFT >Dexi1B01G0022520.1:cds pep primary_assembly:Fonio_CM05836:1B:28258887:28261759:1 gene:Dexi1B01G0022520 transcript:Dexi1B01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGGASQRHDAAGYAPVVAAADDPAPRGNGKRGTRQAEAAADGSAPPPAPGMRRGVPAPAELTANVLGHPTPSLRDHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDQQYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIVVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPRPAERLTAHEVLCHPWISDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFTAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAVRIN >Dexi8A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:8A:12115707:12116164:1 gene:Dexi8A01G0009320 transcript:Dexi8A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIVRKILEKCSSDEVCMKMILHALYYNPDMTKNEMERLKHSLGTGHQEKENRIITFCYETLPNDYKNCLWYSAVFTRGMNTPGGVRRASLLRRWIAQGLITEEDEANHCFDAMVEQKLINSHQVSGMGKVKSCAAVPHS >Dexi9A01G0048150.1:cds pep primary_assembly:Fonio_CM05836:9A:50993199:50999022:1 gene:Dexi9A01G0048150 transcript:Dexi9A01G0048150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADQNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIATGPAPPQMGAAAAPRPQGSAAMAPTPGQVGVPAAAPRPQGSGVIPTSTQVSMPQVNPGAAPRPQGINSMMPAASQGGALQASRFAGPRAMQSQPPSMGYNQQPPSSTGFMRPPQLGVTASSLQAQGPGTNQGPLGAGGMGGSVSWQGSNVTSVGGIPQATPGSAPSQTTRGGFDIGLPGPMGMAPGQQVQAMSSSPLPPQSNIAVSPQDSKALVLSGNGPASTSGSSTDIFSALTQPKPTVSASAPQTSSIPSSSSFMSTPTGSQNLSSLGQLGSLQGSSQPQQTQPITRPSLSAPTTPVVSAGISNSASQWPKISQSDIQKYMKVFGDVDRDRDGKITGTEARTLFLSWRLPREVLKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPTLPDSLRHDETLLRATGLPSTAYNGPSWQQNQGLTQRGPGVPGVPTGGVRPPLPPHLQSQTDGMSRPGQPRSNMPGMDNHVITQGSKDDRSGVNSAVHEVADAPKKVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAAFRDVQERKIELNDALIKMVQGGSVDGLLQVRADRIQHQLEEMEKALSERCKHFGLQFKSSATVELPSGWEPGPQEGTIEWDEDWDKFEDEGFGIVKDNGTIHENPVSAENTKVPSLWDDGDDMSPVASSNGHIKDERRYSGGDQVAESEIGYDFGDESVRSPGSAGRSASGSPFKSSRFGMQDSSPSKRESYSDHGGSESVFGDKFADETSWNFDDQDTDSVWGSTALNTEGDHRGGTHNSFFGSEAGSPSGASVFGKKRSSFFDDSVPSSPAYTSGFSPKFGESHDDSSSYNFGRFDSFRSQDTGFFPQESRFSRFDSISSSRGDTVSGIADSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >Dexi9A01G0040700.1:cds pep primary_assembly:Fonio_CM05836:9A:44436021:44439787:-1 gene:Dexi9A01G0040700 transcript:Dexi9A01G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPDVKELPAQAPPPPRSANSVILNTVLEMVDQAGGWKPALCVVLSEVFNTGTVLLSKVAIDSGTFVFSLLFYRSILGAVFTLPFALFFQSGKWKDLDKGALGWLFLNAFAGYSLPSSLYYYGLRDTDASYAVIFASLTPLITFVLSILLGMEKLRLRSKEGNSKVTGVLVCFGGALLISLYKGKVLLLFRAIVKAEHKSSNGIAGRHHLRGTLLLLGNCISYACWYPVQVKVLGVYPWKHWSSVVTCFFGGLQTFAMGIIVTRDKLAWQIGWNIQLLTIVYAAALGTAAKYWLNLYAVEHRGPVYPPMFSTLSAVFIIILGTLLLGESLTVGSLLGSFLVLSGLYIYLYGKAKEPQAKTTPGSMDKELQMWPTRGSANEDTISGP >Dexi1A01G0026110.1:cds pep primary_assembly:Fonio_CM05836:1A:32152449:32155926:1 gene:Dexi1A01G0026110 transcript:Dexi1A01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPPIDWEAESYPGYPDFAAIPFFVVFFLAVRFVLDHFVFEVRLHSHAFLFLVQTQTISLFARVGSVVLAIHDATDVFLEVAKISKYSGHNLLADVSFLVFVISWL >Dexi4B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:4B:2497343:2498973:1 gene:Dexi4B01G0003610 transcript:Dexi4B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAPTMQKARCLLAMAALLLLVVGGGAQPLVPAVMTFGDSSVDVGNNDYLHTIIKANFPPYGRDFANHVATGRFCNGKLATDITADTLGFTTYPAAYLSPQASGQNLLIGANFASAGSGYYDHTALMYHAIPLSQQLEYFKEYQSKLAAVAGSGQARSIITGALYIISAGASDFVQNYYINPFLFKTQTADQFSDRLVGIFSNTVTQLYGMGARRIGVTSLPPLGCLPAAITLFGHGSNGCVSRLNRDSQSFNRKMNATVDALSSRYPDLKIAVFDIYTPLYDLATDPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATSYVFWDAVHPSEAANQVIADSLITEGLILVT >Dexi4B01G0024020.1:cds pep primary_assembly:Fonio_CM05836:4B:25234615:25235196:1 gene:Dexi4B01G0024020 transcript:Dexi4B01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEEDGRELPLKMVVSGGRLTSKRRHQCSSSSSHSGSGTNTTSMSQQQEKEEEDMVARCLILLAQHQQGPAVSSSKCTAAYECKTCNRCFPSFQALGGHRTSHSNNGNKRPRRPEITTRLSPRTSAHECSACGAAFSSGQALGGHMRRHRPLPTADSTSSGLQGLDLNLLPAPSMEQEVTSPAKRVHHFN >Dexi6A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:6A:24938038:24938714:1 gene:Dexi6A01G0017070 transcript:Dexi6A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGSSTRLDTMCKFRTWDWPRRCANGGSTCTAMGLSWKKSSTVEDRNTTSIFWLGLEKLATTVMLALAVSKEGALGLTARKTIKMTTPDTMRRMLKAKQTMAANHIAGEVRSRGCRIIFEGAAGSRCGSPSVCRIVLDGGSGGGGCGSAGGSSSSTTTTTKAPNRRKDEELRWQSRSNGESS >DexiUA01G0002930.1:cds pep primary_assembly:Fonio_CM05836:UA:6238554:6239423:1 gene:DexiUA01G0002930 transcript:DexiUA01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTKLLRNDLKPRNYPMPIRLEGEERIFACTGIFIDFDGSTSTSTVLTSASLVRASADEYKIADDLKQIKVYLPNKQLVFGTLERCNLSYNIAVVSVKGFRCLRTAELHNQVQIQPLKVKEVVAVGRIFESGKLMATSGILAEKGSNLDCKELRISTCKVTKVHC >Dexi9B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:9B:8388852:8391100:-1 gene:Dexi9B01G0012480 transcript:Dexi9B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELQDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVEMRLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED >Dexi6A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:6A:25718872:25719759:-1 gene:Dexi6A01G0017880 transcript:Dexi6A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESDVDGQAQAIAAPASRESRMKSMAGKEVEKAGSCSGRGGRRRPQVSPSPSASQVATTTRTGSTPSADTAKFKANGTMSFGPSRPVSLGP >DexiUA01G0009060.1:cds pep primary_assembly:Fonio_CM05836:UA:17276791:17280545:1 gene:DexiUA01G0009060 transcript:DexiUA01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPWLRFLQLLLLLAGGGEATAAAFELEEATIDSIHRAFGAGDLTSRGLVELYLRRIASLDPALHAVIELDPDGALAAADRADATARSRSSALPPLHGIPVLLKDNIAAAGPLNATAGSLAMVGSRPARDAGVVERLRNAGAVLLGTSSLSEWCNFRGPGIPAGWSPRGGQGKNPYVPSATPCSSSSGSAIAAAANMAAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGPITRTVSDAVCVLEAIVGYDARDAEATRKASQYIPEGGYRQFLNIDGLRSKSKMGAILVDNLEIPSMNVINDAVQSGERALMLAEFKLSLNSYLSELATSPVRSLSDIINFNNKHPIEERMAEFGQDYLIQSEATNGIGRTEERASARLNKLCKRGLEKVMQDNQLDAIVAPGASAHSLLAIGGYPAITIPAGYASNGVPFAICFGGLKGSEPKLIEVAYSFEQATKVRKPPSLQHSVI >Dexi2A01G0026710.1:cds pep primary_assembly:Fonio_CM05836:2A:38292301:38294435:-1 gene:Dexi2A01G0026710 transcript:Dexi2A01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSGFPGFHSHSYDRDYTRPLFRVASFSDSGDEQERNSPSPRGRSHSMSRTASFKVAAPSRLSQSASKMSMKKLQQVVDEKSMEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLEPLPPEKRSMWNREMDCLLSICEYIVEFSPTVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLGILDSFDKREFWYADQRNQSFNESKKSFQRSEDKWWLPEPCVPDSGLSDRMHRELQQKRDQASQIHKMAMEINSSILSEMQVPLSYLETLPKSGRVGVGDAIYRYMSSGDQFSPEHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASTTHVVSKWENVTEMNADGDKNLVLASRARSLLLCLKQRFPDLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAHNTVSWIDDILMADENAKNGHKIRMQKQVFSQFSPQR >Dexi7B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:7B:19600824:19603585:1 gene:Dexi7B01G0012990 transcript:Dexi7B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLATASTRAPCSSSMASSSSTFSTAIAHGQFSNLTPSILHSFNAEIMSPDFISYTRVPEQSLQANYSGLPGEAQLGSGVQHNNYIHSMEGQHYPGSDRTLDESDDREWLRCLANGTLDGIITTNVTSEYPQMAESAPVFSSINSEMWQQERMIHQPVTFPSNLQQVYPTVSPLATTNTNSPKRTKARMRWTTEMHDRFIDAVNQLGGSECAKPKDILGIMDVEGLTRDQVKSHLQVHKHVFPFPDEIIGDTNILFYVACTSVEATTSDEPIPSDVQTRIREFALNMQIEFQKKLHDMVERTRHDLLEIHRSILENHVNILHGLEERQNLNTDRSTAHLLPGAAAAAPDPPLAALLVGSSSTAAQEDGHASQASEAG >Dexi6A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:6A:1446196:1447359:-1 gene:Dexi6A01G0001580 transcript:Dexi6A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSMELCFAAHAGTPAPTGRSPGARPKRRLPTVRCAATVPAPMGEKTEYRDGPLERTFMGLFARKMEKYATKRKQNPPESEKKEEKAVWDWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVIEVEVDGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPVSKQACYPNLCSMSTPSAPVCPKLQ >Dexi3B01G0038350.1:cds pep primary_assembly:Fonio_CM05836:3B:41152037:41158964:-1 gene:Dexi3B01G0038350 transcript:Dexi3B01G0038350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRYQQGREYIIKPRLPTCPAQRIKNMQGLAPHVRAWSRFSEFSPQTDAGPPPSSTRPGQALTEPKLDHNPAQSCKPILSLALYGKWTQLSLSFSLSLSDASGPHVGTSFLFVLQPDSTESAVAPPLLVVARTPRTAATAIYMRRHRRKPKPQPPSSLTLLLAQRRRQAYRLSLRFAATKPRRAKSTQPRTPPSRATRDCPILVVVPSLEELTAMKVEAGATTTPIPTASVLSLVLLFVFPHLSAALAEQPSRSSAMARRQPPPPTELCPRSPKRIPRFPLFLSSQTRRDLESGIVDSDQLRRSSGQAPPRAPSLRSPSRPFVSSHPVQVKPLSPSQPQLLPVVKSSRFRC >Dexi3B01G0038440.1:cds pep primary_assembly:Fonio_CM05836:3B:41232039:41232563:-1 gene:Dexi3B01G0038440 transcript:Dexi3B01G0038440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPPVLCDCDDDPAALEAFLADIGFGLLGQDEMASPPLDLSTMAAATPPEEETSASAAGDEAEHHRRLRRKISNRESARRSRARKQRHLEELRARAATLRAGSRELAARLRGVRARAALVRLANARLRAEASALGRRLAAAHRAIALRQIYAAATSGGGGFELQALASLIA >Dexi4B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:4B:6279144:6285309:-1 gene:Dexi4B01G0008730 transcript:Dexi4B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMISLPLTVGMVAATLRYFAGPAVPAHVLAVVGYAWLCSLSFVVLVPTDIWMAISGNQKSDVGFFWSWSYWSTFILAWSVVPTLQGYEDSGDFTFKERLKTSIHKNMTYYAIVGSTGLFGLILIIIMRHDCEYMNCVMEALELEDTIRNYEQRGANGWIGMMLFYSLTPGQTSSVSLLMICSGALQRMGDIDDVVPFFGRTFNKIYPLIMVVYTLLVAGNFFEHLIDFFGGLKRFKCWTDLEEDTDGLDPSGIFILQKERNLMEQGRKGEATAEMNPERTTGGNVAHKYSSIRDQRSSSKPIVLRPETLKEISSTSINLEPGNSEELPSESTAPDSPGAAGTPSKWTSFRKFLRFGSSPSAGTNLSATESLDEIFRKLKRGSSNSDVDHLDYDGLP >Dexi2B01G0028700.1:cds pep primary_assembly:Fonio_CM05836:2B:37257046:37257720:1 gene:Dexi2B01G0028700 transcript:Dexi2B01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMCFGGAAAVVDDEAAAVARHRDQRGCRSRSSSFRGKFLSGKKGSKKSPLHESKMRGMDADDVVYGVFGPSTASSSALSSAASLDSGYSSSTSSSSSSSSARSSTASSSRSVSGELFSPAAAKRRTSNNKQGSSSPAAGAAAVVLCLLMVVFCGPVGATLLMSMALYLFPRRWPARMTPRGVDGVGSPECDAEEATTARTPTRRKVVMDQGFLVRNRKKCQ >Dexi9A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:9A:514243:517887:1 gene:Dexi9A01G0001010 transcript:Dexi9A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVLRNAGSRRLFTYPSLRAATISAPAALPDAPAAAAPAQPQPMAATLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAIAFDEIDKAPEEKARGITISTAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLTFYKFPGDEIPIIRGSALSALQGTNEEIGKNAIMKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGSLKTYTKFEAEIYALTKDEGGRHTAFMSNYSPQFYFRTADVTGKIELLGETKMVLPGDNVTANFELISPVPLEPGQRFALREGGRTVGAGVVSKVIS >Dexi5A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:5A:3919103:3922189:-1 gene:Dexi5A01G0005190 transcript:Dexi5A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFLAATMLALSCHAAPATAATPTAAAKLDRVVTLPGQPRVNFSMYSGYVTVDAAAGRALFYWLVEASGVPAAAAPLVLWLTGGPGCSSVGYGASEEVGAFTINADDKTLSLNAYSWNKVANMLFLDSPAGVGYSYSNTTSDLYNAGDNKTAHDSYIFLVNWLKRFPQYKHRDFYITRESYAGHYVPQLSQLIYRNTKGIKKPVLNFKGFMVGNAVIDDHNDYIGTFEYWWTHGLISDKTYQKLRLACESDVAQHPSKACQEILEVASAEEGNIDAYSIYAPICKKTSLHKRWLVRGRMVCLLLPKHDILLIDNGYDPCIGDYSTKYYNSPEVQKAFHANVTGIPYAWVGCSDPIYEHWKDSPRSMLPIYRELIAAGKRIWVFSGDADAVVPLPGTRYSIDALSLPTITNWYPWYDNEEVGGWCQVYKGLTLVTIRGAGHEVPLHRPRQGLKLFEHFLRDEPMPKLVDGIQMF >Dexi9A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:9A:5259399:5260807:1 gene:Dexi9A01G0008890 transcript:Dexi9A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCSPAATAAPHATPTPLPSSRKHITMCLSPKLGSSGSQRARLASSSSAPHQVRALASETAAEEAVGPAPVNVEYLAAEFAGHGVAFEPVGASCAVKMALRNGSMAHLLLPSGLVTSYKPAMWHGTVTEVLHTKVAEGPGGRAVIRGGVSVDLRCAGADDAVWSPSGAWSLRDVRGNPSTKIEIELVSSAPGNAATARCVVTLHPEALATELTVTNAAAASSMAVSCGVSNHLRVSTPDATYALGLQGSEYRSVEPALSEFSIVPPDYRAAAAGQQPARRRWADRGFDMILSGGGSGGADDQPDGEEDDDYKHMTDAMCRVYSHAPREFTILDRGRRNSVRLHRKGFEELYVFSPGSQYQWYGNYAYVVVGPVMLEPVVLGPGDTWQGSQYLRNPNL >DexiUA01G0028040.1:cds pep primary_assembly:Fonio_CM05836:UA:60245253:60246139:1 gene:DexiUA01G0028040 transcript:DexiUA01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEARMPPGFRFHPRDDELVLDYLLHKLSGHAHGGAAMIDVDLNKCEPWDLPEAACVGGKEWYFFNLRDRKYATGQRTNRATVSGYWKATGKDRAVVAGGDAAAAAAVVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPPPAPSPEREIPAAARHQRLKVTFFISS >Dexi9A01G0041470.1:cds pep primary_assembly:Fonio_CM05836:9A:45154638:45155438:1 gene:Dexi9A01G0041470 transcript:Dexi9A01G0041470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPERPSPASSDDTANTNVSDEHLSPPSSPSISSKSSSESNASTSSVLSAGSSAGSKPVVSKRPRPPVRSWTASEEIALLEAVVAYRQKHGLLPSPTALAAALDGRLRRGEGRLGAQEVAKRLRALRARYDKAALRLSRGTVPVKDDDVTIYRLSKDIWAGMRKAKMEKKNRAADAREEPREFAELAGLYPCLSAEVEAIDVVSGAAAAGMLKRAFRRIGDDTAARLEAQAKKQQVAEAKASEQLDHLRRDVARTLMKLIPHELP >Dexi4A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:4A:16886838:16887335:1 gene:Dexi4A01G0014600 transcript:Dexi4A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVPTPATSQLQDRQTTKGTVIFSYTCVGLTGTALVGVLFFFFYQHYFRRRAPVTAVVAGAEGNGPGAEGHQAVGVDVTKLPEHAYTQSSRRRSSGDAAQCSVCLGAVKPGEMVRRLPLCRHLYHVECIDMWLASHATCPLCRSDVEPPPEDCKAAPTELPPV >Dexi6A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:6A:11491087:11494920:-1 gene:Dexi6A01G0009680 transcript:Dexi6A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAGSGEADTAAPAPAPEERDWSEMTPVCLAEAFSRLALEDLWRGAMACCRSWRDAARTRPGLFAALDLEPGFAESTPGAETAAWWTPAFQRRVDAMLRSAATLAAGELREVRVRHCSDDALAFAAERSPRLGILSIRTSPSVTDRSMLVVGASCTMLTELDISNCYEVSYKSLEVIGQSCQNLRVLKRNIFNWVDPSEHVGIVPEDYLRECPQDGDREAITISKFMPKLKHLELRFSKLTGVGLNSIPRGCKELEVLDLFGCANLTSRGIDQVAANLKNLETLVKPNIYIPRSSFHMGRYGHWQLYDERFQTNVFQI >Dexi2B01G0033040.1:cds pep primary_assembly:Fonio_CM05836:2B:40742329:40743259:1 gene:Dexi2B01G0033040 transcript:Dexi2B01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGDEEQSQDYLFKIVLIGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVSRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKVVVLQGETNETSSGGRWCCSS >Dexi9A01G0038600.1:cds pep primary_assembly:Fonio_CM05836:9A:42779722:42783284:-1 gene:Dexi9A01G0038600 transcript:Dexi9A01G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDGGRSSSASPPPSASLGEGAETKEEERCGKQVVVVLVGPPGSGKSTFAEAVVAGSNAGRPWVRVCQDTIGNGKAGTKIQCLKAASDALKEGKSVLIDRCNLEREQRADFVKLGSTLHADVHAIALDLPAKVCISRAVSRKGHEGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMSCNDDGDIKKAVDLYSSLGLSDSLPSGTSGQKSKGPVQVGIMKFLKKGDTSSGPKITLSDSKAGQQNPLPKHEKVEARSTCSMEVEKGLNDKKENEDHAKESDSDYVGSRTLAFPSISTADFQFDLDRASDIIVDTAADFLQKFDDLRLVLVDLSEKSRILSLVKEKASKKSIDGNRFFTFVGDITQLHTRGGLQCSVIANAANWRLKPGGGGVNAAIFNAAGESLQHATKKCADALRPGTSVAAKRHVLVVSRTDGLDSLANVKTEHLPLLRRMHSAGVNWAQKFLGEDPSLVFRLGYHSVPSMRQLHLHIISQDFNSTSLKNKKHWNSFSTPFFLDSVDVIEEIEQHGSATTSSDEKVLAMELRCHRCRSAHPNIPKLKSHIASCKSSFPSHLQKNRLLSSSTMHIDCT >Dexi6B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:6B:404330:405349:-1 gene:Dexi6B01G0000600 transcript:Dexi6B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTHNSSSSSSWDLDMSLGSHHHPLLFDTHPNNPPAPPPPPPPLLPFHLSSTTTHHHPLHHHLGLDPSPSSSSLFPAPPPHHHHHHRLLGLDIDPSPHHHHHHDSYEHHHQAEDPAAGHHEQQQEMRQHQEAAAGAQDDDRGGGGEEVEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQHHPPPFPGGGGGGGAGGGGGAAGPSGGGASVVAAAGRPPFLPLGPGPLIDWAGLVRPLDIHGPTSSSSSSSMGGAHATLGFGFSSAGQSSSHGMH >Dexi9B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:9B:1943570:1944317:1 gene:Dexi9B01G0003390 transcript:Dexi9B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPASATTALPAPAPATVVVDKRFCAPEATAFAVAKTITYTGRDFTVTNAGGANVMQVEAAVFALLKKSLLLDAARRPVVTMQDSGYFMNTRWAVFRGNSTSRRNLLFTVVKSSAIQIGRTKIYVFLASNSGEEAPDFVIRGSYYDGACTVSPGNSDATIAQASITRQNTVQLIGFGRNKYTARINPGVDQAFILALTVILDEMH >Dexi2B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:2B:25272282:25272516:1 gene:Dexi2B01G0015250 transcript:Dexi2B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDVTPVVAIVVDVEVDPVVAQCVEDCPYLGLVLEALLGDLLGSIGVYGLLREAVNLEAHGEDLPDGGSSLGA >Dexi7B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:7B:12819027:12819863:1 gene:Dexi7B01G0005400 transcript:Dexi7B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMASISKDSEELLNAQLELWHHAFGYVKSMALAVALDLGVADSIHRRGGAATLSHILADANLSPSKLHALRRLMRALTVSGTFTISTSTSSGDDEPFYELTPASRLLVRDDVDGDDDGEGSPASLSPVLSLVLNPFRVSPLGMGIAAWFRRGDGEVAPFAVAHGKNMWEMAARKPAFNALVNEAMAADSRFVMRIVLKECAEVFRGIESLVDVAGGLGGAAASIARAFPELKCSVLDLSHVVASAPSGGNVKFVAGDMFQSIPPADAVFLKVRKSC >Dexi2A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:2A:7129927:7135514:-1 gene:Dexi2A01G0007310 transcript:Dexi2A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPASTSWFSGLVRSSSSSMAGGVASAPAAAASLPDAPAASKKSVATAAAASGGPKRKQLQGALFKYGPTSAQVAFRTGDFNHQVIFIGGLTDGLLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSEGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMISEGRGMDLMPREANPDVPITAYRYHSLCSYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKKALVERLCRALGGAEKVEIEWGNHSLSNRVQEAVRAIVDFVKREGPKGWDDPWS >Dexi3B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:3B:14257812:14261246:-1 gene:Dexi3B01G0019220 transcript:Dexi3B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEQNLIDAYASEGWKNQSLDKIRPEKELERAKAEILRCKLRIREAFQNLDCLLSKGKIDESLFDSEGEISCDDIFCATCGSKDVTSGNDIILCDGACDRGFHQNCLNPPLRTEEIPQGDEGWLCPACDCKIDCIDVINELQGSDLSIVDSWEKVFPEAAALANGSKQNDAFDLPSDDSDDNDFDPNISEEHVASKEEGSSEEEEDEDSGSDSDDSNLSSDSDDSEPLADKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIEKKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLQDGKVKDIERSTDQANTENSGDDPMEAEMDQSEAYGEAPSDSSDDEEWSGKSTPRKGNGDSEVDSPAGKGSRSTRIAHHGDELTPQSSAKSLHPDLIHGSVDEKHGYLNSNSSNSTTRKGGFGPVISQKLHEHFKTEPYPSRSVKESLAEELGLTFRQVSKWFETRRHFAKAASSSKSICPDNTNSPVAASTQLNEPEGTEMEKTNVSRNKDATISRKVGSPKAGSRKNRRKNASGSDVKESIINSAEDPVLDLDTADKARQKAIQREMMKKKKGR >Dexi9A01G0028280.1:cds pep primary_assembly:Fonio_CM05836:9A:32913655:32916385:1 gene:Dexi9A01G0028280 transcript:Dexi9A01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDVAPLPATTAPAAAPVPAPAIQPPPPPSSMPPPQQQHQQPPPPPPFAQQAPPAPSPAASMPGGMRLSFDQMKPEHHHHAAAPMLYAPPPPQSAAGAGAGAAGSPGGNVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASGGGGGAPGQPQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPPQMPTFVAPPVATSPPSEGTSSASSDDSGSPINHSGMPFNHSGQHQHPHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >Dexi7A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:7A:15676913:15678746:1 gene:Dexi7A01G0004750 transcript:Dexi7A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVMSRLAGAALQATLTALLAVVLPPYYVYKLTTYLLNAVFPEDVAGKVVLITGASSGIGEHLAYEYAKRRAYLALVARREMSLRDVGDRALALGSPGVLVLPADVSKPEQCDKFIDDTIRYFGRLDHLVNNASIWQVCKFDEVEDVNNFRTLMDINFWGHVYPTRLAIPHLKKTHGRIIGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELGGDIRITEVVPGVVESEITKGKILSKEGEMKVDQDQRDVSITDHYNTLAILGPTPAERVGDFARTVVRDVCRGARYVFEPRWYMGVYLLRVCLPEVLSWSSRLLTVDGAGGVSSTDTLGKWLVELPGVRRATQPPSLRSTEIKDQ >Dexi6B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:6B:7386968:7387635:-1 gene:Dexi6B01G0006730 transcript:Dexi6B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKKTASSHTTEVVTGAHSFKISGTFTIGSYDWAICFYPDGVSEATKECAAVYLLLVRKNAELRASSSLIVKESWVETTRDMDTKVPPSDLLEQLGKLLLAEEGSDVAFSVGGENFPAHKIVLATRSHVFEAQLYGQMKESMAC >Dexi2B01G0022420.1:cds pep primary_assembly:Fonio_CM05836:2B:32080798:32082120:-1 gene:Dexi2B01G0022420 transcript:Dexi2B01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARPKGTFADAMKESSATAPAAAAAAAVKEDEWEVRPGGMLVQKRSPDGDAPAGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSERTGLHPEDQKVVYKDKERDSKAFLDMAGVRDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIVGKGGKVVDADVVTLTEALMNELVKLDSIAADGEVKVQRRMQEKRVQKYVETLDVIRAKNAAAPKANGNGNGAANVNGHAKARATQHLPPRPPPVSQRRNFQQPPPAAAAPPTQRWETFDLLSSVPSTSSAAVTTTMAAATTTSPAAATTSPIPRFDWELF >Dexi9A01G0038210.1:cds pep primary_assembly:Fonio_CM05836:9A:42524611:42525569:-1 gene:Dexi9A01G0038210 transcript:Dexi9A01G0038210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGPFGPIGAQQEAAGVEPNPILVVVDHLVPAVHDEVIRPVALPRELECHVGEHGVGVHPPEELDLRVRQEQRPDERELGPEAGHLGVEQRHVVEDLDAVDAAVVDLVLDGLEEVVVTDGVLAGLGGGARDEQHPRLDVVEERRRLRVAAVPVGALLVPVGDLGAQRIGRVPECPRRRVGLVVAAPGGRGRRRRGRGGAPGAGVVVLCERPVRVGDEVLAELDEVLLRRAEAAGADGAAEHDDGEEEADDGELGVPREALDLPHPALPDHPLRPHSPLPPIKLPLPFLFPPNQTRTKPNRNT >Dexi9B01G0036010.1:cds pep primary_assembly:Fonio_CM05836:9B:37625555:37626348:1 gene:Dexi9B01G0036010 transcript:Dexi9B01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGSGAALSRQGSVCSLTFGDADGQLHGVNLDDLLLRAGRKTTVDEVWRDIHQGPCPRAQMTLEDFLSRPGPGSGGAPAADAATGATGARGWAHQQLYQPAQGPQLGHHHHPGVVGRPVPRPLGVGAGPVLDALYHEGGAEHGGVAERSNERRKKRMIKNRESAARSRARRQAYTNELENKIVQLQAENDRLRGHKE >Dexi7B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:7B:17621026:17625088:-1 gene:Dexi7B01G0010250 transcript:Dexi7B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKLTMPNARSRSQAMALAAEANGVNSIGITGDLKDRLEVVGEGIDVTCLVMCLRKKLCHAEILQVEEVKNKKPEEKKKPEEPKPKPCTCPGPCWCAAGYCPPPLPIVLCEEPPAVVHLFHVICAALLRARLQKIVIKMSMATEKTRSKAMALVAKADGVSSMGVTGDGKDQLEVVGDGIDSVCLVQCLRKKIGHADIVKVEEVKPEKKEEKKPEEKKPEPLPYWWYYHYHPAPPCW >Dexi9B01G0031960.1:cds pep primary_assembly:Fonio_CM05836:9B:34260622:34261790:1 gene:Dexi9B01G0031960 transcript:Dexi9B01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKLAGRGEEMSTHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNLSDCERLCKAFLQEINTFELPLLKSKVVVDANIREKESFNELRVEIERQILQAQTDIEDLKKQLVQSKIERQHKEECEAIRKLISLQPPRSETERLIADLEKEIADLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAVAEEQKMSIEESSGGASDAMAVD >DexiUA01G0006630.1:cds pep primary_assembly:Fonio_CM05836:UA:12818715:12820552:1 gene:DexiUA01G0006630 transcript:DexiUA01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYNGGVIQNSEFNSGLMGWSTYRNIKAGVSSSPTGNKFAVVHNPGSFLSSSGKFLPSHSVFQRIQMQGDMHYSLSAWLQVSNGTAHVKAVIKAPNGERVIAGAIVAQSGCWTMLKGGMTTTYSSGHGEIYFESDAPVDIWVDSVSMQPFTLDEWDAHARQSADKARRRTVTFVAKGADDKPMAHANVSIELLRLGFPFGNAITKEILDLPAYEKWFSSRFTVATFENEMKWYSTEWNQNQEDYRVADAMLKLVQKYGIKVRGHNVFWDDQSSQMKWVTPMNLAQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKLGPSASAQIFNQVGQLDRNAILFMNEFNTLEQPGDPNPVPSKYVAKMNQIRTYPGNGGLKLGIGLESHFATPNIPYMRSSLDTLAKLKLPMWLTEVDVVKSPNQVKFLEQVLREGFAHPNVDGIVMWAAWHAKGCYVMCLTDNNFKNLPVGDLVDKLIAEWKTHRASATTNADGAVELNLPLGEYKFTVSHPSLKGAAVHTMTIDTSSSPSVHTIHVKS >Dexi5B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:5B:1459718:1461318:-1 gene:Dexi5B01G0002260 transcript:Dexi5B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVSYPEGWELIEPTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISEKLYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCRGCASGD >Dexi7B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:7B:2308384:2308658:-1 gene:Dexi7B01G0001370 transcript:Dexi7B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEESGSGVAGAGGGGEAPAPSAPNGDRSGNSPPAAPAGSSSGGDLPRPASSFRCCLCRHLRPAVPQGGEGGRFSSVRFGFVSS >DexiUA01G0026530.1:cds pep primary_assembly:Fonio_CM05836:UA:56826413:56828265:1 gene:DexiUA01G0026530 transcript:DexiUA01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMTATEVAELLDLKPHPEGGFYAETFRDGSVTLTTSQLPPQYKVDRAVSTAIYFLLPSGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPEQHYSLVGCTCAPGFQYEDFEMATFEDVRSIAPKAEPFLKFLIPCAE >Dexi9A01G0040160.1:cds pep primary_assembly:Fonio_CM05836:9A:43996573:43997790:1 gene:Dexi9A01G0040160 transcript:Dexi9A01G0040160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGASGRGGGGRRVGEYELLRPIGSGAYSQVWLGRHRARGTEVAVKEIAMERLSSKLRESLLSEVDILRRIRHDNVITLHDSIKNILLVENNENSLLKIADFGFAK >Dexi4B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:4B:1262720:1270859:1 gene:Dexi4B01G0002020 transcript:Dexi4B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDYFIGVRSEIRICALSLMNCTSCHSVAASAAMLGLSKEAETMCKWMSENNKLLDFCDVIPEDLLDGRIVRYLTLYVMIDILEKSSSSAAGNKIDKQESASRHGPAGDGNGIVASSAATMTGCIGFSGSKKVKGLKISGDFLEKAWGWERLVPHYDGYVTWSDYSNYLVEYYNHNAFKFVAEAASNQNLDWLLDPANQVVATAVVKSCITKEAELTHELLKHGAKPTDEMIQQSSVIRMCALGLVNLKGCQSILSAAAAMVGMAKEAKRMSDWMKRENKLLTFSISEHPELEMGRFMRNRTLDVMISIYWKSLPFLLPSNGVKCIAKEADLMYELLRHGASSSDDYLIDQSTEIRMCALSLMNCTSCHSVAASAAMLGVSKEAETMCKWMSENNMLLDLDDLDVIPEDFGDRRIVRFRTLYVMIDILEKSEKSAAGNKIDKQVCANRNGGGGGNGIAASSAATIIGISDVLGSQDLEKIKDLKISGDFLEKAWGWERLVPHYDGSATWSDYSNYLCITKEAYLTHELLKHGADPSDDMIQQSSVIRMCALGLVNLKGRQSILSAAAMVGMAKEAKRMSDWMKRENKLLTFSMSEYPELEMGRFIRNRMLDVMISILQESSFPSSKDLLTATPF >Dexi1B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:1B:5249545:5249853:-1 gene:Dexi1B01G0006380 transcript:Dexi1B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASASSSSLRALLRATARSCFGNGGFGERLRWRGRAGAEEFRYDPLSYALNFDEGDVPDVDGEEDRAAARRRGRRFLMPASPRGAVEAA >Dexi4B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:4B:6665915:6666282:-1 gene:Dexi4B01G0009310 transcript:Dexi4B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPRPGHATTLTLPATGASACLLRPRARRLLLRGMPRAAAATRHVAVAAGASRGLACSHHSSVAAAAATSTSTSQQRVGGGGELVALTLAASAPVAAAARQEY >Dexi3B01G0021940.1:cds pep primary_assembly:Fonio_CM05836:3B:16832889:16838912:-1 gene:Dexi3B01G0021940 transcript:Dexi3B01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSDMWTVKKLSAAQHPSGIVGSTCTGSQHLKTPTLPRTTSVNQALVNQPTTTFLLLRLRVRRRRRTSNCHLACALLQDSAPDPPRSLRPPPPRALHGGRVGVALFVGDDLPHDAGQLLAEPPHASHLIVPAHVVDPRTRPPGHDADVTGLLGAARASSGDGLLLLDFRATAPSVGSRLAARARQSAGIDVNPGTARVVCNPLSGQLFCLPDIDGTNNGTVPCQSLGILTRSERPHGPPDRYAVADLVEDCDGRKRSFVMRRFLSQTGEWEKLVRLPSPLPLARRMVIDQAVLDFAGRLWWVDVSWGAVSADPLSDRPELRFVELPRACVTKAVKGLRAIGGHRRMGVSEGKLRFVEVSRKEPFFLSSYALEDAGSSWMLECNVALSALWKTHGCPSEEDAPRIGAIDPLKPQPRGRGHSSRVLVGSLPAFEAQEAEHFGGKLTTRVGSKGKAFERPATFLPPPVIPLTLRHAPRLQTGRSVEQAHGTTALFPPPLRRCCRQRSELREHTSPREPTHPAPPRLLRFQISTAPQLLHTITGSLLTLPTPPHQGASSAAKMQLLFRHSLSAASGRLRRALSTAASGSRPPWALIHRISTATPSGRAASLSLAPPPSPSHIVAPRHVYDDMGAYGREEGCIDMHGCGAHAASADGFLLLTSFKFRVRLHPLAKPDLPLEVLARIAPSPFQIVSQHFLRFVCNPISGEMVRLPDFDGQEKNMNHQYLGIMTEAAGRNGPPKSYAVAQLTDDEDGEGGGRRLVWRQLDSETGGWDKLVMPSPLPSGRRMDLNHEVLAFGGRLWWVDVSWGAVSADPFSDRPELRSIKLPAGSVLPDQKGDMKALIKRRRMGVSDGRLRYAEVSPEEPFLIKYFTLDEQSGCWTLDHQVPFAALLSRDGSCPVPLLGAIDPVNADVLYMSIDGEITLAVDMRRKKVTGASPLNQVRPNKCSASIKSNSR >Dexi9A01G0028040.1:cds pep primary_assembly:Fonio_CM05836:9A:32651055:32662505:1 gene:Dexi9A01G0028040 transcript:Dexi9A01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTPPPLPPPVGSGGRHRLRRHPPPPPSTNPLGLHAPTASTAHRGSSTARLRRRPRRTDIRPSSSVPPPRSAPVWMEMEAAAASDETLAAVFAQLKPHTVALLDLLRSRRPASSSASSLREMVSFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKQGNALGQGAGDIDISDAVAEAGLACLEVLLTKCRLSSVNQMVAMLKKLTSGAMLSPLEASEEFRSGIIRCFRAMVLQLQPCSEGMCSCKQATLLPTTLTNTSSGAVSVVHSKLSEQPEECLLAFLRSQNASAAVGHWLSLLLQASELEASRGHRGSADVRKDALHALRILIAKVGSADALAFFLPGIVSRLGKVLYTSKSMISGAAGSSLSIEQAILGLTEVLMIVLNDKENFSALDMATNEDWAHSSGDDGSSEHVLQMLRQLPTKNLPEQIGHGETTDDFTSDVNNSSADRKALHVKRTKKWLEETTSNVDKLLSATFPHLSIHSSEKVIAARFFDCLGLCISHSSQFSGSMEKLIVSKPLSVGYLYSVAELKSGAYSKDMANNSLHATYTSAASKISVVHDNGLSNAILGTVEYELPHVPPWFVHAGSQKLYLVLAGIIRLVGLSTVSGRETAASLSPFVDILLDHFRRLSTELRSKDIYKDGVHRWYMKGEAGHTLRQASAAVCMLNELIYGVSDRSLGMFLQLFQKRSAQMVRTACQSDQSACVQNNGVTNGREVWGFNEKKDTKDNIIHCIGSILHEYVSPEVWDLPTVKDAELCETELNLPLHFYRDTTALHTVTLEGIGVLGAVLGQDFARSGFMHSSLYLLLRELISSSAQIRIASDAVLRALAAAGGHCSVGQFVVANADYIIDSLCRQLRHLDLNPHVPDVLASMLSYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEIATACRHESTSLPDDAQSFYLKVSSEGQEVKILIEKRMESRATSGNIFTGFFLGRMNVNGQPDFMSLEYWEDLLCKLNEMRRYRRIVGSLTGSCLSAATPLLSSTKETACIVALDIVENAVISIAKVEEAYKCENQSKGVIEEAIQLLSFDELLDDTDATEEADENRLLPAMNKLWPYLVICLRNKISVPVVRKCTEVLSRAIRMSGGDFYVRRFHKDGHIVWRLLALSPFRRRRMSIMDEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIAAISSNKRSAIALESVLKKVCGLVVGIAYSNLTGLREAVIRALTGLACMDADLVWLLLADVYYSLNQIVPLPPNQIVAEISDLLPPPMSSREYLFVQYGGEGVRYDIDPSSVHEVFKRMQDEILT >Dexi5B01G0020830.1:cds pep primary_assembly:Fonio_CM05836:5B:23045830:23046207:-1 gene:Dexi5B01G0020830 transcript:Dexi5B01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEPWSPGAESAGAGAGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQM >Dexi9B01G0044170.1:cds pep primary_assembly:Fonio_CM05836:9B:43995733:44004005:-1 gene:Dexi9B01G0044170 transcript:Dexi9B01G0044170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEKLRWPFSDGSVTDLLDARSLHGSHDFKKRVQFHSSLVQKLALEKEMEGHTGCVNAIAWNSNGSLLISGSDDTRINIWSYNNRELLHSIDTGHSANIFCTKFVPETGDEVVVSGAGDAEVRVFNMSRLSGRRPREISVEPAAVYQCHSRRVKKVAVEIGNPNVVWSASEDGTLRQHDFRECSPCPRAGSANQECRNVLLDLRCGAKKSLADLPKHPLALKSCDISSVRPHQILVGGSDAFARLYDRRMLPPLSSCRNKRKPPPCLKMFCPLHLADNKKTYLHLTHVGFSPNGSEVLLSYSGEHVYLFDVEPDGNMSSMRYTADYVREQLFVPPFHKVPANEYAKQRRISANTTSRKLSRLGRLREASEYAEAANSLLPPHSELRKQVDDIKQRVASAELEKNRTDQNGNAKANAQGKLRSLSDILFRSNVSGSSSQEGREDSDNDDEMELDYETSVSGDESRENDQGVLHGSLSFRFHQKDDQTNEYTGENGSNSQSDVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQPHPFDCAVATSGIDNTIKLWTPDANSTSMVAGPELDVLSAIENNQRKLCRNRQIVLPFEFLERFRMHELAEGLECAQS >Dexi2B01G0021840.1:cds pep primary_assembly:Fonio_CM05836:2B:31509569:31513022:-1 gene:Dexi2B01G0021840 transcript:Dexi2B01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPHPAESPDAKDASASPPKDAVAIGGGAATGGVPETNTLWVGNLPSYVSEGDLMALFAPHGALDCALARAGSRSYAFVLFRTPAEARAAVEATRGEKVRGAAMRTEFARPARAVRNLWVGGISPSVSKEELEEEFQKFGKVEAVAFSQDKTSAYIDFEKLEDAISAHRSLNGRTLGGKELCVDFQRSKGRAEWSESSSFNGRVSGQPGDKRGTGPSKGSAGMRMRETQPTNVLWVGFPASYKVIDEEALKKAMSAFGVVTKIKIFQTRQYAFVEFASVVEAYNAKTNLDAHLFDDPRIQILFSNSELAPNKLDNPTSLAGFSRSEMYSSDGRGSGTLQGYDLSRGGRSRHFDYGGLPTPGGILPPPESFDPREAKRMRLDAGADPYDARASSTGVYSSGFRHWESSVHAEGSSSPAIRVRGVVHRTSYLEHFWRGSISKGGSPVCQARCLPITKGSDIPLPDVVNCSARTGLDMLAKHYADATGFDVVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMSAASTLRPQLTGPDLQPYYDERETLPSSQRKYSIISPSDNGYPDADYRGSLREESMHHLGQISGRPRVDEGQAVQPVLTGFPTNQTAATQVQSSVKPDIMATLAKLMPSVQPSPLIANLQQPGQQFSRQASAAHLTNYGSMVGAQEHSTQHTAYNSEVGLNLPPPPPPPIPAPTHSSTLPSHGGHNLPAQTNQQLYQPEQYYVPQSNYGPLATGSHHSNIQVSNNNPAPPLPQGNPGPQASNQMGNLAQLQPSSHGQQNFALGSAQTLEEADKSKKYQATLQLAQNLLLQIQQRQSGNQP >Dexi1A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:1A:3064761:3065208:1 gene:Dexi1A01G0004180 transcript:Dexi1A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDFGDPEVGTRNAYSPKTSSHAYSQPAASRQPNRGDTPTPRLMNVDAHLLRPLRLTKAHDGGAGEGQREWWRTGGGSGIATNTCRLAGPSRGPAHQKNSQPMYVGPPLPDTLSLGLPKAHM >Dexi3B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:3B:341914:342420:1 gene:Dexi3B01G0000480 transcript:Dexi3B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYTAQNVSRALWQPVPGTKVYRLKYGAAVQVVLQGTNIFAGENHPIHIHGYDFYILGEGFGNFDAATDMAKLNMDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLQAPPPDLPLC >Dexi1A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:1A:21666086:21679064:1 gene:Dexi1A01G0014860 transcript:Dexi1A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRRAAYAGKKLGEELDGWLRAARLTKSPDVRAIIAPHAGYMHAGSYAAYAFGNIDPASFSRVFLLSPSHRYYTAKCALTKATVYSTPVGDLRVDQEVLTTMFIFNRTLRCQHIHIMTLFCWFQSLKNSTIQRNLNSWTLVSMKLNMASKMLLPYLSKVFEGHTVKLVPVLIGSLDSESEATYGQLLGKYLDDTKNLFVVSTDFCHWGSKYKYTYYDENHGPIHKSIEALDRIGMEIIEVGNPSAFSQYMQEYKNTICGHHPISVFLHMLKNCLVKVKVKFIRYDQSSQCNAMEDSSVSYACAVGKVDPSGEDEKKE >Dexi7B01G0022210.1:cds pep primary_assembly:Fonio_CM05836:7B:27211475:27214680:-1 gene:Dexi7B01G0022210 transcript:Dexi7B01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLLRGAAVVVVVVLTLGIAGAARAQLRQNYYGSSCPSAESTVRSVMAQHLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVDAINKAKAAVEALPGCAGKVSCADILAMAARDVVNLLGGPSYAVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFAQNGLTQTDMIALSGAHTIGVTHCDKFIRRIYTFKQHLPWNPPMNLDYLRSLRKICPINYSPTSFAMLDATTPKVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANSTAFYEAFVAAMAKLGRIGLKTGSDGEIRRVCTAVN >Dexi5B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:5B:22530727:22531142:1 gene:Dexi5B01G0020290 transcript:Dexi5B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQPQTRNAQGGAESSAMKLLFVEMGVGYDQHGQDITAAAVRACKDAITSNSIPAFRGGSIPGVNTDQMKLQIKLGVPRSTQHLLDAERVKAVFP >Dexi3A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:3A:3030305:3033468:1 gene:Dexi3A01G0004670 transcript:Dexi3A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHLLRSGVSGGSPLHPLRSLLLTSQELGRRPLSSAAGDAASELRGAREDVKQLLKTTSCHPILVRLGWHDAGTYDKNIAEWPKCGGANGSLRFEIELKHGANAGLVNALKLIQPIKDKFSGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVTAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAEDQDAFFQDYAEAHAKLSNLGAKFDPPKGISLE >DexiUA01G0010900.1:cds pep primary_assembly:Fonio_CM05836:UA:21707192:21708141:1 gene:DexiUA01G0010900 transcript:DexiUA01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAASDRSVWGSKKPGRASASQSWTRDKLVARTAAAVPIPGRASLSDSWTRDKTERKEAAIVEEQRVGRAPSRGESLIRAKRASSRALSEVVERSEKKAKPEENAAANKLDGDAEKPEENAEAKKLDGDVVFYAGPAFIKSPDPSEVPLPPKFVLLGKPPEPSDLPVPRFLMTKAPRATRWFVIKAPKALRRRSI >Dexi6A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:6A:22078566:22084356:-1 gene:Dexi6A01G0014690 transcript:Dexi6A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSRFKKICVFCGSSQGKKASYHDAAIDLAKELVSRDIDLVYGGGSLGLMGLVSHAVIGGTVGEVKAVEDMHQRKAEMAKQSDAFVALPAHTHQQVGLLNVDGYYDSLLAFIDQAMEEGFISPSARRIIVQAPSAHELMEKLEVYVTYYDKVASELDWETRMIAKDPAIDGSGIGVPSYNTDQNLPPWEKPVMSPVKESPLTMEDKSCSLGIGEVETSHQLTPIDETDEAMTDASRP >Dexi9B01G0023700.1:cds pep primary_assembly:Fonio_CM05836:9B:19109916:19110549:-1 gene:Dexi9B01G0023700 transcript:Dexi9B01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKRLVRLVPADPSSPRRRAAEAAFVRLLDGEVDRFNAFFMEQEEEFELREAAKKVAEDDGEPAAEMRRVRKEVVDLHGEMVLLLNYSAVNYTGCAR >Dexi6A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:6A:22178056:22178582:-1 gene:Dexi6A01G0014790 transcript:Dexi6A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVEEANSAGVGDTGRMSVDALRRELLKESIIQEIILAELAERWELGPQVRRELGLENAGAQSLCKRSN >Dexi8B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:8B:20498654:20502654:-1 gene:Dexi8B01G0011480 transcript:Dexi8B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAEAEEEVRLELEAVAAVYGDDVRVLHDLPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKEPPHIYAVESKGLDENRQSYLISSIENKAKELSNYQMLVALCEKCHMQEAGEILSNMNHPAGDCPLCLYPLVGKDKDGSEFPFMKLMSCYHCFHSECIMRWWEWLQHSDANPKERNTGGVDISLLVQPIVDVSKGFDLSSSDKHYNVNQHKGFCPVCRKVFDGKDIEHVRDLLGECTSHMVSLTLDLGEDEKELLYSEAEQIRRKRIESLVNMQQEHNGLIEPKKDLAIQPGMYVTLPSSTADTATEENADPSEETTTSTSGTEQLSQANNTTSATKPKNSGYRRRNRANASRKQPHGQPGRQQWQRKEADNLQQ >Dexi2A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:2A:5797676:5799404:1 gene:Dexi2A01G0006130 transcript:Dexi2A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKIVAARWQRWAFTALASAFTNFGSVWLILAPLVSLVATYAPRRLFHTYFNLFLRRRTRRLLNVVDPYVTVDISEPGSDVRYSRYGPVSDTDTTYEEVKAYLSEACLQQQDARELRAEGAKDGDGLVVSMRDGQDVADEFRGVPLWWSSVVEEDVQGQRQGRRRFQRLTFHLRHRRLVVDEYLPHVRRQGREILFSNQRRRLYTNSKSRDVYSSYEYKSWSYIDFDHPTTFETLAMDRAKKKEIMDDLDAFRNNKEFYRRTGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDIYDVELTVVNTNTDLRKLLIETTSKSIIVIEDIDCSLDLTGDRSRQRRRAAGTSKDDDERPRNTVTLSGLLNFIDGLWSACGGERIVVFTTNHADKLDPALIRRGRMDMHIEMSYCGFEAFQTLAKNYLDIDGHELFGNVEEILKEVKLTPADVAECLMTAKRAGSGGPTTRCIEILIDELRKRAEEKEKAEAEAKEKAEAEAKAWAEAEAAAATTTVAAEPNAAEMARRNPR >Dexi4A01G0024080.1:cds pep primary_assembly:Fonio_CM05836:4A:26929320:26930874:1 gene:Dexi4A01G0024080 transcript:Dexi4A01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTGRDGCGGPWSYRRVQTGSSSHAISSSSGTVSTTYTSSGSRPSFTPIEAAANSMHFDFEFHGEETAEEQVKRMKSLVHEFFGAPSANCSISGCDASVLERWFTELGVWWVLRVVPVGASAGKVGHTTTFVDTWSWIRALTEIMQTIRLTTSLISPVPSEVLVRDGAPEPYMKLSNLLGVHGALSKTLSEIWLLFHSPPSAEVERIEREMVSLLSAKEAKAGEAIWGTMEEIRTCILDSMEDSLGTPVLQESPDLHKATLRVMTHIKFLHRNYFSVAPILNEVASLGKYVPRIVDASPFVSLVVEMVSCVEEKLAKRNYSPLFKFESEFQKIYTTQEKWKVPDPELRKMLRGAITEKIVPVYTKYIEDNSVATLKFTPHKLEEMLKELFEG >Dexi6A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:6A:2446251:2449963:-1 gene:Dexi6A01G0002620 transcript:Dexi6A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRGSHINSVRAPRGSHRVGPIYGTTAHPLIASPPTTMLVNDVLSKVTKVRAIMRANPKLFLHALGRSYNPANRPGSRGNRVHPPIWLPRWAPPSDHAAPTQAKSTAPTPWALLVSEAPVSLACLHCSYGLRLVAFFPVRFQNSNPLLLFLLLSTIAVSLFATGEGGERGRGETEGSQGRDLEADAWRLKAILRFGRGKHPSSTIPLLFPLSLLHCLLAAHYSNRRRSPLRAFVS >Dexi4A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:4A:23660669:23663426:-1 gene:Dexi4A01G0019800 transcript:Dexi4A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKAKSRPVKSWVVWGLISMVDAERRLLANALEDVDNQFFVLLSDSCVPLHSFDYVYNYLMGTNISFVDCFKDPGPHGSGRYSIEMYPEIDEREFRKGAQWFAVTRRHALMILADSLYYKKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYGAADVTYDLLKNITAIDENFHVTSDDKTE >Dexi8B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:8B:514859:515368:1 gene:Dexi8B01G0000760 transcript:Dexi8B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRDVVSVVAVVVLLVMAAAETASAAVSCSEVTSAVMPCLGYAMGNAASPSPACCNGVRSLSSRASSAADRQAACSCLKSMTGRFGRSMGNAANIPSQCGVNVGVPISPNVDCSKIN >Dexi5A01G0036020.1:cds pep primary_assembly:Fonio_CM05836:5A:37696839:37697115:1 gene:Dexi5A01G0036020 transcript:Dexi5A01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLRGMAGGGCRTGGGGGGGRLGRAGGGCEEGVGVVEGGGWTAGSEVAAGRRRWWRSAAAGREAIRLTEEEEGDLGGRRKGKG >DexiUA01G0007270.1:cds pep primary_assembly:Fonio_CM05836:UA:13847388:13851570:-1 gene:DexiUA01G0007270 transcript:DexiUA01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAGMDEAEERLRSDEEEEEEEGEEAWDDWCSDGDDAAGRLLCLFCSSWFDTDSSLFEHCAAEHCFDFHRIVKELGLDFYGCIRLINFIRSKVAENKCWSCGQSFSSNTELCSHLHGVDIIEGKVQWEDDVYLKPFMEDDSLLHSLSMDDDEGDEECRISVERGQCSGGNGVLAEPLGNKLSTLTEGTVSDISAQFERECTIGSAKGEDRESLAHETNDSQLKVARASVNARAVKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDALLANPSLLSRATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLYDENVKAEQKQGAQVISVVHTKAEELNQKIQVPQNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVVASQDIVTETAVLHSFDLATMKESEMDFTSSFELRLSESGTVVPGVTWCYGIVLWFDTGFTDRFCKEKPVLLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTIASSALVGTDECPATVLRSRISIVRASEHRSIDISVETTAFSSNGRKRSWPIQIFNL >Dexi5A01G0037320.1:cds pep primary_assembly:Fonio_CM05836:5A:38719549:38720428:1 gene:Dexi5A01G0037320 transcript:Dexi5A01G0037320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRPSGAAWRVQQGPVAGLPIGFRFRPTDEELLLHYLRRKALDCPLPAGVIPDADLARLHPSDLLPHHNPSAGGGGDADGERFFFHRTATRCWRKGGGAARAAGDGVWRPSGKERLVVSPRCGGRPVGTKRSLVFFHGRGRRAKRTGWAMHEYRLLPAGLHPFHGCAAAGANPPNAPHVSNHGAAAADSDWVVCRIFRRTKPAAAHRDQEDDDETEDMPSSPSSASCVTDTSETGEQEQDDEDESSSSSNGGRSSCSVASN >Dexi9B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:9B:5704455:5705117:-1 gene:Dexi9B01G0009220 transcript:Dexi9B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPINPGGHPVFPSAKDSNHPMPASSVRFDGVHAQPSATPAAAGRSNAHYPRWQAQTLRRASSYVGVEHDGTTMAAPAVGPVPALFKPPTPTLDFLRSLLDRSSSALAGDEEATAPPPAQLLALRVVVTSALELDARQTELIARKMRRLTGFVNLTVENVVDTSLIAGFVICYGTDESNVIDLSVKGQLAALKNRVDSIDQTTAHAHGHGHPHLHH >Dexi4B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:4B:9657436:9660267:-1 gene:Dexi4B01G0012010 transcript:Dexi4B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGGGASSAIDESIEARERRREHAATTTDTDLEDENTNDPAWQLRKYLLLLAILVATVTYVAGLDPPGGVWLENNDGHRTGDPILPATRRVRYTLFYYFNATAFAASLVVILLILFMRRHVMLRAVRAVMVLDVLCLMVAYVAGSCRGLLTTVYASALCAVVLAVVVLAIRLAPRHGASSSKTTDQNDAEAADTYREEHGKLKAKEWRKVSMLLAIFVTTVTYTAALNPPGGFWEHEASAGEEGGHRAGDPVLLERHPARFVAFLLFNTAAFAASLVSITLLLSSRLSGKGVHLKPLYGCVAAALVTLVGAYISGSCRETSTTIYVLAMVAAVLVCVSVLAVVEIVYRSKSTRPKILEEDKDPLDKARALILLLATLAATVTYQASIDPPGGVWRENGDGLEGHAGGDLILLGTHARRYKVFFYCNSGAFVASVVVVIMVQARDLSGRYLVGGHALLAAVILELFGLVGAYAAGSCRDVRTSAYVFALAAVIFIGVVIIYFVLENVSSNEEEKENAKENGGENRGAMGEDRKNEEVEDGEKLVVGARTEDGKENGNDKGGENGKPIVRDDQAIAKKKKEKEREKRRKLLLLLAILAVTITYQAGLTPPGRFWLEHGDAEHRVGDPVLADNYPRRYKAFFYCNATSFMASVAVIVTLVGRKLSDANRKYLWPLYICMAAGMVSLMGAYAVGTTRRVRTSIYVFALVGAVLIFAVLHIRFFHDKIESWMLKRWPDTPKNKAKKEEDEDPSYTRRYKMRKYLMLLGILAASVTYQAGLDPPGGVWPADGEGHAAGDPTLRDSDGRRYHAFFYSNTASFVASVVVIVLLLMLQGAPLRENGSARMPFRSMHVVVVLDLLGLLVAYAAGGTRDWGTAGYVLAMAATVLGYVAIYVVLSTRGEGSSGKDGKVVESGLHQ >Dexi2B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:2B:5136010:5136453:-1 gene:Dexi2B01G0005590 transcript:Dexi2B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLSFANLTSFTTAWFVVGPLLATAWRLFSSCFKRHAKALLQLVDTDVTLDIVRRDWEDSIKTSDKYSEVKAYLAASCSRAARALRAENAVQGDNKLVLTMRLGQEVSDEFAGVTLWWTSTEKWEGGGREVPSPLLPPHVPPPPP >Dexi4A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:4A:8812057:8812329:-1 gene:Dexi4A01G0010950 transcript:Dexi4A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVVGLARERGEVAGSPDDEPPLPFIAPPVCYRTCCNGRCDYCCLSPYTPTFCWPTAGQCSHKCHRPPAVVRRAVPTTTTS >Dexi7B01G0024080.1:cds pep primary_assembly:Fonio_CM05836:7B:28484172:28488101:1 gene:Dexi7B01G0024080 transcript:Dexi7B01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGAVGAASEMAVGAGEEIPSASRSPTRRFFVALHVGAGFHAPANEKAYRRTMKRACLAAAAVLREDSGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGVTGSFGSVGAIRVLTIVSIPCRSGVKNPIQAALHLAKDQIAGSSLLGRIPPMFLVGEGAYKWAKSKGVDLFESTSEANSWLVTENARAQWVKYTSLLVNSKKLLEHNTGSGSEHDSVQVEAPGYLHVYMLLILLNIEQIPSPSHIVYLTGTESENIADVKKVLTQSFMEENQDCVMDTVGVICIDSYGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVACCATGAGEHLIRGFAARECCISSSLYAHFFDWSQSGPAASCTKVIRSVVESSSKMSHDTGAGLLLVQADVQKVSMLRSSEGASSIINHFATRSRSIQNHLKIDNGTVSCDSRLSCETDDREQALATSFI >Dexi5B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:5B:7367331:7368616:1 gene:Dexi5B01G0010450 transcript:Dexi5B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLRAAADRAIRRQALTLTDAAASRIRQLLSLRQRPYLKLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLKYVSS >Dexi7A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:7A:28677472:28678876:1 gene:Dexi7A01G0019520 transcript:Dexi7A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPPQQPGMAPPPPPQAAGGQPPQWGGIPPPMTQQYGAPPPQQPPAMWGQPPPQAHYGQAPPPQQFYSAPPAPAPAQAAPTASDEVRTLWIGDLQYWMDEGYIYGCFASTGEVQSVKLIRDKNSGQLQGYGFVEFTSRAAAERILQTYNGQMMPNVELTFRLNWASAGEKRDETPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAASRKTTGVQERGKRQALPMSCRFGTC >Dexi7B01G0021340.1:cds pep primary_assembly:Fonio_CM05836:7B:26421686:26425614:-1 gene:Dexi7B01G0021340 transcript:Dexi7B01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQAHRLLISHRRLPTPARRRFTAVSSLQSAPATTLAPGPATSSILSIRESLLSGERTAADITSEYLSRLRRTEPSVRSFIHVADAAAEREAEELDRRIASGEKDAVGPLAGVLVGVKDNLCTKNMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVVAGHDKMDSTSSSQDVPDYTSKLVSLDLLESKPLNGLRIGIIQETLGEGVDTGVVSSIKGAASHLEQLGSVVDEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSANDLNEIYGESRASGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKNALEKYDILISPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGPVGLPVGLQMIGSPFSEGSLLRVGHIFEQTLQNFSFVPPLLAER >Dexi5B01G0034490.1:cds pep primary_assembly:Fonio_CM05836:5B:34705671:34707692:-1 gene:Dexi5B01G0034490 transcript:Dexi5B01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVRRADSSTAYTDNLYIHKFAAPSSNFAARRFSSDTQLFRYGPEPYSGEYGHMGLTGSPSAAFQNSFCSQQASLTPYHVTSDGRSLSVEDTQSNSCSDAAKESPVVSNISLQNSQSVSDTQSSEIEVEFDEDEIRLKLQELEHALLDDGDEILFEISQAGSINDEWADPKKNVLLPNSPKESESSISCAVSNSGAAQTPKQMLFDCAAALSEYNIDEAQAIISGLRQTVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALTCKDPPTLYQLSAMQILFEICPCFRLGFMAANYAILEACKGEERLHIIDFDINQGSQYITLIQFLKNNANKPQHLRITGVDDPETVQRPIGGLKVIGQRLEQLAEDCGVSFEFRAVGANLGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKSLKPKLVTLVEQDANTNTAPFLTRFREVYDYYSALFDSLDATLPRESPDRMNVERQYEVSGKWRARMTMAGFTPCPFNSNVISGIKSILKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >Dexi9B01G0037940.1:cds pep primary_assembly:Fonio_CM05836:9B:39198931:39201247:-1 gene:Dexi9B01G0037940 transcript:Dexi9B01G0037940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSFSPRRIGAAPQLGTGAAQPAVALQVPASPAGHGSHRDLPVRAPSAAHPPTIASVAAARSTGTLATTQRQRRAWGRRQARVAGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVIFLLPATIFMEDNVVGVTIQLAKKDFTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSIMIFRNPVSITGMLGYTLTVIGVILYSESKKRSNKP >Dexi5A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:5A:20442647:20445530:-1 gene:Dexi5A01G0017230 transcript:Dexi5A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSARAPRLPPSAFASGAAAAVGVRCCGRGARCQATAAGGVAAAGPPSSELEAIRWGSAKLQGARDEMEDDVVLRTGSLLDGFSFAAVFDGHAGFSAVQDELYKECAAALDGGAVLSTKNLEAITASIQRAFAAVDSRLSTWLEQMDKDDDSGATATAIFLRNDVLVVSHIGDSCLVISRGGRPEALTSSHRPYGNNKTSLEEVKRIRAAGGWIVDGRICGDISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRIKFKEDIIIASPDVSLIELGPDVEFVLLATDGLWDYIKSSEAITFVRDQLRQHGDVQLACEALGQKALDF >Dexi3B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:3B:14882467:14885450:1 gene:Dexi3B01G0019890 transcript:Dexi3B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVLRCSFAHCHDSALFVAGKLSCEGKVEHKFDMEPHSDNLVNYGKLCRERTQKYMVKSRQVQVLDNDHGMSMRPMPGMVGLIPSGSKQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDTDAA >Dexi6B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:6B:20700733:20703815:-1 gene:Dexi6B01G0012960 transcript:Dexi6B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAESSSNASCPDNCGGMSIEYPFGIGAGCFRNGFEIVCDNGSRPVLAGATTPIPVSHLSITTAEASVMLPVAWECYNASDVVYAWSDGDVAFNLADVYRVSSTHNELVVVGCNTLGYIQSQRSEGNDYSYAYYTGCLSFCNDSRSAADGACDGVGCCHVDIPPGITDNKMNFRTYSHVARLGYSPCDYAFIVDRENYTFRTEDLRMDLNRTMPVWLDWAIRDNVTCDEAKKSQAYACVSSNSDCHDSLNGPGYVCNCSMGYEGNPYIVDGCTGAAGCLFIISVVVFVWLLRKEKRKTKEYFEKNGGPTLEKVTKIKLFKKDELMPILRSNNRIGEGGFGEVYRGLLGDEPVAVKKPKNVNLAVQFTNEVIIQSRVMHKNIVKLIGCCLEVDIPILVYEFVPKGSLDDILHGNREPLDLDQRLDIAAQSARGLAYLHSDTITSILHGDIKPANILLSDDLVPKISDFGISRMITVDKKYTRNVIGDVSYVDPVYLQSGILTSKSDVYSFGVVLLELITRKKASESNNIIRNFLDAYTEEKRVIELVDSDIAVTENMELLHSLAGIVVECLDLNIDRRPEMIDIAENLRAMLKRSQEQNERNTILSGEFDV >Dexi7A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:7A:11446695:11448243:1 gene:Dexi7A01G0002690 transcript:Dexi7A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPEELTFKDLREITNGFSVKVGEGGFGTVYKVRKNWRKRWQAICSSDFSVEACCRQVETCTQIALDCLEKDGQKRPCIVKMIEELNKIEPGLIKVIDTIANFYIKKVCFLQ >Dexi2B01G0034850.1:cds pep primary_assembly:Fonio_CM05836:2B:42057564:42059311:-1 gene:Dexi2B01G0034850 transcript:Dexi2B01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPTVTLRHASAAAWGTVCTRHAVDARRDAPTRVAFRRGCSRGDLVRAAGLGRFFGAGDQGSSKSHEVTRSQSQVDDLAPARLFVGLPIDAVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYKAVADMVRAEGLSLRVSLRAHGTPGAGVPTLPSWVTGVAVDDPDIFFTDRSGGRHDGCLSFAIDELPVLHGKSPLQLYEAFFRGFANEMEDFFDDSTITDVTVGLGVHGVLRYPSYPPGSDARKFTGVGEFQCYDKYMVSQLRRHAEEAGHAMWGLSGPHDAPRYHESPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGMASNVFAGKPVELSAKIPFMHWWHGELSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMIVPGMDVCMNKQLHSAGSSPDQLLAQIKNACRRHGARIAGENASLAMTHSSSFSRIRSNILTTELMRPCHFTYQRMCADFFSPDHFPQFMEFVRSVICGEWDEDDEEQRGMMAMSSAANNGNAREATKAA >Dexi1A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:1A:3280788:3281396:-1 gene:Dexi1A01G0004440 transcript:Dexi1A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHRSISLPSRPASNVEEELEILDVCTSSPLMTIETTCDGLRRIGDIYSSIEGVMCLPSNQVCSSQQRKLLDGEMESSLELLDLCNAMHDDFAELKAIVQDLQVSLRKGDDAAVQAKIQSYFRLVKKAKKHFKKAAKKVTSDKEDCRILRLLSEAREITTSLLESTVQILAKQIATPKSFIVLKAFQKKTSVARRSSCRC >Dexi9B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:9B:9378104:9384309:1 gene:Dexi9B01G0014030 transcript:Dexi9B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSASIVTKFEAGASFVFGSWLCIANQEGELQHQLRDVVAALASPRAQTTPQGSRKTLNSDTIVSYSEEYMASEADSSPGRPPTCPRLPGELRITSDSRQGSTVRTVTATPRAPRNPSSSSRETKTSPRESCAPQFPFGLTNSATIYQKYLKKKVLQPRQATSDLVMTSTPSGVIVHWPDMDPEVALLEANVPSTVRDILPLLPFQEGRELPVAMGNRKTGPNNPGRQSCVILNDHSDDEVVSDDAPTEEGETDADRELRVERNRNRALRRRFIQRKNLNSEFDKEGIFNSPVANIMFGVSVFEGFQATLEIDLAKARLEAAAVMVDRLDGGRSSSKSKSSSRHQAPSARRQSNHYGSSAGRTKDMARPREEPRRPREEPPRSQRREEPRPARSHITPNDARNEILRIREGRATSHVADSAGRCDAPNPDALPCYTRAIRVSSFPRKFKPPGIANFDGKQDPNIWLRRYSSAIEASGGDDISKMLYFPVAMEQGPLTWLESLHPDSIDSWHALKKAFVSNYQGSFERPGSKYELRACKQKPDESLRDYNRRFFAIKASCVPIPDSEVIDYFQEGMTDHSLFRDFGHNRPRDLEEFRALVSNWMDTDDQERERYGKRSANPGRKNQEDSRDQPRDSFQRNGNNPRKRPNNTVATVQTVRAAKSPQQRREEFNKLLKKRCPYHPDRKHTMGECTLLRETFSTPNKRPRSDGDRDDKHDKGDSGFPDITNTVNVIFGGMAVSDTSRNRKNARRETYAAEPAVVTPLRWSDTTITWSREDQWAEITSPGRLTKVLIDGGSGLNLIFAKTLKSMGLDTSTLQPADTPFYGIVPGKAAIPLGQITLPVTYGTAFNYRTEFIKFEVADFETSYHAILGRPALAKFMAIPHYTYLVLKMPGPHGVLSLRGDIKRSYLCDKEAVEYAVRAASTIDRQELHPLAATVVEEDDDVPTQKKTRAIKPVEKESALADIFATKPSDMPGVPRELIEHKLDLNESAKPQKAAPLKIRHRACTLLCFLDCYSGYHQISLKEEDQIKTSFITPYGAYCYTTMPFGLKNAGATYQRTIQGCLQDQLHRNVEAYVDDVVVKTRNPEDLIADLTETFDNLRKWRWKLNPAKCVFGVPSGKLLGFIISERGIEANREKIATIMNMEPPRTVKDVMKLTGCMAALNRFITKLGERGTEFFKLLKKQDKFHRLRQAQAVPDYTTYLLLYISATTNVVSAAIVVERNEEGHLQKIQRPVYFVSEVLSDSKSSIEFRSRTAMKSQVLTDFISEWTEHNLLVVTTKPEHWIMYFDGSLKLEGGGAGVLLISPRGDQLKYVLQIQFAVTNNVAEYEALLHGLKMAITLSIKRLLVYGDSMLVIKQVNKDWNRNHKDMDAYCEEVRKLEKHFLGIEFHHVERDYNVAADVLSKLGSSIAEVPSGVFVNEISKPSISAAAISDDTTSTSEVMLIDAAWCAPIIDYILHDRLPAEKAEAQQIARRSKSYIIIGDTLYRRGARSGALMKCVSQQEGVNILEEIHAGECGNHAASRAIVGKAFRAGFYWPTALHDAEEIVRHCKGCQYFAHHSHQSAHKIKMIPPSWPFACWGLDMIGKLPRAPGGFEYCFVAIDKFSKWIEVFPVVKPTSEKAVQFLQELILRFEIPHQIITDLGTTFTGNKFWDYCEDRNIEVSYASVAHPRANGQVERANGMLLDGLKARMERTLKKAEGRWMKELFPVVWGLRSQPSKATGQSPFFLVYGSEAVLPVDVMHGAPRIEEFQEAIADEQRMLEVDAAEEVRLAALLHNAAYLQVGHSKLTSPWDGPFIVSKVLKPGTYRLQTEDGVDLPNPWNVEHLRKFYA >DexiUA01G0022740.1:cds pep primary_assembly:Fonio_CM05836:UA:46420985:46424273:1 gene:DexiUA01G0022740 transcript:DexiUA01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATMASPASTAARPKPHLLFGTRRSANSSITCCSFKSEDGAAVPVIDPDWRSFRAQLYFNEQSSSAKKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVRAPVGVILNRPSLMSIKEAAGSIFADDADIAGAFSGRPLFFGGPLEECFFILGPRRTAATGGDVVARTGLFEEVMPGVHYGTRETVGCAAELAKRGVSFFSSPAPPPPYSPRAAASSSLASPRRRLPLPRAALLSPPVHLASLRRRRNTLPPSATIPSPGFLALLRAPAPPRADADLTVGCRRLGPTSPLPWFNSRPGFNSAAWVPLPRHRAPLRRSRMPPPGSHIAAALVQRLHR >Dexi2A01G0024260.1:cds pep primary_assembly:Fonio_CM05836:2A:35997763:36000424:-1 gene:Dexi2A01G0024260 transcript:Dexi2A01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDPSAATASSSASLTSDDTFFDALDSLPXXXXXXXXXXXXXXXXXXXXXXXXXXXTPPPPPPSAAARAAQNAAPRTDPTPDEEEDEAAAHEKAIDADVEADARAPAPTPSPTPSILEYLAVLVIKAVVFQVSALISCLTFPVRLLQWWFLFVTDPLGLARRARAWALGVAGDAAGALTARLGVGDGVGKVALRLLWGSLWAAYVCVVLCALLVMAFLGGGLLVGRIVEKPVQVTETLNFDYTKPSPVAIVPVPRLVPPNQRMQLEISLTLPESDYNRRLGVFQVKAEFLSVDGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIKLKMRGITQGLEPTTGIRIILGQRAEFGPGAGIPEIYAASLKVEAELPLFKRLLWNWRWTLFVWSSMGLFVSELLFTLVCCKPCIFPRSGHNVAPP >Dexi7B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:7B:14222978:14227977:1 gene:Dexi7B01G0006500 transcript:Dexi7B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEACCCSSSSAPSASILAAAPDASLRRRFSAPAGGRAAARPLRASAVTLATGAVPRRGQQEQRRWRRRGAGVVRAVFERFTERAVKAVVFSQREARGMGDEAVAPHHLLLGLVAEDRSPTGFLGSGLRVDRAREACRDALGKLGPAQAATGLATDVPFSAASKRVFEAAVDFSRNMGCNFISPEHIALGLFNLEDPTTKSVLKSLGADPSHLTKQALARVQGELVKDGREPGKRILSLDVALLMAGAKERGELEARITSLLREVRKAGDVVLFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVIVNEPSQEDAVKILLGLREKYETFHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKKKKEEQCSILSKSPDEYWQEIRAVQSMHEVGRRVSFKNTLIVMTSNVGSASISSGRRSIGFSTQKDTEDTTYAAIKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMMTILNIILQEVKSRLLALGIGLEITDSMKNLISQQGFDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTVQFSDPAPTL >Dexi3A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:3A:1168684:1168944:-1 gene:Dexi3A01G0001740 transcript:Dexi3A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTSVRASVIWSSGRLPSSAPQPSQAPVRIPSVARAPTTPSASPVLWSPYVCGSVRLLQRCRALVALCSHLCPTDSCYRIWRSK >Dexi9A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:9A:11219797:11224734:-1 gene:Dexi9A01G0016280 transcript:Dexi9A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDKEKVQKVIYEMSKGSKYFENEQRKEALTKQKIEHLRAQCAALTDNDISHFQKAAEKKMLELEASRDLSKIWLHTDMDAFYAAIETLEDPSLKGKPLAARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPNFIATSLDEAYLNITNVCIERSITGEEVATELRTAIHQETGLTCSAGVAPNRMIAKIGGIDFFLSVGLGLGGTETPEQRQRKSISCERTFTATNDSSLLFEKLANLAENLAGDLQKEGLRGKTLTLKLKTADFEVRTRAVTTRGFINSKEDILIYATKLLKAEMPLSLRLMGLRMSQLHDEKDDSSTSTQKTLDIFFRSSNSNLNAIGVVHGITNTSGQDNGPIRMTTKDEYLVADAGPDASTGQQDFFVHDESIFIPEQRNLVNYSNEGVLSNPVIGDGLGGVSLDDVTLTPSAKVMNTEKLDDLSVLTSPEATASSCKPGQQLWIDGYICSICGFELPPGFEEERQEHSDFHLAESLQQEETVDSKRTISNESRLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFTKCNKNL >Dexi8B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:8B:7700621:7708581:-1 gene:Dexi8B01G0006570 transcript:Dexi8B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDPDKFFHRCNRHTAGTTRFCKLKCIGSMEGWLSRTIILFLLLFYIGCKCMASDLEATQRVTLKIDASPNLARKIPDTLLGVFFEEMGRGGAGGLWAELVSNRDGKKLSVPGKRGLGTSEIVGIIGPMMASDILSFSSFLRTWMLPQSGYSIVVILLKFVKSITLFGRLLSTDGLFHLKTQDTLDSLEFARGSANSSWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYNGNYLKFYSAIREAYPDIQLISNCDGSSGPLDHPADLYDYHVNFFLTCFFILFLVVYADAKTLFSMKNTFDKAPRSGPKAFVSEYAVWKTDAGRGTLLASLAEAAFLTGLENNSDVVEMACHAPLFVNDDIEKKWNPDVIVFNTWQYYGTPSYWMHILFRESSGAVLHPIEISSSSSVWASLSSGSSESRTLCYTMMQIVPVKSQLFDAAAHMQVKLAPHSFTSFDLALASSKHGTLVGREDESLMTQL >Dexi5B01G0033700.1:cds pep primary_assembly:Fonio_CM05836:5B:34030075:34032389:-1 gene:Dexi5B01G0033700 transcript:Dexi5B01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMPSSSPSHTASDLARFAQAASRPGGGGGSGLGSMNVEELLRGIYSDMPTPATPAPATDRPMPPVPPAPGIASRKTAEQVWKEITAGGGSGEPAVPVVQAVVPAGAGGGAGGPEMTLEDFLAREGAVKEDEVRAPGLSAPAEGNMVMGFLGGAEGVGVAGGGGGGGGRGRKRQLMDPVDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAELLREQEERRQKRLKELLEQVTPVIVKKKPSQDLRRTNSMQW >Dexi5B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:5B:7627445:7629500:1 gene:Dexi5B01G0010790 transcript:Dexi5B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAPPACAPGLISGRTLPSQPSSSSPTSRRSRRRRVLVVAAASARASSAAARGLDADDFRHPLDKQASLFNTLLLKAIPGLNDIGKALLGPVSEQVMVLQNIGSSVLVSPNQLPDLHQLLDEAAKVLNTEAPDLYIRQNPVPNAYTLAINGKKAFIVVHTSLIELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYTVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKKKSGHAISSMFIFALELDRLHDADREVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSQEYKTVMQKLVQMGLDRV >Dexi4B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:4B:7198457:7199865:-1 gene:Dexi4B01G0009880 transcript:Dexi4B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVYLPLDPHYHKPSGKSHGCFLSKPITAWLACGFLSLAILHLFCCSPADTPQAMFSPLRQYINNTYSFVSSVPGGGRSCNYSVGNWVKAPGYGRRYNATKCNAKESHDCIRNGRPDTGYLDWRWQPRGCPLPAFDAGAFLSAMHGKHVAFIGDSMARNQAQSLICLLTAAFPYRLLYRDEGPRSFNFWRYAFPTHDVKVSYYWYPFLVKATGKSLDDAIRDNHVHLDQPGDRWAADADTFDVAVLAAAHWLLNGAIYYNNSEVIGAHNAPPELNYTGVGYAWPLKMAYRTSVERLRSSSSPERPRTVVMATFSMSHFDGKPTDDPTACLRTEPYMDGEVDNEWVFREVRDIVHDEAAAARARGGENSTLRVEVLDVSKLASLRPDGHPSLYMRPNPLANGMPERMYSDCLHFCLPGPVDTFNEILLQILRKKR >Dexi3B01G0029750.1:cds pep primary_assembly:Fonio_CM05836:3B:29030151:29033859:1 gene:Dexi3B01G0029750 transcript:Dexi3B01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFHLTNLFFLYSLFLSPPNLLPPLPYPYPGRRLPSGAGLDGADLRRGPSPSASSPSHAPAAPAGAAAVASLVAVSPAGHHPATPGPSTKPGKVSADEELDGKVASEDVPEQQEVSADEELDGNVAIEVVPEQTSVLVEPAVVPVGSNMQLVAPVMKASYVDDATQSLDPITESSPVMAMVKGTSSIPCSSVFFHLIPFLNCLSILDIDLDTTIPAYIADLDVLLYLARVPGAQPSRVIIGPSLPLGGFPLVPYEDSNADEDCDIMEIQGPLPTPCKRRARKLKEPLDTKFLRRVVL >Dexi4A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:4A:8715891:8716426:1 gene:Dexi4A01G0010830 transcript:Dexi4A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCALSAPSCLVRPSLCGDRLGKKLTPCLPSSRAVRLRVNAAKLPPGVEVPRVQPKLSEPFLGFTQTAEIWNSRACMIGLIGTFIVELVLNKGILQIIGVEVGKGLDLPL >Dexi5B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:5B:22092102:22095726:1 gene:Dexi5B01G0019870 transcript:Dexi5B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGEEAAAAGEELEPLFDYKRVQPTIKFRFDDTDLEKAGIFKHCNKRPRAGAAAAEEEGKAGEKGAAAKVVDIEEEDWLLPPPPKAAFKPSAEDSALRELRLKKQELAKFAESAHDILQELDATTKKEIGSKEPPEQIIIDEEPEPQVEIAREKIVISIQDKDGRQQIRAYKDEKFDKLLKVYAKKAKLNPSDLAFVFDGEKINLSSTPEDLDLEDDDMIEVCHKRR >Dexi3A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:3A:4235254:4238040:1 gene:Dexi3A01G0006420 transcript:Dexi3A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDIFRGMEWWDKWQLRILVVASLGFQWFLLLAAPLRNYTIPRWFRTCIWLAYIIADALAIYALATLFNRHAKGSCDYGAKASSLEKPWALRRASINRLVAMSSLMKGERRSPRGWWQWCLTELDDRYKCWKAMPQGTAPILSQGDKVQMMLSDLSLFAAKVTLETRKKGADQEVLEPLIVGEDMMKPYLRQAFGLIYTRANVIFTPAYLACHVLLVPSMYIAAIMLFATSHKENYHGIDVKMTYFLLCFTSVLDVFGLLISETMYWVMSSKTKVPALCENLPGYNLIDSVIRTMRPSTGSLLKLAKRLGYKEDYFRQQKGSAWDFIVAELVSISSKIENADFSSYRSFTKEYWALRPVLRDECYKRPEIQRSLRSSPFDASVLLWHIATDLCFRCRPPKYFDCRPPHAEVVREVCTEAISNYMAYLLNFQPEMLMTGTRQHLFTEATEHVERILAAGGDDMKRRLQDKKKRVLDYASLGWFAGEAAKLASNPYDSYTLVHDACKLAEELMAIEEETRWHLMYRVWVGMLCYSASMCRGYLHAKSLGEGGEFLSYAWLVISLKGTKTLADKLQMPEPEAEEQQLETKNAAPVNGKPSASGSTDLEETGAERLDRIFG >DexiUA01G0005220.1:cds pep primary_assembly:Fonio_CM05836:UA:9399983:9404707:1 gene:DexiUA01G0005220 transcript:DexiUA01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANVTELEVEPALEQEIRKGQLEDEEIKELIKKSAYSIHPGSTKMYLDLKERFWWYGLKRDVAAHRVKAEHQRPAGLLQPMKIPEWKWEEVGMDFITGLPRTQKGYDSIWVIVDRLTKVAHFIPTDGQTERTNQILEDMLRACALQYGSSWDKSLCYAEFSYNNSYQQSLRMSPFEALYGRKCRTPLFWNQSGEGKVFGPEVLKQAEDLSFEIGDFVYLKVSPMRGVKRFNVKGKLAPRYIGPFKILERRGEVAYQLELPEKLAGVHDVFHVSQLKKCLRVPEEQIPLEELNVQEDLTYEEYPVKILEESERVTRNKVIQDEATWEREDDLKAEYPHLFQSTDLARFGTFCLPNGAKRARFGARMHCVQPFSSLSRAAQPPFRAAHLLFSARPSSARHRVGPAHAPSLFLPLTSGARLSSSPSSSGRERAGLLHRRRTVRRRRASTPPPSCLGLAPEFRREVRKPRSSFSLSLSPSPADPPPLAAGHHRGLNPSLDPQNRTHVVSSTFRAKRGEKLSFLAPSRANSGEVAAARRRDVGSDLSHLNRAGSREPRFKPVHGSVNGDVSPLVAEPFEFADDSVLEDQEQQQFTEEGKYNTDHPCYLYTD >Dexi7B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:7B:19130025:19132351:1 gene:Dexi7B01G0012340 transcript:Dexi7B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGARGRLSPASGGGGDSEQRSAGSRTRSVSATRGRKPSPRPGRDVAAAGAAAEEKKPAGGPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGAKKKKPLSQTDYKAVSMLERDGWASSQTDAAGVKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRAMFREVFMDFDPLSVSKLSEKKIIAPGSPSSSLLSEQKLRGVIENARQILKIVEEFGSFDKYCWGFVNYKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAPAAAAKPTDGSEANSSDSNHAVTEQKMNGTNGLAADIELSRTIDELSIS >Dexi1B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:1B:25275670:25278143:1 gene:Dexi1B01G0019150 transcript:Dexi1B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLIIAREEREQIIVEAANEISSEKRKARELHQKLEDANKKAAKLAAENHSLRKSVDAKDALIGELRVSEAAAAAKLADATLRLEAAQKQAGSLQYEVRMLQKELEVRSQEREYDLKSVDAARRQQAEHLRRIAQLDAECQRLRAMVRKRLPGPAAIAKMRDEVELPAPTASPRRPRPATPSSPRSAAPFSPRRSSVSDAEGYASKLRAVEEENRALKQELAKRERELQLVQMKYADEACKLTVVQRQIKELTEENKQLTDATCQSESWASALISELEQFRAAKQKGESIMASSEMNLLDDFAEIEKLEMASGEQKRNAPRASSPKKADTAPVTPEKNSNDNLVMNGTIPNGHSERVHDIWNVVVHKHEASGESIETILQEIQKAISNKREDSEVWYDWSEIERMVRDLMEKITSMIGTSEGDNVARSGPLPHYKSELCSRLEHLVQVCHDLLLHGKSKLEKFIDEACLILKYIVGQYLTNQFLAETVDNDEKNSGEDKSPGTVDTEGKQDIQIAEAGATLDVQKEAQEGPNQSAEDHIMSGHEEKLDEELTRVVLAQDDNILLESPAAEARVEQCAGQDESHLATDSEIVAAADKLAECQETITILSKQLQALKIPRTSGPLDGSNCNPRPSSAKSDYKPQSLASILGEEFANAEGSRSPTTPKQEQQLKEDEDEVYATQMRSTAQEQNNADTTDKESIQVVVQPVLAAEPPQQDEAPADPKRKKRRSQSLLGRIIFRKKVES >Dexi3B01G0022290.1:cds pep primary_assembly:Fonio_CM05836:3B:17143771:17145774:1 gene:Dexi3B01G0022290 transcript:Dexi3B01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMVVAASICGSLMVIGLGPLFVQETCPSVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVDRLLKNMVDKRTALENLDLILLCLDEIVDGGIVLETDGREIAEKVTGHGLESASSAEQTLVNALTQAREHFTKSLLM >Dexi8A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:8A:10492561:10492800:-1 gene:Dexi8A01G0008590 transcript:Dexi8A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSADNCSSSRGFPAPNGAGFISLAPWNREAFCDGSKRETRGGTGCMWRWRQAKGTAAAKNQMGGSRRPWCCKWSLLQ >Dexi3B01G0036840.1:cds pep primary_assembly:Fonio_CM05836:3B:39670753:39671988:-1 gene:Dexi3B01G0036840 transcript:Dexi3B01G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAASRPPAPPTPPSPPPAAASPTPAVQWLGPRVSFSLDDAGGSPSGGGAVVVAGAKAGPTAGAEFEFLLGGCAAASMLPADELFSEGKLVPLRIPAHSSPDEDPSGAAATAQVTLPPKHAPEKAPAVAAQQPETPRAEEAKGLAVATGGEEPKIPARRWRDLLRLRKQQASSGSALGSAAANASSEPRPLRRLLRRGPKPPEPEPSLSLPLLRETVVPDEQDKPFTDKPASTPSPAPISTTSPSPQTPPPSQHHQSCLPPKIRLSPSQQSSASPPPPPPPPPPPPSAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRSGHRAGGAMERSYSAHVRVAPVLNVPICSLRGSRKSVSVFGIDRLFSPSGAGAAASSSSSSAGGSKRNKAAKKDVTAAATAAAAPQ >Dexi8A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:8A:7949146:7949815:-1 gene:Dexi8A01G0007190 transcript:Dexi8A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTLSSSQPQPQPAQCPSSPTTEAKPEPPYVVEDCCGVLQILSDGTVLRFDPPPYPPGDAYDASRVEWKDVVHHPVYDLGVRIYRPRTDDDIVAGEEGTSKKRRLLPVLVFFHGGGFCFGSYSWPKIHLCCLRLAGELPAIVLSFDYRVAPEHRLPAAVDDAAAALLWLPGHMSSDPWLADDAPSSRHVYVCGNSSGAGLVHHGGK >Dexi1A01G0032560.1:cds pep primary_assembly:Fonio_CM05836:1A:37162876:37166528:1 gene:Dexi1A01G0032560 transcript:Dexi1A01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTEIIPSSGQGSETAPLDNNAETEIVNPASDGDDSNESSSQRNRTKVLTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDNEPAEVECQAATGEALGPSVFIQAKTNATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEVQQDQDVHVPPHSQLARNEERTYENVGQPTTMPRPEPSSANRVTEQINVNTGCFNNVVPLVAVDSNGVVLYDNTPPTPEGNVEGETFHVQPSSFDQLHRTNDEEDEILICLGSTSKNQHPERKRQIIQPSSYFRDFAMTINCPKLHVYPEERRNYECLEFYQSLPEYSRFQAANVNDARIKYVREMVFTPHNRLNSAKDLLDNHIAMQVRRKNRVMISRSSVK >Dexi5B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:5B:21874790:21878737:-1 gene:Dexi5B01G0019560 transcript:Dexi5B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGGLGRGRGGFRGGRTGPRREFGEGDTNGVEGGYGGGSFGDGGFPRREDGEGKAAERGRGPRQPYRGGGRRGGYNDGEAGDESGRPPRRAYERHSGTGRGYEMKREGAGRGNWGTATPAVAEDENKMEELPQSEVEKNKEAEPTEEEPEDKEMTLEEYEKVLEEKRKALLELKAEERKVEVDKELQSMQQLSLKKGTDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYSPSGRGRGRGRGRGDRGGFRSGYSPREAAAPAPAIQDQAQFPSLGGK >Dexi4B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:4B:20814480:20818400:-1 gene:Dexi4B01G0018490 transcript:Dexi4B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEHEASVRPEFATRRHRLAPSVPTLPDDSKSPLPPRPSSIAAWPACPSAKKPSSSLPRLPAYSPRIRIDDRPPVRPRIPLPPRDRASSPSLLLCRFGLLPLNGGGEDAGGDLEAAGGKSSRVTGTGRRTGLVWEQGNSRGQILGITSVSLLPVKSLDDLSVTVLWGFLEALASALCMNIYVVGLNQLFDIEIDKVNKPNLPLASGEFSVATGVLLVVAFLAMSISIGVRSKSAPLMCALLVSFLLGSAYSIDVPLLRWKRHPFLAAFCILVVRAILVQLAFFTHMQQHILKRPFMPTRSVVFATFFMCCFSAVIALFKDIPDVDGDRDFGIQSLSVRLGQHKVYGLCINILMAAYAAAILVGASSANLYQKIATLFYAEYFLIPFV >Dexi2B01G0029380.1:cds pep primary_assembly:Fonio_CM05836:2B:37809814:37810182:-1 gene:Dexi2B01G0029380 transcript:Dexi2B01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSAHLLLLGMLVAAASVACLAQLGSATRPIPVAQAAQAQQQATEEDVKRPETFQEGTVLIPGIGRYEIGSHYIPDIGGLDHSIPAAVNGQYLPGADDTWVPNPGFEIPNPFRPGAATP >Dexi9A01G0043880.1:cds pep primary_assembly:Fonio_CM05836:9A:47585988:47588395:1 gene:Dexi9A01G0043880 transcript:Dexi9A01G0043880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGKKAKDLLTRDYTYDQKLTVSTVSASGVGLTSTAVKKGGLYTLDVSSVYKYKNTVVDVKVDTESNISTTLTVLDALPSTKLVTSVKLPDYNSGKVELHYFHENASFATVVGTKPSPVVELSGTVGAQGVVFGAEAGYDTATGKFTKYTAAIGVTKPDYHGAFILADKGDTIKVSGVYHLDEKQKTSAVAELTRRLSTNENTLTVGGLYTVDPQTAVKARLNNNGTLAALLQHELKPKSLLTISGEFDTKALDRAPKFGLALALKP >Dexi9B01G0030210.1:cds pep primary_assembly:Fonio_CM05836:9B:32693196:32695293:-1 gene:Dexi9B01G0030210 transcript:Dexi9B01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEEADMLIRTHAKQSEIAANYVKVVDEEDINHVRNLPMKQDAVYGLNGRDHINGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRDMYEGTRMAREEDLSGIRKIIHPLEESGVLNVEDKVKETNYLVCSPRLQGVLD >Dexi3B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:3B:12860189:12861506:-1 gene:Dexi3B01G0017500 transcript:Dexi3B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASAVPLPSSTTSASAVQDGRPKSGVLVECRICQEDDDEAYMEVPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQLMVLLVLHDALSVLLDDQGAYTVAMLTLLMLRTAGTVIPVYIILVAVTELLHRRRQRQVMHDEISEPAGAAESTQPQQHVITIV >Dexi4A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:4A:7626047:7628184:1 gene:Dexi4A01G0009640 transcript:Dexi4A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLASRAGPRLTPPLAGLLYRGYAPPPSAAAASDDLVIDEDPPSATTPAAAATTMAATVPTVLQPRVLIYDGVCHLCHRGVKWVIRADKHAKIKFCCVQSKAAEPYLRLVGMDREDVLRRVLFVEGPDAYYEGSTAALKVASYLPLPYSVLSSLLIVPTPLRDALYDYIAKNRYDWFGKDDECIATKDKDILERFIDREEILGGGPDTSFF >Dexi5B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:5B:22317984:22320780:1 gene:Dexi5B01G0020030 transcript:Dexi5B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSWARALVQISPYTFAAIGIAVSIGVSVLGAAWGIFITGSSLIGASIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQMYAPESLRAGYAIFASGLIVGFANLVCG >Dexi8A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:8A:19123352:19126783:-1 gene:Dexi8A01G0010950 transcript:Dexi8A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTAGGEEDDEDEEPSAAEVPSSAGPPAPPRPALAPAPVRVPPAASASKVLEQEPEVLPCLAADSPLSPQPSAAGTPRLLAGPGIKVWDPCHVLLPPPPPPTHPGRQGDAAAVEVLVVSHGECAAAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGSRLAAAFASPLDRARATAALICRELDFPEEQIQLSDALTEMSQ >Dexi3A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:3A:4352752:4353540:1 gene:Dexi3A01G0006570 transcript:Dexi3A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHVAGAESMFLPFLAMFASVYLIGYFIVFRSWAPRPRAEAASCFTSLFHGTPAALLAVRAVLSRYRSAAATDLSLLAAPNNAGEEMVLDFSTAYFAVDLAHYLVFLPDEALFVAHHLATLYVLATCRHAAAAGAHALLPLVVLAEATSAAQNAWTLAGMRRRPDDPPIAAGVYAALSAPFYAAYTAARAALGPAWFVRMVRFFYVSSGGGGRVPAWAWVSWTVVIGAGILVSILWVGNLWLVYFRERKERREVKSSKQQ >Dexi5A01G0029910.1:cds pep primary_assembly:Fonio_CM05836:5A:32961900:32963469:-1 gene:Dexi5A01G0029910 transcript:Dexi5A01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCAASGSHARLCNLPPAPEAELQRRQLLKIRLGVLCVRVGYLAPEFYSKEITLKLDIYSLGVVIMEILTGEKGFSSAEKVRAIFMSGFKIN >Dexi5B01G0026500.1:cds pep primary_assembly:Fonio_CM05836:5B:28260533:28261006:-1 gene:Dexi5B01G0026500 transcript:Dexi5B01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLPIGRVLPPSLTSPALKISYAWPKHRAAPNHPCSHPLPDVGRFSYSWPTSKSKPQHEGRIGHGNIAKLIETDPASSVDRRRNAVSTSGDRFPTGPSRWPTPFLDGLLRPQLVPLHLASQQGQEDDGVPCLELEEPVLTRSLSLDSSWRKITST >Dexi9A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:9A:6802103:6802450:1 gene:Dexi9A01G0011020 transcript:Dexi9A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHARFDLAHAATQAVVVAGRSLGSPAPSTVLAGEELELACAIHRAHREELGLAALAAVLTGIELNLARVGPAWLVAEALPALLVVLGGGGRVGGGTERGRERRPARTPGDEAA >Dexi9B01G0037420.1:cds pep primary_assembly:Fonio_CM05836:9B:38829869:38831297:1 gene:Dexi9B01G0037420 transcript:Dexi9B01G0037420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAVPALLLLLASSLLAAAAGDEGTEKVDVALYYESLCPYSALFVVNHLAKVFEDGLLDAVDLKLVPYGNARVHAGGEISCQHGPYECLLNTVEGCAIDAWPDLDVHFRFIYCVEDLVVKGQYKTWKSCFQKLGLDPKPVTECYQSEQGHKLDLKYANQTDALVPPHRYVPWVVVDGQPLLEDYENFEVYVCKAYKGSPPKVCEGLVQPSMALETAVARSGVSYNSGGIELAAAGDEGMESKIKMRLSDDDN >Dexi9A01G0045830.1:cds pep primary_assembly:Fonio_CM05836:9A:49343561:49347226:-1 gene:Dexi9A01G0045830 transcript:Dexi9A01G0045830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWGRALAVAASGDRIAAAWAEVRARAVAPALEAAVWVCLAMSVMLVLEVFYMSVSSFVAVKLLRRVPERRYKWEPMPSGSAKDGDEEAAEVYPMVLVQIPMYNEIEVYKISIGAACALTWPPDRIIIQVLDDSTDPLIREFVELECKDWASKKINIRYEIRETRKGYKAGALRKGMEHSYAQECDFVAIFDADFQPEPDFLLRTIPFLVHNPKIALVQTRWEFGTMFLIWPLPGNFAVNYDVCLLTRIQKMSLDYHFKVEQESGSSMHAFFGFNGTAGVWRVSAISEAGGWKDRTTVEDMDLAVRASLKGWQFLYIGDIKGVSVWKKFHLLYSFFFVRRVVAPILTFLFYCIVIPLSVMVPEVSIPVWGIFYIPTAITIMTAIRNPWSIHLVPIWILFENVMSMHRMRAAMAGLLETMYVGEWVVTEKVGDHVKDKLEVPLLTPVKPTECVERIYVPELLVALFLLVCASYDLVLGSGRYHLYIFLQASVFLVLGFGFVGTREPCCP >DexiUA01G0020400.1:cds pep primary_assembly:Fonio_CM05836:UA:42687440:42690208:-1 gene:DexiUA01G0020400 transcript:DexiUA01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVGVLRRGSGYSSGGFGGTSRLLALSLRRFASTLVVAEHEGGFVKPSSLSALAAAEAIAKENKISLLLGGSGPALHKAADHAASSHPLVSEVLVADSEALAHPLAEPWAELLCSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPITDVTAVKEPRVFVRPIYAGNALCTVKYTGEDPCMMSIRSTSFSPTNETMSETKVAPITQVDLSSLSEGTVGKSSWVNLTSQDTERPDLANASVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGLVADLFEVLDELLKKIPDKK >Dexi1A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:1A:2244070:2250562:1 gene:Dexi1A01G0003080 transcript:Dexi1A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQTASPCNGALSRPDRMVVRSRGMPHAARPCMGYLDASMAPCRGSFNTSGSFSYLYNASGFEGCSSHDERREHRSDFEVSEDEKKTRMGSLKKKAIDASTKIRHSLKKNRRKSGSRVLSVSIEDVRDLEELRAVEAFRQALLLDELLPARHDDYHMMLRFLKARKMDIDKAKQMWIDMLQWRKEYGTDTIIDDFDYSELDIVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMNVTTMDRYVKYHVKEFERSFTIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPEVLGNKYQSKLLEIIDASELPEFLGGTCTCPEYGGCLKGEKGPWKDPNILKACIIVQSGEVQCARQIVTISNGEEKFISYSKPKHHNARGSDTSTAESGSEADDATSPKALRTYISHPKLTPVREEVKMVRASSFSTRLPEYDVPVVDKAVDATWKREQPRKTPLPPKDSSPTKPSDSSWDKIVATLMACFMAIVMLVRSVKDLATKRLPYKTESEESYSTLYPESTKEEFRPPSPSPGFAEADLFAAVLQRLGELEGKIQTLQDKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKDVAKAKRKKKTMFCY >Dexi5A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:5A:23508563:23508811:-1 gene:Dexi5A01G0019560 transcript:Dexi5A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQHGSPTAGGRIESGKHRRGGDTEYWRGMRSGGRIAGSCRLQKGSGSDDPSPPPIAVGWGENLRGLGCGGSIMLSCVGL >Dexi7B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:7B:14925866:14926135:-1 gene:Dexi7B01G0007100 transcript:Dexi7B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCWQRSGSNRRRLVLQLLCWSLLVLLLVLLPPSCQASRELQPFKGRPLEAGAPNSFLGFLPRRPVPPSGPSRQHNSVGLESQRQKKP >Dexi5A01G0024870.1:cds pep primary_assembly:Fonio_CM05836:5A:28715865:28720312:-1 gene:Dexi5A01G0024870 transcript:Dexi5A01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSQVRVMGANAAPNDPEKTIVFIDLVPLGPKFDNAIALSVFERFWQKKVIINRMHFGKYDVLDVQYVGPDYVMTGNRLESRPISASPSFSSSLVAYKGSAKAFSLFEMERATQVFDESRIIGEGGFGRVYEGIFEDGERVAIKVLKRDDQQGSDKGAARLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDSLESIIDPSLGSNIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNGSTSFSQDLHIQDAEIMSRASMDMDVDPALSAELFTSSARYDAMDASGSFRRYSNSGPLRVGRHNKERGLSTGSSSEHIGLHRFRVDSE >Dexi9A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:9A:8181888:8183422:-1 gene:Dexi9A01G0012850 transcript:Dexi9A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAATAAHLPDHKRPTSILVRLAGNLIVPSLPAALRQCQYRVYWPPPFAPSSRPKARKSPPLPPICSRSDLSRPAMAIRAGRSALLAIAVLLAASPCLQGNAARDPAPPSALLSQPPHFIPCAAPPGIPLPGIPRRSAGRSVRSFPFAGRLCRRRLGVGPSLGGF >Dexi2B01G0022000.1:cds pep primary_assembly:Fonio_CM05836:2B:31638154:31647126:-1 gene:Dexi2B01G0022000 transcript:Dexi2B01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNSNDQTSINVLLVPFPAQGHINPLLQFGKRLASYGGVRCTLAATRFVVSSTKPTPSSVHVAVFSDGCDERGPDELGGVGAPYFERLESSGSDTLDALLVSESELGRPVHVVVYDAFVPWALGVARRRGAACAAFLTQTCAVDILYAHAWNGKIPPANALRPEDIRGLEGLSCQLEMSDMPTFLTDTSYPPSFLELLVNQFLGLDTADHVLVNSFYDLEPQEAEYMASTWRAKMVGPTVPSAFLDNRVPDDMSYGIHLHTPMTEECKAWLDAQPQSVLYVSFGSMASLGPDQMSEVAEGLYNSGKPFLWVVRPTETAKLPEGFVDKAKGRALIVPWCPQLDVLAHPSHCYHAHITMVNYSSHRLHILVLPYPSQGHINPLFQFARRLAGHTGVRCTVAVTRFVASSARPATTGSVHVAVFSDGCDDVGPEGVGGHRRPYFDRLSSAGPESVDWILRSESELGRPVHVVVYDAFLPWAQGVARRHGAACAAFLTQACAVDVLYTHLRASRIPHPPVLREEELPEVMAGLATRLELTDLPTFMVDKNRPPGLLELLMNQFAGLDTVDHVLVNSFHDLEPQEADYLATTWGAKTVGPTMPSVYLGNGLLDDDDASSGIHLQTPMTSECKAWLDDHPVLSVVYVSFGSIASLTSEQMAEVAEGLYRSGKPFLWVVRSTETTKLPANFTKRAKGRGLIVPWCPQLEVLAHPSVGCFVTHCGWNSTLEAISSGVPIVAMPHWSDQPTNAKYVQDVWHVGVRVPPDSEGVMRREEIEMCIRHVMEGKMCKEFKTRALEWSKKAKKAMSKGGSSDVNILDFLSKFGHHK >Dexi5A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:5A:6690198:6698100:1 gene:Dexi5A01G0008940 transcript:Dexi5A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALALQWQLQLPLQLQARPPTLAASHRRQGRLLTPVRHAPPLRARCCAGAADDAGKTQAAARRAYPYDEIEPRWQRYWEEHRTFRTPDIGEGLDTSRPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNIARFRTQDSVFMFLVLHLWFSMIFRLALLSYNQAEVPVNWCPALGTVLANEEVVDGVSERGGYPVIRKPMRQWMLKITSYADRLLEDLNELDWPESIKEMQRNWIGRSKGAELVFSAVDKEGHDLGATLLVYTTRPDTIFGATYLVVAPEHVLLSFLTSEEQRSHVDEYRDLAARKSELERTDLQKEKTGVFSGSYAKNPANGEMIPIWVADYVLGSYGTGAIMAVPAHDSRDHEFAVKYELPIIKVVSPPNGSCDPAEAYADDGIMINSSSTSSGLDINEMLSQDAAKKVIAWVESNGFGKKKVNYKLRDWLFARQRYWGEPFPVSYLDDTNEMVPLSEDELPLTLPELDDFTPTGTGEPPLTKATNWVRTIDPLSGKPARRETSTMPQWAGSCWYYLRFMDPENSSILVDKAKERYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDMGVVSTKEPFKCLINQGLILGEVEYTAYRDSEGRWVSADSDSSLIDCYQEKVPADKVTKVGDHYVLKDDPNVRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGPPLPDGSYNDGTMTTEDKPTLDQLQILHKCIARVTEEINETRFNTAISAMMEFVNAAYKWETQPQSVTESFVLLLSPFAPHLAEELWFRLGHRQSLAYEQFPEAKSEYLEESRVVLPVQINGKTRGTILVDKACSEDDVFQIAASDEKLSKYLAGKGIRKRIYVPGRILNVILDQQKART >Dexi1A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:1A:9836137:9836469:1 gene:Dexi1A01G0011070 transcript:Dexi1A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSLPDKNKQKNGLSAPNEVIVDDNAYLRNRIDSLQVQFDREKTGSNSYRNTSIEDYKEYLLFDEKSFLDMHTDDQTSGFCLT >Dexi9B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:9B:4106693:4109104:1 gene:Dexi9B01G0006840 transcript:Dexi9B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSRHPDHEEEEEYDDAVFYEDIQAPMFVDLTAPDAGRPDDDPAWFCVRVGCDQSHEQVDPEALDRSFFMRVMAARSPNVRLQKAIGRRNQSSMLKCPHSAPPKPPRARFTRLSAATEVAGKAAERPKTRVQRICTLRASPTRTKATRIEPPSARKKALTTPRSKTVRPRQEPFLSVKHQKEPVAAASRKGTVVKALFMSTPKKEPARTPAADKSKEAVSEVCSKLRKLNLACREVPSRYMSQLTTPKIAKKGEETTTSAKSTKKGQESRTNVKKKILGRSVKCANAEPDEENRHVCTNTAADKSSRTETVIPNGRRNAVLQELRIDVDASRADDDNKENVSNADHAVEEAINSSHSLDENRQLDNNENVPLKVAKIQNKVHPEQAGKLKKTTNPRPFRLRTDERGVQKEAKPEKRQPFAENNSMAAVLKDANRGVMPTDKYTHGKGRDKPLCGEKQKKQSTQIAMGGQQLCEAKPAFNSIRCNNTRPAMTKRKAAEKPQNVSRVASSTRTTKTASGLMAPTQIGKGRKASVKPPRVPAAAA >Dexi3B01G0032460.1:cds pep primary_assembly:Fonio_CM05836:3B:34844336:34846510:-1 gene:Dexi3B01G0032460 transcript:Dexi3B01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEDLESPLLAAAGDGEPAPANTKGSSTYALVCALLASLTSIIYGYNRGVMSGAQEFVQADLGVTDGQLEVLIGATSVYSLVGSLAAGWTCDRAGRRRAVALSAAMFLAGSAVTAAANGYAALVAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIAGNSGLLLSYIADFALAGLPTTINWRLMIGIGAVPPFFLAAAAMLAMPETPRWLVLHGHTDEARRVLARTAAGDADRRLHEIEASVREATKQAVANGSSSTSVWREILLRPTPAVRRVMLAIVGLQVFQQACGVAALVLYAPRVFSHAGIESEDAVLGATVLLGAVKTVAIVIPLFLADRLGRRPMLLASAGGMATSLLVLGISMRAPMGSGAATCVAAAVAFMATFSVGFGPVIWMYGSEILPLRLRAQGVGVGTAVNRVMSAAVGMTFISMYEAVGMANSFYVFAAFSAAAWVFVYACLPETKGRSLEEMEAPFDAGAVPSPRAMPL >Dexi6A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:6A:27561838:27567728:1 gene:Dexi6A01G0019990 transcript:Dexi6A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMHAASDEEAMDDEDYYDYDYCYSDDDGSGGGGGGGESEGELVAGDYDEGLEAEGSDEVVSRREQTFAVLSEEDISERQEEDISKITSVLSITKEEACVLLHHYKWNISKLSDEWFADEEKVRHTVGLLLNGNDRPRSRKASFTYLFFFFFHRIIISGLLTCGICFEGYSSDMMSSTGWEISDGPSKIFVGYISAAISGGPGCLSLRCPDPSCGAMVLQGMINKLAKDEDKEKYARFLLRAYVEGSKKTKWCPAPDCECAVEFLGDENYDVSCNCKFSFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWLCLGAWSDHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLLKAEKEQLAKLTDMFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDRIKSEFFEYLQGEAESGLERLHQCAEKDLQVFLPSVKPDISETTTPSVAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVRATSQAAATTSTATNSKKGGTKSKKKPNVRPSSDHTDDGWPCERCTYLNPPSVDMCSVCEKSRY >Dexi4A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:4A:12985597:12987384:-1 gene:Dexi4A01G0013460 transcript:Dexi4A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFADAWSAACAVRASKLLVPASYVFLVGPITFTGDSCEPNMAFQVDGTILAHAAGSTAWNSGAVTQWLEFKNVRGLSIQGCGAIDGQGSEWWSGGSVAGDAKMAVRVFQGANVTVMGITIKNSPRFHLTLDTCRAVEVHDVAVSSPRDSPNTDGIHLAASVGVSIHHSIIACGDDCISIQDGCSDVFVRSVHCGPGHGISIGGLGKSGASEFVSDVTVQDVTLNQTMTGVRIKTWQGGSGSVKNVRFTDVRVSAVKTPIVIDQYYCDHATCTNQTSAVAVTAVAYQGVTGTYTERPVYLACSDAAPCSGIQLADIKLSPVKDDAGHHLQGPFCWKAYGEVVLPVEPPVDCLRAVAP >Dexi3A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:3A:16285694:16287827:-1 gene:Dexi3A01G0020520 transcript:Dexi3A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQEIVPLNAGNVLVIEDNEPAARWLALINRVLNRQVDTDADIFQHKPSPSLDSTSSQSTPGLDNTSFSNRSRTASGSVIFQKSLKSIRKSYMPSRRKQLKFCNCPVEMAKKSYKDACFRCPQAYANEMDSSEEDELDDKLNDIFGLSDDGVTSAASASRDHLKYNLISCKQMVGIFVTVWAKKELVPHIGHLRTSCVGRGIMGYLGNKGCISVSMTLYQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFRRICRRAGRRIPEKILDHDRAIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIQRASGSVFKGWSEEKIYFAPTYKYSCNSDSYAGETATSKKKRRTPAWCDRILWHGDGISQLSYFRGESKFSDHRPVCGTFIGEVEMLDGKSKRRSSNTNIRIGAEELLPTSKHNKGLFLLDFILISIAICVS >Dexi9B01G0041710.1:cds pep primary_assembly:Fonio_CM05836:9B:42151093:42153746:1 gene:Dexi9B01G0041710 transcript:Dexi9B01G0041710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVGLLLLIITLASAPSVDVADGQALPGCQATCGAVSIPYPFGIGANCTRVGFEIACNDSTPFLSGTGYKVLNLSLATSGARLELPIAWTCYNRSGNPLPESEAPVSFNPQGVYRISDAHNQLVVIGCDVTAYIQSRPYNDSTDAGYPYDYYTGCVSYCRGPEFVRDGLCAGVGCCRVDIPPDLTDNSVAMDEDDPDIRRLFYNFSPCSYGFLVDRNSYTFRRADLNMDKNQTMPVWLDWAIRPNGSSTFTCSDAMKDSSSYACKSQHSNCTNAANGPGYTCSCSRGYEGNAYIVGGCTGTRLDIAVDSAEALSYMHSSATQKILHGDVKSGNILLDENFIPKVSDFGTSRLLSIEKKHTILVIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNNSLIINFFKSCGSVDKMRMIFDEEIVSPEDIEFLQKVGSIAVACLKEDMDDRPTMKQVAEHLQLVRREWKQTQGHIVPDEISMESPRAISLMNATGDETPGHSLPSVK >Dexi5B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:5B:5950247:5950697:-1 gene:Dexi5B01G0008800 transcript:Dexi5B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKITSTLLAILVLQAVLVSAAVGTEGNGVVGASAKKKKACSCGFCNSWSGVYTCDDLLTKCPGPATCKTCAPVPTDKGTRYMCRDFLPEGCGCKPN >Dexi9B01G0026700.1:cds pep primary_assembly:Fonio_CM05836:9B:28869518:28874929:-1 gene:Dexi9B01G0026700 transcript:Dexi9B01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRVRAEASSGVDASFALSVHPPRSASSAAGLVLKIANPKARATPTWPLLGFSDQVSRTFRRHLLMHAPPLVALAVSACPAAASSPPPWLASPRAAILAAPARLLRSRRGTLRLEAKAAWRAAGGGRGPRVPAKGAVLASYMGAEEVVGPSPQLDEDVLCFPCLWRLELIFHIRRELDEGNLPEDVASSLEELYYNYKNAVLQNGDSNAYEIMLSNMMALFDRVLLDVQNPFTFPPYHKAIREPFDYYMFGQNYIRPLVDFRNSYVGNISLFHDMEEKLRQVYVAGDRVITDPLCKPFSMGRFISSPKTLSYVIIGGSQLIWIAPSGGRDRPDPTTGEWYPATFDSSSVDNMRRLLEHAGVPGHIYPLSLLCYEIMPPPQQVEKEIGEQRVISFHGVGVSVTEEVKYGDITSHTKNADEGKEIFANTLYNSVVNQYNVLKSAIFRDCGAAASSTAISLSQPWR >Dexi1B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:1B:24377149:24379173:-1 gene:Dexi1B01G0018070 transcript:Dexi1B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESQLGHGDSEDRFKPCLIRSLQGIRIIQAAVGSRRTMLVSDTGSVYAFGKDNFRGAELVDTAHTSQITAPKVVETLKGVFVVQAAIGGYFSAVLSREGRVFTFSWGKNERLGHNSDPSDVEPRLLSELEDVPIAYISAGNCYLLMLAYQPNGMFGHSLLFIFENVAIEDDEAEGPDFSTPSLVESLKKLNKKVAQICPTNASYWLNSEMGHPHTFAVMESGELCAFGGGIRGQLGVKLLEGVEKVTVPMHVPIDLS >Dexi9B01G0040810.1:cds pep primary_assembly:Fonio_CM05836:9B:41316402:41318486:1 gene:Dexi9B01G0040810 transcript:Dexi9B01G0040810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAVEAAVATLVTLPAPRAVRGQIVALTSLLLQPLASVIPFAAFQLLDIYWKKEHRLMCTSDVCTAEERVRFEKSVGGRCPSMFKAQRNVILCVSACLLYWCIYRIVKYSKDIKALEETEKRLKEE >Dexi1A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:1A:25342187:25342435:-1 gene:Dexi1A01G0018070 transcript:Dexi1A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDFVEAYVLKNAYKEKLRRMEAAEEKKKTKRGSGSATEKKASAPSGSRGGGLFGLMKKKVHPKAAPAPSDANGAAAAAS >Dexi9A01G0038690.1:cds pep primary_assembly:Fonio_CM05836:9A:42844474:42846035:-1 gene:Dexi9A01G0038690 transcript:Dexi9A01G0038690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAIPSSPVFSPSRRPLSCKAASASPEPAVSVSVSASSPAPAPAAPAGSPLRPFALRALLREETSPSSSPQSTSAAAVASAPTGSVLKRRRPAPLVVPAAGAASAAAAAAAAAVAAVEADPRNEVEEEGEEFAAYCRRGKGRRRVEMEDRHVAKVALGGDPQVALFGVFDGHGGKNAAEFAAENMPKFMAEELNKVNGGEIEGAVKMGYLKTDEEFLKRDQTGGACCVTAVLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWIVADPDTRTLSVDQQCEFLILASDGLWDKIDNQEAVDLARPLCINNDKASRMAACRMLTETSISRGSTDDISVVIIQLQKFTSS >Dexi8B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:8B:26380868:26381557:1 gene:Dexi8B01G0015620 transcript:Dexi8B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVPPEVKAAALASFRSCGGQLSDGNATLHRWCSHDLSWACHGDEVTTTTDVLLVWHIATGLFEISMVIAQSLSRYCTYLVVEAPDLLPDDSTWTKRRYKAVKKHIEEAFKSSHAVPEYGHLIDSFGTESSHEVLKKGSRLAKQLVEEAAGAGGEDAVWEHLAKFWSEMVLYLAPSDNVKAHIQALQRGGEFITHLWALLLHAGIINRPTRHFSEP >Dexi3A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:3A:16898010:16898537:-1 gene:Dexi3A01G0021270 transcript:Dexi3A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVVPGSSGGPASSVILTASKTSSLCSREVATLAAWSRSCTVGAARSRSATSASMTSSRVLVLPSMTTSWTRMATGSEETRGERRSFVFSKTCAE >Dexi6B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:6B:7454339:7455377:1 gene:Dexi6B01G0006760 transcript:Dexi6B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFPRHFQKGSRLPPPPPSPAFLTPVRQFPSLRAPHGMLISKTVSTSTAETVQRTHVFHIEGYSQQRGFGRFIRSTKFSVGGNKWVAFIYPDRIKLLKAANGVEEHHVVAGVATGPLNPMVRPSYELRLVNQMSGLSFSVHKAAALKDHNRGDVHGHYACFLVKRSVLEAPTVLQHDRLTMEWIVTLTKQPRKPEAISFPKIEMHFAKLLEEKEGVDIEFSVEGMNFAAHKMILATRSPVFKAELCGPLKEVGTEPIVVKDMQSDVFGALLHFIHTDSLPPLDDLEEEDSREMIRHLLVAEIDMPWRG >Dexi5B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:5B:18900405:18900821:1 gene:Dexi5B01G0017340 transcript:Dexi5B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAVSTVGATSPSSGSDAPAVCNPQLAAVTAERKRKRKESNRLSAQRSRARKQRQLDELTVQVAALRARNGAMAAAAHDVHRRCAAVQAENALLQAMNLELGERLQSLTELIRCMEEAAMYHQPQLLDANMYNYY >Dexi4A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:4A:11003625:11005119:1 gene:Dexi4A01G0012450 transcript:Dexi4A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPLLLCVLLFTYCFIALGADEHGFVVVPTTSPSSEPACSSGSQATSDPNRASVPLVHRHGPCAPASAAGKPSLSERLRRDRARRSHIISKATGRTVTLSDASGGVTIPTSIGSAVDSLEYVVTLGFGTPAVDQTVLIDTGSDLSWVQCKPCDSSSCYPQKDPLFDPNASSTYVPVPCNSDACKALADGYSDGCTNTTGTSLCQYGIEYGNFDTTVGVYSTETLTLNKHGVSVTNFSFGCGLRQQGTFDKFDGLLGLGGAPESLVSQTAHIYGGAFSYCLPPVNTTTGFLSLGAPGNNTAGFVFTPLHSSPEGATFYVVNLTGISVAGKKLNIPATAFAQGMIIDSGTVITGLPQTAYEALRTAFRSAMSKYPLLPPKDDLDTCYDFTGLSNVTVPTVSLTFDGGATVDLDVPSGILLEDCLAFAGDGADDTGIIGNVNQRTFEVLYDSARGHVGFRPGAC >Dexi5A01G0028770.1:cds pep primary_assembly:Fonio_CM05836:5A:31989698:31991679:1 gene:Dexi5A01G0028770 transcript:Dexi5A01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPMTVRRLLFLLIFLCPFAEETAAAAAGKPSVVHDDVNPVQVTSPITTVPSTNPTPTIITVPSTNPTITIPSLNPLPTPITDSPSSTAPPVPVIYPLPTPSTSSPPTVPVNNPTVTTPSTFPPSAPFTNPVSNPTPPPAQITAPAVPSQQVWCVVKGAGSSDASLQNALDYACGIGGADCSAIQPSGSCYYPNTLQVHASYAFNSYYQRNPAPSSCDFGGTAMLVTANPSSGSCVFASSSSSTVGYNPASTAAPFSSSTGSDSGAPVLNASGSGYKEPSEFGPEIPGAVDMDNAWRSIPASHWPWAALVWILAFCAQIEGMV >Dexi7A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:7A:13866661:13867819:1 gene:Dexi7A01G0003790 transcript:Dexi7A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAARHGGEDLMLLRLGMVPTLVASSPRAAQAVLRIHDMSMALRPRSIFFDIIGYGPSDVGFAPYGDGWRQAKRLMTTHLLNTKKVQSYRAAREEEVEMVIDKIRSAATAGAAVDMTELLSSFTMDMVSRLVAGQSFRVDGLNKAFKDMVDDTTAALGGFNLENFYPCLAKVAGGVLMWPVRRKAERLRDRWDKVLDKVIDEHLNLAATSAPARHESDFTDVIQSMKEEYALSGDSVKGILN >Dexi2B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:2B:13701728:13703027:1 gene:Dexi2B01G0011890 transcript:Dexi2B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKQQQPVAIRGEVPPDMGRAPCCDKASVKKGPWSLEEDSKLKEYINKNGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICNLFATIGSRWSVIAAQLSGRTDNDIKNYWNTKLKKKLMLALQPYTHNHHVSKKQQLLFLTASSSSSSAAPPGAAPLSQQHLPSPHHYISGGGGYGNNNSSKSLISAAGGSRGLLDGEHQLMSTSCLDGCGGGLVGLYFDELCATTTSGVHGQGLGMESFAIGGSQVQEEEQAEHHHKALLLAAGAVNLEPYNAAAPSSSYDKAKPLVGLACVGNGAGGTSSGFFYDVISHSNNLASAGDR >Dexi7B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:7B:2243862:2245286:-1 gene:Dexi7B01G0001270 transcript:Dexi7B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAACLREQGVPYVILERADCIASLWQKRTYDRLKLHLPKQFCELPRMAFPEDYPEYPTRRQFIEYLENYASKFEIKPEFNSTVQSARYDETSGLWRVITSTPNASDMEYIGRWLVVATGENAESVVPDIPGVDGLDAKVLTHVSDYKSGEVYRGKSVLVVGCGNSGMEVSLDLCDHGAKPAMVVRDAVHVLPREVLGKSTFELAVLLMRWLPLWIVDKIMIFLAWLVLGDLAKLGMRRPATGPLELKETHGRTPVLDYGALARIRAGDIAVVPAVKSFGKGTQVELADGRVLSFDAVILATGYRSNVPQWLEGNDFFNKDGYPKVAFPHGWKGQSGLYAVGFTKRGLSGASADAVRIAKDLGNVWREETKPTKRAGACHRRCISVVF >Dexi7B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:7B:18753608:18756185:1 gene:Dexi7B01G0011740 transcript:Dexi7B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFLLRRTAGALRRKTPRSRPFIPSSDVGRLASSRGTLERLAPPPCSPSLSTEMLRPSVGCKVIIFKPTIALDKSKYKEKLRAVRRYIPRLEQELEDLHAQMKLTRLAGENKGEGVGRYVASSSHNASSLEARKKSPTSVRSKNVADLLSESVEESKRLEDESSEVEDGSASESISFSESEDLSDIFESESEEEEDNKDRPLYLDRLDKFPSENNDNEPDDFEEHLRKIASLSDKTDSPSKELKVSELDEIDKIFLRASSLLKKR >Dexi4B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:4B:15996602:15997360:-1 gene:Dexi4B01G0014760 transcript:Dexi4B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMHVNIDCDGCEGKVRRALEKLEGVHSVTIDRMHGKVTVTGSVSQKKVLRAARRSGRIAVLWPSAYNPAYHNAYAQPAAYYQQYQYQTKPAQAQHHYYSSVQHGSSKSGGGGVSAVARKAMAAQYPQQGKASSYNYHVHGYFDSDLYGNYHEQPDVVVPAAVRNYFSDENPSACSIM >Dexi9A01G0033790.1:cds pep primary_assembly:Fonio_CM05836:9A:38622577:38625901:1 gene:Dexi9A01G0033790 transcript:Dexi9A01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRRSGPPEGRDTFSLLVLNLSFRTRADDLFPLFDRYGDVIDIYIPRDRRTGDSRGFAFVRYNYEDEAQEAVYGLDGRRVDGRVIMVQFAKYGPNAEKIHRGRITEENPKPRGHFRSPSPRRRYRDDYRDRDYRRRHRSRSRERYEQDSRSPAHRSPSHGKNQSPRKIPSREGTPNRPRDGRSPSSQNP >Dexi3A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:3A:970468:970917:-1 gene:Dexi3A01G0001390 transcript:Dexi3A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTRPAAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLLLKAIEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Dexi2A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:2A:4076564:4081083:1 gene:Dexi2A01G0004520 transcript:Dexi2A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRSTAALLRAKSPDESNKFVDIGGQAETFAKRLKEDEHHFKVFSVVGFGGVGKTTLAMEVCQRLAVDFPYQAMVPVSQTFQPDRDLENLLKGILQQVVTPKTDDGKGVKEEKAVGINELGAYLSDKRYLIVIDDVWTTQAWEAIEYKLAAAQTNNNCGGRIIVTTRIEAVAEACSTASAREHCIHHMEPLKLHDSKKLFLSKAFGKMDATCPEELTDVMDNILKKCSGIPLAIVSVANILAGYTSKDKWERVCKLMGSEMVAHPTLEGMRHIVALSYNHLPHELKRCMMYLSIFPEDYEIKKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFVEIFRNVELYRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIEHGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTDQHVLHACKLYLLRFLSFKNTEISKVPPQVKKLEHLQVLNLEGTSIRDGGLSDNVTTLKKLERLLCNFWVLPKGIGKMKALRELYAVRLEDGVEIAQELGELEQLEAITTEVGDSVSKEVRQALATSLSKMYFLRRCWISSADYNLEFLHDLRTPPRRLRDIWIGGRVGPCLPAWIGQLTHLVAFDMWRARLSGDQLLGVLFKLPCLKRIHVGALCCVDRELVARTNYRFPSLVSLEVYARMTEEPEEFRFEQGSMSQLERFQIDFAEREHKVSIVGMEHLTNLKEVRLRGNKSSPALEHALQQVKAEKSKREESHQFEVVVKCYG >Dexi2B01G0024680.1:cds pep primary_assembly:Fonio_CM05836:2B:34066277:34069234:-1 gene:Dexi2B01G0024680 transcript:Dexi2B01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTTAATSWRWRLLLLLLVTVAALCWIPPAIAAAAAAAAASTANGARRSLLGFVEAQGNASYHCSPSGPCVPCQYSEKNDEKYSCSETGYRLPLKCVQAQNVTTKEGNKSKQRKILDDGSSSSGTKSTSGGTKATTGGPKQYITYRSCVPLEGEEKLSFLGFEVIMAGMLLVSGPFIYYRKRRTNLMQGAARIPTSPPRF >Dexi2A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:2A:2230236:2230760:1 gene:Dexi2A01G0002750 transcript:Dexi2A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSLFATATLLMALVLAGSHTSSAARHLADTTPAAAAPAAVPGIPAIPKPPVVPTVPAVALPPMPSVPTMPAASAAPPMPAVPTLPAAGTVPQIPAVPTVTGAMPPMPAVPAIPAATLPPMPKVTLPPMPAAVPKVTLPPMPAVPKVTLPPMPSVPSAGVPMPFFAPPPSA >Dexi9B01G0022440.1:cds pep primary_assembly:Fonio_CM05836:9B:17106962:17108103:-1 gene:Dexi9B01G0022440 transcript:Dexi9B01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTALVFLAHCLLLLHRCMGSEAGGVFDHGRHGVSLARVDEARAPSRCGGGTTTSPTGGGGSTPPPKPLLITAPRDAGEYPVLVFLHGYLVVNSFYSQLFQHVASHGYIVVAPQLYTISGADTTDEINAAAAIVGWLAGGGLSSVLPPGVRADVTQVSISGHSRGGKVAFALALGHAKLAVPVAALVAVDPVDGMGVGQQTPPAILTGRAHSLRVSAPAMVIGTGLGELPRGPLLPPCAPRGVSHAAFYGELDVGAAGAACHLVAGEYGHTDMMDDETPGARGMLTRMICRSGGARAPMRRFVGGATVAFLNKWVGGDGAAMDGIRARPEEAPVALSVVEFLDDKAIAQTE >Dexi8B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:8B:26879660:26880166:1 gene:Dexi8B01G0016000 transcript:Dexi8B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADELKAKAEVYYGDDICQQCTHLLLKEAGLPNGLLPLKDLIECGYVQDTGYVWLKQKKRVDHVFQSLGRLVSYGTEITGYAEKGRIKKVKGIKTRELMVWVPVEEIAIDEPATGKLICKSIAGITKTFPASAFHIPEKENQKMNCAAPKPVVLMERAPQVVKNN >Dexi3A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:3A:3203438:3206254:-1 gene:Dexi3A01G0004930 transcript:Dexi3A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVGLVVPHALLAASSAAASRALAPSRARLPYPPPFPASSSFSTAASPSRLLSRPRRGFQRLPPVRAMASGNPYAAELAAAKKAVTLAARLCKTVQQDIVHSGIQSKADKSPVTVADYGSQILVSLVLKMEVSSGPFSLVAEEDSEELRKDGAGEILEEITDLVNETLVDDGSYNISFTKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLSSISNTNGSSSGDQVGALFSATIGCGTEVESLYGSPAQKVTVCSIDNPVDASFFESYESAHSMHDLTSSIAEKLGVLAPPVRIDSQAKYGALARGDGAIYLRFPHKGYKEKIWDHAAGSIVVTEAGGIVTDAAGNDLDFSKGRFLELDTGIIATNKQLMPSLLKAVQQSIEEKKQATSLL >Dexi9B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:9B:8614645:8616197:-1 gene:Dexi9B01G0012780 transcript:Dexi9B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRGMDGPIPTPIRGRYWCTPGNITGGAAAMPPPIHIICIESRWQELLRTLSERLCTSAARLLLAVVIFCGGFRASIPADSDSASCTYHRIAVRRDLAGALGVLGVEPLALAAPVAGARRLGGGGGRVAEALDLPDEDATVASLFPLPPALAFALPIADGTAPPDPPPAPPPPRPVAAALTARWTSTWLLPQMLDPIALSIDDDAPASAVFPATLLPARTSSERSPALMSPASAARLIVCCLEAADTCVDLGGGIRSQGASDTTAPRLSSSAIGTSRQSLPASTPVVVAGTGATAAAGGSQKNSEKMSLSSESASANGNQRPASSSGGATDAGAGGAGHVAFSGIGGGSFRLFWLCMTTWPLHQSSSMRSSSSL >Dexi5A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:5A:3475489:3476465:1 gene:Dexi5A01G0004590 transcript:Dexi5A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIGAGREEGEAAVAVEMDAAAPVMAGRRGCIRSTQGPWTVRRRGRGGGMTMSLRHPTPRERENNRQRERRRRQVAARIYSGLRAHAGYTLPKHADQNDVLRALCAEAGYLVDDEGNVTRLQGVVDGAAGPSCSSDHQKPSSHSGTTEAVTLQQPDHHQQREEEEEAKMSLELTLSFAYM >Dexi1A01G0029740.1:cds pep primary_assembly:Fonio_CM05836:1A:35188174:35189057:-1 gene:Dexi1A01G0029740 transcript:Dexi1A01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFYSDKPIGAEHRRVASRRALIMPALCFLNIARNKATRSQVMASVATVVLGAVCGVLGTYNAVTKIAENY >Dexi1A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:1A:280767:282783:-1 gene:Dexi1A01G0000480 transcript:Dexi1A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMAQYVDEWRPVMAMLLFNLISAVMTALVKEALQQGLNSLVLITLRQLVATVFLAPIAYFKERNIRPKFTLGIFVYHFFSAALGASLSQYTFFYGLKLTTATFAITFANMAPVLTFLIAIALRVESLNMKSKAGSAKIVGTLMSFGGALLLSLYKGVAVTHQNPVVAAHHAAAPASSSSQVVTGTDGKSWMLGTVSLLGNCLFFSLWLLLQSRLTKKYPALYSSTSIMFFISTLQAGALTVTIERHSSVWIVTRRLEILTILYSGIFASAVGFVIMTWCVHERGPVFTAAFIPVVQIMVAIIDFFFLHEQIYLGSILGSTLMIFGLYLLLWGKKKDASAHCTDNKQVDEEADNEEQQAVKP >Dexi5A01G0035860.1:cds pep primary_assembly:Fonio_CM05836:5A:37538520:37538960:1 gene:Dexi5A01G0035860 transcript:Dexi5A01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVVLRAAAAAVAAPSRSFRLRCPPVVVVEWWWGRPRPRVVWLTGYGVRQGGPTTNLARVSTRPRTPHAVARRDEMGRRAGAAVVGSRVTTTATALLDGSGQLRDAEIAFEIRVF >Dexi2B01G0028690.1:cds pep primary_assembly:Fonio_CM05836:2B:37252321:37253724:1 gene:Dexi2B01G0028690 transcript:Dexi2B01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSDGVAVAVAELRKDKAKKPSPAAEARKKTGVDADDAYGLLTRASTAASLSALPSSAAAASLDSGFNIPSASSSRYSTASSSSTSVSSSPSVSGVLSSPAPAATQRQAREGPTTTTAATCRASGAAAVVLCLLMVVFCGGRVGATVLTSTALYLFPRRWAPARARSMRAVDCYSPECCAEEETPP >Dexi9B01G0022410.1:cds pep primary_assembly:Fonio_CM05836:9B:17081285:17084315:-1 gene:Dexi9B01G0022410 transcript:Dexi9B01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLSSDHEATGSSSHGGDIASYALSPLFLAPAASSATAPPPPPPLAQPEEEPPRAAGTKRKRSQSGNPDPDAEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTAGGGGGRQGDAAAPPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVQSDWKAHVKGCGTREYRCDCGILFTRKDSLLTHRAFCDALAEESARLLAAANNCSTVTTVTNSNSSGDFLFPNSSVVTASPLFLPFPNPPPAAAAAAQNSNAIYFLHQELQDHPCFLQQRMVQPAAPYLDLRHADATIAGGIVADTVNFGGLAPDGSLALRAGGGGGHRRLTRDFLGDGGGHHQVEELQLPLYAATAAATVVSRAASCATDLTSSRQYLGERLPPVNETTWSHNF >Dexi6B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:6B:23126247:23127589:1 gene:Dexi6B01G0015820 transcript:Dexi6B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAAVLLAAILLVAGRVTHAAPSTAEVFWRAVLSGSAVPDTVLRLLRSHSNFVGKGKAEEAEGVARPNAPFNYQNYERSSAPYGYDYKAPSTTVGARDDDDTPFSYDYKPAPTTDDHVTRDDTPFSYSYKAPGEHHHHEDVASATSKTTVVFFHEESVRVGERLAFHFPAASPAPLGLLPRNVADAIPFTTSSLPSVLSLLGVSPSSAQAAAMAETLRTCESPTLSGEAKFCATSLEALVERATSALGTRDVRAVTSTLPRAGAPPQEYTVRAVRRVDGESFVACHDEAYPYTVYRCHGTGPARAYVVEMEGARDGAVVAVATVCHTDTSQWNPEHVSFKLLGTKPGGAPICHLMPYGHILWAKNVKGSPA >Dexi8A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:8A:812332:812545:1 gene:Dexi8A01G0001200 transcript:Dexi8A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDSSLCRRTTSGSSFPTPRPPSTRTTPTRPRRTWPASVLAAPQDESSIFPQNP >Dexi3B01G0029050.1:cds pep primary_assembly:Fonio_CM05836:3B:27888516:27891962:-1 gene:Dexi3B01G0029050 transcript:Dexi3B01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDVDKLQMLLSFKKQGARLVEASLDDHAGLVAAVAQADVVISAMSGAHIRSHNLHLQHKLVEAIKDAGNVKRFIPSEFGMDPSRMGHALEPGNITFVEKMELRRAIEEANIPHTYISANCFAAYFCPNLCQLRTLLPPKEKVHVYGDGNVKAIFVDEDDVATYTIKSIDDPRTLNKTIYLRPQENIFTQNDVIAIWEKLSGKVLEKIHITADEFLGSMKDTDLPNQVGVTHYYHIFYEGCLTNFEIGEYEAEATMLYPEVQYTCIDEYMKIYL >Dexi2B01G0027010.1:cds pep primary_assembly:Fonio_CM05836:2B:35994732:35996249:1 gene:Dexi2B01G0027010 transcript:Dexi2B01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSVLASPSYGKVEETYKKAVSMAATAAGYSMLARTMARELLPDEVRDAARWAASVYADDGSPNYFYSGARAYLATRIDPRAMGELCLAVRGARRRLSMVPGDSMTDVFEGVEFRWTSTRRRRRRDDDSDDDDGRPDDSLVLCFDAEQTDLALDRYVPFITATVEAELRRHRSIRILMNSGASWGGMTHHHPATFDTLAMDPELKRSLVDDLDRFLKRREYYNRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLHFNLYDLDLDLSEVHSNSSLQRLLFGMDNRSILVIEGIDCCFSAASREEKPDDSDSSDSHTEPPAPAEGITLSGLLNFIDGLWSTCGEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLAHNYFLVDDHPTFPEIQELLEEVEVTPAAVSEMLLRSEDAGVALQGFVEFLQDKKEQGGKQ >Dexi8B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:8B:1675780:1678104:1 gene:Dexi8B01G0002440 transcript:Dexi8B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSDLSPPPPSSPPADTPASVAAQTPSLPDTPASLDPDTPFSDATPVDASDADTPALAPIASALDAPGEGEEDGINDPSGGVRKHMTLAPPAPPSKKSKKKGGNSVWTRPTSRKGKKKAKQSGGHGPGGGANGAHPGPNAGDDELCQLVPATRLAAERSDDAATQPVLLSRFFKSERIEVSDDRLTAASTKGYRMVRATRGVAAGAWYFEVRVVHLGATGHTRLGWVTNRADHQTPVGSDAYGFGYRDMDGAKVHKAWRDKYADEGYGEGDVLGFYISLPDGEQYEPKQPELIQYKGMPFHVQVPKEEQKMPPPVHGSEICYFKNGVCQGRAFKDIPGGRYYPAASMYTLPKEANCVVKFNFGPDFEFFPQDFGGLPIPQPISEVPYQAVEVKKEGPAENGSADKTS >Dexi1A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:1A:1984668:1986256:-1 gene:Dexi1A01G0002770 transcript:Dexi1A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARVFRGSRFLMSPAVGSVGAKRTAAAAAAAAQVTKGEATAAKEKRGIMKPLPVSEELSRFAGGKPEVARSEAVKLIWDHIKANSLQNPAKKSEINCDATLKSLFGGRDKVGMLEISRLLNPHFLKN >Dexi4B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:4B:22720959:22722953:-1 gene:Dexi4B01G0020610 transcript:Dexi4B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGGGGSPEELWKQAGGDPPAVCVTGSTGYVGSWLVRTLLRRGYRVHATARDTGKAWQVFAGVEGGDRLRVFRADMGEDGSFDAAVTGCGGVFHVAASMELHVAPGHDNIEERVRSDVLEPATRGTINVLQSCVRAGTVRRVVFTSSVSTLTTAADDGQRKAVVDESCLRDLADVWRTKSVGWVYILSKRLTEEAAFRFARENGVHLVSVILPTVAGPFLTPTVPTSIQLLLSPITGNQTTDFFLDKSDTLLLLHWIAIAGDPKLYSLLVSVHSRFGCLPVAHIQDACDAHVFLMESPRAEGRYLCVAGGHPMAQIARLLAARYPPFKPGERLSRDFDASCSSSVVSSKRLLDLGFRFQYGVADVVADSVAQCVDHGFLEHPELRLE >Dexi6B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:6B:18200683:18203228:-1 gene:Dexi6B01G0011150 transcript:Dexi6B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIAGAGRSVLSEEEDMALERKLKVLNKPYVKSFKDKHGIVFDCVDMYKQPAFDHPLLKNHKLQFAQLLVDSVAGSKFQGASALLEVDTVAVPVGQVSSAQILLVDDSFHSSVVNVVQAGWSDEQTGNWQVFLNQENVGHFPKEIINSMTGATQVQMGGMTYAPAGQKSPPMGNGVAPVTGKTTSASKFAQAKVQGANVAKSRLTKDVSDPAIYNIIVSSVSGPDGNAFQYGGPG >Dexi6A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:6A:4638427:4639743:-1 gene:Dexi6A01G0005080 transcript:Dexi6A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRISEEFELHAEKAKTLPDSTSNENKLILYGLYKQATCGDVTTGKSKEEAMEDYITKVKQLQEEAAVAAAS >Dexi2B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:2B:5208715:5211366:1 gene:Dexi2B01G0005660 transcript:Dexi2B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNASLLLIPDVVLISDMVNQPDSPMLAEVDVSFTVCFSMRLHKPSWQETTKGKGRARGIAFLVLPNGITEEPYATVSVAKLLALDAGGSLNLSSPITGRALLPGGGNVSVHVQIGRLPDGSMPLPEGREGVPVIHIAAIEPARSAARYTVWVEYSRCRQILSVFVAAGYGSPKPVTAIAVMPNVNYRDTAVFGKAPMGLFSSARQLVQIHLWSMDTEDFPNYDQQQWRKRVTLYSAVGSVSATVLVGAIVVCYYRSKYRRWKQEQERLAKTMQRLPGVPAQIEYAHIKKATINFHDTTKLGTGGFGAVYKCTLPPASSGRGQAMAVAVKKFIQKVEEKRYEDFLAEVSVINRLRHKNIVPLIGWSYYKGVPLLIYEYMEKGSLDQHLFQRGGTSGQGDGSFLQWETRYGIARDIATGLHYVHHEHEPMVLHRDIKASNIMLDSNFRARLGDFGIACTVSADMSHATGFVGTIGYIAPEYLGSNKATRQTDIYAFGLVILEIVTGKQHRDVPPDDGHLTYWVWRLHREGKILEAVDSVLTAGEVIADEAHRLLLLGLACTNPIPSNRPSMMEAVQVITKASQLPDVPLEMPSSVRTSAGWHSPLNSACSTAERNWDESDSSNLVTAST >Dexi4B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:4B:4791758:4792630:1 gene:Dexi4B01G0006840 transcript:Dexi4B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLHLRTKELVAEKEDMKKTLNTAKTELKAGVQQQLTTAQSKMTDWSNLANCHEEALKTLSEEHHTIKEQLRVAVEQRKDADAQLIQVIEQQKKFAKDLEDAREERNQLSKELIQSQKNLADKKALDEKLEQATRRMSELEETIRQMKKSDDDLAEALNRISLLEKAANPVVKALVPEDPSSPQSFLERLKAMPRQLKAYIKRSSKACLVHVLSVIRSRYPEVDIGKLVEGAEPNCTEVAFRDLKQGAEPVAEAIAQSLRL >Dexi1B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:1B:4105990:4106568:1 gene:Dexi1B01G0005030 transcript:Dexi1B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNQEDLRSFVIQVLENTGSLLLPFVYCASKSPDAAAWVKLDQLLEATLTNEVSRKASTSQGSALLRVAASVFTEIEALQDLRRTFLGSKSVSFHHASIFGLICGLVGFDSEIVQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVARDAERMMQKWRDRDVAEASQTAPLLDALQGCHAYMFSRLFCS >Dexi5A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:5A:14382170:14383080:1 gene:Dexi5A01G0016140 transcript:Dexi5A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDSDWKDRSGPEYRCFVGNLPYGTSEASLKDAFSGYGPLNSQVVTDRETGRSRGFGFVQFDDKQSMESAIQGMNGQSMGGRNITVSEANQRPRRWRA >Dexi2A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:2A:8136163:8136845:1 gene:Dexi2A01G0007830 transcript:Dexi2A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEHQKLGPFMQVLPICSRLLNQELLG >Dexi9A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:9A:114366:118203:1 gene:Dexi9A01G0000150 transcript:Dexi9A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKCSMYILLQASKSRFVVGSHVWVEDPDEAWMDGLVEEINGDELVINCTSGKKVTANVSSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYSLNEIYVTQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDENGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDCKKYKLGDPRSFHYLNQSNCIALDAMDDSKEYMETRRAMGIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDEKSQFHLKTAAELFMYIISVALSCDEKGLEESLCKRVMATRGESITRNLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNRCLTGMPLHLICHLCSHTHRNSHI >Dexi3A01G0036720.1:cds pep primary_assembly:Fonio_CM05836:3A:42269663:42271253:1 gene:Dexi3A01G0036720 transcript:Dexi3A01G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPEGALIYAMVARGTVAVAEHTSYTGNFRDIAAQCLNRLPAGNNRFTYTCDGHTFNFLVADGYSTSAGREIPMAFLEMIKEDFNKRYAGGKAATATANSLSRDFGPRLRDQMQHCTDHPEEVSRLSKVKAQVNQVKGVMMENIDKVIDRSEQIDGLVTRTEQLHDQAADFRQQGARVRRKMWYQNMKIKLIVLGIVIALILIIILSVCHGICK >Dexi4A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:4A:17017959:17018489:1 gene:Dexi4A01G0014650 transcript:Dexi4A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFPPFPPFPLPPPYPTWYPPPPPPSSNNSVIAGLTIAFGIFVFLVSCLCTMSRQSRATEAAAHPAVAIVPPELWDSDGGGHEGRSRRVSPDLPSFTYSQSVKHNVTGAGGEEAATCSVCLGAFETGETVRLLPVCLHLYHVECIDLWLDAHSTCPICRSGTDPTTDSRLHPPV >Dexi2A01G0033810.1:cds pep primary_assembly:Fonio_CM05836:2A:44009122:44009680:-1 gene:Dexi2A01G0033810 transcript:Dexi2A01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLGLGLLAAAAAVAVLALASGAAAVAPAPAPTTADCSAAVVGLSGCLTYVMDGSAQDKPTKECCVGVKGALKSPATVACLCAALGGNYGVKVNFTRAAGLPAACGENPAALRKCNIKMPGTPTEGNR >Dexi6A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:6A:9833724:9835112:1 gene:Dexi6A01G0008960 transcript:Dexi6A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVSLEEVRKAHRAEGMATVLAIGTATPANILYQAEYPDYYFRVTKSEHLTKLKQKFKRMCDKSMIRKRHMLLTEEILEQNPSLCAHMAPSLDARHDIVVAEVPKLGRAAAEVALKEWGRPRSQVTHLVFCTYSGVDMPGADYQLTRLLGLRPSVSRLMLYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEINAIMFRGPSEAHLDSLVGQTLFGDGAAAVIVGADADEPVERPLFQLVLARQSIVPDSEGAIEGHLREAGLTFHLLKDVPGLIAGNIERALEDAFAAIGVSDWNSIFWVVHPGGPAILDKVEATVGLDVTRMRASRHVLSEYGNMSSACVLFILDEMRRRSLEDGCSTTGEGMDWGVLFGFGPGLTMETVVLRSAPITDGPTA >Dexi2A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:2A:28795266:28795840:-1 gene:Dexi2A01G0016980 transcript:Dexi2A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYSIEWELTSSEDREEFALPTLQPKLKRSGARRNHRPKFKGSEARSVTKNYQETKLTP >Dexi5A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:5A:3995300:3998340:1 gene:Dexi5A01G0005330 transcript:Dexi5A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVTTHGHDPARFLMRQLAHLALLLCSLLHPPAIAHASSNHTAATCLPDQAAALLRLKRSFTATNESAVTFQSWRPGTDCCRWDGVRCGDDDDGHVTSLDLAGRGLQSTALDPAVFNLTSLRYLNLAYNDFATSELPSTGFESLTELTHLNLSTTNFSGMIPAASIGRLTNLVSLDLSVAFEFYDLADYGYNMNIDSTYPFIVPNFESLIANLKNLKELLLDTADMSAVADWCSGLAKLSSLVVLDLRYNSLSGSVPADSSLENILVGNTNFSGEIPTSIGDLKSLKKLDLGGAGFTGKIRSSIGSIPATIGELVLLRELNMSHNSFIGPIPPQLDRLNILESMDLSSNELSGEIPQGLASLNFLTTLNLSDNKLVGSIPESPQFSTFSNNSFLGNDGLCGPPLSKECINTTPPNMVIHDSKKSSKDIMLFLFVGLGYGVGFAVAIVVAWGIPIRKRSTRH >Dexi2A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:2A:778084:780044:-1 gene:Dexi2A01G0001160 transcript:Dexi2A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLEKDFYVGSRDPGHFEEFINMLRDLLRDQPSTSRANLPNGIRILPREEREGPRQWLHLRMVAPGQPRASIILWIRTDNLYLIGFEQEQPPPTGRGSGRYEFNPSNNENKSEDENKNKRDKEKHARKEQGNKQQGQQGQQSRGPLIPGASFLGIEGGYDSLSLREEDLPKIKFGYQELVDAIRGLATYRREDGVRRDHLRTMVVTICESLRLHNICQFIERLMSNNSNSETCEATTTAKPPATLTSLMLKCIKNWGGISREVFRSIGNNNNNDRNLEYPHFQKCEIENTAQACEIVAMLLRKQDDDDDDKKGKGKGKGKGKGKDKGRPKRDDEAAAALDVVPHASWQGLTFVEIFSAVVVQAAGSISNLQLYGHITIEDGLTCQDLFMRRGRSNAQLDGGHVVELTGPARAVSGYDEIVMRVDVMHAIPGAVADMTPDNDEVGSGKLVWNTYDTPGMVYDEVEEYVFDGLSGASVNVRCAVVTNAVVATVALRRTTGQGEVDVYGTVSAATAMFLPEDKVTLFKRERKDAFYVGPGDEDVVPLLRNVVVVPLRSPLVISADLWATAYVVDSTVDDHISSGPVAVFQPSPFGVFRKVISDSDDYQFEVSVTWSLS >Dexi5B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:5B:4565444:4568252:-1 gene:Dexi5B01G0006810 transcript:Dexi5B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLLLLLLLASESILTPMATEADEAALLAFKAAAIKGGGPDDPLPSWNSTIAGGFCGWEGVRCEGSRLRRVVELSLPSYGLAGDLSPAIGNLTSLRTLDLTSNWFHGSIPESIGRLSNLQKLNLSYNTNRIHGLIPIELGHKLTGLRELLLVNNSLTGPIPASVSNMSALRYLGLGRNHLEGDIPDELGSIGGLQVLDLSGNNLSGVLPHSLYNLSMLKGFFVERNFLSGTIPADIGNRLPETEFINFSSNRFRGTIPSSVSNLSALANLALSENILSGHVPPSLGRLRVAALTQFIHKRLKRSESQLMPIIEEQYARVSYHALSNGTNGFSEDNLLGQGSYGTVYKCTLHDQRITAAVKVFNIQQSGSARSFEAECEALRRVRHRCLVKIITCCSSLNHQGQEFKALGFEFMPNGSLNGWLHPKSDMRTVENTLSLEQRLNIAVDIMSALDYLHNHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILPESANEIND >Dexi3A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:3A:13811958:13812323:-1 gene:Dexi3A01G0018130 transcript:Dexi3A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADGRLCPSWEAAVRALLPRAHSVALCALAFFFSRLRLPRSSTSAPGPPPHQGFSSKRQRWAGRHRACALGSTGAARSARHGACRGGKRSGGCVLLRPTGTARAGLWTVHETSGEPYER >Dexi9B01G0027950.1:cds pep primary_assembly:Fonio_CM05836:9B:30498501:30498812:-1 gene:Dexi9B01G0027950 transcript:Dexi9B01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLKSRSDIPACLSVGRFLAERAKEADVYTCTYTPREQDRINVKLYLD >Dexi3A01G0035240.1:cds pep primary_assembly:Fonio_CM05836:3A:40570287:40574805:-1 gene:Dexi3A01G0035240 transcript:Dexi3A01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGLWKQAWMWLQSQKHILAWAHTAACGSRERLAFLVDQHWPAVSRACATSSRLALAALRQWRGCMARGVLAVASLGPAAVFVMLWSFFVCMTSPAWALYALLSLVLLVHPDKNMGNPLACESFKKLQSAYEASVFMFMLWLFISGVEFRTEESRRIQCTKCGNFHIWICTKRSKTKARFCQGCDQYHQAKDGDGWVETRFATSKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGMKSWRRSS >Dexi3A01G0027430.1:cds pep primary_assembly:Fonio_CM05836:3A:24701570:24705072:1 gene:Dexi3A01G0027430 transcript:Dexi3A01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASACRSSARSRAKEATTGGPAAVPLAEAGGEARGESWMERSGEGGAAVEAARRREGVRRRLRGPRPRGAEGREKETAAAAVAGAVTAMAAAGRAGGREREEAAASTPTLQYRYGFGN >Dexi9A01G0042430.1:cds pep primary_assembly:Fonio_CM05836:9A:46034069:46040743:-1 gene:Dexi9A01G0042430 transcript:Dexi9A01G0042430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRKPHLLHRRDRDEASPSPPPAAPAHTPSPRGFAIPDRPTTGTPAPWTSSSLLARISTSKRTDRSGDSDQIQPVHVAEFPQVVRNAQASLLQKNFSGKNIFAGGIDKETSLAWMICGNELFIWNYLASVAKDCLVLEVPSSLIGHKDANPLPVPGSIHKCIAIATEPTGDGTATVAIYWRGSTRLYKFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGIWAIPEKAVLVGGVEPPERSLSRKVNEALHKLRAAGAFEKEGAATLTIMEHGEKLSGIIQLRELQNALIQQRSSTHLSPQLKTQSTGALWNLIQLVGEKARRNTVLLMDHENAEVFYSRVSDIEDLFYCLSHQLQYIISREEHPSVQVQRALEVATACITLVHAALHYRKEHKEWYPSPEGLITWNSHLVVRSGIWSLASFIMELLGESGAADMSMKSNLWSQLEGLTDILLEAYIGLLTAKFERGDEHGVLVQEYCERRDELLGSLYNLAKQIVEAKYQESRDGTDNPGLKESIFRGVISPILATAKRHEGYQTLWQICSDLNDSVLLRSLMHDSVGPHGGFSFFVFKELVNSRHYSKLLRLGEEFQEELASFLKDRSDLLWLHEIYLNQFSSASETLHTYALRGSPDEDASVTTSRKPLSFAERRRLLYLSKIAATAGKDMDYDLKVARIEADMRILKLQEEIVQHDPESAQVKYTTALLDPSELIEMCLKRDQDLSLKAFEVFASTSSSFRSSNRGLLEACWMNATNQDDWVKLSQVSTSEGWSDEVIQESLQGTVLFKASRICYSPDSLVYDGTFEDVLPVKKEDVHLRGLETKCLSVEEVLMQHKDFPDAGKLMMAAVIMGKELPYTAAEPVEMDT >Dexi7B01G0022410.1:cds pep primary_assembly:Fonio_CM05836:7B:27346120:27360308:-1 gene:Dexi7B01G0022410 transcript:Dexi7B01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAMQRPDAKTLLMHRWLQNSRRVLPASLRQPTPLRNIDGDDEGSSGHNSAGFCGTPVNTTPVASDVEQDNGRKELVLESSAQNKPDKLHDGNSKPTEGSGLDDLALMKDNIVLDKDPTLVLHDKLPVESSSGDADLNEKVMGHELQVSLPSKIEPKSKESSCLEDGDVFSFQAGRQNIDYQKVAEPSFVEAPKELSRFSDKPGDASLDDLFPPIDKQDVNGAQASTSTNGHDLPYNGVSNDFAKALNAAVVEKQKGNDNESMNGGKLIELADRLQDIDARGFGDNIAGEGLFPWQILSSVLQLINNIVKDNTSFLENACLVGLIPVVMNFAEPNRPKDVRVQASLFLQQLCQARDMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGVLLRLVNTLHSLNEATRFASISGSEVSRHGRENGHIDSIVKDSNRVQSIKYAHSTGVSNEGASTSGAASQTASGVLSGSGVLNLRPPGSTTSSGPLAQMFSSMSADVAREYLEKVADLLLEFAQADTVVKSLMASQSLLARIFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHTLDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >Dexi4B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:4B:10851461:10852530:1 gene:Dexi4B01G0012850 transcript:Dexi4B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSGLRSCSAVGVPSLLAPSSRSSRLPFCANATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGSLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRTIYP >Dexi5B01G0023700.1:cds pep primary_assembly:Fonio_CM05836:5B:25814987:25816998:-1 gene:Dexi5B01G0023700 transcript:Dexi5B01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPLTPCATEGEEEPPSMPSHVPREHREQHSKDAPPGFLPPMAAEDVAAVEAVLGYDFADKSLVELALTHGSFYHPYGPGDTYERLEYLGDGVLTCLVSREVFRTYRTLPPGPLTKLRAANVDKEKLARVAVGRGLHRFLRHKAPLLEGQVFRNLADPLISLETLGKHPVEVLIDGELVGSATYAQKDIAGSRAAKAALDKLKETTGQTQSESAEGIFLSHSTN >Dexi1B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:1B:8532821:8533475:1 gene:Dexi1B01G0009440 transcript:Dexi1B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDDFPTVPAFIFLVVACALQSLWAVSVALLDFYALVAKFPLGNHWAAHVCTIGDVIVAALTFCAASASAGYVLVVRADARVCATMENCSRFEAATGMAFISFFTFLPSLGLNWLKLHVLVAHV >DexiUA01G0008890.1:cds pep primary_assembly:Fonio_CM05836:UA:16973207:16975770:-1 gene:DexiUA01G0008890 transcript:DexiUA01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPSPAAPPPATLEPRDLPTHAAVATEVEPCSMNQLAVAVTPDPKRSSRQKVEEAADGNGCKHCASIAHVLLEVVIVLRSVGANHVSTKMHMQKQFRLHARKMLIILPLQLHQGEVATARNQDGTGCSLFCRCDDCQNPFGKNEGIMAEDSKRYLYTGADLDHSEGEHDFVVERSPRLQSPISKESSFHHQTPPHLRASSRDGHLFPHALSQWQALPRSWHCSNKRNSNDRVMDDSANYKNSNNDWQLSKHEDSYSISKCVQILNGMVELSQVEKSVGPDVFLLPGNREIFISLGGDVRAMWLKRKIQHLT >Dexi5A01G0031460.1:cds pep primary_assembly:Fonio_CM05836:5A:34099400:34102249:-1 gene:Dexi5A01G0031460 transcript:Dexi5A01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSCSVCKEAPPKYKCPSCRTPYTKKNLARRYCFRKKLASHCRRRKFHGALRWWKMEQSALMRRINIPLYWS >Dexi2B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:2B:28711609:28712166:1 gene:Dexi2B01G0018420 transcript:Dexi2B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSILSFKYNLEKLRSKAGRPIGIGRPLSARDRQYSDLSTYKPDDEEMKKVFHMIARDSRGINKEDLQGLLERFGKADAAGEARRMMCVADHNKDGYMDLEEFMEVHRKGVQLGDIRRAFFVFDRNGDGRICAEEVMTVLRKLGESCSLEDCRRMVREVDRNGNGFVDMDDFMAMMTRPRRRP >Dexi9B01G0044220.1:cds pep primary_assembly:Fonio_CM05836:9B:44036404:44038440:1 gene:Dexi9B01G0044220 transcript:Dexi9B01G0044220.1 gene_biotype:protein_coding transcript_biotype:protein_coding LICCCSAPFFFSESMKQIIDRYDSHSKTLQKSEAPSQLQSHVDDSTCARLREELAESSLKLRQMRGEELQRLSIQQLQELEKTLESGLGSVLKTKSQKILDEINCLERKASTTLQNSCFGFLPSDNFTCVVKQRMQLIEENSRLKEQVTRMARIERQLGADSEVVYDEGQSSESVTNASYPRPPADTDDGGSDTSLRLGLPLFNSK >Dexi9B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:9B:1421397:1423671:-1 gene:Dexi9B01G0002460 transcript:Dexi9B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKKAMKDGKPIIIEEFLKK >Dexi1A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:1A:8479150:8482422:1 gene:Dexi1A01G0010040 transcript:Dexi1A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGAGDPLAAAPSGEAEAKMETEEEQEGPVLCLDLTSYQLHDLSEVEIPPTVEEVDLTANRLSSVDPRIGRLAGLRKLSFRQNLLEDAAVAPLSSWDAIAGLQELVLRDNKLTRIPDASIFKGLLIFDVSFNEISSLTGLSKVSSTLKELYVSKNEVGKMEELEHFHALEILELGSNRLRVMENLETLTNLQELWLGRNRIRTVNLCGLKLIKKISLQSNRLTSMDGFQECVALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTAIENIETLTRLEDLWLNDNQIPSLDGIEAALAGSREKLTTIYLERNPCAKTPNYSSTLKKIFPNLEQIDSDMIA >Dexi4A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:4A:11230138:11233096:-1 gene:Dexi4A01G0012590 transcript:Dexi4A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELDLRMATGGGENSYASNSRLQKKAILETWPLLQKAIEELYMWLPSRSTMVVADLGCSSGPNTLLLVSEVTSTIRDLVQETGGHRGLELQFFLNDLPGNDFNLVFRSLEQLQNRDAMAKTAAPPCYIAGLPGSFYTRLFPRQSVHLFHSSYCLMWRSKVPEDLSSGKHLNEGNIYIGKTTPPVVAELFQGQFRKDFELFLRLRYRELVSGGRMVLTFLGRKSEDILNHGDVATMWELLAEALQIIARKGRMEREKLTSFNIPFYAPSLDEVRALIKQTELFDIEYIGLFESNWDPQDDSGSDMVHDCVTSGENVAKCIRAVIGPLIMDHFSEAILDELFLIYASSIAKHLKKGKAKYPIIVVSLKRGVCNTIAI >Dexi9B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:9B:6967883:6972473:-1 gene:Dexi9B01G0011020 transcript:Dexi9B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFYEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDADGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVRNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVAIYDLQQRLVLGELQTPAVKYVVWSTDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAERIAAELGENVPALPEGKSHSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDIVDASEVVANGGDGFVDVEEGEPNEEDGEEGGWDLEDLELPPETETPKAAGNARSAVFVAPTPGIPVSQIWTQRSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWSESASPNVRGPPALVFSFSQLEDRLKAAYKATTEGKFPEALRQFQSILHTIPIIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELKDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQRNYATAAHFARMLLENSPQEAQARKARQVMQACQDKEDSHQLNYDFRNPFVVCGATYVPIYRGQKGDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >Dexi4B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:4B:1765177:1765455:1 gene:Dexi4B01G0002760 transcript:Dexi4B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKKRKQPPSDAAAPPGDEGEGRRERKGKKHKKDKAEAILPSQIKNKEKRSELHAKLKREKKAEKRKLARERGQAIRRAEELGEQASERS >Dexi6B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:6B:24529090:24533463:-1 gene:Dexi6B01G0017340 transcript:Dexi6B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERRRFANLRSVRWRVDLGILPASPEASVDELRRASADSRRRYVSLRRRLMVDPHLPKEEDRSSNLVVDNPLSQNPGHAEKNTVNVVPSAPRVWVSPSGNDSERASKVNSLDELDMDTKEIILLSDAYGAEGELGVVLSERFMEHDAYSIFDGLMDGGSGVRLLNFPNNVDVHKLIEKAKSLQPIAIDANTAAPSFLSKKDSYDCDRVHSNLATSTPPRTPLHPLSESYWEEQWRNMHKDGTSPKEIDKVQSYKRSLKKSLSQRLGLSRTESDPTPVKIVTARGETKNVRRCLLNSYSDETVQSSEVAGKIENDELPIVTVHKEPLMSVERPSQLKLKTVSENLTVSPSSVAKVNPLLDSPLKRSYESPTKRAEACSSGENSPVFYAANAGNEPENCHDNEDHDSERSSITSNSCAGDEDRDEVLQDESFSTNCEDKTIPEAAKSEKDADPVGPSEITVVSNERKPFINKLQWFLRFGRPSGEGNLEKGTDEASDDKHGIVPPSTAPPDVSSDSSRGGINLASGDKKVMGTLKNIGQNMLENIQVIESAFQQDRGQPSAMENFSNNILGGKGQVTAMAALTELRKISNLLREM >Dexi9B01G0041940.1:cds pep primary_assembly:Fonio_CM05836:9B:42293229:42296562:1 gene:Dexi9B01G0041940 transcript:Dexi9B01G0041940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSVGVARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGTYRQLFHPEQLISHKEDAANNFARGHYTVGREVIDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDEEEAEY >Dexi2A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:2A:5086658:5091444:1 gene:Dexi2A01G0005340 transcript:Dexi2A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLPASAAATRFAAHWVADALAGDEALEFSVLKALVGASSESLAGAPEATRERVALRCLEEVSTVIAAGGDAAATGKALRADGARSCEDLLLQLVGEVGSSGNFEKDLLPPFSQDIQDIICIKKHTLPKTSFELLREVDPEITHMAPPSQLEQNATSQFDNDQSLCSSQDHVNIEKPRLPGDNGELQKEALDTAMISQPHSNETHLSALQHDTGEKANQDLDDVSAIIKPVEKDHFHEELTQQASVLPCIISNGAIQAGQFETNHQPEKKLNTSVCSSNPVAPSGRRSKLCWTEEEEAVLKEAMEKFTPQDDAPIPWVQILEYGRDVFHRTRLPPDLRVKWRSMKKKGVC >Dexi2A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:2A:29835802:29836820:-1 gene:Dexi2A01G0017830 transcript:Dexi2A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRAPLLLLLLQAHLCLVLPGAAGLSFSYDFSIPDPGGINRKILRTVPDYGNSENRCNGVICLNASTEKNSSARVYYKQPVRLWDGLTGRRASFRTSFSFALHGGIGNTTQGPRTAFFIGPFPSSLPPNSGGGLLGLGSNPTSPRLISQFATPTLAVEFDTQWDPDWDPSDVAGDHVGIDLDMIVSDSYSRDLARGDLSAGTVTADIAYDAGSNVLEVTVRLANGSTTSVRALVNLRKQRLPQDAAIGFSTGTGAADANYFPVLISWSFSSTGQETIYCYK >Dexi7B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:7B:1939726:1942384:1 gene:Dexi7B01G0001040 transcript:Dexi7B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYITEYEHEEAHDNIFLELARLNFNLVRTLHLKELKALSLWWRDLYDTVKLPCAQDRMVEIYFWTCGMLHEEEYSHARMFFAKTFGMVSLLDDTFDVHATLEECQYLHTLYIKTLRNFDEFEDSLEPNKKYRMSYAKQAYKLSSQNYLREAIWSREKYQPSFKEHEEVSIMSSGLPMLTNITLLGYGDAATQDVFEWLGKHKKDMTSAVETYMIENGLTGEEALAEIAALLENRWRILNQTSMDIDRSLLPGEQVVVNMARTNEIIYLHGRDACTFGDDLKDLVTTLFLKLVPL >Dexi1A01G0021970.1:cds pep primary_assembly:Fonio_CM05836:1A:28692933:28694129:-1 gene:Dexi1A01G0021970 transcript:Dexi1A01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGYGLLPCLRREDKGEVTGRARAGPRVADEPTGASVSVGKGSGAARRFAWGEIESVTAAFSSRVIGQGGFSTVYLASLSSSRLGAVKVQRSSERLHRAFRQELTVLLSLRHPHIVRLLGYCDEREEGVLVFEYAANGDLHGRLHGGGKKPALPWARRMAIAFQVGMALEHLHEGLDPAVIHGDIKASNVLLDAALDAKLCDFGFAHVGFVSAALHPSPELAPSSRTSAARPVMGSPGYVDPHFLRSGVATKKSDVYSYGVLLLELLTGREAICADTGSHLAAAVGPTLREGKVADVVDRRLGGGYDAHEAETVAALALRCVSESPGLRPSMAEVVRELQEKTTALISAAGTKPAGKVVP >Dexi9A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:9A:12413252:12416693:-1 gene:Dexi9A01G0017390 transcript:Dexi9A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKSMTTLPDEEKTKQPIAPSEEEEEDIEALAEPPDWLPDGWIMEVYRGNDGAINRYYTSPISGYTFNMTSEVWEYLFSQTDERMLESKESRAEKIIQQEHEWLPKGWVMEIRAGGENMDKMYKFYVYPKNALRLQTKEDVALYVNERKISNFDTSGECDTRSQDNLLAIVDFYPSGLPEGWVRELVFRKTKEGLIRRDPHESLRSRLIINETPFLKSTRSSRSKGTLLIEYDQSIKN >Dexi1A01G0029940.1:cds pep primary_assembly:Fonio_CM05836:1A:35328164:35328475:1 gene:Dexi1A01G0029940 transcript:Dexi1A01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTAAQLRAYDGTDPSKPIYVSVRGKVYDVSTGRGFYGPGGAYAIFAGREASRALGKMSKDEADVSGDLSGLTEKEIGVLADWETKFQAKYPVVARLSDA >Dexi6B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:6B:26692499:26695202:1 gene:Dexi6B01G0020160 transcript:Dexi6B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKHFKYVVLGGGVAAGYAAREFANQGINPGELAIICKEPVAPYERPALSKGYLFPQKAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAAGETFTYEILLIATGSSVIKLTDFGVQGAESNNILYLRDLADADKLVAAMQAKKDGKAVIVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTSGIAHFYEGYYANKGIKIVKGTVAVGFDADVNGDVTAVKLKDGRVLETDIVIVGVGGRPLTALFKGQVDEEKGGLKTDAFFETSVPGVYAIGDVATFPLKLYNEQRRVEHVDHARKSAEQAVRAIKAKESGESVAEYDYLPYFYSRSFDVAWQFYGDNVGDDVLFGDNDPASAKPKFGSYWVKDGKVVGVFLEGGSSEENQAIARVARAQPAVTDVEALKQEGLEFAAKV >Dexi4B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:4B:18744236:18744471:1 gene:Dexi4B01G0016640 transcript:Dexi4B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHARRADGVGGVLDRTPRRRRDSVGDVGVAVGVRVPEGSAQQARDLIQLEFRILPIFPA >Dexi7A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:7A:29816125:29818708:-1 gene:Dexi7A01G0021070 transcript:Dexi7A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPGLAPAAGPATDAAPEPAKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPAAHLVSLVSSSPPVMLAAGNATATITTTTTTTTTVTTTTTVAAEIGAQPHLQHHHHHHGPVFVGRHPIRVREWPHPDPNELLKAHRILAAVQNAQRASKHRGAAPARPVIAVTPTTISALQVPSLTSLAHTLRLVDAQLTWIVVEPGHRTDAVAAVLSRSNLDFLHITAPGDSIASLRMHALREIRAKRMDGIVVFANENSILRTELFDEAQKVSTVGAMAVGILGEDDGTSESFLQAPSCDAAGKLVGYHVSEETVLPANRSDMLMSSRLEWSGFVVNARALWQDAKERPEWVRDLAAIDDADARAASPLALVTDAGRVEPLASCAQAALAWSLRSDSLHEVKFPHEWKIDPPLLNTHQQNVKPETPQKQTLASTEDQH >Dexi9B01G0041510.1:cds pep primary_assembly:Fonio_CM05836:9B:41971045:41974257:1 gene:Dexi9B01G0041510 transcript:Dexi9B01G0041510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGRSKSDSIRMDGVDSSSSRSLAQQQQQQVAGELKDENSTIKMPSCTTELPCSLKREVQALEKRLNDQFVMRRALEKALGYKPCAILSSNESSIPKPTEELIKEIAVLELEVICLEQHLLTLYRKAFEQQIFPANSCEMESDKQPARSFSGILSETSELEFSTPRKNQLLQSTRMVLARKSTPTTSTSETSHEKTNIGRSHSSLLHRSVRVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRVADHVPQTPNKISEDMIKCIAAIYIRLRDVPTVQHAFFPSPCSSFSSASGLSSKYTADIWSPRCRKESFIEAWQENALGNGESRELGLQYDSVVEISALCKGDQRSADVKDMLRKYMSLVQLLETADLSGMKNEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKVSYIISGQRVNAELIEYQILCCRAHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFALCSGSYSDPMVRLYSPKSLFQQLEAAKEEYIRANVGVRGRGGQHQIILPKALEMYARDAGLGAQEVVAAVERHLPEGLRDAVRRSQQGGRARGRGGGGPGVEWRPHNLAFRYLLAKELVGGGSPACSRQLEKGGPVAALRADA >Dexi9A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:9A:282952:284042:-1 gene:Dexi9A01G0000450 transcript:Dexi9A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLAPACVPAAAMVPRVSLAPSSSSSGGATLRSGFLGRALAVAVAADPPHRGCRVAMSVRMAWDGPLSSVRLIMQGRNVKLSDKVKEHIEDKVGRAVAKHCHLVREVDVRLSARGGELGRGPKTSRCEITLFSNRHGVLRAEEDSESTYGSIDMAASIIKRKLRKIKEKETEVIRHKTSSSSSSIEDDLQLLSDAEEEDLVTEAVEDEDTVLTKVVRTKVFEMPPLGVEEALQQLQNVDHDFYAFRNEDTGEVNILYKRKEGGYGLIVPKPDGNVHKETIDNHQQPAHPTYANNNNN >Dexi8B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:8B:22212596:22214050:1 gene:Dexi8B01G0012860 transcript:Dexi8B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAANGNGTSFHGNSPPHVAMLVTPGMGHLIPIAELAKRLATRHGVTSTLITFASTASSTQRAFLASLPAAVASLSLPPVDLSDLPRGAAIETLMSEECVRSIPALTTLLLDLKRSTNLVAFVADLFGADSFDAAAAAGVRRKYLFFPTNLHVLTLILHLPELDVTVPGEFRHLPDPVRLPGCVAIPGPDILMPLQDKTNPCYKWMVHHGAKYREADAILVNSFDAVEPGPAKILRQPSPDRPVVYPVGPLIQADRDGGAAGCPCIEWLDRQPAKSVIFVSFGSGGSLPTEQMRELALGLEISGQRFLWVVRSPSDEGAVNDNYYDAESKKDPFAYLPEGFVERTKDVGLVVPSWAPQIKVLSHPATGGFLTHCGWNSVLESLVYGVPMVAWPLFAEQRQNAVMLSEGVGAAIRVSESKGREKIAAVVREVMAGEGRGAAVRAKVAELQKAASEGLKEGGAAATALAEVVEKWTGGGGGGGN >Dexi1A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:1A:1419133:1419354:1 gene:Dexi1A01G0002230 transcript:Dexi1A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTGGGGELKTSWPEVVGMELFWATVKIHFDRVDVEIEVHKVGDGGEPGYNDKRVRIFINNDANVAQTPVVG >Dexi2B01G0026620.1:cds pep primary_assembly:Fonio_CM05836:2B:35752177:35758044:1 gene:Dexi2B01G0026620 transcript:Dexi2B01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNASGGPPASDPAAATGGGSAAAFGPCMWNDFFRSEEWMTERVNRLREEVRQMFEAMTMSAADTLKLVDTLERLGIDDYFREKIDVAMSSTYATADVFGKFTDATGGFSTSLVSDPRGLLSLYNAAHLAAPGEDALDEAIAFSRGHLEAMKGELGSPLAEQVSRALEIPLPRFPKRLETMRYVAEYEEEEGHRGVLLELARLDFNLLRSLHLKELKDLTLWWKHTYSTVKLRYARDRLVENYFWTCGVFHEAKYSRARMMFAKTAGLLSMMDDTYDVHATLEECCKLNEAIQRYNLIFLDPDKFFLPNVSRAKWSREKYAPSFDEHLQVSAMSSLFPAMAVVLLLGAGDDVATKEAFEWAIGVPDVVSAGAEITRYLNDIASYKLGKNQEDVASSVECYAREHGVTVEDAAAAIAGMAERAWRRINEWCMGDVPVAVLPAAELVVNLARTMEVMYLGGRDAYTFGADLKGLIAALFLEPVPI >Dexi7B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:7B:22661218:22663377:-1 gene:Dexi7B01G0016640 transcript:Dexi7B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPRWLRGLLGGGGSRKAAETKPVKEKKRWGFGKSFREKPPAPVAARPPTPPVQPTATPRRGYAAAPDGADDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCVPAAGKREEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQARARACRAIRSQQQAPLHPDPPTPEKYDQAGAPRHGRSGSLKGGSSRTPGGERLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDNGKPGRYTSKRRGGGNHHQSPCSTMTSDQNSRSYATMPESPSKDSTTAQQSVPSPPSVGMGGDALMSSLHLPVDIAELCDSPQFFSATSSRPGSSRRGPFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAAQAFAAGQQCAAAAAAAPQRSASSLHAKFTNKAYPGSGRLDRLGMPVKY >DexiUA01G0025830.1:cds pep primary_assembly:Fonio_CM05836:UA:54430064:54430658:-1 gene:DexiUA01G0025830 transcript:DexiUA01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRREGVDAKGTAQELTRRRVIFAVAASSFARSPPWTRGYTGFPPRRLTRSSSSAAAAAAGQLFDERLEAEERTPGTNKMGQAHPQEDDVKAGPNS >Dexi2B01G0031350.1:cds pep primary_assembly:Fonio_CM05836:2B:39561671:39563487:1 gene:Dexi2B01G0031350 transcript:Dexi2B01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESHVNTWPSFRMMHHVMAMNQIIYWNHAKGHSLKVKSLTGEHQHSFWVLR >Dexi4A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:4A:2387470:2387841:1 gene:Dexi4A01G0003300 transcript:Dexi4A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDDAHDAASWLVGAGFLVLTLSSGAAVYRAAGDPATVSFVVASYVTLLLLFACLRAYERSPPAAGRGRIRAAVWSLTTLLTAMFAWRVAAVMPYWSAALLVWALAGATTVGGFFAMFYRP >Dexi8A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:8A:13249386:13252176:-1 gene:Dexi8A01G0009680 transcript:Dexi8A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPDVDPRSGYCAATKTFHSLRPPLLLPPPDLPLSYPSFTFSLLPAALPSRPALVDSSTGEAVPFPAFLSRVRALAAALRAHLGVSQGHVAFVLAPPSVHVPVLYYALMAVGAIVSPANPALTASEISDLVALSGPSVAFAVKETTGKLPPGLKTVLLDSARFLSFFHEPDCEGTAAGTDVVINQSDAAVILYSSGTTGRAKAVVLTHRNLMASNATRGAAAGDVLMLAVPIFHIYGFTFCLRVAPSANTIVLHTARRFDARAVLAAVGRFGATRLALAPPALLAIVQSAEEDETLISCAAKLQVVNSGGAPLSTELFRRFSHKFPDVCLIQGYGLTETTSGFCRNVGEEESAQIGSVGRLSWGAEAKIVHPQTGVALPPGVPGELWVRGPFVMKGYAGDKDSTSATLDSQGWLRTGDLCYIDKDGIVFVIDRLKELIKYKGYQVPPAELESMLQTHPDIDEAAVVPYPDDQAGELPVAFIVRRSGSNLHEAQIKEFVAKQVVHYKRIHHVFLVNAIPKNAAGKILRKDLAKLALGHISSKL >Dexi9B01G0028290.1:cds pep primary_assembly:Fonio_CM05836:9B:30923636:30924022:1 gene:Dexi9B01G0028290 transcript:Dexi9B01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLALFLAVSLLFAAAAHGCEPYCPGPVVPTPPVVPTPSHSHGRCPIDALKLKVCANVLGLVKVGLPQYEECCPLLQGLVDLDAAVCLCTAIKADVLGIHLNVPVSLNLILNNCGKICPKDFTCPQ >Dexi7B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:7B:21037688:21038968:-1 gene:Dexi7B01G0014970 transcript:Dexi7B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLEHARSHPLAIVPLLPSAASSVHPVHSLPRKAQPRNPASLTTSNKACEVIKGAEDLFRPQIAQSPGSDLTMFSGCGLFGYARRGRGDLRKRGEMGGASSRVAPAEPVVVEEDEVLSGGAARQLAWAEVETATRGFSSRVVGRGGFSTVYLASLPSSSRLAAVKVHCSSSERLHAAFRRELDVLLSLRHRHIVRLLGYCDERDEGALVFEYAPNGDLHERLHVAGGVLPWARRVAVAFQVATALEYLHDSREVIHGDVKASNVLLDANLDAKLCDFGFAHVGVSAATVGRPSARAVMGSPGYVDPHLLRSGVATKKSDVYSYGVLLLELLTGKEAVCGETGHRLTAAVGPKLSEGKVSDVVDQRLLGAAEYDAAEAAAVAEFAMRCVSDNPGLRPSMADVVRVLQEKTCCCALGSKSERKIMS >Dexi4B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:4B:4506324:4506824:1 gene:Dexi4B01G0006420 transcript:Dexi4B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPDQAYVRLRSRVRGGYLHADEDGVHLVPGEEGVTYLLLHSAAYGRYLACTTAEAPRGHRGNAADLRLYTTPEQQDVLWVAVRNGEHVRLRHVSNGLLRANGRYRRWLNGVSVEHDANTQSTMTHWMVKVIPPREQPPARFHFQLR >Dexi8A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:8A:29107055:29109057:-1 gene:Dexi8A01G0017390 transcript:Dexi8A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAMKLTSFLQFLLFLTTLVSCDDQVLFSGLTQSSLDLDGSAIVSNNGLLDLSNGTAILNGHAFYPTPLHFRKSPGGNVQSFSVNIVFSMINAYPDLSADFFIAPTKNFSDARAAKYFGLLNEKSNGEPSKHIFMVELDTYKNAELQDINDNHVGININSAISLQSQPSGYYEDDGGVFKNLMLNGNKGTQLWVEYDEASIINSRQYVMGWSFGLNKPAPPLEVSKLPELPFPGPKPHSKLLEIILPIATAILILSIGTVLILVVRRKMKYAEKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHMVGTKGYLAPELLRTGKASPQTDVFAFGMFLLEVACGQTHVKKNAEGDEIFLVDWVLEHWNKGLLTRTLDCRLQGDYNIDEAYLVLKLGLLCLHPIPSSRPRMREVMQYLDGDMPLPELRPTQLSLNMAAMMKKNGLTTSVVSYPQILSSFDTISGLSGGR >Dexi3B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:3B:10511357:10514364:1 gene:Dexi3B01G0014610 transcript:Dexi3B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRRCLRLAVGASLLSLLLVAAAAPPPPEAAAGGDGVTVHVDPLQVVVGNGAVQVSLSRPQGHITGVRYGGGGDGDGQNLLEYNASQGNSGGYWDVVWNYPGSNRPEGNMDFLDGTEFQVVSSSEEQVELSFRSSYNASRPNNLPLNVDKRLVMLRGSSGFYCYAIFEHPQEFPALNVSVARLAFKLNKTKFKYMAISDDIQRYTPSAADRDPPRGVPLAYKEAVLLIDPVEPEFKGEVDDKYQYSMDNKDNTVHGWIGSDGDDPAAAAAATGLWVITPSNEFKNGGPLKRELTSHVGPTSLSVFLGPHYIGKDMVIVFEDGEYWKKVLGPVFVYLNSGHPDSKRDLWEDAKARARAEASKWPYSFPMSPDFAKAGDRGSVKGRLWVRDGVAKPQQPAAMAYVGLAAPGQPGSWATESKSYQFWTRAASDGSFTIGNVRKGVYNLYAWVPGVLGDYMHASSVNVTPASAIDMGELVFDPPRSGPTLWEIGVPDRSAAEFYIPDPDPRYASKLFLTKDRYRQYGMWERYAALYPKNDLVFTVGQSNHSKDWFFAHVTRKGSNGTVVPTTWQIRFHQDRVVAGGVYTLRVALAMSHMSSLQVEVNDGGGGVRGSPAAALLMGDNNAIARHGIRGTEWSLEFGIEGHLLSEGDNTIHITQTSALNQLVGVMYDYIRLEGPSSV >Dexi2B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:2B:12034294:12035203:1 gene:Dexi2B01G0010610 transcript:Dexi2B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTVIVTSINQAYAAPGSVLGLFLESFRAGEGTARLLNHLLIVAVDPGAFQTCRSVHRHCYLLRPDHAAADLGAEKFFMTPDYLDMMWARNKFQQTILELGFNFLFTDIDILWFRDPMRHIVITSDIAIASDYFNGFPDSMHNLPNGGFLYVRSKARTVEFYRRWRAARSRFPAGTNEQHILARTQARLSRELGVRMQFLDTANCGGFCQLSGDLRRVSTMHANCCTGLANKVHDLRSVLRDWRNYTAAPMEARRRGDFRWTKPGRCIR >Dexi9A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:9A:11875426:11878014:1 gene:Dexi9A01G0016760 transcript:Dexi9A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLISVRIPSLVKPSALRCTKFMASDTGKKLIQIDVSSDTVCPWCFVGKKNLDKAMEQSKDKFDFEVRWHPFFLNPDAPKEGIRKSDFYKTKFGPVQFERATSRMTEIFRGLGLEYDMSGLTGNTMDSHRLITLAGHQGYDKQNVLVDELFQNYFCQGKYIGDKQVLLDAARKASIEGAEELLEDPSKGVDEVQEELNKYSSGISGVPHFVV >Dexi4B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:4B:1401141:1402367:-1 gene:Dexi4B01G0002230 transcript:Dexi4B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLILAAAFAVLTPALASASVPALGINYGEVADNLPPPQAAASLLRALNATKVKLYDADARVLTAFAGSGADFTIGVPDRLVPRFAADPSAAAAWVRSNILPHIPATSITAVTVGNEVLSGEDATMLRSLLPAMEALHAALAACNLTSRVSVTTPHSLAVLSSSFPPSSAAFRREILPYMSPLLGFLAKTGAPFLVNAYPYFAYKADPTGVDLGYVLFEPSATAGVEDEATGLHYNNMLHAQVDAVRAAICKANYGKGLEIRVSETGWPSEGDDDEAGAMPENAARYNGNLMRMVAEGKGTPAAPGEPLVVYVFALFNEDQKPGPASERHYGLFKPDGSPAYDVGVKSPAIGGWKGSGGGGGGGNGTSSGGAGLVVAQGPGGADGVGPGTGYYTVSAAAGNKVIN >Dexi2A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:2A:32122362:32126058:-1 gene:Dexi2A01G0020080 transcript:Dexi2A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVRAALVRALPPRPSPISQQKQLKQGLGCRGGASLAVRAKDSDDFGALLSEKPVVPAPAKRDGWEGFGRGVTSAAEEEEEKEEEVQSEPASWDVLNQIGVQLDSDKSYSALVYGTSAVVAIWISSIVVSAIDSVPLCDLTSVTWTRVRVSESDSDAAASPLFPSPPDAAAASPLFPSPPAAAVAARRRRRFPSLPFSAGRRRRLPSLPCSAERRHRRRFPLSPAPPDAAVASPLFXXXXSLLRQMPPPLPLSSLLRRPPPWPPDAAAASPLFPSPPAAAAASPLFPAPPNAATAAASLSSPLRRTPPSPPLSSLQLPLPCGRPARRDGPSSRPTPSSTRLAWRQLAPETRPRCVRCVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVSSIKKQILGSHDN >Dexi6B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:6B:24712596:24717756:-1 gene:Dexi6B01G0017540 transcript:Dexi6B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESGFVEFKLDWAKTKTLAIGDFLLSDKFSAGGHIWMVYSSETWSLLSCGFPPFVKRSDLDSPYTYYVKDGYVTFMFGVIVLSDDGRPIAVPSSAIGDHRLRCVSFDVGGGQIAVPPSDIGDHLGCLLDDADGSDVSFDVGGEEFHAHRAVLAARSPVFRAQLLGSMAEAKMSCVTLHDIKPGTFQIMLRFMYTDKLEESSSATIEVFQNLLAAADMFQLDRLKLLCAQKLWERVSAENVATVLGCAERHSCSELKSMCLEFFVVEKNFKVAVLTEGYFRLMQGFPSVIDEIRERVQS >Dexi9A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:9A:3063080:3064601:1 gene:Dexi9A01G0005460 transcript:Dexi9A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGVGRRFSNIVCKKADIDMNKRQLTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Dexi7B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:7B:2313130:2314824:1 gene:Dexi7B01G0001380 transcript:Dexi7B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIASSFQSSLLLDARELVKLFSAMNAQVGLSQAMAAQPELSLSMASPVFLLSLAPQWLSLVLEPSAQLKPARSGCSTRLFSPALLAMLAGDISKPSFLMDAAIYSQTPNIRLYLVARGTDMMATPNAAPKIRCEIENVALNCGFFNGRWGDNGRFATGDDRGRLVCSGRLPGADTFGLGRRAATSEDV >Dexi8A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:8A:23879440:23879960:-1 gene:Dexi8A01G0013720 transcript:Dexi8A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLERLEFEVHVWETREIASGCGGLEFGLGNLPSLQHVVVSLEAKGASKEEVTEVEAALEHATEIHPNHPTLDLHLYVP >Dexi4A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:4A:3081778:3082611:1 gene:Dexi4A01G0004300 transcript:Dexi4A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDTLDAETASESRVDAPPPATTSEAQDAPPADSASKLVRVSLVAVAGAVQPTGGRQGWRQQEREEDDDPIGRRAMRASWFKDMRGWIMVLAVQVASSTYQAGLNPPGGFSGDGTPMLKSTSQNRYYYYMFFYFNTTAFVTSLAIILLLMNPLFYHSEAKVLALETIVVFDVVGLMGAYWAGTASATRDQATKYTLALTAVVLFVVYVVYMVQLLHKLWRLATAIALRHAAPPMRGRASNDAAPAT >Dexi2B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:2B:27913375:27918435:-1 gene:Dexi2B01G0017530 transcript:Dexi2B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFANKEHQFLAELGLAPRNPGSFACGAWGGSGPVVTSTSPTNNQVIAEVVEASMNDYEDGMRACFDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLEKNNLPGAIFTAFCGGTEIGQAIAIDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGDPLEKGTLLGPLHTPASKENFLKGIKTIKSQGGKILFGGSALESEGNFVHPTIVEISASAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTKRPEIIFKWLGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRST >Dexi5A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:5A:1816353:1816613:1 gene:Dexi5A01G0002650 transcript:Dexi5A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPWSGGDRRRSAVLIMAPAGGSDALSPTVQPTGDDGVLAPPPAAPDTLSPSHGAPPPTDGVATPTKPRRLVPLPPSGPSIRGHV >Dexi1B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:1B:4392733:4393285:1 gene:Dexi1B01G0005400 transcript:Dexi1B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSSPRRENGGEGSNGSGSSKERPRSFDEKTRSACWRKAAVVAGRHPERWRQDAVGNVVCRRFWSCHGCLCYEYDHIVPFSKGLRLLLSVSNQ >Dexi4B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:4B:1672206:1673622:1 gene:Dexi4B01G0002610 transcript:Dexi4B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFNGASSETTKLELKEPWELAERRLHDNNTIGKELDLVPPARGAMKRMLLATIHGFYLQALARLPTAELRGRYHRSMLEGGYCYGPLDPVSNIIVNTVWYDQNFPASKQVTLDMISTTCLWRVAARSLYGLVSFLCTRYQNMSPDQALQQLLVASANLQVADPNLFDDVPDGDSKLMRCCADTDRMQTGQCSAGTCEMQHEAVEGSTPSTSVIEGYAAAATAAFHCNPLAQKEFIGSSDVVSKLRVASEVLHLQDGHPLSCQDLEFLSMSLLKCSSSTSKSCQEEDLAPTKIRKSLYSYIAQCSYRFWGQHERVTSMVKAALDKFNETLEDRPFRLHIICGANEFVSGPEPSMDGEVGGYNPWTRDKYYHTHINFLAVCEGHPHDPPRLFFAECGKDGDDTCWCIPTAPQKPDAGMF >Dexi9A01G0040260.1:cds pep primary_assembly:Fonio_CM05836:9A:44085354:44085962:-1 gene:Dexi9A01G0040260 transcript:Dexi9A01G0040260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSGFLASSLGTVTVSTPFSMDALICSVLAFSGSLNLRRNLPLLRSTRCHWSFFSSRSLLRSPLIWRTLPSSISTFTSSFFSPGTSALKTWASGVSFQSMRAPANAAVSESVEMRGKRLPLREPNGKSWKGSQMSREKGSNTLLRRISDMIGWTSGER >Dexi1A01G0031130.1:cds pep primary_assembly:Fonio_CM05836:1A:36259967:36263058:-1 gene:Dexi1A01G0031130 transcript:Dexi1A01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGPLTRWPWHDLGNYKYALVVPWAVRSTYKFVTSRSEERDLLSFAVLPVLLLRLLYSQLWISVSRHQTARSKHRIVSKSLDFNQVDRERNWDDQILLTALLFYVVNASASFAQGLPWWNSKGLVMAALLHVGPVEFLYYWLHRALHHHYLYSRYHSHHHASIVTEPITSVIHPFAEEVAYFALFAIPLLTVVATGTGSVVVANAYLVYIDFMNYLGHCNFELVPKLLFDVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYLYGTMDKSSDDLYERTLHGRGEEAPDVVHLTHLTTPDSVFHLRLGFASVASAPLAASTTSLVVRAAATPLAKLTSMLGTTFRSEANRLDKLNIETWVVPRYTSQYLSKQGLYAIGRLVEKAVADAEASGARVLTLGLLNQANELNRNGELYVIRKPSLKTKIVDGTSLAVAAVVHMIPQGTKDVLLLGDLSKVCTVLASTLCEREIQVQMVDKDLYECLKQELRPELHKHMLLTCSYSSKVWLVGDKLTEQEQRRAEAGVHFVPYSQLPPEEAIRGGDCVYHSTPAVVVPDSLENLHACDNWLPRRVMSAWRAAGIVHALEKWDHHECGARLTGVDKAWRAAMAHGFRPYDQNSAA >Dexi8A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:8A:28483278:28484641:-1 gene:Dexi8A01G0016830 transcript:Dexi8A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLVRPKNESATDSGDATAMMTIQTKYSIKRNWAGDPCSPIGFAWNDINCSYSPSAPPRITSLYMSSSRLMGEIDPSFGQLTMLQHLDLSDNGLSGQIPDFLGQLPSLIFL >Dexi5A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:5A:23720197:23721319:-1 gene:Dexi5A01G0019790 transcript:Dexi5A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFTPLSLTFKNIKYFVDMPQTHGITEDRLELLKGVSGSFRPGVLTALMGMFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLFLMKRGGEEIYVGPLGHHSSELINYFEV >Dexi3B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:3B:9891085:9892052:-1 gene:Dexi3B01G0013780 transcript:Dexi3B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSELTVEGIVFPPVIRPPGSDRSHFLAGGGVRGMEAEGNFVKIAAIGVYLEDAAVASLAGKWAGKSADELASDPAFFRDVYTGEFEKFTRVTFIWPKTVAAEEFAAKVMESRVEYLKAAGAYTDAEAVAVEEFKAALKNQRLAPGASVLFTHSPAGVLTVAFSDDSSVPETGIAAIDNRALCEAVLESIIGERSVSPATKQSIATRAPEILKGGA >Dexi8A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:8A:3037142:3037873:1 gene:Dexi8A01G0003960 transcript:Dexi8A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIVTNDDDIKLTIVVGTLHADEAATAMSSPPSPASKQPAPRHHVGQWLRAAVLGASDGLVSTAALILGDAGGLSSPGQAAAASAVAFAAGGGVPLLAAWLVGGYRARVVVVVATASVALAVFGSLGAVLGRAPGGRAGLRAVVGGLVAMGITYGLMKVFRIHDV >Dexi2A01G0025540.1:cds pep primary_assembly:Fonio_CM05836:2A:37164427:37165032:1 gene:Dexi2A01G0025540 transcript:Dexi2A01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTFTRRPNLEVAIRHSHMRTASPPPLSPLDWIQRPRIIRTQAADPQTQRNRIPQAHRSAPATTTTQEPRESHGPQRIPLPSTHSTGGLLPSIHPFPTTRLPPPVLRAPLPANSTSAPPHSRAPHRSSGRRRLVHFPQLPLFHPPHRTAPTIIPHRLLRDAMLSISSLAMLLAVLLRGILFHLHAPAAIPSSSTHHFFST >Dexi5A01G0030180.1:cds pep primary_assembly:Fonio_CM05836:5A:33211019:33213051:1 gene:Dexi5A01G0030180 transcript:Dexi5A01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPPVRTSFFPAPCDAHVQAQFAVHRARRDRDRARTSHSPGSPTCAPQLDTTTTGLRVRGMLLLRRLAGAGRRRGPAARGLASGAPREAADAVVVGAGVVGLAVARALAMAGREVVVVEAAPSFGTGTSSRNSEVIHAGIYYPPRSLKASLCVRGKEMLYKYCAERGVAHKQLGKLIIATGAAETGKLDMLLRNAKENGVNDLQLMEGAQAMEMEPELRCLRALLSPSTGIVDSHSFMLSLLADAENLGTTISYNTAVIGGHVGNEGLELHICESKELQNHTAGSHVTPQLVLLPKVLINSAGLSAVPLAKRFHGLDQAFVPNPHYARGCYFTLSQAKSPFSHLIYPLPEDGGMGVHVTIDLNGLVRFGPDVEWLDGGEDHVSCFLNR >Dexi7B01G0023480.1:cds pep primary_assembly:Fonio_CM05836:7B:28072464:28073513:1 gene:Dexi7B01G0023480 transcript:Dexi7B01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDARVHLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSTEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCPGPKKRSV >Dexi9A01G0032540.1:cds pep primary_assembly:Fonio_CM05836:9A:37470472:37472816:1 gene:Dexi9A01G0032540 transcript:Dexi9A01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEERANKSPTEMARAFTAAPLLLLLGLLAPGVATANVGDSCSTSADCGAGQWCFDCEPKLSGSHCVRSAATNTFQLINNSLPFNKYAYLTTHNSYAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFQGDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLSANPSEIVTIILEDYVNAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVSSNQRLLVFTSISSKQSTEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLMNYFPSVPVKFTACLQHSQSLIDMVNTCYGAAGNRWANFIAVDYYKRSDGEGVFQATDLLNGRLLCGCQDVRACSPGSGVVCSA >Dexi6A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:6A:28881497:28884383:1 gene:Dexi6A01G0021740 transcript:Dexi6A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPGQGQGPRSNLAAATRRSHRSRARSATMARCHHLLLLLPLFLALAAANPGQGHHGEASAVFIDATSHRYLRDQQADDQGVSMSLNDVSAAVSILLGFAPPTSLPAVSSSQLNKVLLPNPFDRPRAVFLVEIDGSSASADSFVSEASNIFKTRIEGANNAATGLTDKDELIIIHSDESLGLSGDHLDSELSSLANWLEGSYQKSSGKLVIPLENGNSLTLLLNKDADLEFASSLASLLETIKRAIQALEDEYASREIVKQGTEVVRRAVTKAFQSLHKAYKGIILLVSTLIGVCLLMNVPLTRDTLLYSNVKID >Dexi9B01G0031320.1:cds pep primary_assembly:Fonio_CM05836:9B:33727442:33728164:-1 gene:Dexi9B01G0031320 transcript:Dexi9B01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRWQHGSSGELDVFGATSYFASAAGGLPDRCPAGEPRVATRMEDTVFCELHHAQLGVSTKPSSSGKTSKLAALLSFMVSPSPRASFRKTESLPPPSPAAAASDKQLAAAGDEPATTKASSSSSSSRELQGGCGGVHEVDLGVAMGDRRLQGVRVVRGGGGDQQRWVVRCSAWDEEERHESSDYPKDDEVEGGDDGDHGNDWESDSSSDLFDLDLECLYVD >Dexi5B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:5B:8977272:8982490:1 gene:Dexi5B01G0012600 transcript:Dexi5B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALGISYQLLLSSWKRSLIPGIFGLVAGSLYRLNVLGIRKMKVTEDPFSTLYFSIVVLLIQNQSSTGFAPIVEPPESSVAMLVSMGFDGNDARQALMRARNDINVATNILLEAQSH >Dexi4B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:4B:5828726:5831592:1 gene:Dexi4B01G0008030 transcript:Dexi4B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRHHRLLLAVVVVLLTGLPRPAHPFTELESDQIARFQEYLRIHTAHPSPDYAGAAAFLLPYAASLGLHTTTLHLTPCKTKPLFLLTWPGSDPSLPSVLLNSHIDSVPAEPEKWAHPPFAAHRDPATGRIYARGAQDDKCLPVQYLEAIRGLKAAGFAPTRTLHISLVPDEEIGGVDGFEKFAESEEFRALNIGFMLDEGQASPTDVFRVFYADRLVWRLIVKAAGAPGHGSRMFDGAAVDNLMDCVEAIASFREAQFGMVKAGKRGPGEVVSVNPVYMKAGIPSPTVRLMQGFVMNMQPSEAEVGFDLRLPPTEDIEQIKRRVKEEWAPAHKNLTYELIRKGPVTDVAGHPIFTATNESNPWWSVFEKAITSAGGKLSKPEILSSTTDSRFVRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHVIRALSSLQD >Dexi1B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:1B:21489486:21489908:1 gene:Dexi1B01G0015080 transcript:Dexi1B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPPLLLTFLAVVLAAVILRRTLNASRRAYNLPPGPRPWPVIGNFNLIGALPHRSIHKLSNKYGELMHLRFGSRSVVIGSSADMARLFLRTHDLLFLDRPRTAAGKHTTYNYADITWSPYEAYWRHAQSSDDQDRSII >Dexi6A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:6A:231492:233284:-1 gene:Dexi6A01G0000360 transcript:Dexi6A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAAAAAGSSVVGRAVDEVRSALNEHADVVAELFGRVSSELRTGFSPAVDSFIGFFHAVDWKEPWLISMLTFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLAQNWKSFSSQNYFDPQGLFISVIWSGPLLLITILILVNTLVTLCMLIVRWKRAELRHRARQARNKQD >Dexi1B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:1B:25271158:25274572:1 gene:Dexi1B01G0019140 transcript:Dexi1B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAIRLLLSSDRLPKKQLQARNANGNKPNRRPPRVLLQRTSLAEHPAPHPTSGMMSPCNQPFDRSSARRPAMSQCPPTEPEVLAEPTTKAEWFGAELTSAQTPAPRHGTRQPGEWGDATPHECARSCHAMPFHSIPGFPLIRQQSSHVKWRKMPPLISSRVILTPPLPAKRKRHPRCPPRRLPPQQRIAQLLRAATPSIPEHTDHTASTVCTSPAKLHTDRMPQLCFLLPARLPSSYHGVLAVWLRK >DexiUA01G0017260.1:cds pep primary_assembly:Fonio_CM05836:UA:36451509:36463641:-1 gene:DexiUA01G0017260 transcript:DexiUA01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCGSGVRPPFLPCLPSHHTHTARVKENPPVLMTWTAMRLCGPRTDLFHSSGTARSKSSAILHSPPPAQQDNHNNLLPHHGDCHLGRLAAATSNSSTGSTLAVAIVVVPTTYLALACVNVAVTGHPLRPDHPSRQLACVPPICAPPPSEPPASLEPPLHQPPTHRRSAAHALRVRHCRFPPSDMSGSPPPSDMPGSPRDIPRFVLTPNHDLSCPSLHIAAPLLDRPLLFTTSRRRAAPVLPPPQATELTTHLAGHTISFFQFPPPEFIHSYALRHRRCSPIHEGAPALLPQLQILVESSSSPPNSRHRSMGNSISAAAVPALCAAISALELVNLLDPQPIAAGTTTTTAAAHQAPALGRTIWAFLPLAAAAGGLFASVALMYRHFHRAPAGAAGINRRLPELVKFMLCASVGLLHLLLFDLQVPGGVDHRALGLVAMRSLPAVATVTYFLGALLIITGHIRAGGEGGGGAVVVAGGPIEGLVGVRLLLLTKMATAALLFSPIKHPRNPHLAPRPPCSRPSAPGAAQLSLVGAKSTGRLLALIRCRTLLRTHTAHGEEIAHGEHSLCRVSSNGHTTKAAFHTTNPRQRDSTPPAVNGDLRAVNGVTTFAQPVLLFLSAQPNFPPPLPQSPAGRDLLLSLYGAWSPHVISLLAPRRTGLWFESMLRRAAFPPLTRTPRAPSLGYLMHRHPFLETLRPQARVAPNPSRAAAAIGLHPQLILEILRKVRNSLSPTSPPSLALSAHASSPLHSSPPPSARPLRRTDPPGEAQPSDPDPMAQFRSSISQTEPAPPIEFLFLFIAPALYAFHIVMSGLALMVMALLGVTIGNTDGGILDLLIFGVMQGMSTKWYLVFPVGIAWFALYFFVFRWYILKHDIKTPGREVDTQGAQQAVEANTRARGKSKYDHGLILRALGGKENIESLDNCITRLRLVVKDMSLIDQQALKEAGALSVVVLDAHSVQVIIGPQRKSDRCRKWDHAFVRSRFGDVPEGFIPLWIADMDFTSPPAVTEGFQRIVEHGTFGYTWCFDEFYDAVIAFQRERHQVDVDKSWITLTYGTVSTLHYTVQAFCKPGDTVMMNTPVYDPFAMAAQRQGVAILANPLCVRENRYQLDFNLVEEQLRTYRPKLWFFCSPHNPSGRIWHADEIRQVSDLCQRYGTLLVVDEVHAEHILDGHFVSCLASGCAAQDNLILLTSPNKAFNLGGLKTSYAIIPDATLRQRFRHQLEKNAITSPNIFGIWGIILAYQHGLPWLDALNGYLRHNANYLAEAIQTHFPAWKMMNPESSYLAWVDVSADERSATELTRHFAHQAGVVLEDGSHYVQNGEHYLRINFGTQRYWLEHITNKDLTLMKKVLTLSMLALCVSHGAAATQYALDNDSIALSFDGASSTVVVKDKTANHPLTPQELFFLTLPDETKIHTADFTIKHVEKQDNAIVIDFTRPDFNVAVKMNLVKGKYASIDYTIAAVGQPREVAKITFFPTKKQSQAPYVDGAINSSPIIADSFFILPDKPIVNTYAYEATTNLNVELKTPVQPDAPVSFTTYFGTFPETSQLRRSVNQFIDAVRPRAYKPYLHYNSWMDIGFFNPYTEQDVLGRMDEWNKEFITGRGVALDAFLLDDGWDDRTGRWLFGPAFSHGFGKVREKADSLHSSVGLWLSPWGGYNKPRDIRVSHAKEYGFETVDGKLALSGQRYFKNFNEQIIKLIKNEHITSFKLDGMGNANSHITGSQFASDFDASIALLHNMRSANPNLFINLTTGTDASPSWLFYADSIWRQGDDINLYGPGTPVQQWMTYRDAETYRSIVRKGPLFPLNSLMYHGIVSAENAYYGLEKVQTDSDFADQVWSYFATGTQLQELYITPSMLNKAKWDTLAQAAKWARNNASVLVDTHWIGGDPTALEVYGWASWSKDKAILGLRNPSDKPQTYYLDLAKDFEIPTGGMAQFSLNAVYGSNTSVPGEYQKAVVITLQPLETLVYEAIRAK >Dexi7B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:7B:3178158:3178836:1 gene:Dexi7B01G0001820 transcript:Dexi7B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLMASMNQGSVARALVIEDINVDRVILLRMLQKLNCEATVARNGKEAIDLFLQGKTFDIVFSDQEMPVMTGPEAVTKIRAMGATEVKIVGLSADFGGMEAFMRAGADVFVPKPMKLETLESMLQDVIHKKNMRG >Dexi7A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:7A:3455192:3456937:-1 gene:Dexi7A01G0001360 transcript:Dexi7A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGVVSAGGGAQVFLLPYPGAQGHTNPLLEFGRRLAYHGLRPTLVTSRYVLSTTPPPGEPFRVAAISDGFDDGGAASCPDYDEYIRQVEAVGSRTLAELLRSEASAGRPVRVLVYDPHLPWALRVAKAAGVPTAAFLSQPCSVDVVYGEVWAGRLPLPVTDGRELFARGLLGVELGPDDVPPFAARPDWCPAFLKASVHQFEGLEDADDVLVNSFYDIEPKEADYMAQTWRAKTIGPTLPSFYLDDDRLPSNKAYGFNLFSSSESCMAWLDKQLPRSVVLVSYGTVSNYDETQLEELGSGLCNSGKPFIWVVRSNEEHKLSKELRDKCKEHGLIVSWCPQLEVLAHKATGCFFTHCRWNSTLEAIVNGVPMVAIPHWADQPTISKYMERMWCIGIRVRKDEKGLVTRDEVERCINVVMDGERKDQYRRNAAKWMRRAKEAMRNGGSSDKNISEFAAKYI >DexiUA01G0008700.1:cds pep primary_assembly:Fonio_CM05836:UA:16326094:16327883:1 gene:DexiUA01G0008700 transcript:DexiUA01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEYKDVDVVSTISESSTSVETGGGHRSRDTHSMGSRVRLPEEDSCDSESVASNFEFHKERGTSARSAAASVVPPFSKPAPSKWDDAQKWIASPTTNRPSRAAGGAAPRKMEKPSSGIGRLPATMVVLEATEEIDTKRIDPSQEKREIGWQKAVNWAPPDPYPEVEPCPKTTIAAESTIVDPAVSLDHNDSSTTLQSATTCIPPPSTVRSVSMRDMGTEMTPIASQEPSRTGTPDLQTKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKTVPMDQSTQNITEVRAAAWEEAEKAKYLARFKREEIKIQAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRAAAELKRNRAAARTAEQAEHIRRTGRVPPSFGCWNWCS >DexiUA01G0005710.1:cds pep primary_assembly:Fonio_CM05836:UA:10398764:10399305:-1 gene:DexiUA01G0005710 transcript:DexiUA01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQVHLQDALNDTLKAEVQRLKVATGQVANGGGGGMMMNFGPMPRPFGGNQQMFHNTQAMQSMMATHQLQQLQLHSQPQQQTLPQQHQQPLHPLQTQQLQQAARDLKMNRHLGAQSQWSDGKPGSSSS >Dexi8A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:8A:8755903:8756439:1 gene:Dexi8A01G0007710 transcript:Dexi8A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKNHLVYLLFFLAAIATTATATAAVSVNSTTATKPTAYEMLERYKLPRGILPVGVKGYKLHPGGSFEVFFSGDGCEFRVGGGRYLLRYDRRIAGTATAGSIKNLQGVSVKILFVWLGINEVDRSGDQLSFHVGPLSASFPLGKFSQSPRCRCGFDCATAAAAGVGDDDAVVVAAS >Dexi3A01G0025350.1:cds pep primary_assembly:Fonio_CM05836:3A:21037791:21046932:1 gene:Dexi3A01G0025350 transcript:Dexi3A01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRDEEDPPSPGDRQEDTRRSKAAPMSNLGGGAEAHARFKQYEYRTNSSLVLNTDSRPRDTHEPSGEPETLWGRIDPRSFGDRAVQARPAELEGKLTKSRTKKTKRDAADPDLPRRDAKRRRRAASAHNVSVLSLTDGVVYKPQTKEARAAYEALLSLIQQQLGGQPLDVLAGAADEVLATLKNDKIKNPDKKKDIEKLLNPIPNQLFDQLVSIGKLITDFQDPAAGDATGVPSGDAMDTTLDDDVAVEFEELDEDEQSDFDQKIEEEMASDLSLAPILEQLYSTRASARERQKNMEKSIRDEAKRLLNNDDAGTDGARDCRAADRDTESGWLKGQRQLLDLDSLAFQKGGLFMANNKCELPLGSFRTPHKGYEEVHVPALKARPYETGEKIVKISDLPEWARPAFEGMSALNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLYMKGGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLAPYNVTVRELSGDQNMAKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLIGLSATLPNHLDVALFLRVPNESIFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFVSKLADQLNAEIVLGTIQNAREACSWLGYTYLYVRMLRDPPVYGLPADILESDKTLDERRADFIHSAANLLDRNNLIKYDRKTGYFQPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRIPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIKQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQMPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRHLHKCIHQLPKLNLSTHVQPFTRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDSDGEYILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPISALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFSILRNHQRAVSGESNMRVVYVAPIEALARERYRDWEQKFGEFAKVVELTGETAADLKLLDKGEIIFSTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDIGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKSGKPALVYVATRKHARLTALDLCAYSSFEGGGTPFLLGSEDEMDTFTRGVEEETLKNTLKCGVGYLHEGLSALYQELVTQLFLGGRIQACVVSSTMCWGRQLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETILNDLESSKCVAVEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPGRPGEEEFIMRLVRHQRFSIEKPKYDDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQATVDVISSYGWLRLALNAMELSQMVTQGMWDRDSVLLQVPHFTKDLARRCQENEGKPIESIFDLAEMGIDDMQGLLQLSNSELQDIFEFFKRFPNVDMSYEVREGDDISAGDNVTVQVMLERDMTNQLSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRTRVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGGDC >Dexi2B01G0029690.1:cds pep primary_assembly:Fonio_CM05836:2B:38055202:38056703:1 gene:Dexi2B01G0029690 transcript:Dexi2B01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLAAACFAFLLLLNVAGVESRRCHCDDDDGGGSGDQQPSYKLFVFGDSFADTGNLVKHDLKWETRGWYEPSGMSDADHGNKPTGRCSDGLVQSDFLAKIILGRKEAPPPERVRREDGVDMSYGMNFASAGSGVFPGWNLDTQIDRFRRLLRHRIIAKDDLSQSIALVAVSGSDYADIPSDIPDLDPVYITNITDGIVDGVRQIQDLGVDLVLVNLLPPLGCRPLNTRENNYTKCVKDRITHIHNNNLMRDLDDDDSVVLLDLNRVFTSIVTTKTEKLFYHRHMPCCESLDENGFCGLVDGDGNKQYTVCDNPEEYFYWDSTNPTQAGWKAVMEQFEDTIRDYLSN >Dexi7B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:7B:25766855:25769438:1 gene:Dexi7B01G0020470 transcript:Dexi7B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPATAPAAGLGAGGGKDDELADLLRRLVDALARYADRLPFDLDRQLTLEQLVRHKLNEGRRVTCRLLGVILEETTPEELQNHVTVRPSVVEILLEIAKFCDVYLMEHVLDDESEAKVYQISTAHFPAATRKNSIQHFQLSKLGAIFWRPRSEMIRGQTLSSKAVLSET >Dexi5B01G0029740.1:cds pep primary_assembly:Fonio_CM05836:5B:30881488:30881858:-1 gene:Dexi5B01G0029740 transcript:Dexi5B01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATASAWYRPFTGYLLPSNGSASLTNLFLKETDPVNEDLLLGQPGDVDGAASGDELEQHDAEAVDVRHLGELAGHGVLGGAVA >Dexi4B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:4B:4468502:4469913:1 gene:Dexi4B01G0006350 transcript:Dexi4B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPDRAHVRLRSRVRGAYLHADEDGVSVSLRARRASLNTAWQVHRVPRDDGFTYVLLHSAAYGRYLARSPGEAPRGHRGNGAYLRLYRTPEQEDVLWVAIRYGDHVRLRHVSYSLLRANGRYRRWLNGVSVDNDAGNQSTMTHWRVEAIPPRAQPPALPLPTPGSNGYHLCGSEHFVPLQQHPEELRRIIVYVQVDDLVNYDPLARRTFWFHGRSVSNLRNSLANHLNEDSVDNITACVRAGSRGRLTPLVVDLPRNQVPMFIIVLTTGSPGEKICSFFSTLFTTCYLYLFINSAFVFVFLYT >Dexi9A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:9A:12124014:12129288:1 gene:Dexi9A01G0017060 transcript:Dexi9A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRRNLKRQASRSLSAFAGASSPRAADQENLHPNLASSPPASPSKGAASPRPKHPAAAAPPPTATAEEGRAAAAAAPADSEPSVKVVVRVRPTVSRPVDGKDLWFVRKTAPESVAVGDRSFAVDGVLDDRASQADAFDLVGLPMIENALAGFNTSLVCYGQSGTGKTYTMWGPLGAMVDSGSDHADRGIVPRVFQNLFSRIQGTQENSPEKQISYQCRCSFLEVHNEQINDLLEPSQRDLQIRENAGNGIHVENLTDEYVSTAEDINQIVMKGLSNRKVGTTSMNLKSSRSHVIFTCIIEAWSKGSSNGFSSSRTSRITFVDLAGPENDELDGATKHCTKEERHLKKSLSRLGKLVNILSEPQESHKDDLPYQQSRLTHVLKDTLGGNSRVTFLCSIFSEHRCRSGTLSTLRFGERAKLLPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDTTTSKPGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEDEMDVDEEDVQELRDQISKLHSSSETFDDFMDAESGDENTPHTKGNLETNQEDDQPIMDDSGSPLHEEHKEVANTRSADENLVSDRKSSLSISASPSLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSESPGDRNVEVCRNSTVRSSLQSSKLSPTDSLAASLHRGLHIIEYHQQNSAPRRSFVGLSFDHFAVNPRQSIANVSSAVQVLPEGRGTIICSSCKKSMNENENQTEDINSAKQIVLALGATSNESAGASVKGDNHEPIVSKRETELKALCEEQAAKIKELSILIDQYRKGSEDGPDSNGETPVEELTNKIPEQCGDSKVPSLDSDERGALLAEIESLKEQLKQQTTVSTNGSLLDQIRNGGTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTLEKERSAAFLQENEKLKKQLEKLRKKHEMELETMKVHLAESRLPETALGGFYHHESEETPEYPRDAASTHDDDQSWRSAFASAYE >Dexi2B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:2B:20066629:20066868:1 gene:Dexi2B01G0012910 transcript:Dexi2B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDSAVLLLSSLQVVVARGVDGLQGRQAAVGHRSEKGHSILLQPEWGKSGKEREGLVVAGGLLRVDERGGRGGDAAA >Dexi4A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:4A:9988715:9989494:-1 gene:Dexi4A01G0011800 transcript:Dexi4A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPAACVTAPPNELRQTPLVPPFAVAAAAASRTPAVFFITVSSAITALILPATSSPLTNPSSPSQLHRSSHAPSPPRATTAALTRWSPNRGHASTGTPNAVASVTEFQPQWLMKSPTDPCCRISSCSTHPLVTSARSSTRSKNPSPSRSPSSAASSLSTTVVFTTHRNRWPLASSPAASSRSCSGEMAFRLPKLTYTTDLAGCASSHRM >Dexi5A01G0028470.1:cds pep primary_assembly:Fonio_CM05836:5A:31747833:31748525:-1 gene:Dexi5A01G0028470 transcript:Dexi5A01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVDLEAAARAGDYYDIFADPRDDEAPPVWGYRAPGCLSRMSLEDRICIPILTVTLLATVLISGACIYAAGLNPASFAGGLASYDGIDPGLPGRIVSPAFGVALRMNNTCVDRANVVVAYAGVALGWARAEPWDCEEERRTKEVEVVAKGDGVGMPEHLRDRMAEEWRRSGTLELDVEVEIFHSSDSDRAAGDFPRKVVTCKVRLDGQKSETSACEWYALEHPNDSRG >Dexi4B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:4B:3674101:3677338:1 gene:Dexi4B01G0005200 transcript:Dexi4B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQQEQDPQLSEANRSLLPSSSAPSAVNSRLSPSNLGVRLEFPRCEAIRSKARSSCAIQRNLPLLVAAAAMENQKRLSFTIPSNPRRLTFRARPAAAAVDEETSPPSTPSPHFITEFDPSETLTPTAAAAAPVAITPIPNSHLFQRFIPSDPCERPSLPTTTTEEGIDFTAAESGDANPTSIASSSSFAYGLNKSKKAPPQATSSAELMRLRFKQDIAALPDHGDAEEDSMLSGKDFAAAFLKAYGWRKDQTDDVGLQP >DexiUA01G0027200.1:cds pep primary_assembly:Fonio_CM05836:UA:58201888:58203055:1 gene:DexiUA01G0027200 transcript:DexiUA01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKCRGAAGEEVAGVEVTQVVGVRTRSRTAAAPAAAGGGVAKVAAAPRRKKPAALTPPNVAAEEPAAGGEGGGCYIKLRSRTLFMAPPQQPPAPRAPGTAATAGAAGQVAAIAPGLSRCSSTASSVDAGAQERSLACRSDAAEAGGDHILEVSASNSGSGPDRERRETTPSSKAHGEVSDLGSDLAGQKNDRSSPATTSAAQLIMPPADEIREFFAAAEKAEAERFAAKYNFDVVRGVPLAGGRFEWTQVVSI >Dexi2B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:2B:27301386:27303946:-1 gene:Dexi2B01G0016990 transcript:Dexi2B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYKPCAAMVVAQCINAAMALWSKAAFTGGMSPLIFVVYRQAIATVVLVPIAIVTNRKKMKDTMGLGIVGFSLVFVASLVGATVNQCLYYQGVHLGSSSLATAMTNLIPAMTFVMAASVGLETVDVRRPRSLAKIFGTAVCVSGAMVMAFFKGPKLLGDLHDALLFLHSPAASSGWVVGALLLVGSSSCWSLWLILQVPICKSYVDPLALSAWTCLLSTLQSALLVSFLLPDMDAWRIHSLFEISCCLFSGVFGSGVMFYLQSWCISVRGPLFSAMFNPLCTVITTLFAAIVVREKLHVGSLLGAIAVIAGLYVVLWGKEGDGGKRGTETEHAVDDLEKMTMNRSDSMLDAGEGIAEPLLQALDGDLVEK >Dexi2B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:2B:20051716:20052443:1 gene:Dexi2B01G0012890 transcript:Dexi2B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYLVGNNEDGSYLRNTCDRSFKIANNILRFSESEHLIFPIFYDSHWFLFIVAIQDGYFIFLDCFYGELQEYQTKVRSIIIPNFINACRDFIGLEYDFEDFCIHYAPIPRDHLAYYNRLDGGIYVMKYLELWDPLVDMERFFEPIDIVSIRVKYVKHLVFTSHNLMEDAKALLADHETMVKRK >Dexi7A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:7A:28581001:28582045:-1 gene:Dexi7A01G0019350 transcript:Dexi7A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSAPGELVARRRVRERADAEAPATGRRVEEVGAGTSSRHGEVEMMNTTTGPRSTTRLGAKRKRAADDQQVAVQQQVPRPAVVLAPSPLQRLLDACRATFGVPGAPPMASIVPYIRGRMDMIDPDDVGLRDEVRFFNWMNARGHQNPPIVTSKTIFQCNNFTIAVFFVPFGTVMPLHDHPDMTVFSKVLMGSARLEAYDWVPPRIMWRHGSWMLAEKVRDHNVTKASGTWMLFPDGGGNLHRFVTTEEEHCAFLDVLTPPYAPAEQRRCTYYQQYSPP >Dexi5A01G0039310.1:cds pep primary_assembly:Fonio_CM05836:5A:39998997:40000476:1 gene:Dexi5A01G0039310 transcript:Dexi5A01G0039310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSPAAYYTLLCLAVGVIVLLKLTKAIFSSRHGAGARNLPPGPWPLPVIGNMHSLLGALPHHAMRALAQRYGAVVLLRLGHVPTVVVSSPEAAREVLKTHDAVVSNRPLYVTADILSYGGQNIAFAPSGSRHWKEVRRLCATELLSPKRVLSFRPIREEAAAGLVWSVAATAAPPLATVDLGERIKVVMNDILMRCAVGDTCPMRNEYMVGLDEVLELLAGFNLVDLFPGSRMARMLGAGSLRAAREVHDKVHRIVQVIIQDHESKDANDGGSGGRRDDILDVLLRLQRDGGLETVLTTEVVCAVLFDVFAAGSETTATTTIWVMSELVKNPAVMKRAQSEVRRVLQGKTRVAEADIQGQLPYLQMVIKETLRLHPPLPLILPRSCAEPIKIMGYDIPRGTTIFVNAWAIGRDDRAWPDANEFRPGRFEDGTVDFSGSDFRFLPGGGVEGCALV >Dexi4A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:4A:12581449:12581790:-1 gene:Dexi4A01G0013270 transcript:Dexi4A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWQAQGGKEKEEAEQSRGGEGSGSTQRASCEVREVQGVGVMERGGGGRAGGRAGRVVGGWWEAAGKGGINERWMQTDGAPRKW >Dexi9A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:9A:13872359:13878643:-1 gene:Dexi9A01G0018830 transcript:Dexi9A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRSSGEDRRPAVEAEETATAPPELGGGGAPVGGGGGGGVWSELVSVGRRRRAAAAAGADREAEAAGESGTAQDRESRAGGGRRGGERGKIDRWGSESELLDLDPMVQPPPNVTGVLHIGHAITAAIEDALIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMREKNLSRHDVGCEKFLCEVLKWKDQHGGVILKQLRMLGASLDWSRECFTMDEQRSKAVTEAFVRMYKDGLIYRAHRLVNWDCTLRTAISDIEVDHMDLTGVTPIDVPGYRSPVQFGVLISFAYPLEEGLDEIIVATTRIETMLGDTAIAVHPQDGRYKHLHGKYAVHPFNGRKLKIICDAELVDPSFGTGAVKITPAHDPNDFKVGERHNLEFINIFTDDGKINEMGGPQFEGMPRFAARTAVIDALKNKGLYRDTKNNVMSLSLCSRSKEIVEPMMKPQWYVSCNSMAKGALDAVKSKKIEIIPSQYEQDWYRWLENIRDWCVSRQLWWGHRIPAWYVTFEDDKEKDMGSYNDHWIIARDENDAILEAKQRYPGKKYRLDQDPDVLDTWFSSGLFPLSVLGWPDDKPDLSTFYPSSVLETGSDILFFWVAKMVMMGMQLGGDVPFQKVYLHPIIRDAHGRKMAKSLGNVIDPIDVINGISLENLQKKLEDGNLDPKEVKKAKEGQRKDFPNGIPECGTDALRFALISYTSQVANTDFFPSFCCPCQPPVVKYLVLQSDKINLDIKRVHGYRQWCNKLWNAIRFAMNKLGDQYVPPATIAMCSLPPVCKWILSVLNKAVGKTESSLEAYKFSEATSSIYSWWQYQLCDVYIEAIKPYFNESQEFDSARGAFRDTLWVCLDTGLRLLHPFMPYITEELWQRLPQPKEACTKDSIMISEYPAVVQEWTNDQIENEMETVLDTVNKLRSLRPSADTYERRPAFVLCRGLETAATIRSYQSYITTLASVSSLQILTEDDPTPLDCATHIVNKDLSVYLQLRGALDTKAEREKLRRKREEILRQHDALSQKMNASGYREKAPQSKQDEDTRKLSALLGELEIVDGAESKLDANN >Dexi9B01G0025490.1:cds pep primary_assembly:Fonio_CM05836:9B:25979589:25980355:-1 gene:Dexi9B01G0025490 transcript:Dexi9B01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTGKRLKQPSHGGHDDDDDGLPLSDEMLLVIFASYLNTDDDLVRCAATCRRWRRIVSSEAAFICRSDRPRFVPVGFFHQEEESSRDSF >Dexi1B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:1B:1609943:1610699:1 gene:Dexi1B01G0001970 transcript:Dexi1B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGRPRGPNVPRSGGPPKVLPVDKALVGKGSYGKIYKAVLTSGEPVAIKKLDPSVSSDSLADFSAQLSMVSRLKNDYFLQLMGYYLDDSHRILVYQFASHGSLHGKKGVKDATPGPVLSWAQRVKIAYGAARGLVYLHEKVQLPIVHRDVRSSNVLLFDGYDSKIADFNLTSQPPDGAARLHSTRVLGTFGYHAPE >Dexi3B01G0028740.1:cds pep primary_assembly:Fonio_CM05836:3B:27295072:27297544:-1 gene:Dexi3B01G0028740 transcript:Dexi3B01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPGGALKPMKATSDGIFQGENPLNSALPLAILQICVVVVLTRVLAFVLRPLRQPRVIAEIIGGILLGPSALGRSTVFLNTVFPKQSLTVLDTLANIGLLYFLFLVGLELDLRAIRRTGSSALVIAIAGISLPFIMGIGTSFVLQHTVARGVPRGPQASHHRPRPHGHVGRRRQRVVAWILLALAIALSGSGSPLVSLWVLLSGAGFVLAAFFLLRPVLTWMARRSPEGEPVKELYICATLAIVLAAGFATDTIGIHALFGAFIVGIIVPKDGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTDVMTIKGGRSWALLVLVVGTACFGKIGGTLAASLIVRVPLREALTLGFLMNTKGLVELIVLNIGKDRHVLNDETFAIHVLMALITTFITTPVVMAIYKPARRGPPYKNRAVQRASPNDEFRMMACFHSTRNIPTIINLMESSRGTRKRGITVYAMHLVELSERSSAISMVHKARRNGMPFWNRRRNGDGDGDQLVVAFETYQQLSRVSIRAMTAISDLHTMHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDQYQHINQRVLHHAPCSVAILVDRGLGGAAQVAASDVSYTIVAIFFGGRDDREALAYGMRMVEHPGIGLHVLRFSPQSGTSDRAADDTFLEDFRTKVANGNESVQYEEKPAEEKAEVVEAIKAAGRCNLFLVGQGTSRMPLADRSTDCPELGPVGGYLALPEFSTVASVLVMKQYDPTAKHYDLVEEVAELAVDVDTPGPSNRGTNASFRAG >Dexi1B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:1B:4855311:4859002:-1 gene:Dexi1B01G0005960 transcript:Dexi1B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGDPSHSQPPPPPPRGWLSGLVSGAGRLLAAVLDTESSASDTTSSSPESSQSPPRRALAAAEDDGTGTCLASDSYQLNLSGQEIVLKDSGEGSFAIVSEIHPKEAVKQLLMQDTYSRSECDALIKIIQERMVDSDPGVDEAEIILPIAWHDSTQEHPVAYSSSPNIVEKEWLNKTSTTIEGLGTKNHARSQPLINGLATSEQLQKLQEGIQLGGRHSNEDEITCDCQQNSPPKRWHDRQILEWSATAEQSGGGSWTGGRREPHSGEEAGWKAEERAEVSKAEKYTLAAIVKRIPDLWITDYT >Dexi7A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:7A:28531315:28534196:1 gene:Dexi7A01G0019300 transcript:Dexi7A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACATLARRSAAGAAPARVRHLAGAAEVAEAELKKTALYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRTNGGLFDVAHMCGLSLKGRGAIPFLESLVIADVAGLKDGTGTLTVFTNEKGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHIHDERSLLALQVGAVSGPLAAPTLQLLTKEDLSKMYFSDFKTIDINGSSCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRRAEGGFLGADVILKQLQEGPKIRRVGMFTQGPPARSHSELVSSSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >Dexi5B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:5B:8158556:8161662:1 gene:Dexi5B01G0011500 transcript:Dexi5B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRFVRAAATPPPPQPPVPPPPTMSAHQRRQQYQQPLHQPPRAGAGLHAPLLRLWPRGGGGGDRPAAAGGAVRGAEPRNSPIEEERKAEADGRGQGNSNWVLQMLRVQPRWEDAADVEATGGGGGREPQEEEEDSAAAAASRGAEECASCGDGEGCAVAYDEGEVFDRASFSRLLRKASLRETKEYSMMSFLCNISYMIAKIEPKCLRRYSLRFVTSSVQEKARTSPDQKQEHSNVKDKSQDHVSEAVDNASKEEPRVLGINPFGAYHVMSSAASYLHSRAMGIMPFGSRNDVKDDAAAIMALMNGENGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFVCDDDQNSTRYFVVQELDVLVHRGIYEAAKGMYHQMLPYVKAHLKTCGKSARLRFTGHSLGGSLAMLVNLMLLMRGDAPASALLPVITFGAPCIMCGGDHLLRRLRLPRSHVQSITMHRDIVPRVFSCHYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPDERLSPHHHLLPPDSGIYRLGVDGGGASPSDADTSLAQLRSALSAFFNSPHPLEILKDGAAYGPRGSVYRDHDVNSYLRSVRGVARKEARRALEAERDRWRLLFWWPFGVHGVSPGPGSGSGSGSGRFMDAVSEAARRAHLLAVVLLPAELLALGALLVVTRFR >Dexi7B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:7B:21873851:21875433:1 gene:Dexi7B01G0015840 transcript:Dexi7B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSSEGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >Dexi1B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:1B:23179105:23183835:1 gene:Dexi1B01G0016690 transcript:Dexi1B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAAAAPTDAPAATAAAAPHHHPHAHAAAAAAAAPPHPHAHAAHPHHHHMPQPRWVVIPYPPPHHPMVAAPPPPPPQFVKHFAPPASVTPPPPPSAGSGGNGGEDNRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFYSHASAEKALQNFSGHVIQALLHQGNQILTQLTGRADAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGVSGHRRNSNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >Dexi9A01G0023210.1:cds pep primary_assembly:Fonio_CM05836:9A:18455711:18456849:-1 gene:Dexi9A01G0023210 transcript:Dexi9A01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVISFHDSVEHHRRLEELEASLLEPLATVLFGKRHCGCHRADMDVPAQSVLTHQLEPLHPIPVGCHEQVLSHQCFLSFFFRLIRQRVSVREVQQVPKDVSPHVTDLHALSCLGGGFLHRAEQLGLEHRRAHREQEPMRGELVPLDAEPHVGALPGEQELPDVPGQARRRHRDGLASGGSGSLGGDGRGVLGAELGDDGDLAADAEGVLPDVEVPRLLERLPADEVAEPPVGAGPTELVGHAHLGVGRRRLPGAVEEGDGDMREHGDAGGGDAVEDEVEGDVVGALGAVAVGEVGEVPRVAAGEEGAGAEAGAGDEALGAGVAVDLEHADAVVGTMAEVVSDGSADDDIVAGSGGSQGNGSERMVVVVDLG >Dexi2B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:2B:13796585:13801441:-1 gene:Dexi2B01G0011960 transcript:Dexi2B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTSLGDHGGGGDLPTLWESLPTIAVVGGQSSGKSSVLESIVGTDFLPRGSGIVTRRPLVLQLHQTENGSQEYAEFLHMPKTRFSDFVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQIPWVGIVNRSQADINRKVDMISAREKEKEYFESSPDYAHLASRMGSEYLAKLLSQHLESVIKARIPSITAVINKTIDELESELDTIGRAVAADPGAQLYTILDLCRAFDRVFKEHLDGGRSGGDKIYGVFDNKLPAAFKKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLIEAGLTYFRGPAEATIDAQLRRFPTLQAAIATAANEALERFREDGRITALRLVEMEATYPTVDFFRTRPQDPPAADASGKAGGKPSAESSVPLDRYGDGHYRTIASNVSQYIRIVGDELLKTIPKAAVHCQVREAKRSLLNHFYVQIGKKEAGEFGHLLDEDPAMMERRQQCWKRLELYKSARDEIDSVAWSSSR >Dexi6B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:6B:3063684:3065401:-1 gene:Dexi6B01G0003750 transcript:Dexi6B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITRSNKKRRLEEEEASHSHLQQQQQLPPPDLISSLPDDVLAAIVTLLPSGDGARTQILSRRWLPIWPTLPLNLEAKTFAAAHAFLLARSSRRGGGAHCRRLSLTWTGSFHGFPKAADDLLRLPDLDGLHELELCYFPTTNNGGRTAAGPYNPVPMSMFRFSPTLRALTVCCHGSRLELFPKETTSTLDLSFPHLEELTLKSVVITEDSFHGVMSGCHALKSLVFHDNVGYSQLRICSPTLRSIGISGGPCFYAEIIVEDTPLLERLFQDGSVTTEETEFCITIFATAQHKIRVMQAPKLKILGYLRESISEFDPGKWSFQGREVVSVPNALCTVKILALDVACDDLDVVINLLTWFPCVKKLCMALGFSVWRNDEQRKSNDDVRLECLNEHLKIVELKGYRGIMSEESLVRFFLSNAKVLESLKFLIPRKKCYKKWISMKREKLCRLGAIASPAARLSFESEPDNHPPSSCVPIKHIHNLAMDDPFDTSSCACPHGDSDADYYE >Dexi9A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:9A:6021792:6022388:1 gene:Dexi9A01G0010000 transcript:Dexi9A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRSTRLLLPTTDMATARFLLLALLLALCAAPSPAHAARSLTTPAAAATNTTNATSPAAAEYLAPHNQARAAVGVPPLRWSSDLSSAAAKVVAQQQRQGRCAFADMAGSSYGANQGWASYRALPGEVVASWVAQGKYYTHANNTCAAGKQCGTYTQVVWRRTQELGCAQASCATGATLTVCLYNPHGNVQGQSPY >Dexi5B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:5B:3779501:3783611:1 gene:Dexi5B01G0005570 transcript:Dexi5B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTREEVGAGPMAPADGLHVLADLLEETTLSHDATVRRAAEQKLSESIAWPDYASGLLSIISSQSPKFDKARLAASVHFKDLLRLRWPKPSPTADHRPLPSFESILPSIVSSLGAALAVADLDRIISLLVAAGSLFSRFRDCSGDQNDDDDLHYCVVEFAATLMRVTEFAFQRLQGATAVANPLELSPLFKCLLNCCQLFKSLNSIRLHAQFHSEIPNWTKVFHFLLNTMYLPSVEADGAPDLLCAAVFSKGAQHVLLASPETMGQICDNVIMPNLRLRDEDEKLFISNSIGFIGRDSEGSSADTLRWAAFCLLHGILTNYGEQVAPLVSAHIQKVAAYAADPVNNWKEKDAAIYLVIALMQKPGATAGWTPMVNVGDFFASVVVPELQAYDWQSVPILKATVLRFLNEFRDQIPKATVLSLLPSVVRFLTHESNVVRSYAAVFIESQLIIMDEVLVNTATRSDCFAAVDPFAPPIIQNLSTALSFCDSYENPYLMKCLMRVLKVANIDDNNVVCAINNRLVAILEDMCNKPKNSEFNNYLFEALTAGIGRLDGPATYRLLGNGYYACSGLQDLGWSFSGDANVDDPQRKLICRWHGVSPLAVWKKSLLDDGPMAGPDVHIRSRQGG >Dexi6B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:6B:21985870:21988344:1 gene:Dexi6B01G0014470 transcript:Dexi6B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGVAIRQLATPYPYHSGQSAPISHEVLGFSVLAACGLRCGCGGRTATKEGCRRVTLAQAHAHTHACLYKVRDFTYGLGQAGGGSHRPLTKGPPAARAYVQLRPPPFSSSLRCLHFHHWELVPRSFCCPATSTKEPCITSFPPPTASTAQPPQTPPVAPVPWQPWPPATELAAAPFPSSNPQVQGEEWVRLNLLVTLMPSPHSILAFPRRILGHLAPNSA >Dexi5A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:5A:22482624:22483959:1 gene:Dexi5A01G0019000 transcript:Dexi5A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCVWSPPPPPPFPSWVILQRAAQVPPGMEPADPSLVLREPPLVSNLAVPFQWHPRRYSDGLDSDPYIIAGNDAGFLIHVSWSPFIGFNLNHYPPGVLEVVSNFVQAAGNGAATGAAELIPPHAISNIKSVGLVPIPGTGRREYVVAEFRISVPEDGGDGEDGDDGPSLFTFRSGTDAWVQREVSCPDGWDWTLPVHDVIAHGEKLWWVNLEHGLLGGDPLGGDENAGETVLRHVAMPYELDLANEENTQNLEHIRMVSVSNRKIRCVGMKRLRDHPPEAVEVSIWTLVQRPALSWKPRCITTLGQVWSHESYRDQAELTREVPVLALLHPANPDVAYFFLGHYLFGVDVDSSEVVDFLPVQHGLREVALPFSWRHVLAWMLPDSLEGEFW >Dexi9A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:9A:2307491:2311788:1 gene:Dexi9A01G0004310 transcript:Dexi9A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLLQLQFATVPLLSVLFLSSVLMTSSQSVFSLDRYGAHGDGSHDDTRALVKAWKKACASPGPAVVLVPGGRSYLIKLVRLRGPCKSGVTFTVKGMLVASPNMADWSDKDRRLWIVFRRVDKLTVNGGGAIDGNGEIWWKHSCKINKAMPCKEAPTALSFHNCTSLRVEDLNIVNSQQIHMSVKDCTNVQLARLSITASGTSPNTDGIHIIRSRDVQITNCKVKTGDDCISIKTGVHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVWLHGTTNGARIKTYQGGSGYAKNITFQNMIMYNVKNPIIIDQNYCDKARPCKEQRSAVQLLHLEFAAVPLLALLFLSSALTASSQSVFSLDSYGARGDGRHDDTQALAKAWKAACASPRPAIVLVPGGRRYLLKLVRLSGPCKSSVTLTVKGTLMASPNMADWSDKDMRHWIVFRSINKLTVNGGGAIDGNGEIWWKHSCKINKAMPCKEAPTALSFHCCTSLRVEDLKIVNSQQIHMSVEDCTYVQLARLSITASGTSPNTDGIHITRSRDVKVTNCKIKTGDDCMSIEDGTHNLHVSKVVCGPGHGISIGSLGDDNSKAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTISKDAIKMNCSKNVPCHGITLQNINLKMQGGKGTTKSTCQNAKWRKFGTVLPQPCTAKN >Dexi3B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:3B:7212105:7214422:-1 gene:Dexi3B01G0010340 transcript:Dexi3B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLATRGHLLASRGPPSRSAAAFSSSSSSSSSWLPSSVLLGRRPTRRLRSTSPQVDAAPAPGKGGEYRPTLADDVLLAFFRSKMVEEVGWDSEKPGYAGLMEVANRLMVKGKSALETEEAAVRVLQSLFPPLLLVLYKALLAPIANGQLAAMMLVNTVTLPDGKSWSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQVKHYRLQTFSALRYSLLQFNFGVPPPPLYTDKALKEPCLDICTNARRRRELGRNSSLDGLGCPQV >Dexi2B01G0032060.1:cds pep primary_assembly:Fonio_CM05836:2B:40036617:40042122:1 gene:Dexi2B01G0032060 transcript:Dexi2B01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEDSKDLLKNVDWKTVGGAVTTESSQPVIKKRLPKKIRQVPECYFLPRRSLPSALAVYGAVCAAGVGAGMLLEVWINKKIKDDSMGTPDDMMAYSSLSKIDTNQNHRAAVSNVVAANNYPVQDYLYEPSFEPDFPEYDSRDDPFSPTQASPKVNLKTVLGGLVSIVAGANKDEVDASRQQSFSTDVSFLGSDKNGEVDVHPSVARLNPEKSIPSSILKGAKGLAILTVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDRKAVKAFSSRIHLSLGAGLSAAAGPIGRAFEADVRASEKGSGICYTYSCSKGAFVGVSLEGNIVTTRSETNLRFYGDAYLTATDILFGRVERPLAAQPLYAALDDLFSKMVC >Dexi1A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:1A:10765972:10772791:1 gene:Dexi1A01G0011630 transcript:Dexi1A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLPLRSSPSPCLPLRRFLLFRCSASSSTTASSSLSPYVASFASRMALAGIRPHHRIAVGVSGGPDSMALCVLATAWKKAAGKKAAAEEGSVSSAFVNGLLGIVVDHGLRSESSDEARLVRDRVRNMGVECEIARCDWPDGRPKQGHVQEAAREVRESIQLGKACVNKFRYQKLLDICIKQQIGILLIAHHSDDQTELFVLRLSRNSGVLGLAGTALVSQLFAPNIKYDGEKFQRYRVLLVRPMLDFSKDDMYKICQVSNQSWVEDPTNNSMMYARNRIRASLRNLSTEGTFLSGVHKLISACRLTRTHVDGTWSVVANQSVSISEFVSQRQRPLRGRSARLLLDYIRTIPCKAALTVAGCYLCAAPRSKGTKVLICCSVDWMESASAEISYKCSYEEQPPPVPEIDQIVLEGLLQSNQFVQNHSNIPFMYCKSSIDVLNKAKDLNIIDDFTLEKLCYLRTDEHNKFIAKQDKDGEHDLEETKFCDCSLRPGETCHFMSRFLITWKVPEDVNEICLQENEEYLICTVNLDVSLEVRHMIDADWLFLAEVCNICSVEDNQIDPKVSSGKMQMDNAPQHHRILQQSAQKALQILKCIPADARRTLPVLTNAQGDIVCIPNVGFRCCPGLSIQSVFYPRVPFHGGYSSYL >Dexi3B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:3B:5408154:5408399:1 gene:Dexi3B01G0007630 transcript:Dexi3B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPLESSAAMLLSASERHPRLRLPFSPPQHPRRGTCRWRRRRQRGRRDGDGKRFSDHERFGRGVAALEIWGRPPRRRWRV >Dexi5A01G0032580.1:cds pep primary_assembly:Fonio_CM05836:5A:35066865:35069022:1 gene:Dexi5A01G0032580 transcript:Dexi5A01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVGEDGRRETHENAAPPLIPSRDKPRFSPLSTLYKPPAHPPLLRSPPVRHSRSPPPPTSSPSSLAGEDNKNTPGIAVRRKGRSFREGGRGSHHRVRAGHYYFFLQRQFLATGGAILFGCVAVPAKRVDSPLAGGAGFWLPDEFLDDDFFSEEEKAAVAARSESDEEEGLDGLSRRVAGLLVVGGGAGKGHDGSSPAKAEVMAGSPQSILCGLAASGEESPNGAASQVSSPPSSPLEQQPADPWDVLHEAAGQVVRLRSDSIPVPKNSAAHRGHAVVPAAKQPSAPAMAPKAAGADNFKPNNLLEQRRKVAQFNALKQQQILKYQRQQELAVATAAAWGARVCGPKRTTGYGAAPHALNPAAWPPLQNQKAQQPAASAAGMRALFLTPPGAKRECTGTGVFIPRQAGAPTEPKKKPACSTVLLPARVVQALNLNVEDLGARPVYPGDALVSRSNALLASRSSELTSAAAREVNLPQEWTY >Dexi5B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:5B:498616:500164:1 gene:Dexi5B01G0000750 transcript:Dexi5B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGGVVGSKQAMLAALMVVAMAAAAVTPAAGFISGKTWRAVRRANRVGGPFVGLVVPNAYEMDPSLRTPASSPEATSPSWTFKVVVFFFFFMFRFGTIGGQSVVMVMTGLSMLNAGLTTQLLLSLFNVKGIVHWGIAGSANEDLQIGDVTIPEYWAHLSLWNWQRYGDGPANELPLEAAGDYTRKFGFLNFSDYTVGQGNKTNTLNSIWYQPEEIFPISGTPEQRQHAFWVPVSKRYYDLAGKLETLKLPACVNGTTTRCLPRPPKVTRVPRGCSANVYTDNASYRQFIRNHFECTPVEMESAAVALVAHQLGVPFVTIRSLSDLAGGGSSLSNEAATFLAMAAQNAVDVMLKFVPLLGAADEHDDR >Dexi5B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:5B:9324145:9325553:-1 gene:Dexi5B01G0013130 transcript:Dexi5B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFHIRPLPRTTLLSCIRVFPSISGKAAGSPEQLLRTMEVRESVNLTEKEERIFRLLLDVVRHFDLGTQLRVAGGWVRDKLLGEESADIDIAVDNMTARDFCEKVKEFKELIGEKEKINHVPSNPDKSKHLETAMIFVFDTKEVGTAEEDAYRRDLTINRRFHWKG >Dexi8B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:8B:2384065:2384797:1 gene:Dexi8B01G0003240 transcript:Dexi8B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRRYAELLRRASSLPLVASLHAAVLSRGVPALLAASLIHGYSACGDLASARAVFDEMPPWERTLSARTALASAFSAHGLCAEALGLFAGVEADMMDDKAVTSSSKSPSGC >Dexi5A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:5A:5289741:5290228:-1 gene:Dexi5A01G0007150 transcript:Dexi5A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPAAGGRFRAGALPWRLRQRSCLASPPTSSGGEPEKARPLLVERYRNGVSKSEHSGR >Dexi7A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:7A:18077586:18078244:-1 gene:Dexi7A01G0006760 transcript:Dexi7A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPPSSEKKGSSAATLLEIREGGSGSGAAALAAVVALRAAVVWRAGVLGMPGRCSQEKGTAEARSGERKRWWNGEGAGEKDGKEKERPEEAEDNDDEEEEAENADAPEVEVGTVEEEEVDDGRSCRRRRRRVAAVGAAGGVGEEEEGLGLGIAAVLRFLVVVSRERERALEWREAQVRELRRGFLWLGHGAGDVIRMRRLGLILVIFCVRDIGSMR >Dexi9A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:9A:11505707:11523244:1 gene:Dexi9A01G0016490 transcript:Dexi9A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLHLVTRLPQQLHLQLDGETLIASAVDAERRRAFFASSDNFIYTVQLPASSTQGQEPLQWSKIATQHSDVDEVVLEPGDCIVAMDYLMERESLLLGSSAGCLLLYNVEEKTTEVVGRLEGGVNAIASSPDGALLSVTTGLGQLLVITQDWEVLSETSLDPQATSAIPVVRSKAQFLGGEMGRKLHSSSDAKTFMGAPLDWMPSGAKVATAHDRRTEGKCPLIVFYEKNGLERSHFSIDEPAEAVIHALKWNCNSEILAALVSSEQHDVIKIWSCRNNHWYLKHELRYTKDERVKFFWDPTKPMHLICWTLGGKVIIHSQVDSGHGFPASCPWMTAVLCHENDTEAVFGAALGSYDLNLAAIVALNSQKDPKEFLPFLKSLECLPPAVMRYTIDLRLGRYESALRNIVSAGNEYHKDFMKLLHANPQLFPLGLQLFNEPDKRNEILEAWGNHLSEEKCFGDAALTYQCCSSYQKSLKAYRACGDWRGVFTVAGLLELEKEEITQLAHELCDEFQALGKPGDAARVALEYCSDAERGVSYYIMAREWEEALRVAYMRSGHDLVGTVRDAASECATSLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLKSEDRFYDVEDDNISEVSTSFSEMSAYTTSPGEEMALVEHLKGMALTGSAEKELKSLLVVLIQLGKEECARQLQLAADNFEVSQRAAVKLTEDTEAAAKVKAAHCLSTRRDTQVVYVDPTTGALRYLGKHGEDAFDSEAAALNYITDGSRILSKSTTYAKAVLGYAVLGSYALLLVATQLSSTVPNLPGGGCIYTIAESQWIKIQLQNPQPQGIGEQKNIRELADLDIDGKYYFSETRDITRPFPSRMTLWEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARLNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVLSRPSGCMLKPVPSITPMADGGSSLLSFKKKDLIWHVKDFRFCRYVSKGKGLNFEEMMKLEIKRLHLGLSAAERDRALLSIGVIPATVDPNHSVDYPYLLKLSSLADNLALLGHAVLEDRVNASIGLEEGSEHTIDFWNLSENDESCYDGACEVRVESDHDSEPLFSLLAPLGSGNYKSYWKAPGDNTSVEFSIVLGGLSDVAGVAIIVSSCGYSTSDCPIIPVEAERLRDNDLVLEQYLLPNSPGIAGFRLDFFNVIRPRVTHSPSSSELDTREFSLTRLEDGYVNQAILCMQVTIVKESGKLVVEEYRLPEVKANTPLYFDFPDLQQDVRCVIFRLLGDVTAFVDDISELDGLNFRNLPLASGLSLSNKIKLYYYADTYEMGKIGSFSAV >Dexi1A01G0031400.1:cds pep primary_assembly:Fonio_CM05836:1A:36392728:36393819:-1 gene:Dexi1A01G0031400 transcript:Dexi1A01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQPHWRAGATASRISYKNATIAACAFNFLLAAFFLHSYFLSWPRIAGGDQFDSDLEA >Dexi5B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:5B:28784:29410:1 gene:Dexi5B01G0000030 transcript:Dexi5B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCRQPTRLVRTLPGIGRGTHTDHRRRIACRHARTAMRKATVFLMALALALSLAAASTPPPLRRSRFLGGSGNNNNSPPPPLSFYDCSKKPPTICLQPGSPGATCCKGTCVDTDHSFEHCGNCNKTCKYAQTCCQGKCVNTFTDAKNCGGCGKRCRTKCTNGYCDYAA >Dexi9B01G0026390.1:cds pep primary_assembly:Fonio_CM05836:9B:28470172:28471276:1 gene:Dexi9B01G0026390 transcript:Dexi9B01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEVGLALGLSLGSGHHRIKEQPSPPSHSYALFEPSLSLSLPAKDSLASPAVRIAAVKRELRMEEEDEATDRALYSVASSALVAPDDDEGCNSRKKLRLTKEQSALLEDRFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALNHPHPAAFFMPAAALSICPSCERLTGAPATTTTGADRPKAGGPGRAAHLFSPFTHSAAC >Dexi3A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:3A:2149598:2154178:-1 gene:Dexi3A01G0003300 transcript:Dexi3A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRTLALSSSPAAARRSPEKAAVASLHIRRFSTTSSLHLGAPQSPALRAHSSPFQAWRRRRRAGGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTDVIRGVSPDQQLVKTRLRLQGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTDAKPSQIAKNGLKEAKSQKKDVIIVDTAGRLQVDKAMMSELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAVLTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTEMIAKMGSFGRLIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRRRVAKDSGKTEQQVSQLVAQLFQMRARMQKMMGAMQGKESPDMDELMESMKAEQAGAGTGQRRRKYGNLRQRQLDAMRGFRRR >Dexi9B01G0029910.1:cds pep primary_assembly:Fonio_CM05836:9B:32346207:32348687:-1 gene:Dexi9B01G0029910 transcript:Dexi9B01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGRAPPLHHSRPLHPATACFLSRSASAAKPHRGAPPSSCGRVRASNSDPPQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLRRFLEGADAASRVPLPEGSRQVFVMSENLSAAQKEDMAWASYVLLRNTNTTSVLIAIGDVLCVRGYWDPPANTSTYAMVEWFKSQMQQVGLVDLRDPLYFPNSSNSQLVKILPDGVLSVLVQPVLKSDLANGETKTEGVIMLASNANYAYNDKDRVWIRTLANKFQRS >Dexi8B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:8B:21674014:21674666:1 gene:Dexi8B01G0012310 transcript:Dexi8B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAPGSSMLLAAHGVVVLLPVSSLRTNRAAGHRRRPTVRAVMVRPHQDVAAAPPPPARKTATAAPPPPVTVRETTTYHDNWFDKLAIGYLSRNLQEASDALLGF >Dexi9A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:9A:2024245:2030526:1 gene:Dexi9A01G0003860 transcript:Dexi9A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSYQRPGLGQIALKTSSSSRTRHGRDQVRDAASRSFLRSNVEVPRDSMARRLDWAAAREEHCFAARMKLQLSLCWGANCVQGATTDSDSPKLRAHAAGNADLSISLNLSLCLSERLSNLCLCLSLSLLSLSIPSSFASYWELQARGLNHPPLICATNPPTYQEAVEPKERGSDQTLGLQSERAHGSTPHLHHPKVLNPTPSKRNDQADQQLEKPKFGKGEEEERKCGSITLRVAVRGFGSGHDLPPSPGVEEQLGDKFGERRERGNYAEGNFAYAPPFGIPASTRMLQFPCALGRAVEEDVHRPLTQWCHRLQLSASAAAAASNNRHSGKQPVTAAGKQPTTGAATKLSPSSTTAPPINSLRPEHHLQLAYLSQTPASPASSTTWPWPPPPYAVGPLPLRRSPTAGDQLASATFLVVVVPTMTSDWPVMWIQAHTW >Dexi9A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:9A:7200080:7200478:1 gene:Dexi9A01G0011490 transcript:Dexi9A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQRRSLSPNLADRDAWCRSNKYSRASVARSFWSFCFRRKFQIFQVPTTFLAILLIWFTLLHINKTITAIFHHLTCINNVQVFITPVSTSSFIIFLKLCPSSSIQSTFKNPTHHPQQWPIATGCERCRGN >Dexi2B01G0033220.1:cds pep primary_assembly:Fonio_CM05836:2B:40885864:40890150:1 gene:Dexi2B01G0033220 transcript:Dexi2B01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGKYEMGRALGEGHFGKVKLARDAETGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEALKGKLTEKEGRKLFQQLIDAIAYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNKGYDGSMADIWSCGVILYVMLTGNLPFDDQNVVVLYQKILKGNAHIPKWLSQGAQDILRKILDPNPINRIDVDGIRAHDWFKQGYAPAVPYHDDEDIGMDGGSLNITEHNGIQDKIAINQINAFQLIGMSSCLDLSGFFEKEDASERKIRFASNHSPDYLFEKIESIVRKMGFQVHKSNGKLKVIQDCKAPANSRGQESLLISAEVFEINESLYVVELKKSSGDCSLYRKLCETLSEDLGICKSQQFLKQDSIRQEIGRHNSSF >Dexi8B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:8B:1835653:1837828:-1 gene:Dexi8B01G0002630 transcript:Dexi8B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPNRNKAQGRDNRPKPLTLRKIKRITNNFKDLLGQGAFGKVYLGNLDGNQIAVKQLTYKGQNNLSTEQFESEMGILMEVKHKNLVKFLAYCNKGEKRYLCFEFLSGGSLDKLLYASKHNENEKPFSRENDILLDWESRYYIMKEVCTGLHYLHAEWSSAEKILHLDLKAGNILIDQGGVVVKIADFGISRLFDAHRTYEYLTNKFILGMRAYLPQECLTAKPKVSDKVDIYSYGMLLLEMALEALGNGDVKKLIDHTLRERLEDHSVPQVLNCIRIACDCLNDDPKQRPDTKQIAQRLDH >Dexi4A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:4A:20030987:20032759:-1 gene:Dexi4A01G0016380 transcript:Dexi4A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVIKLSEGPVRPSSATPSETLPLAWVDRYPTHRGLVESAHIYRGVAHTLPSPAAEGDALKLLEAPEKKTTRTSPAAVVRGAVADALVHYYPFAGRIVEDVPGRPAVVCSGEGVYFVEAAANCTLADVNFLERPLLLAKEQLVPCPTPEQWPVEPRNCPAMIQVTTFTCGGFVVGLRTNHAVADGTGAAQFLNAVGDLARGLQEPRVKPIWARDSFPDPDIKPGPLPELPVLALEYIAFDFPATYIAKLKSEYAAFTGGKICSGFDVVIAKLWQCRTRAIADATPGGADVRLCFFASVRHVLKLEHGYYGNAIFPVKVSAPAEKVAGSSVVELVATVREAKRRVAEECLSWAEGRTGGRDPFQMAFDYESVYVSDWSKLGFSDVDYGYGTPMSAGPLVNCDLIASVIVMRAPAPLDGTRLLASCVTKEHADEFARMMRDGLV >Dexi8A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:8A:29624552:29625950:1 gene:Dexi8A01G0017740 transcript:Dexi8A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPSYFVYSAFWRSNTLSSPIFATMELPSAAWVPCNIQMDNWTMEPTVWASGFPAVEYQYAANEIFDAQEFDVDLNNMEAKIRDNTICAFQETAQEFKDDMDTMRMKIHRYPASLRGFDEWYSVPRMVAMGPYHHGRVLQDHLKQVEKYMLWCTPAATEMEASLHSFFDFNRKVLRHDLMLLENQLPWLVVETVMRFRPVELVDFVADWRHYLKDRKVLEEKPIVLDDRYEPPHLLGLLQFYMVGRSNTRVHTRDKFNSISVSVSAIELVEIGIMLTAKETRELIHMGISKKGILSAELSYAPLSLDDERASFLINMAALELCTTSNF >Dexi3A01G0033000.1:cds pep primary_assembly:Fonio_CM05836:3A:37785596:37785826:1 gene:Dexi3A01G0033000 transcript:Dexi3A01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPTIDRKPEPQKVEVPVPSKPQGWEAIPKPKGHSGLDYSKWDMVEDDSGEDEDEEEELPQYKFKVRTIGVRPVK >Dexi5B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:5B:21093001:21099054:1 gene:Dexi5B01G0018710 transcript:Dexi5B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLAEARSSGQGIFDSGRGKACRSCCRHSNCCSACEAGMEGVEAVELRFSALGFFPAGAFSAAGSSYAGFFAAGFFSALGAIGAAAAAAVLWESGRLRTTRIADADGPQNQNIEAESDTGRVTARPKYLASLCPASRPKSPTQPHATTEFNHEEIRRVLAEIHEEHDPIPKMERTERPVEHGGGGDLVYDGDTREEYGCATNDDASHEDATDVDAHDEDPTDDGSTDEDDDGYTDEGEDGSTNEYPSDADWNEDSRRLLPYCGRCYPFPDPEQPHCAAVAKHSLDVKMSAFTGSFDDEVVLWTFKAGVGVLVAPDHGMYDFAQFVVKVPAISVDMERQKSQG >Dexi1A01G0023430.1:cds pep primary_assembly:Fonio_CM05836:1A:30095434:30099253:1 gene:Dexi1A01G0023430 transcript:Dexi1A01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAAGQRWLAVFAFQAILSAAASALYLAASPRGRPHPLLGVPAGLLLALHPLLALAATGLVALALLLTASPHPRPPPLPRRAQATALLAAAGALFVGAAAAMLPEHAEWAAVAGLGFRGAVLGAVFAAHYFGRRRWLLQFPVVQVVHTRRCSFAPPQSAAAAETNPTEFILETLEQSDPRSLIQYLAYQDLCVVSEGNLEPWRRAAFFEESGETYKRIVTACLKPLEGFTSKIAKALEDYDPEMMTQQSVLLSAFDDSQICTWCARTLAGLTARSRQEDRYGVAQLTGCNAAVMTTLLSALVAIEACLGKKTNPLPAHLNSEDIRWVHFSTVRHGTGTAIASKQVGLHTKAYVMADVLRTSVYQIVSAFIDDLKANAKPASLEKNWISEGRKPIYGSQAVLVQKLSLFIGYRAV >Dexi6B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:6B:1671885:1676280:-1 gene:Dexi6B01G0001990 transcript:Dexi6B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKDAGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQYEQQMLEQKRATAAAASAAGAGRGGGGGGGGTKHVRRTHSGRTIKVKKDGAGGKGTWGKLIDTDADACLDRNDPNYDSGEEPYELVEAPVSTPLEVYKRSVVPIIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGNVISSAQIRLGFVLLLEAVDDLAVDIPDVVDVLALFIARAVVDDILPPAFLSKAKLNLSESSKGLQVVQIAEKSYLSAPHHAELIERRWGGSTHITVEEVKKRIADLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPAAEALIVKLLKEASEECLISSSQMMKGFARVAESLDDLILDIPSAKSEFQLLVSKAISEGWLDSSYVHSGANGSVEDDEHEKLARYKREAVSIIHEYFLSDDTTEVIRSLKEVGYPEYNPIFIKKLITIALDRKNREKEMASVLLSSLSMELFSTEDIAKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPDCSGAETLNMARSLASARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACNCIRELDMPFFNHEVVKKALVMAMEKKNERTLSLLQECFGEGIITINQMTKGFSRVRDGLEDLALDIPDAKEKFLSYVEHAKKGGWLLPSFAAA >Dexi5B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:5B:8383184:8383516:-1 gene:Dexi5B01G0011810 transcript:Dexi5B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVWVFKNGVVRLVENGDAASGGEAVRRRKALVHTPSGQVVRSYAQLESELRALGWERYYEDPTLYQFHKRGSLDLISLPADFARFSAVHMYDIAIKNRDAFRVLDI >Dexi3A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:3A:8267089:8268185:-1 gene:Dexi3A01G0011530 transcript:Dexi3A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLERWNYVAPLAEGSPEEQKRFDACQIPRGRMMHYCNEPHVPVVFSSRAAKELRRVVMLSWPCCRNRTAVEGNVSHRGRDMDDISLQNPFNLTTKMAEVDITSDVGSSGFEEYADE >Dexi7B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:7B:2897214:2908526:-1 gene:Dexi7B01G0001710 transcript:Dexi7B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRRIRLGLHVPSRRRPPARTTVHLHRCRLDLHTPSHRHPPPDAAAWTSTRHHAAVHLHAPPPGPSRAAAWTSTTTSMSSSKPSVGMEEGRRRRRHAGRRRRRRGSWGVQRGGGGAYDVKAEGRAACLASEIERQGERKASAVLRSIAEDPPPFLKKKFDKILHIDCSRWKSRRALQREIAHKLKLPHSIMAAFDGEDEKDDFSGVEESSRAENIHVEIRQGTINNTNVVAAQAIRAVRFVMNRVQSLHVHNNSSITSIIPDEISRPVSGEDINYHALKWCHVESCPKLDTVFYTNYGRGYYCFDNLENFWAADLLMARSIWSRGRPYRWNDPHCFAKLHAIHLYCCPRLQFVLPLSWFNTLFSLETLHIVCCGDLKQVFPVEERFLSAIATKHPNGMLKFPKLKHLYLHDLFCLQQICEAKIFAPVLETVRLRGCWALRRLPATASHRQDGRLVAVDCERDWWEKLEWDGLRVGHHPSLFAPRHSAYYKKRQLRTTVLR >Dexi9A01G0022310.1:cds pep primary_assembly:Fonio_CM05836:9A:17507053:17509164:-1 gene:Dexi9A01G0022310 transcript:Dexi9A01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASFPFLVLLVFHFHGHLSHAAAPVSTGISGDGHFIYNGFAGAELDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPSPLRFHHDTLSPATAKNATTARSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNLSSASPSQFLGLFNIDNNGNASNHVFAVELDTILNPEFHDIDSNHVGIDVNGLVSLAAEPAGYYAGDEDDDVLTNLSLNSGDAMQVWVDYDGRATVLNVTLAPVETPKPKKPLISVSVDLSPVVSDTEAFVGLSSSTGPFRTRHYVLGWSFAMDAAAPPLDYAKVPKMPRMVTKKRRFNKALDVSMPILALAAVAGVSFLVWRRRFRYGEVREDWEVEFGPHRFAYRDLFHATGGFDDGEHLLGVGGFGRVYKGVLPESKTEVAVKVVSHDARGQEEGRMKQFVAEVVSIGRLRHRNVVQLLGYCRRKRELLLVYDYMPNGSLDTWLYDEDPPHGHGTAASLSWEQRVRAIRGVASGLVYLHEEWEKVVVHRDVKASNVLLDAEMNARLGDFGLARLYERGGGAGPQTTHVVGTMGYLAPELAHTRRVTPATDVFAFGAFVLEVACGRRPIDRGGRFVLVDWVLELWHMGGVLTEAVDARLCGEFPDEEAELVLKLGLLCSHPVAGERPSMRQVVQYLDGDAPLPEPPRSYRSFTSLAMMQNQGFDSYAASYPSSSSASVTSVGAVSSVHSGGR >Dexi3A01G0030130.1:cds pep primary_assembly:Fonio_CM05836:3A:33485714:33489787:-1 gene:Dexi3A01G0030130 transcript:Dexi3A01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSNRHGVGIVDNATLFDDQSLAVAFENLNLRLTDGAADSDSVASRTRVVQKVIETISTSDEVSMVVSALSLGAITLMMDPNGSHVAHRCLQKLSPEYKAFLLNAATEYCVELAKDRQGCCIIQKCIIYGSTEQKNRLLYNITSRALDLAEHQYGNYVIQYILELKVTWATDEILDKLEGHYGYLSLQKCSSNVVERCLKEAREPKRTMIIHELISDPKLPHILIDQFGNYVIQTAFRECEGTTVEAELINAIKPHVGILRNNMYGKRILSKTCLKNRKF >Dexi1A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:1A:26801895:26802861:-1 gene:Dexi1A01G0019820 transcript:Dexi1A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMESLKKAMADAKKPRPIQDLLKEQMAKLREQVSGGGGGNGNRRGGSGGSGSGGPEDESFKETLDEIVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQKEDSEEDRYEKQTASKPTWWQQPQKFVHLMQELCRGNWRPHAQES >Dexi8B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:8B:15926702:15927443:1 gene:Dexi8B01G0009180 transcript:Dexi8B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAVLFTLVILALASTAAAGNATTSTNATAANATASPTKNSTAPLPPFGTNHAVGDGTGWFFDWKANASAANYSTWAANRTFYLGDYLNEHTSIYMLADIVSFLVMRSSLSGFKTDTGNTVVHTTNATVYKLCSAGVTASGSSSGSKAEEAFLDVMLTAEGANYFFSDAWDGVHCRNGMRFEVAVAHGRGLPSVPASYYEPLSAAPAGGMQGARAVDMWVALVGALATVLML >Dexi2A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:2A:12231690:12235864:-1 gene:Dexi2A01G0010880 transcript:Dexi2A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPFRAILAALRGRATPTVEAQPRPPPAVDEAGGGRGGVHEGFDGITIDDRGDGGIPCREGGVFITWEDVWVTAVDGRGQSATILHGISGSARPGEVLAIMGPSGCGKTTLLDALAGRLDKNLRSKGDILINGRRQKLAFGTSINSVISNDQRTQAYVTQENVLMATLTVRETIYYSAQIQLPDTMPVAEKLSWADDTIQEMGLTSAMDTRVGGRETKGISGGQRKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRIAGLAAREGMTVVAVVHQPCTESRSSMLMFTGGLLTLMAIGGFPSFVEEMK >DexiUA01G0023690.1:cds pep primary_assembly:Fonio_CM05836:UA:48444204:48444779:1 gene:DexiUA01G0023690 transcript:DexiUA01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSMMSPFATAPLFAMTNCPGTVMSTQARSSNGSRLVIRCDNEPTDEGRLGSESSCLTGTMEGDELPLASSPTACKNWAHPAPSPTPWLPVTPTANPPHENSVTCINIKGSPGPQRMPW >Dexi5B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:5B:4363094:4364072:1 gene:Dexi5B01G0006500 transcript:Dexi5B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDATPTPAPDGVEDFFGVVRLLSDGSVLRGDESILMPVGPFPDIPGVQWKDAVYDAARGLRVRLYRPSSPVASAGGGGEGNTKLPVLVYFHGGGYCIGAYDQPMFHSICQRFASELPAVVLSVSYRLAPEHRLPAAIDDAATFFSWLRAQAVGAEPWLTETADFSRTFVSGVSAGANLAHHAVVQIAAGEIALAPAVRVAGYVFFSAFFGSVERTATETGSPACASTTAAIDQLWRMVLPVGATRDHPLANPFGPYSSGMEALPLPPALVVVPGLDTLRGHMYRYAARLEEMGKAVELADGARRTRSWSGS >Dexi5B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:5B:6931554:6931909:1 gene:Dexi5B01G0009950 transcript:Dexi5B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCSLDVLEQVWIISWDGGDDDAAVAAEDPGRKEAAMAAAQSMAARRGLVFACGAVFSLVAIAVVEGGDGRNVHFSIDDGEELIEEVE >Dexi2A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:2A:26057054:26057725:1 gene:Dexi2A01G0015210 transcript:Dexi2A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGKSSMDLVLVPCALALMVSYHLLLLYRILRRPNTTVIGYENHSKLAWVQRMAQTTEPAEAALALSVISDGISASTTLASLCIALASLIGAWVSSRESATVSSDAPASTCSARCSGAAASGPRAPGALPRHSAVRVGRVGTGGYARLLRAHRRPALPSRQQLRAATPPPVHSENGKQGSVPDDGETGRRRHSQVDELRSLSSSQSSSFFCSRKCVKSNEIA >Dexi7B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:7B:17158820:17160025:-1 gene:Dexi7B01G0009590 transcript:Dexi7B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQVSGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDEPDTEPVDSVRGDIDFRHVDFAYPTRPDVMVFKDFSLRIRAGHSQALVGASGSGKSTVIALIERFYDPVAGKVMVDGKDIRRLNLRSLRRKIGLVQQEPVLFATSIFENIAYGKDGGAVTEEEVVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDNIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG >Dexi8B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:8B:10932834:10934226:-1 gene:Dexi8B01G0008280 transcript:Dexi8B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKLPPDVSPRIGSAHEDGRATIMGIGKAVPPHEFQQKSFSDYYFEITNSNHMVDLKAKFANICEKTMIEKRRLYMSSEMLRANPSIMAYNSPSLTRRQELADIGVPELGAKAARKAISDWGKQASDITHLVASTSSSGCMPGADCELARLLGLKPCTKRFMLYQTGCHGGCTALSLSKDLAENNPGARVLVVCSEVCTLSLRGPSESNIGDLVGQAILGDAAGAVVVGSNPTVDEHAMFELVLTCQETIPGTEDALVSKLREEGILYTLHRDIPLHVSSSMERLVKLLLKETMAPAPDLNEEVFWVVHTGGRGILDRIESKLELRDGKLAASRAVMRQYGNTRCSSVILVMEEMRRRSEKDGLRTAGEGLDWGVLVGYGPGITIEAMLLRALPDKTATKLNTQ >Dexi6B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:6B:26410126:26412266:-1 gene:Dexi6B01G0019790 transcript:Dexi6B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGGVGGDDEAAALTTMREALLPAADKVVAEDLEEIRSVRSFLRHAAEENRRLWSLAGPAIFTSVAQYSLGAITQVFAGHLTTLELDAFSTENNVIAGLALGITLGMGSALETLCGQAYGAKQLHMLGVYLQRSWIILNAMSVLMLPLYLFATPILRFFHQDAEIATLAGKLALYMIPQLFAYACNFPIQKFLQAQSKVMAMAVVSAAAVVLHVALSWLLVGPMGMGLVGLAIALNVTWWFTVLGQFAYILMGYCPGAWNGFDWLAFSDLVGFARLSLGSAIMICLELWFYMFLIVIVGNLGNAQVAVAAVSICMNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAAKFAIAVVLMSSVAIGLAFFVLVLALRDVYGAPFTESPEVVRAVASLGLVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYLVGIPVGYLIAFPLHGGVKGMWGGMLTGVGMQTVILVAITLRTNWDKEASEASSRILKWGGSAALKPDH >Dexi4B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:4B:16394961:16399276:-1 gene:Dexi4B01G0015100 transcript:Dexi4B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPDQNPPPAALHAPPPHRTAPHRPPRPLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEAGEDSASGPGKGEKINIKDDVSAVKKGGCCG >Dexi1B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:1B:11747870:11749763:-1 gene:Dexi1B01G0011550 transcript:Dexi1B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPTTAGRGAVACAAAPVPRRSLLLSTAAAGAALHSEQLAGTTTPLRLTWNSTGAAAAASAKIRASADAATFTSTDEAVAWAKKDNRRLLHAVYRVGDLDKTIKFYTECLGMKVLRKRDIPEEKYTNAFLGYGPEESHFVVELTYNYGVDKYDVGEGFGHFGIGVDDVAKTVELVRAKGGKVTREPGPVKGGNTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKAYGMELLRKRDNPEYKYTVAMMGYGPEEKNAVLELTYNYGVTEYEKGNAYAQIAIGTDDVYKTAEVVKLFGGKVVREPGPLPGINTKITAILDPDGWKSVFVDNIDFAKELE >Dexi3A01G0023220.1:cds pep primary_assembly:Fonio_CM05836:3A:18818820:18820136:-1 gene:Dexi3A01G0023220 transcript:Dexi3A01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRASLALLLCALMVALAGGASAGSPLSSTFYDASCPSAHDVVRRVIQNARVTDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPSIQTEKTVPANNNSARGFPVVDTIKSALEAACPGIVSCADILALAAEISVEISGGPSWRVLLGRRDGTTTNVQSAKNLPSPFDSLGKLQQKFRNVNLDNTDLVALQGAHTFGKVQCQFTRENCTAGQPAGSLENLDRVTPNVFDNKYYGTLLHGQAQLPSDQVMLSDPAAPATTAPIVNRFASNQKDFFGNFVTSMIKMGNISPLTGRDGEIRKNCRRVNSKGY >Dexi9A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:9A:10598283:10603010:-1 gene:Dexi9A01G0015720 transcript:Dexi9A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSEIPEAKEKLKRSGSLGSNGAYVRADKIDLTSLDIQLEKQLTKTWGKANLKSQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPANNSNGGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLTPQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSALANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSPGCLCFTKARGP >Dexi6B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:6B:25464511:25466979:-1 gene:Dexi6B01G0018530 transcript:Dexi6B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRGSGDAPIKEPPGSKPSAAATTRLSLPAALLARRRRQNGRRLSRLAPKRGGKAPVPAKKKTVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Dexi3B01G0024890.1:cds pep primary_assembly:Fonio_CM05836:3B:19582782:19588210:-1 gene:Dexi3B01G0024890 transcript:Dexi3B01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDPGVGGAADAGEPIWDWGNLLDLVVQDDDPLLLPWDDAAVIGAADPTEAAAPPPLPAPLPQQQVEPEPELESEAGPVLPPPPLRVQGIGRRVRKRDPRLVCPNYLAGRVPCACPEVDEMVVAAEVEDVATEFLAGARKKTKTAARRGKAAAAGGGGVAGGTMRAAAMEMKCQVPGCEADIRELKGYHRRHRVCLRCAHVSAVMLDGVQKRYCQQCGKFHVLLDFDEDKRSCRRKLERHNKRRRRKPDSKGILDKEIDEQLDLSADVSGDGELREENMEGTTSEVLETVLSNKVLDRGTPAGSEDVLSSPTCTQSGLQNEQSKSVVTFAASVEACIDAKEENAKLTTSSPMHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFVAMPQHMWDKVWHASYYTA >Dexi3B01G0034340.1:cds pep primary_assembly:Fonio_CM05836:3B:37117288:37122621:-1 gene:Dexi3B01G0034340 transcript:Dexi3B01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAVMIPVVFFLAFLVASPELALVHGQPDSPGNKLLHSTAIDCGIADGKTYSDPSTSGLVYVSDAGFADVGLNAGVRPPYDAPDMADRYSTVRYFPSGDGVRSCYTLRQVTPGGKYLVRVAFQYGNYDGLNRTPAFDLYLGVNRWATVNISGAGDKYILEAVAVSPADFLQVCLVNTGLGTPFISGLDLRPLRATMYPEATVNQSLLLLSLSRPSITFGLNRYQFWPAGYELFRYPFDPYDRIWQRYGNIATWTNITTSNDVDVSNITSFDKPSAILRSAVTPVNGTRLNFSWTSDPALDNDNSTYLMFLYFAELERVPSNALRKFDILVDNSTGNGIQGFIPKYLSAEIVKIVAQGSGQHTVLLVATPEATLPPILNALEIYSVKPMTELGTNVADANAMMLIRTTYALKKNWMGDPCAPKEFAWDGLNCSFPSSGPAWITALNLSSSGLTGAVDSSFGDLKSLQYLDLSNNSLSGEVPDFLAQMPSLTFLDLSSNKLSGPVPEILLERQRNGSLVLRSNIFKNKKFTYKELKLVTANFQEEIGRGGFGAVFLGYLENGSPVAVKMRSKTSSQGDKEFLAEAQHLTRVHHKNLVSLIGYCKDKKHMALVYEYMHGGNLEDRLRGEAAAATPLTWHQRLKIALDSAQGLEYLHRSCQPPLIHRDVKTKNILLSADLEAKIADFGLMKAFADEFKTHVTTMPAGTLGYLDPEYYNTSQLSEKSDVYSFGVVLLELITGQPPAVPISNTESIHIALWVRQKLSEGDIASVADPRMQGEYDVNSVWKVAELALKCKEQPSRERPTMTNAVAELKESLELQVSYAMGYYSSAPSSTLNLSATLICTAMPN >Dexi9B01G0039660.1:cds pep primary_assembly:Fonio_CM05836:9B:40339438:40342544:1 gene:Dexi9B01G0039660 transcript:Dexi9B01G0039660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVEKKEAEQEEQQLAQARKEDAPAAAAVAEEDEADSEETERRNRDLKAGLHPLRRKLVLWYTRRTPGARSQAYEDNIKKIIDFGTVESFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >Dexi2B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:2B:27963397:27963672:-1 gene:Dexi2B01G0017560 transcript:Dexi2B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRCNHKMKRREGSRHTRCLLFTGDGSTQIQRWRRESNRMAGRVQTPPPGAKQQEVSESDKRKENGKRASPRWAVKKKRVRLLNFEVAFG >Dexi3A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:3A:12241030:12241437:-1 gene:Dexi3A01G0016320 transcript:Dexi3A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPALGGIGAGGLAFRPGVGGGAFDALGLLQQQGHHHAMQQQQQLVVSGASVSGLQQQVNAGMSSSQGLPEHHGLASVVGTAGGATTATATVATSAPLWMQHFMAQDYAGLLQDMFPSFVHHNNDDGDNHHHH >DexiUA01G0007150.1:cds pep primary_assembly:Fonio_CM05836:UA:13668572:13670039:-1 gene:DexiUA01G0007150 transcript:DexiUA01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDQEIQLQIRGVPQLESCDDVNTRPADDGSKDPIRQPTRGHGVLWWFLVAIDMAMLLSGATVATLLSRLYYNSGGNSKWMTTLTQSGGSPLLAIPLLLTPPGEEQPRQPQPAASKLATIYIGIGVLIGVDNLMYAYALQYLPVSTFSLVAATQLGFNAVTSRLINAQRFTPLIANSVVVLTFSAALLGVSSASDGTSSSGDHQPRGKYAAGFVLTLAASAIFALILSLYELTFEKVVKAQTPRWVLRVQMYSSLVASAVAVAGMMTSGEWRMIAGEAAGFRGGKVRYAATLAGTAVAWQAANLGQVRLVARVSSLFANATGTVQLPLVPVFAVVLFGDRMSGVKVVAMLMAVWGFLSYVYQHYLDDRRVVAEGSKGGEECGVCTARMAAGDVLSPDTH >Dexi9A01G0028750.1:cds pep primary_assembly:Fonio_CM05836:9A:33496624:33497017:-1 gene:Dexi9A01G0028750 transcript:Dexi9A01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQCYNNAYFARVGGISLVEMNYLEVDFLFGIAFDLNVTPSAFASYCGVLQSEMAYLDPPTPMDAPRLHCYSTGASDHHDDHTATGAGCHRHNQQLTV >Dexi2B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:2B:22203144:22204427:1 gene:Dexi2B01G0013520 transcript:Dexi2B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWKALDFEKLTGAVMLSMTRCPPMPLDALQRLSSTIKHLVISELNRVNGKDLTQVLACLPKLSYLKIDACEKITGLGVVGEQKEAASSSGEEEEIAPSDELLLLPPQLHDLEIRSCPKLSLRPNSPQGGTGGGLQALSSLRSFTIWYCPKFLSSYSPSSSPSSCFPFPTSLQSLTLYGVETLAPLSNLASLAQLWVESCGGSGGSGLGRLLAHGCLHELSVYSTPNFFSIECSKEDTPEMLEHGSPFPPSCKLQSLETDDIAGVLAAPVCTLLSSSLTSLAFVSNMEMERFTKEQGATLQPLTSLQQLKLSNCSASHPPGLQTLTNLKTLHIRWCRSIRSLPKDNLPNSLRKLTISGCSSIRSLPKDGLPDSLLELEISFCPSIRALPKGGLPSSLQKLDVSYGNSEELTRQCRKLIGTIPIVEV >Dexi5B01G0033450.1:cds pep primary_assembly:Fonio_CM05836:5B:33846095:33846860:1 gene:Dexi5B01G0033450 transcript:Dexi5B01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRLSSSSCSSTALQRYACTGKPAFRGAGCWKKREKLPGFRARAAVKPPCAAPGKGGIVPADDDDGVNLGTVKLPGNIDIARFESLLFQWGNSLCQGANLPLPVPLKVDKVEGGIRLGFIAVDDGATQTLVYIDCLVSQAPDGTGLVFRAIRNGPMKDKEPPGEPRIMRSLLQALQKSIQIAQV >Dexi2A01G0036080.1:cds pep primary_assembly:Fonio_CM05836:2A:45682325:45683511:1 gene:Dexi2A01G0036080 transcript:Dexi2A01G0036080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVIGSDEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAPEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKKVGGAGESGEKLSKVQLNGKA >Dexi1B01G0025180.1:cds pep primary_assembly:Fonio_CM05836:1B:30320466:30323159:1 gene:Dexi1B01G0025180 transcript:Dexi1B01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPAGLPPLPPKLGTLRSTLDQRAAREPLLSFDWGVPGAGPGGGGEYAAWGVDDGAATGVGARLRMAAEAVGAAGAEMWEFARKDPRKPVFAAKVAVALALITLLVFLREPSDIVSHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLIAGGLALAVAELAAQTGKYDMVILIISTFIVAFCATLTKLHPKMKPYEYGLRVFLLTFCYVTVSGYNTGKLTATAISRFVLIALGAAVSLAINIGIHPIWAGEDLHNLVAKNFYGVAKSLEGCVDGYLSCMEYERVPSKILTYQESDDPLYSGYREAVEASAQEEALLGFAIWEPPHGPYKMMKYPWKNYNKVGGALRHCSFAVMALHGCILSEIQAPPESRRVFHAELHSVGQEGAKVLRELGQRVKTMTKLSSPYILSEVHFAAEELQKKIDEKSYLLVNTERWEVIPRHQGTSQNQDNSNAAAKESKDESPEHAAVDIGSVHKSNSFASNPFLSRVDSSNRFISRVDSSNKFLSRYDSGSMVGGSLKPQSSWPARQSFHSSLPFEGGESRTYESASALSLATFASLLIEFVARLQNLVDAFEELSDKANFKDPVEEPAAVSSERCGFIVRIRNFLRL >Dexi2B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:2B:10661886:10662928:1 gene:Dexi2B01G0009950 transcript:Dexi2B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATRKRPAPGGTDGATAGSKRARITLGSIDDYETMEVLGEGSFGVVVKARHRVTGEAVAIKRASKASSGHLRAVLREAGCLAACRGHPSVVALRDVVEDASTGDVFLVMEFVAGATLRRLLLLQQQQQRSPSYSSPARFTEAEVRSVMRQLLRGAERMHSAGIIHRDIKPDNILVSVSGAGGVAVKICDLGLAVPARAEYPERRVGTLRYRSPEQLAGRRDYGAGVDIWALGCVMAELLAAGELLFGGADTEDAVLAMAMELGRALDDKGMKAFDEWPAFQGLPEAELSPEAREVLAGLLAVEPRDRLTATAALKHRWFAEDEDECPAAATCRSMSSSE >Dexi4A01G0022520.1:cds pep primary_assembly:Fonio_CM05836:4A:25747895:25754037:1 gene:Dexi4A01G0022520 transcript:Dexi4A01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRRVTVDQKSHRAEHRLAAATLLSLTPRAPRATVSYCLSFGRLTLARMKRIKLACLLLVFVPHVVLGTHDVYIVTMEGDPVVSYQGDIEGFPATAVDLDEEIDVTSEAVTSYSLHLRRHHDNLLDSLFVEGTYEKLYSYHHLMNGFAVHMSSLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPSGGGFDRAGEDVVIGFVDSGIYPQHPSFSSHKTDPYGPVPHYKGKCEMDPVTQRSFCNGKIVGAQHFAKAAIAAGAFNPDIEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVADVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGKLLSGLGLSPATHGNKSFTLISAADALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTAKSLGAAGFIVAVENSYPGTKFDPVPVSVPGILITDVSKTTDLIDYYNSSTARDWAGRATVFKATAGIADGLAPTVYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWTPNGTDESNYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTANTLDKGSHPLRAQQYTASEMMTLSRATPFDCGSGAVNPKAALDPGLVLDATHEDYITFLCSIPDVNHSEVSNIAGSSCNSSSKGQRPFDLNIPSITISQLRGTETVKRTVTSVSEETETYSIMTRMPPEIALDVTPAALTVLPGASREITATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >Dexi3B01G0031830.1:cds pep primary_assembly:Fonio_CM05836:3B:33861855:33863962:1 gene:Dexi3B01G0031830 transcript:Dexi3B01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAMDRAVACVSSASLLTCDDELQEVINKAASLKMFVIEHKGGAIAVMLASLLLLGTWPALLTLLERRGRLPQHTYLDYSLTNFLAAVVIALTLGQLGGTDPSFVTQLSQDNWPSVLFAMAGGVALSVGNLSTQYAWAYVGLSVTEVISCSMVVVIGTTVNYFLDSRINRAEILFPGVACFLVAVILGSAVHASNAADNKEKLRYQLVLSEIVLNFCILFTGLIVISHDVITGHVKVRNRARKKRLITKECCLKNYCCCCYLMSCEFSLLVASLVSDTPKDLENGDPDTKDVTKAEAGTAEYLIKLEQQRSIKVFRSSTFFGLGIVFFSGVCLSLFSPLFNLATNDQWRALPAGVPHLVVYTAFFYFSISCFVFNIGLNMVLLYRPMAGVPKSSFRAYLHDWNGRQWALLAGLLGGLGNGLQFMGGQAAGYAAADAVQACLALPLVSTFWGVVLFGEYRKSSRRTYILLGFMLFMFVAAVAVLMASSGHRSSE >Dexi7B01G0022900.1:cds pep primary_assembly:Fonio_CM05836:7B:27677197:27677921:-1 gene:Dexi7B01G0022900 transcript:Dexi7B01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARSSSVALMMLLITCCAVAAAAGDDHELTHLHFYFHEVNAGEPNASVVNVASLHKNGSTFGDVNVFDSALREGPSPASRLIGRAQGIGVHASLDESGGLTATDIVFSDYGEYSGSTLFERTE >Dexi5A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:5A:4136554:4137415:1 gene:Dexi5A01G0005570 transcript:Dexi5A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPGAPAWSVAVRLRHRCGLDFRAAAENVLPGWGRGGEQLSLLFRFRRRLILTVTSQCGGGARPAAATQPETPPPRGCKILRFLRSRWARLPRIASVWRRKKHHPPARIAAAAASPRGRRAQQSRTPTQPGFLAMAGTPTSAAAALRFAVAAVVVVVVSIVVFRIAHPPPYCKWLLGVPKRALPWIFTK >Dexi9B01G0029390.1:cds pep primary_assembly:Fonio_CM05836:9B:31955289:31957797:-1 gene:Dexi9B01G0029390 transcript:Dexi9B01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSSATHLQQDPKEPTRPARSPPRAPPSSLSRNGKPPPNPPTRFDPLPRFPVSPNPIAPQQQQPPPPPPTPRPPQLPPPPPEDQQAAGAAEMKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEDEWSFGFCETGSGVFSCPVGKNPMYTYRERIVLGETECSIATVNRILRELSREWPGHSYDLLSRNCNHFCDVLCDRLGVPKLPGWINRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASRNQASPESP >Dexi7A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:7A:19402646:19403074:-1 gene:Dexi7A01G0008250 transcript:Dexi7A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPEEEAQFGLDNAESAAELLGLELLLLELSMEEAYAVQEVAPCGICSLLSAARRAAVWAREGEASDGGGTSLLAPLPPARGARLPGATGGWGSRHLAECGWGQRRAAEMGRSAGGGAADA >Dexi7A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:7A:28945134:28947846:1 gene:Dexi7A01G0019990 transcript:Dexi7A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKTKFLNLPLLLFFPILLLFHRASGARSISPDTLNTGGNITHGETLVSANGTFTLGFFSPAGITTKKYLGIWFTAAGADAVHWVANGDTPLNGNTSGVLVLSSGGSLLLLDGSAGQIAWSSLNTTTSAAAASTVAQLLDSGNLVVREQSTSGGRVLWQSFDHPTNTMLAGMKFGKNLKTGEEWSLTSWRAANDPATGSYRRVMDTTTNLPDVVTWQGHVKRYRAGPWNGRLFSGEPDMDSQYKLFSVDMVDDADEVAYVLNAVPGVPFFTHFVLDEDGKVQVFLWETTTRSWNSFSWSPRDDCDDYAMCGAFGLCGVGAGAASTAECTCVDGFSPASPAKWVLEGVKLPDTDNATVDMSATLEQCRARCLANCSCVAYAPADISGDGGGSGCVMWRDNILDVRYVENGQDLYVRLAKSETGTRKNVAKIVLPVLVSVLVLTAAGMYLVWICKLRGKRRNRDILRKAILGYSTAPNELGDENIELPFVSFGEIAAATNNFSEDNMLGQGGFGKVYKGTLGQDIEVAIKRLSQGSGQGASRKYLLDWPTRFKIIKGISRGLLYLHQDSRLTIIHRDLKPSNILLDADMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPGYAMDGAFSVKSDTYSFGVIVLEIISGLKITLTHYKGFPNVLAYLSAK >Dexi6A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:6A:6854937:6855291:-1 gene:Dexi6A01G0007020 transcript:Dexi6A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASHSPSMPSPCRRRHTEASRPSESRDWAELPTDAVATVLGKLVVDDVCVETGAVPPSRSPCWRARFGPGLAAARLAVWKSAGRWEVYETERVADDRILLYLAGRYKNS >Dexi7B01G0024240.1:cds pep primary_assembly:Fonio_CM05836:7B:28614028:28618802:1 gene:Dexi7B01G0024240 transcript:Dexi7B01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAIRLVSGQATLHAWIEMGACVSRVTCCCRSPRNGITNETTDAVTEEQGEAYELPAFQEFTFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWSDPRQFLIDSDYCVHLTGESQAMKWPMRLRVVLYLAEALEYCTSRGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTMLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRLLVQSLAPLQKDVEVNTCAAMLESTLVSDVDESNARYVELKEEG >Dexi3A01G0022050.1:cds pep primary_assembly:Fonio_CM05836:3A:17604791:17606345:-1 gene:Dexi3A01G0022050 transcript:Dexi3A01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGQTGGWLLDYGLVEEEIHQGSDFIYMVDDPAVSRSRPESSAAPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDAARLLTQLRAEAQKLKQSNESLQESIKSLKAEKSELRDEKTRLKAERERLEQMLKGVSAAAAPVPFVPHPHAAVPSFHPAAFAQAGKFVPYPSYPRPAAFWQWIPPMSLDTSKDPAHWPPVA >Dexi9A01G0027750.1:cds pep primary_assembly:Fonio_CM05836:9A:32409651:32412858:1 gene:Dexi9A01G0027750 transcript:Dexi9A01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVEAQRQARPDLADWYADLADLYQRKLWHQLTLKLDQFLQLQAAQVRLLLLLLLLLLLSPRVRKVSESSVSFMTLMPPFFPQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLRETRESRINEPILYVKMQIAAINLEKGNQKECKNLLEEGKTTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALTAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >Dexi7A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:7A:22698198:22699737:-1 gene:Dexi7A01G0012540 transcript:Dexi7A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMICAALQSAICGCINEAGVPAATAKHFSSFTCIKRNLRHLRKAREDVQAIRKVVQGQVDLETNHLNECDPRVNLWLRRVASVRVDSIDQEYDRLMQSSWLCRSVLGLGKRYRLGKNIARMLEDIAGLIEEGNQFKTFASKRLPDFVEERPRTQTFGIRPVLKDLWRSFESTDVSIIGVWGPGGVGKTTLLNNFNNELKDRDKDYQVVIMIEVSNSGTLNKIAIQRTITALGCHGMTERQRKLVQAS >Dexi3B01G0028220.1:cds pep primary_assembly:Fonio_CM05836:3B:24060795:24062279:-1 gene:Dexi3B01G0028220 transcript:Dexi3B01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVKEKEEEKEKQDGTDIEVEEEDEDGNKRNVALVPQVPLKEQLEFDKPDLVLPMPFQANGKGFAFALKDGSPYSFRFSFIVSNNTVENQKMMLGTFSPQLEPYIYKGEEETTSTGIFARGSYSAKLKFVDDDGMCYMEMTYYIEIRKE >Dexi1A01G0024040.1:cds pep primary_assembly:Fonio_CM05836:1A:30684905:30686831:1 gene:Dexi1A01G0024040 transcript:Dexi1A01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAGFLLAAAAWVCLSAAVAPAFDGVPTAAFDERFSPLFGDGNLVRSSDDRSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKDYTTGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSHGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPEMGGDFPAKPMAVYATIWDGSAWATDGGKYKVNYKYAPFVSEFSDLAVVGPRAGPVLRVPRRSGDEDLLGLMTADYAVMTPQKRAAMRAFRARQMTYTVCYDAVRYASGPFPECDNSEEERENFSAWGESKTVVMRPRSRGRRRGRKAGAGARGRADVASS >Dexi1B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:1B:26657822:26661995:-1 gene:Dexi1B01G0020560 transcript:Dexi1B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQVHNPRTRRGHMTKKVVPSAPLPPAGPRASGAGGPPAMVTVRCDPFQVRVIRLFRPAPNPSSASHTRALLLDRNRCPYHTQLSVPPVVPAVPRMRSAHDLPRKLRVAENCHGAPVQSKPKQRKERRLSLAIHAPPLPFWLPTCGAFEPPTLTLWPQEFGVGSEPSVVYLCLVDRITDRRVHRSRADGPPAHDERPASSQRCCPLRRCCQSIRADRAPDQNGRIARPGPRAKAKAKRPALVSEAPCLSYTRRSSKARQRTPSTLNPLDYNKAVRSLFRAKARLTFLSLLPLFSQQQQRPNLIS >Dexi6B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:6B:18811579:18812841:-1 gene:Dexi6B01G0011610 transcript:Dexi6B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTVDLRGVVPGGAGWEAARDAVTASMVAHGFVVVAHDALGDDLRRALFARAMPELFALPLEVKQQTVSSKGEFRGYIGQRPGMDWDSLRVGEPTNAASVHGFADMLWPDGNPEFCGTIMSWAKKMLKLEEMVETMVLESLGVRGESIRAHSDQLGHGCRLSHYGAPPDTETSMSMVAHYDDSMLTTIVQHEVEGLEVQAGDGRWLAVPPKPDTAAIVAGEQFRVVTNGRVPACLHRVRTPSNRERKDGVAVRALGDLVDTEHPLMYNPLKHEEFSKWRYSAEGLKFKDPLKAFCGVKDGATAMNAML >Dexi5B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:5B:9409054:9409548:1 gene:Dexi5B01G0013240 transcript:Dexi5B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGSFSHPFRFFAAWLAPPLPIHGPRRPPPGGPAAQFGSGRQAAAVIGAAKRAGTGNAVIRCLRICGHASARLTTRGTQRAARRRRFILRLRAAVLSSLHSLTRHTQANLARDTGP >Dexi5B01G0024400.1:cds pep primary_assembly:Fonio_CM05836:5B:26449483:26454749:1 gene:Dexi5B01G0024400 transcript:Dexi5B01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSWRKAYGALKDSTTVSLANLNSDFKDLDVSIVRATNHVESPPKERHLRKIAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREEFLTFTQRVQILQLSNFKDDSSPIAWDYSSWVRTYGLFLEEKLECFRVLKYDIEAERLPKQGQGPEKPEGAANNNYLVQYALALVRFIFSYFFFNVLKVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYESCRGLELARNFQFPTLREPPQTFLSTMEEYVKEAPRMMPVTEPLELPERLLLTYKPEEAEEASEPVPIVEVKPQVVEEPAPVPSSSEIASPPSKPEIADTGDLLGLSDPNPYVSAIEENNASALAIIPTGVDNSTTNAATQQDNGFDPTGWELALVATSSSNTNPLAMESNLGGGFDKLTLDSLYDEGTYRQMHQQPQYGSAPPNPFMASDPFAMSNQVAPPPSVQMAAMGQQPQHLPLMIEANPFGPPLQPQHADMAPAANPFLDAGFGAFPAANGMHPQANPFGAAQLL >Dexi6A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:6A:23603774:23610899:1 gene:Dexi6A01G0015740 transcript:Dexi6A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELSRRKTKQPDADVQWKSIGALTAGVTGAHGAAPRAWAPGAPPESPDATTPRAALGPVAGPVAYVCVGTCGGVSATRGSSAQAVRRSPAAAKRLRRTASGSHGGRHGPAAPARATQRELGSAPARVRTGLPQCRPCAASGLVARLGRDGSVILLLASFVLLLDRERIVGGLANAGDKATHDFLSLYSAAKDSALPLLPESKPPPPASHQGFFLKTHDFLQPLERPPSQSPPPAADKPLRQHALPGGIGTFSISRAAASADHPGAAAVKQEQPPYAVWGQPDPRGHPWSLPFAARCVVVASARPHPQQQQQQQRPTERKAGGGFMDAGSRSSGGGGFDDDDGLAARREVSSSLKELSVRVEAKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSHPEWNQENAKIMPWSNIYFRSSWKSSQNKDEINGDMGSDPTQVIKDGSTPRFPFAAKPEDHNSAGAFETAPGAQELAEPKSCLPFKQAETPSNVANTVATLQSAPLKNSSPSNDCAVPNGMLNNPELAIDEGTISLSSQYSQGLLTTLNLALQNSGIDLSQANISVQINLGKRAVKRAAPGSDSTSKDVINQASRDQVIGHQLRSGDGAREHSQATKRHKSDR >Dexi3B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:3B:8481990:8485407:1 gene:Dexi3B01G0012100 transcript:Dexi3B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAPVVGGGGGGGEANGVPPNVTIYINNLNEKIKLEELKKSLNAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKRMQDFPFYDKPMRIQYAKTKSDIVAKADGTFVPRERRKRTEEKPEKKQKREQHHDATQIGMGVNAYPGVYGAPQLTQIPIAGGQRVMMPEIIVPNNILFVQNLPHDTTPMMLQMLFCQYPGFKEVRMIEAKPGIAFVEYGDEAQATAAMTSLQGFKISKENQMVINYAKK >Dexi9B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:9B:10400832:10409157:-1 gene:Dexi9B01G0015290 transcript:Dexi9B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPAGNRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQKLLGRYNFLDELSTLDPELYRNLMQLKHYDGNVEDLCLDFTVTEELGGKRIVHELKPGGRSISVTNENKLHYVHAMADFKLNRQILPFANAFYRGLSDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWELPTYKRSSTLRSKLLYAISSNAGFELS >DexiUA01G0019640.1:cds pep primary_assembly:Fonio_CM05836:UA:40853507:40857837:-1 gene:DexiUA01G0019640 transcript:DexiUA01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGAGSGESPTAAAAEAAAAGATLHIRCANGSKFTVQADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPPAAPAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRSSVIFGPGFPELENVEQHLSQNPNLMREIMNMPAMQSLMNNPDLIRNMIMSNPQLREIMDRNPELAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFAALLGNQGSNQPGQPATNAPTAGSESTTGIPAPNTNPLPNPWSTNAGSAQGATRSSATGSARTGATGGLGGLGSPDLSSLLGGLAGNPRSGDAGGLGGLGSPDLGSMLGGSPDASILNQMLQNPAMMQMMQSMLSDPQTMNQLLNFNPAARNLMESNTQLREMIQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQQQPSQDGNNSGSATGAQGNPSLDTLMSMLSELGSGGGLGVPNNSNVPPEERYATQLTQLQEMGFIDTVENIQALVATAGNVHAAVERLLGNLGQ >Dexi6A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:6A:12262979:12263421:-1 gene:Dexi6A01G0010050 transcript:Dexi6A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDINIPELNPEMSLGTRSVFKAPWVKVHLLVSLFNWQGPEKFWNSWTGVTMGQRVPSLTLEQ >Dexi6A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:6A:24042889:24045987:-1 gene:Dexi6A01G0016200 transcript:Dexi6A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAARAPLLQPQPRGEAAAAATGKEGRARRVALEWWVESKKLWHIVGPAIFQRIALYGINVVSQAFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWIVLLIFAAALTPTYIFMEDLLLLIGQSPELSRLAGEMSIWLLPQHFAMAMLLPLTRFLQSQLKNWVTAWTAGAALVVHVVVTYVLVSHLQLGIVGAVAAADMSWWLVVMGQFVYVVGGGCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRLLVLLTGYLKNAEIAVDALSIWVRVANELGAGSGKGARFAIIVSITTSVVIGLVFWCLILYFDDKIALLFTTSEVVLAAVHKLSVLLAFTILLNSVQPGWPLVRDGKRWSPT >Dexi5A01G0037870.1:cds pep primary_assembly:Fonio_CM05836:5A:39043798:39044820:1 gene:Dexi5A01G0037870 transcript:Dexi5A01G0037870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTMEEENGSNKLRHHIVLVHGLCHGAWCWYKVATALESAGHRVTVLDLPGADEVPSFEEYSRPLLRAMAAAPPGEKAVLVGHSFGGHNLALAMEAHPEKIAVAVFVSAPMPVAGHPMSAIMEQHLKGDSAPRSFLDCTFGIVERGSENPAETLLLGPEWMAQKMYQLSPPEDLTLARMMVRPAQMFLGDEAMTGEEVLTEERYGAVSRVFVVAEEDKMWTAEEQRRVAASGGPGVEMRAIAGADHMLMFSKPAELAKLIMEIAEQHS >Dexi8A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:8A:23429627:23430538:1 gene:Dexi8A01G0013440 transcript:Dexi8A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSRPPAAGGGDVKVRGGATAAFNVCGLHGGAVAGGEGEPRGHGGAGKGSGRVDTIDAALAAYADPTIEALVVVLSTSDVITARRVAPWLRFAAERVAGELVVFAPPLRAVLELPACPRAKTIALRLILFGGLRRPACSRR >Dexi6A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:6A:3439845:3442638:1 gene:Dexi6A01G0003680 transcript:Dexi6A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGIGALSELSLFLPSLIACSTRGRCKHLVSPSYSLHSQTSSERLNHFSSPRFRQRRGSRFVVRAEADFYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRAIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGGRAARNRPMQGDDETYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGSGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLDKAQTANSRR >Dexi2A01G0024610.1:cds pep primary_assembly:Fonio_CM05836:2A:36381782:36383475:-1 gene:Dexi2A01G0024610 transcript:Dexi2A01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDTDARNNTSSYSSPFAQFFRKFHAAYVDAVSNPFHVPGKKIASRSFGVRVSTIVKSFGSGTTG >Dexi3B01G0037850.1:cds pep primary_assembly:Fonio_CM05836:3B:40690192:40693274:-1 gene:Dexi3B01G0037850 transcript:Dexi3B01G0037850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDGRAHFVRGLDAFVGLSDDPDTRGHLCSCDGAAITGAGGGGRLTWKIGKEKLFSEDPGERHVGATLVYIGGGIGDDGERMCLVECVAIDDGEVDECDLVEKKPMEQADEEQGGARMPKRRRGDRDVRRRSTKHLYLIFDDWPWGYSIREIDLLSPTAVDSRRRRHRRRLPRPIIHVEAPRGSPCLFAGPNKAGGPLSRSAINREPDRKEALYAMGSSTGAATATSSTGLHRPSLPVRCLHRRTSYRQPELLGGAARDTVARDADEEARKGATEIRGGSVTWPHRRKRSARAQEKRRWRFDHAVRPFRTSLTTAKPLDGGALSLAENAHAGEGSQGGAVEEVGEEKEKPRPRLDHGAVAKGEARRRTARRRRKKMNLGLGFPQRASLLYLAKTPLDHRGGTSRARAWAELAAQAQVAAHESSRASASRSDRAEPSEASRAERSEPSREPHERAGGPSRKLGRERTKMGRGQMVCARAIWAVWAA >Dexi2A01G0033750.1:cds pep primary_assembly:Fonio_CM05836:2A:43907542:43909918:-1 gene:Dexi2A01G0033750 transcript:Dexi2A01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLIWPEEAPQEPLGATPPSNRRPHQPSEAVRKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAGGEVEEDESANALVTPIRTAPKNYQAISTISHISFAEEESISPKKPTSIAEVAKQRELSGTLLSEDDSKMKRQISDLKSKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAYVSNIKFGEADEESVVKTAKKIPTKKFSDLTGNGIFKADETPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >Dexi3A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:3A:13871114:13876009:-1 gene:Dexi3A01G0018200 transcript:Dexi3A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPALPDRATASVAPGSSGGGEHHLRGHAHLTNCIHLRHHHAHAGASGRRRSPTGSSASASAELMRDLLALQRSRSLRDPSTRRSVDSASNNNNSRVAADPDAADHPGRGALKTLLDQLAENPHPKPARRPRRRFKRGAGRRPAPAAAAGAALDRPAAAPRASVNSSSQEAVCGNKYLFGAGGADGGGDELMRQQVSQESRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSASAARRSEAATSAASCGNMNGSRSHPHFPVTARLTFSTSSDSDSLPLLVDGVRGVGGISSSFSGELGIFSKSSDLDSDLASEARSGQKSRGSHRGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILRKKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAQHPRPCDSCASCIAHNLGKSRSLLEIGPVGNIDLDSIVDILDNVMLSPMPSQHRVFIIDDCNTLPPDTWSVISKVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFSRERVLRKFFKRPS >Dexi1A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:1A:5824148:5824447:1 gene:Dexi1A01G0007540 transcript:Dexi1A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQASRRGHRVRHVRLGSLLRLRVRLFGLAGLLVRCLEELNCCPKRRSPATGRAHKMLGGAGRPGPAERENSFQAEAIADCLEFIKRSCIADDHKGAC >Dexi6A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:6A:3876352:3878184:1 gene:Dexi6A01G0004200 transcript:Dexi6A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPSVLAAARRLTTAAVAAAVRRGDLAGAEEAFASTRLKTTVTYNCLLSGYAKAPGPGRIDAARHLFGRIPQPDAVSYNTLLSCHFANGDVDGARRIFSTMPVRDVTSWNTMVSGLSKNGALEEAKAVFRAMPVRNSVSWNAMVAALACSGDMGTVEEWFRNAPEKKDVVLWTAMVSGYMDTGNVQKAIEFFEAMPVRNLVSWNAVVSGYVKNSRARDALRVFKTMVDDATVQPNSSTLSSVLLGCSNLSAVEFGRQIHQWCMKLPLGRSVAVGTPLLSMYCKCGNLDDACKLFNEMHTRDVVSWNAMISGYAQHGDGLEAIKLFEKMKDDGLVPDLITFVAVLTACVHTGLCDFGIQCFETMQEVYRIEPQADHYSCMVDLLCRAGLLERAVNMIRSIPFEPHPSAYGTLLTACRVYKNLDFAEFAAGKLIEQDPQNAGAYVQLANIYAVANRWADVSRVRRWMKDNAVVKTPGYSWIEIKGVRHEFRSNDRLHPQLDLIHEKLDRLEEQMKAMGYIPDLDFALHDVEESLKVQMLMRHSEKLAIAFGLVSTPSRMTLRIFKNLRVCGDCHNAAKFISKIEDREIILRDTIRFHHFRGGHCSCGDYW >Dexi4B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:4B:4654418:4660584:1 gene:Dexi4B01G0006630 transcript:Dexi4B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSGEIMNYVTVDAYRIGEFPYWFHQTWSTSVQLCIALAILYNAVGLAMIASLVVIILTVVCNAPLAKLQHKFQSKLMEAQDVRLKAMTESLIHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRKSYNGFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCVGSEFHIVIDNGSFSWDENPSKPTLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPETEGTIQVCGKMAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNVDIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSNGEIIRSAPYQDLLANCQQFQNLVNAHKDTIGGSDLNKASPDTTKEISIKETNDTHGNRYRETVKPSPGDQLIKEEEREIGDTGLKPYILYLSQNKGYLYASIGIISHTVFLAGQISQNSWMAANVQSPDVSTLKLISVYIAIGVCTMFFLLSRTLAVVSLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSADLSIVDLDVPFTFMFSIGTSINSYSNIGVLAVVTWQVLFISVPMIVLAMRLQRFYLASAKELMRINGTTKSAVANHLGESIAGAITIRAFEEEDRFFEKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFIGMALSYGLSLNMSFVFSIQNQCQLTNQIISVERVSQYIDIPSEAAEIIEENRPSSEWPQTGRVELRDLKVLDKCQLLEAVQEKEQRLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFRDCTVVTVAHRIPTVMDCSMVLAMSDGMFYSHQFSLIYSDPLLIN >Dexi1A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:1A:7102435:7103267:1 gene:Dexi1A01G0009030 transcript:Dexi1A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSSDPSWSDLPVDVLLCILDRLELPQALAFASVCTTWRSTAVAAGVPCCSGPWLMTWADLLEEREKQGRSSSAVTCNFYHLLDVGKAYGVTSPQGCFVACCGASHEWLVLVNELSNLVLYNPFTTAIIHLPPITDFACASIVYIVG >Dexi6B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:6B:1444370:1445073:-1 gene:Dexi6B01G0001620 transcript:Dexi6B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPTWLKTAASDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWK >Dexi3A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:3A:1237146:1249163:-1 gene:Dexi3A01G0001850 transcript:Dexi3A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGERRPLPFLLLCGVVAGAARRHGGRSGAVRRRGGRRARRGGRSGAGHGGTGSGEAAWRQERRRARRHAASRRERRGAAAAVMVDNGVVQVTLSKPQGHITAVRYNGDRNILQYAAGQDNSGGYWDVVWNYPGSDHPRGMIDMLDSSTEFKVVSSSPEQVELSFMGTYNPSHQDSVRLNVDKRGSVNPKEPQFKGEVDDKYEYSLDNKDNVVHGWISSSNPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGDDIVLNIGDGEYWKKVMGPVFIYLNSSPKRGDLRVLWDDAKSQAQAEVRKWPYSFPRSPDFAKAGERGSVTGKLMIRDRFISNNDIPAEMAYIGLAAPGQHGSWATESKGYQFWTTGGSCGNFTIGNVQEGVYNLYAWVPGVLGDYMYTSHVTVAPGCVLDLGDLVFQPPRSGQTLWEIGAPDRTAAEFFVPDADPRYANPLFLHKDKYRQYGLWERYAELYPDSDPVFTVGQSNHSKDWFYAHVTRKVGNGYVPTTRQIRFNLDRVVADGTYTLCVALAAAQMSRLQVHVNGDGASSRGGVFTTPDFGGGNAIARHGIHGVQLSFEFPIRGCLLQQGENSISITQTRAFGEFLGVMPIFLIILLVAVGASLLHLAAAAADGVTLRIDQHQVVVDNGMVHVTLSKPQGHITGIRYNGELNLLLYAGGQENSGGYWDVFWNYPGSDQPRGMIDIFVMLKGSSGFYCYAILEHARNWPALNIAEARLAFKLNPAKFNYMAISDDIQRYMPSAADRDAPHAAPLAYKEAVVIVNPVEPRFKGEVDDKYEYSLDNKDNVVHGWISSSHPDPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGSDIVLNINDGEYWKKVLGPVFIYLNSNPRRGNLRALWEDAKTQARAEVNKWPYTFPGSPDFAKASDRGSVTGRLVVRDRYMSKNDVPAATAFVGLAAPGQPGSWATECKGYQFWTRATISGEFSIGHVRAGVYNLYAWVPGFLGDFVYTSPVTVTPGGAIVLGDLVFEPPRSGPTLWEIGVPDRTAEEFFVPDVDAKYANSLFLNKDNTVERFAELYPDGKDLVFTVGQSNHSKDWFFAHVTRKVGNGFTPTTRQIRFHLDHVVADGTYTLRIALAAAHMSRLQVQVNGGTRRGSVFTTPEFGDGNAIARHGIHGVQWSFEFLIKGYLLREGENSISITQTRALGLFLGVMYDYIRMEGPAGAGSL >Dexi3A01G0024220.1:cds pep primary_assembly:Fonio_CM05836:3A:19871940:19876758:1 gene:Dexi3A01G0024220 transcript:Dexi3A01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIDIRRALERMGYGMSRLEDEYCEPEGQDSDGSSSAQASDEFSKLHNDIFHMTRMRSGLNESIYKSMGTNRGIISTAKLLSGREVDCSGKGMFSSGDRAFVLGRYVPMNGPELLDTMDSRAYVSQFSADGTLFVAGFQVYSSLAPIIHIVNVGNAARQSYANVTDIHDGLDFSQHEDVQYSFGIFSVKFSSDGRELVAGSNDE >Dexi2B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:2B:4062435:4063739:1 gene:Dexi2B01G0004440 transcript:Dexi2B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFPARNQQGPTMEGRPPPAPPSRVSMFRRLMMVRVTPSERLVTADGKDEKPPPAAAVAGETEVGSVALDKMVLSFMEDSSAVVERPTRGRCSNCFNGNHDGSSSDDEDSDFFLPSSSHPAAPPPAAGDALELLKGLVQCASTAERNLLADASRIAIAVGGGRKKKAEVRRAVADGLRALGYDAAVCTSRWEKGPSHPAGEHEYIDVLVVVSSSSSSSSSTSPETKTTPEATATRLVVEVDFRSEFEVVRPTKAYRSTLQALPPVFVGTTDRLGRVVALVADAARQSLRKRGLHFPPWRKPEYMRAKWLSPHARACSGEEVTPATSTTVTGASFSGEFELRFDAKPKAPADNEEKKAMVVVSPSLSPSPWRAEELEASKASPLPAAKGKVVTGLASVL >Dexi9B01G0039850.1:cds pep primary_assembly:Fonio_CM05836:9B:40535235:40539050:1 gene:Dexi9B01G0039850 transcript:Dexi9B01G0039850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGGADADAAAPPDPAALARWARAFCVIRFDLERGQLVEACFPPDALSAPGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSTHRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGHSALAMVASHVAAWPAPAPGRPMELPIGSAALRVHLPPAVDDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMLVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQPLGNGTNGTPGKLKLDKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYAPTTKPDTSVLNRLIDGGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNIDIEKLTSKMSELERIDSFNAVERYLLREMEVTFLNSERGSADSIAACQKLKGDLQAAFSVLPKDMQQLLLSNPKRAVLLQGSQEKALGPNGIVIHTSL >Dexi9B01G0022340.1:cds pep primary_assembly:Fonio_CM05836:9B:17026920:17027447:-1 gene:Dexi9B01G0022340 transcript:Dexi9B01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGMSKKGVPHLVVYTTFFYFSVAGFVLRFCVNVWFLYRPLAGVPKSTIRAYINDWNGRHWALLAGFLCGFANGFQFMGGQAAGFATADVVQAVPLVSTFWDIVLFSEYRRSSRRTYLLLASMLTMFVVALGLLLASAGHRKNN >Dexi3A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:3A:9008605:9009424:-1 gene:Dexi3A01G0012410 transcript:Dexi3A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEHHDLIFGEEFCFPATTTYYPPLYAPTGTNVPTQLYQHQIISRCASRAPNYAGHQAPGISCMYVVPDYGIAHSPHGPHAFRPCAIGDGRFIRTQEYRTETMEHTYHQPVPTPQYAAFPTAAIRTPATAAQSLAYTNGLFVPGGLQQTVPVASERGVAWNQSVQATISPMKFQGHTMLPKEQPRRPAPWKQQFSGGAMVPTRLPHARQ >Dexi2B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:2B:28614297:28615739:1 gene:Dexi2B01G0018310 transcript:Dexi2B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGGSSSQHRPSVEEGAPLGRQGSVYSLTFDEFQSALGGAAAGGGGGGGGMGKDFGSMNMDELLRSIWTAEETHAVASASASTSGAGMPLPPTTMLQRQGSSLTLPRTLSAKTVDEVWRNLVRDEPPPQPGVDAGGGGQQRQAHRQSTLGEMTLEEFLVRAGVVRDNPAPAAAAAPPPVLPPRPVPVAPKSSPFLGNFPSAADDAAAAAALGFAPIGMAGELALSNGLMPPRAAEGMVGGSAMAVQAAVNQLDSGRKGYSDLSSPTEPLPYAFEGMIRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNQELERKQVSFLVFSKQCNCKC >Dexi5B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:5B:21697370:21698558:1 gene:Dexi5B01G0019410 transcript:Dexi5B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSCFSSRYCGGATTSDPDITGAGEEDDIISRLPDDVLSSIVSLLPIKEAARTAALSSRWRRLWASNPLVLDDIDLILSNPRHVSAVTNTVTSAFIAHPGPFRSVSLRTYFSDADKDKYALRHWIRLLAAKRAGLDVLPPSLLRCRSFQRLRISDWRFFPGDGGDSMSGGAAVLLPRLRELVLSRSVIQEGELERVVAGNPRLRTVVLVMNCGVPERVRLRSGSLWCVVFWQSVVEELAVVSAPLLERIILQTSALPCGIGREGSRMRIKITAASALRALGYLNPNHHQLQIGDTVIKVGKQVVPDAVVPSVKVLALSVQFGVRSEASRAVFRFLECFPNIETLHVLVIE >DexiUA01G0016390.1:cds pep primary_assembly:Fonio_CM05836:UA:34845925:34850737:1 gene:DexiUA01G0016390 transcript:DexiUA01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGIEAARWVVGKALGPASSGLLEAWAASSELGPNIRALRMELLYAQGMLSNARDHRSVHFQETKNPALAELLQELRGLAYRADDVMDELDYFRIQDELDGTFHAADEHGILVNARHIARNIRKMLGLSNCSFGSASHDEQDEDASKGVFSCCGKMTAFSKCSRGSSSHDEPDEEDERRAVSCCGKMTAFSKCSRGSASHNEPDEDTSRRGLSCEAWPCLGPDDDEQEEDERRAVSCCGKICWGSSGHNQPHRGNEDASRGTLSTPSPNQNGCMGRLTSGARGTIHSVGKHLPCYSVSNAQDATNSDIPTTRRQFLCCAASSKKVPQTEHDSQAPKLKFDRVDMSRKMKEIVEQLKPLCAKVSTILNLELLSANRSNAPKGMAVDRPKTTPESGEPEFYGRKDETSSIINGIIKGEQSDIGIMVLPIVGVGGIGKTTLTRNIYKRLEDHFEIKFSEVRKYGSTKLMSLVGLGFEYLRYGTDASHEHVLCLEIIGNDHAQDMTFWKALDFDKLTGPVELSMKRCPPMPLDALQRLSTTIKRLAISQLNSVNGKDLTQVLACLPKLSELEIEACKKITGLGVVEQQKEAPSSSGEEEEIAPSDELLLLPPQLHDLEIISCPELSLRPNSPQGGNGGGLQALSCLRSLEIVCCPKFLASYSPSSSSPSSCFPFPASLQSLALSGVETLAPLSNLASLAELWIRGCGGSGGSGLGCLLAHGCLHELSVYSTPNFFSIECSKEDTPEMLEQGPPFPPSSELQSLFTDDIAGVLAAPVCTLLSSSLTSLTFDSKEEMERFTKEQGEALQLLTSLQQLKLSNCHKLQCLPPGLQTLTNLKTLEIGLPDSLPKDSLPNSLRKLTISSCSSIRALPKGGLPSSLQKLDVSFRSNSEELRRQCRKLIGTIPIVEA >Dexi3B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:3B:6184720:6185063:1 gene:Dexi3B01G0009000 transcript:Dexi3B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVRYSFSHRGGLNTTGDGNPVKTFISKGAPSRMEVFKMDIDGRGRLIPLQGIGSNNAVFVGDTQSIMLSTVKFPKIAANTVYMNYLWQRVRLFGWEDHAAKRSPPI >Dexi5B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:5B:3380332:3381127:1 gene:Dexi5B01G0005040 transcript:Dexi5B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQDKQQAKSTTSSIPSSSERSSSSGPNDLREGGAESDEEIRRVPEMGGASASASSGAGTDERRAKEDGAQGQLVAAAGAQGQPPASGKKRGRTAGDKEQNRLKRLLRNRVSAQQARERKKAYLTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHASKRSSGGAGKGGDGGGKKHHFTKS >Dexi3B01G0033180.1:cds pep primary_assembly:Fonio_CM05836:3B:35522562:35526157:1 gene:Dexi3B01G0033180 transcript:Dexi3B01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSEMPPLTDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKETGRISLTMREGDDYVKPKKEAPKAASGGRSATATPRSSPRQTKERQEAKATSESKYAPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVENDEDDLQTLNSELKRDWSRGTNAFALAFRRNKEISAFLDEREKIKVPEVQAADAVAVGTEVAAEAGSDQSQDKGSETSKAELVEADSSEGKAEFSSSIEAATTSVNEAAPADEKSGEELSSVSEVATDIPALEPEASSEGIEDSTSVQLSSNGVPDGTSVSSVLENEDKPAEPEESSAVEEVPVTASSGSLEPASPAEESEKTGAEVAAAGVEQASTTTATISPSLVKQLREATGAGMMDCKKALAETEGDIEKAQEFLRRKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISIDDVPEDVVKKETELEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWVKQTIATTGENMKVKRFARYNLGEGLEKKSQDFAAEVAAQTAAKPPPSAPPKEDKPAETTEPAEKKPTVAVSAALVKQLRDETGAGMMDCKKALAETGGDLQLAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCLVEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKEIEMQRDDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFVRYTLGEE >Dexi9B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:9B:8111635:8113026:-1 gene:Dexi9B01G0012190 transcript:Dexi9B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSMDEAFVQAPEHRSKLAVTEATGIPLIDLSPLTSGDAAAVAALAAEVGAASREWGFFLVVGHGVPPETVARATAAQRAFFALPAERKAALRRREAAPLGYYESEHTRNVRDWKEVFDLVAHEPEALEEYMQSLEELAFKLLELLARSLNLSPDRLHGFFKPPTTSFRLNHYPPCPRPELALGVGQHTDYGALTIMYQDDVGGLDVRRRSDGEWVRVKCVPDSFIVNLGDTMQVWSNDRYQSAEHRVSVNAARARFSMPYFFNPAVDAVVEPLGELVGEDDPPRYSAYSWIDFFSTKLSGNYRKLAVENYLEIEHFRKGREV >Dexi1B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:1B:23290711:23291667:-1 gene:Dexi1B01G0016840 transcript:Dexi1B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSKKSGIAMAITRWGRYSRRTIRRNAPASHRRSSSAARTMSPSSTSTSARSPRMRRSEARAASTLPRRAEEDDGGGHDGDAEREAPPPDGDGVGAVVDEVGGEDADVEEEVEDAREGAAPPRRGDLGEVDGSGLVGEADGEAEEDAAEDEHGDVDGGAVEDGADEEERGADEHGVAAADALGDVAGDEAGDHAGEVEGGDEGGEELATKLNFTSY >Dexi5A01G0023070.1:cds pep primary_assembly:Fonio_CM05836:5A:27142864:27143624:-1 gene:Dexi5A01G0023070 transcript:Dexi5A01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVPGFITQAEQLKAKGVDEILLISVNDPFVMKAWAKSYPENKHVKFLADGSAAYTKALGLELDLTDKGLGLRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >Dexi5B01G0035820.1:cds pep primary_assembly:Fonio_CM05836:5B:35704505:35709976:-1 gene:Dexi5B01G0035820 transcript:Dexi5B01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDLRDRISDRLRPWTRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPFDVVKDAVEKQLGRSFDELFEFFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEHLMMVDIRNMQAMALFLQKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMVSREVLVMEFVKGTPIMNLGNEMAKRGVDPGGRRLHRKLGVSPGCATPTARGRGRPGSPPSSAPPPQGPSSFSLSLAPKAAPHPVHRPPWGEKAKRQGSFIIALPVRQPGEKAKLATTASSSKTPTIQKENDAGNCLLEVALLDYGQVKEMPDDLRLAYANLVIAMADDDFLRAEESFRELGIKTTTIADNKLEELFQLSLRMFDTRLPPGVTVMSPFADDSSLTKVGVESFPEELFSVLRTIQLLRGLTVGMGLTFSCAQQWKPIAEEALVKAGRLRGNLQNQENKREVFSGDCFGEVATGTIKKKHGIQTSCNEPA >Dexi1B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:1B:8027382:8029224:1 gene:Dexi1B01G0009090 transcript:Dexi1B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSLGFLILTSFLCVLAAQLQLRPSMAAAGALPRDLFNLGIASRICTDHNSTAKASTDFGHMFEATPEALFHPRTPADIAELIRFSSSSPAPFPVAPLGNGHSGRGQTLAPGGVVVDMRALGRGHHARRVNVSAAGDEPPYYVDAGGEQLWIDVVRATLEHGLAPRVCTDYLHLTVGGTLSNAGIGGQAFRHGPQIASVHELDVITGMGEMVTCSVTKNSELFFAALGGLGQFGVITRARIGLGPAPKRVRWVRLAYSSVVSFTKDQEMLILDRASDFGFDYIEGQVQLKRTLFEGPKSTTFFSYGDITKLIGLASQSGLSTIYYIEGAMYYSEDGTTLVDQKMEALLGQLSFEPGFVFSKDVTYVQFLDRVCEEERVLRSAGVWDVPHPWLNLFVPRSRILDFDAGVFKCIFRDSNPAGLILMYPMNKDKWDDRMTTVTPTSDDVFYNVALLWSALSTNDVEQLHRDNKAVLAFLEKANIGYKQYLPHHTSQDGWLQHFGVKWSKIIVLKAKYDPQAILSPGQRMFLSPAKVSSSAVA >Dexi1A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:1A:27716437:27719450:1 gene:Dexi1A01G0020870 transcript:Dexi1A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNEICKKPHEPEKLEIARRGEDKAFCNSEIEEGEFRKDIVASVIKPSPSVQMAFEKGQVNTRRSSSPERGSHQGGTGTANITVTQSGYSSIRGQPFNRQKIISECEAEMAECKRKFDEQFRILEMEALQKKKDIEILQEKVCRQQTLAETLQALHKASTGATSCSQREIA >Dexi9B01G0038930.1:cds pep primary_assembly:Fonio_CM05836:9B:39786692:39789576:1 gene:Dexi9B01G0038930 transcript:Dexi9B01G0038930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVEGNAEINPSFSAPNSSGTGSKNSSKNATDSNTFGTKTSGSSSSVPPTPRTETEILESCNVRKFTFSELKGSTRNFRPDSLLGEGGFGSVFKGWMDDRTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEYMPRGSLEHHLFRRGSHYQPLPWNLRMKVALEAARGLAFLHGDHAKVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPVAQKIAALALQCLSMDARCRPGMDQVVTVLEGLQDAKGAVKSAK >Dexi5B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:5B:22044584:22045444:-1 gene:Dexi5B01G0019820 transcript:Dexi5B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTSGHVQASKFLAKPRPPSTSAADGGGTPNPLPTGSGLDGMRKPNKDILEHDRKRRVELRLVVLRDAFEEQGYTEAEIEERVEAARKAAEMEAEAEAEEDPPRAKDKRFTDTDGHHAAPRKENQLQIMRAALGLDAEDAQKKGDQ >Dexi7A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:7A:11897128:11898379:1 gene:Dexi7A01G0002990 transcript:Dexi7A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVELEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLIQSLPEGDIRKNWPRFQPENFDKNIKIFERVNEMAKRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVRALSVKLTPEEMSELESYAAADIVQGDRDPQSAYTWKNSETPPLSSWKGE >Dexi9A01G0044260.1:cds pep primary_assembly:Fonio_CM05836:9A:47886789:47888513:-1 gene:Dexi9A01G0044260 transcript:Dexi9A01G0044260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDADATVPAAMAPAKRAPINKYAFACALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLAAGVTSDWLGRRYTMVLAAAIFFTGALLMGLAPRYALLMVGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDDARRVLQRTSDSHGEAEERLRDIKKVVGIPDDVSDADDVAAIVRASNKAASRSSDGGGVWKELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGACKTFFIPISTLLLDRIGRRPLLLASGGGMAIFLFTLATSLHMMDRRPEGEQVALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSNAITIAGSFYLYACIAAAGWVFMYLFLPETMGKSLEDTAKLFGKDAEDEDAAPAAGGRRERSKPFAELGDVQH >Dexi6A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:6A:12127164:12129192:-1 gene:Dexi6A01G0010000 transcript:Dexi6A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPKQLVLAASSADAGVASWDLRTGAEEIRHRPCASRPRALASVADRFLAAAQAPPSGSNSGTVHFYHWDKPQVAVKSFPAEPIRALIADQEGSYLIGGGSNGNLFLWEVASGELLHTWFAHYRAVRCLTLYDYLLVSGSEDGGIKVWDLITVLDEQSRLEAQTPYLYSFNQHALPVTDIACFLGAIVVSSSEDQTCKIWSLSEGRMLRSISFPTSIDSVALDPRSHVFYAGGRDGKIYVTAMGVDISSHGSDDSSILGALDDHRFALLI >Dexi3A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:3A:6760819:6764421:1 gene:Dexi3A01G0009640 transcript:Dexi3A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCSAEGFGEESHHADFKNKVLTFELCRNGPSDAPQRTSPRTPRASRVAKTGGNETDSTGVTPTRTPTDRSPKVTERRSPRSPITEFALFQKKRPSRLSELESKVSQLQDELKKAKEQLSSSEARRRQTQQESEEAKKQEQAATSKLEDLQRQLDESSAAEESRLQELRKISQERDRAWESELEAVQKQQSVDATALSSAISEIQRLKQQLEAASESETARAKQCEYAETEIEGLKQEMEIRLATIEGLKLNVSESDKAAAEANAMATEAKQQLETAKATIDSLIAESFRVQDCLRSKDMELNESRARIASLEEDLRKTQGMPNTDVSFSDPEPEALKKVVTTDDANGSCESSDQEIECLRTALEVSEIRYQEEQTRMTIEMKTAYEMLENMKAEYTRRVGELELELKNKNDALMEAMAAACAEGKAHEDPHKLEAMQPEMETKLMRSITDIAELKASLMDKENALQSLAEENETLKMQAGRTEAELQQKYDAAVEELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAVQAASGEMEAELRRLRVQSDQWRKAAEAAAAVLSSGGGGDNNGVGRTVERTGSLDTEYNSSIGGKLMSSPFSDEVDEESPKRRNSGGSVLRRMSGLWKKSPK >Dexi5B01G0039790.1:cds pep primary_assembly:Fonio_CM05836:5B:38477352:38480098:-1 gene:Dexi5B01G0039790 transcript:Dexi5B01G0039790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPARLDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETNHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENAKRLIHFSTCEVYGKTIGSFLPKDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPNNEVTVRELAQMMTEVYANVSGEAPLDEPMVDVSSSQFYGEGYDDSDKRIPDMTIINNQLGWNPKTPLKDLLETTLTYQHKTYKEAVKRQMSQASASS >Dexi1A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:1A:1183310:1186716:1 gene:Dexi1A01G0001770 transcript:Dexi1A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKNVLAFFSTHQLHLSASPSAGNGRQPGRGGSIRPSPASKRLLSSDLDLQESITHVRKTLHRCRKNDREMIATVDNLKRLCIDHYFEEDIESAMGVCTSLVHSDDLHDATLSFRLMRETGHDVSADDVLRRFTDSAGDFSLALSKDIGGLLSLYDMSHLDIGEESLHKAKKFSTKHLASAIRDLEPGLATYVRQSLDHPYHLCLTQYKARHHLSYLQSLPNRNTAAMEELAIAEFQLNKMQHQKEMAEIKRWWMELGLAQEIPVARDQVLKWYTWSMTILQGPSLSRYRVEITKIIAIAYVVDDIFDLVGTLDELSLFTEAIKVWDIAADDSLPSCIRSCYKALYTVTNEMSDMSEKEHGLNPINHLRKAWAVLFDGFMVEAKWLATNQVPTAEEYLKNGVVTSGVPLAFVNILFLLGYHHAAGMDATEPTDHIPPAISSAAKILRLWDDMGSAQDEGQEGLDGSYRELYLMENPASDAEEHMKRLIAREWEELNRECFSRRTFSSSFSQACLNAARMVSVMYSYDKEQRLPVLEDYMRMLLI >Dexi9A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:9A:15591670:15593621:1 gene:Dexi9A01G0020540 transcript:Dexi9A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGAWSPEEDQRLAAYIQQHGHPNWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFSADEEALIVRLHAELGNRWSAIAAQLPGRTDNEIKNVWHTHIKKRLEAADPESNARAKQQKARKGKPAAAKKAIAAAAVESSEQQTFTTASPGLSSISVSSGVTTTTTTFSTATTESTAAVSSGDNAATTSASLQLATKAEMEMESFSSAEFPPIDESFWSSPDVMDMGLGAMDEELVGLAGPPSSSTRDEDMEFWLKMLLEAGDMRDLSVL >Dexi8B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:8B:6012210:6013951:1 gene:Dexi8B01G0005750 transcript:Dexi8B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGTNDAEPGRIPIDLAVVVNVGHGRARLDSVKKAVRFIIWQLHDDDRLAVIGPSNTRLFGETATVFLDIRNARRMPKAPWRSLSPILSELPASKSTRASFIILLTDTKESASFSKLARELFPKMVTNLPVVHTIALADAAGHDEHDPKALLSIAKESHGTYSFVVVDDQNAADDAIAVAVAVCVSGLKAVAAVNTHLRLDTAPGSGVRIERFESGGYKSTTAIDKTSGEITVGVLYSGEAKSFIADLHVPAAISETSNPVEGDCDKQHLLTAIFVITDNDDDDGDASSPRWTPPTTTIIKTVLSVQRPVPDYNAVTTALQKVPVPVVMDHIAQFGVLELVTTFVEKEIWGLSSLTSITAEMAAAMASKLQIKWEEFVLARQFWTGLNLATFEVEINYMVSQLLAGDVEINYSVTGPRRRRQRAGKPGSGSRIGWSQRGWLTGVGNGDHNWRSRNPFVF >Dexi9A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:9A:3209347:3209869:-1 gene:Dexi9A01G0005760 transcript:Dexi9A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATPLVATVITVVAVASMAALLPATTSAASSYRVGRRRRLGQRDRLRRLGQRQELQKFLYSEGFHNVVVVDAQSYAACAVPSNAPTLGSGDDRVALRRPGQWFFICGVEGHCQTGMKLAINVQ >Dexi4A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:4A:8044829:8045071:-1 gene:Dexi4A01G0010120 transcript:Dexi4A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKFVVAVILMAMIMAFLAMPSSARPLGGDGGGFGAGDDVVSGKHILEVLRRLYLQQLGAAPSCQTNSPNGGCPPPSSG >Dexi1A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:1A:25498044:25498961:-1 gene:Dexi1A01G0018220 transcript:Dexi1A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTYTTDLAGLSSSHRTQVLLPEAGTDRAERRTGVRGSHGQALEDEAERADGVDGREDGAHGVERSRLELVERVEDHGVRGVRALHLALHEVEQDVAALHRVLIVESQSEAGSCVASASDSGRPSGMVAKRKLGRPATAEGPRVRMRRRWLYSVLTKVMWKPLQWRILASFTIGVTWPCAGNGTHTACG >Dexi6A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:6A:20432715:20433443:-1 gene:Dexi6A01G0013360 transcript:Dexi6A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSETIVSFAKNMLKLDEMLEGLVLEGLGVQGQSVPAHLDLLGHSVYLSHYGTPVDRETNESMQAHYDDSMNTIIVQHEVEGLEVRLGDGRWVAVPPEPGTLIFMAGEQLRVATNGRVPACFHRVRTPSSRERFAVLFGFLQKPGIEVRALEELVDEEHPLVFNPLKHEEYKEWRYSEEGFKVDDALKAFCGVEKVAAMV >Dexi2B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:2B:9875901:9884507:1 gene:Dexi2B01G0009070 transcript:Dexi2B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKPSHSPLLHCQPHYHCEGTSSMAAAAAMPVERVVFALNGRRYEVAGADPSTRLLEFIRTQTPFKGTKLGCGEDTLVLSKLRLAFGAYGTEHAIRAKKVEKFVTEPGKALTSGSADSADRDDVRNLPVSSRRETIPNDDYKPVGEPLKKYAVELQTSGEAVYVDDIPAPKNCLFGEFIYSTQALAYVKSIKFKSSLASEKIIDVVSAKDIPSGGENIGSTFTYGDEPLFGDPIAEYAGQALGVVIAETQRYADMAAKQVIIEYDTEDLGPPILTVEEAVEKSSYFEVPPDLYPKEVGDVSKGMSEADHRIPSTEVKLASEYYFYMETQTALAIPDEDNTMVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVAIYQTKSLA >Dexi2A01G0026420.1:cds pep primary_assembly:Fonio_CM05836:2A:37939487:37940469:1 gene:Dexi2A01G0026420 transcript:Dexi2A01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPPLAVSPRRLRPRSHRVPRPPLVAASSVQTPPASMKKAANPMRASICAIPSPISRVEPNAMRASISALPSPARFDPSPARASMATALRPPATRAKLDFPAAEASPAGKENVLPAVAPASPMSWAGAAAGKEDLLPPAGADAHDELVALNLAAVASAAGTPGTGPLFVRGRLYDLYSARRNERLKRKHGSPFTALDPEQMAEDPCVAVELSKRRGAKKAYATTGAESVRRSMPAADFGAGRAVSMGPRSSLRSSKEMKKASAASGTASLAVKERRMNSRSSTRRI >Dexi9B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:9B:13236715:13236963:1 gene:Dexi9B01G0018550 transcript:Dexi9B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYSSMDGLRYCKIHFEQLFKATCTFSKSFRTGAKANNEQVLCPHNL >Dexi7A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:7A:8146280:8150251:-1 gene:Dexi7A01G0002040 transcript:Dexi7A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIEKAEPALILKHSWARAITLQGREPSIDNRKGCTIVRIVGNYFPGAAVREAEVHEQARVRLYVWSHMDRGQVELEVPNCVREQDEELECRCSWSSRPPATKSHKLLLEAGRDDVFWVELGPTTLDRSELAATEIGQQQNVGGLEAVVDHIIPVEEDELGAAHAPRRRCVRSGHGRSTRRCIDAGSEGAPAGEKEEAWPPTWGRKDHDLWHSDTADRRGSEHGSDRARGSGYMFALNREPPRANKICLVVSSDPSCCNCEIMATSKKDPPNPSRGRMSPNLRPSSSESSGYGYGARRARSVPSSPDRKFGTSASSSAASGSPYVQRPSLSSAGRSVSSRTMGSSIHGSRVQAFPGAASKPTLSRVKSDKVSTSSQRPPALSLPPSNSFKDTTKTEGKASPSTLLRSKPSPRPIADSCKAVASPKLSSQRVTSPGTARGDRVQPASTARSPGPAAKKRLDAVNGATASSKAKSVSQKAMGSSASRKEIDKDPSVQFKETESINTPSIEKHLHEELPDPVDLKSMNVAVPDQHEPSSTQPEQVKAVGESKQGSLEAKIDAGANEMHNGGQDANGSVKTICECGLVEKDATDRSVDKAVPRAEGSQVWRKDDPKGNDMIEETKSKLLEERKSRVKALVGAFETVLSFKE >Dexi4B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:4B:20481578:20484010:-1 gene:Dexi4B01G0018090 transcript:Dexi4B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRSFHSGGVVDGIARAVAEEDLQVDRRPAEEGASRMIFGFPVAAGGPTRQAAVTQQFFPTTVAATSPAPPAQQAVEQRHVAAAASAAEQWARSASRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVNADINFTLTDYKDEIKKMKNFSKEEFVQVLRRQGAGFVRGSSRFRGVTQHKCGKWEARIGQLMGKKYVYLGLYDTEMEAARAYDKAAIKCYGKEAVTNFEPQTYDEEVQVQSWDGELDLELSLGFAGSDPSSTVAVEAAPSRQRTMSLTLDLPEEEEADAGYPRRSIRTRPSSPAPATLRLLLADDDHVHHPVATGSSRDTLHMLQMGQVGSSGGGGGAAAATHTRWPSSGGSNNWAPPHHATGVHDDIDDAAASSGFPLGQLAMAAARGGVQMRPRR >Dexi2B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:2B:29024295:29026361:1 gene:Dexi2B01G0018820 transcript:Dexi2B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPSSSSPAAARRWSPEVRRVRKRALEEVLEQVQRAVEMLRDADADFGVSLSESEDAAAAAPEGEDREGGVGDGADDAAKSSVASDSDYETAQMCDLLKSKVESLDFLKKLNGVQKSVYQDGAGMSLLSEHIKYTESHVLHSQLATTVFKKGSPLVFGTVEPDSSWDIIKAVDLWEDGDPDDGYVLVKQEDVVDGITSYMAAYLLSLKKTKDLSPDQLQRALRKTFSAEKKKSRIRKAWDGTKVIYNVASWGATAVGVYNNRALLTVASTAFRTSCRVVSKFL >Dexi8B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:8B:20414153:20416024:1 gene:Dexi8B01G0011370 transcript:Dexi8B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAGRTPARQHCRLPRSGAQPRPRGIAACPRRPPSACPQSAVALAHSFVARNREDRRKTPGKTESMPGKRSELFDLANHEHRTRFEVNMKIEEQLSLILSKLDEQKAELAENNNRIAEVRKSVEDLKL >Dexi3B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:3B:7826880:7827392:-1 gene:Dexi3B01G0011110 transcript:Dexi3B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKIGPWGGRGGDLRDDIAAVGVSPHRLESVVIRTEGAVDAISFVYAGVDGVARKAGPWGHSGGKKHKVKFGPTEVVKEISGTYGPFGGHAGIVRSLAFVTNAGTHGPFGEAAEGTSPCRTAAA >Dexi2B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:2B:13171504:13172824:-1 gene:Dexi2B01G0011570 transcript:Dexi2B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRVNGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Dexi3A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:3A:2588114:2588613:-1 gene:Dexi3A01G0003890 transcript:Dexi3A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAVDGGGASPSWGGRLAAEGCTSTGATMSVSHRAPTAAASGGDHSCCGGHVVNIYVNNNVQGVTNSVLLGSKVAMRDPGARVTTRRRARRGGRRKAKANGIGVVAGVALLAVAAAAAAVFCLEG >Dexi7B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:7B:13111695:13115814:1 gene:Dexi7B01G0005650 transcript:Dexi7B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKREGTVRVSGMKAYVPQTAWIMSGNIRDNILFGNPYDKEKYERILQACALAKDIEMFANGDLTEIGERGINMSGGQKQRIQIARSMYEDADIYLFDDPFSAVDAHTGSQIFKDCVMGILKDKTVLYVTHQVEFLPAADLILVQKLFKNMTHCIMRAPMSFFDSTPTGRILNRASNDQSVLDLDIANKLNWSMLSVIQILGTIGIMSQVAWPVFAIFIPVMVVCVLYQVWTLNQELQILLTDLVFSFPLCICHFQTNIITNAGIAGLAVTYALNLNDQLTSMIWNISRIENKMISVERILQYSRIPSEAPLVVDYCRPPNSWPQDGTINIRCLEVLDKCQLGDIVRQSPKKLDSTVAENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQDTIRQEFGDCTVLTVAHRIHTVVDSDLILVFSEGRIVEYDTPSKLLKNENSEFSKLVKEYSRRSHHFSRRGNNQMGEMSTA >Dexi8B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:8B:15977179:15977611:-1 gene:Dexi8B01G0009200 transcript:Dexi8B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFASLSIGKAWEKLSSLLRVFSMVPSSSSSASQQEDLEELRKLERTMRRIRATLHDAEEHWNIREESAKLRLKELKEVAYDIEDLVDEYDYEANRCKVLSLDRFAGIPNTGKRKHHEHT >Dexi9B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:9B:1877503:1877769:1 gene:Dexi9B01G0003300 transcript:Dexi9B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLGSAIVNSLRAMDYSSCTLMAALFVLDDSEAPGLHPWLRLWGIELDCPRAILPEDARSETDGNRHSGPAGCNVPSTKIRIVICGG >Dexi6B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:6B:4039696:4039914:-1 gene:Dexi6B01G0004740 transcript:Dexi6B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSADLRPPPSLLLRRRARRPAPPLPGRKGRRAPGIVGERSEQEREAEARKEGGGGQKQRSCVKEGSGDGR >Dexi6A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:6A:12424054:12424844:-1 gene:Dexi6A01G0010140 transcript:Dexi6A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAGRSKPSGSMSAIVADATSGYHILKIDGYSHTKGTKTGAFIKSHPFTVGGHRWFIQYYPNGNTSESADYVSLFVYLDEVVNKPLNAQHQFCLIDDLVEETPLLSSVSCSFESYRGWVSAKFILREDLEKSKYLKNDSFMVRWSSPRSYAQRKWPRSLPSPSSLYPHQTCINILSEKGTDVVFEVGCETFKAHRCVLAARSPVFSAELFGQMQESDATGVVNIGDMEAHVFKALLFFIYTDSLLLQTGITWRGLS >Dexi7A01G0022180.1:cds pep primary_assembly:Fonio_CM05836:7A:30567977:30569911:1 gene:Dexi7A01G0022180 transcript:Dexi7A01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRTVPQELLPPDDLHPLPMPVINLGHLSLDSATRSGMVNDIAKACRDRGYFQVINHGISQSVMDAAVEAASDFFKLPSETKEEFASEDLRQPVRYDTSSKDSISMSRAFLKHYAHPLGDWIQYWPQQPPIYREYMGKYAAEVRRVALQLMEAIVEGLGLGKEYLKEKFQEGSQLLSVNCYPKASQGDTTIGLAPHSDYGFLTILLTSCRGLEVVDRSTNIWKTVQQLPHALHVHIGDHMEVLSNGRMKTVVHRALLNPEEGRISIASIHGFALDDKVTCAKELVDEENPPKYKESSFSDFLDHLTANMDNKKRNFLESLRM >Dexi1A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:1A:1977305:1977727:-1 gene:Dexi1A01G0002750 transcript:Dexi1A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISLGSPAGGKKASSGGVDEAELLRRRNAELEREVATLRSELGAARRRAEAAEEAEERLCAQLSDAEVEAVEIARAYQDQVEHLARELAAARAAAGSSPPPPPPLPPPQPRRGLMGFSSGTSRDATGAFSGMMEYVL >Dexi7A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:7A:19133664:19135243:1 gene:Dexi7A01G0007900 transcript:Dexi7A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDTPSPSPTAATAEPGSVSTPLLRRRGSYTRSMSHARDELRSFRSCLRWMCIDHSSSDASSASSAASSYLVFASLAVAVPCAALAALPQRRAYDTQILRMTGFARDFGQCADVAAVLRQHRRIRVQLRRISHRYRRFILYCLILVTVSQFTALLAATRPHAQVNLAIAGELALCSMSLVTGLLICLHSAAKITHKTQSITSVAAAWHADATINSLERDQENPRTPSKAFLQHQHAPVSPFPVASASSGEESDDDESRSEDSVDTSRFASFHVTNVSFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >Dexi6B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:6B:20617213:20619388:-1 gene:Dexi6B01G0012890 transcript:Dexi6B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTERKMQPLPPPEHRRALRFVIFLAVSLLAFCWALVNSRISGAMPHSAVRMRDADKTTRAGLVVLAGGAAAPGPQISGGPNRPALKTTRPAALTGGEDPAAGDSSTPAAVPAMSGAATNLTWPVTREQQPLAGGGRGGERVERCDADAAALRVFVYDLPPEFHFGMLGWDGKGKKKMTWPNVSDPLAVPHYPGGLNLQHSVAYWLTLDILSSSSGAGSDKGDRPCAAVRVTNTSDADVFFVPFFASLSYNRHSKLRRGEKASRNRALQAELVKYLARREEWRRSGGKDHLIVPHHPNSMMQARKPLSAAMLVLSDFGRYSPDVANLKKDVIAPYKHVVRSLAGDESPDFDQRPVLAYFQGAIHRKAGGKQVRQKLYGLLKDERDVHFTYGSVRQNGIRRATVGMSTSKFCLNIAGDTPSSNRLFDASDDIELPFEDVLDYSEFCVFVRAADAAKKGFLLRMLRGVSQEEWTRMWRRLKEVARHFEYQFPSRRDDAVQMIWGAVARKMHSVKLQLHKRGRFQRPGAES >Dexi2B01G0024410.1:cds pep primary_assembly:Fonio_CM05836:2B:33867719:33868308:1 gene:Dexi2B01G0024410 transcript:Dexi2B01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLVVALLVGFAVDPSAATDHIVGANHGWNPNINYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNETGYDNCTMDGVAGNWTSGKDFIKLPEARRYYFICGNGFCQQGMKVAITVHPLPHNASANGSGGTGAEEEASAAALRAGNAAWLAALAVAAATFC >Dexi4B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:4B:10323159:10324369:1 gene:Dexi4B01G0012540 transcript:Dexi4B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATWPWVILGRVPRVLPIPGDDEAEAVAAAAFRAPDFSFRVALPPRATVLTVAPLAHPDPNEPDSYPYILAAGPDCLLLNFAVKPFYGVHSALDARETYLVVARRFGTSGGQQGHAGTPLGQRIPVRRDRDGDAPVAYNVGSVGLVASYGGDYTVAELRVDRGGERARLFRFREGDEGWVEDELSSPLAAEDRAWVPDGVVAQKNTLWWFDLSWGLLSCDVVVDEPVLLFHKLPEDRALGKDWWPGIHTHRCVAVSRRELRYVEIVTEDGGGDKEAATVSMWTRLMANPAAGWEWEKKYAMSFEKLWNDNTYMDTGLPRKVPVLSAVCPSNPNLVYFALEQRLFGVNVPVHKVVEIADEPHERVETPWPAPASCRYVHAWNLPPRVASVLLRC >Dexi2A01G0026140.1:cds pep primary_assembly:Fonio_CM05836:2A:37727295:37729849:1 gene:Dexi2A01G0026140 transcript:Dexi2A01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKAASFLSSLIGGGGEPAATVKSILVYPIKSCTGIAVPQAPIPSTGFRWDRQWVVVNAKGRAYTQRVEPTLALVQVELPPEAFTEDWEPTADDHMVITAPGMDPLKMPLATEHATVDDVSVWEWSGSAYDEGTEAAEWFSAYFGKPSRLVRFKGESETRPTDPDYAQGYKIMFADLFPFLVASQVPTINQDNGTFGTEPTETLLTFRSDEVLRPSHKNTRQVYFGQNLVCKESLSGKGNGKIIKVGDPVYVLQAFTSSNEAPAYKYTGGVLWPDFV >Dexi1A01G0026020.1:cds pep primary_assembly:Fonio_CM05836:1A:32037305:32037970:1 gene:Dexi1A01G0026020 transcript:Dexi1A01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISLLLLPSPSPRPLPRGGASGLLCCPRRHRVLLPSPGLAYAPRRLVATAPAPRASNNNDNSGAVEAPDRLVAAVAYLYPFLDGAHHGRFLLAQFPFFSDLLRPLGPAARLFHSSPLTPFLLFLTLYFAVVRNQRAFSRFVRFNAMQAVVLDVLLIFPDLLAQSFAPSGGVGFEIFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >Dexi3A01G0035660.1:cds pep primary_assembly:Fonio_CM05836:3A:40951085:40955573:-1 gene:Dexi3A01G0035660 transcript:Dexi3A01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAAALARHHHVHLLPAPPPSAPSSVCCVLRPRRRSEGWWCGVAGERSGRSRSGFRAPRAGMDMDLASGAVEVINDLGFDTLTFLGVTVLVVPAFRVVRASPVKYRSPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARFAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFNSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVSQSVWPILLAESLKALGGLGLLSLGGKYLMRRVFEVLFWQFVAESRSSEAFVALCLLTVAGTSLITQQLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRIAGIIDESSEEKDKPDEMVNYGATEPIVIVGFGEMGQVLAKFLSAPLSFGLDQDAEGWPYVAFDLNPAVVKSARKSGYPVLYGDGSRPAVLQSAGITFPKAIMVMYTGKERTIEAVNRLRQAFTAVPIYARAQDLSHLLDLKKAGATDVVLENAETSLQLGSILLRGLGVMSDDVSFLSTLVRNSMEVQAQEALKDIKEVDIMKPLQVRVTDLVDSNGNSSRIIAQEQSLSLSSRPDLKAIKPPVGTRIPGMKVEKDRPGYDFDRRDSTDGVTQCLLESDEKSDESSSASKEMIDQSA >Dexi2A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:2A:24920826:24921146:-1 gene:Dexi2A01G0014800 transcript:Dexi2A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLPRFRLPKRPLTSRLRLPKCRCLPPPPQAAAACLHLHRPPPLAYASLGAAAACLSIPRQPEPAPPRPPAGAPLVWSPTAAPPRPPAGAPPRSPASASPPHYA >Dexi2A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:2A:25561031:25561320:1 gene:Dexi2A01G0015040 transcript:Dexi2A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSSKGDRRSPTTTGDPDFVLPTEMQEQERRPEGHQPLLRRPAAAGHRQVAKHVDRRRVGQHVR >Dexi2B01G0035640.1:cds pep primary_assembly:Fonio_CM05836:2B:42555593:42556647:1 gene:Dexi2B01G0035640 transcript:Dexi2B01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGRVGSRWRSISGEGATWGDTRSVLPDLAVVSGERAEVAHLDHGGAEVLPELGWVVGVVLDEVSGVALVELLVGVEQRAPIDEALVVVRVDGGWGVVQ >DexiUA01G0012820.1:cds pep primary_assembly:Fonio_CM05836:UA:26669116:26669845:1 gene:DexiUA01G0012820 transcript:DexiUA01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKATGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKDDVFIVKKTHEQKKRKTKKVEDKMLGWGGHDDKKLTIPTTIILVQIAFVRFMLHSTFLFIFTNQEYLEPAGR >Dexi7B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:7B:22793340:22799393:1 gene:Dexi7B01G0016730 transcript:Dexi7B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGINYLDCALKCMFVALFQIERIVLFLLQQQGHLASRIEELGERRTALLEQYDISQVSQLRDGYREVGFDLIKLLHFVDINATGIRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDHPSVTLRVGLCKSSHQGNANLEEGLAQPLVSTERAQDENSEDNDDSEVASENSHGPATSIASAYRLLTPSVKAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSAVAIFLAILGLTVLPVNAIVGIYITNLFEDRQILLASEVMVLIGIIMSFCFTPHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITAAGYLGTDLLLNVTLLPPLVICIVSIAATLYTYNTLY >Dexi4B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:4B:19734826:19736128:1 gene:Dexi4B01G0017400 transcript:Dexi4B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLCPAPPRALTGVPFLAVLLLVVLLQQASLLPLAMAQTTNLTVGDTLSPPGYITSPSGSFAFGFRAHDTDPAKFILATWLRLGDNSSGPPPPPQSVVWFAKESPMGATPNATSRSVLSITNDGQLTLSDGSHVLWIRAHTDRLRQRPSFWHPIDALLPGQTFQGMLFSKRADTEFTTGWFSLAAQDDGNVVLYIDLFTGDILQNAYWATGTNSLHGNTTITFDDQGGLSYTLYNGTVKTLISPLPSSDAGSNYLMFARMDPDGIVRTYFRPDNNGDEWTVSGTLPSEGGCDLKNNRMQGMCGPGSYCVETRERLNCLCPPGYTYIDAQHTNSGCTPAFEPQACGLGSPDGEFSLVEVPNTIWEISIYYKKFPSVTEQQCRDYCLHDCFCTAALMMKGSDCLELGALQFGRHDERTGQGA >Dexi1A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:1A:27489057:27489613:-1 gene:Dexi1A01G0020690 transcript:Dexi1A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWRKAKKALGAGLCVHLPAVAGDREDAATERRASDAVSLDSTAAAHASAPNTPAATATAESGALRRSKSGGKSSKVAFRIVVCI >Dexi2B01G0026320.1:cds pep primary_assembly:Fonio_CM05836:2B:35428236:35429843:-1 gene:Dexi2B01G0026320 transcript:Dexi2B01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLVAEYRQVGAHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSDPQTAIRKAYQLTDQKILEKASELGRGGSTAVTAILISSDKSVKLVVANVGDSRAVISKNGVAEQLSVDHEPNMERQSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPYVIEETINENSDFLILASDGLWKELQSQLEFVLTISSDDHNTRIAHVQVMSNQEAVDEIRDFKDAQAAAKHLTEQAVNRKSKDDISVIVVKFLC >DexiUA01G0008860.1:cds pep primary_assembly:Fonio_CM05836:UA:16912700:16913774:1 gene:DexiUA01G0008860 transcript:DexiUA01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVRMATEVRMATEVRMATEVRMATEVRMATKVRMATKVRMATKEGTDLVLTGPYRMLEAYGAFGLKVFTHDDEGTSTDEDGSRTGTISDGWGVSEPDEVEEFTQTIYGGLGRKLEVTYVVIPDGVETNVEVRLNLVDLGMGSRSVYGCVKASAIDYGSKSVHLFSRERGRSLSLPCGSACILPLEPCVIALEEDNHFKLHIEVDLSVITGCDSQEEDKNFKFCLDCSRRISSEERLEPPCRIRSQKREFNGDQVEVNVIWRLERC >Dexi2A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:2A:11312265:11314003:1 gene:Dexi2A01G0010150 transcript:Dexi2A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPALAAAMGTAAPHLVLVPFPAQGHVAPLLQLARLLHARGAHVTFVHTHFNYRRLVLAKGEAAVLPSSATSPSFRVEVIDDGIPLSSPQHDTAALVDALRRNCPAPFRALLARLAAEAETDGGAPPVTCVVADVVMPFAPAVARELGVPEVQFFTASACGLMGYLHYGELIRRGLVPLKDESCFTNGYLDTPLEWVPAMKHMRLRDMPAFCHTTDPDDVMVSVTQREHMASAAASSAIILNTLYELEKDVVDALAAFLPPIYTVGPLASVLSSSSSTYTPPPAMDISIWKEDTRCLPWLDGKPTSSVVYVNFGSVAVMTAAQTLELALGLARCGSPFLWVRRPDVVVDGGELPEEVLHDVVARGGGMVVAWCPQVAVLGHAAVGLFISHCGWNSMLEAVAAGKPVLGWPIKAEQTTNCRQLCEVWGNGARLPEKVESGAIAGMVKEMMGGELGEEKRAKAAEWKAVAEAAAMEGGSSWRNVERLVENVLLLASK >Dexi5B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:5B:12526203:12534354:1 gene:Dexi5B01G0015350 transcript:Dexi5B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTSMTMPLSAPSAAATVVASGAASPRPNAIRTAAGLRFCGLRREALGLRSSPQAVAARRSVAAAATAGNGAAGSGGFDYDLLIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSLPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGIGTIVVGHLYLFLCLKVRYLCIECCGKQKVRYGKVGFPDNEITARNIIIATGSIPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDDRMQVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVKAAGVSLSVNEPVAA >Dexi2B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:2B:25973119:25975150:-1 gene:Dexi2B01G0015860 transcript:Dexi2B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASSPLLLLPLAAAAVLLLLLAPRGGEARPPPPLHGVRPLAFDEGYAQIFGSGNLALLRDGRRVRLALDESTGSGFASQDLFLHGFFSAAIKLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTHAGREERYDLPFDPTDDFHHYSILWTSRRIVFYVDETPIREVVRTAAMGTAFPSKPMSLYATIWDGSSWATLGGRYRVNYKYAPFVAEFADLVIRGCAVDPTAADHHSSAACDARASLESLAVADEQRAAMAAFRRAHTSYSYCHDRRRYPAALPECGGAGDGHGLLPGRMFGPDGMKQQHRRHRAARGRRGGGGVQDDDVM >Dexi3A01G0034390.1:cds pep primary_assembly:Fonio_CM05836:3A:39560789:39561424:-1 gene:Dexi3A01G0034390 transcript:Dexi3A01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSSSSSPHPPMLLVAGVFLALLFSTPTTTSASPPPTATTPLAPPSALDEVCGRLGGYYVTPTHCSSTLCSSSSSPCRTLRDAPAVATLAARLAADNATAARDSIQSALTSSSSSSSSSSPASAAARSSCLQLYAGAVPALRWAAKAVAEGRYGGAREVLQAAQYVASGCEGMAGDAANAVLPRENGGFGDMAFVAHAVVASMAAD >Dexi3A01G0024040.1:cds pep primary_assembly:Fonio_CM05836:3A:19638913:19641597:-1 gene:Dexi3A01G0024040 transcript:Dexi3A01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAEGRNDGDVEQNRMKKGGFRTMPFILGNDICDRFATAGFGANMITYLTQQLHLPLVDASNLLTNFGGTSSLTPILGALAADSFAGRFWTIIAGSFFYQLGMLGLVVSALLPSLRPPPCSASAPASNHPSCRRASGWQLGVLYLSLLCTSLGSGGLRPCVVAFGTDQFDQEPPETEGKKKKQAGSAAATEAAAMAAAERKRRYFNLYFFMMGIAALLAVTVVVYIQDNVGWGWGFGIPAVAMFVSIVVFVVGYPLYVRIKPGGSPFTRLAQVAAAAFKKRRVAVPEDAGVLYQDKELDALISTNGRLLHTDQLTFLDRAAIVTPGDISASGQPDLWRLSTVHRVEELKSIVRLLPIWSAGILLTTAESHNGSFTIMQARTMDRHVAGHFQIPPATMSIFGTTAMLVTLALYDRAFVPLARRVTGLRPGITYFQRMGIGLAISILGVATAALVETKRRAVAAEHGFLDDPAGVVPFSVFWLVPQFAIHGVAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSIGHYLGTVLVTVVQRATRGRGDWLQDNINRGRIDSYYWLVTCLMVLNLGYYIVCFHFYTMKPLEFADEQDGHDKECELSSLEKNGTGAGVVV >Dexi1A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:1A:25522737:25525056:1 gene:Dexi1A01G0018260 transcript:Dexi1A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLLDLEGHYAFYGAYHSNPVNVGIHELFVWPIFLTALLLLHLTAPFAHAAGIGAAVYGAYYFLLDRRAGALAALLCFLCWAASGALAARLGFSVGWKVVLVSQLVCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEILHTFGGYEPYPGFHDKVSKLIEKARKEWEDKKSKKSS >DexiUA01G0009690.1:cds pep primary_assembly:Fonio_CM05836:UA:19093570:19097264:1 gene:DexiUA01G0009690 transcript:DexiUA01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATTTFLPPIPTPRRRLAAAVRRPPPIFTGAADSVPPLEDEDSSDDDDAGGGEAAPRRSGRKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTSMAAHVAAKKADLEVSMLLRDDLVCRSINHSHINCKYLAEHRLPENIVATTSAADALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLASAVQQLLASPNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDQIMSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVMELMNLPQVNICFSIPNVEEV >Dexi9B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:9B:13863537:13866617:1 gene:Dexi9B01G0019280 transcript:Dexi9B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHVAAAASLLLAMAATAGAVTFNATNAASNTTGGQRFDQAVGLAYANQVLSDASIFIWVTFNQTNPADRKPVDAVTLVVADVDGAAFTVDDAITLSAQYVGNYSGDVKTEVTGVLYHETTHVWQWDGQGQANGGLIEGIADYVRLKAGYAPGHWVQPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKNVQQLWQEYKAKYVLSLHVHAVSAANHKPSASGTCRVSGVLHGKSGKCKKLNGSDCCVEGHKYPQFRCSPPVSAKTPATLTLNSFEKGKDGGGPAFCDHRFHKDSTMVVALSSGWLRLDGTSRCGKMVRVTANGRSVLAKVVDECDSVNGCDEEHNFEPPCPNNVVDGSPAVWKALGLKESLGEVKVTWSDV >Dexi9B01G0036030.1:cds pep primary_assembly:Fonio_CM05836:9B:37630422:37631195:-1 gene:Dexi9B01G0036030 transcript:Dexi9B01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCHLPSGASLPTLGPALPRRPLPRTSALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRNGANVDAVEATADQGSGSDVAQDEDAQEFEDEGVLRLAATIDWVSGDSSAPVRKRLSPKAE >Dexi9B01G0042610.1:cds pep primary_assembly:Fonio_CM05836:9B:42834709:42838130:-1 gene:Dexi9B01G0042610 transcript:Dexi9B01G0042610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSWHPHQRGEDAGGNTFTTSQRYSSRKSRVRIISGTANSQSSCYSMAHPQIQIERKVTMFSVVVKTYRPHACAEKPCCALGHPFCMPNTRLPLRIRMEDEMRVRTCDTHGAAFPLRPSESTLGWMRAISSAARPSATAHRSGSNRRATPADASGRSPLGTIPARAPNNAPSAWRSQNPRPRHQRQPQRATAATGVSEHGSAAPRANRAGAAAAAAAAVMRRRGVVGVAGRGIGEGKALSHRHAPTPRCLPYPLSPCAILPFVQKDAVLALTCGVGTFASRRTLAH >Dexi6B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:6B:13723238:13733134:-1 gene:Dexi6B01G0009420 transcript:Dexi6B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSFRPRPVDIHRRLPIVRFAREFEDDDPTFVLRAAPPLLWHSAPEPAADSEAHPVSNKKNAQEIPTPQYDDVDTYERDYTRTFAQPATYIRGRGARAEIGDFIEYDLDNEDEDWLEVYNNEHINLNPEMLEVLLFKLEILDHKARERAGVMTPTMMGPIPVILQLDSAFEALQCLSVRYAVFQATYSYWKAKPPPPSSDTNPYNVFRPREKAHRFHTRRVSVWKPDMIIELWWFEICNAEKIMPNHLKSSVWLVLQLSSQGFGIMLSFSYRNDYLHLYALKFKQVRRNLEQAKVLVEALIKREEKKREAMQCEVHLRRKQMKYKHEAQLLDDGIALSGLQQVSTQFGSSEDDYSDSDDSTEQPYFEPIAFQPRFPDKKLSVISSNYGLQDPEEPVMLFTRPLDPAKLEIAGIRPPPAPPIDNGGTVPPFQCQGRIGRGGRIIFDRWNPLQVPIGLHASQFLQYNNNRTPALEG >Dexi5A01G0037840.1:cds pep primary_assembly:Fonio_CM05836:5A:39038587:39039775:1 gene:Dexi5A01G0037840 transcript:Dexi5A01G0037840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKHFILVHGLAHGAWCWYKVVARLRAAGHRATALDMAASGVHPASLREVASFEDYSRPLLDAVAAAADGERLVLVGHSLGGLNIALAMERFPEKIAAGVFLAASMPRVGSHMGITIEEDLELAKLLLRPGSQFMEDPMLKDETLLTDGNYGSVKRVFVIAKADSSSTEEMQRRMVDLSPGAEVEEIAGADHMAMLSKPTELCDVLVKIATRHS >Dexi1A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:1A:8012436:8014873:1 gene:Dexi1A01G0009660 transcript:Dexi1A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSKRVVQEQECDENVVYVMDEDCGGGAGEEEGDGHGASPAACRKVASLFSQKGKKGPNQDAVILCQVPVTNCPLLLAAFCRSAPFVPTVLYNCLMCIVSDFLLQGFGMEDGVFCGVFDGHGRCGHFVSKLVRDCLPFMILSHRNALLLADDDDSSAFSDASPSSSSTDGSGGGSSPSPAPAQLLDEWRVACANAFDAMDRELKLQANLDCNFSGTTAVCAIKQGKDLIIANLGDSRAVLATMSDTGYLTAVPLTTDQKPSVPREAERIKRCNGRVFALKDEPSVARVWLPDEDCPGLAMARSLGDFRLKRHGVVSEPEVTHHRVGRGDLFIVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVAEAAAQRWRTKYPSSRVDDCSAACLFLRDQDWGSSIAPAKAKAAAAAARAPHGHC >Dexi3A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:3A:3392696:3393610:-1 gene:Dexi3A01G0005250 transcript:Dexi3A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVTAAAKAQQGGAPSSSAAGDDPELRAFLAEADAAKSEMAAMRDELSRLRIAHEASKNAVVVGSGGATQAALVRLLGSARRLRARLASMDRRAPAPAAQAAAGLRGRVHDLTADVQALRRQISAERREDAARRYLAVAGDAPSEEQLDRLLASTDDDSDAAMRAALLSAPAAVAEEQEEAAREVAEVFVDMATLVEAQGAPLDNIERHVAAAAGDVGAAEAELGEARRLQAATRRRVCLAGGIAALLLVAVAIAVVVALVLTRKGGGGKLLVRQIAGDFGRAVKLG >Dexi1A01G0031310.1:cds pep primary_assembly:Fonio_CM05836:1A:36329133:36329441:1 gene:Dexi1A01G0031310 transcript:Dexi1A01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAESESTDNVSREGGREEALVPWRADAAGSRRASKSSSPAPTAPIPGRRARAALPGRRIWKLLTGVEDRRGGRRRRGGIGDEIGSEASSANEQERRGGRWV >Dexi7B01G0023380.1:cds pep primary_assembly:Fonio_CM05836:7B:28004565:28005034:1 gene:Dexi7B01G0023380 transcript:Dexi7B01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARKPSAAACACTADPARPSRSAPAARRTAQRLGAAAVEPERLRRVPQGARERGEHGVVRHDGGQRRGAEEGDGVGDRRVAAVEGEQLVNEEGGRAGRGGQRGDHEERVQRAHPAEDGARRGARAEQRLRRRGERRLEVVEAEAR >Dexi9B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:9B:5857266:5859837:1 gene:Dexi9B01G0009540 transcript:Dexi9B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNSNDNAMVHDSEMVDGNGVIHGNEMVHGSEMIHDNEIIHGDEMMHGNEMIQGTEMIEGSEMIQVNDLVHGHEMVQVNDMVNGDEMGHGNELINAGMTPQTTTRRRRKKSLVWEHFTIENMPGGSSRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPVMKDHDRKLALPPPAGGPGTDNDGEGTVERPSKRKYRYTGYANATFDQERSFSHLAKMIILHDYPLHIVQQSAFNTFIDSLQPRFRVVDVDTMEREVYAVFQKSKENVLQAFSTMPGRISLTIGLWTTTQTLGYVSLAGQFIDSEWKVHRRMLNFMMVSSPHSENALSEAISSSLSEWNMKDRLFTITLDNDCSSHDIYSANLRDHLSNKNNLMLKGQLFVVRCYAHILNVVAHDVIASIHGVIYNIRESIKFIKASPNREERFAQIALQLEIPSTKTLCLDVTTQWNTTYLMLLAAWDYKQAFTTLETCDDNYNEAPSAEDWKRVEASCNYLKLLYDSAHSIMAAANPTANIFFHEAWKIQQELAIGTGHEDPVFSSIAKDMHERFDKYWKDCSLVLAIAVVMDPRFKMKLVEFSYSKIYGAEAGKYVKVVNDSLHELYKEYVAQPLSLTPAYGEQGDANIMSANGNAAQGNPPSTSDGLLDFDMW >Dexi5B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:5B:9240839:9247166:-1 gene:Dexi5B01G0013010 transcript:Dexi5B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITSNDDLSTLEIAQRRPDEYGTKGNKIRLLANHFKVSVHGADVIFHKYHVKLMYEDDKPVQILGVRRKVIDKLQEIYAPDLTGVSFAYDGVESLFTIGALQNVRNVYTVVMGNASSAKVATGRSPGENTSPGGSDMKRMKRPVHVKIFKVELGCLLVGQSFFNTSLSNSFDLPGGLKGLQGYHSSFRVTQSGLSLNVDVSTTTIVRPGPVIDFLRFNQDIKDTSRIDWGKAEHVLKRLRIKTTHRNAEFTIFGLSKKSCYEQTFLWKKRNGNGADTVEVTVYDYFKQRWHIELKDSGRLPCLDVGKKERPNYLPVELCYLVSLQRYRALTVPQRSSLVEKSRKNPSKRKSDLSSALECSNYNSDDMLRRCGILIAPEFAQVDGRILQAPMLKAGNGQDLIVRNGRWNFNHKKLIEPVKVNTWVAVNFSTQWNVQDLVDRLIRCGGTKGIVGPWKRRCLVDLGVPTQCLAPEYGRTKLDDQYLTNLLLKINAKLGGLNSQLQIERSHAIPLVSRTPTIIFGMDVSHGPAKSNVPSIAAVVSSLGSPLISRYRASVCTQESRLEMIDSLFKPEECHDKGTEKSRDNSLIKELLLDFYANSNGQKPKQIIIFRDGVGESQFNQVLNIELAQIVEGTTRPTHYHVLHDEIGFSPNDLEELVHSLSYVYQKSTSAISVVAPVYYAHLAAAQVRQFVRFDDMSSASSSGAPPPLPKLPRLHESVRSSMFFC >Dexi3A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:3A:2726700:2727303:-1 gene:Dexi3A01G0004140 transcript:Dexi3A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSQRLERAVHVGAGGQSQTWRPAPPTIIPPVDGAKPSTSQKQPHPHLAAPWPSRLLPLPRPTFPAPPPSSPDQIGEAPNPKRRSQIPSRALVPATRTAARELPRGPACP >Dexi9B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:9B:5379933:5380656:-1 gene:Dexi9B01G0008790 transcript:Dexi9B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPNPNPIAIAKPGRREQRDRIGAGYASPDSIHGELPAAASKPPSSPPAEAELSLGVARSCGDPGGGGGWGGCWRSCCWPAAKTTLLSNVDHFMVPTPHPARRSRPDPADSPEFRRDSSPPLVSPNKILFTLFLASASRSSPPTSYFCSRLLVFSLPSSFPLFFSFVSSFSPTRLHNLSLSSLLPRRPRRRLSLLPAPLLRLFGLADL >Dexi7B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:7B:21646241:21647265:1 gene:Dexi7B01G0015590 transcript:Dexi7B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQLLSFVAIAAISSLLHPCESIEFHRKLSSWSTDGGATWYGAATGAGSDGNGAVDQAPFSSMIAAGSPSIYKSGLGCGSCFQVKCTGNDACSGNPVTVVITDECPSGDCMKEPVHFDLSGTAYGAMAKPGQADKLRAAGVLNVQYTRVQCSWPGVHLTFVVDAGSNPNYLAVLIKYQNGDGDLSAVELMQTGTGAAWASMQHSWGAVWKYDAASALQAPLSIRLTSSSGKQLVASNVIPVGWKPGSAYQSAVNY >Dexi8A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:8A:18576393:18576849:1 gene:Dexi8A01G0010760 transcript:Dexi8A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSLDGPFYLEQTNEAMALRAIHLHFCPRLIYVLMMSSNFQLSNQLETLHVLCCGDLRQVFPVEHEFQEKIAASNEKPWEPWKSRKGMLAFPYLRHLYLHELLNLQLICEAKMFAPDLETMYIRGCWSLRRLPATDAHRR >Dexi5B01G0020970.1:cds pep primary_assembly:Fonio_CM05836:5B:23222891:23223443:1 gene:Dexi5B01G0020970 transcript:Dexi5B01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGGDHHGFYNHLARGDGAEYVFGSNSDMLESFFFNQPPASGGGSRAGGGGADELMPPYSSSITDYLQGFLDPSGLARHLDAPTVKHELSLDVMSHDSQGTSGGAAGGEGAAAQLTPNSSVSLSSSDREGEGGQPPRRCKKKAEDDVAAAEGEEKDQENSTKARLY >Dexi5A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:5A:334770:338809:-1 gene:Dexi5A01G0000490 transcript:Dexi5A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMTPSKRPFQKNSSDHNGRGKWQKTKNSSAHKSQPKIEPGVPIFRILCPASKSGNVIGKKGGIIAKIRQETGVKIRVDKAVLDCNERVIFISAKDDEASSEHGGENGRGVAVSAGGVHEKDKVTIKEEKDDQEENHCKEEKHDLERDHSNEEKDDAERDDSKEQKDDSEKENSKEDKDDDSQKGHIKEEKDDDSEKGHIIKEEKDDEKDALEKDHIKEEKDGTFVAKEMKSEPERVVPSALKAVLFVLDRIFAAEDDNETGDASGASTSVSLRLLVLYSQAGWLLGKGGSVVKQMSADNGCEIRVLKDKLPVCALPNDRLCQHPGDGISPAQNAILHVQRKLMLPASDKEGPAMCRLIISPNQVGCLMGKGGSVIAEMRKLSGAFIIVLSKDKVPRGVPEHDEVIQISGGCDAIQEALMQITARLRNHLFRDRMPAMGPNMRPPFGSLDSQFGPYAGNHESPSMFHKDFMGRQLDGISAPWTVKGMRDVSDTSDIPGTGHREFGGFSGPGQSSMMPNLTVEVLVPRLVIPALCTDGGCLDRIREFSEAKITVAEPIVDAMDTTVLISGTPDQMHAARSLVQAFIISESFAT >Dexi2B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:2B:20445088:20446311:-1 gene:Dexi2B01G0013100 transcript:Dexi2B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAPPPPRADLMPPPPPPVPPTTDRRPRRRAREVSSRYLSTPIPSTPRLSTASSTRLALPDPRVATPFANENQPPPPPPPTGTAARRRAVQKLFDETGAYNPRASVSSTTSSSSGLGAATPRPLPRAISGPAAPTARRGYPRLPTPARAGSCPSAASAGADSDAASCCSSSDTASTATDFSEVDGGLGRAVREPAAARPGVLPRGTTLVRAQVVGAGAGRIHAGCQSTMLPLAQLGIVDLNGDEPTTGKLTTAARPPQPQGAKAAELKKAAIVGGRKVTGKQEDVHQLRLLDNRYLQHRFVNARAEAAAKAKAAAAEKSLYGIAERLMDLRESVAEKRAELDSMKREQRLCSMVR >Dexi3B01G0032520.1:cds pep primary_assembly:Fonio_CM05836:3B:34910488:34913945:-1 gene:Dexi3B01G0032520 transcript:Dexi3B01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSCANFHQQPPPAQGMLPPRHGPHPLGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSRDTWPAEPNQSNGGAAATGIVSRAVEKEEEVANGVAKLQVIRGPSARMGGMLLREVARERIDLVAEKMKVMPEEHLEDIKNELRSILEGTGGSQHIEEFLFLQKLVQDRTDLTPSLLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQSRLVEVFLYKRCRNIACQSALPAEDCRCNVCASRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIHNGQIGMGQSVKSSIGHAEMLFRCRACQRTSELLGWVKDVFQQCAPGWDRDSLLRELEFVCKIFRLSEDQKGRALFRKSLDLMERLRNAPADAINPRVILQALQELEMDTPKISENEDSGRLITPQEACNRIAEVVQEAVRKMELVAEEKMRLCKKARLAVEACDRELEEKVREAQELKVEQLRKKQQVDELESIVRLKQAEAEMFQLKASEARQEAERLQSIALAKSKTAEQDYASIYLKRRLEEAEAEKQFLFEKIKLQETQKPPHQASSSGAGGDTAQTMMLSKIQDLLKNVRSMPAKSEGH >Dexi2B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:2B:29635898:29636675:-1 gene:Dexi2B01G0019500 transcript:Dexi2B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVPLIVVVVFAAASCGVLLAGASATPSRRTPADVYHVGDAKGWAVPPGNATDTLNRWATSHRFRVGDVLDFKYSKKDSVLLVRRGDYDGCGAARPVRRLPGGGGHTRFRLDRPGLFYFISGVPARCEAGERMVVHVVELGATAPAPAPAPAWMDEEPSHPSGDRPIPVAFRLFVAAGLGFVSGCFFAGLVLWLCINWPR >Dexi5B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:5B:3723032:3725692:1 gene:Dexi5B01G0005520 transcript:Dexi5B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSSRRALHTLHRRLLLHPTPSARLGPPTPAPLPIPRHSPTPTSSFRSFTTARPDARLGHRLLLQRLVGGVRSASTGGRSKLPPLGQGVKGLGKPVEAARSAAARYRSAVGLQLEAFWRRNYMILVGAGGVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAIVAFAGMYARARLTINPDKVYRMAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFKLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDEEDEQREREEAEREEAEALRREEERLRQEAEERRRREEAENLEKAV >Dexi1A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:1A:4004255:4006422:-1 gene:Dexi1A01G0005520 transcript:Dexi1A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRVGSPDLSYGDSVGIARNRSVILRTIHGYYKEALDALPLEDMPELSPWLLDAGVCFGFADPVTNIVANTLCFLSENYGEADHEGATADILRLLPDKNGEPEPDGTKKRKWKAKEAAMSREEVLSKIGAGNTPSSQSQEARTIAERSLDGLVMFLTSYFCYLPSWDALRYLCLAKADLLVAVRLIEIDRCYDKEDGFCIGSYAAKTALKYAALSARQPNASDFYSISLASRLKLITQAVLADQLSAEKIRWLSRMLKKTTYKLEDLDNPMLVADERVHSCCHSNAIGEKVPGGLTISLRSVLLDRIHSHYLKAISHIPTQDVRFCYHRGLVNAGFCYGPLDPVANIIVNTIWYDTTFPALENLEVDMICTSTFVRVESRSLSGLINHLLTCIPEISEHEAMVYLLKNNLEVKRAIQMARSEGCDISDSDITAYKVAAIGSFHPEVEAYIVFVTQHLPSVQSTVKSLLKLPNTLSSSDVHQISTLLCPSNCNPAKPLKANLEMVDELSSDALEMFGWFKEDFIFQQNFLRKKIETALKNKLVAAIVSMKALELCIRMRTTMAATMTL >Dexi9B01G0037510.1:cds pep primary_assembly:Fonio_CM05836:9B:38898215:38906789:-1 gene:Dexi9B01G0037510 transcript:Dexi9B01G0037510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGISDQLFVFIKLESPRLAELNLAPHLFGSHPVAGSWDPCKALPLERAAAAVWDLSCVVPSQHGKDVLECKVQVETEMLSPFDLAASWRAHQEYLQPSRVRGANDVTINTGLEGRAKDMPAAEGAVAAAAVADQMYGPKEDRKLTIVLVGLPARGKTFTAAKLTRYLRCTVGSSMELISFTMILYISVQEEFLTGSSSFEQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRIRRNMLMKMAEGKCKVYEPVDEGSYIKMIDMVSGKGGQLQVNCHLTPRPILLTRHGESMDNVRGRIGGDSSLSEAGQLYSRKLASFVETRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGVCDGMTYDEIKKSKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADKPLEEVPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAIHPTAGL >Dexi1A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:1A:26776030:26778328:-1 gene:Dexi1A01G0019770 transcript:Dexi1A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAAASAVDLLRSQIPFQTDGELVLPPRGVGLVLVDLCNGFCTVGAGNLAPVAPNKQVEKMVAEASRLSKLFCDRNWPIFAFLDTHYPDKPEPPYPPHCIIGTGEENFVPDLEWLEKEPNVTIKRKSCIDGYISCIEKDGSSIFSDWVGKYQIKTVLVLGICTDICVLDFASSTLAARNIDRVPPLQDVVIYSEGCATYDLPVEVATNIKGALAHPQDLMHHIGLYMAKGRGAKVVDRVVVEP >Dexi6B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:6B:19928674:19929522:-1 gene:Dexi6B01G0012340 transcript:Dexi6B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVAKPSPLPPYPEMILAALDGLGDKNGSNKSAISRYIEGKYGELPPAHASLLTAHLARMKESGELIFLKNNYFRSDAPDAPPKRGRGRPPKVRDPNAPPPPPKPASTGSGRPRGRPPKAKDPLDAAVAQATAGMPKARGRPPKKAKTEAAAAPAPAGDGSTPVKRGRGRPPKVRPVVPSETAAA >Dexi5A01G0031360.1:cds pep primary_assembly:Fonio_CM05836:5A:34073718:34074044:1 gene:Dexi5A01G0031360 transcript:Dexi5A01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVTPTATPPLGVGRRYVRATRRREEAKRRRDTGSPPAISQRGAVASRGAAGCGWSPCVLPWAHELSRRGRNTHVVWGSAISARDHELSGRTTQRATRLSERGPRL >Dexi4B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:4B:6889098:6891303:-1 gene:Dexi4B01G0009500 transcript:Dexi4B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAMSLTTTSRLAPICRAQDVSNKQAAKQKRPPPSAKPTPPDATTAARLDRRRLLQSAGLGLGLGLATASRARAETTTAAPPATAPEEVTSNRMSYSRFLEYLDAGAVRKVDFFDNGTVAVVELDDPALGASRIHRVRVQLPGSLPGELVRKLRDRGVDFAAHPVEPNPGLVFLDLLLNFGFPLLFVASLIWRSITMNNPGAGGPNLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFTAVGAKIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFDGDSGVIVIGATNRPEILDDALLRPGRFDRQVSVALPDVRGREEILRVHCSNKKLDPDVSLNVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVKEIDDSIDRIVAGLEGTSMTDGKSKLLVAYHEIGHAVCATLTPGHDEVQKVTLIPRGQARGLTWFLPGEDPTLVSRQQVFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALVEPAAQSGDVVMRMLARNSMSEKLAADIDAAVKRIVDRAYEVAKEHVRRNRAAIDQLVDVLMEKETLSGDEFRAILSEYVDIGKEQRDTAARTELVTA >Dexi8A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:8A:19855982:19864045:1 gene:Dexi8A01G0011270 transcript:Dexi8A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATARLVSVLQESQKAPRRNSSVAVLAIGTANPANCILQEDYADWYFRVTKSDHLTALKNKMKRICDKSGVKKRYFHYTEETLAGRPELFLDPTLPSLSARLAIAANAIPELAAAAATKVIAEWGRPAGDITHLVVSTNSGAQAPGADLRLAELLGLRPTVQRTLLSLHGCFGGCSALRLAMDIAGSNRGARVLVAVAEATTVLSFRPPVEGHPDALVAAALFGDGAGAVIIGDDDSTATNVERPIFYMVSASQVTLPGTEDTLSMRLQEDGYDIGISAAFGLEPGKLAASRHVLSEYGNMYGATIIFVLDEIRRRRRQDREQKERDDCDYELGVMLGIGPGVTIEMMVLLAAGSVDGF >Dexi3B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:3B:11324599:11327712:-1 gene:Dexi3B01G0015630 transcript:Dexi3B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSCPGVSMSKKAFELGQLASCRCRSPGTRAAPRRRRTPCVCSVASPAQPGLAAIDVPRQTIPTATTPSVPERISVSSLLEVVSDDLLNLNNNLKSVSFVYKPHQNPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAALSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLIAASTRSAAIFSGVGATICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQEEPRLREIIDSEFSEPGSLGTAIELVHRSGGIRRAQELAKEKGDLAIQSLQCLPRSEFRNTLEKVVHYNLQRID >Dexi3A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:3A:6683221:6685052:-1 gene:Dexi3A01G0009560 transcript:Dexi3A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAASTATRFLPLLPSPWLRRGRVALLPTPTAPRPLAVTVPAASRRPGDGEGGRRERTRRRRARGAEQEEGVSLSSEKETVNSTPGAQTNKGTEPVPAGKGPAIRRVTLVVLAAVVFGISIALRDGGEKASEYFAGRGRI >Dexi8A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:8A:29202165:29203010:1 gene:Dexi8A01G0017540 transcript:Dexi8A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSEALRLAAVPMTCGNAVAPGNSPPNLTPGPVSDTPWSASDHHSYPPTPSLGTPAALFTSSRTFSARVSRDTKSLARDLAGSERRQNGSEEDDPPAAHANADRALAGVGEDDDMESRRRRRRRARRRGMAAGGGGVGRRRRCGLFFPLQSAAACEKR >Dexi2A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:2A:29212537:29213231:1 gene:Dexi2A01G0017380 transcript:Dexi2A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPALCLLMTLLAFYLLVPSSALPLARLQKMSMQETEQMPSVGSTSEPKMKMEGIVPEDDGSSNSESQRMAFETQDYGPPTPNNHHKPPGWR >Dexi2A01G0031460.1:cds pep primary_assembly:Fonio_CM05836:2A:42201410:42201729:1 gene:Dexi2A01G0031460 transcript:Dexi2A01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPNRFLPLAPGRAMGLLFVQSLRGPKVFKCKNCRVVSASSDAIVSKDFRGRHGPAYLFDSV >Dexi4B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:4B:10092233:10093672:-1 gene:Dexi4B01G0012320 transcript:Dexi4B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRIDARDPLVGFSPEFIIAMYHEEFVYNGFTGSNLAVDGAARITSTGLIELTNDTARVKGHAIYPSPLRFRHSPDGMVQSFSVSFVFGILSSFGDIRGHGFAFFISPSEDFTEAFPIQFLGLFNSLNNGSSYNHIIAIEFDTIQNIEFADIDNNHVGIDINSLNSLKSHTAGFYSNGIRR >Dexi1A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:1A:334715:338271:1 gene:Dexi1A01G0000550 transcript:Dexi1A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPFLSFPKIPPPPPPLAAARPLLTLIRRPLSLPSRPRAAPDDATPSDGVGPAAPTRGDHFLGRQIATEAAARVLAPDDADRRRRRKEKRRALARKPAVLSSCYGCGAPLQTAEEDAPGYVDTATYELKKRHHQLRTILCGRCKLLSHGHMVTAVGGHGGYPGGKQFVTAEQLREKLSYLRHDKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCVGDWVVESVVKKKLNVLSVHLTSSKSLVGITGVISEIQQEKKGSANVGKSAFISALLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGANDTDVELSGNSLFWAGLVRVDVVKALPRTRLTFYGPKKLKVNVVPTTEADQFYKTEVGVTLTPPTGKERAEGWAGLQGVRELKIKYEERDRPACDIAISGLGWISVEPSGVPSNSRDDNAEEEYGDGEVHLMVHVPKPVEVFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >DexiUA01G0020060.1:cds pep primary_assembly:Fonio_CM05836:UA:41948511:41951372:1 gene:DexiUA01G0020060 transcript:DexiUA01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEAEFICQTVRLEKLDIATGESVEFAEVLMIANGEEVKIGVPFVDGGVIKAEVVAHGRGEKVKIVKFRRRKHYRKQQGHQAKRLGVKRFGGESVLAGSIIVRQRGTKFHAGNNVGKTGMKQQAGIGILLALTTAMCWGALPIAMKQVLEVMEPPTVVFYRFLMASIGLGAILAIKGKLPPLRIFRKPRWLVLLAIATGGLFGNFILFSSSLQYLSPTASQVIGQLSPVGMMVASVFILKEKMRGTQIIGASMLLCGLVMFFNTSLIEIFTRLTDYTWGVIFGVGAATVWVSYGLSDWQLACLIFCGLNTLVGYGALAEAMARWQAAQVSALITLTPLPMLNMLGYLGAFVVVAGAMYSAIGHRLWGLTESKMKFVDEATILVVAGDGGNGCVSFRREKYIPRGGPDGGDGGDGGDVWLEADENLNTLIDYRFEKSFRAERGQNGQSRDCTGKRGKDVTIKVPVGTRVIDQGTGETMGDMTKHGQRLMVAKGGWHGLGNSRFKSSVNRTPRQKTMGTPGDKRDLQLELMLLADVGMLGMPNAGKSTFIRAVSAAKPKVADYPFTTLVPSLGVVRMDNEKSFVVADIPGLIEGAAEGAGLGIRFLKHLERCRVLLHLIDIDPIDGSDPVENARIIIGELEKYSEKLANKPRWLVFNKIDLMDKAEAEAKAKAIAEAMGWEDKYYLISAASQVGVKDLCWDVMTFIIENPVVQAEEAKQPEKVEFMWDDYHRQQLEELEVEEEEDWDDDWDEDDEEGVEFIYKH >Dexi9B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:9B:4313588:4315240:1 gene:Dexi9B01G0007130 transcript:Dexi9B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTALRPLVPPAASPSPHLATGASPAAGRRSPAVAARAVRNYDSIPKREPFSSSRSILDEFLRQEKPLVQRTKDQITDYCTTIEGDECCSCWDAYFELNKLEQELPKEEITRMVKDSEGDVRYLIDSIHHRSNLRKKMAEKARDTVSSSSQGQTAKPRPFPVPDGLPKTQEELAEEEEALMPESPYTRLLRRMGRYPDWYTPSPDHETD >Dexi2A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:2A:33722155:33724834:-1 gene:Dexi2A01G0021660 transcript:Dexi2A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGALVASRLARSGRTLASAVAQAPAAQRTAPPLLSRLGTVARALSTKPAAGDVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVARNQNGDLLVGITASRQAVTNAQNTIRGSKRLIGRTFDDPQTQKEMKMVPYKIVRAPNGDAWVEMAGQKYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLEYLVNEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADASGAKHFNITLTRSKFESLVSNLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQEVVSQIFNKPPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGLPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSCGGLSEGDIEKMVREAELHAQKDQEKKALIDLKNSADTTIYSIEKSVSEYKDKVPAEVTKEIESAVSDLRAAMAEDDLDKIKQKLEAANKAVSKIGEHMQQGGGGGSAGSGGSSSGGDQTPEAEYQDAKEAKM >Dexi9A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:9A:2060304:2063114:1 gene:Dexi9A01G0003930 transcript:Dexi9A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAAISAGPTPPSATAAVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRKNGLPQGYKGCQFHRVIKDFMIQGGDFLKLKLSLLLYVLQNDGTGCTSIYGTKFDDENFIAKHTGPGLLSMANSGANSNGSQFFLTCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACTISECGEM >Dexi5A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:5A:11583976:11588038:-1 gene:Dexi5A01G0014500 transcript:Dexi5A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWARLASRSRLRPSASGVRGPPRSSPPVPPPPPRAGRAGPAAPPPPPPLRRLLRLPQVRSSSAPTTSSLGDRWRCLGGERRWLASEASAAVPAGEAAELVEVPLAQTGEGIAECELLRWFVAEGDQVDEFQPLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMIVGDSQIVSPDNIFPSADKSLGEESVVPSSESNIHSGTLSTPAVRHLAKQYGLNIDEIVGTGKDGRVLKEDVLNYAVSKGLCREQSSSLEESTGQVELLKEAKPLPGVPLYEDKKIVLRGYQRAMVKSMSLAAKVPHFHYLEEINCDSLVQLKTAFQNVNKDNTIKHTFLPFLIKSLSMALSKYPMLNSSFLEQTNEVVLKGSHNIGIAMATTNGLVVPNIKNVQSLSILEITKELARLHEMASHNKLSTADIEGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENVYPSSIINVTVGADHRVVDGAMVARFCNEWKGVVEKPELLLLHMR >Dexi3B01G0022160.1:cds pep primary_assembly:Fonio_CM05836:3B:17029520:17033690:-1 gene:Dexi3B01G0022160 transcript:Dexi3B01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVAASDPGGGEPKLVASADVEMMEADGVVPAPAAEVKADGKAAVAADVGQEEGDVAAPALSDPLYATESAGMVGVEGPGDEPVKGVEGLNGGDEGRLEAGAGGLQNEAEMKPVPVEDSTAATGQEVEAAASSKHAEAESNKLEENHINAERGTDNSETDYGVAHSDKEIQNNVSGEVEGTSKIYEDERAPVVEQPDDGSLTLPQTGEQFPDVGNGPSSNEGAAGLGNIVQGARYCLLPLDKGSFQVADLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLVAYFGDSTFAWCDESQLKPFVTNYSQMEKQSSSDAFVGSVNNALEELSRRILSGMSCSCLPEELADNGMSYTVDNAGLKDGVTCSAVNRSEIINSFSPENLLHYIKDLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQSGDGWVEDGLDGTDTPATQNVMVEEVVINEVQPTQPTPDKPKRGRGRPRKQKPGDGQVVMEKRDLSNRANVTSHDEKQTVMDFDDFDTLQNKKKRNFDLFEDSEKASTLTGGSSFKIGECIRRAASQLTGSSSIVKAQNEPTSYKNAAEAENGEFDISSDDAVDELTVEKRAKRRRLHRNHTADPKELLSQLCLVATEPMNGYSFSAMIISYFNDYRNYIVSTTTEANIVEKGTSRRGRKRKEVVLPSPEVETTDHMQDSYWSGLSLHNHPIHDLRKESPNTRPRRRRRSSRHAYVPLSELGDSVPKKQIQVIERSIIHVDEKMVDELKPTALVLSFGRSASIPSELDLVKMFSRYGPLKETETEVDRDTNTVKVVFKKRADAERAFSAAGKYGTFGPSLRSFRLVNMPFSLKTLSANNPVKHSEDHGVEIPGSCNCETPKDAMEVDLVQKTEKVEVAVEPSVEQVETVKQVSQVEAANTAFTSLVDTVEKTGKGDAELIVHVNQIGTTAQDVTGASLGGTIDEIQLGDAPYQAFLADTTNTFQVEAAPEAQTSQSDARIKESTQDVSDSMEMNVTAEAPKHTHISGDIAVSEAITETPGTAQASSEVDTANELKVAVEVESKTTVEVSGEQVYSIEQTVQVEAVTEASAGKLDVGRQTPEDESKTEAINEHSTVIVEETVEPKTAPAEENIKNNAAAIDVADVTAAGETKEEAPDEKGKTENKAAADTLAGETRGCETTPEAPDEKAENKATAEPVTGETAEATAEPVEEAKASEEMVEDVKALDDKTMPVEKPVEDAMVVTQDERTNTVEKTVEDAKVEEPDDKTTTAEVTMEDAMPDRKNSEAKTTVEGATLKAPEGKDAAAEKILEDTTVKAQDVQAGASE >Dexi1A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:1A:1390363:1390599:1 gene:Dexi1A01G0002160 transcript:Dexi1A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMPAGDDGGDDLKTSWPELMLNAADRINIDRPDVSVAFYVLPTPLPTDYDANRVILVGDDRSVVVRTPVIG >Dexi2A01G0033250.1:cds pep primary_assembly:Fonio_CM05836:2A:43514938:43516262:-1 gene:Dexi2A01G0033250 transcript:Dexi2A01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILGDLYSPVRRTVTAGDLWAESRSSKSGKNRKRRSWEFDEGDDDFEADFEDFDDCSSGEDVDFGREEKEFQMSSSNFVEFNGHTSKVVSRKRKTQYRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNTAEEAARAYDMAARRIRGKKAKVNFVDTITGAAQRHPGRVPPRAKKIMSQESLKSDNTSDHVVSAGTSTDGTVVKVELSESLAFPLPRSSAWLDGFQLNQLSGLRHLEADAEEITEEADHETAVAADMVFGNGEMLLVDDLGYYEPYPNFMQLPYLEGNSYENIDALFDGEAVQDGVNIGGLWSFDDVPMDRGV >Dexi1B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:1B:23442442:23444156:-1 gene:Dexi1B01G0017050 transcript:Dexi1B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTEADGGEENEQIDGALVLSIEKLQEIQDELEKSCKPIVNEEASDKVMEVEQKYSEIRRPVYLKRGDIIKTIPDFWLTAFMSHPLLSELLTEEDQKMFKYLDSVDVDDSDVKAGYSIHLNFSENPYFEDTKLTKTYAFADDGTTTIKASQIKWKEGMSNHK >Dexi2A01G0037640.1:cds pep primary_assembly:Fonio_CM05836:2A:47137599:47141078:-1 gene:Dexi2A01G0037640 transcript:Dexi2A01G0037640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQDMENRVKIMLKLLGQEADSFGKRAEMYYRTRPEVISHVEQLYRAYRALVERYDHISKELHKANHTIATACPEEVQYAMLEEEDGDFPKAITPINSHKIHRSTVQEILNRKRQAPSGRNMPASAPHMTTEEAEQEISRLQKAILVLQTEKEYVKSAYESGIARYWEIEKQIADTQEEICLIQDKFDAHAAIHDDEARALMTIAALRSCQGTISRLVRHFEELIRIAAMELEKTKSLRPQLYTMSGNIDSSSREASITETSNRRVYPITQRLLELQPAYDKIDSFFANVSESSAEEIADSVDELVDKVVNLELKLPKQSAQINQLKQENDNLKNKLDELQDEMALCDHQSSLNAQLKLLEDELNRVRILERSIIEEEVSVSIGFSEVFSCIMNISKALGSLEHEDLYNFSNDVGDSATPSTDMSVEYFTEGSKVGEFRDIEAPALNDCLGQDREDFPEVFSDKGNDGIHGSKNGDEERFSTDNCLMQLVRNKTYCSSGNENASGNFIQGQILNGEYPSTEASESLMEIAEGNIGHGNAFTGSSVVQEERLGDIKPQNICGQISTVASSEFCTLKENEPLEESSLPETTCFSGADKSLESRHTNETKSVENLPNQGGNLNAPQSVESLNKCSQVIEIKEDACVSLSHVDNIQGMNDRINADAYSSDATSLCVRAGDSEGTEGSCGQALGVLTDLENMASDVRYSQLEKKSSNGKELASKATTSNNHGGWSEGENAAMVGQECVPSWQEFLLDGLEGREAILLAEYTSVLRNYKETKRRLTELEKKNQEHLEETKAVIRELKNANSMKYVEIRSLRNLLDSSEMPPSKAGSNSTGLSSTRSFREIDRSNCILDREISTVEGSSFSNIESPENTSPFEARFRNDIDTLVEENLQFLVRYSMACHHMQEFNRRYQEVQKEMEDTEDNKGGSDPAAEPEPAEKKLRELGTELDVWFEQNALLDQEVQLKSASLCRLQEEIAEALRGSPEIAGERFTAYEAAKFQGEVLNMQQSNSKIESELQAASERMKSLQAKVNDSLRELHENFEISSRRLSQPEAESSYEKQFKHFPSRTRVPLRNFLFGTKRKKKSIFACINPSLQKQFSDL >Dexi2B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:2B:12903725:12906986:-1 gene:Dexi2B01G0011320 transcript:Dexi2B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSLCYGDIHPDSILNKEKHIKKAEKAHRINLLNYHSKDNPGNENQVVMQPKAIKGGTPMKVTQRIDVSKFMSYIKVSRTQLNHIKRLKQSGDGIQTKHVSRVIGGLDKSHVKPYGDLLEDEQRRLREHWLNMSCNDLPSAFEVLKDRKVLMEQSRKLLGLELEEKNVSVSRKVL >Dexi4A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:4A:866618:868595:1 gene:Dexi4A01G0001310 transcript:Dexi4A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRSWSLLSSTVVIWGGIATTGLAGIFLFGGKEKFQDYLCREGERLRQQDRARARAIDALRQELLNLTRDIRRY >Dexi8A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:8A:1001398:1001847:-1 gene:Dexi8A01G0001390 transcript:Dexi8A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTRPAAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLLLKAIEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Dexi4A01G0022720.1:cds pep primary_assembly:Fonio_CM05836:4A:26018329:26027961:-1 gene:Dexi4A01G0022720 transcript:Dexi4A01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGSGPWLRSANGFLGRHVWEFDPDAGTPEERAEVERLRQDFTRHRFQRKESQDLLLRLQVYDGCQNWETIFTVQSFCSTDLIHEFCPTVERAHDYIKRSQALMLLSKISPNLVGNSMEEERLYDAIDCILYSMVYPRSSII >Dexi1A01G0031840.1:cds pep primary_assembly:Fonio_CM05836:1A:36662203:36664465:1 gene:Dexi1A01G0031840 transcript:Dexi1A01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDADSLSELRPVYGLIFLFKWIPGEKDERPVVRDPNPNLFFAHQVINNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAIGNSESIRTAHNSFARLEPFISDEQRAATKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCGGPDDLDWLRMVQPVIQERMERYSQSEIRFNLMAIIKNRKAVYSAELEELEKRREQILQELNKTSATESLNDSLTEVISAIETVTEKVIMEEEKFKKWKTENIRRKHNYIPFLFNLLKMLAEKQQLKPLVEKAKQQKSSSPSTR >Dexi2B01G0027970.1:cds pep primary_assembly:Fonio_CM05836:2B:36700610:36702747:1 gene:Dexi2B01G0027970 transcript:Dexi2B01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGMALTRLIVVFLLGAALPLFSFFVSPAEANQLGVNYGMNGNDLPNIPSVVNLFQQNGITMVKLYDANQTVLTSLANTGITVMVMVPNQYVAAVASSSSTALQWVQDNVAAYLPATQINAVAVGNEIFDSSPGLTSSLVPAMTNIQAALAQLNLADDVKVTTPIAFDALAVSWPPSAGAFRDDLAQSVMMPMLNFLQQTGSYLSVNYYPYLTYMAQPGVFNLDYVLANPNPGVVDPDTGLTYYSLLDAQRDATYYAMENLQGSSASNAMGESLAASSAGQTQVHHTEHGAPSGGGLKRGGGGARRRRLLQAGGGAVATVANAQTYMNNLMNRVLTGNTGTPHRPDADMNVYIFALFNEDQKGTGSDDVEQHFGLFYPNMTKVYEFDFQHVINPSGGGGPPPPAAASWCVANADAGDAALQAALDYACGHGADCSAIQPGASCFQPDTVVAHASYAFNSYYQTMNRAPGACDFSGSASIVYQQPSFCQAPASWCVANPSASDAQLQTALDYACSNGADCSAIQPGASCYQPDTVAAHASYAFNSYYQNENQASGTCDFSGSATIVYQQP >Dexi8B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:8B:19165807:19166228:-1 gene:Dexi8B01G0010590 transcript:Dexi8B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGARPMAWVVDVEKTLSEADASVEVSRWQHHCIYRVPACIKDLRPKAYKPQVVSLDPFHHGDPELVSMEEHKRRGLRHLLHRANKPLEAFAAAVEEVADELASAYLDLGAEWREGDGRESA >Dexi1A01G0022420.1:cds pep primary_assembly:Fonio_CM05836:1A:29100655:29101732:-1 gene:Dexi1A01G0022420 transcript:Dexi1A01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFCRLPSNTNPPPPTALSFGAWPRDGHLAVLRGRPYPHRARAPPRIAAPDSRPLEAICTNSAGLGTKLSGNKFFPVEMTVRDCDLDQYGVVNNAVYAYYIEKANNVTVPSAREEVASRLGISIVSIASTGRAMAISELNLKFFAPLKRGARFVVMVRVVQIKGARMIMEHTVETLPERKLVLEAKATIVCLNKDYRPTRLFPDVVSKLLRYFSS >DexiUA01G0009650.1:cds pep primary_assembly:Fonio_CM05836:UA:19063070:19066607:-1 gene:DexiUA01G0009650 transcript:DexiUA01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEILRAELSSKTPPFGLRLWLVIGICIWVLILCILGFMCFWFVHRRKPSKSFDKIPVSQIPDVSKEIAVDEAHEHAVVQSFQVQESHALAVQETQYEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATKRFSKENVIGEGGYGVVYRGRLINGTDVAVKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIVLGIAKALSYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNERSDVYSFGVLLLEAVTGRDPVDYARPANEVHLVEWLKMMVGSRRAEEVVDPDMELKPTIRALKRALLVALRCVDPDAEKRPTMGHVVRMLEAEDVPSREVVLLL >Dexi8B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:8B:1956542:1957765:-1 gene:Dexi8B01G0002780 transcript:Dexi8B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRHLPPVLALVVLSLLSLSFRNRRLLLLPRGPSPYAAGVSGDALLRHLAAADAGGDQVLADAAALLANASVTSFPSISNRYRLLYLRLPRHDNATSSGSVSGAPSQRAVSRLRVPFVTVPDDDALLAAFRASLRSFLLAHRLRRRGSSNLNLLAGLPGLLGGGRPRRFPTCAVVGNSGILLGGGRGAQIDAHDLVVRLNNARVTGYSADVGAKTSISFVNSNILHYCAVRSAILAGGCNCHPYGRSVPMAMYICQPSHLLDALICNATATATAAASPFPLLVTDARLDALCARVAKYYSLRRFVAITGEPASNWSRRHDERYFHYSSGLQAVVMALGVCDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYHDLQERPEAVPFLAEAPGFKVPPVKLYR >Dexi9A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:9A:13940238:13941356:1 gene:Dexi9A01G0018910 transcript:Dexi9A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDSAADFVRDRLGRPGVPVCDSTTCCIASRLLWPTLYRSSIVRPDDLKYALPSARLLENSWTQTAPSRET >Dexi1A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:1A:1348229:1350148:-1 gene:Dexi1A01G0002060 transcript:Dexi1A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGVAQAVALSNGHRMPAVGLGVWRMEKPAIRSLIHSALRIGYRHLDCAADYQNEAEVGDALAEAFQTGLVKREDLFVTTKELVQLRVLLVMMVC >Dexi2B01G0036690.1:cds pep primary_assembly:Fonio_CM05836:2B:43453215:43456850:-1 gene:Dexi2B01G0036690 transcript:Dexi2B01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGNGNCNAWAAKDPSGILSPYKFNRRHEIAGVVTEVGSDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENYCPKSVFTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPVDYPLAKAAPLLCAGITVYTPMVQHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKRDEAINLLGADNFVISSNTKEMESLKNSLHFIVDTASGDHPFDPYLSLLQVGGVMSLVGFPSEIKLQPVNLNCGARTLSGSMVGGTKVIQEMLNFCAENKIYPEIEVIKIDYINEALARLVNRDVKYRFVIDIENSFK >Dexi4A01G0023340.1:cds pep primary_assembly:Fonio_CM05836:4A:26447618:26448282:-1 gene:Dexi4A01G0023340 transcript:Dexi4A01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGYLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRNVDNLDVIDRLIDDAEAQYRNFQHPDPYIEFLQ >Dexi8B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:8B:24235106:24236572:1 gene:Dexi8B01G0013810 transcript:Dexi8B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGCPSRPLHVVICPWLGFGHLLPYLELAERLASRGHHVSFVSTPRNLARLPPRRHHTAGGAIDFVTLPLPPVDGLPDGAESTNDVPGDKLEHLWEAFDGLAVPFGEYLATACAGDPTISNKQPDWVLADTFSHWAATAAHEHGVPCAMLQPSAAMIVAVACEATEVSELTGATVYEEMAAVGKRPVAMPWYEWESNSAFFAPLGASGLSIARRCSMALEKSTIAAIWSCHEWELEAFMMAANLLGKPLVPLGLLPPSPDGGRGADVHRDDATVRWLDAQPAKSVVYVALGSEVPLPVELVHELAHGLELSGTRFLWALRKPAGVPDDDVLPAGFRERTHSHGHVAMGWVPQVAILFHAAIGAFLTHCGRNSLIEGIMYGHPLIMLPIFGDQGPNARLMAGRKIGLLVPRNEDDGSFDRRGIEKAVQAVMVEEDSRKVFVANAMKMQEIVANKELHEGYIDEFVQQLRSYITDGNSSTAASPITS >Dexi2B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:2B:29917652:29918446:-1 gene:Dexi2B01G0019730 transcript:Dexi2B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAVLRAGLLAVPPPLSASSPPPFFPTPAYYRVPRLAAAGRAVRYRRRNRPSRTAAAITASLDLTEDNVRLALEEAKSEATNAADGLLGQLFDTSVGITGEAYSSRSANRQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >Dexi2A01G0036230.1:cds pep primary_assembly:Fonio_CM05836:2A:45814819:45815371:-1 gene:Dexi2A01G0036230 transcript:Dexi2A01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTASAARLRLLTVPALLLLLSSAALLVFLVLPSLSPSSSATSGHLCACSPPSTHTTTTVTTTTTTASPAPVTTSPADVAWLKAQLAANSLLADGAAASHDAWHRLRKGINPRTREQQLFDINRDRNKSNLTS >Dexi2A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:2A:27494773:27495423:1 gene:Dexi2A01G0016000 transcript:Dexi2A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWWPSLLPAWVGSEAVWFVALNAVVAIIAILSSRAPPSQQATTPRGGVTRRASSAVLQSLRSFSIFSFPSFLQPDAAAAAAAAAYQETESEMVRRSPTEPSPRALAIVRPPPPVPALAEDDHEEDEEEGDPNAMSLDEAYALVMASRQRPEREVEEEARGSEVDAKAEEFIRDFKEDLRQQRLNSIFNYTQMLKQRGLAAGRRRHPEPGQINFE >Dexi1B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:1B:24446764:24453180:1 gene:Dexi1B01G0018160 transcript:Dexi1B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLEALALAKVAEAADAIANAASAGEVVRAIHAVAALLFPVDSAAVPSRNPSGSSFFGTLIILSLWLDVALKWLRKIHASARNEIYDSFFVKGPPTEVIQALVPALSEKENSKEDHRTFCLNLERLLILCLLDNKGVSQIVAEFTHFNKHGNDVLNPDKTIFMSRVAQLLASVPDKARLGASAALTSLSFFKDVVSQVLAGAEAATIQLTADKDDNEHCALSSAFLFVGEVLSRVSRRGSTGILVAELIPRIRNHLHRCVPSDHKAISPEMIQHVYQSQFWFNMVETMRDQHSIERLTEELLRQLASQHISDDEAYWILWTLFNQSFKRLTVMRWDRTCLIFLTYMKVLLLIKSVVSIEDFILSRLESPIDLIRKMASAIALTFSKVVDPKQPLYLDDNCCENVDWEFGVLGPKEIGAPLHAVESKNKLKSRENKRNAGEKKAKAIKHDVPDVRAKIVEIKSVDHDQMSDTVTNGQFEEEECDEESMNIDASSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDLAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDISQRILIIDVMTEAAQELAETKITKTEQRRGNLITDNSPSWLVPSNRGPPGAGPWREVSEPGGTLLSWSQRYEREVPSRSGQVKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCVAMHPEASALAPALLDMIRSRDVSQHAEAYVRRSVLFAASCILISLHPSYVASSLIEGNQDISTGFEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADYSKTGRALPNKLDSIIIPFGNM >Dexi5A01G0026950.1:cds pep primary_assembly:Fonio_CM05836:5A:30502644:30503500:-1 gene:Dexi5A01G0026950 transcript:Dexi5A01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDKPVPTPASAAAGGTAPPGQPTTAASKVLDMGAAAVQSLLPVKQVKQHVCTFALYAHDPKRQLETHHYVSRLNQDFLQCAVYDSDASDARLIGVEYIVSRKVFDTLPAEEQRLWHSHAHEIKAGLWTCPRVPGLLEKPELDHLATTFGKFWCTWQVDRGDRLPLGAPALMVSPQADPAATVHPKLVRKRDDRYGFSTEELRAARADVEAPAEEHPGQADYWLRHRKGFAVDVVPHEMKCHAPFP >Dexi2A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:2A:4088592:4090154:1 gene:Dexi2A01G0004530 transcript:Dexi2A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEMVAHPSLEGMRHIIGLSYNHLPHELKRCMVYLSIFPEDYEIEKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVPSLGFDGKVESCRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSLLLGNERGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTNKHVRHACKLYLLRFLSFKNTGISKVPPQVKKLEHLQVLNLQGTSIGDGGLSDNVTTLKKLERLLCDFWVLPKGIGKMKALRELDAVKLEDGVEIAQELGELEQLEAITISVGGSVSKEVRQALATSLSKMYFLRSCSISSTDYNLEFLHDLRTPPRRLREIRIGGRVGPCLPAWIGQLTHLVVFSMWYARLNGDQLLGVLFNLPCLKRIYMGALCCVDRELVARTNYRFPSLVSLEVSVVGMEHLTNLKQVRLTGFKSSPALEHALQQVTAEKSKREESHQFQVVVKYRD >Dexi3A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:3A:14037003:14038525:-1 gene:Dexi3A01G0018360 transcript:Dexi3A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPTSVSSYPQSSLQLENRCFTYKDLEMITNNFQRVLGRGGFGDVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEYLHKGCYPPLVHRDVKASNILLNAKLEAKIADFGLSKAYLNNDSHLSTKSDVYSFGVVLLELVTGRPAIVSDPETMSIVQWARQRLARGNIEGVVDPRMRGDHDVNSVWKAADVALKCTAQSAAQRPTMTEVVAQLHECLELEDARAGGGGDANGGYYTGGSSSDPYHSYNAYAGDGQSTTDVSQTSTGFEVEHNFGRVPTMPTGPDAR >Dexi1B01G0029910.1:cds pep primary_assembly:Fonio_CM05836:1B:34035602:34036355:-1 gene:Dexi1B01G0029910 transcript:Dexi1B01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDAKEPEMQIGKPTDVKHVAHIGWDNASVTAPSWMNEFKASPGAPRGIEPEPSQAVGGGGGGENNPGGAEGGGKAERPRRTRGKGSGGGEAKRRDGAGEGGSRRDRRLAKTDTEGGEGGDAPKQRRRKPRASGGRSKSSSGGAAASDSEAARSAAPPEDDRDG >Dexi2A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:2A:22075879:22076781:-1 gene:Dexi2A01G0013500 transcript:Dexi2A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDKHHEELLKEVMENDLALRAIVAFQMKHYLWGVFEPREVEALHQPDCTSGATAFAANATSTGIATDAAAPIPHGAAFAANAPSPKTATSAAVRIPSGATGVATDVASIPNGDATDAPTGPDSSSMGAPPGRMLAFIVKQTPRLEELIREMQLEGTLVMQGEIMSTGSWPGKFATVTQHGQT >Dexi4A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:4A:8847918:8853916:1 gene:Dexi4A01G0010990 transcript:Dexi4A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQGVSSARHRQQFPKGVLRGCPECANCQKVIARWNPSGARRPVLDEAPVFHPTEEEFQDTLKYIESIRPMAESYGICRIVHQLRGSLHAFLKRKAFGNAQNFLLEYRRGSPPSVEDIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKLPPEIKSDVDDEYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAIEAIRAQWDILFLKRNSAENLRWKSICGPDSTICKSLKARIEMELAQRKDICSPSQSRKMDAEFDSTDRECALCYYDLHLSASGWFKSRVKYFSITDPVQMSYYISEILDAGQQGPLFMEIEARDRDHICTEYWRSRPHVVIEDRDSQHMPPQDPLHIALRGLFQRANCDELRALRSLLISNRTLDDNSRQQACQILDEEIAKQLH >DexiUA01G0024060.1:cds pep primary_assembly:Fonio_CM05836:UA:49407331:49409199:1 gene:DexiUA01G0024060 transcript:DexiUA01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIVAQMLFLEAENPEKDIYLYINSPGGVITAGMSIYDTMQFIKPDVSTICMGQAASMGAFLLTAGAKGKRFCLPNSRVMIHQPLGGYQGQATDIEIHAREILKVKARMNELMAQHTGQSLEQIERDTERDRFLSASEAVEYGLVDSVLTHPPHRERSALPTPHEIRHHLDDYVIGQEQAKKVLAVAVYNHYKRLRNGDTSNGVELGKSNILLIGPTGSGKTLLAETLARLLDVPFTMADATTLTEAGYVGEDVENIIQKLLQKCDYDVQKAQRGIVYIDEIDKISRKSDNPSITRDVSGEGVQQALLKLIEGTVAAVPPQGGRKHPQQEFLQVDTSKILFICGGAFAGLDKVISHRVETGSGIGFGATVKASSEKPNEGELLSQVEPEDLIKFGLIPEFIGRLPVVATLNELSEDALIQILKEPKNALTKQYQALFNLEGVELEFRDEALDAIAKKAMIRKTGARGLRSIVEAALLDTMYDLPSMEDVEKVVIDESVISGQTKPLLIYGKPEAQQASGE >Dexi2B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:2B:1777537:1777919:1 gene:Dexi2B01G0002220 transcript:Dexi2B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPGLLPDDEEWCEVLHQDVKKDVDHALAGRATSSEALTPEAECQQLMELLSANSNHEVVMNGMRIGKQLVKADGDQWEALARFWSEMILYVAPSQNLDGHAKAIASACRDFR >DexiUA01G0007540.1:cds pep primary_assembly:Fonio_CM05836:UA:14155282:14158911:-1 gene:DexiUA01G0007540 transcript:DexiUA01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPPMASKPSHSRLRAKELAHLSSPSPTRPPLFSLSYNPAPPVILPLPPFSFSARPSPPSSSLRPTFLLSRPTAQPSLSFLSLRGPSPFLPFRAAHPPPQPSQRRALSLLQSLTAWARMSRDSSAPLRRRAAPRLLGLERMPRPPSPFISAANPLGLEPLTLDAASNRRRHQSIASPPLCSHELVQELRRPKPSPSRSPAPARARRSFRSAAVRRQPPPPRNPRVEPPKRDETRREKELPSPQPSQLRRGHGLAPPRSGDLCPQAPRAPLAAAVPVLQKSPSIVDEPFEFADDPVLEEQLQQQFSEEGKYNTDHPCYLYTD >Dexi3A01G0032880.1:cds pep primary_assembly:Fonio_CM05836:3A:37730541:37731398:-1 gene:Dexi3A01G0032880 transcript:Dexi3A01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSGASNYLCIAPIFNSCLSSSKHQSSIDAAAGKNRLSFSFPDNLAGGGGKDRRQQQKPPPQQQQTPEEEQNSESIIDPAASIITRKDGRHCTVIVGTIFGRRTGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMASGLLRIALECHRRPGPIAPAMGGGGGSSSRNEWKATCNGRDAGYAVRRRPTECDRRVLESMKTMTTGVGVLPPAVAPPPEEGANGGNLQDGGDGGGCGEVLYMRATYERVVGSRDAVSYHLISPGTGGGGSPPQELSVFLLRTRGD >Dexi1A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:1A:1328307:1328573:-1 gene:Dexi1A01G0001990 transcript:Dexi1A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMPMPMPLVAELSFMVPGYGTTGVVRAAAPPEWAQEQPDPYYLLGDLELVQAPPRHSVSHLARGENMESGPMLVGLQWRQGLC >Dexi9A01G0034680.1:cds pep primary_assembly:Fonio_CM05836:9A:39422496:39424049:-1 gene:Dexi9A01G0034680 transcript:Dexi9A01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAALFTDPIILSCAFLCLLLHLALRSLSSTSSSSSPTSSRRRLPPGPPSLPILGALPLIGPSPHSGLAALALKHGPIMYLKMGTAGVVVASTPAAARAFLKALDARYANRPAVASAADITYGCQNMVFANYGARWKLMRKLASVHLLGARALAGWASVRRDEVGHLLRGMAQAAKEGRHVVVPEVLVCALANIVGQITVSKRVFDAQGDESNSYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRIHHQFDGLITKLLAEHAATAAERAREGRQDFVDRLRESMDAGEDETGETITEVNIKGLIFN >Dexi9A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:9A:2052670:2054462:1 gene:Dexi9A01G0003900 transcript:Dexi9A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINPVDDLAGHPHAGNATGGRSSQPPASNPAASFFDDHGTAAGYVPDTTAGSSHPPPPYAPAPATSGGAFSFNPSSSPPMFPGYTMDAFFPPPPVMAEPSHYWYPPCVSSAPYAQAQDAMPPPVLVPMQTMRAEPFFHTQTQRHVAAASEAEDVVVHAIADTKKAQETATPTPRRRGRPRKNAAPVDAATKPRIKPKRATVRRNRAALHQAASATAISGGQVQVPLPLPYQATNGVEVQVQQLDHQAAPNQIAPCTNPMALVCQEQWPLQPTYGNGLNAGAQDAAVIGQEVMLHYADTSAEGVRFQPTDEELIFYLRLKHAGREMPVDFFKEFDVYGAYPEKSRDVCGVVNGFWYAFSPRDRKYKNGARPARSVFEADGRQLGYWKSNTKLAPVRRRADGVQIGTVASLTFHLGRQPHGAQTPWKMKEYAIPENQHAPDGSAMRLNDWVVCKLFYRERVIAAGQEEGQLGEAAENDSGAGGDEGMQMVVPSDDQTPRDSEQQLRVEDYLVEFEASVQQNQTAN >Dexi3A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:3A:2342879:2343406:-1 gene:Dexi3A01G0003560 transcript:Dexi3A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPAVVPTESPKLSPTSQQGLRRPLTNGSAAGDFELRHWRTPKKRAAAPSPPPLIDIPNGGAGSDDESNSSGGGGGGGHGYTSLRDILSSPEYAATAGGGSPAACGITIGGGCGSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPGRRGRRWRGPLCRLLLGCLSFIGALFRP >Dexi3A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:3A:13675245:13676073:-1 gene:Dexi3A01G0017940 transcript:Dexi3A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSGKSEQEAQLVLKGTFAKDKNELLAQQFQINYDDEPAMFRKGSSVYREKKFGIGRMLPPAVP >Dexi9B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:9B:10959685:10960349:1 gene:Dexi9B01G0015980 transcript:Dexi9B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTDTHQHEHTTGRPAAAARATTTSTGAAATSRSGGLLRPALDGVSGLRGLVARVSRGLRHPAPRLLHSGVRWNAWRAVLARQVSEESPPRPSAPDVRNPVTAIPMSSAAGTSTGLKRRNTGVASARPTTEPASARPDSTSRRPPSGNSVATVSACDAVGPLSWIIPFTCSPTWRPPWPWVPPWPPPP >Dexi2B01G0027450.1:cds pep primary_assembly:Fonio_CM05836:2B:36368653:36369882:-1 gene:Dexi2B01G0027450 transcript:Dexi2B01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAANYPILAPGGVGQPSFGAANSSAAATGGGFVALDVGALSSLAGDAGPPGTPTTAPPRTPTAPPRTPKVVRSLSRKGDRKPADADANGTAGGGSERPQLFVHVAAGDLGDAPGSARLVVHTPLASTPGSKSRRFGRRPAPWLDPRRVVFLFATL >Dexi3A01G0029890.1:cds pep primary_assembly:Fonio_CM05836:3A:32401493:32401759:-1 gene:Dexi3A01G0029890 transcript:Dexi3A01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVRLDDGGNGEADAPARSSADRGPRRRSIHGTSICRSTAARLSARPPAGRWFPPSTRRPLLPVPPPADRETRCRTPQEEADAGVVA >Dexi1B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:1B:24916378:24921067:1 gene:Dexi1B01G0018730 transcript:Dexi1B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSHHLRPAPAPARGPGCATAAAPWVALRPGGAWPLSRTRCAVAAAESGAGGEAAASAPTAVTAVAPAAGGRDKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKVLNQVIEQLNKLVNVHSVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISDNTLTMEVAGDPGKIAAVQRNLRKFGIKEICRTGKIALRREKIGATARFWRFSAASYPDLIEALPKNPLTSVNRKVNGSYDHPSNAGGDVYPVEPYESSSMNQVLDAHWGVLDDDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTDESIEKLVQHLYKLVDVHEVHDISHLPFSERELMLIKVSVNTAARREILDIAEIFRAKPVDVSDHTVTLQLTGDLEKMVALQRLLEPYGICEVCALLIWSLPIKSLCATLHMVARTGRVALVRESGVDSTYLRGYPLPL >Dexi4B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:4B:1165315:1165519:1 gene:Dexi4B01G0001880 transcript:Dexi4B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGGAEAEEPSEEAMRTPRSRAAGRSGKGKGEAAAAYGGGRQADTELANSPLLTSPWSFAAGCF >Dexi9A01G0033310.1:cds pep primary_assembly:Fonio_CM05836:9A:38197654:38200368:1 gene:Dexi9A01G0033310 transcript:Dexi9A01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPAVSAVVESIKDLAVQETALLCGVIGEAGFLKDELQRLQGFLKDADSKRRSRSESADVWVSQIRDATYEAENVLEEVDYMEKRNRLKNGFIGAISRYARLPSDLLALHKVGNEIQRIRRKVREISDSAVRLKILDKSNTELDKGHAEDESLEDQSRVVQNFEGVTVVGFEDEQKEITGKLIENENRLSAVCIVVDLSKFTLLDKLPESRVFPQGLRKISLTADAIKEDPMPILEKLPCLVELLLTGYRGRTMFCSAGGFPRLQELELLYFATEAWMMEVGAMPMLSMMTLYYFLNMKKLPEGLLHLPSLKQLSLHVPSLNAEEDVTWKILIGKGCKVGA >Dexi8B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:8B:10481843:10482279:-1 gene:Dexi8B01G0008090 transcript:Dexi8B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRSVVVPPPNRCAAHRPCAPLSMVASGAAGLPVPTRADPLQGMLRGTSVRQQTAQKMRCLRAYSGVSRRMVHACARCGQCGRAVAIGSVAFTALLAASISSSHSSSSGTSPQGPRAGAS >Dexi8B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:8B:23484094:23488000:1 gene:Dexi8B01G0013340 transcript:Dexi8B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFAIVEMDEKESGIEELESMFTNASSETIRISYSVIKFITKNLRQEIGRGGFGTVYLGSLRNNVMVAVKKLDTSRGFSDDKFLGEVKCLKTANHKNIVRFLGYCAYTNGVVMEIDGKDIIADKLERFLCFEYAPNGNLDDYLEGIYYITLSHKKGYIAPEMLKHNKISFKSDIYSLGIIMKRLLMGSHGYITENWHESLTIDSQQKERCIEIARICSDEDPSKRPTISDIISMLTEAETTNQNVSPVIITEPRNDPTSTLYKV >Dexi9A01G0034970.1:cds pep primary_assembly:Fonio_CM05836:9A:39652056:39652619:-1 gene:Dexi9A01G0034970 transcript:Dexi9A01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHLPLSLTASFILPPTRHSPKFALQPPLIPNPPPPKITHLSSSLLGHLNENIIIGRLGNLDSPVRHGCQPLKIYPMANSTLQPLFFSPVFSCPFSDLAIGQSSGSLPAMATRSSSCTTPTGELTSNLAAGELSPVKAGELWL >DexiUA01G0001460.1:cds pep primary_assembly:Fonio_CM05836:UA:3942259:3950284:-1 gene:DexiUA01G0001460 transcript:DexiUA01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAALVSSPALFPFLPTASSLASASCSCRLRPAIVARQQPRGRRALRRFDEVRASLVGVTLVEGASKKRRGIGGGAGGPSQASSQRRDRGLAVDFKESQVAEFDDLEEDKFLNAVVKVYSLGSIALILHLIMVYLGRSKDNIQAVEDMSFHSAFMIGDGKLLTNAHCVAHDTQIKVKRRGDDKKYIAKLSFNYLQVLARGIECDLALLSVENEEFWKGTEALAFGRLPMLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEVWVFLFVGLSLLLQQAVFCERLLCVQGDVIVSFDGISVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRDGNSMKVQTILQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVIKLNGTAIKNIHHLAHLVDTCTYKFLTFEFEDDFLVVLHREEASAASSDILKEHAIPSIRSSDLSEPYVEANNEVQKTSEDFGESPVTNFEMGIDCLLWA >Dexi2B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:2B:27631277:27631861:-1 gene:Dexi2B01G0017200 transcript:Dexi2B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFVLVDHADSSTSSESSAAAVHHDSVVVDVIPLHSGRGGGHYRPAAAATGARALHWLPLAAAVSATRALLGASHEDLRLRARQLSRARSEAFFVARATTCCPIGGGGAVRFPEGGLYVCADVQPLVRAVVDVQRALVRIAAEEASHGACDCFYDDVRDAMSQLVGDATDGRGPAVFDRENFEAAFGLQWVE >Dexi9A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:9A:5580020:5582295:1 gene:Dexi9A01G0009310 transcript:Dexi9A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSRNMKTNSKRRLHGAITKRTVPKVSLCNLPTRIILPGKAFIFTHLRHLRLELVLYGNKKRKTDVLDFAYLLEVAPFMEKLELLNG >Dexi9A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:9A:11313381:11313605:-1 gene:Dexi9A01G0016400 transcript:Dexi9A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAMARPTCAGNERSVPAAPRRQLVGGFAERNGGFLGTELLPPKDDNRKNCDFSEEEVDKGQKKRQLFRTRK >Dexi9A01G0033550.1:cds pep primary_assembly:Fonio_CM05836:9A:38473668:38474385:-1 gene:Dexi9A01G0033550 transcript:Dexi9A01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKSAPTTGGVKKPHRYRPGTSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi8A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:8A:15517996:15522667:1 gene:Dexi8A01G0009890 transcript:Dexi8A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGEQTFIRISKKDTVGELHKRACEAFELVPDEVCIWDYYGRTKHALMDNLERTLDDANIQMDQDILVEITTVANGNVDGGCMSLVKGNDFFERESASLITDAPRPGLPNENFAANNYTSRGYNSSLTPNLYLRSPNGDLDNMHGSSGMSTRGSPLGLTGLLNLGNTCYMNSAIQCLVHTPQFTRYFCEDYHREINRQNPLGNVGELALAFGELLRKLWAPGGRAPVSPRPFKMKLSRFASQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYINSGDADGRSDEEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQFASTRSMTAVIFSCDGSLPPTQFTVNVPKQGRCRDLLQALGNACSLKNGEKLLIAEIRNHKIYRFLEDPVLQLSTISDDDRLAVYRLPKLEKRANYIQFVHRREDL >Dexi6A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:6A:27734391:27735879:-1 gene:Dexi6A01G0020210 transcript:Dexi6A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELELVCAASTWNSSSSPATAAGGHLQELDFSTAAGHRQQELDLVSSCEVLEQLFGIDDDCSLECLDDEPLLQNGELLPPAAHHQPPAAAPSPPIKRRGRKPTGPRPIGPTITHVEAERQRRDKLNRRFFELRAAVPNVSRMDRASLLADAASYIAELRGRVDHLEAKQQQEAAATSSSSSAAAMTAAGEEMKLEVRMIVGQDAAALRLTSEGERHAPARLMLALRSLDLAVQHACVCRAAAGVTVQDVVVDVPAAALLDGN >Dexi7B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:7B:23135932:23136696:1 gene:Dexi7B01G0017160 transcript:Dexi7B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLSTVEHRKVLPESYIRPESDRPRLAEVTTDSNVPLIDLASPDKHRVIAEIGLACRTYGFFQVINHGIEEELLEKMMAVGLQFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFLPEWPSNPESFK >Dexi7B01G0022570.1:cds pep primary_assembly:Fonio_CM05836:7B:27442116:27443603:-1 gene:Dexi7B01G0022570 transcript:Dexi7B01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSSSAPSLFLPTAASSEKTLRPSFVREEDERPKVPHDSFSDEVPVISLDGIDDGARRGEIRDRVAAACEGWGIFQVVDHGVDAALVAEMTRLAREFFALPPQEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVEQYSEQLMALSCKLLGVLSEAMGLEADALAKACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHLLSNGRFKNADHQAVVNSECSRLSIATFQNPAPNATVYPLAVRDGEAPILDEPITFAEMYRRKMARDIELAKLKKQAKAEKQHLQMQVQQSAPKEFKPKSLDEILA >Dexi1A01G0023390.1:cds pep primary_assembly:Fonio_CM05836:1A:30074771:30077217:1 gene:Dexi1A01G0023390 transcript:Dexi1A01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSARRAAMAAAPAPMPAAVAGWWELVNGSPAWQDGIFCSLAVLYGIIAAASIVQVARIQRRVPEYGWTIQKVFQFLNFVVNGVEFIMFVSIQIFQHVILDLPGLAFFTTYAMLALFWAEILYQARGLMSEKLRSGFYTINGVVYAMQVKLDFSKSSVLMCFNAFNKEADLDVLDHPILNFFYYLLVEILPSSLVLCILRRIPAKLQISQYHPLSSG >Dexi7A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:7A:21453648:21454454:-1 gene:Dexi7A01G0010760 transcript:Dexi7A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHLAFGGALHYACVELPSSLPNLKIANINSRNEMANTPVLRSKFLHLKKLIIRPRTTTFSYDYFSLVSLIDACPSLETLILDVSQEKMEQVSIFTDPSDLRKGQQHHKMKRVKILGFTSAKSLVELTCHFLESVTSLEYLTLESYQSIPRCSMRANKCRKCFPLPIDVLREAQRGLLAIRTYIEPKVPSMVKLRVVEPCRRCHAAVEL >Dexi1B01G0022340.1:cds pep primary_assembly:Fonio_CM05836:1B:28073481:28078514:1 gene:Dexi1B01G0022340 transcript:Dexi1B01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSINDDLCRNNASATPASPPPSASPNTTVVSSDRDGVVTGLAVGLGVVGALWLLQMLLLVCLCRRLKAKGRRGDAVVSGDGVEGGRFPKSSSGGGGGGGERFLVSDISEWLDKYRVFKVEELESGTGGFDDAHLINGSVYKANIGGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSATGDCYLVYEYVENGSLDLWLLDRNRARRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKISNFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAADERGEPLWADAEDRVFRGRDERLEARAAAWMDSALADQTCPPGSVASVVSVARACLHKDPAKRPSMVDVAYTLSKADEHFADYSGESVSVDGSGEIAAR >Dexi6A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:6A:12866744:12867169:-1 gene:Dexi6A01G0010440 transcript:Dexi6A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVRHPNIICIHEIMSTKLSIFVVMEFVTGGSLNSYLASGNGSLHEASTWCVLHQLVLTVDYYHSLGVYHCDIKPNNILIDATGNTIKVADFGLPTLVTDTLLSLSSTSSLLKAISGTPMFITPEVFLCRHGYDGAMADV >Dexi9A01G0049490.1:cds pep primary_assembly:Fonio_CM05836:9A:52106489:52109619:-1 gene:Dexi9A01G0049490 transcript:Dexi9A01G0049490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGAQARAVPLLLRHPASLRTCSGSRWSWAAAATAEGDDTRGYDKVPMDTPGAYRLVDRATGRSVIVWGGIDDGDQAAMPSPAVLSRTTNRPSREATSGPKGWGNIADVTYGRQNRKPREPLNFPQRKGPLDSGFFSRRSFKEIGCSDEIVGALRNFDFPRPSHIQALAYGPILEGRSCVIADQSGSGKTLAYLCPIVQNLRKEEVQGLHKSSPRNPRVIVLTPTAELASQVLNNCRLISKSGVPFRSMVATGGFRQKTQLESLEQELDVLIATPGRFLYLLQEGFVQLANLRWYRSSLCFSIFMFVVLDEVDILFGEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPGVHRTSSRLEEILVDCSGDDNEDKNPETAFLNKKSALVKIIEESPVRKTIIFCNKIETCRKVENVLRRLDRKASQIKVLPFHAALDQAQRITNIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPCV >Dexi9B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:9B:9426892:9429015:1 gene:Dexi9B01G0014130 transcript:Dexi9B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDEAVAAAPEEMAVDRPRPSKPVPDADGWTAVPPRRANQSDQHRRRASPPHSKLPLAVKRPPPSRKPAGESSGITVTGAFPQENGPARLPGPGSSPHDLARTNSFALRMKAGVTASRYQARRLQRPRVEKNKQGDREEGKTVVRDSPRVFCPPPRSAPCHHRCSSPMEPPCSAGHHPPSLLRKRHGPQETNGPI >Dexi5B01G0032230.1:cds pep primary_assembly:Fonio_CM05836:5B:32836750:32839045:-1 gene:Dexi5B01G0032230 transcript:Dexi5B01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSGSRARAEQARLQWREQQPARSPDMATPPTRPPRPRPARAAVVYYLSRNGHLEHPHFMEIALSCPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLSDDDYIHPVVGREYVLKGTERLHPAVPTPLLDAAAASSSSSSSHETPTSSSSGRWELPHARPAAAHRKTKSSSSCGDELGEYVVFKGDEQRATDAATQTEDSGAHPRRVAKAPTSAAAQDELSSRADTASPPRTESTTSPDTLEALIKADHGGRVVAASAVGGSGRATARASSVLMHLISCGSVSVHDARASPVIPRTHHHQQRARRPPRPPASAVAEVPASYRAKIVEDKEYFSGSIIETATKRSPADDASQDMAVLRRSSSYNAERVIKLELAKEVGDLHDRCIPRKPKAKKDGYLVISCTAQGNNKG >Dexi5A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:5A:1008926:1013155:1 gene:Dexi5A01G0001450 transcript:Dexi5A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPTASPPPNSTATAPPPATPTAAPPPSNNSSSSPPPPSPAPPSTPTPTPATPSAPPPEAPTTPSTASPAPPGTPPAPFPPTAPGTSTPGNRATPSPATAATPPPPPPSSSSGLSTPVVVGIAVGGLVLLLLGSILCVCLFRNKKKRRHHHHPPPPPPPHLLHYYGHPPPPPPPPPPHKGDQYQNWQHKAPPPPPPDHVVKMHSHLSPPPPPLNVHSSGSASNHSGGESRPPLSPGAFLSFSRSTFTYEQLVAATNGFSDANLLGQGGFGFVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGANRLLVYEFVPNNTLEFHLHGRDRPTLDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDLRFEAKVADFGLAKFTSDTNTHVSTRVMGTFGSRQAPMDDNLVDWARPLMTKAFEDGNHDALVDPRLGSEYNDNEMARMIACAAACVRHSSRRRPRMGQVVRALEGDVSLDDLNEGVRPGHSRFIGSYGSSASDYDTNQYRDDLKKFRKIALGGSGVQSSSQHTPTTSEHGQNPSAASSSDGHQATKETELGSVKKDGDSSGDSQSQAS >Dexi1A01G0024080.1:cds pep primary_assembly:Fonio_CM05836:1A:30725879:30733363:1 gene:Dexi1A01G0024080 transcript:Dexi1A01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGELWDDSALVDAFDRAVATYKEMHGKSNRATPCQDEKLAHAAATTAATAEEEEPVTAEAVDERGEKDVNCANAPCGLAEAQEQPSEEIQAVEQAHLQETDPGKEAHVSDSKTLSSDATDADGNCPGASTTYPSVSNFMQLPTKLSPNGDPVAKAAMMTAEGALNFMRSAVSGQPGSQRTESETGKKEGPSTGLNPNLDITGADSDLTVLLNAWYAAGFYTGRAGAVVILAADRPCLPRASSCLAVVVAKMSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNSNLEICDLINTEKVNSVELIRGIKKRIMLKDARIQYLSLILLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVAEADVDANLPQQAFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTTTLVQQCYQSQHTIQRIIETVGDNEAVLFEALSVNDEVQKVLSKYEEMKQPRASEHAEQRPVVIPIATEQEDSTAAGNEDALVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSQEAPKKPDPKKDDLISF >Dexi5A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:5A:8154868:8155760:-1 gene:Dexi5A01G0010870 transcript:Dexi5A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLALQLALCSFFFLLLGGSPSAAAAQWTPAFATFYGGGDASGTMGGACGYGNLYSAGYGTRTTALSTALFNDGAMCGACFTIACDARKSQYCKPGTSITVTATNLCPPNWALPSNAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCRRSGGVRFTVAGHSYFELVTVANVGGNGVVTQAWIKGAKTEWMAMSRNWGANWQSNALLDGQSLSFRLRADDGRVVTANNVVPAGWWFGATYTSNAQF >DexiUA01G0027600.1:cds pep primary_assembly:Fonio_CM05836:UA:58875799:58877989:-1 gene:DexiUA01G0027600 transcript:DexiUA01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAALILAILLTYSCVSVTVVIASQEAQRYNFRFVRHARDAPALSYYNYIVVGGGTAGCPLAATLSEHSRVLLLERGGLPYGNRNVSSEYHFADALADTSPLSPAQRFVSEDGVGRGRGRGRGNLPQNQWEQLAWHGIRKRSWQTEQTSSSGGTADADEEELISSPSSPPLGWASHGNAPALPALFSPRQVVGNRPDWELPFAQPLGEVSVVRYEADKDADAKQPFILINYIIIITNI >Dexi2B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:2B:4082985:4084294:-1 gene:Dexi2B01G0004470 transcript:Dexi2B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLRLYVVYPPEGPRPPPPEELRERAREIARKRQQS >Dexi9A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:9A:9228978:9230950:-1 gene:Dexi9A01G0014040 transcript:Dexi9A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDLSALLPDELLADVLLRRLAPRDLAVSRSVCQAWRAVVDGHCTLRAEAELLPLSLDGLLIKNNWSYTITEFFARPSIPGGRRDFMAEGEIGSWSTVLDHCNGLLLGCDYDEDDDDDLELYVLNPATRRRARLPPCPCPPLLVGVADAMEEARLAYDPAVSPHFQLFLLPYFLGLRGYGSGHELVDLAIEQSEWPPATYDLYVFSLGTTISMLSTGEDNSMCIAKLKSKEYQVIKRPTGIRKLYLGKSKKGIYCASFPEASRLQVWILNESSSPMEWELKHDRDIRQFLMKNKLGKPWHNHDEKVRGPWILQDINYNHNKNVKMKALVGKKFTWNSDASDDDETVSKY >Dexi6B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:6B:19637067:19643372:1 gene:Dexi6B01G0012080 transcript:Dexi6B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIMEKISSGEEVGGAGGAYSYSALKRLDQIWSSICEPKADSKVPEVVTRVPEMFADSDLGAGSEIFDREQEWNISRKELMEIVEIGILSEAELEQIISSDFNPNRCGFEGKGEIWVENILNLGISPAKLVETMKGRFIASGGAMFEGRSLSSIYVYDDCAVLKMSDGDSLSCRLVVDAMGNFSPIVRQFLSSNSMISQVLILLFLCKIRSGRKPDGMCLVVGACARGFEKNTTSDVIFSSSAVNRAGNSGVQLFWEAFPAGSGPNDRTTYMFTYVDPKFGGPKLEELLEVFWNLMPAYQDVVLENLDIRRVIFGIFPTYRDSPLPAAIDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGLSKGICEAVEGGFLDAHSLQLLNPYMPNLSASWLFQRAMSVRPQVNVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLTRPQILPSIFKQVGLGVILDWSGHFLMLGFYTFLSTFIDPVTRSWIESLPPRDKYQWKRYLEAWRYGAGLDYRQGE >Dexi1A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:1A:22541088:22545834:-1 gene:Dexi1A01G0015460 transcript:Dexi1A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKAAKSMAKKPICRRRFGALFAAALVAAVLAVFVFSDLFAQDRNGAESEGEFPNKEDLATATSDQELVAGNSGASPIKLPKVPCLQWARTGQQSQCRTKEKEVQELLRYDICELCGDARTIGHSSTVLYVPQSLTSNCEEWSIPAQSRKSLPWIKKVTVKSLNASQLEPSCTSRHAIPAIIFALGGFTGNIWHDVSDVLLPLFLTAHQFDRDVQLLITNSQPWFIEKYSAIFHRLTKHDIIDFDSDNQVRCYPHVIVGLRSHRDFGIDPNAVPHNYTMLDFRLFVRESYGLPAPEVDIPYRADKDDPERKPRVMLIDRGKTRRFMNAPDVLQGLDWFGFEVVKADPRIDSNLDEFVRRVDSCDAIMGVHGAGLINMVFLRSGAVLVHIVPYGIEFMADGFYGAPARDMGLRRVQYSISPDESTLLEKYGWNHTVIKDPEAIRKSGWEKVGEFYMSTQDIVLNMTRFGPSLLKAIEFIM >Dexi2B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:2B:2630909:2634136:-1 gene:Dexi2B01G0003020 transcript:Dexi2B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPYAAALELDALAATTSSALVVFPSGGAGDAGAALRLEMERWTAGGHVTPAAALHGLAGHGSGNDGLMIGDELCMI >Dexi3A01G0032720.1:cds pep primary_assembly:Fonio_CM05836:3A:37576259:37579738:-1 gene:Dexi3A01G0032720 transcript:Dexi3A01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRDEEAVATPLIAAPAQAAGGRSHAADAHFLSTAFLFIFSAYSAAQNLQTSVNTVRAVTILPSVAVQEGGLGTVSMGITYTSLTVFSVASSPLVTRLGPKHALVVGSSGYVLFILANLLPTWYTMVPTSLYLGFTASIIWVGQGTYLTSAALSHARENNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGKDGGSVTGKNLLFLVFLGFMIVGIVLMCLLSKRDEKKDHAPTYSSFGAMLKYIVAPLKDRRMLLTIPLIVYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLRSAAFIVSVGAILQAIVLFWLLLFYSPMAGLLGAAIPLFIGALWGVGDGVLNTQISALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMTAGLFISFGSFLFLTLVVEKSPTTRT >Dexi3B01G0027950.1:cds pep primary_assembly:Fonio_CM05836:3B:23767718:23770108:-1 gene:Dexi3B01G0027950 transcript:Dexi3B01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGAGGSECERKPLSEVVGDCVQRWFQDAFKEARKGDVANQVLVAQMFFSGYGVPKNEQKGRQWMDRASKYRSSALKVGMKRPGYNASDSDSDEANDDANQ >Dexi9B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:9B:466116:469649:1 gene:Dexi9B01G0000750 transcript:Dexi9B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLELAPCSILRNPLLCSSDLFSSAQIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATALTGIVLIGGMIPYVSETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDILGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARAPNAAVGGAGPRFGGMGPDLQAQ >Dexi1B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:1B:19288534:19289696:-1 gene:Dexi1B01G0013500 transcript:Dexi1B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSPSPLSVAFEPPGLEAERSYYGVGEGRQWQGGVVRCGHAADQCPDDSTLYSNRCLCWLKMGKGDQALSDSGICRIQRPGWAKACYLQGAALMLLKPLFYLQDYEKACDAFVDGLKLDPTNVEIEKVLWEAINCLKTSRGTK >Dexi1B01G0024120.1:cds pep primary_assembly:Fonio_CM05836:1B:29561005:29564869:-1 gene:Dexi1B01G0024120 transcript:Dexi1B01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRGGAADANPDGDEPHDLPVAEEANGEEARQTSDKEAVPVSDGTAALGGGTTPDAEPESDDEDGGVGSPDQEEPNAGGERAAPVAAAEEREGIVGGLKVETNGEDAISHDADGEEDEDDDGDEEDDDDDDDSTPDASPRAEVKVEGESSTGMAQSGASHRVEAEPDPFLDGDDSGTEEEQAAFMVELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQIPPPGLPQPSGTEREVVVNQSSSARVRRDAAARAMQGWHAHRLLANGTYGDSMLKDKDSMPLSSRDKNLEGFGVLKRKKASSPDYALKSTRTKVNKSQLSLPQHLVHLSLTARKEEFLSIQVLYGSIPGVMVPILICIGMLNFREDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHADM >Dexi7B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:7B:12038015:12039171:-1 gene:Dexi7B01G0004980 transcript:Dexi7B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding IELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGEFPVPDPSALVKNFNLADFNGKWYISSGLNPTFDTFDCQLHEFHVEGDKLIANIAWRIRTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGKNDAWDGYGGSVLYTRSKTVPESIIPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEEGEKTIVREVKEIEDEVIELEKEEATLFQKLAEGLMEVKQDLMNFLQGLSKEEMELLDQMNMEATEVEKVFSRALPLRKLR >Dexi9B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:9B:11032653:11033558:1 gene:Dexi9B01G0016130 transcript:Dexi9B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDSLHRRTTASPSTSQAEEDILGKETPHEVRKLGMKKMTVDPRRGRAHALLAWAPGLPALGRTSRTKVELTRAIAILVGEATQLGC >Dexi5B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:5B:21507405:21509580:-1 gene:Dexi5B01G0019160 transcript:Dexi5B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRPLQTLTLPLLRRHLSAAAAEAVVSPADYHGADLLSPPYDYLPGHPRPDPKHDEVILAVPRASSGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGLSFFLSRLLRLEVWSEHAGQGELVESVRVLPRKELISTP >Dexi2A01G0036950.1:cds pep primary_assembly:Fonio_CM05836:2A:46452034:46453892:-1 gene:Dexi2A01G0036950 transcript:Dexi2A01G0036950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGVDGGGGRSSPPPAAAPRAMVDSLMDLRGQAEMLRNIILQGSPTPPGTPADAAAPAAPGTSELIDGMMTSLKSALSALDTTGGGAGGQAAGQGRRRRRAGAVAGSARPQRRTSTRRRSHSPFLNMVTTSTLDDGKSWRKYGQKHIQDSPNPRSYYRCTHRPDQGCRATRQVQTSDDNPSQFVISYYGQHTCRDPSTIPLVIDAGAPPDCANLISFGSTTMGASTSTHSVTIIPPQQAFDPTSMLLVSRLVGYSSSLPSQLENRCGSEEVHSSSSPASELAAVVGSSGMTSLATVGSAPSEYWPGGGGDMACGPAGTASFPSSPSSLGIVTGSFGSFGNAGDDDLFGFDL >Dexi4A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:4A:20911724:20913605:1 gene:Dexi4A01G0017140 transcript:Dexi4A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLMSLFSNSDKMRKLRELLHKSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHVSKQVFDYDMLSKDDIMGEAEIDLQPMISSALAFGDAELLSDMQIGKWLKSPDNALVRDSLVNVVNGKVKQEVSLKLQNVESGEVELELEWIPLNQ >Dexi1B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:1B:3344119:3344607:-1 gene:Dexi1B01G0004210 transcript:Dexi1B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSCYEALCTTGDAALVPLLPLYRPAAYPSFPLQLASLSSAHVGFPFQVQLHPAQRSPPPTREMRRRRWAPLAAAAVCLVVLFVALLADVHGARGAAAPVPAARRGAAATAAFDAAARVARCKEGNSKRKAGGGAACAGFTGGDDDDMRVVPTGANPLHNR >Dexi3A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:3A:1390925:1391401:1 gene:Dexi3A01G0002070 transcript:Dexi3A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMETARGVAAGEGTGKSRQTGTWRSRGATARRSTRGSGARTPDCRAGERAEADGARPVVAAGVRVLGVERVEARHDGGGGGGSGGWTHGGVGGAGLGEDAEVGGEHDGGDEDEGAHRDGDAVAEAHAAARCGPRPRRADTRALSGRQLTRREGWRNR >Dexi1A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:1A:27475826:27476533:-1 gene:Dexi1A01G0020650 transcript:Dexi1A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDKDTCVELAGWALFAFVFVIIIWSEIGTAENPVYRVGIDAIAGLNPVTDLPRPLLYPEFDLRVSIDSGLWGADADAPCLDVGSAVAVSYRGVPLAAAAVASRRCPGGGAGSSVPVVARGSEVRVPEGVRFALAMDMLGLGDDPAGGAAFKVTLTAPHHQGRWKVASCWAKLGWYAAAQPRCNVTVVGTVDQAWKVAAAIIQDVVAEPEEDVAKPEGVLSMWKRETPDNID >Dexi3B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:3B:2252015:2255554:1 gene:Dexi3B01G0003460 transcript:Dexi3B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQANGGKVTPNLAMDAEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGSEFEELEAVPTSAAIDGPLEPPPSSSALVSDAPDESLANYFNSDEFIDLILRELQNAHM >Dexi5B01G0025410.1:cds pep primary_assembly:Fonio_CM05836:5B:27433302:27434293:-1 gene:Dexi5B01G0025410 transcript:Dexi5B01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYPMSCFKDEAELGTPGPIQERHEEGQAKGVSARCYSAFCFLLVVCRKLVINPNMYACLIGLIWALISFRWRIHLPSIISNSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKKMLLSLGIRFFLGPALMVISSYAIGMRGTLLKVAIMQIQQAALPQGIVPFVFAKEYNVHADILSTAIIVGMMIAVPVALGYYIVIDHPRL >Dexi3A01G0026660.1:cds pep primary_assembly:Fonio_CM05836:3A:23022746:23025404:1 gene:Dexi3A01G0026660 transcript:Dexi3A01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEGLPSSSSSLGHLVQCRICHDEEDEECTTMESPCSCSGSLKYAHRGCVQRWCDEKGSTFCEICLQNFQPGYTMPPKKTPIVEITISEHEDMQSVESRDSLIDGSDYIPYSSADGGAIWCRSLMTTFTIMLLVWHLVAVVTVEATDHCAFSLLTIYLLRAAGILLPFYIAMQLILLVQRGRQQHRLQMVEDQIRNASTMTHRNDQEQHQLVISII >Dexi5A01G0038090.1:cds pep primary_assembly:Fonio_CM05836:5A:39188545:39189591:1 gene:Dexi5A01G0038090 transcript:Dexi5A01G0038090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKPLVFLLLLLLVLVVSPASCSEPNPVLALITKDPTTSLYTTSITPGTNLLVDLAGPLIWSTTCEGGGRSTPLSCQDPACKLAGAYLPPGCRKARHHQHCHGHYCTAYPYNPFTPRQCAAGELSRTTFASTTIDATNTLRSVSLTIVASCAPRWKKLLPSPAFAAGVAGLSSSGLALPPQAAAGRNARDAFFLCLPSSGRGAALLGAATVDVLPPELQALASSMAYAPLVTKRGDDPAYYLPVKGIAVGDAAVALPSSALAAGVMLSTLAPYTELRSDVYRALVDAFDRAMGRDARVSTPAGAPPFELCYSSSALLVTKVGYQVPYIDLTLAGGGRNWTMLGSNC >Dexi7B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:7B:18371030:18377862:1 gene:Dexi7B01G0011270 transcript:Dexi7B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDADGSLASWAQFCSLSNELLGGDGDLSVGPRLAPVVADLCTRRFATLVRDYFLHSLEVNEHWPEEIISRALEDICLEKSYQEKCVLVLAHALQSYEDRTPKRKSKAVDCSSSLMPRYQLMVSSVLLTTLPMSFPEILNVYFKKKLEELSIIMAGSYESDQTGNNELFESSNTFDWNSEMDIDGQEVSGGSSLSKVYELAGDDYRVPVLGNVKKWIQAVPLQFLHALLSYLGDSVDYASGSSGLKSPLASHPSSFPGIGVPSEALLRWHMRLEYFAYETLQDLRISKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNASGTGNAGDNLLEELNRDAENQENADYDNHANIDEKQAWVNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSETVPGQEEADISHDVLDATIISSNFWPPIQTEDIVVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELEFEGRSMQFTVAPVHAAIIMRFQEKSSWTSKTLATEIGIPMDSLNRRISFWTSKGVLTESAGPDADDHTFTVVDSMSDVNKNSTVNERLAEYQMTEEEGESSVASVEEQLKKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVADEKLETRDGLYLLKR >Dexi6B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:6B:25995967:25997340:1 gene:Dexi6B01G0019180 transcript:Dexi6B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDEASRTVPPLALGGTREELPYVKETVLKKRKENADWALRNRERKAAKRQRIRAENKVVVKRPEELVAAFRSKERDFLRMRTRLKVRKQPNAEALSSKLIFAIRIPGSVDLHPHIRKVLRKLRLTKVLTGVFLKATELTLKRLLMVEPFVTYGFPNLKNVKELIYKKGRGFLDKEPFPLTNNDLIEKALGDHGIICLEDLVHEIATVGPHFGNASNFLMPFRLKCPERRLQMKKKPYKDGGDSGDRGDKINELIEKLN >Dexi3A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:3A:17280588:17281166:1 gene:Dexi3A01G0021660 transcript:Dexi3A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVSLGFIVLVSMGLASAARVARYSTADGTGTGGGGGGGYMVYMQQREGVVGVVEVAKTVGLVTVKGLDQVRGLPHIARELILVMENLPMLVVLVVVEEEDKLEVLGDLVDKGLVVALGLDQAILTGANADGNGGGSGNSQNGGSGGGSGAGAGFGNANP >Dexi2B01G0034310.1:cds pep primary_assembly:Fonio_CM05836:2B:41568186:41568434:1 gene:Dexi2B01G0034310 transcript:Dexi2B01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGRESGGAWGSEATESSEPEGHLAHDPSTETRQRRLNGGGRSGTEAGSGRGGGGAFLRSRNRRGKGSGALTLEVGRPRK >Dexi3A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:3A:1226408:1229738:1 gene:Dexi3A01G0001820 transcript:Dexi3A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEPEDEPTQKLETKPRRLYQAWKGNNIFLCGGRLIFGPDAASLLLTTFLIISPTIIFCYQMKSKLHQSNTGQQHMHRAAVLIVIITTIMDMVFLFMTSARDPGIVPRNTRAPPEADDLLLGSSTPSMDWSGGTTPRMRFRRTKDAIVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVHKFDHHCPWVGQCIGLVSKKLTTYENFRYRYDKNDNPYRKTLAENFAEVFLTKIPPPMNNFRSRVGEGALEAGFYTPYIGLGVTTPREKIDLDRENKEVLVGGMQIPTVLQNIDYGPFEDSSEDKDMNQGEKKVHFPSAWAQGNEGGGTSAAATTACNAETSEDDLNEIESRNTATTQTSAEANTEPLGQIDKMEGTLERKSQRSNTI >Dexi2B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:2B:758418:760061:1 gene:Dexi2B01G0001190 transcript:Dexi2B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVTRSETKKRRRLEEERADRISRLPDVLLGGIVSLLPTKDAARTQLLSSRWRHICRSAPLNLELDPHGPFRIRDADAEISRILSLHPAGPCRRLSIRIVSLELIHLSAAAFDRWLCSPLLDGGLQDLGLHGSPNGDDDDDAGGALRFFPVLERLSLSHVTISEASLHALLAGCHALRSLLLVLNNGFSSVRIVSSCLRSIGVHPRSRDKMFQHLVIEDAPCLERLLLFGIVIGVPLDISVISAPKLSVLGKLFDGFPKLQFDTTVFQGSSLVTMDTVVHSVKVLALANMHLSLDVVVKFMHRFPCLETLHIKAFKAGGENEWCHQQYDLIDTHDIGLKKIVLKNYRGDTSHVMFAKFFVLHARMLQLMVLDIEGTVAKEEIEGTEIKCEWIEKQHELLQTKDRASRGARFDFVCHDDKQPDPLGPEDYEQAHELSIPDPFVRFRDWYCH >Dexi8A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:8A:26540474:26543901:1 gene:Dexi8A01G0015440 transcript:Dexi8A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAQQQRAAGVGAEQQEEAEHGPFPIEQLQASGIAALDVKKLKDSGLHTVEAVAYTPRKDLVQIKGISEAKVDKIIEAATKIVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >Dexi6B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:6B:21639959:21642442:-1 gene:Dexi6B01G0013940 transcript:Dexi6B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPLRRRLRSPSPGAVVEPGGLDSLPPELLNEILSRLPLRWAVRTAGLSRAWRRRWESAPSLRFWWQDGVDPNAISGVLRRYSCPVRDFRHWHIGEASFRHSDRWLRLLALRGVRTLKLEFERSESDEESIVHTLHPSIFSCRGLTVLELKGCDIPTMPPGFTGFPNLTDLRLFNVDLPDGPRELEVLIFVSPLLEKLCLLYLELPDSHDEYLQWEIQAPKLQYLLINQLTDYGWLINELPSLEEAELDVSIYSTERDFVQLMTGLVHTKKPKSAPSLEVLDIETQTMMK >Dexi4A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:4A:3340872:3345580:1 gene:Dexi4A01G0004740 transcript:Dexi4A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPMLPRGGRILVTAHTLAAALALASTWRPGPGGHLHACSAAAGLAHVAVSRRDRWGYLMGDQLPRSKRSAPSTISRDATTGAPGAALPGREMAASTTVDAGAGLRSEFLDVLLSRRRDLQVPLSVEKGTPVKKPMYQKPVRPNEILFSGMHAWFTAFVDTRYSVTVPIIGVQGFQWAVDNNKWQARVDSIKPLFEVLLSLLEARIDLGKSEIDTEVVEKVWEKIAPALNSQFDAPYSLPLIAPRPLLLLNGAEDGRCPIAGLEEPSSRAAKAYEESGSAEKFMAKL >Dexi1A01G0027350.1:cds pep primary_assembly:Fonio_CM05836:1A:33173563:33176164:-1 gene:Dexi1A01G0027350 transcript:Dexi1A01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETAGGRLHQRRGAASFVAANKTLLAAAWVVGFALVFLWQSASISLGSGGAGGGGVFLRLMSAPLPPSRPVPRLRPTAYNLTDFGGVGDGRALNTEAFERAVEAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGAEILGIPDENYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITVSNVTILSPVSGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSSNILIRNVTARSLVSAGISIGSEMSGGVANVSVENVRIWESRRGVRIKTATGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRTAFPDITSISFREIHGWGVRVPVRAHGSDVIPIKDINFQDMSVGISYKKKHIFQCSYVEGRVVGSVFPKPCENLDVYNEQGQLVKRAVSLNSTELDYDF >Dexi4A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:4A:24173997:24174974:-1 gene:Dexi4A01G0020520 transcript:Dexi4A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTCKY >Dexi1B01G0027550.1:cds pep primary_assembly:Fonio_CM05836:1B:32251092:32253058:1 gene:Dexi1B01G0027550 transcript:Dexi1B01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCTALSFPVINMEKLKTEEKPKAMEVIRDACENWGFFELLNHGISHELMDEVERLTKAHYTNCREPKFQEFAARTLEAGEKGADVKDVDWESTFFLRHLPASNIADLPDLDDHYRQVMKQFASEIQKLSEKLLELLCENLGLEKGYLKQAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVEVPPIRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPELVAGEEERAEAAYPRFVFEDYMNLYVQHKFEAKEPRFEAMKSAIATA >Dexi6A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:6A:18768483:18770329:1 gene:Dexi6A01G0012450 transcript:Dexi6A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNFKCFQILSEFKCSPSCTRGLILGEPVCPPDCFQYKGTVDVFLKVVRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEDFTRINAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLVGVLSPLASSSQNAVQNYRVLWTGVGAQLARDVPFSGICWSTLEPIRRKLLGLVGEEGNAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLTEIWR >Dexi3A01G0031510.1:cds pep primary_assembly:Fonio_CM05836:3A:35963953:35968236:1 gene:Dexi3A01G0031510 transcript:Dexi3A01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCCLLRARVRAMATLPMAPLAAAAISSAASSPTRPVFSSSRPSRLLLARFFPAAPAPAGPRGLRTSAAAAAAAVEVGGVKIARDDVVKEDDPTNNVPDTIFSKIGLQLHRRDTHPLGILKNTIYDYFDKNFAGQFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWSGSGMDGTAYAAADLKKTLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLAEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQLNVREAVKNKLNVELR >Dexi6A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:6A:20838829:20839708:-1 gene:Dexi6A01G0013610 transcript:Dexi6A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFPLIDAAIEASDAGGLLCRDEFRSARARIVELLCDAADDGEKAEGLCALLDEAMAESLVTLRMVPAEKIELASGDLVGAVAALMRDHPSERVRGLARDVVRGWKAGVGAELAKARAAMDALNGLSTTPPPPPVNKAVLRANSDMKVKKIQEKQRPCPRKTTIVTTSRCVTENAPFPKKDTVPAAEFNPKAKKSPEAKLCPSKTTIITNSRRVTESYAPLPNRKAPIVLRTSNAKPSAQQKKTVPIVSSSIAEEEKMIATKRKLEERSRMRSAGAWSR >Dexi4A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:4A:24444871:24445341:-1 gene:Dexi4A01G0020680 transcript:Dexi4A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPDGQAGSNQIKSNQPEDLGSSPLHACAFLPSDDRDLAANAAAVSLAAAPVSFLSLKKPKTGREQTGTLSTTGAATRTTPEEPWNTILPEEFIRGESTERHRGGDGRRRAGLRPARRVEEEDVEWR >Dexi5A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:5A:6192971:6194459:-1 gene:Dexi5A01G0008300 transcript:Dexi5A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTRSHRFAVVDAFTDVPFRGNPAAVCLLDGAGARVHDADRKWMLAVAAEFNAPVTAFLAAADTDTDTAAPRFHIRWFTTVHGDRGPSHTLLQVELCGHATLATAHFLLTGGVVRSGADAVEFITLSGMVLTARLLVKEAGNGKRACNDDFSVHRVDRGGFCIELDFPVASVEECASGEVMPAMPDTLNGASVVNICKTSTIGDLMIELSSGEEVARLHPNFKEIQECAKRAMIITGPAPIGSQVDFITRLFAPNLGVNEDQVCASVHCALAPYWAKKLGKSRLTAQMVLNISYSLHPTYFASSRGGTLRLELDEHAQRVRIRGEAVTVMVGTLLD >Dexi1B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:1B:3220126:3224738:1 gene:Dexi1B01G0004040 transcript:Dexi1B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDLPIDALMELVQQIISFHMKHNAEPEAVDLLMEVEDLDLLVEHVDATNYKRACLYLSSSSKYLPTPDDMLALDIAYTIYMKFGDLASALRIALQLDKHMQYVKQVCTSTDDLLLKKQFAYIIARHGLAMEIDDEIAADDNDKEILQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIEGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSSSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGIVTCGVKNDCDPALAILMEYIGKEDSNIRIGAILGLGIAYAGSQKEELKMHLSAVLGDSQSPLEVLVFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQENVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >Dexi9A01G0044460.1:cds pep primary_assembly:Fonio_CM05836:9A:48079865:48080269:1 gene:Dexi9A01G0044460 transcript:Dexi9A01G0044460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAPSIPAAIASLQTYSTALSAFTTAWRAVESHSAALDSTLAARLARFSELELICSAMDGAGLRAHSTGTSLRSPRAPSTPRSWWRPIRAFWSSPRPRVSAALRWRKPRATGILKFPVAFSSPCSTGCARLG >Dexi8B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:8B:3235336:3236997:-1 gene:Dexi8B01G0003800 transcript:Dexi8B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKSRSSSPSPFFEDVAGYLYDRCRYPFNLKLGVESDDRWLHFNDVLKWIGWQRVESDDRWINFSDVLKWRGLLTEDSAAAVDPDICLAYSFSRLLARRYFVFPCPEDGNAQVRDFVLRELLAADKAFTIVEVQLALLHDYFFTNYHSKMKSAVLTVTQGVHSLTAKVILQEVLKEAQELLGRRQVSMEEKKRTIQQLLVRPQEDVSRMKTFEKGMHLGRQLAKQSVSLRWKIIADFWAETILYVAWSSKNNAAHIQHLAQGGEFVTHLWALLCNAGIQSG >Dexi4B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:4B:8403248:8404342:-1 gene:Dexi4B01G0011010 transcript:Dexi4B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLVDYACFRAPSNYRVPFATFVEHARQVSQFSERSIRFMTRLLERSGLGEETSLPPKATYIEGYKYCTLEEARKEVELVVFSAIEDLFAKTSIDPTTIDIVIVNCSGFSPTPSMPDMIVNRYKMRSDIRSIHLSGMGCSAGLISVELAKNLLQATPQGARALVVSTETLTPNYYLGNERAMLLPYCLFRMGGAAMLLSTSPAKARFRLKCIVRTLTAADDRSYNCVNQEEDNKGNTGVNLTMDIIDVSANTLKTNITTIAPLILPASEKLLFALSFVSVKLLKMGKKLYMPNLLTAFEHICVHAGGRAVIDGIQGSLRLSDEHVEPSRMTLHRFGNTSSSSLWYELAYIEAKRAWARGIEYG >Dexi5A01G0027810.1:cds pep primary_assembly:Fonio_CM05836:5A:31190634:31191009:-1 gene:Dexi5A01G0027810 transcript:Dexi5A01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNTYAWKPNLNQKINETEPGGRFRPLSEITGVCQRCRDQIDWKRRYGKYKQIVEPAKW >Dexi2B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:2B:26477356:26482119:-1 gene:Dexi2B01G0016320 transcript:Dexi2B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASPSPSPARGSAVAAAAAVMLLPALFPAMFSPLGHAFPSLFSEWNAPKPMHPSLLNEALRWAIPAEQKRELWSPLPYQGWKQCLKSSVSHVAVAKILNATLVIPHLEVNPVWKDSSSFEEIFDVDHFINSLKDDVSIIKVLPKEFSWSTREYYGTGILLHQQPYPSAVTASYGIAAIAPFSHRLAFDDLPVDLQHLRCKVNFQALVFRPHIISLGEALVKRLRSPVQGHSDESIHQVVGEGTNQAGKYAVLHLRFDKSLFDSDVSEITALLAADDA >Dexi9A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:9A:3513568:3515731:1 gene:Dexi9A01G0006230 transcript:Dexi9A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADKPLRRIAASFEELAAVAKQQPPGAMDAGAFARACSNVSVLFGCLGIAFKFAEKDYVDDLLEASKSISTLPSMVELDIQKDTVRVAGSHTRNLLRVKRGIDMVQVLFERMLVTEGNSLRDAASAAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDEESAKAQLQNFVRSAAPVIRYVEDLFTSRNLGIDW >Dexi4A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:4A:762318:762749:1 gene:Dexi4A01G0001170 transcript:Dexi4A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAAPEEEDAEERERRASRSARRAPAGSRMAVAEAAAAAAGGERRRRVASPARRGLRIDSERRTFWKALRYFSRRIVG >Dexi9B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:9B:15420647:15423831:1 gene:Dexi9B01G0020720 transcript:Dexi9B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Dexi9B01G0033340.1:cds pep primary_assembly:Fonio_CM05836:9B:35517612:35518946:1 gene:Dexi9B01G0033340 transcript:Dexi9B01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVDQMMFQLVVAQTVESGAQTAAALTQQTEQMKRIGNELDSVHFSLKKASQLVKEIGRQVATDKCIMAFLFLIVVGVIAIIVVKA >Dexi2A01G0021790.1:cds pep primary_assembly:Fonio_CM05836:2A:33776373:33778298:-1 gene:Dexi2A01G0021790 transcript:Dexi2A01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLNPAVKGTLNVLKVCSAAKVQKLVVLSSNAAVDFNPNWPQDKIKDESCWSDKEFCKENGVACLVAYIKFVGWITGGPDVISNKLWHIVDVRDVADALLLLYEKTESSGRYICSPNHIRTKDLVALLKKMYPKIVDVDEKASLTCQKLMDLGWKPRTLEETLSDSVECYEKAGALQDVPGRPFRLPHLFRLAGDQ >Dexi2A01G0034920.1:cds pep primary_assembly:Fonio_CM05836:2A:44721841:44722275:1 gene:Dexi2A01G0034920 transcript:Dexi2A01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETYRAGAKVVNGDAVACKKAAIELLGEIGLPKGLFPLDDMQEFGYNREAGFTWLVQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKVTGVKTKELMLWLSIVEVYVDESALGKVTFKTGTGLSDSFDATALELGM >Dexi5A01G0030140.1:cds pep primary_assembly:Fonio_CM05836:5A:33159124:33162454:1 gene:Dexi5A01G0030140 transcript:Dexi5A01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRALLLLLLPLLAVAASHPSHEFCAAGGGDSGGGCGGGGDGARILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLAKFINTPLYVVHVMSIDAMEEIAKAKREVLDDSWLLDPDFTIASKYVMSPPIREVGHGKALQAALSSGILQLVGTDHCTFNSTQKVFGSDDFRKIPNGVNGLEERMHIIWDSMVESGKISITDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAHAHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNIAPGSGRYVRMQPFGYVFDGIEKSDAAYRASLRAPVQRGKAVA >Dexi7A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:7A:21469925:21474389:1 gene:Dexi7A01G0010770 transcript:Dexi7A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDDPRAAPARSVAVVGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMTEGELEPNSQHKRYIVKDGAPALIPSGPISLMISSVLSAKSKLGLFLEPFLYKKANTRNSGKVSEEHSSESVGSFIERHFGREVVDYLIDPFVAGTSAGDPESLSIRHAFPALWNLERKYGSIIVGAILSKLTAKVGDENVKLSAEVLSLACTFDGVPATGGWSISIDSKDAGSKHLATNQSFDAVIMTAPLSNVQRMKFRKGGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVQGQPTFVKE >Dexi2B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:2B:3301736:3302230:-1 gene:Dexi2B01G0003780 transcript:Dexi2B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKQESEETGIHAPEAPILCINNCGFFGSSMTNNMCSKCYRDFIKLMEAPVVEKKVITAASSSTVPLETAKRDDAPAAAATEAVAEKQPEQEPPKPPSNRCLTCRKKVGLTGFQCRCGGTFCSTHRYTDSHQCTFDYKKVAREQIAKQNPVVMAEKINKI >Dexi5B01G0038430.1:cds pep primary_assembly:Fonio_CM05836:5B:37631848:37634124:-1 gene:Dexi5B01G0038430 transcript:Dexi5B01G0038430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLPVPPPAAVNEHAFRLGFIGAGSLAESIARGVAASGVLPASAIRTAPHRRPERGAAFASIGACLLDTNAQW >Dexi9A01G0037460.1:cds pep primary_assembly:Fonio_CM05836:9A:41729363:41730567:-1 gene:Dexi9A01G0037460 transcript:Dexi9A01G0037460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELLAGKMTAKAAVKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPEAHRRILVSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWESLVGTFVMQFVEYERMVYLDADIQVFENIDELFELEKGSFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVSWPTAELGPPPALYFNAGMFVHEPSMDTAKALLDTLRVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVQLEKVKAVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDIYNDETLDFKGLPALPAADADEVEAVAKKPIRAALAEAGTVKYVTAPSAA >Dexi5A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:5A:22227334:22228780:1 gene:Dexi5A01G0018720 transcript:Dexi5A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKAEEKPVKVLPWNHYRLVDREAEQVYHLASGKDQVPGKCAPFVCFGCTVDGLEVASPPKSGSNSALGSTQEETSCSANKLTTSGSITGNEKRGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLRASMERRNVQWTDTCGKELFEIREFETSDEGLSDDDVENEGFRKCECVIQ >Dexi2A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:2A:8641606:8642395:-1 gene:Dexi2A01G0008600 transcript:Dexi2A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRPIFSALLLLLLSFLAVVAGDNGDQQTTTTTCVPSLQRLLSCLDFIEHRTEEIPVPCCIQVRATVADQPCCLMHVMRGNVARLMGPGYDNARAMVNVTAACLADASVLVDITRNCSGKPLPPLTPEFTFTSTNAVPPPPSSSSGATRLQVGSSSSTSLLLALVASIVVYGAVVVLQN >Dexi3B01G0035090.1:cds pep primary_assembly:Fonio_CM05836:3B:37720278:37721908:1 gene:Dexi3B01G0035090 transcript:Dexi3B01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLTNPLLAKAIQGNELSGSGIGASVGAEQYGKDLVELQTIINELYGDSRKPLVVAPGGFYDQKWFGDLLEVSGPNVLNAMTHHIYNLGAGECFSDIMYLDQLGQSSKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYRQVSEMKHHHKLALQFPYSLD >Dexi4B01G0022300.1:cds pep primary_assembly:Fonio_CM05836:4B:23962763:23966134:-1 gene:Dexi4B01G0022300 transcript:Dexi4B01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQARSGHGHAAFGSCSAVPRAGSRLRSTAVRVSSEQEAAVRAPSGRTIEECEADAVAGKFPAPPPLVRPKAPEGTPQIRPLDMTKRPRRNRKSPALRAAFQETSISPANFEKMMLLSELCRGAIGLGGGMGFLMRFTRHSPTGDEAYNDNGLVPRTIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGALGMIDEQKVMMESLMCLRRAGADIILTYFARQAAGVLCGMGSK >Dexi9B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:9B:13396716:13398886:1 gene:Dexi9B01G0018810 transcript:Dexi9B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSINGGFMSSYNASERRESSKGGKETNRRTSVPSHNPAKSASASHKAQTSSHGTKRTSGQTGNAPQRSAKPSPANSSGPAYDEQTRANFHGLQITELKLLVDSLEKERDFYFSKLRDIEILCQNPEVEHVPVHAIQNILYTSEDDPATVAEAQAMVSQQQNHQQPSALSPILETSEERPKQEVALKRKSISDLEEFGMASSSRQRLSDISDVQLCGSPLTSFS >Dexi3B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:3B:9605733:9607034:-1 gene:Dexi3B01G0013470 transcript:Dexi3B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIESLHVFKPDPARDGVSPAATIERALARALVDYYALAGRLAVSEDAGGLHVDCSGEGVWFIEATVRCRLEDVDYLEYPLQIPKDELLPHPLPRPTHEEENKLILLVQVTTFGCGGFVVGFRFSHAVADGLGAAKFMGAVGDLARGADQISPPPTWGRDAIPDPAGAHVGSLPELDGAKRLEYLAIDISADYIDHFKSQFAAASGGGRCSAFEVLIAKAWQSRTRAASFDPDTPVHLCFAVNARPLLAASLPRGGVGFYGNCYYIMRVSSTAGKVSSSSVTDVVKIIREGKKRLPSEFARWAAGEEAGDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDCIATCILVKPWAHKPGARLITQCVTPDRVSAFHDAMVDMNC >Dexi5A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:5A:6352189:6353141:-1 gene:Dexi5A01G0008520 transcript:Dexi5A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDLLSPPVYECVAGHVTCASCHDSANGGECGVATYGPSRAVAEWLRSVRFPCANSEYGCRAFLPWREMDTEHEPSCGYAPVFCPIRRCVDEGFHGGTPDDLERHLTERHGWEVVAFRYGEAFRVRVRAAHDGHHPAAVLLRAEDGHLFHLRAEGERRDGTALSMIRIRPDAGAAAEEEFTYEVTAAGPRHRVQMQATVWGTSLPNGMVDENPVRVTVPDDMIPLDGPDQGSVDVCVRQVAAPAPPAAAAQGTS >DexiUA01G0010300.1:cds pep primary_assembly:Fonio_CM05836:UA:20503754:20510221:-1 gene:DexiUA01G0010300 transcript:DexiUA01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPLPQLNSLPPVNWDALDALVLDFARSDRLVVPPHAATADAADAGCPSPPSSPSSSTTTATSSAPSSSSSSSSTYRSRLLILRARRALEAGDVDGALALLHAHAPAALADHRLLFHLHKQRFVELVRRGTEADKAAALHCLRTALAPCALDAYPEAYEEFKHIMLVLIYDKDDQFSPVVNEWSIKRRFELAGLLSSILRAHLQAYDPILSMTLRYLISIHKIYCTRQGISSPISDLTERLLFEDRDPPVVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMKLDLTLLDKLVHEYCIYRGIVEGSFHVLPGGGDLKCSQNNDVNNGTQLECAMADNQNGECSTSEITRDDSWSKRLRRVRSNTSGQRRRKRWRGRVDDLDYACEALLDANKHDSLSPALDMDEDTVVEQQVNFDVEANSNTSATRNMEDQKYEVVLEMQDLTRKGMASKVVEEISSVDPDFFQQNPILLFQLKQVEFLKLVAGGDHIAALKVASTHLGPLAANNQALLKPLKETLVTLIKPNEDVLNGVSLPVLASSLQVAMSRRLGIEEPQLMKIVRAAIHTHTEWFKLQMCKDRFEHFLKIDSLKEVDPPVGSCSMSKALTDECGNGSSQITTCSSGKVPDEGSSPPVSSEVACDENAILKVMEFIALPRADAIQLLMQYNGNAETVIQQIFQ >Dexi7A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:7A:11787945:11791067:-1 gene:Dexi7A01G0002910 transcript:Dexi7A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVASPSSLRLTRRLLAAGTFSIFPVAGYSTTTTTAVKMARSALDEVTDTGAFDRSPSTFRSSVSSDASARFPAVPGRYHLYVSYACPWASRCLAFLKLKGLDHAIGVTAVKPIFERTKETDDHLGWVFPTTADEEPGAEPDPFNGARSVRELYEIASPNYAGKPTVPVLWDKQLKTVVNNESSEIIRMLNAEFNNIAKNPGLDLYPVHLRASIDEINDLVYDAINNGVYKCGFAKRQEPYDEAVTKLYEALDKCEEILGKQRYICGNQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGMSSTVHMEHIRKHYYGSHPSINPYRIIPAGPNIDYNAPHDRERFGS >Dexi3A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:3A:13435888:13436717:1 gene:Dexi3A01G0017620 transcript:Dexi3A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIFTVLLVIFLLVTASSGQTATTKGNHEDNLEAVEIQRHGRRVLTDTQDYDYGGSNSKHDPRRKPPGNGHSR >Dexi3A01G0025940.1:cds pep primary_assembly:Fonio_CM05836:3A:21956299:21956689:1 gene:Dexi3A01G0025940 transcript:Dexi3A01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIKVQNSLGHFRKLFEGKITRKTLDCAKEPVC >Dexi1B01G0030750.1:cds pep primary_assembly:Fonio_CM05836:1B:34619735:34622284:1 gene:Dexi1B01G0030750 transcript:Dexi1B01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPRFRCPSRRHSPPIAMRRLLLLLLAAAPPAAAAAAVEMGYAHCACDGGAGGFWSLDNIFKWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFMVVLLLTAAKFLTALVSCLTAITLLTLIPQLLRVKVRESLLWIKARELDREVVLMKRQEEASWHVRMLTQEIRRSLDRHTVLYTTLIELSRVLALNNCAVWMPSEDKSGMCLTHELRRASDGEAVVSADDRDVLEVKSSDGVKLLPPESVLGSASGGGKEGTGTVAAIRMPMLKVADFKGGTPEVIQTSYAVLVLVPPSGRNWAPHELEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELLQARRDALMANEARDAFQRVMSQGMRRPIHSILGLVSVVQDEGLTPEQKLVVDTMARTATVVSTLINDVMEMSAVNQERFPLETRPFHLHSMIRDAACVARCLCDFRGFGFTVHVENALPNLVIGDERRIFHVLLHMVGNLLGRIDAGSVTFRVRADDEAMEDQRWDPWRPSYSGGHSSVKFVIGVKRQQSADSSSSLAQFLRKPSTEGFDLRLSFSMCRKLVQMMQGNIWAILDGQGLPESMTLVLRFQLQPSLSSSSLGGSFDLQYPSPSNQIMGLKVLLIDDDDINLVVARKLLEKLGCTVSSLPSGSGFMNSVGPTSTSFQLVVVNLEMSAVNPLDVASRIRQYRSAHWPLVMAMTSEQNVWEKCAQSGINGVLKKPLVLQEVKDELARILQNT >Dexi2B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:2B:29893696:29897858:1 gene:Dexi2B01G0019680 transcript:Dexi2B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHADEHEISLCDPNSEDDRRRRKIGSLPRKAIHALRKKRARRRVTDFRFPAAISIEDVRDAEEERAVAAFRDRLAAQGLLPDKHDDYHMMLRFLKARKFDSEKATQMWAEMLRWRKEFGADKILEDFEFSELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPSKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGFKNFSKTARELVQRMQKIDSDYYPELIHSMEGGGSMRETKQISDGYERSGSSLRAENLKGMLSDVSNAESESDVDDASLLVIHKSSDHSFLTPVREEVKGSDSSTSYSSDSKHLQDMNPGSPQGTQQSEKVPIQLACQKHFSTFGWLHYLGNVSLILHGSSAVKTLKDLARGLATVLIRILSFFHFFVCRQERMLENIHSYAAAEQAKGETVREEAVSACLQRLEKLEALCNHLMSKPPDMPKDKELVLLQSFDRIKSLETDLERTKTVSCHIHDEVSLIESNSSFDQALQAAIMKQMELEDTVEALQRRSASVVRRRFCCS >Dexi8A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:8A:9917444:9918703:-1 gene:Dexi8A01G0008390 transcript:Dexi8A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTQVVSFLFLIAAANAENGVFRATMIRRTETGAINFTQAARHPVDAKTPLTMDGEGGAYDMEFSAGTPPQKLTALADTGSDLIWVKCGACASFVPQHSPSYYPNNSSSFSKLPCSVPLCGALMSEGTATCGASGEECDYRYSYGLEEDSHHYTQGYLGNETFTLGDDTVPGIGFGCTNMSEGDYGSGSGLVGLGRGPLSLVSQLNASAFSYCLTSNASSSSALLFGSSALLKGPGIQSTPLISQPDPSYYSVNLNGISIGNVTTPGTGYSGFIFDSGTTLTYLTEPAYTQAKAAVLSQTALARAPDRDGFEACYVAPTSNGSLEEAVPAVVLHFDGADMALPVTNYFVDVGDGVVCWIVQVSPSISIIGNVMQVDFHVLHDVNNSVLSFQPANCDSL >Dexi8A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:8A:808368:808853:-1 gene:Dexi8A01G0001180 transcript:Dexi8A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPAAGGERYSYVHTLGPGGSISGVRRVPASSLPYNYTIPPDILFDEDLAQDPLMAAAAASVPSPPPPPRPSSRAWCTWPVPLAPVMCLQDFGADETLRAMPCAHAFHYDCISQWLRRNASCPLCRHQLLLVMPDDHDVKEEEEEQQHQGQRRRTTAT >Dexi1B01G0030300.1:cds pep primary_assembly:Fonio_CM05836:1B:34328237:34329729:-1 gene:Dexi1B01G0030300 transcript:Dexi1B01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLALLPVLCCLGALMPTTSHAARAFFVFGDSLVDNGNNNFLLTAARADSPPYGIDTPDHRATGRFSNGKNVPDIISEHLGAEPVLPYLSPELDGDKMLVGANFASAGVGILNDTGIQFANIIHISKQLLYFEQYQKRLSSLIGTQQTRRLVNGALVLIALGGNDFVNNYYLVPYSARSREFSLPDYINYLLSEYRQILTRLYELGARRVLVQGVGPIGCVPAELALHSLDGSCDMELQRAAEMYNPRLMSLLSELNGRYGNGVFVGVNTQRMHNDFIEDPKAYGFATSTQACCGQGRFNGMGLCTMVSSLCEDRDAFVFWDAFHPTERANRLIVQQFMSGSVDVIAPMNLSTVLAIDLQNEQLRT >Dexi5B01G0036700.1:cds pep primary_assembly:Fonio_CM05836:5B:36341636:36342676:1 gene:Dexi5B01G0036700 transcript:Dexi5B01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTAANDIPRGSARSSCTPAPAPAARAGSCLRRRSRSRAPLRRRVVREVEPRAEEEADAAEEDAASASPTEEGPRPSQRTVTVEGGGGRLAFWGIPFFRQPCLSLSCDLGSVLTLPVGVGTWERENGRVEAAWELITAGGRPRGISPYVWLGLPMPDLAAQRSSGPPLACNCPADGIRDLRNG >Dexi4A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:4A:6041147:6042370:1 gene:Dexi4A01G0008060 transcript:Dexi4A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPPPVSPSPKHFRLASAAASPPYATAPSSPRGRLVVPAAEEAAAGGPFLTAPPSPNPFDLLPPATPRLTGGNPFDLFHHFTSAPASPRRAAAIYAHFADGNGDDDEEDGFQPRGSYATGGGSSVPFEWEERPGTPKRGLGAEAAAWEDSDFEFGTVAADKAALPETLTTADELFEKGKIRPLKPQLMKTGDEPKVRPLKPPPGLLDGGSVASSPRSPAMARGAGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPLGGKEEANGGGGVAPGSPAKKVATRPAASRSGAGWRRWRLSDLLLFRSSSDGGHVSKQEPIFKPAEQLDAPVKKAIAAQPGMMKMIKANGKGDDTSKTKNQHANRSAAAAAESVAGCARLSPLQRLAKGLGAYPWHIGRGMAAPASKG >Dexi9A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:9A:13737923:13738579:1 gene:Dexi9A01G0018680 transcript:Dexi9A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLMLSCSWWCGVVVVSARPSPSSSSSSSASVVSFIRSWCAGTEYPALCDATLAPYAATVGSSPARLSWAALTVTLGGARAATAAMKAMATAAAGHHLTPVGAEAARDCVSMLGDAEDLLEQAVDAMASLAQQKGNAAAGSSSSGSRDVRFQVDSVQTWASAALTNDDMCVEGFKGEDAGGGGVLEAVRGHVAGVAHLTANALGIVNAMAKQIS >DexiUA01G0014820.1:cds pep primary_assembly:Fonio_CM05836:UA:31062897:31064005:1 gene:DexiUA01G0014820 transcript:DexiUA01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTCLCVSAERVLVFPRQQSWDPYKLLGVDHDASEEEVWSARNFLLKQYSGYEESEEAIEGAYDKIIMNSYSHRKHSKINLKTKLKKQVEESPSWFKALLGYFEVPSLEIISRRFAFFGFIAGWSIATSAETGPAFQLALSLVSCIYFLNDEMKNLVRASTTGLGVFVGGWILGSLLVPAIPAFMIPPTWSIELLTSLMAYVFMFLGCTFLK >Dexi8B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:8B:3748162:3755067:-1 gene:Dexi8B01G0004260 transcript:Dexi8B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLTSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMAHATTWWGRLAELALDSADAVSAGAFEALARLFQELEGRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARTMVMPVESFRVTVFPLVHAAKMVASGVVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLSLADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGTGGSADYEGDYAEEDSQMMRQKRSLRPELGEPVVLRCQPYKIPLAELLLPLECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPVKTEQEEEEERKQNEELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAIKAQ >Dexi7A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:7A:17438357:17440399:-1 gene:Dexi7A01G0006160 transcript:Dexi7A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHHQAAPAVSGGEGSRSSAGELLARCGAGLCSSVAGFGRKLGKIARDDPRRVAHSLKVGLALTLVSVLYYVRPLFNNWGLSTLWAVLTVVVVMEYTVGGTLIKGLNRAFATLAAGFLAVGAHKLADLCGAKGEPVLLAVFVFLLASAATFSRFIPEVKARYDYGVTIFILTFSLVAVSSYRVEELIRLAHQRFCTIAVGVATCLLTTVFVIPVWAGEDLHKLAAANLDKLAEFLEGLESECFGENGPGENLESKAFLYVYKSVLHSKATEDSLSNFAKWEPPHGKFFFRYPWSQYQNLGALSRQCASSMQALASYIITLTKSQHPEANLELCLKARTACGEISLNSAKALRELSAAIRMMTVPSSAKTHMSAAIKAARTLGAELAEDDDLAKVMHVAVVASLLSELVVQTKKIAESVDNLAKSAHFKKPEEDTQKDVVINVGS >Dexi4B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:4B:4507263:4509053:1 gene:Dexi4B01G0006430 transcript:Dexi4B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLDRAHLRLRSREDDTYHHADEDGWGVSGSPHRASLNTAWVVHLLRHVGATYVLLHGAAYGRYLALRYQPQLAPPQMQPQGHHLDYRTIQRVYDTPVQADVMWELRPAGDGSGDVRLRHAMYHAFVMPLWIVEAIPARQLPPDLPQQVPNGVEHPVVLRRLIRYVRVDNSGIFNLPWRTFMLDGRSVVDLIGELVVILNVNYNEIRLCVRAGLYGRLTPLVINLPDSEETMDIVIFTTGSPAALELRHPDVDAE >Dexi3A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:3A:2756951:2760718:1 gene:Dexi3A01G0004170 transcript:Dexi3A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCCFREKTMESKLTFSQVSPPISIICAAKVTGLPIAIDPSLAAGSAPTLHLGSGESLHGVNPILHFIARGASFSSFSGQNAIEFGHVVEWLEYAPMFLSGSEFEIACSFVDCYLASRTFLVGHGLTIADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNGIDAEYRHTLSEIVAAYVGKRGIGKSPAPSLKEKEQMRKERMDGIESKCRNNAVEENLSLWKEMVNETERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQVHLCAKVLMLYLEEDEDFLDNLNPCTRRVTPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSNSKPVVLFAIPDGRQQASLN >Dexi5A01G0028450.1:cds pep primary_assembly:Fonio_CM05836:5A:31729861:31735019:1 gene:Dexi5A01G0028450 transcript:Dexi5A01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLHELCQQRRWSPPVYQHTREGPDHVPLFRATVVVQDEKFSSPDEGARSAKEALNLAAMAAFERLTALLAAAPDPVPVAPAPPPPETQLPYKTRLQIYAQKRGKHLPMYCPIHGGSRHAPLFKSEVTIDGQTFESPEYCRTLKEAETAAARVALMSLPLEAWPPQQSQVPSVSYKNLLQELLQKEGVPLPVYATTLEVSNHSGAFVSTVEIQGTTFQGEPGNTKKQAEVNVAKVAFQHFKGRDKGSAFSAVYGGSSMQQGTENLFSGQKIKIIEPEYSVPIVSMTKHSKDNGLFAVNHDARSAGSTNLLPVAATRQSPDKIGQSSKFEVNRLSIPEPSTEVEVMDSSPEVDKPSLSEPSTNTEVINSSPEVDKLPLPLQEQSMDVKGTDSSIKVDRLPLPEPSTEVEMTYSSLQVDESSIPELSSYTEVIVSSPEVDNLPRPEQSMGVKDTNSSLKVDKLPLPEPSTEIQVAHSSLHVDEPSIPERSTKVEVMDTSLKAGEPPIPKATSEVKAMDSSLEHTSTLDGHSPSIAPARASTSNLTVPTTTMPVSSGRCGCYMLTNRIQVYPRHSDMAIPEGTTMLPISDDAWVAVSLPYSNNSEGNLNSSM >Dexi6A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:6A:4443358:4444257:-1 gene:Dexi6A01G0004870 transcript:Dexi6A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTSSYLNHHHQAAPLHLHHDDGGAAGSDDGQDSLSPGSGGPPSTAGGAGIGGGDVVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGSVANVTLRQPQQSPSQTGSSSTSPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLDEADDVAPPVPPPGSEQQGGGMPFGGDPAAMAAAAAAGGLPFFNLPMGMPPMPMDGNAGGWAGNHGGGGVGRPPFS >Dexi2B01G0024640.1:cds pep primary_assembly:Fonio_CM05836:2B:34022970:34027050:1 gene:Dexi2B01G0024640 transcript:Dexi2B01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHPLPPPQLVGLAIFLSVAAAAVAKTDQHDVTALNVMFDSMSKPSQLSGWKSSGGDPCGNDEDWKGIKCSGSSVIEISNLSGLGLSGSLGYQLSSLKSVTKFDASNNNLNGDIPYQLPPKMVQLNLYGNSFTGGIPYSISQMDDLETLIHILLNGRNVGKNHLNGQLTDMFSQLPKLSTLDLSFNGFSGSLPQSFQHLRNLKTLNVESNQFSGHIDVLSKLPLEDLNLQNNKFTGWIPSKLKDINNLQIGGNQWSSGSAPPGMEKGSALGSSIGGGGGGSGINGFIIAAIVIAVLLVALILLSVLKRNSSSPVSSHYLMDESGHNRSFAPLVDDAGGHKQSSAINMKPLENPSSISRTPSAVPRKSISDNEFENKLNYSRRSTDPINLVTYSSSDLQAATGNFHSSRLLGQGTISGVYKAKYADGRVLVVKKFDPLSFSGSSDFMDLMNSISKLRHPNISELVGYCPEAGHYMLVYDYHINGSLYDFLHLSDDYSKPLTWDTRVRIAIGTASALEYLHDGCSPSVIHKNIKASNVLLDDDLNPRLTDCGLAYFYEDTNESLGPGYNPPECTRSAGYVMKSDVYCFGVVMLQLLTGRKPYDSSKSRTEQSLVKFVTSQLHDIDALGALADPALRGLYPPKALRRFADVLARCVQSDPEFRPSMSEVVQSLLQCIQRTTSSRRIAGHRSISQRSEDSDW >Dexi2A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:2A:32233730:32236252:1 gene:Dexi2A01G0020170 transcript:Dexi2A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDFPSMESPGAAAAPLLSKLPLPERRDGDGGGGKIQARRLLAKEVWEESKKLGEVVGPAVFMNLVFSTMNIVSQSFAGHISDLDLAAFAMANTVIDGFNFAMLLGMASALETLCGQAYGAKQHHMLGIYMQRSWLVLLAFAVLLSPVYVFSGRLLAALGQPAELAREAGMIGMCFLPSHLMYAILLPVMTFLQCQLKNRVTAAAAAVVFAVHVAATWLLVKCFGLGIFGVVVAFNLSWAIFAALLVAYALGGGCPETWSGFSASAFVDLKEFVALSASSGVMVCLENWYYRILVFLTGFMKNAELSVDALSICMSLTSWELMIHMGFLAGTGSLLLFTRVRVANELGATNGQGAKFATIVSTTTSFLISLFVSLLALIFHDKLAIIFSSSRAVIDAVDSISVLLALTILLNGIQPVLSGVAIGSGWQGLVAYVNIGSYYLIGVPVGVLLGWGFNYGVPGIWAGMIGGTMMQTLILAIITLRCDWNEEALKAGNRVRQWSSAK >Dexi1B01G0023450.1:cds pep primary_assembly:Fonio_CM05836:1B:29031546:29035837:-1 gene:Dexi1B01G0023450 transcript:Dexi1B01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIMNSSAYDDDSGISATSGGDGCVTTDSLDDEVSSCSSKDASGSSVSSHCLSKQEEHLLDELGTPIAVHLLPFKGKKPITYTLSASDIENMKEKFAKLLLGDDTSGGARGVCTALALSNGIINLSATVFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDAMVIEVMDSMIDTEYWYEESGSRADGRGKITGPKKSKKWWLPSPRVPDQGLSQFQRKRLVFQAKLVLQILKAAKSINEQVLFHMPIPEAVMDALPKANVLKVQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRLDGVIRKKGVKQLRW >Dexi7A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:7A:11081622:11083735:1 gene:Dexi7A01G0002600 transcript:Dexi7A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLIAGGALLLVALVSAAALCTADPDVSAAEDPLIEQVVGDGAAEDELELNAEAHFASFVSRFGKSYRDDDERAHRMSVFKANLRRARRHQRLDPTAVHGVTKFSDLTPAEFRRQFLGLRRSSARDLLKGSSANKAPILPTDNLPTDFDWRDHGAVGPVKNQGSCGSCWSFSTSGALEGAHYLATGKLEVLSEQQMVDCDHECDPSDPRSCDAGCNGGLMTTAFSYLQKVGGLESEKDYPYTGSDGTCKFDKSKIVAQVKNFSVVSVDEGQMAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGKHLDHGVLLVGYGAAGFAPIRFKEEPYWIIKNSWGENWGESGYYKICRGPRLKNKCGVDSMVSTVTAIHTSKKE >Dexi9A01G0024010.1:cds pep primary_assembly:Fonio_CM05836:9A:19736400:19737882:1 gene:Dexi9A01G0024010 transcript:Dexi9A01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLLSATLVDPAGPEALERDLDVARAAIRLAAQHGDPRHGDGNTSFTSADTWFEAGVEYDLLESVYRNPAAFHRSYTEMEKRFRVYVYEEGEPPLLHQGPCKNVYTIEGRFIEQLELLDGGVRTTEPERAHAFFLPFSVAQMVTFTYNREGRRRSHLRAVVADYVHVVAARHPFWNRSTGADHFMLACHDWGPYASQGHTELYANSIRALCNANTSEGFRPAKNVSVPEIYLYDGDMPRQLLEPAPPAGVASRPFLAFFAGGKHGHTRDVLLRHWKGRDPDVFPVYEYDLPKGGRDYYSFMRAARFCLCPSGHEVASARVVEAIHAECVPVIVSEGYALPFADVLRWEAFSVVLPLADVPRLREVLEQIPAPEVERLQRGVRLVKRHFLLHQPPERLDMFSMILHSVWLRRLNVRLDR >Dexi6B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:6B:25792861:25793306:1 gene:Dexi6B01G0018850 transcript:Dexi6B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMHSSMQSSPFPPTSRRVRPRALTITSFIAASSSGEVGAPLGFSAPGAVNRSIPDSEGISGPVDRPYAWGREGFAPFPWIPAEGESHWWGTFNPMQNHPHGSFTRRPAGERMPQPQNHPENGYQPTPPPQRMPPFL >DexiUA01G0014440.1:cds pep primary_assembly:Fonio_CM05836:UA:30577879:30580362:1 gene:DexiUA01G0014440 transcript:DexiUA01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLLSLMFLAWTTLSHQESTLAIRPVSQGVSVPDGFSVWHHLDANGIRFKSITPQDDVLLIKFDSRAQSAAAKVVLDHKSKEEVSKLRSKAEQALKESRYRLGETGPANNVLGIGQRILTTLVGIAETRVRLAVVELEEEKANLFQMLLMLGLTMLFAAFGLMSLMVLIIWAIDPQYRLNAMIATTVVLLVAALIGGIWTMRWGKITGYAGTQQYMEAMGVPGFLLPLTILLEFGGGLAVLFGFLTRTTALFTAGFTLLTAFIFHSNFAEGVNSLMFMKNLTIAGGFLLLAITGPGAYSIDRVLNKKW >Dexi6B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:6B:24434275:24436490:1 gene:Dexi6B01G0017250 transcript:Dexi6B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNASTTATADADDTELAQLQASRAAIASVLSAAADAELDIDAVGDHLAELLSTTALPSSSSHLQSQAVAARALHARIDRAVAPAEPLLAAFRRVSELADEASAPPPANPGDAGSAVAFVDRVDELRDAIEDAVARGDEAVRRVEEAVGFLGRTKAAGRARVRRLTEAAAALRAVYETEAEQMRFEGPLDDALLGLQELFEALLLKLKHPAPPANDDDDVAGDDGDAGGYDLGTDDEVQAAARMARTLAGNDCLDICLDIYVKTRYRRAAKAMMRLNPAYLKCYTPEEIDEMEWESLESAMALWSPHFHVAIADVLAAERRLCERVLEPLPPAVWPECFAKIASRIAAAFFRFADGVSIAAREPQRLFKLLDMLDAVVRERERLDALFSGESATLLAIRDRTREVERALARAAAAVFFEFGLRIETHHHVVVSGAGDAGHVPKIVRYAVNYLKCLASDDYRALMDTALRAERDRAGDEEDDGGAPLAEAASNVLEALHRHIEAARRACADTVAGHVLAMNAYWYIYMRARGSELAKLVGEDAMRRRYKAAAEEAAWEYQDAAWTPLVRLVSGSSSGAAKTWPSPDEARGKAAAFAGMLEDRVRRHGGEYKIPDGDLRGQIKVAAAKAVRGAYAGFLKANEKALAGGARRGMLPLDVVEGMVGRVFDEMGDGVAGSVDRTRSSLSRRESRDSGNLEGFGI >Dexi1A01G0026340.1:cds pep primary_assembly:Fonio_CM05836:1A:32286063:32291992:-1 gene:Dexi1A01G0026340 transcript:Dexi1A01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDEPDRGGASTRVPFPEDTCDSYAVSDGGTVNLLSRSLGEYNINEHGFHKRSAGPDESDSDEKAYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYDVNEGPANLMLQILDDPSPTPPEDAYSSEFCSFINDCLQKDADARPTCEQLLSHPFIKRYLKTDVDLAAYVKSVVDPTERLKQIAEMLAIHYYLLFNGSDGNWHHMKTFYMEQSTFRYFKP >Dexi3A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:3A:11088901:11091694:1 gene:Dexi3A01G0015020 transcript:Dexi3A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATHPVTAFGGFPCTAWFDVEDTSIDGRDDTEGLDASAAHIANLLSSEPSDVKLGIGGFSMGATVALHSAACYAHGKFTSGIPYPITLSAVVSLSGWLPCSRTLRGKIESSHIATRRAASLPILLCHGRADEVVTYRNGERSAEILRSSGFSYLSFKPYNGLGHYTIPEEMDDLWKWVSSTLGLNRSR >Dexi2B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:2B:11970058:11976050:-1 gene:Dexi2B01G0010540 transcript:Dexi2B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDSVVFQLTPTRTRFDLVLIANGRKEKFASGLLKPFLAHLKAAQDQIAKGGYSITLEPSSGFDAPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAVQSNDNLGLRSVEDHGKKLTESNEGGRANYDPDAATAIVVYKPGSHSTPPVQDETTAQEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLGCLIAFAERFGASRLMKACSHFVELWKQKHETGQWIEVEPEAMSTRSEFPPFNASGIVFMGDNMRQNMESGSVNGEANGEDGAKSDQKSGQQMGSQPAYPPWAMHPPSGAVVYPPYPMQGMPFYPGVNPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKDSETLDVESDHSSSERESSHGHKSHRKGKRSGKKKPSIVVIKNVNVTSKKHGSSESESQSSSDVSEDSDNSHSKSRERKHGSISKKKEGRKTSSDSGDEYSKDETSNGHDAEQGNWSAFQNFLMRAEEKTRSSDVDMFAGEKEPPSRRKKDVNTADPILLAERDSGNVHERNTIGFDSANGMTRAMRVMSNDELVMSGKGRSYIDGEVKEIEAGGGRYRRGTGDDFMIYGQEGQIDRSSLLDPLTEARYKNPAQQDKNRNGVADESFMIPLRSSSQDNFGSENRTTIDIDIELPANMHKTSDEKAGHQLFYEPDELVPERGFEDVSFGYDPAMDYDSQLMQTTVKVEDAKADDVLPVTDGDVKKAEKEKLRNAKDGSDKRRKDALLRRLSAPRAPLNDAQKRAQNLRAYKADLQKLKKEQEEEQIKRLERLKLERQKRIAARGNGKGPGSDSPKANGINGLSKSVPSLTGLKKEKSGTTESFGDRLKRLSEPKSIAGVEHSSNTRSNGADHSRRRSMA >Dexi5B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:5B:2930349:2932352:-1 gene:Dexi5B01G0004330 transcript:Dexi5B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRDLYGADRQTKKKHTREPSRPRASSDYSPPVVELAVNPRALYGPNPMLTVAIGEVRGGMQQIDRRGNWGAVEGERWYIEESEGVRGSSWRRRMRWEEEDDDDERWMHDDQAELDDGKQLDRCWNSIGPQPNRAQRPPRGKDMGLRSWDDAWGPWIIWSLAAAQLETNDLSEG >DexiUA01G0015540.1:cds pep primary_assembly:Fonio_CM05836:UA:32530961:32531262:1 gene:DexiUA01G0015540 transcript:DexiUA01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPAKAAVADAHENGWSVAGLQRCGKSCRL >Dexi6A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:6A:22855330:22859041:-1 gene:Dexi6A01G0015410 transcript:Dexi6A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRGAVAAIWKDAAAVSASGVPVVLQLVELRPWLEAPGPGWHQMILSDGDHFISGALPPGGSATRALLGTRVGAVISFLRFECRTAVDKRVIVPKEVQVLQTEWVKIGNPKLYEFTQLEGKHEELDVRSESSSPEQNTGTYSTGQGLKAVLTRGILMMLQQPVLQVVSVARSRQEILEEFQLVLSDGVHTRNATLASHLNHLVKNSHLQMGTVIRLLEFTCSTVQSHSLISVVQLEVLQTECELIGRPKAYEPCCIGKPCWLQTRRSELYHGLVPISTQQENASHSSDQGSKRLLTEGAVVAILEGKMAVEQKPVMQVVDVSLASKTKLFPMYRVLLSDGVYQEHAILHSDMVPLVEDNLLCNGSVVRILDTSMNC >Dexi2B01G0024860.1:cds pep primary_assembly:Fonio_CM05836:2B:34130814:34136278:-1 gene:Dexi2B01G0024860 transcript:Dexi2B01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDLKDDVDRLFACFKCGVSPPQSAFRERPPRRGKRPRVSPAAGIAGSGGGSSSCAPTPDAAAKKNGGSLSAAIIKFTGRKQMSPVVFYGSPQGVPVKKPHSLLRLLREIRIDLNKQTDLIPRDVVWATFPRQEEAIRYNDMDSKIRHHYEVIQEATQLIQQGSPCHIYFDLEFDTRLSKNRDADEMVDILVAVVFSALRDKYSIEAQEDWITELDSSTEEKFSRHLIIRIPKIAFKDNSHVGAFVSENDKDVFMESLICRLDDNCDKLLICKLDLECQKTLHFDSEFSMPRVMMYIVDFQRAAYYQKCYDPDCQGYRSPLRPVPWDAMPELSSIVALSQTEYQAEVVEIKFDDSNGSGCYLSDGKSVIESYEDTDWWEAAVKFADSIENMEHASDLCNNLEDDDADFWMNAERVMQQIEGQAGSQSNT >Dexi5A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:5A:9700567:9701496:1 gene:Dexi5A01G0012970 transcript:Dexi5A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESDASPSTLLDRWRYGGGCDCGGWDMACPIDVLGNAYDDNWTESITTDAKHPMELFVQGSKEELPALSLKANGKGQFLVDFHARLSALQAFSVCISLLHCSEALIASTLEKGKHKLYSSSLKLLLEEDVRHLIEAVTAEEKQQKKKSKRRQKAPSTIVLDPPISPIGRV >Dexi1A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:1A:11514493:11515200:1 gene:Dexi1A01G0011980 transcript:Dexi1A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSRRSTTRATFSACTTASATTGFCSSSGATALATGNLCLWGWGAVDVGNNNDLPEGQKMGDAPRANNHPYYGIDSPNSEPTGHFSNGYNVADSIAKAQRCQMSPPAYHSLPMPIPSTMEGFTGVNYASANAGIREST >Dexi8B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:8B:19965580:19967229:-1 gene:Dexi8B01G0011170 transcript:Dexi8B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKPLPPAQQERVLTVLSVDGGGIRGLVPATILARLEAFLQEKDGHDARIADYFDVIAGTSTGGLIAAMLSAPGKDNRLLFAAKDINKFYLENGPKIFPQKGGWVPSMVQSAWDKLRGGPKYDGKFLHETINNLLKDTKVADTLSNVILPTFDVKRMQPILFNSFEAEREAHKNARLADVCIGTSAAPTYLPAHGFKTKGLGGEHHQFELIDGGVAANNPTMAAMSLLTMEMLRLRKQLLGDGQNVNLVNGGAASVYKNVLVLSVGTGVTQKAHMYTAEECNRWNLLNWLAKDGFNPLIDFFFDASADMVDIHAEVLFELLGVEDHYLWIQTDTLTGDAASVDCSTDKNMNDLIKIGNDLLKAKVARVNINTGEYETKEGGGTNEAALKELAEKISMERKLRKKNGGK >Dexi2A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:2A:27948615:27953981:-1 gene:Dexi2A01G0016380 transcript:Dexi2A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHLLLPSPKPLLPAAAAATPQRRAVAVRAAVSTASTPVKAAAGAEAVRSIRARQIVDSRGNPTVEVDLVSGDGRLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLQAVRNINEVIAPKLVGVDVRNQNDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQELAGIKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGAASFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLGDLYRDFVKDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIDKKACNALLLKVNQIGSVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLSKYNQLLRIEEELGNVRYAGEAFRSP >Dexi5A01G0026260.1:cds pep primary_assembly:Fonio_CM05836:5A:29941069:29941731:1 gene:Dexi5A01G0026260 transcript:Dexi5A01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIGSSAKVPIEIGSSYDGAYLVTFDENKIHTTFTASGDTVGAWVDEIYRVHRRRLSRLVIGLDVEWRASRSSAAAAASPPPALLQLCVGRRCLVLQILRADYLPDALFDFLADARFTFVGAGIQAGAARLWAEYGFSVARAVDLGRLAAVKLGNPALRGAGLQARVWEVMGLQVDKPDQVRMSAWDARRLTKGQLKYACADAFASFEVGRRLYDGEY >Dexi5B01G0028900.1:cds pep primary_assembly:Fonio_CM05836:5B:30175734:30187541:1 gene:Dexi5B01G0028900 transcript:Dexi5B01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRRGFPLLCLAAALLAAAALLPGAAVAEGVTPSDARRLRDEVKDMFYHAFDGYMKYAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKENFGAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLMASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSVNLMYGVDENESKASFFISLIFLKSFTSERLTLFMLFQITSTAGGGTLTLEFGILSRLTNNTAMVIDGLMFATSLVAVFERVTKKSVRGIWSRRSKLNLVGAHINIFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLYKATRDYRYLDVGRDILASLQYGARCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLGAGPDNIVENGPYKYIFSTEGHLLPVTPQIALVDEHCSYFGAFCNANADRGYGLTHAQKLGISYSDEEVNILEQTSEGHADNGESAVESSVQAQSSNIILISHPVASQQDEALESRPESGDDADIVTADYDSLSHDNTAGSLGVNTEELSEDTERTSKQTED >Dexi5B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:5B:726289:726744:1 gene:Dexi5B01G0001120 transcript:Dexi5B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVDKAKGFVADKVAKVEKPEAELSDVSLGHVGRDGATLASRIDVRNPYSHAIPVSYSLKSAGREVASGTMPDPGSLVAGDTTRLDVPVKVPYDFLVSLARDAGTDWDIDYEMRVGLTVDLPIVGNLTLPLTKSGELKLPTLSSIF >Dexi1B01G0023570.1:cds pep primary_assembly:Fonio_CM05836:1B:29132957:29135852:-1 gene:Dexi1B01G0023570 transcript:Dexi1B01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHSSMCSELTMMLDKVSSILPSIEAARPGCKAGIQELCNLYNVVEKGKLIILHCIECSKLYLAITGEAIVARCERIRDSLRRSLFLIQNMVPPALANQIADVHDDLGDVKFVVDPMEEEAGKAILQMLRRSDSSEELELETFLQAASKLDLTSPKVLLIERRAIKKLLDKVNVPLIAGKDNSFVIDHSNTSFALSDASYVSNVSHTRDMEDSKDISQFSWNADYQKYLSFHNFNQEMFLRFFHDLSRLPLELQDKSIKDLKNVLDYENEVSDVMVSNGFVEAFLEFLRNDNGSYSAQAQKAGFQFFLAFLSNSRAKVPSMNEEAFHLITSFLDSELKVEALLTLHELIQHSSSPRSHVMASVVTPPLFKILASEDTEGLELSLNIICELSCDPDIRSCLVSMGIVSKLVPIFTEGSFVERCLEILRNLCDMEEAAARITRTDRCLASIAEYLDIGSPKEREHAVAILLEICSRSIEDCLLVMKEGVIPALVDSSVNGTEEAKSCSIKLLHLLRDMRRSDQFTNSCSQEMAATDVVKDAPDNSVHQQPISKSSRFFQKKFNIFSKPRSLALF >Dexi8A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:8A:22961198:22964247:-1 gene:Dexi8A01G0013000 transcript:Dexi8A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDPPPPDSAGGGDEDPCPLCGGASAPARVALARRAAADPSQAVTTALVAPGDEASALARQRRHAGHLQAELEAERAAAAGAACEAMSMILRLQRDKSEAMMEARQYRRYAEERFAHDAAERAALRDALERRDAAARALAARLRACQERLLLLGFPSPTPPSASLPSSPTAAGGSARRRSLRHPFSDDDEDDDHAYHSADQCLPDDAAADVGTPRTHHLLNRMPSPPDADKGVVLFGMPRPSSRRARTLSDDGVPFSCRIALADEFPLFADDHHRDAPDEDGDRVYTVDAVHGVPVMAPEDCCYFGTGGGWAAEEEIQKLKARLQALEADRESMRHAIMSMSDEKAQVVLLREIAQQLCKDAAPFPGVPLKVQPRPQPVVVAQRKVVKKKTSFVKIFIMTVIKVPHGYVWEQCRSDAGTGQVPQTEAKEDS >Dexi5A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:5A:9375173:9377839:1 gene:Dexi5A01G0012490 transcript:Dexi5A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFYEKYTALKRKLLDEGLERKREAELKELLDAMKDWVSGLEKDKEELSEKLADKEDELEKTRQDFLADIRARDSEILRLKQLLDEKIEKNNSTATQSVKQTQVIQENPTRVSPRRKTPQSSSKAKRVLWSENTAISQEQECSRRHTCLTGNGTNECPSAHMFHLLLQSLVRMKITVHDGTEGFLVSVSHEATGYSFTLTWLEKPGEWSYKLTSLGTLDRLSINWMKQDIRFSMSMSRVFFERISNVIMRG >Dexi2A01G0033370.1:cds pep primary_assembly:Fonio_CM05836:2A:43606671:43607707:1 gene:Dexi2A01G0033370 transcript:Dexi2A01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVDCNPDGDPVLTATLPLSVSHVPHRLAPSPARQVRSATRGEQEHRSASEAEPMETPPPFQESAHCNVCRCTFSTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDVRVCYNCFNKSSSQGRAGNVAGSTGIISGAADSFSGLSLGKEDASSPTKSSAVQSTAPLIECKCGMPLCICEAPKPEPAPVKVDESSFN >Dexi4A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:4A:435088:436542:-1 gene:Dexi4A01G0000700 transcript:Dexi4A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCATASLPPPATPSHAADPHARLRAAAARSDLPAALAAFASMSSAPASARPVLRTFTALLKLCAARADLATGRAVHAQLAARGLVSESLAATALANMYAKCRRPADARRVFDRMPARDRVAWNALVAGYARNGLPDAAMEMVVRMQEEDGERPDSVTLVSVLPACASARALGACRQVHAFALRAGLDELVNVSTAILDTYCKCGAIEEAREVFDWMPVKNSVSWNAMIDGYAQNGNATEALALFKRMVMEGVDVTDVSILAALQACGDLGCLDEARHVHELLLSIGLQSNVSVMNALITTYSKCKRTDLAAQVFNDLGSKRTRISWNAVILGFTQNGCSEDAVRLFSRMQLENVKPDSFTLVSVIPAVAEISDPLQARWIHGYSIRHYLDQDVYVLTALIDMYSKCGRVTMARRLFDSARQRHVITWNAMIHGYGSHGFGKIAVELFEEMKGTGSLPNETTFLSVLAAVMLVWLTKGGDILLA >Dexi6B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:6B:26777851:26778578:-1 gene:Dexi6B01G0020240 transcript:Dexi6B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRERIWFRRELHLGVVLLLDDLEGDGVDGDVAVDADGEGPGPEEHGGLPGDLDGGVELHVAARDVGEDGAGAVGELDAVEAGREVEQAAGGGGLAAGVEARRAEVRAAVEDGDGGGPRTGTTLGLVACTVRVRPMSSAAGARKWKVERLMEMSSKRGSRGRHSTSAVAAAVVAAPASAEPQLQRRRDDDPLLPMPFALPCPALVTAT >Dexi2B01G0023740.1:cds pep primary_assembly:Fonio_CM05836:2B:33268664:33269035:-1 gene:Dexi2B01G0023740 transcript:Dexi2B01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKRYVLRLFISLKYVTANVVDRRSGRVVTTASTAERPLRDGLQECVRACNAKAAAAVGEVLAMRLKVDGLAREPIHADAAKEVAKKGFKNQTKVWAILNALRSHGVNLHVDNDGDHRRHV >Dexi4A01G0023130.1:cds pep primary_assembly:Fonio_CM05836:4A:26270909:26273512:1 gene:Dexi4A01G0023130 transcript:Dexi4A01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARCVIRRHPPPPRLPPFSSPILGCRRREAIAVVRCCSAAAENQHHQHQERPWESYDRDIQHHAGSNLSRSLGLLADMQAAGARPSAAAYGRLIRALARAGRTLEAEALLLEMRRLGPRPEAAHYNALLEGLLARAHLRLADRLLLQMADDGVARDRRTYMLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTDGYSMLVRLYRDNGMWKKATDLVMEMQELGVQLDVKIYNSLIDTFGKYGQLADARRLFDKMRAEGIKPDISTWNALIRWHCRVGNMKRALRFFNAMQDEGMYPDPKIFVMIISRLGEQGKWDEIKKLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDAQECIAALKDENTRLSPRIFCVLANAYAQQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTYMRAKKFEKVSEVYREMERAGCTPDRKAREMLHDSSVILEQRGCIY >Dexi5A01G0037600.1:cds pep primary_assembly:Fonio_CM05836:5A:38903908:38905512:-1 gene:Dexi5A01G0037600 transcript:Dexi5A01G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYLSSIQHRNLVKLLGYCQENGMQMLVYEYIPNGSVSTHLHGNSDAPGVRLEFKHRLSIAHGTAKGLSHLHSLSPPAIHMNFKTSNVLVDEDFIPKVADTGIPGLLDRLGVTGLSSRTPNDPFVDPRMKESMNLNFSIQSDVYSFGVFLVELVSGRRVESDQNIIQWVQNFQESSDISAIPDNKMSSGFTSESMKGLLRLTSWCVNPMSEQRPSMSLVEAEIHRIREQEISLTTVMTERIPTVTLGSQLFRT >DexiUA01G0009560.1:cds pep primary_assembly:Fonio_CM05836:UA:18865132:18865761:-1 gene:DexiUA01G0009560 transcript:DexiUA01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPHSSLLLGLFLLALLAASARASPTRDETPSELAEDKFLRACCANTTDPAVCYDSLFPRASSFEGNHVKVTTAATIIAYEQLRSFDAELRSLLRGGTGAGELVDRALVSCVTYFEKAVLIKEDEALATLLRLETVDGRKTKHAKSDLDDVRDRVSNVLSSSGLLCMEGFVRHGNLESPVGKKMVAGNATVTLYGAIALYLVASIKL >Dexi2B01G0022660.1:cds pep primary_assembly:Fonio_CM05836:2B:32273113:32277186:1 gene:Dexi2B01G0022660 transcript:Dexi2B01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEGGVERRGAGMRTICDVCESAPAVLFCAADEAALCRPCDEKVIPASSYQWSSASPFSQIGVNRCSIGVEVERGAMVHMCNKLASRHVRVGLANSNKLARCDICESFPAFFHCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQSVEFPGDKLAHMDDVAMQSKELETQIDQKKPPHHSVTKEQMANHHNGSDDPAPDGNCDDQGNINSKMIDLNMQPVRTNGQGSNSQTQGVDLSVNNHDSPGAVPTSNYEGDANK >Dexi8B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:8B:3452974:3454644:-1 gene:Dexi8B01G0003960 transcript:Dexi8B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEGTHGGGAKKPKAPSASPVAALSDDLLRQILFRLPDMASLANAALAEKRWYAVASDPAVFRRFDSLRRPLLLGFILTDRGDQYFPGRCSNLRFVRATRGYPNLASVAAGADFFFEDLSDDDSDDEEERYSSDVDWRLRGCAGGRLLLSRGYNGLRLAVYDPIARTAVFLHPSTVFHSIHRVRYAISVDEADGSFLVIGVVDFNAAVFSSRSGKWVKFEGDDFIERSGTMDDDWDWSDLDEDDIYEFPGGGIMSRRRFEEQDTIDSIYKIQADGMAAGRFAYWRSDTKNSGKWILKKEFSLLNEQMKKLRREEWMKRVRILAARATYVYMEYWSIRKSHSYLLVFHLTTRKLTFLV >Dexi5A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:5A:8986785:8987669:-1 gene:Dexi5A01G0011990 transcript:Dexi5A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHVRTGTATAARPGWYRAAPSPPPFFFLEAAAPGCAGGRTTLTGVGPTLTAFSHRQLLRNPPPPPPLFLRPAVRDMAAVKPPAAASGDSGTMYSSSAQHADAALEKLAMLAASNAPPSAVTTLHTTLASLAGYMHSLTAGSTPAPETKGRLSSAEFRRLPRPRYVVGYDDDAAKLSRSNRSELASLIASSSATAAAGARANGMARGGLASITVSSSMGRNTSSDSRGSSSKAPSAAGKVNPAEVTTRRSISALRFGAAAKAMLLVERGNARLPVPGVTVTFTSLAGSS >Dexi6A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:6A:19801503:19803134:1 gene:Dexi6A01G0012940 transcript:Dexi6A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGVVVPAPAETAVSVPPNAAAPLFPYPPPRAAAPPGAAVRKKYLQMDAGARAAAGGWVVESMRASSPTHARAAAALAAGVDEERHAAWMVEHPSALSKFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVGKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHTKAAKSKGVLFQPASQFLPMIEQVHDSLVEMTKAIPGAKVENNKFCVSVHFRCVDEKVRWSELAEMVKSVLKEYPKLKLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCADVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKDTSASYSLQEPAEVMEFLLRLVEWERLSKARPKW >Dexi2A01G0026610.1:cds pep primary_assembly:Fonio_CM05836:2A:38168758:38171415:-1 gene:Dexi2A01G0026610 transcript:Dexi2A01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRKASCSSPFAFFLVALALVVGSSTGISTGTSGDSNKSTKYNAMFSFGDSVAETGNICVVSSANATEYNVLTCTHRPYGITYFGRPSCRWCDGRIVVDFIDCKEFLAKSLFQFGGFGGNDYNVQLLELGLTIEQTKKNTPMIVNATVNGIEVRLIAHGAVHIVVPGILPTGCLPLFLTLFTDDERDQYGCLKTYNRLTEYHNSMLRKQLQILQGKHRYIAKDSVLPS >Dexi2B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:2B:1404949:1406109:1 gene:Dexi2B01G0001810 transcript:Dexi2B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLAAAAYCGGGKAAEVAVAVMGQEVVVVALWLSYCAGATRIRDTAEQQIHRDHHGDRTRRDHDKYERIDGELTVYVHRAAAAASRVTCPSSLQATGVKGESEESTMRQNVSSILTRLTYRA >Dexi9A01G0048120.1:cds pep primary_assembly:Fonio_CM05836:9A:50956065:50960991:-1 gene:Dexi9A01G0048120 transcript:Dexi9A01G0048120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKPPPPPPPPPPPPPEAPAETPSPQRRRKKKGRPSLLDLQRRSLRLQAQNPSPPPSPSRRDPNPSDDDEDGAGSGRRRQKRLKSVMSSGVKEEPGEGKKDAAKATGKEVAASDGGGPTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEIIDHPMDFSTIREKLLNDSYSNLEQFEDDVFLLTSNAMSYNSADTIYFRQARSIEALAKKDFENLRQPSDEEEEPKPPARRGRPPKNPKTEDDVSQDLSNIKTNKPEDNADAIRKRLTGDRTRNANTPLKDPSTFHSMFGSFTAKRTDKFGDYSGSSKWGKKPPSLDDDRRSTYDQHYSHNSSLFAAFDDERKLLVPVGVQQQHAYARSLARFAAKLGPVGWDIAANRIQRTLPAGINFGPGWVVDGEPSQNTQWPPVVTSTIPSESTAPPNVPSKTEVLHKSGLSSNGDVTGEEHLTRTQTVASTSASFDKSSEISSKVSKHENGVKKSCGGIDNTGPAPPSQHHSHSREIHSNINGFTAASNAMSQFAGQGLFGSGIPMTHAQVLGMFSGMNGKANGYIHGHQLTADTLKTAQNGDVGKATVNPVQGAGRDPQIVNDNTPAHTNLNASVQSSASPPRGKLANPKHPDLALQL >Dexi5A01G0022270.1:cds pep primary_assembly:Fonio_CM05836:5A:26176709:26177603:-1 gene:Dexi5A01G0022270 transcript:Dexi5A01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHKRCLILWLRIQDWANLIINFNSRESSAVFSCGHCGNWNRKAKQLSSKALRPMMKA >Dexi8A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:8A:27759232:27762938:-1 gene:Dexi8A01G0016350 transcript:Dexi8A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADLERVVELLHSERMDEQFRLLGAATLFAELRSGVTGVHSNGTVSKGGNLRLMFCIQSLAAPLRELLDAVLHAKIRSEGWHQVHGFVFRNGFSRSKTLRDLRQLADKFRALASYVRQVDRDYSSTMHDTSTPSSYSTGVHGPVDALRARMVGRSEVLEKMVSMVLAGGGLLVLPIVGGPGIGKTRLAMALMSDHRVLMRMTSHIRVGDDRHRHAGSHIGYRLSIGGHYLIVLDDVWTDSERNCPEIGALMKILPSNGSLVVTTRTPDVVSYLGAIAKPLYLQPLEKGFSSSLVAEWISAYRGDWPAELATEAGMAIADKCGGVPILLDHARGRFRQPQGLMFWQEFTKKATSPHDMYFWRELLACIHELPHDDFWRLFLGHPGELPDGNAVLESAAVSYQHLPSDMRSCLLYCSMFPLGHDFDVEELTDLLAAEGYLPAVVTKAQRKRFLQQFLDECFYPLQEHEYGDRCIYRMHKVMHIFAQFMDSKTGSVIRADQATQLTTKDTSQSLDSIRRASLIVNPSAAPFPTSLFQCSDLGTLILLHQGSTFPPDQPRCEVTEVPQEIFQRRIQALSFRATKIKVLPNKFLEPYHVKYLNLAQTDIENIPSSISRLMFLQTLILCHCDKLQKLHPNTTKLALLQKLDLEGCFNLVELPQDLSKMMRLEFLNVTECSLLSQLPRGVSQLKNLQVLLGYIVSCADGSSMPDLQPLANLQKLSLQGLEKVSDPLDARFASLDSKINLDSLSLRWDMDDYSDDTIPANSHAVLESLRPHQRLKALEIVGYEGEKLPLWITGRPYLKSLVEIKLINLRSCELPPLGLLPFLKIAEISGAETVCSVNGNFYGGKFPSLEKLTFSYMHNLEVWEQEHWQGMFPRLRELAIIQCPKLRALHMELQSLEKLILWMNNKMLYDLKGALQGLVKTLEHISISFSEELLASSDCEGLQDLGKLTKLEICGCDELAFLPQGLQHLSSIRSMTIDNCTKLEALPDWLENLPFLQIMRLSG >DexiUA01G0001400.1:cds pep primary_assembly:Fonio_CM05836:UA:3916770:3921796:1 gene:DexiUA01G0001400 transcript:DexiUA01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGAILKSGSLGFSDHDAIVSINIFIALLCSCIVIGHLLEGNRWVNESTTALLMGLITGGVILFATGGTNSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTMISFVIITLGAMGLFKKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDISQFDAFVLLNFIGNFLYLFFTSTVLGVATGLLSAYIIKKLCFARHSTDREVSIMMLMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSRYLLAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYNKFTASGHTAVRVNAIMITSTVIVVLFSTMVFGLLTKPLLTLLIPPRTGPNTSSLLSSQSILDPLLASMMGSDFDVGQISPHYNLQFILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVERSEPESHLGTVTEAEHS >Dexi3A01G0023520.1:cds pep primary_assembly:Fonio_CM05836:3A:19204193:19207980:1 gene:Dexi3A01G0023520 transcript:Dexi3A01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASSADDADPPECPVCLAPFDAASVVPRVLPCGHSLCGTCIVALPPASATTAGSSLRCPLCSQCVPFSRALGPSSLPKNLALLALLPSTSPSPSSHPSTANAAPRPLPLPLHAAHSGLLSRFRHAILPESASPLRSAPTGPAAGLALGSLGSDLGAPWFCARGSAVSLLPIETHPGEGRAPAEREAAFYRPSHAARVLAAIGALSDEAKEELAGLIASSVRLARRVCRVYGVWMDPDAPPLWMVSERHPRSVSLLLEDATIDGEEMVAQIGFVAMEACEVIMRLHGEGLVLGCLGLDCFRLDNFGHCLLDFNQVLALCRGVRAGASSDNIGAFIAPELVAVLGDTFQTKDRDFDGLVGFSSDIWSLGCVLLSLLIRDEGIVAGWNSEGSYDDWEKEVITRLNASLLGTQLEPLAAIIQSCLSYDPKGRPKIADVWKCIRGSLMKSGDVSLAPDADIAAQESFKCLLLGELSLMFGESCAGESDGKEQLSQGSDENSSNQDDGSNGCCINNSVTDPSEIDRPQSAGMFKSSTLIAHRDCVTGLAIGGGFLFSSSYDKTINVWSLQDFSHIQCLKGHEHKITAIVVVDNDNHSLCISGDSGSGIFVWRVDSTLQEEPLNIWYEHNDWLYRGVNCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVASGILYSGSWDGTIRSWWLTDHTPLTVLENDTAGSIAPVLSISTDANFVVASYENGYFKVWKNDVLVKSEKLQNGAVYAVKLSGKWLYSGGWDKIINIQELLEDESEVELQDVASITCDSIITSILPWDERLIVGLSNKDIKVNLLFVYYKTS >Dexi1B01G0027710.1:cds pep primary_assembly:Fonio_CM05836:1B:32396307:32397052:1 gene:Dexi1B01G0027710 transcript:Dexi1B01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINSSQPSHEPSTAGSKLAENTPYGTPRRTPNRRNATQIGGCRSSSPVTCAAARSAASPAAEAASAAAGTLRAARHSTSSDSRNASSPSRSRCSAHSSHSSSLTDASTTSRDPSRNDASDGIHAGTRAPAVSPRYPIRAGTPAKEKAAAAGSFHSTPRSGSPPGRSASTSARRRSSSSSAPPKTSDSSTTPASTRFWRKRPEVVLVPGVPALALAVVGGVRAAGA >Dexi2A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:2A:12955427:12958980:1 gene:Dexi2A01G0011150 transcript:Dexi2A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGSNDLPPHAPSPRPASPPLPAVLVPIVDAETEPKSASPPPRATVNRPPRPAHCTPTHCHGGPTSTSCPNRPTLRPMDPAHPPARAPKLTESFFPHPPQRTARLHAASLRTLPRRPRARKGGAQMSVTRVWFPGRVRPAVHHAKGRPPFSPSPHISSPLSSLPCRSSSLSLCWRPGEARDSVRELRHRPRPPPIPRHAARGEGEVAFLSFLSPPFPGALGSILDPPFELSLSLCDWLGSGFDSGAVKMERLSTNQLYSSGVPVTVPTSLPSIPASLEESFPRLPDGRNVLIERELRSTTLPPHQNHVAPNRGQFHSSTGSVGPLCSPPAVRFSSVSNPDQYPNPSPYNCQASSTASSSTLNYGSQYGGFEPSLTDFPRDVEPTWCPDLVDSMLGYSGDVPGGNNLTGSTSIGASDDLTKQNEWWAELMNDDWKDIVDNPASAETHQVGPPAQSSIVVHQSATQQSVSSQSGEPLAVVVASPTAGSNTAKARMRWTPELHERFVDAVNQLGGSEKATPKGVLKLMKTDNLTIYHVKSHLQV >Dexi3A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:3A:1027148:1029295:-1 gene:Dexi3A01G0001510 transcript:Dexi3A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIMDAALYWQTRNLLPALIELEDGKRFSEVVKTAKSLGYTEPDPRDDLGGMDVARKALILARLLGQQISMDNINVESLYPSELGPEKVSTKDFLESGLGQLDKSIEERVKAASSRGNVLRYVCEIESTGCQVGLKELPKDSALGRLRGSDNVVEIYSRCYESSPLVIQGAGAGNDTTAAGVLADIIDLQDLFQKTA >Dexi7A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:7A:29824575:29831029:1 gene:Dexi7A01G0021080 transcript:Dexi7A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGRRSACASLRRSSRASPQSSSRPCLAAWLLPACRRQRSRRPPPPPDFRAAVPRVALARLLRIKSLLKCPRKRIQSAKKLIIMRMVKGQDIQGQTFLSELISLRIPFWLEQLSFLHVSACYMLQVIEINAPNVHTLKFYGDPVHLKLGASAKVKNLEFNLSKNSSVSYAITKLPSTVPTLESLGVSSYSEINTPMVNQKDVKHGSVFGNASHMRQILGHKHDRLRKVLYPKEK >Dexi3B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:3B:10191855:10192277:1 gene:Dexi3B01G0014150 transcript:Dexi3B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGGGGVSCAVAGEAASAGTPQRRRRALGLTIDPAGDVEAPAERIGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIELEEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGLLVPRLREVGALCG >Dexi7A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:7A:24373709:24378471:-1 gene:Dexi7A01G0014440 transcript:Dexi7A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGATSLITGPLAIRRFPLHFYLVTAEEFPTEHQYLAGSSSSSTRPCVRRGMARWLDHLERNPAASSPRHVTTVHGATTGMSRAKGHARTPQPHLLHRADDHLIADAMATANPQSNQRPEHNRISGSISESSTNRDACVKCELATGYINNPTRGSALAVQLDHFTHSSLGFRHLINQNREPPARRQRGRQQRMLLNPASEALVLDTIRQHLLEEPAAEMAGPAAFRGIESFGSLVADQWSGSLPFRTDDADDMVVFGALRDAFAYGWLPDGSFAQVKPEPLPSSDDSSYSYDGFGFASEPEPMTPSSDAAAAPRREEGEREEASPAAAVSRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAATAAAAAAGDKRPSPSEPATSSDSSSFSTSSTTSSCSTSGSQPKRRKRGEAAAATMAMALVPPPSQLSRPAQPWFPAAAAEQTASMAPRVEQLQAHATHRDERTARQWGQERDPRRRRTCTVRDVGTRMRRRAGAGRTSRRKLHRMECDKIGSNWGGGNWGAVAPCNSPKWKEEAFSVPQGYSVQCLRHCRQWTVVVLCGRRDSVSIAIPALPIVLETTRGRRRRTLVFTPNRTIKISRRPVLIRDHHGPLQFRPPPPSPSLSGRPNNNGRASVHNLVRIASGKWRRGGGCRDTTTGPHAPHAAARARASAQPTRGAPRYKHRSPLPLFHITPNLHALLAMADQHRGGMGGGAGGYYGDLHRGGGGGHGGETQQRQGAMMTALKAATAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAITLALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLATRRSTASSRRRGLEEAYVYVQAGSCSISCVDDGGARPPPAWHGLCRLGSSPC >Dexi6A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:6A:15464737:15466338:1 gene:Dexi6A01G0010890 transcript:Dexi6A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVFASTTNVSGSLYGPKPRAGKRFTRKPDKLCSPFKYGVMIRPPPIVDASLNLFARLCADDSIYRSTTVMQFGTTHLTGAFIAKSFADDALPDSVFMSCFVKCLQYDDFWIRPECFGYQYSSIQKFRYSQFAAVSALQRCLPFTILKNTKMAGSKELRAEMLYYITFHSENNVATLPDDLIQFRQTDLQPFFY >Dexi1A01G0026420.1:cds pep primary_assembly:Fonio_CM05836:1A:32353389:32354332:1 gene:Dexi1A01G0026420 transcript:Dexi1A01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSAAALLGGARLAAVPPSVLLPRRSKMSPLRLQAEAPRLSLLRVKASSDDSSAASGDDIIADLQAKWDAVENKGTVLTYAGGAVVALWLTSVIVGAVNSVPLLPKIMELVGLGYTGWFVYRYLLFKESRKELADDIDSLKKKIAGSD >Dexi4A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:4A:23458420:23459277:1 gene:Dexi4A01G0019610 transcript:Dexi4A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSSNRLNVATSSPGDDFLPFTPMQQQPPPPPPPYVGFEHGMASAGGMQRHHHHLYDGLDFAAAAALQFQAEAAGPHHHQLLTLPSSLPPMAPPLPMPMQIPMPMPGMPGGAGEVYPALGMVKREVAGGGDSSAAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCESHAKASVVAAGGKQQRFCQQCSSVSTMQ >Dexi1B01G0029810.1:cds pep primary_assembly:Fonio_CM05836:1B:33973746:33974123:-1 gene:Dexi1B01G0029810 transcript:Dexi1B01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLQAVALVLLLSRLAPASSQQQQPPPLARPGCRDRCGNITIPYPFGIGAGCYRNDSVGGFELICDDAHSPPRLTIVRLSIMLADLSLAAGEARAYLNATRMQLLQLLGWVRGREHRPVVHVPR >Dexi9B01G0023200.1:cds pep primary_assembly:Fonio_CM05836:9B:18263399:18265534:1 gene:Dexi9B01G0023200 transcript:Dexi9B01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQVRRVLRVAPPGKGDGEAFPTVQAAVDAVPLGNRARVVIALAPGVYREPVYVAKTKNFITIAGAAPESTVVSWDNTATRIKHSQTSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGYITAHSRKSTSESTGYVFLRCSGPGSRSSNRVTWCRQLLDVEAEQFLSHTFIDPDLDRPWLQQMMSTRIPASA >Dexi6A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:6A:27283674:27284033:1 gene:Dexi6A01G0019650 transcript:Dexi6A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPIMVCTLHLRGLIPHLTIVHRGTKATSTTISGLTTRRRRNLHRRMGDISTTTTTTKRTAPLGSSKDVC >Dexi3A01G0027550.1:cds pep primary_assembly:Fonio_CM05836:3A:25454818:25456856:-1 gene:Dexi3A01G0027550 transcript:Dexi3A01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVITVVTAVMGGMDPGDVGVDGGMLLMGFLANSGTREIAFVRFNKLTWLTVDTVHDHTSLLLLQIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQILPRTGSSIGRVVTPATPKPAPSSTSIVVPDSCPAVPASPKSLLKYSSLSN >Dexi1A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:1A:24120988:24128602:-1 gene:Dexi1A01G0016840 transcript:Dexi1A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVGPFSSSASPTSKEESPPRSGDHTADGAPQRSRPTSYLQQREGHLSLPTKKMETEFSELLDAAERTIGLHFSYDINLTLSAQRLHDLGDEYKSLPLWRQAEPRFLWNSYLLEPLIEDKLDQYLLPVIQGSTRMWRRGADAEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPRVLERHFHDLQKKYGAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDLRYVHFDFHRVCGHIHFERLSQLYEQIKDYLKKHRYGKRTTQGIVNDLRNALARYYLNNFVDGTKQDAMDLLQGHYMTSVSRDMAVPRKGLLENYASFRLAFALIMGALTFMMMSLRHGKDSD >Dexi5A01G0028360.1:cds pep primary_assembly:Fonio_CM05836:5A:31659715:31661265:1 gene:Dexi5A01G0028360 transcript:Dexi5A01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWQDDGGGPGLAGGEGEGAHCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTEHTEEDVTEEMKSGSLSLGFPTNEPFAFPGLRSDIEALEKGLFGSLGSVLNEAERMTNDFFKSFGFPSTHDRESSPFPRQPAERHIEEGTTKKTKEGDYSEFSGQISDV >Dexi1B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:1B:25112287:25113202:1 gene:Dexi1B01G0018940 transcript:Dexi1B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAPLKKADSKAQALKVAKAVKSGTTKTKAKKIRTSVTFHRPKTLKKPRDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Dexi3A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:3A:6466347:6472179:1 gene:Dexi3A01G0009270 transcript:Dexi3A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFDLLHTTSGKEYITSDHLKHEIKMEIKKQGRASLVDLSDTLGVDLYHVERQSQKVVADDPTLMLINGEIMSQAYWDTVTEEINEKLQECSQIALAEIAAQLHIGSELTISILEPRLGSIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLQDMHGASGVSVEGSFFQSIFNGLLKDGAVLGSIRAGGQWTPAVFAHAQKESVDAFFSQNSYIGYDVLQKLAIPQPKQYLEARYPDGIALDAVFVHPSVVDMLDTAVGDAIENGQWIDSLSVLPSYITGPDATKILSICPSLQKAIKSSKAVVFGESCVFSSVFIKGIFDRLEKDMDSFGIRHSVGQGRPVNMNLGSEHKTGSGQYWDTKDLDDNETSSTGVSSDRGSKKKRGKGTGSTKGGSLEKDDDNEESIPVKGKKAHRKNKDAGSSGDVKHGGKKAPEKKKDESSNIFPDELIEQKVLAVVPELEELSGSDDSNAPLKLLSSHLRPMLVDSWMKKRNTMLSGNAERRRRLLDNLQKQIDEAVLDMQLYEKALDVFEDDPATSGILNKHLLKSMGTPIVDKVLITLVIFIPLFMHTFFSKFDKDRKLKNGVEVEDSEEEHVQLTTADRTSLVKDLPGALSSKAQALIEALEGKRFDSFMDALRDLLEKSSGLTFKKLDKRLERTMLHSYRKVWSLTLWTAHQGSENFHEPVGSLYSTQERVSKFGHIVP >Dexi4B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:4B:20077301:20079938:-1 gene:Dexi4B01G0017720 transcript:Dexi4B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGARKKVELVAAVLLLEMLLAGFHVASGSALDMGVSKMVFLVYRNGSALAVVAPIAYFLEKKDRPPLTLRLMAEFFVLAAIGVTFTQGLYILGLYYLAPTYVSAIQNSVPAVTFVMAAALRIEQVNINSRHGLAKIAGTLATIAGATIITLYKGMLLTKDSEGTHKQKDIGVVLSPGSTWIAGCLIMFVNCLCLSGWMVLQVPVLKKYPAKLSSFTITLALGLIQLIAVAPFFESNIESWKVQSGGQLFTILYAGIVVLGVAWNIKIWCISKGGPLFVAAFQPLQTVMVAIMEAIFLGDRLYIGG >Dexi9B01G0036690.1:cds pep primary_assembly:Fonio_CM05836:9B:38181425:38189062:1 gene:Dexi9B01G0036690 transcript:Dexi9B01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSAKLMPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGATNDRNIMKLCEYAAKNPLRIPKACDIAKFLEQKTHKELRAAHVNLVRIITEAYSKLLFICKEQMSYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVPKVCALSRQQGEGHRLLRAASLQCLSAMICFMKEHSYIFADFDEIVQSVLENYRTEESVGGGEDRHASQHNWVDEIARCEGRPGLGGGNDVNISSTAVRLRPARNSSALTRDERESPEVWSHICVQKLAELAKESTTMRRILDPIFSYFDTKKQWSPRHGLALLVLSDMAYPEKSSGNERLILTAVIRHLDHKNVLHDPQTKSDIVQTATSLARQLRSRGFAAELAVAGDLCKHLRKTLEAVELGSVEELNLNESLQNFLEDCLMEVVRGINDVRPLYDMMAITLENLPSIPTVARATLGSLLILSHIISLTSLSSNTPTVFPEALLQQILKAMLHPDVETRVGAHHIFSAIIVRGPSHLRSESEYLYETKKQSRTTSVFASATALLEKLRREKESLSSDKPRNIMHADVKERNTNEEDHKHVWSRKNSAYFSKLVFSFIERCAKLSSSVQNSSNSNIIQFFQLALSLRSIALTPSGVLPASCQRCIFTLAMSVLAFTGKVCHITELSDLLRCFTSSSVDPFLRIGEDLQLYVRLQSDLGSYGSESDQEVAKSTLSDCRTKVGISDQRMLDVIASALSSFTEMDKDALVKELTEMFTPEEMPLFGSNSALDWANFNGQAFSDESLSFDEECSRTSSVDCGLHESPITNTASSISKIALPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYDTMTSQCEALGLGTRKKLSSWLVNGHESTPDNPMPSLPTAHHSIIPKVNSFGFESIHQTSSEPASMVKLPPASPFDNFLKAAYRTQPEM >Dexi3B01G0025730.1:cds pep primary_assembly:Fonio_CM05836:3B:20576514:20577382:1 gene:Dexi3B01G0025730 transcript:Dexi3B01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGANGRKSIKDFQALLHQGYSRASSSSSATPPVPLPLQAPPSRPCPRPPAKPKLLIFIRKQNRVLLNLPHVVTACRKAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLKAARTHLKNTTACAKGAGAGAATALR >Dexi7A01G0023200.1:cds pep primary_assembly:Fonio_CM05836:7A:31248533:31250448:1 gene:Dexi7A01G0023200 transcript:Dexi7A01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVAAGGGSLPGFLGRKSRYARMDDVLPPEPDDGGGVRVRGGGGSSSSSRRYVVACSVFASLNHILLGYDVGVMSGCIIFIQKDLHITEVQQEVLVGCLSFISLLGSLAAGRTSDAIGRKWTIGLAAAVFQAGAAIMTFAPSFAALMAGRLLAGIGIGIGIMVAPVYISEISPARLRGTLASFPEIFISFGILLGYVSNLAFSGLPDHINWRVMLGAGILPSISIAFVLLVIPESPRWLVMQSRAGDARAVLAKVSDSDEEAEERLAEIEEAARATASDTAAWRELLRPSPVVRRMLITGLGVQFFQQATGIDALVYYSPTIFKDSGITTESQLLAATVAVGFSKVAFIVIAIVLVDHVGRKPLLYISTAGITACLAVLAASLSLLARGALPGGAVVTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVAIGFALNRMASGAVAMSFLSICRVITVAGAFTAFAVVSAMSVVFVHMFVPETSGKTLEQIESMFHGGGGVVSAEVELGDGERLEHKRLVPRPSSR >Dexi3A01G0036220.1:cds pep primary_assembly:Fonio_CM05836:3A:41620172:41620687:-1 gene:Dexi3A01G0036220 transcript:Dexi3A01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSVILMLLAAFAVAGACAATFTVKNNCGFTVWPAATPPNDVKTHACNGNSNYQVTFCP >Dexi9B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:9B:9744668:9745882:-1 gene:Dexi9B01G0014590 transcript:Dexi9B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARQPLQLPRIDFSGVDTSAPGAGRWAAVRAQVVDALATLGCFDAHYPALTPDLRAAFFDGAVKELFALPADAKRRNTYGPDKPLFGYLGGMPGLSNGYESLAISERVEPESVRAFADLMWPNADDNAGFCEAVHGAARRMAELEEAVQRMVMEGLGVGRCHGEMRESMRHVFRMSQYRPPSDHTEGSKEVRYGTHQDCSTITVVCQHGDVDGLEVQAGDGQWVRYKPSSPASLVVMAGNELRAWTNDRVRAPFHRVAVGGDVARYSAILFALPGLRIQAPDELVDDEHPPRFKPHCNDDFMRFCIARGARHEDKLKDFCGA >Dexi2A01G0029870.1:cds pep primary_assembly:Fonio_CM05836:2A:40854992:40855937:1 gene:Dexi2A01G0029870 transcript:Dexi2A01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRPRDVRQGRVLLECTPEPECHSAFEYYYTFSLRDLNLAVCDPLFRRYSLLPPIPKEIRGQHKHIVDFGLFLAPTGEDEDETAFRVDLSIVKNDVAKDNGDNGKPLIVLGRDGTPEMFFLGDLFGDGPTDIIRITKRNGGGSSNAWQFENIISLPTQYNYFTLGAAEGLLFLRGILQDQSSGYSSEDSSDNSVHSPAESPDAEYFSLDVKTREPKKVCVMKQYFHTVYSYFGYPLPLAKPTI >Dexi7B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:7B:20453807:20455231:-1 gene:Dexi7B01G0014100 transcript:Dexi7B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSARIQEEVSAALDKTAVTHSNLRCFLNNATPVVKAHKVPKGPYLPLSNGYYGNVANEVRRFYLGDLWNVFYKWSTCGVGTSVCIAPCETIEQYFVPYLSAIELYTNNTNDPASQR >Dexi2A01G0023900.1:cds pep primary_assembly:Fonio_CM05836:2A:35578902:35581407:1 gene:Dexi2A01G0023900 transcript:Dexi2A01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSARRLPPAFPVPLNPSRLRRVDPSETAALASAPMAGHAAQQQGLVTAPWSPPRGAPGFVAVAAPKQAMEAPPSSSPQGAPGFAVVPQGGANAAAPDSPQGPPGFWLAPQQGAPAPQQGATVMVTAQGATVMAPQQQHFASVMLPASQDGMQMAVKAASMGMMMASMPLQLQAQAQAMAAHQQAQCMVPSQSLSMCPPMTEMQQAQAGHMMATPPLPLGPPPLMQQQQQLSQADPGMMAQPPLPLGPPPMILQQQPAQGGAVMMAQPPLAFSSPSVLQQQQHQHPQGNQMMVGQPQLSALPCKRQRVDQYGLCIACDSNNPYGQHMTGHQQETAMFNAATGQSLGTNLPTGFPSFGASMPSLPTDATSTVYVEGIPSNCTRREVARNVTEEVFYL >Dexi9A01G0037100.1:cds pep primary_assembly:Fonio_CM05836:9A:41424435:41425636:1 gene:Dexi9A01G0037100 transcript:Dexi9A01G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQKKIDLIQESSAMNQHEAIQKFIQGTIAEGGPVVPISAQLKYNTDGVLRVNQKIEVRPGIVMKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTQKAGKVSKLTKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCTSKGEKVALSRHVEKYWRLIGWGQIQAGTTLEVPPCPL >Dexi9B01G0042190.1:cds pep primary_assembly:Fonio_CM05836:9B:42464480:42468799:1 gene:Dexi9B01G0042190 transcript:Dexi9B01G0042190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSSQSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPPMVQPLSPTDHPLGPFQCQGPCNDCRRNQPMPLASPTSVDASPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTVSTATSNSAPEPRPMPAPQERAKEQVIESFDIFKQIERSI >Dexi7B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:7B:24842882:24845340:1 gene:Dexi7B01G0019300 transcript:Dexi7B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRGGSRAPVVLLGALLLALAAGASAWSHHGGLGAAGFATAGRAAAVGSERRYRDLALQRMESVRSSFAAAARRDLATASVSSRVYHVTDYGADPTGTTDSTAAINSAIADAFRSPSNATMTGGIPDLGGAEVHLDGGTYLIKAPLTLPASGGGNFRIHGGSLRASDDFPTDRYLIELSAKGSSRSFDYEYATLRDLLLDCNYRGGGLAVVNSLRVGVDNLYVVHFASDGVAVTGGHETIIRNSFFGQHMTAGKDPGERSFTGTGIHLDGNDNTVSDVVIFSAATGILVTRPANSISGVHCYNKATGWGGTGIYLKIPGLTQTLISNSYMDYTSIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQVVGNIFSGQDKGVDIVHLDGKFDTVDQVYVQQNSATGMTIKSTAARGTAEGNGSSWTVDFSPVLLFPDRIGHVQYSLVAGDEFPGHTLRNVSGNQVVVATDKPVSATVHVLVDQNSD >Dexi9B01G0020910.1:cds pep primary_assembly:Fonio_CM05836:9B:15570564:15574053:1 gene:Dexi9B01G0020910 transcript:Dexi9B01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEMDEEAMRAFFPLSFGKAPARPGSAASSAAHSTTLRKPPNPSNPKPSASTAADDDSGAMIGPPRPPSAPAGEEDDEDGGGMIGPPRPPPPSARGNGEDEDGSDMIGPPRPPPAEEEDDDEEDDDMEDDDMEDDGDGGFNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFQSQKQVIKPKLVRPMRIPVTSCAWDHQGKRIVAGIGDGSIQIWTIKAGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNNYAETNAAFSPDEQLIFTGTSIEKDGDNGGLLYFFDRKKLELVSRVGVSPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSDNEEK >Dexi5B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:5B:10338911:10340083:1 gene:Dexi5B01G0014020 transcript:Dexi5B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGALVLALVAVQAAVLLSAVPSAQASELQVGYYSKKCKGLENVVKWHVVKALKAHRRTGAALVRLLFHDCFVRGCDGSVLLDKSYDNPHPEKDAPVNIGLAAFDLLEEIKADLEHRCPGVVSCSDILIYAARDAASFLSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSTDDVEKLIKNFARKNFTVEELVILSGAHSIGQGHCSSFTGRLSEPADQITPAYRELLKYKCSSGSNPPVVNNVRDEDYNTVGRFMPGFVSRLRKVPDFLDNSYYHNNLAKIVTFHSDWTLLTQKEALGHVHEYADNGTLWDEDFSDSLVKLSKLPMPAGSKGEIRKKCSVINHRLY >Dexi5B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:5B:5399210:5400120:1 gene:Dexi5B01G0008010 transcript:Dexi5B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALLLPPLVAFLLLLQDLASAAAGDCSPATCGENVTLRYPFWLGTSNQTTSSTSSHCGHPAFEVWCLDAVKGVASLKGSSLHVISIDYPNSSFLASHTRVAAGDDGVCRTDFNMSVSIALSPFTISRRNRALCFLYNCSNGITAPGGEEDEFVNATSSCRAPIYAYLAGAYRWDKPPAIETDGCTYAYVPVLGTAAAGMTAANYSRLLKAGFLLEWEKAGVGDCAACNATGGECRYDGEAAAFWCLCPGGRRAAGSTCAGEFPSTHTLLLTCRVWTPALGDSAHVAF >Dexi6B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:6B:19536953:19537582:1 gene:Dexi6B01G0011970 transcript:Dexi6B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPIKPLDGADGYLRWKESMLLRLHSVDVAHVLSDEPPAASAAAAKKWARDDAVCRGHILHALSDRIFPDYVRYGTGRALWEAVARTYDLEWTFDSYNPQLRSFRQDFRFEKGASFLEQLAHAEALAATSERSDTRLAHMICNKLPGDMATLIRYGGGGMSMKNIWETARFREELRIEAEDNKRREVEEARADHVLEVKAGHRHRHR >Dexi3A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:3A:10541908:10542915:-1 gene:Dexi3A01G0014480 transcript:Dexi3A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCNGCRVLRKGCTEACTIRPCLQWIKAPDAQANATVFLAKFYGRAGLLNLIDAAPDDAVRPAVFRSLLYEACGRIVNPVYGSVGLLWSGSWHLCQAAVEAVLKGAPIVQISSEDPAAAGPPHLHKACSYDIRHVAAKAKPTTPPAPAAAAAADAAAVSSSPADDAQSGGKGALLHKIAKSGRTRFKRASSSSSPPASKKQARGKASDDATAEDHDLVVVDEHHGHDELASDHEVHPSRASSDDTHHQEASVASLDTDASHVSQVEQAGAAEEEGHHQEQQQQPGLDLTLGFGLFAPMAAARPPTPPADAGCSHGGWSAAVAAEPGVVGFRFL >Dexi2B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:2B:3757296:3757895:-1 gene:Dexi2B01G0004270 transcript:Dexi2B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVMASMGSLTFAAAAAGLSAIRVPASALAPRRRALVVRAQGPENAEPASTEETSTTTSPSSSTSPTTSTPTPAKPKAAAKSPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLAQAGSGAGLTWFFATAAVLSVASLVPVLKGETAESRGDGVMNADAELWNGRFAMLGLVALAFTEYLTGAPFINA >Dexi5B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:5B:1423219:1424588:1 gene:Dexi5B01G0002180 transcript:Dexi5B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPAWPDFGGGHHHHHQRSLSMGTFLAAPMPPLPPQHHHHQQPSWVDEFIDFSAAKRGAHRRSVSDSVAFLEPDPDTSNAAAVGAHDFDRLDDDQLLSMFSDDIPPPPPQQVAPAPVASSSSPSDHNSFNDEKTDRGGETEETQSNCQEDATVQGQPASATTVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNIESSTPDAAGDKDLIVSEGTTAAAAPCPPS >Dexi3A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:3A:12791688:12802043:-1 gene:Dexi3A01G0016880 transcript:Dexi3A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGAPASVRAVTIPFADLRDRGKDLSGKIEEGFGPRGLGIVFIAGVPGYPELRKRLLRLAPRHVIFDCLISSVQGFRPCPSGIRATIMLYFFVSVCWIDAAIHAILVLKDVFLPLFVRFVNLPDDVKKELEDPDSRYHIGWSHGKEKHENGGWDTSKGSYFANPVFDVPTTDDVLVTRRAWSTFKEEISPSTVVSLVTTVDSNSLSSAMAGSAMMAKGLGGWVLVVAWRQLRYPSYCRPNIWPTDHLPEFETAFKDLGKLMLEVGLMLAHHCDHYVMQQGVRQYVGENLEQTLVRSRCPKGRLLYYFPKQFSKENEGVQSVSSWCGWHTDYGFLTGDPQYPYGLRVVIVHAGLTCGLFARKSEEVPCPDGSGLYVRTRDNQVLQVTFEDDELAFQIGETAEILSSGHLCATPHCVKAPSSENTSDVGRSTFVLFIQPDWDEKLELPSEIPHHQE >Dexi3B01G0020990.1:cds pep primary_assembly:Fonio_CM05836:3B:15913116:15913382:1 gene:Dexi3B01G0020990 transcript:Dexi3B01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLSRKTVDEVWKGIQDGPETSATEGGRHRQERQPTLGEMTLEDFLVKAGVVTEGLLRDSDDLPGNMDIVGSNVMLPDTSSLNPGA >Dexi5A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:5A:4196688:4199170:-1 gene:Dexi5A01G0005660 transcript:Dexi5A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLGHRLLLQRLVGGVRSASTGGQSKLPPLGQGVKGLGKPVEAARSAAARYRSAVGLQLEAFWRRNYMILVGAGGVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAIVAFAGMYARARLTINPDKVYRMAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFKLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDEEDEQREREEAEREEAEALRREEERLRQEAEERRRREEAENLEKAV >Dexi6B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:6B:20823987:20825484:-1 gene:Dexi6B01G0013130 transcript:Dexi6B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWLSPLDLVQAKRGHTPTIGFYRSNDAVAADFFDVARLKHALAKALVAFYPLAGRLGVDNNDGRVEISCNAEGALFVAARCDDFTVDDFVDFKPSPELRKLFVPRIEPSSIMMAIQVTFLKCGGVALGVSFHHAAIDGISAFHFLRTWASICRDGEDRAAMEIELPCHDRTLLRPRSPPAVHPNTLSVFCPNLTVHEPSGPNASEVFTITKDQLASLKRLCGGVSTFCAVSALVWRCACVARRIPPDAEARVVISANIRRSLRPPLPSTYFGNAIISLTATATTRDVASETMVSVAARVKGAVVRMDDEVVRSAIDYFELADETEDDLPPMIPRGRGVLPVTELRIVSWLGMPWHGADFGWGKPRVMGLAESNHGGFVHLVDDVPAENGGSGGVRVFACMEAANIMEFERLLYANLECLAG >Dexi5A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:5A:1708800:1709374:1 gene:Dexi5A01G0002430 transcript:Dexi5A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASLYRRVLPSPPAVDFASPEGKRLFAEALAAGTMEGFFPLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAEGITFGKVACLARCSGANVQSFRASRASIDDLRRHLVRCVSSQDCHLIASYHRQAFKQYYP >Dexi7A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:7A:17993095:17993375:-1 gene:Dexi7A01G0006650 transcript:Dexi7A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGGAGERKVEAPPLETEGIVWREDAGRFETPDGEAFLQYRLPSPAVMDMGLAARLCDISQPILVRTIQTPQTSLH >Dexi3B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:3B:3920286:3925415:1 gene:Dexi3B01G0005750 transcript:Dexi3B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCASMGLSPWFVFLCSVLVQVSSILADTDSGDTSGLTGIAANWDKNTPSNWNGNDPCGGKWIGIMCTGNRVISMLVSASKKPKIMNFVSSLDLSYNTDLGGSLPASIGSLMNLQNLILVGCSFSGEIPPELGQLSQLRFLSLNSNKFSGSIPASLGNLSNLYWFDLADNKLTGTLPVFDGTNPGLDNLTSTKHFHFGVNQLSGPIPSQIFSSNMKLIHLLLDNNNFSGSIPPTLGLLNTLEVLRFDNNPQLSGPVPSNINNLTKLAELHLQNSKLTGPLPDLTGMSALSFVDMSNNSFNASNVPSWFTTLPSLTSLFLENLHISGQLPQALFSLPAVQTLRLRGNRFNGTLTIGSDFSTQLDLIDLQDNQISSLTVGASQYSKTLILVGNPICVPGSNEKYCMAPGQSNLTPYSTARNCSVLPPQCLSNQLLSPTCICAVPYRGTLFFRSPSFSDLNSASNFVPLEQDMKTKFAKLKVPVDSINIHDPFFNANSNLQLSLELFPSGKVQFTAQDISDIGFMLSNQTYKPPSMYGPYYFIGQQYTFADDVIGPSKSKSTNRLPLIIGASAGGAVLALAVVALVIFIARRKRTPKRTEDRSQSFVSWDMKSTSASTIPQLRGARTFTFDELRKITNNFSEANDIGNGGYGKVYRGTLPSGQLVAVKRSEQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQEEQILVYEYVPNGTLKESLTGKSGVRLDWRRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNVLLDERLSAKVSDFGLSKPLGEDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEVVTARKPLERGRYIVREVKAAVDRSKDMYGLHELIDPVLGAAPTAMAGLEQFVDLALRCVEEAGADRPSMGEVVSEIERMVKMAGGTGPDSASNSMSYASRTPRHPYGGDSPFDYSGGGMPSTRVEPK >Dexi2B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:2B:9940924:9941436:1 gene:Dexi2B01G0009130 transcript:Dexi2B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRIRFDAESRRQELPVGGGGGEGGVPDLISRLPDEVLGEIISLLPTRDGARTQAISRRWRPLWRAAPLNLDVDDLSDEDHKRIIFATKILSEHTGPGRRFSLTGFRLHNRFAKIDGWLRSRALTGLREIEFSYEFQISPLPRPMPQSALRFAPPYALPIFLMR >Dexi2B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:2B:7755046:7755903:1 gene:Dexi2B01G0007470 transcript:Dexi2B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPASTAGKRSRRASDPGRHLSWASLPEDLVRLVGWRLLADGDLLDYVRFRAVCTGWRSGAISPRGRGVVDPRFHPRRWMMLPEGHCLYPGHPDLRGYARFLNLDTGASDPSLLEDHLAIDSVDGLLLLLGDQYQRGIVRLLHPFTGDIVDLPPLATLLPQLGDSMSCCPVLYRIKNLASRVCASASFKDGVITVMLALDVVSHVAFATSLDQQWNLSEFSADPSLAFQGKLYMLKKAGGYFNNNIHQRRQAQVVPAAAASTFACHNP >Dexi5B01G0031430.1:cds pep primary_assembly:Fonio_CM05836:5B:32175712:32178623:1 gene:Dexi5B01G0031430 transcript:Dexi5B01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFNSPSGHLPPHPTSLAAPPPEMSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRARVEKDLDEVLQSHTVYSNVSKGVLAKSKDLIKAFGTDDKTKICIEILEKGELQVSGKEREAQLSTQFRDIATIVMEKTINPDTRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLMENFPIKRAPLRVRFTAPKPSFAGLMEKVAEWNATVISKDESGTQPSIVCEIEPSILRLCEERLKDVQGRVEVLSVSTHAEGGQSLEQHDNVEVPQAMPAKESDAVAEISETMQKQGISSESQGNAQGEQQRRCKECDVLVEDKLYREHCKSAWHKHNYTRHKNGLPPHNQEECMVEMELADSKKDLKDYDF >Dexi6B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:6B:423042:426709:1 gene:Dexi6B01G0000620 transcript:Dexi6B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSAGKRDFFSPSFSSFCVEEHGLGFAEDWEARDIRVKGAGEKMASEMVKAATSDKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKCIGARSKNTQLYAVMLLEMLMNNCGEPIHRQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGAKARFPQYYEAYYELVSAGVQFSNCPNVVVTRADVPVQEMRTEPKRESLSTRLNEAQQEVPTQPAPDASIVRKASSVMELLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEAVVSQAIELNEELHKVLVRHDALLSVQPTTTVASNLNEEEEEEDAESLYRSRLRKGKALSQDYTDDSVQSFRSIPEDKMRRPLTIQPPHLEKKLGALNIRSPDREERRPELAPLIPPPPAKHAERERFFREKSIDGVASLPGHLRDLSQHSRDGSSSCSGSTDYGD >Dexi5B01G0039050.1:cds pep primary_assembly:Fonio_CM05836:5B:38078340:38082408:1 gene:Dexi5B01G0039050 transcript:Dexi5B01G0039050.1 gene_biotype:protein_coding transcript_biotype:protein_coding PARGGSPHPSPCPRPLLPATDRHTHRPNRPLRAFDRAPPRRRLSNADRIDLIAAGKGEGHHAGCLRMEAQRAVPQRAAEDAIRSIGVGFDVVTDVRLKFCKQRGAPDPWLIELDHGEVHDVVLPGGIAVSGVTRSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGFFNSMFEFTGSWQKDAANTKSLAFDGWYVMLYSVALSKGQIVLRDHVKQAVPSTWEPAALARFIKKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAADVQKRLKEMSDRRFINANGQSDMSFKDMSGNNKSDIREQQMRFVQSSSLNSYSSNEDLVMMPKRRGGKDRDFLSHGEWLNTVQAEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRHKPPIEELHQFLEFQLPRQWAPVYNDLALGPQRKRQSSTSLPVNLIGPKLYVCTNMVWITSAVDVGKRPVTGLRLFLEGRKSNKLAIHLQHLCSLPQIIQLEDDPYNHQTQEYDRKYYEAIGPWKRFTHVCTAPVESDDLSIVTGAQLDVVNHGLKKILFLRLLFSKASNAALVKNPEWDNSPSLAQKSGLISTLISTHFSSVAQKPPPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDSPGYWVVSGAKLNLERGKISLRVKYSLLTAMMSDDEFPLDDEC >Dexi6A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:6A:4914145:4915095:-1 gene:Dexi6A01G0005470 transcript:Dexi6A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIYPSPGQPTSDDARDRRDNLPSAAGATTSTSTSSSGELHRPGQSLEMPSRRRHGGQSKPARLFQKLRQAFPSALTPRCGRLQVGTPAELAAAAASSSSSSPASSSSSASSVPKSGVSFTGASRRTCRRVTGTLYGHRRGRVVLALQETPRCLPSLVVELALQTHALLRELGNPAGARIVLETERRRPIAVSGEGKRGGTPLLEEAAWTMFCNGKKTGYAVRREANDEDLTVMETLRAVSMGAGVLPAGMMAARCSSSSSSPAAAAAAGDDEVPYMRGCFDHFVGSKDSESLYMIAPQSGGTGPELAVFFVRL >Dexi7B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:7B:19847262:19847699:1 gene:Dexi7B01G0013340 transcript:Dexi7B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGVGRQSKGLAHWGSPASGRCAAPLPEVAVATGTSSCSTVGGRPRASCSAADEEEEASLWAVVGIGAHAAMGGGWRVVVVANEAPLGRAPRHQQPRTRRSRRGAVTL >Dexi9B01G0046500.1:cds pep primary_assembly:Fonio_CM05836:9B:45757096:45773122:-1 gene:Dexi9B01G0046500 transcript:Dexi9B01G0046500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIFPTFQLVLWPFILKLIIPKKYTGAVATVCKCITELCRHKLSQTNPLYTEFNASNETPNPEDLFARLVVLLHNPLARGQLATQILTVLCYLGPLFPRNLSLFWQDEVPKMKAYISDPEDLKQDSTYQEIWDDMIINFLAESLDVVNDTEWVISLGDAFARQYDLYAISDGHAALLHRCLGMLLQKVDDRIYVSEKIDWMCRHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILDSAGQSALQRFLSFFSFGAKAEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRLLHVQHPTAKQAVITAIDLLGRAVISAAEMGISFPLKRRDQLLEYVLTLMGRDQSNDLTDFNSELLHTQSVALSACTTLVSLEPRLPMETRNRVMKATLGFFALPTEPSSIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSLEHQRRRGCIAVQEVLIKFRILCSGGFGVLGSYPTFKVNKQIDQGGSRSLSSLPSAFVLPSRDSLSLGERTMAYLPRCADTDAEVRKAAIQIIALFFNISLSLPKQKAYGNDIDLESSYSSLSSLEELVSIVRREASVDQTEVFQRVVSSVCILLSKDELVVLLHSCTLATCDKVKQSADASIQAIIMFITRRGKELREADVSRTTQSLLSSAVSLTDKHSRQEVLNAISCLAENTNHIVVFDEVLSVAGRDICTKDIPRIRGGWAIQDVFYAFSQHKKLALLFLEYTLSILHKEPVTINSSEKGEITSESSADDCILQATMFALNAFMRGGGKNGKQAVEQSYPSVLSGLILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDVEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCVILSKALNRNQRAEREAAAAALSEFIRHIEKEPTLLEQLVEELCEHVSDDSPTVRSLCLRGLVQIPESHMLKYIQQVLGVILALLEDPNESVQLTAVQCLLTVLNLSEQDAVDPILINLLIRLRNLQVSMNAKMRSNAFAAYGALSAYGVGLQRTAFIEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSLLLSKQYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYLESAIQAFDAPWPVIRANAVCLVSCMLSFLDDQRFIAPYFSQVFAMLVGRMSQSPDAIVRAAASSALGILIKRSNMLRSMISRFDRAEPSRSSHYGDAKAPSELQEETGEIRESPNDAPVEQ >Dexi9B01G0023530.1:cds pep primary_assembly:Fonio_CM05836:9B:18890174:18890609:-1 gene:Dexi9B01G0023530 transcript:Dexi9B01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRERERRNMHPEETHFNFPSTTRSRTRARKEEGPGVFSRQQGAVTGFLFSPPHAAPLLSFLLFDNDILPSLIARLLDAMVVVREEEEERAGWRS >Dexi9B01G0021330.1:cds pep primary_assembly:Fonio_CM05836:9B:16094848:16097848:-1 gene:Dexi9B01G0021330 transcript:Dexi9B01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGITPEDNSSDEELSDEEDDSGDEAILGNPDP >Dexi9A01G0047550.1:cds pep primary_assembly:Fonio_CM05836:9A:50631559:50635428:-1 gene:Dexi9A01G0047550 transcript:Dexi9A01G0047550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRSPAGAPSSSGGGGGGGGGPVIEMASLLRSDRPYAPLSTDDPSASSRCDDPPDNLLSNLGCRGAVTVGLPPAWVDVSEEISANMQRAKTKMGELAKAHAKALMPSFGDGRDDQRAIEVLTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRALATDLQNLSTEFRRKQSSYLKQLRQQKEGQDGVDLEMSINGTKSTFEDDGFDDVGFSEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVAASVEEGYKELQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >Dexi1A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:1A:830663:834120:1 gene:Dexi1A01G0001320 transcript:Dexi1A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNKGNFVSLPDRTLTMKTHERAANLALAALSLAPLVVKVNPNVNVILTACLTVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIVALSATLLPSIKRFLPEEWNDNLIVWRAPIFHCMIPTHFALSVEFTKSQIVASVPGFFFCMWYASKKHWLANNVLGISFCVQAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKQDFG >Dexi9B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:9B:4454935:4459259:-1 gene:Dexi9B01G0007300 transcript:Dexi9B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAAAAAAPRLNPSPSPHRRRASSALSPAKSNANANADAGGARPKPKAKAVPSRYLLAPSSKSTSTSTSTSTSSSSNSTSTSTSTSASTPSRRFASPLPRRSVSVDRPRPGPPGNAAVGEAGGPNAGTTTTTRSLSVAFQGRSFSFETSKAKPATSPSPSRRPVASAAGAITPERRRPAMGTVPERGKGFEGGHNHHRWPMSARLSQGFEGNPLTKSLDCSLHKRDAAVLAAVRSLRQSMVFEEGVRRSSFDGGDYLMSSDTESVSSGSNSGSQDAGIGISHRARPSPKGISVPARFLQDAAASRSQRFADPVTPYLPYTSGSAASPRTAPIKKSLLNGFTSSPLNRPIRQSSPSKLTGNSTRRMSSPSRARNSVGSGTSSWVHQGRSSSGYGLDEARRRWHGGNKVDCEHLLRILSNRQLQWRCVNAQADATLAAQKLTAEKYLSDAWITTLGMRKSVALKRFQLQLYRNNWKLMTVLKGQMAYLEEWSLLEKDYTDSLSGIVEALTASILCLPVTGGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLAGTSVLVSDLSKVATQERILMEQSRELLSSLGTMHVKYCSLQGQRVQTTDRRSMGS >DexiUA01G0005630.1:cds pep primary_assembly:Fonio_CM05836:UA:10222737:10224304:1 gene:DexiUA01G0005630 transcript:DexiUA01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAIFQWLRVSRLCPIDLPLAELETGTDMEDHESTTHTPSIIPLALCFLRGAAIKMDAAACDDDSASPTMAVDQLQAAEPPSIEPLPFTNMLRDFSHVLSHFTHSLPSSPSRRLPVHHQLRHGGGHLDDLVLVGFMRGGVWYNRDGEPVVDDDGAYSNGGFGAVPASEEAIAALPETTVGDGDGETKGKEAECAVCLEDYQVGDKLRTMPCSHGFHERCILPWLHVSRLCPLCRFALPAAAAEAESLVDEEEEDDDEGDTIEEDMEGGGGGDTSPVSNVEWEQPTPVSLLRSDPLPSESVDMTTEVILGRINELLQHILRELDGDMTAAAILRIKELLRQIVGELERAGLHRLSSTRTAGPDYVDLSDGRFVPASSEAMARLRETTAEETREDEECAVCLKELRGGRRDQCDAMLARIPRRMHPKVTRHQLPLPALPLLDASPPRGS >Dexi6A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:6A:24271238:24272792:1 gene:Dexi6A01G0016410 transcript:Dexi6A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHVAPLKHPVPAAYEDAWAALCWAVSSCCTAAGSGPGTEEPWLSIHGDVARLFVAGDSAGANIAHNMALRSGGGGGLPGGARIEGMVLLHPYFRGEELVPSEGTDPTFLEKAERSWGFLSGGRYGLDHPSINPLAMPPEEWAKLGCRRALVTVAERDTLRDRGRRYVEALRGSGWDGEEVVLYETEGEGHVYFIDKSGGGGDEKAKKEMATVVSFIRQHD >Dexi9A01G0021780.1:cds pep primary_assembly:Fonio_CM05836:9A:16621431:16622183:1 gene:Dexi9A01G0021780 transcript:Dexi9A01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAPLPLQGRVALVTGGSRGIGREVSSHLAALGASVVVNYASNPAKADELVSELASRGLRAVAVRADVSDPDTVRALFDRAEEAFGSPPHVVVACAGILDPKYPALADTAVEDFDATFAVNTRGKFLVCREAARRIPPHSGGRIVAFSSTTVAALPPGYGAYVASNAAVEAMTRILGKEVAAKGITANVVAPGPVRTELFLAGKDEAFIERVAKNSMGRIAETTDIAPVVAFLASDASSWVNGGVA >Dexi2A01G0026990.1:cds pep primary_assembly:Fonio_CM05836:2A:38441241:38442708:1 gene:Dexi2A01G0026990 transcript:Dexi2A01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARAVAHVLVFPFPQQGHISCMLPFATALVDAGVHVTFLHTDNNLRRLGSATTTGVPPRSPSLRFVSVPDGLPDDDPRSVGSLLALVESLQVVASVAYRALLASLLSSSTAPSMSRGGDHHHDAGFPPVTCVVADGYLPWAIDIADELGVPALAFRTSSACSVLAFLSVPKLLELGELPFPEGSDLDENVRGVPGMEGFLRRRDLPSSFRHVSEHGVNPRLRVLVKDTFHSGKARALVLNTAASLERSSLAHIAPHMRDVFAVGPLHAMSPATTTSLWREDDGCVAWLDGQADRSIVYASLGSLAVITREQFTELLSGLRDVLRHRAVGCFLTHAGWNSTLEAVVEGVPMVCWPFFADQQINSRFVGAVWRNGLDMKDVCDRTVVEKTVREAMESDEIRRSAEALARQVKRDVAAGGSSATEFQRLVGLIRELSTSNISLGQ >Dexi4A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:4A:1244940:1245560:-1 gene:Dexi4A01G0001860 transcript:Dexi4A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGNGYDNQRIFDAVVMEARESLTGCDTLSSRCEKLVTMALASDNDSSCRILELGGRLGRELRGVVPEARRWKVLADFWAEFILFLAPSNNVEIHAEKLAAGGEFMTHLWALLTHAGILDRPSTTNGS >Dexi2A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:2A:14196819:14197823:1 gene:Dexi2A01G0012170 transcript:Dexi2A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSAASFAPADPAARGALASPQQGRLPIPSAAAFRRADPTAQGAIASKPQKMFREFDHFVVIDFEATCEKDSRIYPQEIIEFPAVLVDAATGALLSSFRTYVKPRHHPQLTAFCSELTGIQQQQVDGGVDLATALGMHDSWLASAGADKNRLAVVTWGDWDCKTMLESECSFKCINKPRYFDQWVNLRIPFEAVFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTACLLVELMRRGASISITGSLPQPPPPPPAPEPELQLQAQMAPVNHNISWCTGGAATTGGCCYCGVPIRGDMVTTPGPMQGRFFFSCGNWTPILGPMCNFFFWAA >Dexi9B01G0048580.1:cds pep primary_assembly:Fonio_CM05836:9B:47497268:47499615:-1 gene:Dexi9B01G0048580 transcript:Dexi9B01G0048580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGDLEKGGGARKEAGKVPSPLYPQHEGEREWVPWIVPVFFVANITVFVITMYVNNCPVRTHDGKCIGHFLGRFAFQPLRENPLIGPSSATLTKLGALVWQKVVHEHQGWRLLSSMWLHAGVVHLVANMFSLLFIGMRLEQQFGYVRIGIVYLLSGLGGSVLSSLFIRNQISVGASGTLFGLLGAMLSELLTNWTIYTNKVAAVLTLLFVIAVNLVLGILPHVNNFAHIGGFIAGFLLGFVVLMRPHFGWMERYSMPAGTACTSKKYLLYQWILMAIALVLLIIGFAVGMTMLFRGANGNDSCSWCHYLTCVPTGSWKCTN >Dexi2B01G0034910.1:cds pep primary_assembly:Fonio_CM05836:2B:42094907:42100638:-1 gene:Dexi2B01G0034910 transcript:Dexi2B01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARARRVLASPAASGLSSILWSPRLGSASGAESALLLHLHGVPSSASSPHHARGFSSCFALQSPVRINVLIKLFLNNNIGNLVLSTIASQWLNEKSAHYQMAKGHFSTEASDADHPTACCFEYLFLNILFSFAHVTEAVEEMYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLFQILQKLRVFRLSNLRINANFNDHLCMKVTEACARVATLDYGLKALWKHNVYGITPTIGSAHYLLQHAKTVNDTKLMENIMQVLRRNSLPLQPGTADIVFSICYNADRWDLLSKYADRFVKAGVKLHRTAFDIWMEFAAKVGDSQSIWNINSLRGKSVKHYTLATGFACAKGSLLDRKPENAAAMIKLLYKHLPDQKKPFVKDELQKLIAEWPTEVVKRQKKDDRKAMEEALVKDIPTMINCLTKSGLDIPVDLDKLTPQLQAA >Dexi8B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:8B:14633757:14642222:1 gene:Dexi8B01G0008830 transcript:Dexi8B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVVAAPALPAEVEMALIRDITVAAEAQAKEGDTFYLITTRYGGGPTLPRKAINTGFSQTDLTIEVYPLRLQLLLMSRGEQTFIRISKKDTVGELHRRACEAFELVPDEICIWDYYGRTKHALMDNLERTLDDANIQMDQDILVEITTVANGNVDGGCMSLVKGNDFFERESASLITDAARPGLPNENFAANNYTSRGYNSSLTPNLYLRSPNGDLDNMHGSSGMSTRGSPLGLTGLLNLGNTCYMNSAIQCLVHTPQFTRYFCEDYHREINRQNPLGNVGELALAFGELLRKLWAPGGRAPVSPRPFKMKLSRFASQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYINSGDADGRSDEEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQFASTRSMTAVIFSCDGSLPPTQFTVNVPKQGRCRDLLQALGNACSLKNGEKLLIAEIRNHKIYRFLEDPVLQLSTISDDDRLAVYRLPKLEKRANYIQFVHRREDL >Dexi3A01G0027040.1:cds pep primary_assembly:Fonio_CM05836:3A:23646585:23651305:-1 gene:Dexi3A01G0027040 transcript:Dexi3A01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPPPEPPAGAGDDDMESLPLAAVSDYASVASTFDPLLSSASAVSPRSPPARATAFTLSPTSSSSFVDPPSYADATGAGVGSSSPRSASSPSSASPRSARAAASEYALLAVSDPETEAEPAATSLVPGSAPTYVSYLVTSVRRGDPAQRRYAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQKDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQTEGKMPLPGSTDVASRMLDGAARLPRQLIAGEEVVAAPQDVVQPAKGGRDLLRIFKELKQSVVTDWGGVKPPLVEEDREFLEKKVKLQEWEQQLTGASQQAEALVKAQQDMGETMGALGLAFVRLTKFETEEAMYDSQRIRAADSRRVATAAVKASRACRDLNAQTVKYLDTLHEHLSIMLSVHTAFSDRASALLTVQTLMSDLASLQSRIEKLEAASSKIFGGDRARIRRVEELRETIRATEDAKFCALREYERIKAAYAEKIVDGWETVAEETSGYARGSDNAILGS >Dexi5B01G0029040.1:cds pep primary_assembly:Fonio_CM05836:5B:30302310:30303798:-1 gene:Dexi5B01G0029040 transcript:Dexi5B01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTSSPAALPAGTTTAPAASSVSPHAGSKRPLLAGDDAPWRATAASAAGGQGIRPVPRVHHAPVLRVAAQDDYAAYALAVMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDLKFLEPFGRELHSMKKVRLRSRHMLRSSDALFL >Dexi7B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:7B:15220638:15221864:1 gene:Dexi7B01G0007300 transcript:Dexi7B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQCSTAGVAVTAAVLAVLAVLCSGHPVPGGFVPLQPHFYDHTCPQLQSIVGAITGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTIIGKFANQGLDVVDLVALSGGHTIGDSRCVSFRQRLYGQNNNGQVDRTLNPAYAAELRGRCPRSGGDQNLFALDPASQFRFDNHYYHNILAMNGLLSSDEILLTQGRETMELVHRFAANQGLFFEQFAKSMVKMGNITPLTGHAGEIRKNCRRVNHF >Dexi5A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:5A:18771790:18773722:-1 gene:Dexi5A01G0016600 transcript:Dexi5A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIFVVVVFLPCAAGPLTYVSSLLRASTRSLTFWSSSANSSASRTIRSISSRLSRLSSLVMVIFSDLPVPLSAASTWRMPLASASKVTSICGVPLGAGGIPERSNLPSSLLSLVMLLSPSNTLMLTVVCLSWYVVNTCVFFVGTTVFLAISLVITPPAVSMPSRRNVEEDHVFGFVVDVAAEDAGLDGGSVRDGLVGVDPLVGLLAVEVVLEQLLHLGDPRAASDKHDLVDVALLEAGVRHGLLHGHHRLPEQVVVELLEARPGELLGEVDAVEERLDLDAHLVLVAERALGALALAAQLPQRAGVAGDVPSVLALDELDEVVHDALVEVLAAEVGVAVGGEHLEDAVVDGQHAHVEGAAAEVEDEDVLLGALLVDAVGDGRRGGLVDDAEHREAGDDARVLGRLALRVVEVGRHGDHGVRHLLAEVGLGGLLHLGEHHGAHLLRAELAHVPVPDLDAHVRLAVPVHHGVGQQLHVALHHRVAELAADQPLGVVDGPLRVRRRLGLGGLADEPLAAVREGHPRGRDPVALVVGDDLHVAVPVVPRSMPITVPVFLSPAPPPSADGAAA >Dexi9B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:9B:5702694:5703822:1 gene:Dexi9B01G0009210 transcript:Dexi9B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWAAPPPGYPVGHGQAYGNQQPQPQATAVAVTAASNGVGNPYVMVTPAAATPSTCQTVMKALGRYGKLLEDGTRKAADATGNIWHHRSFTFLLLRTAPNMADAAVARLTQGTKVYAEGGHDRVFYQTFGAMPGEQLRKAYACYLSTSSGPVIGTLYLSTARLAFCSDSPVALPLSQLRSVSPSSSTRNRAERYIQITTTDNHEFWFMGFVNYDKALKNLYEALQHRERDVHVHKQS >Dexi4B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:4B:3338978:3340030:1 gene:Dexi4B01G0004690 transcript:Dexi4B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAAPSSSAARSVVLRLDDLSLPPRYLTVPSHLPVSDLLGALPLPSPSSYYLTSDGRPFAPSSRVAALPPSASVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLYNKEALVEALLHKRLPKALSHIRGLRDMIPIHLHSRPDADGEEVRFQCPITGLEFNGKYQFLALRGCGHVLSVKALKEVKTSACLVCHKEFKETDKMPINGTEEEVAVLRKMMEEERGKVKEKKDKKVGNGLSGSKHAAAALVAAGPEKLENGKKGEAAAAKRFKASDHAPAHANKEVYASIFTSSRKSDFKETYSCRSLPLGRN >Dexi2B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:2B:6357902:6362698:1 gene:Dexi2B01G0006460 transcript:Dexi2B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPHPTSALPSSTHRRPSPKLRGGDWILLASSSHRRSSLNVRGGDHAPQRLEFSADRRRTDQHSRGSAATTGHQQQHPRRRLGFSAARRPAPNGKTITPLAYILHSSRRHRRSSLRFPPGPWSLPVIGHLHHLAGASPPHHKLRSLSRWLGPVMLLRFGELPVVVASSPAAAREITKTHDLAFATRPAGAAARLAGAGEAITNAAYGDSWRQLRKICVLELLTAYRVRSFRAAREDEAAVLLRALAVESSSSSSSPAAVDLDDNISAYVADATAWAIIGERFKDKERFFQLMEEGLKLFSRPSLPDLFPSSRLAMLVSRRPGQIKRQNAKMMEFMDTIILEHQLRKVNDGDKEEDLIDVLLRIQRDGFVYAGSETSATVLQWAMSEIMRNPRVMEKVQDEAWAIGRDSTHWDAPEEFMPEQFECKDVDFKATDFKHIRFGAGRRMCPGSTFGLANVDLVLASRLYHFNWKLPYGTMPEDLDMTEV >DexiUA01G0020360.1:cds pep primary_assembly:Fonio_CM05836:UA:42619403:42619758:1 gene:DexiUA01G0020360 transcript:DexiUA01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDVILSSPVASDGFKSKFLATPAVAVVQVPADASSSKRQQVKMDLSNGAAVKIGTKQQQLKPRFALELDGLNCFETLVVPR >Dexi1A01G0027120.1:cds pep primary_assembly:Fonio_CM05836:1A:32996648:32997765:1 gene:Dexi1A01G0027120 transcript:Dexi1A01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGKLGADHHHHHLNGGLHAAMKRHSGGFPRLSASSKALVLLPLLLLAFIFFFVYPKEFELQAMMSACGPPGYTASSSSSSSHLLADQPAVVRKPDFRLLIGVLTRADLYERRHLLRMVYGLQLASGDLPAHVDVRFVFCRLYKDDQRVLVPLEILVHGDVIVLDGCEENLNGGKTYTFLKAVAELYADEPYDYVMKADDDILFRLPQLVASLDGMPREDMYYGATIPCTSMDPFQEYMAGMGYALSWDLVEWIATSEIARNHSVGTEDMLTGLWLRLGGKGKNRFNAKPAIHDYLNPVPVDQCEHEFMPSTIGVHRLKSNPRWAEALKYFNFTAELKSSKFYNS >DexiUA01G0016940.1:cds pep primary_assembly:Fonio_CM05836:UA:36121911:36125956:-1 gene:DexiUA01G0016940 transcript:DexiUA01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKITVGDGRLVAHGRTILTGVPENIVLTHASGAGLVDGAFVGANAGEPKSMHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPSGGAGAGDDDGGEPVYLGTYMVYVHAGTNPFDTITQAVKVVESHMQTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEEESSNAVVVQEGAQFASRLTGIKENAKFQKKKKNEDHHNNIDGEREATNKQGIAGDEQSGHSTTTPGLKLLVEEAKRDHGVKYVYVWHAMAGYWGGVKPSAEGMEHYESSLAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLSFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEASVARNFPDNGCISCMCHNTDMLYSVRQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMLPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFSLLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGATLLKIWNVNKCSGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGTIRAEDVDAIADIAGPGWDGEVVVYTHRSGELIRLPKGATLPVTLKVLEFELFHVSPVMAVASTGVSFAPIGLLDMFNSGGAVERCEAHAAVQEAAAVVELKVRGCGRFGAYCSRRPVRCTLDAAEVEFSYDDDTGLLVIDIPVPEKELYRWTLEIHVV >Dexi2B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:2B:181534:181845:1 gene:Dexi2B01G0000420 transcript:Dexi2B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITNHSSSISQQTHQDPTTATTAATALTHPPPVLAAAPELALDPDDEPPPPEEPPPSTEETGASASTVVPFPVTSSLTVASAPLMLDVFHSTYPVAIL >Dexi9A01G0041910.1:cds pep primary_assembly:Fonio_CM05836:9A:45496245:45496488:1 gene:Dexi9A01G0041910 transcript:Dexi9A01G0041910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEQGMVLDKRIGSFNIPPASLSTFDVISVMVWVPLYDRILVPIARKFTGREKGFSELQRMGIGLVLSIFAMLLLS >Dexi3A01G0024870.1:cds pep primary_assembly:Fonio_CM05836:3A:20459281:20459637:1 gene:Dexi3A01G0024870 transcript:Dexi3A01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAASARWCPGLVGQGDVALHHFDDVLGLGSHGALAKLRVDLGRARARRGCGPCGGSVRVAGGMWRVLPHSIVAVEASACLSMVCRPRHGGVADNDFLGRRSSPWGVMGYDRAKAF >Dexi1A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:1A:2764745:2771923:-1 gene:Dexi1A01G0003800 transcript:Dexi1A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGAVSGVVSRKVLPACGGLCYLCPSLRPRSRQPVKRYKKIIADIFPSSQGDEPNERRIGKLCEYVARNPHRVPKITSYLEQSWFQVDGTYQFNLEELVPKLCKLSQVVREDEKASALRAAALKSLSAMVWFMGELSHISSEFDNVVEVVLESYEPQKAQNDNRAPENQGGQWVEEVLKTEGHASPSPFTVSMIPSWKSIVNDNGGIHLPMEDAKDPNFWSRICLHNMATLAREATTFRRVMDSLFRHFDNSNSWSSKNGLALCVLSDMQMFMENSGQNINLMISVLVKHLEHKAILKQPEVQLSIVEVISALAEQSRAQASAATIGAISDLVRHMKKTLHVALGSKDLEVVKWNDKLRKAFDECIVQLSKKVGDAGPVLDMMSVMLENISHTPLVAIATTSAVYRTAQIIASIPNLSYKNKTSIFEALAPSFQIAFCLLSHSLGGTDTLPPSRRRSLFTLATSMIVFASRAFNVAPLLPICKSMLNDGTVCLIKYKSTSSSLLVFARMDPFLRLVHENKLHAVKDYLEDTSKSYGSPEDNENALKFLSAVELTERNSRESMVSAVMNSIADIPDVIFHPLYISILILPALISMRCFDDFHPHILQSELKNIRRQLLSDFSPDDMCPTSAHFFELPGKNAELRTDDDTDYQEAELIDVTIENYTFAEVSATKSTAANIPVVTTNLLSIDELLETVVNDTSSQTQQCSSVAVTPDFPPFQEMTSHCEALSMGNHHKMSVLMSFKHSKQAAIVPNNQNTNPFLQQSLDGYPQSMGMAAFGGLQDAGGVQLQFLRLPASSPYDNFLKAAGC >DexiUA01G0013040.1:cds pep primary_assembly:Fonio_CM05836:UA:27067160:27077511:-1 gene:DexiUA01G0013040 transcript:DexiUA01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSPAAALMALCVAHNTILLPSIFWSTWVAGSGHPLSLGTTSGAAVLSRLRRTSPRCVANLPPAAPPPDVPAAPTVATIPGYASSTTTSHVQAPSATVFTGKATTSPLAVPRVLPLFTTASFVPATTAHTHTHTHFFFTSFPHCHRSATPKVHATARVVAMGCHCLSPSHPHSKTNPRSRSLGLGLPPYHYSESATGILAPARPCRYLVHWPGHQGLYLLLKLARALGCLGSPHSVHVSPMVFIYAAFLAARGCHAYFGFAPSVMVVGSSWGCDATPKTEWPELVGSTIKEATEKIKAERPDLNVEPVPVGTIVTDEFDPNRVRLWVDTVAEAPARLANACHLVFPGMASIVLTSTHTTAMESSRGHGRALNPSWTPGHNFSLAGCFPWPHQRSSSSSCYTCGYCRREFRSAQALGGHMNVHRRDRARLRQCCPAPYVPSSSLPTPSLLASQQHRAPLPNLNYSPPHCAAAPEPPPVIYSFFSTTTSTSMVGVATKATLEVSLELGIGVCGRGGEAVEEEGLDLELRLGCA >Dexi2A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:2A:6128618:6129338:1 gene:Dexi2A01G0006450 transcript:Dexi2A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLPPLRRRRRIRAVPERRDVPNPSPAVSSIGALPVDAVYEILLRLPAKLLCRLRAVCRPWRALLSDPQFAAAHSARHPGPLIVAGYAENEGDGMIVDIMDLSGQIVKRVRRVEGRDRVMSIELDLAFVKNVDSGSYQFLFAPTGDMYRLCHYRFLNPATGAVYHLPDGFAEENVAFGVNLITEPKFILGQGSTRCLN >Dexi9B01G0025710.1:cds pep primary_assembly:Fonio_CM05836:9B:27094007:27096148:1 gene:Dexi9B01G0025710 transcript:Dexi9B01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLRRPSSPLPPAPARRPQPRWKTRTSSPRYSSASHRGGPLSPAPALVCKRWHHLVSDPEFVRRFRAHHQTPSLHGFFLRNGTIIPTQEPPGRLPTKRFSIVQWDGDIGEAIGSGWLVLGCRDGRVLCENNEGYLVFDPITGDRIRLPFSTGPRQSPSFAAATVISNVDGSDRRSFRLGCGILQIDLKGQRLTFIEQPPDVSVHHGFKCRIRQTEGHRLGLAVLVEPSIQIWQREDDLSDAAKWSNCS >Dexi6B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:6B:16469171:16471852:-1 gene:Dexi6B01G0010230 transcript:Dexi6B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEMESLPLTAEAIAFTEKKMDMTLEDIIKMSKKKNPGGKKPPRQPIKKRPFQSGNPNQGTAKVQRFMESRSTIRQGVLAQRRTNLGGNQFPVTKQAAKKAAAMPIHNKAVRWNKPSTSTLVQRRPEGIAFQNGKAKETQNQAPRTMDALFARMKAQRMRTVPQQQANAASGRQFNQQRRGQQQQQQRQGRGYGGRNAGNQ >Dexi7A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:7A:29784043:29788225:-1 gene:Dexi7A01G0021050 transcript:Dexi7A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHRRRIPLSILLLLVTTASAAGAVTPGAVTHPQPTLPASPVQAAAATPPAALPSKPPAGPGAASRSQPTRPSPLRAAPAPAAALASTPPPAPAELLAAFLAKADPASHLRVPPAASPCSRPGITCTASGQIIRLVLESVGLNGTFPPDTLSGLAELRVLSLKSNALHGPVPDISPLVNLKALYLAGNRFSGPFPASLASLRRLRSIDLSGNRLSGELPPGIESAFPHLTFLRLDVNHFNGSLPPWNQSSLKVLNVSFNNFSGPVPVTPVMTQLGADAFAGNPELCGVVVRRECRGAHLLFFHGGGDNGTAAAPPVQSAAASDSGPQRESLSMPDSSTPHAKKMRRRTTVAVAAAVGTVLAAILLCAMIGMKRSNKRRRPSSASYESPNPKKSAPASEVSRDNADMGYVECVPDEETAAIMVPEEKARRLERSGCLTFCAGEAASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEAEAFEQNMDAVGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDVVQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMKSNRMLTPKSDIYAFGVLLLELLSGKPPLQHSVLVASNLQTYVQSAREDEGVDNDRISMIVDIAAACVRSSPESRPAAWQVLKMIQEVKEADTTGDNDNDSDLTSNS >Dexi2B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:2B:9990688:9991492:-1 gene:Dexi2B01G0009220 transcript:Dexi2B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDASSTLRNHYSPGNTSASFYSAAYYSASSMPSLCRRTWASWTTSWRCSSTLEIGDMSFSVLSLMQDEGLDPYILSSSIGTFSSISGGR >Dexi9A01G0034030.1:cds pep primary_assembly:Fonio_CM05836:9A:38854979:38856291:1 gene:Dexi9A01G0034030 transcript:Dexi9A01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGKGAVLLCIAVVLLGIGNNGGVPGAAARKQAKQSLGFYELRRGEFSMVVTNWGATILAVRIPDKNGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTIKDHAYHTYKNDGKNTLHGGHRGFNQVFWSVRERVTGEFPHITFAYRSYDGEQGFPGDLDVLVTYKIDGDLSYSVTMYARPIDKPTPVNLVQHTYWNLRGHGNGTVLSHSVQVFASAVTPVRAGGDLIPTGAVAPVAGTPFDFRSPAAAGARIGEVEGGYDINYVLDGAPDGQGVRKVAVVSEAESGRVMELWANQPGLQFYTGNFLKGEEGKGGAAYAKYGGMCLETQDYPDAVHEPEFPGEVYRPGQVYKHYMLYKFSLKN >Dexi4B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:4B:5574435:5582638:1 gene:Dexi4B01G0007740 transcript:Dexi4B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEDQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKDIGWSHGFIYAIPFISLPLVFYAGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKVPQSRLTWPALLEHPFVKDDSMESAADIRTTPFEVKGSEDTRKTEQTQTSRNQPSLADPESRITATNRENASDNPKGNRRLDGPMKTNDDHHGSSTGAVPESCSLSPSGKELNIDGANQSLRIIKNLLDAGSCQSYAAIDISMLLEFTNLIINTKLSDAYGLVVKCLAISRKLLDTNDEVILSSYDRHWSSLYELYSQILVSTVDPSGRISRESTACLALMLSRSSPNMPSASASINSGFLLNVASPGGRIGTENKEMLKTIEQHMPQYIQVLLEVGIPGCMLRCLDYVDMEDLARPLAIVAKMAGYRPLALQLLKEGLLDPSRVAGLLEGPIAKEALLDFLMIVSDLARMSKDFYVPIDKAGLVGFLKNFLSNEDPDIRAKACSAIGNMCRHSSYFYSPLAANKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVGNYSTVALSPSRRDALSESPLRIVLFALRKMCDHAVCRNFIRSSELLPVIVHLRQSPDPTISEYASAIASRACQA >Dexi1A01G0032040.1:cds pep primary_assembly:Fonio_CM05836:1A:36779106:36780395:1 gene:Dexi1A01G0032040 transcript:Dexi1A01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKADVVAPAAGAGAGHVGGGGAKSGPPVPIHNAAAAPADRDAVLAKVEMDRKLSMIKAWEESEKSKAENKAQKKMSSIMSWENTKKAAVEAKLRTREEKLEKKKAEYAEKMRNQIAAIHKEGEEKRASVEAKRHEAILKYEDMAAKHRSKGTTPAKKFLGCF >Dexi2B01G0021580.1:cds pep primary_assembly:Fonio_CM05836:2B:31345548:31346665:-1 gene:Dexi2B01G0021580 transcript:Dexi2B01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFHIGWFMHPMVYGDYPPVMRKNVGSRLPSFSDEDRKRVTGSFDFVGFNHYIAVYYLFGYRLRFGVYGVDFNSTARTRYQRHSAKWYSSFLRGGELRPVALPEGAYSE >Dexi5B01G0035890.1:cds pep primary_assembly:Fonio_CM05836:5B:35744808:35746647:1 gene:Dexi5B01G0035890 transcript:Dexi5B01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGKPAFGLVVAPRTTHAEERLAVAEHALLQWTRCPGADAGVWDADASYTNRGLLAAVDEVLLLAAEDPFPHPEASSARHRLDVAVGAAASRMMEEFLRVRVWDASPLRVAVDRLSLASTGVSLLVFPSADGDRASAASSGEEVDASDGTQSRASSGVPDEVAALLEGEVWDELDLVRPAGVSVLHEIAIRIIRAGCTKELFRAFANAPCDVLDRFLSILRVECSQRTTVAVIKRWTTVTKIIGKAIVAMRRQLHAQSPGAFDSFRDEYLLAIAENRITILLDFANGFTTITSHEKLVYLLGMYEALIDAAPALLLLFTGARKDLISEKTQGILTKLADAMKVMVGGLMAKIQAGDSPPHAANAACGVHPLSRDAMNCVELLARHRTTLDLILADAGGSLAVVVTELIACLERNLQGKLAVACADAGGSRHLFLANNVGFILSRAADAGGGVASLLGDAWAARRHGRLAQHVASYVESCWGPAVGLLQTPPVCGRGKPAKILAEFNAAFARARDSEVCREVPDPALRAALRNAVSEMVVPAYCSFLQKHPKLGTCVRYTADDVAESLSELFEGEAAGKAS >DexiUA01G0006690.1:cds pep primary_assembly:Fonio_CM05836:UA:12988380:12989669:1 gene:DexiUA01G0006690 transcript:DexiUA01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATEDVRRDWSGLPEDLVVNLLREMHVADAVRSGAVCASWHAAYSAFRRLRVPSPRQPPCLLYASDHLGPGAAALHCPATGATLRGRVPSPLAPLSRRPLLGSGHGWLVTADEKSNLHLLNPVTGDQVALPPITAIHHVKMGTDEQGGPAYNVYEDLPGYEFNHLLGEFEIDTGPTILDIDRAHLFVYHRVVLSASPSAGRACVVLLLHMPHGEVSFVRLGDDSWTWVSPGQATGLPWRFDYCDAIYSAANGLFYLLQNDGCICSLDLNGPSPVACEVLNSEILASLPNWYTTTKYLVQTPAQDILQVWRSLEYIDSLVPVDIPPDYMDDERNQDPSLELTTVDMQIYRVHLHGQRVELIKSLPEYALFLGSNNSMCLSVKDFPGLKMNCAYITDDCLAAGEKLVSGVWQSKACPNLFMSHRLFIPG >Dexi2A01G0032700.1:cds pep primary_assembly:Fonio_CM05836:2A:43109100:43109553:1 gene:Dexi2A01G0032700 transcript:Dexi2A01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGILERYQRYSFEEKAVLDPNIGDQANWGDEYGRLKSKLDALQKTQRYCDLLWKILIQFTIMPTDLIFLSIPNLRQLLGEQLDSMTIKELQQLEQQLDSSLKHIRSRKVN >Dexi4B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:4B:7732427:7738374:1 gene:Dexi4B01G0010400 transcript:Dexi4B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELSESMRQAASLLADDDPSDEAAPRRPTTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKSIVLQIDSKSQQVTANSLRHSLQDRLSKGASGGSGRGHAAEIYLKLRTSTAPPLKLIDLPGIDQRAVDDSVINEYAGHNDAILLVVIPAMQAAEVASSRAMRLAKDIDSDGTRTVGVISKVDQANGDAKTIACVQALLANKGPKNLPDIEWVALIGQSVAIASAQSAGSENSLEAAWRAEAESLKTILNGAPQNKLGRAALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKTQEAEQSMSKRASSPQTDSEQGSGSLKSAKDKSGQQDKDTKEGSNLQVAGPAGEITAGYLLKKSAKTNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPSKSSKDSKKANGPEKTPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKVEWVNKIKAVIQSKGGSFKGPNAEGGSMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKVHIL >Dexi9A01G0039870.1:cds pep primary_assembly:Fonio_CM05836:9A:43687045:43689663:1 gene:Dexi9A01G0039870 transcript:Dexi9A01G0039870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGASCGRPREEVDFFGAAQSGDLTRLAAALRSRPTLLSRTTLFDRLSALHIAAAHGHLQVVSLALDLCVHPDVVNRHKQTALMLAAMHGKTDCVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRTILSAAKSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGNLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHVACAALLNPSSAEPLVWPSALKFISELEPNAKSLLEAALMEANRERERRILKGAKNALPSPSHSDDGAHDTTIAEASDAEVCSICFEQACSIEVRECGHQMCAACTLALCCHSKPNPATQSQPLPTCPFCRGGIAALVVATTRPRAGDDDDGGSRLASPRHRRSRRSMNLSGDAGNTSSTLMGSIASSIGKMGRRRTDSSEQVDDKP >Dexi6A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:6A:8613639:8614434:1 gene:Dexi6A01G0008320 transcript:Dexi6A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYAIVVLSLLAACSVSGGHALDENTLQTTVYIKQSFSTDQRTVAKDTVIINWVIKDGPDAAANTTGHAEGLTTHSNLSKDIWVTLIDMVFEGGRLAGSTLKVMGLHGSRNDGQGQWSVMGGTGELTMARGVINYKIIQEDTAGRIFEIRIFVYYTSMQST >Dexi1A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:1A:7857828:7861305:1 gene:Dexi1A01G0009550 transcript:Dexi1A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding TITTSQSKPPEKKRYASRDSSAMAKLPAAARKPSAAATVALTLALALASAGLLFLLLRLSPSSPSPNPHPHRRLRLRARTHDAHARHHQIPFDPVVADLERRLEDREWERLAAAGLHAPGMEAAPVPEDLSDGEADADEDYINDAARFNVTRRVEELFPKIDVDPADGAVTGDELAAWNLANSRREVLHRTARELDLHDRDHDGRLAFGEYERPSWAWRFDGTYIFLHPADTANPKLIHWLCKEEVRERDRDNDGKLNFQEFFSGLFYSIRHYDDEGITDDTGGSDAPAKKSFSHLDLDNDGLLSADELKPIIGNLHPSEHFYAKQQADYVISQADTNKDGQLSMKEMIENPYVFYNALFTEDDYGFHDELR >Dexi6B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:6B:18827293:18828031:1 gene:Dexi6B01G0011640 transcript:Dexi6B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGAVLVAHDDALGAELRRRLFERAAPEFFAIPADVKRSLVSAPIHGYIGPRPKAPAYESSRVWDSAVDGRDVVQRHNRSKMILEGLGVGKERIDSHLRSLNYSARLSRYGSLAEMGNETFMQEHKDCNVLSLLVQHEVDGLELQVNDGSWLAVPAEPGAFAVVAGELLTVVTTDEREGAGERPPRQDAERPRAGLGAVRVEAKVWLDGAPGGGARRRGASSAVQYLQLR >Dexi7B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:7B:20257861:20267675:-1 gene:Dexi7B01G0013820 transcript:Dexi7B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRISVGRSWLFPVGVCICHAAMRHQHQASLRAVPMAGTITHVIPMTDLGCLLASHGAEVTIITTPVNAAIAQGRVDRAPHRAAITVTAIPFPGPDAGLPDGLERMDLLQSQSQIPLFFVANKGHGEAVSRYCLDNKAPGFRRPSCIISGMCQTWTLPLARQLGVPCYVFHGFGAFAMLCIEHLFIHRTHEAVASEDEFFSVPALPPPLVCRLTSKQLPPYFMPPNSVGGKALQGIRDFDVAADGIVVNTFEELERGSVELLAEATGKKVLAVGPVSLCPRSPSPGLGPRQPMTEDARRCMAWLDGKEPESVVYVSFGSGGRMQPAQLMQLGMALVSCSSPVLWLIKGADALPDDVKEWLRENTDGDGAANSKCLVVRGWAPQVDILAHPAVGGFMMHCGWGSTLEAVAAGVPMATWPFFAEQFVNEQLIVDALGIGVSVGVTKPTENVLTASNADGSGGEAEPEVGMEQVKKALEMLMDRGPKGEERRKKVQELKLKEKATPGYTRFFRGTWWAHELSPPAPQGHSVFPNATRRGRRWNSPEGIRVRGWDSSESSIVGPRGKREGSRLARAEVAGAGALRPAALGSGGGTVDPGSDGGDDDGHDGRGPWNGATATSQLDLDVEAAAAASRRPYSMREEGRGVCVPSLASPDWRAQQGARREQKGNFAPMSPRRLGKGTKPVRCLPILARARRQRAEQRKQARALYLEVRERPIIWVWHKKKAKYIIDCNREMEGTTRPHLVLIPWQGGVSHIIPMTDIGCLLASHGAAVTIITTPANAPLVQSRVDGATPRGAGVTVTAIPFPAAEAGLPDGSERLDLLRSPAGVPPFFAANKRFGEAVARHCSSLPRRPSCIVAGMCHPWSLGLARDLGVPCYIFHGFGAFALLCIEHLFEHRPHEAVACPDELFDIPVLPPFECRVSRRQLPPHFAPSTAMGGGPPQEMRGFDAAVDGVVVNTFEELEHGSAALLAAARGQKVLAVGPVSLSHSPGLDPRAMPSDDARRCVAWLDTKAPRSVVYVSFGSAGCMPPAQLLQLGMALISCPWPVLWVVKGADSLPDGVKKWVCDNTDADGVADSKCLVVRGWAPQVAILAHPAVGGFLTHCGWGSTLEAIAAGVPVATWPLFAEQFINERLIVDVLGVGVSVGVKRPTENILTASKTDEGSKAEVEAEVGMEQVTKALERLMDQGVEGEERRKKAQELKLTAKGIQETEATQTQGTKPHFVVIPWPATSHMIPIVDIACLLASHGAAVTVISTPASAQLVHGRVERAGQAGGSSPGTIIEAGLPDGCERLDHTSSVDLVPNFFDATTRFGDAVAQHLTATTRPPVSCIIAGMCNTWAHGLARDLGAPCLIFHGFCAFALLCCEYLNTKKPHEAVASMDELFDVPVLPSYDIRFARRQLPLQFLPSCSIPEVRLRELREFEMAVDRIVVNSFEELEHGSASRLASATGNKAVFAVGPVSLCGAPSLLVDSDDARRCMAWLDAKKEYRSVLYVSFGSAGRMPPEQLMQLGLALVSCPWPVLWVIKGADSLPGHVMKWLQDNSDADAQPESQCLTVAILEHPAVGGFLTHCGWGSTLESVAAGVPMATWPFTAEQFLNEKLIVDVLRIGMSVGVTKPTDGVLTGGKSGGGEKADVGTEQVRRVLDMLMDGGVDGEARKTKAEELKVKAKAALEHGGSLTSWSPQFLWASISHMIPIADIACLLAAHGAPVTVITTPASAPPVQGRVTAAPITVVTVIPFPAAEAGLPDGCERLDSLPSSDLNLACYTRGTDSDDARRCMAWLDAKEDESVLCASFGSVGALVSCSWPVLWVIKPDDIDKWLRHNTDDGDGLAESQCLVVRGWAPQVAILEHPASVAGVPMATWPFFAEQFLNEKLIVDVLGIGVTKPTKKHGFGEAKPEVGTEPVKRALNKLMDRGVDGEDRSRKAQELSSKAKAALEKGGSSNMNLEKLIHFAA >Dexi2A01G0029490.1:cds pep primary_assembly:Fonio_CM05836:2A:40535087:40536088:-1 gene:Dexi2A01G0029490 transcript:Dexi2A01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLIYPPIAPLGSDDLFPGPGAGFYPHRSIPPGGRYDPIGPPGVPGFEPSSFVRRPRRPPGGSTHPDLEFFQQGPDF >DexiUA01G0026720.1:cds pep primary_assembly:Fonio_CM05836:UA:57173543:57190589:-1 gene:DexiUA01G0026720 transcript:DexiUA01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRLVSKHSFPLAPPDSNEEEEEEVESSEEEFVEQDPQGKGKGKRTKEQAAPARSKPTAATEGSSRGGRGGRGGRGTNEDIDLSYLESTVAKLTMPQRQSRGPRLVDYRKGSAELDMCTKKKSTLGFIAHGLFACFKVAKHNSDTMHRQQLQLDRIERRQQKLLDKYDIQRTPFPPPEERVAPPPPPTFENPWCYEWAPPQGYAFGPETQQYTFGPGAQDDEDDIEEDLGGLVHKMMMMRRLKTTRKNLNAEFDKEGIFNSPVANIMFGVSVFEGFQSTPDIDLAKARLEAAAVMVDRLDGGRSVSKSKSSSRHQAPSARRQSSHYGSSAGRTKDMARPREEPRCPREEPPRSNRREEPRPARSHITPNDARNEILRIREGRATSHVADSAGRYDVPNPDALPCYTRAIRVSSFPRKFKPPGITNFDGKQDPNIWLRRYYSAIEASGGDDISKMLYFPVAMEQGPLTWLESLRPDSIDSWHALKKAFVSNYQGSFERPGSKYELRTCKQKPDESLRDYNRRFFAIKASCIPIPDSEVIDYFQEGMTDRSLFRDFGHNRPRDHEEFRALVSNWMDTDDQERERYGKRDQPRDSFQRNGNNPRKRPNNTVATVQTVRAAKSPQQRREEFNKLLKKRCPYHPDSKHTMGDCTLLRETFSMPNKKQRSDGDGDDKHDKGDDDFPDVTNTVNVIFGGMAVSDTSRNRKNARREAYAAEPAVVTPLRWSDTTITWSREDQWAEITFPGRYPLVLETVVANSKLTKVLIDGGSGLNLIFAKTLKSMGLDTSTLQPEDTSFYGIVRGKAAIPLGQITLPVTYGTASNYHTEFIKFEVADFETSYHAILGRPALAKFMAIPHYTYLVLKMPGPHGTPSGGTEFHGPRQQAAAAVARAHRGGKTNRHNRADSGGGDRDDWASRRAPVAEVGDAPSQVWRAIVEGKEVLRQGIIRRIVVQGVTDPEVMEATACGEGMALRQQDVDSAVDERKKDIEEELSLLRSWRRRCSETLQAARRGHVTGGLELELRLLEFIEGELSFIFVHLNKALGSQHVDDELIAALWLEKLTKFPWTKLPRALMEADQRHNNTLLRRATQCFHRSRLSVPVGINNLRCLAKDRTKGLKNPGAHRFRASSDRSRGRRARATASRQATSSSNTPRNGGGASRACAPPPLSLCHRPGAACHRLAAARPGNDALLELLQRVHAESEAGALPQPAAQSPGGFHGEVGRRGGVPGSDLATSCSIGMEEPWTRRMAPAAGLRLQAGGFAIPLKTGQFPREPVKPPRTGSGSGRLDCWEMYFDGSVMKTGAGAGLLFISPHGEHLQYAVRLNFPASNNMAEYEALLAGLKIALELGIKRLDIRGDSRLVVDQVMKESSCHDEKMAAYCQAVRDLEDKFDGLELHHEANELAKIASGRATVSPNVFAKDIDKPSIAITPSARASTAVDPQGAALSLMSAELLADEDEPMGYEACSEEEDEAEAMEIDEVPAPRDWRSPYLDWLDQGVLPNDRTEARRVGRKAKWFFIIEGELYRQGTSGVLQRCILIPEGKELILDIHVGVCGHHAAPRTLVVRTCEGCQFYARKTHLPAQALQTIPITWPFAVWGLDLVGPMAKAPGGFTHLLVAVDKFSKWIEARPINRIKSEQAVLFFTDIIHRFGVPNSIITDNGTQFTGKKLLEFCDNFHIRVDWSAVAHPQTNGQVERANGMILQGLKPRIHNKLKKFGHRWVQELPSVIWSLRMIPSRATGFSPYFLVYGAEAILPTDLEYGSPRLRAYQEQRNCRAREDSLDQVDEARDVALLHSARYQQSLRRQQTRKVRHRNLCKGDLVLRLRQDNRGRHKLTPPWEGPYIITEVLKPGTYKLADKEGQILTNAWNIQQLRQGRLSRVFAYASRLRQHLTSLCLTATSFRGTCIGHNARREDTA >Dexi9A01G0027000.1:cds pep primary_assembly:Fonio_CM05836:9A:31227075:31229215:1 gene:Dexi9A01G0027000 transcript:Dexi9A01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDEERPLLHPQPPPQVVGSEYTSDESVDINYRPALKHYTGNWRAWVEFCESLAFFAISTNLVTYLTTVLHESKVAAARNVSAWVGASFLAPLFGAFIADTYWGRYWTIVVFLPAYITALFILIASASLPVFSTSDQGDNVHRAVVYLGLYLAAIAGGGVKPCTATFGADQFDPDDPVELTRKGSFFNWYFFSVSSSSLLSGTVIVWLQGNVGWAVGYAIPTVLMLLSFMAFIAGSRVYRFRKMGVSPLTSIFQVVVAAVRNWHLQLPDDNSLLYELSVSTPEADGSYMIKHTNQFRFFDKAAIAPSANESTAQMSSWELCTVTQVEEVKMLLWMLPTWASFVVFYAITAQTPSTLVEQAMFMDNRVGSFAIPPASMSTIGFISVLFWVPVYESVLVPLARRFTGNNKGFSQPQRLGIGLALVTLTMVFAALLETRRLAVAEANGLTDQSLPVPMSILWQVPLYLLTGAANVFGTIGLTEFFYDHAPVTMKSLCAALGQLSVASGNYFNSLMLSLVTVATTRGGAPGWIPDNLNEGHLNYFFWMMATLGLLNLTLFVRYSMRYKGTTSC >Dexi3A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:3A:164144:165360:-1 gene:Dexi3A01G0000160 transcript:Dexi3A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAALLLFFHLQLLLLLPAPSGAQPGFISLDCGGAHDHADAIGIQWTSDASFVTGGQTAQLLVQNGLQSQQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVINDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEARFFLALSARINFGAESNDSIP >Dexi5B01G0020870.1:cds pep primary_assembly:Fonio_CM05836:5B:23098731:23099288:-1 gene:Dexi5B01G0020870 transcript:Dexi5B01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKEVPTNLQPPDLLNRQIELLPGVIVDHTPPGVNFGDVSSDVPANSTFRKGSIVSATFYSANPRNDLLTDGTFALVEKLDSSNGWVPAYDDDDWSLRFKWSRPAKLSPRSFATLEWTIPEDAPSGVYRLRHFGASKPLIGPINYFTGTSSAFVMY >Dexi2B01G0024920.1:cds pep primary_assembly:Fonio_CM05836:2B:34165368:34167224:1 gene:Dexi2B01G0024920 transcript:Dexi2B01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLENSTKVHKVEVPPKDGEEKVTMKGVLSWAKTNLIKERPEMFVKGDSVRPGVLVLINDCDWEICGGLDAVLEEKDVVVFISTLHGG >Dexi4B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:4B:1751303:1752427:-1 gene:Dexi4B01G0002720 transcript:Dexi4B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSNGAAAAAATATAADGEAVPRSLTPARKVALVTGITGQDGSYLTELLLTKGYEVHGLIRRSSNFNTQRLDHIYHDPHALPSAQRPPMRLHYADLSDSSSLRRVLDAISPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLTCKPIRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDQPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLKGDATKARRELKWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >Dexi4B01G0021870.1:cds pep primary_assembly:Fonio_CM05836:4B:23724551:23726396:-1 gene:Dexi4B01G0021870 transcript:Dexi4B01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGSQFDAKHYDSKMQELLSTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSSLRPDHIKMFVLDEADEMLSRGFKDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >Dexi8A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:8A:24850131:24850992:1 gene:Dexi8A01G0014360 transcript:Dexi8A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGDEFKALVLEYICNGNLDEWLHPNTMTDSMISRRLSLMRRLHIALDVAEALDYLHYHIDPPIVHCDIKPSNILLDDNFVAHVTDFGLAKIMQSEACKKNHPEIEGSSFAVNGTIGYVPPEYGSGSDVSMAGDIYSYGVLLLEMFTGRRPTDSFNDGAENLVSYVKEAYPNNLLEILDVTATYSRDIDIQKIIDILIYPIFRLALACCHDSPKQRMKVHKVVEELNAIKRYAVQMF >Dexi1B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:1B:2845794:2846541:1 gene:Dexi1B01G0003580 transcript:Dexi1B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTTPSVAPTAASAGGGRRRKLVAGSVVIRLLQAPAVVALAAALAVSAPTPPSEPLCNLPPTLSGEEGGRQGEANRIRHPKSDRAARCTAKCVSTCVLGGYGAPGVAGPFNIRRPLVVFKDTFRSRQYCLVECSDICNLLKDGEDDQ >DexiUA01G0006100.1:cds pep primary_assembly:Fonio_CM05836:UA:11359179:11360292:1 gene:DexiUA01G0006100 transcript:DexiUA01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASTAAAAAMTTAIQKLTALLQLEEGDSEFIKNAATKQDLKLLRDELQCWHRVLCQCEATQGDPRVETCSRRLRDLSLDLDASIDWYLRLTHRKRKPRGRLASFADEVVDATARAIRRRELAGEVRRFRSWLTEACKEWHTTYRNGQPSSWPWAWAGTVPVEDRRPPPRSTAAPGSSSSSPASYAVSLAALDGRRDELAHDVVRGNNDGRVANKSLWVISIYGEDGLGKTALAGEVYHAIREQFDCVAWVSKPPHLTLKDILWSLLRQVDADAPRAAAADDDDVGDLSLRIKEYLQDKSIMDRDQMCFAKESTWQQSDGNVAYL >Dexi3B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:3B:8601891:8602703:-1 gene:Dexi3B01G0012300 transcript:Dexi3B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDPAGGRRFPAEEEECSWVPVRIARLTNLSRDLGVALQSRWWDHHEERRWVKKASAAAAAMDPDADRQLALARELCDVMSISWCGFSCTLRGMAEDLDDAVDPARRPRPASAAWWSYWYQDHGGCVGGRHGFVHLSRGQVARARGLLADARARARRIKAQEVEELKRRCWPAPAAVESTAALMGRQLRAQRLADAVLGPLQELKRLVDHLLVLANAGTDARGRTRRARRRSAPPPPSRAAQHQQEQEQAEDQCMLRRSASAPFVADE >Dexi2A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:2A:785113:785597:1 gene:Dexi2A01G0001170 transcript:Dexi2A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPFESERLEHSLELYELHCQLTLIQHYRPVGVPDPGSNTNQVEVIASPGGGLYKLGNMVANDWDLVDPLQNNKIVAHARGLHIQASRGEEQCWHTSFDIVFEEGSGYA >DexiUA01G0003060.1:cds pep primary_assembly:Fonio_CM05836:UA:6379076:6380302:1 gene:DexiUA01G0003060 transcript:DexiUA01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFSRLQTLTRTLLLAVTAAAVLLPQSSSSQDLQIGLPGCPTSCGDVSVPYPFAPGCSLAGFNLTCDTTHTPPRLLVGNGTLHVTGVSLDDYTVRVLGPAMDFSSVLRLKEGWSTIGTWGGTPWGLSYAGPYVLSETHNEFILWGCNVFAEVRLASAGQLITSCGSVCEDPDSNGVSECALHYNGSGHCDRCYGVSCCQMPVPIASMSYFVKLTSMLDSPEDFAGVIAEEGWLEPSVDS >Dexi2A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:2A:3095244:3096258:1 gene:Dexi2A01G0003550 transcript:Dexi2A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPPFLYAPSPVVAAMTAAFAPALAFLSFSEFRGGHLAYSKFARASAALLPSRAGMFVLYAPAFVAALASFAVPGAVQGPRAHLLAAAIAIHFLKRVLEVLFVHRYSGSMPLVTALFISSYYLSSSIAMIYAQHLSNGLPDPAVDLLYPGVLVFFVGIAGNFYHHYLLSRLRASGGGGSDKKVYKIPRGGLFELVVCPHYLFEIIGFFGFAMISQTLYALAVAIGTAAYLAGRSAATRKWYAAKFEEFPARVKALVPYVW >Dexi7A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:7A:19849341:19852939:-1 gene:Dexi7A01G0008630 transcript:Dexi7A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLQRPWASPVVTAALLLFLLLLTAASAAAPPPPPAEDRRKEAVTSPHGAVAADDWRCSRVGRDALREGGTAVDAAVATALCLGVVSPASSGVGGGAFMLVRLADGTSVVYDSRETAPLAASKDMYGGNETLKARGALSIGVPGEIAGLYEAWKRHGKLPWKRLVLPAARLARAFRITPYLRMQMEATRDGILAHEAIRRLYAPRGELLAAGEVCRNPRLARMLRAVARHGPGVFYGGAVGERLVKDVREAGGIITMEDLKRYQVKVRRPLTENVLGLEVVTMPPPSAGGAGMLLVLNILAQYGLPSGFAGSLGIHRLIESLKHYVAVKMNLGDPDFVNDSEVISDMISPKFAAELKKTIYDNMTFDPKHYGGRWNILPDHGTSHLSIVDSERNAVSMTSTVNSYFGSLIVSPSTGILLNNEMDDFSMPANTTANSLPPAPANFVSPLKRPLSSMTPTVIVKDGKLLASFGASGGTYIPAATIEVFLNHFVKNMDPLASVMAPRVYHLLIPNVVQYENWTTVTGDHFELDAATRADLQRRGHVLKPLAGGSIAQLVVHNVEGRGDLTAVSDPRKGGVPAGY >Dexi3B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:3B:4082317:4083794:1 gene:Dexi3B01G0005990 transcript:Dexi3B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATGEEVPNKRVVLKYYVTGFPSADAMEVLTGTASLAVPPGSSVMVVKNLYISCDPYMRDRMTKHERPSYVPEFVLGETLVNFGVCKVIASGHSDYKVGDLVWGVTGWEEYTLVTEPESFFKIHHPELPLSYYTGVLGMPGLTAWAGFFQVGKPKKGEYVFVSAASGAVGQLVGQLAKLTGCYVVGSAGSDEKVNLLKNKFGFDEAFNYKKEADLDATLRRYFPEGIDIYFESVGGPTLEAVLENMRPHGRIPACGMISQYNLEEKHGVRNIFQVVAKRLRMEGLLVFDYYSDYYKFEEEMAGYLKEGKISYVEDIAEGLEEAPAALIGLFTGRNVGKQLVAVARE >Dexi7B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:7B:19063522:19065790:1 gene:Dexi7B01G0012260 transcript:Dexi7B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVNLARQFMREMTAPQAWLLLLLFPLALLILARYSLARWFRSHGGERRRKQPLDRLPPSPPALPVLGHLHLIGSLPHVSLRSLAKKHGMDDLMFLRLGAMPVLVVSSPRAAEAVLRTHDHVFASRPQSLVAEVVMYGPSDVGFAPYGDYWRQARKLVTTHLLSAKKVHSFRHAREEEVSRVMAKIGEAAAEGAVVDVGEMLSSFTNDLACRAVMGQSFRSNGQNKLFRELVADTSPLLGGFNVEEFFPFLARFGVLSKVVRAKSERLRRRWDELLEPLIDDHERQYDAATPSDPNGFDDFIHVLLSVRQEYALTRAQMKALLLDVFFAGIESSAAVLEFTIIELIRRPHAMKKLQAEVRSIVPKEEAFVTEPNLTGMTYLKAVVKESLRLHNVTPLLAPRVSMASCNIDSYTIPAGVQVLINTWAIGRDARFWEGDDPEEFVPERFIGDGSAANVSFRGNDFQFLPFGSGRRMCAGQNFGMATVELMLANLVFCFDWDLPPGMEGHDIDMAQVFGLVVKRKDKLLLVPKFRKC >Dexi5A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:5A:5147389:5147598:1 gene:Dexi5A01G0006920 transcript:Dexi5A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAILHLASGGETPLGNPFRGGDESPSESPLAPYYVRLAAAGADAPAFLSSAGGRGYYDRG >Dexi9A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:9A:3810539:3811885:1 gene:Dexi9A01G0006730 transcript:Dexi9A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADADAPASEHPRACRDASRRRRLLLLRQQRDAAIARAIRAPLADVLRDHALVHLPPSAAARLRLVHPSWARAFSSPLFAVAHAAAPRRASGLFVSPPPSSSASGCLLPFEAADTVPSPTLAFLPSSSPPTVLSSSHGVACCFSATDDAYFVCNPATASWVGVPCPPCRITWPRPAIVVVFDAGAYNFSGDFTLVCAFEPSAPGGSSGIYCFAVFTSVSGAWWVADVVALAEGLIPASGVAAGGVAWWRTAIGTAVGYDPVAGCVQLVTCPGDSAQWEIGSAAGTLHCAVRFGDHEVMVFRLDGHGGWEVAAAVSIAEILDRPWQPRPAYDSDSDEEAAHQAEQDHTGSMAIAAAAAARPGGFWMARDQVRLLPFQGAEVEVVVLDDRRVVAFEAVTRRRREAVLPDEPTGTDWGAAAYVAHTNTLAMVAPVVLMEPPEDQEEVAS >Dexi5B01G0034880.1:cds pep primary_assembly:Fonio_CM05836:5B:34997609:35001503:-1 gene:Dexi5B01G0034880 transcript:Dexi5B01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAAPYGARSAEEVFRDYRARRAGMIKALTTDVDKFFKLCDPGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKEARRRLFSMINNMPTIFEVVTGSAKKQTKEKTPNSSSKSNKPSSKVQSRAESRSKAKVPKDEDESGDDDGDEEAEEHDNTLCGTCGTNDGKDQFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >Dexi7B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:7B:15035707:15036912:-1 gene:Dexi7B01G0007120 transcript:Dexi7B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVVLESYPARQLLAFTLSSAICLAAGEHPLCTSSSSLVSPPSAAAAASAARRSRYRWQIAQSTATAASAPSRPDFIIFPTTAGMASSAPSHSVARPSAHPAADSSTRSAFADAPARTAAGTAPSRTISARAERRRAVVPPQIAARQESPASTAFPSRVPFSSTGPISRISSATSSDDSATAAAALPSSAALARRNSASLAASTLASMPLPPRITRASDAAEADASAARPAATRFLFASSMPSEATIAASTLVAASTASTLASSSPFLLITDATVSAARPAPTIAFFSKSSTSSSERYAARARSAGEGSVPAAATCRTRLAGEEFRTEESAAAAEAEVGEQVAAEAAQWEMRLRRVRLGTRSVEGSGSMVAGQVVLPESSHRWMEARS >Dexi9B01G0043310.1:cds pep primary_assembly:Fonio_CM05836:9B:43285294:43286683:1 gene:Dexi9B01G0043310 transcript:Dexi9B01G0043310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSKHLRLRLRGSGEQRLLPSRASTSRALSSAPRPPPGSAAPPPPGAAKEASSWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTQRLEMEPVAWNEVASSTALRDPDSLEFRKIVCEGDFDEEKSVFVGPRSRSISGVTENGYYVITPLIPRSTEPSSLQSPILVNRGWVPRGWRDKNVKDHQILDEASESKAAEQLDKKGSWWKFWSNEPKPSPEVIFVPSLLA >Dexi8B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:8B:872024:873554:1 gene:Dexi8B01G0001250 transcript:Dexi8B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSVKSEQGGDLFHPTDEEVITSYLLQKFLNPRFDPRAMGAVDLNRCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFKPSSASAAGGRELVGMKKTLVFYMGRAPKGTKTNWVMHEFRLEGKSRHNNANLRFNPKDEWVVCKVHHKNGEANKKPAAEELSAGTPNVSSVISDDAGAGEGGDEFLADSMLNPNPSMYFNSAINAAPPHNADYFISSSAAAGATTSTTTIGSFVDLPSYGFNDTTSFNNLHQMAMANSAAARISSGSSYGSPWNMADYNNQAMGSYNLHHQAMLAKALGGNHFAGGLPSSSVAGILQHNSEGVPQHKFGNVVRY >Dexi5B01G0023760.1:cds pep primary_assembly:Fonio_CM05836:5B:25854111:25857434:1 gene:Dexi5B01G0023760 transcript:Dexi5B01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPEAGQATERDEHGMDGNEQQKGRRMIMAMVKSLCAVRYRKADDTPCPIEQRAKSPEMQNQKGKHIPLHREPGNGSDLPDPPRLLASLASVRSAAIIGATRRGKEIIDLQSPLPSKRAERRTKAMSLPDAGQAAERDEHGRDDYEQKQARVLMALMQGIWAARYRKADNTPCPIEQGLYLGSVGAALNKEALKSLNISHILIVARSLNPAYPAEFNYKKIEGILIHQTITLTETDKQKPELADAALKRP >Dexi7B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:7B:21555866:21558336:1 gene:Dexi7B01G0015490 transcript:Dexi7B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHTIAATHAVAHHHHHHPCRRAPAAPRHCSRRARGRVGVSVVVRAAAAVGAITPGATASASATDTPSTAFWDYNLLFRSQRAESPDPVELRVAEGAIPADFPAGTYYLAGPGMFTDDHGSTVHPLDGHGYLRAFRFSGGNGAAAAHYSARYVETAAKREEHDASSSWRFTHRGPFSVLQGGTQVGNVKVMKNVANTSVLRWGGRVLCLWEGGEPYELDPVTLETLGPFDILGLAGGDDEAAARGNGGEVHRSRRPWLLEAGIDVAASLLRPILSGLYHHHCHHHRLLCIGCGVYSMPARRLLAHYKIDPKRNRLLMVACNAEDMLLPRSNFTFYEFDADFTLVQKREFVLPDHLMIHDWTFTDTHYVLLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRESTPVYLLPRSPEAEAGGRDWSVPVEAPSQLWSIHFGNAFEERDSRGGTSIRLHMSSCSYQWFHFHRMFGYNWLHKKLDPSFMNVTKGRELLPRLVQVSIDLDKKGACRGCSVRRLSDQWTRPADFPAINPSFANRRNRFVYAGAASGSRRFLPYFPFDSVVKVDASDGSARLWTAAGRKFVGEPVFVPTGGGREDDGYVLLVEYAVSDHRCHLVVLDARKIGERDAVVVKLEVPKHLTFPMGFHGFWADE >Dexi5A01G0027640.1:cds pep primary_assembly:Fonio_CM05836:5A:31085115:31085506:1 gene:Dexi5A01G0027640 transcript:Dexi5A01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQAQAMSAASRALDRFDVLDYRSIAAHIKKEFDTIHGPGWQCVVGSSFGCYFTHSKGSFIYFRLESLRFLVFKGAAA >Dexi5A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:5A:4294108:4294353:-1 gene:Dexi5A01G0005750 transcript:Dexi5A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSRPADGGVVVDGGVVVDGGFLVGAQKAGGGGWWPELGVEPSPIGPVGRREGVADERSDDGAGRMALASRSERGLARG >Dexi2B01G0025540.1:cds pep primary_assembly:Fonio_CM05836:2B:34748532:34751136:1 gene:Dexi2B01G0025540 transcript:Dexi2B01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSIAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGHTHQKNRPSRRATWKRNQTLSLRRYR >Dexi9B01G0047680.1:cds pep primary_assembly:Fonio_CM05836:9B:46726175:46729272:1 gene:Dexi9B01G0047680 transcript:Dexi9B01G0047680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAGGRDLPSTPTWAVALVCTVIVLLSVAMEHGLHKLGHCMPTQVAAADLVAYMQWFHTRQKKAMREALEKIKAELMLMGFISLLLAVGQTPISRICIPVKAGSVMLPCRPKVDGGKGSGEGRRRLLWYPEEEFSHRRFLAGGEGGGDYCKSQGKVSLISTNGVHQLHIFIFVLAVFHVVYSVATIALARLKMRTWKKWESETSSLEYQFATDPSRFRFTHQTSFVKRHLGASSTPGVRWIVAFFRQFFASVTKVDYLTMRQGFINAHLSPNAKFDFQKYIKRSLEDDFKVVVGISLPLWFVAIFILFIDIRGLGTLIWISFVPLIILLLVGTKLEIVIMEMAKEIQDKATVIKGAPIVEPSDRFFWFNRPEWVLFLIHLTLFQNAFQMAHFIWTLLTPDLKHCYHERLGLSIMKVVVGLALQVLCSYITFPLYALVTQMGSHMKKTIFEEQTAKAVMKWRKAAKAKVKQWEAGFDGLMSVDTTPSHSRATSPSRGNSPVHLLHKYRARSEDPQSAPTSPGRGPELGDMYPVSEQHRLHRLDPERKRTASSSAVDIDIADAEFSFRMQR >Dexi1A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:1A:20621423:20622201:1 gene:Dexi1A01G0014200 transcript:Dexi1A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILLVASLLALTCFHATASDPSLLQDFCVVDKISKVRVNGFPCKDAKDVMAEDFFFSGLHLAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAGLSSQNPGVITVANAVFGSKASIADEILAKAFQVDKMTVDRIQAKF >Dexi5A01G0030590.1:cds pep primary_assembly:Fonio_CM05836:5A:33508425:33511042:-1 gene:Dexi5A01G0030590 transcript:Dexi5A01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRVSRSILRAATSSASAASAAGRGAAAAAPRSWTPPPCALQRFSPGRGYASLPGAAEAAAAARRDGEEEEVTAAEARRLMRLANVEALKRRLGDGEVIPYADLLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDRVYLQPDKIVDLVRKAMPLALTPENDPGKEELKQLQAQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERMFESRQKKLIHRQNFNLERYLELQRRCKDPLEKACGMSNPDVAHLHELSIHK >DexiUA01G0018410.1:cds pep primary_assembly:Fonio_CM05836:UA:39072332:39076044:-1 gene:DexiUA01G0018410 transcript:DexiUA01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVEEALAAVLSAAASARAAPREVPLHEALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGNDALGVVVAPGTVAYVTTGGPIPDGADAVVQVEDTEQVPAGADGSKRVRILVRATEGKDIRNVGCDIEKDSIVLKSGEHIGPAEIGLLATVGVTTVKVYPRPTIAVFSTGDELVQPTTATLSRGQIRDSNRAMLLAAAVQQKCKVVDLGIAEDTEESLKEHMDAALRSDADIILTSGGVSMGDRDLVKPCLAKMGKIHFEKSSCAPIASFKSRPTSYVAESTGHQASSRLLSMKSANALLEFHRVLALIGGGTGFTPRDVTPEATKSVIEKEAPGLTFVMLQESLKIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHTPHAAAAPVDQWERSFRAASVGSGGGCSCDP >Dexi6B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:6B:21817491:21824319:1 gene:Dexi6B01G0014250 transcript:Dexi6B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLAQSNDLDEALESFGKEDQKKTPWTPEEKRKDHSKRQLKEENDTVEVHGRRLTVGEAETNAYALMPVSHHNVYTSDDDWFYFSLSGTDVHARNSAALLPNVQVPDTAMALSEFSSRGHGRDRRPAGDEVPRARSSMARPTGKPGRFDPSAANL >Dexi9B01G0034330.1:cds pep primary_assembly:Fonio_CM05836:9B:36308171:36310443:-1 gene:Dexi9B01G0034330 transcript:Dexi9B01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLLDDTQIAHKLKEISMQAKDRQKRRRTKGIKVDKEGDLTLEELDDATAEAVDQDNATSKKKKSSHKKHPKAHDHDHADKNGEAPMGGDQLDSGHIENENIAEPRPKRSKRLTKSLSEDKDSAAAVDHEKLADEAENHTAHDSGDTEEMQDGTPA >Dexi5A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:5A:9024426:9025829:-1 gene:Dexi5A01G0012060 transcript:Dexi5A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLETKSAAAARASTGAAAKSATAKAAGLSEAIAPVVASKEEMLPQAAGGEEEVEEEVEEEVEVEEEVEVEEEVEVEEEEEDNDEGEKDPASIHALLDSFPKDQLVELLRDAAVAHGDVLSAVRRVADADPAQRKIFVHGLGWDATSDTLTEAFGPYGEIEDLRIVTDRNTGKCKGYGFILFRHRSGARAALREPQKKIGNRNTACQLASVGPAPAGGTPNNPVPAPAQLQLPPVSEYTQRKIFVSNVGADIDPQKLFQFFARYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFDGVVLHCQKAIDGPKPNKLAGPGGFYGAGASSGNKGLAGYGATVHSLPGSVGIGQPMSPLAPSLASLPGGIAAAPGMDPALGQALTALLATQGAGLNLNSILGVGANGTGVPPQGASGALGGSGVPGMQGGYMGGYGGSGGYGGAPSGGPGRNYMGH >Dexi2B01G0028500.1:cds pep primary_assembly:Fonio_CM05836:2B:37109195:37109599:1 gene:Dexi2B01G0028500 transcript:Dexi2B01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDQQFDAAAAAGVDRITDLPDDVLHMILARLPSTTDAARTSVLSRRWRRVWAGVPALSFRYHESPSSSSAQQVYQLDRIDAALSGHAATATVDVERLEIAVPYGVPGRPRRPVAALRLAAPQRRAPARRAPL >Dexi4A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:4A:20556897:20560187:1 gene:Dexi4A01G0016800 transcript:Dexi4A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHGTLDATILEADHLTNPTRATGGAPGIFRKFVEGFEDSLGLGQGSTRLYATVDIGKARVGRTRVIAGDPVSPRWYEEFHIYCAHFASDVVFSVKAAQAVSATLIGRAYLPVRDLLGGQEIDRWLDVLDAAKKRLPHGPKIRVRLRFHDVAADPRGWGRGVAGGGGARNPGVPYTFFSQRPGCKVTLYQDAHTPDAFAPRIPLAGGRLYQQGRCWEDVFDAISNARHLIYITGWSVYTEITLLRDAARPPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGTGVHCVLCPRNPDAGSSAVMGAQIAYMITHHQKIVVVDHEMPARPSDRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHSKDFHQPNLAGASIGNGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGGNHLLVDLRNMAELIIPPSPVMFPEDQETWNVQLFRSIDGGACYGFPDTPHGAAQSGLVSGKNNVLDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWKADGIKPEEIEALHLIPKELSLKIVSKIEAGEHFAVYVVLPMWPEGPPAGGSVQAILDWQRRTMDMMYYDISVALEAKRIDAHPRDYLTFFCLGNREVKMSGEYEHAGRPVDGTDYARAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPCHLNTKDQVARGQVHGFRMSLWYEHLGMLHDDFLNPGTLECVQRVNEMADKYWDLYASDNLDADLPGHLLRYPINITKEGTVTELPGAKYFPDTQATVLGMKSKNLPPILTT >Dexi9B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:9B:1558403:1559143:-1 gene:Dexi9B01G0002790 transcript:Dexi9B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPAFNRSRTRSTSPGESRSSLRFIGLRPQATSSKKAPKANTSVLVVAFPVLLISGAMYPSVPMTCVVCGLLPWSYSRARPKSLSFPFMSASRSTLADLMSRCTTTCSDPSCRYSSPAATTPWYTVPKPPAPTTSRKPLVASWSSL >Dexi7A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:7A:15767957:15770685:1 gene:Dexi7A01G0004810 transcript:Dexi7A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAPCRFLSPLATAASRALLLSSRTPPPTRRRLLLATTATTIAAAMATSSQSGASASSSFKVVDSHLHVWASPQQAKEGYPYFPGQEATLRGDADFLLECMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEYLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGILVMKGISLYIQEIEELCRDYPKTTVILDHMAFCKPPTNDEEEKAFSSFLNLSRFPQVYVKYSGLFRISRETYPYEDTAQLLSRVISNYGANRIMWGSDFPYVVPECGYKGAKEAVSHVASKISVSPSDLEWILGKTVSQLFQGAWVAP >Dexi5A01G0030640.1:cds pep primary_assembly:Fonio_CM05836:5A:33549213:33549698:1 gene:Dexi5A01G0030640 transcript:Dexi5A01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSVEFCVISARGLGRRSSLLKPQWFSVAWVDPNSKYCTKVDASGSSDPNWGMKFSVSADEHDLSSLQQMALTVEVYRREPIFLGEHLQGVAVVQMKEYFDKFADGEHPGLVEETASFQLRRKRSDKAHGFVDISIRICKEEDVHAQLSGKQKLLFIPT >Dexi2B01G0032010.1:cds pep primary_assembly:Fonio_CM05836:2B:39985611:39986867:1 gene:Dexi2B01G0032010 transcript:Dexi2B01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTIIPHDHQLRLQQEREQAPVILKPPPQMTTTKKQARRRTHTSRPYQERLLNMAEARREIVTALKIHRANMLRQQQRPTLSHHHREPPQPPLPPLRQCQSLMIQQLRRHEQQHEQQQVQVVSQYHDQNQVVGEEEAPPASTSSFAADLQLGDDPLPHWIAAGDASAGSHYYCSSSPFVHYGDLTQLEVELPTAMGGMEQLARSLPAQPLGLNLSFQGFGGSVSVDAAEGCDQDLFGGVPLIQPSSLLSPASSSYYSPEATEMASGTQHASPALISTVEEYSPPPAAFMPMLDDGEMQSGSSEAQGEETAADVAAASAWWSKILLESMESGGEVAEGGAADGCTAEDVAAAISLPVEWRWLCDNDGVVDEQGAVVKGTAEPPDVMETMLTDGNYYTFCYSDEAGRRRGRDDINLPW >Dexi5A01G0036010.1:cds pep primary_assembly:Fonio_CM05836:5A:37665530:37666815:1 gene:Dexi5A01G0036010 transcript:Dexi5A01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQHREESSQISQEELHEGGSSEIPTDPNNELTEVDKVGEEEGNPHPDYDHLTPAERRYMEQKQKIDMQKMAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >Dexi6A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:6A:3717235:3718439:-1 gene:Dexi6A01G0004020 transcript:Dexi6A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGMMTKSKKRRLEEEAQQQQLPPPDLISRLRDDILSIVIELLPSGDGARTQILCRRWRPLWRSLPLNLEAKTFAGAKLRATIYGIIEHGRCLNPSPPHVVSRFSLTLRVLSLCCPRRSRSSIRSRLEFPAATAGDVAGLVLFPHLKQLTLKGVIIQESTIHGILSGCPALWSLVMHGNGGYDNLRISSPTLQSLGVSGAHDFKGEVIVEDAPLLERFFQDGLVYRHKIRVIHTPKLKMLGYLRDSFFEFVPALPYCSFEKRQLVSLPNPPMRTVKILALDMDPDNIDAVIDLLTWFPCVDKLYMVLTH >Dexi1B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:1B:3623791:3624371:-1 gene:Dexi1B01G0004450 transcript:Dexi1B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVTTCTEQEKNSLLQFVTELSNDSGLTNSWKNSTDCCKWEGITCNSDGAVTDVFLASRSLQGHISATLGSLTSLQILNLSHNSLSGYLPHELVSSSSLVVLDVSFNQLTGQLQELSSSVSDLPIQILNISSNLFSGEFTSTIWAAMKNLVALNASNNSLSGQMPGHFCINSPSLMREHSP >Dexi8A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:8A:9407211:9410206:1 gene:Dexi8A01G0008060 transcript:Dexi8A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHIHLCLTLITLLSLSLTSSSQIDPQTQALLQFKAGLNDPLNHLASWTNTTTSPCRFFGVRCDDTMVTEISLSNMNLSGGISPSIGDLHGLTRLELDSNSLSGPVPPELAKCTHLLFLNLSCNGLSGELPDLSSLAVLDTLDVETNGFTGRFPAWVGNLAALTTLSVGLNSYDQGETPATIGNLKNLTYLYLAGCSLTGVIPDSIFGLTALETLDMSMNNLAGAIPAAIGNLTNLWKIELYKNNLTGELPPELGKLTKLREIDLSRNQITGEIPPAFAALKGFTVIQLYHNNLSGSIPEEWGELRSLTSFSIYENRFSGEFPANFGRFSPLNSVDISENEFTGPFPRFLCHSKNLQYLLALQNGFSGEFPAEYSTCKSLQRFRINKNQFNGSLPEGLWGLPAATIIDVSDNGFTGAMSPVIAEAQNLNQLWVQNNNFAGEIPPEIGQLAQVQKLYLSNNSFSGEIPAAIGTSLSQLTALHLEDNSLTGEIPANIGGCVRLVEIDVSRNALSGQIPASLSLLSSLNSVNLSYNELTGPIPTSLQALKLSSIDFSWNRLTGDVPPALLVIAGDQAFVGNPGLCVDGGGACHVDGGHKDGLARKSAVLVPVLVAATLVLVAGIVFVSYRSFKLDELRRRRGDMEHGGDAGEQWKLESFHPMELDADEICGVGEENLIGSGGTGRVYRLELKNGRGGGGGVVAVKRLWKGNAARVMAAEMAILGKVRHRNILKLHACLSRGDLHFIVYEYMPRGNLHQALRREAKGTGRPEMNWPRRRKVALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKVVADDSAEFSCFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLLELVTGRSPIDPRFGEGRDIVFWLSSKLAMDSLEDVLDPRVTASARERGDMLKVLRIAVLCTAKLPAGRPTMRDVVKMLADAGAGLGSPRGPPPARVCSNKSCR >Dexi5A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:5A:7965882:7967761:1 gene:Dexi5A01G0010580 transcript:Dexi5A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETANDTAVAQENEVAPNEEAVQGDELVQGEELAQADEVVQGEELAQDDELAQGDDLVEGHELVVVEETTPRTGTRRGRRKKSLVWEHFTIEEVAGGVTRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKNQEQRLALPSGGVTDNDGEGTVERPTKRRYRYTGYANAAFDQERSCSYLAKMIIQHDYPLHIVQQPAFATFIESLQPRFKIVDIDTMEAEVYAVYQKEKENLMQTINTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKAWKLQLELTNGTGHEDPTFSSIAKDMHERFDKYWKDCSLVLAIAVVMDPRFKMKLVEFSYSKIYGAEAAKYVKVVDDAVHELYKEYVAQPLPLTPAYVDQE >Dexi5B01G0034670.1:cds pep primary_assembly:Fonio_CM05836:5B:34869057:34869413:-1 gene:Dexi5B01G0034670 transcript:Dexi5B01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVHLEQCRPVPATMNGVLQPELCEPAPSTATMNNGAVTPQQCGPARAAKNGVSPHHLMWHYIDPQGVARGPFALLFHWKQSGFFNDDFRVWRAGQTVEQAILLADAFRMHLNL >Dexi2B01G0034250.1:cds pep primary_assembly:Fonio_CM05836:2B:41519919:41520149:1 gene:Dexi2B01G0034250 transcript:Dexi2B01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGAGGERLRGRVADHVHRRAPVAGREGRVVRYDSYQSAFATALEAGPPARPDIAARIQIEQLIRNPWMLIFLF >Dexi5A01G0035250.1:cds pep primary_assembly:Fonio_CM05836:5A:37067930:37068600:1 gene:Dexi5A01G0035250 transcript:Dexi5A01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRPWREAPGGESRWPLAEQRPRAVIWLADGPCSLSSSSLALRRDPAAHPVSGSGSSRRALQAPPGGRGGRGSRPAPPPTAVHAREAG >Dexi3B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:3B:5821395:5821618:-1 gene:Dexi3B01G0008270 transcript:Dexi3B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKPYVLAVIIQLIYTGMFVVSKAAFDHGMNTFVFIFYRMVAASLLLLPIAIVFERVNY >Dexi9B01G0023350.1:cds pep primary_assembly:Fonio_CM05836:9B:18466545:18467030:-1 gene:Dexi9B01G0023350 transcript:Dexi9B01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEQEPSRLDPLPPPFEIYATQRRIRKRRSEKHPHGATPTHPTNANPLSLANQESQPRTDQEQTNPHPQETVHEPEERHASNPKFRVADESTRRRRRRLDPGSKEKRKRNHIKPFPSTTLSLSFLYKISFPTPCLPPL >Dexi2B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:2B:10190211:10194618:1 gene:Dexi2B01G0009410 transcript:Dexi2B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDVGSCNLLRVLFEGAIAGGAAGVVVETALYPIDTIKTRLQAAQGGSKIQWKGLYAGLGGNLVGVLPASAIFVGVYEPAKRKLLEMFPENLSAIAHLGYGSFLLRDLPFDAMQFCIYEQLRIGYRLAAKRDLKDAENAIIGAFAGAITGALTTPLDVMKTRLMIQGQGNQYRGFIDCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKAILSERSSRRDAKRRL >Dexi4A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:4A:367614:369283:1 gene:Dexi4A01G0000540 transcript:Dexi4A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAGCMEQWMPTAAMVATNVVIAIMTALIKQALNQGINRMVLITFRQMLATLFLGPIAYFKERPVLLQYTLFVGLDYTTATFAATFSNMLPVVTFLISLAFRFEALEVRSMSGSAKISGTLVSLGGAMMLTFYKGSSLTGHTTSSASISSSRQAAGDDHGSAVRWVLGSVSMLANVVGFALWLLLQRKFTSKYPAVYSATAFMSLFSFLQAGAITLSIQRSGIAVWALKGTVEIVTVVYCGVVASGIGYLLLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVILGLYMVLWGKKEEAAKAVASAKPAQAEAQDEQQEKV >Dexi2A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:2A:33432973:33435124:1 gene:Dexi2A01G0021310 transcript:Dexi2A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQRGYWESSSEDVTRALLLPVHDDDDMPARRRSRCCSGLGAMLSNKYLAVASGPAACALICATVSLGAHAAAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITALFCGDPVKPHLLLLGICGTTFFTSMWIHNTPCTVMMMPVATGILQRLPRDELEGGSDAREVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPKQDPITFSSWMSFGLPMSLVLFVALWATLCLMYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLTVFGGLIVLWMTRSLTNDIPGWAVLFDGKVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRKLQWHIILLLGAGFAIADGFKSSGLTSILSEGLGFLRGAPALAIAPVACAFSGLITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGAIGAQLSFLLPTGSPGNVVGFSTGYITIKDMVVTGLPLKLVGIAALTILLPTLGNKLN >Dexi5B01G0022060.1:cds pep primary_assembly:Fonio_CM05836:5B:24288409:24293335:1 gene:Dexi5B01G0022060 transcript:Dexi5B01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKSPPAPEPAASPSRTPSSGSASSPSSKASALARSLGAYFPRSTSAQVRPAAAAARAPPQVAELLRAIEQLQEREARLRVELLEQKILRETVAVVPFLEAELAAKRSELERCREVADRLEAENARLCAELDAAALEVTSRKQRIVELEKEMAELRRQQQEAAAAAAAEVDDCSSSASASNEHLETTSNTAAPHHASLLAQPGAHDRPYIPPSPSAPPEPLFKSKSYFSSASSTPSSPASSSLPPSPSSSTSASSPSHSRSSSDTAAASPRPRRVVLDLSKLPPIPPPPPPCPPPPPPPPPPPCSSTRTKSSSSPSSGSAAAPPPPPPPPPPPARRPFGAAFPASSGGASSGQCVRRVPEVVEFYHSLMRRESKRDGGAAASEAANGVGGVAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVNIEDVVSFVKWLDDELTRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLEGEAASFRDDTRQPCAAALKKMQALFEKLEHGVYNLARVRDAATSRYARFQIPWEWMKQDAGIVSQVRKLRPFHLLFHGPVVVVDSSSTVWCRCRNATKGDSRLDASAFIPLGVRPERQKPRAKRGLFRPLLSTHESCYVQIKLQSVKLAMKYLKRVSSELEAIEGGPEEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQQSRYLRQHRLVART >Dexi9A01G0033410.1:cds pep primary_assembly:Fonio_CM05836:9A:38342683:38347627:1 gene:Dexi9A01G0033410 transcript:Dexi9A01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYCPLTLLLPLLCLISLHVGSAASITTGTADGSELWGYVEVRPKAHLFWWYYKSPQRMSTPSEPWPTVLWLQGGPGASGVGLGNLQEIGPLDVDLKPRNSTWLQKADLIFVDSPVGTGYSYVEDDSLFVTTDWEQATDAMTLLKALVKEVPTLQSSPLFLVAESYGGKYAATLGVAVARAIRAGELNITLGGVAVGDSWISPEDFTPSYTPLLLSVSRLDDNAGDEANKRAETVKEQIVAGQWAASQKSWGDLLGFIATKSGDVDVYNFLLDSGMDPVSSVDTSTGSSLSNLQAKKYSTYLADSQDSSTNTIDSIMNGVIKDKLKIIPKDFKWGEQSDSVYDALIDELLSYGVNITVYNGQVDVICSTDGAEAWVQKLKWDGLKSFLSLPRQPLYCGGSKGTKAFVRSYKNLHFYWILGAGHYVPADKPCIALSMISSITQSPAS >Dexi9A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:9A:5116221:5121150:1 gene:Dexi9A01G0008640 transcript:Dexi9A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVSNPGDDETGHRSKRRRVSSGGDATDTISAAMGGGGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWLEVAEHVGTKSKLQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKIQGESKKGTSLLPGELTPKAESPFSPSRVKLEDALGDGPAGRSPSQMAGGANKKASNAGQIKDVANLSKVEDGHVDRSVGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKELTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEHKRKKEYELNAQKAKESGQLIPNNKSVQKMNRPVKIESDGNLDAKKGGAGLDSPKTSGLTSVKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEMFKGSVLKKEDAHVLFKVDPTKVDTVYDMVSKKLGNHEEAPTV >Dexi2B01G0035950.1:cds pep primary_assembly:Fonio_CM05836:2B:42893079:42894176:1 gene:Dexi2B01G0035950 transcript:Dexi2B01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGQEEPRLVLPPGFRFHPTDAEVVVDYLTHKALDSSFSCVVIADVDLNKVEPWDLPGKAKMGEKEWFFFVHKDRKYPTGTRTNRATARGYWKATGKDKEIYRAKTLVGTKKTLVFYMGRAPRGDKTPWVMHEYRLDGKLPPNLPRNAKDEWAVCRVFNKDLAAKAAAQMAPLPAGVEPPNSFLDDLPLDDILGDEPPPLMDYPPFSVDDLLDFKGESSSSGGGGYQVKAEQEPRYFSLPAASNPGGDQAIRAHCNARASAQASTPPYPELDELLQDDGYIDYSNMLRF >Dexi7A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:7A:28006376:28007335:1 gene:Dexi7A01G0018680 transcript:Dexi7A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding IENIFDRYLKAPNTRFEEMDIQQKIIQEMTRMKDERNRLRIIMGQYMGDDLASFSVQDLSNLEQQMEFSQYKVRLRKQELLDQQLLEMRHREMHMAEQEGSFLCLMNPAASRVQSQAAEMPGNTRPFLPWWGAGASGSGSGGQSQRLHGSRDAEAEPSMTTTALQLQGFRLQPRQPNLQDANLHGWLW >Dexi2A01G0032500.1:cds pep primary_assembly:Fonio_CM05836:2A:42959409:42960876:-1 gene:Dexi2A01G0032500 transcript:Dexi2A01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLWFVAAVFLAAVASRGVAARPLVSGVDDSGRAPLQTSRPFNIAHRGSNGELPEEAAAAYARAIDEGADFIEADVEATKDGHLVCFHDTTLDDVTDVADHPEFASRRHTLEMQWANVTGFFISTDSPIITFEEFIDIALNAKRVVGIYPEMKNPVFMNKHVQWGDGKKYEDKFIATLKKYGYGGKYMSPEWRAKPVFIQSFAPTSLVHAANLTESPLVFLIDDVTVRTEDTNQSYDEITSGEYMDYMKKYVVGIGPWKDTVVPPTGDNQLATPTDLVAMAHARGLQVHPYTYRNENKFLHFNFRQDPYAEYDYWINGVGVDGLFTDFPASLRRFQEWTAKKQN >Dexi9B01G0045620.1:cds pep primary_assembly:Fonio_CM05836:9B:45052890:45053171:1 gene:Dexi9B01G0045620 transcript:Dexi9B01G0045620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTARAAAVAVLLMQCCSVMMILAARPLPPAVGGGWRLGQGAGAALILPALDKSPAGGSSEPGQPNGCNTQSGQHPNLGSCPPPPSKKELA >Dexi9B01G0046710.1:cds pep primary_assembly:Fonio_CM05836:9B:45903811:45918268:-1 gene:Dexi9B01G0046710 transcript:Dexi9B01G0046710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTTSTDALSPRAREQNSATCLSSLQVEAPSTAAAFQTPTCPELPMATGRVRYVWSKNPPSMTPAILGYAHPQRHLGVKCGTTPAPAGDMRVSGRPQDTRGWNITPMPTPVGVIAIPTPSTCYHRRCPSYHSHGVHHRGARSSRRAAGAPKGKARFFTSLAVIAWTLFLYLHFSRLSGTPQISRGNGAGGDPCRGRYIYIYKLPPRFHADIVRDCGKTTDTQWPDTCASLSNAGLGPPLAADDLFTGEPAGWHDTHQFALDVIFHNRMKQYKCLTNRTTTATVLFVPFYAGLDFARYRSGYDGATRDAASTDLMVWLTGQPQWGRRMWGHDHFLVAGRTGFDFLRRSGGNGLLAMPAARNLSVLMLESTLDHGSDYSVPYPTYFHPRSDADVLRWQDTARRHRRTRLMAFVGAPPLDTRVRDLVVAQCKASSACALLGSHITPANTMRLFQKASFCLQPPSTGDTWTRRSVFDAMVAGCIPVFFHAASAYKQYRWHLPKDHLSYSVYIPDEDVRRRNVSIEAVLRSIPAATVERMREEVIKLIPRVVYADPRSRLETIKDAFDVAIEGILDTVARIKNGENVDSGRPASEDPPNLYASTESVLSKSAWKGGGARWRRAVGAKWLEKAAESVLPPLRWLVILAVIAWALFIYVQFSMLSAAEEVEVSDGGDDTTDSADPCHGRYIYVHDDLPPRFNADILRDCNKTEDHWPDMCGHVSNAGLGRPLADDGDLTGEAGWYGTHQFALDAIFHNRMKQYECLTNDSDVANAVFVPFYAGFDFARYHWGYDNATRDAASLDLSEWLMARPQWQRMGGRDHFLVAGRTGWDFGRTNNVDSGWGNDLLAMPAGRNMSVLVLESTFKHTHDYSVLYPTYFHPKSDADVLQWQTRVRGQRRPWLMAFVGAPRPDMRRELRVRDHVIAQCNASRACAMLGCANAPGSPQCHAPGDIMRHFQKVSFCLQPPGDSWTRRSVFDAMVAGCIPVFFHPGTAYKQYRWHLPKDYLSYSVYIPDEDIRWWNVSIEDVLRSIPADVVEKMREEVIKLIPRILYADPRSRLETIKDAVDIAVEGVLTTVARIKKGEWVDSGRPVNEDPPNMYVSTESRFRPRSVLSKSAAKGAGARWRRVVGAKGLENAAASALPPLQLLAILAVIAWTLFLYVQFSVLSAGVEVEVSHGGDDTDSADPCRGRYVYVHDLPPRFNADIIRDCDKTGDHWGDMCGYVSNSGLGRPLADDADGVLTGEAGWYGTHQFGLDAIFHNRMKQYECLTNQSAVASAVFIPFYAGFDFTRYHWGYDNAVRDAASQDLAEWLMARPQWRRMWGRDHFLVAGRTGWDFKRSSNVDSNWGNDLLGLPAGRNMSVLVLESTFLHGMDFSVPYPTYFHPRSDADVLRWQARVRAQRRPWLMAFVGAPRPEADARKYIRVRDLVIAQCEKAPGACAMLGCKRAPGSPQCHAPGDIVRLFQTASFCLQPPGDSSTRRSVFDAMVAGCIPVFFHTASAYKQYRWHLPKDHLNYSVYIPEEDVRRRNVSIEAVLRSIPPDVVEKMREEVIKLIPRILYADPRSRMETIKDAVDIAVEGVLDAVARIKRGEWVDSGRPVSEDPPNLYVSTESRFRPKSARDACGDFVNLEDLPAQSFGGAHRGRNSVLPSLPGSNAGSRAPRDLINAPRLLLQPMCRPRDPELRASVTGIRRPRITHCRVAGSVVTPSSSSVEGSDDSQSSPAFDPRATHLRAFPFLTMEKAGKRWVPHLLLLGAMSWLLMVYFHVAVFRTPPVVSAPLVAAAASDGVRFLHRQEEQLRKIGTSATSVIGALPASGETRRPRRASDEAACNGRYVYIHDLPRRFNADILGNCAHWYPWHNMCGYLENGGLGEPVENTEGVFGDEGWYATDHFGLDIIFHRRVEQYDCLTDDSSLAAAFFVPFYAGFDIVQHLWGVNSTAREKDALSLDLVDWLTRRPEWRAMGGRDHFFLSGRTAYDHQRRPESESEWGSKLLHLPAVQNMTVLFVEKLPWTSFDFAIPYPTYFHPANDAQIIEWQERMRAMKRKWLFSFAGGARNDPYSIRLHLIRQCGSSSFCNLVQCRKNERNCLIPSTFMRVFQGTRFCLQPTGDTMTRRSAFDAIMAGCVPVFFHRDSAYTQYRWHLPEEHDAYSVFIDEADVRAGNVSIEETLRRIPPEVAERMTETVIGLIPRLVYADPRSRLETLRDAVDVTLEAVIARVNKMREEMGGGGGARQS >Dexi3B01G0030170.1:cds pep primary_assembly:Fonio_CM05836:3B:29666698:29679175:-1 gene:Dexi3B01G0030170 transcript:Dexi3B01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSPPSRWPPQPMTRMGLLALLVGLLAASAPMRAVDAAGVLRQVVGRGDGGTFFEPFNVTYDHRAVILGGKRRMLVSAGLHYPRATPEMWPSLIAKVKEGGADVIETYIFWNGHEPAKGQYYFEGRFDIVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKIENEYGNIQGQYGQAGKRYMLWAAQMALALDTGIPWITSYDYDAPIDEYGILRQPKWGHLKDLHAAIKLCEPALIAVDGSPQYVKLGPMQEVCTNFGSKVGHWVSLNQPVELVQGLNKLTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGFPNGDIDLTKSLWTYQIGLKGEFSKIYSPENQGCAKWSSMQNDDTQTPFTWFKTMFDAPEGNDPVAIGLGSMGKGQAWVNGHLIGRYWSLVAPESGYHIPREWLQESDNLLVLFEETGGDPSQISLEVHYTKTICSKVSETYYPPLSSWSRAANGRASVNTVAPELHLQCDEGHVISKVTFASYGTPSGDCQNFSVGNCHASTTLDLVTEACVGENKCSISVTNNVFGDPCRKVVKDLAVEAECSPPLSTKEARDDM >Dexi2A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:2A:6724446:6726330:1 gene:Dexi2A01G0006960 transcript:Dexi2A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGGRQQEEEKWVPVTKLGRLVREGKIHKIEEIYLHSLPVKEHQIIELLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDCDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWDETRYVKTPFQEFTDLLAKPTKGLVIEAPVETVEA >Dexi1A01G0027430.1:cds pep primary_assembly:Fonio_CM05836:1A:33211601:33211855:-1 gene:Dexi1A01G0027430 transcript:Dexi1A01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPEMMLNSTRLVSMSRSVGEAAPVHLGPRLENAATRGADGDLPITVPRNKMVAVGDGAVLT >Dexi1A01G0027430.2:cds pep primary_assembly:Fonio_CM05836:1A:33211865:33212497:-1 gene:Dexi1A01G0027430 transcript:Dexi1A01G0027430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTLCTPSLHLARAHRDIHAGGGDDLGLVYGAGVAADVAHHPMVGGEDGGEGRVVEVAGAREFPRAVGGRGVLGEDGDQRRRVTERAEEGDSSMPASYTRPGSRALLGSTWPAPRTNGVDSMPVARSLMVSPELSRLYAVVMSADLIAAGLQSRCACRSNAARPLT >Dexi4B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:4B:720182:721178:1 gene:Dexi4B01G0001120 transcript:Dexi4B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGCGKSTVAALLAEALSCSFIEADDYHSEANKAKMSKGIPLSDADRTPWLESLRDAIRERLDCGEDVVASCSALQLKYREVLRAADRSYKPGGYGMCRVKFVCLRASAEVITERMQRRSSEGKHFMPASLLQSQLDLLQIDDAEGITEVDATTVHPADIVRDTVSQFREELASTVPSCF >Dexi5A01G0031730.1:cds pep primary_assembly:Fonio_CM05836:5A:34340923:34341264:-1 gene:Dexi5A01G0031730 transcript:Dexi5A01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSSGYKNARSADHQKLAGAHEAERKEEDEELAMEYGYPYNGCGSNKEKRPPLKRGQLKLQIARTLLGSLVAPGAKNRERSFGR >Dexi6A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:6A:3086144:3087558:1 gene:Dexi6A01G0003490 transcript:Dexi6A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILKNIYDDESSGGGAKKTKPASAPPVAALGDDVLRQILLRLPDMGSLANAALAEKRWYAIASDPAVFRRFDALRRPPLLGFILTDRGDQLFPLRCSNLRFIHATRGYSNLASVAAGTDFFFEDLPDDDLDDGGWDADWRLRGCAGGRLLLSRGYDGQILAVYDPIARTAVFLHASTDFWPSTHFVNYAIVVDEADGSFLVIGIVPILCSAAVYSSRSGEWVKFEGDAFIEGYGEGTDDEWDLNDLDKDGIYEFPGGGKIPRRMFEKQDTIDSIVKIQADGMAAGRFAYWRSDTKKCDYSVERILLLDTSTMQWSVIAAPFPPGESYCVADMPEPVRSNA >DexiUA01G0012810.1:cds pep primary_assembly:Fonio_CM05836:UA:26650912:26654098:1 gene:DexiUA01G0012810 transcript:DexiUA01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALESLKEINELACPNDGFLDQEEVTEAKVEVEALKGSAEDGDVNQLDDERPATPPDGEEEFTDIDRTIYIWDHSLRAREAKKLPDSWFDLKINTHVYVDGLPDDVTLEEIVEVFSKCGIIKELDSI >Dexi3B01G0028170.1:cds pep primary_assembly:Fonio_CM05836:3B:23983026:23988405:1 gene:Dexi3B01G0028170 transcript:Dexi3B01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVDKATNELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRIQHKNANVQFLALTLLETLIKNCGDCVHVQVIERDILEDMMKIVKKKADMQVKDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTHPASLPSYLQAGYGMPVDSSSRLDEAMSSIGASLSMPELERMLGAVELLSEMLRAVDPNDLYAVNDEIIMELVNQCRSDQKKILSLVSSLRDEELLGQALDLNDKLQFLLEKHDAMASGSPLPTEETDVELKIQTHKQRKHLFLFRRIFVNHP >Dexi5B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:5B:2401414:2406316:1 gene:Dexi5B01G0003570 transcript:Dexi5B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAGTATASAASLWKRGGGSEGGSCDGCRTYRNGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQDLQIKKFMHNLRQYQLPLQRYMAMMDLQERNERLFYKLLIDNVVELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGKVLEVLRNWPHRNIQCLPITIDVGTNNEKLLNDEFYIGLRQKRATGEEYDELIEEFMTAVKQLYGEKVLIQAGTGIAELIALQMSKQTKAPIEECRKKVWLVDSKGLIVSSRKDSLQSFKKPWAHEHEPVKTLFDAVQSIKPTVLIGTSGVGRAFTQEIVEAMASFNEGRVVFASGSPFDPVEYDGKTFVPGQANNAYIFPGLGLGLVMSGAVRVHEDMLLAASEALADQANEENFSKGSIFPPFTTVRKISAQIAAAVAAKAYELGLATRLPPPRDLVEYAESGMYTPVYRNYR >Dexi2B01G0023630.1:cds pep primary_assembly:Fonio_CM05836:2B:33196584:33200567:-1 gene:Dexi2B01G0023630 transcript:Dexi2B01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALELSSFEVPSSRVGVLNAPSFCASHDDPRGVRAQFDTTARLPRQTPRNLRRSYSIARLTRPAPLRLLGQAWLVLPCLAPRGSINSLAVPMPRPLPSALFALAFLLLAAMAQFVDPAPWKPSNATKHCLDSLVEVGVLPPNVDGEPPVWISPGTATEPAPPQGYVVSLARFHKRGFGVPVGRFMRALCFHYKVELHNFSPNAISQAAVFVAVCEGYLGIEAHWCHLFIGELFSDSVSKGVRRPVRAGGLVLQVRRSRKDLYIPSSMVSNNQDWDKGWFYLRNDGGHLPPYTGLLLTQKQDDWHFGVSPPARKRKLDPLIEALQRLSKLGLTAGGVIANFHRRRVLPLMRRRLPLHKMTPDADLTGTMMAAEPLPVATAVQRGRRAVDKLPDDPWAVPMRPEDGYVSLGVSRGHYSKPPVPEDKAVNRALAEKAKEAKARREARRQRKDRKRKKLEAENRERAKRGLSPLPTPESSTDPDGSDGDGGARSPSPFELRIGGPAPAAASGGGGEEVVDLGTPPSTVVPSTERPSGAATAVPEEAQGRGEAPPSTAGPTSEGPSGTAPAALEEPQGGGEAPERPSAVEEAPAHGPEAEVPQGRGGLEGDPQGETVVSAGDEASRMAPQGETVVSAGDEAPGAAPVPASRPKRKLPFVRRSRGRSTPSLAPTKALKIRPSSSPHPSSQLLGPTNEVVQDFVTFFDTQAELQAGQQPREEAPPVLEEPRPPQLLEGAVEPHAEAARPEEANPAPGEALRVEEPSAAPVEADAAEVPPHEGGEGRTHGGVFPHLKELAEALGVGAPVTQGRESGGAAPSTLITAQPGPVAAWSYEAHARGSAEMWQARRVLPQRFVDEAVAEETLLEVQSSHGLDVRRALQDILRLHDEAGKVHKELRQQAFAKNDQIAELLLELRRLSGALEARERQLDDLRGARDRALAQGREKGEVIARLEVSASALREQLANSNVWLENERVARRAAELAVEEERRLAVEARDRLEREQTARATAERQAREAEEALEAAQGQTESKEAVLVAQTETQRELDELVQAASAACNEIAGPGLHRLRALGGHFSSRVKEALLLGVRKALGVVTTHYQADLSKLAAGYVVADDLNDEEAVAAMDEADAAADGTARVLAGYFEGALFPGEDGGGWDDLGGGGEP >Dexi6A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:6A:4198144:4202526:-1 gene:Dexi6A01G0004650 transcript:Dexi6A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGRTGGAGGGDRRQRRWRSGQVRGGGWDELRQRPRLGRYTKYRITSLEKNYMPKMIVPEDLGIPLDLLDMSVYNPPAVQLPIAPEDEELLQDDEVHTPIKQEGIRKKERPTDKGVSWLVKTQYISSLSTDAAKTSLTEKQAKEMRESRMGRNTFLDNLNDREKQIKAIEESFRAAKSQPVHQTKRGMKAEWVMPLLPDFDRYEDPYVMVNFDGDPTADSEQYNKLERPVRDECESRAVMKSFSVNGSDPTKQEKFLAYMAPAPHEVRGDDKEDPTTYLVTFDEEKGARYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGTMERGESSGMHENSKRRRSPVDDDLDEHPKHSRGEDMYQDSGEEYSE >Dexi9B01G0032610.1:cds pep primary_assembly:Fonio_CM05836:9B:34792279:34792801:-1 gene:Dexi9B01G0032610 transcript:Dexi9B01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASMAVDGSFVSSMSSDNSVEESSFRQLQDAVSQLDVQTKLCIRDGLYRLARSAQNRQVFPNTMNSNGDSQDVKDMQNAETSHK >Dexi8A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:8A:11432371:11437449:1 gene:Dexi8A01G0009000 transcript:Dexi8A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASMEVRKLEKLVFLLCCFSAITCRLHAQAQAQTTLQNPNSSPHTGVASRILSETGNRSESALSIRTRRIDPLDELRKYERGYNITDKHYWSSTIFTGRSGYVIAALWVIGGIIFLGTILLSKIFCTKRKERYTEFDYFLERYQIVTVILCILLAVFVLIFFSRVASAVALRGTVQFHSRAESVKEIIGATALQATATIYNITGAIEMMQNTSKLYNYRSQGWDHLNSTVEVLNSEAMEIQEKAEKNMRLVSRGINTLELVTILTVMLNLVAVLVLLVGSPLRLQKLCYLCIAFCWILTALFWMYFGLYYFFDKFAGDTCVALDEYQLNPQNSTLGTIIPCSEKLSGIIILHDVGAGIHDIIDQVNSNIYTIKSEYPVKQLDYICNPFTGPPEYQYRPQNCPFGTVTIGDIPQILKRLTCSDFGGGANCRPDDLSSAIDYDKVQSYTSSIQNVLDIFPGTERLVSCELVKAGFADIVGNQCAPLRRGARKAWAALAALSAAMALLLLLLVVAASGGARRHPGDDRLSVRHLTSSTNSEISEAEFAEMHAKKVRIRIYLLSSLSTGHLELVVLELELRLPPLSPWRSTARWKGARRPTVGVGGGAPATVAS >Dexi2A01G0025520.1:cds pep primary_assembly:Fonio_CM05836:2A:37129534:37131154:-1 gene:Dexi2A01G0025520 transcript:Dexi2A01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKATQEWTSCEAEEFKALFAELWNEKSCDRMEALAKRFPAKSIQQLRDKHDEVFVDMLFGEINGEPSGDYVTSDWHDWYKLLEGGAHDSVMGPLADTSLSEPSKQLLFEAVADQEDTQKSHCKSSRKRRQIWTAEEHRQFLHGVNHLGRGEWKFISMYFVPSRTPAQLASHAQKYFNRINKNEMDDTRQRHSINDIRLVNHGMNITAHSHIGPGKGKGIASGSPSPILSEDIGILHGLTQEMPDLGQVSNSPSNIGGQMAHGNHVMESLQWEVSGTPSPREPGNVLLDQTRAENRVCLSCNRSIGAITKRRRVDNKRILSGVMKQFQIVPINRHNLHPTVPPF >Dexi5B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:5B:5980570:5984909:-1 gene:Dexi5B01G0008850 transcript:Dexi5B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSEDDYEISDSEIDEREADVYEHLKSGDIKVKDRETYNCPFCRDQRKKYYNMNNLLQHATGVGSAANLQAKDKATHRALARYLRDESAGSSKPQSQLTMVIEPQNLGNRDDQFVWPWMGVLVNVPTEWKDGRQVGESGNRLKEQLSHFCPQKVIPLWNYRGHTGNAIVEFAKDWTGFENALAFENHFEAEGYGKRDCKLKKYRGSEMFGWIARADDYRCQGPIGGHLRKNGDLKTVGDHETEGTRKTDKLVANLASEIEAKNKHVRELESKFNETTASLDRMMEQREQLLQNYNEEIRKMQQIARRHSQRIVDENQKLHSELEFKMQELDSRSKELDELASQSDYDRRNLQEEKEKNQEKTKHLKMATMEQQRSEENVFKLAEEHQREKQAAMGKIIKLQQQLDAKQKLELEIQQLNGHLEVMKHMPGEKDSESKKKMKELSEELQDKYDEMEAMESLNQTLIIKERKSNDELQNARKELIAGFKDLAVSRANIGIKRMGELDPKAFRVACSKRLSKEDAEFTSAMLCSKLEAEIKDPNWHPFRVVCVDGKEMEVLKEADEKLLNLKEEHGEEIYALVTKALVEINEFNPSGRYPVPELWNYKEDRKATLKEAVQHVMKQWRTHKRKR >DexiUA01G0012570.1:cds pep primary_assembly:Fonio_CM05836:UA:25541275:25543683:-1 gene:DexiUA01G0012570 transcript:DexiUA01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSNYTSGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHSEVKLKSALQLQDLLDATRILVPRARSGRESDSDVEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVRIPKDNREGEEEYPVEALMVLKYGGVLTHAGRKQVQFASSLIFFSYFLSYVL >Dexi5A01G0030780.1:cds pep primary_assembly:Fonio_CM05836:5A:33637827:33641451:1 gene:Dexi5A01G0030780 transcript:Dexi5A01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDDYMASFSSCSNGAHKRLSRDFSSHVQEHAKKCYYRCTFHQDHGCPATKHVEQSNSHDPPLFRVIYTNEHTCSNTYVSDYMASSIHIQQIADASLRKAEMATPSLIHCDAGHGLIKEEKDAIISSLLTVINGCDAAASDVGHAAMQENTSALAQMARSSYESIPSVSPIPLAAPDELKMDLGEPPESHWFEALDLGWFTQTG >Dexi5B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:5B:20064485:20069883:-1 gene:Dexi5B01G0018110 transcript:Dexi5B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHSEPLDPAATADAPADSIPELPSPPYHIVTKPGQLPVEFLEPSAAHKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIQACQPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIQEQEGNKKPSDDYISFVTLLADPRYCGIPYPEKEEVRTLLRQDPNFWKIRPLSDMMVRAATDDVRFLLSIHEKMMEKLSKASLWRLAVRSELYCRCFCLNDNQFADWSPLPPVPDDIEADVYIPEVDILSVLDVPPGKMGLVIGKKGATIMEVKGSCNVEIHIGGAKGPPDRVGFPFIRESC >Dexi7B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:7B:14477453:14478608:-1 gene:Dexi7B01G0006760 transcript:Dexi7B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFSPTVKMTVTYNSNKQVFNGHEFFPSAVVSKPRVEIGDDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGRELMMYENPKPYIGIHRFAFVLFKQKSRQGVRAPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRH >DexiUA01G0024710.1:cds pep primary_assembly:Fonio_CM05836:UA:51246352:51249542:1 gene:DexiUA01G0024710 transcript:DexiUA01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVTPADTATLRPRPRIESHARARARHPPRAADHARALHPRKDWQPEVKLDLDTASTQLADDVYEVVLRVTVTASLGEETAFLCEVQQGGIFSIGGIEGNQMAHCLGAYCPNILFPYARECITSLVSRGCLMSTLNASMTVIGAGSYGTALAITLARNGHEVVLWGHDPKHIATLQRDRCNVAFLPDVPFPDSLHLESDLATALAASRNILIVVPSHVFGEVLRQIKPLMRPDARIVWATKGLEAETGRLLQDVAREALGDDIPLAVISGPTFAKELAAGLPTAISLASTDQAFSDDLQHLLHCGKSFRVYSNPDFIGVQLGGAVKNVIAIGAGMSDGIGFGANARTALITRGLTEMSRLGAALGADPTTFMGMAGLGDLVLTCTDNQSRNRRFGMMLGEGMDVKGAQEKIGQVVEGYRNTKEVRELAHRFGVEMPITEEIYQHENLGSALSYMLANKLASSIMPAIAIREVVEEAYAADPEMIASAACDIQAVRTRDPAVDKYSTPLLYLKGFHALQAYRIGHWLWNEGRRALAIFLQNQVSVTFQVDIHPAAKIGRGIMLDHATGIVVGETAVIEDDVSILQSVTLGGTGKTSGDRHPKIREGVMIGAGAKILGNIEVGRGAKIGAGSVVLQPVPPHTTAAGVPARIVVW >Dexi9B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:9B:4058275:4059585:1 gene:Dexi9B01G0006750 transcript:Dexi9B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTSPCYCKIRLGKMPAQSVPAPLVPFDGAEQAPASGALAAAFHLSKADLEWFNGKPSLFSSRGEATLKVAVYAGRKGSTCGVSSGRLLGKATIPLDLKGAEAKPAVLHSGWISFGKRGGGKGSPAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRPGMQPEREGASGKERKGWSVTVHDLSGSPVAMASMVTPFVPSPGTDRVSRSNPGAWLILRPAGDGAWEPWARLECWRERGGAGTSDSLGYHFDLLLPGVDHAVPLAESSIPSSKGGKFAIDLTAAQPLSRGGTPGCSPRGSGDFSNWPLGNYRGFVMSAAVQGEARCSKPTVEVGVAHIGCAEDAAAFVALAAAVDLSMDACRLFSHRLRKELSHPQADLLR >Dexi9A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:9A:4770904:4772592:-1 gene:Dexi9A01G0008050 transcript:Dexi9A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCTADPRSSVINNPAMIPRFNGSSAVNSYTSLSTVTQSIGASTPKLKKVDNLDGHYPGFPLDSLSVSHSRHQIAISIDLEQNNSQKVEHSERQSHGKGMNNFNLNETLADCQEDGLVELDGRCGASFQHGKDGGSVFGMSWLKNKATCANPTALEKPGKLFGHSFRTGTELKNNKDHNEPALNIRNLSDSASTSLGCGINKDGPSEDIITRTLLVCNKAQESAARLPLSCQKHVLKDGQAAEGTIKKSSASIMSFIDLNDDLPNEDNSEESVVSHECQVTPLQNNQPKRSFVIDLEVPACEEDAAWTFDQESAPPGKFVAHQESDNASVTTAMAAAENILVLSMNVQAAEEASDDMLQWFADLAVSNINDLAEQAELQAGTNDSSDDALDSFESLTLKLEEAKIDEYWSRPLEPAITTDEQTVSTAHLLTKPRRGQQRKRRQKRDFQKDILPGLSSLSRPEIIEDVQLLEGLVQASGGSWESSLTRRGRYGGRTRGRKPRKTVVTVTVEEEEIEVSPPPAKPAGTGDLEADERGMIGWGRTTRRCRRTRCPSGNNIAAAS >Dexi5B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:5B:3809304:3815069:1 gene:Dexi5B01G0005620 transcript:Dexi5B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSTSSLLAGGYDGGPVQEEKGVAGSPLSSSGGGRACRHKPGGAARGQMSTRGGMSHGGEEVDAATEMSLIDLVSYEGSTNKSTARPAREPHASFSVKGLGHIKMETPPHSPKPIKRVLPLPPKAMRSTTTNKAKRSIPFDFTQALDSLNSINMFKEQRLSAKMDSALNESDYERRKQFNCYFPDASENHNADLYLEDEDLLYEPQAEKEWQLKHRRSDGNLTDKDSDRLWRIDQFDSDDHFPNQRQEHFDTSGYGFKDRYYPERRNSTRSCSGFKNTGIPSSRELFPDHSLMDDDEGTRLFEWEGCPPNKKIFNSNGAFGPSAWSFDTIDDSEKRRSPISEESCSSVAELLEALSLFIARDNPGVDFKAPIHSRSKIRDVGDHSKLKTMFQSPFIGEEVGIEKKIIANLSPDNSDVQYQLMLEQRVLRRLCVQKIVVPTPMKDKLNKDTRFVIVHDESRALPKSV >DexiUA01G0028070.1:cds pep primary_assembly:Fonio_CM05836:UA:60300365:60301117:1 gene:DexiUA01G0028070 transcript:DexiUA01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYLSWWPTSSWMSPGAALFLLCNVLIGAIVVTSRGEQQRGRAAAAASSTRRLCRSASSMVLDRLRSFSVFSVHPIAVEEDYHHSPSLELQAEEEEAVEEPAISMAPPASAPVAAPSATSESAMEVAETGGDKPVSVSSEEAQTQCPAWQGHAHQEAPSSTAFVASAEAITTVAAERPATVAESIVQRARACRREVEEVLEGKAALNARAEVFIRQFREDLKLQRLNSIINYTRALRRGDGMAPTAAE >Dexi5B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:5B:813181:818046:1 gene:Dexi5B01G0001290 transcript:Dexi5B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCPEARGQGRTGDHPTPAVVHRRAWWLVILCSGIGRKIQRKAIVVARRRWRSGRDPRRESDGLELRFQKIRGSWIDGSRPLRPVIGRAGTVDGTHHDASAAWLHSAARRYGRERVHTQQRHTCAARERSKQGSVRLTPRGGV >Dexi3B01G0023480.1:cds pep primary_assembly:Fonio_CM05836:3B:18279838:18285482:1 gene:Dexi3B01G0023480 transcript:Dexi3B01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFGRVGVSPVPTEQKLRTGGASLLGPRVVVWFRSMMLSTAVWFGSQFAPELDEARDTLPPACPAQHGLGLRNHRIVPAPIAKTIRHHPLPNHVLLCVSALPPVAPSLNSLRTTSQRLKRERKRARMAAIVVTPHGMAWPWPWPWLALLCLLSPMLRVPAETASYIVHMDKSAMPRAFSSHQRWYESTLSAAAPGADMYYVYDHAAHGFAARLRAEELDALRRSRGFVSCHRDDATAVKRDTTHTPEFLGVSAPGGLWEAADYGDDVIIGVVDTGVWPESPSYRDDGLPPVPARWKGACESGTAFDGSKACNRKLIGARKFNRGLIANENVTIAVDSPRDTEGHGTHTSSTAAGSPVTGASFFGYAPGTARGMAPRARVAVYKALWDEGTYPSDILAAIDQAIADGVDVISLSLGIDGLPLYQDPIAIGSFAAMERGVFVSTSAGNEGPELGFLHNGTPWTLTVASGTVDREFAGVVTLGDGTTVIGDSLYPGGPVSLPATGIVFLDACDNSTLLAKNRDKVVLCEPDSLGDAIFALQDAKVRAGLFLSNDSFMELYEHFSSPGVILSPQHGPLLLQYIRSSHEPKAAIKFEVTILGTKPAPVVATYTSRGPSGSCPTVLKPDVMAPGSLILASWAENISVASVGSRQLYSRFNIISGTSMSCPHATGVAALLKAVHPEWSPAMVRSAMMTTASAVDNTGESIKDMGNRNHPASPLAMGSGHIDPARAVDPGLVYDAAPGDYVKLMCAMNYTAAQIRTVAQSSAYAVDCAGASLDFNYPSFIAFFDPNGIGGAGERTFTRTVTNVGNAPVSYSAQVAGLKGLTVTVTPDRLVFGAKNEQQKYTLVIRGQMNSKTGDVLQGSLTWVDDAGKYTSELETVTGPVVLYSTWVIPCRSGHPEFWFGSIEHLPARRERRETRGSSTHRSSAMASAASGDEKDTAAMVTLISSDNERFEVAEAAATLSQTIRHMIEDGCTDGGIPLPNVTGKILAMVLEYCNKHAPASAEGEADAEAAASSKEDLVKFDKAFVEVDQATLFDLILAANYLDVKGLLDLTCQKVADMIKGKTPEEIRKTFNIVNDFTPEEEAEIRKENAWAFE >Dexi2B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:2B:6740416:6746037:-1 gene:Dexi2B01G0006770 transcript:Dexi2B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPASTSWFSGLVRSSSSSMAGGVASAPAAAASLPDAPAASKKSVVTAAAASGGPKRKQLKGALFKYGPKSAQVRVPFPPRARLQSGRTLAAGILEEFWSSRLWSSAEVWSSRCASSRHSAIALLSVAFRTGDFNHQVIFIGGLTDGLLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSEGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMIGEGHGMDLMPREANPDAPITAYRYHSLCSYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKKALVERLCRALGGAEKVEIEWGNHSLSNRVQEAVRAIVDFVKREGPKGWDDPWS >Dexi2B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:2B:5266406:5269334:1 gene:Dexi2B01G0005700 transcript:Dexi2B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRPSTVSAAVFFTAAVLLLVAVQDGHCAQLCMDSSFPRTINGSLSFCGYNGTSCCNATDDAAVQKQFAAMNISGTPCGDIVKNILCARCNPYAGDLFTVTTTERTVPLLCTTTAVSSRLSTNPPETTTTTTTDYCSEVWDTCKNVPIPDSPFQAPKGGAAAPKLTDLWQSTTEFCAALGSTSGDSSSPCLSGDGAAFNATTGGPTSLPVNGMCLERIGNGSFLNMAAHPDGSNRVFLSNQAGKVFLATVPAQSSGKAMEIDAANPFLDITDEVHFDNEFGLMGLAFHPGFATNGRFFVSYNCDKTQSATCAGRCACNSDVGCDPSKLGVDNGAQPCQFQSVIAEYSANATSGSPATATAANPTEVRRIMTLGLPFTTHHGGQILFGPDDGYMYFAMGDGGSVGDPWNFAQNKKSLLGKIVRIDVNTMPSGNTTSGWGNYGIPKDNPSSSDPTFAPEVYALGFKNPWRCSFDSGKPSYMYCADVGQPYTPLSTPGGNTSVASIDAIGPIMGYAHNAVNSNVGSASITGGYVYRSTVDPCLAGRYLYADLYAKSMWAGTESPEGSGVYNVTALPFACSKSSPIPCDVAAGSALPSLGYIFSFGEDNGKDVYLLTSKGVYRVVDPAECGYACPIKSSAPGMSQTPAASAGTAVVARDPAALAAMLVGVLVVLVSLLTSV >Dexi9A01G0045120.1:cds pep primary_assembly:Fonio_CM05836:9A:48721267:48721485:1 gene:Dexi9A01G0045120 transcript:Dexi9A01G0045120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRMLYHGGLTQQVPAPLEASRYAAVVRPPRGSMAAGATPQTPLQRPERALPQHCLAAMEDWREQISGGGL >Dexi5B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:5B:7300405:7300796:1 gene:Dexi5B01G0010330 transcript:Dexi5B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVVSSKPCPLSKAFHILNRFYDTAGSDLPSADCATYLHTTSDATKDLVLFRRGLRAYQQQSSANLEAHDYEGEIEHQDREREGSVAAPTGGSHRDSAAEVELDASAGEKKSKKKKKNKEDRG >Dexi6B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:6B:16455175:16455836:-1 gene:Dexi6B01G0010210 transcript:Dexi6B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLQGEVQLNIPASKAWEMFSSNEIASKVNPEMLAGAQYLEGDGSPGSLRLFRLGPGLHHFVKESVQKIEKVEPGRCISYEVIRGELKEMYDPYHATFSFVPVPGKEGEQCIAGWKAEFEPISPTAPLPENAKDAALGFLKQLESCSASN >Dexi9B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:9B:11721740:11722507:1 gene:Dexi9B01G0017000 transcript:Dexi9B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRSLLVVVNPSPEVVVIVPAAYLLVELCAAQPDRALARSAASSTYRPGSEDAQLVALVSPRQSARPHDLSGARPMAPVAKPTAELDALAARVLNRATTLDW >Dexi3A01G0031350.1:cds pep primary_assembly:Fonio_CM05836:3A:35828897:35829145:1 gene:Dexi3A01G0031350 transcript:Dexi3A01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVGSLELELKVALAKNHELEAQVMAKKREYDLVKIENDNLLSEVLNIEKKHVLSEPEVKEAQDGIGCDNGDDGGTFKGI >Dexi5A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:5A:20843566:20848589:1 gene:Dexi5A01G0017570 transcript:Dexi5A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADVLETEESRDLGQLPNNKRKRNKIEAANTELEGGSSDTGTEETQQRMTVPGAVGEGSSASPSASVSTTQRLRFPPFPKSGNSKDVRKWCKECTSIRQVLAKGCAGGVQQHLIELTAARGGAAEQRGPDGEAELRAAGVDAQATGKGLADLVAPPAEPGLLHRRPTARLRNGDLLASSGRRKKTKMGARGSRNSGEVKSYLLDCHKTVLDGQFLFFNDHYGIALLEIDADLQTQRPSIGSVPSYGQEGGNGGAVVDHDGNVTGMAFFCSPHPAVLSISTIMTCIDMWLKFSCIARPIHGLGIRTIELLDVSLQEEICLDHGIDSGFIVDTVPYDSAAESLAILPGDVIVSFNGVHALTLPQLEDYLLSLGWIFLNNSSSVVNLKLEVYDLMKQSRRSITLPVQFSDA >Dexi2B01G0031460.1:cds pep primary_assembly:Fonio_CM05836:2B:39601223:39601618:1 gene:Dexi2B01G0031460 transcript:Dexi2B01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSIVMGRWLKPDVYPLIAAMSFVTGMCVFQLTRNVLMNPDVRVSKSNRRSAVPDNAGEGERYSQHAFRRFLSTQRPEVFPALNRFFSESSSAGDK >Dexi3A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:3A:16080371:16082889:-1 gene:Dexi3A01G0020240 transcript:Dexi3A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLPLVLLCASSSSLLLLLAPTTNADNLTFYLSSYCSTAMNYTRGSAFEANLDALLSSLPSAAASSSGFATNTTGAAPDQAFGLAQCRGDISASDCRACLAASAQQMATTKCPGQKSAVLAYEGCLLRYSNASFLGELDASNPLFMCDLYNATDLPRFAASRDALMRGLAEEAYASPRRFAAGSANLTLDQKMYGMAQCTRDLGVEECQACLHNAVSKIQVYRNCSGRRGGRLFNWSCSIRFELAPFYNATAADPIMSTKAGLGDDEGMRSSEPLQYNLSTLRAATNNFSEENKLGKGGFGPVYKGTIQNGKEIAVKRLSTISQQGIAEMKNEIVLVAKLQHKNLVRLLGFCIEEEEKLLVYEFLSNKSLNKFLFEPSKEQRLSWGQRYKIIKGISRGLLYLHEDSRLKIIHRDLKPGNILLDVDMNPKISDFGLAKLFKVEESGVENTRHIAGTYGYMAPEYAFRGIFSTKSDVYSYGVLVLEIVTGRPVSDDLLSLVWRHWSLGSVPHVLDDYLADETDKQDMLRCIHIGLLCVQDDPQVRPRMASILHMLDNRIITMSAPTKPAFVIPGPGELPMAATPEPSINEASISHLEPR >Dexi1B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:1B:3199459:3204949:-1 gene:Dexi1B01G0004010 transcript:Dexi1B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERLHALSASINALQLVDPSFAWLDSVCEADDQISPSKRPRNLLMENSAFGTDSELSRLQFCVDIEILEKEYTLTKAQYMLSTVKSTFNFSESQSIESLLDILISEKLYDLAFTIVLKFWKESGMKRKLEHVFSAIAQQCCPNRSDKPSQQLLLLPSSEDDSWDGNTKSIAVAQHLLGSCNWETLELFMEKYNNLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTNKSGNRISWGMSGKEADPAALFRLYINYGRHAEATNLLVEYLESFASSPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >Dexi9B01G0049200.1:cds pep primary_assembly:Fonio_CM05836:9B:47953091:47954583:1 gene:Dexi9B01G0049200 transcript:Dexi9B01G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSQRRLTRRQDTNIGCMTGLIRMFHSRHDAKLLLDRKQGSRRRHTFGGFPGRGHSRNNSRDLDEIDVDVHP >Dexi2B01G0033330.1:cds pep primary_assembly:Fonio_CM05836:2B:40945978:40946281:-1 gene:Dexi2B01G0033330 transcript:Dexi2B01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDQSSSHCSGIAGSHEARSKSDGRYAPCPHSARAARFRVMPRPLRRWQPGCGATAAYYIPTDHLASTQQSSVEFEPTTT >Dexi7A01G0020500.1:cds pep primary_assembly:Fonio_CM05836:7A:29283595:29286294:1 gene:Dexi7A01G0020500 transcript:Dexi7A01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSIGIMDGAYFVGRGEILHWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLRIVKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERGSKGSNKSSKSLQTNRLSGGDSADGGPGVGKVCNNVAEGQYIEQIHQLSEKIADLKVSVDSMEKERDFYFSKLRDIEILCQRPELEHLPMTKAVRKILYAADAKDSPLPDANDIITKSPGLFSDETE >DexiUA01G0004970.1:cds pep primary_assembly:Fonio_CM05836:UA:9097017:9097277:1 gene:DexiUA01G0004970 transcript:DexiUA01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARLGGGGGFRGRSPDGDHRRCLGDGLLPAAAVCVEGTAADGVEGTAALDGGVLVREAMASCGGRQYRREARRRHRMAAYTCGR >Dexi9A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:9A:14960549:14961352:-1 gene:Dexi9A01G0019960 transcript:Dexi9A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAGWAWVAEVASEELAKLEAAHPGRRLALRKAELERLVADPGLDAAAFPLISPRIAAVTTTTDTDDAPAPSQPTPSSPAAARRKPPTGGATVRAREVGKRRRVTSTPPGGAKDRAEMAIERAERCLEMIRAFKQGLRAAWIH >Dexi2A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:2A:4063011:4066362:1 gene:Dexi2A01G0004510 transcript:Dexi2A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRLVEKDGVTSVWGITGLGKSSLVSAQYYRSLIAIFEKPAFTVAYLLDAYGRAKYERMVEGLDPIQWCRKLLGAGNCLIVIDDLRSTEDWDMIKAVFLPHLRNGNSTMVVITSQATMARHCVVNDESKMVNIKGPDADTAFLLFKREVWGEDCDLTPEEEKLSKDTLASPNTQRSGRHLTIRSSWDRDINVFKSIDFSRLQSLTVLGEWRSFFISDKFGMRVLRVLDLEGSMDVTDHDLEEIGKLLPRLKFLSLRGCRRIKHLPDSFGGLRQLQTLDVRHTSVVMLPPAIFQIEKLQYIRAGASAWHANDDMLTIVPVVYEKQASTQTENLEGRSMQLVAATSSHAQDDTAPVPPAMDGEHTSTAQQDGDGSSSRQDGDETARIQPAVDRDQTTLPLQEDRHHATSTQGQTDPPQATQKNRTWSSWLGFSKKSKPCASAHNEGVDIPAAAEIGKLTALHTLGVINVNGAGGNKAILKKELDELTQTRKLGVSGINRGNIKDLFSAISGLAIWSRCHCDLMKIISRMACPCQSQ >Dexi5B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:5B:19997444:19997706:1 gene:Dexi5B01G0018050 transcript:Dexi5B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVIVSQASVQRCSATVAFLNKDPFFPPTSNRPDLHDFFVNLANEMVGSKNDFAFYAIIPPSTYYYLVGMYN >Dexi6A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:6A:1115037:1115866:-1 gene:Dexi6A01G0001280 transcript:Dexi6A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVTKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNGERDQSEALLYTTGNIRTDR >Dexi7A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:7A:16895348:16898133:-1 gene:Dexi7A01G0005660 transcript:Dexi7A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSSAHLAFRRSLAAAPGAASLGKGLLQASDEYTMQRLLHSQPLLRCFTSDALGPNKNSQLSGPEIAAELKPHQNLRGPNFVSQNLHSKGQFNNFINTHNGGTLSERAGADKPVNLGGRLPFAASRFLEREQYSQKKRDFVHVLLKRNKTFVTVTDASGNKKTGASAGCLEDRKGRSRLSRYAAEATAEHVGRSARKMGLRSVVMKVKGAAFFKKKKKVILGFREGFRGERVRDQSPVMYIHDVTQLPHNGCRLPKQRRV >Dexi6A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:6A:21377744:21378592:-1 gene:Dexi6A01G0014040 transcript:Dexi6A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVAKPSPLPPYPEMILAALDGLGDKNGSNKSAISRYIEGKYGELPPAHASLLTAHLARMKESGELIFLKNNYFRSDAPDAPPKRGRGRPPKVRDPNAPPPPPKPASTGSGRPRGRPPKAKDPLDAAVAQATAGMPKARGRPPKKAKTESAAPAPAGDGSTPVKRGRGRPPKVRPVVPSETAAA >Dexi7A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:7A:21282691:21285203:1 gene:Dexi7A01G0010500 transcript:Dexi7A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASSPSPHCYSRRRGALLDARHVFDHVPQRRLAPPSAGHRAEHSAAARRPARCLAVSAFSPACRAASSVPRLFRTFLQIPTASSTPRACSTPSSFLPSRRNFEGYIPRSCSGSSLKIYSRSSLLTLQPSSAIMVSSQLTSSDVAQRSEEWFALRRDKLTTSTFSTALGFWAGNRRAELWNEKVFGPIEIKLADTARSAMDWGTNHESVAIEQYTSITGKLVGTLGFVVHTEANSGWLGASPDGILGCEPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGNVMPARELVILGKDAEARSFEPQPKHRLTNLVLFRSRKLASEAKLLCMDVGGHVEFFQ >Dexi2B01G0026110.1:cds pep primary_assembly:Fonio_CM05836:2B:35253149:35254502:-1 gene:Dexi2B01G0026110 transcript:Dexi2B01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPANVREFWRTQRADGPAAVLAIGTSNPTNCVLQDEFPDFYFRATKSEHLVGLKEKFKRICQKLGVEKRYLHHTEELLRAHPEFLDHHSPSLDARLDIVKTAVPELAAEASRKAIAEWGRPATDITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAAALRVAKDLAENNRGARVLVVCAELTVLLFARPEEGCFQTLINQGLFGDGAGAVIVGAAADDDPAMAAGERPLFEIVSAAQAIVPESESFIEMHLTRGGYDGNISVREVPVLIGDNIERCLMDAFEPLGDIVGAGWKWNDMFWDVHPGSSAILDKVDDVLQLKPEKLAASRRVLSEYGNMFGVTVIFVLDELRRRMEKGEEVGEPEWGLMVAFGPGLTVETMVLRRCVEQGTGGAPEEEEKLTGA >Dexi4A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:4A:17417332:17420072:1 gene:Dexi4A01G0014910 transcript:Dexi4A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTASALSVGVVLRGLIRVIVTDAHRAAIASATPRWASATRDPRSCAARARRSYSRCSSTRSASTFAYDGPCRRSVAKESGVAAEYKLEKRVEAVGGVRCLTKLDMKLNDTLPKIEVDPETYTVTADGEVLTCQPTPTVPLSRNYFLF >Dexi3B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:3B:4814050:4820847:-1 gene:Dexi3B01G0006910 transcript:Dexi3B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRFVLLDDDDDDDDEEVPVKSKPAGRSASCSGPVSWNSNPQAQPPQQPRRRVAAAGDEDEDEECEKEAMRMADEEEIREQEAETHTLIGRGRPKRRREPESEDEGPEEEDQQEEDPREEGDSEAVPVGNPVRVTGKGKKQKKHYNSFKCEGDTFELEDPAMFLPEDHTQKPYVGIIKDITETEGSLNVTAQWFYRPEEADKKGGGSWVARDQRELFYSFHVDDVPAGSVLHKCVVHFIPQHKQIPSRKQHPGFIVQKVYDLVEEKLWNLTDKDYEDNKQQEIDLLVKKTIDLIGQLPDLEPEETPVDNSDQLSNKQGLRKRHVNPIDVTREPPVGNSEQFTKAETPGSDKLRNYAILVKYRALNGDHHRDKWLDKLVECIPLASKENAGASHADPDAAAEGSTNGSSVMDVNSAENEKYPPEVVVPIMAALESSAFEALGNDYAKYNQKLRQLLFNIKNSSKLRRRLMDKDLDPPVVLTMSPDELKVGLTPAERTTEPEESRQLQMTDTRCRRCNEKKVGISDIIHAGYRDRYQLECTSCGHTWFSSIDAITTLTVDAPSTAANVGTAPWATAKFDVVEKQPTSPRDRPDKRAANDLQKSTAAYKPTLDKQESFVKSKQEEPSSAPPNYE >Dexi2A01G0030590.1:cds pep primary_assembly:Fonio_CM05836:2A:41490321:41493998:-1 gene:Dexi2A01G0030590 transcript:Dexi2A01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPAISGEVGLRLLLAPLSSNVVIRTASCAVGIGLPVYSTFRAIEKKDEKEKERLLLYWAAYGSFSIAEVFADKLLSSVPLYYHVKFAILVWLQFPSNGGSKHVYKKYLRPFFLKHQAKIDRFLNILSKELTKFVSRHEDEIHFIENMAIRGATTANYIVNGLDQPDESQAINAIEGLNPTAMEDTDGLGTET >Dexi9A01G0038130.1:cds pep primary_assembly:Fonio_CM05836:9A:42423101:42425113:-1 gene:Dexi9A01G0038130 transcript:Dexi9A01G0038130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAPQAVLLGLLLLAGLAAAQRGTTPAAAAPAPDPGCNGIQLTYSFQDRKQIRPTVSDKNKQPYAFHANATVLNSGTRPLKSWAILIQFAHDEILVGVDGAVLTGGGDLPYNTTEDAGNATSFSGYPQTDLLTPIATAGDLSQIQATIGIVGTVFTRPKPFVPLPTKLTLDDPDYACPAATVSSFDNNTLSTCCVLTPEAEANATVIDANTTDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIYSMKGAHPTEVDTSGCIYGAAGQYYKDLDFSQVLNCERKPVILDLPLSRYNDTQIGKIDNCCRNGTILPKSMDEKQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTAFPDPSGLDSTTLAVATWQVVCNITTAKGAKPKCCVTFSAYYNDSVIPCNTCACGCPANKPGPTCSSTAQSMLLPPEALLVPFDNRTQKAVAWAELKHYNVPRPMPCGDFCGVSINWHVSTDYNKGWSARVTLFNWEDVDMANWFAAIVMDKAYDGFEKAYSFNGTAVGNNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKQQTPGINVVAGDGFPKKVFFNGDECAMPQRIPMSSGFRTQLSSAFALVLVLAASAFVLLQQ >Dexi9B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:9B:3518921:3521314:1 gene:Dexi9B01G0005940 transcript:Dexi9B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRDKFQQDIAPLIWHSFGTMPALLLEILSVYPTLCHATLSQDQSNRVCNALALLQCVASHPDTRMPFINAQIPVYLYPILNNTFKTKPYECLRLTSLGVIGALVKCLPCAKHSPPHRIERRDIQPSPRAQSETQGSGDRDVTARRWLHQLLHNIAMANIGYSGPHVGLNRIMGM >Dexi2B01G0034750.1:cds pep primary_assembly:Fonio_CM05836:2B:41977055:41983624:1 gene:Dexi2B01G0034750 transcript:Dexi2B01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTSGKKSLNTVTLLFKLPYYTQWGQSLLIAGSEPPLGSWNVKQGLSLSPVHQDSELIWCGSVSVAAGFTSEYKYYVVDDNKNVLRFEAGEKRKLVLPEGVQEGDVIEIRDWWQDASDALFLRSAFKNVVFNDTEGVKKEVQSVSLNKSLDPEGNPSLELGPNREVDIDLSSPKQSRYVILSDGALRDAPWRGAGVAVPVFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADVKEEIQQAKKHLDKKDVDYEASLSTKLSIAKKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGHFSQFSKEKLEKLISEGTLHHDVIRFHYYVQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAATGLVGKFRPSIPLSQNIVLIRDPEDATKFYPRFNLEDTSSFRDLDEHRFVLPHVMIALHYVRGGKKMKEEEVDLLALKDKYTTRPAPEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKKVGGADESGEKLSKVQLNGKA >Dexi9A01G0026970.1:cds pep primary_assembly:Fonio_CM05836:9A:31177187:31179477:1 gene:Dexi9A01G0026970 transcript:Dexi9A01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADDERPLLHLQPPQVESSEYTRDGSVDINGQPALKHRTGNWQACFLILGVEFSECMAFFAISMNLVTYLTTVLHESNVAAARNASAWVGACFFTPLFGGFIADTYLGKYWTIAVFIPVYFIAMVVLMVSASLPIFTTSSDHGDNIHRVIVYLGLYLAAIGNGGVKPCTSTFGADQFDINDPAELVKKSSFFNWYYFLISISSLLFFDKAAIASIPSDNEFMIPESSWRLCTVTQVEELKMLLRIAPIWASFLIFFSVSAQMSSTLIEQGMFMDNRVGSFAIPPASMSIFGVFSSIVWVILYQTVLVPLARHFTGKEKGFSQAQRLGIGQALSMLTMVCAALLEMRRLAIAEAKGLTDRNVPVPMSILWQVPLYLVHGAADVFGGIAMSEFFYDQSPETMKSLCAALGQLAIASGSYINSLMLSVVAVAKTSDGATGWIPNNLNEGHLDYFYWMLAFLSFLNLSLFVHYSVRHRAKTSF >Dexi9A01G0033300.1:cds pep primary_assembly:Fonio_CM05836:9A:38187732:38193885:-1 gene:Dexi9A01G0033300 transcript:Dexi9A01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEADYPAIRRLGRLFRITEDFHADKPHNKASKDIDEGHSFVEDLELANLMGSLGLPVSFSTSKVNKNKGNKGMTKRRHAPREAGNTQIDDVVRICTNTEDRESDVPLMAVLEHMNSCKSSGTAIGYHDTDKMLKEGSPYVEEQEESGCSTIYSAEKAPAYEAENQCDLGTCERSDNLGNTAKAESPIQENQTADSVLLESEEMSRHDSVHDHSYQDIAGNISNDIIKYWTQRYSLFSLFDSGIKMDAEGWFSVTPEPIAKHHASRVGAGVMIDCFTGVGGNAIQFAAKCKHVIAVDIDPQKINCAHHNATIYGVNDHIDFIVGDFTNLAPHLKGETAFMSPPWGGPDYAKVDVYDMNDMLKPCDGYSLFKLGTMIASKVEKNFLNGKLKAITAYFEEQDG >Dexi5A01G0028000.1:cds pep primary_assembly:Fonio_CM05836:5A:31333246:31339765:1 gene:Dexi5A01G0028000 transcript:Dexi5A01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENAAGDPSTDPPPAWISAPIVTVTMPRSTAHPSAYPPPPTSPPVTVVTVSIAFYGPVENLTHTVRVDATPCPVDISPATQVAVGLSQSEGSATERRQSEEAEPSPNSLRSIPIMSSPAAEPPPKKRKLADTQDPGPSSTSVPVAPPSPAPLPPQTLAAAAPSTSSPPSTEPESLPSEDEKLQKRRNREELSKVMTHYRRIRDYIGQRKDCGLTPELEQDYLYLISASRGCESVQCFLSLLIPRFASHCPTALEAATKVTINMYKCNMDTVTRGKDSSAIAYKTIRACIIGLTDICSAASSEAPKSPVIRGICSEVYRTVLSFFISTFEGKDIYRMDPRRRLMLQDPLKLLETLKLESENASQPAFDSLFELGALCLLCIFLLFPENILEACFTLLASAESDDIKGEGLYFLDQLTCRLNSNAASDALDEKIDGQTSRTEGNLSGTKKTVDSNPLSNDNIDLETAMVDSNECYITLARVFLTTASTKKSGIQEFQDIMQAMQHQWIDLFMASPPPNTNRAPQGIHPCTPVSTHRGSVIPPPPIQTSFIRPVYHGPGSPWENTTPNPPPFTHVSPCMMPGSNFRVNPNLPFIPSSVPPIAQLPGGSAQHSEKMPPPPTPPNVAPPPFKHLDMPPPPPLPISQPPSVPPPPPPDSPPPSQPIADSSDLQKPCSHPRWQGFLAKSSLNYCRVYASRVELDACKYENAVSEPAEWPEKLDVTKRTDFQHVKTTFSNTPPSKVSFQIILHFRNICSLV >Dexi7B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:7B:18776005:18776244:1 gene:Dexi7B01G0011780 transcript:Dexi7B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNELQLRKSIVAEKMIDAAIAQAEADKEKAAADKEKTKNDKVDKYIQLLDRDTSDYDDDAKARHQRLLDYLARDIGLL >Dexi8A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:8A:11920052:11921689:1 gene:Dexi8A01G0009220 transcript:Dexi8A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAKIMVLLSFFDDTFDSYGTLEEVHQFNQAVQSWDEGAAKQIGNYYAYVMSIFSKTLDEFIAADGASQVGINCFKETFKWLCHHLV >Dexi8A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:8A:22991024:22997777:-1 gene:Dexi8A01G0013020 transcript:Dexi8A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKLAEGNGPWLRSTNSFLGRQVWEFDPNLGMPEEHSEVEKVRQEFVRNRFKQKHSRDLLMRMQFTKENPVELDLLGVKLGEHEQNDDGGWGLHIEGHSTMFCTTLNYVTLRLLGEGPDGGDGAMKEGRNWILQHGGATFTTSWGKFWLAVLGVFDWSGSNPLPPELWLLPYSLPFHPGDYFLEAHIYTHSNIYGYDGGHLWDAGFTVQAIVDTGLIEDFSPTLKHAHTLIKNSQATLLLSTISPGIVGDPLEDERLYDAVDCLMSFKNNNGGFATYELTRSYAWLEALVLFRKLYPQHRRKEVDNCINESASFIESIQRSDGSWYGSWGVCFTNGTWFGVRGLVSAGRMFRSSPAIRKACEFLLSKQLPCGGWGESYLSCQDKVYTNLEGKRPHVVNTAWAMLALIDAGQAERDPEPLHRAAKVLINLQSEDGEFPQQVSNYI >Dexi9A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:9A:15043691:15046036:1 gene:Dexi9A01G0020080 transcript:Dexi9A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEESCSGAGDDGSDRQRCSCLPFCFWGGDSSGGWADESGGAPAKRRRWRRRRRLRLTWLAWPWSFFRKGGENKDAGGCGDGRDKGRKKKKKWRGRRLLLLLTASLQPKKALESVVSGDGALLPAKVSSFGDAKKKSNRKATRPTADDDGWSSGSSRQPQASTTTASTRWTSTAPARSRPETTIPGGPTGGGTSVAAAGRIWRAPSRRHTFHDLDGADRPGGGLWTAATTLGVIVLFGRVTAVVFLCSCLYGARFVRVRLGGRAKAKAKSSGGAGGSLSSGRWSGDPAGVVAAEKKVVVAEHQCEKKVGFIDRAGKTPSSRFGR >DexiUA01G0018670.1:cds pep primary_assembly:Fonio_CM05836:UA:39448234:39450426:1 gene:DexiUA01G0018670 transcript:DexiUA01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEGGEEEGWEAAVRAEVGAVGWWDDPDSADHRARFKAFTGQRRDWPHPTLLFWKDLLLRVARRLRLCSAPAHLVTSVWFARPGGLTPLCLPQVLEEMRVDGDLLLKSELIDPSMGSLYQLVRRVSQMAISSRRPVSQEDTLVFKSLVEERAADIARQLSGSHWTSACVITMSRFNSFFSGQEDAHAALCLLTQSGKARYLVARKQDPVEGVKFALNSAQVPAVSKLDHDTLHLVWTEEKLQDQLEVLDRRWEMLVYEAIQIGIQAMKENNVSIEEVNVHLKEVDELVAAQREVDAALGKLFVVVLLGFP >Dexi2B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:2B:30318650:30321296:-1 gene:Dexi2B01G0020180 transcript:Dexi2B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVRPSSEQGGVIAGREPLVLPKSPPTPPSSGGPQSLRMAFTTDGTPVFAPVSSAPPATATATYQPLGSAAAPSLPGAGGNGGASAHPGEPPAKKKRGRPRKYGPDGSMSLALVPVSMAAAAGTVAPGAPGPFSPEGAKTPSSAPSASPDGAKKRGRPKGSTNKKQHVPALGNIGSAGAGFTPHVLFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRILGGSVAGLLTAASPVQIIAGSFNTDGKKEPPKQQHHHQQQQQHQQLGPSPSDPSPAPLKVVPAGVTTGPSSPPSRGTMSLSESSGGPPSPPHGGASTGGSHGQQQSGGFSGMSWK >Dexi5A01G0037560.1:cds pep primary_assembly:Fonio_CM05836:5A:38873406:38879104:-1 gene:Dexi5A01G0037560 transcript:Dexi5A01G0037560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPITSNLLHHDSKSLSSYKPCFHPRQYCVGIAAQLEASSAAAARQEHRNRPAMADDDEPAAAAAGTTASSSRGSGAGAGDDDGDWLQLCLSGGGAAASSSSGDNHSMDPAAPPPPMELDLFTYDDDNRRNARMMMRPPPLFPLPLRSYHHQSSFGRGRHRPPAAPTTSPFMPPFIIKNSGDAIRVIGPPRRTAAGLWLKLEAAPNQDSNIKVEVVVKYVAEKLGISSRSHQLLPPFLLVKHVRDTIWCSTAPPEETLADLTPSLRTPAAAPTDHVMTLCYSTIRNSKLVLDL >Dexi5A01G0025330.1:cds pep primary_assembly:Fonio_CM05836:5A:29229643:29230323:-1 gene:Dexi5A01G0025330 transcript:Dexi5A01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPTMTVSSALATVLLCAAAFLVTDAASGHFLKAIDHNPVASACANMTARHYGGPRLTVAFCESALRSDKRNAAAKHPQDLALVAMDLVQIASAEAGAKVGGALSPGGLAKLSNETTLTLRYCKLDYEALARTVSVCRSIVQGYSPNVRGHHDDGQILLPYTYLECADRLMNAAHDCWDHIFHNDEMKKAVWKEVNEVAGRANLAKAMVEQMLGIVDDEDNSHS >Dexi7B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:7B:21191110:21193705:1 gene:Dexi7B01G0015140 transcript:Dexi7B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRRAARLLGLDSKLEKSLLIPFREIKVECTIPMDDGTLSSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHERGGKIIALGDVTGSIRNKAGIDIPALMKHRNDGGALKDFHGAEVMDSAELLVHDCDVLVPCALGGVLNKDNAPDVKAKFIIEAANHPTDPEADEIFAKKGVIVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNNELEKYMSSAFQHIKAMCKSLDCDLRMGAFTLGVNRVARATLLRGWEA >Dexi1B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:1B:26675761:26677103:1 gene:Dexi1B01G0020570 transcript:Dexi1B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPSSSSASSAQHQPPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGVRLSAEPAACPAPPSEDENSSGGSSFCYSAGDAAPPSSTAPATSSHGSDSSSISEYLTKTLPGWHVEDFLVDEATAAAAASNIGFSADASYQVGDVPALTHFHDSKRLSIHGGGLGYSAWMSQEQLFGDAAATGDVRASRERWVPQMMYGGAELAVAAGNKRSRTASAAAVAAYSYW >DexiUA01G0019840.1:cds pep primary_assembly:Fonio_CM05836:UA:41190454:41191926:-1 gene:DexiUA01G0019840 transcript:DexiUA01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSASIVTKFEAGASFVFGSWLCIANQEGELQHQLRDEVAAPASSRVQTTPRGSRKIPNSDTIPGSYPTRRSTWRPRQIQSRADHVNSTPIKGQDQATCPRLPGGLRITSEFRQGSTIRTVTTTPRVPRNPGSNPRGTKTSPRGSRASQFSFGLTNSAAVHQKHLKKKVLQPRGATSDLVMTTTPSGVIVHWPDMDPEAALFEANVPSTVRDILPLLPFQEGRELPAATGSRRTGPSDPGRQSCVLLNEHSDEEVVSDDAPTEEGETDADRELRIERNRNRALRRRFIKKKNLNSEFDKQDIFNSPVANILFGVSVFEGFQTTPEINLAKARLEAAAVMVDRLDGGRSSSKSKSSSRHQAPSAKRQSSHYGSSAGQTKDKNRPREEPRRPREEPPRSQRREEPRPACFHITQNDARNEIIRIREGRAAIQTVRAAQSPQQRRDEFNKLLKKRCPYHPDSKHTMGECSLLHRTFSKPTKKQKTDRDASH >Dexi5B01G0037070.1:cds pep primary_assembly:Fonio_CM05836:5B:36584404:36585774:-1 gene:Dexi5B01G0037070 transcript:Dexi5B01G0037070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVHELPPPPPPENGSGGGESGRSSPSPTASPEFEFWMVGRNPSTFPAPDLLTADELFAGGVVLPLHTLQAAAAAAPDADANAAAAATHEAQADGADDAKDADSTAALPGAEAEGAAAPAGEQQQQAPLAESAIAPTPDLPAVTFKWKDIFKAGGGGDTKERKKMERRVSSVSGNAELININIWPFSRSRSAGHSTGGGGAAAAGSLSKAKPQANPNATSISSSSINSSGNTNASTATAPAAPAPPPRKVSSAPCSRSNSRGESSAPAPVSIPTATAVATTAAAAAVTAPVVATATAVEEDVAAAATQDAVPLPTTTTTTSTSSSSSSASASSMLRRLVPGQGRNNNSTGSGGTGIRVGRPSPVWQLRRNKLQQTAAEQKQASAKKKSTSTSTPAAVEGVSNDKASEDKAAPSVVAAAAGCRMNNAGCSEGAVEEGNPPQGLFGLRTFFSKKVY >Dexi9A01G0023430.1:cds pep primary_assembly:Fonio_CM05836:9A:18790941:18794957:-1 gene:Dexi9A01G0023430 transcript:Dexi9A01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCTLIYKSVQVLKENSLRMDVTTSEEYASQSKLLQEFMNFPSIDNAWVLKTNNKGISTAMFSISQPDLLANNTRKYAMYSHIIRGGTNSLDFQWSPFPIEMTGVSVTVPSPSGSKLLVVRNGEKDCPTKLEIIVQSHLEKEIHVGQSMHGPLYTDEWFHGISWNQEETLIAYIAEAPPQPRPVFSDSGYRKEDSSEEDCNTWKGRGDWEEDWGERYSKKGRPSLFVLDIASGEVRAAEGIATSLSVGQVVWAPPSSSGRQMYLVFVGWLEHNGFQNTARKLGIKYCSNRPCALYAIASPYERHDDAIKPASDGKTDSAAAALNLTASISSAFFPRFRYAGISYRDGKILAFISAKQAVNSGAHNATDSLHKINWPSDWNMDKQLTVTEVVPIVMCPEDGCFPGLYCSSILSNPWLSDGCTMILSTAWRSTEVILSIDVLSGKVTRITPENSLYSWSALAIDGDNVLAVSSSPIDPPRIRYGQVTQEGQAHRWTWDEVGGPLIGAGSKVKSLLSHHSVTILKIPVANPSEDLSDGGKLPFEAIFVSCKDSSRSPTVVILHGGPHSVSVSSYVKSSAFLASLGFNLLIVNYRYEAPRVLVRRLYNRFLEKLDLRHVLQLLGFRAFVHDFGPKFLTYLKQDVQDCLTAIDYVISEKLIDASKVAVVGISHGGFLTTHLIGQAPDRFVVGAARNPVCNLSLMVGTSDIPDWCYMVACGTEAKQYASESPSPDHLNLFYQKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGVEVKIMMFPEDIHEIIIPRSDFESFLNIGVWFKKYLE >Dexi2A01G0022040.1:cds pep primary_assembly:Fonio_CM05836:2A:33953471:33967907:-1 gene:Dexi2A01G0022040 transcript:Dexi2A01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRAAVRRLELAERWRGIQEDEEDDDGGEPSAAKHSRLIRAKEEWYVRLRPGQVSPPLLTHFASFLMRRGGRFSHCYTFLVNLPKEEHIWCGYADIMGPFLETFHGFFSDEDDNSYLRIIWRRVSQEMGICTQCVCEHHQAQGFFDTEYRSDTVDPLLKVLLFLDEERVTEHLKQINTKIQLKKYDPSCHGAEVVSIMFEVLMYPVLLDDQSLANQFQLFIETIDESYELSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRRAVELEPLQPLMQKYISFLEAEVLPSTSEHSRPRVQLKRTDIWLGFKSFLGFLEAPAFEDGVLEKYPVFLNIVLNHVSDDTSDLSCAVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGHCFHTRDEKSHKEIFDLFLPFLQAFGVYDDLSTSFSLPDCPSYCTKRLHNVPSLPTDRMRSYVVMNEHAYSDDNVSSTFTWQVPNGADDGGGGKDCINTLKVSQFCALLLIIFKRLAIHVMIQIEQCGLQKQWTWEPMMGESLILALVDNNDDVRQVGRAILEHVSQARGLTSGLQFLCSSASSLSAIFLGLRYAFQLVGTRSVLADFHSFHHLFFVVCKLLKEVVAQKPPVAQPGKHSDGGFLRQPCSSMPDSLPERAVDVPNWEKFCTLLSATIWPFLSACLREGKELTGIKQCQISCVRLLDLLPLVYERININCRTQSCSTIVFQDPMDIAWFLHLIHWGKSPLLVITRHWKQCMLSLLKGLKASYTGAIQRCIEDLDNIVSHDAVDIDELEEGISNLKLALSKEASSTVKKGGSIDAPLFKEPIVSVPSPVQETHSGLDNVLNVERTKPSHSPDIHEIILLSDSEDNLPVADVSSEEVLSSVMDNDAPTAFNILKEAMPPEQRMLTDDGHMPIKPQTCSSASNIGASSRPVQKDIRGNIAASKGLDGMKKTRLPMNANNNSLLPKLVKSSVTGTSQPQRPNFSSDTEKFKSIFRDVSDDEDDPLDHALDNYRRPQIPSAKPSILVPKRQVVQLPVPVGRRQGSGDRVTSTRRLQPPKMGSWFKNILEMNYFAVVGLSSSEIVKKPALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPPDDMTCGCISILSVERVDEFLILRARPENSQSIKFKGCMENDLILLTKDPLKNQEQQVHVLGKVERRETDKNKALIFVIKFFLSSDNARLNKVKRLLVERSTGKTRTIVAIVSALLSLDADDPYKLSRNESMNCTDSTKPRAKISQSAAVTRAWQDAALAKQLERDSQTERPGSTERFTKGRALICAQSNAAVDELVSRLSEGLYSADGKLYRPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDELKTKNDDKNSSDSESSSSLRAKLEKVVDRIRHYESRRKLLESDKSEDGSPVPDEGEVDEVSDEALGGKLNFLYAQKRKVSAELTIAHAREKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTTQVICYYLLSHFAILPQSRAQYRMHPEISRFPSSHFYENKLLDGAAMAEKSASFHDNDCLGPYMFFDVADGREHCGRNAATQSLCNEFEASAALEILTYLKNRYPLEFSSRKIGIITPYRSQLSLLRSKFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDDRHHTGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLRSNSHWDSLVQNAQERNLFISIKRPYGLMFEKVQPHSRGTNGTTRSYHTGHLKHANNGKAAMRPKRIDAQLQKEQSTHAARNVDEEDKSLPKGQSKWASCWDQKVPRAPEPVVKSIEDSSQNQNGNMRSTKCSWQKNIDQDSVSRKQMEGKKSTVHNDNNLELSKGLVKGSSHEISSVRRRMELDVHVEQNVCKETNKALSNQDLFQKSTVRKHNNYKKSDSQNNDTGTIKGSLKHDLNLKSASKKDDVSPPAVPHLQKLIQKAKGARKLSEKPRHDNSNQVDLLIEHDEILDPANKNDGACPPTNPDIKMANKAKGTRKFSDQPRPASSNQVDSSHPSHFNEESSHGPELKKNRSTSKKDLIAERKRQREDVDSLLSSALMPSLTHRTKKKK >Dexi8A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:8A:27817992:27821595:1 gene:Dexi8A01G0016430 transcript:Dexi8A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLEYKATNIQEQLKALLKRFEAKQRAMAGNSMYSQSLPAAQAATMALAVSVIFLVSTVSPLAEAASIEHMFVVNQIKMTRLCKETVVTLVNGQLPGPTIEVTEGDSVTVHVVNRSPYNITIHWKAPCGGMLTSPVSGQPSMKFRIGHHLDKRNIYLKFELVITGDWWEKDLDEMARNMTKNIFSSYASASTVNGLVGDYFNCSGVAKEGFVLDVEPGKTYLLRIINAGLFSEFYLKIAGHKFTVVAADANYVNPYTTDVIAIAPGETVDAMLIADAAPGRYYMVALPNQAPLPDLQTPEYATRGMVKYKINHRPCNVTMAVSSIQGGEEEKEGYQGTSCDTPIGPKMPDVHDTITSFYFHGNLTSLRHQGHLLSQQRIDEHLFIVLGLGTICKQGQFCKRGNSSDDIQVATMNNVSFQQPAAATMPLLEAQYYHISLNGTAQELLRRPPTLYNFTYEALIPFGPKEMPLEPTYKAMLVQRFKHGAMVEIVFQSTTMLQGDSNPMHLHGHDMMVLAQGLGNYDLVKDVASYNLVNPVVKNTVLVPNRGWIAIRFVANNPGVYMISMLVHAPVMENSLYASLIF >Dexi5B01G0021790.1:cds pep primary_assembly:Fonio_CM05836:5B:24090572:24093060:1 gene:Dexi5B01G0021790 transcript:Dexi5B01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAMGNPILTLPAPEGDGGDAADQMQLAPPPPPPPGAKADPPATVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRVSEIAAAPPGADTPAGDEPDAPPPDAAASAPADGAAAPADGAAADGKADHSAPFRLGPPPKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESTNMQFHFIRPTHSMFPFFTALTDAYSRVLKPQEGVSALVKELKEGSKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMSMIDWHDFVVVETIEFADDEYEGLPVPPTLEELKRRKRMQNLGEDEAMELAEPAKEVEMEMDEEEMQLVEEGMRAARLEENDGGAQVMAGDDEAPMRIVKNYKRPEERIPAERDPTKFVLSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATHALSQPQGGEEQFDPSNPVPGPAPLVRPGMSLPRPPQPLPLANVPRFIAPPPPYPAPPGSHIPGMPQMMPHMHQPHQQIPGQPMMRMPGQMVHMPTSIPPPPGQAQFMPGPPRPFAMPLPQHMPPMVNPIGVPQPPAPPLPPQPPAEEQPPPPDEPEPKRLRTDDASLIPAEQFLAQHPGPASISVSVPNLDEGNLRGQVLQIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLTLRERGGRKK >Dexi3B01G0033940.1:cds pep primary_assembly:Fonio_CM05836:3B:36720285:36720618:1 gene:Dexi3B01G0033940 transcript:Dexi3B01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTSQLADPLGVLAGSWTANVSFCSWIGVSCSRHRQRVTALSLPEVPLHGELTPHLGNLSFLSLLNLTWTSVTGPIPTELGRLCRLRVLDLWRNGLRRHS >Dexi5B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:5B:8261969:8262214:-1 gene:Dexi5B01G0011670 transcript:Dexi5B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALSFACYRAHALARTRGAVGSTALGMLLGFLYAVAVAGVELFAVCAITAFYYECKESSDAATTTEFVKLASAEPLIGA >Dexi5A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:5A:6971264:6971757:-1 gene:Dexi5A01G0009240 transcript:Dexi5A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLELDSFYNKPAPRASSSSLTSRAEQAYWLPSHNEPSWLDIQPCSPLTQLVIM >Dexi7B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:7B:21484290:21485751:1 gene:Dexi7B01G0015440 transcript:Dexi7B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGGRRRRGGRRDGEAGGGESVRKGPWMAEEDAVLLEHVRAHGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSGEEERVVLELQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAPLPARSIRNRSGKAPEASSLESRPPAVGRLDQVPFGSSSSGVHACSPAAPFLDAQNAALVPYDQATSGLLSFEGSLQQIVQPIGNQVCSSSNAAAMLPNKLSFDEPPYPLLDYPGMPERWNMAPGFVNAGAMDDLAYPGLYPMMQSASMIFPFFGTEHAQQDGIKAEPPDAPHFFDDLPPDMFDSLDHVPPPLSPPATSSGF >Dexi9B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:9B:9544220:9546460:-1 gene:Dexi9B01G0014270 transcript:Dexi9B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRARTTPLILLLLATLLCAAAASFSEDREPWRCVRRCEDRPRHERSRCLQQCRQEEREERGRHELLGRRGDRRGEGSGDEREQEQGQSREPYVFGERSFRRVVRSDQGSVWVLRPFHEASKLLRGIRNYRVAVLEANPRSFIVPSHTDAHCICYVAQGEGVVTTIENGERRSYTIKEGDVFVAPAGTVTYLANTDGRRKLVIAKILHTISVPGKFQFFFGPGGRNPESILSSFSKSVQRAAYKTSSDRLERLFGKQDKGIIVRASEEQVRELRRHASEGGHGPHWPLPPFSESHGPYSLLDQRPRIANRHGQLYEADARSYRDLAEHDVRVSLANISAGSMSAPFYNTRSIKIAYVLDGEGHVEIVCPHLAQGGESEHGHSGRRSERGRSRRSEEEQSEGGEEEQEQGQQQEEEQEQAGQGYHTIRARLSRGTAFVVPVGHPVVEVASQNSNLQIVCFEIRAEKNEKVFLAGANNVLKKLDDAAKELAFAAKAKEVDEVLDAQREQGFLAGPEERSRKEWEQEEGHGGRRGRREEREQEEERQGRRGRREEREQEEQREGQRGRKEREQEEERQGRHGRREEREQEEERQGGRGGRREELAEAFLRMATA >Dexi1A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:1A:22903950:22904642:-1 gene:Dexi1A01G0015900 transcript:Dexi1A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHLLLLLLAVLLPAAAMADPDPVQDYCVPDAGGHGRPVELALLPSYPCRSPANLTASDFAFAGVRAAGNFSGDTGFAGISVTPAQFPALHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRIFAKVLEIGEVMVFPRGMVHFQMNVGDEPATVYGSFNSENPGIVRIPATVFGSGIKDGVLERAFGLSPEELRRLEKKFGPPKTKLYEMED >Dexi5A01G0027380.1:cds pep primary_assembly:Fonio_CM05836:5A:30883719:30885136:1 gene:Dexi5A01G0027380 transcript:Dexi5A01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQQCSIVSVVKLFPKLDTYYSELVISFTTGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASVGQVVEVEKGSEYAITFSAARTCAQLEALNVSVLGGVSQTVDLQTLYNIEGWDAYALAFQATDEQAHIQFMNPGMEDDPTCGPILDNVAIKKLFTPDKAKDNVVLNGDFEEGPWMFPNTSFGVLLPTNLDEQTSAIPGWMIESNRAVRFIDSDEYKVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGTAGDSCQPPMAIMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNSAAGLKASVGLVLGIIGMVGLLLI >Dexi3B01G0029150.1:cds pep primary_assembly:Fonio_CM05836:3B:28191760:28193157:-1 gene:Dexi3B01G0029150 transcript:Dexi3B01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKERRGGVRPVEEKGQRRSSEEPNVGLTAKTPFAESQARQHSAKQTLSAKMMFAENPGGKLTAQGQLSPKEQAQ >Dexi2A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:2A:6944118:6946805:1 gene:Dexi2A01G0007200 transcript:Dexi2A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPLITVSDGRLSVRGRTVLTGVPGNVTVTHAAGAAGLVGGAFVGANAGDAKSHHVFTFGTLRGCRFLSLFRFKLWWMTQRMGSSGRDVPLETQFMLLEVPAGDEEDDPAAGDAPVYLVMLPLLEGQFRAALQGNEDDQLQICFESGDKVVKTEQGENMVYLHAGDNPFETITAAVKAVEKHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKHGLHSLSKGGAPPRFLIIDDGWQQIASEDKPDPNVAVQEGAQFASRLTGIKENTKFQTNPSSDDDDTPSPAGGLKQLVQETKSEHGVKQVYVWHAMAGYWGGVSPSLSTGMSRYEPSLAYPIQSPGVTGNQPDIVMDSLSVLGLGLVHPRRVRDFYGELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSITRAYHRALEASVSKSFPDNGCISCMCHNTDMLYSSRQTAVVRASDDFYPHDPASHTVHVASVAYNSVFLGEFMQPDWDMFHYHGAARAISGGAIYVSDKPGNHDFSLLRKLVLPDGGVLRPEHPARPTRDCLFSDPARDGETLLKVWNTNRFGGVVGVFNCQGAGWCRVSKRTRVHDVAPGTLTAAVRAADVDAMSRVVGVAGDGEEGEEWDGEAVVYAHRTGEMVRLPRGAAVPVTLGPLEYEVFHVCPVRRLAGGVEFAPIGLIDMFNAGGAVEDCNVRDAGTDDAATVAMRVRGCGRFGAYCSRRPARCVLDAAGVEFGYDAGTGLLVVGLPVPEKELYRPLGDDYDARLRGGAILGQATIAIGPVHERYT >Dexi4A01G0021960.1:cds pep primary_assembly:Fonio_CM05836:4A:25343111:25344680:1 gene:Dexi4A01G0021960 transcript:Dexi4A01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGVVDRLSSLSDDRLRRILHLVPSKEAASTSALSRRWGSLWRSSGAVDLAVAIRYGHDEIYGYQAMQEAQRAAEDAFSRAAAASLAAAEVPVTRLAIRVDTDGGDTDLQRFVPKVSAVLSDPAAARHVEDLRVALVDANDDATTLFSGVEISRSLGICRLPSLPSPEILRVLDLTRCDLAPPPVACCLPRLATLRLRRCSIRLTDLQALLDAAPDLTHVHLESVLFKYTIIQQGYLQPQEVLDKIATTPAGPSIDAPRLQSFVYKGLLRQFQLRSAAPELARADLHFLKDTLCYGKERTRELFWQFVQSLSSAKALKLTVNAGLKEIAAIGKARRAQLLCPLPNVQRLELEGWHHPTSTTAAVAIANLLHCCHALGDLTLKLSDVPPDSHKDDGSYYASDRLDYTRSIDRFIRRRRSSTISMEDSNSSGVRYHDDVHDIPGLSGHSFACLQKSLRRVSLQFRLADSSSSCLGPRLVKFFAQNAMVLEEIHIDSGNWRQ >Dexi8A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:8A:3876765:3877559:1 gene:Dexi8A01G0004440 transcript:Dexi8A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWNHEAWEGVLKTPLVNGSAHGSRVLVTTRDIRVARSMKAEEPYHHVKKLEPDVACWK >Dexi5B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:5B:7433708:7435759:-1 gene:Dexi5B01G0010480 transcript:Dexi5B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHHHGHRRDDDERRAPAYGGYGQPPSDPYGQPPADPYGRAPPTSGYGQPPADPYGRAPPTSAYGQPPADPYGRAPPTSAYGQPPADPYVRAPPTSGYGAGHQPAYGAGAGGGYGNVVHVAHEGGGGGGYGGGGAEYGHETRPHHGGGGYGGGGEYGHETRPYHGGGSEYGHETRPHHGGGGAAPANQQTYRIYCKAGEDKYSLACRDGKVCLVPSNRNDETQQWIKDMKYSTRVKDEEGYPAIVLINRATGEALKHSLGQSHPVRLTRYDSNTLDESVLWTESRDVGDGFRCIRMVNNIYLNFDALNGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVAWCKFSRIWAAYL >Dexi5A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:5A:2461760:2463444:1 gene:Dexi5A01G0003350 transcript:Dexi5A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKLLLDASHKAKAAPPLSKVATSGLHGEDSPYFAGWKAYDENPYDAVSNPNGVIQMGLAENQVSFDLLEGYLRDHPEAAGCGGAAAGSGMASFRDNALFQDYHGLKAFRKAMASFMEKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWKTGVNIVPVHCDSSNGFQATAAALQAAYDAAEAAGMRVRAVLLTNPSNPLGTTVTRSALEDVLDFVTRNNIHLISDEIYSGSVFSSPDLVSVAELVESRRAAAGDDLAGDLIASRVHIVYSLSKDLGLPGFRVGVVYSYNDDVVTTARRMSSFTLVSSQTQKTLAAMLADESFAGEYIRTNRERLRERHDQVVDGLARAGVPCLRGNAGLFVWMDMRRLLAGGGEEEATVAGELRLWDRLLHEVRLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARMSRFMDRWNKERTISSTQQEQH >Dexi4A01G0023040.1:cds pep primary_assembly:Fonio_CM05836:4A:26215128:26215466:1 gene:Dexi4A01G0023040 transcript:Dexi4A01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQADRLGQDKGGHAKLACPLCRTPAPDIKSMQIHHEARHPKLPFEPEKLLNLHSSAPAPAAAASEATSSKPKPGIRGSLKK >Dexi2B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:2B:12165594:12166555:-1 gene:Dexi2B01G0010710 transcript:Dexi2B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVGAGSRGDQPPGACCRAEKTEEGSGGAASKDGGRVVVVPVVDGAEVVVEPCVIAVDDSSVDRALVTALLRRSKYRVTAVDSGKRALEILGAESSELKQIPVVIMSSENVPTRITRCLEEGAEDFLLKPVRPADISRITMRMLH >Dexi8A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:8A:4905143:4905742:1 gene:Dexi8A01G0005360 transcript:Dexi8A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVTLLVLFLALIVVVAAAAVEARRPQSDDPTAAAAHAKRRSKDATPTHLHFFFHDTVSGKSPTAIRVVDPPASSSSSSSPFSMFGMVNVMDDPLTEGPEQDSGPVGRAQGLYMASDQNTIGFLQAMNLVFTSGDFNGSTLALLGRNCPLDDVRELPIVGGTGAFRFARGYALLHTHWLDFQTGDATVEYNVYVMH >Dexi9B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:9B:15060244:15066601:1 gene:Dexi9B01G0020320 transcript:Dexi9B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQENDTVAATGRRGSPLLRRCKRERYTHGLSPPQMEALRAMCGALIPSLPVDDDQPGAANKARERFYSASAADGAIPDEVAELANRCVWEAVLLMRVILWILSTKVGTLALCGRLCISSRFPFMCKFADMPTERREEVLKRWKNTRWLFPLRVTFVIVKILSHFSFYMMVNEGSDNPSWKSIGYSVPDVDRPREALTEAAPSPSPRPLDSGVVETRSLNDATFLRGLMDKGLATKTDVSGAHHTVRCDVVIVGSGCGGGVAAAVLAAAGHKVVVVEKGDYFTAEDYTSVEGPSMERLYEKGGIFCTSNASTIMFAGTTVGGGSAINWSASIRTPEWVTQEWAHEHGLPMFGRPEYANAMNAVCARLAVTGGCREEGFQNKVLRDGCEALGLRADAVPRNSSEGHFCGSCHLGCPTGEKRGTDTTWLVDAVAHGAVVLTGCKAERFILERNTGKNVNGRSKKCVGLVATCMGDGITKKLRIEARVSISACGALMTPPLLRSSGLKNRHIGRNLHLHPVSMAWGYFPETRHDPQLITGKCYEGGIITTMHRVTTRTIVQTPALGPGCMASLIPWESGREMKDRMLRYARTAHAFALVRDTGAGTVNGEGRVCYTPARGDVDELRNGLRRALRILVAAGAAEVGTHRSDGLRLRCDGLRDEDLE >Dexi1A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:1A:449533:456052:1 gene:Dexi1A01G0000720 transcript:Dexi1A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSLAPILEQLYATRASAKERQKNLEKSIRDEAKRLLNNDGAGADGARDRRAADRDMESGWLKGQRQLLDLDSLAFHQGGLFMANKKCELPDGSFRTPHKGYEEVHVPALKARPYETGEKIVKISDLPEWAQPAFEGMTALNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLAPYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNHKDVALFLRVTKESTFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFVSKLADQLNAEIVLGTIQNAREACSWLGYTYLYVRMLRNPPLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPFTRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYESLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWEQKFGEFANVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKSGKPALVYVPTRKHARLTALDLCAYSSIEGGGTPFLLGSEDEMDTFTSGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESSLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNAMELSQMVTQGMWDRDSVLLQVPHFTKDLARRCQENEGKPIESIFDLAEMGIDEMRELLQLSNSELQDIFEFFKRFPNVDMAYEVREGDDISSGDNVTVQVTLERDMTNLPSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGGD >Dexi4B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:4B:17441622:17447123:1 gene:Dexi4B01G0015630 transcript:Dexi4B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESSRYDKLVSMLQDGSPEPRNLPLEYLRNITDNFSDDRLLGEGGFGTVYKVHLQKLPLAHRNWASGVERPHSTKYFFSTEKEYQSRKRSIEIDLPTSPASSTDSVDSINRIRFGDSGDVGGDVQLLCQSLGLSGPDDFAVPIAEWEAHKALRSTGSACSSPSSVLPNSNTKAPARETPLRYEEPSRPELLPKVRDDPIEAPERPASLNRPELTWPDQKEAINGVRPQPLLKPPPTIALPVVCWEGSTWDILRSFAPDDENEEQHAPASRSGLDAVEEEDEENASTTTVFSVSPKGTVRKKIQSWIRGTLLGSGSFGTVYEGISDDGDFFAVKEVSLYDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLHDTHVSAYTRQILNGLTYLHERNIVHRDIKCGNILVHANGSVKLADFGLAKEITKFSAIRSCKGTVYWMAPEVVNPKKIYGTAADIWSLGCTVLEMLTRQIPYPDLEWTRALYTIGKGEAPPIPNSLSKDAYDFISQCVKANAEDRPSASNLLEHPFVKRSITPIRSMRTSRHPNSSTHGIN >Dexi9A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:9A:2545545:2557204:1 gene:Dexi9A01G0004670 transcript:Dexi9A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDHNQWPRPGHGYDPRASAAAQWYAAASTSFPGPGAAPLPGMNPYGFAPNPFAPNPFNALVGDLLLLRNPAALASYQQLQQQQQQQQAHHFPSQAYHQTPTSNIQHRPTKPAAASPAPPPTQPQQPPPRNQQAVLDRAQAAARKAQEELVKSGEGVTGWKVAQAVLLALKVDSWGSLGIQLQDVPLLRDLFLIEGKVNAFIHCYVAARKIVTVYDLEVEICKNEGVGQFEELGLGPFLQHPLVAHYFSVPSDLSVVPKLSSEEILNALQKFLDISKKKITVEDFLNYLSEQKSVSGKERLGVRIQSLGLHISFLRQARQTEVSAVKLLGNKSGSGHSFGNDSKLLWKNINREEKNNKFLFAIPRHWPSQCCCEFNVLCSFEFEHCKHVKSMGCGLLDSIYDVIQLSSENNVSSTPVPNTTTEVMEIEPPSKENTSCIANGANNRSEDNGTGHSVAIDDNDIIRKITEYIESNSKVSSDAPSQIKVQNADDNLYPEDVEPTLSFILQENGIVVLNNERGFSAENIRALCDIGNSTKKGSNRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITDDQIVTSPESFVEQISSSSLRKDIGFKTEVSYCDALMVLKSWLTSEAPFSASMSQMCKFYNFLSEGVVDSKIDIKREFLSAPSIFTPLQRPRSSEVIPGKFLRPKDLYWHDPTGCSEISEDFFARKSRSMFPRRMMSAAYPSLCEFFTEACGVPKVPTTSNYVEILTRLSTAALPSQAAIHVFRVFVRWANENDKMNDILYLKESLQKIETTILPTTVDKWVSLHPSFGLVCWVDDDELKQQFKNSSDVNFIQFGDLSFEDKQMLSGRVAALMKSLGIQALSKGNTLYATQDADPHSVFLELSRVFFDGSPDLHFANFLHMIKTMAESGTSAEQIESFIINNQNVPELPEHEAIWSFSSLSAASHCAANQGADPEVVEFQPACEFSAPNHQKAPVMVSSWPLNHWRTAPVFKTPLISHQACTQDAKVNDAGPSSDLRHALCGQTEDTLLSVDLDGDWIIEENTRTKTTLLADNTTAILDEPQMVMPVEPSDASSYLEVEAGSSSPTVRVELTNFNEKLANLAEERNGLHPDANQLKTGRLGEALVHRHLAEQLGSNNVKWVNDKIETGLPYDIIITHSEGFTEYVEVKTTVSSRKDWFDVTPREWQFAVEQGDLFSIARVVLSSTKKASVEMFKNPHKLYKQKALRLGLLISK >Dexi7A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:7A:23529659:23530005:-1 gene:Dexi7A01G0013440 transcript:Dexi7A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKMRPATKREYKRMELGEEGEEVDEAEWLHRAEAARQRRRRGQRYAFSCALFASLNGILLGYVFALLGLDG >Dexi2B01G0021220.1:cds pep primary_assembly:Fonio_CM05836:2B:31103266:31106072:-1 gene:Dexi2B01G0021220 transcript:Dexi2B01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAAAWVPPAAARRSSLSFPRSPFAAPVSVHVPRRVPPLCPGPNPSPQRSRLVVASAQFDFARAVRKAWSVGNDVLEAGSNLVPGAVPRPIAKIVVTFAAVAVALFLLKSIGMMGLIYLAFLAMNPKEASGSMIDETGGNASEDPVEEAWRIMEKYK >Dexi3A01G0025730.1:cds pep primary_assembly:Fonio_CM05836:3A:21483206:21483712:-1 gene:Dexi3A01G0025730 transcript:Dexi3A01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHVSLGSCGTHALTLTVLARASCRCSAGYRVAIGWAPSGARTALSLHHEDDTSKLPTAAVGHGHKPSGRYALATGRAVGEDGLCQAIAPGALKPRATYRVAGWISVSGDATAVAAAAEEGTRRSPERRGHAVRVSIRAGNGDDDVVDGGAVWAEPGRWAEIKGAFG >Dexi4B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:4B:20870526:20876559:-1 gene:Dexi4B01G0018550 transcript:Dexi4B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPLVTFLPLLLILAAFSPEQTAATTHSKPKLFPPRTPLLTQALLRRHGRPSGSRVAGNKLAVTAAADGPNTTAANPFTAHYFPQELDHFTFTPNASMIFYQKYLINDTFWRRPSAAGDGAAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESMPFGNNSANSAETLGYLTSTQALADFAILITSLKQNLSAEAAPVVVFGGSYGGMLASWFRLKYPHVTIGALASSAPILQFDYITPWNSFYDAISQDYKSESLNCFSVIKASWDVLEERGSSEKGLLELSKMFKACQPLKYADSIGNWLETAITYTAMVDYPTPANFIENLPAYPVKEMCKIIDGFPANADILEKVSAAANLFYNYTGDQTCNQIETEDNSQTTVLDGWGWQACTEMVMPMSASNESMFPPSTFSYEETSDACFKSNGVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIVALVTEKGEA >DexiUA01G0017080.1:cds pep primary_assembly:Fonio_CM05836:UA:36227397:36228824:1 gene:DexiUA01G0017080 transcript:DexiUA01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLLHLEFAAVPLLALLFLSSALTASSQSVFSLDRYGGHGDGRHDDTQALAMAWKAACASPRPAIVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLVASPNMADWSDKDRRHWIVFRSVDKLTVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVEDLKIVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSRDVKVTNCKIKTGDDCMSIEDGTHNLHVSKVVCGPGHGISIDLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTISKDAIKMNCSKNVPCHGITLQNINLKMQGGKGTTKSTCQNAKWRKSGTVLPQPCTAKN >Dexi7B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:7B:4259166:4264495:1 gene:Dexi7B01G0002450 transcript:Dexi7B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAKPLRLQRRGHGGLTVLLLRLLAAFVLLVGVADAQRSPVAPAPPGLAVVESQLNNLTNTVARTISDKFSFCVADPEEDWNEAFNYTSDLSFVNQCLKDTQGDLPQRLCTPEEVKFYFSSLYDRDGDKNINLKTNINCNISSWDRGCDAGWACATDPVPDPRNHDSNNIPLRTKNCQACCEGFFCPRGLTCMLRTIAGWVPPVKRGALCLLLLIIYNCSDKFLSIRERRKARSRENAIQLARQQVKAHEGWKAAKQFAKRHVNGMHSHLSRTFSRRRSFRQQVDPDNSSHKVQEAPLMGQVKKQEMSDSAVFAAESTTEITEVMPSVIVDVSGEGEVVAAKEKPEPKGKHRSTHTQVFKYAYGEIEKEKFRQQENKNLTFTGVIAMVTDQQKEITRPLLKVEFRDLTLMLGKKKLLRSINGQLRPGRVTAVMGPSGAGKTTFLNAVTGKVNGYKMTGSVLVNGKKVNIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSARMKHRDKVLIVERVIDSLDLQGIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLMVYNGPVKTVEDYFTTLEIHVPDRVNPPDHYIDILEGIVKPESGIKAKHLPVHWILYNGYEVPSDMQDDLKEIGEQNPQIGSSPSMSGPTPHCLPLRNAFAEERDRLEQHLSKPKDLSSRKTPGIILQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDKTFGMPGYIYTIIAV >Dexi9A01G0042950.1:cds pep primary_assembly:Fonio_CM05836:9A:46457869:46458996:1 gene:Dexi9A01G0042950 transcript:Dexi9A01G0042950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANGVDDHELPLFHPSSPPCAHYYVQSPSAASHHTTLSHPPSESMALILSPFPNLHHDANASHDHDHEEASRLTLSRYSSSRGSNSSFPATGDKKPGRRRQQVLRVLSSGGVHDDDEDDNDGEDQRNGAWRYVKLDPDAPCCCVAFQVAWRVVVSAALALLVFVLATRPRHPGVSFRVGRVQRFALGEGLDGSGVETSFLDCNSSVDMVIENHSKVFNLRVHPPLLQMSFGHFVFATSQARHAMTKPAICCAQGDGGSHDVGPRGTSTVRLFVAAQEKPMYAAGRGMQDLLETSRGLPVTITVRAKSRYRVVGSLVRLTYRHDSECVVLLRRTPDRSNGIIAAAGRATCSATS >Dexi9A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:9A:4326810:4329614:-1 gene:Dexi9A01G0007450 transcript:Dexi9A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHLSLWKPLSHCAALLLDKKHRPPRPPPGGSGAGSGSGRRLQESKLREALEEASEDGCLAKSRDEALFDGGDGAEEGSVGRSRSLARLHAQREFLRATAVAAERAFQSPDALPVLEEALATFLAMYPKFASAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSINPADSSAAFTLSEITANLSNHALYGSAEKGTAEHDIKNRIMDYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQAARDKGAKAYSAWFKWPTLKICTTELRKLISTKKRRRKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMASLQSPSGGTGAGMVRIVPVFPQYLSDSVDGLDGVLDGLEDDTIIPIEEGSTSNSHHASQLPAFSGAYSSAQVREVIESEMDQDSSDRDGASTIYEESESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEHSSKGKLGSPLPASWFSGRKNVKKASPKGTSKLSKSPIHDNHVMSFDAAVRSVSHEPGSVKVVQDEDHSHNDIKNVVPVSEIEEDKDAKGNKRFVKFSCANGPAEGSATSVFGSYTARVNGSTSEICSESQAETKDSAIRRETEGDFRLLGRREAHNGRFNGGRFFGVEESERVSSMGRKVSFTVDDSRLYRNSDAGETSGYAMADDDDDDAYSDYDEPLDGRKEPEIICRHLDHVNMLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYDRGAAVAFNIKDSNTGTSLINPEIVQKLAEKEGLSLGVGFLSHIRLTDNQKHGAADVGLSSSSSAANGRREKKSSKNAILGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQERLSSIPEDAER >Dexi1B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:1B:20772023:20772508:1 gene:Dexi1B01G0014460 transcript:Dexi1B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRQLSKQKLWTTVRDLLPIFMPRKKKMPMLSVGSTVVAKCREFWESLTGSGFAVARRHADDYFKGSYEFSCTATPINVLAKSTNGRGRRRWRLMPPCICGKQAQEMLESIAPAKGCSPERALEEGGGNEIDGLAEEFIRRFYEQLRMQRVEELPVEQY >Dexi1A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:1A:5780426:5781067:-1 gene:Dexi1A01G0007480 transcript:Dexi1A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKEMGRLDAERQPPAWFHPLLATTFFEPCPKHPATTGGRWTRTSGCNLFCADCSGDALCSGACLGDHSGHHLIQIRRSSCHNLVKVADLERLQLNVRFVQTYVYNNEAAVFLNKRGVSGKEKPGQIRCEGCNWGLMDSECRFCSLRCKVRTPRSDSFLDL >Dexi1B01G0006540.1:cds pep primary_assembly:Fonio_CM05836:1B:5358530:5360160:1 gene:Dexi1B01G0006540 transcript:Dexi1B01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTHYLYFLLALPLPLLLLNKLKRRGNGLRLPPGPWRLPLIGSLHHFHPSILPHHAMADVARRFDAPLIYIKLGEVPVVIASSPDAAGEVMKTHDVNFATRPWSPTTEVFKVDGEGLVFARYGKLWRQLRKISILELLSVRRVQSFRGVREEEVGRLVAAIAAAAASPAGEVVVNVSERIAVAIADAAVRAMIGDRFGRRDEFLESLAEGIKITAGLSLSDLFPSSRLARLFDGRIRRVVENRRKSFELMDYAIEQHEQRKATMAADGAVEEEDLVDVLLRIQKEGGLEVPLTMGMIKAVILDLFAAGSETSANTLQWAMSELIRNPTVMQKAQAELREKLQGKPMVTEGDLADVRYTKLIIKETLRLHPVVPLLLPRKCRESCKIMGYDVPKGATVYVNVWAINRDPGYWDDATAFKPERFEAGTVDFKGTNFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGEMVPNELDMTEEMGITVRRKHDLYLRPVVRVPPYVTP >Dexi5B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:5B:3311294:3315456:1 gene:Dexi5B01G0004920 transcript:Dexi5B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCWALALAAAAYVAAKLMEVLWWRPRRVEEHFARQGIRGPRYRFFVGCVREMVALMVAASANPMPRPYRSHSVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLAVADPDLIREILVSRADHFDRYESHPMVRQLEGEGLVSLRGEKWAHRRRVLSPAFRMDNLKLLLPFVGRTVVDMVDKWHGMAAAGSSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKIFIPGYRFLPTKKNTSSWKLDKEIRKNLATLIGRRQEAADDENAMDLLGLMINANVNGRRVSTITVNDIVEECKTFFFAGKQTTSNLLTWATVLLAMHPEWQELARQEVLDVCGARDIPSRDQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFANGVAQAARHQAAFIPFGLGSRMCIGQNLALLEAKLTVAIILQRFDFRLSPSYIHAPTVLMLLHPQYGAPVIFRSRSSEPSDRDRDM >Dexi3A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:3A:11392806:11394197:1 gene:Dexi3A01G0015330 transcript:Dexi3A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPLLVLVCFLAITAQLSAAAGGTLVFPKEALPTKSGYLPIPPANASLFFAFYEATHPRTPPASTPLLLWLQGGPGCSGLLGNFFELGPYFVSPDAETLSPNPFAWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVVAAHILAALQSFFALDPSFRARPFFLTGESYAGKYIPAAGAHILDVNPTPPEALRVNLRGVAIGNGLTHPVAQVATHADAAYFSGLVDARQKRELEALQAEAVSLTRAERWREAADARGRVLSRLQNMTGLATLYDAARQRPYQTDPVAAFLNRAEAKAALGARGDVAWEECSDAVGAAMHADVMKSVRPQVESLLRRRTRVLLYQGIRDLRDGVVSTEAWLAEVDWEGLRAFLDAERAVWRTRGGDGELAGYVQRSGALSHVVVYGAGHLVPADNGRAAQEMIEGWVLQAGLFGRRVPNGRRRRLNAAPGGRRALVKHVGI >Dexi9A01G0034430.1:cds pep primary_assembly:Fonio_CM05836:9A:39194706:39195119:-1 gene:Dexi9A01G0034430 transcript:Dexi9A01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRNLSAIHLFVVVLLITMAAGDSASVGEFGCNEHLSGSYKGVCFSLINDGSCNSACLNESSDNISGECNFLQCWCESICPPETVAAASAPTPA >Dexi8A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:8A:27522812:27523630:-1 gene:Dexi8A01G0016220 transcript:Dexi8A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTVVGPPLPAPYILSSTNELVLISCNVEATLVGFTSYGSGTKIIIGPVRLAALRPVLAAGGGLTGGARAASRTEPLVVVPPPAATTNPHEWWEQKKLVDKYKLRRTPALKFCCGTVCCQAVLSTTSKPQRALYWRFDLNTSGVPFREAERDPGVLAFVAQEGWFDQSPVATKILSGGLRPNAAGLEIPVDLLWLVPVHDDQVNNDGSCSNKTARSLCRSRQSLCWNGFAGSWCMCEPGYEGNPYTEDGCQGRY >Dexi5A01G0023150.1:cds pep primary_assembly:Fonio_CM05836:5A:27214835:27215566:-1 gene:Dexi5A01G0023150 transcript:Dexi5A01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLRPLLPPKPFLSARRPHLTSAPTPTTATVRCTAAPKQTISTTKPSQEEANNREQPQEPNGAAATPEDEATSANPNSIPDDDTPPSATATTSFAVARRVPSAISPDRRRRTALTQEEPPNYEIGWKRTKELPLEKPRGWAIADFLEKLEGLMARGRYGSGQLLGTVAGVVTERAREEAEILVAEGGVEERVVTELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >Dexi9A01G0045640.1:cds pep primary_assembly:Fonio_CM05836:9A:49203190:49204982:-1 gene:Dexi9A01G0045640 transcript:Dexi9A01G0045640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKADPDSPSLEPVPLPSDLRVPLVEFSIVPRGDDGHYLLVARSIASYNRPIQHYKLLIYSSVDQSWSTKPLPGAPDAGCIVVDKVISLGDGVIGWVDLRTGVVVCDVLREPLDVRFIPVPSPLPENRERLKEFHPGDPAARLRDVTFSNGVIKIKFIEVEHRWIVTTIVPEKPIDPSEKDVLYDSNMITERKRKEEKPRQIRKRDGWRAVTWSRTVLSNCWHKGCVIDVDEISVDDTIHSSLMDGLGDDQDKSLKFRNLHSYLPTLSTDVEDLVYLKSVVKTNDTNGWVVALDLGRRHSRQLDHILLHDMTPASMVPARLQS >Dexi2B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:2B:25278605:25281085:-1 gene:Dexi2B01G0015270 transcript:Dexi2B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAGRQGRRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVAVSRGLNGIGLALVIPAVQSLVADSTDDDNRGAAFGWLQLTSSIGSIFGGFFALMLAQTTFLGIAGWRIAFHLVAIVSVIVGILVWLFAVDPHFPANNAGLHAEPVSKKSPLDEARELLIEAKSIIQIPTFQVFVAQGVSGSFPWSALSFMSMWLELMGFSHEETAIFTTIFAVATSIGGLLGGKMGDFLAQRYPNAGRIILSQISAGSAVPLSAILLLGLPDDSSRSSGIAHGLVLFIMGLIISWNGAATNCPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRERARMQSMIQSELDQIELGGSHFGCSDDRFELFESADDGEKPDQADGSYGAEQSADADEDTEKLLGNHES >Dexi1A01G0032250.1:cds pep primary_assembly:Fonio_CM05836:1A:36898384:36898503:-1 gene:Dexi1A01G0032250 transcript:Dexi1A01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSGNHEQEGETCEAGYQLLLQPETQ >Dexi7A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:7A:17266245:17268783:-1 gene:Dexi7A01G0005990 transcript:Dexi7A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEYVFGVAHIFASFNDTFIHITDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Dexi7B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:7B:16735793:16736137:1 gene:Dexi7B01G0009020 transcript:Dexi7B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLARAAARAKRSGSGLPLRSVLAGHGPFFSSEAAATPAPAAAAAGEEDGDDLRGRIFRLGLAKRSATAALEKWAGEGRAAPAEELRRIARDLSRVRRYKHALEVRSPPRNP >DexiUA01G0005980.1:cds pep primary_assembly:Fonio_CM05836:UA:10893311:10893664:-1 gene:DexiUA01G0005980 transcript:DexiUA01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSATGAYAKVEKMDAEEARHLKAQYLIHKVLEEASTSAVARPPAARRLPALARVKAPIGVRLKKLRVAVRGVRARIRRGVQRHLRNLRRLVALGGRRQGSSVKPVAAVSPPPS >Dexi4A01G0022940.1:cds pep primary_assembly:Fonio_CM05836:4A:26172485:26173400:-1 gene:Dexi4A01G0022940 transcript:Dexi4A01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESITPRVDADIREVYPTRLWKQVKEAAAPKIGTYSFTSGTYIDGTKSVWDDYENKLQKQFAASNRSWQQKGLSSSKRQLPVKNNVVVISVESSGSSSLSTSLVDQDGENTRTEAAGNNDNVGNINMFKDGGISNESPKAACEIGYGASVESFGLSSLPTSLVAQIGENNRTEAAGNNDNVGNVNMLEGTMNKEHTVARDPDDVWGFLESNELDLTLPNDAKEEAISNLMNELQGLQDKQNLGLEDLLQ >Dexi6A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:6A:133270:134212:1 gene:Dexi6A01G0000190 transcript:Dexi6A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRADAGITFLCMANDTFGRRIPFLYLEDIQMRFLKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEIYTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLL >Dexi4A01G0023270.1:cds pep primary_assembly:Fonio_CM05836:4A:26400785:26401774:-1 gene:Dexi4A01G0023270 transcript:Dexi4A01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRCDVCGVEPAAVLCCADEAALCSACDRRVHGANKLADKHRRVPLAHPSSDEDAPLCDVCKERRGLVFCVEDRAILCADCDEPIHSANDLTAKHSRFLLVGAKLSSATAPIDDDDHAPPSPTNAHRTPSDEPHHAAAVCAPQAQDSSTAKVSALTYGGGGKGSSISDYLTNICPGFRVDDLLFDDAAFSAATEADEYEQVPSLDADLFDVVAGRPAKGGGGAWYGAGGVLGMDKQAAPVAASIIAVPTAKQQQQQHQAGRVRERQWDCDSDSDLFAVPEFSPPAAKKARPTVAPSFWCF >Dexi4A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:4A:3534979:3536125:1 gene:Dexi4A01G0005000 transcript:Dexi4A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDLTWGSVSPSPWADLPPELVAIVLRRLPTLADRVRLRAVCRAWRREPLPVPFPWLSLPDGTFLSFPDGEIHHFPSLGGDDDVFPTCGHGVFKLVQLGPSPLGPPAPRPTDSLYAAVGPSDISIFRLGPAAVAGRPKPKPTITTITITPKSERLYDAAFLDGKLYAISYKQLYIYDMADAIASCNNGNGNGKPPLIPPRTCIANLVDTRWTRHHVTIGDETFSCRDWSYLVESGGRLLRVRRLIVYPSTAPQLTMMERTGRTLWFDVFEADLNARFCCPWKRITELGGGQALFVGKHSKSVTASECGAREDCVYFVREYCREGYSVLDLDTLGDSGVFDIRDGRITPLLPETVAKPPLAGKLRGRRPACV >Dexi1A01G0022920.1:cds pep primary_assembly:Fonio_CM05836:1A:29523006:29524086:-1 gene:Dexi1A01G0022920 transcript:Dexi1A01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTQYHHQSAGEAQETAADQVEVKDRGILDTLLGRKKPAEEKKPEEELATGMEKVTVSEPEKHDEHKKEEHGNGEKKESLLAKLHRTSSSSSSSSDEEEEVIDENGEIVKRKKKKGLKEKIKEKLPGHKDGHVEGEHHTVPVPAPPVQTHAYKEDDHHKPYAPAPAHHSHVETPHGYKEDEHNKPYVPAPASQPVETHVHHHEHATVVQKVEDDTPPAPEEEKKGLLDKIKEKLPGGHKKPEDAAAAAPAVHAPAPTPHAEDVSSPDGKEKKGLLGKIMDKIPGYNKGSGEEDHKATGAAAAGEHKTSSY >Dexi9B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:9B:7262255:7264139:-1 gene:Dexi9B01G0011420 transcript:Dexi9B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALALHGGAGDIPRTLPPESREPRLATLRRCLDLGSAALRDGRAALDVVELVVRELEDCPHFNAGRGSVLTAEGTVEMEACVMEGATLRCGAVSGLSTVANAVSLARLVMEKTPHIYLAFDGAEAFARDQGVETRDPSHFITENNIERLRQAKAANRVQIDYTQPLKGQQAPQDPPAPVDDNCQTGTVGCVAVDAAGNLATATSTGGLVNKMAGRIGDTPVVGAGTYANALCAVSATGKGEAIIRHTVARDVAALMEHAGMPLRDAAARVVAATPRGAVGLVAVSRAGEVSMAHNTTGMFRACATEDGHEEIGIWTDGDAL >DexiUA01G0017300.1:cds pep primary_assembly:Fonio_CM05836:UA:36561727:36563866:-1 gene:DexiUA01G0017300 transcript:DexiUA01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKYIELPDAYKSVIEALKHGGLKNRVSVNIKLIDSQDVETRGVEILKDLDAILIPGGFGYRGVEGKIATARYARENNIPYLGICLGMQVALIEFARNVAGMENANSTEFVPDCKYPVVALITEWRDEEGNVEVRTEKSDLGGTMRLGAQACQLSDDSVVRKLYGEPVITERHRHRYEVNNMLLKQIEAAGLRVAGRSGDDQLVEIIEVPNHPWFVACQFHPEFTSTPRDGHPLFAGFVKAASDYQKRQANLTCTEENLMSKIVKVIGREIIDSRGNPTVEAEVHLEGGFVGMAAAPSGASTGSREALELRDGDKSRFMGKGVLKAVGAVNGPIAQAIIGKDAKDQAGIDKIMIDLDGTENKSNFGANAILAVSLANAKAAAAAKGMPLFEHIAELNGTPGKYSMPVPMMNIINGGEHADNNVDIQEFMIQPVGAKSLKEAVRMGSEVFHNLAKVLKAKGMNTAVGDEGGYAPNLGSNAEALAVIAEAVKAAGYELGKDITLAMDCAASEFYKDGKYVLAGEGNKAFTSEEFTHFLEDLTKQYPIVSIEDGLDESDWDGFAYQTKVLGDKIQLVGDDLFVTNTKILKEGIEKGIVNSILIKFNQIGSLTETLAAIKMAKDAGYTAVISHRSGETEDATIADLAVGTAAGQIKTGSMSRSDRVAKYNQLIRIEEALGEKAPYNGRKEIKGQA >Dexi8B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:8B:14846241:14849850:-1 gene:Dexi8B01G0008940 transcript:Dexi8B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAGSIPKFGEWKASDGGSPYTMYFENARKRRTNSSVTPPPGASPARMVPAPDGSRTPPPATDAKPVKPQDRANRSRNQVKGFKCCGLFRN >Dexi2A01G0035070.1:cds pep primary_assembly:Fonio_CM05836:2A:44782058:44786435:-1 gene:Dexi2A01G0035070 transcript:Dexi2A01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGPVAVASASAAAKAAPAPAVGISPELYPTEDDLPYEEEILREPYKLKGWWRYLVARAAAPFAKRAVIYERAVKALPGSYKLWHAYLRERIDHARPHPIDHPAYSSLNNTFERALATMHKMPRIWVLYLTSLLDQRLLTRSRRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLISANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGVSSVVTVKEFSVVFEAYTQFEQSMLAAKLEAEEEEGAEDEDEGRSRKNGMDKLSKKFLEFFWLNDEDDTELRMARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGNEPVQMKVHKSLKLWSFYVDLEESLGTLDSTRAVYERILDLRIATPQIILNYAYLLEEHKYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVQRYKRSKLERARELFQEAVQQAPPDEKKPLYLQWAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMAMYEIYIARAAELFGVPRTRQIYEQAIDSGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNHSDFWKKWNDFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLAPGPSTAPPPAQNTTPASANRMMNFVSAGVEAQAESSRQQAGNNEDIELPDESDDEEPDVQIAEKSVPAAVFGELGKRAAESQEESSGAQENEQLGALERIKRRRQ >Dexi9B01G0022760.1:cds pep primary_assembly:Fonio_CM05836:9B:17625660:17626646:1 gene:Dexi9B01G0022760 transcript:Dexi9B01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTDPSTPPAPARSMLRRLFDRQLLRISPAERLPAAGEKDEAEPSSLCLDGMVRSFIEDGVGTGAEKAGHGGRYCNCFHGGDNSDDEEDEEAAAAAASDVAETIKGLVHCATLRERNLLADVAGHVERHRAAGARRRELLRLVASSLRAVGHDAAVCVSRWDKSASHPAGEHAYIDVLLPAASDRGARERVLVDVDFRSAFEVARPTKAYRSLLQRLPPAFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKLEYMRAKWLSPYEREAPPAEEAAAAAGEVDGEGTAAA >Dexi1A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:1A:22125938:22128407:1 gene:Dexi1A01G0015200 transcript:Dexi1A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPNIEMIASSLRHCSLNGGGGGRRRGGGSGGRRRGGEGGDDSEGVTVELNSDVALPYHWEQCLDIRTGQVYYINWEDGARTTVDPRTTSSAFSPTPHSTSSTSRRTRRPSTPSSGYTSVSSVGADVTGAWRGAFAGNDSGYDNDDEEEDDDEEDGEEEEEEEEDDAEAEAESSSTTSSSSSSSGSSRGSAVSSTLSSFSPTDESGSGDNGGGLGGGQVLVAAGCRACFMYFMVPKRADVCPKCGSSGLLHLSRNGYA >Dexi1A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:1A:5707148:5711315:-1 gene:Dexi1A01G0007380 transcript:Dexi1A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRGVSLLLLAIIGYCAFRGCSAQVPIPARTDGFVYGGKPPALGETVVVEAFFDPVCPDSRDSWPALKKIVDHYGGRVSVVVHLFPLPYHSYAFIACQTIHTVNKLNPSYVYPLLEKFFKYQEGYYNQPTYMKSRATVVDEITKNLVVPIIGETNLPAYKTGFNDSQSGQSARISFKNGCLRGVTGTPYFFVNGIPINGSGSPLDYMHWISILDPLVGEM >DexiUA01G0018240.1:cds pep primary_assembly:Fonio_CM05836:UA:38846633:38847268:1 gene:DexiUA01G0018240 transcript:DexiUA01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHPRVVVASAGNAEVADGHHHDEGRACWCVGAACFSLLLFVVLAAATGSLARAGAIAAAAALVLGLAGCLAPWWNGALPPPAGGPAARPAPVRVVVHHRCACGLADADIGALPTFAYEPPAAAKGGGDKPPRGSAVLCAVCLEDVRDGEMVRQLPACRHLFHVDCVDAWLRAHRTCPLCRCELPPRRNATAKGAVTAEASADDALPPV >Dexi5A01G0030260.1:cds pep primary_assembly:Fonio_CM05836:5A:33249479:33251874:-1 gene:Dexi5A01G0030260 transcript:Dexi5A01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARHASVSSRRLLAAAGALVLLSAAYFLLRSPSSARPPAAILASPSPTTSFLASLERFLAAAPHPSASAPAPGDLDAAIRAQEEARLHGDPAWPAPAAGPLRVYVYEMPSKFTYDLLRLFRDSYRETDNLTSNGSPVHRLIEQHSIDYWLWADLIAPKSQRLLKSVVRVKRQEEADVFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSKRSILLFFRGRLKRNAGGKIRSKLVEELKSAQDIVIEEGSAGALGKAAAQHGMRKSLFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYREVSMMIQTAFNIVMLTSHSFTNLS >Dexi2B01G0035650.1:cds pep primary_assembly:Fonio_CM05836:2B:42556396:42557811:-1 gene:Dexi2B01G0035650 transcript:Dexi2B01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVYLMTIMAAAMASAASGAELTADYYIETCPHALTTIKVLVGAAILREPRMGASLVRLHFHDCFVNGCDGSILLDDTDDMIGEKTAKPNNNSVRGYDVIDTIKSAVNTVCLGNVVSCADIVAVAARDSIVALGGTSYDVLLGRRDATTASIDDANNDIPTPFMDLPALQANFESHGLSLHDLVVLSGGHTLGYSRCAFFRSRLYNESDTLDPAYAASLDERCPLAGDDDELSSLDDTPTTVDTDYYQGLINGRALLHSDQQLYQGDAGDLVKYYADNPAKFWEDFGAAMVKMGNLSPLTGDDGEVRENCRLVNQE >Dexi1B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:1B:1272936:1275738:-1 gene:Dexi1B01G0001590 transcript:Dexi1B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLINLRDDKQFFVDHPGAVPITTAQNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >Dexi3A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:3A:3820901:3821518:-1 gene:Dexi3A01G0005900 transcript:Dexi3A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKVTKGELQRIQKAREIKKRKNTSGDEEEPDGEKDVCAVCDDGGSLTCCDGVCQRSFHLVDREEDGEEHDCFEKLGLTLEQAKMIIDMEQGFVCKNCQYKQHQCFACGLLGSSDDTSSQPE >Dexi6B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:6B:635663:636984:-1 gene:Dexi6B01G0000730 transcript:Dexi6B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHVSPASAAVVDAAAAAEKSARSWGLLDGFAWIGNVENATTAVSKTSDNKHIQITFCPPLAPPQLSRFYIHTPDGARMIMEPFIVATEDDIALLRVQTRYDKYPEYYIYQAADDSSGTPPSLTRLPPTPYINFNIKDMGLLRLPGKQYIVAGFRPWPATTGGDWSRRYMIRSFKEVANINPRVNLLPGHTSVCRSFTGLNIRQPVVGLHDDDARILYFVVKTDLTAAKGSVIALDLSTRKILGVSPFVARHKYDFTYMPTGLFKHLSDSPFIHRVVADIS >Dexi5A01G0033930.1:cds pep primary_assembly:Fonio_CM05836:5A:36127569:36129894:1 gene:Dexi5A01G0033930 transcript:Dexi5A01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQQEAAAAAPVAAEVVVTEAASEEPEKKAEEPAAAEAEAEAEKKADEAAVTADDAGTGSFKEESNLVEDLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKEEPEAEEAKTEETKAEEPAKEEAKTEEPAKAEEAAEEPKTEAAVEVPVEEAKTETPAEAVAEETKAEPEEKTVVAAVEEGATKTVEAIEETVVPAAATSEEAPAPEAEAAAPEPVAIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSTVLWRKRFGITSLLDADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSKLDFAPSSNCSMVQVTDLKNSPPMLGKHRTVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASAAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVSELTIKPSSKETVEIPATENSTVVWELRVLGWEVSYGTEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKLVLTINNPASKKKKVLYRSKVKSTSE >Dexi2B01G0031690.1:cds pep primary_assembly:Fonio_CM05836:2B:39737677:39738183:-1 gene:Dexi2B01G0031690 transcript:Dexi2B01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAKGCEGVLGIKVKIMLDWDPKGKQGPTMPLPDLVTIHPPKEEDELMRPLAPEILVA >Dexi5A01G0034510.1:cds pep primary_assembly:Fonio_CM05836:5A:36535603:36537006:-1 gene:Dexi5A01G0034510 transcript:Dexi5A01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSKILEKYQTNSGKILWDEKHKSLSAEIERVKKENDTMQIELRHLKGEDLNSLQPKDLIMIEEALDNGLTNLNEKLMEHWESHLRNNKMLEDEHRLLNFKLHQQDIALSGGMRDLELGYQPDRDFAAQMPITFRVQPSHPNLQENN >Dexi7A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:7A:28773122:28778961:-1 gene:Dexi7A01G0019660 transcript:Dexi7A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALTVDTARVGKLQITLPSVSNVQVEPIEVNIDKLDLVLVEKDDSENLSPSSTTSSPSATKSSGYGYADKVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFTDARFNSSSSQDNKRDDDGAKRMFFGGERFLEGISGEANITVQRTEQNNPLGLEVQLHITEAVCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQVTLQEQSCLRIASFLADGVMPNGSTVLRDPSINSLSFSLKEFDLSVPLDSEEITSLNVSAKVSGVRLGGGMTYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLAKLFKSSHLTEEENERSNVDDHNLKFDLGVPDDLDVFQSGISFGL >Dexi8A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:8A:29051108:29052532:1 gene:Dexi8A01G0017340 transcript:Dexi8A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGQVATVAQLVGLDAYSLITMIAEAAQTVRRNRATCRQMARRVEMIGGLLRRLQEAHPMRQPETRAPVEELEETLRRAYLLVRSCQRRGYAYRCFMGARHADELREVQSEIGFYLQLFPLAPVVRPYLVRFMFLSCDGCCLAFVQRLYHGNWYSTHRTAMFQCRRL >DexiUA01G0012900.1:cds pep primary_assembly:Fonio_CM05836:UA:26778010:26778786:1 gene:DexiUA01G0012900 transcript:DexiUA01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTDFKMKMLGMKSGLEEAQQAMYDREHEMERSMRDMARRVDEKDAEIQHLKEVGAETAAAQTEAIGVNTQELQARISMQQDEIVALRTALEQYEHLVAAANHHVEAPPAPVEDQQLAPEGADEEVDIVGMEPVLAAPPAPEDAQFIDEVLEGFEGFEFEVEEHNEALPEDPNAFAWANANADGFDPEGLGPLEFHPVVVDDDSDEEDPSEIQGESGMTTVTSSSSSSTSSSTSSAVRSDGSENSVNQPAPMEGGE >DexiUA01G0015300.1:cds pep primary_assembly:Fonio_CM05836:UA:32103819:32104564:-1 gene:DexiUA01G0015300 transcript:DexiUA01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSHHSPLLKMPAALTNGQSPNLSSLLTFYAQNHGHGAPANANAASGTAATMAEDASLESSSAVVDTSPQGSPSPMDRKRKATEDSATLSSAQSKESKSKRGKRSHKGSEEKSTTDDEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDK >Dexi3B01G0032710.1:cds pep primary_assembly:Fonio_CM05836:3B:35175155:35179144:1 gene:Dexi3B01G0032710 transcript:Dexi3B01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAPPAVDPAAAEEMAQEAAAWCALHGLVVGDRANPRSATVPGVGLVHAPFSLLPARLPEHFWRQACELAPIFNELVDRVSLDGDFLQDSLSKTLINHYGHFLSLEPKRVPGNAASSKFAEALAKAWAEFNVDSAVVMMIIQPEERNMYDQYWLTKHLKESYPFMFCFYFLRSHMASQQLGKHCHRWRPKGRFYQMEPFWCTDNKQVAVVYYRAGYTPNDYPSEAEWSARLLIEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLENKEDIAKLRKCFAGLWSLDDEEIIKTAIEKPELFVLKPQREGGGNNIYGLDLRETLTILQKEGGDARAAYILMQRIFPEASLAYLVRDGISHEGLVISELGIYGAYLRNKDKVVINDQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKVILHLSTFSAISFN >Dexi2B01G0028950.1:cds pep primary_assembly:Fonio_CM05836:2B:37448312:37449775:-1 gene:Dexi2B01G0028950 transcript:Dexi2B01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKTIVLYPGLFVSHFVPMMQLADVLLEEGYAVTVALIDLTLEQDAALASAVDRVAAAKPSVAIHRLPQIHNPPLVAGAGDGDGDAPLIWYFKVVKLYNERLREFLCSFPYRSVHAVVIDAPSVDALDVARELGVPAYSFFATNASAVAVFVQLPWMRAEGDPSFKELGDTPLEFHGVPPMPASYLMRETLLDPESELYKAMMNAMRRSSEPDGILVNTFASLEPRAVGVLRGDPQVVPPGVRVPPVYCVGPLVASGEVREETQQHECLAWLDRQPDRSVVFLCFGSIGAATHSSEQLRELAAGLKSSGHRFLWVVRAPMRGGDAERLFDPRADADLDALMPDGFMESTKGRGLVVKHWAPQVEVLRHRATGAFVTHCGWSSAMEGITAGVPMLCWPMYSEQKMNKVLMVEEARVGVEMVGWEQGLVTAEEVEAKVRLVMESEEGEQLRARVAAHRDAAAIACKPGGSSRAAYGQFLSDAASLRQE >Dexi2B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:2B:8660499:8661439:-1 gene:Dexi2B01G0008470 transcript:Dexi2B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSSAHARNLVVVDVFTGAKVLPPQLPVRFSKDTYFYSGMLTAPLTSHNAHLIVCAAVEQGSARRSLLDWPVGSDSWSELKLNDSRIEQIVDFNGQFIALDYEYRIHTLSLAPHLGLQEIATVWWDDMDECPYLRPWLVVCGDMLLIVDHYISLSFDGAPVNYKAFRLDMSTAPAVWVEVEKLENYVLYIGSDVRSPAFSCVSPGRWGTRNNCLYYAYYDVPWILHGLGDEADAVWDTDNDPDIVFKRNWYTQLQPFWVYPSMFYADADDAE >Dexi1B01G0029030.1:cds pep primary_assembly:Fonio_CM05836:1B:33440059:33440569:-1 gene:Dexi1B01G0029030 transcript:Dexi1B01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRSINVGCADGTQPLGQLPHVSSSGITRLNATVHPRSTSRRTALITSLTSGWPSLATTESKNPWWTRFRTPSVTASEPSCSLSSRTISASEFTPFSLCSGQTWSESSRITTAAASAAAPRPMASRCFTSGRVDSSLDTILAPK >DexiUA01G0017760.1:cds pep primary_assembly:Fonio_CM05836:UA:37576147:37583112:-1 gene:DexiUA01G0017760 transcript:DexiUA01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRRRQEPCEIGLADSQAASFHGLAAGAARGGAPRARIAVYKSLWGPGGHGSSATVLAAIDDAIHDGVDVLSLSLAAPGENSFGALHAVQKGITVVYAAGNDGPIPQTVENTAPWVITVAASKVDRSFPTAITLGNKQQIVVRDNHYTTKGRTHPGAFSQAFKTEARTCTADALNGTDVKGKIVLCLPLERPLVQMPLTFFSVALQNVLDAGGSGFIFAQYELDALDATADCEEGFPCVLVDINTGKLIGKYIDGTSSPMAKIEPARTITGEEVLAPKVASFSSRGPSTDFADIIKPDIAAPGSNIIAAKKNSYAILSGTSMATPHVAGIVALLKALHPKWSPAAIKSAIVTTASVTDERGMPILAEGLPRKVADPFDYGGGHISPNRAADPGLIYDIDPRDYNYFFGCIITTSASCNSTWVPAYLLNLPSISVPDLRYPVTISRTVTNVGEVDAVYHAAIESPAGVKVEVEPSVLIFNAANKVHTFKVKLSPVWRLQGSFTFGSLTWYNGQKSVRIPIAARITVHDLFAEVA >Dexi9A01G0049240.1:cds pep primary_assembly:Fonio_CM05836:9A:51910318:51911555:-1 gene:Dexi9A01G0049240 transcript:Dexi9A01G0049240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAPSRKTETYTDTKRRDDVRGANIAAARGVADAVRTSLGPRGMDKMICSGDQEVIITNDGATIVSRMALIQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLAVGAHPTAAADALHRLSTRAVEILHAMAIPIELSDRESLVKSASTALNSKVVSQYSTLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKASHAAGGPTRMENARIAVIQFQISPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKAAGCNVLLIQKSILRDAVTELSLHYLAKARILVVKDVERDEIEFITKTLNCLPIANIEHFRTDKLGYADLVEEVSVGEGKVVKITGIRDMGRTATVNCIFR >Dexi9A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:9A:3688357:3691374:1 gene:Dexi9A01G0006470 transcript:Dexi9A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLGRIQSAGRLSGGHGRLSQLSFSGGRPLPPPGDRWDHGPHLDRFSDPVVAHEDRKFIQFLDRMLDAIRNPQSLAQIQRGRLANGLKALDDDL >Dexi2B01G0026560.1:cds pep primary_assembly:Fonio_CM05836:2B:35655804:35656957:-1 gene:Dexi2B01G0026560 transcript:Dexi2B01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHPGVRSSICTSVDATKQIKFLSYNVWSREDIFVYKRTLAIGALVKKHDPDVIFFQEVTPYIRSIFEDLEWWNKYHCSPAPPEEQPFCLLLSKLPLEKFARWKFANSPMGRCYLEADINPSGPAPVATMKPIRVAITQLERASPPAPMRCVERYAQAEHAVAALSSAENVVFGGDMCWCVGTDRPFPLPAGWVDAWPAWMQWHGAQQQGRDRGFGPTQLLDLR >Dexi9B01G0042240.1:cds pep primary_assembly:Fonio_CM05836:9B:42497046:42498758:-1 gene:Dexi9B01G0042240 transcript:Dexi9B01G0042240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKSLTPGAVSHILANPSPGDAAEVPELVVQVVDLKSIGGTGSRFSFMASDGKDKIKAMLPTQFAAEVRSGNLQNLGLIRVLDYTCNAVPNNDNEVKKEEEPAIVLKPKDEGVVVSKPANAPPVVLKPKQDLKSASQIVNEQRGNAAPAARLSMTRRVHPLISLNPYQGNWIIKVRVTSKGNLRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYPVFELGKVYYVSKGSLRIANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQVQYNFVNIDQLGPYVNGRELVECITHTEC >Dexi1B01G0027930.1:cds pep primary_assembly:Fonio_CM05836:1B:32582848:32593871:1 gene:Dexi1B01G0027930 transcript:Dexi1B01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCCRVFSTQRCRLPLRRLAAPPWSRPLCSESSGGLAAASISKRRSRGPVMAAKKAEQGEKQEDGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSARNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVSFVPGWDCHGLPIELKVLKSMDKETLGALTPIKLRQKAAKFAKAAVEAQMKSFKRYGVLADWDNPYLTLSPEYEAAQYSENHISRSIYAAFKITNSSKSGILDEFLPNLSLVIWTTTPWTIPANAAVAVNPELAYTVAEVQSVLINESTSGGKQRKVGTLLSSEKGKLFVIVASDLITTLESKWGVKLAVQKTFPGSALEHCRYAHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIISPVDDEGNFTAEAGQFSGLSVLGAGNAAVVKYLDEHYSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMYAIRQVTWVPSQAENRIVAMTSGRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKAIVSKKGSDAWWYMTTEELLPDKYRDKASEYRKGADTMDVWFDSGSSWAAVLAKRDGLKFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSVGNVVDPEKLIVGGKNQKEEPTYGADVLRLWVSSVDYTGDVLIGPQILRQMSDMYRKLRGTMRFLLSNLHDWKLDNAVPYNDLPKIDKYALFQLETVVASMKDSYENYQFYKVYQMLQRFAIVGLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLTAHLLYLVRAIAPIMPHLAEDIWQNLPFEYTLPDGSVAKFVFDLKWPEKNEEWLSVPKDDVDFLGIILELRSEVNKILENARTGKLIGSSLDAKIYLHAESSDTALKLRELSSASNDADALHRLFITSQVEVLPTLNEENTSSISYTGKFSDPRIGEIWIGVARADGAKCESHNLLPQLSVET >Dexi7B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:7B:12040762:12046223:1 gene:Dexi7B01G0005000 transcript:Dexi7B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERALAPAESPEEAPPDAADIRSRVEQLSLKHGRPGVEEAEVADEEVMLCLDTAYQVAQEGMDVLDPSTAAISISTDDLGYSICLTCVTYLHTLPLLSSDALERLRKEVALVEEGNRKVSDEISVTAETANNDVIQLDADIEVLESLLSKLESKGLNHLEGNPVLGLSDSTDSCRNQSIADEDCIYEVLELDHQIGKSKMCLKMLQNLQSVDEMWQLKSTLLTFGANVLDFKDNCLRMLLKAPTLTSDCIIYGQKMNCAIDSFISDHELLIEVDEGNMEPKNLKIFPDDVCVDKLIERLSRHSFEYFNREEVIIAHLDRGVDASIKISSDWPLCSYGLKLISIHNSGTHPTNVASSLLSKTQELANGLEQEIRQHLVRFMDAVEEILIRELQSG >Dexi3A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:3A:13724673:13727329:1 gene:Dexi3A01G0017990 transcript:Dexi3A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLRIGRVLDCFSFSLQCAGTCVCVRALEEEEEAAVEREALVTSGHRQQVLQEQVLRLRDLVDGTRTLGFHIQPKTVELRVSMHCNGCARKVQKHISKMEGVTWFEVDLERKKVVVKGDVTPFEVLQSVSKVKFAQLWMPGAPAPQHS >Dexi6B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:6B:2942674:2944654:-1 gene:Dexi6B01G0003570 transcript:Dexi6B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGMWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPPVMSTTTTTSSSVTTSSPPRSTAASDTAAALGHHLQTSPFATTAEHLQLDAIISQSLSLPPPKLAAGGEHSPPATAPLPLHCPFFMFDTSPPPSSLTSPVAQLHQHPFLTFTAAAMETPASYQLPPLVDGIGMGMTAMDCSGHDHHHQAGSNGGQAAAMANGGRGCYGQQQQQQQQQKQEEVEQLGHEEDQWDDESAQHLLMWDDDQELTPSNLEAMESGAHSLLFMGPNDHHHA >Dexi3B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:3B:10296767:10300120:1 gene:Dexi3B01G0014230 transcript:Dexi3B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGAGEPWPASSSIVGSVTAALLVAAVIARLFAPRLWSSSWIKQRRGTASSAAGARLPSGSLGWPLLGETPAFILAAYSPRPESFVEKRSVLYGKVFKSHLWGSPAVVSVDPEVSRAVLQADASAFVPWYPRSLMELMGESSILVLGGGLQRRVHGLAGAFFKSPHLKARLTAAMRLRVARAMDAWRRRLGGSNSNNPVRVQDEAKSIVFEILVRALIGLDEGQEMQYLRQQFREFIAGLISLPVKLPGTQLYRSLKAKKRMTKLIQRIIQEKRNRRITEGTHPSDMIDVLLGNGSDEFTDELISDNMIDFMIPAEDSVPVLITLAVKYLSECPLALQQVEEENMELKRRKSDVGETLEWTDYMSLTFTQHVIAETLRLGNIINGIMRKAVRDVEVRGHLIPKGWRVLVYFRGVHLDAAVHDDPHAFNPWRWKERADVVGGGGGAFTPFGGGQRLCPGLDLARLEASIFLHHLVTNFRWVVAEEDAVVNFPTVRLRRGMPIAVTPRE >Dexi1A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:1A:25232012:25233707:1 gene:Dexi1A01G0017950 transcript:Dexi1A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSSSRARSRSWGAGPWAPTPPLSPGQVRPRHERSRSTTSSTSPPLVSSSSEVRCVVAPPCYSLTSTTLAATRMGAPACQSHGDIMKDQASETEGEAARHEQQPPADQRQASDDAAGKAVVRRLEREVALAKQTEMKMLESLVQQTKELEQAKIALEEAKLELTALRQQHHLHRQGSDPPPPPQQQWSVMDLMFGGVDEEINGLRSRLRAASQAEERSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELESTNAEADRLRALLRDAEAELWSATDQVRTLTSGWEDAAAAWRAREKALLARARASEEDAAAARRENAGDLERLRRAMERAAEDASAAAEALELAAGENAAMKEAGEEKDRAMEAMRRENEALKASDAAARDRAKELEAPLLAAAAKAEEIPLVEKWRREAAQGKLGAAAFLDTGRVLPGRKDRMFASLSNLAELKSAAAAAAMDDYDYEFDHFDVGHRYGVGGGGATEHAMKHKKRRSILRKFGDLFRRRSMYKSNLAPELHDHY >Dexi5B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:5B:3926254:3928360:1 gene:Dexi5B01G0005810 transcript:Dexi5B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAVLSIGTHLIPHPRKAKTGGEDAFFANSDAGGVFAIADGVSGWAEKDVNPALFSRELMRNSSNFLNDEEVNRDPQILLMKAHAATSSIGSATVIIAMLEKTGTLKIASVGDCGLKIIRKGQVMFSIYPQEHYFDCPYQISSEATGQTYRDALVCSVNLMDGDIIVSGSDGLFDNIFDQEIVSIISESPGVDKAAKALAELARKHSVDVKFDSPYSMEARSRGFDVPWWKKLLGAKLIGGKMDDITVVVAQVKTVAVPEDEGGDTEEQKGTEQGVAVAVASAEQNEG >Dexi1A01G0021820.1:cds pep primary_assembly:Fonio_CM05836:1A:28538823:28540215:-1 gene:Dexi1A01G0021820 transcript:Dexi1A01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTEDQRNRMDTAFSHVPPGFRFHPTDEELVDYYLRKKVASNKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYTKSCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTAPEEGWVVCRVFKKRVATVRRMADGAWYDDHLAAGFMPPDLGSPARQLMMHHHHPSSVAAACGGPQQLYHCKPELEYHHLLPSQDAFLQQLPQLVESPKPPAYITQGSCSLQSSDEASRYTTPPMDSAYVAAGDDDVTDWRVLDKFVASQLFSHGDGIPKEAGCSNPAQVFQAESKQPQEGQALDYASTSAASGGGEADLWK >Dexi9B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:9B:9003374:9004133:1 gene:Dexi9B01G0013370 transcript:Dexi9B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDATTAAEVAAVVTLAVLIVAIVAASAGACGGGARAAAVHDVELALGSDTLVTYDQATAALKSIIIRRKASSSPAPASTEEEKKEPEEAAEAPPCCALCLSEYAGGGGELVRVVPACGHFFHAECGVDWWLKKRGTCPLCRGELADKAGKIAPGVLNG >Dexi4A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:4A:24632683:24646004:-1 gene:Dexi4A01G0020870 transcript:Dexi4A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAARMGDARGRDEWRRRRKRRRTGGGGKGRTSTTVVTAKASASMSKVLLKDAISQIYLNPQIWIYASYKVQRDLYVFVIKYFETDGRLLPHLCGLPWIIDIVCRYYWEKAHSRHVVGSKPLQHPVTKDVIGERPKIVEIRKLRLLLLNLAEMSLKLKISPDDIRALVAFFERSQDIECIRDILDMIIPALSQGSFLSSFMENVNCLGGCCIFFNLLNSVLGGTTLQQVLQENSESDPSRDESCNHSSLAPFSLPEILVCIFSYMHSCQDSSARKRILNDILGSLDSHPSNIEALMEHSWNTWLETSTNLDVFKNYKSVSKGELDVVEKDELNLVRNLYSLVLSYYLRAVRGGWRQLDDTANFWLLKIDQGQLSSFDFLRDILDDIAGILLQESVEDNIFLVQPCCDNVLYFLNLIQELLVDQMGIKLLFPSPNLSEESSHDNIWREDIKARLNDILNTESNSKCTSLLWTACKFSDGNNVSDDWWSFFDKVWIIICNLNGKGPSKLLQKDPNVDVASLGQRPRGWVESVNVPASEKAAVVVPGGIGTALAVKMNIFAEKTTTSRDEIIPRVFFHLVILYLCKAGSGNVSKCVLQFMSLLPILLISEDDQSKNKLHFLIWSLLIVASEVKHMKAVKADRLKQMMELQLKLNESSAKKTQLAQAVEDEIHFIVSAALSADDSRKAASQLAFRGDQQMITDKWIHISRALMDERGPWSANPFPNDIVTHWKLDKTEDRWRRRFKLKRNYKFDERLCQPSQSTNESTDPSADQPYISAKIPEKMKRFLLKGVRGITEDTGYEPFDDTSDASKSPQSNPLESQNTNNAADCSDYHAAVHDKKEPSSTNGDNDYTKVLCSVRCVLVTPKRKLAGCLDITRTVMHFSFEFLVEGTGGSSVFTKFKDKKDSDYKNELGGADRLDGCRDGMIETHGVLMQNQSNKIKRHRRWNIAKVFEDRYLSFCDPDIPSFYYGSHYSSMGIVLHYMLRLEPFTALHLSFQGSPDEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEVQCAANIFYYVTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRMKHPRRGPPIPIAHPLYFAPQSITLTSSVSSTISHMCAVLFISLLENTVVLMNEGLVLSVKLWLTTQLQSGGNFTYSGPQEQFFGINSDVIFPRKIGTFLAENVEFGRHCLATMQNNGDNYMILCGNWENSFQIISLSDGRIMQSIRQHKDVVGCVAGTRDI >Dexi1B01G0028450.1:cds pep primary_assembly:Fonio_CM05836:1B:32989276:32989918:1 gene:Dexi1B01G0028450 transcript:Dexi1B01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRLRPFLAAATTTVLLLVATVLLAGATEADAGKSLASAFLDPHNAARRAVGVGPLRWDERLAAYARRYAAARSGDCALAHSHGPYGENLFRGSGGAGWTPADVVGAWVRERALYDRRANACRGGGGHGACGHYTQVVWRGTTAVGCALVPCAGGRATFGICSYNPPGNYVGPTPHARTDACI >Dexi8B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:8B:1991864:1994645:-1 gene:Dexi8B01G0002840 transcript:Dexi8B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHTKEGSNLTADSTEDSESSSKNNSNHSSDQHGAAANMVSQFDQGSQQQQEIQHKNMATSSTTKSGKTLDPKVMTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLSQMEQDMQRARSQGLFLGGVPGANTSSGAAMFDVEYARWLDDHGRRMAELHGALHAHLPDADLRAIVDDTLTHHDELFQLKAVAAKSDVFHLITGVWTTPAERCFLWMGGFRPSELLKTLLPQLDPLTEQQVVGICNLRQSSQQAEEALTQGLDQLHQSLADTMAGGSLIEDANMSFMGQMALALGQLSNLEGFVIQVRNISVYTLILIIR >Dexi2B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:2B:189882:190587:1 gene:Dexi2B01G0000460 transcript:Dexi2B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHLDLELAAALAAIVLHVSMPAVVCRRLAGGGDGTSTGGMLTTTAANLHHLHLFMHDEHTGPTPTAVLIVNGTGAPVTGDVRFGDTVVMDNVLTEGPTRDSRQVGRAQGTYVTTSLPREGPPAMLVSMNLVLTAGSTVTVVGRNDVTLPVRELAVVGGTGRFRMATGYVLWKTRSWRPKSAVLELDVYLRTTA >Dexi1A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:1A:8947424:8948122:-1 gene:Dexi1A01G0010350 transcript:Dexi1A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGWGGPEVEPDRPPVQYHMSDDEEDKAIYARADRPRSPTSPGYGHHRPIVDDDDGDDDMAEDDVDAAAGYYYSSSESDDEAWPDAPEYIYEDDPPDLAGAQRQAERYATYALDHYNADPSNVVKYELVEATDSNYIMVGYAHVNFVARPRGVAGEERRLFFAELHQQLGRDTMVPTCLRSLDSEDDRVGGVGGEPWEDFAEDEARYCFACHDAIEHPKDGTCYRAGH >Dexi4A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:4A:21031740:21034988:1 gene:Dexi4A01G0017320 transcript:Dexi4A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPILGERTCESLLQQLQLIWDEVGESDEDRDKMLLQIEQECFDVYRRNVNQASSSRARLLEQLAHSKSELTRLLSSLGELSIAGIIQALASQLSDLWNLMDAPMEERQPFHHITCNLSLTLDEVTVPGALALDVIEQAQLEVERLDQLKASRMKDIAFKKQIELEDIYARAHIAIDSSAARDRIMSIIESSSFEPSELLADMENRILEANEEALSRKDILERVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARGLVNKIPAIVDTLTTKTRAWEQEHGWPFTYDGVHLLAMLDEYKNLRQEKEEERRRLRDQKKINDQLAAQQENLFGSKPSPARPQSSRKVAGARTNGGTVNGTPVRRLSALQSGGRTANRDGRRDVSRPVAPVNYVAIAKEDAASQASSNHTGFSTP >Dexi7B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:7B:11785241:11789533:1 gene:Dexi7B01G0004810 transcript:Dexi7B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDQISTGRKRSIHDRLDGDLPAGAGAGGRARHTASKRQRQIDEKWKHDLYREDDEPASKSIDPRDLRLKLQRRSSQQGFTSIKTSGVRDLREKLSGTMHPQPSNADPPKPKPVSEVVRIARRQAADEMPARQSKKASKQTASKKASQPKAESPLDSFLSSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIILALESRA >Dexi7B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:7B:19721094:19722611:-1 gene:Dexi7B01G0013120 transcript:Dexi7B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSEARLVEDLRDFLDDFAFRAKRLAAPLLRPFGPSAEPAAVDDAELDRLKAKLRRIRATLRAAEDRVVADEFVALWLRELRDLEHAAEDVLEELEFEALRSARLEGFKAQLLRSSSAAGGKRKREISLMYSSSPDRLSRKIAKIMERYNEIARDRDALRLRSGDGERRQEVSPMTPSSCLMKCRLHGRERDLRRVTELLLSDEASCCDVYSAVPIVGPAGVGKTSLAQHIFNDAAISAEFDIKMWVWVCQEFDVLKLTRKLAEEATESPCDFADMNQMHRVITDRLKGKRFLLVLDDVWDESRDRWSSLQVPLKCAAPGSKIVVTTRSTKVARMMALKVHKVGYLSDTNCWSVCQDAALRGRDPSIINESLLSIGKSIAARCKGLPLAANAAGHVLSTAVDRNHWEAIEQSDLWSSEVVEQTIPALLVSYNSLQKHLKRCFSYCSLFPKEYLFRKDKLVRLWLAQGFVEADSAQHAEDIACKYFDDLVDKFFLQRLPYYEGR >Dexi8B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:8B:3737125:3737918:1 gene:Dexi8B01G0004240 transcript:Dexi8B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAVLREVGRRLTAAAAVSAEDPEPSGSRRAPAVAAGPEFSHIFHIDCSKWESRRAMQRTIAEKLELPTPVMDMLDAQDEEDDYSGMGKGSRAEIPHVSEAIYQHIQKLVMNRRFLVIFHNGSSEEIDLNTFGFHLSGYSRNKVLWSFQGRFRLYPRTKVDRALMSTRTTTDVVLSASIEDRWRLPAILSSDAEEVADGSNIIDSFCGLWEEASHHRQAQYCFEYIMKLCRMGSHLVDYELTTHGSNYWKFKTGGR >Dexi3A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:3A:16040427:16041518:-1 gene:Dexi3A01G0020190 transcript:Dexi3A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLPILLVCSSLLATAANADPTTEFCPSNANYTRGSTFQANLNVLLSSLPATAAGASTGFATNTTGSSSAEQAYGLAQCRADVVNTSACGACLDGSAQDVAASKCAGQKRATLVYDDCLLRFSNESFVGTVDYTSGPVALVNTQNATQAELFMAQLGSLMHNLTTKAAYGSPRMFAVGSAAVTPFASIYGMAQCTRDLGDDDCSSCLLNAVTTIPNCCSGKIGGQVIYPSCSIRFEIQRFYNLQAAEAAMSPAPAPGEP >Dexi9B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:9B:3851121:3854700:1 gene:Dexi9B01G0006400 transcript:Dexi9B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLLLLLLLALAAGAAAADGTDADALLAAKAALSDPTGALASWNATTSPDHCAWAGVTCAPRRAGVVVGLDVSGLNLSGALPPALSRLRNLQRLSVAANGFYGPIPASLARLQQLVHLNLSNNAFNGSFPPPLARLRGLRVLDLYNNNLTSATLPLEVTQMPMLRHLHLGGNFFSGEIPPEYGRWPRLQYLAVSGNELSGRIPPELGNLTTLRELYIGYYNSYTGGLPPELGNLAELVRLDAASCGLSGEIPPELGRLQNLDTLFLQVNGLTGSIPSELGYLKSLSSMDLSNNALTGEIPASFSQLKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNKLTGTLPPELCTGGKLQTLIALGNFLFGAIPDSLGQCKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVIGIAAPNLGEISLSNNQLTGTLPASLGNFSGVQKLLLDRNSFSGAVPPEIGQLQQLSKVDLSSNKFEGGVPPEIGKCRLLTYLDMSQNNLSGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGIAGSDHTPHGHGGLTNSVKLLIVLGLLVCSIAFAAAAILKARSLKKASEARVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPNGELVAVKRLPAIGRGSSHDHGFSAEIQTLEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWAKMMTESSKEQVMKIMDPRLSTVPLHEVMHVFYVALLCTEEQSVQRPTMREVVQILSELPKPSTKQGEEAPNAYDGSASSPLHPAPDGSNESPTGEARDRQEQQTSSPSSPPPDLISI >Dexi1B01G0022550.1:cds pep primary_assembly:Fonio_CM05836:1B:28282446:28282949:1 gene:Dexi1B01G0022550 transcript:Dexi1B01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRKSQDQYNCMKHTGGSGGGSHSNKGRRARGTYTVAVVSTAARYSTAQGSVTAAARRSIAAALRSADAREGGSGEFPRKRRRDVTENWAMVARRCLPIAGRGRRAPGTATDAGWNRERQFRRDAERVSDV >Dexi3A01G0031970.1:cds pep primary_assembly:Fonio_CM05836:3A:36540177:36544511:-1 gene:Dexi3A01G0031970 transcript:Dexi3A01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLTRDFGLRPQGEAAPMSAARSSGPAGSAWTNTRSASPSSAVAPSAPLYDDLFAPAAASAPPPTKSTPSPPPSFDTIFDSYKEPSSAATAPPPKPKHSSMPLYDKPVYDDDDIFVGVPGLKSSSSRHDDVFGGSQSQAPAPDFDDLLGGFGKKSQGMEQVEEKRKTRPAAAASAGFDDLFPSFGGRSSPRQREAVGAKEKKVSMSASKPTASMASDPFVVLETTSSSAHPFTDQLDELGKPAKYQGKGHESTSADSSLFEDSSTFNQASKSEPLFTSEVDNVSKDRSHSSRARDSNPVQNFPKRHSAQQPSAEDFENIFHKSQSARYSDVHVDMGSEKYSGNGINDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPLLALKQKHGSKSKRNDDDYLRRPRKNHDHQRSSSNQAGVSSVSELEDFAMGKSQNAHAFNGEEFERSTAAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNRERQEQDNEARLYAQDREDKERKERLEQEREMRQKQEKEREQRRLEEERELERQRERERARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQQEARERAAVDAKERAERATAEAKERAAVERATAEAKEKASSQARDRAAAERAAVERAQQEARKRAERAAVERAAAEVRERQAAEARERQAAAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPTVDSMFDTQSQNRGTSTSASMKKASSTANIADDLSAIFGGAPASSDEFQEINGESEERRRARLERHQRTRERAAKALAEKNERDMQQQREQAERHRIAETLDFEIKRWAAGKEGNLRALLSTLQYVS >Dexi7B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:7B:1464802:1467075:1 gene:Dexi7B01G0000700 transcript:Dexi7B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVHMAGGAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKATKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGATDVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEDSSSSKGKEKEGDIEEVEDDEEKKDSKKKKKVKEVTHEWVQINKQKPIWLRKPEEITREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFFEIAENKEDYNKFYDAFSKNIKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDDDEEAKKRREERKKQFEDLCKVIKEILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPDNGIMEELRKRAEADRNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDEEAAAEDDADMPALDEGAAEESKMEEVD >Dexi7A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:7A:13041455:13042575:-1 gene:Dexi7A01G0003520 transcript:Dexi7A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFPGTGEFPYGLAAAPFVGSSSYAPSPGLDGVAAAMMMQAGFGLPAWPDLQLPDSSAAAHFDSALSSLVSSPAAAHNYAGADDDVAIGDLIGRLGSICNAAAAATSATNSCYSTPLSSPPRGATSPAAMAFRGGGGGRLSRVASSKSLGAGAATPPEAGTTSPTTGVAAVMRDDLAPPPAKGGAARKRKAASGKGKATTSAATAAANAASPPKRSKVAADGEGDGAGSAPAAVAPAPEPEAEAVKDYIHVRARRGQATDSHSLAERVRRERISERMKMLESLVPGCNKF >Dexi4A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:4A:17428931:17434096:1 gene:Dexi4A01G0014930 transcript:Dexi4A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGGPLPAAADAMDVDPPRASADEKTISYMAERVVGTGSFGVVFQAKCIETGETVAIKKVLQDKRYKNRELQIMRSIDHCNVISLKHCFFSTTSREELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLSHQVKVCDFGSAKILVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKIFHKRMPPEAIDLVSRLLQYSPNLRCSALEACAHSFFDELRELHARLPNGRPFPPLFNFKQEIANAPPELISKLLPEHARRHSGFSSLFGTGP >Dexi7A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:7A:22257805:22263746:1 gene:Dexi7A01G0011920 transcript:Dexi7A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDRFMLLRSRDTTAGFGADEQLTPLHAHGVGSLASQLSRWCRVSIIWLFNARRSQPSWFKPWEPEASRKPGTPSLIEDSGIKESPCYDLLGSNIPKEAAARTQRQGGSQKQDEERGDRDQEHTAAHLPEADLPKAGELFTLAPARAGELPRTVLEPRPRPTKQQGQQRRAPGGDTLELNSPAAAAADAGVVVLRRRRRRRCCRRLGRARDASTGGEGMDEHNEGGSRMRAARRSVDGGWSWREEEEEKRNWKLGMAGKHAFKLPSRSDGGRSNRGRLLSLSLSCFRCLCFSTKVPEPSDREREPLDRMRMRPCGSFGLDGELVGLVDCGVSSWLTSEISYRQYVSARVDWGKKRRARGRGHRLGTETERVVSGAGFWVASFRSGLAASCQYWRTKTESIIVRTSHRFLDTYVYVAWSAGAAGGGGELQRQAGWWSWSVTLPHRVDRGSKIVLYQPRGFPGYAEERCRKKKMPALPTCRVCVRVRDGRCVLSLGYRAARCLLVPAFPWTNAEGGTHSLRSQLTREESTTHERWTAGLAFHDVPPRHALDGGSCSTSERLVLDGHAWSRKEEPTPDAAGSVSFSYSPFVSLSRERESERRRERKTRGMEKKGTGRRIVLGYVETVHEHEDAGISHNTD >Dexi4A01G0022290.1:cds pep primary_assembly:Fonio_CM05836:4A:25553066:25554570:1 gene:Dexi4A01G0022290 transcript:Dexi4A01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGLCWPALLLLVVVALALPPAAAGDHDFRRDFDVVWGQGNARFRDGGREVELSLDERTGARLQSKRRYLFGRFDLEIKLVPGESAGTITSFYICTGGARHDEVDFEFLGNASGEPYLLHTNIFSDGKGEREQQFALWFDPTAGFHTYSILWNPHNIILYIDGTPIRVFRNNAEHGVPFPASQPVHVFASIWDAEDWATQGGRVKTDWSKAPFVATYRRYNVTNACVWEEEEDEGEEGGHGGGGAARCSTAMAAVGGVRRREASWMAQKLDWWSWMTLSWVRMNYMVYDYCDDRRRFPSEFPPECVIPIGRS >Dexi2A01G0025270.1:cds pep primary_assembly:Fonio_CM05836:2A:36940069:36945013:-1 gene:Dexi2A01G0025270 transcript:Dexi2A01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQAPPSRRGPAMSAPQPPRKRKKKGRPSLLDLQKRSLRLEQQLLQEQQQQPQGRRGTRRNPGSADDEDDDDEGPASGSGRREKKLRLVMGLHDGSAKLPDYHDIIKHPMDFSTIRKKLDKGAYSNLEQFEARGIQEIAKKDFENLRQDSDASEPEPEPEPKQEPEPEPEEPKPQPRRGRPPNKNNAKQKIGRPPADWATADFSGATLATAANSGRQAQPDLDLLREYVDYQNGEEANSDGR >Dexi4A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:4A:8480240:8481884:1 gene:Dexi4A01G0010590 transcript:Dexi4A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALADVVAIAILALTTVAAAASTSEAAATTAKSPPVIYIFGDSMSDVGNNNYLLLSLAKCNYPWYGIDYKTGLPTGRFTNGRTIGDIMAAKFGAPPPVPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVEYLSFDNQISSFEQIKNAMIAKIGKKAAEEIVNGAIFQIGLGSNDYVNNFLRPFMADGIVYTHDEFIGLLMETMDRQLTRLYDLGARHIWFSGLAPLGCIPSQRVLSDDGECLDDVNAYALQFNAAAKDLLQELNAKLPGARMSLSDCYSIVMELIDHPQKYGFKTSHTSCCDVDTTVGGLCLPTATLCPDRKDFVFWDAYHTSDAANQVIADRLFAEMVGSGAVVPGNGTSPPRVVAAPVRPTTGVAPPRKP >Dexi2A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:2A:8240918:8241908:-1 gene:Dexi2A01G0007970 transcript:Dexi2A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPEAVAVVSRQFCAPYAVPFTVVKKAISLSGGDFVVTDGNGAEMLRVKGAVFSIHDRRVLRDAAGHPLVSMREKVLSMHNRWEVFRGDSTNASDLLFTAKKASVFQLKTEVDVFLAGNTAQQTCDFKIRGSYFERSCAFYLGNSDTMIAQINRKYTAANLLLGKDTFVVTVFPNVDYVFIAALVVILDEIHRERFD >DexiUA01G0008690.1:cds pep primary_assembly:Fonio_CM05836:UA:16324491:16324975:1 gene:DexiUA01G0008690 transcript:DexiUA01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVDKRRKGHGAEEEDDADAGDDYGGVPKASVRSDADADARGQWLNA >Dexi1B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:1B:23348033:23349193:1 gene:Dexi1B01G0016960 transcript:Dexi1B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELGRPVHRPSYWATACRGEGFRGERKTSHLADDEEFVGGGGRGSHLLPLTAERQQSFSSRAPPFSSPPSYLAPPSPPHPPPHTPPLPSRPSSLSRPPAASPTARPSTLHFTGASIPTFLPRTPTASHTIRRPSTSPSLPLPPLPFSLSLSPIFLLSCRRPAEGTRGRPSSDPLQTSAVHSSQILLSLPLVAREIGAAVGLPVEGRTRKEEKTEPKEPGFEGVPRVGVKKNLSVFDRRKRKIDDDSWDMTCGTMVVMPALLNGLQIEQQQQLQIHS >Dexi7A01G0023280.1:cds pep primary_assembly:Fonio_CM05836:7A:31319234:31319594:1 gene:Dexi7A01G0023280 transcript:Dexi7A01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPVTSTAMEEWVREGYAAKHRVAIDKLLQLRVFVEVRDK >Dexi1A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:1A:25914721:25915534:-1 gene:Dexi1A01G0018700 transcript:Dexi1A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQRVRGGDMYPASATGDHQEARRERDKIVQQAAGQEQRGGVGVLHVTETDLPEGRRMVTSSVDGQVLSQFTMPVPDQNVTEATDAVTVGEALGAVAHTSAGDKPVGHADAAALQAAEMRATGLGGNLPGGVAAAAQQAAEKNVRAEGGKVVTIRDVVGNAEAVLPASKAATREDAEKVAAAAARNEGKGAGGGVVDALAAAADMNEPKKRGK >Dexi7A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:7A:18264024:18264671:1 gene:Dexi7A01G0006880 transcript:Dexi7A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTAFPAATAPDEEAFPTAAAHLRLPPSPPAAAALASRATDAVAEQEPRRQEEETVEGDGGDAAPASPSAAKEVEDRMDHLWEDFNEELAVAHQQQAAARRRRARSARRGGGSSWRGDGMLLPAAAEGPWSPSPPSEDDAGRGGCVPVLRPSSRAGGGARHYRRRAGTWVLLMRIFRRLFVVEKTISSASAAAAVARQRSSSTRAR >Dexi7B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:7B:25429513:25435875:1 gene:Dexi7B01G0019960 transcript:Dexi7B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEELMNLLFSFLKPDHPHGTLSAGYFAKVVVCLMMRKTLPLVSYVQGHPEIVTQLVDLIGITSIMEVLIRLIGADETMYSSYADSMQWLDDIGVLEMIVDKFSTSDSPEVHANAAEILCAVTRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLDSLGDLLKLLDVLSADNVLPTTYGILQPPLGKHRLKIVEFISVLLSIGSEAAETRLIHLGAIKRAIDLFFEYPFNNFLHHHVENIIGSCLESKQDQLIGHVLDECKLVTRILEAEQNSSLSTDLTKHTLSAEGRSPPRIGIVGHMTRIANKLLQLANTNTMVQSHLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDEAQASLERDDEDVYFDDESAEVVISSLRLGDEQDSSSLFTNSNWFAFDEDKALNDGTVSSEASPSPNSEISAPKVDDENDEVILGEVIDDTKDSELSLPVTKGSEPPLPVSDKDTNEESSHTILANGTIDKLEDDIRPPTPDVKESQPECVEWREEEAKPGDVAEKDTAGFEVEDEKKLDTMDDVMPSDAKLGEERDSSLGSSAHEATAEAALPVPSDASDPESIKHPQPGTDSTASEYPMGEQNHEEDEEKKE >Dexi7B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:7B:20404951:20405985:-1 gene:Dexi7B01G0013990 transcript:Dexi7B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTQTSPIHAEQEACAEDETNPELYQHFTNLVSSLPTSEGLSNNQFHRHDQGWHCSLVPIVGSMVADACFTARPSDTIVATLPKSGTTWIKSLLYATVHRREHPVDATDHPLISLGPHGCIKFFEYQLYTRNKIPDLGKLPDPRLFATHVPFVSLPRTIAASGCKIVYVCRDPKDHLISQWDFANKFRVMSQLEPLSVEAAAELFCSGLSPFGPYWEHVLGYWHAHLACPQQVLFFRYEEMQKDPAKHVRRLAEFVGHPFGVGEEEDGVVDAIVRLCSFEHMSGMEVTKSGKTDLVIGTVENSSFFRRGVVGDWMNHLSPETARRIDAITVAKFKGSGLIGI >Dexi5B01G0032760.1:cds pep primary_assembly:Fonio_CM05836:5B:33344136:33344385:-1 gene:Dexi5B01G0032760 transcript:Dexi5B01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKERVRGPWSPEEDAVLSNMVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTARDGVT >Dexi7B01G0021060.1:cds pep primary_assembly:Fonio_CM05836:7B:26229492:26231368:1 gene:Dexi7B01G0021060 transcript:Dexi7B01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSSIRNSTTRRNLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPRKGTLRYGVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYISNVIIHGLHIHDCKPTGNAMVRSSSSHYGWRTIADGDGVSIFGSSHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVVMLLGHSDSYVKDKCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITSDAGALSCRKGAAC >Dexi9A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:9A:15834533:15835570:-1 gene:Dexi9A01G0020770 transcript:Dexi9A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQQHRKNYPSGIRSAGGQTTLQSFLVKPRVVDAEAKPSPPRPPPEVGEEEAQICPPEPPKREIVRVTRATIKEKACAFSSVRSAGKDGGSVPGGALSAAVFKRFHSSAPVARAEGGRAEAVEDGDLDFGGGGGDVRLDIEEIGAASRRPEPRNKRKSPLGGDEHGGDVKARRVVVLGDDPRPRPAWRRGAARPTRGGGGRGEGEGGRALYNHCKIELDPVGSAKPPPVSRQQLMWWLLVADASGGGWWHGDMEGVDGEEVGWTDDMWEGMGSVTLGGLEWN >Dexi2A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:2A:14263919:14264830:-1 gene:Dexi2A01G0012260 transcript:Dexi2A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPTPVAYKVRRVAPSRVLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQQQLASSNPGGCAGTGPGVAVVVVSGNDYSYAADNDGGINAAIAYIPTVIRQLREQLRRLRDDVGMRKVVVTNLHPMGCTPLFTWALNYSGCDPLANAGTDQHNAALRRVLAGLDPANRTFLLLDLNTPFSSLVDAPAATPRFAEPKRPCCETMAASGGYCGQQDDDGKRMYTLCEDPAKHFYWDDVHPTQAAWAAVAEDFRPKIREFLLST >Dexi9A01G0040650.1:cds pep primary_assembly:Fonio_CM05836:9A:44391468:44396033:1 gene:Dexi9A01G0040650 transcript:Dexi9A01G0040650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWEKGGLRCTCYGVRVEKHMLLFRAGYYFSTGPFGKFWVRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAELCKLEVIPSQSFIFLQLFELKDDFIQEEIQKPSYQSICSVNYYVIFVGVFWDDIGIHSTGWFSKPMMKTLRLQVSIRFLSLLPNEEAKSLLRNAHELIERSKKQEALWRSEQSKEDTHVDEAPATHTETEDQVGLNNSDSEDVDDDEEEEELDGYDSPPMAEGFHDFTLDDSYALGEGFSNGYLEEVLRSFPLQEDVQNRSGDAPNDADGSDGEFEIFEQPSDDDESSDG >Dexi3B01G0033230.1:cds pep primary_assembly:Fonio_CM05836:3B:35614504:35615808:-1 gene:Dexi3B01G0033230 transcript:Dexi3B01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYQGKEINIQGLYLHHTPLGPNANQSSVISKLVANNWTVYDGPGPNAKLVARAQGLHIDAGNWHNSFSLVFENGRYSGSTLQVMGIVVERGEWAIVGGTGEFAMATGVIYKRFHVQNSDGNIIELTIKGFCPLFNNSSPVTCTQYPVTKIGTWGGNGGSAQDITELPKRLESVTIMSGEVIDSIKFSYIDQAGKKRTAGPWGGSGGHPHTIDLGPSEIVKEMSGTFGTYHGATVITSLKLVTSSRTFGPWAVEKGTPFRVPVQSGSSIVGFFARAGKFLDAIGVHVTKSE >Dexi5B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:5B:1175079:1179321:-1 gene:Dexi5B01G0001830 transcript:Dexi5B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRPPAPMPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLPYSSTKHPTPSSSSGSSGSVSRAPAPAPGLASRRSHSGEIPLPSDAPPRRGHRRTGSGPLIFTSGASACSSSATSPLTNALPAGNICPSGRIAKTSSCSAATPPPPPPPRAVRHDVLGSGTANYGHGSIVRSRSGGAAAAPVSEEDAMVRRAMAAADPEEVKRAGNEQYRKGCFEEALRLYDRALALCPDNAACRGNRAAALIGLRRLGEAVKECEEALRIDPSYGRAHHRLASLHIRLGHIEDALKHLSLASPQPDLLELHKLQTVEKHLGRCLDARKAGDWKSVLRESDAAIAAGADSSALLLAARAEALLRLNLLDEADLAISSASKLDFSTSCSSDTKFCGFLANAYLFYVHAQVDMATGRFDHAVSSIDQARIIDPGNSEVVTMHNKVKSVARARSLGNELFNSGKFSEACLAYGEGLKQHPVNKVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYTKALLRRAASYGKMERWAECVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMVTSLPGVSVVHFMTPSNQQCCKISPFVNTLCTRYPSVNFLKVDVNESPAVARAENVRTIPTFKIYKNGMRVKEMICPSQQLLEYSVRHYGI >Dexi1A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:1A:25493173:25494771:1 gene:Dexi1A01G0018180 transcript:Dexi1A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTSAPAAGEKPHAVCVPFPAQGHVTPMMKLAKILHTRGFHVTFVNSEYNHRRLVRSRGPSAVAGLPGFRFATIPDGLPESDADATQDTASLCYSTMTTCLPHFRALLADLNRRAADGVPPVSCVVADGCLTFSVDAAAELGVPCALLWTASACGALAYHHLCLFIDKGIVPLKDAEQLTNGFLDTRVEWVRGMSKHMRLRDYPSFLRTTDPGDKMLEYGLHEMEHCKDATAVVYNTFDELEQPALDALRANFTPASYTIGPLNLLAAEHEQLVPALDTLGSNLWKEDHACLQWLDAREPRSVVYVNYGSITVMTNQQLLEFAWGLAGSGYAFLWVIRPDLVVAASTGGADAVLPAAFVEETRGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTLESLSAGVPMLSWPFFSEQLTNCLYKCAEWGVAMEVGDDVRRDVVERRIREAMGGEKGREMRERALEWKEAAARATRPGGSSFANLDRLINDVLLKSS >DexiUA01G0016480.1:cds pep primary_assembly:Fonio_CM05836:UA:35124153:35125442:-1 gene:DexiUA01G0016480 transcript:DexiUA01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIVGSYEEQRLHDAASSMIVVSSSNLLVVEVLAVDVVEARLKFDYVEVVCGEPGCMKMFTNIECLRAHNQSCHQHVLCEMCGEKHLKKNIKRHLRTHGEVPSGERMKCAFEGCERSFSNKSNLTKHMKACHEQLKLFTCRVAGCGKAFTYKHVRDNHEKSSAHVYVEGDFEEMDERLRSRPRGGCKRKEMTVETLTRKRVTISGEASSLDDGAEYMRWLLSGGDDSGQAAH >Dexi3B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:3B:12187084:12189072:-1 gene:Dexi3B01G0016710 transcript:Dexi3B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPFNAEHTFGHLIHPPLTNHVNNGVLRILPYQNAAVLDRSRIHETGNAIDELRDMRLDVDNMTYEELVALEEQIGDVNTGLTESFIQENLSSTFYVPGAAGLSDQFSELSLENDACIICQDEYEEKELIGTLECGHKYHAVCIKQWLMMKNLCPICKTTALSSDRRNG >Dexi5B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:5B:6382001:6382828:1 gene:Dexi5B01G0009340 transcript:Dexi5B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFLQLVEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKLKSRKHRKRGDSSSDSDSDSDSDVDRKHRKRKDRKRNKKHGHSDSDDARKHKRRSKRRSSNSSDESDSERESGSEEERRRKKHSHRRRRHRHSSRSDSEDYSSDDEERRSTKKAHSRSRRHHPRSLDDDSDSEGKVRSRHRKRLRSSDEDSPSDSNNHKRRRSHSLEESSDDEFERMRNGKRSHKNGHHHHSRHRHHHHGRRSSSAEPNGKSLVLKDDQKALEGDSAD >Dexi4B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:4B:3538472:3541348:-1 gene:Dexi4B01G0004920 transcript:Dexi4B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSGKAFQRRHSDKHNCRPRSRGRNGREYVTHHAPLEASHRAFFCAGFRGSEDGDKDRRFRTPTPKHVPRLGNARKSVARRMRMTDGDDEDQSRARWNARSLEPSRLTLKPGELCHCVSAGHSLTQLIMQSADPRYGSAALITDVSCACHSSPLPNSTAMAWLAELDRLLRTPRPVVPQEGEGLGAGGVRKGHREVPSSHGAAHAQWKRTSPARKSSRHTAHSACSAASHRGRLHLISRRITPFFEYTSRCTPDIADFDDADAEADELSPRSSAPPAPTPWSRGGLLSFMLPASYLLMAASLLLLSFWSSRSLASRALLSSVHSCIISITPLRCSAGAGEASGRVPCVPGGVQAERWSPRAATHLRARRCPALPEPPPPRALHAREHPGHDDHSQLAPPLSCPNETIEDAGHPIDPTAHLTAGAFPGGGSSRGSGSQAGAGHFRQPPRVGHVVVGFAPSGMGGEAMGKEEAVLVAGAAVLTGNYLATAWDAGVGRMDDESTTEWGRIDGEEIHCPENYWGRIGSSDVIVT >Dexi9B01G0048370.1:cds pep primary_assembly:Fonio_CM05836:9B:47364468:47365244:-1 gene:Dexi9B01G0048370 transcript:Dexi9B01G0048370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCACGAIPDAVPCAFPRTSAFWTKLPAAVLAVCVPCPASSTGGAVSLMAALPNARAPITLLLQPPRPPAMDRNWQVPFHFLGGGGRPASPKEGWLGRMPVSRRPITTPRPNPERLQKPSLPRWRPRKPGDGRNSSGYRRRHPSLLLRLSASASVSRAANPFSTWVYECMILGPPSPAESSVASGRNERCHSSTEPFLRSCAEETASSAARDERRKRKARWRLVWVLIRREGRSGGGRH >Dexi9B01G0048370.2:cds pep primary_assembly:Fonio_CM05836:9B:47365245:47366249:-1 gene:Dexi9B01G0048370 transcript:Dexi9B01G0048370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAWSAVLDDVMIADLIAAGDQLGCMLLTMAAMPLRCGVDIEVPDSMKKVRLTSSANSGCISLGAHAARMFMPGPVMSGLRMPGLALLGPRDEKKATDGAGDEPITVPRKAMVAVGFGVDLITWASAKVVYPSAALFIRIMPAPPYADTVCPCSTCSPMERLSQSTTLPRTSASTSVLQPRASGSPFRPGNTSGSWRPAVLFRGWNKDSPSNSWPLPSLTVVRMARSMVPAATVSIHGAPFLMVPATGPSLPAAALTKMPCSMALNLNWAPSVALMEKESTSTPSLTAASRPAMMSMMEQRLRAHTL >Dexi3A01G0022470.1:cds pep primary_assembly:Fonio_CM05836:3A:18109002:18109505:-1 gene:Dexi3A01G0022470 transcript:Dexi3A01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFIRLPLYAAALATVAPTSSSSPLDGHPVSDTLTVRLVLGSTTPALGSNSTYGSDTLPGLAL >Dexi6A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:6A:17350273:17353122:-1 gene:Dexi6A01G0011670 transcript:Dexi6A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKLPTAALISNSLIKSGQLGTAFFGAMSKYRNITRFISPISQTPAKNLSHVCCSFSSSSDGNGYMAGNFSESDEDYVDSTVLEAVEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKVEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPVEPARMAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAATWKDKLMQLRSKRKNWA >DexiUA01G0002790.1:cds pep primary_assembly:Fonio_CM05836:UA:6056800:6058231:1 gene:DexiUA01G0002790 transcript:DexiUA01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQEIPSPSYVQLRRLPATTFQSVGPKTGNILTRTRERIPTWAHVWRPPREFQMTIPPFSDPASTATRSRAPAQTKGSPTLTRARSPAAGSSGDDD >Dexi9B01G0034600.1:cds pep primary_assembly:Fonio_CM05836:9B:36508170:36520091:-1 gene:Dexi9B01G0034600 transcript:Dexi9B01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGMLSREYEHSRDAIFEQFLLECAEQLPHKIPFFGVLIGLLNLENEDFVKDIVDTTQAKLQDALYNENCDRIRILLRFLSGLMCSKVVAPNSIIETFETLLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGPELFEQVPDELERVLVGIQSYLSIRRHFDDIAFSVFETDEGHSPNKKFKHCPCRISWKIYGSAFKFFPAVDGKLKVNMQPVDRFVVEECILDVLLFFNGWSNFQFIWPWQEWSYVKDLPKWAPQRVFVQEVLEREIRLSYFEKIKQSIEDAAELEELLPPKAGPNFKFVGNESSETTDGHKLSKELVGMIRGKKTVRDIILWVEEHMIPTNGAEFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMTAISIDRMMGYRMISNLAIVKWVFSPANVEQFHVSDRPWEILRNAVSKTYNRISDLRKEIQSLKKGLQVAKEVCAKAIKELEEAKSVLEIVEGQPTPAERPGRLRRLQVYADKAKHEEVTTEESLEAKGALLSRALEESKAVLKLLFKRFVDVLTERLPPVSADGEIPNLRTGDQNVNSEARDLETATMEIDSENGADKNSEPNGQNTKNSYNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDDEVFVGDIHPLIRKAAFSGLCRFTNEGPQL >Dexi1B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:1B:26327340:26327667:1 gene:Dexi1B01G0020160 transcript:Dexi1B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIIMFRVTKSEQEQAQQHEHEEEEPYLMQRSLDLHVPPSLPGVHQVYIVPGVCAAACPRSTHGSTATAIPPSTPPAVDCITPRSANGGARMASSSVASAW >Dexi2A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:2A:3557482:3558303:-1 gene:Dexi2A01G0004020 transcript:Dexi2A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHADVAAVASATATAAASQPLAGRVAIVTGASRGIGRAIASHLSSLGASLVLGYASSTADAAALAASLPRAVAVRADVSDEAGVRSLFAAAETSFSFSNSGAGGGGPHIVVVNAGVLDDTYPTVATTKTEAFDRVVAVNLRGAFLCLREAANRVQRGGGGRIVAVTSSVVGSLPAGYAAYTATKAAVEALVRTMAKELKGTRITANCVAPGATATDMFFAGKSEEMVRRNVVSNPMERLGEPGDIAPVVGFLCTDAAEWVNGQVIRANGGYV >Dexi2B01G0027640.1:cds pep primary_assembly:Fonio_CM05836:2B:36483153:36483951:1 gene:Dexi2B01G0027640 transcript:Dexi2B01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKPHHTAEPPEITHKPAKLATKEIQWVCGCPLQQLTCCHKLCELGGRATETRKTAKLLPGLTSHRRGVPVVFPCVGGPPSKRPPPQSPSCPCCCSRSCVLWRRRDSAQRPRPLHRWLGQPATSPSPPCCCPRTSTAKLPMDASLATLVLEQPRNQPIRAANHVAKQTRSRRTGPKLDCDIKTGWRIPSSAVRSI >Dexi9B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:9B:12407010:12407826:-1 gene:Dexi9B01G0017640 transcript:Dexi9B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTATAMAIATISPTTPIPTASFTSIPVGFRFRPQPLLLSASRRFLLLPVPKASSWDEAAAEEEGEAEESAAAGDEEDDGEDEKPRPEPVSSSGFQFAAPPEGYVEPAAFDELPPESPEDVAAAYESLYGPAFSGETVLGNNVFEVKVVDPVDMDREQRPNDDFSERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYCTFPHRWFFIS >Dexi5A01G0037180.1:cds pep primary_assembly:Fonio_CM05836:5A:38535379:38544911:1 gene:Dexi5A01G0037180 transcript:Dexi5A01G0037180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSAIHDLPSSRAAQKQAERTEGYANLAYGFAVSGLDIHKLQQAVKTRWLKPQEVLQILQNHELFTVSHKPPQKPPSGSWFLFNRRVLRYFRNDGFEWQKKKNGKTINEAHERLKVDNVDALNCYYARGDKNPTFQRRVYWMLDPAYEHIVLVHYRDVLEGSISVSAQNDSSTSNQNGSASRVEVHSSPGWTSELTLPCPNSSSPGSAEEVSSRTVTINNETNNTSGSDLIRHKAALRKLKMQLSLEDKEDQYVVAEEVPTNDDHVIFPGIQNGEPDSCASLDDIFNVLEFSGDHTKETGTHQCPSAIDVLKNSDTWLEDDQLEAILQPASVTPTENQWFHIREVSPESAFSSEITKVIIVGDFLGDPSHCSWAMLFDDVKVPVEIIQQGAIRCHTPCLNPGKVTMCLIDGNGKPCSEAREFEFHEKPTKGMIDGTEKLLNEAQVIKAHQTPTKTLGYDLALKPLLSYGVPINYRDANGWTALHWAARFGREDMVIALLTAGAAAGALSHPTSEDPAAKTPASIALAYGFKGLSAFLSEAQLTTHLDSIESKENGTGEGNISGGGICSAVDRISDKSTHMHGGTDDQLALKDSLGAIRNAVQAAARIQAAYRVFSFKKKKEMALQNSCLSVHEMIPASHDALEKAALSIQKNFRCWNKRKEFLKLRKNVIKIQARVRAHQERKKYKELLRSVGVLEKVMLRWYRKGVGLRGFNSGAMPIDEEVEEDVAKVFRKLTVETAIDEAVSRVSCLIGSPKAMQQYRRMLQRYQQAKVDLPKDASEVPTSEGK >Dexi1A01G0028090.1:cds pep primary_assembly:Fonio_CM05836:1A:33823207:33823563:-1 gene:Dexi1A01G0028090 transcript:Dexi1A01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGEEKKGKVKKGWLAVRVGAEGDERGYQRFVIPIAYLYHPLFRRLLEAARDAYGYDYSGGPLRLPCSVDEFLRLRALVERETQAAAAPSASSSHRVHAGQGHYGFPSPCTRAKVSS >Dexi4A01G0023450.2:cds pep primary_assembly:Fonio_CM05836:4A:26526740:26529329:1 gene:Dexi4A01G0023450 transcript:Dexi4A01G0023450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAAPDRSEVPAGESEWREELRQQQSQVDALRERLVEVKVGMRCSESDSRRELDHLCRRVKTISTLLAYLKSKARIMAIPHLAHTSCGIRLQEGIGYIDRHGMPLADWPKGAETGSCGGNSEDRMVVEGSAAPDHGDAVGGDLVVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRMGLEEIRRKTLQVETMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVAKTNQLETEKAQKEAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >Dexi4A01G0023450.1:cds pep primary_assembly:Fonio_CM05836:4A:26526283:26529329:1 gene:Dexi4A01G0023450 transcript:Dexi4A01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDGQRLTRITGEESKGTPQPISSGAYRIRRRRRGSMEQRAAPDRSEVPAGESEWREELRQQQSQVDALRERLVEVKVGMRCSESDSRRELDHLCRRVKTISTLLAYLKSKARIMAIPHLAHTSCGIRLQEGIGYIDRHGMPLADWPKGAETGSCGGNSEDRMVVEGSAAPDHGDAVGGDLVVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRMGLEEIRRKTLQVETMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVAKTNQLETEKAQKEAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >Dexi9A01G0025980.1:cds pep primary_assembly:Fonio_CM05836:9A:27539418:27540472:-1 gene:Dexi9A01G0025980 transcript:Dexi9A01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAIYCGGAGNGSASFAAKICNNMAMAISMLGVLEAFALSQNLGIKASALTDIFNCSSAHCWSSDTYNPVPGVMEGVPSSRNYDGGFTSKLMDKDLDLAMASASGVGFKCPMGSEAFEIYRKLCEDGCELKDFSCAFRHYYAGKDEE >Dexi8A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:8A:29619830:29621378:1 gene:Dexi8A01G0017730 transcript:Dexi8A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATAWGPCGFQLASWIPEEPAACLPEEYTSIDMPPVTCGLQAYQPESRGVLLQQLVEYYNYYSAASQHNANYSTGESKAMILSDDSHASSVVKQVMDEFEDHIGIMKHKMHRYPACLGSVDQAYTLPKIVAIGPYHHGSRDKLKQAEMVKHAAACHCVRQSCRSLEDLYGEVVPVADSVRCLYDKDVIAGISCEDFRHMMFFDACFLVQYMLMQAYTGNVDESLNGFLSPNRVDIFHDVMLLENQLPWKLVETVIRFMRITYKPPHLLGLLRYYIVGRSDTEFPKPKGANISFSVSAMELAEIGITLTANKTMQLIDMGLNQKGTLFPELTLAPLSLNRYRASYLVNMAAFELCTVKNFSAAPDEEDSAVCSYLLLLAKLVYTEEDVHELRVKGLLQGGGGLTNQEALRFFSSFQGLRFGPYYLRIMLQIQNYRESSRMKIKSLAFFHKHKGTIAKVVTGIGVVGGIIGTLLSVKKSL >Dexi4A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:4A:11292587:11294420:-1 gene:Dexi4A01G0012620 transcript:Dexi4A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKQSLHMNTGEGEASYAQNSHIQRAVQKKINHIVEDAATDLMRKFTNAISSIVIADLGCSSGPNAVALISVAVEAIFRYCALEQKAPEDLMKGGIPMYDADDDLRQERRPLVLEAYARKFRKDFTLFLNLRAQELVPGGQMQGVVEREKLDSFYIPMYGPSDKELRKIIEDESSFTINNILAHEVMNDMDRSSITPKMMALAARAAYEPIIVQHFGATVVEEFERTVELHVRAGTPQLAAAGLVFLSVSLTKKV >Dexi4B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:4B:18080383:18080766:-1 gene:Dexi4B01G0016060 transcript:Dexi4B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSASITAIQSSCKAPESHGDLAFCAKCRRPDQADQLESGVAGGEGKEPYHCDVEEAARPVATARRCVQGVDLDARPSGLSLVGEAEGWMVWGREIASGMGVRCEGRRRQCYCGRQRTNLRAGLF >Dexi8A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:8A:2511755:2512225:-1 gene:Dexi8A01G0003460 transcript:Dexi8A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVVESPLRQRQRLRSPLASSGSGGGGDFEFRHWRPRVTGMRRRWAPPEIEIPNGSNGLSGGGGGGRGSYTSLRDIMSSPEYAAKATSSPDEPGSGDVHMIRHPLVKHAAYAYLQLTPSAVEERARLMRRRRGSLCQLIMGCLGFVGALFGR >Dexi5A01G0032080.1:cds pep primary_assembly:Fonio_CM05836:5A:34668719:34668991:1 gene:Dexi5A01G0032080 transcript:Dexi5A01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASIAAPSCRRTRGVLLPLHAQGSTAGEGRGNLWGSEALAASHFVSEATNMYRPPVTVDSLQPREAPPLQAAPQPSICRRRLTGKRRIR >Dexi3A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:3A:9346788:9347007:-1 gene:Dexi3A01G0012800 transcript:Dexi3A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSGPISAEGRAALGVAIRLVFAQWTELQVAVENQWGGRDSCAKANQLCKSILSWFCRSKAL >Dexi4B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:4B:21315897:21319312:-1 gene:Dexi4B01G0019050 transcript:Dexi4B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTSASLARNQPGEICIRGEQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQISEDEIKQFVAREVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPNGDNAQSKS >Dexi3B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:3B:3736163:3738909:1 gene:Dexi3B01G0005530 transcript:Dexi3B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISCQVERLARKTAKIGLSAINSFKKKALHLMGCLCSKGAKDDNATSGHRTPSRRDDSTVAASAKTSVVTNDGSNAKFKENTFNSSTFDSYGGAKVVALDARISSGNNTDLKDVAGWPAWLINVAPKAVEGWLPRRADSFEKLGKIGQGTYSIVYKARDIESGKIVALKKVRFFNMDPESVRFMAREIHILRKLDHPNVIKLEGIVTSRVSQSLYLVFEYMEHDLAGLVATPGLKLTEPQIKCFVQQLLLGLDHCHKNGVLHRDIKGSNLLIDGNGTLKIGDFGLAISYDPNNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVGELFAGKPIMPGRTEVEQIHKIFKLCGSPPECYCKKSKVPQTAMFKPQQQYRRCVAETFKDFPPSAVVLIDSLLSLEPEVRGTAASALQSDFFRTKPLACDPSSLPKLPPSKEYDVRLRQEEARRQRDAALSGGAESVRPENGNHVTSRAIDIAALVKARHSPTLPAFVTLQQQPTHTTSKSTCEKFNKEDSVPGFRVEPRALPTSVQVPECGSTWNNMGGYTHHHAVPGRVCSSVRVARKKGSSHSNIPQYDPTDLRNGSEITYHDQPADRPASSHKKDLQENRGRKYKRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARLGKVSR >Dexi5A01G0027270.1:cds pep primary_assembly:Fonio_CM05836:5A:30751439:30757586:-1 gene:Dexi5A01G0027270 transcript:Dexi5A01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRNRRVGAAAAAAAAAAAGGGGYPEPAAYNIIPIHDVVMHGEHPSLRFPEVRAAVEALAHAADLPTPPLVREWDPLRADLFDWLGATFGFQLHNVRNQREHLVLLLANAQLRDAGKLPTDHPADVLHHSIARKIRKKLLKNYKSWCSYLGKKPHVHVPSALRRVQGLPPDTRRDLMYTALYLLIWGEAANLRFMPECLCYIFHWMAFDLNHVIDQSVDIETGRLSIPEVHGEDAFLEKVVTPIYNVLKAEVDFSRDGTKPHSAWRNYDDVNEYFWSRRVFRRLPWPLSPARSFFIPPGPGNPGRIGKTGFVEQRSFWNVYRSFDRVWVMLILFFQAAMIVAWDGHTPWASLRYHDIQVRVLSVFITWAALRIVQAVLDAGTQYSLVRRETTLLAVRMVLKMFVAVGWTITFTVLYVRMWDQRWRDRRWSDAAKSRVLNYLEAAAVFVIPQVLAVVLFIVPWVRNFLEKTNWQILYVLTWWFQTRTFVGRGVREGLIDNIKYTIFWVCLLISKFVFSYFLQIRPMVGPTKTILSLHDIKRNWFEFMPHTERIAVILLWMPVVLIYLMDIQIWYAVFSSLVGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDAVRGGLRSKLYDAINRLKLRYGFGRPYRKIEGNEVEAKRFALIWNEIIQTFREEDIVSDKEVQLLELPPVVWKIRVVRWPCFLLNNELLLALSQAKELVADDRAHWTKICNNEYRRCAVIEAYDSIRHLLLEIIEERTVEHIIVSQLFLAFDGAMEYGTFSEEYKLDLLPEIHSYVITLVELLLKEKKDQTKIVNTLQTLYVFVIHDFPKKKKDMDQLRQEKLAPSSAEESSLLFEDVIKCPSNDDISFYKQVRRLHTILTSRDSMNNVPKNPEARRRITFFSNSLFMNMPRAPTVEKMMAFSVLTPYYNEDVMYTKDQLRRENEDGVSILFYLQKIYEDDWGNFLERMRREGMNDDDEIWTVKYKELRLWASYRGQTLARTVRGMMYYHRALKMLAFLDTASEVDITEGTKYLASFGSIRHENDVYPMNGGLRQRPQRRLDRGTSTVSQLFKGQEDGAALMKYTYVVACQIYGHQKNARDQRAEDILYLMKRNEALRVAYVDEVHHQGYTQYYSVLVKFDQGLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLQQYDYKHGSRKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLAALKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRMLSVFYTTVGFYFNTMLVVLTVYTFVWGRLYLALSGLEAVTEC >Dexi3A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:3A:3470533:3472093:1 gene:Dexi3A01G0005360 transcript:Dexi3A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding DILHLATSKEILLFLDYDGTLAAIVNDPDKAYLSDEMHRTLQEVTRLFTTSIVSGRAIEKVFNFVGIKDINYAGSHGLDIKLSATTESSSASGKIVEEGHSYQPAQEHLATINKVYNSLLLATDGIDGATLENNKYCVSVHYRNVPKENQARVREVVEEVLEASGDGLKMTEGHMVYEVRPPTRWNKGDAVVYLLENLGFRDPSKVFPIYIGDDRTDEDAFRALQGKACGVGILVSEANRETCASYSLRNPSEVGTFLNRLIQAKPQMI >Dexi1A01G0024610.1:cds pep primary_assembly:Fonio_CM05836:1A:31108560:31110164:-1 gene:Dexi1A01G0024610 transcript:Dexi1A01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEAAGTRSRVLVVGATGRLGSSLARASLAAGHPTFALVRPHHLARPDSPVLQPLVAAGATLLEGSLEDYQSLLRAVRQVDIVICSVPTKQALEQKPLIRAIKEAGCVKPGLDAPPRDEIKIFGEGNTKVTII >Dexi8A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:8A:2343862:2344431:1 gene:Dexi8A01G0003270 transcript:Dexi8A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPPEIGQLQAQGAESPSPPPASGSSPTHATAAAGFWLTGNKRGRAPASSEAIQGLREVAAPTDGSSDCCAICLQDVSTDAKLRAMPCSHAFHQDCIFQWLRRSAACPLCRRQLPTEEEAEEEQVPMSRRVRYDEDGQPYMRLSGDDLLEEEELDEEEQQERAEGIARWQTMMEQHLQWMRSRQSST >Dexi9A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:9A:2063937:2064884:1 gene:Dexi9A01G0003940 transcript:Dexi9A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTPSSPLSRATPPLSPTAGGTPSRLAVAPASPSTPQCAIPASPHTPGRSTTPAPATPRTPRPEITLRQPSSQASSSQKRPPASVRKPSSRALRAIRALFRSLPIVAPAACRPASALPRRHTKPHDGHTGGGGARVTGTFYGHRRARVTLAVQERPGSLPSLVLELGMPTGKLMNELSSGGHIRIALECEKKSKKSPPPDVNGGGGNVSLLEEAMWTAYVNGRRVGYAVRREATEGDLAVMQLLITVSVGAGVLPGDVMDAPVAGGEADGEVAYMRAGFDRVVGSKDSESFYMVNPEGGPGGGTELSIFLVRV >Dexi2A01G0025750.1:cds pep primary_assembly:Fonio_CM05836:2A:37416274:37417183:-1 gene:Dexi2A01G0025750 transcript:Dexi2A01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILRRALSLTAASGHATPRVAAAFASTSSSPSATVVSPRSPLDDRLHRLLRSEITYLAERRPPYPPPRSFKSFAVEDRPGEQWVRLRSRAGDDEEVKVEATMFDGAAEPIPEDAPLFRRVESLERGPRLHLSLIVEVTRADRVPGFICSAWPDELAVRHVLTLRAGGGGGAGASSDGGGRGGRNFEKLGAEEREAVTKFLEERQVDGELAEFLHDYMANKEKMELLRWLKTIETFVDK >Dexi5B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:5B:1484007:1485860:1 gene:Dexi5B01G0002340 transcript:Dexi5B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVETSSSPEHHEDDTGKAAARSYWRWHKDDFFPEPSFASWGAYRRALSATPSRLRDRFAGRSTDADELGAMRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLLLESVIGTAAVARSWTSYLASLINKPDTALRIHVSSFKAGYNDLDPIAVVVIAVTASLAMLSSKGTSRVNWVASAVHVVVIGFVIVAGFIHANPRNLRPFMPNGVSGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFANVGMHWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATALIATASACIALFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTTRAHARRFAAMLALVIASSIGIAAYWGMTEGKTWQGYAVLVPVWVAATLGIQVLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGKDAFIRFGVCTAIMLIYYVLVGLHATYDVAHGAGGGEDEEESEDAAADGGKKVAPADVENASAADGGR >Dexi7A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:7A:27087633:27090920:1 gene:Dexi7A01G0017370 transcript:Dexi7A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRAEREPSPAAAEEASAAESRELAVLREMMLRARREGEEPQVPDEQLRSNEHLRHDEIHVHCEIPDGITVSAELFQGVDDDLKSNDFIKLPCLHYYCRKCMETYSRMHVKEGTVMDLFCPYDKCQGVIPPNLLKRLLGDSDFERWETLILKRTLDSMVDVTYCPRCETACLEDEENNAQCSKCFFSFCTLCRERRHIGDRCMTPEEKLLSLQDRQKMCGSSRGKAGKEIDLFNQLLSIKEVLRDTVQCPHCDIYVSRVSGCDHMYCGNCRNGFCYGCGKPLDNHRILDQQQKSVKQIGVVKKVVRKSSEHYGPRGCKQHTADPQDPHRKTRMAKLIQKSRDDLR >Dexi1A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:1A:26393217:26396523:1 gene:Dexi1A01G0019230 transcript:Dexi1A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGTIDSTHQSLTTQCTDAACQSLSHVEKLPINVLTNATNNFDENMILGKGGSAVVFKGVLNDEPVAVKRFNSDTMSTKQAKEFINEIDVVGKLSHRNLVKLLGYCIHNNERLLVYEYMPAGTLWERLKPSDHMPLTWAQRMMISLDVARGIEYLHGMNQQAFIRDLKPSNILLDQDLRAKVSDFGLIKTAENKSSTSSKAVGTFGYLAPEYAAMGELSTKVDVFAYGVVLMEIITGRKAIDESLPDDGKFLAPIFKTKVLDKEKFKDIVDPAVELNDEDWDTLLEVADLAHHCTALEPRHRPGMHNCVTILSNMVDQWKPTVVGCEKGETSSMGLKGLNQLVDKWIEKDLTTSGWKKEDNTTSGSESD >Dexi9A01G0033170.1:cds pep primary_assembly:Fonio_CM05836:9A:38026745:38028980:-1 gene:Dexi9A01G0033170 transcript:Dexi9A01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSDAGHSRKRLVVGVLSAFLLVAMVGGTVAFFLAEKSGDDDGLGHRSMSKTMRSVELFCAPADYQDTCHETLERALSRSSDPSEHPHAAAAAAITAVERALEEGFERSTVLDAVRQSNDSLVWEAMRDCRMLLGDCRGDVARALASVAWRGVEGPAQDLQAWLSAVITFQGSCVDMFPKGEIREEVRGAMEKAREISSNALAVIKQGAALAAMLDLHAESIDDKDDGGDDKGGGDGDGRQLAEESVVPAWVTKEDRRLLEGGGRPNGGGLTPNVTVAKDGSGDFANISAALDAMPENYTGRYFIYVKEGVYDETVNITGSMANITMYGDGSNRSIQQALALRVKGDKAIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIMGDAAAVFQRCVILVRRPRPGQPAVVTAHARRDHQQTTGFVIHRSQIVADDQLASAGGSSSSTATKVYLGRPWKDFARTVVMESVIGGFVHGQGYMPWEGKENLGTAFFGEFANSGDGANVTGRKEMQGFHVMSKGKALQFTVGHFLNGAEWIPESGTPGESVSLL >DexiUA01G0000830.1:cds pep primary_assembly:Fonio_CM05836:UA:2584471:2589712:-1 gene:DexiUA01G0000830 transcript:DexiUA01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHTYGKVEYGVYANRVQALVDLLRLEAIQAELAEYNGGQTSFSQWATAAGTDEKTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQAHMVEASYRVKECTKRLRRKLRRRPTNEEIAMDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQDVTADPSAETAEEMLNRLSMKKDVHQALDTLTAREKQVVVLRFGLKDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPVGNW >Dexi1A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:1A:655982:657702:-1 gene:Dexi1A01G0001030 transcript:Dexi1A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTEAGAPGSSIHGMTGREPAFAFSTEAGPTAHDDAASKFDLPVDSEHKAKTIKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLTMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYILMRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGMAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHVLMGVLVLTLGQDLPDGNLRTLQKKGDVNKDKFSKVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGLLSDMGARYWGMRARLWNIWILQTAGGAFCLWLGRAETLPVSVVAMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSHYSTGTGLEYMGIMIMACTLPVVFVHFPQWGSMFFPPNKGAEEEHYYGSEWSEEEKSKGLHGPSFKFAENSRSERGRRKNAIEVTSATTPPNTTPQHV >Dexi8B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:8B:28026450:28027720:1 gene:Dexi8B01G0016890 transcript:Dexi8B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSKQQAELDESKQTEQDRWRGLAYDTSDDQQDITRGKGLVDYLFQAPMGDGTHEAVLSSYEYISQGLRQYSLDNTMDGYYISPAFMDKLVIHITKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYCEAADIIKKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMGIFRADGVSDEDVVKLVDAFPGQSIDFFGALRARVYDDEVRRWVAEVGVDNIGRRLVNSKEGPPVLEQPEMTLEKLMEYGHMLVAEQENVKRVQLADKYIREAVLGDANDDGDMMATAGDDFYGKAAQQVGLKVPEGCTDPNARNFDPTARSDDGSCVYN >Dexi5A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:5A:6729078:6731982:1 gene:Dexi5A01G0008960 transcript:Dexi5A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRAVSPISKDAAGIGSVVTAAAADTSKQQLDSGRNVEDTGRDETFVGDSGGGASSTAANPGAEGGNKDEVPAKDDDATTAAVLPPVSSEEAANSTQESGVLEDEVLQVQEAVAKAPSRKSNESAAAAAAAAASSSSNGSSTSVVHSDPAILPAPVQHIPPTTQVVKTLADQQIPAVPKVNQADSKTPAREWKPLCDLTSNRRIDWCELDGDVRVLGANASVTLVAPPGADERTFREESWRIKPYPRKADPNAMRKIRVVTVQSVAGEAPACTDQHDVPALVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVLLLVTDFQMWWLGKFMPVFKSISNYELIDLDHDPRVHCFRHVQVGLTSHNDFSIDPRRAPNGYSMLDFTKFMRTTYGLPRDLAWPAANPGANTTQSRRPRLLVIARARTRRFVNMEEIVRGAEKVGFEVVVSEGEHEVAPFAEIANSCDAILGVHGAGLTNMVFVPTGGVVIQVVPLGGLEFVASYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHIIFTDPEGVKSKGWDSLKAAYLDKQDVRLDMKRFRPTLKKAISHLRKASAGGN >DexiUA01G0027170.1:cds pep primary_assembly:Fonio_CM05836:UA:58032197:58033840:1 gene:DexiUA01G0027170 transcript:DexiUA01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRTRSPLLSSSSYSQRERWHVRVDVGNPLLRQHELPGGGNLCTELEVDVPCSPIVRNQKIHWLHWRSLVGLPNRGAVRFFPKSPSSCIVQLTVEYEIPEILAQLNQH >Dexi9A01G0044100.1:cds pep primary_assembly:Fonio_CM05836:9A:47710779:47711991:-1 gene:Dexi9A01G0044100 transcript:Dexi9A01G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVLIQHPGEGRTERAYWALSAGAVMADNPGHYVAAVIATTPPPATGDAAAAASASAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFSSKRHVKLSRVTIRAKDDDEEPKPAAKHRRRRRRASGDSSRCGGERKDSDRSLAKVMRQTEDVEPEPEPSPSGPGTEHGQTDDAATADLDPELEAMLPHAALVGRRVARQWRPALQSIAEG >Dexi7B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:7B:1726809:1730565:-1 gene:Dexi7B01G0000920 transcript:Dexi7B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSLPLPQPPPQVYLRRPGPPVTAPPRVQLFRSPSPIPIFSSRPRAAARPPIPPPAAPAPPPAPATPTPPSAAAVAPPRPPLVGPISTDKPRPHPPQPQAGLMLPPPVPKAVAEGGHMPMPMPKPKAHPNEQNNGWENSQAEINIGEAAQGHDKETTSEPAKVIKRVKKLKVSKNSIGTSDGSIAVGGDAGPGPSLHSINHCRYDSSLSLLTKKFLNLLQGAENGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKGLKNMIRWKGFDMSKPKEMECQLSSLKGSTLIAINAPHGTCIEVPDPDADMYIDNEEIFSADKQVAQGKLEPVVATSSPPAAQQMDCDSNQTPGKGDSNAVCTHRSEPSRKHDIMSGTLRIVPSDTDVDSDYWFASDVDASITDAWGT >Dexi9A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:9A:9493662:9497965:1 gene:Dexi9A01G0014380 transcript:Dexi9A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRSTAALLRAKSPDESNKFVDIGGQAETFAKRLKEDEHHFKVFSVVGFGGVGKTTLAMEVCQRLAVDFPYQAMVPVSQTFQPDRDLENLLKGILQQVVTPKTDDGKGVKEEKAVGINELGAYLSDKRYLIVIDDVWTTQAWEAIEYKLAAAQTNNNCGGRIIVTTRIEAVAEACSTASARQHCIHHMEPLKLDDSKKLFLSKAFGKMDATYPEELTDVMDNILKKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVSHPTLEGMRHIVALSYNHLPHELKRCMMYLSIFPEDYEIDKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFDEIFRNVEWYRVHDVLLEVMVSKSLESNFVSLLGEQYGGVLYDDRIRRLSIQGSSSSLAIEHGMEVKHVRSLSMFQLQEGHKLLENLDRFVLLRVLDLEGCWGVTDQHVLHACKLYLLRFLSFKSTQISKVPPQVKKLEHLQVLNLNDTCITDRGLSDNVTTLKKLERLLCNRWVLPKGIGKMKALRELDEVTLPANGVEIASELGELEQLETIAIHVGDSVSEEVRKALAPSLSKMYYLRRFWITSESVDENLEFLHDLRTPPRRLRDIWISGRVGTCLPAWIGRLTHLVTFDMWRARLNGDQLLGILFKLPCLKRIHVGYLCCVDRELVARTNYRFPSLVSLEVSARRTEEPEEFRF >Dexi2A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:2A:7514595:7515020:1 gene:Dexi2A01G0007610 transcript:Dexi2A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSRLLFLLLLCSGVLLAAAAAAAAASSPGYCAQRSPPLPWCRWYVATRACGGGAPLLLALPLWQVKGMCCRELEAVPAEHRCRALRAMAEETPETAVGRACWLAQAHFAPTVVARGECGLRTVHGIRFCLALGVDD >DexiUA01G0001630.1:cds pep primary_assembly:Fonio_CM05836:UA:4287316:4290429:1 gene:DexiUA01G0001630 transcript:DexiUA01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQASTLPKTTEPSYTPRRRGDQKTPFVFPPSAALAAFLNKPSSLLCAAFLIEAAGLRRINNHSCTEYGRISRTTPIQFLRELEKRLRPKKTQANPRGLFDQLLCPTTGAATTFTNCIPTHPVYAHRSFQENMRAGGLCLCNLARNTLSSGEPAIAPGLGLPPSLEEPYEAEAPRGSALQREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >DexiUA01G0004320.1:cds pep primary_assembly:Fonio_CM05836:UA:8416597:8419579:1 gene:DexiUA01G0004320 transcript:DexiUA01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLRKVGNVPLDQLDEELRIWARNIRELSYDIEDVVDTFLVRVKGRHPHYLQGSEGLVKRMVTLFKKGSTRREISKEVKDIKNRIKEVANRRERLPNGLRNMASLEVLETVRVDEHSINIVEDLGHLCQLRVVHIDFNLQRWEGLRESMGKALMESLNNLQKIQSLEITDFNGEDNHMKEGWVLPPRLHRFVMWTASSISTWIKPASLPLLSYLDIEFHKIGGNDIQILGMLPSLHHLWLGVSGHIQELPMEERFMVSAEAFPCARVCKFFNFVMVPSIFPRGAMPKVEHLEFCIRSRHFFADGDLDLNDLDMGHLPSLERVFVHLHSERVDKEKVIEVEMGLRHAVCVHPNSPSIDVRHH >Dexi3B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:3B:13940351:13944371:1 gene:Dexi3B01G0018720 transcript:Dexi3B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVLLRRYNKHLGEFLSSLPPRSIHAVVVDSLSNVALDVTKELGVPAYSFFTSNASALAVFLQLPSVRKEQSFKELGDATLHFHGVPPMPASHLMDQMLEDPESEIYKAMTESHCKNLEADGILVNTFASLEARAVYTLTDPQFLCESELTMPPVYFVGPLVQGPGAVTDDETQEKHECLPWLDEQPEHSVVFLCFGGVGSGNHSEVQLKEIAVGLERSGHRFLWVVRAPLGKNLERKFGVSHADPDLHMLLPQGFLERTKGRGLVVKLWAPQVDVLHHKATGTFVTHCGWNSVLEGIMAGVPMLCWPLYAEQRMNKVFMVEEAGIGVEVIGWQQGLVEAEEVEAKVKLVLGSEEREELRARVTAHKQAASMAWKNGGSSRTVCP >Dexi3B01G0018720.2:cds pep primary_assembly:Fonio_CM05836:3B:13943233:13944363:1 gene:Dexi3B01G0018720 transcript:Dexi3B01G0018720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSVRALLVDFMCAGVFDVAQELGIPAYSFVPMNASGFALLAFAGMMATAQQKANGVVVNTFLSLEARAVGALRDPRCFPTMPPVYCVGPLVAEAGQAYQADETKHECLSWLDKQPERSVVYLCFGSVGAGSHSEEQLREIAIGLEESGHRFLWVVRAPPHHNDPRADPDLHVILPEGFLGRTSGRGLVVKLWAPQLEVLRHRATGAFVTHCGWNSVLEGVTAGVPMICWPLYAEQKMNMVLLVKEARIGVEMLGWQQGRLVKAEEVEAKVRLVMESEEGELLRERVTALKEAATMAMKDCGSSRAAFGQFLSDVGNRGLG >DexiUA01G0008560.1:cds pep primary_assembly:Fonio_CM05836:UA:16067033:16069880:-1 gene:DexiUA01G0008560 transcript:DexiUA01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHWAPDRPLVRSAPGHSPAATWPDCGGVHARPVEPRRQLAHVLARLSSLSAALPRRAVRPSWRAEHRRWPAAPRRILSPATRQVFSAAYLTPSRDNPPRPIEPRTLFELGIASMARRSLLSSPPKHSTEHPHHFSQLALNHFPSPCARAHRLQPPAARTELESRAKFVLVSPPFPNPSRTELDHFPSFLFPHFSRALPNSPARNRIFPQILISGRRSIRTSSTHFEASPRSTGHPNSSTETHWCSRTPPTPVTATTLAGIEPAAAAPPPHVAGDLRASSDLPIATIRLVVSHWFFRPLLRPPPAVVWPAQRQGALADGIYHLHRSRIITNGTLCA >Dexi7A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:7A:18583505:18584626:1 gene:Dexi7A01G0007210 transcript:Dexi7A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSLFMEWAMMDTLQQEHLAPASGNDDDCVAGATATFPSLQALREASLAAEMVEELIGQPHAAANSSWSSSVDDGETGGAAGNNIAGPLLSSSSVGSSNTTMPASWNFGAPPRRDGMSWDGAAARGLLEMEYGSPPARRAAVVKSVGSMYAQEHIIAERKRREKINQRFVELSTVIPGLKKMDKATILSDATRYVKELQEKLKELENGSNNDRIIESWVLVKKTCVTAPDEGSSPPSWTSSGTAATSRKPLPEIEVRFLEDKSVMVRIHCEDGKGVAVRVLTEVDELHLNIINANVMPFLASTLIITITAKASCHFAFI >Dexi6A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:6A:18243139:18243345:-1 gene:Dexi6A01G0012030 transcript:Dexi6A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGEGDGSNEPHSRPRAVEGKLKSSSSRRRPRVGSSQRRIMVALSIPSVYKEAVASSTRIQPRCED >Dexi2A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:2A:5531596:5535743:-1 gene:Dexi2A01G0005770 transcript:Dexi2A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKPKVDGILEYILYWCSFGPDDHRKGGNVRPSRPFAEKRKTPAGRPNTKRGCVCHFIVKRLIAEPSVALVIYNHNKHVDKKGMPCHGPMDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHTKMVEKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDTTSIDIWVENHQDCVFFYEDFSDTDTFVLGIQTDWQLQQMIQFGSCSLLASDSKFGTNKLKGNLCKHAIKSTKICRDRGLAPPSLALFRYYQALAKLVHCPPSDTLIGDHAMAVAVSVKTQLDAVICATNGSSSNTSVSGDPKSVSKPRESEIEETNTQNGVCASPSKAASGDDEDVPVDKDSPAHKKRKSGNAYDDNEDVCTYRDSSARKKKKSGEASDGDEDASTEENSNAYEASKSVEACADDEVVSMDQDSPDHEKRKSREASVDKGTSATQIVQPSETESSQATDGLNGSSVEVRLAESYPVLLSGAALVVSDNRYAGFDRVDFGWGNGGDGEDAIVTVPVVPPPDGFASEADADVRLAELEAAGLRPPLPSMDELLFHVEGSGGIINTPLLLIQ >Dexi8A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:8A:26773352:26773854:1 gene:Dexi8A01G0015680 transcript:Dexi8A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSREDNTAQFVMVPVSDMHQHIGRLLSSAQETDVTFHVGEETVAAHRLVLGARSSVFMAELFGPMKERHASHTQIRDMEPRVFRAMLHFIYTDTMPDIDKEDALVMTQHLLVAADRYDLERLKLICEDKLCRYIDASTVATTLAIAEQHGCHGLKKAFA >Dexi6B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:6B:11795254:11795673:1 gene:Dexi6B01G0008980 transcript:Dexi6B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAAPLRGDRRRGSSSVKSRTAEGGRLGQLAHGEVAAREPPGQLFHGDLATPGAPCPWSHRGRSWPVSRGGSSPAGELAPPWEVFVLAEGARGEVAPPEDLARGELVRLGTAGRHDGANAADLSLQLAEALGRRTDG >Dexi3B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:3B:2065174:2066506:-1 gene:Dexi3B01G0003150 transcript:Dexi3B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRRSRAVARHDVQTAAELKRSGNSREHRTEVRISAGSLDQSAASEAAGGGSMGWIWLEAEAGGTEGIELRGGGFYRSFRIGGV >Dexi5B01G0032850.1:cds pep primary_assembly:Fonio_CM05836:5B:33399196:33399649:-1 gene:Dexi5B01G0032850 transcript:Dexi5B01G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRIPHAKRVSPAAQRARADGVAGRPAWSATDRALRRLNGDEERHGGRGAAQAGEAERVDQRAVDVSATGRAASGRESSAAGGGEGAAARRRTSGGSQRVGLSMRNHASGAGSAGPQPGRESLPYGAETKRSASTVSGLMR >DexiUA01G0015170.1:cds pep primary_assembly:Fonio_CM05836:UA:31862417:31864511:1 gene:DexiUA01G0015170 transcript:DexiUA01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQDVENLKKEWSQTVSQLEGSIAAIESCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGQATLESWDEQYKKLRASLRNANLQAKENIRKAAQEEVLDFASMTKAGMTSAAESITDSLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDR >DexiUA01G0020460.1:cds pep primary_assembly:Fonio_CM05836:UA:42747029:42747488:-1 gene:DexiUA01G0020460 transcript:DexiUA01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSPPRARPPFTDRLNFPGLTDLKSDSRDLCDSSISHVLIAFCTTSSSDPAGHSCTTDLCALGLEEGVYDVVGGRRAVTPHGVLLALAGEESAAGASI >DexiUA01G0020460.2:cds pep primary_assembly:Fonio_CM05836:UA:42747495:42747732:-1 gene:DexiUA01G0020460 transcript:DexiUA01G0020460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLLAGGGVALVRPFLVAPVPELEGDHRRARVDLEDLPREAPQEGGAEQVVRGLERLGRGVAPVLARSALSSSVL >Dexi3B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:3B:2139302:2140775:-1 gene:Dexi3B01G0003280 transcript:Dexi3B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDRRRGVAHTLQVLIAFVCLLSPASGLRIPHGDLPDMHRHFRRDQVWYPPGVEVVIVDLGNTNSCISGFVPGKTAVDMFQFCIPSWVTFTDDGVALVGEAAKDHAGAGTDATTVFGIKRLLGLGRHRMYEEDIVQEAIERAPYKMGTRHSDTPIVQLVKGTGINGAVKELGFTDVASMVVAQLKNKAEEYLGRPVEYAVITVPQHFGGASRQAAEYAARFAGLEVVDAVSEPIAIAVAYGLRTRLREGGNALVVHVGGGTADVSVVTLMVGSLGVLAYWDEPFLGGDDFDQRIVEHFVELVKVKHGKDVREDRIALAKLRTACERAKKALSSQDRVQVTVESLFDGIDFSEPLSRSKFEELNDETFGRVVALIRRVMLQAEEKRIIMRLIQEKRGVKLDARRKRTDGKISEIILVGGSTMIPKLQRLVKDYFDGMEPNMRLKQDEAVALGALVHAYSSV >Dexi5A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:5A:6303776:6304868:-1 gene:Dexi5A01G0008450 transcript:Dexi5A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKETTNAGNNTSKREGEAQEQRDSSAKRLKQCSIEADAFCCDVCSKPLTPPIFQCTEGHFCCSSCNDKLPTKEKTFFSKDHKEKKCTLGSGCTGTLARSLGVERAVRSILVDCRHAEHGCTEKVAYCDSVEHELRCRHEPWRCPEPGCLFAGRSEAELLGHFTGHHKWPSVTFRNWVPFDLRVAEPGTHVLRCEHDGELFLVSVQAAEPGGGLVVSLVSVRYVKPNEVGCSVSFSCHSLHHSTATQDGVRPWWHSGWPPMEHVCFVPKVVSDDGPDGDDAGVVLTININSVFDEVEESDDSTYDDYGDEYEDEDEDVNDSS >Dexi1A01G0029620.1:cds pep primary_assembly:Fonio_CM05836:1A:35079807:35085373:1 gene:Dexi1A01G0029620 transcript:Dexi1A01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSSSSRPHRGGLAAGISVLLVLLLAAGNAAAYPGGDMRYQFVSQQNAARASMGLPPLVWDERVASYARWYAQSRRGDCALACSNTTTTQPATKNSKPSMRPPPLALPLLLCLHLLTIPSSYAAAAAATSRQAVHPPAYARNATVYGVSAALCPGCSAWAESLEFLYYHNLVRLARWELPLAWSPRLESYARWWAAQRRGDCALRHSFPDGQFALGENIFWGGAGGEWRPGNAVQDWAAEGVDYDYAANACAPGRECGHYTQIVWRATTSVGCARVVCDDGGVFMTCNYYPPRNVVGQRPY >Dexi3B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:3B:7023033:7024286:-1 gene:Dexi3B01G0010140 transcript:Dexi3B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGAEWPEPVVRVQSLSESGAATIPDRYVKPEAERPAMAAAAEVELTEGEGIPVVDMSSPGDPATARAVSEACREWGFFQAVNHGVPAELLRRARGVWRGFFRQPMEVKQLYANSPATYEGYGSRLGVEKGAVLDWGDYYFLHVRPPHLFDPHKWPHLPPDLRETTEEYSREVAALCGRLMTAMSVGLGAAPSRLQEAFGGEEGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADDRVRGLQVRRRGAWVTVDPVPDAFIVNVGDQIQVRIVYTPAS >Dexi5A01G0035090.1:cds pep primary_assembly:Fonio_CM05836:5A:36949969:36956478:-1 gene:Dexi5A01G0035090 transcript:Dexi5A01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTASALRSSTSINTQPAASSPEIVELTLLAGAREKGAVCLDGSPPGYHLQRGFGSGAHSWLVYLQGGGWCNTTESCSERKRTEFGSSKLMEAVEFTGILSNRHQENPDFHNWNIVVIRYCDGASFAGDAEGEDLDLSGQWSLRSLINGIVHLQNVREALPKSCLANKDPTECFFPAELIKSISTPTFILNSDYDSWQILILCAAGFRKKLVSELKVAEDKRDWGLFIDSCFTHCQTPFRISWISRISPRLHNKTIAAAVGDWYFGREKVKYIDCEYPCNPTCSSRLPTA >Dexi2A01G0027740.1:cds pep primary_assembly:Fonio_CM05836:2A:39060732:39061972:-1 gene:Dexi2A01G0027740 transcript:Dexi2A01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWIYEHIPVARPEMEDAPEPIFPRVRRWIGSTTAKPTKDVSNIRKVFSSLQVSDVNWEPYKDMDPASIPKNCIVPDTICLSRSWLISFNIREIYVPDRYARQFGQEQLPLKAVHGFQRHNWSISVDWSRKYASDIKKFELDNATHCDHTTAPLVTVQPSLGFSALTLVEGIKKEFSIVESFLMQQTLPDEVAKAISRIHELVEPSRPKEVDKAAFNAQGEGSSEPHELAAIDLSGEWAQKEATKEVSHDPDSEEAPVEGPNAAAQNDDLSMANSEADRADDMHREKVAVREGSVDSEVEEHQDADERGSNGHKRRLVVGGRESLRRSNRHCVQVKMFKHPPGKGSDPSDPIEL >Dexi4B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:4B:14310987:14313139:-1 gene:Dexi4B01G0013980 transcript:Dexi4B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQQMAGDVYQQQMYGGQMASYGYGQQPGGYYVPNAGYAYTSTNEMSQRMNGLTMQDSSLYGMGPSLQQRNRPSRPEDSLFSDLVSIAKTRPSKTASNKPGDFARYARVPVAQKLPLDIVEAANAYASDDDGDDDTPHL >Dexi1B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:1B:2819954:2828473:-1 gene:Dexi1B01G0003560 transcript:Dexi1B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANNKPPRVNWPQHANAIEGSSIKDDLLSSGFLFSLPTQRPNPEANHEGLGMLSLRSSACKIQGPERLQVPWLEKIIQPKRNCLHCSMHYIHFCNYLSSQAWRSLCSTQVASKSYLRPGLSAKVTDCDRGHARTYGEGSYNINKMATVPGNRILSQESTHQPNGSGSVANNSSHRPAGIDSSTRTYQSSHVVQADIMRARNQYNYVRTDAELHQAAPAAENMCTDDKLDTMDDDDVLASIDVDQIVMEHYQAMNTPRGSASQDMSTPPGTKCNFNGMDETNLPKELSEPCNHQFKLAFCPKAMVHLQEMKDEMIAVANELLDDDGELDLQRSEELRKKRLHLKKQIQLLEEYMSRSTQDEERQRSHSMASTPAIQGHLPPMTPGNTFTVDSSRFQSQVHIRNGPGNSDLFYSPAPYSCSDNLSTPLPSVWREYTPKFIDINYTEGSDDKRWSSTSFPWTKELELPALISEGLTLVVCPLVSLIQDQIMHLSQANIPATYLSASMEWPEQQEILRGLMSCHYKLLSNSLTGLLDNLYSQGHLSRIVIDEAHCVSQWGHDFRPDYKNLGVLKKNFPKIPVLALTATATARVKEDVVQALGLANCIVFRQSFNRPNLRYFLQPKTKKCLEEIDNFIRKNHFKECGIIYCLSRMDCEKVAEKLRVIPLNSKLSKPSISYEYSSILLHGVAFICECGHSVSHYHGSMDPVDRTRVQKQWSKDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLPSSCVLFYQYSDYIRVRHMLTQGVAEQAAAPRGGYPNSHEQALKTHKDNLLRMVSYCENDVDCRRLLQLIHFGERFDPSLCAKTCDNCLKDLQWVEKDVTNIARQLVELVTMTGQSHSSSHILEVYRGSVSQNVKKQRHDTLPFHGAGKHLSKGEAARIMQHLVTEGILIEDVKRSDNIYGSISSVLKANHSKASELHSGKHNIVLKFPAPDKASKMGKLDASLFPQINKPVQQQSEVDENLASMLFDALLSLREQIMDECSEGYNAYHIFKKDTLKEMSIRVPRTKEELLEINGIGKTKLKKYGDRVLATIEDFLSKHPNPRRNSSSGGSSGSNEAAKKRRGSTTSYAGGNGDDDFEGRTVQSKKRPAKTRNTKQGVSDAASMVQGARCIDADLDGVEVLDDELCSVQKPVASGRVLPKWAPAKAKSSSVPPSNLFQEFGYVK >Dexi6A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:6A:21563783:21564162:1 gene:Dexi6A01G0014180 transcript:Dexi6A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRMNIDCNGCYQRIRRALLNMQDLESHLIDRKQHRVSVCGEFVPQDVAIKLRKRTNRRVEILEIKEVDAGGGGDPPAGGGGGQQP >Dexi8B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:8B:17307760:17309276:1 gene:Dexi8B01G0009700 transcript:Dexi8B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIKAEEQKKEEAPAAIPEAAAAESEAKKQEEAAKEPPPPPPPCILGIDLHCTGCANKIKRCILRCKGVEGVEVDMAQNQVTVKGIVDPQAICDRLRKRTMRNATIISPGPPPPPETAAADKEEPAAVVVHSQVSEVRTVELHVNMHCEACAQQLEKKILKMRGVQTAPADSGASKLTVSGTMSADKLVHYIHRRTGKLATVVPPPPPPPEAPKEEETKKEDGGKNPEELPAEDAGKKEDQEKAPAEDPGGEKKDGDGGDKKEEETAKPEDGGGENKGGGGGEEEEKAKPQLVAVDGFPPEEMMKRMMYWPYHHKHYYDPRVVDEEAMAMPRRTTAMVHPYAMPMMQWTPPPAPPPPPPPAPAAHLMMYQQYYNYGMVERPAPAPQYFSDENPNACVIS >Dexi9A01G0045900.1:cds pep primary_assembly:Fonio_CM05836:9A:49370820:49371534:1 gene:Dexi9A01G0045900 transcript:Dexi9A01G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRGDRPAALAGLRLRCLISFLRRRRLYRTAHALERETGVFFDAEHLRRMLLRDRWAAASKYALSFVNARDCSREADLFNFRILVLRVIAAFAAGHGRFVGALFRRIYSYLDVHDDCNSIRKLLLSMRSDVTK >Dexi5A01G0033780.1:cds pep primary_assembly:Fonio_CM05836:5A:36035959:36038008:1 gene:Dexi5A01G0033780 transcript:Dexi5A01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGELLRRSGPSIGSKPDDRGGWRAAFYLVVVAFLERLGFYGVQANLIMYLTGPLGMPTAAAAAGVNAWAGTVLVLPLVGALASDSRLGRYRAVLVAGILYLLSLGMLTISSALQTARSVSSAPSTSPAHLTFIYVALYLLALAQGFHRPCAEALGADQFALSDGDPSASASRSSYFNWFHFSISWGYLLSETVLSYLEDNAGWTAGFVACWTTMFLSLAVFLLGARTYRAEQPVHDGRFVGTVRAWGSRVFRRKDASSSERLLNRQPEEGKGVVAKLLPIWLSAMVYSSVTSQMYTLFTKQGSTLDRRLATGLVVPPAALQCLASFTFIAVLPVYDRAVVPLARRITLHHAGVTTLQRIGTGMAMSCVSMVVAALVEGRRLRVAMDAGLVDRPDVTLPMSLWWVVPQYVLMGLAMALADVGLEEFFYDQVPDAVRSVGLALCLSAMGAGSYVSGMLVSTVDWATRARGESWISDNLNRAHLDYFYWLLAGLAALVVAVFLHFSNRFVYRSICEL >DexiUA01G0013730.1:cds pep primary_assembly:Fonio_CM05836:UA:28831226:28835175:-1 gene:DexiUA01G0013730 transcript:DexiUA01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQLQDILSSVVTERKAKMNIATGAMNTLLPKLADLAVSEYKLQKGVMGEIKELEQEMRCITAALHKVSEVPADQLDEQVKIWAADVRQLSYDIEDVVDTFMLRGKQHGHNDSSFCLKRLIGKAIDLYKKAVTNHKIHNVIEDIMDQVKKVSERRDRCRIDSIRTDNIAARPTLEHVDPRLEATYRKATELVGIGVPKNELAKRILERGCSSRKQRNIISIVGFGGLGKTTLANSLLHDLKSEFDCHFFVSVSSNPDIKKIFKNILLQLDEKEYSHMDEAWEIKLLIDKIIGFLKNKRCLCVIDDLWKKLPWDTIKLALQYGNQGNKIIITTRNKAVAEHIGGDIYELKPLSDDDSRKLLYKRVFDSADDCPADLSNVAGKISKKCGGVPLAIITTASLLASQPRCSVEWEKVNNAIGSGSQNSYHMEKMSTILRLSYDDLPFHLKTCLLSLSKYPDDQVIRKDVLVWSWIAEGFIAPAAGSTLQEIGEGYFNELINRSLIQPVNQIAFDPLGEGEVYACQIHDMVLELISRLSAEEGFVTTSLSVHQRDIIRRLSLHTANASTNENNELSKVRSLYVFGHAVLMPALSRFRVIRVLQLEDCSDLDTNHLKDLSNLYLLKFLRLKGLRVTDLPESIGNLESLDTLDIRGCRKWVYNGLCTNVEHTVMSRKRRTNEYPDVSSADAPVPRASLRPLTGPAGASPRSSGQPALFFIRC >Dexi2A01G0025450.1:cds pep primary_assembly:Fonio_CM05836:2A:37080024:37081869:1 gene:Dexi2A01G0025450 transcript:Dexi2A01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRPIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEETLPIQDTYALCRVFKKNAICTEVDDLQAQCSMALLEGACQQLLNSGSQEYQTPSPDVPVGSTSGGADEDADKDESWMQFISDDAWCSSTADGTEESTSCLALAS >Dexi6A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:6A:28635080:28636516:1 gene:Dexi6A01G0021380 transcript:Dexi6A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETPPQEESKKKAPLPKVVTLNKALKLAQTWVDKMSASEPDQPYDKDFEGRPSGLGLGAKVAHGVKRAAPTNPIERRLLGKVNAQKRKALEEESRTAKEANEASDDDSDEPESRTSAFSKRTLPSVISTPVGKKAK >Dexi7B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:7B:20532900:20536290:1 gene:Dexi7B01G0014180 transcript:Dexi7B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGEEEEEEEEVFYESRDRVLSSSCSSTSASDDDDRDHPRRRREGAAAAAAAEALDVWTSEPAPVQERRRRLLQMMGLTGDPALARLEMGRSASYDGPLRPAAVSPISRSRSDGAVPTKPPLGARSRQASSGSSEATPEGEETDPRCLIRNLDDGSEFVVKEEFELREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENAANSGSNNDGSSTPIQRSNSDSSNGATRHRRRSSWLRSIRNVAGSMVVSSRDRRSSDEKDTCSEKGGRRSSSATDDSQDSAGAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGRYLASAGEDCVIHVWEVSEFERKREENGVPNPFVAMVCNGSPEPTLALASTDGINCDKKRRTRFLEGRRSVSSDRLMVPEHVFALSEKPIRTFVGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKFLPGNTSKVLITSADSRIRVVDDLNLVHKYKVLSAFKK >Dexi5A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:5A:3612491:3612967:1 gene:Dexi5A01G0004790 transcript:Dexi5A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMSSQDSSHVEPEGGAMEFKELRHSRSVGSVGMQRRRNDGAEHRRRNDGSNQGFHTRRVAPALDPPSPKVHGCIFCGIFRNAGASEPSKPRRLDRL >Dexi7B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:7B:19056956:19061992:-1 gene:Dexi7B01G0012250 transcript:Dexi7B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQPVISLRPGGGGGPRASRLFSPAFAAATSGSSDFLRPHGGGASGISKIGESHFEPRERVRYTRDQLLELREIADVPEDILRIKQEIDAELHGDDQSWVRNDSTVNVQAQAPAQTPAPNRYAETDNRDWRARTAQPPQANEEKSWDNIREAKEAYASSGRQQEQVNKQDQLSSQFASKAQVGPAPALIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNDKLPKFDAEEEGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVLELLGAGPDRKQCPEEEDVEAICHFFNTIGKQLDENLKSRRINDTYFIQLKELATNPQLAPRLRFMVRNVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAAAVIRNGRSSPGGPLSPGGFSMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDSLRNQTPSLNKPSTVHKTSSINSRLLPQGSGAALLGKSALLGAGGPPSRPSSFASVPTPAQTTPSPKPLSAAPAVAPVPDKPASAPKGNSANLQKKTVSLLEEYFGIRILDEAQQCIEELQSPDYYPEIVKEAINLALDKGTNFVDPLVRLLEHLYTKKVFKTQDLETGCSLYSAMLDDIGIDLPKAPTQFGEIIARLTLSGSLRFEAVEEILKKMEDTFYRKAVFNAVMNTMEANPSGQAILGSHAAVVDACKSLLE >Dexi9A01G0031280.1:cds pep primary_assembly:Fonio_CM05836:9A:36175447:36183289:-1 gene:Dexi9A01G0031280 transcript:Dexi9A01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAAAAAATAAPTVLRAGAFPPRPPLLSLPLRRVRAAGNMLGAARSASAAAQSRIGGEAEVRASLSGEIHVIVGPMFAGKTTALLRRVQAEAGNGRTVALIKSNKDNRYGLDSVVTHDGTKMACWALSDLSSFHDKLGVEAYDKVDVIGIDEAQFFDDLYDFCCKAADRDGKIVVVAGLDGDYKRKKFGSVLDVVPLADSCCSAGDFILTAGDPGSTKMEAGPAAKRNPAASLTDELIVEILSRLPVRSVCRFKCVSWSWRELISDPVHRKKLPQTLAGLFYTSYNGERFPNSAHHFTNITGKGVPLIIPSFSFLPVPSDDVTLLDSCNGLLLFECRCSGPCPDEHNWYPPFLYVVCNPATEKWVMLPNGTASGENRIARLAFDPAVSSHFHVVQYELDEWVTGVEICSSKTAAWSFKESEWDDDIILYDTGGSVFLNGFMHMATFNERLAVLDMEGKTWRTIPVPSDGEFGCSEPGCIHQSQGRLCFLNGDALEVL >Dexi3A01G0030000.1:cds pep primary_assembly:Fonio_CM05836:3A:33096413:33097072:-1 gene:Dexi3A01G0030000 transcript:Dexi3A01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEYQVNLVSLMSDLPQGAINNRGFYTSMAGEAPDRVYGLTMCYVDRNWTQCQDCVRAAAAGVQQTCPFSREMEACYDACILRYSNVPFFSVADPTTAVYMFLRSSVVSDAPSMVAARQTLMSQLAAGAAAAESSPQLLGLANGSVIYGLTQCTRDLNASECTRCLTETVNDLSSTFPNDTYGAVKAYSCYVVYSVGGDFLTITLPP >Dexi2B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:2B:29445091:29447478:1 gene:Dexi2B01G0019290 transcript:Dexi2B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNESGEKGMDGNASSASIPVEWQTSQFSAAAFACPPSQQQHQGSMMDSSAFASGMWASTSQAMALADVGGARGGGGGGGFLAPVPGFLPHGLGHFPVDSGFIERAARASCFGGGGVMGAGGGFGAADQNMSSAFSCSSEALLDHQRKDGNDKGELELGRNGHEGVPSSEAAGGGDCSSKGTSDSKKRRRPNEVQSSNLPADSANESVQSKDKVEESSPATTTGKSKGKGAKDTSESQKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDMPHALTNGPFSDVAHQMAYPSSLGSQDLSMRPSQDGFQM >Dexi1B01G0023140.1:cds pep primary_assembly:Fonio_CM05836:1B:28797056:28800086:-1 gene:Dexi1B01G0023140 transcript:Dexi1B01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAAPEAQPESAAAAAVQTSPEPEVTVFRSKLPDIEIPIHLPLHEFCFARATELADAPCLIAAATGRTYTYAETRLLCRKAAASLRGLGVGQGDRVMLLLHNSVEFALAFFGASFLGAVTTAANPFCTPQEIHKQFEASGSKLIVTSSAYVDKLRHEAFPRIDADGDSNGLTVVTVDDGAPPEGCLAFWDLVASADVATIPEVTISPDDAVALPFSSGTTGLPKGVVLTHGGQVSSVAQQVDGENPNLYLREGDVALCVLPLFHIFSLNSVLLCALRAGAAVVLMPRFEMGAMLEAIQRWRVTMAAVVPPLVLALAKNPKLEEYDLSSIRIVLSGAAPLGKDLVDALHARVPQAIFGQGYGMTEAGPVLSMCPAFAKEPTPAKSGSCGTVVRNAELKVVDPDTGLSLGRNLPGEICIRGPQIMKGYLNDPEATARTIDADGWLHTGDIGLVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLLGHPSIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEDAIKEFISKQVVFYKRLHKVYFTQSIPKSASGKILRRELRAKLAAAATA >Dexi3A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:3A:14901704:14902780:1 gene:Dexi3A01G0019060 transcript:Dexi3A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFRPTRPPPSRSTVDAEFTDPSSSANNTAATTIEDARLPRPVITFEPPSSYYDFGTMKFMLFSRSTHGAKHDDDRIIGTDQRGNTLLYSTGSHTIRVMPTLNQPKRMCLSLTVGDSLYVMDRFPRASDTKCFETLFYGSASTTSDPFISMRQEWHWHSLPPPPYVFEKGYDYKLPYNIRSYTVVGHSDIWISGKDIGTYAFDTVSRAWTKIGDWVLPFDGHAEYIPEYNMWFGLSYDDNNLLCTSDLASAVSEHKPPLTRHVWEDDLKHPEDWVRGMAYAVHLGAGRFCVARFFQTPDEEPCDQCGGFISRESEKFAVLTGVEVERCGKAGRELRMVTHRSKRYRLENKLLDLVL >Dexi2B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:2B:4987738:4988240:-1 gene:Dexi2B01G0005360 transcript:Dexi2B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNSGSNELKYGAGQLNPAKARDPGLVYDALEHDYISMLCVEGYNATQLAFITGSNATACVDGSTAGSASDLNYPTMAAQVEPGKNFTVAFPRTVTNVGDEGAVYNVKVVFPDGAADDLDVAVSPTRLEFSDENPKASFTVMVAGVVLGGAGHVISVDVQA >Dexi3B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:3B:3428529:3428915:-1 gene:Dexi3B01G0005150 transcript:Dexi3B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRREPLPLDLPNPTPPTRPSAPHAAPPPLANRTGEPLERAAATSRPIPFRPLPPPPLCALRRGPAAAQVEPTGTIASVIATSPAPHGGRGALPSAGGSPSDLLFLAGGGRDLRL >Dexi2B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:2B:1482731:1484960:1 gene:Dexi2B01G0001930 transcript:Dexi2B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHVETIKLKTMAAEQPVSPVERLMKDLYVVAAIGLATPLNLAVFRAGIEAQLARHPYFSSIQVTDKHDGTPRWTRTAVNVDDHIVVVSNLAGAEEDDDPDKAVEDYLSSLSTLPMDHTRPPWEFHFIDVKTSEAASTVALRVHHALADGMSLITLLVSASRSAADPAMPAPAPPPPARRKGAIYAPPSSASFVWSVWSYLVVAWHTVVDVVTFVATIFFLRDPDTLFKRKDHGEHHRRRMRFVHRSLSLDDVKFVKNAMNCTVNDVLVGVTSAALSRYFFRKTGDTKTRETVLRSILPVNTRPTTSLQGLTVHYQSYNNCIKVILAVDEAQFPDSRQLLDDFAVSLNLTKVAAANTSTKSTKDE >Dexi6A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:6A:15876561:15877172:-1 gene:Dexi6A01G0011140 transcript:Dexi6A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSQAPVAKSKTSPCRGRPTPPPAPADRLLQGQPTRRAHASALPPQQPPALPPSAPAAATSTTDAGMNSGKAATAKKQRGVQKLLKSAFKRGEHAPGASSSSANSAAPGGHPGEEGSLAAAAQDLSRSSSSSAGGSSGRKGRRGGGGGDDGSADGDRSSHDSLELDAN >Dexi6A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:6A:21884573:21885977:1 gene:Dexi6A01G0014490 transcript:Dexi6A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNDINMDKSDEILMPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSLNDPSLPKRPIDKTIPLNDSWTICRIFKKTSSMAQRVLSHTWGPPMPGATEAEMFSAFQSVQASEFALESSSCSLQAAPPAPASQFTNRHGLQGQQQQQNKVNNPSLDGSSCKLINFNCSQSLEPQNFPITFPFEVQTSQKSSAAAPVFFSTQPDHQLSEFVVDSSADVNGGIGSRSQDSFTKKTGNGFNMNSSDWEALGRINFPFDLGADSSEEWRCNIPWESFLSPVAVQTELPH >Dexi4A01G0024740.1:cds pep primary_assembly:Fonio_CM05836:4A:27462688:27466689:1 gene:Dexi4A01G0024740 transcript:Dexi4A01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPSPNPAPTSDLPNGNTTKSRETDRRRRRRKAKKNKAAARDAGADAKVTEEGASAADAKEKADPNSNPLIEVEYVPEKAELDDPLLDDFKAIFEKFSFKDAAAAAAEDEKRDEGDADAAKKSSDDDEEDEDQEAQKKKEGGLSNKKKKLERRMKIAELKQICNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQAIFFPPCLHSVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDDEPVDRSKHWGDLEEEEEEEEEEEELEEPMEDEEMEDGTQSVDTISSTPNGVETPDVIDLRKLQRKEPEKQAERPLYQVLEQKEERIAPGTLYGSSHTYVLGGTQDKSSGPKRVDLLKNQKSDKVDVTIQPEELEAMDDVLAAKYEEAREEEKLRNQKEDFSDMVMENAHKRKRKQEKEGKSKKKEFKF >DexiUA01G0023230.1:cds pep primary_assembly:Fonio_CM05836:UA:47410076:47412499:-1 gene:DexiUA01G0023230 transcript:DexiUA01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQEFLSLVGTLRSHNGEVTAIATPIDNSPFIVSSSRDKSMLIYRKYRNIGTVNPAPDSGAAADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVISVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCTLAGHGGYVNAVAVSPDGSLCASGGKDGYTLLWDLSEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQILYCTSLSWSADGSTLYTGYTDGSIRVWKISSFGYSG >Dexi5B01G0027100.1:cds pep primary_assembly:Fonio_CM05836:5B:28719112:28719872:-1 gene:Dexi5B01G0027100 transcript:Dexi5B01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSSAWSSTAAWLVVVVGLAAVASSSEAYVFYAGGRDGWVLDPTESYNHWAGRNRFQVNDTIVFTHEEGVSSVLLVSEQDFDTCNTRSPVRRLEAVDGSSVLRFDRSGPFFFISSDEYRCQKGQKLYIIVMAVRPARPPIAAEVPAPDATQWAAFPPAGAMAPEYAHAPGMNTFGKEGTSRSGSLGAPPPTAGAPRLVDGAIIGSVAGILGALVLCAVL >Dexi6A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:6A:8996818:8998725:-1 gene:Dexi6A01G0008470 transcript:Dexi6A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAKAAVVPESVLRKSKREEQWAAEKKEKALADRKKALESRKIIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Dexi9B01G0048440.1:cds pep primary_assembly:Fonio_CM05836:9B:47403014:47406054:-1 gene:Dexi9B01G0048440 transcript:Dexi9B01G0048440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKQEKIGEGTYGVVYKALDKVTNNTIALKKIRLEQEDEGVPSTAIREISLLKEMNHGNIVRLHDVVHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKYIYALPAACELILNVTIFPFLQSYLHQMLRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPNEQTWPGVSYLPDFKSAFPKWPAQDLATVVPNLEPAGLDLLSKMLRYEPSKRITARQALEHEYFKDLEMVQ >Dexi2B01G0027470.1:cds pep primary_assembly:Fonio_CM05836:2B:36396808:36400198:-1 gene:Dexi2B01G0027470 transcript:Dexi2B01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVIRYGILFPLRSLTLAIGWLAFFAAFFPVHFLLKGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLREHVQHPDSNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIAFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEEK >Dexi4B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:4B:11432518:11434553:1 gene:Dexi4B01G0013180 transcript:Dexi4B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNVVGSPGEVSPSLPVSTTMMMLSLSALLVCCAVYAVEWAWWRPRRQGRVLRSQGVGGTTYCSLAGDAPLSERLERVARSRPLPLGCHDVVPRAMPLFYQTVKQHGKTSITWFGPVPRVTITKPQLVREVLSNKLGHFGKLKLGRLQRRLHNGVGSHEGEKWAKHRRIINPAFHQEKLKRMLPAFAACCKDLVERWESLAAGEQQCEVDVWPEMQNLTGDVISRAAFGSSYREGRRIFQLQGEQIELTIQAMDKLHIPGYLFLPTRTNRRMKQIAAEIEGALRRIVAKRQNALRTGKAACDDLLGLLLESNMEHCKGNGGDSGTGITTDDLIGECKLFYVAGMETTSVLLTWTMVVLCMHPEWQERARDEVIHAFGDRTPEYDGLSRLRIVTMVLYEVLRLYTPLTALHRRTETSTELGGVRYPAGVVLTLPLLCVHHDKDVWGPDADEFRPERFAEGVFRASRDAPAFFPFGWGPRTCLAQNFALLEAKMGISMILQRFVIELSPAYSHAPVSVGLLKPEHGAQVRLKRLPRAS >Dexi2B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:2B:25848999:25852412:-1 gene:Dexi2B01G0015780 transcript:Dexi2B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHAPKSLAVLLRARMHPDALPSPPPQPPSPPPPPTDPAASPTAAAAVRHWLHTSASAASPPPAALDSFSDGYRSLDRGGRREVLRSLAADYDVPRARVRDLMRQYMSVASASAAMGGDDAEAEEGKEGAAAALYRMERGLRDALRPRYAGFLEAMNAQPGGLKLLAVLRADLLALLGEENVPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGCRCFGYFHPAIPGEPLIFIEVALHKDMAASIQEVLWDDPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLRAKLASQIKLAETESQEGDSLEGASSTFRESILLADEEKMIHDAIEHAHGKQGIELLQDILKTSQWVNSDKLSAALKSPLMRLCARYLAGEKIRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLEKIEEYALSYSGTGLIHSSPSISQYLEVTAIIE >Dexi4B01G0022880.1:cds pep primary_assembly:Fonio_CM05836:4B:24397519:24400154:1 gene:Dexi4B01G0022880 transcript:Dexi4B01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAAPDRSEVPAGESEWREELRQQQSQVDALRERLVEVKVGMRCSESDSRRELDHLCRRVKTISTLLAYLKSKARIMAIPHLAHTSCGIRLQEGIGYIDRHGVPLADWPKGAETGSCGGNSEDRMVVEGSAAPDHGDAVGGDLVVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRMGLEEIRRKTLQVETMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVAKTNQLETEKAQKEAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >Dexi7A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:7A:24182559:24182900:-1 gene:Dexi7A01G0014180 transcript:Dexi7A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEEAMAGSEMEKGMDHHVTSVEMDHGDLMQQMFNQSYRPMIPEGGHHVDDLFADLAELESDPMSLIFPGGGDPGKEKATSKNLGADSLFNMLDWGTGQEII >Dexi2A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:2A:16453115:16453881:1 gene:Dexi2A01G0013160 transcript:Dexi2A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRLLSSDSSDSDNAAAMDINADLARLRARYSRLAAGPPVRPRDVPGLVMRPDDPALAVSALSWLGGDLRPSCMLLALLPALFPSLPSHARHALSAAARRLSAREAALDGEVAEYQSTYGMKLACEKTKDAVAVTAAEEMCKMARAARRADKLRWRAVEAAVKEVLAPAQAREFLKAVEDVAGKVARQTRWHARAGTLTVPVEAFERVRANARAATDDACED >Dexi9A01G0027470.1:cds pep primary_assembly:Fonio_CM05836:9A:32113809:32114168:-1 gene:Dexi9A01G0027470 transcript:Dexi9A01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGSSSKKQPGTDAACGGGKPARTHWRRRDPADTAVYVVHPTQFRTVQLTGADPPPPAHVRTTAPQQPHQQASAGTTANAAAQQDGGGTGNVIRTLGQMQQECIAWANSEID >Dexi9B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:9B:5990849:5991857:-1 gene:Dexi9B01G0009700 transcript:Dexi9B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYGYYLAYFWMNDNATRDALGIKEDLPSSIEYHFKLTTRGYRALVYRFTITYANNLTFATVKGGGHTAPEYQPKESFAMALRWLDNEPL >Dexi2B01G0028630.1:cds pep primary_assembly:Fonio_CM05836:2B:37192239:37194654:-1 gene:Dexi2B01G0028630 transcript:Dexi2B01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDFWFGITWLLNQVAKLNPIKRVPDLALLKQQFDDLPDGNSNLPRLDVFINTVDPINEPMIYTMNSILSILAVDYPVDRTATYLSDDGGKHCIEPRAPESYFAVKSRPYTGNVPDEFVDDHRRMSREYDEYKVRLDALFTKIPERSDAYNAEANEGAKATWMADGTQWPGTWFDPAENHKKGQHAGIVKVMLKNPGDEPQFGAPASSANSLDFSAVDVRLPMLVYISREKNPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSLAFRAAMCFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRIAVYGIDPPRWRSDDFKIVDNADKFGNSMSFINSIPAAAHQEWSMTSPPADEELIKEELDSVMKCAYEDGTEFGNEIGWVYNIATEDVVTGFRVHRTGWRSMYCRIEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHCPLLAGRRLNFMQRIAYTNMTAYPISSVFLVFYLLFPVIWIFRGEFYIQKPFPTYVLYLVIVIVMTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLATLHIILKLVLRGKGVSFKLTAKQATSTVNEKYAEMYIVQWTPLLIPTIVVIAVNVGAIGAAIGKAIVGGWSLLQMADASLGLVFNAWILLLIYPFALGIMGRWSKRPYILFILFLIAFVVVAAVVVAIHTARTGSFRFHFKHSGGASFPTSWGF >Dexi2A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:2A:2087998:2088792:-1 gene:Dexi2A01G0002590 transcript:Dexi2A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGIGGSVTVGMPAGTDGIGGSVTLGTTTAGTTGGSAAVGMAGTFGMVTAGTVGTAGMGGTPVTAGTAGICGTVGTAGTVGFGTAGMAGMAAGAAAGSVSSASRRAAWQVLVPRTSTSATTMDIAVRLEVEDKAIDV >Dexi5B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:5B:20924689:20934799:1 gene:Dexi5B01G0018570 transcript:Dexi5B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILSNPVLEAFGNARTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDIQRYKLSDPRSFHYLNQSSCIEVDGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHLGNIDFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCQNLEKALITRVIVTPEEIITRTLDPASAVASRDALAKTVYSRLFDWIVEKINISIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSHFLYRTQVTYQADQFLDKNKDYVVAEHQDLLNASSCPFVASLFPPLPQETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQARWRCHRDYSHYKNLQDAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQESLHDMQLQVEEAKAMVVKEREAAKKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATEAAKREHAESERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGNTLNGEVKSSPDVTPISLNPKELEAEEKPQKSLNEKQQVYSFFVDIVCVAMYLTSNSFFPKSPDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRAFLGSRFIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIFATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSDVISSMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLIRENSGFTFLHQRKD >Dexi6B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:6B:21777199:21778606:-1 gene:Dexi6B01G0014130 transcript:Dexi6B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAAVAGTGRGAPELGLGLGVGGAGRGEEDGGRGSRAATSAAMWWAAPVAEPEPAVRLSLVSSGLGLHWPPTTSHSGRSSQAPARGFDVNRAPSAAAASAPGMEDDEDPASSSPNDSAGSFPLDLGRRAHADGGGAAARAGGERSSSRASDEDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKAALSKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCEALTEENRRLHKELADLRALKTAPPFYMHLPATTLSMCPSCERVASNPPSAVSTSSSTPPPKTTTPTTISAAATVAAPARVEQHRPSSFAALFAATRSFPLASQPRPPAPASNCL >Dexi9B01G0042330.1:cds pep primary_assembly:Fonio_CM05836:9B:42535745:42536708:-1 gene:Dexi9B01G0042330 transcript:Dexi9B01G0042330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVESPYSNQAGENQAQVTLPGKPWHWQYKGSPISYINPSTEINVQEPRAAMLPFTSGTNAPSMRPPIRPHRLPNLRSPSRQRKRAVTSDDSATGIETRNEVEGGEGTCGRTAVRESAVADEPLHSSTVTHVPAAASPSGCDVSIFPLPRCPPTAGIAGWIGWSLGVGGQRDACRRAAAADPLKPKRPVTFRAARTPAVQQSSGRWSRVDLGEVGSGVWRLGLGPRTAGCLAAA >Dexi1A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:1A:28073657:28092643:-1 gene:Dexi1A01G0021260 transcript:Dexi1A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPAEDDRGGSKPAGRNAVPSPTYRSLAAPVSKPVDKFPLLPAFLKVSIAYSAPIKVDIEYTVSNHPNVKTEKNFVIGYMPIMLRSDNCILNGKDEAELARYGECPLDPGGYFIVKGTEKIPIIVVMKAMGTESDQEVVQMVGRDATYGDLLFPSIQVMYPGPGNQKEGRSKSILSDVFIAHVPDLFKTMNSHLVERMDKTSERTHSSPLDFSRLLMEETIITSGLERAIATGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQLSQQCIHIASDGGRVCRPLIIADEGISRVNEGHMKELRDGIRSFDDFLHDGLIEYLDVNEENNALCAMGKQAMGNIAYNQVGYDKLGAGQNATVAVMSYGGYDIEDAIVMNKSSLDRGKVFELLGGKAGVSCGQFHYGSAFGELSGNAHSIEDIRFLIKSMLELMDHECR >Dexi7A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:7A:22186331:22190882:1 gene:Dexi7A01G0011810 transcript:Dexi7A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQENNKTCGGGGGNNAMVADEAPEAAKEKGCGAADPRLQGISDAIRVVPHFPKPGIMFNDITPLLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGDVFSESYALEYGTDCLEMHVGAIEPRERAVVVDDLVATGGTLSAAIKLLERAGADVVECACVIGLPKFKDFYKLNGKPVYILVESRK >Dexi3A01G0029800.1:cds pep primary_assembly:Fonio_CM05836:3A:32206612:32212296:-1 gene:Dexi3A01G0029800 transcript:Dexi3A01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAISAARWVVGRALAPVTDGLLESWTASSELGPNLRALKMELLYAHGMLNNARGRDVNNPALRQLLLELRNLAYNADDVLDELEYFRIQDELEGTYETIDSKDRGRVGSLVLNARHTGRSALASMLKFSACSCASATASRGDPAGMVILDGDKRLTVGQIVSALHAHQRKLKALRKELAGERNARAEVEEYQRQLEKQGELDREVVRLATMELVRESETEKHGLQRQIYQSESAVAMDDDDSGGEVGCRR >Dexi8A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:8A:11691082:11698691:1 gene:Dexi8A01G0009110 transcript:Dexi8A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTSAEPRRIGRRRAGDQEMLLFFLFALLAGAAGFLVFKFATVVDGDLTLVSRGPPRRDRVDGKVVWITGASRGIGEVLAMQFANLGAKLILSARNKDVLERVKQNILSKNPDSRIEVLPMDLSAGEESLKQVVHAAESLFSNAGIDYMIHNAAFERPVNITLYLCYLFNSVNISIINEGHWKRMRKATFNVNVIGTINLTRLLAPYMLDRGMGHFVVMSSAAGKVPAPVLVSHYSPLSSSLQKRVSVERCAELTIVAATHGLKEAWISYQPVLTVMYLVQYMPTVGYWLMDKVGAKRLDAAAKKGNTYSWNLMFSGKKSP >Dexi1B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:1B:1252390:1253078:-1 gene:Dexi1B01G0001550 transcript:Dexi1B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAPEAPALEDPSPREATLDDETRALVFPDAADLPASPPYAVEANFARFFVAEWNV >Dexi3A01G0036400.1:cds pep primary_assembly:Fonio_CM05836:3A:41808671:41813931:-1 gene:Dexi3A01G0036400 transcript:Dexi3A01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQQQGASAAAARRMATLASHLRPHPASPHPQVRHHALPSLLGPSLXXXXSSDDSLRNSKSKATMEQQQQQQGASAAAARRMATLASHLRPHPASPHPQMEEVSLVSGSNCRAKGAAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNAPGVTADISHMNTGAVVRGFLGQSQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIIRTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPREVNVPVIGGHAGVTILPLLSQVNPACSFTPEEVNHLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGMRGDAGIVECSYVASQVTELPFFASKVRLGRSGIEEILPLGPLNEFERAGLEKAKKELAESIQKGVSFINK >Dexi9A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:9A:12968277:12971438:1 gene:Dexi9A01G0017850 transcript:Dexi9A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSRPWAELQHDLLVTIMTRVGAPDLLTGGTPRACSSWRAAARDPLAWRRVDLRDWAALTSAHRASSSRVPIHAALSGILGVAATLAEGRIEAVLLPEFADEDHLLFLAERCPNLQYFSLASTCMTYDKFCKAIGELHSLKGMAVDETLINYDVLLHVHQCCPDFVELKVSALYVDEEMASVICTSLPQLKKLEIPSSDMPAAAIIKFLDCLEELEYLDISGYETPAISSVVLDKASRLKVFLWNSKFELGEFVDCSNCGEHNINPQEPCKCMMEYKVMDWLAGPA >Dexi4B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:4B:911863:917129:-1 gene:Dexi4B01G0001540 transcript:Dexi4B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCCCGDGGEGENKTPLWWLAAARYAIAFMVTVLIIIVIVKAIKVVSFPDPLYLSVVQGTIFAAPARRSQKQVQELAAVNLEFRLLIVNPTRHVPMYLVNVTSYLFDHDTPATTVDPVDHCFVYYKQKDDIAIRQNSEANQVLRLSVPRMSMQPKFFDLVYNTSDSSSSSSSMSGVTMRLDATLVASIRMSATGNVNVSGNVTYYFWPLVVLHKNLLGDLVDDDLTTTQEDLGNDVFCRPPQGDVSTILNPKVRIFLSSALSLFLPVMSYLFSETKNAGASSSAKRLGSADLSLRARIILAWMLMVELLRKKVDVIHMSSYSGIIQRAGRVIWLGNLVFFNIENPGRKAVFSILWILCATKLVQRIAFTEVGKRSYAHGKNAWLISSYMVQMLQHNNNNDHQDHLHLHADDVEQAAHLHVGNEELLKRCKYIVMGEEKLVEATTADGYKIINPPDGSVITVGKVWELGEDNKLFTYLHEKQHLKRLSLSFALFKLLRQRFEHLPAMTDGEAHYNRDIILRGIYNNDERSAEALFQVMNDELAFLEVVPNNLKQSITEYLVEHERRRGSAGYGHLTNGKSALERNNLSSRLLWACNRDSVAEVILTWHIATTILEVKCAPQTIEEVGLSRVATRLSKYCAYLVAFHPDLLPDYQEKAELVFQDMTEELKGMLGSREYYLSSLRVRVDKILEITQVEEARDETRNQVGTTGQSDEYKVVMNATKLCRSLMPESNNGLEIMWKVLADVWTELIVFMAPSNNEERLKGHEEVLIQGGEFITVLWALTTHIGISRSSLSKSVIDGHPEA >Dexi4A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:4A:397264:397605:1 gene:Dexi4A01G0000630 transcript:Dexi4A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKDAASTAKAKAKEKQAKAEAKAEAATARSHGEKELAHERGKARVAAAKMELHQEKALHREEAMQHRLNKHHGVGHHHPHHHGGAGLGAPAAAAGTMTTTTMAPPAKHYY >Dexi7A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:7A:29837373:29840126:1 gene:Dexi7A01G0021110 transcript:Dexi7A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRGIMSAPLEQPKLWPATAPSLGRRLPFGAFSPPQPLSNVREHRRCISRALRPRQEWVEGWVRSNDTLVRGLPIIVGGASLVAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGPDVPSSALHELLWTWDSLTTATCCKSLVVVYGGNCILQIGVAVGSPEDGNALPVDTQKFIQGSLYKSAMESKKQSYLANLALYPGRSELPFLPANTQALILQPIGDKGIAIVGGDTIRGFTSIDQAWIAMIADKLDATLSKSYNS >Dexi9A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:9A:7657720:7661537:1 gene:Dexi9A01G0012090 transcript:Dexi9A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEKSAEDIRRELQELQRQHREITERLRDPRGLRRGSPGPGPGPGGPRPLRGFVRPAAGVESGNQPAQKRRLLSAVVKVDGAETNEEGEKAAEADGTDDGSGAAEGGERRGFSNGGFRRDGSSRMPRRVDYNSLPEPAPRELPKNEDPNLVRRNKRMLGQLLVGTLEKFQQEDKKLSNSEAYLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMMLRARVAAKAEEKRLELLYIQWTEHHKKLSNFLRTKAEPPIYYMPAKPIIDDPTIVEQNKEKVFEEWKSVRRAELTQFQKQVEEQYLSNVERQLERIQNARNARRANGPANMQEMDKELDTHRAEHGPKTRRVPEEGGNDEDEDAEDMAAEGELMDEVLGINDGINEDPSKPSDEAATDGGEPAPEEAQ >Dexi1A01G0029770.1:cds pep primary_assembly:Fonio_CM05836:1A:35204189:35204928:-1 gene:Dexi1A01G0029770 transcript:Dexi1A01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLD >Dexi1A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:1A:3666673:3668610:-1 gene:Dexi1A01G0005020 transcript:Dexi1A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAPASPGSASGAGGSGDRGGAGTPSSADRVAAPPSPRVRFSDSGEERVLNALWQKYENAIDKAEKKKSLQIFVMHFVKAFKDWKPGHIEQTVDQESLSDDTVLGCSTGHPSEVILILIQEISQITSSITESLTATMTN >Dexi3B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:3B:6041039:6041716:-1 gene:Dexi3B01G0008710 transcript:Dexi3B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGSSAADGGGGGRLRLCLLGCFHDLFQCACQCAWFWTPLTAVVISLWLIYRPDLFRPRVDSAVLAAFALDAPPSTETQQLLRYDLAVDLSFRNSHGRLTIEYLDVGAAAFYYGTRLVGPADDEMPSQQGPKNTTVILRAAFRGAVAVDAGVAAELERELAAGTVHVRVTVALTLMYKVWLIDQVFFYKYDCWLWFPPPDDDAPAIFDAGTQCWPA >Dexi7A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:7A:17366744:17367244:1 gene:Dexi7A01G0006080 transcript:Dexi7A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLLVVVLAMVAAACVAVADGKGECGATPPEKMAAKLAPCASAAKNQDAAPSSGCCNAVHTIGKQSPECLCAVMLSKAARKAGIKPEVAITIPKRCNLADRPVGYKCGGYTLP >Dexi7B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:7B:19032525:19033461:1 gene:Dexi7B01G0012210 transcript:Dexi7B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQNYTSKYVGEGEALLRRTFQKAHVASPSIIFLYEADAIAPKRYCIFHCQMWKGDETLRIHTPKVKLGEDVDIWKIAEDAGMATLKRRSLC >Dexi4B01G0023090.1:cds pep primary_assembly:Fonio_CM05836:4B:24579410:24581916:1 gene:Dexi4B01G0023090 transcript:Dexi4B01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRFPFPVSSAAASLRFEFNLRVRVPIFRGSRFEYFIYQAGGCMPSSLKLLKHPGPSRVIHGCNAGLLRSSKGDGFYIIAALCYAYASMVPGHYDLYIYDSRTEEWATKTALLRQEQELGHHSSHKHSKVITLGGKAAGTMGWVDLRRGILFCDVLRQDHTVPLCYVALPPPLKPDRKLKGCADLDRDIAVIKGCIKYVELQTLVRPGSVVVGNYITDDWTAATWSRKATSFKSLEKGSWQPGCKVSASQISARKKPVQFELLPKLVDDQGTPQPTLERLHTARPMLSLHQDNLVYFMAKVNYMKGTEAWVLAVDVKNKTLQGVAEFDARRTLGMLFTCMCSSISQHLHMAPAIHGHLKRPGTLMHQSSLKKQAGTMDILDSDGEQKLQDSDVDGTSVRALDQDSMDLE >Dexi1A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:1A:26366441:26366881:1 gene:Dexi1A01G0019200 transcript:Dexi1A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMATGANGEVPGEKKEEEQRNASTSPWTPLARFRPRPSRAAAEEEEEERSAPWSLPCSAWSRALLCWVGPTRARRAIISNHHSGPSLTPSRKAGKLAPRGGNREGDALALAGRETRSLSALPAFSSSWPLSASQGPRLLFASGTF >Dexi1B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:1B:1860400:1860643:1 gene:Dexi1B01G0002300 transcript:Dexi1B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSRMVIDGGDELKTSWPEVCSSLEPHLVGEVPGPGYDPKRVRIFVDTFFTVVQTPVVG >Dexi6B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:6B:642316:644335:-1 gene:Dexi6B01G0000740 transcript:Dexi6B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWARVRTSPRPSPRSTSRSPSSPAFRRTSRFYIHSPDRAHIRREPLILATEEDLALLLVDTKATSYPDYQAAGDGSSGKKKPSLTLLPKAPYSFHAKDMGLLRRPGNEYIIAGFNFLHEAYGGLTICVYDSKRGDWKLHAPSLSVQGRHEYGDKYFVHRNCKVLTIGGDAGTMAFVDLWRGMLFCDILTLEREAARQAESEAIPLLDHLKCVDLASTKATLNQSGMVLQGSASKMEDKLFKYLTGAKATLNQSGMLLQGSASKMKDKAQVQLDGESVAEDGDAMVLD >DexiUA01G0003320.1:cds pep primary_assembly:Fonio_CM05836:UA:6723041:6723844:-1 gene:DexiUA01G0003320 transcript:DexiUA01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSQSSSLQRLHQVEKRIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLQYVIEKLDTMQQNLQQSTDDV >Dexi7B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:7B:15790674:15793999:-1 gene:Dexi7B01G0007990 transcript:Dexi7B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEIPNPSPDATNPAAAPAPDHSSSPPLPPRKRRLSPSPSRSRSPRSRSPRGRRSRSRSRSRSRSRSRSPQYPHDGKRRRHNDLTVEVCRDFLRDRCTRSDLECRYAHPHQSVSVDRQLLRSIGVEDPKVKTVCQDFLRGHCNRKSCRYSHVVSHPVPPMSHVPIPYPEMLYMPPPPPPPLGVPMMGPPPSPPRPFADNMSRVEVCRDFLKNMCNRESCRFLHPESHAAATSDNVEVCRDFKRGECNRPSCRFFHPYTS >Dexi3A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:3A:1212405:1217857:1 gene:Dexi3A01G0001800 transcript:Dexi3A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRLSNTAVNGVNPAMLCLLVFPVESVSSYEVSMSSEKVPPTPHRIPPSPSRFAPSPQVARVGSVNLSIQQILRATQNFSPSFKLGEGGFGMVYRAVLTDGTVVAVKRAKKDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNEGNMREILDPLLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKIASDLTNVV >Dexi4A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:4A:6849079:6850795:1 gene:Dexi4A01G0008880 transcript:Dexi4A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVAALKEFVVARWPQDKEVVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSGNA >Dexi4A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:4A:7939571:7941745:1 gene:Dexi4A01G0010020 transcript:Dexi4A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRPVFVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRALQVMDKVFPKDSPVQPSLVIVYFGGNDSIAAHSSGLGPHVPIDEYIENMRKIAEHLKSLSDKTRVIFLSCPPLNEEMLRSSTSSTILSEIVRTNETCRVYSDACVALCKEMKLKVVDLWHAMQKREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEGDDEWEPRLHWKALPTEFAEDSPYDLVSFAGDATVNPSEWTIHRKIPWD >Dexi1A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:1A:9086532:9088061:-1 gene:Dexi1A01G0010540 transcript:Dexi1A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTTGASDTSSPELLPPPSCTEELPRQHHQVLLFPSPGAGHLIPLVELARRLAVDHSLPVTIVTLSGMSDPATDAAVLSSLPATVATASLPAVSLEDLSPDIGFGTLMFELVRRSLPHLRALIMATTTTAALVCDFFGTAALPLSTELGVQGYVFFPNSFAMISIMRHIVKLHGNGDVVDGAPGEEYHDLPDPLPLPGGLLLRHADLPDGFRDSTDPVYAYLVEEARRYGHADGFLVNSFEEMETAMAEEFKRDAKDGAFPPVYPVGPFVRSSSSGKESDESACLEWLDRQPEDSVVYVSFGTGGALSVEQTAELAAGLETSGHRFLWVVRMPSLDGNPCALGKVPGDEDDPLAWLPEGFLERTKGRGLAVAAWAPQVRVLEHRATAAFVSHCGWNSTLESVAAGVPMVAWPLYAEQKMNAAVLTEVTGVALRPAARGDGMVASEEIAAAVREVMDGEKGSAVRRRARELRDAAAWAWSPEGSSRRALGEVAGKWKGALGNTKGTIA >Dexi9B01G0032420.1:cds pep primary_assembly:Fonio_CM05836:9B:34641664:34642131:-1 gene:Dexi9B01G0032420 transcript:Dexi9B01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPASGKAAGVNRPPHPMWRRAGVARMWEELIDTDPGVAEEVFFVALLRDARAEHDQARRRAWMGPDEQGQVVVDAGEEEQRRRARVFQPWEPSAPGSAPPPQVNRTVVLGLRAPPHLLPSKHGIGMRTPSSATTAAAGTRLPSEHVKKPPAM >Dexi4A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:4A:7707108:7708693:-1 gene:Dexi4A01G0009770 transcript:Dexi4A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELAPWASILAVVLATVLVTALFRRNRKSYKLPPGPRAWPVIGNLNLMGPLPHHSLYELSSRYGPLMSLRFGLVPVVVGSSVDAARSILKTNDLAFIDRPRTAAGKYTAYNNSSMLWSPYDDYWRQARKLWQTELLSAKQLKLYEHARGEEVSAMLRDLHAESSSTGAAVALSDHLMMASLNVISRMVLGKKYVVKGSAGGSEDTTTAEEFGWMMEELFFLNGAVNIGDVIPWLNWLDPQGYIGRMKRLSKVLDRFLEKVLDEHDERRRRDGVAFVAKDMVDKLLQLADDPNLKELFAAGTDTSAATIEWAMTELLKKPEVLTKATEELDRVVGRNRLVAEGDMPNLPYLEAIVKETMRTHPVAPLLTPRQSREDTSVGGYDIPAGTRVLINVMAICRDPSVWDAPMEFWPERFLGSSSRSCPLTSTLLPSGTLRTTFNLKISSILSSSAATPSGSLQ >Dexi8B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:8B:14253671:14254837:-1 gene:Dexi8B01G0008770 transcript:Dexi8B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSALSSMEVMLDALMQRGIGKPKEQKPKEEEPPALPARPTGRGRLPSLHKPTATAPWIIKPPLLSPLPPPQEEDDEMKHLVNLELERRAATAEEELKQKKKEMRHKEDLITTLRQQVEHYESQLSECEVRMKSVEEELQKQIASLQMAQTARGRRGGPMTTSQCHQESSRAYLAPSQPSARWQHRACEPDIVSVRESSFQVNELAKEFERESEAFDINARAVVEAKQSPSRVKSVDELNTLRRQFVRWKKDYEARLKKTNTELRRIVHTEKNHGDSHNHHQRWGWWRIKTTKCRAPKCFSFKLPSTKLCSSCFRCCC >Dexi2B01G0024190.1:cds pep primary_assembly:Fonio_CM05836:2B:33611814:33614400:-1 gene:Dexi2B01G0024190 transcript:Dexi2B01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSRALTSPACAARRRWSSSDAPSRAGTATFVSYKAIQRRRGCGAAPCSSSVRADTIGCLEAAEPWGAAVALPPGGLQVPAPATAVPAEERVHEVVLKQAALAAAAPRTTKARIEPMAGGLKAAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALSDTVANFPPFRDMIEGMRMDLRKSRYRTFAELYLYCYYVAGTVGLMSVPVMGISPDSRAATETVYKGALALGLANQLTNILRDVGEDARRGRIYLPQDELEVAGLSEDDIFNGRVTDEWRSFMSGQIKRARAFFRQAEEGASELNQESRWPVWASLLLYRQILDEIEANDFDNFTKRAYVPKTKKLMALPKAYLRSLMPPSSLNQSQRHYSSL >Dexi8A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:8A:4441920:4445165:-1 gene:Dexi8A01G0005050 transcript:Dexi8A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSLASEAEINLDLSRLIIDKPRFGLERKRSFDEQSWSELSHRQNDGFDSVLQSPAFPSGGFDSPFSMGTHFGGPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAARDSVYYNFQGIYGYPIEIQALFYMALRCALQMLKPEGEGKEFIEKIGQRLHALTYHMRNYFWLDFHNLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLSTPEQSVAIMDLIEERWDELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >Dexi2B01G0031870.1:cds pep primary_assembly:Fonio_CM05836:2B:39879865:39880246:-1 gene:Dexi2B01G0031870 transcript:Dexi2B01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTSIGRNGLHALITPWQQLQLLQRHLHAGSERAALFMGREEEACAWVQTRRGQWRSDVATPAACENAGQLGDDLVVYCNTAEGCSFRNYKEGI >Dexi4B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:4B:18102802:18106084:1 gene:Dexi4B01G0016090 transcript:Dexi4B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARRGRGGGGANGVIRPRPRDRGDGGGSMAGRVAVLGFCVVGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFVWSFIMIKLWSGGSSSAGHAPLWKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYLCTFLVAGGVSSFALLKTSSKTIKKLANPNGPLGYALCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAIIMFAAPLLFSNWPYANGFEAVKFCQDNPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSPKQWGSVVMVFSGLSIQIYLKWKKKKGREHKE >Dexi2B01G0026610.1:cds pep primary_assembly:Fonio_CM05836:2B:35740765:35747541:1 gene:Dexi2B01G0026610 transcript:Dexi2B01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPSPSPSPERHHHQPARRRVGAPSSQMLHHHRGGRSPSPPPRRSLRPRRAAAVSSRPLVDDFFPFPSSPSSSPSRPRQRRPSPEPSSSDSGADGGGGGSSSSDRRRRKLKLVVKLSQLPPDQQHRRAPPPPSYSDDSEVGGDGSGDDEQVKPPKKRRIEPRADRSRHREVGSGGRSDPASAPRTKRLPVPGTARTTPLPDRKALETILEKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFSTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPIENHHIKIEQKARPSSCNRDPIKKPVLRYPDDDLDLLSRKEQAKRPNPKNLEDDINFKDQVKKPVPRNSQDESYLSHKERVKKPISRNPEDGLSSSFHKERPKKLFSRNPEDDLSSTFGRGQVRKVVSKNSENDDSSTFHKQQVKKTTPQSSKIDFSSQKKHIKKPISSNREDPDFSSRKEPVEDPICTSFEDVGFLSTERLAKNPISRNSEDLGNCHWESSQKPSCRDAQDDMGNSCHGEPDKKPARLNSQDAMGSDISAATIASAGDGGSNGLSMSQANATEPAGSSVANGVLDKDISSPLDEIRSEKTDDISAKPSYKSIVVDETRRKTYDTYEEQPSVESDPVFDIFSMEPKELVNVGLDAEHSYVYARSLARFAGSLGAQGWRIASERIRHALPAEVKYGRGWVGEYEPPLPSILVVNDQSRHLKSSEANVRRNASLPRDNERLRPTESGNPKDLSLLRITTSTNVVGVPGPLESPEFKPRLFGVTAEPQHRSTDALSSHENHRVSGNVAKTKRTANDQMRKGNSSSTARHMDMKPQKGASGVPDIPALNKMVGQPRPFFHRAESPRTQEMRKAESLKRNSPIELAPQRLECSKGASSGVHDMPSSNGQTKHFFQSQSAAAASGVHAIPSNEKPTHLFQPQAAASSGVHDAPSNGQIKHFFQSQAPALSGVHDLSASIGQPKPFFQPQEVTVPQPRNEAAWVYHGRPGDGKVGTSNKSRPSTSAGLVNKSQAVNAATFAMSLNGEKNVSDHAKSVGLAAMPGLASIPNRGLDASRNMFSAFPGAVRENQSIASAPSAQSWISFGASTENKPAIVSPTLPDNNSAWKMPFANGRSSDDMKISVVPQFFRQPVQVVRESPVQNNGLVIFPQLVQPDFMRSQGQSQWQGLVPHMQQKPSKDVLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >Dexi5A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:5A:4353233:4354543:-1 gene:Dexi5A01G0005850 transcript:Dexi5A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMATCELSLKLLIDTKSQKVCFAEAGNDVVEFLSTLLCLPLSTIINLLTKERMVGSIENVLDSVRELDAKYVISSQSKEPYLSPDVAPKVLSPLQQLLDAPLNANGKFFRCEGMKNTYNSTLTACGYFSSINGVICPRCSKSMCLTMNYVKGDSLVAGTATYTVKDDLSVTPASSESSIALLAQCGVKDLSTLEERTVKIGKEEALDILLASLKSKTVLTDVFLQKRKARCKKETAA >Dexi5B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:5B:2256216:2257877:1 gene:Dexi5B01G0003410 transcript:Dexi5B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPIASDVPVPSVDDLADQVADVLDFFSLGAVMCLGVTAGAYVLTLFAVQACGTLVTEEQPHAMVIPMEYFLMGYGLYRPPQQETSPRSTLTPFCISPELLSPESMGVKLKPIKTRISLNV >Dexi1A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:1A:26681151:26684862:-1 gene:Dexi1A01G0019610 transcript:Dexi1A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGHQRPIKRGYEEMVFRGGTAAARGYTETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPEFAAAVPVSRAPALSSSSGPRAKKVQRGTTLARGAKGRFLPSKPRPEPSVVLSEAAVFKQCEAILKKLMTQKYSHIFNIPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSEFAADVRLTFNNAMTYNPRGHAVHDMAIHLNKMFENRWRSIEKKLASLATGKHVEVDRADSKRQTPTMDLSEVSIEGVRQAEPLKPKMTAAEKEAFGNCLAEISDDLPTHIIEFLQQCIDSNTDMPGDGEIEIDLQAVSDDLLFELKKQVDKYLQEREQSQQVKPEPSENEAVNVSGLSHSSTNPCKGGEPIEEDVDICGNASPIMLDKDAQIKNSKFGSPSSSSSDSESSSSDSESGSDSESESEKVGSPSKLVKGTKEPDQLVEQEKSDVISPADANRPMDIVGHPEEDSESKPAPEGEDSKPDTQVSPDRILRAALLRSRYADVIVKARGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAKAKRKRDFEREKARQALQEMERTVEINDNLHLKDLEMLGTVTAEHIVSSVDETSPERSQDGMPGFHPGSVNPLEQLGLFMKADDEEYEEEPSSVPGIKEAEEGEIN >Dexi5A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:5A:23471876:23473251:-1 gene:Dexi5A01G0019490 transcript:Dexi5A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSGRCGDGEEEDMEKHAVQVLALAHAYQVPWLKRACEGAIGARLTADSVVDVLQLAALCDAPRLHLRCARLLAKEFPAVERTDARRFLQENDPWQELQLLQGLHEADMRAEQRVYVELSEAMDCLDHICTEGCTEVSPAGRAPAAAPCARYATTCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDTCNTPLCR >Dexi3A01G0027170.1:cds pep primary_assembly:Fonio_CM05836:3A:23932395:23932925:1 gene:Dexi3A01G0027170 transcript:Dexi3A01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRGLQIPYVCADRGGCALPCSLRRAVPARIERAEQAPPMASLTEVEDQRIPAAGAGQASELLGDDFLPPCFEPAEGATSAASATSVPRSGGAEDRKAGRRGEVAGVGRRWSIRKRRRWCAVELLLYSPPPFLLLCWPDLDLAKDLISICASIRRHFCMVTLFFPGLRFCELQA >Dexi2A01G0025760.1:cds pep primary_assembly:Fonio_CM05836:2A:37424685:37427887:-1 gene:Dexi2A01G0025760 transcript:Dexi2A01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQVTAATGVQSDDLGDTTASADGGCGGGSGDGDGWARALLRRGWDLSRKAAIASVAATAAPVVAPPLLVLSVAGVALSLPFAAYLATFIATERLMAALLPPPRTQPYRTCDLEDDEFVDASEGPGGEALVFGYWSDAVDDTIMEEDERHASLPLSRECRLFEEPVPALNDGDDTMSEGEFRLRESGRESSALDNRSDKEESNEYITMEAQALPLKSVDDSRSAHSAAPKLCEEEDKIPRIVEAPVAAEEPIQALSASDNGDNTEDGKRTAMEEMDSGKEMVSPAIDIDIDTTEISDFPILVLGKHDTVVQTEAECEVSVRKSGQGSLVSDIGDRTEGMPPEEVNVSDPSEPHDNTPQSKMEGDVTVEMVLEEVTINTDLATEEGVGLQIDAIATELPEPESLRSSDLVAQEPQGIREAAYVDDILESNLTEDIVLDLGDTNTESVGHNGEENVSSVISVVTVDDVVDLSCSTSTPNVSAISDDMMKIESRPDVDYSNQRTGMDHTWACEGFGKKEAVEDNSTKTEENKSVDCNVPTRTMALQDTDVSKSPVQDDQSKREDEVTVEMVLEEVTSTTDLDTRELVGVQGDIIASGSGSLPVSDLVAQELQAVTEAAVVDDIQGSTVREDVVTDTDDTNTRGVEHHSESGSSSFISGESVVTMDDAGDVMSSRRKPYASAISEDIKRVKGRPDIDQHHLETTGFEDKLTNEGLKRDVLAEDKDNYTKEQLREQLDTLRTITGYRPTTSLTLEAELAGLYIFVGVEPPASSRDDSDLTEINVKLRFLKSIIGVE >Dexi9B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:9B:5819863:5820193:-1 gene:Dexi9B01G0009470 transcript:Dexi9B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKPALPVALLLLCGLMVIGYSIQTTDAKPGSICPMYCMNATYMTCPPKDTEKLPPACNCCLAEKGGRGCTIYLGDGGVKKCP >Dexi7A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:7A:11544327:11544693:-1 gene:Dexi7A01G0002750 transcript:Dexi7A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPIISIHGFPYHGRQLVAPHLAADLHPNAPLGALLVCCSAKKGQLSIGTPPQRLSSVEFHPECVRNTPTASCCSTAACWHHVVNSARPSTADTNSGGSTAESPFTRSLLMFHTNA >Dexi4A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:4A:20804095:20807543:1 gene:Dexi4A01G0017050 transcript:Dexi4A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGKVFGKSKQQSQATALASLDKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPTAQQSNRPSAQSSKAEDDELAALQAEMAM >Dexi1B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:1B:23764157:23766137:1 gene:Dexi1B01G0017430 transcript:Dexi1B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELAVRGAGADEDYSAPPWRKQGGVLVRRGAFGVKREVFAVAKMPPARRLLRKRLISELDAVRDALRKAELVSCGARGGAGEGGQLMAAQAPVGEGGGGRSATRRKMSPSAEQKQSTTGKPKRMMMLGGDRERLAGRLASLATVLPDHVVAFLQNQRAIGDYCDYLRGDDDGGGKIEEQDVTKSMNSGVFQLKILLDKFAPEKKNTPKVQEEEGVDICGAVSRIAIRDIAEEYGELVEDIGVKLLSPLQRKYVDLAEKGECYVDICGDASPVVFPTKTAGDSISSSDSDTSSSDSDSSSSSFISDSGSDHDKSARSRSPSPLVPKKICTCAPPPEPAPVGVQDQRAPSVPTVLPITSSPPAPAVLPPKLPEPAPETLKIAQQEDLQDPCPAAAPTVHLVTGIPSVPSATLPKENDDTYNKQPPLPAREAIQIAEPEEPRRPCVAAAAATVHPIAGGSAPPSISLPKENDTSEMAPPVATQVAVPEELHGVVAVPAPGDGMTDLVTLAKEETERRRQQAKERAKAEARRVLVEVERATLRDQRVNRRDMELLGLAAFEHVVSTVQQGARTAEMASQVREGGGLLRVLPGGPSILQQLGVFLKADDGSDDDDEQQAALASHVEDMEVEDGEIR >Dexi9A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:9A:651275:655655:-1 gene:Dexi9A01G0001280 transcript:Dexi9A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKTARPDAQLASNLQQQLDKEKHKEKKHKKDKKDRDKKEGKEKKDKDRSKDKHKDKKDRKEKHKEKKKDKSKDKNRDSVEGSERHDELLHGQKVGESSRKSEEIKDLKFREDLGRKIPDEKGAAGRSIENHGVSNDRSRGGFGASPATDTERSAANKMHTHSSSASRKNEGLGPQNININQQKNGTSMRHSENFTSSAQKPATGITQAPTMEERGRIGRPPFNAEPTPKKEGIGQRISNISILVQKRTDPTNKDVAKKEVSTASPLLQNHANTMQKGNGKVGRPGEKSMQRLDGPSTSDAAAGMDRGTPRSTIPSPSITIRRPNGMLRPPENLSASANKPDPGGLSPAMWKEKEHSGRLLQANISIDQKPVMSKPPAVVKAADGRSERNDKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKAENHKEQPRESNINYPIDSLNLKPSPPPLAQPVDDGKSLVASEKKRKIHETNGYLQNVHDLRPTKLPRPALPNNHVENGTASHVAAPLSSVKPEAITIEKAERLHKKEEKVNGKQQAVEPVAASENGAPPRKSPHPDCKYLSQIYSIPEAPQMMEWAEHEAEDWLFNQGSVQSRKTSSEPEADGAPKVWAQALKIDPADIIALPYVIPY >Dexi1B01G0028300.1:cds pep primary_assembly:Fonio_CM05836:1B:32869527:32875112:1 gene:Dexi1B01G0028300 transcript:Dexi1B01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSSCFPFRQRTPAIPASTPRPHSSIAAKGWLSSVRRDPSSRPRRSEDDGTPLPDEILLVIFAGFPELADLGCRRWHRLVSGEAAFICRRAMKLPGSGVKFLPPLAVGFFHHHPNAAAPRFVPMASASRRFPVLHQNPSSQLSNLVTLRRGKHDMPALKLCVCNPMTGHVHALPPLTDKDSLRHYACTVITSDDYYDGGGERRRSTSTYRLLLVYSRRGFTAFRIYSSDDGSWSSETKVTGARLSRKQMRLTRSGVVARGGHAAYWLAKNLVFGLRLDTLEASVATLPWSGHGLAFDKENTLHGFTPEGRLCTVQLDLPRRPAATSGKRRCLKICVYTGRHGGSCYCSEGTLQIGRDRWKTKEEIWPVEPFSVLDEATTSLKLHWFCERSGVVLFTAASDSFHGGMSEVYAFSIHSRTVEKVVVSNGDDGSGGNPWRGIHGYEMDQATYLTSLAGDTPPRVHPSGLAPTPRLLHTTRRPTKRRGRRLPAPAAISFCHSDPTASAPSAPVPDPNPPPHLPRPPLASPGGSAPAAMSEVKQGGDDGAAPRCPPHPGFLRGLCIVCGVKEEDTEGGAPELSIGDDGEMKVVERGEDEAATAAAAARCPPHPGFVLGLCFLCGAKEEDAEGGAPELTIEDELEMTMMEQGVDEAAAARCPPHPGFVSGLCLLCGAKEDDDAEGSTSGLAAGYIHGAPAQPASATTRFIIPGGDTHLGNLLRERKLTLILDLDHTLLNSTALDDFSPAEVRNGFSPTTVDDLGRGLFRLDGHGIRMLTKLRPFAQGFLEKASAMFEMHVYTLGDQAYARAVVSLLDPYAVYFGERIVSKAESTEPFVKSLDVIPGAEVVAVVILDDSDRAWPGHQDNLILMDRYHYFASTCRNYGYDTSSMAEQNCDAREHDGSLAVALQVLRRVHQLFFDSVVDGYFPDVRQVIAEVRREVLRGCTVVFSRLNYLEDFAEDTPMWTLAVQLGAVCKVDVDETVTHVVAEHPGTEKGAFLVNWKWIQAAKFRWILRLVRSLFLLPNLPPLPPKPDGSRSRPLHCAGVLLRLLPYEDPPSRRFLHRYPGVQPWEGDGIHAPRVSFNASSATQSEPIHEVAPLVGAAAASTPHPSKAIPIEQNVVDPKDAFAKIAVKIT >Dexi5B01G0022430.1:cds pep primary_assembly:Fonio_CM05836:5B:24726961:24732331:1 gene:Dexi5B01G0022430 transcript:Dexi5B01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNKMKEDAMKELLRVSHNHHEYKNLLKDLIVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKHEYASKADVHEPEILVDHDVYLPPAPSHHDAHGQFCSGGVVLASRDGKIVFESTLDARLEVVFRKKLPEVPLPNKFPQFTVWHQIF >Dexi5A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:5A:21530614:21536433:-1 gene:Dexi5A01G0018040 transcript:Dexi5A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGIKLGPCWFVESAAGASPDRGMVALSRMVERHREGKRKREGEKKAEIARRRFTVPMRHDFNNGPCASAIKQPNLSKPHCHICAAASDERVEITNKHGEKLVGLLHHTGSNKIVVLCHGFISTKNDSLILDLTAALTKKGISVFHFDFSGNGFPVLANMFSQSTRIF >Dexi3B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:3B:6213927:6215144:-1 gene:Dexi3B01G0009010 transcript:Dexi3B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGIAKRWRELHGAQSWNGLLDPLDLDLRKSIISYGELAQATYDGFNNERRSPHAGACMHSYDELLSKSGAAAAGHYKVTKFIYATSGLPLPDAFLFLPLAELRDAWCRESNFMGYVAVATDEGAAALGRRDIVVAWRGTIRPLEWSNDVDVTPVPAGPVLGSKAGSYPLALVHRGFLSLYTSSKPSSKFNQKSARDQVFEEVKRLMELYKNEEKSITVTGHSLGAALSMISSVDMAAAGLNVSPAGSTTQQPPCPVTAVVFACPNVGNFFFKSAFGSFRELRALHVRNFGDVVPLFPALTYVDVSSAALSLTASRSPFLKWDPANVAAAHNLELYLHGVAGEQGSAGGFKLEVERDVALVNKGTDALKYEYPVPANWWVTQHRCMVKNAKGQWELQDFKHI >Dexi8B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:8B:5143391:5145591:1 gene:Dexi8B01G0005180 transcript:Dexi8B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGDKWDLYLELIQSDYNEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTTEPAS >Dexi5A01G0028060.1:cds pep primary_assembly:Fonio_CM05836:5A:31388206:31390438:-1 gene:Dexi5A01G0028060 transcript:Dexi5A01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASGRTNWSGESKNLWRVAGPVILASVFQFLIAFVTAAFVGHIGKVELAAVSIVNGVIEGLAFGLLLGMGSALETLCGQAVGAGQLQMLGVYMQRSWIICLATSLALLPLYIFTSPILRLLRQSSAISAVSGRYARWCVPQLFAYAVNFPIQKFFQAQSRVWVMTAISGAVLAAHALLNWVVVAKLGRGMLGAALVGDVSWWLLNAAQFVYLVGGSFPEAWTGFSRKAFASLGGFVKLSIASAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVSLGFNAAVSVRVSNELGANHPKAAKFSVVVATTTSAAIGLIFTAVALAARKKMPRLFTGDGAVIKETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFVNIGCYYLVGLPLAAVFGFKLKLNATGIWVGVLIGTVLQTVILFVILVRTKWQKEAMLAEERIRVWGGKVELPRTEETIANENIAGPV >Dexi4B01G0022890.1:cds pep primary_assembly:Fonio_CM05836:4B:24401049:24404397:1 gene:Dexi4B01G0022890 transcript:Dexi4B01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVWLSALLLAFLLAASPFTQVARAESEEDAATAEVVEGADLGIVGDDTQVSSDGPLSPAPGVETVCVFPKNAGKIVPAGEETELLVGLQNEGESTLNVVAVHSTLHLPYDHRMYGQNLTLQNFFNASVPVSVQATFPYKFAVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTVEVVEAGGLLSVESVFLITLGIALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANIDEWLEGTSFAQRSKSKKKQT >Dexi4B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:4B:1152544:1153586:1 gene:Dexi4B01G0001850 transcript:Dexi4B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTELPVGWVSSSPLRKPITPRRPVAHRRPPAAAATADAAGLLRNPDRRKPRPGPGPARSPSSPAPSNGGELPPLPPGADVEVRIDGRGFFGNWYAATVIRYDPARGRRSKARYTDTYTDLLDDDHGGALTERFAPTHVRPRPPHPESPPRFLLYDKVEAFHNDGWWSGIVFSTAPESVTVAFPITREVLSFSPDLVRPRRDYIGGSDWVPSTAVVTVWRKGEVGVYEVGEKVKVWKKRHFFLGTVIKLIDDLSYLVEYSDLGAVGLRR >Dexi6B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:6B:4492944:4493448:-1 gene:Dexi6B01G0005220 transcript:Dexi6B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQCVNVWAEVGQEKRAIGELSSMKPDVAVPPVVLSGEFVLRHDLAVAAAVRLHYRVLDPSSAATSCDVVQEQQEERFLVLGDHDEDDDQEETDDDYDDSDDEGGFGVPLLAAPAGSALPQVLKMLVEEIGIH >Dexi8A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:8A:15740830:15745912:-1 gene:Dexi8A01G0009940 transcript:Dexi8A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPIGEASLRRRRGPARLWVAVAALVVGTIWLCSSSSVGLLGASYRVRDVDVNKLWRTADSNGWRASSAPRTYWPPPPTESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFVGIYDVPHFVKTLKYDVRIVMSIPEITAKGKTKKLKAHQVGLILRAMRFDNKTRIYLASGELFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTQGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRMYYGFRTTITPNRKALAPIFIDRAQGRTSGFEQRIRQVMFNTHFGGPHKRIHPESFYTNSWPECFCQTDARNRADRCPPDNINDVLESQFQSEEEIEEVRTTNQTDATGQAEETMI >Dexi9B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:9B:11753993:11756666:1 gene:Dexi9B01G0017030 transcript:Dexi9B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVTSCRWSSMAVVIGLVMVAATTFSAAPVAAGPVPAIYVLGDSLADVGNNNHLVTLLRADFAHNGIDYPGHKATGRFSNGKNSVDFLADSLGLASPPPYLAVAKSSNANYANGVNFASGGAGVSNATNKDQCLSFDKQLDYLSSVSASLAQSLGQSQAATHLAKSLFAITIGSNDIIHYAKSSSSSSSDPSPQPFVDALAQTLSAQLQRLYDMGARKLVFLGVGPVGCCPSLRELSSTKDCSAVANDAAVRYNAAAASLLGAMKAKHADMSYALFDSSAALLRFIDSPAANGFAEAKAACCGLGDMNAKIGCTPLSLYCANRTGYVFWDFYHPTEATARKLTAMAFDGSAPLISPMNIRQLSAL >Dexi3B01G0024790.1:cds pep primary_assembly:Fonio_CM05836:3B:19459744:19460965:-1 gene:Dexi3B01G0024790 transcript:Dexi3B01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVLFAAVLLAASAAAGVSGFHLGGDESGLVRGMLAALRERAEAEDAARFAVAHHNKNQGAALEFTRVLKSKRQVVTGTLHDLILEAADAGKKGLYRAKVWVKPWEDFKSVVEFRLVGDAEAESETSVASDGISGQAIAKLSLEADIVQQEARLHTVENDGLSSDFTSSS >Dexi7A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:7A:27238838:27241032:1 gene:Dexi7A01G0017640 transcript:Dexi7A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDAFSAAHPFRWDLGPPAHAAPAPPPPPPPPPPPLLLAPPAVSAPIRELEDLVAGYGVRPSTVARISELGFTASTLLAMTERELDDMMAALAGLFRWDVLLGERFGLRAALRAERSRVLSLGVGVGRFHSGTTLDAASQEALSDERDVAGSGGMADDEVGRRMVTGKKQAKKGGGAARKAGKKARRKKELRPLDVLGDENEGDEDGGGGSDSTESSAGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRVFLLQVQSIAKLGGHKAPTKVTNQVFRYAKKCGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVEIASRHGFDVDAVFAAHPRLAIWYVPTTLRQLCHQARSSAHAAAGGLPPPPMF >Dexi6A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:6A:3870553:3875389:1 gene:Dexi6A01G0004190 transcript:Dexi6A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDREVRRFHIPVLIPLDVLASLFGSAMDCSSDESSDLSETDIDDYADKSYLDLKSGKLVARLGSDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAATLPPRQAITLNNPPKPVKDQEVFVWPWMGILANVPAEQTQGGGAMLMKQLADFKPVQYTAVYGANGYTGYGIVLFTKDWIGFKNALAFQNFFKSQRLGKVDWKETKRHGKYLFGWLAKEEDYKSDDSVGRFLSENGDLKTVSDLEQEMSSKTDNLIANLTQEITAKSKYVQELECKCNQINLSLQRVMQESDLLHKHYNEEMQNMQSAAREHTQRVFQETDKLRKQLIEKESYIQRRSRQLNELVAQTDMERRRLEEERKKNADQNDSLNMAQIEQQKADKRALELLAKHETEKKEALDKVLQLERQVDEKQKLELDIEQLKGKLEVVKHMAGEGVDVKKRSEELTAELNEKIEEMEDLEALNQTLVVKERMTNDEIQDAKKELITGLSELLGPRSNIGIKRMGELDEKPFLLACKQRYGANSDVKALELVSLWQEHLKDPNWHPFKIVTTGPMTEQVINDKDEKLVGLKEQLGEEVYKAVTTALLEINEYNASGSYVVSELWNNKENRKATITEAIQHALKQWKAQKRRR >Dexi6B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:6B:363232:363903:-1 gene:Dexi6B01G0000520 transcript:Dexi6B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNALVFLLLTTLPLAALSSRAGPSTHHTHAHGPKPKHTHPSPPPSSPPPAAAAPPTPAAALVRSTCNSTTYPDLCVSALGADPSSATADVRGLSAIAVSAAAANASGGAATAAALANGTAPEASANSGDATVLALLRTCAAKYDAARDALAAARESIAAEDFDFAAVHVSAAAEYPQVCKTLFRRQRPGQYPAELAVREEALGHLCSVALDIIALVSSTS >Dexi2B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:2B:2715216:2716566:1 gene:Dexi2B01G0003120 transcript:Dexi2B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVHGDNSFHNPRTSASTTHPAPPMSAAPAAAAAPPRRVVICGGGVVGACTAYFLSTHAASPTVPTLIEKCAPACAASGKAGGFLALDWCDSTPALSALARASFALHRRLAADLGGADAYGFRSVHTLSVCIPTLPKPSSPPSPHPLLPPWVDPSASAAPPRELGTPDTTAQVHPGLFTKAVLAASGAELVIGEVERVVVREGRVAGVAVKGRDGVVDADAVVLALGPWSGRLQVVGEVFDVSGLKAHSIVLRPREPEKITPHCLFLSYQPAPGAKMLDPEVYPRPTGEVYICGMSKDENPPDDPATITGEPDSIAMLHKIAGKVSSQLKKEEGAEVVAEQACYLPCTTDGLPVIGEMPGVKGCYVATGHSCWGILNGPATGAALAELILDGKAKIVDLAPFSPARFLKRRSRR >Dexi5A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:5A:11968390:11972145:1 gene:Dexi5A01G0014780 transcript:Dexi5A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMASPTLKDHLSAPTGPLHLKVWEVICIALGVFMVFVFFVAVWLTMRSKKRVRRASANIPITQIPAISKEIKEVRVEQVPASDFAAHDGVLLTIQDKSSDRDSDKVMAHLGVSKSRRGDESHSGSFHYMDKDAGFQSAEEGGSGTFRQASAHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGIVYRGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSQRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVARMLESDDPIPRGDRRSRHHRGGSTEMDSQRDNNSDTEKSDNPDSKPSRSRASPSK >DexiUA01G0001340.1:cds pep primary_assembly:Fonio_CM05836:UA:3886669:3887766:1 gene:DexiUA01G0001340 transcript:DexiUA01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAQRAETMAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSECYRVREAGFKSSEPVRTLDALVEAERRGEAVVPVDDMDWEDIFYIHDGNQWPSDPAAFKETMREYRAELRKLAERVMEAMDENLGLEKGAIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDQVGGLEVLKDGEWIDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVSSGGGEYPKYVFGDYMDVYAKQKFQPKEPRFEAVKAPKSSPAA >Dexi8B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:8B:595675:596190:-1 gene:Dexi8B01G0000860 transcript:Dexi8B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSFSHGFSRSPRNATTRFMPSAAPPPPPPLATHFAAASGLAASALRPFATILLASFLSATSTDPLSWSSSTTRSASGSSACVWYGVLRLALHAFLAAGLAVEALHVLACVCCSGNTPGLSALAALLRLLFRSREVRAAWNMFKEMTTRGPCLSLAINNATMLGFCHGL >Dexi9A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:9A:6184763:6185183:-1 gene:Dexi9A01G0010200 transcript:Dexi9A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYVSGPYFEPDFDPLLDRFGTPGVVVDNDTREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKN >Dexi8B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:8B:518636:519105:1 gene:Dexi8B01G0000770 transcript:Dexi8B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQQQVVVLAVVAAVLLAAAASSADAAVTCGQVNSAIAPCLSYARGMGSAPSAGCCSGVKRLNSAASTTADRRAACSCLKSAAAGISGLKLGNAASIPSKCGVSIPYTISPSVDCSKVR >Dexi2B01G0033660.1:cds pep primary_assembly:Fonio_CM05836:2B:41138446:41138880:1 gene:Dexi2B01G0033660 transcript:Dexi2B01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETYRAGAEVVNGDAVACKKAVIELLGDIGLPKGLFPLDDMQEFGYNREAGFMWIVQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKTTGVKTKELMLWLSIVEVYVDEATPGKVTFKTGTGLSDSFDATALELGM >Dexi5B01G0028640.1:cds pep primary_assembly:Fonio_CM05836:5B:29988033:30003503:-1 gene:Dexi5B01G0028640 transcript:Dexi5B01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPQQQDVVEESSSAVENQLVPDPSTSRFTWSIENFFKRNVRKYYSDDFMVGGYKWRVLVFPRGNNTDQLSMYLDVADANFLPIGWSRYAQFSLAVINQLDSKMSLRKAKPIVLFDFLSFFFSTEATHHFNARESDWGFTSFMPLMDLFDSSKGYVVNDKCIIEAEVVVRKVVDFWNYDSKKMTGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPSGSIPLALQCLFYKLQHSDNSVATKELTKSFGWDSYDSFMQHDVQELNRVLSEKLENKMKGTTVEGAIQKLFEGHHMNYIECINVEYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEEHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHRYKFDDERVTKEYMKRALEEQYGGEEELPNTNPGLNTTPIRFTKHSNAYMLVYIRESDKEKIVCDLDEKDISEHLKVRLRKEQEEKEYKKKEKAEAHMFTALKVVRDSDLKEQIGRHVHFDLVDFDKIYSFRASKSMSFNDVKGELSKEFGIPVESQRFWVWAKRQNSTYTPSRPLTMQEEKTAIGLLKDANVTKLQTSEVRLFLEVHFGQENQPIAPPVKTKEDILLFFKLYDPEKEDLRYVGKFFVKASGKPSDIAERLNEIAGFPSDEDIELYEEVKFEPCVMCEPIDTDVSFRLSQIEDGDIICYQKRCLPDKMDQYLYATVPSFFEYIRNKQVVRFRLLEKPKDDDFSLELSKRSTYDDVVEKVAHRLGLDEPSKIRLTQHNPYSHSPKPYHIKYRGLDYLLDMLQHHTQICDILYYETLDIPLPELEGLKTLRVAFQNATNYEVSFHIVRAPKSNSLFDLIDDLKSKAELSCNGAEFRFFDVYLHKICKCYNVSEIKSYPLHTSLTCFTRFLRKRKIWGPEIVWFMFITSSKIIIHHDSAAVTFIDLTTYSLQQIQYFGEPFFFLIRDGETLSDMKVRIQKRLQVPDEQFLNWKFAYVTYGRTEYFQDSDIVLSRFQRQKPIYGGWEHHLGLEHTATTPNRSFLASQNRHSFEKPVKIYN >Dexi9A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:9A:7824113:7828080:1 gene:Dexi9A01G0012370 transcript:Dexi9A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAATAALSLGAGAGAVAVASTEDPAATLKVCAHLPPRLLRDSVTAATIALDYKWSLLGLEPGTPAWQSAKHETHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVKTMRESMLKRCPVSSYEEVREVFAKDLGESPETFFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVVDIATVDLLVNALHYIFPTFDYRYCFLMAAILSCYFCFFLWLVDEVRESAPKESVHHFEFESLCNLRRLSPHIASSIYAPKVYWNLSTSRILTMEFMDAKEVTDVRGIKEIGIHPVDVSNLVSKAFAEMIFKHGFVHCDPHAANMMVRPMPQDIRKFFGWKRPQLVLLDHGLYKELDYTTRISYASLWKALVFADAKAIKENSVKLGAGEDLHALFAGVLTMRPWQRVIDPSPDHLVLDRKTTDYSELQDYASLYFSEISELLRRLPRVILLMLKTNDCLRAVNHALVSL >Dexi1A01G0023690.1:cds pep primary_assembly:Fonio_CM05836:1A:30297541:30307941:-1 gene:Dexi1A01G0023690 transcript:Dexi1A01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKLDHETRARRQKALEAPREPRRPKAHWDHVLSEMVWLAKEFESERKWKLSIAKKIAQRANKSLVDQATKGERKQKKFSYIVLVWGKQVVYKHQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDMPYSQNLENGTLQINQSSRPEEVAEENINEAIPDDPDNMEVDGDYESSLDEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKMYTVTEDSSNQANGRDHEPSHSSTDDGFSEEEDDGRSYAEFVKKNHVTCSLHLICALEDKDYVAADEGKDDEATLSEEEELAKKEVPDHLEEIKLLQKESEIPLEELLAMYQKDGYADHEITESENSPCLVEETNTELTLDDQSADILEENSGTVVDHLSADVLKTEHNASDVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEVAGRPEDDELVNEEDVKLDEHINEEHRYNSSDVEKEKNVALSVNQLNEEKALTLAVGDEDTDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFMELWDPVIDKAAINHQVNVEEEEWELDRIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALTQKQLLEEQERQAREAAKELEEKNDNTRIASEACNEGEGSHWASSAFHINDATKHKCGPKSIGKHKAASECGRPPKSKIQKVTESHQEGPIASSNFLRMPGPLLPGSTDFHISESLSDFGISDSEFNYSEDIWQEGDYLEFLPDQDDSELPGIEELEPLSDFTDIG >Dexi1B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:1B:1017639:1020664:-1 gene:Dexi1B01G0001340 transcript:Dexi1B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHEEAARRVWGGAVPLQVHLHDADVTAFPPPPPFLTLGPRIGYLPLLIPIIKAHFSNALPPGVDTVWFEYKGLPLKWYVPIGVLFDLLCAEPERPWNLIVHFRGYPSEILSPCEGEDSVKWSYMNSLKEATFIITGNSKSVMNMSQADQIALWEAVMKGNLDGFKNISTRLKLGPFEEDGLVRTASTERQRQQGSDEPESPGSGRPCRVPVRLYVRGVQEDLEDIEDALPVSDWESVSYINRPFEIRKVEGRSYITLEHALQTLLPEFFSSDAQNAEELDPAAGNSDTTDPSRSSQEADTTKKTKVKLIRVQGIELDMDIPFLWVANNLKNPEYYLHVCVYVGTRKQ >Dexi9A01G0046100.1:cds pep primary_assembly:Fonio_CM05836:9A:49478459:49480917:1 gene:Dexi9A01G0046100 transcript:Dexi9A01G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVDKCDASRLGPHSSVAADLDGTLLRSRSAFPYYALVAFDTGGLPRLAFLLLLSPVAAALSSRLLALPAAAVRVLVFAATAGARVADVESAARAVLPRFYAADVHPAAWRVFAACGGRRVVLTATPRVMVEPFVRGVLGADDVAGTELATWRGRATGLVDARRGGVLVGERKAEALREMVGDGEMLDVGLGDGRSDYAFMGICEEAYLVPRTPVDAVPLDKLRKRVIFHDGRLAQRPTPLVALLTLLWFPVGLLLSLIRVAAGALLPMPWLYVAFHTLGVRVIIRGSPPPPPRHRAGVLFACCHRTLLDGIFLSVALGRPVAAVTYSLSRLSELLSPIPTVRLTRDRAADAATIRRVLSSEGGGELAICPEGTTCREPFMLRFSALFAELTDDVVPVAMECRAAMFHGTTARGWKGLDPFYFFMNPSPAYTVTFLDKLPPELTCGGGGRSSHEVANYVQKVIASTLSYQCTGFTRKDKYRELADNDGLVHVSTGDKKRRVAG >Dexi2A01G0033080.1:cds pep primary_assembly:Fonio_CM05836:2A:43383915:43387521:-1 gene:Dexi2A01G0033080 transcript:Dexi2A01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGSGDGGGAATVTSGGSSRRGAPGGGAPSRDRLVTVFSAEGRLYQIDYAWSAVRLAGVTAVAVRGADSVCVVGQRRPGASKDKLLDTDSVSRLFPITQRLVLFATGIAGDGRALAHEARNQAAEFRFKWGYEMPPDVLAQWIADRAQIFTQYVFKRPSGVVAMILGIDDEKETPQLFTCDPAGYVLGHKAASAGLKDREAANFLEREMKNNPSLSFAETIQMAISALQFALKEDLKATEIEVGIVRKNDPIFRILTAAEIDKILAVINPGNQS >Dexi5B01G0037390.1:cds pep primary_assembly:Fonio_CM05836:5B:36902880:36905249:-1 gene:Dexi5B01G0037390 transcript:Dexi5B01G0037390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAAGKLNEIAEGGGAAGDWSYLSSDGMASGSFPLFPFSRDALSAPPSASLLLSMDPTPFFDLGAFPPPSSSSSAAGGGGGSALNAFHDFASHNPFDHAGLFLAAAPPPAQAEHKQDQKGGFLAPPASDPDFIHGGMDWDDDEEIEHSVDASSMAISASMENAAGASTGGGGGAGRGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNEIESAPSSSLAAPTSASFHPSTPTLQTFPGQVKEELCPAAFPSPNGQQAAVEVRVREGQAINIHMFCARRPGILLSTMTALESMGIDIEQAVISCFNGFAMDVFRAEQCGDAPGLGADEIKAVLLHTAGLPPHPNAM >Dexi7B01G0024190.1:cds pep primary_assembly:Fonio_CM05836:7B:28583587:28589241:1 gene:Dexi7B01G0024190 transcript:Dexi7B01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKLGVEVVSAHDLMPKDGHGSASACVELTFDGQRFRTAVKEKDLNPVWNERFYFNISDPSNLPELALEAYVYNVNKTMENSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPTIKASNPLPAMDPVSNNPPPAPSAAEQIAADITGTNLHASQEHRPEARTLHTIAKEAHHHQSHGHLPASFGGQPSNSKYSTDQMKPEPQPPRIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKHASTYDLVERMQYLFVRVVRARDLPDMDVTGSLDPYVEVRVGNYRGITKHFEKQRNPEWNAVFAFSRDRMQASVLEVVVKDKDLLKDDFVGLVRFDLNDVPIRVPPDSPLAPEWYRLVGKSGDKSMGELMLAVWVGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIVEAQDVAIFDKTRYPDVFVRAQVGHQLGRTKPVQARNFNPFWNEDLLFVAAEPFEDHLILTLEDRVAPNKDEMLGRVIIPLAMIDRRADDRIVHGRWVNLEKPVLVDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPRFNEQYTWEVYDPATVLTVGVFDNGQLGDKNGEKTSAGKDAKIGKVRIRLSTLETGRVYTHCYPLLVLHPSGVKKMGELHLAIRFSSTSLINMLYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQVVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMTVLSGVLAVSKWFNGIWNFRYRPRYPPHMNTKISHAEAVHADELDEEFDTFPTSRSPEIDARGNDVELRRYKGRVLLIVNVASRCGLTNSNYTELGSLHKKYGDKGLEILAFPCNQFAGQEPGTNEQIVEFACTRFKAEYPIFGKVDVNGSDAAPLYKFLKSEKGGLFGERIKWNFTKFLVDKDGHVVGRYAPTSSPLSIENNIQKLLEV >Dexi1A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:1A:6907520:6911529:1 gene:Dexi1A01G0008760 transcript:Dexi1A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEVTSVYVGGLPYEANEDMLRDAFEYYGTIVSVKVINDHTVKGKCYGFVTFTHPKSAEHAIASMDGKKIGNRIVRVNEVRTRGPRDFGRDGFRRDPRRYGRDPYWDRRDRERSYDRERDPYHDRDSDRSREHDRDRDYEHGGFNREIDYPIDRDHEVDERRPRDHDRAVEMQNMDSDNDRDKEQGSRKRFSRPKGRDSRDLSSSSGDLQNEVNTSLFCALVIGSFWTADLNSSRFVLQVNQMKDKIAAKEQHIAELQKKAQKLEDELVTARKVSSERQLAVTDLYKHFLQLQDYNDRVKTAEQKLQSLVDAAMVELDMGEDATTRDGSMYENGVL >DexiUA01G0002100.1:cds pep primary_assembly:Fonio_CM05836:UA:4936009:4940295:-1 gene:DexiUA01G0002100 transcript:DexiUA01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATGESKLESPLLSPATASGGGHGEASGQLESILSDESLPWTRRMGAATVVEMRLLVRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYLQRSTVLLMATGIPLAVLYAFSRPILVLLGESPEIAAAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYKFGLGLLGASLMLSVSWWVIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVLASVVILLCRDYISYIFTEGEDVSQAVSQLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWNKEVEEAQKRLNKWEDKSPLLLD >Dexi2B01G0033090.1:cds pep primary_assembly:Fonio_CM05836:2B:40767036:40773919:1 gene:Dexi2B01G0033090 transcript:Dexi2B01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIENEDARTSAPPANGVAGWPEPGGEPIDRACSADANVRRQTTTPSVLVRSSSLRPTRSRMNNVLECMRMHMRPPPSRPPPPPWWRLTFSNTRGLYRTTELMSLACWKNWVPQASDQLSCSSTPVAALAGGSGLPSEWSGLYCGSGTRRLQPHGTQNPYPPTLNPPASPTSAAGARVPDFRRIVAPPFGAVAGSRARGSKAASEMSSFYAGASPQKQEHYQRRRQQIRQERKSLPIASVENRLVDEVRKNGTLIIVGETGSGKTTRRQYPVDILYTYQPESDYLDATLVTIFQIHLEDGPGDILAFLTGQEEIESLERLISERTRLLPPESSKIWTTPIYSSLPSEQQMNAFKAAPAGSRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQESEFDKLVDSTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFESSEGDHITFVNVYRAAAECLEKSKNANAKEKTMEKALNRWCRENFFNHRSLKHARDVHCQIQGHVQQMGLNLSSCGDDMAVFRRCLTASFFLNAAMRQPDGSYRALATSKSVQIHPSSVLFRAKPDCVIFNELVRTTQNYVKNLTRIDPMWLAELSPQYYATED >Dexi2A01G0030730.1:cds pep primary_assembly:Fonio_CM05836:2A:41565923:41567359:1 gene:Dexi2A01G0030730 transcript:Dexi2A01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVDDDDLHTRRSKGTTTARRSAGISQGSKRGSHARTSHGRGSKTAAASQSRIPSPPTNRPRIPTWGSKRARTAIGDPWWLPAPPSPTDPIPSPSSWRDWANISDDPAGLIAERLLADDVVDYLSFRAACRPWRLCCSIDPREHGVLDDRRFHPRQWVMLRTEGGRRYRRRFMSVTTGSCRHVALPELRGHDVFGLTSEGLLVLLHRATYVVRLLNPFTGQAAVDLPPATTLMSQWDLETRRRNRDKFLEISGAGLADGDSTTVAVHFADILTLAVARPGDASWTVDHGHDLSQAMSFAGRFYCATREAVMVVEIRADGQPPRLAIAVELPRLLSSVMMDTVHLVDNGGELTLVDRKRNGTLGPREYKVYRVDMDARKVVHVRGLGGRAAFIGWERALCVSPSVFPSVSGDTIYLGYDDLLTGEMDDSPIDLVHGTSEPRLSDGEGVTPICGHSGVDHYFSRCVTSYRHHYGLRDRC >Dexi1A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:1A:25075022:25077426:1 gene:Dexi1A01G0017730 transcript:Dexi1A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDASSASPLLPPPSGGKPAPAASRFARCSSHAHDELRSFRACLSWLCVDHSSSPRLAAAGSWAVFLLLAVAAPCAAPLLLLLAGDGDDPDALPPRPYEGQVQVASWVYRVALFFMVCVLFRVICYLQILRMVGFAREFGRFADVATVLQHHRRIKEQLRKISHRYRKFIVCSLVLVSASQFAALLATTRPHAVVDLATAGELALCSISLVAGLLVCLHSAAKITHKTQAMTSVAAAWHADATVHAFDNDLENPDPDLPPTAGYLAPANAYRVAAGEESGTDDDDDSRSETSSLDDPKYVPFQANNICFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >DexiUA01G0023930.1:cds pep primary_assembly:Fonio_CM05836:UA:49016668:49017294:1 gene:DexiUA01G0023930 transcript:DexiUA01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTAGNEKGELVVLGRNGSDYSAAVLAACLRADCCEIWTDVDGVYTCDPRQVPDARLLKSMSYQEAMELSYFGAKVLHPRTISPIAQFQIPCLIKNTGNPQAPGTLIGASTDEDGLPVKGISNLNNMAMFSVSGPGMKGMVGMAARVFAAMSRNVPMKTACR >Dexi9A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:9A:11988417:11990177:-1 gene:Dexi9A01G0016910 transcript:Dexi9A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPLLGLLLLVLFLIKLFVTRHSPASSSRPRKSPAPPLRLPPGPWQLPLIGSLHHLLLSRHGDLIHRTLRDLSRRHGDLMLLRLGSVPTLVVSSAEAAKEVTRTHDAAFASRHLTPTLAVFSVGGRDVLFSPYGELWRQLRRVCVLELLSARRVRSLRRVREEEAAALLRSVAASCSAASGAAVVDVGERICRAMNDTVVRSAIGGRCARRDEFLRELHRAVVLTSGFNLADLYPSSPVARWLSPALREAQRCNRAVRDIMAEIIREQQSSAAAGHEDDDDNLLAVLLRLQRDGDAQCPLTTEIISTVVLEIFAAGSETSSTTLEWALCELTRNPRVMRKAQDEVREAFKGQHRVTEPDTETLRYLPLVVKETLRLHVPVPFLLPRECREPTRVMGHDVPKGTKVLVNAWAIARDGQYWDCPDEFRPERFETAGSGDIGDGGVDFKGGDFEYIPFGAGRRMCPGAAMGVANMALALAGLLYHFDWEVPDVEEGGGDGVGEAFGITVKRKSKLVLRATQRIPCAY >Dexi8B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:8B:1658779:1661189:-1 gene:Dexi8B01G0002400 transcript:Dexi8B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSAGAHTGQSGLQDEARMEPTVARRAERATGVARAGRTRAEQAPGAVERARGANPPAGITRMAAWCRSAGGRSEREQGRWEQRTPAQVHSETLKLGSRRWWMHGGLTSNKTTEAKALADTVLAATKGKAPYCLEACAKSVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICQKDCRNESSTADETLLANKFHNIWNVVKVANCQIEQMFPWPDSEDDDSDLA >Dexi9B01G0039630.1:cds pep primary_assembly:Fonio_CM05836:9B:40286013:40287157:-1 gene:Dexi9B01G0039630 transcript:Dexi9B01G0039630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISAPPAEVLIEDAYRQILLRLPADQPKLLYRFRAVYPAWLRLLTDEAFLDTYREFHRLHHVIGFMCDGGAVGKVVATFVTTADTSFSPCVPENGIHLHQELLKPTRFRKTDFNFAAAILCDKPGCDHVDCHDGPFRIVFVGIDNATMRVRIWGVCGGPRTPSTISQPPVPGQRRPPPPLPSPTRSTGGFSCRHPPPWWGGGLTRSTSGLGGFFATCLARMSMSSWTTSTSRPRIRGPRNGPHAGAGREAGFAAIYSGNGGRRILFWETEVSADGFLDWVLTQNALLNIPVHGVLIGAAASTLFIRAEGDGIVSVDMGNGRFMVLRQLATEISDVVLFTSFRIP >Dexi3B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:3B:11383109:11384452:1 gene:Dexi3B01G0015720 transcript:Dexi3B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEGLRPVQWLASLLAVLLFCHGRGSEQRPELGRAVDTPERDVTSPLATVPVVTPTVTTPTAMPTATPATQTPSLAGGGGGGSWCVASPSASSTALQVALDYACGQGGADCSPIQQGGSCFNPDTVHDHASYAFNSYYQKNPVQTSCDFGGTAVLTSTNPSTSTCQYPATSTGASVLNTSTPLTPTYGSPPGGYGSSPPAGYGSNSPPLYGSMSPPEYGDNINVAVTTVTGGKKTTILSLLIATLTLAG >Dexi8A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:8A:20014886:20017062:1 gene:Dexi8A01G0011320 transcript:Dexi8A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVQLTRRLFQWTRREKMAPPAVAAPSATTMVCARQGRLRQRYEGCYRLVSGCIPYMLKEEDGGSSCGHGQDVLGRLQVLMISTPKRGDLIFPKGGWEDDESIDEAACREAFEEAGVKGNISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFESNFRPLPSPELVESSSLYMVMPAVAEGALALC >Dexi1A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:1A:6292308:6292637:-1 gene:Dexi1A01G0008180 transcript:Dexi1A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGDEEGDRMGSVVPDLVEEAEGFLRFSDVVVGDLSPSPPARTPAGALDARSPRAQNEPPVPHRGAAFSGAVDPPFAPTARQQLAAAFSVDCATQAARRGQQEREKKK >Dexi1B01G0027150.1:cds pep primary_assembly:Fonio_CM05836:1B:31940455:31960878:1 gene:Dexi1B01G0027150 transcript:Dexi1B01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSPLQLGVFSLVATDEFYDAETMWEYRRNPAEIARIAAWPGSELVEVSPTLEHLPDEALLIESDAGSIIKSCSNIARSSSTTTTGLTTSNQAASKEEERSRQKQAATSTHGSKRELKEEEVARRGDQQQEAETTRAGKETRWTDDDKKRAAGWRGSGVRPEMVLVGFLLTLPLLFLVFGGRWGSTSFPSSSSSSTPAVSRHVAAGDRGDTPQKQSKLPVLFFFYTVSSSLTGRRAWEFSRFAPRFPDGFGVYEQEQVHWHYGHANHGGARRRRALTGTLEFCDKLLGGLLSAGFDESSCQSRYKSNLYRKPSPFPLSPYLAQKLRKYEAYHKKCGPGTKRYRRAIELLKSGRNSDDSECQYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLTHVATEQEGLFCEPFPGSSWVLPGDFPENNPHKLHIGAPESYANMLKNNVIRHADDPSKVPASSLPAYVYLHVEQFQLKLSDNVFCDEDQVMLRKFNWMILKSDSYFAPALFLTPMFEEELAKMFPQKEAVFHHLGRYLFHPTNRVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQVMRCIREQRLLPELGNAEPATNTTTAEAGKVKAVLIASLYSGYYEKIRGMYYESPTKNGEIVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWNKEVSEVACPCLHSPPQLGCRAKRDVDVATVKPYVRHCEDVGFGLKLFMRSINESPAGLFPLSEAEEAWASRKKAMARTPRGTHKQVAAIGGEKEWRWWAWRAPARAEVVVVVGSVATLALLVLLFGGGTGSVTAFSSPRIEFVQKPGKVTYYCREGSVWPHKETDTASCPSKCFPRYEFYNSGQLLAACTCTRRPSFTLSRCRRRGGRGESGRVGGKKLARASMMDAAAAAHKQAASDGELDAGRDGNGGGEEAWRWPGWRAPERVEVAVLGLLTTLTLLLLVFGGAGRQPAAFSSSPRREFPPKPASRVHEHVARPDPQARHDDQDRLLGGLLSPAFDEQSCRSRYESTSLYRHPSPFRPSTYLVERLRRYEARHRRCAPGAPLFKEAIEHLRSGRNAGRSECQYVVWTPINGLGNRMLSLASTFLYALLTDRVLLVHAPQEFDGLFCEPFPGSSWKLPVEDDFPITVTDFAGVFTMGSPASYKNMRQAGAISSDHRNVTTEGLPAYVFLDLIQSFTDAAFCDADQRVLAKFNWMVLKSDVYFAAMFFLMPSYEHELARLFPEKEAVFHHLARYLFHPSNDVWGIVQRYHGAYLARADERVGLQVRVFPEMPVPFENMYGQITRCSEQEGLLPKVVVRDKSGAGAANHSSSAMASGRSNSNKLTSILVTSLFSDYYERIRGVYYASATETGEYVEVHQPSHEREQHTEARVHNQRALAEMYLLSSCDRIVTTAVSTFGYIAHGLAGVRPWVLLRPPSPDATVYPACVRSETVEPCLQAPPRRICGVAEGTDIGALVPYARHCEDASATTFSLFSMLLPRRSPPCCHCGCVRSPALAPIVLVKAGHLGPSVQPLHRGNLQKHSPTCPSHAVAATRREEIDNRIAHDPDRRSDALKPEGGGYMRRLRPSHSHGSGEERLPLRGGLETERPPPAAHHGGEQLLKDARRGGRLWRASVRAGLVLCLLTVPAADSSPQWVFDFEAPEEDDDQAVWSGRKLVVDARSNKVDVFFFFLSWPGQKDDRAALTNTPWPMGREGCPVSSRAASGGDGSAHPLFNCDLQFKWLCTPPVQLQTWSAPWSVHVLPPLVQPPTRLNLPPKRPKMTAVLEKKSRRRDHSGPMYQPSHEEYQRSKNKKHNMKALAEIYLLSMNDELITSGFSTFGYAAQGLAGLKPWIMFRSENHLVPDPPCGRAMSIEPCFHQAPYYDCKAKRDTDLGKVVPYVRHCEDVSWGLKIFTRDFCVSASYIMALAAINWETEWTSRLLLKSAGRGRQSGAPADGAADDIGVFASPEMQQRKPKVCAAEGAAQEMEIPVSRAPEAELAPPEHSPVSPKKRLALDKKRWCTVFNVAFVMAAAALVVVISAGDGATMAQLRRGSGGRSFPYARSPPDKLLGGLLPDGLDERSCRSRYESSMYRRNTARRPSPQLIAKLRRHEELQRRCGPNTNAYRLAVEQLRSGKSVVGSPECKYVVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMDELFCEPFPGTTWLLPRQDFPLASYTNFSINTAESYGNMLKNKVVSADTPMTPAEKLPAFVYLHLDHDYAHEDKMFFCDDDQRLLSGVQWLVMRTDLYTVPGLFLVTAFQEELDALFPDRDAVFHHLARYLFHPSNHVWGLVTRYYRAYLARAEHLVGIQVRNFDPRHAQSPHVLRQITSCVWREKLLPEVLDTEEHTTRTPGAKSTTAVLITSLRAWYYERIKGMYWDQATVTGEDVSVHQPSNEGQQQFGKKSHDGRAWAEMYLLSLCDVLVTSGWSTFGYVAQGIGGLTPWVLHKQPENLTAAPHPPCFNDVSMEPCFHAPHVYDCKMRRGLDPGEVVPHVRHCQDVSWGLKLVDPKAYKA >Dexi9A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:9A:9302898:9308172:-1 gene:Dexi9A01G0014190 transcript:Dexi9A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRTLGAGGIDPIAEEPHHGRSSQADGADQAALACAISAEASAVLAVMRRGLRHPRATAADDAAAEHPLVASLRALRRLAFSPSAAAASALPSAALRPFLDAVRSEDAGAAVTSASLAALHEVMSLTGPALPGAALREVVDAVASCRFEAGAEPAAEEAVLMRMLQALLACLRAPAAAALGDQHVCTAVNTCFRVVHQAGAKGELLQRFSRHAMHELIRCVFARLPQIGSADGVAGAVKPEMGGMDMNHPFGIRQMENGNGSYMSEIGTSDENSADGSGLVVEPYGVPCMVEIFHFLCCLLNVEQSGLDEDLPLFALKLINSAIELGGSSIQKHPKLLSLVQDELFRNLMQFGLSMNPLILSIVCSIALNLYHHLRTELKLQLEAFFSCIIIRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELGNLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSRPELMPVELDEYTPFWTVKCENFSDPRHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAVMSGPTIAAIAVVFDHSEHEDVLLTCVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADDSELSAEAVQGKAAPSAIPPSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVATSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCTLVERAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVGDAYSENITQEVARLVKANASHIKSQMGWRTVVLLLSITARHPDASEVGFEAIMLIMTEGSHLSLANYGFCIDALRQFAESRVGLADRSIRSLDLMSDSIRSLALWSQEIKGTGEDGEKRLEAIREMWLKLLQSLKKLSLDQREEVRNHALASLQRCLTATEQICLQSASWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGILSRMEKYIKIKVRGKRSDKLQEVIPDLLKSILLVMKNKGILAKRSTIGGDSLWELTWLHANNISTSLLPEVFPSQEYEQQSSAGSPRGPNAVEA >Dexi3B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:3B:14555030:14559863:-1 gene:Dexi3B01G0019540 transcript:Dexi3B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRRSASWLSSASRSSLGGAVGGEAKVTPEVDPAARGEEEEVQEVDEERWSRLLPELLTEIVRRVDAGAERWPLRRDVVVCACVCRRWRDAAFSVVRPPLEGGRITFPSSLKQPGPRDAPMRCFIKRDKKKSTFSLHLSLTQGESDFLGTKFIIYDSQAPYDGAKPSRSRSSRRFASKQISPQVSGGNYEVGQVTYKFNFLKSRGPRRMQCSIQCPVGHGTASDPSKEKTSTPNSLDLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSPFQAFAICLTSFGTKLACE >Dexi9B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:9B:178647:180961:1 gene:Dexi9B01G0000240 transcript:Dexi9B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDQDPDITRWGLHLLLPDAAADACSATHHHTPATATTPHSAEIKVEHVAASDAVDNDEIIAQTLQEELSQIALAEASGAPSADDNHSAVLTQQWFRPRTIHVASGTSPASSQEEADSREEEPFSSCSSPGDDNGQHGETCLIDLMDDFSVLDGEVGKRLNDMVPVPHVPKTNGDIPSVDEAFSDHQRLLDRLVLYGLVELKVNGDGNCQFRALSDQFYRTPEHHRFVRQQVVKQLESHPEFYAGYVPMDYREYLKKMSKSGEWGDHVTLQAAADSYGVKVFILTSFKDTCYIEILPVVEKSRRELPVLENKKRSWWPF >Dexi5A01G0039990.1:cds pep primary_assembly:Fonio_CM05836:5A:40316509:40317928:-1 gene:Dexi5A01G0039990 transcript:Dexi5A01G0039990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGRGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Dexi1B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:1B:379890:382489:-1 gene:Dexi1B01G0000390 transcript:Dexi1B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTAAPVSLSARQAASPSPPPCGGGSSSSHFQFLAYPRRNAARALRLQVSTTETAETEAAPAKKEKVSKKQEEGVVTNKYKPKEPYVGRCLLNTRITGDNAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHEDYQFNGLAWLFLGVPTSDTLLYKEELEKMKEKAPENFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGVDWFEYKKQLKKGEQWNVEVY >Dexi3A01G0033550.1:cds pep primary_assembly:Fonio_CM05836:3A:38278505:38283016:-1 gene:Dexi3A01G0033550 transcript:Dexi3A01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSDMMNYSGDKEVLGKCQAVGFRLDSLLKLIETRATNGRMTLMHFLCKSLAEKSPEVMDFHEDLVSLEAASKLQLKALAEEQQAVVKGLEKVELELTASESDGPVSDVFRKLLQPF >Dexi2B01G0027770.1:cds pep primary_assembly:Fonio_CM05836:2B:36564877:36565875:-1 gene:Dexi2B01G0027770 transcript:Dexi2B01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQADIAGACPGGGGGVDRISGLPDHLLHSILLLIPGGTAADAARTSVLSRRWRHVWAHLPELALRYREASYARAHRHVDAALAAYAAPTVRRLEITVPYGSPHLTAGRLSSWLRFAALRLAGGGALRLSAPHDVPDGDTEELLLPVWETVTSISLDFLFSSRTLRFRLLPGGAAAFTALAFLKIRNARVGGRELEHVLSSRCPGLTELVLERVSLREGDDDVVLSIRSASLQRLQMDSGDFAGLLRVATPELQVLTLHCIHGDAYIAAPKLSELYWGEPFYDPSRHRFAETTARHLRRMMVATGSPTMALMERFDIVGELDMTLYV >Dexi4A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:4A:23479829:23492460:-1 gene:Dexi4A01G0019630 transcript:Dexi4A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSAIKTYLFISALLSLTTISGLEIWRIEKLQAVPVPKESYGKFFTGDSYIILKVEILTVSKSFWFFEQTTTLKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEDTTFHFPPLQQVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHDGKCEVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDSASTSKLLCINKGQVAPINCEILTRELLDSTKCYLLDCGSEIYAWMGRETTLEERKRAGSAAEELLREGNRPKSHIIRLMEGFETVIFRSKFDKWPKKADAVVSDESRGKVAALLKRQGFNFKGPAKAAPVKQEPLPQIDCTGNLQEERSAAISLADNMIESLKFQAVLVRVYEGKEPIEFFPIFQNLVIYKGGTSTGYKKFVSENGIEDDTYSESGVALFRVQGSGPENMQAIQVDTVLSYIVREIFNFAQDDLMTEDIFILDCHSCIFVWVGQRVDTKIRAQALNIGEMHGNSFERKLSIVRDGVKPRADKPKRRPTTSAHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNTRNLSTPPPVVRKQPPKPVSPDSSKPPPRTASIAAISASFERPKATLIPKSIKASPDVNKPQTESSKPKPETNAKEINPTKDSQIATPAVHEDVKANQVEGEEGLPVYPYDRLRTSSTNPATDIDVTKREIYLSSSEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >Dexi6B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:6B:24854752:24855759:1 gene:Dexi6B01G0017740 transcript:Dexi6B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKFEFDSCSFTYQFKLNLEETKDFPIGKPISSGDIFAGGHLWRINCFPRGDREENNGEYISVFLCQESETQDAKAIFEVFVMDTDGAPSSTHRHKIVHVYTPKGSYNGNSRGWSKFVPRSELESLFVSDDSVIIMCGVKVVHDDPNPISVPPSDIKTHLGILLDSGENSDVSFIVDGEEFPAHRAVLAARSPVFKAQLEDYTNMPSSITLQDITPVTFKVMLRFIYTDDLVGDVELSNEMFQDLLAAADRYALDRLKLMCVQKLWDDVSMDTVASTLACAKTYNCPELKTKCIDFFADESNFKKVVLTEGFVQLVQKFPAILTELRAKVGA >Dexi4B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:4B:17544961:17549101:-1 gene:Dexi4B01G0015700 transcript:Dexi4B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGGVLLLAMAVTAAVVIGGSSPANAAVSYDHKALVINGKRRVLISGSIHYARSTPEMWPDLLHKAKDGGLDAVQTFVFWNGHEPVQGQYYFSDRFDLVRFVKLAKHAGLYVHLRIGPYACAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGAKPYANWAAKMAVATGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNSYSKPTMWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFISTSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPVLVSGDPTVQSIGNYEKAYVFKSSSGACAAFLSNYKTNAAASVVFNGRRYNLPAWSISVLPDCKTAVFNTATVQEPSAPATMSPAIGGFSWQSYSEATNALDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSNEQFLKSGKWPQLTIYSAGHALQVFVNGQSYGAAYGGYGSPKVRYSGYVKMWQGSNKISILSATVGLPNQGAHYESWNVGVLGPVTLSGLNEGKRDLSNQKWTYQVGLHGESLGVHSAAGTSSVEWGSAAGNQPLTWHKAYFNAPAGSAPVALDMGSMGKGQAWVNGHNIGRYWSYKASGGGCGDCGYAGTYRETKCQTNFGDISQRYYHVPRSWLNPRGNLLVVLEEFGGDLSGVKLVTRTT >Dexi2A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:2A:540810:541223:1 gene:Dexi2A01G0000930 transcript:Dexi2A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGRVAVPVKRVWRGLSARLRLRRATGLGRLRKEVRTCEYSDVHVMWEMLSSSNGGGGGRSASARAAAGRGGSGGGGGGKGSRRCRVGRKAAVAWSRLASYCCAF >Dexi9A01G0032810.1:cds pep primary_assembly:Fonio_CM05836:9A:37659628:37659903:1 gene:Dexi9A01G0032810 transcript:Dexi9A01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAATAAKLISRDRITDAEHRVVPNAERGRTTVDASVDGMVAPLPELLEGDQGRARYRSIGKLEYTKGNFVALAEGTRFLDSLAKK >Dexi3B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:3B:11214857:11218252:1 gene:Dexi3B01G0015450 transcript:Dexi3B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRASRHRGQSHDQGPNTSNPQPPPKQQQQANRPKHKQQRPQAAPPPQPQQQQPDAASAAAGVGRVLGRPMEDVRASYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLAHRDDVDDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEKFKDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFASDPWPSISNSAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRTGLPKLGTKISESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGHITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRIDYQEFVAMMKNNSPEIVPNRRRMF >Dexi4A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:4A:10893297:10894769:1 gene:Dexi4A01G0012340 transcript:Dexi4A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIRSLFLLLCSSYVAIAHEDAVAAHKGRTSVVVPATSFQSSEESCSQSRGTAPHSTRVSMPLAHRHGPCAPVQAKDEMSLVEKLRRDRARTMSITRRVSRSTRLQNSDAVTVPTQLGSSYDTQQYVVTVGLGTPSVPQTLLLDTGSDLTWVQCKPCNSTACYPQRLPLFDPSRSSTYKTIPCDSQECRSLAAGLDGNGCTSNWDCAFLIEYGSGANTTGVYSSDALTLAPRAVVGSFHFGCGHDQEGPFDMYDGILGLGRLPESLVWQTFAENGAVFSHCLPPTGGDTGFLALGAPDNTTGFVFTPLLTMDDQPWAYQLMLTGISVGGEQLDIPPAVFREGMIMDSGTIVTALQDTAYAALRAAFRSAMAEYPAAPPTAQLDTCYDFSGYENVTVPTVSLTFRGGATVELDATSGLLLDGCLAFWTTDGDEYTGVIGNVNQRAIEVLYDLPGARVGFRTGAC >Dexi3B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:3B:5190943:5194426:1 gene:Dexi3B01G0007310 transcript:Dexi3B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQMCWSASPPSPWGRGAGKGWALGGPLAVKAVGFLLLAGLLFRVLCSFPSSPAPAPALQIAQVRFLDSMRNKAWGLIGDSILRNQVQSLLCLLSKAEEPVDVYHDKEFKNRRWHFQSYNFTVSLIWSPFLIKSDVFENENGESTSEIQLHLDTLDASWTSQYESFDYVIISGGQWFLRTAVYWENGAVVGCHYCKNKRLAELGFEHLYLKTLQSVFSFIISAKHKPVIFFRTWSPDHFENGEWFNGGSCNRVTPYKKGEHREGYNGHVMREIELKEFSKAAAALRVSVDAAERLKLMDTYSLSSLRPDGHVGPYRTPYPFAKGSKNTASVQNDCLHWCVPGPIDAWNDLVMKMTLD >Dexi6B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:6B:7358145:7359268:1 gene:Dexi6B01G0006670 transcript:Dexi6B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVRESEFRKGSQWFSMKRQHAMVVIADSLYYTKFRHYCRPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYERLKNMTSIDVSSHITSDEKVPSSLAI >Dexi7A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:7A:27796288:27799720:1 gene:Dexi7A01G0018370 transcript:Dexi7A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGTTDDGAKRGHSGEAVTITAVTTVAPALPVQEHRLALSNLDLILPPIDVGVFFCYADAGAGAAAVLKAALAKMLVAYYPLAGEVVANTDGEPELLCSGRGVDVAEATAGDADMRDLRLGFPDESVEQLVPKKKAGVVSVQVTKFRCGGAVVGCTFDHRVCDAYSFNMFLLAWAAAARGGPAPPSPSFRRSLLAPRHHPTPPCTTGTLADRLFVPVSRAPPLPETTAANRIYRIAAADVAALQAAAGPGRTKLEAFTAHLWGLHAEAASRRRISSCCIGVVVDGRSRLHGDMATYFGNVLSIPYGVLGSEELRRMELAEVAGEVHRWVAEAAKGEHFRELVEWVEARRPEPTVARAYLGRGEGGEEATACVVSSGMRLAVGEVDFGWGTPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHVAPEVVEAMEEEPTVFRALESGYMFG >Dexi9B01G0027970.1:cds pep primary_assembly:Fonio_CM05836:9B:30540560:30555245:1 gene:Dexi9B01G0027970 transcript:Dexi9B01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTPMDHDDTDFQSQNFQLAGEDGSKFPSGLRPFALPKLDIEDQLQGHLRFDNLIDSEGFFSVQEHENSWIEVLSTGSSVVDFSSSAAESCCISKTNNVWSEATSTESVEMLLKSVGENETTDNMDTNVRFQLSGTDSQVDLSNVHPQSTNSPTDSTAVPTEKDQSQSTHSRMTDDPDRSQSSHSRMTADRSNTEPHLEHFAPFLIDKKTEQAAGSAAEKCIASEKLPSSNNTSGSCPAVGNYFESVHDDHSMDKLNVHSVEVDSRNLNSEPFTELAPLQNIYVTDSYNFEQDNKESGVGITSEDSKICHINENKVEGGLHELQTLSCAGQSLGAVNLSNQVSNESLLPESSDGLLEAITNPVKLHRSDGSNRVNSTLQPSLSPLQHGSEVLNSGVDRSNELIVDEFGTGSTSALSQRPEADSRNSHPHLVSSLSPKRTVADATGIPEETKNDGANNTNTSCTGDESKPGVLEHHQDSADSLKSGDIEENTFREEMPAVSGHIEQMVENDHEENATGATGTSKDKVDSSNSIAPGNFSADTFNVSENSNIPSTNHEESFKELDTPALEGDPENTHMDLSTSGHQEKMVAPAAMINSRSGITSITVTDTFGTSKDKNVCSIAISADDSSALPDEKELKVSTMNHEGPFNEGAKSAAGDEEHNVISPGSEPGREMSDIPVDSNVDVYSVTVSVSKEEEHKEQASSLGGSNTGEAEDKSGNSTDTCEKCQTDKVVEKVTESQATPPALGISTGKVVEKVTESPLNASDDMDTHVQDTVLNHGTDRSPGPGSQGEVGSGLVEPGNGNGICTRSTCGSPSVISCAELSPQEGGQGSNALLCPLDGQSGPKDCEDSADAAQHPKQCSTGNVESAPDSEETNTAGGDRSFSFEVGARPNVSEKAHSPVWSPFPRYEASQSIEVTSENPQPGSSMRSTSDDSKKTSIVKAGKEQLPESDGGPSDNSNIGDSTKVKSSPPEQSQQHPTPECSDLVNFPFTDPQHLQLRAQIFVYGALIQGAPPGEPYMVAAFGEPVGDGKPAWEAPWRAAVERFLYQKSLYAGLETPTTVFAGSSVPEKAGKGTTVRTAPASKKGGKNMAPAQSAGTLHSPIFNAPLGSSTFNLQRGTHLDFSQAVSPSTYNSHMRQPSPAVAPWYPQNPGSRPAPWLIPPQNLIFDSLMQPAVPTNETEKGASSKNISVSDTVSPGLVLPTPATSIVSSPMAGVDDKKQKMAGVDDKKQKAPASSSKHGTSQKPRKRKKASASPEQQPVFASPQLKLPEQQSIFASPQLKTEITSFTAATKPTAGFTLSTHSPSNPLGGRVVPNTGQITLVPNYQITGGADSEQRIIFSEQIRGAIEQTTGQAKGASMHSLEAIRHHEGIWSHLPTISRNKLPQAVEEKLTSAVAAAEAAVSVAKAAAEAAKMASDAALQAKMLAEEALSSSISLKPVHNEAGDFNISSNPPGLSSSTPASSLKTKDNTHAPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLRELLEAGPDGYWKSENVKNKAGVVKDNPVTETLEAVAPANFSKSGRKRGRKPKSDQAIPNLERSLSGKELHSDGIHSGHGVEDVPATVSLDGNRIDTAPINFMWNGIEKGSAVEVLSDKGGFGVAWFSAKVIDINENNAFVSYDNHNAGGGGESLAVDGQCLRPSRVWKDGQWIEWSRARERKSKSTKGDSPLEKRQRTDLVQADGDLSIGGESGGPSKDKNTNNTKMPEEPQPLALSQRDMVFNIGKSVVENRSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMELLEGLQSSLIISKVPGEKVPRSNYRSTSTRGRAHG >Dexi5A01G0035740.1:cds pep primary_assembly:Fonio_CM05836:5A:37450579:37454263:-1 gene:Dexi5A01G0035740 transcript:Dexi5A01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPAAATFLHHHLPLPGLIPKPLLRSRLRRLAASVNPSPPDETPAADPPVIPSISIKNTEPEEVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPAYRKKVEAEPGYTERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHREASERLDMEAAAAAGVTNVEKLEDAPKDLALERYKVFLKQYKEWVEANRDRLEQESYQYDQDYYPGRRKRGKDYREDMHELPFYYPGQVICYGQVTTVHLYQGAFVDIGCVHEGWVPIKGNDWYWIRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVDTNVSIKELDLDAARAERQMIKKLKKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSYEEREALIRDICCRKALGLPIEEPGRYDVDETEVFGKDYYDPEKPMYRYDYWGEPKNTEKTRLERDVERHNQQIVGDAKKWCEMSYDDYIRKKLQIEAAEARERQRKASEPQDEEEEYDDGMDLDLKKMTDPRAPHNRFYLTK >Dexi7B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:7B:23322018:23327073:1 gene:Dexi7B01G0017330 transcript:Dexi7B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHGWQLPAHTLQALSVLILYVRCTAIDPADPGILISMDGALIYKSEAHVETRDEAGKSELRNGEGIRKHKSCLGRVCFCCAIFTIEDCRKEDEANQQEDYEEALFCTLCNAEVRKHSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYVTFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVGITTYEYVVAMRAQSEPPGPSVNDDQQSLPSSPLSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDTTDPAERAKSYPKRPVRISAWKLAKLDSTEAMKAAAKARASSSVLKPINARNQYEADSDNLSSRSSVVSADTGHHRYPRSGGNSQYKPSYPHSRASADDIELYPQTPSSFQSNSRTPTPIAEHHPSKHFNPIYQTSANRSPFSAKANEAVSETSNARRSFPPPQVERSSRSSVYWDQEAGRFVSAQANQGSSSRSGRPDLLYTGQSIFFGGPLIAGPAARSFRDPGGSSQRSTGARPHQLPVFVPSDPQKDQLSRLP >Dexi2B01G0023330.1:cds pep primary_assembly:Fonio_CM05836:2B:32842795:32843184:1 gene:Dexi2B01G0023330 transcript:Dexi2B01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACAQIAVGREIVGPGIALGGSLFTEAELVAADLLVQLSGSAGDEVASASDSGSPRSVNTGAGGAASWKEREAMVGGLELDRRARKRYRLVSELYDATTRVDGAGEGNARKRKRGKQPEAEMTTVTR >Dexi4A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:4A:5087716:5093419:-1 gene:Dexi4A01G0007010 transcript:Dexi4A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGVASPPFPFPSSSSSPHHLRRVATATAAAVSSASEDFDYPLADPSVRWPHLRFPHLPAPRFPATVTAAPPAPTTRPPQVEEDDEPGETSALVEPLDARAHRGRVKKLSKLALRLARDWRARVAGLTDAVLALPPGALVDDVLESARAAPDEVALVVRTVGETSWRRALDVFEWLARSSAPAPRAVAVVLGVLGRARQDAVAEELFLRFAGEGATVQVFNAMMGVYARSGRFEEARQLLDTMHDRGIEPDLVSFNTLINARAKSGCLPAGVALDLLSEVRQAGLRPDVITYNTLISACSQSSNLEDAVTVFEEMLASECRPDLWTYNSMVTVHGRCGKAKEAEQLFRELVEKGFTPDAVTYNSLLYAFAKEGDVEKVEHTCEDLVKAGFKKNEITYNTMIHMYGKMGRLDLAVSLYDEMRAMGCTPDTVTYTVLIDSLGKMDRIAEAGKLLEEMAGAGLKPTLVTFSALICAYAKGGRRAEAEKTFDSMVASGVKPDRFAYLVMLDVFARSGETKKMLNLYRTMMKDSYRLDDGMYQALLAALAKEDKYEEIEEVIQDMELLCQMSPRVISTILIKARDVELMIAEMEEVGFKPDVAILNALLMMYTATGNFDRTIQDCGFDLPISVVEKDWGADFRKMSAGAALVGLTLWLDHMQDASLQGSPESPKSVVLVTGEGEYNMVSLHKTIRAYLLEMGSPFLPCRARSGRFVAKAYSLKMWLKDSPFCMDLELKDIPALPKLNSMKLIDGYFMRAGLVPAFKDIHERLGEVWPKKFSRLALLSEESRDEVIKAEIQGKKEKLERMKKGLVTARKSKRKPRRTKFVREQEQGMKAVSK >Dexi2B01G0036070.1:cds pep primary_assembly:Fonio_CM05836:2B:42986628:42987298:-1 gene:Dexi2B01G0036070 transcript:Dexi2B01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLLEKAGIGCDPCPYEEIDHTVWEPSMVFYWGLIPEKIIYVDTSSLKEDFMKDIINDVYGKVKCLRSNVAISVVGLSQLPKSMRSHSVHWQYMQHCMLGYQATSEDQNR >Dexi1A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:1A:19425291:19431333:1 gene:Dexi1A01G0013820 transcript:Dexi1A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKNELEGYNTGDSDEVNKQKAIDALKRMENWNLFRDTKEEHHSYTVARDSFLAQLGSMLWGSMRHVIAPSVSHRAHHYYEKLSFQLYFVTQEKVRSIKQLPVNIKSITESLNSVLLRHQKSMFSQHLLSLSEEPALMMAFSMARRAAAVPLLLVNGGHSNHRSTLEVPIFWFIHSEPLLLDKHYQAKALSNMVVVVQSDDDSWESHLQCNGRPILWDLRKPVKAAIAASAEYISGLLPSHLVYSHAHETAVEAHESVMIEKYNAVVSLWRRVSAMSKGLKYGDAVKLMSMLEDASRGSVIFAPYALIYYLHILLLLS >Dexi5B01G0030630.1:cds pep primary_assembly:Fonio_CM05836:5B:31576445:31577559:-1 gene:Dexi5B01G0030630 transcript:Dexi5B01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAGGGGGGGGGSGGASAGQRGQMQNLARQGSLYNLTLDEVQSHLGEPLHSMNLDELLKSVFPDGLDHDGGTTSQYEQTSGLLRQGSLLRQGSITMPPELSKKTVDEVWKGIQDAPKRNAAEGGRRRRERQPTLGEMTLEDFLVKAGVVAEGCLKDLNDVGNVEQVGNAGVAGLTAGSQWLDHYQQRIAAIEPHQHGQHSVHVAYMPNQLALQPLNVGPSAILESYSDGHITSPMMGALSDSPTPGRKRGAPGDVADKLMERRQKRMIKNRESAARSRARKQRRMRG >Dexi9A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:9A:4251617:4252519:-1 gene:Dexi9A01G0007320 transcript:Dexi9A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLLPATSGAAHFTGHASFALGASSSGVAAGAADKAATTASPSPLSPRSPLLPPSPPLSRRSFHRRLNRSTPLPATKRFAVVFLFFSTRSCSSSITPPPGAARFCPFLAVLLLLLLVWPRLVRRDVSPVGNAVRRRGAPPPWPPHVSSLARVLSGRVSARASRRLAVVEPLIARRRVRHACSRHGRCEGLARAASRGAGLGDGLGGELAGVRCVAVRRRRAAMKLGLKLAEET >Dexi4B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:4B:21159941:21161024:1 gene:Dexi4B01G0018890 transcript:Dexi4B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTAADPHPSFLADKDAKVFVAGHRGLVGSAILRRLLALGFTSVVVRTHAELDLTRQADVEAFFAAERPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALRCGSVRKLLFLGSSCIYPKFAPQPITEGALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPHDNFHPENSHVLPALIRRFHEAKACNAPEVVVWGSGSPLREFLHVDDLADATIFLMDQYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSTKPDGTPRKLMDSSKIHEMGWKPKVDLKQGLVETYKWYVENVISDMK >DexiUA01G0014420.1:cds pep primary_assembly:Fonio_CM05836:UA:30576051:30576827:1 gene:DexiUA01G0014420 transcript:DexiUA01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEPRRLYQQLAAELKDRIEQGVYLVGDKLPAERFIADEKSVSRTVVREAIIMLEVEGYVEVRKGSGIHVISNQPKHSPVADESLEFASYGPFELLQARQLIESNIAEFAATQVTKQDIMKLMEIQENARKEKCFRDSEWDLQFHVQVALATQNTALAAIVEKMWTQRVHNPYWKKLHDHIDSRTVDNWCDDHDQILKALIRKDPHAAKLAMWQHLENTKQMLFNETSDDFEFNADRYLFADNPVVHLDTAASAAK >Dexi1B01G0030000.1:cds pep primary_assembly:Fonio_CM05836:1B:34080754:34085345:-1 gene:Dexi1B01G0030000 transcript:Dexi1B01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKCLKKLIKRIKIARRDAASTTTTLLATSGTTTTGAYGFSVLDPVRALTARLAAASRAPSSSPEGEEDSLESDSGELMPSTNKHEKEFLEKADEELDKVNKFYASQESELLARGDALIEQLRILSDIKRILADHSASRRARNNRPHLSRAASMPPSSPSLNGSSGRHLLSGLASPQSMSDGGVELQQQRVAEGAAVAEEVMAALERNGVSFVGGGIAKAKKDGSGKQLMGRGALLQMPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFIHRKKVQHAEKNIRDAFLALYRGLELLKKFSDEKCSAFQVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLVGDMFALISLHVFLYGCNLFMWKSTRINHNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGATYTDALPGALLLLSTGVLFCPFNIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGTFRNHAYETCTRSPQYTHLAYVISFLPYYWRAMQCLRRYLEEGHDIDQLANAGKYVSAMVAAAVRFKYAATPTPFWMWMVVISSSGATIYQLYWDFVKDWGFLNPKSKNLWLRDQLVLKNKSIYYVSMMLNLALRLAWAQSVMKLHLGRVESRLLDFSLASLEIIRRGHWNFYRLEHEHLNNAGKFRAVKTVPLPFRELETD >Dexi6A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:6A:26313720:26316430:1 gene:Dexi6A01G0018560 transcript:Dexi6A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPFRWPAHGDVARVGSQHPPADLESSRPHSPPPLQAPPVPPFPATRSDDDVEDEGGMTGGGAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYLAPHHRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPQLKYMKMDVKDMSDFESVSFDAVIDKGTLDSIMCGQNSQENATKMLGEVNRILKENGVYMLITYGDPSYRLRLLKDMENWTVKLHVIERWERSSNQNKWELTKPLPLDDDSASVVSILGPKPDVHYIYVCVKGKDGARVDSEAGAVVN >Dexi2B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:2B:3584829:3585776:1 gene:Dexi2B01G0004050 transcript:Dexi2B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKELKLLAMAMAVAIMALASSPTPVSAQVVVPPGSPLAGTLTCTTSLISSFAPCLNFVINSTASPTADCCRSLGALMKASSGCACLILTGSVPLGVPVNRTMAINLPRACNNASVPLQCRNTAAGSAEAPAPGPVADAPAPSSLLAPSLAPLPSVTTPVETPATEAPAPAATVEPTATAPVSQGQTRPTVVATSGAWRERGHVASGPGIVLLLAVAGAALV >Dexi1A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:1A:25686468:25694455:1 gene:Dexi1A01G0018490 transcript:Dexi1A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMDPVPPRLSAAPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVSLIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSVPQSTWGTYPHEYEDFATETLVADASFLDHWSFDQFQGLLNRSFDDIKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLNNVKVVDQIAESMYNLMESYFQDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGVRSPKFLTYTEKPDDGFRFVDDHKHDTPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPMNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQLKQSSSLYFKPFKPLANYSSVLTQIEDLISEKDYETAMKHSEELRRMALAEQILKVMSFFDRKIYTWCFLVLGILGSTYVAFFIQANPSLAIYIWLACWFLSVFTLMPAEIPENNNLVIISGALIVLIAVASRWSNSNSTTFWLYLTRANKRESQSSKLYFVQVILVAISSIMVWLSTSHRSQNRELHSLHQLINWSVAGVAMVLPLFSPPSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFTMVLIGWIFVESANLYSLEESGSARRGSLVDSSVFGYEERHLQLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVM >DexiUA01G0006210.1:cds pep primary_assembly:Fonio_CM05836:UA:11847652:11847900:-1 gene:DexiUA01G0006210 transcript:DexiUA01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLEAVRGEVVAWRCRRPDARPQLPHSEVWRWPTDGVAADGGDRRHGVAKIRRLRRSCKTLLVISVVCSHTARSGDSPIL >Dexi3A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:3A:10205744:10207352:1 gene:Dexi3A01G0013940 transcript:Dexi3A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLGCWSLPPFQSGSELLQQEQGDLPISSQVQEQLKQIYLLMDMEEHEHAEAAPSSQSSTFHSSASPDEAASLAQWQCYQYQMEMAVAPPTTAAGSNCNQVQVQHVLSERKRREKLNDSFKALKAVLPPGPKKDKASILIRARDYVNTLKSRVSELEERNRRLVELQHHCNNGGDRDEVSDGRIEVDIDRAAVVEETSQEYYNLKIVVRSGCNATDAVVVILECLKGIGEVRLEAMDTGSGATTLTLQMKTSRCDDNFLKESVIKSVKGAIIQSKIETP >Dexi6A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:6A:24689270:24690040:1 gene:Dexi6A01G0016850 transcript:Dexi6A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMQKTFSKHLAAWRLQQGARHEVEHAAVHDLADVHLAPGVVAEVAHQVANLPLADAARRGEAARGEDVRGHDAAQVLPPMVGARQPDHGALGETEGAGGVWDGARGEAPVVSRERLPRRVARGDDHGGGEPQLEAHDGAVDVGEAGEHVVEVAAAQIEEVADQREWHGAGRQPCGAGTPSKVGERSEMASVANISQLSRSIFF >Dexi6A01G0016850.3:cds pep primary_assembly:Fonio_CM05836:6A:24694475:24695370:1 gene:Dexi6A01G0016850 transcript:Dexi6A01G0016850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLRRSSAIAHSTVMATVSLPAVKTSNRMRVEEVTCLLVHGGGGGGDLGQAAVDDAIQEAENFLDLVSRVAPASLQIKRPEEGEEVGDVGLGDQLDEVLHDLPYPVRRLGADVDDVDGAEGVRHEVERSAVQGLADGYLLAETAMELNTSRTLRSRTPRAAARLRGAKMWVATMPRRFFHLGSELGIHTMERSEKPSARVASGKAREARRQSCLVNASLAASREEMTTAVLEAHDGAVDAGEAGERVVEVAAAQVEEVADQRERPWAGRRRCSA >Dexi6A01G0016850.2:cds pep primary_assembly:Fonio_CM05836:6A:24689270:24695503:1 gene:Dexi6A01G0016850 transcript:Dexi6A01G0016850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMQKTFSKHLAAWRLQQGARHEVEHAAVHDLADVHLAPGVVAEVAHQVANLPLADAARRGEAARGEDVRGHDAAQVLPPMVGARQPDHGALGETEGAGGVWDGARGEAPVVSRERLPRRVARGDDHGGGEPQLEAHDGAVDVGEAGEHVVEVAAAQVEEVADQRERPWAGRRRCSA >Dexi1B01G0028070.1:cds pep primary_assembly:Fonio_CM05836:1B:32695933:32698806:1 gene:Dexi1B01G0028070 transcript:Dexi1B01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLADSFLADLDELSDNEGYPEEDNAEAAGMDEDGDDDMHDLESLNYDDLDSVSKLQKTQRYKDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEENLEKTVEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDTTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQ >Dexi6B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:6B:23255231:23256155:-1 gene:Dexi6B01G0015950 transcript:Dexi6B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISNHLMVAQRQLSVIFHWIIRCLGKSQAFTMNELVEKINTSYERPATRRGGRGAFM >Dexi9B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:9B:3656609:3657892:-1 gene:Dexi9B01G0006150 transcript:Dexi9B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGCSTQPDYLFTSSRPPSPTTSGRSSSERGEHGQRLLDGLILRLRFVSVDDDSPAPDLTTALHIQSSNLYPLAFVNAAGENLIVLTVVFPLLESSHRACYYLVYDSDDMSLAMIPSAPDEDPVSGSLTTLNGSSLFPRAATARMEAKCPSLMPDGTLSPGAQHAQENRGVHG >Dexi6B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:6B:23313897:23317385:-1 gene:Dexi6B01G0016020 transcript:Dexi6B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEESEDEKKRKEELKEKFEDLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDETPEADTDMPALEEDAGESKMEEVD >Dexi3B01G0038470.1:cds pep primary_assembly:Fonio_CM05836:3B:41281911:41287657:1 gene:Dexi3B01G0038470 transcript:Dexi3B01G0038470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGNLEAVLKEAVDLENIPLEEVFENLRCSREGLSTQQAQQRLEIFGPNKLEEKEESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKILHLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYQAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTVSQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFPEKAGLRELHTLKGHVESVVKLKGLDIETIQQSYTV >Dexi3A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:3A:6494663:6499266:-1 gene:Dexi3A01G0009320 transcript:Dexi3A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAPPAFSGDDGFPAWARSAADCEARLGVTSSRGLSSSDAAARLRDLGPNELAEHPGPSLLQLLAQQFEDTLVRILLAAAAVSFLLALFSSAGEVTLSAFVEPLVIFLILVVNAAVGIWQETNAEKALEALREIQSDHAAVLRDGEWVPALPARDLVPGDVVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTSHAVPVEDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELHGWLPGNVRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDSAGAVRTFKVEGTTYDPHDGKIHDWPAGNIDVNLETIAKVAAVCNDASVSHSSHQYVATGMPTEAALKVLVEKMGLPAGKNGLSADPSDTLGCCKWWNNVAKRIATLEFDRMRKSMGVIVRTSSGSNELLVKGAVETLLERSSHIQLKDGSVVPLDEKAKKIVLASLHEMSTKALRCLGFAYKEDLAEFATYDGENHPAHKLLLDPANYAAIETDLIFAGLAGLRDPPREEVYDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPNEDITLKSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDWDIMKKPPRRSNDSLITPWILFRYLIIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWDNFTVSPFTAGTRTFTFDNPCEYFQAGKVKATTLSLSVLVAIEMFNSLNALSEDSSLFTMPPWVNPWLLVAMSVSFGLHFLILYVPFLATVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCSSSSGPKRRSRKQKGE >Dexi9B01G0023400.1:cds pep primary_assembly:Fonio_CM05836:9B:18617700:18623160:1 gene:Dexi9B01G0023400 transcript:Dexi9B01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQGNAALTAANNIQPFFVLHKAAVAAASTVPSSRARRRLDASLPSSPNPKSAKRHRDVDEQDEEGPELYEKLRLEAFHRTWSKIQSTIDEVLRGINLKLFDQVLQWAQESFSAVRAVAKPCHAEVQQPYPLLTDVICSRIPTAFVLTKNAEFVDDITTFRDLAEHLQSNGCHLAKLSATELSVKHAVGGCFRSLLRQLVSDVPDVADVSALASWYCEAENYEQPIIVIIDDLEQCSGDVLGELVMMLSEWVIKVPIFFIMGIATTLDAPKKLLSSEVLQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAMFLRNYFFRHDGTITSFISALKLACSKHFSMEPLSFLCMGALEEDCEEFWCDKFEALPQVIQKYAFGLPSCTSTNNSSSSGSDVVKGLSKLLKLQKDWSSVLLCKDGLSVFFWIRYLPMETLLHVLEVWSTHFKGMSEINDKVKELQSTTIDAGSARTTKEKWTRRSTGNTGNATVPLNEKAAVLLHDVIRKYLVPVECLPFHEIFCFKNVGILQSALIGNPRRMVQLDLLKSQSHLKCSCCGRSGTAVSGSLHDTSIIFKFGGL >Dexi4B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:4B:2494556:2495182:1 gene:Dexi4B01G0003600 transcript:Dexi4B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGPSVAVVPSDRRASAIRRFPPGCGRRRRRHHNDAATRLPHADPLPITSAAAAKPPLPNPSVARAADKAAPPRPRRATPAAASYGLEEPRRVGVGSGRKAPAAAAVNVRSVSAVRRYPPGCGRGVAVSKRKAPVGESGAGEPTEMVGNGETKAGDLAQFNSNGVVLSTGDAGTAGAQEKPWVVNGLMAVPFMPWAQHGRRKSQPNA >Dexi9B01G0033240.1:cds pep primary_assembly:Fonio_CM05836:9B:35441801:35442955:1 gene:Dexi9B01G0033240 transcript:Dexi9B01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSLLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPTTHQPLAAEPIAAAAASSSRAAVFGDADLIPATTTTTTPLQAPPPPLADPTMLDWPVVSADMDALQQHCGVPSASSSSTLTSMAADAAEHCNTNGAITGGLPWLELGANVDSSYAGALAEELRWSEYYFDGAFQAQQGGGALLQQAAGQQCVYSGNNKDDVAVHFDVHGLSNWC >Dexi5A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:5A:7857973:7858891:-1 gene:Dexi5A01G0010360 transcript:Dexi5A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDPSAPAVAEALPPSPAPSPPPPLLTWPPPAPAPALEASTSPTGWDAVWALEDQQRRRLNRIWERGVAWKPSPPEGGDDAAPAPVVFRLDHGGEVESDGNCLFTAARTAAAAKADARELRHRAVRRFAEVYAAAGEDDKAAVDAAVRHLYAPDLNAGWGVHVVQEIKVLAPKAQRDSLDAAIQELVDLGIQRKSPRE >Dexi7A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:7A:23322164:23322699:-1 gene:Dexi7A01G0013160 transcript:Dexi7A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSEACPDAPPEGWWIMTRAFGSARLSPRAPAARRREAMEAAWPMQSVARGDDPAGGIDVEVDGLGGVLGLEEEQLRDDEGGRVVGDGAVYADDALLEQAREDVVGALPAGGVLDHHGHQPILAARGVARRRRVRRGGGEEGPRGGGGEAAQHDDGGGGARV >Dexi9B01G0035080.1:cds pep primary_assembly:Fonio_CM05836:9B:36835943:36836398:-1 gene:Dexi9B01G0035080 transcript:Dexi9B01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPSSVSGGDLRFDTYAALVALAVAAILAALFWRLYKLTVSARPQDMAHVSPGAGENKQGALRRRDVAALPVFVVHAAAAATALECAVCLEEVRDGERWRLLPRCGHRFHVECIDRWFRAHSTCPICRAEAVGQTGVVEPHKVVVVVQS >Dexi3A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:3A:5336377:5337626:-1 gene:Dexi3A01G0007690 transcript:Dexi3A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAMAGKKFSSYHLAAALRREPDPAAALRLFLNPTTSTTPSPAPFLYSLRCYDLIISKLASARLFPAMESILSRLAADSSSGPRLRPREQLLCRVVSAYGRARLPAAARRAFAHPAFPGPRTARALNALLHALLACRAPLRDLLAVCGEARIAPDACTYNILMRAAAASGSLEHTRHLFDEMLYRGIAPTVVTFGTVVAALCDAGQLEDAFEVKEMMVRRYDVSPNAYVYASLMKGLCEKGDVDAAARLKEEMVGNAELVLDSAVYATLVRALFRLGRKGEVVGLLEEMKGRGIVADRVVYNAMIAGFCEDERDPSAAFAVLDDMQKSACKADTVTYNTLVNGLCKLGQWRDAAELVEDMPRRGCPPDVVSFRMLFDGMCAAGEFLEADQVFNEMVFKGFAPSKDGD >Dexi9A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:9A:14606713:14607294:1 gene:Dexi9A01G0019690 transcript:Dexi9A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKVLAVLALLQVLSLHVHAVSAANHKPSAGGTCRVSGVLHGKSGKCKKLNGSDCCVEGHKYPQFRCSPPVSAKTPATLTLNSFEKGKDGGGPAFCDHRFHKDSTMVVALSSGWLRLDGTSRCGKMVRVTANGRSVLAKVVDECDSVNGCDEEHNFEPPCPNNVVDGSPAVWKALGLKESLGEVKVTWSDV >Dexi9B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:9B:12476037:12478446:-1 gene:Dexi9B01G0017730 transcript:Dexi9B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDAAKEYVAGAAAGVAQVVVGHPFDTVKVKLQAHNTTAHGKVYKNAFHCTSRILLEEGVRGLYKGASSSFIGIAVESSLFFGTYSQAKQLLQLFKIVNFQGNYEDGKPHLQVIIPSAACSGALISCILTPTELTKCRMQVQGKDVMHGARYSSPLDCAVKTLESEGLRGIFRGGLATLFRESIGNAVFFCTYEYSRYWMHNYLDSPRFSSSSHFVLAKDIGVGVMSGGISGMAFWTATLPLDVAKTIIQTDPDPHLSRNPFRVLSMVYKRAGVVGCYAGLGPTLARAFPANAAAIVAWEYSAKILGIKRG >Dexi2B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:2B:30687280:30690960:-1 gene:Dexi2B01G0020660 transcript:Dexi2B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLATATYSRLTSLFKKNKRIELKLEAPDGITWKKEAASCEKDNGNGKDGSITVRNEYPRHPAPCFELNKGFNWTDAEEFMLERYVSIAKSIANDIIIPDPTPQVAHMEVLEHVSSILKEYGFRPTEAMDTINLRPYDCKASGRDHVKEQAPKKVGGGWDPTYTMRSFFPYWRSVLRTLCPVKVYRYIQPMQEEMQ >Dexi6B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:6B:3203171:3206432:1 gene:Dexi6B01G0003920 transcript:Dexi6B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLAAALPLPPPPPAAVAAAARQLGFPRPLNLLSATTPTATSSASPRRRGALGCLVRLLCSAARTTPLPPAVEEARRGRKQLGMTPPLYDYLHANVREHPVLRELREETATMSGSQMQVSPAQAQLLAMLVQILGAQRCIEVGVFTGYSSLAVALALPESGRLIACERDGRCLEVAKKYYQRAGVAHKIDVRHALAVDSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDKKTISIRNFNKKVFEDERVDISMVPIGDGMTICRKLVDT >Dexi5B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:5B:5717484:5718479:-1 gene:Dexi5B01G0008480 transcript:Dexi5B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNHQQMLIKKALAKRPKTKRISGFGLKPSAALLKARPLLQPPAPVQPRRRVRVLFEDPDATDSDSDDEEAAAAPAGSVNSKRFSFEAFVGKAPAKPVLPAATVAATTSGGTPESYRGVRLRKWGKWAAEIRNPFTGRRQWLGTFDTAGAASAAYLSASRSFADEKRRRRGQPVPASCATPSPSTTPTASSSSSTPFAHASPTSLLEISTKPASKQESPEPVATPALPSTEPAQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDLSEAPFCLGDEQDLMLGEFMDIGDDDLDDIDLDDINDVFPEMPGCDLGRGMDDFLQTVDFCV >Dexi8A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:8A:22460584:22461181:1 gene:Dexi8A01G0012730 transcript:Dexi8A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFVLLVAAATFTFLLVTTRAQAQSVGASCQERDMDGLLAFKQGINSDPNGILASWRSDGHGHEEECCRWRGVRCNNRTGHVVELRLGDTDLYDGYALVGEISDSLLSLEHLEYLYLSMNSLEGSSGRIPDFLGPFKNLKYLNLSGIPSYSILTSKQ >Dexi9B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:9B:6644695:6645927:-1 gene:Dexi9B01G0010560 transcript:Dexi9B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDETSKGAQVKMEAHYNSTAFGKTDAQATYGVRFRRSTWRPKYVPVLRHCLLAFGPCIVIKPIRGREQGVIHDPKLYNSVAGLVFLARSTVHPSVDNLRRRGAVIAGLGTCCSEAGSIYVATPPNPHTFVAICIRLPHHLLHFLLLSLSSILRFNSSKLTRPVAIHVRLPHHLLHFLLNHLLS >Dexi5B01G0030860.1:cds pep primary_assembly:Fonio_CM05836:5B:31686189:31702227:-1 gene:Dexi5B01G0030860 transcript:Dexi5B01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLRDFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGLAKPTRKIRSEQEKELEEEVVPETTGASTSEEAVVDAPVEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEISRTLKKLITDVNLAVAVEATQAIGNLARGLRANFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQALHKSGCFTLVDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETVLSSGTAPTTASGAATSARGVADSLSMKRSAASMLSGKKPVQAAAGTKKSGPSKSTAAKKADGSQSKASAAPEIEDVEPAEMSLEEIEERLSSVVKAETISQLKSTVWKERLEAIGLLKQEVESLTELDKSAELLIRLLCAVPGWSEKNVQVQQQVIEVITHIASTVNKFPKRCVVLCLLGVSEKVADIKTRAHAMKCLTAFCEAVGPGFVFDRVRDNCTIYIFFCSKGYVHFELNQFSELYKIMKEHKNPKVLSEGILWMVSAVEDFGVSNLKLKDMIDFCKDIGLQSSAAATRNGTIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAAVAPKRTVRVLDTSSSTSAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVNKIVEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMATLSTIGGLASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVPRMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTSVHEGFSESVKMVTPSMTLPSKAGLKNSKHGPNDRGSNVGKPMSQRGLPARASVTMVSTQDYVKSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDSLKDQSYMLTEAEAAIFLPCLVEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYMLEGLRSKNNRTRIECVDIIGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGEVVSRSVTGSMISRDNFGYPDAHMDRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMVPKTFNFSLAGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILVLQSTIYEVDLDRILQSVHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGKTPSSLPLSTPPPIGAIPSPKFAPSPVHTKSIGSKMDSNEDDASGETQLAFRGQVDDSRLHSVDQPTDRYQTSAGTLDALRERMKSIQAAAVGHFDGAQSRPLTSMNGSNMLHGGTRLDSEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >Dexi4A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:4A:10979182:10980857:1 gene:Dexi4A01G0012430 transcript:Dexi4A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATWPWVILGRVPLVLPILGDDEAAAPFYGVHSALDARETYLVVARRFRTSGVQQGHAEAPLGQRIPVRRDRDGDGRLPVAYDVVSVGLVASYDGNYTVAELRVDRGGERARLFRFREGDEGWFEDELSSPLAAEDRAWVPDGVVAQKNTFWWFDLSWGLLSCDVVVDEPVLLFHKLPEDRALGKDWWPGIHTHRCVAVSRRELRYVEIVAEDGAGDKEAATVSMWTRLMANPGAGWEWEKKYAMSFEKLWNDNTYMYTGLPRKVPVLSAVCPSNPDLVYFALEQRLFGINVPVHKVVEIADEPHELVKTPWPAPASCRYVHAWNLPRRVASVLLRC >Dexi1A01G0032100.1:cds pep primary_assembly:Fonio_CM05836:1A:36826831:36829119:1 gene:Dexi1A01G0032100 transcript:Dexi1A01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRAGRASPYALALTALLLVSAFLLALIAFGVFSLPVAAPNAAATTNAAGGESESADSRPARPRPRRDLGEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNSSSLPWYNELSECARGGLSVKPKMGDALLFYSMKPDATLDPLSLHG >Dexi5A01G0036110.1:cds pep primary_assembly:Fonio_CM05836:5A:37778659:37780696:1 gene:Dexi5A01G0036110 transcript:Dexi5A01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIWEGGGKSREAMASSSMDTEAVGRELKGSGYTVAATAHAVDSDSWQQVGLLLVIGFNCAYVLSFSNLMMAPLGWGWGITCLLLVGAAAWYANWLLAGLHFIDGQRFIRYRDLMGFVFGWKMYYFTWFLQFTTLLLCNMGFILLGARALKAINLEFTHSPARLQWFIIATGIIYFAFAYFVPTISAMRNWLATSAALTLAYDVALFAVLIRDGKSNTQKDYNVHGTPAEKVFNALGAVAAILVCNTSGLLPEIQSTVREPSVRGMRRALLLQYTAGAAAYYGVSVAGYWAYGSSVSEYLPNELGRPRWAAVLINAAAFLQSIVSQHVRLYAFPIPFLDRSPLHALQIANLCVCFAMVIVQLFVVPIHEAMDTQLQRLDEGMFSRYNLTRRFFARGVIFGFNIFVTALFPFMGDFVNLVGSFALVPLTFMFPSMVILRIKGKSRGIWSRIWHWGIIVFSSVLCVATTASAVRLIFNNARIYRFFADM >Dexi1A01G0026010.1:cds pep primary_assembly:Fonio_CM05836:1A:32032650:32035595:1 gene:Dexi1A01G0026010 transcript:Dexi1A01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKAVMGIKAAGKLKLRCPPSVAAAARSRLTPWMLRATTTVLLWTCVVQLTAVGNTWGPRVLKGWPSCRTAREAAAAAAVTTTRLAMPEPVVEKAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDIENFITSLRDEVRILRELPPRIKRRVELGKFHSMPPISWSDISYYHKQILPLIQKYKVLHLNRTDARLANNGCTPKEAEELTRMRYMGFKKTILLDRKLIVELLDQYTSGSLRWDEFSSLIKAVHANRMGSATTRTVIPDRPKEEDYFYANPQECLRDPNLLQTL >Dexi8B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:8B:4942839:4943242:-1 gene:Dexi8B01G0005080 transcript:Dexi8B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAAAVLLPAAAALMLLMLALHPPGQPNWDTNCGDVSVPYPFGFGPSTCYWPGLNLTCDTSYDPPQLLLGDGTLRVTDIFIENATMRVMRAGLILDTTGDVLNSVGFHYALGDALKAV >Dexi3A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:3A:853392:854605:-1 gene:Dexi3A01G0001180 transcript:Dexi3A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVPPRSSPNVAAATTTRVHPSDTAHVISVPAAADDQPPPPVYTVWKRSSMGFQGTDGFSVYDADGALAFRVDNYSRRRKLFAGELLLMDGHGAPLLALRPQDAPPHQHTMPKFQALGYKEASGGEAARFEAAMVRWWPG >Dexi6B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:6B:18287906:18288676:-1 gene:Dexi6B01G0011220 transcript:Dexi6B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLMLVCFCFRGLALVRADISRLPFMNGSIDAVHAGAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADVIPPAIPLLRIARPVMAYS >Dexi9B01G0028440.1:cds pep primary_assembly:Fonio_CM05836:9B:31080542:31084671:-1 gene:Dexi9B01G0028440 transcript:Dexi9B01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIVASQGALLAGAPLADLRRRLPLSPPPPPPLLIAWPLLLSRQTSEIVDLDWDNLGFGIVQTDYMYIAKCGTDGNFSEGEMVPFGPIALNPSSGVLNYGQGLFEGLKAYRKPDGSILLFRPEENALRMRTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPSGKGSLYLRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVIKGNVISTPAIKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRVEYGHQGVGVVAQQLYTSLTSLQMGKTEDWMGWTVQLN >Dexi8A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:8A:25289342:25292068:1 gene:Dexi8A01G0014750 transcript:Dexi8A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGHCILGMGRPTKKLLRWLAPLDETGKGLRFISVVGPAGIGKTTLAMELCKQLSCEPSEGHYNFQCKVMAKASRRANRNELLLRDIISQISDPAPAHSDKPQSMKLELLVSHASELLQDKRYFILIDDMYLRRKSEWEKIKAAFPDNNFGSRILITTRFPSIAWWCCSNSGGFVYMMKPLNKKDSQKLLLLKAFDSVDVSLPDDVKPFVNEFLMRCEGIPLFIVGMADCLKKQLQKQQHHNEQLQQEEDAKDGENPRARICGEEQPPQLPEQIQKALASTFDDIPYELRPLSLYMSMFPYGYRFDKDQLIMKWLCEDLTDDWDEWRNVDHADAEKYFSQLVDRNVLTMVAPSYKSDQDETEACQWHVNYFMQQFLASKAAETGFAFTSATVKLGEGHGNKIRVGRRISIHHQDPCLPSPFDIIDLYQTRSLAVSGRVSRIPLYKFSFVVLDLEGCDSLNDDDLLQVCRSKMFFLQYLSIRNTGVSKLPDEIEELCSLMMLDIRGTKIRQLPKQIAGLRSTLRTLLLGSDKEMRNAVEPATILPLDMLLLHRLSTLATIDLSEYSASFLEALGAMENLRVLAITLFSQQCSDRAYREALLSSIRKLKWLKSLTIHCGLGCSMEYLKALHDPPQDLEILKVTLGRFASVPEWICKLKYLSFIQITIFKQGTDDLKILSDLPKLHFLILGLDFIPEEAIVIESVGFLELQRFSVDCPVPWLTFRTGAMPKLTFLQIKFYAENSQTSVPSGIGSLTSLSEVALCYANSPNIKVTVRTMREQIAKHGNQIELFINGDQDCKVQVDDEEVANTVGTHGGMDVKTTRTDIQSEMKIGMDFGIEVQSINVNMRTTTDIQSEIEVEAEAESEA >Dexi3A01G0034250.1:cds pep primary_assembly:Fonio_CM05836:3A:39471411:39471662:-1 gene:Dexi3A01G0034250 transcript:Dexi3A01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWSGNPPIQLGSDPHRGAGPSRRACVPRAPRGDRELLTLSRSSAATEAVEGAAAEACALVESEKGKGGRCGGMESGRVAVE >Dexi9B01G0025270.1:cds pep primary_assembly:Fonio_CM05836:9B:25472803:25473677:1 gene:Dexi9B01G0025270 transcript:Dexi9B01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMLLGVCAMLMAISAVANAADGQAASVVVGLARCADCTRKNMKVATAFKGLQVAIKCKNTNGEYETKAVSELQSSGAFSVPLAADLHGADCHAQLHSAANAPCPGQEPSKIAPMSGGTFVAIPGKTHYHPSAECASAFLCAPIKKHFLDHFHHKKPVPVPEYKPTPEYHPTPEYHPPTPEYHPPTPEYHSPVPVYGQPKPTPTPIYHPPAQH >Dexi6A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:6A:28549506:28551972:1 gene:Dexi6A01G0021220 transcript:Dexi6A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESMDAARIISYLKGKSILITGSTGFVGKILVEKILRVQPHVHKVYLLVRAIDAPSAKHRIHQEVIGTELFGLLRDKYGEAFDSFIQEKIVPLAGDITSEDLGLDAPTLHDLAKDMDVIVNIAATTNFYERYDVSLDVNVLGVKHLCQFGKRCANLKMFMHVSTAFVSGDSAGVILEKPIRPGETLREGTRLDIDAELGLVSDAKKGLTASGAGDSEERKAMKELGLRRARHFGWSNTYVFTKAMGEMALELHRGADMAVVIMRPSIITSVMSDPVPGWMQGTRTIDTFIIGYAKQTLSSFLADLGMVMDVIPGDMVVNAVLAAAVAHSEEKDAGGGAAVYQVTSSLRNPVTYGVLYRSGCRYFIDHPRVRDDGQVIPNRHMRFFTTIPRFRLYMTLTFKLPLEILHLVNILLCGLLSKLYNDYSRKYKLVMHLVDVYGPFAFFKGCFDDTNLERLRSTMVMQSPEDDMFNFDPKTIDWDDYFYRIHIPGVLKYLCK >Dexi3B01G0026110.1:cds pep primary_assembly:Fonio_CM05836:3B:21043077:21044085:1 gene:Dexi3B01G0026110 transcript:Dexi3B01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTPAGSFHHQRSSAAAPDDPVFPLIAAAQRTLVDADAASGKPPASSAIQFWHPAEPTDGSPGKKALAMLDQGRGGGAGAGSGSGAAATCHDCGNQAKKGCVHNRCRTCCNSRGFDCETHVRSTWVPAARRRERLQLAGGGSGATAGAASPPPSAPAAAKKPRLACQPTTTTGTNSRTSTSNATTPRSFDTSSSHQDASFKDNLPRQVRGPAVFRCVRVTSVDDGSGGEVAYQAAVTINGHLFRGLLYDHGADADGRAATAAAVQLGTSDLHLGSGSAAAPNNLYSGVSAPLILGGLGYGNTP >Dexi7A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:7A:25335122:25337161:-1 gene:Dexi7A01G0015510 transcript:Dexi7A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKEVISEVESAPPTPRPPPVSTPPSQIHSLSPSPRAGPGRSPLRGMASPFRTMASPLRAMATPLASPVRKAVATVRDCLEEVGHITRLADPRDAWLPITESRSGNAYYAAFHNLCSGIGFQALVLPAAFVSLGWTWAIICLTVAFAWQLYTLWLLVRLHEPVAGGVRYSRYMHLATTVFGERWAKFLAFLPLLYLSAGICTALIIVGGGSMKMLFGVACGDACLARPLTTVEWYLVFVCAAVLLSQLPNLNSIAGVSLVGATASVAYCTMIWVVSVAKGRVAAVSYDPVKAPNDVDATLSVLNGLGIIAFAFRGHNVGTMPSTLKHPSHVPMWKGVKVAYAIVALCFYPLAIGGFWAYGNQIPQNSGGILSALYEFHSRDVSRMVLGITTMLVVINCLTSFQIYAMPMYDNMEAGYVHKKNRPCPWWLRSGFRAFFGGVNFLIAVALPFLSQLAGLLGGISLPVTLAYPCFMWVTIKKPRRGTATWNVNWALGIVGMGISVVLIVGNLWGLVEKGLRVKFFKPDAQ >Dexi5A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:5A:2450303:2451701:-1 gene:Dexi5A01G0003330 transcript:Dexi5A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPASSPSSSPGSTKVKTTPSDEPITEEVIIVQEPADRKSTSSSSSSSSSSSESSAESLRHVDVIELGVELLPAPDYDEQAVASSPVHIAGDKPDDWATPEEEKAAAASVPLERGEEVEPDDDRASPEDEHAAAVHSAEVKPDDWAATWPEPPPPPSVDDSFSSDASSGAAAGPTTEAPQVQTMSKPEVAGGEFDPQRIPASVFQPTRTSLSQAEWSMASNESLFSIQGASDVGGPYAASRSHFDFFYDEAMAAAETDSSNLPTVAEGTEPGELAVDSSKEFAAPGSASSRASNGSPGDKKSAAVFRRDESGSGGSSSNFSFAFPILAPTSPKKRDLISSALYQPLEKEYEQPATQLEPPVSAFVEMTTEAERRRSTGCCCCGCCWFDCSWATCCGWWRCCSSCSCCCCRCCSCPSFCLCSWCLCS >Dexi9A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:9A:12733828:12735860:-1 gene:Dexi9A01G0017680 transcript:Dexi9A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRAFWARTCRKAWRILQYPTYDSHARGVKRKWLALPWTDSLETSNPLANGHDGQWKRGPVVSDDRHTCDCECESERLLMSMATYARDHVEVANGAAPFRRLVDSADNLSLRSTASPPPPPTTSPSGRPPRYRRQAEATSPLLFTVVEQPRPSSSPRRRLMEGAAYCPCCLLCNGRAWRLAHAEAMLIRLAPGSISLHDDTPAPPSSRLFPF >Dexi1B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:1B:961535:962372:1 gene:Dexi1B01G0001220 transcript:Dexi1B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding CACILNLVDTFTERRQKKRVEKQGKNRLDNLKKAAKVGALPSRIQLAAKSLPITGTKADLPKKSRKEDLDSVAGMASSATASGGKFEKFPGEKPPKHPGKHRKFLLVAEGKGMGNLGKQQVDVGEASPRTQGSTLNYVLPTIIGTTCSVLFSKSICEHFCKQEIPLCKVKKENQRKKDREMSLKCDKLKPQKKHFKKSSKKKKGLGDLSCDLFFEVITF >Dexi7A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:7A:29491772:29492446:-1 gene:Dexi7A01G0020790 transcript:Dexi7A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKLSALLALAMLALSSSSLALAWEKAETECGSCEKGSPPATGGGLPLPPVTVPNVPLPSVPLPSVPLPSVPLPPVAVPDVPVPSVPLPKLPLPPIIGLPPVSVPPIIGGSPPKTPGGRKGKGCPPPPTPTPPTPAPPSSDKCPIDALKLGACVDILGNEVHIGDANVKCCPLVKGVAGLSAAACLCTALKAKVLDLSVYVPIALEVLLNCGCAVPPGYKCA >Dexi1A01G0030810.1:cds pep primary_assembly:Fonio_CM05836:1A:35980364:35982213:-1 gene:Dexi1A01G0030810 transcript:Dexi1A01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHMSSSFGRALSLTFTRRQQQLVTVPSKVAVDLISAEGQTPRQGKGCTICSVLSFPPLHKVQAASKLPPPKQTRDSSSALSPALPSLSSRLHHSPLRNLFLHCPAKSSKGAAKSARLLLPLSDLSTKYPTSIHPPSFFFFF >Dexi9A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:9A:11416601:11420421:1 gene:Dexi9A01G0016430 transcript:Dexi9A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDPMLDEPPAKRREVDPIAPTKHPWNLPAGIDLPHCSCNPEESYVAIPREANLPIDAEARSAVARVSLAVIAVASIDADGDQLWKASGFIVEFDEASMVGTIFSSATVANMTCCSRRLRSTERWTAFFNLCQEMQKATFLNTYTAIGGPAINRNGRVIGMLFQSVTCTPFLPANIIIRWWEHFKNTGNYCRPTIRVLGVNLHNAQSSPWVDVPTTLHEGLDGLLVELVFPMHRKQL >Dexi9A01G0027920.1:cds pep primary_assembly:Fonio_CM05836:9A:32517084:32520012:-1 gene:Dexi9A01G0027920 transcript:Dexi9A01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAIGRSGPNESPISSRGRPSTPSSNHRPSTPSSNHRPSTPSSNHRPSTPGGTRRSSVGTPSTPRSRANGAGPFKSEPNSPPSAAAQNARPRLSFDRSPRSADSKPVVERRVPKIGTPPDKQPRKEAELQARLESAHEDLKKAKDQLAFIVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRGQHAADLESLVNTTEELERLRRDLSMANEAKKAALGHADDAMKIAEVNAEKVEILSNEVVRLKGLLDSTTASEESKNRETEVLVKNLESEVSSLKMKLEEAKVLEERLAEAEKTIEDLKSQIADAQKVESDIRQQLEEWKEKTGSFEMKLEEVTLSEKFKSDSLASMTEELDRAHSMLQDRESEIEVLKGKTTALEIEVARLLAEVNDSTEHLDASQQEVFGLQTTIDVLRNKLEAAELAASEAMDNEKTANMKIEGLMEEKTKLISELEDAVDREEREKRAVEDLTAALDKASCEAQEAHDRFQKKEDDYEHALAQIGDLKMALKSTEESYEVMLGEANHDITCLRENVEKLETEVSKYKEECESKELDIITASKQSEQEIAALKVEADQVAASLRGAEHELEAINEEKERLQDKLTYTEAAVAEANKAVQEAKAEKERLHEKLADMESAVAEANMAAQEAKTQMEKLQEKLTCTESAVAEADKAVQEAKAESSQLRERLLDKENALQNLTQENDEFRMREADAMKKIEELSALLAEAMTKKHPEEEEKLVVVDEAHNSVREEVTRSVAEHEDKEESDDRKPKLEVDAVDMKSNGDMNHEEKDDSKVEQEELKIERGVQESDKVVFEKETPVENRKQETESSNDELDSKKEDSSTDNLNGTTATEDTPSKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >Dexi2B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:2B:27481887:27483288:-1 gene:Dexi2B01G0017070 transcript:Dexi2B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEQEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAETRLQLLTSPTTTTTWQQSSFASSSSAIERLQVSMRLHRRRHHQQAQAQLDTPEAFTLYNFGNSLGAATPLWWPSPSSSSPSPSPSPTASESSEIMRRPWHQPGAAASTGYSGLWAHMPSSFSYACAGMSDNMDGTCTPPLSSSTGGETVMMAAVGVESSSSTPTASSASATFGSSMDDEIDMLLRQIQCFGENGHVSDEAVYGGMDQYCFRSMDEHEVVDGSVGSWSSCSTPGVDSVFHDYVQGYSQ >Dexi4B01G0022240.1:cds pep primary_assembly:Fonio_CM05836:4B:23914994:23917630:1 gene:Dexi4B01G0022240 transcript:Dexi4B01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAVAAEPAAEKATSYRYWVRESTGDAAPVPAPRKLDAADIAANPAPATLGSAWNKAGTWEEKNLNSWANSRIKDLLGSLGPLEFSTGKASVYEVSKCSGDAFLVIVRNKKRVGYTYELSLKFKGEWLIKEENKKIKGHLEIPEFSFGELEDLEVSVRLSDDKDLSSDEKSQICKDMKTFLSPIQKKLREFEEELKGR >Dexi8B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:8B:24273264:24273786:1 gene:Dexi8B01G0013860 transcript:Dexi8B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNAAAHSMSTFSIKELHGSHMLTVNGYTKTKELAVGEFWRSAVFPVAGHRWSIKYYPNGDTPENAGYVSFYLRLVETNAPDVKARFGFSLLDTRGKPVPSYCHDSSSIKTFSVKGSSRGIRWSSISRLFLSDDTFRVRCNITVFKEVNVDHTAAATSAANHG >Dexi7A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:7A:18048403:18050911:-1 gene:Dexi7A01G0006720 transcript:Dexi7A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLPPALLPLLLLLLLPLAARATAAAGEEFPRDGRVIDLDESNFDAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDTAIKNFVENAGTSFPMFLGFGLNESLITEYGRKYKKRAWFAVAKDFSEDIMVTYDFDKVPALVAIHPKYKEQSVSYGPFEGNFLEDFVRQSLLPLVVPINTETLRMLNDDERKVVLTIVEDDSDGNSTQLVQVLRSAANANRDLVFGYVGIRQWDEFVETFDVSKSSQLPKLLVWDRNEEYELVDGSERLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSMNSLYILIFVIALLAFTVYFAGQDDTPQPRRVHEE >Dexi2B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:2B:29901268:29904114:-1 gene:Dexi2B01G0019700 transcript:Dexi2B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANSVGESVGESPAPVPEQELEPETKPTEPVTKGRGLRRWRRIPREQQHHEGSPVSPVAAGAAAGAGDDLAAQLHKRRLGPGADAPKGKEDAVVEEVESSVASVESSFVPLEASPPPAPTRLDPNLGHLIATAGFSLGAGGTDSDNSDDRTSKFSTAASAPRHDFSSGGFGRDRERTRSRVPGGAAHGKNLRAARGRGASARAAASPVEAENSRSSVESNLRSSNVAHARRSSAGINSNGVHKVLFPDDHQSDDEPPSEVRYTTGGFYKENGSVVGGLGNCDSDANNHHIFDEATHGKFQNGGTRAGLDPYVESIALLQSAQEALENEIQKFVEIRTETDDNSTTHQSETEWSSSPHRDESAEELSEKIKMLESKLEEAAMLISERDSKIHELDALNQIKPQDTVTSLQSDLESLLVEKMEAEIQCFILTRASLDWKTLTNNQFALYETQKSLTGDQKSLETKLRHTENRAMMLEEMVDKLESQCKELSETSEVLKLQARASRASLFCSIQFVLLCVAMGTLLVRFLPSSPEIVPT >Dexi9B01G0021640.1:cds pep primary_assembly:Fonio_CM05836:9B:16378490:16383117:1 gene:Dexi9B01G0021640 transcript:Dexi9B01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEAVAAANGTASRPHAVVMPYPLQGHVIPAVHLALRLAERGFTVTFVNTESVHHQTSRAAAAVDASSGGNIFAGVARASPGLDLRYEVVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRVVVDPPTTCLVIDTFFVWPATLARKHGVPYVSFWTEPALIFNLYYHMDLLAKHGHFKCKEPRKDTITYIPGVPSIEPSELMSYLQETDTTSVVHRIIFKAFDEARAADYVLCNTVEELEPSTIAALRADKPFYAVGPIFPAGFSRSAVATSMWAESDCSRWLSSQPPSSVLYISFGSYAHVTKHELHEIAAGVLAAGVRFLWVLRPDVVSSDDPDPLPEGFAAAAAGRGLVVPWCCQVEVLSHLAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQITNRRLVVREWRAGVSVGDRGAVVADEVRARIEAVMGGGEEGVGVREQVRKLRGTLEAAVAPGGSSRRNFDEFVDVLKRRCGGDGQ >Dexi5B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:5B:6757387:6757979:1 gene:Dexi5B01G0009690 transcript:Dexi5B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHILSVGKVIHMDVVLSCMSWSNYRVELAPSFSVTWATFANCSQPIENNGIYKPAACLSTNTSFIYVITDYSSYRAGKFEPSCGYLAMTPLGGPGMVVPDNASYPDVVKFMRKGFALQFPFTGFEDIRECLADYMR >Dexi5B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:5B:3674442:3675390:1 gene:Dexi5B01G0005430 transcript:Dexi5B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPSHVDDAGAGNGGAESNKERKGLWSPEEDERLFTQITYHGVSTWSSSCRLRWMNYLRPDLKKEPITKREEELIVSLQRSLGNRWSTIAARMPGRTDNEIKNYWNSRIRKRLNAAAKQAEVAAGTEPPPPPPPAAEKKVVEVEPVNNGGTEAGPMPIPARFPVFECQLVDAGGGGGGGCISSAGSGESTPSTTTTSTQQNSGDDSEASVGESNMIHFLSFDDLDYPAGDFLVDLPGAMDAWESELYPANSTSSLY >Dexi2B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:2B:8691532:8692110:-1 gene:Dexi2B01G0008510 transcript:Dexi2B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFVAAEVYIMAELALGLTKTVVEAALNRIQYAIEEEGKLEKAVAQDLAFITGEFQMMQSVLKVANKEHAQKNEVVRTWVRQLRDLAFNVEDWVEFVAHLDKDRSKCAAFWWHVVPAWMVPRCMSPLSRDLDDATAEMKVLKSRVQDVSLRATRYNLFIKDSDLDSSSSTKVIMPATAYDPSSSAFQILR >Dexi7B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:7B:1007465:1008688:1 gene:Dexi7B01G0000390 transcript:Dexi7B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLKNLVVAFLVPLPSILFYLYFVRPGGDADASPLSSWCAEHPLLLANILFFFNVNVLFWLVGLLLSNHWLIDLYWTVIPVMLLHYYRGHPASVADAVRSMVAVALTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSNQPWGIWDVAATATCIAGIIIAHFADTQLHKFVTMNEKLKKLGEPTVPTLEEGLWQYSRHPNYFGEQLWWWGLYLFAWNLGQQWMFVGPLVNSMCLGYVTVLVERRMLKQEHRAEAYKLYQKRTSVWIPWFRKAAPELKQKET >Dexi9A01G0024390.1:cds pep primary_assembly:Fonio_CM05836:9A:20626717:20627014:-1 gene:Dexi9A01G0024390 transcript:Dexi9A01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRRCRRIISPGFFLSPVAIYSDANGEAGETCVEVQGRRIIVFFRLRHGGRSWPMRLGGSVLGICA >Dexi5B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:5B:14520991:14524668:1 gene:Dexi5B01G0016400 transcript:Dexi5B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDAAQSKRAIVLCCMMNVCLCLLFLYFSGSKGQAGSTAFEYGTKFSRTLGWGSDDGEDGSEESIFGTGDPDHVKPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPRERRFNCLIPPPHGYKVPIKWPKSRDVVWIANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANVKMHRDGGTGLAPWPARLTTPPPRLSDLYVTADTFEKDTEMWQQRAENYWSLLGPKVKPDAIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHSWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKSTGIEFIKKYLHALHWEAITVVDAEPSPESEENEMIMIIRKKLWLPDAVSQDSST >Dexi6A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:6A:52361:57937:1 gene:Dexi6A01G0000060 transcript:Dexi6A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGREIGPERGVEVLACVSDPIAGPPLMMAPPSLAEEPCPTPRRTSEEVGNPAGLEETSAVPPTQWLVYSRCRPARVEEAQGGGSQPAAEDFISRITKTTTTVNAPPPIPKRRKKVLEKINNPHYLYRMTTLQAISLLAPVMGADITCQQVPNMKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMVMSS >Dexi5B01G0033400.1:cds pep primary_assembly:Fonio_CM05836:5B:33805625:33806530:1 gene:Dexi5B01G0033400 transcript:Dexi5B01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPTMLLVTALTVAVLAAGALAGNIAIYWGQNGNEGTLEETCATGLYKFVNVAFLPTFGKGQTPVLNLAGHCNPATNGCTGVGADIKSCQSMGIKVFLSIGGGAGSYGLSSRADARNVSRYLWNNYLGGKSESRPLGDAVLDGIDFDIETGVSLYWDDLARALKSYSKRGYKSKPVYLAAAPQCPFPDASLGTALGTGLFDYVWVQFYNNPPCQYSASAGVGGLASAWAKWTSIRAGKVFLGLPAAPQAAGSGFVTTSDLVSQVLPVVRNSTKYGGIMLWSRYYDGLTGYSEAIKSEV >Dexi8A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:8A:3237133:3239269:1 gene:Dexi8A01G0004110 transcript:Dexi8A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRVRLLLGVLPANHGILLLPRGLTPHASSPWHGGAARRYDHQLQQQQEEWEESKAVRVTVWWDIHSCRLPPRVIPGRLGPRVTEALRRAGIRGPVEITAFGDVTSIPLAEQEALNDTGVALSHLPSGCVLCRKDECNQLHISDVVSWIAQNPPPAHFLLISGDEHFANVLHRLRMSNYNVLLSCPSDGSKILHSAATLMWPWEPLVNGVSLVPKYLNQPPDGLSSWYGQYKGSGDDLLLKPKNHMALPRNAMEPEVPKSVVNGIKKVLHFYPEGVRVSTLRKELRRINVCMDGFHSLRKFSALLRAMPDVVKFLDPLPGDNRPTVVQVFKGPVESSEQSNFNRMDSAQSSIEEKHHNVSESEELPSLNDQPSSSESPSPTGKKTQETGVRLSPLEQLSRDQRTVPGLAQQAEPPSHHVEADVTLAGDVPSPPSHAPSIDQRNAAAVDLIKKTERPVNHVEAEKVDTAGTPSSSGAQGDISNKKGLFERVSSLWNWSGRSA >DexiUA01G0013150.1:cds pep primary_assembly:Fonio_CM05836:UA:27363569:27363878:-1 gene:DexiUA01G0013150 transcript:DexiUA01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTALKAMKALEVALQITSVAVIGFFAVANGTVVMSALQRATVVATAVVLRRVPMAGELY >Dexi5A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:5A:6656185:6657195:-1 gene:Dexi5A01G0008870 transcript:Dexi5A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSRDDGPTASPPPLSHAVDWASVPSDILACVCKLLSAVPGRVCFRAVCRSWRAVADDLTHDQRAAVARMPPPWVVIPLESGCCEQFTLASVPTMQSFRWTPPGGAGMRCVGSSGGWIAGAYIGGDRKIRLSLLNPLTDARVDVPATLGRVWYMPKSKDSTREEIVLCSAVQKVAFSPSPTEKNFAVAVLTYPRKGNGDVIVFTRSGCSGWCALADPGPFERGGDYIRAQLDVAYHRGKFYYMSMSNTVWVVDMAVRYPKPEPLATFQPAIPRGLLYGRHHLAVTGDGAVHVVSSSIHCLSSSGVDMLVQRYDPTSRAEQGSPWVKATCLGGE >Dexi4B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:4B:18892202:18893507:1 gene:Dexi4B01G0016730 transcript:Dexi4B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPPRTVLLLCCCLLAFPIPGPLAFESFVGGYGINYGRIANNIPSPDKVVELLRKSKIRNVKIYDADHSVLDAFKGSGLNLVIAIPNELVKDMAANESRPMDWLNQNVKPYFPETRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYNGLKKLHLERQIEIFTPHSEAVFATSYPPSACVFKEELMPYMKPLLDFFATIGSPFYVNAYPFLAYISDPEHIDINYALFKPNIGIDDPNTSLHYDNMFDAQVDAAYAALHAVGHDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENQKWGAGSERHYGLFLPDGRISYDIGLSALLPSSALPSVFNNKVRSCFCPF >Dexi1B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:1B:958214:961043:1 gene:Dexi1B01G0001210 transcript:Dexi1B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGNMRKTKRGGGDLPRSFRKKSYAFKNEWISAALMFYFLKLGDLSDSKQDVWTGLSDEHKSYLSKSVASIVLFNGDRILFSCSGIAMQHQFITKFLTTATLSRALSARTEEHKDLRIQVRLDGTKLYNGYISECDLDNGFAVVQVSGVPDVQVEVEPFQSALESLPHGEVLAVGRDTSGNIMVKIVELNGNSRVSEDDKDLCSKISEEQLDLDSMGYPILPSSMSGDGMILVNSFEKRFGNLYGKGVWSKLGKRAATIDRNVVALASFNGGRRLFACTGFFIERNGSTMILTSASLVRDSGDENKIVDNLRIDVLLKNGCIQGKLEHCNLHYNIALAGIGGPLIDFDANVIGMNFYDTRIGIPYLSCEEICQILASFDTIRY >Dexi6A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:6A:1336876:1337259:1 gene:Dexi6A01G0001500 transcript:Dexi6A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSIKAAASLCFKVDDDGAAAVGRRIKKGSSIGDGLKKEEEAAVVAEAAVDVCLAAAAMAGAALLAWWAVAFHPSYAQLWMVPLGLVLACTPPIVCIALRLSGDGRGGSSRVQPPPPLAAVVVHK >Dexi5A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:5A:1224202:1225526:1 gene:Dexi5A01G0001740 transcript:Dexi5A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILVFLQIVLLLPSFSFSIRTNYTSIFSFGDSYIDTGNLVILYGGLATTAPDALITKPPYGMTFFGRPNGRASDGRLIIDFIAEALGLPLLPPSLAANQSFRRGANFARAFFVNNGFKAITSFNISLTVQLGWFDALKPSLCSSPQECKEYFAEALFIVGELGYVDYGVMLLAGKSVDEVGSHKLIDEGVKTVVVSGIPPLGCAAGNLVLFARQTGGELEPDTGCLKDLNQLSKDHNAQLRRALARLAGDGSGVRIIYADFYSPIIDFALAPERHGFDAGGAIRACCGSGGGSAACPA >Dexi8B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:8B:27750532:27752734:1 gene:Dexi8B01G0016580 transcript:Dexi8B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLFVDPEPPSPSVFLDLPPTPPPPLHDDDDDPGAFDDMVLPYVARLLMDEEATDEDSFFYQYPDHPALLQAQLPFAQILSDAAAIASGDTTTTTSSPSFSVDTDDTGSPGGGEDRRDISISDDSDMVTSAFLKGIEQATKFLPTITNNALFPIDHSSYDAHARGRKNSWHPDAAPEPETERATKIMAPDPYDEEATRQMFDEMMLNERDISMKGVEQQQVPAGDKKRRRGRPRRSSSSITDGDDTVDLHELLLRCAQAMSTDDHRTAHSLLAQIRRHSSPTGDATQRLAHCFAEGLEARLAGNGSRLYNSLMVRPTSTIDFLKAYQLFMSACCCKKVAFAFSNKTIFDAVAGHRRLHIVDYGLGYGFQWPGLLRGLAARDGGPPAVRITGIDLPQPGFRPAFHVEETGRRLGRCALELGVPFTFRGIAAAKREDLVDIAADPADDEVLVVSSLCHFRHLMDESVVVGRASPRDQVLGNIRRMRPDVFIHGVVNGGHGSGYFPTRFREALFFFGAQFELLDATVARDSPERMVVERDMFGAAAMNVIACEGGDRVERPETYRQWQARNQRAGLTQLPLRREVVKVVVDKVRDKYHADFAVDQDHEWLLHRWKGRVLYGLSTWTSRD >Dexi2B01G0021980.1:cds pep primary_assembly:Fonio_CM05836:2B:31630788:31633744:-1 gene:Dexi2B01G0021980 transcript:Dexi2B01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLTATSLLSRTLRLRLGVTSGIPRTFASLVLETPRSRSRPSYSTSSGDPGQGPPPPPPQAMDSPIKVVSHLGGGGGGGSGEGGGAAIDAGKSARRPLSLWPGMYHSPVTNALWEARSSIFERMMDAGNGAAADDAEEQRAPTELLVKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLVVTASVDKMELKKPIRVDTDLNIAGAVTYVGRSSIDIQIEVTQVDQDSDQSDPIALTANFTFVARDSKTGKSAPVNRLSPETETEKQLFSEREARDKMRKRKREEQKGGLENGIHNLRTEAEKLNALLTEGRVFSDLPALADRDSILLKDTRLENSLVCQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNPEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSGTLKNGLKIRNVVPSTEEEARRIMERMEAEGFM >Dexi7B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:7B:20026494:20029953:-1 gene:Dexi7B01G0013550 transcript:Dexi7B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSYEGVLLGMGNPLLDISAVVDEDFLAKYDVKPGNAILAEDKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGVNAHYYEDDNAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFLMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFAKAHGWETENVEEIALKISQLPKASGTHRRITVVTQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVVIQRSGCTYPEKPDFN >Dexi2A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:2A:6025286:6026185:-1 gene:Dexi2A01G0006340 transcript:Dexi2A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHFSNSYYFGHGATTAAYAHCDTHYNNLQHYHGGAAMDASSSFHASTYDPHPYIGDYYCHSSSSTAWSSSSFATLSSHHPQQLHFGGSGGGGGTMDEYYSYQFDGTGVAAMDQFSSLMGAASISSTTSSGNSSSHGSSYFCPQLEAVAADVDAPAMIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGGAAVLNFPVKHVEESLRALALTGGDSPVMALKQRHCIRKRLPKNKKAAAAKEKSSSHGHGKQKQDADSNSCVLELEDLGADYLEQLLASSDK >DexiUA01G0007230.1:cds pep primary_assembly:Fonio_CM05836:UA:13800009:13801422:-1 gene:DexiUA01G0007230 transcript:DexiUA01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQVLSLEDVIVPTTSCHGLRPLVTPSSPKNPSSGAKMTEEAIRQLGELAKSEAAAMAYTEAEAADAFWASSDYGVGDGDFGALDTDACHDAATMPVPCCEQEAWIDSDGACRTAHEQEQAPAPASSSAEPCLSSFVDMSEICPSVLVDKSNGGNNKAEAETTTTPQPAAASAQETPAPGKKGGYDVAYPDRRTVISRYKEKRKNRRFEKQIRYESRKTRADGRLRVKGRFARSGETS >Dexi9A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:9A:5520704:5521374:-1 gene:Dexi9A01G0009230 transcript:Dexi9A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAARAWDPAVSLRLGHPALVLLERCRGAAPFRAILAHLLRLGLAFETFPMSRLLHFATVVSSPRLTREAELLFRHFTPDPNLYIYNLMLSTAAARDSSCSSSASPRRAVALYRSMLASSVHPDERTFLALLRSVERLSAGRQVHAHVVTSGLHSRPYLRNSLIKMYLDAGDVETAELMFCSTPVSDTVSFNIMLSGVEGD >Dexi2A01G0024570.1:cds pep primary_assembly:Fonio_CM05836:2A:36333643:36334395:1 gene:Dexi2A01G0024570 transcript:Dexi2A01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDMEDDEDIWGANTPSSPSASLPQPVSASSPCSAFISTQLSLNSRLHLLSTSAAAGGSSPPHSSGAGAGIYAADDVCRHIDLGGGFGDAAASSPAPFFSYNLDAGAGSGGVAPINAHSVFEDEMCLGPGASWDGVGGSDRRKKRMIKNRESAARSRARKQAYVRELEMEVKLLQEQNQSLRVKYEKLRVSVEVAMPVKKTLQRTPSAPF >Dexi8A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:8A:18868881:18870192:-1 gene:Dexi8A01G0010800 transcript:Dexi8A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQLAAAAAAFITGILALARLANCNTEGDILYAQMMAWQDPLNVFKSWNPILDNPCPWEHVVCDSENYGLGESRYNRTSASTTGRIEEASVPEVVWEQIDWVYTTIVGQSDESCN >Dexi5A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:5A:23549839:23550402:-1 gene:Dexi5A01G0019620 transcript:Dexi5A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALIKFELFVRTEDQTEDEPRGDCLIEGCTELTNFHSSKSYIEHRRLYGPSCALDVKFAVLINATEARIDVEVRLGASDINLKVYAKTSGFREVIRLFQDTAPKPVERRNYLDLYIEGSPGDNPVPGQKEKQVSRSWWKCSFGSGYHCMEEEVADLDIFGEVSVKVNWKSYKKRQS >Dexi9A01G0040530.1:cds pep primary_assembly:Fonio_CM05836:9A:44239684:44241821:-1 gene:Dexi9A01G0040530 transcript:Dexi9A01G0040530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKVGCPPAALPLDRVLVALAANAEQLGRRWEAAVRGRCSKGKGDEVAVEKVEGDGQAIQMHTPLFYATCALGGVLSTGLTHLAVTPLDLVKCNMQVDPSKYKDISSGFRIMFQEEGLGGFFRGWMATLVGYSCQGACKFGFYEFFKKCYSDIAGPENAERLRTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARCLTDGLPKIVQSEDTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAQGATVADANIRWSES >Dexi7B01G0023040.1:cds pep primary_assembly:Fonio_CM05836:7B:27769402:27769911:-1 gene:Dexi7B01G0023040 transcript:Dexi7B01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALEKVRRQGPWEGTAACAARKAGSRRMASQRRATGRRRKRRKGGTRASTSRSRSSGSPAQTAASIGTTSEWWWRGEAEAPTPMPAAAAAEEGGLPLLGNAGGAAVSSSRPRGLVLRIAFGGRDGLVGRAPRGGAITAAASIAGRKRPSGV >Dexi5B01G0030990.1:cds pep primary_assembly:Fonio_CM05836:5B:31815881:31818601:-1 gene:Dexi5B01G0030990 transcript:Dexi5B01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDRAKASAAAAADERGGGGEGLGGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRTLTEHQDSIAGMRFSNLYCDPLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAAALPNNAESEAEADEEALTDKKPKSDRPLHENKAMSVHNADRISGMHHFGADHDQIGGMMYGSSDNGMRSSGNSGQMSFYENIMSNPRMDHFPGKVESSRSFSHLQHGEGFDMFG >Dexi8B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:8B:25613943:25616671:-1 gene:Dexi8B01G0014870 transcript:Dexi8B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAMGGHVMVPLESLSLELPSGEILVSYDKDISALQEEISALRSRQRHLDQRRRETLDKLIDLKGSIRVFCRIRPLIQTNNLKVKSLVTVEQEKITVKSVGIKKEFSVDRVFDQGSTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGIDGKLGIVPRAIQELFSHASEDSSSTYSFSISMLEVYLGSLRDLLAPRQPLLRPMECSTACNLSVLATKSGAVEVEGLTDVAIPDLKKANQWYCRGRRARSTSWTNVNDVSSRSHCLTRITIRRHGATEELSKLWLIDLGGSERLLKTGATGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSEDDVGETVCSLSFAKRARSIESNRDIPEVLKTLKQKRLAELDKEIFDAEEEQKYLNEQIRRTEISLEEKKKLSSSVCQVLSDEKGSPRSTLVVGHIDATESPRPTEKAKIRVSQGSVPHFMSSTVCSRQRHSAGSHSVSKPKLTNPVNRYPAELSGSQSFSYSICKNAAKARSVAFSSSAAKMKSLPVKSDHINISSNSIDSTAASAPRRRESFGSRLVQRAPLHQHRRRMSSLT >Dexi9A01G0043090.1:cds pep primary_assembly:Fonio_CM05836:9A:46605312:46610965:-1 gene:Dexi9A01G0043090 transcript:Dexi9A01G0043090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDRAHRVADEPAAAGRQRSDRKKRKWDQPAEDLVSAAMTAAAVAGLPVINLGALPGVALPGVTAYGAAALPSAASVPFSLPPHIAPSVLQNASAALQKLSQAKIPDEIIAREIVINDADPSVRYKLTKRQTQDEIQRCTSTVIITRGKYHPPSGQSDGEKPLYLHISAGSQANILPSSLFHSPLFYCTHNKLLLIFSDYPSLNIAARIRGPNDQYINHIMKETGVTVVLRGKDSENLSSCLGEASQQPLHLYLTSMHLTSLEAAKVLAENLLDTIAAEFGASRFANLSMLFKILISSSKVYGAVPPPQQLLAGVDTPGPRSDNSQQGSKFVKTGSNSLGDISGASIAPAKKDTLLKLMDYGDDDDDEDI >Dexi3A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:3A:14133972:14138026:-1 gene:Dexi3A01G0018570 transcript:Dexi3A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTAVVVCAAVGVAVVLARRRRRREAELLGSAVAERKRRAAAVIEEVESSLATPTALLRSIADAMVTEMERGLRGDIHAQLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGRENRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINGTVGEDVVSELSRAMERQGLDMKVTALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQPFVLRTPDMSAMHHDSSHDLKILGAKLKDIVGVADTSLEVRYITRHICDLVAERGARLAAAGIYSILKKIGRDKAPSNGSKMPRTVIALDGGLYEHYKKFSSCVETTLTDLLGEEASSSVVAKLANDGSGIGAALLAASHSQYAEVD >Dexi7B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:7B:1497704:1498310:-1 gene:Dexi7B01G0000730 transcript:Dexi7B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPLLLALLCILLCSCLLHAKRPAIITTGTADGNQLWGYVQVREKAHLFWWYYKSPQRVSSPTNPWPTGPASSGRGNFMEIGPLDMNLEPRESTWLKKADLIFVVRQTVPSN >Dexi5B01G0024880.1:cds pep primary_assembly:Fonio_CM05836:5B:26993352:26993743:-1 gene:Dexi5B01G0024880 transcript:Dexi5B01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALASHHRGVAGFPSSAREGGRAGRSGVIISMRAQKKETSGDSGSSSGDGGGVGDGRVSSGRRVWRRRKLGM >Dexi8A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:8A:1819045:1830159:-1 gene:Dexi8A01G0002780 transcript:Dexi8A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRHADEGGQLQLMEPDRVDEEEECFESIDKLRVLSTIPAFKVICGASVGTVIAQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLLKRKSVVRITTGSQALDDLLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHVHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGINQQRRGPHAAGAPPSPSPPPLPTRPSPLRLLPSANGASLSPATLSPPFLRQPPSRRVGLQRRSLLEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKSRQTKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEEGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKEKDTKGKNNLASGDYDEAVKPTQSNGSALRNQSEKSMPPPPPPPQDNNFNGKEKRSSPIARADDDDIFVGDGVDYTVPNKEMSQSPVSEDMDESPHNHQKQSYLTEPLYGPVAPSEPPQAWQQPVYDTVQDQMVAAGYQGDWSGYGYAEQQLGYPEQQLGYPEQYVQQQSIQEYDVLADPNIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEATPKAAFQFGVKMQDGRKTRKQNKDQKLSNDLHKINKILARKKGEKDGTDDGGHYDDDLPSTKKQRG >Dexi4A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:4A:15267829:15268107:-1 gene:Dexi4A01G0013990 transcript:Dexi4A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSSGVCRRIGLSLSSSSIIDGTSDDSGRPRFEHQSRHHHISRRHDSRSPQAGAYPDEVRRGAFPHGKTARRIATAHPRPSAPAVEHRSA >Dexi2B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:2B:20065466:20066097:-1 gene:Dexi2B01G0012900 transcript:Dexi2B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGPTHAFPQEEREAALEELKAVELHHKKLKEELAAYADSDPSALEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGC >Dexi5A01G0023570.1:cds pep primary_assembly:Fonio_CM05836:5A:27522165:27523756:-1 gene:Dexi5A01G0023570 transcript:Dexi5A01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKLGGKSNTGEGGEQPSRMEPLADGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLQMGFVVELFCKRMDN >Dexi6B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:6B:22001929:22003583:-1 gene:Dexi6B01G0014500 transcript:Dexi6B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPARHLRLAASVLLAAAFLLAAAAVPGAMAQEETEDEHEFSYVPGDEHGPAHWGSIKPEWAACGTGKMQSPIDLSHERVSLVRSLGYLRHSYAPAEASIVNRGHDIMVRFEGDAGSLVINGTAYYLKQLHWHSPTEHTVDGRRYDLELHLVHESAEKKAAVIAILYEIGAGHDALLHQLEPFIRRIADKQDREERVGVVDPRRARGRASVYYRYMGSLTAPPCTEGVIWTIVKRVRTVSKYQLELLREAVHDDMEENARPLQDANNRDVSIFRPSPRKHY >Dexi3B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:3B:4059449:4064411:-1 gene:Dexi3B01G0005940 transcript:Dexi3B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSAPLQCDICLLFSHILARRRRLRASIGRCPSPSSRRGPEPSPVLPLRHAQVAHLVIPSSPRCSPLRLGTHSRRTPAHLSSGADRRPVAEPVCLCIRAELARLGIRAGRRPATGPGWLGGQVKGPARLSI >Dexi2A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:2A:31371967:31378014:1 gene:Dexi2A01G0019170 transcript:Dexi2A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMGRLSCRRGGASAASATADDREQPKAEGGNFRKDLFLAYKTLGVVFVLSAIDGLRGPFPTVSKPVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGVYSIVHYYPGIFKAISPHYIVRFFLRNKKEGWRMLGGTVLCITGVEAMFADLGHFSKKGIQIAFLSSIYPSLVLTYAGQTAYLINNLNDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVVLGFGAGNAIGNAFGVVVIMVLLITTIMLSLVMTIIWRTPTVLVLLYFVPFFIIEGSYVSAVFTKIPEGGWLPFAVSMILALIMFGWYYGRQRKMEYEMANKVTVERLAELLARPEVQRVPGLCFFYSNIQDGLTPILSHYIKNMSSLHEATVFVTLRYLLVAKVDERERILVRSLGPTGVYGCTVQYGYADSLAGGDLVAQVTSCLRRHVETEAGAHEAARLEAARNAGVVHVRGKMRFYVGEDAGWFDRVMLGFYEFLHGICRSALPALGTPLQQRVEIGMLYKV >Dexi5A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:5A:24383435:24388435:-1 gene:Dexi5A01G0020570 transcript:Dexi5A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQVAELGPGTACCGWNHCGRRLAAGAVDGSISVYDSHPSPCSKWQAHEQSIVNVIWLPPEYGDAIACVCADGTLSLWEEVAEDNQLPTWRKCKVFEGGNCRILNVHFGLHLGSLKMVTLYSDGQVKIYELLDSLELDKWQLQIWEFEEAHQRWLPLVELDSPEDKGDRVCAVAWAPNIGRLSLRTSITRLYSAMLAYEIIAVATCKGIAIWHIGLNTDPDGRPLAQNVALLSGHNGEVWQLEWDMGGMTLASTGGDGMVKLWQANLDGVWHEQAILDCNGSHV >Dexi3B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:3B:15743381:15743659:-1 gene:Dexi3B01G0020790 transcript:Dexi3B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVEAFLRRGLSKESITKLLIFHLGVLVAPLDRIDEAFDYLEELGLRVMDKGFIYCFRRETRLRKVALYQSLGVCEADVLRAIKA >Dexi9A01G0036810.1:cds pep primary_assembly:Fonio_CM05836:9A:41141437:41149848:1 gene:Dexi9A01G0036810 transcript:Dexi9A01G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLAASGAAVSSSSPLFSSSSRPIVRRGGAPSYVSMRTRRRWQPAAAEAAGERSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKVDALKMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEEPPVAEVAVARSRNVAVTLQRRRGWVHVARTAGAGGGNGLVILRVHNAASGSTVKPMMSNIAAWLMLLALLPHLFFLDATAARQQCFWPGQAPEDAGCLSWRVMVEANNARGWRTVPAQCVGYVKGYMTRGQYLRDLAGVMEQASNYVDQITADEDANADGLDAWVFDIDDTCLSNLPYYETKQFGAYDPLAFKAWASKEACPGIPPVLALFTALLDKGFKVFLLSGRDEETLGPCTAGNLDAEGFSGYERLIMRSPEYRGQSSSVFKSAMRKQLVDEGYRIRGNVGDQWSDLQGDCVGDRVFKIPNPMYFKRGVDDFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVRAHPFHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVAIGQVLLSVRCRDAHAPQAHEALRRAKFKFPGRQRIITSGKWGFTKFSRDEYLRLKREGRVVPDGSNAKLLTWHGSLADRRPGRAVYPPSVAAGSS >Dexi9B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:9B:3640954:3641280:-1 gene:Dexi9B01G0006100 transcript:Dexi9B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASFLAMVMACALVLASNSCHAARHLADTTPEAPAPAAAVPGLPAVPTLLTVPQMPTVTMPPVTTTLPPMPAVPAITVPQVTLPPLPAIPNVDVPMPFLAPPPSA >Dexi3B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:3B:1544990:1546129:1 gene:Dexi3B01G0002220 transcript:Dexi3B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDDTKASMEVSSSSSNSPATPSPPPSVLRSVLVSYAYVGIWISLSFSVIIYNKYILDPKMYGWPFPISLTMIHMAFCATLATVLVRVLRVVDVPTSPPMTPNLYLTTVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDAFRRASMLNMLGISAGVAVAAYGEARFDVFGVTLQLAAVAAEATRLVLIQILLTSRGMALNPITSLYYIAPCCLAFLTLPWYAVELPRLRAAVASSAASPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAKEAERKAAAMAVAKPDDAEAGARLLPEKDGGAGGDHKSG >Dexi9B01G0023890.1:cds pep primary_assembly:Fonio_CM05836:9B:19321693:19327262:1 gene:Dexi9B01G0023890 transcript:Dexi9B01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPFNRLVRLAARAFYDNISLKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKMHNTAAAAAGDSQPVTKEGEEKICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEQLKPLVAQLDRVKNLPAPEFGSLQTWERANIGAFANGDPSAVDSSRNSQGQYGTPMPFMGETKFEVEIGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGETSKASKLDEKTEAKEDKKQDSKDDQSIQEEYIKAYYEALRKKQEEEEAKRRMQQEGEAFVSDSQSERQVGKKHKREDEDEGIEWEEQQPTGNATETYKLADLNAEAQESGDDEDDLVWEDG >Dexi4A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:4A:9470288:9472835:1 gene:Dexi4A01G0011420 transcript:Dexi4A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGDSPSFPPPAPSKGKSKVEEEVDAEAEGAGKMCGICYVDGRRSIPGELDCCAHYFCFVCIMAWGRVESRCPFCKARFHTIRRPPVPGRFPDERIVSVPERNQVYHPQGNGSSTVGVDPYAETICTVCNGSRDDELLLLCELCDAAAHTYCAGLGTTVPEGDWFCKDCATVREEQLRWQAENEGRHGQGEFEISIDVPRAEPVATPSVSDVVDEQYDSGRTDAPSGRFSMDDPVPSIYDIVDDDFTASIFRRPGRKTEDIPSQGTSSAESPCPGSTKGRDNGLSAYHARIRLEVERARTLRNSRNLDKRIRELRENWAALRDGSLSFAPRVPNGRRQDINGSASFAAEHQHHTTPGTVKYRNGAAATSVQRPTHVPDETSTSLGHSEMVSRKDGRDARSETFKEVARTATHTILAPCGFEHSPSRSLALSRPVCKHSPEVKPLKSSAITDSCRECLHCSVKEAISLVLSGRHMDQTGASC >Dexi4B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:4B:15622733:15624739:-1 gene:Dexi4B01G0014530 transcript:Dexi4B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATILVLLAVATASSWTVGAVRPHKSHDYERVFDRQEADRVDALPGQPLQVGFKQYAGYVTANESHGRALFYWFFEATHDVEKKPLVLWFNGGPGCSSVGYGALEELGPFLVQKGKPEISLNPNSWNKDANLLFVESPAGVGFSYTNTTKDLSQFGDDLTATDAHAFLLNWFKRFPQYKGHDFYITGESYAGHYVPQLATKIMEGNKKSHKKDRINLKGIMIGNAAIDSSSDDRGLADYAWDHAVISDELYNTIKTECTFPDNGEEKAPCNKAWNDFFDAIQDIDIYSLYTPACTDTLANASHSNSSLSSWNKLISNTPLSKIHRGRPYNTYDPCVDYHVVDYLNRGDVQAALHANVTGIPYAWSPCSDALTSWGDSVASTLPDIKALAKAGLRVWVFSGDTDDRVPVTSTRYALRKLGLATVKEWRQWFTSDQVGGYTVVYDGLTLVTIRGAGHMVPMITPVQASQLFAHFVDGSEMPDKPVV >Dexi4B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:4B:7602432:7608284:1 gene:Dexi4B01G0010310 transcript:Dexi4B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAVSPTSRPFPLLSTTPAHRLRLLPPRSVSGRRLRPSHRHQGFGCVRDGWEERHSARKNGFFVTSSSSASVEPATQEVGTVVPGEWSGDAIRRRFLEFYAARGHKILPSSSLVPDDPTVLLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTARHQTFFEMLGNFSFGDYFKKEATAWAWELATKEYGLPAERLWISVFEDDNEAFDIWHNEVGVPKERIKRMGAEDNFWTSGPTGPCGPCSEIYYDFYPERGSSEAVCMQDLADDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASLALVSYAKADDAMKTNLKIIGDHMRAVVYLISDGVLPSNIGRGYVVRRLIRRVVRTGRLIGIRGDSNGNSEGVFLPSLAEVVISLSTQIDPDVESRRQSIIGEIQREELRFVQTLGRGEKLLDELLDEALLSAGNNGNKPSLSGKDVFLLYDTYGFPVEITAEIAGERGVTVDMKGFDIEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYESLTATAVVKGLLVNGNPVNEVSEGSEVEILLDRTPFYAESGGQVGDNGFLYVNGREDSKQTAVIEINDVQKSLGNIFVHKGTIKQGSLEVGKEIDASVDVKLRQGAKAHHTATHLLQSALKSVVGSETSQAGSLVAFDRLRFDFNFHRPLSEGELLKIESLVNQWIGNATHLETKVMALQDAKDAGAIAMFGEKYGEEVRVVEVPGVSLELCGGTHVSNTAEIRGFKIISEQGIASGIRRIEAVAGDAFVDYVCARDNYMRRLCSSLKELRATRNEVSSLRSKIAVLKAASLASKATTVEPQNVRIVVENMGDVDADGLKSAAEYLIGTLQDPAAVILGSSPGDGKVSLVAAFSPAVVKMGLQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARAEIVAAVASSSS >Dexi8B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:8B:17223529:17224197:-1 gene:Dexi8B01G0009670 transcript:Dexi8B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHPIISGASIHPTPGLKLTLSDEEIMMHYLHPRAMNEPLPSKSIIVDVDVLSYNPWELLPEGSVGKYYFSQRVPRGTQGKRCKRVASDGFRKASGKEIPIFSYGINGTVPLIVGMKRTLVFYRGKATASQNTEWAMQEYRLAEAGLMPCPVMRLRGGRNLEKCGCASAVIAK >Dexi4B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:4B:136674:137800:-1 gene:Dexi4B01G0000190 transcript:Dexi4B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTRRPPHRRRAAMAPTSSSTLSVSAAPPPSPNPLLFHLRRPLIAAAAALAALLLLAAAYAPWRVDLPPKAALLARPRFYSFDLVREYHHDPDAFTQGLLYGGNDTLFESTGLYHRIML >Dexi5B01G0035610.1:cds pep primary_assembly:Fonio_CM05836:5B:35557291:35558953:-1 gene:Dexi5B01G0035610 transcript:Dexi5B01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLELRSIRITGDGRCLFRSVAYGACLRRGKQSPSDSAQKELADELRAKVADEFVKRRGDTEWFLEGDFESYVRKMRKPHAWGGEPELLMCSHVLRMPITVYMYTSSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPSLVRTQSRLRGS >Dexi8A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:8A:29088863:29091343:-1 gene:Dexi8A01G0017360 transcript:Dexi8A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVHGNGRLKLNGNTNTVTAGSKVPFPPLLGSQLDWYSLFRIIEGVAQGMYCLHEQGIVHLDLKPSNVLLDSDMNPKIVDFGISELLHDNKGDAHCSAAWEAREDGRMDELFDPELLGDESQLMEIKRCIEVALLCTQFDQADRPPMEEFFRCYMD >Dexi9B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:9B:11524995:11531570:-1 gene:Dexi9B01G0016790 transcript:Dexi9B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGGGGKGAAGRMVSLQEFVSSMAPLIDLEKVSFPVPCLMLLLTKAGKGEWMVRARLLVGAQAAEISAESETSAKSLERRGCVIANLKCTDAQVGVSVLYFVAAWLVQTGLMGKTLLEFQPNKGDVLPSHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAVQTGPCANLVPVLFGEKTPMCSKDAMKFSPFNKNLDDSQKNAISKALGSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLARYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVTDVLKNADVVLTTLTGASSKKLNGITFDLVVIDEAAQALEVACWIALLKGPRCILAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEAYGEEITSMLTVQYRMHEHIMNWSSKELYNSKIKAHSSVAGHMLYDLEEVTRSSSTEPTIVLIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVPASDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNAKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSSDKFLKRLIEYFEENGEYLSASEYQSS >Dexi2B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:2B:2283954:2285416:-1 gene:Dexi2B01G0002750 transcript:Dexi2B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRHYDNVAVAAPPSPPSVNGGDRRPKRPRVEDDDDDDDLISALPDDILIGGIVSRLTIRDAVATGAVSARWRHLWKHAPRISLRPSHIFGDVVSASRENDDAQAADRLAAGAGARAPAHAVPPRAHAAVLDQSVEFAASSRTQELHLSLAAGGEHPYDFPHWRFAGGGRLRRLVLSDVGLDVITAQQQLGALEGLPQLTQLHLTRVAVDDAGVASILAACAALTVLELNECHRLVHVAASHDGLRVLNVDGCGILESVAIESTTLLEFAYRGHKVDIKYLHTPTVVRLIIMLAKECPLGCVAIGGGAMPKLKRLFLQFPSPLEARHELQRQGWRFGSLSQLVLLFNTPWREHVATVASLLVAAPFVKELRVEAYGDLPVPPPSKQMIQWPKHSSPRMLESIVVGGFSGEPELMELAFFPLHKSPAIKTLTVDTHRRHFRSNDRGWTREETEDPVRCYYARGVLWKHLAPRIPSTVKLTVI >Dexi6A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:6A:6795819:6799222:1 gene:Dexi6A01G0006960 transcript:Dexi6A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPDRKTPRPSSPTAPAERDWASLPLDILISVFHKLGLREVLLSAERTCTAWRLAAVDPTMWRRIDAGTLSPCSPGGRAIVRAALYRAAGECEAFSGPCDNHLLFDLVQTAPLVKVLHLKHFYAPNKVLNLVLNRLPLLEDLEISPSYVSTGSENLLQSVCQDCPGLKKLRLNCSESFDYVNWNGVILEKIHGRIILMPELRMLELFHCELTTQGLTAILDSCPQLETLHVTGFLVGGKMNQQLWERCAEVKDLTLPDQSVKYFRLPGLRTGPVTRKAGLQEQLHAWHAILNWSTRTSTSYRKELTGITTSAARATPPREEAGGGGGDCSSAPGVGGWAARRHGSRAGAALRRCAGCRWLGGAPAQPRRSRGSATG >Dexi9B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:9B:650733:653104:-1 gene:Dexi9B01G0001130 transcript:Dexi9B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Dexi6A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:6A:18595877:18596506:-1 gene:Dexi6A01G0012310 transcript:Dexi6A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQRLNVVSHYYPPCPEPDLTLGTTRHTDPAFLTVLLQDGVGGLQVLLDRGGGGGRRSWVDVPPLPGALIVNIGDFLQLVSNDRFRSVEHRVLANSSRDTPRLSVACFFNPDDRARLYDPITEGSSDPPLFRGVMVQEFIALFYGKGLQGRPLDYFRLQH >Dexi7B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:7B:13638242:13642698:1 gene:Dexi7B01G0006030 transcript:Dexi7B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTNNFRSRPNAAGSGSRLHRHVGPPPVRQPDCLADAWALLTRAHLSSTRAGSIPARRGSAGVRLTPKNSGVGQLVEKVDLNQADDEPFEMTKEIETRVIGNLLPDDDDLFSAVPGDVRHNTQGNNQDDIDDDIFCTGGGMELEADGNDKPSKVNDGVSHSHTMPNDQLKGECTYGQQSSRILFVRNIDRNIEDYELKFLFERYGDMHTLDASCKHLGFVIISYYDVRSAENAMRALQRKPLRHRKLDIQYFIPKNNPLEKNINQGTLLLNLDPSVTNDDLHQIFAVYGEIKEINNTSDNNRLKSIEFFDIRAAEAARRYALNWSDIAGNKIKLEPSCLGGTKRLMQQMSHVLEQERFDVCKLGSPNSPSPTSFGMHDF >Dexi6B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:6B:18240323:18242443:-1 gene:Dexi6B01G0011180 transcript:Dexi6B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGTAITPAEAAAPFAPRGNNRRPRHLVAASRSQAAPAWRFVLRACASPIATADAPDETVTVSASTRPAPLMHSVEQFETAIAYLKPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYLRQESISDEYDSDH >Dexi8B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:8B:1493508:1493998:1 gene:Dexi8B01G0002160 transcript:Dexi8B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEAAASEQSEILRLKREAKVLMKRTPAEEAKIRRIMREINHTAEEEEPQPQAATGNKRRRKMVIKKTLVPREAIEFMILYPHKPLEGFPEEKLAIYSQEFRESYFRRKAIADNLLEQQRALIMQFRKKGYAEDYKEVEVTDDEDN >Dexi5B01G0022660.1:cds pep primary_assembly:Fonio_CM05836:5B:24936547:24937296:-1 gene:Dexi5B01G0022660 transcript:Dexi5B01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRVALLLLLLTTALLQLQALLATQAEGLVRIQLQKRLADENGGLHDVRRRGFLSSSSNGGVAASENAEAEEEGDIL >Dexi1A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:1A:5516597:5521213:-1 gene:Dexi1A01G0007190 transcript:Dexi1A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDGPPAAAASPLRSFSKVFMHADAVDVALMLLGLVGAMGDGMSTPVMLLIATRIFNDVGTGPDLIHNFPSRMNQNAKNLLFLATANWVAAFLEGYCWTRTAERQASRMRARYLRAVLRQDIEYFDLQAGSTSEVVTGVSNDSLAVQDALSEKVPNFVMNITMFVGSYAIGFTLMWRLMLVALPSVLLLIVPGFLYGRILIGLARQVRDQYTRPGAIAEQAVSSVRTVYSFVAESSTMSRFSAALEESARLGIKQGLAKGLAIGSSGVTFAIYAFNVWYGSRLVMYHGAKGGTVYVVSAGVVIGGTALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSEQDTGQELASVAGEVEFRNVEFCYPSRPESPVFVSLNLRVPAGRTMALVGSSGSGKSTVIALLQRFYDPSAGEVTLDGVDIQRLRLKWLRAQMGLVSQEPALFAMSIRENILFGKEDATEEEVIAAAKAANAHNFISELPQGYDTQVGERGVQMSGGQKQRIAIARAVLKSPKILLLDEATSALDTNSEHVVQEALDLASTGRTTIVIAHRLSTIRNANMIAVVQSGEVKELGSNDELIANETGLYSSLVRLQQTKETREADEVDGIGRTSAMGQSRSHNMSRRFSAVSRSSLQRSMDDAGDHDNTKNPKLPIPSFRRLLMLNAPEWKQALMGSFGSILFGGIQPVHAYTMGSMYSIYFVKDHEEIKKNTRTYALIFVSLAVVSFLLNIGQHYNFGAMGEYLTKRIREQMLEKILTFEIGWFDRDENSSGAICSQLAKGANIVRSLVGDRMSLVVQTVSAVLIACIMGLAIAWRLALVMIAVQPLIIVSYYARHFLLKSMSKKSMQAQSECSKLASEAVSNLRTITAFSSQDRIMRLFEQAQDSPRKEGIRQSWFAGLCLGTSMSLLRCTWALAFWYGGMLMADHKITAKALFQTFMILVSTGRVIADAGTMTNDIAKGADAVASVFAVLDRKTKIEPNNTEGYEPEKIIGEVDIKEVEFSYPSRPDVLIFRGFSLNIQPGKSTALVGQSGSGKSTIIGLIERFYDPHRGVVEIDGRDMKRYNLRAIRRHIGLVSQEPTLFAGTIRENIVYGTETASEEEIETAARYANAHDFISNLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQDCDLIAVLEKGIVVEKGTHESLMAKGPSGTYFGLVGLQQGGNQHLDIA >Dexi3A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:3A:1357920:1358765:-1 gene:Dexi3A01G0001970 transcript:Dexi3A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPCDAHRRLSLQALLALGPGAALPSPTPSSRHDQSSSDDDATITDAAAADDLSPASSVSFHHHQPVHHQPAAAAPPPSPPSPQQRPRREYTCKECGKSFPTNQALGGHAAGHRNRQREAEAMAAAAAAAGIMGANHHDGGAFLAELRRARAVAAPHVCRKCHKEFATGVALGGHMRVHYTGKPIVPKRRKTNNKRALALLPLVEHHGDIAASSSSPGLSLALPINTAEDAPSPSPAGADGSRVVRLFGIDISAQQVQASSSSEQQCSGTTADVSTAQE >Dexi6A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:6A:20057882:20058398:1 gene:Dexi6A01G0013050 transcript:Dexi6A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIGSWVVRELLLRGYRVRATARDPGDSKNAHLLELEGAKEKLSLLRADIMDPKSLRAAFSCHGIFHVASPVSNDPELVTVAVDGARNVMSAAAAEGVRRVVFTSS >Dexi9B01G0022300.1:cds pep primary_assembly:Fonio_CM05836:9B:16970215:16973881:1 gene:Dexi9B01G0022300 transcript:Dexi9B01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGDHCGTHITWVQWAHVGAHLRDPDHTGPCPHLSHPHVPTPLPCLPPDFYRMWLRIHPAMPDAPLLQLHRGLVSRPPCLAWERYRQSPAIVTHKLDDQCDSPPSLPTGPGPVACASTVHYRPWKLIDLGMAIPPVDAGTRGFYTQWVRVRVQHRTHGCTRIRTRDSRAPTQPKTHYKPRGLHAALLVSSRPRLPAATLLTEGPRVRDPRGREVPRRPGDFLLRLSPSSAPQATAPGCSLKAPAQGCTVVAAAAQGHTVHQHPAAAAMLQRPTTEHERVMRTSTAYGAAQEHGNTGDTMLQLLLSHPRAPETRPKPGGCGRRNPPAGFLWVGFLLLHGFGLGRVFLHPHPHPRISREVKEVQAALLQLSAMVLFSERKNGSHSARPVRDHYIMEIGRRVEEIQARLLQNSALVLLS >DexiUA01G0002580.1:cds pep primary_assembly:Fonio_CM05836:UA:5800552:5802320:1 gene:DexiUA01G0002580 transcript:DexiUA01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHHYYDHCYLGLALVLSLLLVAIAGRRHRHGLRLAPGPLQLPIIGSLHHIVWRGWRLPHRALRDLARRHGSDVMLLRIGEVPTLVLSSREAALEVMRTQDAAFASRPMSPTNRAMTNAGRDIMFMPYGDQLRHIRKILIAELLSMRRVLSFRRVREQAVAAMLREVAAMARSSSGPPIDMRPRLSALLSDLTVCAVIGGRWKERELFLQQIDNLNRITVGYNLVDLWPSSRLARRLSSALRRTEESRDIIFRILDGIINEHLERMDSGGGTETTGEAEDILDVLLKMQRDGEISIDMDIIKVIIFELFGAGSETTATVLEWAMAELIRNPRVMQRATAEVRSVFNGHNTVPEQALGELRYLHLVIRETFRLHPPAPFLPRQAPQGTTNKPCRVLGYDVPPGTTVLVNIWALGRDARYWPGGDPEAFRPERFEDGGVVDFKGTDFEFLPFGAGRRMCPGMAFGLAKFELTLANLLFHFDWEAPGLVDPDNFDMSEVFGVSVRRKAGLLLRPILRRPVPGV >DexiUA01G0006650.1:cds pep primary_assembly:Fonio_CM05836:UA:12871723:12880963:1 gene:DexiUA01G0006650 transcript:DexiUA01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVSHTHMRTMAAQLTARTRETARARLSWQLSRIPRMPPHTNTCPSTLSAITGPDRPPFNPMALFKMTDGQSVPRARTGRTVRPPRVAVKERTAYSPLVSPYAPQAQETVPPAPHNPRANASAAGLTRGKTECGAPRAQAAIAASPVAGALVIAFLLMAVKLPLVLPSLLLLVLRHVVIEVEWLTRQGSGIRIAAQQRLSLSPATGVGSASIERVNLSAREKHGDRLLFLFFPSAPACMPPQHSVDLHRQVAKPEQVPTQQLLPTPNTASSLSRLHPLIARHMLHGYMDKLASCFVHHGAPSQTFKLHNLQRRSTSPLSPGRRSVPTVRCAAAHAATATKLSTVDVAVVNGSNDTSSFDFERYLSSKARAVNHALDLALQGLRCPDSLTESMRYSLLAGGKRLRPALAIAACELVGGPPAAALPVACAVEMIHAASLIHDDMPCMDDDDLRRGRPSNHVAFGEPTALLAGDALLALAFDHVAAGCSASSVVPADRALRAVVELAGAAGVSGVAAGQVADMEGEGAVSVGLVGLEYIHVHKTARLVEAAAAAAAGAIVGGGREEEVERVRWYGHVLGMLLQVVDDVLDLTATSEQLGKTAGKDAAAGKATYPRLLGLDGARAYAGELLAKAEAELDGFDGVRAAPLRHLARKATRGLTTDDHSVADLQRGERAAPTERGRSPSMHALVLREYVLLLLNPTMLPPLSRFVSTRSKQLARRAAQPSMAAHAAAACRALREAIHAKLWSSASRLRSCYGFLGAPASAAAVSALAALLCVAAAFPRAAASVLPLAATTALCCAAAGLFAADERGATAKEAVEAIVAVGQGKRPEPGLVQVIGEANASAYGAGGGGGVQVGCFLRRSERNGVDEDGEEVVFAGKLAPCVAGGGGGGEVLGDGGLEEEVEAMRVDRIAEGVWNSYFGGWSTWHDVDAAA >Dexi6A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:6A:20174089:20175180:1 gene:Dexi6A01G0013130 transcript:Dexi6A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSLFKSHREAAAEAPPEGGNAGYLVLKSARDEEDDEMSCLGPTGRVMGLPFPQNRVLRVEYGEEYETVVFVPVPDQPLASNRYYIVVASGYHKGLVMACAREEDVTMCCLCRCIPRMDPRPFDPADVYQQIEISPVQHERGSFKAKAVAADGIPPSIIRSQHWSVYDRESNPKKKIVLGEAPGLDAARRLAYEDVPGTVAAAVGKWYCPCFLIKEHRVTPRDQMGRGGAFYEVVLEQRWEPVRSDAVRHAGDRSKLASKKVFIGGSVEARVEAGSSWHGGAFMWFVAATTGQRRMLWEETKAGWVDEEKDAGSVADGWVVLVERFVVKRMDGGVVVAFDFVHRQYNES >Dexi3A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:3A:528777:529360:1 gene:Dexi3A01G0000660 transcript:Dexi3A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDTVSLPGPKSVDPALTNGKWVEDIQAALSARGEVSIETVMEFPILWNLIEEVELLEDEADIHTWRLSTSGQYTTKSAYDALFEGAARFEPY >Dexi9B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:9B:965469:969885:1 gene:Dexi9B01G0001640 transcript:Dexi9B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGSRAASELLPSLRRRRLRCAPPLSPPCSPDEGERSFLLPSSISLAPDFRSALRHAAPGLYADSSVLLPNRCFAAAADRIRPLARAFCDAPASRADAASGGAPGSQDRTQEVGGQNAASDVLDVAIVGGGMVGLAVACALSNMPLTKHLRVAIIDSNPALKSRNHLTKNSIPDSRVSTVTPATISFFKDIGAWEHVEQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRSQEELDDIESVIYPTRLVSLTFPSKSRQAGKPTSSEQLSTGHATEELLRSNLVKLDLSDGRSLYTKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVVENDCAWQRFLPSGPIALLPVGNNFSNIVWTMSPEESLRHKSMSAEEFVKSVNHALDFGYGPHPSSSALDHYMEKFFSGIGNSAASTKECFEVPPKATGVVSERMAFPLSLMHSHDYVLKGLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAMTAVLDGFQKMYSIDFGPLNVVRAAAFHGAQYISPLKKNIISYAMGDTKWPLFS >Dexi5A01G0039660.1:cds pep primary_assembly:Fonio_CM05836:5A:40176230:40176885:-1 gene:Dexi5A01G0039660 transcript:Dexi5A01G0039660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREARREEAASGSAPISTRQLLALAASPWATAVCSPGVRGTPLMHTRAMAAAIPAARRNPPQPRLIAITTLGRRPSPSASARQAGRSATAYAIAQHPGRYTSACVIARAIDSQIQMQPE >Dexi5B01G0034360.1:cds pep primary_assembly:Fonio_CM05836:5B:34597611:34599584:-1 gene:Dexi5B01G0034360 transcript:Dexi5B01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQHGEIPLLVSSSQYSSARPLSNSVSRPRSRLRAFKRWMRARGVVCSDALRLDAADPLGVHVRAVAPLREGDLVATIPRGACLTPRTTAAAGAIEAAELGGCLALAVAVMYERARGAGSPWDAYLQLLPERECVPLVWPADEAERLLAGTELDKIVKQDKGFLCEDWKECIEPLISSGELDVHPDDFSLDKYFSAKTLVSSRSFQIDSYHGFGMVPLADLFNHKTDGEHVHFTSTSDDSDSEDHNEQSDASADEQSTIENPTNSPSGSRIDDEDLEMVVVKDAKEGEEVYNTYGTMGNAALLHRYGFTELDNQYDIVNIDLAMVTKWCTSIFSSRHARARVLLWRNLGYSGCTSQDAEYFEISYDGEPQLELLILLYIITLKPDVYDKLICVAHDLVGDDEHDTIYNVVKFAEVSSSTQNSELNGLEELLDVKKLLHSESICSALRSLADMRESLYGSNTLEDDEGKLQACCIVSERKLYHSLVLRVSERRILYRFRKYASSRSKTKKRKHP >Dexi9A01G0035760.1:cds pep primary_assembly:Fonio_CM05836:9A:40349501:40354959:1 gene:Dexi9A01G0035760 transcript:Dexi9A01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTAATSGLSTGATPIGFEFDSPALSTMVLTGGARNRWPGGKTALAACRCRLYTVPAVAHLFIVDFGDQLITSYGEELSLAPAQIGAYAMEVNTLKAPQTELVVDSWCIHRQIPGADLPREGRCRRRRRMSFRSLIQEMRDEFGSISRHTLRSRSHRSAGNASRAVAAEPSEAMDQSCWAQLPPELLREVLVRIEASESWWPARRDVLSCAGVCRTWRGIMKEAVRVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTTLQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASKDNGSGNQENDKVILQFGKIGKDLFTMDYCYPISAFQAFAICLSSFDTKIACE >Dexi1B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:1B:2094267:2096261:1 gene:Dexi1B01G0002610 transcript:Dexi1B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNGHTETPDEREIKKQKRKQSNRESARRSRLRKQAECEELGQRAESLRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATGDSIPDMNEQNDGDGSGCQKQPDSDAQPGNGS >Dexi5B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:5B:22305933:22306193:1 gene:Dexi5B01G0020020 transcript:Dexi5B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRGGPAPKGAGADSTARGPPLGGAAPLLRGATPATASGSGEVKRGKSGELGPCRLGTGAERDGEVGEWVGCSVGPALGKGAVE >Dexi3A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:3A:7440742:7441747:1 gene:Dexi3A01G0010440 transcript:Dexi3A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPSPSAPGGCGGDRCASGRDAWPLHHFLHEGVFCRLCSSCILLYRPAAFCSACLHLLSTSGAAASAPPGDPAVAPPGPTAPCSACGLSVAHLSCVQGDPASFVCPPCAAAAENMPFSFTPPPPPGVGGRRTLDERDARVLLVAARLAHDAVSRAAAAARVEAERRVAEAAMARKRSREMLDTAFRALEAEAREAKMRPAPPPPPLPQHPKKKTPKSTEVNRDKDRLLKLNAMQQPALAFAAAAAAAAADTSKPLPITPPSMPLPMPPPPPSREVKQEEQGSAPPVPREVRHPLFGTLHS >Dexi9A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:9A:4895347:4897456:-1 gene:Dexi9A01G0008290 transcript:Dexi9A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHVLVLPMPCQGHVTPLMELSHRLVDHGFEVTFVNTEVDHALVLAALQASGGEAALGGGIHLASIPDGLAGDEDRKDLNKLIDAYTRHMPGHLERLIADLEAAGRPKVKWLVGDVNMGWSFEVAKKFGIRIVSFWPAATACLAFMLKIPKLIEDGLIDDKGLPRRLETSQLAAGMPPLHTSQLSWNNAGAPEGQHIIFDLVTRNNKLNDLAEMSVANSFHEAEAGAFKLFPDILPIGPLFADGEFRKPIGNFLPEDERCIKWLDAQPDRSVVYVAFGSMAIFDPRQFEELAEGLELTGRPFLWIVRPDFTPGLSKTWLHEFNQRVACRGMIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVSFLCWPYFCDQYLNRSYITNVWRTGLAVYPNADGIITKEELRSKVEKVVGDGEIRERARLFKDTARQSVSEGGSSYENLKKLVNLLSA >Dexi9A01G0041960.1:cds pep primary_assembly:Fonio_CM05836:9A:45531956:45532739:1 gene:Dexi9A01G0041960 transcript:Dexi9A01G0041960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGRPRGPNVPRSGGPPKVLPVDVPAISMGELNNITGSFGQKALVGEGSYGKIYKAVLTSGEPVAIKKLDPSVSSDSLADFSAQLSMVSRLKNDYFLQLMGYYLDDSHRILVYQFASHGSLHDTLHGKKGVKDATPGPVLSWAQRVKIAYGAARGLEYLHEKVQPPIVHRDVRSSNVLLFDGYDSKLADFNLTSQPPDGAARLHSTRVLGTFGYHAPE >Dexi1A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:1A:6587378:6589574:-1 gene:Dexi1A01G0008470 transcript:Dexi1A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNRAAAPLVDTSACFCRVDRSSAAAAARRIPVSKACVQPSLRASIHPLKTKASPRPGDRSHDRQRQCPLLPGLPDDLAIAVLIRVPRADHWKFRLVCRRWHRLLAGNYFYALRRRLGLAEQWLYAVTRHGHDGHVSWHALDTSRGGGGAWRTLPPLPPEYAGVGADGFGSAVLGGCHLYLLGGADPRGSGGAIRRVVFYSARSNRWHRAQDMLRRRRCLAACAIGNRLYVAGGETGGGALKSAEVFDPAKNRWSFVAEMAVAMVPFVGAVHGERWYLKGLDAENQQVVSQVYSPATDTWSVVLDGMVTGWRSPSACLDGKLYAVDCKDGCRLKVYDEVADAWVTCFVDSKQHRGSSPAVEAAAIVALQGRICVVRNDMSVLAVDVKAGEGKQQRWEILAGKSHGKGFVTGLLSSLAGRGRAKNHVLHCQVLEA >DexiUA01G0025310.1:cds pep primary_assembly:Fonio_CM05836:UA:53281563:53283268:1 gene:DexiUA01G0025310 transcript:DexiUA01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADVPPPWRRRLILAGHLPPEGKKLVSPRAGSLKRAREEEDFEAALAKFEVEPEVESEDEAQLFTTKGSVVAKVDVDGPNATKKKTQFRGIRRRPWGKWAAEIRDPSKGVRVWLGTYNSPEEAAKAYDAEARKIRGNKAKVNFPDDAPLASQKHLPEATSMEVAKKNTEEKQIVNNLTNSNPEPFMQNEEMSLASLVNDGASIQETMVNLSFDQGDASVELNEFNPYMNFVMDSSDESMNTFLGCDDEPEDVGSSMDLWNFDDMPMTGVIF >Dexi1B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:1B:22936496:22937989:-1 gene:Dexi1B01G0016440 transcript:Dexi1B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTPFGWLPMDPATAAALAGNLPHPFSAAAAHGVVYYLNLPQPLATVSTATAAAVVARQQRQNEEEDAAGVRMVHLLLTSAGAIQAGDHSTAQDSLTQARSILAGLPTSTGIGRIARHFVDALAQRISPASAAALPPPPPPVLADLHNHFYNAGPYLKFAFSTANKAILDAFRGCDRVHVVDLGIMQGHQWPSLIHAFSRRHGGPPHLRITGVGATASGDVLAEVGRRLRQFASSLDVPFTFREVRVDALDGIPGWMLGVVPGEALAINSVLQLHRLLAEDADDTAAIDAVLRLVTSLQPRVFTVVEQEADHNRPALLERFTNALFHYASMFDSMEAVMMGHRLRGGLAGGFGVVTRALAEALLRAEILDVVCGEGSARVERHEPMVRWRERLARAGLAQVLFGPDEARHAAAQLALATRMMVAGGRSAGYGIVECDGALALAWHGRALYAATAWRVATRWWAAAGNAVRDEGGSGRNGSSGGSSNGRGDWASFV >Dexi9A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:9A:5870166:5871831:1 gene:Dexi9A01G0009650 transcript:Dexi9A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSTAMAASPFPTVDKCSSTDRASDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIVLAPLAGLLYYFVSEPAGIQVLIFASMAGAKISDIEAVARAVLPKFYCSDLHPESWRVFSECGRRCVLTANPRIMVEAFLKEYIGTDVVVGTELAVWRGRATGLVRSPGVLVGEQKADALRRTFGDMSPDVGLGDRRTDYPFMRLCKEGYVVPATPKVKPVAREDLPKPVVFHDGRLVQKPSPAMALLTVLWIPIGFLLACLRIAAGALLPMRMVYHAFRALGVRVTIKGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVRGWKGLDPFYFFMNPSPGYVVTFLNKLPGELTCSGGKSSHEVANYIQRIIASTLSYECTSFTRKDKYKALAGNDGSVVSKPNIDKKKVMGC >Dexi2B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:2B:11144474:11145860:-1 gene:Dexi2B01G0010170 transcript:Dexi2B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYVVAILIQVISTGMFVVLKATFDQGFNTFVFTFYGQAAASVLLVPIAVFRERNTFAINTLNVALRFTSATVQSATSNSKPVSTFCLALLLRMEAVNLKSPYGRRGQGTFLKIFGDMAWSLWIVFQAVLLKEFPNKMLVTVTHCVFSTAQTFIVAVVAERDIKSWKLGLDISLLAVLYTVTIINFLQLFSLTRTSKS >Dexi3B01G0033830.1:cds pep primary_assembly:Fonio_CM05836:3B:36637261:36641782:-1 gene:Dexi3B01G0033830 transcript:Dexi3B01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPDFAADRALAKDFLSNFADAHGEPKYLNILQNVANRKIRSVQIELDDLFHYKDVDEEFLQRVTENTRRYIGLFAEAMDELMPEPTETYTVDEDRDILMTQRVDEVGDGGADGTDPLHRMPPEIKRFFEVYIKAFSKMTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEISGIFLPMPYYGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPITSEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMESDLEMARHVVHVHQNLESPALGFTPLEPSVLRQVQYSYSCFTCLVLISAYISAARRVIPSVPRELEEYIATAYSSIRQEEAKSNAPTSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTSSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >Dexi7B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:7B:24318537:24323069:1 gene:Dexi7B01G0018660 transcript:Dexi7B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLKLAFMEHRRRRSQIQSRICLKIPCLKRLSSLEVSACNRLQVIESKAPNLSFFSFGGKPHVRLPLGGTSPIKKLSVSYNDAAFYARTELPSRLPNLEALIIFSHPEVIRAYEEPNSIFLDPLDLRTVPGHLYDKLKFVEIINFSSAKTLIELTCHILGSTRSLERLTLDTTQGFARCSVSKSGKCLLMHKDTLVEAGRALLSVETYIKPNDDVVGAPARKTTDAMNKSVSKCKSTLEEVDVLQLEAPRLRGKPLPLSPEQQ >Dexi6A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:6A:21732156:21733003:1 gene:Dexi6A01G0014400 transcript:Dexi6A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRMQSLLRNVCRAASCGAAARLLEFAAPVTTQLAATQSSSAIQYLRPYGFSHPIGGQIIPHHGLPAASALCAMRGFSTVGSAEVASDEDDSTSPAVEHPPRIKFKRLDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGIGVESVFPL >Dexi5A01G0030710.1:cds pep primary_assembly:Fonio_CM05836:5A:33573402:33573803:1 gene:Dexi5A01G0030710 transcript:Dexi5A01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPTRSRRNKLPRNRARLGVRWCYDRQSSTPTPRMNASIGLRQRYRTENGLSARQGLETPALSKAEQETSAAIARFSIRASGSSSALRWLVPLLRAGGRWQLNSGAVIGTQVGRSRRGGRSGLLAWPLERIS >Dexi4B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:4B:17205554:17207168:1 gene:Dexi4B01G0015420 transcript:Dexi4B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVESAPGASGKPAPCKDSERFELELEFVQCLANPTYINYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIIYPHCLFFLELLQNANFRNAMAHPANKELAHRQQYFFWKNYRNNRLKHILPRPPPEPAPAPVPSQGPATVPIPPSGPTPVAPPVPAPASSMPPVAAGGASAMSPMQFVGTPGTNMPKNDMRNAMGNRKRKYGPQFAIIQQFISSSRYLFPLASLSML >Dexi8B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:8B:20624008:20625023:1 gene:Dexi8B01G0011550 transcript:Dexi8B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRNLVKIITACASIDSRGNDFKAIVYDFMPNGSLESWLHPETHDDQTEQRYLDLAERVTILLDVAYALHYLHSDGPVPVIHCDLKSSNVLLDADMVAHVGDFGLAKIIVDGSSIVQQSVSSMGFRGTIGYAAPEYGAGNVVSTNGDIYSYGILVLEMVTGKRPTDSMFSEGLNMREYVELALHNGVMDAIDMRLSLSLNNEFQGVSEGDSSHKRKTDCVIALLRLGLSCSKELPSNRMPTGDIVKELLVIKGSLS >Dexi8A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:8A:13088219:13090057:-1 gene:Dexi8A01G0009650 transcript:Dexi8A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVLVAALLALFVHLIIKNHRFYCIYNLPPGDFGIPVVGQTFSLLHSLRSNTDDQWFRTRIKKYGPVSKMSVLGSPTVLLAGPAANHFIFTNESLVLTQTRALRSLLGRSILTLNGDELKQVRSALQGYLRPEMVRRYVGTMDEEVRRQIKLNWVGRETVSVLPMARRLTLAVICSVVFGQEAATIVETLAADFQLLGDAILSFPVNIPFTRFGKGMSSSAKIRKAIKRLPRRRKSAHGTTSALITFMIRHLANEPDVLTKITEEQDEIADNKGTADVLTWEDVSRMKYTWKAAMETLRTVPPVFGSFRTAAKDIKYQGYDIPKGWKVFAAQSITHLDSRFFNDPTKFDPARFDNRSSIPPYSFLPFGGGPRMCPGTEFSRVETMVAMHYLVTQFRWKLCFKEETYKKDPKPTPVFGLPVELEWRRPPSTADAWNALYIHSG >Dexi8B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:8B:25731841:25732476:-1 gene:Dexi8B01G0014960 transcript:Dexi8B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKDEKKKKKSGDWRHPVSLVFRIAAMGLAVAAAAVMATASQCTVYVDYDLARPRTITFADFKAFVYLVVATAIAAGLEAVAIFLSVFCKKGKGKKVGKWLMPVLAAVVPALLYTSAGAAFAAGWDIFYYMEPTGRRLSICSSSIGSRFCKQVHVSMWLSLGAALAVSLAELVATWPGGHGGGGGGSGSDGSDSDSDCDSVCGHGCHCKH >Dexi6B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:6B:16275170:16275968:1 gene:Dexi6B01G0010130 transcript:Dexi6B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRSRPYAAAKYSSTSGCHRSFGLNVYRPLSEAASCAAEGEGEEESIRSDGGRPRGRRRMGRLRPTGSEEAARWRAQATRGGRKADGEQSAEAAGRIEKLREEGFSA >Dexi8A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:8A:20298415:20306703:-1 gene:Dexi8A01G0011530 transcript:Dexi8A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDELLDAIRARMAAHEPPLHALVVPSEDAHQSEYVSEQDKRREFISGFTGSAGLALITMEEALLWTDGRYFLQATQQLSARWKLMRMGEDPPVEAWIADNLADEAVIGINPWCISVDSAQRYENAFSKKQQTLFHLSSDLVDEVWKDRPPVEPRPVIVHPVEFAGRTVSEKIKELREKLVHEKSAAIIITALDEVAWLYNIRGSDVDYSPVVHSYAIITLHSAFFYVDKRKVTVEVQKYMAGNGIDIREYKTVQSDVALLASGKLNSSAHVETDVNEVEGSKIWIDSGSCCLALYSKLSPHQVLALQSPIALPKAVKNPTELDGLRKAHIRDGASVVQYLAWLDNQMQENYGASGYFCESKGSHEKKNIETKLTEVSVSDKLEGFRATKEYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHALDILSRAPLWKEGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARTVPLQASMTVTDEPGYYEDGSFGIRLENVLICKEANAKFNFGDKGYLAFEHITWAPYQAKLIDTKLLTPVEIEWVNTYHSDCRKILEPHLDEHEKEWLRKATEPIAVSS >Dexi2A01G0036970.1:cds pep primary_assembly:Fonio_CM05836:2A:46469769:46472029:-1 gene:Dexi2A01G0036970 transcript:Dexi2A01G0036970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDTSRPVRRVRCPRCHSVLEEPSAPVYQCGGCGTSLRAKNRTGNTGDAATTTGSPSRSGLPPQSRHLDSTDVPSTPTSLGATTSRPHATDATSRHGSGDHVSARRHGSGDVASTSSTPTVTISRHQGNDTRSQGESGDLVSARRHDSGDVASTSSTPAADARSSRCQGIDTTSRRESGDLVSETRHVSGDVASTSSSTPDATNSRRQGSDTTKSGDLVSARNRVSEQVARIEQRGHDQTAANQEVFGNPEGRSRARDAGVSVHFAIGNEDAELQDDKEKRMKRQAESTDAARKKHSGDATVQPEYHHRQQELKPAAAPAVQSAAPIVSRKEEDDAVGARGKALSPSRQELQAQNLGPLRQKILKTVDDLKGDLSELFSKSPELNPTPRARPPRLPKQQATRAVSSRLPATRARHAAAAGDVHRGSAVKAGPRGLPSRRYRQCRADPWCHSASCHHGCCGHHGKPECSSCRGYCCRPRTQEPSAPRPPRNNKPPAANETTRKRRPPPRNHCRPVLKGAPFIICSSCFTLVQVPADFAVATKTVRKLRCGSCSTVLSYSYRDPGRRKKDDQLSTDDGSEMHHTEPDPFAPFVDGFGLSSYSTEDEQPLHVSRNSSFGTIDGARGVGRLHRLMGYGSASELLRHSPDLYESFSERTTPDVGHCYDDRKGKGVCVDDVDDDSDEEDDGVLRRSAARGSAWPIGKGMPAPGAIRIK >Dexi5A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:5A:24265782:24266842:-1 gene:Dexi5A01G0020440 transcript:Dexi5A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQASLVPRVKLGTQGLEALKQLPREQVQVGELKKLVEEGKVKYIGLSEASPDTIKRAHAVHPITAVQMEWSLWSRDIEPEIVPLCR >Dexi9B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:9B:11600863:11601805:1 gene:Dexi9B01G0016880 transcript:Dexi9B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPVLGDVIESIAGAIYLDSKCDKEIVWGSMKRLLEPLATPDTLEMDPVAELQELCDSKAYSITYTVTHDNRMSSVVAEVQANGTAYKATQTGLTQPDARKMAAKTVLQIMKAADGTM >Dexi6B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:6B:12491452:12521838:-1 gene:Dexi6B01G0009000 transcript:Dexi6B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGAFLGLLVGVAVVMAFARLENTRAEQRRELPVFLRPRSTATVAVQSGLPYGMLPSGSIMFPKANTIGVVATMSTTSPSTMAPWPIDRVTSCPLSRGFLARRRLQAVRLEAAVCNFLVRRRLQKMLHKMCGFQGLRTEFIVLLAGVAEPNTPTSTFLVERKRKLKWLNQELVKIWPFVNEAASELIKTSVEPVFEQYKSFILASLHFSKLTLGTVAPQFTAVGCCSRGTFVASSGSSPAQFVTVRRGSPELPHVTDLCNRRPSRRPLLAGRPFVPRSSRRPTSVACLVVICRGILCPSWGPNSVARLVVARHGILCPLPVSIIAVKCCYPSVRCSSCLLVVNSFRSSLPSSSVYVSSSSKVEFTLKVIGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPVGVLEVKLVEARDLKNKDLVGKSDPFANNDLNPIWNEHYEFVVEDTSTQHLTVKIYDDEGLQASEIIGCARVDLADLQPGKVKDLCTGLGCLGHGEADAFGGCIAICSGGGGLLLGGLGGSECSLADVQPSRGTAAARTEHEGGGRPQLGPMRTGAESLCLPDGLAGADGWTGGISGKVKDKDSRDRRTRWRAEESHDGGQAATSDDDG >Dexi5A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:5A:10046767:10047617:1 gene:Dexi5A01G0013370 transcript:Dexi5A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKFSLVPLRSAGTPLPPIKPPAPATAAMDPAAAVAGIDDLIEEVLLRSPPDDPAHLARAALVCRRWCRIITGPGFRRRFRERHRAPPMLGFLHRPLPHVPDCGAPCGFAPTSSFCPRNADLDGRYALDSRHGRVLVGVLPSVGGTSETRLAVWDPVTGERLELPEPPLGRERRLFSWNAAVLCASSRDGACDHLDCSRGQFLVVVVGTTILSLFAYVYSSEDGEWSQRANALTPFYPRR >Dexi3A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:3A:9452366:9455079:1 gene:Dexi3A01G0013010 transcript:Dexi3A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSGGVRLQCADTKDWGCCFLALPPAAPAAGVDGDGGFNLSWTLHQSFHPPAGLFASVGQQVGVGFPGASSNAPSRETTRDPYMKYVSPEVVETPLPGEGVELREKGKKKGVKLKIKVGNHHLKRLISGAIAGTVSRTAVAPLETIRTHLMVGSNGNSVTEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEERKIPLPPSLVAGAFAGVSSTLCMYPLELIKTRLTIQRGVYNNFLDAFVKIVREEGPTELYRGLAPSLIGVIPYAATNYFAYDTLKKAYKKIFKTNEIGNIPTLLIGSTAGAISSTATFPLEVARKHMQVGAVGNRKLYKNVLHALMSILEDEGIGGLYRGLGPSCMKLMPAAGISFMCYEACKKILIEEEDE >Dexi9A01G0027050.1:cds pep primary_assembly:Fonio_CM05836:9A:31390345:31390952:-1 gene:Dexi9A01G0027050 transcript:Dexi9A01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYMAPEYAMRGNYSVKSDAFSFGVMVLEIVSGRKNKDSSDSRRSEDILTLVWEHWMAGTVLEIVDPAMDGCFSEDDVRRCIYIGLLCVQGNPGDRPMMSSVVMMLGSNTVSLQAPCKPASFASNVVSDVAASSV >Dexi1A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:1A:2397023:2402819:1 gene:Dexi1A01G0003330 transcript:Dexi1A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSAAESLNPRTDSEKPQSGGAENNEIPDKSAEFDLPESLSLDFYAESLGKLNISAESSSKDESAESATLVLDPSSEYVASDSDIQLLGAVAYLSHMFPNVSADFIVDALKLQEFDVDHTIDMLSHLLKSLLADSERSKLLKRLSEANQYNRFLKRQLQLKDDAVVKFKSELAGLELELQALVGLAEEIANFDVPIGSRKIRGKYIQSHLLSRLEAVHDKIMEQIKDVDSLRPQEISVYWVGMAENVQIMGSFDGWSQGETMSMEYSGDYGRFSATLKLRPGRYEIKFMVDGEWRLSPEYPIAGEGMTQNNILVVE >Dexi3A01G0022850.1:cds pep primary_assembly:Fonio_CM05836:3A:18469483:18470220:-1 gene:Dexi3A01G0022850 transcript:Dexi3A01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSIILSAIVGVLGVLSAIFGFAAEGSNSTNPMPGLAICALVFLLMAQITVSAVSGCCGCCKSRAIPSETKRVIGIICAVGSWIAAVAGCVMFEENAALNFRGYYIPGLYAGAGVLALAATALSIASYMLLRGQPEAAAKMAAGEQPAPSGIAMGQPQFPPAAAPGAPNMQSPPQGQGQV >Dexi1A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:1A:24842111:24842279:-1 gene:Dexi1A01G0017540 transcript:Dexi1A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRHATYSPRRLDRGRSPRLALPPPRLGDKAVVARLALTL >Dexi8A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:8A:12677326:12679025:1 gene:Dexi8A01G0009470 transcript:Dexi8A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPASRGGFAAVKSPRSSSSDSDGSSGGGKKRKHFAWEEAVSHAASGLELQLGDPLPLDWEQCLDLHSGRMYYLNRKTMKKSWMRPRSNKEEQSTLNLELNISMTPSTFYDGKASPVADVNKSMNSNSITSGGHMVAVPCVNCHLLVMLCKSSPACPNCKFT >DexiUA01G0000250.1:cds pep primary_assembly:Fonio_CM05836:UA:1643149:1647279:1 gene:DexiUA01G0000250 transcript:DexiUA01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSPALSNGSHSLTFTATDAAGNTSAPTTAYVINVDAAAPAAPIISAVVDDVGSITGPVTGNNPTNDARPSLNGTAEANATVRIYDGTTLVGTVTADANGNWTLPQTTTTLTEGTHNFTATATDAAGNTSTPSATTTITVDLTAPNVPASLAVITNGTHVTGTAEAGSTVTITTSTGTVLGTATADGSGNFNATITPAQTNGESLLVYATDKAGNAGVSTSVIAPATPVPNAPLITTINDNVGTVTGNLTNGKTTDDTTPTLSGTALPGTTVTLYNNGVSMGTAVADSSGNWTFTTPVLSEGSHAFTATATNSSGTSPVSSATSVIVDLTAPTAPTGTFNADGSVLTGNAEAGSTVSIRLGDGSTVTTIAGSNGTYSYTFLNKQTEGQTLQITATDAAGNTSQPGSALAPVVPLSASNNVEELDISTTATVTNSQYSDYGFLLVGAVGNVLTLLGNDTAQVDFTVGSGGNADIVVNANATGAVLSLLNTLELVVQRWDSVNGTWTTVVDTGQPQFADLLTLGATGVSLNLTGLANGDYRVLSYNTNLLATGSYTSLDVAVKETSAGTVTGDTSLNGNVILDADPTAGSDNAPAGTTVSAVTNALGVTTSVNADGTVVQSQYGTLTINRDGSYTYNLTDTSASVVGRTESFTYTITHNGVSASANLVLSLGAGTTANGIVAVDDTASLTFDTSVHEINNGTSSQGGFTVVGINLGNTLGLNLLDDLSNPIIYNVEEGTTRTMTIQASVGGVALASVFDLYVYKFNNATQTFEQMRVEPGWLRAPLLGGTSSQLTLNLPAGEYLFLLNTAAGITALTAYTLNVLEDHVYSVSSVGETTTGDVLDNDVATGAVVSEVNGVTVNSSGLTDIQGEYGTLSINASGQYTYTLKAGVGADAIGTPDTFVYTITAPNGAKDTASLNITPTARAMDAVNDVSTAMDVTSVHHTTTYSDTTVGTASWTTALLSSTQGSGSGTFVVDPNTALHNVSLHFNVASLLALGGLTVNWSISDGSNVVRSGSFSGGSLLGGNIDIALSGLDLNAGTYTLSYTGSVPGLSVGNITITPSVNGTTYSLTQFDSTSGHTVDGNIFDGTDSAGAMDQLHSVDTRLSVTGYNGVTTTLDPYTGSATVNVVGHYGTLAIAADGHYTYTLNTGVSLSTITSKETFNYTLTDADGKTDSATLTINMAPQFISSEHNDVITGTAYGDTLIYQVLNATAGNATAGNVSSTAGDHWTNFSLTQGDKIDIGDLLVGWNGSSSTLGNYLHVTNSNGNTVISIDRDGSGSTYTNTTLVTLDNVQTTYDELVNQQHIVT >Dexi2B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:2B:11681669:11682960:1 gene:Dexi2B01G0010420 transcript:Dexi2B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRQHAAAAAFLLVVLFIASTATAGGGREGKHGQTSGVYMVMVKPPAQGVDCDAYHLHILAAVLGSEERAKKAMVYSYTTVVSGFAAKLTPAQLAALQKHPEVLQALPDVKYTLQQGDSNHLN >Dexi1B01G0023730.1:cds pep primary_assembly:Fonio_CM05836:1B:29271605:29272573:1 gene:Dexi1B01G0023730 transcript:Dexi1B01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALEKHLADAHVLITTPFHPAYVTASRIARARNLELLLTAGIGSDHVDLAAAAAAGLTVAEVTGSNTVSVAEDQLMRALVLVRNFLPGHRQAVAGVHRARDLEGKTVATVGAGRIGRLLLRRLKPFGCRLLYHDRLRIEPEMEEELGAEFEADLDAMLPKCDVVVMNMPLTDKTRGMFDKERIARMKKGVIIVNNARGAIMDTQAVADACATGHIAGVC >Dexi6A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:6A:3746675:3748739:-1 gene:Dexi6A01G0004060 transcript:Dexi6A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPSASSAPAASSLPLSPADGFLRVKDGVDGMIKYVANEPSVGLYFVQQHAQASMPILLDVKDKLVEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMIKDINRSLQIMSKTQPKRGLIQNPSWGFQSGKSSGTWDELGSTNGNSGRNYLSSMFNTAKQKASSLRWPQPDFTTKDDSSENSASSAAPDSSQAGGQGASTSDMERDAPESSQAGGQGASTPDTERDDRPISNRLSDGTAATHKSLAATDVSDTVETYNKFKEEQELKLQQWLRESEEAEDNRD >Dexi3B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:3B:12185080:12186232:1 gene:Dexi3B01G0016700 transcript:Dexi3B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVEDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVPTEEAKAFAERENAFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSS >Dexi2B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:2B:28991730:28992315:1 gene:Dexi2B01G0018790 transcript:Dexi2B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRAAAAAACGATAEMAGGRNVAEMDRISYSPRKTTAAAAPGAPPMAGGVGWRSETLATAPVPASTQIPRPRRAAKRASASATSRPQGISITWGRIAAAGSASRVITTGGLGLFFDPGGRPLRFLDTSMLAPSPPPEPDTTSAPLLAGSLSSALPRLTPAAAAAAAAVAAALGGRHGGAGGIAAAEW >Dexi9B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:9B:2844121:2845493:1 gene:Dexi9B01G0004960 transcript:Dexi9B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALQAAASSSLSSSSSPAVQPSPLLRRTAATMLTISERRRSVATVRAVAVVAPAAPPPPKLPATGTQSKLASAGTRSLPVSQTMSRLKAQGKTAFIPYITAGDPDLSTTAAALRLLDACGADVIELGVPFSDPYADGPVIQASMARSLAAGATTDAVLAMLREVTPELSCPVVLFSYFNPIVRRGLADFTAAVEEAGVRGLIVPDLPYSATCALRREAMKNNLELVLLTTPTTPADRMKEITEASEGFVYLSGVEMV >Dexi2A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:2A:4715559:4716449:1 gene:Dexi2A01G0005070 transcript:Dexi2A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRLIAASLLALTAIFFLLAGPAAATGKTGQVTVFWGRNKAEGSLRQACDTGTYTFVIISFLNVFGHGKTSLDLSGHPIGPIGADVKHCQSKSILVFLSIGGLGDQYSLPSSQAATDLADYLWFAYLAGHRADVRRPFGGDVELDGIDLFVDHGSPEYYDVLVSRLWSYNKGFRARTPAQLSATVRCRYPDPRLKKALDTGVITRINVRFYGDGYCAAYWEMEWDKWTAAYPNSGIYVGLPASEKTVGYVHPKNLYYGVIPVVQKAANYGGIMIWERYADKQSNYSSYAIQWA >Dexi9B01G0031580.1:cds pep primary_assembly:Fonio_CM05836:9B:33922806:33924139:1 gene:Dexi9B01G0031580 transcript:Dexi9B01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSAAKLISRDRVTDAAATLFSAADDSGQIPDRFVRIRTDELEVAGEDEAFELPVVDMAKLLDPELSASETAKLGSACRDWGFFHVRAVVSLTSHGVDEEVLRRMKESAAEFFRLPLETKNAIAFRGDDTFHRFGHHFNPGPSVGNKLDWAECVLLATQPVQGRKMDMWPANPPSFRDALDKYSLETADLTRRLLGFMAADLGVSEEALVGAFFSISGDVKGQSVGIHHYPPCRQPEKVLGISPHTDWPGLTVLLHAVDTPGLQVRRGGRWLPVRPLPGALVVNVGDILHVLTNGEYMSAEHRVVPDAERCRITVAMFQDASVDGMVAPLPELLEGGQGRARYRSIGKHEYTKGNFVALAEGARFLDSLKK >Dexi1B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:1B:24591537:24592852:1 gene:Dexi1B01G0018330 transcript:Dexi1B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKEPKKARGHVKWDEENLNDIETNKPEREKITEPKTPYHPMIDEDEAFEEQRKVHYDEYHKMKEMLQKGTMTDDADEEESEQDNRKE >Dexi8A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:8A:6689349:6690287:-1 gene:Dexi8A01G0006450 transcript:Dexi8A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRAEFSFPGSPARGLPPRPPVNTVAPRYGEEEVRWLQASRQGSPDYSSGTPSPQLWAYNPDHLQNHHHRAYPASAGCSPSRAQAIAGYRREMLDLVRGLPESAYELSLRDIVEHPLASSSSSPPPPPDPLPQASIHAVPRQADAAMATKAREHELAGGRKEKEAAAAATADVQVQDGNKKQSGFGKKQGRKQRTTMRKQRSLERSVSLDTGLLIKFFLPISIGGKKKVSPKPDAAKDGKKKKEKKEGKKKKKDAAAAPEEEWWGKNEFSEAGSSSRTSSTGSSNSSNGSIRNGPVNGGVNPTAPSRSWSR >Dexi3B01G0036120.1:cds pep primary_assembly:Fonio_CM05836:3B:39029740:39030300:-1 gene:Dexi3B01G0036120 transcript:Dexi3B01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLMLSFLLASAAAVCAGAAGVTNTTVLDTVCSFLGGYYVTPELCTSVLCHDPSSPCRAARDGPAVAALAARLAAANVTAARDSVAAAAAAAAAASTPDAETKAGLRACLQLYTGAAAALEWAAGSVAAGRLAGAREVLQAAQYVSAGCDGMVAGEAMPKENGGFDTMVIVAHAVVASLSTAY >Dexi5A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:5A:23037764:23040058:1 gene:Dexi5A01G0019390 transcript:Dexi5A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDFFVNYKMAQAARLHLRMQKEIKLLQDDPPHGVSLNENSLSSLSSIEARIKGPEGTVYSKGVFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSEPNPDDGLMAEISREYKYNRQVFDTNAQLWTEKYASPSAVDASCWGSVDAGVLAQNMEMENTESQRSLPNPSRKDCEGKQRKMRLLGQKLSLKSDRSEENMKTVKQDPVGGHLPSMAGSTYPTASFADVSGRQDESANMYVRTASVVVSKKEYQGNKNMQLPDQELSVASEAPSKRSNGNDVLPNHLPTSASVANDHVMQSSDDILENSLPRSTGESSDSSYKLPEGNRRNIRTHGLKLSLQPIKPEKKSDDDQKENMAPSHLPPQQGFNKLQKRPLDTVSRKQFSGGSALVQQNPITERQQSNNQVVLNEECNQGRKKLCSLSRRLALKSKQPVVDSASEKEFKPANCSLSNKKPNELPLSAPPRGESMAPNELPLMAPAVLKNEPKALGFAVGQKDAKPGNSSVNQNTVAIENIVVSDSEDSEDERERPQRSRLSLMRRRLAGKLRT >Dexi5A01G0022390.1:cds pep primary_assembly:Fonio_CM05836:5A:26427989:26430355:-1 gene:Dexi5A01G0022390 transcript:Dexi5A01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKRRLARVAAHLVPSFPVTHGTTPPIGPTPTAASSSSSSSSSSSSSPAGDSYRRVHGDVSSEPPEWRAATDETGKTFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGKVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDMTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTAEEADKMGLVNTVVPLAELEQETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >Dexi5B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:5B:8068838:8071519:-1 gene:Dexi5B01G0011370 transcript:Dexi5B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTARRPLLVLLLVAGAAAVAESKQYTPEDRFLLNCGSTTDGLDADGRKWIADSNDNSWLVDSGKSSLMMAADKMDTGLPSTIPYMTARVFTMESVYNFTVNPRDRHWVRLHFYPSSYNGLPADTFHFSVTTSTGVTLLRNFSVFTYSKALSQAYVVKEFSLPPNPAGFLTVAFTPVAVEGNNETYAFVNGVEVISMPELFADPATMVGFADQTVDVAGSVLETMYRFNVGGQYIPPPNDTGLTRHWYDDTPYVLGPAQGVTYKAGPHFQLKYPTELAEYAAPPEVYLGTRSMGSDPRMNQNYNLTWVMPVDGNFTYIARLHFCELLLTRPNQRAFDIYVNNKTAQSDADVIGMTSEKGIPMYKDYAVHVADEPGDDAMWVALHPSVALRPQFYDAILNGLEIFKLNDTGGNLAAPDPEPSSMLARAELGARGLPHPGAHRNMTTVMGGTAGGAAAIGLVVAICVAWYQDKKTKDPTGAVAGGSHTSGWLPLYHSYTSGKSSGHLAANLAGMCRHFSFAEIKVATRNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDGDWVAKVSDFGLSKSGPTTVNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPREQVSLADYAALCQRNGTLPDVVDPAIKDQIAPECLKKFADTAEKCIGEQGIERPSMGDVLWNLEFAMQLQDTFEGGSSCGRRVATAAGGGEGSGKGAPVSVTLDPSNSNGSAASVTTLETSSTTRAHETAVIVEEEEDDEVANSAAFSQLVRPAGR >Dexi2B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:2B:30081814:30083836:1 gene:Dexi2B01G0019930 transcript:Dexi2B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRACWEESSSDDVTRPLLPVHEDQPTGRRGCCSALRPVLANTYLAVASGPLACALICALGDLGGHPAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSADDVSKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITSLFCGDPVKPHLLLLGICGTTVFISMWIHNTPCTVMMVQVATGILQRFPRDQLEAGADARELQRFSKALVLGITYASTIGGMATLTGTGANIILVGMWSAYFPEQRPITFSSWMSFGLPTALVLFVALWATLCLMYCTKNTGRVLSAYLDRSHLRRELSLMGPMAFAEKMVLAVFGALIVLWMTRSLTDDIPGWAVLFDGKVGDGTVTILMTTLLFIIPSGKDDGEKLMDWGKCRKLQWHIILLLGAGFAIADGFKSSGLTDILSAGLGFLKGAPALAIAPAACVFSGLITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGTVGAQLSYLLPTATPGNVVGFGTGYITIKDMVITGLPLKLVGIAALTILLPTLGSLVFGMDSVVRM >Dexi4A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:4A:312784:313156:1 gene:Dexi4A01G0000460 transcript:Dexi4A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKSRSSDRHLDEPAAEEGDVKKPPPLRAGAGALEAVALPVSMVMVQLFTLVMLLLSKLALNTGMRPFVLLVYRNLVATAAIAPLAFIFES >Dexi3A01G0028350.1:cds pep primary_assembly:Fonio_CM05836:3A:28959504:28967405:-1 gene:Dexi3A01G0028350 transcript:Dexi3A01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADANPPPPDAAASPIASISPSSVGAGDAADADTIEKQLAGLGIAAAGGGGFPEPSGWDDVPDSVPVPVPVPVTIGGGDEFAGEKVRGQPAPAGAGPADAKVRFPRRPGEPDCTYYLKFGTCCFGIKCKFNHPSRKKKSSRVRGSGSSGSNSSSNKASSPDDDQAPREEYEGLVPDISDSVVIGTKNGQMEPKVSGKSEKSTYFKKADETNITNQKILKGAKDKRKETFSEGSAQEECKYYSTPGGCKFGKSCKYLHREGKEGKVEVEKVELNFLGLPLRPDQPVCTYYGRFGVCKFGPACMFNHPFNFGPPVPAAGPPLPGQYHPTPGNFTV >Dexi5A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:5A:2925864:2926395:-1 gene:Dexi5A01G0003890 transcript:Dexi5A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLISAAAPPWEGHGGWRARGRRPLSLLLLVAGASAFTGLAYRARDLAFLLFAYYLLALLVCCVAKLEQLRRRRDPAAGAELRRVKSAVSFFSVALANTFTYGVVCKTPAGMAVKLAAVGLALAVFALWLHLMFGSEDTESCDAEHGHGEEQRD >Dexi5A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:5A:5623115:5623705:1 gene:Dexi5A01G0007620 transcript:Dexi5A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATEMQQQAAAQAQRQRPPAVSAEMQKVFSRFDADGDGLISPSELAAVNRAITPPPTSSHAGREVAAMMDELDTDRDGYVDLGEFAAFHARRVGDDDGGGELEAELRAAFDVYDVNGDGRITATELGKVLAQIGEGCSTEECERMIANVDVDGDGCVGFEEFKKMMAPQQGNGAAEAEAEPAAAADLPDKAKKE >Dexi6B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:6B:25389988:25394633:1 gene:Dexi6B01G0018430 transcript:Dexi6B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKAPGAWDLADLEHDGDHAGAAAAAEGHSGGNAAANAAAKVAYRPPGAPECSVDLKLGGLGECAAPARRESASAAVAGKAPVAAAAAVAPGPGAPATKRARPASGGTGQQQQQCPSCAVEGCTADLSKCRDYHRRHKVCEAHSKTPVVVVAGRQMRFCQQCSRFHLLAEFDDTKRSCRKRLDGHNRRRRKPQPDTMASASFIAAQQGTRFSPFAAPRLVDANWPSVIKTEENPYYTHQIPLGTNSSRQHFVGSSSAYAKDGRRFPFLQEGEISFATGVVLEAPAASARQPPPVLKTSSSAPPESSGGGGKAMFADGLTRVLDSDCALSLLSAPANSSGIDVVSRMVRPTEHVPMAQPVVSGLQFGSTPWFSRPHASPGGAAAAAASTAGFPSCAAVEGEQQQLNTVLGSNDNELSYGGMFHGGGGGGGEGSSGDGTTSSMPFSWQ >Dexi1B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:1B:7889456:7900077:1 gene:Dexi1B01G0008920 transcript:Dexi1B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQPKNRSRGPAATGGSGRPPRRMASRDAAERAAFFARREAAAVLRRVLRGDATRRAAGSIKSLVYSPTVRNKRATFALVCQTLKYLPILKEVLGSSGILNSKWKKQEELVVVTAYDILFGQGIAVSGSAEQLIMTHKDTLSNALDRICAKRKVSNVQDLLGKKTEVKPKPRFLRVNTLKTTTDSVVEELGKIHMVDKDDMVPDMLVLPPGTDLHSHPLVTNGKVFLQGKASCMVAVALSPKPGWKVSIFRNGTIWIIVIDACAAPGNKTVHLAALMNGEGSIIACELNKERAKTLQHTVRRSGANNIQTVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISAERLDYLLPSHSRDEQDDASTSSRVLKLSAFQKKALSHALSFPSVERVVYSTCSIHQVENEDVVNAVLPLATSLGFELATPFPQWHRRGLPVFEGSEHLLRTDPEDNLEGFFIALFVRKVACGGVEEPSEGVALEVPRKLARRRRNGVRAFSSLRLSRMILCSNGGLW >Dexi8B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:8B:21311941:21312635:1 gene:Dexi8B01G0012050 transcript:Dexi8B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACHDQVCHCYHGTKENADPFDFGGGHINPESAIDPGLVYDISPQDYTKFFNYTLEPGQDCTNDIGNLYFLNLPSITVPDLMDSVTVWRTVTNVGPVTTTYRAMVEAPTSVTMSVDPSVITFKNGGSQTATFEGMFKARQRIQAGYTFGSLTWLDGRTHSVRVPVAVRTIIHDFIADAS >Dexi1A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:1A:21399342:21401560:1 gene:Dexi1A01G0014690 transcript:Dexi1A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASAPPQPEDSPSAAGGGGDKVLAAAQHIVKSLATDKNAAADMIRILSGFDNRLSSITNDHLFPSPDPSSSGPASEISAVAAAAAFDAAEQLIQLWDATPEALVFEAPDEDVAQYLAAVDVAVDHHARGGPGGARAGVAVQLAMARLEEELRHLMVRHAVPIDPTGLFFSLRRLSLESMDDLDASSEFDAATPHSLDGTPAGPETARGAALASNPFEDQVFDPVRPEAVDELRAIADRMARAGYSRELADAYCGVRRDLLDEYLSVLGVERLSIDEVQRIEWKLLNDKMKKWVHGVKTVVRILLAGERRLCDQVLAASDDLMKECFLESTKGCILQILSFGDAVAVCPRSPEKVPRILDMYEALAEVIPEMRDLCIGSSGDGVISDVQAILDRLGDAVRGNLFEFGKMLQQETSRRAMTAGEIHPMTRYVMNYLRLLVVYSETLDVLLADDDGDHDAFKNSDDQDQEHLETMTPLGRRLLKLISYLEANLEEKSKLYEDAALECIFAMNNLLYIVQKVKDSELGKILGDHWIKRRSGKIRQYSKSYLRISWTKALSYFKEDGHGSGSGSGSGSGSGSGHSSSRMSIKERFKNFNTAFEEIYRNQTLWKVPDPQLREELKISISENVIPAYRAFLGRYAA >Dexi4A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:4A:6718570:6726553:-1 gene:Dexi4A01G0008750 transcript:Dexi4A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRITRGCLLDAGNALGEPPLIVVGADVKEHPRCRRCMMASRSIMPPSDEMDLAGLARDAGTSIGLDLASRNETAAGIPNRRLWVHGKVGDWEFLIHGEEVADLPPTTTRFRRRGQRCCSGGVQWLCSLLPTSKKWGGRDPCRGPAAVAASPCRILHAPPPKSHYRRSSLGPVEWRSTWNWPLFIRLLIITQLDDPSVGGDQFDDILVDFVSRQILELHSVDIRGDRYAMTMLSEAMEQAKVELSSKSEVTVSIPSFSTSAQGPVDLNITLSRQEFENLVDKLIGDIKRKCQSVLEDAKTSAKDIMEIVLIGGMSRVPKIQRIICEVFGQNLHIKVNPEEAVVIGSAIHAALIVQDEQKITDNMIPLSIGIESSRGIFTRIIPRYSTIPTKQTVKIPAWVAYGERKLIKVFWGEHVMVEHNILLGEIEVMKNRRLPYGCSNLELTFEVDKNFVVKVTAKCDDDDESFFAFPIAQKDRSEEHVKKAVKKALLDWRISSREIHARLKNLARHAVNTLGDVLSAKKDELPDSFYKEAVQVFGDLRKSLDGDIDVLRTTVRSASSLRSKILNWLPPLQYHDSEDADYE >Dexi3B01G0024740.1:cds pep primary_assembly:Fonio_CM05836:3B:19393785:19396482:-1 gene:Dexi3B01G0024740 transcript:Dexi3B01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVGRSDGDVEKTRRKKGGFRTMPFILGNDICDRFATAGFGANMITYLTQQLHLPLVDASNLLTNFGGTSSLTPILGALAADSFAGRFWTIIAGSFFYQLGMLGLVVSALLPSLRPAPCSASAPASNHPSCRRASGWQLGVLYLSLLCTSLGSGGLRPCVVAFGTDQFDQEPPETEGKKMKQAGSAAAMAVAERKRRYFNLYFFMMGIAALLAVTVVVYIQDNVGWGWGFGIPAVAMFVSIVVFVVGYPLYVRIKPGGSPFTRLAQVAAAAFKKRKVAVPEDAGVLYQDKELDALISTNGRLLHTDQLTFLDRAAIVTPGDISASGQPDLWRLSTVHRVEELKSIVRLLPIWSAGILLTTAESHNGSFTIMQARTMDRHVAGHFQIPPATMSIFGTTAMLVALALYDRAFVPLARRVTGLRPGITYFQRMGIGLAISILGVATAALVETKRRAAAAEHGFLDDPAGVVPVSVFWLVPQFAIHGVAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSIGHYLGTVLVTVVQRATRGRGDWLQDNINRSRIDSYYWLVTCLMVLNLGYYIVCFHFYTMKPLEFAEEQDGHDKECELSSLEKNGTDAGGVV >Dexi1A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:1A:23266771:23270169:1 gene:Dexi1A01G0016250 transcript:Dexi1A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFAAATAVSSAPAHAARPLAAAPQSVSVARSAAARPLRLASSRSARATRLVARAGGVDDLPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPSQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGDRSMKPDPKGSKEYFAAI >Dexi3A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:3A:10640156:10643437:1 gene:Dexi3A01G0014630 transcript:Dexi3A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIFSVPCLCDTGGKASVSECHLQSATGFLPFPGTQKRYWCRLEDSPLLRTMQRGFANSSTEEERRTGLAAFASVGRQTKDGALGTANAPIHMVTAETGQFKEQLWKTFRSIALMFLVISGIGALIEDRGISKGLGLNEEVQPSVNSTTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILETHMSKVLKADDVDLMTIARGTPGFSGADLANLVNVAALKAAMDEAKAVTMHDLEFAKDRIMMGSERKSAVISDECRKMTAYHEGGHALVAIHTEGALPVHKATIVPRGMTLGMVTQLPEKDQHSVSRKQILARLDVCMGGRVAEELIFGDREVTSGASSDLKQATRLARAMVTKYGMSERVGLVSYSDDNNSMSAQTRGMIDKEVKDILDRAYNNAKTILTKHDKELHALANALLEQETLSGAQIKKLLAQVNKSNNKQEEAAKVPQKSSVTPPPCQSPAVAAAAAATTAAHEGAAKAKGVVGTPAAAQTATNTEGVAGVGS >Dexi7A01G0023460.1:cds pep primary_assembly:Fonio_CM05836:7A:31437629:31441383:1 gene:Dexi7A01G0023460 transcript:Dexi7A01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGAVGAASEMAVGAGEEIPSASRSPSRRFFVALHVGAGFHAPSNEKAYRRAMKRACLAAAAVLREDSGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGVTGSFGSVGAIRVLTIVSIPCRSGVKNPIQAALHLAKDQIAGSSLLGRIPPMFLVGEGAYKWAKSKGVDLFESTLEANSWLVTVNARAQWVKYTSLLVNSKKLLEHNTGSGSEHDSVQVEAPGYLHVFAQPFMEENQDCVMDTVGVICIDSYGNVASGASSGGIALKLIKTKQLVCHFQQISPSVFVTLNIYIFRSQSGPASSCTKIIRSVVESSSKMSHDTGAGLLLVQADVQKVSMLRASEGASSIINHFATRIKIDTKSSED >Dexi1B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:1B:12014414:12020391:-1 gene:Dexi1B01G0011680 transcript:Dexi1B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAHLWDSSRKNDGRYSLEELRNDCRVMDTVLEDLPELRKGDHISCTEGRIHCSLNINTETGRLSVRTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRMLAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHDNKVLLEWHPQPGQEKPPVPLLKSDPGQRGHIEHLIERAAINAPVQGSAADVVMCAMLEIERNARLKELGWRLLLQVHDEVILEGPSESAEVAKAIVVECMSKPFYGTNILKVDLTVDAKCSKSWYAAK >Dexi7A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:7A:18754029:18755490:1 gene:Dexi7A01G0007390 transcript:Dexi7A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSYVDSYISTIGVDFKIRTIEMEGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITYMESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRAVETAVAQAYADEIGIPFLETSAKESINVEEAFLAMSAAIKKSKAGSQAALETKPSNIVQMKGQPIQQQQQQQKSRCCSS >Dexi8A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:8A:440465:440903:-1 gene:Dexi8A01G0000660 transcript:Dexi8A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMERMSSSVQSWVDEHKLATIGGVWAAAAGASVAYSRRGAPQRARSLIHGRKKALTLVVLGGAAAALMHYRNTSNTRRREREQMDLDFYSQLPPATDADGNENERWSW >Dexi1B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:1B:11469591:11471699:-1 gene:Dexi1B01G0011360 transcript:Dexi1B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHADASLLAAYSIGFDGHNAANSQSKSHNPIYRSPLTNTIHLGEVNDLVTQLVTACRMAAATLAANKVDETAVDKESHVIGGLSNGYCKQQGLKETSQMDILRRDCSDPPNINEMDSHWSDVAKDSRPASPTTQALMCDEQDTSFGNDYRSSFPSVSCDQDISEVNAAQENLVLTGLREYLRVIITRGKIN >Dexi4A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:4A:23270411:23274869:1 gene:Dexi4A01G0019480 transcript:Dexi4A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVKREVMATGIATKREFAFNTPMFGAKTFVTYIEPVFSKGGETIGVNYVAMDITDQVKRREKMADIRVREAVQNAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLNKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHVLQTAAASLKKDLTLEGSIGDDVPVEVIGDVLRIRQILTNLISNAVKFTHDGKVGINLQVVHEKQPGCKIEHDKIHKRAYPGTSTTTAADNQCASPRNCGKDMLNSSKHEDAVQNGVPPCENFREDHEGEEVVLLRCDVYDTGIGIPEKSLPLLFKRYMQASADHARKYGGTGLGLAICKQLDVHMPEMDGLQATKHIRSFENTGYWDASVKPEDDRMIADPSISSDCTHEKGEGKRVPIIAMTANSFAESAYECLAAGMDSYISKPVNFHNIKECLQRYLPSQ >Dexi7B01G0024050.1:cds pep primary_assembly:Fonio_CM05836:7B:28453807:28455008:1 gene:Dexi7B01G0024050 transcript:Dexi7B01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGRLEVLLVSAKGLEDTDFLNNMDPYVILTCRTQEQKSSVAKGAGSEPEWNETFIFTVSDDTPQLHLKIMDSDITADDFVGEAAISLEALFQEGSLPPTVHPVVKEEKYCGEIKLALTFTPEVETRHHDSSWN >Dexi2A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:2A:28869803:28870823:1 gene:Dexi2A01G0017110 transcript:Dexi2A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSLLTQQLASSSMGRQPCCDKVGLKRGPWTAEEDHKLISFLLTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKMVIDLHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKPLLIQPVLPGDDPSGSPEVEKAVTEIMPGGFGQDALCVEDEVVTMAHLLDDIVIPGDVVVDAPPAADDSGIGAAYSPDESSSTSSSSSCYSGSAPASSGSSGMVDGEWPEWSQMMEWPESMWLDDVAVTGTTPWEFEDDDPFLTYQRMALFDHQETWNNNSRVELF >Dexi9B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:9B:5230254:5238687:-1 gene:Dexi9B01G0008560 transcript:Dexi9B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDATGLEMGLTGSKATPMSGKEEGTTKDLETVPASLEVNKSSGDEDLGGGSALTGRKRKELTRSSADENGSVTKRVLRSDATRLRAEAEIDSLDMKHCEATLEACKSGVLTATCNGEEALVNMSNVSEETARPENNMEMSGVAETEFAQGGGLGTQSSIAELGDKGVKSPEKISAVTHEEQNEARAGIAILSVDESQTNKVSHGPCQGEVIDPAAANDDSTCLRRISPTSGLEYVEHEDTVVCTEGVVLCSGDQKVEKQSYNDNVCTETEISLTENGRCTVDNHTDLTDCTQHDERGSPVNEIHDVSLSPRDIVFTRRKSISRKSCESKQVECEEELRIEKRVTRSATVRQREISGSSCKTTTNEATLGSKGRKGDIVAHYTRKVSSTVSPKPHHAGLVGCNTSTKKQTVKGKVVDQREPGVTENDNHGNTTENEKSENETKVNLKSQPIVISTSIVEKTTKAAVSVVEQNISGSAVTERNDTEHADSDGVKSEDKTPVQKPVMSVGAKIVASKKRILESGLDKIAGSSPVATPSMKKTRSTSSDPDIEQLNKPSGEKLVAKNCDSGNKRVLRERQHRNQTNLSSRSPNKTNQNAIKLAQDQSDDDEMGRETSYRRTPRGRSRDAAPPVVPKQEDSSDSEGNFVVKKNQQIRKKSERKQKTGSKLKQTSPSNAGRLGRPVLTSCESTSLSLQAGKGKVKVPEDKGKSDRVSSMKIASPSDQINTGSLREEKQKISDQIKTILVDAGWKIDLRPRNGRNYMDSVYIPPSGKGSYWNFPQIIGGVQTAHVNFVMNILVMTLKTLLMILSSLNVEKLIIPAISELVDTWTSKFGFSPLEDSEKQEVKSISMLVFPGAGLLQKPLLKKALPDEDPCPSPGAGPASSANETGKPSDVAIEDSLCSVADG >Dexi6A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:6A:3172919:3174628:1 gene:Dexi6A01G0003530 transcript:Dexi6A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQDDALIFLFLLPLTLLIIIHVASRLRHALTPEAACARLAAAVLSAILNKLPSRALLRQAAEVHVVVTDRSAAHRLLVGGAAATGAFSDRPPSVVPSAVLSRRRHYNINSAPYGPLWRAMRRNLTSELFHPSRLRLYAPARHRALSDLRCASSSSSAGGGVVLAAESLRAAMFGLMSTMCFGSCIDASLVKAMADAQDDLVQCFLGLRVFATLPAITGLIFRHRWRKLVELRQQQEEMYLPLIDARRRDRRANRSHDGEPPAYVDTLVDLRVPDEHAIGGGGSDRRMKRRRKLTDGELVGLCSEFVGAGTEPAAAALQWIMANLAKRPGVQRALREEIDAAVDADAGEVGDEVVARLQYLNAVIMEGLRLHPTVPMVFRQVMAGDHVVVDGRRLPAGTAVLFPLDILAQDKTAWADPLEFRPERFMTGGEGEGVSLVAAAGSAGEMRMMPFGRMCPGMGVAVLHLGYFVANLVREFEWTEADGDHAVDLRPHVGFFTVMQRPLRARLVRRRRQANPLGWD >Dexi3A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:3A:6347361:6348122:1 gene:Dexi3A01G0009140 transcript:Dexi3A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKISLKLLVETRSKRVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYQSVDHMGVSYLQPGADKSELLQPGVLHPDARELLLLPPHAGDKDDGGEADEQQQPRLPKFKLFTCAGQCVTVTMEKDAACPQCKQAMATEMAFVLPSVPPPAATGGAKGGGGAAGDESGGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGNDVELAEKYVKIGMDEGLGLLRAALSSDTVLSDVFLARKK >Dexi6A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:6A:1846876:1849581:1 gene:Dexi6A01G0001920 transcript:Dexi6A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEAPPPPGANPHGEVSVNRSLFCFPANNSASTSSGIFAGEDPLKFYFPLLLYHVCIVFFLSRVIHALLRRSSVPLVISQILAGALLGPSFLGKVFPQAGKLFASPEGWVQINTVGGYAFMLQIFTVGVKTDLGMIVKSGRKAVAIAFFGTAGPHLAMLAAGAALRSRVPATWKATFMLTNLNSWWSLSAFIVVCSTLDDLNLLSSKLGRLAMSAALVGDFANTFSIAGVTSYLLASSPSEKIHRIGIMSLVTFSVFIVSMAFVARPAILRIIRDVPEGALLGEARLVGVLLIAVACSLAGELLGLHATYGPFMLGLMLPGGAPLGVTLDERLDRLVAGVLMPLLFAQGGVRLDVFKLTDASTCLLLEVFLAVGAFAKFVSCVLPCLYSGMSCRESFIEKYVAYRRCTVEHRNVGEELRVLACVHSQADVEPMLALLDASSPTPASPIAVYLLHLAPLAGLTTSVLRPFKHGDRNCVPSGGTDSERIVNAFQFFVHQQALGSASLQPFVCIAPYATMHDDVCAVALDKGATLIVVPFHKRLAIDGSVENTTANASDVKAANLNVLSYSPCSVAFFVDRGSLSVVSTGSVAAAVAVDADGFFFPHRVAMYFLGGADDREALALAAYMAEDAAIGLTVFRFVLPPEWRRKEGSGGDAEEERLDDEVVQEYVQRWVDDHRLLYSENEVRGSDELVAMIRKVSPAADLLIVGRRAECPESPMTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTKAAAGEIGRSPEKTAGQRPESGHV >Dexi9A01G0028810.1:cds pep primary_assembly:Fonio_CM05836:9A:33526405:33528959:-1 gene:Dexi9A01G0028810 transcript:Dexi9A01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRERRLPPPAFRMENPFSLKVLQVFTGFGVGCGVGIGVGRPIYLGMIPGLQQVMSATRGATDAFSGVTRHVNSALRTSGLKNIEAGIGCGVGIGHGFGIGIALKPRVLHGIQSSVGEIMSKLMSKLKDTPEMPSTSSPMARSLSSSQQTNAGMPMDLEAKTAENILKHTTSYEMSRVQQSTQPEALSGSRTEKVIANFLQNPLFQNDTKMDFRDAPGNLQGMDNVLQLVLKHQRVIEELREENENLRQILVEELKVSPTKLQLDRKNGVKAYYPCSDCFECRRRSRKTAR >Dexi6B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:6B:16799723:16800254:-1 gene:Dexi6B01G0010410 transcript:Dexi6B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPPSSSPSATGANPGRNPKRKRKPNPKAAGPSTLNPNWAQLQSKLPQRPAATHLGKRKHDAGPPPQPPTSAEPSPPAEAEVKLEPTSDDASLTKAVAIDCEMVGVGSDGGKSALGRVTLH >DexiUA01G0026260.1:cds pep primary_assembly:Fonio_CM05836:UA:55661367:55662783:-1 gene:DexiUA01G0026260 transcript:DexiUA01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVAGCLVENANRSDIKSVAQQADVTYMVCCLLERLRGAARAAQPRTQKILFEMGRTVMNPLLTLLEVYKNQSTVVYMILKFVVDFVDGQAVFLDAKETSDLVSFCLRLLQIYSSHNIGKVMLSLSSSLRNESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGEGSPDIAE >Dexi3B01G0024150.1:cds pep primary_assembly:Fonio_CM05836:3B:18848549:18859708:1 gene:Dexi3B01G0024150 transcript:Dexi3B01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQLVGRWVESYTGMSADNIKGLLLALFSSLFIGASFIVKKKGLKKAGASGVRAGEALLGTCLLLCFGPGRVPPTGQYSRLRPCFRCAAGVLGLPLRSELRAGWISAALSVALGVGGYSYLLEPLWWAGIITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPEGQIESVTEVWDLATEPAFLLYAAVVLAAAFVLIVRFVPQYGQTHIMVYIGICSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFSFVVISCIVTQMNYLNKLRAAGRNTRRLPVPPSPRGLPLIGNLHQVGALPHRALRALAAAHGASDLMGLRLGQVPALVASSPSSAAAIMREHDGAPTSAPPRSSPTASRTSSSRPTASTGATPTASAPPTSLAPPDPTATPARGSVRSPPWTLSSREEEGKRSELFRELIEENTALLGGFCVGDYFPSLAWADALSGAGARACRNFRRWDELLEKVVQEHEARRHGDGDGDEKEEEDFVDVLLTLQAAERQDDGFELTRDAIKSLLADMFAAGTETTFIALEWAMSELVRNPASMHKLQRELRRSNDAIASATAAPYLRAVVKETLRLHPPVPLLLPRECMRDDVSVMGFHVAKGTRVFVNAWAVGRDPASWSAPEEFRPERFLTEEDREVDFRGAHFKFVPFGAGRRVCPGMQFGIATVEHALASLVRMFDWEMPGGAAPEELDMSDAPGLTAHHAEACAAPARGQAVRLRLLGTGRSEEPTT >Dexi2A01G0029400.1:cds pep primary_assembly:Fonio_CM05836:2A:40481482:40483047:-1 gene:Dexi2A01G0029400 transcript:Dexi2A01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHDGGVMRVVTTTRSGGGNDGDRDDENRLLSRRWRGVWTRLPLLILHAGEDAPPPRVRRFANHMDGVLRGYSDADVDVDNLFVWVDSDTVITNPVRLAAAAANLAARRVTGRLAIFLSPTSADMYQMAGDGEAVLLQLPCLPRVTDFSLTFIGVHLKMPMAGTFASLTSMYIAGVRFTDDGEGISDVVSSRCPRIKILDLLTVRGLRTLTVVSRSLVSLRLCGVMELERLRVVAAELGEMVVDTCFVLNGGADAAMLLVAPALEKLRWEDRYPRELGGPWKLPGCLLKLIVTEVVLPQCLDGARGQSNFTKMLDLFQRVDILRLDVPIARDHANQKSLIENLNIPYCPELEFIASHTKHKFGPTIIRLLKRNICVRKLSIQMSPKE >Dexi5B01G0034630.1:cds pep primary_assembly:Fonio_CM05836:5B:34845394:34849451:-1 gene:Dexi5B01G0034630 transcript:Dexi5B01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGAKMDGRRQSSSSSSFCTTTTVVVFVALCLVGAWMMTSSTVFPLEIASNKKSVVNQLPAPVSFGASEGTGSGNAGDVSGKFEDTDNNDNTIPEEPSNKEAPDEEKFTENTVEKPEEKEQEPPKEREESKDTFDDANGKSEGRSDDVKNDDDGVKSEEKKDDEITNESGDEKPDGERKDDQEEKSEGDTSLEEQPQIEEKVEENGEKEQSLNSNEVFPDADQSELLKESNTQNGSFPIQAAESKNEKEVQASSKSSGDETSYSWKLCNTTASTDYIPCLDNEKAIKKLRTTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDYLLFPGGGTQFKNGALHYIDTIQQALPNIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLAEDVEIWNAMSTLTKSMCWKMVNKTKDKLNQVGMAIYQKPMDNNCYEKRSENNPPLCKESDDADAAWNVPLEACMHKLPVGPMVRGAKWPESWPQRLEKTPFWIDGSRAGVYGKPANEDFEADNAHWKRVVSKSYVNGMGIDWTKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPIDSADTLPIIYERGLFGMYHDCAKPVLIAYRCKLLAVFAEVDRVLRPYGKLIVRDTADTINELESMAKSLQWEVRMTYTKGNEGLLCVEKSMWRPKELEAST >Dexi9A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:9A:8617429:8622482:-1 gene:Dexi9A01G0013390 transcript:Dexi9A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAFDLNTRIFLSLNSKLPYGDKSPRMEFFEAAFKGDLRRLREMASGKDAKAKARLADVCVGGQGPLQAAARMGRLDVVDCMVKELGFDINVGSSETGVTALHAAALDGKLDTVRYLLDNGADPNKKDEPGEVPLHCAAKYGTPLVATLHATSDGLAESIALKCVKLLVEAHADVNSVDPDTPLVVATTHGLTDCIKYPLKAGANPNIPKKCVEKYGRTTKLKLKLDGEKAVERKDYLSASKLYGEEYKGACDAFLAGLKLDPTNADMERMFR >Dexi1B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:1B:3689561:3695127:1 gene:Dexi1B01G0004600 transcript:Dexi1B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAREQEASAHSHSRAPRSPAAAPAMAELRHSTAARASSSPAKRDSDASAASSPFLASPSSRGSRGGEDDDDGKDAHRSSPLLPHHPHHKRVHLLTSPFRSLLALEDPRSPAASSSYRILVAVLALLVAAGVFGAALLWSRLNTPYLCHKEGITLHCPETKEPPSLWENPRAATTSWKPCAERRSDEPSGKFAIHFARRQQYVPSEKETSGYIFIHAEGGLNQQRIAICNAVAIAKIMSATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSINYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAAYRQKEWPRRYKNGSHLWPQALQKRKEGRCPLEPGEIAVILRALGYTSGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELAGAEELAPFRRHVTSLAALDFLVCLRSDVFVMTHGGNFAKLIIGARRYAGHRLKSVKPDKGLMSKSLGDPDMGWASFAEDVVVTHRTRTGLPEPTFPSYDLWENPLTPCMCKA >Dexi2B01G0034540.1:cds pep primary_assembly:Fonio_CM05836:2B:41759282:41759899:-1 gene:Dexi2B01G0034540 transcript:Dexi2B01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSGVAAGIVGGGKKPFQLARSLTYHPHQGHRSAAAARWRRQQLADEPRAQRPQAVVLYTTSLRGVRRTFTDCSAVRAILRGFRIAVDERDVSMDAAFRRDLQALLAVRGRAFALPQLLIGGRLVGGADEVRQLHESGQLRRLLEGAAGQDPAFVCDACGGVRFVPCTGCGGSHKVFVEEEDRVVRCGECNENGLVRCAHCCS >Dexi9A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:9A:14160348:14162760:-1 gene:Dexi9A01G0019250 transcript:Dexi9A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQEEELEKGRTPCAGYKHGPPWVFKGRQGYKLCPALYQLHLVKASTARAFVPRDLRLVEAFGYTLGGMFLARYHDSPAGAFDELVVIAGIVWNPPTSCAWAARVLVNSVEACRHGRKEVGLPSHVATFSKTEASALDNKPLVKSNSFLSVLGIGSSVSKQENRGEIEISETKGSSTKHLCNISLPLTGSNNKHHKWMGPAIRMSLPSFSGQTEDHPDLLKYSCQVEC >Dexi7A01G0021920.1:cds pep primary_assembly:Fonio_CM05836:7A:30388403:30397908:1 gene:Dexi7A01G0021920 transcript:Dexi7A01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDSSTGPPADVPTVLVKTEGVAAGEGSSALLPAAAPTINIPPLPLRCPPFPKSGKRKAVEEWNAECRRISKLATKDGNTIHSCCTGFIVSWNGTKKCARILTSSATVHGLGDHKPKLTQWYLLVQGGTGGPVIDHDGNVIGMAFIAPKPNILAISTILTCIEMWSRFSHIARPVHGLHLRTVELLEVSLLEAISLHHNIHSGYIVDKVDADSTAERLGIRYGDVIVSFDGLQTHTLPQLEDYLLSLGWKFLERSIDSSSLVDLTLEVYDLLGRITRNITLPVEFSDP >Dexi9A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:9A:907703:910938:-1 gene:Dexi9A01G0001720 transcript:Dexi9A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPQGRKAGDGKGGGGGLALASSDDGDNPSAAAELRALWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSRNHDLLTISLQRAMLLLFLAALPIALLWLNVGPILVALGQDPAISAPAAAYARFALPDLAAGVVLQPLRVYLRSQGITRPMAACSAIAVALHVPLSFGLVFGLGFGVRGVAAAQALTNTNMLLFLLAYIRWAGACDDTWRGWARPAAVASGLPGLVRLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTVPMALGACVSTRVGNELGAGKPRRARMAAMVALACALAVGVFHLAWTSALSRQWVELFTTEAAVVRLASAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVYLAFGGGGAGGVGFSGLWYGLLSAQATCVALVLAAVVWRTDWQVEAMRAKKLAGLELASSSSSPAAAAGEAESKRLVAANGEPAEDV >Dexi2A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:2A:12985255:12991770:-1 gene:Dexi2A01G0011170 transcript:Dexi2A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKPHFSPHAAFSSSSPSAAPSAADAEPDFVVFRLLLPPSFSDADTMRLYAAVNPLRRRTASLQVRVEPLDPSAAGGRVVAAVLGPAGPLRRAEASSSSAEPFALSPAQEALVAVLDAEGALYRAEEGPRGGGAPGRATCLLLAEAERFEAATGRGVLGRIAREAGAVVRVVPWEEAAPLPQGHPPEEVVEITGDRTAVRKALVALSSCLQGDQPVDGSATSFNKDSSMLSWASSEVPERNVGVLRSEASTEFAQGSVVKADGSECNTGDARSRNLQQIAFRLLLPINLAGGLIGKKGLIIKGIEDETGACIDVSTPIAGCRERVITICALEITGELMNVRDALCLVCWKLRNHVFSSSGTDCTNGHVPSSDGAESNATSQANIHSTSKYSMDNAHRVDHGPSLSYGMDSVENTFSSLDLTSSEIQKLDHGNGVMINNSDDGIQKPTDRNDVVTKNLNHGILFPEENNLLREVPYAAITRITYETAVSGTILNLVCGDNGNNLAQLTEMSGADIAVYNPPSEGNEAMIVVSGPPEQAQSAQRGLVELILQGQ >Dexi3A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:3A:7749610:7749951:1 gene:Dexi3A01G0010870 transcript:Dexi3A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNEPLMRALSKLINVNSPDLVVFVGEALVGNDAVDQLTQFNQKLADLSAVPAARLIDGILLSKFDTVDDKSYTDLKKLNVKSIVNTLLK >Dexi2A01G0032120.1:cds pep primary_assembly:Fonio_CM05836:2A:42744227:42746327:-1 gene:Dexi2A01G0032120 transcript:Dexi2A01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGAIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTSDGNTKDDLKLPTDEQLLKQIKDGFDEGKDLVVTVQSAMGEEQICALKDIAPK >Dexi1B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:1B:23189122:23189692:-1 gene:Dexi1B01G0016710 transcript:Dexi1B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKAEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGGGGGGSRPPRGGGGGPGGGGTASPRWNLGGGGGGGGSGGGDSKESSPSRQGVPPADWWFEDVSVLRIDHFVRVVTAIKVKAG >Dexi3A01G0026330.1:cds pep primary_assembly:Fonio_CM05836:3A:22526369:22531672:-1 gene:Dexi3A01G0026330 transcript:Dexi3A01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGEHRGKLAGARFDAHGGARVVSRLGVARRTLQPGAKLCGASSCWQAPCRKLLMAPPHRGLSKGINQGLSDGINQSHVVTKRKLAPRPSDRKGKMSKRVNFVKGLIREVAGFAPYEKRITELLKSGKDKRALKVAKRKLGTHKRAKKKINEMANVFRKKRKSGASLTTRTTPRGDLQGWADLPEELLHSFMSLMGSFVQLLSFSGTCQSWRAAFSSYPAKPALCGVLPPLLVRPMDNWDAPNHRGHDGFGLRKCKVIDVVNQKTALWCQIPDLADGELFFAGSSFGQLICVHGQECHVLDVFTGATIHAPLLQSEVKKHARVTCGVLTAPVTSPESHLLLCISFTWFGGFSLLAWHVGSGSWSEAIKCPRIDQVIQFNGQLIARDYNYKLYVLSVVPNLGLEQIISESDDADPTPFVSPWLVACGGMLVMVSRDMNDNLKHEFNAYKLDRSAALAKWLEVSDVGNYTLFSGNDIRCPKLACESPGVWGGSCNHVIYAHEGDPAWSTHEIADEHGIDVMAGLEFRTDHMYENVPSFWVYPSFMYS >Dexi2A01G0028230.1:cds pep primary_assembly:Fonio_CM05836:2A:39511980:39516228:-1 gene:Dexi2A01G0028230 transcript:Dexi2A01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPSSPFRDLSNLRTPRPKPKPVPASKTPLQAPTPLRSAPRPGDGAPTPLDRRLRALEADQSRSARRAESDRERALRAYAGSASSWLSLLLRDPAACGCSPVTTGSAAGAQPCAAGKRDALDGERARGARSPKRRRGGGDRGGERRKEMTPAMVAALRDSLREVCSLDDVTERMEMYMSKDACEEVLVMMTQICKNIDEGRLKMKAHCPLATDLGLKEKAIRIFMCYSPDWLRIGLHIVLGGDSLLQNGLGKRDKEFHFLKRILEKHMFSQIMIAKSSAPKKIVEGHVVQCYSRASDNIILKRIFLFVAALDRAKIESALPLEAGIDGLDGGSPLLFCHQGQVKSSRQIIQDSLGEAMHGEGDLLMHLTTMGYKLNYHQPALSEYDFNIKSLFEDLQDGMILCRVVQLLLSDASVILKVIVPSDTNKKRLSNCTTAIQYIKKARVPLSDSDGVTISAEDIVAGDKELILSLLWNVFIHMQLPLLTSTLLSHELTRLSAPVMSVGFYLFYVRRTEYLRASHMWDCFTTGFSHELDTLADITRHPSSKMGKVLADVLQDQLKTLMNMKLKYERPDTKISATRRGKNDVKYQPQTENKNGSCTNQGLFFILYFANFCR >Dexi1A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:1A:24050181:24054308:1 gene:Dexi1A01G0016760 transcript:Dexi1A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRAKKADAAALPLGSSVFMHADAVDVALMVLGFVGAVGDGMVTPLRLLIASRIANDLGTGPDHIDQFTSKINANVISIVFIACAAWVMAFLEGYCWARTAERQASRMRARYLQAVLRQDVEYFDASSGSTTSEVVTSVTNDSLAVQDALAEKVPSFVMYVTTFFGCYAVGFALLWRLTLVTLPSALLLIVPSVAYGRALTDLARRIRAPYARPGAVAEQAVSSARTVYAFAAENATMARFAAALEESSRLGLRLGLAKGVAMGSNGVAFAIYAFNLWYGGRLVMYHGYQGGTVFVVSSLIVIGGVSLGSALSNVKYFSEATAAADRILEMTRRVPKIDSESCDGEEPACAGGHTVALVGHSGSGKSTAVALLERFYDPTAGEVVMDAVDIRRLRLKWLRAQMGLVSQEPAMFAMSVRENILFGEEDATGEEVIAAAKAASAHDFISQLPQGYDTQVGERGAQMSGGQKQRIAIARAILRSPKILLLDEATSALDTESERIVQEALDVASKGRTTIVVAHRLSTVRSADNIAVVQFGAVQELGSHEQCSSNTSNMLCSSASRSSDRTLSMGDAGDGDGNERPKLPVPSLGRMLLLNAPEWKHALVGCLSAVLSGGIHPVYAYGMGCSFSIYYSKDHAEIKEKTRLYALVSLALVVLSFLLSIGQHYSFGAMGEYLTKRIRERMLAKILTFEVGWFDQDENSSGAICSQLAKDANIVRSLVGDRIALVIQTGSMVLIAFTVDLVISWRLALVMIVMQPFIIACSYARRVLLKRMSTKSIQAQSETSKIAADAVSNLRTITAFSSQDRILRLFSQAQDGPYREAIKQSCGRLMAERLVTVDAVFQTTMILVSTGRVIADACSMTTDIAKGADAVSSVFAILDRQTKIEPNNPEGFKPEKLTGDVEIVDVDFAYPSRPDVVIFKGFCLSIMSGKSTALVGQSGSGKSTIIGLIERFYDPINGTVRIDGRDIRSYNLQALRQRIGLVSQEPTLFAGTIRENIMLGEEAASEAEVEDAARAANAHGFIAGLKDGYETWCGDRGVQLSGGQKQRVAIARAILKNPAILLLDEATSALDGRSAKAVQEALDRVIVGRTSVVVAHRLTTIQNCDVITVLERGVVVEKGTHESLMANGPSGAYFGLVSLQQGGKQH >Dexi3A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:3A:7397187:7398298:-1 gene:Dexi3A01G0010380 transcript:Dexi3A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATATGARAAPVARRQLVAAAVVFVASALFVTSSEAQLQVGYYNYTCPDAESLIETIVHASVRKDAGNGPGLIRLFFHDCFVRGCDASVLLDDPTGTPGNATVEKTSPPNFPSLRGFSVINRAKRVVERRCPGTVSCADIVAFAARDAARIMGGIRFAMPSGRLDGRVSNASEAIANLPRASSNLTQLVARFATKNLTAADMVTLSGAHSIGRSHCSSFSSRLYPQLDASLNATTLGVALRAKCPAATGRRDRVVDLDFRTPLQLDNQYYRNVESHEVVFTSDQSLLDGNDTAALVALYAANRTLWSQQFAAAMVKMGNIEVLTGPPGEIRLKCNKVN >Dexi2A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:2A:6917378:6919555:1 gene:Dexi2A01G0007180 transcript:Dexi2A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEILLMDGIPATFKNMADLTILNLTDNKLNGSIPADLGSITNLQELYLAHNDLSGPIPEQLGQSTSLLRLDLSINNLEGEVPKQGAFRNITEISIVGNKALCGGIPQLHLPECPRKNKKVHLEGGGDDEEGDEARAAAAAEGKGRRLLSTTPAATAIDA >Dexi1A01G0024890.1:cds pep primary_assembly:Fonio_CM05836:1A:31296744:31298256:-1 gene:Dexi1A01G0024890 transcript:Dexi1A01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPRLPLLLKIAAAAAAGALALVVAARLHREDAVASLRREIREALAALVADDEDGDGDGGESAKDDASPPPAPSVLITGFRAHGKSSLVNTACRALAAEDGPLLLRAEASPPGGGTDGPRRRRRVKAVVAGADGDGAGDGDVVELLDAPPLPEAARLSREDIDAAISGGDPECVVLVLRCDAPAKERNAAIKSLPAISAAVRNRGLNLIVVLTFKKAMRSIRQAEELLREVSFRARTDCVYFIENYTWSNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQAKDKKDNSKQAKPEEPKLKNPPAEAKQYRKFNDSSVKLFFNSSET >Dexi6A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:6A:25800472:25803538:-1 gene:Dexi6A01G0018010 transcript:Dexi6A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSDDPGVSPGTSAAAGGEIWGTWEELLLACAVRRHGTDSWDSVAMEVQSRCPAAAASRLTPTGCRLRFRLLHRRFAAGGAEKDGGDEDPDAAAAEEWVEELRKLRVAELRREVERYDLSIGSLQSKVKRLKEERERSISGEANPPSVKAEEDEEEPAEGKGSLEEDAAGGEDRVSGGESGRSCKESNSSDLKRPADDAGVASAAGDGAAAAREEEEEAAARESLDVKREQVSGESVAGSKEADKESSDVQSSASPSRRREREGGGGCGGGGEEAEAEASASPSARAALPAAEAEALLAFLESVRTSKPGSVFERRLESQDDTKYGSLIRRHVDLETIRSKLEAGGNACYGSASEFYRDLLLLCANALVFFPRGSPEHAAAARTRALVSKHMAASLSKDQPGTAGKSAVAPPSKKAKAEADVGSLLEKTAPIIVCRKRSSIAKAAAAASKEEKVDKGETEREEEENEAGKKKKAGVAKDKARGLRTNKTRAAPVKKAAPNQKKGDKGSDSDTPAEGTKKPDKKGGTGAAGSGAAVAKKRNAVNFLNRMKQGSAPSTERVSLLETLKLSAAAEQKKAGKGSEGKKETAGGSGSKRGTPPGRRNVGRPSKRAAAPPSPPPAKRGRGGGGKRGGKK >Dexi3A01G0014690.1:cds pep primary_assembly:Fonio_CM05836:3A:10780128:10785908:1 gene:Dexi3A01G0014690 transcript:Dexi3A01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSFPQDDAAADPAPPPPAAEKEKPKKGGKKGKKGGKAAALDDDDYEPPPPPPPAAHEDDDEPVNLVFTGKKKKKKGAPAPATSFSAFNALEEEADDDQDEEEEPAPAAVAEPEAADADDDDLDFDFSKSKKKKKKKDKVAHSAPGKDEDDEPAPPPPPVAEEEDEDPSVAAAAAAKKSQKKKKKKGTFVTDDEDIVKIMAEIGEPADEPELEEVKAQDPVPAPDADDATGKKSKKKKKKGAFMVDGEDVDQILAEMDDHPPPVEEPEPNYTKDEAPVAAAATTDDAEGKKSKKKKKKGGRTAQEEEELEKVLAELGLGPPAEEDKPVQAPHSAAVPKEDVGAAEDGNVDDKAGEGGEVESAAAKKKKKKKEKEKEKKAAAKGAEAKKEEEKEQEAPKGKVDMKKLPKHVREMQEALARRKEAEERQKREEEERLRKEEEEQLRREEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQKAEGAAPETKKRPIYDSKKKKGQQKTIEISKVVEEQQEEVNEANNDEEEYVLVDQESQSQVEESEERTEPDQEAEEPKPEEEEEEDEDEWDAKSWDDIDVNLPKTSAFDEEEAKPAVKKSEPVQKQESSKAQPAITSVKTVNSKKSEPEEGGANNGNISKRNKKKGPVKEDSSKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKRCLNAPIVKALKQQNEDVKREFNMRVTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSALSGEGIPDLLLLLVQWAQKTMEDKLTFVDEVQCTVLEVKVVEGHGTTIDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYLHHKEIRAAQGVKISAQGLEHAIAGTALYVLGPDDDLDKLKDAVMEEMARVRSRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVKIFVADIIYHLFDQFTAYIKNLKEEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEFIDIGKIASIEINHKQVDMATKGQKVAIKIIANNTDEQQRSFGRHFDMEDELVSRISRRSIDILKQNYRVSPPEDLSIEDWKLVVKLKTILKIQ >Dexi1B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:1B:2985529:2991019:-1 gene:Dexi1B01G0003770 transcript:Dexi1B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERLHALSASINALQLVDPSFAWLDSVCEADDQISPSKRPRNLLMENSAFGTDSELSRLQFCVDIEILEKEYTLTKAQYMLSTVKSTFNFSESQSIESLLDILISEKLYDLAFTIVLKFWKESGMKRKLEHVFSAIAQQCCPNRSDKPSQQLLLLPSSEDDSWDGNTKSIAVAQHLLGSCNWETLELFMEKYNNLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTNKSGNRISWGMSGKEADPAALFRLYINYGRHAEATNLLVEYLESFASSPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >Dexi6B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:6B:2533537:2534562:1 gene:Dexi6B01G0003030 transcript:Dexi6B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTSKLPLLATFLAVLLLVPSAAVAKAIDASKTQHMDLPDGLIGPESVAFDVHGGGPYVSISDGRVLKYAAGEGAGWTTFAYSPSYTKNNCDAQSELPAVATESSCGRPLGLRFHNNSGDLYIADAYMGLMRVGPNGGEATVVATEAGGVPLRFTNGVDVDQVTGDVYFTDSSMTYTRAQHQMVTASGDSTGRIMRYNRRTNKVTVLRSGVTYPNGIAISADRSHLIVALTGPCKLMRYWIRGPKAGTSELFANLPGYPDNVRPDGKGGYWVALHRERNELPFGSDSHLVAIRIGANGDKVQEMRGPKDVRPTEAVEREDGKIYLGSVELSYVSIVRSN >Dexi9B01G0045010.1:cds pep primary_assembly:Fonio_CM05836:9B:44667554:44668211:1 gene:Dexi9B01G0045010 transcript:Dexi9B01G0045010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGSSRITEEQISELVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHQEVDDLSVRLSELLETSDMSSAQAAIIRSLLM >Dexi9B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:9B:3973709:3975744:-1 gene:Dexi9B01G0006620 transcript:Dexi9B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSSTRTPAVSSPPKSHSPSPSTAPPPSADSSSPPSPPKAASSPAPSKTRDSFSSPPPAARSHGAPFSPAKTHPPPEHSSRGSSRESGNSPPASVHRGAPTMVEIVFAAAGAAALLVILIAACVCCSRRTAPRRRKRPQKRMHYYADSSSVYKGNSTYYPSDPQPQWQSDTGPPGWHAPQPADMSSGLYSSPHGHGPTTPPSPHEAFGGLGKGTFFTYEQLAAATGNFSPANLIGQGGFGYVHKGVLPGGRAVAVKQLKHGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRVLVYEFVPNKTLEFHLHGKGQPVMEWSTRLRIALGAAKGLAYLHEDCEHHYPMKAILGSFTATSNCIGSCCFVFQVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPVDTGTATSFLEDSLVDWASHPSLARPALSRALADGDYDDVADPRLQGNYDPVEMARLVASAAAALRHSAKKRPKMSQ >Dexi6B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:6B:9374147:9375505:-1 gene:Dexi6B01G0007850 transcript:Dexi6B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRVLDLEDTSGLRDHDLIHIGNLRHLRYLSLRGCRGVTQLPDCLGNLRHLQTLDVKDTFIMTLPKTIVNLQNLQYLRVGFVRQDVHKDDIYEEFVDKILQQISRRRSCRNWCCFYSCSLLDLCGYLCRPKLLHAGMNRHDISKFGSFFLTAGWMHHTLHGVRVTRGIGELKALHTLSVVNVAWGRHTMKVLKRLSHLRKLAVTGVYDKNCKEFWSAIANHNRLRSLSVQRLFESVGMPILDGCLGETPYMDGVTNNGPAVVEAPVTANGVLPATAGTSQPKHAGEVRFFPPKDLESLKVEGKLVNLPRWIHQLQNLSKLQLCYTRLELDAIEVIGRLPNLSTLRLRSLSFLGKELHFLRSSFPSLVVLELSDLPQVQVVYFEETTMPCLEVLEVFSCLSNGVLSGLQLLESLKEVSLTRVHYSSIMRVQSQLKDCAKPVNLRVNFGERS >Dexi5A01G0034530.1:cds pep primary_assembly:Fonio_CM05836:5A:36566861:36569251:1 gene:Dexi5A01G0034530 transcript:Dexi5A01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQAQALPPSSSSRSIRDAKLAAASMDSSPAPPLLLGAPTTTPSIDLPATAKVFDLRREEPKIPAPFVWPHDDARPTSAAELDVPVVDVGVLRNGDRDGLRRAAAQVASACAAHGFFQVCGHGVDASLARAALDGASDFFRLPLAEKQRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDGAASPVVVDYFTGTLGRDFEPMGRVYQRYCEEMKALSLTIMELLELSLGVERGYYRDFFEDSRSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDHVGGLEVLVDGDWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRRQERRSLAFFLCPREDRVVRPPASGDVAAAPRRYPDFTWADLMRFTQRHYRADTRTLDAFTRWLAHGPSQEAAAAP >Dexi6A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:6A:11296760:11300364:1 gene:Dexi6A01G0009590 transcript:Dexi6A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPAAAAASLWRGSGPTTTEARVDDGGEEDEEPMPAAGARRSTRVFMPKAPKPLQTQDQADPATRVLRSGKRLAADRIRWDAKDAPAAIHVDIDRDQQQRQKEDFPKPLLPPLTKSFGIVYTRKRRRQRQPVAEAVPEDGDGGRRFGIVYTRRKSKRLKVAPLRLPQQQELDASSDLAAAIPCSSSQQFASRTGFLDAHFSALVDGAAAHSGALTLVVLVDTYCAGSSQRFLGLLLPVLRWLRRSQQRGKVQNLATFVLSAGVAAAFASRGVHFVKLQRRRAFALLHRPLVQCGWCSLHGALKSEPLVSVIFSALPSYFWSLHSAVALDSMYLPAVIRHSNPMARGAEEIYTHTPLYVDSGSQSTWIANATADTGSDEPCRVVMDYVPLEQVAGLVVHGLRLKKHQRKRRSMRNPRNRRRLTSRLPDNGIGMKSSTAAIQTEVKLPSSKQEPPMEPVQPKAALEISLDLLENLDESDVSTPMGSARRKRSSLKSPLERMNERLALAEVRQNIDSVHSKANLLIIQADRCWREEGAEVMLELSETNKWCIVVKIQGATRYSLKPSDWRSHAINRHTQAYIWAVDDAWKLEFTDKWDWLIFRELLVVGRERNSQGKTIPIPGVHEVSDDMEGIVADPFSRPVLDYIRVVDDEIARALSRDSIYDMDSEDERWLIQLNHAYSNQNSSQQKHISYEDFEMIISIFEKDAYNNPQGTSDLSELLSRYPGLGKDDNVHDLYEYWTNKRSKRAAPLIRVFQAVPLRRGHLSQKSAMKRKRSFKRQRSQAGRGKPEALLQDHAEEEAALQRVAQAERAAKQAVETAIRLRNRAQSLMANAELATYKSVVALRIAEAARISDTSRDIVCAILD >Dexi7A01G0022670.1:cds pep primary_assembly:Fonio_CM05836:7A:30854286:30857374:-1 gene:Dexi7A01G0022670 transcript:Dexi7A01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGLCDVDEKGVQYILLCQVIMGNMEAVDPGSQELFPSSDVYDSGVDDCLEPKCYVMWPSHLSTHMRLEYLVSFKLAPDVRSYLLHLKGLWFRPPKEVAMDISTLEPASCEIGEGPTTPWIPFKVLFGLVQDNISSIAKELLFHHYEELKESKITREEMVKKMMIIAGKKLLLEALNKLSYCPSSWYKSSAKAVSSDPARTAAEHDPARTAAQQLSLDETIRDCSLTLSSNCVDSHAPSAMVAHSAAVSTNGFGALPTDIVPKGHDCIAPSGVPKISSSAGVKCPGPKGRDFPRQVISRGNSPTQYARYQDPIVTRMPPVSRDGLLRTASGISASPGMEVCNSATPTTGLPCASLVQTNTSLVPTNASKSHGIFATGFAHGPRGCESSVPSLALGESKNAGAKYLSSAPETPGGQEFLSLNIASQSLVPHSVKRSDSSTAVRPPLYAPGRGHSPSVSTGVHDSLTLSMTTKGHSPSASRAEPKCHGSPTVAMESCRPQVMDATTKVHNAPTPITRELKDQAAQNKEPGPVLEASSIDAADTLITLSTPREKGEQ >Dexi6A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:6A:28521763:28524466:1 gene:Dexi6A01G0021190 transcript:Dexi6A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKHFKYVVLGGGVAAGYAAREFANQGINPGELAIICKEPVAPYERPALSKGYLFPQKAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAAGETFTYEILLIATGSSVIKLTDFGVQGAESNNILYLRDLADADKLVAAMQAKKDGKAVIVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTSGIAHFYEGYYANKGIKIVKGTVAVGFDADVNGDVTAVKLKDGRVLETDIVIVGVGGRPLTALFKGQVDEEKGGLKTDAFFETSVPGVYAIGDVATFPLKLYNEQRRVEHVDHARKSAEQAVRAIKAKESGESVAEYDYLPYFYSRSFDVAWQFYGDNVGDDVLFGDNDPASAKPKFGSYWVKDGKVVGVFLEGGSSEENQAIARVARAQPAVTDVEALKQEGLEFAAKV >Dexi9B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:9B:2446362:2450827:1 gene:Dexi9B01G0004290 transcript:Dexi9B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARGSVWEIQHGDVEAVGLADAGAFLAALRSAAAAAGPGAAGDAVWAAVAAAGLLRPDHPHALHQLVYYSVYAGWDRAARGPPPYWFPSPVDCRQTNLGRLMEANGAKLIGSAYKDPITSFNLFYKFSVENQEVYWTKVLKQLALKFQEEPKSILSTSDRSKKGGTWLQGAVLNIAECCLLPCPSLNRTDDSTAIVWRDEGRDDYPVNRMSLKELRSQVITVAHALDTMFHKGDRIAIDMPMTCDAVIIYLAIILGGFVVVSIADSFAPQEIGTRMGVSKAKAIFTQDFIIRGGKKVSLYSRVMQGTSSKAVVIPATGGNLGVTLRKGDMSWKDFLSRAAGRSSIYSPVYQSADALTNILFSSGTTGEPKAIPWTQLSPIRCTADTWAHLDVRPQDIGCWPTNLGWVMGPIILYSCFLNGATLALYHGSPLGRDFCKFVQDAGVTVLGSVPSLVKSWKAGNCVKGLDWTKIRVLGTTGEASDIDDNLWLTSRTSYKPIVECCGGTELASSYIQGSLLQPQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATNSLLNADHDKVYFDGMPIYKGRQLRRHGDIIQRTVGGYYIVLGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPAGGGPEHLAILAVLKDRSAPYDVNLLKSKFQRAIQKSLNPLFKVTYVKVVPEFPRTASNKLLRRVLRDQLKQELSNHSKL >Dexi7B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:7B:21755047:21755445:1 gene:Dexi7B01G0015690 transcript:Dexi7B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNMVVFAMASDCGGNCPPSTPSTTPSSPTPTSASYGKCPLDALKLGVCANVLNLIKAKVGVPPTEPCCPLLKGLVDLEAAVCLCTAIKGSILGINLNLPVDLSLILNHCGKTVPTGFKCL >Dexi7B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:7B:16382738:16383979:1 gene:Dexi7B01G0008610 transcript:Dexi7B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADTGNQLAVDEAISFVRDLEGVLRASSDGDASAHTGAGARMLLSACRSDSDDLELQIREYQEKIRSCKEKIDKAKAETIPDDELNALQNKMEEKLQEEKQLRQDLR >Dexi4A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:4A:9868591:9869086:1 gene:Dexi4A01G0011660 transcript:Dexi4A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKEVANAEDIVIVGAGIAGLATALGLHKKGVRSLVLESSPELRASGFAFGTWKNAFRALDALGIGDRIRTEHVQAQALRVFSPSTGEVAQELDLTAPGKG >Dexi1A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:1A:4142657:4142955:1 gene:Dexi1A01G0005640 transcript:Dexi1A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQNPETMKKLKAQLSSVLGSKECVECSDVDSVPYLQAIIKETLRLHSVVPLVPNKAVDTVEIQGHIIPKGGNVIVNLSVDRSLQVHP >Dexi4B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:4B:18764227:18766005:1 gene:Dexi4B01G0016660 transcript:Dexi4B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSTAGLLPTRQRRPDAMLLSCRSLATWVRRLVACMGCSRGCFGCCTKPTPITAVDEPSKRLRIQGRSVRKASLSEDFWSTSAHEMENSGIQSQRSMSSISTVAQSSDPNAAGSSSNPNELEMIDLLVDAWEQEGLYD >Dexi6B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:6B:16876675:16880458:1 gene:Dexi6B01G0010500 transcript:Dexi6B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHLLLTPALTVTTVVAAAAPGRRVSASSAGPRLRAPPRAQAAPFSCSPGRARGSLRVAASADGADPGAGGPAGAIRLNEYMVTVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGGGEQGLVTIKDLGDTEYGTSNVFISPMECSSEISTLSFSFSVIALRDKSGPCSLVLERPFAPFPIHQLHQNEDYHLLFNRGRVAVASWNNALLSTNLKESSTGDGKSGFAMFSPRLLSSQGWSFLSREKGGSNQSSTNLANRITEIVGLYSDEDDGNAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKPKNQDYSINKLQGITAVLNFQSESERINWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHNFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSGEDVELVGDFTSNWKDKVKCHHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSSSLPTETDEHGNVNNVIRVGDIARIRPAPSQLHIRDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >Dexi1A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:1A:8187406:8188023:-1 gene:Dexi1A01G0009850 transcript:Dexi1A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMESALRQGLSEPEQRELEPVVRAHHTFPGRAPGTCTSLVTQRVDAPLAAVWPIVRGFSNPQRYKHFIKSCDLRSGDGATVGSVREVTVVSGLPASTSTERLEILDDDAHVLSFRVVGGDHRLRNYRSVTSVTEFFRREEEERPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATSSSSSSSSRPSGGGGDH >Dexi9A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:9A:12952420:12954085:-1 gene:Dexi9A01G0017820 transcript:Dexi9A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRKKKQPSPDFLPTPLSSTSSIHPAAVQRHGDMARPPRIIDRSETDAVLRELRRRSDDYDYEKFDCENLHRFELDAEGRLRRLFWVDAESRIGYNFHGDVVVLDTTCRANKYGLPFVAFLGLTHHRSPAFFGCGVVSDDSLDSYVWLLRAAITCQDRPKSVITDGCDAVVEAVNVVFPDASHRICSSHVERGVREHLHGSPSAQNAFRSLMCDDTCSPGMFEARWSGFMARHRTSGNGRWLDAMYGKKELWAAAFVHGRFFLGMANDQTTECLATRMHTGLHVGMSLADLVAHVDACERRLRLDMAKLDVAAARSRVELTTRHRCLEEDAAQWFTPANFYLVREEIKMADSFEIAKMVTTVGNPTFNRKVYLVRFKQRPAVCFDVECSGRDDIKCSCRKMEREGLPCRHIFTVLIHSRKLLVIPKCCRLSRLQRRGDIKEERVDEMNELGRQVFELASEDAQEFRDIKGFFEAYLNDIDRRRGTAAVVDEDNVNDAADAESGAPMVKKIKLFDN >Dexi9B01G0038210.1:cds pep primary_assembly:Fonio_CM05836:9B:39341922:39343300:-1 gene:Dexi9B01G0038210 transcript:Dexi9B01G0038210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAAAALRRGVAASRGHTSSRLTSSMPASVRLFSADASGETTATAADSQDDSFLESSNEVMISLIRS >Dexi9A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:9A:8492453:8493926:1 gene:Dexi9A01G0013240 transcript:Dexi9A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAPQPIYCFDLVTIRRRDGANDERGLVINSSVHVGKRLRVLLADGTVVSASKRGHVVADRSYFRPGHVVVAASDRGGQIGVVTGVATALDLVRFSSGDRTQAVAVARGVSPSGLRRVTELSEGGYVVSGPWLGRVLEVSHDVDVLFDDDDGALCRVTGAEHKLEAAGINNWTRYTDCLFYPGQRVTGGSSVFKASRWIRGYWKPTRRTGTVARVDMAGVVVCWVASMELGTSKPLIQASAPPAYQSCPHRLEIFPSVAVEPSHWCVGDRCFFRCHEHPQGACCNSAAPCLSSGGNQSGSSLSLLPPSTTRKDTSSPSMKSHRKRTRMLGVKRTLRLDQRHAEFERPMSVADTRTTVDVLWQDGTTQCGVPSASLLLFTASNEHDFIPGEHVVGTGPSATANALGAFGVHGGAATDEPAARFGVVRSLSSKDQTVCVAWSKPTTSALGAVEAVVDGSCDETVSAYDLARNFDQDFFYGDVVK >Dexi3B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:3B:1436927:1437772:-1 gene:Dexi3B01G0002000 transcript:Dexi3B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPSDGHRRLSLEALLALGPGAALPSPTPSSRHDQSSSSDATISDAAAAADDLSPASSVSFHHHQPVHHQPAAAAPPPPPPPPQQRPLREYTCKECGKSFPTNQALGGHVAGHRNRQREAEAMAAAAAAAGIMGANHHDGGAFLAELRRARTVAAPHVCRKCHKEFATGVALGGHMRVHYTGKPIVPKRWKTNNKQRALALLPLVEHHGGIAAPSSSPGISLALSINTAEDAPSPLPAGADGSRVVRLFGIDISAQQVQASSPEQQCSGTTADVSTAQE >Dexi3B01G0028120.1:cds pep primary_assembly:Fonio_CM05836:3B:23910654:23914447:-1 gene:Dexi3B01G0028120 transcript:Dexi3B01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESGALGLVTPNAHMELDWSPQLGQHRGTRSTIREATPKTLGLVHSKAKARVSTGSTGTSTINMNAGSSSAAASSVTIHIKAGLASAQCSAQEPPKKKQEQPKPTTNLPMLLLPPWDSAKL >Dexi7B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:7B:14167518:14167910:1 gene:Dexi7B01G0006420 transcript:Dexi7B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSSLPPSTGSPTLPSTSSSPSSMGSSSSSLKASYAHVNGKRDLAAAALDGEPTAAHDGNLYLAANIPHRSDEPRDDAKETGRKEGKGGGEADVLTSEVADGIPGRCKYILGYEIDSRGNRREYDRA >Dexi5A01G0039370.1:cds pep primary_assembly:Fonio_CM05836:5A:40030000:40033650:1 gene:Dexi5A01G0039370 transcript:Dexi5A01G0039370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAMSGGGGAGQFGDTTFTKVFVGGLAWETHKEGMRAYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAALKACIDPYPVIDGRRANCNLAYLGVNKSKTAPVPPYLQPYAHVYGGGNMRAMKSIIQTDGAGAVGGASLMSFVPADHGIQQGIPTTYNVYAGYSPYFSDYGYPLSYYQAYGGLQGAQQQYAVFGGGATAAGLTMAAANSSAGLYPYFQYSGPASVAAAATGYSMAQYPQLYQYAAAAGVGATTATATLTTVPGGLQQYAGAVAFTPNSIGQAGMTMSMTAPTLPAPTTAQYQFSRLIPSHLAAAPDQKPSLA >Dexi6B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:6B:17721972:17731069:1 gene:Dexi6B01G0010890 transcript:Dexi6B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAQFRGQARLPRFAAPLRYDLRLRPDLAACTFTGAAAVTVAVSAPTRFLVFNAAELEVDRASVRFQASRPVRARGPRLLLRYADRSSLISLVWWRPGGFGSLQDFVPSEVAQFEEDEILVLRFDRELPIGEGVLTMDFTGTLNDQMRGFYRRLQSIDLYLSITIMIWQFDQLSRNGGETFPLAAIEEGFTGAGVARKHAETAFTISILLSEEDTRCDNLVRTLAGLAKFKLTLEVPSDLVALSNMPVVKETVSGPTKTVYYEESPLMSTYLVAIVVGLFEYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKINGHDLELEQAQFLSDGSSGSGMWIVPITSCCGSYDTQKKFLLKDKTDKIHIKEFIASQSSDGEKNQNIWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVARKQTLTSLLRLLNAYHDESDYTVLSHVTSVCLSISKISVDATPDLNKDIKQLLINLLLPAAMKLGWDPKDGESHLDVMLRSLLLIALVRLGHNETVNEGVRRFHIFFEDRKTSLLPPDTRKVRNQDSYYILGGISLEGREVAWTWLKVCYSHRTSKPEKLGSCVEDMEIELTHIRLHQLHYFTGTMDYIYLCYSSHFYHPVSNVTYFVTSSVVHGQFTSEEKAAEVSEFFAGRIKPSFERALKQSLERVRISARWIESIRSEPSLGQAVQELLQGEA >Dexi2A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:2A:30441417:30443893:1 gene:Dexi2A01G0018400 transcript:Dexi2A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKKHLYHVLDDAKHGSSIHKVDMDSDADSDAFSPYSLAFLLLSSASAPPLISADTALATSPHGTGTFSSSNTITGDVAPTGTTTRSTSTTRRSLRNWLAKCGHVTTGTPCAMDSSSEFQPQWVRKPPMARCDRMASCGTHPRTTRPRPAVRASRASSHSRASGASTSSSFTTHRNGSPESSRPWPTSNSCLGSLRTRLPKLTKTTQSVPLASSHAVTTLCWTTSGLFPRDAMASTSLLARHKGPSVHTGLPSAAS >Dexi4B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:4B:14644290:14649231:1 gene:Dexi4B01G0014110 transcript:Dexi4B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAAIGSRSGRWGTTTSSSSAATATVQPQVEYGRRTWALEPPIAVAAAADGEYSPGLLDLHSLDTELLTDVRKRPLNRKEISRKEDDIITVDAYASSLTVHETKLKVDLTEYMERHEFVFDAVLDDDVSNDKVYNETVEPIIPAIFQRTKATCFAYGQTGKKLCMREDGKQQVCIVGLQEYRVSDVQMIKELIERGNACRSTGTTGANEESSRSHAILQLVIKKVVEAKEPFRVVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFIGNSRTVMISCISPNSGSCEHTLNTLRYADRVKSLSKGGTKKDVPLPPPSSNMRESSPGPPLLNLDYCNDASDELQRYGPKKIAKDDSLLSNVSRVLSGRFVAQGPSSYLSQINESSRSSAKGTDLELSEEGSEPEKPLRKSSRTSPNSTSSIDGTRKTATQKQWKSTPEVHANCLDANDELDALLKEEEDLVIAHREQVEESISILREDMKLLEEADQPGNQLDEYITKMNFIISKKAAGLMNLQARLARFQQCLSEQNVLVNQSGS >Dexi5A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:5A:25171065:25176728:1 gene:Dexi5A01G0021310 transcript:Dexi5A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNPAGAAAANQNPNKSLEVTPAPEDSVSSLSFSPKANHLVATSWDKQVRCWEVLPGGACQAKASISHDEPVLCSAWKDDGMTVFSGGCDKQIKMWPLLSGGQPTTFSGHEAPVKELAWVPQMNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLCYPLMVVGTADRNIVVFNLQNPQERAVIINYFVQSKYNCPGMHSFQVHGTFATTGSDGGFNFWDKDSKQRLKAFSKCPAPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFIHSVQVCTFESDVKGKPRTGKK >Dexi7A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:7A:22628226:22631884:1 gene:Dexi7A01G0012380 transcript:Dexi7A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPVIPRPKNAGLGTAEGSIAGAFDPPPSAENWPKWDDVQGAKKQKRRRHHQELDDDKILSEPLDESAAEAVARVHKALARASRWSSGGPNQGEETTTRRTVIGMAMDRVQTGTLTTAELVREFTVLKEKCPWEYTAYRLADAARAIVAPLLRAAFRHWDPLEDPSRGLEAMTKLKDTLLDDESAASPYAALVDDVVVGAVLASSAAETWDARHPEPIVRFLDMWRKDDALPLPAMQRVLEQVVMPKLSAAVESWDPGWDAVPCHAWVLPWIPLLGQRMLEPVYETVRGNLGEALGGERHAARASAIHGMVPPWKEAFGPAAWGKFVDGHVVPYLRRGIRAVRVVTPPAPRKKKEEEEDGGLGWVVRWAPVVVSAPTMARLLEEEGFFGRWQDALRLWLWDERPGVEEALAWHEGWKRVLTPELLADDRVRVPIEVGPKRSPARRKVWVWGLTGRLALDGGSTLARASLSDTYHHGVRRPLVMDAAGANTDGHGAEIGRCEIC >Dexi6A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:6A:19331975:19332460:1 gene:Dexi6A01G0012650 transcript:Dexi6A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEELCDRVGIFIEGSFHCLGTPTELKARYGGTRTLTIATKPEHTAKVEELVSQLSPGVTRIYSVSGTQKFTLPRQEVVLSDVLSAVYAARRVFPVLGWGLADTTLEDVFVRVAKEAQVLD >Dexi8A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:8A:27924498:27929879:-1 gene:Dexi8A01G0016470 transcript:Dexi8A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCLSHHHHQAVRPRRGGRFPGPGRRPAASASFALPAPRRRRAVPAAMSAEASRGVEPAEAAPGAAGQQAMLGEMAEDAAVWCARSGTVPGVGLVHAPISLLPARFPASFWKQACELAPIFNELVDRVSLDGEFLQSALTKLVDEFTARLLEIHEKMMALSKKEDIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLGSLVSELHRFLDNEEDIAKLRRSFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNIYGRDLRDTLIKLQKEQGESLAAYILMQRIFPKSSLTPLVRGGDCFEDLTISELGIYGAYLRNKDKVILNNQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLTDENSATLYTCDLDC >Dexi9A01G0027550.1:cds pep primary_assembly:Fonio_CM05836:9A:32215692:32221190:1 gene:Dexi9A01G0027550 transcript:Dexi9A01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQAASGGGAAWRRLLVLLTVLPLTLAAAAFVLQWRGGGVDDPTARWPPHAFPGMAEPTRVSLPSSDCTDVLAGSSVPSFPYLRNWSFPSDKGASPKVCVQTSTSAGLEQILPWLFYHKVVGVAQFLIFVEGKAAKPKVAGVLESIPGVKVVYRTKDLEEQQARSFFYKPCNYELFVKQSLNMEMAIIMARDLGMDWIIHLDTDELLYPGGAAEYSVRHLLAEVPHDVDMVIFPNYESSVERDNIKDPFSEAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSVIASGQPSVNEKLLRMKTDAQGQNVTKLGNLPTKQIRSSDSTASARKILQAAELAFRDRDVTAVPPLSPPSLDDVHRHHSE >Dexi3A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:3A:2108136:2109437:-1 gene:Dexi3A01G0003250 transcript:Dexi3A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRTIARALLLILVCLLAVVSSLQIPNHLATTHANSTPDRYRQRPRPAIVIDLGNTNSCVAGYEPGKPETVFQHCIPSWVAFTDDGAALVGEAAKNYAGAHHEAAVSGFKRLLGLRLNHEFEEAVVQRLSERVPYKIGARDFVWPVAEVKAMDGEVRQLYIEEIASMVVAELKKKAEDHLGRTVRDAVVTVPGHFNDPSTWAAMDAGKMAGLDVVRTVSEQFAAAVAYGLHVDGKLRENGNVLVLHVGGGTADASVVTRMDGSLEILADANDPFLGGDDFDQGIVDYFVKLIKTKHGNDISEDRIALGKLRTACERAKKALSSQDRAQVSIESLFGGVDFTESISRQMFEELNDDLFGKVIALVEKAMVQAELEMGTIKIDEIVLVGGSTMIPKIQRLVRDYFGGKELNVVVKPDEAVAHGAAVHVQSSDN >Dexi5B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:5B:3132360:3140575:1 gene:Dexi5B01G0004670 transcript:Dexi5B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVRAAGSWAPQALSFLDESLQRRSVPDMWAPPPVDPHARDRARHVHIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMSEAFLRRLRIYRGGRVKLPILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKTFIIGKRLHEELAVPYNRHRNHPAALCTSSYGVKRLELLKSNYHWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLYESGMWQFANQNITMGEAILTGYGLFKEKYWFWIGVGALFGYAIILNILFTMFLTILNPIGNLQAVVSKDSIRNKDSRRKNDRVALELRSYLHSNSLSGNLKEQKGMVLPFQPLSMCFRNINYYVDVPEAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVTVEFPYILVQSLIYGTIFYSLGSFEWTAAKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFSGFMIPRKRIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGVTSTTVAAFLEEHFGFRHDFLGVVAAMVTGFSVLFAVVFALAIKYLNFQRR >Dexi1A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:1A:7280987:7290940:1 gene:Dexi1A01G0009090 transcript:Dexi1A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCRARHEAVVPPGGRRAVGSRVRHRRRRGRGQAGKDLHCTVAPGTASPLPVASFLHPGPPPPPSPAPAALLLSLRRHPTAPRSASPAGAALTSPSLAARRASPGAASTVRFLLPDCGCDGLCILHAFISCRSEMADECFSTQLIDGDGVFNVSGLENFMKEVRLAECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFRGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILREDIQKIWDSVPKPHAHKETPLSEFFDVQVVALSSYEEKEELFKEQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAVQHDYCPGFGKKISSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQSLLGHLRTRTLEEFKESFDKALEKEGFAAAALHCTQTFLEKFDKGSEDAAIQQVNWDTSKVKDKLKRDIEAHVASVRSTKLSEVCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLERETKAAVSGLESAVVSFELDEATEKELLVKLEKHGKSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDGDNIENTLSLALVDAARPGTTDRSIQSSDPLASSSWERVPEEKTLITPVQCKNLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLLVIFVVYLVGKAIWVQSEIGREFQHGFLPAILSLSTKFVPTVMNILKRLADEGQRPAAAPERQREMELQPKPARNGSSYSNVTSAGSSSVTTSEIGPEYSSPVAQ >Dexi3B01G0021710.1:cds pep primary_assembly:Fonio_CM05836:3B:16577018:16579788:-1 gene:Dexi3B01G0021710 transcript:Dexi3B01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAMGQGEQDHFVLKSGHTIPAVGLGTWRAGSDSAHSVKIAITEAGYRHVDTAAQYGIEKEVGKGLKAAMEAGINRKDLFVTSKLWCTDLVPDKVRPALQNTLKDLQLDYLDLYLIHWPFRLKDGAHMPPEAGEVLEFDMEGVWREMESLVKDGLVKDIGVCNYTVTKLNRLMRSANVPPAVCQMEMHPGWKNDKIFEACKKHGIHVTAYSPLGSSEKNLAHDPAVEKVANKLNKTPGQVLIKWALQRGTSVIPKSSKDERIKENIQVFGWEIPDEDFKVLCSIKDEKRVLTGEELFVNKTHGPYKRASELWDHED >Dexi8B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:8B:5472526:5473297:1 gene:Dexi8B01G0005390 transcript:Dexi8B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPAWGEDARCVLLLPSRSKIQKLIDMLSWRCGSPEIRKVAAHIVVALAGDIHLAQFPRATYCISSLLEGQTTMIHSREIKHPQRKETNRNQNMLAKVCMIWKKIVKGELKKLQEQTGKMPEQEEPEGSAGNDSSCSTEMILQGLRILEGLASDQHNCKDICATPGLLQKITAPLYSDTLIQDMGISGLPKN >Dexi6A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:6A:4830634:4833404:1 gene:Dexi6A01G0005300 transcript:Dexi6A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPHAVVVPYPGSGNINPALQLSKLLRRHGVFITFVVTEHNLRRAEAAAANGASAARGAEGFRIETIPDGMLDADRDAQDYDLGLSMATTHRCAAPLRELVARLRGGVPPVTCVLPTSLMSFALEVARELGVPSMVLWGSNAAALMGHMRLRELKERGYLPLKDESCLTNGHLEKTIIDWIPGMPPISLGDVSSFVRTTDADDFGLWFNITEANNCTNAGALIINTFDALEPDVLAALRSEYPRIFTVGQLGTMLRRSHDDNDEDAGDSIDLSLWKQDTECLAWLDAQDTDSVVYANFGSLTVLTAGELAEFAWGLAATGRPFLLVIREDLVVPSAGDGAATALPPEFLAETASRGRLATWCPQERVLRHRAVGCFVTHCGWNSVSEGLAAGVPMVCWPVFADQFTICKYACEVWGVGLRLDAEARREQVASHVNEAMESEEIRRSAARWKTEAEAAAGRGGSSRENLLSMVKALGVSAMHEDHQFILKSEG >Dexi2A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:2A:4156720:4157824:1 gene:Dexi2A01G0004560 transcript:Dexi2A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQGKNLLDRIDKFTLLRVLDLEGCEGISDYHMKCVCGLYLLKFLNLRGTDIRQVPPEINKLEHLQTLDVRDTRVEGLPDTVKELHNLERLQISHSSEPKYMWRLPLGLNKMKSLREVAFSTLGNDAQVAEEIGDLEHLEELSVYADTAMISDGDVLPALAKSLSKSYSLRHLIIGDVGFAKGALDFLHGMRQPPRLLSHLMIAGWWHQWRAALFDALCELPRLKIICVHQMCHVDRELVARARHRFPELSELRVSCNYEEPNVLRFEEGSMAKLETLLFKFIDFEKRIDGVEYLTGLKEVHLWGRKNNPALERALEQLKVENQRRRGEEFVKQFQITVKYE >Dexi2A01G0029620.1:cds pep primary_assembly:Fonio_CM05836:2A:40671617:40672829:1 gene:Dexi2A01G0029620 transcript:Dexi2A01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGDGGGVHSCQHDATTTGDDRISGLPNDLLHIILLRLGSTPEAARTSVLSRRWRRVWSAVPELSFRYVHDESTATPVCLRINQALAACSAPTVHRLHIAMPRTTSRCRFRLHHAAYANRWLRFASQRLSGELSLSMAGKWDEGEFEKPIVLPPLARATAIRFDLNGNTLRFEQTTGAGTFTALSTLRIENGHVDRRELQDVVSSRCPGLKELVLGYVYFLRGASGFCIRSDSLERLEVFGVADFHGGLEVDAPKLRFFSPRCCLPRDARIVAPMLSEVCWCGYPYNPMRHHLVDVGRHLRRLDVQINSPAAALMKLFDTIHDLNLTIDIEKRV >Dexi3A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:3A:418328:421612:1 gene:Dexi3A01G0000460 transcript:Dexi3A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHRQHKRRRLSPSPAPFDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRILRPLRADLLPAALTRYPSVSRLDLSLCARVPDAALAAVPSSGSSPLRAVNLSRSSGFGAAGVAALARACPGLADLDLSNGVHLGDAAAAEVARMRKLQRLSLSRCKQVTDMGLGCVAVGCTDLRDLSLKWCIGITDLGLNLLALKCNKLTTLDLSYTMITKESLLSIIKLPNLQVLILVGCIGIDDDALASLEKEYSKSLQVLDMSHCQNVTDVGVSSIVKSIPNLLELNLSYCCPVTPSMVRSLHKISKLQTLKLEGCKFMADGLKAIGSSCVSIRELSLSKSAGVTDTELSFAVSKLKNLLKLDITCCRNITDVSVVSITRSCTSLISLRMESCSHVSSGALQLIGKHCSQLEELDLTDSDLDDEGLKALTGCTNLSSLKIGICLSISDEGLTHIGKSCPKLRDIDLYRCGGISDDGVIQIAKGCPMLESINLSYCTEVTDRSLMSLSKCTNLNTLEVRGCPRVSSAGLSEIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHGLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLIAALMVCGGLTKVKLHEAFKSMMPPHMLKNVETRGCRFQWINKPFKVEVEPCDVWKQQSQDVLVR >Dexi7A01G0003470.2:cds pep primary_assembly:Fonio_CM05836:7A:12942567:12944620:-1 gene:Dexi7A01G0003470 transcript:Dexi7A01G0003470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAAAEVTKKSNHVQRKLEKRQQGRALDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >Dexi7A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:7A:12942567:12944620:-1 gene:Dexi7A01G0003470 transcript:Dexi7A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHRWEAEGLEEEAKLGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAAAEVTKKSNHVQRKLEKRQQGQLEFYMKKLQKKKGKGAAA >Dexi1B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:1B:6470321:6477192:-1 gene:Dexi1B01G0007800 transcript:Dexi1B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTDSPAPAHAPPRSPLAERPPLGQVAAAPPPRSPALHARVCGAGAGVGFFSPKGLPPVRTTGTRHSGLLGRHSALLSAADSDEWEDGEEESVASWGMPEDLYGGNFSETADEACSSDSSLVRRAMERCGGGWDEEVTSQLSRKGCGIVRGQSKEFLRVEVRAAGAFAAGKCSGAQDPVDSSSHGHYFDEHKFQTPSAPPIAGDEEDVMFDAVGETTRALCNRNAMPYVENNLLAQIPSFTINVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQNFLLHPKSSSQVDGKQVFDKDGSCTIKGRKLVKQIEIEVKKIRVVPHRPKLRATSSFRNLYVQAGSDYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTLELQSSCKGHQRDSISPQYLKPGSGESQLFYLESQGDVILVEVQDNNRVVIGRTKIQVSSVTDTPDEIIRWWPLYLEDQECVGKIQLCMNLSMSSNNYGSEKMLQGGPAVDTIIYDMVLEAAMRAQSFNSKMLHISGPWKWLLDEFSEYYGVSDAYRKLRYLSYIMNVAIPTKDCLELIYELLLPVMKAREDRTLTRQERSIMLHCEDRIKSLLAAVFENYKSLDEHSPTGMSDLFGPISDCAAPALAPAVQIFSVLHDILSKEAQDVLRNYLQTAAAKRCRRHMIETDEFLSSNNDSLLTDPMTISAAYLKMKTLCINISHEIQADIKIHNQNILPSSIDLTNIAASIYSTELCKRLKGFLSSSPPSRPLKHVAELIIATADFERDLDSWKVRPVHGGVVSRELFHDYIMVWIEDTRLHLLDNCKAEKASCPAVSTISPFVEQMYEQIKESIHEYGVVINRWPQYLMSLESAVADVEREIMKTLEKQYMETLMPLRDGIPKYLEKQLGAFINTVKRMLDVLHCRVEDILKSWAAYLTITSGNTVFGEQMNSITVMLRKKYKNYLKAIVDKLVTNAQANRNTRLKRILEETREADGESDIRERMQPVRLQLSDTIHNLHEVFASRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >Dexi2A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:2A:10455516:10457666:-1 gene:Dexi2A01G0009600 transcript:Dexi2A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLQRRRRPAAFLFALRLLLSVCGAARARGGGDLRQVVEVPGEPGSVVWAVQLSDLHLSAFHPERAADFRRHVGDALAKVNPALVLITGDLTVD >Dexi5A01G0040080.1:cds pep primary_assembly:Fonio_CM05836:5A:40365500:40371562:1 gene:Dexi5A01G0040080 transcript:Dexi5A01G0040080.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGDPVVLEITDASSAAAADAPSSPLPPPVSVSDLARFDPLPLIESSSYFRALLGGSFSESGREYVQLGCNLEAAVQVLRYLFEPSESFTITHDNFLLLLEGALFLAVESLLVDCERWFRTMGSQVSAPVVRLDFVIEVWYFSQEHGVTFVQDICPKYLAQNFVGTTYYIAVLFEKQLCEAILYWVSENMKPCEQSVPNSGDGHLLLLSKVKVCLLPLEFATGTKRHWFDFGNSVICTILNLLKDSLKTLLNAIADGNLEGYCIRITQYSKVFFCISLDSAALSQLMSIINITKFLCLRETSLTDGALSKFFGSSLEYLDVSETVVSMASLAPVMQRNCNLKCLKTAGCQSLLFESDEVERISGYRYGDFLQEIGSTCCLEDVEMGWGFCPIQIKDLIPSFSKVRKMTVGLGTTLAENVLHALPVICPSLESLTLRFQVISDSVVRNLLESATNLQVLCLYYCLGSLTSFSFQTKATALRVLRLQRATPWLTNDDLKILTENCNLTELSLSGCKLLDSSSQEIISYGWPNLVLLHLEDCGQVTVEGISSILNCKALEDVLLRHTGRGIGRRIIDDAIRELPLLRKLALDLCDACEEGYDSPNNAEGKMIRVVRMSRCKTLRGSCLELSRGASKPVHKDTVVLEWSSKRLTTTIVKERV >Dexi8B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:8B:2307071:2308437:1 gene:Dexi8B01G0003120 transcript:Dexi8B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASSGSKPSLLSTLPNDLPLEFLQKITQGFSKDLMLGQGAFGTVYKGTLQDGQPIAVKKLADNSPVPPKKEFQKEVGNLMAIQHTNIVRLLGYCRETQRKAIENNGRYIIVDVVESLLCYEYIPNGNLGKYIFDKSSRPDWKTCFEIIKGICQGLCFLHGGKDTHAPIVHLNLQPSNILLGDNMVPKISDFDLTRIFGGEHTRINTVNVVGKKLTPLILQVQKIWTNEHIASVYSELDPVSLQEVRTCIEIALKCVVVDQNKRPSIAEIVDEINGMKAH >Dexi4B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:4B:1671524:1672061:1 gene:Dexi4B01G0002600 transcript:Dexi4B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTPASPAVTSSSSVSRIFEPRTLEDDFHLYEKKDEIEATLTKIEEIYTRVKLDKEPNLPPPPADGGRRGGERKRPRADRDMNQRSHSHDGLIAFLTCLFPYLTNAEARAYLYAADLDPLVAALLVVDHRRMRRFGFSSGITVAAVEAALR >Dexi3A01G0030600.1:cds pep primary_assembly:Fonio_CM05836:3A:34721249:34726172:1 gene:Dexi3A01G0030600 transcript:Dexi3A01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTTPAASRAAAAGGGGGRRNLASGARAAPRQHIRMIQEQLARLAEEERLAEARRREEEARRAEEERRAREEGERRAEEERRAREERHRKRLEEARRRAEREERRRKEDARRRLGVAIPDAFNGDGGSGTQRRPVYESRTSRSQPNRHENVQSEADLGKIQVSEQQLNAEQTNASLEGIDGVVVNALELEEEQITQPSSEESNEIDDDDAWDNKSLDEFDALLSDKCLPFGEEEEGQTEDKHVTSAAPIANSMSLSEGIGEEEVSILQDDCASGGVDRELRAPICCILGHVDAGKTKLLDCIRRSNVQGGEAGGITQQISATYLPVENVRQRTSLKPEATIKVPGLLVIDTPGHQSFSNMRMRGSSLCDVAVVVVDITRGLEKQTIESLDFLKRRNVRFIVALNKVDRLYGWKTCSNAQIAKALQNQSDDVRSEFKWRVAEVVTQLKESGFNAALYYENKKIKEVVNIVPTSAVSAEGIPDLLLLLVRWVPEIMMERLTYVNNVEGTVLEVNDDKDFGTTIDIVLINGTLRKGDQIVVCTKQGPVTTNIRYLLTPYPMKELKAKGVYKHHAELKAAQGVKIAAQGLQHAIAGTAVTMVMPGDEVKRAEAAAVQEISKANSMVNEDERAESDDETAIQEISRIKTCKEGVYVQASSLGILEAIIEYLKTHSLEIPVSGCNLGPVHKQDVMKATAMLKRNEEYAAILAFDVKVMPEAFDLAAESGVKIFTADTVYKLVDSFTDHIKKLKEEKKQQYAAEAVFPCTLKILPNRVYHKKDPIICDVEVLEGIVKVGTPICVSVPSKDRGTDVVHSLGRISSMEASNGMQINSAKKGLISIKIIGENPQERSRLFGRHFNSDNELLSQISRKSIDLLKEYYRDEMSDENWQLIRRLKKQFGIL >Dexi9B01G0045820.1:cds pep primary_assembly:Fonio_CM05836:9B:45292194:45292676:1 gene:Dexi9B01G0045820 transcript:Dexi9B01G0045820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPAAAAAAPTGGKKNLRRLPHVYSKVLELPLPADTDVSVFEGPDAFHFVAAGSRGTGVVQVRTVRIHPGVTKVVVQAGGTGGGEQAGADDMKLDRWRSRLPEASCPAMAVAGYVDGQLIVTVPKGHGSDEGSDGGQGEVTWRSCSEGKISGRLVVVQ >Dexi1A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:1A:471490:472599:1 gene:Dexi1A01G0000750 transcript:Dexi1A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVLLGRTVVFRDYPSQADPPVTAVGGGDDGGGAATASGVVGAASSEAEPPLSVLDAMEEAEAKYLLAMKSDLQVVDFPGVSRFTMVRPPSSDPTPEYGRHRPHCFVAAGDSNLIVLYAGSYTPSSSKGFYLLVDTASSSLSTIPGVREDPHSQHYRCAGYGTVIMARQDGAFVLAELLFAFNLRAKTARAMLCLWHSSSEQRTSEWVYKFGHLPAQVFYPWRLHTSFPVQSRNLFCWVDLLHGLLLLDLGRQHCESEVDSALDLPGMSFIPLPDGCHISERNRGALHPQDFRNMACVDGTIKFIAMDGFVLNGIPIALVTYTLHLDGPSPSWTKDTELRLEHLWADETFISIGVPRMKPVFHAGT >Dexi6A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:6A:28885078:28886091:1 gene:Dexi6A01G0021750 transcript:Dexi6A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARWQLLADEVKRQASGFLQGKYKQARLALGDVTPAELLAQEATNNEPCVPDAKTLACIADAAFDMDDCWRIARVLHQRLSRAADWKEWRPVYKALVVLEFLLTHGPDDLPREFMPDMPAMHDLRSFHYVDDKGFNWGACMQRRTDSVLSLLTDAGRLREARCRCRCFFGGGGMMSPTTTSSPSSASSARTWSSFGGSSHYSDSPTMCLTCASDNDYRHDKKCDAYTADDDCCWGPPPPPSSNDDDATTSWDDAQIQMDPDAASSWPARLLGSLSLGSRASGFQSLSHPEQRRKKLQLQSHQDY >Dexi7A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:7A:20080717:20082029:1 gene:Dexi7A01G0008890 transcript:Dexi7A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHPATTPGLAMRARLSTPRPSTSLTAASSSPCSRISGFKSRRLPLRSLRSVAAAAAADAVDAAEEEVQLGGGGDVFYEEEAEEYKVTVPEKQDPMLVLKFIWMEKNIGIALDQLVPGHGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >Dexi2A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:2A:12040670:12041183:-1 gene:Dexi2A01G0010760 transcript:Dexi2A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSGEARVTVYEKEDHLGGHGSKTMAVEDGAGGRVHVDLGSMVFSRKYGYFHGGGN >Dexi9A01G0028030.1:cds pep primary_assembly:Fonio_CM05836:9A:32627891:32628334:-1 gene:Dexi9A01G0028030 transcript:Dexi9A01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSLGSPAGRPSRLSAAGEEEAGAAEEKAAKAVARATAAPDRSIHLVPVLTLLCFLVLFLLSHDPSAAVALTDSPVLAAAATVTARSLDAAAAGAGGCPSTSADDAAAT >Dexi5B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:5B:9869205:9871663:1 gene:Dexi5B01G0013700 transcript:Dexi5B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPPPATKRARPSPPRAQDAAAASAEDRLSALDDTILHAILVRLPLRDAAATTALSRRWPRVFATLPRLLLHPATFNRRGFPDEGCDDFCEDTARWIDALDSVLDGRAAPVAALEVHARFLFQFRDWFRGAFRELCGSGGLLELSIDNTKHSESERYELPTPIYGCTTLTSLDLYNCRLRVPGKLTGLRAVRSLRLRNVLATDADARRLISRCSASEHLEIQDIHRVRNIVIRSPCLEKLDICSYRPLCISVKKAPRLDTVELSLFYFHGWIFRDTMYSDEDYSLSEIKEMAEREKQVDEIGNLVTFLGGLGCTKKLTLKMCTEYSKVLSKAKGAAAHHDHWKSPVPLAAEFWEERINGDCVLNHLSSITIYIDSLLESYPCLGLCQFLVMNARILKRMSIHYYRWQLKMEQVAMVEAVRNELQLWPRANPNVLLELSPVDRHPSY >Dexi5A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:5A:3142327:3142903:-1 gene:Dexi5A01G0004160 transcript:Dexi5A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSAVDLSIADTIQHHGGSATLSQIANKAMVPLSKTPCLSRLMRTLTSAGVFSTQQQPASSCDSEQLVYTLTPVSRLLVGSRNLSTISSMVLHPAMSELPEPGMFKLRNGHGMFDVADGDPAFDVLINNGMASDTEFIIDIAIKEHGEVLFQGGALVQRSMPSPRLSLM >Dexi1A01G0031450.1:cds pep primary_assembly:Fonio_CM05836:1A:36434420:36436805:1 gene:Dexi1A01G0031450 transcript:Dexi1A01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPHQHRHHHGSSSLGAAEELNLLHMAARGSPDGDGGEVRRGALGQWKCRLLGSLLRPRRPRCVVCLQVQHVTGLPTAAEGRGVVVGWRSKGGGEGEHTAPARVTRGAAAFDEVFLQYLSAGGATLRGFTVWAALLDSPAANGDLGAFPVDLAEVAAAESSNPKFGGKVFNFPLGGAAAGAVLTVSIYCREKKNKGKESYASCLPDLSCLRNRQVAAAASGSARRATSIRSDRGGGGGGGGGGFITIENSVAEMDAGGAFRVGVAEDVDEEGAGFITMEKGTVSSRSRRPLPDTIGDEEAEDEKPCLFMELSEEAASVASAFEVDRVEDEFLAMLEDRYWARSKEIEKGLGVSLDIGLDLGLDLDSLIKDAEMELAKAEQAWKSKVGAAIVEEEEYKELVRRWSARETTHHSSAAAPTGCSWGFGFGSPI >Dexi3A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:3A:7209065:7213877:1 gene:Dexi3A01G0010150 transcript:Dexi3A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQATPNANNPFAPKPFGSPTTTFGAQTGSSLFGNTSTGAFGQQQSTPTFGTTPTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPTPTFGATSSTFGSGSQTAAPTFGQAQFGNQAGGTRIKPYAQTPDVDSGTSGTQPVAKLDSISAMPEYKDKSHEELRWEDYQRGDKGCDVLTSSCFLLIKPTLQTSAPPQTSNMSFQPPPQSASTGGFPGFSNTATQAFIGQQTPSQSNMVMQPALVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPSRTLSMAVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEEAPATPKADAFFIPRENPRNLIIRPIEQWPPRSGINKQSLLKDSADLEKYEDASTESGLDKTVKYLSSSPVVENGKQHKPSHHGNGKVTSVERLLPKLPEADYFTEPSLEELAAKERGEPGYCGRLKDFVVGRHGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIRCVNKKTGEQYDEGPRVERYREMLMKKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGLW >Dexi9A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:9A:1804018:1816869:1 gene:Dexi9A01G0003410 transcript:Dexi9A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIPPSLQASDLIPHRGSFFPASEASTCSRARRRAPSNDGGEWEGPSPRIRSGAADRRGERKTAVDGKGPAAVPRKECRRMEKRVVEEGGPVGRKEAEQKDPMRWPRCRGCIQMYTLVTEGAMEEADTPNLPLDIIYQIPKYISDPASLARAASSCKIWRGVIRDSAFLDRLTMRHLDHGFTSSLLIGFFYQDSDESPEHLWQHHKDKTQELSYLELPSEVKRNKAPLLGNSADGALLLLIMKGLHMSMWKQKTGPGNGNGDWKRIMDDYCVMLQLQVDMVYKILSHIADPASLARLASTCKFWRNIIKGRSFLDFLRNRRHDHGFTPSLLLGFFYQDKSLVQHRKSNWHSLAPSFKPMSELSKSIGSKVGCNEPPAKLCTFIRGLGAKLNFCEPIASQDGFLALQHPTNHPNEPQKLCVCNPLTGEIVHIPPISVAPPDMYTLLVTEDVNRGQVVSHSFQLVPFWIGRRFVLGSYSSKAKSWVWFHELPELMPGLYVVRSPAAASHGAIHFLCGNSTNWTLTHIATLHMAVATHPKLSYLELPLDAKRSKAPLLSNSADGSFLLLLLKGLQTSLWKHGSDTSGWVHSGTINLASSLPQRVVQMNAKIRMEMFLGKSGAVVLWVDGEGLFLFSVSDGSMRKISNEHATKMYSFCPYEVDWIPASQS >Dexi6A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:6A:24439381:24444216:-1 gene:Dexi6A01G0016580 transcript:Dexi6A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGDRRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLKSIWTAEESQAMASASASASAAQGAGAAGDDGGALQRQGSLTLPRTLSVKTVDEVWRDFVREGPPPGTAGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPAAAAMAAAVPAHAQPVAPRPIQAVSNGASIFFGNFGAAGDASAGAMGFAPVGIGDQAMGNGLMPGVAGMASAAVTVSPVDTSVAQLDSVGKGNSDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQVLEVVSNPYAQKKRCLRRTLTGRTTGERNHTREGSGGGGGKNGKLAGGGAKIDSGAPGRSYLLQVVADRARCRSSRRIHSGAV >Dexi1A01G0023970.1:cds pep primary_assembly:Fonio_CM05836:1A:30648875:30653367:1 gene:Dexi1A01G0023970 transcript:Dexi1A01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKLGELDDTFPNHMPNPKGHATTAMSLTNDAARAGLRTARSGVVDGTGAAINGDRLMHRLQIFLPMGRQRRSSSGDQSAESVEPDSRTVTRSRSRAAGSVTSPQSEMAPTVRQEEALPSPSTASKRPRLSSEPRRGRRGITGEDTADGGAASTVGLEEVEEEVAGVEEVEEEVLVAPAASDSMEATAFVPNSPIRRPYLMKYDSNGQQLSETPFSEDLQLDQAYEDARQQYFKKLALLSKLPTLDNNTLLESIPIQESAVDTILKASKFILGLSAYTGGVLLKQSSGILMERNEGKGTILTTAHLFCSRSPNLDVWLGGQEYARDAQVRVQLLQMDVLDDIEAPGELIYLDEQYGFALISVPMIPPETVPRFCKELMFSEDIILLGRDKWDLQIGNGKVMNNGARSYQRHHYMYFEAEISACAFGGAVIDLEGNFIGLIANSVDFIPSSTILRCLDLWRSFNCIPRIHLGMKLFGIKCLTLVSREKISRKYNIDYGLIVKEVSGGSNAESHGVRMGDIILTVNETCIATAIEVWLENMLLDTCKGYLEKGIGGDSDKDVVST >Dexi4B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:4B:20701526:20711249:1 gene:Dexi4B01G0018370 transcript:Dexi4B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPKQEEAVLQLWNRLDAFHEQLRRTEDGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVWRRFGWDCHGLPVEFEIDKMLGITNRQQVFDLGIGKYNETCRSIVTKYVSEWETVITRTGRWIDFKNDYKTMDISFMESVWWVFAQLWEKGLVYRGFKVMPYSTGCKTALSNFEAALDYRTVPDPAVMISFPVVGDADNAALVAWTTTPWTLPSNLALCVNANLTYAKVKDKSSGAVYIVAESRLGQLPVKAKASGKKQVPSKGSNAEAVQDGLDKESYELLAKIPGSSLVGLKYSPLFDFFMELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIFETAGLVVAVDDDGCFIEKISDFKGQYVKEADKDIINAVKASCYCNSPWSHLDKGRLVSRGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIKDQLLECNKETYWVPDYVKVTDLHRHYVDDITIPSKRGPEFGVLKRVDDVFDCWFESGSMPYAYIHYPFENKELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPMDVIDEYGADALRLYLINSPVVRAESLRFKRSGVYGVVKDVFLPWYNAYRFFVQNAKRLEVEGLAVFSPIDQASLLKSSNVLDHWINSATESLVSFVQQEMDAYRLYTVECLTVFLDICSLALVTTCVAMAPFTPFFTEVLYQNLRKASNKSEESIHFCKFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEINVKTVTPCNDPLMYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEESGEITFFGHCLKQDDIKVVRQFKRPENVAEKDIDAAGDGDVLVILDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPSDPVDVYYKSAGNDKSTLEQILKSQDQYIRDALGSPLVPIEMSPTDVVVLGEESHDVHDMSFVICIARSSPVLSPDVAHASGKDHSEALTVYLSSRSLSRLKSQFQAGNGMITVDCIEGFPPISLQLGKDVFLSAGEFYLASRS >Dexi2A01G0030200.1:cds pep primary_assembly:Fonio_CM05836:2A:41192903:41193186:1 gene:Dexi2A01G0030200 transcript:Dexi2A01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKANKGGGGVAAMDTTEGGAVASTAAEAPQPMDTSEGRQPSSASTALGSINK >Dexi3A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:3A:17059322:17059561:1 gene:Dexi3A01G0021440 transcript:Dexi3A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHIGLHSSSFLPDHPPHRHATATPPAPAPRGRTPTAGPACRLCGRLGGGSQHTQSYTHSRRVVARAAGAAAVGSRA >Dexi3A01G0032480.1:cds pep primary_assembly:Fonio_CM05836:3A:37358871:37360195:1 gene:Dexi3A01G0032480 transcript:Dexi3A01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPEDVRAKAEIYLGDEAGQHKTRFLLQETGLPSGLLPLKDIIECGYVEETGFVWLKQRKKVDHYFAKAGRHVSYGAEVSAVAEKGRLKKITGVKAKEMMIWVALHEICVDDPPQGKLHCKAIGGISRSFPVEAFEADGPPPPPPVAAAAPRAADVVVAVNGNGNGAVTDEVLVPDGTAVRAKVAPGTVDVLGAKVDLTAAIGGLSGKEEKEEAGEEGKKDEGKAAAVAAAAAIEKVEEKMKELSTEHNKQAEAVKRE >Dexi4A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:4A:4219542:4220161:1 gene:Dexi4A01G0005890 transcript:Dexi4A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEVLPDDGRLRAHELDVVVHGAPPPGALPKLEHTTHLVADPIPMITASAACGGGGRKTKAKLVYLGNRSFCIAQAVADGERGDGCHVTVTMFRVIDSGTSDTPVEMRRRRCESRMMNARWVADELERACADEASMSGRRRKRRRKLCRVNMWSRTYIVGGGDGHS >Dexi6A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:6A:20249430:20266185:-1 gene:Dexi6A01G0013220 transcript:Dexi6A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGSRMDAAEKNDLSTAILERKKAPNRLLVDDGEGEAAPDNSTVTLSTSAMEQLGIYVGDLGRVRVARGVRGNLRARLGDVVSVTRRLDVPNGARVQVTPFEDSIDGISGDLFDAYLKPYFFNYQRPLRKGDCFLVPCNMRAVEFKVTAVEPADMVVVAADTVVFCDTDAPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVKPPKGILLYGPPGTGKTLLARAIASESGGLEDVKLELQEMVQYPVEHPEMFEMFGMSPSRGVLFYGPPGCGKTMLAKAIAKECKANFISVKGPELLTMWYGESEANVRGLFDKARAAAPCVLFFDELDSIAVRRGESVGDAGGASDHVLNQILTEMDGISAKKTVFIIGATNRPDIIDLAMLRPGRLDQLIYIPLPDEPSWLQICRSCLRRSPVSWRVHLPALARITEGFSGADITEICQRVCKLAVRDVIQRSMTAGKAVAMRGAQIGLAHFLGTLKNARWSFSDIDVLKYEFFAHRFKGGGGFGGGFEEELMIAAPMGKEPMTITDFAITAVRSIGGAIYRRADHRPPQPAGPALIPATSTSTSSHSAAISNVNDLHSFLRRGVRTHSAVLCAHVLLRRGLLLGHPVPAGLLFTASACSAASAPGHLLRLLLRHLPPTLPLFSLDAALRSLAPRIAFTALVSLFAALFRSHHPLFPDRFSFPPLLSAAASAAAASLQLHLTFVLTLHEQLLRRGLHFSPPPHATNALLHFYAADGRLPSARHLFDEMPFRHIASCNTLTAAFAGTVGGIDAARQLFDQMNLRNAVSWNVIINEYVKAKQLEQALEMGRNYGYLVVKGAADEETRCCGLFPDRRVRELPFPQNCVLKVRYTVNNGQNSRMTREEAIVFVPVPDQPLASNRYYAVIAKGKRKGLVRACSRDEDMATCCFCRCINDVEPRPFHPADIYQQLEIVRRRRGWFTARAVAPDAFPSSILGHNQLAVADTAAAVRKSYSPFFLVKEAGVAPREQMERSAFYEVTLEQRWEPVHQHGGGSKLGSRKALVGGVVEAEQESLMNSRQGDGYVWFKAAATGQVVGVCTSMWERMLWEQYRGGWVDEEEDAGKVAGGWVLVERFVVKRLDGRVVVAFEFVHLNKVRDTEL >DexiUA01G0005360.1:cds pep primary_assembly:Fonio_CM05836:UA:9491421:9492316:1 gene:DexiUA01G0005360 transcript:DexiUA01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHARLHLDEEKPKGIVNEAVQQIAYADRIIVNKSRPKRPS >Dexi5B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:5B:931429:933860:1 gene:Dexi5B01G0001430 transcript:Dexi5B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERDACWEYCDKLDGNKVRCRFCHKVLNGGISRLKFHLSQIPSKGVNPCTKVKEDVIEKVKAIISAKEEYKEFQLLKRQRVAELSVPPKRTREVPSQSTSPGRVNSLTVTLAAEQSQLLAPEVSTPILNDDELWRAVEEIAAVSEPLLRVMRDVSGGKAAIGYIYESMTKVTDSIRTYYIMDEGKCKSFLDIVEQKWQAELHSPLHSAAAYLNPSIQYNPEVKFFSSIKEEFYHVLDKVLTTPDLRQDITAQLHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQRAAVRVTSQVCSTLTFQRDWGIILQNHYEKRNKLDKEALADQTYVHYNLTLHSEPKMRKKLDGDPIALDGIDMTSPWVEDSDGPILTQWLDRFPSALDGGDLNTRQFGGSIFGPNDNLFGL >Dexi2A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:2A:24743073:24745096:-1 gene:Dexi2A01G0014730 transcript:Dexi2A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALPRLHGSELPWLQASSCSLILHPWQRGARDCGYFRVSRLVFLGKMLRSTKMPPMRKVRILFSDPDATDSSGDEDGQNPEKQKKVIREVLIPVKQYKTSKPLKNTMPCGTKDLNGPEKKVSSSRYRGVRLRDSGRWQAEIRNPLTKKREYSLHDTEEAAAAAYQAKWNQFRVEMQSMKAQPPVRKHAGLSNSSLVSCISSSVLCEKKAQEAQNRVGSLMKINCEPIDESLLNLSPKPMEISDNSMVNRKDVHPVRDSVSPADELPPDDFTRPEDMFTVSDFIGTPYIPLDNDYIGLADISHLPLPIKDPEFDLDAELDWSGFDFISLEHELDLL >Dexi5B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:5B:10113368:10118389:1 gene:Dexi5B01G0013860 transcript:Dexi5B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKPEPRHRHDHVLHEEDEAHQEREEDATTTMDHGPLSLHHRGATLFGHRHDETAAAARRGEIREVDFFSLDTGARQQLNGGGGGGGRDDVNIGLDLLTTATDATTSAEEKATARNQKMEASAVEGELRRVLDENRRLRGMLEELTRSYGTLYQQLLQVTHHHPHQHQHPDHLMNNRSSLPRTHLNPMGMPNNTSTRQLLEGRAASSTAQTQPDADEASDEAGEASPSLSNNAGNNDSDGKRKMSQDATALPRENGEQASSAELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKTILVTTYEGHHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGALLGHPAALFHHPHHAATTIPYASTMATLSASAPFPTITLDLTQSPGAPGLPLAHGGLQRPPVVGIHPAAAPAIPIPVASALAMFLPQRAPMAAAANMPAAAAGLVSRQQQQSVMETVTAAITADPNFTTALAAAISSVMTGGGGAHQAHQSTTPRGGSNNGMGGEVNGSAGVTPPAAAQTAAGGAHPATQSCTTSTN >Dexi2A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:2A:7474064:7477836:1 gene:Dexi2A01G0007560 transcript:Dexi2A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQTGNWSTVSGSRRQGPVRPVRVRRKERRTRDCGSRVAAAVAASQPWLLAHPPGRRRRTIYEIPHFPTNSRATVAVASARSLALAHPSMAEHDLTARLAPHLDRHLVFPLLEFLQERGIYPEEEILAAKLRLLAGTNMVDYAVAIHRSLHGAGDDDGEFPAHMAAPRRGHVTAYLHHHRVLSTNSERSVGALWGMLASEILTRNWDAALEDLNHLKEIIDSMNFSSPLNQLQNRIWLMHWSLFIFFNHENGRNGITDLFIQDSILAEKLNMKYDETEFWIMNLVKTSKLDAKIDSVSGTLIMTVNRVDV >Dexi3A01G0024760.1:cds pep primary_assembly:Fonio_CM05836:3A:20375679:20376074:1 gene:Dexi3A01G0024760 transcript:Dexi3A01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCASLRAAAAAFSSSGSFATTLAPSNQAHPHPAPPPNPHLSLLSLFVPAPGGGPASGGFRARELSRQVEEEACGVEEVAPCGICGLLSSYLPLLRARRGGDPAACLELKLGVEEIGPPASASSSVRRRSA >Dexi3A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:3A:7535472:7536596:-1 gene:Dexi3A01G0010560 transcript:Dexi3A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDHAGGKAPPPRPASADEEEAVADKALHLLGFEFTRISEREVAGQLPVTATCCQPFGVLNGGVSALMAESAASIGAYVASGGRRVAGVQLSVNHLRPARLGDLVHAHACPVQLGRTIQVWEVQIWRVDPSTEERKGLVSTARVTLLTANPTRPEEMSSHEASIKRRAKL >Dexi3B01G0023660.1:cds pep primary_assembly:Fonio_CM05836:3B:18431800:18432262:1 gene:Dexi3B01G0023660 transcript:Dexi3B01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGADAGDAAGGAVVEAVDHRGRPASRASTGGWKSASFIIGKSVEIAERFSFYGVSANLITYLTGPLGEGVAGAASALNAWNGTAQLLPLLGGMLADSWLGRYTTIVLASLVYILQ >Dexi9A01G0048460.1:cds pep primary_assembly:Fonio_CM05836:9A:51249246:51251000:1 gene:Dexi9A01G0048460 transcript:Dexi9A01G0048460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGYLWALAAGFNAALAAISAKFFATLLIKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFHEPLPSKVTYCILDEVDTEKNWFAGASLIILGVFILSKSSIEEKQNSD >Dexi2A01G0036190.1:cds pep primary_assembly:Fonio_CM05836:2A:45792785:45794605:1 gene:Dexi2A01G0036190 transcript:Dexi2A01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAADNETPAPACDFCTGLPPVVYCRADSARLCLPCDRHVHGANTVSTRHARAPLCSACRAAAAAFRRDGGRRFLCANCDFEEGRQRGGGGDPPRPVHDRGAVECYDGCPSVAELAAILGVDGCEKAAAAGDGCWPAACEEPQVLSLEDVIVPTTPCHGLRPLVTPSSPKKPSSGGKMTEEVIRQLGELAKSEAAAMDYMEAADTFWASSEYGIGDGDFGAFDTDACHDAATMPVPCCEEDGACRTVHEHEQAPAPASSSVEPCLSSFVDMSEICPSVMVDKSSGGNNKAEAETTTTPQPAAASAQETPEPEKKGGYDVAYPDRRTVISRYKEKRKNRRFEKQIRYESRKARADGRLRVKGRFARSGETS >Dexi5B01G0024710.1:cds pep primary_assembly:Fonio_CM05836:5B:26733893:26734120:1 gene:Dexi5B01G0024710 transcript:Dexi5B01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPTPMSCRHAGERGKRQRPAPRRSVELLLRLAACPASPAKRGRLEKAVHGVVAAAAAAANRGWALQRSPDP >Dexi5A01G0035540.1:cds pep primary_assembly:Fonio_CM05836:5A:37310270:37313974:-1 gene:Dexi5A01G0035540 transcript:Dexi5A01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLAFSLSPQDLPPSQTESTLISAAATDEVSGDVCFNTPQDWSMRGSELSALVAEPKLEDFLGGINFSDQHHHKANLNVIPSSSSYASSGVASTAYHQQLYHHHPSSALHFADSVMVASSAAAGVHDDGGAMLSAATVNGGAGANGGSIGLSMIKNWLRSQPAPPPPQSGDGAQQVAQGLSLSMNMAGTQVVAGGMPPLAGERGGRAPPESTVSTSAQSGAIAARKEDSNGSSGAGALVAVSTDTGGSGGAETAARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKHMTRQEFVASLRRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSALPIGSAAKRLKEAEAAAAAQHVGVVSYDVGRIASHLGDGAAAALAAAYGSHHYHAAAAAAWPTIAFQPPGAAAATGLYHPYAQPMRGWCKQEQDHAVIAAAHSLQELHHLNLGAAAGGAHDFFSPAGQAMHGLGSSIDNSSLEHSTGSNSVVYNGVGDSNNGGSGGGGYMMPMSAAAATTTTAMVGHHEQVHGRAHGDHDEAKQMGYESYLVSAEAAYGGGGRMPSWTPASTVSPVTAAASSNDNMASVGHGGAQIFSVWNDT >Dexi5B01G0024150.1:cds pep primary_assembly:Fonio_CM05836:5B:26278320:26279341:-1 gene:Dexi5B01G0024150 transcript:Dexi5B01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKLRFYASLLLAVSSALATAASDDIKIAIYWGQDGNEGSLTDTCATGLYAYVNIAFLSTFGDGRTPVLNLANHCDPPSGGCASLAAEIASCQSSGVKVLLSIGGGALGGYNLSSLSDTQAVATYLWDTFLGGSGTNTTTTPRPLGDAVLDGIDLDIEAPSQYYDDLARSLTSLYKGDDAARGRAYMLTAAPQCPFPDASLGEALGTGLFDHVWVQFYNNPGCQYAPGDVGALRSAWREWTESLPGASVFLGLPASLDAAGSGFVDVDTLVSQVLPVVEGANNYGGIMLWSRSYDKDTGFSVKLQRILQNQNKNHTVIFPTN >Dexi9B01G0024630.1:cds pep primary_assembly:Fonio_CM05836:9B:21127145:21129414:1 gene:Dexi9B01G0024630 transcript:Dexi9B01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQRNGNGPKEGRKSKYAVACSIVGSIISILMGYDTGVMSGAMLFIKDDLKTNDTQVQVLAGILNICAVVGSLTAGRVSDWAGRRRTISFAASIFFVGSVLMGLAPNFATLLVGRCVAGIGVGYALMIAPVYAAEIASAESRGALSSLPDISISLGILLGYVANYLLAKLPLVYGWRAMLGLGALPSAALAIGVFAMPESPRWLVMQGRADEALSVLRRECGTEDEAQVRLAEIKTAAGLAVDSAPGLPAPKSSGKGVWKELFLHPTPTVRRILVAALGVHFFNHLTGIEAVLLYSPRIFKAAGIASRNEVLAATVGVGVTKTVFILAAILLVDRIGRRRLYLTSLAGIIASLACLGLGLTAVERSAPQHAAQWVVVLAITTVFTFVASFSIGVGPVTWTYSSEVFPLRLRAQGTSVGVAINRLINATVSMTFVSLYKAMTIGGTFFLFAGLSVVAAAFFYFICPETQGRPLEEIEQVFSHGWFARHQEATATVEMRGSTMAEGNGKKATAI >Dexi1B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:1B:2378608:2381826:1 gene:Dexi1B01G0002860 transcript:Dexi1B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPSLQSIAETLANLSKELQFMNELHRLRTSLHTARMLIFRSEWGMSKDKNLAELLSHLKDTTYDAEDHLRVVDDQALRQRIEDADRNRAGQLLSCYLNIAKSLFHRTKTRIKETQDKLDKAVADIEKALSLMGLTSIDPSQMMPETSSIISAPEVVGRDDERDDLINKLGVTIGREAQRDQVISQLGVPLIGGDTRAAGTNGKRASTSNGGVASTCRAKQPRGNSGRAGLVPTNCTSNVSVISIVGIGGVGKTTLAQFIYNDPRVERHFRVMIWVCVSDFFDKRRITKEIIESLPRIPGKEFDPSCSLNALQKELRERLKICPKFLLVLDDVWPNAKAEWEAFYAPLRCLYCVGSEEEASEARLVEKQYLKELVLQWRQGLVYKLVSSENGVLEGLRPHPRIECLKFIGFSGDRLPSWFKPEDMPFLRSLELSSCGPLESLSIPFHAGFSGDMLRSSLDVEQENILRILERIDSIINRGNGIVSSAFTRLAALRVYRCWKLRDLEQFLTPENLPCIESIILEDCWNLKSIPIHSFEGFDCLCDLKICRYHELKWPREMVLPYSLQRLCIVSSKLDMSFPACLENLTSLTLLQLGSCDNIRCIPLNLICSNTLKCLAIRDCQDLSSIGGLHALASIQHVQISSCPYLDEVQQPFEKKELQTKEEKEPLKFLDS >Dexi7A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:7A:19652212:19655200:1 gene:Dexi7A01G0008370 transcript:Dexi7A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVPSDGPATDYGGSLTLSVFMSCLVAASGGLIFGYDIGISGGVSEMEPFLKRFFPHVLKRMAEAKGNEYCLYDSQTLTAFTSSLYVAGLVASLVASRVTKAMGRQAVMLMGGALFFAGGAMTGAAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPSRWRGALTAGYQFFLALGVLVANLVNYATARHDWGWRVSLGLAGAPAVVLFVGALFLTDTPSSLVMRGHADRARAALLRVRGPDADVDAELRDITKAVEVARQSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAIINGAVNLGALLLSTLVIDRYGRKVLFMAGGIQMVIAQVAIAWIMGAKIGKSGEGAMAHPYAVAVLVFTCLHTAGFGWSWGPLGWVIPSEIFPVDIRSAGQAMNVSILLGLTFVQTQSFLAMLCTFKYATFAYYAAWVFSMTVFIALFLPETKGIPLESMGAIWAKHWYWKRFVSDGKTGVALT >Dexi7B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:7B:23629702:23632207:1 gene:Dexi7B01G0017700 transcript:Dexi7B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGDGGSSPSGTKTKKLKIAVIHPDLGIGPFPVTVYGDFLPRHVLYRFHAVCAYLRCIFVALCVLLWWPFFDVILIDQVSVVIPLLKLKAASKIVFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEATTGMADLILVNSKFTAATFARTFRDLHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSIASSLPGDALQDATLTVAGGYDERLKENVEYLEELKRLAVTEGVSGQDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEVTGFLCDPSPAEFSKAMLKLVCDRDLALRMGRQARDHVVQKFSTKTFGDLLNSYVLNVYHESIE >Dexi5B01G0034080.1:cds pep primary_assembly:Fonio_CM05836:5B:34354912:34356468:1 gene:Dexi5B01G0034080 transcript:Dexi5B01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVTLPLPAVGAVAASPATAAGVAKPLRRRTLRTVAAPAATASSSPSTSTSAVSSSPPSGRHSRKHLADGDGAHSKPTTKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGDGGNDYRRRLLPSYKAHRARGAGTGADSRVVDVLRECNVPVGETTWCFMGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLKIAAIGTVGKDYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDSWLSTRNTCNDTSVLADFILKFNDSGKS >Dexi2A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:2A:33747405:33752598:1 gene:Dexi2A01G0021720 transcript:Dexi2A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSKFKLATALGIMLSMLSLLVHLFLANYSAGGITKGSMRMDDVLPFGPRPRPRRLWGPWSKLDHLHPFAKPSKPYAASGKHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEDHFIDALSGDVAISILPASLEEFQRLRCRVAFHALRLRPQIQALGSQIVGRLRASGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLTIDSVSRKMAGLCPLMPEELGQKILYPRICLTPHLPKVKNNSLKNGRGQGLVQDRYPHLLQGHSMPMRRKAADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRTPKSPAVQPVKDSNGRFLFGGEEECPDWMARSLAMVSAKNNEPQNEDYEDELPEDDSSPDTQQESYRSDANKSSEQDEEMDPDD >Dexi6A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:6A:2843694:2844468:1 gene:Dexi6A01G0003140 transcript:Dexi6A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTARKSTGGKIPRKQLVARMFAAARKTAPVTGGVKKPHRYRPGTVALREIRKYQKGAELLIRKMPFQRLVREIAQIHKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERH >Dexi1A01G0030590.1:cds pep primary_assembly:Fonio_CM05836:1A:35841195:35841749:-1 gene:Dexi1A01G0030590 transcript:Dexi1A01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAQLCEWVSDKLMILLGYSKGVIVQYVNKLAKECSSAGDLVAKLVEFGFTSSVETHTFAADICAKVPRKASGISNYQKQERDAAMLVQKQSTADEGDNDAGNQTSTSRKGSTIPLSKGQKQFRRKADQDGGEDDGGGEDEKVAKDSGRNVRRRTEEDDEKDGDNSSDEEKERMRDSKKGPS >Dexi4B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:4B:8644944:8649503:1 gene:Dexi4B01G0011250 transcript:Dexi4B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRLSRPPEVAAEAAAWAREPVIEKTLRASITEVSVLLLFSDDTHIDDSSVPVSQLDDMRNSEMFSSCLSSEQFEKSIISPATASSLNMHHLEAKCQNIHLDLQTYPENLRFKATVAQIKLDEYYHAGNNHSHYSHLDVKWRMYAGNDWLLPQKDSTSFTRTYGRDRSSSLEFTLTGLSIQLDLYPDGDVSISKLSVAAQDLSLCDESIHAPWKLVLGCYNSKDYPRESCSSVFRLELESVRPEPHAPLEDYR >Dexi6A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:6A:9524341:9525456:-1 gene:Dexi6A01G0008760 transcript:Dexi6A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVAELGEGAQPGQRMPPQQGFSPSSGGVGDGPTPPRPAAQHGGAKPEDAKAAKLADSSMGGGPGSGGLKFGGGHRHMSVSVGPRQQATEKQGNHYA >Dexi4A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:4A:22962930:22963547:-1 gene:Dexi4A01G0019090 transcript:Dexi4A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELFASWSVHRTRNRGESSAQESNMVGAAETEVEEDESEEEEALESERRTKPKREEAARTGTSGQERPGRRRNTRDSERKVRSRGSSAAGSPRERASRSVPSARHHRAEAEPGRRSSAATRNEQPSTTSTRPRSWCCDIRRSAWYPVRLVFVAHCAAAAAAAAPARQRIARRAAGAITAAMAGAARARSAWARARARAR >Dexi2B01G0023040.1:cds pep primary_assembly:Fonio_CM05836:2B:32595676:32599654:-1 gene:Dexi2B01G0023040 transcript:Dexi2B01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSTKGRGAYSGVATFCRVSSAFSSQEVALPVAAEEGFTGLQDYAKNSEIVGDFIIATPAEEEGLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVEENDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPSSIDRCDAPPGFEKQMFREWLRSMLRENGGPFFDAFRSKHPERWKGGRSSKLEGSDHIPVYILLKEIPEVPVHNIPPLAARYLPEIRGRQQSIVSFFNKGKTSELQDAGNLALYKDTVNDSCCCDDLENKTTAKEGLVAGITEFAKGGNLSSLMCKGTNLDQWKNEGLAGIARGSQKTSPSGTKFVPNKKIKRNSSSQPTIKSFFQQPGSKAVNVSTTTFVTPVKTLQYMNDTCVSNSLQENMQGTTSASEDQDNTNVSSCSLSEDKCNAAALEWQRIQQKMKMTLPHCKGHREPCIPRSVKKGPNIGRLFYVCARAQGPASNPEANCGHFQWAPVKSKEKRS >Dexi6B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:6B:16751022:16755477:1 gene:Dexi6B01G0010360 transcript:Dexi6B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTRDSDSIAASDEESMARKGEMAGEVMVVRAWKEWGIQAVVLLSFTLQVALLVLSDFRRRMRSGVLMFFTWSAYMMADAVAIYALGHMSVISRSPEHRLMAFWAPFLLVHLGGQDNITAYAIEDNQLWLRHLQTLAVQVAAAACVIYSSSIITGGPTLLRWATLFIFLLGAVKYGERVWALWCAQRSATGNNYISFATEATPKIAPRFESYLFHLLAYKVLKAEGCRDSRVKEFHILMAHLMLNVPKSLLQGSPANGQCYEIQGEHLYKVVEMQLSLMHDVFYTKKEIIHSTWYGICIRVISVVATTAALVLFNLLVQNDHRKEMTDHNRVDVAITYVLLVGAVVLETLSMLRAAFSCWTFSQLKDWFSDCNTRQAHIVSFVRRHIHAANWRGRGWSASMGQHNLLQLSVHSRASRISKMARWMGLEDSWNMLVYLWSIPVSNFIEDKLMKQLFQLEVLERKETDQEDERDREDKEGDEESWEQELVLEVNGGGPDPGDEEERGDCVVLEANEGRPDPGEEAEELLVWDLVRRPADTIHQFFDSSDAFRSRVPTKLKGLGLYTFGLTQSIEERILIWHIATNIYLTWWYQEEHGDKDQQSNKASKAEAYKVEHSKQPTAEIVEALSNYMVFLLAARPDMVSPTGSRTAYIEMCYALATRRWQPETVNELARKLQRLGDDMLRYKVFCDRSTISKEKRHIIPSEYGFFVNMFGLEKIEYHLPSTLLIGCKLGAMLIRKHAADTLDVISQVWVETLCYAAKGCSAYAHAKQLSNGGELITVVAVLLEYLTRGALT >Dexi2A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:2A:32804438:32806678:-1 gene:Dexi2A01G0020650 transcript:Dexi2A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDETMAVLLCFSLAPAFGSNSTAAVSWIHWATQIAWSRRRHRALVAITIRERSTSSVMEILAAHLPGVRVIGFHAPTQNQHHAPRPRVPVRVQIKSQPGRPHGRDLTRASSSPPVAVAAPHGGAGTSPFRQGAKNHKDSNTPRQR >Dexi9B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:9B:1541884:1542171:1 gene:Dexi9B01G0002750 transcript:Dexi9B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGLLPSSVAAPIAIRGIAAPSYASSHRAPPYARRLRSSAAPAPARARRSSPAMDALRVSGAAAEPLLRPAAGGHPRLRVRRPNPFLAPPRGG >Dexi5A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:5A:22923066:22930251:-1 gene:Dexi5A01G0019330 transcript:Dexi5A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPHGHQTTHSAGERWPVAGGVERPHNQSREARARRYCSVVASGAAARFDDLQLTTYMAMAFQLLKKFMRQVEDRGYSDFLAEVSIINRLRHKNIVPLVGEPLLIYEYMTNGSLDQHIFHKGSARQQQEDTALGQWCTRYDITRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSDFRARLGDFGIACTVAINRNSVTGIAGTWGYIAPDYAMSYKATRQTDIYAFGVLILEVVTGKRNGDFLPDDDHITDWIWRLHGEGKLLEVVDASLDLAAGDDGVAEEAKLVLLLGLACTNPNPCDRPSMAEVLQVINKVKPPPDVPLEKPLFVWPPRDWRSHDSMDSTSGSNWDESLATTVAAAPAGLALEHQSSSSAATGGHAIVRSRAASGSLGAHGLGIMIMYVANIVAISSYCIWKLFFAGKSLLQYYWKFHPVPELARVRQEEEETRPLLRQPATLRIQDLPRQFSPGEIRAMTQDFGTMVGQGGFAQVFRGLFDDGTAVAVKRITATGDDETADFLREISIVANVHHRSLVRLLGYCLQRGGNRYLVYPFFENGSLDSWLFHGGEGRRRLLPWPTRRCIAVDVAKALAYLHHECHTLILHLDIKPGNILLDGDLRAHVSDFGISMSITRDLSNVDTRGRGTPGYMAPEMMINAVSAKSDVFSYGMTLLELVGGRRNFEPASTDASSATPDFSRNFFPYIVREKMARGELMEAVDSAMALVEQGEVETVVKVALSCIQSRWDMRPSMLTVVDMLEGRVVTDLPTESRRLSTVNVSDLLSSSLTHG >Dexi5A01G0023250.1:cds pep primary_assembly:Fonio_CM05836:5A:27290167:27290434:1 gene:Dexi5A01G0023250 transcript:Dexi5A01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQVSESIWSMDVQSLVRAWPASTTLLCVFIVLQMLHDNKNPEAPRAMSMTMLVALFLGCAL >Dexi2B01G0029710.1:cds pep primary_assembly:Fonio_CM05836:2B:38065421:38065723:-1 gene:Dexi2B01G0029710 transcript:Dexi2B01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGEVATHYTTDDALTRVGFGRFQALVLAYSGLGYVAEAFEIMLLSFMGPAVEAEWGVSGTGKGLISSVVFAGMLVGSIAGGLIADRCGRRYARSRKI >Dexi9B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:9B:8130229:8134518:1 gene:Dexi9B01G0012210 transcript:Dexi9B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMATNGGSRKIPCDCCKRYLDHLDGNNQNMRCLLRQMDANSKHSMVMPNRFVKHFAGKLSGIIKLESPNGSLYDVEVTKRFDKVVLRHGWRDFVDGNHIEENNFFLFRHIENSRFEVLILDADGCEKMLSCAGVSHGVQGKKLDSVYISSSSCHDTMESSASERVIRCEKGGSSHRGKTAKMAATSSSSESSGEDNLSENKSSESGDLQTNPGPGYVLSRGSYLSEAQEERAIPKRYALEHFLHERTNVTLQRPGKRKKKWHPIFYKRKDKRMYMLRGQWLDFVRDNHVQEEDICLLVPAKGSRSRRFTFTVYLLRATATHSRGGTALPRVRSCHVRSSTKMASADHIKEESTDADNISSESDKDEASRKPLKSNSGGPSEAPYLVSSRSCLSQSQKKIVDHKVRAIKSEIPIFVTTMKKMNVDVTCHYRLIEFGKQFATSHLPQRGQTVLLQCMKKTWKTKLVIRRRRWFLAGGWSSFARDNGLRVGDICMFELKKNEGELTMKVRIISREQFK >Dexi1A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:1A:20900784:20906306:-1 gene:Dexi1A01G0014340 transcript:Dexi1A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTSGTSSPQLRRRLWQAATDGDLQLFKRTATALDAGKGCLKDAVETVKSRGAGVLHAAAGHGRMSVCGYVVKELLVDVNASDDAGDTPLAYAVRSGSLDTCNKVFNTVCTPLFVALTAGSLKCVKLLIKAGADVKGVGTVTPLITAVNNGLTDFYNCLLEAGADPDVRDDFGHLPIELAAYQNRRKDVEILLPVTSRVPYVRDWSVDGIISYVKSMPSVEDDPMRNMKPDDLKLEGNKAYKRNDYATAAKLYSMCRKYFIDATSCI >Dexi5A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:5A:758609:765572:1 gene:Dexi5A01G0001130 transcript:Dexi5A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFEGSTRLLSSSNYNRWSFFFLHDISPAADPPPHPRVLEVGRALAGLIAILIDHVMPDTTMPGTDGRPEHVKFPAIRRRRYDTMRIQRVQVDRPKHAACGPILTNPSGHCTARGLIVLSTGARTARGRAGVCPPHRELPGQRRVIIEAGPGGAAGNFRYERFMEITPTEVNDRSTAKQAARCRAVPSCLKNSSVTVEWDGAHGNTGPVRDSPTAVKKMAYASMRPWPDTPEHRWMDRYLPRRPAGPRDEAVNYMGKDDEALAIHMTRLACLPRAGSVVAVQSDRARSATRLPEPCRSLLSNSSPPALHGAGRVFKQKINSVSGGPGWHGGNGGWEQTHKHPIMVSPRSNALQPTIRPSGAKLTADAQFKREKIGAPPSAARENIDTPRQSHFLLLHRAPIGLLFPERLRIVFRAQVGFLQCW >DexiUA01G0017090.1:cds pep primary_assembly:Fonio_CM05836:UA:36254759:36255869:-1 gene:DexiUA01G0017090 transcript:DexiUA01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANVQAITGYRHLNNRNLSVFNEFSKQLKGEVKSNPEFQKSIKEFGEKLGVVKEDLKVRTKKTTESIYKSVDDVWSEAEETSKKVTANIKEKVFAAKEEVKENFGIGKEETTSFKDGSPGASEHEKTEASSHSDGTSEDATSTQALFTKLKSTISSASPVVSGAFAKLKDTRVSTLAKQGYEIFKDELSSTSSRKKKHQARHAYDKVEKSTRTDLVIMPTKKSVLGEKWEAFKNKVSLEEIVQL >Dexi7A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:7A:24800498:24800740:-1 gene:Dexi7A01G0014920 transcript:Dexi7A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRMLSVICRLPAVSFPFPFCITRTTIADGLEHAEAEQDAAASSTPLRARRSRGRSTNWERRRHRPWSLAAPRGVGGGL >Dexi9A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:9A:15958083:15962730:1 gene:Dexi9A01G0020920 transcript:Dexi9A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHTQYLLLAPWVAHGGYLAATKGWRAADIGYLAILPSLLLRMLHNQAWITAARVQNARSRRQIVERGIEFEQVDRERNWDDQIILSGILLYLGALYMPGGQHLPLWRADGAILIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFSIPLITCALTGCASILAFEIYVIYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEETVDVVHLTHLTSLQSIYHMRPGFAEYASKPYASKWYMRMMWPVSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGMTWEKEAINNLIEKAICEADKKGAKVVSLGLLNQAYNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVVNSIPQGIDQVVLAGNISKVARAVAAALCKNNVKVVMKNKQDYHFLKPNMPEDAAENLLFSKTATAKVWLIGEGLDASEHFKAQKGTHFIPYSQFPPRMVRKDSCTYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKIWSAALLHGFRPVA >Dexi4B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:4B:18680761:18681735:1 gene:Dexi4B01G0016590 transcript:Dexi4B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRSATGELAPVPPARDASSRGGSSSSAASANNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNNKTTSTAATSSGEETVPSDGGAETTPATSTSTVTTTGSPSSATMDDDEEERIALQMIEELLGSSSPVSHPHGMLHGEGSFVI >DexiUA01G0013300.1:cds pep primary_assembly:Fonio_CM05836:UA:27692740:27694030:-1 gene:DexiUA01G0013300 transcript:DexiUA01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLVEVAKARRPRRGRGGNSGGGLGCCPADACRRLLRLCTHGFKKRKSGGSAGGGGGAAFGSGLRSALSKVLCSRAMHRVLRWEDLGTGCFSGAGSCGGREFRRLRRSLGDSGECDPRAMVFAEDDGDEERMGWKADMDVDSSRQLSPVSVLDLHSDDDDESSPVHSGWEDEKPSTSGSSPPSESFLGPASPCFSFSYNLHDKFCEMEVDEAEDETGRSGRSIEEHISSWEKIAGDISRIPAMMELDLSRSMRQWWELKPEVSEIGDKIETLIFEDIRRETVCDMLTSHCTLAAAATSC >Dexi3A01G0032320.1:cds pep primary_assembly:Fonio_CM05836:3A:37067518:37068483:1 gene:Dexi3A01G0032320 transcript:Dexi3A01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKLLQLVRIPAKGPLKLNVSLSRSKNNRHPRNGGHLKEREADGLVIHLHQRAPTGNQPCPAIVEEQLDVGDGVAEPGDGERGGLVTHLEWVHRRSTGDAAGGRVERVEQSAIDVGAQRGVSRHLPCHDTTLSGAGVEVEGPRREWHGLTIHVDAIDMEVVATIVGVLEEGDLVLTEIFGEVDGGSRRRHEMERASTKDGGTGPPAPGETQSELFGHLEHQCDLGSLDIPATPQVFAGSESVKSTSIEHAPSGMNVTGNSGFSPSVAFAERVKVVASVMAHSPVIGFSG >Dexi2A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:2A:25110869:25115722:-1 gene:Dexi2A01G0014910 transcript:Dexi2A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAMGTLAPKLLKLLQDEVVAQMGLKREIESLCRELPMMDAALVDVSKVPPEQLSETDKLWAEHARELSYDMEDAVDAFMVRVDRREPANAANTNIFKKIARKTKDAMKKVKDSHQISDKIKDIKDLSKELAELRAKYTFSGAAHAKTSDVDPRVINLYQSKGRELVGIEKGKEELLWMLTHPEDDKSLKIVSIVGSGGLGTTLSWMTFGKRKYGKQSAAVYRTVTVEVMMGNLVCLEELKLLVEVSVDDFVAVLGKLTRLRKLDIIFHCSLNETSSEAMMQSLNNLQEIRELKLTTRFSYDKVVSTWKSWKPPRQLWSLETNMGLYPQYIDPSRFQRVRYLCLSASQITAVDMRKLALLPELMYLTLDVDGSGRRVIIAAHGFGNLRVCAAKSTKFFFLQGAMPRLESLRFEARPGDDLDFNLAALLSLRDVTLEVHCYDYFRGHVEEAEAVVRH >Dexi4A01G0022970.1:cds pep primary_assembly:Fonio_CM05836:4A:26191083:26191310:-1 gene:Dexi4A01G0022970 transcript:Dexi4A01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKFHRSSIRRLQVGNKRKFLRGFFCERIMSLTCRTDVSASLSQDRPFLPGVAGATPHTGGGGALRLRPFATEA >Dexi8B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:8B:17721485:17723505:1 gene:Dexi8B01G0009830 transcript:Dexi8B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVTGPPCSSTYAVLAQRAAALSSAAPGYFRWPPRHRSTATAAAAATSDKAKAAKPWADLVPVYGALGVTVSSVTLGLGTAGHELAHAPNVRLDKKKRKTVPEVAAPDLAVGPRYRFDLLLVEKKAVTLKDAGVDPPGIEHSRGEALQPRHHCPARAPKPDVHCTRRSAGTPARALSRGGERGDATCGAPCEEQAA >Dexi5A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:5A:9948963:9954007:-1 gene:Dexi5A01G0013210 transcript:Dexi5A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGSGAGAGGAPLLVGDGRVTWRDRCPGCRQQRKVHASDRIPYVEFLYIWISCLCAALPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISIVSVVVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAQKYPNLFSEESIFGRFPYFLPCFVISLLAAGSCIACIWLPETLHFHNDDKVEAIDEVEAQTGDSILEAGKVKESRGESTKNLLKNWQLMSAVMLYCIFSLHDTAYLEVLSILLLASYPFMANLHGLELTILINIASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSMFKAVAPAAAGILFSWAQKHLSGLFLPGDQILFLMLNMVSVIGLVLTFKPFFSLPNATRRS >Dexi5B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:5B:21974148:21975578:-1 gene:Dexi5B01G0019640 transcript:Dexi5B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWRPRRRRPSCSTGTQETAIKRKAVEEADSGDSSNTLLRNLNLRVKTLEAEIERIKEKHRLLPSTERSRSRRRDPAAAAAGAAGRHIPSLGDDLLLEIFLRLPSLATLVRATCTCLAWRRAVASSPDFRRRFRALHPPILLGFFFIACESSPTRDVPDFPAFVPARTRDRDQAAAIRGGDFFLTSLLGRLDEPPCWDIMHVSRGYALLMNCHANLIAVFNPVTRHTKGIFNIVSVDTATVPTSGLLFWEDEESISFRVVLLTVEEDKKVRLIVFTSDTGFWSVGRAVDFPARPDGGENPWLSETSSKHTNGILYWVYEDHRYMTSLNVATMEFSVTELPQCLIGRSFYIGHTKDGKTCIVYADKFIIGVCLMQTCGGNNGVDRWVHDSVVPMDVELHRVLPIQFDVDSELDVLKVRDGYVYLATSRMHQIPHESWFLTLCLETMKLEVLFRKTYDGFAHAYIMAWPPSLLGNNH >Dexi4B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:4B:3604491:3605518:1 gene:Dexi4B01G0005050 transcript:Dexi4B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALDMSLDDLISKNKKSQPRPTGRGPIPGGGGPAPGPARRRFNARAAAAPYHRGTASPFQAQARRPMGYSGYGATQPFPRMAAALDEPTKLHISNLDYGVSNDDIKELFSDVGDIKRYSINYDRSGRSKGTAEVIFSRRSDAIAAVKRYSNVQLDGKPMKIEIIGANIEATPPATFAFNPPAGNFKVPFKSH >Dexi4A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:4A:20307623:20309022:1 gene:Dexi4A01G0016680 transcript:Dexi4A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEWTYGSAFSWGRVDMVGTSNGLICLHDSSYGDRSTITIANPITGEALALPPVPRVRNSTGSFGSYGFGYHPTTGQYKVVHVPSCVSLRPDTVHVLTLGCSVWRKAVPSMADVTYYDCSGGVVCVDGSAYWFSLSGNRVVALDLKDERLTSFPGPPGMRSIGVASEASFKLTSVNARLGVVFPSYKPATTRVVVWILDGGGEEQPRWSRRYTLIDRTTGSLIVLTPHLTHGKDILTMSRDMDGLYRHKVGDCTDVDDGRTAQLVLSEGIELIISEEEGNIRTFAYVETQEPLPIIPQ >Dexi3B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:3B:14895297:14896380:1 gene:Dexi3B01G0019910 transcript:Dexi3B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLRLRAVPLALLLLAAAAVGVSARAAGEERQEEDPEVAACRQQCARQRQFQEAERRHCLWQCDEYGRAKRRHEEEEEREEGPEREREIERCLHECRVGPPKPGCEGRCREAIIKTLQSWYSSQILF >Dexi7A01G0023480.1:cds pep primary_assembly:Fonio_CM05836:7A:31445162:31454381:-1 gene:Dexi7A01G0023480 transcript:Dexi7A01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRFASGVGPSRAAPRPGLQIGAAAGNVFRPCSLRRPRHRGGGVCGGGNGNLMVASALRGCASSGLFHLAPKHHGSPLGFRTRERPRPRCQGNDSLAYVDGPLEGTQGSGEVNDEDDATSSGSDDDDKGGPSGGGREVDLDGLRDLLQRSRKDLEVASLNSTMFEEKAQRISESAIALKDQADSAQKDVSAAVATVQDIISKEADAKEEVQKYTMALSMAEARLQLAAEALEAKRGSVGPMEVSFEGVEEEALASAQEEIGDCRAVLSKCEEELRRIQEKKRELQKEVDRLTELAENAQLNASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALHKAEKAISSVDTVVELPLPAEEQKSTAEDSVSEGFEYSTDATDDVSARDEVANIDLAAEGIEQLEPSREISDETTSDKMLAEPQKEAEPDVDKSKQGKEYTKEPLSAPKALLKRSSRFFPASFFSSRADGEFTPASVFRGLMKSVQKQAPKLVVGILLLGAGSFFLNRAEKSQLFQQQGITTSIGETTKPVVREIKQIPQRVKKLIELLPHQEVNEEEASLFDLLYLLLASVIFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHETKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGVIAHRFTGLPGPAAIVIGCGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEAMGMAAVKAVAAITAIIAGGRLFLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTLLVTFVGRLFGVSTIAAIRAGLMLAPGGEFAFVAFGDAVNQSSRKTNEHYYVFMQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQQDVRSLLPVESEVFTDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRRYGACCNNLNLKETMDSSVRQRNAIGLQLGVHLTFQYILGMLEAKRYYTKLELRELALLRLLWTLLVVPETLEPSLQLAAAVLAQAKLPMSEIQETINEFRNRHLSELTELCATSGSSLGYGFSKVMSKSKSITDDESETVDGALAI >Dexi9A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:9A:5004850:5007691:1 gene:Dexi9A01G0008460 transcript:Dexi9A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGRPVACCCAAVLLATALLLSAPDATARHAGGGSDGSHHSITGGGARVPDFSHRPQRAGHCGRSPFGSKDSPHLRSAIEGPGKWTGQPLVECTSHMCPVKINWHVEQNYKDYWRVKITITNFNFRMNYTEWNLVVQHPNFDNITRLFGLNYKPLTPYGAGINDTAMFWGVKTNDVLMQDGKLGSVQAELLLRKDSQTFTFGKGWAFPRRVYFNGDNCVMPAPENYPSLPNAPSA >Dexi5A01G0039470.1:cds pep primary_assembly:Fonio_CM05836:5A:40086471:40087081:1 gene:Dexi5A01G0039470 transcript:Dexi5A01G0039470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPPLAMKALAATPRPVFARAPPPALMAPVVFRRPSSRCAPVRASAAAAEPAGEEKAGDGGAAAPKKVLKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYQDRGEVTN >Dexi9A01G0023740.1:cds pep primary_assembly:Fonio_CM05836:9A:19161297:19163160:-1 gene:Dexi9A01G0023740 transcript:Dexi9A01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGGAATSRIGITGALGLSVTSSVAIVICNKHLISSLGFFFATTLTSWHLAVTFITLYVAQRLRFFEPKPVDAATVVSFGMLNGISIGLLNLCLGFNSMTKLAIIPFTMLLETIFLDKKFSQSIKASVVVLLLGVGISSVTDLQLNLVGSIISALSIVATCVGQIRRLEVSSTQLLYQSSPYQSAVLLVAGPFVDKFLTKRDVFAFHYTFPVVVSIYRAPEMMSGMQLDSRSPDEQIKFCSRVTIVAYIYVPVAQAFIVLSCSIAVCVNLCTFLVIGTTSPVTFQVLGHLKTCLVLSFGYVLLRDPFTARNVVGIVIAVFGMGLYSYYSVSDIGKTKKQTTEAASSLLPATTTQMSEKDSVPLLSGEEGSPWPESTGEESFDYVPRTAKSAFAGV >Dexi3B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:3B:6035017:6036030:-1 gene:Dexi3B01G0008690 transcript:Dexi3B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAAQHQQQRLPLPRFHHQSMHHHQSQQGMVDAAAAGGGCQLPSPPTQQQQQQRSSSNKVTGGGGGGGRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFATALDAARAYDAAARALYGDCARLNLLPPPPPAAAVVPHSSAPPATGSGMMVINKASPVASSPLPSSPDAMAAGHHHQQQQLQYRYKQEAMAPPPMVTMMMAEPCCSADASSNSTNYSSSSSAAIEQMMMVDELAAEDFEDYVPPEVFDEAAGGAIWDDDDHAAAAWPATSTAAMMVDSSSDDTTGLSQVVVPL >Dexi3B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:3B:12259138:12260511:-1 gene:Dexi3B01G0016780 transcript:Dexi3B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNGFNDVRAAVESSLSPAAAVAAAGKKAAASSLAVLVKMCPSCGHRAQYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSLNLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGIRKRRKVHSGDGDDGGGGETRWHKTGKTRPVLSNGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAKEAVAAVTNSNAAVAGHHHQGGGVFREANGIDHQFYSPGTMIGYGQGLPNNRAPAPPHFMPSFAAAHAARASFGP >Dexi6A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:6A:24688513:24690009:-1 gene:Dexi6A01G0016840 transcript:Dexi6A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLAISLLCYYLANLRRRSGAARLPPGPMPLPLIGNLLDLRSGNLHHMLARLAHIHGPVMRLELGLTTAVVISSRDAAREAFTRHDRRLASRAVPDTARALGFSERSMIWLPSSDHRWKNLRGVVATHIFSPRSLAAARGVRERKVRDLVSYLRDHAGREVDVGQVVYGGVLNLVSSALFSADVVDDVGAESAQGLRQLVEELVELVAKPNVSDLFPFLRPLDLQGRRRHAARCFEKVFCILDAMIERRLAEATSSSSDRLLHGDFLDVLVELMAEGKIARDNVTTILFDVFAAGSDTMAVTVEWAMAELLRNPSAMAKLRAEIRGALGSKATVEEPDAVGLPYLQAVVREAMRLHPVAPVMLPHQAVEDGVVVCGYTVPKGSTVIFNTWAIMRDPAAWDTPDEFVPERFLVENAAEVIDFRGKKFEFIPFGSGRRACPGMAMAERVVPLILASLLHAFDWRLPDGVSAERLDVSEKFTTANVMAVPLKAVPVVPT >Dexi6A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:6A:6938985:6944154:-1 gene:Dexi6A01G0007100 transcript:Dexi6A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKEAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCQLYKPKSEVPAYLEITDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFEDSDITHVDDTVDPVRDLETISEELRLKDIDFMNKKIEDLEKSMKRSNDKQLKIEHELCDRVIKHLQEGKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMSEKDFQRKKNKFLPKIHAWYYFLKSIFCKVSIMSCSMIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Dexi6A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:6A:26229981:26232784:-1 gene:Dexi6A01G0018490 transcript:Dexi6A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGGRRPGPWRWPEALRRLSRLAPPTPAPADPAVVRVDRTNVARLGAPKRGPKPRQLLSLPPFPAGADPLPGRKVVPRRVTAVSWVKHYFADVPQEAVQAHFNRRMVFSECSESEVSTEIIRAQKHLLKKIKHNDVMEPGIRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVVHRDSAILVLNKPSKVPMKGNLPVHNSMDVLAAAALSYGNKEGPKLVHRLDRESSGLILMGRTKESFTRLHWLFTSVNLAKTTSQTWNKACEAYVQKYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAITEYRVLGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLSSTGEWHDDGASWAKEKPNVLRFIAPMPPHMKISWNVMSSYLV >Dexi9A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:9A:1389363:1389897:1 gene:Dexi9A01G0002590 transcript:Dexi9A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRHPLALLLLLPGLLAAAGADDAQAAYEPTSLATHVLRDCALDLPTAACCAPVLASVDLGGGVPYLLHVAARPEVALAGLNASHLIAVYDVCGGLGNGGAQLAARRLRDVHLADRRVGDARALYNDESGTPTSPLTDVPFVVVGIPV >Dexi6A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:6A:27611350:27621201:-1 gene:Dexi6A01G0020060 transcript:Dexi6A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPTATPFAKGSDIWRQPPALSPQGAAIWRQPAAFLLSTQRYGSGHNRSKMDPGKSIDDRFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYHNVTVIEKCQNVSGMCESIDIEGRTYDLGGQVIAANSAPVITHLAKELGCEFEAMDSHKLALIDSQTGNIRDLEVAEDYVSMVSLTLKLQASDEANRSGRVGIHAVGGLASDPTLEFLKQHGLTSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSFWERLSQSLPFEVLCDTEVLRVKRDSCSASVLIKKNNDDIEVREFDKIILSGSLAFKNGKTYRSSSLTDGENEVVELNDLERELFSKVQTIDYYTTVVKIEGFEHMPKGFYYFGEYMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSDVAKRVTDAVTSMGGTVNKVVLQRRFKYFPHVSSEDMKNGFYERVESQLQGFQNTYYVGGLLAFELTERNASYSISAVCKHFAIDSELPVIPYVKRLFPLSRRNPSSPRDLGELEGVEFPDLPSLDAYLEYWGTHKVTAKNVIYTWINEEGKIVNRRTYKELHDNASHIAYRLLTSTKPIIKPGDRVLLIHLPGLEFVDAFFGCIRAGVIPVPVLPPDPMQRGGQALLKVDNISKVCNAVAILSTSSYHAAVRAGYVKNIVTLAKSAQKCSAQWPDLPWIHTDSWIKNYQRSPGSYNSECAKSMITKPQPSALCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLISWLPQYHDMGLIGGLFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKSYDLSSMIFLMIAAEPVRQKTIKRFIELTEPFGFSEGALAPGYGLAENCVFVSCAFGECKPIFIDWQGRVCCGYVDPDDPDIIIKIVDADSLTEHMDGAEGEIWISSPSSGVGYWSNKEISQKTFCNQLKNYTTKSFTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVEGSSDVLRPGCCAVVGVPEEVLTQKGISIPDSSDQVGLVVIAEVREGKAASEEIADNIRTRVAEEHGVTIASVKLIKPRTISKTTSGKIRRFECMKQFVDNTLSLANSNHISKKKSLFRSLTTGTGMEIRRPSLKQAIDPTVSPQPRIKVKNFMEIIEFLTQLVSDQTGIPKEKISPNDSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFTASCISELANFLENLVHKSQPQLAPQPRGKVKKSKEIIEFLKQIVSDQTGIPKDKISPTDSLPSYGFDSITVVRAAQKLSDFLGIPVGAIDIFTASNIAELAIFLEKLVDKSQPHLESDACFSSEDENLAITDASSSDLSVYAIGTLQVLALTYVCFILLLPAYLASLMYMGMLSSVRLVKLSLLSYLSSLVLAPIAWIFYALFTSLSLSILGKSFLQPNYVLTPDVSIWSVDFVKWWALYKAQSLAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLAVADGAVIAEGVLILGHEVRNEVLSFRHVKIGQKASIGPYAVLQKGTIVHNGVVVPPLHNTEQGKSAYIASKTSTYMKEEERIANIAFEHLVSIYAVGFLGALSSATVFMLYNHFSGATASLQHFSFACVAGAFHWLPAVIAAYAVIVRETTTSPVSFSLCIAFAYLSYGIILILLTSITNKALATTLGAKKKDMASLIQRRLTVAVHLRFAKMLSGTEAFCMYLRLLGAKIGRHCSIRAINPVANPELISIGDGVHLGDFCNIVPGFYSKGFTSAEIKIQDNTVVGSGSLLLPGSVLQENVILGALSVAPQGSVLQQGGVYVGAQSLTMVKNTMIIEDERIEQMDPAYKKIVGNLSANLAITTMNVKSRYFHRIGVSGWGILKMYQDIPSLPKHKIFGAGKSFPVIIRHSNSLSADDDARLDARGAAVRILSDDGEVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKKSPHIRDAVWGSLRNTDSYTILHYYSNICRLLRFEDGKEMYAKFKLRPADKDVPETSGEVVPRGILPPETGAIPRDEDDTRPLLFLAEDFRHKVESQEGVRYVFQLQLRDVPGDSAARDVALDCTRPWDEAEFPYIDVGEISIVSNVPTEETEKLEFNPFLRCHEMDVIPATSCTQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVMAATRSSSNVRHVTTKHVTLARTWYQALWATVCQPLLQILVPYFTMGMVIFFPFRVLLLAGGSGAAALYWTLPIFWVTSGFAAMAACAAAKWALVGRRDDGDTVHIWSPAVFMDTVWQAVCTAAAEYFAELTPGSVPFAAWMRAMGAAVAADGGVYVDSMGALLNPEMVVLERGASVGRDALLFGHVYEGEGGEVKFGEVRVGEDGFVGSRAVAMPGVRVDDGGCLGALGLAMKGEIVRNRM >Dexi5B01G0038780.1:cds pep primary_assembly:Fonio_CM05836:5B:37863949:37867940:1 gene:Dexi5B01G0038780 transcript:Dexi5B01G0038780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAQSAALLAAAAAIAAAAFLLHPYSHLSWTTRGRFADMILANATIYTADPALPFAAAMAVRAGRVLRVGDYESVKELKGPRTYELNLSGNVVLPGFIDSHVHFIDGGLQVWLSRMDGHMGIANSLAMKIAGIDRSTHDPIGGTIIRTSEGEPTGLLVDTAMKLMFDVIEKVSTHVRREALLRASRHALMRGVTTVVDVGSYFSGVTAEKAWQDFSDVYEWAHSMQNMMIRVCLFFPMPTWSRVSDRIRENGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPANYGLQVTDIDSLLNRTLESDKSGLQVAIHAIGDKANDMLLDMFDNVVDLNGVKDRRFRIEHAQHLAPGAANRFGKHGIIASVQPDHLLDDADFAAKKIGFERAERSSYTFRSLLTGGAHLAFGSDWPVSDINPLQAIKTAMSREPPGWEVPWIPAERLTLDESLKAHTISAAYACFLDHAVGSLSPGKHADFVVLPSTSWDDFSGDLPAHVLATYVSGKLAYP >Dexi4B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:4B:3847595:3850381:-1 gene:Dexi4B01G0005440 transcript:Dexi4B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSSVKLAGGTLMVSGRTVLSGVPAGVVASSAAAEGPVDGVFIGADLAEPASRHVISLGALRGMRFMACFRSKLWWMSQWMGDKGGDVPHETQFLLVESTGGEDDTAAAYVVFLPLVDGAFRASLQGGGASAGDDELELCVESGDADTRAAYFDRALFVGAAADPFAAIAGAVAAAKSALKTFRLCAEKKLPGIVDYFGWCTWDAFYHDVTQEGVEAGLRTLVAGGAPPKFVIIDDGWQSVDTDHPISDENSGEATQPRPLPRLTGIKENAKFQNSDDPATGIETVVRAAKEEYGLKYVFVWHAITGYWGGVRPGEVGTEHYRSSLQFPKISPGVAENDPGMTTDWITAQGVGLMDPRAVYRFYDEQHAYLAAAGVDGVKVDEQCILETLGDGHGGRAVITRQYHQALDASVAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFFPREPASHTTHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGEYHASARAISGGPVYVSDAPGNHDFELLKKIVLPDGSVLRARLPGRPTRDCLFTDPARDGVSLLKIWNMNKFTGVVGVYNCQGAAWSSVEKKTVFHHHTGAGGALTCGVKGSDVHLISEAATDAEWNGDCAVYRHGSGDLVVLPDGASLPVSLKVLEQDILTVSPIKDLAPGFRFAPIGLVDMFNGGAAVEGLTYHVLGGAKLAGDDGSAPSSEAVGLVCMDVRGCGRFGAYSSVRPRRCTLGSVEMEFAYDSSSGLVTLQLEKMPKESVHKIVVEV >Dexi3A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:3A:14949087:14949834:-1 gene:Dexi3A01G0019120 transcript:Dexi3A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKVCV >Dexi2A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:2A:27245607:27248702:1 gene:Dexi2A01G0015840 transcript:Dexi2A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGFYTEIGKKTRDLLYKDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITIDVKANSNSNVITTITADEFAAPGLKTILSFAVPDQRSGKFELQYSHDYAGVNASIGLTANPVVNLSGAFGTKALAVGADISLDTATGNFIKYNAGLSFTNEDLIASLNLNNKGDSLTAAYYHNVSQLTSTAVGAELTHCLSTNENTLTFGTQHALDPLTVVKARFNNSGKASALIQHEWRPKSLVTISAEVDTKTIEKSSKVGIAVALKP >Dexi9B01G0037170.1:cds pep primary_assembly:Fonio_CM05836:9B:38650585:38650972:-1 gene:Dexi9B01G0037170 transcript:Dexi9B01G0037170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLQTDAAPALDGPPRLRLRRRRFERAIETLTGASSRSTAGARNLHAQRDK >Dexi5A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:5A:4672687:4674488:-1 gene:Dexi5A01G0006340 transcript:Dexi5A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLGCAVLVAARRFRKPITLLRSLGVLGSCPPAVRHNASEAPPPVGLSPCFRQSSLPPGNVLGSLRFFHASASESSSEVHACEVQKVLKSIGGGVNADLGHALHQFADKMDEDVVLKVLQKQRSNWQVALAFFNWAAGLPGYAHGSRTYTEMLDILGRMKKVRLMRKLFDEIPMERWEVVVTNRMFAVLLNRYAGAHKVQEAIEVFYSRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFREKKDEFPHVIKSWNIILNGWCVKGSLREAQRIWNDIIGSKVERDLFTYGIFIKALTKDGRISSAVKLFNRMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRRCQADVATYNTLIKYLCNIKRMEKVYELLDEMEAKGCSPNNRTYSYILKTAEKPKDVIALMQRMEQTGCKLDSDTYNLILNLYIGWKYEKGVQQIWDEMERSGSGPDQRSFTVMVHGLHSQGKLDEALQYYTTMKSRGMIPEPRTNILVKAIHMKKNGAATEDVSPHVTGKNLKLDPRSSLFHVHR >Dexi3A01G0036100.1:cds pep primary_assembly:Fonio_CM05836:3A:41503783:41504406:1 gene:Dexi3A01G0036100 transcript:Dexi3A01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAVERTEADVLAWRPEVRLINDTAVIADTLRKLVTVFAYLSLTWSTVVLLGGFVSNLKLIDFWFLTAISALFAVKSPKYQASESWEDFRQHKNNTMAMARVSVELERAIV >Dexi2A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:2A:31491564:31495996:1 gene:Dexi2A01G0019270 transcript:Dexi2A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALLAALLLVAAAASPAAALYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCKQLAPAWEKAASVLKGVATVAALDADAHQALAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKASAGSSGKTSGGSSEKNEPSASVELNSRNFDELVVKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYNVQGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANSGPAEVSELTGPDVMEEKCASAAICFVSFLPDILDSKADGRNNFVWTAAGKQADLENQVGVGGYGYPAMVALNVKKGAYAPLRSAFQHDEIIEFVKEAGRGGKGNLPLNGAPTVVASEPWDGKDGEEIVEDEFSLDELMGESSPVNDEL >Dexi7B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:7B:9560931:9563662:-1 gene:Dexi7B01G0003860 transcript:Dexi7B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHLENGVRQYIEDALKPDYVVTADDVGTLLAIDCTPFDDNGRHGDLVTEFANSGNKITCDPEMQSHIDACISSGRAEFQVFLLTKIPNGRTTQFSVVSYTGANLFFTTKGLSESNLTFTTKGLSESNNEDYDVRLRDLIVLVIRTFQKKAIDAKRKGKAM >Dexi2B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:2B:7510578:7515821:-1 gene:Dexi2B01G0007150 transcript:Dexi2B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAASAARSPLLVHHHRRLPQVPSGGGGSLRVGGAGRGREVGWRRARLGIRVFARYSQAQDFSTRLQVSGCVVLLFVFYLNADRVGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDLSKTANTSSGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPSVPYDVIQSILREELQRPLDSVYEYIDPVPIASASIAQVHGARLKNSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVSIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQYCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGNDINIDEFAKDLRKIFSSIQNLDTEIIVATARGPDATAVSANVVLDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINISTNRQPRRTDRFQ >Dexi4A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:4A:23533635:23535754:-1 gene:Dexi4A01G0019660 transcript:Dexi4A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEDIIFDVLSRLPAKELCRLRCVCKGWRSLMSGKAFIAAHKSRAGPLIAGVFRSQCTGHKLELRVMNMDDGSILRAPRPHLRPRHVPRRCRHYRRSSGWVDVGALSGAYKIIRIHKSYCGNDKLCEIATINGDSSSEPTTWRQRPLPPVKTFWCQDQKATVNGILYFVSYNDDGTLQDGRYRYHVASFNLESEEWMETIDGPAMRLRKDTESWKITLAELKGTLNMVQTLIGVFRGPQKPVTYMPFYQDTLDLRVFQDVEKRELQRTRLDLVCLHDVLFGAGAMDPATGRMLRINGYLDDPTALAVPRRLTCTRPSACSTSPSRSPR >Dexi1B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:1B:25099037:25107367:1 gene:Dexi1B01G0018920 transcript:Dexi1B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTFGLIWTTTQNNLVLTIVARLHRMKMKVDIRAKKIPPAVEDEHHSDKTGVQAIEEHKQEQGSASGHVQALLDMDAETVTEKILYFSKCLNFDTPECGDYHPEYDQEQLNQLGEQLALYRIRAYELTVDRKLAELSDENLKLEYPASKLYDNGFFEYYEESLEWYFDPERCTSARFDNYQRLVLHGYRGYLDWDFYCSVLNTYEEDLAYVQYFEEVANKTKWIEDYLGDSKIEWERIRSLALMQALEIAAGLPNVSPLLVTYGFQEYINSIKRGYYSKGLDGLYFEIWKRVAKEKMNFKEALLEIYMKDMFPSRSFEIKHELENNLGRSPIKNFYDARVASIDKMTLDDKARQLIREVIRGSVSQPLYYLDYARRKLDIANDIELIPKAVGQEKQHLMWRKRALRLKMDNKLEQSSSLDCGKITQDDDEGGHSGKKTPPAVEDEHHSDMTGVYAIEEHKQEQWSASGHVQALLDMDAETVTKRILYFSKRLNFDTPECGDYHPAYDEEQLIQLDEQLALYRIRAYELTMGRKLDELSDENLELEYPTSKLYENGFFKFYEESLEWYFDPQRCKSARYDNYQRLVLHDYHGFLDWDFYYSIDNTYEEDLAYVEYLEEVANKTKWIEDYMGESKIERERIRSLALMQALEIAADFPNVSPLLVTYGFQEYIDSIERGSFSKGLDGLYFEIWKRVAKGKVSASMSFKEALLEIDINNMFPSRSVFIKHELENNLGISPIKDYYDAWVAGIDKMALDDKARQLIRDALRESVPRPKCYLHYARRKLDIAHEIELIPKERRRVVAPQGKGDNGKAKVQMND >Dexi6A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:6A:22278458:22278923:-1 gene:Dexi6A01G0014910 transcript:Dexi6A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGGGVAVARLGNVRQFGLRELQAATDGFSAKHILGKGGFGNVYRGRLADGTTVAVKRLNDPASASGEAQFRTEVEMISLAVHRHLLRLVGFCAAGGERLLVYPYMPNGSVASRLRAVESE >Dexi8A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:8A:9989959:9992649:1 gene:Dexi8A01G0008450 transcript:Dexi8A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIADNHQVQRATDAIKAAPVSNTKSGGPGDGTCSDSSRSAEEGSYCLIKSVKHLSDRGFTRLPDRYILPASERPGDGSGRVKLPVFDLARLRDPRQRAAMIEVVNHGVAREVIAGLLDVARRFFELPVVARARYMSPDVRAPVRYGTSFNQAKDPVFFWRDFLKLASCQPLSAVVASWPDEPADLREVAARYAMANHQMFMELIEAALEALGIACRRSLLGELAAGYSQIMLNCYPACPRPDLTLGLPPHSDYCLFTLLLQDQVEGLQVMHHGRWLTVDPVPGSFIVNVGDHLEIYSNGRYKSKLHRVRVNSTRPRISVASFHSLPAERVIGPAAELVGEGNPRRYKDTDYATFLSFLASTEGKHKSFLQSRKL >Dexi9B01G0039200.1:cds pep primary_assembly:Fonio_CM05836:9B:39979902:39983782:-1 gene:Dexi9B01G0039200 transcript:Dexi9B01G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNKWKVVEGGGGGGCAGVAVAGDQRRRCVAASLSMLIAATLAFLAYVAFFPNDGAGGLYRLWSCQDCAGELLPGDDEAADGPSSSPRGVARAPTTLSHIVFGIGASARTWDQRRGYAELWWRPGQMRGHVWLDEEPVTPWPSSTCPPYRVSPDASRFGDRASAARMARIVADSFMAVAAEVRNDTARDDGEPPRWFVMGDDDTVFFPDNLVAVLRKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAALAKAMDGCLDRYVYFYGSDQRVQACLTELGVPLTREPGFHQVDIRGDAYGMLAAHPVAPVVSLHHLDHIEPISPRGKTALEAARPLVGASRLDPARTLQQSFCYQHGPGGYVWSVSVAWGYTVQLYPWAVAPHDLEVPLQTFRTWRSWADGPFVFNTRPPGGGDACARPAMFFLSAARNETAAPARATVTEYAKHDAGSPPGTKKECDRASFRAASTVHTVRVVAPRMSESDWWRRAPRRQCCRTRRTRWGSVLEVRIRRCGRGERTSP >Dexi9B01G0040960.1:cds pep primary_assembly:Fonio_CM05836:9B:41457309:41457647:-1 gene:Dexi9B01G0040960 transcript:Dexi9B01G0040960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYCSTVVGRDGGKKKTHTCAKTVSGRCAVALSVLPRNPPHRNDHIPAHPAGLDLLSFSLSSSMKRCCVFSSSSLKIDG >Dexi2A01G0032590.1:cds pep primary_assembly:Fonio_CM05836:2A:43033865:43034421:-1 gene:Dexi2A01G0032590 transcript:Dexi2A01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKEHLAFAEQLLSDPTPDVVLLTEASIAGGASRMMPASSLKEVWMAMARNLGIDCVDIGTISGAQAPENVAELARSSSRFSGKPTVMIGCQDDAVPFSCDLIRAASHLMMESRGRNEGASPGLVCVTGSLHVVASVLQHLEQH >Dexi1B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:1B:19626509:19628912:1 gene:Dexi1B01G0013630 transcript:Dexi1B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVSGKDSLPVDLPKPIDNEKPVEVAHANVKPFSVHPLPPTKTSDVLTKSSIGSDDSKEEKTQYYPEHCIFLPYHSGKEIKHRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKQELRNYTWEGFLAVTRTTITSKKQRKVGLLRRSKADLFMGQSDGDTEMANGGGSSNSDNGDVEISAS >DexiUA01G0024440.1:cds pep primary_assembly:Fonio_CM05836:UA:50912093:50912976:-1 gene:DexiUA01G0024440 transcript:DexiUA01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRSSSSQRDQPHPSSSHQTPCKLVAEPFEFADDPIPEEQEQQ >Dexi9A01G0028620.1:cds pep primary_assembly:Fonio_CM05836:9A:33397116:33400113:-1 gene:Dexi9A01G0028620 transcript:Dexi9A01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLIDCSGCRTPLQLPHGAPCIRCAICGAVTHVAAAPPAEPSRAAVQPAPGWGPPPPPAHGRKRAVVCGISYRYSRHELKGCINDAKCMRHLLMTRFNFPDDSIIMLNGWNGQYMWEDHRPRSGVWKGTSGGEAISFSGCDDNQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRSTIRNTGESAGVGGGAVTSLITMLLTGGSLSTGGLKQEPQLTACDMFDVYAKPFLL >Dexi8B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:8B:11783155:11784226:-1 gene:Dexi8B01G0008610 transcript:Dexi8B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVAWSSSSHTDHGTRLWGGAQARYSRTSSGELGARTSFGELGAPRQQWGSPVGVELELTASELAGAELGVIATELVRVGALGQRRGAERRRQEGRWLRRGRVGDGSGGAAAIGVQIQVKIVKNKHAPPFKTALLELEFGKGLGRESELIELGCKHKFITKSGVFYHMNGQSFQGKDGIKRYLSENRGAQEDLMTMLREKMAQNESQLDRYEEGVNLDKNASEEMASTTDEEVNDELEA >Dexi6B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:6B:892909:893954:1 gene:Dexi6B01G0001070 transcript:Dexi6B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAASTQDNGGEQREGNARWWREHRMDAHCFWPTEPNLLLAGRPLLLLHLQCLAFPTLHSLLLQQAQAAVAWRRHLAPAASTEAARRSSEESRAGPSRIEAQIDAASNNADGSSSLEDDGRRAEEGGRRCPEAVGALGQFVSGLSASVNWFGLVPPSSRGGGGAHRLHELLVGERPGRQAHLQRYAVATPLVTSPSILFPAPLRTATGRPHPRSLPYTSSNRSRQPTRRM >Dexi1A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:1A:1065703:1067257:1 gene:Dexi1A01G0001570 transcript:Dexi1A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAASDGRDAAVPARMTTVSRHYFGGGACESHHDLRIDIIENIEEDYGMFVWPCSIILAEYVWQQRSRFSGSKVVELGAGTSLPGLVAAKVGGDVTLTDIAHNAEVLNNIRRICALNDASCTVSGLTWGDWDERVFDLHPDIILGADVLYDSANFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKEKHKPSPLG >Dexi9B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:9B:5098336:5099027:-1 gene:Dexi9B01G0008320 transcript:Dexi9B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGMEEERVSEEVRGEEEDDDVPQLSAAAMEALREFLAEQQRPEEQEEEGGREGGVELVAEDWRLSQFWYDERTARELVEEVLRLVSPSGPGSAVGAVACIACPTLYAYLKKVDPGVPAQLLEYDERFGQYGGDFTFYDYNRPEELPPAMKHAYRVVVADPPYLSKECLEKVAKTVSFLAQPEGSSLLLLTGN >Dexi7B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:7B:24101679:24102753:1 gene:Dexi7B01G0018280 transcript:Dexi7B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTLVSTAAPPRGKLSHGLALATALNTTCDCDNVPYPFGVVKSSEDRGKSLSGFEVHCGPSMEAVLSISKHEYRIDSVSVSGSYVVILAGPVTQVCYDRHGGKPTPATGTGPTSLEGTPFTFSKMNKLVSVGCNRKLIANFINPPGDPIPWLSTGCATWCSGAGDAIISSSCSGEACCEVPIPDQVNGAQALTLSFNRTSSENATGEEYGTCSAVFFLDDGEQAFTSDDVGNDGMPLDKALLPQGERRMILDWAIGSSTCDQAQTYTFEPLCQGAATCVDAPSGVGYLCKCPRLVRCKQVN >Dexi6B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:6B:20308133:20309861:1 gene:Dexi6B01G0012600 transcript:Dexi6B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSVSGTLTGTTDDKSSKAAASLSHMAQWESARLEAEERLARESKMRTAAPTPTARHVQQTNVPASTASQYLDVLHAWQGAKIDLESPTSTLTFTGSNSGMLPTHTTNGLEVSESNSGMWQRSDELEGEESNWQFFSKHQVLGLEGKDREEDFIGCEEAWFSGIAGVGAGFTGMLLDGSTSEHDASECWGESSNGQTEHGNQASDEEDKNYWNGILGMVNSKLPPQSPPFV >Dexi9A01G0042410.1:cds pep primary_assembly:Fonio_CM05836:9A:46022014:46025575:-1 gene:Dexi9A01G0042410 transcript:Dexi9A01G0042410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAATLLLRLLPWVLLLRAAAAAAGNGSCARSCGSLTVQYPFGFSPGCEIPLACDQANGTAWLGAERELLGLRVSNVTARSLFLTLLPDCSRRLNASVKELFTDNYAPGQQNALLVSSCSHAAPTNSCSFDPASYLNSSSHCFHGSTVENFSCLTPPLAPTSGTRFLNRAELRALGSECTGLVSAASYWDTPAPAPALLLGTMELEWWMPGPCRCSPHANCTQVTTPDASKEQADAFRCECLEGFEGDGFVDGTGCRRVSCNPSKYLAGDCGKTIQIALLVAGIVFGAMVTCVACVVCQLLKRRSASIRTRRSTKRLLSEASCCPVPFYSYREIDRATDSFSDANRLGTGAYGTVYAGRLVDGRLVAVKRIRHRDDNAGGLDCVMNEVKLVSCVSHRNLVRLLGCCIEQGQQILVYEFMPNGTLAQHLQRERGPAAMPWTVRLRIAAETAKAIAYLHTDVHPPIFHRDVKSSNILLDHEYNSKVADFGLSRLGKASSVEMDSSHISTAPQGTPGYVDPQYHQNFHLSDRSDVYSFGVVLVEIITAMKAVDLTRPPSEVNLAQLAVDRIGKGRVDEIVDTYLVEQPHRDAWTLASIHKVAELAFRCLAFHSEMRPSMAEVADELDQIQRSGWAPSADDTAFMSKSSSICSSVASTRGTERSWGAGRSRTTEKATANALVAQEKEVPTKGAAELSPVSVQE >Dexi3B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:3B:10380575:10382833:1 gene:Dexi3B01G0014380 transcript:Dexi3B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVEAASACQSHSLLLPPPTAAGAGTCYLVVSMITAFLCLIAASSTIYYCLCTAIVSSKQQTTTTTTTTKSKHPDDDNDDDGDKRHGGRGFYDFVSARHRRHGGLGFRTALFGRTHVFVSSPGAARSLLAAEPAGFSKRYVRTVADLLGDHSLLSASHAAHRSLRRAVAPLFSNARSTSSFASAFDSLTRRLMLDWSAATSSSSSGGGGAVVVLDAALGITFEAICGMLVATLSSDARRRMQGDVLAVTRARGSTRGYGRGRGSWRCSGERSPLGGELRWSGEDNDMDFLQSLLVRSQQQQQSDNDEAPLTDEQILDNILTLIIAGQVTTATAITWMVKYLADNREFQETLRSVQLELEPKDRDSPLTIQHLSSMELAYKAVKESLRMASIVSWFPRVALEDCQVAGFHISKGWIVNIDARSMHYDPKIYDNPTTFDTSRFNGEDTKQPYSYLVFGAGGRTCLGMNLAKIMMLIFLHRLVTTFRWEMADDDTSLEKWAMFPRLKNGCPIHLTPI >Dexi2A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:2A:26262302:26262631:-1 gene:Dexi2A01G0015340 transcript:Dexi2A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEWLPDGSVKTIMGPRTLTRVFPGRRGRRMWFNTVVGMHGKEVSSAMAADGTEIPEEFRIGEIIEEESIQFRWRRGDILILDNLATLHARRPSLPPRRILVATCK >Dexi4A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:4A:23145050:23147011:1 gene:Dexi4A01G0019340 transcript:Dexi4A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMEACRKAVSYTLLGPPSQSLRAAAARAAEAAAKAAAAVPTTGDARRLLRRRRPAPKKARTENNSPTFASSGDPCLDFFFHFVPGTPAASVASLLAAAWASEPATALRLACNLRGVRGTGKSDREGFYTAALWIHGCHPTTLALNAGPVAEFGYLKDLPELLHRIIHGGVSMRTPGKKARLAALGGGGGFVHRFSHHHHRHLRDQPRRKGNAPRCAETREARIAAANARDQIASAEAAVERRKKRAEAAARAVDRYARDPNYRLLHDCTAELFAKLLADDMEKLAGGKVNELSLAAKWCPSLTSSYDRSTLMCEAIARRLFPKGSAPDLADEHYAYRVRERLRKAHSPLRRALMVPEIFVSAKAWGDVVYKRVASVAMKNYKGLFLKHDADRFGSYLADVKSGKAKIAAGALLPHEILASIGTDAGDDGVADLQWQRMVSDLRALGKLSNCIAVCDVSGSMEGEPMDVCVALGLLVSELSDEPWHHRVITFSKNPQLHVIAGEGQLHPLHELGHEHRLPGKVFDKLLHIAVAGKLAPEQMVKRVFVFSDMEFDLASTRPWETDYEAITRKFTEAGYGASNAVPVTASEKGVALVSGFSKNMVKLFLDGGGIVTPRDLMEKAISGPEYQKLVVFD >Dexi6A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:6A:16041510:16043193:1 gene:Dexi6A01G0011270 transcript:Dexi6A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMGLNPPFGLKATLANKFIDKALTFKPKLIILIVPKETERLDQKRQPYDLVWEDTGSLSGKSFYLPGSLDVTDKQMDQWNVSPPPLYLWSRPDWTQKHRRIAEEHGHSTFKTARSGTRNETYISENTNFIVEKQEQVNGFPPEKLLEVVCEEKKITLNKNYACQANQNGADHEDAHSGFIIRHHYSERREEISADTSRRLRESEKTGDATTPDSDMSISPSESRNSQYKSRNDSPIHSEYPSERMARQDNYFSNSVQDSCTSLERVPHEDFIRDVAEYGVASVEKHLAFSADNVGAGLRMHSSDLKEMNGVYAGGTNSYLYGPASGGTGRSYYRSQNIENCLMDYSMENAGIAQRNTVAGSDVEDARMYEGHIQDNHTLSVANTNDIRAQIRMYGRHTGNDHHQTTTNPPATDIQAQIRMYGQQSTQTNGYPGFAETQSTLHVFQTVETV >Dexi4B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:4B:3906084:3906893:-1 gene:Dexi4B01G0005520 transcript:Dexi4B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVLAVAVLYPAAPGLTDAADLVALSGAHTIGRAICGFFQDRTTNPGNDAFVRKLKADCDTDPNRLQQLDVVTPDAFDNGYRALNSSQGIFTSDMALIRDPTTAPIAWQFALSKDAFFAQFAKSMVKLSSVPRKPAGNYCRAC >Dexi3A01G0022450.1:cds pep primary_assembly:Fonio_CM05836:3A:18096704:18099742:1 gene:Dexi3A01G0022450 transcript:Dexi3A01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPLSNDVRGFAIRSTPPPPPSSLAPAPPRRLRRPPTNHPRANLSAVPRPCRQPCPPVLLRRPLRRPPGRLRLLPATVASAGPAAAPEPSSRSTGEQQPRQPRNPKPQQPQQQDGGRRRPAGLNHSARIHPALARYPAARLVLPLLAVCSPRGRRAGWTGSLSMAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHERKAMQDSASDAKFHAPIQYERDVITALGTTKWQLEQFEREVNAAAFSDKSKSRENAILKFKQFIRAIAEQISQVEESLENLSISSSRTPKHLYSNEYDGDGLASFLSGTNKDDHVYHSNGTDEIVELKLDNVPLVLFSNFVGASYKFDMR >Dexi1B01G0030590.1:cds pep primary_assembly:Fonio_CM05836:1B:34509069:34520374:-1 gene:Dexi1B01G0030590 transcript:Dexi1B01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRKRRRRGDGGRSRSTTTIDGGFQRAQAHHLHPSGTWKDASTVDLVTIDGQVPTPSFGPAHFSFSLSLSLSLSLLSARSAHFSLAPAQPSRAHSSVFSPAPADRWVPPVGAEPRASRALSLSLSAASVPPAGPSFSPARARCLSCSLSLTGWPHPSAFPSTSRRGHANRMTPPPAAFSRAASRAAEASRSCPLALPTPRTRYYQMKIRPEDIPKTAFTTRYGLFEFTVVSFGLTNAPAYFMNMMNKVFMDELDKFVVVFIDDILIFSETEEEHEEHLRIVLEKLRQNQLYAKFNKCEFWMKRVAFLGHVLTAEGVAVDPEKIQAVSGWQQPKNVSEVRSFLGLAGYYRRFIENFSKIAKPMTELLRKDTLFEWTEKCEASFQELKSRLTTTPVLTLPDIRKDFVIYCDASRQGLGCVLMQGGKVVAYASRQLRKHEQNYPTHDLELAAVVHALKIWRHYLIGNKCDIYTDHKSLKYVFTQSELNMRQRRWLELIKDYDVNLQYHPGKANVVADALSRKVYCNNLMVKESQPELYEELSKMKLEIVEQGQLHELRVRYDLEDRIKLAQQRCPEIRKILRLQSEGKMTDYRVDEEGTVWLGDRICVPRDKEIREAILREAHHSRYSIHPGSTKMYQDLKDRFWWKNMRGDVATYVARCDTCKRIKAEHQRPAGLLQPLEIPMWKWDEIGLDFVVGLPRSQQGHNAIWVIVDRLTKVAHFIPIKEDHRTEQLAELYVDRILKLHGAPKSIVSDRGSEFTSRFWQSLNRALGTELKYSSAYHPQTDGQTERVNQILEDLLRACVLTYGSDWEKSLPYAEFSYNNSYQESLQMSPFEALYGRKCRTPLMWSETGEQIIFGPDTIKQAEESVAKIRENLKIAQTRQKSYADRRRRELTFEVGDYVYLKVSPLRGTKRFHVKGKLAPRFVGPYQIEKRIGSLAYQLKLPQELAGVHPVFHVSQLRKCLRVPEDQVPADVLDLQETLEYLEHPVKILDRATKGTRRTSIPMCKVLWSNHTEREATWEKEAEMKELYPYLFESEPTPSFGPAHFSFSLSLSLSLFLFSPRARPTSRSRRPSPAEPTRPFSPPLPLTGGSHLRALSLSLSAASVPPAGPSFSPARARCLSCSLSLTGWPHPSAFPSTSRRGHANRMTPPPAAFSRAASRAAEASRSCPLALPTPRTREPSFTPQTLAATPTDARRVIPAARPTNHPGNPSNPSHYPT >Dexi6B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:6B:26180601:26180839:1 gene:Dexi6B01G0019420 transcript:Dexi6B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVSIASCVGRAMAEVAPAPVPARGPAAATARSRRRGEERRREAAEAPVDVVLSYCSSLAGRGPWAVMGRA >Dexi2A01G0035320.1:cds pep primary_assembly:Fonio_CM05836:2A:44993583:44995068:-1 gene:Dexi2A01G0035320 transcript:Dexi2A01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPPIVDASLNLFARLCADDSIYRSTTVMQFGTTHLTGSFIAKSFADDALPDSVFMSCFVKCLQYDDFWIRPECFGYRIFLNPEVSILLPVLHHYHWSVYCINFAQSRIDVLDSMDYDSNNYHSWDMFHSDMGAKIMNRLSDALSEAAPHKFKSFKNWRHVQVKVPIHKNPSDSLFFAMKFLEYYDGEGHGSLKTNLDTAGSKELRAEMLYYITFHSENNVATLPDDLIQFRQTDLQPFFY >Dexi9B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:9B:5140033:5140590:-1 gene:Dexi9B01G0008400 transcript:Dexi9B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKITQVVYSEEPDSSVLSAGEYDYAVVAVGEAPYAEAAGDNLNLTIPVPGPSVIQSVCKVTKCVVIVVSGRPLVVEPYLGAMDALVAAWLPGTEGQGVADVLFGDYGFTGRLPLTWFRNTGIWPAKPSGNPWCC >Dexi5A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:5A:20686896:20691232:1 gene:Dexi5A01G0017470 transcript:Dexi5A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALPLELGFALALVLALNPLASMADPRTSVAGQRCADGAAVSGSTLANNFVPAMDDLNTNVSAHGYGTSAVGGPGGPNTVFGLGQCLRDLSPLDCKLCFAEVRSLLPKCYPRVGGRLYLDGCFGRYANYSFFGEALDASADAAVCGVSGSGEGGGNNYTGDGGPRAFGGAVRAALANVTAAAAVPGSEGFGAGSEESGGATAFALAQCWESLNATACAQCLGAASDVVAACAPATEGRALFTGCYLRYSTRLFWNVNATAGSDSSGDSSRRRNLTWNLSVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKLKPKITDFGLARAFGEDVTHLTTGVAGTLGYMAPEYIVHGHLTEKADVFSYGVLVLEIVTGQRCSSSSGSHGGQVWKHYKDNTVEMIVDQSIYEDTIRDEIMHIVQIGLLCTQADPDDRPTMGKVVELLRNHRNDLEIVLSDPPFLNVEAVEDIKGGEHSRLLSKTSASSLSGSSRSYLSGR >Dexi9B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:9B:9253554:9255515:-1 gene:Dexi9B01G0013790 transcript:Dexi9B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEGWSINGGGGAAGLKGRRDSSRRRRRLSGGVSVRRRVQVMDAAATAVLKKGRRDDARMRRRLMTDGVSLRRRVQVVEAAAVAPPPTPLQRLLAACRRAFGGPGTVPAPDDVAVIRGILVKIESAFTTSPIVSFADKIGPEDVHLSAVTKAAAASGVQRRRRPIITRTTIYECADFSIVIFLLPPGAVIPLHDHPGMTVFSKLLLGSLHVKSYDWATAGGSTTTTPASSSVPAASTRRLAKLVLDADLRAPCGAVALFPESGGNIHRFAAATSCAVLDVLGPPYSAGERDCTYYRDLPYYSHHDAGSEVAGEHQEPSRMGWLVETGKPKELGMYEVPYKGPPIL >Dexi2B01G0022890.1:cds pep primary_assembly:Fonio_CM05836:2B:32507838:32508308:-1 gene:Dexi2B01G0022890 transcript:Dexi2B01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGATGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAASSAKEAKSPKKGAKSPKKA >Dexi2B01G0030480.1:cds pep primary_assembly:Fonio_CM05836:2B:38751959:38752495:1 gene:Dexi2B01G0030480 transcript:Dexi2B01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASIHATYHFSAKEDERERVRGVTLRRRDHPDLPRLDLDQGVGGLRGEHPHREGRLRRSGAAISSEPYTGEKVEGGKGAAKEGAFCERTIWLVGSREDNIGKGLAGRSGVGPIGQWIRFLQAADPVVLLDLHWGLN >Dexi7A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:7A:23269582:23270463:1 gene:Dexi7A01G0013080 transcript:Dexi7A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDGTANNGFSSPYSAIGDPSEFNSPYSAIGDRARFSSPYPAIGASISYFFSMTPGVRDYIVHWEAPGRPFAISGSSSMSLFVVGCGVKASLLTGDDSNHEVGSCSVICAGDQFMDLLSDNEPCVGIGCCSIDITVNLRAFTLNVSRISGAERVLEQVNAFITDQYGFREWDLGADLTEHVCSNT >Dexi1A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:1A:21143570:21146183:-1 gene:Dexi1A01G0014490 transcript:Dexi1A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGSPWRAARPVAALAFLLFLLLAAAAAPVASGGGSAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTNTLRALSLAADADAAPEDPSASANASSSRQLDLQAKDLIRGARAAIADSKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARSAEYVESVPPPRALEDPALFHYAIFSDNVLAASCVVRSAVANSNDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDEYIRQCNFAPP >Dexi4A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:4A:23761711:23763863:1 gene:Dexi4A01G0019980 transcript:Dexi4A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSKKQPSTGAGSSNDRPMCVQGDSGGLVLTTDPKPRLRWTAELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHTAMEMQRNVASSSGVIGRTMNDRSVNVNEALRIQMEVQRRLHGELEVQKHLQMRVEAQGKYMQSILEKAYQALGTSDCATWPAGYRSLGNQAVLDIGSSTGFSSLQDMHFYGGTSHMDQLLQQMDRPMDSFLTLGDSFIGSSADKKGSNHCSSSGNKSTMMWTSEEQQQAKSCTDQLQMGSSTAMEGGIDVMDPIIGLYEGAMSGDSMGSKGFEGSSSKLEMKSPPQQAPVGSQRVRI >Dexi5B01G0034450.1:cds pep primary_assembly:Fonio_CM05836:5B:34685617:34687149:-1 gene:Dexi5B01G0034450 transcript:Dexi5B01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRRALGMAKDQATIALARAGGAAAGDAVAADVEVAIVHATSHGDSLPSSSSSDADEILALTRYSRARVAACVASLSRRLRRTRTWPVAVKSLALLHRLLTDGDPAFHHEVLLLATARHGRRRGMLDMSRFRHQRRDWDFAAFVHAYAVYLHDRLKQRHTTNWTVVDGEVIHEPWETVPPTTEKKKSTEELVAKAQELKHMLDRFIEGRPTGKARTNEVVTTALYRLVKESVAMYCELTEVMAVLMGRFAELDTPACVRVHSIFTSLAKATAVCRPCDVPEVQRVRQKNLDLMHEFIRDRQASASPWSLSPPATTPMSSPPSTSGVKRCDDDKAIIEPALKERQVGEREERNADETSTAERDGSLVVADDKMADFFNLDEEVSASPPSGEEHGRNLTLALFDGSSEDAPPQWVAFGDPSADWEMALVQPLPAAGANTAAGAARVDPFAASLAVPPPTYVQMTDLQARQRLLVQEQNAWQLYESQRAPWSYDLL >Dexi5B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:5B:6133308:6136159:1 gene:Dexi5B01G0009030 transcript:Dexi5B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRAAATRLQEAEAAGLAHCRDQARASSRRRTCEDGHVVCGTCRVSHGQACASAATYNPCAAVDAFVRDAKLPCAFQGHGCGSYVVYYQASDHERAVSYGKPYRIPVPRPATQAQGLHVLVGQEDQCVFLVVTSPATKTATAFVSVVCARANGDAALGVAQFKCTLWADAQRGNGTVGMLTFPVGSSDLSGGFSPEEQGLFLAVTPKMHDASGEGAGLVVRIDRAGRVAANTFASYGCDERVRYTEKRSHEESCEYAPYDCPLDGCGYRGPELYDHVRGEHDAPSSNPASAAAIISYARGTTVAVRKAAPSLVLVQPGRRPVFALLNGGDVLAGRSLSLVFLGPRPEEEVEELEYTMEVTPGGAGGGGGPGALALSASGTVPCARRIEGFQPGGFLFVPDAYWGDTGKVSVRVRV >Dexi3B01G0037870.1:cds pep primary_assembly:Fonio_CM05836:3B:40697903:40698917:-1 gene:Dexi3B01G0037870 transcript:Dexi3B01G0037870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGELDGRRRLYLVLDDWVWGYSIREIDLSSPFAGDERRRRRRLPPPLIQLEAPHGSPWLFAGVGGKILAMHSRMDYGDSVPGGFVSIVDVRTRGVTFGPCHVNLCPPIFFSVADDDELYALEYGLGLVKLSLKPLWPPRLEYENNLHHAGGDDKWAWLTLAAPPFDMMDVRSCALHPDGKTILVSATAPEFNNPFRRNNDGDDGAAAAGGTFGFDTKGEQVWVRHGEWTMPFVGWAHFVHGLNALVGFSDDPDTAGHLCACEVAAVAGGGDRRRPSWKVGKEKMVGEDPNERQIGYTLVYMGGAGEGSESGDEGASPLVTRRRRKME >Dexi3B01G0025670.1:cds pep primary_assembly:Fonio_CM05836:3B:20493086:20495198:-1 gene:Dexi3B01G0025670 transcript:Dexi3B01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGECASNDYGAPAYWDARYSSGAAASGGGEFFDWYQTYTALRPLLRAHVPAASRVLMIGCGNSLLSEDMAKDGYEDIVNIDISSVFSYASDMQMDVRDMSFFGDESFDCVLDKGTLDAMMCADDAPDGASKMLAEVARLLRPHGIYLLITYGAPTERVPILNLAGCGWSIALYIMPTPEYELKMSKGATQPTMEEVALTEDGQLPPDYVLKDPESHFIYVCHKLAANGANCRDTDPEETSSAN >Dexi3A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:3A:15987371:15989883:-1 gene:Dexi3A01G0020100 transcript:Dexi3A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHHHAAAALQPANRDAAVPAGKEKVPAAGRRRRALGDIGNVATDLPEGVNRPITRSFGAQLLKNAALANKNAVEPAKPVAARAVPKPAKKAPAKPAPRPKQQAPKISTSSDENSKPSEGAPSSSSSNSVQKNSRKKVVCTLTTVLTARSKTACGLNQKELIEDIDKLDGNNQLAMVDYVEDIYKFYKAAEHESRPSDYMDNQPEVNPNMRAILTDWMAEVHGKFELMPETLYLTMYIVDRYLSLQSVPRVELQLVGIAAMLIACKYEEVWAPEILMMEKDILNNMEWNLTLPTSYHFLVRFAKAAGSDDKKLEHMILFFGELALMDYRMVTIRPSMVAASAVYAARCTLRKSPLWTDTLKHHTGLQEQQLIECSKILISSHAAAPEGKLRTIYKKYGSEQFGCVSLHPPAAGPGHI >Dexi1B01G0025300.1:cds pep primary_assembly:Fonio_CM05836:1B:30397076:30398095:-1 gene:Dexi1B01G0025300 transcript:Dexi1B01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLFNVLGDVFGKNSQVSNPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKTDLNCSIYAATHPKLVQNPS >Dexi3A01G0025640.1:cds pep primary_assembly:Fonio_CM05836:3A:21341731:21348813:1 gene:Dexi3A01G0025640 transcript:Dexi3A01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRTTPRGMGARDSPATPLHAVPPGKVLERVGLVAGAAAREPALPGADVSCAVTRDSGPGPWGGRQRQGFVGPPLTAVDLDWTRRYAGLHPRNEILYHHAVKHYSAMRTFQGQNPENSRDHTCKSLPSKKKLCKVPESVEVHIIDGDDDDSGKDYSAQYMSKQLVLYNPEVTHDEQSDIDHCTSPRGSSKKPRYGHGTVLPSIGAYTVQCASCYKWRIIPTNEKYEELRESISQELFLCTRASEWNRALSCDEPEDISQDGSRVWALDRPNIAQPPPGWDREVRIRGASSKFADVYYTSPSGKKLRSLVEIGRYLEKNPQYIREGVNLSQFSFATPKPLQEDYVRKRTLRDAHELPEFSEIAEVDPLCWAVPPTCTELLTGPDSSTSDPASVNQPEMSDRQPEASKPPARNQKMRTLEQVSSRKCQMTSPAASTPFGEQSGGHFIDIDHVPL >DexiUA01G0025740.1:cds pep primary_assembly:Fonio_CM05836:UA:54131586:54131861:1 gene:DexiUA01G0025740 transcript:DexiUA01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRISSPTTHLLLHLRRIEARAAPLRFAQAQLRRSPRALLPPPPPVASTGSKQQQLVVASCLLADGFRAVAASPCCFAAEREAEKDPIW >Dexi5A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:5A:12058024:12060310:1 gene:Dexi5A01G0014850 transcript:Dexi5A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAGKGMDGAAAAAMAAAVVAMLCLQLPAVAQGQLQVGFYNTSCPNAESLVQQAVASAVANDSGLAAGLIRLHFHDCFVRGCDASVLLTSANNTAERDAAPNNPSLRGFQVIDTAKSAVEASCPNTVSCADILAFAARDSINITGNLAYQVPSGRRDGNVSLDTDAVANLPRPTFNASQLVANFAAKNLTDEEMVILSGAHTLLPLNLGLFFSDNQLRVNSTLNASVNSFAANETLWKEKFVAAMLKMGNIEVLTGSQGEIRLNCSIVNNGSSSSSVAAPRIKTTVPSYSGSTASLDEIATS >Dexi5B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:5B:5118885:5119991:1 gene:Dexi5B01G0007600 transcript:Dexi5B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPTPTPSELESKARDAFVDDDFALAAALYTQAIAAAGSPSAALYADRAQAYIKMGDFAAAATDAALAAELDPAMPRAHLRRAHACIKLEQYDAARAAAMAGAALAPGDARFAQLMKEIDAAAPKPMETEIVDATALMETEASAAGVVPVPSPAPTGKPKYRHDYYNSAAEVVLTVFAKGVAAEHVAVEFGEQMLSVSVEVPGEAPYHLQPRLFGKIVPDKCRFAVLSTKIEVRLAKAQPGITWTSLEFTNKPTFIAAAPPSGSSSSTGGAQRPCYPSSKGRKDWDKIEAEVKKAEKEEKLDGDAAANKLFRDIYSNADDEMRRAMTKSFQESNGTVLSTSWKDVGSKKIEPSPPEGMDLRKWEY >Dexi3B01G0022240.1:cds pep primary_assembly:Fonio_CM05836:3B:17116202:17122123:1 gene:Dexi3B01G0022240 transcript:Dexi3B01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMQQREGVVGVVEVAKTVGLVTVKGLDQVRGLPHIARELILVMENLPMLVVLVVVVEEDKLEVLGDLVDKGLVVALGLDQAILTDIGMDLIMQVQMQMVTEAVLGIVKMVGVVAVQVLGLGANANGNGGGTGSSQNGGSGGGACRYSSADGTGTGGGGGGGYVNGGGSGSGSGTGSADSGPNGVHATAGGGGGGDGTSQYGGSGYGGGSGSGSGSSTYSQGRYSGYGESSNAGGTGGGGGGGQAGGSWDSSAQGSGSGTGSGSSYANRYWYGPSYAGANANGNGGGSGNSQNGGGGGGSGAGSGYGNANP >Dexi5B01G0035570.1:cds pep primary_assembly:Fonio_CM05836:5B:35540337:35540742:-1 gene:Dexi5B01G0035570 transcript:Dexi5B01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLGLALALIVGAPMAKGTGGRGDVDWLRARVNSCCTVTAVPVDGATYAADRPAIGHPDRQGVSYLSPEADRRRRRRRRRRSRATEIALACQLVY >Dexi9A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:9A:3367711:3368016:-1 gene:Dexi9A01G0006000 transcript:Dexi9A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQQHQLLFAATAMLVLLLLSSGSTATAVEYCNKGRDYPVKVSGVDIVPDPVVRGEPATFKISAFT >DexiUA01G0008050.1:cds pep primary_assembly:Fonio_CM05836:UA:15102469:15103594:-1 gene:DexiUA01G0008050 transcript:DexiUA01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNGITSNSDPAKPPSIEVPALSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENGKDSAVKKLDTSVDPEPDNEFLAQVSIVSRLKHENFVDMLGYCIEGEQRILAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVEPSIVHRDIRSSNVLLFEDYKAKIADFNLSSQSPDMAARLHSTRVLGTFGYHAPE >Dexi2A01G0027640.1:cds pep primary_assembly:Fonio_CM05836:2A:38983484:38987213:-1 gene:Dexi2A01G0027640 transcript:Dexi2A01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAKLAQLQSSTSPVSRAAPRSPSSLATGAAMDRKDKSRRGRVSSSSAAASMAALAAAAAAGGDGSSSGSPEGSSLPPHGEEDQKPAKLAAVGGATSASPVPARRGASAAAGAGGGPRCQAERCNASLNDAGNYYRRHKVCETHSKAAVVLVAGLRQRFCQQCSRFHELAEFDETRRSCRRRLAGHNERRRKSSADTHTGGGGGGDGCRHADQDGRGHQGNPPPNHFQIR >Dexi4B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:4B:7041859:7044489:1 gene:Dexi4B01G0009720 transcript:Dexi4B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTDFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTAWSYLSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDKAGNNKYGQYPAPNPAMAGPPATKGHPKKAANGQQAKGEDGKDLHMFVWSSSTSPVSDVFGNGNAEYNDGKEVRMAVASPRKVTADRRKEKGEDFVERDDFSFGNRGAAAERDAEGGDEKSAVAGQGNAGGVGGPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIIIKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYGVHPDILSTAVIFGMLIALPITLVYYILLGL >DexiUA01G0002990.1:cds pep primary_assembly:Fonio_CM05836:UA:6337527:6338795:1 gene:DexiUA01G0002990 transcript:DexiUA01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLPEARAFLRQLLGRGSQQDRADAAPAASPPCRHFVKRLIGGDAASTSRAASALSAEAEAEADADAPSARVGTGGVDPVSLTVHFLRHSCGLAEADAAKAAERVLLRSTKNAHAVLALLRDTLGMSPATVARVVAAHPAVIRSSTIGAKIDFYLRELGLTAAEVRCFVLASSYRFLHAGLDGRLRPNYRILRDLLGSHENVLTAVKQSIELIYENLEVVVLPKLQALRDYGVSEDVLVKLVITHPKALVHRSSRFNEGLAAMKDFGVSPDSGMFPYAFGVFATIYQSKWDRRVENYLSLGWTEAQVKRAFIRHPYCMSVSDEKVRQLLRFLSEKLGWDPEYVASSPNILSFSYERRVLPRYKVLEILVSRGVLKNGIRMWHLRMSEKKFMENYVTRHQDVIPEVLLEAYRARTSCAVR >Dexi9A01G0036930.1:cds pep primary_assembly:Fonio_CM05836:9A:41258038:41258892:1 gene:Dexi9A01G0036930 transcript:Dexi9A01G0036930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAEKDHDDDGTSPSSPACLWNPLTGERLPLPNIGLEEHEIPRFCKCFLTHKDPSHPGCAVVLFHLATPVLWYCHVAHGGDGWRRHAYDIGNYPIPEAYRRPTKAIISIIASFQGKLYFMKSPTEMCAVDFSPAPRYPQAMSSGRDWLVESQDQLFLVSVRFIGFDPDNIGATCVYRMDFSSSKRARWISVRDIGDVVFLLEDANVAASCPASALGLKANQIYFMKNMWEDDANMCVFDLESNSHEITRVHQHDDLLLRRKPFWIVPPN >Dexi4B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:4B:20472938:20479215:-1 gene:Dexi4B01G0018080 transcript:Dexi4B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLYAPAAIKTADRHRLVTSASLTRLWKSPRFFPSSFRCNFPHRLRPLSASPARSIARGLPRRSRRPSEPAMAEIAERAVPVELPEEQRPPPVEEEDEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILTDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDADRDDGGRERHGARAVRRLAGPNNRVPPDGNIDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKSLLHHWFAAIGWALGLTDFLLPKPEENGGQENWNGRGERRDRGHGGREMVAPQVEQRMIQHVPEDNGRGNANEANDVVEEPEVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYILWSAAAGTRYAIDYIRSRQLGILVQQICKWCSIVLKSSLLLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDTPEPSESGATIGSDDQDRALVLQDQEDEVVGLRVVRRNNVRVNQHPRLVE >Dexi5A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:5A:22007591:22009928:-1 gene:Dexi5A01G0018480 transcript:Dexi5A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRVMFLLVVLLAVKVQFVSCPSDKSLKASTPAFHLGVSAPIPQSHGNDICNSSIVSCGPAESSGAKTVVNFNAAVSFFFFIVPLIRVLRLEYNSRTKSDTQFWWYLCFVCGGYIAWAFYFSDCYSFPQAAVFPIYMMSILGVIVHLILLVTATCLTLGVQDKRPYAMAIVIGSSLILAAVLLWVRKLKLIGWLCFSLTALSHCFRLGATNYQDDFTFWLFDASIPIWLVNALISAVGAISGFLWLRHPQLCISFEYKVTSYVIGVVRGIEAYLWCSGGIASGLSKIEGETNTTDV >Dexi5A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:5A:23040506:23044097:1 gene:Dexi5A01G0019400 transcript:Dexi5A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVPWRVCLLACFLLIGAARSEPPALQKLDAGGLAVNGSHAPPRAARALSTPLIVDDGRLVACSGKDLLAFERDGSIAWTVPLGHTCNHSIRLVYLVAEDKVIKVTPRDRYTAKPASSEVFFSYNATPGRSEEIIGLAVSGSYSSLFLTIRNRGLFVLSLQGVLQWSLGPVLDWYGYRLGCKGNISGCYFDSAPVLDHYGGALYILNTEGQLYSFNIQSRALRWIQDLSSLDKVMTIAPGNSGSLCIVFPLKSIVVGLDVSTGNISWKQSIGPLSNEKTLPIVDSNGWMSIGSLDGILYSVSPDGDMRKLLEKTAHDSVIHVDPVLDCSGFSMYVAKIIVEGKLIRTTGDYTTVSVMKPSHILVTLLAPATGTIYWTGDYPGTLSNVLSSRDLNDFVVDETVLLTLICAANSPGLTSTTPSEMQRSLHIKKRALGKTISELEQKAAEDTTSNEILGELGEMLKAKEGVERKLNASYSLGSDKLGLKQGSSILPLYSEKYKSHSFHSRQKENITVFNILSDTSTSEDSTASYSDDGESCSSNSSTDMDLDVTFKSAEEAGPSNTTNDTGRVEEECPSDVRSPSRVFANPLFIKDHYSKELECVVKEGRTDGISEGLHSHHDNFTE >Dexi9B01G0041310.1:cds pep primary_assembly:Fonio_CM05836:9B:41753637:41756011:-1 gene:Dexi9B01G0041310 transcript:Dexi9B01G0041310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAPGSDDSSLPPAPAATTPLGSFKASAHQLLHPVDGDGDDAAGHARQLSKVAGEARAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKVLALALHRTVLLLLAVALPISLLWVTSTGHILKLLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSVFSVILHGPINYLLVVRLNMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTTDCLRGWPAMLRLAVPTATAVCLEWWWYELMIVLSGLLPNPTATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAAAALSIGAAVGVAAAAFMASVRTHWGRMFTSDADILALTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGLALAFGARMGFAGLWLGLLAAQAACAVWMARAVADTDWDVEVTRAKELTKGSSNNQSECNNATTTTTAASSDITIVIATSNSNVAGCKSNINGYVPISESCKGNEDDELEKLEAGLMASEDEDEDATTASISGSEDSGSGDASGDTDAAVRENHGSSSINSGGAGTTTVTEGKEQRRREGPERDPLISMGDGEHDGDGRGGGQGLIKHAV >Dexi2B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:2B:26816027:26817406:1 gene:Dexi2B01G0016610 transcript:Dexi2B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHTSADFIVRDERPFNPKDAEDTLELLDLCVEALQDSRHATREKALAELAGALEHQLPALDELHGRCFSIFTLCRVCVKEGSPKEVRLAYRAVGLLALTLRSGPSELLFHSVQPLARAFRERDDAPPVTTVAAIDCLAAVTFAGARGRDDAERSLKALLDHLITPSAAVSSSRSASKISGGGARRKTITPQVLVAAVSAWAFLVTTMVSEADALIRKADGAAWNAAVATLAGLLDHDDRGVRMAAGEALAVCVELNLTRHALRRDMDAVAAKVSELASEFPGRGSNNTTLPEQRDLFGQIAAFLEHGERPEKSLPTSVDGCVALRVSSWAKLAQLSFLGRFLGDGFEKHVQGNELLKEAFSYGAREGKVLSISKKKQGKKTPDKDSKYSKG >Dexi5B01G0031830.1:cds pep primary_assembly:Fonio_CM05836:5B:32499006:32506866:1 gene:Dexi5B01G0031830 transcript:Dexi5B01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDRQAEDGESSGEDVKVAPSNEDDGPPGKNAEDAKPDEKTLRQPRPHRIHIWTEIRPSLGHIQEMMNSRVKKKQSSSVKEGYIGDELHPDNPEESKPSEDSDDEFYDVEKVDPSQEVPAADIANADSGTNRGADQEDFYPWKEELECLVRDGLPMALRGELWQAFIGIGARRVKGYYEGLLAADGEREDNKCSDSPTAECGDGKPKASQPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVGEARLQELRNKHRPSVISSMEQRARGLRVWRDTNSLASKLYNFKRDTEPLVSLSEEQSNDPTDGDKNQETSSGIMNDMYRGLTVNSEIDSLPDPKDQVVWLKGELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQDLSELRQALSDKQEQEQAMLQVLMRVEQEQKVTEDARICAEQDAAAQKYAAHVLQEKYEEAVASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDGSPHANQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNSTDESTNGMLNNNTERVETPKKDDEKLGDSPKEGEQTVETPKRGSEPRLETPKMDGDTPSVERSTGNTEGLEDQLEEIKLD >Dexi5A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:5A:8524274:8526612:1 gene:Dexi5A01G0011410 transcript:Dexi5A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVDGVRHRTVEANGVRLHVAELGPEGGAAPVVLLLHGFPDLWYAWRHQMAALAARGYRAVAPDLRGYGDSDAPPDASAYTTFHVVGDLVALIADLGQPQVFVVGHDWGAIVAWQLCLHRPDLVRALVNLSVVYQPRRPERSPLQSIKAACGEDHYMCRFQEPGVAEAEFARYDIKYAFYKTFGMRKPAPPILPKDKSFFDALDSDGTCPPWLSEEDISYYAEKFAKTGFTGGLNYYRCMELSWELSAPWTGAQIKVPTKFIVGDLDLTYNTPGVQDYIHKGGFKASVPNLEDVVVMEGVSHFINQEKPNEVSEHICEFFSKF >Dexi2B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:2B:13538052:13541373:-1 gene:Dexi2B01G0011870 transcript:Dexi2B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLSSARLIALHRPILPPAFSRLLSSAPSAPTSSEPEDKGKKAAAAAAVVVEAAATSRREDPEVCARDGSEEDDEDAGLPWRSWRPDVAWLSKALEPALHLYKQYNWKPFASTRGGESIPASTRTFSEILSDLQRSKISIKDWSLSDLTVGLYLIYLSQASSKNIETFKGVQISSNKMDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGTPPCISKEAAESCASYVSTVVLQDDIIPRLSAASLARLRNEILKTDWVSVLEKEDLRHIVDIVTNAKLVVSSIQDVARKLGDYAKIVSTSTNSDVAKDPADSTKMLSSDSTNDVFVPEDLFLPGTLYYLQRDIENINCVDDESYTLWKGDPGENFQRILLSGNLISDHRCESIHCALREVLKTLPPPPQDG >Dexi3B01G0034700.1:cds pep primary_assembly:Fonio_CM05836:3B:37387017:37389969:1 gene:Dexi3B01G0034700 transcript:Dexi3B01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTMRRYSPPYRSPPRRGYGGRGRSPPPRRGGGYGGRKEGSGSLLVRNIPLSVRAEDLRVPFERFGPVRDVYIPKDYYSGEPRGFAFVEFVDPFDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGHEGGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSPSPRRKEAPRPSPPRRPPKELDEDKRRSYSPAGRDDADNGYEK >Dexi9B01G0035790.1:cds pep primary_assembly:Fonio_CM05836:9B:37391970:37393865:1 gene:Dexi9B01G0035790 transcript:Dexi9B01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMANPAMLPPGFRFHPTDEELILHYLRNRAANAGCPVDIIADVDIYKFDPWDLPSRAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAGQTYRPMKFRNTSMRLDDWVLCRIYKKTSHVSPMAVPPLSDHELDEPCGFDNDNPYYAAAPSSSAATAMLVHGAFPAALQQQQQQATAALGTQMRMPRIPSITELFNDPSMAHYFEDGLVVPDMARLDHHQHQQHHDQHGGATTTLIGHPVMNHHQLLGVNNGSNSLQGGQITQMDSMEAGAGKRKRSETSTSGGTASAGKKPNGSCFGATFQIGNGLQAGSLGHHMLLHSNMGMN >Dexi7B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:7B:8054835:8055121:1 gene:Dexi7B01G0003340 transcript:Dexi7B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQTHPIVPLVPVGSAVIVSSGQGHLEAANRDGAGMYGVDGRQIETRAPACSASSVRAGEQFGLDGGWTPRCCCARAEAGNDARSSR >Dexi2A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:2A:30308487:30309068:-1 gene:Dexi2A01G0018270 transcript:Dexi2A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFVLVDHGDSSTSSEASAAAELHDSVVDVIPLHSRRDGGHYRPTAAATGARALHWLPLAAAVSATRALLGASHEDLRLRAHRLSRALSGAFFVARATTCCPIGGGEAVRFPEGGLYVCADVQPLARAVVDVQRALVRIAAEEASHAACDCFYDVVRDAMSQLVGDSTDGRGPAVFNRENFEAAFGLQWVE >Dexi8A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:8A:5823877:5829877:1 gene:Dexi8A01G0005910 transcript:Dexi8A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACRARQPLRPEAPREANPDQGIGVIHYDHRYTPLLQRAGLHVISTVVRRGLPPFNPAALSALIDRWRPETHTFHLPCGEMTAFLGTPPPTLLWGMTTSRDIHDDVDELTVTYYCRAWVLNMFNSVLFPDNTGDSASWMYIHCLQDWDDAGSRQISRVSVGALSSSSCGCGPDFQLADLKFSRPELGTWQCPTFVLRAYLDYQNELDALVPSSKKVTDFLDYHRERIEWWNMMDDNVLPPLGPHTNANFRAYLAWYHSATRYRLCTAWTRDDYAEIASSDDDDTTYDLRGRAGRAVELGPILDRVVFEQRLVSVVGLAQGMFTYRQRQGAPHREENDDSDSDEEEQEQEQGHEMEEEHDLPDFDELGSSQLSRAPLATQLSHRPTRTRTDALRKRRSNDLTFPNVVVEANSYEWTSRSGGFGGGWEEEALDATLFCLNRGRRRGRLAARGKLGASSLSAQAPDLGFQPDWNPLAPTRSTWALRELTPSFGSIFQNLSPRAEARLHGHLLVFWPGRPSLRRCYRPSTFRLYEWSTALLAGLQQHQGQKQARLLRMPPRPHGLVLHGCDPHGEEIGAAAGARCPG >Dexi3A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:3A:2574370:2575817:1 gene:Dexi3A01G0003860 transcript:Dexi3A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLMPWLVSIFVALASSCYNRSFAGAADPTLGFAAVELTEDRFKPYDLPPEQRYEFCDGVRRKWVYCTDKPFSPGSPTKPRSEILLNVTCTTGVWQFEAYGFVPTGTSGVCSGRNTTLMLHVYGGRLMYYDDETWVVDGDIYDRWFRLNVVHDVDAGALAVFVDGEERLAVAGHGGLRHYFKFGVYTQTNPSHYMESRWRDVKEAQYLI >Dexi9B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:9B:15155207:15155527:1 gene:Dexi9B01G0020420 transcript:Dexi9B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAPGPDARKRKARDAAPPAPAPASEAAASPGDRGNLLLAGLLAHEFLSSGTVLGERRGAEAAAATGGGGAVRYEAVAVLVQRGAARVPGVVNPAQLAAWAGGR >Dexi5A01G0026050.1:cds pep primary_assembly:Fonio_CM05836:5A:29774368:29781809:1 gene:Dexi5A01G0026050 transcript:Dexi5A01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAAPAAGAGHHQRWSGSAGTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIILRSVEPAAAAAAAAAATGAPRLPLGARGDRSGGASSSRSRSPSIRRTSSHRLLQFSQELKAEAMSIARQFSQDLTKRFGRTHSRAEGQGHPQAPASGIDAALAARAARRQRAQLDRTRSGAHKALRGLRFISSNQANNAWMEVQANFDRLACDGFLSRADFAECIGMTESKEFALELFDTLSRRRQMQVDRINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIEVSLLFLLAIIRIFMQCNNLDLTPYRNRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTWMYLAVPVCLYVGERVLRFFRSGNYSVRLLKVAIYPGNVLTLQMSKPPGFRYKSGQYMFVQCPAVSPFECLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPVGPNKPHIDLGTLMTVTSKPKRVLRTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFAKPNWKKVLSKIASKHPYAKIG >Dexi6A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:6A:6649447:6651882:-1 gene:Dexi6A01G0006850 transcript:Dexi6A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPILVSKDDDGEEQTRDWAGLPLDTLLAVLGRLDLADVVLGAGHVCRPWRRAAREEPALWRRIHIGRSSKLGTYYRFEPGARLAVRRTLRWCEAFSADDTVFLPEAAPQLKSLRLTLTPLNVICKQDLNDAISKFTMLEELELSLASDDTALYSSGSLAKTCAVAAVACPLKCFRLNKYRFHWQSQFGDDEAMEIARMPGLRSLQLFGNSLSNASLAAILDGCVSLVSLDIRHCFNVKMNEEMRAKCARLQTLRLPEDSMDDYELSFGCPKMEPDSPGTPGDPDNIGSSWYFR >Dexi5A01G0035160.1:cds pep primary_assembly:Fonio_CM05836:5A:36996584:36999793:-1 gene:Dexi5A01G0035160 transcript:Dexi5A01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVIGGLAGCKKGKLKGSVVLTRKSVLGFDVTSIRATVMDNIGEFIGNGVTFQLISSTVADPNNGNRGKMCAEASLERWLTKLPSLTSGDSKFRVRFEWEVEKHGVPGAVVVKNNHASEFFLKTITLDDVPGRGTLVFVANSWIYPQSKYRYNRVFFTNDTYLPSQMPAALKPYRDDELRNLRGDDQPGPYKAHDRVYRYDVYNDIGEPDAGNPRPTLGGSEDLPYPRRCRTGRKPTKTDPSCESTVTLLEDMYVPRDERFGQIKKSDFYGFTIKASLNAVVPGISTFVDCTPGEYDSFKDVLKVYEGGIKLPKVLEELRKQFPLQLIKDLLPVGGDYLLKHPKPQIIKADKSAWMSDDEFARETLAGVNPMIIRRLTEFPPRSTLDPTKYGDHTSTITAADISKNLEGLTVEQALDGNRLYILDHHDNFMPFLVKINNLPGNFIYAARTLLFLRGDGTLAPVAIELSLPELRQDDGITAAKSAVYTPTSNTGAEAWVWQLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLQPHYRDTMNINSNARKMLVNAGGIFEQTVFSRMYSFEISSKVYGTWNFTEQALPDDLIKRGMAVEDPSSPYKLRLLIEDYPYASDGIAIWNAIEQWVTEYLAIYYPNDGVLQDDVELQAWWKEVREVGHADLKDAPWWPEMQTVSELVKSCAIIIWIASALHAAVNFGQYPYCGFVPNRPSVSRKAMPAPGTKEYEQLERDPEKVFLRTITSQFQALTGLTLLEILSSHSSDEVYLGQRDTPEWTSDGKALEAFRRFGERLVDIEKRVTAMNADPRLKNRNGPVKLPYTLLYPNTSDKKGDAAGITAKGIPNSISI >Dexi5A01G0024130.1:cds pep primary_assembly:Fonio_CM05836:5A:28018272:28031206:-1 gene:Dexi5A01G0024130 transcript:Dexi5A01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGDGVRWPQPRGEAAEALPPPPPPGDRGEVASPRFDSSRALRLLRELGTNVTEDLVVLMPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMTLQINKCGKLEAWLEDMWAWMKQFKDAVRGVMHEPGPIATKLLAVKFIETWILCCTSQANSDQRQPTEGKNGRFDISRLSQFHTSLDPGVLEADAHRALILLLDILQSAYAHRGSFLVGTINSLAAVVKHRPIYYDRVLPVLLDFDPGLETAKGAHSASLRYSLRAAFLGFLRSPHQAMIEDESPAWEMPYEDNRKKPSARSSDVLAMSDGTAKRARFDTSASSNLPVVGLSNYSDMQADNDANVGHSSDPAILSSDVSPVEKMIEMIGALLAEGERGAESLGILVSTVEADVMADIVIETMKHLPGASFPLATINGVQKPNLKYSSSLLTENLPANSDSSLFAAQSIPSADGGSILPSDPFVVPGVHDAKRDPRRDPRRLDPRRTVSPAAVNSVQAKVETNRVHQTDNLPNTLCSNSGKAENCSDYSGELPKNEDENSASQPNQTIAIDKSELLDVATEQEPTFEVEAPVDVGIHSSDVDEEMPNPISSEVASVDQSDSLDVEVDPFLPVPEASTPEDTNHELSVITSNLELSDKEKSLLNKLALGRIIDDYNKNSLNARFSLLAHLITQSADDENIMDLIQKHIIFHYHDQKGHELAMHVLYLLQSMNVANSPESSYSTSKHYEKFLISLARSLIDSMPASDKSFSKLLCDAPYLPESLFRLLEGLCMSEDNSQQIKDGDGDRVTQGLGTVWNLILGRPPLRQVCLDIALKCAVHSQDEVRGKAVRLVAKRLYDLTYATEKIEQFATESLVGVSNEHDVNTDMNLKSLEASTAEVEVGSQETSVSGSQIQDAVCSESGSSKVSPKQAAVSLSEAKRHTSLFFALCTKCIHWHMPSLVNNLGSSCPEMLNIIHNPPEGSVHLITLILQTLTDNSTPSAELVAAVKQLYNTLKDASILIPLLPSFPKEEVLPIFPRLVDLPLEKFQDALARILQGTAHTGPALTPAEVLIAIHDINPDKDKVALKKVTEACTACFEQRTVFTQQVLEKSLNELVERIPIPLLFMRTVIQALDAFPPLVDFVMEILSRLVNKQIWKMPKLWVGFLKLAFQTQPRSFDVLLQLPPPQLEYMLNKYPSLRTPLSSFVNQRNMHNTLPRQVLKILGFISEPQQAPMSFVPATIPTADATPSLPGATLM >DexiUA01G0004250.1:cds pep primary_assembly:Fonio_CM05836:UA:8328562:8331577:1 gene:DexiUA01G0004250 transcript:DexiUA01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAARSLLLPAVVFLFLAAIDSTAGAAALQEFRRALLDVDGRLTSWDAAGANPCGWAGIVCSTAGEVTGVTLHGLNLHGELSSAICSLPRLAVLNVSKNALSGPIPPGLSSCAALEVLDLSTNALHGVIPPDLCALRGLRRLFLSENLLYGEIPSAIGDLTLLEELEIYSNNLTGEIPTSIRALQWLRVIRAGLNDISGPIPVELTECGSLEVLGLAQNNLAGELPRELSRLRNLTTLILWQNALSGEVPPELGNCTNLQMLALNDNAFTGGVPRELAALPSLLKLYIYRNQLDGTIPPELGNLESVLEIDLSENKLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGQLSSIRKIDLSINNLTGTIPMALQNLSSLEYLELFDNQLHGAIPPLLGANSNLSVLDLSDNQLTGSIPPHLCKYQKLMFLSLGSNHLVGNIPPGLKACRTLTQLRLGGNMLTGSLPIELSLLQNLTSLEMHQNRFSGPIPPEIGRLRSIERLILSSNYFVGQIPSTIGNLTELVAFNISSNQLSGPIPRELAQCKKLQRLDLSRNSLTGVIPQEIGGLVNLELLKLSDNSLNGTIPSSFGGLSRLIELEMGGNRLSIGMVSASSLLLPSSVRDLASCVSDGAVRVACTTPASTLVASTAAAASASSSSNTVAVTATYHAHTNPPLLFRLTWTHTPVGPPTLSFTGPTAASPAVLLRRRKGTRSLPSEDQRHPPLALFWDLTAAKYAAASSLEPVSGFYFVAVANAEVVLAVGDLAAEFVKAKFEGQIPKARFVHVARADRVVAAPNAMHTARVRFAEGAPEHEVSVGCATTSAGGGGEELWVSVDGKRAVHARRLRWNFRGNQTVFVDGAPVDVLWDLHGWLFRDPPGCAVVMLRARSALESRLWLEEGAAAPGFALVVQALKASPP >Dexi8A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:8A:6734993:6736438:-1 gene:Dexi8A01G0006500 transcript:Dexi8A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSTDSYSVSDYEMIESPPPAVMSADEEEIMSALQNTLNIEDPKMKGPVIVDAVPSRVVPYGGKEPIAFDKVKLDLLRSLSVPVKALSIRDRKKEVGFPVTLKSHQAYKEGDWKAFMDRGVHGRRKDMSYRHREYKNNFRSKLHVKEFLDTNGPVTGMFRGKRLHKK >Dexi9B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:9B:5657395:5667165:-1 gene:Dexi9B01G0009140 transcript:Dexi9B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGAPQPAMDEKARRTRDLLASFYNTDPSAAAAAGAAAPASLARPSPTAAPASPLDSINSTSFDPEIYMNVLVQQSNLEGLLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKFIYDLPSRLNKCIKTEAYADAVKFFTGAKPIFEAYGDTSFQDCKKASEEAMDLVIQHLQAKLYSDSEPIEARAEAVVLLKQLNFPVDNLKSNLLEKLEDCLLNLQNEPTQASIGDISKTFRAYLIIFPDSERRLIELAQALFSNRYETVRENLKKRISSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDALVRTNSRPNEKLDESQLQTAMDTSKIKVSQGCIDLLQELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHHKEPREVNMFVDLLLLESIFFSFIYGNIAQLLSIVIPCIHGKFQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLINAKLTKIKEQNQNMQ >Dexi2B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:2B:29419089:29419425:1 gene:Dexi2B01G0019280 transcript:Dexi2B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAPSSWPPTAGAAPAPPLETAGAAPAAATAAATPASTSEQQLVKEGGNADAAAVVPQEEEAKPHLPRDDDS >Dexi5A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:5A:2481600:2485534:-1 gene:Dexi5A01G0003370 transcript:Dexi5A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGGGGGSPAPPRGQVYLPEWRRLYDRLLKMLREEHTLAEELSVERAHLLAELEFQRIGRREREGIFQARIQQILTDEERRRRVEKAETAVLVGAKDMESRCYQELVELADSDAEDLRSHISTLAAENSELKAKLKDVAHQTELNGNNVDQHSGKDLRQELRKLKQAYKTLSSEKDMHISSLTAEKDFVWNQFKTMEQEYIITIKNKNMEAKQATEAAHKLQQKVDELQVEGQKKDDEIVRLRVEVTKAKENMLILEDELKQMNSLKKNKKSKSEGPICKERSRTSVTPEMRDVKTTRTRVSDTSQKRKRVSSLPCVSIPFRHLQVYAKAHICYSHIIHATLAFSQFPLYMLPVLRVCRHLMQGSQRCSIRVLQVKAAVSPVLLPPHFMVPRLKTSTPSVTRGQV >Dexi8B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:8B:3740998:3744072:-1 gene:Dexi8B01G0004250 transcript:Dexi8B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAMRGLSTRLMNIALAALCRGGSLARAESVLIDAIRLGLPPDVVTYNTLLAAHCRAAGLDAALTVVRRMRDAGVSPDAVTYNSLISGAARHGDTARALDLFDEMLRSGVAPDSWSYNALMHCLFRSGHPEDAYRVFADMAEKGVAPSATTYNTMIDGLFRAGHATNAYRMFRYLQRVALPIGIVTYNMMINGLCRSGKVGYARLVLKELGRTKHAPNAVTYTTVMKCCFRYGRFEQGMETFLSLLEGGYISDAFPYTTVISALVKKGRMEEANTYCELMIQSGSTLDNACYNTLIHLRCQEGKLDDAFELLNMMEEGGLESDEYTFSILVNGLCKMGQIEAAEKQIWSMEMMGMQSNVVAYNCLIDALCKSHEVDAAIKILHSMKLKDDFTYTSLVHGLCKVLSLHSLGLPQIASLCFLLIKPSSSY >Dexi9A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:9A:12253105:12254438:1 gene:Dexi9A01G0017230 transcript:Dexi9A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRARGIFQAIGEIGENAGVGIMYFMGFAMFVLEALLSIWVFQRVYWFFRGKGAEAQMRPDAGSRQPPF >Dexi4A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:4A:22420815:22423956:-1 gene:Dexi4A01G0018440 transcript:Dexi4A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRGVGGGAVSVWATTLWLLLLGTTSLCGDAAGLNADGTLLMSFRAAVTYDPLGVLSGWSYDAAEPCDWNGVVCKGYPNPDTVNLTSSSDGGANSTAAAAWNGTAGINASLAAATVSRVISLVLPNANLTGTLPPELGDIEHLQHLDLSGNALSGALPATLLNATELRVLSLAGNHISGDLPDATGAYARGLQELNLSGNALTGHLPASLCRLPSLAVLGLADNKLTGELPIGGLGVLELVDLSNNSFNGSLPSDFGGGHLRLLNVSSNKLTGELPTGLAAVVPANATVDMSRNNFTGAIPEAGMFAQQSPEAYEGNPGLCGPPVKQACSIPSSLSNPPNATDSPPAFAAIPKNPARASPGGAGEQQQAPHGEDNNKLSPAAIVAIVVGDIAGVGLLFMLFLYAYHVRKKRPQRREEDSPPPSMQQKSMRAIDGGVKTLDLAGAKEDKASTSMGCCGIGRRNDGSDSSEFSVSSDGESEDDEELKKRGSLIDRSTPQDHGSKKHNQAAAPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYAPNGSLANIAFSRRFGSSSPLHLSLESRLRIARGVARGLAYIHEKKGVHGNLKPSNILLSADMEPWIGDLGLDRLLSGEAIGHRAGASARLFGSKRSMHSTSSLPDLSQMPGPGASPCGSASAAGAAATSSAANPSPYQAPECLKNLRPTAKWDVYAFGMVLLELLSGRVYSEVELCQWHAGLVAAEEHGRVLRMADPTLRGEADGREDVLLACFRLAFSCCAMAPGKRPAMRDAVVVLERTAMAAPAGASAGSGAAIP >Dexi7A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:7A:21288272:21289144:-1 gene:Dexi7A01G0010510 transcript:Dexi7A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSSRSLRMTDDCAGMMLGCGCRSEEASPLSSCGVNSLWGDDLELELEGVVESDPVDLLPTDPFGMNLESSFTAAIASCIEDLTVMSGAGHFGNGDDNDFFADLSYYLNQAFVFAPEQWGGDYKGVFEGSFGPGGLSGARGTDQFSWFPLNASCSEPNGSMEDPSSSCEATLACFDGVDAAPVQEGNDAHEGMVFVLGYLGLRDILSVEMVCKSLRSAVRNEPFLWKCIHIESDLGKKMSDADLLSLSQKSQGSLQCLSLVGCTKITDQGLRAVLDCNPQLTKVSTV >Dexi4A01G0024290.1:cds pep primary_assembly:Fonio_CM05836:4A:27114650:27118431:1 gene:Dexi4A01G0024290 transcript:Dexi4A01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFSPDFPLLVRFSQHRPSSGNNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESMHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNFPEWKLYIQTIDPEHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHFDGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPPRVLTGCREKCIIQKENNFKQAGERYRSFDPARQDRFIQRVVDALSDPRVTHEHRSIWISYWSQCDASLGHKLASRLNLKPNM >Dexi8A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:8A:23807162:23808722:1 gene:Dexi8A01G0013640 transcript:Dexi8A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHQDVAAAPPPPARKLATAAPPPPVRETTTTYHDNWFDKLAIGYLSRNLQEASGLKNGKDGYEGLIEAALAISGLLSVDQQWKTVATALERAFPSYILTMASTFYTP >Dexi2A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:2A:3116976:3118034:-1 gene:Dexi2A01G0003600 transcript:Dexi2A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSAAACCAAATPANEVVEDLFGFLRVLSDGTILRSPAEPVFCPTTFPDSHPSVEWKETIYDKAKNLRVRMYKPSPAPEGKKTTKLPVLVHFHGGGFCLGSCTWGNVHAFCLRLATDANAIVLSAGYRLAPEHRLPAAFDDGVSFMRWLHEQSANSAASDGVDASWLAEAADFGRVFVTGDSAGGTIAHHLAVRAPPETETKDPVKVRGYILMMPFFGGVRRTSSEAECPAEAFPNLDLVDRFWRLSLPVGATRDHPAANPFGPESPDLAAAELRPVLVVAGGLDLIRDRTVDYAERLAAMGKPVELAEFAGKAHGFYLHEPWSEATGELIQTVSRFVDGCVAAPSEAAA >Dexi2B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:2B:3076569:3087109:-1 gene:Dexi2B01G0003500 transcript:Dexi2B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPAEPAATVENQQTEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGALPYGWTRYAQFSLSVVNQIHNKFTIRKVTNFAINFLILSETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEAVYSTADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEDHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKKALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKAQIGKDIYFDLVDHDKVPSFRIQKQMTFTQFKEEVAKELGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTVGQLKELVNKAHNAELKLFLEVELGLDLKPLPLPEKTREDIFLFFKLYDPEKEELRYVGRLFVKASGRPQDILPKLRMLAGFSEDDDIELYEEIKFEPNVMCEYIDNRLPFRSCQLEDGDIICFQKSPKPDSADRYRFPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVSDEDFSKWKFAYISLGRPDYFEDSDIVATKFQRNMYGAWEQYLGLEHPDTAPRKAHTVNQNRHSFERPVKIYN >Dexi6A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:6A:3976857:3977171:1 gene:Dexi6A01G0004350 transcript:Dexi6A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPCSGEEKGARAAPSPQLLAGGRGTGPVDGEGLALQEAVAAAHRKAADFAPNQGESRPQQRRVATAAKEEDRRRSRRARAARRRGHHQERGRRENNQVSNQA >DexiUA01G0012040.1:cds pep primary_assembly:Fonio_CM05836:UA:24138060:24140637:1 gene:DexiUA01G0012040 transcript:DexiUA01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVGRIFVGGLSWDTTESTLERTFAQYGKVIDTQVVVERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRNISVNKAQPRNSEDGYGYGGGGGGGGYSSGARGGYRSGGDVVAAASDDCFKCGRPGHWARECPYSDGGGRTGRYSPASRYGGGTGGRGDRFGGSDRFARYDDDRYDGGRYMDSRDTYGAGRDRYASDRYAPAADRYSGDRYGGADRYQSSGFARERSYEREGGRSSGGYYRDDPRGTGVYGRGGSRVGGAAGGPARFGGSYRDRPAPYDRPSRGAGARAYDDRY >Dexi5A01G0038980.1:cds pep primary_assembly:Fonio_CM05836:5A:39723289:39723990:-1 gene:Dexi5A01G0038980 transcript:Dexi5A01G0038980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSLPSPGDVVQLYKSNNIKAMRIYSPDHGALDALRGSGIALILDVGSVDDVRRLAGDPSQAVAWVQANVQPYHNDVIIRYIAVGNEVPPGDAAGILVPAMSNVSAALSSAGIDGIKVSTAVRFDVVANTFPPSNGVFAQGYMGDVARYLASTGAPLLANVYPYIAYRDDPRDIALNYATFQPGTTVTDSGNGLTYTNLFDAMVDAVVAALEKAGAGSVGVVVSESGWWGR >Dexi6B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:6B:8476560:8477522:1 gene:Dexi6B01G0007290 transcript:Dexi6B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGSTTFDYTGVVPYHGVGGHLHYVPRCYVRSNVFAAGGYYWCAWVYPTDTITTRTAKKSTRTTNSDFFVSVQLMSSGVRAVTAAHELSVLDPWAILPPMILSTLSPASFASNDSNDDHGDHHSLGGLDLDDFVGYVRNGCILFQSTVTVFPEDPAKIDLPPSDMLGQLGKVLGTTEGADVTFSVDDKLFPAHKIILAARSPVFKAELYGGMKENGAAQAIVVDDVRADTFRALLRYIYTDDAPPAIIGGRRDQGGENEDENKVWELLVAADRYGMERLKLICERVLCKRLDVDKVAETLALADRHHCDTLKMPASSS >DexiUA01G0010490.1:cds pep primary_assembly:Fonio_CM05836:UA:20843471:20845766:1 gene:DexiUA01G0010490 transcript:DexiUA01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVYLLITIMSLSISLLPPLHGQCEAAAAAAAATTTTTCSFHHVDTGAAAAAAVAEASRNNSHGKARKKQQRPQVEGMFVFGSSLVDNGNNNFLNGSGVRADYLPYGVDFPLGPSGRFSNGRNVIDALGELLRLPGLVPPFADPRTRGRAALHGVNFASGGSGILDHTGQLTGEVVSLRQQISNFEAVTLPDLRAQLRGDAARVKVKGQDPFQRGYLSKCLFVIGTGGNDYLLNYFNPRNNGTEGAPPLPEFTRSLITKLSDHLQRLYALGARKFVIFSIQPTGCTPVVRAFLNITGAACIEPVNNAVALFNSELRRLVDGARSHMPAARLAYIDSYKIIRDMLDHPAKHGVRETGRACCEMSRRSSGVLCRKRGPVCRDRTEYVFFDGLHPTDAVNARIARKGYGSSSPEHAYPINVKKLAML >Dexi9A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:9A:8421668:8422141:-1 gene:Dexi9A01G0013130 transcript:Dexi9A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRSRPPGADTLACREAGLSKRGTRGTHLGSGRERKVRRGLVLLLDAVILLPRFSPSLLWLEHFILCRFALPKDILLLSSRRHCSVAGRAAVVSELKDSPARWDPGGEELRNTLWELSSLQGAAAEKATPEPESVGFGPPSGSDSVFSPSSIPILR >Dexi7B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:7B:16219819:16220239:-1 gene:Dexi7B01G0008410 transcript:Dexi7B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEIIEAFQKCHVDHPLKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKERLQAYKREMAEENKES >Dexi6B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:6B:25145512:25147493:-1 gene:Dexi6B01G0018060 transcript:Dexi6B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVAAAMAPLYFALALGYSSVRWWKFFTPEQCGAINTLVAHFSMPFFTFDFLSRANPYTMNRRVLAADAASKVIAALAVAAWAHCCGAKAGARSWSITGFSLAAFNNTLVVGVPLLDAMYGGWARDLVVQIAVVQSLVWFPLLLLGFERRKACVVGGEDVVAAVAGRRGVEPMAENDDDDDVEMDVGPGADVATGIRMWPTVRTVGLKLARNPNAYASVLGVVWALIAYRWDLRMPGVVTGSLQVMSRSGTGMSMFSMGLFMAQQERIIACGPGLAGLGMALRFVAGPVATLVGAAVFDLRGDVLRVAIIQAALPQSIASFVFAKEYGLHADVLSTA >Dexi5B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:5B:1196498:1197253:1 gene:Dexi5B01G0001870 transcript:Dexi5B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNENLKVLRSLTPALYIKRGDQASIIGGAIDFIRELQQVLESLEARKKRRSSTGSIGHGCFSPSPTPSPRSHLVFSASGGSSSSSAGSSITPSPPVANNNKASSSALLAVKELAACCNSPVADVEARISGANVLLRTLSRRAPGQAARVVAVLEALHLEVLHLNISTMEDTVLHSFIGLECQLSVEDLAYEVQQIF >Dexi1A01G0021850.1:cds pep primary_assembly:Fonio_CM05836:1A:28565949:28569964:-1 gene:Dexi1A01G0021850 transcript:Dexi1A01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWYWCLVLLVVALAAAAGAGAEEGEWDPVIRMPGEEEPATARGGEPLDEEEDDGVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGLKEENIVVFMYDDVANSALNPRRGVIINHPESEDVYAGVPKDYTGDQVTAKNFYAVLLGNKTAVTGGSRKVISSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLREKHASNNYAKMVKERTSGSNTYGAGSHVMEYGDKTFKGEKLYLYQGFNPANANITNGLLWQGQKAVVNQRDADILFLWKRYELLDEKSEEKLEVLREITGTVMHRKHLDSSVDFIGKLLFGIENGPSALGAVRSPGLPLVDDWDCLKRMVRIFESHCGSLTQYGMKHMRAFANICNSGAPVTAMKQASISACGNYNSARWSPMVQGYSA >Dexi1B01G0030180.1:cds pep primary_assembly:Fonio_CM05836:1B:34239798:34241061:1 gene:Dexi1B01G0030180 transcript:Dexi1B01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATPPQPLPLQLRRPPGPPQPRRLSLTRRRSSRLNRISASQDPLTALSRLLWGRALPPGQLVVAVRHGWTSAWKLLMRQLAPSDPATGAFTRTPAGFPAVVGTPSSRLHLYVGLPCPWAHRTLVVRALLGLEARLPVSVAVPGDDGAWSFTPDSPDGLYGKRKLREVYAVRSGGFEGRASVPMLWDADRREVVCNESIEIIKFLCGLADADALDLWPPELRQEIDRWYGFIYTSVNNGVYRCGFAQSQEAYDAAASELFGALDKLEAHLAGARYLCGNRLTLADVCLFTTLIRFDLVYNTLFRCTRRKLAEYPSLHAYTRDIYQMPKVAETCDMSAIMAGYFKTLFPLNPGGIQPLTPASCDTESLLRPHGRETLSSAAGTPLQAAGVS >Dexi5B01G0038420.1:cds pep primary_assembly:Fonio_CM05836:5B:37631359:37631813:-1 gene:Dexi5B01G0038420 transcript:Dexi5B01G0038420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGVAAGLPRELALGLASQTVLGAATMVSETGKHPGQLKDQVTSPAGTTIAGVQELEKGAFRGTLISAVVAAAKRCRELS >Dexi2A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:2A:31016094:31016278:-1 gene:Dexi2A01G0018890 transcript:Dexi2A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSQSTPQSIRTVHYYYYEFSSSK >Dexi3A01G0027680.1:cds pep primary_assembly:Fonio_CM05836:3A:26898273:26906756:-1 gene:Dexi3A01G0027680 transcript:Dexi3A01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEGLVPITRAYLARYYDRYPLPPLPYAATDLAARLRALSADLTAVAPIAPDEDLMGQEAAGIPAHKIDENLWKNREQMEEILFLLNTSRLPVALQQKSTPEDAEIVSKLGDIEAKLKDMLKKLEQFQLKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALISAGGSIRDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDNIAVLHQAVVIYTEEFVKFTEFIGEVFVNAPFFISAEDAGAMDARKSDEYKETIIPAGKTHEVILSVEAINSYIAWDFSLQQGALTMALDIGFHVEYISPSGEKTLILPYRRYEADQNLRYKVDAVPPVVEPTVPAMEP >Dexi5B01G0026110.1:cds pep primary_assembly:Fonio_CM05836:5B:27953243:27958404:1 gene:Dexi5B01G0026110 transcript:Dexi5B01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLERVELSKGRGLLGAEDGTAPWRAAAAAQGARARGTPHAPTLARSTGNGQAAKSRQKMEGAGKDGNPLRNYRIGKTLGIGSFGKVKIAEHISTGHKVAIKILNRRKIRGMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVIMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKIDEETLREVIGMGYDKNLLVESIQNRLQNEATVAYYLLLDNRLRTTSGYLGAECQEAMDSSFSNIASYETPSSARSNRQQIFMESPVGLRPHFPAERKWALGLQSRAHPREIMTEVLKALQELSVFWKKIGHYNMKCRWSPGFPGQTHNNNNFSAESIETDGLSERLNIIKFEIQVFSSFLCCFRQQLIFMSSCL >Dexi4B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:4B:3248478:3249869:-1 gene:Dexi4B01G0004570 transcript:Dexi4B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGAGSSAAACAACKYQRRRCTRDCPLAEFFPHDRPRVFRNAHRLFGVANILRTLARAGPDPERRREAMHCIIYESQAWEINPSTGCLPLIRDLQLQVRQADLDLRRVYAAIHAFRSSATAAAAPDSDAGDLSVPSSSSTPPSPPFQLLQPVTTGNNNDGDEEEITAEAYGGGGGLLPPFMFCGDGYQQQMMTSAAAASDDDGSNIPLQMQPWTTMMQPPSQDDDGMASAAAAVADMAGKLATPQLPPQQDDRFLVDATTMAPRSEHLQLQQLIPVQPGGLDDDDDDDMNYFAHDGMDDDSEMAPESTSMDDPSDKMAMKAPKMKDANGFK >Dexi9A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:9A:4845032:4845376:-1 gene:Dexi9A01G0008170 transcript:Dexi9A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGKGLLQGPGHVRSPTSIFHAWGASAERDDYRLALGAQARCAACSSAQLHEHIARPDIPAARARRLYLELRRSSHVVAESIRTGWPLWRKLSEMQPNKEGGNLTCRTITGPA >Dexi1B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:1B:6329460:6334773:-1 gene:Dexi1B01G0007640 transcript:Dexi1B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSDVRFVSSGVKLPSASTSAPSPAPAPAPQLLSAALPFAHVGRAVEAAARRLGACLPRVPAARADPAVPPPPPPPARRHGKDAGGGAEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANILPSKFLEDAFRDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRKTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGRSAKIFSLECSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNFTDPWIDGDNKRTSRTVMVQNSRTPGTLVHGGDHPDHGPITIGRVTAGVEYSRPFRPKWSGTLGLIFQHAGARDDKGNPVIRDFYNSQLTASGNAYDDTLLAKFESVYTDSGDHSSTMFVFNVEQGLPVVPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSFGPLEGVVFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >Dexi9B01G0043530.1:cds pep primary_assembly:Fonio_CM05836:9B:43479546:43481272:-1 gene:Dexi9B01G0043530 transcript:Dexi9B01G0043530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQDASDGIPVAEAPAKRPPLNKYALACAILASMNSILLGYDISVMSGAQLFMKQDLKITDTQIEILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGALIMGLAPSYAVLMAGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINSGVLLGYVSNYAFHGLPVHLSWRVMFLVGAVPPVFLALGVLAMPESPRWLVMQGRIADARRVLAKTSDTPGEADERLADIKSAIGIPEGNDDDVVVVVARKNTHGEGVWRDLLLRPTPPVRRILIACLGLQFFQQACGIDSVVLYSPRVFEKAGLQSANNSLGATMAVGASKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDHLPSGKPVTPLAGVSIAAVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRVMSGAITMSFISLYKAITLAGSFYLYAGVAAAGWVFMFFFLPETRGRSLENTEELFGGGGGAGQESSREDERDARAPKKSTELTASQQ >Dexi5A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:5A:9679520:9680030:1 gene:Dexi5A01G0012940 transcript:Dexi5A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIQRVVSEGRKYVLLSPVLHNNVHNLHPECEVCESRVKRGARWCSLGCKVAVAEGGRRLLAAQRLVALAEGGHFAPAAHLTKTRFCTVCGLSFCHYSCPDHSRHQHPLPPPESMTVVRFEGWAAVPAGQLPAAYVENVQV >Dexi5A01G0026690.1:cds pep primary_assembly:Fonio_CM05836:5A:30249138:30250744:-1 gene:Dexi5A01G0026690 transcript:Dexi5A01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEYGCYGGGGAGTRERKAAGCGDHFVVDDLLVLPYDDDEEGGGDAAAGDGEAPPCLQGAVDAAGGGGAVVKEEGGLGNFSADSSTVTALDSCSNSFSGLGDGDFPGEFCEPYDQLAELEWLSNYMGEGDDAFATEDLQKLKLISGGFSPAVNAPPAPVAPAAAAAQQPGMFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGISAQEAFHGNKPSSKPSNKKKDASPQPQPPSSAASGGAQPGGGSAASAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVMELRRQKEGHHHHQPEHHQQHVIAGGGHGGLMHMQSPLLFDGPAAAPIVAGDDFLIHHHLGADYRQLI >Dexi9A01G0049360.1:cds pep primary_assembly:Fonio_CM05836:9A:52004902:52007895:-1 gene:Dexi9A01G0049360 transcript:Dexi9A01G0049360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHSERVPICSPHSAPLASASDICKAEENQQRTLEADTSNASVNQSPQSKIFHVSSPDNQDIDREVPSPTTRSENEELPADNLTPASPEPFPSPTPRSENKELAVDNSGLAAASPENVTTTNSSGSDTHKMEKIVSEQNLPEAVELNSSDNNCQSKVSPASSPSNKDLECECPSSSPRYEDKIPSSAPRSENKGLVVDNSASPENVTATNSSGSDTRKMEKSQQNVPEAVASNSSDNNCPQSKVSPASSPTNKDLECECPSSSPRSERVPAADNSLLASAAPENLTAAPPPASSTCKIDFVDERKTLHEGASNAPPHQSPRSHLFSVSSDNQDIEHKCPSPTRKSDSKEPPLDNSVLTPTTPENPTAASVSASDKCKMEEFVNQQKTLETDATNDPRNHPASLNQPPHSHIFPVSSDNQDIECGSPCPTPIADSKDPLVNGPVLISAGPENLLTVSSTCKVEEILNKERTVDGDASNVSLNQSPHGSTSCPDNQDMECEYPGPTERSESEQPLMDNSGLTSIVPETSASASNMCKMEMTLIERRTLEANPSNGSVIQSSHSKVLLVSSPEREFPSTGARPEIEEPVDLSSVLTSEAPENLAKQHVDSPEAFVLSKASPPNGESDFKCEQIIQKELCCESESTVVTRGDMIIDPSCGAESIDVSDVLESLMEEQRSGTLYMQGTTDLGDFLTTSAEEPQCSSPIALSPWGEPSYYQGDAVDSALWGVQDDPINDMWSLLSPRPALQPPSGIETEGKGTYDISEVGLALGNNEIVQRGSVPGVDNVNQVNLVAPTDWVLPEQVLSIPNDMTISSVAESTGVVGWQPSANQSLNEGTRWSTSQNLNISSNEKADPSSKQTWEASRKQESTFSSVSSSGEAIGSIRKGLNPPSGSANRGSQRSHQNHGRYSQISESWLLSSNQSRSRSDRFGSSGSSRSTSKGQTRG >Dexi4A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:4A:23078204:23082734:1 gene:Dexi4A01G0019280 transcript:Dexi4A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSPLHASAAEGGDGLESGNASGLVRPCPVVYPVAGGEVADEARQIWGKRRANLAAGDVYGKNFGGEKSEERRGMVGKDDEEPEESGLETGDLFAHSFGSARSGSS >Dexi3A01G0034540.1:cds pep primary_assembly:Fonio_CM05836:3A:39679747:39680199:1 gene:Dexi3A01G0034540 transcript:Dexi3A01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASRSRRRQSGHRASSSRFTITTAPPSPAPPPRRRRCRRAPLPPFSSSCSPSSSSTSAFTTASSFARGPTPTFTSTSAGSTAGGCSGDAGPVVCMAWAPAARLAPHAGEGRSKGAAFIAPTPPLRVEMGGEIEEEEDDAEVEVES >Dexi3A01G0025210.1:cds pep primary_assembly:Fonio_CM05836:3A:20877996:20879006:-1 gene:Dexi3A01G0025210 transcript:Dexi3A01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLIGTCNGLLCLRRKRGDIAVTNPAVIGETIAVEPPLTWHARCESTHSFGYHPATGQYKIVHVPCNEHQGSESELYAVNVFALGDGSWRWREVPAPAGSSCLLSFGLVSIDGVTYWVTMDGNGIMSFHLKDERVAHVELPPVPVAQPMEFRQPCHLTDVWVLEVEDGGDAAAAPAWVKRYTVLAHGGYPPRHYCQEIALPHVAHGEHVLTIGEPWTEDHQALEAHRPMERNMKPRAGHVGCRPSAAGD >Dexi5B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:5B:7199092:7199768:1 gene:Dexi5B01G0010260 transcript:Dexi5B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAEPTYGSYSGSYTEQRVQRPGYGFQPQVSNLGLRGNYQGVSSNHNHAGNTIDDPASAAQEFQRCWAPPQPPGIIMPEAAAAIRQPRSVPRQQSLPADGRPSTDIPRPSEPAITTTEQMNGASGAHGGELSADGGTVTANASGSGGSEEQQDEDA >Dexi3A01G0023700.1:cds pep primary_assembly:Fonio_CM05836:3A:19362977:19368185:-1 gene:Dexi3A01G0023700 transcript:Dexi3A01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKKFRGEDKPPAHLGASRDYNVDMVPKFMMANGALVRTLIHTDVTKYLSFKAVDGSYVFSKGKIYKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTRVTTRELIAKYGLSDDTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKASTPYIHIVKGLVGHYGAKYVFCCSYTHNVAPRGKFIAFVSAEAETDNPQAELKPGLDLLGSVDEIFYDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >Dexi4A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:4A:8761977:8762321:1 gene:Dexi4A01G0010880 transcript:Dexi4A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYEPRTQTAVSETKGGRQTPLIVCGVPAARISAEVWPSWRTVTTPRPRAQGDRYGKGLTGRCSTAKGVVDSSCRAAARGKNRVGRGSLTEEGVGTWGFALRQPTPPQSRWRR >Dexi5A01G0026540.1:cds pep primary_assembly:Fonio_CM05836:5A:30155541:30157325:-1 gene:Dexi5A01G0026540 transcript:Dexi5A01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGSELGSPAPPPPPKRRKIEPPRRTRPSQVTQDKDKSAASSNSSVSGVLPPRIDLNKVRETKRFVVLQAQHEGCLGSYKSFDSLFGNYLIPVIPSNGIFDQIGIK >Dexi4A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:4A:19326684:19327764:-1 gene:Dexi4A01G0015910 transcript:Dexi4A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVINITQLYGEKRSETLSLLHNACAQWGFFWLENHGVSEDLMNKMKGLVNKYYEQDMEKNFYGSGMAKMLGDEKVSSNVDWECSFMYRHQPKSNSHDIPKLLRTTVFEYAEEVIKLAEQLAAAMSENLGLDKDYIKKAFSEPSVGIKVAKYPKCSHPELVMGLREHTDAGGIILLFQDDLVPGLEFLKDGKWIPVPPTEGNRIFVNLGDQIEVMTNGIYKSICHRVLPNKNGSRLSVATFYNPGDDAIISPAPKLTYPSQYRFQDYLNFYSTTKFNDKVSRFQTTKEILK >Dexi1B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:1B:20328699:20329728:-1 gene:Dexi1B01G0014100 transcript:Dexi1B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNHTTNNTCCFESRPTFYRVFRKKSTEGFQSTPYVVTLFSCMLWIFYALVKSGSELLVTINGVGCVIETVYIAMYLVYAPRNARVLTAKMLLGLNVGVFGIVALVTMLLSKGTLRVHVLGWICVSVALSVFAAPLSIMRQVIRTKSVEFMPFSLSFFLVVSAVIWFAYGALKKDVFVAFPNVLGFVFGLAQMALYMAYRNRRPAAAVVMVEEGKLPEHVKEVVTTAAAPQEGRASCGAEVHPIDILHPAEEPPVAAAVAPAPHGEPQVASVVIDVEPVTCAAAASGVDGVMAMIKPDTAIAVEV >Dexi1B01G0031500.1:cds pep primary_assembly:Fonio_CM05836:1B:35034067:35040269:-1 gene:Dexi1B01G0031500 transcript:Dexi1B01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRGRQHPGVGEGSQAPHPQPAGRGGRGGSHQGRGGGRQSRPDEAQQQQPRGHARGGTRDHPHPARAAAPSSTGSASPLAPELRQAMEAPHELAQTSPMQPGPSQSPPEIDPGEEHKPVEASAGHGIVPAIPSSSKSVRFPLRPGNGSLGTKCLVKANHFFTELPDKDLHHYDVSITPKVTSRILSRAIIKELVNLYRQSYLGGRLPAYDGRKSLYTAGSLPFTSQEFHITLLDDDDGSGSERRRRKFKVVIKFAARADLHHLGLFLAGRHVEAPQEALQVLDIVLRELPSARFAPFGRSFFSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDFVGQLLKSEIHSRPLSDAERVKMVKHNAYEKDDYAQEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKDFAREPVLPPLYARPDQVERALKARYHDAMNILGPQRTELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVWQDPQRGTVSGGMIRDGVSEGQFYQVLLYELNAIRKIQRYAIPLNLTSTYAAMLALRYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSVASGPAGHGPQSASRSTRAPGGAAVRPLPALKDNVKRVMFYC >Dexi8A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:8A:12111590:12111799:1 gene:Dexi8A01G0009300 transcript:Dexi8A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRGARHRAGLRVAEAKPHWPHREPGLRPSVRPDADSHRLLHQPVVVRPPLRVPQQLRSDARWLKGR >Dexi8A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:8A:1322859:1326448:-1 gene:Dexi8A01G0001960 transcript:Dexi8A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNIKKARKAKSKKAKKVEASSSSNPAVASGPAKVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWSCLSFDVVRDQLGLVRSEFPHTFYGVAGTQMKKVAHVGCVNRIRSMNQKPHICATWGDTGHVQVWDLSSFLNSLAESGWNIDASPFVGHSASVEDLQWSPTEADVFASCSVDGTIAIWDIRKGKKPCISIEAHKDDVNVISWNRLASSMIASGSDDGNFSVHDLRSIKDPLVAIFKYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLEKDAEEEAEFRAKMKEQANAPEDLPPQLLFIHQGQRDLKELHWHPQIPSLIISTAVDGFNVLMPSNIGTTIPGDTDAAMASAEP >Dexi3B01G0028730.1:cds pep primary_assembly:Fonio_CM05836:3B:27285550:27286533:1 gene:Dexi3B01G0028730 transcript:Dexi3B01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKMANPAAPVVLLLALLFGLASHVVDAQYYWTPATATFYGGSDGSGTMGGACGYGNLYNAGYGLSNAALSSALFNDGAMCGACYTIVCDTSKSGWCRPGTSVTITATNFCPPNWALPSDNGGWCNPPRLHFDMSQPAWTSIAVYQAGIVPVNYQRVSCSRSGGIRFTINGRDYFELVTVANVGGSGVVSQMWIKGANTNWLTMSRNWGMNWQSTAYLNGQSLSFMVKTDDGRTVTVWNVAPSNWYFGATYTTSWANF >Dexi6A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:6A:28839030:28840369:1 gene:Dexi6A01G0021630 transcript:Dexi6A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRGCSSFLRGLLISRAASRRHNPLFSALRPPVPLPPPTRFSSSSSSSRRSTKRSAAKKPMDSAAAGEPFYVVRKGDVIGIYKNLGECQAQVSNSVCDPSVTVFKGYSLRKDTEEYLAARGLKNALYAIDAADARDELFDDLVPCPFQQPDGGASSTLKRPHETETGPSKKHPKVDEQEPLPNSHLSCILEFDGASKGNPGKAGAGAIIRRLDGSVIAQLREGLGIATNNAAEYRALILGLKYAAKKGFKYIHAQGDSKLVCNQVTGCFANSKFVTANVIWP >Dexi9B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:9B:783525:786706:1 gene:Dexi9B01G0001330 transcript:Dexi9B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASGLAARGDLRSALPFVPVVLRGGALFWPPAAQESLRALALGPDVSRVASGDVLADALTDLRLALSLPALSQRAADGLALFFDELLSRAQARGWFSEVVPNLARLLLRLPALLEDHYAKAGDAASGLRILATQDAGIVRLSQELVAALLTCALFCLFPTDGRAEASLPSINFDGLFAALIHNTRQSQEQKLRCLIHYVERVTDSTHTGFVSFERKVLPRRAVSDGVITYPDVDAWIKSSVSLCQFRVFSSGFIEDEEQEALEIDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMACMEDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDNKPIDSMGRRRTRIVAIDALDCPTRLHYESSCLLREVNKAFCGFFDQSKLQLYAKLFQDSDDKNFSTSISSNEYIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRPFVNYYTFEDASLERLGEVIQWVLRHEWTVGELWHMLIEYSSQRIRGETLKGFFAWLLPNGGPKNEADYMSE >DexiUA01G0014480.1:cds pep primary_assembly:Fonio_CM05836:UA:30589367:30594043:1 gene:DexiUA01G0014480 transcript:DexiUA01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGQQQPPPPPPPPQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLATHPPIFSRADEPLEADTWIRAIESKFTILATPCTPNRKVVFAVQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYAQAFNGLCPYAGHHADSDEKKLERFRRGLNTKLKAQLATTRAPTYGDLVNLAIAQEDANTVHKAEKKRKTPAGPSSSQPQRFRLVPPAAPQGQSRAPQGGGWVARPPQPNAPRFPPPPQQQAPRQNTPQPARPGAGYQCFKCGSKDHFIKDCPQNRQQNQRPGNQQGKGKQQQQRVQVRQGRLNYTNLADLPEGAPDMTGIFPICTQPANRQQNQRPGNQQGKGKQQQQRVQVRQGRLNYTNLADLPEGAPDMTGIFPICTQPAVILFDSGATHSFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTHKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMAQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCVDACAYSMAETQLKDIPVVCEYADVFPDDLPGMPPDRDVEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILVYSKNEEEHAERLRIVLQRLRDHQLYAKFSKCEFWLEIVKFLGHTVSKDGISVDPSKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCEEAFHTLRRLLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVESYADTLCHEMAKLNLEIVPHGYFNHIAVEPTLHDQIVMAQLNDAGVKVLKRKLSKEKVKEKYKCFRLDGQGVLWFGHRLMVPKNAELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFVPVNATYTARKYAEIYLERIVCLHGVPKTIISDRGALFVARFWEQLQMSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLVEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVKEAEEKVKIIRENLKTAQSRQRSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEITQQCGPVAYQVKLPERLSAVHNVFHVSQLKRCLRVPTEVVEQEELSVGPDLSYYEHPVKILDEKERQTRRKGVKMYKILWSHHSEDEATWETEDYLRKNFPDILP >DexiUA01G0002670.1:cds pep primary_assembly:Fonio_CM05836:UA:5949285:5951423:1 gene:DexiUA01G0002670 transcript:DexiUA01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATALLIHFGQVLGMSKRKDFNWITAKKLLLVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVSGCIRGKGKPPTQVTLSVVCTAAGVLIAALGDFSFDLYGYCMALTSVFFQTAYLSFSFILVVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLLINTFGGVWYSYAKYKQKKRTTRKIEPDVESHAHK >Dexi3A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:3A:8360243:8364282:-1 gene:Dexi3A01G0011580 transcript:Dexi3A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAAVTLGGKGAALSPAAVYALSLGLVSPSIDASALKALSTRAPSPQEAPASLGTALVALAPAESRAAAAVLLNKLLLTASDSASALVTAATATRLAESLDVAAALPLGSRDEAAVAAVSAPVAVALAALIDCCATPLARVADAVAALSCEAVRGDAAAFDVPASGDGLSAKDEADVAADIKMLVFGSKLVGSTGGAPAPATFAKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDARETGEGKEEALVVLATQLGRAVQALCKLSVARAKLCTETIADAELREKLTGGFSIDDLKGMLDRVLIDSDVVSVLKGVYNHLLKFRDFLAWEAAVAMAVIEGDSSIEKPQADVQNEVGSSAEKAQAGGDKGKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGTAITSLNSALVAEWATSLSLMFDPKCAGLESLVEKVKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQVFFQYLSSFHGGELCSLRYDLTVPFARYVAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVIKVLTELLNQLDIGTYEIKLNHRKLLDGMLEICGVPPQKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADEIGTLVKTRGPPLEVLLELRKEGSKFMQNEGSVVALNDLEILFKALEKANALDRIVFDLSLARGLDYYTGVIYEAVFKGATQVGSIAAGGRYDNLVGMFSGKQIPAVGVSLGIERVFAIMEQQEKERNEMIRATETEVLVSILGKDLTLAAELVSELWSAGIKAEFKLTTRVQNHIKYALQSGIPWMVLVGESELQKGSVKLKDVKANQEEEVDRKVFVQELKKRLS >Dexi9A01G0033260.1:cds pep primary_assembly:Fonio_CM05836:9A:38154755:38160083:-1 gene:Dexi9A01G0033260 transcript:Dexi9A01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKPALGFPAHEEMDELELAPPAGTPAPPPRKMHSLDFEHIGSLAAVAESLSPRSKWGRVATSVRVVIFQAKINVLLPFGPLAIMLHYLSGKHQGWVFLFSLIGITPLAERLGYATEQLACYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHSDRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEAQYGKSEVALSRFSSCIMLVAYASYLFFQLKSHRSVYSPIGDEEEAIEDEEDEKEITQWEAICWLFILTIWISVLSGYLVDAIQGASESLNLPVAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPNAGKYSYLIEFLDAGGQIGSSFDGTTGVRDSGHLVADDGTDGARLPTAPLAADDGTDEARLPTARLAAGVRGKH >Dexi6A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:6A:28579593:28580266:-1 gene:Dexi6A01G0021270 transcript:Dexi6A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRERIWFRRELHLGVVLLLDDLEEDGVDGDVAVDADGEGPGPEEHGGLPGDLDGGVELHVAARDVGEDGAGAVGELDAVEAGREVEQAAGGGGLAAGVEARRAEVRAAVEDGDGGGPRTGTTLGLVACTVRVRPMSSAAGARKWKVERLMEMSSKRGSRGRHSTSAVAAAVVAAPSPSSNAAATTTRCCPCPLPCPALPCKTNQVAGVEGFPLSCTVSV >Dexi7B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:7B:14001267:14001763:-1 gene:Dexi7B01G0006310 transcript:Dexi7B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHLSHAFFPHDLHAFRPTVDTTVAATAFLDEHGWSAPVAEGLGDFPRSELAWDYGFQQQPRKRPRVVAAAGCFVEEHSVALPQQVVPQQRGTRAAASGAASTSRGAINGDGLLSRLCRRHDAEIDALLTRE >Dexi6B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:6B:20912271:20912644:-1 gene:Dexi6B01G0013230 transcript:Dexi6B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHSRFRGAASQGLSGTLSGRIANLTHLEQVLLQNNNISGRLPPELGALPRLQTLDLSNNRFSGRVPEGLGRVSTLRYL >Dexi4B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:4B:19878311:19879378:-1 gene:Dexi4B01G0017510 transcript:Dexi4B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPQRSPAGAGAGSGSSGGGGAGGGGGGGGGTPALHYLSAPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDIMEAVVITDRTTGRSKGYGFVTFRDPESARMACMDPYPLST >Dexi9B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:9B:14206131:14207330:1 gene:Dexi9B01G0019680 transcript:Dexi9B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLRSAIDVLLVKLAQHFTTSKLQHLFVLNNYDMAISVLKEAGDEAKNIQTYFEEKLESNMMAFVDDLLMEHFSDLLRFVRSRVSEDLLFYTECSNIADLEPVVKNFAIKWRNALEIMHNEVVTSCSNLLSGMAIIKAAMAQLLNDYNRLSECVKKIPGGSALNRHLVSITSISYEIRKYSRAL >DexiUA01G0024790.1:cds pep primary_assembly:Fonio_CM05836:UA:51418053:51418486:1 gene:DexiUA01G0024790 transcript:DexiUA01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIYFWTCGMLHEEEYSHSPLLFAKTFGMVSLLDDTFDVHATFEECHKLNEAMQRTTFITHHSKIYLLSACRWDESVAPTLPEYLRMLYIKTLRNFKEFEDMLESNKKYRMSYAKNAVRAQY >Dexi3B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:3B:6160757:6161566:-1 gene:Dexi3B01G0008930 transcript:Dexi3B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPQPILPLLLLLAAAAIAPQLSSAVDPVLSTLVPRASSAYYATATSGTGGSAIWGLAQCRGDIPSSDCALCISAAAKQVASACHGQADARVWYDYCFLRYDDADFIGLPDTGYELILINTMNATDPAAFDRAERKLMAGVAAAAGEAASAGLARETARFGSSGTTIYGLGWCTKDITAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPVMSGDAAAASNADEYEKVILNHHT >Dexi4A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:4A:20959879:20969727:1 gene:Dexi4A01G0017180 transcript:Dexi4A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFGTRTRRGDAFAALEDAGSATRGRQASGGGGGGGSLRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGLPPEISLDDLADEFFRARAESEGDDDDEVVVARGRSRFPAPAERGGGGGGGSGRRSSTARYARETESSRLRGRSVSRPPAERRGVVPNAANGGPAARRQRYASVDRHTSMDRHRWCDSDSHSSLTDDDSRSSHSFHIRNQKAACAVYDLNKGHPNGDEAGNVLYDVMRKEVRQAVEEIRTQLEKAVTKSEPSEKALISDAQPTQVITELRRSYTSKLEESEKRKQELLAQLAAEEQHGHELTKIVRELLPTPKKTANLQRQPRHRRRSNDRSKVSKRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSTRKDMLLHPMTETPVVLPKVASPAEAEADGVVLPWLQWETSNDILTSPCKTKAQGESTACSTSNQTVSSRGSWSPGDYATSTGSKDKLLSRFEEVGICQSMCPNFAGTSSFHIDDYLHLRQSEELLFETWRQKQRIESGGLFLCTRSTVL >Dexi5B01G0032260.1:cds pep primary_assembly:Fonio_CM05836:5B:32869493:32872321:-1 gene:Dexi5B01G0032260 transcript:Dexi5B01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKRDEFKKKVRRIVRKSQEML >Dexi7B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:7B:24789183:24789837:1 gene:Dexi7B01G0019180 transcript:Dexi7B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRGPSLVARPLRVTGCRLGAARLPLYSVRAAGRRLPAPAASPLPHAQQAAGCQPTIPGHRPHAIPVQNARAAGTGRHAARWIPATSYYRTIEAGLSPPPAPTLLRLWRWPGKEKKERERRGKKRVPDRWPHRHMAS >Dexi7A01G0023590.1:cds pep primary_assembly:Fonio_CM05836:7A:31514294:31514538:1 gene:Dexi7A01G0023590 transcript:Dexi7A01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEEERRGWFGMAGQWWGIDPPSRQAGPPTKPDKATARYQPTATAAATPLVLLLLPNLPSCSFPSFH >DexiUA01G0019160.1:cds pep primary_assembly:Fonio_CM05836:UA:39993189:39993895:1 gene:DexiUA01G0019160 transcript:DexiUA01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPGSRIAFIGDGPFRAELEQMFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVGARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIELLLSCEELRETMGRAARKEMEKFDWRAATRKIRNEQYSAAIWFWRRKRAQLLRPVQWVVRRLMRVTTPGADNAVAKQS >Dexi2A01G0028900.1:cds pep primary_assembly:Fonio_CM05836:2A:40033908:40041917:1 gene:Dexi2A01G0028900 transcript:Dexi2A01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSAWRLAIALFLLSSFLPPLATGNTYATVCGEAKYKNNSIYQNNVERATAYLSNYPTFTAGTGFATATYGDVPDVVYGLSLCRGDTPDNVTCYECLSSAAQEAPSLCPYAKDATLFYDGCIMRFSDQDFLRSMSNDPVVVLNSTDTLNPAAAATSISFDALVDHLMDTTAAQAAAAGDIPATRKMSTGEAVFDGGGGRRRKIYSLAQCTPDLTPAECSRCLKQVMDVLALRLPGALGERVAGVRCNIRFEVYPFYIGDAMVTLDGSEASPPAPPPSSPPPPPPPAANPPSNNKKDTTRGALLNWTRRRHIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDDNMSPKISDFGLARIFGSNETHANTSRVVGTHGYMAPEYASEGTFSVKSDVFSFGVLLLEIISGKRNIGFHHTGNFPNLLGYAWLLWKEEKWFEVIDPCLEAKYQDMEIMRLINIALLCVQDNAVDRPNMTDVISLLMNESASFPEPKQPAYFNVRSVNKAQGVVEFEKSDSINDVTSSPPHGR >Dexi1B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:1B:4624291:4625388:1 gene:Dexi1B01G0005640 transcript:Dexi1B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAPKPTASPSSPPLLWDWGDHSAAGSGSSGDAPARRGGKEREGKRAKGEDGGGAEVRCQVEGCGLELGTAKEYHRKHRVCEAHTKCPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQPDAFPFASARLPSSLFGIGNLLIS >Dexi3B01G0030380.1:cds pep primary_assembly:Fonio_CM05836:3B:29902112:29907738:-1 gene:Dexi3B01G0030380 transcript:Dexi3B01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENLPIKIGTWGGNGGEQFDITQPPVRLESMTIRAGRIIDSFGFTYVDQEGKKHTVGPYGGYGGKPTTIEFAPTEYVKKFAGSTGNGVVATLVLETNLKTYEIYDKDETITYIPGTNIPIGTSQTTRTVPPFNIPLSEGNVPFSVPVPDNASIVGFYGRAGGSLDAIGVYVKSTNLKSYGDLPLLRRAMVFRGDVVKNGATTSPRFRTRTFHGLSFYLFASVIQTLPPPSPLSLRSLLPRRRLRLLSLRSPPASAASPRFLRHHLLLIRLLHHHRCGPEPQPLQPQHQLAPMATRAALPPQLPLPAPAAAIASSAQSSASATGGCGSADAETSESAGDHGEGAALQQLFSRPVLGLITKHFSVLYDIEERNTLLSSGAVRLRASHDAKSTDFLLSYVVMYLSLIYQLQQGEIPVITRLGGPLYKLELSSLVPYSGPTVPVKIGAWGGDSGKTFNVTDPPKRHESVTICAGDIVDSFGYSYVDQAGKKHTVGPCGGTGGRLATIQFAQTEYVKKFSGTIGSRGQWVVASLEIETNLQTYGPYGKETHNHFSIPIPENAGVVGFFGRAEGNLNAIGVYISNSKFIFADATQENSTNHATTSPQPLIITKEAGPIKIGTWGGDGGEDFDVTEAPKRLESVTIRAGYVVDAIGFSYIDQLGKKHTVGPCGGNGGNDTTSQLAPSEYVKNFYGTIGDFEGNWVVASLTIETNIETYGTYGTDQSTHFSIPLPKDASIVGFFGRAGALLDAIGVYVSGFIPN >Dexi2A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:2A:30889150:30892738:1 gene:Dexi2A01G0018700 transcript:Dexi2A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRRAARQRELAAPIGSLRLHMRDLLISIRIHSCLFSLLSRIVLQTNCFQLRASLQSTCAANLFSKWGSFARPFSAKAAGNDIIGIDLGTTNSCVSVMEGKNPKVIENAEGARTTPSVVAFSQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQVGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFKQNEGIDLSKDRLALQRLREAAEKAKVELSSTTQTETNLPFITADASGAKHLNITLTRSKFEALVHQLIERTRDPCKNCLKDAGISTKDVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKETNITIRSSGGLSEAEIQKMVQEAELHAQKDQERKALIDIRNSADTTIYSIEKSLGEYRDKIPAEVASEIEGAIADLRKEMASDNIEQIKAKLDAANKAVSKIGQHMSGGGSGGSQSGGPQDGGDQAPEAEYEEVKK >Dexi2A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:2A:29415372:29417522:-1 gene:Dexi2A01G0017550 transcript:Dexi2A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKAVFTDHSLYGFADAGSILMNKVLQFTLADIDQAICVSHTSKENTVLRSGIAPEKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVQLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSFYMLDASEFTYRGILRRHFRSSSCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVKKAIDMLPGINPQVMHLQISHLWSLGGKTVLPGYDHQLPAMVSSRILTAC >Dexi3B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:3B:7328490:7332299:-1 gene:Dexi3B01G0010460 transcript:Dexi3B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAAAGPASATRTVASAVLRVQMALLDGAASSNEPLLHAAASALLSRADYDDVVTERTIADACGNPACPNPLPAAASAAGPRFHISLREHRVYDLEEARRFCSERCLVASAALAASLPADRPLAVHPDRLEAVVALVEGGGAGVGQGLGFRDAGGKKEENEGRELEIKVKDVAGAGEVTLQDWVGPSDAIEGYVPRRDRNSEGQRPEAKQNKVAGPEQSRIENVDSSNAASGEDGKASSSSSVESHINSEGIALEMSSMVLSENVNTAGKKITQTPSKMLEEEDNNMLSSCISDSIAKQLENVVLEEKMGSKKKKASKESSRAQKSKFRKRPGAGDGHEVDFMSTIIIGDASTNREQGTMNQYNYSPSSILMDNSASSQSAAKDSPQDYAEQLYKEFNETLSIGKDETSDEKMKPALKSSMKAPGSKSGARSVKWADANGSVLETSKLYESSSSSVKQPEEAVDISLRRASAEACAAALVEAAEAICSGTSEVDDAVSKAGIIILPDMLNEKQYNNDNSSDRDDESQIDWDVQKWPKKTVLLDTDMFEVDDSWHDTPPEGFSLTLSGFATMWATLFGWISRSSLAYVYGLDVGSVEELLIASGREYPEKIVLKDGHSAEIRRALDTCVCNAVPVLVSNLRMQIPVSKLESTLGYLIDTMSFSDPLPSLRSRQWQLVVLVLLDALSIHRLPALAPVLSDSKLVHKMLNAAQVSREEYDSMVDLFLPFGRSIQTPMQI >Dexi8A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:8A:3658213:3659952:-1 gene:Dexi8A01G0004320 transcript:Dexi8A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISNLPDVILHHILSLLPAQDAVRTCVLAQSWRHHWRSTPAVRVAGCTGWAGGVYTFGPFVDGLLSARRGGAPLESCDFELDVDLDLGPYDVPKMQRHVNGWIRRALRRGYVFNRDLEWCPTFSKLKTLVLGYWFVSADLSALVWFLRHAPLLEKLTLKYLKVDNNLTKMGGNDKPLEQPIAASNLQIVEIKCKGVDGIVLEILEVLNDIGIPQEKVRVQVSGRKLSMNSHDSLCNCHVP >Dexi7B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:7B:25193286:25193889:-1 gene:Dexi7B01G0019720 transcript:Dexi7B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATRRSLTALLLSSSRALPRRLGPLAAAAGSAHLAPWAMLASRGAKSASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEEMVAAYVKTLTAVVGRDI >Dexi3A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:3A:6491133:6493786:1 gene:Dexi3A01G0009310 transcript:Dexi3A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLVNIMMAPSGRKRRQAATTFILLCILSSFCVSEAQFKPADNYLVDCGSTKGTTVGQRTFISDGASPVKVSTSQDILASTSANGVASFDNSALYQSARIFTAPSSYTFPIQKQGRHFVRLYFFPFTYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPVFKEYSLNITRDTLVISFKPSNGIAFINAIEVVSVPDDLIVDTAQMVNPMQQYSGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVIDQKYLLNPTVTKQVAYGKDVNYKKGGATPLTAPDIVYGTATELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDIVSLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEARASNEPDHDLSDVSMSRVFSQLIKAEGR >Dexi8B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:8B:7493708:7494955:1 gene:Dexi8B01G0006440 transcript:Dexi8B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHTTTTTIPSSTKPSSSSASVPPPPDRALLAAIESAVERCTAGAGDDDDNHRHVHEILFLVSNSPGAITFLSRRITSRLESPRAAAAVAIRSLLLVHRLLRAGDRYFEQDLRSLWSSRDLRLDSLLCSCSPLSFSSASGVAVATGACAFVHGYSAYLEERMLWGLEYPLVRVVTPAMASAVMEMDPTMPIQEEEEEEPPETEAESSGGGGGGLTFASKMETTISTVWVEFEEDDKLMLSGGDAKRS >Dexi2A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:2A:1109195:1109554:-1 gene:Dexi2A01G0001600 transcript:Dexi2A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASLVDELIEEILLRLPPSEPSCLLRAALACKRWRSVVSDAGFARSTARRRRLRRARLTPRPRPPRRHASGDDRDHLDCHGGPFVVAAMGTDLGDIFIYNYSSKTTHSVEPAAIDPS >Dexi4A01G0022650.1:cds pep primary_assembly:Fonio_CM05836:4A:25967311:25969743:1 gene:Dexi4A01G0022650 transcript:Dexi4A01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKDYSVMKTFLGQNPENVRVHTRESLPPKKKLRKIPDSVEVHIIDSDDDNRSKDYSVEDTAKQLVLYNPEITHDRQSGIEHYTSPRQSSKKPRYGSSTVLPSIGAYTVQCASCYQWRTVPTKQKYEELRENISQKLFVCARASEWNRALSCDEPGDISQDGSRVWALDKPNIVQPPPGWDREVRLRGASDKFADVYYTSPSGKKLRSLVEIGRYLADNPQYITEGVNLSQFSFAIPKPLQEDYVGKHTFRDSHELPELPEIAEVDPLCSIAPPTRRELLTGPGSSTSDPAGINQPEMSGSANLRQSIVSQPPAQYRKKRPMKQVSSRKCKKTLPGLPAASCLFEEQSGGHIDIDHVAL >Dexi2A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:2A:5424615:5425381:1 gene:Dexi2A01G0005650 transcript:Dexi2A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHKNIVVAAGLPDDPLVEILSRATVKDLHRFKCVSKGWRGLIANPLHGKKLPQTLQGFFSSYPGESFNHDGRSFINLFGGTPPPFDPSLPFLKNLPGIRKIWLLGSYGGLLLFSLRRLGYIVFNPATEQWAAVPSEHTPADKDCRFRHAFLVFHPAVSSHFQLVIFCEGQRRMCTVHSYSSETGVWRHSQIDWAEDVRRLGQMNRWVPQITGNDSHATIFNGMLYLNLSDDQIAVVDVEGKDHPWAAFSG >Dexi4A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:4A:11433735:11434542:-1 gene:Dexi4A01G0012700 transcript:Dexi4A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAKDTEAALKRLHNELVPIVGNILEANLGIDTELANEIMDEVDIIVNSAGNTNFNERDQARL >Dexi2B01G0033270.1:cds pep primary_assembly:Fonio_CM05836:2B:40910803:40913859:1 gene:Dexi2B01G0033270 transcript:Dexi2B01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTVKMRECKRLIKDFDHILKDEEKNNTPDVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDTPVQMASEMSNQELISAGRKQMDQTDQAIERSKMVVAQTVEVGAQTAATLTQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKSIRDIPGLAPPAMNRKLLSIDPFRGL >Dexi6B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:6B:3733944:3738775:-1 gene:Dexi6B01G0004470 transcript:Dexi6B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAGGRAVFHLQHLRPRHPCRALPPSPTMATAGVLSRSAPGSRPKGLSPAPILARREEVRKHETVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEQVLGRVDALRKRITSVGKQHASVCAKSTTKREAEEHLSEGRKRLEEDFQHGKHAIDDLVNVAKALRSMPVVDLHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGVLMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWIDVISKCDLLGKKAPISFDDADEEVAQYRRFGPEGALRVSVQSEIGVKEVSYSHASLILLKERVHRLLTSQMARIKSNTAEHETQEGGVSVPLRS >Dexi6A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:6A:3922826:3927689:1 gene:Dexi6A01G0004270 transcript:Dexi6A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNSNSPVLFDNDDDDGPISFKRTSASVKSSRPTPSKQEGSSVGSAAPVRSSKPVAPNQQNNGVSSAGASRPLHMKPPSSSPNHRPSGSGQPNSSAGHGSQNNSTDKSKLKRPLVKEENSDDSDDDVPIGLRRKAEEKKLKRADKKADDSDDDHKPLSHKINASKISSTSASKPVMQKTTPKVEQMDEDSDDDKPLASRLPSNAAPKSGGDVSEDSEDEKPLATRFSGNLKSASASKGLNNATNGPHNLGKRHLDNSNQTSSAIKKAKPSNASSSAIVKKSIKADDNDNTPLAQRLKIGESSKSKSSAKNVVKKSPASVKKNMKKMKGKVKTRKMMKNSQFSKTMKVPPGSGDGQKWTTLEHNGVIFPPPYKPHGVKMLYNGKPVDLTPEQEEVATMFAVMKDTDYATKPTFIENFFTDWRQLLGKNHIIKEFERCDFTPIYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGIEATPPECPIPGEKWKEIRHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDREKYEKSRKLKDHIHKIRDNYTKDFRSKDVTRRQIAVATYLIDKLALRAGNEKVGIIPTGTCDDDEADTVGCCTLKVANVTCLPPNKIQFDFLGKDSVRYFNTVEVEELVYKAIEGFRTGKEPGKDLFDQLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDGILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHESQMTRLNEKIDDLKAQRDELKVDLSKAKKGKPLGKDKDGKAKRNLAPEAIEKKIAAIETKIEKMEMDKKIKDDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFGWAMDVDPEFRF >Dexi6A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:6A:8501092:8501802:-1 gene:Dexi6A01G0008230 transcript:Dexi6A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQLEESSYMRDDRLVIECDVTIIKEAMVLEVEAEETNLVESPTPSLSQDLACLLSTKEGADVTFLVEGEIFSAHAIILAMRSSVFKALFYGPLREKREQHITIQDIQADVFRALLHFIYTDSMIPSMADLDRDERRGLIQHLLVAADRYDVQGLKATCEKALCENLDVQTVASMLALADQHNCFKLKSACIDFIICPDRWDGVAASEGFSHLKRSCPGILEDVLDKALKSRKI >Dexi2B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:2B:24444895:24445272:-1 gene:Dexi2B01G0014600 transcript:Dexi2B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTPPPPPGAPSPTNPSPESTPAPATTTPHGTLAASPPLPKVPGSTTPTHQSARAPGRSKEARWADSSPSSGGGAAAMSTSPGPRSYRDVVATVYSEVPHPPAAQDLRPPPRIVLQVARAPLI >Dexi7B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:7B:5285263:5289097:1 gene:Dexi7B01G0002750 transcript:Dexi7B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVLLAVAVFALAAGASCSFSFEFEEATIDSIQAGFKNGSLTSTALVHYYLDKITRLNPLLHAVIEVNPDALRQAARADAERSTGHRRGALHGVPVLIKDLIATRDRLNTTAGSLALLGSVVRHDAGVVSRLRHAGAVVLGKANLPEWANFRSARSTGGLHGWSARGGQSRDPYVLSANLCGSSTGSSIAAAANMAAATLGTETMDSILCPASLNSVVGIKPTVGLTSRSGVIPFSSRQDTIGPICRTVADAVHVLEAIVGYDALDAAATKSASKYIPKGGYKQFLRIDGLSGKRIAQIDYASIYDFDQSRSTILHFMVIFPVTIWQHGATVIENLKIENLNGILNITRGGLLTALTAEFKFNLNNYLSNLSYSPVRSLSEIIAFNNAHPTEEKLKEFGQQVLLLSENTTGIGPEEKAIIRQLEELSENGIVKLMTEHHLDAILTPDSDATPLIAYIGLPGIVVPAGYDEQGVPFSISYSGLKGYEPRLIEMAYAFEQATKVRKPPTFKT >Dexi9B01G0042850.1:cds pep primary_assembly:Fonio_CM05836:9B:42984867:42986467:1 gene:Dexi9B01G0042850 transcript:Dexi9B01G0042850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSALPGASVDADAPASHPFLDILDAGFNAPDAAAANAAPQRVRTENGSGTFAASGNPCLDLFFHVVPGTPAARVRELVDAAWAHDPLTALKLVANLRGVRGTGKSDRDGFYAAALWLHEWHPRTLACNVPALAEFGYLKDFPELLYRLIHGADVRVVAKAKADAEKARRSTKVRVAQLANRRRRIAEVYHAGTAVPQGPTIADYVTAALSNAGRIKSKRSRKAAAVVPVVVEEPDQAMEVVEQKPEAAEAAMEVDQKVTPAPPQEELAAKKKINKKVRKVAKLAVQSLETYYGDRAYSFLFDCIADFFAELLASDLKQLAPGGKKRKIELAAKWCPTPGSSFDRSTLLCEAIARRLYPRDSNTDYADLSEEHYAYQVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKALFKKHDEVRFGKYLEDVEAGKAKIAAAAYRGEKDDVSELQWRRMVDDLHKKGSLSNCIAVCDVSGSMSGTPMEVCVALGLLISELSEEPWAARVRKST >Dexi3B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:3B:13886095:13886678:1 gene:Dexi3B01G0018670 transcript:Dexi3B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRNAFPGNRPVPAKEGLAGIL >Dexi3B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:3B:5888318:5893072:1 gene:Dexi3B01G0008370 transcript:Dexi3B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPEPEETEPEFAEVDPTGRYGRGEKPCSLTKIDDPEVKLFIEKCIAKAPERLSAKELLMDPFLLDVSDEKIFYPLHPNINASDTAGSPNPSTSYRYDRVASSVGRHDRTGSMSDSHHTDNYTQDTMDPHAAIGRSITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWAAEESVDNQGDEGAHSETQSSEGDEGTSELHNELDASHNGFVQEQLPSGRKYWSDSPRRDGEISQPAVVEPRIGDNIANGIPKRNDADDTVSANDVEGICGRISSSMDLSNSSAANSISRGASVGSSPRSLDDEREHSCDQHPVADDTERLINLLAQQQEELSALQRKHKAEIEDMLKSVPAEDREETLTRCRLKMDEKIRGNKH >Dexi5B01G0034750.1:cds pep primary_assembly:Fonio_CM05836:5B:34918375:34926391:-1 gene:Dexi5B01G0034750 transcript:Dexi5B01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPRYSHGVFHGRAMWRRLPRPLARSRTTTTPPACHCAAGQDPSPAASMIGLVLSASKESTVPHTAWAHRTAAAAAAAEVNSAPRERVGFPWPFVWSGARVVVGGGSSILRIPCGGLGVSSFCRILVLDIGQFSVPFLPVSLADTGAAKLGGTRPEFGSSPNNDKLGTPYMMATEVNQTYFAWSQGESTERDGPEGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRTEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQSQQTELMLEYSGDGSDSSQTAEDDQGADIETPTGSGVPVDDYVEEAPHETMSEHGLQYYNDQGNENFNAEFSTSGLSSSAEVLHQVDQDVRGTVCGDNPASKMDVGQQDASSGHDSTRTTFEAARTPRRTIEKDSRLRHTPKIIPKSIRNLSKSAMDYTASERPGSVKPSTIVNQKTKTVQRPNAAPEKMAGTPERSKFTGLRRPSSAGAQRPSTGERRPIMTANSKTHADVSTPQRPSTSERRPVIRDHAQKQTNVTTPRRPSTSERRLVTRDHALKQTNVTTLCRPSTSERRPVTRDHALKQTNVTTPCRPSTSERRPVKRESAAKRADISSVHRPSTGERCAIITRDIVLKTDVKKPSKARPTVAHTKGEAATVANMKKAVTPNAARRSKLETKSNYNRLKDPSALDSHSTRSKRTDLQVSGKQKSSSVNLPPRKIFSSNVGEPALETTSRTKKKESDLLTTVANLFVGHSGDSAISSIHFKENSYFADWELKDEGSKSTSTTTSTTSAITDDEQTNSQYLIDWWKKAKGFNTTMALTQWRPESIRSSSGLQNQSMMDLSNVIISLPLYGVSQCRHCPSLLQSSSKCSIAYTMWISDSAKEASTDEVQWPAEVPCNVYSRHGTIGRAAGLTPASGMSTAPVGLKPERFPIRKDASRSARRHAACRRYEVGVAPPPPPPRPGPHRTARPAAPHAVKLTPPSP >Dexi5B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:5B:5455386:5455844:1 gene:Dexi5B01G0008120 transcript:Dexi5B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSGVFDPFADFWDPFDVFRAAVVPAAAAARDRDTAAFATARIDWKETPEAHVFKADIPGVKKEEVKVEVEDGNVLVISGERSNEKEDKDDKWHRVERSSGKFTRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKSVEISG >Dexi5B01G0029850.1:cds pep primary_assembly:Fonio_CM05836:5B:30978431:30978658:-1 gene:Dexi5B01G0029850 transcript:Dexi5B01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARNEEPTYVAQVPPPRPGAQPLLVWFPGLLVGILTPPCLASGTSTDSTTRVTAHRNRTRSAHRDRNRLIFV >Dexi5B01G0030740.1:cds pep primary_assembly:Fonio_CM05836:5B:31622860:31623943:1 gene:Dexi5B01G0030740 transcript:Dexi5B01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPHEAATLPREALEEHIVSLLRRCHGHLALRGAHARLLRLGLPRLTAAFALSKLLASCATFRGTASSSSYARKLFDQIPDPTAFCYNSLIRALPASGTPAAALAVYRRMLRAGSPRPNSFTLAFALKACSAAPPAHAEGRQLHAQAFRQGLEPGAYVQTGLLNLYAKCEEVALARAVFDGMAGDKNLVAWSAMIGGYSRVGMVNEALGLFREMQAAGVEPDEVTMVSVISACAKAGALDLGRWVHAYIDRKGITVDLELSTALIDMYAKCGLIERARRVFDAMVERDTKAWSAMIVGLAIHGLVEDALDYFSRMLELKK >Dexi9B01G0024370.1:cds pep primary_assembly:Fonio_CM05836:9B:20346274:20348765:-1 gene:Dexi9B01G0024370 transcript:Dexi9B01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRASLLLAAALCALAASGAAASRDLRPLRTGFIVRGRVWCDTCRAGFETPASTYIAGAKVRVDCRSKTTGVQSCSYEGHTDHTGTYNILVTDEHEHELCESVLVSSPDMRCATTVPGRERAPVFLTSNNGVTSNVRTANALGFQQDVALSRCAQILKMYEDEDDRV >Dexi2B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:2B:25356263:25358611:-1 gene:Dexi2B01G0015360 transcript:Dexi2B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVKAFLNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAGIWRRKKQRPNSKKSV >Dexi7B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:7B:24742841:24747232:-1 gene:Dexi7B01G0019090 transcript:Dexi7B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGGMRKAPSLEWRWVSTEEDDEEDRGGGSAAAVDSVGRGGSFESEDDEDGEDDGDEDEEEEEGRKKRLIRTVPSVDWFDVEGNEVSVAQQVEDTEEFDFGRTMFVALQTLAVVFGDIGIGPLYTFDVMFNKYPIHGEEDVLGALSLVLYTLILMPLVKYVFVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTAELESQINYLSLNVVLSAVSGLKVGIRNTSQDVVVMISVALLVILFSVQRYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDVDSDEEPPTPVKIIQAPNGSLYSLDVPLLADYVPSTPGIPEASCSTPQHDPVFDYGQNLELELAFIKQSKQSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCRRAIMLMSIPHSNMMQVRMTSYV >Dexi1A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:1A:26281178:26285272:1 gene:Dexi1A01G0019120 transcript:Dexi1A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQESAAGGRKRRRRGGARNRRKLSSSSQQAPPSPEAAPPPPSSPPSKRRRKDGTGQATAAPNRGNTSSLLDKMRGRLSGGHFRMLNEKLYTCSGQDAFDYFKNDPTLFDVYHTGYQEQMSHWPEQPVNVIINWLKSHNTSWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLDQSSVDVAIFCLSLMGTNYPSYLEEANRVLKPGGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDMKNKMFILFYFRKKEKSKVAKSIDWPQLKACIYKR >Dexi1A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:1A:28242616:28244002:-1 gene:Dexi1A01G0021500 transcript:Dexi1A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQMLNAGCHEPEGDPERRDEWAKLPPDLAAEIAGRLLGIDLAEYIRFRAVCKPWRQSTEDPHALHSRFLPRNWVVLVNYLDQFRLNHGDERLSPKENYGDETTSRKKFRLLNVATGASLTGVELPGELSGHHAIGYVEGLLVLWNDVTSGIRLLNPLTHAVTDLPGFASIFADASSTAVLENTYQFRGFGFVDGAAASSPPAMVVLLGEVFQMIACIRLGDEPRWALVDTSSLSLDGGGTAKVSFRTTLSLRGRFYMSTSTGDVVTVELDPEPRLVYVIKQATRAAARPTTTPFMGSLDEFYLAPSGGNGDSARTMLMVRRGREKVEVFEVDVDGGKLVPTSTVGTDRAVFIGSARALSVSTRLFPSAATNAVYFCVGLRPVELLFLVVRLDDGCGGDELARIPYNDQVAGPFIGPCNLDVYLAWCVDYVHM >Dexi2A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:2A:7971662:7973710:-1 gene:Dexi2A01G0007800 transcript:Dexi2A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLVAFFLLALAAAAPTPATDAASCPYDLTTAARMIPRECHANSTAGAGATGCCWYVFAAYIYATAAHANLTGDAFLPTATAAACSDAFASELLSSGLVSRSLLAGNGSCDLTGDPTKLAAGSRPCQLPAISDVRAMAPSSLPNATRLCTASPATTTTTTTSVAPGTPGCEACRDAVIAATYEMLAAARTKESVPCGMAATVAVWSASPPAMERYRDYALCMLQVLENVNSLGTADLVPSPPPPPATAIAANTTSPPISSTKKTIAVGSAAAVVVVCITVAAVASITRIHRRRKRRNAGDSETGEDDDDDDEVTSLPPLPREGLYIFSKAELMQATNGYDKKLLLGTGGAGKVYLGQLPSGQRVAIKRIYRAKKVSEFYAEVSVLAKLRHRNLTTLLGYSLSGDHHHALVYEYMAGGNLWHALFHDGGELLLPWLRRLEIAVDVAEGLAYLHGLTDGPVVHRDVKPTNVLLTETGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFAAGHVTEAADVYGFGVVLLELATGMRAVVPTPSGGAESIVQAAHWAVAEAAEVGRAADAMVDRRLGPGWDRPTVRGVFQLACRCVRPYKHERPEMEEVRALLKGMLADYKARLVNGDGDGDVVLLADAESLEGTGSSAAAASEAVSSLPSTSSSTVDGEAMSTPSMQGRE >Dexi4B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:4B:521953:522612:-1 gene:Dexi4B01G0000820 transcript:Dexi4B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLSKAKATASRLLSTASSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQWHYS >Dexi9B01G0045980.1:cds pep primary_assembly:Fonio_CM05836:9B:45400482:45402965:1 gene:Dexi9B01G0045980 transcript:Dexi9B01G0045980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISTPAASPSPTPSPARARIRLPVGCALLPSRRLPSAGGSVAAAWPRPRLQLRPGAVALALPPRHEVVPAAPEEAPAAAGLTWKLLGSLLPKASTAALFLLMTLITGTLHSSLPRSAYASIEPVAKTGGRLLTTEILSSGWAGFLAGCLHTLSGPDHLVALAPLSIGRSSLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVFRAWGTRVVGLTLLIIGAMGVREASEVHESTQLVLEGVDGSMTGSEHLQSPSAPRKKKVGFATFATGIVHGLQPDALLMVLPALALPSRFAGAAFLGMFLVGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAIGMGLALLVGQFFGFSLY >Dexi3B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:3B:2199293:2203078:-1 gene:Dexi3B01G0003390 transcript:Dexi3B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPANLASTASRASASSSLRYLANVDSDVLPGSGSPEHSAGSTGSQRYQEQREQEGAGGSEEEEEEERWSFLALLFELLRKSLLGCRTVGGGGGEGERGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKMADPLTALMYAVQVMNFLKMLVQKTLKDREESNPEDVLLPQKDRSDENGHQKPSVTLDSLLEEGSRRPSFAKEEPLLNSPAHSTGDKPNETNTTEGVTAALTAQESEAITTIEDSTSCSQPAIASLAAIADASGATATNSLQGKGSRSPNRRRNRKGKSQSGTRTTPAAEKSRGVSIVSRINSKVERIEAWR >Dexi4B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:4B:6257591:6266590:-1 gene:Dexi4B01G0008710 transcript:Dexi4B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPPEKEKDAFTEEESRLAGFLREFYYNDLRDILLDADASRPHFPLVIEFAELMDFDPKFANDLYSKPRVYLRTLDNAAQCAQSEMIKESDDLKREARVKEFVHVRIDPSGSPLEFPETSPSIGKVRVKHMLKLITLKGTVIRSGGIKMIEYERMYMCRKCKDSFRCCPELEAGNRINLPASCPSTGFDLINMNNRGMRSLPRLLSRLTQDITTDIFLSVQSSRGCASSSFQVVEDSIICHDYQEIKIQENVQLLGVGSIPRSMPVILMDDLVDTIKPGDDVIVTGILSARWSPDTKDVRSNLDPMLIANYVRRTNELKSDVDIPHETIEDFENFWKEHKDTPLEGRNLILGGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLIGDPGTGKSQFLKFAAKLSSRSVITTGQGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSSRTTVFGATNPKGKYDPDESLSVNTKLSGPLLSRFDIVLVLLDTNNSKWDEIVSSHILNENFDKKDKTNVSEVKWTLSQLRRYINYVKKQFKPVLTKDAEIVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQGMFYKEQEKNILKKLGLVEDSL >DexiUA01G0019790.1:cds pep primary_assembly:Fonio_CM05836:UA:41036316:41037020:-1 gene:DexiUA01G0019790 transcript:DexiUA01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTNTYDTDVVMDDGTVIKTTVTSSGDAVEAFLREVGDNHGHHLLVGIDTEWRVIPSEHDGGRPKNRMAVLQLCVGRRGLVFQIFHADHVPDALRAFLACPDHRFLGVAVDGDVKRLSEDCGLAVANAVELRHVAAEVLARPKLREAGLKALTREVMGVLIDKPKRLTMSKWDERRLSMEQVRYACIDAFVSYEIGRLLLTGQCCAAEGAAAAAAGRGGTIASPFAATPVPVA >DexiUA01G0013970.1:cds pep primary_assembly:Fonio_CM05836:UA:29581806:29582133:1 gene:DexiUA01G0013970 transcript:DexiUA01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAESPESGLPVESNALGTESQDDVGAEADTEAISGSLPLDYLAIETRSGEDEQTSSGVPGTGDVASPNSFISGGFASMSL >Dexi7A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:7A:14880970:14881461:1 gene:Dexi7A01G0004290 transcript:Dexi7A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRAAHLPTLRPHVTAGGLAFIISRRRSKMSDNTATCIDIILAIILPPLGVFFKCGCSIEFLISIVLTVFGWIPGIIYAVWVITK >Dexi2A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:2A:5486176:5488354:1 gene:Dexi2A01G0005690 transcript:Dexi2A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAVAPIYLSPRPALPLPARRAARRPSFACRCSCSPDASTGASRRLFASFLAAAAAVGVGAPGGEAGAVSTSRRALRASKIPESEFTTLPNGLKYELAPILLHVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQVS >Dexi1B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:1B:24945613:24947788:1 gene:Dexi1B01G0018770 transcript:Dexi1B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIPGLRIAASAVPQPQNLRRRLLRASCRGSPCRGGRLRARAAVAGPPEVDDEDAMSIDNLHRFFDVNIGRWNGAFYQFDAHGRVLQEISTRLSVSSYGEGDLISLLQSLYIKQASSNISVVDEDDSEPEWVEYKIKETNMFTVDKYQQIGFFPEEKAFALRYQTAGMLETVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLIVFHEKQGVPQTLIDSSIDPESNSSDRINGLLGRWEGHSVTKRSGVYGATLAEADTIVVLEMDSNGKLIQDTISTKSGTSTTTTVNWTGSANNNLLQFDGGYEMTLLPGGMYMGYPSDISKCVAQLDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTFFLESKV >Dexi3A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:3A:2483265:2485799:-1 gene:Dexi3A01G0003710 transcript:Dexi3A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEADEPQTEPPDEDEDFAEKDPSGRFIRGKKPAALYKVGDAEVRSFIENCLAPAAKRLSASELLRSSLLMKDDSLSAPPISVSLVEIQNVTRDDNQFDSFVFRKGEFLLKGNMEVTNPVHLCLRFPDPYGGFKTAEFPLDVAKDTGLSIAMEMAEQVELPQGSIEIITELVGAFLLVLIRHWRSCVTTP >Dexi8A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:8A:28530572:28531055:-1 gene:Dexi8A01G0016870 transcript:Dexi8A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLAAVRPLLGLLLSEAQLLRGVRSDVQFITDEMDSIKGFLMKLAGTKEGAGDDLQVTGRALWAAYSGWHGTPGASLLAAVSPPVSGSSKSGCWRSVHGSSGMPLLYLPRRMPAAAWPWIGCCRLVR >Dexi8A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:8A:13001829:13004707:-1 gene:Dexi8A01G0009620 transcript:Dexi8A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHQARHTRKTVPPLSRVAIIGGGISGLAAAKQLARHDPVVFEATPSVGGVWKHCVYHSTRLQTPRRNFRFSDYPWGDPDGPTFPAHDEVIGYLEGYADRFDLWRFVMLGTKVVAVNFLGGGAADGFTAGLWSGTGTRPLNGDPMWEVGVATVGSDTIQWYKFEFVVMCTGKYGDVPRMLAFPPGKGPEVFTGKVMHSLEYCKLSERETVELVTGKKVVVVGYRKSAVDLALECAVANQGKGGGTCTMVVRTPQWMLPSYSIWGLPFFLFYSTRFSQLLYDRPNQGLLRWLLCRLMAPLRVGVSKFIESYLKWKLPLSKYGLKPPHPFLESYASCQMAILPDGFFEMADQGLIRFERASSWCFSENGVVLEDGTEVEADLVFLATGFEGLDKLRAILPEPFRGLIVNKSGMMPLYRGTIHPLIPGMAFVGYVESVSSLHTSELRCRWLAGLLEGLFALPGVEEMLGHVDSEAEVMRRTTRFYRRHCISTFSIHDSDGMCADLDNRVYRKGSWLAELFSPYNNQDYKEE >Dexi1A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:1A:22795479:22798246:1 gene:Dexi1A01G0015720 transcript:Dexi1A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNCPAAREILHLPPLDPTNAEEATLLARCVDPGVRDQQVSEEPMPKKGRPPRELVAPVPKRARTLPKPRARVIPVEKTKQPLSEEEIIHNIYNPVSAPFSKQEGEEEFTLGEPEIPMRPSAMVEETPSTTGEEPAIDHAAVEPEAIVLEEPREMPETTLPEVLADIEQLVTQAVIEETEVERRDQNSAEPPSVMKTSQGKAKVVSEAGCSRGKQAETSTQEQAMEEIPKVPKGTGAEEEIDNFRIGSYDPMLNPNSQTFEYILDVAEDEEHIDRGLYHAERAVAYFKAVDEASRKKTEYIHNISLMHAKADRLQKELEREREDRKLQEAEDASMIRTLHLRTKELVAEKEDMKKKLSAAKTELKGVQQQLTTAQSKMTDWSNLANRHEEALKTLSEEHDTIKEQLIVAVEQRKDADLQLIQIIEQQKKAAKDLEDAREENKQLSEELVQARKSLANKKALDEKLEQTTRRMSELEEAMQQMKKSDDDLDEALKRISLLEKAANPVVKALVPEDPASPQSFLERLKAMPRQLKAYIKRSSKACLVHVLAVIRSRYPEVDIGKLVEGAEPNCTEAAFRDLKQEAEPVAEAIVQSLRL >Dexi3B01G0028780.1:cds pep primary_assembly:Fonio_CM05836:3B:27361296:27361511:-1 gene:Dexi3B01G0028780 transcript:Dexi3B01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMVPSRIKVGLVLLGAPVARGHEQRSRLGRLGAHLEARAQGRDGATALAPISPVLLVTAGTADRPLPHA >Dexi4B01G0021740.1:cds pep primary_assembly:Fonio_CM05836:4B:23635823:23636056:-1 gene:Dexi4B01G0021740 transcript:Dexi4B01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPSSPPRHKPNPSYAKPPRRKPAEKGGKEGAETPKGVAWNPRNSQRHWQRQLQHRPGCSAQAAASEMEPKVADR >Dexi1B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:1B:1270689:1271377:1 gene:Dexi1B01G0001580 transcript:Dexi1B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKDPRSMALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTHKYAMG >Dexi9A01G0022600.1:cds pep primary_assembly:Fonio_CM05836:9A:17756137:17758550:1 gene:Dexi9A01G0022600 transcript:Dexi9A01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLLSSPPHPFASADQGMGSPCWPDLQCLVRRKPAAAISTGCAEAADGGGGQRLAKTLTIPHLAAIGVGSTIGAGIYVLVGTVAREHTGPGLTMSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESSTFLCSSSSKTVASVAWLIGWALILEYTIGGSSVARGISPNLSSFLEGIITTANILVMLFVICAGGWLGFKNGWAGYKGPKGYFPNGVGGVLSGSATLFFAFIGFDTVASTAEEVKNPRRDLPLGMGLTLSVCCLLYMMVSVVVVGLVPYHAIDPDTPISSAFAQYGMHWAEYVVSSGAVLALVASLIGGILPQVCLR >Dexi4B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:4B:15913307:15915415:-1 gene:Dexi4B01G0014730 transcript:Dexi4B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTPEEDAKLLAYTSTHGTGNWTNVPQRAGRLKRCGKSCRLRYTNYLRPNLKHENFTQEEEDLIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMNSIGSLAIRPPPQQPPHASSSSYLPATALPLVHDVKYHASGILQPPPPHQHQQQQAVIARVDADAPASPVELKWSDFLADDAAVVAAAAASEAQQQVLSGQYHHEAAAAANVVGGSSGILAAGSSSGGGGDDGAAAFIDAILDCGKETGVDQLIAELLADPAYYAGSCSSSSEMGWGC >Dexi9A01G0026920.1:cds pep primary_assembly:Fonio_CM05836:9A:31095177:31095482:1 gene:Dexi9A01G0026920 transcript:Dexi9A01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGGRPANSRTSHIRVLRCGMEKGKLEEMEEAAVEVDKGEPARAAGHSPDGPRGDAAPPPCCHSRAAVAVESPESVKNKLLASQREGRERAAAGRRRAG >Dexi9A01G0046520.1:cds pep primary_assembly:Fonio_CM05836:9A:49905611:49906207:1 gene:Dexi9A01G0046520 transcript:Dexi9A01G0046520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPPAGSPAPEPMPAGSDDASTSAASTSAACSTSPCSSSSSIRCKKDVSGSGEVGKNPSALSESAGLSSAIASRRFFLSSPGRSNSIVDSSAAHGGARLGLIGVGAAGVAVPTYSPDPHGDFLRSMEEMAAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRLTAVANLDDDDEHHD >Dexi9A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:9A:14064159:14065440:-1 gene:Dexi9A01G0019110 transcript:Dexi9A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASMVAMPRTVPAAAAFPASAHAGERRNNAFRPPAACGGDDQQPPPSSMAAVPPSLRAIQAKRKEAAEHQRGAVVPRATAAAGTGTSAAGCAVAALVKAVEAVQGAAAGGAAEAARGAGDAVAWVFSKVHLQSPDLAVGLLGMVACCLGTAVQAERDRVKKANKAEAVAADPAAADDGEDEGDATEEPDGEDMPELVEGDMEKELWGRIGILHEEGGGLYGDAQEEELDEEEIKEIDGARARRRKAAYERVIASGGANSLILSNYAQLLYEVDKDINRAEMYFKQAVAAEPVDGEALRRYGMFLWHARGDIGGAEDMFTSAIDEEPESSHHRSSYAWFLWMTGGVETCLMDTGKNNGNDAE >Dexi7B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:7B:15388642:15390663:-1 gene:Dexi7B01G0007560 transcript:Dexi7B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCAGPAASAAANPSFAGRRLQNPVRSSVLQACWTPGRPAPAFLSLRRPNVELRPLRVAAGAEVDPKIVNGEDFPPMKDLIQLYKTAFLDGNDEVLGEVEKAITAVEKERSRVASKFESVAAEITSAKEKFIRLNADLENFRKQTEKERAKFTSNIQVDAVQSLLPLVDSFEKTNLENAPETEKEQKISTSYQGIYKQLVETLRYLGVGVVETVGKPFDPSLHEAIAREESSQFKAGIVSHEIRRGFLLKERLLRPATVKVSTGPGKQSVSSVEATKDAAV >Dexi7B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:7B:15252290:15252919:-1 gene:Dexi7B01G0007360 transcript:Dexi7B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHMVLVQVRQQVGAEKTAKISAPPAPATATTGGGGAERERRTPAASRGGGVLAFADGLDVLLMALGTLGAVADGCSYNLLLAFISDVVNSLGRGAAATTTTSGGARFTHEVNKVR >Dexi5B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:5B:4511891:4512352:-1 gene:Dexi5B01G0006730 transcript:Dexi5B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi2B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:2B:94557:95688:1 gene:Dexi2B01G0000220 transcript:Dexi2B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAIMDDDDSNPPLMATYKHLLEPPPHRLDGRTTTTMNKDDDVSRCVVVLPVIDLQQLDEEQCRASMVRAASEWGFFQVINHGVPQALLDKLHEAQVAVFRRPFERKVREPLLQFSPESYRWGTPTATSLEQLSWSEAYHIPMTASSLITPPAPGDDKLMTTRLVIEEVSTAMWKLAQQLAGILVAADQPSEEEDSGMVVRCTRSTCFLRLNRYPACDAAAASDAFGLCPHTDSDVLTIVHLQDAVAGLQLLQVRGSRRWVAVEPNPGALMAWSNDRYRSVEHRVMASAARERFSVAFFLCPGSDTLIRPRSSSRTRRYRSFTSGEYRNQVREDVRLTGRKLGLQRFRRLKQQQQQEQDLQQGGPL >Dexi2B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:2B:20382450:20390104:1 gene:Dexi2B01G0013050 transcript:Dexi2B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPSSSGRLPSHPQRALPLPLAPRNPRANLSVENITAKLLSAASTGDVPKVKKLAKQLEKAGKSFDEAVAGIEAPWNRGHGPLHYAATAGKVEMCKFLIKDLKVDVDTTGNAGVTPLILAIQSKHSAVARLLLLHGADPNKAASSGFTPLHTAVAQDKCEVAELLLFKGAYVDPMWEKGTPLYVACQRGYAKMVDLLLQHHADVDISFFPASPNAAAILEHTPLKAAISVHSLRGVELLIKAGADVNAGQPITPLMLAATAGFTDCIKCLLKAGADANIPDKNGRVPLEVAAIQGWQECMEILFPVTEPLAAVADWSIVGITQHAKITRSNPQDHLLHEDDKSDFEAHGDAAFFERDYAQALTLYTKAVETNPDNSTLHAKMSLCSLHRGDKGKALDDADTYRRMQPDLSKSCYEQGAALILVKEYGRACEALLSGLHLDFGSKPIDIATREYTQKLVPMSSYSDIHFGMCFFSLFSNTIGHVVEVSTWKRRPPPACDRSPRAATPSREERGVQGLRDLGKEICLREEPASSGASEEWSEEGDDRGGDREDWVAPEHRAPRARTGLER >Dexi8A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:8A:26238226:26244481:1 gene:Dexi8A01G0015190 transcript:Dexi8A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLIRVSSTAIQLLADKVNSGIKEEAEKWQIVERDLVFITGEFEMMQSFLNTADEEHIKNNVVRTWVRQVRDLSYDVEDCIEFVLHMDTKRSFKTLCLRLLSPFMSGKALDLDQAVTEITQLKARVMDVSQRNIRYNLIISDSAGSKPVANQKSAVSTDILTDYSPMMQCDLSNLVKLIDKDDNELQVISVCGTEDDPGKISIIENAYVDSTVREKFRCRAWVKPTHPFHPHEFIQNFVAQFNANTCHEQGRATIGIPLLKTNKDDIVSEFMEQVNNHRYLIILEDISTMAQWHAIMPYLPDRKNGSRIIVSTQQLEIARLCTGKPYRMMELSHRSADHSVCVFFKEVLEDNGCYLEKHMFTEEAEHILSKCGGLPRVIATLARYLATRPREALKQEMRHLSDKFMDELSTNPEFNSLRGLLVWMHSFLHACPQHLKTCMFYLSIFPQDVTIRRRRLVRRWTAEGYSKGTDSISMEKYREKLFDEVATLSIMQPSLSHDGNVTGYRVNGFFREYIVSRPTEEKIFFPVEVSKLEEEHVRLTIEGTRPHLAARTTLDNDAITITDHEVVFESLDFSRLRSLTLFELFLPYHISDRMRVLRVLDLENTNLRDDDLQSIGRLPHRLKFLSLRGNDGISRLPESMGLSDMVQLQTLDVRDTSISMLPQCITRLHKLQYIRAGIATAWTHDEDGLAAEEQSTSSSKCLPLFRRRGQVGSRSGGGVEVPKGIARLKSLQTLGAVNANAFILGETSTLSHLQLRKLELSISCKTRGRMLFWCDHHLESLSLQFEKTNHFVGWDDIFLPQTLRSLKMCGHIDQLPRGIKGLHNLLKLTLEMTTTLFTLDVVQVLGRLSSLRTLRLRVNKNQNGELQFPNAFFKKLQVLEIACKSKLHVWFAEGGATKLEQLKIHCLRGSELQFSGLENSVSLKKVWLLGSFDDALKEALQEQLDMHTKKPAPKLEVKPL >Dexi9A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:9A:4578589:4583014:1 gene:Dexi9A01G0007830 transcript:Dexi9A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDDGRVVVDLRSAAESAAGAGEEDAHAPPVHEIESLCMRCGENGTSRLLLTLIPHFREVVLMAFECPHCGERNNEIQFAGQLQPKGCCYSLEVPSGQLEILNRQVVKSDSATIKIPELDFEIPPEAQRGTLSTVEGIIMRAVDELKALQDERKKVDPQKAEAIDQFLVKLRSLGSGEAAFTFILDDPAGNSFIENPHAPSSDPLLSVRFYERTREQQAALGYLVEPEQPGEAVLPDSAVGSNSGGLQTEPHGLVGAVAGRRAIAQGNPDEVSAALCRYAAPEEVDVLPSSCGACGGQCETRFFSTKIPYFREVIVMATTCDICGYRNSELKPGGEIPAKGKKITLHVQNAKDLTRDVIKSDSASVKVPEVELELASGTLGGMVTTVEGLIVKICEALKRIHGFQFGDSTEEWKKKNWEDFNDRLAKLLSLQEPWTLILDDGLAASFVAPATDSLEDDKQLIIEEYQRSWQQNEEFGLNDMDTSSADVAYNPNNT >Dexi8A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:8A:16098355:16099235:1 gene:Dexi8A01G0010010 transcript:Dexi8A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQGRDPSTDFRGAGFISLENLLFFAKTFSEVLKSTRTQLERELVLDDVMRIEDMPSYNLLC >Dexi9A01G0027450.1:cds pep primary_assembly:Fonio_CM05836:9A:32102712:32103801:1 gene:Dexi9A01G0027450 transcript:Dexi9A01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWPSVLGDDNSRFFIYYTIPGEPVWRVSLNGDEIGHIQEGTFPMGFFESLHNEMGGRILNTNPGGRHTMTQMGSGMYASSGPNNAATIAFYMAVNNNGGDQLDNPVNSIVTSPKCYDVMNYGNDKVRPGYDVGFGGPGGYYCNQS >Dexi1A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:1A:8119803:8120278:1 gene:Dexi1A01G0009800 transcript:Dexi1A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYRQMRRREQDRLARMDADYQKRKEIAEFELRREERLKAAEERTAKKRLKRQKKKQQKKAKQTKTSNGGEEPNRVETSDDEEGSDGDDKSKQ >Dexi2B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:2B:1420302:1420931:1 gene:Dexi2B01G0001850 transcript:Dexi2B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLPLESHGALYFTWVVDPPGRRRPRDLASRFFDLRRPSERWSLLGIRHGFLLLANRTRREAVVWDPAACVHHRAPYPPEFKADGIYGLVCNGAVACAAAGAHGVGDCHLSHFKVVLHHLDGGD >Dexi4A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:4A:6341063:6341310:1 gene:Dexi4A01G0008450 transcript:Dexi4A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKWKSSRPHTPCEPAGSSWYRTHEVEVGREDAQHDGRVVGLGDEPEPGEAIEEGGEVGLVPCPVVDAGGVAEGVE >Dexi6A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:6A:21707520:21709682:-1 gene:Dexi6A01G0014350 transcript:Dexi6A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEELGEDDDVEEQFVGGVLRQSSGDVSGELLGVFNGGTLEAPPWWREEEERGSGSGQNPSGRSASRSGSARRSRSFFRSARPAGVRTTQRNRWLDRSRPTAISLSCVSGSAPMLPKQRNTTLCFGWVSSHDDLAGDDANGQPRRQGIPERLHRLALQRLESVDQNSTGAHAAC >Dexi2A01G0032400.1:cds pep primary_assembly:Fonio_CM05836:2A:42887704:42888500:-1 gene:Dexi2A01G0032400 transcript:Dexi2A01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVCYKDASEAIVDATRTILQQCELSKTVRRVIHTGSILAAAPLKEDGDGYKDYVDESCWTPLNVSYAYSNEALDAYVSCKILSEKELLKYNDSPSRAFDVVILLLGLVGGDTALPYVPGSMQTMLSPLTGVEAFHNSLKFVQALSGAVPLVHIDDACEAHAFFIDECPAAGAAPVAGRFLCAAGHPNMRDVVDHYARQHPELRLRITGCVKLV >Dexi6B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:6B:9289827:9294645:1 gene:Dexi6B01G0007790 transcript:Dexi6B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSLLLYSIRPRATNNQPTNQPTPGRLPRPIDQAGERAMDSASSAATELVAALLRGRVPPELLGGEGAEGRRALVATLAAAVLGAALFVLWRRAAAGKKRKREVVVADAAKVKARDAKPAEDAADDGRKKVTVFFGTQTGTAEGFAKSLAEEAKARYDKAIFKVVDLDDYAAEDEEYEEKLKKEKLALFFVATYGDGEPTDNAARFYKWFSEGNERGVWLSDLEYAVFGLGNRQYEHFNKVAKVVDDLLTEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVSTGTTYTAAIPEYRVEFVKPEEAAHLERTFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGSGLMYETGDHVGVYTENCAEVVEEAEKLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSSLVALATYASDPAEADRLRFLASPSGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSLAPTRIHVTCALVNETTPAGRVHKGVCSTWIKNAVPSEESKECSWAPIFVRQSNFKLPSDPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGRSVFFFGCRNSKMDFIYEDELNNFLEQGALSELVLAFSRQGPTKEYVQHKMAQKASEIWDMISQGAYIYVCGDAKGMARDVHKVLHTIVQEQGSLDSSKAESFVKNLQMESRYLRDVW >Dexi1B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:1B:9599998:9600688:-1 gene:Dexi1B01G0010210 transcript:Dexi1B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDDEPDTCYRWSCNFVVAHAVLATGFVTAPVAVLRLLTRPHSGAATFFAIFSIFCAAISLVLCCRFYSDLKRPPWPRWFSGGHQQQQDGDGGEESTSGMMSHDLRRPEMPVMVRLEMRAALATDAIPSYEHVGEDAEDCAVCLGEVEKGEMVRRLPVCQHVFHRECVDLWLRAHATCPVCRGGMLPERPPEVLVNIEGSMYGPQRHVFPRQSSGLGL >Dexi3B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:3B:967478:975296:1 gene:Dexi3B01G0001300 transcript:Dexi3B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLFPIFSEYFVPVEFFPCSPGGNMEIPIQERLRRKNTRQSTQLQSPNRDRIKTSKKEAREGGEMQIRTPEMVARAIRMLPPAQDAGIELGEERYNAEEVSMDLLLHGRVLGPREEAEKAEAAGVVGRWEEAWKNAPLVIQDAPIVKAITALAPELAADVVEKVSSEAIWHILDGEEEGEPPVHQGLVGCVRIEAPSGCPPPDVVGGRWMERITAVRADHPVAEAFPLRGLPAGLRSLALAFFTSSNPLDATHLTHLSELRLTGCDFSGLALSRLIPELCSLRVLELGYCRLTQQCRPEGLTLVSSSLVCLDMWSCTALGGVSLQYTPKLQLLCAGVRPQDRGGARINIEIRTAGALRGLDGLVLPWHELSYITPYQGRVRLRVCLKMADIHQMIDLLDLLRHLPHLGQLILLRIDGTDPTEAIPSERLSNIPSITSSLQVLALHNFRGGVAEIGFAKSIIFKACQLKTVELQPHPVLTPQALSEAITHLTVCSRASQQGRMGVQAGRQASRRDSKEAQVGRQAAEGIQRRQGQRRKGRRRNFARKTKHRLGRTPRPNAHLKAKSL >Dexi5A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:5A:10203484:10205451:-1 gene:Dexi5A01G0013600 transcript:Dexi5A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTEPSPPPILRDVIAHDDDDDNFIAEEEDEDEDEDEEWDDISKRMSRLSMDGSDGGDADDEDGGEDEEVEDDDEFEVRSDVNGATYGGGAGRPWPPYDDDGRAPSSASLPGTPDRAAQAARSSPTWWPAGPSGGKEYASETEARWPPEGGRGGRRRNHHHRRERMMREVWLERAWRMRKQRRQMQEAAVPVVILGGGGGGSESPVGSRGGGGVAMDMEEVRACRDLGLDLPCDWTVEIPCHALSGVDTGSSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >Dexi8B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:8B:7831095:7831436:1 gene:Dexi8B01G0006690 transcript:Dexi8B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLSLRPSLELEMEPQGYFSCSYCDKKFYTSQALGGHQNAHKLERSIAKRSRELAIARRNAIQGGDSGSEVEEAARRGMDHDTKPRSSHQIMASPEARHDPTEEIDLSLKL >Dexi3B01G0024210.1:cds pep primary_assembly:Fonio_CM05836:3B:18900447:18901273:-1 gene:Dexi3B01G0024210 transcript:Dexi3B01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWRMHKRNGKMLEDEHKLLSFRVAYQHQQDVELSGGMRELEIGYHQVEHDRDFTSQMPFTFRVQPSHPNLQEDE >Dexi8B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:8B:20855760:20860044:1 gene:Dexi8B01G0011680 transcript:Dexi8B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFWRAVQWWEEWQLRFLVLASLFFQYFLFLAALLRKRRIPAWFRALIWLAYQFGDVVAIYALATLFNNHKKDEVAAGIRGHLDTLWAPVLLLHLGGQDGITAYNIEDNENWRRHLLIAASQIAIAIYVFCKSWWFDDTRLLRAAILLFVPGVVKCLEKPWALKNATVTSIIDSSDPQMMLTMEEKDDKPAKTLEDYVQAATGRVKEPQKEETPDEYFVNKMNDEPYHLFVDLSHPYSIRLKNLEIMAAETGRDEVHDRVRLFLSRGFDRLYTKHKASYGGVLRAIVVFLTFVDIGLFQESRRSTYVPADVVVTYILLCCTAALELVSACVVLGSGLPLPDDQIAQYNIFGYLARNKKHWTLRHLAFLLGLKDHLDHLWCTTPPVPSRHITELVHDHVYGGWKDYIDADGVRHVNDDQNKETGSRTGIKAVDYYHRFNDSRGQRTLEWENKILLERSSATSMTTPTPTPQEPQPPPQLHSKPLGHFERSLRRPFDESIIIWHLATEFCYFDHVDTGGDAPQHSRVISNYMAYLLFVRPWMLMPGTRRGLFRAVYLELRKMLGDKKSEWEGGDEGTDKKKKMKVPTRAMDEIARRIIQKVRNPPKRSAARPRPGRVSADELVRHAWDLAHELMEFAKGKAVEIEENKLKEEELERKRMGKPEDVAVTIKKMTEIAISAKKEGDDKMWEVIQSVWVEMLCFSAGRCRGYLHAKILGNGGEYLSYVWLLLSYMGMETMAERMQRTELPLDGDTGALVTTLDLEDDDDNEQAPEDPPRKQASEDPSGTTATSSAAAVVPTGSGAAVVPVVGNDNNV >Dexi9B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:9B:10495589:10506091:1 gene:Dexi9B01G0015420 transcript:Dexi9B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTSRPFHAHLLPLLRIALRLTLLPLSRARARSQFSIAAINESDSGGQWEPLAPTKEAQVGLLILPAISLFEQVDNIGSDQHLLQLRFLTLKNLASVFLQQGLEFYDNALHCYLQAVELDSNDSVVWNHLGTLSCSMGLLSVSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVANLILRSWPSHHRALHVKKTIECAEPIPFAPRGIDILEPKHVTLVFSNKRKSIDDGIYKETKTKKSKQSATLQLNEAKWFALLDGILSFLSANVETDNEHNHVNTADKCSGSEDSIKGLAYSTIDVIVSTDTIKSVESAGENGNDSHHDGETASPHDCKTTVKDKDVNSDREHPHERRSTRLERLRSRKSGKDENGPDGKDISHAVTQFLDSFILKGSSAAEKVDFSGNADASNPDTLTYTSDDEANDVKSFLCRISKNFGPHHIGYMLLEGMAHLKVPFQDYFVKLIELDKLTRGWAEDRSALCSLFLAELYYDRALCSGSPSTSSELSDSSYHLCKIIESVALELPFNTSVREIHPIDLNLEIQSSSADVSSSDITEKSNQNSDKPVSCDIFSDRKSEFDSSSNMNCAFWIRFFWLSGCLSLSSDCKEKAYKEFNIALSILRNGNKDSSGGEVILLPHTKLVKSLTADRILREINLIRLESLLWNNDENINKITHTEFMKLLPPLVLSTKDVYVGNAYGPQRESENVISLELSALDVLISACEKAKPMNIQVYLDSHRRKIQVLTVAAGMVGSVTPPKGKGSGDMDIVEAMNRNRLENVVEAVKDVSRNASKAKDFIDQCDNSDGQDGWSSLVSIVGDIQSLLLTIMCAAVRIILSRKFSCSGTSYQVDQLESSCLIDAAIAFCKLQHLDPTISIRTQADLIVAVHDLLAEYGLCCSGKDGEGEEGTFLKFAIKHLMALDVKLKSQLNSNGMEEDTVPKNAGTQDTMVDEPLVNDSKQNSEDEEDEEESEFDEIQSCLDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPIDNFLDGPDSCEKVLSEICESNGSKEAILNVLFPGERGYEAFKKLSTASSEPYSDVYGNLYFYIAQSEDISATDKHAGFVLKKEGEEFVEQSANIFKYDLLYNPLRFESWQKLSNLYDEEVDLLLNDGSKHISILDWRTNTDLIRRVEMGRRHSRRCLLMSSLLAKTAPEQSEAHELLALVYYDSLQNVVPFYDQRATLPVKDSTWEIFCQNSMKHFEKAFEIKAQWLHAFYLGKLCEKLGNSFSKSFSYYNKAIMLNPTAVDPVYRIHASRLKLLYTQGKQNLEAIQVVADYTYNQLTKENVLSMLGSTTNVSNSSSEQNEKSALDAKEENKFVEPDLLDKAWHILYDDCLYALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSSRSSFTVNMWEIDGTVRKGRRKNPNVGGSKKTLEVSLSESSRKFITCIRKYMIFYLYLLEKNRDLWTLEKAYTYLRTDKRFALCLGDIVPVGLGKYLQVLIAAINNPEIRRASGDASVDQLLEKMFSVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMDATNQPAPLSNGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPIKQTSEDNLESAVTLMKSTYNFYRESSCGTFPSGINMYTVTPSLAPIEGVPQAPPVVETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKSRSKRGTSTAAAASQVVQPIPQTVSSQAKEKSTQAESTEAAQDANPSAQAAHREALGTSASQAAIDSQLNRSGSSRAMENTPDSMERK >Dexi5A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:5A:3767103:3769188:-1 gene:Dexi5A01G0004930 transcript:Dexi5A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEEPSPSRSRFQCPNPRGRLHQPSNRLEPLHSTKALATSMQVPAGRLPTNCATRPPYRSRVASPPRPNRSPHRHSLLVPELTFLAALRSVLADRASRAGSNWIFPSSPLFASSDMDACVYIHTHADPCLGGNRIEASLPGSSRGTGRRKQMEGGDEAPGKKARKPYTITKPREKWSADEHGRFLDALLMFGRDWKKIEEHVLTKTTVQIRSHAQKYFLKIQKGGLVAGLPPAYPRRGLAMAQQSSAAAAPFLHGEPQCEPVAVPPGPSDSDAVARGGIGWNSPGVLLPEASSSGKTFSSEMQRGLDWAGDSGSGTRASWLSLSSGAQSQIAPPATVPGGSRFSGALNFSSSSMEWAGSSSSGGSPIGPVENELVKLPLSPDDPHFAQVYRFVGDIFDPKTPIPLEAHLQKLKDMDDITVKTILLVLKNLENNLAAPQFEPIRRLLSTYDPRRGLSGQL >Dexi2A01G0032990.1:cds pep primary_assembly:Fonio_CM05836:2A:43291729:43293351:1 gene:Dexi2A01G0032990 transcript:Dexi2A01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGRGRGGCGRGGFGGFDQHRAKHTPHENFPDITLPAITCAKASTEEKALLLSTLRLEEFWRTSCYHLEEVVPKKKNEDKEIERYSDRKRKAETKREALVSYLKLTPSNFPAELVLEEGK >Dexi7A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:7A:19396529:19398124:1 gene:Dexi7A01G0008240 transcript:Dexi7A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADDAVKPHAVLVPMPAQGHVTPMLKLGKILHCRGFHVTFVNSEFNHRRLLRSRGAHALDGLPGFRFATIPDGLPPSDKDATQDVPSLCRSTEESCLPHLRALLAELNASPDVPPVTCVVGDDVMCFTLDAAREIGVPCALFWTASACGYMGYRYYRTFIDQGIFPFKEEQLTNGFLDTPVDSAPGLSKHMRLKDFPNFFRSTDPNEFMVHFAIRIAEKIAGADAVILNTFDELERGALDAMRAVIPPSASIHTVGPLPLVAEQVVPRGGELDALGSNLWKEDVSCLAWLEGRRPGSVVFVNYGSVTVMTNAELVEFAWGLANSGHDFLWIIRPDLVTGDDAVLPPEFVEATKGRGLLASWCPQDAVLRHEAVGVFLTHCGWNSTLESLCGGVPMLCWPFFAEQQTNCRYKCVEWGVGMEIGHDVRREAVEEKIREAMGGEKGKEIRRRALEWRDAAERAARPGGSSYANLDKLVANVLLSGGKST >Dexi2A01G0031600.1:cds pep primary_assembly:Fonio_CM05836:2A:42340722:42341173:-1 gene:Dexi2A01G0031600 transcript:Dexi2A01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKSLLIALLVALAISLQPSAAFEIRIPPFPCIPGLPDIWFIPCYNATPAPPMKEITECWTPVMKMMPCAGFLTNASITEASSECCKGFKSVPDDGAAICYCHIGNGDIAKLLPGPLNFTRLYSLPKVCHDIVGLEAYAHCDLY >Dexi3B01G0030160.1:cds pep primary_assembly:Fonio_CM05836:3B:29649346:29663393:1 gene:Dexi3B01G0030160 transcript:Dexi3B01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAAHRASFPLRLQQILAGSRAVSPAIKVESEPKEDDLSILKQCVDKFNVPPEHSDAHDELTSFFTNEPEYINELIRLVRSEDFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDASAPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDSSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGTVDGHNSMITDVVKEEDHLYSQKRLIKALLKALGSATYSPGNPARSQSSQDNSLPASLSLVFQNVEKFGGDIYFSAVTVMSEIIHRDPTCFPALKELGLPDAFLSSVTAGVIPSCKALICVPNGLGAICLNNQGLEAVRESSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLCSSQEERSNEPAISEEEKTDMETDVEGRDLVSAMDSSVVGMDDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSAPLARAFCSSLREHLKSALEELDKVSSSIEMSKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDASREVLEDIGRVHREVLYKISLLEENKIDSDASSSSSVSEAQQPESSASDTDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAASDSQRVGSDRYSSQGLPSSSQYQSSSSADANASTRSEEDKKKSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSPPVISVAGNIASIVLEHLNFEGHSAILNTFQATSELLFTMSRPPSSPMETDSKTGKDGKETDSSWIYGPLSSYGAVMDHLVTSSFILSSSTRQLLEQPIFNGSIRFPQDAERFMKLLQSKVLKTVLPIWAHPQFPECNVELISSVTSIMRHVCTGVEVRNTVGSGSARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEEDDELARALAMSLGNSDTSVQEEDARPNDIELEEEAELPPIDEILHSCLRLLQTKEALAFPVRDMLVTISSQNDGQNRAKVLTYLIDNLKQCVTASESLKDSTLSALFHVLALILHGDTAAREVASKAGLVKVALDLLCSWELESRGSEMAEVPNWVTSCFLSIDRMLQLEPKLPDVTELDVLKKDNSNTKTSLVIDDSKKKDSESLSSVGLLDLEDQKQLLKICCKCIEKQLPSASMHAILQLCATLTKVHAAAICFLESGGLNALLSLPTGSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANQHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKSKEKDKDKSADKDKATGAVTKVTSGDTAAGSPASAQGKQPDLNARNVKPHRKPPQSFVTVIEHLLDMVVSFVPPPRSEDQADVVSGSASPSDMDIDCSSAKGKGKAVAVPPEESKNAVQEATASLAKAPVSRLNTYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQSLSRTLQVLDLDHPDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKIASDSNNVDSSSNRFQALDTTSQPTEMVTDDRETFNAVQTSQSSDSAEDEMDHDREMDGGFARDGEDDFMHEMAEDGTDDQDDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFENLVEMAFSDRNHESSSSRLDAIFRSLRNGRNGHRFNMWLDDGPQRSGSAAPAVPEGIEDLLISHLRRPTPEQPDDQRTSAGGTQENDQPTNASEAEAREEAPAEQNENSENTLNTVDVSESVLPAPPDSDALQRDVSNVSEHATEMQYERSDAVARDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASGPSDRLPLGDMQATARSRRASGSAMPIGSRDISLESVSEVPQNPNQETDQNANEGNQEPPTAAGADSIDPTFLEALPEDLRAEVLSSRQNQVAQASNDQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSSSLFGMNSRNRRGESSRRDIVAAGLNGDPSRSTSKPIETEGAPLVDEDALKALIRLLRVVQPLYKGTLQRLLLNLCAHRDSRKSLVEILVDMLMLDLQGSSKKSIDTTEPPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARSHPNVAKLLLFLEFPCPSRSNTEALDQRRGKAVVEDGEEQKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENQINQAKLEVSSEKPSGPETAVQDGQDNTNVSESSGPKSNAEDSSKTPAVDNENNLQAVLQSLPQPELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELARSMQNLTLCAMKELRLYENSEKALLSSSSANGTAILRVVQALSSLVTTLQEKKDPELPAEKDHSDAVSQISEINTALDALWLELSNCISKIESSSEYASNLSPASANAPTLATGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSSDMDDASTSSGGQRSSGGQANLDEKQNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVVEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPEIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >Dexi2B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:2B:9082125:9083991:-1 gene:Dexi2B01G0008620 transcript:Dexi2B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINDIFTGPFCKGISYGTLQFRKKVVLQRIAHFEELDALADNRSRVPQNRDEKKRDVWLQIRLKEKSLGILAVLAAGYAYHQRANDATLATRCPRSPHAAARHRTPTRPQPVLLAVICTQGLLPEHGFLLVYGGRRQMGPSSPAREDLEGGSGMRGLPPAGGQGRGGSGGAIPLQAAP >Dexi3A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:3A:3622295:3624643:1 gene:Dexi3A01G0005600 transcript:Dexi3A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACGRAEAAVLCCADEAALCRRCDAAVHSANRLAGRHSRVELLPSSTTGAPSHSNILVGDGSHPACDICQEKTGYFFCLEDRALLCRPCDVAVHNAGGAHVASHRRFLITGVRVGMDAAAAGGVVSPSTSSGNGSSSVPCSSGNPMMTMPDKRSLS >Dexi1A01G0028810.1:cds pep primary_assembly:Fonio_CM05836:1A:34385174:34389613:1 gene:Dexi1A01G0028810 transcript:Dexi1A01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVPIPAPPPMPPSAAEEAEKEKRKNRRRPTRRSKQLQQGAAPAAAAPQGAPHADAAGPMSVRSMPPMHVGGGARADAERRRPRRGRATPAPCFPRRGPRRRSWRGRVWELPGCGTSSLTGLSGPWRRPSRGHVFVGKFRAYCTIDGIPVDILITGLAQNRAVEGDLVAITLDPVVHWTRMKGPNVACSPVTGGDSVAHEIGETNGNHCRRKGQADAGCRFENCSNGVPVMDRTHLHHKNSGFSQAVKCENGNATVPESNERDLSDVKSEAARALQRICAMIYSHPGRRPTGKVLSVIKKSPRRDAIVGFLASFPEFPDGDQQKNQMDVKRMNNRAQSVVTGLIHLLPTDPKFPRMVVSVSTLPDSGRQRLREGDAAIEKELVAARLDEWNEESFYPYARVVRFLGKGGHVKTHMDAILFENAISDAEFSPESMACLPDNCWEIPQKELEARKDLRKVLTFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETALDAEAQSRSTSVYTLRRKISMLPSRLLEELVSLNPGVDRLAFSVIWDIDPHGNIVSRWIGRSIIFSCCKLSYDLVQDLISGDASQSRSAVSSLQVHGIFERDDVIKSLRGLYEVSKNLKEIRFKDGALSLDTAKLMILFDEDGAPCDSYRYVRNDACFIVEELMLLANMSAAEVISNAYPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSKIKEKLQDDPVLFDILMFYASKQMQSAEYFSTGDLISKKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEEVYMKQKKSFTGRNGVKASCDLMDRCFTGLQFSKDAAESEEGKKALSAAAKKFKVPSPENLGEVAEYCNERKWAGRRAEDAGHKLYMWAMIKNKEIVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATGTLVLDACRNKPAQRRGTQMKCRAIEEVAMMVNPSESMLSEEDEESGATEAGGCTAKSVLLSGDAVKARAAPAVLPLVIHYLSDIPVVLHATGGEDCAVDIGVRLYMASYFK >Dexi2B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:2B:23886116:23897358:-1 gene:Dexi2B01G0014300 transcript:Dexi2B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLERADASTEWKEFTTAEGRKYYFNKVTKQSKWNIPDELKAARELAEKASNQQSDRETGTTATALVGSTASEPSTVPANQSSTAVGLMASGTHDASANSVPPSAGSSHNVDNTTSSVAGMQNGGPSTAVPVTTEVQLVATDAGSSRNNKENLSVGTAADTEDVTSAEDLEEAKKTMPVAGRINVTPLEEKTSEEEPVVYATKMEAKNAFKSLLESVNVESDWTWDQTMRVIINDKRYGALKTLGEKKQAFNEYLNQRKKFEAEEKRTKQRKARDDFLAMLEECKELTSSTRWSKAILMFEDDERFKALERPREREDIFESYLIELHKKEKAKAIEEHRRHVAEYRAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLDIFQEYIRHLEKEEEEHKRMQKEQVRRQERKNRDAFRKMLEEHVTDGTLTARTRWRDYCSQIKESQAYLAVASNTSGSTPKELFDDVIEELDKQYQDDKTRIKEVVKSGKIPMTISWTLEEFQTTVLEDDALKGISTINIKLIYDDQIERLKEKEQKDAKKRQRLGENFSDLLYSITEISASSTWDDCKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKHTHASDSDSENRHKRHKKDRDSSRRNGAHELEDGELGEDGEVH >Dexi5B01G0035590.1:cds pep primary_assembly:Fonio_CM05836:5B:35547101:35547825:1 gene:Dexi5B01G0035590 transcript:Dexi5B01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPQAPCRLPSHLVRRTTLSKNLSISKDSTSRRVTKQQRRGESSKRALVESAMNRPPPHHGAMPLPPPPAAPGTGQLTHYASAPGSFLAALADSVTRGGGDPAPPPFTRLCSGESSGLRLTSGEPTCRTDGDGGGRPLDRAYGGSGEIRLPPASNRQQQGLATRRTTHVPHGGARGQPPRTSPLLRQSSSPAGLLSHLMADQHGV >Dexi9A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:9A:825835:827820:-1 gene:Dexi9A01G0001560 transcript:Dexi9A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASATLAAPPPPHDAAGEDESTCRGVFIEFMTKVAQFEEQAESGNRLLVRFRQELVRSCEYGLKDHMNKAKALLEDLECLVEDVYGITLTASFSALKVSDSPSIDSKLTTEPSIMEEEGDKNVEQLDSDVSLVTAMIILRNMLKLDYTMQEKTVSALSLKTPSSELEGYCLMWGLRPFIDDNVMRLAWKMCP >Dexi9B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:9B:6550866:6553737:1 gene:Dexi9B01G0010480 transcript:Dexi9B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGTVAVAVASDAAASASGASGKKGGKASASVVLRVPAGSPMVAARRQASARREIAIRRAREGGREYEIVPTARGETLFTQCWWPHPPSSSIKPRALVVVMHGLNEHSGRYDHLARRLNEIGIKVYGMDWTGHGASDALHGYVQSLDHAVNDLKMYLKKVLAENPGLPCFCFGHSTGGGIILKAALDPEVETLISGIVLTSPAVRVQPSHPVIKVMAPVVALLAPRYQFPGSQKNGPPVSRDPEALRTKYSDPLVFTGAIRVRTGYEILRLTSYLQQHLHRITVPILVLHGADDMVTDPDGSRALYEQSSSADKSLKLYSGLLHDLLIEPEKDKVMDDIVAWLSPRV >Dexi3A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:3A:8471588:8478349:1 gene:Dexi3A01G0011720 transcript:Dexi3A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNYFWGKRWCPQEEQGLRLLLCGNAAAGGVASPAGESCGGVGDVTVEDIHALECGMCFLPLKPPIFQCKWGHVVCSKCCDKLRTTGRCHTCGVAYVGNSQCDAMERLVNSIRFPCPNAVHGCTTRTTYYDQHYHHQTCQHLPCHCPGEALQDGFNFLLAECPTDRILYLLLLNVVRQPHGCTISVLCIYPDNDESKEMEVQCELTYSQNVHVKSRRGDGKLIKHFQESTFTVDCTDLSDGKPRPDECFQFVVPKSFLPDGDTIEVEGQIIITY >Dexi2A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:2A:695804:696709:1 gene:Dexi2A01G0001060 transcript:Dexi2A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPLAAAPPLRVSPELPLEIVEDIFIRVPPEDCALLLRAGLACKTWGRLFASRGFSRRYRQRHRGAAPLLGFLANLADTGGAARFVPAAISSGGGGGFRPFRADSHGYRAHDARHGRVLLSRVSTTNTTSAQSIFLAVWVPITGDTWRLPPLLRHRPVRSWNAAVICDPFRVVVVGMDTRELFVYTYVPEAHAWFPLAAGKPVSDQLDADAFVSDALHLVLLKGTRILKYNLLTREVSTIRLPNRRRAYGPRITLMAMEDGRRLGFAEVCTVHGVEHWSSWRNQLGDDQIH >Dexi9B01G0029880.1:cds pep primary_assembly:Fonio_CM05836:9B:32268279:32269538:1 gene:Dexi9B01G0029880 transcript:Dexi9B01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSLHLPKHLLLPNPRRTTRRPSSVSFVPAAAANTSGGVNGHAPEKPTPKPNGSKKGVNGINGVNGSKKGVNGINGKKKGVNGHVNGRHADRIHLSVSTGGGQDGSGLRVAFQGAPGAYSEFAAKTALPGCDTVPCRAFADALAAVERGGADRAILPVESTMEGTALRNYDLLLRHDLVVVQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNRMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSRPPSPVALPVDSDAKTSMVVAHRGGSMMVLLKVLSAFSSRNINLTKLEVINNEDGASAAAAAGAGGHPPVMILDTKARGAPTLRAFPHVLYVDCEGASHDPRVREAIQEIEKFAVFVRVLGCYAADSTVYDLQ >Dexi6B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:6B:3784064:3784963:-1 gene:Dexi6B01G0004510 transcript:Dexi6B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTSSYLNHHHQAAPLHLHHDDGGAAGSDDGQDSLSPGSGGPPSTAGGAGIGGGDVVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGSVANVTLRQPQQSPSQTGSSSTSPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLDEADDVAPPVPPPGSEQQGGGMPFGGDPAAMAAAAAAGGLPFFNLPMGMPPMPMDGNAGGWAGNHGGGGVGRPPFS >Dexi7B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:7B:3877820:3878312:1 gene:Dexi7B01G0002300 transcript:Dexi7B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLPFFLLLSSSPSVQAQQNITLGSSLTPQSSTSSWLSPSGDFAFGFRPVDGNTSSYLLAVWFNKISNMTVVWYAKNTEEDASVVQVSSSSRLQLTSSGALSLQDPTGTEPTLPCSIPETLYWLLQMDLPNGKLSKTLWILSYPVRCSILE >Dexi5A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:5A:8850865:8852289:-1 gene:Dexi5A01G0011760 transcript:Dexi5A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIAGDEHLHVRVVSRRLVKASDSSIKPHVLAVSNLDLIPQTTQGSLFVIYPKPPTTDFNTIVAAFEADLPSLLNHFFPFAGRIVTDRSSGLPEVHCNNQGAELVVSEVAGVALASLDYGNLSKCLRKIQLPYGEDMALSVQLVSFACGGFTVAWSTNHVLVDASAMSLLVTASRAAFTVRLRVHRHVVHVERSRALGGRVVVRARMAGEIFACMRECTGDVVRSQIVAELQFRLPALTIGIRAEPPRKKFVVSQKKSAGGGDDRRSEIEQRGKRRSSGSLMWPMLTRSNYSEWAMMMKCNFKAMEIWEVIEPGGKGAMGALLRSVPKEMWTTLGAKNTVSEAWAAVKSLRQGADRVKEDLSTGHLGVSG >Dexi6A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:6A:27676250:27678714:1 gene:Dexi6A01G0020160 transcript:Dexi6A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLSSDSAMERQSSIRLGALEKLKSFRGMEKQKSFRGIMSMERRSRDSPGKRGDTPLHLAARSGSVAHAQRILAEIDRALVAEMAAKQNQDGETALYVAAEKGHAEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLEVVNEMLQAFPALAMTTNSVNATALDTAAVKGHVDIVNLLLETDASLARIAKNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDISVIHSEDNKGNRPLHVATRKGNIIIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGITAKEPVHPPNSAKQLKQTVSDIRHDVQSNIKQTRQTKMQVHKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPGMSLGQAYVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGTVIMLTTLGSMCYCIITHRLEEKNARKIRKASANQSRGSWSRSINSDEEILNSGLKTKMYAL >Dexi3A01G0025040.1:cds pep primary_assembly:Fonio_CM05836:3A:20659052:20661194:-1 gene:Dexi3A01G0025040 transcript:Dexi3A01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGECASNDYGAPAYWDARYSSGAAASGGGEFFDWYQTYTALRPLLRAHVPAASRVLMIGCGNSLLSEDMAKDGYEDIVNIDISSVVIEQMREKHKEIQQLTCNMQMDVRYMSFFGDESFDCVLDKGTLDAMMCADDAPDGASKMLAEVARLLRPHGIYLLITYGAPTERVPILNLAGCGWSIALYIMPTPEYELKMSKGATQPTMEEVALTEDGQLPPDYVLKDPESHFIYVCHKLAANGANCRDTDPEETSSAN >Dexi1B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:1B:23433683:23436664:-1 gene:Dexi1B01G0017040 transcript:Dexi1B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGKEAGNRRLDWATMNLESGGASVKGDGGGGGNARPPMSIVRLFLACMVSGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTSKIGRRRPFILAGCIIICLSVLMIGFSADIGRHLGDTKEHCSTFTGSRWSAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGQHGPNVGQAIFSLWMALGSVLGYLSGANAKWHQWLPWLKTAACCDACANLKGAFLTAVILIIISMSVTLALAGEEQISKTDVDTTPGGACSAFIDLFKSLRNLPPAMFNVLAVTAVTWLSWFPFFQYNTDWMGREIFHGEPQGLGAKADAFNAGVREGAVGLIFCSIALGVTSFLIPKLCRKLTSRVVWSISNLMVFAFMTVMVVLGMISMKGYKPSLTASLTGPDPTFKGVALAVFALIGIPQAVLFSVPWAVASEVATEEGGGQGLTIGVLNIAIVLPQLVIALTAGPIDGAFNKGNTPAFGIGAAFAFICAVLALFMLPKTRGVSNVAVMGGGH >Dexi9A01G0042860.1:cds pep primary_assembly:Fonio_CM05836:9A:46345734:46348705:-1 gene:Dexi9A01G0042860 transcript:Dexi9A01G0042860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACMGRFLRSRGESVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVAGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPASAAAVVGKSGVGSASSRFSVTPTDNPIKPWYLSTIPASVGSRSVVPTTSSPSLVGASPLSARSFSFASPAALRSTPPPFPRGGAGAPLTPSSAAKQQGQKTPPPPQQFPRTPRPSFLHSPSMLFAFRKDGGQGSKVERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLISIVGENT >Dexi9B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:9B:1210780:1213833:1 gene:Dexi9B01G0002150 transcript:Dexi9B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFAKSLSQLNKALVRKLNALIIRAQPPPARPPDGGRVSTLDALPASGGVAVCKVEGGLLRSSSTFPYFMLVALEAGGLLRGLLLLLLYPFLSMLGHGRAIKAMAAVSFVGLRKDAFRAGRAALPRLLLEDVSAEVFDAAFRRRVVCVSAMPRVMVEPFLREYLGVDAVVAPEMREMRGRFLGVMQGESEVLRGLDVQMVIAREKEKAGGDVVVGVGGLGSSFSQLFQKHCKEVYVPTQSARRRWHALPRRRYPKPLVFHDGRIAFRPTPAATLAMFMWLPLGAALAVARIATFLLLPFSLSVPILAALGMHGRLIVSNSGAAASTTNLFACNHRSLLDPLYVAAAAGRTDLAAATYSISRLSEILSPIPTFRLTRDRAADRAAMQAKLSSDVGGGGGGLVVCPEGTTCREPYLLRFSPLFAELGRDVTPVALHSEVGMFHGTTAGGWKALDPLFLLMNPVPAYIVQFLNTISCGGGGGGPEAARAVANEMQRRIAEALGYTCTGLTRRDKYLMLAGNEGLVDNKKTAASATT >Dexi9B01G0047170.1:cds pep primary_assembly:Fonio_CM05836:9B:46358012:46364728:-1 gene:Dexi9B01G0047170 transcript:Dexi9B01G0047170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQPPAPGGGGDPEAWYGSIQYLINISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLILAAVAAAAVAAALPLNLLAGDAAIVDQFTATTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLSADKTPLKDYFEHKYPGKVYRVIVPFDLCTLEYLVQEMGKVRNKISWLEARLGARDLFDDFAHDEAARSGEHWFVRRCKETWAMAAERLGFTDEERLRKLQTKKLVLGSRLSDYKEGRAPGAGIAFVVFKDVYTANKAVRDFKMERKKTPVGRFFPVMELQLERSRWKVERAPPASDIYWNHLGLSKTSSRLRRIAVNTCLLLMLLFFSSPLSIISGMQSAARIINVEAMDHAKSWLAWLQGSSWFWTIIFQFLPNVLVFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMHIMKKFRKNDMIQLVPEENEDYPMMQNGEETNNLTSPLMPEREDSGLLDSIEGHDLSLYPLNRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAAYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLSAMLLFFAVQGDSMKLQAICTLGMLVFYKLLPSRSDRFQPSVLEGMQTVAGALLLSSGRMSKGPVPFVNIGKRAKDLLYKDYNFDQKFSLSTSSNSGLNLTATGVKINEDFIGDIRTQHKSGRTTVDVIIDSDSKVSTTVTVDEALTGLKTSFSFKVPDHKSGKYAHNRFALSSTIGLTSAPLVELAATVGTSELSLGAEVGFDSTSASVTKYNSGIGYNKPDFSASLQLADKGETLKASYIHLFNPTNGATVAAEVTHKLKTKENYFTIGSSHALDSSTLLKMRFSNSGKVGLLCQHEWRPKSLVTLSAEYDPKVVRSPSRFGVAISVKP >Dexi9B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:9B:2029620:2035340:1 gene:Dexi9B01G0003560 transcript:Dexi9B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQKAAADGRTVFSFEYFPPKTDDGVDNLLERMDRMVAYGPTFCDITWGAGGSTADTTLKIAKSMQNLVCVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALNLVKHIKDKYDDYFGITVAGYPEAHPDEIKADGRAPPEAYNRDLEYLKRKVDAGADFIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYNGFKRMTTFCKTKIPAEIIAALDPIKENDEAVKNYGIQLGTDMCKKILASGIKTLHLYTLNMDKSALAILMNLGLIEESKISRTLPWRPPTNVFRVKEDVRPIFWANRPKSYITRTAHWNQYPHGRWGDSGNKYAQLPDDMFTRPSGRSKKLNEEWVAPLKSAEDIKERFTNFYQGKLTSFPWSESYGLQQKIIDDQLVKINQKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYLEFFCTEEKLGQLIKKIKEAFPSLTYMALDKNGKSFTNIPANAVNAVTWGVFPGKEIIQPTVVESASFKFWMEEAFGIWAHLFGKDDSSPLKTALDGYYLVSLVDNDYVRGNLFAAFKEIS >Dexi7A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:7A:19264692:19268744:-1 gene:Dexi7A01G0008100 transcript:Dexi7A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKGWVAANYAEPMASMQNSLRVAYVVFSFCAAFFLGGIKGTPLGSVPAVRVLIFIVDVAAMVVGPVAAALMILGNVGVILVLFPAHVWWTIYSLIKTDRINAGLKLAVLIALPVLFGLWLGLSIFGSVLVALGYGFFTPWISTFEAFRQDSEAKKFVHGIVVRHCTRASPNHSGASPVNHGSQVTTLRWFWFEQDGTWGTIKGSCTVVRDFADICVHSYPVYLKELRESSQNREPHSIRLLDVPACIVVAVLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFRRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNSTEFSIRTNASVKGAEYPSGSGEAPAMLVPNLAPARSVREAIQEVKMVQIWENMMKACEQRGRDFLNLNVITAVDLNEWLRAKENGHETISLGLPSYSLLCTVLQSIKAGAGGLLLGNVEVNQHNRPQDRLLDWFFHPVLVLKEQIQVLKMTEEELRFLEKLTLFVGNASNASGWDNGAEMPQDPVRLVGIVRSLSKFPTYRRRYRHVVKLLIAYSIERDGSGRSSASSQSISLFEITQLDV >Dexi4B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:4B:8985370:8986078:-1 gene:Dexi4B01G0011540 transcript:Dexi4B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLSLWVKDVEGNPVFSLVNKASGLAVQHSSTPSARTARGDTQSWKILYWNGEANKTLAGLETELTCRIYCKADQSFRVRHRLPRAHGSRQRVPALNP >Dexi4B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:4B:1336656:1338474:1 gene:Dexi4B01G0002130 transcript:Dexi4B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSGRDDDAPDLVCQIDCVQGMVDALSAVRWKRHQDAVMELSAHGIVLTVEESGCLQAKVFLKREVLSLFVEYDYAGDGRERFGLSLGLFVDCLNIFSAPGHASPVEIRYPGPDMQLMLKSVDSPDACLYAEIRTRIPDTVPWDYNFEHDGNTPITFTVKSAILKETIDDLEWPGSSIQIRMQPDPPTVVFKGEGHGDLQIEFPYYANTDLLIVFQCDHEVSYRYKYKFLRATTSNIPSSVTKENRRTKVTIGRGGMLKIQHLISLARPGMPYFRGGTEQTSRIAHIEFFVKPEEEDNDA >Dexi9B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:9B:4505659:4509031:-1 gene:Dexi9B01G0007380 transcript:Dexi9B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGVIRAAGGGAGVGLMRTRLRLPVVLLSCSLFFLAGFFGSLLFTQDPEEADMPVPRERLLEAAWPEMPYGESGEAAPSLIPYQILSWKPRALYFPQFATSEQCENIVKTAKARLAPSTLALRKGETAESTKGIRTSSGTFLSASEDPTGTLAEVEKKIARATMIPRKHGEPFNVLRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTNVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVMKGEKWVATKWIRDNTV >Dexi9B01G0048670.1:cds pep primary_assembly:Fonio_CM05836:9B:47568724:47569388:-1 gene:Dexi9B01G0048670 transcript:Dexi9B01G0048670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAALASAAAVLLLLLDGCACTMYKVGDLDAWGVPPPSKPDVYKRWAKSIHFALGDSIWFLYPPSQDSVLQVTPQAFAACDLASPVLKLADGNSIFNLTTPGRAYYTSGAPGHCRKGQKLWVDVPMANGTYIQPSATDLAALAPTPAADAPEGSLSASAPAGAHPSAAALRAVAGTGSLAAAALSVALPLLL >Dexi3A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:3A:4943564:4944568:-1 gene:Dexi3A01G0007280 transcript:Dexi3A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGSRSGGQRRLKDRLAQLLRPANSLLLRSPCSSSSSTFTATAAATTTTTNTTTISTSSTSTTAANYNNITGAPLPRRPEPFSAALDRLRHPPPERRTRRNKDAGNSSSSRHSSRRRSRDAVVAMAAAAGVRTLSSNPYGFTSSGEDDEDDTDDDGDGDWYGDGDDTEAFLSSSRRSLMSSDTSAGFYTSCKKKKLPPPPAATTLLPTTMMNKSRPQQRKTRRRRCRRPAASCVEACGGGGAVREPSGFRPLVVAAATEEQVSRGLAVVKRSRDPYGDFRESMVEMIVGRQVFGGAELERLLVSYLSLNAPRFHPVILQAFSDIWVVLHGGG >Dexi2B01G0035040.1:cds pep primary_assembly:Fonio_CM05836:2B:42162237:42162512:-1 gene:Dexi2B01G0035040 transcript:Dexi2B01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELPPLSFSSSSLLGIRPYLPGAGLRLGSTAASYSLDQLFVSLYRLRPCFPPPDAIPTAD >Dexi5B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:5B:8665821:8667487:1 gene:Dexi5B01G0012230 transcript:Dexi5B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHFSPVTSMAASMGGCLVVLSFLFLAGVGHGHPWGGLFPQFYDHSCPQAKEIVRSVVAQAVARETRMAASLVRLHFHDCFVQGCDASLLLDNSTGIVSEKGSNPNRNSARGFEVVDEIKAALEHACPNTVSCADILALAARDSTVLAGGPYWAVPLGRRDSLGASIQGSNNDIPAPNNTLPTIVAKFRRQGLDVVDVVALSGAHTIGFSRCTSFRQRLYNQTGNGVADATLDASYAAYVRQGCPRSGGDDNLFPLDLVTSARFDNFYFKNILAGKGLLSSDEVLLTKSAETAALVKAYAEDVELFFRHFAESMVKMGNVSPLTGAQGEVRKNCRRVNGNHY >Dexi3B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:3B:4076195:4076442:1 gene:Dexi3B01G0005970 transcript:Dexi3B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEEVPNKRVVLKRYVTGFPTEDAMENLYVSCDPYMRGRMTKHERPSYVPDFVVGGGNHRLYG >Dexi8A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:8A:4135251:4141194:-1 gene:Dexi8A01G0004710 transcript:Dexi8A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDMEADGDAPIAAFAVTKGGVVIKHIFLNAPPSPRGGDGAAGGDDADEDPPVTVGRHPDCHVLVDHPSVSRFHLELRARRRHRRITVTDLRSGEPSRPRFTFPQLLRARARVHGTWVSGRRIPPHTPVDLAAGDTLRLGASKREYRLLWLPLREALEMDDAPYMPPLPEEKEEPHAYLEASSQMVASEQRESAYMTAHQVCSQQEMPAALTNAGRSVQSDKQDTSNQVSKRSKLKSVKSLHIDTGRSRDRSSTLSYGFQKEVESEIHVSSQSCGMECTACIALFGISEYERAEQKEEMIAEDKGHMNPPDTITMEGNKRESNTENHAPQDFKDDAFSDKEIPQWNAATVNMESKPVSKTFVAPEMKHDDLGHLDLEISLSNEKMAPNKIAEGPELDSQICGNLFDNLNTEEIEEICQLDKENITPYVSENENCVLNSGNQMEPNEPVSENLSPLTPADRKMQKNQMECMPVSHLEFKDDILLDRENSVLAPRKYETISPVRQEDLSSDKDNVTPASKVKPVVTPADRKLQKSQMECMPISHLEFEDDILLDRENSVLAPGKYETISPVIQEDLSSDKENVTPASKVKPVVRRVLGSRMDNSVSAKNTSNKEKCNGLSAKSEKIHTVDHDVFYSDKENLTPASSKGMKARNCLPKNLIVDADQDQEAFCSDKENMTPQYSAARKTRDKSENRARVESAITKKRVGDRLPFQTLLSNSPLRPASSFDCNCATIDLAIKLEDKLSNLPHNNQESGRAGQGMKAWTMVANTDSLLDDESRKSIMLLKGIKGTHLFIPRIVIRELDSMKQREGLFRRSTKVTSVLQWIEECMETESWWIHVQSSSEMFPVAPTPPATPSAQRIDEEIKVGGSGSFNPMMALFSPRSSELADLVSPKPEDRVLDCALLLAKLRNDHNVVILSSSVALKIKAMAEGMVCEGAREFRESLMNPCSSRFMWAASVARGSAWSCLDAAALAEDYYNSHYYYHHHQQQQQRARKQQRLEAGNAKGLKLILRHNSLYAQATDAAAAARTTLVSLASV >Dexi9A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:9A:8268680:8268941:1 gene:Dexi9A01G0012930 transcript:Dexi9A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAACCRARSATATVASSPPRVPDWTEVVPLFREGVRGHPTVDDRYASKGTLGSGVSLGRGGAWRARASGGGT >Dexi7A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:7A:14551165:14551858:-1 gene:Dexi7A01G0004190 transcript:Dexi7A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSISALGKTIFEVSLSDNLKEGTTNIDIRTRQNNGVATPPEETAPADPAPDNVAQAQAPAQGIAAPGQAGGDAANQVAEQQAAPPPPQRRLKITVAAEQDSDEDKEYEYLDKMRGWLMAVATLFVNMAFQAQLHPPDWFNTGWYSGSPPKRGSKAGAPLAAPAPSSPDVHSP >Dexi4A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:4A:6123957:6125354:-1 gene:Dexi4A01G0008190 transcript:Dexi4A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHTRAALVRAIAACAGCQEAQALHSLAWKLGLASDVVLATALLTRYAKRGLLAPAQRLFDEMPRRDVVAFNAMLAAFGAAGRVAEARALFERMAYRTPASWNTMVTCYCKADDLGSARLFFEASLRAGSSSVVSWNAMIDGYCKAGRMDDARELFDRMGSTLPDVVTWNTMMTGHLHGGDPAAAITMFHRLMHIHRQQQQEHRLKPTTATMATVVTACTQVGDFALGQQIHLQIRQLGTRIDPVLSNALMDMYFKCRSLV >Dexi1A01G0026990.1:cds pep primary_assembly:Fonio_CM05836:1A:32865970:32866239:-1 gene:Dexi1A01G0026990 transcript:Dexi1A01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATRVRVPDPHPKRPPACRSIWKDRSGGARRGGARGGGLKDGCVGSNRNLRRPRAPSGSERAIQGGGRRRAAVALPLLLACVVATGE >Dexi1A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:1A:9145292:9145945:1 gene:Dexi1A01G0010630 transcript:Dexi1A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAACLRSPVRLRTARPFSPSISSLTTSATSSRPITPSETRAHGCRATPTLSDRNTAFIRCSAYSGHATIGTPYETLSSVEFHPQCDTNAAVAGWESTRTCGAHERTARPRPLVRLGMRTTQRNLWPLSSSPAASSAVCSTERAPALPNETYTTDPFGWRSSHSVTHGDVASSSEPDRTNGPTG >DexiUA01G0026200.1:cds pep primary_assembly:Fonio_CM05836:UA:55476221:55477798:-1 gene:DexiUA01G0026200 transcript:DexiUA01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQLAEQVLTHLDLTGIASKTEIAGPGFINIFLEPAFLARHVDAALKSDRLGVSQPEAQTVVIDYSAPNVAKEMHVGHLRSTIIGDAAVRTLEFLGHKVIRANHVGDWGTQFGMLIAYLEKQQQENAGEMALADLEGFYREAKKHYDEDDAFAERARSYVVKLQGGDPYFLEMWRKLVDITMSQNQLTYNRLNVTLTRDDVMGESLYNPMLPGIVADLKAKNLAVESEGATVVFLDEYKNKEGEPMGVIIQKKDGGYLYTTTDIACAKYRYETLHADRVLYYIDSRQHQHLMQAWTIVRKAGYVPDSVPLEHHMFGMMLGKDGKPFKTRAGGTVKLSDLLDEALERARRLVAEKNPDMPADELEKLANAVGIGAVKYADLSKNRNTAPYMQYAYTRVLSVFRKASIDESALANAEVIISEDREAQLAARLLQFEETLAVVARDGTPHVMCSYLYDLAGLFSGFYEHCPILSAESEAVRNSRLKLAQLTAKTLKLGLDTLGIETVERM >DexiUA01G0011650.1:cds pep primary_assembly:Fonio_CM05836:UA:23248506:23249039:-1 gene:DexiUA01G0011650 transcript:DexiUA01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNTPCSSLLTMMLPFPGVPKSNHIVTVIFIAVLNIVLLVIKISFPPSSLHQTRRPSTNLTSSPQGFKSDVTVIIIQLIVPPSPLRHAQITHAPASMHSTLCPSPSPATSPLHSVGMPKPKGFIIVILTVITLSSSSLRETRYPSATTPPSPRRPKSRVTIAIVQITVPPSPPLHA >Dexi3A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:3A:14781790:14783848:-1 gene:Dexi3A01G0018950 transcript:Dexi3A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFVSGNVYGPRLPVTSSHPSSQGKASSAPLQTQPQAYSSQQIFPSWQNSSVGFSGPLRPPPPPPPLTGGTVSYADLAAATGGFSDANLLGQGGFGHVYRGTLEVAGEVAIKRLRPGSGQGDREFHAEVEIISRVHHRHLVSLVGYCIHGDQRLLVYEYVPNKTLELHLHGDPRIIHRDIKAANILLDYNFEPKVSDFGLAKIQPASDTHVSTRVMGTFGYLAPEYATTGKVTDRSDVYSFGVVLLELITGRRPVLSSEPYNDETLVSWSRPRLTKALEEHIFDGLIDPRMGANYDPGDMQRLIACAAAAVRHTARSRPRMSQIVRYLEGQLSVEALNAGVAPGQSEVLEDHAGEQLRRMRRLAFVPGTTSTTTRGFLNMSSSYVSEPTSEYGLHPSSSSSDADTSEVESAHRAASRPPTTAGSSATGTGVEGQSSGEIDAAEGTSRCMRSGHSGA >Dexi5B01G0038320.1:cds pep primary_assembly:Fonio_CM05836:5B:37556318:37557182:-1 gene:Dexi5B01G0038320 transcript:Dexi5B01G0038320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLITAVGLSSVFGWIFIVALASLMTDIPYLLDPGNDAGGYAVAQALYDAFHRRYALVTVLFSLPVAYPVAADNFNYAPVLVGGVMFLCIGSWVLHARFWFQGPITNVDL >Dexi6A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:6A:3038476:3043415:-1 gene:Dexi6A01G0003420 transcript:Dexi6A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAFLWEGASGRHGPGVSNLLLVVAAARYPCSSSSSSSSNIYFHHSGGLVAYADSGSDAAVEKPQLPQRKKVIVLGTGWGGTTFLRNLDTRLYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRILEKKGGEIKFWEAECFKIDPQNKKIHCRSNLGTNLDGNGEFLVDYDYLVVAVGARTNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPFLDEEERKKNLHFVVVGGGPTGVEFAASLHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDISVPYGMAVWSTGIGTRPFIVEFMKQIGQGNRRVLATDEWLRVRECDGVYAIGDCATINQRRVMVQLYLKSKQMNGVADLVRSAKGDAEKESVELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEEHPEGPIRIRGEGRHRFLPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRMLVVSDWTRRFIFGRDSSCI >Dexi9B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:9B:2676603:2677370:1 gene:Dexi9B01G0004670 transcript:Dexi9B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLASLLAEERWLFPAFFVMYAAIYCVGQLVVFRRWAPRQRLDGASCLISLFHGTPAALAAAGVILALPPEYRSFAAPNARLQDHVLDYSVAYFTMDLLHYLAFLPGDVLFIAHHLATLFVFLTCRYIVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRDQSPAAARVYRVLSPPFYVLYTLVRGVAGPLFLLKMAVFYLSGQAIDVIPWWVRISWIVVVGTAIAVSNLWIWNLWKELFSERNQAVEKAKKDT >Dexi6B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:6B:2901616:2902811:1 gene:Dexi6B01G0003510 transcript:Dexi6B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRSASMDVSVSIPAAAVAGSPMEIMSDDKIAIIIPQRSPSNKILPLGFQQNEPSHPPPSGFAKRVVVPLLKKVVAEMLGTFLLVFTVMSALIMNELNGGALGLLGVALAAGLAMVVIVSSLAHVSGGHINPAVTTAMAAFGHLPLSHFAPYVAAQLLGSTAASFAAKALYGDPVNLGATVATVPKVGAMEAFLIEFITTFTFLFVVTSLATDPKAVKELVAVGAGAAVMMSALVSGKSTGASMNPARTLGPAIATGTYTNIWVYMVGPPLGAIAGSGAYHALK >Dexi6A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:6A:334437:335114:-1 gene:Dexi6A01G0000570 transcript:Dexi6A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSSSVRQRQPTAVSAAAQQDGEHDDQDLLLLPKDQQDEAPPQPPARRSALSQALNSTANLANLLPTGTLLAFNLLAPTFTNHGACDATTALLTRGLLAVLAFSCVLASFTDSLKGPDGRVYYGVATLRGLWLIDYPPGAPPPETAKYRLAFIDFVHAALSVAVFGVVAVRDKNVVRCFYPAPPKETEEVLDILPLGVGVLCSLLFVAFPTRRHGIGYPVST >Dexi3B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:3B:3399582:3400376:1 gene:Dexi3B01G0005110 transcript:Dexi3B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPSPPVAAGAVVPPPLPAPPPPSPSTTRTTRRKVLMVSSGLLLAAAAGSSISTTGGARAAAGARGGGGGEQVGTAALVGYDGAVVTEADKAASAAVSRRVGEAVGLLELGRELQARGEFPEALASFTRVVREYADLALSEYARVGRALVLYEIGDRDESITEMEDVSIALKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVGSLHDFITLS >Dexi7B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:7B:5528670:5533988:-1 gene:Dexi7B01G0002830 transcript:Dexi7B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSFSRSGTHQRRRQGARSPFTTPENSTSFVAPRGARRRGLDDMSWQSSVSWQPDTSWAQPHGLGAVVGPWAPAGSDSAASRRGPALFRRTARDYYLSTRSRRSYYRDRSSVAQQSRAGGGGKRLELQSVVTDASRAIVMAPNTSFASNDDIIVSTATGRGSGDKAMVKYSGTYNNGMSRDVSFSRDNHDKLYVPPRRDAPSFGFDISVASYSRSQYYDDEGGEDYGYDDDDDDGEIEVRIGKPVSTSGLFKYSTPLDIVLLVLGCVGAMVNGGSLPWYSYLFGNFINKVVNSDKAQMMKDVKQISFYMVFLSAVVVIGAYLEITCWRIIGERSALRIRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQDVMGEKMAGFVHHVFTFIFGYVVGFIKSWKIALAVFAVTPLMMSCGIAYKAIYGGLTAKDEASYQRAGSVAQQAISSIRTVLSFVMEDRLADKYAEWLNKAAPIGIKLGFAKGAGMGVIYLVTYSQWALALWYGSQLVAKTEIKGGDAIACFFGVMFAQGTVAAGRVFEIIDRVPVIDAYDGSGRVLSAVRGRIEFKDVEFTYPSRPDALILYNLNLIIPAAKMLALVGVSGGGKSTMFALIERFYDPTRGTITLDGQDLPSLNLRWLRSQIGLLGQEPILFATSIIDNVGDRGTLLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIDRLSAGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHADLVAQGGPYAALVKLASDSVSSDNSEPSKPATAGTDKYNSFVDESGYDMSMVSKSRYGVETIDEEASQSDGGAKKDAKFKVSEIWRLQRPEGLLLILGFLMGINAGAVFSVFPLLLGQAVEVYFDTDTGKMKGQVGYLAVAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGVLVTRLSRDTVAFRSMFGDRYAVLLMAVGSAGVGLSICFALDWRLTLVAMGCTPLTLGASYLNLLINVGPKTDDGAYARASSIAAGAVSNVRTVAALCAQGNVVGTFDRALDAPVSKARRRSQVMGIILGLSQGAMYGAYTVTLWAGALFIKRDESKFGNVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSVLKRRPRISEEGTKRRTIKDGKPIDVELKNVTFAYPSRPDVTVLDGFSVRVKARSTIAVVGPSGSGKSTVVWLVQRFYDPVDGKVMVGGIDVRELDVKWLRGECAMVGQEPALFTGSIRENIGFGNPKASWAEIEEAAKEANIHKFIAGLPQSYDTQVGESGVQLSGGQKQRIAIARAIVKQSRILLLDEASSALDLESEKHVQEALRKVSRRATTIMVAHRLSTVRDADRIAVVSSGKVVEFGSHDDLLVNHPAGLYAAMVKAEVEAQAFA >Dexi9A01G0036760.1:cds pep primary_assembly:Fonio_CM05836:9A:41111114:41112876:-1 gene:Dexi9A01G0036760 transcript:Dexi9A01G0036760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGHSECSSDSEGDNDDDIQLRDRDVELREELEFCNGRLRRKAVSSNFQDDVDDEGSDKDDSDNEDSGDEEFSGGSVSSYDSGEASDLAK >Dexi2A01G0025490.1:cds pep primary_assembly:Fonio_CM05836:2A:37105052:37108011:1 gene:Dexi2A01G0025490 transcript:Dexi2A01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPTAPAPAAVLLGRRHRLPFSSPSLRSNHQRLLPTRLLRLPARPGAAPMSTEARPVAPPAHPTYDLRAVIALALSEDAGDRGDVSCLATIPSDVEAEATFIAKADGVIAGIGLADMIFNQVDPSLKVEWFESDGNYVHKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATITKAMSDAARPASILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGIANAMRSVDQFLEKEKLAIPVEVETRTIEEVKDVLKYAAENKTSLTRIMLDNMVVPLPNGDVDVSMLKDAVQLINGKFETESLSVV >Dexi2B01G0024170.1:cds pep primary_assembly:Fonio_CM05836:2B:33604937:33605207:1 gene:Dexi2B01G0024170 transcript:Dexi2B01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGGERAVGGEELGRGVSQGGRAADDEVGVDLGEALDGAAICFSKEGKWRWREATAAPGGCIETSKGEIYYLRSQEELSKRERAV >Dexi8A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:8A:6241546:6244504:1 gene:Dexi8A01G0006170 transcript:Dexi8A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRERRQRPPMPATSPYQTLARPQSPASSSPRQDLAQPTSPASSPRQEGAAVSGGSRERGRGVRD >Dexi9B01G0035520.1:cds pep primary_assembly:Fonio_CM05836:9B:37146957:37150126:1 gene:Dexi9B01G0035520 transcript:Dexi9B01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPVPCLEDNYAYLIVDEGTKKAAAVDPVEPEKVLKAASDVGANVDCVLTTHHHWDHAGGNEKMRLQVPGIKVFGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEGGDPAVFTGDTLYTVKNLKFMLTLEPENEKMKQKLEWAEKQCEANQPTVPSTIGDEFEINTFMRVDLPEMQAKFGASSPVEALREVRKIKDNWKG >Dexi3A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:3A:8857635:8861740:-1 gene:Dexi3A01G0012250 transcript:Dexi3A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGTVAVELFIPLASLIGIAFAVLQWYVVAKVPVPTPTGGTAAGDKHGGGDQSDDDDVHEEDEEEEEEGIDQVAVEIRCAEIQRAISVGATSFLLTEYKYLAAFMASFAAVIFVFLGSAQRFSTRPQPCPSNPSLQCRPPLANAAFTAVAFLLGALTSVMSGYLGMRVATFANARTALEARRGIGRGFAVAFRSGAAMGFLLASSALLVLYVTVNLFGLYYGDDWVGLYESITGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISEFGKEHKYTAQMFPLLISATGLLVCLVTTLFATDLAKVRRAADVGPALKRQILISTVLMTGAIAAVTYLSLPPSFTLFDAGNVKHVKNWHLFICVSAGLWAGLIIGYVTEYFTSNAYAPVRSVAMSCRTGAATNVIFGLAVGYKSVIVPILAIVSAIYASFRLAAMYGIAVSALGMLSTIATGLAIDAYGPISDNAGGIAEMSGMASRVRRRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVGRAGIQAVDMLSPRVFVGLMAGAMLPYWFSAMTMRSVGSAALKMVEEVRRQFDAIPGLAEGLAIPDYATCVRISTDASLREMMAPGALVMLSPLVTGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEVPSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVEALVFAPFFAQHGGIIFNRL >Dexi4B01G0020960.1:cds pep primary_assembly:Fonio_CM05836:4B:23015462:23018915:-1 gene:Dexi4B01G0020960 transcript:Dexi4B01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTASECDGSRSDVKSASGWRAEEAVAGNRKALEALRELVTYPILYARESRLLGLKWPRGLLLHGPPGTGKTSLVRAIVQECNAHLITIRRENEARIVGQLLTLMDGNKKSSKMLPHIAVVASTNRVNAIDPALRRSGRFDTEVEVTVPTVEERLQILKLYAKNLHLDEKVDLQMIAAFCNGYVGADLEALCREAAKLAYHRMLDRGEKVLKILMEDWESARSMVGPSITRGVTKEISTVSWDDIGGLKDLKKELQKAVEWPIKHAAAFDRLGIPPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRGTFQKARLASPSIIFFDEADAIAPKRTGPGGNSNGGVTAGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDGALMRPGRFDKVLYVPPPDVEGRYEILRIHTRKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDLSATMIHNAHFQTARSSLRPSLTKAVVDEYANAAINDPSTRKH >Dexi2A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:2A:25039688:25041152:-1 gene:Dexi2A01G0014890 transcript:Dexi2A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMDAIQKLYEVCKVSLSEKGPLSSEAIAKVHAVLEGGRHCSYFRRCPKSDPSGILLNRTKGSEFVWLEEYQPRDSFVIRRDLYTGPALKL >Dexi1A01G0024070.1:cds pep primary_assembly:Fonio_CM05836:1A:30717027:30721541:1 gene:Dexi1A01G0024070 transcript:Dexi1A01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPASKPMELVGFWKRALDTTSPAATTAAAATATAAPSLSFATSTPTTTAAVGFHAAAAGGHHHHHHPVPSSHHHLGLPFLHHTQPIHPDGISGGLRDMGSMRPIRGIPVYNTSQPLPFLQSHPHHHPHCYDAIGMGVHGGGGPRSPNKAAALRLVAGAPAKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKPASAASYGQAAAKTIIDIPDDNLFDAANTPSESESSAQQSNPDANDHGSSMCALWSNSSISRGAWFHDKSIDATPGDIKSFEDVQSQSLDDVVSDLNSSAFQVAGMFGRTKKPNLDFTLGRI >DexiUA01G0023850.1:cds pep primary_assembly:Fonio_CM05836:UA:48685591:48686383:-1 gene:DexiUA01G0023850 transcript:DexiUA01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTGAIGALIPNLLLKEEYDLQKSVKGGIRFLIVELESMQAALEKVSSVPVDQLDKNVRLWAREVRDMTYDMEDSVDTFLVHIQGKKPAKTDKITGFVDRTLNLLSKARIHGSKLSKSMIRHKIAADIVDVKGRVKEAKERLDRYKIDNLVATPVVTSVDPRLQALYKKVNELIGIEETRGEIINMMNNGDDISKEDLKIISVVGIGGLESQCEESSHGPSLRARQAELWEYS >Dexi1B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:1B:24600766:24600905:-1 gene:Dexi1B01G0018370 transcript:Dexi1B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAAGGWWRREDSGEQHCGRQLDSGGPASMLPTLDGDG >Dexi2B01G0036650.1:cds pep primary_assembly:Fonio_CM05836:2B:43397403:43399561:1 gene:Dexi2B01G0036650 transcript:Dexi2B01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEGEQEVRKNLPASNGHGSTSTSNLRLRLRRRRSCGVCRRETLPPTLDSNSQPPNLWDGTTRLYISYICPYVQRVWIARNFKGLQDKIELVAIDLQDKPAWFLEKVYPPGKVPVLEHKGNIIAESLDLLSYLEAHFEGLKVLPQDPAEQAFADELIGSSDSIIVALFRAGRAGGDGDGDGVREMVAPALDKDFFAAVKQYDMTQGRPKLKEWIEELNKIDAYAATWGDRRLQIAAMMKKFGVI >Dexi3A01G0028190.1:cds pep primary_assembly:Fonio_CM05836:3A:28656832:28657173:-1 gene:Dexi3A01G0028190 transcript:Dexi3A01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSRVLVVGGTGYIGRRIVRASLAQDPTLVLMRLEIGLDVDKLQMLLSFKKQGARLVEASIDDHAGLVAAVAQADVVISAMSWSHIRSHNLLLQHKLVKAIKEAGNVTV >Dexi1B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:1B:5042193:5044232:-1 gene:Dexi1B01G0006160 transcript:Dexi1B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVLEIGMGSDLSLDLRYFASKAVMKQACDAPASNVDSCIRRLEEERGKIEMFRRELPLCSRLLAEVIDVMKAEAGKKKMKRDCKAAAEEDGATGDKSKWMSTAQLWTGDNSGQEDDKSEKQGKGRSSPETASRGGGGAFLPFKAVGSGAPAFAPLSLRAEDKAVDAGMPDRSPLSPPAIKSAPAAAGAGEESRRVGFVQQAAARAVAMAPSSSPSLSLQSPPQQTAQQQQQARKARRTWSPELHRQFVAALNQLGGPQEIPAAQPKGAWIRRCEPANCACGRVVDPRGAKQLAVWISPSPPPLSTSGISASSAATVSYEEEDGRSESYGWK >Dexi2B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:2B:6809535:6811773:1 gene:Dexi2B01G0006830 transcript:Dexi2B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTYTAATVFYSAFRAGAPDLSFSLPAAAAVPSSARPRSRGAWTCGGGCSHRRRAAVVRAMGSAPSSSSSSSSPSPPGQSQAAKAKYTSLSEEEWKKRLTEQQYYVTRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYKPIGDNVKSKLDMSIIFMPRTEVLCATCEAHLGHVFDDGPPPTGQRYCINSASLKLRPQ >Dexi1A01G0028020.1:cds pep primary_assembly:Fonio_CM05836:1A:33744037:33744323:1 gene:Dexi1A01G0028020 transcript:Dexi1A01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGKISRERINSGLPLRDPYPNRSQFHQKLPENQLLTHTSKQRGCTKIGTGRAKIHQKRAGFPCTHGQNAKRSTLSEHSRASIRA >Dexi7A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:7A:26895716:26895983:-1 gene:Dexi7A01G0017130 transcript:Dexi7A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGEGHAGAVAAADSLAISFSPCVAAVASPVLPCILADPSFTFSLAELAMSFAFTFAVCILSN >DexiUA01G0021260.1:cds pep primary_assembly:Fonio_CM05836:UA:44011051:44012305:1 gene:DexiUA01G0021260 transcript:DexiUA01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCHGLYPGHPKLRGYVRFFNLDTGAFVRVQIPLFEDHCVLDSYQGLLVLQRDHDTAIRLLHPFTGDVLDLPPLSTLLPQMHEELKELPGQRKLPYLRAVSTVATFADDGVVTVMLAFGYLYRVAAATSQDQQWTLSTWYYAIGCPPFQYRAKAYVVYNMGTGDVKCYNPQG >Dexi3A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:3A:15281375:15291007:-1 gene:Dexi3A01G0019460 transcript:Dexi3A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAVTDDSAASTAGMRDDERSLSGESLSEWRSCDRADSDSPSTSPPFWDTDGDDDDPGPNPSTLFGRYTWRIENFSKEKKREMKSDPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNVDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMAQVEQIYRRFIDERRTKLSKLIEDKMRWPSGIEVAYQEAVALKRQEELIREEEEEAGLLENQMKGKRGGGANEKDKRAKKKQAKQKKNNRRVKEKERDEKSEVKILERLRDEIAVDNSDGLPAKVEVTANVDVLEEGSSDGSDMPNRGKGQRNKGVSIVDLNEEGDGLPSTSSVAAGPGRNSSGSCTVPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKEAESSNSSSSLEKPPDVPESPEHSSDVTFDTEANGTPNKDVSVVNHMPEEAVTGILTPTNTEPVTTPATAKIDLVANKDYASSQKMKANIASPCFSKQPGVDMDKDAPLPSKSQRINRAASVPQKLPSVDKVTPVPPKSPPINKTLAVCPKSPAVDKTTPVLPKSPAVDKAAPVRPQSPAVDKATPVRPKSPAVDKAPSVGPKSPATEKSTLVIPKSTPVDKASQAPPKSPTGGKDASVPSRLANDKSIPAPPRLPPVDKAALPSSELPQTSLDTNSKAQEVATSRKVTATLVSEVTASRPSSAPVLPTPRSTAPATSHVHISSLLSRSMSEAAGRTVNGPSPSAPSYAPQTYRNAIIGKAGLGTTSTSLAYPSASLSQGTNPVQPPSAYAPSTAGMMPPAGRSDQLSTRQVLRSGLGKLGAHDSWQQWKGDSNVDKHMWRDQASYQQMANGQAYEQPRRDDSYQQASSRGTEKLSRYGGLQSRQFQSGTSDGHVWHQQQGPVQEEFPHLDIINDLLEEDHINGSMTASFNQDYHAFGRLFSPGGNLADMEMASVSSPGRFNSTERYYDEGFSRSYDMNALHGLRERQFPSMGTYSNGLSDLSVSKPWLNGSPNPAVSLGASTNGYHYQVGDYANLGGGMNGVSVWRRHANGRW >Dexi5B01G0021150.1:cds pep primary_assembly:Fonio_CM05836:5B:23444522:23449742:1 gene:Dexi5B01G0021150 transcript:Dexi5B01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALELLYVLGGLAALWLVWRALEWGWLRPRRLGRALRAQGLRGTAFRFPSGDLAEETRLLAAQRAKPVPLSSAHDIAARVEPLLHRAVNEHGKISMVWEGPTPSVILSDPKLVREVLANKFDYGKHELPSNFVKLIGKGLLTHEGEKWAVHRKIINPAFHLEKLKKMVPAFTSCAIDLMAKWEDMIGSDGSAREIDMWPELQDLTGDAISRAAFGSNLSEGRRIFRIQSEQIQLATTMTNLFIPGYSYLPTKLNRRVKRNAREVEALLKAIITKKEVALRNGHADDTDMLGLLMMSNMKESQESGSSKPMMTIDDIVGELKIFYFAGMDTTSVTLTWTMIMLSMHPEWQERARDEVLQVFGNKQPDHNGVNQLKVVTMVLYEVLRLYPPFTFLARQPHKEVELGGVTYPPGVQLFLPILTIHHDPDVWGKDVNEFKPARFAEGVSKASKDGAGFFPFGWGPRRCIGQNFALLEAKVTMVLYEVLRLYPPFTMLARQPHKEMKLGGIKYPPGVQLFLPIVTIHHDPDVWGKDADEFKPARFAEGISKASKDGTGFFPFGWGPRNCIGQNFALLEAKVALSMILQRFSFELSSSYTHAPFHVASLQPDHGAQIMLEKI >Dexi6B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:6B:24012667:24018100:-1 gene:Dexi6B01G0016720 transcript:Dexi6B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFKLLPRNPFAATRRSSHRLHTQPHPHPLLSTFSRLCFEGPLHAALALLPDLASAGLRADPVSLTRLVKLCVRHGTASDGRLIHRHVAAHGALSPGGGGGLFVSNSLVSMYAKFGLLDDALRLFDGMPERNVVTWTTVVAALANAEGRKEEALRFLVAMRRDGVAPNAYTFSSVLGACGTQGVLAAMHASTVKVGLDSDVFVRSSLIDAYMKLGDLDGGRGVFDEMVTGDLVVWNSIIGGFAQSGDGAGAIELFVRMKDAGFSANQGTLTKFVLQDLTIEQKEDLLKYHSEKLTIAFGTMRAMEGKPIRIMKNLRICGDCHAFAKLVSKSEGKVIIIRDPVRFHHFQDGACSCGDYWPSIFLLHLIIYTTASTGAANTPETNDTRVSAVPVPTTSPPSPRNGPWWLPVTRRGYQQEGTRGAGQCPLPVVVFVRRGEMSLRIKAVVDKFVRELKEALDADIQDRIMKEREMQSYIAEREREVSEREAAWKAELSRREAEITRQEARLKIERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Dexi3A01G0031950.1:cds pep primary_assembly:Fonio_CM05836:3A:36519004:36526330:1 gene:Dexi3A01G0031950 transcript:Dexi3A01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGKGSAAAAKPPGGGGNNGEGSGRGNVHRDSGKRPGPAPSASPEASPTNRGKAVAKDEASKPEEAAAAAAMAGIASRRPEMNVTGKPLPQKPPQPWRPWASETTKEILLSCASMHFEKKFRKSLQNISSLNQRILLSGPSGSEIYQETLIKALAKHFDARLLILDSLTLGVETSSKDARMDDAPCGSSDIEGISNLNTFKEGDRVEYIGNLLKLVPRYGNDLGGLCDTNRGFYCHASELRPDSSGVVEVDSVALGKLLEADSHSDQAPIRGNPRVLNAGQEPLGIGFHGIRRCAAKSTAHLKNLFPNNICIQLPQNEAQLLNLKKQLEDDTENLKAKTNVLNIRKFLASREIECNDLQELSIKDRLLTNENVDKIVGSAVSHHLQHNKSPNDGKMILPIESVMDTASSMDSDVVTENEFEQKVLSNVISPNETGVTFEDIGALDNVKETMGELVMLPLRRPELFSKGQLRKPVKGILLFGPPGTGKTMLAKAIATEAGANFINVSMSIITSKMLGESEKYVKAVFSLASKISPAVIFVDEVDSMLSNRGSPGEHEEMRKIKNEFMVNWDGLRTKEQERVLVLGATNRPYDLDDAVVRRFPRRIMVSLPDASNREKILKVLLSKETLAPDVDLELVAKMTEGYSGSDLKNICVTAAHCPIREMIEEEKKEKSLAIAEGKPEPPLRGRGVIRPLGMGDLKFALGQVK >Dexi9A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:9A:590906:591210:-1 gene:Dexi9A01G0001150 transcript:Dexi9A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRLDSLRSMAATPGGLLQEPADRGVGVPDHPLVSVEVEAEQAGEVVGDGEGVEEAQGGEDGVHVLHLHRSGPTVGPRLAEDKAADDVAALVPRH >Dexi6A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:6A:26983635:26993646:-1 gene:Dexi6A01G0019350 transcript:Dexi6A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPLPSVPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLDMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATTQPGSILAVAGGFPSGSAGRQLSGATGRFQGGNATLRDKKTSHSKKKEALLKYELLTDLQNQLTAVLLKQCRTVAIKEADSSHVEFQNPEANTSIQEGATTASASALSEATKVFADEKSIPAEATESELRQKRKRNPIIVTPAWCYSEAPAGWNSPAVQFEGPAVHVVRKSYLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKIQSVAFAVKGASVVSCASNLLKVWDCITGSCLYTLGGDDQNSVGHTQKISAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKVNPAGNTIITGSGDGTIGLFDIRTCSAINHLSVGSGCEVTSASFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVYRSRDMRFFRPLHCLSHGNQMPTAEYTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHARCANAVAVAPNDEYISTGGSDQKVSSCLMLDRFYTIIEVGAHSLIGVSHILCKEMIDMPEIVELFY >Dexi3A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:3A:8772535:8775023:1 gene:Dexi3A01G0012150 transcript:Dexi3A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGEAAMSPPSSGGSGGAKRGRDPEEDVYVDNLHSHKRYLSEVGRRRPVSSPRAPIMASSLNGLSVGDSLADNIMESPARDEILSQYSPMSEDSDDYRCYDTQLNHSGSQPDAMVSPSTSPMSSPHRHQKPQSPLLPSNPYPLPSCSLSSVVCSHARRGSDSEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPDAYDLSFGIRQGQEHVHDHEVEHEHGHLEGLEGVERTSSCSKSIDDEVGYQRPDHEFRPEHDIDYINNCTSDDCPSDSKFKQEDKTDCKFDSSMDKNR >Dexi1B01G0024730.1:cds pep primary_assembly:Fonio_CM05836:1B:29951658:29955181:1 gene:Dexi1B01G0024730 transcript:Dexi1B01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLRWWRADASEVMAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHSSESAKRQQMRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMATLKTFSLLPVAPPSKSTPFSSIFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMLFQKKVSTQKAVTLAVVSLGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSSLQQSGNWTALAWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIVLSGYLIFGSDPGVTSICGAVIALSGMSFYTYLGLKKDSATSGKKAPSRQNSFMTKPKVTADNNDADSEQEDNV >Dexi5B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:5B:7875934:7876836:-1 gene:Dexi5B01G0011150 transcript:Dexi5B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLALQLALCAFFLLLGGSPAAAKSQWTPAFATFYGGGDASGTMGGACGYGNLYNAGYGTRTTALSTALFNDGAMCGACFTIACDSQYCKPGTSITVTATNLCPPNWALPSNAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRAGGVRFTVAGHSYFELVTVANVGGSGVVAQAWIKGAKTEWMAMSRNWGANWQSNAFLDGQSLSFRLRADDGRVVTANNVVPAGWWFGATYTSNAQF >Dexi1A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:1A:7022802:7023257:1 gene:Dexi1A01G0008890 transcript:Dexi1A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAGTRGAATYSYSSGSGSYAAAVAKHQLTRQRTALIPAFAPCGGGGGGGGGYEWPGSPPPVARAAAAGGGVGAAARALWAWIGRRKKAVNMMSRSASMKKERLYGQDEYAQNFDEGAAAAPGEEAENLSRSFSARYARRASPPWDGAR >Dexi9A01G0021890.1:cds pep primary_assembly:Fonio_CM05836:9A:16865038:16865262:1 gene:Dexi9A01G0021890 transcript:Dexi9A01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQGCKQASRGVSWTPVVGFTPGRWQGHVQAASLTNGVRRAAVAISPSIGGDGICAEDLEAAAENSIELFKS >Dexi3B01G0021540.1:cds pep primary_assembly:Fonio_CM05836:3B:16412198:16413023:1 gene:Dexi3B01G0021540 transcript:Dexi3B01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSTAVVLGSLVGGAGEARPNLLEHLDDEVVVLGGVECEARRSSRSDASRMPFSAIISSIDSRKSRLGSADAFTTAKLSASGGGGAGVVVFLGDAAGVVVVLLFLDLVVVDASRGLLARVLRRRPEETDDRLGAWPPPPLLPCSDAELALGVVVSAPPSMTCLSLSSYRTTSLITGLVTIGRSTRSAVPSARRSMTMSVSEAITLPPRLAPDGAVTVGRRTSPASSSSSSSSSSSLPWRPTYMSRRRCGASRVSATESRPPRPTLTGPTL >Dexi2B01G0034380.1:cds pep primary_assembly:Fonio_CM05836:2B:41632308:41634429:1 gene:Dexi2B01G0034380 transcript:Dexi2B01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKQAYDRKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYAEKTGNRTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQITNVYEDGSVFSPEVLDLTEEDLIEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAAPKEEEKKAEEPAEESDDDMGFSLFD >Dexi5A01G0037740.1:cds pep primary_assembly:Fonio_CM05836:5A:38983621:38986528:-1 gene:Dexi5A01G0037740 transcript:Dexi5A01G0037740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAMTHSSAFLLPPSAPASSDAAATTYALIVLNQRLPRFAPLLWSRARLRVCADGGANRVFDGMPELLPGEDPAEVRARYKPDVIKGDMDSIRPDVKEYYSNLGTDLVDESHDQDTTDLHKCVSFITRDLPVPDKSNLCILVLGALGGRFDHEMGNINVLYRFTNTKIVLLSDDCSICLLPKTHTHEIHIEKSVEGPHCGLIPMGGPSTSTTTTGLRWNLDNTSMRYGGLISTSNIVDDDRVTVTSDSDLIWTISLRKSES >Dexi7B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:7B:9505883:9507151:1 gene:Dexi7B01G0003840 transcript:Dexi7B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGELKKLVEEGKIKYVGLSEASASTIRRAHAVHPITAVELEWSLWTRDAEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLIESLPDGDIRKNWPRFQPENFDKNIQIFERVNEMAKRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVRALSVKLTPEEMSELESYAAADIVQGDRDPQSAYTWKNSETPPLSSWKGE >Dexi1A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:1A:27248160:27252634:1 gene:Dexi1A01G0020440 transcript:Dexi1A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGERGQLLPVSADDGKGNGGGGAGDDAALFKGSAMTRRGAIAALSYMACSGKSSGLNSFGLMWCNGLVCGPCVLFLTYIQGDLRRAIEFPYLYSPGFQDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >Dexi5A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:5A:7100122:7103002:1 gene:Dexi5A01G0009430 transcript:Dexi5A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEACSSGEASPVAAAAERPSESAALRALVERVRAGEVDAAREVRRLTRASSRHRRKLADAVEPLVAMLRAGAAAPEAGEAALLALLNLAVRDERNKTKIVDAGGLEPLLGYLQSSDLNLQEYATAALLTLSASSTNKPIISASGAIPLLVEVLKDGNPQAKNDAVMALYNLSTVADNLQAILAVQPIPSLIELLKSGKRSSKTADKCCALLESLLAFDQCRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSRMKAHVLLDLLRNSPYSRSKLQPDTLENIVTNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >Dexi2A01G0037450.1:cds pep primary_assembly:Fonio_CM05836:2A:47010948:47011218:-1 gene:Dexi2A01G0037450 transcript:Dexi2A01G0037450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREARRDGQGAAIVVPVRRRVVLVLIRVLQFVVVGFAVEEGAGAAALVAVERAGKAEELVEGLAAEAAAKEAPTSAATARWSA >Dexi3B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:3B:1966099:1966635:-1 gene:Dexi3B01G0002970 transcript:Dexi3B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAVVVVVLLAILPITPVLAIGSPAISATCAAVTTQPREYCVGFLSGEPAAMAATGAHGVAAAAMNTTARKAASTSRVIADLVDELWTCHGYYTTMVESLSGVLVDFRDGRIDNVTLDKAYNAGHQPMGCDALLLHGNAHKNPFSKENGENDKLARLVVAITSLLARKRLVGSLMLP >Dexi9A01G0032020.1:cds pep primary_assembly:Fonio_CM05836:9A:36833103:36834881:1 gene:Dexi9A01G0032020 transcript:Dexi9A01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPSRPRPCATDKPKPMDEVWPWLASLPPPTAGGLDAPPPWSLPLASSPDGASIVLQANAGTNTGGDPSRVATSVSVAINGAGGAARALWTSDAFTESSSPIPLRLQLLAQLVNEVLELSPYAPCLGASAVNLAGGGDDASSDAAMVDVEVLYAAVAAAGTDASPSGAAAFFSLALLLRIFWLCALDAPADAGYLFFRDLGAAIERALGESQPALAVFLRFVGPDVEERLMRSLGYMLAKWCLLREMQAAPQEVPPRRRAFPAACVSYATEAHGLLVLRGYAPVLAMARVTGAAAAAASTSIAASPHEAPEESALRYGLAHQQLEAVAQLEYTVRARGDRRFLAVSVRVDNLRVRVARLSFRKDDDADADAGDGDDVAMDGERHFPSRIRLWVGPRLGASYATGPSLGRSTGNPERDVETTRTVKGAFFATKLGAAPGMKAKARSSARTRSRSWRWEQEAEGSAGVFEGVLCDPVSGTEVSAWRPGVGGGGGGGGGTSDPRNGMRRRYGGHGRAFSEMRGLVVAGDELPEEVTWRVGREEKGRTLRWRIGLKVWVSYMPNEVRTRHFETRCVEWAHEVELPLIAINGDES >Dexi8A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:8A:480768:481157:1 gene:Dexi8A01G0000730 transcript:Dexi8A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRRLLRARAEAAVASVVTVLPHEIPPLLSAAATFFFILSAYFVVLPLRDEGAISLGLDTLPGLFAGSLLLTILAAPVASLAFSLPSVPKPRVCAT >Dexi4A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:4A:21820957:21826942:1 gene:Dexi4A01G0017880 transcript:Dexi4A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEPDAEGAQGGGASPAARVLSRALDKVIKHSSWRRHAALVAAAKSALDLLSAAPAPGPDEPSDPAPASAVPGLPAPTADAALAALLLALDPGSPKVAEPALECAAGLLSLRLLRGDVDAADPSAPSPPSPVSRLFAAVLSCVSLGGGGDDALELAVLRVLVAFARCPAVSVSGECLGQVVKACYNVYLGSASGGNQLCAKLAIAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEVMEGSDVPEEAPPVDATPIDGEVGGEDGGMSKIREDGLALFKNICKLSMKFGTPDSPDDPMLLRGKVLSLELVRMVVDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSIFMSLILRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNFDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLATIMKSMSAWMDQQLRIGEFSPSSTENLRSMDNLNIHNGEEGSGADYELQFDTSNSDITDSSSLEQRRAYKMELQKGIALFNKKPSKGIDFLIRSKKIGQSPEDVASFLRNTAGLNATIIGDYLGERDDFPLKVMHAYVDTLNFEGMDFGQAIRFLLQGFRLPGEAQKIDRIMEKFAQCYCKCNPNSFSSADTAYVLAYSVILLNTDAHNPMVKNKMSKEDFMRNNRGIDDGKDLPEDYLSALYDQIVNNEIKMSADSSVAQTKQSNSVSRLLGLDNIINFVNWRPSEDKAVGANDLLIKHIQEKFKAKRGKLESTFYVVADASILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRFSVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKRSNAPEVRELIVRCVSQMVLSRVHNIKSGWKGVFMVFTSAASDDTRSIVLLAFETMEKIIRDYFHHITETETTTFTDCVTCLIAFTSSQFNSDANLNAIAFLRFCAVKLAEEGFVYQDRGAEQPRNSDMLGGNATVQRDGYVSLWEPLLGGTAFLP >Dexi9A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:9A:3463631:3464432:-1 gene:Dexi9A01G0006130 transcript:Dexi9A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSLSAAGRSMHAIELEKRAIHLLMEEGDILLPFLLSYHIEGKELQRMKALNVLGKVSPNASSKPTPLQRQSQK >Dexi2A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:2A:5045433:5045995:-1 gene:Dexi2A01G0005270 transcript:Dexi2A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPEIANPPAPPLDDEGERKRAEANGFHLFLGNLGVEVDEAFPEGVFSGRFASYVAGSARVKREPDGTTRCYGYVTFSERRDAEAAVAELDGAKLLGTNRVRAGCFLRNEEARRLSPEQLRERCLLRRRLIQERFLLHEHEERRSPY >Dexi2B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:2B:24247491:24250472:-1 gene:Dexi2B01G0014480 transcript:Dexi2B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVAPVLLPHQMFARVGGAPHQMMKVPAPSPALEEELRLSDFEWIGHLGDGGFARVSKARHRRTGEVFMLIPPPMPPRASDPSPMACSVAPAAPHQMLSRAAGAAPHQMITKLPPPSPAPEEELHLSDLEWIGHLGDGGFARVSKARHRCTGEVFALKMSFYPDPAMEEEAKVLSRAAGSPHVVECHALLRGPAGETACLLESMDAGTLGRALCRRRGRGFPEAALAEAAAQCVVGLAQLHSRGVAHLDVKPDNLLANSRGEIKIGDFNTSRILYGGAGERLQVSITAGTSSYFSPERFAPKARAGPQGAMAADVWSLGVTVLEMFTGRYAFPSDASGLELELVICHGEPLRVPEEAEASAELRGFVAACLEREPTRRATVPQLLRHPFLTRRDVEASRRALREVIVETL >Dexi7B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:7B:23564613:23566259:1 gene:Dexi7B01G0017640 transcript:Dexi7B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASQPTQKMFGEPISLVGPTPSNLESTAELEKLLHEAGMYESPEESAIREEVLRDLQAIVDLWVKQLASQHGYPPAMVDEATALVVPFGSYRLGVHGRGSDIDALVVGPSYADRDHGFFVVLAAALAASDAVTQLQPVPGAHVPVIKLVFRGVQVDLVYASVANLAAVPVDLDLGGRSVLASLGNDNAAARSLAGVRVADEILRLVPDAAAFRTTLRCVKRWATARGVYSNVSGFLGGVAWAVLVARVCQLYPNASPSMLVPRFFKVLAQWRWPTPVMLRDIEHDDAIGLPVWDGRRNPRDRAHLMPVLTPAYPCMNCAYNVSASTLRVIREQIEAGHATCQEIAAANGGGQGWAKLFQPFPFFRAHKSYLQVDATVASGEEELREWKGWVESRMRQLVAKVERDTGGELLCHQNPRSYDAEPHGLRCTASFFVGLSKPRQQQQPAMSAGQQQQQQQQQPQFDLRSTTEEFLQDVYTYCFWRPGLEVAVKHVRRKDLPPHVMHKIRSPNGKRQRDGSSSSSASSSPSSGEDDSGQRPSRRAKVEPT >Dexi8A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:8A:2338850:2342858:-1 gene:Dexi8A01G0003260 transcript:Dexi8A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRMEAANKDPRGGHTLCSQRLLILDFTYEGMDLIALAQTGSWKTAAFALPILQALLENPSAFFACVLPPTRELAIQIAEQFEGLGAAIGLVCSVVATPGRLLDHLTDTKGFSLNKIKYLVLDEADVLLDMEFEKSLDDILKIIPKERRTFLFSATMTDKVKKLQRACLRNPVKVLKVSSKYSTVGTLREEFYLVPSDDKDCCLVYILNKVPGSMVMIFTDTCTSTRLLALMLRNLGFEAIFINGKMSQDKRLGALNRFKSKACNIIVCTDVASRGLDIRGVDVVINYDIPSPKSYVHRVGRTARAGRSGYAVSLVNQYEARQFKDIEKHLGKEISKREVDDCELMILKECVCDSRRIIKAKGDGWKRPRSMRDDGDDEMEGHDRAARRSGLSMNRYRRVKHK >Dexi3B01G0031630.1:cds pep primary_assembly:Fonio_CM05836:3B:33623377:33626892:-1 gene:Dexi3B01G0031630 transcript:Dexi3B01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGLGLLLDLTSRLPRGGASTAAAHSHAGLSAAATVAATAAAAFATSGVPLSARHLYGSVLFPPLPPRFIGASAFSRCDPIPGFTVAHCDAGTAAGWNEASDLINELNTQIRDKIQQARTDYIQYPTKEYPSELKPLFSAFGLKNFTITTLRSFLLYYLPLVQPNPHTDSDDDEDLLPEAHEEKPVDLVTPFKNSVKQIVREATHSLGVAAAWVVQSIIEVYRCFIRKPTKEDEPLPSDDDVQFDDADKFRMFGRKIYGITIKSCFSLVLASVGAGVGALVHPVHGQWFGCALGDVAGPVIAIIVFERMQLPL >Dexi8B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:8B:19168775:19170612:-1 gene:Dexi8B01G0010600 transcript:Dexi8B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVESMEKKLDDAEPMGMKEKWKKHCIFRVPPHFKVGRGNVFTPQTVALGPFHHNDVALRPMEEHKLRAVQHLLRRAGKPLRELAAAVEEMAEELEDAYAGLDGEWRGDNRGKFLEMMVADGCFLLEVMQSNEGYDQNDPVFGEHARRHIKPFVQRDMLMDEPINNIVSKFLCGGGFCATHVGPPLALHPLDLYRRSLLLRPTLPPAYRVGQRTNCCFPTTGATRPNEQGSSCCLPTRTETRPPAPRLRGESTPEPKPGTPSQRSAKRLWESGVRFKPSRTRCYDDIRFDTSSGWRLEMPRVHLDDSTEHKLSNLMAFEALRAGSGNAVTAFVLFMRDMVESEEDVAVLRKGKVLEHDLAGDDAAAVALFGRLTMDVATFGESDLCRVREEVEDYCDGHKCRVFVFKSWAKLRNSHLSSPWTFLALMFSLLLIGTDITQTVFAVMSYRHDTKNDGQELAPAAPKAARWPGHH >Dexi6A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:6A:17651353:17652414:-1 gene:Dexi6A01G0011730 transcript:Dexi6A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSAASFRPADPAARGALASQQQQQQQQQSLPHPHTLAAQGRLPIPSAAAFRPADPTAQGAIASKQQKMFRMREFDHFVVIDFEATCEKDSRIYPQEIIEFPAVLVDAATGALLSSFRTYVKPRHHPQLTAFCSELTGIQQQQVDGGVDLATALGMHDSWLASAGAAKNRLAVVTWGDWDCKTMLESECSFKCLNKPRYFDQWVNLRIPFEAVFGAGRRNLQEAVREAGLEWDGRLHCGLDDARNTARLLVELMRRGASITITGSLPQPPPPAPEPEPELQLQPQMAPLNHSISWCTGAAATTGGCCYCGVPIRCDMVTTPGPMHGRFFFGCGNWTPTFGPMCHFFLWAA >Dexi7B01G0022060.1:cds pep primary_assembly:Fonio_CM05836:7B:26998345:27004540:1 gene:Dexi7B01G0022060 transcript:Dexi7B01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTPPPLHPLPSSISAPPPHPNPRRGGRGRKRASETEMAALEAAREWAASVIPPELAAAAGGDPLAAAAGGDPLAALVATAAALIAGLLIVAVWFRSGSGAPAKPAPTPVRPAPVKVDADADVDDGRKRVTIFFGTQTGTAEGFAKSMVEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETIVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYGVFGLGNRQYEHFNKVAKVVDELLQEHGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDKSDLSFQDRSWTLANGTGVIDIQHPCRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENSIETVEEAEKLLDLSPDTVFSIHADAEDGSPRKGGGSLAPPFPSPCTAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLIEVMAAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKGGHLQLIRLLCRMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPLEYSEDCNWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGTSILFFGCRNRNMDYIYEDELQNFLQEGALSELIVAFSREGPTKEYVQHKMAEKAAEIWNIISQGGYLYVCGDAKGMARDVHRVLHTIVQEQGSLDNSKTESYVKSLQMDGRYLRDVW >DexiUA01G0018440.1:cds pep primary_assembly:Fonio_CM05836:UA:39081135:39082714:1 gene:DexiUA01G0018440 transcript:DexiUA01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVNGNDKDGAGTPNPTRPPPAVKASLPVKLLRPLLVVAVLAMGFLAVVVLLLGGSTYSMLPRRLSVPDAAVSSRQRAPHQLPCAGGNNKSPLERWTRAPASAWHNMTDEELLWAASWQPRIGRKYPYRRVPKVAFMFLTRGPLPLAPLWDKFFSGAGGRDLFSVYVHATPGYRHDFPPASAFHRRQVPSQVARWGDTSMCDAERRLLANALLDPSNDRFVLVSESFPVVYHYLTRSRQSFVGAFDDPGPHGRGRYRAGLAPEVRPEQWRKGAQWFELRRDLAVDVVADERYYPKFREHCRAPCYMDEHYLPTVMSVESPARIANRSVTWVDWSRGGAHPATFGEADVDEAFLARLTTPALGKKGHQGKCTYNGQPAEVCFLFARKFAPNTLQRLLTLAPKMLGYG >Dexi9A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:9A:936562:937839:-1 gene:Dexi9A01G0001790 transcript:Dexi9A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAYQSSAQAPDWLNKGDNAWQLTAATLVGLQSFPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLIPIWGKARPALNQGFLIGPAALPATAHYHAGGVDLETPAATPLYPMATVVYFQCVFAAITLVLIAGSLLGRMSFLAWMLFVPLWLTFSYTIGAFSVWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAVNTIASMSVVNTNVCTAMSLIVWTCLDVIFFGKPCWWLGAVRMAIEHAPSGRL >Dexi3A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:3A:11168646:11169899:-1 gene:Dexi3A01G0015120 transcript:Dexi3A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGSMDALCDDALAGILVRLPSASVLRCRAVCRSWRRVTTDPWFLRDHAARRPLEMITLTAPPCTSTWAVNTVSLPVDNPRPTESPPLSPLLHRKQLAGDGRTWMPGLINVLYSLDGLLVLFPWNGPFIVCNPVTRQWTDLPALNPEPCFKAFPCGFYLHGPSGEYRLLCHGLGEEEEEDEAARGGTGSTWDRNRKRHYYILTAGGTLPRRLGVAPCCPTPTHPSGRAAEYDVPMAHRGILHWFALHREASTTAKMLAFDTVSETFRLMSRPPELQQAAGNTTTTRQQLLELDGELVVAAMQGVASLAIWALRDYKAEVWTLRCRVEVPPSTLYGGISNNVMPTMALAVGAGAILIGDRYCDVARLYDLKEKRMRSQIYLGGQYLTFLAFRGSIMPHAFFDSPRSSEVPHIKFFD >Dexi4B01G0022110.1:cds pep primary_assembly:Fonio_CM05836:4B:23830527:23832377:1 gene:Dexi4B01G0022110 transcript:Dexi4B01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGINQPEMSGSANLRQSIVSEPPAQYRKKRPMKQVLSRKCKKTLPGLPAASCLFEEQSGGHIDIDHVAL >Dexi3A01G0035090.1:cds pep primary_assembly:Fonio_CM05836:3A:40347749:40351800:1 gene:Dexi3A01G0035090 transcript:Dexi3A01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFLSMVEAELPPGFRFHPKDDELICDYLAPKLGGTVGFSGRRPPMVDVDLNKVEPWELPAAASVGPREWYFFSLKDRKYATGQRTNRATVSGYWKATGKDRAVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGTLEQSSKFSSKDEDWVLCRVICKKKLPGGGTSSKASRSLASNGGLDTAPTSSPPLPPLMDTTLAQLQAALNTTSGAIEQVPCFSSFNNIASNSNTAAAAAPPSYLPMVTGSHGMSYLDHGLPELGFDPLNCDKKLLKAVLSQFGVEVVPSLPHEMAAAATATSTWMNHF >Dexi6A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:6A:12561918:12562187:1 gene:Dexi6A01G0010230 transcript:Dexi6A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTCVRNQRWEKEQQQSRGRGHRGRRSGECRRRKWKRITGDERTTRGAVSLLPHGQKRRWQAAGRIDWRTEPSTVVEIRGSLSDGLA >Dexi7A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:7A:27777874:27783143:1 gene:Dexi7A01G0018350 transcript:Dexi7A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDVDGKVLRLVAVTMFSRQMVPTDSQIAVEENSSTSVDAQEQVISVVSRKDKKISTTVVQGASSLESPKSAQEEARFMGKGGKQQFGYQPNVHNSQPQTLFSGGYLNHLGQWEEYPYVVSAEGLDAAYPVMHGTYSPLSTFGDSQSYFSLVYPLSSPYYQPLASPSMGYSSSTTGISQFDPMHQYYLPDELYYSPTPGFHQAFGSFDGVSMQSSGIAEFFGQESIQVNPGLVNGSGQFCGVAEMIGPVDFDRSVDYWQKDRWSGQFPVKWHIVKDVPNKLVRHIILENNENKRVTNSRDAQEVKLEQGVEMLAIFKNHEAETTILEDFDFYEQQEKAILDDRQQQNVQCADAKAQKLVKASVGVGIVAEISDTFAQALQLEEARDREIRQKIEDTAASDNASAAPVETERAVALKTAEPGSLL >Dexi5A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:5A:7523727:7524056:-1 gene:Dexi5A01G0009970 transcript:Dexi5A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAAGPSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCEFWGPSVPC >Dexi6A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:6A:26698786:26702441:1 gene:Dexi6A01G0019080 transcript:Dexi6A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFEMPPVGADEMMGDDEMGDFGGDEGPVMKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPKIPPNATLQFDVELLSWTSVKDICKDGGIFKKILKEGDKWETPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRSAAGEEGAVPPNATLLIDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVKAKITGKLQDGTVFTKKGHDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVEIVSFVKDKESWDLNNEEKIEAAGKKKEEGNALFKLGKYARASKRYEKAAKFIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRVQAYIQLADLELAEADIKKALEIDPNNRDVKLEYKTLKDKIKEYNKKDAKFYSNMFAKMTK >Dexi4B01G0023940.1:cds pep primary_assembly:Fonio_CM05836:4B:25160971:25164092:-1 gene:Dexi4B01G0023940 transcript:Dexi4B01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAFLLLGFLLGLLTLAIAEGAALLWAIRSLTRHRSSPSDSGAPPLPVDPPPPPADLKRRQGFLWMLEQDKMPKASIKSNGKKAIVEVFPVKVLAKLEGHSLTLSAPDGSHNTIHLLDCTVVAVSASNLPSRKWAKRYPIKLERKESQISKGGKRTLSNTRTPTYIGEITLSGLSLGKLPPYLHRMRVLPRDLNELWAFEVDFEYSSGILLDIETRLEIREPELEKDIITTSLKDDSNGAVSSDVLDSIEQYSSQFRSSEASDSALKDNGDTDALRKSKSTGWTSTYMSRWKSILHSIADQVSQVPLSLAIKISSIRGTMRIHIKPPPSDRIWYGFTSMPEIEWELGSSVGDRKISNSHIASLIGNRIKASLHQSLVLPNCESIPISWMISDTDDWVPRKIAPFIWLHRERTETSARPPAGKTPGEASVSKAIAKTKSSPPVPSTRSNNESPKTCEDGSEQAEASTSWQSRLVSTNGAPLQFITREQLRMPLLSSSSSSSRDDRAVVVAARSSADEDAGDVKRKLRGGRRAKVMDLGRRVGGKLEEKGKHIVGKMRENARSNSLLLPDLERATTPATAPS >Dexi3B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:3B:1169973:1172905:-1 gene:Dexi3B01G0001660 transcript:Dexi3B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFRSTTRRDLHSSTTTSRSDPPPCPRRSRSVSAAPRARGADSLREEDYANTRTNPLFDAAASPSGSPSPSQGTASSNGGGDVPRRDRGREPVKSGGRAGGGRARSVSVAPQRRHTASTPSAGGAGGAVGGRKASQARLVADHARPYRGSETDVETKDPVRQFQSWRSRHSISEGKHRGTDASCSSQGSTTGVGCQQTNEITNLESSSCRDPVLEIPPEFDPDSAEFISDICDYAAEYRKKDVVEIPLDFDTDAAELVSDMRNSAEKQHWEQMEIPLEFDTDASDLVSEIWQHEANQRLEQLEAPLEFDPDTSGLAPDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTAPNFTETHKKRPRRKGFDKQTQCSISITGSDASDSVIFSNTKAHLKFGSNSTEDLDGFDTPRSRSSCFSFTHEPLKNVENCDVRQYLGNFGRGNNMELRETRSTYFADDYVSHKVNLDLLKDMATFQNRMQYGGLLICNIRTF >Dexi3A01G0033100.1:cds pep primary_assembly:Fonio_CM05836:3A:37911613:37915755:-1 gene:Dexi3A01G0033100 transcript:Dexi3A01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRGAAAERVAAAVEEAASASGGWEFRNAYRRQLLALSRRIRLLGPFAEELREARRGSEEREEERERALAPLADALDRALELLRLGRDGSKIFLVLERDNVMKKFQGVIAQLEQALCDFPYDKLDISDEVREQVELVHAQLRRAKERADMPDDEFYNDVLSLYNKSYEPSSELDILKTLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPEMGPPIGTKLMDSNGEPRPVNIPDEFRCPISLELMKDPVIVATGQTYERVCIEKWLASGHHTCPNTQQRMTNTTLTTNYVLRSLIAQWCEANGIEPPKRSSQPNKPTPACSSSERANIDALLSRLCSHDPEEQMSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIICSGAVPSIVHVLRNGSMVARENAAATLFSLSVIDEYKVTIGATGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKVRAIRAGVVPLIMGLVTNPTGALMDEAVAILSILASHPEGKAAIGAAEPIPVLVEMIGSGSPRNRENAAAVMLYLCSGEQHLVHLARAQECGIMVPLRELALNGTERGKRKAVQLLERMSRFLVQQQEEKEAQLLASTQAIPRIPEQVQETDIPEQLDSP >DexiUA01G0009280.1:cds pep primary_assembly:Fonio_CM05836:UA:18034592:18034956:1 gene:DexiUA01G0009280 transcript:DexiUA01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGRRWIPFTDVSNTVNRGISASADDEENRKQQEWRAIRKAEETIEQREERNKKQREYRARRKAESSTPSVGDITQTSIPTTIGDSQK >Dexi6B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:6B:8889017:8889783:-1 gene:Dexi6B01G0007630 transcript:Dexi6B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEHLDPTAPAPASSSAAVAEINAWLASLSAEAGSGGGTVGRGGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAAAGLRAQASEYRAEAARLRETLERSGLARDALPPPAAAAARAVAAVANLLAIRDTEMSRA >Dexi7A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:7A:14985345:14989260:1 gene:Dexi7A01G0004320 transcript:Dexi7A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPPFFLTTSVARETEHSLTPPAFRSACSSWLLSRRRREESWEIAMPRWDSEERAAGDEPAAAAAVRLANKIRKRRAVPSSSGASDPAAGRRLRSRRPALLLPRRRAGVAGDMSESSRSLHCRRGGGGGGNRLADGTTRPSASARRLVDAFWQDVDRSMLLEADPAAARRSLVPWSGASTEMSKRSRSRSKILEADGKGSRRNGHGRWFVEDMTSNGSAMEVGTCSQDDVSRCPEEKTFNLQDLHNSLIASKELVKVLAHIWGPGELNPSTVSLISALSSEVDVARAHVRRLIRERKSDAHEIEGLKKQLTEEMESWKVKQKEKVANALQFIVSELDTEKKSRKKAEKANKKLSVALANTEASLQAATKELERERKSRGRVEKICSELIRGIDEDKAEVEALKRETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLYTREEQEPTNDPMQLSQASENGAAACGASAYRNGGNCSDGGEDNASEDDGDMHSIELNVDGISKTCTWSYTPSSKGRQVHESFSDRGMDGANSYQLERSFRDVDEELEGDWAEGCSNGMLNFEHDEERYQAIKNLREQMLAGSGFILSQGRENAEREYCGL >Dexi1A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:1A:28115963:28117025:-1 gene:Dexi1A01G0021310 transcript:Dexi1A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLHGRSSHSRGLLHIPSPSAAANAKAGHIEAASTHTVGHERGGSALDDLVDEAPVARLLGAVAHLEDLLGLDGDVGGLPLRAARGLVDHDPGVGQRPPLTRSPGGEEERAHGGGLADAERGDGAADVLHGVVDGEPRGDDAPGGVDVEVDGLERVLGLEEEELRDDERGGVIGDGAMDADDALLEEAREDVVGALPAGGGLDDHGDEAVLTVRREPLRLGRLGDEEKNARGGGAAATSRRGPSPAGPGPRLSEPGLGEGRGEEAGSGEAAARWARARAEEGVMAAVSSRSKGRAGDLRRWPGVAGDFGEGETGKEGSYSKRG >Dexi1A01G0023650.1:cds pep primary_assembly:Fonio_CM05836:1A:30259797:30260369:-1 gene:Dexi1A01G0023650 transcript:Dexi1A01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFLNSASSTASGDVSSASPNASSHVPGASSAALSCVTASSASAPSPWWSRSSAAWPSWSTASKYTSSHTASRSRLLASVSMALRAATRAAVALAFASAILAATAARPRASDAAALALSAWKPMDGCPAAKDLHASSSVEDLHVGERIKRNNTEESSFMFWRRGLKGFALQVSSGRYDFWW >Dexi5B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:5B:13517041:13517901:1 gene:Dexi5B01G0016020 transcript:Dexi5B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLVSANDLKKVTLFSRMRVYAVASISGGDPRLPTHTTLTDHGNGCNPAWNATIHFPILEAADTRGLALHVRLRAERAFLGDRDVGEVFVPVDDLLAGADKGGDPRPVSYQVRRPHSHRAHGVLYFCYKFTDVPAADDIISEANSYKQGQYVKYVKDSELAMEKNVPPATAYPPLPQPMSSPGYPPAAQYASPYGAYPPQQPYVYAAPPPYGYSAAPPMYGYAAPPAMAPAARNGGGMGMGLGLGLLGGAVGGMMLGEMVGDYEADAAYDAGFNDALAF >Dexi9B01G0040130.1:cds pep primary_assembly:Fonio_CM05836:9B:40739895:40742047:1 gene:Dexi9B01G0040130 transcript:Dexi9B01G0040130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVILAPAVALCSGRSDSTQKLDSTGDLREDQCHHHQKRDRGGARWAGPYLRRRGGAANARRRGGRVAADEAEDLAGAARRREADERSSDLAAAAAIVVAGWRRKEMVGWGVAPV >Dexi3B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:3B:13520789:13522944:1 gene:Dexi3B01G0018230 transcript:Dexi3B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAICVEDEAACAAAECAGIEKLDLAAGGGGGVAEAKAGVAGGGKRSVYLMDCAPVWGVASTRGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGLDADELRLPAHLFGVFDGHGGAEVANYCRERLQVLLSQELRRLGEDLKEVGEVDMKEHWDELFSKCFQRLDDEVSGRVSRLVGGVQEPRTVAPENVGSTAVVAVVCSSHLVIANCGDSRVVLCRGKEPAAWSIDHKPDRKDERARIEALGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVTVIPRAKDDDCLILASDGLWDVVSNEEACKVARRQIQLWHKNNGATASLCDEGDESTDPAAQSAADYLMRLALKKGTEDNITVIVVDLKPRKKLKNNS >Dexi6A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:6A:23053482:23054208:-1 gene:Dexi6A01G0015570 transcript:Dexi6A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTITVVNPITDQRIMAPHSSISWDYRWCLVSRKLHRFSFGYHPTTGKYKVVDVTCSSSSSSSYKTGRLAIDWVQVFTFTMSKMESSWREVLDIPDWGFQDSGGVVTVDGATHWLAARGERVVALDLEDDGRDPEEATCRLNNVHERLGVLVTCRHSPAMRVDLWVLEELEDEGGHRRRPARWSRKCSLMEPTSPDDERWITSPHFTHGEYVLSKRNTELGYKEVK >Dexi5B01G0021940.1:cds pep primary_assembly:Fonio_CM05836:5B:24226379:24229452:1 gene:Dexi5B01G0021940 transcript:Dexi5B01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPGRLGNRLILPAAASAVVLMVLIGAEPAAACYPRVFSFGDSLADTGNFRFYYGNNSGEPALRPPYGETFFRRATGRFSNGRLIVDFIADTMGLPFVPPYLSGHRAEDFATGANFAVGGATALSPEFFRDRGFNIGDGRPHLDKEMNWFRDLLDLLCPGGRSGMAVPFHFTSSSSILAPVELIGLGAKTLVVPGNLPIGCVPRYLSIFKSDNKEDYEPESGCLRWMNEFSQYHNKLLMEELEKLRKLHPGVSIIYADYYGAAMEIFLYPERFGIEKPLVACCGGEGTYGVAPTAACGYGEYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTNSCPKLTELGSSVEYKVLYDL >Dexi5B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:5B:8859706:8860932:1 gene:Dexi5B01G0012510 transcript:Dexi5B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSYEEVEAATGGFAAKNLVGKGSHGSVYRAKLRVGGGGRTKAVVVAVKRPSHAQGESKLANEIAVLSAAPRHPGVVSFLGIAAPATTADEGAKGVAPPQLLVMEYAPNGSLHDLLHRAPKPPPWPRRVGIALDVARAVHALHAAAPRAIIHRDVKSANVLLGLDGRARLADFSLAVTVAEAGRKASRDGADGEEEDDGEEEEEDEGSVGPAPAGTMGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNSSPSSIVAWAAPRIAAGRAREVLDGRVAAPGTARAEGAVARVLAVAARCVSEAVERRPDMAEVVSELHGALESAGWRRRDDGWQWHARGVVERACRRVASWGRSVRRSKRVRATKIECTEHSDSTVVALDREGSPSPCTLRSHPNKDMTT >Dexi9A01G0048720.1:cds pep primary_assembly:Fonio_CM05836:9A:51461687:51464113:1 gene:Dexi9A01G0048720 transcript:Dexi9A01G0048720.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSTASSGMADQLISTAVHRELPGSYVRPESQRPRLDEVVPDAQIPVVDLAGPDVVSRIGQACATHGFFQVVNHGVPLELMAAMLAVAYDFFRLPPEEKAKLYSDDPAKKMRLSTSFNVRKETVRNWRDYLRLHCHPLEQYVPDWPTNPPSFRDTVSAYCREVRELGFRLYAAISESLGLARDYAEKALGEQEQHMAVNFYPECPAPELTYGLPAHTDPNALTILLMDQQVAGLQALSNGRYRSVWHRAVVNSDMPRMSVASFLCPCNDVLIGPAASLVTEESPAVYRDYTYAEYYTKFWSRNLDQEHCLELFRTTS >Dexi2A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:2A:5842605:5848195:-1 gene:Dexi2A01G0006190 transcript:Dexi2A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPAASPSDPNPNPSPDADPRSDTPMPDAGGASDGASPASPEKREEEEEDGGEGEAEAPPGKAAKRAALPAEEEQPTPRKTRLPRACNSKPKPPPPPPPERPRRRAAAPAAGGADDTPQCRVVTPLVSEPEAPAELPRWRLRCMWELGSVLNFLHVFRPLLNITAEFTAEDLEAALITPNETLYDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDLPIVASHGAEIETYKTLEPATRLVILKAICDIRVEQEDIRNFIDSSLKHGHDLCTFRKERIGGDSLGISYWYEDDEILGHRLYREIRRVEQIKKEPGKRPRGKGGSIAVPVVSYQWETVASTFEEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERLLKKQQREALLLDSYLTSDGLTTGRSLRDRKPVTYTFDDFDRSINEAIKITKKREENSAEPVTTATNRRVLPLRSEAPSNGKLNGSSPTANDSFDGNSSKSDDYQDSDGEQENEALDRSNRRRKRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDDEYLRNRKQHKASSASEEDEEFRLEEDAEDDDEEEEYSLSTSEDIEEPQRHKKLETRGRRGTKLRSVDEIQSGLRRSKRSSRPRINYRQYDFSDSDTEPGKARKSDASDPDAGSDAENDMELSTSSQEQEEEEDDSPDEQNGNNVNDKMEDDHTVAENKVEPDEEQPQQQVVEKMDAPPSRESESVGRTFLDLNELAPGGGFDDGPSLSVKDDMDNS >Dexi9A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:9A:1823114:1823935:1 gene:Dexi9A01G0003430 transcript:Dexi9A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDSHDPSGADKGFHGGYPSGYGQYPAGYSAPPGAYPPGQGYPVAPGGYPPQGGYPQPGGYPAAHGAYPPGAYPPSGYPQQPGYPQLVTQAMVNQCPVGHGAMYGGGHGAGGSAGYGAVIAGGAAAAAAAYGAHKISHGHGGGYGMHGHGHHGKFKHGKFKHGKFGKHKKMFGKHKKMFGRKWK >Dexi9B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:9B:13220538:13222054:-1 gene:Dexi9B01G0018520 transcript:Dexi9B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKFTVRRKAAELVSPSSPTPRELKRLSDIDDQDGLRFHIPVIQFYRRNALMGARDPAPVIRGAIARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQGLVQFLGAVAELARGAAAPSVRPVWGRELLEARDPPRPAFAHREYDVVPDTKGTIIPLDDMVHRSFFFGRHEVAAVRAHLPPALRSRASTFDVLTGLLWRCRTVALAPDADEVMRMICIVNARGGKSGAAIPEGYYGNAFAFPVAVATAGDLAARPLGYAVELVKRAKGEVDVEYMRSVADLMVMRGRPHFTVVRAYLASDVTKAGFGDLDFGWGKPVYGGPAKGGVGAIPGVASFLIPFRNAKGEDGIVVPMCLPGPAMETFVEEMGKLLSPPAEKQQDDAFPAAIRSAL >Dexi3B01G0032550.1:cds pep primary_assembly:Fonio_CM05836:3B:34931656:34934984:1 gene:Dexi3B01G0032550 transcript:Dexi3B01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGDPRPEDEDPFTDGEASDSDSADESLPRRMGARRPGGATNNPILTRLSVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTMKGFKGSFPDAASSAKIFAVLAGVQSLVACSLRKIRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQEPALALPPATGVKGGQSVLPPFSLPLPQDASEGFSKFQNFLSSKYRGN >Dexi3B01G0029290.1:cds pep primary_assembly:Fonio_CM05836:3B:28483905:28490447:1 gene:Dexi3B01G0029290 transcript:Dexi3B01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAAFHAHPIPHRHPARPNPTTGLLRLLPSRRRARPRAAVRLAVSASSTSAPPPSADRSEAASSLERCLSATATGVGMGAGASAPASAPARAPPAMKGGRKQYGAFGAVTLEKAKLDLSQRRKKITPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGDEEEGGLFRRRIVVQELFNREFVEAVLQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLSIFARPTNTRSFSRALPGWLSRGLVGRTLADPSFPHKMAFEFMATFFSSVWWEMNIRKDRFQQEWDLAVVNALTASCCNLMVLGLLAPCRSYGSTSRFDFQNTIEKLPNNIFEKSYPLREFDLPKRISAFFYKAAELSLVGFVAGSVQGGMSKVLSERKERRLSVTIPSVGTNALGYGAFLGLYANLRYQLLSGLDQYMVKRFDVLGVAIFFSTAARLMNIQIGEASRRTWLGEEPDPQYSDRLLRAYKRPVEVNVDQQDSRWFISKDAMVSGLGLLGIKQGGPETSMSKPRRKRVVRKKVTSG >Dexi9A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:9A:9543480:9545060:1 gene:Dexi9A01G0014430 transcript:Dexi9A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGFMARGANGGRAGELVTRDFLGGCATADDARDAAVRHDAVPGKLSLQKHACPATPRDLNLFPVASGAATKPCAVTTAPAPTSSSGPTTTYHSVCTIEKVKTALERFERGKQGSHNHQQQHSGAGASPSSSSVTTSSVKRRGGDSSSAVEQGDGCDSPSGGGGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPAPPVAPPAVSKKPRIDLNVGFLGT >Dexi7A01G0023560.1:cds pep primary_assembly:Fonio_CM05836:7A:31490373:31492093:-1 gene:Dexi7A01G0023560 transcript:Dexi7A01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAPTLTALLKKAAAAFPNRRAVAVPGKIELTHAELDALVDAAAKRLAADAGVLPGHVVALSFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSESRLLLTNAEGNPAAQAAAAKLGLAHAAASLSDAAGPVHLDGLQQQIANGNGHHLELEDKNNNVASDVALFLHTSGTTSRPKGVPLTQGNLAASVQNIRSVYRLAETDATVVVLPLFHVHGLLCALLGSLASGASVALPAAGRFSASTFWADMRASGATWYTAVPTIHQIILDRHASKPEPSYPSLRFVRSCSASLAPAILDKLEAAFKAPVLEAYAMTEASHLMTSNPLPEDGPRKPGSVGRPVGQELAILDEGGSPVAAGSPGEVCIRGRNVTAGYKSNPEANEAAFRFGWFHTGDIGVVDGEGYLHLVGRIKELINRGGEKISPIEVDAVLLDHPAVAQAVSFGVPDDKYGEEINCAVIPRDGSPLAVEEVVAHCRKNLASFKVPKKVFITDDLPKTATGKIQRRIVAQHFVQLATAA >Dexi8B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:8B:4130344:4132603:-1 gene:Dexi8B01G0004500 transcript:Dexi8B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIELKRRAARATPHAAAPPTDGGAIRLLPRRTAGHLDLEESTITPAPGDDRPAAAANFSADTSPDPVRRHDQDAGRVGWRRRPTEHAVVTSAAPTPPPPRPAAFDPLDPAAEPPRLALSKEHVWRCEIARQVFQRKIDQQPDVIANEFNSLPARKEVLKNTELFTVARDNANWKTRNRHRDVLPFDANRVRLQTSEGNDYINASNIKTDGNDQTRFISTQGPKPQTFAHFWQMIYENRCPVIVMVTPVAPEKCHEYLPLNKDLEQDYGEFNVKITKTRHDGPLELRSVKIQRKESDRVHSLLHIRYSDWPDHGVPGDTTAVRRIISRLYHIPREFPIVAHCSAGIGRAGSTITILNTMERILRGEWSALELVETVRKFRNQRVGMVEREAQYLFCYRAVAHELKDLILNSEH >Dexi4A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:4A:3994744:3998384:-1 gene:Dexi4A01G0005520 transcript:Dexi4A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPATPTAGRRATARARRSPSPRPATPPPSSPSILAGILSDLAEIGGSLRGGFSRAAAPDHHRPASSAGSLQASSAGSRPASPPPPANAAAAAEQVPDDVVGAARALAARPEAWIDFPVLALDENSIISDIQRDHSESIEKLVPDLASLRTRLCPSYMDEDVFWKIYFRLLESNINEHSSEEDNRSVPNSVHHINEIESDSPPHVCEIESVKSNQEGYQSSDSLALPKTRSERSIDQWVFAKSKSEESMDQWSEIPSDVESFREGKRYISSEELSDVDSANVVVMDKYMDSLLSDRRNLPYASSSVRRDSIRRKPASSTDYSHRPPQPTPPAPLSKKESWDVIEDSEFDILDS >Dexi2B01G0035960.1:cds pep primary_assembly:Fonio_CM05836:2B:42908951:42910466:1 gene:Dexi2B01G0035960 transcript:Dexi2B01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVKGVPCVAGISLLSLSLSKREERCFSPPHLPSAIAFFSSRLSSHPSLPACSLSLYRAPYPVRPPTIWDMAEALCNGVVASPCAGDVAARARGATAALAESVPIGRCSTKSSFAAGRMAVTDRKARPPTVEALPGQMNLSFPKAMRWWEKGLHPNMREIESVQDLADSLRNAGDKLVVVDFFSPGCGGCRTLHPKISQFAEKNPDVMFLQVNYETHKSMCYTLHVHVLPFFRFYRGAEGRVSSFSCTNATIKKFKDALAKHGPNRCSLGPARGLDESELLALAANKDLQFTYEKPGLVPLAQAIAKETAAPGGPWLPLPASATQLLTQGSENSLLSSGR >Dexi3A01G0032750.1:cds pep primary_assembly:Fonio_CM05836:3A:37617028:37620145:-1 gene:Dexi3A01G0032750 transcript:Dexi3A01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAAAAAPFLAAPAPSSRRRPAADAHILSAAFLFVFSAYGAAQNLESTVNTEGDLGTVSLGILYTSFTLFAVVASPVVTRLGPKRALVVGSSGYVLFILANLVPTWFVHCISLFQNQNVKYTMVPASLYLGFCASIIWVGQGTYLTSAALSHARDNSLPEGQTLGNFTGEFWGIMASTQAIGNLLSLALLRNGKDGGSVTGKNLLFVVFLGCMIVGIVLLCLLSKREEKGDNDPLCSSFGVMLKSIVAPLKDRRMILLIPLMIYIGLQHAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADAVCALVTGYLTSGLHSATLIVSFGAIVQAVVLFWLLLFYSPMGGLFGAAAPLLIGALWGVGDGMLNTELNAVIGLLFKDAKEASFAQFKVWECGAVAVIFFLSPLITLQAMLILMTAGLFFAFGAFLFLTIVVDKSSGVRS >Dexi5B01G0025420.1:cds pep primary_assembly:Fonio_CM05836:5B:27442948:27443712:-1 gene:Dexi5B01G0025420 transcript:Dexi5B01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADRVAAPAAVAEASDDAIQEESAATAPAPDQKPGSGATAASAPEVEVQLFRRGRPVAVFRSPLGGWTQDQLEVGDILEQHGLKSVFAFDPEARKRGVAIRFNPRNGRSLLTYAPGSTIFLDGEPKDSLLKPITKMMIGVAAMTVVAAVLLKEAKVPEWVKTSRLGTVNFPPWVLACMVIVFMRLRKRTKDIMKKFGLAS >Dexi1A01G0023000.1:cds pep primary_assembly:Fonio_CM05836:1A:29623699:29630039:1 gene:Dexi1A01G0023000 transcript:Dexi1A01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAATVAALFGIRDAGDHQDQIKPLIAQQHQHQLQQLPPAPLLNAASSSAGSGQAAAASPPVCNKGFQREQNLQLHRRGHNLPWKLKQKDPLQAQRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPGLTASHLYGATTAANMALSLSQVGSHLASTLAGDHHHDLLRLGGGGRFDHLLGPSSAAASAFRPPPPSSAFLMGAPPQEFGDVSDGTGPHGFLQGKPFHQGLMHLPDLQGNGAGGGGGGPAVSSSPGLFNLGYIANSANSSGTSSHGHASQGHLTSDQFSEGGGGSESSAAMLYTGGGGNFAGGGGGDHHHHHHQVAHGGMYNDEQQGVTMLPQMSATALLQKASQMGSTTSSAHGGPPVFGGSLVGSSSGPTAAHGRAPTTMLDQSQMHLQSLMNSLAAGGMFGGGANSGMIDPRMYEMDQDVKFSNRQGGGHGGGAEMTRDFLGVGGVMRGMPVARGEHHEGAGDMSSLEAEMKSASSSFSTGGRMQ >Dexi3A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:3A:3133271:3136684:-1 gene:Dexi3A01G0004820 transcript:Dexi3A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLHLHSTLLPSASALRHRAGAPAPSSSRRCFRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLSKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPAAQSKAASFSSGASSSPPAQETAAPEASAPPSSPPPPAPVAVSAPAPPSPATQGGARVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEAALAAPKKAAPVTAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMTALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINIAVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVGTKDGRIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >Dexi8B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:8B:27353358:27353657:1 gene:Dexi8B01G0016240 transcript:Dexi8B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLRKVGNVPLDQLDEELRIWARNIRELSYDIEDVVDTFLVRVKGRHPHYLQGSEGLVKDIKNRIKEVANRRERLGK >Dexi5B01G0037640.1:cds pep primary_assembly:Fonio_CM05836:5B:37087412:37088190:1 gene:Dexi5B01G0037640 transcript:Dexi5B01G0037640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNTALMFISSENMAITRIQPLSSHLNCFANPANPIQDKQVYTVWMKSLVFNGHGCTIYGQDGRVAYRVDNYACHRRREVYVMDSGGKTMIKLLKKNFGVFKAWEGYSYCNGPAGLEQEDSKPWFSVQKSHKILKKEGAYSNCAMVTICMSGEVYKIDAVPHKSEYRISDPNGEVVAKMQRKQTASGVVLGEDVLSLTVNPTADRLLVVGLVVVCGLLSCCI >Dexi1B01G0030130.1:cds pep primary_assembly:Fonio_CM05836:1B:34204917:34208046:-1 gene:Dexi1B01G0030130 transcript:Dexi1B01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGPLTRWPWHDLGNYKYALVVPWAVRSTYKFVTSESNERDLLSFAVLPVLLLRLLYSQVWISVSRHQTARSKHRIVSKSLDFNQVDRERNWDDQILLTALLFYVVNASASFAQGLPWWNSKGMVMAALLHVGPVEFLYYWLHRALHHHYLYSRYHSHHHASIVTEPITSVIHPFAEEVAYFALFAIPLLTVVATGTGSVVVANAYLVYIDFMNYLGHCNFELVPKLLFDVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYLYGTMDKSSDDLYERTLHGRGEEAPDVVHLTHLTTPDSVFHLRLGFASVASAPLIASTRLVVRAAAAPLAKLTSMLGTTFRSEANRLDKLNIETWVVPRYTSQYLSKQGLYAIGRLVEKAVADAEASGARVLTLGLLNQANELNRNGELYVIRKPSLKTKIVDGTSLAVAAVVHMIPQGTKDVLLLGDLSKVCTVLASTLCEREIQVQMVDKDLYECLKQELRPELHKHMLLTSSYSSKVWLVGDKLTEQEQRRAEAGVHFVPYSQFPPEEAIRGGDCVYHSTPAVVVPDSLENLHACDNWLPRRVMSAWRAAGIVHALEKWDHHECGDRVTGVDKAWRAAMAHGFRPYDQNAAA >Dexi1A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:1A:24812661:24819168:1 gene:Dexi1A01G0017480 transcript:Dexi1A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLLGLSIMGKAMATNLLRHGYHEEEQQGSSEVEMEVGFLGLGIMGKAMATNLLRHGYRVTVWNRTLAKCQELVALGATVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGDGKGYIDMSTVDAATCSKIDEAVKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKDLGAIANPMFKLKGPAMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVSAAANEAFKKARSLGLGDLDFSAVYEVVKGASSSGQA >Dexi6A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:6A:4008421:4008662:1 gene:Dexi6A01G0004430 transcript:Dexi6A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEMIPPLQGQDMATRKIVYRGQGSHANKMAGRPRRQRHVRQMQKGRFAWVSQIDFPVPIHGSWLLIGRQL >Dexi6A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:6A:27461667:27462109:1 gene:Dexi6A01G0019810 transcript:Dexi6A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNSPFPPTSRRVRPRALTITSFIAASSSGEVGAPLGFSAPGAVNRSIPDVEGISRPVDRPYAWGREGFVPFPWIPAEGESHWWGTFNPMQNHPHGSFTRRPAGERMPQPQNHPENGYQPTPPPQRMPPFL >Dexi9A01G0047050.1:cds pep primary_assembly:Fonio_CM05836:9A:50276607:50279828:-1 gene:Dexi9A01G0047050 transcript:Dexi9A01G0047050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSGGASTWRRSDAAGGSGELSRVSAATTASTASARSVSGGGRGASFLDAFRSCFALQEERSPDNSMSDDFHPSHQLSQSMSSQGSNSWSTFGSRRSIKGMYSPMHRNSLGRETPGSTKFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLADGTLVAVKRAKKNVYDKHMGREFWNEIETLQRIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGKVLEFSVRLEIAIDVAHAITYLHTYSDPEYLRTYQLNEKSDVYSFGVLLVELVTGRRPIEPKRSIIERVTAKWSMEKFVEGNAIQTLDANLESNDAINLAVEKIYELALQCLAPTKRNRPSMRRCAEILWSIRKDYRELVVPTSAMN >Dexi4B01G0023900.1:cds pep primary_assembly:Fonio_CM05836:4B:25150592:25155680:-1 gene:Dexi4B01G0023900 transcript:Dexi4B01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLVASWLPLSLVPPLVPRASAISLSRRRALLLLSSPSSLGSGAPSYRAHSYAPAMAAPPVAKKVPRELVDHGDVRLDNYYWLRDDSRSDPDVLAYLRAENDYTAAVMSDVKQLEDEIYAEIRGRIKEDDIDDAPLRRGQYYYYQRTLTAKEYVQHCRRLVPTDAPITVHDVMPTGPDAPHEHIILDENVKAEGHDYYSIGAFKVWLHKLGVKIQDVQPFDNHIAVYERENGLPKVTVYRLPAIGEPIGQLQGGRTIDFIDPTYAVDPEESQFHSSVLRFHYSSMRTPPSVYDYDMDSGVSVLKKIKPVLGGFDASNYVTERKWAAAADGTQIPMSILYRKYLVKLDGSDPMLLYGYGSYEICIDPSFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIDCAEHLIKNKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVTAQEYPNILVTAGLNDPRVMYSEPAKFVAKLRELKTDDKLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALGMTPKLASL >Dexi3A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:3A:8528213:8528856:1 gene:Dexi3A01G0011830 transcript:Dexi3A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVDAIRAACPHAGHGCTARPAYHDRERHAGECAHAPLRCPGDACGFVGPAPALAEHAAAAHGWRPCAAEAIAGASFRVDLRDGFNLITAARGGAAYLFLLNVATTPFGRAVSVVRLVPQAPAWRSTTCELQLYYVRLKDFCREHYYQTSRFEVADMDPASDELQDPSASFQFLVPKCVSGYDEADFRVD >Dexi7A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:7A:29496255:29496930:-1 gene:Dexi7A01G0020810 transcript:Dexi7A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLALLEAARKVNFQDVLSRISAGFSSNSWEKPILVAWGISDKYLPLSIAEEFKKANPNVVKLEAIEGAGHMPQEDWPEKVVKALISFL >Dexi7B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:7B:21013181:21018597:-1 gene:Dexi7B01G0014930 transcript:Dexi7B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPSQPRWPMAIRRRTRRMMRRPVVGSPVAGGLSSQQRDILISRRTRAAATGWLDRACRVRVTRINDTRTRPSSSSSPSRPLLAESSCPAGKAKPSRGPRLAARAVLGGRPSQHARTPTRLHRSGWLAQLKGPCGRPTTAKAPERVGRAKQSRGGGRTSRGKKTGEGPETAAGPRSLVALRGTGLAVSSQPPLPVRPRQLMEALWKQASRLKEQVARQGVFKQFGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCDDSQKYGVENTCTSGDTLSKAATYFGKARSQMEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVVEVSRKQNRVRESPGNGDMISKLEAAEYKLEELKSSMVGLGKEAIAAMSAVEAQQQRLTLQRLIALVEAERTYHQRVLEILDQLEEEMVSERQKIEAPPHPAAENYMPSPPPSYDEVNGAFASTSVNESVQSVDFFLGEALDSFKAESDFELTLSAGDIVIVRKISSNGWAEGECKGKAGWFPHAYIERRERVLASKVPHIF >Dexi7B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:7B:25506499:25508685:-1 gene:Dexi7B01G0020060 transcript:Dexi7B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYGQNVRRKSHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTNGRQVPQELVQKIGKVFEAILEETGKLREETNEDMSIAKAITIVMDRNPHLRQEGIAHEVLQWYLCRMEGWFATDADSISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHKVVEIVRHRNRVEVTVSNGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAVRELSVGIENKIVLHFSQVFWPNVEFLGVVSSSTYGCSYFLNLHKATGHPVLVYMPAGRLARDIEKMSDEEAAQFAFSQLRKILPNAAAPINYLVSHWGSDENTLGSYTFDGVNKPRDLYEKLRIPVDNLFFAGEATSVKYTGTVHGAFSTGIMAAEECKMRVLERFRELDMLEMCHPAMGEDSPVSVPLLISRL >Dexi2A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:2A:11597107:11599098:1 gene:Dexi2A01G0010430 transcript:Dexi2A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Dexi3A01G0028470.1:cds pep primary_assembly:Fonio_CM05836:3A:29222243:29227796:-1 gene:Dexi3A01G0028470 transcript:Dexi3A01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKIGTFLLWVLFLVLQTATRIVGSLLAGPAEQQDGQQQESPAIARRRSPPASPHPDPYEPASAPLQQLWDPPPPPYPPSAPVADEYSSSSSFRRRSAPSPLLPAEDVVVSSSAYSRPPAAAVYAHSLSAPPLRAIDTRAVPARAAAAVSKRPRLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKNSFNGMSLHHIGETPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFGFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTAIMSKTISQSKKETEFALSALMEIPLQYKATLELGILGRRLAKSPERVPLPPPFASYSRVSRAAPSRANSYRSVPSRPREEPTVDSTITASVTSPPAVETRVPEPQMCPVCLSKPRDMAFGCGHQTCSECGPQVADCPICRRPIDTRVKLY >Dexi5A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:5A:2655020:2656445:1 gene:Dexi5A01G0003510 transcript:Dexi5A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLIGWQVLAGDLLDYVRLRAVCAHWHRSTVRPRGRGLVDPRFHPRRWMMLPEGHGLYPGHPHLGGYVRFFNLSTGVFVRVHLPLLHDHIVLDSTDGLLLLLHHDDDHGHGTGIRLLHPFTGDVAELPPLLTLLPQIEPEARYLNMTQDRKLRRIRFLNKGVLFGAPTTPDWSVKPNFWTGVIWK >Dexi3B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:3B:13761001:13763411:1 gene:Dexi3B01G0018530 transcript:Dexi3B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLGPKSTARRRPLRLLSGNKARPPPTPKSLFLNPPPRTPAAAGGPAVRTPATADAPASRTPAAACAPTVCSPAAAADAALDRLLLARSDIAGIVSQIDELISDALRCETVSKRGKQEIELFNGFLSDTNHSLKQWSSRLKQALETGPETAENVSKDNLGTCSTSVAKGDDKSIHSSLWSSRLKQALQIVPEKTETVSKDHLGYCSKSVAKGDDKLICCSSLPDTDPIASPCSNFTEADLIVSPSPLVSWRTGTCMVESGKQLFQLTPLPKTKTCSSRCPTSKTQMKTATSMDQLNLPSLPVWKLTISDDDHPDVEQDMKVNEAMTVTMTPHVATANKSSLEDRLQSPCIFSIQKSMRTLPRSCLKTALSSKQQFSPIPEDVRKEGIDSNGPNQGGKRSDSSDEVSKDLATRYDIYGLNQPTRTTYRRKQAEDPLLWYFSPPKTCVLMDLSDDKPLPTPARSYMKGKHDVYDEKPAQTPAVHSNALDQSGDKPLPTPARSNMKGNHNVYDDKPIQTPAVHSKALLGTPWKGMESTNLKGRQAGETTLKRELWTRFDAASTNELHFDKSLFQKMDGNRRFLDMLEEAS >Dexi9A01G0038620.1:cds pep primary_assembly:Fonio_CM05836:9A:42788504:42788781:-1 gene:Dexi9A01G0038620 transcript:Dexi9A01G0038620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKVAYIGDQKNGTFAQAITRTSQAVGVSLWLTDPPAVSHLCFHLSGLKVTDLMDEPLVVCLGKNIAIIRVIYNLGVRPIESVRL >Dexi2A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:2A:1777640:1780045:-1 gene:Dexi2A01G0002290 transcript:Dexi2A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADLAADCSNATELSTFERSMTRQLWKHDALLLTEAVLAGIIVAIGAYGQRYRHQPSTRFIFLGATTLFLPIISSLVSTVGTDANYVVPLRTEDGDDLNQSLLVAKCTGTNNSIFLVVWAFLVQLILINTSTLVSVDDREGKSKGPPLELLVQGLWTLYLGTTILTKEFFVSGNFLIEVIGLVEVFVPFALLISKLALKYYAFKKARRSFALGRNPALISAYMQQLQAQEANQNGEPPVSEDAPPPPLLVMREEEIQMEMQPGGYAFKDDSAGTTLINNVDLLVTVDKVWDLDRVLQISTLQLKDLCLSFALFKLLRCRFARYKLTNASSMGTLTFFRSLLLKMGDHDRLLPDNVAWSKSLYEAVKKDAKHALAGHSAARLSTIEYQQLIETLSQNSKHELLKNGVKLGKQLVETIEEEEMAWKLLADFWSEMILYVAPSDNLEGHKEAIARGGELITLLWAMLFHAGIVSRPGEEDGVAAATTSAGAV >Dexi5B01G0034440.1:cds pep primary_assembly:Fonio_CM05836:5B:34675370:34679447:-1 gene:Dexi5B01G0034440 transcript:Dexi5B01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHCKQLLDPEDVDKVPAADRERGITPEEFRLVKIHMSFHIWRLAQQVKVSQRVVATAVTYFRRVYTRNQGVILENQELWSNIDSVTRKGMLEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGAGPDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQCAWGLVNDTYKMDLILIYPPYMIALACIYIASGLKDKDTTSWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKISPVLNKLLAKP >Dexi6A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:6A:28278587:28279666:1 gene:Dexi6A01G0020880 transcript:Dexi6A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNKTSVDEELLTLKAIISSPSATVGTMCQSFTKLGSIYRCIDELTTLPSGQLQQRKVVEEELDRSLVLLDLCNAMQESFLELKAIVQEIQLVLKRGDNVAVQAKFQSYTRSGRKVLKQFKKISSKAASDEGCKVIKLLAEAREIAVSMLESTMHLLSKQIVMPNGSKWSLVSKAFHKKKIVCEEEQLQVLESDILT >Dexi1A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:1A:27834137:27841883:-1 gene:Dexi1A01G0021000 transcript:Dexi1A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTALAVLLDYRPLFLKENSRARPGLCLVGDQPRSLSLADEDKHDNEARGSRNIRLPGCQAAISTRVTVLNPTESAFLHLPLLSRHQLWSEEEREPPFSYATRHLARRRPSGSLASSVYAAADDQSGVATCAPVAGKRRAARVHVTLAGRSSPMRTPSQSVLEAPEKGSGTAGGPELLIRRRAGIWCWIGKRTGPTPARSRCAHQQGSAGKRMKLLHSSYRLTGVKDTWNPLGIQGYSSLRAYELFYYTASEPGACQAGEMSLALWNHERYTRHSSRQVASLGGVLGSGEDRRWHIEGQARPGARRHTTSPIFVNNGPKPRAIKVQQRAGYVAFEQPKSLPTTARRKPPRPPPTSILPCSPVATSPATRQAAIREQNQGTPTSGDKASIWALHHQFLSRDESTRSGYVAGFKVITTRFNAYSHDTRAEPGTRQKEGQHHPTRRGAIGTVCADGTAVIHHETTHALHHPRPAPCQAATCAPVHVGAHNHFDAYGASATATVRTKHSLTSPGPGWPSRPRSRHPIRREALHRLSSRAAALSRRTPRVAPERVGILRALSRGDANPSDSAMSRTTKPWTRPTRTWARVLHGRIHSRFATYAAADGPVFRRRGLPLRPKRPATQRSSPPPPLHQTGPICRHAADNDPAGARTGRTTCVPDLARYTSDMGPHWSISQPKGESKVRRLTPTTHKSQSGRLPRVHCPIHTRGEVIDQLLLRIHVDAPRFSLPSSDVAAPHYLNPSFTREQRHKPVRRRLPCVVAIQNVCLQGRNGHCINDLIRFHPLSAPVPSPSSAARVDILPFPSTATTTRAPCAPSSSCPSLSLSSRNRRPQATTWWKLTMARSTRSSVTERAYLQFAPSSSPATAPTGAGEEFDESEIWGAFAAPSAFPADPPPSRALPLPLGAWKGAAATKPPVRGGGRAAAHGSLPVNIPDWSKILGDEYRAHHGSAGDWEVDDGDDEDGGVADAVVVPPHELAWRRRRAASLSVHEGAGVVGRTLKVRDAVWKRTTGARFGVVIIAAKTDFTRAGKINVVARCTGLSPFRRFVFKKKPIIGDLSLVARCSNCEVRGNRAHGVNHANRQLFHDETIGRMEVKTQEDAVIIQAWPPGWPVPPVSNVYCILTTTGTLTGTRKKKTESVPPDGLHDRWAGRFPRNRNDPGRLNVLSGPPAKLNTMLFCSPKSNADGDSVGTRGFRTGRNRPTNPDKNSSTSRRNRQTHTRDRFLLVAGDAPPPREGALVAAAGSRGRAAGGELKMIEVVLNDRLGKKVRVKCNEDDTIGDLKRLVAAQTGTRAEKIRIQKWYTIYKDHITLADYEIHDGMGLELYYNYSGTSGSSSDQSSSALRRATPVAET >Dexi3A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:3A:6125140:6127468:1 gene:Dexi3A01G0008800 transcript:Dexi3A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNEHGSLTSSTRSPHAGACLYGRSDLLSSSGAAAAGRYAVTKFIYATAALPIPASDVLLLPLPDLAGDVAAWSRESNWIGYVAVATDEGAAELGRRDILVAWRGTIRPLEWANDFTFTPVSAAPVLGSAAEKNPFAVVHQGFLSVYTSSNPDSKYNKASARDQAS >Dexi7B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:7B:20169552:20173570:1 gene:Dexi7B01G0013720 transcript:Dexi7B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLLLLFLLPSHVQAAEYGEELLRRAWAEREWMVGVRRRIHAHPELAFREHRTSALVREELERLGIGTRAVAGTGVVADVGSGAPPFVALRADMDALPLQELVEWEHKSKVNGVMHACGHDVHTAMLLGAAKLLSQRKDQLKGTVRLLFQPAEEGGAGASYMIKEGALDGVEAIFAMHVDYRIPTGVIAAHPGPTQAAVCFFEAKIEGKTGMAETPHLNVDPIVAASFAILSLQQLISREDDPLHSQVLSVTYIKAGKALDATSAIVEFGGTLRSLTTEGLYRLQKRVEEVVEGQAAVHRCKGAADMKGKDYPMYPAVVNDWKLHRHVEHVGTRLLGPDKVKPGEKIMAGEDFAFYQQLAPGVMFGIGIRNEKAGSIHSAHNPHFFVDEDVIPIGAALHTAIADIFQIISRNRYSPILQVVEGQAAVHRCKGAVDMKNDDYPMYPAVVNDLNLHRHVEDVGRRLLGPDKVRPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEKAGSVHSVHNPHFFVDEDAIPIGAALHTVLQNSISPRARF >Dexi1A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:1A:27225642:27227488:1 gene:Dexi1A01G0020410 transcript:Dexi1A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAHQHQFILHAALDVVQDLAWTTNAMFLRSVDRFSDLVVSVYVTAGHILPIFLNPLYLPGSRITSSHFDTKVRALARKYL >Dexi6B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:6B:1970571:1971325:1 gene:Dexi6B01G0002240 transcript:Dexi6B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQINHRNVVKLYGCCLEVEVPMLVYEFIPNGTLYQLIHGQHLLGPHAHEAAEALAYLHSWASPPIIHGDVKSANILIDEDYTVKVSDFGASTLAPTDEAQFVTFVQGTYGYLDPEYMQTSKLTGKSDVYSFGVVILELLTCRKAMNLEAIDVEINLSAHFLLAMSESRLGEILDEQIKGEESMELIEQVAELAKQCLEMASDKRPPMREVADELERFWNLSQHHPWGQETSEEELRALL >Dexi2B01G0032660.1:cds pep primary_assembly:Fonio_CM05836:2B:40525751:40528016:1 gene:Dexi2B01G0032660 transcript:Dexi2B01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKREIGETHDTLRFGINAGVKADLAPPHPLQATIQSVGTPPLSLFYLPLLFPSLPLKPNAESRCTGARAPAQEAKFWADKKKFGTEAIYGSAFNIRKDLDAQILSRFQRPPGSLPSSMLGYEALTGSLDDFGFEDYLNMPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >Dexi8B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:8B:23550047:23558981:-1 gene:Dexi8B01G0013390 transcript:Dexi8B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLGHAKIMIIKINFLLKVPKVGPDTKGIVVARLQGIGISSRKSNQSWHNTFNVVFTDRRFKGSTLSVQGLIGPATLGDEGDLAVVGGTGEFVYAQGIFSYKRIQLVSGGTITELRIRVVCLIFPKPVQVQKIGPWGGNGGNAYETQDGELPQRLESLSIYAENFIQSIAFTYIDQTGQKLTVGPWGGDDGKSEYPVSGIYSTYFYSSETIIHVPNDTTTRTLVRFNGSTLSVQGPLGPPNLGDKGDWAVVGGTGEFVYAQGICSYKRIQGTSGGGLINELLIRVVCITCQNRSNMPYIQVQVQNIGPWGGNGGNAYEIQDGELPQHLESLSIYAENFIQSIAFSYIDQTGQKRTIGPWGGDDGKSEYP >Dexi5A01G0028540.1:cds pep primary_assembly:Fonio_CM05836:5A:31770069:31776602:1 gene:Dexi5A01G0028540 transcript:Dexi5A01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQSRLRAKKVEDLVAQDPAGNLVAVAPTVAGRRGRGRGGRGGGRGTARGRGGRGRGVPVIDLDPDQPCEVLPGAAVGGRAAGGAQPIEKFAHKALKMDGGSAEKIAGGDDEGTGSPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGVPGVHYKGRQGDYYVLVMDILGPSLWDVWNSFGQTMTANMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEGGASGQHVEYDQKPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVSKKKMSTSPDLLCCFCPPPFKLFLETVTNMRFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLYISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSFVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSDQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >Dexi1B01G0028460.1:cds pep primary_assembly:Fonio_CM05836:1B:32992821:32994113:1 gene:Dexi1B01G0028460 transcript:Dexi1B01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSSSRPHRGGLAAGIAVLLVLLLAAGNAAAYPGGDMRYQFVSQQNAARASMGLPPLVWDERVASYARWYAQSRRGDCALAQQLRIELWRGWDWDPEETTNE >Dexi4B01G0024010.1:cds pep primary_assembly:Fonio_CM05836:4B:25211750:25225506:1 gene:Dexi4B01G0024010 transcript:Dexi4B01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSPLAASSVPEAPVFHPTEEEFTDPLAYVARIRPLAEAYGICRIVPPSSWSPPHALDFASLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLRASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYEGACQGKRWGDVVRLVDDRAPVHVSECTKHVLAQLYYEHLYDYEKFTNRSVSRDGEKGKQPCVESDEQPSVSGSQYEERNDSDTVGIVEDVSGVRSQKGRIAFRKKAGGGTSRGRYGSDGDITGNSAAFSGARKRKMRKFDGAVTVVNEVSAVVWKRKRGKTDAGATVFNEAVDQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPPGNWYCSDCLNSDRDCFGFIHRRKSCLLETFQRFDERVRKRWFGQRNPSRVQVEKQFWEIVEGNAGELEVMYGSDLDTSIYGSGFPRLSDPVPSSVDQETWQKYCSSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRQALPDLFDAQPDLLFHLVTMLNPSILQANGVPVYSVMQNGVDTESLPHLKAELESLFINERRRREELWINGIVKSSPMLPRSNPNFIGSEEHWKHLCECNPEKHCLLYRHTLAELSDLVCEVSLASLPGDDVKRNPQLLNDVCLPSKKLQLLWPAAEGLAVQLQQKQKREGPVFCFEYLHLVLQITFYLFSQVNDQYISYAQLAEDWVSKSEHILQIPFLDRSYIGALEVAEQFLWGDHRMDSVRNMTRRLKEAKSWALGVRKCLSKIEDFLKDSCSEKVNYMEIEELVAMKSTPCCEPSLKRLQVYAEKGKTLIDEVGIALSSRLTVDKLETLYSRIQEFPVKLTQSSTLFREISSAKSWLKNANDCLEQNKLGTIDMDVLNKLRLEIVELRVLLPEIDLISNLWKDAESWQMRCRLYLQDLPGLKELEGFLLAADGASFSIPELNRLKQRYSDGCSWVNRAKNILGKLYARSDYHYVVEELTGILKDAEFIGVKGMLYFYFIDIVVSETLIIVSHLRELLFYAVDELPIVEKELKRSLCRKQAAEALATVMSMAVVDEVLKEASILTIEEEQPFVDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRCSEDIRIILPSKLRMKAEIDIAKLWIDKCQSYLRPRCNKLASGGFLKVEDIKDLISQASNLKVILDTSALSSVLNAVEKWEGNSLSLLSSLRTLIHLNHIGSTADPLKRNLEELQDKMNTEIRSGLSLGFALRVLDELKDSFLVLRWILDALSLSSMVPLLQLILISQDVDRLTEAAVHLPGSSSDCSLVTLLMRGLSCLRKALALLPDAEKSPKSKLNDVENILAEFKEIDVPYPTIIAKLEDAVSKHISWTEQCNTFFMLPDGQSWARLLTLRDNGLSVAFDCPEMDKVIVEVKKVEEWINQCHCTLFLDGSNSSSLLSILVKIRQSLDDVCTLYAEDCIKKGFCVICSCDIGESLTSRCVTCQDLYHDSCMEHLSASTQMTDGWICPFCSLLQSEVLLENQIHVKGSRLEISGQDFFRLEISKIKETSLQWLAKAEKVTCDSGELALDLVYGLIVEGENLSVHVEEELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCINLHGPPPETFFCPACHPNNGEESVSLPRSNHDEDSSVGLIPPA >Dexi3B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:3B:3086807:3091476:-1 gene:Dexi3B01G0004530 transcript:Dexi3B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRGARRRGGLGFVGLGLVLTLASLLALAAASESDHKYKSSHPFIASLMQYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPIHKWGGLGEVLGGNELIDSQLDIKFLKNEEKGSICTLELDAKKVQQFSDAIENSYWFEFFIGFVGETDKNSENKHYLYTHKNILVKYNENRIIHVNLTQESPKLLEAGKKLDMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGKLSPATGDISFTMHGTGCSVFLTTLAFSFGDPGAIGYVGSTLFVRRIYRNIKCD >Dexi8A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:8A:30007138:30007583:1 gene:Dexi8A01G0017870 transcript:Dexi8A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNTAAVCIALVIMSCALSSTGYDVKLVEAESVCFPVAGCSSDEQKQLCKRFCVAYGRSHDPPAALN >Dexi2A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:2A:6132118:6133748:1 gene:Dexi2A01G0006460 transcript:Dexi2A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPMDLVHDILLRLPASDTCRLRAVCRPWRALLSDPLFAAAHAFRHPYYPLIAIGYEASIGNGRVLCDIVDLSGRVVKRVLAAGGSAPAALERVMCAHHDHICVARGSSMSCHVLNVTTGSIHVLPEGLATEHAKHKQTSAHCWATTAIGMVPSTGEYKVLRVIHTSCSDDLPTGKLFEAITIDGSSSQAHWRGKGAPPYPVELGNWHSVVIKHTVYFLLSDYGSFHVGKRGGLVASFDLESEKWGESIPGPLSSFIARRNLTCFDLKIAALGECLVLGCRKPSVPGVSTSMDLCCFL >Dexi2B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:2B:30152311:30152874:-1 gene:Dexi2B01G0019990 transcript:Dexi2B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAARSSRVFSVADYGAAGDGSRYDTAAIQAAVDACAAAGGGRVLLPAPGNYLTATVHLRSRVVLDVAPGARLLGGTRQEDYPPESSRWYVVLAENTTGAGVTGGGEINGQGGAFVVTPSEVKNIMVSWNATGDCLGDECRPRLVGFIDSKDIRIHNITLNQPAYWW >Dexi9B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:9B:240013:240807:-1 gene:Dexi9B01G0000380 transcript:Dexi9B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVLFLVLLAASSAPAAANRTTLVFLLAGQSNMGGRGGATSGAWDGVVPAECRPSPRILRLSPELRWEEAREPLHRGIDLHNVLGVGPGMPLAHAVLRSRRLPPHAAVGLVPCAQGATPIADWARGTPLYERMITRATAAMAMPGSGHGDKKLAALLWYQGEADTISRQDAQRYTERMEALVRDVRRDLAMPGLLVIQVGLATGQGKFIDLVRDAQKRVSLPNLSYVDAKGLPVASDYTHLTTQAQVKLGNMLANAYLATL >Dexi5A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:5A:2943770:2945740:1 gene:Dexi5A01G0003920 transcript:Dexi5A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAENGLASGSVRDLPPSKRFKYVGSHLASNPGVLLPVAEAAAVSVCLPVKKRAVVATRSEAQAASVPVCLPAKKRAIPAAAVPPEGAAPVCLPAKKRAYAPPADAVLPACLPAQKRVGAPPPPPSPDVVASSRVLAKKRVHAPAPRDAAGGSVPVCPPENRRACTPAPADTAASTCVVAKKRIPSPGPPRDAARSVPVCLPANKRVMPPPSMESNGARFGTAKQARPQGSNKQGGSAIINPRVANGTEGCAGGKEFKKPDKLMNLKGIKEQVSMKPSKPRSPCKAKVLDKCRKIVNGKQSEVAVEARQKSDKAGDVKGASLKEDSRNIVAEEREQEAVQEFKKHEKPTNPEGTSASKSRYASKAEDPEKKASNIVNGKQTEVGVEVCKKSDKVADAKGAAPTEELRNWADEMAQEPVEDDDGVLCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLAQAIPDGDWFCSLCSAKKSKLAARPSCCLCPARGGAMKRTTEGQWAHISCALLVPEVFFQDPDGRDGVDCSLVPAHRFAKDCYICESNNGCALECSQPKCSLGFHVSCGLDAGLCIEYKEGKGGAIVAGFCREHTELWEKQQLTGKYKIVARGEE >Dexi5B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:5B:10288186:10289563:-1 gene:Dexi5B01G0013970 transcript:Dexi5B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMAHETRDLDAGQPHLTASAAGIATTIPTELSFQLFHSLDAAAVTPQPTIDYFFSGGGAADPHHHPSAVQYDPLASGHHHTMNMLRDYCNGGAHYTTAAAEPYLRGARTGGGALVFGAATDDESAAAYMPAGPFETSPPPRATAGGRKRSRAALLGGGFHGGPPNHGVEKKEKQRRQRLTEKYTALMLLIPNRTKDDRATVISDAIEYIQELGRTVEELTLLVEKKRRRMELQGDVVDAVVQAAGEAAELSSEGEVAAPPAPVVVAVQRQQPIRSTYIQRRSKDTSVDVRIVEDDVNIKLTKRRRDGCLAAACRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHPSSPVFASAVANRLMEVVDEY >DexiUA01G0026490.1:cds pep primary_assembly:Fonio_CM05836:UA:56514518:56519568:1 gene:DexiUA01G0026490 transcript:DexiUA01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILARRRAADAVLRRPQAGAVVSAWRAYAATAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLVDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKVVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTSGIGLETIGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEED >Dexi7A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:7A:3821909:3827771:1 gene:Dexi7A01G0001510 transcript:Dexi7A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAKPLRLQWRGHGGLTVLLLRLLAAFVLLAGVADAQRSPVPPAPPGLAAVESQLNNLTNTVAGTISDKFSFCVADPEEDWNEAFNYTSDLSFVNQCLKDTQGDLPQRLCTPEEVKFYFSSLYDRDGDKNINLKTNINCNISSWDRGCDAGWACATDPVPDPRNHDSNNIPLRTKNCQACCEGFFCPRGLTCMLPCPLGSYCPRATANDTTGLCDPYKYQITPNTTESCGGADMWADIQSTEEIFCPAGYYCPTTTKKDDCSSGYGSNLIYAALLQVGFHRSRDIRERRKARSRENAIQLARQQVKAHEGWKAAKQFAKRHVNGMQSHLSRTFSRRRSFRQQVDPDNSSHRVQEAPLIGQAKKQEMSDSAVFAAESTTEITEVMPSVIVDVSGEGEVVAAKEKPEPKGKHRSTHTQVFKYAYGEIEKEKFRQQENKNLTFTGVIAMVTDQQKEITRPLLKVEFRDLTLMLGKKKLLRSINGQLRPGRVTAVMGPSGAGKTTFLNAVTGKVNGYKMTGSVLVNGKNVNIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSARMKHRDKVLIVERVIDSLDLQGIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKTVEDYFTTLEIHVPDRVNPPDHYIDILEGIVKPESGIKAKHLPVHWILYNGYEVPSDMQDDLKEIGEQNPQIGSSPSMSGSTPHCLPLRNAFAEERDRLEQHLSKPKDLSSRKTPGIILQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDKTFGMPGYIYTIIAVCKFRYTESQIPVSLQQQLGEKFTDASYIHAALLCKIAALRSFALERMQYFRERESGMSSLAYFLARDTIDHFSTVVKPIIYLSMFYYFNNPRSTIGDNYIVLLALVYCVTGIGYTFAICFSPGSAQLCSALIPVVLTLLSTQKSTPIFLKRLCYPKWALEGFIIVNAKKYPGVWLITRCGLLFSNTFDIHNYKLCILILFVYGLFFRMVAFAAMILLKKR >Dexi1A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:1A:248377:249866:1 gene:Dexi1A01G0000410 transcript:Dexi1A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRRSLCMSRLRGCHEADDRGWNPLHVAARKGNLKEVRRLLDEGMDVNAPTWGPKSPGATALHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKKAVRFLIENGAFLPPEMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSPGESSSSSD >Dexi9A01G0023720.1:cds pep primary_assembly:Fonio_CM05836:9A:19143271:19143513:-1 gene:Dexi9A01G0023720 transcript:Dexi9A01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQRHSHADHGTTLHTYHRSLLINTRTHARMAATMRSIRPRLSSAGAAANAARSYAAAEAEASGVSAITVPSPIPVTIA >Dexi8B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:8B:1779880:1785801:-1 gene:Dexi8B01G0002550 transcript:Dexi8B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGLREAVDASPAAAPATGADEVKTEAAAAGGAAAGGGGPASESYCDARVEAGEVGSAGEERPATPPEANEVGAVGEGRSDVTAADEVGIPVQGRDVGAPVVSEGKMEVDEGGAARSKDNSAISTVSEASVRGIPGAARDLDPLMSQAKMEVDQGCGSPAIAVEGDVKMEEEGNVKIEEEGDGGVVNQGPAAPPAGKEEEVGGCLVGCYVGRSAPGHARILIGKVASYDSTTGIYSVVFEDGHGEDLGLPQLQEFLMSDENGALGMKVSCRKRKLDLLVSSGTALEVKEPASSRQRVDGCGTSVRADSQQQDSGSGSDMSEDVESSSNSSDFTKEEPPSEPCPPVQAVELPPSSGDIPVPEESISYLFSVYNFLRSFSVQLFLSPFGLDDFVAAITCTVQNNLLDAVHVSLLRALRRHLESKSAEGSQLASNCLKYLDWALLDALTWPTFLLEYLYVMGCIKNLGGRSFGRSIVATEYYKLPVAMKLRVLQILCDHVIESDELKTELEDREGYNEEMESEMDSSSFLEYDSRVSTRASKASAYKKMNDLQNLESTPNVTNPEDGVANASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPKEKWFCPECVVNKLGPTSSRIERGARGAQMFGTDMCGRLFLGTCDYLLVINTSSDAESYARYYNCCDVVKLFAERSGNMSSGKAAKLSSFKPQAYINLYNHGNIAASAAANLAVITSDEGKLESNIRGVAFSASWLKPIDDWPVESPGLSTGASRPAPYQKRGAGGRRGRRRSLASESNTATDDDNSWTWWTGGNISKRALQRGAILRSTIRKAARQGGKKRIAGFSYYEGSDFPRRSRQFAWRACVGISQTSSQLALQVRYLDANIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDKKIIDNKIRYALKFPNQKHLPARVTKNILETEGDQDENSKLWFSENHVPLYMLREFEQNTGISSLPIPGILKSTNLYPRRVKAFFGDVFSYLFHKGEVYPCTSCKKDVPYRDIVKCSSCQGMVLWHALLIVCNCHKECTSGGSATSNLTCKLCLQKQNLKLTSYNTNASYIQPQQKNTGQQQVTAPRIVFKVGSAEPALNVTKVKAQPFAKVAAQPIMNVKTQPITNVEVETPAKLEALPITNVVAPNITSVQTQSKAKAKKSKSENPKKPKKVQAITYFGLVWKKNKNDKDDGSDFRANDVILKSKDGIGSPIKPTCCLCNKTYSPDFLYVRCERCRNWFHGDALQLEDERI >Dexi4A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:4A:23182061:23184467:-1 gene:Dexi4A01G0019400 transcript:Dexi4A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTLEERHGAGCCGGHAAAATGVVLSSSSSSSPPAKGGGEDQLPRLVRFEELPDYLRDNEFIHTHYRSEWSVRDALRSVFAWHNETLNVWSHLGGFFLFLYLAVGKETGRVAAAAAARAAPGIVTFVLTSANASWETHYSNSSLVASKGLSAVLESHAIHAVPTWPRTVFLAGAMTCLAVSAAAHLLACHSRRFNRLFWQLDYAGIAVMIVASFFPPVFYAFLGDALTQLVYLSLITVLGLVVVALLLAPARSSPRLRSLRAGMFVLMAMSGAAPAMHALWINWGHHECHLALALEGVMGLVYAAGAGFYVTRVPERWMPGKFDCVGHSHQIFHVLVLVGALVHYAATAILINWRESMAAGVASALLL >Dexi2B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:2B:26456750:26462872:-1 gene:Dexi2B01G0016300 transcript:Dexi2B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDAKGKDAKGKGKAASSGGDDAGGGGKGGKGKGAKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKVAQEFSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGTVSAPFKSTHGYHFILCEGRKN >Dexi8B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:8B:9776564:9777761:1 gene:Dexi8B01G0007800 transcript:Dexi8B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSRSSINMTGSLAMAASWLLLLLGVTDGQLQVGFYSKSCPGAESTVADVVRQAGSADPTILPALLRLQFHDCFVRGCDASVLVKGSNAEVGNSKHQGLRGVEIIEGAKTQLEAQCPGVVSCADIVALAARDAVAFTGGPSFDVPTGRRDGKVSNLRDADALPDVHDGIDALRSKFRANGLDEKDLVLLTAAHTVGTTACFFLQDRLYNFPLAGGGRGSDPTIPPGFLSELKSRCAPGDFNTRLPLDRGSGGVFDTSILRNIRNGFAVIGSDAALYNDTATVDVVDSYSGLLSNFFGPYFRQDFADAMVRMGSIGVVTGGSGEVRKVCSKFN >Dexi5A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:5A:14307145:14307900:-1 gene:Dexi5A01G0016120 transcript:Dexi5A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGCCSCSSTTARRRSWRGRPSPRSTRHTPPSSRSPRPRAPSAPVDPADPYSPLLRVEASLTHCHVTVPIPTTTGRGRAPPPEQQQVKNHHPGLTRASVRVSPGQLHLARLAGGEGRRRSVTWSYVEARPDASTEALLGVVRGVRSCMEEAIARETTLLEMVRASGFGKSPKAAGIVAARAALEEMRAVLDVDAILQQRRRCQKRGRSCRAAVEMEEAEMLIDGMRALRVDEVDDDAEVLTKRMRTLRV >Dexi9A01G0034640.1:cds pep primary_assembly:Fonio_CM05836:9A:39393773:39394922:1 gene:Dexi9A01G0034640 transcript:Dexi9A01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRLAVAVTCALVLMPSACHGLEVGYYKNTCPRVEQIVRAEVKKFVYKNAGIGAGLIRLLFHDCFVQGCDGSLLLDPTPANPKPEKLSPPNFPSLRGFEAIDAAKDAVEAACPGKVSCADIIAFAARDAAYFLSTLHIKIDMPAGRLDGRVSDATEALDDLPPPTSNATELAGIFAAKGLGVEDMVVLSGAHTVGRAHCSSFVSDRLAAAADIDGGFASSLRKRCLADRIAGDDPTVNQDAVTPNAFDNQYYKDVLARRVLFTSDAALLASPETAKMVRDSAYIRGWWEDKFKKAFVKMSRVGVKSGKQGEIRKNCRVVNHGYPHGHY >Dexi1A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:1A:9324864:9326633:1 gene:Dexi1A01G0010790 transcript:Dexi1A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDVLKVQTLVLRVNIHCDGCEKKVKKTLHKIEGVYQSHIDAEQGKVTVSGLLDPDTIIRKLNKAGKPAQLWGAKPNAPQNGHHGGGGGGKGQAKDAGGKGHSKDAGGGKGQKGGGGGGGGGGSHNKGGGGGGGGGGGGGGGGGGKEMKMMMPQLTPQQLQQLQMKGIKLPPELLAGKIPAAAPLKDPKSVKFTLPEDFDDGGSEFDDDELDDYYDDEDYDDDGLDDDLYDDPKMMMKPMAMPLAAGAGDKKGGHGGGKKGGGGNEIPVQIKGHGQANHGGGKNEGGGQPHNAKGGAAPGGGNQPGQGKKGGGVGGQMGGLLPQQAMMRPNMMGGGAGGFPGTGQMGGGGMSLPMGHHPHMGIMQHGGGGGGGGAVHGMPAPGFYQGGGGGGGGMPAGAAEMLQAAAAAGNPMAQQQYMLMQQQQQQMMNGHGHHHHGHGGGGYYGYGRPPMQYPMAYPMPPHPHPEQYNIFSDENPNSCSVM >Dexi2B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:2B:10882492:10894029:1 gene:Dexi2B01G0010060 transcript:Dexi2B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLMVIISQVMKTFREKGIPCDVIWMDIDYMEGFRCFTFDRNRFPHPKSMTDDLHSVGCKAVWMLDPGIKNEEGYFVYESGSEKDGKYGLVIVLFLILPQKGHVAGGLVWHACRNLTMWFSIQGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSETGSFDHEPWSFGEEINKWSNKNTSPNKGAHECSHKFPKGIWLPFDFGDSHPDLPVLYLQGGAILPVGLPVKHVGEATLEDDLSLIIALDENGKAEGVLFEYAGDGYEFTHGEYLLTYYSAKLDSMAVTVKVFKSEGSWKRPKRNLKINVLLGGGAMISALGVDGEDVHLAMPPESKVCNLVASSELARKKLFEIIRPIPDIDEPESQQEGAEFSKTLVDLKSGDWLLKVVPWIGGRIISMKHRPTDSQWLQNSIEINGYEEFSGTEYGSAGCTEEYNVLRRYLDQSGEDESICMEGDIGGDLILQRQISILKDKPNIVQIDSSIKASVAAGPERLSRLVCLRVHPTFTLLHPTEVVVAFTTVNGSKEISPASGEIILEGDNRPNGKWMLVDKCAGLSLVNRFDPNEVSKCLVHWGTGYMNMELWSEERPISKDTPLRICHQYEVRQTR >Dexi1B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:1B:5338285:5340131:1 gene:Dexi1B01G0006500 transcript:Dexi1B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTHYLYLFLALLLHLFLLKQLKRRASNNGLRLPPGPWRLPVIGSLHHLLHRPLPHCAMADIVRRLDAPLIYLKLGEVPVVVASSPDAARELMKTHDVNFSTRPWSPTTKVFIVDGEGLVFARYGTLWRQLRKISILELLSARRVASFRHVREEEVGRLVAGVAAAGDGEAAINVSERIAVLITDTSVRSMIGDRFGRREEFFENLAEGIKITAGFNLCNLFPSSRLARIAGRGTLRRAEENHHRNFELMDYAIKQHEQRRAAMAVAADGDGGVEEDDLVDVLLRIQKDGGLEVPLTMGMIKAVILVRDISKYAPMGHVAAHKKPKVMQKAQDEVREKLQGKPTVTEDDLADLRYTKLIIKETLRLHPVVPLLLPRECRESCKIMGYDVPKGTTVFVNVWAINRDPKYWDDAMAFRPERFEAGTVDFKGTDFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGEMVPSELDMSEEIGITVRRKHDLYLRPVVRVPPHVIP >Dexi2A01G0034320.1:cds pep primary_assembly:Fonio_CM05836:2A:44321199:44323025:-1 gene:Dexi2A01G0034320 transcript:Dexi2A01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVTPVAPGAEATAAASPAGDRDEQASTSRTPGMPEAPRDGGEGPGRGAGGDEHARLVVAMPPPPPPAMAALPAYVPAPLPVHARPWPGSRASIPWVTLVVGLLLMVLLGYAFIKWGLPFLSEKVIMPIIQWEAKSFRRPMLAVVIIASLALFPVVFLPSGPAMWLTGIFFGYGFGFLIIMAGITIGMSIPYWIGLLFRDRLNLWLEKRWPRQVALIKLAGEGSWFQQFRVVALLRISPFPYALLNYAVTVTEMKFNPYLCGSLVGMVPDVFINIYSGRLIRTLAELDYHKHRMTAVEIVYNVISVIVAVVFAIGFTIYARALDNMERSESICVESVGVPPASTEFRNNLQVQGCSTARSVPIDVV >Dexi6B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:6B:23318683:23322831:-1 gene:Dexi6B01G0016030 transcript:Dexi6B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSEAPAAGDGPALRKRRRGSREDAAGGGAFPSGGKKLLGGGGEMTEDELARVSGRMYGNGASAVACLHTQQGRKGTNQDAMVVWESFNSSDTIFCGVFDGHGPYGHFVAKKVRDSLPVKLRTQWQTSANGASSPHQNGSISGSINSEETGSVVDDEWGESINGDESDKLPEMFIPLKQSYFKAFKLMDKELKLHPTVDCFCSGSTAVTLVKQGLDLVIGNLGDSRAIMGTRDASNNLTAVQLTVDLKPNLPREAARIQQCKGRVFALQDEPDVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKAPESVQESESKEDTVETTGEVSTTDASAEVDEDIADVSVHISSEEHIATATLQRSNTLREVDEIVPVDEPPILKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILPGDKRSTSWRKRW >Dexi2A01G0027480.1:cds pep primary_assembly:Fonio_CM05836:2A:38884407:38885692:-1 gene:Dexi2A01G0027480 transcript:Dexi2A01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATVGEIRRAQRADGPAAVLGIGTANPPTCIAQDDYPDYYFRVTNGEHLTDLKAKLTRICKKSGIRQRYMHLNEELLAAHPDFTDRKSPSLDARVDIASAAVPELAASAAAKAIADWGRPASEITHLIFSTYSGARAPSGDRRLASLLGLSPTVSRTMLNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPEGGCPDNILGQALFGDGSGAVIIGADPVTNVERPLFEMAFASQTTIPGTEDDISMEINKGGMEYHISNKVPRLLGGTVERCLIDAFDALGVRTKWNELFWAIHPGGRAILDHIEEVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRAVKKEGEALPEWGVMMAFGPGITIETMVLHAPDSLDGN >Dexi5A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:5A:4461668:4464725:-1 gene:Dexi5A01G0006000 transcript:Dexi5A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSIRRLIHSTLSQPNGQAARRDLATNAALHWLDDELASLALPKLDSYACARLLQRCIARGDARVGRAVHARVVRRGGLARLDTFCANVLLNLYAKLGPLAYAGRVFDEMPERNMVSFVTLVQGHALRGEFEDAAELFLRLRREGHEVNQFVLTTVLKLLVAMDAPGLACGIHACACKLGHDRNAFVGSALIDAYSLSGAVVDAGHVFDGIIWKDAVTWTAMVSCYSQNESPEDAVNVFSKMRMESFMLNPFALTSVLKAAVCLSSVVLGKCIHGCSVKALYDTEPHVGGALLDMYAKCGDIEDARAIFEMIPHDDVVLWSFMISRYAQSFQNEHAFEMFFRMVRSSVVPNEFSLSCVLQACANIALLDLGCKQHGVKNIEGMVFTADLLMQSRGQRLSEIAIWTARDFSSLQVGGIFI >Dexi5A01G0022720.1:cds pep primary_assembly:Fonio_CM05836:5A:26863453:26865027:1 gene:Dexi5A01G0022720 transcript:Dexi5A01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKPKRRRGGASARSRKKQKRLDAIRDVAPPPPPPAPLGGGGGGDGEDSDAEGIRRSTRVRRAPAVLDTSPLPSPRGKRPRRGGGVGASGSSRRGSRGRARDEADTRGMEEEEEEDDDEEGSVVWRSRLRDRVKRKAKREGRARSLWFEDEDSGEEKENAEEEDEDDDDDRMVVVDVRERAEDDELSEESGDLQSQGRELTDREINLTIDLNVDTREGVEGVNVVEKEEGEKGEKVGEEAASAEHEEEDEGQTVGARNDLEEGKGEEMVVEEGLQQQEKPEELELPVLGGEGSGKFPRDASNEEVRASNSDRTEQLDVQNEQISEESNHSAEQQLELDLSGPAEQDERVQQDEQMGQVPDVVLAEHGPKERMRKSPISDEKRGVKVVKEGRRCGLCGGGTDGRPPKIALHDSVDSENEAYEGALPSEEPNYDMWDGFGDDPGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEITTD >Dexi1A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:1A:118734:119150:1 gene:Dexi1A01G0000200 transcript:Dexi1A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHRASAAAARKHLRVLLPFSRDRLRIPDELAEDIGVAEALVVGRVKVWPVEVEREGGGGVFLGRGWPEFADASDAARGGPAPPPPRPWRAHLQVLRRQLLP >Dexi8B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:8B:18574562:18575509:-1 gene:Dexi8B01G0010130 transcript:Dexi8B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFQHIDAAIEASSGDGASRDEFRKARGRIVELLCDAAATGDGGGDGEAAAEGLGLLLDEAMVGSLATLRAVPAGRIPDLLAAAPGGLVGVVGALMKEHASEQVRGLARDVVREWKLSIGAELARARTAMEVLNGISEDTRTKHEEAKIIPEEEKKHQPRGPKKTAVVSSSRRICTAESYAPLCKKRAPAVVSTSNTKPPSASMKTPAAVPAQPSKKPTSAAVVSVTAEQERMEATKRKLQERYQEAEDVKRRRTVIKPPRPETSAGQRQSSAHPAMRARGPAAASSTAERRFMKPLSRPIRV >Dexi8A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:8A:338477:340751:-1 gene:Dexi8A01G0000480 transcript:Dexi8A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAHQRDDAPAGGHVDNGGVMHQQQQQQAHQRDDAPAGGHVDRRDHQEESRCHKFCQHPNPMRVRYVYATLFLVANVFAWVTRESRVTFFQGQRLNGCHGDRECLAADAVLIISFASFWWLPKTFLLGASIIISTFTPTHWIQLYVGITLMAIWYTASRLNATFIGTTVLLAYLMPLISLKHELRSIVVSEDDVPYGYGFFHFIFATGSMYFGMLFVGWDTHRPLENGDTGCSGICDRMAPAAACEHLWNR >Dexi2A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:2A:30904241:30904702:1 gene:Dexi2A01G0018720 transcript:Dexi2A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVASRGCARLVLPGGMYASSAASVASSSASRGGAAAAVDGPFSGLVICVTGLSKEARTQVKEATERLGGEYSGSLHPKCTHLVSIFL >Dexi3A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:3A:13847877:13851710:1 gene:Dexi3A01G0018170 transcript:Dexi3A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGGSQVIGAFSLIGIVFVPIGLASLSASQDIVELVDRYDAECVSANDKVGFIQDTKTDKACTRKITVSMPWEMYVKSRNDKQLRYKESSSMITNCEPEATGQDGAPIVPCGLIAWSLFNDTYSFSLNKKAVEVNKKNIAWDSDKSKKFGSDVYPHNFQNGGLIGGAKLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDEISVVIQNNYNTYSFGGTKALVLSTTSWIGGRNNFIGVAYVAIGGICLFLAMGFVVLYVLKPRTLGDPSYLSWNNENPDQAN >Dexi4B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:4B:6305434:6306445:-1 gene:Dexi4B01G0008760 transcript:Dexi4B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLQDRLQDEDVRVGVDRFPERHQPIGATAADDLGRDYTEPPPAPLFEAAELTSWSFYRAGIAEFVATFLFLYVTVLTVMGVTNSPSKCGTVGIQGIAWAFGGMIFALVYATAGVSGGHINPAVTFGLLLARKLSLTRAVYYVVMQCLGAICGAGVVKAFGATLYESAGGGANAVSPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPVLAPLPIGLAVFLVHLATIPITGTGINPARSLGAAIIYDRPHGWHGHWIFWVGPFTGAALAAVYHQVVIRAIPFKSSAHY >DexiUA01G0026640.1:cds pep primary_assembly:Fonio_CM05836:UA:56949083:56952601:1 gene:DexiUA01G0026640 transcript:DexiUA01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPPLAAVVTRQPHQLPGSELKASTLPAYRALVRDLASAGRLDDVDAALASARSHLALDSLQQLYVASIQAYARAGRLRAAVDTFERMDLFGCPPAAPAYSAIMDALVNAAYHDQAHKVYLRMLAAGVPPDTRTHTVRLKSFCLTGRPHVALRLLRALPELGCDAKPIAYCMVVRGLYANGHGHDARHLFDEMLGKDVFPDVATFNNVLHPLCQKGDTLESGALLSKVLKRGMLVNNFTYNIWIRGLCQGGRLGEAVALVESMDSYISPDVVTYNTLMRGLCKDSKVWEAAQYLRRMINRGCMPDDFTYNTIIDGYCKMGMMQAATELLKDSVFKGFVPDRVTYCSLINGLCAEGDVERALELFNEAQTKDLKPDLVVYNSLVKGLCRQGLILHALQIMNGMAEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAIVKGYLPDVFTFNTLIDGYCKKLKLDSALQIVERMWTYGIAPDAVTYNSVLNGLCKAGKAKEVNETFKEMILKGCQPNAITYNILIENFCKINQLEAASRVIARMSQEGLVPDAVSFNTLIHGFCRNGDLDGAYLLFQKLDEKGYSATAETFNILIGAYSSKLDMEMAEKIFNEMISKSYKPDLYTYRVLIDGSCKAANVDHAYVYLTEMDQKPKGPVSNKGGDDDEEAYGEVDRIVSSRTVKSPVFAEDGSASTSVATEYLVEWKDGHVPSWVPAEAIAADVVAEYETPWWTAAKKADAEALSALLADETLRRDPDAEDAQGRTAMHFAAGLGSEECLRALAAAGADVGHQERAGGGLTPLHIAVGYGRAVAVRALLELGADPEAPDGQGRTPLELVQQVLEKTPKGNPAAFQLRQGLEAAKVELEKAVYEWAEVEKVIDGRGEGKWREYLVEWRDGGEREWVKAPWVAEDLVNDFEAGLEYAVAEAVVDKRLAAAAEEDGEEKWEYLVKWVDIEEATWEPAENVDDELLQEFEQRQSGSAGGDGSDTAP >Dexi5A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:5A:7978604:7981025:1 gene:Dexi5A01G0010600 transcript:Dexi5A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETANDTQVAQDNEISHNDEAVQGDDLAQGNGLVQGEELDQGNELVQGEELAQGDELAQGDDLVEGHELVVVEQTTPRTGARRGRRKKSSVWEHFTIEEVAGGVTRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKDQEQRLALPSGGTDNDGEGTVERPTKRRYRYTGYANAAFDQDRSCSFLAKMIIQHDYPLHIVQQPAFATFIESLQPRFKIVDIDTMEGEVYDVYQKEKENLMQALNTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKLELTHGTEHEDPVFSSIAKDMHERFDKYWKDCSLVLAIAVAMDPRFKMKLIEFSYSKIYGAEAAKYVKVVDDAVHELYKEYVAQPLPLTPAYAEHGQANNGPPNANNSQGAPASTVYLVIVVL >Dexi9A01G0041710.1:cds pep primary_assembly:Fonio_CM05836:9A:45306009:45308791:1 gene:Dexi9A01G0041710 transcript:Dexi9A01G0041710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFVAFMAVVFVVAAAAVATGEEPLPPAGAPLSFLEGYTQLFGDSNLALHGDGKRVHISLDERTGSGFASQGAYLHGLFSARIKLPADHTAGVVVAFYMSNGNVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTEDFHRYAILWSRDRIIFYVDETPIREVVRKETMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFADLALHGCAVGRRACKELGSIAAAPAMSPAQRSEMEAFRARYVTYGYCYDRLRYPTPLPECSVGPEAAAFLPSGDARATSRRRGRRHRTRGGGADSAV >Dexi3B01G0022980.1:cds pep primary_assembly:Fonio_CM05836:3B:17695655:17698809:-1 gene:Dexi3B01G0022980 transcript:Dexi3B01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALAELGAGSVLNAGGSAPRERASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGASRDAELVRRIGKATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVADGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFLISDWEGIDRLCEPQQSRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDIVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDQSLLDVVGCKEHRLLAREAVRKSLVLLKNGKDQKTPFLPLAKNAKRILVAGTHADDIGYQCGGWTIAWHGDSGKITLGTSILEAIQESLGAQTEVVYEKCPTEATIENGDFSYAVVVVGEVPYAEWTGDRTDLSIPFNGSELITHVASKIPTLVVVISGRPLVIEPQVLEKIEALVAAWLPGSEGMGITDCLFGDHDFLGTLPVTWYRSADQLPLNAGDANYDPLFPVGYGLKIFQSDST >Dexi9B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:9B:3306761:3316112:-1 gene:Dexi9B01G0005590 transcript:Dexi9B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDLGPLTGVCVRRNSSSVIDGSTPAASTTAFPIFASSSCTAHGGGRGVSLYVDKLPAGDARADDSGLAGCEAMMHAGDKCHLSLRDMGALPKAAIEQQLVHHVGTETATIERDFLFILSSHPRAMNRKPSSPVKESFSSPLLLLLPLLQISLPIAGAARTPPARADPARDIGAIRFLGSVYTRLLAQNCYVFTGVRFTVLETPPPSNDYTAPRLLPARLRRTDRTASTDLPASNLHDYFEQGQSRNIMSSDDIPPAGNGATNAPGRGKPEQCTSEQGSAFEVKDNLFRGCILGVIAQHLINPLLKKKSGKEMWDALDAQYGILDDFSLNPSLGAPPRERCQHKSPTLWRLFSKESMASFSASIVTKFEAGVSFVFGSWLCIANQEGELQHQLRDEVAAPASSRAETTPQGSRKISNPDTIPGSYPTRRSTWRPKQIQSRADHVNPTPIKGQDQATCPRLPGGLRITSESRQGSTIRTELWLQPPRDEDFTTWAACILVFLRKHLKKKVLQPRGATSDLVLTTTPSGVIVHWPDMDPEPALFEANVPSTVRDILPLLPFQEGRELPVATSNRRTGPSNPGRQSCVILNDHSDEEVVSDDAPTEEGETDADRELRIERNRNRALRRRFIKKKNLNSEFDKQDIFNSPVANILFGVSVFEGFQTTPEINLAKARLEAAAVMVDRLDGGRSASKSKSSSRHQALSAKHQSSHYGSSAGQTKDKNRPREEQRRPREEPPRSQRREEPRPARSHVTPNDARNDIIRIRGGRATSHVADSAGRYDVPNPDALPCYTRAIRVSSFPRKFKPPGIANFDGKQDPNIWLRRYSSAIEASGGDDISKMLYFPVAMEQGPLTWLESLHPDSIDSWHALKKAFVSNYQGSFERPGSKYELRACKQTPDESLRDYNRRFFAIKASCVPIPDSEVIDYFQEGMTDRTLFRDFGHNRPRDLEEFRALVSNWMDTDDQERERYGKRPNNPGRRNQEDNRDQPRDSFQRNGNNPRKRPHNTVATVQTVRSAKSTQQRREEFNKLLKKRCPYHTDSKHTMGECVLLRETFSTPNKKQKSAGDGDDGHDKGDNGFPDINNTVNVIFGGMAVSDTSRNRKNARREAYAAEPAVVTPLRWSDTTITWSREDQWAEITSPGRYPLVLETVVANSRLTKVLIDGGSGLNLIFAKTLKSMGLDMYAAAGGYSFLRHRAWKGRHPPRANHLAQFIKFEVADFETSYHAILRRPALAKFMAIPHYTYLVLKMPGPHGVLSLRGDIKRSYLCDKEAVEHAVRAASTIDCQELQPLAAAVVAEDDDAPTQKKTRAIKPVEKVATKTVDLQTGDPSKTAESALVDFLRAQADIFATKPSDMPGVPRELIEHKLDLNESAKPKKQRLRRLATERREAIKKELAKLLAAGFIKEVFYPDWLANPVLVRKKNSNEWRMCVDYTDLNKHCKKDPFGLPRIDQVIDITAGCTLLCFLDCYSGYHQISLKEEDQIKTSFITPFGAYCYTTMPFGLKNAGVTYQRAIQGCLQEQLHRNVEAYVDDVVVKTRNPEDLIADLTETFDNLRKWRWKLNPAKCVFGVPSGKLLGFIISERGIEANPEKIATIMNMEPPTTVKDVMKLTGCMAALNRFISKLGERGTEFFKLLKKQDRFQWTQEAQDAFDKLKLFLTTPPVLTAPLPGEDLLLYITATTNVVSAAIVVERDEVGHLQKIQRPVYFVSEVLSDSKSRYPQVQKILYADLMTSRKLRHYFDTYKIIVVTGYPIGEILHNQDATGRIAKWAVELGTYSIEFRSRTAMKSQTEHNLPVATTKPEHWVMYFDGSVKLEGGGTGVLLISPRGDQLKYVLQIQFAVTNNAAEYEALLHGMKMAITLSIKRLLIYGDSMLVIKQVNKDWNRNHEDMDAYCEEVRKLEKHFLGIEFHHVERDYNVAADVLSKLGSSRAEVPSGVFVNELSKPSISAAVPPDAAISAPKVMLIDATWSAPIIDFILHDKLPAEKAEAQQIVRRSKSYVIIGDTLYRRGARSGALMKCVSQQEGVNILEEIHAGECGNHAASRTIVGKAFRAGFYWPTALHDAEEIVRHCKGCQYFAHHSHQPAHKIKLIPPSWPFACWGLDMIGKLPRAPGGFEYCFVAIDKFTKWIEVFPVVKPTSEKAVQFLQELILRFGIPHQIITDLGTTFTGNKFWDYCEDRSIEVSYASVAHPRANGQVERANGMLLDGLKARMERTLKTAEGRWMKELFPVVWGLRSQPSKATGQSPFFLVYGSEAVLPIDVMHGAPRVEEFQEAMADEQRMLEVDTAEEARLAALLHNAAYLQGIRRFHDKNVKTRSFQIGDLVLRRIQNTAGHSKLTSPWDGPFIVSKVLKPGTYRLHTEDGVELPNPWNIEHLRKFYA >Dexi5A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:5A:1330617:1332113:-1 gene:Dexi5A01G0001880 transcript:Dexi5A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKILAVAVLVLVPCLLPGAHAANHSHKRPFASIFSFGDSYADTGNFVSLAAQLIPLIPFNNLPYGETFFGHPTGRASNGRLILDFIADALGLPFVPPSTAKGQDFSKGANFAVVGATALDLAYFQQQNITSVPPFNTSLSVQLGWFEELLPAGCEDYLGKSLFFMGEFGGSDYVFVLAANKTVEQAKSYVPTIVNGITQGVERLIQLGARRIVVPGILPSGCTPIMLTLYASPNKEDYDRYGCLTKYDGLSRYHDDHLRSQIQALRNKHPYTNIAFAEYNRPVLAFMHRPDTFGFDGSSTLQACCGAGGGDYNYNPIAACGFPGATSCEDPSRVVNWDGIHLTEAAYSDIAWAWLHGPFAEPPIWTLASY >Dexi5A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:5A:24429106:24429480:1 gene:Dexi5A01G0020620 transcript:Dexi5A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLGNRTTHRNLCPLASSPAASSAVCSVESVPPLPKHTYRTDLSGCSSSHRRQLLSSSASAASLEPDSAGGYTPWWDNTSNAAMASCSMASKVFTMKPSARRYLLASSTTRG >Dexi6A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:6A:19260572:19261712:-1 gene:Dexi6A01G0012580 transcript:Dexi6A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGYGDAPTAEELLEYGDLPRQGRDMAEVFAVRVPAAAGGDRPPPCGSIFFHGGHSCSDLIYSWKPSGTDELAAPQPCDGEGNLVLTGPSVAASAYGPLIFDLQLHDGSHHNFSSSSSSQAAEDDHKVDNTAGRIFCDTVSGEFSTYDKTISQTVTTGYGHAEVVYAVLSNAVEGRVTVKLAALHAGTGGGDHGAITGVLGRIVARSKLLDAGCVLFYSDGGISGKEGVSVRSGEMLPLARQVLAVPLHRPLTVELNLRSGSGEEIVRGAVDFNPASSGEHVERVVGMSGADVEVTVSWSDYPW >Dexi7B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:7B:12584413:12586793:-1 gene:Dexi7B01G0005280 transcript:Dexi7B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAEEGRGVVAAGRDMADALDGVRSILLKPSENLDDKRFTRIAGADFADAGFGLAGLLASLASTGFQASNLGDAIDIVNQMLDWRLSHEKPSEDCAEAELDPEYRESVKCKIFLGFTSNLVSSGVRDIIRFLAQHHMVDVIVTTAGGIEEDLIKCLAPTYKGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPILDKMLLEQSTENVWTPSKVIARLGKEINDENSYLYWAYKNNIPVFCPALTDGSIGDMLFCHSVHNPGLIVDIVQDVRLMNAETIHASPRKTGIIILGGGLPKHHICNANMLRNGADYAVYVNTAQEFDGSDSGARPDEAVSWGKIKSSAKTVKVHCDATIAFPLLVAATFAHKVHGYK >Dexi1B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:1B:12248515:12248980:1 gene:Dexi1B01G0011780 transcript:Dexi1B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGSLLRFELHCKPNAGQPMVPNLKPAWRTTARALGGAGRRSRGGGAGGGGGPRTPWRKAGSKARRSCTGHEDGSRGAVEVIVAEQ >Dexi4B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:4B:7463179:7465060:1 gene:Dexi4B01G0010210 transcript:Dexi4B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRIAAAKEGAFFLQESKTAVGRLAEKLPASASAPGGASAPPSPDVLPEILRHSVPIKGTPPPSETSLSASTGERRGRGPPPRRAQPAPFLRLAAASHLWPQKVSALSHCLIVSCTQLWQLPTEQPNYLASTANERRWDRNPPPMDPEKLKAVIAGYSQIGKAFLAATILVFGGGTAVDDVKTKGKDALQPRADMIKEHIAPLRSWAEEMSRKWHFEGDKEAKEKSVIIRELSRSLGSRSP >Dexi9A01G0033450.1:cds pep primary_assembly:Fonio_CM05836:9A:38399271:38400559:-1 gene:Dexi9A01G0033450 transcript:Dexi9A01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYVFTKDEFRVLILGVDKAGKTTLLEKLKSIYLKGEGLPPDRVVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYEEAHAIIYVIDAATASSFEDAKSALG >Dexi7A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:7A:28751466:28753678:-1 gene:Dexi7A01G0019620 transcript:Dexi7A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHVSPGDVPDDACVCAAMAYLGRRAGSYICSELCGSVLNQRRYSSPRVDWKQLRPMILKRIKSRSKEYPIKRMIPVAQEVVRAREIVTEGVSTLLKVVPVHSCKFCPEVYIGATGHEMKTCHGFKRMIKDRPHKWCPGNLNDILVPVQAFHLNEMFQDEIKHDQRFDFTRVPAVLELCHQAGADIPDEIFHRSNQQPTTVNENSQQPAPILPDQLRYIGQRTLDAWESLRLGVTKLLLVYPSKVCEHCSEVHVGPSGHKARMCGVFKFEGWKGMHKWKKAGVDDLVPQKIVWHRRPHDPPVLVDGGRDYYGHAPAVVELCMQVGARVPPKYHCMMKTHGLAPPF >Dexi5A01G0031200.1:cds pep primary_assembly:Fonio_CM05836:5A:34006534:34008118:1 gene:Dexi5A01G0031200 transcript:Dexi5A01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDRGSGSHLVCVTGGSGFIGSWLVRLLLDRGYTVNATVKNLEDEGETKHLQALDGADARLRLFQMDLLDPATARPAIEGARGKELVEPAVKGTLGVLRAAKDCGVGRVVMVSSQTAMVPNPKWPSDKVIDEDSWADVELLKKLELWYSVSKTLAEKVAWDFAEKQGLQLAVLNPALVLGPTLTPSITGSLQVVLQIMRGQRFDMDHYFLGCVDVRDVAQSLVALYESPSAQGRHLCLESAERMVDFTNKLADLYPELPVQRVQEDKQEWVVREKEPSKKLIKLGVRFIPFDKIIMDTMDCFRSKGLI >Dexi3B01G0028530.1:cds pep primary_assembly:Fonio_CM05836:3B:25582352:25583752:-1 gene:Dexi3B01G0028530 transcript:Dexi3B01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVYRWLCELRDHADSSIVIMMVGNKSDLTHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTITTEVYGIVNRKALAAKEAAAAAAPLPSQGKTIRIDTTAGSTKKACCST >Dexi1B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:1B:23325136:23327335:-1 gene:Dexi1B01G0016900 transcript:Dexi1B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGHSAGGGQAHAAGASSSPVDADPYVGRRLGDVRGTMSSAARSLNGCRFLVRMVAEGGAAAARQVLEEVAGEIVRLMVDSVAHKLVEKLVEHLADDQITRVLHILAASPGQIVTFITVVVTSSFFFHHVCRDRHGCNVLNSFIDKTAGDRQPWNSLIVAVCRDALALAEHGYSNYVVQQVIRSVPEARDCLHTTFRGQYVSLSRQAASSHVVQRCLELFCSEHVDEIIRELLGCHRWGCRFPQLISDPYANYK >Dexi9A01G0028950.1:cds pep primary_assembly:Fonio_CM05836:9A:33704319:33704668:1 gene:Dexi9A01G0028950 transcript:Dexi9A01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSAIRKSASMGNLSLLSAGSTSGGASPADGPDLADGGGGYASDDFVQGSSSASRERKKADVEP >DexiUA01G0010860.1:cds pep primary_assembly:Fonio_CM05836:UA:21589862:21591143:-1 gene:DexiUA01G0010860 transcript:DexiUA01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPARLWVTVAALVAGTIRLWFFSSVGLLGTYRAQNFVVNELWKAADSDGWRASSAPRTYWPSPPTESDSNGYLRIQLNGGLIQQHIAV >Dexi2B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:2B:8610169:8610719:1 gene:Dexi2B01G0008460 transcript:Dexi2B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARWRFVNADDCILTRIQEMFLNYHFAVEQEVGSACHTFFVCLAALADADDWKGRTTPLRGWRFVCAGDIGVRNELPSTFQADCYQQHRWSCGPANLFPKVLLEILHNDRVSPWKKLHLLYGFFFLRKVVAQLVTVLLYYIVIPACVLVQGDVHLPKYVAMYLLAAITLFNTA >Dexi1B01G0030900.1:cds pep primary_assembly:Fonio_CM05836:1B:34691954:34693355:1 gene:Dexi1B01G0030900 transcript:Dexi1B01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSMGADDDAAAFIDAATGGSLSFSGLRRAALSLASGLRLGLGLRRGDTVLVVSRNSLLLPQILVGVLAAGGVVVAADPDATAPEIAAAAAHATMVVADAPLGFAGDVGVPLLLTSRSLDPRGPLLSAEELIDGGDPTEAHAHLPEPDADVVAAISSGGGRRVCVTSLPMCSADGLALIAMGLPAAGVTTVLLDPSDEGALREAVAKHAATDVVAAPEAAATLLAAGPGASLASLRRVLIVAPTPLTPEARHQFRRRLPWVHVTELPTQTTAAAAGTHNSQQQPAQQTNEVPSLKKIQKIVLGDIFSRSTAPRIFRNHLATGNTQAVSKL >Dexi2B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:2B:14676600:14682359:-1 gene:Dexi2B01G0012220 transcript:Dexi2B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRRGERRIDAAMDHLAAYGFPKPQIRKVINDLLQLYGRDGWAFLEDGSYRVVLEKLLEEQTQLEH >Dexi1A01G0023960.1:cds pep primary_assembly:Fonio_CM05836:1A:30644647:30647431:1 gene:Dexi1A01G0023960 transcript:Dexi1A01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTEPSTPAAGGTPWKGRLRSHHATPESLFSRRLPSRTNNRIEVEEPQVAKKPAAPKNTRRCGGTRTEETGTAREPRAPPRRSPRFAVAGRDPEHPIVLDDGIEVRVPGRSAEECFNRIHADMSTPTPIGPRPRKCKPKYSPLANLSLSDPELPKLLEPAVGRPRTTKQKTLAAQKTVRHLLQKHSLIDHAHEADHFSIFESSPSALQLNISFEDSPGTPHGCISSGSLPRFSASSSGRKKPFSRLKTKPDEPSPGVLKPMKNAVSHEKYINQLCRREVTKRPRKKAPGSKAADSGKAHSEQKAGDLKAAKNALISEATDFISHFKKLQANSLAHIIENSEDDETDGTEGD >Dexi1B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:1B:6212236:6217426:-1 gene:Dexi1B01G0007500 transcript:Dexi1B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGGGVGQELVREGGRDCGKREEPYGSEANMFDGISFLTILFVCFIASSALVESDINNLHALRDAVTGSEGILQNWFNSEIHPCNWTGITCERKTVVAIDLSSVPLHVPFPPCITAFRSLRMLNLSRCDLSGNIPETFGNLQNLQYLELSNNQLTGPLPFSLYDLKMLKEMVLERNNFSGQLSPAIAQLQNLTKLLISKNNISGELPPELGSLRNLEVLGFHHNRFDGSIPEAFGNLTQLFYLDASKNKLTGSIFSGISKLLNLETLDFSSNSFVGQIPNEMTHLKNLKRLVLRFNYFMGGIPKEIGNMKQLKQLFLSECNLSGTIPWSIGDLRSLSELDISGNSFNSELPSSIGDLGNLTFLMANGAKLIGSIPKELGNCKKITLLRLSFNAFTGSMPVEFGGLEDVRHFEVEDNNLSGYINDLIQKWEHVQHVNLANNKFSGSILPTICEAKLLQTLDLHSNDLTGSIEETFKGCKNLVHLDLQGNHFTGRIPEYLADLPLRTLELSYNYFTGVLPVKLLESSTVLEMNLNNNKLSGHIPESIGKLHSVQMLRLGGNFLEGSIPQAIGTLENLTVLTLDGNRLSGSIPQELFNCTNLVMLNLSSNNLIGPIPRSILQLTSLTGLVLSHNQLSGSIPDEICGGFTNPGHPDSEYVQHHGLLDLSYNRLTGGIPPAIKNCVILQELHLQGNLLNGSIPTELGELKNIRTVDLSFNALDGSMLPWSAPLLTLQGLSLSNNHLNGNIPAEIGRMLPNIAVLNLSSNAFMSSLPQSLLCIMSLNRLDVSDNNLSGEIPLSCPGYEESLSSLVFFDASSNGLSGSLDGSISNFRQLATLDIHNNSLTGSLPSALSKLSYLNYLDLSKNDFSGSSPCGICNIFGLTFANFSGNQIGMHSLSDCTTSGICASDSIDHKDGHPHHHVILKAVIIGGTILIVILLGTYLGWKLLRSRPRPMQFEAPTQCESELSSLQLIPPQALSS >Dexi3B01G0028230.1:cds pep primary_assembly:Fonio_CM05836:3B:24065361:24072797:-1 gene:Dexi3B01G0028230 transcript:Dexi3B01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAAQLKPLDDQEAQDKQSRKKGGWITLPFIAGSMLGLGLAVNGTSTNLLLYLLKEYNVESIDAAQIANIVRGSLNLVPVAGAIISDSYFGCFPVILAGTAINVLAFVLFTLTAALPSLKPPHCAPPSAGCPHGTPGQFTVLYAAVCLLAIGTGGTRFNVATLGADQFGSARDQDTFFNWYFVFLYASFLVGDTAIVYLQDGVSWVIGFSVCLGATAASLVMLVLGARYYRMPAPKGSPYTELARVVVAAIRKARDDVSGPLNYYVGDGTVADSGSDGAPSKSLGFLNRAAMITASDGTPETTVGDHRPSGWRLCTVQQVEDLKSLIGVLPLWSSGILISVSIGVMIGMVILQALAMDRSLGPRFNIPAGSITVCSLAAFIAATPVFERALFPLWRRATGALPTPLQRVGLGHVVNIAGMVAAALVERRRLGVVSVHHGASEAPGWVTPMSVLWLVIPLGVVGAGEALHFPGNMAFYYQEFPKTLRSTATAMAPLLIALGFYLSTVFVDVVRRVTSWLPENINQGRLDNVYWALAVMATVNFGYFLICVSLYKSRK >Dexi2A01G0023810.1:cds pep primary_assembly:Fonio_CM05836:2A:35510436:35512226:-1 gene:Dexi2A01G0023810 transcript:Dexi2A01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTTYSIRVSSETHKIEAWVVSDEALARQLQEEEDMRHGAADTREFAGNVSLEASSPAVEYRPAHNAAQVTREDNVDPDNMSYEQLQALGEAVGSQSRGLSDELISYLEPFRHKCTFFSRKISEEYVAV >Dexi9A01G0033650.1:cds pep primary_assembly:Fonio_CM05836:9A:38545076:38551437:1 gene:Dexi9A01G0033650 transcript:Dexi9A01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTHIASDIFNLMGYTRPLGMATATPATTSWWCSHCGMSPAAPPTPGSSSAVRCAFCHRLTRVEQRHRGVAGETPLAATSPPRAAIVSAGLQIPTSYPRISGNKRALLVGVSYRGTPHELKGTVNDVREMRRLLCNKFGFPNDCILELTENESEPSRVPTRQYLLAAMRWLVEGTTSGDSLVFHFSGHGVQKLDMNNDELDGYNEALCPVDFERSGKILDDEINETIVRPLGRGVKLHAIVDTCHSGTILDLPYLCCLSRTGYWQWEDHCRPGGERKRPSGGIAISISGCSDNQKSADASGFSESSASIGAMTGSFIKAVEAEPGTTYGRLLSAMRARIRDGQGSRSRLPGRLGSFVRWMIPSSSLQVSFVVWPGRAISSAFVNFVSAQCSLWTADVCRSLSFAHQRGLTSTGSHSSSELLVVDRVYMGALICLNVTVVCNAISLLLLQLAWLPSLAMNFGGRPHGASTIRCKYCRSSLTVIPGERAIQCSQCNCVTRVRRADRIPLPPMGPMTAPFLHARSKKRAVLIGITYASGMRRGCGELRGPINDVKCMRNLLCNRFGFPSECVIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGIGAQVADDDGDEVDGYDEALCPMDAFQRGPILDDEINEAIVRPLVRGVRLHAVVDACYSATVLDLPFLCRVSKNGYWRWEDHRPKTGAWKGTSGGHAVLISGYSDGNKNFAVMPEAVASVGAMTHSFVRAVECEPYGVTYGRLLNSMRTIMHKGGGGGYDDLQGPIGAPIMGNHQVANFSGVQEPNLSSSEMFDIYRKPFVL >Dexi4A01G0022540.1:cds pep primary_assembly:Fonio_CM05836:4A:25819949:25823309:-1 gene:Dexi4A01G0022540 transcript:Dexi4A01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKILKTSILSCFRRVKRQHAILILADTLYYGKFKRYCKVHIAQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >Dexi8A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:8A:10984875:10985524:-1 gene:Dexi8A01G0008860 transcript:Dexi8A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASPPAFDATETDMLLQLEAFLLDGIDDAEVCSTSDLSSPSSSSTSDSTSSEVIASPPAETKPHTFIGVRKRPWGKFAAEIRDSTRRGACVWIGTFDTPEAAALAYDQAALAARGAAAVLNFPVEHVRESLAALALAGAGGGSPVLALKRRHSKRTRRRKGASPAVVGSSGKSSKTQRPSVSQSSDLSGDDDAGQPVRRRHCGAG >Dexi5B01G0021660.1:cds pep primary_assembly:Fonio_CM05836:5B:23935038:23939021:-1 gene:Dexi5B01G0021660 transcript:Dexi5B01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPSSPRDDQGSEEAPPFFTDYGEASRYEVTEVVGKGSYGVVAAAVDTRTGERVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVQIKHIMLPPSRREFRDIYIVFELMESDLHQVIKANDDLSPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANGDCKLKICDFGLARVSFNDTPSAIFWTIRNEKARRYLGNMRKKHPIPFTQKFPGIDPMALHLLEHLLAFDPKDRPTAAEVLAKSKKQWFQNILEYHPQMLQQFLCGGDKTNFVYPSGVDRFKRQFAHLQESATKGEKTGPQLRQHASLPRQYFY >Dexi2A01G0028020.1:cds pep primary_assembly:Fonio_CM05836:2A:39347562:39348301:1 gene:Dexi2A01G0028020 transcript:Dexi2A01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHATADVFDKFTDATGGFSTSLVSDPRGLLSLYNAAHLAAPGEDEVLDEAIAFSRGHLEAMKGELGSPLAEQVSRALEIPLPRLPKRLETMRYVAEYEEEEGHQGVLLELARLDFNLLRSLHLKELKDLTLWWKHTYSTVKLSYARDRLVENYFWTCGVFHEAKYSRARMMFAKTAGLLSMMDDTSAAN >Dexi1B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:1B:2576560:2577505:1 gene:Dexi1B01G0003180 transcript:Dexi1B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKKKAIDASTKIRHSLKKNRRKSGSRVLSVSIEDVRDLEELRAVEAFRQALLLDELLPARHDDYHMMLSELDIVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMNVTTMDRYVKYHVKEFERSFTIKFPACSLAAKRHIDSSTTILDVQGVRLQKIDNDNYPEV >Dexi5B01G0032910.1:cds pep primary_assembly:Fonio_CM05836:5B:33436258:33436630:1 gene:Dexi5B01G0032910 transcript:Dexi5B01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPPDGGLQLVEGAEEGHEERDPGDVRRLDKCVGGERHEAGDLGSGEADAAELGDAGGEGFLHAAAEEPRVPDNGGGDIGFGEVEAGEEGAHGIGPVGGLESSFEALSACDGVRPQLETR >Dexi7A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:7A:3050210:3052493:1 gene:Dexi7A01G0001210 transcript:Dexi7A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTSAVQWWEESQLRLLLAYHGGDAVAIYGLATLFNRHKGDEATSSNKALEVAWAPILLIHLGGCDGVTAYNIEDNELWTRHLLTAVSQVTVAIYVFSKSWPFAGGDKRISNSYESSSKVWPEIVPQYSLAGYFVRNRKHSNVMRIVSLLGCKDFLDQHWCMKPCFSSARIIELVHQYLKDGWKGRIQGPASYRSFNNHSNHWGLNMNRPFDECVLLWHLATDFCFFLSSFPEHASDKNQTTSQCRQKGWAMNQTQFLDPFISRQMSNYMVYLLFVNPEMLLPGTRRNLFDTAHNDLKATLSGWEWNPACSSRWTPKEIKPLVEEETVMHGLIKVMQEKSEKMTCQEESLREAYTVITIKQEKLEESNKEGNFIDQAWALAQRLTKMGEKKMWDQIQDVWVQMLCFSASRGRGYLHAKALGKGGEFLSYVWFLLFLMGMETLSERLQRDLLPSSQGNNGTAAPPSAFEVSTIGTAPMASEVSTIRFRAPHHDRRHSVDF >Dexi5B01G0022980.1:cds pep primary_assembly:Fonio_CM05836:5B:25245482:25252972:1 gene:Dexi5B01G0022980 transcript:Dexi5B01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVVWSANPKSPVNGHGSMVSLNHGGNLILTDVNGTVTWDSKTGSGKGTTVALLDTGNLIIKDSNGAVLWESFSSPTDTLLPFQPLTKATRLVSGYYNLYFDNDNVLRLMYDGPDISSIYWPSADYTVFQSGRTNYNSSRIAVLDAEGYFLSSDGLNVKSSDWGTQIKRRLKIDHDGNLRMYSLNASSGNWIISWEAIAKMCDVHGLCGQNGICQSLPSFKCTCPPGHEMIDQQIWNKGCQPKFSKSCNNTEEFEFIKLPQTDFYGFDMSYNQSVSLEECKKICSDACSCSAFTYKTGSGLCYTKAVLFNGYSYPSFPGDNYIKLPKNLSISTSLVSRDSHLTCNRDIPEIVEGSAIGRNAFSFAIWFTNTVEKTTVWSANPKSLVNGRGSKFLLNHDGNLVLTDVNGTVTWDSKTTSGKGTTVVLLDTGNLVVKNGNDEILWEVSHHQLTPCFLSSP >Dexi7B01G0023560.1:cds pep primary_assembly:Fonio_CM05836:7B:28127414:28129612:-1 gene:Dexi7B01G0023560 transcript:Dexi7B01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSLSLQSPPHPPHELSPSRASSVHATTKASAAAAGDPRGMDRLGANPANSCPLTPLGFLERAAVVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNNINTRLDARTVSVLLRHSGSKLVFADPASLPLIRDALKQLPPGHPAPRVIPVEDPHEKDFPAAPPRTLTYEALLEKGDPEFEWVRPTSEWDPMILNYTSGTTSAPKGVVHCHRGIFLVTVDSLVEWSMPPRPTYLWTLPMFHANGWSFPWGMAVVGGANVCLRRVDAGEVYATIARRGVTHLCGAPVVLNMLANAPEGVRRPLPGKVRVLTAGAPPPAAVLHRTEAIGFDVTHGYGLTETAGLVVSCAWKAGEWDKLPASERARLKARQGVRTPGMAEVDIVDGETGRSVPRDGSTMGEIVLRGGCVMLGYLNDDKAIKAAIRDNGWFYTGDVGVMHPDGYMEIRDRSKDVIISGGENISSVEVESVLYNHPAVNEAAVVARPDEFWGETPCAFLSLKDQVSEVTATDLMAWCRERMPHYMVPKTVVFRAELPKTSTGKIQKYVLRNLAKEMGPTRKGATTTATSSRM >Dexi7B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:7B:17672621:17675181:-1 gene:Dexi7B01G0010320 transcript:Dexi7B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELALAALATLLASLLALVFSHFLPLLLNPKAPRGSFGWPLIGETLRFLTPHASNTLGGFLEDHCSRYGRVFKSHLFCTPTVVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGAWRNRQAEGKVKVVGFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTAYAKAVQARERISNTVKGIIEERRSPGSCKKGDFLDVLLSSNELSDEEKVNFVLDSLLGGYETTSLLISMVVYFIGQSAEDMDLVKVINEALRCGNIVKFVHRKALKDVRYKVLFI >Dexi6A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:6A:27256392:27260532:-1 gene:Dexi6A01G0019640 transcript:Dexi6A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPIRAVTNQRYLSSKLMLIHLVSSQSISQKHVRAPLCSSCAARPAAARVATGGAGGPAFRCADCRGEDGDGGVPVEGFSGCPSAAQLAASWGLDLRGGCAEEEEEKDNEDAFFSVLDYSMLVAGAESDLRDLYVPCDPPEVPAPTAGGARRLKGEALCDQLAEMARREADSTDHPHPPHSDLSPRTPRRNSAASSGRLPGNNKMAPPPHPPPPPPPAPAPQEVPLPYTSLLMMASGNCTGLIGAADRMAAAAADDDDQLLWDCAAPSVPPTQIWDFNLGRSRDHDEKSAIEVGFGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQLSSKNETVSVFLPYFRSFFCRYEKHIRYESRKLRADTRKRVKGRFVKSTEALNASNG >Dexi2B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:2B:20216694:20226928:-1 gene:Dexi2B01G0012950 transcript:Dexi2B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGQQTVELGAVVRRAAEESYLALRELVEKSQAEAEGKGLSAGANGSWQRSDTEKKIDLLKFITRTRQRMLRLHVLAKWCQQNGEKKGLSDFGNEVLQVRAYGQAYISLGINIRSGRFLLQSPENILPPAALMDCEEALNKGNTSASEVFSSLRTRSILHLFAAAGRFFGLKVYQQSQGTLKIPSTMLHGSDFMVMGFPHCANAYYLLMQLDKDFRPVFHLLETLCDASDKTNANEDAKETIRMELSLVHSFLLVRHRLEKLITAGSDGASRKRSLSDFLPNSVQKLVSDLRRLSNARSFACGMRRLIGVKVDEKLDDNQLPTEVKSQSVNKGNNDSSDKLSDQMRKTFRIEAVGLMSLWFSYVHLIINFAYMQFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYSSLPKQANIPTQGPLANGSSSSTIHHGPVPSNPAAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASGHVNNNAGAPQTAPSASRLNATPGVSMSRPTSGVANHVAASLSRAGNAMLASSALASGIGASVRITPGAGFPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQAQSNAQEELAAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIELCLENHSGSVSADNTESTLAKSNIHHDRAHSSVEFALTFVLDLALIPQMNVAGGAAWLPYCVSVRLRYSFGDNNHIAFLAMDGSHGGRACWLQLEEWDRCKQKVARAVETVNGSVVAGEVGQGRLRMVAEMIQKQLQLCLQQLKDGPLSAGSTAP >Dexi2B01G0036090.1:cds pep primary_assembly:Fonio_CM05836:2B:42992682:42996175:1 gene:Dexi2B01G0036090 transcript:Dexi2B01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRRPSDEARRGAYKPRVDFSRSRRRREDGLLALRRLDRDAGLFKRRRDESAPAVHATDPAPPPSEEAPPASSALPPPTPSLPPDAAAPRDAAESELEGLSELVDKVCSDDSTSQLEATVQFRKLLSDEKNSTVIKIIRADVLPRFAEFLSSHGLPQLQLEAAWVLTNIAASDYTLLVAECGAVPRLVELLGSSNVNIRHQAIWCLGNIAADLPSCRDILFDHGVVTPLLSQFREGMKIPVLRTAMWALSNLCFGKLPAEVQVKPIVEIVSQLIHSADEKILADACWTIYYICGGVDDATQDVLDAGVCPQLVNLLMHVSASVLLPVTLALARISAGTDAQIQAVIDASIISPLVVLLKTSETDIKKEAAWALSNAASGASSEQIQYLVSRGCLEPLCNILAYQDPDLLYTCLEGLENILQEGEAGKKGEESGTNPYAQFILECGGLDKLEDLQDVKSDRIYELVMKLLQSYWDEEVSESDDPNVPGSNDSADTVETTSEDAAQAQPPEPASAADENE >Dexi5A01G0037360.1:cds pep primary_assembly:Fonio_CM05836:5A:38742977:38745584:-1 gene:Dexi5A01G0037360 transcript:Dexi5A01G0037360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISLPFHSIPFPFPSGPISRLLLWEARRQWRARRRRRRCCTPNACTRSSLAAAIVRNVVHDGDDDISHLTGTIAGPADSPYEGGTFLIDIRLPSEPPPTPPGVASVLILVFWLAGEYPFEPPKTHFITKVWHPNISSQNGAICLDILKDQWSTALTLRTALLSLQALLSSPVPDDPQDAVVAQQYLHDYPAFVATARYWTETFAKSTSTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >Dexi5B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:5B:5431938:5432396:1 gene:Dexi5B01G0008100 transcript:Dexi5B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSMDLWDPFDSMFRSIVPSSATSDSETAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRVERSSGKFLRRFRLPENAKVDQVKAGLENGVLTVSVPKAEEKKPEVKAIEISG >Dexi5B01G0032930.1:cds pep primary_assembly:Fonio_CM05836:5B:33439187:33442456:1 gene:Dexi5B01G0032930 transcript:Dexi5B01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAASYAGSATGATRSPAYCAAMSFSQSYRPKASRPPTTFYGESVRVNTARPLAARQSKAEEFLTKATPDKNLIRLLTCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFDALEYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKMFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSAKAKLRLLFEVAPLGFLVEKAGGFSSDGKQSVLDRVINELDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAV >Dexi1A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:1A:27201167:27202555:-1 gene:Dexi1A01G0020390 transcript:Dexi1A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWQRLQNLKSLEVCGGFITDAGVKNIKDLKALTLLNLSQNVNLTDKTLEMISGLTALVNLNVSNSRVSNAGLKHLKDLQNLRSLSLDSTRVTANEMKKLRATTLPHLISMRPE >Dexi4B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:4B:18060036:18060517:-1 gene:Dexi4B01G0016020 transcript:Dexi4B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAILPDGIRHLRHLCLISVAGHPALIGRHRPFLVTHRAAERWLHHMQQALDTTESIDADSKVKMMNFFRHTAYFLVAGNEMTRKQSQAVACKHATSKPAE >Dexi7B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:7B:18947133:18949776:1 gene:Dexi7B01G0012060 transcript:Dexi7B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANHWGGSFEIATDGASEDDDSRNMDLDPGAVSARQQQLRHELDETQQGWLLGPPAAKKKNRHVDLGCVIVKRKVLWWAFWCLVAGFVLVGLPIIISKSIPRKIPRPPPPDQYAEALRKALLFFNAQKSGRLPRSNGVPWRGNSGLKDGSDATDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLRTFNSSASSVGHVNAQVGAAKIKGKTPDDHYCWNRPEDMAYPRPTLSVTTAPDLGAEIAAALAAASIAFRDDAAYSTKLAHGAATVYEFATRDSSSNHHQATYSSNRPEIEPFYNSTGYLDEHIWSSTWMYYATGNSSYIATATSRELAARVSAFDDDILDFSVFSWDNKLPGASLLLSRMRKFLNPGYPYEETLAGYHKATELDMCKCFRRFAAFNFTSGGLALFNHGRGQPLQYVVANSFLAALYADYMEAVNVPGWYCGPNFMTTNDLREFATSQLNYILGDNPRKMSYVVGFGSRYPRRVHHRGASTPRNGVRYSCTDGYRWRDSKAADPNVITGAMVGGPDRRDRFNDSRMAFGQTEPTLVGNAGLVAALVAITSSGRGAGVGAVDKNSMFSAVPPLFPATPPPPPAWKP >Dexi4B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:4B:21636307:21637076:-1 gene:Dexi4B01G0019450 transcript:Dexi4B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLDRYGFDVQPEMLVFKLMMYNALIQVNQNIIETAGLVFECDYNVYKHADNMRYAGEHLTKISGIHVEDWDLFKLATALMIVAYPNGEQVVAGNPEKRQLPTLDSVCMSVGWRESMGPTLLKDALKYKDKLRDVACFRVYREMLRAPKIRHKALKQLVLLTRLAREDYEAQKATNHE >Dexi5B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:5B:3945482:3946099:1 gene:Dexi5B01G0005850 transcript:Dexi5B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPIAVLLSLLAAGAMADGNSTTPTPTPTPTPTPSTPAPYTPTPATPPAPAYGGGDKKKLVVVRVEGLVLCQSCTKRGTQSLAGASSLKGAKVTVTCRDRKNRVMAWRSPVANYDGYFHAEFGVERVEDYFMSDPRKACFARLLSSPDTKCNLVTNINGGVEGARLRDEGKRWTDQRGVENVVFAAGPLAFKPKICVPTRHY >Dexi1B01G0021910.1:cds pep primary_assembly:Fonio_CM05836:1B:27652168:27655031:-1 gene:Dexi1B01G0021910 transcript:Dexi1B01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGEIYTCAIPGSALVDIESSGSHPEDPFNPLKNPNSPHSSPPPTLPSSLSLRRRFSSSTTKNPIQSVDLSLASKIPLAPPDSNEQEEEEEESSEEAFVEQDPQGKGKGKRTKEQAAPARSKPTTATEDSSRGGRGGRGGRGRGGGRGNNEDIDLSYLESTVAKLTMPQRQSRDPRLVDYRKGSAELDMCTVRYGTNPSTKLLDKYDIQRTPSPPPEERAPPPPPPTFENPWCYEWAPPQGYAFGPETQQYTFGPGAQDDEDDIEEDLGGREMGAGTSGAQDDDEEETEDDSE >Dexi4A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:4A:17786058:17787486:1 gene:Dexi4A01G0015150 transcript:Dexi4A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAADLTDLKTGATLRGALHLVDLAGSERVERSAVTGGHAKTLMFVQINPDVLSYSETLSTLKFAERVSGVELGAAKANKEGKDIREFMEQLSLLKHKIAKKDEEINRLQLLKAQTPKARTVKRSDSPLKHSSSSPGISSLGTRIQHRRTASGGKAMSIRSRAGSDADNFSDISDRHSESGSMQSVDDIRLQRGVMGSPKISLGEMGQNSADPELSCFGYADSEERLSDISDSGLSMGTETDVSGSSIVELTLFQEQEKTSSTLKGQEKAPKTPYDRL >Dexi7A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:7A:20653549:20653989:1 gene:Dexi7A01G0009660 transcript:Dexi7A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLQAIQRSRLRIAAAQEQSQRPEQSPQSVNTTTTPGQAEQNTTLSADASLAVRSSPAESSLETPSKPMDPALAGIVEKKLSSSIEKIEKLVRRNLKRTPKAAQASRRATQRRNLMSMR >Dexi5B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:5B:17600031:17604566:-1 gene:Dexi5B01G0016850 transcript:Dexi5B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARAVRSRLYPDPIHHPATSLAPIASARASSSAPSVASANNVVEAAAAASAAVSVSQQAGSVSDALRHYGRCYWELSKARLSALVVATSGAGYVLGSGNIVDMAGLCCTCAGTMMVAASANTLNQVFEIKNDSKMKRTMRRPLSSGAVAVRKLSLELNMQNLTRFVQANGLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASTELSVNAMILPAALYYWQIPHFMALAYLCRDDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGLFAYNWGLTSQWFGLEASLLTMGLTIGALSFMLEPSPKTARRMFYGSLLYLPAFMAGLLLHRQPNEQKVQNMAEKSELDGVLYGADLQDEERARQKREDKKPPRVQSRPPVAYASVAPFPFLPVPIYES >DexiUA01G0027940.1:cds pep primary_assembly:Fonio_CM05836:UA:59728238:59731340:1 gene:DexiUA01G0027940 transcript:DexiUA01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHGGAGSPFLDPTAGTAPFSPTPTGPVSVTAVPPPPPMPMQPAAGTTSANLEELPAVGSGGGATAAAANNLQDDDMLQADIVGARAASGSGGGNRWPREETLALIRIRTEMDTDFRNAPLKAPLWEDVARKLTALGYHRSAKKCKEKFENVDKYYRRTKDARAGRQDGKSYRFFSQLEALHAAAHQQQQQQQQAARGTMAAAVQQADQQQPLRASMAWTPTPASTTLVVPPVDVGAGGLPDLSFSSMSGSDSDSESDDAFDAGEPSLGKGGAGPTGGDDDKEMMVIFEGMMRQVTEKQDAMQRVFMETLERWEAERRAREDAWRRQEVARMNRERDQLARERAAAASRDAALIAFLHRVGGGGQQGDPARLPPPPPISSIIAVPMPDTTTTTPSSSSPRHYAPAVAVPPKPEEARVASAWGGGGEGSSGGGSSMPSRWPKEEVQALIQLRTEKDEQYNHHDAGAAAKGPLWEDIAAGMSRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYHKKRSFAGSGRSTSSTAPTAAVAVVAVQQNPNQRELEGKSSNDAHMVKNDGQGNVQAPPGSGNRETTAPTTTAYIDGAKNKGAEDNVLETNVQLQQQFGGDETESEDNDDMGGDYTEEGNDEDKMKYKMSFQKPNVTGSSANAPAPPPATAAAPTSSAAPTSSAFLAVQ >Dexi8A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:8A:23819695:23822175:-1 gene:Dexi8A01G0013660 transcript:Dexi8A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEDEERRLDERPMHQGCMAGFLHLFDRPQILSGRRLHGSHRRLMSSGSTTGSATPSERSMPLDRATPAPSSPDMTPPAAPRPSLQLPPLDLKDGGALAAPSWRLPRLSLDSRAVVDARGKLRPREIRTSPSPGAPPSPSAGGDDRRSPSVVARLMGLDALPHGEVSAGDGGHGGGDRSAAASPAALRRSASERVPRDPSHHFRFVDPAFFERPSSPLPPLMEWPSPASMQPVAATEAAAMRRTPADPACHRAFQRRSRFDAREVFPDPAKRVSGDTAGVPGGGNHGGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLHHHHTPPPPVRTATPPPPIVVMRPSHRSSPPPPARLTPTRRLRVDVDRARRPRSPDPSASPARSPASPARRGPSSPQRRVSPLQSPKQQQPPPPLRKPSGFDYAAARSRIARRAAQNAAAALSPDDEASTTFSDGGSSSSFSASSRWDLEPLPDSRTDRGLLERCGKLLSSIQAFTGGDVGGSDQQPSPVSVLDAAAFLADEDSPSSSGSKRAIDFSTSSSIGRPKPPAGARVVSDPEDDEWAQASWQVASGDPDYAYVAEVVRLFGGARRRRDPSDVYKAAEQAHRQRGDADDDDRRRLLLCGAACEALERQRAAWPWEPAAWLVGGELVGHVWDEVRRAAAAAGDHVAEGADFEEVLNDLTRDAIRRDLAVDGGRWAPRQQKQQRMVVGEEAAEAVLQIERMVFRDLVADTIRELADADRPLPRRKLVF >Dexi5B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:5B:18182194:18192486:1 gene:Dexi5B01G0017150 transcript:Dexi5B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTGRVPLHRLFAFADRADAALMAVGALAAVANGMAQPLMTFIFGDVIDAFGSAVSSNDVLHRVVKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPIAIAGATVSRLMTRLSTRMQAKYGDAGNVVEQTLGAIRTVVSFGGEKEAITTYNKFIRKAYESALQEGAVNGLGLGSLGQATPSVTAFAEGQGAAYRMFKTIERKPDIDIYDITGIILEDIKGDVELKDVNFSYPTRTEHLVFDGFSLRVPSGTTMALVGESGSGKSTVISLVDRFYDPQAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTLDDIKRAIELANAAKFIDKLPNGLDTMVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDMESERAVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKIPEGAYSQLIHLQETRQEEESVDPDMIVTNGFGSTSISNKPRSQSISRRSTSKGSSSFGHSDRHSLPAPLGLPDPMEFSEGPDVEDTITSTPKKAPIGRLFALNKPEVFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPGELLKDSRFWASMFAVLGACHSWTFQGAAVGRRFPSLRQVRLRWRRLSVLHGGPALACTSLGGLADGGAVGLGWCG >Dexi4A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:4A:23140095:23142082:1 gene:Dexi4A01G0019330 transcript:Dexi4A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAACRRAMSFTLLGPPAESLRATAAKAAATPATGDMFLDLLDANFNKATPPPKPQPAKTRTENSSPTFASSGDPCLDFFFQVVPGTPASSVTSLLATAWSAEPSTALRLTCNLRGDLPEILHRIIHGGVSTKQPGKQARIAASGGVISRVARHRSSFGFHRRGRARTGIKARPRPSSTRAARVAAANERDRKAAAKAAVERRKRRAAAAARAVDRYGRDPNYRLLHDCTAELFANLLAEDMQKLADGKVNEISLAAKWCPSVDTCYDRSTLLCEAIARRLFPKGSSPELSGDLDDEYYAYRARKLLHKAALVPLRRALELPEIFMSAKAWGQVVYPRVASVAMKNYKEFFLKHDSERFGLYLGDVKSGKAKIAAGALLPHEILQSIGDEVAELQWDRMVSDLRGLGKLNNRIAVCDVSGSMCGLPMEVCIALGLLLSELCQEPWHHRVITFTSQPKIHRITGDTLWEKAQFIRRMDWGYNTNFQAVFDKLLSIAVAGKLPPERMVKKVFVFSDMEFDQASPKPWETDYEAITRKFTEAGYGEAIPDIVFWNLRASHSVPVTCDQKGVALVSGYSKNMIKLFLDGEEVVPDKIPTPREVMDKALSWPEYEKLVVFD >Dexi1A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:1A:19373918:19377670:1 gene:Dexi1A01G0013800 transcript:Dexi1A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSAPVIGGGALCHSFPFVFYGRLEGLVTEDDLRQLVGSLGVGTREPEREGWEHVISKGNDDVSYRAWCDKPTAGPPRYLSITTYERCSTEQLRDFYMDNEYRMQWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWETNDQSFYCFIKITVNVAMIELVHSLTKLSFFAYQFPDDLEAAMDASLPASQTTAATVVPSTHTARTSPCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMALFLKKAFKQERDSGPSTSRGRTDVTRPRR >Dexi2A01G0031880.1:cds pep primary_assembly:Fonio_CM05836:2A:42558552:42562462:1 gene:Dexi2A01G0031880 transcript:Dexi2A01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATQVGTYFLRNYYNLLQQNPDVVHQFYSEASTMVRVDDLAGTNTTVNNMMDIHSLIMSLNFTQIEIKTANFVNSWGDGVLVMVSGLVQTKEYSYRRKFIQMFFLAPQEKGYFVLNDYFHFVDQEQVQPAALISQDEYDSNLASSAAVETVPEYINDDENQTTQITSEGHDVVDNYPYSEPPQQVVSSDNWGEEPLPEEQPSSFSNEIAVAPEEPVQPPPVPHPHVEEPVGEPVKKTYASILKTAKAPPAFPVAQQVTVIKSSHSTTESNQPQHSVMASSMASEKPRSEVYGEVAAHDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPEGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGTLYVHGGEEEAVLVVEAGDMREAAVMSTMAATVGGAEWILGIPIPATIARAEY >Dexi1A01G0031660.1:cds pep primary_assembly:Fonio_CM05836:1A:36539587:36540141:-1 gene:Dexi1A01G0031660 transcript:Dexi1A01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDIATLLCSLVARSLRCNKSSRVPSDVLSSDDPVLVLGAPPVSHLFPPQPVSSRGLLLPPPVTSSSSHLPSRTKQENPAADKYHFLVGGTAADRRRAEELGEKISSKLRTLTAAAAAVPSSLIAAVSSPFPSLPGSELPAPGHRWAPRLGSAPPPQER >Dexi3B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:3B:9575494:9576155:-1 gene:Dexi3B01G0013430 transcript:Dexi3B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEPARSSTESSSAASSGLDFEDTALTLRLPGSDPADRKRAASTSDPAAARSPRASDAPPSPKARVVGWPPVSRNRRIALPRGKFVKVAVAGAPYQRKVDLEAYAGYDQLLAALQDKFTAHFTVRRGANEEMQLVDVVSGAEYVPTYEDNYGDWMLVGDVPWRSV >Dexi9A01G0040830.1:cds pep primary_assembly:Fonio_CM05836:9A:44539128:44540718:1 gene:Dexi9A01G0040830 transcript:Dexi9A01G0040830.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFSTALPGHFLGLVNASDNGDASDHLFAVELDTVLNAEFRDIDDNHVGVDVNSITSVKAASAGYYDDETGSFRNLSLISRKAMQVWVEYDGQAMELSVAMAPVEMPRPKKPLLSTFVNLSAVITDLAYVGFSSSTGIIFSHHYVLGWSFKMNGMAPALNVSMLPALPRTSTNGARTKVLEVVLPIASVLFVLALAAAAVFIAKRRAKFAELREDWEAGFGSHRFAYKDLFYATDGFKDTNVLGKGGFGSVYTGVLPKSKMKVAVKRVWHESRQGMKEFLAEIVSLGWRRPWTSPPSERRAIARLLPAERFKVFKGVASGLLYLHEDWEKVVIHRDIKPSNILLDAEMNGQLGDFGLARLYDHGTDPNTTHVVGTMGYLAPELGHRAKATPCTDVFAFGVFLLEVACGRRPVEEDAQGSVAVLVDWVLHHWRNGMIMEAADPRLGTDYVHEEVELVLKLGLLCSHPLASARPSMRRIVQCLDGDIASTRSIRRRTWTSV >Dexi5A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:5A:22486947:22489226:-1 gene:Dexi5A01G0019010 transcript:Dexi5A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAATKCIQDEFAAIIEIACANSSAELIAVKKACHVLYNYSLEEDVAARTTENLRGVLCRNKDEGQEKAPLAGVLPEDILREILLRLPADALCRLRLVCQPWRSLTSDPGFAKAHAARHPLIAGLDRTRCAVHIVDMMSGRVLRRIPVPLGASQRHCTDLTTAQPGLVCVSPNRGPSFAFDPVDAVVTATPTRNAAGSGIECRSILGLVPSTGHHKVLRFQLESTNAGLLVQRCLVLTLNGDRRWRAGPRPPVIVDWHRSGDRVVVDGVAYFLRRLLNLRDSANVDPDSIASFDLATEKWRPTTMAGPASSILARSGDTTKLSQHKHRLQFQLAQLEGCLVTVHHYRDCSMNLWFLVDADKGIWDKKYSVQCETRCDMSHVCDPPHPLVILGDGRIVFRLKGGVIRAYDASTTMWADLANLQGYPVLGLHQGSLLCS >Dexi7B01G0024330.1:cds pep primary_assembly:Fonio_CM05836:7B:28679315:28685784:-1 gene:Dexi7B01G0024330 transcript:Dexi7B01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQITISLSGSTNTQAQNIFPVYVLLARPTSNISLEGHSPIYRFSRVCLLTSFSEFGNKDKTEATFIIPDLKTLSTSRSCNLNIILISCGQLGQVIGEDNCSGSYVEGSSLKKLEGKCYWGKVATHLLASSLEKERARLSLGLTVELASTVTMSPSFLEPKFLEQDNCLTFCSHKVDVTVRSILLIYGVTEDFSCPFCLVPCGSFKGLGCHLNSSHDLFHFEFWISEECQAVNISLKTDAWRTETLQGYNSPCLLQFDCHLLAEGVDPRHQTFSYRSRFKKRRRLETTAKFRHVHSHVTESGSQEDAQAGSEDDCVQRENGLSVADASVDPSHTVHASNLSPPTVLQFGKSRKLSVERSDPRNRQLLQKRQFFHSHRAQPMALEQIFSDHDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRLVVLADGHIPWACEAFSRCHGQQLVQNPALLWGWRFFMIKLWNHSLLDARTMNTCNIILQGYQEGSSDPK >Dexi2B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:2B:9895514:9903130:1 gene:Dexi2B01G0009090 transcript:Dexi2B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVWGRPGKARRAMRPLSLALDLPCSFVSAFALSPLKYNVPSILKSIHLEAEACRCTGYRPIADACKSFASDVDLEDLGLNIFWKRSDKNPDVIAEQRQITLEEFLEQPPLDPTTLLLSIFIPNWISDYQKDTNTLVLRNLRLAFGAYGTEHAIRAKKVEEFLTGKSLTASVVLGAIQLLRDTIVPMEGTSHPEYRVSAAVGFLFSFLSPLTKSIPQPGKALTSGSADSADTDDVRNHPVSSRREIIPNDDYKPVGEPIKKYDVELQASGEAVYVDDIPAPKNCLYGEFIYSTQALAYVKCIKFRSSLASERIIDVVSAKDIPIGGENIGSTFTFGDEPLFGDPIAEYAGQALGVVIAETQRYADMAAKQVRLASEYYFYMETQTALAIPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSKVRVITRRAGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGYKSDGKITALHLELLINAGISPDASPQIPGAIMSSVKKYNWGALSFDIKVCKTNNTSKSVMRAPGDTQGSLIADAVIEHVATVLSVDANSIREKNFHTYDSLQLYYPDSAGEASTYTLHSIFDRLISTSSYVDRAESIKQFNISNKWRKRGISCVPGIFRAEQRAAPGRVSVLNDGSIVVEVGGIELGQGLWTKIQQMTAFALGKLWPDGIEGLLERVRILQADTLNLIQGGLTAGSTTSESSCAATLQACNMLIDRLKPVLDRLQQQSENVSWDTLISQASKENVNLSASAYWVPGQESNKYLNYGAGISELEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQGEDNII >Dexi4B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:4B:21148469:21149453:-1 gene:Dexi4B01G0018880 transcript:Dexi4B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGAPHASSNTNDGTTTSFLTDKSAKIFLAGHNGMLGSAIHRRLTSLGFTNLLGRTRAELDLSCEPSVRKFFDAERPRYVILAAGKVGGLHASSASPVDFMTENLRIATNVLTAARLCGSVRKLLFLASSAVYPVDAPQPIPESALLTYAIPKIVGIKMCQAYRLELGLDAIVAAPNNLYGPRDPFPSESSHVIPALIRRFHSAKVTGAGEVVVWGSGYQLREFTHADDAADAVVLLMDRYSGDEHVNVGSGREVTVRELAEMVREVVGYEGRIVWDTSRPDGVMRRRLDSSKMRAMGWEPK >Dexi1B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:1B:851724:853775:-1 gene:Dexi1B01G0001000 transcript:Dexi1B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPGGRHPRFPPVRAYDASSHPRRTVAADLDGTLLASSSAFPYYFLVALEAGSYLRAAALLLAAPLLLVLYTFVSEAAAIALLVFVTFSGLRVRDVEAVSRGVLPRHYAAGVRADTWAVFRGCEERRVVVTASPAVMVGEFVREFLGAEVAGTELETFAGGKRFTGRIKAVLVGERKREVVTELFAAGDMPDVGLGDRESDHDFMAICKEAYMVTPDRRAPRAAADSLLSRAIFHDGRLVRRPDPAQALFALAYLPFGFLLALFRVFFNLMIPSHLVRYTYRLTGIDLAIRGTPPPPPRRGAPGSLLVCNHRTALDPIIISVALGRPVTCVTYSVSRLSTAISPIRAAALTRDRRADAATMAALLEEGDVVVCPEGTTCREPALLRFSALFAELTDRIVPVAMEAKQGTYYGSTARGWKWMDPYFFYMNPRPGYEVTFLPALRKEETCGGGGRSAVEVANHVQRVIAKELGFECTKLTRKDKYMKLAGNDGTVVSSKVKQQDDNGGKLD >DexiUA01G0022200.1:cds pep primary_assembly:Fonio_CM05836:UA:45361182:45363435:1 gene:DexiUA01G0022200 transcript:DexiUA01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKMPAGLCWLLLGVVLAFGVAASPAQAANHYDFFIKETNVTRLCHEKTILAVNGQFPGPTIYARKDDVVVVNVYNQGNKNITIHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTVWWHAHSDFDRATVHGAIVVHPKRGKTYPYPKPHKEIPIILGEWWNVDVEQLLLETRRTGSDVNISDANTINGQPGDLFPCSKNGTFRTAVEHGKTYLLRIINAGLTNEMFFGVAGHNLTVVGTDGRYLRPFTVESIMISPGQTMNALLVAGRATNGSANSRYYMAARTFATNTNLPFDSTTATAILEYADAPPSAGPPDFPTNLPAITDIAAATAYTAQLRSLVTEDHPIDVPAHVDEHMLVTIAVNVLPCGANQTCKGPGSNRLAASLNNVSFTTQPTDILDAYYSSMPGVYTPDFPNRPPFFFNFTDSLPLNLTFTKPGTRVKVVEYGTVLEVVFQDTGLLGAENHPMHLHGFSFYVVGRGFGNFDGSKDPKTYNLVDPPYQNTVSVPKAGWAAIRFRAANPGEN >Dexi9B01G0041000.1:cds pep primary_assembly:Fonio_CM05836:9B:41473512:41479538:-1 gene:Dexi9B01G0041000 transcript:Dexi9B01G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTNFGFPRVPPTSSPAPAEAAAEPSPKRRRVGESAEELPQPPDMGEEALERLRGVVRDSLGKHLYSSAIFLADKVAAATGDPADMYMLAQALFLGRHFRRALHLLNNSRLLRDLRFRFLAAKCLVRLSSSLAVSFRRVRRVHEELKEWHQCLLMLGDAKVDEHGKVLDEDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNRDLARQWYKAAIKADPLCYEFFTQAKSICPSDPLIYNELGVVAYNMKDTGFLSDIPSNWMS >DexiUA01G0019460.1:cds pep primary_assembly:Fonio_CM05836:UA:40598312:40598922:-1 gene:DexiUA01G0019460 transcript:DexiUA01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGAWRPRRSKASSSAADGDAADNGAEGGRAPPKGQAAAAEGGGGFFCCYLLRSLCPRSRSRTYIGFTVNPRRRIRQHNGEIASGAWRTRRGRPWEMVLCIYGFPTNVAALQFEWAWQHPIESLAVRKAAVEFKSLGGIGSKVKLAYTMLNLPSWEK >Dexi3A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:3A:7629201:7630925:1 gene:Dexi3A01G0010660 transcript:Dexi3A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATAPPACCTSQRRQAHQRQATARPHRRAAAKAKGGRAIASAPALFLPAGDRFGLSERLVRCVLSLLPSTPPLLPMVARSIQSGAARRLVGGGGQRWRRDKFLWIPARGNRRRDSLLQASRVESFRNFTPFGGGPVTLSCVRGPSSRESNKNAKPLGKSSPKKTPPPNQKQRQTPHGEAPAEDQAKRGAPIRSLMESRAPGMADEAAEAFYLSAGAVGNAAAAVTVAAVDAMGSVEAGGSGTGMPKPESVPVELLGGGGGLQLANPSPAPRYRDRHTKVEGRGRRIRLAAPCAARVARLTRDLGHKSDGDTVRWLLQQSEPAIIAATGTGTVPAIAVTGSDGVLRLPAEPAATVSDAGGEQEEPGTKRRHKLQPTRAVAGFSALAPAPAAYYPVVADPLLQANGGGAISVSSGLAPASSTATPAGAIPFLAIPATGAGATCDGKQMIPPAAMWMVPQQAGAAGVAIQPTHYWAFPTNPELFNVANFQQQVAYDAEQLVGNGDSQDQQPCSHQLGEGDEEEIAVTDSSSEE >Dexi5A01G0031180.1:cds pep primary_assembly:Fonio_CM05836:5A:33998327:33999326:1 gene:Dexi5A01G0031180 transcript:Dexi5A01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLWSNRIKSSPIQFPIWPSSSSPHLTRCAAAAGDSRTLVQPVGQKRLTNIAVVRLRKHGQRFEIACFPNKVLSWRARGEKDLDEVLQSHTVYSNVSKGVLAKSKDLIKAFGTDDKTKICIEILEKGELHILEKGELQVFGKEREAQLSTQFRNIATIVMEKTINPDTRRPYTITMIERRMHQIHFAVDPNLTSKEQPNRL >Dexi1B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:1B:23517050:23519455:1 gene:Dexi1B01G0017140 transcript:Dexi1B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLLDLEGHYAFYGAYQSNPVNVGIHELFVWPIFLTALLLLHLTAPFAHAAGIGAAVYGAYYFLLDRRAGALAALLCFLCWAASGALAARLGFSVGWKVVLVSQLVCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEILHTFGGYEPYPGFHDKVSKLIEKARKEWEDKKSKKSS >Dexi8A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:8A:18968319:18970399:-1 gene:Dexi8A01G0010860 transcript:Dexi8A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHMDVVHQFIDATATTPLAVQLPLLLLFIVPLILLLHLHVASRNRKHQKKPLPPSPPALPVIGHLLLVGDLPHVSLRDLAAKHDHGGGLMLLRLGAVQNLIVSSPHAAQAVLRTHDHVFASRPASTLVDRLVYGSSSVGFAPYGEHWRQVRLVIAKLRETTAVGAEVDISEIVNAFANDIVCRAVCGKFFRAEGRNKLFRELNHITTVLIAGFNVEEYFPGLSKFLGVFTRFTSNKANQTHKRWDRLLEEIISDHERRRSSEHGHGAGGEFEQEESDFTDVMLSVQQEYGITRDHIKAILMDMFEAGTATSTLVLEFAMVELMRNPRLMAKLQAEVRNMTPKGQEMVKEENLPSMIYLRAVVKETLRLHPPAPLLVPHKSMADCDIGGYTIPSGTRVIINTWAICRDPKSWENADEFMPERFMNDGSAVDIDLKGNDFQFIPFGAGRRMCPGINFGLATINIMLANLMYCFDWTLPAGMVDDDIDMTEVFGLTVHRKEKLILVPKTT >Dexi2A01G0024940.1:cds pep primary_assembly:Fonio_CM05836:2A:36756311:36757105:1 gene:Dexi2A01G0024940 transcript:Dexi2A01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQQLRSISLPVRPHALVQELEDELHRLRSGASASSSASPAALAARLGDAYGRIEELVRLPGDAAALSSARWRPAVEAWLDASVALLDLCERARDAAAGAKQHVRAARCALRRGDAELAGAAVRGYARCLAKAIKQLASGGAKRSLIKQADHGEEAPTAVRVLAEAVAVTVAVLRHAMASLSSAARIVDARRKKSMWCVVSRLVRSRDWSRGVCGDLDGDYGAAAEETLRELEDSVEAVEGGLEHLFRHIVQSRVALLNVLTL >Dexi9B01G0036890.1:cds pep primary_assembly:Fonio_CM05836:9B:38360781:38362028:-1 gene:Dexi9B01G0036890 transcript:Dexi9B01G0036890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTPRGRSSSYSQTRCKCKCLGGSKGDRATPCCSFNPLKSLFRCPGGRGRSRSRSKHRQRTPSRVCDVAPVAATASVPLQQGQEEEEPSFFVYAMPNQGGFGSSGGGAEHKKKKHKTKPCMPSFGSCFRRKKKKERKQQQQAINNKAATAATTAVGHPRPALTPASSLLTHPPGSPSLHDKTTQAATPTTPSMTQPPSPAPTENDSTINSPAPPGHRQRQPPTPIRPGEQPTDSAMSSSPFARRMHQQQPKQVVEGLEIVEVATGERLSAHELSLIEMVGSSAESSVKSSLEYANEPPVVPQPQQQPAKRAVVERETAEVVNKVQEVPKLWLNGKSAESRARERFAKPLVPVEAEELWAHDVACSRVHATMLAETSA >Dexi5B01G0026970.1:cds pep primary_assembly:Fonio_CM05836:5B:28620357:28622534:-1 gene:Dexi5B01G0026970 transcript:Dexi5B01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPTLCAAPFAFLLSPPCSPQTNPTSATARRRNAARSVTATTSSAEEATTDCGTKLSAWTSVRQERWEGELAVEGHLPDWLNGTYLRNGPGVWDVGGHAFHHLFDGYATLVRVSFRQGHATGAHRQIESDAYMSATATGRPVLREFSQCPSNKPGTNLLDRVSNAVGVLTGAALTDNPNSAVLRLGDGRVLCLTETTKSSVLIDPDTLDTVGKFRFADGLGLGGVMVMIQSGHPIVTESELLTVLPDLARPGYQIVRMEAGSDERKVIGRVDCRGGPTPGWMHSFAVTEKYVVVPEMPLRYSASSLIKSELAPYYAFEWLPASGSYMHVMCRFTGKTVASVEVPPFMAIHYINAYEEEGEDGRAAAVVVDCCEHYGDPSIIETLVLHRLRSLRDKDVLPNARVGRFRIPLDGSPFGELETALDPEEHGRGMDMCSINPTYLGKRYRYAYACGARRPCNFPNTLTKIDLVEKTAKNWHENGAVPCEPFFVARPGAKDEDDGVVISIVSAVDGGGYALVLDATTFQETARVRFPYGLPYGFHGCWIPA >Dexi9A01G0040300.1:cds pep primary_assembly:Fonio_CM05836:9A:44114291:44118480:1 gene:Dexi9A01G0040300 transcript:Dexi9A01G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHMLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAVPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGAPEGAAADSAGDSERRRILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSAATLPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGAESSNIGDHGGFAPNISRQINQVGTVTEAIEVVKQAKDAHWGVMVSHRSGDTEDSFIADLAVGAAAGQIKAGAPCRGECLTKYNQLLRIEEELGSDGVYAGENWRTASTS >Dexi1A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:1A:26201383:26206510:-1 gene:Dexi1A01G0019020 transcript:Dexi1A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFLLLLLPVVVLVLFPSARSARDADAVVSRIAFGSCANQSAPQPIWDAVTGFDPQVFVWLGDNIYGDNKRPFRVFGKERTVGPWKNVPRFYPSTEEELRRRYQLARAQPGYARLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLMLDFLDEAEDSKRYALRITGVDLEFLHLPISSIINFELKDNNTILQVILLDTRYHRDPILSDGTILGDPQWQWLERELHGPRSEITIIGSSIQVVSNLSATTGPLFYVESWSRFPRERERLFRLIDSSKRNGVIFISGDVHFGEITRFDCQPNFGAIEIDWNAVPPRMKLELRDVEGHSVHSVEFPISELQPSAFSIAVVLLAVTCLSSIKMCSKKAKKE >Dexi9A01G0028790.1:cds pep primary_assembly:Fonio_CM05836:9A:33516999:33518965:-1 gene:Dexi9A01G0028790 transcript:Dexi9A01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTSPAASSPLLLASRLGALHGSAPLLPLHHHHRGASSSSVHFLAPRRKTRPAPAMSWLGKLGLSGLGGSPRASEASAALAQGPDEDQPAPGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHEPTYEDVCTGSTNHNEVVRVQYDTAACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKTARESLEKQQKLLNRKIVTEILPAKRFYRAEEYHQQYLEKGGRFGFRQSAAKGCNDPIRCYG >Dexi2B01G0023680.1:cds pep primary_assembly:Fonio_CM05836:2B:33238532:33240147:-1 gene:Dexi2B01G0023680 transcript:Dexi2B01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKSMERNMVGIVGAGVSGLAACKHALDKGFRPVVLEADEGIGGVWAHTLESTKLQATTAAFRFSDMAWPESVTEMYPSHHKVMEYIRLYACKFGLLEYIRFNCQVLGVEYLGATEEEIMSWEQWSGNGTAFGTGKDGGWRITVKDLKVGSTEVVQVDFLILCIGKHSGTPNIPEFPANGPELFRGKILHSLDYSYMDNVAHFVKGKHVTIVGSGKSAFDIAAEVAKVNGAAHPCTIIFRTKHWLVHKSSIWGIDLGYFYLNRISQLLLHKPGEGFLHYLLAAAWSPLRWAMSKVIETYFKWSIPLQKHGMVPDYSFSFAMSSCSIAMLPEGFYDRVDEGSIILKKSKAFNFSNDAIILQDRNESIKSDIVILATGFRGDQKLRDIFTANWCRKIVAGSPDTSAPLYRYSLYHF >DexiUA01G0015260.1:cds pep primary_assembly:Fonio_CM05836:UA:31928472:31930015:1 gene:DexiUA01G0015260 transcript:DexiUA01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNSGSGDSMEWGMGRSSGSRKGKKGANSSSDKPRQPQRGLGVAQLEKIRIQSEMAEYLHHPLGQPPPIHRTGSFNLVIQEEPRMMSHSLPSSPSSPFHAKTGVSSSYPIHRPNLAVHVLNASVYFNNTSMTYGERSRDIRYGALHTNPIISMDLFPICIFRPPNYHGAIYGSEAQYTHPSNVTLPLFEPEESICLNRPYDLNQTVNSSDLDDQEVDLELKL >Dexi7A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:7A:6910646:6911543:1 gene:Dexi7A01G0002000 transcript:Dexi7A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAALSSPARSTSRPDGDVDSAAARAPWRVDPTSNLVVAAANVLEVYVVRTDTATGAKDGGTSSAGVVLDGISGARLELVCHYRLHGNIESMSVLSDGTENRRDSIALAFKDAKIVCLEFDDSINGLRTRL >Dexi3B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:3B:5323013:5326562:-1 gene:Dexi3B01G0007500 transcript:Dexi3B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLMLLVLPVAAIVLPSLAHATVAPRLDSIFSFGNSYADTGNFVLQSAGLPSIPFNHSPYGDTFFHRPTGRASDGRLIIDFIAEALELPLVAPFLQPRQDLSHGANFAIVGGTALDAGFFLRHNAASVPPFRSSLRAQIGWFRRFCNGTAAAGCREHHLARSLFVVGELGVNDYGYLLAGGKSVAEAKSFVPEVVKAICRGIESLVEEGARYVVVSGTLPAGCLPMALDKYGGAPGNATEYDRRTGCLRRLNGLSQYHNWMLREAIGHMRAKYPATKLVYADFYRPVARLIRRPRKFGFIEEPLRACCGGGGPYNYNPEAACGSPGATACGDPSAYVHWDGIHLTEAAYKYIANGWLNGLYAHPSILDLAQ >Dexi8B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:8B:21136159:21136736:1 gene:Dexi8B01G0011880 transcript:Dexi8B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVNGAPLLLRNRTIHDVDDVVTEPPGRRDGVARRRVVDVGVHRNRRLHGGAMAGLVKSALYIPQSRHRHLVERAVVSSEERLVPHGDEPDVHAAVEGVPRDVRLHPLLRAGVVAGDGGEEVVGHGDHRLDVRSGEAFHGRLVGVEDLDFVEAVVCEEAGYHFGWETTRRHGAPVDTERVGRRRRRCRH >Dexi1B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:1B:14319317:14320718:-1 gene:Dexi1B01G0012160 transcript:Dexi1B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSATKPIELFNALLYYARRLAEKLQPAAKLVELHGGHLVNMSLMEMIKASKSNTDLEECWFSPYTRW >Dexi3B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:3B:633559:634449:-1 gene:Dexi3B01G0000730 transcript:Dexi3B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKPPAGVGKQELEEALLQIVQQHHHQSLRQRQQTERAKKDALRSAVRVADLLVDAVDGGVQELFVNEKRIELEARALLSTIARYRKQTDQWLTATNEINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >Dexi2A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:2A:513389:515801:-1 gene:Dexi2A01G0000870 transcript:Dexi2A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWSPVSLEDEDEEVEEVDGAAIVEQQSEGVQQEEAKPREDEASAQRRNKNSKKRARSEGTEVNSSTNPSSSRKSEVWKDFKIISPEGAPEVAECLHCKRKFTADSKNAIAAGPSAQGAETTENAVANASDEEESE >Dexi5B01G0025560.1:cds pep primary_assembly:Fonio_CM05836:5B:27584520:27588549:1 gene:Dexi5B01G0025560 transcript:Dexi5B01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAGAGGGDDRPLAGAAAAAEHKVNLSARRPFVEAVRTGLAETFFPDDPFRGFGSRPPAARAWGGLRYFVPALEWAPRYSLGKFKYDLLAGVTIASLAIPQGISYAKLANLPPIIGLCTSNNLAVGTVAAASLLLASIIETEVPPEENPQLYLQLFYTAAFFTGVIQTALGVFRLGLIVDFLSRSTITGFMGGTAAIIILQQLKGMLGMKHFTPKTDLISVIRSVFQYRHEWKWQSAVLGICFLLFLLSSKHLRKKRPNLFWVSAIAPFMVVVIGGIFAFLVKGNEHGIPIVGDLKKGINPLSISQLTFTDKHVNLAVKAGFLSGILALAEGIAVGRSLALIKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCRTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFCHLYRVDKFDFCICMVAFVGVVFFTMVIGLGASVGLSVIRALLHVARPNTCKLGSIAGGEIFRDVRQYPHARNIPNVLVLQLGSPIYFVNAGYLRERILRWVEDEENACKIDGQDLQYLVLDLSGVSSIDNMGIGILVEVHKSLDRKGIRVALTNPRLEVTQKMVLSGYIKDTIGEEWVFLTVKDAITACRYALQRSRSKEDGEV >Dexi9B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:9B:10149340:10149756:1 gene:Dexi9B01G0015060 transcript:Dexi9B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRGRGRKSSSPSTSQGAAMRALRGARDLYVRGLRGLDRLLAAASPRRGGVGRPTSRVFGSGGGRDSDEELRELVRATQARRAAAAAAAASTGGAAVGGVKDEAGAPAVKRKDRRRVTPQLERINEDAAAVYPIAS >Dexi5A01G0022090.1:cds pep primary_assembly:Fonio_CM05836:5A:25990089:25995363:1 gene:Dexi5A01G0022090 transcript:Dexi5A01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVMEQLTCKLCARILRSKNYCGICLKSSQRRNGGRWVCCHGCESWVHAECDENCTSLKALRDDSYCCPYCRVKRNSTLPGKNANFSYAKKDSSAQKGSKPVKVALVCFDMEGTYLPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKLKGSLTPFGKWIDKHQPGVCPTNPSKRSQKMKKQKLIDLLNDPYDPINVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVSSKQDFTSWVCRACEEPEQKRECCLCPVKGGALKPTNIDNLWVHVTCAWFQPQVAFASDELMEPAIGILNIQPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMELHCLEKNGKQTTKKISYCANHRNPNPDNVLIIQTPAGTISSKKLVQSNGKAAASRLIRKDLPMDSPSEVEISENLSASRCRVYFRKDLKRSTEGAIAHRVRGPCQHRWDEIDNLNPPREERDPESFSTFKERLHYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKKVCAGDELTYDYLFDPDEADEHKVPCLCQTANCRKYMN >Dexi1B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:1B:25992567:25993964:1 gene:Dexi1B01G0019760 transcript:Dexi1B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAFLLRHGRRAHAHGLPLSRALSTTAASTESGAISLSAARRRLRRELDPDRAVSILQAIDAASISDRASATRKMLTIAARRLSHAGRFADAEALISSYLPACTNETYLAAVLCCYASANLPEKALDAFRSTVPSLPTPISPHPFNALLSTFLECRSHDRIISLFGELCKEFSINPNDRSYGILIKAYCVTGNDAKAKQTLEQMREQGISPTAKTYRVFIDSMYKQKKIHQAELLWKEMIESGIKPDVALYTVKAMNYGLHGKPEEVMEVMTAMEADGVKPDIITYTFLMNGNLEDAKVVYNSLSEKGCSPNAATYKYMLEGMYANCDFDAGLDIFEESKKKKKIPDFRTMKRFVEGLVKGGRVADAQQIIAYMRKRLTSRLRSGWEKLEKEFGLDSDSGDTPPLEDSSAKLDAEAKSVAADGDALELGGSATEETAVSEDSRDDEVPVPKEVSRGPA >Dexi3A01G0028820.1:cds pep primary_assembly:Fonio_CM05836:3A:29753279:29758567:1 gene:Dexi3A01G0028820 transcript:Dexi3A01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDSIALKASPSPARFAKFALARQLTNHPNSPIPMDPAGRRAAAIARHLAGALPAPPPPPLAAGAAPQLGPSPCLSYVPPESAEPAPAFPPAELRALLDGHHLSDRDWVFSVMEESQLFCPRQRGGGGGKVFVAPDYNEGKEAQREATMRRIAHLARRGVFRGWLTEPGADAELRKLALLECLGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLLATENYEIKGCFAMTELGHGSNVRGIETTATYDSKAREFIINTPCESAQKYWIGGAANHATHTIVFSQLHINGKNEGVHAFVAQIRDDDGNVLPNIHIADCGHKIGLNGVDNGRIWFQNIRVPRENLLNLVADVLPDGQYVSMIDDPDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAVRYGLSRRAFSLTPDGPEMLLLDYPSHQRRLLPLLAKVCLMSSAGNFTKKMYVKRTPKLSKAIHIYSSALKATLTWQNMITLQECREACGGQGLKTENRVGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLAAQKKKAPFKGLGLEHINGPSPIIPDVLTSSILRSSKFQSYQLAEDLARAFTERTILQISFEDGMRVPSGSLKEVLGLLRSLYVMVSIDESASFLRYGYLSRDNVAGVRKEVMKLCSELRPHALAVVSSFGIPDAFLSPLAFDWIEANALSTGSH >Dexi4A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:4A:12373525:12376582:-1 gene:Dexi4A01G0013240 transcript:Dexi4A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKASVDEALLDGVEPGEDETVREEVKKQLWLAGPLIAGALLQNVIQMVSVMYVGHLGELPLAGASMANSFTSVTGLSVLLGMASALDTLCGQAYGARQYTLLGIYKQRAMLLLTLVSVPLAVVWFYTGDILLLFGQDADIAAEAGAFARWMIPAVFAYGLLQCHVRFLQTQNIVQPVMVSSGAAAACHLIVCWLLVYPLGMGSKGAALSNAISYWLNVAVLAVYVRVSSACKETWTGFSTDAFHDALSFFRLAIPSALMVCLNTSAFVWMIPFGLSSAISTRVSNELGAGRPQAARLAVRVVLFLAVSDGLMVGLILVCARYIWGHAFSDVEEVVRYVARMMLVIAVWLEAADGRGLVLALILAPTTSLASHQLTS >Dexi4B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:4B:10045965:10047336:1 gene:Dexi4B01G0012270 transcript:Dexi4B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPRILRRGPVRSAAALASIRGGPWDRMCGSAPSPSAALCEHPCGGSGSEPHGWSCFRQPVRCGSTAVMLDTEGGFARFAVGDTDRTKEKKGGQRQQPPPKKKKLSRKAKVNQLKWYRLKAKKKMKSPNPQVRIRYKLEKAKRKEEWLIEKLRKYDVPRTPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELDDYQKHVALFKNREGANSVDEETTVDLTTTSYSD >Dexi7B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:7B:9287469:9290633:1 gene:Dexi7B01G0003710 transcript:Dexi7B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKGGSGTYTINLDNFSKRLKVFYDHWKEHKSDLWGSSDAIAIATPPPSEDLRYLKSSALDIWLLGYEFPETIIVFMHKQIHVLCSQKKANLIGTLKKAANEAVGADIVLHVKAKSGDGTDLMDDIVQAVRNQSKSGNPIVGHIAKEAPEGKLLETWADKLSGSSIQLTDVTNGFSELFAVKDTTEVTCVKKASYLTTSVLRNFVVPKLEKVIDEEKKVSHSSLMDDTEKAILDPLKVKVKLKSENVDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGSKYSSYCSNVARTYLIDATPTQSKAYETLVKAHEAATEALKPGNQMSAVYQAAVKVIERDAPELLPNLTKSAGTGIGLEFRESGLNLNAKNDRRIKQGMVFNVSLGLHNVQAETTSEKTKQFSLLLADTVLVTEKGNEILTAPCSKAVKDVAYSFNEDEEDVPAVKVESKTVDVLPSKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGGGSGSGEGRGPARASNELVAYKNVNDVPFVRELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSKLNSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQVGNNRMKMMRLSDVWIRPAFGGRGRKLTGNLEAHFNGFRYSTSRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGSRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVSLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDDDSDSESLVESDDGDEESDEDSEEEKGKTWEELEREASNADREHGAESDSEEERRRRKAKTFGKSRAPERSSFKGGPPSKKPKFR >Dexi5A01G0031890.1:cds pep primary_assembly:Fonio_CM05836:5A:34468030:34469637:1 gene:Dexi5A01G0031890 transcript:Dexi5A01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLHWEPKVSLKEGLPLMVTDFRQRISEE >Dexi7A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:7A:28310008:28314179:-1 gene:Dexi7A01G0019000 transcript:Dexi7A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAHADAGAARRRKWTREAVTAMSLLSAQSSDSDAMLDRPALRESRRTVPRPHPANGTAAVPSSTAPATKASESGQRSGEAGTAVVLAMASSSDPDKLMTKADKLTKLSFTRWNADWKSATSLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAAALAKELGRWNEVSDFYRRASELYRECGRLQPASDALAKGASALEEKSPEEAIKMYDEACSVLEEDGKEQMAFDLYRAAAALYIKMEKYSDAAAFFLRLGSAADKCNAINSQCKAYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLCSDQNRCAMKLLSAYEEGDAEEIKRIGQSSAFNHLDHVVIRLARKLPTGDVQAIKKAADDGEESLDEDDLT >Dexi8A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:8A:25051550:25054645:1 gene:Dexi8A01G0014620 transcript:Dexi8A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKDRMRHIRRAIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESLSISEVDKSPSHSSMASPSPSHAVENVVSPVHRASPLGTPPSTRIHCMKAAGTTPLTFMIDPSAAEFVGQESPVSTFVPPPPPLPPELCTSWDFFDPIDAGGSSASNNDKGLTLNFSRLKGLRESREPEVVPLKEEGEEEEEAFMCERRHTELPDGDAPSKQEREPKQSGISKPMQSVDASSKATSSEQVAAKVEESEMDKELCAETEDPSEFITHRAKDFVSSMKDIETRFLRAAEAGSEVSRMLETKKIRLDICPKIPGSPGKLPTARFVSALRVCCNRDIILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSPSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKSMLECHHKQFITITLAYHVKSSTSAQQSEHHHQTAMNLWNEMDSFSSSFRNWVTAHQSYVEALNAWLQKCVLQPPQDRRRRKRKVSFPPRQAVSPPIFVLCRDWLTLTESLPADELCKSIKNVMQLLRDSFDHQDDQNKPKSESQERGMLENNEQEEAYSGSVPAAEGLQSKLTMVLDRLTKFSEASLKCYEELKQNYEMAHDDYKRFGPNAQLA >Dexi9B01G0028920.1:cds pep primary_assembly:Fonio_CM05836:9B:31496340:31497772:-1 gene:Dexi9B01G0028920 transcript:Dexi9B01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLGFLKAVALILVPVALAVALYNPGDFSPAPMPPDYSYGPVVSAPRHEARVLDHIERLGEGKLPRPEDLAYDAAGGWLYTGCADGWVRRVSVPGGDVEDWVRTGGRPLGLVLAADGGLLVADADIGLLKVSPERKVELLTDAAEGVKFAMTDGVDVAADGTIYFTDASYKYNLANHMTDILEARPHGRLLSFDPATGRTAVLGRDLYFANGVAVSPDQSSLIYCETPMRRCSRYHITGDKKGTVEKFIDNLPGFPDNIRYDGEGRYWIALSAYPFIRKLLYLVDKFVAVPHGLKNAGAMSVTLEGEPVSMYTDPGLALATGWLKVGKHLYYGSLTETYLSRIDLTKSSAQLHE >Dexi7A01G0023160.1:cds pep primary_assembly:Fonio_CM05836:7A:31237034:31237672:1 gene:Dexi7A01G0023160 transcript:Dexi7A01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAVVFQAGAAVMTFAPSFRVLMIGRLLAGIGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHINWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEARAVLLKVTDSEDEAKERLAEIEAAAAATNAG >DexiUA01G0011450.1:cds pep primary_assembly:Fonio_CM05836:UA:23031661:23031879:1 gene:DexiUA01G0011450 transcript:DexiUA01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTHQQLEHQVVGGQSVKADACLAASASTDAAGASPPTRPPRTPIAVRDALNGGDGYPGFRLVFHATEWI >Dexi8A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:8A:3913357:3913632:1 gene:Dexi8A01G0004480 transcript:Dexi8A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRPLQNHHPRNSPKDKVVAWKEEEHEPDVEMVDLEHWHTLEENAEVDMLRSTVLVDIHRPAERAEEVHIVVDKRRKNRSCMSQTPYDFD >Dexi5B01G0022770.1:cds pep primary_assembly:Fonio_CM05836:5B:25054673:25055549:-1 gene:Dexi5B01G0022770 transcript:Dexi5B01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEFFHDELSSLFAQRPAPPPGGAGEMTMMAHQQQAPASWFADYLNAGPNGMGTTTDYDLLFRALDLPVPGDDAIKREPLLVVDTGGGGFAAATPTPSGGGTAPVTPNTTSSMSSSSSEAAGGGGGGGGGRGGGFGALEEIEDSLKKEEAEGDGEGEESKELGKGEEDDADKSNKKGLRQGKGVNLG >Dexi8A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:8A:20813109:20813945:-1 gene:Dexi8A01G0011820 transcript:Dexi8A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFATAEEAEAALGRAMTWAEAAWFRYSGSTPDYCLCFINFLILFACYTLTGLPIALLELCAPGRLTTPYKLQPKVRLSPDVFIRCYTNAARDMALLTMGPLLLVPYAALKVTRIRTGLPLPSVWEVAAQLVVYMLMEDYLGYWFHRLQHTKWFYKNIHYVHHEFRAPMVFVAAHAHWSESLVLGFASFVSMVIVPCHITTCWLWFAIRGAAGVEIHCGYMR >Dexi5B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:5B:7549393:7549967:1 gene:Dexi5B01G0010710 transcript:Dexi5B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVFISLVLFILLSPGLLFQIPGKCRIIEFGNFHTSAISIIVHAILFFALIAIFLIAIGKNI >Dexi5A01G0028440.1:cds pep primary_assembly:Fonio_CM05836:5A:31725395:31726400:1 gene:Dexi5A01G0028440 transcript:Dexi5A01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMQPYGMRAGAASAAGPAHAQATAAEEEAPPPGGPGAPCGACKFLRRRCVPGCIFAPHFGGGGGAREHGSGAGAAQFAAVHKVFGASNVAKMLSRVPVALRRDAASTVCYEAQARIADPVYGCVGTILALQHQVALVQAELSIAQTELLNRRLALATVNPSYAAASPTSQMVNCGSIAQAVDFIDIEPAMRALPSPLIPSQDSQQLQRQEEQNGGSPTMDVFSHDVLGKEKLL >Dexi5B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:5B:17855425:17856876:-1 gene:Dexi5B01G0016910 transcript:Dexi5B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCLLPFLLAAAALLIAWAPGGALAKSKLAKKSDDIVNGPLLTEKLKANRTLIVGPDEEFKTVQSAIDAVPAGNTEWTIVHLRSGVHRHASHASGKVVIPKNKPFIFVRGNGKGRTSISHESASADNAESAAFTVNADNVVVFGVSFRNSARAGLVNNQEIRSVSAMVAGDKVAFYHCAFYSPHHTLFDSAGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEILGSITAQDRMTDNDNSGFVFLKGKVYGVGEVYLGRVTAPDSRVLFADTYLSKTINPAGWTSIGYTGSTEKVMLAEFNCTGPGSDLAKRVPWSQRFTMNEASKYLTIDFINGNEWLPAYYY >Dexi7A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:7A:24109298:24110198:1 gene:Dexi7A01G0014130 transcript:Dexi7A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPADHHQHQFFMQLPVQQPQPQPPPPPQQQLCAPMMDEKTSSFLAGRGGGAAGRGERKRRFTDEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAKFDTLHARVDSLKQEKLALTTQIQELSERLREREDRAAGSGGAATASSSSCNGGEEEEADEDDKRNVVLACVNMEPPESCVLDVSVESECDGQHHLDYDDGFPESFCATPELWEPWPLMEWNAVA >Dexi7B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:7B:24095699:24095823:1 gene:Dexi7B01G0018270 transcript:Dexi7B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIPFSSDRLTALTRARIIEVTKPQFTGAGSL >Dexi6A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:6A:26417573:26418409:-1 gene:Dexi6A01G0018690 transcript:Dexi6A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALARKVQAARRRADRMLFQERNLERQGKCLPRQIKRQRKSEAQEAAQTTTVDSAAVEFKLPCVQLKNVATGKAVHSDPISAGGQMWRINCTCVNESTGVYLAIFLELLSKSGSAKARFEAFLAGKDGEPSLVSVKRTGVHLFHRDNDQFGWPQFVKIMDLATDFVRDGVITFLCSIMVWHHSGGIPVPPSDIGENLGMLLDSTDGADVSFAIDGETFHVHRAVLAARCPLTGL >Dexi9B01G0033810.1:cds pep primary_assembly:Fonio_CM05836:9B:35905495:35908354:-1 gene:Dexi9B01G0033810 transcript:Dexi9B01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSGKKRSEEEWRAVLSLEQFRILRQKGTESPDPDGRRVEITCTACGGHLGHVFKGEGYKTPTDERHCVNSVSIKFTPAS >Dexi4A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:4A:10985979:10987439:-1 gene:Dexi4A01G0012440 transcript:Dexi4A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTTSGSMPLASPGAARTFRRRAVIWSSSRGSSRRATRHASGHWKARCVLSPIPGGRRTVTWPIADASRPQSAGGHVAEDEAPICDNMELQSSYIVESCGELLWVFVQIDTGSAYYKEILDFRASDIDILASALSVSVYTRRQGEAAESSKPCWVGKDGRSLANQVLFLGKPSSFGVEAARIAMSGGCAYFVDNRLLSGRVWSKLEFQLSRLFRYSFQDNRAEFVEELSDYPSNHAYMWITSRISIAPIEEIRGRLEALNGQKAAWPRQQCESFFKIHVGNLAPKVDNCQLRQFFGKLGKVSHVRVVCDRGTGHSRGFGFVYMSMASTVDDEPAEAVAKLHGLVTISLICS >DexiUA01G0008310.1:cds pep primary_assembly:Fonio_CM05836:UA:15505138:15506009:-1 gene:DexiUA01G0008310 transcript:DexiUA01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPTVEDVPAPEAASVRDWSELPLDALALVFGKLGAIEILMGAGLVCRSWFEAAKVPELWRSVDMADDVVVHIDKVMMCAMAKVSVDRSGRQLEVFVGKRFVTDELLKYIGTGGSPALKVLGLMSCRGVSNKGLSEVIAKFPQLQDLMLVRCNNVRGRDVFEAIGRACPQLNRFRLAKSMKVSMFQLCKGEALGVGAMHGLRSLALVSTDVTNDELAFVLDGCPHLEILDLRTCYNIFVDDPLRA >Dexi2B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:2B:21973277:21973876:-1 gene:Dexi2B01G0013450 transcript:Dexi2B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRRGRGGGRGRFTGGPRGDERPSRTQGARFDEDPYNPPPRRASGWGVAPPSRHLWVGGLAPGVSSSDLSELFIRWGDVDGITRDPGRNFAFVSFRREGDAVAAMRELQGARLAGAPVRIEFSKVGRIGPKQVCL >Dexi3A01G0035930.1:cds pep primary_assembly:Fonio_CM05836:3A:41293105:41295460:-1 gene:Dexi3A01G0035930 transcript:Dexi3A01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEKGDVVGAEEVELAAVAKRRRAGEGGGAVGRRGRRRGGMQGAAAGVQRLFQACRHVFRGPGTVPKPDEVHLLRAMLGSLLSISIFAAASSHLCSNNVKILLSAVRLAKLVVDDVFTAPCDTSVLYPTTGGNMHRFTAIAPCAIIDILGPPYSIEEDRDCTYYTDFPYAHRPTDDTGDLNNVEQDQGRLAWLKEIDMPRELKICSVQYRGPPISDK >DexiUA01G0015690.1:cds pep primary_assembly:Fonio_CM05836:UA:33279648:33280247:1 gene:DexiUA01G0015690 transcript:DexiUA01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFTEDGVSIPVTVIEVEANRVTQVTTGAKKANRVTKPEAGHFAKAGVEAGRGLWEFRLAEGEEFTVGQDISVELFADVKKVDVTGTSKGKGFAGTVKRWNFRTQDATHGNSLSHRVPGSIGQNQTPGKVFKGKKMAGQLGNERVTVQSLDVVRVDAERNLLLVKGAVPGATGSDLIVKPAVKA >Dexi6A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:6A:9099467:9100492:1 gene:Dexi6A01G0008570 transcript:Dexi6A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLRDSILLVSLAVSTAALYARAVSSRVRPGPTRLAMLLPVTIFFAAIPLVFSSALLRCAAALFLAWLGTFKVVLLAVGGGPLDPTLPALQFVLTTALPIELVIIPSGVHPDKARSMAGPASTSSLASFTSKVAVIATITRLYKYFHEMHLYVRLVLYGVHVWCSMELLFAGAAAACRGVLGVEVKPQFDKPYLATSLQDFWGRRWNLPVSAILRASVYDPVRARAGKEAGIVATFVVSGLMHEALVYYFTLEPPTGEMVAFFLLHGVCRVAEDWCARRWTARGWPAPPRHVARVLVLLFFMATSFSLIFPPVYRKGREEMLLKESAEALEAFFAGVVV >Dexi4B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:4B:10579035:10584627:1 gene:Dexi4B01G0012670 transcript:Dexi4B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRGTRLYSLLGLLLLLALAYLSLPDSGPSGGGGGPGGLKLPVPWLQPRMSFAGRAGTHFVDAETGAPLYVNGWNSYWLLSSRSPALAAEMLRRGRRMGLTVCRTWAFSDGGPGALQISPGRFSEPVFQAWIEEMAAYVKSLDTVHLVTVGIEGFYGPGRSERLGVNPGDWAALLCSDFIQNSAVKDIDFASVHAYPDSWLPKASMEEKVNYLSNWVDSHLNDSEYILRKPVLFSEVGYLQHPEVNNTINGDTVLKLVYDKIYNSAQKLQAGGGALIWQLMVEGSQMYHDGFSMVARDRPSTYKLITEQSCRLQRLYGKEGDPGWQCSLLS >Dexi9B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:9B:6530503:6530962:1 gene:Dexi9B01G0010450 transcript:Dexi9B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSTGMLGKKPRKAYTIIRPRERWTADEHERFLHALVLFGRDWKRIEAFVVTKTSTQIRSHAQKHFLKAQKLGLPTPAPHPRRAAVLGHGLLPVNCEGWVPGDAMTPSDEMLQLPLSPDDPCFAQVYRFIGDIFGSSETRG >Dexi8B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:8B:212108:214087:-1 gene:Dexi8B01G0000270 transcript:Dexi8B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGSERKGKVLTEIKRAVDQYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGIHKLSKFLQGDSGLLFTNLPRDDVERLFRDFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVCEEGKPLSPEAAQTLRLLGKQMATFRLYLVCRPVMTLKRTEKA >Dexi5A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:5A:3458402:3464444:-1 gene:Dexi5A01G0004580 transcript:Dexi5A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILLQRNVQQQKQHLQEQQHPQQQRCQQKQQQRNENTDFTTSAHNNTAATDPPIQQNAAVASALAAKMHEDRMKVTVQTQRDVSDEASMKQRLTESIGPLLESNPTSVLKSPARPALSSGQFFHRSAGGMSGSLPQPQTRSEPLRGSVQLISGYEGRNKCSFESESSRYRWVTIWSTRPLFKIAALQQQKQQQSSSHQQLLQHPLLNQQQQNSNYHASEQEKMGAGAVTVAFHGHEPVCKNQNGRKRKHPISFSGPANSSGTTNTAGASPSSTPSTPSAHSPGETISTPLLPHNANLSTALVVYDSDALMPIESQPPTNPRVDMDHFVEDGSMSMEDNVEPFLSHDDTDPRAVGSHCITSSKGYILREMSSAQASTSSVLCCHFSSDGKLLATGGHDKKACGVVLSKLFSGVDIVMELYQGYSIRTFTGHSAYVMSLDFHPNKDDLICSCDGDNEIRFWSIKHGNIVRIFKGGSTQLRFQPRYGGYLATASDNIVSILDVETQSCVRRFEQSHTKDVDTLCWDPTGEYVVSVSEDAVKSLELWDMAENRSMTIAAHDSLISAVASSSSGLVASTSHDKYVKLWR >Dexi1B01G0027280.1:cds pep primary_assembly:Fonio_CM05836:1B:32044834:32047762:-1 gene:Dexi1B01G0027280 transcript:Dexi1B01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYASSARSFAATSPARFPVPAPAPAASGAGAGAPFSIQAPKEKIELYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKTTQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVQKMGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGPAPAAAESKASA >Dexi3A01G0022820.1:cds pep primary_assembly:Fonio_CM05836:3A:18399908:18401187:-1 gene:Dexi3A01G0022820 transcript:Dexi3A01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVVVLSVVVLLFAVASAVLGLSPRPQSYVLGICAAVLMVAAQIIASVAGACCCCCLKPSQQGGASSPKWKKAIISAGSGGAGGGGLRAGRGVERGHDAVTVGWLIECHYLKGAVFRRAALLGLAAAVLGICSG >Dexi4B01G0022020.1:cds pep primary_assembly:Fonio_CM05836:4B:23796995:23798842:-1 gene:Dexi4B01G0022020 transcript:Dexi4B01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGRAADPFLHLVDESKLTAVKDSTDDPSKIYGSPEDNANALKSLSEIELSESQSRECIVSTIMNNIANMMDAELHNVRSQLLSDFTPDDMCPMSTQFFEAHIDNPSSGSHETDHHQEAMLIDLGNDHDDIFGEASEKTEACASSVPSSDLLSIDQLLETVGADAAPQAGAAPLSADMAFKDMTSHCEALTIGKQQKMSAFMSFQQSVQATGLPSSQPNEMELALFQNPQQPQAGARSTNPFADDSLQGFPQFMNAPNGANPQPQPGQDFQQEFLKLPAASPYDNFLRAAGC >Dexi7B01G0022230.1:cds pep primary_assembly:Fonio_CM05836:7B:27225186:27225421:-1 gene:Dexi7B01G0022230 transcript:Dexi7B01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHAAGPGAVEKPRFDALTPSEMSGGRPQFRKVPVPPHRFSPLKRCWMEIYTPVYEHMKVDIRMNIKGPPSLNF >Dexi2B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:2B:9606875:9608496:1 gene:Dexi2B01G0008920 transcript:Dexi2B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTQQEASSPSSRPLHIVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLAPVPPELSPRVRVVTLRLPVVEGLPDGAESTADVPPENVELLKTAFDGLDAPFAALVGEKKPDWIGENDAHPRRTTEEYMAQPPWIPSATTTLLTYRRHEAEAVAAAFRPNASGVSDIDRLFHLHHPSCRLVIHRSCPDAEPHLFPLLTNLFSKPVVPSGLLLPGDVLDVEDAGDQSSAFMEAARWLDEQPARSVIYVALGSEAPVTAHHIRELAHGIELSGVRFLWALRAPASVLAAGHKDAGDLLPDGFERRVAGRGVVCTGWVPQVRVLGHVAVGAFLTHCGWGSTVEGVFGFGHPLVMLPFVADQGLIARAMAARGVGVEVVRDDADGSFRGEDVAAAVRRVMVEEEGEELARNARELREVVGDRVRQEEYVDELIELFQRYK >Dexi3B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:3B:15635611:15640122:-1 gene:Dexi3B01G0020680 transcript:Dexi3B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLLSVALAAVLIPLSLALLNRLRLGRLPPGPRPWPVLGNLRQIKPIRCRCFQEWAERYGPIISVWFGSGLTVVVSTSELAKAVLKEHDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVYRAATAPDNGGKPMVVRNHLSMVAFNNITRLAFGKRFMNANGEVDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTMKIIEEHAKALKESGAKQHFVDALFTLKEQYDLSEDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMLETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGANVMVNVWAVARDPKVWSNPLEYRPERFMEESIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDVDMMESPGLVTFMGTPLQAVAKPRLENEELYKRVPVEM >Dexi1A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:1A:3325363:3326026:-1 gene:Dexi1A01G0004550 transcript:Dexi1A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLSFGIAPLLLLLLLLLLSLASPATSCTEQERGSLLRFLTGLSEDNGLSALWRNGSDCCKWEGITCSADGMVVELSLASRGLEGSVSPSLADLTNLMHLNLSYNSFSGGLPSELLASNIIVVLDVSFNHLSRVLQQEDLRSSLPDHRPSLQVLNISSNLFTEEFPSIVWENKTFHLV >Dexi2B01G0027400.1:cds pep primary_assembly:Fonio_CM05836:2B:36352680:36353534:-1 gene:Dexi2B01G0027400 transcript:Dexi2B01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTFSFSVCGARQNPGSSVQHHQSEESQTARRELQLLEDHRPWEMLDNMALAIIDQTYTALLEILHRWPAPPPPAEGDDGHVVTLSQRIDGSGPDSQVLLVEASARRCRIYVVSDVIFHAAGDMGRGFTPQLQGRAWPPRHRLTRARIGASLGTLYLARVDGAGRGDYWRCADEVRPDVAGRGLFGVLETIRSRLDAAARLEATLLAKARALRCRGSKVREILRVWTALEDMRRAVDLEVIIPRRLQKRHRVMAISCRPEAKMAVDQEDEAAEVTKRLKGLQV >Dexi3B01G0034950.1:cds pep primary_assembly:Fonio_CM05836:3B:37594706:37596087:1 gene:Dexi3B01G0034950 transcript:Dexi3B01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATAMRSPRGGARRPFAPVVVSPSRAGNQLAHEEAVLGAGAGGKVAAAKKCGAGSPPLPVPASKKTPVAAVKRAPRTTGRWKVGSCAKKCSAPAAANSPVRGVSDGARDMVRAMASLPLRSEAVQERRGGEASASRKRTMEEAMAGLPEPGEGRVKYLVVTFDRLLSLAAADDGGPEARGRGRGARTTRRKDEATATARATSESAPEMPPGAEEIDVSYPSIASSSEVSFPAVAGVACILDTSDRTRRITHARGQRRQRTYNSTDSSDRGSSRKVAKVTSQHPFNLRTEQRGKAKEFDFVQRLRKMQMEEERLRNPLAQGLPYTTDEPEVRHVGNDA >Dexi6A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:6A:7979236:7983624:1 gene:Dexi6A01G0007900 transcript:Dexi6A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWHEISTSVSSIESLYEDEEFDQRQLAALVASKVFFYLGELNDALSYALGAGALFDVSDDSDYAQTLLAKALDEYAAIQSRAAGEDKTMDPRLEAIVERMLDKCIRDGKYQQAMGMAVECRRLDKLEGAISQCDNLHGALSYCINLSHQYVSHREYRLEILQCLVKIYQTSPNPDYLSICQCLMFLDEPETVASILDKLISGSNDDALLAYQTAFDLAENENQAFLLNVRNHLDALSSARSDADSRATNAATEPSGDVQMGDDVNMPNGTALTVDPVKVAHADRLTKIKNILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWSKFSATAGLGVIHRGHLQQGRALMAPYLPQNGAVGSASPYSEGGALYALGLIHANHGEGIKEFLRESLRNTSSEVVQHGACLGLGLAALGTADEEICEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQINEPYDSRVGAFRRKLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSKSKHDRLTAVIGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPTTQQTATASVKVPTAILSTYAKAKSRAKKDAESKAKEKAEVAPPPSEDTSASTSMQVDGAAAEKKAPEPEPQFQLLTNPARVIPAQEKFIKFLDDSRYQPVKTVPSGFVLLRDLKPTEAEELVLTDAPSTAAGTNAPAPSASEQGSGAMAVDEEPQPPPAFEYTS >Dexi2A01G0032730.1:cds pep primary_assembly:Fonio_CM05836:2A:43136657:43136881:1 gene:Dexi2A01G0032730 transcript:Dexi2A01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPAFVLRTAAVVVCVACAYLLWPVAAAGPLMKGPGASGLPILRAAFEANPQRYFKLLRTQGAEATAAAFAA >Dexi4B01G0022470.1:cds pep primary_assembly:Fonio_CM05836:4B:24044566:24049611:1 gene:Dexi4B01G0022470 transcript:Dexi4B01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTIVDGFRRLFHRRNGSTSNSNQSSVAGEGEEVSPDLEVIEEPDLVGLRAIRVPKRKMPLPVESHKKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPFTQKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFARLANVEREPSRNPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLEEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKDSNNEQHVEDQERSADSVARSTASPPRSEDVDQNAVKSTSLSSRSYLKSASISASKCVVVSNKHPEEDEIPEEMEVAVDGLSEKVSRMHS >Dexi1B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:1B:3627163:3628214:-1 gene:Dexi1B01G0004460 transcript:Dexi1B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIETTCDGLRRLGDIYSSIEGVMCLPSNQVCSSQQRKLLDGEMETSLELLDLCNAMHDDFAELKAIVQDLQVSLRKGDDAAVQAKIQSYFRLVKKAKKHFKKAAKKVTSDKEDCRILRLLSEAREITTSLLESTVQILAKQIATPKSSIVLKAFQKKTSVVCKEEQLQQ >Dexi5A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:5A:11140670:11141089:-1 gene:Dexi5A01G0014230 transcript:Dexi5A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHHRLSRGDLFRPSSTAPQPSSTTCPKSPLFPREIYTTALLPPQQFAVAMAPQAPSPTPALPATAAGMRRRGGICGGICGGGGVRAVRMGCFGDPEMKRRRRIAGYKAYGVEGKVKASIRRGLRWFKRKCSGILSF >Dexi8B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:8B:23002961:23003296:-1 gene:Dexi8B01G0013140 transcript:Dexi8B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEAKRKKARQRRGGAEPDRLSALPDCLLHTIMSSLKARQATCVLSTRWRHLWRSVPCLDIDLDEFKAAPNTNGNAGLLGDHSDSSYESSEEEEDDDHHGVAFKNKE >Dexi6B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:6B:26786900:26788756:-1 gene:Dexi6B01G0020270 transcript:Dexi6B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKQALIYSFVAKGSVVLAEHTAFTGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEESQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSKVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADTFHRHGRELRRKMWLQNLRFKLMVGGAIAFLILILWLMICRGFKC >Dexi4A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:4A:21971815:21972485:1 gene:Dexi4A01G0018060 transcript:Dexi4A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNNETKLTSVDANSIPAQRGMVLPFVPLLLTFDCIRYSVDVLQEMEKQVLEDKVEILKGVSGYFRPGVLTALMGISGAGKTTLMDVLAGRKTSGYIKGSISISGYPKKQETFARVSGYCEQDDIHSPQVTVHESLLFSAWLRLLGDVNSKTRKMFVEEVIELVELTPVRE >Dexi9A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:9A:2146089:2147129:-1 gene:Dexi9A01G0004080 transcript:Dexi9A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMAVAPTKMAPAAPALPRLTMPPPPMITLPDAAVPVPPALSAARRQRVAAKHKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKMQLYSGLDVATNKVSPHECAGVAHHLLGVVSHPDAEITAADFRRDATRAAAGVVARGRVPIVAGGSNSYVEELVDGDRRAFRERYDCCFLWVDASLPVLHDFVSRRVDEMCRRGLVDEVAAAFDPRRTDYSRGIWRAIGVPELDAYLRSRGLGEVERARMLAAAVDEIKANTSRLASRQRGKIQRLARMWRVRRVDATEVFLKRGHAADEAWQRLVAGPCIDAVRSFLLEDQEEYKSSMVTASKASMFAAAVAAAVVV >Dexi8B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:8B:26180586:26182295:1 gene:Dexi8B01G0015360 transcript:Dexi8B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSTSLSARQTSIHGDRVCDNDGGGSTGRRARGILRRLPLRSLAVSRCVCKPWRASMDEHQLLLPRSVRGPFINYGNHYYRRRSHFFAQPAVAVDDGPMIDGKLSFIDPDKLRVVEFVDHCNGLILFVRPFDQVMYVCNPTTQRWARLPPQPATRRRSRRRPSCSGDDVDGRRRAFLVFDPAVSPHYTVMLVPDELTQDEAKGNHMEWPPSPWMWHEFSSRSGRWEEKVFVREGEAAGTVQGLLFDSFGYAFNPKWRYGAYWQGELYVDWRGEYVSRLSLLNNKYQVIKTPIDLEERNKDVRSYLGRSENGVYFAAIDGMDTLWVWILGESGDEMEWVPKHQVNLNTRSWWLHEQRYDKLKYDEPWILDKYNKEKYRKVSAQRKVCWDSDEDDIIDALDDKEEESCSGDVKILGFHPFKEMNM >Dexi1B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:1B:8239454:8240074:1 gene:Dexi1B01G0009220 transcript:Dexi1B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSVSCAAERGSMYGGADIRSYSASYARPAPAPASRVQRARSVSSWTRPAPPPQRSGSTKSVASGGGGGGRPAPERLNLRSYSASFAASYGPTVTGGGGGGGGGGELRRSGSVTNWSSANRRSVNLRGYTPSFAALDDTAAAPAVVVPAAAKKAAALEDAAELQRRKRLVVYKTYDMEVKVRESVRRGVGWIKGKCSRVVYGW >Dexi9B01G0025730.1:cds pep primary_assembly:Fonio_CM05836:9B:27113157:27114046:-1 gene:Dexi9B01G0025730 transcript:Dexi9B01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLSRCWEESRLLWRLAFPALLTELFQFSIGFVTTGFIGHLGEVELAAVSVVENILDSSAYGVLFGLGSALDTLSGQAVGAGRLERLGTYTQQSWIICGATAVALTPAFIFATPILKSFLHQPHHVSRVAGPYARWAIPRLFAHAMNIPLLMFFQAQSRVWAVTAISGVVLAAHVALTYLAVRRLGCGLRGAAVVGDISHWLIAAAQFAYMRGGCFPEAWKGFTLQLE >Dexi1A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:1A:19059535:19071624:-1 gene:Dexi1A01G0013700 transcript:Dexi1A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASGDAAGSGRRTRTRGAEAVARSAALDRIRAIREGRTRAADAVHVKVDAPIYDTVAEEDFVALVIRRRKEAGEFIIDDDGLGYAEDGREEDWTHRALPSSSDEGSDGEDGARRKRKQPRPPQPKRPPQQSAAAASLSAAAAMMGKQRISSMFTSTVFKKPGNDRAKGSALAADSIVDDVIAEFAPDENDREERRRRVGRVCAPQHPPPTVFYFNSENVDLDAETVVRSDSGFETDGCSDHANDMTVELKSDAEINTKLEENPGSSAELVVEDKSSEELKQEANGEAKIEKGGEGAVAAGGNADVEVGKRFHSCCVIVKNIQRCIYAIPNQSVFPRESISGLEKKSTSSDFLPSFRATLHELASGLKSEIADKLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKEQNSTKRKINTDTEGANADDGAVDPSVDVEGHNGDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPNLPASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSSRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHSSLVQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESSNQDTSAMLLSVIDDEDERYRGCEPLRLSCPSCSGTFDCPPVSSLIASASATSVSDSDEGKDATTNFWRRMRCPRCPDNIDDSRISPPVLANQMKRQADNFINLYYKGLLMLDQKARLPFEKEFAAP >Dexi9A01G0033060.1:cds pep primary_assembly:Fonio_CM05836:9A:37907996:37910171:-1 gene:Dexi9A01G0033060 transcript:Dexi9A01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLRNFARLERRDLARRWLSKASKSTGSWLNKYGRDLTKEAAHGDPVVGRDEEINRVVSILSRKSKNSAVLVGEAGVGKTAIAEGLAQRLAAGEVPGFLAGARLVELNVGKLLAGTDSWGVLEERVAGLVADAEAAGPGKVVLFVDEIHMLVGAGRTGVSKVDASDMLKPALGRARLRCLGATTHDEYQQFFAPDKAFARRFQKVHVPEPSEDATAVILRRLKPSLKLDLRASHQLGGDDRSSKTTLQDDRTVVGPDDIAEVVTKWTGIPVTRPGQDERERLACLPERLQQRVVGQHEAVGAVADAVVRSRSGLGNPKQPSGSFLFLGATGVGKTELAKALADQLFGDEKHLVRIDMSEYVGDWSVSRLIGAPPGYIGYEKGGELTEQVMQRPYSVVLVDEVEKGSDAVMNLFLQILDDGRLTDGKGRTVDFTNTIIIMTSNLGAHHLVGCPPDAADARQRVIADVRSRLRPELINRLDEMVVFRPLSGDTLREVVKLQVADIAARLADGRGIGLDVTDEAADVVRSMSSDQVAMYGARPIKRCLQNMVMTRISRMMVHGEVDDGCNISIDAADDMADLVFNSHRP >Dexi4A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:4A:18630741:18635545:1 gene:Dexi4A01G0015570 transcript:Dexi4A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAQRDDVVVSDDRRASCYSRRTQLLFMGLLFAIAIVTFLVYLTLWYICSHRVAFARRQRGGRAAALDGPCASAKGGYSVEGGVKRCYPSPRAATSSSASGLAGTLPSFPSPSPSTPPPTPPPLPLHLPPPPPPLPSPTACAPSALCTQSNPTTSSPTPSPPPPTSASPPTSPPAPASSPIPAASSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSSAHVCFAAHLAARARLFAHSRRLLARLLSAGHRPHLAASLVDLLHRAALALGPRRSALPSVVDTLLSLLADRGLLDDAVRAFARARELRVPPNTRTCNHILLRLARDRRGRLVRRLFDQLPMPNVFTFNIAIDYLCKEGELVEARALLVRMKAMGCLPDVVTYNSLIDGHGKCGELEEVEQLVGDMRKVGCAADVVTYNALINCFCKFGRMEKAYSYFGEMKKQGVMANVVTFSTFVDAFCKEGLVQEAIKLFAQMRVRGMMPNEFTYTSLVDGTCKAGRLADAIVLLDEMVHQGVALNVVTYTVLVDGLCKEGKVAEADGVLRLMEKAGVKANELLYTTLIHGHFMKKNHERAMDLLNDMKNKGMELDVSLYGTLIWGLCNVQRVDEAKNLLHKMDGCSLKPNNVIYTTIMDACFKAGKESEAIALLHKMLDSGFQPNVVTYCALIDGLCKAGSIAEAVSHFNKMRDLGLDPNVQAYTALIDGYCKNGSLDKAMHLLNEMVDRGMSLDKVVYTSLIDGYVKQGNLQDAFALKAKMIESGLQLDLYTYTCFIWGLCNMNMMQEAREVLSEMIGNGITPDKTVYNCLISKYQKLGNMEEASSLQNEMESVLTPCTEDDTASGGET >Dexi8B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:8B:9473087:9473632:-1 gene:Dexi8B01G0007670 transcript:Dexi8B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPDSLTMIDDISFTLHQCENPAKQAINGEKAGCATSIESYLELIVSTLGTTQARAFSADVPKEGIVSQRYKTESVRLLAHSQSILVCHDMGYPYKVFYCHMSSPTRAYQVKLISEVDGSSMDALAMCHLDTSSWDPEHAFFKLMHVKPGQTTACHYLNRGSMVWVAAAKLGDKQAAASQ >Dexi9A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:9A:868112:868746:1 gene:Dexi9A01G0001660 transcript:Dexi9A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFVELWAKQDELIRMHEQASPMFRYELSRISASVFIALGKGRIQCPSDTRSQLFHGWFRPMLMDFGWLQRCSKGLDVRILEENLGQALLTLPLQHQQSLFEEWFRCFASRGTECPNLSRAFQVWWRRSFVRSSVEVRRP >Dexi7A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:7A:23416432:23421361:1 gene:Dexi7A01G0013330 transcript:Dexi7A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGGGVGDGTTPRSAAAGQAMVELQANASAAAGGAMVVGLSPLSETLWRDSKAVPPGAGPAALIGDVSARLTWKDLCVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIIEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFASAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLIASYSRSQYYYAARERVNDISRIKGTVLDSGGSQASFLMQAFTLTKRSFINMSRDFGYYWLRLLIYVLVTVCIGTIYLDVGTKYTSILARASCSAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISATPFLILICFLSGTICYFMVRLHPGFIHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPFWRYPMQYISFHYWALQGQCQNDMKGLVFDNQYPDQPKIPGDFILKYIFEINVDRNKWIDLSVIFSMIFIYRILFFLMIKINEDVLPWIRGHVARKRMQNKSPSSTFGKTPSLRGYVVDPELGSSEG >Dexi2B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:2B:3772424:3773467:1 gene:Dexi2B01G0004300 transcript:Dexi2B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDDGKSGIAGCFCAKPKTFAFRYKMAHKIKAIKVRFAAIVKQRSEVNALASNFPRDQPVGTRDRTVGEISWLSEVSESKIPLRDQEKDNIISKLIECNAGENSMIVSVVGLGGSGKTTLAKHICHDVKIKEHFGGAIYWVHVSQEFDVQKLIGKLFQTIVGDNSDRHPPQHMLQKISEKLSNKKFLLILDDAWHEDRHDWEQFVVQLKCGAPETRIMLTTRDRKVAEAVESMHIIELKLLSESESWNLFLKGSGWAEQDLSSDYVRGEAALLYRGRRTPTKFVKCSVKLLFNTVDLYIRPRYLVLPTPVVSCSGFAPGLCTSGKRDYQEMWWGASSNSNSWGSPS >Dexi5A01G0026660.1:cds pep primary_assembly:Fonio_CM05836:5A:30231459:30233348:-1 gene:Dexi5A01G0026660 transcript:Dexi5A01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSYSFFYEDVYLGKGENKGFLVSKKKMATSPESLCSFCPQPFREFVEYVVNLKFDEEPNYAKCVSLFDSVVGSNPDIRPLNTDGAQKLIHQVGQKRGRLLIEEEADEQPKKKIRMGMPATQWISVYNGRRPMKQSEGIHKRWDNGYRITATAATWDQAAFVLSVPRRRPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCNGRTVS >Dexi1B01G0029550.1:cds pep primary_assembly:Fonio_CM05836:1B:33819603:33820157:-1 gene:Dexi1B01G0029550 transcript:Dexi1B01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHAGANPGAALVSKLCTSETVLKPSSPDGTWYPARKKSCVPTAAMLRQSPELKSRFATATIWFGGTSSCHIPGRISHMPLEQWNTSAELRSSADDCSMVMDMVVVPPGVRRGSPLEAANVLSANPPTTWKLPCHVTPTHL >Dexi2B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:2B:7947280:7950643:1 gene:Dexi2B01G0007750 transcript:Dexi2B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWATAAAYTAAALASAAAATVVALRLVHRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPHNAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGTVVVSLNGQSLKPSWFLMTCCMPAIPLDGCGVLVFLAAKSGFIKNAEKAAYLQNFVLCVEMLIAAIGHRFAFSYKEYAGSNARPFGGFKGSLLHAMKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSPSTIMPTGEHIVELAEVTVVSSKAPAISSLLLKEADQAETMEVKTAASAEPYELSNFLNVDLSDYPTEVPAIPDVREQ >Dexi4A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:4A:7969998:7974928:-1 gene:Dexi4A01G0010040 transcript:Dexi4A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSNGGAVAANGPAPGRLASVYSEVQTSRLVHALPLPSVLRSNFAVVDGPASSAAGNPDEIAKLFPNVFGQPSASLVPAAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSTMYGFKGGPAGIMKCKYVELTSDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLAEYFRSRNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNAALIGEEVAAKKQTLKNVTDYITDIICKRADLGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLEPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLITMVETELEKRKAEGRYHASFRGQSHFFGYCYALGYGSGALLQSGKTGLITSVGNLAAPVVEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASMRDEWAIKNRYISPGPIQFSGPGSDDSNHTLMLELGAQA >Dexi4B01G0021370.1:cds pep primary_assembly:Fonio_CM05836:4B:23360406:23361590:-1 gene:Dexi4B01G0021370 transcript:Dexi4B01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRLALLVAAALMPQYAVAQLRPYYYRNICPNLDLIIRNSVKQSMAQSPISAPATLRLFFHDCAVQGCDASIMIMNSNGDDEQHNPDNMSLKPQGFNTILSAKAAVDSDPQCKYKVSCADIMAIAARESISGGPYYEVELGRYDGKVSTKASVVLPHATFTLDQLNAYFSGLGVGGFTQSEMIALSGGHTLGAADCPFFQYRIGTDPTMDPNFAAQLKSTCSSNPNGFAFLDPSPVTFNNDYFKSLQGGKGLLGSDQVLFSDVRSRGTVNYYATNQGAFFGDFMAAMTKLGRVGVKTAANGEIRRDCRFPN >Dexi8A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:8A:8126473:8126636:-1 gene:Dexi8A01G0007300 transcript:Dexi8A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTVPIFYDKYQDKGTTEACKQYQVLDAKVLSMMIPRGPAKPKKQN >Dexi5B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:5B:19893093:19897518:-1 gene:Dexi5B01G0017990 transcript:Dexi5B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRRRIHENPELGYEEFETSELVRRELDAMGIPYKHPFAVTGVVATIGTGGPPFVALRADMDALPLQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELKGTVVLVFQPAEEGGGGAQKMIEAGAVENINAIFGLHVADSVPIGVLSSRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVTQASVQRCSATVDFLTKDRPFSPPTINSPELHDFFVNVASEMVGSKNVRDREPLMGAEDFAFYAEAIPPTYYYFVGMYNETRGKQAPHHSPYFTINEDTLPYGAAAQAALAARYLHEHRHLAASLVKAETHDEL >Dexi7A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:7A:1532614:1539383:1 gene:Dexi7A01G0000560 transcript:Dexi7A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLYPACALLRRLPAAPHLSRSASFKRFDRVRRFSPAAMSTSSGLKEAPDNNPGLQTEIDPATKGYFLQQTMLRVKDPKVSLDFYSRVMGMSLLKRLDFEELKFSLYFLGYEDVTSAPADHVKRTEWTFRQKATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFERLGVEFVKKPNDGKIKGIAFIKDPDGYWIEIFDHTIGTLTASAS >Dexi7A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:7A:27762115:27767462:1 gene:Dexi7A01G0018310 transcript:Dexi7A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPGSPPPIRHSPAPATPPSCRRGRHLPSPSLALTPSSSASTSAATSSRPKRRSMPKRAYAPAQWVPLSSHPAFSRRDGEGGGGGGAAWDAAASRLYAWDPSACGAHRIGVRIRDPEAESDGEEVAVEAAVPSEMLMPETDLGYLITHVSLNSDGSSLLLVGSHNLSILYVHDRVSEDGDTVICRTAPIASQILPSNGDGIKVLQASWHPFSNNHFAVLTSDAVFSYCGWERLFDLSSDLEQPEQEFYLQPILPGRCQNASAICPVSFSYGSDHLWDRFSVFIELKEHADYVKTELEDKQKRLEAVKKSLLSIETKDQDINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFADGELDALRSSIAALSARMKRFAQQSTAGAAGTGVIPWQAPKVGRSHISESQMSLLKSSLEKLSLLNEENNLKLRIIDHELKNKEQ >Dexi3A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:3A:5624628:5627968:-1 gene:Dexi3A01G0008090 transcript:Dexi3A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDAQFKNDETTEQVGKVKIVACDSKLLSQ >Dexi3A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:3A:2385732:2386343:-1 gene:Dexi3A01G0003590 transcript:Dexi3A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSNTPHRTCCGGCCTLLISLGFVILIYWAIFQPHQIRATVDYAELSNLTVSNASSPVTATYHVAFNLSLYNPSKRVNIYYDTLDAELLFRGAVLSPAAAAASPTEFYQRRRTSQAVHLEFDAGKGVAVPGDVAPLLEAEVKAAAALGLELSVDVRVRYVFGSIKIRQKPKVWCAVSIPVPSSPGGLGVAGSGGPCWVKY >Dexi1B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:1B:3512280:3512854:-1 gene:Dexi1B01G0004360 transcript:Dexi1B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLREFERNLQNGSRRGSDYDNGLAPQ >Dexi4A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:4A:3892210:3895205:1 gene:Dexi4A01G0005350 transcript:Dexi4A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSVPGHCARVGSGDASRLLFISGVKWLGPEPEATDRWTLPSGRHVGPRRPVACGWLERKRNGEAVGVEADGTNSATRRDAAAGATGPRLPRRIRAGWIGCPGSNDLGGGGGRQAEALLFRVDLRWRFAECSMGQLGAELVYGRIGSDISPMVVAGSGLRRRLGAQMTGHAAANGGSQLASGNRLISRLRHVREGDGALASRLTHLTISSAAAACNPPPGILATHFGHPASYLLVLGLEEIGGVAEG >Dexi5A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:5A:11453026:11459134:1 gene:Dexi5A01G0014410 transcript:Dexi5A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREATQPEEAAEARGPEQASLIPPKYFEYLETKSLSVRNLHVLQENEDKDLKLSSRVVSLLFGGDISTPAQTFEKWLSLVRKRSGAFRPSGFPHRGSRIEVMPSGSFSLFGSGDLSEHLVREEPVGKDPLTCCDQLPEISLWERLGNASTLDIESSEFSWDVLSSLHHTEHSSGSEHSEDEMNKALEVTVNSGGVVFFALFSSSGNSELAEEAAAVIKFSSSKMATQAERLGYEFARLLGVQTPQARVVYNSSPEWQGIKHAAENARAVAISNNDEVGEMTCSELLEALELSRCLLLMRDSWSNKYFKGSAEAPRNLRMTMKLRDFYKNPKVDPELLKEIEQWNEALKTDVIKFCQENNFHSGFFDGTENNMVADAYELKVGHKIYLYVIPHFKELVSLIIDHLQVRLEHIIERIALISDAANTERPSLVVNNLFIGGALAARSKYTLQHLGITHVLCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDFIDNVNHGGGKVLVHCFEGKSRSATVVLAYLMLRMGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDKKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTMEIQKSIESLRISRGGSLSPSQKLTKAFANELGF >Dexi6A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:6A:4732561:4735098:1 gene:Dexi6A01G0005180 transcript:Dexi6A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQVVDLEDDNINFWASLGVNTNVDQMPLHSVHIVDHQAQPPPAAASAAAAQQQQQSVCRDLFPVESDACLEPRLGMEFESGEAAKTFYIAYAGRVGFSVRIARSRKSKCSESIIMLRFVCSREGFSKEKRAAADGKKTRKRPASIREGCNAMLEVLRRGDSKWVVTKLVKEHSHEVGLPGRVHYIAIESDAVGDPYIGMEFESLESAKTFYYSYASRAGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRENNVDDTKRGQFAESFGYHAERLEDETVHKYRVTRYEGDDDIHTVSFDPDQRVRALPKAYILKRWTKYAKNIITYDNYVDLRGDREDPSTAKFNDLCCDAMKCAKEGSKSSEIYAIAKDALHKAFDEVVRSSKNFRGQQNLQSCAASLKRPIKKFGKAKDSSAKSLKRSASKTPLMESDDIR >Dexi2A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:2A:15432886:15433130:-1 gene:Dexi2A01G0012980 transcript:Dexi2A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRICQKEGSVIPDEEILQRLQGWTSFSKELPGMVLEIERSIFKELVDEVVHDEVGRQAEKATLYLIVHTV >Dexi2A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:2A:8497126:8498624:1 gene:Dexi2A01G0008330 transcript:Dexi2A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDPQHRRRVVVLFSLPFQGHLNPMLKLASLLAARGLGVTVLHTDFNAPDPARHPVGLAFAPIHETLPGEATSPDSHILTKLLVLNAACDAPFRNTLASLLRDHHRDDDGGDVACAVVDGQCFAAMRAAGELGVPVLALRTDSAASFRNMLAIPRLRDAGYIPIKGKQKLDLPVPGHDPLRVRDLIRVDGCDDDEHCSFVTSVADTIRSAVSGIVINTFDAVEASELAKLQNELSLPAFAVGPLHLLKFQTPPVEQSLHEPDRGCLPWLDGHAPRSVLYVSLGSLACVDRVVFDEMAWGLAGSGVPFLWVVRPGLVITGAGDDDEAPPPLPEGFEEETRGRGRVVKWAPQREVLAHAAIGAFWTHCGWNSTLESVCEGVPMLVQPCFADQMVTARYVTHEWGVGMEVGEVIERGRVAEAVVKVMVGEDGALMRERARHLKMEASAATSSAMDGLVRYILSL >Dexi7A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:7A:26438863:26439624:1 gene:Dexi7A01G0016560 transcript:Dexi7A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLSTIEHRKVLPESYIRPESDRPRLAEVTTDSNVPLIDLASPDKHRVIAEIGLSCRTYGFFQVINHGIEEELLEKMMAVGLQFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFLPEWPSNPESFK >Dexi7B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:7B:13360715:13360954:1 gene:Dexi7B01G0005840 transcript:Dexi7B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGDANMRPKKMPHPTSLRSAPPERQIPADSQPPASSPPNPAGKRKPPPFVDRRSLDFRRHAAPASLRRARQGAPPG >Dexi3B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:3B:14049856:14051460:-1 gene:Dexi3B01G0018920 transcript:Dexi3B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTVATLWMLLLGLAAAATAGVLQASAQPDSIGFISIDCGLPGTANSVDDTTTLSYAPDAAFTDAGSNQNISVEYITPSLSKRYLNVRTFPNGVRNCYTLRSLEAGLKYLLRAEFMYGNYDGLNKPPIFDLYAGVNFWSMVNVSTPDGIVTLEAVVVVCLVDTGSGTPFISALELRPHKTSLYPQANATQGLVLFARRNFGTTDATDIVRQGHITPLNASSNISLFWDSAPQPRDPTPRYMAIMHFSELVRLSGTAVREFFIEVNDVVWQSSLGFRPDYLFSDSSYSTAPLPASTRYTIHINATANSTLPPFINAIEVYSVISTTNVGTESSDVSAITAIKDKYRVQKNWAGDPCSPKTFAWDGLTCSYAVSSYSRITAM >Dexi1A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:1A:28108068:28108517:-1 gene:Dexi1A01G0021290 transcript:Dexi1A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMLVGENPSVVPILTIRSSSSSPVGSHHHDVAFLRGDLADLRVSDFVCAAVSLGAELCCCGRERRRIRPSRNTTKPLSPTYAHRSSPPERETMTAVDAAGVSASTVAWYARSSSVSGQTLVVVPGKEVIVTTSM >Dexi8B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:8B:19638512:19640655:-1 gene:Dexi8B01G0011030 transcript:Dexi8B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVMIRLAGPVAFFPPAPCSSSLRRPGARGRAVRLRVACRAGGGDGAEGKEEEEAPESLFARELRRRGMAPGAAPAEAKEAEEGGAEAGRKRGVAAAEFERGAAADGQRERSMALNSEGLEYFGPSFVHDASKNPVSPPPYIDPYELLEDERLTRPSPDVF >Dexi8B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:8B:2365841:2366092:1 gene:Dexi8B01G0003190 transcript:Dexi8B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAVASAATGGGGGGGVDTAGAGASSSPASAAAAAAGPAVVKWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRG >Dexi7A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:7A:19665875:19666452:1 gene:Dexi7A01G0008390 transcript:Dexi7A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVVVPSDGPAADYGGGLTLSVFLTCLVAASGGLIFGYDIGISGAALTGTAVNLSMLIIGREKNGVGAVRTAHRVRAPRSVV >Dexi1A01G0031830.1:cds pep primary_assembly:Fonio_CM05836:1A:36653385:36655965:1 gene:Dexi1A01G0031830 transcript:Dexi1A01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGAGMRPVHNSVDTVNAAAVAIVTAESRTLPPAEPRRKWADRLSVYFCFGSQKNGRRINHSALVPEPTPQRTDAPAAEIPDHPPPPVFPFVAPPSSPASFLQSEPTSIAQSPRIGAPPFSPLSPNSPSPAGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNGETGDLQSYPNYPDSPITHFISPSSGCSGTSSPFPDPEMLASSRYAFPSFPVRETPKILDGESVATQKLIPRHMRNGGSLLDGHITAAVPVADFSARLQPNDHAMDHRVSFELTVEDVARCLEKKTAISGDSATASFHLAPPTSSADHKRESNDARAGLYVDETYHDLPEKARRSLSLCLAKEFNFNNVDATNVEPNVGSDWWANEKVAGITAEPEKGWSFHPVAQPGVS >DexiUA01G0027850.1:cds pep primary_assembly:Fonio_CM05836:UA:59673212:59675476:1 gene:DexiUA01G0027850 transcript:DexiUA01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGARFDAVSLRLPRVVTGFGSFMLGLNRKTYEAAGVNTPGNVPGSVKEPGIGWITGFLAASSFGGLLTLIPLRKALVIDYKLTYPSGTATAVLINGFHTAQGDKNAAFLWSFFQWFYTGGNVCGFAQFPTFGLQAWKQSFFFDFSMTYIGAGMICPHLVNLSTLLGAILSWGILWPLISKRKGDWYPADVPESSMTSLYGYKSFLCIALIMGDGIYHFLKVLGVTAKSLHERSKLRRDNNRVKDLDNTAAIEDQRRDEVFNRDHIPPWLAYAGYATLTILAAVAIPAMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGKLALFVLASWAGRHGGDGDGVVAGLVGCGLVKQLVLISADLMHDLKTAHLTLTSPRSMLAAQAVGTAMGCAVTPLTFLLFYRAFDVGNPEGYWKAPYALIYRNMALLGVQGFSALPRHCVSMSVGFFALAVLANLVKDVVPSRYGRYVPLPTAMAVPFLVGASFAIDMVVGTVVVFAWQWVDGGEAALLVPAVASGLICGDGIWTFPSSLLSLAKIKPPICMKFTPGS >Dexi8A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:8A:4632239:4632568:-1 gene:Dexi8A01G0005170 transcript:Dexi8A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVGHAAPTWRSTLLALCLLPVALPLLLLCLPLLCVAVAAVRFRRRRRKLMGRSGCRVGRGGWAAAATEDGEGHRDELLRKYLQDQMELVGDWDGGAVDRSWQRRNP >Dexi2A01G0027490.1:cds pep primary_assembly:Fonio_CM05836:2A:38893002:38894290:1 gene:Dexi2A01G0027490 transcript:Dexi2A01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPANVREFWRTPRADGPAAVLAIGTANPANCVLQDEFPDLHFCITKSEHLVDLKEKFKIVCQKMGVSKRYLHCTEELLRAHPEFIDPHSPSLDARLDIVKTAVPELSAEASRMAIAEWGRPATDITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAAALRVAKDLAENNRGARVLVVCAEINVLLVTKPEEGSFHSLVHQGVFGDGAGAVIVGAADDPAMTAGERPLFEIVSAAQAIIPESEDIITMQITKSGYGGDISTGQIHVLIGDNIERCLLDAVEPLGIGGATWNDLFWVMHPGTSAIMNQVSAVLQLEPEKLAASRRVLSEYGNMLGVTVMFVLDEVRRRMEKGEEDGAPEWGVMVACGPGLTVETMVLRWCVEKLTGV >Dexi6B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:6B:21630571:21634484:-1 gene:Dexi6B01G0013910 transcript:Dexi6B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPHRKPRTEEGEAATVAAPDLLSQLPLEVLDKILSRLHIYDVVRTSVLSRAWRRRWETLPTVNICRSPPIPADELDVILLRRTAPLRNFRLLACGHWYVDALHDWLLYLSRNGVEILLLWFLPVGFRLHTSLFSCHELTSLDLTSCRLPHTPAGSPSIERVELTRVELIGDDPEAEDEWAIQAPNLRELTIASRFPYGGRVEDLPRLRKGVLVGCNYAKFLMGMAQITKLEFACGADWFAEVDVLDRLPFLFENLRSLVITVDFTEMFAILAFFCLLRSAPVLEELTGWNDGPEVFNADYNFLNAQWVDVLSVRLGPDSLCGIEEAAVTIKEYTKASPDAQVILLGSESTNAGPINVSTENADTGEVQTTGREHHSIDTPAENAEMERQTEDGKCASISASTENDEAEETQTTFTGCASIYKSMENAKVDETQTTSSEHECTNTSTENAEVEETQTSGSGLVNDVRPQRRHRLDLDSIAQLEQLEVDMRELQEDMRLQLDCRRLALERRTSVLGSVIKNLNYQSYFKTLSEWKNVSLPPFPEPSSVLSSLLATSRTGDFPVNLDVNGSASIRVDSSEDHGVNGADNAHPDP >Dexi5B01G0011360.2:cds pep primary_assembly:Fonio_CM05836:5B:8066803:8067780:-1 gene:Dexi5B01G0011360 transcript:Dexi5B01G0011360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPPHAAGDASSRRFHPLPPTPVPRPSSLAPALAAVPVPRPAALAPVLPPRPADLRPAPLVHPALLVPPLVVSTPPAPKETGFHFPASTMDSRCDDLLDDEQFVEEEAYNDSCNVNAM >Dexi5B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:5B:8058537:8067780:-1 gene:Dexi5B01G0011360 transcript:Dexi5B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPPHAAGDASSRRFHPLPPTPVPRPSSLAPALAAVPVPRPAALAPVLPPRPADLRPAPLVHPALLVPPLVVSTPPAPKETGFHFPASTMDSRCDDLLDDEQFVEEEAYNGFLLMEREPSPEIDDELFNEVYGKAYSGPGTSATNSVVPKVNDEKKPLTCDKSDDEDEAPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGDPGHFTQLEVSSTFLWSNMKSYTNFGAKGRPALSKSPRHSSYLDDSPRTHGENNQFAASRMANNWGIERHGSDVRSSLKFDMPSHQQTLEELEMEFSREATELTRARDQEEDEENYKHRESLRVMRENYMDRMNTMRNVHVRKWEEFLEQTFKRQQQTPYSQIGYPGFEQRTHVSAALQPMDSKSTYPYSSDSYSAQKAHGAYGEFQHDRHGDLGRTYGRY >Dexi2B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:2B:18614087:18616668:-1 gene:Dexi2B01G0012500 transcript:Dexi2B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTVNNSDMSILQRIAASGVPLLKDYGLNGVVGAVLLAIVIPLMLTSVFGKKTNKRAVQADVGGEAGLAMQNSRFSSLVQVPWEGATTMAALFEMASEKYSRRKCLGTRRLIDREFVESADGRKFEKLHLGEYEWDTYAEAFNRACNFASGLIKMGHKLDSHAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNEVPCIWTQVSTLVCDSKQLKKLPAISSKLQSLRHVIYIEDEPVDAETLNQIKHWTTLSFTEVEDLGKTSQIDARLPSIADTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPNLGTDDVYLAYLPLAHVFELAAETVMLASGTSIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIKDAVFKKVIYFLNFIFPVIFVD >Dexi6B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:6B:22476665:22479052:1 gene:Dexi6B01G0015060 transcript:Dexi6B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHESSDLIPSPPVSQPNPASASPKSFPIAPLPSDPSMATLTMRPISPAPAPAAEEDQRRVVFFLGLLALKEENPAAEVTDLSDIDSGWVVLKSSDIVSADLAAAAVSGGQRLGTIPSWARWVIGGVVYTVVPFYNRVRQLEEETVLFVENSVEVVEHVAEVTEKLAANVAKKLPEDGSLHKVVEEIEHIAEVVDVDAEKVEAITEKIDKVSDEIDAAVEPVIEELEKELEQDTTSDNGVNAQK >Dexi9B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:9B:4683615:4688971:1 gene:Dexi9B01G0007640 transcript:Dexi9B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLNLVTENLDTGLHSLRRLNLSSHLFCPSTAAAEAAMARSEAAIHANAEREVGYKHGLRFLQTISSSLGTLPDAEINLKPGCPYYTRELPFVVVTLLGDESKIFCSDCLGCTSLYDIDSCAVMTVPNLPIPISITRAAADGSPAGQRDRLYIIDRHLHSDFGDDCFEELIYKRGQYFLSVKDMFNGWCSLPPPADELRDIDAHTVVGDSTIYVSSTTPSVGTYAFDTESWNWWHAGEWGLPFSGKAEYVPELNLWFGLSASRPFHLCAYDLSAVNFERPPMVCHAWVDLDMPKSWSPLEMDLINLGSSRFCVVVRCNGEVSPPGKLRMLKNMSKYHVFEDHSIKCVL >Dexi4A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:4A:633483:634215:1 gene:Dexi4A01G0000900 transcript:Dexi4A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGCSYGYLIFSNLGQCLLVDAYSGDTMRPPRLKFSGNNHEIYYGILVAPINSPNSQLLLCSRSSMFQWRVGASSWLEHPLNCESLLQIVFFRGEMFAMDILDRLHRIRLAPQPSMQEVAVVWEDDDRIAGIKIKPWLVICDDMLLLVEISLSRNAFFDFTANFKVFRLNFSVEPAEWKDGEERATVFTVLARLQILMKPGVWPSLARRYLAQR >Dexi4A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:4A:7754623:7757000:-1 gene:Dexi4A01G0009840 transcript:Dexi4A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERSAAKATLSLPCVLVIVMAGVERFANKGVGSNLVTYLTGVVGMSTAAAAKSVITWNGVSFMLPLVSAILADSYWDRYSTIAVSSLLYVLGMVALSACALLRTRMPRYTFFVPLYLTCLGQGGYQPSLQAFGADQLAIGDDEETDSGMTAEEKGKVKGMFFRWWYFGMCSGSLLGNSIMSYIQDNFGWGLGFTIPTAVMALSVVAFFCCTPLYRHTQPKGGAGSRTSSSSCSILKVLKSIIASRKISLQSRDEERNGNGDAISELELQEKPLKAEPGASKESPDEATPSVAKIILGLLPIWAILLVFAVIFQQPTTFFTKQGMLMNHTIGAFVIPPAMLQSSITISIILLVPMYDRVIIPTINAVTRSTDGITVLQRIGVGMVFSVLAMVIAALVESWRLRVSSGATAGAGEEEAAAARLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPATMRTIGIGLYLSVFGVGGFLGAFMITALEMSTARPGNSRGWFSDDPRESHLDNFYWFLALLCFVSFVIFTHLSKFYSGKDASGN >Dexi2B01G0024130.1:cds pep primary_assembly:Fonio_CM05836:2B:33573763:33575281:-1 gene:Dexi2B01G0024130 transcript:Dexi2B01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKATQEWTSCEAEEFKALFAELWNEKSCDRMEALAKRFPAKSIQQLRDKHDEVFVDMLFGEINGEPSGDCATSDWHDWYKLLEGDMHDSVMGPLVDTSLSEPSKQLLFEAVADQEETQKSHRKSSRKRRQIWTAEEHRQFLHGVNHLGRGEWKFISMYFVPSRTPAQLASHAQKYFNRINKNEMGDTRQRHSINDIRLANHGMNTTAHSHTGPGKGKGIASGSPPPFLSEDIGILHGLTQEMPDLGQVSNRPSNIGGQMAHDNHVMESLQWEVSGTPSPREQGNVLLDQTKAENRACLSCNRSIGAATKRRRMDYKGILPGVMTQFQIVPINRHNLQPTVPPF >DexiUA01G0000610.1:cds pep primary_assembly:Fonio_CM05836:UA:2252099:2253855:-1 gene:DexiUA01G0000610 transcript:DexiUA01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAID >Dexi3B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:3B:15025835:15033878:-1 gene:Dexi3B01G0020040 transcript:Dexi3B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTFLHRALVISSLLLLASGEIFFEERFDDGWEHRWVKSDWKKSQGQAGTFRHTAGTYSGDPDDKGIQTTGDARHFAISAKFPEFSNKNRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSLSYLLLSIILHNNYLYVYLCSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDATYSLLIDNREREFGSMYTDWEILPPRRIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKEIPDPKAKKPESWDDDDNGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEEARRAREEGERRRRERDRDRGRDRYRDRYKVHFFPLPCWIPFFFRHRHYDYHDEL >Dexi5A01G0027710.1:cds pep primary_assembly:Fonio_CM05836:5A:31117070:31122551:-1 gene:Dexi5A01G0027710 transcript:Dexi5A01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEQGGGGPPQRPPVPAASTQPPIKKLVRQLDFNSAALAGNPAMAAAAAAVSRALQPRSLPVALQQHARAAVPMGVPQQLHPRLLPVMRPHQVVGHVPLPRHAVPVAVPVPQLRPVPPQPVQRPPVAVPLKPESPKPRPRPFDGKDSTPTKKKCCNCKNSRCLKLYCECFASGAHCDGCNCTNCFNNPENEVARREAIDATLERNPDAFRPKIGSSPHANRNNEVSSDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERRSLFQGDHKNSINMQQATNAAVNGAIGATGFSSPSTSRKRKHIDPPFDHSNKEHVAQRNFHLPQKNAVSDGSVPITQSVHPPTLGPFKVTYRPLLADIVQPEDIKELCKLLVVASGEAAKAYTGRKTEEELVAKKEDERGEEKQDDKAGSHESTNHDREGNNQDTDKKASIDDHSSRGTRMGKAVLEESKHSCADDHKSNRPMSPGTLALLCDEQNAMFTASQNAVAQQTVAANQNQSELYAEQERVVLTEFRDCLSKIVTCGKMKGQRYSMAIKPETSGHPGQVNGVSRVPYPKVDVPSVVKTFPQGSSSHPVAGKPVSGHLDNN >Dexi8A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:8A:20404621:20404941:-1 gene:Dexi8A01G0011560 transcript:Dexi8A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSYRCAPATEDRGRLDARYAYWEYLHDAYHQGEVEQQSERQGGRSNFDAPRCAYHEQLHGKSSVEYPRKYNGGMRWGGAVVGEESESEEDDSDNEEREVSYRP >Dexi5A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:5A:5897509:5899165:-1 gene:Dexi5A01G0007910 transcript:Dexi5A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPLCAASCSTALRAPPPLLRFRRPAAPVSASVSRAAPAVSDDLVLRIAEQLEDSVTSSSPLLDPLRSASALSLLSTPWPTRRSGDAFRFTDISYLRSFPISLPSRAPDLAPPSSPFPSHVLFSDGLLVSASGAHVSALADLPPGRARDRAAAALAASAEFADKDLFYDFNAVGARDVVVVHVPEGVKVADDPVHIMFTYTDCGAESMLMSNPRVLVVAEKEAEVAIVEEHFGAGEQGGCYWANPVVEIVIDAGARVVHSYVQRQSFAAAHTKWTVVQQDVSSKYEFVEVSTGAKLNRHNLHIQQLGPETETELSSIHLTSQNKQIHDLHSKLILDHPRGVSRQLHKCIACAAGNSIFDGNIKVNRIALH >Dexi8A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:8A:9593855:9594155:1 gene:Dexi8A01G0008200 transcript:Dexi8A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNFALISIEAKTIIVPGNFHIGCMPRYLTKFKSNNPSDYDGTGCIRWLNDFAEQHNRALRLMLERIVLKEDPSVSCTTFFTPQVRVVSKNNILG >Dexi9A01G0038700.1:cds pep primary_assembly:Fonio_CM05836:9A:42859391:42859726:-1 gene:Dexi9A01G0038700 transcript:Dexi9A01G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPITNRSTSLDVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNQFLYARIASSPWPADAASQ >Dexi2B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:2B:2869166:2870326:1 gene:Dexi2B01G0003200 transcript:Dexi2B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAMSSPMGLSLLLLASLTALLVVAPRLSPPPQAAAAAAAAAGEEAPPAAGASGAKALASGGGVWGAALVEEEADDLRLFRRAALEEAGGGAAAAAGEARGPPKVAFLFLTNSDLTFAPLWERFFAGNEARLTVYVHADPSSRLRLPPTPSFRGRFVAAKATRRADASLIAAARRLLAAALLDDPSNAYFALVSQHCVPLHSFNRLYTTLFPPHPSAAAAPRRLPSYIEVLTGEPQMPERYAARGEGAMLPEVPYDRFRIGSQFFTLARRHALLVVRERRLWRKFRLPCLPDMAQDMCYPEENYFPTLLDMADPAGVARYTLTRVNWTGSVAGHPRTYAAAEVTPQLIDELRASNNTHPHMFARKFAPDCLGPLLAIADTIIFKD >Dexi6B01G0000480.1:cds pep primary_assembly:Fonio_CM05836:6B:342744:344108:-1 gene:Dexi6B01G0000480 transcript:Dexi6B01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTGAGWSNLPAALLVAGVLARLPFPGDRARVRAVCRAWRSAVRHHVRHQLPWMVLPDGSFCTTGQGGGFFFQQIPGLPENATCIAAAGDAWLALDCTDDVFRRTSIWGCYCSSTGTFVEPRPDVKHEHTYLLHNPFSNVTVPLLELDAIVGHVAETFEIRKVLMRSTPEDVIAVTTNNWNYNVILCRPGKGTHVLPNFRVIDVAFLGDTLYGVTSGEELVAYRLGEDEDGRPEVTKIELVIRNPMAAYYEDGWWTWPQDDDNSNGGVDMSNSDEHDEEAPQQADGNEQENQEEYSSEEEQEVLVNSLIINGDMTVSDNEGIDNDVDDRFNVPYEAFDEIYTGRYLVKSLGGEELLLVRHQHISSLKSGRYTLKVEVFKADLIMGKWVAANGLAKDEALFVSRSFSKSTRAQGDIEEGLVHYASNYIDDVFDTRSWTIRNMTMAMATEINV >Dexi8A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:8A:1310999:1315747:-1 gene:Dexi8A01G0001930 transcript:Dexi8A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAEALAVLLREGALADDRLAITSGGQEARGEEGKKTEVSDSIQMDGLPFRLLSGWAPQGRGSDKSTAAWPVRHSMIADGWRSTSVTGRLNVPGAHPVPVARATDPDVRRMRSDGGPGEVSLGSAGAIFLGSAPSIGGQTGRKARHGTDRHGITAARHLVAARARHYKDLSVPCRAQGTIVLIRRQSAQAGERPAYGRESELRRPTRGRASGGGGLHAGERLTDRAAAAACIGGGTRTW >Dexi7A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:7A:29076628:29079112:-1 gene:Dexi7A01G0020250 transcript:Dexi7A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFSEDILADKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWDKQFLSSSKEQKIPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDDRGKKVASRLVDIWQERRVFGSRAGGIKDVMLGAAPLPVLDMTKKRSHSSAIKIVKRDSRSVKLRLGVGGTAEKIVSALHTVQSEHADEDADLEKCKTSMRHVGKMEKDVDSACSKAEDPRREVLCAELKDEEANMKECIEKLKVVEANRAAVVSGLKEALQEQESELEKVRTQLQLAEAMVHEASNMQRRLRNEPTVPLPNSSSSVEPGKALPNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRPDKRQKTDQPLQVPSVANAAAFVPMPQVVATTQQQPQAILVQQAPMQSQAPAPQPQYNMYQAPPQQFVQQPGGVMMGMPYNMNTMTPPPPPQPQMMSLGRPSQPAAPPMLQQQMPMSLAPQMQFALQQPGVPPFRPMQPPPPGMQYFHPQSQ >Dexi1A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:1A:5239217:5245437:1 gene:Dexi1A01G0006820 transcript:Dexi1A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVLTSSSPFLPPFRLASALPFRLPDLAGISSPAAAMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEAGRMSKRLPETEKTRADAAADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAELLVSTSGKNSKQERGENSGAYIIRIPFGPKDKYLAKEQLWPFIQEFVDGALSHIVRMSKAIGDEIGFRHPVWPAVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDTDGEEENPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEQAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRYLCIRWGIEVPNVAVLVGESGDSDYEELLGGLHRTIILKGEFNIPLNRIHNVRRYPLQDVVALDSSNIVGIEGYTTDDLKFALQQMGMLTQ >Dexi8A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:8A:568506:568862:1 gene:Dexi8A01G0000870 transcript:Dexi8A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRDAVAVVAVVVLLVVAAAATASAAVSCSEVTSAVMPCLGYAMGNAASPSPACCNGVRSLSSRASSAADRQAACSCLKSMTGRFGRSMGNAANIPSQCGVNVGVPISPNVDCSK >Dexi1A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:1A:17905195:17907522:-1 gene:Dexi1A01G0013430 transcript:Dexi1A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDSNASSQGGDHRNFRQITRDRLLFEMLRSTRKDSKSTWKVLIMDKFTVKIMSYACKMADITEEGVSCK >Dexi9A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:9A:6928932:6932498:1 gene:Dexi9A01G0011120 transcript:Dexi9A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTASPARRRRRPRAALCCASVLLALMAISVGAAAQTAASDVEAMRAVAKAMGADKTLGWDITGDPCSPKPWDHVSCDSSGRVTAIQVGARGLTGTLAPEVRNLTELSRLEVFGNFLAGPLPSLAGLSSLQVLLARDCNFTSIPADFFKGLTGLTTVDIDHNPFAPWTLPDDLAGCTGLNNFSANKANITGTLPGFFGAMPTLQQLSLAYNKLSGPVPASLAAAPLEQLWLNNQDAPGLNGSISFVSNMTSLQQLWLQSNAFTGPLPDFTGLQSLSDLQLRDNKLTGPVPDSLVNLKSLTKLTLTNNLLQGPMPNFSAVQPDLIATSERFCLQEPAKPCDPRVSLLLEVAAGFMYPAVLADKWVGNNPCNNFVGVRCNSAGNITILDFSRMQLSGSISPAIGQIGSLERLILSNNNITGTVPEEVAALPRLTNVDLSNNNLYGKLPTFAAKNAVVKTDGNPNIGKDAPAPTAGSDGNGNNSPSGGGGSGSNGNDGGSSSSSSGVIAGSVIGAVAGLGLLAALGFYCYKRKQKPFGRVQSPHAMRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLNKDAFRKAIDPVIDLDEETFASVSTISELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPTDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFVASLDNTQTSIPTRPPGFAESFTSADGR >Dexi3B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:3B:4438969:4439412:-1 gene:Dexi3B01G0006400 transcript:Dexi3B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASAPSPCANGCGFFGSPATMNLCSVCFANHLHATCKTAAQAVLTEEKALTDAEMMSKIEHEDWVQRTSKAKENTFTCTECFKKMGLAMRFECRCGNAYCLSHRNSEVHHCSFDYQRAGVISIIRSNPLVEADKMRDRI >Dexi3B01G0038210.1:cds pep primary_assembly:Fonio_CM05836:3B:41039194:41043457:1 gene:Dexi3B01G0038210 transcript:Dexi3B01G0038210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGQIGHVGRDSRPSDRPDGRPAFSRGTHVGPTWDPRNVLAGPTKDANATPTNFFYTRAKAQQESPAFQESLVRVRVRVI >Dexi6A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:6A:4625410:4626912:-1 gene:Dexi6A01G0005040 transcript:Dexi6A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAAAEGSQSRRRMDLNLYLGLPPLPRPPGRLDAGLDCPSLIANPTGPEPQAPEMGEPEESLAPAAAYSPSNALSTPEEQPMLDPVVYAWLDGHSTDGEEDTDAPDHGANVSQPLVAVSQPLVADSGLEGDDLTPWVERFVRPGRVASAAAAAGGDHIGGGGGGGGGVGGGGGGMEMVTTSMMRRSLQLQGLAPIEAATPELRFQRVIQISQQHSIVRSGSSNRSQRAASPEADRLVWAIQRTHNSLEAARRQKLDGDKVCGKGAAKDGCCECSSSFECNICLDPAKEPVVTPCGHLFCWPCLYQWLHAHSAHSECPVCKGEVLEVNVTPIYGRGGEEGDSANPDMPPRPRANRRDSLRQQLQMTDTRGIATVVRQLIENQGIVRGLPSPAVAEMTSRQAAEITSRQRARIRRQQRQNNNASSSTPATAIMLNTGNVSSESSNQIQLSPSNANITAPVAPAAPRLYTTAPVILVEPRSSRRSRPSSPITRRTRRRPQ >DexiUA01G0020080.1:cds pep primary_assembly:Fonio_CM05836:UA:41966698:41967133:1 gene:DexiUA01G0020080 transcript:DexiUA01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPACCAVVSMAPPSARVLLVLMAALTVILAVVPARGAWVDYPSGVPCGETIPVEQCDPGDAAANSACMDMCHYGGCRRGGKCVSLGFGRGRGCHCKC >Dexi4A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:4A:21816804:21817040:-1 gene:Dexi4A01G0017870 transcript:Dexi4A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGFGSRPWSAAASRGGSSPARLLAVSRPPPDLELLRRPRRRLLFFSSSSAAATLLCWAAFRAGEELRRRVRKLRR >Dexi8A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:8A:2688939:2700671:-1 gene:Dexi8A01G0003570 transcript:Dexi8A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGTAGAILSSGSTEQCGCGTLTWVARSLPVSHHDMQLQTWAAGQSSTRACLSGGSGKKQAAEDYRTGDFRWKKRAEETRADNLLDMSPHDLRCRFVPYEPTECQKGGCRGVGVGVGGRRTVVSVNPLASLDISKLLDVSPLELRCPFVPFELIECPVTKDTCKFEMHMVLMPSKEHHTKFESDIHTMVNIYHTDYVNTELDLNSDIDSLKNAEELGGKVHRISELLDVSPRELRCTFVPNEPIECPMTLANGTDHYVSVKVADYAKDILGLNNDVEFVNKLVKNESICLRDSSYLELSELLDVYPRELCCPFVPDELIECPMTLTNRTVHYVGVWITPATMGMKKQQQPPPKKTCKFEVLMVLMGKTTWKYEEAEYFCGVMGIEDHGWKAGSMYVLQEDQDIMSTFKTNIDSTSLKKELEIDDCDREFVEELTMLNMDGDLEERLHRLGCLLHRVQLTAVVCDPARCQEGIRHQRRRCLGRRSGMRDDGVRGLGNMRTTGTRSDEATAGPATGTSGATHGAMVAARNWWR >Dexi4A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:4A:3516377:3517903:-1 gene:Dexi4A01G0004970 transcript:Dexi4A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNARPPAGGGGELFPGLLGGKRAFGEAFVKATLPLFVRDGDGGGGDDDDGGRHGVDPETTSNKRKRLVGWLPVKSVHRRSSGGAYVKVKMEGVAIGRKVDVSLHGSYDELLRTLARMFPSGGAGGAEDEAAVTGQHEGRRRGPPYVVTYEDGEGDWLLVGDVPAFAKTVKRLKILA >Dexi9B01G0029200.1:cds pep primary_assembly:Fonio_CM05836:9B:31797142:31797795:-1 gene:Dexi9B01G0029200 transcript:Dexi9B01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVACPQDRGLWMATREFWLLPDEEQFAPSCSDWLLLLLDKCSPVQRDLVKLILWKAWMTHNNITHQAGPTGIHDGVQALLSMRTSLEQIAEDQTSFDLKGTASKLHRPVKGKGKGRISDEVQSTWNPPPKGWSKVNVDGSFIPATRGEAGVGIIARNSDGQVIFSAWKVLFRCLDAAEAEARACMEGIRFAAQWAPGKVIIESDCSRTTGRTDQS >Dexi1B01G0021170.1:cds pep primary_assembly:Fonio_CM05836:1B:27119466:27120212:1 gene:Dexi1B01G0021170 transcript:Dexi1B01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEDSSSGSEPTTSSSAEAPASPTATTASSSSSDSSSSGAGKKRRRTKDGHHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGPAAAHLNFPELADELPRPATAAPKDVQAAALLAAAADFPAPPAAANAAGDKVVVSDDGDVAAGSASEGSPEQPPQDEMDNGGNNGDDAALFDLPDLLLDLSGRQGPSSCQLISCAASWGEDDACFPGAGGVFRLEEPLLLWEY >Dexi7A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:7A:27817847:27833095:-1 gene:Dexi7A01G0018410 transcript:Dexi7A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSAPSPAAAPIQVRCAGCHGVLAVGPGLTEFICPKCGMEQRLPPQLMPKSTSSSSPPPKSPVKPSPSPPRKPRRCAPPAQGVDPTKIQLPCAHCQAILNVPHGLARFRCPQCGVDLAVDYAKLQNFLASSKPSGPAPASGPTTQAPPVPFLPILPPGVTQPLQMVAGATIPMMLPTLEPEEINEVAIDVEREEDEGGTVGETFTDYRPAKLSLGLSHPDPVVETSSLSAVQPPEPTYNLNIKDELDETKTLSCLQIETIVYACQRHLHHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGHEFEGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQNHNSMNSSINLPNEAAFIYGNVSGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDVVEAPLEERMMVKSYDVDEFSAFKLLLSHGALLQFRFLIVLFIMQNMYRKAAEFWAELRLELISASELFAEEKGNSNQIWRLYWASHQRFFRHLCMSAKVPAVVRLAKEGMAENKCVVVGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPRKPDSFQQGEEKVTEIQRKRHSAPDISFKGRVRKVAKMVDVSDDDTDDYSPSESDHESTESDEEFHMCQICNTEEIVEDEEKEQDANVADFSKRYDAAVEKKLKILDTIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQDSFPVVPPDCTDDEASIQEFINEAKTALISVGIIRDAVVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPNVQNRLFDLFTSILDVVLHHARIEGQVDSGIVDIKAKKVEMKESPKLAKAKLEEKQKDFPGSSNDGFYESRREWMGRRHFILAFEGSSEGMYKIIRPAIGDALREMPSTELKSKYRKVSSIDKVSKGWQEEYDASSKQVRQVHKRIRVVRLVTTNDAQRIVGLLIPNSAVESVLTGLQWVQDIDD >Dexi4B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:4B:4207060:4210973:1 gene:Dexi4B01G0005990 transcript:Dexi4B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQQQQQGGGDGGAAAAEADIERLPADILAHVLSLLPSFRDLSMYLKLRTGDDTTARFVHAAVNLRDLDIIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVSLLSISPALQIRSIPQILTAGLQVSS >Dexi9A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:9A:2746687:2747091:-1 gene:Dexi9A01G0005000 transcript:Dexi9A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGEGAPGKVTCAAWVRRREDGGPPGVSRLLVAFGRGATASSPALLDLLEFDARASALASEPLVRVVVGEEAADTPRAIAVHPAGRELVCVTARECRSIS >Dexi9A01G0025520.1:cds pep primary_assembly:Fonio_CM05836:9A:26027299:26028430:-1 gene:Dexi9A01G0025520 transcript:Dexi9A01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFQDMRPVQKYKRNYWRFTWTNRTTNGAPVLTRNRNTPKDECSYYSVIGALESNMRLQRGFVGNLSIKYLKQKHAKVVHANVEMMKFGRIEQLLKISKEIGVPSEHIYNLILQRQRPVCPMHKISGYKKYDVSVPMHIRAALERHLKRGPMIAVFWISVNYDDCMKNGVVYRFLDLHPKRDKKNDISNEDRISHAVCVVNFGMEEDVPFLLFRLDIAGWPEFGRVEMQTVTELYGINM >Dexi6B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:6B:18821580:18822700:1 gene:Dexi6B01G0011630 transcript:Dexi6B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGAVLVAHDALGAELRQRLFDHAAPELFAIPAKVKRSLVSGLIHGYIGPRPEAPVYESARVWEAAVDGTVVRDVAGVVWPHGNPAFSDTIGEFAKNMLDLQKTVEAMILEGLGVGKEHIDSHLRSLNYSVRLSRYGSLAEMGNEMFMQAHKDCTVLSLLSQHNVDGLELQLNDGSWLAVPAEPDTFTVVAGDLLTVVTNGRVPTNVHRVRTPSDRERFSVQFESRPKYGWTVCPVDELVDEEHPRQYNPCNFDEYVDFRFMGDGRKSSHPLKSFCGVVKDEQ >Dexi5B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:5B:1143860:1146362:-1 gene:Dexi5B01G0001800 transcript:Dexi5B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGDPLVVGRIIGDVVDPFVRRVPLRVAYASREVSNGCELRPSAIAEQPRVEVGGPDMRTFYTLVMVDPDAPSPSDPSLREYLHWLVTDIPATTGVSFGTEIMCYEIPRPVLGIHRLVFLLFQQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >Dexi3A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:3A:3816670:3820085:-1 gene:Dexi3A01G0005890 transcript:Dexi3A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDVREDEGAPMEKDVPEEIEQPSSTEQTRMPPPAASDQNHGAAPPFIWRFAGDEHPAGVGPPIPFPYLPFLLGAMAPVDSSRMRGDLRRPGGSDGVDQKSSKPKPRPDDF >Dexi5A01G0026700.1:cds pep primary_assembly:Fonio_CM05836:5A:30256476:30265470:-1 gene:Dexi5A01G0026700 transcript:Dexi5A01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAEPPPAVEVEEAMPTTPDIALDSEGCQDVEAIKGEEDGGAPYGEVGKLGESLKPHGDGVVADEHMKIAEDPNLPDAKSDEPDEPEDADAKSDEPEDAGAEVQTDDVASAVDVLKEGVGVAVASSTLVDAPTEVGMQTNEDTAVDAFTDVGAALVTSTSMDDPTEMGSSLVIDDCNIVSTGGVHRPDDQPDKVAGADSLDADGDAPLVNDVQYESASTDKDVAVSDDVAQGHETPLMDVSTALLNEVDTETAKARDHVAEDTNMDTQVQTGNDNEAEGVSTIAAMTRDNSEKHNGVGIDAFGQGIETERDVLTGDDEQKEIAAADEDHVEEEGVHMDALNITGDMDKEGRIVVDNIADEAVDGMAVPEEKSAQMDEAGDDTPEEEDAQMGGVGLTGNDNEQEEAVVADHDGLEENAMLIDAAATTNDDDEDDGIVGEDVAEAATGTVGDDAPEENATPIDSDDDDDEPPPLVARKGGRHRKRGRPSSKAQAAVKVSIKKKDEEEVCFICFDGGDLVICDRRGCPKAYHPSCVNRDDDFFRSKGRWNCVEEISAAKSQKSRDLPDTNDEEAISESSSGRHLENNTPKKRGRKRLKEAAIEDGSEGKESTRKSTKPGLSSIRDAQTSPGKKVSPSADENHGGVVDPDPSQDADGNSEASVVMSSEKRRKSRKYDQKRQPNLDDYAAIDNHNIGLMYLRRNLMEELIGDVETFEEKVVGSFVRIRIPVFTLRECVEKLKLLSTPEERARRLNEEPEIHADPAMDPDYESPEEQEQETERNSFNKSRGSFLRKDDTRHQMSTPSAAQVQPVVTAIAGSDTQSSGWAISAQGANTSVQSQVAGNMTWGPAPQGDASMGWGMMGQSNMNMPWVASAQGASGYNMGVTMPTQPPSAVPSVGWVPNPGNTSMNMIWAATQGQGTPNAAAMMGGQMQGVAMANWGGVAAGNANTYPGWGTQQIGNMNQHVSWSAPVQGTPGQANNNMNWNASNGNPDWNNQQRDNGGRHSGHRDLGGRWKSRSGGDGGSRGHRPPGVCWSYVNSGHCWKVDCRYTHPPNTDGYSSRNDRQFDRQHSGNERRFDNHNERNDGQFDRQPPDSERPDDRHNSRDDDRHDDQQADRSQSREPR >Dexi8A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:8A:28010055:28011363:1 gene:Dexi8A01G0016510 transcript:Dexi8A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETCLDYLRYPLNPSKILKPKNELTLSGHRTAPVAGAALSLPSPDTRRRRLAAARRMRAYSSTRRHATDGDPREEIILQEQHPHPAGLRRRGDLPGDLAGEPVAVEVHGAGGGELGGDAPGERVVAEVHRGARLDMPGRTELAGERVLAELQVANPEREDLTGDLAGEPVAAEVEEREPRQPPQHGADLAGEAVAGERERRNPAGEAVAAEVEVDERGPHGPRDLAGERVVGEDQRAEPPELEQRRRYSPREGVLAEVDERGGEVAGELVPGEGEEAEGWERGDGGGEGAGDVAGDEGEGCEGGELGERSGREGAGEAWSPGARVAEREGDDAGTVGGAGDSGEGAGVGGEVPGGEEAGAWEVGERAANLLERQVVDWVERRLRCRGGEQREEEPGEERVEGRRRARGGHWVSAWREG >Dexi9A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:9A:11973042:11977049:1 gene:Dexi9A01G0016860 transcript:Dexi9A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSTDPPNTHWSLLPPSSYSTPAGGAGSGLAGCSADRRQGEIFSIHYDFSPIPMGHGSAEDSDVSDSDIVEHKEKTYAQLRGGKWKVKYGENAFRCPFCPGKKKQDYNLKDLLQHATGIGAAHKRSAKVKATHLGLAMFLEKDIASSLEKPLQIVPYKPKTPKSEEEVFVWPWMGIVANLPYELEAKEFSRGCEERLKAQLSRFRPLQVTILGDDMVQTFCLSEKDKDRAFCAIVKFAKDWSGFKDALAFEKHFVFEQYGKADWYKIKCKKDDIYGWLARSDDYNSPGPIGKHLRENGDLRSVGDLEREGMQETGKRVAHYALQIEVTSEHMRKLEQKNNQNAMKLDRMMEEKDRLVEEHNKKMRKMQEDARRNSRKIIDQNIKLNKELEARKKEIDRKSKQLEKLAMKSNTNTEKLEVAKQENAKENMLINLATQKKTEQDVKLLRLVKHHEQEKEHVVKMLYNLENQLASKQKLELEIEQLTGNLEVMRHMGDADANLKKKVDELRKTLEEKSEEMEAMDSLNQTLVIKERRTNDELEEAKKVLTTELPNMFAARSLIGVRRMGEPDKKAFFAACKGKTAQDDDELTLLFSKWEDEIRHPEWHPFKVIEVDGQAKEIIKEDDEKLQSLKAELGEKAHDVVVKALREVNEYNPSGRYPLPELWNFKEDRKAPMGEVAAYIVKQWKTNKKKNTST >Dexi7B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:7B:16753794:16755513:1 gene:Dexi7B01G0009060 transcript:Dexi7B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWAKWVVGAVIVAIPVYRRFRTLEDKIEKTAEVAIEVVDTVAEATEKIAGEVADAFPGNENLKEAASKIKTVTDEIEEDAEKAEALIKKVDEIKKEVDSIVDPIIDKVVKEDSGEAA >Dexi9A01G0039250.1:cds pep primary_assembly:Fonio_CM05836:9A:43293402:43295304:1 gene:Dexi9A01G0039250 transcript:Dexi9A01G0039250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPPPISPCRGPHLVLVLLVALYSIPGTLSSRLVTLDTVEIFTTHEWFGKPTVYFRCNGENKTYLPDVKEAHVLYNFKGEESWQPLTELPEKKCKRCGLYEEDAFKPADVFDEWEMCSSDFKDGKYTRFKEDQFNATFLCPNCTASAGDHGNQESSSEVETKKASVAVIIVVSVLASVLVILALFAGYKYWLKKKRERDQARFLKLFEEGDDLEDELGLSNEF >Dexi1A01G0030240.1:cds pep primary_assembly:Fonio_CM05836:1A:35560035:35563467:1 gene:Dexi1A01G0030240 transcript:Dexi1A01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSIVRLLSAGTHVFPAFANSGSHLGCTPIRSCGRPQTSAVACDRPPHGHLRVAGIFVFRKRTERGRRRFRPGERASVRGRQAASPSTVESARAAAAAPPIHPPAPALAPHSAISILALDVRNETVDLVRLLLPPETVPVQEVFQHLKCSKQGLSSTEGESRLKIFGPNKLEEKSESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWKEEDASILVPGDIISIKLGDIVPADARLLDGDPLKIDQAALTGESLPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIISIAVGMVIEVIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCGKGADKDMVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGDWHRVSKGAPEQIIELCRMSKDAEKRIHGLIDSYADRGLRSLGVSYQQVPEKTKESSGEPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGSNMYPSTTLLGDGKAAGELGALNIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALVWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGTYMALTTALFFYLAHDTEFFTEAFGVKSIKENDRELMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYANWEFCKMQGIGWGWGAAIWAFSVATYFPLDVLKFFIRYALSGRAWNNINNKTAFTNRTDYGKGEREAQWATAQRTLHGLNQGGGASSSELLGDTTGYRELSELAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Dexi5B01G0031560.1:cds pep primary_assembly:Fonio_CM05836:5B:32249742:32251133:1 gene:Dexi5B01G0031560 transcript:Dexi5B01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVLVCELYDDGERRMTMTRIQRSGGENGHRQALRARDATAGGGQAAPGHRVADAVATCHQPAGLGGEQRRRRLRMEPCVLPWANDYTARAQHPRGVGLGDLRSRSRAVGAHHATCYGADRAGAAALTAFAAAPGCMLSAPEQCCAAAFLTLSPPLLSLPPQPFTGLPERPCLRREALADGDQVPPPPVAAAVLVAMILAYRVVVVVLPAPLVLRSRHRRACESERETTARKITSELTEHTTMSSTRRARQGVAVAFLQASMAVNKQKVSVDGSEGDEFVET >Dexi7B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:7B:23594917:23602154:-1 gene:Dexi7B01G0017680 transcript:Dexi7B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPGTGPGCLGPPAQAPAGSLAGVQGAPRRPWIVRTESNVRRERPKRPDPPCTICKGTGRIDCRNCFGRGRTNHAELAVLPKGEWPQWCRICGGSGLDYCFRCHGTGEFREPMGFHFTVGSK >Dexi1A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:1A:2874127:2874604:1 gene:Dexi1A01G0003930 transcript:Dexi1A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPFSVVSSDRMDDGPTNGLEFPSVRNRKPLDNCIGCSIFVAGD >Dexi5A01G0034380.1:cds pep primary_assembly:Fonio_CM05836:5A:36453125:36453790:-1 gene:Dexi5A01G0034380 transcript:Dexi5A01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLTLPLASPLTAAAASTFSPSFQLHPSAVSMRHVRVRAPPRGNVAAKADLLGDLGGRDPFPEEIESKFGEKVLGNVDTLHNILIPSLSALSLAGLPLQPAAAAEPLSLDDARRLLLKVVGWRLLLSDDERRPVRLQCVWKVRDEACGQELVARINAALDGAEHGPAVLATEAPNNQVRAELSTPSDDGDSLTVNDFIVAARIDKVKTLDLIPKKRVWA >Dexi3B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:3B:6907515:6907735:1 gene:Dexi3B01G0009970 transcript:Dexi3B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKRVAPMGGACEAVAADPKRSGRRRKRMRGVPEEGHRRRGQRREGSLESPEERHQRRF >Dexi9A01G0041300.1:cds pep primary_assembly:Fonio_CM05836:9A:44951210:44951686:1 gene:Dexi9A01G0041300 transcript:Dexi9A01G0041300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVELVALWASSSPSLLAFCFSHLIIAVLLLGGRGCAQPDVSGGGEWTSGAAGAETLDVPGEKMSSGQDSPTTPTVAVSVVHGRVAEVDMDAVQVEASETSNGAEEGTVAADYDMAQEKCDNEEEDDELMMRAEEFIQRMNTVWRAENMRPC >Dexi2B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:2B:3241882:3246496:-1 gene:Dexi2B01G0003730 transcript:Dexi2B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGWVIPRGSFDLHSSPLELDVFGVLMMDGFMWFCRPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >Dexi3B01G0035520.1:cds pep primary_assembly:Fonio_CM05836:3B:38532214:38534535:-1 gene:Dexi3B01G0035520 transcript:Dexi3B01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASACGGAGCGPHCSSSSSGAGSEGDAPAERMGRLSIAAGASTTTCGKCDGGGAVVAVSGGAGMCGECFRAYLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNSQALPAFGVGVAFVDESALLARPEHESEMATEDIKSMVSSLSPGHKKVHVAPLEDVFSSGSEDKAATLKEVVGMINDETGRDDFIRCLLMLSLQKIALENGYTKIMLGTCASGIARHVLSATVKGQGYSLPADVQYVDTSLKTQQLLDRPCSGINSLVASFVSRLRDENPSREHTILRTAQKLKPFSFNMFSANGYHDFLPSRLRPKFQNVDTNDTTFSEILCLICGSPFSESELQNLENTKHKAQRKIDMYTAHCCQSCHFQILPAATDMYEHFFSLLPKFWTEKVDTAVTASANHGSLRDQIEDYLLEEDDDEN >Dexi9B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:9B:12905124:12905692:-1 gene:Dexi9B01G0018170 transcript:Dexi9B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRRGGSGRPSGTDGSDFSYRMVVDSRYQRVAEGRSRLARLILVQILHQVAGGALLLLSLSKGKEPNKFAVLSVAAGLLAVVVGELGDIPVFE >Dexi9A01G0030440.1:cds pep primary_assembly:Fonio_CM05836:9A:35472243:35474021:1 gene:Dexi9A01G0030440 transcript:Dexi9A01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGEKLHHKEANVAHGAAAVYGGGGKGGAKSSSFLYGLLLYVVLPVLVLYFVVIAASQFYNPQCSPEGNVVMAASHFMVAAKPNNVSLAGRSLNASSSPPPSPTHPSKPALTAEEAPTGLRHIVFGIGASASLWQSRKEYIKLWWRPGRMRGFVWMDRPVQEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPATTSRAGALRRLFDGPVRLDSAAVAQQSVCYDRGHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPHVYYMRGSRMDRRRNVTVTEYERHRVKHPACRWRIDDPGALLDSVVVLKKPDPDLWKRSPRRNCCRVVSSPKKGKDRTMTIDVGVCREGEFAKV >DexiUA01G0024480.1:cds pep primary_assembly:Fonio_CM05836:UA:51016411:51019584:1 gene:DexiUA01G0024480 transcript:DexiUA01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGGGAAGEGEWLKVAELRAMVEAQDPQAKEVDNLTLRRFLRARNHNVDKAAAMFLKFLRWRREAAPDGFVPEEQVRRQLSHDMICMGGVDRSGRPILVAFPARHYYANRDLAEFKSFVVYFFDKICARIPRGQEKFLCIADLKGWGYSNCDVRAYIAAIQIMQNYYPERLGKALMINVPYIFMKAWKMVCPFLDNNTKDKFLFVDDKSLQETLLREIDESQLPEFLGGKMPLIPLKDYVHQPESV >Dexi9A01G0029170.1:cds pep primary_assembly:Fonio_CM05836:9A:33964068:33984454:1 gene:Dexi9A01G0029170 transcript:Dexi9A01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNPAVAAEVRALIQGADDSTFDPTYRELCQVTLQHLLPSPNPRWRTFTNAQIEELCSNHAHSVSNERIQEILVYLIETEGLSKHMDSFTNIVSLLNVKERPFYAPVSLPEANCNQANSSRHTELCIGGLDDDFDPLLSEIGKEISLPDIITELGYGCASDIAHCKDILSHFEPLDDMGISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTIDSSQLTTWNIDVLLDSINEIAPGTNWAHVMENLDHDGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVASPNDIFTFAHCTRKMAFSDLGNFNQGNQAWFCLDLLEVLCQLAELGYSKPVRTMLDYPLTHCPEVLLLGVSHINATYNLIQHEVSSYVFPAMLKNTMHSRVMNFLWHINPYLTLRGFVDAHSDINCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQRATFLEVLRSHSGQLLSVQLADELRRVEAIYESRNHGDVGRGMPSPDGGSEDIESQANIYFQQMFAGQISIDAMIEMLASFKESKDRREQSIFNCMISNLFEEYKFFPKYPDAQLRLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPADQRGSGSQSIENIEATMSLSSQASSHHSSTASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTTNMETKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEVSFIYCGMMLAKQMFYGLNIMLLPGLTCDILNCCFPFQAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEYAAPIRLPPNNMVEDDKVALIMPEQVTSHSLTQVAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYNKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRSAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETSEQIIQILVNDNLDLGCALTETVATRKAVEMIDGDIKQPFSQLRRRKELQGSSYYDVSPYIQGLTRVPDVLRPKPSGNLSAVQRRVYEVKQHIMNFFTFRIFFVPNTSSYLNRQFQDFITVWHSQGSQNVSATTSATTVAVAPADSNIASVHGPILAPSAASSFSTLQFAPFTSANQSTELIPDKTDPGSAQLSSVSAQAITDSSGHVSGVSNVASVFPPMASGDLLVGELATASKDLGAAVQPSPTVAINRLGSAFPEPLNTGDALDRYQHVWQKLEALIANNGKDSEIQAVIAEVPDILVRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNMDIIIGLIHSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLVQTLVTQDSSSVSELFNVVDALSKLATRPGSPDSLQHLIEIARSTFNNSANYAASKDDKVIQSRDKKVLPGRPLMNNEEDNADGIAFANAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDSTERFFFSLTSVDLGPNKGSLLHKILAVTARIIQKDAEEKKLSFNPRPYFRLFINWLSELTTSDLHHDSPNFQVLTAFANVFHILQPLRVPAWRFILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEAHTAGTRYNVPLVNSLVLYVGMQVSSEIFSLIMFAVQQLQQNKANASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEVVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLTDGGH >Dexi1A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:1A:7078608:7091581:1 gene:Dexi1A01G0009010 transcript:Dexi1A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRLEQTNALFRKNLMIQRRACKTNCCLVLFPLLLCSVVGGLQIAIRRSSSTEPPTRLDCACSNVTIDENAMGGLSLGCPDECPLPRAPRWPPLLQIPPSQYRAVGDGLFPFTDLPDASCRATGSCPATFLVTGGNQSFVTSVMDNMFFPDHSASVNLTDATDDGTFKQSFLQNKCAPNQTLSYPEQFGNEIANRGLSLFRQNSYGVQFIFYFAYMNLQISFAFLMATYFSSVRTAAVTGYLYIFVSGLLADVLFRHYVEDVYLSRRWITLMELVPAFSLYRVVYEFSQSILAGRYMASSGIQLALLVRSWIVGKHFQAAQQQNTQLQEFRASIEVERADVIKEREMVEQILQESSSGYSVICDNLKKVYRGQDGNADKIAVRGISLCMSHGQCLGVLGPNGAGKTTLINMAIEESLRSVRLFAGGVADKLVRKYSGGMKRRLSVAISLIGNPKLKDRYGGSCVLTVTAPVGEEEEIERLVRSISPAANRVYCLSALSLVPLCLSAPSKMTGAADFHSQAEALFRKNLAIQRRAYKTNCCIIMFPLIVCGLLGAAQSAIDSFFKNDGKPPDCKACVAGAVRLSDAAVGGLACAEECPLPVAPRWPVALLLPTGKEDVTGEDRAAPPGDKEKSPEDPLEALTKSPPCKSPESCAAPARFLITGGNKSFAESLAGNLFPPNASPNLKADMNGLADFALATDAKGPGAQDYGTVFAYDLTNSGVKSINFTVQYNPEEPSMLRVARLMNLAAEQSLKILRIFEGGVADTRVSQYSGGMKRRLSVAISLIGDPKVVYLDEPSSGLDPASRNALWNAVKLAKKDRAIILTTHSMEEAEALCDRIGVSAYGRLRCTGTSKELKAKYGGTFVFTVTAAASEDEAVEQLVRTISPTVKRTYHIAGTQKFEMPKQGVKISEVFRAMEQAKRSLNIVAWGLVDTTLEDVFIKVAKESDKCPD >Dexi2A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:2A:1006183:1027249:-1 gene:Dexi2A01G0001460 transcript:Dexi2A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVEDRLHRVLLRAQAIVYEAMGRHITNQAMLQQLDMVRDAMYRGYYMLDSFSCQPHDEGTKDKAVRVSSPLSKVNSLKRLSFSTSTRRVVLKQLQETLDDLNSIILDVQELVVFLMSYPRMPRQPYSMHLQLANCMFDRQVEAQFVINFLLNIQPHEDEGVGVLPIVGPGQVGKSTLVAHVCKDERTLTFESMDPEMHPRLTHLAIEIAKTLGNSSIIAANVTARLLRDNLNIHFWCKVLNFLRGFIQKHVSRFGEHPINFLNENRPAHIARMATSSEEFMIHHRYQCSPEEEVPQIRFEDVIKMSKPMPLDVEDCLRRVLLRAQVIIDEAMGRHITNHSMLLQLVMLRDAMHRGYYTLDNFRYQPHNDEDIKDQADRTQMENFLSGVLVLVELIGDLSEDAWNGLYSAFEQWCQMGLRRCAAAIGGGDRASSVRGLRGEPERALRPGMAPPRLASGNLTCRGPVGDSRGGRRAQDRMAHPRFPLLELEYDDTHRAHMIADQNMVLPWLRTRVHAPMHWDSRYAPKMSKPMPLDVEDCLRRVLLRAQVIIEEAMGRHITNHAMLLQLLMLRDAMHRGHYTLDNFRYQPHDNLTVMKN >Dexi8B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:8B:958697:960182:-1 gene:Dexi8B01G0001450 transcript:Dexi8B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKSPPPVSSPPSSSSSSTISMGEIIPLPPRNKRRAKAGGAADKPKRPRKDRQSKEDPNATAASAAGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTSVQNKKKGRQGSCSCI >Dexi9A01G0038350.1:cds pep primary_assembly:Fonio_CM05836:9A:42638967:42639287:-1 gene:Dexi9A01G0038350 transcript:Dexi9A01G0038350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLTSSATQRPCSASAASSPNLSIWRRKKEMGNDGLMVVAHRQAAQLKRLTQLPLAGGYPPLEQFMRSLVSRLLRTDLLAVLAELLRQAHVILSMKVFYSHPAN >Dexi5B01G0036280.1:cds pep primary_assembly:Fonio_CM05836:5B:36061337:36066703:1 gene:Dexi5B01G0036280 transcript:Dexi5B01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAPSSSAPAPSRKEHLEAGKKRLEQFRKKKAAKKATAVATSTEQAKPPAPDAVDNPPRIASTASSGDGLVSDVGQNQASTSSVPSAVYESGLAISSKGAESLSNGLVSANSNIRLQQDAVSDGGSKFYGNLSFSDLVNGHHENWRGDAAQKGDEHSPDKDVQPTSKLSSSGNTNSLGLSSSTDTLPSWGRNSLFSQVRDTEQSSSYSPSTFFGNSENAYTQDYSTNNDIFGRFRATSKESSQAEQSMYASSQDYGSIFNSSKLLWFGCQLIEDLTMEKFSLQRSLQKSQELAETLATDNSALTDKFNQQAHVISQLTSDMERLQEEIQAQLLALESVRTEYANAQLECSAADERAKVLAAEVILLEDKALKLRSSELKLEKEVEGLHSEISSYRRKVSSLEKERQHLQSTVEALQEGMPLKKKLLYSKLRNIPVNEKATTAIEKPSADKRDASTATEDLDTGETSSSETLTSTVDTLDDAGTSVLRSNNVSDFPSLEEVSSSIPDDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLETQTQRLELLTSQRMANESVLTKPIDTGSINDATMYADEGDEFVLSP >Dexi9B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:9B:14619570:14626237:-1 gene:Dexi9B01G0019930 transcript:Dexi9B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSSDGGGGYDKGGMDTGKYVRYTQEQVEALERVYAECPKPSSARRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNSSLANDASCESNVTTPANLRDASNPSGLLSIAEETLTDFLSKATGTAIDWVPMPGMKPGPDSFGIVTVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRGFNDAISGFNDDGWSVMGGDGIEDVIIACNSKKIRSSSNPASAFGAPGGIICAKASMLLQILEVVRLEGQAFTHDEGLLSRDIHLLQEKPVFTFGNQMGIDMLETTLIALQDLTLDKIFDEAGRKALHAEIPKLMEQGYAYLPGGVCLSGMGRHVSYEQAVAWKVLGEDSNVHCLAFCFVNWSFV >Dexi5B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:5B:3266602:3266936:-1 gene:Dexi5B01G0004800 transcript:Dexi5B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYKIGGIGEWDEYKQHLPAMNAHLAAALERYKAGHVERGLFHFVPKLTPGAEREAIHKWRRQRERRAAAAARIKEATDRARAARS >Dexi9B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:9B:11603646:11606080:-1 gene:Dexi9B01G0016890 transcript:Dexi9B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVATVPSPSPASRRLLPSSTSSAAPSLLRLPRPARRLRRALRVVSAAAGGEADVIPGPGNEGEAAVPGRLEEPRDEPLGGSQLDIGGLAFQGDVGGGFAGGRGAGSGASGGGDGNKMLDRTINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHICEAGWKLWPFAHLITYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARNADGTSTPDASKVKYI >Dexi1B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:1B:24052768:24056755:1 gene:Dexi1B01G0017750 transcript:Dexi1B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQESAAGGRKRRRRGGARNRRKLSSSSQQAPPFPEAAPPPPSSPPSKRRRKDGTGQATATPKRGSTSSLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFKNDPTLFDVYHTGYQEQMSHWPEQPVNVIINWLKSHNTSWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLDQSSVDVAIFCLSLMGTNYPSYLEEANRVLKPGGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDMKNKMFILFYFRKKEKSKVAKSIDWPQLKACIYKRR >Dexi1B01G0023770.1:cds pep primary_assembly:Fonio_CM05836:1B:29279059:29281669:-1 gene:Dexi1B01G0023770 transcript:Dexi1B01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHLRSGLPLLRVHLAASESASVAQGSRGFSSQVAKPTGKQVKVPEALYGGTGNYASALFLTAAKTNTLDKVESEIKTVVEASKKSPLFSQFIKDLSVPKETRVKAIIEIFGEAGFSDVTKNFLAVLADNGRLKYIERIAERFVDLTMAHKGEVKVVVRTVIPLPEKEEKELKETLQDILGKNKTILVEQKIDYSIMGGLVIEFGQKVFDMSIRTRAKQMEAFLRQPLDM >Dexi5B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:5B:5470466:5471056:1 gene:Dexi5B01G0008160 transcript:Dexi5B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATEKQQQAAAQAQRQRPPAVSAEMQKVFSRFDADGDGLISPSELAAVNRAITPPPTSSHAGREVAAMMDELDTDRDGYVDLGEFAAFHDRCAAGRGDGGGGELEAELRAAFDVYDVNGDGRITAAELGKVLAQIGEGCSAEECERMIANVDVDGDGCVGFEEFKKMMAPQQGNGAAEAKPAAAAADLPDKAKE >Dexi9B01G0035430.1:cds pep primary_assembly:Fonio_CM05836:9B:37099812:37100839:-1 gene:Dexi9B01G0035430 transcript:Dexi9B01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLGEPPPFVVVVQGPPQVKAGGYSSWIHGFPKVMGVLTHLDSKFKDPKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLDNGK >Dexi1B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:1B:24507559:24508291:1 gene:Dexi1B01G0018240 transcript:Dexi1B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVMHSMATRIVSHRIVKVVPRCTFLARGPDEDDNAGAANSGFLRRSRTESSSARNLFRRYLRSDDDILDGTKISRFTSKPSLFRLMHLRFGAEESSESTRTGLPAAPSDSPTVSVYPRAAAVPPLKTISSYPRFMGL >Dexi2A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:2A:1100183:1101191:-1 gene:Dexi2A01G0001570 transcript:Dexi2A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVPELNDDVVGEILARIPPDESAHLARAALVCKTWRRVVLSGSGGFLRRYRELHRTPPLIGFLHKTGSGRLFFPTAAACPFARPPEASDPWWHLDYRDLRPLDCRHGRVLFRHLNTRNLIVWDPVTGDWQEVPDLSIRYLFSFAMVLCAVPGCDHCGCAGGPFLVVFVCNIAGTVHGCVYSSEARAWGTLASLHLGRGRDKA >Dexi2B01G0033760.1:cds pep primary_assembly:Fonio_CM05836:2B:41172649:41173671:-1 gene:Dexi2B01G0033760 transcript:Dexi2B01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAETSAGQDAPAPPPRLPWTVRLQLFGLVAAAGVTMRRDGTVNRSLFNLLDRRARASPRPDKSGVRSTDVDVDASRGLWARVFSPSTAEAPLPVLVYFHGGAFALLSAASSAYDAMCRRFCRDLRAVVVSVNYRLAPEHRCPAAYDDGVDVLRHLASAGLPGDVVSAPLDFSRCFLAGDSAGGNIAHHVARRWKASPADSNPIRLAGVILVQPYFGGEERTEAEVKLDGKAPVVTIRGSDWAWRAFLPEGTDRDHPAAHVTDENAGLADGFPPAMVVIGGLDPLQDWQRRYADVLRRKGKAVRVVEFAESIHTFFFFPVLPDSGRLVAEMKAFMDEN >Dexi1B01G0022900.1:cds pep primary_assembly:Fonio_CM05836:1B:28568183:28570341:-1 gene:Dexi1B01G0022900 transcript:Dexi1B01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDRFPMWEAALLAAVAAVFAAALGGVYVSMPHSDYSFLKLPRNLQELQVLTDHLEGYTSDYTIQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLMFFQKQVGKRREKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATSIGLIPAAYVTVRAGIALSDLRSLNDLYDPKSIAVLFLIGLVSVTPTLLGKNETQRSAPANMAASTN >Dexi2B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:2B:9596321:9599693:1 gene:Dexi2B01G0008900 transcript:Dexi2B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQIFGWRKAPKTAEKEFIGTRRNAALNQVSGSEVANLSSQLPVLSSTGHTCGSGDRMRFNYSLNDSMFTYNFRPLPSIKDAPNTDKQNLLVIKLNMCCTLFDFMDPTKDKKEKEMKVKILLEILDYVRSASSKFPEIVVEAITTMISANLFRTLVSSTREKNVLQHFDLEEDEPLTDPAWPHLHPVYEVFLQFLQSPETDAKLAKRYVDHFFILRMLELFQSEDHRERNYLKTILHRIYGKFMVYRPFIRKSINNIFYQFIYETQKHNGIAELLEILGSIINGFALPLKEEHKLFLVAEKALFLWNNDRVESLIKKNSEKILPIILPALDKNINGHWNPVVQSLSLNMQKLLSDREPELFAECVLKYEEGKVREDELKLKQEAAWKHLEEIASAKVTSGEAVLVSPTLPRQPSAV >Dexi9A01G0037990.1:cds pep primary_assembly:Fonio_CM05836:9A:42241183:42245401:1 gene:Dexi9A01G0037990 transcript:Dexi9A01G0037990.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGHAAARPREGGTGHCLGGGAGVVMAAERDIDDLPRNDANYTALTPLWFLERAAVAHPARASVVHGPVRYTWADTYSRCRRLASALARRSVGHGSTVAVIAPNVPAVYEAHFGVPMAGAVVNCVNIRLNAATVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAHKKKGAFKQPLLIVIGDQTCDPAALQDALRKGAIEYETFLESGDPNFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALIWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVSAKAIFSAIANQGVTHFCGAPVVLTTIINAPPADTILPLPRVVDVMTAGAAPPPSVLEAMSKLGFRITHTYGLSETYGPSTVCAWKPEWDSLPADERARLHARQGIRYIGLEGLDVVDTKTMAPVPADGTTMGEIVMRGNGVMKGYLKNPKANAEAFENGWFHSGDLGVRHADGYIEVRDRAKDIIISGGENISSLEVEKAVYMHPAVLEASVVARADEQWGESPCAFVTVKDSVDGSDEAVLARDIMKFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >Dexi3B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:3B:8850363:8854712:1 gene:Dexi3B01G0012630 transcript:Dexi3B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLVVIRNEALLLGGVRDDVQFIKEEMESMNSFLAHLARSAPPGGEHDEQVRTWMTQVRLLAQDCNNCIDLYLYNGNPEIHRARGGLRRYIWWDRARDVGERRLRYGVEVPGKKSSEVADLAAASSSLVAPYDEDDDRDEEQMMVNTTKQLVVAMTHHYGPKAFFEPRILDDYVKVKLLEWRDEIPGCAGETQSIAIVALNADKHILGLAHETLVELPYYHRGILVDIPAVHPTFPYYEPLRPKEILYYILRELKQAKTQSQRQDTDQSKGEGQVKNKDIPVWDDNIIKEMAKKFKKRMEADEMPKEHKKKMGVKDTVKQEKEEDIEGIEVKEEEVQEQERDREEDEKEKIEGEKRKKENKGEEEVGAGRGMEEEHDGDLWEEKEDNDEVEEGDDEEGEEEDNNDLEEWELHEFSMKIFTHALYANPNRRSEELIKLQNTLQALPKSFDILAKKMFMFSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIERWVTEGLILKEDWPSSVHQANRCFDALIRRWLVYPADIGATGKVKSCVVGDLVHGFITKIARKQHFVETRLSHHLARHFSIFNDLQLRSSDKIDMFFEDLYKSSRVSLLKVLDLEGCRCFGGKNQRYLKDICTKMLLLKYLSLRGTDITQLPNEINNLRELEVLDIRQTKVLVSATTNILLLKLKRLLAGDIGPSSGDVGSVHIPHRIGKMLKVEVLSNARALSSDDLKDIGKLWQLRKLGLVIDDKDRHLGNLLEIISDLHECLCSLSITFPVAMPREDTPANLPDYISKRLTNSPKILESLSIRGTTLKGNLLPVIINGDNSKLTKVTLIRTLLNQNGLNILAKLPKLQCVRLRHITFTEHELTFKEEEFRCLKYLLVEGSGLTNITFEDGAARELEKLVLSFTGTMSISGAENLPNLEELELNYDSCSTLLPSFDDAAQIAKLTLRGALLNQDALQVLAKKPNLRCLVLSGISFEGTENEITFKKDEFVWLNLLHVDCPSITKITFTTESAPRLEKIVWPSSTALFGIDNLPRLKELEFKGYSLPKEVKDAIGKHKNKPSLKYVPAN >Dexi3A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:3A:371774:372430:1 gene:Dexi3A01G0000400 transcript:Dexi3A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTVVPPAAATPSPSPVPTAVSSTDPAAARAFLSRLLESTRRALSGARPWAELADRSALSRPESLADATSRLRKNLTYFRVNYAAVVALSLAAALLAHPFSLAALLALVAAWCLLYILRPADAPPLSAFGRTFSDKEVLGGLIASSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEPDQGAGSGNPLLSFIAGATGGRV >Dexi9B01G0037880.1:cds pep primary_assembly:Fonio_CM05836:9B:39145935:39149618:-1 gene:Dexi9B01G0037880 transcript:Dexi9B01G0037880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDHVGAGGRGKKQGGSQLWKKALLHSCLCFVMGFFTGFAPSSVSDWTSAAVSAGGVGSSHVVRALSAAGGAVNRTLLAHGAVVDVDASASSSPRPLLVVVTTTESTPAASGERAAALTRMAHTLRQAAPPVLWVVVEAAPDVPATARLLRTTGVMYRHLTYKDNFTAADVAAGKERHHQRNVALGHVEHHRLAGVVLFAGLGDTFDLRFFDQLRQISAFGAWPVATMARDERKVVVRGPACSSSTVTGWFSQDFSSNGTSPAATSTTARPPEVDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMKFVQQVVLEDFSKVKGIPSDCLEVMVWHYDSTVPSSSS >Dexi9A01G0047860.1:cds pep primary_assembly:Fonio_CM05836:9A:50831086:50831954:1 gene:Dexi9A01G0047860 transcript:Dexi9A01G0047860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLACLFEKKLEFELVRIDTFKTHHKLPEFIRLRDPNGQVTFKHGDKTLVDSRDICRYVCNQFPNDGNKTLYGSGALERASIEQWLQAEAQNFGPPSSALVFQLAFVPYLSHLGVRQDHAVIAENEDKLKQILDVYDEILSKNEYLAGDDFTLADLSHLPNSHYIVNTERGRKLFTNKKHVAKWYDKISKRETWAQVVKMQKEHPGPFEQWLN >DexiUA01G0007940.1:cds pep primary_assembly:Fonio_CM05836:UA:14828700:14830388:1 gene:DexiUA01G0007940 transcript:DexiUA01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAADSPSLGVSSPSSSTTGLQLLLLMVMLVYLAQTLRPRRKSTCAAPLPPGPMPLPVVGNLPEMLLSDKPALRWIHHVMKETGTDIACVKLGGVHVIPIACPKIAREVLKRHDANFASRPLTFASKRFSRGYMDAVMSPSGDHWRKMRRVLASEVVCPSRHVWLHDMRADEADNLTLYVYNLAGEEGTVVDVRHVARHYCGNVVRRLVFNQRYFGEPQPDCGPGPPEVQHVDAVFASVGLLYSFHVTDYLPWLLGLDLDGHEKMLMEANETVSWLHDTFIDERWRQWKSGERLDEPEDMLDVLITLKDAEGKPVLSIEEVKALLMDITFAAMDNPSNAVEWALAEMVNSPEMMKKAVEEIDTVVGRDRLVQESDIPRLNYLKACIREAFRLHPVAPFNVPHVALEDANVAGYHGYRHGLGDIEVAAGYHIPKSSHVILSRIGLGRNPGVWDGPLRFNPDRHLAAADPMAEVTLAENDLRFISFSTGRRGYIAASLGTAMSIMLFGRLLQGFSWSKPAGISAVDLSESRHDIFMAKPLVLHAEPRLPVHLYTVLSAAEFM >Dexi8B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:8B:11603750:11605269:1 gene:Dexi8B01G0008550 transcript:Dexi8B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLPMEYSLLSQINPARHNWRIKVRVARMWQVSGASKGKNFASMELVSLLMKSFSIDIIGQLTVVHPVVRSSGLNGPSVRREVELCDMSDRNLSVTLWGECAMSFEDEVLIETIGNDEPVVISFAGMQARLYLGVPTCRSSAGTKWYINIDSPEVNAFRASLQGKGSEVLLLSGDADVEAGGVDEENANRKTICDLLSLNPHDSNDIRFTCHASIKEIDVTNGWWYKG >DexiUA01G0014530.1:cds pep primary_assembly:Fonio_CM05836:UA:30606352:30607384:1 gene:DexiUA01G0014530 transcript:DexiUA01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNPLVTPNPSFPPPRVALFLLCAALSLVRSRPPPPTRTVGPSRPPPPPHPPRGTMPWAPCIPTPRPWRSCPSSPPKLTNPSSEIRRRRRPPGPRRLDSRSPSLPSFDPFELCLVPPKLEDPDSKETKETGVPLAARPCEDDHGAWVSLLGLAPLSVVHRATPPPPRHRATLPAALHHVTLPPPRHPAASRRRRRSGHQATHP >Dexi1A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:1A:6253820:6259802:-1 gene:Dexi1A01G0008110 transcript:Dexi1A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAARTTTMSHFLAALLFFSLSLAAGEVSSYVVYLGQHEHGAALGTHGAEELAALERDAADAHYDLLAGVLGGDKEKAKEAIFYSYTKHINGFAANLDAATAAEIARQPGFLGLAGPGGVPHGGAWKKARFGEDTIIGNFDTGVWPESESFRDDGLGPLIGARYFNKGYAAATGELNATTNTPRDMDGHGTHTLSTAGGSPVAGASVFGFGNGTASGGSPRARVAAYRVCYPPVNGSECFDADILAAFDAAIHDGVHVLSLSLGGDPTDYFEDGIAIGSFHAVRRGIPIICSAGNSGPGLGTVSNLAPWIFTTGASTMDREFPSYIVFNHTKAKAHHRTKASQRLRHGQSLSITSLPEKSYPLIDSAKAGADNATTKDAQLCMIGALDPKKVKGKIVVCLRGINARVAKGEAVKQAGGAGMVLANDASTGNEIIADAHVLPATQIKYSDGVILYSYLNSTKNPTGFITKPATILGAKPAPFMAAFSSQGPNTITPEILKPDITAPGVSVIAAWTRSNSPTDLTFDKRRVAFNSESGTSMSCPHVSGIVGLLRTLHPEWSPAAIRSAIMTTGLVYDLGEADYLDFLCALKYNSTVMAMFNGAPYTCPGEAPRRVADLNYPSITAVNVTAAGATARRRVKNVGKPGTYWAFVVEPAGVAVSVTPSSLEFKAKGEEKGFEVRFQVKNAKLAKDYSFGALVWTNGKQFVRSPLVVKALA >DexiUA01G0026960.1:cds pep primary_assembly:Fonio_CM05836:UA:57522670:57524314:-1 gene:DexiUA01G0026960 transcript:DexiUA01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAAALAAVLLPWLWKALVHLVWRPYSVTRAASTGRRTGSSWATPYRGDRRDAAAASGESLDRGSHDTTTRVMPYVRAWTSLYGKVFLWWSGPRPVLNVGDYDMVKRILSDKSGLYVKPDPGPSIMAMLGNGLIFSEGDVWARHRRVVHPAFAMDKLKMMTGTFEASAREVIIGAWEARVEAAATTKEATVEVGEQFTELTADVISHTAFGSSYRQGKEVFMAQRELQFMAMASMNKVHVPGLEYVPTKNNVRRWQLQRKARGTLMAIIEERLAAAKEAKGYGTDLLGLMLEANNAGDGGQRVMSMDEIIDECKTFFFAGHDTTAHLLTWAMFLLGTHPEWQQRLREEVLRECGGAGTPLHGDALNKLKLVTMVLYETLRLYGAVNVMGRQATADADICGVKVPKGTALSIPFVMLHRDVEVWGPDANEFNTLRFQDGVGSAAAHPNALLAFSLGPRSCIGQGFAMLEAKTTLVLILRRFEFEVAPEYVHAPADFLTLQPQHGLPVVLKLL >Dexi5A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:5A:1008785:1009341:-1 gene:Dexi5A01G0001440 transcript:Dexi5A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSKTRPPTAIPTTTGVESPELEDGGGGGGVAAVAGEGVALLPGVEVPGAVGGKGAGGVPGGAGDAVEGVVGASGGGADGVAGVGVGVDGGAGDGGGGEEEELLDGGGAAVGVAGGGAVAVLLGGGEAVGGGDDAIAAAARGEEGNWGPRRMDCDPR >Dexi2A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:2A:11722767:11724339:1 gene:Dexi2A01G0010560 transcript:Dexi2A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFVFTNKCPETIYPGVLTSPGKPAFPTSGFALPPGPDAAFPSVPAGWSGRIWARYHCATDAATGSFGCASGDCGTGRVDCYGNGGGEAPSTLADNVDGFNVPIQILPYGGASCTAVTCAADINGECPPELVARAADGTKVGCKSGPRCAS >Dexi5B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:5B:21845121:21845701:-1 gene:Dexi5B01G0019540 transcript:Dexi5B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLLHLQGAAAIVSILLVTLSLFFTGTSSSAVGCVPGEREALISFKQSFADPSGRLSSWRGQHCCCRWDGVRCDNRTGHVIELDLRGGQDYNKWITLRGETMSSSITALHHLRYLDLSFNEYKFTQIPSFLGTLSSLRPFMALSSLFIEES >Dexi5B01G0031680.1:cds pep primary_assembly:Fonio_CM05836:5B:32359818:32361290:-1 gene:Dexi5B01G0031680 transcript:Dexi5B01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSCSVCKEAPPKYKCPSCRTPYCSVTCFKKHKEESCQKTLLQEEISKSLLQEEVCECSSFCR >Dexi6B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:6B:26171024:26171129:1 gene:Dexi6B01G0019390 transcript:Dexi6B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLAFLAPQISQILAAVPLLSTTI >Dexi4B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:4B:7005461:7006762:-1 gene:Dexi4B01G0009690 transcript:Dexi4B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAYDRTRGRAGDEADDFDEFDPTPFGGGYDLFATFGRPLPPTEETCYPCSEPSTSYDAPHYSASEPSPYGHHAKAKPNYGFRPQQEQQQPSYGGGYGSRPQPTAEEAGGYGSGYGRKNQEESYGSGYGSGYGRKPQAEESYGSGGYGGQARPEGGYGSAVPGSGYGATPPAESYGSGYGRKPQVEESYDSGYGRKPQVEESYGSGYGRKPQVEQSYGSEYGSGYGAKPQVEESYGTEYGSGYGRKPQVEPVYGRPQGGEEYGSGGYGRKTQEESYGSSGYGYGKKTEEQLYGGSGYGYRKKASEDEGAYGSGGYGKPKQYGEETQGYGYGEEKPKYQSGGYERPSYGGGDEYHGSYGRKKDK >Dexi3B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:3B:14277773:14278057:1 gene:Dexi3B01G0019260 transcript:Dexi3B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHAFLFLIHLEITFSAATASNSSLLPGAALEQHLHHAKPQLELKLRLAAPISPLCCQIDALDDHNHHLQHHSVLSARIANLGSDTMLNWCEF >Dexi1B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:1B:498158:501864:-1 gene:Dexi1B01G0000560 transcript:Dexi1B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAAAIPLLPRPQLLSLKPARLLSTLAAPSPGFRRHPRALRPTGPLPSDATEDTDDPDAGDVAFKKSRNELKREARRAMHWGMDLAKFSPQQIKRILSAASLEPEVFDALMLVKKFGPEVREGRRRQFNYIGRLLRNAQPELMDTLIQASKVGGDSKLDTVLSESTLLVEEEEVEDLPDQEKDDEEYMKIADRWFDGLLCKDISVTNEVYAVHNVEFDRQELRKLVRTVHMVEESTQIKDGEEGSNGKLSRAKKQLLRFLRSLAKEACVE >Dexi1B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:1B:17702695:17711895:-1 gene:Dexi1B01G0012890 transcript:Dexi1B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASGDAAGSGRRTRTRGAEAVARSAALDRIRSIREGRTRAADAVHVKVDAPIYDTVAEEDYAALVIRRRKEAGEFIIDDDGLGYAEDGREEDWTDRALPSSSDEGSDGEDGARRKRKQPRPPQPKRQPQQSAAAASLSAAAAMMGKQRISSMFTSTVFKKPGSDRAKGSALAADSIVDDVIAEFAPDENDREERRRRVGRVCAPQHPPPTVFYFNSENVALDAETVVRSDSGFDTDRVSDHGNDMTVELKSDAEIDTKLEENPGSSAELVVEDKSSEELKEEANGEAKIEKVEVGKRFHSCCVIVKNIQRCIYAIPNQSVFPRESISGLEKKSTSSDFLPSFRAALHELASGLKSEIADKLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPADLRGEYFHALLGTSNSALELFLIKRKIKGPSWLSVSKFVTRPSTQRVSWCKFEVAVDCPKDISVLTTSTSLEVPPVVVAAVNLKTIINEKNNVHEIVSICHMLSPGEGNAIDSPMRPEDWQKRGMLSHFSVMRKLEGSIFPMGLAKEATDRNQKAGCNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSIMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFVRNKEQNSTKRKMNADTEGANADDGAVDPSVDVEGHNGDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPNLPASKATGVLPELLRSLVERRRMVKSWLKTASGIKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSSRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHSSLVQVKEQMRSGQIELQKYVITKSLTKAPEDYPDAKNQPHVQARFVAVRLRQNGYSGCSAGDTVPYIICSQQDSDNTHTVGIAQRARHPEELKRDPDKYMIDIDYYLSQQIHPVVSRLCASIQGTSPVRLAECLGLDSSKFQSRLTESSNQDTSAMLLSVIDDEDERYRGCEPLRLSCPSCSSTFDCPPVSSLIASASATSVSDSDEGKDATTNFWCRMRCPRCPDNIDDSRISPPVLANQMKRQADNFINQYYKGLLMCDDEGCKYSTQSVNLRVMGDAERGTICPNYPRCNGRLVRQYTEANLYRQLSYFCYVLDATRCLDKLDQKARLPFEKEFAAVSQTINLALMEIQKIRDRCAFGWVQLKDLAVSI >Dexi5B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:5B:18045425:18050725:1 gene:Dexi5B01G0017040 transcript:Dexi5B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEDAPAPVPARTPPPARGTAAGSRVMLQSPPPAFPLCSNDDQLERARARAAARAASVRRRSLAASIAPSKDPRHDLLNREQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADMEEDINAQPVQDEGISKKDADRRISPASTLESSFEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCRVLFDSFEAPDKCILSDMQTEKAEVIDLSFANEQIEEMVAQMPLCNDISPTLRDIIAQFDEENQRPSHGLSSGQMPVVEDEMAGCGKVDNNDSTLPDSTWDFGGCDDHEDAYDENYNPVGSNSMNYQEEFDEYTVEIPQVTVVDERLDKIADLLVLGMGSSKTNAWAGPEHWKYRKAKDLEAAPTSSGESEIPNKTKKKKNKDEPDIEFIKALDNEPLNNFAPPKNPKSLLLPASRSICSNKLPEDCHYQPESLVKLFLLPDVLCLAKRRRRSPDTPADNNDDFIPSEPWDDDNFCNDDVDEGHACSDVEEPVNLINKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHISTSVEADDQENEEAGSSLRLSQVLHDLPSSNPDAAATDISPHLYFICLLHLANEHGLSLRDRPTLDEIDIYVPA >Dexi5A01G0037220.1:cds pep primary_assembly:Fonio_CM05836:5A:38619984:38624619:1 gene:Dexi5A01G0037220 transcript:Dexi5A01G0037220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTGGAGGGKGGAVDPSLPRFRCQECRRALVVVGVDSYADRLPAHAAAGSHASSVQGSVMGASRMDNSYVVLSRQNRSHGPGIPPRPPSAAAPHTEANHSARAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGVNSSSPLPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLEQEPCNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVSSEMKDLEIKSKQFVELEERYWHEFNSFQFQLTSHQEERDAVFAKIEVSQVHLELLKRTSVLNDAFYISHDGVIGTINNFRLGRLSNVEVDWDEINAAWGQAALLYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGPVQAQSLKNKS >Dexi2B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:2B:8454104:8454730:-1 gene:Dexi2B01G0008400 transcript:Dexi2B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGPSAPPATGSMLAAPNAGVLPPDVLFDVLLRLSAKLLCRLRAVCRSWRALTSDPLFTGAHAARHPLFLANFRDDHTHVNVVDLSGSVVKRIPNVYGLLLPTSLDLACAMTVWDSCHVFDPSTGTVCVLPEPSGGGCGSKESKQAARLFRVWEDHCYWRVQGSHRQHWFWFQFQSTAVESNAGR >Dexi9B01G0046070.1:cds pep primary_assembly:Fonio_CM05836:9B:45444807:45446529:1 gene:Dexi9B01G0046070 transcript:Dexi9B01G0046070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAEDIVIAGAGLAGLAVALGLHSVTCFTRRRRRNLVAASIRKGVRSLVLESSPFLRASGFAFTTWKNAFRALDALGIGDKIRKQHLQAQTYVLIGCDGINSVVAKWLGLAKPSYSGRSAARGFAHYPDGHGFDPTFLQFTGNGFRAGMMPCNENDIYWFFTWTPSDNDKGVDENASKMKQFVLDKFRGSKNVPEEALAVIDKSEMSDVLAAPLRFRPPLSLITASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGEALAGEDAGENERIEAALREYARIRRWRSVELIATAYTLGIIQQSDNGIISFLRDKFLSGALAGRLLKMADYDCGTLSN >Dexi9B01G0025240.1:cds pep primary_assembly:Fonio_CM05836:9B:25365807:25369306:1 gene:Dexi9B01G0025240 transcript:Dexi9B01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVSSLVLLLLLAIPSATSATTPASSTSADVLVSFLATLPQASQRLLLPSWNTSAGGTGNGNGPHCAFLGVTCSATGAVAALNLSGMGLSGDLAASAPLLCSLPELSVLDLTGNNFTGTVPPALAACSAVSALLLGRNGLSGALPPELLSSRQLRKIDLNSNALAGEIPAPSSGGLSLLQYLDLSNNSVSGAIPLELTSLQALSLLDLSSNKLSGPIPDFPEHCVLEVLCVYTNMITGELPHSLGNCGNLTKLLVSNNKISGSVPDFFASMPRLQRLFLDDNAFTGELPATIGELVNLEVLMVSMNGFSGAVPESIGRCQSLTMLLMHSNQFTGSIPASIGNLSSLEAFTIKDNFITGTIPPEIGECRQLTRLELHNNNISGIIPPEITQLTKLQVLSLFRNRLHGQVPDALWRMPYMEELTLSYNNLTGEVSADVTVMRNLREFILAYNNFSGEIPQALGLNTTGGLQRVDLTGNRFHGAIPPGLCTGGRLAVLDLGQNQFTGAIPSEILKCQSLWRVLLWKNQLSGSLLPSDLEINTGWSVVNFSGNLFEGRIPSVFGSWHNLTSFDLSSNKFSGPIPNELGALSRLGKLSLSSNMLSGPIPSELANCKSLLILDLQHNLLSGTIPPEIIALDNLEILLLSGNKLNGKIPDAFTGTQGLLELQLGGNSLEGPIPESLGKLQFISKIINISNNRLSNEIPSSLGNLQMLEMLDLSKNSLSGPIPSELSNMMALSFVNVSFNELSGQLPLSWVKLAERSPEGFLGNPQLCIQSDNTPCSKNQSSKRIKKNTRIIVSLLVSSVAIMAAGLFVVHYMVKRSRRLLAKHVSVRGLDTTEELPEDLTYDDILRATDNWSEKYVIGRGRHGTVYRTEFAPGRQWAVKTVDLSQFKFPIEMKILNMVKHRNIVKMEGYCIRGNVGIILSEYMPQGNLFELLHGRKPQVALDWNIRHQIALGIAQGLSYLHHDCVPMIVHRDVKSSNILMDADLVPKITDFGMGKIVDDEDADATVSVVVGTLGYIAPEHGYNTRLTEKSDVYSYGVVLLELLCRKMPVGPAFGDGVDIVTWITSKLKCTDPCGVMSCMDEEIMYWPGDEQAKALDLLDLAMACTQVAFQSRPSMREVVSTLMRIEDNYIANEEMI >Dexi4B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:4B:4094895:4097670:-1 gene:Dexi4B01G0005790 transcript:Dexi4B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAASAEWEAAERKVLVARKPCFGLPTACPTSLPVLLYLRLAEVPFDIHVDTSFPDADHIPYVEFGDCVAFNNERGGVIEYLKEEKIVDLNSKHPSVSPADVVSTKAMVSTWLMDALQYELWVVTDGSIAHDIYFSDLPWPIGKILHWKKARDVKQLLGITKLNAAEKEEEIYQKARAAYGALSLILGDQIFLFDNSPTDADALFLGHALFVLNALPDASVLRGTLQIYENLVNFVEHHKVRLLGDSSSPGLGSSTSPSSSTPRKRASAGQSYKPKPRAKKERTEEEKKFRQRSKYFLAAQLVAVLVFLSLMGVDSSELDDDDGIDYED >Dexi8B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:8B:8198375:8199873:-1 gene:Dexi8B01G0007030 transcript:Dexi8B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRRRRTEKTPRRTTRAGLTALSLSLTKHLSPPEEGHVAAPSAATCGDANLAFSPAAIYAALALLAAGAKGTTLQELLDALGGESRGVADCALADQSRSGGPAVAFACGAWHDAAWTLLPEFRDAIAAAAYKADVRGVDFSHEPERVVKEINGCVAAATNKRIDSIIDPSSLNTSTNLVVASAIYFKGKWDAPFGRSHTMVDKFYHLDGSTTKVPFMRSSRSQLICIRKGHKVLKLPYRSPAPLPPPPPPPRQRKGGSSHQSEAGDEISNASDDELPKYSMCIFLPDERDGLAAMVEQMASGPGFWHYRLPSMRVPVGDFRLPKFKLTVSSSLKQVLRDDMGINSVFCDVDADLSEMAKRKDDDEPGTPPLHVGDVRHKAVLEVNEEGTMAMASTGSYLLCGANAVMDQPETVDFVADHPFLFFVIEEVSRAIVFVGRVLDPSISGL >Dexi7B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:7B:25343588:25345545:-1 gene:Dexi7B01G0019900 transcript:Dexi7B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAMPPRRVAAPRPPSIIDTLRCTHHHHLPLLLPTKHASIPRRRLSCCNATGGDRVDRRDVLLGLGGAMAAGLATPSPHVLAAPIQAPDLRDCHPPDIPDTAPGVRCCLPYVPGTAIVDFKVPPATSPLRVRPAAHLVDKERLAKYERAVELMKKLPDDDPRSFAQQWRVHCAYCDGAYDQAGFPDLDLQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPGGMTLPAIYANTSSPLYDERRSPAHQPPFTLDLDYNGTDETIPRDEQIEQNLRIMYRQMISSAKKPELFFGQPYRQGDQPDPGAGTIENVPHGPVHVWTGDPRQPNGEDMGTFYSAARDPIFFAHHGNVDRMWSIWNALRPGNTTNFTDTDWLDATFLFHDEDARLVRDCLDTATLRYAYQEDVGLPWLDAKPPTEAGSPEPATGTLPATLSTTVRVAVARPRTSRSRREKEEEEEVLVVEGIEVADHSRFVKFDVFVNASTSSQEGGGAAATAECAGSVALTPHGARTGEGGGGRAMKTAARFGICDLLDDIGADGDKMIVVSLVPRCRGDMVTVGGLTIEYMK >Dexi6A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:6A:4298074:4300228:1 gene:Dexi6A01G0004720 transcript:Dexi6A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETPTKEAPNDKSTKSNMTQSSGHVQIAGDLSLQKFQRKEVSEKGSCSEVLNLFQHAPSASFSSVNKSPSNNGVPSRIEPTKTEFRDMTTMEKNSINPTMQEDVKEIHDQEMERINEIHVSSKCDHSNEEYLNFSMQQIKLNPKSLETTYVDKQTAKTSHSLAERNGESSIPVPGIEGTHPDQTSDQVGVNGSTNPCMHPMLSADQKFDFSTTPQPFPHNYAAFAPMMQCNCNHDTYKSFVNMSSTFSSMHCNHDTYKSFVNMSSTFSSMLVSTLLSNPAVHAAARVAASYWPAAEGNTPIDPNQENPAEGAQGRNIGSPPSMASMVAATVAAASAWWATQGLLPFFAPPMAFPFVPAPSAAFTTADVPRPSEKDRNYPLESAQECQEAQKQGQSEALRVAASSESNGSRKGDIPLHTELKISPVQNVDATPTAGAETNDAFRNKKKQDRSSCGSNTPSSSDVEAENVPEKEDKANDKAKQASCSNSSAGDTNHRRFRSSGSTSDSWKEVSEEGRLAFDALFSREKLPQSFSPPQAKDSKEASKEEEDEVTTVTVDLNKNAISIDNEIDTMDEARTSFPNDLSHLSLKSRKTGFKPYKRCSMEAKENRVPASDEVGTKRIRLESEAST >Dexi4B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:4B:12562417:12566118:-1 gene:Dexi4B01G0013620 transcript:Dexi4B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRGCYFVPLLLLMLLLASPATAALASSKPGRGDAGNATAAAARLRPAKELLKHKRIWALLRKLNKPSLKTIKVSADNLKQSPDGDIIDCVPSHLQPTFDHPKLKGKKILDPPGRPKNYNFTVAGSGSDRVGEVVVQAWHATGEVCPEGTVPIRRTTEKDLLRASSLRRYGRKPVRRGVRRDSTSSGHEHAVGYVNSEQYYGAKASVNVWSPRIGDPSEFSLSQIWVISGTFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCQGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGSGLVVGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTATQMGSGHFPSEGFDRAAYFRNLQVVDWDNNLIPAASLKLLADHSDCYDIQGGSNSYWGSYFYYGGPGRNVKCP >Dexi4A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:4A:8834474:8844035:1 gene:Dexi4A01G0010980 transcript:Dexi4A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDGLRLDMLLKRVCKSLLKKRLGDLILGDLDLDQFDIQLGRGTLQLNDLALNADFVNRKAVIEFLKMDDVDALLQNDPMRRTAEVSAQHSTTAVLTGPIGGFSGKLNLSIPWNKGCLDFKKIDADVSVDSLELRLQISSSDSVVTASECLAHGTISQSRQDKDQDSFLTRAHVITDWMEPIAREDQSYPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISFASTLASGADQVPKDVKWRMYAGNDWLLPQKDSTSFTHTYGRDRSSSLEFTLTGLSIQLDMYPDGDVSISKLSVAAQDLSLCDESIHAPWKLVLGCYNSKDYPRESCSSVFRLELESVRPEPHAPLEDYRLHLEILPLQLHLDQRQLNFLIKFFQNDSCNSDSHLHCEKEIADVTSTSYGSNTVVDEALLPFFQKFDVKPLVLNINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGIYGWNSICDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLVKTERALTAVPPPLASCEAKRTRHNIRANQPESAQQGIKQAYESLTDGLGRTASALIANPIKAYNQAGAGSALATAICNAPAAAVAPVSASARAFHYALVGLRNRCTDCRTDYMGRQAFHTM >Dexi5A01G0039850.1:cds pep primary_assembly:Fonio_CM05836:5A:40257198:40265417:1 gene:Dexi5A01G0039850 transcript:Dexi5A01G0039850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNVILAGDLSGSDDNESFIWKISEVWEGGPLFTCDGNFIGMNLFLDMEKAYFVSWGKILEWLEFGARPIGETSNNHPEVCTNVLSKEQIQDLVSMGYPGPPTVLDVLEMLTNKRGSDDLTRWSDDKNRVCCGGQESTESLISAGFVEDKQAKSLSLIQKAGIGGPLVDLSGKFMGMNFYEKNVGTPFLWCTEILSVLASFKKERYDFLSGIAAEVGNDLVRLSGGWMARA >Dexi5B01G0021170.1:cds pep primary_assembly:Fonio_CM05836:5B:23473304:23475320:1 gene:Dexi5B01G0021170 transcript:Dexi5B01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAVLLQQWSLLCLSGALVSLLLWWAWRVLESTWIIPRRLDRALQSQGLPGTVYRFPFGDLREFARQATAARAKPMPVSHDITPRVHRFYHNIIREHGKIAVTWLGPTPRVIVDDPKLVRKIMSNKLGHFRKRKHNGLVKRLANGLVSHDGEKWAVHRKIISPAFHLEKLKKMLPAFAACSNDLIARWAGYVDSDGAKEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQAQNLVKMINTLYLPGFRFLPTQLNRRIKENAREVEALLRGIVGKRERAMKEGRANNEDLLGLLMESNIAETKQAGTSKPIMTMEDIIGELKLFYFAGMDTTAVLLTWTMVVLSVHPEWQDSAREEVLRVFGKNQPDLDGIHHLKIVTMILYEVLRLYPPVVQLDRQTYKEMELGGVTYPPGVVLSLPIVFIHHDKDVWGEDADEFRPERFADGISMASKDAPAFFPFGWGPRICVGQNFALVEAKMALSSILQHFAFGLSPSYTHAPFPVSTLQPDHGAQIMLKKL >Dexi9A01G0049820.1:cds pep primary_assembly:Fonio_CM05836:9A:52351782:52352257:-1 gene:Dexi9A01G0049820 transcript:Dexi9A01G0049820.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSAASRATSRRASTPNASAAPVYLSAVLEYLAAEFAGNAAQDNKKTRIVPRHIQLAVRNDEEISKLLGAVVIAAGGVLPNIHQTLLPKKAGGKRKADIGSASQEF >Dexi3B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:3B:8458498:8468190:1 gene:Dexi3B01G0012070 transcript:Dexi3B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGLKRRSQRLAGGGAGSAASSAQPPGAAGQAGMGDSGDAVMARWLQSAGLQHLAASSAGGGDYRGGMPGLGGAGAGSMLPSLIMQGYGPQSVEEKQRLYTLLRSLNFNGESAPASMSEPYTPTAQSFGGGNPVEGFYSPELRGDLGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKEIDDDDEDVMPGGQQVPVDNYGVATSEKESTSRENNVAKIKVVVRKRPLNRKELSRKEEDIITVHDSSFLTVYEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTVLFDVVYIFHQLMQLLMREDGKKQVCIVGLQEFEVNDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHVIVKDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTGPTTTSSRESSSVPSYPLPAEAEEIPNHIQEKRPVDTYRKGTENFISNSSGEPDRNSFSTIPSYSNRGREENGTVSGFNDRERYDMKSSQTAYTSKAQLVQNSANTQEEEKVTKVSPPRRKAYKEDKSDRQSNYAKRDNGPETGRAGYKTQQTKQPQQQQRPPSASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPAR >Dexi1B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:1B:10349662:10353645:1 gene:Dexi1B01G0010660 transcript:Dexi1B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSSAAPTTLTAPARPPRMRPPSAARGGLARLSGGVALGLSLSHAGASAALAAPLSYEEMLRLSTDSDSGAGGFALPDLDLGGFALPDLDLGGFALPDLDLGGLADFVSENPLVVAAGVAAVALPLLLAQLLGGGAGSKPYSVVSARAAYQRLLEEPAAQLVDIRTRKDAQEDGTPDLREAKKKVVAVPYNGEDKNGFLKKLALRLKDPENTILIILDKFDGNSELVAELVTANGYKAAFAVKDGAEGSRGWKDGSDSLPLTIGVAAATGLGVLAYTEIETLLQFLGSAAIVQLFATKLLYAEDRQKTLKQIDEFFNKKVAPKDLVDEIKEIGQALLPLPGEAKSQPALASVTPAAATATAAPTEAGPAADAAAAATATAAPTTEATPLSPYTTVSYPDLKPPSPPSSTSAEGESESTTTEAPAVVNSAPVGEASTESPPARPRPQSPYPNYPDFKPPSSPTPSPP >Dexi1A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:1A:1178826:1181955:1 gene:Dexi1A01G0001760 transcript:Dexi1A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKMIGSLVTGALTLFLGYAYPAYDCYKTVELNRPEVILEGHWLCRILLAVLTVLERVGENFVSWLPMYSEAKLAFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDMAVVYFQRVANYVQSRSYEILQYIASQSPSQRPRPQAQQQQQRPPPPRTRQVNAAPPPVPAPSAPPMPPQPAQAQVPPAPPRPPVPVAPPGAVPPAQPQAPPAPGAASTNGPQSTEAMPFDPLRPSTGTSPQLPPEETLIEEAIRLTRGRLRRRMAGGSGPPPS >Dexi2A01G0026000.1:cds pep primary_assembly:Fonio_CM05836:2A:37601692:37602110:-1 gene:Dexi2A01G0026000 transcript:Dexi2A01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQSIGNIETMIPGACKLAQELMKLLDEEEERWEVICRSASSCRGYLYAKSMGEGVEFLTNVWLLLSHMGMQTFADKFQRPEPGQGEEIASEPQDIPVEEDIGIHIV >Dexi8A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:8A:27938748:27940550:1 gene:Dexi8A01G0016480 transcript:Dexi8A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSASSAAGGGDRLSKLSSTVLGHILSFLPSDEAARAAALSHWWRDVFAHVHTVSLDEPQRPVPDPDGDWSPGWRPIDRNAAALSLTFAHRLSAALLSRLRLRLAGGDWTATVAGVPLRALRAGFHGDHGYGFRAGTDAGILDTWLAYAIHQADDDGELHLDLRLCLAAIVCDRTYSLHPRGGAEADEDDDGGRRSRIFVGPNGRLYGLKLPVERFDADGGEDEDEDEDEDYASPKSTLAGDGDEEELPPPHEYEYVTPRFLFSCSALRTLRIRPCRLDLPPAANAISLPSLETMHLIQITGRRTSVQRLVSACLCLADLTLEACKGLTELDVSDKRRLRRLALRCWHDLAAVAVDSSELRAFEYRGAVPSPSFLTIHGGGIKISSCKLDFCGAEVTDPPELAKLGDFLHLFAAGVESLHLTSARLGCGDTAASMFPEFPLLRRLELMGILPEDDAAAAVATVTGILNRTPRLEALTLFFMEEPEDLMRGSEYILVDDEELLDGHNLKHDRNAAIAVPEAEMITCLRETIKEINFVHYDGGLGQRTLAKFLLRNAPVVGEVCGEFAQGPLWIQTVLMEEIKGWVMNKSANMMFF >Dexi7B01G0024750.1:cds pep primary_assembly:Fonio_CM05836:7B:29013476:29014435:1 gene:Dexi7B01G0024750 transcript:Dexi7B01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGAFCFSSSSSSAAAVTSRRMGGCRLDPPRFLVVSCDTRTADVYSSLAAKLLGPPTTFNAAKLKVEFAGEELLLRGKKPFPRAYTLTHCDLTANLTLAVTGPMTGEQLQSWQSTLQRDDVVAEWKEAAAGAGAGEMTLHVHCFVSGANLLQDLAAGFRYYVFSKELPLVLKAVVHGDAALFVERPELMEAKVWVHFHSRSRKYNRIECWGPLREAAKRNLLDELKNAISKRRRRRWASPETIFNALVALLL >Dexi8B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:8B:3318656:3320873:1 gene:Dexi8B01G0003830 transcript:Dexi8B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQLLTTIILVVTVFLAIIYRQRSSKRSSNLRQPTTKVLNPTVTRQTLIDQADAFSNRPPTPFPIPLITGRRHRHGITTVPYGPHWRALWSNLTAAILKPWRQGLMAPLQREAIDALVTSISTRCADDGNGDLVIRDSIYGAVFSVLTTVCFGDHVDFNHVRSMELMMQEFRVAIGEARVDLFVPLINARCQTRRSTKHGTWPYVDSLIDLRIPDENDPSGANHRALTEDEMVSLIVEFLGAVESIVAVLEWTLAHLVIKPEVQTKLRHELLIVDGDHVSGKRSGDPGSYLHAVVMESLRLHPPFPLIMREVRSEGAVVGAQTVPATTGMRVQFMLGDIGKDEKLWTDAGEFKPERFIAGGEGEGMGLVPSGSKKIKMMPFGAGQRSCPGAALGVQFIKDLVAAMVREFEWEMPVEGGGGVDMTELYGFITVMTPLRTHIKPLCA >Dexi5B01G0026670.1:cds pep primary_assembly:Fonio_CM05836:5B:28381792:28386462:-1 gene:Dexi5B01G0026670 transcript:Dexi5B01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDAASLLASPSPPRAKPHTRGRFLYLVSATLAVLCASLALLIFLALRPSTPNPNPSPNYAELFLSLGSNDTAAAHLRALTLHPHVAGTKANSHTARYVLSALSSLSFPSHITPYSVLLSYPVHRSLSLSSPGRGAATSFSLKQETYRDDPYAAAAAEAIPTFYAYAASGSVSAEAVYANYGRTEDFAYLASRGVAVAGKVALARYGKIHCEDIAHNARAAGAAAAVVYPDPKEYGGAAGEAPFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSDGCERLSVEEAMGTDDMPLIPALPVSARDAMEIHGAMGGAAAPASWQGRKDTPVYHLGPGPAVLNLTYLGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRFSMLQKQGWKPRRTIIFCSWDAEEYGLTGSTEWVEENQEMLSSKAVAYLNNDVSVVGPGFLPSTTPQLDELLQEITKVFLRLGDGGSDYSAFVQHAGIPSMNIVFGEGPGYPVYHSLYDDYVWMAKFGDPGFRRHVAAASIWGMMALRLANDEIIPFNYMSYAIELEELQKQLMSKQLNKDSLKIRQLNDRLMQAERAFTSREGIFKQEWFKHLVYGPSDQNDWDTAVYPGIANAIASARSSNTSASWKSVQHEIYRVARAVTQASAVLSGRLT >Dexi3B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:3B:6546008:6548131:-1 gene:Dexi3B01G0009460 transcript:Dexi3B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGHHAVVVDIDEATGGDNGQAMRPMLPPVPYVLNFTDLSYSVKKSGGLLGCLPSRPSNRLASADASPPASSAAGNNTKTLLDGISGEAREGELFAVMGASGSGKSTLVDALAGRISRESLRGTVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPDKKRARVDALVDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLLLSRGRTVYAGTPAGLKPFFSEFGAPIPDNENPAEFALDTIRELERQHDGAAALADFNTKWQINTSSSSMEKESSKQVTSTMPLELAIAESVSRGKLVAGSGSSGNAVTGSSVPTFANPPWTEVWVLIKRSFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRVSYVLANAVVSFPPLVLLSFAFAVTTFWAVGLSGGASSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFRDASRCFSRGIEMFDGTPVGSMSEAVKIKVLDAIGKTLGTNMTVDTCVTTGADVLAQQAVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGNKNKRK >Dexi9B01G0025750.1:cds pep primary_assembly:Fonio_CM05836:9B:27142066:27144268:-1 gene:Dexi9B01G0025750 transcript:Dexi9B01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSLLSRFWEESKLLWQLAFPALLTEVFQFSIGFVTTAFVGHIGEVELAAVSVVENILDSSAYGILFGMGSASETLSGQAVGAGQLERLGTYTQRSWIICGATAVALTPAYVFATPLLRSFLHQPGHVARVAGPYARWAIPRLFAHAMNIPLLMFFQAQSKVWAVTAISGTALTAHVALTYLAVKHYRLGLRGAAVVGDISHWLIVVAQFAYMAGGRFPEAWKGFTARAFRDLGAFVKLSIGAAVMICLEFWYYTTLLVLVGLLKHAKVQLDIMSVCLNYEFMTIMVALGFSTAVGIRVSNELGANRPKETKFAVAVAVSTSIVIGAIFMCIVFIWRTSLPRFFSDSQEVIHGASRLGYLLAVTIFMSSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPLGILFGFKLKRGPLGIWMGMLTGTFLQMVILLTIIFRTKWEKQAAMAETRMLQWGGKNENLPLMKSPETDDQMAPADEKTLAHGCQKNIEIVPID >Dexi7A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:7A:15686059:15686878:-1 gene:Dexi7A01G0004760 transcript:Dexi7A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNQIVDSDSDEEGGEVTPASASASASVAASVASGSGSVGGPSPPNPGPVQVPFPSLSPSPDTVVISDDDEVEDPDEIVDSDGDSPIVDAPEVISPPTPPAPAPTPPPTTAPFRTPAPAPPPARTSTPTPPLPAPAPAPPPTTTPFRTATPTPPPARTPTPTPLSTAHPQPSALSGRLRPVDEFLRRLGLRLRPEWLESCAAGIPGFDGLGGAEVQARRCFEQFVFADMNRCGAGVLPEGVGSMHAAVLDGPFVLPL >Dexi3B01G0028880.1:cds pep primary_assembly:Fonio_CM05836:3B:27502302:27502715:1 gene:Dexi3B01G0028880 transcript:Dexi3B01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTTLLLVVLLTAATVSTAYATGATIAGLKGRRSRFLLLTNGAAYSTSLPAYDCSKKTAAVCLAPGSPGAACCDGRCVDTEASADHCGGCNKICKHDRVCCGGRCVDLMADKDNCGKCFNQCNKKCSYGFCDYAQ >Dexi7B01G0001830.1:cds pep primary_assembly:Fonio_CM05836:7B:3193219:3201353:-1 gene:Dexi7B01G0001830 transcript:Dexi7B01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPVLLKTGNTSFSNATPSDPKHVEEPLKVEEAETVKTTPPSPDKLLVLGGSGFVGSHVCKEALDRGFVVSSLNRSGKPSLSESWTDKVIWHQGNLLEPSSLKDAMDDVSAVVTFGVVGPIELLKRSCCQSLPMEVLQNAKSFTKLPFVGPLLTPPVSVTSVAKVAVRAATDPVFPPGIVDVYGIMRYSEQK >Dexi6B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:6B:5805623:5806978:1 gene:Dexi6B01G0006190 transcript:Dexi6B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSSFIYDTRLHMCIIPGAHVVECRRHPHKDDDYMLFVFGDAFVDAGNRPPTTQSTSRSRSWFYPYGISDSTHHMNATGRFSDGLVQSDYVARMLGYDESPPAYRLRESDEVDPSGVNFAMSGSGVAPSSATDSPSLSRQIDQFRMLVRHGIIDDDDLDDSVALISISGNHDYSGINMAASDDHITSMAQDVTEKIADGVKRLLDLGVSKVLVNSAPPIGCQPYNTRLNNYAQCDSQINRVTSIHNAALKKRLDGLEDVLLLDLDSAFTDIVQSKGYAPCCDTASRSQGYCGQEDAQGRAMYSLCPNPQDYFYWDNVYPTQAGWEAVMNRLQGPIMDFLGIVY >Dexi1A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:1A:26166643:26168240:-1 gene:Dexi1A01G0018990 transcript:Dexi1A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKRASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAAAEPKFYPADDVKPRAPSTRKPKPTKLRSTITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVSGPFKINGVPIRRVNQTYVIATSTKVDISGVDVAKFDDKYFAREKKQKAKKTEGELFETEEEVGIKASKSLPDFKKDDQKAVDAALIKAIEAVPELKSYLGARFSLRDGDKPHEVVF >Dexi7A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:7A:12233553:12234495:-1 gene:Dexi7A01G0003180 transcript:Dexi7A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPARGSPTTTSAGVTTALHRVHAPTIACASTRGSKGAPRKPQFISVLTPESLERMLIPAMFVQHYIPKEHLNTCMTAILRPLGKIGQFELKMDRSDLFITGGWSQFLTSHGITEANALLLRRGRAATI >Dexi4B01G0021680.1:cds pep primary_assembly:Fonio_CM05836:4B:23614224:23615099:-1 gene:Dexi4B01G0021680 transcript:Dexi4B01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFCAILRCHHCGTSATATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKSPVPLQAVHSAPPLDVGVSLFFLYTCFWPNLVGEFLGLKQVL >Dexi8A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:8A:10110570:10111811:-1 gene:Dexi8A01G0008500 transcript:Dexi8A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLLHTLFISFLLAAPQGYSERPVLRATMTRSEKTIDFTRAVRRSHERLSMLAARLAAAAGDASAQTPLQQDGGGEYGMTFSIGTPPQQLSALADTGSDLVWLKCGPCTQCAPQGSPSYYPNTSSSFSKMPCSGGLCGVLKNQSLAACSTGGDECDYLYSYGLSKSSHHYTKGYLSTETFTLGTDAVPDIGFGCTTMSEGGYGTGSGLVGLGRGSLSLVSQLNVGAFSYCLTSDPNKSSPLLFGSGPLTGPGVQSTPLISVPSPSFYSVDLQSISIGNVTTPGTGDSGFIFDSGTTLTYLAEPAYTLAKAALLSQTNLTLADVGDASQVCFQTFGTSAEVPSMVLHFDGADMALPAENYFLPVGDGVICWIVQRSPSISIIGNIMQRSYHILHDVQNSELSFQPANCDNL >Dexi4A01G0022440.1:cds pep primary_assembly:Fonio_CM05836:4A:25706114:25709937:-1 gene:Dexi4A01G0022440 transcript:Dexi4A01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGTKPYQPRRGPEHHPQPADEVAAAPPPAPAAVDHLAAAAAEAEALNHYTQESHQHQHQQQQQQLLQGQGHEQVGEEEEEEDDEDDEMEDEDDEQEGQDGGVGGEHVPMDADAAAAAAAAAAAGAQMDPHGAMLPGAVPPMATNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNNTGLGGASSSPYSKVRTSDGESNQEKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKPDEIAASEMVTAGGSQNWASVEGRPPSSAECSCPVLGKALQGLLRDLSKSPVPLQAVHSAPPLDVGVSLCFLYTCF >Dexi5B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:5B:3851897:3852927:-1 gene:Dexi5B01G0005700 transcript:Dexi5B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAMNAAPGHRRERRGSFHVRAGAAGKRAPPSLVLVCCIGAIALLATSGRTLAVTDGDTITVYVNVANHPESVNVPQEVRKAATERIKAQMTKNYQQADALQKIMKGSGYRFLT >Dexi5A01G0023400.1:cds pep primary_assembly:Fonio_CM05836:5A:27415077:27417096:1 gene:Dexi5A01G0023400 transcript:Dexi5A01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQETLVLAGVMRGHNDMVTAIATPIDNSPFIVSSSRDKSVLVWDLTNPVQAAGDGTTTTDYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGLTTRRFVGHGKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDHGASEGHNGWVSCVRFSPNTLHPTIVSGSWDRSVKVWNLTNCKLRSTLQGHGGYVNAVAVSPDGSLCASGGKDHVTLLWDLTEGKRLYALDAGAIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLRPEVPAGKNQILYCTSLSWSADGSTLYAGYTDGTIRIFKISGFSYSV >Dexi9A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:9A:11460192:11465377:-1 gene:Dexi9A01G0016450 transcript:Dexi9A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAYSLRAPPSAAQQGLRLPPPPPPFAAAAQPDAGAARRRRLVGVAAASASPFDELHARGRPVRGPSKDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFQEDMPQDPLKTDEESSHENMGEELGNLTPQAEEYIIQMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPPLENTSGGALNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELTRLLRISSDVGSFASGDDRVV >Dexi7A01G0024310.1:cds pep primary_assembly:Fonio_CM05836:7A:32031215:32031666:-1 gene:Dexi7A01G0024310 transcript:Dexi7A01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSHDSGGKNKSLETARRLLEETSPAESDSLPSLPSGFYDAFVLRGIRVVQALQPGTLLCHFTVPSRLLNSGGFLHGGATASLVDLVASAAFTTAGLRTRGSPLEMNISYLDAAFADVSD >Dexi4A01G0023210.1:cds pep primary_assembly:Fonio_CM05836:4A:26337245:26343110:-1 gene:Dexi4A01G0023210 transcript:Dexi4A01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDPSSYLPMPVAPPGAAAEADPQHQPLAPPAEPFEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAAQDKDEEYVRNREQNIADAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETASAIGSKSYNALASGLAEFKSGKPAEENKHMEEEAVDFAAATTAALKIPSPSISRGISFDEHALSGSAEPHMRRGDREEEEELMRVLNLSKAETVDGVDESISFDTSHSHSSSNVEETPQSESVLSEAPEVVGETKKEEHGNHAVSDDGSVLPVTSGADNCIEVVPEESQEVLTAKEQEVTGTKNMLPGDLDISVQSSESTPASLSHETFAPSDQQPAAPTLVEADKETSREHFDVQTHVQPTDIEVTCGSSVSACEAAPGHDTTKLDEQSDSTDNLEPLPASIQECEPIYQGEEHILGSTNVAYENQEPVYEGEVVLAEQADKNEETSRCLEDNATEHEWELIDNFLRTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYISQFDNTSGNSDLELAIALQQQEFERQPQRFQPPPPQEQQYQQQQQPQTQQQPTQSSRPGLVVGPRQRSNAPPPPKNESKKDKCIVM >Dexi3A01G0024030.1:cds pep primary_assembly:Fonio_CM05836:3A:19633995:19636616:1 gene:Dexi3A01G0024030 transcript:Dexi3A01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPAVDSNADGEQGRRKKGGFRTMPLILASEVCDRFAMAGFNANLITYLTQQLHLPLVEATNTLNNFGGTSALTPILGALAADSFAGRFWTIIAGSAIYQVGMVGLVVSAILPSLRPPPCSPALAGTPSCRRASGLQLAVLYLSLLFTSLGSGGIRPCVVAFGADQFEQQKQQQESEEAAAKAEAERKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIGMLVSIVVFLVGYPIYVLLKPEGSPFTRLVQVVAAAFKKRNAAVPEDPGMLYQDKELDAPISTNGRLLHTNQLKFFDRAAIVTPGDISASGQPDLWRLSTVHRVEELKSIVRLLPIWSAGIMLATAGSHNYTFALVQARTMDRHMGPHFQFPPASLAIFSTAAMLVTLAFYDRVFVPMARRVTGLPSGITYFQRMGIGLAISILSVAAAALVETKRRDAAARHGLVDDPAGVVPLSVFWLVPQFAVHGIGDAFSSVAHMEFLYDQAPESMRSSAVALFWLAGSIGSYMGTVLVTVVQRATRGRGEWLQDNINRGRIDNYYWLVTCIMVLNFGYFLVCFYFYTMKPLEVAEDEHDKEYELSSLQKNGGGGTSGMV >Dexi5B01G0033720.1:cds pep primary_assembly:Fonio_CM05836:5B:34052508:34054936:1 gene:Dexi5B01G0033720 transcript:Dexi5B01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHGDTIPLHPSSAQSDMDEIESLIHAAPSSATVLPARPPSPPRASIPISSSPAPAPVPSKPPLPAASIPISVSPVPPASASVSVPIGADGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIVLTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKNNVVLKIVVVTITLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >Dexi3B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:3B:3297393:3300413:1 gene:Dexi3B01G0004960 transcript:Dexi3B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLARASSQMARRAARRHPSLRRPSRHGGAPASPWLLGPAAQPPVLGSPPLPAAERAFRRGFCSVRSFAGESSAAAASDEEEPEKGFAGGDQALDFPGGKVSLVAEMNFLPESHGERISCYRVLDDDGRTISGSRFQEVSKEVALKMYSEMVTLQIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSADDIVLPQYREPGVLLWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPQAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVVRGQAYGIRGIRVDGNDALAVYSAVHAAREMAITEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDSEESELRNRVRKELLQAIQVAERMPKPPVSELFTDVYDQIPSNLQEQEQLLRDTIMKHPADYPTDVPV >Dexi9B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:9B:12871524:12874015:-1 gene:Dexi9B01G0018120 transcript:Dexi9B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPSSLRRLPTTPPQARSPPASPLLRSLPLRKPRLRQPLVAAAAAAAAAAPLAASSSPAGTERRKHELLRAVQETRRGFAAAPDQRAAIEEAIVAMEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSEGGIVRNVVRWSIENLLEDQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFFSLQF >Dexi9B01G0046830.1:cds pep primary_assembly:Fonio_CM05836:9B:46034748:46036022:1 gene:Dexi9B01G0046830 transcript:Dexi9B01G0046830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSLLLQEGWRKGPWTALEDRLLTEYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQEQRRQYLQSLHLLHQQQQQQQQQQLNHHLQSQQLMMMSQQQEQQSPPEPDHRHQAAAMAMMNSLQGTGCYDGSPASTAEEEHYCTLPDDDDALLWDSLWRLVDGDGCGGEGSSASGGEY >Dexi6A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:6A:19885619:19886378:-1 gene:Dexi6A01G0012970 transcript:Dexi6A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFCFRRLALVRADISRLPFMNGSIDAVYAGAAIHCWPSPACAVAEISRVLRPGGVLVASTFVADVIPPAIPLLRIGRPVIAYS >Dexi9A01G0045890.1:cds pep primary_assembly:Fonio_CM05836:9A:49368333:49370752:1 gene:Dexi9A01G0045890 transcript:Dexi9A01G0045890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLRDGGLDDETLQQLRSRATQLLLKENWSEYIAVCSQIIDAVAASDDRRVLCSALAHRADARARLGDAAGALADCDAALAADPAHHGALLSKGTILRGLGRYALAADCFRAAAGCGGGGADGARELVEQCRHLESQARSGAVDLSDWVLSGFAGKCPDLAEYVGPVEVRRSAHGGRGVFAIKNVEAGSTLMIAKAVAIGRGVLQDTADGGEKMVVWKDFVDKVLDAAEKCPRTAALVHTLSTGEEQQDQLVVPEMALFRQEAGDLDLSDATNVVTDKGAQAVLDVDRILKVLDVNCLTEDAPAADVLGNNGVVNCGVGLWILPSFINHSCQPNARRTHVGDHAIVHASRDIKAGEEITFSYFDVLVPVSKRREASSAWGFECKCDRCRLESDDFVLKQEILKSEKDLVSEGDMGALVVRLEEKMRKSMVKERRKAFLRASFWSAYSTLYDSDKLVRKWGRRVPSEALVAESIADAVGGNESILKAMLRGARDANACSNRLEVEDKVVRIGRATYGKVYVTK >Dexi2B01G0024440.1:cds pep primary_assembly:Fonio_CM05836:2B:33888909:33889247:-1 gene:Dexi2B01G0024440 transcript:Dexi2B01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRISRNVQQRPSAMVVVPEELIGSESRAEAGDLFSALFLLVRVARDCFRRETEWVGIVPRRRGLSRASTRVPLARPPGRWYWNGPPLVGSGP >Dexi7B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:7B:11560896:11561907:-1 gene:Dexi7B01G0004610 transcript:Dexi7B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGRSRRQSKRKKQEDRAAAAPMAPPFLRLPDVLVEEVLRRLPPKSLARCRCVSPSWNALTSSSAFADHYHAAAAARSAASASAARFVSVPVEHPGEHPHIARRSPEFGSPRCVDCPRVFSGGGKPCHGSGVVLVGRPCKGEFFVCNPSTGGILRLPPRRPSCGIAGAGLGFHPAAGNHKAVLLERVDEPPRRGGLIPRLQLLVFDVAVGVHRQRRWRSPRGKKQTLVAYDDDAVVSTTNTNAVFAGGHLHWILSAASGPSGEPRGILSFSAAGESVARLPLPPFSTADATASTAASAWCTTAAAAANKTTQPMCSTFGG >Dexi1B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:1B:5625295:5627896:1 gene:Dexi1B01G0006790 transcript:Dexi1B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLPARAAPPPAAAAAASMLRQHAVSASACASQFRLPLCCSSGSLSCGVRESGRRRPLKAAAAPSSSSPGPLYPTPPPSEQEVERVKLEQVTKRLEKTARYFKNLGTLGFWSQLVCTIVSGGILSFSTVVTGKVTAPFTFYATAAGIAAAFISVFWSFGYIRLSERLKRSAKEPAKAPPRADVVKSLRNGIVLNILGMGAAVLGMQATVGALVAKALTTSTVPYYQANPGQSPVLALDVFLVQASANTILSHFLGLASTLELLRSVSLPPAEAAPTPAPVRA >Dexi2B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:2B:23313913:23314462:1 gene:Dexi2B01G0014010 transcript:Dexi2B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGDAEHFFEHGIHEGGSLPSLHNLVEQQLPQVALSEYAVIAFLGIPPQPLRALEVVFGGQLAVGTIGIILLVILGHGTALWDCARHCSVLQPAAPEDERRAVIIIEAGAEAEHAVELGVRIGHGTLVEVHGDEHKITRVAKENRR >Dexi9A01G0030790.1:cds pep primary_assembly:Fonio_CM05836:9A:35700546:35701333:-1 gene:Dexi9A01G0030790 transcript:Dexi9A01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELKLLGAWPSPFALRVKLALSFKGLSYEDIEEDLRNKSDLLVSSNPVHKKIPVLIHNGKPICESQIIVQYIDEAFVGTGPSLLPGDPYERAIARFWAAYVDDKLVASWMQTFRGKTDEEKAEGVKQTLAAVEHLEAAFKECSKGKPFFGGDNVGYLDVTLGGLVGWVHAGEKLYGFNPFDATRSPLLNAWVERFGELDAAKAVLPDTDRLVDFAKMRQAEAAAAASNN >Dexi4A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:4A:1902374:1902595:1 gene:Dexi4A01G0002760 transcript:Dexi4A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAERLLLCGVGKHREGHDADVRPGGGKRPHLAEHGGMSWPWLRDGMAACHGGGSLLRSGEVVVAHGRAAR >Dexi3B01G0025270.1:cds pep primary_assembly:Fonio_CM05836:3B:20066913:20068116:1 gene:Dexi3B01G0025270 transcript:Dexi3B01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRLYPQKSSKIMSTSGAPIPAVTATAVLCVILLSAASSSSSVARAQQCGSQAGGALCRDCLCCSQFGFCGSTDPYCGAGCQSQCTGCATPPAPGPPPGTGVASVVPRDLFERLLLHRNDAACLARGFYTYDAFLAAAAAFPAFATTGGDEQRKREVAAFLGQTSHETTGGWAAAPDGPFSWGYCFNQERTPPSDYCSPGPEWPCAPGKKYLGRGPIQLSYNYNYGPAGRAIGVDLLNNPELVATDAVVSFKTALWFWMTARDNKPSCHAVITGEWTPTDADRAAGRGTPGYGVITNIINGGLECGHGPDPRAADRIGFYKRYCDAFRIGYGSSLDCDGQRPFNAAVAVGLSAAQ >Dexi2A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:2A:28198324:28201032:-1 gene:Dexi2A01G0016520 transcript:Dexi2A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQQIIRVSDSVTMDTSDAIAVVIAVLLLAPLLALASRRGPRRRNPPGPSVALPIIGHLHLFKKPLHRTLARLAARHGGVFQLHFGSRRVAVVSSARAAEECLSTHDVAFANRPRLPSGGVLSYDWTTMGTASYGPYWRHSRRIAVTEILSVLRVHQFADVHEREARAMARGLYHRAAGGDGGGGRARVELKSRLFELLMNAMMGMMCARRYYGGGDGEEGEVSEEARWFREMVEETMELSGGASTVWDFLPAWARWLDVGGVGRRMWRLREGRTRFLQGLIDEQRKEMEKGAPARRTMIGVLLTLQNKDPEACTDHLIRTLCIGVWILIRNVHGIAAILLSLTIAWNPREEIDSCIGQPVRLIEATDLPKLHYLRCIIMETFRLYPPAPLLVPHESSTDCTVDGFHIPKGTMLLVFTFAIHRDPELWDEPESFIPERFEDGKSTEGKMFIPFGMGRRRCPAENLGMQMVGLALGTMIQCFDWERVGDDLVDMAEGSGLTLPKEVPLEAFYEPRASVIHLLSGIQ >Dexi3B01G0021980.1:cds pep primary_assembly:Fonio_CM05836:3B:16857990:16859008:-1 gene:Dexi3B01G0021980 transcript:Dexi3B01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRILGLSATVSGRLARSLATATSHPPWALIYRTELVKKSPAPGASIQLGVPPCASTLFVPDHLVDLRPIPDPDSDIIFLQCGGVISTSGDGLLLLDFRDGTVTAPFVDTPDGGRGRRLLGLDLDPDTMRFVCNPLSGQLFRLPDIDGTKKTSCCRNVGLLTQSAHGHGPPDRYAVAELREDLHAKERSFVMRRFLSQTVEWEKLVDLPSPLPLARPMNIYHQVLASAGRLWWVDLSWGAISADPFSDRPELHFVQLPSASMLPVPSTKAERIAARRVEEGFRHMGVSEGRLRYVELPTP >Dexi6A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:6A:23001987:23006167:-1 gene:Dexi6A01G0015510 transcript:Dexi6A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGQVDGEAGPGSSDGGQVHDEMPHRGGGTPTKRWKSIVVGGVKTDYVADSESEDEEDCVMLRQNVVYVADSESGSDKDCVMLEQNDVKMPGAQVPAGPSGMEPNAVAMKMDWTEEDAKDDPGKAGNGESGGVFEQSTDEAVSQYLEESGGCLTELMLNNVEKVGYLTAFAISRKCSVRLEALDLSFCRELTNEALGLIVESCPSLRILKLFGCTQITDFFLKGHSNTLVKIIGIEGNILDQMDHR >Dexi9A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:9A:1992672:1993403:1 gene:Dexi9A01G0003780 transcript:Dexi9A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNPSLQQLAAAAAEVAMKASEPPAPPRVRVVRILVHDADATDSSSSEDEAPPPPPRRARVGSSSVGAKSRVMEAAGANTAVRFRGVRRRPWGRWAAEIRDPHIRRRLWLGTFNTAEEAAAAYDAANIRLRGVSAHTNFPSARYSPPPEPAKPVISIIPEPGKVITLPLVPVKPIVPLQVKKEVASCDGQVEGGSSEAKVFAPKPIWEMIPRKRKKRSGCGNGTGLLGIHGASVCVEEVGGA >Dexi7B01G0023490.1:cds pep primary_assembly:Fonio_CM05836:7B:28074639:28081186:-1 gene:Dexi7B01G0023490 transcript:Dexi7B01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARRAHPPLLNGAGGDDGDDEREEEEDGDEEPVEEDEAEEEEEEPRLKYQRLGGSVPAILSTDAAASIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVAISSLFSDEKLKFEYHRPMKAIALDPNYSRNYRRFATGGLAGQVLVLTKKTWGGYHKKVLRDGEGPIHCMKWRADLLAWANDAGVKVHDMRTDKGIAFIERPKGIPRPEFLLPHLVWQDDTVLVIGWGTSVKIAAIRTDLSQGLNGIQRSIAAVSSEKYVDIVGSFQTGYYISGIAPFGDLLVVLAYIPDEEEKEKKISASVTSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVVKPRDAEDHIAWLLQHGCHEKALAAVEAGQGRTELLDEVGSRYLDYLIIERKYAEAAQRCPKLLRGSPSAWERWVFHFAHLRQLPVLVPYIPTESPQLSDTAYEVALVALTTNPSFHELLLTTVKNWPPKLYSASPVISAIEPQLNSSSTTDTLKEVLAELYVINSQYEKALSLYAELLKPEVFEFIEKYSLHDAIRDQVELYADYEPRMLLPFLRTSQHYRLDKAYEIFAQRELVREQVFILGRMGNAKEALSTIINKLENIEEAVEFVMEQDDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVHGNKVDDGSSRASERSSTVRSLDIKSRTRCGARCCLCFDPLPIQDISVIVFYCCHAYHLSCLEGGLDLMRSNSNQDSDDGSDDEDGSPSGESRMRCVLCTTAAA >Dexi8A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:8A:20152810:20156771:1 gene:Dexi8A01G0011460 transcript:Dexi8A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGGAALVFGFLPGVACVFSAKILGASISFWIGRAVFRYFTSAMEWLQQNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLFPTVIGCLPMILQNVSIVSLAGAAVASTTGSKKSQIHTYLFPAIGIVSSILISWRIKQYSSALAIPDEVKSASTNGNSNGDAKPHSPPSTNTNSGKTRKRRTAAVTLRDYKQFTLFNPCSAISSLCPNFQEMKLFIPLCFYILLIAIYAPKALSDSPPLQDVCPMAPQGERKVFMNGFLCKHPSTILASDFKTLLLNHAGDMDNMLRSSVNMVTATEFPGLNTLGLAMARIDIAPSGVVLPHSHPRASEMMFVHGGIVEVGFFDTKGKLFQKSLVQGEVFIFPRGLVHYIMNRGFGPATAFTVLNSQNPGVVGITHAMFAADSDVVEGLMARMLRFGEMGLSDNSTAGFPWPF >Dexi2A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:2A:27751193:27752853:1 gene:Dexi2A01G0016170 transcript:Dexi2A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVGVSEVGVSSQAACPCPGTLFPYPPPRGAAAGIVVAAAVRRKCLQVELGACWGGVESMRASSPTHAKAAAALAAGVVDGDDERANWMVRHPSALGKFEQIVAASAGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFGFVKLAELYYAGSHGMDIKGPAKASSRNAKAKAKGVLFQPASEFLPMIEEVHERLVETTRCIPGAKVENNKFCVSVHFRRVDEKMWGELSEAVKGVLREYPKLRLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASYSLQEPAEVMEFLLRLVEWKRLSRARLRLQ >Dexi5A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:5A:13572986:13580500:-1 gene:Dexi5A01G0015770 transcript:Dexi5A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDDEEENSSSAEEEEDQSDAAASGSGDEGDEEEEDAAAPPAGEDEDEEAEGEGQQEEEVDEEEIEAVTTGAGADEEEDAGAAAPAEGEEESQSTEDDEAVAGDDGEETEAVVGKREKARLKELQKKKRQKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGSESKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPVLRAVKFLGNPEERNHIRDNLLQPGKFDVCVTSFEMAIKEKTTLRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDNAELYDFDDDKDENKVDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKEKRLAKNMTPTKRGALRNSEGETTPSNSFKRRRQSLMDDYVGSVKSR >Dexi5B01G0024610.1:cds pep primary_assembly:Fonio_CM05836:5B:26629279:26631242:-1 gene:Dexi5B01G0024610 transcript:Dexi5B01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYTFTLLAMAVGFLVVVYLYEPYWKVRHVPGPVPVPLIGHLHLLARHGLDIFPALAKKHGPVFREVGIKKFKSMPNHSLPSPIANSPIHVKSLFSSRDSRWSAMRNIIVSIYQPSHLAGLIPAMESCIQRAATNLNDGEEVSFSDLAVSLSTDVIGQAAFGTDFGLSSPKKTPGDDNNNDMKEAKASSEFINMHIHSTTSLKMDLSGSLSTIVGMFVPLLLKPLRQALLKVPWSADREITRVNGELRRMMDGIVAARMAARDPAASSPHKDFLSVLLAAREKDASTRELLSPDYLSSLTYEHLLAGSATTAFTLSSVLYLVSKHPEVESKLLGEIDAFGPRDRVLKETMRLFMASPLVAREASERVEVGGYVLPKATWVWMAPGVLAKDPNHFPEPELFRPERFDPAGDEQKKRHPYAFIPFGLGPRVCIGQKFSNQEVKLAVIHLYQRYVFRHSPSMESPLEFQFGIVVNFKHGVKLQVIKRHKND >Dexi2B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:2B:25500702:25500929:-1 gene:Dexi2B01G0015500 transcript:Dexi2B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLQRPARTQVAQIDGEVRRQVAEGTLTCDRRWLEIVGGVRALSDASVTALRGSRDGAAIVAVHRRGSRGSAAG >Dexi5B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:5B:16868859:16873765:-1 gene:Dexi5B01G0016720 transcript:Dexi5B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQMFPSSGVDRGFSQISSKVHRIGLKFGIHLMKGISVQAVNANTPILDIKTGKPYLEDGRQWTARDIGLTQRTCAWMPHGFMSVNTDTGAGRAFLRSLYRQYAGWGVDFVKVDCIFGTDYSPEEIITISELLQEIDRPIILSLSPGTEVTPALAENISNHVNMYRITGDDWDNWNDVSSHFSVASSFSAAKKIGATGLRGRSWPDLDMLPFGWLTDPSNELPLYKEIKIVFIPVLLCVNQGPHRKCNLTIDEQKTQMALWSMAKSPLMYGGDLRHLDDGTLNIITNPTLLKINHYSKNNMEVLALMWELNVNGNLVSSYSGLCATMESRAKQGTHYVALL >Dexi9B01G0040970.1:cds pep primary_assembly:Fonio_CM05836:9B:41461774:41462632:-1 gene:Dexi9B01G0040970 transcript:Dexi9B01G0040970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDLYMIHWPVTMKAGRFTAPFTPEDFEPFDMRGVWEAMEECHRMGLAKSIGVCNFSCKKLETLLSFATIPPAVNQVEINPVWQQRKLREFCREKGIQLCAYSPLGAKGTHWGSDSVMDSGVLHEIAKSKGKTVAQARSHTVCLRWVYEQGDCLIVKSFDESRMKENLDIVGWELTEGERQRISKIPQRKINQGRRYVSEHGPYKSLEELWDGEI >Dexi5B01G0036440.1:cds pep primary_assembly:Fonio_CM05836:5B:36133024:36135473:1 gene:Dexi5B01G0036440 transcript:Dexi5B01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLDGRVDLGGGAAVLMTLPGRKAPSGASGVRRWVVTIVASVLALVLTLVVISLSGGSSLPRTSLQDYLPVRVTGLGKLSSSEHADGNTSGTAIGEESLRGGREPAVEQNGQVGDVSSGEPSSITGKIESKEPDPAASGNAASTPDEDSSNGESQKAEQGTCDLYQGKWVLDSAGPVYTNNSCPIITQMQNCQGNGRPDKEYENWRWKPEECVLPRFDARKFLELMRGKTLAFVGDSVARNQMESLVCLLWQVDVPQNRGNKRMHKWLFKSTSTTIARVWSSWLVHRSNEAVGIAPKGIDKVFLDVPDETFMEFLPRFDVLVLSSGHWFAKRSAYVLNGNVVGGQLWWPRQAGKMQMNNVDAFGVSVETCLTAVATNPNFTGLAIVRTWSPDHYEGGAWNSGGSCTGKVKPLDEVVRNGFTDAMYGKQVEGFRKAVKNAGQHGSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLEIIRREFEKNRS >Dexi1B01G0028870.1:cds pep primary_assembly:Fonio_CM05836:1B:33303256:33308485:1 gene:Dexi1B01G0028870 transcript:Dexi1B01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASVLARAIIGCCALLLLAGGAVAAGVPVAGVEECEERGRGDRVEALPGQPPVGFAQYAGYVTVNEEHGRALFYWLTEADDAAATKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLFLNKYSWNREANLLFLESPAGVGFSYTNTTSDLKTMGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNKASPYPFINLKGILVGNAVTDNYYDNIGTVSYWWTHAMISDRTYKAILKSCNFSSINVSRFCNRAMNYAMNHEFGDIDQYSIYTPSCMAARSNATVLRFKNTLIRRRSFGYDPCTETYAEKYYNRLDVQKAMHANTTRIPYRWTACSDLLIKTWQDSEFSMLPTYKMLMKAGLRIWVFSGDTDSVVPVTATRFAISHLGLKVKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGAPLPKS >Dexi7B01G0021540.1:cds pep primary_assembly:Fonio_CM05836:7B:26527482:26531190:1 gene:Dexi7B01G0021540 transcript:Dexi7B01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDPKDGGGGGGGSGGGAADPEDDIEAPLLASSGSSFFLDPACEDGGEEQRRRRRRFLHGSHTLSNTTSQVALVGADVCPIESLDYELVENDVFKQDWRARGRGHILRYVALKWALCFLVGALVAAAGFVANIGVENVAGSKFVVTSNLMFEGKHRSAFAVFLASNFVLTMLAAVLTVYVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLIVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYGMTCKWLRYFKNDRDRRDLVTCGSAAGIAAAFRSPVGGVLFALETVSSWNVIFKNLRHVPFICRWRSALLWRAFFTTAMVAVVLRALIDFCKSGKCGLFGKGGLIMFDVTADYVTYHLVDLPPVITLGVLGGILGSLYNFFLDKVLRLYNLINDYGLALPSGLFVPVILTGAAYGRLVVADAFNANVYDLLVRLKGFPHLEGYAEPYMRQLSVSDVVTGPLQTFNGIEKLD >Dexi7B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:7B:22095798:22103025:-1 gene:Dexi7B01G0016090 transcript:Dexi7B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWNKSLSKDVKKSTKENLIDTFHRLISPNEQKGSTKSKRNCRRGNNTAVEKVCKSTTVSRPTSPSKEVSRCQSFSVDRQHAQPLPIPGGCPRATRTVSDAIESKPILEKRGKPPLFLPLPKPDTLHERPGNSEAASEIMVAYVSSNYSADNEDHADSQLPSPVENDTENTTKNSSKNKSSNACKDHPGTITTKIVKETSKSAANALLNNHKPSTSPRVPRSPGRTDNPSSPASRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQHCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGVSMTSKIQLFHFTAAIPHYISSLVSMQTRNTSLGLEGHTIYQRRGVKLSSKHSDIHIRSNISCPVSPCGSPLLKSRSPQHTSGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLRYATYSSEGFGTTSRGSDDLFSNRHKERILGQLTQAHQVSQGPRERVVPEADILSPQFGKRLGNVFDLRERLSPSEHFTRHAFVDHVAVNPSLDLTSGSLNIGFKHGK >Dexi6A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:6A:17789552:17790109:1 gene:Dexi6A01G0011810 transcript:Dexi6A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAARWAAKKGKPKMAPIELTAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDCGLRGLTSKRQMKIMLRWMRERQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKGELKAKAGEKLFPSPPKQP >Dexi9B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:9B:7716468:7719843:-1 gene:Dexi9B01G0011800 transcript:Dexi9B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAPPASSSPPPPSAADGDGDGLGGGAXXXXCPPPIPSPSIRIPPPSSVLAASASPPSSASPAAMTAAAAPPASSSPPPPSAADGDGDGLGGGAARCSSPTPKPRRRTSPNRSAGGRSRKSPGSRDSGGGSVLKSVNKSKAQFKKSRIRRSGSPIRWSPRKKTESFMKRKIKQLQETDGMTASLHETLGNANPHYTRMAREKIAAREAARKATEARKAAMVEASWCRILRAARIQNKSAEEVLEKAMLQATEAFEEARAVGVMMYDKPDCPHQQYEVESSSHTGGQSTHKVTASFQTAFQVDMEVSAAVKKAFVKLANSPDSAEREEFKDLLWKINQNPDLEETDVNSDDKQQQLGDCGDESNMELNKGNLTVSSVPSDFNTTKVKESIDLVKIMLERLKALHEDELASLAVIVATSGLNAALQSERGKYHETDPVDSTGARSLRSQSRRYSTAVSFVDVQGPKEEVTSELPSLDKFLVKHLSKLEREVQDAREASRKATSIKSVAQECAHSQVLSSIAKSAESTSDLSSILVKHVSKLEKEVLEAKKNTQSIHSLEGNYKDLEASVDTDVQSRNKESEFERTTSEAENKSNLNGSRDSNISSDGRNHIQEFSDYLQEDKENRSLYSHQLPPSGATSRQGGKRLTRIEAAKLEALKSFCTTDGNTVAAGLDKIFVKSIHRLEKEKREAREGWTNVPHDQRKIGNNVKPSESLDQVLVKHVSRLEREKMEYGKRNALGEGTNMQNDKQRSADSATAVDSLDQILVKHVSRLEREKIEHEKEGGMILLKKTQAQCADGTAESLADVFVKRPSKLEQAKLASAAEDKPASGLNPVAERRRAREKELQDAWGGMGLGNSMKPHVSKIERAKAAWRAEEEQKQMSAAEGL >Dexi7A01G0023830.1:cds pep primary_assembly:Fonio_CM05836:7A:31707846:31708709:1 gene:Dexi7A01G0023830 transcript:Dexi7A01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHMSRHNTTPPSLGRCAGSHPRHLKRYGRITREAKLYLPLPARTNDLTHSPIGEEKAYPKTMDDRDINTAFSETEVTAIRIDHKTLQSLHNPQLVTPNRKRHPPASLGSERLGTLTLAPRAVKTFPVGQTGNSSPIGGVRTHPVPLTQILHCSRQQLAGTPPTKASWCARYSDHEVGQQGLSVSTAVVRNRYHLRHNTGLTQKLAPGSPKA >Dexi8B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:8B:661129:663191:-1 gene:Dexi8B01G0000980 transcript:Dexi8B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITQWVSSQEIPTDLTIRIADSIFPLHKAVMVPKCGYIRRAVAVATTKDDTVEVDLSAIPGGADAFEKAARYCYGANFEITASNAAALRCAAAFLDMHPPAADLPRRVDDFLAQSALRSLPTAVAVLRSCEPLLPAADDLLRRAADAVALRICNEALFPTRSPPGWWTTELAALSPASFQKVETALRCRHADSHLLATAASAYAELALAEVLADPAGAGDDDHRQKVESVVEVLPSGADAPIPAAFLCRLLHAAVAIEASHKTCRDLELRAAAVLDQATAPDLLAVALDAAGERVTNTDTVRRVIAAFVERHAASESGGGRSRRASMSGGRSASELDAAGAMERVAKTVDEVAAEMATEETLAISKFVGVAGAVPKEARASHDCLYRAVDIYLKTHPELDEIEREKVCSVMDPLRLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSAATEEEDDGSSAAGKARAQARADASLARENEALRSELARIRAYVSGMQQQPSKGSGSSSRASSPAPAAKKASFLGTVSRTLSRLNPFKGHHGWGKDTASSIAADGRRHSNTTHVVKPKRRRFSIS >Dexi3A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:3A:15114252:15118807:-1 gene:Dexi3A01G0019300 transcript:Dexi3A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLEKMGRELKCPIWYVATAAAAASGGASPLSLLSSAVFITCNHIFCNGCLMESMKSASSCPVCKVPFRRREIRPAPHMDNLVSVFRSMEAAAGTCIVSTQPTPSPKAADGSQCGGNSGSKPKRSWKKKMASKKENSTSKATAASASCPTTKPSISTNKRIHVTPFPESETPMRPKKIMKPEEQKSKVNDDAEETQNKTMNSDRPESPSLSPFFWLREEEEEEGGTAGSLSEPLSLDTPLRHNAPTFSDIIDSDDEIPNNITPNSKAEVSELFDSEMFEWSQRPCSPELRSTPQKKQAFYYLGTITSCTARNALKKCEDKVSKVSCAFCQSDDITEDSGEMAHYHNGKQVAAEFDGGASVIHSHKNCLEWAPDVYFEDDSVFNLTPELARSRRIKCACCGIKGAALGCFEMSCRKSFHFTCAKLIPECRWDNENFVMLCPLHQSSKLPIETSELKKKTQRRLTPKGPPQVRPCQDYGNKWTWPSGSPQKWVLCCSALSPAEKGIVAEFSKIAGVPISTSWNPSVTHVIASTDLSGACKRTLKFLMAILHGKWVVSIDWAKTCMEHMEPVAEVRFEVIADVHGTREGPRLGRQRVVNMQPKLFDGIQLYLHGDYTKSYRGYLQDLVVAAGGTVLHRKPVSRDKQKLLDNGSLILIVYSVENQDKVKPKSKDGATAGRSQADAHALACASGGKVVGSAWITDSIAACNLQPL >Dexi2B01G0035590.1:cds pep primary_assembly:Fonio_CM05836:2B:42530149:42531520:1 gene:Dexi2B01G0035590 transcript:Dexi2B01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCLSLLVLVALASAVSAQLSPTFYDTSCPKAMATIKSAVNSAVTQEARMGASLLRLHFHDCFVQASGCDASLLLAGNEQNDGPNLSLRGFNVIASIKAQVEAVCPQTVSCADILAIVARDSVVALGGPSWTVLLGRRDSATAASSATVSSNLLPPSSSLAQLIKGYGNVGLSPTDMVALSGAHTIGQAHCASYQGHIYNDTDINQAFARSLQASCPATSGGGANVLAPLDTSTPTSFDNAYFNNLLSQKGLLHSDQELFNGGSTDNTVRNFASSPSAFSSAFATAMVKMGNISPLTGTQGQIRTTCSAAN >Dexi6A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:6A:20150294:20154454:-1 gene:Dexi6A01G0013110 transcript:Dexi6A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKENPYRNVKGAFILFLFCCYHREERRIRKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLLNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQGIKVVFQVGLALLRFCHDDLIKLPFEQLLHALRNFPEEATDPDVLLPIAFTFKVSSRLEELQKEYQKGPEGSSETSTSKRHQPLISKTMSRVGSRVISNLISDKK >Dexi8B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:8B:9386985:9392411:-1 gene:Dexi8B01G0007620 transcript:Dexi8B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASHMLLEEPLRLASVLAPAKPKVFPSLTKIVGTLGPKSHSVVVIQECLTAGMSVARFDFSWMDAAYHQETLDNLRKAAQNVKKLCPVMLDTLGPEIQVHNSTGEPIELKAGNHVIITPDMSKAPSAEILPIKFGDLAKVVKKGDTLFMGQYLFTGSETTSVWLEVVETSGENVNCLVKNAATLAGPIFTLHASQVHISLPTLSEYDKQVISTWGSRNNVDIISLSHTRSANDIRELKAFIQSHDLPDTQIYAKIENSEGLDHFDEILKEADGIIISRGDLGIDLPPENVFMFQKTAIHKCNLVGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVDAAETVYNQPLQFKKVMSFVGDPMPHEESVASAAVGSAIKVKAAAIVVFTFSGRAARLVAKYRPTMPVLAVIFPREGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGQSVGIVKPFDRVIIFEKIGDSSVVKIVECEG >Dexi5B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:5B:4286419:4287087:-1 gene:Dexi5B01G0006320 transcript:Dexi5B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCHAHNNNKLTASSAPDSAATMGGGHNMHGHNGGVKGFVSNLVGGGKGHGQYGQGYGYEHGGGGYGHGCGYEHGGGYGHGYPPPAAGAYPPPHGAYPPAAYPAPQHSAPYGHMGSYHTGHGGGGHHHGGYGGGKHKGGMFGGGKYSRKWK >Dexi4A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:4A:2094537:2097547:1 gene:Dexi4A01G0002950 transcript:Dexi4A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVAASAFFPGPGASPAASAKTSKDMAGELPENLSVRGIVAKPGAPSGKMQVKVQAQALPKVNGTKVNLKNAGSDTEEAIPYSAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPSCVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRTAITDEQSEKLAKPGSTSDGDSAKQFIRKGLTQPKWGDLDVNQHVNNVKYIGWILESAPISILEKHELASITLDYRKECGRDSVLQSLTTVAGECSDGHPDSTIQCDHLLQLESGADIVKAHTEWRPKRAHGGEGNMGFFPAESA >Dexi1A01G0028740.1:cds pep primary_assembly:Fonio_CM05836:1A:34350396:34350963:-1 gene:Dexi1A01G0028740 transcript:Dexi1A01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTGSPRRRSSVEHISGVPRTDSTIVGTPHASVGSEEAAEGPTPARRKGRSRAAHHGCRGAMGLPRGRGRLSRLVSPRSGRPERGGCRGAHAGVRSPPGGRCRGGHGESGGGHGGGYGGVGSVCEACVAGGRFIGSGRDPTDSSPASVDLEARGSSSSMTCGTRNRGDGQAGLRGPHLQ >Dexi8B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:8B:16762732:16771597:-1 gene:Dexi8B01G0009420 transcript:Dexi8B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSWTWTALLLLLPTLLPVPSASSSSRLTIHPGCQASCGGVDIPYPFGIGVGCFQPGFEIACVTSTTPVLAGTSPAVRVLSLSVMPRPEARVTLPVAYQCYNQTGDATDDFSYGTVDLNPAGVYRISNTYNELFVLGCYTLGYTNSGPGGRYSAQDGACAGIGCCRVDILPGLADNKMKFSSCWWRDAMEFSPCDYAFIVEKGYYTFRATDLRMDVAQATMPLRLDWAIRGDGNGTSMSMSCAQAANRPGYTCKSDHSECVDSTNGPGYVCNCTKGYEGNLYLDEGCTKREVKKMTGNYGSLLGKGAFGEVYRGTLKDKTTVAVKKSSAAVDKDKVVAFVKEVEIQSRMIHKNVLRLKGCCLEVKFPVLVYKYAAEGSLRDIIRGSKNGQMTPFPLEQRLDIANGSAEGLAYMHTYTASIIQHGDVKPENILLDCKLVPKLSDFGLSKLLAPGKQKPIVPGEPEGGECRLVTEFREIYDQENRRREILDKDIATEENIPVLAGISKLAIECLAEEDRPDMAETSVRRLIKHPSLKNLFLSGNNLGGGIEALLKYGALQSIDVPTNSFTEQ >Dexi2B01G0032890.1:cds pep primary_assembly:Fonio_CM05836:2B:40657444:40659906:1 gene:Dexi2B01G0032890 transcript:Dexi2B01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAGAGTYSPASAAGGKRRERREELRRHLAEDVDWPRADGRSFHDCRPACNSPFPNLVFMKTGPTTAASGSAYAEFGKTKVIVSVIVYISVVSLAYNCKICSVEDLYWFGPRESKKAMLYSDVGRLNCNVSYTTFATPVRGQGTDNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGCLMVAFMPARKEITQLTLTGEWSDSRITNAVELCMDACSKLGEILRDRLKDPDTLTSE >Dexi9B01G0047810.1:cds pep primary_assembly:Fonio_CM05836:9B:46826488:46827904:-1 gene:Dexi9B01G0047810 transcript:Dexi9B01G0047810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELRSTSVVQKVHGQSVLLSRISSYSAMNNPVFNNAYSAYNVSRRSYHGMNATVGLSSVMAPSPIFASAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGECFTRTIKDEGFVSLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGIQGLYRGFSISCVGIIVYRGLYFGMYDSLKPVLLVGTLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKNSLDAFKQIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >Dexi5B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:5B:1045254:1045829:1 gene:Dexi5B01G0001630 transcript:Dexi5B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYQKRSSLAIVDGFAVEITEDQASVLRSAKEVRVVEKNQELA >Dexi6B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:6B:21872167:21873313:1 gene:Dexi6B01G0014320 transcript:Dexi6B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWALITHLHTVAGYASVCAMESPTKVDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVREQLRNVYVTP >Dexi3B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:3B:6936965:6938363:1 gene:Dexi3B01G0010040 transcript:Dexi3B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQYSGKYAEEGDEEQIDGETEKKARQGTDNEYKRLIAIQLTRRKHDMDQDLKVDPDKVSRLSLGEKAYEKAIVSHGAHIIRFTQRNLLRIFPRSTRITSSNYNPMMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDKLFDPRADLPVKTTLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARVGIAGVAADTRMEQTKVMMDSWIPAWDHEFEFRLAVPELALLRVEVHESDNHQKDEFGGQTCLPVWELRPGIRSVRLCDQKGQTLRSVKLLMRFEFFPSSSSK >Dexi4A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:4A:6778590:6789262:-1 gene:Dexi4A01G0008830 transcript:Dexi4A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILLHAMESQAAKDEARWDQMLENMDLLFAKFENFGKAQGKLETQFEMTSKVLEQVLKEQQMHAKQLEATDQAVAQLTLNQGKGKQEEPSSPTDSDTTVDNPWTQEVILKKGRGESIIEPCIASGKTNPVMNRTYSERNPYRILGLIVIFSRRVFNPATGSDIPVHGLPIRCAPASRLVPVHGFPLRRRFVTARASFTFRCERHLNSNVDSLSPRPHSSSAPYRLRFPTSGSAIVDAKPLRTLTPMFPAALGLHKSTPQNSPSLVCVTPFGPNAGGTELRMPAGVPPMFAAPAAPAEPNQGQPQRVNMNVAAHANGTVVNSLVTSLQTPPSAATPSLQTPLSAATPESGKRKRGRPKRVSDTTVPSAPPAPTIPPISSLPLVPSAPLEGGTPMPSAASAQEVGKRKRGRPKRVQDVPVLSTPIAPQADDTHVLQALPAPTVHESDKRKRRCPKRLQDSPDTSTTSIHSKDNEPTFQTPATTSPESGKRKRGRPRRVPDGSVTPSSIDETVDATKRGQPRKMDTTLLQLPSLSSDDPRESADNVLMMFDALRRRLMQLDEVKQAAKQQYDLKAGRIMINAEIRANKNERIGEVPGVEVGDMFYFRTEMCLVGLNSQSMAGIDYMSAKFGNEVVPVAISIVSAGVYDNTEDDPNVIVYTGQDMSGKDDKNLKRGKLALESSLHRGNLIRVIRNLHRAAVFDLASPGSLNAEAKEVPDLVFLAHAVDVPFRPAEDIPTFTPWMCGSFCCGAAQ >Dexi9A01G0035710.1:cds pep primary_assembly:Fonio_CM05836:9A:40303376:40307232:-1 gene:Dexi9A01G0035710 transcript:Dexi9A01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGEASHRGWSSSRGRGWRGRGRSGGGGGRPSPPPPSSPSSAAVNPAPAVTVNDAAPIMGTCPDMCPARERALRERLWDLAVLERVGGDPSRTSPSLAVKKVDSLSLWYGQLATPVRRSKEMIFVRNLLRYYQLGNFKRFFCMITAEATDLQLCLIEPFLNEVRARALMYFNHSGYKLQHHPLDHLSQILMIEESELETLCGICGLEIRTNEGRKAFVPKQASFSIPTSLPQSNGIYISREVEG >Dexi8B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:8B:26780405:26781532:1 gene:Dexi8B01G0015930 transcript:Dexi8B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSSRQRRLKHVAACHCVVQSGCLLEDLYGAVVPVADAVRGLYDKDVMAGISYEEFRHMMFFDACFLVQYMLTECGIGIDPSLHGFLRPNRMDIDHDILLLENQLPWQVLEAVMEFSPVPLEHFINFSGWMGCLQDRKLPRKERPTVKWEQDYKPPHLLGLVRFYIVGTRDSGEPDPIIPKTKRRSASESAIGLAKIGITLTANKTMKLIDVSLQPQDGTLFAKLSLAPLAFDRDRASYLVNMAAHELCTVESFSQAPGEQDSAVCSYLMLLAMLVYREEDVHELRDRGLLEGGGGLTNEEALRFFSNLQGLRLGKCYSRVMQEIESYKEIRRVKTRLHAFFYNYWKIILTFGTVIGSLVGIIVPLLSLKGTF >Dexi9A01G0023930.1:cds pep primary_assembly:Fonio_CM05836:9A:19399197:19402032:-1 gene:Dexi9A01G0023930 transcript:Dexi9A01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGMEEAFPGGGGAGKQRQISLVGLFLACMVAGGVQYGWALQLSLLTPYVQVQPCVGLYSDKCTSKLGRRRPFIFTGCVVICISVIVIGFSSDIGYALGDTTEDCTVYTGKRLHAAAFFVMGFWLLDFSNNTVQGPARALMADLAGTHGPSAANAIFVSWMAIGNILGYSSGSTNDWHKWFPFLQTRACCEACANLKGAFLVSVLFLGFSTIVTMVFANEVPLDPATAKAQSEGEPTGPMAVFKGLKNLPPGMPQVLIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPAEIASFQEGVRQGAFGLLLNSVVLGFSSFLIEPMCRKLTAKVVWVMSSFIVCVAMAMVTVLSSWSLGDIGGNVQDAAAVDKGLKSAALALFVSLGFPFAVLCSVPFAVTAQLAASKGGGQGLCTGVLNISIVIPQMIIAVGSGPWDELFGKGNIPAFGVASVFAFTSAVAGIVLLPKLSKTSFRAVSMGGGH >Dexi5A01G0039100.1:cds pep primary_assembly:Fonio_CM05836:5A:39835533:39836382:1 gene:Dexi5A01G0039100 transcript:Dexi5A01G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATAEAPATTTVLRVAAISGSLRRASANTGLIRAAAEICKESIPGMQVDHVDISELPLLNTDLEVDGGFPPAVEAFRAKIRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAILSASGGSGGSRSQYHIRQVGVFLDIHFINKPEIFTKAHHPPKKFDDDGNLIDPETKEQLRKMLLSLQAFALRLQGKPANSGQGS >Dexi9B01G0043570.1:cds pep primary_assembly:Fonio_CM05836:9B:43518891:43521812:1 gene:Dexi9B01G0043570 transcript:Dexi9B01G0043570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAQCPYCRASGPARCATTQPPLSRAVSECSVCARIVLERHLHTHPFFPLLPSLHPLPLVTPDLATAVDPAPAPSPSPGGEDDEDPFLPAGFVSAFSAFSLERHPVLARSASAFSGLLAELERALALERALAVDSAAASSNLDPSGPMVSVDHLRAYLQIVDVASILKLDRDIADHAFDLFKDCSSATCLRNRSVEALATAALVQAIREAQQPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPADYTPATPPEKAFPTTTIYSGRSSSGKDLYQDKIFDGSMKQKGPEPAEPDHMVIVKEEEDKKFSAPGRPPAKLEPHELSKAFWPPNAPFSTSPKSDRDKTETSVRGFNLNESCPMDSDRADITVKPNFSDRSTNESNMLPPPNRQQPLPWQLKQGASATAPSYSRLREQHLGLDLVAALKGTGKRSAGDGGDGRDKEGK >Dexi5B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:5B:1238114:1239673:-1 gene:Dexi5B01G0001950 transcript:Dexi5B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTVAAVLLLLLASSLLDGADAGYYGTKPSSSFTAIFSFGNSYADTGNFVRLAAPIIPVIPFNNPPYGETFFHKPTGRASNGRIILDFIAEAFHLPLVPPSLDTKQNFSKGANFAVVGATALDLAYFMEHNITSVPPFNSSFSVQIGWFEQLIKTSSLAKEYKADDYLSKSLFVMGEFGGNDYVFLLAANKTVDQIIRTYVPAVVKAISGGVERLIKLGAKRIVVPGNLPTGCIPIMLTLYASPSKKDYDRNGCLVKLNGLARYHNALLWREVRALRTKYPDTMIAYADYFKPVVKFLQKPTKFGFDGRTALVACCGAGGKYNYNITAACGFPGATACKDPSRAVNWDGIHLTEAAYEDIADGWLRGTFAKPSILRLAR >Dexi9A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:9A:9343131:9343766:-1 gene:Dexi9A01G0014270 transcript:Dexi9A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRRQCGFPSFPTMRWLAHLAAMALICAASTAAQPQPLPLPTATAAAPPGGAPSLPAPCPPAQATLAPCLAFFTSNSSSPPAACCAQIRAMFQSQAPCLCAAMASGPAAQLGGIGSALGQLLPTSCDLPANACSGTTTGAAAGPTAPTVAAAAPESGTNGVDDPAGTGAGGIKSVPGLLGSGAAADAAGYRGVFSAAAVVMSMLGVYLL >Dexi8A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:8A:27599933:27604690:1 gene:Dexi8A01G0016310 transcript:Dexi8A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSSSLSTRLPSKTVTPRRAGMLSSHKAPSAAAPRPLLLSFPAPARPRGAGLCAPAAKQDEYQFEEDDDGEDEEGYEGEEEWEEDDDDGEEEMDVEAMEEEARGAAADLAKRLARELHIDDDVREKRRNIRDRISISKQIPDSLLPKVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAVTTTVGMDGIPLATREAAIARMPSMIEKQAVAAVGEATVVLFVVDGQAGLGDCLFVVFLGSISLIFKFINSLSIISSLSFILTNIMVQNIFQAGLVAADIEISDWLRCNYSDKCVILAVNKCESPRKGQMQALDFWTLGIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAVGPPTFVLFVNDAKLFSDMYRRYMEKKLRADAGFPGTPIRLLWRSRRRPDKRGEKNAYT >Dexi7A01G0023710.1:cds pep primary_assembly:Fonio_CM05836:7A:31645290:31648290:1 gene:Dexi7A01G0023710 transcript:Dexi7A01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALSAARCLLVANPIVHHSPVSSSSSALRLPRRPPLSSSPSSRSCSTSASPLLTVASMDAPPQGYRTNVGICLANPSLTKASSPRPLQPRWIFSASRIDIPSAWQMPQGGIDAGEEPRAAAVRELREETGVTSAEIVAEAPNWLTYDFPPDVRAKLNARWGTDWKGQAQKWFLFRFTGNDDEINLNGDGSEKPEFAEWTWMTPQEVIEKAVDFKKPVYEEALKHFAPYLQSDPTASS >Dexi5B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:5B:13259796:13260110:-1 gene:Dexi5B01G0015790 transcript:Dexi5B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAAMATGSSYSGKSSKPAEMEMISTPSAIAASMPASTSDACDVSCQSTLYIPIARRGAASQPYPKKDAPGTGLPAAVDDVCVPWPSVSRVEFMEMFK >Dexi5B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:5B:9093224:9093929:-1 gene:Dexi5B01G0012830 transcript:Dexi5B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSSSSSRVAALAGAFVVFCLVAPAVAAEAPPGLEFHVGGARGWTVPVANNSYSWWAMNNRFRVGDTLYFRYFNDSVLLVDRPDFDACNATAPLAAFVDGATTFPLDRPGFFCFISGEPGHCEEGQRLIVRVMVHPAALPPAPAPASAPGTSEPPGHGGPGNPGATSGSAATATATAVAAVAAALAAFVTASS >Dexi9A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:9A:4564064:4569198:1 gene:Dexi9A01G0007800 transcript:Dexi9A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAVKLREAHKSSSPALCSAAWGPGGQHVVTASASDTAVLIHDAAAVLAGGRGSGLAPLTTIRLHKDGVTALAIAPGSGGSLASGSIDHSVKFFTFPEGKFQSNVARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLAFDPKNDYLASVDSFGTVMYWDLCIGGEARTLSRVAPTFRSDNSVRNVLCWSPDGQTLAVPGLRNNVVLYDRDTGEEVSTLKGDHEQPVCSLCWSPNGRYLATAGLDRQVLVWDVKSRQDIERQKFDERICSLAWKPDGNSLLLIDVMGRFGIWESVIPSTMKSPTEGIPDLNSTKVSLFDDDDDDDDEKPCTSGGLEDDIDESLSDSAPLSHKRLKRKSTFDGYSEDEDLIHQLDSSKRMKDKHKDKKKDTEKANDDSATSGRLVTARMQAAFQPGSTPPQPGMRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFDSWAGNSEMHILSVSGPVVTAAGHGDHLAIVSHASDCLPSGDQVLDVKVFNISERAQSLSGRLLLTPSSQLSWFGFSENGQLSSYDSKGTLRVFSSQFGGSWLPLFSSVKARKSEDESHWVVGLDANNIFCILCKHPQSYPQVMPKPVLTILELSFPIASSDLGANSLENEFMMRKLHLSQIQNKMDETAALGLDTSAYEDEAFNMEAGLDRCILRLISSCCSGDKLVRATELAKSLTLEKSMKGALTLVTRLKLPMLQEKFSSILEERMLNDRKVVGAVGFCSNATIKRNTPVLTTYETPPSKLAQNLNNFLGSSLPIPKLGNQENSLTEPKKPEAEQARGNNTPKASPSPAFTPLAKVSKNSETKRDKIGASNATVVDQNKNGGVDQNGARKMSTEDCNRTELQRPVNPFAKSSSSKEQSPSLLDSIKKMNVETEKVEKSNSKKVKV >Dexi8B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:8B:25664501:25664976:1 gene:Dexi8B01G0014890 transcript:Dexi8B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVMLCFKKLIDISPDLAELEDYRLDKLNHQCFNVERYDKVYHHYNFTVRMKMLNSADWAVELYFAEVKEIFRTKHYLCYPLDPNENGAKEWKS >Dexi7B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:7B:13201328:13202217:-1 gene:Dexi7B01G0005710 transcript:Dexi7B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDHGRDEEDSPPRLPPLAQAAASWIASTSAQATPPRSPSRLNPEAVPFNPSAGDTTPEWLSFSASPASMSEDGDASAPVAKGNSKGKEPATASPPQVHPTVAPSPFTADARRAGSGPVRRVVDEDPAPRLASVVVAHQSFAPESEQDGWVEVGAVAVYGDDGVASSGVSSSHPAGKDTR >Dexi1B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:1B:26648188:26649788:-1 gene:Dexi1B01G0020540 transcript:Dexi1B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPHPRPLDSHPEELLTSTLIAMAGRNGAPAPPQTLPGASSLRLWRRCGPAAANCSGQAAAHAALHCLRKPSGAACPASLPSLRICTLPRICPALRMCLLLAQLPGCLLLQQICKKPKQDGPSHTSPNKDRPDGQKKEKERRRKKVVDNGETLFMDAMENLWCKREKADELKELKKKERNDERLAVESRRIEMKQEV >Dexi7B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:7B:16419204:16421241:1 gene:Dexi7B01G0008660 transcript:Dexi7B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSGSGGGVEGGVEEGLTTLDELYQINVVPAELHFKFRKELQGIRVGLNLEFHNLEVNDFEAKVVLKPLDYDRKWKFQYKPISGDIQLLSKKIPVTKYLNLQVGIGHNFQLNATGWKWKLSTSLGGDGISQIRNKSKISMFPGFDLRIGWKAEYVLPEIHGAVGTGEPAFSMNYGRLHASIDRVEAIVTQSDRY >Dexi4B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:4B:5090244:5092846:-1 gene:Dexi4B01G0007180 transcript:Dexi4B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSASELEVLVRTFNTKHPGVVLSNGFLRTAAIVDGLRDSTTNQELGSFFRAFGNVRAVVTDEEGYRGERVGLVVFPDAGSCSAAANQTSDHYDCIIQVNSIHVDREFLIKAVRWPNTTIDTIQLRNVRNLMGVLDTVNPEVVDDPKFLQRSVLLIGVSSETTPRDLIMRRFGRDVDAAVIVRDSETSQRVGLVVFAKAEDAVVHKKWKPDPMLYRRCIPANSVRNAQQAVLEGSEDSERRNGTAATMRSLIPPQYLQNDDSTDFHLRCLLLRGSRLVDLSQGPYNLCRVAEDNQLASGTVCAAVVSEVLNAAILVYDDPQSTDKACRSASCLRAGSLSLYDTSLFPMPAGEVTGVFSQVPERSMLPEFFTKPEYLGRVVSVRGIPPKICDVRELAYYFTGFKLEALFVHRAQRKVFAVFGSQSDVRAARSRKPKAWGKLCRWRIWFEDLDDAYFQPVPAPAVDQEVAAQPYPGVPEEAIEMAKGAHMRSISHCSSGDRKGISEGLIRLAAVSRPDILLHKYFSDRAVILRGIDAGANEFYLHLELGITFGEIDFLVVHEEQGVAMVVFKSWKAPAKLRQQPAVTLRRFGVGSCEPIPKDVVVATLVSGTYDLVHSFGETYGRVVSYVDSLSASLGTFSGG >Dexi3B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:3B:15645973:15647589:-1 gene:Dexi3B01G0020690 transcript:Dexi3B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGGFVEKAKPYIGMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFATLSIAPFALVLERKVRPKMTWSIFWQIFVLAMLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVLAVIFRMEKLDMKRVRCQAKVAGTLVTVAGAMLMTLYKGPLMQLAWTRHASPAHGGAEAPAGAAAAISGRDWFLGSVFVIIATLAWAALFVLQTHTIKQYPAHLSLTTLVCFIGTLQATVVTFVMERRFSVWTIGLDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGVLGAVLIVAGLYSVLWGKHKETQEKEADAKMALPMAATSSSKQDGVGGDAAEGNTGNNGGMRSSSSAGVRGAASAGAVV >Dexi4B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:4B:18479499:18479991:-1 gene:Dexi4B01G0016380 transcript:Dexi4B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLKITSFVIATIFLLATPEAQGWAPVDDQVVDMRVVEQVVTLPGSVGMIDGSSLCMDCLCCAKGNPGNCQKIKCCAKRNCKPTGTCTVVQDCGCRKSEPTSRCG >Dexi4A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:4A:6662224:6664975:1 gene:Dexi4A01G0008710 transcript:Dexi4A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAAPPCAAGRGLPSSSSAPPAGGRRSLSSAARPRGVAFAAPLRTRAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGNMLADILKQNNVNTQGLLFDAHARTALTFVTLRSDGEREFMFYRNPSADMLLEEKELELDLIRKAKIFHHGSISLITEPCKTAHIAAAKAARDAGVLVSYDPNLRLPLWASAQDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHSNLKLLLVTEGPDGCRYYSKEFSGRVGGLKVTPVDTTGAGDAFVAGILSQLATDFSLLQV >Dexi8B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:8B:24632341:24635940:1 gene:Dexi8B01G0014220 transcript:Dexi8B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPVNCVANGGLDVGCATWMCCRSPEKEHRYLRKKTRERDEGAQQKQENLTTPSLHTLYLTGDAVNAAAASSPLRLHLLPIGVGAGAAPTGSTGFSPRDPIRLLPSRLPSPSLPPSSTYKRTNKAGDSARSGDSARSSACRIHKAPIWPPPPTRREDLSFLSQSVNAAARILFLFPFLPFPHPLEVSVNPARRRAAPPPFFLAGESAAAASPSPSFLSGSRPRSSVLDSVAADWGGRVIGKLKIMGAFCSCLQPDYSDHHGNHTSSAFRNCMCLRCFTQHLINAYTVLFRAGSVHSVSQAIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPARDWLRREASSHSPEESEPLRANDDDEEEMETASRVDKASKTNYDTKMKICSSAYGDKVPPKELGSYFSYFSPSAEDEDVCPTCLEGIITVLVHLYPQYIVNVNIAKFNGNAFSNLNNEVTS >Dexi4A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:4A:21201106:21201550:1 gene:Dexi4A01G0017470 transcript:Dexi4A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEEPILNLEGRVEAELHGSPVRLASAARAVGCSERKRKRSSLWWEGCGCMAADEAAASHKKLGLRMDGDCLWVGPRAVDPSARQLANAICQYMKARSKVWTNT >Dexi7B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:7B:1132287:1134424:1 gene:Dexi7B01G0000470 transcript:Dexi7B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEAPPPPASSDAANRSSRRRLPEWRRCLSAVGRFAEEKVGRIAREDPRRVAHSFKVGLALTLVSVLYYVTPLFNGFGGSAMWAVLTVVIVMEFTVGATLSKGVNRALATLVASSLAIGAHKGASLIVSSENTESILLIVFIFFVASAATFSRFIPEIKARYDYGVGIFILTFSLVAVSSYRVEELMPLALQRTSTIFVGVAICLCTTVLVFPVWAGDDLHKLAASNLDKLADFLEGMETECFGENARSGNLEGKDFHDAYKSILSSKDKEDSLCTLAKWEPIHRKFGFRYPWSQYQNLGTLCRQCASTMEALASYVAILRKYQYPEANPQLYMKVQKTCSQMSLHSARTLRELSSAVRSMTTPSAMNKDLSAAMKYANGCRNELLQDSALLQVMHIAVVASHLSDMVTQINEITESVNNLARLARFKNQEKARNDVVINVRNQ >Dexi5B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:5B:19761053:19766238:1 gene:Dexi5B01G0017880 transcript:Dexi5B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRHGWRDAAVKTAGSAASTCCGCNIYLMQGGNGGAVIDHDGNVTGMAFFFSPHPAVLSISTIMTCIDMWLKFR >Dexi8A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:8A:487790:488671:1 gene:Dexi8A01G0000750 transcript:Dexi8A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIAVLVAVMIMVAAPRPSEAARYTTAASSDADALRFPGRPGGASRPRSPFLPGFPGARPSPPAASGSFPSTRPSPPAPVPPSAPAFQQPCPSPSRLGGFPVVPGFPGLLPGGGGGGSSPTECVTPLAGLMTCGTFLTGSEAETPSPQSECCTGLGGFLNTSSAAGDGDRTLRCLCPVILGDVNKMLPKPVDPVRMMYLPIACGVVLPPQVLYICFTGQQTPPLVGRIPDVWEKPSAGKRAMHNCNFTTN >Dexi6A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:6A:5998491:5999363:1 gene:Dexi6A01G0006280 transcript:Dexi6A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYFLIAVFLALASSQAIASDPSPLQDFCVADKDSPVKVNGFVCKDPMHVTADDFFKAAKLDEPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDFAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFTKVLHKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGVITIANAVFGSKPPISDDVLTKAFQVEKGTIDWLQAQFWENNHY >DexiUA01G0013830.1:cds pep primary_assembly:Fonio_CM05836:UA:29164743:29165821:-1 gene:DexiUA01G0013830 transcript:DexiUA01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLITLLLCDYRQIPTCQKFDRIISCEMIEHVGHEYMDEFFGCCEYHLAEHGLFVLQFITIPEEMYDKMRLRPEFLKEYIFPGGCLPSLSRVVSAMTKASSLCVQHLENIGDHYYPTLMHWRDNFLANRKKVSALGFDETFIRTWEYYLTYCAATFKSRTIMDYQMVFARPGDAKLPSYLAIE >Dexi9B01G0046160.1:cds pep primary_assembly:Fonio_CM05836:9B:45498736:45499153:-1 gene:Dexi9B01G0046160 transcript:Dexi9B01G0046160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDPKDAAPAEEERPAAPAARVKVLYFARARDLTGVAESSVEVPAGGTAGECLARVLDQFPKLKEIRGSMVLALNEEYAPDSAKVADGDELAVIPPISGG >Dexi8B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:8B:26767299:26768534:1 gene:Dexi8B01G0015920 transcript:Dexi8B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRMKIHRYPASLRGFNEYSSVPRMVAMGPYHCARVLQDQLIKHVEKVKYVAAYHCVMESGHSLKEVYDAVVSAAHIARHRYDNNLMAGIDDGDFLPMMFYDACFLVQYMLWCTPAATEMEASLRSFFDFNRKVLRHDLMLLENQLPWLVVEAVMRFRHVELVDFIADWRDYLQDRKVLEEKPVVLDESYEPPHLLGLLRFYIVGRSKTKVQTRANLNSISVSVSAIELAEIGITLTAKETTELINMGISKKGILSAKLSLAPLSLDDERASFLINMAALELCTTSNFQEAGDEDSAVCSYLLLLSMLVHREEDVQELRTKHLLQGGAGLINKDALDFFTSLQSLPLRGLCYVRVMVEIENYKVKRWIRIMVHAFLYKNKKTILTALSVISVLVSILGTLMSLKSKSKI >Dexi1B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:1B:26526917:26530390:1 gene:Dexi1B01G0020440 transcript:Dexi1B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYADLNRFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDSATGMCDAK >Dexi3B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:3B:9265875:9269603:-1 gene:Dexi3B01G0013030 transcript:Dexi3B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRPATAPSPFPSAPSSSSASSLRTPRPNLRFPRPRNRRKIGVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPSAAHIRKSILHGAADHVLRKVFCIGQNRKIKWKKMKARLIELYYENLFEVSVSCYEGMLLLHYYYLLNYVLRIYWSNVCWFFSPLQLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSKLSVINLRRLFANKGISFMDLQKQISEKSPPNRRLTVDTIF >Dexi8B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:8B:16769720:16770752:1 gene:Dexi8B01G0009430 transcript:Dexi8B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGSKERRARGGDGGLQTYPGPLVESTHSLWSLLQVYPGLFAAWAHDMDMDVPFPSPRMAQSSRSGMVAWATSIRRSVARNV >Dexi1B01G0022110.1:cds pep primary_assembly:Fonio_CM05836:1B:27851642:27851857:1 gene:Dexi1B01G0022110 transcript:Dexi1B01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAFPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGTAWTVVNLFHFAVTSSPDPSP >Dexi8A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:8A:1664637:1665092:1 gene:Dexi8A01G0002540 transcript:Dexi8A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAGDASPPVSNGERPSPVSPVPSEGGDITTAAILDRTIEVARLILDAVGRRGLDPDLLRLSDGRLGAVVPASSEAMTHLRETTVAETREEECAVCWESYEEGDKMSAMPCSHAFHDGCIRRWLAISSLCPLCRFSLQAQAGPED >Dexi1A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:1A:24169378:24171387:1 gene:Dexi1A01G0016890 transcript:Dexi1A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYFDPEYENFNQRINPPRVCIDNTTCIDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKITDDKTIKYIEKALGPESNLLGAKGNISPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDVDPCRVSRVETRLRHVLRGYGDDDGGRGALANFAVGSATHHVDRRLHQLMHADVDVECDGDDTSAVAVANAGEGDRPVVTVEHCEEKSYSVVNVKCRDRSKLLFDIVCTLTDMHYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAERVIRCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQATNVFYVRDPSGQPVDMKTIEGLRGRVGQTVMLNAKSVPATVARAPEPGSGGMARTSFFSFGNLFAKLRA >Dexi1A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:1A:19351311:19359298:1 gene:Dexi1A01G0013780 transcript:Dexi1A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQPSSSPDGSTSSPRPHTHSYTIGYAMLPNKHDTFVQPSFLDMAAVHGIRFVAVDASRPLVDQGPFDLIVHKLYDHPWRAQLEAFSALHPDVPVIDPPAAIDRVLNRFTMLDVVPDLAVAKDGAVATPKQVIVRDATALVALADDGAPGGLRFPLIAKPVEVDGSAASHDLCLVYRREGLLRDGLRAPVVLQEFVNHGGVLFKVYVVGDHATCVTRSSLPDVSGERLRDLAADAAAPFANISLLAPPAAGDVEMPPKEFVDRVARELRRALGLHLINFDLIRARGPDGNAKYLILDINYCPGYSKMPGFEPVLLEFFLKMLRGRPVREKTGSGAGSGSGLDAEARKAEVGPRFITSGAEPRQHQPGEPSSGTPMPLSDLATWPSVPARNNTIATTPTTHRPLLGSISLAPLYPMGSSPCAHAQEHSQQRMQSSADAPTHLSASILHLPLSSSSPTAPPLAEAPRAPAQPLLRARCARRPWCLLSSSSHLSKQVEIRRAVEIRRAGNLRFPAVEGSELHHLDEDGDATYLDGGGAALSEAYQELGRWRFDSSFFFSSPPLFLVVALAGAVVVSAAAVVVELGRPQLEGHDNLRGHRRGSGSRGTATYVARCPATPAPRTCLAAVTSPVCPQPRASGRHYCPRRSRAPCHRRPYAPHDACVRCTAPMVDATASRHHQSPACRSSGSRTPTATIKKGRTEYEAARTPTATIKKGRTEYEADQIRTSHRTSHRATNPRPSQEAAGLTQSLRHEEPQIAPPTNQRLPTTAPHTSTSKPVTAPARSRAPSPSANQPSFPARRTRVCAQNQGISLFRIAPVPPRFPTAHQPDLGRQPPKHHQTQQSTTHSMAVSVAKVAVATAASLATHWLARSFLHPHHLHPALGLLLPATVFLLGIATTGLHRRSTGATNNAPPGPPAVPVFGNWLQVGNDLNHRFLARLSARYGPVFRLRLGVRNLVVVSDPRLASEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRAMWEAEMDAVVADIAAGDVSAVAQTSGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFVEATKFNSERSRLAQSFDYNYGDFIPILRPFLRGYLDKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDNKDKLRCAIDHILQAEKNGEITPENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRDEIRDVIGDDEPITESNIHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWEKPEEFRPERFMGEEKAVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHCVIAFHPISA >Dexi9A01G0040070.1:cds pep primary_assembly:Fonio_CM05836:9A:43930369:43932230:1 gene:Dexi9A01G0040070 transcript:Dexi9A01G0040070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTNGTSIKNRLVLERDQERISDDDNDRDVARIGDRRSPDSERRLSKSLRSPSNEGRNSTHDRSHHLFGSFLKSSGKQLPSQDSTDTSGDELEGSRARENARKANSARRKTKEADRQLNKVRAESTTLQHSVHSQQLYDIYIFLLLSSGKDVQKKHRDQQSESSEDDLASRRMKRRTDSPDGRSPPRIEKDDSLSKEVLNSEHAMRGLREDSDDGTDTKKHLLKKVNLESHSEDGSPVRKPKKRTGISHIESGSSGSDEPDKHGSHSEKKRHKKAHRHKKQYDDSSESDSESDGKEAKRRRKEEKRLRKEEKRRRREERHRKRAERHACKQKSKHTDTVGPPSDSEKDRDSDSDFDRRKRGSPAGKEESDQKKLEIELREKALESLRAKKAIN >Dexi6A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:6A:6073501:6075016:-1 gene:Dexi6A01G0006340 transcript:Dexi6A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSCTTTMLKPVYSTPHPLAGSKVPLTIFDRATFDTFVPTVLVYPAPSPPSNKALKEGLLKAVAAHPHLAGRLAVDDHGRRFIHLNDEGVLVMEATISTDMAVALAGAVSAATDLYPPCQQQKIGTAVLQVKLNRYKCGGLIIGIISHHQVADGHSMSTFLSKWAMIVRAHNNGDFAVPPPFLDRAATAVPRSPPVPVFDHMPVEFKPSAHAAADTCVVVATDKIKNLKVTFTGEFVKELKARVIDGGAHKPGSTFQCLLAHVWKKITAARGVDPEEFTKVRVAVNCRGRADPPVPASFFGNMVLWAFPRLKVMDLLSFSYGDVVTVIRDTVARINGKYIQSFVDFGAVMDGEGEHNDVVATAATVAAGTVLCPDIEVDSWLGFKFHETDFGTCPPCAFVAPGTVVDGLMFFVPSAGEKGDIDLFINLVEDHVDEFHRICYSLN >Dexi6A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:6A:25900358:25902455:-1 gene:Dexi6A01G0018080 transcript:Dexi6A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVDAAAFGSVDGVVGEIMRLHRSLPARPALEEVEAAEALAHAADREERARLDAVARLRRPPAVPDELFGVALEMHRALAAFHCREQKRDATRLLELDALHALFDDLIQRASQCVPSSSTSTRAAPRITSAAASTSAASSSSASSSAVAADRNADRYSSTGTNGFSAARTVTGTGRVSMDDSYVKKAKAAVWDDGVVTTSSHMPRGAVAANSAVARVDGSYGDNDEKLTHIKLASMIEVAAKKGSRELNLQGKLMNQIEWLPDSIGKLTGLVTLDISENRILALPDVIGRLSSLAKLDLHSNRIAQVPESIGDLSNLIYLDLRGNQLASLPSSLGRLVKLEELDVSANHLTSLPDSVGSLTRLKKLIVETNNLDELPYTIGQCVSLVELRSGYNHLKALPEAVGKLESLEILSVRYNSIRGLPTTMASLTKLKEVDASFNELESIPENFCFVTSLVKLNVGNNFADLQFLPRSIGNLEMLEELDISNNQIRVLPDSFGNLQRLRILRAEENPLQVPPRDVALKGAQAAVQYMTEHVAKRATARSQPTKTKKTWAQFCFFSRPNKRKHDRIDTAL >Dexi2B01G0035160.1:cds pep primary_assembly:Fonio_CM05836:2B:42252569:42269527:1 gene:Dexi2B01G0035160 transcript:Dexi2B01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAYGGLPVVVQHRMLACMPPLSATSLGAYSPASALPLDPRKDQVLSFPNRVHLLGASHNLHVSSQDQHYQASHALWGLHVFEHHRIIRWVDRRISRRYTEHRTTIWVKTKGQRVFLAVAGDNNKDCSNAVEHAAAVERHCAGTLHHDVCASTLATIPNIAQKPLRDVISEVVARAAAAVPASSSNCSSYLLPSHGHGLRLRDRLALSDCLDLFGRTLAHLDTAADELSSAKNRTAEESIAGVQTVLAAALTNQYTCLDGFDRTDASGHTSRAASTTWPTSCPTPSPWCAALEGYGRVLGRGGFPSRLQQEEADMVVAKDGSWCALSCIPTRSIRTRGVPMAAAHRPLLVKRDVEGRYLVHDMCIENEQTLKVGPLPHPIVEPLHHRPLAVAGDILGNIPPPSTAGDTNNDPPPIMLAVGDDTVIKMDTVIYYRESSSCYSSGFEMITYNDDYGWWRAIPLPRPPFRTLRHPSQSVTIRAYFAIGTRVWISVSGEGTFSLDAKRAFWQAEFPEELCRLDGRAFFAPELGSVVGLTAGDDRFLCSYKVDEADMKKWTWETRSGVPMTWQHTWREAVPWECFDLGYAPWKDKASLAYLGDGTFCVYRPVKMLDRDLNFNSYMVLQLRRRLPDGNELEIARRGAIHIKGIWPEGHHQDTYFIQTEGWLVGPAVKPSGTSQPAEQPLNWKARRGSRRSERRRRRRTAGRGLGAGAAMMRRPVHLAARRGLGGSGPPPRAAAAGARPLQEARRKPAVAIPNLRSPPPIFLLGVVYAGIDPHRRLSGVPPDLVPLHDYCLGMPVVSRFTPAGAVPLPEYTLLAEPAQAIARGTLLVMNDLFDAGLWLTRVGPETFLVNVRNRSVFLSPDGWAATSHLSDDPSTGCCEVNACVSWLAVLLEDALFTANKQSPLLVPEFGSELLLMMKEDANRMWYAILNHLVELVLDRSPAEYTAILNELVFPVRSYTLLSVLSIPSKFIPVDHILFTLYRGSVYDSTADTKKEQAEHFGRFLFSRTRSGGEGHLVLVHVEAAEMLVLPSPVGRRHLQQKEVNQSRDAERRGGGRTERRAKRRGGPNRRGKKRAKITKSKHNRRIEAQSQITEREGERERERESALAELDSARCSTARFVPAAERDPSSSRRPWTWRADQQRHRLVGSSKHSSSTCSSATACALEGSTAPLLQGRLWRERRGHAARLRRLETRSRGPCSRADAYWACKLLDGREELRGLLPSLRPSSHKIQLRVEQAAAERGNGGRRGGRRGGEAAAAGSRERAAGKRSEGAAAAGSRERAAGKRSEGVRPTRTAIAPDSGPTAPGDPGISLCASDATCRSAEGRAGSFKGMYNGEPTANPASWPALTQERESVAVALLVDGRSACSKTSRRLIVPLYASLPWDFPFAWQILPLFSSISPEIPHPRAIEIHQAVATVAATMQQRGRPPSCSVGGSHEAAAAATTELLLRKRRTPRLLNNAPAPAHGPTCSAHDFPNPVLNSPASPSDPRTCHAGNAPKFQH >Dexi3B01G0020850.1:cds pep primary_assembly:Fonio_CM05836:3B:15783017:15784310:1 gene:Dexi3B01G0020850 transcript:Dexi3B01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIATRTMSLAVFAAVLVAVVVVSLAPVAANEEGQALTAMRQGLEDPDGVLSSWDPNLVNPCTWFHITCNPDNRVTRIDLANMRLSGPLAPELGTLVDLEYMEMSGNSFQGSIPSEFGNLGSLISIDLYNNDLTGHLPTTLGNLKRIDHNRLTGPIPKELSELPHLDTVDFSSNDFCGTIPTSGPFENIPLTSFSNNPRLRSGPGAYDANC >Dexi3B01G0031200.1:cds pep primary_assembly:Fonio_CM05836:3B:31824676:31825917:-1 gene:Dexi3B01G0031200 transcript:Dexi3B01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLALFPTIYLSAGTATALILVGGETMKLFYQIVCGPLCSPSPITTMPPGGMLAALYAFHSHDKPRGVLAMTCLLVVLNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSPWVRSGFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRVKKPERLSFSWYLNWGLGLLGTAFSLAFSLGGVWSIVNNGMKFKFFKPAN >Dexi9B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:9B:14834868:14836666:1 gene:Dexi9B01G0020100 transcript:Dexi9B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGAWSPEEDQRLAAYIQQHGHPNWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFSADEEALIVRLHAELGNRWSAIAAQLPGRTDNEIKNVWHTHIKKRLEAADPESNARAKQQKQRKGKPAAAKKATAAVDSSSEQQTFTTASPGLSSSVSSGVTTATESTAAVSSGDDASLHQLGTGATKAEMEMESFSSAEFPPIDESFWSSPDVMDMGLGAMGEELVGLAGPPSSSTRDEDMEFWLKMLLEAGDMRDLSVL >Dexi5B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:5B:22853520:22855028:1 gene:Dexi5B01G0020670 transcript:Dexi5B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRPRDPSPPHSDARAPPSTSGVRLGLLAPVVVLVLVLAGLGFRLSRSPPHPKTLQTTAHSVYERSLVKSEVSAREILNEHARVSENRWQRHFPNPVLAYVTPWWELVCRNSKGYDMAKLFSAKLTHVSPVWYDLKSDRNRLVLEGQHNFDATWVSELQSNGTLVVPRVVLEAFPVVLLEKEQKAKAIDLIVSECR >Dexi7B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:7B:17226943:17236094:1 gene:Dexi7B01G0009720 transcript:Dexi7B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAASCTATAADDGSATSLPGLEHPLLHAYGEARSKEPAEPDHEAQSFGPEPSAGASFARTCFNGLNALSGKSPPSCCNLAFVPIGELAFGRGGRLVASAFLYAELYLVAIGFLVLEGDNLDKLFPGTSLGVLGVVVSGKQLFVVLVALVILPTTWLRSLAVLAYVSASGVLASAVVVVCVLWAAVADGVGFTAPGTRMINVGGLPTALGLYTFCYCGHAIFPTLCNSMKEKEKFSRVLVICFAACTLNYGSMAILGYLMYGDDVQSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPLATAIEERLLAGNKRSVNLLIRTLLVVSTVVVALAVPFFGHLMALVGSLLSVMASMILPCVFYFKIFGVARRGRAEVALIAAIIVLGSLVAATGTYSSLKKIIHEF >Dexi9A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:9A:1159629:1165194:1 gene:Dexi9A01G0002190 transcript:Dexi9A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSDLQSPKKRKREQAEGKTKAKPRTQVKGGVDGAKRKKHTGAGGYAAHGGAVEAAAKKRPATPREQRLAAKEMSEARKMKRKRHYSLEKELAKLWEKMRCHDVSKEERSKVVSEAIRKMDGKYLDIAGSHVTARVLQTCVKWCSQSERDAIFDELQPHLLTLSRKKYAVFLVKKLIELATKKQFGSFISSLHGHVAKLLPHTIGAAVVDYAFQRATQPQKRQLLLELYSTELQLFKDLTVQSSFSLLETISKLGLQKSSVLQYMTIVIQKILEKGTVEYSIVHTAILEYFTIADKASASDVIRQLIPLLTQGASIIDGDEPSVSPELPKKTKAKKKRSSEPLIVRIMQKREGLKLGISCLKHGSAKDRKKIIKSLKGHIMKLALNDFGCLFLISIISIVDDTKLVSKIVIQELAKHLKELIFDKNGRRPLLQLLHPLCSRYLSPTDLACLSYNVPSLSSREASESTTEVMSENKVDAVTDKDPNGLEGTQNVSESKKDPSQRRHELLIKSELAEALVQSCIENVGELLRSNFGKELLYEVIFYVMSHADNEICSIDWFSYQLSHATSFSIMSLISNVFQHGINTSSMVCHCCINFMTDLDCMVPFTNLKVAVGGKDNVLDGVTDRIHMLHDAIASDAAQPKTEDIEHAFENFFSSRVIRRMIIDCPAFAITLWRKALQGKYKLWAEGHSSKVVAAFMESPNSEVRDLAKPKLQPLIDSGILKVPDHKDVEK >Dexi9B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:9B:11386139:11392573:-1 gene:Dexi9B01G0016530 transcript:Dexi9B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRQTFEKNLWRSTGQHPCALPVPPPTRTHADGLEQEMNVFWRCDLCKYCAAEREKEREIGIRSRLPIVGAPPLAVDQCVLRSVHTDQRPNEIRSGIASQQQGKSPAPRRTRAPCKHFFRATTSNHNNRPEPWQRQAQRALLQAQRDGATGARTSTQLSDAGATLCWACERRDEISAGDTSWPDLEMCRCRRRTADRSIDAATRSPSLVVARCYLCGALILRQLNKEARQQETVDCVFDNGVPRGHAEKAASIHTVLKLIHSDGTINHSLAVDNKITSTSVPSPDPPPHRAERTSYARAHHGSDGHRPDSIPPRPVTPESKHAAPRVAQGSRALRRRNENSTRAGAAALSPIPCPAPPPPRRGPEARSRRGGIDAWTRRKRVVGYACEVTHTPNGARAREGIRAPVRRCPPSLQLAPLHMTVAASENVARDGGWNGTGRRAAITSGVGTWGGSGQTPAVGPGCPQREEEGGREGSQRPRARASWGDGDGKGERLLSLSPPPPLGSGQVLLPRHPIGRRPAAPYRGVKKGREIKARTKQVNPTATHSRGDFVGKRGDSTGHAHFIAEEVDHGAREMFPWPHAAANNYRAARGPERHAVVCAAWEDKTSTEVAMCRTVPAVPSQVATAPPYLCANPSTSGSKSSCTPAAINGGTCAHRSRPTSGSKTLLLPGRGGEGIGSKNERRPIRPESKCPSHRAGGNHSPVDHCNSAFIDEQGGRYAMPGRRAP >Dexi3B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:3B:7253031:7258409:-1 gene:Dexi3B01G0010400 transcript:Dexi3B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRTPRRRDAASPQTAGAFNPGDLVEVLTDEPGLRWAHFEAVVVGPSTKPRGYTVEYESLLESEGSDRKLREAIPARSLRPRPPPLRAPASGEAPAVHAAVDALHDDAWWLGVALGGADGAGKVKVCFPETREVIEFDAADVRPHLEWVDGEWCCPDSMEIPKTMAYTKGIQIEVSKLEGDSVVAWVPAVVAKTIWKNNLLVEYTVPKSDGTLSEEVVDVKHVRPCPPQASAIKFHIDDEVEAFQGGRWWLGVITDVHPELRYTFKPAHLGVEVQLSQKLLRLRSDWVDGQWTQKSQVCINLPRIYIQISVKLELNAESAETSILHNTAAFCRPPARLRLVHAASGNERLPCAQVRRVRDPAPPPAAGGARSSVAPAQRRRIECSARRPSRLRACALSSRARAGKGVCPAPAALLRLPDAGEEGPLHQNSSKPKFKQGVKVECSSDDTGFLGAWFEATILKSAGSKFLVEYAILKADDGINPLTESVERRNIRPCPPHIPVVDGFKLLDEVDAFCNDAWWVGVISKVISSHKYTVYFRPWKEEKEFEHGQLRFHCDWMGGRWMWASP >Dexi4A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:4A:10760875:10762430:-1 gene:Dexi4A01G0012200 transcript:Dexi4A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVHLTLVLLCLLVTQTHGSRRVLLSSTDASSASSSPNACPSVSNNGNKLPVVHRLSPCSPINGGGGARKHGTAALREILHRDGLRLRYLSNLQTATATPAPAPSPSSTPTSGVFVPAAQNVVSSLPGVFTYTVIARYGTPAQPLPLFFDASGMSNLRCKPCSFSGASAPCDQSFDPSMSSSLRAVPCGSPDCTETACTSGSSCTFTYTNSTYVFGNGTVVTDTLTLSPSSTFDNFAVGCMQLDNLFSDGVAVGNIDLSRSRHSLTTRVLLSSSPGTAAFSYCLPADTDTHGFLDIAPTMSDYSGLAGVKYVPLVTNPTGPNFYYVDLVAITVNGKDLPFSPSTYRGQGTMIDTQAAFTYLNPPIYAALRDEFRSAMAKYQSAPAFSDLDTCYNFTGVDYITLPDISLRFGNGETMDLDDRQFMYFFRDHLDDGFPFGCLAFAAATPDPNFGWNLLGTQMQRTKEIVYDVRGGMVAFVPSRCGLR >Dexi2A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:2A:30962070:30966131:-1 gene:Dexi2A01G0018800 transcript:Dexi2A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVEEHSQRRQREGDAFVAKDMIDRLLQLADDPNLEVKLTRDSVKAFTQVDVSLFLSAAVIVEWAISELLKNPEVFAKATEELDGVIGRGRWVTEKDMSHLPYMDAIVKETMRMHMVVPLLSPRLSREDTSVAGYDIPAGTRVLVNAWTISRDPDLWDAPEEFGPERFVGSKMDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLTLANLLHGFAWRLPDGMTKEELSMEEVFGLSTPRKFPLQAVVEPKLPAHLYLPPWAAFLAIALGLALFLGAFHFHGRHCRHAHKLPPGPKPWPIIGNMNLLGDLPHRSIHELSKRYGPLMQLRFGSLPVLIVSSPEMARHVLKTHDAAFSDRPRFAIGRYTAYDCSDVLWSPYGPYLRQARKICTAELFSAKRLESFEHVRDEEVRVLLRGLHRSSSRGRTVRLRDYLQMLTLGVISRIVLGRKYVGEEAAAARDEMGVSSTPAITPGEFREMVDEFFVLHGAFNIGDFIPWLDWLDLQGYVRRMKMMSAVFDRFLESVLDVHNERRRLEGERFVPKDMVDVLLQLADDPNLEDLIIGATDTAANTLEWAISELLENPKILAKATEELNKVIGLDRLVTERDLPHLPYIEAVLKETMRVHPAAPMLAPHQAREHTCVDGYDILAGTTVFVNVWGMGHDPALWDEPEEFRPERFLENKIDMRGQDFELLPFGSGRRMCPGYSLALKVMMLGLANMIHAFVWRLPEGMTVEDLSMEETYLLAMPRKFPLEATVEPRLPAGLYMGA >Dexi1A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:1A:7809457:7813448:1 gene:Dexi1A01G0009510 transcript:Dexi1A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFLLGHESRLRILQRAAARLPGCSYLCAWAALPASSHPPPPPSSSSPATSSVRLLCCVDAWLCDGAGAGGDAAGCRRVQALFDAYRGSLCAAVSGCVPGWAYKDGVAFMELPAHDLTASASLPVQQQFYQEAGIKMAAFMGCESGEVEVGMSSTAASGSSPMSLESSLHQVFPEDFFQESLLEELLQLPPTQPSSPSSTSMPSASVDSPAAEGSTSLQLRTMTLTPPATTPSSGELHVPPPPPRPPLAGPFFSGHGGVHHQFPSADADDAAMAEAMLAVISASSSPSTATATPGNHHHHDGGARRWWPRRRGTTATAFRAYNAAALAPRTPWRRPGAPGGQRMIKMGISILRRMHMLRFSHGGGGGATAMAQRGHQQEEEEEDTPPAPTSSQLNHMISERRRRERLNESFEALRGLLPPGSKKDKATVLAKTLDYMNILVAQIADLEARNRTLESRAHHHSNGGGGGRPYSSSEQQDVVVLQGLSATSERVQVHVTTAVAGDATTSAAAAAARPAREAVTVRVETRRAHGDVGELVARTLAAIKKTGRFMVVAVDATRPGDGIAHATFTLRATAGEFDEASLREAVMKAAEDSATPPSDDS >Dexi4B01G0022760.1:cds pep primary_assembly:Fonio_CM05836:4B:24308881:24309159:-1 gene:Dexi4B01G0022760 transcript:Dexi4B01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGGGEAGAPTPPAAAAATGAGGVVKGRSCKGCLFYSSALRSRARGPVCVGVTRALPQAFHSIQC >Dexi5B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:5B:9842023:9842427:1 gene:Dexi5B01G0013650 transcript:Dexi5B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGTPFLRGGAFAFAIDGAIATVSAHDTAVEDLARRRDRGAGKRGLARREEADPARGWSSAVAERGLALRRRGGGSASCSSRPSGGLVVEEGTRRREEVAAASAALGVGGAACSEEPLVDPGVAFFCLAVL >Dexi9B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:9B:12278010:12279026:1 gene:Dexi9B01G0017440 transcript:Dexi9B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPHPRLLLLPLLLLAAASSSVSADDLVAELQSLRARSPSGVIHLTDTSVTRFLSAPASRRPYSVLVFFDAASLHSKPDLHLPQLRTEFALLSASFLDHNPGSGDLFFADIEFAESQHSFHQFGVNSLPHVRLVRPEHASLGGSEQMDQSHFSRLADSMAEFIESRTGLEVGPIVRPPLLSRNQIILIGILFLISIPFMIKRIVDGETLLHDRRVWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAGVTHLLVRVESLQTQRLAMLAVMAIGWWAVRKVIYLDNWKTGYSIHTFWPSSWR >Dexi9A01G0031940.1:cds pep primary_assembly:Fonio_CM05836:9A:36786309:36787598:1 gene:Dexi9A01G0031940 transcript:Dexi9A01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEHEHAAPRLSAVSQKTSTRPVRILHVFHLVFVLSFSRLHWLADLQLQVRYAGAGAQQWVVNREDARVRVAALRRKWKGKGALAASPRSQCGDNAAERREWTRGDREDKPWHGEAYAACKKGNARSLFRARGKGNSRQICCCSFLSTIILYAAAPRGTVACMDSCVRPREVLDACHVSRKILAVSLRPDRDRPFPKRAGRQMLTGRYASYVRGGRPAVHAMPPLYVLPVTWRRSIAGRRQLHTEQYMYAGAGIKGQYPTHSTTTGRGH >Dexi9B01G0041870.1:cds pep primary_assembly:Fonio_CM05836:9B:42249423:42251412:1 gene:Dexi9B01G0041870 transcript:Dexi9B01G0041870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFTWPCSHLDPAPDPDHRPLAGRRGLTVQPPASARPESSLPLPDRCEGSVHDGGAGGASQQVFTLLLCLQGWPGLPRGVEFNPSDSDLLWHLAAEVGNGLVKRHPFINEFIKSVDDDRGFSYTHPQDLPGVRQDGRASYFFHRRFGSYSNEGDTNISWKKIGTSRSIMLDGTLQGCKEVFVLYGDIMSDKSSQETDWRLHQYHIRNTVKDEEELVVSKVFFESRNNPCELAEEACVEVEWVTFLIMSIITI >Dexi9A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:9A:868958:871027:-1 gene:Dexi9A01G0001670 transcript:Dexi9A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAPPLLFLLLAGSLLLATPALAADGTIVFTTLGRSRYAFDIFALPIVPLSSSSSSRAAEVRLSDGASVNYNGNFAPSSDSLLFVSERNGSLNLYISPVAPSASDPGSRREALEVSGSPPPSPLLPLDPIALKDRPSLTPDGEHLVYVSTAEPTDAPRRSWAAVYSTHLPSGSTRRLTPRGVADLSPAVSPSGEWTAAVSPGPDGWGGEVEDLHTDIYVFRTSDGSRRTRLILEGGWPTWADESTLFFHRRDSDGWYGVYRAKVSFTDDGGVSAASVERITPPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIEVIDVSTGGANACFEVTRPVTPRVHHFNPFISPDGARVGYHRCRGSGNGDSPLLLENIKSPSPDTFSLFRIDGSYPSFSHDGKKIAFVGLPGLFVVNSDGSGGRRQIFSGNAFPTAWDWKRKGVIYTSIGPDFASERTEVDIVAVTLGEDGEDSNISIKKLTLDGHNNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGIQRLTEGPWSDTMCNWSPDGEWIAFASDRHNPGGGSFAIYMIHPNGTGLRRVVHSADGGRTNHPWFSPDSKSLVFTSDYAAVSAEPISNPHHYQPYGEIFTVNIDGSGIRRLTHNSFEDGTPSWTPYYRKPEDVGETLQASGTCAFEDCHWLNIVDAKADGIMCGRHR >Dexi9A01G0033040.1:cds pep primary_assembly:Fonio_CM05836:9A:37866419:37869063:1 gene:Dexi9A01G0033040 transcript:Dexi9A01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIRQAEVWVGQAESWIRQQPPEQIYVAAAVIALTILVFIAEWKSETCAYCDVPGHARLKPKLDEVLPKAAGLVFVVDAQDFLSTMQDAAEYLYDTLTKASVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVKLGVPGEAFKFSQCQNKVTVAEGACLTGNVSAVEQFIREYVKA >Dexi5A01G0032290.1:cds pep primary_assembly:Fonio_CM05836:5A:34845214:34847682:-1 gene:Dexi5A01G0032290 transcript:Dexi5A01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGYVTVPILSVLAAIGYVYYTTVFLAIPAWLGLTTAAGVANAAAFTALAAACIATYAVAVLRDPGSVPASFVPDVEDAGSPIHEIKRKYHEGVRAMWLAEKAGNIYHHPYNLGVYENLISIEDARKSYH >Dexi7B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:7B:12977147:12980172:1 gene:Dexi7B01G0005530 transcript:Dexi7B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQNPIFAGDFLAGPMGKLGERLRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKEALGYNQRQVAALGVAKDLGDCVGFFAGSLSAMLPSWAMLLIGAAQNFLGYGWLWLIVTRQAPPLPLWMMCVLIFVGTNGETYFNTTALVTCIQNFPKSRGPIVGIMKGFAGLSSAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFIIRPVGGHRQVRPSDKNSFLFIYTICLLLASYLVGVMIVQDFMQLSDDLVNFITVILLILLISPIAIPVILTLSPKAQHPTEEALLSEPSKGETSTSQDKEDQPEVILSEVEEQKPKDIDSLPPSERRKRIAELQTKLVQAAARGGVRIRRQPHRGENFTLMQAFVKADFWLIWFSLLLGSGSGLTVIDNLGQMSQSVGFKDPHIFVSLTSIWNFLGRVGGGYFSEIIVRYQDRVTHPMFTVCNGKSG >Dexi1A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:1A:1096401:1098167:-1 gene:Dexi1A01G0001640 transcript:Dexi1A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSSSSFAMPSLLPPIIPAGAGGSPGRRIVVAHRLPLLATPDKNSPFGFAFSLDADAIPLQLSRGFTSPVTYIGTLPSPAQSELVPSDELDAYLMDTFNCLPVHLAGDRHAMFYHGFCKHYLWPLLHYMQPPAFMASSSTTAAAAAFIAANRQFADRIIEVISPDDGDLVVVHDYHLMLLPTFLRRKCPHAGVGIFLHSPFPPDEIFTSAAGVGDELLRGLLNADLVGFHTVDYARNFISCCARLVGIRSAAAVHGGGGHLGFNYHGRNVIVKVFAVGIDLSHLRATLASPEAAAKAREIADEYRGRVLIVGVDDVDVFKGVKLKLLAMEKFFEKNRTFRGKVVLVQINNPARSHGADIDAIRDEMDKIAHRITRRFAGDEEGEGAAAAAAPEILVRIIDGPVPMHEKVAYYAAADCCVITSVRDGLNRIPYYYTACREEFAGVVPSSGDVVPGGAGRRRSNKTSAVVLSEFAGSSACLGDGVIRVNPWSTDAIADAMHGAITMAGEDKLARHRSNYRYLREHDAATWAPAFDGTLRFACRDHAVMTFVGLGFGMSFRAIAVRPEFQPLVHRREEDEQGGVLPGRA >Dexi9B01G0021500.1:cds pep primary_assembly:Fonio_CM05836:9B:16201281:16202978:-1 gene:Dexi9B01G0021500 transcript:Dexi9B01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKVPYILAITIVVIYTGMFVISKAAFDHGMNTFVFIFYRQAAASLLLVPIALLLERNTLSPNLTNASVKLTSATVASATNNSAPVITFCLALLLRMEVVKLRSSSGVAKLAGVALCLAGALVIAFYAGPSLSPVNHHRAFNTVSSAQASRAPSRGTWITGTFLMVLAMVTWSLWIIMQTALLKEYPDKMLVTTVQCVFSVAQSFVAAVVAERDFAKWKLQRDVSLLAVIYTGFVVTGVGYYLQAWCVEIKGPVFLAVWTPLSFVLTIFCSSFFLGEIVHLGSLLWGKSMETKVSSMVNAVNGGNDAQEHQVHTGEDKEETEQVTSTLAIERV >Dexi5A01G0001940.2:cds pep primary_assembly:Fonio_CM05836:5A:1368487:1369034:-1 gene:Dexi5A01G0001940 transcript:Dexi5A01G0001940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQYTAIFSFGDSYTDTGNKAIISGPTAPNLWITKPPYGMTFFGHPTGRLSDGRLTIDFIAEALRLPLLPPSLTKNQSFKQGANFAVAGATALKQDHRALHMQAGGGARLPPPSNISLSDELG >Dexi5A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:5A:1367625:1368477:-1 gene:Dexi5A01G0001940 transcript:Dexi5A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLCSSPQACKEYFGKALFVVGWNDYGVMLVGGKSVPEVQSYVPQIVETISAATEKLINDGATSILVSGISPMGCAPGNLVFLGTKNATDYESHTGCLKALNELSKEHNAQLPSPARTPAASPGRYGFDGAGGALRACCGGGGGRYNFNLSAPCGMPGVSACKDPAAYVNWDGVHLTEAANRRVADGWLRGPYAQPPILLGAA >Dexi8A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:8A:1924991:1927442:1 gene:Dexi8A01G0002890 transcript:Dexi8A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAQETAKGYQSSPSPSTSPTPSPPPAEAPRGGDATATPLAWSLGGDKPSEAAGDNGMQTAGQSEQANLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSICILSANGSISNVTLRQPDSSGSTFTYEGRFEILQLMGSFTMAEEGRRRTGGLSLSLAGPDGRVVGGVVAGMLRAASPIQVVVGSFLPNSLKQHQRRKTLQQQPSATPALPAPTAPSPIFTAAMPISQAAPGNGFHAPPPPSAAPPHPHATAEHGAMNLNTTGFTMVGWPGSSQPMVHRASPDINVSLTPQE >Dexi9B01G0031430.1:cds pep primary_assembly:Fonio_CM05836:9B:33810644:33811739:-1 gene:Dexi9B01G0031430 transcript:Dexi9B01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVMRVDLECAKCYKKIRKVLCKVQVSIRTITYDEKNNTVMVSGPFDGEEVAGRLTHDAGKVITDIHFTGAGGVGGGVVGGGGGKQKHGAGAAAAKAPKPGKGNGHGHGHGGHGGGGHAGGHGGGGHGHGGGGGKPEKKHVKFDDFDDDLDDDDDDDLDFDLDMGKKKPSAGGNAHHGHGHGHGHGHGHGGNGKPKIITTTNNTPIAARLEAPRTGPAMSMAAAAPVRMPQQMPGGMMMMPPQQQPQAPTAMPSIWPTPAPEWGYSTQPYGSYSGPPAGGYYGGGAPAYGHAGYGGAPYGYGGRGPYAGGQQYYEEEPSAGCSVM >Dexi8A01G0003750.1:cds pep primary_assembly:Fonio_CM05836:8A:2855517:2856181:1 gene:Dexi8A01G0003750 transcript:Dexi8A01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGGQKRPSLRPLQLTGRRRFQQAMRLRRRYAEFLRRASSLPSLPLVASLHAAVLRRGVPALLAASLIHGYSACGDMASARAVFDEMSPWERTLSTRTALASAFSAHGKFEEALGLFAGVEAETMDDKAVTVLLAACARAGMVDEGREVFARVPRPALQHYTCMVEMLGRAGEVEEAERLVAGMEARPDRVIFAALLAACRVHGRVDVAERV >Dexi1B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:1B:23541162:23542864:1 gene:Dexi1B01G0017170 transcript:Dexi1B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAFIDQHGHGCWRSLPAKAGESWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADAPPGSSGSGARYRATAAHLSHTAQWETARLEAEARLAREAKLRALASPPPAPAPAATGLESPTSTLSFSESAALFVGGANAHDDMRGVLTSSSYGGEAFAEQHRFISDPNAATGFLAGVLLDCSDAGGEEEQRFAAASTDASVVEQEEEEKGYWSSILNMVNSSMSSSSSSLTSEAVTGPAMYLPPPAAAAAEF >Dexi5A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:5A:5815118:5818543:-1 gene:Dexi5A01G0007820 transcript:Dexi5A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAIVEKRPSPFPGGGGGCTGGVLFHLLDWHRRLARKRRLFSPRRLLPSSLRSSSSPRRLPCPPPSPPPAALAPRHAAGAADGPAPGVVARLMGLESWPAAPPRPQKQRKVEAPRPDDGDDSAVVLVLPTSRSRRHPAPAAAAPAAPAPTTARSHHGADLPARSPRRARLVDAAAAKLLESGVRASERSRTRLALAYACSSPQHRKDGCHSGALLQGSGLPGRMADDFLSRSESLLTPSTRVQAQQPPPVRPAETGCDTAAVSRRHEQQRSIDNAKVEASTSTVVLPRVDFADGNISKRSFAMDAKLKDSRVRNEIEQDVYSSATSLNNEPNQPSPTSVLEASFSNDASSLGSPVEKNEVKDLFVSTENKMEELFNLESDMVNLATSIDTRKTNAEETLHDNVKLSCSQNYLAHDSKFLESRLLSIGVAETISSAELLLGSSLYPLIIEMLENTMDMFGGGDYSDLTEDKKYEHTNFLFDCIVESLDSKFCNYGKCGYKASLKLPFSLSKDLLKCQVLEDISNWKESSGTALRQVSVKEVDQVTARWDASQVEAFDISIAIENDILETLVGEFALDLW >Dexi3B01G0030080.1:cds pep primary_assembly:Fonio_CM05836:3B:29537114:29539429:-1 gene:Dexi3B01G0030080 transcript:Dexi3B01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAGFRAIAPDLPGYGLSEPPSDLAQASWEGLVKDLLAVLDSLAISKVFLVAKDFGAKPAFDLALCYPDREPGRAEADFGRFDVKRIMRTIYILFSRSEVPVSKQGQEIMDLADDSTPMPDWFSEEDLSVYTNLFEKSGFITALQIPYRTKPAKAEYAKPRFKMPMFVIMGQKDYILKFPALKDYMSSEKLKDIAPDHEITYIPEGSHFVQEQFPELVNRLMIDFLCKHG >Dexi2B01G0027100.1:cds pep primary_assembly:Fonio_CM05836:2B:36031493:36033043:-1 gene:Dexi2B01G0027100 transcript:Dexi2B01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSILLVLMAIALPMLFHLLTRAKNNPRRGGTTNKLPPGSLGLPVIGQSLGLLRSMRANTADRWIQSRVSRYGPVSKLSLFGAPTVLLTGLAANRFVFFSGALAMQQPRSVQRILGERSILDIMGADHKRIRGALAEFLKPDMLRLYVGRIDGEVRHHLDVSWGGRRDVTVLPLMKRLTFDIIASLLFGLRRGAARDALAGDFAQLMEGMWAVPVDLPFTAFRRSLRASARARGVIAGIARETKAKLERGEASRNSDLIACLLSLADDSGAPLLSEEEIVDNSMVALVAGHDTSSIFMTFMVRQLANDPDTLAAMVQEHDEIAKSKGAGEALAWEDLAKMKFTWRVALETLRLVPPIFGNFRRAVQDIEFDGFVIPKGWQVFWVSSVTHMDASIFHEPAKFDPSRFKDGSPATAPPCSFVAFGGGPRICVSMEFARIETLVTMHYLVRRFRWKLCCKEDTYARDPMPSPLHGLPIQLEDRTAS >Dexi1B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:1B:23040975:23041753:1 gene:Dexi1B01G0016530 transcript:Dexi1B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAWVAAGLVARLLMLAVLGIAMQLRFSNKAHFDFVGAGYNNDLQSYTLLQIPIALYLLCRSKRTTPSALALDVSTYADIVVTMVLASGVGAGFGASVDLVEYIKHAGSRWDDDANHDLIRYYNKGNIAIVFLFAGMVLSLCSTVGSVRLRVRASNGIDGF >Dexi3A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:3A:5926554:5927000:-1 gene:Dexi3A01G0008440 transcript:Dexi3A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLVARSGKSRTPGSGGRRRWRSSSVDMDVKTPAAIPTAAMFSRSYSTTAAMFSRSYSTTAAVSAAAVHGGGGGGHQAARVVVGEVDGGGREHKRLGAGARLSRKIKEQRARFYIFRRCVSMLICWHEDADE >Dexi4B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:4B:9732036:9733932:-1 gene:Dexi4B01G0012080 transcript:Dexi4B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRALHLLTANRGISSTPHLASLGWFDKIKSTFTGKKPEGSDAESFTLIKFADSMETARKLGTFKNFVAGRASEATVVSAFEKHSTVLRYLGAIDPTGEKLQNSDKINASKHCNCTIADVEHILAKYTWAKEAQKKMAKLKEEGKPLPKTFNEVLWSFMK >Dexi7B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:7B:21086312:21087211:1 gene:Dexi7B01G0015000 transcript:Dexi7B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPADHHQFFMQLPVQQPQPQPPPPPQQQLCAPMMDEQTPPFLAGRCGGGAAGRGERKRRFTDEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAKFDTLHARVESLKQEKLALTTQIQELSERLRERDDRAAGSGGAATASSSSCNGGEEEAEEDDKRNVVLACVDMEAPESCVLGGACATPADVSVESECDDQQQHLDYDDGFPESFCATPELWEPWPLMEWNAVA >Dexi5B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:5B:20747674:20748866:-1 gene:Dexi5B01G0018530 transcript:Dexi5B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDRKALITSRKLIICMFGCKVSEMSSRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLILFWLRNKIW >Dexi6A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:6A:16107609:16118779:-1 gene:Dexi6A01G0011350 transcript:Dexi6A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSFRPRPVDIHRRLPIVRFAREFEDDDPTFVLRAAPPLLRHSAPEPAADSEAHPVSNKKNAQEIPTPQYDDVDTYERDYTRTFAQPATYIRGRGARAEIGDFIEYDLDNEDEDWLEVYNNEHINLNPEMLEVLLFKLEILDHKARERAGVMTPTMMGPIPVILQLDSAFEALQCLSVLYAVFQATYSYWKAKMQRRENNAQSFEKLRLVLQLSSQGFGNDYLHLYALKFKQVRRNLEQAKVLVEALIKREEKKREAMQCEVHLRRIQMKYKHEAQLLDDGIALSGLQQVSTQFGSSEDDYSDSDDSTEQPYFEPIAFQPRFPDKKLSVISSINKLERLFLHEPDWPSEMIHFVPQCH >Dexi9B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:9B:3723895:3724596:1 gene:Dexi9B01G0006290 transcript:Dexi9B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASSTAAFAAKPRLPRARLSVSCSATGGDNGSSSSNSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTASLNKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELASRLLPRGFIWPVAAYRELINGDLVVDDKDIGYY >Dexi6A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:6A:26386420:26386872:1 gene:Dexi6A01G0018630 transcript:Dexi6A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAAAGDVLPSLPSIRTAASQAPAASETEPACSSAASSSTPPAPDAESATVALPVAAKKAAEEEEEEAEDQAEPTTPTSEGSKLRAPAECPPAPRKPAWAPPATPPAGKRKFPSSAAPSARRTFFPVARDLTTVFRALPPKKRIRAG >Dexi1B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:1B:24641225:24646402:1 gene:Dexi1B01G0018420 transcript:Dexi1B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPSAVGGGGEPSSSSSSGGHHHRRGELGAGEAAAAGLRYGGGDISLGHGHDDRHHHHHHLGGGGGEAERQQDGSMDMLARHSSSPAGFFSNLAVDNGYPSSKAGGSGGAEAHHPSTASGAGRKMKPSQLNFTRSQPGTSRGHLSQISEDGAFPPGLVGDRAGHSGESSGGAGAARSFSGGFSIVGPWEESRDIITTLGAYDPQFSGAMAGTALEMAGMDRYMQLQQDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSELQVLKHEQEKCTCCRKR >Dexi4B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:4B:22426945:22432471:1 gene:Dexi4B01G0020220 transcript:Dexi4B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGSLSFDFEGGLDSAPAAGGGVHLPSSADPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGDACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESTENVILIFSINRTRHFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLIAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEEGSGQESQGRGRGRGMMWPPQMPMMRGPMMGGRFPPNMIGDGFGFGGGFGMPDPFGMPRGFPPFGGPRFPGDFARGPMPGMGFPGRPPQPFPLGLDMMMGPGRGPMMGGMGMGGPGRPNRPMGMAPFMPPPPPNNRAGKREPRRPGGDRFETASDQGSRGHENSGADGARSQSGDRYGRSALRDEDSESEEEAAPRRSRKR >Dexi5A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:5A:14271558:14274350:1 gene:Dexi5A01G0016060 transcript:Dexi5A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVTIGNSHAAETFDTGFEQDLIHAIFKLVWRRRAEKGRGGNEDVNIEPAPETSRRNCSTTANASALKVSCELLRIFVTEAIQRSAFIAEAEDATVIEPTHLERVLPQLLLDF >Dexi2B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:2B:7672396:7675350:-1 gene:Dexi2B01G0007340 transcript:Dexi2B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPVSSAPRTVGEIYRDYTARRAGLVRALTSDVEEFYAFCDPEKENLCLYGTPSGSWEVSLPAEEVPPEMPEPALGINFARDGMKRRDWLSLVAVHSDAWLVSVAYFFAARLNGNDRKRLFNMINDHPSVYESMVDRKQRENKSGVDNSVKSKTSTKRSSDGKMKNSRSAAVEDGFEDDEEHSETLCGTCSGLYNSNEFWIGCDICERWFHGKCVRITPAKAEQIKQYKCPDCSKKSSRQ >Dexi4B01G0020990.1:cds pep primary_assembly:Fonio_CM05836:4B:23058301:23061159:1 gene:Dexi4B01G0020990 transcript:Dexi4B01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSSVATAARSAAGGDGSAADGGGGGAGAGAKAPASSTFWFLLHALCCLISLFLGFRFSRLLFFLLFSTTALYHSTTSSSSAAVLRATTTTTTTTTTTTTTTNTFTLSFAAANPPPSNPANRTALEAAAAGADKGGTSGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHQIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSGLTFVHIPFPDRMPHEWADRHATENRMRIHALRAIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGAAEQPRLSEEEKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPKGLEWAGFVLNSRMLWKDAEGKPDWVKDLDAVGENGEEIENPLNLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLDVVVPAKRTPWPETTTELPSELLEDKQEQDDRRLSRANKSSRPRSTTKRQGKEN >Dexi1A01G0026800.1:cds pep primary_assembly:Fonio_CM05836:1A:32638431:32642180:1 gene:Dexi1A01G0026800 transcript:Dexi1A01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFQRSYHTTAGLSSVRPFSVPATWFYHHPFLPVQLTGNHSCRSLSGGRSQADIARQQLATDARPHPLSILIDQRELTKPLRRPDSKGGLTVPSPSHAYLPRPPADHAARRRPCSNTCLKAHVTAARSQLIIPPAKSFLCFKSLHQFMAAAAVFAVGSPASAFPTNLPPGSPPFPNPWAAFQNLSGCHMGEQRQGLAGLKDYLSHFGYLPPPPSSSPFTDAFDHDLESAIATYQQNFGLNATGVLDTSTVEQMVSPRCGVADVMNGTSTMARRTRSSRGGRHLYAYFPGGPTWPPFRRDLKYAITATSATSIDRSTLSDVFARAFSRWAAATNLKFSETSSESDADITIGFYSGAHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWVAGAGDDDVSRSLSSTGEVDLESVAVHEIGHLLGLGHSSVPEAIMYPTIRTGTRKVELEEDDVQGIQSLYGSNPNFTPTSPATSSREMDSGGAGEGGRRPDGVFVGVAVAASLSFLQPCRHVLRRPTHPSSSMELMQELIEEVLIRVPPNEPAYLVRAALVCKSWRRILSDHGFLRRYRAFHRTPPLLGYFHNLYSQGQGPIPRFVQTTPVASPFAAPPLFGCRFWRALDCRHGRVLVRTVDPDYLVVWDPATGHQRRLIVPTYPRAHCYTGAVLCAVDDDGCDHLGCHGGPFLVLYMVADADGAVRASVYSSETHVWGPPSAAVHVDRVFRGDPGVLAGGALHFALMGREGILKYDLGAHLLSVMETPGDFTDMVLVKAEGGRLGFVTMSDGFVYLWTQQQQQQGGACTGWTQRESIELKTVLPTGYQCHTRQVIGFAEGTDTIFINRAAGVFALELKSRRARMVGVRGAYDAIIPYVGFYTPGRSGSRSCS >DexiUA01G0018420.1:cds pep primary_assembly:Fonio_CM05836:UA:39078820:39079705:-1 gene:DexiUA01G0018420 transcript:DexiUA01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYYKLIGLRMSQLHDEKDDSSTSTQKTLDIFFRSSNSNLNAIGAVIGITNTSGQDNGPISMTNKDEYLVADTGTGASTGQQDFFVHDESTFIPEQRNLVNYSNEGVLSNPVIGDGLGGASLDDVTLTPSAKVMNTEKLDDLSELTSPEATASSSKRGQQLWIDGYICSICGFELPPGFEEERQEHSDFHLAESLQQEETVDSKRTISNERYLCF >Dexi3B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:3B:15062888:15064731:-1 gene:Dexi3B01G0020100 transcript:Dexi3B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKRRGGGGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKQPDMAIVWFWKAINSGDRVDSALKDMAVVMKQQGRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKIEEQIELLKQKLKMIYLGEAFNGKTTKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNFEAAELVYRKAQSIEPDANRACNLGLCLIKQGRHEEARQALEDVRLRRIYGSEDEKVVARAEQLLHELNPINCVSSPFDVGLSVHEEIIERLDLVMNEWSPFRSRRLPVFEEISTFRDQMAC >Dexi1A01G0027000.1:cds pep primary_assembly:Fonio_CM05836:1A:32870069:32873816:-1 gene:Dexi1A01G0027000 transcript:Dexi1A01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFQRSYHTTAGLSSVRPFSVPATWFYHHPFLPVQLTGNHSCRSLSGGRSQADIARQQLATDARPHPLSILIDQRELTKPLRRPDSKGGLTVPSPSHAYLPRPPADHAARRRPCSNTCLKAHVTAARSQLIIPPAKSFLCFKSLHQFMAAAAVFAVGSPASAFPTNLPPGSPPFPNPWAAFQNLSGCHMGEQRQGLAGLKDYLSHFGYLPPPPSSSPFTDAFDHDLESAIATYQQNFGLNATGVLDTSTVEQMVSPRCGVADVMNGTSTMARRTRSSRGGRHLYAYFPGGPTWPPFRRDLKYAITATSATSIDRSTLSDVFARAFSRWAAATNLKFSETSSESDADITIGFYSGAHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWVAGAGDDDVSRSLSSTGAVDLESVAVHEIGHLLGLGHSSVPEAIMYPTIRTGTRKVELEEDDVQGIQSLYGSNPNFTPTSPATSSREMDSGGAGEGGRRPDGVFVGVAVAASLSFLQPCRHVLRRPTHPSSSMELMQELIEEVLIRVPPNEPAYLVRAALVCKSWRRILSDHGFLRRYRAFHRTPPLLGYFHNLYSQGQGPIPRFVQTTPVASPFAAPPLFGCRFWRALDCRHGRVLVRTVDPDYLVVWDPATGHQRRLIVPTYPRAHCYTGAVLCAVDDDGCDHLGCHGGPFLVLYMVADADGAVRASVYSSETHVWGPPSAAVHVDRVFRGDPGVLAGGALHFALMGREGILKYDLGAHLLSVMETPGDFTDMVLVKAEGGRLGFVTMSDGFVYLWTQQQQQQGGACTGWTQRESIELKTVLPTGYQCHTRQVIGFAEGTDTIFINRAAGVFALELKSRRARMVGVRGAYDAIIPYVGFYTPGRSGSRSCS >Dexi2A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:2A:3833328:3834477:1 gene:Dexi2A01G0004360 transcript:Dexi2A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFRLDVAKHLFYPSTAQAEAANAKKKTNNNNNNGGGGGDKPKRQRFKWPKPPRIKWLSPLPEPRMRFPPAAADNDDRWPSDDDAFMLLRPSSSEGTILHTTANGRTIVYDADVNAATATVPFFGEGMGQDPVVFSVPGIGGGEEKESLYTKKKGSIDSDSVDEFTYCLEENKGNYGGGVKFTYCFDTSTRQWRHAGDWALPFNGRAEYVPELETWIGLSSSSPHHHLCAVDLSAAMDAGRAPTPEHVWDDFTPPPDADSSVVLNRRYPQYLLRRSTEWWPSGWLGLVNLVSGRFCTLKVFDVRRSEWAGFHEPDWPDEEAFAVITGVEVLRGNDGLASVAPSPRSIGYSDDEVI >Dexi3B01G0021170.1:cds pep primary_assembly:Fonio_CM05836:3B:16069330:16071206:-1 gene:Dexi3B01G0021170 transcript:Dexi3B01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATVRAAAGVLLVLSALLASAHAEDPYLFFEWKVTYGTKSLLGVPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFHWNGMQHRKNSWMDGLMGTNCPILPNTNFTYKWQPKDQIGTFFYFPSVGMQRAAGGYGAITVVSRLLIPVPFDQPPPESDHAVLVGDWFTKDHEVMARLLDSGRSIGRPEGVLINGKAGQDAAAAPMFTFEAGKSYRFRVCNTGIKASLNFRIQGHDMKLVEMDGSHTVQDMYDSLDVHVGHCFSVLVDADQPPGDYYLVASTRFIHDARSTSAIVRYAGSSTPPSPNVTEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLMVSRGHIEGKLRYGFNGVSHKDPDTPLKLAEYFNVTDGVFSYNQMGDVPPAVNGPLQVVPNVIAAEFRTFIEIVFENPEKSMDSFNLDGYAFFAVGMGPGKWSPELRKTYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWNIRSNIWERHYLGEQLYMSIVSPARSLRDEYNMPDNALRCGKVVGLPLPPSYAPAR >Dexi8B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:8B:6207433:6208013:-1 gene:Dexi8B01G0005850 transcript:Dexi8B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKQRNKRKARRRRRDIAVARALSQLDAGAGEDRISALSDDLLLCRIVSFLPSDEAVQTSVLARQWRHLWKSSRSLRAHRRSPRPWPSIRRGGRHGRSTTSSSASSSSAAAPADEFEIDVGELDADEENGGYGEYDDYYHGTRTAADELSRFAGAWVRHVLGFCQTRVLKLHVSTRNGGCRYQPTPSP >Dexi1A01G0029210.1:cds pep primary_assembly:Fonio_CM05836:1A:34744568:34746870:-1 gene:Dexi1A01G0029210 transcript:Dexi1A01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSGSFLKVLVNNLDVLAGNPLFVFLCRPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWSSRAEWLCLILAQFCRLPFWSYAKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKDESDRPDDVISAAQKYIKQNGSEAFENLVNKFKASNPRRSILEEVEVERRARIQRESEAREVNPFFSPDYRY >Dexi6A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:6A:4891335:4892816:-1 gene:Dexi6A01G0005450 transcript:Dexi6A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPAPALVFVCPCDVRGWGFVLGVEAACCLAAMEESQMNDDQSADVSETDMMDCLNLDGDGEGNSPKKDSAKGERRPSADTDVDQSSMEIDLEGLSSVEHEKEKQFAMDVDLQGMPSLEGEGKEKQPVVDMNLTGIQSVQDEAKGKASSYLYPQDPVDFNVASLEKFCKEASRSFFSETGLVSHQINSYNDFVSHGLQELLNSIGEIAVEPDYNPKNKDGAWKHATIKFGKVKLEEPVFMTDNYDLEEQDLKLKPRHARLQKMTYSSRMIVEMTVQVRMLIPLFILYMK >Dexi1B01G0022160.1:cds pep primary_assembly:Fonio_CM05836:1B:27897218:27900590:-1 gene:Dexi1B01G0022160 transcript:Dexi1B01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSAATKLHIPPSAARRPTFLPFVAVLLLCSASYLLGVWQHGGFASSPSNSRAVSISTAVACTTTTTSTTSPRKKATRTTSRSPSRSRPLDFSAHHAAAADESLAAAAAAASSSDSSSASPARRYPACPIKYSEYTPCEDVERSLRYPRDRLVYRERHCPASEKEMLRCLVPAPPGYRTPFAWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPNGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWQLYDGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLDAEQQAIEAVARSLCWTKVKEAGDIAVWQKPYNHAACKASRKADKSPPFCSGKNPDAAWYDKMEACITPLPEVTGANDVAGGAVNKWPQRLTAVPPRVSRGSIKGVTAKSFAQDTELWRKRVRHYKSVISQFEQKGRYRNVLDMNARLGGFAAALAGDPLWVMNMVPTVGNTTTLGAIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDRILLEMDRILRPEGTVIIRDDVDMLVKVKSVADGMRWDSQIVDHEDGPLVREKILLVVKTYWTAQDQDQ >Dexi1B01G0021330.1:cds pep primary_assembly:Fonio_CM05836:1B:27271500:27272617:-1 gene:Dexi1B01G0021330 transcript:Dexi1B01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCTQHRFNLPHPNTKQPPASSATASAGVARVARPRSAHPAVHLFGRSSRPSQAVLQVANTTNACSSEVVCVKNTIQNTKLPRFFELEMMVRDCELDKYGVVNNAIYAGYIETARQEMVASLGVCTGLIAPTGRAMAISELNVKYFTPPLKRGAKFVVMVRVVGIKGVRMRMEHLIATLPERKLVLEAMATVVCLNKDYRPTRMFPEMANLLHFFSHPD >Dexi1A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:1A:25632224:25632902:1 gene:Dexi1A01G0018430 transcript:Dexi1A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCARLASLLLHLLVLLVADTAVSSRPTGDAPGSAGAPDGVPSEHLLPCLEELLPCTAYLNTAKHPSPTCCNAMHNAAAAEMPCLCRLFADPELLATFNVTREQMFKLPARCGLPVGCRAGATHEEPVVEAPPPPAATHQHHQHGASSRSSELWSVWRVVASVVLGQMVPMAALF >Dexi2A01G0032900.1:cds pep primary_assembly:Fonio_CM05836:2A:43217863:43220325:-1 gene:Dexi2A01G0032900 transcript:Dexi2A01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNRLFFSSDSDEGHGKHNRETVNSVLSMGKQGSAFSPPKLDYSPSFVHPQQNHTTNSPAVLPVEPAEEPIYVNAKQYHAILRRRQTRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQNQQHQASGGSSCSKVIDNNVSSQSAPTPTPSTPTSDTASASRANQDRTCFLSVGFHPAINLGGQGGGSAKLVQ >Dexi2A01G0037280.1:cds pep primary_assembly:Fonio_CM05836:2A:46864888:46866018:1 gene:Dexi2A01G0037280 transcript:Dexi2A01G0037280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSANSSAAMGEEGFVEKKYGRMAPKKPLISKNHERAYFDSADWVLGKQGANSSSSAGAAAIESLKPKLKRTPHHQLPPRKPTCASS >Dexi9B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:9B:12819155:12819912:-1 gene:Dexi9B01G0018050 transcript:Dexi9B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATLKGSSSWGLPAWEPGISSTTLIAENAERLILYGYASYLFSVLPPPDYFILSPLTSHSRISLPFHQIGSCVRAALEQHDGLHPQNHLTGFLFNHLVASSASVSRLPPNKPLTVGETLVSDDGTFALGFFSPSNSTRNHYYVGIWYNSIRQDNVVWVAICQPCYANHRSIFGDVCPDDRQIQSCSVQY >DexiUA01G0011030.1:cds pep primary_assembly:Fonio_CM05836:UA:22084161:22085253:-1 gene:DexiUA01G0011030 transcript:DexiUA01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHIEQRVRALATDDAATEHSFAERAENYYHKRPQLLALLADLHHRYLCLADRYAKQHHADDHRCSSSDIDDRCSSDADSSLSFQFQHHPSSLDNPGDDVLDAELVVAELVLAWVERDVLADEAERRRAEAARKIELQGSLVEVLESERLVLLGENARLAFRASAAEEEAAAAADELGYTRRRAAEMARLVVKLREDHRVCMLGRRIEALQAQVYGLELRNREAYDAMAKWEADRKAGAAEIQRLRAENRRLAEEAATNRRKGKGGSGWWWSRVRMAAEWTPCAPATAATVRKQMKRGKDASKYYAGNGGGCFCI >DexiUA01G0021950.1:cds pep primary_assembly:Fonio_CM05836:UA:45146941:45147626:-1 gene:DexiUA01G0021950 transcript:DexiUA01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAFLLLLAVAASATALVHGRELPTRIKLIRGAAAGVGGDSMECVYTVFIRTGSIWKAGTDSNITLELAAEDGNGVGISDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMARPPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLDAVVDHCSSDAGTAAVAA >Dexi3B01G0034060.1:cds pep primary_assembly:Fonio_CM05836:3B:36827897:36828725:1 gene:Dexi3B01G0034060 transcript:Dexi3B01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIILSWLLPVYKYYIFVKLRATNISAVPRRNVLSTMLCTSTILLLGPKQITLAETTGGTFREYIDTFDGYTFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYASVEDLGPPEKAAEKVLKQYLTEFMSTRLGVRRESNILSAVSKVADDGKLYYEVEVNIKSYASNNELAVMPQDRVQSLEWDRRYLSVLGVENNRLYELRLQTPEQVFMEEEGDLRRVMDSFRVIKSA >Dexi1A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:1A:10432127:10434760:1 gene:Dexi1A01G0011440 transcript:Dexi1A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRWRCRGIISPGFFRSPVAIYSDANGEAGETCVGVQGRRIIVFFSQHHQLQQRAPVSGTAKGKAKLKAGQPLKRSTIGAKKGAPSSGGGGGGRGRREAMERITQISESCLNASTPMRHLSPKERLREAKREELGLVSKERQRELDIAKAKAKAKSKGTGADDGARVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIDALPEKLRAAAMVPDMAPFPANRYMATLTPPIEGYIEKVRDAAKKHSVKEKLR >Dexi2A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:2A:3042507:3044354:-1 gene:Dexi2A01G0003460 transcript:Dexi2A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDEEEAAAAQPAAQTPPCAGCRILRRRCVPGCIFAPYFPAEGGDGDEPSRRFAAVHRVFGASNAARMLADVELPDDRRRAAETLVEEARARVRDLAFGLVSLHAVLLMRNQEARGQVVALREEIARELGAYAAAAVVDVAAASPEVRMEAKAMADRALADARKLDAELLARRSAVDLEWWQKQCPQAAKRAAAGEEGRRRRVSGEQMEGAEDMVATAGESSSEKTMLTSQAAALHGGVEPGIPEGYKQSDAPKEEEEEALVSEQDMAADELLLQRHLSAATELGGAGTSSCLDVAAAAELAKQLDAMINRVPAAQQQYDDDPAAAAGCASLGGLDVAPPLQQQPPQLRDVADMAQQIAEVQAAAAVAAEQSFLIQLLAKQHGVKSDTELDITLGQDMHQQMTEAQLVAAAFAAGAVSGEQALTEEMMMMQYAQMAPLAGEHGIMPAEVAAAELAREQALLITERTKQREEEMRLLLEAVAAAAQYASTELDVSPEHHQQPTAQQMLQEQELAVAVQVAMDPSTTTMQQGAEHGCATVAFQPPASGETAPFLVGQQPPPEGQAAATLGLQPDSSLLPLLDETTAQVPPQQQDQSTDGDDEGQDSDFTGLFDY >DexiUA01G0026760.1:cds pep primary_assembly:Fonio_CM05836:UA:57263845:57264841:1 gene:DexiUA01G0026760 transcript:DexiUA01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHSLPFVPTTASSPFRRLAYGDGDDPNWWIRDCRHGRVLVKSSRNFVVWDPIKGHREELPPLPLSFRSSLYSGALVLCAVAGCDHRDCHGGPFLVVYVGDDNEDEDVLSACVYSSEAGAWGTPDSTHLRLNGMIRMKRSLLIGDEIYCIVGLLGLRYQILMYNLAKHCFSLISVPCVYENVPVLMQNEDGSLGFAGVAGSNLYLWSRRVNPEGITEWELRRVIKLRKTLHNADVVDFAEGVGVFVMSTCFGVFTFELKSGRVRKIRKEINCLSFFPFISFFTPVSFY >Dexi3B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:3B:5823910:5825502:-1 gene:Dexi3B01G0008280 transcript:Dexi3B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYLIAVIIQLIYTGMFVVSKAAFDHGMNTYVFIFYRQAAASLLLLPLALLLERITFSLNLYNVSLKFTSATVASATTNAMPVVTFCFALLLKMEVVKLRSSSGLAKLAGVSLCLAGVSVIAFYVGPALSPVNHHRTFAASHAAYSTGAAASSRTTWIKGTFLMVIANMAWSLWIVLQGRMLKECPNKMLLTVTQCVFSAVQSFVVAAVAERDFSKWSLRFDISLLAVLYNGFVVTGVTYYLQAWCVEMKGPVFLAVWNPLCFIFTIFCSSFLLGEIVHLGSIVGGILLVGGLYSVLWGKSKEMKMAPCGKVNAMDDENDHPKPQEKEQSTSISVVEQV >Dexi3B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:3B:963364:963786:-1 gene:Dexi3B01G0001290 transcript:Dexi3B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPKPMICLTLLVLLFTPLQVQGQEERAGGEQKRDMSRRPEEYVPSVAYLRRLVWAAEETPLLVCGGGCRCCAASNSSKCVDDTPCCFGINCNLPGKPYGTCAFQSLTCGCGSCPSQVNHLLRRIFISSPEFILIE >DexiUA01G0010930.1:cds pep primary_assembly:Fonio_CM05836:UA:21845020:21846659:-1 gene:DexiUA01G0010930 transcript:DexiUA01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding QELLEKISKLESDNQELQGQVQSIIEEKSNNAESLQGEITKRDQQVDTLENQINQLRCVLNEKEQLYCCSLEREKTLEDQKLQVEASLTATECQLSEAKKQYDLMLEGKQIELSKHLKELSLKNDQVINEICKKYKLEKVEITNSAKEKAEKLVRDMESRCSDKISENKQDSERYLMRPKEEHGAMVARIHQDHELKESTLRDYHKEELQRIQSQAENELRERLSLLRKEHGLQIKLSRIQHEKECHWIA >Dexi9B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:9B:10549805:10555799:-1 gene:Dexi9B01G0015470 transcript:Dexi9B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDAPLITDRRQRPEGGCALVTGSPGSAPPGEGEEEEARMRVGGREDEEVSRKLKSMEVDKADNGEESPRPAIKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASIASLIGMFFLTLTAAADSLHPPECAVGAVCEKATSYQFAVLFIAFAFLVLGSAGIRPCSMPFGADQFDPNTESGKRGINSFFNWYYFTFTAAMMISATVIIYVQSNLSWPIGLGIPTALMFLACVLFFMGTKLYVRVTPEGSPFTSVVQVLSAAFKKRSLKQPKDPKQDLFSPPHTSAIVTKLAHTDQFRCLDKAAIVASPDEVRPGGSSPADPWRLCSVQQVEEVKCLIRIAPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRGSFEIPAASFTVFAMLAQTLWIPLYDRILLPRLRKVTGKEEGLTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSQPSLGKTMMGGDISAMSSLWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHKTTGSGQNWLAQDLNKGRLDLFYWTIAGIGVFNFIYFILCARWYRFKGASH >Dexi2B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:2B:100146:101246:1 gene:Dexi2B01G0000230 transcript:Dexi2B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTIMGGVFVLLLSAAAGSSAGGGLQMDFYSSSCPRVEEMVKEEMVSILKKAPTLAGPLLRLHFHDCFVRVPPLVHHIAVGIVAGVVHDDGDDECKYITMQLLQGCDGSVLLDSTPSSTAEKDATPNLTLRGFGSVQRVKERLEQACPGTVSCADVLALMARDAVVLARGPSWPVALGRRDGRVSIANETNQLPPPTANFTRLVQMFAAKGLGVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNLADVDPALDATYLARLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAALLTHPATRAYVQRQATGLFADEFFRDFADSMVKMSTIDVLTGDQGEIRKKCYLVNSYA >Dexi8A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:8A:11650220:11654491:-1 gene:Dexi8A01G0009090 transcript:Dexi8A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTQQRRARPSSHLRKVEKAAMADSDRAKRTTVAQAPCSHGRSRTSFFPRKWWRDPSSSPEENVDSAGRNVIWSGALHLSSGLQGKNIDKDQLESTVEQYAKYHDLHGGDEEVRKSNYSDLVNKYYDLVTSFTEYHWGQSFHFAPRWNGETLRESIKRFEHFIALQLRLKKGMKVLDVGCGIGGPLREIARFSLTEITGLNNNAYQISRGKELICSAGLSEQCSFVKVGTLEFLRIAPKGCNRLFSILQTASHGLLMGSREQIFTATFFVLGRKPLKESAF >Dexi4B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:4B:1383500:1384875:-1 gene:Dexi4B01G0002210 transcript:Dexi4B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWPTRPIMRANGPTRAWRTAMEKGLDSRHAIITAASTRRSLAACVDAAAVAAMAVARELGPPLSECKEAKINSFNLRSSTLPDHIQAPFLSNPISPNGGHHTENSMLHAHGPSQRCPSVIHQCDPEQAKCRLPSAEAYVQALEIIQLAAQVSDQLPL >Dexi5B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:5B:8911814:8921576:1 gene:Dexi5B01G0012530 transcript:Dexi5B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRPPPPPPHLRALLRRLISTGAAPVPYRMLPSLRSAAAAPRFLFGPRVAVAPRRNGVPARPQRNGVPVRAFMASTAASEAMQEKRMAGEYTAANVQVLEALDGVRTRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGHASKIDVTLHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSELTITLTKEEGHTEVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKELDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKASLTRTINNLAKKSKTIKDKDITLSGEHVREGMTCIISVKVPSPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAALYKNEEIQNLILALGLGVKGEDFKKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKEIVNTFPANASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLKVDDAAEANVVFSSLMGSRVEYRKQLIQDAASTINIDKLDI >Dexi1B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:1B:3385007:3390358:-1 gene:Dexi1B01G0004270 transcript:Dexi1B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLCAAVLLFYILKHTCGQPDSQGFISIDCGILEKSSYQDLSSSIVYVSDHDFISSGQNRNISSDYIKPTLAWRNYNVRFFPDGIRNCYTLRSLVAGSKYFVRATFYYGNYDGLNKLPVFDLYLGANYWHEVNFRGAGSVNWMDIIAVAPADYLQVCLVNKGMGTPFISGLDLRPLKSSLYPESNSSQSLVLVNSNRFNMGPTDNSIIRYPLDPHDRLWSTYDTIPSWKEVSATSVVQNYLTDAYDVPSAVMQNAAAPVNGSRIDFSWDPSDPSVNISSRYFFVFYFSELQSAASNALRQFDIIVNNSTWNTKPYTPPFLFADSISGIVQGQERYNISLVATENATLPPIFNAMEIYLMKPISETATDPKDGTDLTIYTPYLKSYFLTITFTYTRDLSHNNLYGSIPDVLGQLPLLVFLDLSSNALSGPIPYSLLQKSRNGTLSISKSLTWEQRLQIALDAAQGLEYLHVGCKPALIHRDVKSRNILLSTDLSAKIADFGLTKAFSDSKTHISTQPAGTMGYLDPEYYLSYQISEKSDVYSFGVVLLELITAHSPVVPVNDSVSIHIGEWVHQNLEQGSIESIVDSRMGGDYDVNSVWKVADLALHCKHKVSRERPTMTDVVAQIKEIMELEARRDRKQSEPVLADGDLSYTGETSAFEVEGSVGTSKAASPGPAMR >Dexi9A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:9A:6603487:6609256:-1 gene:Dexi9A01G0010780 transcript:Dexi9A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSSAAHGDATAAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNASFHVPPHLLHSGPLTRAARHSPHKLAGTPPESGPASSAAAAGVGVSGGQGGVDAIRPEGEEAPAAEQPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHPVEKQTLPSFFNGKSEKRTPEAYLAIRNSIMKKFHANPKSQLESKDLAELSVGEVDARQEVLEFLDHWGLINFHPFPPAGQEESKPEEGQDNSHDEEKASLIEQLFKFESVQSYMMALPKKEDVGAPPPLPTLFPDPVLVEDVVAAAEPSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYNEGKFDPGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKAQCMLHFLQMQIEDRFHEGEDVNQNVPGSTEQATTEKSTTETSEKMEVEDKTEGRDTADEKASEKTEGNCEEIKTEEASAAENKDTQNSGGKDSAASPNTEEPKQSSDEQPTVKEKSADVDTSGEKLSDVAIDILKSAFEAAGHSPEYEGSFADAGNPVMTLAAFLVGLVEDDNATTSCRSSLKAISEVSPALQLASRHCFILEDPPNDLKDIGVSVSNKNTDGDQTKDDDMIQNSNDTEKKETNEKEANCLSMVKQNNSSTSQNDHPESDGKNVSQDDCPLLEPATNNAKESASPAVVAGSNMDSSNPIKQVKDKTSVVVEGPDNTSCKGKDEPDMAEDAVAAPSTAQEQKQNQTLENGNVGEPDDTENVAVNEEKGSTVTANQNDSITRLKLAAATAISAAAVKAKFLGDQEEYQIKRLTALMIEKLFQKIEVKMSLFAEIEQVVLRTREYTEKTRKKLLLERNAIIAARMGALPSRPNQPGVPGNRLPPGYGNPAVRPPNVMPRPSS >Dexi5A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:5A:24302371:24303873:1 gene:Dexi5A01G0020470 transcript:Dexi5A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLISNLQIPAGAVTRIVISSLCLGSVPLLPKYKDDKLARSIVAFYVLTLCQGTLNIVACVSDLFSFFLCRSLARQSGLRGKRGARAVDLYYHNAYLKCMETGILATAKEISLASFAIESLSSSSHKAQLAGVLILDSLLQEREELISRIACSSKAVSALIAMLGRTEVQDRDIRLFAARVTAKLAGNLKVAATPGMLKLVSSLLDSEDQLATGLSSAKDAGDSNSSGSARIKPRRHDSYVSQQWRRMKERWAVPAELPLTYQDSFPVLGMLVLENLTSDHDNCAEIGRATDLISKITGFMSYNSDNGALQKAVVYSSLSLVRRLSITGGKVGVLLRQELWDDPFLLDNLTEYGRKEIGSNKVIVCKLIHAFLGRYGPTNMHYSQPLRLAAGEALANLAIENSANCSVILKVAGYQLIKDLKDMLWHDKYRYVAASLLQNLCTHSRDKMYSLGANEHLPYALPVVSLFAST >Dexi1A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:1A:19871478:19874934:1 gene:Dexi1A01G0014000 transcript:Dexi1A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVVWGSDETAVMLRCAYTQRRWAHRRGGFVTGGTGWSKPPPPGLGPAAGAGAKKSEWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSVSRKRQSNLEPNKYEICSFAASIINLIALVHQLPKRSVLLLQDLGFGVNKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMESNFGRHDPRFRSHDDSNFAPTDTSDDFGPL >Dexi9A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:9A:10467153:10468980:1 gene:Dexi9A01G0015650 transcript:Dexi9A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPAHLDGGGAQQVMPGAGMIPGGMAPLLFGVGMPVPGGNMAQPGRYLAPAGYSAMRAGWNGGGAAAGGGGGGEQQEAPGGGVTRVRPPSHRGLWTEEEDETLKAMVKVHGERKWAAIAQHLPGRIGKQCRERWTNHLRPGVDKAKNIWTEEDDMILIAAHKLYGNRWSLIAKELEGRSENAVKNHWNATRRSLKAKRRLKKKKTEEPPLGQQWTALEDYILNLPPAMADDLAATPDGSPPSSYNTVTTEYAEVVSPNAAHVAPGFDYPGAMERYLINAASNSSSPPAVAAANLAAIMNNSNVAAVAAPPYLGLGMNAYYPAAAAQMMVQNQQAAAAASYVNNMITYPFVDHLAGWHSSVQADAHASNANAGHHRYYYGDAGAGPSSAAATGAHQDDVDVVQMASREFVNPSEDEVTLNLARFM >DexiUA01G0017520.1:cds pep primary_assembly:Fonio_CM05836:UA:36816357:36817168:1 gene:DexiUA01G0017520 transcript:DexiUA01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVYAITIDRAAEEILELLEEDTNASRSISSRNNVFYFNGWDELGASAVLRAIAQRLTPTSEAGKRALAEQEFDQLIHIGCSMWESRRALQRAVAEQLQLPDEVMELFNREDEEDDFKGVPLGSRTELPQVLRAMYQHIHKLNRRFLVIFHNGSNEEIDLASFCGFPLSGYSANKVLWTFQGRFRLKPRTKVDKAMASIETTDWSSLY >Dexi3A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:3A:10984252:10985787:1 gene:Dexi3A01G0014910 transcript:Dexi3A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEKALAVAASAAASLMVVRSVVNELLPDEVRDVLRSGIGRLRSHMSSQHTITIERKIDTFCYNDVYEAVKTYLAAHINTKTQQHLCVSRFNEGDKMLVTMAEGEEMTDVYDGTEFKWCLIYRSVSTNDSGNGGNNGKQLEAHSFVLTFHKKHKEKALDSYLPFIMDTVKAMEDQERTLQIFMNEGSDDWIPMDFHHPSNFDTLAMDQKKKQSIIDDLNRFVKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFNIYDLELTAVQSNSDLRTLLVGISSRSILVVEDIDCTIKLQQREEADEDTSKSNSTDQDKGRDKVTLSGLLNFVDGLWSAGGEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGYCNPESFRILAHNYHLIDYHATYPEIEKLLKEVMVTPAEVAEVLMRNDDADVALQDLVDLLKSKLNEANVTKAEESNANDKLDEEQDDKHGD >Dexi2A01G0025310.1:cds pep primary_assembly:Fonio_CM05836:2A:36950521:36951312:1 gene:Dexi2A01G0025310 transcript:Dexi2A01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMAISSTAAMAGTPIKVGSFGEGRITMRKTAAKPKVASSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGN >Dexi5B01G0037620.1:cds pep primary_assembly:Fonio_CM05836:5B:37076425:37080717:-1 gene:Dexi5B01G0037620 transcript:Dexi5B01G0037620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPEVASSPSPCSPSGNDSSRILQKPAAYTVWMKSLVFNGNGCTVYGADGSVAFRVDNYGCRGGREVFLMDRAGKTLIKIQRKSFGVFRRWEARRCFDAAGEGFGEETRPWFRVEKAGKNGAAVTIHGSGRTYTIDGCVRKSGYKITGAGGAVAAAIGRKQTASGVVLGEDL >Dexi1B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:1B:16226750:16227293:-1 gene:Dexi1B01G0012570 transcript:Dexi1B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMKQLEPGNLNRYQKKVSNIIDDVLEWSPKLAISGMIEKHTGANMTEANNHTSAAGSTHAPSSPEGKTTDANPDTDANGDTQADLDGMQDSEYADDTRTRSSEA >Dexi9B01G0024010.1:cds pep primary_assembly:Fonio_CM05836:9B:19498280:19499991:1 gene:Dexi9B01G0024010 transcript:Dexi9B01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNPQPFISDEPLTMPASAAKDHQIRDLVSSGWTNERHSSYISSMEASFVDQLYGIQNHGLDANKKNLGDNGFKVFQEGVCKNVRFERNQPHTRDVGINYLPENPWVRRFRPRSASASRRDVCVEAMADDYGSGTDTVREKVRTHGREVKTCSRQNLIGKSKEVSDQNFPDEEIEANNELCKKQRPTSSRSAPKDPGT >Dexi8A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:8A:3201107:3201784:1 gene:Dexi8A01G0004080 transcript:Dexi8A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMHQLTFSDDNDPQNTFLYKLCKVFTEMLNNCLDQIRAPTSEKRVFMRCDVNFDQSAQGRSLNTMIGRAAHIEFLENDIYARFIMWSFPDLFR >Dexi2A01G0026480.1:cds pep primary_assembly:Fonio_CM05836:2A:38027967:38031265:1 gene:Dexi2A01G0026480 transcript:Dexi2A01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVGGKVSRMFTLSEVEHDLPMPPHLMSRPILDAVKGELERLFIDKVLLQTLGSASVYDIKSLEGGSIHSGEGCSTYKVTCAFIFFNSFVQVLDTACPVSFRLVMFKPFNGEKNYMGTVRKQPPVRTCLRLRTLSLGFFNDICIPGHMMQYGTVRGPDGRWMLKTEDGDELYLDLDDEIRFLVSSTKYPPIPIEQKQDDPPFAPMQIVYV >Dexi4B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:4B:787321:788476:-1 gene:Dexi4B01G0001260 transcript:Dexi4B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMLRPALACCKLYISEARNVAALRAIELAAAALRPAAVLVNAFADDAYNRIGYTLVSPLAGGGGDSAPPPLHRAAFGMVAAALEAIDFRAHDGAHPRLGVVDHIAFHPLAGARLDDVAALTRAVAADIGDKLQVPTYLYGAAHGEGRTLASIRRQLGYFTPNSPGEQWQGSPDTSSLPIAPDAGPTTPSRSKGVVAIGATAWVDNYNVPVHTADVVAAKRIARAVSERGGGLSAVQAMGLVHGNGVTEVACNLLDPVRVGAEQVQERVRQLAAEEGLAVGKGYFTDFSWERIIELYMQSVGAEASG >Dexi2A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:2A:7948115:7948867:1 gene:Dexi2A01G0007780 transcript:Dexi2A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVTGEYLPTSQGLHFVELPHLLKPHIVSFLKSNNNIHPLTNGKASLQRNVVSRQLSWTWQNETQAETMLIWHIATEYLMIAFPDEAKESSRQSLSYRHRELATKLSRYCAYLMSEAPELLPGNSVDTKFIFDHAMYEARETLGSKLRKRDLLRKVLTSSGVDESTIFTKGLKLGAKLETIREGSLRCKLMAEFWTETILYVAPSDNARAHMERLARGGEFLTHIWALLTHAGVLTRNPIPD >Dexi3A01G0036180.1:cds pep primary_assembly:Fonio_CM05836:3A:41607077:41607662:1 gene:Dexi3A01G0036180 transcript:Dexi3A01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVASSVILLCVFAASSASAATFTITNNCSFTIWPAAIPIGGGLQLNPGQTWTLDVPLGTNAGRIWHSGRSVRDPRAKTWAVGGGTPTSVGGQGPGGAHGGGT >Dexi5B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:5B:18986006:18988083:1 gene:Dexi5B01G0017400 transcript:Dexi5B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSLSIIIAASISAAILLLCVFTVIVRLKRRSSQHNVEAPEIKMERAPSNTSIAALESRFFPSMKITDMDPFQTERPVIFSLKVVGDATANFDEKRKIGEGGYGSVYLGFIGAHEIAIKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGDDHLYLVYEYVQNGSLNDHLHDPLLKGHQPLSWTARTQIALDSARGIEYIHDHTKACYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEEECVATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALMRDNKEVNKMKSLISIMRKAFKSEDLESSLETIIDPNLKDNYPIEEVCKMANISMWCLSEDPMNRPEMRDIMPTLSQIHLTSIEWETSLGGDGEVFIGVSNGR >Dexi1B01G0025660.1:cds pep primary_assembly:Fonio_CM05836:1B:30622998:30624059:1 gene:Dexi1B01G0025660 transcript:Dexi1B01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRSFVLPAIMASLVFSAVAVRAARPLAGEELSGEAGAGESIVRRPICPSWISFTGNGDGNEEHP >DexiUA01G0008110.1:cds pep primary_assembly:Fonio_CM05836:UA:15250622:15253056:1 gene:DexiUA01G0008110 transcript:DexiUA01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAALAVSFLPSPTRFAAPATPSFSSRIKKAARFRCCAGPSSKEQDQEISAAPPTRLPEKPARTPPSSLLGISTSTWSAGVAGLGFLETGYLTYLKLTGSEAFCPTSGAGCSDVLESDYSVVFGIPLPIFGLVAYGLVTALSLQEDGKDLLPGLDDLDIRLTLLLIATSMATASAYFLYILSTKFVGVSCSYCLLSAFLSFSLLFIRVKDFGLERIQKFVGIQLSVAVIVALALTNSYSSATTQLKGTDDFVLEPYETEITTESSPFAIALARHLHSIGAKMYGAFWCSHCNEQKQVLSGDQELEVLAEASGFVADGTEQPKEIAPN >Dexi2B01G0022370.1:cds pep primary_assembly:Fonio_CM05836:2B:31992346:31993041:-1 gene:Dexi2B01G0022370 transcript:Dexi2B01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSPPPLSAEHEEYRTVWSAPPKKPAGRTKFRETRHPVFRGVRRRGQAGRWVCELRVPGRRGSSSRLWLGTFATPELAARAHDAAAIALSGRAACLNFADSSWLLPPLMPPAALATARGVKDAVAEAVEAFRRRRSASASSAPSLLPEAAETTVDEDEEDGGSLGAAPSSADVVFELDDAFRFGGMVDAGSYYASLAQGMLVDAPAAAGMGAWWEDVEHSTADIALWSY >Dexi2A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:2A:13921620:13923830:-1 gene:Dexi2A01G0011960 transcript:Dexi2A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAASLRALAAIAPSASLPKPATAPSPFLVLLAPTPPRLLRLRSARRLALAPLAASDSFESSVGVDYAEPAAEGEEEEEEEAFASEGEEEASAALEEEEEAADGEYVEPPEEAKVYVGNLPYDVDSERLAQLFEQAGVVEVAEVIYNRETDQSRGFGFVTMSTVEEAEKAVEMFHRYDINGRLLTVNKAAPRGSRVERPPRQFGSSSSSSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRESGRSRGFGFVTMATQEELDDAIAALDGQVSVDTLGFA >Dexi9B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:9B:1727843:1730483:1 gene:Dexi9B01G0003010 transcript:Dexi9B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQLTIFPLMQKLSMPLLFLSVTTTLSERSSKRDDEPDINTEHPETPSEGNESASTSSTRDIVDGTTEIVQENWLLLLFRELEKQGIALPERSFITVVQENYPNRLGVLFVVRLPPVVRVIAQTFLQVPCCDYAYYANVTNVVVGLGADSFTDWNITWYSLLNSIQVLKPSTKQKLRFEGDSFKKTLAEFLQVVPAFLGGKCRCPQCEKPRDGSVMHAGEGSKSQPRLIGADDGSPVTDFDFDESEISSPYSCENAIRAAIIGLLMVCIFIAFLAGMNDPASVPSSA >Dexi1A01G0031780.1:cds pep primary_assembly:Fonio_CM05836:1A:36615919:36617522:-1 gene:Dexi1A01G0031780 transcript:Dexi1A01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDRRRGSTGAHLPPSSSGPPEHDRWAWSPAWSERGGRSRTTSSSSSSSSSFKSLFRSIGVWFTSATTSAAAAGSRRKRRSKEASPAADDVTKKPPPAALPAPTGKPSGRGLYGIGSGYRNGSGRLRASFQSSVFSMEEILRATSNFSPALKIGQGGFGAVYKGVLPDGTAVAVKRAKQRMQNPNVDVEFRSEVKIMARIEHQSLVRFYGYLECGEERIVVVEYVPNGTLREHLDRCNGKFLDLGTRLDIAIDVAHAVTYLHMYSDHPIIHRDIKSSNILLTNSLRAKVADFGFARLGIAGGGEGSEARTHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVELASARRPIETKREMKERLTARWAMARFIAGAAADVLDPHLARTPAADAALHMLLDLAFRCMGPVRNDRPAMADCCRALWAVRKTYRDMLAAAVTPQTSDRPTGADLWRI >Dexi2A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:2A:9805888:9806444:-1 gene:Dexi2A01G0009320 transcript:Dexi2A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELALGLTKTVVEAALNQIQYAIEEEGKLEEAVAQDLAFITGEFQMMQSVLKVANKERAKKNEVVRTWVRQLRDLAFNVEDWVEFVAHLDKDRSKCAAFWWHLVPAWMVPRCMSPPSRDLDDATAEMKVLKSRVQDVSLRATRYNLFIKDSDLDSSSSTKG >Dexi2B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:2B:4366406:4370740:1 gene:Dexi2B01G0004710 transcript:Dexi2B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLACARLCYWIAVSESINGACEKGCACRFLVHKQWKLEEELDGWLAAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRASVYCTPIGDLPVDQEVIEELNATGKFEFMDLRVDEAEHSMEMHLPYLSKVFQGHTVKVIPILVGALSPQSEAMYGQLLSKYVDDPNNFFSVSSDFCHWGSRFNYTYFDKKHGEIHKSIEALDRMGMEIIETGDPVAFKQYLQEYENTICGRHPISMLKHCSTKIKIGFVHYEQSSQCKSYRDSSVSYASAAAKVDVSGEEEKKD >Dexi3A01G0036780.1:cds pep primary_assembly:Fonio_CM05836:3A:42309701:42310757:1 gene:Dexi3A01G0036780 transcript:Dexi3A01G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFPCSTQSSSSSGRLHTRSIWRERMERAPRASAPDAAAPVASAQASSAAGTSTGLRRRSRGAATARPAMEASSASEDEEERGERQERGGNGGALLAVAMVVVLTLLFNALLLVFLVKLFLALFNTKLIVILLYIGVLLFAMALSGRFPG >Dexi2A01G0028440.1:cds pep primary_assembly:Fonio_CM05836:2A:39724309:39726219:-1 gene:Dexi2A01G0028440 transcript:Dexi2A01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGKVYATVVLIRLIYAGMHILTKASFNEGTSTTVFVFYRHAVAAIFLLPFALVQIRKRPAPPLNFKLTVKIFAHAFYGMAGTINLYCIGLKYASATSSSAIFNIVPVVAFILAVMFRMETLKLRSVHGIAKASGILLCVGGVVVLALYQGPELKSMNHHQLLQHHANAAAHAFSKKEWALGIFLMTTSVVIWSFWTVKQGPLLLQYPSKLLNTTLQCVFASVQSFVVALVLERDFSRWKLAGAVSLAGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIVGSVLLVAGLYNVLWGKSREDKQAADRRDGGGDGDVERNAAAVQPADGETEEDDDGDVDAAAAKV >Dexi1A01G0031040.1:cds pep primary_assembly:Fonio_CM05836:1A:36209662:36210679:1 gene:Dexi1A01G0031040 transcript:Dexi1A01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGTCEIAQLPEEILSALLALTTPRDACRAAAACRDFRGAADSDAVWSRFMPRGELSGSPASSGKGRFLRLCDRPVLLADGLTSMWLDREGGAKCYMLSARQLNIAWGDTPHYWSWIPIQGSSRFTEAAQLNSVWWLEIRGKIDSKRQRADGWMEVEMGEFQNDEGEDGEVSIKLMETSATVKSGLIVQGIEIRPKKLLSL >Dexi1B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:1B:9613541:9614393:-1 gene:Dexi1B01G0010260 transcript:Dexi1B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFCFDVQMMVMMSTVLLGGAGYLAYLLGLAERSDRKGSIVVISVFLGVWVVLGAYIFLSYLIDFLPLPETGLQSLAPHLGSLRRCLRGVAWLFRLPVRCVRARLRRRCATAGGDDAAQTLPQFMAPGEGRGMAALARELPVRGGVVAVDGIAAYGEKRDVAAPECSVCLCEVETEVTAKG >Dexi6B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:6B:22469377:22470971:1 gene:Dexi6B01G0015050 transcript:Dexi6B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGLFGSWASMASAPGGGGGWSWGHGENEHPGAMEGMMDLEVGSAAAAAAYWELGASSSMVMQEADHELHGSCSAPPPPPENGGNSAAGAEAVAVAAAAAMSPAQQQPAGRRKRRRTRSVKNREEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINYVKELEQLLQSLEARRHTRRRRHLSPGDDDAAAAAPFAGFFTFPQYSMSARSSPAAANTPPADDDGGQGPKNAGDEDDDGAADSSGRSRPSSVAEIEVTMVESHANLKLLSRRHPRQLLRLVAGLHGHRLTVLHLNATSDDAGDTALYSLSLKVEDDCALSSVDDIAAAVHRIVEGVDQEEAACADRARQIVAG >Dexi6A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:6A:11350902:11355824:1 gene:Dexi6A01G0009620 transcript:Dexi6A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPTYAERIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIKTTFTAVEVDLPVAVEPAPFDCIALPPTLNLDDLNLDDVISQMNTPDNHQKSLDQITLAGKGSSSNPFLLPKSLHYTCAMLQDDRVEPSASGLSQYMGPEPFEAGTFPRFDDCFAASNTTSDDIPLDPPPGNMPPHIENPLEGPQDPPEIMREAPQEGPDHFTDSVFGSDDPMVDKDSSPFVQQNKADTPPAMDGTSSAAQKLAGRCIPLQTPNTYDVIDDAKPLNSDNQIPELLLQPSPPPPQAQDNKRKREMIFDYEIKLDNNYMKEQTDGDGVDKLRCKRRKVPQTVLDLWKYNRTSIKGSSFLLEPLVQGMCSYLHENYERNFPHVSDPDIESAFNEPMVGYGSSQDAPVERDVTPKSHGNEDTLPEVDLPLKSPGNSDAQSEPQPTPKSPGGADAARDEDMLPEFPRFSPVDMPYPIREDDSPFKTVRRTPHSGFGGTSVTEMPPSVRTYSLPGQSTPDSDNLASLFPVNDDYADQPEIPGLISAPGGISSAGTGTTVLGSMSARTRAVALFFKDQVPSTPSDEQPGKFSLSRILEGKVRKQAARMFFETMVLKSYDYIDVKQEQPYSDIEISVRPSLAEAKLT >Dexi5B01G0039950.1:cds pep primary_assembly:Fonio_CM05836:5B:38596868:38602843:1 gene:Dexi5B01G0039950 transcript:Dexi5B01G0039950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQSSGAAASWVVGRMGTDAHLYDDPDDASIPALLDSRFDADKVDALKRLLALIAQGVDVAHLFPQVVKNVASQSLEVKKLVYLYLLHYADKRQNEALLSVNIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLCDLLPDQAMALEEIVDILFGDNSPGVVGAAALAFKTVCPSCLALVSKHFRKLCETLPDIEEWTQIILIEILLRYVIARHGFVKDSLLSAEIQGINDSDPVASMPTQHDSAGNGFCGTISNIMLFRHYIEEFSGFPDGEGDSLRLSSVTTSGNNDVAILLKCTSPLLWSRNSGVILAAASVHWIMAPIGDVKRIIGPVLFTLRSSPDAAHVMLGNILVFAKTMPLLFAPFYEEFFINASDPYQTRALKLEILTTIATEPSIQAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSIATTCLEGLLALVFYESSMSNSGHFDGEDAVLVQAIMSIKAIVKVNPVSYEKVIVRLVRSVDKIKEPVARCLIIWIFGEYNFMGNLIPKIVPAVLKYLAWTFTADVVETKLQILNASAKENQLRGMFEYARRCAFKDHLDKLEHSDKNLQVAHSVASKILGNTNVHLVSMDMPVTFNVDDTSGLCWRFSSEIPSTSKPCLITILAEGHASGPLDLTVKVNSEDTVFALNLLNRVVAIIE >Dexi3A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:3A:12747674:12749756:-1 gene:Dexi3A01G0016800 transcript:Dexi3A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLQVKDDVKRHAKPRWVRTTVNLDDHVIIPRLDPTATSANPDRAVEDYLSRLSTAPMDHSRPLWELHLLDFPTSETASTAVLRMHHSLGDGVSLLSLLIACTRSAADPARLPELPPAPRRAGPVHARPRPPLSAGPVALLLWAWSYAVLAWHTLVDVARFTATALFLRDPRTPFMAASEGVEFRRKRFVHRTLSLDDVKFVKDAMKCTVNDVLIGVTNAGLSRYYFRKTSDTNNERKKSQKNICVRSALLVNIRKAPGLHALTEMMNSSKHNAAKWGNLIGYILLPFHITMHDDPLEYIRQGKRTAERKKTSLEAVFTYWSGNLIVKLFGMKAAAALCYGMFTNTTMSFSSMVGPVEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYMNSIKLVLAVDDAQFPDSHQLLDDFAESLRLIRQAASTR >Dexi5A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:5A:5003459:5003806:1 gene:Dexi5A01G0006730 transcript:Dexi5A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEPLWIASRITNSGKRWFRCPICPDVEKGSSAEVINHAITIVQEHDKLIRAFFNEEDVEEEDAPTEDIEEEDAPVPATTTSDAPTVVFLLLMMMLDVIVVYFASFNLVLHLWC >Dexi3B01G0027580.1:cds pep primary_assembly:Fonio_CM05836:3B:23220953:23223651:1 gene:Dexi3B01G0027580 transcript:Dexi3B01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEVREEKELDLSSNDVVTKYKSAAEILNNALKLVVSECKPKAKIVDLCEKGDAFIRDQTGNVYKNAKRKIERGIAFPTCVSVNNTVCHFSPLATDEAVLEENDIVKIDMGCHIDGFIAVVAHTHVITNGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKITSHPLQELQPTKSIDDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEPMEESTNGAPSQE >Dexi9A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:9A:801197:802150:1 gene:Dexi9A01G0001500 transcript:Dexi9A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSENPTVTERGSKDRRDDKNEDGDKKEGGGGFIEKVKDFIHDIGEKIEEAVGFGKPSADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGEETVKIPVSLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLEKDGEIPVPYKPDVDVEKIKFHHFSFEETTATLHIKLENKNDFDLGLNMLEYEMWLGDDSIASAELTQSAKIEKQGITRMQIPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDDDN >Dexi1B01G0020850.1:cds pep primary_assembly:Fonio_CM05836:1B:26855852:26859185:-1 gene:Dexi1B01G0020850 transcript:Dexi1B01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSFVAVRRLSGSDRAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRIGVQYDSSNREHQKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKLLSENDRAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLIEDIQRIEDMPSYRLLAR >Dexi9B01G0025050.1:cds pep primary_assembly:Fonio_CM05836:9B:24488893:24493890:-1 gene:Dexi9B01G0025050 transcript:Dexi9B01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYWTSSWPRVQQMPAWPRSSDSSRRRLLRLVPTRSPYSGAVCVVADADQALAMDGVADAVGVEFLTEEEHEKLDERERLRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKQNLTEVALHSGSRRGSGGPRRVRTAPCAIPRRAVPPAGCVARACAFGCGGTAAARWSIAAPLGTLPKHGRPHAASKPDGASWTSHRLQATRAPLVCCLSEPPSSPECHHVLRSQLLDGAPLPLVKKKLMNLGHAQSCPRISSHQTRDASFVIGACTSYSEETRVKISEGVRRGWSLRLQRLMVQDGCFVEWRDMVADAARKGFAGGVNLQWNSYKILTEQMRQEWLGNHQKRRSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLNQEYRERVCNGIAHYHGSSPGTKSPRKPRPAEELGLKRETSKKKYLQARAVSLEDADGKGTTVKRKKSAIPYKDPMAGEKLEMLSKVRAQRAALEIEKKEATKRARSLIAEAEKASDALESAAAMSPFAQASLIEARKLVAEARVLLECVEGLPEHASDDISEDSALLEHHRGLETQNESNALKQESKPVNGTKLATSNVNGIGFHFDVSAVTGLKQLYQTIEYSMERAFLLPSALSKPKAVNGDFSIIDFQVRQSMANDMENHESIVAESTEPPGTLEEYNSTSAEKAETSKDCPLGTPVEDTPSEKKVKMRWVRGRLIKLENEPEDPEI >Dexi4A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:4A:21960687:21968978:1 gene:Dexi4A01G0018050 transcript:Dexi4A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGTDMASTATVRPVAGDSDDEEEEARLWAELELLPTPQRARSAVVTLEEEEDDGEWVAGGACSCRKAVVDVGELGPRQRRALLDRLVGSVEHDNERFLRKLRERIDRVGIVLPTIEVRFEHLKADAKVHIGTRGLPTILNSVTNIFEGAANALRILPSRKQTMPILNDISGIIKPMRMTLLLGPPGSGKTTLLLALAGRLGNDLKVSGNVTYNGHGMDDFVPQRTAAYVGQHDLHIGEMTVWETLAFSARCQGVGYFYDLLCELLRREKAANIKPDADLDAFMKAAVLGGQEANVVTEYMLKILGLEVCADTMVGDDMFRGFSGGQRKRVTAGEILVGSARALFMDEISNGLNTSTTFQIISSLRQAIHILGVTAVISLLQPAPETYNLFDEIILLSDGQIVYHGPREDVLDFFKSMGFRCPERKGVANFLQEVTSKKDQKQYWAHNNQPYRYISVKEFSESFRLFHVGQAMANEIVVPYDKSMNHPSALAASKYDVSTKELLKANMDREILLMKRNSFF >Dexi1B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:1B:26353957:26355297:1 gene:Dexi1B01G0020240 transcript:Dexi1B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVAVVTGGNRGIGLEICRQLASSGVLVVLTARDEEKGSRAVEELHSSGLSDVIFHQLDVADRSSITQLAEFVKTKFGKLDILEDMRTFVDGYLARLQQTYELAKECLDINFNGTKDVTDCLIPLLLLSNSGRVVNVSSQIAQLKFISNEGVIKVLSDIETLSEEKLNGVMSTFLTDFKAGDLAARGWLPVASAYAASKALVNAYSRLLARRHPSLVVCCVTPGFVRTGMNYGMGLVSAAEGARAPVRLALREEHGDSGLNFELFDVCEF >Dexi5B01G0039990.1:cds pep primary_assembly:Fonio_CM05836:5B:38631339:38642113:-1 gene:Dexi5B01G0039990 transcript:Dexi5B01G0039990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLREAPLPSRRVASARHYAAAAAGAPPVPPHRPPRSSSISTASSRKPPEPLRRAVADCLSPPAPHTHGPAAAAASAAAEASRTLRDYIANPSTIDMAYNVLIDHALAESDRRMNIPANTFQPRARPLFQYRHYRYMMDSESAAPLTLYMLEGMLSSQKTSARTKALDLILNLGVHAHLLEPMVVEDAQLIDKSETVNHSYLSNEYGSSIDEPRAAEPEEEPKISPAIDQFESWLLKILFEVLLLLVQMEETQEIVWASALSCLFYFVCDGGKIIRNRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDVTQNGVRDTHFVPEQIELLGGIDYICLEYSQANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGIGDMLRKAISVALSQSAQYDQLNVLLDKVMRKLDGTVSTFSRIDNEFAYMIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >Dexi9B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:9B:15400942:15401193:-1 gene:Dexi9B01G0020690 transcript:Dexi9B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLAPYTGGRRKVVVHGRSGGRSSSAAPVKQLLSRLRSAWRRRVGRPRPRRGAARFAYDLQSYRQNFDDGLAASSGHRRL >Dexi5A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:5A:612472:614983:1 gene:Dexi5A01G0000870 transcript:Dexi5A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKSLPPRSKDGSYPETAQRDVPCVVTLQPSVCRNCQGQERSTSQSYREERSMSFHVDYLMAPSLSKHFAEDLLRGAMDLQESLAMLERFQAASQSMRLSNKKRIPETGEKSPDIDTIIREVLLRPSNAKQAQPRTVNNGLHGKLNSSSGELKNVVKDSFYIKNLLSVSSNNEQASLSQSARANNYLMSKASQQKKVAPKSFRSCAAVQPDKSKAPTLVAKLMGLDGLPSQKDNSKMKDEKKVSSPRARFHIEMPKPQRPQTLLFGDESGFDPEMPRSEQLAPEHYNASCTDYTSSQKVLAPLYNTVVTDEIRPMKSSHTQRNIEQPRPKSPKEIKISAPPSRKQQIKETTEINRRTRDKQKSNLTSRNRGGREDAKAKTVSASRNADKKVASSSSRSCDSVKPVLKRTTNDSRKKTVSRRNVKSSTIDELVVYEIQREIFHALDQIDGPSTEYCATPSDESYPNADWEAESSVDYIQKDFCESNEALLSTSHSENIGSTDGDASHPSTDVLSIKEAEIKDEIILLLLSDKSFLSRATKLIGIDMYEQQSNQYKGIISKVEMKNHKIYLDTAAEQLERKHHQQNSIYYTGFEGHKCRAPAYVSHEELLRDISNGIRKLNGYSDRDDTDGTKDSLDVKLERDLRFSDASISGIWDMGWEGFICTEETECFIRDAGEDILSLLIEEAVLDICMH >Dexi3B01G0036790.1:cds pep primary_assembly:Fonio_CM05836:3B:39652205:39658390:1 gene:Dexi3B01G0036790 transcript:Dexi3B01G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLVCTGHAVSVFSTATAMLVSELEGHEGDVTAVVVVPPPAAATATAAAKLASYCWTAGLDGVLIYWDFVAAEMVRKVQVGLPVHSMVVPNICRTTKGAEVSTPFAFISVEDTSKPASEAKALRGQMRIYDLTKGRKVGNLLAETRKPEKIVASSSGEFLGIANKRKIHIWSIPTKDFKPDKIRKIKIRHTKTLTTMAFHPSERTVAAGDVTGRILIWRGFGDAKFSENSAKSKVDEGRDGVRGNDDADTCTTWHWHSNSVRFLKFSSDGAYLFSGGLEGVIVVWQLDTGKRKYKPRLGSPLLFFVDSPDSSISCVSCSNNQVYLLKMPNMDVMRSIAGIKLPVASPSLSGSDRVAYGFDYTNRLVAIPTENYCVQLYNLFENIEASELQVCERNFQPVDDITMYISLVSLSLDGRLMCTVDVKLPEEELGGIVTLKFWNRDGSRAGNYFLSTVIYEPHSDAGVSAIAFRPQRNMAVSSSLGGNFKVWVKSLASQSSRKINYAGWKCQSVGSYKKKPMTSAAFSADGSVLAVAAESVITLWDPDNNALVGVIAETLSPVTNLSFVGKSVFLMSLCHSSRPQVTVWNVSNLSMQWSYSIYAEAACCSPDGNEFAVLALLSSGETSTEQDGAILLFDAESPNPVASWSVKKARGGSISFVKGDISLDVDANNSRDKKTMLLVYVNGSHEYVIFDPRKSEKLVITRNTQKKIQAEESAPIGYASIYGELPKLESKKEVSDVPFIPSDRSWETIFSGSSHVLPPLTKLCSAFLSSLLEKRPVANE >Dexi3A01G0026050.1:cds pep primary_assembly:Fonio_CM05836:3A:22147789:22148607:1 gene:Dexi3A01G0026050 transcript:Dexi3A01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASPATSVAPFKGLKSTAGLPVSRRTSSSGFVSNGGRIRCMQVWPTDNNKKFETLSYLPPLTTDELLKQVDYLIRSNWIPCLEFSSVGFVYRENSNSPGYYDGRYWTLWKLPMFGCTDATQVYKELEECKKEYPNSYIRILGFDNIKQTQCVSFIAYKPPGAN >Dexi3B01G0021140.1:cds pep primary_assembly:Fonio_CM05836:3B:16042165:16044650:-1 gene:Dexi3B01G0021140 transcript:Dexi3B01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDGSSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGYKGVFSPNTLVSSSSDYAMDMHHKDHLHENVNIMQAQHYPFISSSFWVDPLTYGVYNFHAYSYLLILIFWHTWFYRCLDHLALTWEAATHPVNISRVPIQTTLFSITKQWRRDLPFLLPHKILDENYKLSP >Dexi9A01G0026410.1:cds pep primary_assembly:Fonio_CM05836:9A:29336514:29337894:1 gene:Dexi9A01G0026410 transcript:Dexi9A01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEMRMGASLLRLHFHDCFVNGCDASILLDGSDGEKFARPNLNSVRGYEVIDAIKADLESNCPEVVSCADIVALAASYGVLFVSSIWPLQPRAHTIGRARCVSFSNRLSNFSETDSVDPTLDASLAESLQSLCAGGDGNQTTALDVSSPDMFDNNYYNNLLVEKGLLSSDQGLFSSPEGVANTKDLVQTYGDDGEQFFYDFVWSMNKMGSIPLTGSEGEIRKNCRVVN >Dexi5B01G0036890.1:cds pep primary_assembly:Fonio_CM05836:5B:36469456:36469841:1 gene:Dexi5B01G0036890 transcript:Dexi5B01G0036890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLLDLYLDSFKNGDDIAHLLDHLIVVALDAGGFERCKVVHPHCYYLLNATSTDISSANSYMTPAYLELVWTKLTFQQQVLELGYNFLFTAR >Dexi5A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:5A:8022975:8026117:-1 gene:Dexi5A01G0010680 transcript:Dexi5A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPYAAAAASSSGAARLGLLPGRAQRQPLPPPSLPRGGPTSRLVLARGPGLLKHAASASPASSARCRAVSAEVEGLNIANDVTQLIGNTPMVYLNNIVKGSVANVAAKLEIMEPCCSVKDRIGYSMINNAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLFRAFGAELVLTDAAKGMIGAVDKATEILNKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPEIKVIGIEPSESNILSGGKPGPHKIQGIGAGFIPGNLDRDVLDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSSVLYQSIREECENLQPEP >Dexi3A01G0026110.1:cds pep primary_assembly:Fonio_CM05836:3A:22179406:22181773:-1 gene:Dexi3A01G0026110 transcript:Dexi3A01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAALLPHNPSKFAFEETLFPGQEPGAVRRLRTLQCQPLQPRGRNQEHPIVIEDEVNERYKVRDDQSAMMPLRRAPRFHQEDRSFGKPLLPPSCQETSHKRMAQNAIRKDKNHGSLEGNMKNDGLKPFAKMNSRKKPQTLCQDPQDIPTRKKVIDVSHMKSEKQERKSSNSEVVLGKRKRSAEGRSSEKKRSYKEPKRVIHRKIEKSPSILVKPKAGHERLTKIDANMDEPSGTKREGIKNLCDADDWTEEQDLALRKAYFTARPSPHFWKGVSKLVPGRSAEDCFNRIHADLSTPTPIGPRPRTSKTTFSPIGNFSLSDPKLPNLLESTVGRQRTVKQKSLAAQKTVRHLLQKHCLKDRAQEADHFSLFETSPRAFQLNISFEDSPGTPESYLNSGSLDKCSGSSSARKKSFSRLRAKQAERSPAVLKPIKNVILHEKYVDQLSRREGTKRPRKRTPGSKAVVSGKTISRQQAGGLKAAKNALISEATDFISQFKKLQANSLAHIVENDEDDDGIECDASDSCHDDDDKA >Dexi2B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:2B:5013332:5014169:-1 gene:Dexi2B01G0005460 transcript:Dexi2B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGIWPNSPSFSDDGFGPPPSRWKVGHGSHTASTVAGRAVANVSFDGLAAGVARGAVPGARLAIYKVCVGVFCSDADILAGFDDAIADGVDVISFSIGGMFPMQYFQSAQAIGSFHAMRRGVLTSASAGNSGQFGGRVCNVAPWMLSVAASSIDRRFDRLILGDGQTIEVSLR >DexiUA01G0002630.1:cds pep primary_assembly:Fonio_CM05836:UA:5866637:5870480:-1 gene:DexiUA01G0002630 transcript:DexiUA01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGATSISKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDNKMQGSSLSTEDLRDLFTFHEQVRSEIHENLKCSRCNKDGNSVLDDHGIDLAATELSSIPSMQDYIDIGGFGEISGCLQKMNNSHHQIGRPSEEDLGSWGHHCDPSTVPDTILQSSAGDEVSFVFTNQVDGKLVPVESMARSARHQPNGIPVSANRDEGVRKTNSPSKPGKQSFLGKNLKMMGSNLKNSPLKCPTRSRIAPPNCLQGLKKTSPSLDRHPQTKKLHVASDMSDDDFV >Dexi6A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:6A:24014705:24020718:1 gene:Dexi6A01G0016170 transcript:Dexi6A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIQVVTGAMGSLLPKLHAAEGGVIPAEIRQEGLTIPLPGAHDDARRSLHGGRGATGRPQLDPQLREIKGLLGRSGVERSVLRNQPQRGAVFGGAGFRRPGGKAEVSDLADGSDAPAPQAGLAAQGRVQPAEGRQEGHTLPLPGALCKVAEVPPDDLDPQLRLWAAQVRELSYDMEDIVDTFLVRVHNGGGDDPNGGSSSSKGLAKKMVGLLKKGMTRHQIAKEIKDIKDRVQEVADRRDRYKLDSVLANTTSTAIVDPRISALYKKATDLVGVEGARDELIGRLSEEGDDVLNNKALKIVSVAGPGGLGKTTLAKSVYDTLKEQFDCYAFVPLGRNPNTKKVLQDIFLELDKQQYMKLTAAALDERQLINELIEVLKHKRYIIVLDDIWDISTWEVVRNALQDNSCGSRIVATTRISDVAKEVGNVYNLKPLSNENSKKLFYTRISGGDGASVEDSQMDEAPYKILKKCAGVPLSIITIASLLVGKPREEWSKVYDSIGFGNEDNRDVHNTRKILSFSYYDLPSHLKACLLYLSIFPEDYNIEKNYLIWRWIAEGFVCEKQGMCQYEVGERYFNDLINRSMIQPTEHYFHNGTIVGCHVHDMVLDLIRSLSTKENFVAILDKEQDTLPESNVRRLAVQKRNIEEDKPKASMGMPQVRSLNAITCTITEMPQLSSFRVLRVLTMEYCDFTEGKSFRLEHLGKLLHLRYLSLVNTPISELPSEVGSLKFLQGLDVRRTGLEELPSTVGELKQLMCLCADGDTRVPAGMGNLTKLQELRLHSIDKSPSFAVELAELTEVRYLEMWFNELDESSQQALLSSMSSLHKVQTVEVWCGTGEWAHIGDWEGWDPSSQLRYLALVWIIIPRAPSWIDSSRVPHLSHLHLGVELVEARDLDTLGRLPVLRFLYLSTGNKLPYRPAGSDDGRRPMFQNLRFIQTNLQLTFPRGAMPALLILRDFGVNVRSVADAAAAASGAGFDDVLGLGNVPLLERFEVRLYCAGARLREVEEAEATVRRAIRMLPNSPAWCFRITRKLEEEMILDDPPVSDDEPAPQEQE >Dexi9B01G0047550.1:cds pep primary_assembly:Fonio_CM05836:9B:46607748:46612857:1 gene:Dexi9B01G0047550 transcript:Dexi9B01G0047550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPHPDLAIEARAGSLWLAGTIMLQYLDFSHASTSRKWGHKRQGDGFEAPRNSMEFASEASHSYGIFQEDISQYSWNRQYPKSGLSHSSTPIKKLIHEDISFRTNEGHKRPGVIARLMGMDSPPLNVTTESISRPEERISEITPSPMPRRDPSEMISTKRVSFVQHNTKDSIKHTPKQEIRAYDNERDLFGQLNKRNNEWSKPQPREHPQEEELQKFKKDFEAWQASRVWEQSRSFELESNLDDEDDDKCTDIVPYRHQHQHHKGKDATSGNKYMHSNDDVHWRRSKENSNTSISGSRTFSLTSADACSTRLPLSRFYHEEERPLSPTRIVVLKPCPELSMDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGTLASDDKTDRWAGGDIPADPKQIARNIANQIRENVTRDLHPALVRSESTRSYRSSDVAFNEQSQMDYIGRDARRQLSDRLKNVLRREPDAEPAFLHRRRASSTSFDEEPRPKPRHDMASRKGKKIRSKEEKKSAIEYDVRSFRYGSNKTPTQLDSEPVSPRNLMRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGHGSRPSSSEERKGRKDAFNIKGKVSNLRQNLGLRAKLFGKKFHASDESFPDDLPPIGMLVTAPSVLMHPGVLQVRHLHFTKENSTEVPPSPASWCSSPPDEMIRGGYPSPVSPLEASFSEHRSPLRTAAKDMSSSACEPGILSEQVEEQHAAETSPVLDGQDDDMDELDHPIKSFVRAVLVVAGLYGPKQNSGNLSSACEVKPIPKRVLEEVECSSSTLASASSDSDGVVTDALDHRLLFDLMNEALPGAVRTSTTLWTFDKCYAAAPRRALGGNKLLEALWKSVQVWLEPPSDDTTSSSASVDVLIGRDLSLSVWHGSFREDADALGGEVEADILDELVDEMVWDVLLNVGD >Dexi5B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:5B:18096376:18096783:1 gene:Dexi5B01G0017110 transcript:Dexi5B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGDANLLELKQMMAELTTKVTTVEGDLSSLRVDQARLHVAVNNVQSANLPPHDSSSYGPKGKAVEGISSAAATHKLRFHEVVVVDGADVKPRPTHVMINVPKGHGETLEVPKDRAQRAEPILFMVYILLHVF >Dexi9A01G0043530.1:cds pep primary_assembly:Fonio_CM05836:9A:46949080:46951075:-1 gene:Dexi9A01G0043530 transcript:Dexi9A01G0043530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMSTRSKQSSNSKGKAKTMAKILEEEQEEEETEDFFSSSNCGCFLCAIKQPDARLRRANLADFFRELPYCDDDGQSSSCAAAVAAVWRAAMAAPDDPELPSLGAIRAMSLLLARSLADGTWRRRGDNVVVPYYAAHAIGSFTIRSAAHAELAVAAGALRPLIALLGGAMTWVEQRAAARALGHLASYDATFPAVARLAGEAVPIAVRAASTCVADVYSSFVAVAPARRRPKYQRELMACGGGGLAGEEEDRKAEEWASQLQCWSLYFLSCLASRDVSSHAMICQDAVFLRELSRMWGGLANGDSPAGVGLLRILCRSPVGRGAIAACRDALSSLCDLARSSDDWQYMAVDCLLLLLDDGETWHAVADATAACLVDLVDLRHLGPRRRLGDAIATALLRDDDGHVGGGRELGVEAKEAIRSLRETKVERKEREEAMSRDELMKREIIAKEKKRLGNDSFLHGDVERAIDLYTEALELCPLSRRRERLVLHSNLAQCRLARRDAGAAVSDATRALSLARPANAHARSLWRRAQAYDMKGMARESLLDCLAFAGAWLDRRRRRKHTAAARGASANPKLPYCVARMISKQMSVTGLFAVD >Dexi5B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:5B:6275101:6280029:1 gene:Dexi5B01G0009240 transcript:Dexi5B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFPDVAEVRSLDWLNPRRHLPPLRAAPRRLPERRGTFRIAAEGSSSSSARAMEVRGLGQLLAALAAALFVRAIAGPGPALLPPAEDDDTDAEAGEEGAGGVPPVTIRWARITCALKNKRGEVARFLLSNVSGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHMSGYLYVNARPISQGGYKIAFVRQEDLFFSQLTVRETLTLAAELQLPDTWAPERKELYVNDLLFRLGLINCADSIVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEIVYMGPAKEEPLTYFASLGLLCYILPIPFVFFLMFSEAFRDGPTNKVRARMSVASAVIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERTIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPRISLIRWAFQGLCINEFKGLQFEHQHSYDIQAGEQALERFSLGGIRIADTLVAQGRILMFWYWSTYLLLKKNRPKYQALLPPLEEDQNKKQE >Dexi2B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:2B:7560328:7560768:1 gene:Dexi2B01G0007210 transcript:Dexi2B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKQEGPKLFSSRILSRDRSNVANASFRVYYSLGAGTVPFLWESKPGTPKSSFTPDSATSAMPPISPPPSYQSKAQSKARNFKRRSASWPAAGGWINWLNLNIRRRSSPPTSPMDHQQQRWLDQDHGDIGHDERRPWRPTLCF >Dexi9B01G0036650.1:cds pep primary_assembly:Fonio_CM05836:9B:38147951:38150853:1 gene:Dexi9B01G0036650 transcript:Dexi9B01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVEAAAAAVPAANDDDDVEDLYADLDEQVTAALAAAGESGGSNARDSDPGTDGEEEIPEDDANEAVDLGDGTAGYSSSDEDSDDDLHIVLNEGAGAPLPPPPVCRGEGCVADGEEGEDSGNRVKGSSGNGGGWAKVGGLQCKGILDKTTLPITEQVDRGLQHVFQRDCNLFLPRNSTIFDIDLEAFQQKPWRQQGVDLTDYFNFNLDEEGWRKYWCSMKQLRLGTRSLANETSGLDQELYKLKSTKAMPKVANHSGFEGINELAKPKGRSIHVEGSVHERVPSADLWRPIQRDSDVIQQVNTMHSPSNQSTSNVSSEFNHKCVTTERMSIDHPGDRRLKGSRFVVDRVVDKEVHE >Dexi4B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:4B:4138631:4140018:-1 gene:Dexi4B01G0005860 transcript:Dexi4B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNTTSTPSRRGVCVPYLALKHATGSDDQPVFYTVSEKKATIDVDAAGELENRNCWATPQGCVLVRNAAASSTYLLDLHNPSTMIQLPHLPDDGLSSFCTCLLSDYPDLAASDMCLVLLIEPDGPVIRYCHVGGDEWVEHEYDIGALDLPDEGEGYSEKLVICLIAACNGKFYFNGGFDELGVLEFSPAPVFSSIAIRNAIEEPFGCQKEVLVESGQELFMVSLLAATDLNALYRVQVHRMDFSAQEWCEVDDDIGGRAFLLSPWYFGASRAAAECGLEPDCVYMPYAGTKRMMVFSVKDGAMRMQELDGAPPVMGNINL >Dexi4A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:4A:10470787:10476300:-1 gene:Dexi4A01G0012050 transcript:Dexi4A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDHLMQRCFLSLAVLGALYLASVTFRLLDLLGIPTSFFLLRPTDLRRRYGAWAVVTGPTSGIGRSMALELARRGLSVVLVGRDADKLRDVSDAIGAAHPGVQTKTVLFDLSLVSTPQGDEAMRRLREAVEGLDVGVLVNNAGVAKPCAAYLHEFDVEAWIKMVRVNLWAPTEVAAAVLPAMVARGRGAVVNIGSGSTEAIPSFPLYTIYAATKRYVAQFSRSLYVEYRSKGIDVQCQAPLFVDTKMASGVTKAKRRSLFVPSSDAYARAAVRWIGHGALCMPNAAHRVQRCIAAAVPDRVHDWLRLREHLRQRALFQRLRSARAAPGTTSTTAASGNSETH >Dexi5B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:5B:22441033:22441572:1 gene:Dexi5B01G0020220 transcript:Dexi5B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGRRGAGDRGRRQLQSVVRLASYLGGGFLLLTAASSVAVRSLRALSDANQRKFATPCGACEGKGTYACRLCRGSATIDWSPVHDPVFINPCLCPTCDGTRVQRCLNCLGKGYA >Dexi9A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:9A:766433:769317:-1 gene:Dexi9A01G0001440 transcript:Dexi9A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAAGLGCCDGLAYVAGITFLLILVSLASPAQCRAQQLSGVSSDDDAQAQQQQVSVAAGWNGSDLEVIFCQVAVCGPHWVRCYCCLAYRDKCFDTMDECRAKCPHCNPHCQPPHLAQARPWDSTKATRWASPAAKPRPPLPIAGRPVTGGKPHPLPLTPVSDWEPTCPPQLMLLRTWPPTLSFPPVPLSRTANLPPAPARLLPRAQPSNAPPTMAAASVAGEPPDVVLDCKRLDELMKSGRLEDALDLFDGMPRRNVVAWTSAISGCTRNGRPDAAAAMFVAMLESGVAPNAFACNAALAACAAAGALRLGEQVHSLAVRAGLAGDAWVGTCLVELYSRCGSLREAGEVFRLMESPDVVGYTSLVSALCRGGEFARAVEVLCQMMSQGLQPNEHTVTSVLAACPRVLGEQIHGYMVKQMGLQSVYASSALIDLYSRNGDFDMAKLVFQKLDSRNVVSWCSMMQLCIRDGWLEDALRVFSEMILDGVEPNEFAFSIALGACGSTGLGRQIHCSAIKRSLMTDIRVSNALLSMYGRSGLTEELEAVLDRIGNPDLVSWTAAISANFQNGFGEKAVALLSKMHSQGFTPNDYAFSSGLSSCADLALLDQGRQFHCLALKLGCDSKVCTGNALINMYSKCGQIASARLAFDVMNLHDVASWNSLIHGFAQHGDASMVLEAFNDMCSSGCKPDDSTFLGVLVGCNHAGLVEEGKMFFRLMVDQYGIIPTPSHFACMIDMLSRNGRFDEALGMIEKMPFKADVLIWKTLLASCKLHRNLDVGKLAADKLMEISKRDSASYVLMSNIYAMHGEWHDAERVRQRMDEVGVKKEAGESWIEVRNEVHAFVARDTSHPDSASIYQMLAELVDVMQDMDHLDVPMQVP >Dexi9B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:9B:10284830:10289426:-1 gene:Dexi9B01G0015180 transcript:Dexi9B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKRGNRKGTRGDSAEPAARPAAPSSSSGGGGGGAGGAAPVTVNHASRATAATPSSPTSPHVAPSALATNQAAGAASPPLLEPLPLLRDVAAADRPGLLIRKLRIVAAIFDLSDSLKHPREKEAKRQALLELVDYVQAPAQAGANAPVRLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDDEEEPFLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLHLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHISHWNQAVHGLTANVRKMFLDMDSELFEECQQQYLEKQARAKEMQEQRESAWRQLEAVVAAKAAGDDMVLVN >Dexi5B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:5B:5364263:5365060:-1 gene:Dexi5B01G0007940 transcript:Dexi5B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQALLLLLASFLLWPAASAAQPSSCWPKACGELNITYPFWLEESGKPACGPPAFQVTCNSSGAFLSRTPYQAYRVVTIFAENQSLHVVDINLPLDTGCPAPTFNVSIMPLPFIFSGANKDLLFLGKCTGRSPDVPAGFRSLSCDKNSFVRLGDGGNFSRDHIDGGIPPGCLFSVVPILGAPDGNGEDYLGGMRNGFLLEWEEVPAGDCQGCIARGGECKYGDSGLVFACNCTNCGEFVKTKASINNLKCASILPFPFQAVSD >Dexi3A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:3A:3809774:3813023:-1 gene:Dexi3A01G0005880 transcript:Dexi3A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGSSSGVLRTPKRASDVNQKKKRKREARLHDVKKREAQLSEPKTPKFCRSIRLKSWPTLVRNLLFLQGDDVCAICDDGGYVTCCDGRCMRSFHLTLEHGEGSNCPSLGLSSEKAKVFKCKDYNCGHFYHPKCVSKLLHPDSKLQASLSEQRVAAGMKFCCHVHKCSVCHRAENKDDKNLQFAVCRLCPTTYHRKCLPRKHEIIKEHGIPRRKTIFFPGAKKKHSVPKGPKSAPKDQDILDEEEPLDHTVSEPSQTLPPHATIQNQYLCTSNPMDSFAPKAFFTHPYPGSCGWLDEDD >Dexi3A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:3A:13570756:13575966:1 gene:Dexi3A01G0017810 transcript:Dexi3A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTRQRGTATLSAYLPKGHGHHSRRRQGHGQPSATAHRRDGARAAATLQRIPLHYASRLPNPPASRILYATAKAARTTAHTTPQFPAASGSSSANRLSSHGRPRFRGTVIAAVSIRRGVLLTASPDARNWAWLVRHAASPRYKTDPKVLAERSLAGAAAAQRVQGWPLVEPSATLRWRSSSALRSPRRYVGGEASRRFWIENDTFMKDGTPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPDPQNWEFKGFADIESYLRLAQEFDMLVMLRVGPYICGEWDLGGFPPWLLTIEPALKLRSSDSTYLSLVERWWGVLLPKVAPLLYNNGGPIIMVQAIWQIENEFGSFGDDKKYLHYLVQLARRYLGSNIVLYTTDGGAMGNLKNGSIPQDDVFAAVDFETGSNPWPIFRLQKKYNLPGKSAPLSSEFYTGWLTHWGESIATTDASSTAKALKAILCHNASAVLYMAHGGTNFGFYSGANTGQDESDYKADLTSYDYATALRRVIHECTGTPLHPLPSDIEKANYGLLKLQKVASLFDIVDNISDPLKCTVSEHPLYMEQIGQMFGFLLYMTEYQGKLPSSILSIPKVHDRAQVFLSCSADGTRNPIYAGVIERWSRKTLEIPNLRCSSNTSLYILVENMGRVNYGPYIFDRKGILSPIQIDGIALRHWKMYPLTFNSLDNLPKLQLITQMPDVRASKVSIIHAGSEKKLQESSLSSNGKYRGSNNSASSFPKKYCM >Dexi3A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:3A:1608546:1610962:1 gene:Dexi3A01G0002380 transcript:Dexi3A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPAGGAARATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPITTTTNTPRSPFLTHHYLPINGGPPSSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQQCSRDVVKALLELGAADVNSRAGPAGKTALHLAAEMVSPDMVSVLLDHHADPSARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVATRDEGGGAGGEAGGSDGGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAIAGGEARKGNGGRGSPSNLYFPSGFP >Dexi1B01G0029650.1:cds pep primary_assembly:Fonio_CM05836:1B:33895974:33897330:1 gene:Dexi1B01G0029650 transcript:Dexi1B01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHLAGSHLSAAPPILTTTVGWRRRTATRRLSSKTRIPPSRPAPPLAGRPSARRRSRLPPLASRRVASGPAFLRRFRRLHPPQVLGFFICNGGRRYRDDVLDRLEGRVPDQSPLPVLDPTFHPVAPPDPAVARCRDFSLSLLPSVDHWALADSRDGLLLLCSSGSGDVPDYRHRDIPKHFAICDPLSGHSVVLPARDNRQYFGSNFLGAALVISDKDEGDVFSFEVLIATCYKKPRLGAFSSSKREWAVVPCPDAKKKIKPWIGDDPWIGDGAHASGCVYWVVHDWEWEFEYILVLNSQTKRFSTINLPCKGMCDRYDRDIKVVRSEGDSDIRVVAMDLSSIALRFWRRDRSRSTKGRWLKEDVVNLIGVGGVVDILLRGWGELRIIDAGEGFVFFKHLRIPGCLFSISRK >Dexi1B01G0030170.1:cds pep primary_assembly:Fonio_CM05836:1B:34238117:34239347:1 gene:Dexi1B01G0030170 transcript:Dexi1B01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Dexi5A01G0024630.1:cds pep primary_assembly:Fonio_CM05836:5A:28440797:28441068:1 gene:Dexi5A01G0024630 transcript:Dexi5A01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKPQAATRGDGGSNAGCTWLELYPPWPLCRCRAAAGASLARAGFGLCLSSHTVPDDPDRRNVSLDRCNPAIDEPTSEEYRTSR >Dexi5A01G0027060.1:cds pep primary_assembly:Fonio_CM05836:5A:30624668:30624975:-1 gene:Dexi5A01G0027060 transcript:Dexi5A01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVNKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPFLVYKGIVREFHMQDEDAGRPYRKFL >DexiUA01G0001160.1:cds pep primary_assembly:Fonio_CM05836:UA:3568282:3568924:1 gene:DexiUA01G0001160 transcript:DexiUA01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIVSASANEMKASPLPNASPILKRAREEERKSKSVFSFLPSFGLCLPTAGNNIKKGVHVAAAPAH >Dexi4B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:4B:317815:319261:1 gene:Dexi4B01G0000510 transcript:Dexi4B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCLGGGLLPVAVMLCLNVVAAVMVSLVKVAMDGGMDPLVIVTLQQLTAAVFLAPIAFFKERKSRPKLTLEIFAYIFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAVATRSEALDLKCKTGMAKLLGTLVSLGGAMVLTLYKGAAITHAAPNLIHSGDHRRPHAAVGRGKWTLGTVAILGNCVCLSCWFLLHGRLARKYPHVYSCNALMSMLSFLQVAVVGLCTQRSIAPWIITSKFQILTVLYAGIVGCGVSFVLVTWCIEKRGAVFVAAFIPVVQIIVSVIDFSILHEQLYLGSVLGSVFVIGGLYLLLWGKRQEALHCPPKVAQDDADKGQQPVHT >Dexi6B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:6B:2125342:2128068:1 gene:Dexi6B01G0002410 transcript:Dexi6B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGDGLAGAAPPPPSPALLPPPPRPPPPPPPSPAPAGNGANHSAISLPLLQQPTAAADHPDAPLSRWLRRLEAFLSAAGLAASTRLGVAAAASALAVLGLALPASAVLLSPCRAPRLACDDVEVEVFELCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPDLGMRIRFQKEYIAKIEDFFRILTWWILPCFVVKVTRELFRFSHIFHESAWRACVVFLASIMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFLSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLCLCLHAAAKISHRAQNISSIASRWHALATCSTESTYATTPNSSGNLVPFPAHMFLRDYSESDLESLESASLHGNPQGTAQLASYMSTYHKRESLVLYLLANPGGITIFGWIVDRAFLNTILMLELTLVLFVLSKTVVIPAKTLVHSYIGYL >Dexi9B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:9B:63947:69940:1 gene:Dexi9B01G0000020 transcript:Dexi9B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQSQGRYLKQAHRAWLMACIPSCLEQHARHAADSSETKQAKSHRTGLASSRQPRQKCHAWNRSDIQEAFTRKCSVPFPNAQCLAGLKPTGKAVSQEAPAHLDLILGARDVLAAIWADLVEGIRSLPTAPPSHHGSPQLAAAVADRSGYFLSSSIRLQGERKRAELGPQARGGRRKTTSRKVVGCWLGGWVRLQQLPASLLAATAQVGTNAAKRVPSHSANFPPTFQSKQAAKRQVQPWRGNMLASKSAARVPPANWLAQACQCVCVNPN >Dexi1A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:1A:24767245:24767895:-1 gene:Dexi1A01G0017430 transcript:Dexi1A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRAELIEKMHRFSTSYELAPGALHRAVSYVDRFLSAKKITGGDRHGQLLLLGATAVFAAAKYEDRNTSWSINADAVAFYAGTTRSEVLDTERELVAAVGYRLGGPTAYTFVEHFTRNMGEDGDGEATRSLAHRLANLALLDYRCMRMLPSAVAASAIIVAKLTLNPAAAWREDLAAMGYAVEDLAECMDAIKEMHGLQEVWPGCAQMMEGFVLS >Dexi6B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:6B:2129228:2134078:-1 gene:Dexi6B01G0002420 transcript:Dexi6B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSKIGFSGNSEPSFTLPTVVAVNESFLDQKELLNSANWIAQYNAGIMADLDFFIGDEALSRSRSSGLYTLRTPIQNGQVNDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECMGEIMFETFNVPGLYIAVQSVLSLSAGYAYLKSISDENSDPSVAQYFSLLLTNIYCQSDMTGVVVDIGDGAPHIVPVVNGYVIGSSIKPFPYSGSDVTQFVSQLLQERGELLPPEDSLDISRKVKEMYCYTCSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFNPEIYSADFSTPLPELIDRCVLSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNAHHRVEVRPIEVNVVAHPIQSYAVWFGGSVAASNPEFYEYCHTKEEYEEHGASICRTSPVFKGMY >Dexi6B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:6B:2663255:2665108:1 gene:Dexi6B01G0003220 transcript:Dexi6B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTASGTPAAEGEATRAPAGSSASGGGGGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKFDEQKDQVAVEQILRVVFLDAERQSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLKERRERYLARQQIEGVDSV >DexiUA01G0011460.1:cds pep primary_assembly:Fonio_CM05836:UA:23031953:23032159:-1 gene:DexiUA01G0011460 transcript:DexiUA01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLDLGPPPSRWDELEIAMPGGSASSPQVGIISGHGRTGCRDTGRRKSGRINGGCRKPSCFDGQCFG >Dexi1A01G0025570.1:cds pep primary_assembly:Fonio_CM05836:1A:31742199:31748396:1 gene:Dexi1A01G0025570 transcript:Dexi1A01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWPLVQLAMKVERDLHMSRGDGDNSYASNSRLQEKAILKTRPVLHEAVAAAAHASSLSASSAMVVADLGCSSGPNTLLVASEVLGAVADRRDELALGGQQQQVVQHVQFFLNDLPGNDFNLVFQSLELFKKLMVAKDKGDSLPQYYVAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKARKDSFNQCNQQVPEELARGMVVNEGNMYIWEATPPSVVKLYQKQFQEDFSMFLKLRHKELVSNGQMVLAFLGRKNKDVLRGEVSYMWGLLAQALQSLVKEGRVEKERLDSFNLPFYAPSVDEVRNAIKQSEAFDINHIQLFESNWDPYDDMDDSDVVLDSVQSGVNVAQCIRAVIEPLISHHFREHVLDDLFEIYARNVAAHLKKVKTKYPVIVLSLKARRAPPKYPAMDICYPVFTQSQLGMKVERDLHMSRGDGDTSYASNSRLQEKAILKTRPVLHEAVAAATHASSLSSAAGGAMVVADLGCSSGPNTLLVVSEVLGAVADRRDEQPVQHVQFFLNDLPGNDFNLVFQSLELFRKLMAAKDKGDSLPPYYVAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKARKDLTSNVPEELAIGTVVNEGNMYIWEATPPSVVKLYQKQFQEDFSLFLKLRHKELVATGQMVLAFLGRKNKDVLRGEISCTWGLLAQALQSLVKEGRVEKERLDSFNLPFYAPSVDEVKDAIKQSEAFDINHIQLFESNWDPYDDMDDSDVVLDSVQSGVNVAQCIRAVIAPLITHHFGEHVLDDVFEIYARNVAAHLRKVKTKHHVIVLSLKARRAPPKYPANGDCYSLFAHGEFE >Dexi3A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:3A:603665:604021:1 gene:Dexi3A01G0000830 transcript:Dexi3A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRDVVAVVAVVVLLLVVAAETASAAVSCSEVTSAVMPCLGYAMGNAASPSPACCNGVRSLSSRASSAADRQAACSCLKSMTGRFGRSMGNAANIPSQCGVNVGVPISPNVDCSK >Dexi6A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:6A:19382492:19386326:1 gene:Dexi6A01G0012680 transcript:Dexi6A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLIQVDQSTVAIKESFGKYDEVLGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAGKFLMLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKFMEAEDATHHEHPKEKSKDHAEEAKPVKDKKEKKPKKEKKEKSKDKEKKEKVGETTDVAKLRAKVEKLDAKIDDLKAKKQFEARCPAEC >Dexi1A01G0023400.1:cds pep primary_assembly:Fonio_CM05836:1A:30079453:30079912:-1 gene:Dexi1A01G0023400 transcript:Dexi1A01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIAAPAAPAAAIAAICPWCGENRFAAPCLLPECRSRYEIPTMDASISAPAATSPDGYGFRCSAPDVDSLQPWEALAECASGANERAAPQQDAAMSPAQGRQGAGTGATHRREKPAGRQIWRTVVKDGMA >Dexi1A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:1A:3178111:3179948:-1 gene:Dexi1A01G0004300 transcript:Dexi1A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus-encoded chloroplast protein, Chloroplast development, Biogenesis of chloroplast ATP synthas [Source: Projected from Oryza sativa (Os02g0152900)] MPPITTPSATRALLPLRIARQGIRGGQGWSSQSRRAMRGATICVRASMTCVFFFLQNITCCANQTQTAQRKSYSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLREFERNLQNGSRRGSDYENGLAPQ >Dexi5B01G0035100.1:cds pep primary_assembly:Fonio_CM05836:5B:35179610:35179886:-1 gene:Dexi5B01G0035100 transcript:Dexi5B01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKLRQPLVPLPRRRLPPAGVAVAAAATAPLLVLFAVAAFSHSPVAVVTAGGAPKLVELTLVPGAREKGADGY >Dexi1A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:1A:27607569:27610795:-1 gene:Dexi1A01G0020800 transcript:Dexi1A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPASASAQFTYPAAAAAAVAGAPSYFPVPFHLQYPQYAAWPAATAAPAVAPVPSYNAVYPMPQVQQAQQLFQKDSKIITPEALATVKAAIANSEKDKKVEATKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDFEALGKGKTQPQKKIKLQKRSVLHK >Dexi1B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:1B:26108185:26111335:1 gene:Dexi1B01G0019910 transcript:Dexi1B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSGSGAAGPVIAEVEMNGGSDPSATTVRATVVQASTIFYDTPATLGKHAVAFPLFFSDYKAERLIEEAAGYGSQLVVFPEAFIGGYPRGSTFGFGISVSIGNPKDKGKEAFRRYHAAAIDVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYEFAGLGEEPSADTVVCPGGSVIISPSGEVLAGPNYDGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDKPQLPVSFTSAAEKTPAAKSDSNAKSY >Dexi3A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:3A:9431935:9432144:1 gene:Dexi3A01G0012960 transcript:Dexi3A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGEKHAPGRARCGGGGTVTADAVTPAALYLASWHAVAEGGRPPACPVAVTGGEEEKGGGVVCAALV >Dexi8A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:8A:7141547:7142491:1 gene:Dexi8A01G0006830 transcript:Dexi8A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHPEAKNPHYLIPFGAGAMTDQAERRIASAGSPTRSSTTSSSSSPPTTPCGRACSGSVGATSGDPRMPSASPYWGRPLEPYWRPWTLNSFVNSFLLLRGGAPLDELEVACGEIFSDDANGWYNGDGEDVERRHQTWERSKEISRSVRSWITRSRISAPRLTSLHLVVFYGRAPFLEEMPLLVNGNVRLEDCSCADICKNKRYYGDCGDDNCRGCFGSRGDGSSVLLQGLSSATDLKLTSYPNVFIFRKDFKFCTTFSDLKTLLLNEWCLKPGFGALD >Dexi5B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:5B:6858469:6859599:1 gene:Dexi5B01G0009790 transcript:Dexi5B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPTAAAGTGAGAQPIVLTPGVAPPAATSSALPTPIPPSAWSLAPADLTLPKAASFLAASLTSCSSLPRFRAVVASFFAVLSQSLSLPPPPQVVPKAIHALAPYFPATVASLVASKAASLADHEVLFALVESRLLPHPPPDLISSLSDNDRADLVCAVLRQAADLRSSEILAALRLFLSPPSEKAYDAMMEVKRRWKDAAVVAVNKSREKGAGRKKMVDATARQAALLLMMGHDGFSSPEVCLHYLFASGNVDSVVLGAAVAELDGGEVVRLMRYLKEWIVKYGRFPEAQPFPEAVAMFGLEQCDSVPSFGAVVRALGVLLDNHFSHLVLNADVREALMAAEVIVRELAAEAESSGPILDLLRRLQQDK >Dexi4B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:4B:10427104:10428560:1 gene:Dexi4B01G0012600 transcript:Dexi4B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLCLVLCSYYSIVHGGGDDSFVTVPTSSFEPNPVCSQERVTLDKNNSAIVSLPLVHRHGPCAPSPPTDKPTFADTLCRSRARLNYTANVAPTDMRSHGDVKASIPTHLGSSLNSLEYVVTVSFGTPAVSRVVVIDTGSDLSWLQCKPCNPGECTAQKDPLYDPSHSSTYSSVPCSSDPCKKLTADVYSNGCTSNGKQCGFLISYADGTSTSGVYSKDKLTLAPGVVHTVSGLYDGLLGLGRLSESLGAQYGGTVSYCLPAVSSKRGFLALGSGRNPSGFQFTPMGTVPGQPTFATVKLAGITIGGKKLNLRPSAFEGGMIVDSGSIITGLPSTAYGVLRSAFREAMQAYRLVPNDQLDTCYDFKGHKNIVVPKISLTFSGGATINLHVPNGILVNGCLAFADSGLDGTTGVLGNVNQRTFEVLFDTSSSKVGFRANAC >Dexi7A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:7A:12213274:12214694:-1 gene:Dexi7A01G0003170 transcript:Dexi7A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDICTFNVVETTLWHVIITRWKEKINQSFYCLPLSFCKAIGLRELYTITLKTSMSSTSWLVRVFHYPNCSMVSGSGWTGFCHENRISVGDVCTFEIIETTQWHVIIERPEASTK >Dexi9B01G0028080.1:cds pep primary_assembly:Fonio_CM05836:9B:30704414:30705071:1 gene:Dexi9B01G0028080 transcript:Dexi9B01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAREESDADGRAAPLLHARCGPPPGPCPLLSIELTGQPAPGRRNAAITHHPAPAAGSPLDFRSPRAPSLRRSALAALMSALAPCPGATGLVGSVRVARVR >Dexi3A01G0028220.1:cds pep primary_assembly:Fonio_CM05836:3A:28740935:28742664:-1 gene:Dexi3A01G0028220 transcript:Dexi3A01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLVSSLSILLFVLSAAYVYYTTKSRPPQRLPPSPPGWPVIGHLHLLSAGMPHHVMTDLARAMKAPLMGLRMGSVRAVVLCKPDLARAALTSNDAALASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHFAKNTVPDRPFDLSECFLNLANDVLCRVAFGRRFPHGEGDKLASVLAEAQDLFAGFTIGDFFPELEPFASTVTGLRRRLKNCLADLREVCDEIIDEHISGKRPHIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQDEVRRVVGAKGRVEESDLGEVHYMRAVIKETFRLHPAVPLLVPRESVAPCTLGGYDIPAKTRVFINTFAMGRDPEIWENPLEFSPERFENGGGEIDLKDPDYKVLPFGGGRRGCPGYTFALATVQVSLASLLYHFDWALPAGVRAEDVNLEECFGLATRKKEPLFVVVRQTEGYEFKGEELNEV >Dexi1A01G0025540.1:cds pep primary_assembly:Fonio_CM05836:1A:31715659:31717255:1 gene:Dexi1A01G0025540 transcript:Dexi1A01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQPTVLHKLGGQFHLSSSFSEGVRARNICPSFSSYERRFATRNYMTQTVWGPSMSVSGGINVPMVSSSPLFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGECFKRTIKDEGFTSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFGGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >Dexi4A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:4A:8192059:8195466:-1 gene:Dexi4A01G0010270 transcript:Dexi4A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTTDRRRGGGPVATASGPVWRPRSSAPAAGPDAAAAPILPLPTPAASESRPPHRRPRRPNHGNNQNRRPAPPQEQQHDGAAQPPRSAPHQEQQNNNAGRHRRPGPHQEHNDNAGHHRRGPPLERPAPARERAPPTAAAAPIRGDGSVPQLVQEIQDKLARGAVECMICYDMVRRSAPIWSCDSCFSIFHLPCIRKWARSPASAADASPAADPANPSWRCPGCQSVYNTPARDLAYTCFCGRRREPPNDHYLTPHSCGEPCSKPLERAEPLGAKGEDADATRCPHVCVLQCHPGPCPPCKAFAPDRPCPCGKQIIVRRCADRSTPVTCGRPCERMLPCKRHRCEKVCHTGPCGDCSVLISARCFCGKKNETLLCGDMVVKGKLSEDDGVFSCSELCGHTLSCGNHVCKDMCHPGPCGECELMPGKVTTCHCGKTRLQERRASCLDPVPTCDKICDKKLPCGVHSCKVNCHEGECPPCLARIEQKCRCGSSGRMVECYQVKKEEFRCNKPCGRKKNCGRHRCSECCCPLSRKFAQLEGVAILLLVISHQQMGMLAQALEAELLVDRYVVLLGENPVESNGKRVPLGQRKLSCDDECAKMEKKRVLAEAFDITPPNLDALHFGENSSASDLVSDLFRRDPKWVLAIEERCKFLVLGKVRGSSSSNLKLHVFCPMLKDKRDAIRLIADRWKLSVQAAGWEPKRFITIHVTPKSKPPARILGSKAGAPVTAAHPYFDPLVDMDPRLVVAMLDLPRDADVNALVLRFGGECELVWLNDKNAIAVFNDPARAATALRRLDYGSAYQGAAMFMPSSAQTSSGNVWVGGQKDGGLAARSNPWKKPAAAEADLPSGDWTGVAGHAPAAGWRGASTASQVMGTQNRWNVLESDAATSSGPAEDRKTAPRTDAGYSAVQNSGNAGSSVSKLQPDVEVDNWEEACE >Dexi2B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:2B:125114:125824:1 gene:Dexi2B01G0000270 transcript:Dexi2B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKKAILAVVAVAALALQLAAAVDHPVGGDGSWDASGTSYNAWSAKQKFVQGDTMSFKYSASHDVTEVTKAGYDACSGANPVKSYSGGATTVKLTSPGKRYFICSFPGHCAAGMKLEVTVAAAAAPAPAKAKGKPRHQRSAAPAPAPAMAPEPSSSTGQLPNVSTPTVALAPKSSDAASVRVLGNKAGVGMALAVGMALACLAI >Dexi2A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:2A:4685047:4689822:1 gene:Dexi2A01G0005020 transcript:Dexi2A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWARAPAVLAAAAVLWLIAAAATGNADAGDLERAFPIVEPDYGHTKLRLAKEGLEAIRRIKTPIAAVSVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWVWGTPVELDIDGSIVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLVYNLPETGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEINQIRDSLAVMGDNSTAFSLPQPHLRRTQLCDLEDQELDPLYLERRDQLKQIVSSMIKPKVVQGRTLNGTEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILERCLKVYHERMGRVGLPVSVDKLQQYHDLGKDEARRLFDKQHFGKHHAAQSIFKLDEEIKKMLARSRALFIKEYNNKLFNWLVIFSLVMVVIGRFVVKFFLLEIAAWVMFAFLETYTRLFWSSESLYYNPVWHIVVSTWETIVYSPVIDLDRWAIPILVVLSFLAIYWRCLGGRKGIARSLLPLYNGCYRNSNRPRTD >Dexi9B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:9B:2268250:2269706:-1 gene:Dexi9B01G0003930 transcript:Dexi9B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVLLAPLLVLLSVSGALESAAGATNGTSPADGMIRGRSLGSSSQSVFSLDRYGGHGDGRHDDTQALAKAWKAACASPRPAVVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLMASPNMADWSDKDRRHWIVFRSINKLTVNGGGAIDGNGEIWWKHSCKINKAMPCKEAPTALSFHYCTSLRVEDLKIVNSQQIHMSVEDCINVQLARLSITASGTSPNTDGIHITRSRDVKVTNCKIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQDYCDKKKPCKEQRSAVDVSNVVFKNIRGTTITKDAIKMKCSKNVPCRGITLQNIDLKMQGGKGATESTCRNAKWKKSGTVHPQPCTAMN >Dexi5A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:5A:1363258:1365916:-1 gene:Dexi5A01G0001930 transcript:Dexi5A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLLLPALLCLALAPRVAQCGGGARPQNYTSMFSFGDSLTDTGNLLVSSPLSNHIVGRYPYGITYFHRPTGRCSDGRLVVDFLAQAFGLPLLEPYLQSKGKDLRRGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSVQLGWFEQLKPSLCDSPQSESLHIAFCKEYLSKSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPTVAGAVTDATERLIKAGAMHLVVPGNLPIGCSSAYLTLHPGRNSSDYDSAGCLKTYNDFAQHHNAVLQHKLQALRAKYPQARIMYADYYGAAMSFAKNPKQFGFTQGPLRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAAYHAIADSILNGPYTSPRLL >Dexi4B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:4B:17894693:17901991:-1 gene:Dexi4B01G0015910 transcript:Dexi4B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSAPARATAAAGPRPPTFASQTNALLRKNLIFQVRSPTDNRIMQNLFTDSPLSNLSDHTSIFSGLLGTDIPGFTTGFIDPAFDSERSIYVLDPQCKSSDSVTVTITIGSANAQKAEIKCVQGLPLWRNSSRTINDETFQGYRKGKTAEGINEIAMVILTTTVTAAYDFQDSNEKNFKVLTLYNSTYQNVSFIPMPLGLLRISRSLNAVSNAYLQLVQGSGFKMLLDFTKEMPKQATRLTFDFSAVVGPLFFEWVVVLLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYMCELFQDQRLQYPVCFLFSFINLQIVMAFLASSFFSKVNTAQAIAYLYIFGSGLIAGNLIRNFIEGGKFPIGQTSSPLSIIKRLLKKDRASRRITVNEIADKDVHVEMEKLDIITERETVDQVLQEQNSGYAVVCDDLKKAVDESLRSVNLLHGGAPDKQVKKYSGGMRRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWNAVKQAKQDRAIILTTHSMEEAETLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTSPEFEQEVENLVRKLSPSARKVYNLSGTQKYELLKQEARMADVFMAVESFKKRVEVQAWGLADTTMEDVFVKVAKGAQLSQELS >Dexi2A01G0028400.1:cds pep primary_assembly:Fonio_CM05836:2A:39676639:39677886:1 gene:Dexi2A01G0028400 transcript:Dexi2A01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKSSSSNDERRGHHAAKRRPKLAKKKKHLYLLVDDWERGYSVRKLDVDAFDDCDGETDDLLPREQHQYFTDPPVARIEALHEISCHLISHGTKIFAMQPGEGKPAIPAFDTHTHGVTICPWPSCQGNNYSNPLFVSVAGEKLFLFMNVLAEVLGDQPPYDSKAPWSWTTINQARPPFFTGKVLCHALHPDGRTLFVSAGSRRRRRPNSREERYYSGSESDSEQGQGTFSLDTERLQWTKHGDWVLPFSGQAYFDAELDAWIGLCGERNCAEWLCSCDVVAAPVAAAAAAADEFITGAPPPSWKLGQEKLFRKGQQLHLGAKLLYMGDSSFCLVESVVHKEDDHLFRDDSILHVDSQVPLPRRRVLCITTFGLKHNKKGELQTKPRRAGACITYKRPHDFGESLSLTPSAFWL >Dexi8B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:8B:5467033:5467264:1 gene:Dexi8B01G0005380 transcript:Dexi8B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASSRWKQPPVLPEEVSLNSYVLVQTYLLRAVTGLGFLALTWSTLVHLGGSVTSLGKDFWCLTPGQ >Dexi6B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:6B:21686383:21687296:-1 gene:Dexi6B01G0014040 transcript:Dexi6B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPAAPREFSGWILAVAPLFLSPFSPPPRKVFFVLCIVNRTMIHVRVHRCMLARPLSPMEYAETYLRVRARTASYCTLHTCGDRLATRALAMGTPATCQVSLTSESTTTGQASTDPTRSH >Dexi8A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:8A:22887216:22889622:-1 gene:Dexi8A01G0012950 transcript:Dexi8A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFHSLLLPLHRKRKSKRRRTRNHHLAGVGGGGEPSFHLKGSTSSASSHDVGCLVQPLGNLLLASSPRANLRDAGLGALRPLPDELLLDVLGLLTARDLAALSVASKALYVVAAHDPLWRALVFDELGGAFDFAGSWRATYISAASRGQHHLVPPRALRIKGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGMSVEQFIAEVEEPNRPVLLEGCIDGWPALQKWSREYLLEISAGKEFAVGPVTMTLERYFRYADNVQEERPLYLFDAKFAEKVPEMGKDYEVPVYFREDLFNVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECVCRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKKPNASELVSGTKDRVNLHDKFCDAIEAAHTGMINQLKLEAQQKATARKKKASFWDSAVDAKSGGF >Dexi7B01G0024640.1:cds pep primary_assembly:Fonio_CM05836:7B:28935191:28941095:-1 gene:Dexi7B01G0024640 transcript:Dexi7B01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRAAESEARSANAPEEAVKAAGDAAAELVKSAALEVWKSESNGEAVVLAAEKAASSVVEAAVSTSVSRSSNQFGEERAVEEAVQISKDQDLENFIISDQWKLLQLREKYSIQCLQILGEYVEALGPILHEKGVDVCLTLLQRSMKDQEGHDHFALLPDALKLICALAAHRKFAALFVDRGGIQKILSVPRTVQTYMGLSACLFTFGSLPSTMERVCALSSDTLDSVVELALQLLECPQDLARKSAAIFFAAAFVFKAVLDLFDARDGMQKLLDILYGCASGRSGGSSGGQGSSHINQGNDQLPAEALTASEKQVAYHSCVALRQYFRAHLLQLVDSVRPSKGTRSIARNTSSARAGYKPFDITNEAMDAVFRQIQRDRKLGPALVKARWPVLDKFIAANGHMTMLELCKFQAHGDRYLRDLTQYAIGVLHIITLVPHPHVRKPIVHATLSNNRVGMAVLLDAVKSFDYIDHEVICPALNVLVNLVCPPPSISNKPSSTANQQPAPGLVSESREKSISDRNLLANQGESRERSGDANPSERNNTLHQGTPCTPVVPSGVVGDRRITLGVGVGGPGLAAQLEQGYCQAREVVRANNGIKILLQLLSSRMVTHPVAIDSIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSAQTSGPDSGRWQAELTQVAIELIGVLTNSGKETTLAATDATAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGFTATAAMLQKEAGLAPLPLTAAVLPAHQVSALEASSVQQQWPTGRVQGFLPDKTNTSADQSAQRSDSVMLSSKKKALTFSSSFSQRTLSPHPVPGNIASNNLKSPAPIGGDTVDAETSHKTPLSLPLKRKIVDVKDQNSTSSAKRAATADQVILNSEVWDLRKFKLLRSVPSLDQTVIKFNGTGDVIYAILRRNLDDVTSYINARRVRHPLFPAFRTIDAVTYSDIATVQIDRCVLDLATEPNDSLIGVVAMDDHQELFSSARLFEVGRKRITDDDSDPEDAGDTDDEDDDDDDNSDDGVLLAPVLEGDSDSEELSNSDDGGDDDIPSSDEIEDDPEFIDDGDLEGGGGLLDIMGDGEGEDDESDMMGSFSSGDEDGWIL >Dexi4A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:4A:6379601:6380623:1 gene:Dexi4A01G0008530 transcript:Dexi4A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSSSFRRRGHALLLFMALALLSSARHSVATGVSSPSTSRKLMALYKPPASDMLRYHDGAVLSGDIPVSILWYGRFTPAQKAIVTDFLLSLSAAPQASPNPSVAKWWSNINRLYLSKPVAVRKNGGGAAARSSRVVLAGQVSDEGFSLGKSLKMSHLPTLAAKATRRPATNGIALVLTAQDVAVEGFCSSRCGHHGSYGGSGAAAAYAWVGNPATQCPGQCAWPFHQPVYGPQSPPLLPPNGDVGMDGAVISLASMVAGAVTNPFGDGFYQGDRGAPLEAATACAGVYGRGAYPGYAGELLVDKATGASYNANGARGRKFLLPALYDPDTGDCATLV >Dexi5B01G0022080.1:cds pep primary_assembly:Fonio_CM05836:5B:24304042:24307666:1 gene:Dexi5B01G0022080 transcript:Dexi5B01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSPTYLALARKTLNPAASSSGAPGAAAASVSFPAAQAPCLLAASAGRRRAVAAKVSSPSVIGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSGSDLVLLLISDSAQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGMVEALFRRYTEQGMDEDSAYKNTVESITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKKAPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSS >DexiUA01G0012280.1:cds pep primary_assembly:Fonio_CM05836:UA:24797448:24799753:1 gene:DexiUA01G0012280 transcript:DexiUA01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWALAIAILAAALFLGAEAQAVQQGHQTERISVYPFRFPHTLVQSPNAVANKNAGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPAGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSIL >Dexi2B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:2B:1531556:1533329:-1 gene:Dexi2B01G0001990 transcript:Dexi2B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASDLRSRISARAAAAAQPGEKNAARRLGAAGGDEDDEGEEADSLVGISDALESLERQLASLQDLQHQQRYERETILSQIDRSRRSLLSKLKEYKGQDCEVIHEAAAFAGEKIEHDDGLFLPPYSNHVTNSFVLDDLYPLSYVSKPKCLHNGLDSNGATQDSTRTNGNSASSRGLRGGILSFLGWMAKTTVMVAGAVSIMKAAGYEPVIGMNSIKLDIAGLFGKAAATGGAEQGTRRCPPGQVMVVEDGRAHCVVKERVEIPFDTNLASPDASYGLG >Dexi1A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:1A:724024:725316:-1 gene:Dexi1A01G0001130 transcript:Dexi1A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPSSPASSCSAAAAHHQETTTTLQELPAGEAAGGIATTSRAEASAAADELSPPRCEWEFRLAATVPSTALPGASDAIGSVDFDPTGRLLATGGIARRIRMYHVASLLQHQGNGNGAATWAPAACICVPAKLSSVRWPSPASVGCGDYDGVVTEYDVERGVPSWERDEHAGRRVWSLDYAPAGSASMAASGSDDRTAHVWDPRSPSAGWATARAAAAVLCVEFDPSGSPLLAVGSADRRAVVYDVRALGRGAVARMDGHGRAVTYVRWAPGPRRVVTSAADGTHRLWEWSASPAAVEAEAEAREVRSYSGHASARSFVGMGVWRAGGLVASGSESNHVFVYDLRWAKPVWVHPFVVGGGAHGPATAPAGFVSAVAWRQGDDGGGGVLVAGGSDGVLKVFTCHRRDDE >Dexi5A01G0024820.1:cds pep primary_assembly:Fonio_CM05836:5A:28657510:28657854:1 gene:Dexi5A01G0024820 transcript:Dexi5A01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTDSGPPRFGGIPYSALEILSIKVTEFQGQGLQWPLRVFSLVAVRDSMDPQRNIYSYSNPKTIAKSLLAKHMK >Dexi2A01G0025570.1:cds pep primary_assembly:Fonio_CM05836:2A:37171183:37171509:1 gene:Dexi2A01G0025570 transcript:Dexi2A01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARQAQAVSSMRLFGKHVFPRQIAMFAAGLLFFGATTYDVHRSIKNNEQPPTREQMEALQDYINSKKQ >DexiUA01G0025280.1:cds pep primary_assembly:Fonio_CM05836:UA:53166746:53168327:1 gene:DexiUA01G0025280 transcript:DexiUA01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSSTLPGISLARSAVSAGGGRDAEQLGEGEAAALLHLHPAAPPGTSLEAIRHGSPTLFGRSNDPSFPLRKWNERWVILDPTTGKIEYKVRRSDKDVRGAIVFDSTSTVTLSPMNFQNSQRCKSMGIYFTCDSIGTAGS >Dexi5B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:5B:4739925:4742614:1 gene:Dexi5B01G0007070 transcript:Dexi5B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGGDDGAGEDGGRPISGGIGGSAACGAGQDQAESRSRKRKPAVTSGDSGSLVGNGAHLNVPSEDSRSILEEIKDLRAQLDKDVKEFGYCEANQKLRVELALKVKEIQFLRKQKEEMQVKYDVMRKPNGNLQAKNDGLAKHNEELQAKNDRLVTDHEVLKNSNDDMKKWNRVLQVKNDDLMKQSEELHAKIDGLTKQNEDLQAKNDGLAKHNEMLKDSNVGLTKRREELVAKNDSLTQWNHEMQAKSGLLTKGNEELQAKNNSLNKQNEKLKAKNDGLMKLNVELQANNDKIRKWNMELQANNDGIHKNGLKDGLTGCTDIETTNSRDIIPESVLHLKKPEPTLCQAASSFKDISYLRAQVTNLKTAVDQLMSNNHTPVKIKAALKELIDDYGADLNNTIMCTGGAKGSKRVTPPSVQDQPARMTRQRIRELASTEEGLNGTSPNTQENASITAHCPTQADAEIQMSNEGKESLSIFKRNMVDPML >Dexi9A01G0035200.1:cds pep primary_assembly:Fonio_CM05836:9A:39825946:39826245:1 gene:Dexi9A01G0035200 transcript:Dexi9A01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLISKCSFRYILESGSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLGSHYDQVIILL >Dexi6A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:6A:475663:477530:-1 gene:Dexi6A01G0000710 transcript:Dexi6A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPVALGAAGELAAIPTLHLWLHPPHVQVPTMPMYDYANHDMLTEQVAIPGSRDPVASLPDRDVEAKKVMARPNLLLILRHSYDCIRLMHQAGYSLNGQFGVANLLVYPSLDVRMTGRLHITSFTQDTGDRDYTAFASCVEEFLCLRETVPPHVSRWLNIIRQGVIGDEYLIRYNTALMAPTQVFSTFMSLRRTLESLHSANEALYTRIVNSLPEYNLWHSHFYACVNRYMEKARKYQVNRTGLENPFDQNIRGVLTLCRHCSEHPGFELEEDFMLLIVEDDFPELASNFQTVMFREGWLLPLNLEQAMG >Dexi5B01G0033030.1:cds pep primary_assembly:Fonio_CM05836:5B:33533123:33533859:-1 gene:Dexi5B01G0033030 transcript:Dexi5B01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAYGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSASGSNDEDAASLEATVEAPDLVLHPPESKREARSYMTHHHHRLLTCYVRDVVEQQAAEALWERPTREVETLELFPLKSYVELEAEKVRYVSEQCRQYSFFDVAGGRDPPLELRLCSFGP >DexiUA01G0002850.1:cds pep primary_assembly:Fonio_CM05836:UA:6088626:6090563:-1 gene:DexiUA01G0002850 transcript:DexiUA01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLSSALSALHTTGSGGGGVQGQGRRRRRASAVAGSAGPQRRTNTRRRSHSPFLKMVTTSTLDDMATHGESTGKNTFKTQPNPRSYYRCTHRPDQGYRATRQVQTSDDNPSQFVISYYGQHTCRDPSTVPLVIDAGAPPDCANLISFGSTTTTMGASTSTHVGATIIPPQQAFDPTSMLFVSRLVGYSSSLPSQLENRCGSEEVHSSCSPASELAAVVGSAGMTSSATVGSAPAEYWPGDMACGPAGTASFLSSPSSLGIVTGSFGSFWERRRRR >Dexi1A01G0031160.1:cds pep primary_assembly:Fonio_CM05836:1A:36270922:36272722:-1 gene:Dexi1A01G0031160 transcript:Dexi1A01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDARSATPATPRSYPYSHTQGIPNPLHCGELCADNLPSSNAAPKSRMRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVEGLTIYHVKSHLQKYRTVQHRSESSDGQCVSML >Dexi8A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:8A:370505:371171:1 gene:Dexi8A01G0000530 transcript:Dexi8A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRSDLEVHYKCIGDGDGFSAYVDTADPRESANMPLEVHEMVIARTEARTHRDYQKADALLRSLHEAGYRYLP >Dexi9B01G0044010.1:cds pep primary_assembly:Fonio_CM05836:9B:43874385:43878228:1 gene:Dexi9B01G0044010 transcript:Dexi9B01G0044010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRDLVIASLSAAAGAVATAAVLRFLSCRASSVRPQNLSLITNRNATNRPHGQSPFDPTKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSANGDPLETKYPSFTVLYLFPSLQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDHVYVASHKLLSIAGVKVRKHQPQMAQIPIKFQEP >Dexi7B01G0022750.1:cds pep primary_assembly:Fonio_CM05836:7B:27591117:27593447:-1 gene:Dexi7B01G0022750 transcript:Dexi7B01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEKPLNHGGPGRTAYHFQPPKNWMNGPLYHNGMYHFFYQYNPHGPLFDTGELSWGHSVSGDLVNWAFLGTALDPTSPFDVGGCWSGSATVLPDGRPAILYTGRDPGGVQVQNVAFPKNPSDPLLRKWHKPRYNPVVPQPPDVTRNNFRDPTTAWLGRDGLWRFAVAGELAGVGSTVVYRSSDFVSWERNAAPLHAAPGVPCWECPDFFPVAEGGATAGLDTSAPAIGHGVRHVLKLSKAGDEDYYVVGMYDDEADMFSPVEEDGDDVRNWRRIDHGHVFGAKSFFDARKNRRVLWAWVDEMDSRDDDVAKGWTGIQSFPRALWLDSDGKQLVQWPVEEIETLRRKQVILLGTELGSGGLHEIIGVEALQADVEVVFEIPNLAEAEQLDAKWLQDPRKLAAEKGAASEEGGVGPFGLIVMASSDMDEQTTIFFRVFKHDDGFVDVDVEKDKSISLRTLIDHSVIESFGGGGRTCITARVYPEHVATDSSHLFVFNNGAGTVKVSKLEAWELAAASVNVEDDGLVALLPPLAIPILSDDAE >Dexi5B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:5B:18272860:18277713:1 gene:Dexi5B01G0017220 transcript:Dexi5B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESADYSFPPWFSGGAKSLIRRILDPNPETRIRIEDIRNDEWFQKNYEPIKEIENEEVNLDDVNAAFDDPEEDTQDAFDDDEAGPLTLNAFDLIILSQGLNLAALFDRRQMRVEGLNANKTSHLSIMIEVFEVAPSVFMVDLQRAAGDTSEYNTVREFILHFSLHMIFVNNYCSKLDDIIWKFPTEKGKSRTSRLSKC >Dexi9A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:9A:12987892:12989730:-1 gene:Dexi9A01G0017920 transcript:Dexi9A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAAAEAGQQLTKEYPGRLTLYVFVTCAVAATGGLIVGYDIGISGGVTSMDTFLQEFFPSVYRKERTARGGSQYCKFDSQLLTAFTSSLYLAALAASFFVASVARSLGRRWCMFGGGVSFLAGAALNAAARNVSMLIVGRILLGVGVGFAGLSIPIYLSEMAPHRLRGMLNIGLQLMITVGIFSANLVNYGAAKIKAGWGWRLSLGLAAVPASIITVGSLFLPDTPNSLINRGHHEHARQVLRRIRGTDDIEDEYNDLVAAASGEVSSGGVRRRPPWLDILQRRYRPQLTMAVAIPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATLVSIATVDRLGRRKLFFQGGCQMLVCQIIIGTLIGVGFGASGGDEGAIPKTSAAAIVAFICVYVAGFAWSWGPLGILVPSEVFPLEIRPAGQGINVAVNMLCTFAVAQAFLRMLCHMRFGLFYFFGGWVLAMTLFVAAFLPETKGVPIEKMGAVWRTHWFWRRFVADEDGRAETVTSMDYPKGNAIVVR >Dexi5B01G0039830.1:cds pep primary_assembly:Fonio_CM05836:5B:38497016:38498855:1 gene:Dexi5B01G0039830 transcript:Dexi5B01G0039830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYSYGFLPADRGRHQPPPPPPTYGHGPPPPHPAVLLFIAEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTINAVCPICANNLGRDMAAHFKVQHSHLLKRRKPSKPCSCPAAATKSSSGKGTATYGVNSYFEEPQHYRMSGRSYQEPAPDPLLSQFICSVEQTDNAIPGNGASAENGDAKIPDDQSRKGASDDALSKLGLEERLQRIDFLSEILMSTIL >Dexi4B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:4B:6611564:6612166:-1 gene:Dexi4B01G0009200 transcript:Dexi4B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPAAEARDADSCRPLGLQTLIPADADASSPGGRNVATRTIAASPRAVRCLDGGAGGGGGGCNGKEGGDGDGCWVSYGWRRRPHRLPPAIPSLRPLARERTADGRLVISRDEAAHRVGARKVGDRRLVLELVDDERDGGAAPPAQQQRRWSHPLTGQEAEPPAPATAASPVSAEACSEGAIRAASLRGMRMSLPRMVR >Dexi4A01G0024210.1:cds pep primary_assembly:Fonio_CM05836:4A:27008941:27014711:-1 gene:Dexi4A01G0024210 transcript:Dexi4A01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARRRNAVHYVIAVFLAGSSTAAAVLARASGGNVCLSAVSVQCAARRSGPIKAGGLREASGGGSKRGGGCRIDGGEHLTIMCLCKVKRKFTIAATVQENKTMAATKDDVDHLPIYKLDPKLEKFKDHFSYRMTRYLDQKCSIEKNEGSLEEFSKGYLKFGINTYKDGTVYREWAPAAQEAQLIGDFNDWNGANHNMEKDKFGVWSIKIDHVKGKPAIPHNSRVKFRFRHGGAWVDRIPAWIRYATVDASKFGAAYDGVHWDPPASERYVFKHPRPSKPDAPRIYEAHVGMSGEKPAVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFSLDTDVDAVVYMMLANHLMHKLLQEATIVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKDDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEVKSRLVTQNGLTFQETGTTGAMINADVNGVLWTLITCGTRFNYYEYSPYMNAFDQAMNALDGKFSFLSSSKQIVSDMNEEQKVVVFERGELVFVFNFHPKKTYEGYKVGCDLPGKYRVVLDSDALVFGGHGRAYYRVDEETERLEGKAETFGAGKTSPGTIDVDASPVKTATAATKEREEITDGKVTSGGKKDDSIEDVSGKTGKKFGRQSSDQSTK >Dexi2B01G0026790.1:cds pep primary_assembly:Fonio_CM05836:2B:35889482:35895031:-1 gene:Dexi2B01G0026790 transcript:Dexi2B01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTHAHRGGIPKPRALRFVRAPKNLNPSRSLSPLPSLSPNEEERGDEGNLAARDRGRRRTRGRRRWLAPGPFEMEYISPERNLEGTCGDPGPLFGDQDGSLLDHLDYQGGGIPQHESPTLDDGLLVDPVVAIPYLSTDSLPFMNDQITCSVMKSIPASPESSLKQVQEHLNVESVIQHDVSGQNIHNGNSEELVTEVVGSVLPPEVPESIGNDTSNFQQETTYHGDSLLAEINNKDCQLNNSSAADDENPNSPVPQMEHEDMENLHETSRNEKSGSEDDQMNGRKSSPIDGRDRENFDTSVEPPSWEQMEQENSGTRNGSSTPENQSDSTVDRFARLERDTPSPDGRASPDRFARLERDTPSPDGRVSPPVRSPHAHHHEKAESQRHAKDVGDLAHSESPPARHRCRSSEKHDPSRKRASSREMSPHGRHQSPVERKRRRESRHGDGSPQRRSKAFTKAQVTVIKSSTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPACSLTFVFAFIIVLVSGSLADGTNRYREIQACETVATQGLS >Dexi8A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:8A:19553133:19556234:1 gene:Dexi8A01G0011070 transcript:Dexi8A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADSQQRLIAGAALLLATVAFVKLLLASRTRGKRLPPTIPGAPVVGGLIKFMRGPIPMIREQYARLGSVFTVPILSRRITFLIGPEVSGHFFKGNEAEMSQQEVYRFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHKRRDRARARLAEIFATIIKSRKASGQAEEDMLQCFIDSKYKNGRSTTEGEVTGLLIAALFAGQHTSSITSTWTGAYLLRFKQYFAEAVEEQKEVMKRHGNKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHSDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVVDN >Dexi8B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:8B:7227562:7229439:1 gene:Dexi8B01G0006240 transcript:Dexi8B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAMPRPSSSASRPRKPSPSRSASPATAPKPKAAGSSARRRSPLTDLNSRDPSATRERPGCFRFLLPSSSSGAASGGRSASTPRTPKRPDPKPRLGPRRHDRLPDQESRTRSERCAAEKPRRRGAEPIGGGRIKKAADPAAAVAGKKPQWPAPRRVEELEALTPEKKAGSGSTPSSSTGVTPPVHASISPEVAAACGSATPACFAAGHHVLPGVGDRRKCRPRGILAIAGEEGFASEDLDGAEPSRASIRWLSSPSGAEAGTCSTKCGNGEEASVNWLVSPRDGGGVDPLEDEIFVPRCSSDDAFWRFSPDCTGLLGSPLLGSLLDLGTPLSDMSGTTPSSGFLPVQKTPSSGDSISPFSLIVKRASESSARLRGLCAQQGLGSSYQSVSGSNSAADPTRVSGEAWSGSVSNGTRSGLTRTGSRPMKMMDPVLECLEMMSLSPMPGDDDYNGNSVLPAPVPELSFQFAGAPMLLESIDLTSFKRSPRDIEFKGKETGFQKSAMAETRISWREGLVSRMFDIRDLDCCKWLSDDEDSPVLSHNDDALPDGTNSQPGGDQVQACGFGSVEFSCFGDELNNDSSKALPNPVSVAESMRTEGFELISSDDSDWTLFYKNNLFES >DexiUA01G0010270.1:cds pep primary_assembly:Fonio_CM05836:UA:20444266:20445195:-1 gene:DexiUA01G0010270 transcript:DexiUA01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCLSELELEAFIHGPAGAAAAAAAGNKPEHDIAAQVPFGAGVFPPADLSAFSFADSFAFVLSEQNTLNGSIPNHLWSHNHNVRHPAVSTIESQSSICGIYWRTCMAFLPHFQSLH >Dexi1B01G0025860.1:cds pep primary_assembly:Fonio_CM05836:1B:30812644:30813790:-1 gene:Dexi1B01G0025860 transcript:Dexi1B01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHAFPHGTSTLQILTSPSNAPLQTSAAEPPPTPPPSRPYTNAKLLTGAAWPSRTAKQVYPVLPAPLLHTLTVLSAEPLHTTSPSAARHRIAFLCPLSVPVASILPSPAPSPPSPARSHTTTDRASAFTADLCRSIVCTSTCLLLLFLFLRSVSRLHALILLSAEPVYTWPSWDTATALMASSCAFGTDSTHRNAEERAVRGHGERGDRVHVVHPGAPRVPPDLHVAPWEHERPHPVNAGREDGAQNEALVGPLVAVPEASGGATPCPDLAVLVAAEEETTGTAGEKTLDGAV >Dexi5A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:5A:13175608:13179741:-1 gene:Dexi5A01G0015530 transcript:Dexi5A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPRHGAPGRLQSTSSVRTIRRLIQNGSLAVPGSSAEDVAHALRIHRPELRRKKLKPFIEVVRRVLSTIPSPSSFDCDDEDGSTCRQDAQATTSSTTSLSDESTHPPPSTDLDVTKTMLRSQYVSQTTKQNPSTNQQLEIEATADKARRVITSDGGDGGDAKPEAPVSEGDVTGDKGPSFADLGGMEEVIEKLVVEVVVPLCCPELPRNLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEIVSGISGGSEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNNCGDYSDADSSENNPGYVIVIGATNRPDAVDQALRRPGRFDREIYLGVPDENARNQILRMLARKIQLEGKLDFFKIARATPGFVGADLKALVNNAGYLAMKRIVNERRVRYRCEHEEAIKFVQPSLRREGFTSIPDVTWDDVGGLDSLRKAFERYIVRFIKRPEDCDLLIELDGAGQRKGVYVIGATNRIDVIDDAIIRPGRFGKKHYVPLPGADERVSILKAHARSRPMSSAVDLDALARREECSNLTGADLALLVIYVISSNRPLFEYAQRVNEATIAAMEEKWKLQANRTSSMSSSPHLIELTHFEQALSQLKPSVSEQQRKYYDALSKLYSST >Dexi5B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:5B:22646978:22647271:-1 gene:Dexi5B01G0020440 transcript:Dexi5B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQPPSTIQPQLDTGIDELPAAGNLAQALVGVERDQRLGADPSAVGDGGTYRPPYCGHGVARRATPDSRAPCGADELEAVRGQVATWRCRRLDARL >Dexi8B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:8B:7975069:7978554:-1 gene:Dexi8B01G0006820 transcript:Dexi8B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMKSVASLVMAVVLNEDGEDLEVVMEQAAHQNQRKKLWGLIICHHGSSRYVPFPVRHACELVAQLFAVHISKELELEKHMQEKSILGMQARLSSMLFWEQCPLSIISGSPNIMDLVKCDGAALLYGDKVWQLHTTPTVSQIRDIAIWLSDVQRDSSFVSFDSIQDAAYPGLASLEIKWGGAKHDPCDKDDDRRMNPRLSFKEFLEVVQMKSLAWNSYEMDAMNSLQLVAATTETVLLMETATVPIMSVDGNGLVIGWNQKAEQVTGLKVDEALGRHMLTLVEESSLPNVKRVLSSALRGIEDKEVRLEVKSHGSKKGDGPVIMVKILSDFLFASVKLCPIGGSIAISPDWTKESIGENIDVTDLELRIKEQMIVVPEEVLAQMFQADNEDQQEEGLTLLLACKNLLSLMN >Dexi5A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:5A:19572596:19578569:-1 gene:Dexi5A01G0016880 transcript:Dexi5A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLLLLFFVAAASTAPVTRYGEAAPLVTPFHYNCNTTSAPRLDRTNTTFEANLEKLSTIVPANASASGGFFVGSLGAAPDTVFALALCRGDTIGADCTVCLESAFQNAIDYCSNAWDVSRVTIYQERCQVRFSDLDFLDDRDFFASDLIYDAWNPDSISVPMFPGIDPNDTQSITFVAATVSMLMRETAMLAAFNASQRFATALMDTGGAFPTLYSMAQCTPDFSPAECFACLDVIVQMIPTDKGRRGGRIMGLRCSIRYESDVFYGGKAMWIFGSTLRDGHGRKVKILTVTITLLLALLFCMIVGFWWICSPRKADRPNMWDVTTMLSTEGARLPEPNHPAYYKVSVANAEPLEFGFELDSINEVTITAQEGREGERRDRSSGGAVFTYAYGQDSGGAAPESRSVHGGGQRCARDVVVLRLFMARRGKASAGRHASAGRRESRRR >Dexi9B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:9B:6358922:6359566:1 gene:Dexi9B01G0010240 transcript:Dexi9B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDHSDDEEEQQGQGRYYATTTSSSGRSRFRARRHKRRGPGGSHQHLLLMDCVGGSGGDGDAASEETVPLPDYERLSQSARLPDNDPPHDDAATNNPPPAAPLAPPEQKKAPAKLQGASPPPHPPPPPPPLAQQQQKPAAWRLIEYVRSRHKAGGAGAAGAGCGAGSSDGDSKSSEDGDDGSEEGKKDKAKKKKRSSWLPDPERRWPVQGFY >Dexi2B01G0034650.1:cds pep primary_assembly:Fonio_CM05836:2B:41945520:41948678:1 gene:Dexi2B01G0034650 transcript:Dexi2B01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYAIFHAYGIDEIGQSVDAVRASVLDLQRFGEAVKLLGFSPYSAAADALNQCNAISEGIMTDELRNFLELNLPKVKEGKKPKYNLGVMEPKVGSHIHEATGIPCQSNEFVHELLRGVRLHFDRFIDQLKASDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIENDNYLYAKIAKSVVNKSDLAEKDIPALADLTGDEEKAKEIVEAAKASMGQDLSPVDLLNVQLFAQRVMDLSEYRKKLHEYLVTKMNDIAPNLTSLIGEVVGARVISHAGSLSSLSKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRAPAGNKGRMARYLANKCSIASRIDCYSELNTSLFGQKLREQVEERLDFYNSGIAPRKNLDVMKAAIKGIANAVSEDGDGNEKSDVSAKKSKKKKSKDEAGGEAMDVDNPGAGEVEPQAEKKKKKKHGLEERMDEGTVTEAALDDVKQGESPKKKKKNHKASEDVELKATTEGKKKKKRSKTNNDDV >Dexi3A01G0029630.1:cds pep primary_assembly:Fonio_CM05836:3A:31806062:31813225:1 gene:Dexi3A01G0029630 transcript:Dexi3A01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAASRRASSAATAKRPAVVAAESAGGVGTKATRQANKRAALGDVTNVAVEGGGTGGRAAGSRKVPAAAAASKVNSAISAAPVKKVSSASSCNVGSGRASAVKSASAKSVLAVSRHDSTTKKHNVPPAEVATVVNMLNDTPATALCNSIVSPPNSEDSVSIDGTMLTCNSTESPDFECSNNGDSTMLASLEEQANEQVHILENRDETTKLKKNAPDPMEIGHICAVENKDDPQFYPTLASDIYTLLREAESEKRPSTDFMVTIQKDITPSMRAILIDWLVEVAEEYHLVPDTLYLTVNYIDRYLSGNKIGRQRLQLLGVACMLIAAKHEEICAPQAEEFCYITDNSYFRDEVLEMEAAVLKCLNFQTRDFFTLREHVMSVTYGRPQQRQTPPPHASPSVALAARLSLSPACPPPARASPRRSFAAEPRPASRRRRCGVLLTVAGHRLRRRLRLVQLDRGGSAGSPQGRPWRCACARGYSSGTRVAMACVGAMKEVEADRVRVRAPGGAPVSPPRRGPPLAEAIAT >Dexi6B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:6B:26686237:26690833:1 gene:Dexi6B01G0020150 transcript:Dexi6B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESETTALSTVTSTTFEDGGDAAHESKSEEIGNGAASTVTSVGKGEEEEMIGPGPAPAKQRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPAGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVHREGDVKPKLAVSDRNTPFVHIYDTHSGSNDPSISKEIHGGPVKVMKYNHVHDIVISADAKGLLEYWFPSTLEFPEHEVKFRLKSDTNLFEIAKCKTSVSAIEVSNDGSQFVVTSPDRRIRVFWFKTGKLRRVYDESLEIVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLTGDPLGDGTGGQSIWGSEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >Dexi1A01G0024860.1:cds pep primary_assembly:Fonio_CM05836:1A:31273915:31285306:1 gene:Dexi1A01G0024860 transcript:Dexi1A01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQMQNDVEKTSSFDRKLTVSHGDYGWTGSDVHPTNDAITFHPIEVNNASHTGTDEALDSSSKSPPINLGDLPQGTELIKKNVDDSYSKDVELQLNVSTENNNGLQIDDGKCNKQSSDKDDVHHSQEEIHPPPTNVSLPSSCKLNGDTMPSQQEKIVEEHVKVDGNVDAVSKEVGADLVGCHAGQKELQCTLQDLSEIACSIDLVRNKSSPEEETKTSVSPLNDADHSVDNNSCNGGTSYKGEELSMVNNGDEDHAVALWVKMILPDYISCQWLQNSIEMWKQKCMNAHDAETIEILYEMIKLLDYIGQLSTKRKPDGYSEVILVLSLPADYAGSNLICYQIFAATIKSLIWSQVLWTTFHRKNSMPGCACSHTECSPEKCCHVSLFDSVYDNLVDIHGTPMHGRFAYDEDGKIILQEGYPVYECNSSCTCDLSCQNKVLQKGLLVKLELFRSENKGWAIRAAEPIPQGTFVCEYIGEIVKTDETMKNAEKFVVLIAVGEELAFDYREKLVAGDGCPCLCGSKNCRGRVY >Dexi7B01G0024480.1:cds pep primary_assembly:Fonio_CM05836:7B:28815168:28818540:-1 gene:Dexi7B01G0024480 transcript:Dexi7B01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVPWKMKTLPVLRGHLCDPTRLAAASSSFHSTPASFAKWKNKWDCPKSEKGARKASRSYERMEAQEALLILMKFHGSKPSGKDLRVTGRRSLGKEYTIIERLVLRQTLPLADKKDKERFCNFFHEEHYVHPDEIFEAIFGAHHGFTWSRISWEDFRFRDRSFRFRWGGGESQRERIPSDSEDESEEDSRETRVGSHAHRAILGLPPCGPLTLEDVKTAFRESAMRWHPDRHPGSSQAVAEEKFKLCVNAYNSLCSILKAA >Dexi5A01G0035520.1:cds pep primary_assembly:Fonio_CM05836:5A:37302230:37302481:-1 gene:Dexi5A01G0035520 transcript:Dexi5A01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLERLLAGVVRQDGGGVGGFGVPRGGAGVAGGGVPSGCDRRRAEDPAMRSPSGGGEQRSREKEMGGDEKQAKTGIPSPYP >Dexi3A01G0032780.1:cds pep primary_assembly:Fonio_CM05836:3A:37651431:37655854:-1 gene:Dexi3A01G0032780 transcript:Dexi3A01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQVEAMTFQQVPWYLLIASTLVIISQQLVLLVPPAVAADTNTAPSPVPAPLPGCPSMCGDVEIPNPFGIGHDCSWKGEFTLTCNHSFSPPRPYVGNMEVIDINLESGEGRVYSPVASICYNSSNTATGSSWTVNFTGTVGLISSTRNEFTAIGCSTMAYIAGKEDWSFLTGCMSTCVSLDEAADDGAECTGFSCCQTDIPPNISVIQIGWSIVGNGTPIGNPGWRYNPCSYAFVAEKGWYHFQRRDLTRLGNLSFTDRVGERTIPVVLDWAIRSDGPCQLPLEESGTSAKPTASACVNYNNINICEFCGLAVTFISMAIVVLLLCLLHKEYKRRIRRGFFDRNGGKILKDVNIKTFSEEELNKITNNYKDPIGKGAFGMVFKGTNDDNQLVAVKRPILEGEKPRQGGEFIQEITFQFQIRHTNLGRLIGCCLETSVPRLVFEFVPNGSLYNILHGTNNKPRSLSLQQRLDIAIGSAEALAYMHSHDEHNKRIHGDIKSGNILLDDDLNPKVSDFGSSKLVSTASRYATWLVSGDMNYIDPAYTRTGRFTEKSDVYSFGVVLLELITRKKAMYDGSNSLPINFVKTCRKEGNGRKMYDRDILSDDAQSRSQMECLDRIGELAVQCLKEDVDDRPSMAEVLEELKQTKLTACGGSDAIL >Dexi5A01G0027550.1:cds pep primary_assembly:Fonio_CM05836:5A:31034534:31037024:-1 gene:Dexi5A01G0027550 transcript:Dexi5A01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWRRASASPRDATASSSETARALAGGNARVSPEVPAEHEGERDDGRWSALVPELLADILRRVDAGAEKWPGRRDVVACACVCRRWRETAVALVRPPLLCGGITLLASLKQLIAPEGTGEPWGIQDDETVVLQFGKIEDDVFTMDYRQPLSAFQAFAICLTSFGSKLVCE >Dexi8B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:8B:26383241:26386534:-1 gene:Dexi8B01G0015630 transcript:Dexi8B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNESKPTPTPAPDLAAAAAADTTKCIFSLHDGELTIAAGDTSVTLLTGVPANVTLTPFADAFDHTKSSSSPPPELAEQAGRTLTAARFWASPRRRRPTGRRAPTAWSGRRGRDLQMETQWVLLDVPELAGAGASYVLVLPLVQGSFRSAIFPTGDEDDDGVVICAESGSKAVTATDFRRIAYLHAGDDPYKLMHEAYLAARVHLGTFRLIEEKSLPAMADKFGWCTWDAFYLTVDPAGIWQGVSELAGAGIPPRFLIIDDGWQSVNSDVDDPHDDSPGLVLGGDQMTARLYRFDECKRFRSYNSGALLRRSPETFYDKSLPKAIITKAAEIESIGKAKKKAATHGDAAMDLSSFDAKIAKLRRELDELLVKRDAMLSNLCSDDGGAAAFSGEMTGLKAFMKDMRKSFPELDDVYTWQALCGGWGGVRPGATHLDARVVPARPSPGLAGTMDDLAVDRIMEGGIGLVRPDQAGELYESMHSYLAGAGVTGVKVDVIHTLEYVCENHGGRVELAKAYYDGLSKSIAKNFNGTGIIASMQQCNDFFFLGTWQVAMGRAGDDFWFEDPNGDPMGVYWLQGAHMVNCAYNSLWMGQFIRPDWDMFQSDHACAAFHAASRAICGGPVYVSDSLGGHDFDLLRRLVFPDGTVPRCVHYALPTRDCLFKNPLFDQQTVLKIWNLNKFGGVIGAFNCQGAGWDPAEHRVRGYSHCYKPVSGEVRPADVEWSQREDTAAMAKAGTYAVYRCQTEELVLMTPDSEPIQFTLQPSSFELFTFAPVTNIDGATNVRFAPVGLVNLLNCGGAIVDVEYRSGGEVRMKVKGSGKLLVYSDVKPRRILVYGWEAEFEWGNGGKLMVDVTWNPEKDGVSDVVFCY >Dexi2B01G0028490.1:cds pep primary_assembly:Fonio_CM05836:2B:37102493:37103997:1 gene:Dexi2B01G0028490 transcript:Dexi2B01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGTDQQQVDPGGGGADRITDLADDVLHIILARLPTTAEAARTSVLSRRWRRVWTGVPALSFRYGEAPTSSTAQLQDELNRIDAVLSVQAPTTKTLERLEIAVPYGVVPDARVARWLRFAARRLNGELRLALPSSMRWRDEAAAAAAAIPLCERVTFMRWREESKEAAIPLCEGVTSMSLCLERTLRFPVHSAAGAFTALAALELRKCCVDGGELESILSFRCPSLKKLALKDVTVATLQPPAGDDRGRGLCICSLSLEHLKITYIYISLNGLVHISFNGLLQVATPELQSFSVNVRCDLQIIAPKLSELHWNRSSYDPRRHRLGEVAVARHLRRLKVGANTPAVAIMRRFHTVHELKLVVYIAAGPYNYGRFLEKINHLAKCEILVLKYRVAKHVFKATMINILSKCAGVRKLVIDYPIHFTVSAF >Dexi4B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:4B:4740638:4740982:-1 gene:Dexi4B01G0006770 transcript:Dexi4B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRRLASASTSALSHGSQPPPAPVLLLRVALSSSAPSTTDPPAATAPEAARKVEAEEAKGAADAGEGKKEEEDDGSGVHVNKATGEIGGPRGPEPTRYGDWERGGRCSDF >Dexi4A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:4A:10044499:10045944:1 gene:Dexi4A01G0011840 transcript:Dexi4A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMQSIDGELTGSARPHVLFIPSAGMGHLLPFFRVIAALATRDDVDVSVVTVLPTVSAAEADHLAGLFEAFPRVRRVDLHLLPFDSSAEFPGHDPFLLRWEALRRSAHLLGPLIAGAEPRVSAVVTDVTLTSHVVPIAKELGVQCHVLFVSCATMLSLLAYVPVHLDKKAGEPEHGVGDVDIPGLRRIPESYLPQPLLDLNKLFTKQFIDNGREIVNADGFLVNTFDALEPVALAALRDGKVVAGFPPVYAIGPLKSHTSAPESNEREPTGSPVAWLDEQPAMSVVYVAFGNRNAVSRDQLREIAAGLEASGFRFLWVLKTTTVDRDDTAELTDVLGEGFLERVRGRGMVTKAWVDQEAVLRHPSVGMFLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVNATVVVSGGVGVWMEHWSWDGEDRLVSGEEIGEKVKEVMSDATVRARTARIGEEAAKAVAEGGTSYRSLQEFIGKIKET >Dexi2B01G0033750.1:cds pep primary_assembly:Fonio_CM05836:2B:41161161:41162416:-1 gene:Dexi2B01G0033750 transcript:Dexi2B01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCSELKEEDLEALSYSTREVLERILHAEGAEPEIFIGLGSHIYKAIPEEFGREFEYSHIKEAFVKRLIDALNANVEPNADCPGIRRVILEQVINLMEHDSRNVNCFHEHRMMEALLMVEETMSEAESYSVFLGDVGLMEAVEPLSSLVARAKQLLAAVRST >Dexi3B01G0023930.1:cds pep primary_assembly:Fonio_CM05836:3B:18683158:18684453:-1 gene:Dexi3B01G0023930 transcript:Dexi3B01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSRTSLALLLALMVALAGAGASAGSALSSTFYDASCPSAHDVVRRVIQNARVSDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPSIQTEKTVPANNNSARGFPVVDAIKSALEAACPGIVSCADILALAAEISVEISGGPSWSVLLGRRDGTTTNVQSAKNLPSPFDSLGKLQQKFRNVNLDNTDLVALQGAHTFGKVQCQFTRENCTAGQPAGSLENLDRVTPNVFDNKYYGNLLHGQAQLPSDQVMLSDPAAPATTAPIVNRFASNQKDFFGSFVTSMIKMGNISPLTGRNGEIRKNCRRVNSKGY >Dexi7A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:7A:21510300:21510948:-1 gene:Dexi7A01G0010820 transcript:Dexi7A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRASPTFLPSTTTSAPSSSQAPTPSPFLGKSQRRVGLVSLAAASRAARRSVMAAAAVAPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNIDEVELFSNSHELLLLPPGN >Dexi1A01G0027600.1:cds pep primary_assembly:Fonio_CM05836:1A:33315169:33315858:-1 gene:Dexi1A01G0027600 transcript:Dexi1A01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTLIWKRKLLMGGFVEVQASAARSQDSVQSVTVKAKKASWSMRSSFPLKKAKKNLPNIQIDDDSNLLMKKSPDMKKPQLPVGAVIKPFDLF >Dexi6B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:6B:5596114:5598352:-1 gene:Dexi6B01G0006010 transcript:Dexi6B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVLRPCTGMATGSEAAKPAEAVLEWNKQDNKRMLHAVYRVGDLERTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEETNFAVELTYNYGVDKYDIGEGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVLELTYNYGRTEYSKGNAYAQVAIGTNDVYKSAEAVHLATQELGGKILRQPGPLPGINTKIASFVDPDGWKVV >Dexi4B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:4B:21338243:21341110:1 gene:Dexi4B01G0019080 transcript:Dexi4B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSAYPHHWLSFSLSNNYHHGLLEAFSNSSAAPPQLGEEGAVEESPKMEDFLGGVGGTGAPPPAATAAEDHHQLVCGELGSIAAGFLRHYPTAGTAENAGALTVAMSTDVAESDQGRRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILNSDLPVGGGAAGRASKFPLDSLPPASAAAMLTGAASQGMPPSEKDYWSLVALHYQQQQFPASAYEAAYGSGVNVDFTMGTSSHSSSNASGGVMWGATTGAMGQHDSSSNKQGNSYGSNIPYGAAAMVSGSAGYEGSTGNNGTWVTSSNTSTAPQYYNYLFGME >DexiUA01G0000810.1:cds pep primary_assembly:Fonio_CM05836:UA:2569447:2571420:-1 gene:DexiUA01G0000810 transcript:DexiUA01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKTLAALTPGKLKYSFFSNSGTESVEAAIKLAKAYQSPRGKFTFIATSGAFHGKSLGALSATAKSTFRKPFMPLLPGFRHVPFGDISAMRTMLSECRKTGDDVAAVILEPIQGEGGVILPPQGYLPAVRQLCDEFGALLILDEVQTGMGRTGKMFACEHENVQPDILCLAKALGGGVMPIGATVATEEVFSVLFDNPFLHTTTFGGNPLACAAALATINVLLDQNLPAQAEQKGDMLLDGFRQLGREYPDLVQDARGKGLLMAIEFVDNEIGYSFASEMFRQRVLVAGTLNNSKTIRIEPPLTLTVEQCEQVLKAARKALAALRGAFLAVKLRHRHGKPQHIFTLIRVAVCRFRFRHRRSTCRHPRHRLFHRQGQSSLSDMQQLKRSRRMPRRGKTLPRMHHPLPEFNHIRARRAREQPGISALPTVQPW >Dexi1B01G0026970.1:cds pep primary_assembly:Fonio_CM05836:1B:31806950:31809266:-1 gene:Dexi1B01G0026970 transcript:Dexi1B01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEWEGGGEETAREEIPVDFDFMSLLAKPKVRRKDYYKILEVNYDASEETIRSNYIRLALKWHPDKKQDEENSTSRFQEINEAYQVILQKGESTTRKAFYMFKIRM >Dexi2B01G0026900.1:cds pep primary_assembly:Fonio_CM05836:2B:35963055:35964463:1 gene:Dexi2B01G0026900 transcript:Dexi2B01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYYPIEEEVVMQQHPGLRAAQWQWRLFSLLSSPAAPQQQPRRPANHVNWEETAAAHLFSASLPGVRKEEIRVEVEDARYLVIRTELAAAGAEEDDDGLVGGRRRGFERKFRLPGMVDTEGISAEYTHGVLTVTVPRMHTRARPAVQVK >Dexi9A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:9A:14862163:14871507:-1 gene:Dexi9A01G0019900 transcript:Dexi9A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSTALRARNGPSKLQPARSMPVGYRYSAAGNGSGGGPKANGGSRLAAAAEADDEEMEEEVVRLEGDDADSPYSSKAATVEEVEDVVEKGGDGEEVDSAATPRRLSPTAATSPSQGDASGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQSAVGERSYHIFYQLCAGAPASLKEKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVAEAMNIVHISKEDQENVFAMVSAVLWLGDVSFTVIDNENHVEIVVDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLTQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDVIRTVLNSSALIMPMRGYNSISIVICLSLNKRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGIRGSLVRRCNGNIDLINVLREFESKQEAEGDQILIKASVLAELQRRILKAEATIREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWEINGNHVGSGSQLVPRTAGREMNAGLSVINRLTEEFEQRSQVFADDATFIVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGGRIRETKVILNKLGNGNESSPNSVKRKWWGRLNTSKFS >Dexi1A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:1A:2210259:2210819:-1 gene:Dexi1A01G0003040 transcript:Dexi1A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGSSGSLSAATAAALAAGGGVAGATAGDLRVLMEQRRAKRMLSNRESARRSRMRKQRHLDDLTAQAAHLRRENAHVAAALGLTAQGLLAVDAENAVLRTQAAELAARLASLNDIIACMSTTTNAAAAAGAVAVSLTAAAAAAAAAASASASPDPFLAFDGGANAAFDDLLRSCAEMFPLC >Dexi3B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:3B:13175811:13183485:-1 gene:Dexi3B01G0017830 transcript:Dexi3B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITVAHRLSKIQGADMIAVLKDGMIVEKGTHEALMGIDGGVGDMAAEESTATAAADAGKPGKGSEEEREKGTATTTDKVPFHGLFMYADRTDAVLMLAGMVGAVGNGMSMVVITVIFGQMVNAFGAATPGNILHRVSKEALNFIYLAIGTGFASFVQTTTGQVVSSISADTTLIQGAIGEKIGRFLQLVTTFFGGFVLAFIKGWLLTLVMLSTIPPFVAAAGIVAKVLSKISNQGLASYSDAGDVVEQTIGSIRTVVSFNGEKKAIELYNDLIKKAYKGAVKAGAAHGFGMGLLALIYFSTFGLIIWYGSKLSLTKGYSRGDILNVMFAIMIGARLDWIRGKIGLVNQEPLLFMTSIRENINYGKEDATFEEIKRAAELANAASFIENFPNGYAQVKFLQGFSQDAKTVYEEASQVATEAVGSIRTVASFCAEKRVMDKYNQKCQASRDQGIRTGIVGGLGFGFSYLMLYASSALCYYVGAKFVSQGKSTFGDVFKAYFALLLAMLGVSQTNAMASDSAKANDSATSIFSILDRKSQIDSSSEEGSTLANVKGDIDFKHVSFKYPSRPDVQIFTDFTLDIPSGKTVALVGQSGSGKSTVIALLERFYEPDSGAILLDKVEIGSLKISWLREQMGLVSQEPVLFSGTIRDNIAYGKHEEVTEEEIAAAARAANAHEFISSMPQGYNTAVGERGAKLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQDALDRVMVGRTTITVAHRISTIQGADMIAVLKDGVIVEKGRHETLIGVSGGAYASLVELRTM >Dexi5A01G0038110.1:cds pep primary_assembly:Fonio_CM05836:5A:39198233:39201485:-1 gene:Dexi5A01G0038110 transcript:Dexi5A01G0038110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVADGHSRSSRCNRFRRKSSVVADATQPSRSAAVAKANDEVTKDKATTSTQKAIEEENKEEGINESDQVVKDNKEAFAVTEGNITSTANATSEKKEVEIKKDEANVKDNKEVVTAAKGREASPEKVAKDQTQEDKKNEVLKDKMVANQKADLTPAEDVIVEEKEEDKIKNGDVIDISTKGTVNGNENEGGNKEIPMEEEEEAALTENDSSSQNGIEENREDDSVTFPVAMLTEEDGSVSFRVPDDTMTKDDDSVTFTTAPEIKNSNMVAMVTEEDGSLTFAVPVAPVTKDNGMVAMVSEEDGSVTFAEPVAPMTKDGRSVTFSAAPATKDGDSVTLATAPTIEDNDIATLMVASVAKEDDVVTLMTAPVTKEDTAEQSEPSEDEEVKIEAELTKSTVFEDEESITEVDGSGTMKDDEEVVAEQSEPSEDNEVKNEAELPDPTFVEQVVTEVVEAMKVEEGRVDTMGEIKTDTMGDIEVEQNEESVSKEPEENSANMGKLCCSQEDDEPAFNLLGLLVTIVLALLLLMMCTPPRRRRCIAVYPCC >DexiUA01G0024550.1:cds pep primary_assembly:Fonio_CM05836:UA:51121189:51123002:-1 gene:DexiUA01G0024550 transcript:DexiUA01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSRVQQPATRVYCEFICVVVPTYRDSIEWVKLRAESPASRRPPERAFSAHGAAGSGPIPSLPSGRRRQGILQSGDMGLGAAAGRLLAEVDWEREAYPAYDDFLALPAFVLFFPTVRFFFDRYVFEVRPPFRPPIQIRLNSSWIPQLSSLNHSVYNCLS >Dexi8A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:8A:15758369:15771442:1 gene:Dexi8A01G0009950 transcript:Dexi8A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEQQPRQQPAPPFAAQNPTAQGPPGALPGAFANLQISRGAAPPPGAPPRGLTPQQAPPAFAARSGAPPPAARPAFPGSPPAPPFVRAPTATAAPSAAPPFGGPPGAVSQQRPPFGGPPGAAPQQALPFGGSPGVASRAPTPFGGPPSAASQAPPLFGGSPGLASQAPPTLGGPPTATAQSSLPFGGPPVAAASQAPPFGGPHGAASHTPPFGGPTAVASQPAPPMFGGSRPAFPGQPGPMTAASPQSMPLNFGAPQQPPPPFTGQTQFGAPRPGGQLPFPAQSAPAQQLPFMGPPRANAPAFGPPSWQTQGAGSGAMQPPMGMPGIPGMQQNTLGPPGTPTMPYSPHAGTQVSTPSKIDPTQIPRPMTEGSVIIYETRQGGQATIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLALMVQPFALPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMKFVDQGRHFICNLCGFRNDTPREYMCNLGPDGRRRDADDRPELCRGTVEFVATKEFLVRDPMPAVYFFLIDVSMNSIQTGATAAACSAIAQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPNMFENNRAGFLAIKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVTTGDKVCVDLFLTTQAYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKTVMVTFKHDDKLQENTECGFQCALLYTTVYGQRRIRVINLSLSCTSLLSSLFRYADLETQFASFLKQAANGIPASPLPRTRDEATNTCINILQSYRKHCASVTSSGQLILPEALKLLPLYTLALVKSVGLRTDGRLDERSYWMSLVSSISVVLAVPLVFPRLIPIHDLTTRADDDSLIPSPLMLNSENVQEDGLVLEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGVFSYVEFLVHVHRQIQSKMT >Dexi9B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:9B:557922:558299:-1 gene:Dexi9B01G0000940 transcript:Dexi9B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGRVVMLLAAAAVVLCVLLQAPAAESAVFTVGDRGGWSFSSGTWTTGKRFKAGDVLVFKYDSSAHDVAAVSAAGYKACSAPRGAKVYKSGNDRVTLARGTNYFICSIPGHCQAGMKIAVTAA >Dexi9B01G0036330.1:cds pep primary_assembly:Fonio_CM05836:9B:37870020:37871473:1 gene:Dexi9B01G0036330 transcript:Dexi9B01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDASSEEEVMAGELRRGPWTVEEDILLMNYVASHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAASNANANAVAGDDGGHGGAAADTPPLSSSWQLGADDDGICASPEYYLTAGDHHQLLNNHAAVPEVSSTATAGSSSPSSDSGTGTTATTQPWLAPVGGAEWFTTACDASSSAAVSMHDAVLPGQQQQQGCLIGDTWVSSELPELGVGDFEIGSFDVESIWSMDDSLWYTQTQGV >Dexi4A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:4A:2951018:2951347:1 gene:Dexi4A01G0004120 transcript:Dexi4A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRRLASALSHASQPPPSPVLLLRVALSSSAPSTTDPPAATAPEAARKVEAEEAKGAADAGEGKKEEEDDGSGVHVNKATGEIGGPRGPEPTRYGDWERGGRCSDF >Dexi9B01G0027620.1:cds pep primary_assembly:Fonio_CM05836:9B:30074417:30077301:-1 gene:Dexi9B01G0027620 transcript:Dexi9B01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSAAAVAAVEVGAPDSLPPPAAEETDAAAAEEEAAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEESKARVRILEGPVGATERIVLVSGKEDLGLELPPAMDALMRVFKRVIGIADGATEGSQAAAAPGVCAARLLVPGAQAINLIGKQGATIKSIQESTGATIRVISVGKVSHTALITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYVGAGLDPVYRPSYSQYGSSTYSSSSLPSYSSSIDDGRYPSSGLGGYGSSYRY >Dexi7A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:7A:22512321:22516739:-1 gene:Dexi7A01G0012260 transcript:Dexi7A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGSQEETRPQCPRGAARRLSSSAAEAVAVAASPAAAGAQQRPYLDEGDWSYHREWWGEEDGPGEGAQTVFRRHSECGNGVVSVSSYPASRPDFIVNDSNQYDLVFIDAYDGDDVFPRKLWDADGTFMKNLEKKVHPVHGTVVVNLHSDSELSESGVASSNAQFHSIHPMGKHVSQVCRAYREHFGLVFTAAVPWLCNITLVACRDKAIASGAARLGLSRRDFVLGKVLSKSDMVDRALGLPFPCLAYVKNGFTLATALLRRARCSPACLGVALMGSAAGRRRHRARKCGDSSRDTDGNRMADGAFSDWREVVAAAGSETRRRARELAAGGRDAHEALALLSLSLGRGMNRIAFEDEACDHRSDIMAGPTKPRACPLPLSLSGKARRRMLLRSSSTPFLHPFLSSSSSFSSTPSSLQLRRAFSDGHIPSLHRPSSSLLPSDASKPLHTELSFSIYNTFAEQGGGGAPLASQEEPAGHDPPHEEPEQQQRTAQPDHPEVPLFLARGLGIDRIASGFFTAGSKSPKAAAAGGANSNKMEGVDERAAAQDEEAAALDAQYKRMVDEQPGNALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPADGEMMSQYARLVWEVYRDQERCLGYFQKSVQAAPQNSFLWEQDDDDDLGEGEQGTGGAGGLDHEGTDFGGRLTDSVRGSGAVAL >Dexi4A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:4A:5776251:5777219:-1 gene:Dexi4A01G0007660 transcript:Dexi4A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRLPSPHAMDEAASAAAAAARLRTSTPRSKKRTSRSKSRARSPNPNPSSRRERVPEPGSAAPAPTPTPAPSRKSDRRPKPRYIPDSATLATAIAASAAAAAPASSGGGGGRGSAGNSSKLWREADEVALLTGAAAFKDRTGIAPRRPDMPELFDSIRDSLAPHLDQAKMYYKLKRLKSKFQRSAYSKPSTAHERRVRGLCAALWGAELARPVQNDVVDAEEAEEDAAADRGLVTRDREGAERLPMVKEVLGEYWKMNRQGLSGVSLEKGLALVGSQEARVAEVKWKRQLEEDMRMQMRRHDLGKEVYGLLIDAIKGLGP >Dexi2B01G0033870.1:cds pep primary_assembly:Fonio_CM05836:2B:41223370:41224161:1 gene:Dexi2B01G0033870 transcript:Dexi2B01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWMHPEMKQLYSTFSKLRKLSVHGIFVEFDLTWIKAFLQVAPSVEILYIQVWEHACEVDTEARRVTFPERTNPCWDLELDNSKNLLLKELQLVGFRPLKQPWFSKEDSEPCEDCDAIGTPPCSLTGHVFPKNKGDQDAVAKKITDGIVSSAQIIFGS >DexiUA01G0024310.1:cds pep primary_assembly:Fonio_CM05836:UA:50329962:50336141:-1 gene:DexiUA01G0024310 transcript:DexiUA01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTRSPSSDETVPSRGSKAKRSCTTAKPPLPPSALALATTSSWPAKRARGPRRARLPIGGRSWAALDYGLAGVIAERALADDVSAYMSFRAVCREWRRGTEDIPGQPMGCLLDRRFHPRWWIMLREEAPPGPAGRHRRRFLNVSTGQCVQTELPELDGHHLLGATAEGLLALVDVSTHVVRVLNPVTRQLAAELPSLSSPDPLLFPRIRRIADGFEVRGIGLADDSTVAFCLGCDLLVARPGDDGWASVKAPGYGWRVLSAMSFSGRFYCATNYRAVMALESGSDNQWRLEVVAQIPFIVNPRTLDAVHLFESDGELLLLRSWLPPRRPGDDGKCTRRYQVYRVHLDAHKVVYVRGLRGRAVFVGKVRALSVSAAAFPSIRSNTVYLGYDLAERMQYGAAAYNLHDRRITELGNKKVCQLVMSDNIILKCLYPPEPRIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLQWPHRLVIPLGVNADTSDLELKPQGRLSVTVVKATSLKNKELIGKSDPYVTLYVRPMFKVKTKVIDDNLNPEWNEKFDLIVEDKETQSVIFEVYDEDNLQQDKKLGVAKLAVNNLEPEVTREVTLKLLHSVDPLKNRDTKDRGTLHLKVMYHPFTKEEQLEALEVEKRAIEERKRLKEAGIIGSTMDAVGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGIGAVGSGLGKAGKFMGKTMPFSMSRKNGSSSNAPQPDQPSA >Dexi1A01G0024400.1:cds pep primary_assembly:Fonio_CM05836:1A:30962662:30966973:-1 gene:Dexi1A01G0024400 transcript:Dexi1A01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIMNSSAYDDDSGISAITGGDGCVTTDSLDDEVSSCSSKDASGSSVSSHCLSKQEEHLLDELGTPIAVHLLPFKGKKPITYTLSASDIENMKEKFAKLLLGDDTSGGARGVCTALALSNGIINLSATVFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQCGADGCTFEIMTPKARSDVHVNLPALQKLDAMVIEVMDSMIDTEYWYEESGSRADGRGKITGPKKSKKWWLPSPRVPDQGLSQFQRKRLVFQAKLVLQILKAAKSINEQVLFHMPIPEAVMDALPKAMFAWNQRILEEKSKRSPGRHSWNFKKDSSSEVAKMSMCIERVETLVQLLKSRFLNLPPTFIDVLKVQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRLDGVIRKKGVKQLRW >Dexi8A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:8A:11540720:11543995:-1 gene:Dexi8A01G0009080 transcript:Dexi8A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKKSKPSLASKRRFELLDLNKSSSSLNMSTSSLRSVGEETKKGGAAVKASRRATTVRFAPPTVPSPAAVLAKASLGSVSQCHQVMARPATASGARPGSASGTRCWTSAGKLPEPGPKAMRGSWGWTGGADVKEKGTGNPVAAKVVTKINTRSSSVPRRLAAPDEKEKTLQKRGSKIMTTSTTEILNPRTPPKTEMEGSRSPPSVARKNIKAPNTNSASLQKMDMASAPTRTSVATIGASWVSLPSNLQNLGLEVMRFRDDAEAAAVEALKQASAADILLRCLSAFADLTSAVAELSPQQTVDEFLALHSALTSSTASAPGDAKQEGGQAADWLRAAVSTDLARFCLCSAPSTLNSGVAAPAVPLTGRAGEAGVEEPWVEAAWRGLGEEMRAWFLGHVEVLLDGDVAGTLGQLKRVNDWLDAVGMGPESEAVERVRKKIYGYLLDHVESAVVALNGGVAGGRRK >Dexi9A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:9A:10904217:10909227:1 gene:Dexi9A01G0015980 transcript:Dexi9A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQALVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTAGFVGHIGSVELAAVTVVENVIEGFAYGILLGMGSALETLCGQAVGAGQVDMLGIYIQRSWIICGATAVVLTPAYLFTSPILRALRQPADIAGVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTFISGAGLAVHVALNYVFVRRLGHGLLAAAVVGNVTWWLIIVAQMVYLVSGCFPEAWRGFSVLAFKNLAAFVKLSLASAVMLCLELWYYTAVLILVGFLKNARLQIDVMSICINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVIMAVVTSGSIGAVFFVMFLAWRTGLPRFFSEDEDVLREAAKLGYLLAGSIFLNSIQPVLSGVAIGAGWQALVAFVNIGSYYFVGIPLAALFGFKLNMDAMGIWVGMTLGTLLQTGILVFISYRTKWDKQAMRAEERVREWGGRNDTLPSATQVAPAVEDTERSSNGSQNLQLQPQHVTG >Dexi3A01G0031960.1:cds pep primary_assembly:Fonio_CM05836:3A:36529877:36535405:-1 gene:Dexi3A01G0031960 transcript:Dexi3A01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMDVDLDASAAAGDIDSSFSASPASASGSLTAVLNELAALHRRASSSSAASPSLSLPSITFLSSAPAAVAPLFPRLADAGIPASSLLPPLEASLSAHPLPAAVAYLRLLLAPASPLLTLFSPLPFLSLLLAIRKAASSAAGAANPSSSSAGGNPRKRKNQRHRPSASPRAAPSLLPRALSLLADVAGRLPLRAHPDARRSLVDTAAELAAFDVLAAVIGSDYHAEAVQDVIRALAPVVLTASKSAARVAAVHFLVTKLVPLGAQEGEDVVRKAVGYLPRYLAVKAPDKADARALAVEAIVEVVRALGAEERNDFAGYVVAMARGKAKGRLLAVDLVLTMLPVLLPSDGDDCDLEEGSWGLKCLRMLVERCSDIVGGVRARALTNAAQALDVFSERGVEVVRLQEAMRVGDMGLGELLRRRCTDDKAAVRKAALVLITKAIGLIGRPVDESLLCAMGAACSDPLVSIRKAALAAISEVFRKFPDEKVMKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQAANLNLDDDSVKLEEVFPEGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRSHKPIESWTAPIGSWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDNDRGKACSQVEPNSALWAVNRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVVNVASMNQELERSDMATLRNNIMVAMADFYDALQILACKEMRIHPNICAENTEMDEEGGEGGGTASALSAAKGRVVTQVAKKNLIQIAVPIFIELKRLLESKNSPLTGCLMECLRALLKDYKNEIEEILVADKQLQKELLYDMQKYEAGKGKGKATANAEAGPSGTTRTPARQTPAVRSVMKEVNRNVPTPPLHSMSVPKVKSMSILGTAGPAGSRRPGILESVSRLQPFESDDEN >Dexi7B01G0014390.1:cds pep primary_assembly:Fonio_CM05836:7B:20635999:20644770:1 gene:Dexi7B01G0014390 transcript:Dexi7B01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSNPSSKRIALVTGGNKGIGLETCRQLASKGLRVVLTARNEARGLEAVEAIRRSSGGAEVLFHPLDVTDPSSAVRLADFVRDQFGRLDILINNAGISGVERDPVLVAEIKDKVEDMDVNQRVEWMRENSKETYEEAKQCMRTNYYGAKIVTEALLPLLQLSSSGRIVNVSSGFGLLRNFNSEELRKEFDDVDNLTENRLEELLDLYLEDFKANLVEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLHINCLTPGYVKTDISMHMGVLTLEEGARNPVKVALLPDDGPTELVLLDQSSCCTFMEISISSSPSTRIAVVTGGNKGIGLEVCRQLAGNGVTIVLTARDEMRGEAAVDKLRELGLSNVIFHQLDITDASSIARLADFLKTRFGRLDILINNAAFGGVEYVRDPASGSVTSDEELRGMDRDRRLEWLWRNSRETYEAAKKGLQTNYYGTKHVIEALLPLVQASPDGRIVNVSSDFGLLRFFRNEELKQELNDVGNLSEERLDELLDMFLKDFEAGKVDERGWPAAFAAYKVAKAAMNAYSRILAAKQPAVRVNCVHPGYIKTDMTIHSGLLTPEEGGRRVVKVALLPEGVTGAFFEDGEEASFLPNTQPEAAPIEIVVCTLMEGTISNSTNTRIAVVTGGNKGIGLEVCRQLAGNGVTVVLMARDEMRGQAAVDKLREQGISDVIFHQLEVTDASSIACLAEFLKTRFGRVDILRLEWMSKNSLETYSTAEESLRTNYYGTKHVTEALLPLLKSSSDGRIVNVSSGFGLLRELNGADNLTEEMLDELMDTFMKDFEAGALEARGWPGVFAAYKVAKAAVNAYSRIMARRHRTLRVNCAHPGFVKTDMTRNSGILTAEEGASNVVKVALLPAGGPTGPFFAMGKEASFL >Dexi3B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:3B:7604422:7606348:1 gene:Dexi3B01G0010810 transcript:Dexi3B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-loop-helix DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os05g0139100)] MLADACVILTCRTGPGDELAELLWDNGPALRRAPPPFQPFTCSAAGSSRSHELKRHAAAAALDMPPLGMHDAGGGLPVHDDDAVPWLHCPVVVDDDDRDTAPLPPDYCAGLLSEYPAGLSAAPPAVPASRAAPPQEVAAKHAAAAGEGVMNFTFFSKPLQRPQAAAASNHPVESTVVQAAATTNRLRGTPLFSEQRMAWLQQPPNNKGARATAATAAPPPAAPQAALAPPDHHRHGEAATVTQRRLQPAEATRAPAADAAAAAMAVGTTSSVCSGNGDRSQHKRSSHHHVTDCSVSPDEDLDDEGGGAMRRAAARSNKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKARCSPIDKASMLEEAIEYLKTLQLQVQMMSMGTGLCVPPMLLPAAAMQMAAHPMAAHFPHLGMGLGFGMGAAAFDMARVAGAHHFPCPPMAMPPGPMFGVPGQAAMPFAHMPAGAGGAAPPEQMETAAAPARRGAEADHPSVPAVTQGLEGGHKVQHPKPT >Dexi9A01G0043900.1:cds pep primary_assembly:Fonio_CM05836:9A:47597601:47601285:1 gene:Dexi9A01G0043900 transcript:Dexi9A01G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAPPTLPRASPAVAGAARGRMVRVRVRAASAALGGGCCGGGDDGMEQHRGDGPRSPARDPKGLYKLARTGKIKGFIGVDDPYEPPVNGEDSD >Dexi8A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:8A:26842832:26843312:1 gene:Dexi8A01G0015760 transcript:Dexi8A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAHKVVLAARSSVFMAVLFGGQVEENAAVSHVKVDDMDPDVFGAMLYFIYTDTLPEVDDGDAMVMAQHLLVAADKLKLICEDKLCGYIDSKTVVTMLVLADRHGCRCLQEACVRFLKSRGNLKSILASGDFEHLTRSYPSLLKELLAKVTQ >Dexi9B01G0023290.1:cds pep primary_assembly:Fonio_CM05836:9B:18356311:18357857:1 gene:Dexi9B01G0023290 transcript:Dexi9B01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFVNIPEDKICEALKVILGTTIKLIYDRIMCRPDLMSSSLNRCKEPPSAYSLQAWKGKQFDYITICVHDVLGVPRFAAAKTRVSDLRFIELFDISSIKHLPPSFMG >Dexi1B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:1B:4556473:4557123:-1 gene:Dexi1B01G0005550 transcript:Dexi1B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAARSAAAVASRAAAVRHVASGTGRFSLLFPRRGLGAGTTTTTLLPAACTRSMPYHGAAATALGTRLLQRGLSTTPDDGLMDHIPLCWVDPEAPIDPATTCTPAEEDMASEEAMWALHERWCAFHDVKRDRDDMLRRFGFFKDKARSIHEFNQSGASYTKLLNKRADHTPEERANFVLRGRCF >Dexi7B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:7B:19777900:19781762:-1 gene:Dexi7B01G0013240 transcript:Dexi7B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSCVEPLYECLESTGMIDAAAREVASFVRVKWNWGDLAKARDSLHAVEATVRAGVAAEEDKLNVCDPQVQLWLKRVEEVQLDTIDEDYGKLAKFSCLGQCTVHASRRASIGKRVVEALDEVNKLIEEGKGFRKFGFKPAPEIVDLLPQVETFGLESMLNQLHDLLEKDDSNIIGVWGQGGIGKTTLLHVFNNDLEKKARNYQYLNLSHTLITRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLLKLRVLNLFRSHYGIRDVDDLNLDSLKALTFLGITIYAEDVLKKLNKTSPLAKSTYRLNLKYCGEMQSIKISDLNHLVHLEELYIESCYDLNTLVADTELMTSRLELLTLSVLPSLENVIVAPMPHHFQHIRKLLISNCPKLQNITWVLKLEMLERLVVAHCDGMLKIVEEDTSDEADTMPDHPSEEQEYNALAEHPGDGWGAESKSVCRSNLNDGKRRTDFSNLRSIVLTDVKKLRSICKPRDFPSLETLRVEDCPNLSSIPLSSTYNCGKLKQVCGSVEWWEKLEWEDKEGMETKLFIPI >Dexi3A01G0036600.1:cds pep primary_assembly:Fonio_CM05836:3A:42158013:42159464:-1 gene:Dexi3A01G0036600 transcript:Dexi3A01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKAAGTRLLRHGAVAALLLLLLVHGEAAHPRRKGVTSKYRRSHQASEDMPLDADVFAVPSGRNAPQQVHITLGDQTGTAMTVSWVTVDAEGSSTVLYGRSIDTLDLAADGVTTRYTYYNYTSGFIHHCTLTGLDHATKYYYAVGHGDDDTDTSAARTFWFTTPPKPSPDAALRLGLIGDLGQTPDSNRTLAHYETHPGDAVLFVGDLSYADKHPLHDNNRWDTWGRFAERSAAYQPWIWTTGNHEIDYAPELGETTPFKPFAHRYPTPYLAAGSSEPYWYSVKLGPAHIIVLSSYSAFGKYTPQYKWLDKELKRVDRRVTPWLFISTHVPWYNSNNFHYMEGEPMRVQFEKMVVDARVDAVFAGHVHAYERTHRYSNVAYNVTDGRCTPVADRRAPVYVVVGDGGNVEGLADELTWPQPAYSAFREYSYGHAVLDIKNRTHAYYAWYRNHAGNKVTADSTWFTNRYHMPNHDDSSNIAYA >Dexi4B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:4B:4641849:4647508:1 gene:Dexi4B01G0006620 transcript:Dexi4B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSGEIMNYVTVDAYRIGEFPYWFHQTWSTSVQLCIALAILYNAVGLAMIASLVVIILTVLCNAPLAKLQHKFQSKLMEAQDVRLKAMTESLIHMKVLKLYAWEAHFKKVIERLREVEYKWLSAFQLRKSYNSFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCVGSEFHIVIDSGSFSWDENPSKPTLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTEYVMEALSDKTVLLVTHQVDFLPVFDSILLMSNGEIIRSAPYQDLLANCQEFQNLVNAHKDTIGGSDLNKVSPDRTKEISIKETNDSHGHGNRYRETVKPSPVDQLIKTEEREIGDTGLKPYILYLSQNKGYLYASIGTISHMVSSDLSIVDLDIPFGFMFSIGASLNSYSNLGVLAVVTWQVLFISVPMIVLAIRLQRFYLASAKELMRINGTTKSAVANHLGESIAGAITIRAFEEEDRFFEKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYMDIPSEAAEVIEENRPSSEWPQTGRVELRDLKIRYRQDAPLVLHGITCTFEGGDKIVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCSMVLAMSDGKVVEYDKPLKLMETEGSLFRDLVKEYWSYTSNGNI >Dexi5B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:5B:5873319:5878060:1 gene:Dexi5B01G0008650 transcript:Dexi5B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVAGSSSMAAAASSDPLHGWQTVSYPKRNRKQAPRAAAPDLALQANGKGGVFDAVERRSQERHRALQQQLASRAADLDDARIAAATGSGYSDDEDSDEAAAPRQEGEPKKPKKPKVKKPKVTVAEAAALIDAENLAAHLIEISGSYENQQDIQLMRFADYFGRAFVTVSAAQFPWAKMFKESPVSKIVDVSYHFPRGPKGSKKVVQQSPRAQVAIFVVLAMTLRRKPEVLINVMPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHSLFPTLCSKSGNPQARDLVLQLLERILSVPKARSILLNGAVRKGERLVPPVSFDLFLRATFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQASQQLLPLCAKAIQEKNAEVTREAVDVFIWCLTQNAESYKQWEKIYPENIEASVAVLSKIAIDWKDLSLKLNSEALKATVKNLKAKASIKEADKHCKAILGKLTRGATCLKSSLVVIALAVAAGFVLSPDMDLPAEWEKLQAMVSSHISF >Dexi3A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:3A:13430616:13431524:-1 gene:Dexi3A01G0017600 transcript:Dexi3A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQEKASYQAGETKARTEEKAGQAMGATKDTAQHAKDKASDAAGHATGKGHDTKEATKQKASETGSFLGQKTDEAKHKAGETTEATKQKAGETTEAAKQKTAETTEAAKQKTAEALEATKQKAGEAGQYAKDSAVAGKDKTGSVIQQATDQVKNAAAGAKDAVMNTLGMSGDNKQGETDNKDHSTITGDH >Dexi9A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:9A:11869703:11869974:1 gene:Dexi9A01G0016750 transcript:Dexi9A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTQIPFFPNSGEIRVQGLGFQGCRGAMLTTGLRGGPGQPAGLPAMAAVPGQRLWEEEERVGGEEISHPF >Dexi5B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:5B:1655108:1656966:-1 gene:Dexi5B01G0002610 transcript:Dexi5B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRLEMRCAQHHPASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKARVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Dexi5B01G0038530.1:cds pep primary_assembly:Fonio_CM05836:5B:37722553:37723325:1 gene:Dexi5B01G0038530 transcript:Dexi5B01G0038530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVPAVKLIGWYGSPYVHRAEVALRLKGVPYEFIRDDPINKSELLLQHNPIHKKVPVLLHGDRTICESLVILEYVDETFDGPPLLPVDPYERAMARFWAHFIDQKFARPFWMSFWMCEDDEHKEAFVKEAKGNLAILEEQLKGRRFFGGDAVGFLDVAACGLAHWLGVIEEVSGVTLVNGDEFPAFCKWAKAYVNDDTVKQSLPDRDELLAFFSGNKEIYMALARATLHK >Dexi9B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:9B:299309:305465:-1 gene:Dexi9B01G0000450 transcript:Dexi9B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEALVLLLDVGPSMHGVLQEIKNICSILVHKKACTSLVYNRSDEVGIVLFGTKETCNELAKELGGYKHVTVAHEIKVVDEGTSQALQNLPAGSVPGDCKVLDAIVVGLDMMIRKFGNTKGKRRLCLITSAQHSLRDPPEGTKEDQVDTIADQMKRHDIKMECIVFREPGVHHGAVMEENDRLLYQFRNRSVAKVVQVDSPTSLLGALKTRNVLPVTVFRGDLEVSSNLRIKVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSIVEPDKVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSILRYAIIFNFASECINVVIWHLLVYTCYRALHHFMKDVCLFIPEPGNTKATLAVSAIARAMHQMNKVAIVRCVWRQGQGNVAFGVLTPNISSVNNVQDSFYFNVLPFAEDIREFQFRSFSSLPLSSQPTEEQQEAADNLVKMLDLAPPEREILKPEFTPNPMLERFYSYLDLKAKQPDANVPPLERCLKRITEPDPDIIDQQTPFIQNLGKAFELKENPKKKKGRTQDRLAYTAGDQSKSAAEPSVEKDGILAVLYPPTENIGEIRDLNPVQDFEAMLAKRSSSTWVQKAFEEMQKYTTALLENSRDGDNYHKALECFAALRKACIIEQEPDEFNQFLTKTYERLKNGDVANFFQLLSSKNISLISKEEAPDSDVTEEMARSFYLKQEAASQ >Dexi9A01G0037740.1:cds pep primary_assembly:Fonio_CM05836:9A:42031568:42033430:-1 gene:Dexi9A01G0037740 transcript:Dexi9A01G0037740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKPLTLEKYHSFFLDPWGTNISIDQLNEILFMHGFIKLHHGRKGRIMECLVGQVDLLPPHRSTLHREALPAASPPSAAQARDDVEAIGWVECPIGSIAAFGAPVGAHAPEPVERVPRPAEFVLAGRRPRSKRTRGSAHVAASKKVKVGAPRKKANVIVKEEREPEPSSLPPPPPPPPPPLWTRSPTPPPPSSPPPPPPAQDVGPPPPPAPCWPQPTLAPIPVSPACWGTPTVQPHPSQLFWGLPLPMLPGPAPGWSQTTVPPSYPAPFWGAPSVLPPLPPHVLPWRCPPTPAPAAHPLSHHMQRSPLPPVLLLPRPQPHLQWQRPPPALPQTPPPPPMPQHHLPPHFHGPHRPPLLQTPPPPPMQTPPPPPMQTPPPPMPQHHPPPHFHGXXXXXXALPQTPPPPPMPQHHLPPHFHGPHRPPLLQTPPPPPMQTPPPPPMQTPPPPMPQHHPPPHFHGQHRLPALLQTPPPPMLVHRPPPYFQEQQPHPTLHQTPPPPGYMGVLGRPVCGVL >Dexi8B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:8B:26650257:26650860:-1 gene:Dexi8B01G0015840 transcript:Dexi8B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHTKHEGTLVKSLLCKLQKIQNLDIYVTGNCNLDGWVAPLHIRKLRLLGCWFSILPDWMNPSHLANLSALSIRVREIQQKDLDILGMLPALRSLGLKVDHENICNIGREAAKITYTETVLGLGLGYLASLQKAASETERWKKWRQR >Dexi2A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:2A:33618164:33620583:-1 gene:Dexi2A01G0021550 transcript:Dexi2A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLYAVLLVAALACSGAHAKFSRHSFPKGFVFGTGSAAYQYEGAYKEGGKGLSIWDNFTHIPGKILNNDNGDVADDMYHRYKGDLQLLKDMNMDAFRFSIAWTRILPTGSLSGGINKEGVAFYNNLINEVIAKGLKPFVTIFHWDTPLALEEKYQGFLSEKIIKDYVDFAEVCFKEFGDRVKDWTTFNEPWTYAQRGYAVGSFAPGRCSSYVSKSCFPGDSAREPYIVTHHIILAHAEAVKLYRTKYQKPQGGQIGITVVTNWYVPNNTNSLSDKKAVQRSLDYVYGWFLDPIVHGAYPGTMTSFLGDRLPKFTPDQVKLIKGSYDFLGVNYYTGYYTTSAPAPNGLEQSYDTDIRANTSGFRNGVPIGPPEFVSIFFNYPAGLRELLLYTARRYNNPVIYVTENGIAEANNKSIPLKEALKDGERIEFHYKHLQYVNHAIRDGVDVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESSYWIENFLKR >Dexi1B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:1B:1813616:1813969:-1 gene:Dexi1B01G0002200 transcript:Dexi1B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGETSKTKRAVRSRASGGGAIESLPDGSEEAVRTCVLARRWRHLWKSATGLRVGVGIWDPRLWVSVEDLRSLTNHLLLLRGGAPLDTCYFTFKHQLSNHDDVPHV >Dexi2A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:2A:9058874:9067138:-1 gene:Dexi2A01G0009020 transcript:Dexi2A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDPVPHSKEKPTLGGTRIKTRKRNIAAPLDPASFSDAIVQIYLDNGGDLELIAKSIESSDLNFSRYGDTFFEVVFVGGRTQPGTIKPEEEGDRHPYSVLDCAAQREAILPSVLYIQKTLRRKPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIGLLKKGKMEDGLLDFFPSAKRSSEALSEHFTKEGLASLVEYNEKKMFEVKLKEIKSTLTTMINDEAEISEIIETVKQQVKDAKFPDIEVIRMLWDVLMEAVQWSGKNQQQNSNSALRQVNAWAELLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >Dexi5A01G0027620.1:cds pep primary_assembly:Fonio_CM05836:5A:31071097:31075789:1 gene:Dexi5A01G0027620 transcript:Dexi5A01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEGIAALFSMYNDDEEEEDDADEPNPPSPPSPTAAAAPADASSSPLPSQAGGEDPNRSLVPPSPPLTEESAGRKTLASPHPSPARAQLPSLPSRRSSSPFPASSPSPLRGSSFAPPPDLPRPPRRGALAIVDYAHDEMAMSPEQEDGEIMSGTHRFGSDAQAAEGNIEERTHSGTIHIMTLITQAEMSRHPDEAEQNQATDMAVDVTGTEIEDAQVVETTDLSTNGENDDPLSRFLPPPVPATCSAALQQKINRFLAYKRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDKADYYDEIEADMKRELERKEQERKKSPKVEFIAGGLQPPIGASIPKITASVGVPVLPVPAEGVKKETRPNKKSKWDKVDGDVKNTAVPSGHDNLSATVSAALLTSANVGAGYAAFADHV >Dexi3A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:3A:9834520:9836602:1 gene:Dexi3A01G0013520 transcript:Dexi3A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSERLGRQILQINEVTTGASLSTGTLPGWGLGRHRTSDCRTHASARSTPFSSCPPARLTADPDTGESAIPPIYKASPSPTTTPLRLTDSVTRSSLRRYRRTNQYMDTFLEPLPSPQPSFVAGTYDPELSSILAALLAMHDDDVREPPPPRADEYLAADRATVLPAFLVHQPVRPPPPLAPVDAHCEATAGASRPTAKRKRRGKQTTTTTTSSPSENGGENAGPAGAGTRATSRRVWVRARSTEWWDHLNGPTCTDAEFRRAFRMSRATFGALCDALGGAVAREDTPLRAAIPVRRRVAACVWRLAAAEPLREVSRRFGLGISTCHTIVLQVCRALASVLMPVAIRWPHDSQAVASGGFEAVSGGLPGVVGAVYTTRVPIVAPNKGNVAAYYDRRLTERSHKASYTVAVQAVSDADGAFTDVCIGFPGSLSDAAVLARSALCQLRGETGLLGEHGHRLVGGASYPLTEWMLVPYAKQQDQDMMTWTQEQQRRFNDGIAAARDVARGAVRRLKARWRCLQRRTEAKMEDLPTLIAACCVLHNVCERAGEGLDPDLMRYELDDDGDVANDAASSVTAVQVRDRIAHGLLHGSSSCCSQYQ >Dexi9B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:9B:5813958:5814388:-1 gene:Dexi9B01G0009450 transcript:Dexi9B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTACAVFLYGGISDHPLPPLTAHRSERAREGTRPREYGLRGGLALEAGADDVERVEGRDGGEASGRSCRGVLPRPRLRPASPARGGPFTYAPRFVPPRPPPDCSVREVDCRIAGARAWTNGEAW >Dexi5B01G0027570.1:cds pep primary_assembly:Fonio_CM05836:5B:29124754:29126172:1 gene:Dexi5B01G0027570 transcript:Dexi5B01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQQCSIVSVVKLFPKLDTYYSELVTSFTTGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASVGQVVEVEKGSEYAITFSAARTCAQLEALNVSVLGGVSQTVDLQTLYNIEGWDAYALAFQATDEQAHIQFMNPGMEDDPTCGPILDNVAIKKLFTPDKAKDNVVLNGDFEEGPWMFPNTSFGVLLPTNLDEQTSAIPGWMIESNRAVRYIDSDEYKVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGTAGDSCQPPMAIMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNSAAGLKASVGLVLGIVGMVGLLLI >Dexi3A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:3A:1301008:1304727:-1 gene:Dexi3A01G0001930 transcript:Dexi3A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVADVDFREVVDRVPQGATLTMISDSCHSGGLIDQEKEQIGPSVDGGDIAAPPSTTRRRFLPYGAVVGHLSAISGVDASHHVAEHLLALFGDDASAKFRGHHQQQRRRRTTTAASAVQAVLAAAQPEAAVAVSNREVVIRARKVLAEKGFQQHPCLYCSDANADAPFLYATSFDVVCDQLGLVRSEFPHTFYGVAGTQMKKVAHTGCVNRIRSMNQKPHICATWGDTGHVQVWDLSSFLNSLAESGSATPAPKEDDIIHKHLPVKVFSGHQKEGYAIDWSACNKCIHLWEPTPNSWNVDANPFVGHSASVEDLQWSPTEADVFASCSVDGTIAIWDIRKGKKPCISIEAHKDDVNVISWNRLASSMIASGSDDGSFSVHDLRSIKDPLVAIFKYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLEKDAEEEAEFRAKMKEQANAPEDLPPQLLFIHQGQRDLKELHWHPQIPSLIISTAVDGFNVLMPSNIGTTIPGDTDAAMASAEP >Dexi1B01G0029100.1:cds pep primary_assembly:Fonio_CM05836:1B:33490152:33491811:-1 gene:Dexi1B01G0029100 transcript:Dexi1B01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKRRAAPAKQQPQLDPQEAPGADAPLEERLAWQSYQECERRVTAIKALKDAEAGNIRSQLQFVRSYFSKEQLETKALEYFKENLPNLSTVPNEKFDVFELKWNYGEILGDLIDDKILQASIASLPNAGGLRFPGDSVGKDFYKRISSFSDFAWSGLPERQIAGTSDALQTPGATSNRLSIGVTPKTVRLPKNGEMLLSMHGSPLGVYKEENLAAIQESDNGNEDAPF >Dexi1A01G0027300.1:cds pep primary_assembly:Fonio_CM05836:1A:33138087:33143510:-1 gene:Dexi1A01G0027300 transcript:Dexi1A01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQAAPAANNPFAPKPFGSPTTTFGAQTGTSPFGASTGAFGQLQSTPTFGTTSTGAFGQQQNTPTFGTPSSSPFGSSTPAFGASPTPAFGASPAPAFGASPAPAFGASPAPAFGATSSAFGSGSLFGQKPSFGSTPSQSSPFGNTFQQTQPTFGNSTFGASTAPAFGTTTTPAFGTTATPAFGTTTQAFGTTTTPAFGATTTPGFGSTSTSLFGASSTPAFGSSAPGFGTSGTTAFGVNSATPGFGSSSTPSFGTSTSGFSFGASPSFVQTAVSSGSSPFGTTSPFGVQNPSFGSQTAAPAFGQAQFGNQAGGTRIKPYAQTPDVDSGTTTSGTQTAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGSTGGFPGFSNTVNQALIGQQTPSQSNMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPSRTLSMAVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFVPRENPRNLIIRPIEQWPSRSETDKKSVSKDSFELDKYEGASTETGHDKTVKSPSRMPSLMETGKQHEPSHHENGKGTSVERLLPKLPQADYFTEPSLEELAAKERGEPGYCSRVKDFVVGRHGYGSIKFLGETDVRGLDLESTVEFNNREVIVYKDDSNKPPVGEGLNKAAEVTLLNIKCVNKKTGEQYHEGPRVERYREMLMKKAEEQGAEFVSFDAGRGEWKFSVKHFSAYGL >Dexi3A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:3A:5593343:5595050:1 gene:Dexi3A01G0008010 transcript:Dexi3A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADSNIRIMLVGNKTDLRHLRAVATEDAQNFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVVSDDSGAGAAGGVKEGKTINVAPGDAGAEKKQCCST >Dexi5A01G0030220.1:cds pep primary_assembly:Fonio_CM05836:5A:33221021:33223529:1 gene:Dexi5A01G0030220 transcript:Dexi5A01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALHIQTQPPPLPTSATALPPHSSLASSLLHFLKRPASFPFLLSLFVLLTWLSLRFHRPSPAPSLGDRPAVVHDPQANLVRFPAELHPTPIARDGRGWLLDPVAAARDAGLPGGAFACLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADIKDKGYGEAIIAADEVGIVASTRSTAHALINMDVKPTFFIGCQDTPIYPNSTNTDYKVWSDL >Dexi2A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:2A:2946136:2947035:-1 gene:Dexi2A01G0003350 transcript:Dexi2A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSDPPEDLKYHEPSTAAIASYPELHKYHGPFDLTLRQSMIWSNMASAGQRQSYGLHSPYGAQAMHGRVLLPPAIAAEEPVYVNAKQFNGILRRRLARAKAAPARDLRASSRNRKPYLHESRHLHALRRARGTGGRFVNTRSLAAGEGEKAAQSEGPP >Dexi4A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:4A:5559527:5565325:1 gene:Dexi4A01G0007470 transcript:Dexi4A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAATAGARRGGVDLTGAEIRGDLEGRNPPIFLPRQPAASSPLLALDIGGTLIKLVYTASCGGGDGDGAELRFAKFERRRLHECFDFVRAKGLLGCNGRRSSLDSETTTVSACHVATGDKIKQRKCGAQDHVFPLTDTILQNVPGAAFTHMNGQRNPVDVSPNNLFPYLLVNIGSGVSILKVTGNKKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKGLTDYKPEDLASTLLSAFTYNIAQVSSFYFSFMHIMKLDFLPLGENIGKQRNIFINSWISVSLGWQEAKHLPSIRSQRQMQAVFLRHEGYLGALGALMSYGDDNGENLTLEESEDEPHHESAAPVGTSADEENENNIFPYLLVNIGSGVSMIEIGLPASTTAASFGKVNSSKLSDYKLEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDGLASHEVIREVLLGAPYTGQFPSLPVTEQQNGENNMLEVEVERLRNDNAALKAEVERLQRENAELRAKFGTATL >Dexi5A01G0039860.1:cds pep primary_assembly:Fonio_CM05836:5A:40266438:40269262:1 gene:Dexi5A01G0039860 transcript:Dexi5A01G0039860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAPSSTGSSPELRRKRTAAPPPEPPTPRRFCSMADVMRRSRPVDAPPPVARSREAIYETLLCDTCGSGDQEEELLLCDRCDRGRHIFCLRPIAAKVPIGLWFCPDCAPPAKPLKKFPMKQTKIVDFFRIHKDDQDSVPAKCSLSQDIRRRRKRSLVMHKKRRRILPFVPTEDRARKLKQMASLATALVSSKTEFSNELTYMPDMAPRSSNQARLEEGGMQVLPKEDKETIELCRTMQQRGECPPLLVVFDSREGFTVQVDADIKDMTFIAEYAGDVDYLENRANDDCDCIMTLLLTTDPSQRLVICPDKRGNVSRFISGINNHTPDGKKKQNVKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHAYPTQHFL >Dexi4B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:4B:3781293:3781950:-1 gene:Dexi4B01G0005340 transcript:Dexi4B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECCVYELLLSFISFARNPQHHKFDISDKPGVYGGAIIICNHVTKQQFFDQKHFALPGYAATFIKKIRAGMLLFLFEHEERKLYGVFEATSDGALNILPDSCTPLCKFRPAQVLFRRVWFCKPLTEAEFSDAIKGNCLHPQMSFLGISYQQ >Dexi5B01G0035640.1:cds pep primary_assembly:Fonio_CM05836:5B:35586699:35588082:1 gene:Dexi5B01G0035640 transcript:Dexi5B01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEDRKARGLFHHDISSCDTKVLPGEHNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFCETDKDYAHYFDGAPDTISASSSAILINVSPIGYCHVLLTPKIQDCLPQRIGQESFLIAMYVAREARNSFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTDKLTTLVNGVSIAQLVHYPVSGFVFEGGANLEDLSDLVSKVCIFLQDSNRPFNVLISESGKRVFLLPQSYAEKQLLGKASQEFLDMRINPAIWELSGHLVLKRRKDYDDTSEANISRFLVEAGLSETEFQELKRCVLDFLTVSAACNSDIDA >Dexi9A01G0040120.1:cds pep primary_assembly:Fonio_CM05836:9A:43968242:43970864:1 gene:Dexi9A01G0040120 transcript:Dexi9A01G0040120.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGRREATQILAGSRSSEMAAAETTGTAIGSSGRWALHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRNEEELGERVKEWEARGFRVTGSVCDLSARDQRERLLREVADRFGGKLDILVNNVGTNIRKPTIEFSAEEYSLLMATNLESTYHLCQLAHPLLKLSGSGSIIFISSIAGVVAIFSGSIYAMTKGAINQLTKNLACEWAKDNIRANSVAPWYIRTTLTEKILANKNFEEQVVSRTPLGRVGEPGEISALVAFLCMPGSTYITGQTISVDGGMTVNGFYPI >Dexi9A01G0037840.1:cds pep primary_assembly:Fonio_CM05836:9A:42108086:42110407:-1 gene:Dexi9A01G0037840 transcript:Dexi9A01G0037840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCCRRQRGPDGAPVGGGGRRGCCGTVLAVVALAAAAAVAFLESTAGGVSYAGEGWMHECAKWDAEGGRFLASTFFGAGVAEVRTGGEEAAEERVVLADPDAAGRVALGFAVDAPRRRLLLVYSDRMPRYGYAALGAYELGSWRRLFLTRLDVPGESTFPDDVAADEDGNAYVTDAMRNKIWKVSPDGTLLGIIKNATFTQRQGMVHNFVGLNGIMYHPNGYLLVVHTSGGDLFKVDPKTETVHVVKVQGSLKRGDGLELLSTTRLVVAGTPSRLVESSDDWETATVTGQYVGPIHRIGSSATVKDGDVYINHIFGFGLGKKKTHVLAKAVFSPLAAAR >Dexi3B01G0026690.1:cds pep primary_assembly:Fonio_CM05836:3B:22143294:22147879:-1 gene:Dexi3B01G0026690 transcript:Dexi3B01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREVTRVMTTKHREPTTVDPCEAAWAQRASGPAAAHGSYGSCRRRRRRWLGDRVKCVMLLLSLVAACGGGVCHGETGEAVKSDARPTSNGKVGVIGSVHVSSKERRAMVAIGGERPAEQVAPDQCWIFLLQPSHGIGAHDARVLIASQVVGYEVLMSDVKDCVVNGMSSVLGRWPGKVLDNGHGGRRTGGGTFSRADTREIPRPDPSGGLLPCLDREARGIRTGTPTHDGKPGNGSRESAGIGVAERRESAAAGKWLPIRRVRSRRQFGRVVRPSVESFKYADVSYMEINHLEVATLVPCCYLQQPAPASAHPLLQPADPSPKISSRRLKLMT >Dexi4A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:4A:5725117:5726520:1 gene:Dexi4A01G0007580 transcript:Dexi4A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGPPVWQFVPCVVLIFLLISISSPAAASAGVTMRADLTHVDSGRGFTRSELITRMVSRSKARAATLYRRGHNNNYHGGGHPATATAAPGTYGQPGTEYLIHLAIGSPRPQHVALTLDTGSDLIWTQCASCAVCFPHPSPNFNPSASTTIRRVPCSDPVCTHSPDSLCTLGDCSYVDAYGDGSIASGRIVRDTFTFKGTSSSSGKNGGGVVVVPGLSFGCGLYDTGIYNTNESGIAGFGHGSQSLPSQLKVGKFSHCFTSMLDAKFKPSPVFLGTPDDINAHATGTIKSTPLRRNPVSPAYTYYYLSLQGITVGNTRLPVSSSAFAINKDGSGGTVIDSGTGITTLPTPLFKVLSKAFAAQVALPVVANSSETTGLSLCFAVASAAEAAKVRVPRLVFHLEGADMDLPRENYMAVINGGKLMCLMLGDLEGNDLTLIGNFQQQNMHVVYDLDNSKLLFVPAQCDKL >Dexi3A01G0027180.1:cds pep primary_assembly:Fonio_CM05836:3A:23964015:23970206:-1 gene:Dexi3A01G0027180 transcript:Dexi3A01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAECSRFWQRGRDGFGVGDRKGAIPSPSRTSLKEMPGGLEPIGGSEAPATRLPVAVEGTRNFQIALSYGPCSEDGTGRRRDGEEGIVWGMQAE >Dexi6A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:6A:11664856:11665525:1 gene:Dexi6A01G0009800 transcript:Dexi6A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDINDILMEDEPISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLEQAVSINPPPCFTQK >Dexi9A01G0029680.1:cds pep primary_assembly:Fonio_CM05836:9A:34579270:34582980:1 gene:Dexi9A01G0029680 transcript:Dexi9A01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSWTPAAEVMEDEIAFFDVETSVLPNRCALLEFGAVVVCPRRLVEVSCYSTLVRPADPDAVPASTTARCNGITRDTISRAPPFRDVADDIYRVLHGRVWAGHNVERFDSVIIREAFDEIGRPPPQPRGMIDTLPLLTQRFGRRAGDMKMASLANYFGLGRQRHRSLDDVRMNIDVLKYCATVLLLEASPRKVHTIENLIEGAITRSQANGTPPELSEPEAYSRSPNSLKRKWTVSPVDGATIDGHNHDPARDRASGELVVFHGDKMMLRDRNADGCRSQWLLWVS >Dexi1A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:1A:1359283:1359537:1 gene:Dexi1A01G0002090 transcript:Dexi1A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWSSSSLKAKPPSPTPGQQKSSWPEVVGMAATPAVAKIMQERPDVAVEVLPPGTHLVPGVNPKRVRVFINDHGAVAQTPRVG >Dexi5A01G0031830.1:cds pep primary_assembly:Fonio_CM05836:5A:34444613:34445671:1 gene:Dexi5A01G0031830 transcript:Dexi5A01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSPAAADAITTTASLFCYNAVMATSPQHSREFEFHMPAPLDQWNPVASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNVSAANSCYASGELNEEYYFHECISAESDAATEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYLKTMFATKGGNPGDKNGVSRADELSDAQFKTWRKNPFGHIRSNSNRTTLGSKLKEDEYGHRRSFSSVIIRYSSSSKPSSVSSSSCSSSNSSSFSIPSSTDSSIGPVLRRSSSANSEMDNPIQGAIAYCKKSQQLASVRKSASDAGFRFMSSSASKIAAESEDAEGIFDISRNINVNSMFPQ >Dexi2B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:2B:3194776:3195084:1 gene:Dexi2B01G0003650 transcript:Dexi2B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKERTASSPGSLPMRAETEDRGPQTSPPMRAGGYDGACLSDGEGEGRPPRLPHRRTASRPQALQMRRQEEGAVWELGNPRVTEEDEEPGRQETRGPRVTRA >Dexi5A01G0039210.1:cds pep primary_assembly:Fonio_CM05836:5A:39946746:39952037:1 gene:Dexi5A01G0039210 transcript:Dexi5A01G0039210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELADKEQSSSEEVQLAQPDSKFRSLNPGPIPIPTAPSIRSLVDSVTDERLDVMASHQIENGSRSISTASSTVSSLESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRKVAEGKASAQAEAAEWKRKYELEMTLKEQSKIKGCGSYISNDLDKLASPLTLETSASDQLGCCGKHGICSHEVLQDEVPGPIPRPNHKMVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQVRSFILLKWESPAQTVLFITKPNSNSVRVLCAEMIRWLKEHRNINIVVEPRVSKELLTEDSYYNFIQTWDNDEEIKLLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFLSEQYRECLDNVLKGPFSITLRNRLQCHVIRDAAKDELVTEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGAAWASFDGKDRKQLLPGDALICSISPWPVPTACQVDSTNDFLRSIHEGLHWNLRKSQSFDGPRD >Dexi5B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:5B:2164214:2168028:1 gene:Dexi5B01G0003250 transcript:Dexi5B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDSQVTAVALSDSDSFSVDGADAADADLQALRRLSDNLAAAFRSPDDFAFLADSRISVPGAPDIRVHRCVLCARSPFLRDLFARRAADAGTTEEKGKEKEKNKEEKDKVELRELLGDEVEVGYEALLLVIEYLYSGRVGALPKAACLCVDEGGCAHVGCRPAVAFMAQVLFAASTFEVTELTSLFQRRLLDVLDKVEVDNLPLILSVANLCSKSCVKLLERCLEIVVRSDLDMITLEKALPPDVVKQIVDARLNLGLVSPEDKGFPNIHVRRVHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRMEPKIIVSLLTKGARPSDVTFDNRKAVQISKRLTKHGDYQIEDGKASPKDRLCIEILDQAERRDPQLGEASVSLAMAGDCLRGKLLYLENRVALARILFPMEAKVAMDIAQVDGTMEFTLGSSANNMPPVDLNDTPFIMKEEYLTRMSALSKTVELGKRFFPRCSKVLDQIMDDETEMASLGRDTSTEKKRRFHDLQDVFQKAFSEDKEENDRSARSSSSSSTTTSMGAIRPRR >Dexi7A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:7A:20651441:20652391:1 gene:Dexi7A01G0009640 transcript:Dexi7A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRDGRRAALADLSGGGGGGGFFIRRVVSPGSLAARGIRKPLSRRYISPSRNKENLLPVWALRATPAKRRSPLPEWYPRTPLRVH >Dexi1A01G0022140.1:cds pep primary_assembly:Fonio_CM05836:1A:28850655:28853575:-1 gene:Dexi1A01G0022140 transcript:Dexi1A01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLISVYPEELTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPDTMLKNASIEEDVLRRRRSRKGDTGFSLTFAAFAGLIGVLVGLMMSLIFSSPPSTA >Dexi9B01G0036880.1:cds pep primary_assembly:Fonio_CM05836:9B:38354606:38358394:-1 gene:Dexi9B01G0036880 transcript:Dexi9B01G0036880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSQSLIFRAPASVPGARLAAPSASRVCLRGRGASFTSVAAASTSMANLDGDEKKETKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLASQGLITAGDRDIILEGLDQIERLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQLQVSLVMLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNRQVVDFFIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELQLDDLKAVHPVFEADVYEYLGVENAVNKFISYGSTGSNQVKKQLEDWRIQLGISS >DexiUA01G0025060.1:cds pep primary_assembly:Fonio_CM05836:UA:52579950:52580204:1 gene:DexiUA01G0025060 transcript:DexiUA01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEAKRECGSHRERCCVAALWWRLWRCFGGGRGGEGSASAGGVAAEGEGARAAVRCGVGGVAEGGDWEVRVRPREVSSGGDGA >Dexi5B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:5B:20609627:20611554:1 gene:Dexi5B01G0018410 transcript:Dexi5B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGKPDPPTPPQPPSAARGVFMRRIFPFLLATNVFIGVYVFAKTYKRDQEKKNAQAAAAAAAAAALSSPESATAKAADPAPTPTPAPAPKRVLPPLSEDEQRQVYKWMLEEKRKIRPRDAAEKNKINEEKALLKEFIRAESLPRL >Dexi9A01G0035670.1:cds pep primary_assembly:Fonio_CM05836:9A:40247568:40247911:-1 gene:Dexi9A01G0035670 transcript:Dexi9A01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYYNTSMQELDDTYRLLPREILEDIGIMDPPEQQLHDVIEDLAARLVAVLGGTGTPKTKPDSGCAAAVLAGASDGAPPRWHRRFLAAE >Dexi2B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:2B:30356201:30356537:-1 gene:Dexi2B01G0020240 transcript:Dexi2B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEHPFMSNESICDMDHPAPMTSDKLKKLGWKVRPLKETIADTIEFCKHAGFLDDAAGKTCRFPDVYNKI >Dexi6A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:6A:7590129:7590657:1 gene:Dexi6A01G0007660 transcript:Dexi6A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMDKRQLVYEVARWPQSAVEILQCWSRRDLLELICVELGKERKYTNVPKSKMIAYLLKLVSRNSEQHKDVVLHVVSHAT >Dexi1A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:1A:3292204:3293297:-1 gene:Dexi1A01G0004470 transcript:Dexi1A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVSVLLILFYFASLATSCTEQERSSLLEFITELSYDGGLISSWENITDCCKWEGITCSLDMTVTGVTLASRRLQGHISASLGNLNGLLCLNLSHNLLSGTIPLELMSSKSIVVLDVSFNQLNGDLQELQPSALLPLQVLNISSNKFTERFPSSPWEVMKSLVVLNASNNSFTGQIPTKVCFNAPSLVVLELSYNHFSGSIPPELGNCYTLTSLKAGHNNLSGTLPDALFNISSLEHLSLHDNQLEGSLNGISKLTYLVTLDLGRNGLSGNIPDSIGELSRLNELHLDNNRMSGELPYTLSHCKNLKTIDLKVNYFSGELTKQPNCTAVSVE >Dexi4B01G0022490.1:cds pep primary_assembly:Fonio_CM05836:4B:24057072:24062971:1 gene:Dexi4B01G0022490 transcript:Dexi4B01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYAETLALFHILLYDHRLHWHGVAGLGRAATAVSTRGRRSSGERRDDPKHPLRKLRRREENQPRRLEFLHSLTMASSSASTPHRELLRSLVHLAVQRCRMSESPCRLTVSVKRPAEPASPSQLRVSVSDTGMGSKLEEFQELNVLARETPVEKWDGTLLITTTGMKAIDDFLHIPILGINDDAIYRYRFNLQEEISSARFTKLATTYKSHAQFSGTEVCLCLSNEADADDFIMWLVGFFHKILVLRAAVSISELLSFLKPDLLKTGIGAANNAQRRKAKGLHVEVVLMIARKASDLSCWTVNCPFTQVLYFEDFVPCPISQSSFDVLVSIDWQSYGFKLKGGFIDDEGNAVLEWDNISFARVDIAIHTYLE >Dexi2A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:2A:2172949:2173890:-1 gene:Dexi2A01G0002680 transcript:Dexi2A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGGGGADHPSPPPRPSRYESQKRRDWQTFTRYLTAHRPPLHLRQCSGAHVLEFLRYLDRFGKTRVHAPPCPSYGVAAAPSSSSSSPAPGDDVACQCPLRQAWGSLDALVGRLRAAFDERHGGAAASRAGNVAMTTTTAAAQQPETAGGDGGGAGNNNPFAARAVRLYLRDVRDAQARARGISYSRRKKRRPSNKQEQQGHGGAIAGEGASSSSVKEEGAGGGGGRAARPHGNAAATTSMAPAVAQAHAHLHPNLQPLPPPAYLTGVPFECYDYYYGSGGGGGGGGATTAANGGAAAGFYLPLLFNTFGYS >Dexi5A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:5A:13087060:13091768:-1 gene:Dexi5A01G0015470 transcript:Dexi5A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAQEDEEETAVAAEGGSGEEREQVAEEGGREEGQAAEDVGDEDGEAKAAPVVSCSICLDTVVPDSEERSTARLKCGHEFHLDCIGSAFNAKGIMQCPNCRKIEMGNWIYANGSRSSQAQDASNDEWGHHDEDDPLRIQWCPIGRLPALLEELDNAPPTTFNDFMGPNFSPEQVPVSLPATAHPGPYLAYFQPVPVQPPASVSSLVAERTMDGAAYHDHWNPMAGPSDGRPVQTVQPIDFHHTPWAHMPHSYSQSNHNNGVAEQPVLPAGVMRVAGVDSDSQQRGSLPSFYGNGSGAPRIPTVPPMAPQFIRAHGNINDQLQQSSSLFAGSQRSGGMHPLGIGGGSAVASPENTFCLFPPASSGPSTMEPEDIRGSQYYAWERDRLAPYPLVPVNNEGNWWSSSQQQQPHGTPESASASRRLPGQWIGGAARSPPQENRLPDDSPFRPLHIPRM >Dexi7A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:7A:16320746:16331604:-1 gene:Dexi7A01G0005190 transcript:Dexi7A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLPSPPTVAEEVPGVPATPAGPLAATTSPSHAGPVLAVVGPGGDEAQPTMTSPSEPTDEEVQIQNLTSPRAALSSLRPVEPEPEAPVSATGDTAPALLVYSRRGSCAPAPAVEVDDPATPLPCLPSPKDLFLSKVVKAVGTVLPAPKINKRRTKNPPPDSTPPPPRRSRRKAGLDPENILHIDGSSIWQETFTSVLEQCAKKEFLELMACMQKSSNAVKQLNNVYSPSTFYDGIFCIHSSLTLSLPLVIEQTTKFDEGGHELLGMRFFNHLELCVGQVGLACLDSLVHILIKHTMENTVKSLHTLVDAKLQEDLTKLDDLLGPPMSIPLMGWSSHKQMVKMLHSSWGPLVEIFATIGQLQLVRTLISFKLRSACKVKANTITSAVEVLLSSLHMQKGVIEDEDKTVRFFLHNIKEQQSFCGLFSPLQIIYISEDPPMFLTRLLSLFSISQLSRYVLDVHLGNLTSPLKRSTADFSAVIIGLGAILQQFDSFYMSQYIQAPKAVFWLMSLCKYMDISRDVVESCLPASALAILQQ >Dexi4B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:4B:2038230:2038910:-1 gene:Dexi4B01G0003060 transcript:Dexi4B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATMAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEGAPEHLKNTSFQGAGRPHPAFFRP >Dexi9A01G0034200.1:cds pep primary_assembly:Fonio_CM05836:9A:38976697:38977291:-1 gene:Dexi9A01G0034200 transcript:Dexi9A01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTNKPPSPRPRRRRRLCGLCLGTALLALLVSALVHVVAPTRRPAPASERFSVIIDGGSTGTRAHVFAAGPDGRPDLARSAVMRVSPGLSSFATNPARAGESLRPLIEFAREKIGSAGGDVAKAEVRLMATAGLRLLEERAREAILASCRDVLRTAGFRFEDAWAKVIPVSG >Dexi2B01G0022730.1:cds pep primary_assembly:Fonio_CM05836:2B:32317103:32318818:-1 gene:Dexi2B01G0022730 transcript:Dexi2B01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFLPRRLDASAPPYVVSNKSFVPPPVPDFYTCPPAAVLPPAGFLYPPPVLVAPPAPGFAANSPAFWGFPLGPTMGMQGSYAPQPSWSPQGSCVGMPGSYLHPARTPPQGTCVGMQASSQHPGWAPLTPMAPPPAAAVMQCAPPPQQPDTKANARGGRHRTSRSSVRGVPAPRARPPPRLRLRLDVPPRMQPAAAGRVAPPPSANSGGEVAGAGKGELQANEPSPRSVLVQTSPPITPSALPTSFPYPELGPPSPPASEPVSVPAAGSQAVAPPPRRRGERGGAGLRRQATGGTVRRSVPKPRCIFDASSGCTSLMIRNIPNDFRRTRLMHIIDQHCSIENENIESGDVKSEYDFLYLPMDFRTGANKGYAFVNLTTPEAARRLRDHLHRHRWKVNGSGKTCEVDQAYRKGLDELVKKLSDSRFDCGDEEFLPVWFEPPRDGTRTPLPHLVGRMLRCS >Dexi9A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:9A:1418180:1421328:1 gene:Dexi9A01G0002620 transcript:Dexi9A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWASAAYTAAALVCAAAATVVALVHIYRHLLHYAEPIYQRFIVRMIFMVPVYAVMSFLSLILPGNAIYFNSIREIYDAWVIYNFLSLCLAWVGGPGAVVVSLSGRTLKPSWILMTCCYPAIPLDGCGVLVFLAAKSRLIKNADKAADLQNFVLCVEMLIAAIGHLFAFPYKEYTGPNARPAGGFRESLLHALMFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSGSTATSGQGVELAGITVVASNSPVTSSVSSNQADQEETMTTPIKDKVDPPGGLYDLTDLLDVDLSNYPAKVPAITDVRKQ >Dexi6B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:6B:24644276:24647472:-1 gene:Dexi6B01G0017500 transcript:Dexi6B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTLRTDLVRPGSTQSLEIVHHYTSIAIWQHLSLSHPCDRASNVTVAGWLLQTMCLWLSPNYPICRCADEEEAATWDVTNAMLAARRDDGYQEWIYRSNGVWKFTGATRITGTDLPACPASLSRPSSISSPTGAATLPVRRVVTHPPRLATATNGGTTRRRGRMHVPRVEINAGSFSPHPPGGPVHLGRPWAEPTMDGPATAAADRVADRDTPPHPPTACIAPTSPPVSLSLSSDEYTIHLGALVQRLILSCPRARRDFLSSLVALGFLFLLSPIDPGRRSPTGEPEISRRSSGLVD >Dexi9A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:9A:1717501:1720896:-1 gene:Dexi9A01G0003250 transcript:Dexi9A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFVIVIGIFLSLSLAFKELWQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQDAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIQDEKIASKLPADDKKKIEDAVEQAIQWLDSNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMAGGMEDDAPAAPGGAGPKIEEVD >Dexi5B01G0026060.1:cds pep primary_assembly:Fonio_CM05836:5B:27915381:27916286:-1 gene:Dexi5B01G0026060 transcript:Dexi5B01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGALARNLDALVGYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYEAHVRRYFKIGNYVSPNYNERQRRVLQMMSLDARKSVERFIETHGPDALDKIIRAAEEEAKRT >Dexi1A01G0027060.1:cds pep primary_assembly:Fonio_CM05836:1A:32953282:32955735:1 gene:Dexi1A01G0027060 transcript:Dexi1A01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVCSSRELVIMGINPKKPHYVVGVISSDGQRLLNKVDLKFEEAKFIHDIGVTAKYNIIIDYPLRYGILRTLLQRPVFDNDMNGKSRIGVMPRRGLFKYKMIAKLHFDEPDKKNGIDEDDGWVVTYVHDEGTNISQVHIIDAKKFSDEAIAKITLPQRVPYGFHGNFFYK >DexiUA01G0011190.1:cds pep primary_assembly:Fonio_CM05836:UA:22513396:22513993:1 gene:DexiUA01G0011190 transcript:DexiUA01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDTTGLTSENSELKIRLQTMEQQVHLQDALNDTLKAEVQRLKVATGQVANGGGGGMMMNFGPMPRPFGGNQQMFHNTQAMQSMMATHQLQQLQLHSQPQQQTLPQQHQQPLHPLQTQQLQQAARDLKMNRHLGAQSQWSDGKPGSSSS >Dexi8B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:8B:1542409:1542972:1 gene:Dexi8B01G0002260 transcript:Dexi8B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVPFFGSSSGKKLSSKRTRSTKNGNKSNSFGSTSSSSSSDECSSVTTPRTVLPPPSASMASGSGGANKITRTVTRDDLAIALRRVVSSEEELAEMLAEAAESGVLLEEIAAEAVDEGELKETFAVFDADGDGRISAEELMAVLASLGDDRCSVEDCRRMIGGVDVDGDGFVCFKEFSRMMMQGV >Dexi1B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:1B:22128151:22133457:-1 gene:Dexi1B01G0015550 transcript:Dexi1B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVEGKGKRKRPLSEDDVYLLLHRSVLPPSASTTSSSAPYAPGTILTALQEVAQHAEGRRIDWRAVVAKSATGITSAREYQMLWRHFAYNHDLYETVDADDQPLGDDSDLELEPEPVPNPTKEALSEASALAKRWALISKRPGGSAKPASTKHVTVTSSEERKDALKALSMAVGPRSSSMLRPGAHQQGIQNKSTVFAHKIPEVKSAAAPSPAVALPVPLPVPVPVPLPLPVKVQVKSPLPQGQQAPVQRAPSKSANASNKTRKKQAAQPNPTIGPSSIQAAALAAGGRIAPASTAASFLAQIRSQVTGSSKSSASPKAPSLVVEPGTQPGSTQDLEPLSTSALKSGPSVLTTHATEQVRGGSEVDAAGAHSLDTKKTLGTTPVLVSCDSEETEDDSTFCVITIDDLFPEDAKEPETVDLKAKQPEIADQKAKQPVEIVGQRVKQLETVDPKAKQPETLDHKAKQPETADPKVKQPETVDPKVKQPDTLNPKVEIVDPKDRDMLEFDQFVASQGSVNTDQLDKSKNGSNALQAQGLAGSQKKQVKPAPSIGKGNPISSGVPVKGIKSPAPHLVTPVPAATPLGIVGTVNANAPNKTLVRKAATPAPSGVQAPPLKKHAANVKGNQALQPSAAAVGSGVPASSQPSVAVNGAGKANPPSNSAQASTVVNIGSKANPPSNGQASAAVNVGSNVNRPSSGQASVAVNDANRAANPVPSSQAGTAASGAANKVNPPGVPKQ >Dexi7A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:7A:17454101:17456365:-1 gene:Dexi7A01G0006170 transcript:Dexi7A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVEGPVIGIDLGTTYSCVAVWRHGRSEVIANDQGNRLTPSCVAFKGAERLVGEAARQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVVSHERRTVLVFDLGGGTFDVSLLNVEPSVGMDKGVFEVMATAGDTHLGGADFDNEMVKYSLREFTRKHKSTDISCNQRALRRLRTACERAKRMLSFTAETTIEVDALHGGIDFCATITRSRFEELNKDLFSKCMKGLEQCLRDAKIDKSKVHDVVLVGGSTRIPKVQNMLREFFGGKELCKTINPDEAVAYGAAIQATILNGGQTDERRLMDIVLRDVTPLSLGTEVGVNSTMSVIIPRNTAIPTKKVGYFTTRYDNQSRVRCPVFEGESASTNDNNLLGDFELNGIPPAPKGHL >Dexi9A01G0048640.1:cds pep primary_assembly:Fonio_CM05836:9A:51358433:51359263:-1 gene:Dexi9A01G0048640 transcript:Dexi9A01G0048640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLQHLGDSFSCGWLKRGAPSFERLVVDADLGHSFGSSTRSSFIDMDPADLFSMRWTTTAPPPPGSEEFEFGLPGGGGGDPASSPVLVSASQVIRDGRLLPSDPISRRSSSGAHQRHRVDDLPSAPRSSPSSPMYHSEQSSRSPPLFAGGRRGRASSWKIVVHYLRFLMPLYRKVRALRRFSAARPRVAPASPARVSTSSIEWCHGNADTAVRDAILYCKKSSGQDA >Dexi3A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:3A:2893078:2893809:1 gene:Dexi3A01G0004420 transcript:Dexi3A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSQRAQPLPLPLPPPPLCLPSSPAAPRRGRHHHHHRSSCSSSSSSSVSSAASTFCPSPSPSPSPRATTTSSTLVPFSWERHPGVPKNSFRLASPTGTPLPLPPPLQPATSRRRRRRRRANINISSCASSGSDPFVAAFAECTRDDDGEEDDETDHGADHTTVMSAASKDKKLWLAPARPTVSGGRGERPWRHGAGGFLGFLDLYGCKSAMAVAEGAFLARRPVASSRLGSAGRATIRPR >Dexi5A01G0023260.1:cds pep primary_assembly:Fonio_CM05836:5A:27292043:27309477:-1 gene:Dexi5A01G0023260 transcript:Dexi5A01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MSEAEAPLITEPVAEEGRGPRGSTSTGEGRSGSGARGSRQYHRRADALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFEKAMRFYFVYLQLDWLWSLNLFALILLNFLEGITLVVLVLDVFYPLSYEGLHLFWKSSMNKLKVLLLFILTCDILVFMFITGPFRIAPYIRVAFLIMTIRELRMCAVTLVGIVGTYLNVLMFVLFTTSNNPDVWVPAYKSSRWYALFIVIYVLLGVYFLTNLILAVIYDSFKEQLAKQLAQIDSIRKTILQKAFDLIDTNGQGYLNNEQCISLLDELNKYRSLPKTSRDDFELIFTELDQSGDFKVTAEEFADLCNTIAIKFQKEPPPSYLENYPSFYHSPQCERLKSFVRSRMFEYIVVSVLLVNLVAVIIETTLDIENSSSQKVWQEVEFVFVIGETLTFAFPSKLPFLSNGECYLLFNFNDYPSGMVTLFNLLVMGNWQVWMESYAYLTGSSWSLVYFVSFYLISGLLLLNLIVAFVLEAFFAEMDLEKTGEADMQDSTPEGRNKRRSRRVKTKGTMVDILLHHMLSNELDGSQTS >Dexi2B01G0024900.1:cds pep primary_assembly:Fonio_CM05836:2B:34151334:34153458:-1 gene:Dexi2B01G0024900 transcript:Dexi2B01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKNPSGSGGGGGGGGDNPQVEAAPVVPAAAEGEAVVAQGTGQEPEAEKTDREGEKDDGACRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSASGSKSTPGKATEGAKKADDKNPSSKKLAVAAPAAVQST >Dexi9B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:9B:3377779:3378372:-1 gene:Dexi9B01G0005740 transcript:Dexi9B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGTPPVGLTPGDGNSSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTSASDRDAAAGEAPSRRHGGVHGGGEGVHDGDVDVELGIDEATLKGYPEVVYGEARKEAKAKKGTTCTCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPMPTPLAEVTPLAMARMSS >Dexi4A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:4A:23009136:23009345:-1 gene:Dexi4A01G0019160 transcript:Dexi4A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEKMKAWAAMAGDPLKSASSASSHPSSPLRRYSPTTLAVGGLIVVGGLGYLMFGGKKNGHDQQARRA >Dexi3B01G0033370.1:cds pep primary_assembly:Fonio_CM05836:3B:36020044:36020711:-1 gene:Dexi3B01G0033370 transcript:Dexi3B01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYAGEPNPPHLLGLLRSSMTLDMPSELRQGKFTPDSLLQLSSSAVYLARIGVKINASTAQWFPDMNVRNKLLLAQPVSAFPQRRGASILVNMVGLEVAEATTASNNDTDGFVMSSYLSVLGMLIDREEDVQELRGRGIQCSHLSNAQTLSFFKVLVQDLRLGFNYFAIVQGIDAYIRTRLVRIAVHKFLYNNFKLIAAVLSIASVLVGIFKMLYSVKK >Dexi6A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:6A:27927046:27928819:-1 gene:Dexi6A01G0020420 transcript:Dexi6A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGTISLLSLLLISILILVSSWSKKSRKRRWPPGPWGLPFLGSIHHLLTSQPHAALRDLADKYGPVMYLRLGQIDTVVISSPAAAQEVLQTNDLSFASRPSMLVTEIICYNNLDVGFSPYGPYWRALRKLCTVELLSTRRVRQLAPIRDRETMTLLGEIGAAAAAGQAVNLSSLLISCANNITGMATFGDRCSDERKRQFLSAMDVTIQYGSGFCVSDLFPSLRFLDSISVFRLQRAHRHLDDLLDKIITECEARQKVGDAGGEDDLLSVMLRIRDEQGLEFPINTTNIKAVVVDLFMAGTETTSAATEWVMSELMKNPKVMEKAQMEVRQAFNNTSPREHEVHMDKLPYTRMVIKETMRLHPPVPLLLPRICRETCEVGGFEIAKGTRVIINAWAMARSPNYWEDAEEFKPERFENSMIDYRGTQFEYLPFGSGRRMCPGSGFGITTLEFIVARLLYYFDWSLPSGMQPEELDMDAAIGASARRKNQLRLMASPYNVPLNV >Dexi7A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:7A:24208981:24212516:1 gene:Dexi7A01G0014210 transcript:Dexi7A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKARRGGAAAARKAPATRGRVGRAQASAEEAPLVEELKEAPAEEAPKVVEESSPPPPHQLVLEEKGSDGTANGASHAEEEGAAKDAYEEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEEVEYTEDVVEVDEELDEGGDDGEGEGYENADEEHHVDLDDEEHHEMVKEHRKRKEFEVFVGGLDKDATENDLRKVFGEVGDITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYGVENFDDLLLVEDTNNPGMNRGYALLEFSTRPEAMDAFRILQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKRYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGISNSEIGEGDHKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGASRGGRLPYARPPPPRRPPPRLVRPAVSRLPPIRSHPLKRPIDIRDRRPAMSMPDRARRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSRVVLDYSPRVPVDRRPSFRDDYSPRGSGYSDLGPRSAPRLSDRRAYPDDGYGGKFDRPLPTYRESRVRDYDTIAGSKRPYADMDDAPRYQDIGVRQSKARLDYDDGGSSARYADTYSERPGRSHAGYSGSRSLSGHDSGYGSSRHGMSYGGSASGGDASGMYSSSYSGSYVSRGSDVGGSSYSSLYSGRNLGSSSGGYYGGSGSSSYY >Dexi2A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:2A:3962511:3968643:1 gene:Dexi2A01G0004480 transcript:Dexi2A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRDKSPDHNNQFVDIGDQAGAFAKRLKEDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPDRDLEKLLKGILQQVATPKMDDGKGVKEEKDVGISELGAYLSDKRSHHIYSGCNTAELTIKTFQLNRYLIVIDDVWTTQAWEAIEYKLVAAQTNNNCGGRIIVTTRIEAVAEACSTASAREHCIHHMEPLKLHDSKKLFLSKAFGKMDATCPEELTDVMDNILKKCSGIPLAIVSVANILAGYTSKDKWERVCKLMGSEMVAHPTLEGMRHIVALSYNHLPHELKRCMMYLSIFPEDYEIKKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFDEIFGNVEWYRVHDVLLEVMVSKSLESNFVSLLGEQYGGVLYDDRIRRLSIQGSSSSLGIERGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCRGVTDQHVLHACKLYLLRFLSFKNTEISKVPPQVKKLEHLQVLNLEGTSIRDGGLSDNVTTLKKLERLLCNLWVLPKGIGKMKALRELYTVRLVDCVEIAQELGELEQLEAIIIDVGHSVSKEVREALATSLSKMYFLRRCWISSADYNLEFLHDLRTPPRRLRDIWIGGRVGPCLPAWIGQLTHLVAFDMWRARLSGDQLLGVLFKLPCLKRIHVGALCCVDRELVARTNYRFPSLVSLEVSAGRTEEPEEFRFEQGSMSQLERFQIDFAEREHKVSIVGMEHLTNLKEVRLRGNKSSPALEHALQQVKAEKSKREESHQFEVVVKCYG >Dexi7B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:7B:21958762:21962286:-1 gene:Dexi7B01G0015940 transcript:Dexi7B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSASPPVQEELQTERRLRNQLAAAVRSINWSYALFWSISSSQPGKKNGCRILTWTDGFYNGEVKTRKIAKSVELTADQLVMQRSEQLRELYETLLSGEPDRRRAGRPVASLSPEDLSDTEWYYVVCMTYSFRPGQGLPGRSFASNEHVWLCNAHLADSKAFPRALLAKTIVCIPLMDGVLELGTTDPVMEDPDLVSRTTTSFWEMQFPACSKEPSPCPSVNELGKPTDIIVFDDLNNDATETMIAGGQELGEAESLSNPSRVHITKEIDEFYTHCEEMDLQTLEDSWIIMDGSFDIPPSLQPEPGAANTNVAAAAALTTPVDDSRGTSFRAWSRLDSDEVAMPVIEEPQKLLKKVVTGGAWVENCGGGTTTQETNIKNHVMSERKRREKLNEMFLVLKSLVPSIHKVDKASILAETIAYVKELQQRVQELESNREPISRSFQTTRLARRHDNGIVRKKVSAGSKRKGSELGGDMEREHPWVPSKDGTSNVTVTVSHKDVLLEVQCRWEELLMTRVFDAIKSLHLDVLSVQASVPDGFMGLKIRAQFAGSTIVVPWMISEALRKAIEK >Dexi2A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:2A:1450709:1453485:-1 gene:Dexi2A01G0001940 transcript:Dexi2A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPLLSSLLALGSRRRLVGHWPLALGLAVAGEAGCAAAGNRGGDDAEAEHSSGPEHAEAEAGGRGVAPSAGADSAAKVARQAWASAVGPLDPPGGIALMPMEDDSPGLAARAMAPRRRRRRPELMAELVEEIFLRIPPDDPAALVRASFVCKSWRRLLSDPALGRRYRAFHRAPPLLGFLHQHHDPDVGIIPRFVPTATPSPLPEPAFGGCVLDCRHGRVLFHLPVEGVNLVVWDPVTGEGEELPEPRIFLRANNAAVLCAVRGCDHLDCQGGPFVVVVVGYGKGNKGMQSHLYSSEKDAWIASAELAHGTDSL >Dexi7B01G0022760.1:cds pep primary_assembly:Fonio_CM05836:7B:27598698:27601295:-1 gene:Dexi7B01G0022760 transcript:Dexi7B01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPLAAVVFHLCLLATASAALRLGPAATSGGRHASRTAYHFQPAKNWQNGPMYYNGFYHFFYQYNPHGALWDIGNLSWGHSVSGDLINWAALNTALDPTSPFDINGCWSGSATILPGGTPAILYTGIDANKEQVQNVAFPKNPSDPLLREWHKPSYNPVIPLPADVAGDNFRDPSTAWLGGDKLWRIVVSAEASGVASTLVYRSSDFVHWERNAKPLHSSRAAGMVECPDLFPVKAHGEEGGLDTSANGAGVSHVLKLSVMDTLQDYYMVGHYDDDADAFTPAEPERGDDVRNWRRFDYGHVYASKSFFDARKNRRVLWSWANESDSQADDVARGWSGVQTVPRKVWLDKDGKQLRQWPVEEIETLRRKRVGLRSDDTMLNAGDMNEIVGVAGSQADVEVEFKLPSLEEAEALEPNWLLDPQKLCGEKGASVPGGVGPFGLIVMASGDLQEHTAVFFRVFRHHDSKYKLLMCTDLTKSSTRAGVYKPPYGGFVDMDIEEHETIKLRTLIDHSVIESFGAEGRACITARVYPEHVEKSNSHMFVFNNGTDNVKVTKLEAWELAAATVNVGDDGLIVSESY >Dexi5B01G0028540.1:cds pep primary_assembly:Fonio_CM05836:5B:29916107:29916376:1 gene:Dexi5B01G0028540 transcript:Dexi5B01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRDKHSAAKKQDLGPQRHEATVLDWTAIPAHTVIFLLIFSANASTLLQPPWSPPPSRSLPEMHEPDEDGQTQRTCHLCRRWGWRRRG >Dexi9B01G0021300.1:cds pep primary_assembly:Fonio_CM05836:9B:16041528:16043348:-1 gene:Dexi9B01G0021300 transcript:Dexi9B01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTEKVVLGCIAFGIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMSPEDAYKAIDLPILGLLFGTMVVSIFLERADMFKYLGSALAWRSRGSKDLLFRVCLVSAVASALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSGITFGQFLIGVFPAMIVGIIANTCILLCYFWKYLSVPEKDQERGGAAAGGAEVVVADDEVTSHRFTPARMSHASSVNGVDADCISEPIRRTESLNRADTLSMRSRSYNSEGDIQVAIRSMRASSMSQEMVEVSTVVGDRRDGDGVGPRKITRTTSHQRSVIIEDAPEADANGDAGEKSKDGGGGGEGKEKRWKVLVWKSAVYLTTLGMLVALLMGLNMSWSAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNALWELVEPHSRIDSAKGTALLAVN >Dexi3B01G0021370.1:cds pep primary_assembly:Fonio_CM05836:3B:16228284:16231555:1 gene:Dexi3B01G0021370 transcript:Dexi3B01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLRLIAIFSAILFSTHLHCVRGADLNSDKQALLAFATSLPHGRKLNWTSTTPVCTSWVGITCTPNGKRVREVRLPAIGLFGPIPGGTLGKLDALEVLSLRSNRLTINLPPDIASIPSLHSLYLQHNNLSGIIPTTLSSSLTFLDLSYNSFSGEIPMKVQDITELTALLLQNNSLSGPIPDLRLPKLKHLDLSNNNLSGPIPPSLQKFPASSFLGNAFLCGFPLEPCSGTTPSPISPSPPNAQKSIWKRLSRRVIIAIAAGAGAVVLLLIIIILVCIFKRKKDTDPGTASSSSKGKAIAGGRAEKSKAEYSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKKDFEQQMELIAKVGQHQNTVPLRAYYYSKDEKLLVYDYVPLGSLSTALHGNKTAGRNPLDWETRVKIALGTARGMADLHAEGGGKFIHGNIKSNNVLISQELTACVTEFGLAQLMGPPHVHPRLIGYRSPEVLETKKPTQKSDVYSFGVLLLEMLTGKSPLRSPSRGDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLQVAMACVAVVPDERPRMEEVVRRIEEIRNSYSETKTSPEDNLREGTL >Dexi9B01G0045320.1:cds pep primary_assembly:Fonio_CM05836:9B:44838621:44839443:-1 gene:Dexi9B01G0045320 transcript:Dexi9B01G0045320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVVLYYGKGQLTGFLADPEGVLDVVPADMVVNATLASMAYHGGGGASRGPGMHVYHVSSSTVNPLVFGDLSRFLFQHFTKCPYSDGAGQPILVPPMRLFDSMDQFASYVETDALLRQSARSSSSSASAAGDSSPEQRLISRQRALDLCAKSVEQTVYLGSIYQPYTFYGGRFDNGNTEALFAAMSPAEKARFHFDVRSVDWTDYITNVHIPGLRKHVMKGRGVAANQLLASTSV >Dexi5B01G0030640.1:cds pep primary_assembly:Fonio_CM05836:5B:31583010:31586296:1 gene:Dexi5B01G0030640 transcript:Dexi5B01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPHCSATPLSPSSRVSSSLSCVSSSASSSTCSCYVPASWTPKCGGKKKRSNRRRAKNGASDAAAAVPRRYSSIYRGVTRHKSSGKFEAHLWDSHVRNPTKNKKGRQGAFDSEGAAAHTYDLAAIKYWGSDCKLNFPLESYSHEHERMQRMTREAYLATLRRGSSSFSRGASVYRGVSRHHYNGRWEARIGYANAKKYLYLGVFGTQEEAARAYDLAAVELRGHAAITNFDISSYADYLQKKLEVPKAAQPRLALKPKAEPVDEEAPSLPINATTTSRPLLTPKPEPVDELDDHLAPAPGPLLLDADDVDHAIAEILPGLGMDPADFEARYPARRARALWWPSSDDHQLRGLPDAGRFEDDIEALFEAFIPGHGEVQVQVQVQSPAAVVADASGADAVSYAAAAITSLASGSWW >Dexi4A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:4A:23967173:23968264:-1 gene:Dexi4A01G0020210 transcript:Dexi4A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAYIDLTEAARSVQVFKINGFSATKEKPDGYTWWKVCTVGGHDWRIEFHPRLSSPSYQYTDWIMFRVRLISTGARDVAASFSCRLIEPSSPGGSYLDLEEITSAMFHENHEREVLLIRRTDLEGSQRQYVKNDCILVQCAINVLPGKPKDPAAAAAAAAKASLRQFGELLRSQKGADVTFLVAGERIPAHRSVLAARSPVFMAELLFGDDDTKEYASSRPCVVIDDMELEVFRAMLRFVYTDTVPELDLLKGEHARAMATRLLEAGERYGLKRLSRICVEKICRVISVDTVATTLALAERHGCSKLKAKCIKFTLANLGAVSATEGYKHLEAICPSVLTELLKLMVEGTRRV >Dexi5A01G0023730.1:cds pep primary_assembly:Fonio_CM05836:5A:27684477:27686382:1 gene:Dexi5A01G0023730 transcript:Dexi5A01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLTPEEPEMPVGTPPRPQLPPSVAGAGGGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSAASDYCSDKDDQDPSDVEGSMLGLQSYWDASYSEDLANFQEHGHAGEIW >Dexi6B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:6B:7406035:7407070:1 gene:Dexi6B01G0006740 transcript:Dexi6B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEHNCRRQYLPGVWGEFFITHQPCTPEELLSMKEKASAKKVEVRQIVLESASMDLARKLNLVDVLQRLGVDYHFQEEIDELLRSVFYDKDGGSNDLCLTSLSRLQSLLKTDLEPSLAEEMRVTLETRFRRVQRVEARRFISVYEKNDMRDETILEFARMNFNIMQVAYAKESKELSM >Dexi7B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:7B:13864905:13868217:1 gene:Dexi7B01G0006250 transcript:Dexi7B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSCCFKETIMESKLTFSRDSPPISIICAAKVAGLPIAVDPNLPAGSAPTLQLGSGESLHGVNPILHFIARSASFSSFSGQNAIEFGHVAEWLEYAPIFLSGSEFETACSFVDGYLVSRTFLVGHGLTIADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYGYTLSESVAAYVGKRGVGKSPAPSLKEKVHDSKDPLAPEVVLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYNAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEEMRKERMDGIESKCRNNAVAENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKIEALIQFILQQVHLCAKGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLINGPDKPFVRILPRHKKCEATGKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIVKEIKMENGVITELVGELHLEGSVKTTKLKTTWLADIEELVPLSLVEFDYLISKKKASFLFGSPIAYLEEDEDFLDNLNPCTRRETPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >Dexi3A01G0029220.1:cds pep primary_assembly:Fonio_CM05836:3A:30654750:30658548:1 gene:Dexi3A01G0029220 transcript:Dexi3A01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNVCDVCGDVGVEDNLVICGRCNDGAEHTYCMKVKINEVPKGEWFCEDCQADIQIEIEEKKLKIYRLKAGAKSSANYVENEEPIKKFGCANSDDTSTPKVEHGVIGVGGTESVDADKTCHYREQTSGGRSASFNLRSLDGERHSLESYCMQMMVEEVPEEGWLCETCQSELKTEKTNAKLDNSQAKLGAFKQALIEVKENKPANDANIQSSSKDEDTKYAESRESKRRNCATLVGQISPKSDGLSKEIDYRKGALLKRGCSFNIGTEKEKQTTSQMPTSVVPNALKNMAGPLHGHDEVKVPLSAKEPGTISLNRVKTSVDILASDTARKAVQMPYPSNLDYKLSNPRSMDTPTMPSYLGNKSLTFSSQHISPGYEQLAFTPPEMNHIWQGDFELRKSEGSLQFCDGLQAHLSCSATPKLIDLATKFPSKFQLEELPRHNVWPVQFQENRPTCSSIDLFFFARDIQSYKNYYMKLVENMIKGDLALRGNIETAELLIFASDTLSKHYQRWNMFSFMWGVLRVRRNDPVSLPLDVPVSECS >Dexi1A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:1A:22151865:22157533:-1 gene:Dexi1A01G0015210 transcript:Dexi1A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPRERTGGSYYPRAPSPTPSPHHGSERRRHGRRSPPATVSPPGAGGSGAISEPVLPAIRESINAPEKVVGFRISGEENHTCTQEVGSISECHLSGQGISGFPTDTVGLVGAYPERTKVVGSLQTDHLASGSTGVPGNGTQMAARRSQAVNANHLLNFQYDPISRPQPRGPRPYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYEVESMDPDKMLQWEDIICVRITGKILELETVTQSEAIRKRYRFLSHFSLTTTFQFCEIDLSDIVPPSSLAPFLDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEFANFSQSHNDVMFSLDDFEGPSATPALSFASIITSSRAATAADNNSEMQKQNGVGKKGKKPTRVLLSTGGGRRY >Dexi7B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:7B:25777719:25779919:-1 gene:Dexi7B01G0020500 transcript:Dexi7B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCCFGTGCSEFTGHGSIASGKGKGCQGQVKVCYGYNLEEFWTHTDRAITKAYEKTDQAILSHTLDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGKPIQMSIDHDPNVERSVIESRGGFVSNMPGVMNNQEVVDLAKRYKDPYAAARHLTAEAVKRESKDDISCIVVRFKA >Dexi4A01G0022260.1:cds pep primary_assembly:Fonio_CM05836:4A:25537730:25540719:1 gene:Dexi4A01G0022260 transcript:Dexi4A01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEELQKRNLREELEERERKHYSSKDKSYAEERDRRKSSSQLLLEGSKREADDKIVPREIDADDSDVEPRSDDESDDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNAGSFSVKRRWDDDVVFKNQARGEVKTPKRFINDTIRSDFHRKFLQRYMK >Dexi9A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:9A:13670499:13670741:-1 gene:Dexi9A01G0018610 transcript:Dexi9A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLEAAVSKVAMAAHLAEHTVAVAEAVTARRGHYDVPAAVVMAAASAVLVAPWPSPDQAWVMAVASSVSPVHQLAMAR >Dexi3B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:3B:4810734:4812000:-1 gene:Dexi3B01G0006900 transcript:Dexi3B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSALDLRIADAIHSNGGTATLPQIVSRVTTTLHPSKIPNLRRLMRVLTTAGIFTVVDQPPQPQHPSDDELIYGLTPASQLLVGSSSLAPFTSLILHEMRVSPFLGLATWLQQHGDERSSSSLFEMAHGKTLWELNDHNPTFGALFNEAMIRDSSFTMDIVIKECGSSVFQGLSSLVDVAGGLGGAAQSISKAFPHVECSVLDLPHVVAKAASISSSTSSPGGGGGVKYIAAIS >Dexi1B01G0022350.1:cds pep primary_assembly:Fonio_CM05836:1B:28082151:28084094:-1 gene:Dexi1B01G0022350 transcript:Dexi1B01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGTPWCDPRRGYSYGYGVGSAAQAPSTMRQPQQQQQREEAAAMATGGVLKRTVAEMEQLQQALYLRAVRQRVAAQGQAAHPPIDIGAVLARAAAARGPGFSGPPSAGFAAGISPQPSSTLSSLTTASRMAAPPPQYQPMQQLLQQQRQRQMVPAPRQAAQAVSMGPAARPATAREMELEKQLLGDDDDGEAEAAGSACGSTVTSASAWGDTMRELNNSIAALPSIPMASSATNNRNNTVPISRSPPAESTSSSTASSTASSSPPTTSASSRQLLSEAAAAVAEGNLTAASAHLAVLKANANPRGDAEQRLVAMMASALSSRIGIGVPPSSSQAQHLADLCGARQRAACQLLHDVSPCFGLALHGANLAILDAMADHRAIHLIDFDVSVAQHMALIQALATRRRPCLLKVTAVADPTSPFTRAMTDALAATALRLKRHAQQAGVEFRFRAVRCQPCEVDASRLGCEPGEAVAVNLAFFLSRVPDESVSPANPRDELLRRVRALGPRVVTLVEQEVNTNTAPMAARFADACAHYGAVLESLDATMARDSEHRAMAEEALGNKAANAVAREGADRVERCEVFGKWRARFGMAGLRPVAIGQGIADRVKARLGQARPGFDVKVDSGRLGVGWMGRVVTVASAWR >Dexi2A01G0030870.1:cds pep primary_assembly:Fonio_CM05836:2A:41685043:41686524:1 gene:Dexi2A01G0030870 transcript:Dexi2A01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLAAACFAFVLLLNGAYIAGVESRRCHCDDDGGDGSGDQQPSYKLFVFGDSFADTGNLEKDGLKWETRGWYEPSGISDADHGNKPTGRCSDGLVQSDFLAKIILGRKEAPPPERVRREDGVDMSYGMNFASAGSGVFPGWNLDTQIDRFRRLLRHKIIAKDDLSQSIALVAVSGSDYADIPSDIPDLDPVYITNITDGIVDGVRQIQDLGVDLVLVNMLPPLGCRPLNTRENNYTKCAKDRITHIHNNNLMRDLDDDDSVVLLDLNRVFTSIVTTKTEKQFYDRHMPCCESLDENGFCGLVDGDGNKQYTVCDKPEEYFYWDSTNPTQAGWKAVMEQFEDTIRDYLSN >Dexi9A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:9A:10196508:10197431:-1 gene:Dexi9A01G0015310 transcript:Dexi9A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAGMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYASTLEDATVVQPAQIVAAVEQICQ >Dexi6B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:6B:24030208:24030676:1 gene:Dexi6B01G0016750 transcript:Dexi6B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALHEKRVRKCLSKVKGIERVEVEASLQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLCAYATTSLMINNSYTFF >Dexi6A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:6A:25637522:25640279:1 gene:Dexi6A01G0017770 transcript:Dexi6A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCAQQLQLPTAPLHTLRHQAGKGVTPLPRPRRRPRRGIAAAAAAMASGSGNPNSVVLGCGGISVDYLATVASFPNPDDKIRSLTLKVQGGGNTGNALTAAARLGLHPRIISKVANDAQGRNILSELQADGIDTSYILVAEDGNSPFTYIIVDEQTKTRTCIHTPGTPPLVPEELTKANLASALDGADIVYFDVRLHDTALVVAEEASQRKIPILIDAERKREGLDELLNFASYVVCSAKFPQAWTGASSIPVALVSMLSRLPNIKFVIVTLGEKGCLMLERSMADASETGEIDAELLLESLENKIDRSATMPKCIASKTNLRISADGVGSISGRLLLGTAEVIPPGELIDTTGAGDAFIGAVLYGQCAMYPFPTQICAND >Dexi5A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:5A:2832334:2833561:1 gene:Dexi5A01G0003710 transcript:Dexi5A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHDAHHAQRIPTTYYTHTATSVWQRQQPVSYCRSDQMTTPPRASTGEIITVADVAIDRKRGRQSGDQVDTLSSLGRPRLWKWEVMNGPGQACRWLVQLAASLSAQRWVERVLRPPPPRPRNSPCVQLEHAWSQKARNFKAILAGFLALEHERAPWHGHVHRSTPTANYLHTTAIRSNRYLHTRVGGHMAASSTDTVNLPAPGEFTFPRKAGSF >Dexi2A01G0029610.1:cds pep primary_assembly:Fonio_CM05836:2A:40668054:40669062:1 gene:Dexi2A01G0029610 transcript:Dexi2A01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQQFDAAGGGGGVDRITDLPDDVLHMILARLPTTDEAARTSVLSRRWRRVWAGVPALSFHYHESPSSSSAQEDQLDRIDAALSGHAATATVDVERLEIAVPYGVPDARVARGSSMSLCLGRKLRFPLQSAGAFTALAALELTTGSVSGGELESIVSSRCPHLKKLALEVVTITLRPAAGDNRALCIRSDSLEQLKIIANIYRHDLLQVATPELKSLFLNVDCNLHIIAPKLSKLYWHCNSYDPDRHRLEEVSRHLRRLRVAINTPLVAMMRRFDTVHELHLFVSIPEVWCACAIS >Dexi8A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:8A:26999275:26999715:-1 gene:Dexi8A01G0015930 transcript:Dexi8A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGYYHYLLVVLIGLCIIATVLGALLPESFPVDLASGTAFTLYGPMLPKGCARDVDGHIDCHLRAAQERAEQLANFQMFGLVFLAFVYVLVCYAVAAARYGHPDLTAMHHEHVAAMECQGDASTGVREEGVM >Dexi2B01G0034860.1:cds pep primary_assembly:Fonio_CM05836:2B:42060580:42062416:-1 gene:Dexi2B01G0034860 transcript:Dexi2B01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAADDETPPACDFCTGLPAVVYCRADSARLCLPCDRHVHGANTVSTRHARAPLCAACRAAAAAFRRDGGRRFLCGNCHFEEGRQHGGGDPPRPVHDRGAVEGYDGCPSVAELAAILGVDGCDKAAAAGDGCWPACVEPQVLSLEDVIVPTTSCHGLRPLVTPSSPKNPSSGAKMTEEAIRQLGELAKSEAAAMAYTEAEAADAFWASSDYGVGDGDFGALDTDACHDAATMPVPCCEQEAWIDSDGACRTAHEQEQAPAPASSSAEPCLSSFVDMSEICPSVLVDKSNGGNNKAEAETTTTPQPAAASAQETPAPGKKGGYDVAYPDRRTVISRYKEKRKNRRFEKQIRYESRKTRADGRLRVKGRFARSGETS >Dexi4B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:4B:3294977:3297256:1 gene:Dexi4B01G0004630 transcript:Dexi4B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDGGGDAAAPGLGKTVCVTGAGGYIGSWIVKLLLERGYAVRGTVRNPDDAKNAHLRALPGAAERLALCRADLLDFDAIRAAVAGCNGVFHTASPVTDDPEQMVEPAVRGTRHVIDAAAESGTVRRVVLTSSIGAVAMDPNRAPDAVVDESCWSDLDFCKNTKNWYCYGKAVAEKAAWEAAAERGVDLVVVNPVLVQGPALQPVVNASLMHVLKYLNGSAKTYANAVQAYVHVRDTADAHVRVFEAPNAAGRYLCADAVLHREDVVRTLRKFFPEYPIPERCSDEVNPRKEPYKISNQRLRDLGLEFTPAAQALYETVICFQEKGILPVPAPSPSPQP >Dexi9B01G0040320.1:cds pep primary_assembly:Fonio_CM05836:9B:40885771:40892494:-1 gene:Dexi9B01G0040320 transcript:Dexi9B01G0040320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGCCPPMDLMRSEAMQLVQVIIPSESAHLTVSYLGDLGLIQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKADISASPTQLNETHLKFDDLEIKLGELEAELTEVNANNEKLQRTYNELLEYNTVLQKAGEFFYSAQRSATAQQREMEANQSGQTSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPIDEPVTDPVSGEKVAKNAFVIFYSGERAKAKILKICDAFNANRYPFPEDVNKQLHAVQEVSGRISELKATIDMGLAHRDSILKSIASDFEQWNHLIQDALQRATVDSKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGYHELYSSLRVAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLFLATLYLIIREKKLASQKLGDIMEMMFGGRYVIMMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPTCRYQFIPQLIFLNSLFGYLSLLIIVKWCTGSKADLYHVMIYMFLSPTDDLGENQLFSGQKTLQLVLLLLALVSVPWMLIPKPLLLKRQHERRHQGHQYAMLQGTDESVGAELGEHHDDSHDHEEFEFSEVFVHQMIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLMAWGMNNIVALVIGIVVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALIREEED >Dexi5B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:5B:21617194:21618717:1 gene:Dexi5B01G0019300 transcript:Dexi5B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALAAALSYLPPPVITSSAFLAASPDGEGEDGVDRLPVAILRNVVSRLPTKDAARTAVLSSRWRHIWTSIPLVLDDGAGGLAPAAAAAALASHPGPVLSARLASSQDPEAVAGVLASLAARDVEDLLVVVNGSLPLEWRVPSDVLGCAALNRLWIGRCQFPDTSGVAPALLSLRELGIVHSSVQDRDLHAVIPRCPVLETLAFARTQDYPRYVHIWSASLRCVVVWKSMLREVHLDDAPNVDRLLVEPIADAATHIKIIKAPKLKILGYFDVGLHQLKIGNTVIKIDTKVKPSAMVRTLRTLALKVQFGVEDQVKLVPTLLKCFPCLETLYIMSVPSEAPVNDDLEFWDQVGFTECVYSHLKKLVLEAVRGEESELAFAKFIMERAQMLEDMHVLVDGSCSRDVVLSHLSSEGCVSADAEVLVERRDGSAWAFQRAIDLLQSDPFG >Dexi1A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:1A:22221960:22233013:1 gene:Dexi1A01G0015260 transcript:Dexi1A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGVGGLQGVHVSEKIPLRCQRVASSSSLAGTIRTRSVPSSRGVVKLADTIQSRLPLAGCLTFISLLSPTRLRPRHPRSVICFTSAASFSGSIGPDYTGLPPNVRLSALGAVKETEPWMNPGCTGGAIWCATVAHRPRDAKSSACREGRYPPTWRGARVPRAIPTGVEREMGGSEAEGEQGSRACRRRKHKSAQNQNISRERGTIKRKENKSNTNREEAQIEHKSRIALRPTPPHHRRPVELQPASASSPELSCPVVRTERERAWSSAPTSSTEASSSPHPSRRHLLYRAGLLHGELEEELEAVAGCLAAPPRQPRAATSRIEEEEAESNSRMGMGAGKLRHHRAGAEALPASRAEKGLGRGAEQLASEAASSAGQAEQPEREAGRERERERAQLRRGRRQTDRSREGRKPRENIWEASCGLTPKAQRSYATSGRSPQGRKPPNPDQPKTQVRAPCQPLAQAQPTSPTSASCGLTPKAQRSYATSGRSPQGRKPPNPDQPKTQVRAPTTHLPDLCAERLAVLACEQRDDVDRLPALCCILVMM >Dexi3B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:3B:14580897:14584632:1 gene:Dexi3B01G0019560 transcript:Dexi3B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLLPLPLVLASPPLRAHCPTPPRLSRPPPYPRAAALLTWFSGAALSAAGRRVGLGCVQTKGARCRASVSAPPAAQMGMDLYAQVEPYDTGFLKVSDVHSIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQVFGGSWGSTLALAYSQTHPDKATWEPFRDFIPEEERNCFIAAYNKRLTSSDPTIQAFARIENHYFVNKGFLPSDSYLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVKMLQPFFQIPPYVVPDAGHSANEVGIAAELRSATEKLRDMLK >Dexi2A01G0022980.1:cds pep primary_assembly:Fonio_CM05836:2A:34750867:34755519:-1 gene:Dexi2A01G0022980 transcript:Dexi2A01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALGFAAAAAAVLVVLGAVAPGALAEAEVVTRADFPAGFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHDGYSNDNATGDVAADQYHKYKDDVKLLHEMGVDAYRMSIAWPRLIPGMALHTISIKPPNNYIFRKNTASLTFMGDEVTLAFGLYALMQRGLHDQKDHDSRMMHFYRHGMIFCFVDQAEQEGQIGLTLLGLWYEPATETLDDIEASARMNDFHLGWFMHPMVYGDYPPVMRKNVGSRLPSFTDEERKRKLLKHLRVKYKNPVVMIHENGVAGQSDPCGANTYNDEFRSKFLQDYIEATLHSIRNGSNVQGYFVWAFLDVFEYLFGYRLQFGVYGVDFNSTARTRYQRHSAKWYSSFLRGGELRPVTLPDRAYSQ >Dexi8A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:8A:15270074:15271131:1 gene:Dexi8A01G0009810 transcript:Dexi8A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRRPAAAAAAATQASSKREVGFAKLQGECFEYYMQNYSIIVGRSSRRSSKDPAAAQPPEADEGVDLDLGLLGGGMNVSRRHARIFYDFDLQRFQLEVLGKNGCLVEGVHHFPRSPYIKLDSQDLLQMGDTKFYFLLPSRSVYGASVARRVAAVPSAIPPPPSDDDEDEGEQGEDMAAAAKHLRNGNNALAGDD >Dexi3B01G0006620.1:cds pep primary_assembly:Fonio_CM05836:3B:4559264:4561350:1 gene:Dexi3B01G0006620 transcript:Dexi3B01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMSTEKEQAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWSPGVVAMLLSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGPRLGLWIVVPQQLIVEVGVCIVYMVTGGKSFEKCFTLACPDSEPLRTSSWIMIFAAIHLLLSQLPNFNSITLVSLAAAIMSLSYSTIAWAASAHKGKQADVDYSKTASTTTGQTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPDRPSKKPMWQGVILAYIVVAICYLPVAFIGYYVFGNAVDDNILITLEKPRWLIAMANIFVVVHVIGSYQIYAMPVFDMLETFLVKKLRFRPGLPLRLIARSLYVVFTALVGIAVPFFGGLLGFFGGFAFAPTTYYLPCILWLKIMKPKTFSLSWFTNWFCIIVGVLLTVFAPIGGLRSIIVNASTYKFFS >Dexi5B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:5B:8516326:8517318:-1 gene:Dexi5B01G0011980 transcript:Dexi5B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRRGIPSFCHGVASTSTVHQLHGKQLVTGNEAGVGTDTASSPFLAAVSPSVVGSCVAETEVSGADGGGGGGPAAVTLEQMILQLDLEEEAARKARRATGDRGREEEGWFPPRRMSCVDGGGGPADHVLRSARDALSQYPRFSLDGRDAMYRASFSGFYNGVGRDATAARASAACCAGGGVVGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLDAVPVPVGGGLRRRNKAGGHGHGHAAGTATCGGGVRKHRVRRAGQDAAELALMNKEKLFMALHGYDIVGAAAGARHAGARRSGVQAWGPTMSLEWATATAMDGSSGFVVDG >Dexi8A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:8A:15620019:15621029:1 gene:Dexi8A01G0009910 transcript:Dexi8A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGRTRRFRRCHTAPSHSVEQGPTLQGLQGASAASKLVPPKGLLTGGTQPRFWLVGLLLLAYLLTGTAAFYLVMDHMSGDRSANRALDALYFCVVTMTTVGYGDLVPSSDAAKLLACGFAFAGVGLVGAFLSKAADYLVEKQEALLFRTIHLHHADDCKSLRDMEANKVLYKLYTSAALLAMILASGMAFLVKVEGMRPVDAFYCVCATVTTLGYGDRTFTSAAGRAFAAAWITVSTLVVALFFLYAAELGAERRQKALAQWVLTRRTTSMDLEAADLNGDHRVSAAEFALYKLKELGKISQEEITEFLEEFDVLDVDHSGTLSCHDLAAAQTG >Dexi5B01G0024310.1:cds pep primary_assembly:Fonio_CM05836:5B:26392630:26394571:-1 gene:Dexi5B01G0024310 transcript:Dexi5B01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPGASGTRLPSPLLSLPRAKPRCVPAASSACRAASSSSTGATSDGGARKPWLFVGLGNPGKVYQGTRHNVGFEMIDAIAEAEGVSMSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQMVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGCPE >Dexi9B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:9B:548451:552076:1 gene:Dexi9B01G0000910 transcript:Dexi9B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVLRNPGSRRLFTYPSLRAATISAPAALPDAPAAAAPAQPPPMAATLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAIAFDEIDKAPEEKARGITISTAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLTFYKFPGDEIPIIRGSALSALNGTNDEIGKNAIMKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGSLKTYTKFEAEIYALTKDEGGRHTAFMSNYSPQFYFRTADVTGKIELLGETKMVLPGDNVTANFELISPVPLEPGQRFALREGGRTVGAGVVSKVIS >Dexi1A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:1A:3762962:3763285:1 gene:Dexi1A01G0005150 transcript:Dexi1A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEGLEGALGVRLKGRALDSGASGIRPGGSGSQGRHLRKEKAAGSVGPRNPRWGCVCSPWTKGQGRRWLTCESGGAAAQRRGCTEMATRGAVVAAPRGVGLLEEA >Dexi4B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:4B:2134193:2136197:-1 gene:Dexi4B01G0003130 transcript:Dexi4B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHLRLLPSPPAGLKLQQRRLGSRLPRQGGLSRRLSKVVSYYGLTTPPYKLDALEPYMSRRTVELHWGKHHQDYVDGLNKQLATSPLYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGLPEGVKRNERKLSVAHTRNAISPLAFGDIPIISLDLWEHAYYLDYKDDRLTYVTNFMDHLISWDTVTLRMMRAESFVNLGEPNIPVA >Dexi2A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:2A:10338486:10340228:1 gene:Dexi2A01G0009490 transcript:Dexi2A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARINPEKSIPHAVLSGASGLAILTVVKAGAVLTYKLGTGLVVARRSDGSWSAPSAIVSGGFGWGAQVTSYMLAFALGAGVSAAAGPVGRVLEADMRAGDKGSGICYTYSCSKGAFIGVSLEGNIVATRMDANLRFYGDPYLTTNDILMGNVERPNAAKFLYKALDDLYSGLDC >Dexi3A01G0022370.1:cds pep primary_assembly:Fonio_CM05836:3A:17986469:17989088:-1 gene:Dexi3A01G0022370 transcript:Dexi3A01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATTAMTIDFLRARLLSERSVSRAAKERADHLARRPPTLQVTELEEQLRTVTAQRRKAERAAAEVLAILDSQGFGRLSDAADDSCTEDEVDTGDPDAATAAGGNAAEDALSGSELGAQGAAAAAQAGGLSWKGRAGAASHDCERRRPLQQQKGRQLRQKHGHGHRRGYLYSRAADSSPKYHPGHSCRKIKRKELRSQAEGEEGKNIVAESAEDGQERSDCTVCTDEQPDFDGEVSKDGRGSSGNGGLSDDGDRFAMVYEKDGEMERVLEKQAELIGQYEAEENAQREWEKKFSETRDSTTIVHLFLLSNLQDNVNLNNKLNQARNPYGRSETAQLVDKEVFSEHARSSEENLHVINNPSEYQPKGFVLELPQNVAKDSVIEHCKVDGSVHGFVATTATVASSYGELQVRKDVLTTKSYLEGSGNNLGKSAPPPQGSCDIILNAICDKGQGDENSDSGSSYHVNGRSSERYVNASSFGSPLSDTPKSEVSEWSSSCFHNHTDNQLETQLHQPSCDDVGAVLEALQCARMSLRAKLSRPSPPSQNILALQGPDDSPVSNMQLSICGSNPLHPEVLAVQARNNVKVPAGPAGLFRLPTDSFPKNEMVSSEGKNEMVSRFNLTVANRLRISSSYSANHIMSAPSFLQYGSELSPDLYHGPHISMLLSMPTSGGYNISVPDLRVGSDSLLPEVSRFVNNDFRRVMPSGDASMHFQYGHG >Dexi9A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:9A:3933685:3936524:1 gene:Dexi9A01G0006960 transcript:Dexi9A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVRQAAQALRARQTAQLGPAASAMQGHLRTYMNAGTPKRFKEDEEKEQLAKEIAKDWNAVFERSINTLFLTEMVRGLSLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYESGEERCIACKLCEAICPAQAITIEAEEREDGKACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Dexi6A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:6A:26500563:26501570:1 gene:Dexi6A01G0018860 transcript:Dexi6A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPANKFEFDSCSFTYQFKLNLEETKDFAIGKPISSGDISAGGHLWSINCFPRGDREENNGEYISVFLCQESDTQDAKAIFEVFVMEQDGAPSSTHRHKIVHVYTPKGSYNGNSRGWSKFVTRSELEPLFVSDDSVIIMCGVKVVHDDPNPITVPPSDIKSHLGILLDSGENSDVSFVVDGEEFPVHRAVLAARSPVFKAQLEDDTKMSSTITLEDITPATFKAMLRFIYTDDLVGDVELSNEMFQDLLAAADRYALDRLNLMCVQKLWDDVSMDTVASTLACAKTYNCPELKTKCIDFFADENNFKKVVLTEGFVQLVQKFPAILTELRAKVGA >Dexi9A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:9A:12154946:12158605:1 gene:Dexi9A01G0017120 transcript:Dexi9A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAPSLPDPIVLLAFPPPLATTPCAAQCPRRVRRARLGLSSAAAAGMEAGEMDDVRAAAAAEQVISSRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMEGIANVLNHIGAKKKGKETRILWHSLREEPVIYINGRPFVLRDVEKPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPSGQMVDQWESVVSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDLETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTGSIGKVFYAGNDVDDYMPSSEEAILRGEYSVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRNSILRQSDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSANQTTFSVEISFSDWMRARPELYSILRR >Dexi5B01G0035010.1:cds pep primary_assembly:Fonio_CM05836:5B:35131497:35134240:-1 gene:Dexi5B01G0035010 transcript:Dexi5B01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAKMAPALRLVLAFLAIGSCIAADNIDLWPMPKTVSHGTQRLYVKKDITMSMVGSTYSDEKSILKDAFQRMVDLITLNHVIDGINPSSLVLTCVNIVVHTPDDELNFGADESYNLTVPTTGDPLYAQIEAQTVFGALHGLQNVLHWHIVDEQSFPIEVPSYPKLWNGSYSYSERYTMSDAIDIVRYAERRGVNVLAEIDVPGHARS >Dexi3A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:3A:1187551:1191917:-1 gene:Dexi3A01G0001770 transcript:Dexi3A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADALSDDRAKEAYGDVLGMVFSPIPFQPGTLPPIRDPPAAEQPEPMERVPAVSVTSTIPGFFKRMIFPPQEPNLLQEFDTQKVSWNPHKHCLAFVSGKNQVMIHDFEDSDAKEPCILTSDHVKAIEWRPNSGKMIAVACKGGIFLWSASYPGNVPFMKAGVTSISAFPRGSGGLGTPIRRGLSNISLVRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKQPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPGEGAKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSH >Dexi1B01G0026460.1:cds pep primary_assembly:Fonio_CM05836:1B:31332182:31334690:1 gene:Dexi1B01G0026460 transcript:Dexi1B01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYTSTSKPWPQRHPPSPSQGAGFARHVARAGGSKRRGAGTAAAEGVDETAEAAERMRSLQIPSPSQGTGAARHVARAPGSKRRGSGAVAAAEGVDEAAEAAELVRSLLRRTAGGKERLVPVLDRNVRVVRTEHCFLLFEELGRRDAWLQCLEVDILFKDLDESIVSPDIYTYNGVIDAYGKNDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLRGKAESVLRKMEEMGFKPNYVTQECLIMMYAHCDCVSKARQIFDELVSLQNKVHLSSLNAMLDAYCMNGLHIEADRLLDTVLQKGVVPSGSTYKLLYKAYTKANDKVLVQKLLKRMNKQGIVPNKKFFLDALEAFGTSERKPRASSATNSASNQSSDSAGDSETVTSNKPEISVIAASACTHYTLDSTTRHSPWTQNSIIGAAPADQEEHDY >Dexi8A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:8A:25367901:25368799:1 gene:Dexi8A01G0014800 transcript:Dexi8A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADIASKIIDLKNRAQEVGERRTRYGVQDPKDDSRSIPGSKQSRPTHSYAADHLKPLAPQLIGTMEPVGLEDAIAEQGRWLTGSEGITKAEPRVTAEKHSQASHATAGSRVQRRQWYSTDGHADGIEGWSEKQLKEKLKAQLE >Dexi5A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:5A:23953282:23953712:1 gene:Dexi5A01G0020100 transcript:Dexi5A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSREALLVSGLVVAVLAAAAAAEGGGSVCFRRVFGFGDSLTDTGNFLLSVPDDFPDPARNLPYGQTFFGRPSGRYSDGRNLLDFFGNLRPRPARSSVAFSMRIQTSYSIDFAPR >Dexi1B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:1B:22035413:22038033:1 gene:Dexi1B01G0015460 transcript:Dexi1B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNNRSRLPPPPPPFGRGRGGAGYSRGHKPLYYAPPPPPPFPSAPTPAPPERKYEVLMEAGRLAAEYLVGQGVLPPAALQRGAGPSGAWVGHPLPPPPQQLQEPLGFYGRRRYEDEYSNNPGARARRANGASSSTSSRDDYSSGSYNGRGKRKYGEYRRGYDSGRDREKERGRSSSNGRRYDEDEDEDGAPGFRRERRGSRGSDETKSSVTEAVREETPLSAKVIVGLDMEDTRSKNAISVDDFRKDTDAVPEEGEMADDNEGLNSESEAVKREIDTDDRNGSPVVLELEHMQLPPDGKIQDEVPDVEAEDDEKVSDELALDHNNSDGEVTNVENDVHGGQKNLIYYCNFARAPTRPRSVRGHRNAAPVPGETAVAETVELVSSGQASEMVIGASANESCLTNIESENKEDQMCQENTNSGAPYAESIERKLLQENGTTIVTDEKVDAQPHVVQEYNEESLLPDDHKESLSQETSLSPITASHKDGLTHEDGLNQETDLSPLAANHRDSLIAETALPPLTASHKDSLTQEIDLSRTISSHEDNLKLQFKDGTQICDIDMLPQDVDLIELSDQRKTVGRDTDAEAVIKMEGKLDQSSSLNLSDLDLVGGIEVSSIHDNPALVQPCAAGSPAEPCNKQQDLQTFTGANTSATDDLCQLPLENKDVQVIDIECGTPVEIGGFDSSKSNMDSMMDPGIYTDVLPGIQDGYSLALSDFLGADIPCYPSMQSDLHAGIGVNSSEGITVMDDPIYGSLTDIGELTTTLRPPPLFVSDAYL >Dexi4B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:4B:16171896:16173158:1 gene:Dexi4B01G0014950 transcript:Dexi4B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPRELEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGNKTVTDLMDLGKQLLGRHNISQMIMGD >Dexi4B01G0023290.1:cds pep primary_assembly:Fonio_CM05836:4B:24674035:24674349:-1 gene:Dexi4B01G0023290 transcript:Dexi4B01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNNVGVVTLLVMMASGMMCIQLLPVAVASNNAAAEVPAVYVLGDSTVDVGNNNYLPGDFPRADHPYYGAHRPLEQRLQPRRLRTLPGQPSST >Dexi3B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:3B:8863996:8868281:1 gene:Dexi3B01G0012640 transcript:Dexi3B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLSVIRSEADLLRGVRVDVRFIREERESMHSFLAHLSRTAPPSSDHNEQVRAWMNQVRLLAQDCNYCIEIYLYRGDPAIHRTRSGLRRYLGWVSWFLRKLLAQHRVAVQLRELKDRARDIGDRRLRYGVEVPAMSAEEKAAAAAQVGGYATEHDEEYYREDQLVVATATHHSGPRPVLELRTTEEYVKDKLLEWVYELPAKASEAISMAIVAPDAENIKKMKFYEKLDKIKSDIQVRQQKSWGEQQLLLSLDLGQNKSVEQLDLDVLLLLLLQSAPATVSQQDQVKNKHMHMLPKWDDNIIMKIARKLKEHLEVDKKGMEIRVKMRLEKIEDLDKPEGEEEEEEEEEEEEEEEEEEEEEEEEEEEECSILHEDQYAHILREVFSSKALKKAQKQDRVKAMQSIKTRTTTLNEERTRQMIHATKQELKGRQEGKEQDNNQAKGEFGVPDQMTQKIEQIKQELKEQLKIRWIVDKIKRHLRESIQQAKGYCYPPREPIDYSLVGLYNDTVLKLTSQHKDEDNYDPQVFRNILEECVPHEFCMKIFTHALYANPKRSNEELINLQITLQASPKSFTIQAKKMFMYSYNDLPEEYKSCLLYLAIFPKGQKIKRSTLIGRWVVEKLIFKEDWPSSVSHADRCFDALVQRWLVFPADICATGKVKSCVVGDLIHKFITPIARKKLFVEKHLSRHLARHFSIFSDLQLRSYDRIDNFFQRLSESSRVSVLKVLDLEGYKCFGGRNQRYLKDICSKMLLLKYLSLRETDITQLPSEINYLRELEVLDIRQTKVPMNATAKILLLKLKRLLGGHRDPSSSYFGSVQIPLKIHKMIDVEVLSNFKPRICDDLEEIGKLWQLRKLGLVIHDKSSHLSTELQYGLRHDPKILKSLSICGTTRMGHLFPVITKGSNDRLSKVTLSSTPLNQVDLDILAKLPMLRCLRLRNIAWTERMLNFNKGEFTCLKYLVVEGSDLTYIEFEDGAACELQKIALSFTSTGSISGVYSLPKFEELELHNSFCGRLLSDSFDNAKQISKLTLSGTLLKQDALKILSKKPNIRCLVLLDKSFDGRQNKIAFNKDEFIWLNLLVVECSSINEIVFTSGSAPRLEKIVWSSHISVSGVEKLPKLKVLEFKGVNVPDEVIEAIKNHKNELSLKCDEPETQDHAKGYEEEDDDDDLRFPYCWKKHV >Dexi9B01G0045340.1:cds pep primary_assembly:Fonio_CM05836:9B:44846840:44850182:-1 gene:Dexi9B01G0045340 transcript:Dexi9B01G0045340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVALEPLAEEPGGGEEDAARRRTGLHAALHRWARLLSGGGAAGDDARSAPDLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTGCGKLDDGAVKSMYASGRVRLSMLQEPSGGGGGGGRGGHGHEGSFVLWQLAPSMWLVEMSVAGQSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKQVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGVAAMYWETTIASSMSDYRAVDGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAAGK >Dexi6A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:6A:23879364:23879579:-1 gene:Dexi6A01G0016030 transcript:Dexi6A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTAGNLIAPSFILARAHGIRRENLARYPAGDFFAASFRTTAYALPRRVVAAWSASAPRSRRLERLRAA >Dexi3A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:3A:2605600:2605971:1 gene:Dexi3A01G0003920 transcript:Dexi3A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTRSFLLVAAAVVCAVIVSPAAAAEPYLCTWRTVAHVDDPFIQFLGKWALEQQSVPLRFDKVDSAKAQGVHQCTSLTRNYELIIEAANRVGPGDDKYKAVVFVKYFAHPYKLVSFERISA >Dexi4B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:4B:21247647:21253279:1 gene:Dexi4B01G0018970 transcript:Dexi4B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYLDDPENEVAQSMWPENIGDKDHRQFRMEKFRKDQDAFKDVKFDERPVHVDFQRLLEMANSEKGISHMQYFMKHWEYKRANAARLLEEELGHLSQQRKEIEQNKQQILEEQRFQDESYYAVKRHDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIEEMNNVKREVMATGIATKREFAFNTPMFGAKTFVTYIEPVFSKGGETIGVNYVAMDITDQVKRREKMADIRVREAVQNAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLNKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHVLQTAAASLKKDLTLEGSIGDDVPVEVIGDVLRIRQILTNLISNAVKFTHDGKVGINLQVVHEKQPGCKIEHDKIHKRAYPGTSTTTAADNQCASPRNCGKDMLNCSKHEDAVQNGVPPCENFREDHDGEEVVLLRCDVYDTGIGIPEKSLPLLFKRYMQASADHARKYGGTGLGLAICKQLVELMGGTLTVVSEENKGSTFTFVLPYKIPVKEENSDDPDEVDSSQSGFTNSDIEGSFVFKPQMRTSLLLSGGSVMNNTKLFGAKLMCYDPPNILDDHKPVSNGFTSKEQNMSNCTSAAHQSNGASVRSTAEKQHDDAMVLEMNSQTERVSSSRGDTVSVSGASCQKIGPCKVLEEQSLHKKSKCSPIANKAKILLVEDNRVNIIVAKSMLEQLGHGIDIVNNGMQAIRAVQQHQYDLILMMPEMDGLQATKHIRSFENTGYWDASVKPEDDRMIADPSISSDCTHEKGEGKRVPIIAMTANSFAESADECLAAGMDSYISKPVNFQNIKECLQRYLPSQ >Dexi1B01G0020960.1:cds pep primary_assembly:Fonio_CM05836:1B:26929822:26930311:1 gene:Dexi1B01G0020960 transcript:Dexi1B01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVGEYARSLRSTFSSFEALPIPTIAVIEGAALGGGLELALSCDLRICGASLNPELHSDVQEKMQNLDCQKQALPLYLGM >Dexi1A01G0022470.1:cds pep primary_assembly:Fonio_CM05836:1A:29131636:29132484:1 gene:Dexi1A01G0022470 transcript:Dexi1A01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPVAGDPLPPRALACAPPLPSKVRMRGASSSPLPAAAEGDEPCGGAGEKRIISLPSAALRDAPPARARTAARISTTPGNAPLPVVVGGAPGCCGGCGTGAGRGDHEERKMISTTCRDECPAGSSVSAGRGPRGPGGGDSGGNTEVGFGFLPVSGLGFDPGCFGRLVDFEEATWVRAASPELPQPERGRSGWSFLGGTGATLAATSSAAMDLQWRERTQLPAAVGRRRGGGGLSGIRRAQPEMQRVVVADGCAAGRRCVATSY >Dexi9A01G0039710.1:cds pep primary_assembly:Fonio_CM05836:9A:43567075:43569359:-1 gene:Dexi9A01G0039710 transcript:Dexi9A01G0039710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKFDGPAVGIDLGTTYSCVAVWRHDRGEIIANDQGNRLTPSCVAFTDGERLVGEAAVNQAALNPSNTIFGVDMDKGLFEVVAIAGDTHLGGADFDSEMVKYSLREFTRKHGKTDIESNQKALRRLRTACERAKRMLSSTAQTTIEVDSLYNGIDFSTTITRSRFEELNKDLFSKCIEALQKCLRDAKVDKSCVHDVVLVGGSTRIPKVRNMLREFFVGKELCQTINPDEAVAFGAAIQACIMRGGTDDGRLVDVLLRDVTPLLLGIETEGDAREMFVVIPKNTAIPTKKARNVTTIYDNQVNVIFPVYEGESAKTKDNNLLGEFRLSGIPPAPKGVPSMEVTFDIDANGVLNVSAKHVSTGRVTS >Dexi2A01G0024520.1:cds pep primary_assembly:Fonio_CM05836:2A:36263962:36265927:-1 gene:Dexi2A01G0024520 transcript:Dexi2A01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAAAGKDNMLTLVSCDQENFEVEESVAKESCTILHMIEDGCADNGIPVPNVNSKILAKVIEYCKKHVEARRGADADATDSTAATNKASDDELKTFDAEFVKVDQGTLFDLILAANYLDIKGLLDLTCQTVADMIKGKSPEEVRKTFNIVNDFTPEEEEEVRRENQWAFE >Dexi3A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:3A:9347871:9349546:1 gene:Dexi3A01G0012810 transcript:Dexi3A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHAAVAAAAGSSAVVVDAEDADDFRLLSVSWNQDSSCFAAATTADFRVFNCSPFHERLRRRVLPSSSAAGGFAIVEMLFRSNLFALVSSSSGEKHSVSLWDDDMNKIAYSIPARSAVRAVRVSKDLLVVVLDRTVRVYEILHPGRLLWKIPTALNARGLCCLSYHVASSVLACPGTETGQVRVETNLGKRKAAATRFVDAHASDLACMAMTTDGAVLATTSVKGTLVRVFSTMDGTCLQEVRRGRHQAEIYSIALSPNLKWLAVCSDKGTLHVFSLRVFYGKKDAAGGKKSAAAGSVMQTDTASNARSSLSFMKGVLPDYFSSEWSFAQFRLPETTRYIAAFGEQNTVMIVGMDGSFDPVNGKQMVRKEYFRFLKEKNSPPIRTLTT >Dexi4B01G0021950.1:cds pep primary_assembly:Fonio_CM05836:4B:23774683:23775123:-1 gene:Dexi4B01G0021950 transcript:Dexi4B01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCVTERRSLSGDPLNFSTFNVIFEVVSAYGNVGLSTGYSCSKLPLPEEATACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFFHKQQQQQRRT >Dexi9A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:9A:11013009:11013770:-1 gene:Dexi9A01G0016070 transcript:Dexi9A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAASTDLSLHISPPSPPDAGSSGGETEGFFTKPKLCLGLETAQQQDGHQCKVQQLKHLHRPSQIQRFKKSSSSSSSAALLSGGTTRSGNNNGSGGGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQASY >Dexi4B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:4B:4863878:4865432:1 gene:Dexi4B01G0007000 transcript:Dexi4B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSPTAPPHVAVVAFPFSSHAAVLLAFARALATAAAPSGATLSFLSTAASIAQLRKAAGAGSPSNLRFVEIPDGASAAAEKGAVPVPRQMEMFMAAAEHGGAQAGLDAARAAAGGVRVSCVVADSFVWPAAEAAAAVGAPWVPVWTASSCALLAHLLTDALREDIGDQAASRANELLVTHPGLGNYHVGDLPDGVVTGDFNYVINLLLHRMAQRLSQSAAAAAAVALNAFPGLDPPEVTAALADLLPNALPFGPYHLLLPDDDINATSPAAADPHGCLEWLDRHPSRAVAYVSFGTVASPRADELRELAAGLEATGAPFIWSLREDSWPLLPTGFLDRVAGTNGLVVPWAPQVAVLRHRSVGAFVTHAGWASVMEGVSCGVPMACRPFFGDQRMNARSVATVGGFGKAFEGAMARDAVAEAVEGMLRGEEGRRMRARAEELQAMVAAAFAPGGACRRNFDEFVGIVCRV >Dexi3B01G0032480.1:cds pep primary_assembly:Fonio_CM05836:3B:34856128:34857818:-1 gene:Dexi3B01G0032480 transcript:Dexi3B01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQDAAADAPLLDKHAAAASPPAKRNKYPFFCAVLASMTSVLMGYNVAVMSGAQIFMAEDLGISDAQIEVLSGVINIYSLVGALLAGWTSDRLGRRLTIVLANVFFLVGPLAMSLAGGYAVLMAGRFVAGVGVGYALVIAPVYAAEIAPASSRGLLTSLPEIFINSGVMLSYVSNLVFSGLPVHLSWRVMFAAAAVPTAFLAAGVLTMPESPRWLAMKGRVAEAKAVLDKTSDTAAEAEQRLLEIEDVVVNNSGSGGGGAWKEVATKSGVRRVLAIVLTLQFFQQASGIDSVVLYGPRILAMAGVTSNTLLLSLNVLFGVAKAGSILIAMALADRVGRRPLLLASTGGMTASLLILGSLFAAFAGAEDDVAVAAVSVAAVVAFVVAFSVGFGPLAWVYSSEILPLRLRGQGAGLGTAMNRIMSGVVTMTFISLYQGITMAGAFYLYAAIAAASLVFVYACLPETRGRSLEDMEELFHTK >Dexi5A01G0035220.1:cds pep primary_assembly:Fonio_CM05836:5A:37041587:37043308:1 gene:Dexi5A01G0035220 transcript:Dexi5A01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSVAAAVAAVVLVCLSGLCRGERLGARECEDLGFTGLALCSDCNALSEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYSYGSPPKLIMLDDKGEHKETIRQVPLN >Dexi3B01G0024060.1:cds pep primary_assembly:Fonio_CM05836:3B:18756543:18758528:1 gene:Dexi3B01G0024060 transcript:Dexi3B01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTGIRVMAQTSLPPGFRFHPTDVELVSYYLKRKIMGKKLIVDAIAEVDLYKFPPWDLPDKSSLRSKDLEWFFFCPRDKKYPNGSRTNRATPNGYWKTSGKDREIMLNSRIVGMKKTLIFHEGKAPKGDRTDWVMYEYKMQDENLVSAGFSKDAFVLCKIFKKSGLGPRIGEQYGAPFNEEEWDNAEAETSMFPLMTSSEVVNPTQGPQTQLAIPSGGAHEPPLQTTSAACTVEESSFDYATATTCVEDVTFGATVAGSAILNVPAQLSGDGVVSANNISNGVNDIYGTPECDGFLLEELSRFLNDSPLGNTTFGESSGLPPMSEAEANAFEVNTFGLCDELSGLVGLEGGVPNRFSASNVGTTHHTILPPDRELSTDDYMELNDLLSSDPSYPSEFSVQNNQFMQYPQAQSTYDGHYDVAALSGPMEPTMPCTFDDFPPNNGVFTADEANNYLGPTMQYPFP >Dexi9A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:9A:4519767:4522410:-1 gene:Dexi9A01G0007730 transcript:Dexi9A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLVELRRLLLPHLRGGEVVLPPIAWRGRSASTAAPADDELAGKSAYEVLGVGETSSNAEIKASFHRLAKETHPDVAAAACSRRFLQILAAYEILSDSQKRAHYDSYLRSQRRVVQKYPRPSQFVYPSGSGSGIVVPRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFGRLESELYTAIHAAYYGPDVDSMDVLPDCFEAEERSVYETSELLHLVSGRDLFGIVSVAGSVKELSYACHEKLTQSCFRASGFTPNVSRNGNKDPVSMNPVDIHKKEKEDEDDIPLSDAFKDIELRICGKVVATASRSPKCNCIDKLDMEDHIHVYLVPNADTSDLMQEHILLGTITGLATTGEEGSCCVYDGRGIKTHVIMKHRTLMVKHMHWYQVGDKVSPCNKTSPLIQFFCRYWLFEPRCYMHDTGGWYIETYGRDKKGRTIPSQRQWDGFNEHSEKRLHPAMYLAALAYRSLDLEDARRRQWDMRNFLQLPLSHILQLFKRIVNGDKKGVDMRTP >Dexi1A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:1A:24838407:24840593:-1 gene:Dexi1A01G0017520 transcript:Dexi1A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSSAPLLPKPALPTPYSASLPTPNPRSRRQRATREQHDQHVDGKWRREPGGPPRRGGGAKRRLRSLVLRGEIDEALSLIDSISSSGGGGMNRPPVVPCNILIKRLCSAGCVADAERVLAALGASATVVTYNTMVNGYCRAGRIDDARRLIDAMPFAPDTFTFNPLIRALCVRGRVPDALAVFDDMIQRGCSPSVVTYSILLDATCKESGYRQAMVLLDEMRAKGCEPDIVTYNVLINAMCNEGDVDEALNVLCSLPSRGCKPDAVTYTPVLKSLCGSERWKEAEELLAEMASNKCALDEVTFNTIITSLCQKGLVDRAIKVVDDMSEHGCIPDIVTYSSILDGLCNEGRVDDAVELLSRLQSYGCKPDRVAYTTVLKGLGRAEQWEHAEKLLADMIRNDCPPDEVTFNTIIASLCQKGLINRAIKVVEQMLANGCTPDIITYNCIIADLITYNTVISNIAKAGKMEEALDLLRVMVSSGLCPDTSTYQSLARGISREDGTDRAIQMLCRLHDMGLSPDTTFYNVLLLGLCENWRNDVAIDCFAHMVSNGCMPDELTYIILLEALAYEGLLDEAEELLGDLCSRGVLDKSLIEEERH >DexiUA01G0010870.1:cds pep primary_assembly:Fonio_CM05836:UA:21596571:21601302:-1 gene:DexiUA01G0010870 transcript:DexiUA01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPGNSTVLRAAMVVDPAEPTQRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGDNVFLKPGERVLNWTAGPPGDLKPW >Dexi5B01G0022460.1:cds pep primary_assembly:Fonio_CM05836:5B:24786112:24791613:-1 gene:Dexi5B01G0022460 transcript:Dexi5B01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVVAAAGAAAAARPLTSGSGVDGLRPPSSRLQLGTCTSRERWSGAAATRCRRESQVVAVISRAPRSEAEVLPVSPDDDAAVKEEANFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHASHQKVIDLVKEYNASHADNVIAIMLDTKGPEVRSGDLPQPIFLESGQEFTFTIKRGAGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVKSKNEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVDNQVDYYAVSFVKDAQVVHELKDYLRSCNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGETPADLGQAFKNHMSEMFAYHATMMSNTLRTSIVVFTRTGFMAILLSHYRPSGTIFAFTDEERVRQRLALYQGVCPVQMDFSDDAEKTFGDALSYLLVMTYTPSFLFFLPPV >Dexi5B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:5B:12609269:12611332:-1 gene:Dexi5B01G0015420 transcript:Dexi5B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIGSVLAALLVVLVSTLLIRVLFLLVWRPYAVSRWFRNQGVGGPGYRFFVGSVPEIRRMKSAGSEIVLDAGSHDFIPIVQPHYRKWVADHGKIFLYWFGAVPTVCLGDVDLVKQVLAERTGIYPKNYLNASLEVLLGKGLVLVNGEDWKRHRKVVHPAFNLEKLKSMSVVMADLALQMMQQWQSQIQQASNHQAEIELSNEFSELTSDVIAHTAFGSSYKEGKEVFSAQRELQELAFSAAFDIPAPGRLRNIRLPISKRSIRVQKLDEKVRSMLMTIIEGRLEDKDTKGYGNDLLGLMLEARALEQEGHQMLTTQEIVDECKTFFFAGQDTTSHLLTWTMFLLSRYPEWQDKLREEVLTECGDELPNPDTVTKLKLVNMVLLESLRLYSPVVFIRRAAGSDIQLGSIRVPTGTQLSIPIALLHRDKDVWGHDADEFNPARFEHGVSKAAPNHPNALLSFSQGPRACIGQNFAMLEARIGIAMILQRFSFELSPSYVHAPKEAITLMPRFGLPMILRNLHE >Dexi9B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:9B:587827:590233:1 gene:Dexi9B01G0001010 transcript:Dexi9B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVSSIVARPMATPGHILRPAPNGRSSKCAPLKSVSLPSNLSGVVAPDGRVPADGPRVDEEPGLGRHVVAADGDSLGASSGTAGCSRSVSLSTILRYTGSRPAAAAAALQLKRPMSLSTSASLVFQVAWTRRMLRSSTTKSLRISRQYSPWARTPRPCGRRHHHRRHHAQAQKHDGPVAPRQLTQAPVGQRPHDLVQVAQDRKPPRTGRQVVEATTTFASSCCCKSEDEEERQDNDQLPLPLLRQRAAAHR >Dexi2B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:2B:449576:454985:-1 gene:Dexi2B01G0000900 transcript:Dexi2B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEVRKSSPARMIRPPELLPVTVTTNGTIKLTSFDRGCVKVPVTVLLVFEHMGREATDKIKRALSQALVHYYPFAGRIIISSSGAVDGDEFSIRCTGDGVEFLTASMDCSLKEAKILDESSCGKINPLRNELAILYPFGSYGSEDDPLLSVQVTEFSCGGLVLGVSWSHAIAAAAGMAQFLAAVGNPAYLSFASNMRKYVGAKDGYYGNCGADRLISGATRSSVAEAGFLDLIKMIKRAKDQLPDNDQLMQGLRDRYDLMHVTSWRNVGFEQVDFGGGAPARVMFHGREGGTPPVPICIMNPACKGMDGVNLLLPCSVFEVVIAVLWRCHICATMSNKNPVNPVYLSFATDMRKYVGAKDGYYGNCNVDRLLVVPTRSAAAEAAVLDLIRMIKRAKDQLPDTVKKGNHDHLMMQQGLVIGMI >Dexi3A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:3A:11984846:11985730:1 gene:Dexi3A01G0016050 transcript:Dexi3A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALSSSLRALALVSPALPSVRPRGVAAPASRALGRRRRGAWVVALAAALPSDAQWLERLPEKKKPLYTHSLPCIEAWLRSLGFTQSREDPAVWVAEMPLWHARLSLEVTDLHIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >Dexi2B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:2B:16912495:16912758:-1 gene:Dexi2B01G0012330 transcript:Dexi2B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKWRTTTGDEDDWRQRQTIGENVDPCRRKGGADNVQTVDDGEAGSTPVTWRTTTPVDARRTTCDRGGRSTTGDDGRTREDDEKDV >Dexi1B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:1B:16713645:16716017:1 gene:Dexi1B01G0012590 transcript:Dexi1B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAKDDQAVASASAPLAPARGGEEAAARAVQKRYDALLTVRAKAVKGKGAWYWAHLEPVLVPPADTGMPPKSVKLRCALCSAVFSASNPSRTASEHLKRGTCPNFAAPPPGPAAASGSQQPPTPTQHQQLALPSNSTASSPIPISYIAPSSPRHQHHDHSGSRKRHSMPPAYAAEDTRQHRVVVDPSSAYSPTLPALPAPHQSALMLSGGKEDLGALAMLEDSVKRLKSPKASPAAMMPKPQADAALSLLADWFLESSAGVSLSAANHPKLRAFLRHVGLPDLQRTDITGPRLDARFAEARADAAARVRDALFFQLAADGWREQVVTLSVNLPNGTSVFHRAVPVPGVAPSDYAEELMLDAVASVSSSVSSNDLHRCAGIVSDRFKSKALRDIENNQHWMVNLSCQIQGFNRLVRDFAREVPLFRIVAAKSAKLAAYFNAKQTVRSLLHKHQIQELGHASLLRVSHVPFDGNGNDFRAAFEMLEDILNSAHPLHRAVQEDSYKLLYIDDSVAREMGEMVHSEAFWIDVDAVYSLVKLIMDMVKEMEADRPLVGQCLPLWEELRSKVRDWCEKFNIDEGIALNVVEKRFRKNYHPAWSAAFVLDPLYLVKDASGRYLPPFKCLTPDQEKDVDRLITRMVSQEEAHLALMELMKWRSEGLDPLYAQAVQVRQPDPTTGKMKVANKQSSRLVWETCLSKLKSLGKVAVRLIFLHATSRGFRCTPSMVRWLSSPGSLASGTNRVHRLVFVAANSKLERRDFSSDEDKDAELLAEGADDVANDPGNVEPSSV >Dexi9A01G0037260.1:cds pep primary_assembly:Fonio_CM05836:9A:41547742:41551712:1 gene:Dexi9A01G0037260 transcript:Dexi9A01G0037260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNDKAAPVNDAMNENRVVEGGTSSASRSSGPGARSFRQRSRHGATRNEESSHPQLRRSFSFSSSAIDRSLDERGMSCSHNIPCSMSNDSDAPGHFGEVECYTWSPERHPNRREYTVKDDIEIKLREEAMVSRVLKEKLLSNELDNEQLQSDLAASLRIQDVLQNEIQRVQDELRCLTHKSKHLEVQVLKKDGTINQIEQDYQESAKELTALRCMLKTVSDERDVSWQESKQLRRTVSDLQDEVASLKQRIRALNEDIMLKESEILLREGEISILRDSIDKPFDIICSPRSMKQFGME >DexiUA01G0007330.1:cds pep primary_assembly:Fonio_CM05836:UA:13954783:13956092:-1 gene:DexiUA01G0007330 transcript:DexiUA01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFAALFDPLYCPEEHLDLYREELGEDAGEHWPDQHPAPLDDELPELFEALRAKEGVVLAGDGEEDGYGGAAGREAAVGWACRAAARLGFSALTAALAAAYLDRCFLAGGALRLGDQPWMSRLAAIACVALAAKVEETRVPLLLDIQLCAAAGADPADAYVFEAKTVGRMELLVLSALGWRMHPVTPFSYLQPLLADTAMRLNNCEGVLLAVIADWRWPRYRPSAWAAAALLATAGDGDDDSELLALINAPEDEAGECAKIISEVTGMSFLDVGAGNKRKHAAARMYSPPLSPSGVIGALSCFSGESSSSATAADSRPASTLAAWAASAPSSVSYSPEPTGRAHKRAAVALPDPVQHPLPPDEESRDAWPSTCAA >Dexi1B01G0023200.1:cds pep primary_assembly:Fonio_CM05836:1B:28872368:28874237:1 gene:Dexi1B01G0023200 transcript:Dexi1B01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDGPTIEDDSLHVPLLKDKKRTGSKAPAVVLGFECLESTAFSGISTNLVVYLETVLHGSNLASASKVTTWFGTSYLTPIFGAIIADTFLGNYNTILVSLAVYLLGMVFVTFSAFLPTAAVLDGSSMFGAQTIAFIGLYLVAIGSGGVRSSLLPFGAEQFDDDNATDRENKGSFFSWFYLCVDFGPIVSGLFIVWIQDNVSWGLGFSIATACLALAFAAFVLATPMYKRRMPTGTPLKRLSQVVVAACRKITVKVPGDVDMLYEVSDKVDSQQPKIAHTGEFLFLDKSAIITKSDFEEVGSSWKLCTVTQVEELKILLRLLPIWATSIVMSSAYAQMTTTFIQQGSVMNMSILSVSVPAASMSSFEVACVLTWVLLYSMVIVPALRSFGSEPSQLQRMGAGRLLVALSMAVSALVEMKRLDGAARGEEITIAWQIPQYFLLAGAEVFCYITQLEFFYAEAPDTMKSTCTSLALLTIALGSYLSSFIYAVVAAFTATAGSPGWICDDLNQGHLDYFFWAMAALCALNFAVYSGFAKNYKLKTVLS >Dexi4B01G0023050.1:cds pep primary_assembly:Fonio_CM05836:4B:24553142:24554257:1 gene:Dexi4B01G0023050 transcript:Dexi4B01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAGDRPFLVVHDKEEGHHLVYDLLLLLLLEDGGEEDTMLLVVPRPVARFPSEWCLSFAVSGGSIVAAEYDWNSTACFYDAVMKAGGYELWERKLRTDLRDSHGRPLRRWPMGRGYKVSRLLGGSHQDTPAMLPLADGTVLRMDTVLFDGFYIFDRLLPGDGGVWHATALPNPPVTLAEDEITFISAYYALGTRVWISVSSKMVDKGTFSMDTAEQDGGGTWQKEGDWVMPFEGRAIYVPELGKVIGLTTEARLLCACDVNDDGTLPVLVHHVWTDDNPKPRDWPYLPWEHKSKTLPIVRHVWPDTFPRPCELEGFCISSSSEAKPRDMPSLAYLGKGRICICRPMSTMKPHILAPPYYLHCRILPGG >Dexi3A01G0031300.1:cds pep primary_assembly:Fonio_CM05836:3A:35766180:35771069:1 gene:Dexi3A01G0031300 transcript:Dexi3A01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFTDDRVRTLSHQVSTLQDNVWELEHKNTQLLGQKNKLEKQLEEAKAAAQAISIQKEEVERSLKSENEKLLSEVLTTQEKCRQYEAEVAKLKTKLDAMVEANEASAKTFNDEKADMILESEDLKRRLEEIQANKYLVECENDKFRSEALIAEQKQSMFEAEIERLKMELAALAEEKEASAKAFDAQNEEITEELEILKSKLEEIQKIKDLMESENEKIRSEVLAAEEKISQSEAEIKCLKQILGAVVEAKEAAAKSFDAEKVEIMKESDNLKRELEEIQARKALAERENDELRSLILTAEQKLTMLEAEVTNLKMDLVALEEAKEASAKAFDVEKAQILKELGEVNSKVEQIQGNKDLVESENYKLQLEILTIEQKQSMYEAEVNSLKKELGELMAAKEAAAKAFDAEKAKTMKELEDLKKKVEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSQLEVKRRKMEIEAKETTMKSFDVEKAKFVKEAEDLKRKIEEIQVSKEAAEEARRNKDAEADRLRAELVNIHISMSQLQASYNELDAKLSHLNDEKNSVQKSLDNEKVEACKLKSKIEELEKCNAEKAGETEKLKATLEEKKSEIEALSKDIELLRLAITEAQEKNKVDRQEPSLRTAPPSSPSRHWVTVRGCRGSLIPSNVEDDRWWRPARQRRWALSGCGWETIEEDGGGLPMGGGSKEWEKYVDEYWKARRLEKEKTRLSNEKRELETKLAEKTRAVQVSSSQVSTLVHKVQELEHQNAKLSSDLVKQRENTRKAGLVFMDAADRYQQVAKNQIRAKISELEDTRKASLLLMNAADAYQDVAKKQSKAKVGELEDTRKAVLVLMSAADTYQQEAKKQIKEKVEELKILRAQKAEMDAKVECLESALNAAVAKNRELEIDRDEVKEESRNLRSEVERLMVELEALVEEGEAAAIRHFEATEKTEIMKELGFGRPQGEGQGNSDKQGFFFE >Dexi7B01G0023390.1:cds pep primary_assembly:Fonio_CM05836:7B:28006040:28007957:1 gene:Dexi7B01G0023390 transcript:Dexi7B01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVAEQDAVSLVCRVARALNRRVTDIVALLFNHKSAGSLGAVAGFAIAVVFAWRFLSPSQGRPRRPAPKRPPATQAGPATPAGTPDSVVSDAPEPVGDSGKVITRQIVAKRLSGCRKVTCQLLGVVFEEKTPEELQKHATVRPSVVELLLEISRHCDLYLMETVLDDKSEENALMALESAGLFRTGGLMKEKVLFCSTEVGRTSFVRQLEADFHIDSSLDIVSQLSRFIRCQLFISSMEGGQLAANIFNSPSLEQFFS >Dexi9A01G0029120.1:cds pep primary_assembly:Fonio_CM05836:9A:33883898:33887684:1 gene:Dexi9A01G0029120 transcript:Dexi9A01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVTGVQEPIENTNATKNSEPDEAAVACASKAVAVPASLPRHRRSKSASSERNAEACKHGGSSHGAAVEQRVGQAQAAAIAPCSSKVAVQHPPGTDARKSCAIPAGGWVHQAPRDHRPSASASPNNRLSLENDVRQLQLHLHQERSIRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSGQSSGISSPAHTKNITTRARRQPSISTFCSSKKLPLQPFHIMESLSESGRTKNMLKAKIKHQSFSSETLDIHPTSFPPDPKKLPYSGSASLARTLKDHLYQCPSKISEEMVRCMASIYYLLRTEAPEKPEKARSPFLSRSSTNVILPRRVNGEENGSSNNKCTVEIASISVDKNQMPDVSYAITHYRLLVEQLERVDLSMSENSIKLAFWINVYNSLIMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCFRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLRVYTAKNVMEELERAKREFLQATVVVRKSKRKVFLPRLVERYAREACLGPDDVLPWAQREGAQQDAAAVQPGTGSRRKGAQAVEWLPYAARFRYAFARSMVDKPHC >DexiUA01G0004390.1:cds pep primary_assembly:Fonio_CM05836:UA:8533252:8533782:-1 gene:DexiUA01G0004390 transcript:DexiUA01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASRNSPAASANRLLLMLSLSSLSPASKAIAKNTSGKHHHRARIDGGGAFRFATFQALGGHRTSHKRPRVRADGLDLLLGARPGKATGAAAADVHRCHACGAVFTTGQALGGHMRRHRAAMAFDVVAALDTTTMQTTVSDDGLSEEGDDEDSDAGHVLTTTLIQFI >Dexi4B01G0021720.1:cds pep primary_assembly:Fonio_CM05836:4B:23629172:23631893:1 gene:Dexi4B01G0021720 transcript:Dexi4B01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLEFIDNPKARKYIKSLPYTPGIPLPSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMIHYHPEVVTGMSM >Dexi2A01G0027750.1:cds pep primary_assembly:Fonio_CM05836:2A:39078925:39080778:-1 gene:Dexi2A01G0027750 transcript:Dexi2A01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLRDVSYILGIPVTGHVVTAEPIGDEAVKRMASLCCHKAWIYEYLPITQPQQKNQSTLLPRACRWNFGGATRGQRKKVMEWRKVFEQLQLSEASSNVNWNPYKDINPAIVPEYCIAADNICYSRTWLISFNIKEVYVPDRFARQFGREQGRLHGVPMWARRTWSKWKDWRIEYAREIEEFHQLVGCPFTPSAESNINSLPPDGFVAGENATGCSQTTSDNIASMVEDLKNDLPVIDRYLEGHLLPVEVASFLERVGTMIKNYSPPQGKRKPRPAQGQTGPVKSKNPRKRRKPSQFQDPSSAHQHPGALVPYQASKCDMVFDGTVPLLNGGEVFKEQDAMDPWQMSHLTMTPSSSSLDSSSPESRKRSRQDEDETQISRDADDLRRSGRLCMHLKMFKHRDGGGAEAANPIFH >Dexi9A01G0030980.1:cds pep primary_assembly:Fonio_CM05836:9A:35872186:35872401:-1 gene:Dexi9A01G0030980 transcript:Dexi9A01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMELRVAKRAHMSAVASLGRAAMLRRSSSSLDRRSTIRRHGTRTSVRGINHTPKSTTNISLAGLRSLIE >Dexi5B01G0037060.1:cds pep primary_assembly:Fonio_CM05836:5B:36573637:36584270:1 gene:Dexi5B01G0037060 transcript:Dexi5B01G0037060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISTGRPRVAAAARKQNSQSHHQICEEAGGRATGLLLCSVRLLGAADVPASTGTLLRAAPHRRTASVGTRHTHPSPPPMANWPSQTQIQGREHPPRLSAVTVARAPPCLVAKLTCGDEPRAVRAPHVAALTPKNILLRMGWSIEWWLRTPFGSVPSSMAALLHTYVALRAPSKHYMSSHLRRRSIRRSALGRYAYTIEIADTRNEEYSYAAVTPRRVLSSTSAARPIRRYVVSTHAVFYIVGNCEECMAAYPQLQLQRLLAQPNRAYGEGYIIHVPMPIDHGCPPRALTETQYPSRFPRFPGTFHPIPITQTHWKAWKPEFLPSQASPVGVQKVTTSLQARPLNTDSNTASPAFPVAMDNTTAGIKAGSDSIYFRIRSKLDVILYRLADLRARLPPSAPAKPRMVLARAMRWPCQADHLGRACAFVVRASALRAPCAMEYRTAAVEAPARPLDEPRASCTRCAEEARGAPASRPGSADGDLSLARSGGERKRKKTAALSHRIWLAGGGRDGGALAATSCCSDEGEGGNELGFPSPRLFRGFIPRRRAADRSQPSDLNERLRSRRGARGRGGACWAVSRPARLRLPLGLRPIKPWAASAALLSRAEPVSRAAIRAEPVSRAAIRAEPVSRAALRTGPRSLRYWAAHCAAHVKGCARGCWAFPLNGANFLDWKGKVMTCLAWNNLDVAFREDRPAVPAEGQTSPAFDKWERSNRMATMVMSQTISPGIKGAIPLKNAQGVEYTAKELLTKIEENFKSSSKTYASTLIMKLVSSQYNGKTGIREHILSMCDMANKLKEMQMEISDGFLVHFILTSLPSPQYAAFKINYNTTKAIWTLSDLISYCVEEEERLKTEKMKDVVNMVGNLSLSDTPKNQHESGSSKQGANKNFKKNKNKNFAPKHENKFEKSSHTSGGKMLCSFCESPKHLQKNCAGFKEWLKQQVLFKQEAVPPKKYPSRFPRFPGTFHPIPITQTHWKAWKPEFLPSQASPVGVQKSLKERLRYKPDVALNTDSNTASPAFPVAMDNTTAGIKAGSDSIYFRIRSKLDGTPSPSRPCNAATNTNAWHGSPDTACAHTATTTPPLPVPCPGCNATRPATKLPAAPRAFNAMRRCRSRWGSIGCFRSGCPDLEDDDDTSKAQSCWFVFVMDSIHSSSGPGPEGREVVPSCLSVPPVVSNLEGCINQVPPPGSGQILANLAAGVGSGAGTGACRGERMPPLTLPAGLCRPRNEHGPPASHPQQDRARACARVRAPPGPCRALALAMRPCPWRRARIRPACSVANGPLSVPPSQSDPSPANGGWPPNDGLPRRTGLYYPFPQPFAPELKSFGVSSRHVSSRPGTGHGHWGKSSHRPIKRKDVSPFHTVTVCIDVADQYSKRE >Dexi9B01G0036750.1:cds pep primary_assembly:Fonio_CM05836:9B:38221191:38223545:-1 gene:Dexi9B01G0036750 transcript:Dexi9B01G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCCRRQRGPDGAPVGGGGRRGCCGTVLAVLALAVAAAVAFLEGTAGGVSYAGEGWMHECAKWDADGGRFLASTFFGAGVAEVRVSGEEAAEERLVLADPDAAGRVALGFAVDSPRRRLLLVYSDRMPRYGYAALGAYELGSWRRLFLTRLDVPGESTFPDDVAADEDGNAYVTDAMRNKIWKVSPDGTLLGLIKNATFTQRTGMVHNFVGLNGIVYHPNGYLLVVHTSGGDLFKVDPKTETVHAVKVEGSLKRGDGLELLSTTRLVVAGTPSRLVESSDDWETASVTGQYVGPIHRIGSSATVKDGDVYINHIFGFGLGKKKTHVLAKAVFSPLAAAR >Dexi5A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:5A:22796010:22796880:-1 gene:Dexi5A01G0019260 transcript:Dexi5A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSILVHVLIATWFLVFLQIQSTTAASARSVLCIPHERDALLAFRVGGLDDPDDYLSSWQGEDCCHWKGIRCSNRTGHVVELRLRSLEDVRSSIRFRGGNQRSSPLLDLKNLRTLDLRVNNFDGAPIPEFIGGLKSLRYLYISGSKFGGRVRPQLGNLSMLLYLDLNSSGVHDSYIYSTDLSWLPRLTTLEYLDLSNVNLSAATDWAHVVNKLPSLVTLNLRFCGLQNVIPSPVNVNLTSLEYLDLYALLLEQTTYFGVYLVFFNLTWVCVASKVQFQKRWET >Dexi8A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:8A:767993:768664:-1 gene:Dexi8A01G0001100 transcript:Dexi8A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVHPNASSGDPSSSSSSEEVLLLTVWRKSLLFNCDGFTVFDARGDLAFRVDCYASSRHRRRAEVVLMDAAGKPLLTVRRINSLISLAEQWVIYDGDAAAGAEAKPLLSVRRHASLVRASSNKKKALAHVTTLGSAVSSSSASYVVEGSYGRRACAVRDAGGDAVVAEVRRKEFVGDDVFRLVAHPGRLGAPLAMGLVIALDEMFTGTSSARSLLRRTWSA >Dexi5A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:5A:9187632:9188524:-1 gene:Dexi5A01G0012240 transcript:Dexi5A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPGRRHTTTSSTAESAADEVAFFGAISIPDPQSSPATPLPDILPFSHASSTPPPPPPPAPVPVPHKHGSKHHRSRRLIRSVRAAFRSFPTIQIQAPSCRGMRRCTTSLASTAPAEAPHFHGATHATGTLYGHRRARITVAFHESPGSPPCLLLDIAVPTAKFIQDVSAAGMVRVTLECEKQQQQQHEAVAQPRRLLMDEPVWAAEVNGESVGYASRREMTERDARVMQMLHATSMGAGVLPAEMSHQHDGELTYMRAHFDRVVGSKDAETYYMHNPEGGATGPELTIFFIRT >Dexi9B01G0027980.1:cds pep primary_assembly:Fonio_CM05836:9B:30549592:30551683:-1 gene:Dexi9B01G0027980 transcript:Dexi9B01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGSTSVARPRVAGHGLAVAVCQAGGGSRPRSVLVDIYRPTPAFFFFSSSFSLPVPFWSPAFPPSRAVVRAPPIKFPPPKFHPPQHLHVAVFLFVADRCHRRGGSRTWAAHLRSSPSKLSPGSGCRLRVVAAAFAAVRTSAMVGAREQALRLVSLVLMDLFFGAVSPPFSRLACATASPPPAPSGASSVQQSRGKRGGIGRGASPPASGRGQGRRAPSAPRSPVLNGGGTAGEKERFFQGLVGFAEEQRQVKEVIVIAPESRIAGESNLLVIPGKPRRDRNLELTGWSPDVGPTWGYVPGFG >Dexi3A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:3A:6571160:6572442:1 gene:Dexi3A01G0009410 transcript:Dexi3A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFEAIHAHRLLAVGGGVVGATAEAGATGILKKPNDRSRSISGSPRGGRRDRDERRSRSLSYSRSPRRSASPSAKEKERSPTPNGSRSPSPRDQLSPLPKDNGERNGSERGDSPVRREDSRSRSRSPSDGYRSPAANGRSLSPRDDRSPSPKGNAGDEDGRGSPRGSQSP >Dexi6B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:6B:7343445:7345547:-1 gene:Dexi6B01G0006640 transcript:Dexi6B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVPRSVAACRAAPALPAARALALPRRALSVSIAAASTRRLHLTARRAADGEGAETAPEAGFLPSPASWTSTRSARSSPTVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >Dexi3B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:3B:3147970:3149404:-1 gene:Dexi3B01G0004640 transcript:Dexi3B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPGDVLGDVLALLPPRSLAACRGVCKAWRAAVDAGGLLRSDLLPLSVRGFFIGTDMPSCPFFFRRPSSSSTARKIAGAGLDYLQDMGYDQDIAMIRGYCNGLLLLDQCVANPATRRWARLPPYPPSPEKMEGFHYKECIAFEPAVSPHYRVVRLPYVPISELEGKFDGGIEWPMDPFVIQVFSSGSQRWEHRLLVREQGEAAARCTLGDTRQFYSPYSVTCHDAVFWHQALYVKCMDGFLIRVSLSDDSKYQVIKMPTRNDGREADLRIGKSKNGVYCALLHGNHQLQVWLLHEFGGKRDWVLMHDANLDALWKRVTWKYSIDADGPWKLDTDYCGEANEKPEEEEELEWDSDNDNVLNIEDVDSGNWCCYIPIIGFHPYKEVIFFHVDLSSAIAYHWNSSKFQFMGNLYVMSYAQTSLESYSVYTPCWIGDLS >Dexi6B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:6B:19519683:19522988:1 gene:Dexi6B01G0011940 transcript:Dexi6B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQAHPVKDQLPSVSYCITSPPPWPEAIILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIIMAGRYSNETDPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILMLVFSQYLPHAIHVAKPVFDRFSVIFTIAIVWLYAYILTASGAYKNARTKTQVHCRVDRSGLISGAPWINVPYPFQWGAPTFDAGECFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFIMGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMVNVPFSSKQFVAVLVAFFLDNTIQCRDTAVWRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >Dexi1A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:1A:8269129:8274614:1 gene:Dexi1A01G0009920 transcript:Dexi1A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGVGRATPRSSPKRYAGTDPPLSLAGPTVADLQRTAELEKFLVEAGLYEGEEESAKREEVLSEIGQVHGPGADIDTLCVGPSYVNREEDFFVTLHGILAGKEEVTELQPVPDAHVPVLKFKFHGISIDLLYASLSLSVIPADFDISQGSVLSDVDEATVRSLNGCRVADQILRLVPNAENFRTTLRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDLGFSIWDPRKNPRDRSHLMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIDLNKANWSALFEPFHFFEAYRKFLVVDIVAEDDDDLLLWKGWVESRLRQLTLKIERDTKGILQCHPYPCEYSDPAIECAHCAFYMGLSRKEGLQKRGQQFDIRGTVDEFMREIAMYSLWKPGMDLAVTHVRREQVPSYVFEQGYKKPCPMMHANQLEQSDGDGTLSPDLEGRLKRKCDFDGDGHEELCRPVKRASVSQPDEETLPHHGSSVSKVLCDSMVNLVSNGLCSGAQTTSLHDDVNFKQTPLTSSPHGSEDTSASGTMGAVVLADESSKLGNLTSDVEVDTVQAVAVHTPLECVAQKDEMKLEGIRSVASSNCAEFLERAVLAKNVHLSGDEVI >Dexi8A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:8A:10633415:10633858:-1 gene:Dexi8A01G0008700 transcript:Dexi8A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPSPCFTRPLTGDSSSSPPSCFTQPLVGTPSLPRLRLLHAAPPPTPPRPSSSPAPAPPQGLSSAGAELCPPPLLLLLPAAANLDGGVEANLGGDGMLRRPDRRDSALSRSWQEERRFRQGLPWMKQQIQHLSSCSIYEAGKVRF >Dexi2B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:2B:4027148:4033267:1 gene:Dexi2B01G0004420 transcript:Dexi2B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEELGEDDDVEEQLVGGVLRRSSGEDPARSPLIKRILGSQRSSTLPLKPTIAYLHSTFSKPSISVFPVPCACVLELQPQGETMAEIVAIMVVGPLLSMVKEKASSYLLDQYKVMEGMEDQHEVLKRKLPAILDVVTDAEEQAAKHREGAKSWLEAVRKVAYKANDVLDEFKYEALRRKAKADGHYNMDVRKVIRSHNRFVFRHRMANKLRKILQEMDVLIAEMNTFRFQFKQQPPMPMQWRHTDASTPTDCVEIASKSRAQEKKHLVDRLLAQAKSTDLTILPIVGMGGLGKTTLAQLVYNDPEMQKHFELRLWVCVSDDFDVDSLADKILKEAENNSRRRGQVTASDENGHQVAATAREEENNGHQVTTTASKDSGCSNLGELQKLDLGGRLEVRKLENVTGAGAQAASLRNKEKLTELELRWTHYAQDAQNNNHEEVVEGLKANDRLKVLRIRSYGSSTLPKWLTTLRGMVELVLSGCKKLEKLPELWQLPALQILRLERLESLHCLCRNGKTAITFPELKVLTLYNMPQFEAWWDTDDVQGEEPIFSKVEELEIEHCGSLTALPKPASVEVDTNKCRSAFPALRKMNPCGGALHFDH >Dexi9A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:9A:12760096:12763634:1 gene:Dexi9A01G0017730 transcript:Dexi9A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEQPLVEESTVPIASEGDPSRPCVRPALFLRPRTGDGGPLPPPPGEPASGPVRVRGIQAEFRGWACVPRRWREWVEKLRPRHEPLWRELGILGAVLASTCRVRRSKHERALLQLAAFWSGATGTFVFPWGEATVTLEDVAALTGLPLLGGPVRAPMSDELEKEVAAIEGVRTVMNRSKKKKAGFAAWVKHFVESSLEKEEVLSAGDGGGELLEHGSFLVMWLSIFVLPSPPFDVVRREVFPLAARLARGQRVALAPAALASIYADLSALKSHISLGMENEPFISSAPMHILQLWVWERFPQLRPELASSPAPGDHDISRIARWHDVVKRFSSKHVHAVFMSPEEFEWRPYGSCSFFALQPETGGSWINSQDLATSEVLLSFARCLHACELVGMNCIELYSPHRVARQLGFDQDIPGIIPCANSDWEKQWDTYNIDAESSVFIVPNHKPGVTVQYARWWKPYSSACGPAIANASKMKERRAFVSSVKRKKRKMVGVPASNPGKKLCVDTATPGRPPHILAGTNVYPSNFSASQAAIKRAAAAPGLVLRACPPHLRSRAMPATAAAGMPQPMPDASNDPLDDIPLSERLDGITNKKHKKQITECLVKGGDQEKNVGSVKSFISRSASVGSKMDVIPKDVGQSFADAVANTDIVEDDIPLSERLDGIIKMRKKQITECLVKGGDLEKNVGSVQSFITRSASVGSKKDVIPKDVGQAFADAVANTAIMVEVLSGGSVTKKAQGKCVQQNREENLNLTNEENNSRTEYCDVLLPNVVLGAVSAGSNEAIVSGTGFDMLPLHEAFVISDDDESDKSSCKDHEVNAMHVKSHLLGAKTSHIRVGNEDIQLVDARNDAQNSQVSKKVAVQGNHTNIVEISDDDLDEEASKENMVFDEETTRQDELAILHVNLKSPKMEAIQEPNGEEHLVSKRNNEQDNLVGKEATVQNSRDSDIGSVPSNITLREEGDVITQTAARQTSDGLLDGPIEEMHGCVVTGEVGNTDKVPMEKIGFLDYNENGNEDILVSNQDLESPMEDPAGANRKRAGDSKRFSSRMLDGNTELISSEVCTKTLYYLSRFDRVRDAWDKDANSTATDQDVYLPRRAIGTMEMIKKASAIRHAEIAELKKKIHNLKEEILVLEAAELREPLR >Dexi2B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:2B:25117853:25118128:-1 gene:Dexi2B01G0015080 transcript:Dexi2B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCSSHTSLANPAVAIAAFSPVLSSASCTNTSVDSARRESGHRSGWYRSASLRNARLISTVPTDAECSSDSNL >Dexi1A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:1A:22291412:22292113:-1 gene:Dexi1A01G0015320 transcript:Dexi1A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHVSQAKDPSPLLYKTLRATPAIKLTFHHSTTHARSIMATAMAGGATTRASAASLLVLLFVSLACISDLVMAMGPSDVDDISISSKSNAATLLTPRPPPPPPGGEELGGFIQCMMGCFTEVFTCSFGCMGKGPDLPLCVISCDQKSVVCMIRCGLSPSPSPPKPSPPGPKPPKPPTPKPPKPPTPSPPTPAPPTPAPPGPPPYTPPYAVTGRKAATSAV >Dexi9A01G0047640.1:cds pep primary_assembly:Fonio_CM05836:9A:50698472:50699602:-1 gene:Dexi9A01G0047640 transcript:Dexi9A01G0047640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGVPATQQWVSLPPCPALPAPVRGFGCHDACLAFDPTVSPHYEVLLIDSYLCYTTLDEGSEWPPSTFMVPVYSSRTGSWETKPFVREGGAAGTIADVRSAEVPAHRHTAYRRETLYVHCKGDYVMRITLSDSNYQVIKLPAGINPSVYDEIYLGRSKKGVYCAVADNNNDDEKRLRVLFLDELGDRIEWIVKYEIDLEAHLYRNCDCIINRPWRLQDDNQHDDCSQGETVGDDLLWDSDDDNLLDIQDTGERYRCGYISIFGFHPFKEVVFLCTWDDRVMACHLKSSKIQDLGQVKTEYHGDVIDTAFVYTPYLVGELC >Dexi3B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:3B:3899687:3899983:1 gene:Dexi3B01G0005730 transcript:Dexi3B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARNAEVAAIAAAVEGVRGGEASATGSSVMLAFGVECWRWAALLPLLGDLGESMAQTGGEAVAPTVSKSRRVGLIKAALDGPACDFGRLL >Dexi1B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:1B:20039911:20057312:-1 gene:Dexi1B01G0013970 transcript:Dexi1B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKCQQKVCPVKRKADSSTSEECSPNDESATKRIDCSEGEKGAAAPVAAGDEGDVPPPKKMWRLPREEVHWILAQSNEPVCTRFRDLKRANPSLVPSPEEEKDPSTMLLYTCARICYEEEEKFAKFQAWVRCEYASKGFVEVDYDYFGRRAEAIRRSNEARDEVFKDYDLSSDDEDDYAGKLIKRTGRDGSDDACGLSRHHRTRVPLPNIRQFGCAFLKRGVSLDSRACASARTPTPSNARLQAVELSLQPPSAFLASRPHIDEERGQHLSARRPPITIPQRIDTESWAAAGQYLLLAIKGSVLHPSPPDRKSQPPPVSSPAIPHDSRRQRNRLVVAGNLQAPRRIAKLPPEFDFQAKSSVAQALGFSEMELQTCDGKDKYSKFFAPRPDGYAGRFYKACWQIIKLDFMAAIITLQQGDARKLLMLNSAYLTLIPKKADALHAKDYRPISLIHSFAKLPVVLQEAALERPGETLLSPLVGALIAFKPPYSLMKLASREIIIRSGGAHQPATPLLPARPTPPCLPSGHRSDGAASPPSRTGDRPRIVDGCGRIARSSYKRIENHSPQPDPTPPILQFPTIIRRGEKSSDQPMASVECQHGKRKADSSTGEECSLNDERATKRIDCSEGDREKGAAAAVAGIDCSDREKGAAAPPPPKKMWRLPREEVHWILAHSSEPVCARIRDLKRANPSLVPSPEEEKDPSTVLLYTCARIMYEEGEKFAKFQAWVRGEYASKGFVEVDYDYFGRRAEAIRRSNKARDEVFKDYDLSSDNEDDYAGKLIKRTEEKDPSTVLLYTCARIIFEEKEKFAEFQAWVSCKYASKGFVEDYDLSSDDEDDYAGKLIKRTLSWHVDYFHMLAGRRQPACASDRPARHRGPNDGGYMAFKNAVQAMYGAILVIHGLINMPNWIWNPHLAAHYSPWLQANGGKEVRWRPHLSFLHCGCA >Dexi8A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:8A:4245516:4246817:-1 gene:Dexi8A01G0004830 transcript:Dexi8A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVNKSSPVMVKPWEPVATGSTMKLSFLDQVHLNIPVTSLIVFEHPVNVAAETIRRALSQTLVHYYPVAGRLAAGSDEINCTGEGVVFVAASADCSLEQSKLLKEEQPTAASAAGATSRALLDDLAVYYDHGCCSGGPLMMMQVTEFSCGGFVLGVTWNHGIGDTTGIAQLLQAVGEMARGMLSPSVIPSRIWDDNNSMIPSLSPSSIASEAPLDLAFIDVTIRSSLINRIKAEYNNLFVHNSHGQSSSLCTTFEAVTAVLWRCRTRAIMSSSSSSLSSPAVLSFAVNIRKHVGAVDGYYGNGIAATGQKVILTVGAVANGEIVDIVKAIKDAKDDIPHMLKRTSSQQQLDIDRYSMFAVSSWGNLGLDKPDFGSGRPTRVICHAKPLATAQPFCMMGLPWKGNGGISVMWIYLKKEHVAAFLGELSSLNI >Dexi9B01G0040110.1:cds pep primary_assembly:Fonio_CM05836:9B:40725060:40729070:1 gene:Dexi9B01G0040110 transcript:Dexi9B01G0040110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLLPVAAAAVLLLCLAGGSRATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQARTRNTAASLLTAEWLPTWQYDFEGRKDLAAFVKAVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKTEMQRFTTKVVDTMKGAGLYASQGGPIILSQIENEYGNIDAAYGAPGKAYMRWAAGMAVALDTGVPWVMCQQDDAPDPLINTCNGFYCDNFTPNSASKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSSGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKLCEPALIATDPSSMSLGQNAEATVYKAGSVCAAFLANIDGQSDKTVTFNGKMYKLPAWSVSILPDCKNVVLNTAQINSQVTSSEMRYLESSTVASDGSFITPELAVSGWSYAIEPVGITKDNALTKPGLMEQINTTADASDFLWYSTSIDVKGDDPYLNGSQSNLLVNSLGHVLQVYNYGAFFDLVGAGITGPVKLSGPNGALDLSSAQWTYQVMTLLPLTSREWEKAKRG >Dexi5B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:5B:4051571:4052524:1 gene:Dexi5B01G0006000 transcript:Dexi5B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTTSIAAMSSTPPSPPDHGGRNGTTPSPPSPSAESFSKFFESWIAEQTRDLAELRRAAASAPAAAAADDDDLRRLVDRVLGHYENYYRTKRAAAADDVLRMFTPSWTSTTENLYLWCGGWRPTAALHLLYAKSGIQLEHQLPNFLNGGSLVSDLGDLNADQLEAADQLQRRTIKREREIEDAAASAQEALATAKMVELAGGGGMDGEAMEREMEAKAEGMKRVLEMADGLRMETMRGVVALLLPGQAVHFLLAAAELHLAVHDFGRRKDGHAPPPPQQP >Dexi5A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:5A:7110348:7111278:1 gene:Dexi5A01G0009460 transcript:Dexi5A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRTKDGPGNVGAFTVGRHSAAEGSSAFYEATSNCAESIGLERGTWRALGSGAIASTRVSVLRTRPSMAIILRATQAQPWNRMCCLCGVVDALLYPTLFAATTLHLHARLACMLTESPRNLTS >Dexi6A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:6A:19290359:19291704:-1 gene:Dexi6A01G0012610 transcript:Dexi6A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSVGKFVEISFPWGDAEVAPAVVSKDIAFDDHAWTVHCYPRGAPEEPGAGAHLSIHFVNHSNARNAKVILEAVVLRRADASDVAAIAPATNNFHASSVFEYPSEGSRRFPWPRVATARDLHEDCAVGGYVTVVCGLMVLRHNPISAPPSTFASDLGGLVEARRKFGDPDASFSVGGRTFDVVRNVLAARSPVLTAELDGATATTVDDVATGVDTDTTTTVQEEESAGGTVEETVPVPVVVRPRHEFNASTFCAVLLYIYCDRLPRDNECGCPVTMDLVRDLLAAAEWYKLERLKLLCARWLWSGLSVATVCRTLWCADRYKCPRLRSLCIDFLTAGDNIQKAYTYDFDWLILKSPSVNDEIKRRLDNKQNNVAGAGGRDGDCEEKRIKRRLEAQNADEKRIKRRLEMQDGRDNEEEKSGGSSSRVYE >Dexi5A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:5A:18203151:18211333:1 gene:Dexi5A01G0016490 transcript:Dexi5A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVAAASLALSHLPRHHHLGRCFQLRRQRLLPATPAKASCGSGRARRLLLAGAFVSGDGPSGQDVDYSAGATSSGSAYLGLFVRLLGLDNDARDREHAVCTLYQYSLGGRKSIDEIMQFPGCIVLIISLLKSESTRACEAAAGLLRNITSVQVYRKMAAESGTIEEIISLLCKSTITPEMMEQCLCTIWNFSIDENWRYKILRSDALTKIVSYLDEEEIKVKEAAGGIISNLALSPCNCVALVEAGVIPKLVHLLQTKEDDYKIIRKEARSSLVLLARDDYYHSLIIEEGLVRVPLVGSAVYKAFKPLPHSWPSFPDGSEIQRTSRPSKYGATELLLGLSVNEKDAKPDEAKINAMIGRSNQQFLARVGAIELHDEGNEQSGSEKIDLYTILPWVDGVARLVLILGLEDISAIKKAARAIGDASINEHMRTSFKEAGAVKPLLQLLKHDDVPVREAASYALEKLSDSSVICQKIKAEGGLEPLINIVKDPKTPVKQLEKIIYVLSRMFDLGISMVDLPESYACEEVMSAGRSIQGDKASGNSAISHTFVNQETTSGPILDFDAISRLTKVLKEASPSLQAKVCCVLEHLAVSEQYATAMTATCTGSIIEAILEIGIIHGTRADSEDFDNLPSVVTEEHK >Dexi5B01G0023880.1:cds pep primary_assembly:Fonio_CM05836:5B:25972744:25975049:-1 gene:Dexi5B01G0023880 transcript:Dexi5B01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMYGEMLRAEAEEAPRGALETLCGQAYGAKQYHKLGVDTYRAVVTLLVVCIPFSIIWVFMDKILVLIGQDPLISHGAGRYMIWMIPGLFANALIQPVTKFLQTQSLIYPLLLTSLVTMVIHIPLCYAMVFKSGLGYTGAALAISISYWLNVAMLVGYIMFSSSCKETRTRPTIEAFRGVDAFLRLALPSALMICLEWWSFELLILLSGFLPNPELQTSVLSICLTSISLLFTIPYGIGAAGSTRVANELGSGNPDGARSAVRVVLSMAAMNAVIVSGALLAARRLVGLAYSNEEEVISFVTAMVPLICFTVVTDCIQAVLSGVARGCGWQDLGAYVNLGSFYLLGIPMAILLGFVLDMGARGLWMGLVSGSLSQTTLLSAITFFTDWPKMAEKARERVFSEKAPEPGP >Dexi9B01G0026300.1:cds pep primary_assembly:Fonio_CM05836:9B:28149190:28151237:1 gene:Dexi9B01G0026300 transcript:Dexi9B01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVVAGVLFFLVIGGLVTPFPAAADVCDNIKQVAATLPKNTSSSPLHFATTTFGQAPDVVYALALCRGDILNDTVCGNCVADLFDKISNWTLPPQQKCYMAVSYYAGPCTLVFSGDDILAPSNTTAANGDDPPFTLWNDKSIPGDAGEVQLIVGLKQELLVETVKKAADAEPRRFATGVMDSGTTFPPVYSLAQCTPDLSAGDCLACLQRLLGMVNSTMELRMGAQIHVIRCYFRYETYVFYDSLPMVQIGPSLAQAPAPTPTTPAKRRMSKLWVIPIVVVPLAAAAFLCFIFYSPWFRRYRKGKEMRLKAGSKRTQDLLQGDGKNSDFSVFDFEQVLEATNYFSEENKLGEGGFGAVYKMKVEELCWIGQNFW >Dexi2A01G0026040.1:cds pep primary_assembly:Fonio_CM05836:2A:37616046:37620413:1 gene:Dexi2A01G0026040 transcript:Dexi2A01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAVTSKGKAAFELKHRLVQAVNKIGDRGTYQIGLDELEKMADTLAPDMIGPFLSCVIDTDAEQKSAVRKECIKVIGTLARLHGNLLAPHMAKMVSSVVKRLKDTDSVVRDACVDTCGTLAMCARSYGDGGAALVALVRPLFESLGEQNRYVQAGSALCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAAIELIRSIIQAEGASTEQALSSALTSIMDALKSSDWNTRKAASLALSSIAVSSGYLVASFRTSCLRSLERSKFDKVKPVRDAIIHAIQLWKAIPGSHTPEPSEAGSSTKENFFGDRHDARSINDGGSRDTSFRRTDHGPSVSVISGSSINSAKRTSPLSINKIALNNAANMHHLKSGDWRVEVSVPKQNMMPLVNVDDEESGEVCILKDAKRNAYEIVDEKSKFDYDIIDDKQECSSVSEVASRSCETKHVTTAQECIEDCESTRVTEQRPRGRESRSIDSTVTDVTAHGTHSCCLKAMNELTLIRKQLQEMERKQANLFDLLQEFMSNSVENMSVLNLKVHNLENAVDKTVYTITQSESRYHLPGSKFLKNQSISSSPRLSTSTPRSSVDANYKPPPISHLKHEKKWMHDLPAKGSNRCTIERTEFPKDHVRSRFKKPGSLSSSESNMGRYVPSSARSQTPVLKGTLPVSLTSSSEQPEMQNALCVSNQSGEFQDTGDLEPAYMEALNCGDYDDLIDLMDRTGPVLEKLSCETANELLRVIAGQFLNKKFFDLALPWLQQLADLSTIYKPNQLFASVRAQKEFLSALEETATSGSTEPAIRIAIAQLAFKLTKAFEVGPCRKISTRMRRGNETIMATAM >Dexi2B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:2B:11726596:11729919:1 gene:Dexi2B01G0010470 transcript:Dexi2B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKAAEPQRRLLRLFSWCFVVVLVAVATASSVSASGWVVKSLPGFDGPLPFYLETGYVEVDKENGAELFYYFVKSESSSSAAAAADDVPFLLWLTGGDRCSVLSGLAFEIGPVKFVVEPYNGSLPRLQYNQNSWSKVPSSPFQTTPLICLSGLDTAGILGLQATTGGGDSDVSHILFVDSPVGAGFSFSRELRGYDVGDISSTLHLYDFLIKWFSDHPEFLTNPFYIGGDSYAGKNCSVSCTDYFRRYFCCCLLYITDNSISFAVSLYNTCIEAGSRKLPNLKGYLVGNPGTGEIIDYTSRVPYAHGVGIISDQLYETILWHCQGQDFFNPSNALCAQALNTFNNLIDQVQKSQILLDKCVYASPVPNIGNKTDVSNGRRTLREEMGAGELNHPPARPPVGCMTYGYYLSYFWANDRRTREALGIKKGTVDEWVRCHDKELPYTTDLGSVIKYHRNVTTRGYRALVYR >Dexi7B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:7B:17993087:17997171:-1 gene:Dexi7B01G0010750 transcript:Dexi7B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGPAPMGYGGLAWPRIDKRDLVVKYSSTGFQVKYGDTLKRFNACVNGSHFDHDLSALRLKIASAFKFTPDAEFILTYTDEDGDVVLLDDDNDLQDAAINQKLNPLKISVQLKSGNVWATWTKQQATNSKSPRPISLEDQLAHVKSIIDVALKRVPEQIPAVLANLSHDLRFRAALYAPPLAELVDCFAKLVTRSSNVHPSCGSADGSQNLRNAKVKLESALLTGASSGPSDGKHSGISEAGLKGVLSEDTAAKVEQPPSCHSFKDSLVFTSSGGMKSDLKRSLDSEIKTDACSKGKSVISFVPPVSTTSHGAPAQRSVPVPTSCGSNGMANGDMRSLFPPVVYPPTPFYPPTPFLTTLNPIFVSNGKTTGDLHSTFPPPPNIYRPFQLNTPSPVSTCFPNIYSTGSSHRDQTASLLSCYVPSPEGVNSFGSSDRGLGTNYGSIPQHAQHRWIQCDGCGLTPIVGPRYKSNVKEDYDLCGDCFSRLGNEAEYTRLDRPASKCNMKILGQIPAVKTDCRFIKDVTVPDGTPMAPSTPFTKVWRMHNNGSTMWPYGTKLLWVGGDHLTCLSSVRLTISANAGVNPGEETDVTVDFLAPAKPGRYISYWRLALPSGQKFGQRIWVHIEVDHPIRTSGNKQGAAINLNRRPEANCTGPFTFDINRAPVEPFHGWPRSTWFASGTMEPKESEPAPSDMPSVPAAVEPVQIPVTDAPTSSAEAALASMPAGVPAPEAIFLPNLVPISAPVSAPVPAPAPAAVPPVSMPVPVTAPDPWPEEVVNHLEEKMMGELEVLGFMQADLNKQILRQNNYDLEQSVVDLCGLNEWDPLDDEFSELGSDDTEMKEEVVINSDEEGFIVTDLVTKAKKDQ >Dexi9A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:9A:8020893:8022154:-1 gene:Dexi9A01G0012550 transcript:Dexi9A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDRRRCGKAPVVTGFPDDPLVEIFSRVPFRSLCRFKRVSKAWRDLIDDPLHRCKLRQTLEGLFHEIKDSRRRDRGGNYEAGGDNLRRSCLAPTSESIRLMDICHGLLLLDIGGYRIDSVPKYVVCNPATRQWLLVPAFDWTPWPCNGMSVHLIFSPDVSSDFALVRFTNDVSPSVTSVQTYSSKTGAWTNSESAWSLEERQGPLEGWRYQSCRLVPESKSTVIGGMLYLICDSVGDGQVSPKGFSIMSTMHEEPAYYTNNDGPDDELPDEDNDDVDCELSIWVLNGGGGDTQEMALKHRVSFLHLFGEKSCQAGPDYNVVAIHPDRDMIIFSRDKKLISYDMDTKEVCALHT >DexiUA01G0008410.1:cds pep primary_assembly:Fonio_CM05836:UA:15696138:15705590:1 gene:DexiUA01G0008410 transcript:DexiUA01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKKSLPAAIQRFASLTVRASLNATSWVSQKQRIIPGKGCAAGLRNTEDLNVVLVLIRPQPRRVVIAGGIGIEHRLCRGNRLILGKGPRLNAQPIVMVLGHITGSINKRIRRSPLSVHHDPAFSLQTRITCKLEIEINTDPDDHGIKGFTLAVTVNDRQTIVIFQLQRSAAGADIHALFAVKCFQLLRYLGAHRTHAQRGLLLKQRHGDATFTRGGRNLQANPASADNRQVLTLCQARLQPLCVLPVAQGIDLRVGRPCIARQTRPTTEIPRAPAGTMSGSMPVAPLQERDAVAAPPQNHHRHPLPNLTQRHHSPSLEVLAAVAASSTSTPVSPSPSPCRLPGPHRELAVAPASSTSAPRKLAVVNLVPYELTVVSVSASSALSPASSPPSPRPRRRRCVIHLGPCELAAVRRVVHLAPRELAASASSLRSAPTPAGLVSFDGIDVLRVAHKPQDYSDVRGEYAPAVYSALERHLPPSLLDADRDVKLQFMRDILARYWPQGERNKVPPGWHIEWVPSSAEEREE >Dexi8B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:8B:15446728:15448700:1 gene:Dexi8B01G0009080 transcript:Dexi8B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKMEHIHMEHKGLRRGEFNEGICASIPKPPPSTSSRPNSMVVKKVCPREFIPPHIIAEAISTLHGLDLRWSGPITPSERQYVEQYVLAMYPQYSHGLIEDASCDKDDLYSTYYSGSSTTSPEAGGERRRTSPVGSPSAAAAARPDMVDMVRLEPSRLLDILTKKSSFTGSFISIPEIQARNRVLSHCGLTDDEYLVLFAPTPRDAMMLVGESYPFFRSSYYMSILEEDSDCIRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHAPKGLFAYPAVSPAAVSSSASSPSSSGGGAAQQPARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLGDTVVRSQQQQQPVAGSVTCLLVRRRSFDTSLHQQPQKQ >Dexi5B01G0038020.1:cds pep primary_assembly:Fonio_CM05836:5B:37306454:37307728:-1 gene:Dexi5B01G0038020 transcript:Dexi5B01G0038020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKAMELMNLQAYSHLIFLFSTLLSSQSIDLKPVRASSPPIQALVAPITKDAATSLYTLSLSGNQYLLDLSGPLLWSPCSPTHPTIPCSSGEYCAAVAPGAPNFGDGQCTCTARPTNPVTGDQAIGDLTLTDVATNATDGNTPTAEVTAHGAVSSCAPDRLLRSLPRGVTGDAGLGRGSLLSLPAQLYGKVSLSKQQFAICLPSTATAPGVAFFGGGPYGLMPPTQLDAGAALSYTDLVRDPMRPSTYSIRLRGIAVNQEAVPLPAGALDRGGGGVTLDTALPYTVMRRDVYRPFVDAFQRAMAHVPRMTSVRPFELCFDSGALGFTRVGYAVAPVDLMMASRGGGVGNWTVFGANSMAQVAPGVACLAFVDGGWAVESAVAVGGFQMENSLLVFDEDASRLGFSGTLLFVRTTCGNFNFSRD >Dexi6A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:6A:16422252:16422580:1 gene:Dexi6A01G0011510 transcript:Dexi6A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLNNPERRLVLVGEPPVEQYAGDEEVEDEYVFEEHEMTAQALAKWMAIARFYLGQEFKTWVLSNELSCLSMSLDIQR >Dexi3B01G0038500.1:cds pep primary_assembly:Fonio_CM05836:3B:41302301:41306968:-1 gene:Dexi3B01G0038500 transcript:Dexi3B01G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAWQVWEVKLWKQDPSVPGKKGPPISESRVTLLCNLPVPNHLNNAGDALKKYATASRFLPESQPRWSDRSSFWWAWVCPVVPTTLPKRAEAAIDSGGRRYCQSTSQAHGAARRAFMSRATLLAAPCSQPSRRLPLPWTRGVGKRTGVIDDAEGDTHEEAWAWAGRRRPDGGRGSSVRGTAGPNRLFLFVPLSSFLSPSTPRRETRTAPQPNPTARKAFRPPPWISTPLASHHLPSARPARRWPGDPPPPLLSKGSFPNLQDLDFSLGKEFLPSPPVPLWLSEVNTLKNQIFLSFSPHKSRPKGKSKRIP >Dexi3B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:3B:1628030:1628961:-1 gene:Dexi3B01G0002350 transcript:Dexi3B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGPTRSCCFEVLSYDHVRSWCWDPLPQPPFLKEPKYEPPLQAQFTVVDSTKICVSTTKATYCFDTVTREWNKVGDWVLPFTAEYAPELGLWLGVSSDGGPYDLCTLDNLSTAVGSSPPVVQYIGREFELPDDWWQVECNLVNLGSQRFCIASSFMVDNDKDECYSVPVTVLTGVEVLPGEPGLQMVKHKSKCFLTHFSLNGSDFDIAPEVDYGEHTDSLYMMDMGCFQVLACYPAGQWQWRALPSPPFFDDLDQYKACNDIAYR >Dexi3A01G0031620.1:cds pep primary_assembly:Fonio_CM05836:3A:36022190:36023212:-1 gene:Dexi3A01G0031620 transcript:Dexi3A01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASAGASSGKGKVKGKGKGNGKDAWEVVSIVLRIATVGMSLASAVTTIASTQCDASSQVDDPTGAAASCGTYGSFTKTIDLIDKVVLALTSTSGPLLLAADDITSCGPPRSRRRRNNNGARQQSLAEKLQGAGFLSLGPLITAATNEVVKKLKQPHEVVVVSATGRGSTGVIISTSVAISTSVTSAASAANGGDATTSTAAAAAAASGGGATTSTRCSIQ >Dexi1B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:1B:6133992:6137266:-1 gene:Dexi1B01G0007380 transcript:Dexi1B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAPLGGASPSGRVLGPALDRIIKNAAWRKHSALVAAAKAALDLLSSPSAYPSSDPTSPKSSPLLGLPPAAADAALHALLLALESASPKVADPALDCVAKLLYHRLLSGDLGCAGGGGDDASSPASRLLNAVLACGALSDDAMELATLRVVVAAARCPTVAIRGEGLGQVLKTCYNIYLSSSSGANQLCAKLALAQVMVIVFARVEVDTMDVRVRTVSITDMMDMSDRSLNDSSIVQVAQGFINEAMEGSDVPEPGSPVEPSEADGKDDAGMSKIREDGLALFKNLCKLSMKFSTPDNPEDQMLLRGKVLSLELLKMVVNNAGPFWRTNEKKPSKGIDFLIKSKKIGHLPEDVASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEDTAYVLAYSVIMLNTDAHNTMVKDKMSKTDFIRNNRGIDDGKDLPEAYLGTLYDQIVKNEIKMSADSSVPQNKQPSSVMKLLGLDNIISFVNWKQAEDRAVGANDLLIKNIQEKFKLKSGKSE >Dexi5A01G0034620.1:cds pep primary_assembly:Fonio_CM05836:5A:36631818:36634831:1 gene:Dexi5A01G0034620 transcript:Dexi5A01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLLVADEEKASHRQVATTGGIGERVLIFCHNVAPITFHVKMIEIVFGWRLGDEILVLQGDQELPVRSDVMDNFNGDSKGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTMQAIARAFRPDQERMLQLGKQKNCSAIMEGLQVEPRKTPPRCASLMATTEELAQIGISEDVSAPTPPPSALLARDVSTGHGRISGGGLEVVPPPLSAQSDESMPRGDAAVPFTKPEPRTPPSYREPYATTTPLPQGDGATPSRHALALDLAPYAVVRTVVIQQFDRRGPKLGPWP >Dexi6A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:6A:295335:295760:1 gene:Dexi6A01G0000490 transcript:Dexi6A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSSSLRAPACFSSLRAAPAPAPATVAMPATTGRFARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPFSCRAGSCSSCAGKLVSGSIDQSDQSFLDDDQVNAGWVLTCAAYPTSDVVIETHKEEELTA >Dexi7B01G0021190.1:cds pep primary_assembly:Fonio_CM05836:7B:26328882:26331329:1 gene:Dexi7B01G0021190 transcript:Dexi7B01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVHLGLPGPWAADYREKADHYTTKIGGVPDWPTGDMGIKPEMLQCSLCGTKLCLVAQVYAPVVKLNIEERIIYVLVCPTPECGPRPQRQFVSFYYAFYSWKVLRVQKCRNVEQTEGDGDELGQGTGPSSTSVPEEQIDKNKSHDINDDDFDLDALAEALEQAATLASNSKKKNKSKCANAPIERPVLKEKPADLSIPVLPCFYIYYDKEQYRVKGAVGSSSNEFPLDKEMTDTGNYEEEKWDGEKYEYDKAIGADRTFLKFKKRLDAYPEQCFRYSYGGKPLLAATKVQDAGTCRFCGSPRQYELQLMSPLLYFLHEAGKGSSNYGPSNWTWLTLIVYTCSKSCCPSSCGGRPCGCCWDVAEEEITIQEDEAV >Dexi1B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:1B:2393777:2394372:1 gene:Dexi1B01G0002870 transcript:Dexi1B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSVEPSQIRDETTSGIDGEVVGRVRRVARSDGKRAASTSSNDVASTSRAKQPKRKRRRTGLAENSCTNDVPVISIFGIGGVGKTTLAQFIYNDPRVKHHFGVRIWVCVTNFFDKRRMQEEIIKSIPPIPGKEFDPSCTLDVLHTELMERLKC >Dexi1B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:1B:2584451:2587534:1 gene:Dexi1B01G0003210 transcript:Dexi1B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSSTHAVLAAANKPASELSSYQRKVFRVAEHAGVALAGLTADGRVLSRFLRNECINHSFVYEAPLPISRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSANCTVAIVGRKDDGTIEPFQMIDATRIQEIIDSMEAAEEAPPVEPSSMQEEERTSDAAPMDI >Dexi8A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:8A:29470818:29472351:1 gene:Dexi8A01G0017680 transcript:Dexi8A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERSDPQPPAQAGGGGFSWPTALGFSFLTFNSGMAVYRSYNDKATVAFVVSSYVILVALFFCIKLFERAPPGSTTKGKLKVAVWGLTTVLTLLFSYKVAATMPVLVQVVVWLMAFATVSGGFYAFFVHSKEGKTGTDCLLATLRRPPGRRSPGQDPGEAAWSRTRLVVDSGATSHAVGNIWLLEGYNPPLVATLADGSNLRIFGVGHIHRGNFIIPNVSVVEGLQDGLISTPQLDTHHGLISCFGNGVCRIMEANGTEVGGAILEEDGSYVLRFLEVPGPAQV >Dexi9A01G0036620.1:cds pep primary_assembly:Fonio_CM05836:9A:41008368:41011388:-1 gene:Dexi9A01G0036620 transcript:Dexi9A01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALLVLAHLAALLVVAGAKGGGGLNDDVLGLIVFKADVADPEGRLATWSEDDERPCAWDGVTCEPRTGRVSALTLAGFGLSGKLGRGLLRLEALQSLNLAKNNISGDVPAELARLPALQTLDLSGNAFAGAVPEGLFGRCRALRDVSLAGNAFSGDIPRDVGACATLASLNLSSNRLAGALPSDVWSLNALRTLDVSGNAVTGDLPIGISRMFNLRELNLRGNRLTGSLPNDIGDCPLLRSVDLGSNSLSGNLPESLRRLSTCTYLDLSSNGFTGSVPTWFGEMTSLEVLDLSGNKLSGEIPGSIGGLMSMRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGGLPSWVFASGVQWVSVSQNTLSGEVTIPTNVSSVLQGVDLSNNAFSGVIPSDISKLQNLQSLNMSWNSMSGSIPASIFEMKSLEVLDLTANQLNGSIPAFIGGESLKELRLRKNSLSGNIPSQIGNCSALASLDLSHNDLTGAIPETIANLTNLEIVDLSQNRLTGGLPKQLSNLAHLLQFNISHNQLSGDLPPALIAVGVITITVLNLRVRTPGSHSAAVLELSDGYLSQSPTTDVNEGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTQSLQLLIYEFVAGGNLHKQLHESSTTNCLSWKERFDIILGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVEDCVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMGEVVNILELIRCPQDSPETELG >Dexi4B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:4B:9740185:9743185:1 gene:Dexi4B01G0012090 transcript:Dexi4B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDIVETTLRGHAAAGAVAVQRSNLELAVSEAHTPLVYYYPAPAADGGSATEEAFFAPERLKAALAKALVPFYPLAGRLGVGEGGRLQIDCNAEDALFAVARADFAGDDVFRDYEASPEVRQMFVPFVPSGDPPCVISMFQVTFLKCGGVVLGTGIHHVIIDGVSAFHFIQTWTRVSRGLDVAAACGPPPIHDRTLLRARSPPAPALDHHPVYSNGRPRPFVTRVYSVSPKLLADVKSRCAPGVSTYCAVTAHLWRAMCVARGLAPSSETRLRVPANVRHRLRPPLPWSYLGNAVVSGVDVLARPLGFLAQAIKDAVDRVDDAYVRSVVDYLEVVGYPGKGTPLTPPESDLWVVSWLGMPMYDADFGWGTPRFVAPAQMPGAGTANVMQCANKDEGIAVLFAMEPDYLECFHEVFYDPRC >Dexi8A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:8A:2326242:2327563:-1 gene:Dexi8A01G0003230 transcript:Dexi8A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRFSRDKKLIAAAPMASDGGLRRLFEKPLPENPTLLEALSACHRNIHHNNKQPIDPSSFTEIFGELHFQEKQQQQPERAAVLPHAQPSSPAPASWLDIANEAEAEKSKDDSSLDALLKPKPGSTPATVKRSASFCLKKNSTASLLLCTEGLGSESTVDADDMFKDDGDSETAAALKGTDVEDFAAAAEEEKEMRKQPKEFPPPIRSIGRGGKPYVCFRSFREGGRFVLLEVVIPGKELLQATRESGRLRLQFADAAAVVAGVRVGGGEEMHGA >Dexi8A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:8A:5613920:5615317:1 gene:Dexi8A01G0005810 transcript:Dexi8A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQSHLSLHHVPDDLPDCDCEFRPGGGLCCPDDPLELVLQFFPGPAAGEGSLASIGIGGSSPLPLGPGQEENGFGDLRALVAGAAPGCCGGAVWEGDSRGLPAPVPEDTETIDVDKYLDLPDCGGGGGEAAVCNPFLDMTVVAAPTPAGGVHACRALAGGVVSNGAPPPVSAGALQAPYTFHAFDGVACNDAPPLPPPMAAGGGLHACGALVGAVPKNAPPIHADALHACGGVVSNNATPISAGALQVLPLRAHAPPSPWTIPASRTSSGCPTPATSETDSPALAWQPIAWVLPRKRRRSPVKFRKRRPWSLDFPLRAVPVAVPDNPDDSNGNDDAKNSCYSVGGGGIRRRRPVPRQRNRQTQRVCSHCHSPDTPQWRAGPDGPGTLCNACGIRYAANKLLPEYRPSTAPSFRSDLHSNRHRKVVKLREQKAKEIPKAMPDESVPVLPKGDEFMDVCTYISTGL >Dexi7B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:7B:21145774:21152795:1 gene:Dexi7B01G0015060 transcript:Dexi7B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNQFRSDRLNDHAATARRPGRGSGSGTHWVVSGGRGSTAPATRPRSPPYRSGRPGSVQQQYRPRSPAGATIHGNATSSYVPAGSAAGAGHMEPVNQGSGACASLGSLDNVKPSEVAAQSDVLNSVSAEETWVADSSSPAVPTKGYMSPAFTLQFGTFSPGAINKKHTTAPTCTSSAPPDMNGSKHEKACHGLSGKPNTVSLSAQEQQRQEAIDDLVIGGGADSIETYESVHVPKLHETPVLNSISPTSKVKELNIDNVVTLTGVISQIFDKALMEPTFCEIAKDEEEVVLCMKELNAPSFYPSLVSLWINDSFERKDLERELLAKLLVFLCKSQENLLSQRQLLQGFQHVLSTLEDAVTDAPKATKFLGQIFAKAIMEDVISLTEIGGLLQERDGREEPGGRDALDDSLASEVLGSMLESIRVERGDSAVDEIRAKSSLLCSRLTGVCV >Dexi4A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:4A:8612118:8613455:-1 gene:Dexi4A01G0010680 transcript:Dexi4A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQPNDFTDRVRAFNHPYILLIGLFLATVVTLYIMQRPRKVYLIDYACFRAPSKYRVPFATFVEHARQVSQFSERSIRFMTRLLERSGLGEETSFPPKAAYIEGYKYCTLEEARKEVELVVFSTIDDLFAKTSIDPTTIDIVIVNCSGFSPTPSMPDMIVNRYKMRSDIRSIHLSGMGCSAGLISVELAKNLLQAMPQGARALVVSTEILTPNYYLGNERAMLLPYCLFRMGGAAMLLSTSPAKARFRLKCIVRTLTAADDRSYNCINQEEDNKGNTGVNLTMDIIDVSANTLKTNITTIAPLILPASEKLLFALSFVSVKLLKMGKKLYMPNLLTAFEHICVHAGGRAVIDGIQGSLRLSDEHVEPSRMTLHRFGNTSSSSLWYELGYIEAKRCMCKGDRVWMIGFGSGFKCNSAVWECIVPAHNEDGPWAGCIHRYPVRIL >Dexi5B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:5B:1657887:1660350:-1 gene:Dexi5B01G0002620 transcript:Dexi5B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAARKWIEAVSVRRQLCSSLVEKEEMGGMALPHHQISPIPLRKATFAAPFALQKAHAHLNVTSSDTGTVYLVQALNLVELADGLLFCTAPRQGFLRPTCATASVIRSDTNEAAVPNAVRKHSKEELIAFFRDIQSSIAESSPKASRRTRKPSSDPFEEADKRKRSYGIDGDGSADDFSEEQGRKTNLEDMKVAELRELARARRMRGYSKLKRGELIDRLKGVIM >Dexi6B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:6B:1435182:1435756:-1 gene:Dexi6B01G0001590 transcript:Dexi6B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPEAVSAPPSAGAGNLDKRKARHKGKGSKSTARKKLARSDQDDSVHRRRNKPSARFLKLLRKRARDYNSDEEEEDDGQEKEEERLPRPRRRDDSDDEEAISHSDQEEEEDEEGVSTSAVTRAFRVAFLKIMAKKLPDDPLSIC >Dexi7B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:7B:24778893:24780070:1 gene:Dexi7B01G0019150 transcript:Dexi7B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQAAALSAPPLPVRGPPPSQDAGSSRADNSASAMDVEMSDGGNSRSKSFLKPLGSISKKKVQLNLKIKKDKRKARKKGKFSFKK >Dexi5B01G0033150.1:cds pep primary_assembly:Fonio_CM05836:5B:33642933:33644351:1 gene:Dexi5B01G0033150 transcript:Dexi5B01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADVDALVTVVVVVVAAAADDDDGATEKLNPLVAAAWNNDPDVAEAAAADEPKEKPDVVAEEVPAAPVLENNEGAGAACEVAKEKPVAAEDTGVVGVADVLLVIANAGAEEAEENKEEAVLPVVVFAGGGVKPKDGAVVAAAAGEEAAVVVLKSGADVVDPNSDEPVAAPNPKAGEDAAAVGVLDAAAPELNEKPNDGVEAAAVVVVEADAEEPKPKPVAAPEKRLGVDAAEDAAPNGLGVVAAAEVAPNRPGVVAAEEVAPNSPGVVAAEAVAPNRPGVVVAEPVADAPKRLGLLAGAEAAPKRPGLLAAAVEAPNMLGVVVPGVAAAPKRDGAGAADEAAAVDCPNENAVDPKPKGEEAVVEAGAAADEAPNREEPKVGAEGAAAEDAEKREEPKAGAGEEAAAGWEKEKADRVEEKEKGEEAVEPAAADPPKPKEVAMAGAAGIWARF >Dexi6A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:6A:22097302:22102170:1 gene:Dexi6A01G0014720 transcript:Dexi6A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAAAASAAKQVTRRNFAEALRELRAHLEACDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFLLRNSSPSTLVAYPYNFHLFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGISYLSRVQESFARQKIFAPGLRQLLPSPSTSVADSVFLSRIKSRITHWRKGYAEPSKKDDGSLVSSLSRLLLGGESYGSRPSMTIGVCSDRQVQLVLEAANQISDDLVPLVVPDKAGAARAVCVIFTSSKEDKNLLLVCISAYLEISSIMDIQKSEEEQNFKFRGFREVIDLLSSSQKPIISYDCLNDMTMMHSKFVAPLPPNMHEFMCSLKMVFSNVVDIGHLWRQIGPLRKANNIQVALSYLQRQYFVPMEVKLPQQDGTSTVTKNEQNVLRITKLFAKLSNLLKIGPQCQLQSGEQFAAVEEYCNIFYPSCMVEDSDVDFGNEPDTVKTVSTDNIVFLWGFRGKSVNELKSYLPGLHQVFLEDFEVKLLDKTCSALVYRNSDTAMQLLKEISSESPSLHTFFEEGLNAADFEVYRKICRLGLWGSDLAEALEGVSSEVAASTLSDCNSSQIYWNSSLMLDLKEYLG >Dexi5B01G0037460.1:cds pep primary_assembly:Fonio_CM05836:5B:36954131:36955768:-1 gene:Dexi5B01G0037460 transcript:Dexi5B01G0037460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEVYKGLLQDGTLVAVKRRHSPPSQEFVQEVNYLSSLQHRNLVKLMGYCQDNGMQMLVYEYIPNGSVSTHLHGNSDAPGVRLEFKHRLSIAHGTAKGLSHLHSLSPPAIHMNFKTSNVLVDEDFIPKVADTGIPGLLDRLGVTGLSSRTPNDPFVDPRMKESMNLNFSIQSDVYSFGVFLVELVSGRRVESDQSIIQWVQNFQESSDISAIPDNKMSSDFTAESMKELLRLTSWCVNPMSEQRPSMSLVEAEIHRIREQEISLTTVMTERIPTVTLGSQLFRT >Dexi8B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:8B:9001072:9002334:1 gene:Dexi8B01G0007460 transcript:Dexi8B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVALVTLVISFLATAPPSYSESHGFRASMSRREPTINFTRASHNSYERLLMLAARHDAATGVSHGVRAQAPLRLDASGAAYDMSFSIGTPPQKLTPTITPWTFTLGGDAVSGIGFGCTTMSEGGYGTAGSGLVGLGRGPISLVSQLNAGAFSYCLTTKFSKSSPLLFGAFAGLSGAGVQSMPLLPSSIFYVVNVKKITIGSKTTAGTGTAGVVFDSGTTVTFLAEPAYTAALKAVRRETKLPKTAGIAGFDACFRKKRGGGFGDVGDSWKTAIPSMVLHFDGADMFLPLEN >Dexi9A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:9A:1277769:1281081:-1 gene:Dexi9A01G0002410 transcript:Dexi9A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIAASAVAFIGVGFAILLISVLAVVILWFYGSFWTTTSVIIFGDRSPGDPSTSGSEKELTSEDEVARLLNCTDHYSALGFRRYENIDVSSLKREYKKKECKDFHQAKDGDGWVEQSFQPVLFGMLRKPDLPHAYVCAESYIFDVTEWFNCQGMRCPANTHKPSFHVNASIAKQSNGKGSTSAQRGGKVPNGTNMDGGLNEEEFFEWFQNTVNSGMFGAQGDPTSPGSGSNAKGCSSSSSKKKKKGKKQW >Dexi9B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:9B:7304227:7305529:-1 gene:Dexi9B01G0011470 transcript:Dexi9B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPALCGGGGGSRAAARVRRKRVQRVATSKLAVAAAPASGGEAASKSSTAGGRAGGGGGGGCYVNGDGALMVEVGGGGAGRKKKDGGGRRVMVLADGRAEAAGALQWALSQAVRSNDTVVLLTVVKPVAAHDAVSDSCVKMLGTKTQRQLDALKALCESARPEVKVETCAVEAEERGAAVVEAARRHGASLLVLGQRRRRAVARWLQALWRRRRLAGGGGMVEYCIENAPCVALAVRRRSSGGYLVSSKRHKDFWLLA >Dexi1B01G0023040.1:cds pep primary_assembly:Fonio_CM05836:1B:28707502:28707980:-1 gene:Dexi1B01G0023040 transcript:Dexi1B01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTLRSSLWEPAAVEEAEGVARGVEEVPDVVERPLLGAEDEDSAALGLLRQSRRVPQRQLRRVQRPQDLTVQRQHHRAAPRQILRRRPDQPAATAALRHPSAAVLTSPHHRPEQEGFV >DexiUA01G0013350.1:cds pep primary_assembly:Fonio_CM05836:UA:27792512:27792835:1 gene:DexiUA01G0013350 transcript:DexiUA01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKSRKSGGAGSRSPVRRWAGGADEEAEKVPRGHVPMLAGGGEDGGERVLVPVRLLSDPCIAELLDMAAQRYGYCQPGVLRVPCDAGQFRRVVDGAMQRCGIIASA >Dexi2B01G0026810.1:cds pep primary_assembly:Fonio_CM05836:2B:35902059:35903128:1 gene:Dexi2B01G0026810 transcript:Dexi2B01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding SILICLAVKEAVQTEKAPAALGPYSQAIKANNLVFVSGVLGLNPETGKFVSENVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEVYGKYIYVLAADFPAPAPARSTYQVAALPLNARIEIECIAAL >Dexi3A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:3A:10107827:10109523:-1 gene:Dexi3A01G0013830 transcript:Dexi3A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLASSRPEPSLSDMATSAAHGEDSPYFAGWRAYDEDPYDPVTNPNGVIQMGLAENQVSFDLLEAYLREHPEVSDCGVGFRENALFQDYHGLKSFRMAMASFMETIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLVPTPYYPGDLRWRTGVNIVPVPCGSDTGFQVTGAALQAAYDDAVSSGIRVRAVVLTNPSNPLGTTIPRHVLEDILDLVARNDLHLISDEIYSGSVFDAPDLVSVAELVDERADADVAASRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVAAARRMSSFTLVSSQTQRALAAMLSDAGFAAAYVAANRARLRERRDHVVAGLAGRAGLACLRGGGNAGLFVWVDMRPLLEEATVEGELRLWRKVVEEAKLNISPGSSCHCSEPGWFRVCFANMSVETLDVALQRLGCFTQKWNNKCIQN >Dexi2B01G0026330.1:cds pep primary_assembly:Fonio_CM05836:2B:35435379:35439910:-1 gene:Dexi2B01G0026330 transcript:Dexi2B01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDAGTAAAAIDEAYEFSAPRFFDFINEETQEAIRAAESWFEAALSYAPSPFHPRIKESRAVAKVAVLCDFTEAEEPALKEQEEPVEGVARGVAIGAADAVDGSMQEKKDPSFGSVSRGDSGGTFASAGSQLEESAALAEAMSESPPADEMSESPPAQEEKDESPKSFEFLPSRGPSEKSDGGSASTPKIQRPPPPTNIKAAPAISTCSTSTVKTVACTPKTQALCKAGPIAGSMSVKKSVVKGGFDLVTGKSASAGAAEIVQENQAVKKQRLDDGRARQILNVKTRVLPHKGRADLAGSSEMRRTYEDVHPVKEVTPYVSAAELVKKFESGTRKMSLTNRSLSHEDSDLQGRPKLMLTRPKEPELQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPKFPPLPRKPPQVPEFNEFHLKTMERATRYMDTCSEISSADTVRSQSKPLKSTQPKPPQLHTAMRARPPSVKSSQELELEELEKAPKFRAKPLNKKILESKGDVGVFAHPKPQVTAPKEFRFSTDVRLGPPSVADLFDKLSLHSDCSSTSNRQDVPRFTKPNPFNLHTEERGQLKERQLEAQLLQKKMEEEKARVHKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHELEQQRLMEERERLEREEAQRRIVKAQPILKEDPIPLPEKERKPLTEVQQFALHVDERAVQRSEFDNMVKEKEKTYKRLREENEFAQKIEEEKALKQLRRSMVPHARPLPKFDRPFRPQKSTKQVTRPKSPQLQVDERGARRHFIR >Dexi2B01G0033320.1:cds pep primary_assembly:Fonio_CM05836:2B:40944397:40945493:-1 gene:Dexi2B01G0033320 transcript:Dexi2B01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSDDAGKNLMVGYYKNICGPYVDVEAIIRKHVSSFDDGMKAGLIRLFFHDCFVRGCDASILLDPTGDNPQPEKLGIPNFPSMRGYEVIDAAKAELEATCPGKVSCADIVAFAARDATFFLSGGGISFDMPAGRYDGNVSLAGETLPNLPPPFAGLQQLVKMFADKGLDSFDMVTLSGAHSVGRSHCSSFSWDRLPPSGINSDMDPAFAGELVANCSSAANAGGDNTVVQDDKTPDVLDNEYYQDVLDHRVLFTSDAALVTSNDMASYLVRVYAIFPWLWQQKFAEAMVKMGRIEVKTAANGEIRKTCRVVNSRQ >Dexi1A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:1A:24099279:24099674:1 gene:Dexi1A01G0016820 transcript:Dexi1A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGKKRFGGGGEPAAKRQATGDEGPSESAEDGTVVAEISKNKRVSVRSWKGKVYVDMREFYVKDGKTLPTRKVPGI >Dexi3A01G0028010.1:cds pep primary_assembly:Fonio_CM05836:3A:28177869:28180764:1 gene:Dexi3A01G0028010 transcript:Dexi3A01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSFAVAPVLLAVLVASAWRAAAAAAAESSSAADLIDRLPGQPVVDFPMYSGYITVDELAGRALFYWLQEVPPEAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNEYRWNKAANILFLDSPAGVGFSYTNTTSDLYTAGDNKTAHDSYAFLVKWFERFPQYKYRDFYITGESYAGHYVPELSQLVYRNNIGIKKPIINFKGFMVGNAVTNDHTDYKGMFESWWNHGLISDDTYQQLKTTCLNNSLMHPSTACNNSQDVAAVEQGNIDMYSIYTPLCNQTSSSASGKGRPIRRRHRWTMGSYDPCTESHSLVYYNRPEVQRALHANVTGINYPWVTCSDPIYDYWGDSPITMLPIYKELIAAGLRVWVLSGDTDAVIPLTSTRYSIDALGLPTTTSWYPWYENNQVVGGWSQVYKGLTLVTVRGAGHEVPLHRPRQALILFRHFLQGKAMPQNGTVS >Dexi5A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:5A:3791530:3792090:1 gene:Dexi5A01G0004980 transcript:Dexi5A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPWPIRVRERVIEAEALCDESRGMLRAAVERLASPMPQDDDGRARAGLIGVELLAANIGLASAAASLAAAEVLALRGAAADPTDPLPSVADIPDAHEIERRALGMLRRAMVYAEAAYDVVGWCCDRLLTAYNLLDHPDLPGVDCFVDAEREAAHVCLDAAENIAGVSAAYAYTALCVLLPD >DexiUA01G0008800.1:cds pep primary_assembly:Fonio_CM05836:UA:16684292:16685584:-1 gene:DexiUA01G0008800 transcript:DexiUA01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGHISIIVLVLLFVESRANQGAPPRSQLDVNHKKPLQTFRPYNIAHRGSNGELPEETEAAYLRAIEEGADFIETDILSSKDGHLICFHDVTLDATTDVANWTEFADRKRTYEGSTRLLLLRSLS >Dexi6B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:6B:3276470:3276947:1 gene:Dexi6B01G0004030 transcript:Dexi6B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHIYDQWRRVRQSFFSRPSARGATHPCSRHGAQPPRSRARTATGHVIGNLSGLIHLDLSNNTITGDIGGKLPGDIGHDLGMNLSTLNLYYNNFNGSIPSSLSRLGNLRYLGLGSNNLTGAIPPELGKLTNYEP >Dexi6B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:6B:25501096:25502337:-1 gene:Dexi6B01G0018560 transcript:Dexi6B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTILSKRWLDLWHSVPAIDLDITDFLETGSRYDVNWGKMKDFTTNLLMEHSAQFLDAIHLRLGIFDSRDHLAPDVDGWALLDKELDFTTFDNLRTLSLAR >Dexi6A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:6A:25793173:25794292:-1 gene:Dexi6A01G0017980 transcript:Dexi6A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAPRPPWSRLEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRADRLHSLCDEINASAADAGPLAVAVELDVAAGGSVLEAAVQRAWDAFGRIDVLINNAGIRGAVHSPLDWPEDEWDKLIKTNLTGLWLVAKHVCRRMRDAKIKGSVINISSIAGLNRGHLPGSIGYASSKSAVHYATKLMALELGPHGIRVNAIAPGLFKSEITAPLLQKRWLNTVASKIVPLKEHGTTDPALTSLVRFLIHEASSYVTGNIFVVDSGVTIPGIPIFSSL >Dexi1A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:1A:28314660:28315951:1 gene:Dexi1A01G0021570 transcript:Dexi1A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLSHVVSDLCIGRPRVLTLPPSTPITAALAALRAGADPFVFVDAEPAASSYRAKRGPATVYAKVTVADILCYLCGDPGNLKDPSAALRRPVSAVAAAVGPHGVTHRVDPQTRLLDAIDVLLADDGCQGLLVPLHARARKRTSSDCCVLTRDDIVRHLFGSISHFSPIAALTVASLGLVHTHTHAVRVHDDGLADVIPLLRRAVSDCTAVAVVSVEDDELVGEICPGVLASCDAIESVSAAFAALSAGDIMTFIDCSLMSHTPPDFLVRAIRVRLKDSGLDAIAELVMDTVEDADAPLASSTSTSNSDDEDSPLGRGGRRRPRRMSSGSFGWRSTEDVVACHPGSSLVAVMAQALAHRVGYVWVVDETSGALVGVVRFADVLAVLREHLRP >Dexi2B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:2B:1632663:1633769:1 gene:Dexi2B01G0002070 transcript:Dexi2B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRALAFARRRRRWILWAGAAAGCFLLYRHPAVVARRRRLARLASALASLADAAAAVASDLAAFLRSDSDAVPQTLRQVAKLAASPEAAASASALSGALAAGVLRGYHATAPGSGAAPGSGSALSDRVLDRVLSPDGERLAAAVACSFGRHLVLAFYSAPSQPSTAGDSVESWVDALTTPRCQRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPAYSARLQELFVALCSASVETLVKTSHGVLSSASSTARNSNASANSRGDGGVGKAWVETVSSALAAPSNRKLVLDLTGRTTFEAVRSFLEFVLWKLHAGARAGGDAMIAAGLHALRHMSYRSMVIATICIALCLHILNGTWLLVPA >Dexi1B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:1B:17870413:17874466:1 gene:Dexi1B01G0012980 transcript:Dexi1B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLGGADLWRPVAAARGAGWATAVTLLVLLASHLVRRRLRRGGGVASLIARTEAAAVAPAPASASGFVLPLEGLVTEDDLRQLVGSLGVGAREPEREGWEHVISKGNDDVSYRAWCDKPTAGPPRYLSITTYERCSTEQLRDFYMDNEYRMQWDNTVTKHEQLQYDENSGVETTFNVAMIELVHSLTKLSFFAYQFPDDLEAAMDATLPASQTRAATVVPSTQTARTSPCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMALFLKKAFKQERDSGPSTSRGRTDVTRPRR >Dexi3A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:3A:13287878:13288078:1 gene:Dexi3A01G0017430 transcript:Dexi3A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEQERNLINLLEAGKPSPTKRSASTWDLAIEVQLMRLLAAGLLLPLGRSPFEAAATADALARST >Dexi3B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:3B:6314118:6314709:1 gene:Dexi3B01G0009170 transcript:Dexi3B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAASAAATAARWAEGYPWREKLAKYKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKVLF >Dexi9A01G0044740.1:cds pep primary_assembly:Fonio_CM05836:9A:48326712:48328001:-1 gene:Dexi9A01G0044740 transcript:Dexi9A01G0044740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSKRPASSHESTEAGDKLAFAEEEALTARTTEHDEAELDDVDDDDASRPSACGLGEKKRRLALEQVRALERCFETDNKLDPDRKARIARDLALQPRQVAVWFQNRRARWKTKALERDFAALRARHDALRADCDALRRDKDALAAEIRELRQKLSNKPETEVKLEAAAAAVANDATEERHQATTAGASATAAAVYKDGSSDSDSSVVFNDVEASPYSGHAAFEQPQLSGFVGFGAPFLDTTTSCSSLPMFETKWQQGPTYPYDSYKTGGGGYGFTEEWLASSDVIGNDGGAGFFSEDHASSLNFGWCASGAEVWQ >Dexi4A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:4A:24102187:24104490:1 gene:Dexi4A01G0020460 transcript:Dexi4A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERKGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSSSSSSTNQSTLQKSTSASNSKRVFLNGEDHRVYASPDGNAATSDKNIYAMIRGCSQQSSPYQLPSLHEVFRSWEQSKGRVPWNSNLLTIEKAIKPSHTPFNKKPEKQTGCDLTLSIGLWEDSSSDADGSSTISEELPAPVKEEESKPALNLDLTISSSWLA >Dexi9A01G0029690.1:cds pep primary_assembly:Fonio_CM05836:9A:34584909:34586759:1 gene:Dexi9A01G0029690 transcript:Dexi9A01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFYRKGPSGFSGASTAEEVTAGVDGSGLVAVITGASNGIGLETARVLALRGVHVVMPVRNVAAGFAVRESIVAKIPGARIGVLEMDLSSMASVRRFASEFESLNLPLNILMDSGTEGRIVNVTSSGHIMTYPEGICFDKIHDPSGSVEQGAATTCYVAMHPQVQGTSGKYFADCNVASPSLQASDPELAKKLWQFSLKTVSP >Dexi8B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:8B:19752881:19755046:-1 gene:Dexi8B01G0011100 transcript:Dexi8B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTSDGAAATTGVAAWNFAPNEALLGLTGLSVRGVLGKVKAGMVVEDDGRPVVPLGHGDPSEFPCFRTAPEAVDAVAAALRSGEHNSYSTSVGLEPARRSISQYLSRDLPYELSADDVYLTSGCAQAIEIVCSVLARHGANNILLPRPGYLFYEARAVFNGMEARYFDLVPDRGWEVDLDSLQAIADGNTVAMVIVNPGNPCGNVYTYEHLAKVADTARKLGIFVVADEVYAHLTFGEKKFVPMGVFGSVVPVLTLGSISKRWVVPGWRLGWIVTNDPNGVLHRTKVVDSIKSYLDISADPPTFVQVKLDLSCLQDIKDDMDFCCRLAKEELVVVLPGTV >Dexi1A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:1A:27117964:27121557:1 gene:Dexi1A01G0020240 transcript:Dexi1A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRWRSKRSKSDGPAFPSGRTISSWPGGPPYWQGGPASPNQSGETAASSDRTGGSASSASADLDREGGDERMDHWKKGGDGSPSPGKEAAFRPKRRLIMEKQRVSDRGVGDGRMQIPTPGVVTAIRPGVSCVWIPRRLMSEFNGNARAALKAYNEEEGNKLAALKGTEEESIKQNDDAILKKGCLDDADVLMEQSSLIASCSSSRSGDEQSCSQDCGKIAQDEDEGGHSGKKTGVDAIEEHKQEEGSASGHLTVDRKLAELSDENLKLEYPASKLYDNGFFEYYEESLEWYFDPERCTSARFDNYQRLVLHGYRGYLDWDFYSSILNTYEEDVAYVQYFEEVANKTKWIEDYLGDSKIEWERIRSLALMQALEIAAGFPNVSPLLVTYGFQEYINSIKRGCYSKGLDGLYFEIWKRVAKEKTSDDKARQLIREVIRGSVSKPLYYLDYARRKLDIADDIELIPKERRRVVAPQGKEDKGEAKVQMDD >Dexi1B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:1B:20069437:20071372:-1 gene:Dexi1B01G0013990 transcript:Dexi1B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGDKPGSGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRSLTDGL >Dexi2A01G0029860.1:cds pep primary_assembly:Fonio_CM05836:2A:40854656:40854904:1 gene:Dexi2A01G0029860 transcript:Dexi2A01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPIADDHQALASLPALTDELLEEIFLRLPTPGDVARASAACPSFRSIITGRSFLRRFRAIHPPPLLGFAASEGFHPA >Dexi7A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:7A:26927251:26927880:1 gene:Dexi7A01G0017160 transcript:Dexi7A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSEEPAPVKHVHITHQFWKRHQLLQKQQQQLLLHHQRLKPAVIWGWPASTVGGHAPVDVGDEDDDGLGGAWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRHGGATAMAGQAQLGGAATPATAEEAPPPHAAAGTTKYAVLYPILNSNAGGAVLIPGGDVLLSAPMALAPAHERCHVSSDDDDDEEDKDVDLELRLWWP >Dexi3B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:3B:10666564:10679298:1 gene:Dexi3B01G0014840 transcript:Dexi3B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPQELNALLKKVSQYARSKSWDTRVAAAHAIGAIAENVKHTSLKDLCASVEAEKHASGLSDGGDDAGSSLPRSDTAATSDLAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKKNLRRRLGRNIQQLVSTMVPRYHKQPNFRSRRLSARELNMLKRKAKSNAKDHTKSVSEDDEGAFDITMDEDNPEYNENGRWPFQQFVDQLILDMFDPIWEVRHGTIMALREILMHQGACAGVYFPDLSSPSAILDGKTNFDSLKMVHGIDLNEDVPHEHLEPALKRHKKEPNPSKFSYLDYDTEMVNGDSSSKTEADLSNMPTVSTGELSSAHVKVEPELCVDDSTDPSKGDSSCKPLPEKLNSISNPSSHMHVPENSKFMKLMKLAKYSYMKNWKFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYVIHACKAGLEDPDDDVRAVAAEALIPVADSLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTAASGERGELDLNTSTQTAGQEDSLASCENPYGLATLTPRLWPFMRHSITSVRRSAIRTLEKLLEVGNTRNLAGTTPSKFWPTSILGDALQVVFQNLLLESNDEILQSSERAWKLLLQCPEKDLESAAKLYFSNWVQLATTPFGSVLDSTKMFLPVALPRGSRSRAVAKIRSARQEHENTRMVSFGSAGESTSHEKHFDVPSNVSKIIVGADSDKSVTHTRVLTSMALGLFASKLPVGSWQVVLSPLADDLMSLSGVQRQVASMVIVSWFKDVRSRDPVSVGTLLDFLSSVKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLLHSIDSCAAFKDYISGLNLNVDVLSVDDAINFASKLLLPSESDLPSESEKVFLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMPGLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVIEDQNLLSIGKRFSSHRSRGQATSGSEERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDGPNDDTSIAQLGRSYEDKDPQSLINNIQVVRSITPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLADDVMVLVIENVIPMLSDLSSVSARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSKGASLPGGLSERLSSSSEDVQFLEQLLDNSQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAELRTRNDYKDAASLIICPSTLVAHWEYEIEKYIDSSVMKPLQYVGSSQDRVALRSQFNMFNVIITSYDIVRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSSSNAKEEISTIVKANESEESAPQPKATRHVFQALQYLLKLCSHPLLVTGENPTDHLVDLLKEIGVGSGSELHELHHSPKLVALQEILQECGIGSEISSPDASSSAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPRGSSDEQSKDSKKKSGGKGLKSILNGLDELWDQSQYADEYDVNQFLAKLNG >Dexi3A01G0036170.1:cds pep primary_assembly:Fonio_CM05836:3A:41600161:41601102:-1 gene:Dexi3A01G0036170 transcript:Dexi3A01G0036170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATGPLSSLLPKLAKLLQDEYKLQKGTRKGIQFLYKELEIFNKMIRKFNKAMARREVAQEINDIEERAKEQAEQRDRYKVDDIASAKKISIDPRLKALYTEATEIVGIEVPKREVIMMLTEGNGGQKKRIVSIAGFGGLGKTTLAKAVHDEINRQFDCTAFVSVSCNPDTQKLLKDMLYQLDEKKFQDIHSKMLDEKLLIDKAIEFLVNKRYVPAISSS >Dexi9A01G0048320.1:cds pep primary_assembly:Fonio_CM05836:9A:51116600:51118226:1 gene:Dexi9A01G0048320 transcript:Dexi9A01G0048320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSTQLLPFPDARHHHALLPPPACAAPLPSTSCVRPLLSPLRSRQSSARTRLRVITPETSSTVATDASTAGAQSAGSADNTNKWAAFAARVSGEWDGFGADFTAAGDAVELPENVVPEAFREWGVQVLDWQTQCPTLADPTAPCALHYRLVRLLPTVGCEADAATVHTSHRRHASSASAFAYAAGGSYVAAWPRGPAPVLEVEHCVVRPDTAEVRVRVVQTVALGKEPRLRGIKVFSEQWYGPFRNGEQLGGCALRETAFAAGEKLDVSEVLGQWETTDVVAARFSDELDPETGKFAELSPDEPSKLLRDADGVVALPKKLWSAFKEHGYCEFLCEVGWALGGGSAVTSRCVLSKDGDVKASEIVAAYESRVSEGT >Dexi2B01G0027390.1:cds pep primary_assembly:Fonio_CM05836:2B:36342181:36350322:-1 gene:Dexi2B01G0027390 transcript:Dexi2B01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRVRVGTLVSFKDSAGSSNGSVSTIPIFEGTNVVGRNHLVVPDKRISRKHLSLKTSADGSIEITVEGPNPIVVRSEGQRRKVCAQEKTKITHDDVLELIPGDYLMKYIDTTDEYKSSTPSGSCGVKGKRQSEEDSAAVKRNRQIMEDEALARILQESIAEESTVVSDIASAQTSSLLDSAGSSKRSNGRMHSVGPSNDVPSLTFRLMRVQGLQPWANSSSVTVQDVIQGDVLLAVLSDYMVDIDWLLTDATLPSLSKFEKSSTCPSNTWRRWCFIGAFEAMRIILITMQWPEFRVNLPVVGDVNINATFFRRFDYSTSMVRLIGSVPGYHVGPNVKKWGHMKLRSVLEECMFEKQFCQSPLIYQVHFGYAAGSCIPSPQKNVEKNFLRKYWTRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLKSIPQFSCTEKNLSSLDSLTLGKTIKTKLVTLCWKDEEEEKEPSTKIVRLPVPYQLPPQPYGAQDVPWSWDRRYTKKDVYGSVWPRHG >Dexi3A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:3A:9621435:9623006:-1 gene:Dexi3A01G0013230 transcript:Dexi3A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVFGNEEGETVVLAHGYGGTRFIWEDVVPALVARFRVVVFDWSFSGAGKDDGSEIKYCCSYRGLADELVALMDELELRRATFVGHSMAGMIGCIASVARPDLFTHLVLVGASPRYINEDGYEGGFEPGDVDAMLAAAGADFAAWAPRFAEAVVGPGHPSAVARFAKQLGAMRPDAALRVLRAVLTSDARAVLPDVSARCTIVHCAHDAVAPLAVARYMERAMAGCGGGEGADTVVIESSGHFPQLTAPKEFVRVLEGILLDN >Dexi5A01G0029610.1:cds pep primary_assembly:Fonio_CM05836:5A:32651192:32651524:-1 gene:Dexi5A01G0029610 transcript:Dexi5A01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKLQRAAVWADGDEWEEEELAGYEGKAAAAAAEAKVEVKIRVTRRQLQELLEKAGGQGKAKQQAEKVLAELMTSGRVCYKQQHEEMRGHWRPALYSIPESSAAEES >Dexi9B01G0035850.1:cds pep primary_assembly:Fonio_CM05836:9B:37444351:37448639:1 gene:Dexi9B01G0035850 transcript:Dexi9B01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHGSSRHMSASQKELGDEDARVVRVGDADRTNERLDFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLAIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENGRLAAVLSPSADEGAGQFLPTKWKDVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPERLAGAVVRCERPNRNIYGFQANLELEGESRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGAPKKRSHLETLMNRETLFLSAILVVLCTIVATLSGVWLRTHEGELDLAQFFHKKDYLKRDKDNDYENYNYYGIAAQIVFIFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTKLFDESSNTRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASIDGVDYSDIARQQPAEGNLVWAPKISVNTDRELVKLIRDGGDTEQGRQTREFFLALATCNTIVPMVTDGPDPRKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGVIDKTLNSDVVQATEKHLHSYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGNLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTGDMTQIVINSRSRDSCKKSLDDAIAMVNKHQSFSTDPQLRVPLALVIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGPGQREENYNLRLFIFIMMDSVWQSVACFFIPYLAYRKSVIDGSSLGDLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMILDSIPSLPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAVKAFSEYFTPSDIQIAREMEKSQDTHDATHPEVQMSSVCRA >Dexi9B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:9B:3584059:3584481:1 gene:Dexi9B01G0006030 transcript:Dexi9B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNDRPLLVVHDDKEGHLVYDLLLLLLDGEAENDAAAALACFPRPVAHFAASLGLRALAVSGGAVVGAFYDFWGDTLIHDTVMDNPGCGGLVRWPNKHSFTASRDMGVGPTNAPAMIPMADGTVIRLDTTLFDDHYAF >Dexi1B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:1B:24354769:24355129:-1 gene:Dexi1B01G0018030 transcript:Dexi1B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGLVSLVALIFLLSFRSLLHQQVLVGKYIYTLAIVTFVSCVIHRSVRLNQQQRRQHAEEWAEERKRMRWFMTRDYAHARRHTPRNNRLDP >Dexi4A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:4A:10808115:10810130:1 gene:Dexi4A01G0012250 transcript:Dexi4A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKKQKWLLCQLFYFSIINFAPSIVSEDQFVYSSFAQANLSLDGTATIKPDGLLELTNGSFNLKGHAFYPNPLHFRKSPSGNVKSFSVTFIFSILSAYADKSADGMAFFITKGRNFSSAFPAQYLGLFNEENNGNASKNIFAVELDTIQNSEFEDINDNHVGININSLHSLQAQSAGFYDDNNGMFRNLTLIIHEMMQVWVGYDEGTAQIDVTLAPIKMAKPVKPLVSAIYNLSAVLSDTAYIGFSAATGVINSRYCLFGWSFSMGNSTPEIDITKLPKLPHINPRSTSKVLMIVLPLVIASFVFVVGTVIILLARKKLVHNELHEDWEIEFGPHRFAYNDLLLATEGFKNKNVLGAGGFGRVYKGILPTSKLIIAVKRLSHESKQGTKEFITEIVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLYCEQDKPSLDWATRFRIIKGVACGLLYLHEKWDKVVVHRDIKASNVLLDGELNGRLGDFGLAKSYNHGADPQTTRVVGTVGYLAPELACSGKASPATDVFAFGMFLLEVTCGQRPVKQNAQSEQFMLVDWVLGHWQNGSLFETIDKRLDGNCNIDEACLVLKLGLLCSQPFASVRPQMHQVMQYLNGDMQLPEFTPSDMSISMLTLMENRGFNPSSISYQRMMSVGTMSSLSGGR >Dexi7A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:7A:21903373:21904142:-1 gene:Dexi7A01G0011390 transcript:Dexi7A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQEQPDWLNLPSDLLGLIAQRSRDAVTGLAAFRSVCRTWRAAVGPAPRLLLPRAGSASDPTLVFPLARGWSVVVDARDASCHLSHLATGATAALPKLIAVRDRDGSGITHIEYEHRPDEYTAIHSWAFYRSYLSFTDALRFAVHAPPDDSPAAAAASMTILMYHFMHERTGMLFCRPGDAAWTKVEKPNRFGFGYFDFVYHDGRMFGMDTNGKMAVYDAATLDVLHLVGAPPVPC >Dexi7A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:7A:29605304:29605753:-1 gene:Dexi7A01G0020900 transcript:Dexi7A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRSSIPRRSCAPCPCTPKSTPSREKEEAAKTTSTAAAALITMEENELIFWNRPSSSSNRPSSTSTFFYLESTFAGDLLLRHHLLCVLTRAAPAGLAAVETRQLSLAQALLRCSRRALLQQQLAGGSRAARGGPACAWMRPAGGKS >Dexi3A01G0022490.1:cds pep primary_assembly:Fonio_CM05836:3A:18126154:18127288:1 gene:Dexi3A01G0022490 transcript:Dexi3A01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQTPPGFRFYPTEEELLCFYLRNKLDGVRRGDIERVIPVADVCALDPWQLLGTSSTHDFACSHRGAFSGDGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGLVYAADGRPIGTKKTMVFYRGRAPGGAKTNWKLNEYKALEDEDTPAAAAHGAAPAPSLQLPLQTRSEFSLCRLYTRSGCPRQFDRRPRAAAAAAAGGGSENPAAAAAASLANGEEEADRKRKRARAASSEGTSSSDGDGDGNGSAQQQWPRQRATATDEEMCDDMTDWSEFAFLDWF >Dexi3A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:3A:13543935:13547053:-1 gene:Dexi3A01G0017750 transcript:Dexi3A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPSSSMRAAALARSPAASFLLAAAATAAVVGGLYFWVVVSSFRLPDSGAAGCRADGEGSWSVGMFYGSSPFELRSIELEGRSNGNSSAWPVANPVLTCATPTEAGYPSNFVADPFLYVEGDTLFLFFETKTISSMQGDIGVARSFDKGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLVNKPLIDASLVQFEGYWWLFASDFTRYGVEKNAELEIWYSNSPLGPWTEHKQNPIYKSDKSLGARNGGRLFVFEGSLYRPGQDCSGTYGRRVKLYRVEKLTKEEYKEVPVKIGIEEPKKGRNAWNGMRYHHMDAQQLASGEWIAVMDGDRVPSGDSTRRSLFGYLGLFLAVSLAVFVGFVKGAISCYIPPSFRAPLTRRTELSRIFPGHRFNQKVRRYSTNIGRYISAIKTRLNEKTWSNMMFFWVVALIGIVNVCIAVHFLCGGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNPPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVFELDDDIMMTCTDLEKGFRAWREHPERMVGFYPRMIDGNPLQYRNERYARGKGGYNLILTGAAFMDSEFAFKKYWSEEAREGRDYVHKNFNCEDLLMNFLYANASTSRTVEYIHPAWAIDTSKLSSVAISRNTQKHYDIRTKCLAKFSSIYGPLPQKWEFGMREDSWDK >Dexi9A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:9A:10020122:10024006:1 gene:Dexi9A01G0015060 transcript:Dexi9A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVSDGGENHNGSDIGGSRVPSHCDMDSTSYRVSFPPKKSLFKEFSGAVKDLFFAGDDPLRQYKEQPSWSKRAWLSLKHLFPVLEWGRHYTLGKFKGDFIAGLTIASLCIPQDIGYSKLAHLPPEIGLYGSFVPPLIYPLLGTSRDLAMGPMAVVSLLLGSLLQNEIDPKKNPLDYKRLAFTATFFAGITQAALGFFRLGFIIEYLSHAAIIGFMGGAAIIIALQQLKGFLGIKDFTNNTDIISVMKSIFKSAHHGVSLHNMELADNIDRGIISGISSGHKTHWKEKEEALLDVRNRTAHFSDCINLLCVKNIEKGINPPSASLIYFSGPFMLKGFKIGVEAGLIALTEAIAVGRTFAGMKDYKLDGNKEMMALGTMNVVGSLTSCYVTTGGFARSAVNSMAGCKTAASNIVMSTVVLLTLLFLTPLFKYTPNAILSSIIISAVLGLIDYEAAYHIWKVDKLDFLVAISIAKILLQATRPKTALLGNLPRTTIYRNIEQYPYVTTVPGMVIVQVDSAIYFTSSNYVKERILRWLEEEEERQRQQNFPQIEFLIVELSSVADIDTSGIHALEELFRALEKRKIQLILANPGPRVIQKLQSAKFIELIGEDKISLTVGDAVKKFAPKAVDDV >Dexi8A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:8A:1254610:1260229:1 gene:Dexi8A01G0001850 transcript:Dexi8A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAAHTAALAVLWSLWKARNAKVFDALVHDTRDIAHMLREHIDLWACRSAALPDRVLACQKLHFYFGSGCLRCSEVSDAWRAVVKQYCGERSESSHATTHQNIPRKLLRGPTENSSRNDYDPCLCLLVFPVESVSSYEVSMSSEKVPPTPHRIPPSPSRFAPSPQVARVGSVNLSIQQILRATQNFSPSFKLGEGGFGMVYRAVLTDGTIVAVKRAKKDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNEGNMREILDPLLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKV >Dexi5A01G0029890.1:cds pep primary_assembly:Fonio_CM05836:5A:32943759:32946238:-1 gene:Dexi5A01G0029890 transcript:Dexi5A01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMVPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSTNDPYHMNERLIAADSLQKAVMLLALAAWAFWAHFRRRRGKASAAAASPIKWVVTNFSVASLPNTIIMGVPLLDGMYGSVSGGLMKQIVVMQFCIWYNVVIFLYEFMAARDSTSKIRPVLPGAEGSGDRIGESGDGSIHDERCQQQVVVNIEITEMAAAPDSAAKEPEAAAAAAEKELSTDGIPAVAQAEQVPSVKHIVWMATKKLLQIPNTYASFLGLIWSLMAFKCAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >DexiUA01G0024670.1:cds pep primary_assembly:Fonio_CM05836:UA:51213818:51214972:-1 gene:DexiUA01G0024670 transcript:DexiUA01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASASSSSSSFTTAQETEDLRLQFDDDHRQRAPLLLPAKIMDAADEKGERPDLSDDTAHQISVGQVVL >Dexi2A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:2A:9536197:9540528:-1 gene:Dexi2A01G0009290 transcript:Dexi2A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASIPVEHITTGDAISGWFPISGQYSNPMKASPELHLSIQYKQIDMNPLYKDGVGADGSQSVGVPNAYFPLRKGVLTRFKKSFFQQSQVNKLGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKIDRMSWIVSPSTDELNAHVCDEKDPENWHVQVFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHFVYIENQYFIGSSYYWSAHRSAGAENLIPIELAIKIARKIKAKERFAAYIVIPMWPEGNPTTAAMQEILYWQGHTMSMMYKIVADALRKEGLHERHPQEYLNFYCLGKREVLSDVSTMNNCNDNSTLVYGYRMSLWAEHLGTVEECFRRPESEECVQWVNQVADDNWASYVSPQMVDMRGHLLRYPVRVEQDGRVGPLPGQEIFPDVGGKVMGTHSSLPNALTT >Dexi9A01G0038000.1:cds pep primary_assembly:Fonio_CM05836:9A:42254652:42255457:1 gene:Dexi9A01G0038000 transcript:Dexi9A01G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSTGVEPACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRTAVESLKPKLKRTPHHQLPPRKPTCASS >Dexi5A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:5A:3456320:3456736:-1 gene:Dexi5A01G0004570 transcript:Dexi5A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPTNRIHKDECCISFDTPRSEGGLYVDMNSFLGFGRDHVAWNFEKTGNPVYLHIVQRRKPEPDEADRPLKKPTLLAIGCSA >Dexi9B01G0034340.1:cds pep primary_assembly:Fonio_CM05836:9B:36311467:36319261:-1 gene:Dexi9B01G0034340 transcript:Dexi9B01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRAPPRGGGANGGGGLSYSTLFNLEPLMNFRVPLPEDLPRYGNNSPNGSATSEGQASLLDQYNGINDASHGLHRKRKRHLDGASDDDEADAYSNKITEEHYRTMLSEHVRKYRRSKFKEVALGSDPPCVLNPQIQDKNGGKKTMKHRSDIRNVATLYEVGASHESSGIECIRTHGGFNKFVASLDSTYIDMGDNIRYLVPEGYDKLASSLNLPVSSDIRVDEHFLEGVLDLRTLASMLGTDQKFEASNRGGLSEPLPQFESLQERVKVQKFSLQVTEDPFAIPEGAAGRIRRSIISEAGILQVHYVKVLEKGDTYEIIERSLPKKQIIKKEPSVIVKEESEKTYKLWQALATKSIPKHHRNFNALLRKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLLFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGVSAPPDEEDVPDEDEEGDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEVVRLRQTSDSGLPTDDSSSIDPSKIDLLHPSTMPEKSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERMILRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNPLLSPPFGELQDVHYAGKRNPITFEINISCADRNFAYKFTDEMHDPWAKKLFLGFARTSEFNGPRQPVGLHPLIQELHTDLPILEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVVET >Dexi3A01G0035320.1:cds pep primary_assembly:Fonio_CM05836:3A:40647746:40648852:1 gene:Dexi3A01G0035320 transcript:Dexi3A01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDPIEAAAPMEPPPPPQPKRRRITATATRQPTSILDVPDDLLRLILLRLDSPRWLIRAACACRQFRRAVVSGGRAFLRLAASLHPPAIAGHYHFRGGWFGIAFVPSPPPSPPPPPPIYIGRFSLDFLPRALVTTTNWEVVDCHGGLVLLRSCSFENMADLIVCDPLTRRYQGIHHPPNQPGDRCVGTSLLLDGDDGDISVSNFKVLYQYKVLYQRGVYQRTHTCVFNTAADVGDGWSSLKHPVDEDDYYYMGHVAGRIGGSIYLSLTTGNVKVLDSATLKVSKVDMPICLNTSKIPSGTSRFTVVHGASPDPSSPPSTWIIHVCGEALEFFRLVHGGGDWVLETPSLICLRRRAGCQAARLRSSSS >Dexi3B01G0025080.1:cds pep primary_assembly:Fonio_CM05836:3B:19877799:19878108:1 gene:Dexi3B01G0025080 transcript:Dexi3B01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSTTRNVPPSVAQRPLHVTDADEEDESVKQLNECATIYLSLQDCLVESNRNWKACQARKHSNF >Dexi2A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:2A:9092842:9095211:1 gene:Dexi2A01G0009080 transcript:Dexi2A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRCLLPLRRFRLAVPALSSSDPSAMSPVLRPAPPSTSAPFRFHATAAAGSLRFQRRRFSSSAAAAGAREAGFPPRESAELLGIPGVGPRNLRKLVDGGFADLARLKQLYTDKEVEGKNHTMWDWDLGSCTGRVEIGNEGQPVGGSAEKMVQFLQVSVGIIHKSHAESITSFVKDNVVRELKEDSQVPSMPVAKDKRITFCVEGNISVGKSTFLQKIANETVELRDLVEIVPEPVSKWQDVGPDHFNILGAFYAEPQRYAYTFQNYVFVTRLMQEKESCGGIKPLRLVERSIFSDRMVFVRAVHEANWLNGMELSIYDSWFDPVLSSLPGLIPDGFIYLRATPDTCHKRMMLRSRSEEGCVTLQYLQDLHEKHECWLLPSQHEDQRLLSASQLPHCMDHSLHPDIKDRVFYLEGSHMHSSIQKVPALVLDCEPNIDFSRDVDAKRK >Dexi6A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:6A:1964185:1964976:1 gene:Dexi6A01G0002100 transcript:Dexi6A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADSKPPRAAALPARPLLLALPFLSLLLLYVYSTSPTSTSISTTTATAVPLTPSPPSPHIRMRRSRFSSYDDYLKHQLNKTLDPRLRRVWATRDWRRKVTAFAAAFSSLQREGLLSNTSRALCVGARLGQEVAALRQVGVSDAVGIDLAPAPPLVVKGDFHAQPFADDTFDFEFSNVFDHALYPDRFAGEIERTLRPGGVAVIHVAVHRRGDKYSANDLLDVQGLVGLFRRCDVVRVSKVDAFGLDTEVVLRKKRSAAAHP >Dexi9B01G0046260.1:cds pep primary_assembly:Fonio_CM05836:9B:45540012:45551848:-1 gene:Dexi9B01G0046260 transcript:Dexi9B01G0046260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATREDPVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLTSTSSAAADPTVSGSSSAAAAPPRPEPAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEKYTLEQFEAKSRAFSKIHLAGLREPTPLEVESLFWKASSDRPIYIEYANDVPGSGFATPPQSRRRKKRGREGDQVEEGEKGSGWRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNYLHTGAPKTWYAVPGDRASELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPDVLVACGVPCCRLVQYPGEFVVTFPRAYHIGFSHAPEKKSEDGCRIESSPFVHKDDSSSDGNVLMIGTETKCMPVNTKPSDAAFASVEKSDAHTDDEDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSKEALEGISPVQWERYKLSSEQESFSNVLPCFPAGDNVVFRTFADYINLKALAISIAEEIEFQFDCTDIPLANASKSDLHLINISIDDEGHEEDGRDWTSQMGLNLKYCAKLRKETSGIQEQYPLSVWGLFSNPSPVSVVSNLKWLCRKARTPYKVIGIINSSSATANAGEEKLEAKEEIGTTGNVCEDDSRQQTFQQNGLLRPSGLHDSDDRGNTPSCSEENGHGKLRLVDIPISVAEYPMKHQVCEGPVNVSTCNATVCSSDSHDSSPLATPVDATRYQGCAQSNDLSISSTSSVQQFLNDENTSMEGSMNCISNREYLESQYATLQCRDECLQVQQDQVEMELCNNRSSTSVDPCFKEKLDISEEKNGGTVSVALGNEEGCAKTSNFSDAVIKPNESAIVNQLETCDVGAVPVKQKSSCDVPGPTLPPSVPHDLVSSELQVNDRHCSVVKAVERKNNNSAKQGSPHIDSLIFEDTEAASTSAIPGHDGKSVHTGSNSFDILLGALAEESKITDAHGKDEVGNASLTLMTLASNDHSTDEVTEGKVVEVVKSGTIFRATKDCQQVEQPHDFCLADIVSRSIGQSNRKDIICYVRRKHKRKRDSQSNTDSSQSLGSFVRSPCESLRPRTKPAVVETVEVSAGKKGKRAKVGSFQCDIDLCDMTCTKSSPELDADELLLHAAIFPKQGASPSSSSFSIAPLLKLKVKNKQTSLLLALHQPATLVGVVHILDSRPPRSIYCDSSLAAAGLTNGPRLGSPNMVIPWRVLRAVYSPAARALLLMLALAHCIHSSAFSRLQPGPSPVHRFAKTGCQTATSPEQTVVGSVMRVGAKPDENPSNTQGFAVSLEARRGPRYRWGSDGERGDEDAASRVMPSLEASRWRPCVAAAEAAWRLHEDAASWTWTRNSFPPQSSSSVAMD >Dexi4B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:4B:21718320:21724888:-1 gene:Dexi4B01G0019560 transcript:Dexi4B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGRPAAAGGRADEMEDVALLDSYDEEMGLPPPGGPAAEEEQGAAAEAHVRVTGMTCSACTSAVEAAVSARPGVRRVAVSLLQNRAHVVFDPALAKVEDIIEAIEDAGFEAEIIPDSAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLNGLHTERDVEVLHDILKKMDGLRQFDVNTVLSEVEIVFDPEAVGLRSIVDTIEMGSNGRLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSVASIFVPIVITLSIVTFSVWFMCGWLGAYPNSWVAENGNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLAASAEASSEHPLAKAVLDYAFHFHFFGKIPSSKDGIEQRKDEVLSQWLLEAEDFSAVPGKGVQCSINGKHVLVGNRTLISENGVTVPPEAESFLVDLESNAKTGILLAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >Dexi4A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:4A:2885774:2886061:-1 gene:Dexi4A01G0004050 transcript:Dexi4A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHVRFSTAFETCCGSGGGKFNYQNSARCGMSGASACSNPAAHLSWDGIHLTEAAYKQITDGWLNGAYCHPAILHS >Dexi3A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:3A:4391414:4393531:1 gene:Dexi3A01G0006650 transcript:Dexi3A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMSTEKEQAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVVAMLLSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGPRLGLWIVVPQQLIVEVGVCIVYMVTGGKSFEKCFTLACPDCDPLRTSSWIMIFAAIHLLLSQLPNFNSITLVSLAAAIMSLSYSTIAWAASAHKGKQADVDYSKTASTTTGQTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPDRPSKKPMWQGVILAYIVVAICYLPVAFIGYYVFGNAVDDNILITLEKPRWLIAMANIFVVVHVIGSYQIYAMPVFDMLETFLVKKLRFRPGLPLRLIARSLYVVFTALVGIAVPFFGGLLGFFGGFAFAPTTYYLPCILWLKIMKPKTFSLSWFTNWFCIIVGVLLTVFAPIGGLRSIIVNASTYKFFS >Dexi1B01G0027650.1:cds pep primary_assembly:Fonio_CM05836:1B:32320713:32323311:-1 gene:Dexi1B01G0027650 transcript:Dexi1B01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLTTRPRLSPELDCQQHAMALDTYYSYDCCVLPPLDSWDWDWNELHTLGAAGAGAGSAAVHQEPNCFFPATPGVESPGSSEASSGYLQDAVAHWSGRCSNKRQRMVATTPSPPPRPATVVSEDLHCLVESFWDSSADGGDDDAGNLRHDLNATIPEEAEIRCSFVSGEDDGAGASWQRPRGPSAPAAPCGGEEEEAGAGADAAAPSPPRPRFLAAPLQQQLQKATAVADHAAAARRDDRPGEASTATEATASVCPSLLEGEEKRGVGVLYPFAVVKPLGLDDSRMTTLSDVNQRILKRPARPVRHPVGPFACPAVTVRGLGLSGKAVVSLTKIRTGGNGTITIIRTRG >Dexi3B01G0038460.1:cds pep primary_assembly:Fonio_CM05836:3B:41273476:41276271:1 gene:Dexi3B01G0038460 transcript:Dexi3B01G0038460.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKAWRMHYGLAVKLIYQNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQESNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPLFCDDKNRVRLL >Dexi6A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:6A:3180521:3180889:-1 gene:Dexi6A01G0003550 transcript:Dexi6A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELLRTGSKRPPRRRRAYARRRQDPAPETPSGVGEAAFSRRTAAPHVSPVSSSRRGGPAALGAPLTGLAVAAELKLSLTLALGKELAGWSLSSTHTSQRAWAVSANGLSTKCLSLKAGPM >Dexi2A01G0028010.1:cds pep primary_assembly:Fonio_CM05836:2A:39346940:39347468:1 gene:Dexi2A01G0028010 transcript:Dexi2A01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNASGGPPASDPAAATGGGSAAAFGPCMWNDFFRSEEWMTERVNRLKEEVRMFEAGKAMSAADTLKLVDTLERLGIDDHFRKEIDVALARVHSDVDHGSSNDIHIVSLRFRLLRQHGLWVSAGKFF >Dexi5B01G0034180.1:cds pep primary_assembly:Fonio_CM05836:5B:34443135:34444520:-1 gene:Dexi5B01G0034180 transcript:Dexi5B01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFVAFLCDKGMKGGIDDFKVMLTRNEPLTGLAKAVVFLVIFALGVVAGLWAAAGARPSYIDPDIIPRQPLYPPSSSSSASHGVCCRPDPDPAFAQFVAPTRLMHDMTDEELFWRATLVPAANGFPFRRVPKVAFLFLAGHGVLPLAPLWERFFRGHEKLFSIYVHGPPGVSFNVSDDSPFYRRQIPSKETMWGSVTLMDAEKRLLANALLDFSNERFVLVSESCIPVHNFTTVYSYLVGSEHSYVESYYRNTKQCRNRYSRWMAPDITLRQWRKGSQWFELGRDIATSVLTDTRYYPLFRRHCRPSCYPDEHYLQTYVTLRHGAVNSNRTVTYVDWSTNGAHPVAYGAREATPELVRSIRTSREPCTRNSRPTNVCYLFARKFTPDALAPLLNMSAAVMEY >Dexi5B01G0040120.1:cds pep primary_assembly:Fonio_CM05836:5B:38750522:38752130:-1 gene:Dexi5B01G0040120 transcript:Dexi5B01G0040120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPNNAAGLTYAARDFAIREGYGGGGDGGGGGGDPFEGFPDAVLGLIVSKLPFRSAVAASAISRRWRGAVAAAPALDIDFAAAFPAAPRRRAAFAAAATAALAPRSATPPPHPLYRLRLALEGLFDQAFAASAADHLASWLAAAAARGVERLELRLPRSRLAVLPPSLLACTGLTSLTLRLDHYALPLPSLTPLARLSRLHLASVSLNGDDDFFGDLFSNCQELRYLVLEKCNIVALRLVGPSRLCSLAITDCSWKQESSLAVFEMPELRTLRYSGAMATKHVIDGDINLDEVLLAIEKPQTKPREATLRELLTLVGNVRSLLLSPWCIEQFARAEEWSNVRLDRVRRLACIIERREEGALSIAPLLSNCLNVEQLRVSVVPSQGKWRRCSDGECHGVLRNKGVALKRLKGVRMQYIDESKSGLELVKVLLKNAPALETMNIVPSMDGLEQAKFRRRVFKFRKSSRTASIQFCPAG >Dexi9A01G0033970.1:cds pep primary_assembly:Fonio_CM05836:9A:38799216:38805611:1 gene:Dexi9A01G0033970 transcript:Dexi9A01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAPSAKKMRAAEALDTGKSSTPAKERSSPEAAIAKKTGSPSSQEPPPPSPPGAGEEEANGGGDGVDHISGLPDARLGDIITLLPTKDGARTHALAHRWRHLWRAAPLNLDIRHLRRGAGLPDDEEDEALAGVVSRILSAHHGPGHVFCFPAHLHHDRAAAVDAWLRSAALDSLQEIELSYLHRPPLDHPPPPPPSLFRFSATLAVATICQCQISGEQTIPVLPQLRQLALVRVRISEGSLHAMISSSSCPALECLFLDSCHGFRCVRINDSARLRSIGVRTDYYGEDLRRFRKLRLHLVSFTSVVCSVKVLSVDFLSGDLDMVIDLMKCFPCLEKLYIKGSLSKSGEKNLWRRKHRHLIKCFDIHLKTLVLEQYEGIMAHVRFASFFLLNAKELEVVRLEVEEKRCNEKFFAEQRLKLEFQKS >Dexi3B01G0028360.1:cds pep primary_assembly:Fonio_CM05836:3B:24551381:24551544:1 gene:Dexi3B01G0028360 transcript:Dexi3B01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVLLGGGTPPPTAGRGASSLKVAPSPHAMYLLPSRAEPLLVP >Dexi7A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:7A:18812158:18813437:-1 gene:Dexi7A01G0007460 transcript:Dexi7A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIREVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDTDDAQGGTSEDGWVVCRVFKKKCFFKIGGGEGSTSSQGGDAGGAHLAMSPPPLDAAAMASHYMHPHHQYYHHAASSYYSAQMQAAAPYSHHVQVQDLLTNHRPGVDDDAAAGYDFSGLPAVEHHHHHPSGGLDVGDGVAADGSAQLGEGRDQASGGASAAEQQWQAMDGFGNGAGSSAAVQQMAGGQRGGEIDLWGYGR >Dexi7B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:7B:4791995:4797376:1 gene:Dexi7B01G0002560 transcript:Dexi7B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCMRCPAGAAAASAPRAAAVGPAPAAAVSFTRCGFGRSAAAAAGCWRIQAVAPQGDADVKNAVSPIAPPNVENGSPSEITLDEFEDLSALSKNDDSTHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >Dexi3A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:3A:844402:846368:1 gene:Dexi3A01G0001150 transcript:Dexi3A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFTSSVLCSRAVLPLRPPPRSLRRLPHPRVVSSSSSSPLQPPPGMEVSYKFGPYKIDAREVFHATPLSYAMVNLRPLLPGNIPSLGSLLFPPQLRLSFADLSSDETSDLWITAKEVGVRLEQYHKASSITFAIQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKEKLDLDIERKDRSMEEMAYEANEYRALFS >Dexi6B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:6B:24796469:24796984:-1 gene:Dexi6B01G0017710 transcript:Dexi6B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYFVETSRLLPDYVVAPDGDGGCYTIMCRIIVVPGGDPLDVPPPDMGTHLARLMDSGEGSDVSFVVGGETFPAHRAVLAAHSLWPISSAEIFNCPELKKKCFGFFAKEENLKKSPLTDDFVRLRKKFPSSIDELKEKTRT >Dexi7A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:7A:20658319:20659060:-1 gene:Dexi7A01G0009690 transcript:Dexi7A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLKHSVSPGRQPLEEVACSRRHVTPEPRVSENVDPGDERHGPHRRDVEHDGGGEAECREDRRGPRVPPRQQRPHPLPHRRHVPDHHLDPPGDAPQPVVAHPHHRVARPRRRERVLLLVELVVVGPLGAREPCVHVRRPSWPAPRPAPAMYRNTDGRMSSGKHVSVTSMTCARMVVAGLSHRHTPASRRAVAAAPASSAVTRRKLALLRRMLHACDPASSMYSTTSRRSGGSDRPSLAATTPIA >Dexi3A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:3A:9598821:9600239:-1 gene:Dexi3A01G0013200 transcript:Dexi3A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAKAVERLAQRLVPPAEPTPTGPHRLSWLDRYPTQMALIESLHVFKPDPARDGVSPAATIERALARALVDYYPLAGRLAVSDDAGGLHVDCSGEGVWFIEAAVRCRLEDVDYLEYPLQIPKDELLPHPLPRPTREEENKLILLVQVTTFGCGGFVVGFRFSHAVADGLGAAKFMGAVGELARGADQISPPPTWGRDAIPDPAGAHVGSLPELDGAKRLEYLAIDISADYIDHFKSQFAAASGGGRCSAFEVLIAKAWQSRTRAASFEPDTPVHLCFAVNARPLLAASLPRGGVGFYGNCYYIMRVSSTAGKVSSSSVTDVVKIIREGKKRLPSEFARWAAGEEAGDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDCIATCILVKPWAHKPGARLITQCVTPDRVAAFHDAMVDMNC >Dexi5A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:5A:20527383:20528048:-1 gene:Dexi5A01G0017310 transcript:Dexi5A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEWDWNNNLDEDDIYEFPGGGIVSRRSFEEQDTIDSIMKIQADGMAAGRFAYWRSDTKKCKYSKSVERILLLDTSTMQWSVTTAPFPPGESYCVADMPEHGGLCLFSSKEQCLQLWVRDIIGKWILKKEFSLLNERMKKLRRDEGMKRVRILAARAGYVYMEFWSIRKPHSYLLVFHLRTNKLTMFHNNSEDPYRGPAFPFFMRMGPLLGPHDNQNAHF >Dexi1B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:1B:23972393:23973029:-1 gene:Dexi1B01G0017630 transcript:Dexi1B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWWPGGRCGRGRGHESEILKATRATIFRGDVVKNGATTSSGFSDPNFSWSAVLSVRVRHPNPPSPVLSLSSVSSPATASASSPFALHLPPRLLLASSAATPSSSASSTTTVAAQNPNPFNLNINLLPWLHELRFPRNFLCQPQPRPSPPPPSPPPPPPEAVVPRTRRLPSLRVTMEYDIEESVFANKVSCKLAGGLAKLRLFF >Dexi1B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:1B:3175593:3182814:-1 gene:Dexi1B01G0003990 transcript:Dexi1B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPASSGVAPAAAAASAGGAPPEGVSFLGVLSLTPAAAAVCAGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKALYSNQITLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKDSQWRNLQVGWDESAAGERRNRVSKWEIEPIAAPFFICPQPFFGVKRPRQLDDESSEMENLFKRAMPWLGEEICIKDAQTHNTTMPGLSLVQWMNMNRQQSSALANTGIQSDYLRSLSNPAMQNLGATELARQLYVQNHLLQQNNAQLNASKLPQQMQPINELPKGVLLFNQLDAITNQEQKQEAGNQQRQQQPANQAIPLSQAQASLVQAQVIIQNQMQQQQQQQQPSPIKNQQGTSDQQLLLSQQQQDQNLQLQQQQQLLLQQLQRQQQQNQQLSNLPGQLVSLAGQQSQLSDQELQLQLLQKLQQQSLISQPAVTLSRLPLMQEQQKLLLDMQQLSSSRSLAQQRIMPQQDSKVSPEASSAPPPMKQEQQQKLSQKQVAPADVSDVAFPSITSNNVFSKDGSPLMVPGAAQSVLTEEIPSCSTSPSTANGNHLAHPTIGRNEHCKVNTEKVPHSSALMSIPASVEAVTAAPMMARELLKLNHNVKEIVITSKSPTRGTGPDNLLNIVPSTDNLETASSATSLWPTQTDGLLNQGFSTSNFNQQQMFKDALPDVEIQDVDPTNTFFGVSNDGPLGFPMETEGLLVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWRPQCDQNPGENYGCKMPVQLPTKLSPGRVVQCRIPIDGLVLYIWEV >Dexi7A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:7A:22546870:22551484:-1 gene:Dexi7A01G0012310 transcript:Dexi7A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMKDGGTSSSIKPPHQVLNSLPLLVFEYEDVDPDSRHDDGDDSRHEDDGDDAAIGMLMYSLSAAHPDCAAVLLDVADPVDGGSDRRWGQHTYDIGDYQLPEEFRTPSTRMKAVIAEVAALRGKLHFTSLESRQEKMCIVDLDFPHDHRHPPTAEFRRFDVPDIHKFPQDMCSGTIFLVESLEELFAVCICYVDFDVENIGAVLVYKMDFSGDESQEPLGWRRV >Dexi3B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:3B:7959410:7960292:-1 gene:Dexi3B01G0011330 transcript:Dexi3B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSPAATGGKTGNVGGSGDTQVLDGGTPPIGSPASDSDETQCGGDDGALYDETQPVDDAETQLVDGVEEEEEEEDDDDDVAGDLGETQLVEIGEEDGSDDDDQVKTQLDVENGDDDGGAEDNAGKWTTTQLDEKCEANGLKNGVGGMVETQLVEDSEEEQEDGVNGGDEPDVCEWGKTQLVEDSDEEIGDDELSDSTQVLSDNESLSGDERDVKSGIDIRDVGLGMEVSMEALNGGVEKLGDNKNLVESDASTDEEGDSVSG >Dexi5A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:5A:8186426:8189208:-1 gene:Dexi5A01G0010930 transcript:Dexi5A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVNVPTEFKNGRQVGESGNRLKEQLSHFCPQKVIPLWNYRGHTGNAIVEFAKDWTGFKNALAFENHFEAEGYGKRDWTLKKYRGSEMFGWVARADDHRCQGPIGDYLRKNGDLKTVGDLETEETRKTDKLVANLASQIEVKNRHVQELEYKCNETTASLDRMMEQREQLLQNYNEEIRKMQQIARMHSQKIIDDNQKLHSELESKMQELDSRSKELDELASRSNYDRRNLQQEKEEVCDVYCPNQKKTKHLMMATMEQQRSEENVLKLVEEHKREKQAAMGKIIKLQQQLDAKQKLELEIQQLQGKLEVMKHMPGEEDSESKKKMKELSEELKEKYDEMEAVESLNQTLVIKERKSNDELQNARKELIAEVLSEVDEKLQNLKEEHGEEIYGLVTKALAEINEYNPSGRYPVPELWNYKEGRKATLKEAVQHVMKQWRNHKRKR >Dexi9A01G0032390.1:cds pep primary_assembly:Fonio_CM05836:9A:37266045:37270269:1 gene:Dexi9A01G0032390 transcript:Dexi9A01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTADSAQSRRSPPPPSMPRYQQQPSGRQPPPPGTDPFAFGVVAFIGICFVLISLSVPSSILHQVPEGHVGVYWRGGALLKTITTPGFHLKLPWITQYEAIQVTLQTDQASDILCHLNLFILFVTMNQVRDIPCGTKGGVMISFDKIEVVNRLRKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPGTIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMYLAREKALADANYYRHGILKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRLLKNYLDDVPRKDHSEL >Dexi7B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:7B:14401141:14404756:-1 gene:Dexi7B01G0006670 transcript:Dexi7B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPAPPRTVICVGDIHGFVAKLESLWSNLEAALPADAFATALVIFLGDYNDRGPHTRGVLDFLLALPERHPGQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGAIKERWNPKKGLPYKGSIYDAQPTFESYGVAHDLAKAVPEEHKRFLHDLVWIHEELRVLRTRDTRVPKVAMLSGRQDVWNTPKDLSGKHTIVVSGHHGKLHIDGLRFIIDEGGGYEDKPIAAIVFPSKTLIRSTETEGTTSQN >Dexi5A01G0029320.1:cds pep primary_assembly:Fonio_CM05836:5A:32440689:32441274:1 gene:Dexi5A01G0029320 transcript:Dexi5A01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSAHEGREVDEINARDVPHAGRVERVHGQTRARGDAEGARGLAEEAHPAERGEGRRGEGRASADPARGRPELGEAEVGVHHAAGCGVAGGAREWRQGQRRREEEDEWRNSCSSAAVATAAMAIDTRFSSRRTCPSVAPVRLVGAVEGGAAPRDATRNEWAVRLSWPARAGHALWVRIFFFMGLNV >Dexi7A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:7A:11247440:11247971:1 gene:Dexi7A01G0002670 transcript:Dexi7A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMPKMDGSHVYNAAIRNVRDKCGVEITKDNIASRCKTFDKHYEIISKILSQSGFGWDWENDKLLIDSDDVWNRYVEANKAAACYKTKVVKNWEAISTIYSKDDANGEGARTGVESAQPAPEEVEEVSPDMPQKRL >Dexi7B01G0024010.1:cds pep primary_assembly:Fonio_CM05836:7B:28436525:28436888:-1 gene:Dexi7B01G0024010 transcript:Dexi7B01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRYPSAAAGAGGGDEDELGGGGGGAAAGSIIRHNRRCRDIAFLVIFAAFWVAMIVNSSFGFNQGNPLSAR >DexiUA01G0027070.1:cds pep primary_assembly:Fonio_CM05836:UA:57769484:57770209:-1 gene:DexiUA01G0027070 transcript:DexiUA01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHLATDAGKEITKKVGLVYQLNIAPKKLGVDEEIFVVDLKKGEVTKGPYAGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPAKL >Dexi9B01G0040800.1:cds pep primary_assembly:Fonio_CM05836:9B:41311208:41312648:1 gene:Dexi9B01G0040800 transcript:Dexi9B01G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSSTMFCRSALRRWKWNCGSDTSHQASREAATQPPHPDAKLLNAMKKSTSSLEVGRLARQDHHHGQQQPTNWQVK >Dexi5A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:5A:1481660:1483374:1 gene:Dexi5A01G0002110 transcript:Dexi5A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEQSNGSHHHPQQQLLPGYGSGAATGVARASKKNKPKKIPQRGLGVAQLEKLRIEEQKKMEGSAAAVSSGVAHSHALAGAAAAGGGSLGHLLSMHSPPPPPPISLSALPRPVPDGGFSPVLSWEPADAMRHHPYKRSLPLPTVSTGLSLTASSSHPTEPPSNQMYCSSITRNSVPPAEDDRDAASVDRSWPFMFEGMNAAAFRRTTGNAPFAVRPTREAAGLPDVCPDLSSRYEFRGANYFSTNANYPDWPSEFAPCKSSKENGCTGEPAYLTLNAQPVPHIKQPPHMMPSIHLPEYSDFGVMQSQASFQGSVSSSSSRPFYSFMPVGPVRCERSLGEIKPDASDGVDLELRL >Dexi5B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:5B:8046980:8050910:1 gene:Dexi5B01G0011340 transcript:Dexi5B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPSSLSKPFLSLFFLLLRKLAGRRHPRGGRMAATTKPIPPPPPLPSLLDKLHGQTLIVDVDSWILRSPVCAFSYFMLVAVEAGGFLRGLLLLLLYPLLCLLGVGDDGARAARAMATVALVGLEEKEVARVGRAVLPKFFLESAAAEGVAAVSAAARVVAVSATFPRVMVDAFLREYVGVDAVVGVEVRSVGGFLAGLVDDEDAAEMAAKRLRGLFGDELEVVTGKKKESSNGGAVGLVGAASSGRVHYLFSPYYCKETFAVSEADTRGWRPLPRESYPRPLIFHDGRLAFLPTPSAALAMYTFLPFAVALVAFRTVAFSFLPYRLCFPVGAFTGMHYRLVAGHVPLAAGAGEEGRLYVCNHRTLLDPIIVAAALGRPVTAVTYSLSPVSELIAPIRTARLTRDRAKDQRNMASLLARGDLVVCPEGTTCREEYLLRLSPLFAELGADVNPVALDTRVGMFYGTSTKPGAKWMDPFYFMMNPRPAYRVEFLPSAAAAASERGDDSIDVANRVQRELGRALGFELTGLTRKDKYMTLAGNEGVVMPAAPK >Dexi2B01G0029550.1:cds pep primary_assembly:Fonio_CM05836:2B:37914036:37916576:1 gene:Dexi2B01G0029550 transcript:Dexi2B01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] PPAPLFLLPSRPARRCRQHLACARSEAVSRFFLPGEEARAVPPGMGTPEFPNLGKHCSVGDCRQIDFLPFTCDRCDLVFCLQHRSYTTHQCPNANLKDVTVLICPLCAKGVRLNPSEDPNITWDTHVNTDCDPSNYEKVTKKKKCPVPRCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCSGPIKVDSGFPFVNMLRRSQKAETRSNSSKNSSSSWWSSSLTNLKSSAEAGMQKLSIATSEAIQKAKDGISQNSSSSSSSSELVEACVHCPARFSTVGALIEHVEKSHQANQQPSRGRLTIDVCPKCSKGFRDPVLLVEHVEREHGGTSKA >Dexi1B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:1B:173194:173496:1 gene:Dexi1B01G0000060 transcript:Dexi1B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHVEAAGGPVIRQSCMCCMTPDSDFVIDFLGGEFGEDVVVGVGFSGHGFLAEMAIDGKSNTAAEAGVELGHYRISRFDGNPMGNAAKDY >Dexi9A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:9A:6115449:6118871:1 gene:Dexi9A01G0010060 transcript:Dexi9A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHWSRSQATAHARVLPVLYAPILTTSRVPTEPQYLIMDARVAEVNILFSSLHQQAKSPKSTSEEERDAHQPVTNPPRAGPNPCDFPTIWPQIHQLRALPLRTPTLQTRTGSRQQLTSTLTSQSTLPRPPRGAPRRSHSRPRPRRRGREPPPHRANQRESVPLCPRPPSRPRRAAWGHARAAPPGVVAQPPRRASLVGRPLERIEAGRAAPEWLGFGGAAELAPPNAQRREAKAQTRGGEGFFTAAAICTWEAKLGERACGCGVFTAACHWGPAVRFGAGTRTEPARLDSYRCGESATVGSRETPLVYERVLGLGVFSSTLNRTHGTFGSTTSDNATPRARHSFVIRHRYQAPWPIAATRLHSPPPPRRPERARTRREPPDDDGRMIGFGCIHHRTEPSERDTAPATTPPALPPHRWSNPPLPNVAGPGRCPAPPRTRRAGGSADAVRAPERASISNQAAAAGSRAWRAVKKRQGDLVIRCCAHVAH >Dexi4B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:4B:4640667:4641186:1 gene:Dexi4B01G0006610 transcript:Dexi4B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLCGSPICSKQGAASCAWEGLFDSSTCMNHILVIGIAALITIVLAIQLLVRIPRSGASARQLVASSSPLQLAGVVFNGCLGLIYLGLGLWMLWRNFSVDASVHLPHWWLVALSQGFSLILIIIAFSIRAQFLGMTYVRIWVV >Dexi5A01G0039810.1:cds pep primary_assembly:Fonio_CM05836:5A:40220138:40221764:-1 gene:Dexi5A01G0039810 transcript:Dexi5A01G0039810.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Dexi9B01G0028560.1:cds pep primary_assembly:Fonio_CM05836:9B:31170896:31172770:1 gene:Dexi9B01G0028560 transcript:Dexi9B01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFYRKGPSGFSGASTAEEVTAGVDGQALVAVITGASSGIGVETARVLALRGVHVVMPVRNVAAGLAVRESIVAKVPGARIDVLEMDLSSMASVRRFASEFESLNLPLNILINNAGVMTRNCTCSCDGLELHFATNHIGHFLLTNLLLENMKKTSRDSGTEGRIVNVTSSGHVMTYPEGIRFDKIHDPSGLNDFIAYGQSKLANILHAYELSRIFKGAATTCYVAMHPQVQGTSGKYFADCNVASPSLQASDPEMAKKLWQFSLKIVSS >Dexi2A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:2A:13569083:13569322:-1 gene:Dexi2A01G0011700 transcript:Dexi2A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPHFSSRASAGTGLASLVPVQIWWLEAERLLASGFDLEVDLSDVLWAFGYHVLGANEQEGNWKGSKSNRRAATLLCG >Dexi6A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:6A:27095950:27096340:-1 gene:Dexi6A01G0019490 transcript:Dexi6A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSWTTLCLSVVLCVVVLLSRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEEGVDLVT >DexiUA01G0005720.1:cds pep primary_assembly:Fonio_CM05836:UA:10430914:10436870:1 gene:DexiUA01G0005720 transcript:DexiUA01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLADDPLIRRRRPDDLLHRRRLLCTHRLGTGLSHGPAEAAQYAARWFPSRSRFGELELAIQARAGLVGNDAQRTATHQSHDDAVKKQGSLLHAITYSRRGHRAMLHRPNPAKQHCANDSFDFIPRQTRGHPRRQPRRRPWKARHEKEGRYVSPCHVCTAWTDRALNDKHMYSHPGPLVYKRGGKAHAKGERDHNLGLRSSSPSPTLLVNPYYKQHVTRCIAPLLDVRPRGRNQDKTSTAITRWCRCDQNRHRHAALLSFPMANFGEGRPPLGESNLLPAGQEIRFGSLRFQTCGDDYHMRILQKDPSNQPEPHHQPPAAPRRRSPRAARRAADVGDPRPTKEGDVLQSGSQERAAPFLPGPMVHSYPYGLRNSADAHASTIRTIMSAYGDQPGCHPVSEQDFADPLPGDSRTESDDGQACVDSLAFIAFQTAVDYCLGYSDDEYDPTRECFVIDDRQASEGSMSDDDGGGDDQGNNDDIDPIGAQPSDPSDHSPSEDERDPRHLPRASGDVSPPARSDHKPAKQGDEHGTDARHAGRVAQARILAEGKDDELAPRTSQKLIAAAALLRAMPEAATPEGRKLHLEARKLVEHAARQQAESSASRLRRSSASKGERGGESSVRSPRPNGRARAQSWGDSHRDMARRHVGEPRAPEAGTLSARVPAISRLRDTRGAINGGDARNTLNQIRQREGARTHQRGRTDVGWNRDAVSEPAGTRVFSRNIRTAPIPPRFRQPTTITKYSGETDPRVWLNDYRLACQLGGATDDDMIIRNLPLHLADSARTWLGHLPPNRIHDWNDLGTYVRPGNTWDLRGCNQKPGESLRDFIRRFSKRCTELPNITDTQIIHFFLESTTSYNLICKLGRDPPPDANRLFEVASKYASGEEAANAIFNGKKGKRPEETPTEGSKPRKPSMKKATTANEVPAVDPRHKGPRGPPCEGESLMPTAYGTTHRRRKSSRTPATSNS >Dexi5A01G0024490.1:cds pep primary_assembly:Fonio_CM05836:5A:28342684:28343820:-1 gene:Dexi5A01G0024490 transcript:Dexi5A01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAGSGGGGGGVQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGTRTHLSSCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPTTHKPISATNSPAALEQPAASQEHKPTPTTDADGFALKQHHTHQVFDPFPLTDSFGGGFDASSLYGHLGKQEAGGFVDYSSVLDVSENLGYGESSSNSSNNWNCTDGGEAPLHWASESKVEEQHFAAGGYGAGAGEEEQSLEHKFLLPCHQEQSLPHFDFDISRGAVVGEFNLEFF >Dexi7B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:7B:18998459:18999094:-1 gene:Dexi7B01G0012160 transcript:Dexi7B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDAINVATKIIGVAVGSSASAFFAAGASSWLALKLYREGRLSRGWWRLRVVGLGGVTTLDQALGYDCALCRLSLDQREEVRTLSCDHVFHFRKGAKCSGNTVDDWLRENRMRCPVCCRIAYPVLPWKAPPTSPPPAPSRSPSTTDLEAQLQQSSATDLETQVSQPSAMGVGPPAPPRPPPMPSSPWFKDTLRSRSRSPSQPPSQSPSQ >Dexi4B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:4B:5859061:5860887:-1 gene:Dexi4B01G0008080 transcript:Dexi4B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAAAAAVRAAAGSPRAVRCAHARLLREGLADLSPAPALLVSAYARSGLLPDARQVFDDAPRRDLYLYSSLLAAVAHSPTPALALPLLRRMLSVDSLRPDHFVLASLASAAARLRSLRLGRQLHAHFAVSPYSGDDVVKSSLIDMYCKCGVPQDARRVFDSIGEKNSVVWTTLVSGYASNGYADEALELFWSMPARSLFTWTALISGLVKAGNNASAVGLFVEMRRDGVRIDDAFVLAAVIGGSADLAALVLGRQLHGFAMKLGFLSSMIIGNALVDMYSKCSDIHSAREVFEGVTVRDVISWTTILVGEAQHGQVEKVLSLFDRMVHSGFKPNEVTFVGLIYACSHAGLVQKGRQLFESMKLEYGIKPGLQHYTCYLDLLSRSGYLLEAEELINTMPYEPDEASWGALLSACKKHNDAQMCLRVADNLLELRPKDPSTYILLSNVYAVNCKWDSVAKVRKIMAEMEIRKKPGYSWIEAGKEFRLFHAGEVPLDIREEITGFLEELVSEMRKRGHVPDTSSVMHDLEENEKEQHLLLHSERLAVAFGILKTPPGSVIRIVKNLRVCGDCHTVMKLISEIAQRKIIVRDASRFHHFEGGKCSCSEFW >Dexi5B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:5B:2822646:2826935:1 gene:Dexi5B01G0004180 transcript:Dexi5B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRGRAPPLPAKPPRAPAPDEASGASEDPVVLLRRRWELASVLHFLKVFEPVIKADLGLSAEEIETALASNNRNLARIHIALLKGIPPVNKNLKDEDGWIILTSKKLTDWWSWVAEGANPFKSNPGKEVETYKQQDPIKRMLILKALCDVRSEQNDAVWYVNDEMKKGANISNFRKDKLGSGSNGTVYWYDGDSTIGHRLYTEDITVNFKQNWKGKNGRLTKPDINIRWETVATNLDEFLEISEKLSRKGRSETAIAEHLKAEIIPAVEKLQKKKERDLKRQEKKDKLLAVANSFQTRSLRNRRPVNYNYSDYDHSIEEAIKAASKAKKHDSYEAGGKEKRASHLGDKGANGSSDINSEGNKDGGLDDAKYLSDLSSGDEEDKDYTDQDGNSADSDGDNNVSDPNTSDWEEEDVFVPRKRTRLAARLLKEKPRPRQGLRRSQRNMKNDEDAMHPGQATPPPMTKKTLRQRPTPVKQPDIAFLGSEEDLAQFVADSEDESE >Dexi3B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:3B:13222576:13224808:1 gene:Dexi3B01G0017910 transcript:Dexi3B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADPELEAIRQRRMQELMAQRGGANQQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >Dexi2B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:2B:2271652:2274358:-1 gene:Dexi2B01G0002730 transcript:Dexi2B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQARKSKVSVKPVELEDAKEPPLNLYKPKEPYTATIVSVERLVGPTAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFGGLAWLFLGVANSDSLLYDEEFTNYLQKYPDNFRHGTMKIWPYRWSHPSPRRAGEAAARIAGAGVDCWSHLLALVLRATEPLLALHERATGAVAQRSPRPGFGTTMTIVGAAAPLTSTGWWSCCTPESVLQSMQREMLGSLCTTASSICSEPQLHQRLGTRGREAGLWYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDSGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >Dexi8A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:8A:28829568:28833340:1 gene:Dexi8A01G0017100 transcript:Dexi8A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLSTLKQLLVIGFQIKAAFEEAGHNKERCGWIFDLLPTLDAIANDLEAEGDAKMRGAAAGLENSRRRASRFVAKCREKGLLRRAWDAKGIADELGWVCLDLLLHLTVTNTSVLTGLRADIASNASMLARILEILDAHPEVHLPEEVAKLVRAYRDSNGNANPQIENKSEAKQTDVPSSQTKSEKDKTDDANTRPQMKTRKSKGPGDSKVVPDADLSDDGLAVSFSSVESGVTKDGSSTIIKKATSSVSKLVDEVQSVAGFARPFQLQQIISVSEVPGKVGSYKIESKSTKNMTSVDVHPTKTWIVMGHEEGDFFIWDYQEQKVVMGLQVNKVPGKTSRLKHSISQFIKETAVPHSVRSVKFIAQKNWLVVGDGDGYVYVYDYTDTELSEVKKFKAYRKNSVDSLAVHPTRPYLLLSSYFDRNIKLWDWKDLNNDPVKLKEFYVKPASAYEDGVHSVKFDPRDTDTFACITFDNKVKVGNINSSSLTTKVLRPFKGNYFFTHSHQHLMVTLSSKSQSEVQNIMY >Dexi4B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:4B:17303070:17304183:1 gene:Dexi4B01G0015490 transcript:Dexi4B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLAAVKVKQEEEVVGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSYKSAKSGGDCEKEEELERLRREREALARELTRLRREQQAARAQLRDVARRVRSTERRQEQCTAFLARAVGAPAAPPVETARKRRRIDAGAAPAAVAEDVVAFVDLDLGADADAEVETTTACPSVMSVQSAGGATSLEVMWNELLGEEMVPIDGEEEVVGDAVEPWEEMGEEEVLELMQQIDCLASPGC >Dexi2A01G0034740.1:cds pep primary_assembly:Fonio_CM05836:2A:44591177:44592689:1 gene:Dexi2A01G0034740 transcript:Dexi2A01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSNSGRGALVVLEGLDRSGKSSQCARLLSYLEGQGYRAEGWRFPDRGTSVGQMISGYLANESQLDDRTVHLLFSANRWEKRALMENKLLSGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPDVGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKKVADHYHSLRDSTWKVVDGSLPMETVEEQLRQLATNCIQECQEKPLANLTW >Dexi2A01G0030090.1:cds pep primary_assembly:Fonio_CM05836:2A:41092413:41092628:1 gene:Dexi2A01G0030090 transcript:Dexi2A01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVANKAYMAVTLGAAIELKEQVAKPCSSAAKRGVPVLAARPPASAGRVDGGAEESLRMVMYLSCWGPS >Dexi2A01G0035750.1:cds pep primary_assembly:Fonio_CM05836:2A:45303016:45305145:1 gene:Dexi2A01G0035750 transcript:Dexi2A01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNFPQARRLLRRMGFEKEDAYFFKQMGKAMLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEKEMAHLYERRKFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFEQEAQKLWLRMRNEVIQELQEKGFDIE >DexiUA01G0001440.1:cds pep primary_assembly:Fonio_CM05836:UA:3934997:3936163:1 gene:DexiUA01G0001440 transcript:DexiUA01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVIFALGDDLLREVFVRLPDPADLLRAAAACKPFLRAARSPPFLRRYRRRHPSSCPHLLGCVLFFPNRREGNLQLIPLNSPSSSSSSSAAAGGGSSDFDLSFLPGGGLLGQGADAWKHLDCRNGWFLLKNMGSQELAVADPVSRWYVSLPPAPAGRAVGYGLFADHGDSEFRVICVSRDAASGALRALFLSSSELSWADVASVASERDLAAGSRAMQANRSLYWRLKGGERMVAFSMTSMELSLLDLPPDLQKLSFDAFDRGKEEDANVLHLLTMSGFRIEVWAGTADADGGMAWRRVDKSVRFHKVLTETIKPTVHSYQHELDVIGVAAGVVFLRQWNHLFSIDIETMKFKMLPSKDCEVALIYPYTIAWPPSFLNPAGQGA >Dexi1A01G0030400.1:cds pep primary_assembly:Fonio_CM05836:1A:35741531:35743339:1 gene:Dexi1A01G0030400 transcript:Dexi1A01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGKSAVKAGALPFPARLRRGAPEHLGQHGGLLRRRHGRLPRPPQDLQLRLPLLPRAQPRAHLHLHREPRHRARERPLRGQRRRVAVLVVAAPSKEEAAAVVAGLLFPGAVGFVRVRVGERAAEVVVGALQAEEALDESLGEQRVDDLLAAVVPNAERELEREGADAGVVVAGRAEQRDEPRELAAGVELVHERASDGVHQPVERAQRRRRAGRGLHQRADGRAHQHRPHEHVLTEPHQRQHRRRAPVAHRRVPELRLYGGEHAVPEQLTLEPWVEPAGGQQPRLELREERSGGGGAAIPSDTGPTQRGHEARDGLAVDPRLDLPGDGAGHALDPPPRRAPAAPRAEGPNHPAPHLLLPGCAARVNGGRELPEPVEEPAQGGEAGVAGEEVCLETTRCRERRLLLRVAGRWRAGCSACIIAGSLSAGNGEPLLSWP >Dexi4B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:4B:16067199:16067945:1 gene:Dexi4B01G0014820 transcript:Dexi4B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCTYSHYNCEFGECEICYGVLHDNNNCVLLEGLSEAKSLALVAETIRGPKHEVEMNGRYISVRKSAEIPEHLEIVEVKCKAVNWNVVKVLKFFGTFGI >Dexi2B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:2B:28931145:28931705:-1 gene:Dexi2B01G0018720 transcript:Dexi2B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSRSTFLLSLAILVCTPLVLDASQEAQLSQFMASGTGRPLPKRSKSPPSGSKAADRVASLPGQPPSVNFEQYAGYVTVNEEHGHELFYYFVESPSDAASKPLILWLTGGPGCSSLGYGAMMELGPFRVNPDGKTLSRNKHAWNNRM >Dexi7B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:7B:17798701:17800443:-1 gene:Dexi7B01G0010450 transcript:Dexi7B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPAVLAVLVLCLALPRTALSQSAPAPVADTPAPAPAPHHVNLTDLLSLAGPYGTFLDYLEKTDVLRTLQSMANATDVDDGHPGLTVFAPEDSAFAAVDAAALSNLTSDELRTLMLCHSAPRYLPLSSFAALAASGPVATLAGGSQCAVNVTYAAGRISVASGWTSKARLVTSVYSTRPVAVYALDAVLLPRQVFPAEPAVAEAPVPAPAPSPPVPSDSAPAGTTEHGASKSLSCRLGGAGGALVAYLSLLGFGFLMIGRTRGRRHDWLAKRYELADLEGLSRVTTLAGGMYTANVTCDEGDVHVRSRWGDAKVVGSVSVDAPMAIYELDRVLLPGTLFHDQPPVSAIPDVPPAPAPPSNEVAAEAPAAEPEPVAPRQDDSPGGVDAPVSACGGSGDRCASYPATAAFIGTMALVELWRRVGWCFSLLRQEQKWAVPFTCD >Dexi1A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:1A:28381066:28381482:1 gene:Dexi1A01G0021650 transcript:Dexi1A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEQSFLSLTGTHTSPHLRVAVVTGGNRGIGLEICRQLASSRVLVVLTARDEEKGSRAVEELHKSGLSDVIFHQLDVADRSSITQLAEFVKTKFGKLDILGFSES >Dexi9A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:9A:433715:437996:-1 gene:Dexi9A01G0000820 transcript:Dexi9A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKTTPVKPAPPSTQRNGSATPGSKGRPKKASEEASAASAKAPKSPKITKEKPAPAKKKRDKPEPPQEGGKRKKQQAPGDAAAPAKKRRKGDGPGPKPQKEPKPAKKEQPSGKPEKPATPAKKQQPSGKPGKPATPSKKQQSSSKPEKPATPAKKQRSPGKPEKPATPAKKQQSTGRAEKPAPTPKKKATPTKQHSPGKTRKSPAPATTPTKKKQAKPEMPMPTKKKRGDDEPQKEPRSPKRASGDGEAPASTPVKKKRKDQKAAAADMGACSFPMARVRQLMRAEDDTIRPSNEAVFLINKASELFLGKFAEDAYHNALKERKKSIIYDNLSTAVCSQKGFKFLSDFVPQRVTAEDALKATAGSKS >Dexi3B01G0033730.1:cds pep primary_assembly:Fonio_CM05836:3B:36556176:36556869:-1 gene:Dexi3B01G0033730 transcript:Dexi3B01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSKAADAVATLFSLLIAVAAPLLDSQLVLPRHLYPARLVDVHQWFAAEFDHYLVGDPPPFFRGLVWLALAFLWPLCVANLYGILARRRRWVATTSLMAGVFMLTYLSAMFGEMLGSGRATRRLLQFYVPFVVVAVALVLRGLCSCSESEVQATAAASSVESSAQKKRAY >Dexi2B01G0022800.1:cds pep primary_assembly:Fonio_CM05836:2B:32423304:32424622:-1 gene:Dexi2B01G0022800 transcript:Dexi2B01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSASTMSLLPISQLKQQQRHGGGAVVVFRPRRLRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGGKQQGKPPVLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAASPPEDAAAAAPATEAAGQTMPVGEWPHRCPQQCDCCFPPHSLIPWPNEHDMASATDIAGGGQAQQQ >Dexi9A01G0028800.1:cds pep primary_assembly:Fonio_CM05836:9A:33520297:33525103:-1 gene:Dexi9A01G0028800 transcript:Dexi9A01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGGAAASPVEMELAWHLLTVLVRLGRPAAVSDLAAAAAAASPSISPEVIERMCRIDGSPLQISGGGVVTVSETAVLAFLRFVGWDFRVPRVWLRPPEVWRRWGEVVIRYERKRKVSDVSCFGDKRRRLLAPSTDLMEHSEHLSNQLVAQTCAPAATGEVHLEVTQELRDRLPTFRTFISEPCLGFSTGVTLVHNVAKNTTLSLQPKPDKSLMGDEDTVFRNMAFALVPTNLSDCCSVNLPPLASVDNSKSANTEVDGRSQRIGESEQASFLNCRVEDSEDLEKESIHPTTILAVVAGENKNEVDEDLNLVIPGSPIKYNTKREDSIEAFDNTPNQANGIRYNCPNAEHQDNVPTCGQENNLLGAKACAEVCKDKTTQILLHRPMDRLDTKAGSIAAQMSRNSNPEALPQEPTRYDCTDMRNLNIIAESRESEYQNIGEHPCNEAKANVSKNGQDRVVMKQNGKSKKNELPKEDKDCVAVKAQKGHVVPKPLPSFKGFVIEEEEGSGPHPNAHPHHVNNELKMLERFGGKSCVIKYECSLKSGDLECFVLEHVKHDRPEILKRDISLLELRWYGHCLFRALASLHRQGVVHRDVKPGNFLFSRNLKKGYLIDFNLANDLHQKFLKNGKSETISCGKETESRTSTKLAVVHAKEQAADSKQPLPLKRKRSSRSLVDSTPKIDSKSKHGSQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHETMHSPNKNTTPAPVSQRKRVAAPVTSVDRKLFNMTPMPLRSGGSVVAGSGMFNNKGHGKHRREGPCVGTKGFRAPEVLFRSFHQSCKVDVWSAGVTLLYLIIGRTPFGGDPEQNIKEIAKLKGSEELWEVAKLHNCESSYPSDLFDVKSLRSVDLREWCAANTRRPEFLEMIPDSLFDLLDKCLAVNPRCRLASEDALMHEFFAPCRDSFIRKLKMPRRSTGSDAASSSHQNTALTAKHSARVPASTVQTSVLTPVVTDKKIDLNLPP >Dexi6A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:6A:1825256:1825702:-1 gene:Dexi6A01G0001890 transcript:Dexi6A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDLTEDQIASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLELMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >Dexi5A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:5A:24928055:24928381:1 gene:Dexi5A01G0021030 transcript:Dexi5A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPTAMVGDTMMSRRAALHCQSRAVVADGVARSRNLDEREWQWSRSFYSRGWRRRWGSRTFMALGGGGKGRCA >Dexi1A01G0026300.1:cds pep primary_assembly:Fonio_CM05836:1A:32237233:32243326:-1 gene:Dexi1A01G0026300 transcript:Dexi1A01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRFFVTPCSSIVLVSVLLHRLRHLQVLPLFRSRHDLVLRLPTLKHPTLPSLQCHGYGRVLAASSILQIHDLPDYCLTGKIEGLLQPAGQHGQGDQPSSHIAGPHGCKEGCFLPPLSLPPRRVFSCFRFFFSSRQTRSPRSRFSTAPAPAAATSAATTDEPIQPAVEIKHTQLLINGNFVDAASLLHISPGKTFPTVDPRTGEGDVEDIDRAVSAARRAFDHGPWPRMTAYVRHTFLSLTVHDAHRLTARSPPWFMQERCRVLLRFADLIEEHAGEIAALETWDNGKTLAQSVGAEVPMVARCMRYYAGWADKIHGLVVPADGAHHVQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYVASLLHEVDRIFAIDLLGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARATKRVVGDPFRDGVEQGPQIDEEQFKKILRYVQSGVDSGATLVAGGDRAGNRGFYIQPTVFADAKDEMKIAREEIFGPVQTILKFSGMEEVIRWANATHYGLAAGVFTSSLDAANTLSRALRVGTVWVNCYDVFDAGIPFGGYKMSGVGREKGIYALRNYLQTKAVVTPIRDAAWLHWNADSAASSLFLRMLQLYVLYWEPASNDAIAARMEAAATPTFGATPHAVPVLVLPS >Dexi6A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:6A:1561518:1561871:-1 gene:Dexi6A01G0001660 transcript:Dexi6A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSILLAAAALAALFAVSYGSALTFKAGPGCSATKLVLIPSIAISEVEVKEKGADDFTELKESPAGTWTLDSKTPLKAPLSVRFAAKSGGYRVVDDAIPAGFKSGASYKTSLQL >Dexi2B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:2B:5694066:5694494:1 gene:Dexi2B01G0006070 transcript:Dexi2B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIETHRSGAEVFSGDAICRKKSVELLEELGLPKGLLPMEDIQEFGFNRATGFMWLVQRKKKVDHTFKKIKQIVSYASEVTAFAEKGKLGKITGVKTKELMLWLSVVEVYVPEAAPEKVTFKTGTGLSDSFEAAAFALGE >Dexi1A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:1A:1284458:1285580:-1 gene:Dexi1A01G0001930 transcript:Dexi1A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAAAPMATAVRAGLLRVSCSASTSSPPTASKQTSTGPNKHQLQVPRQQQGPTPPPLMSTAAAATAMAPLMLAALTPDEALAAGGEFGILEGRSFALVHPLVMGGLFAYTLWAGYLGWQWRRVRTVQDEINELKKQLKPAAAAAPAAVGAGGDAASSAPPPAAKSPVEIKIDELTEERKKLIKGSFRDRHFNAGSILLGLGVLESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAGAAALVPAMQKGNETARNLHIALNGLNVLLFIWQIPTGLEIVGKVFEFTTWP >Dexi7A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:7A:28000395:28002500:1 gene:Dexi7A01G0018670 transcript:Dexi7A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRANVLKGKAALVTGGGSGIGFEIVTQLARHGAQVAIMGRRREVLDKAVAVLRSQGLRAVGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGLIINISATLHYTATWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGMRKLGPEEMSKGNREMMPLFKLGEKRDIAMAVLYLASDAGKYVNGTTLVVDGGFWLSHPRHIPKEEVKELSKAVEKKVRTSGVGIPSSKL >Dexi5B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:5B:2014330:2016472:1 gene:Dexi5B01G0003040 transcript:Dexi5B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGDVPPHRGRIGPVAGPIALASALLRRESHRRRALAGGAALASALLLVATPRLRHSPALHLFADMRNFLGVPNTLNVLTAYPLLLAGVPGLILCLCGSGCFGVSLRWEAFGWSLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPVSEILNTFFLLSSSHLLFTRPWMMLSSSSLLSILVIERLDERVGLSCLISLLSLILVSSACERVLDDMRLWVILNFVPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLAKFEGLADRKVYSVNRNIKIARYLHEQVFKMIDAFE >Dexi1A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:1A:2190476:2193581:-1 gene:Dexi1A01G0003020 transcript:Dexi1A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPPSAAADPAGSGAPTRQELDGTGVPDVGGGDAEGSAAARQVVPGLGDLGAGIPDLLGQAKACLHPCAKDTQLTAEVSVEKLHGSDPLSFGKENAGTNLQSKPDAEHGENRLSAARLALDLNTVDNSDAAEHNPFFPYKKLGQSKVSDPSECGSTTGAIEENRTCSTSADDLASNHDYMTVLSVKAATVASQWLELLHQDIRGRLAGIILKSQENRLKQVQEMQLNCDKGLQHMSCDAPLLGPMAELWKLKNPDISESEWAVQAAAASIYSTCNMVLRTENVPCF >Dexi6A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:6A:4755892:4756386:1 gene:Dexi6A01G0005190 transcript:Dexi6A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLEHDEQQTAGGGGHRAAPTNMLTFSSCSISSSGTTSSTSVATTTTTTTTTTTTNSSGAVVHPTTSSPPSLCTR >Dexi6A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:6A:6143548:6151142:-1 gene:Dexi6A01G0006390 transcript:Dexi6A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAASARLLLRSLSSSSASVPGKSRLAAATSASARLAFARPCGAWVGRAGLPRTAGTTGPRFAGVRAQIGAAVPPVERFQRSMATQATEHAFNNILTSLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTTNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQTERVYSSYLELDLDEVEPSMSGPKRPHDRVPLKDMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGIEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHEPPYFKDMTMSPPGPHAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPTNLSDIRPGQDVTVTTDNGKSFTCVLRFDTEVELAYFNHGGILPYVIRNLAQN >Dexi6B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:6B:5459467:5459733:1 gene:Dexi6B01G0005880 transcript:Dexi6B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKFDVAINCPKEVAKGVGPQHTEYAGSFTAVPSSKANGGTLLGKVTLFVDGVLADLGAAGDATVDVVLVPRVGDITVYFAPTIQNA >Dexi4A01G0024050.1:cds pep primary_assembly:Fonio_CM05836:4A:26913632:26914462:1 gene:Dexi4A01G0024050 transcript:Dexi4A01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFGRSISFPLSPARSSKPRAAAYHVRSISLPCRSHPLLTHLHTHTAAARAWSSTSSPSTGLAVIDALLAALAEVLLLPEAQSAVHSSDRLLDAFLVLADAHRGFHEALLALRSDAADVRAALRRRDAARLASAARSQRRTEKDLARLAGAVSSVAVAGKCGGARQMALVSGATTAEETEMAAALMDAAAAVALASAAVFSAAASVSAAVVSSSKKAATFAAAFGTKKEAADVAPEKLDELDKCIDECETGSEVVFRSIVRTRVSLLNIRTPAI >Dexi5A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:5A:4338236:4339399:1 gene:Dexi5A01G0005820 transcript:Dexi5A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTPNYGAGAPGDARPTYRYVRVCVPTRIYVSYYDYMSSTFVDHFDALPARLDSGEEPPRPLDSERYYDDYDSNQSGTCAQLAVEFYNQQQGNYSPITLEHAKDSHKFFSEGTAYFHVNFKAALDGGSGPCYTFFAEVKGPGVPESVTMVVQFHTKERRTRDNCLYCTGLRHPERGGFVGHQDPEAGGDGEDNDEEGSDGSSGSDGDEEDSEHRSSEDEDETKADSKSP >Dexi5B01G0033960.1:cds pep primary_assembly:Fonio_CM05836:5B:34233276:34235252:1 gene:Dexi5B01G0033960 transcript:Dexi5B01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGELLRRSGPSIGSKPDDRGGWRAAFYLVVVAFLERLGFYGVQANLIMYLTGPLGMPTAAAAAGVNAWAGTVLVLPLVGALAADSRLGRYRAVLVAGVLYLLSLGMLTVSSALQTARSVSSAPSTSPAHLTFTYVALYLLALAQGFHRPCAEALGADQFALSDGDPSSRASRSSYFNWFHFSISWGYLLSETVLSYLEDNAGWTAGFGACWTTMFLSLAVFLLGARTYRAKRPVHDGQFVDTVRAWAARVFRRKDASSNERLLSRQPGEGKGVVAKLLPIWLAAMFYSSITSQMYTLFTKQGSTLDRRLATGLVVPPAALQCLASFTFIAVLPVYDRGVVPLARHVTGHHAGVTTLQRIGTGMAMSCVSMVVAALVEGRRLRVAMDAGLVDRPDVTLPMSLWWVVPQYVLLGLAMALADVGLEEFFYDQVPDAVRSVGLALCLSAMGAGSYVSGMLVSTVDWATRARGESWISDNLNRAHLDYFYWLLAGLAALVVAVFLHFSNRFVYRSKCEL >Dexi2A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:2A:706839:707144:1 gene:Dexi2A01G0001080 transcript:Dexi2A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYFRLDAILSPLKFISFLLCPLAWAPYSWNAAVLCAAGADGTGAPGGDAHWTQARVIELRTLLPAGAISTFSDVVGFVDSIGVVFVRTGDGFFTVDLI >Dexi4B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:4B:18553774:18555758:-1 gene:Dexi4B01G0016510 transcript:Dexi4B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMMAKSKNSVLTLEKKQGWSIQLPELRFPWDSHEDKGFSLSLHGSGPAHGGLFASVGLKVSTGAPAVASSPGEKDIKIPFADHCMKYVSETAGYQVINTEAEPVEEDVVNSNKAKKKARKHGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTFLPPSLVAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTLKKEEISNVATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDEESE >Dexi4A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:4A:4536620:4537921:-1 gene:Dexi4A01G0006300 transcript:Dexi4A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEVLTSEVVVPAEEETPAGGLWLSNLDLAARRGYTPTVYFFRSNGEPGFFAADVIKGSLAKALVPFYPLAGRLGVDATGRVQVDCTGEGAVFVTARSSEYALDDLLSEFVPCREMRDLFVPPTPSPNPPCALLFVQVTYLRCGGVVLGQAMHHSVCDARGAAHFFETWASISRGEDDAPVTPPCFDHALLAARPTPRRAVAYDHPEYKPDPKPPVDTSAASEYTSAIITMTKSQVSALKARCPGASTFRAVVALVWQCVCRARSLPSDAETRLYSMIDMRSRLDPPLPAGYFGNAVVRTSATATVREVVSDPVGNVARRALAVTSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWVGMSLYKADFGWGAPAFMGPALMYYSGFVYVMNAAGKDGDLALVLSLEPESMPEFRKVFAEELARLDVV >Dexi6A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:6A:23652840:23654294:1 gene:Dexi6A01G0015760 transcript:Dexi6A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEGPTILVISWANVFAIIKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHKNFANVPLTMLVKVTHSVFHVDEDVNCKNKTTKNRSQAHSKIASLQQFIPHDYDASDHGTSSFPVSCIHRVGILDIRIFNTDRHGGNLLVKKLDNESGRFEARTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIKNLDPVKDAEMLRMELPMIHEASLRVLILSTTFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSALEVLCMEARNWVKERELLLPEPDFEEEDDDDDDCDAEDFTQFDLDSGDDAATCEGSFFNKYGTIGVSCRNPLSKLTEDIEEEHINDVRQDDVDACTSPVPKCTHSTSKLAVSLKGLCFSGNSKCRNGVPKNRLSAKPDYHSEYQSAGWSANEMKPPSSSFVKLSDMSGIEWSAFLGKFQELLLSMFRDRKQTAARGPWLMQRLGTSCQF >Dexi5B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:5B:2629073:2629691:-1 gene:Dexi5B01G0003790 transcript:Dexi5B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAESLAAPLLEPSARRGDRDPFVEVRLYRRGAGPVAIFRSDLSGPRRDRLDVRRIQANHGLRALYAFKPEGSRRGLRIRCDPTTGYSALPFRDGAAIALDGEPKESWTKPASVIVAGLLVPAVMAVVVVNGVPEPLRSWRVINGMLPPWILVSAVIIFARARTRPRAP >Dexi9A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:9A:5302479:5303410:1 gene:Dexi9A01G0008960 transcript:Dexi9A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPQGHAPQVPEDKPIKYGDVFDVSGELAAYPVAPRDAALLQAAEQETLGQTQKGGPAAVLQSAATVNTRAGHVGKGQITGPIADAGATVVETELPGRRVVSESVAGQVLGKFVTPPPVSLTEPSGALGRDAVTVGRALEAVAATAAGDKPVDQSDAAAVQAAEMCATGSSVIAPGGVAAATQAAADENARAAREDGKVKLREVLANAREKLPADKGATRMDAERVVSAEIRNKVDMATTLGGVAEAVTSAARRNQERP >Dexi3A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:3A:11273488:11273876:1 gene:Dexi3A01G0015210 transcript:Dexi3A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDERLEAAGGSVGSERPMTATHPCVNALPLPEATTQLPPLSSAPVTTSQPRLAPHVYPIHPLRCRCLPRPTAPCRGVHTRPPQPGVVRTDPSAETCEPRGLGGSE >Dexi5A01G0021930.1:cds pep primary_assembly:Fonio_CM05836:5A:25759206:25762160:1 gene:Dexi5A01G0021930 transcript:Dexi5A01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRSHSLAALAAWWSLSLLAVLGIGGSPALASCYTRIFSFGDSLTDTGNFVRLTARSHSLFGSPPYGRTFFGRPTGRASDGRLVIDFIAEAFGLANVTAIQTGTAPADFRNGANFAIISATANNGSFFAGNGMHINPFSLDTQMLWFRTHLRQLVQAAAAGQQQQPTTTSSLLGGALVALGEIGGNDYNLAFAGGVPRDEVRKFVPAVVEKLEVAIEELVAMGARAFVVPGNLPFGCTPLYTQRFRGNAGYWEYDAKTGCLDWFNRFAEYHNRVLNARLEKIRRRHPDVTIVYADWYGAMMSIFQEPEELGFTNALRACCGNQTVPCGRPGCSVCEDPSTYGSWDGTHPTEAVYKVIADGVLNGPYASPVPLAETC >Dexi3A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:3A:11591195:11592163:-1 gene:Dexi3A01G0015570 transcript:Dexi3A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAEEETSATPTAGRLKGSPELTVDADMREMAKTAAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELAKPVGWVHISLSGADPRYAF >Dexi1A01G0027090.1:cds pep primary_assembly:Fonio_CM05836:1A:32965287:32965745:1 gene:Dexi1A01G0027090 transcript:Dexi1A01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQKRNKHGEQQFLDLHGLHAFRIAASLLHRARSHLLHRAGLLLHGQLLEEMGMADLRRQLLHCAGLLLQARRGGGYGGPATPSPPPCRERERWGRPSAPVLVLSRPRCSSHFTSPRPLDPHGSGEVEQQSRWSRSCAARQWRAGARCRSR >Dexi9A01G0038180.1:cds pep primary_assembly:Fonio_CM05836:9A:42503138:42503749:-1 gene:Dexi9A01G0038180 transcript:Dexi9A01G0038180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNSWTLEIASPVAAPRLFRAAVMDWHTLAPKVASHVVASAHPVEGEGGVGSVRQFNFTSAMPFGFMKERLEFLDADKCECKSTLVEGGGIGVAIETATSHIKVEPAADGGSVVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKAAEAFLVANPEAYN >Dexi1A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:1A:14966383:14974115:-1 gene:Dexi1A01G0012950 transcript:Dexi1A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGGFLSGVNDPWLKPRLLRAVVAERLPQPGGAELPPVELASLLNAVRTHGLLTEGITDCAPANPKLTEAWRAAVDSWVERVVALVESDSAYSCWLGTCFLGVTFQECSNERFAESYSNWYEKILSNLQEPSSLQLVTLISCTSMSDLFVRLAKFGNLKKEASSFAGRIVEPVLQILNENGLVADEAIDLLRTIVKLYPSSVNRHYNKVESAIAAKVMGTEVNVKPSEKFARTLALLPSVRVSEDSWSLMIQRILIVVNNLLNDAFIGLEDEKNGHDIMLLLVPPGVDPPPILGDQIRSGGNVHVTKKFRICTVPTISALMHCCSVMLTSYYPVQLFSSYWQKVNVPMRALVALMRRVLLVDGSLHKKLLPSTTSLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHGASIIRLITEYFKIAKLPTLRTKAYSILQMLMTSMGVGRPFFIFPAAPVLIQMLCFLLSGTALHLLETTVSNAIADLNDDCGSEMAIISTNPSKVTNESSSKKFSKKRKQQPQVENSIVSGSEKAAISPRKRKGSCIQYTSEGMARENTGDFIISTPLSVKIAALETLETLLNVQGGSLRADQWRSEVDLLLINVARSACNQGGSYGPKISTFGEPSISDLQLASLKALLASFLSSPYARPPYLAKGIELFTKGKVEIGTKLADFCSHALRALDVLTHPRALSLEKAVPVGSGLNYSSQGKTVFGGGTYQISSYKDQPQAMEAEDMYDNWLTSTKDDEPAEAPVNVNATGTNTVGTTLEDRRQLDPIAEDPIIDPPRVTAAAQGAPSSSNSGVNMVDASAEEIAKHKTVDNPSSSNAVSAPVYTTNSDSQRHVTPSFPEQKQTQFSHLENRSPAVNVPSSKLGTSDEVSDVPVASGFHQAPEGRSTSFAELFGSESGVDSGSEDSIPDIVDGDPDSD >Dexi9B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:9B:12567254:12569214:-1 gene:Dexi9B01G0017840 transcript:Dexi9B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGAEPTVLGLGLSSRDGGGGGGGRAAAAAELPAVDLAMHPSGLVPTLQNIVSTVNLDCQLDLQQIANSARNAEYNPKRFAAVIMRIRDPKTTALVFASAKMVCTGAKSEEHSRLAARKYARIVQKLGFPARFKDFKIQNMVGSCDVKFPIRLEGLALASGQFANYEPEIFPGLIYRMADPKIVILVFVSGKVVLTGAKVREQIYTAFENIYPMLVQFRKRQYR >Dexi4B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:4B:1279020:1279735:1 gene:Dexi4B01G0002060 transcript:Dexi4B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARIRFTSSSTSSHPLFSLTLAHGTLRPSVQLLWTRPNTSGQRRPSCLLSPRTRLRRPERRSSTRGGRVGQRTYELGERGSREGQQTRRRVRGLAACRVDEATRRCRRPRLHAPMAARTPPSPAARTPWSALCYLKADEKPLLRRRRGGMCPVGVPLSWLSVPPESRTKPTWPVRIRTVKAREANNVPLHHFGGD >Dexi9A01G0024100.1:cds pep primary_assembly:Fonio_CM05836:9A:20183048:20184418:-1 gene:Dexi9A01G0024100 transcript:Dexi9A01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRRSRRRISERSEDLGLEGAKNTAKTIPAEVSDEEEGDNSGDARPVRHAPATAAPKPKGTPGRAKKPSPLSTEDAGARGSGEASPARGAPSEELAAAAPPPLKKSKTKKTTTKRAKRHPPPSEEEDEAESGDRKAPADEATAVSPRCKIVASPRHKRDSAPARKRAKRGASRPLATRVEQGHAGNTSPPQMEDDTEEAPQMEDDTEEEEQEVAEHAENTSLPQQKDGAHEDGDMGVEVSDEALPERSASSPKISSSEGEKKPAVGRSWSQADELKILTTLVEHARSQGGALPDSTDLVANLTFDKTDANADKLSDKIRKLRARYHKLSSKGRPTDDIGSRLFDLSVLLWGQDDDDVQVEETFVTGDRDFTQQGDRDFTQQSSVYPYLAEEVKFYAEKHSSGHLILAAFPTIGDDTARQLDAMCKKQRLDAFKLELNQANLTKALLSAVSSHIN >Dexi8B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:8B:6458395:6460592:1 gene:Dexi8B01G0005960 transcript:Dexi8B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYAVPTLDETSVAAAVERHGRLDVMLNSASVVGPLSLATSKLDGLYLAAVMAVNRSPGSRRVMAPAGPGGSILCMASISGILGGLGTYPYSVPKLIRHQAELCRLGVRINCISPYTVPTLMVVGQFSVMLQGAVGEEHWSRRLTSTKAPQATCSIPREVTNTKTVRLHNAFASNGCEAAPLGQTSPPIISHSFQPKVYSIQAMELAKVSDSNSPSEVALSYDSHSDLLSKLPRREFLSQDLVFYKNYWFYPHFLEPIIHLQDSFRARPGDTILASNPKCGTTWLMALAFTIMNRSCYEVGNHPLLTHHPQQLVPFIEFPSNTNVTNVEKLPSPRLLATHIPFSLLPESIRSEGSRIIYICRDPKDAFISSWHFNQRVHGHAIDFDKAFNMFSEGSWLYGPFWNHCLEYWKGSIERPDVVLFLRYEEVMSDPVKYVKRIATFLGVPFSSEEEDFGVPEEVVKLCSFKMLSGLKVNQIGELSRNQSGDMVYEKSAYFRSGKVGDWVNHMSEEMASRLDHIMEEKLEGSGLTL >Dexi4A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:4A:1627296:1629922:1 gene:Dexi4A01G0002410 transcript:Dexi4A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAAPANSSCFHARAAANQTSSLRLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTVSHSSQTFTPLQIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAHRRDMRNLGLG >Dexi3B01G0022710.1:cds pep primary_assembly:Fonio_CM05836:3B:17403089:17403852:-1 gene:Dexi3B01G0022710 transcript:Dexi3B01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLWRKNVSFAISRHYRCFDVFEEAEANKAGGKYDNASIDYQLEFYKREGLTHYSSAKLPITTGNYFSP >Dexi5A01G0035770.1:cds pep primary_assembly:Fonio_CM05836:5A:37469486:37475652:-1 gene:Dexi5A01G0035770 transcript:Dexi5A01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDFDGLPMFVEDDDEEAAAAKQKRRQQSQKPRGKLPWEDETPEERAKAGLSLAMMKKLYEYDPKSGHGCYTRVWFVDFSTLDIDEETQYGPMRFTDSLIGDDYDLSDSESLSVLCLKIRSSDAGYPINVYGTVIVRDRLDMKCIYIFRRNRNNCQLLESEGESLILTGPTRGIVFSCDAYFETNLKIKEDKESGDRQFSKAMIDVDIAKVARGGQTRTIVSWLSEVDLIFAYVKNALEGTIEMAILSGPDVFDGKITVYTTDVPNHILLYDSDVHGPNSWGRGAPSSIRVSLLVFNTARKRFRLTAAPDRQGLRLEMARAVLSRGELCFLAFAGSPVATAPADRHLEMWMLVGDDDLSGDGPRWRLRERIWLDSADLLPAFVGAEAVEGAEKGEEIFIRQGDRIDTYNFREHLWHKVSVTKSASLLMHRASVLRPEVIFGKAARALVLPRHGAPSPPPSFDRALAGRLHAVAKATTNLYLSGLNFDLFHGRWHGSNSARQDVAGPPRRDVAFAEHDLDMFSASRVHGSWDGVLCLQLYHRFTRPVYHTLPRGMDYVLWNPLTKAFATVPTPPGRGRVIGGYAHPVTRRFHLLHSSDEPVVPVHVGDLELLDPVTFKVMQVGDDAGWRELPPPQDQHGTSPSIFMVAGRDRPVSAHGNLHWLVLQRETRKVTLLVFDTARERFFLTAAPDLPGLRMEMALAVVSRGELCVLAFVGSPVATAPEDRHLEMWMLVDDDDHHSGDGPRWRLRQRIRLFRRDGVDLLPEFMDATAVVEAVEGGEEGEEIFIRQGDRIDAYNLREDAWRKVGVTKDASLVMHRASVLPPEVTFGAAARALVPPSTDRFGELRRYFTYLGL >Dexi1B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:1B:24599376:24600280:-1 gene:Dexi1B01G0018360 transcript:Dexi1B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISCRTGGDRWANAACQEHAKDTPGAVRAAAASLGRNTSSCTREADAVQRPPPTGHGSLGKNAGREMPQPGIEGRIDFGGTSASERANGIPVAGSGGSAAVLRRRLSSSIMPVHNEEVDHEISNTSIRKFILL >Dexi5B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:5B:6913859:6914717:-1 gene:Dexi5B01G0009910 transcript:Dexi5B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDAAAARAALQWAVGNFIRGGDSIALLHVCPPARSRRKRRRLRLAGFQLALAFKDLCNGIAEAKVEIVVTEGELGETVVATVNKLGATTLVVGVHDKSFLYGAPSPYSRVRSLGCRVLAVRHHATARDGFLNAELTQIETISLQ >Dexi2A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:2A:1115206:1116483:-1 gene:Dexi2A01G0001620 transcript:Dexi2A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSSPPSHAPPAASSPSFDFGSHPTDVDLVNSILRPWVERGVRPPGTYAHAADLYAAEPSDLARRFRAAVDRNGERAWYFLSPLRAKSPRGRRKARTVAGGAGWWHGEAGPKPVVDNLEGRRKVGYRQSFSFMRKGGDGAPVRTGWIMVELRLHYDDDEDGGGGGIGEQLEGLVLCKVYRSPRHPDTAAAEESCAATAPDGWHSSSAVVVDDDRSSDALVVSDDETSIGAAAPTTPGPEEKTAAAGAKNEISGATTPAAARAEEEEKKAAGDENSAAIMAVARGREEEKKAAGDENENSAATMAVARGREEEKKAADDVDSSASTPATRKRVRIADDEGPAGAASARKKMVAAGAPATQQHLHCPQCGFHLGALQAVVSPTKSTSETETKTETGIGPADVPPRGAGTGGKDRSFHRFI >Dexi1B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:1B:9354888:9357665:-1 gene:Dexi1B01G0009980 transcript:Dexi1B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEAAAAAAVEGPIRAEAKVAVAPEAVAEVEAKGDDAPAAGEADEVVEEEEKEYKSDMRKLEDLMSKLNPCAQEFVPPSRRAAGKPAGGGVLSADAPVFVSAAEYYGAAGGHLQVGGGGSAGGGSRDSSSDGSSNGSGHPLNRRRRNSFNQGRRRMGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKRFFQGTCGKVSRLRLLGDFVHSTCIAFVEFAQVSNMRFLCYILM >Dexi9B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:9B:3324314:3325154:-1 gene:Dexi9B01G0005620 transcript:Dexi9B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASTEAGRRCAACKNQRRRCSQDCVLAPYFPASDPQRYACVQRVFGASNVARMLQSLPIHERGKAADTMAVEAQRRVQDPVYGCAGIVGRLQGEIRAVQCELAMTQARIAVHAAAARAQPVEIAAARLMNAPAQASPPPWLQQQEHSDEQAMLMRDPFQGLDALLADDYRVVTRLGFY >Dexi5B01G0021880.1:cds pep primary_assembly:Fonio_CM05836:5B:24199171:24201437:1 gene:Dexi5B01G0021880 transcript:Dexi5B01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDAVKVKIPEYNLDGPTIGEKLATLDLINRDNERNDTEEHTLSMVPPSADSVHVLLKQALRADDNVSLLTCLYNRDEKVISKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLSRHMSSIVSQESSLSLLNSLYQLIDARASTFKSALQLSTTLDYLFSEIADDEADDEEFAPPIIYEDKDTDDEESEVDAMETDGEEAEEQELGDVTDASEHSDGSEIMSD >Dexi3A01G0029830.1:cds pep primary_assembly:Fonio_CM05836:3A:32220788:32222907:-1 gene:Dexi3A01G0029830 transcript:Dexi3A01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELLAVAARAAVEWAMASLLLANGAAFCLIAAAAERLRLGPPCILCARVHRLLCSGSASAGEGRERDALRLLLCDAHLAAVEVEHRGEPDRRDGAAGKGGLAEADDPDMASGMETHRVVSIGSEICEQDHHDSEPHTAERSSIARTSSSEDGGSGGALVSLFELAPIIAQPRRGGGGGDSSVDPSTAAPADLVTVDEDGDERLTVGQIVAALREHRRELEALRWELAGERRARAEAEEHQRQLEEQGELDREAARLAMQLVHESESEKHSLQRQLDAFKVKAQLYQQSESAAAAAMDDNDQAGGEEGGRREANAGGDGNNYQSLVDFLPGSVYSSSPDLANLLKLYTESGNGVGRRRERDDDYDVPGIEVVEEEAEEEEVVAVDVTVTDAIESSGNGDATTAIAPESESLHERSTSSCHIEALTEAA >Dexi9B01G0032350.1:cds pep primary_assembly:Fonio_CM05836:9B:34584282:34586937:-1 gene:Dexi9B01G0032350 transcript:Dexi9B01G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVFSWIRKLPFCKCQHLENAIGLGNAGKLYATVDIDKARVGRTRMVDPVRSPHWNEFFRIYCAHDASNIIFTVKADDAVGATLIGRAYLPTRDVVFPDGGGQKKVVDTWLDVLDEKRQPLAGGAKIHVQLQFTDVADDPEGGWGTGIAGGRTPYAGVPRTFFQLRRGCRVRLYEDAHVAPGFSPRVRLDGGRMYEPRRCWEDVFEAINNAKRMVYVAGWSVNTGVQLVRDPRRPSTSETLGQLLVRKADEGVTVLMLVWDDRTSVDLGPIRRDGLMATHDEDTEGYFRPTKVHCVLCPRNPDKDRSYVQDVETAATFTHHQKTVVVDGGGSTNPNAPPGLVSFLGGIDLCDGRYDTQEHPLFATLDTTHKNDFHQPNFPGASIKKGGPREPWHDVHCRVEGPAAWDVLDNFEQRWRKQAGEDRINLLVTLDKAWASREAINDPESWDVQVFRSIDGGAAAGFPEGDDENSPEEAARLGLVSGKDHVIERSIQDAYIHAIRRAKDFIYIENQYFLGSSYAWQPNDGVTVESINALHLIPKELSLKITSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYRDVTLAIRAKGLQADPREYLTFFCLGNREAPRPGEYVPPERPEPDTDYARAQQARRFMIYVHAKTMIVDDEYVIVGSANINQRSMDGGRDTEIAMGAYQPSHLATNGPARGQVHGFRVSLWQEHLGQAAAAAAGDLLLRPSSLDCVHRMNEVARQHWDMFASDTFQGDLPGHLMAYPIVVGDDGKITGVPAEFPDTKAKVLGNKSTVLPPILTT >Dexi1A01G0032190.1:cds pep primary_assembly:Fonio_CM05836:1A:36858476:36860513:-1 gene:Dexi1A01G0032190 transcript:Dexi1A01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLAERLAALRSRIVVMSAHEALSVTRPRKRTFTQHGT >Dexi2B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:2B:129057:129787:1 gene:Dexi2B01G0000290 transcript:Dexi2B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLPGLNAPAAAAAAATATSRHAFSGSSSGSSRSAPHVVTCQASRRAASLGLGLAAVLLRQPDAARADDEPANNGWWLTEFPLPVPKILNKEINNPETGTRSFIKNGIFMADIGPSFAAHAYRLRSTAFDLLALEDLLGKDASSYVNKYLRLKSTFMYYDFDKLITAADDKPPYVDLATRLFDSFENLQQAVTAKDDPKISDRYAETKVILQELMAKMA >Dexi5A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:5A:23759064:23759489:1 gene:Dexi5A01G0019880 transcript:Dexi5A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTRSWVGSFSSAAHRSASSSSSSLDERENTSSPRRHKEPLSPRRMLATFWMSPAASISVSPLLLVPCRRRRRPTNLPPYQSHPLASGFRAVTHRIPAAILACFFGGALARSLAPFNGGRLPRRREQRRVGGFCRGDAL >Dexi6A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:6A:9183424:9186897:1 gene:Dexi6A01G0008650 transcript:Dexi6A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding YELHKSFHSSSHLNIDPQCSPIKSKENVADDLDSSLPLPVPKLNLSASKTPTNTCLRNFQALAPLPDSRHNHPTFNPVAALGKENDSPSLSQNENLLKDPEFADEDVLSRAFRRSSKALPLHLSNMLYFPICHEEHWSVFVVDIKDKKYVVLDPFFTRTDEYQQILSEKMRSAFEFYWQKYIHFDMGFDEYDFIFPVVPQQPLDNTLVSYFNS >Dexi2A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:2A:10482806:10483324:1 gene:Dexi2A01G0009640 transcript:Dexi2A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAWSKRWIRPEVWPLFAATGVAVGICGMQLIRNITGNPEVRVLKEKRAAGVLENHDEGKRYSQHFFRRFIDGRKPEIMEKLNSWMADPPKE >Dexi9B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:9B:4617385:4619874:1 gene:Dexi9B01G0007550 transcript:Dexi9B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIILYILAITSATSTNAIASQNDKFVPQDNYLISCGASASVQLDDGRTFRSDPESTSFLSTPADIEITANNFPTAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWIRLYFLPIPDKQYNLTTATFSVFTDDMVLLHDFSFIASPLNPVLREYIVTTQGDNLKIIFTPKKDSIAFINAIEVVSAPASLIPNTTNSLPPQEQFDISNNALQVVYRLNMGGALVTAFNDTLGRTWLPDAPFLKLEAAAKAAWVPPRTIKYPDDKTNTPLIAPAFIYSTAQQTASTNTSQAIFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGMMGVSNLDLSSLTMGLAVAYYQDFTVDSSSIINSTLMVQVGPSTTDSSYTDAILNGLEVMKISNQANSLDGLFSPKSSSQLGKRTLTGVGLALAVIAAALGMVICCRRNRRPEWQKTNSFHSWFLPLNSSHSSFMSSCSRLSRNRFGSTRTKSGFSSIFASSAYGIGRYFTFAEIQKATKNFEEKDVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTWYRKGELNKIIDPHIAGQIRLDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTGNGIPMKSFNASGFDDMEKPSSAMPPVQGR >Dexi2B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:2B:8450606:8451237:-1 gene:Dexi2B01G0008390 transcript:Dexi2B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGPSAPPATRSRPADATNAGVLPPDVLFDVLLRLPAKELCRLRAVCRSWRALTSGPLFTGAHAAGHPLFLANVRGDRTHIRVVDLSGNVVKRIPIPDGHLLPTSFDLACAATVRNSCHVLDPATGGVHVLPKSPAAEHVGQEHLHQPYTSFAFGRIDDATGEHKVLRMFSRPYLFGLHQHHLFEWFWFRFQPTAVEGEAEP >Dexi9B01G0043440.1:cds pep primary_assembly:Fonio_CM05836:9B:43391680:43397468:1 gene:Dexi9B01G0043440 transcript:Dexi9B01G0043440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICDELRSFEATGVYRLDGTGATFLDPVRLLNGSYQRFRVVPSAYYSRSFEPPPRQVGDLETEQPEKRRKRKRNQKPKPRELNAMERIAEARHQEARPLLLSAHESLIKDKQLLEYLSKTTDGKEHTPDAGSVSENNFVELGTSWRAPFYEITICFRKPHILGNWEGSFDVQKTSFSLFNSIINVEAIDEAEGEFQNRHYILPRESCFLMTDFKHVRDLIPGRSNQGYNLIVVDPPWENGCVRQKEAEKLWVFVEQELLPTWGVKDPTVFYWLKVKPDGSLIGDLDLFHHRPYECLLLGYINVNTDAKQGSNFKLLEGSQVIMSVPGAHSRKPPLEKKTTAPDDGAACNCCRTIANKPLTPGCRTENPPKSSRNNTLQLQMPGVTSESPELRRIRTAQPTAPSQVASARVKLAERAKAPANSKAKGLDDQEKRCKSCRGESGKLVPSARRRSSPPSPPVGPDAGRTRCSWITANSDPQYAAFHDDEWGVPVHDDRTLFELLTLSQALAELTWPAILSKREEFREMFDGFNPASISEFTEKKITMLRSNASVLLPEQKIRAVVTNAKQMRKVVQEFGSFSNYCWSFVNHKPIRNCFRYARQVPTKTPKAEAISKDLMRRGFQFKACSEHKASETIVRAEPALPDRRLSSLSSEDSDIREM >Dexi5A01G0025530.1:cds pep primary_assembly:Fonio_CM05836:5A:29365650:29367032:-1 gene:Dexi5A01G0025530 transcript:Dexi5A01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKANTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Dexi7A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:7A:29984348:29987035:1 gene:Dexi7A01G0021360 transcript:Dexi7A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKMETPQIEMGGFKVNSPQVPNGGLRPTMAGSWDSRTAVQRTLRSIRIVIFTSKLNLLMPFGPASIILHFTSRRHGLVFLFSMLGITPLAERLGYATEQLAVYTGPTVQATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEEEEPNEDATEEEEEAEIGMWEAVTWLAVLTLWVSVLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVVLLLTFELIPFCVVIGWMMGQTMDLNFQLFETATLFITVLVVAFMLQVGS >Dexi6A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:6A:16028860:16029236:1 gene:Dexi6A01G0011240 transcript:Dexi6A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDDDDVEPRLRAVQGYYFVDDDDAPVSFDVLPFQFDAAEEVPSFKKDVYLRGSADGGLQNVYKQVVAWKLCLNGESPEITVLCTEGNWITLLKPRPSYEETVRSVLPHCL >Dexi7A01G0023070.1:cds pep primary_assembly:Fonio_CM05836:7A:31211090:31214868:1 gene:Dexi7A01G0023070 transcript:Dexi7A01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAVRPPGPDPPAEDPEQEEEEDFYESLDRILSSSCSSTSASDDDADHRRRRRAHHHHPQPHASSAYDVWISEPTSVEERRRMLLQRLGLSSDPPPQQQPPSPRRSPRSPSPSGSPPASPPPEPAAEEPRSGGLGKPPLARNPSSSGGEQCRIRNLDDGTEFEVVEVHEEVVREVGTGRQLTFEEFELCVGRSPIVHELMKRATTAASSSASDHASPASKPRRKPGGGWLRGIRQLAGSVAYGRRSTEEGEKEKKEREARRLSSATDDSLDGSGSRNAGRVRVRQYGKACKELTGMFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGERKGDLLGEASVAKENGGACSPFLAVVGNDSPEIAALSLTCADGGYVEKKRRPRKQSNRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLISSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVRDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGGCHIFDTSEKKLQYKSNIDLRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDEFVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHENSSHPSRSRSTVDVTNSYEHFHCHGVTVAITWPGSEARGSFGSRSSRHSDSDGAVSSGRDIPVENTEHNSDPVENSESPGCEGVGSRSSGKLPGDGASTSWPDEKLPSAKSSPGHCSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >Dexi9B01G0030790.1:cds pep primary_assembly:Fonio_CM05836:9B:33209949:33213578:-1 gene:Dexi9B01G0030790 transcript:Dexi9B01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPRGDKNTLVPPFPFWVEAAGPRVNVASHATFPPRDQRRSGWSPRLPPTHQTPTRAAAALPQKRKRSPRAHTAPFPFPXXXXDGARRPPRPTLALPPRSAVESLFAGGGSSSSAGAAETSPGPLTLAAALFPDAPSPAFHGSFTQLLVGAIGSPAAAAAAASSAVPPPPSPFSVPPGLSPTALLGSPGLFSPTVRSSLPASNLTIALPTLSPARRSLIHLHVPTPAQRIIVQTNSEVDLLDDGYRWRKYGQKVVKGNPHPR >DexiUA01G0009070.1:cds pep primary_assembly:Fonio_CM05836:UA:17307223:17308269:-1 gene:DexiUA01G0009070 transcript:DexiUA01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRYPGHQHSAEWWLFKDLLRRGPRDRPVARVDDPHDADLFYVPFFSSLSLVVNPIRPPAAANVSGVAAAYNDEAMQEELLEWLEGQPYWRRHRGRDHVFICQDPNALYRVINRISNAVLLVSDFGRLRSDQASLVKDVILPYSHRINSFKGDIGVDGRLSLLFFMGNRYRKEVNP >Dexi5A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:5A:1695613:1697343:1 gene:Dexi5A01G0002400 transcript:Dexi5A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSHLLLLCLCLALVSGLATASPSPRDLRRFSAGDIAAVEATLPRHRRSSGTTFFEVDRPLRPPKGSSGPCSTLLLSHSFAFTLTKPPVTAAYSPPSCLLGAGASVSLAVLEWRAECRGVQYDRIFGVWLAGVELLRGSTAEPRPGGVSWSVSEDVTRYAPLLAAAGNNATLAVYLGNLIDDTYNGVYHANLTLHLYFLRRAAARPSSPAPADVVVPISRSLPLNDGLWFVVQNSTDVQSTPVSVPPNAYRAVLEVYVSSHYADEFWYMNTPEENGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPTYDIELTPFLGKLLAGGDHEVGFAVTNAQSSWYVDANLHLWLDAKSSKTTAGLIAYDAPKLSGSIVSRSADGIDGEYDATASRNITATGWVSSPSRGNVTTTFTQRMSFANTNVVSSHGSAQAINQTTDAVTTVVFAGEQKQEVHQSFPLYIFLGGDGSGTSSQRLMRRVEIGFDESRSGGAETSTLRNRQAAAAEVTVKDDAVVGASWRMHQTYAYSDSDGGGCYVRNVSSVGYDVLFDHRDASCDGALVGR >Dexi9A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:9A:11983884:11985673:-1 gene:Dexi9A01G0016890 transcript:Dexi9A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSPSPVEAAPPVEVIEEDEWDADGYVIPNLLTEDNDVIEPSIPEKKDPEPQQAKDEKIYLGPHGAPPAQAKQQELNTSGRKQRLRNKLKEADRKFTGNAQENKVESLRELMGARASGTSMPRSSPRDWLDPHCHESEFDRKPNR >Dexi3B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:3B:11484806:11486836:-1 gene:Dexi3B01G0015870 transcript:Dexi3B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTENYDPCYPDQPVVDRYLPIWAMLPAFAAKPAFIWADDDDDASPSRTALTYSQLDSSVGRVARNLLGVLRRGDAVLVLASPGLRLVTLLFACQRAGLTAVPVIPPDPARFGPAHEHLLRAVSQTKPAAAVADAVYIDAVTKTAGSVVAGEEGESGRLAAMLSSLRWLAVDELEREPRGGGGPGASSPAAKAYVGCGPDDVYLIQYTSGATGVPKPVMVTAGSAAHNVRAARKAYDLCPGSVVVSWLPQYHDCGLMFLLLTVVSGATCVLAAPGAFVRRPRLWLELVAEFRATCTPVPSFALPLVLRRGRSHGRLSLELGSLRNLILINEPIYKSCVDEFVQAFARDGLRVASISPSYGLAENCTFVSTAWRATCSDDDLPSYKKLLPSARLSSMGSNVSAEIEIAVVDEETGEPVEDGVEGEIWVSSPSNASGYLGHPSASHEAFCARVPGRAGACFVRTGDRGVVKQGRGPERYLYVVGRSADVITVLDDGGRRLRRVHAHYVETAAFGGAPPGRLRGGCVAAFTAPTSTPRSLTSQTDVAVIVVVELQKGSGGGDGDHRELCDRMKAAVWREEGVMVGLVVLVDGGVVPKTTSGKLRRGAARQMLLAGKLRAIFEARYDDGGDAVAGVREEGREEMAGKSVDGWLVAGEGGETDMATAFGSASRRLRLQSFL >Dexi4B01G0023360.1:cds pep primary_assembly:Fonio_CM05836:4B:24705454:24706902:-1 gene:Dexi4B01G0023360 transcript:Dexi4B01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLAMEGFIVLCLVISMDVLGCAASSGVRQPLPMYVFGDSTLDVGNNNFLLGLSVPRASIPYYGIDFPGVPAGRYSNGFNIADFIAKSMGFVSSPPPYLMVASNQGLLVSTALETGVNYASGGAGILDTTNSQQQIIPLSWQLQHFKDTKAKMVAAAVGSAAPPVDALLARSVFLISVGNNDIYGLASAIFSNLISNYSATITELYAMGARKLAIINVGLIGCIPAARLSQPLGACDAGKNQLAAGFNVELRSLLAALAARLPGLVYSLADSYGLTKDILDDPQASGFTDIASACLTTTTVCPTRFERDHHVFWDPFHFSQRACFLTAQALYNGPAKYTMPINFMQLMSG >Dexi6A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:6A:12850101:12850751:1 gene:Dexi6A01G0010420 transcript:Dexi6A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHHQSVLLACLLALAAAAAPSPSPAKATKPPAAVSPSIAVDFIRRACRTTEYPRVCESTLLPCAAAVGRSPRRLARAALVVGADRARNCSAYIHGVGGGGAATSKGKGKAAAGAMKDCAEMARDAEGMLRQSAAEMERMGRAGTPRFAWALDNVQTWASAALTDTSTCLDSLAEAHDERDAAAVRRRVIAVAQATSNALVLVNRLDPAQHRLL >Dexi2B01G0036520.1:cds pep primary_assembly:Fonio_CM05836:2B:43323443:43323761:-1 gene:Dexi2B01G0036520 transcript:Dexi2B01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGAGLIGMEVGDAGDAGGERRPAKVAQVAEALGDVMCRRRRRRREVLRGGFGAASIGTQSPLPKPSSSKETRTAVPPEEEEEAAAACSGLGWAAAICR >Dexi6B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:6B:4688695:4691385:1 gene:Dexi6B01G0005410 transcript:Dexi6B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRSKSYAMANGSRKLPYAFLLLLALAAGILSVVVLQKVREQRIFAGRLQERDRQLVSLRILLQKEKAFNREMKRKLEEMKATTTSLRTQKLDQKTKLKGLEATISNLKKTQKELEAAVTEKDNHINLMEESATNLKKARKELEATLTEKNRYIRHLDEKATIATNTKKELEAILGDKDIRIRQLEEKATGSNPDQMAALMEILQRKEAELEEIKTRFQDYKKTDRVAVNSTSTHVQTNNTRADPDIMVVKKPTNASSVATLAKSEEKRSANTTVVQSAKPEEKRSVHTTVVQSAKSEEKRPANTTIVQGAKPGEKRSANTTVVQGVKPEEKRSANTKVVQSVKPEEKRSANTTVVQNAKPEEKRSVITTGVESKHPKERSLEEKVVKFTTNTEDDGTKGNLDDFDEDIDFDDIYGESRSKKSGPPRRNKKLTNSPDGIGQSVNSLDQDSDRVRYNRLLEKENAKDANKSKKTNANGTSEKTSKDSLAHAGHTTSEKAVQGMPGAAAADVKQSTNMPLNNDEARQQNRKQKKKKPKSKKKMADTADTNVGGEVAKQRAPGATSI >Dexi8A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:8A:30558550:30559287:1 gene:Dexi8A01G0018220 transcript:Dexi8A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATKPIILSTAGGRRRLIVDLPDPPFSPDGQPLPLPGPHDGSGYWTPTRVVCIVVLFFFVILVVFLLRRSCRRRTDDDEEDHQQDEPRRHNVERRPQRRPPATTGGGEGRRRSRSRAAPRQHHQQRHQEVGQVAVSVAGDNVESSSASEKKTGRKKQQEEEEAAEPMEEETCSVCLAELEDGEAVRVLPACMHYFHTACVEEWLRKSATCPICRAPLTMVAAKAPKVAGAARPNNNSLIISSS >Dexi9A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:9A:4409264:4410582:-1 gene:Dexi9A01G0007570 transcript:Dexi9A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAELAAWLESRDAHWCSQYQAAQAPDEESEIVAQFLAAPYPYQNDDDDDEQEQKHQHHKLGEISATTSSTYWPELGHVTDAGNGACYWPSNGDASNSNSSGSGAYFDGSGSCYYYLAEPDVSLGINTLTTLPCASSSIDLNLLGDGEEEGAASFVHPVVPPKPLPSDHTHKAGHRRNGGDEADAARAVVSLPKRKAQAGQDGGDLGRHKKKEKKAASKTAQKCSQESAQSRGSCSAEESNCSEVNRRSGAHGGGGGNAKARAAKGSATDPQSLYARRRRERINERLKILQKLVPNGTKVDISTMLEEAVHYVRFLQQQIKMLSSDEMWMYAPIAYNGMSLGIDLTVSPPQ >Dexi6B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:6B:2579052:2579774:-1 gene:Dexi6B01G0003120 transcript:Dexi6B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATGGGVELSIQMPAGAHASDAAGDESQLRESADVPPPPAADGAADDGEPSSEPEKELNFFVRVLATEELVGNALGTLASLWATFNL >Dexi3A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:3A:6857110:6865887:1 gene:Dexi3A01G0009730 transcript:Dexi3A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os05g0125000)] MSDLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVERVLALLSDEQGQRHHGWGRKNALTREAVAKVVDDTYRFLVTTGNPLLPVKLMSSGVGNDGTNVSQSVEKTFQLSRSDRETVQRQEYELQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPMVTTCSREGINKISLSRVDTRTFCFGVRIVRKRTFAQVLNLIPKEGEGESFVDALARVHRCLGGGGATDNADSDSDLEVVTESVTVNLRCPWQCPICLKNYSLENLMIDPYFNRIASLLRNCSEDVNELDVKPDGSWRVKGDAAPRELCQWHMADGTLCDSKEDTSPAVENLNEFKIEGTSDLPKSLKLGIKRNPNGLWQVKKPSMVGNHIQNNTGFPAPNTVPMISSPTGSYKDGEDASVNQEGGGIQFDISLNQEFDSFPPNFGQSYNTEDRQQQQQHNAADVIVLSDSDEENDTVVRQPAVYDNTATNGNTFPFTTNGAGSGYPERYQEDAGVGTSGLGILSSSAGDFEINNWQMHPYPPPEQGFQFFGTDTDVANPFVGSHNSFNIAPDDYSLDCNVGIEEPPAAHDISICRNSNEMHGSLVDNPLALAGDDPSLQILFPSQPSTVPLQEELSERSNAPNGVHPDDWRISLTLAGGSGNEESTSADGLKSQPKVSSKGPGVKPLIDAGLSPFFEFNYFLL >Dexi5A01G0023310.1:cds pep primary_assembly:Fonio_CM05836:5A:27334457:27343391:1 gene:Dexi5A01G0023310 transcript:Dexi5A01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHGILLAVGIISDHFGPLARRARPPPATASRTQNPSASEAARGAMASQHGILLAVGIISDHFGPLKVCDCLLRHSALSLQEIIRRLELSPGQVKNSLLVLIQHNCVQAYSATRAGRLPGSGDKMVTLYLAIFDNILHRLRFSKFLSVVRAEIPESEFLLDGLLRNGRLTFDQLVERTIATAPEGSTKPTREEIGMNFNKLVYAHYVERCPKPEPSFDPLKDEQPTATKKRGPKTVETLVLSLEQKVVRTAVLSDAERFSEIPYYMEGSSNANNDPHHAIAGDKFCAERKKAKMKVGTLAIWESFFEAIVTNNDTKTDLNKLVETCRTDEIESLVKKKHGQEAYTIFRLLLKQGCPVETDEITDRTILDKQVVHETLYKLWKDEYIDSERVPSAASGTGNTQFFVWRVRNTYREHYIDDLYHAALNLRQMVNYMAELLLEVSHLSSAITIIPCSEL >Dexi5B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:5B:2577543:2582422:-1 gene:Dexi5B01G0003730 transcript:Dexi5B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPARTLADLDGDVLAHCAGYLGARDVTSLAMACRPLRAAAYCDAVWHRLYRDQWPVEKVPCRASGLRELYIQRHTEVHQMKFDDPQSAIYYLNPAETTPNHLMLDRNSIWLCQGPVAKKFTSFFSVVEIHRNHAARITCMRLFPLIDTQLCRNDTQNDENALVTSSTDRTIRLCWKGQSRGYKGHSAPVTALADKLLVGGECKVLASGGEDCTIRLWHKPSLLVSSSKDSKIKVWDTVAPSSGSSSCVGSTHINSSSPPIAMKCHESLCYMAAGSEVTMVDLRTMKKASVLALDNHRILSCEMLPSEWLICTGTKDKALLWDIRKAQELPNTVAEMQSDGPVTLLHLDRYKVVTGVPSNGEVHVWETPTGGLLNTLSCDEPTRSGGRNLLSAMAVDGCRIAMAGGSPEGGSVLHYRDFLKSSVPVSLPGKEVSRFWRDNTDDSDGEDYY >Dexi9B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:9B:3243602:3251230:1 gene:Dexi9B01G0005530 transcript:Dexi9B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAAAAAQPGMVVVLAVNGRRYEAAGVEPSMTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTEFSASSCLTLLGSVDRCSVITSEGIGNSKDGFHASQCGFCTPGMCMSIFSALVKADKAADRPAPPAGFSKLTTTEAEKAISGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGSEPAEVGKLPGYNSGAVCTFPEFLKSEIKASVQQASDVPVPVSEDGWYRPKSIDELNMLFESNSFEGDSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGIELGSVVSLSKAIDALSDGNMVFRKIADHLNKVASPFVRNTATIGGNIIMAQRLQLPSDIATVLLAAGSTVTIQEASKRLCYTLEEFLQHPPCNSRTLLLSIFIPDWGSDGITFETFRAAPRPFGNAVSYVNSAFFARSSGGGLIEDICLAFGAYGVDHAIRARKVEDFLKGKLVTSSIIFEAVQLLKAISPSEGTTHAEYRISLAVSFLFTFLSSLANSLNETTKINVPNGSIQHSPEEHLKVDSNDLPIRSRQEMIFADEYKPVGKPIKKAGAELQASGEAVYVDDIPPPKDCLYGAFIYSTHPYAHVKGINFKTSLASKKVITVITANDIPSSGQNIGSSFPKMGEEPLFADTIAEFAGQNIGVVIAETQKYAYMAAKQAVVEYSTEKLQPPILTIEDAIQRNSYFPVPPFLAPKPVGDYNQGMSEADHTIISAEVKLESHYYFYMETQVALAIPDEDNCITIYSSTQIPEITQNVVARCLGIPFHNVRLITRRVGGGFGGKALKGIHVACACAVAAFKLQRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITALHLDLGINAGISLDVSPVMPRDIIGALKKYNWGNLAFDTKLCKTNISSKSAMRAPGDMQGSFIAEAITEHVASVLSVDTNTIRRKNLHDHKSLIVFYVESAGEASTYSLVTVFDKLASSPDYERRASVVEHFNDNNKWRKRGISCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEMGQGLWTKVKQMTAFGLGELCADGGDCLLNKVRVIQPDTLSMIQGGFTGGSTTSETSCEAVRLSCAALVERLKPIKENLEAKAGTVEWGALIAQASMASVNLSAHAYWTPDPSFTSYLNYGAAISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYTTNSDGIVINDGTWTYKIPTVDTIPKQFNVELINSARDQKRVLSSKASGEPPLLLACSVHCAMREAIRAARKEFSVCTDPANSIDTFQMDVPATMPVVKELCGLDVVERYLKSVSTNGSTTVQV >Dexi5A01G0031620.1:cds pep primary_assembly:Fonio_CM05836:5A:34238064:34238705:1 gene:Dexi5A01G0031620 transcript:Dexi5A01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKHGEEPARVVKLRALEATPESFAPFGQVIAASHDGDEFGPHDAQLDLSRGIPRFYIMRLEDRPLEFSSITHHAGVTQCLGSIGGQDWYLGVAKPSIVDGPSEQSGQEGRNPVQSHAGHYYLPPDPADVYVFRVSGPKFLKLNKGTWHAGPLFKADAVDFYNLELSNTNVSAKLLNF >Dexi8A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:8A:6870518:6872592:1 gene:Dexi8A01G0006620 transcript:Dexi8A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATAESATPNWADLPFDLVGNISSRLHAATDYVRFHATCLPWRDTLPPATCRPAFLPWILSPRDATTGHRKARCVFSAKSSRRAAATTEILVRDRRWVIGADGGASACLINTTRPQSSIAVDPLTGSAASIPLPRCEDETMKTWGKRAIGVVSGDGTIIFYAYGPVTNEYGHSFHVALLRPGDAAWTFVQRGDVYMPSESWRCCCVAYRRGRILMCHDRWWWLLRLETEPGMWHRREGWEPDEPCKVSVSNYLVESQGEILWAFVQVNAEYYHRKVWIQGVGLLEDLTDALSVSVYMLKEDEGREPEWVKKDGLSLVDRVLFLGRPRSFAMDASQFGFSSGCAYFVVRSEVYGGIWSKSAVKRCRLFRYSFHDGKSEFIEQLPDDWNHNDCMWLTPQPALATTEEIRGRVEALNPKAGVSHGQIGTYFRIHVGNLPRMVDSYQLRQFFSKYGKVADARVMCGKGTRRSRGFGFVTMATHVDEEPRDTIARLHGQSLDGHILRVKFAHQEGDG >Dexi9A01G0028660.1:cds pep primary_assembly:Fonio_CM05836:9A:33451158:33452962:-1 gene:Dexi9A01G0028660 transcript:Dexi9A01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSTAKRQHPGYEDPVHLASQTAFSVSEVEALFELFKSISGSVIDDGLINKVFSVSNLRYPFIHLNYMTVSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKVS >Dexi9A01G0044110.1:cds pep primary_assembly:Fonio_CM05836:9A:47721111:47729855:-1 gene:Dexi9A01G0044110 transcript:Dexi9A01G0044110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTVTLEKTTTVVQSPRNKDVGSSPRTTMTTPLLVKGRKIGGDVGEDVVAEKRCCGHRHKKLELASYDELPEFLRHNEFIVDYYRSEWPVKEALLSAFSIHNETINVWTHLIGFFVFLALTVCAATMVPMEYEAPHSHLTTSMGVAMANITGNNAVVLRAYSTDGAAEVVAIKALQRRPTNNVSIEAEVAAGVLSSPAAAHHRVTRWPFYAYMCGAMFCLLMSSLCHLLACHSEHASYVFLRLDYAGITGLIVTSFYPLVYYTFLCDPFYQSLYLGFITVSGAAAVAVSLLPVFERPELRWARAGLVPERWMPGRFDLAGHSHQLFHVLVVAGAYAHYLAGLVYLGWRDVEGCWC >Dexi5B01G0021530.1:cds pep primary_assembly:Fonio_CM05836:5B:23840930:23845054:-1 gene:Dexi5B01G0021530 transcript:Dexi5B01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALPFLRWSRSASTLRACSSSTATPYRLFSTLRRPAAAARCESGSKVMLKGMDYSELENWVRAQGFRPGQAMMLWKCLYGNNSWAHCHDELVGLNKDFRKMITEHADLKALTVKDIVTASDGTRKILFSLEDGPVIETVIIPCARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGAITNVVFMGMGEPFHNIGNVIKASSIMVDDQGLHFSPRKVTVSTSGLVPQLKRFLRESNCSLAVSLNATTDEVVVRNWIMPINRKYNLNLLLGTLREELCLRKNYRVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNVLIQGGLIVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >Dexi8B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:8B:16437884:16438447:1 gene:Dexi8B01G0009320 transcript:Dexi8B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVRNLLVPPLQLVVMVQANPFSWSWARALERCAPVSVEVQQTSNGEKVGPDPVFEVLVRNRCECPVRRVLLHAEGFTSSVPVDPKLFRREGSEYLLGDGSQIPKRGEVQFRYAWDRAFDISPVALQEDCSGVHEFTMS >Dexi3A01G0023390.1:cds pep primary_assembly:Fonio_CM05836:3A:19066311:19068006:-1 gene:Dexi3A01G0023390 transcript:Dexi3A01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMISSFLHSWSKDTLKVVIFFSTCDSVDFHHTVLSQLEWSSGPQLDVDKKQKFLSCKVFRLHGDMEQDDRKKSFLGFGSEKSAILVSTDIAARGLDFPKVKYIDATKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKREGSFAKRRKLPSKK >Dexi7A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:7A:18724217:18724735:-1 gene:Dexi7A01G0007330 transcript:Dexi7A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAASVSALLLLLGLAASTWAAEPYYPPTVPELMEKFGLPPGLLPETARRYLLQSDGTFQLFLDDGCVVEAGGYRIGYDIKVSGKVSPGAVTGLGGVRVRVLFAWVPITAVEVAGGEVTVHVGPLTKSFPVVGFKSSPRCIIAGAAAAVDASLPLVESAPVDAALPLVE >Dexi4B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:4B:2182227:2183676:1 gene:Dexi4B01G0003220 transcript:Dexi4B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRNAVNQSPPILLDHRLLFDRAIVIGLVCPDAAAAHQVFVRLVDFLERAGAYSRAARGRQQRLCHARPPHLYGKVCVHGERPRQVIVPRALLSVKLGVPRTLRSGGNTAAARHACNLGGDIRVKVERGLGRRISQPERAETTNKIIIPPTPTQPKPL >Dexi5A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:5A:17304207:17304584:1 gene:Dexi5A01G0016410 transcript:Dexi5A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTSPELPPSLIHSCVTQPSCWLPHASSVSAAAAARQSMPREFVPSPPEPPCSSTSSSAIAALQLDLLISCCHCRCPTSPVPHLPCAASSAFALCTACFAAARRPAAPYPRVLEGAPPWCRLPP >Dexi2A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:2A:13824434:13829229:1 gene:Dexi2A01G0011890 transcript:Dexi2A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTAASSAAAAAFSSSSRQLQPRPARAARRRLVVRADVKVISTGDACRRGLAAGIDKLADAVSITLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNALEHAGASLLQEIASKTNSAVGDGTTTAIVLAREIINLGLLAVATGANPVALRRGIDKSVHELIKILKSECIPVSTKEDIKAVASISSGNDEYVGNLIADALEKIGPDGVIKIESSSSIYTAVEVQEGMKIGADDGHDGSGDGDGGNFSMWRGTPDGGDEEACAVGAAV >Dexi2A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:2A:12780309:12784245:1 gene:Dexi2A01G0011140 transcript:Dexi2A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKAAEPQRRLLRLCSWCFVIVVLVVVATASVSASGLVVDKANGAELFYYFVKSESSAAAADDVPFLLWLTGGDRCSVLSGLAFEIGPVNFVVEPYNGSLPRLRYNQNSEPRGYDVGDISSTLHLYDFLIKWFSDHAEFLTNPFYIGGDSYAGKIAPFLAQIVSEGIEAGSRKLPNLKGYLVGNPGTGEIIDYSSRVPYAHGVGIISDQLYETILGHCQGQDFFNPSNALCAQALNTFNNLIDQVQKSQILLDKCVYASAVPNIGRKTDGSDGRRTLREEMGAGELNHPPARPPVSCMTYGYYLSYFWANDRRTREALGIKKGTVDEWVRCHDKELPYTNDLGSVIKYHRNVTTRGYRALIHDRLLKQLDIRDNQGRWDTAPEYEPERCFAMFSRWILNRPL >Dexi2B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:2B:2901731:2902540:1 gene:Dexi2B01G0003240 transcript:Dexi2B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILRALKSPLLAADVDSPPPSPTKPGTRGGGGGGGGVAFVRADSFSDDASFFDAREAETPTKGHRHPSAEPLDAWELVDQDGRAVPAPAADPDPLLDFPARCPPGGEAMVVLYTTTLRGVRRTFEDCNVVRALLENLAVAFQERDVSMDRGLRDQLWAVTGEKSVPPRLFVRGHDVGGAAQVLALHEEGRLVPLLLLPPSANEDDGKKAPTKKSKCEACGGLSFVVCGECDGSRKVFDGGRGGARCRGCNENGLVMCPICL >Dexi1A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:1A:7255346:7269313:-1 gene:Dexi1A01G0009070 transcript:Dexi1A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPRRPRLLALAWLAVALLLAVATGGCLCRSVPAATGLPSRVLLQATPPSPDTTAPPRAEGGYEEMPSPGGRRWQPDGDQMTTRRSSRKMMDVVGGSCVRSTCEGSTCYCPMDAKVMAVLFAVFMACLVSAAKCEGDKVERSSAGGYQQQWGNGGEDMSKTNKVTLYFCQERFFCHPGRRTCYCCLTLPNKPCYWDQHECWKICPGGTPPYQPPHAAPSPAPAGRLLDHSLHFPPSPPIGKMDAKGLTPILLALLLSCLAFPAKCNTFTLSLGHFRSRIFQRRKMTNSSVLAGKGGGDAETWATGGLRRWPDDGEEDMLGSSKLNIVLCIKSRCNPDNRTCYCCQTIPSPNCWLSQKECWDSCPSRRQLHVGSLPPAPVPSSNIDEPHYSIKISVHMQPETVVDEQTNHELLNFGTGQDNAPQIGASDCPIPPLPAALLRMQGHASEKNGVALSGTTTRTCRLSDVPFFLTRGVAREALDVDPAT >Dexi2B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:2B:2705903:2711036:-1 gene:Dexi2B01G0003090 transcript:Dexi2B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQRNRPVNPGKRPHPPPDAEGPGTSTAAAPGPGPTSAVDAAAALLADAGCTLLVPPHQAPLLPAPHAFAARLGRALSADPSASARLLAGITAFADASPARLRQLLLPTASAPHAPSLARALLSVPAIQPGLLTLLLEKLPEHFHDGGALGGLPLQDDVGRLIVSQFRWLDFLVDADSFVEKLVEVLSVAPPRLKKEIIGSLPEIVGDQSHASVVVALQKLLQEDSEVVVAVLDVLSDLNLNEELQEQAVTIAISCIRTIAADQMPHLLRFLLLSATPANAGRIILQIREQLRIVGAIDPRAARSKKLKGKASANSTDGAILDTLRSGLRFKNMLCEIFLKELKSVDHPKDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGYIRETLFDQCIHGNAEVVKEHFMSFLSVSDYLLACKEEKAREFASYLFTALFEEFSDTYSRQELVGSLVAHIGSGVSYEVSSSLDIMINLTSNSSEELISISSHITGILDYLESFHEDNLRKVYDIFCNLALAAGFNTGSGASSVANELLMVVRKQVSNPDMKYKRMGIIGALRIVSTIAGADVNAAVNCSPSQQPNCEEALELLKMSVNSCKFLTLPLIFLYDELVSLLESKVLHSAIRDWVGEHVAEFDTPFLADLNNGELSEKHLYDGIEGELWMNLDGNLSPICVNIMPLASSSPQKSQPCLQILSSQFSLLTTIERLVNEGSLGGLNALLGCPLHLPSTKHLDVAKWGNLPGLQKKAVCHSLYYAINWIRELLNAFSTQVASRVDNFSQKARDETAVKLLKRLRNLM >Dexi3B01G0036440.1:cds pep primary_assembly:Fonio_CM05836:3B:39303013:39308033:-1 gene:Dexi3B01G0036440 transcript:Dexi3B01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGGAAASRRAGGGGDLPLRPLEPPRDPLEFLSRSWSASAADVSRALAAAPAPAMAVAAGAGAAAIAEDVAGELDCDGGGGGGGHASGSSFSFASAATSQLILDRIMAQSVEVSPLTSGRLSHSSGPLNGGSSLSDSPPVSPEIDEAKFCRLASTPKPQPYTRGGSKTVGRWLKDRRERKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASSGSGKDDRGARTDMAVASAATLVAAQCVEAAEAMGAEREHLAAAVGSAVNVRNPGDVVTITAAAATALRGAATLKARVWNVAAVIPVEKSSMAGGAGHHQQHGYKDNSQLKHYQHQQQINQRELESSNSSSSCFSDDLVLAEENNFLGICTQDLLARGTELLKRTRKGSLHWKVVSVYINRTGLVMLKMKSRHIGGTITKKKKSVVVDVCRDLAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGSQREHDMWTKGVARLLAIADGRKRAA >Dexi6B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:6B:27056525:27061815:1 gene:Dexi6B01G0020600 transcript:Dexi6B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTADPEEEIRARVVVLGAPHADAADEWARPELEAFHLPSPGPSSTSGFLATASPPQQQSAAAAPAPAPPPQAPGPAPPTSPPPNGRPSSISNSNSSSKTPTPPAALRNLFRFADGLDCVLMAVGTVGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLDAALRQDVSFFDTDVRASDVVYAINADAVLVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSARSQDALSNASGIVEQALAQIRTVQAFVGEEREMRAYSAALAVAQKVGYRSGLAKGLGLGGTYFTVFCCYGLLLWYGGHLVRSHHTNGGLAIATMFSVMIGGLALGQSAPSIVAFAKARVAAAKIFRIIDHRPAISFSREEDAGDELQSVTGRVEMRGVDFAYPSRPDVPILRGFSLLVPAGKTIALVGSSGSGKSTVVSLIERFYDPSAGQILLDGHDLKTLNLRWLRQQMGLVSQEPTLFATSIKENLLLGRDSESTTQAEMEEAARVANAHSFIIKLPKGYDTQVGDRGLQLSGGQKQRIAIARAMLKNPGILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAVTEMGTHDELMARGEDGGTYARLIRMQEQAHEAALVNARRSSARPSSARNSVSSPIMARNSSYGRSPYSRRLSDADFTLAVVEHHHHHHLRGGGDLAFRAGASSFLRLARMNAPEWGYALLGSLGSMVCGSFSAIFAYVLSAVLSVYYASDPAYMERQIAKYCYLLIGMSSAALVFNTVQHVFWDTVGENLTRRVRDAMFAAVLRNEIAWFEADENAGARVAARLSLDAQSVRSAIGDRISVIVQNSALLLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFLKGFSGDLEAAHARATQIAGEAVANLRTVAAFNAERKITRLLEANLRGPLRRCMWKGQVAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSRTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMRSVFETIDRRTEVEPDDADAAPVPERPRGEVELRHVDLAYPSRPDVQVLRDLSLRARAGRTLALVGPSGCGKSSVLALVLRFYEPSSGRVLLDGRDVRKYNLRALRRVVAAVPQEPVLFAASIHDNIAYGREGATEAEVLQAAQQANAHKFISALPEGYRTQVGERGVQLSGGQRQRIAIARALVKQAPVMLLDEATSALDAESERCVQEALDRPTSQGQGPSARTTIVVAHRLATVRNAHTIAVIDEGKVVEQGSHAHLLKHHPDGCYARMLQLQRLTTAPGPSSSATPAI >Dexi5A01G0033110.1:cds pep primary_assembly:Fonio_CM05836:5A:35494713:35499192:-1 gene:Dexi5A01G0033110 transcript:Dexi5A01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPSQPDAAAAAAAPSSTSASAPSPAPPPNPPTSAASTATTSDSTITTPTPNPGTAANPAQTLGAPGPSPAAARPPQPRMRPPYTHLASPITMSSSSSGATAAASSSASLPASSSGIPPIPRGAVVMGIPAPRPAQTPAGYTGFVPPPPLAHQFGSMHRGPDQPPPSSSQFRQPSPGIQNIGMVGSLSTSQMRPGIISGPQQPRPGLPSSATPIPSGSQMPGSQIDPNEKLDPEVEDVLMDIAEDFVESVTTFACSLAKHRKSNTLEAKDVLLHAALLFSMAERSWNITLPGFSGDEIKLYKKQHTNDIHRERLALIKKSMVTDTRNSAAQAAANQKNQAPKPPAPASP >Dexi2B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:2B:29865357:29868515:1 gene:Dexi2B01G0019650 transcript:Dexi2B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRRCRAAVLLLLLASVFAPLVLYGGSPVSVAPLPDSTVASAAFDREDAPNLVWPQIEVSRAEDLTIESLGEQKTRVLSATDHLQAVEAAKSRASGKPDASVLPESRNADGEDAPFIEGNDSARLVQGGVIREVVGREGSGGRFGNPGDDREPQLQEAAEHNDGSDEAGENFISGVHALGNLNSSSSEESGVHRLSAQTRDASITKEHQTRVTNSSSARRVTNRSTAQSTKSSDATIHVIKDQLTRAKAYLGFLSPRGNHGFARELRARMRDIQRALGDATSDRQLPQNVHGKIRAMEQTLVKVRKVYDSCSGAVSRLRTVLHSTEQQLESNKRQANYLAQVAAKSLPKGLHCLTLRLTNEYYFTNSKNNYFPYVEKLEDPNLYHYALFSDNVLAAAVVVNSTLVHAKKPENHVFHIVTDRLNYAAMKMWFLANPLSKAVIHVQNIEELTWLNSSYSPVLKQLESRFMINYYFRSGHARPDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLTALWSVDLKGKVNGAVETCRETFHRFDKYLNFSNPLIAKNFDPHACGWAYGMNMFDLSEWRKQNITEVYHTWQKLNENRLLWKLGTLPAGLVTFWNRTFPLDHSWHQLGLGYNPNVNEKDIRRAAVIHYNGNLKPWLEIGLQKYRKYWSTYVNFDQVFLRECNINP >Dexi2A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:2A:21555407:21558842:-1 gene:Dexi2A01G0013320 transcript:Dexi2A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKKEAVGDAVTGWFCPCGHASPRGVRSSGPRAPTAFRSVCFPSRSRHGNPPNNLSRDRDDPTEKGQRIALAAPPPFDASRGDSSPILTSSPGADPANDAIPTAAQQLLVTVTMGEVTVNNSDMSILQRIATSGVPLLKEYGLNGVVGAVLLAIVIPLVLTSVFGKKTKKRAVQADVGGEAGLAMRNSRFSSLVQVPWEGATTMAALFEMASKKYSRRKCLGTRRLINREFVESADGRKFEKLHLGEYEWDTYAEAFNRACNFASGLIKMGHKLGSHAAIFSDTRVEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLVCDSKQLKKLPAISSELQSLRHVIYIEDEPVDAETLNQIKHWTTLSFTEVEDLGKTSHIDGRLPSSADTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPNLGTDDVYLAYLPLAHVFELAAETVMLASGTSIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVIYFLNFTFPAIFVDWPRDCYNIII >Dexi8A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:8A:1283867:1285174:-1 gene:Dexi8A01G0001900 transcript:Dexi8A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNDMPAATAFVGLAAPGQPDSWATECKFWTRATISGGFTIDHVRAGVYNLYAWVPGFLGDFVYTSPVTVTPGGAIGLGDLVFEPPRSGPTLWEIGVPDRTAEEFFVPDVDAKYANNLFLNKDKYRQYGLWERFAELYPDGKDLVFTVGQSNHSKDWFFAHVTRKVGDGFMPTTRQIRFNLDHVVADGTLRIALAAAHMSRLQVVQVNGGTRRGSVFTTPEFGDGNAIARHGIHGMQWSFEFLIKGYMLREGENTISITQTRALGLFLGVMYDYIRMEGPAEAGSL >Dexi5B01G0023580.1:cds pep primary_assembly:Fonio_CM05836:5B:25711743:25719862:1 gene:Dexi5B01G0023580 transcript:Dexi5B01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHGILLAVGIISDHFGPLVSKVCDCLLRHSALSLQEIIRRLELSPGQVKNSLLILIQHNCVQAYSARRAGRLPGSSDKTVTLYLAIFDNILHRLRFSKFLSVVRAEIPESEFLLDGLLRNGRLTFDQLVERTIATAPEGSAKPTREEIRMNFNKLVYAHYVERCPKPEPSFDPLKDEQPTATKKRGPKTVETLVLSLEQKVVRTAVLSDAERFSDIPYYVEGPSNANNDPHHAIAGDKFCAERKKAKMKVGTLAIWESFFEANVTNNDTKTDLNKLVETCRNDEIESLVKKKHGQEAYTIFSCASGINVALIFITLITDRTILDKQVVHETLYKLWKDEYIDSERVPSAASGTGNTQFFVWRVRNTYREHYIDDLYHAALNLRQMVNYMAELLLEGTKDETKLRNRKNILILALTRHDESLMLFHDF >Dexi5B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:5B:4586208:4588139:-1 gene:Dexi5B01G0006840 transcript:Dexi5B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSSVSVLAIPAAAAEADDDGATLLVIKAGAIGGDGDPLPSWNGTGEFCGWEGVTVLDLSYNSLAGEIPSAIGRLHRLRSLNLSSNSFTGELPANLTSCAALEVMMLQTNRLHGCIPPELGNKLTRLEVIILWQNNLTGAIPASLANLTSLRKFAVGFNKLHGIIPPFFEGTLGLQHLDLAYNNLSGELPHSLYNMSSLKSLQIQGNMLHGRIPADIGSRFPGLPILIFADNQFTGTIPASISNLTSLQVLELSRNRLTGYVPRALGRLQDLRQLRLHNNMLEADEREGWEFITSLSNCSFVVEYLNFT >Dexi2A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:2A:33451348:33455516:1 gene:Dexi2A01G0021330 transcript:Dexi2A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSVDLCPSAWGQDRGGSRGFSWDHGSRRPVQLLAGIDPPIECDATRLSGSGFDLAVVVGPTRQPLDRNRGLRALGRAPPRYGRLPPHRPYIKERRRNGFSSLHSRSLFVVFLTIVEMASKGSSSSAQLRPWARSTAPVAALESLVSRGLLCPRTAHEEWISPHPSHKTPSPPAGYVVSFMAYHVRCFAVPAHRFVCEVLHHFGVELHALAPNGVQQMANFVALCEGYLGIGPDFNLFLLFVRPHGVLAPWGYCSLQAKQSRVDKFPRSELWGSNKDWNKGWFYQKNHHAAGEGAGALAVRPEAADRKKLAPHLDCLAKLRSCDLTGIGIAEAFHQRRVAPLMPRPLRLFEMLPSTSEAELRASLVSRVVPSEDEIRARLAGLVDSQRAAFMVIPTPGQPPMLPGPRRPPRLKRGLARGATRPRRGLAEEPRKREDTKKRLHRQERRRERERKERRGEEVGLDLASSCDEEENPGGSFPLLGDYTVVDPVAHDEQHYPPHLQAPAGGGVPCALILLLQADAVGGSPPRHVEQIGQESGPVIAPVNRLRRDAPPAVVPDSLEPRTPAEPRRADPCPTRAEGSAPPPALGGSSSGPGSVEPAQMPVAPSPPAPSPPVGAGVTYVQASSPVAGEAAGSAEQAMDVEASAEAAAGGEPGASTMVSATKPLPTAAAPMEGVVVGAPLEAPIADRHRAALDEPRWSVQDLFGEAEARARSKSEPPAASSALTLVSVLEPPSTAAPAGPSGSGDDHGIAPPAQGEPAMATFPATLLQMALMLRTVAIPSSRSMLLALSRTRSIEQEAINQARRAWDVANSSRDAEASSARCISELERELAAQASAHQEELVVLRAELGSIRTDATDVRSGAGLPGFQAQVDTLREERAAAVRACVDAQAERNQAVAAKEEAEGACAQLSELAQTSTGRVETWGPPCPRRTNPWRRRTRRSTVCPPSPSIALHPSLKSRVADGITHRNTLLSRFGMLRDATGAVLSRLGFPLSEDVERPQGSPPRCGELRAVAVSMLDALEVPMSGDPARLPAELDHVPARVGALAKQSLVRGVQEAFTLVRSHYDGIRFDRMAAGFPNEFTTEALDAMAEELRGPAEQFANGLAPTTDEEGNPVDGADTDQAL >Dexi6A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:6A:3381659:3382015:-1 gene:Dexi6A01G0003630 transcript:Dexi6A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLCLYRMKRSLHHKIMDKVASHSSTGFIHDAWLLSKELIKLGDEKLWEVIRGYLHAKSLGSGGEYLTFVSLLMLHAGLETFPEKQQRVHLRLQGKERVGSI >Dexi4B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:4B:2950898:2951873:-1 gene:Dexi4B01G0004090 transcript:Dexi4B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSIVLFGDSITEEAFGEGGWGASLANHYSRSADVVLRGYSGYNTRWAARVANRAVATISGHVSAVTVCFGANDSALPDRASAAQHVPIAEYRDNLRAICALLHRRWPGVVVILVTPPPVDEDGRRRYPYAHDYSGLPERTNAAAGVYARACVEVARQCGVRAIDVWSRMQKFTGWEKSFLRDGLHLTPRGNRVLFEEVVFALKDANLSLEALPADLPLFGDMDPNNPAKSFEDDEWAEC >Dexi3A01G0026520.1:cds pep primary_assembly:Fonio_CM05836:3A:22776570:22778628:-1 gene:Dexi3A01G0026520 transcript:Dexi3A01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGLHPASPPAIPGSTAVAACAAMAFSYVAVLYAPTVILRFPPPTSLRAFLHRRFACAAVASTASALATATLLGVWSLGDFADMLAVFGIRKDHFLQAVVIPLLLTSLVYAGSFVNRLWLLASSWGGDADEEEIGYAQKLVLRVQLGYTVIFG >Dexi7B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:7B:12553431:12555229:-1 gene:Dexi7B01G0005240 transcript:Dexi7B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTATGNADPPPPSPSPASSKTGFLKTCFNGVNALSGIGLLSIPYALSQGGWSSLAIFLAIAIICCYTGILLQRCMEASPLVTTYPDIGALAFGRRGRLAVAIFMYLELFLVAVDFLILEGDNLHKLFPDAGFHVGGLHVSAKQGFVLAATLAVLPTTWFSSLGVLAYVAAGGALASVVLVASVMWVAVFDGVGFHERGRLVHWAGLPAAVSLYSFCFSGHAVFHMIYNGMKDRKKFPMVLFICFLVSTLSYGFMGIIGYLMYGDALMSQVTLNLPSGKVSSKIAIYTTLVNPLTKYALVVTPIAEAIEGALGVRKSRVLCVLVRTAIVVATTIVALSVPFFADVVALTGALLSCTATMLLPSLCFLRVRAKVGSKKLWMETEACVCIVVVGAAIVVLGTYSSVKQIVQRLK >Dexi1A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:1A:2752695:2754287:1 gene:Dexi1A01G0003760 transcript:Dexi1A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGMAPERVVEGFSEEFLESFLSLIRRAHRHSRVAATVVYNEYINDRHHVHMNSTRWATLTEFVKFLGREGYCKVEDTPKGWFMTYIDRDSEQAVKARLKRKRIKSDMAEDERQERMIARQIERAHKSLAKASVGDDSNDAEGEAESDSGSEEGYSGSDDDGEEQEDGSKEANKATGKIAIALQKSAPGPKVNPLEDKPKVNFGFDEDDSGVQEKEKGGVANKKEKDVKAAETRRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKKVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTEKFCAKVQVEKGLYDGKNIFSVF >Dexi1B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:1B:26163995:26165334:-1 gene:Dexi1B01G0019960 transcript:Dexi1B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAATSWSWSSSLSCTSSFGSLDDDAVCVLKPNGVGAAAAAAEGGSIKFLCSYGGRILPRHTDGALRYVGGDNRVLSVDRPLRFHGAHLTSVPTTYKAPDTGPDLRSLTLLSSLLVVCVGAELQRKLRDMCGWEEVSLRCQLPTEDLDALVSVTSDDDLANLLEEYDAAISKEDPLLQPLKIRAFLFPIRAPSSLQPRRSSPSTPSSSVSRPSTSSAHFHRQNTFPLAAAAARLPSPTCAPWWWAGARTARAHQPQRYGRDSHGEAWPVRYLVHNGSHWQ >Dexi4B01G0023890.1:cds pep primary_assembly:Fonio_CM05836:4B:25141692:25143556:1 gene:Dexi4B01G0023890 transcript:Dexi4B01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFAAVSSVELPGKLSHHKLSDASANADAVVSLPTSMADVPAAPSSSSLSGMHLLPHNIQSLRQLKAPFASLPVIQTVYQYAKFVKTSEQDATMPAVPSSSSDVLYRWHLPDPRVCADFPDKSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHAVTFTLPMSEILSYNLGGKAEKNVEMLSEHLAGWVREESGKKIIFHTFSNTGWLCYGVILENLQRQDASAVEKIKACIVDSAPVAAPDPQVWASGFSTALMKKNSVATKGIGSNDSRSDVLVVESNTEPKLAAMEAVLLSALETFFDVVLNYPKINRRLSDVMELLSSKQPRCPQLYIYSSADRVIPAKSVESFIEGQRRAGHEVRACDFVSSPHVDHYRSNPGLYTSQLSNFLEECVLSTRREDSCSS >Dexi9A01G0036650.1:cds pep primary_assembly:Fonio_CM05836:9A:41036625:41037853:-1 gene:Dexi9A01G0036650 transcript:Dexi9A01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRDVAAERATAAVNDLIEAREGAATLRIFLLQLDDHRAPHAVRVVDGVLDRLSSAMSALEVSGGAAVVGVQSPAAGSGSGGPRPQQSASSSGNKKKRSFSRRSQRASDTKITDTLDDGHVWRKYGQKDIQNSTHPRSYYRCTHKSDQGCNAKRQVQSSDTDQSKYVVTYYGKHTCRDPSTIPPLVVHAAAGDAPPDHAGNLISFGPISIANNTSAAAASSSQLYLVGGSGAAADQLSTSWCASDDLFSSSAGSFMHMDELIGAVVVGSAPGVTSSTAAGVGSSASAMDRGGGLGGTAARGGGAASFPPSSPNGGLGFVVGSLGSIDGDEDDDLFPMDP >Dexi2A01G0027680.1:cds pep primary_assembly:Fonio_CM05836:2A:39006636:39006845:-1 gene:Dexi2A01G0027680 transcript:Dexi2A01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIALDILTQSHHFWLDVEHIQEAIQNVLIILEMVVFSVIQQYAYHVAPYSGADRAKFEKKNE >Dexi3A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:3A:7320083:7321779:-1 gene:Dexi3A01G0010240 transcript:Dexi3A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATSPAAVSVPGGVLILQSPSTLLPFPTARFPTSGAARPLHATSAASRRLPAAAGLLEMGIRGVTVSDVRGFGAQGGSKQ >Dexi2A01G0025420.1:cds pep primary_assembly:Fonio_CM05836:2A:37049997:37053137:1 gene:Dexi2A01G0025420 transcript:Dexi2A01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGLAFLPRGGGPPPSASRPPGSAPRVSASSPTAGLNVHAAGIGQPTTNLRSRFNSSLNCYANPNPMAPNGGFEEHEEDGPLSAFVCPITMEVMRDPVVIETGHSYERDAIARWFSECRDLGRGPCCPITMQEVCGADLRPVLALRLAIDEWADRLQRDELRRACQWLTKDATEKEAVWALGCAVRGWSGGRAGRRVVRGEGMMQMVAGMLRSGSAMVRLNALEAIQEFAKETDQDREAVSQGDTIRTIVKFIDCEDCQERELAVSALCDLSKSEVVCGKISELNGAVLILGKVAGSKADNPTIAEQAEMTLQNLDRCEKNAVQMAENGRLEPLLNLLIEGSPEKQLLMASSLEKIVLSNDLKILVAQRVGSLFAGVVEKGSLEAKEVAFKVLEHISTNAESAKVLIQENVLLPLFRVLSMSRANLLPPRLQEAAAAVLANLVASGVDFGTVPLDGDRTLVSEDIVHSLLHLISNTSPPIQCKLLEFFDTLSSSAQTVLSIVSAIKSSGAITNLVQFVESDHQESRLASLKLIYKISFHMDHEIAQVFRASPTLLGCLVEVTFLNDGNTDEQHAALHILANLPKRDKHLTRELMEQGAFKIIARKVLSICRREVGSDIYDHTLLEGLVKVLARITYVLRDEPRCISLAREYNLAALFTSLLRFNGMDEVQLVSAKALMNLSLESKYLTSTPKFDEPEQKSRLALFGKKPPSFQFCRVHSGVCSIRDSFCILEGKAVERLVHCLYHGNKKVAEAALATLCTLLEDEVEIAEGVLVLHRASGIAAIFDILKENPSGSLQYRVTWAVERILRAEEIAKATSTDRSLGSALVHAFQHGDSRTRRIAEAALKHVEKLPTFSQIIDKRPSLRGSSMGSMERFLKFDR >Dexi9B01G0038280.1:cds pep primary_assembly:Fonio_CM05836:9B:39378539:39379014:1 gene:Dexi9B01G0038280 transcript:Dexi9B01G0038280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPHLLSSAHPAAAASSFARKHPGPIDERFSDPSPHITKRPRVAADTAATGGSAPYPEAEVRSLVMMAGGIYPLARAEALRGLAAVLGKVDAYRDGMWIVECCYGCAVELMRDEDEGVRLAVVGL >Dexi4A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:4A:19347842:19349397:-1 gene:Dexi4A01G0015940 transcript:Dexi4A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAGRWPRRGEHDFGGIEQDLLLCADGGIGELTGQHGGRIGELAGGGGAGDGIGEFVRRRDWRAHEVGDNQMLEAKSEGLGESMLEEMWRVHAMSAGALLGR >Dexi5B01G0020860.1:cds pep primary_assembly:Fonio_CM05836:5B:23090470:23094943:-1 gene:Dexi5B01G0020860 transcript:Dexi5B01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDITGPAADVNMMGYANTEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGIPKQGAHVSNDGLESLHKISELPRRVSSIIPEPNEITDDLVQLASSYEASGGRRLSGSSVTRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGNRQFLKAVDLFNSASEEIQGRVDYRHTYLDFSQLEVNVPTSTGGQHVVKTCPAAMGFAFAAGTTDGPGAFDFQQGDVKGNPFWRLVRNLLKTPGKEQVDCQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQMVILCVPGEFTTMAGRRLRDAVKKVLTTDNSGEFNDIHVVLAGLTNTYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMLANKEVPTNFQPPDMLDKQIGLLPGVMFDSTPPGVKFGDVCSDVPASSTFRKGSTVNATFYSACPRNDLLTDSTFALVEKLDGSNNWVPAYDDDDWSLRFKWSRPAKLSPRSFATLEWTIPEDAPSGVYRLRHFGASKPLFGSIKHFTGTSRAFAVR >Dexi5A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:5A:23493472:23496142:1 gene:Dexi5A01G0019520 transcript:Dexi5A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKAKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAAYLTIFFLYSDGKKRKRAFLVLAVEIVFVAIVVVSVLLAAHTHEKRSMIVGILCVIFGTMMYASPLTVMKQVITTKSVEYMPFFLSLVCFLNGLCWTAYALIRFDLYLTIPNGLGTLFGLAQLILYTCYYKSTPKKTKEKNVELPTVVSASNIGSGGGGNVSVTVER >Dexi1B01G0031160.1:cds pep primary_assembly:Fonio_CM05836:1B:34850974:34853857:-1 gene:Dexi1B01G0031160 transcript:Dexi1B01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLAERLAALRSRIVVMSAHEALSVTRPRKRTFTQHGGSSSADLLQALEEYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALASSWYEVLSVLHMMAMLRLSQANALLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRMSPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNREKSHRMAVAALQSAEELLKESKDVAEAFHAAPPVSRQWQSIHASLSYFCVSWLSCGVHSNEKRWLRRSPPACGSMKYLHDKIQKDSSCKARINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVSVDAANG >Dexi2A01G0022860.1:cds pep primary_assembly:Fonio_CM05836:2A:34638884:34640382:-1 gene:Dexi2A01G0022860 transcript:Dexi2A01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSHLSQHMEHGLANASHGTPSFLFCHGAAASDSASLETSSGVLDTSPRGTASVDYSKIRKPREDCASLSSAQSKDSNSKESTKKRGGKRDRSSKGVDEEEEHKGYIHVRARRGQATDSHSLAERVRRERISERMRVLQALVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDSDAFSDHTQKMEGMLHQEALVMPTSIMNRAPSQVVMDTNTSTSSPSYEVHGDGGSGISFPQDNGRYMVQTVGEPRHELFNQMAFCDHMCSFQ >DexiUA01G0009850.1:cds pep primary_assembly:Fonio_CM05836:UA:19367464:19370165:-1 gene:DexiUA01G0009850 transcript:DexiUA01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKSYGEHASTVALDRNEKYVARASMSMTKEIKGNDWIKELVNVANVSDRRILGNPEKLQNTKLCELHPASWFCVAWYPVYRVPRGNFRAAFLTYHSLGKLVPQNRSLDMTCGHTHVVSPVVGLQSYNDKGEQWFQLKCSDLKRSPRNEANRAEIWKERLRILKMGALAMARAVVPKGSGESVNHHPDYEFFLSRCG >Dexi9A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:9A:14407179:14410126:-1 gene:Dexi9A01G0019490 transcript:Dexi9A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHCSAQDALLKIHDKIIADEVHDGVGNKKSENADDVTARILVQGIRVLPSANLPQCALKSDELVQFILGHEFIVLLIFQICGAPSLVRKALYEISARLHQHPRKENLPLEEIIDASTQRKHGSPQPLPHGNPMLPHLHIDHPPPIPLLDPYRDGPLRTVETEEFSIRVLCASELIGSIIGKSGANVKRVEQQTGARIKVQEVDKDASGERLIIISSKELPADPVSPTIEALMLIHDKAARQMHGREDHRGLTSVARYSSTIELRIPNSSLESIIGIGGVNLAEIRQISGAKLRLLEAHTGSSESVVEIQGTLDQAKTAQSLVQGFISANSRSTQQQPQSSRMPLYPSWG >Dexi4B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:4B:4195820:4204492:1 gene:Dexi4B01G0005980 transcript:Dexi4B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAAEASSASGSASAGRSRPSTSAAQVTSITAARAEEENAVSPYVLSVIESLKKRITADRLTYIKNRIGENKANLSTCTQRTYNLSKNRQSNTLKGTDLASNLLTKRQDDALCALHSVDIYPTDKDGSNFQDESPYSSSNVILGGNLGAKNAIRPIKLPEVAKLPPYTTWIFLDRNQRMSEDQSVLGRRRIYYDSSCGEALICSDSEDEAIEDEEEKKEFKHSEDCIIRMTVQECGMSDAVLQTLATYLDRATDDIKARYEILHGAKTQDSCKKVIEHNAKVEDLYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKLPAWNGVADSAPCGKYCYKAFEPDSEAAIDHMLIDSEEPTHSSGNAMNQPVTNRKKNGSSGRKTKSQQSETSSTAMAVSESSDSEVHPISSKSPQPSPSPSKVKIGPKGGIRKITNRRIAERILMSVKKGQREMASSDSNSFNGSPMARDMKLRSDTRNGNKESFVSSQNNSPSTRSTRKKSTPQIGNSSASAEAQNDSMEEDGYDSSRKEEFVDENICKQEDSFRSWKSIEQGLLVKGLEIFGRNSCLIARNLLGGMKTCRDVFQYMNYIENSSASGTLSGVDSLVKGYIKGNELRTRSRFFRRRGVPKFARTVFEVVIAQRVSVAAANVLALLLTGNAILMFAETAGLGVVTVLLGRSDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEFVLDAFRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEDSRGKDDGQPSNGRAKKLAQNTRS >Dexi3A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:3A:16526647:16529944:1 gene:Dexi3A01G0020860 transcript:Dexi3A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLRLIAIFSAILFSTHLHCVRGADLNSDKQALLAFATSLPHGRKLNWTSITPVCTSWVGITCTPNGKRVREVRLPAIGLFGPIPGGTLGKLDALEVLSLRSNRLTINLPPDIASIPSLHSLYLQHNNLSGIIPTTLSSSLTFLDLSYNSFSGEIPMKVQDITELTALLLQNNSLSGPIPDLRLPKLKHLDLSNNNLSGPIPPSLQKFPASSFLGNAFLCGFPLEPCSGTTPSPISPSPPNAQKSIWKRLSRGVIIAIAAGAGAVVLLLIIIILVCIFKRKKDTDPGAASSSSKGKAIAGGRAEKSKAEYSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKKDFEQQMELIGKVGQHQNTVPLRAYYYSKDEKLLVYDYVPLGSLSTALHGNKAAGRNPLDWETRVKIALGTARGMADLHAEGGGKFIHGNIKSNNVLISQELTACVTEFGLAQLMGPPHVHPRLIGYRSPEVLETKKPTQKSDVYSFGVLLLEMLTGKSPLRSPSRGDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLQVAMACVAVVPDERPRMEEVVRRIEEIRNSYSETKTSPEDNLREGTL >Dexi3A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:3A:13010785:13018139:-1 gene:Dexi3A01G0017170 transcript:Dexi3A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMAGEGPIAAAIPRSPPPPDAGGGGGSAAEAPVLIFVYFHKAIRAELERMHAAAVRLATTVDACPGGAVAALEARCRFLFAVYQHHCHAEDAVRAERGRRFSRLSLHFLFCASFVLRSWRLPPATTSAGPLVIFPALDIRVKNVAGTYSLEHKGENDLFEHLFALLQLDMQTDVAIRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVHMMAEFLPWISGSVSPDENQDILDCLHKIVPEEKLLQEIVFAWIGGKSSRTVAQVFCDPCPKSSVICEGSSDQTYKHVCSLEHSGKRKSTESNQLSTHPIDEILYWHNAIRIELSDIAEEAKRIQQSGDFSDIADFNMRLQFIADVCIFHSIAEDQVIFPAVDGQISFVQEHAEEERRFNKFRSLIEQIQIAGARSTVVDFYSELCSQADEIMQKIEMHFSDEETKVLPKARIKFTPEKQRELLYKSLCVMPLKLLERVLPWFVTKLNDVEAVSFLQNMRLAAPPSETALVTLLSGWACKDEQDKIIGRIIGTTGAEVLQSMLPWVTAALSLEEQNKMLDTMKQATKNTMFDEWLNEWWKGPSTSVDPSDNDSAPSEDSHFQENVEQNGQMFRPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTRKAAVEMMCMLCLNVQPAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEQLPEEYRDRCQ >Dexi4A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:4A:2006476:2007564:1 gene:Dexi4A01G0002870 transcript:Dexi4A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNATITSGADAGNNSLAKPGCQEKCGSVSIPYPFGIGDKGCFLEGFEVNCSTGNVPILNTSGTTLLGINVTLSEARVLSPIAWYCNYTVDNGTTNVMNSTYEAQEFIIGPFFTISGTKNMFTGIGCATVAVVNGENKHAYASACGSFCYDEDSIDGSAGCSGMGCCQTAIPGNLDLLYFDFLMDNVDNTQVQNFSPCSYGFVVEEDSFQFQTSYAESSHFLEQYGDGVPLVLDWVVDNKTCVQAMKNQSSYACRADNSVCVNTNYSVGYFCNCSQGYDGNPYLNGGCQEHHGKLHMYMSTGNSEQG >Dexi2A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:2A:22377043:22377989:-1 gene:Dexi2A01G0013660 transcript:Dexi2A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGRGRGFQKNMSKKDFPPPQNGVGKRSSDDIDILHTESLIKEVEKVFSVNNPDPQEVEKAKKALKCLQEQEQSLIDAIARLAEASDGESDGHNRGRRNALYAGNPHQANYVDAMAIDGDQADPM >Dexi4A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:4A:6858522:6860917:-1 gene:Dexi4A01G0008900 transcript:Dexi4A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDKDEKTLAVTEECANPGENCEDEGDLSRKTEMLNVEEGTNSSTVDLSNELGMHTQEGGNSENDLNGEMNESTSSDAMETSDSNQTTKEILAEDKSEEPVFDGTEVPEMEEMRRSSNQSVELDPEAQGSVLNERAEAIKNFVKEKSAIAATFMRRLSGKKDENEFKVEADNSDGSAENLKNAIEADNSDAEPKPKEVQQKTDERTAWNPLNLIKIGRDFDTFITGEARHDDVSALLEQPTVKGRIIIYTKLACEDCKMVRLFLHQKRLKYVEINIDIFPSRKLELEKNTGSFTVPKVYFNDLLIGGLTELKKMEDAGILDESIDVLFKEEPPSSAPLPPLPGEDDKSGSGKMDDLATIVRKMRELVIPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVELGRKLASKYFFRHVLDENVFEDGNHLYRFLDHDPVVMTQCYNIPRGIIDVAPKPIVEVASRLRLLSYAIFEAYVSMDGRHVDYRSIQGCEEFKRYIRTIEELQRVEIDELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDRRSKVNK >Dexi9B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:9B:14055577:14055888:1 gene:Dexi9B01G0019490 transcript:Dexi9B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSSPPAAIAAASVSHLPPLRPSPPRLRFCPGVDVASAAAAASPISLGPGCRPLLRICCRAAAGPSPPSSDPPPSPYVSRV >Dexi5A01G0032320.1:cds pep primary_assembly:Fonio_CM05836:5A:34882318:34887147:1 gene:Dexi5A01G0032320 transcript:Dexi5A01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSPLSDAAAAVRDSWNTAESGTVGRQMRRMTRGAGDARLAESVASLLTFPEEAPPPSADDERAPLQSTLAESCHRVVTKPRLRLLLSSYPAFLLLLLVVSCPSIFSAPDRQLRSVRLVATKCGQRRALTAAGFIINSMRDAVRSEQLSYWPKFTLQPPLIPNPPPPKITHLSSSLLGHLKRTSSPAGLGILIPPSAMAVFSCPFSNLAIGQSSGSLLAMATRSSSCITPTAKLTSSCRCFCYRRSKPASFGFDRGHVTWHDDVATDGRGRSPTCRRQAADSHPTVVCGVVSLVPNPLNAPPHLLLF >Dexi4A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:4A:7402568:7403194:1 gene:Dexi4A01G0009380 transcript:Dexi4A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAVVAGGIDEADAAFFSRRGHRCCGCFWAPPWAASSSSPSPRSRRAGEVPGTGGEEWWHHVGDGGGGAVPSRRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRSSPRHGAGAGGGAGGGKLNYDPLSYALNFDEGHNAGGGSPEGGGDYAGYPDFSARFVAPLPGSARSSMDLGGRDAPPLFLHHQAHSPRPHPAAARG >Dexi9B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:9B:14011186:14012900:1 gene:Dexi9B01G0019420 transcript:Dexi9B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVSRGANGKSQDSRGKAMLLALGKGLPDQVLPQEKVVESYLQDSSCDDPATRAKLERLSRAALDDWGRPAADITHLVYISSSELRLPGGDLHLAARLGLSPNTVRTSLFFLGCSGGAAALRTAKDIAENNPGSRVLVTAAETTVLGFRPPSYDRPYDLVGAALFGDGASAVIIGAGPMTPAEKPFLELEFSTQEFLPGTDKVIDGKISEEGINFKLGRDLPEKIESRIEGFCRTLIDQVGIKDFNDVFWAVHPGGPAILNRLEFCLELQPEKLKISRKALMNYGNVSSNTIFYVLEYLRDELKKGAITEEWGLLLAFGPGITFEGLLVRGVN >Dexi2A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:2A:5778795:5780599:-1 gene:Dexi2A01G0006080 transcript:Dexi2A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCATTTNKKSVCVVGAGMSGLAAARELRREGLAVTVMEQRSDVGGQWLYDDRGADAGDQLGVTAPVKVHSSMYASVRLIGPRECMGFSDFQFVPRPGRDARRFPAHREMYCYLKDFCAAFRLAGVVRLNTKVVRVAMASSPEARGGDVKWKVRSVRVELDGGEGVAVEEVFDAVVVANGHYSQPRLPSIKGLEVWRRRQLHSHSYRVPDPFRGEVVVVVGCGESGLDIATELCGVAKEIHLATKSMEDATSPPPVVSKLLSNHADIHLHPPVDRLCSDGTVAFADDVVVAADTVIYCTGYTYSIPFLDTAGLVTVDVDHVGPLYEHTFPPALAPSLSFVGIPMAVFAPWFFEAQARWIALVLTGKAALPPETEMMAAVEEGRRAMDIAGVPAKHTHFIPNGVKPQEVWEFVYRHSDLPRMEDWKVELFTTFNLKNAMEDREAYRDRDDDSESVREGVRRWRRVAGAQYEAALAAAGRGDDHAHAHHDDAGVAAVRKKQPLLPAPCQFSTLNGATPQKI >Dexi1A01G0029840.1:cds pep primary_assembly:Fonio_CM05836:1A:35271973:35277273:1 gene:Dexi1A01G0029840 transcript:Dexi1A01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGDSSGIGGMTGPGEKAGGGGGGAERRKYPIHVEDYELYEEIGQGVSAIVYRALCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTKDQSLWVVMPYMAGGSCLHIMKSDHPTGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDGRGGIKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFRADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRNFKQMIALCLVKDPSKRPSAKKLLKQPFFKQARSTDFIVRKLLEGLPGLGARYQALKEKDESLMAQKKMSDGKKEEISQDEYKRGISSWTFDMDDLRSQASLGTECEDSISCKDSDTSFYDLDSLQEQGSDGPHLSRDFSMKFDADIENDVTANDKSTVSSPDQPACLLRNASMRGIPINGLVRKDNSTESYDLECQERHSDVVPSSSSHERKFSFSSCSSDGFLSSKESCKLQSNIHNRDKCNGAPWHVSDETSPETAPKAHKSAEDHDDRSKPPLIRGRFKVIPGHIDFDKAQPPGLQKCHSMQTISRLPSLSIPSSAEAASTIIGGSFFMQLYSILQTNMLQREQILNAMKQLSGFDMVSPGVPSMASPCIPSTSRSLSPSASLSVDRSMLEAAYEKEKELMNEILELQWRLLCTQDEVQRLKAKAAQWIPGNP >Dexi9B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:9B:9418036:9418586:-1 gene:Dexi9B01G0014100 transcript:Dexi9B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETSDVVRLAGFYEAVLGFERVPSPTYSGFQVAWLRLPGSPDVALHLIERDPATAPVAVGPGAEGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTELFEKTQPDGRTRQVFFFDPDDLQ >Dexi4A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:4A:7986274:7993879:1 gene:Dexi4A01G0010050 transcript:Dexi4A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGQLRARSPPPPLLQAPDPPRDLPLPPPPPGTPPTMEAIEELSELSESMRQAASLLADDDPSDEAAPRRPTTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKSIVLQIDSKSQQVTANSLRHSLQDRLSKGASGGSGRGHAAEIYLKLRTSTAPPLKLIDLPGIDQRAVDDSVINEYAGHNDAILLVVIPAMQAAEVASSRAMRLAKDIDSDGTRTVGVISKVDQANGDAKTIACVQALLANKGPKNLPDIEWVALIGQSVAIASAQSAGSENSLEAAWRAEAESLKTILNGAPQNKLGRAALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKTQEAEQSMSKRASSPQTDSEQGSGSLKSAKDKSGQQDKDTKEGSNLQVAGPAGEITAGYLLKKSAKTNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPSKSSKDSKKANGPEKTPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKVEWVNKIKAVIQSKGGSFKGPNAEGGSMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKCIVNSFGNFNNFSTSGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVSSYSNDSSEAESPRTPSRSGEDWRSAFDSASNGPVAASSNSESRSRSADGRSRRYENGDVSAGANSGSRRTPNRLPPAPPKY >Dexi3B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:3B:15223170:15223454:1 gene:Dexi3B01G0020220 transcript:Dexi3B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTTKVCTKMVEGSNREGKDGGSTVSHSDTHGAALSSPPCGLLRRRLQGACPLLLRCRRPWLPTEPRRVRSSWEGVEEEDVGVVGGNRTRLV >Dexi4A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:4A:5225683:5226024:1 gene:Dexi4A01G0007100 transcript:Dexi4A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPEVAMAALYIALGCREVLKPVLEFMDSSAAARGPVVDMAVAAVLLTLPTAYLFVVGVILPIALHVTLPAAAPFSPAAFWQSVALGFVLPLLFVAVPLVAFLFLTAGSV >Dexi6B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:6B:16836620:16838273:-1 gene:Dexi6B01G0010460 transcript:Dexi6B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPESATEAVALASDAPASEHHRSQLGTAGLIVLNWPGNSASPYLYDSIPDIFRNRVQLLRDRSTTDFDAWVSLISAAEGALADDIEGISLVYNSFLLEFPLCYGYWIKFAAHKARLCTNRDVVDVYEEAVQAVPHSVDLWVSYCGFGICAYEEPADIRR >Dexi6B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:6B:11046559:11047964:-1 gene:Dexi6B01G0008720 transcript:Dexi6B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPRPSTHGGGEQRRRLPSTEGAITSRLSLPASDIFFSERRRILLPPSPPSVGAASLHGPISSPCVHHAVTCEALVNGFGSALPRAPEDAVTFSNFYFFLAELLHVPVRILLSSVLLYRSPRLILSSWSTTPARCSTAPCQFPSFVTAPSHPPTVITVFLLSLPPAWTSSDIAPRLRLAGSGAVGWRGGGRPYGLGAKSKLAAAAEPIDLFSGRIWLL >DexiUA01G0009050.1:cds pep primary_assembly:Fonio_CM05836:UA:17271964:17272695:-1 gene:DexiUA01G0009050 transcript:DexiUA01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLLAPLGSAVVEHVQLWSIDTIVTLNSYGISGHPNHQDVHHGI >Dexi3A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:3A:7235335:7236276:1 gene:Dexi3A01G0010190 transcript:Dexi3A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMEAKSNQDALVDLESGNGTAVSNHNNGVDASFAVGQGRTAPNGAWNGCVGANGCLKDDRNQHMDCSPPPSDAVAKNGDDRKSEGEEKLGLLDSSGGEKAKKKRSKKPPRPPRPSTPTPLDVSDQKLLNELSELAMLKKARIERMKALKKMKNAKQGSSGGNLCPLIITIIFCVVILWQGFFSGHGSAVSFHGSPESSIRAHSSLISIRFYRKNHSNVRPPSVTTAAPE >DexiUA01G0021830.1:cds pep primary_assembly:Fonio_CM05836:UA:45062019:45066327:1 gene:DexiUA01G0021830 transcript:DexiUA01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGASGIGGKPAGTEEAYYNPKEPSENGIGGGFGRGCGARKRHLAAAAVKIGVLVLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELTGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYERQCTRDGRVTCLVTPPRTYRIPLRWPSSKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGIRTVLDIECGFGTFGTHLFERDLLTMCIANYEPSGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWDKNGMLSLLLSYGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAEGLCWEMLSQQDETIVWKKTNKRDCYTSRKSGPELCSHDPESPYYQPLNPCISGTRSQRWIPIEHRTTWPSQARQNSTELDIHGVHSEVFADDTSSWDSTVQNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNHLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKSQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFLRK >Dexi3A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:3A:14889510:14890738:1 gene:Dexi3A01G0019040 transcript:Dexi3A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAAALDQISLLRSPPEPFFFLPGVPAVDLSSPGAALAVVDACQRFGIFKDVNHGVPMGVVDRLEAEAIRFFASPQAEKDASGPANPLGYGNKRIGRNGDMGWLEYLLLAVNNQGSVSKASPVPSSSLSDDPSEACREAVNEYVGAVRGVATWVLEAVAEGLLGVARRDALSGMVADAASDQVFRVNHYPPCPLLERLPDSCSVTGFGEHSGPQLVSVLRSNGTPGLQVALRDGRWLPVPPDRDAFFVIVGDSLEVLTNGRMKSVRHRVVANSLKPRVSMIYFAGPAPEQRIAPLPELLQLGQGHGPLYRDFTWGDYKKAAYRSRLGDDRLDPFRI >Dexi9B01G0041830.1:cds pep primary_assembly:Fonio_CM05836:9B:42220051:42221729:1 gene:Dexi9B01G0041830 transcript:Dexi9B01G0041830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLQAYQHRTADPFRHPPLFFLIAPTTAAARIKASKQQPPILASSILVSHRSPSSSASQSRAAQHTAPQPPWAMAQVTGRRRGKQVVPMRDVGLERLQGDWGCRMRAPAVHPQQQPHRRIRSPWPACEAATGSAAVQHQQHLRAAARRTPWLADPLKLFHVGIPGQLLVQQADLVAGVQLDRGRAAS >Dexi7A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:7A:29781558:29781836:-1 gene:Dexi7A01G0021040 transcript:Dexi7A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHMLAFVAARGFLQVFQVSAPLLWPLNLWMPLARHLPEACAAFYGTLVSHAARFRAAVRSNRRRRRHGGADGGDSLLDEYFRHALLTLSE >Dexi5B01G0031910.1:cds pep primary_assembly:Fonio_CM05836:5B:32570270:32571090:-1 gene:Dexi5B01G0031910 transcript:Dexi5B01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLRLKVLEFHYVEADLGNKSDELLRSNPVHKKVPMLVHGSRALPESVIILRYPDDAWPESRPLLLADAFDPSHARPVLLGPAMDRAVFASTREDQEVAVQQVHDNLALLEADLRNGAFRGPCFFDGNEVGLLDVVVGCGSYWLHMFKDVTGVRLMGADVRETIPAINHHMLLGLAGAAAASSAADAPTATAAANSVVVDI >Dexi7A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:7A:25612937:25618954:-1 gene:Dexi7A01G0015750 transcript:Dexi7A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPVMVRKKRTGTGGAGETSGESSGSTGQGSSQRPERTQQHGAGRGWVPQQGGRGGGQHQGRGGHYQGRGGPGHHQPGSGPQEYHPREYQGRGGEYQGRGGDYQGRGGEYQGRGGPRPRGGGMPQPYYGGHRGGSVGRNVPPGPPRTVPELHQAPYVQYQAPMVSPSPSGPGSSSQPVAEVSSGHVQQQFQQLSIRGQSSTSQEIQVAPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMAELVTLYRQSQLGGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLSGSQGAQRRERAFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFMETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYYEDPYAQEFGIKIDERLAAVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVDRALKARYQDAMNILSPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELGAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGVRGPPGGQRSSRATGSVAVRPLPALKENVKRVMFYC >Dexi1A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:1A:9073221:9073787:1 gene:Dexi1A01G0010500 transcript:Dexi1A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAQPDHSHHRDAKHRRPQFHHLNVEVPSAVATTNVGCFPSCFRPSPTSSSSPSPHAGSGSAHGSYGNTTTDRPASPSLIRSPSAWIKAKGHSFGSGKHLRRRSRDFQYDALSYARNFDGGGAADGEGDEEAGLAASDALKHRGFTSRLPTSPPPAIGSLSGSTIGGGGNGGAMEIARKTGRDMN >Dexi3A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:3A:5180314:5182417:1 gene:Dexi3A01G0007480 transcript:Dexi3A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVMALLGLLALAATVVRMASGAGGHDYALALKKSFLYFEAQRSGVLPPNQRVTWRENSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGKQMAEAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMSTSRQAFRIDPQNPGSDLAGETAAAMAAASLVFRNTYPGYANLLLEHAKQLFTFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEEGCYLEYLARNGDALGGTGWSINQFGWDVKYPGVQVMAAKFLLQGRAGAHAATLQRYRQNAEFFVCSCIGKGAFNNVPRTPSGMMYHQRWNNLQFVTSASFLLTVYADYATKLPGGGGGVRCPAGAARPYEILAFVRSQVNYILGDNPRGTSYMVGYGGSFPRQVHHRGASIVSFTKNPSFVSCQEGYSSWYPRQAGNPNVLDGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACAAGMEEYQLPPPVVNHTAAALASSIPHRRRSPASSLSPVEIEQNVTRTWVRRGATYRRYTVTVTNRSSRKKTVRELHLGVSELRGRLWGLDKARYGYVPPKWLPELRAGESLRFVYVQTGTPANVWVTGYKLV >Dexi9B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:9B:8525965:8527143:-1 gene:Dexi9B01G0012670 transcript:Dexi9B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAARTPRSTKRPAALRHLLLLCLILPCLSQPPLPAPSPSPAAAPPPTLPLSPFNDRLEAAYIALQAWKHDIIEDPKNLTSNWCGPFVCNYTGVFCTAAPDDPHILTVAGVDLNHGDIAGYLPDHIGLLADVALLHLNSNRFHGTLPGSMQHMRLLFELDVSNNLLAGEFPAFLTSLPSLKYLDLRFNRFSGELPDAVFGRKLSLDAIFANNNRFNVTLSSATLSNSTASVIVLANTELAGCLPPTIGDMADTLVELILLNTSISSCIPPEIGKLKKLRVLDLSRNELAGELPESVGDMESLEVLNVGYNQLSGVVPESICLLPKLRNLTVAGNYFCGEPVSCLHIPLRDDRMNCIPEWPHQRTHEECIAFEHRPPVHCGADGCILHHPL >Dexi3B01G0021690.1:cds pep primary_assembly:Fonio_CM05836:3B:16538915:16539148:1 gene:Dexi3B01G0021690 transcript:Dexi3B01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRRRSRPPPPSAALHPHSTAAMAGRSRPHPPPPPYILTRRRPWRCSRLTSATPLGIEMRLTLIGIQIGCMEACP >Dexi9A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:9A:461091:463213:1 gene:Dexi9A01G0000870 transcript:Dexi9A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDELRGQPKVVEDRQWSLMTSKLAEITKSKGERMNDLDYARMNTVPEAKQWDKMSYHHDEPRMDHINLGLMNLDLKMNDLKMNEAALKNSFRNMGYSMNPMYPKGNNANVNAFKMNVGVNKYSNSPNGKEANGKNNGANNNGSNSNANSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDSTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDSFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGI >Dexi5A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:5A:7935692:7937162:1 gene:Dexi5A01G0010500 transcript:Dexi5A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAPPACAPGLISGRTLPSQPVAFRCYWAPKPSSSSPTSRRSRRRRVLVVAAASARASSAAARGLDADDFRHPLDKQASLFNTLLLKAIPGLNDIGKALLGPVSEQVMVLQNIGSSVLVSPNQLPDLHQLLDEAAKVLNTEAPDLYIRQNPVPNAYTLAINGKKPFIVVHTSLIELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYTVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVRDPKVGPP >Dexi5B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:5B:22840645:22843262:1 gene:Dexi5B01G0020650 transcript:Dexi5B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPCGLLLALAAAAVLVAAGAGVAGAQETCSGTVPSPPRRGARVSVDSFGGAGDGRTLNTAAFARAVASIDRLRAPGGAELYVPPGVWLTGPFNLTSRMTLFLARGAVIRATQDTPSWPLIEPLPSYGRGRELPGERYISLIHGSGLQDVVITGENGTIDGQGSVWWDMWKKGTLPYTRPHLLELMSSSDIIVSNIVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDAIAIKSGWDEYGIAYGRPSSDITIRRITASSPFAGFAVGSETSGGVQNVLAEHLNFFSSGFGIHIKTNTGRGGFIRNVTVSDVTLDNVRYGLRIAGDVGDHPDDRYNRSALPVVDALTIKNVQGQNIKEAGLIKGIADSAFSRICLWNVKLSGGASLRPWKCEAVTGGALDVQPSPCTELASTSGPSFCTNSL >Dexi9A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:9A:8072277:8072809:-1 gene:Dexi9A01G0012640 transcript:Dexi9A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPFPGQGHMNPMADGAGANLLNPEDACIKWLDTKAPTSGAYVSFGSIIASLRAS >Dexi2A01G0022180.1:cds pep primary_assembly:Fonio_CM05836:2A:34042306:34053350:1 gene:Dexi2A01G0022180 transcript:Dexi2A01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPFDRPVRAASRLPSDRCLCVVSQSCDCEEGVRGLRLLLMASGTGSSPPPKIGAPVAAAAAAEEEELQAVEGAEEEEDAFDIPSKNASHDRLRRWRAVFLFKEAGQKNLGAESYTSLKLEALSQRFPVDLKKLMMLNKDHDIIIFQEVGGVRGLSDLLKSNLDEGVCPHEDELLQRRDIFGANTYPRKKRRSIWCFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYNGGSIFFAVFLVIFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQRAPFLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSEDVGEETPLQVRLNGVATLIGIVGMSVAGAVLVVLWIRLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTMNKMTVVEAYLGGTKLDPLDNVSMMCTSVTSLLIEGIAHNTNGTVFLPEDGGAAEVTGSPTEKAILYWGLMIGMDFKDVRSKSSILHVLPFNSEKKRGGVAVQVSDAEVHIHWKGAAEILLASCGSWLSTDGSVQQMSSSKHSEFKKLIDHMAMGSLRCVAFAYCTWESKMVPTESLDKWKLPEDDLTLIGVVGIKDPCRPGVRNAVQLCSIAGVKVRMVTGDNIETAKAIALECGILDTKDVASEPYVIEGKVFREMSEVAREESADKIIVMGRSSPNDKLLLVQCLKRKGHVVAVTGDGTNDGPALHEARTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMMRHPALYQIAVLLIFNFDGKRILHLQNESRENADKIKNTFVFNAFVFCQIFNEFNARKPEEKNVFMGVTNNHLFMGIVGATVVLQMKSRAAGAEI >Dexi3A01G0027490.1:cds pep primary_assembly:Fonio_CM05836:3A:24808132:24808449:-1 gene:Dexi3A01G0027490 transcript:Dexi3A01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRWSGEDWGWGRFDSPGRAPEPPVRIWLLQTAMRDEWDVGSGGERERSLMVLTGEIKLNLQNKSSRRASSASLRRREPCAVQPAPRLAGHLLRLAAVSRATSA >Dexi1B01G0021590.1:cds pep primary_assembly:Fonio_CM05836:1B:27446778:27449233:1 gene:Dexi1B01G0021590 transcript:Dexi1B01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAPLLSRLRAAAVVTPPSSAAPLRYGRHPRLASPFALTQSCCPRFPRAAAAVSPLVLRPVAGGFALFSMAAAASSAASVHDFTVKDASGKDVDLSTYNGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEDIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGENIKWNFSKFLVDQEGRVVERYAPTTSPLSIEKDIKKLLGSS >DexiUA01G0027830.1:cds pep primary_assembly:Fonio_CM05836:UA:59658350:59659457:-1 gene:DexiUA01G0027830 transcript:DexiUA01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSDEWNGYKRLPSEQKYSEDASHIHHGLNVEPTREELNNLSKACTRLWELDLNRLTPGKDYTIECGEGKKVYHKGDKASENLFSWLEESVLRRPTYSRFCALLDNYNPHQGYKESVTQQDKNEEAAFIEEISRSAPIKYLHRYLVLKEITSQDYEDFKKMLTSLWFDLCGRGGCSSSSSAFEHVFVGEIKGQRQEIMMVLLTNMQFYLEESNGNVDYQGYIFPRKRGELPDSETQLLTIQFEWHGVLKSVSSTLVGVSPEFEIALYTLCFFAGGEDNHVDIGPYSVNIKCYRLGDNKIGSAFPIAEN >Dexi2B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:2B:26449728:26450481:1 gene:Dexi2B01G0016270 transcript:Dexi2B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALMNGRNPSAVLDGLYGVQLDRPLPQSAQSEDQALRTTALESSNCEQQKGGSARQRLLIRRLWQQRPSCLKPIHCSITYSKEELEHRYLCEFVGWGRNSFKLVPFFQRRNPKVSALG >Dexi6A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:6A:27545533:27548589:1 gene:Dexi6A01G0019940 transcript:Dexi6A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCTHFDTPYLENENGFKSSPDRTSGNGITSNGDPAKPPSIEVPALSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENGKDSAVKKLDTSVDPEPDNEFLAQVSIVSRLKHENFVDMLGYCIEGEQRILAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVEPSIVHRDIRSSNVLLFEDYKAKIADFNLSSQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVKQCVDPRLNGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLTNTPYQAAPAPDTPSDA >Dexi4A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:4A:3622659:3623381:-1 gene:Dexi4A01G0005140 transcript:Dexi4A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAASVRVLLLLAAAVLLPPTSLAWSQSNCPPPAPGGGSGGHGPGRPWYPPPGSGSGGGHGSPPHHGRPPKQHHGKPPSNCPPCNPPYNPPPTPRPSPPYVPPYTPPTPRPSPPYVPPYIPPTPPYVPPTPPYVPPYVPPPTPTPPAGRTCPIDALKLNACVDVLSGLIHLVIGQEARTKCCPLVQGVADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHAPPGFKCPTLYD >Dexi2A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:2A:28543509:28550211:-1 gene:Dexi2A01G0016820 transcript:Dexi2A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGARRPAPAGIILAAALRALLLVSIAAAAAAAVIEEEEDPAVDYGAALTKSLLYFEAQRSGRLPHNQRVPWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEFGDDVAAAGELGHTLEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASIVFRTSNPHYSHLLLHHAQQLFEFGDRYQGTYDSSIAEVRSYYASVSGYHDELLWAALWLHRATGRAEYLRYAVDRAESFGGVGWAMTEFSWDVKFAGVQVLAAKLLLEGDPQALPHRAVLEQYKAKAEHYLCACLGLNGGNGTSDTNNNVDRSPGGMLYVRQWNNLQYVSSAAFLLTAYSHYLSSSSSSPALLQCPRGAATPAELLDLARSQADYILGRNPLRLSYMVGYGRRYPVRVHHRGASIVAHKANSRFIGCMQGFDDWFGRGRANPNVLAGAIVGGPNSRDEFRDDRGNYMQTEACTYNTAPMVGVFARLHRIAMAEASEGCRRKGMTGADEAECR >DexiUA01G0015620.1:cds pep primary_assembly:Fonio_CM05836:UA:32841258:32852254:1 gene:DexiUA01G0015620 transcript:DexiUA01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEIGSSPDETLEADVALTGQLPLLLVSQLHVVQILEEPIVLSHPTTANGLDHTSPIENLTVTENGSAPLAQQVPETNTLPMEEGNPQSKGADADSAVPFRQARKNKRVSFADTADNEGSVPKPSLVKCKTAGCQGEPDQAKPKKTRVSKKQELDSWSASAGLKEVHEVDDEIKRLFQGFRADVGDVPLSPRLPALDAITLHESDIVDPSKRGMFKYVKMDVQTLKDLLSSKPLDETALISEINIIINHWTGLFCNGKTLGLTAGLKQFMETMGTLSGLLGVCGSSVTSAAKDYASELQLKAAPIQEAVPLALKKLDNLRVVRQKRREVLTGQLEKHNEKLETLGALILKEEKARDEKSALLGETNDLLDLVPMVYKGIKDSAIKVEQQISRYARRQDSEKKDLLISLLQSLSS >Dexi3B01G0031650.1:cds pep primary_assembly:Fonio_CM05836:3B:33665935:33668247:1 gene:Dexi3B01G0031650 transcript:Dexi3B01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFNDLADRLVPNFVSMLAENIIGMVARLCEVCCGGGVTWCLALQIIFMGTVVVPFQTMSCAIVCLYVCSGPFACIALALWRIAQRDYGEGDAGNGNLMPALDIFYSLVLLQGGLYVIWMSWPLFDASEADALRAYREQLGLPDARWCHRYLDSYLSDMRARCWREPVSIRGRTLYIFAFDSLDSGQWNDMVSGVRIIVAFVLLGANVRPQFLRFRSRIQKLIDALGWRGRATMREPAARIVAHLAGDIRLAQFPGAMESISSLLQEEKETTGQDSNELILQGLAILEGLASDHHNCRVICGAPGDLVCKIMAPLTSAKLIYNLSNRDWADVVSGCFKVLHKIIKAPGKGSRMLRREICSNKQSISNLKSILEHGHEHDGLEELQMEAMGILTQLALDVSIDLAKETKETLIKKQLQIFLADGEEVEEEAAVVLNTLRECAGRTLLSLSTENTSLTIMIAQNDIISDLTRMLDAKNTMTCRSIAAQILENLCTHCDLDKQWVKETLLPKVLTEILSSKRGIPENGVSPSNHEESQHISAPRKNDEENQNISTQQGDMETQETSSSTEDQNKSSDGAGGNEEQTTTASLMQEAFLSLALVTRDKLVSADDFDDAVQKIGVGPGQFVARIKAIVEDNCQETAESLRIVKLSRRIVEPMMERDRYAQHFKNKEFVKSLSNASEIMSNLESCMLFAGTDFRRNKTMRPLLFDIEKRAISRLGLRNTAPVCC >Dexi8B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:8B:19289823:19295288:1 gene:Dexi8B01G0010730 transcript:Dexi8B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPNPAAAADPSPAVAGDREWRIDDTRVTLLHRSAGPVPGASFAFDHVFDREVTNERVYGTVVRDLIGAVVDGFNATAFAYGQTSSGKTFTMNGSDADPGIIPRAVRDVFDAVGQTDDREFLVRVSYMEIYNEDINDLLTIEDQKLQIKESLERGVYVAGLREEIVNNSEQVFELLQLGEANRHFGETNMNARSSRSHTIFRMVIESSAKNQIDNGDPIRVSVLNLVDLAGSERNHKTGTEGVRLNEAGYINKSLLMLGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEMHIEETRGTLKFASRAKCVSNCAQVNEILTDAALLKRQKREIEELRKKLQGSHSEGLEQVVLKLRNDMHKSELERDRLAMELDEERKLRMSLEHHLIEQQKKLDGLDNTGMSGDQFTDSTQLDSLKTPDSKYIPDSFVARRSRYSNDVEFSPIPENLGTTADEDLWTRLNKGCVTDLDMLEMTPGLKREASLLEDATSGAPLEEPTDARCQRLEKGCISDRQQLEESNARCAALEKERDMLRDENKSLHQELSDSKREANRLVAAKQAQLDDSIARSVVLERELSRSRKDAERLATVKLELAGQLDTERQKMEELKQDIQVVTQAFLQREGHLASLYAKSKAIMENCKPSQFATQP >Dexi1B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:1B:1033703:1037329:1 gene:Dexi1B01G0001360 transcript:Dexi1B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVAEDFRPSSPGTTMTSKTSGSLTTSQSTTGKLSSVGSSFMASAGSRSTSSGFEEGGKYPDGQILEAPNLRTFTFIELKAATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPTKNGTGMVVAVKKLNSESLQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDRELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPNGQLSLADWAKPYLADRRKLARLMDPRFEGQYNSKQAFQAAQLTLNCLAGEPRSRPSMKEVVETLEQIESMKSRAREARGGGGGGGSSRDRHHSRSAAAHQRSSPRAGGGRGSRVTNGHAARAR >Dexi9A01G0039360.1:cds pep primary_assembly:Fonio_CM05836:9A:43349534:43353832:-1 gene:Dexi9A01G0039360 transcript:Dexi9A01G0039360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASVVPSVRWVSPVFARPTVSSCRRACMRHFPLGVRHFTRSGARRLPVVASAAGDSAVGDAFVTEGSTNVKFPRELTVPGYTGSLVILGTGYRDKFFVKVYAAAFYMDYSLSIDTEQWKEKIGMESFDSNSVFDAIFKAQVVKSLSINLVRDVDGKTFVNALNDVIARQIKEPNVEEESSISTFQNIFLGRNLKQGTSIYLTWLEPSRMLISISENQDPCQVDAEIKSATVNYALYNGFFGNSPVSPSLRSSTSQLLEALLMK >Dexi2A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:2A:5701079:5711886:-1 gene:Dexi2A01G0006000 transcript:Dexi2A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVSVFPSRTHELLTTRSWDFLGLPQTPPPELPLQGDVIVGMLDTGIWPNSPSFSDDSFSPPPSRWKGICQNFTCNNKIIGARAYMEGSTDGLSPLDEQGHGSHTASTVAGRAVSNVSFDGLATGVARGAVPGARLAVYKVCWSGGGCGEADILAAFDDAIADGVDVISFSIGSPEPSQYFESAQAIGSFHAMRRGVLTSASAGNSGLRGGHDCNVAPWMLSVAASSIDRQFIVRLVLGNGETIVGIAINTSPTIVNATIAYPTGGSCDPDDLGGAYKGKIVLCPPQNGGGLNGPLIAGAAGMVIVARSPDVASKLPLPGLTVTQDKFNQIMVYINSTSNPVATLERGETTVNPQAPVAASFSSPGPNLITPGILKPDLSAPGINILASWSPLASPEALYNIISGTSMSCPHASGAAAYVKSFHRDWSPAMIMSALITSVTLMNTPGNSNTTTFKYGAGQLNPVKAHDPGLVYDASESDYVAMLCAQGYNATQLGLITGSNTTVCPSGSSAGSPSDLNYPTMAARVEPGKNFTVSFPRTVTTVGAASDTYDLKIIIAIEASKDIAIDVSPSKLEFSAQNQKISFTVTVSGVAPLDGQVYSAAIVWYNDEHEVRSPVVVYTSADWLSEEWLDSGLSLLLEVIIVQGRMPYLDLSIVTVFRPPHSELTFAELCMDGVVSVFQSRTYELLTTRSWDFLGLPQTPPPELPLQGDVIVGMLDTGIYPNSPSFSDDGFGPPPSKWKGVCKNFTCNNKIIGARAYREGSTEGLSPLDDEGHGSHTASTVAGRAVSNVSLDGLAAGVARGAVPGARLAIYKVCWNESGCLEVDMLAAFDDAIADGVDVISFSIGSSEPFQYFRDAAAIGSFHGMRRGVLTSTSAGNSGLSGGHVCNVAPWLLSVAANSIDRRSCDPDNLGGGLYRGKIVLCLPQNNLGRNGPVLAGAAGIILVTRAPDVAFELPLPGLTVTQDKFDQIKVYVNSTSNPVGTIERTETTGNPQAPVVASFSSPGPNMITPGILKPDLSAPGIDIIASWSPLASPKVPYNIISGTSMACPHASGAAAYVKSFHHDWSPAMIMSALITTVTPMNTPGNSNTTAFKYGAGQLNPVKANNPGLVYDASESDYVAMLCAQGYNATQLALITGSNTTVCPNGSMSGSPSDLNYPTMAARVEPGKNFTVSFPRTATNVGAASEAYDVKVIIPIEAAKDILIDVSPSKLEFSAENQKISFTVTVSGVPPLDGQVHSAAIVWYNNEHEVRSPVVVYTEVDW >Dexi2A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:2A:12707149:12709396:-1 gene:Dexi2A01G0011100 transcript:Dexi2A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGARGGDQWRERASTASAMVTASEDFRSVAAGLWEVERGRTGDGKEVYRARGYIPPEYIDYDYISQRFDVYSLRVIIIKLMAGNLGYFAHSESPQERFIELVSENWKERLQAVTSSTSFEIDILRVRKCVEIALRCVKTERKERPFIKDIVHELEDLEADIKKKLLSCDHQMKLITAGQKSSGSNILVVDPTIELRFPFEAGKDISCCLQLTNTTEDYIAFKIKTNQTKYITRPNKGFVPACSKCYVLVTLRAQEKAPANMQCNDMLLVHSANISKNQTVQTPDEFTDQDFHEKFMVGKAVEVVKLPIVYVSFD >Dexi6A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:6A:22524925:22525978:-1 gene:Dexi6A01G0015130 transcript:Dexi6A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPMPSPTSITFHFFFFTSTITYLAALSAGAASTAALTVVKFPLPSFATTASTANPPGPTGICSILLSLPRSHLGAEGVFPCSPAKAPRPRREEEEAVIAAAAATWPRPSARSEVTASSPVATSEARRDPVWSRPSLQVSWLPSAPLSHDRRSELVSPVPRRPAVAGDDDEEVVVDIDHESSSEERSRRSRQSATAEERRRSPPPPRMPPPPMGNEEMMDATPLMASSVSRSVETRPAEMPVVSRAFAAGGACCRGAGDGFCGWALARGSSRSGEERTRRSSAAARRGDVDDAMAGARLIPTMAQ >Dexi1B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:1B:24808996:24809312:1 gene:Dexi1B01G0018650 transcript:Dexi1B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQKVGPEQEEAGSVPASEQAPSVPAERRCSEASDEEIAQNGKKWTREEVMVAFNKYIEDKDEFKDILYEFDEL >Dexi9B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:9B:1923457:1927322:-1 gene:Dexi9B01G0003330 transcript:Dexi9B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAVKPKAPTWAEARALEKRMSLIQQKKMGKVKGKGKDRARRLLAKPIKFYHEMEELFSGTSADGSLAMDQETCLDNDGTSSDNSDLQWMNDTSSNGKAVDLAGDDSDTLPTTKGYKPRPRCAASANDSSSSNPHAGKKRSRGKSPKKPQKSRCRFAEATKEISNTMKAIVQALAEPPPPPPLPTPQPGGAHASLWKRIDALPITSEDKINLGVYLARPEQEGMRDFLSASSDSTLQTWVYKFFSQDDH >Dexi6A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:6A:7332417:7334272:1 gene:Dexi6A01G0007410 transcript:Dexi6A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGATYEATLMGMRPEDVNLLTTSGLQTMRRVPEQHNRLHPAYKAPSSLQSSAPPHFPLSSSSPLPPPDRARSP >Dexi8A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:8A:25709756:25711278:-1 gene:Dexi8A01G0014970 transcript:Dexi8A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSYYQTSRPIRSQVQHQEHIFHLYAHQHMGNTEFNIVPAPSHPNSFGWTNVMDWDVHDAPEIRTAVVARMQGVGISTRKNSWYYSSILAFTDERFKGSTISMQGPLGPANIGDEGHWAVVGGTGEFVHAQGSCSYKRIQTVSGGGMMNELRIRVVCLIFPKPVRCVFFLILIQEKLNSQSSVPVKKLGPWGGNGGAPYEINDGELPRRLESLTIYATDFIQTIAFSYTDQAGQNRTVDPWGGDAGKFKHTFVSSSLSSWYKLFFVLRDKASSVKDLI >Dexi8A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:8A:4953493:4956161:-1 gene:Dexi8A01G0005400 transcript:Dexi8A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSSCAGAGRQCSAPPLRPPAFDPLDPDADPPPRALTRDQVRRCKKALKVLDKKLKPDAILQEYRSLPVRKDVLLNTELFTVARDAANYEDRNRHTDVLPCEHHSLVIGMPTRTSVWIYENRFNLCVFCFFSVNENRVRLQSSLINQTSTNDYINASLVKTDGKDQTKFICTQAPLPKTFEDFWQMVYENRCPVIVMVASVAVGKSDRVHSVLHIHYPEWPDHGSNQIRHRASFCTLFHAHTVMTTVTVFSCSAGIGRTGTCITILNTVERILRGEFAALELVDTIRKFRNQRVGMISREVELYGK >Dexi5B01G0037870.1:cds pep primary_assembly:Fonio_CM05836:5B:37231500:37231943:-1 gene:Dexi5B01G0037870 transcript:Dexi5B01G0037870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLLLRHRLTSAAASPTRVLACAAAMSSSASSSSPHGGRKPNRLTAEHSPYLLQHAHNPVDWYPWGDEAFEKARAKDVPIFLSTV >Dexi5B01G0027610.1:cds pep primary_assembly:Fonio_CM05836:5B:29176263:29178368:1 gene:Dexi5B01G0027610 transcript:Dexi5B01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANPSVVDQIPLLPSPGHRDTFAAVPVVDLSRPGAARAIVDACERFGFFKVINHGVATATMDRAESETVKFFAQAQAVKDRAGPAYPPFGYGSKRIGLNGDMGWLEFLLLAVDSASLSDACTYVAAVRGVAVRVLEKMAEGLGIAPVDALSAMVTEQGSDQVFRVNHYPPCPALQGLGCSATGFGEHTDPQLISVLRSNGTSGLQIALRDGAQWVSVPSDRDAFFVNVGDSLQVLTNGRFKSVKHRVVTSSLKSRVSFIYFAGPSLAQRIVPLPELLGDGEEILYKEFTWGEYKKAAYKTRLGDNRLAQFEK >Dexi1B01G0025030.1:cds pep primary_assembly:Fonio_CM05836:1B:30208228:30215566:1 gene:Dexi1B01G0025030 transcript:Dexi1B01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELFERAIAAGGLHVIEGRKLWAAYRKYEMGLLMTTLDRNDDEKAKQIERIRSLFQRQLSVPLIDMEQTLTEYGSWEAEHESGSYQGSGVDYIPSDVTAAYEAACHMYSQRRVYEEELLEEGASVAEKLQAFTVFQYALHYPFKDKKKEYLNLSFARVDGIRRRLKKGVCVDVGVLREAFDGCSNKDFCSFEELRRISAELPRISLAGSAVSAGGGGDAEQLGVDALRETAATSQQRNGVELRLLDITEAMEMRPDGHPAPSRYCHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFQMLAARQ >Dexi1B01G0024350.1:cds pep primary_assembly:Fonio_CM05836:1B:29683349:29685968:1 gene:Dexi1B01G0024350 transcript:Dexi1B01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRPRTISRRAPARGPSKSKRTDELAAMSDSDDYEIDAFHKQGNMTPLVLDVDDGSESEDDDMEQPVFDLKVIETDDSEGEENGDMDEAKYEEWDKAYIAKLKRAERAVKQIAGGDDSMDERDEDEKNKYVWARGKSAYYTAGEQSGDDEVDYEEAQRIEKANVTKLSMKDFGLEDGESDEENVATKVTI >Dexi4A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:4A:2709953:2715181:1 gene:Dexi4A01G0003800 transcript:Dexi4A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPEGGDADGGAAAEVGSPRSGYFRQRSMHAADPEAARRALDVESPPCGAGTPGGLRHSESVTKLESLERAALAPAVVLRTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKLIILFQSKGPEAAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSIKLLGIIVVISIGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQVAVFYFHDEFTWLKGAGLFTIMVGVSLFNWYKYEKFKKGQTNEDDLSSPQFTADAKYIILDDLEYQDEFEEEDT >Dexi9B01G0036820.1:cds pep primary_assembly:Fonio_CM05836:9B:38316726:38317424:1 gene:Dexi9B01G0036820 transcript:Dexi9B01G0036820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVKKDLAMTGEVTLTGRVLPISGVKEKTIAARRSGVKTIIFPSANRRDFDELASNVKEGLEVHFVDRYNEIYNIAFTRDTKTQEI >Dexi9B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:9B:2430565:2431154:-1 gene:Dexi9B01G0004230 transcript:Dexi9B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYWSHVAQCSSCSAALKAMRALEVALQVSAVAVVGFLAVAKETLVASAARRAAVVSAADYSHAYK >Dexi5B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:5B:8262271:8262762:-1 gene:Dexi5B01G0011680 transcript:Dexi5B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVTNGTTEAIAPDERDHLLQSLGKDTWRLVWASAAYLLLDVTVGNAVWIVALFAAVATFAGETSSCSFAALLGKARAQQLKGTVLTVAFVYGLQVAYVVLLLSAMAALLVHLFVKGPTGLLLLGFLLLFSAAVFLVYFVFLCALSVVVAVAEPGGMAPVR >Dexi9A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:9A:15864214:15867210:-1 gene:Dexi9A01G0020830 transcript:Dexi9A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTMLTLTLLAYSLALLARLLVSRARRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNMIEGGEARPDRLREAMEEMDETFHAVLDELFARSAAPGGVGVRPGDVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNNFFRTHAGQVALVMTSESIAPNWYAGNRRSFMLGNCLFRSGGCAYFLSNDPRLRPHAKLRLRHVVRTHTGASDESYSCALQMEDDAGRPGFHLGKDLPRAAVHAFVHNLRVLAPRVLPLPELLRLAFATLSARLRSRNKNKQQQRGSSSANHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLQLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKGRLRKGDRVLMLTFGAGFKCNSCVWTVEKPATDAGVWKDRIDQYPLKDVSNPFLEKYGFVKDMMNL >Dexi8A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:8A:3882546:3901632:1 gene:Dexi8A01G0004450 transcript:Dexi8A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLVRRNTISPHHPRHRHLLHHPHPITQASSLKQHIACALRWAVASPVQPDFATTSTGLCASSCGLVGGPTTAANGCWQQKSKLSIMAWALDALASYVQNMLVEMAKEEVHMLLGVSEDIVKMDVKLRDLKNCLADADRRNITDLSVQEWVRELRNAMYDATNILDLCQLKAMEQGPRRDVGCFNPLLFCMRNPLHAHDIGSRIKKLNRRLEEIKKRSMYFSFINLGSYEYCNRREISSNPCTRETSGELDELSVVGEKIEEDTRNLVEILTTDDLSKYDYSKIMVFAIVGVGGIGKTTLAQKIFNHDIIQQEFPKKIWLSVNQDFNETELLRRAITEAGGDHQSAGNTRGALERALKETLDGKKTFLVMDDVWNHRAWEDVLRIPMINASLAHGSRVLVTTRHDTVARGMMAKKPYHHVDKLEPTDAWLLLKKQVVGNESDEAQIELVKDIGMEIIAKCDGLPLAVKVMGGLVKLPASISKLQQLRHNVLSIRPDEVISENEQQRVEEVFDELCPPLGLEVLLIGGYFGRRLPRWMKSASVVHLRSLRILSLHDLVFSTELPVGLCQLPCLEHLRINLVPAIKRVGSEFLQPNYQCQNHSQVVDSFPKLQKLLFDGFHEWVEWEWDEELKAMPILEELHLRSCKLRHVPPGLAFNTRALKKILIHDVKYLRSLENFTRVVDLEVTECTAMERISNLPKLHRLVIRKCPKMKVLEGVPALQKLNLEDYHMETVPRYIKDIRPRHLMVYCTVSLLCSIATGKSGPEWDKFSHIQQVNAYANDNCRPITKLYVLYRRDPFFLETNITRYAIAREMAKEELHKLLGVSGEIDKMCTKLGDLNNYLTDADRRNITDQSAQAWVRELKGTMYEATNILDLCHLRSMERQPGMDARCLNPLLFCMRNPRHAHDIGTQIKNLNKKLDGIKDRATTFNFINLGSYGDRNLTVASFNPSKRETSGELDGSGVVGEMIEVDTRNLVRLLTHETETSHGDNKILIFAIVGVGGIGKPL >Dexi6A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:6A:2978896:2980512:1 gene:Dexi6A01G0003340 transcript:Dexi6A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRIAGNAGAVRLVSSLLDAKNDQPPSKNDEGNSDDGSLGPPKPADGNNEIGGCTRNEQGRNCYQRWCSWICKCWQRMKKNWPMRSMEKKSINSPQDYSIPVLGMKILERLACDPDNCIEIMKNKNLISKIIGFISYTSNDKGNNDNALIVSSPLGPHPASGNNEIRGRTRNEPPLNSQDSLPVLGMMILEKLTSYPDNCAEIVKNKNLISKITGLISYTSNDGSSNDSALIVSSSLGPRPAGDDNEIGGRTRNKPPLTSQDSLPVLMGMKILERLTCDPDNYAEIVKNTNLIPNIIGLISYTSNDGSSNDNALIVSSSLNFLRMIGTTNGKAGATLWQELWESPLLLRNLTCVLQDNRSSLEVWKPAIDIIATLALDEVARHELGRVKVIIHNLLHIFIIEQDGRTNYDQLLRVAAGAALSNLAMENPENCLAMLEERQVCGYDLVKDLEGMLGNNEYRCVAASLLLNLCECSRMRDALPETFAECSRNKDQMSNP >Dexi4B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:4B:1531578:1532514:-1 gene:Dexi4B01G0002420 transcript:Dexi4B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTPSSLPCLVLDYGGGDQRATLFGVSDGVHRPCDADELHGKRAWPTSHGWVLTWDPATAAMSLWNARAPPDAAAAAVALPPLAHPPPVESLCALSGNPTVAGGCTVVLVEPPQSTVLWYCHAGAGEGSTWSRHEYDLGGTSIRVPGGPCWCKRIISDLASRHGRFYYFHSATEYGVIDFPPAASSPAFSTVPMRKVPMRYPAGQFMAIASMYTVEIDGELYTASVVHRGCHDVNSVDHVGVYRMDFARKKPVRVKSLGDRAILAGGGSGSFGGWCLATEFGLRPNTLYWMSPGDKRLHW >Dexi4A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:4A:2519245:2521017:1 gene:Dexi4A01G0003460 transcript:Dexi4A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAALLAVFLLAAASAMTGGGGVRAQPLVPAVISFGDSTVDVGNNNYLPRAVFKADYKPYGENFARHKPTGRFSDGKIVTDITAETLGFESYAPPYLSRQASGKNLLIGANFASAASSYLDDTAAMYDAITLTQQLKYYKEYQTKLGAVAGRAKARTILSDALYVVSTGTGDFLQNYYHNASLSSRYDVDQYCDLLIGVFSNFANELYKLGARRIGVTSMPPLGCLPASIRLYGDGRGACVARLNRDAETFNGKLNATVKAFKRRHTDLKIAIFDIYTPLRQLAEAPAENGFADARGTCCRTGTAKTRVYLCNPTTAGTCRNASSYVFFDGVHPSEAANTFMAESMIEAGIELVT >Dexi3A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:3A:4254468:4254932:-1 gene:Dexi3A01G0006450 transcript:Dexi3A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASAPSPCANGCGFFGSPATMNLCSVCFANHLHEVAPSDKAAEAAVLTEEKPAAAAAGLTDAEMMSKIEHEDWVQRTSKAKENPCTECFKKMGLAMRFQCRCGNAYCLNHRNSEAHHCSFDYQRAGVISIIRSNPLVEADKMRDRI >Dexi9A01G0040230.1:cds pep primary_assembly:Fonio_CM05836:9A:44072856:44073498:1 gene:Dexi9A01G0040230 transcript:Dexi9A01G0040230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFQPSKRNANSDSANVIAVLPDGFHGTSTSGQPLPLIERWKSGACDCGGWDEGCILSVLTGATPKNDAIQANQAMDGSQRFELLALGRPREDRHAFSMVSFKEGLYTVEFKSSIALLQAFAMCIVMLHGRYPSRMQVASQATQEHDLLADHELKTMAGSQVKAPTSYVPHRPPLSPVGRA >Dexi6A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:6A:27523760:27524112:1 gene:Dexi6A01G0019890 transcript:Dexi6A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKMVVTLIRLMVTVLLRGFDVCGVKAPRGIGKLKALNTLGVINVARGKNVLKEIKKLTQLRKLGITGIKKDHCEELGSTIYSCSHLQTLRPSEP >Dexi8A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:8A:2844301:2845065:-1 gene:Dexi8A01G0003730 transcript:Dexi8A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGDKEDRFQFQALSHRRKEYSSYSHPAFAYMNPWRCDELPPPPYIHGEGYLKTSIESYGIVGGGLLCISTEGVGTYCFDMASRTWVKAGDWALPFAGKIEHVPELGVLVGFPAGAEEDDQRLGVSPLPWTVSAAVDGRRPKLLEVAGDLLPPEEWKRVAGVRPQLVNLGSGKLCAVQFFQKMVYRCWRCEHAEVDRRFAVFTGLEVVRGGGDDDDEDDDEPSGGMGIRVIRHKSKRYMLPEDNNIFIKSVL >Dexi8B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:8B:17170156:17173329:-1 gene:Dexi8B01G0009640 transcript:Dexi8B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKFVDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDSWFDVVERISNDNNKTLQRTSHTTRCLNLGSYNYLGFAAADEYCTPRAIESLKKYSASTCSVRVDGGTTKLHAELEELVARFVGKPAAILFGMGYVTNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKDIIQHLKHTCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLAHIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRDCLRQKVAVVTVAFPATPLLLARARICISASHTREDLVTALDVISRVGDLVGIKYFPAEPPKIAEVGHNKLE >Dexi9A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:9A:2121184:2127435:1 gene:Dexi9A01G0004050 transcript:Dexi9A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSPAAAANLAGKSGVRVVVIGDPGTGKSSLVVALATEQFPENVPKVMPPTRLPADYFPDRVPITIIDTSSSPEQKPKLIAECQAADAVVLTYACDRPATLERLSSFWLPELRRLQLKTPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFIICDNDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNESGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDELIAMPIKRAPDQTLEMTSEVVDFLKGIFNMFDIDNDGALLPAELEDLFSTAPENPWSSDPYKDCAEKNVLGGLSLEGFLSKGTRKTLILREIPEGDVRSLLSDRESLAPCDVAVFVYDRIVRAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAAAVVGIAAYRVYAARKNSSS >Dexi1A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:1A:2715849:2723102:-1 gene:Dexi1A01G0003730 transcript:Dexi1A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPASSAVAPAAAAASAGGAPPEGTSFTFLLSVSVVGFDAAPRAPPPRERKAPTINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDNLWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRASPTEFVIPFAKYQKALYSNQITLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKDSQWRNLQVGWDESAAGERRNRVSKWEIEPIAAPFFICPQPFFGVKRPRQLDDESSEMENLFKRAMPWLGEEICIKDAQTHNTTMPGLSLVQWMNMNRQQSSALANTGTQSEYLRSLSNPAMQNLGATELARQLYVQNHLLQQNNAQLNASKLPQQMQPINELPKGVLLFNQLDAITNQEQKQEAGNQQRQQQPANQAIPLSQAQASLVQAQEQQKLLLDMQQLSSSRSLAQQWIMPQQDSKVSLQASSAPPPMKQEQQQQKLSQKQVAPADVSDVAFPSITSNNVFSKDGSPLMVPGAAQSVLTEEIPSCSTSPSTANGNHLAHPTIGRNEHCKVNTEKVPHSSALMSIPATVEAVTAAPMMARELLKLNHNVKENVITSKSPTRGTGPDNLLNIVPSTDNLETASSATSLWPTQTDGLLNQGFSTSNFNQQQMFKDALPDVEIQDVDPTNNTFFGVSNDGPLGFPMETEGLLVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWRPQCDQNPGENYGCKMPVQLPTKLSPGRVVQCRIPIDGLVLYIWEV >Dexi5B01G0024430.1:cds pep primary_assembly:Fonio_CM05836:5B:26468410:26472447:1 gene:Dexi5B01G0024430 transcript:Dexi5B01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRSASVAALAPAGRRAPRARLCLRLAAPLSFLLLFAALFRAQPFLGIPPAAPPPFAGPAKVAFLFLVRAGVPLDFLWDAFFRNGEEGRFSVYVHSAPGFQLDRTTTGSPYFYGRQLARSVKNGEEGRFSVYVHSAPGFQLDRTTTGSPYFYGRQLARSVKVAWGEATMVEAERLLFAAALQDPANQRFVLLSDSCVPLYNFSYIYTYLMSSPKSFVDSFVDQTEKRYNQNMSPAIPKVKWRKGSQMVVTKRLLGRRPNARRLGFNLRRNQKGAAVQEHDCIPDEHYVQTLFSIKGFDDELERRTLTYTSWNQSSNPKDKMTWHPMKFEYDTSSPEHISAIKSIDHVNYEMEHRTEWCQCNGTSVPCFLFARKFSYSAAMHLLEQGAIGPPKSAQLLVNF >Dexi2A01G0028600.1:cds pep primary_assembly:Fonio_CM05836:2A:39849422:39853712:-1 gene:Dexi2A01G0028600 transcript:Dexi2A01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLARVGDAGGGAGAQGQGDHHDGAEEEFGEGVAVCEEAVLGVLDAMRSRLDAAIQVEAGMVRMARTSGCRNRSKIMGIVLVRMALEGMRRQLDVGAAMRSPTTGKEKLAAGCSDLRFGCRLSLWVRESVKLLFQVMDLTSIEVYKLELINGWCRARRSEVCLSLTLSLRKRAMGREKSLGKMTTWRSSSSAGCSGDVSGELLGAFNGGECLQWGNLESSSMVEGGRRERERE >DexiUA01G0006540.1:cds pep primary_assembly:Fonio_CM05836:UA:12570689:12575233:1 gene:DexiUA01G0006540 transcript:DexiUA01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCVGEDPWCSQGDAPALTKGRSLGIVAYYVHPSAWLAPIAFVMLLRAPYLELAGTCFLFNAKITAGDRIVETIQHTIALQPINVLKQDLFAVSVFENRRNESEKP >Dexi4A01G0023470.1:cds pep primary_assembly:Fonio_CM05836:4A:26536656:26541535:-1 gene:Dexi4A01G0023470 transcript:Dexi4A01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADAAAPASTSGPPLAPLIAAQLSYLLSHSKLPIKVEQIWSGCRNGRYSDRFTLSIPLCLDYVHWDFLYNALAPKVAPDVVFGPDDEGFQPLVDYAEAGSGDKSCLAGWDYRDPRGLLALVHELRELYVEYHKKQVAKVDDARVTFELSTVLSKEGIEVCMVPSADRPEEVKFSVPLLDVDFDFAKLVIFPISRSSSYSSVPSAPRLKLISTPDLKSLFSVEDEKLPPWSNGMCLAEYLPALEESLNLRVVEASASIGARRRFIEALAPTFGRPIEADPVHFAIPLQFPRQQPALTLQSSQHLNANGTPIMSLPINDYPWSPRWDQAEMVERIYDFLTDECQNFKKFCSDTITQQK >Dexi9A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:9A:2743655:2744380:-1 gene:Dexi9A01G0004990 transcript:Dexi9A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHWSKKVHLGSPISTIEFCPTERVVISTSHQWGAEITKLDVPPEWKVWQIWLVLISLFLSSATLFYLFFKHARLNL >Dexi1B01G0024210.1:cds pep primary_assembly:Fonio_CM05836:1B:29599241:29600306:1 gene:Dexi1B01G0024210 transcript:Dexi1B01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSANEGIEGLRFAVTGGQGFVGAALCVELLRRGAREVRSLDLRAASSWSQQLLDAGVRLIQGDIRKKDDVGRAFRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGKPIANGNEALPYFPIEDHVDAYGRSKSVAEQLVLKSNGRPAK >Dexi8B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:8B:1047169:1047573:-1 gene:Dexi8B01G0001580 transcript:Dexi8B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLDQLVDWEVSSNALQGLAPGDERPGNETCPSDLGSSVCHSSYSTCRATSGQYKPPTNATGYVCRCHDGYQGNPYLSDGYRRMLASG >Dexi4B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:4B:6947726:6950269:1 gene:Dexi4B01G0009580 transcript:Dexi4B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAVASLAAVAAGWLDLDGSSSSSTTTNLLLLRRWWTSSSSGVSHVVAVPSLWWWSSSGPSGVEALLRGAWDAARAAAVAPALAAASWVLLALSAMLLADAVFLAAASLLAPRRCRRYMAAGPIAGAAAAAAEDEEEEEGDEEAGGRDVGGCYPMVLVQIPMYNEREVYKLSIGAACGLAWPSNRVIVQVLDDSTDPTIKDLVELECKFWANKGKNVKYEVRNNRKGYKAGALKQGMLYDYVQQCDFVAVFDADFQPEPDFLLRTVPYLVHNPRIALVQARWEFVNPNEFLMTRIQKMTLDYHFKVEQEAGSSAFAFFGFNGIAEKSFSQNSIIHNSVRLTYLTLGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRACLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGAEIILTKVNFLIILVATS >Dexi7A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:7A:23262343:23265527:1 gene:Dexi7A01G0013070 transcript:Dexi7A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLLAAVLLSPTAVTAKTSGRNCSAGCGVGFDYFEIPYPFGIGPECSLPGFNLTCATGPGNTSVLLLGNPSIMVHDFGGSYDHSPTIGASIGYSVEMAAGVRDYSIYWEAPGKPFAISGSSNMSLFVVGCGVEASLFIGDSDVEVGNCSVMCVQEIMERLPQGLCVGIGCCYIDITVNLRAFTLNISRTGHAARVLTQVKAFITSQSYYQFNPSDLGSHSHILTWYYRADVMWTIPYQPNCRHAMEDRASYACLSNHSKCQEPLIGGYVCYCMEGSYGNPYVSNGCTAEDEDYKVYNSMQPKANCPTSCGNVSLPFPFGTEIGCFARYELYLACNPGPSPILEMPDGSVVTGISIDEGIVRVLKLDPKGFLAHMDTPLYAASGEWGMVKWVVDNITCKDAILASTECTQGFEGNPYLKEGCTDIDECQPDKYICNEIEQFINEVCILSRVNHRNVVKLHGCCLEVEVPLLVYEFISNGTLYDLLHREQNDTLSPLPWEERLRIAVEIAGALTYLHSAASESILHRDVKCMNILLNDSYTVKVSDFGASRSIPIDQTHLVTAMQGTFGYLDPEYYHTGQLNEKSDVYSFGMILLELLLRKKPIFENENGEKQNLSNYFLWAIGQRPLEEIVDERILGEASAQAITATAQLAQECLSLTRGERPTMKEVEMRLQILRSSQVIGPRARIDEVPRPHCEFVKTNRIASSVSMAACHHGSRQYSLEQEFVLSSRVPR >Dexi9A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:9A:7987257:7989707:1 gene:Dexi9A01G0012480 transcript:Dexi9A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVMGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEYIQKNLHLYQFRNTIPLSTAATANFTRGELATALRKNPYMVNVILGGYDKDAGASLYYIDYISTLHKIDKGAFGYGSYFCLSLMDKLYHPDMTVEEAVDLIDKCIKEIRLRLVVAPQNFVIKIVDKNGAREYARRDLVGDNASVDAATTVTA >Dexi9B01G0041980.1:cds pep primary_assembly:Fonio_CM05836:9B:42319597:42324238:1 gene:Dexi9B01G0041980 transcript:Dexi9B01G0041980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAAKCVAFVESVALVLLVVPSCRGLLVVAKPPINPYDIPAPYDMQGYLSRTASGPVAIPSQPQRLPDSSRKNPTDLGAQRLRPSACGRRVRARGLSSSPEDAPQHLAFPPSSTSFHSLLPTPHPPSDRARTATVALFSEAESESTARRFPVPVSVDWPACNSLFPTNAHYFFCRMAEQFYTVASDSETTGEDKSQPTFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGGAQESAHEERDILSGSAIFNMKRLIGRMDTDEVVQASKTLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNVVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQLMQDNMGSGIEKIALIFNMGAGYCDAAVATTAGGVSQIRALSGCTVGGEDILQNIMRHVLPNFDTLYDRHTADRIKSLGLLRIATQDAIHKLAHQEAVEINVDLGNGQKVSKVLDHSEFEQVNRAIFEKCEKIINQCLVDAKLDPEDINDVILVGGCSKIPRIRSLILGLCKTEVSYKNIDALEAAVSGAAMEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGDNFAAIIPRNTTVPARRDMLFTTTHDNQTEALIAVYEGEGDRAEENHLLGYFKIAGIPPAPKGSVEISVCMDIDASNVLRVFAGVVKPQGPAIPPFIEVRMPTLDDGHGWCGQALAKMYGKRLDLAVLPKKLQP >Dexi4A01G0024320.1:cds pep primary_assembly:Fonio_CM05836:4A:27128405:27131638:-1 gene:Dexi4A01G0024320 transcript:Dexi4A01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAVDAFIQRGGRSSPSATPGMSASRRTSSSATTGKLSTLSNSTFMPSTISGVSVDDDYPDGQILESPNLKIYAFSELKSATKSFRPETVLGEGGFGKVYKGWVDEKTLNPSKSSTGMVVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMDDNELLLVYEFMAKGSLENHLFRREAVYEPLPWSLRLRILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDGEESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMISGLRALDPSRPSEKVNLVNWARPLLADRRKLSQVMDSALEGQYNSKGALLAAQLTLKCLNGDPKSRPSMKEVVEVLEQIESMKSRRSSSRSGSSLTRRGQGRSPRSDSSRKNSRGR >Dexi1B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:1B:6067033:6068100:-1 gene:Dexi1B01G0007340 transcript:Dexi1B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGLAAMGRADAARVPPAWLRPMLDTEYFGGCLDHPQARGSRGAGACNLFCTGCPDRAICASCLPSHPGHKTIQIRRSSNSNVVRVADVEDLLQVSDVQPYLLNGHAAVFLKKRPMAGKGRAGEVRCEECERTLLNAAYRFCSIGCKLDALPNDLDFTVSFVVPPKSDDETESDSSSHDDDQPSAPTSAQDGEGESSSAMAAKPSSGQHGHSKGVPKNI >Dexi9B01G0033910.1:cds pep primary_assembly:Fonio_CM05836:9B:35974274:35974567:-1 gene:Dexi9B01G0033910 transcript:Dexi9B01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAGKPFILASTRSPTFHLAAAAAAAAAAAAARFLLAGAIARPPAPRPVNRPAGAAPAHHRSGLTGRLRDGYRPRTWSSSGGGSKGSHGSARRWS >Dexi2A01G0028990.1:cds pep primary_assembly:Fonio_CM05836:2A:40100869:40101227:1 gene:Dexi2A01G0028990 transcript:Dexi2A01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFTAPAPKKFEREKCAVFDLRTLQEATDNFNENNKLGEGGFGTVYKGKLSNEQKIAVKKLSRCSRQGLNQLHNECWLSFSTGTL >Dexi5B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:5B:17816713:17817912:-1 gene:Dexi5B01G0016890 transcript:Dexi5B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDFDDEAAMAMFNFHETRAGVRGLVESGVTTVPPLFVAPTPPVTSPRRFSLPSVDLSLPRARAAVLVRAFARSYGIFQVTSHGVPPGAVASALSAIRAFNEQPFAARSRFYTTEAHASRAVTYATVPIPRPTDAEPATAPLMCWRDSLLDPDVRGIPTMCRDTLLEYRYMLTSLGWKVADLLLEGIGVGAERLGELGGCLMQCHYHPPCPEPELVMGSREHTDAGLFTVLAQDGVGGLQVRLDDGDWVDVAPVPGALLVNVGDLLKVVSNDEYKSMEHRVVSKSTQEARVSIALFFNPVKHGKSDFFGPLPELVTAEKPARYRSLTWIQMLDNRSDLGHAKPSSLDHFRIPLN >Dexi9B01G0035130.1:cds pep primary_assembly:Fonio_CM05836:9B:36899615:36903043:-1 gene:Dexi9B01G0035130 transcript:Dexi9B01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLWLLRRPISSALLARRASPRILRATLSYSSFASAPAASPPSPLPPDTSAVDGGGGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSVDSTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGISLASFINANLPNNVRVFSILPAQRSFDVRRECLYREYFYLLPAETIGIKDGCSSEEVQEHLSEFNSILKGFEGNHPFHNYTARAKYRKILAGGHRRAKGASSTLKSMSSETGMEERSSEETTPSDLDENSNMSLLVDPGVSEDNCMNDSGELSGNRVQIQARWLYEPDESDRLNASHFREIITSSCGELQSSSGIQFVELTICGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEVLILRDNSFSTKNKEGRIVRPGIQSMHTSVEIRKGVEEFYRTALLPELSKFLDPSLPPWKEWVENLDRFAAIPDSQLDEVREAYRIWKADYERAKMARKSATDV >Dexi1A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:1A:25100973:25101703:1 gene:Dexi1A01G0017760 transcript:Dexi1A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSLGLGNNFSLHPSEKCTGHVNCFCEEANSSEGSQRHLSDTEENIPSFKPTVVDGMSEQLLVQSREADSSSEPADTGDEGFQSSLSDSAEGSLSSTPADSDDGWNRSQSGNEEASSLSEVTEPGDEDYPADIPPEDKRFLTSLGWKQDEADQIEPLDLCEIVDTVNGCEELKKKLQSMKSHENIKIILLHISRQK >DexiUA01G0008380.1:cds pep primary_assembly:Fonio_CM05836:UA:15565606:15572610:-1 gene:DexiUA01G0008380 transcript:DexiUA01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASARVTVTDADEAKVRAHQGLPSWDGHPLDDTAGQVHDMDEIAFALRHDERLRVAGCVRLDEGRVGDPDLRRKEQWEKSAQANKGLNFANLLGFGDLGSPPLSAAEEYSLHSRYLAKANSMNLSDIAEMKIIYRGGVDSEGRTVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYAIVYFHSAASLQPQPDLGFMKRLQQILGRKHQINLHAIYVLHPTVGLRTAILAMQLFVDREVWKKVVYVDRLVQLFRYVPREQLTIPDFVFHVWEGYTRAVYWSVATLTTVGYGDLHPSNPGEMAFAVVYVLFNLGLAAYIVGNMTNLVVSSSTAALTLRDTLRGVSMFGAKNHLPEALTEQMAESVLLSLDTKDQELMSEMPRAVRSGIAQHMFRDTVEGAYLFRGVSEGLVVELVSDMATTSQFFPPKADVVQQNETPTDCYIVVSGSVDVLVTAVDGSETVVARAGPRGMAGDIGVVLNVPQPFTVRCRRLTQVVRVSQRHLLRALRSPRTGDADRVFCNFVQHLESPMWQFAREEAPFFRDVPAQLRAGAAAAAASSMRGEIISVHGMEEADQPVRREPKRLVIHHKSANGAGKLVCLPGSMKELMKVGEAKFGKAVTKVLTVDGAEVEEIDVLRDGDHLFLC >Dexi3B01G0026700.1:cds pep primary_assembly:Fonio_CM05836:3B:22149036:22149752:-1 gene:Dexi3B01G0026700 transcript:Dexi3B01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLRLYVRLRLRDGEQASSVLRRIVPGRARLDLGPSSSRQPRRFPKPATLCPRLGAVLHSGSLVTPSSLATRPCQPGGLDDISLAIVFKLHEPGICGIAMHGCKLHLAAAHLQPQAAVPSWPCRPVLIGLTSFVKTIAWRVSHPVDTRSSDPASALSIVVNATPP >Dexi1A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:1A:26661844:26662487:1 gene:Dexi1A01G0019570 transcript:Dexi1A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPTAVAAVAPQVRENRLSCDGASKRVPLRLVGATTAAGQCWVRSADWMLVELAVRRCPAATVEPWPGGAADEGIIKATAGLPVEALRPELSAAHRGGACYSPRVNHAAAGSEEEFWADMPDAETPPGGALTTEANALLLLPGGELPLACLVAVGSRRRGFLDDVFSWIHRSIGQEDGTGVRRPIKRERGSSAGRRSAN >Dexi4B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:4B:6981291:6981509:1 gene:Dexi4B01G0009620 transcript:Dexi4B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGEHGETSKAPLSRGVSRGLSVLDIILRFIAIIGTLASAIAMGTTNETLPFFTQFIRFKAQYSDLPTLT >Dexi7A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:7A:25798537:25801839:1 gene:Dexi7A01G0015900 transcript:Dexi7A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAAPAAAPADTYDIPWVEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVMAAEKVPYVPDGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAP >Dexi9A01G0029260.1:cds pep primary_assembly:Fonio_CM05836:9A:34104643:34106040:1 gene:Dexi9A01G0029260 transcript:Dexi9A01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYSKSAGFVALLFSLFVTYGSCAQPVNYTASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVNNAACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGRSDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNAVYLAVLVEFEDGDGDVVQVDLMESNSGYWTPMRESWGSIWRLDSNHRLQAPFSLRITNESGKQLVANQVIPANWVPNTYYRSIIQY >Dexi2B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:2B:11674819:11678080:1 gene:Dexi2B01G0010410 transcript:Dexi2B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVDVFSFGIVMWEILTGEEPYANMHYGAIIGIQFIAYS >Dexi3B01G0036380.1:cds pep primary_assembly:Fonio_CM05836:3B:39207009:39207462:-1 gene:Dexi3B01G0036380 transcript:Dexi3B01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPTSEARGGGAITLQPQRSAVAGDVLGKPPAVRPRQAIFMAPQHLKKPAIFARPYYCWPEKYRRF >Dexi2A01G0022330.1:cds pep primary_assembly:Fonio_CM05836:2A:34171175:34172867:-1 gene:Dexi2A01G0022330 transcript:Dexi2A01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVASLLMGHSAAGLDFAALDSGFLDTLCGAGAGAGASLFGVPPGVAAGGGGGSPEGSSVSDPAWAGASDGGNARKRKAPPAGAANGKEVCLGKTGEPKGLDGKKCKVGAGGSPVKPKVEEATASDGSVEDKGQKKGKGKSSKPPVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQACGSSVNPVFPLESADESYHNTIVPGFVFQQRDFWEDGLQNTLPIASEQSQENGIPAPNFDGQLQADQAKLEF >Dexi3A01G0022310.1:cds pep primary_assembly:Fonio_CM05836:3A:17895818:17897388:1 gene:Dexi3A01G0022310 transcript:Dexi3A01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVAAVAPSPCARSSSSSPSTSSSRPMPAFLGGGGCAGLARSRSPANWGAGAMARRTRPARTVARCMLSASLDGMGGGGDAEFLKKIEELAAAAGVQPAGCGWPASMERSASSVGVPLSLRMLKRKKQQQQQVAAAGRQSPRWDEGLSLGSAGESVGRAFSSMVLIVRELQSFALRQMRDALLCDDVLARVQGEMHASFVWLFQHIFAGTPTLMVSLMLLLANFTVHSMGHSVAAAAAAFPLAPPTAVAAVIDTTHRAEPRFDAASVKTFSVGRTASVGGNSGGGGKAPPVAGATGDGRSDESLYRLSRVAPQQPSTPAGAAAPDAVDADEQAIWEMMVTEASRMQASARAEELSDPDVLGSLVAPVEAELETEDHAEHVRTQQRYEQAVADDPGNSLILANFAQFLYLVQNEHDRAEHYFERAVRAEPADAEALSRYATFLWKARDDLAGAEDAYQEAIAAEPGNAHHAAAYANFLWNSGGEDTCYPLD >Dexi3A01G0022310.2:cds pep primary_assembly:Fonio_CM05836:3A:17895818:17897566:1 gene:Dexi3A01G0022310 transcript:Dexi3A01G0022310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVAAVAPSPCARSSSSSPSTSSSRPMPAFLGGGGCAGLARSRSPANWGAGAMARRTRPARTVARCMLSASLDGMGGGGDAEFLKKIEELAAAAGVQPAGCGWPASMERSASSVGVPLSLRMLKRKKQQQQQVAAAGRQSPRWDEGLSLGSAGESVGRAFSSMVLIVRELQSFALRQMRDALLCDDVLARVQGEMHASFVWLFQHIFAGTPTLMVSLMLLLANFTVHSMGHSVAAAAAAFPLAPPTAVAAVIDTTHRAEPRFDAASVKTFSVGRTASVGGNSGGGGKAPPVAGATGDGRSDESLYRLSRVAPQQPSTPAGAAAPDAVDADEQAIWEMMVTEASRMQASARAEELSDPDVLGSLVAPVEAELETEDHAEHVRTQQRYEQAVADDPGNSLILANFAQFLYLVQNEHDRAEHYFERAVRAEPADAEALSRYATFLWKARDDLAGAEDAYQEAIAAEPGNAHHAAAYANFLWNSGACAGVATRRDA >Dexi1B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:1B:24968702:24970952:-1 gene:Dexi1B01G0018780 transcript:Dexi1B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSATQVLVAGIQLLLVCLHQVVPPASGLAGGNAALSIPSAASLAHCPTRCGDAEFGYPFGTSPGCFRQGFELTCDTTTQPPKLFWANSTTQMVGTDPTDHYFAYASIGFSIAMAPGTTSTYIRSWESPAEGFIIDSDTHMYVVGCDVEVVLLDSGTNRTIGSCTSLCPGDKASMGNESVAVAGNCNGLGCCSIALPDYLQGFQFVLSRRDGGGDRARSDEQAPISNDVKVFLTDDYEFDVSDLYSSWINRSVHTSLQIFATDQPSCEIASANKETYACSPGSLCQTGEWGGYFCYCNPGVNGNNPYILDGCIEGYNPHPKGDCKRSCGNMSIPFPFGFEDGCFAHQKFRLSCVSDKYIVLDRGDGTKYQVTTLSVNDGYLGVTSMLNDSSSSDDEVIVVHTTNGDFDYRIPREPMRNLIEFSQEFDIRMRH >Dexi3B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:3B:5379755:5381411:1 gene:Dexi3B01G0007590 transcript:Dexi3B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTKGELEQITLTPSAQRASSPPPPLAVVPEVDLSTAIDGTAAGRAAAARAVARACEDHGFFKVTGHGVQARLLARLDAAAAAFFALPQKEKEKAAGSPFGYASKRIGGNGDLGWVEYLLLGVTAAGAAAPPLPCCSFRDLLDEYVAAVRKMTCTVLELMAEGLGLDDHAAFARLVQASDSDSMLRVNHYPPRPEPGQVTTGFGEHTDPQIVSVLRSNATSGLEISLRDGTWAAVPADDAASFFVNAGDALQALTNGRVRSVRHRVMVSSARPRVSVVFFAGPPLRERLAPLQGLVDREGGRRRYRDFTWREYKTSAFRTKLAENRLGYFETAAAASS >Dexi7B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:7B:12832543:12837111:-1 gene:Dexi7B01G0005410 transcript:Dexi7B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYKRARGIAVKHKAALVPSNPRKPAAAPVQQQPAGSRAKQQPAATTTMWSPPPPSAVVAMEVIGGSNTGVAPGGGSDADVDRRAALYISRVQERLRREHNMVGFDLNEPMQVNDLGDLDENPDLVLPPHPELPIVNEVPEDIIDASSDSSNGDMPNLEVPDLNEEVQVDVFIPMDEEGSAFYDVDPAQLTDGALTRKTKAVAPVGKKKVSKKNIKDTDDKAKDKDAAAKKKPRK >Dexi3A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:3A:5919357:5919837:1 gene:Dexi3A01G0008430 transcript:Dexi3A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVNAPPSGCCTVASARSAARPPSMMRARRPARLVAVAAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGKTLRANAARKDEAQIVCPNCNGLGKLGQIDK >Dexi5B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:5B:2667831:2668058:-1 gene:Dexi5B01G0003930 transcript:Dexi5B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRATPPRPSSPAPRTEAVVPPDWASAGTPPVVVVCGPKNSGKSTFSRVLLNALLPRQATTEAKL >Dexi2B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:2B:1301948:1308341:1 gene:Dexi2B01G0001760 transcript:Dexi2B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMEMEELVEEILLRVPPDEPADLVRAALVCKPWRRIILSGSGAGGGFLRRYRAFHRAPPLLGYLHNLYTDKNREGPIPPFVSTTNASPLSQPRLGGLWWALDCRHGHVLINSFPVAEPEHLVVWDPISGDIERIDMPPYPDSSCAGAVLCAVHGCDHLDCHGGPFLVVFIGTTTVSGGNVETWATMYSSETGVWSPSVSMDDSDDVEAKPSLLIGDALYFTLEHGVLKYDMVGHELSEIEPPSEVGSIYMEVEDGALGFVAELDNRIYKWLWQADANVTGRWEQHMVMELETLLPTPAPYTSYEVIAFVEGKDPIFIRGCGSLYAQSQVKEEIDVGDEDLVEEIMLYTRPAQASHYTSSSFPPAAAAAAPPPPQLSYLHNLYGNRGSLDTTAMEMLVGSNIMEKQPNNWPDTKLQLMPQTALRDIRKMEKLERRVNIAAPVLALGFTGYSIYVVDRDYGWVFEPGAFSREPVGFVGADTGEADIDEDEADMEGDQKA >Dexi5A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:5A:1770716:1774460:-1 gene:Dexi5A01G0002570 transcript:Dexi5A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETESSSSAAAPAATPAPAVATERKPRRLRGHKKGAVTCCVASSARPGVIASSGEDGCLCWFDLRAKDVPFTIEAANKPISSICFKPGNEDFVYASAGNEILSFDVRMGPQSKPLETYNYNRDEINQIAVTSKGFLAAADDSGDVKICSSVQFIPWRPWTAITGGLDSKLAAWDFSKGRTLFSIDYGSPELQNGSSSGSTGQCFNPAFVHSVAVSEEGILGGLYKVCAVARGDGAVDVVDLEYELAPARSKGPPRATTSIMSSKRTELGDGSSNQSQVKRIHLDYTMGGHTAAVSCVAFSAFGEKGKFLVSGGNDASVKIWDWSKGFSSETNSNAELVLDIDVKKKVRAGSLIFMTYCLWLVICTVNWLCTTPTDSDNLIVCDTSKVVKVFDFR >Dexi5A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:5A:5507816:5508544:-1 gene:Dexi5A01G0007410 transcript:Dexi5A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFVSLACAVWSLILMLVAAATASVQGDCSSNNRCGNLIILEPFQIVMEQGTDTHCGQVGFQVTCQNDTPYLGYHRRINESQYPIPLRILDIFYGNASLLVADTRKLGDLANLSHRDCQNYKFPSTNTSSKIALPLTISPVNKNLILYSCAKPPALAEGLEERTCGNSTFVARVGGSYSEPDNSGRYFLEGCDVIIVPTLRGSGKLNATNYEELISTGKGSLRTC >Dexi2B01G0031790.1:cds pep primary_assembly:Fonio_CM05836:2B:39790248:39793207:1 gene:Dexi2B01G0031790 transcript:Dexi2B01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRDSRDTLSHGPPATLEEGRVELTDQGDGARWRPEKEEEAARAAASSDVERLDFTRQRTDANRSWRKLDLAFREDLVVAAMFRTSVDIQLGGGSLAVFWAERWIGTHSPCVAAPDLCKLIRSSMAMDAVRNVLYAFGLQAFLRGVCELTAGIGMAYKTPRLVPSATKSEKHVTTYFTPATSPGKYGAQSQCCCTSQTLPTIARHTKLSRRGIDTSVLLAVHLIIQEANLWAQAGAAHLSSLGWPLSTAADGPAAQMENEHDPTSPPDYRSLVINLCGNGPIDLVPCKQRHFEKNSGSMMQPPTHNKLCAGDSPGGRTTGMSRHAATLSPRHDIDARGLGWRLVYGFNPRDTSDDGVAICPALKSRVPAGLFTTSLLPACQVALLPRDGWESLPGLGLSTRSNI >Dexi5B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:5B:21274625:21275324:1 gene:Dexi5B01G0018930 transcript:Dexi5B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGPAAALLRRLAPHVSGGGCGGAIHRRVPPPAASSLLSRFSSAASTFSSSAPSSSSITDRDEAATEEEKTEISNGDSGDRLSISVDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGEGGDFITSPEVSQMFGEVTGVH >Dexi1A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:1A:10038919:10039445:-1 gene:Dexi1A01G0011180 transcript:Dexi1A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSGVETTQRHIGQVRCECSHMSMHPTWKTCLHAGTCRTISPPRTSSRHTEQTTTQLASRGNWNSNAGGAFTGAPAVTLRGARGSAARTTEPPLLVREASGDAEQQSGKSGEDERLPDADGGDEHAEDEDGGAHGGDAVAVEAAVAVGVDDVVVNVVDGARLELQLVPR >Dexi6B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:6B:15837459:15840288:-1 gene:Dexi6B01G0009840 transcript:Dexi6B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKLPTAALISNSLIKSGQLGTAFVGSMSKYRNITRFISPISQTPAKNLSHVCCSFSSSSDGNGYMAGNFSESDEDYVDSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKVEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPVEPARMAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAATWKDKLMQLRSKRKNWA >Dexi5A01G0034940.1:cds pep primary_assembly:Fonio_CM05836:5A:36870386:36871355:1 gene:Dexi5A01G0034940 transcript:Dexi5A01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPAPSGSVITVASSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQAAAAAASASTGANGQQGMAEFIGNGMPNGGHNFINIGHSPAAALGSIGGSHHAVFGQEHQFGNAQILSARSYDGEPIARLGINGGYEFGYSNNPMGGAGGPVVSGLGTLGISPFLKSGTAGGDEKPHAGQ >Dexi7B01G0022200.1:cds pep primary_assembly:Fonio_CM05836:7B:27201027:27204354:1 gene:Dexi7B01G0022200 transcript:Dexi7B01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSAAASGCDEFPYVTSNAAPPSLLPIMEQQESSIQREHHQQLGYNLEANSLALLPPSTAAAHHHTTIAGHDILQFYPSSHHYLAAASGNNPYSHHFSAAAGSTLHQSYYPQAAAAAAAPEYYFPSLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSTAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGDAGDKKRAHANKAAAAKDKAGSSNKNMDIGDGLGTQILGGALLSKEQDQAMDLGEVVKEAVDSKGKASMQQDHGIHHHGFPFHSSSAGSCFPQSQAVSGDTTSNIAQVQEPSLAFHHQHHQHSNILQLGQAISMFDLGFDQ >Dexi7A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:7A:27951069:27954065:-1 gene:Dexi7A01G0018620 transcript:Dexi7A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEEFRNPPDISVSSYAAVGPYILMSLEQRDKGTYGFHVVKKTWEKVGDNGLPFVGHGVPLGGSLFAACGVNGDRAVSVFHMSIKASSTPAASPEIATSVLSIQELPLAFPLASKGEIPQPDFFPLGKVLSSRRPYRWDTGGTSSGSSTEEDGRNRSVVYLALLRQDWTARQTSSPLYKVDVDITDDDPDSDDSSSPVAPSPAAAPRVKLHRTCDLEADMSGKTFVFLQSSGWIVGVGGDPGRTIILDTKTGEVIRGPDLVSKKWSPVVSVVGDKVYALTKAPNYLEDPDFAPWFEVLDLSNPIFTETTEEGFLQLNNACSWKALPYPIFFPHLLAPWEFRRPPVIAVLSSVVVGTYILVSLNQPSNCVFVFDTGSGQWHKVVGEHLPFVVAAAPRDGHGGDDIFLAFSRENGPIKAYRIVVVCDASTASKPSPDDDDNGGCAVELTITAIMVRNKEHLEVVGRMCLVSLDREHFSVLSWEEGHGRFLIYDKETETKYPRKLYLKLLTYRMESPVLHGKTPEIVVSSQREQTFKICSSLGFASSPIAFALSII >Dexi1A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:1A:7308536:7313043:1 gene:Dexi1A01G0009130 transcript:Dexi1A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAGSGGNSLPSVGPDGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPIPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKTGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRGKLLDNLSKLRHAPSVQFQERVPDTELPEPDEDQADPDERHDPDSDMEVDDHKAVEESTRRSNILGIRVKREFGENETKVQDASRVTSEHKGMEPIMEDVDPSKQAPADANAMAIDNEPGNLKNELESSTKVPDQSAMYHKP >Dexi9A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:9A:15273295:15278294:1 gene:Dexi9A01G0020330 transcript:Dexi9A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPIPSPSLISPSAAGPHRLPSHLAVARPKQPVAAGVGAPCPALQHPRRHATCPLRTRGVRRIAAQEEEGEVGADGPPMSSCVDGSASGPALDPGPGPKLDCEPPLPQAPAPQQEVVELRTEAPAPAPQQEVMALETMAPAPQKEAVALETEAPAPQKDVVALRTEAPAPQPDVLALQTEAPAPQPDVLALQTEAPAPALQKDAVALQTEAPAPAPQKDAVALQTEAQAPQQDVVALQTEAPAPQQDVVALQREAPAPAVTIVISRPGEEVQAPDAKGVSPASTPPAGGGKATAASVSVTAAAAAKEAELARSDSFDDYEQCSMELWMNVVHQLSHTSNRALNITGGCQHSPTRDTSKCMFAIMYFISFNKYWAIWRICEIYLFSFNRQVIGFGGLIRLMEGDEEDMKGESPHRPDVFAGVLIVLGLGTALRLALECCQEWGSRRNVGNMPMLENIPPTGYHPAVV >Dexi9A01G0023000.1:cds pep primary_assembly:Fonio_CM05836:9A:18153910:18155129:-1 gene:Dexi9A01G0023000 transcript:Dexi9A01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVARRSAVLLLVLALIACAAAGGGASVCDTANCGKGICTEVPLLPPNYECHCDPGWSHALNIFPFSPCIIPNCSFDGACLNITLKPPTSLPPKDVCAVVSCGEGGSCRAGIGANLFSYTCECRPGYANMLNLTALPCVKDCFFGSDCYALGLGTAPPSPAPCGHHGSPEPTTPPSGTNGNGTTTNSLGSALIFFFHVFHLVVAQRSFL >Dexi3A01G0021790.1:cds pep primary_assembly:Fonio_CM05836:3A:17371211:17371835:-1 gene:Dexi3A01G0021790 transcript:Dexi3A01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQPPGELRRRGRRAVSASAAVVPGAGGRYQPFTLEGGGLGEGRPGDRGLGNGGGAGGGRARRGGPPGRQAVFLFAPLLYVAAMLLYMGSVPLDAVPRIIARQPPGSVYRSPQLYQRLRADMDADNSTDAVSPSLLLTACE >Dexi3A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:3A:296688:298015:-1 gene:Dexi3A01G0000300 transcript:Dexi3A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEGTSSGSKGLDDRRSSGSNSSTVELQLDDSSSKKTPCASSSSVRPYVRSKNPRLRWTPDLHLCFLRAVDRLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGQVIGGSWRGHHQLQEGGQVYNLAHLSLHHGHTGASTTTIFSARFGAWPHWNNLHQPYWHHGHHLLRSKSYYSSATEADAFRAQYVARATSSTPASILQGCLSYQNDQSMNNNQRLLSRNEDNHHHDPLDLELTLDIRPRRDKRIKRSGCGWGGTEDEENAVDQEEESATDTGLSLSLFSSSPPARISNAS >Dexi7A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:7A:18302703:18303532:1 gene:Dexi7A01G0006930 transcript:Dexi7A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIYFLLAPHLIMGGTSNLPPGFHFFPTDEELIVHFLHRKASLLPCQPDIVPTVLLNCYDPWELNGKMTVCSLQESNNWVICRVFDSTCGSQVSFHDEGMELSCLDEVFLSLDDYDEVSLSSN >Dexi9B01G0037700.1:cds pep primary_assembly:Fonio_CM05836:9B:39012409:39014111:-1 gene:Dexi9B01G0037700 transcript:Dexi9B01G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRGRNNATALAVALSASVVLLHALSPASARSAGLAASARHRRDIATPAGTASCDVFSGSWVLAGGGDGSATAAYTGYSCPLIDAEFNCQLYGRPDSDYLRYRWKPDGCELPRFDGADFLARMKGKTVMFVGDSLGRNQWESLVCLLHADAPQSPAQLVSSDPLYNYKFLEYEVTIAFYRAQYLVDIDVVQGKRVLMLDEISGNAEAWRGADVLSFNSGHWWTHTGSMQGWDYMGESGRYYEDMDRTVAFQHGLTTWANWVDLNVDPAKTRVFFQSMSPTHYSSKEWPNPVSKNCYGETTPVAGLNSTATTGQASAQDQVIQSVLRGMKSPVRLLDITALSAMRKDAHPSVYSGDLSPAQRANPGGSVDCSHWCLPGLPDTWNQLFYTLLFYK >Dexi3A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:3A:2126492:2127793:1 gene:Dexi3A01G0003270 transcript:Dexi3A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRTIARALLLILVCLLAVVSSLQIPNHLATTHANSTPDRYRQRPRPAIVIDLGNTNSCVAGYEPGKPETVFQHCIPSWVAFTDDGAALVGEAAKNYAGAHHEAAVSGFKRLLGLRLNHEFEEAVVQRLSERVPYKIGARDFVWPVAEVKAMDGEVRQLYIEEIASMVVAELKKKAEDHLGRTVRDAVVTVPGHFNDPSTWAAMDAGKMAGLDVVRTVSEQFAAAVAYGLHVDGKLRENGNVLVLHVGGGTADASVVTRMDGSLEILADANDPFLGGDDFDQGIVDYFVKLIKTKHGNDISEDRIALGKLRTACERAKKALSSQDRAQVSIESLFGGVDFTESISRQMFEELNDDLFGKVIALVEKAMVQAELEMGTIKIDEIVLVGGSTMIPKIQRLVRDYFGGKELNVVVKPDEAVAHGAAVHVQSSDN >Dexi1A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:1A:21752615:21753205:-1 gene:Dexi1A01G0014900 transcript:Dexi1A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFYTEAQPKHPVHPARRKRPHEPITPSAHSLTRTATPLHLRRPPTMAAASLARLSRRATTSAAAAAPSLRRLLSATAPAAPSTPPPPPSVAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWAAPTGQPPHSIRFWNLKKC >DexiUA01G0013210.1:cds pep primary_assembly:Fonio_CM05836:UA:27386800:27388233:1 gene:DexiUA01G0013210 transcript:DexiUA01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPAAQVSPEQESAAAAGQEEAAREPGPEAAAPGAAAAEGEVQEEEEEGECGFCLFMKAGGCKDAFEAWEECVEAAQKEGTDMVERCHDVTSNLKKCMDAHADYYAPF >Dexi2A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:2A:33468870:33469558:1 gene:Dexi2A01G0021350 transcript:Dexi2A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPALAPAVSYPPLAPKLPLPLPLPPPVGARPRCTAAAARRPVRARVGATTPGDGSATPAATEEHKGDWRKRCLRCGGMYRDDENHPTACAFHGHVTGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNDEASRPNTGRDKWKKRWSCCQEREEDAPPCQRGWHVSYDDGYTLF >Dexi4B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:4B:21990659:21992623:-1 gene:Dexi4B01G0019870 transcript:Dexi4B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAAVARAVVAFLDAVLVGCLLSFFRLRRGSGSGGSEQDAPVRRGMDRDAEVTWDRELGLGRNEKCDEEFTCGSMGEEELRIEANYLKLCGAISETPAELQTECDNNNMTTNAPETNCGSPLEANLSEGYQVECHAVYELSIEDTQHLPGVELVPHPAFLEKSPLQSIQHKLADHSGSPFATPLVLWDDMHTPGTIYTSHRGACLSGKRVHTRKQFIHPILRPIENRLKQMELKEDSSPLPSFNPPKRTNLEAHSIKKPMPTHSSSVVKSGLSKTSSSFPGQVKEALSPDELMGSGKLSRGNSHEKNAALSLSHWLKSSSTDVENQGDVKGAAASQPYGECSFPTETPVLNAPDLENPTPELTKAWDDSGIPNTTTRYREDQRVSWHTTPFEERKLVHGKLFHQEEKAV >Dexi1A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:1A:24749769:24750928:-1 gene:Dexi1A01G0017400 transcript:Dexi1A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPQPSAAAAAAVAGAPTAAAAGGVTTSVTTVAGTIMIFMAMAAVLIYLQFYFDAWTRRDRQNHGSSQASSRRRRGGGDGAAGSRGGIRGAMGVDPELLRSMPVTVYRAGSKESSVECAVCLSELEDGEEARFLPRCGHGFHAECVDTWFASHTTCPLCRLTVSKPDHDAPPPCPAILLPPVPPEPANYAQNRPASVLLGMSADHGGVVMSAGGGRSASRGVLVIEIPELAVPTTPTTPCDAAVSTGSARLRSSFKRLWSFGIQGAGTSSSCTCAGATQGADLEQGT >Dexi2B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:2B:3625662:3626604:1 gene:Dexi2B01G0004100 transcript:Dexi2B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHGLSRMKFVAAYLLAALAGNSSPSAEDLSAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Dexi1A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:1A:9358956:9359339:-1 gene:Dexi1A01G0010810 transcript:Dexi1A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPVTAVQMEWSLWSRDIEPEIVPLCRRVLLNITEFYIELGIGIVPYSPIGRGFFGGRGVTEQVSAESNL >Dexi9B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:9B:1173412:1173971:-1 gene:Dexi9B01G0002050 transcript:Dexi9B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVILRMDVHCYGCADKIRRVLKNVLGVEEVWVSVERGLVVVSGAALDASLLRRKIHKRTKRPVAIVSAGVEEPPPPHYAQPPAGYPPHLSGMAPHLVPHVPYSYSYAQPYGASTVVGGGWVPAASASPPPQHLLQHVPSEMVVHARHGQYYMPNE >Dexi1A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:1A:3045992:3046228:1 gene:Dexi1A01G0004140 transcript:Dexi1A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGGGGGSGDDEEEVRAGGASPGFALGIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNW >Dexi3A01G0034690.1:cds pep primary_assembly:Fonio_CM05836:3A:39926929:39927264:1 gene:Dexi3A01G0034690 transcript:Dexi3A01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWPRNFFLSARKGRKSAKDKADADCQSVFSAPLSTQAATPSVREKRRWSFRRPATKVDAGAASTGQAQGPLASSSSHCFSEAEVHVVVIQEQDRHDAVATTAPPVA >Dexi1A01G0025330.1:cds pep primary_assembly:Fonio_CM05836:1A:31592599:31593714:-1 gene:Dexi1A01G0025330 transcript:Dexi1A01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPADELGDGRSAKVPTRQRKHLYFVLDDWELGYSIRKVDLFLGSDSDEPYDGRTEQRLPPAIFRLEAPRARPGQFTAFGTKIMFMKKFDNPWNTMPMYDVCTRALTSGPLRKWETTAVSCAYVQVDGKLFIMDEGVFEMLQPPPPPIDRVLVDVKFDWSWRELPSPPYQYVVSYALHPDEQTMVFSLTKHSPKRKLATFSFDIESSRWTRHGAWGLPFKGRGYFDRDLDAWVGLSGDPDTLGHLCACDALPAGDDNRQPPACKLSKEKMFCVDAAEKHTGATLVYVGDGRAMFCLVECFSVDDRQGGVWKESMPERRGHLLRVTTFTLKYDKNGDLRIAKQRQIGLCRLPEIASVYCYRLERPVAFWM >Dexi2B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:2B:3698412:3699625:-1 gene:Dexi2B01G0004200 transcript:Dexi2B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDHYHDLEAGVFRPPPPVGSGAGACPAPAYMMESPELRWAFIRKVYALVAMQLLATAAVASVVYFLPVIRRFFAARSPASLAAFVAIIVAPIIRIIIIEAASLTLVVVVGLTLYTFWAARSGHDFTFLGPFLVAACLVLMLYGLAQMLLPMGSAGTTVYGCVAALVFSGFITYDTGNLIKHHGYDEYVTAAISLYLDTVNIFMAMLTCLSSDP >Dexi3A01G0027810.1:cds pep primary_assembly:Fonio_CM05836:3A:27589160:27595311:1 gene:Dexi3A01G0027810 transcript:Dexi3A01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVENAAASDGPPPHDAWHAEFQRLLPVWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPIIGKEGIAMSISELHLMDSMCFSVRTGLEGPGLSVSQKIFYCISFVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLMQNAEGLYRAVSFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKAGGSSGDEADCPICRSSPSIPFIALPCLHRSQSVKLTSSIQVIDMQGEYAISGLHVLLLLPTHTVFSYELLQMSTL >Dexi1A01G0028670.1:cds pep primary_assembly:Fonio_CM05836:1A:34302550:34304192:-1 gene:Dexi1A01G0028670 transcript:Dexi1A01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSESDNIATGSLGGSKPHAVCLPAPAQVHITPMLNVAKLLHARGFHITFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSEDNDVTQDVPSICKSTTETCLPHFRRLLADLNDPATGHPPVTCVVSDVVMGFSIDAAKELGVPYVQLWTASTINFLAYHDYNLLVSRGLAPLKDVEQLTNGFLDTPVDDVPGLRNMRFRDFPTFIRTTDPDEFMVSYVLKEISRSADASAVIVNTFDELEGEAVVAMESLGLARKVYTLGPLPLLAHEDPSSPRSSIRLGLWKEQEECLQWLDGKDHDTVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLESICGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDTNVRRDAVASLITELMEGEKGKEMRRKAREWRDKAIEPAKPGGASHRNFDALVRDVLLPKH >Dexi1B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:1B:20653618:20657181:1 gene:Dexi1B01G0014370 transcript:Dexi1B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKVEHRSHGAKRPLPEPDDEEEALSPAPEPISLDRHFPRPQQTVLGVGGGGAAGVDHDVYWSAPPYNAQNQQQQAVAYTTMAAYYDVGAGSSTTSSHQPGHMAAAAVATVPAGSAHHQHAMPEAGTPGGGGGATSLNVEAEHQGNAMRRHYRGVRRRPWGKWAAEIRDPVKAARVWLGTFDTAEAAAAAYDDAALRFKGAKAKLNFPERVQGRTGHGAFLVSPGVPPPQLPPLSSSAPLPPSSSSPLPNPLVAAPFPDLLRYAQLLQGANSGNFVASNNNAGDLAPPAQASSSVQILDFSTQQFRGSSGMVGRRPLTSASMTNAASSSTSMRPHVRARRTSSTSGVDAEVHESCNVPDD >Dexi2A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:2A:24739203:24742045:1 gene:Dexi2A01G0014720 transcript:Dexi2A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSLPARPAPHLARLQRSRTAGPPHARGHLGSTLLARTPAPTGLIIKSVTGDSDSLRTQAAKYLQQPEEIGKLVAPELSNVRTEDLAVLCSVHLRTQTNTPAMEIKKISSSPLLLLLLLVTIAADSRAAAQVFCRSQFNLANEACSLRNFAGPNPGRPLQLHSNGSSSSYELQADHHDHGHEHGHGHGHEHEREHTAHARRHGLGHGGRDPYDTACCRRLMGIDNACICQAMSFLPVFMSKVKHAIKLSPVPGCDVSFECGAV >Dexi9A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:9A:2757230:2757484:-1 gene:Dexi9A01G0005040 transcript:Dexi9A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSALAAVVLVIVVLASGGVVVGTAARPVANQLAVGRSVASMDRVAVAVELTGTNSSAQPSNCTYGNNVGGVCPPTTPPADGH >DexiUA01G0002920.1:cds pep primary_assembly:Fonio_CM05836:UA:6190598:6191089:-1 gene:DexiUA01G0002920 transcript:DexiUA01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQQPHKASAAPKLCAAGCGFFGNPATVGMCSVCYKKHLSTATATATAEPVARSAASEVIPGAAAAGSAAVAAKSAAPATTSVAVSSSEAVAATKAQVSRCPACYKKVGLTGFLCRCGKTFCGRHRHAEEHGCAFDFKGAGRDAIARANPLIRAEKMTVKI >Dexi7A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:7A:4821436:4831099:-1 gene:Dexi7A01G0001820 transcript:Dexi7A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRLRRAGAALAASSAVAVAVAASSASASDPSAVALDAARQRVAQQGAAPPPREAQRAALAGSTLAEPLDVLVIGGGATGCGAALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKNGHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIRDESGERIIGARIRDTLSGKEFETFAKVIINAAGPFCDSVRKMANSNVVPMISPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSNTAITMLPEPHEDEIQFILDAISDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAVRSGNLKPANGCLTDNLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTLAEQVATIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFVARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARKKVELQKGR >Dexi2A01G0036900.1:cds pep primary_assembly:Fonio_CM05836:2A:46395811:46399043:1 gene:Dexi2A01G0036900 transcript:Dexi2A01G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRSNPASEQDAAGEAEMEAAVPVVSSLLFLLLLLSTPPLPPAAAAAARVLPSPPRMQPLATAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETLIHETADALVSTGLAELGYNYVNIDDCWSYVKRGNKEQLLPDPKSFPSGIKAIADYVHGKDLKLGIYSDAGKFTCQVRPGSLYHENDDAALFASWGVDYLKYDNCYNLGIKPQKRYPPMRDALNSTGRQIFYSLCEWGEDDPALWAGKVGNSWRTTDDITDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRSHFSIWAIMKVSFHEGWTNAAPLLIGCDVRNMSSETLEILSNKEVIQVNQDPLGVQGRRILGEGKYGCREVI >Dexi9B01G0026080.1:cds pep primary_assembly:Fonio_CM05836:9B:27811429:27812814:-1 gene:Dexi9B01G0026080 transcript:Dexi9B01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSVIYAHVAEAGEVGVCWGTQADNLPDPGSVVQLLKNNSITMVRIYDNNSAVINALANTGIKLMVALPNEQLDTASSPSGAVQWVQDNVAKYYPSTLINGITVGNEVFDQASNLNQQLVPAMRNVYAALQTLGLDGAIKVFTPVAYSALKVSWPPSQAVFRDDIPQSVVADLVSFLRQTSAAFFINVYPFRAYLDDPNHSISLEYWTFQPNAGVTDPYTSRVYYSLYDAQLDALRYAIGRVSPAATASSLRASLAQGKHCPCQNFANGLISYTLAASSSSNSKYTASLVSSTGGGGATSAFIFALFNEDNKPGDESERDFGIFYPNMQPVYGVDFVHGSGSWCVANAAVGNTQLWAALNWSCSHGADCSAIQPGARCFSPDTMVAHATYAFNDYYQRNGQASSACDFNGAGSIVYQQPSELLAHRSITRTYASRSK >Dexi2A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:2A:13753174:13753374:-1 gene:Dexi2A01G0011820 transcript:Dexi2A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGIVAALLEEYTAAVARAVERLLSAAAPRRILPRRVRFLVLRSLPFAAPPAAARPPPHAVVLAG >Dexi4A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:4A:2720315:2721261:-1 gene:Dexi4A01G0003820 transcript:Dexi4A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRREPNPAMPRGRRPPPRPRPAPVVAGDRRLAEEVLYLHSLWRRGPPGAAPAPTQPPSSSAARNQTETTKRKRPAVAARKAKTIELKRLRREATATAAAGPKDNGFEWPVAPSPPNSSPKAWSDAAPPSTSTPAKPPPPPPSPGARAQREALRAAAEFLSNRGSSSDNDDDDAGSESDGEEDAAGFITGLFVRDAALRGHYERGWEEGQFECLACAGGKKKAGRRFKGCAALVQHAGAGPTRYGRSRAHRALAAVVCRVLGWDVARLPSIVIDPRGTLGQALAAEEATAGAQLAEV >Dexi9A01G0049420.1:cds pep primary_assembly:Fonio_CM05836:9A:52055498:52055801:1 gene:Dexi9A01G0049420 transcript:Dexi9A01G0049420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELKKIEEQLEKKKAAYEEKLKNKLAMLHKTAEEKRAFTEAKRGEEIIMAEELAAKYRAKGEAPTKLFGLMKA >Dexi3A01G0033870.1:cds pep primary_assembly:Fonio_CM05836:3A:38889602:38894239:1 gene:Dexi3A01G0033870 transcript:Dexi3A01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEERFGGVGPKNSSEEALRRWRRLCSVVKNPKRRFRFTANLEKRGEAEAIKHANHEKLRVAVLVSKAALQFIHGLSLRSEYVVPEEVKAAGFQICADELGAIVEGHDSKKLIIHGGVDGIAEKLATSKTDGLTTDEDSIKRRQDIYGINKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVAVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLFRNKYHEGLLLSWSADEALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNGPQNASKLCSELPEVVIKTLLESIFNNTGGEVVFNQDGKYQILGTPTEAALLEFALALGGDFKVKRDETKIVKVEPFNSTKKRMSVILELPGGGLRAHCKGASEIVLAACDKFMDETGSVHPLDQTTADKLNGIIDSFAGEALRTLCLAYREMEEGFSIMEHIPSQGYTCIGIVGIKDPVRPGVRESVATCRAAGIMVRMVTGDNINTAKAIARECGILTEDGIAIEGPEFREKKLDELLELVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACFTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGMSFYQFFVMWYLQTQGKNFFGLEGTDTDIVLNTIIFNSFVFCQVFNEISSREMEKINVLKGMMSNYVFMAVLTSTVIFQFIMVQFLGEFANTTPLTVHQWLASVLLGLAGMPIAVAIKLIPVGSS >Dexi7B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:7B:15536223:15538058:-1 gene:Dexi7B01G0007780 transcript:Dexi7B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGPSPITPSEPSKRHPPGGAAPGARGASLPTLPFDISASGAAFTFAAPSTWVACAAAASARAALAALVAGVDTVTAGGSTMSATSRPLPSSFSTFILSNITCVTDKTYLGGFGLEKQHVGEDERESREEVEALRACREGAPLTSQQVRGERQPDAPGHGAEHAQLLPRQRRREHLDTNVTHTPAPYAAANPSRCITAVAVRAASRGPPPRKNAAAPDATPRARRAMPVRFLLTSPAFALAASSASAALSAARSAASSAYSSALSAMSETLSLALRTVSLACLAAPDTRSLALLAASAAPCSTSDAFSLTMPAASATLSLAPFTVSLPCCATSDALSLALFSVSCATSDALSLAPSTASFPRRAASNALFSALSLAPETVSAASSAPCLTREAAAAEPVLASLDRAEDAALGAFLGIVKLLLAFLRRTGSLSGDVGHDAPGAIEGVGGQDAGLLDLDGGAFLAGERDLALVLDDDDAAALSDDVVGGVLLLLGLRGRRRRPDARGGQGGEHETDGHDVHHGGGEVVTHWKSEV >Dexi1A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:1A:28036174:28040266:-1 gene:Dexi1A01G0021210 transcript:Dexi1A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRWRFIPPLLQHKVVLRRKKKKNFVPKRCDCSRFDGLMYARPALLRDEATDEVASFKMTLCFRVNREAGTNSTGLFLFLVPYPWNRRKDHSIEVPIDNPCTETIYQSTSSLGNDPVMCAYVHYDSAEELLKANVRIGDRYCLGVRKFDKALVPKEAAVGFASTTAGHPIKLQNILTWSFHSTMESNKPPSLQTDLATGAESESSWVDRGDQRVRLDPWNRASKPNKSSYAGGRQTLAETLSSKPSPAAGMGRKPSAATAALDHESLAATMPADLLAAADCGGVHGHALFFDALVQLIPPRFYLPSGDEDRPWYQGLSKAAKAAMKAQSRANIKAARRARLDPSAPPASTLDLLKKSVADQAAEEEDDDNEEEKSGEESEESGDGASSEDEVDAETDEDEEDGDGKDEMPIVPAAVVSEDRSVTYEELRERLHRRIAELRGNRCTRLEFLNKPKKEKGKKAKGKNEKKGKGEGKKRKREDGTDDAEGKDGKKAKKAEEKPDIMYANVVVDPKEARRRKKRRIKNKKKELEHAKRLQEAKKDPEKANKIAWDTARRRAAGEKVHDDPKLIKESLKKDEKRQQKHAAQWKERQKTVDKQRKEKQNKRTENIRERAHQKKMRKIEKREKKLMRPGFEGRRDGYVNE >Dexi2A01G0029250.1:cds pep primary_assembly:Fonio_CM05836:2A:40280783:40281451:1 gene:Dexi2A01G0029250 transcript:Dexi2A01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLAGSLTWRGASDAWLQGRHLRILFLFIECRQPVRRAYELGVAAAAVLAASHAIANLAGGCACSCSGDKLRRASPNRQMASFALVLTWMVLVVGLALLVLGALPNRKHKLADCGVVRHRFLSIGGVLCFVHALFCVVYYASANAAAREERRAAPHA >Dexi4A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:4A:19375889:19381832:-1 gene:Dexi4A01G0015970 transcript:Dexi4A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSPASSAGGGPQVAVAVRGDGRGSRRAARWVAATMVPAGGRVALVHVIPPVSFVPSPSGERVPVEKMEREVVEIYAQDCRARAQEVFIPFRRLFARRTVETVVLEGNSVAEALKSYAVESGVRSLVVGSASLYWLRSNEIRSQPLDEMATLTKELKDTLMMYDRACENLAHAKEKIQILSGDCREDVNKVQDALQREEELKLVVSDEKTKHLQAIGAVEMAKESFAHEAYSKHRTEFVANMVSTEKARVVDTLLSSGKSCRRYSREEIELATDYFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFVREIIFEISCGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGLTEYRDTVIAGTLFYMDPEYQLTGTVRPKSDLYALGIIILQLLTGKRPHGLVCTVEEAIEKGIVSDILDRSQTDWPIVEAEMLAKLGLRCTALKCRDRPNLESEVLPELENILSRVAASLKLENIVAPSHFICPILQEVMEDPYVAADGHTYEHRAIKAWLTKHKIK >Dexi8A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:8A:2365707:2366049:-1 gene:Dexi8A01G0003310 transcript:Dexi8A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSKARSSIRKDNTAEAGYAIRLKVFEADLEIGRWMEVKDGLDGHAIFASRSCSKLVRLSGHDQRM >Dexi5B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:5B:1637409:1640794:1 gene:Dexi5B01G0002560 transcript:Dexi5B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGPEPMALDAPPADDAAAAVPPAGVEKKKEDEEGDRVPGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETFAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTPRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRAPNARLPNGRPFPPLFNFKHELANASPDLINRLIPEHIRRQHGVNFGHTGS >Dexi3A01G0031420.1:cds pep primary_assembly:Fonio_CM05836:3A:35880409:35882900:-1 gene:Dexi3A01G0031420 transcript:Dexi3A01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREAQAYLTVYVHPSNAGDVPRAVARQLSTLLFTYEEHFDGVLLAHETTVADCDQRMAKEKDGTEVDDKEDKNKDGTQVDKKAKIEDKTQVEGPKRLRAKILNGLVPYFGVKVRANLLFFSPQPDMILEGKVELLGKESIHAIVLGVFSVAIMSDDIHEKFKFKRKGDGGRFVSRSDREHVIKRGTMIRFSVKRYMLI >Dexi6A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:6A:5769803:5770699:1 gene:Dexi6A01G0006100 transcript:Dexi6A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLQKLAALIEASDANDPERRLRISKHAKKGMHHLKENLEEISTYLEELSELEDPPLTAKCWMREVRELSYDIEDYIDNTFFLPTALSCTNMKASRFVCKISRVKVACSQKQKPKPRRRVTDDVISEFRVCAQEAIERHQRYDLDLPTLRRRFAPFGPMLPIRHEEGAELVIDGWMSEFMDSVANDGDKQLKVVSVVGPGGIGKSTLVKVLYNKLRGQFDCGAFIRLTRKPDIKAALYDMLMQVKCHQSHEESEHPDLIAQIRTNIQGKRYAHVSISSVENVAHFRSKLGDVCEYR >Dexi7B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:7B:23457467:23462754:-1 gene:Dexi7B01G0017530 transcript:Dexi7B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSRLIAESLERLRASSIAMLEAVDVQTAPRSEACRPLRRLAKVLHLYAKTCTARQGETWQSPRLNNQFLPDPPISLGHCVQQSVALIITTDASPIARRTPANGPRNVDGPRGTRLEQGMHTTTLSQDSRPRVPILCSFRKPESPFPVPATPVAVVADPGCRFCVPFGNQSRPSPSRPRPSLSATRAHGHDDDHASSQANHCTGWFGGSARPAGGIARIRGRETPGPSPIWPGLTWPGTIRPDDMLPAQARHYGHFLVPGRLDGPIGPPGGPSTNTPSGRAGPWPDQAGLFWAVPQAAHGAWSIWNSILSHGHALHPAASPSPRPYIPRGVSPSRTHLPILHPPPRTPSSKLALPCRSSIRAPLLLYGMASAFFFDAEPLCEPSAPALDACALCASRLGRDSDIFMYRGDTPFCSEDCRDEQMQLDAIRARQAARAAGRRQQQYASSRAESRHHESRKVSPGRSLLLLVCRQGFSASSEEPSIDGVDGIRELEFSQCAVRRAKSLRTLGEGSSPDLLCFELGTLARPDPAGWHARRPGRIRRPAARRSDTERTYGHRPHRTINPTTRAPGGSPPSNCVTWPAGHVIEFAALPRQLLEARIIPNQCPCIANKTPCVVNRGKEKRQQSGSADLDEAAAAASRKEASPARQSRAQGPGAGSLERWSPTQCTLDSLGFRARSRGASPPSHNEGIRRSRGATWHALAAQATRPSSSRPPPPAPGFTSKLATGRGDDPIPPDVSDDAPHGLQVTARLHPGDGARRGACASRAGCRRHEAAAVEAALGLQAASRAVQASRRRAQGWLQPPGDCSHGEHRFFFMPSHLLFSPSPTDLTLEFLVRGGTTTRLQDKASATVLCPFFLGKDSSPLLSLPL >Dexi7B01G0024060.1:cds pep primary_assembly:Fonio_CM05836:7B:28474299:28476221:1 gene:Dexi7B01G0024060 transcript:Dexi7B01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRNAGVLALFDVDGTLTAPRKGVTPEMLEFMKQLRQHVTVGVVGGSDLVKITEQLGKTVITDYDYVFSENGLVAHKNGELIGTQSLKSFLGEDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSILREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEKFQEIHFFGDKTYKGGNDYEIFESERTVGHTVV >Dexi5B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:5B:571055:572281:1 gene:Dexi5B01G0000880 transcript:Dexi5B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTASESDVTSMATTSPPRTPKTPRNQQPAYYVQSPSRDSHGDADDKSSTTHTTPVYNNSPVESPSHPSSAGRHSRISSATRFSDTLRRPGSASPGRRKRLGSKGWREVAAAIDEEEGAYDDDLDDDPGLPPCCVAALWLSAIVVAFTVVCLVVWGAARHYKPSVVVRGLTVHNFYAGEGTDRTGVPTKLVTLNCSLKINVHNPSTMFGIHVSSSSIRLMYSEIAVANGQLDRFYQPRTSHRVASAILHGEKTPLYGAGATLGSSNAGGRVALTLELAVRTRGFVMGKLVRVTHARRVKCPVAIDPGSSKPVRFRQSACSHTRA >DexiUA01G0016980.1:cds pep primary_assembly:Fonio_CM05836:UA:36158472:36161619:-1 gene:DexiUA01G0016980 transcript:DexiUA01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSRDPVNTRTRTGENKIKAQSVPSSEDQVMIARDDAENSSGNIVHGQEQGSEQIEGLDWDMEKLSNEIDCCLLRLRDGGRDDDGDGDVLLDLCDEQQLTDLNQRLALCRVRAREYKPYLDRIGEMATEDYAYQHPVIMDTVKTIVIKPKTYYDYVKKKLDIAKQIGLICRNP >Dexi7A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:7A:23023879:23024477:1 gene:Dexi7A01G0012850 transcript:Dexi7A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACACTCLPRRTSPSSAQARTVLPSANWSGAVPVLSMDEKRPSASAQRLFCACAAMTAAQETGFLSGIPSNTAQDGVAGEPGAIRGGSDDVAVDEAGVREGAEAGAGRDERGVGGGGERGGGGGRERLEGAQGGGEPARVVELYDGGVGVLHLRAAAALAGQPELEEAMGDAAAGTKRLAR >Dexi6B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:6B:4242479:4244294:1 gene:Dexi6B01G0004970 transcript:Dexi6B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAARCPLLRRALAALRGGLSRSGMARRLVAASAGGGSRAPAYAGLLLDAGGTLLQVARPVAETYASIGRRYGVTKPEKGIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATNCTDDDYFEEVYQHYAHGDAWRLPDGADTTLRELKDAGVKLAVVSNFDTRLRKLLKDLDVSDMFDAIVVSSEVGYEKPAPEIFKIALDQIGVDANKAVHVGDDETADKAGANAIGLECWLWGADVKTFSEIRDRILTTDDPK >Dexi1A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:1A:5935239:5941733:1 gene:Dexi1A01G0007700 transcript:Dexi1A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLLAVAVLCAAFASAASFTDPPDGESTDPPLFRRLGDRSMSRGVFHITAIGLWGLYRTLESPWQLSGWTFQGGDPCGEGGGRGKWRGVFCKGSSVVTINISGLGVGGWIGPELLKFQSLKKLDMSFNNIAGEIPPTLPPNVEYLNLAANKFEGNIPSSLPWLHSLKYLNFSYNKLSGVVGDVFVNMDSLETMDLSFNAFSGDLPRSFSTLMNLRYLYLHHNEFTGSVILLAGLPLSSLNIENNHFSGYVPGTFESIPELRIDGNQFQPGFRYASSSSSRRTHSPPPQFLPPPPPPPPPPLPPSPPPPAVKQNPKRRPKSPKPSFGYSSLQVQSNSHHRKSNSRVTAAAVASATFTVFILLIVGLVLKNWKSCSLTRKIISKRANTFPANMEAVPKANEVLYSWSSLLIGSDPSSSNGITSERVPKIKSWPKTSKNLLTAKHFPAADILAATRDFNEECLIGEGFTGRVYKGDFSDGQALYAFFFREFLFTVCMMRDKPLFTVQLFWTVDIRVKGLGELLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNICALVGYCVEFGHCALLFEYAENGSLDDILLSAATRSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLNKLSHFVSTARMKDSEAITSAKGYAAPELTDPGADGIKADIYSFGVILLVLLTGQKAFDSSRRQNEQYLVDWAAPHLNDLDSLERITDPRIRGSMPPKAISSLGIIILLCIKQSPDLRPPMTIIADKLVKLVESTGLQKTSTTQRLEVDAQDPSFVTTRPYFEPSSTVSQGGTESCIS >Dexi6A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:6A:1789095:1792398:1 gene:Dexi6A01G0001820 transcript:Dexi6A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLLFHAMALSSWLFLLTIAGPALPPARDLEALVEFKAHVADPLGVLRSNWMATRPSAAGWASPAAGATRTASWSSPFMASAPAIGKLSRLRQLDLSDNNLSGGIPPALGNITRLESLDLFTNNITGGIPHELQGAIPESIVFLPKLMVLLLADNMLSGPMPPAMFNMSTLQVLRTTLNNLSGTIPAGNESFSLPMLKYTPLTENSFSGPIPGGLQKCQYLNVFSLSYNGFSGLGNITGLVALDFSECELDDGSVVAIKVLDMQHEGASKSFDIECRALRMARHRNLVKILSTCSNLDFKALILKYMSNGSLERWLSLTGNQPLDMIQRLDIVLDTAMAMAYLHHEHFEVVLHCDLKPSNVLLDEDMVVHVADFGIARLLLGDGNSMVSASMPGTVDYMAPEYGLTGNASRKSDVFSYRIMLLEIFTGKEPTDSMFTGERTLRGWVSDALPSDLFVVVDKSLLQDGDIHGGDTSTSCESFKGQYCCLRSIMELGLLCSSHLPDARATMTDVVVRLKNIKDEYLNMLSQVNTAASGQ >Dexi7A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:7A:20425002:20425453:-1 gene:Dexi7A01G0009400 transcript:Dexi7A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATKANGVGSVEITGDHKDRLEVVGEGVDIVCLVNCLRKKLCSTEIMVVEEVKEKKPEEKKKPEEPKPCTCPGPCRCAGYYYPMPVVICEEPPAGGCHIM >Dexi2B01G0030490.1:cds pep primary_assembly:Fonio_CM05836:2B:38756344:38759280:-1 gene:Dexi2B01G0030490 transcript:Dexi2B01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAELRPTEPLPLPSGLSLAPRVKLLLTFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPLLPESDLSVRRLPDLQKRRREEPVASGVLHVRDLSFLRPRKGDGEAEGMTAEQEEKKYYEWRSSLVEKLEGIELNLEGVKFRMTVEIPPSDDFRAMKKSWEDFYSSELLNSRNPVRKIAKRPDTIIVRGVPSRNLNIANDDGDLEGKEDGSNKELISGLNCKVWVQFESYDDFHDAMKALCGRSLEKEGSRLKVDYDLAWDHEGFFRIAQYEPARGNVDERNASTLVHGRKKHYTSRTESDHRKRFRD >Dexi7B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:7B:13150515:13157448:1 gene:Dexi7B01G0005660 transcript:Dexi7B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGPIFRLPGLLSVFAQGKVSAERVAKYLQEEELKCDAVTDVPRSNTCYDVEIDHGTFSWDIETTSPTLTNIELQVKRGMKVAICGMVGSGKSSLLSCILGEIPKRNGTVRVSGSKAYIPQTAWILSGNIRENILFGNPYDKEKYERIIQACALTKDLDLLANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQIFQDGKIVQTGNFDELHQQNIGFEPIVGAYSQALESVMNAESGSRRSSYKEKSAYSDDELNAENETDDQLLGMAMQESAHEVSQDTNDKGKLTQDEEREKGGIDKKVYWAYLKTAHGGVFVPVVIIGARLFFQIFQVQYAEHLPSILRNISCTIPGRKKVGIVGRTGSGKSTLINALFRIIEPREGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDQHLWEVLDKCQLGDIVRKSPKKLDSSVAENGENWSVGQRQLFCLGRVLLKRSNILVLDEATASVDSSTDAIIKEIIHQEFGDCTVLTIAHRIHTVIDNDLILVLSEGRIVEYDTPSKLLMNENSEFSKLVKEYSRRYQRFSGSSKPLKQGATRPYTQRLRSGIQSNRWAQGRALEAVASVMGLSITHRLFRERAEMISQAGRLCHVLVDRSFDLVRRWKNGSANSTSLAIRPCIGSFPSQLAAVGAAVRGEGLQDADLVGRAQAVPTHVARADDVRILEALVAHHRTHGGQLPKAPMLFKPSTAASKGRASALGSRELNERQRSRLKRRYDRDAMKVAPPVDEHGRRLYLFSRHV >Dexi6A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:6A:27854155:27855229:-1 gene:Dexi6A01G0020330 transcript:Dexi6A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGMEQRVAFSPMKEATAAVPKEEVWEVRPGGMLVQKRSPDADPPPGGAPVPTIRVKVKFNGVYHEIYINAQASFGELKKLMSEKTGLHPDDQKVVYKDKERDSKAFLDMAGVKDRSKMVMLEDPAAKAKRLLEERRTSKADRAAKAITRVALDVDKLAAKVSALEKTTKGGGGKVVVDADAVALTEALMNEMVKLDSIAAVDGEVKEKRRAQEKRVQKCVETLDAIRAKNKAVSKATSNNNKARPPHLPPRPPPAAAQQQRRRREFQPPALTTATAPAAQTQTASWESFDLLSSVPSTSATAVTTMAPSTTTTPSPRFEWELF >Dexi9A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:9A:7415399:7415765:-1 gene:Dexi9A01G0011790 transcript:Dexi9A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELVPGGRPILLTVAATRSAASPRWASVLVAPRCAALVGLGASDEEEANIKDEGKKSGERKNRRVADRSGAMSLLCEEDPHQHHAQRPHGLIVSCDIDLNTA >Dexi5A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:5A:5764813:5777514:-1 gene:Dexi5A01G0007780 transcript:Dexi5A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTTVDGAAVCLRAQDKILAAADEYTLFCSSSPVMHHNLPPASSLFGWLESSQRWLGVGGDVLGPCIRLASACHSSIHRQGHHHSGQAGGVTCEVIVYPTTGRVVASLVDEPSSCSKAQATPPRALQQVPRRDGGGGDPLRRAESMGHQSRRPPPPASMAGPPHSTPDLGTVTSSVKRMMRCGLKGGGRVASWGGDRRSPAVNPSSSVRMPAGGGGGCCSGLRSRASDLAGLEMASLRGGVGGLFRSSPRYGRLQATAAGASRVSGYGFAAFCLISVNFSTHFIICTVDPEDIPLEKVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEHLAKDLGIAENAVLQGWVVSTSLAGATVGSFTGGSLADKFGRTRTFILDAVPLALGAFLSATAQDIRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGTLGSVNQLFICIGILAALLAGLPLAANPSWWRTMFGIAVVPSILLAVGMAFSPESPRWLFQQGKVIQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMGASMLLLALSFTWKALAPYSGTLAVVGTVL >Dexi1B01G0028760.1:cds pep primary_assembly:Fonio_CM05836:1B:33220920:33224123:-1 gene:Dexi1B01G0028760 transcript:Dexi1B01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEDEAASIERQLEQQLEEQQSSLAAVDEALAADPSNADLLEVHEELLAAIKDAEDGLLDLKRSRLVEQIDDIFSNEEPASKVPEVAAEPLDPDDVEPEPLVSHDFSVGSRCRFRHNNGRWYNGCIIGFEGPTDARISFLTPTSENMAMCKFFLQQRCRFGSNCRMSHGIVIPTSALKQFTPTRWQQSLVGSSILAASEHRSGLWRRAELESWDDNLKVGQVVFKDDGSSARLPSDSLSVSEYADMSNEDDDGSSSEEESEFSDNGDQEDGSVHQGLGLIEPTNFSGIQTDTVIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPISVKVLPPKQSLDHALAASEADGSVGSGKKRSRGGKRKREKKFAEQARAAKAEEAERSVFSFINSNLVSPDVPEGSTIKAKRGPSGEANGHAKKEDRRSLVAYDEEVKELRIRVEKLEEMKNRNRKDKAVFEAASRKLEETRKALADAEATHASATNAVARKEKEKKWLKF >Dexi3A01G0035180.1:cds pep primary_assembly:Fonio_CM05836:3A:40504559:40509166:1 gene:Dexi3A01G0035180 transcript:Dexi3A01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATALISGVFSVVGKALAPLKDGLLKDWAASVELGDNVEALELELLSAKAVLEHTVGKEIADNSAFRELLVRLQDLGYDADDVLDELDYFRIQDQLHGTSAAADRHAKGFIHNMALHAKAVGKQFICLPTCFSPNTKPSAGDDDNRGIDTSVQTINHRNDEPPELIFNRIEASKRMQHIVLWISGCPELSLFSDPHDDSKNDGRTGGGGLQGEGLLSLLVHGCLTKLIVIGTPNFFVDSYPSRVHEQELPPCSSKPQFFSTDDVAGVTAAPICRLLVSSLTKLRFSDMVVECFTEEQEILLFVNSLEEITFDSCNNLLCLPERLHRLPNLKRLEIYGCKAIQVLPKDSLPSSLQELEIKDCQEIRSLPEDGLPSSLQQICIEDCPDIQSMPEDGLPSSLQRLRIKRCSAIQSLPKVDDLPSTLRELDIKDCGNEELKRQCRRLIGIIPIVRA >DexiUA01G0023770.1:cds pep primary_assembly:Fonio_CM05836:UA:48602697:48607346:-1 gene:DexiUA01G0023770 transcript:DexiUA01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTAAARAFISWPSFLVLLVATVAAAAAESSRVTRKASPGAAAAGGAVTLSVGPRQVVVDNGVVRVELSSPEGRITGVSYGGEPNLLQYDAGDGDAGGYWDSVWNYPGSGLPQGLYSALDSTEFHIVSSSEDKVELSFRNTYNPSLQNSFRLNIDKRVVMVRGSSGFYCYAIFEHAGEYPSLNISEARLVFILNTGKFNYMAITDDIQRYMPSAIDRDEPRAVPLAYKEAVLLVDPMEPQFRGEVDDKYQYSLDNKDNVVHGWISGGNSNPMGFWVITPSNEFKSGGPFKRELTSHVGPTSLTMFFGTHYIGKPMVFKIGDGERWKKVLGPVFIYLNSSPKRTDYLKALWEDAKVQAQTEARNWPYSFLASTDFPKAEERGAIAGRLLVRDRYVSKTDKPAAMAYIGLASPGQPGSWAIESKGYQFWARTTSDGVFRINNVRAGVYNLYAFVPGVLGDYSYSSPLTIEPGRAMSVGDLVFEPPRSGPTLWEIGVPDRMAAEFYVPDPDPKYVNKLFVNKDRYRQYGLWERYTALYPEKDLMFDVGKSDVSKDWFFAQVTRKVGQDTVPTTWQIRFSLNPVAADSTYTLRIALAASQTCRLQVQVNGGVKGGDQGVFTTPEMGDDNAIARHGIHGLQWSLEFAIPGHLLVQGNNTIDMRVAQTGEAATAKIAGVMYDYLRMEGPSSGGVLRFSPLGQVWWEILFLSWVVFLALSH >Dexi9A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:9A:8774825:8780579:-1 gene:Dexi9A01G0013630 transcript:Dexi9A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCTAPFFEHIVIIIVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTEQDRKHAAKILPVVKNQHLLLCSLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSHYGLAIGAAVAPLVRVLVWICFPVAYPISKLLDYVLGHGQTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLGQTFAIDINAKLDRELMQEVLEKGHSRVPVYYEKKTNIIGLILVKNLLSVNADDEVPIKSVTIRKIPRVFEDMPLYDILNEFQKGHSHMAVVIRKNIPNELAERPVNDGGTYEVSIAIDEKNEKVVKNLPPPLRRWKSYPNTQNASNRGNRPKKWSKDQSDVLQIHEEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHEEQ >Dexi1B01G0026680.1:cds pep primary_assembly:Fonio_CM05836:1B:31500748:31501909:-1 gene:Dexi1B01G0026680 transcript:Dexi1B01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLMEYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTQEEEQTILRFHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFSALPQLIALANLRQLVEQRPWDDHAARLKVEAVQAAKLQCLQNLIQSAASIATSPSSSSINTIPDLEQIGLLSPPQMSSLSSLPSPSFLESISGQDIVAGQLPDIQIPSSFFEQPTCNDANQNLDFTPKSSVEGENGTPKTLLLSENSLPPLTDFPISNLGDACSASSCDGSGIQFPSWPELFDEQFLSEFV >Dexi5A01G0028900.1:cds pep primary_assembly:Fonio_CM05836:5A:32086967:32087365:-1 gene:Dexi5A01G0028900 transcript:Dexi5A01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGLEAGDPATGGLELPVASHGHGKRSTVGMGGRRGKGGRNSPPPASQREASKRYETRYPSAPLSRRLCRPPKNTPLSPRRPSPLPPDGAQRQWPGVAPKSATLHNLSLPSPSPLSSPMSCDNNPARGCCS >Dexi9B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:9B:376176:376397:1 gene:Dexi9B01G0000550 transcript:Dexi9B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRLPVQAHGATIWDGSTWATDNKVNYKRGGRLLRHASCSAAVPPPPSGTTTPPRCSCSSCRAPAAAPSTP >Dexi5A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:5A:11169581:11171848:-1 gene:Dexi5A01G0014250 transcript:Dexi5A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGRGRLRLGVGGRGSGKGRRAGGEVEWAGQFFVYNDDILKLPSVFNCRRFNEDSDDDSTLDTSSDVSSVGDNERNIGITTQCLAEDISIDQEGFSDGSESSNQESSPIFQYVEHDAPYGRQPLADMISVFASKFPDLKTYKSCDLLPSSWISVAWYPIYRIPTGATLQDLDACFLSFHSLSTAPGGMLTGRPETNNFHNNKIADVPGKITLPLIGLASYKFHGSMWMSNQHHEQQLTTSLLKAADDWLCQRQVDHPDFRFFLSH >Dexi9B01G0032010.1:cds pep primary_assembly:Fonio_CM05836:9B:34329726:34330535:-1 gene:Dexi9B01G0032010 transcript:Dexi9B01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAEYSSQELLQAQLQLWNQSLGLFKSVALAIAIDLHIPDTVHRLGGAATLPQILAEAGISPCRLRDLRRVMRVLTVAGIFTVQRQPSSSEDVAYKLTAASHLLVRDNSSAMSLLPHVQLMLGLCRECPLSRGMHAWFRQQQHDEQEEKTSPFAMAYSGNTVWERADRDAVVFPFDDAMASDTAFLMPIVLKECGEVFRGLDSLVDVAGGLGGAAAAIAAALPELRCTVLDLPQVVAKAPSGTNVQYVAGDMFDSIPQANAVLLKVN >Dexi5B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:5B:3946493:3949655:-1 gene:Dexi5B01G0005860 transcript:Dexi5B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVTHGDDPARLLMLQRVHLALLLLLCSLLHPPAIAHASSNHTAATCLPDQAAALLRLKRSFAATNESAVTFQSWRPSTDCCRWDGVGCGDDDGHVTSLDLAGRGLQSTALDPAVFNLTSLRYLNLAYNDFATSELPSTGFERLTELTHLNLSTTNFSGMIPAASIGRLTNLVSLDLSVAFEFYDLADYGYNMNVDSTYPLILPNLESLIGNLKNLKELLLDTADLSAVADWCSGLAKLSSLVVLDLRYNSLSGSVPADSNLENLLVGNTNFSGEIPTSIGDLKSLKQLNLGASGFTGKIPSSIGSIPATIGELVLLRELNVSHNSFIGPIPPQLDRLNILESMDLSSNELSGEIPQGLASLNFLTTLNLSDNKLVGSIPESPQFSTFSNNSFLGNDV >Dexi9A01G0033420.1:cds pep primary_assembly:Fonio_CM05836:9A:38354368:38358616:1 gene:Dexi9A01G0033420 transcript:Dexi9A01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPCCSLALLLPLLLCLCLGSIRAGSAASVTAGTHDGSERWGYVEVRPKAHLFWWYYKSPHRTSTKAKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVKTDWEQAADATTLLKALVKELPTLQSSPLFLVAESYGGKYAATLGASVARAIRSGKLKINLAGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAGDEAKKKAEDVKRQIEAGQFADAQGSWSDLLDFISTKSGNVDLYNFLLDSGMDPVSADTPTGSSPSSVQALMYATYLGSQDSDSNTIDGIMNGAIKEKLKIVPKNLKWVEVSQKVDELLSYGINVTVYNGQLDVICSTNGAEAWVQKLKWDGLKSFLSLPRQSLYCGQSKGTKAFVRSYKNLHFYWILGAGHFF >Dexi2A01G0034550.1:cds pep primary_assembly:Fonio_CM05836:2A:44477205:44477786:-1 gene:Dexi2A01G0034550 transcript:Dexi2A01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYATVKTSVWWDIENCHVPRCSEPHLIAQNMSSALAAAGYTGPITISAYGDTNCVPNHVQHALSSTGIALNHVPAGVDWEND >Dexi3A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:3A:11194304:11197615:-1 gene:Dexi3A01G0015130 transcript:Dexi3A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPSPATGPTPSSAVFDAEAAGAAVGKALPLTADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWGLGLAALTVIFSVTFYAYFLVSRVLDHCEARGRRHIRFRELAADIMYSNLSPNGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFGSLILSFGYTILVSAACIRAGVSSNARPKDYSLSLSKSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYTVIFFTFYFPAIIGYWAFGNQVQSNLLKSLMPDEGPALAPTWLLGLSVVLVLLQLFAIALVYSQVAYEIMEKNSADVAHGRFSRRNLVPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPVYLVNVAIMVVFIGVGVIGAVASVRKLVLDASKFKLFSDSVVD >Dexi6B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:6B:3244245:3248267:1 gene:Dexi6B01G0003990 transcript:Dexi6B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMAHKATPRLLRHRGTEPHSRLLPFLLRSCIVWAWASTGRRKPMAGGGRGGQRRKGWVATEEEGLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >Dexi8A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:8A:1603317:1605907:1 gene:Dexi8A01G0002420 transcript:Dexi8A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPPAVGEAAAKMKKGLRSPRVLVLGGTGRVGWSTATALSKLRPDLNILIGGRNQEKGKSLASKLEKRSEFVQVDIHDASMLEEALYGVDLVVHAAGPFQREDKCTVLEAAISTKTAYIDVCDGVEYSWRAKGSGGVGPTTLASSFYLLGEDVITYNKGEEIKLKPYSGVLNIDFGKGVGKKNVYLLNLPEVKSAFKILDVPTESLRDKKKVSKLVEVIDPIVRTIDGIAGECVSMRVDLEYSNGQNIFGLFTHRKLSK >Dexi1B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:1B:7243387:7247546:1 gene:Dexi1B01G0008620 transcript:Dexi1B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGDGDGGGRRPRAVFMAFGTQGDVFPIAALAAAFAREQPQYAVVFITHSAHRSFSAHLAASNVRYMPVSSPPVLAARQVENILCGSQSNHEDESFSSQKKIIQTEHRKECLSCVEDVFGDDPSIKGDFIVINFFALVSTGMFMYQLELEGWHLAELFQVKCIIAAPYFVPYSAPSSFERQFKHNFPQMYNYFQEAPSNTVQNDLSQQRFYILNHFSLWDPVTNLPLWHVREQSPLLLYGFSKEIVEYPGYWPSSAHACGFCMGFLRNPKAFLMVLKAAIESTDYRFILFSSGYQPLDSAIQSIASSVTNASEASSLGDDSALLFNGRLFCFSGSIPYSWLFPRCAAAIHHAGSGSTAAALRAGIPQVLCPFLLDQFYWAERLHWLGVAPEPLQRQHLIPDNDDALSIHNAADVLLGAIRSVLLPEIKDQATIIANRLSFEDGIGEALRILKERVLIDDKIRAVITV >Dexi9B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:9B:8384572:8385567:-1 gene:Dexi9B01G0012470 transcript:Dexi9B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRAAVESCHRVLALLSQPHDPAQSRSIALGTDEACAKFRKVISLLSNVGGGAVGGEAGPSGTSGSHPRAKVVSRRQNPGFLTQKGFLDSNTPVVVLNSAHPSPSSAQVYPRTGALDAQGIHPLGGPPKLVQPLSAHFQFGNVSSRYQFPHQQQQQKLQTEMFKRSNSGINLKFDSTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGTGKCAVTGRCHCSKRRDQSRFPLLVIRLLTYLPMNTHGGSMGRSQLKVPLILGMY >Dexi8A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:8A:21000418:21003767:-1 gene:Dexi8A01G0011990 transcript:Dexi8A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVKLVVAFVFAAVVVVFPVGSSAELPRLEHPPTKADGSLTVLAVGDWGRRGQFNQSMVAEQMGIIGKKMDIDFVVNVGDNFYKNGLTGVDDKAFEESFTNIYTAESLQKPWYTNFFFIDTTPFVLKYWNEPKNDTYDWRGVAPREAYIANVLKDLNAALKQSKATWKIVIGHHAIRSVSNHGDTQELVQQLLPILKANGVDMYINGHDHCLEHISSRDSSIQYLTSGGGSKAWRGSFTPNTDKLEFFYDGQGFMSLQLTKTEAHLAFYDVAGTVLHSWGLTKGTYY >Dexi9A01G0038710.1:cds pep primary_assembly:Fonio_CM05836:9A:42860498:42861046:1 gene:Dexi9A01G0038710 transcript:Dexi9A01G0038710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSPGTAGGLSRHATASRRTRSSRKDGDHSAAGARRIALASLQSTNEQAAPLQALLPLRAMELELESDGEPGVAFEVVDRNPVVVRSPNGGSSSMFRSGEKGELRDGGRGLLGRGAVGVEVADTGDQDEADGCCTGVVEHELLRWGWCSAAGGGR >Dexi7B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:7B:2326863:2327129:-1 gene:Dexi7B01G0001400 transcript:Dexi7B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSPSAVANLSPDAAVSRSPATGASRSPAGATCRSPSAVADSSPYSAVSRSPVAAAGHSPAAVSGRSPVAADRSPAGPASRAPRLER >Dexi7A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:7A:15835189:15846838:1 gene:Dexi7A01G0004850 transcript:Dexi7A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding GADRPKWKLAFRIPPKFPIISGEEIRDANGDPLEVILVDADTGEPLLAPPDVLRIELVTLLGNFPRDNSGWNANDFQRGVVENLKRPLLVGHLRLTMWDGHATVDELMFVVDSRKHGCCMFRIGVRILPDSYDGACRILEGMTEAFMVRQRHRYEVHRSKGYKWQLAFQSQPRLPIHVGGQIRDAIGDPLEVILVDLETGLRTKALLSTMDQLHVQVVSLSAWCLDDDDWSANEFQRAVRKPGDQLYLSGDVRLTMKDDGQRTTVSELQYTDSRYLNYMGLCVVPGSYDGNGSGRILEGVTRVLGVKHSRREEMVTKPDVLCLGDEVWRLRCISWGGVFHKRLAQNNVRNVQDFLTMLAVKPDELRAIVGEGMDDRTWSEEVLWHAGICNFPRDKVYAYSTARATIYVNSILQLIKVELGGVECPLQQLDEAQMRLVQQARLEAYEHRRSLQEVKLTWKLAFKSQPRLPIHAGSSIADATGNPLEVILVDAETGSPWVLPEATLKIELVPLFGDLLRPYDGKNLSWSAEEFRRAIVKPRRSAPLLGCCDLASMRDGRVTMQKELHFTDTSAWVRGRKLRIGARVVEITGRGRSHDDSLRVLEGMTEAFVVGNRNQKHYPPVRRDPVWRLEMIDKDGAPHRNLTSNNVRTVQDFLRMLNVKPHELRAQIVGDDLTARMWKMAAGHAKLCDPGEKVYAYSGANSTIYVDSVFGRLLKIEIHGVECRLDGLLAVEPDKAHVLEMMTAGQMILEAYEHRHNLQEVFGMVEHLSFYVCRIRNLLSQRHGAAARPSAVLPGIRVTSDAIQEAAKLAAVRRLLLDHLRGRGPGHAGDAGGQCSGVVLCKVECTQSPLVGTARPAFGIRG >Dexi9A01G0038750.1:cds pep primary_assembly:Fonio_CM05836:9A:42891616:42893344:-1 gene:Dexi9A01G0038750 transcript:Dexi9A01G0038750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRGRNNATALAVALFASVVLLHALSPASARSNGLAASARSAGHAASARHRRDVATPAGAASCDVFSGSWVLAGGDGSASASATYTGYSCPLIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGADFLARMKGKTVMFVGDSLGRNQWESLVCLLHAAVPQSPAQLVSSDPLYNYKFLEYGVTIAFYRAQYLVDIDVVQGKRVLMLDEISGNAEAWRGADVLSFNSGHWWTHTGSMQGWDYMGEAGRYYEDMDRTVAFQRGLTTWANWVDLNVDPAKTRVFFQSMSPTHYSSKEWPNPVSKNCYGETTPVAGLNSTATTGQASAQDQVIQSVLRGMKSPVRLLDITALSAMRKDAHPSVYSGDLSPAQRANPGGSVDCSHWCLPGLPDTWNQLFYTLLFYR >Dexi9B01G0024870.1:cds pep primary_assembly:Fonio_CM05836:9B:23606159:23609322:-1 gene:Dexi9B01G0024870 transcript:Dexi9B01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYPFTDIDADGAPRLDTVAGEELVRVERAAALALGHRAPEPPGALFLTCRRVIWIGEGGKGYAVDFIAVSLHAVSRDLEAYPSPCIYTQIETEGGFDEESDESDSEINGEIELSKVTEMRIIPSDPGQLDGLFESFSHCAELNPDPNSESDEENGWVHGDEDMMDGSDTVDVNPIGQGDDNNITHAVVEVSIVFQY >Dexi2A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:2A:341932:345492:-1 gene:Dexi2A01G0000660 transcript:Dexi2A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACAGSGGEDDVAACASDSGSPRFVNTGAPAAWGEEREAMMGLELDRRATKRYRPELYDARKSKRGHQPEAEMSTTVHLAFTDAVDEMRVMFLCGDDGKRVVRYGLEEETNWTEVGTEVSTYEQKHMCDKPANHSVGWRDPGFVFDGLMKGLLPGRRYFYKVGSDTGGWSKTYNFISRDSEANETIAFLFGDMGTYVPYNTYIRTQAESLSTVKWILRDIEALGDKPAFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWATYGKDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFLQGSEQYNFLKSDLEKVNRTRTPFIVFQGHRPMYTSSDETRDAALKQQMLQHLEPLLVTYNVTLALWGHVHRYERFCPMKNFQCVNTSSSFQFPGAPAHVV >Dexi9B01G0043350.1:cds pep primary_assembly:Fonio_CM05836:9B:43308569:43308855:1 gene:Dexi9B01G0043350 transcript:Dexi9B01G0043350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPNFMRRGQERQTNGVSTRGGGCCAYGGGYIGGGGCPYCCWYIGGGVPYGGGYIGGGCPYGGGYMACGGGGPCWW >Dexi7A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:7A:14840199:14844710:-1 gene:Dexi7A01G0004270 transcript:Dexi7A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWLPGMRGSSTLHARRPLAARRSAAAHAQTAARRRKRSWPRAGGRWPRAAAQLATRRSPLAGRRRPLAASSTDGGGCWRRREIQSSSVWLTGRLTGRLG >Dexi3B01G0034260.1:cds pep primary_assembly:Fonio_CM05836:3B:37003490:37006516:-1 gene:Dexi3B01G0034260 transcript:Dexi3B01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREATAAAPLLAAPAPSSRRSPAADAHILSAAFLFVFSAYGAAQNLESTVNTEGDLGSVSLGILYTSFTLFAVVASPVVTRLGPKRALVVGSSGYVLFILANLVPTWYTMVPASLYLGFCASIIWVGQGTYLTSAALSHARDNSLPEGRTLGNFTGEFWGIMASTQAIGNLLSLALLRNGKEASFAQLKVWECGAVAVIFFLSPLITLQAMLILMTAGLFFAFGAFLFLTIVVDKSSGVRS >Dexi3B01G0035020.1:cds pep primary_assembly:Fonio_CM05836:3B:37660314:37662294:-1 gene:Dexi3B01G0035020 transcript:Dexi3B01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEIADRVYVFDCCFSTETMDQYKYKSYLDSIILQLREQFSDSSLMADNVPVKLDVGSYVQDIDISWDADHKFTKNFKAESLMLSLMRPQKWQMMMMIWMLPLLTSSLKRKKSSVTLTPKKDIRMPILFH >Dexi9A01G0040630.1:cds pep primary_assembly:Fonio_CM05836:9A:44380006:44380612:1 gene:Dexi9A01G0040630 transcript:Dexi9A01G0040630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSRGSWSSTAGSRRSPIPYRKKPLDYEPAERGCGFITWYEGPCNPFVASLLVDLRDAVWELKDVNTALRLQLDDVTMRLEKEKNDAIALKKELQRMEKEEERLKLCDGRTNKAGGDRCLIIAVCVALCATWIWMALH >Dexi4A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:4A:1834104:1835110:-1 gene:Dexi4A01G0002680 transcript:Dexi4A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCSYRSTRTSLFDGIEEGGIRATSYSSHEIDEQENDLAIDGLQDRVSILKRLSGDIHEEVETDNRMLDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMGILVASFVALFLLVYYLTR >Dexi3B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:3B:6790824:6794697:1 gene:Dexi3B01G0009800 transcript:Dexi3B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAMAVAAPDRAKQRRGGQLWKKALLHFSLCFVMGFFTGFAPSSSSSWKAATPHRAGDQLAASRVAVDARVNLVPGTAAGEVGGLAGAGGGATVDVGDDEEEGTGPRLLLIVVTTTRSGAGERRRRRAELLRLAHTLRLVRPPVVWVVVEPVADAPATAEVLRGTGVMYRHIAFKPEENFTTAAAEAHAQRNAALAHVEKHRLAGVLHFADAAGVYDTGFFDQIRQIEAFGTWPVATMSAGEKKVVVEGPLCSASKVTGWFSRDFNDGTTRAMTYNSEADLNTAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQVSRSPLSEFQ >Dexi5A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:5A:4676002:4680374:-1 gene:Dexi5A01G0006360 transcript:Dexi5A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSSSTAEQVTDGVDASRLTVVITGGASGIGLETSRVFALRGAHVIIAARNTEAASEARKTIMEKNPTARIDVLKLDLSSLKSVRAFVDQFNSMKLPLNILINNAGVMFCPFQLSNDGVETQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFENLNDEKTYNDKMAYGQSKLANLLHAKELSRRLKEEGANVTANSVHPGLIMTNLMRHSFVLMKVLQVATYILWKNVPQGAATTCYVGLNPQLRGVTGKYFADCNVEKTSKAARSDELAKQLWDFSEELIKSAQ >Dexi2B01G0033690.1:cds pep primary_assembly:Fonio_CM05836:2B:41143464:41144542:-1 gene:Dexi2B01G0033690 transcript:Dexi2B01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVATSSLCIRGEVEDLLEAQHGRSAAAAFWLDGAS >Dexi3A01G0035900.1:cds pep primary_assembly:Fonio_CM05836:3A:41276752:41281477:-1 gene:Dexi3A01G0035900 transcript:Dexi3A01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRALMRRKQVDSDRAPPAGSSHQLRKELSVTQLVAIGRDLSFSSASSVLPLWILLCLFLGVPARLGCCWVSVGEVCGQNGLPIFITHYSISLMEVLIMEPLCYASGVGSTIGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLAIFFGGPDSLPWILARHDIPWLDVVVDPCAAFLVFLVTGLLCVGIKESSFVQGVVTVLNCFVMLFVIVAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSICCTLYMLVSVVIVGLVPYFAMDPDTPISSAFAKHGMHWAMYLVTSGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVHKTTQVPVKSTIVTGICAASLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLHASFRLSQENDEEKLRDTLGDGDHEQGTSEISDVVVVESVMDPLIEKQLYSSKMDETKRRKTAAGSIASVCIGVLILTMSASATFLPFLVRCFVCAFGGLLLLTGLGVLSWIDQDGGRHSFGHSGGFICPFVPLLPVMCILINTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVVQANEIYGSPSSSGFVA >Dexi1B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:1B:8983504:8987329:1 gene:Dexi1B01G0009680 transcript:Dexi1B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRPGGGGDSPARWDDDRVEGLAGLHIFDQEADESPAKNGMANDHDASCTPIANRCITDTTETSVETEQGKCFYDEPLHEHTGIWVPVSVPPMTAQDREDWHKGFGCNGGYFPEEEFSWELGEENKEMTMWDVFADMVVAAKSKVVSAATYDFGRHGMSVVSNFFLQEAWKDMAQTLADANAGVANELLETEPTKWLPDSAANACMLCGVGRLKPEKAIPDAILRQAKGLAIVTVVKVGMMVTYKLGTGLVVARRADGSWSPPSAISTCGVGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHIGRVAEADFRAGDGGYAACYTYSCSKGMFESAISCAFVGCAFNGSIVSTRDTENARFYGGPVKASDILLGSMARPPAASPLYKALSELMDRVGK >Dexi8A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:8A:7606241:7609255:1 gene:Dexi8A01G0007030 transcript:Dexi8A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTSHPTTRATSPWPHPSHITRPRSTSHGCTRPHHLAAIYVTQPPSHSLLAHDLGHSERLGPHGSKSVSDPNLPYLDFAVRLQGTSASTPRSSAAGAPLLPPSPSSAIGAPLPPAFPYAGDEAPLFPPSPSAAAVPQVELRLQASPSAGGVLLLPRSSGRPQSATNAACCSCNPAARRDPGAPLLAVTPALASRAEVELVIFSAFDDRLSYTAISSTGACAPRLL >Dexi9B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:9B:11865283:11869343:1 gene:Dexi9B01G0017100 transcript:Dexi9B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGAGMGGGGDELVLRGSISKKWTFLLCLGSFCIGLLFTNRMWTMPEPKEIIRRSTLEVEKMNLVAGDCAPKSIGDAKDVPGEVPRTQDVIQTLDKTISNLEMELASAKATQESMLNVAPTPESTGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKAYFVAAVSMWDAEYYIKVDDDVHVNIATLGNTLARHRSKPRVYVGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNACVASFDWSCSGICKSADRIKEVHQRCGESENAIWNAKF >Dexi4A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:4A:9372786:9386613:-1 gene:Dexi4A01G0011370 transcript:Dexi4A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPHGYVQRHRAVAHALLPPPSPAMVSYSDPAENSIKCATSIRGVSEIFFFSLSLFTIPSLAENLILASDPDREGEAIAWHIKEMLEQQGALGSNVTVARVAFHEITEDSIKKALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREVEIEQFKPQEYWTVQMDFKTQLAVCSNGTCIPSRIKLLNSKKLDQLSICSQEEAQAIEKRIHSSQFEVICVKRSKIHKNPPMPYITSSLQQDAANKLHFTAGYTMKVAQKLYEGVNLSSEEATGLITYIRTDGFHVSNIQHFDDSSSFILYGQEYASEDIRKYLKKVKNAQEAHEAIRPTSIRRLPSSLIGILDDDSLKLYTLIWKRTMACQMEASRTEMIQVDIGTPKGDMSFHSSASRLEFKGYQAVYEDTEASPSNDNSEVDAAHGANFEPLSKLKIKKLEELGIGRPSTYASIMKVLQTCPKLISAIAGFLTRSTDLFHMDNSVYYTCVVQDRKYVTIKSRVLHPEFRGRMVSAFLLNHFSEVANYSFTADMEAEIFILVLVSEELQSENEPYVAINILDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMLEEKFGPILFSDVDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDETEPADEGPKSFEPRLLGVMPDSNEKVFLKQGPYGHYVQVGEDKRGLFPKRASLSEVKDIDTVTLEDAIELLQYPKNLGKHPDDDHPVLITHSKVGYNIKHRRSLAAVPKNMDPKKITLERALKLLSGKTVRQIGRPKGKAKKKEPIEWH >Dexi3B01G0023530.1:cds pep primary_assembly:Fonio_CM05836:3B:18335782:18336661:-1 gene:Dexi3B01G0023530 transcript:Dexi3B01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRAMVFLAVMVGVFGVTSAVLGFMAEAKKLKPADIGVEGTECVYPANPAYSMAVGAILLLVVSQIIASAAGSCCGCCCQPEGSGASKKSTRQIVGVVVSVLAWIAAVIAVVYYWLGAALNAPQRRDAAFADGRNVECLYLKNGVFIRAAVLSLIATSLAIKSCILLRAPAASTAEFKPESGVAIGLPQWPAQGYGQARA >Dexi3B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:3B:4311891:4322669:1 gene:Dexi3B01G0006220 transcript:Dexi3B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEDALYEIRRHASGSHAIPPQEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLKERLTRYSVLGGRQRKRGDAVALFVSTNAEPGLYIFTSDCMVHRFDDNQEPEASLCEVPISARTIQLPRSLSCIDYDKRHSQFVLVADSNVSFSSNSYSAPYELNNVKYLDMLEKRLKVAEGHVEVGRLFAYYQVPKPTRFFLSAHLDEKNVKQLIRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAISLSTEKAENLVIQAAREYFFSASTLSGNEIWKARECLNLLPNNKNVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRMVIMSQTGAYLHFEEIIDVAKLLGLRSEEEIAAVEEAIAREAVVNGDLQLAFDICLNLTKKSHGAVWDLCAAIARGPPLDNLDTGTREKLLGFSLSHCDEESVGELLNAWKELDVHDDLDQIDMANTTFWREWKSKLEEEKQLADQARMLKQILPDIDTSQFLSGDANYIKRVVFSFVDSVKLEKKHILKEAVKIAETYGLERTEVLLRFLACSLVSEYWDNNDILNEISEFREDIVKSAKVLEAVLEEWEQLFSPKEEHAPPHESPKETSDWSDGWDDGWEALPEELESPKNKQEGAPLSAHPLHSCWMEIIRKRVETGELHKVIELLDRASLKHSVFLEEEEVHSLVELVSALDCFVALKIVLLLPYEALRLQCLQMVEVKMREGTVSTSNADDHELLALVLLSGTMQKVTAEEAYSHFFSYLCHLVGHLARGQYLLAGFIVSRWMHTHPSLGLVDIAETSVRQFLQGQVAQAEQSGGGDASFTDGEVSVKHTISTLQLKLESVLQAALSALPNQEL >Dexi2A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:2A:3465538:3468521:1 gene:Dexi2A01G0003910 transcript:Dexi2A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRHSLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPPVLTTWGNQTDFCYGGDYKTSSAFVECYGDSVTQLHIIGHGGAPPLPKTFSIDAFFTTLTRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNVSGNYLYGELPETLSRLGNLQTFIADDNMLSGELPGWLGKLPSLAVLSLRNNSLQGPLPESVGDMASLRSLTLASNNLSGEVPDMSSLKNLQVIDLANNSLGPAFPKLGRKVATVVLAGNRFADGLPGELTSFYLLEHLDVSRNRFVGPFPAATLLALPSIEYLNIAGNRFTGLLAANMSCGDNLRFVDVSSNLLTGNLPSCLMTRASSSSKTTKVVTLVAAANCLSMPAATGAGEVATVGWQHPSVFCQNQALAVGIVPDQSRSKKSGAKAGLVAGIVAAALAGAVLAGVAIFFAVRKVTMTRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFELSSLLGQDAHGQMYRGTLSNGTPVTIRSLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLPWVQRISAAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYSSERVPNGDKMDIYDFGVILLEVVTGRPITSIHEVEIMREQLQSAVTSESPARRRLLVDPAASRACSDESARTVMEICLRCLAKEASQRPSVEDVLWNLQFAAQVQDDWRGADSRSQSSEESPLSPSQIPRESIAGATPADAC >Dexi1B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:1B:26018932:26021308:-1 gene:Dexi1B01G0019800 transcript:Dexi1B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYIPSDSILSTSRMTIGKVFELLGGKAGVSCGQFHYGSAFGELSGNAHSIEDISSTLIRHGFCYNGKDLLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKIHARAEGPRVSLTRQPTEGRNCDGGLRVGEMERDCLIAYGASMVIFERLLLSSDPYQAQVCRKCGLLGYYSYKLKTSYCSMCKNGENIAKMTLPYACKLLFQVLWRRHLDVTFITYAGVVTCAQLVQWPGP >Dexi7B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:7B:20760098:20761763:1 gene:Dexi7B01G0014570 transcript:Dexi7B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAKQTNFLFSPMSLRAGLVLLAAGTNGASLRQLLTFLGSQDAHSLDVATARLLADVSTWAQLSFAAAVFVDRTLHLTPEFGSAATSVHQAVTRSADFKNHPEATLAEVNRFIAQATAWRLTNVLTRDMVGPTTKLVLANGLHFKATWARKFEPSETVRRHFFRHDDGSRPVRVPFLSAAGMQYAEKFDAIGHGFKVLQCFYKMVGRDERLHSSAPCFCMLIFLPHRRDGLADLLRLAVTEPDFVMRCVPRREQLVCPCMVPKFRFKLTFDVAKALCELGLSAPFGGDADLSRMVSDMPPEGLLVSAMGQTCAVEVDEEGTTAVATTYSPVNPTYSPTAEPPRATDEVCA >Dexi9A01G0034910.1:cds pep primary_assembly:Fonio_CM05836:9A:39609860:39610822:-1 gene:Dexi9A01G0034910 transcript:Dexi9A01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRGARAAAAASTAVAAGASAGRSVSIRLTAISALSSGGGRRKGQRRYEAKAPSPSPPPPLPRHGETPSSKKKSGARTPIEVKKNLPAELEEVRAPRRPEGREARKGSTPPPPQQQQQQQKAKAKRAVRWKCASGCGACCKLDKGPDFPTPDEIFADHPDDLQLYRSMTGDDGWCINYDKTTRTCNIYEER >Dexi4A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:4A:19242722:19244075:1 gene:Dexi4A01G0015850 transcript:Dexi4A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYMSQLSTALPLMEGDHHHQDHHHHHQGHFQAFTLPKDPPILFPFVISSGSTSESSLSYGSADGHHLMMRQHQAMLEPQHMISGSSAASVFATPFPTMESIRDDMIEPSSFDPYDVGKLQLGGSLETAGSWTPPAKMRITRKATADPGAAKKQPRRRAAQGYEDVVGMSGGQHNLGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASVGSSGPVPADGDKAAMATPRNAMGASAHPKAKKEKRVDVDRSLPFKKRCKGVQDHAAVAAPPPTAAHKVTVQPAAEVADDAGLSSSMDLVDSIGLIRWNRSPAAPPSSAASCSFQSSSPGLPVQQDEITDAAMLLMTLSCGLVRS >Dexi4A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:4A:16347235:16351735:1 gene:Dexi4A01G0014340 transcript:Dexi4A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWATAVRQQLYAATGNACPSFMSPSVWLHASICGAIESKESKHVLARVEGEKRDLLDTLGTAVVELWTKRRFRCDCGNSKFGGHLCKLCPEKDFENPENCYNHNFKGSYCTCGRPYPDPEAKEQVEMVQCCICEDWFHEDHIGLNSVGEVPRDEEGEPLYEEFICHKCSPVCYFLKLYPDTIWASGKQYLASQTDASDSTVMEGGPSDHPTIEKHENVALADDMGGEKMAMENGSTKDIAVPEKDNLGSNSGNNCKLGADVNTMPAVTEKNMPFFMSKGWRDTLCRCETCTNFYVQRGIAYLVDKDDSIEEYEKIAKQKREKKLEQREGAETNFLNSLNHVQKIEILSGINDMKTEFQSFLVTISCFDLVNMFQH >Dexi3A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:3A:5941945:5942194:-1 gene:Dexi3A01G0008480 transcript:Dexi3A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEDIDERVGLHEGEAALHAAEKAFYDILEQVYPPSWPPLYSNNEADGLDQRTIIKNGLAELISLVTFPITACYIAYQHL >Dexi5B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:5B:8208793:8211086:-1 gene:Dexi5B01G0011560 transcript:Dexi5B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRALVFTTVLMAILGSTLCVVGVERLIRGRFTLFSMARFLLRFAFVLFLPLLSYMSSHAKGNVDQILFVLMWMLLVELIRKKVQAMVPSADGSFSRASCKFKPMNHSDEVTRLVWIGYLIYANIKNSSQSVMTMFAILWSLVLAKLGQRVFNEWKAQESLTAAGNAQLIAGYMQYVLEKDVASGASTCTDDPMANCEYVVMGEEKLVLKKKERRHIKRHDPKVLTITTPHCGHGVGRFPHDQNELKHVHLRVDLDKVKSLVTVKMIWQKLGGLPRLCCLFTKRGRGFVDHLRLLCLSFSFFKLMRRRFEHYPMVEVGSMMTRRLMLQGLLSHGGSGSGSKTTDEESAMVAFRVLHLELDFLDNYYQAGVPVVMSAPWLFFINFLSSLLFVLVYIITAAILVVRAVHHHDSTHMPIYFIITILLLITLLAVEITELLTAYLFSNWFLVHLLCLYTASGGFLWNCLVKPIICCFIAFRFLVFCSLRIALLLIGRPINEKKMKIKQVSILHVCEPIRKILSSASPVTLTSQAKVAIIEFLKQINLDTGNVGLPHVNGFHGSGKTAIEIILACHLATELLAMEHGKQKKKKKKKPQDPDHRTMATTLSRYCMYLVARAPELLPDDERWVSDTYEDVRSCLEEVASRWRCSCATRCGGGAWRRRCWKAVKEVGEEQLKDTAATAAWKDLAGFWVRLLVYLAPSNDVEGHAMALASSGGDLITCLWAFCTHAGIRRQSSPDQAERHNAHEPRVSQV >Dexi1B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:1B:19696116:19697762:1 gene:Dexi1B01G0013670 transcript:Dexi1B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRLPAAPWLVAAVTLLVAAARPAGAAWCIARSGASDKALQSALDYACGPAGGADCAPIQASGLCYLPNTLAAHASYAFNSIFQRSRAAPGACDFAGTATVTVTDPSYGSCTYPSSPR >Dexi3B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:3B:15042066:15043651:1 gene:Dexi3B01G0020070 transcript:Dexi3B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFLGLAPMDLTLVFLVFFILSCLLCGVRSGSSLNVTSMFTFGDSHIDTGNVLIMAASVIPVWIDHPPYGETFFGHPSGRFSNGRVVIDFIANELGLPFLPAFLSNSTNVSHGVNFAVGGATAIEVAFFETNNLVPFKLLNNSLDVQLGWFEQAKPSVCNCNEAPGGQPRYGDCFGNALFFVGELGVNDYNFIWTAGKTEDEIKKYYVPKVVDTISKAVERLIKEGAVYIVVPGNPPTGCSPSVLTFRQSPNKTDYDHIGCLRNVNAVARYHNLLLRAAIAGLRGRYPHARIIFADFYEPIIRILDNPGHFGFGDDALKACCGTGGAYNWDPNAFCGMPGVPACRNPAAYVSWDGVHYTEATNRYIAQGWLHGPYADPPILSALQRY >Dexi3B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:3B:10105116:10106336:-1 gene:Dexi3B01G0014060 transcript:Dexi3B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLSPATYYGAAAVASSLSPSVSSSSSSLVSIEENAERVSSGYISDGLMGRVQERKKVTKRFILDPIVLLPVINGVPWTEEEHRMFLAGLGKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDAVECAKKAAMPRTASVSDLQFPSLLPGSVDARTKEAVVLPPCLNLTGNASPYAGDGGGGALNLQYPSSLNQMAKPQMQLQMPDLELKMSTSRLSDQPSPSRSISFFGTVRVT >Dexi8B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:8B:1863553:1865058:1 gene:Dexi8B01G0002680 transcript:Dexi8B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKGVPAIAGVVITAVLCVASAALVVVGELTTQLQNGFTATHTAGATSAFEPVLYAPNGIFAFGFLRVGDASLDLAVVHLPSSFPLWRATPARLGDWSRPATLTFDTTLVLTDENSGVLWQTLNTVGDVVVLLNSSNLILRRYDDDSNVPPWQSFEHPSDTLVVDQNFTGSSPPLISNNRRFAFRLGKTFMALHMEFYGGKTTPMYWHHTALEAHPENVTEPPVYGRIDVRGFFGLYLTGGEQKVDTLSFDTFLQNLTGEVFRRMTMDDDGNLRAYYWTDGAKDWISDYKAIAGDDRCELPTSCGAYGLCVPGGAQCQCLDNAMSISPPTCHAGEETADLCSGDGTQQVEFDVVRRTRVSVAYKEELSPETNKTEAECEAACAGNCTCWGAVYNGASGYCYLIDFPVETMVYEADDRKVGYFKVRRLPSSKRSRMSPGVAAATAVLSLILVGLVAAGACFGYRLWERRWRGRAGTMEQELVPGRYKDLKSMGSSSDSFK >Dexi3B01G0024690.1:cds pep primary_assembly:Fonio_CM05836:3B:19358600:19360405:1 gene:Dexi3B01G0024690 transcript:Dexi3B01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDFCDRLANVGFSSNLISYLTLQLHLPLVEASNIISNFNGTANLTPLVGGLIADSFAGRFWTITSGSVMYQLGMVFLTLSAIVPSLSPPPCAKNATECQRASSSQIAVLYLSLLCTSIGTGGTRPCIMAFGADQLELDAHGRPRDGGAKPKWSFFNIYFFGIELAKLTAATAVVYVQENVGWGWGLGIPTVAMLAAVVAFVSGYSLYVRMPPGGSPLVRLAQVTTAAFKKRKTVVPDSSLLYQDKELDAGISTTGRLLHTDQLKFLDKAAIVTGGDKLPSGEPKLWRLSTVHRVEELKSIMRMLPIWAATILLVTSGSHNSSFAIQQARTMDRDITPRFRIPPASMLIFTNVAMLLTLTFYDRVLVRVLRRYTGHPTGITHLQRTGVGMTLAMLANAVAAVVERRRKAVAAASGLLDAPKDTVPMSVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESLRSTAAALYWLTNSMGSYLGTVLVTVVHDRTRGSGQWLQDNLNRGKLDNYYWLVVALQVLNLVYYFVCVRYYTFKPLETTVGDDKEVELVGNVDQDADKGASKLQVA >Dexi1A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:1A:26604497:26611995:1 gene:Dexi1A01G0019520 transcript:Dexi1A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSASSSSSPSARRRSSPQRGRAHTDESGSSDGVLVELPAQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLAAAGSRSARSLDESMAVIDFPEVSSANAELRKYQEEKEAFAREAVALRRMLQEMVGHEASISLHGEDPDETLLHSMLDDCSRLVLELNSVARAREQELESLHARAVEVDVSREVADVYLGSWREGSEQAVGRMLASIDAVVGQDDASFEGADQDAISVLERKTSSLVEKCRQVSMGIEHLEQVLAEVKPGFVATGQGDLATILGVVTEELASSKRNEVDFLQKLNTFAEENKALAAELEEVKAARDAANAEASKAKAEFEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALGEKTAELQSCMAELQKKTDALQIAEGRVEELRVYLDEKTIEHDKCLDELRETYSAWEAAKASIEQLNEANSTLTSLQTSLSLKDGVLQHIEEIMSEATFPEDLLSLEMADRLGWLIEQKKIADMVFSEHHKVKDILSSVDIPHSVLTGELDSQISWLVSSLNQAKDDVTRLHHESADTLARLAAHESKLVSMHEEIDRLTIVLLDEKQEKDMLVNEHSELMSLYNVAVDKLSVVSSQNNELMKAFAEFSDVTLEGNESLDTAKLVRQSLSNIQQSTKSSPIETESFEKLQTFLYTLDQESSLCKIILEEDMIDRSVRTGELQRMVEEIHILKNEKDSLQKELERVEERSSLLREKLSMAVKKGKGLVHEREGLKQVLDEKSSEIENLKQVLEGKNSEIEKLKSALNENKSETENMKEVLDMKSCEIEKLKHALDENDSITNDLRQVLDGKNSEIERLKHALDESCMETENLNQTLIEKTFEADKMNQELVAKNIDIENLRHEIESRESAMADLREHVEHLSLQATHFEKLQLDIGTLNDEKGKLESLLEETRASWGTLADSISSLTIPVDQPFEDPMEKISQIAQYIQESQVAKSSLDNELHKANEQITLHASRLSDALSTINMLEDDLRKVKDHMPSISEEKHQIELHAAAVEEELEKINEELAINVNKLEDANVTINSLQDELSHARSNISILDAEKNEAEVKHEKEINALNAKLDKCLEDLDRTHGNLQSHSTEHHGCLEELSMRVMDDSLLSLMAEEFGKTFSSLRDMSVIVKSMHEQLAAKGFVTDSVVEDSELLTLLSLPDYDKFVTERLVNSKTRKGNVDDTLSFSTIFEQLSSQAEYFSSFMKDLSAYMNGNIMSVLRALQLASNNFAHTLEEHGTLKIELGNKDAHNRAQESEVLSLQKELRALSSKCISCIEQIKIVFDDVVDLGYAIELATGRSSTGSELEVTVSDLKDEDADDYNKVADTLLSAIAQLKFESEKLSAIKGSVVTSLDDFKMRLQQAESAAETASHDHQLLLEKIGMLEKELKTLQDECSRKELKMHEYQEREGTLKARELELLSLEHTQMTADRGITDDAISKDQMGALVEKINNLNMLSDESCMQREEAALSSPIEKFFAVIDGFSALQHEVETLRYENEDLQLNVESYTREIEQLRELSRNSGLNNRELESKSSELLEVTVSMERMIQRLGYLGGRDLVEDNKPTTTQALLSKLEKLIIASSTEAGNAKSIIQELGAKLQSREKAIDELSTKVKMLEDLYHARLAQPDSSKDRSFEASSSAIGSDMSEIEDVGPTGKASIASVSTAAHARAMRKGSSDHLVLNIGSESERVIAAQDSDDKGRIKSLHTSGLIPAQGKHIADRVDAIWVSGSQILMNRPRARLGLIVYSLFLHLWLLGSIL >Dexi8B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:8B:18614789:18619485:1 gene:Dexi8B01G0010170 transcript:Dexi8B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTSTSPAPDHDHGHHHLLPSSPSPVMPPSLPPTIPAFDPHDGPGSLQLIEDLTTHAGAIQRRVLSEILAMNAGTDYLRGFLVSGAEGRNADELAAAFKEGVPVVEYEDVKPYIERIANGAPSSLISSKTITELLTSSGTSGGQPKLMPSTEDELDRKTFLYNLLVPVMNKFVDGLDEGRCMYLLFVKPEMATPSGLVARPVLTSYYKSRHFRDRRDSPYTRYTSPNEAILCPDSAQSMYAQLLCGLARRGEVLRVGAVFASAFLRALKFLELHWRSLCDDLRAGRLVDANRVTDTACRDAVSRVLASCPDDTALVADAVAGECAKPSWRGIVRRLWPRTKYIDVIVTGSMAQYVPLLEFYGDGLPLVSTMYASSECYFGINLRPLDKPEDVAYTLLPNMCYYEFIKVEKDGQEVRDGEVVGLVDVEIGGYYELVVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVRRRNVVLSVDTDKTSEDDLLRAVTAAKRLLSPLGGGDIVLSEYTAYADTSSIPGHYVLFWELTPPPPPLAAGATDIAGVMAACCAEVEAKLDAVYRRCRSRDRSVGPLEIRVVGPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDAVPHWEPPFQVDAGAGAGDDDATVASSSSNGEGSPPA >Dexi8B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:8B:8980460:8980837:-1 gene:Dexi8B01G0007450 transcript:Dexi8B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLPSRQLCSFARRWPPSSTQASAAPGGAAPPGLCGSPRRRSSTRPARFPQATRSPKAGAAPPGSALPTRPTRLPQAAQLLLQAASSLGRAAVAPRQGCSARENRKERGS >Dexi5A01G0038020.1:cds pep primary_assembly:Fonio_CM05836:5A:39152984:39154624:1 gene:Dexi5A01G0038020 transcript:Dexi5A01G0038020.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSIFKSRKLVLDVVN >Dexi6B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:6B:8406495:8407374:-1 gene:Dexi6B01G0007200 transcript:Dexi6B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPQPREKTRSSCFAETDRCAHVFEINDYSFHKGLGAGNFIKSGTFTVGGHEWCIHYHPDGFTLEDSKDYISVCLQLLTKDSEVRGRTFWLVDPATALPSFLVVENAVLFTHVHPTTGLRYFQKRTELEASPYLRHDRLTIQCNLTVILGSRVSQSEKTCGIQVPPLDLSHDLGRLLDAARGTDVAFKVKGEVFQAHKSVLATRSLVFEAELYGPVGEDNRETITIEDMEPAIFRALLQFIYKDSLPAMDDLGGDEKEEMGT >Dexi9A01G0042920.1:cds pep primary_assembly:Fonio_CM05836:9A:46439268:46440449:-1 gene:Dexi9A01G0042920 transcript:Dexi9A01G0042920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFPCMLLPLADSEQVFPEADAPAAESSDELWLRSGPPPRVVDVDDYGAGSNGCDDTEAFLAAWSEACNSSDYRPMLLVPEGKSYLLMPIMGTLEAPSNRSIWQDQNLQEWITFEDIDRLHVLGGGTLNGNGQEWWVNSCKLNRSMALYFRRCTHLVVEDLEVRDSMQMHVAFAYSWNVLVSKLFITAPGWSPNTDGIHVSNSKQVSISECTISTVC >Dexi4B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:4B:5611126:5616916:1 gene:Dexi4B01G0007790 transcript:Dexi4B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAATAGAGRGGVDLTGAEIRGDLEGRNPPIFLPRQPAASSPLLALDIGGTLIKLVYTASCGGGDGDGAELRFAKFERRRLHECFDFVRAKGLLGCNGRRSSLDSEITTVSACHVATGDKIKQRKCGAQDHVFPLTDTILQNVPGAAFTHMNGQRNPVDVSPNNLFPYLLVNIGSGVSILKVTGNKKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKGLTDYKPEDLASTLLSAFTYNIAQVSSFYFSCMHIMKLDFLPLGENIGKQRNIFINSWISVSLGWQEAKHLPSIRSQRQMQAVFLRHEGYLGALGALMSYGDDNGENVTLEESEDEPHHESAAPVGTSADEENENNIFPYLLVNIGSGVSMIEIGLPASTTAASFGKVNSSKLSDYKLEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDGLASHEVIREVLLGAPYTGQFPSLPVTEQQNGENNMLEVEVERLRNDNAALKAEVERLQRENAELRAKFGTATL >Dexi2B01G0035310.1:cds pep primary_assembly:Fonio_CM05836:2B:42389033:42389371:-1 gene:Dexi2B01G0035310 transcript:Dexi2B01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSAVAAPLSVAGLRKPLGAAAKFQPLQPRARPAARMAVRASMKEKAAAGLTAAAMATALVLPDVAEAAQLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRT >Dexi1A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:1A:8238759:8239415:1 gene:Dexi1A01G0009900 transcript:Dexi1A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDCMTRAWALAIATAACVGLPAALVYAVVHVAAAHHLGTTIALAAFLAVWVAVSAAYYPRAFADIVRWFALSRYLRGHRGSRAQRHTTTLPRFVALGQRTSEQRQGSNNGALVPPPFVVARRSWQEEEEEDHGGDMGALSRELPAAAWRRDGGEACKTQRCVVCLCDVEKVETAEWLPACMHVFHRHCIERWLHDHSTCPICRAFVAAAVESETL >Dexi1B01G0028400.1:cds pep primary_assembly:Fonio_CM05836:1B:32947989:32948499:-1 gene:Dexi1B01G0028400 transcript:Dexi1B01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKAPSRISYSSIITWNLIVVLVVLSLYATYSHWHQRSSEEIEMLLHEAEV >Dexi8B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:8B:1584226:1587620:1 gene:Dexi8B01G0002300 transcript:Dexi8B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVAVLEIRGDDDGLQLIPVDGGAAGPESVAFDASGGGPYTGVSDGRVLRWLPAERRWVEHSSPAPSSRLCLPWPRWSRRRRRRLELGDGDLELVPLDGAVGPETIIFGDGGEGPFTGVSDGRVLRWLPEERRWEEHSCSVPDLGSQDPGREHECGRPLGLKFNDRTGELYVADAYHGLGVVGPQDNVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFQRREFLNIVITGDKTGRLLKYDPKTNKVEVLVEGLAFANGLAMSTDGNYLLIAETTTGKILRYWIKTSKASTLEEVAQIPWFPDNIRMSPRGGFWVGLHAKRGKIAEWSITYPWLKRLILKVPMRYVQRASWFLNQLGRQVIALRLSDDGNIIEAISVHGSLQKVFRSVSEVEERNGVLWIGSVMSPFLGVYKL >DexiUA01G0016280.1:cds pep primary_assembly:Fonio_CM05836:UA:34672878:34673547:-1 gene:DexiUA01G0016280 transcript:DexiUA01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYQTHGEPLSPFLLLDYAGPYTFPADGAKRGVGEHPHRGFETVTLVYSGEVEHRDSTGRGGVIGPGDVQWMTAGAGILHEEFHSSAFSQKGGELKMMQLWVNLPAKDKMATPGYQSITKAEIPVVTLPDNSGSLRVIAGRYEDVAGPAHTFSPLNVWDIALNQGSHLTLSQPEGWSTALVVMEGTITVNGTAQAGEAQLATHSIWKRASMPKSC >Dexi8B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:8B:10588179:10591183:1 gene:Dexi8B01G0008170 transcript:Dexi8B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTPGRRPSVVFEESKWTEYFNEYQPFPCSHQPKGRIEDRRDELVRKVSHMIQECISKKENLFEVMKIVDVLERLGVGYHFEEDIATFLDILNRNPSVADDLYAASLQFRLLRQHHYDAPCEIFKDFMDENGDFKDTLRSNVDALLSLYEAAHLRKRDEDILKRAIVFTTNSLSSLANGGDHLPKPIRDEVLHALASPTHRRIKRLEAKSFISIYEDDKESNEDILELAKLDFHILLQMHRDEVKSLSLWYKDLNARCMLGRYIRERPVENYYWALCVCHEPHYAKPRMMFAKIMVLLSFFDDTFDSYGTLEEVHQFNQAVQSWDEGAAKQIGNYYAYVMSIISKTLDEFIADDGASQVGINCFKETFKWLCHHLV >Dexi7B01G0021000.1:cds pep primary_assembly:Fonio_CM05836:7B:26164473:26166421:1 gene:Dexi7B01G0021000 transcript:Dexi7B01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAVQYAAAPVRIMGPYERPAPVMGVWNSDPFKVDSCQATSGSTVMEADHKFDNRLEDVPQVSLEPARSTDQETSRPPDRVMRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEHELQRARQQGAYANGNLGDSNLGFSGPMDPGVAEFEIDYSNWVEEQKRHTAELRSALQVQTTSELELRMLVETGLKNYEQLFAIKAQAANADVFYVTSGTWKTPAERFFMWIGGFRPSEVLKVILRPQLEPLTEPQLIAVSGLQHTSTQAEDALSQGMEKLQQNLAETVTAAADPFGPPDGYMLHMATAVEKLKELVGFVTQADHLRQTTLQQMHRILTTRQAARGLLALGDYFQRLRTLSHLWATRHEAAIS >Dexi9B01G0039290.1:cds pep primary_assembly:Fonio_CM05836:9B:40039913:40042923:1 gene:Dexi9B01G0039290 transcript:Dexi9B01G0039290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHASRGRRTLEEIRQKRAAERMQHAPPTAASHVDPYGNQRAGAEIAEKEVEKDALVNRLNDLERNVVPSLKKTLNDISLEKDAAVVAKAEEDSASLRAQLNTLQQQVMGNSYSGYPMGTSSEESLTMEKEIQDLHAQLKQESLLRQQEQQKLAEESQLRQQEQEKLAEEQSRVASLEAEKQQLEDQISVLTKKATEDASEFAARKAFSMIDSQSSEIEKLFEENSALSTSYQEAIAVTVQWENQVRDCLKQNEELRSHLERLRLEQASLLKVSNMNTQSDGQNVNSVSDSPELVTENLSLKDQLIKEQTRSEGLSAEMMKLSAELRKAVQAQNNLTRLYRPVLRDIESNLMKMKQET >Dexi8A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:8A:6973415:6974882:-1 gene:Dexi8A01G0006710 transcript:Dexi8A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGKSHKGVPAAAPASGGDRIGALPDEILHRVLSFLPAQQAVRTCVLSRRWLHLWKYATGLRVVGADGKEPAPFEEVREFVDSLLLLRGSSPLERFEVKVAGAAIDVRNLRLWVRYGMMCNVQFIFRRDLKCCPTFSRLKTLVLFERCIPALTCILEHSPVLEIFKLFALPLEKGFIVNVKMSGSFNPAKLPSTVSPHLKIVKVRCGTVDERVLEILEFLSKFNISMIFFWQHSHTFYISDIWLKIFWKLK >Dexi5A01G0023960.1:cds pep primary_assembly:Fonio_CM05836:5A:27878442:27885192:1 gene:Dexi5A01G0023960 transcript:Dexi5A01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGEAASSLHAHLVDERSRVHLPLTSFPSLLPPADERHRGPKRHKSSAPSKAALVDESAEFDYADDFDDDARDADKEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLSTLLKDEVISRARISPEDSLGAPSFTISKTPGQTASGHEDLLNGMELAAATEDKESHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFYTLDEQLDLLGKVLNAGDDMIGVEHLEEDSDGKALLKARRSAGSMSAFSGAGGRVYLEYSTGKGKGAPKKPKDPSKRHHLFKKRYQ >Dexi8A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:8A:26477044:26478082:-1 gene:Dexi8A01G0015380 transcript:Dexi8A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVQYRKGLWSSEEDEKLRDCILRYGHGCWSTLAVMAGLQRTGKSCRLRWINHLRPGLKHGTFSLEEEETVMGLHAKLGNK >Dexi3A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:3A:10357218:10357750:-1 gene:Dexi3A01G0014200 transcript:Dexi3A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAGAARRRALRHAGVLLLWLLLETIAGAAAAALDDGGEKTTVMARAMLMMRKTRELATSCSSCFVIMSGKDAMDMPMDMDLIVLGNDCKDGIGMDRIMPGKDEIDMDLSMLRTEAAIDMNRRRELMIIMIRSVLRWLLRRHIMP >Dexi3A01G0026680.1:cds pep primary_assembly:Fonio_CM05836:3A:23029948:23030154:1 gene:Dexi3A01G0026680 transcript:Dexi3A01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRMKSVRQGHSITVATEGSRGGDGGDLRVSMKGGGGGGWYGLNGHGGWLSFGRAGGDFAMVGV >Dexi9A01G0035980.1:cds pep primary_assembly:Fonio_CM05836:9A:40538697:40542683:1 gene:Dexi9A01G0035980 transcript:Dexi9A01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQQQSSRFRRVCVFCGSSQGKRTSYHDAAIDLAKELVSRGIDLVYGGGGIGLMGLVSQAVHRGGRRVVGVIPRTLMTTPEIVGETVGEVVPVADMHQRKAEMERQSDAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYDSLLVFIDQAVEEGFISPSARGIIVQAPTAQDLLAKLEEYVPYYDRVASGLNWEARVVVKDAAAAGATSSGISVSGI >Dexi7B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:7B:25723044:25723783:1 gene:Dexi7B01G0020390 transcript:Dexi7B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILATMENNKTPSSCVSPAATSTMSAGESSWATHIANFLVATPQDREMDQQPAVSGGSFSSGFSSSFDSLDDDVSFITSELMGDEEEDESLQDTACSSAAVQKVATMENFDIKAMSTMDAFNMPQLAKYFQAVGSQQQVTKADQQLINSYRNNEKALYDSNELRKKGLCLVPISMLIDYLG >Dexi7B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:7B:2211278:2214936:1 gene:Dexi7B01G0001240 transcript:Dexi7B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPINITRSNLITPPGLQPSQQIVQSLGTQNPQHMAFQMQDINFSTCNPEYIAHPQGQPLVQPNVQQNHLLRQNARETATTGSSGDVDWREEMFQQMKPLKDAYLSELKELNQAVLVPKITQEQFESLPEDKADRQRFKVNLKRKMAVMLKFIQLQKNDIPDNFRGKLPMFLKSVQDLLASYRRIKDRTVDGRCKSQTHHGQHQITNLSGDQFPSGCSASHQNQQEQPMHTQLRENIIRTTPAGCQVISSHLLGAASSCFPENSHRSLQSSPTDKFQECSMTTPSPVIKSAIVNVSSPYASLKFTSPSAITAPGATEAAASSSVSVMSPLPSPVAMPGDVNVVSPCASVNSTVPTAITDSASIQAASPSSSAKSTVPSPSEMSGVIEATAPVTNSGCAPVAFPCPAVQPTSSENDVIFSDLLLQDNSAAASALAVVEETATQAEEDSREVAAIKPIIPASPLQAETADPAEYNKHPGNELPIAKRPIDRLLDAVRASSPAMLTSAANCVYSVLNSNDWAPHRETDEFEDWAFFSEQGGSNTPNKMKRDFDTTSVLSESAPLGTSSASENNAEHGAKRPKTKDAKDTLLDEINSANRMLLDTLISITDDNKTDGIPSSNGGTLIKLSYTATSLAPDLASLFATSGMSIVMPLNLLVPEDYPISSPVIVCDKGDEEMRKSLCDISGEVDSAFRRTLRGLPEPMSVVDMARVWDESVRRVVVEFAKQHGGGTISSSHGEWASADGA >Dexi6A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:6A:5152485:5154773:-1 gene:Dexi6A01G0005620 transcript:Dexi6A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVKVIEELRIAVASTAALPPEPLRLSALDAQWVTLPLIQRLLIFSDSDDGGVSGNTNTPPSFASAVAALRASLAGTLARFPPLAGRIVHLPDTGDAAFDCTAAGVAGGVRFIVAEMVGEDAARLAGEEEHDAEAFRRLVPELDAGELPAETMAAQVTRLRGGMAIGVAVHHAVVDGRSVWGFLEAWAAACRRGGEDDDDDVEPPPTFDRAAIELPGGEEVARAVLRKHAPDLPKAVVAGHLIRPNLSRRTFSITARDMQRLKHRIADLSPAGHAAAPPSSFTAVASLAWVSFVHAKHWAGSVFPDDEVYLSFFADCRVRLNPSPGDHYFGVCISGCLARATARDLLAENGVGVAAVLVAEQVRRAMVDPLAGWDWRSTVKEVDKDRAVILSGSNRFSAYEVTDFGWGPPARTELVTMNHDGQVVLVAGKKGGDSDGGMQASVSLHPAHMGMYKSYFLSYFR >Dexi2B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:2B:7796913:7803277:1 gene:Dexi2B01G0007530 transcript:Dexi2B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTGATAIADDRRRRRVLFFPLPYQGHINPMFQLAGVLHSRGFAITVFHTHFNAPDASFHPDYHFVLVSGDVMSPTPADSPDTVQATVEHILAVNRSCEAPFRHLLAVLLARRLWLPVPEQEEEEEDDVACLVADAHLLTLLDVARELGVPTMALRTGSAACFRFFTAFPLLCDKGYLPAHESSSELDAAVVELPPYRVGDLPSARSAAAHVQMGEVISRAVTAVATSTGLILNTFDALEASELASLRRDRDLAGVQVFDVGPLHKLSPATSSSLLHPDRSCLDWLDAQPQRSVLYVSFGSLASMSSSDVEETAWGIAGSSVRFLWVLRPGIVSGAGAPPPLPDVLDAAVAGGRGVVVRWAPQEEVLAHAAVGAFWTHCGWNSTVEAVCAGVPMLCTPCFGDQMGNARYVVDVWRNGLMLAGGEVERGKVADAIAAVMGEGGEEVRRRAMELKSSAAESIGEAGSSSIMVDKLTRPPAATATPAAAAACCSSRSRSRATSTPCSSSPASSTPTASPSPSTSAARHGVMSEVISRLVKAVATSSGLILNTFDALESAELASLRRDLPAAVQVFDVGPLHKLSPSPATSTSLLRQDRRCLDWLDTQAPTSVLYVSFGSLASMSATDIAEAAWGIADSGVPFLWVLRPGIVPGSGAGAPPPLPDGFLAATDGRGVVVGWAPQEEVLEHAAVGAFWTHCGWNSTVEAVCAGVPMLCTPWFGDQMGNARHVADAWRVGVELAGEVKRGKVAEAVAAMVMDGGTRRRARELGRREAGSVAGGASCVNVDKLVRHIMAL >Dexi8B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:8B:26994999:26997425:1 gene:Dexi8B01G0016070 transcript:Dexi8B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRPCFVLVMALAFLLMEGAAMAAAAGGLPEQQRRQVRSLLRRLNKPPVETIQVYPELYGDSYTRLFIYWTRDAYQRTGCYNLLCSGFIQTNNQVSIGASFSPMSIYGGLQYETDILVWKDTKGAGNWWLQVGDEIMGYWPSTIFSYLQISASYVAWGGEVYSPLAGQTSTDMGSGHFSGEGFGKASYMKNLQVVDSFNTLNPPLSGVGLASEQPNCYNVQSGTDSVNWGTYIFYGGPGKNPSCPYSKTN >Dexi2B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:2B:8089811:8094341:-1 gene:Dexi2B01G0007910 transcript:Dexi2B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGASSSSRGLGAVGVDRFYSPPHVRRQQQEEHLQRLKAQRPSSPAAPAGAPTPRSARQQKPLPEPPAAPPKEAERRPDALPSKPSAAKAADAAPVVAPPPPPVDEAGNLERFLSSTTPSVPVQYLPKTSVRGWRIGDATNSPPYFCLGDLWEAFKEWSFYGAGVPLVLNGSDSVMQYYVPYLSAIQLYADPSKLSARTRYPIYRIPTGPTLKDLDACFLTFHYLSTPSKDTDLGTPACPRLGGLNHCMNTAGKLTLPVFGLASYKLRSSIWSSNRPEEQQHAASLMQAADDWLRHRQVYHPDFRFFLTHYNTALR >Dexi7A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:7A:8155698:8159680:-1 gene:Dexi7A01G0002050 transcript:Dexi7A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNAVLILFPGSPMDTALCLAVLSIAFLFLLHSVVNRDGGGKIKAAQRLPPSPPSVPFLGHLHLVKAPFHSTLASLAARHGPVFSLRMGSRRTVVVSSPECAKECFTEHDVALANRPRFASQQLVLFGGAALTTSSYGPYWRNLRRVATVQLLSAHRVGRMSPVISAEVRTMARRMSRDAWNAPGGAARVQLKRRLFEVSLSVLMETIARTKTSSGVEAAGVGTDMSPEADEFKQIVDEVVPYLGTANKWDYLPVLQWFDVFGVRKKLMAAVSRRDAILQRLVDAEQRRLDDGGGVGEKMSMIAVLLSLQKSEPEVYTDTMIMALCANLFGAGTETTSTTTEWAMALLLNHPEVLKKAQAEIDVAVGTSRLISPDDLPRLGYLQCIINETLRLYPAAPLLMPHESSAHCMVGGYDVPRGTMVLVNAYAIHRDPDVWEHPAEFKPERFEGGNADADGRPLLIPFGMGRRKCPGEALALRTVGMVLGTLVQCFDWDRVDGVEVDMAERGGLTIARAVPLEAMCKPRESMRDVLREL >Dexi4B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:4B:18726537:18729801:1 gene:Dexi4B01G0016610 transcript:Dexi4B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHGTLNATILEADHLTNPTRATGGAPGIFRKFVEGFEDSLGLGQGSTRLYATVDIGKARVGRTRVIAGDPVSPRWYEEFHIYCAHFASDVVFSVKAAQAVSATLIGRAYLPVRDLLGGQEIDRWLDVLDAAKKRLPHGPKIRVRLRFHDVAADPRGWGRGLAGGGGARNPGVPYTFFSQRPGCKVTLYQDAHTADAFAPRIPLAGGRLYQQNRCWEDVFDAISNARHLIYITGWSVYTEITLLRDAARPARPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGTGVHCVLCPRNPDAGSSAVMGAQIAYMITHHQKIVVVDDEMPARPSDRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHSKDFHQPNLAGASIGNGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGGNHLLVDLRNMAELIIPPSPVMFPEDQETWNVQLFRSIDGGACYGFPDTPHGAAQSGLVSGKNNVLDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWKADGIKPEEIEALHLIPKELSLKIVSKIEAGEHFAVYVVLPMWPEGPPAGGSVQAILDWQRRTMDMMYYDISVALEAKRIDAHPRDYLTFFCLGNREVKMSGEYEPAGRPVDGTDYARAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPCHLNTKDQVARGQVHGFRMSLWYEHLGMLHDDFLNPGTLECVQRVNEMADKYWDLYSSDNLDADLPGHLLRYPINITKEGTVTELPGAKYFPDTQATVLGMKSKNLPPILTT >Dexi7A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:7A:23672590:23673672:-1 gene:Dexi7A01G0013700 transcript:Dexi7A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGGKSSDKGELARAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFAQLFMEKGSYSHMKKKSTSQEVMPDVAAEEQPPQPAPPQEEKEEDN >Dexi3B01G0021420.1:cds pep primary_assembly:Fonio_CM05836:3B:16251751:16253237:1 gene:Dexi3B01G0021420 transcript:Dexi3B01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKSCKMEGAGSGIDTLPDGVLQHILGFLPARDAVRTCVLARRWRDLWMFATGLRIISNCNDEMGELREFVDHLLLTRGIAPLETFELGFDGVSADDFCEGDVLRVNLWFRHAIRCQTASDYLCVALDLDAITCILKHSPVLERLTLELFRQGSGHKMLMKGSYSPVHTAAAISEHLKIVEVKCEVVDERVYKVLKLLCTFNIRKLINNAIEFFQYL >DexiUA01G0024540.1:cds pep primary_assembly:Fonio_CM05836:UA:51112506:51114145:-1 gene:DexiUA01G0024540 transcript:DexiUA01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSTPSRTLAPAPAHAGLRGGRLPAQAHLPRGALAPRRSRALRVRASVAIEKETPESEPPATFLREDGRGVGSGSVRERFEAMIRRVQGEVCAALEEADGGGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAADAQKAGPVPFFAAGISSMLLVHQDNGGLGVVLT >Dexi3B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:3B:9038206:9040397:1 gene:Dexi3B01G0012880 transcript:Dexi3B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSPHPRPGTTLALFASTSPTAAVAGLLQLAGGRIPLFPFLWADLRLSTACERWRTEGRGRAAGQLWRRPASGAAYRLLSRPIGCFPSPLTSSQPCTGSRSRMGVDIHEIFVKKSRLRVVLSYIGIVFLLVNVSQPLLAKESLSLGSVWNITFAVLVAKCFQYKPVKKALHFLLSFPVSVCRVSSDYASFWGSGGDSFLEVCIILILLCVSTILSNPVMVE >Dexi3A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:3A:6241565:6243537:-1 gene:Dexi3A01G0009000 transcript:Dexi3A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGTWNVGGRAPHGGLDLSDWLVDGPAASSPHIYVLGFQEIVPLNAGNVLGAEDKGPASQWLDLIRRALNPSSSSSPESRRSHGLFHSDGRVSFSDLLAAEDSRMSTASEPDDDASEPSTSNPESSSEEEEDAGDFGRRRGQHGYRLAASKQMVGIFLCVWVRADLLPCVTGLRVSCVGRGIMGYMGNKGSISVSLTLRGGAALCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFTQQQSIRPLRFPRQPAALSPETILEHDKVIWLGDLNYRLTSNGGGGETRELLERKDWPALLERDQLRTEQRAGRVFAGWEEGRIRFPPTYKYLADSDAYAMSLGSSGSREKKRTPAW >Dexi7A01G0022660.1:cds pep primary_assembly:Fonio_CM05836:7A:30821663:30822652:-1 gene:Dexi7A01G0022660 transcript:Dexi7A01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASIGQPPPPAAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHDVTMHADSETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLV >Dexi9B01G0022890.1:cds pep primary_assembly:Fonio_CM05836:9B:17783923:17787729:1 gene:Dexi9B01G0022890 transcript:Dexi9B01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGMAKMQSSSKEQEVHKVANKFHEQSLLKQGAVDEEDSGDTTSSAGENRYPGWPGTSVFRMLIPSHKVGAIIGHKGERVRRLCEETKACVRIIGGQLCAAEQAVIIFGKEQPDEPLPPAMDALLRVYQYTIKDDVLDAGSNSTIIIRILTPSEQAASLIGEQGAMISSIMQASQTNIRVIGNFLTLMYLLPHFAVLFPSDINGDLPPVALEEDRVIEIWGLPAGVHKALELVASHLRKYLVDRSVIPLFDRHVPMQTSHMDIPLCHYNDHPDGPVHAVSPGYHSVCAEDFQCEPWIDTSYSRVRHPIKNVLHADTSEPRWEAPRRYRSVTPPKHGRSAYGPEASSPMEPYHSAPMELHSHCTLITSPTASVERVRSLISVYGQQAHPRRQTYQSAEMGKQPHLKKSLHGSEAHPTRVSPDATELHSNPGISACEREASPPFRVHPPTTVGNLLHCRVSACGPKAPSHQAAPSLTSRSAAVASQVKKKMQVPIFYAEAVIGPSGERIEYIRRASRSSILINDSLEGIMSIEITGSAATDVLTAEQLIKADS >Dexi3A01G0034780.1:cds pep primary_assembly:Fonio_CM05836:3A:40057082:40057557:-1 gene:Dexi3A01G0034780 transcript:Dexi3A01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRPLASLPPPSSKKPSSKKPGSSMKLTGNPSRLSNSQAPLKTDILLAQFGNYTEMFLGDSTSDSLKGNSSPQTSVKVVSPNKKRVSPPRMGTGLSPICKSGRKLILKSIPSFPSLGGDLNNEDPKSSPAP >Dexi9A01G0031310.1:cds pep primary_assembly:Fonio_CM05836:9A:36260486:36260884:1 gene:Dexi9A01G0031310 transcript:Dexi9A01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLRLLVLLVAVAAAASSVVPARAALGGDGGPGLLVGGWRAISDVSDPHIQELGGWALGQAKQQRLCGQGLTFRRVVSGQQQVVAGMNYRLYVDAADARGRSTPYEALVFEQLWTNTRELTSFKLAPRGH >Dexi4B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:4B:10309324:10310675:1 gene:Dexi4B01G0012510 transcript:Dexi4B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSVILSRVVRVGPGPGIAATPDFTLSVALAPGLAVLTAGRGAQPNPESPDKYPYIIAAGSGCLLAHFSVAPFTGTLFANAPVDSNLVARAAAERIEPRTGRFPILWNIGSVALATNDGGEYTIAELQADTGTDRATIVYLRSSGRTGWAGKRVTYPLAAHHDRDWIPNGAAYADGALWWFDLSWGIISYDYDASLPVLRPDSGVDLVFHPLPDALALAHATVHDMPDLEIKHCVTASRNKLRYVEIITDEGQAAASRVCMWSRSHSPDGDGWQWDARYAESFEDIWDDDSYMATGLPRNVPVLVVVSPSDPNLVYFALEQNIFGVNVPEHRVVSHQADALVDMPWLPRPLCGRFVVAWDLPPAVAQAL >Dexi3B01G0021350.1:cds pep primary_assembly:Fonio_CM05836:3B:16210227:16211168:-1 gene:Dexi3B01G0021350 transcript:Dexi3B01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQVQQMVRFILQEADEKASEITVAAEEEFNIEKLQLVESEKRKVRQEYERKEKQVDVRRKIEYSTELNAARIKLLQAQDDVVTGMRESSGEALIRITKDANTYKRILKGLIVQGLLRLREPALVLRCREADRSLVEAVLEVAKKEYAEKAKVNLPKIIIDGKVYLPPQRSNRDAHGPSWYASPAASIHR >Dexi5B01G0028060.1:cds pep primary_assembly:Fonio_CM05836:5B:29546355:29549038:-1 gene:Dexi5B01G0028060 transcript:Dexi5B01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGATMSWNVTRCAVALEEAGAEYEIVPINFATAEHKSPEHLARNPFGQVPALQDGDLYIFESRAICKYAARKNKPELLKEGSLAESAMVDVWMEVEANQYTSLLNPILFECLVKPMLGGTTDQKVVQENLEKLKKVLEVYEARLTKFKYLAGDFLSLADLNHVSATLCLFATPHASVFDGYPHVKAWWSGLMARPSVQKVAALMKPSA >Dexi8B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:8B:18672005:18672328:1 gene:Dexi8B01G0010220 transcript:Dexi8B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARLVSVLQESQKAPRRNSYVAVLAIGTANPANFMLQEDYADWYFRVTKSDHLTALKNKMKRICDKSGVKKR >Dexi2A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:2A:11986379:11986768:-1 gene:Dexi2A01G0010730 transcript:Dexi2A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLPKLAALLTDEYKLHRSLRGQIMFLKAELETMQLALERVSEAPVMEKQVRIWARDVRELSYDIEDSIALSLLTTANLRHKIATDITGIMALVSELASRRDR >Dexi4A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:4A:15002995:15003958:1 gene:Dexi4A01G0013920 transcript:Dexi4A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYLVRSPLANTIHLTDVNDLASRLVIVCRKAAEGFTTTADNNVDAEIDSEICINSVQNLDESKKEVQKATVSQLGNVTNIDQQIPVDSGPYCSDAQEDYRPASPGTRALLCDEQDLTFGTAYRSSISVALHDQDISELHAVQENAVLREFRNCLQLIIARGRANGEMTF >Dexi5B01G0030260.1:cds pep primary_assembly:Fonio_CM05836:5B:31224653:31226417:-1 gene:Dexi5B01G0030260 transcript:Dexi5B01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYGDFFEKAKPYIAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYVGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMLMTLYKGPLMKMAWSSHVQPHGHGGAEAPVAVIDGREWFLGSLFVIIATLAWASLFILQAHTLKHYAAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAIMGSFILSEKIFLGGVLGAVLIVMGLYSVLWGKHKETQEKEEEEAMELPVASKTNGLYDDATFIKEMAVVATTAAIGDDSECKKVNGVKSSSDGHGAGAV >Dexi2A01G0036420.1:cds pep primary_assembly:Fonio_CM05836:2A:45976692:45981093:-1 gene:Dexi2A01G0036420 transcript:Dexi2A01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIGGGAARQVTPPPPPLCCLISTLSGAAPTSLDFGRRRAIQDAKRFIVSQVHWKSYCKTLSLLAFQSFGVVYGDLSTSPLYVYRNSLSGRLNGYLDETTIFGLFSLIFWTFTLVPLLKYVLIVLSADDNGEVLSAISGLQDPATSGLADGWILFIACVVLVGLFALQHRGTHRVAFMFAPIVMLWLFTIGAIGVYNIIHWNPRILVALSPHYIVKFFKTTGRDGWMSLGGVLLAITGTEAMFADLGHFTAASIRLAFVGVIYPCLVLQYMGQAAFLSKNIAAVNSSFYLSIPSLACITVMFITTCLMSLVIIFVWQKNILISLLFLLFFGSLEAAYLSSAVMKVPQGGWAPVALALVFMSVMYVWHYGTRRKYLFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPADERYLIGRIGPREYRLYRCIVRYGYKDVQKDDENFENHLVMSIARFIQMEAEESASSASYESSTEGRMAVVHTTDTAGTGLVVRDPGDDAGASASLTRSSKSETLRSLQSIYELESAGSVSRRRRVRFQIDEEERIDPRVRDELSDLLEAKEAGVAYIIGHSYVKARKNSNFLKTFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Dexi3A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:3A:2171235:2173583:1 gene:Dexi3A01G0003350 transcript:Dexi3A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFLSCSYSSHSPFMHARMRMLLAEQRQMPRHVAASLEVRRATAIAHRLRTSGAPCHLEEADDQLLCYEARARAESPLCNTARGVEHHPVAVCMHRVTIDRPVHLAMEYLSAHK >Dexi3A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:3A:6144146:6145800:1 gene:Dexi3A01G0008860 transcript:Dexi3A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRCRLVDPSGLSYTRSETPADPSIYYYSAKKRARRRPAADPSAASTHDHDNLDVLDASQGETTEEWREWTSLEPGIVDDIAGRLLSLDVSEYLRLRASCKYWRTCTADPHVHGGGLDSRFRPRNWIAMSHCSSSSSPSHPTTTITLLNVATGARFDVDLSDLFSTHHLHSGSSADGLLVLRDKATNAIHLLNPLTRALVEFPPITDVEALEVPPGAYSITFRANFNLEVGSTKVHVPSPPPIKGAGMDDATSPPTLVLCLRKKLSHVVVAKPGVDAHWVSVHHGEQQVDLLTGLGRILFQSLLSLKGRCYFTTARGDVMTLDLGPMTVDRWGWSAKKKPCMVYLLREMALADRVKAMSYLVRSHDDRTMLMVRYSFSHHGGLTITDNGDGNNPVKTFISKGAPSRMEVFKMDIGDGRGRLIPLQGIGSNAAVFVGDTHSIMLSTVKFPKIAANTVYMNYLWQRVRLFGTYRFEDGKTTPPRDLRRYKEKAKNLGFWKSKMLWEDHAAKRSPQIAAQH >Dexi8B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:8B:401630:403552:1 gene:Dexi8B01G0000580 transcript:Dexi8B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPFVVVSSNGCRPYPQRHRRFPGNPRPSALPPLPSLRTIPPTGPLPRLLRRRNVSATYGDDDMDDDFGDFDADDADGVGDDDDMDNEQDYDVDYDRLLAPVKPPLPSSLHGEEGDIAMVAADSFVSTQDSASDTVVDYAVDEDEFHKIRLLHCDFLIRKVPDPDDDMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKDFGRYNVTEPPVEHLRDPLYKTEREIMKAC >Dexi6B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:6B:22169220:22170260:1 gene:Dexi6B01G0014680 transcript:Dexi6B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTEVDVDLSPYLIRYKSGRVRRLISSPRVAAGPDATTGVTSSDVAIDSTLAARVFVPSDVLGTPQTLPLLVYFHGGAFALHSAFAAAHTRLLNALVSAARVVAVSVEYRLAPEHPVPAAYDDAWAALCWALSSCARSRPEVVPWLSAHGDVARLFVAGDSAGANMAHHVALRAGRGGGLPGGARIEGIALLHPYFDGEEPLPSESMDPGPLDRQWRERWWALVCAGRYGLDHPFINPLVIPAAEWASLDCRLVMVTVGELDPTSDRGRRYVEALRGSAWRGEEAVLYEDHGEGHVFFLRKTKGRDKAKEDMIAAVASFMASSSSANARFCPSVRSLGSPGAKL >Dexi5A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:5A:5203989:5207126:1 gene:Dexi5A01G0006990 transcript:Dexi5A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPARAHVLLVSAPLQGHVNPLLVLGRRLASRGLLVTFSTIPHAGLKFTHADGETTTDIAGRGMLRFEHLQGGDLWPPHDPRYVASGGDTLLRHLEDAAPAALAGLIRRQSDAGRPVAFVVASAFVPWARRVAAGEGVRHALLWTESCSVLSLFYHFFHSLAGTFPSDMAAPVVGVPGMPAMAAGDLPVLIHAPEQFIWRQVLVAELNSLRDNTLSWLLVNTFDELEHAAIEALRAHLPTITPVGPLFDPEDEHGGGHGDDDEDYMQWLDAQPPRSVVFVAFGSLVKLEPDEVTELVAGLAATGRPFLLVVRDDDDNRTAIAGDSGSKGKVVAWCSQGRVLSHGAVGCFVTHCGWNSTVEALASGVPVVTFPAWADQPTNAKFLESVYGVGVRLPRPMARDAVSRCVEEVMSGPEAAVMRARAGRWKAEASAALSVAFFTVRFLFMSMSTVPSWVYTSATSAMACSGDDLWRRLQLSFAEDPLVEYHILD >Dexi6A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:6A:6901641:6903927:1 gene:Dexi6A01G0007060 transcript:Dexi6A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGLRRPCRLKWVSRLIGLDRMEWRHASVRDFFGRWMEGWLKVNVDGAFSEETGEGGIGVIVRDCLGANAATAEEGEVLACKEGMRLVVEWGQQSTILETESRSGPLSTLLRPIIELQLRPLPLLKTQTDRDPSLPARKTVDGRLDRRLLLAAAPHILPLLAAAPHILLAAAAWSRSLPASSGNFPPSSRSRIRSLRGGRAADPVQSADSSVLTPHCPLLHGVEFSPCAGKGV >Dexi9B01G0036670.1:cds pep primary_assembly:Fonio_CM05836:9B:38161561:38163795:-1 gene:Dexi9B01G0036670 transcript:Dexi9B01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKPLTLEKYHSFFLDPWATNISIDQLNEILFMHGFIKLHHGRKGRIMECLVGQVDLLPPHRSTLHREALSAASASPPSAATRVPQPPEFVLAGRRPRSKRTRGSAHVAASKEVQAGAPRKKANVIVKEEREPEPSSLPPPPPPPPPPPLWTRSPTPPPPSSPPHPPPAPCRPQPTLAPIPVSPACWGTPTVQPHPSQLFWGLPLPILPGPVCCRHCRRMCCRGGARPPRRQQRTRSSTTCSDHHLPTCCCYPGHHRICRGSGRLLRCLRHHRLLPCHRTICRRISTGRIDLLLSFRHHHHLPCRHHRLPCHNTIHRRISTGSIDFLLSFRHHHLPCWCTARRRTFRGSSRILRCIRHHSLQATWVFWADRCAQFFDCRQV >Dexi9A01G0033780.1:cds pep primary_assembly:Fonio_CM05836:9A:38619315:38620474:1 gene:Dexi9A01G0033780 transcript:Dexi9A01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVATRVPLPLHAAGRPILLSAVTRRRGGFAFISAPSSSGGGAGGRFSAGGGGGGGGDDDSGAGAAAVAAAVAALGEAEPSDGDADAIVLHVGGMSCGGCAAKVKRILENQPEVAAATVHVETATAVVWTTPEAKATKDWQKQLGEKLANHLTACGFQSHLQ >Dexi5A01G0031750.1:cds pep primary_assembly:Fonio_CM05836:5A:34378201:34382381:1 gene:Dexi5A01G0031750 transcript:Dexi5A01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGERRSPPQDGITAEGSSRAQSPGAAAAGAGAGRLPPPPGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVAGMRPGGRMTRMQSSAQMGLRGLRFLDKTSGGKEGWKAVERRFDEMTKGSGRLQKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGINKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEKLKRTTSSLARTMIPSRYRSPLKRHLSKTVDFIHENWKRIWLVTLWLVVNLILFVYKFEQYKRRSAFQVMGYCVCVAKGAAETLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVMALSIAIATAIHTLAHVTCDFPRLISYPTDKFMATLGSNFHYKQPTYPDLLESIPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYILLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSILKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAQVTSKKATLTRLETTVVADAQIEDTRFPRVYIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDMLNNLKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKELSKEFSQTTTTRFHFHKENF >Dexi4B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:4B:14308256:14308583:-1 gene:Dexi4B01G0013970 transcript:Dexi4B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVILRSRVLARAVSSSLRHSLLGTHPHPPPSPLAASSHPSAVHRLPSMCGGLLSMMPLHSATTAARLRSAISAESHSWGVVPQ >Dexi8B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:8B:21436353:21437608:1 gene:Dexi8B01G0012200 transcript:Dexi8B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGELLVLASLAIAFVLHGGVAAAGECGEVSCGMGSCVESGDYVFGFACQCKPGWSRYHLGELQFPFLPCVIPNCTINYKCQGRSSPTPAPPSPPPATNFSIFDPCLMQYCGDGGDCEKASEFTHRCACRDGYANLLNDTSYPCYRQCSLGSDCKGLGIVVMDGSTPSSSPPAPFSVTVKNSGDGAAGAPADRLLELLLLVSFLWVQAI >Dexi7B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:7B:17186500:17189455:-1 gene:Dexi7B01G0009650 transcript:Dexi7B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEEKGKRARTDGAEEDGAEPIDRALLQSIEKLQEIQDEIEKVNEEASEKVLEVEQKYNEIRKPVYVRRNEIIQKIPDFWLTAFLSHPMLGELLTEDDQKIFKHLESIFVDESEDIKSGCSITLTFASNPYFEDKKLTKMYSMSDDGTITVKATSIKWKSGMDIVNGKTCAEKSNKRLLLDESFFAWFTDTENESLTHGEMDQIADVIKEDLWPNPLKYFNNEQEDEFEEEDDEEGSDDEDAGDEDGEDEEET >Dexi3B01G0024860.1:cds pep primary_assembly:Fonio_CM05836:3B:19524942:19528658:1 gene:Dexi3B01G0024860 transcript:Dexi3B01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFSVPRGFLGVPAQDSHFAPAAELHVHKQLQVRPNKPRRRPACVSASLSEREAEYYSQRPPTPLLDTINYPVHMKNLSVKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGPGSSLFEELGLYYIGPVDGHNIDDLITILNDVKSTNTTGPVLIHVITEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPSRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGTPIEVGRGRILREGDRVALLGYGSAVQYCLAAASLVESHGLKVTVADGRFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHMDLTFGFVQWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNILGQNREALGIMAAPNA >Dexi9B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:9B:8472117:8473358:1 gene:Dexi9B01G0012610 transcript:Dexi9B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHFPAASSFCVCTTQSAHFEAEILLQQAIETDLEDIDTSSGSSSNNNNHSQHLHYPPTCRPMISRVVLPEPPPPSCANWNGNQVAASPALQPKPVRPPAKQKQGHLRPERRRGPGEFIEATDKLATLFCCCFAGAIRASGHGPMASVSSPAIAPWPRVMVRAREGKQFLPPEQIGVEASQRESSAGLRLLTTETKDGTSCISPSHHPHKTTETPPPTQQSLCPNSNRIP >Dexi3B01G0027150.1:cds pep primary_assembly:Fonio_CM05836:3B:22673843:22674457:-1 gene:Dexi3B01G0027150 transcript:Dexi3B01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGSFILNHPEATAPAPAVVEDTGSHWAPHGAALTAFVVGINVLMIALIFFFFWRFFSGKRGDPESADDEDGGALPVASPWASRHRRREAPRATTKPRLLDVASALPVYVYDSAAAGAGDEGGKAEECAVCIVELRDGDSARRLPRCGHRFHADCVGAWLRLHATCPVCRAGVVLGPAAAAAGGEASNAKDDGAAGAANCPV >Dexi7B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:7B:15331714:15334047:1 gene:Dexi7B01G0007440 transcript:Dexi7B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTLNVWKRILGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSTRPPGRPFGSGPPGDRPRGPPRFEDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRL >Dexi1B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:1B:1977530:1978741:1 gene:Dexi1B01G0002430 transcript:Dexi1B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKTKTSTPAEPIPELSNEILMDILVRLPVKSLLRCKAVCKAWRAVVTDPLFVRAHLQCSAARCEQNPTLVVTPHTLDSVIPYEDWPTTFSNNISFYQWQQGARMATFMHAKDFGSALRSARYFAHCDGLVLAPTDTKLYLFNPATRESITLPDSGRSCKLTRGEAARCCCAGLGRDSRSGEYKVVRAFYRSMDHDTTMGTDMGMEVFTVSGNCGGAWREIMDHLPYPAANWHTAVTVNGFLYWRVDGNHDKHPPWGLLHLSLADEKFGITMLPDSVDPVFPNSFSLDELHGELCVGELTSEETVIIWTMPIQDEGQGLYWEQRCIVRLSGLFHPVAFLPGDRIMLSTGYSINIFDMATSKITAKYKMDRMKYQGRRARTWKNLFIFNIHRYTESLVPIRV >Dexi3B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:3B:3316016:3320500:-1 gene:Dexi3B01G0005000 transcript:Dexi3B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIQAALKRANVDPALVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAITSAGLESSRVDFYEINEAFAAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKVGVAGVCNGGGGASALVLELA >Dexi6B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:6B:2390836:2395405:-1 gene:Dexi6B01G0002860 transcript:Dexi6B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSRNMPTTAAMIGGLHSYATSFGQNMMDGQHQLAVMQQQHQNHVQQQAATSESDARGQRHDELMMIESKSGSDNIEGGAGSGSGGEELQEDLSLQRPRKKRYHRHTQHQIQELEAFFKEFPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERHENTQLRAENDKLRAENARYKEALANAACPNCGGPATAVIGEMSFDEHHLRIENARLHDEIDRISAIAAKYVGKPINGLVPNSSNISSIAAPYPPQLSSHSLISGGAADMFGGLHHRGVTAAFDKPLVIELAVAAMEELIRMAQQGEPLWVPALVDGTATEALNEEEYARTFPRGVGPKSPELRSEASRDTVVVIMNHVNLVEMLMDVNQWSTLFSSIVSRAATLEVLSTGVAGNYNGALQLMTAEFQMPSPLVPTRESHFVRYCKQHTDGSWAVVDVSLDGLRAGGATAVRGRRRPSGCLIREMPNGYSRVTWVEHVEADDAMVHDLYRPLVSSGLAFGARRWAAALERQCERLASAMASGVPAASPAGGDAAGVVTSAEGRRSMLRLAERMVASFCGGVTASTTHQWTTLSGSGPEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVSPARVFSFLRDDATRSEWDILSNGGDVQEMAHIANGRDHGNAVSLLRVNILVDSVPTAKLSLGSVATVNSLIACTVERIKAALAADITAAGGGGPRCPPYGDE >Dexi4A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:4A:21202285:21205563:-1 gene:Dexi4A01G0017480 transcript:Dexi4A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGHYLSATAKMWASTHNATLAGNMAAVVDALHECQLAAGDTGYLSAFPAEFFDRFEAIQPGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVIRRYTIERHWTSLNEETGGMNDVLYQLYTITADSLSNFHANTHIPIVVGGQMRYEVTGDPLYKRLAGALTTETEESCTTYNMLKVSRHLFRWTKEVAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIVQFIPSTFNWRTAGLTVTQELNPPSSSDQYLQVSLSVSAKTNGQYATLNVRIPSWASLNGAKATLNGKDLELASPVLFGPFLLAGLTTGDWDANTGGGATAAASDWITPISPDSNSQLVTLVQESDGGKAFVLSAMNGSLRMQERPKDSGGSDEAVHATFRLISQGSVVSVSGAATNATFSAMMLEPFDMPGMVVTDKLTVSADKSSGALLDVVPGLDGSQGSVSLELRARPGCFLVAGGEEVLVGCGGVRKRGGGGDGDGGVGFRRAASFARAEPMRRYHPMSFAARGVRRNFLLEPLFTLRDEFYTIYFNLGA >Dexi4B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:4B:8621315:8621587:-1 gene:Dexi4B01G0011210 transcript:Dexi4B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVVGAGRAPTGLAGERGELTGSPDDEPPLPLIAPPVCYRTCGNGRCDYCCLSPYTPTFCWPTAGQCSHKCHRPRAVVRRAVPTTTTS >Dexi7A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:7A:28171767:28173404:1 gene:Dexi7A01G0018880 transcript:Dexi7A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSRSKSKRRRRATTLTTGASTGGGGADLISDLSDELLVRILELLPKARDAVRTAALSRRWRGLWTRVPSLRFVSHPRGRRDFGNPISPCQFAAFVDRTLALRATQKEPPLAHLAISFDIFEFEQEEEEDLDVPLYIQATQRWIHHAIQHGVRSLVFTLDLPWPADEEGGDDDNNYYVGNPVITLDDLISSANLETMHLDFNHATLRLPSTAVFASLADLSIENIEIEDDSGVQHLTRLVSSACCPRLRKLRLVEVVFPMEETFLIDAGALLELSMEVIDELQFLELKTPSLRVLHMDGCYELEALTR >Dexi3B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:3B:11058014:11059110:-1 gene:Dexi3B01G0015250 transcript:Dexi3B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKKQVQGHQPHQPQGVACSAGLSEKEIERRRKIGAANKGQVPWTKGRKWSEEHKKLISQRTTEALRDPKVRKKMLGHRQLHRQESKDKISSALRKIWERRIVSVRSRHKVMQIWSNSIAEAAKRGDHSQDNLDWDSYERIKSKMISMFLWNNERAQTIKKLKKAVAKIAAQKFQAAGIRAKVATKKLQAAGRRKAQAAGTKKVKPEKILLQKPDAQLTRVVVSARPKLKERLTKVIAIPYNPPPPGLILCNINVMLL >Dexi9B01G0022480.1:cds pep primary_assembly:Fonio_CM05836:9B:17139533:17140778:-1 gene:Dexi9B01G0022480 transcript:Dexi9B01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRSHVVVSTLILALLAMHVRGPAGGWTMCDTAKCGRGRCSEVQGPITTYKCTCDPGWSQPSLLNLTLDFAPCIIPHCTFDSSCYNVSLLPKAIPLPPDIDPCVAVNCGPGECKRGEGLSYSCKCQDGYVNFLNSTSFPCVKNCVFGMDCSKLGIGPPSPPPPPSTAPLPPPGNHDSPAPPNGPKGNAPSLLGSASSRQLLLLLLLSFAMVHQLV >Dexi3B01G0026620.1:cds pep primary_assembly:Fonio_CM05836:3B:21989320:21990828:-1 gene:Dexi3B01G0026620 transcript:Dexi3B01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISAFACVVSALIVLLATACPALGYVPNGGPATQRTRAAARTYIVLVDPPPAASLQHSDGDEAAHRLWHESFLLPAVSSAGVQRRVRHSYTSVVSGFAARLTAGELAAVSRKPGFVRAFPERRLRPMTTRTPAFLGLEPGRGVWNLTSYGEGAIIGFLDTGIDENHPSFRDMGMPPPPSRWKGSCQPPVRCNNKLIGAASFVGDNTTADDVGHGTHTTGTAAGRFVEGASAFGPVPAGNNTTAAGMAPGAHVAVYKVCDAQGCFESDLLAGMDAAVKDGVDVLSVSLGGVSAPLDRDPIAIGAFAAASKGVLVVCAGGNSGPLPSTLSNEAPWILTVAAGSVDRSFRATVRLGDGEVFQGESLTQDKRFGSKVYPLYYSQGMNYCDYFDANITGMMVVCDTETPVPPMSSIEAVREAGGAGIVFVNEPDFGYTIVVTAVDGTKIMGYAMKGTSTTNHTSTIVFDSTVVGVKPAPVVGRSRRVGPALPALA >Dexi7B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:7B:12310908:12320788:-1 gene:Dexi7B01G0005080 transcript:Dexi7B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAAADVAPETVGQAVIPLVNSLQDIIARLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAPEEWGEFLHLPGRRFHDFEQIKREIQLETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRTMIMQYIKHPSCIILAVTPANADLANSDALQLARLADPDGSRAIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFNRSVKDALAFEEKFFSTLPAYHGLAHCCGVPQLAKKLNMILLKHIAYMLPGLKTRINAQLVAVAKEHAAYGDTVESTQEIDPCKSITDEDIRTTIQNSGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKISHGCLTSELQKFPILKKRMSEVVSNFLRDGLRPAETMITHIIEMEASWYGCRLRDCLNVFYAIILLANTGTNLTGGGQMGNSQVGGSSSSKLSSIIQLKEPPITLKPSETEQDATEVAIVKLLIKSYYDIVRKSIEDAVPKAVMHFLVNHTKRDLHNFLIRKLYRENLLNELMRETDEVIIRRQHIQETLEVLEQAHRTLEEFPLEAEKIEKGYNLAEHATGLPKIHRSSDGDIPNDIYSSNGYGTHQAFHMVI >Dexi2B01G0031440.1:cds pep primary_assembly:Fonio_CM05836:2B:39597322:39597906:-1 gene:Dexi2B01G0031440 transcript:Dexi2B01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHAATAPCPDRIVGDAGQAFAIGAVGGSFFHFAKGLRNSPSGARFAGGLQAMRMNVPRVAGSFAVWGGMYSACDCALVYVWQKEDPWNSILSGAAASGILSLRQGFRAVARSSMYGALLFALISGAGVMVQNSQPRSMSADVPAITPVDRSPGGGWVSGLFTKRKVEEGVTNSSSKTNFRDSQGAKHASTIV >Dexi7A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:7A:22161729:22163064:-1 gene:Dexi7A01G0011760 transcript:Dexi7A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLVHADDLYSARFSKSGSFKWLLHTLPRSSSADVHRKTQGSAPGRCPSLVELCVAKVCEDMNRYSDLSLLPRDLTQQIFNELVECGCLTGASLGAFRDCDLQA >Dexi5B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:5B:7923305:7924058:1 gene:Dexi5B01G0011190 transcript:Dexi5B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVIKADLVDIKFKSKILAVVSKLQGIKSLDIDAEKCTLTVVGTVDPVCIVMRLKKKCFAASIVSVEDDKPKKKEPCKEACEKLCKEKCDKISCCKECKDKCEKDCKDRCEKACDAWLGKGCCSGGCCKPSPVCSYDPCPAPSYPYYGSYGCPSNYPAYYACYEGRSPDGACAIQ >Dexi3B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:3B:2814610:2816690:-1 gene:Dexi3B01G0004070 transcript:Dexi3B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHAAVADSGEHHGRRRLADGGGGGGAAGRHQQPPQPVMMETATATASSSSAAALLRSRQARETSAMVAALARVVSGAAPPAKTPLQGAAAVQEASTAEEPWWPSDELVAEPSSAFVLDGYVTAQQQQPEQYWPVAAAAAAAAAAATEAANSSHPQHRAADEEQLASPSQSSGGTASRKRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDGAALRFRGSRAKLNFPESATLPDPSPHAPPPPPPRPDALLESQPPPAAGGLEPYAEYARLLQSPAAAGGSIGTAPPPPPPAAAAYSFAAEGDTPLGYLSSPALSRGGDPGGGNPAAAAWASRYYYGSNPPWRWDQSG >Dexi7B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:7B:25829565:25830544:1 gene:Dexi7B01G0020550 transcript:Dexi7B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHDEITLLGLWASPFVIRARIVLNLKGLAYRYTDEDLFSKSELLLRSNPVHNKVPVLIHDGKPICESNIIVFGTWLRVSTGRTNEERVEAARQVVAALQTLEKEAFKEEEAPVLFAGDTSSVLGLVDVVLGSLLGWLHATEAICGVKVIDGAKMPVLAAWAERFQDLDCVKGLIPDAKRLVEYNMVRRARKGLPMLLPYVEWQEK >Dexi3A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:3A:13797586:13798336:-1 gene:Dexi3A01G0018120 transcript:Dexi3A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIHGAEAIMVAVIFLAAVLPSHASTTVDEPANYYKPTAPAPPPPAPYSSPPPQVQPVIVVHGVIYCKYCRLRGYNSGMEAVYLRSSPTPLCAKPFLPSNPKLGLTLVRDRKATPPRGARGVFHPRPEALMYTPGTGAKCPPPY >Dexi3B01G0031270.1:cds pep primary_assembly:Fonio_CM05836:3B:32396172:32396381:-1 gene:Dexi3B01G0031270 transcript:Dexi3B01G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGHRRSACHQRRRLLPSVDAAPAASVIACCRPHMWLNSSFRRRSAVVLPQLLTPPPLLTDGLSSSNQ >Dexi7B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:7B:15395494:15396107:-1 gene:Dexi7B01G0007580 transcript:Dexi7B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPETESVVWREDAGRFETPDGEAFLQYRLPSPAAMDMVHTYVPRSKRGQGLAARLCDAAFAHARGRGMRVVPTCSYISDTYLPRNPSLEELVYKDQDPHPKPSSM >Dexi1A01G0023220.1:cds pep primary_assembly:Fonio_CM05836:1A:29877844:29882021:1 gene:Dexi1A01G0023220 transcript:Dexi1A01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPGSGGGPSSTTGKVCNFWRAGRCNRFPCPYLHSELPEAAAPPKRPSGPGGNVWRNPSTGGRGGGGHNRWGRGPGGGSGVANHKPPERPCKYFLAGTDCSYGERCRYPHSYCISDSITMLTPLKGHEKGVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVITMGREVGCMISEGPWLFIGIPDAVKVWNMQTAAEMNLTGPTGQVYALAVASELLFAATQDGRILAWRFSATTNCFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRVCLGSYGEWKLRSNVYTQRGAGTRPTLVHSSLHHGALSLSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQMGPGGLFFTGDGTGELKVWQWIDGAQT >DexiUA01G0006810.1:cds pep primary_assembly:Fonio_CM05836:UA:13092506:13092851:1 gene:DexiUA01G0006810 transcript:DexiUA01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASEEVEEERREKEADPVAPAAPERQRSVDSSAVDDKRKKIEETISFLGSWRRKTSEMLQAARRGHVTGGFELRFLEFIEGELSFIIVYASP >Dexi1A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:1A:20061700:20063538:1 gene:Dexi1A01G0014060 transcript:Dexi1A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHQQHMAPPSRGSVNGFPHRKLEKESSGRHDNKTNLVRSSSGGLSGAGVVLKMAQVIKDGSPKGQRYAADIIKKPETMILPARELVQVFAKDVALGGDELPKGPGHDKRKDLLIDSAISRPHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKSFTNVPSSTHHVGSFNRTVNIDPKDSLACSSTMVQNNFYINVTN >Dexi6A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:6A:17632804:17635486:1 gene:Dexi6A01G0011710 transcript:Dexi6A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQYAAHAARALLAVLPAPASPHRRATCASLTLRRPGRAVTPVRAAEPSSPPAAAPAAQPTGASAGKAIVPDDEFSLAKVSFGVIGLGVGISLLSYGFGSYFNLLPGSEWSALLLTYGFPLTIIGMALKARALYNYVHYAELKPVPCITYADALALREKCATPIQKQVRSDVTRFRYGDEQHLDEALQRIFQYGLGGGIPRRNAPILQKIREEVIWGFLIKFWVTEDGKYSLVLVFEAKALELSDFEKRQVRVIFTGPNH >Dexi3B01G0037820.1:cds pep primary_assembly:Fonio_CM05836:3B:40672915:40676923:-1 gene:Dexi3B01G0037820 transcript:Dexi3B01G0037820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRALMRRKQVDSDRARPGGSSHQLRKELSITQLVAIGVGSTIGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLAIFFGGPDSLPWILARHEIPWLDVVVDPCAAFLVFLVTGLLCVGIKESSFVQGVVTVLNCFVLLFVIIAGGYIGFQTGWVGYKVSGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSICCTLYMLVSVVIVGLVPYFAMDPDTPISSAFAKHGIHWAMYLVTSGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVHKTTQVPVKSTIVTGICAASLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLHASFRLSQENDEEKLRDTLGDGDHEQGTSEISDVVVVESVMDPLIEKQLYASKMDETKRRKTAACSIASVCIGVLILTMSASATFLPFLVRCFVCAFGGLLLLTGLGVLCWIDQDGGRHSFGHSGGFICPFVPLLPVMCILINTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQANEIYGSPSSSGFVA >DexiUA01G0010070.1:cds pep primary_assembly:Fonio_CM05836:UA:19898141:19899664:-1 gene:DexiUA01G0010070 transcript:DexiUA01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLKNFLSGKKDRPHAGEVAAAAPPSAGATKEKRWSFRRPGQEGKAETTAPAADIVEFDQKKDAVAVAVATAAAADAALASAHAAAAVARLSSRRAQLPASVAEEEAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLMAQSHLRAQRMRISIHDHHHHHHHPPRPQQSPQHPRHRRSYEMDRSCEENAKIVEMDIGEPPARRNKDRQLLLVEHHGGRCSSAMTELSPRAYSGHFDELSVATARSSPQHASESCPSYMANTESSRAKARSQSAPRQRTDALERQPSRRKGTPPRSARMQRSSSLAGQTSPWSAAGIKLDVSSASLKDSECGSTSPVLTAATVYSRTRSLVGFEVRRALY >Dexi6A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:6A:3610321:3612327:1 gene:Dexi6A01G0003930 transcript:Dexi6A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPAMDPELLMAASHGQHRHLTRLIAREDQVAAPSSSERPTSVVVEIDGGAVTTSSPASIMLEGVTPDGDSALHVIAASGDVDRYLESAKVIHGKAGHLLATRNRGGRTPLHRAARAGNVEMLSLLIHLAAGDVGDGGEGGGGETRLETILRMTNGVGETALHEAIRVDDTRAVGVLMSADPCLARHHLVGAASPLFLAVALCRS >Dexi5B01G0029020.1:cds pep primary_assembly:Fonio_CM05836:5B:30288372:30290240:1 gene:Dexi5B01G0029020 transcript:Dexi5B01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPMTSLRLLFLLILLCPFAEETAAAAGKPSVVHDDVNPVQVTNPITTVPSTNPTPTIITVPSTNPTITIPSLNPLPTPITDSPSSTAPPVPVIYPLPTPSTSSPPTVPVSNPTVTTPSTFPPSAPFTNPVSNSTPPPAQITAPAVPSQQVWCVVKGAGSSDASLQNALDYACGIGGADCSAIQPSGSCYYPNTLQAHASYAFNSYYQRNPAPSSCDFGGTAMLVTANPSSGSCVFASSSSSTVGYNPASTAAPFSSSTGSDSGAPVLNASGSGYKEPSEFGPEIPGAVDMDSAWRSIPASHWPWAALVWILAFCAQIEGMV >Dexi3A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:3A:15871881:15874554:-1 gene:Dexi3A01G0019980 transcript:Dexi3A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYANGGAAAARASGEKLDELRRLLGKADGDPLRVVGVGAGAWGSVFCALLQDAYGRHRDRVQVRVWRRAGRAVDRADAERLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILRDGFCLNMLDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFGEIGRYWKERITQPLIISLAKGIEASLDPVPRIITPTQMISNATGLPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLANFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAREPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLCVMHPETKKPVAPVELELATDSILQAIRDESMYDPRERIEMAQRQSLFRPSLLGLPKGDAKA >Dexi7B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:7B:7917418:7919185:-1 gene:Dexi7B01G0003250 transcript:Dexi7B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDSYEYYWEMQRLLETDELSSIYLGGAQDDALSCYDSSSPDGSMSNSSWAPAATVADDKQGEAPCAGGAANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIEHLQAEERRMLQEVRELEAAGFGAEERYEGYEYDEGLVFEAERTAKRMRRSAPEAAGGARAPAPAPVEVLELRVSEVGERVLVVSLTCGKGRDAMARVCRAVEELRLRVITASITSVAGCLMHTIFVEVDQGDRLEMKHTIEAALTRLDTAMGSPPSVISYEEQAGHFTLT >Dexi8B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:8B:300535:302532:-1 gene:Dexi8B01G0000460 transcript:Dexi8B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTERDVERGNGYRDDSLCHRFCEGPGPMFSRYIYAFIFLIANISAWLVRENHTIFIEGQRLKGCLGDRDCLAAEVVMILSLTSFLFFFLMFCSTVNTRKLRDHRNMWHSHWWIAKGILLMGSLTVSTMLPSYLMQLYGKAAHFGAGLFLFIQLLSVIRWITRRNYKWCHINFENREPETKCYKKEKASSSTDWKTITGFLAELIGTAGATFSTGNDYKCIQLRNVAKSEDDVPYGYGFFHFVFAMGSMYFGMLFVGWDTHHMREEKWWSVDVSWTSTWIHIVNEGLAVVSFGK >Dexi3B01G0022220.1:cds pep primary_assembly:Fonio_CM05836:3B:17111793:17112491:1 gene:Dexi3B01G0022220 transcript:Dexi3B01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMDALEKHANIKPVITSTVWKELEKENKEFFETYNKDCAERNIEAETMQRIEKMLAEAAASKTSDDEEG >Dexi7B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:7B:25658431:25663168:1 gene:Dexi7B01G0020310 transcript:Dexi7B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSAARWVLGKALGPVTDGLLEAWAASAGLGPNIDALKLELLCAKGMLDNAHGREIRSPALKELLLKLQQLAYGADDVLDELEYFRIQDMLDGTYHAADVHDRGCVRGLVLNARHTCRCVKLKLCSGSREGSHRDPDELEDDARKGCLSGICSCGRHAISSMPKLPCIQSNQNGSCMSKITSSTRRAAHNVGKRLTCCSFPGVHNNAHSNIPGNGLARFCSACRSKIKDRKHVLQTPKLKFDRVEISKKMKDIVEKLKPVSAKVSTILDKELLGSAIHKLEVLGSNRTTTQNNTMERPKTTPDIIEPKFIVFSSSEGESRAKYQFPVECMSIQEWGAGAKELTQLLAYFPKLSELTVWDSEKIAGLGVAEEQATATPVPLPLANKAEDAQIEQHQQQDGATAEEEIAAEGLLLLPSQLQKLRIYGCPELILRSSRADSNTKAGRTGEGKGLQEERDYGLTKLTVRSTPNFFAGSEPSLPHEQEFPSSSSKLQELWTDDVAGILAAPIPALISSSLTKLHFWGDKEVDCFAKGQEEALQLLTSLERIRFWDCDKLQCLPTGLHRLPNLMRLEIVTCAAIRSLPKDGLPGSLQVLAIHNCPAIRSLPKDCLPSSLQKLVIRSCPAIRSLPKVDDLPSSLRELKVCDSESEELRRHCRKLIGIIPIVRA >Dexi8A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:8A:208418:210095:-1 gene:Dexi8A01G0000260 transcript:Dexi8A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDNPLVRDAGAALLTGVAAAVVLRFWEVVANRALLDQKLCRKLVHISVGLVYFLMWPLFSSDDVYAPFLAPLIVVINIIKVIVIGLGLVKDEGVVNSMTRHGDRRELLKGPLYYACAITLTTIVFWRTSPISIAVICNLCAGDGVADIVGRRLGHVKLPHNPEKSYAGSAAMFLAGFIASVLYMCYFNIFGFIEKSWTMVGAFVIISLVAAIVESLPISTRLDDNLTVPLASVLVGALLFYFLGATTNLCCMSREGCSGSISTMVQMVLAVGSSGN >Dexi5A01G0028610.1:cds pep primary_assembly:Fonio_CM05836:5A:31828880:31829993:-1 gene:Dexi5A01G0028610 transcript:Dexi5A01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMQEAAEEGEFVGARLDAGLRAARFASPPSTDDFAADVEPRNVPAVILLALVIPELPCLVASIRSHVPVIPCGGKSRIQVFRGVAKEWAASTRWDPLHGGLDYLLGKVGSDVAVEAMMSDTGHVFYGDLRSHERVSVPFSTFIQSCKSYLSCMHAASDSSIHQRILEEPTCSSNSESSEQSLGIEAGVVWWWCSFVVAVA >Dexi9B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:9B:14420707:14421735:-1 gene:Dexi9B01G0019780 transcript:Dexi9B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDFCSANAGGAVVSYVLDIDHYWHIKQLHPNGQRFASSPFEAGGFSWRIQYYPNGVSSSCKDCISIFVVLDSRVTGPIKAWSRFTLLDRALEPVPGHSVCTDISQSSEVGASHGCDLFIRKKFLETSGHLYNGDFAIRWDISVDRATPLPYLDRYPWHTDIVFQVRGKTFCAHRCVLAARSPKFEAQLFSETMEPGTAGDYCIKIDDMLPEVFDSLLHFVYTDSLPEMTEEEESWMVEHLLVAANRFGVQHLKLVCEEILCDDINEDTVGIILRFALHYDCRLLRDACIEFLEEPPVLEAVMAGDNDLIELVAKTCPSLLKELWADEDYPMHDELAMCL >Dexi9B01G0044650.1:cds pep primary_assembly:Fonio_CM05836:9B:44353632:44354081:1 gene:Dexi9B01G0044650 transcript:Dexi9B01G0044650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWPQRSSGVNTPRTRAALAREAAAKAAQEAQEAAVKAYAAAEVAAAAERDVLDVLPIESDPAPSTSTRRNLCLDLQVVDEVDETNIAPADPPLKKMTPKKKLATKVKKTPAKKYVKSPAKKGKK >Dexi9B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:9B:6224401:6224664:-1 gene:Dexi9B01G0010050 transcript:Dexi9B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAFASVRDVLVRAMVSMSTLRESSKSLRFIWTRAAPPPSAAAMAARFILRAMARTSPETTGDSVRSTWREERRCTARTRRTLRQ >Dexi4A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:4A:16482068:16483215:-1 gene:Dexi4A01G0014390 transcript:Dexi4A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKHAAAHWLCTDSGHTFEEVYAKVLSVTGEARRRYNDDDVSLAAVSDAEFATMMFLDGCFLVWFIAGTSDDMVDDTLARRCYHSSGPNIFKDMFLLENQIPWVVLEALMEFRPLMNMDSWIHACVVILFYREKKKQEDTTVETSILDDSKPPHLLGLLRSSMIGSMPSQKRRGEAGETSPWILPKGAMFLSQIGVKSTASRAEWFANMNVQEKLVSGELSLSPKVLGHFNACRLVNMAALEATGAFATSRVEPEWDGGF >Dexi4A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:4A:24005771:24006626:1 gene:Dexi4A01G0020310 transcript:Dexi4A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHRCPEPDQTITSLTMELGRTNLTEAVRSVNLLKIDGYCATNVMSDLDYIKSSWNVDGHDWEVRFYPRYGVGYGVHWVALKLFLLGEPQRNNLTANLTARLVDPSRNLDPSEEELIPATNREALPPLPSSDLPRHYRELLQGQRGADVTFVLDSGDRFPAHKTILAARSPVFMADFFGRMDERRSQFVRIEDMQAAVFKAMLYFIYTDTAPVGDASASLAARLLVAQGEVR >Dexi2B01G0026040.1:cds pep primary_assembly:Fonio_CM05836:2B:35193075:35199531:1 gene:Dexi2B01G0026040 transcript:Dexi2B01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNLGLAFRELTYRTLAGGVVNGKSPHFSVLASPSSVVPREISPAPSPLTSLSHAVPFARRRLHLLRRCSSVTRLASEARSRRPRLQSIMEEQQVKPSDLPPATSDNQDSAANPPVTTADPVRQAASTDSPSQVAGADPAAVSAPTASPTKDAAREAPSSMFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFARFTSGLGLRLSPKAAQQEEIAEGSTSPTTGQPGVFGSLTKGIVDSSKNAVKAVQIKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLFLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAGPADNKSDAASSASTVAKEDNAAPANKGTGSGDKDEVFSDSEGEDGSSKGRKEKTASGGQNSANAAKPSDTSTVQEASAAASRLENVAISSEQGATKAPDATSLKTEVSSKSSSTTAPPPAVDSSSMSEFKAIAADASVFSFGDEDDYESE >Dexi9B01G0038980.1:cds pep primary_assembly:Fonio_CM05836:9B:39834458:39835841:-1 gene:Dexi9B01G0038980 transcript:Dexi9B01G0038980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDYMVEKLGIDESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDMVHAVRALQRLGLEDCFEGIICFETLNPPCPPQGDQEPEIFDIAGHFARSGTADELPKTPILCKPNTYAMEEALRIANVNPHKAIFFDDSVRNIQAGKEIGLHTVLVGKSQRVKGADHALESIHNIREALPELWEEAEKAEDVPLYADRVAIETSVTA >Dexi5A01G0037680.1:cds pep primary_assembly:Fonio_CM05836:5A:38962953:38963666:1 gene:Dexi5A01G0037680 transcript:Dexi5A01G0037680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAASPRPSGHNISTETTRLDMWPVSGEQSSLVLHLAVTETHKWLGIGGRSARLRRSRRLGRTARMQLSAGLQTAGDELRRLMVRATTQELRMTEVFRGLPSVDWEDAVPWGVEARVAELAAAALPAGCLAFSCEASFSVRVEIVYDEADELLRACVAVGAGIGESTGGDAPPSCAICFEEMAAATRRHHAPSASRRWRRRRVPSASRRWRRAQRRRRRRRRRGCRGARTASMAGA >Dexi2A01G0025180.1:cds pep primary_assembly:Fonio_CM05836:2A:36908819:36911039:-1 gene:Dexi2A01G0025180 transcript:Dexi2A01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQEIPSPQPRTVDLTVRNNLSISWADDRKYPYSNPGTHPHVGPTSGDHPVSYRSRSRLSPTQQARHVRSFRDTRFRSDDDHFLDLSLLLRESHELLSYLPPRGPSPPFKQRSGAAGAPADLDRGPSPAASLPGQGNGTNSSLPTQRTYSSSLPPRLVPGSWPATTRATAREWRSPAGQTTTPNAPRASLRAPATIGDPSAPPSASRDAPERPAHVRQPWRTCARRRTRRRRRATGNPSPKPSQQLAYEHQGTKGKLAHPLARAETAGARLPTRADGHRDGGGQRRGKRRRLGSEIGEAQRRLFREVKEFKVELWLDKSARRAPPATEKNWKRGGGREEEERRPRRTPAEHTATAIPCPATRGRATDVDRHCRPTELGTEGLRP >Dexi7A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:7A:25441579:25442363:-1 gene:Dexi7A01G0015580 transcript:Dexi7A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASAVHLYVFPAKPYALLANQSPGNISVLGDYVSSDPVDPFEIKESNRPTKMKLPQLEPDERSVTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLLKKKDKHKKTQDDNWVNAATPERPIRGIDDPLLSGSASDSGVTKGKKHHRVVSSAAGLDSWAGGDQASDGYEIRGRRWAVKN >Dexi4A01G0024160.1:cds pep primary_assembly:Fonio_CM05836:4A:26983980:26985161:1 gene:Dexi4A01G0024160 transcript:Dexi4A01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVLLAAVMMMLLVATMSVSADEPQCGWQAGGKVCPNCFCCSEEGFCGNTTAWCSNGCQSQCNGCDDGVASILSRSEFDEMLKQQHDNDLCPGKGFYTYDAFIDAAKTFPSFGVRGGDVATRKREVAAFLAQTSHETMLGWEAADGSVTWAGYCLKEQAVEPRGDCCQPSRRWPCAEGKQYYGRGPFNISWNYNYGAAGNAIGVGDDLLHDPDMVATDAVVSFKTALWFWMTPRSSELDENNHMPSCHLVMTGQWGPTEEDLDAGRVPGYGAVTNLVNGEAECGHGGPDDRVESRIAFYKRYCDILGVDYGDKLDCYGQKPFPPSPTPQLMILISPNY >Dexi7A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:7A:25648651:25651785:1 gene:Dexi7A01G0015780 transcript:Dexi7A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGVRNGCLRKYNRSKEPRMRWTAELHRSFVRAIECLGGHDKATPKLILQFMGAKGLSISHVKSHLQMYRAARLGAARRGVMSEPLFASLPTGYCCSIPPSSQILLMESQTPFFHGTITASGMQRRHSCAGDEQGPKELLCPPLKRARMGMAAAAYESLQGSHGISEASTAAADAGSLYCIDDYMQAMAMRWRIKEEGLRWQRRDAAAAAAASNLQAVGCLVQESDPFKISRPEANHLGLPLSQKQGSLEDETGCSLFSSFSTAAKDEPPEQWSLSLSLGLDPSCTRSMAASSLSESSCIITASPARRSSSGCSGHSDRFVIPGLSLELSLSTCGS >Dexi1A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:1A:24330779:24334526:1 gene:Dexi1A01G0017080 transcript:Dexi1A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQAKQQRAEYLKQRGSSHGSRRSSSVKSGEFLSRKLARCWRRFITSRKTTVVLARAFDSLGINRQSVVSMPFEELAICIESPTVLQTTKALLDRLESRFALSQSSSSSKPENIDHLLKHLGSPKRRILPSSAGRSKATLKKAVQNYDLNKVPRYSQRIALCAYMILGHPKSVLSGQGEQEKLLLDSATNFVKEFELLVKTILDAIDGACILRQSELDVASPGCSSYDDSSSVVADRKKFRTQLVAFDKAWCAYLYHFVAWKAKDAESLEEDLIRAACRLELSMIQTCKITHEGQSDNLSGDLKAIQKQVADDQKLLKERIQHLGGEAGIERMELALSETRSKFFQAKEGRSSIATTHANIASPSVVGSSSHPTVSELSENSNMDAEKTSRVVKSLFGSSSSPSDGSKGGKLMGSTRSSAVSEKMPTENEQIVNEMLHDIHGSFRDISDGIGIVEGDFKAKVKETMEKAFWDVVADSMRGDTPDYSYLINLVKEVREALQELAPKGWEQEINDTINLEILTQLLESGSQDRQYLGQILQYSLDKLQKLCSPAKEDEMKKSHDKLLGELIEDPESDYGDPNSFVVSVIKGLRFTMEELKVQPLVATIRTGLAVPGQLQSAIPAAADRTELPECTGEQLGSMLVLRQVLVSENPKITPSELENAALELFNMLTRLLDNFAEVGTEKIIEAMMHSSASASSPSNEMMETRKQILTRVFFKSLQTDDTVFKKVSQSVYCAFRAITLGGSGEKGRKLADASLRRIGAAKLTERVVKAAQVLIKAAMVSEQVHGPWYKQLL >Dexi5A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:5A:2231433:2235252:1 gene:Dexi5A01G0003080 transcript:Dexi5A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPASYRDRRSEGAFGGGSRAFAAPTKADASAAAELDGLPRFEKNFYVESPAVAGMTEDEVEAYRRRREITVEGRDVPKPVRDFRDVGFPGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQMKKIVSQIRPDRQTLYWSATWPKDVEQLARNFLFDPYKVTIGSEELKANHDIVQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELINILEEAGQKVTVIDIEGLGVVDHGVDIQILIQAESDALLLFVGGYVVLAP >Dexi3B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:3B:1443215:1445487:1 gene:Dexi3B01G0002020 transcript:Dexi3B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAAGNAAADANAEAPPNKAAEAPSRAASANHRPSSAAAAKPPASPTGARASTSKPAGPIGGVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHRASGEKLACKTIAKRKLAAKEDVDDVRREVQIMHHLYGQPNVVGLRGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERAAASLLRTIVQIVHSCHSMGVMHRDIKPENFLLLSKAEDSPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASEPWPHISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDTEIQQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGCYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNNKKRRDVVL >Dexi2B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:2B:22861171:22865146:1 gene:Dexi2B01G0013800 transcript:Dexi2B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLNEVSTPPCLRTGHRPVHHAFLISTPTHADPFLAAAADGFARQLTRASSLLRRRHAGLGEVSDSSGVGFWARHRRKILVSLGVAGAGYAAYRLYDAHRAQLVRVEQLRAREEEAADDLVKNQLQAHFEKVQRICDTTTLPLAMHQLSVNIMSELDISKLTDKLRQGKVGSSAMTPKEKYDTWEEIKIKSFTKTVSSMWAMILLSLYTRVQEESDTFSENGHKSFLAMADYLPTGKINEYIMQMQQAAAEVLKEKQLKDLMSTDQVLETLLQILDMFRSLCKDNSWINYLVPDDASVHAQMMAVSSSGFDDSSLLNDFRKLKQLMAETRIVLASDDFRNIMEKSLRNVADMVIEDLASQIGIPSPPSGLPLATLLPRVAHLSSSLLEGPNKNKHIQMIQSIPEVELFYTFLYANMPPET >Dexi3A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:3A:948085:949424:1 gene:Dexi3A01G0001350 transcript:Dexi3A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSRLPNLPAGFRFHPTDEELIVHYLMNQASSLPCPVPIIAEVNIYQCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYGGKPPKGVKTDWIMHEYRLTGSANKRTKRIGSSMRLDDWVLCRIYKKSNNFQFSDQEQDGSTVEEEISLNNNLNNGAGAASPKSEANDHDQFHPTTMSKSFSVTDLLNTIDCSALSQLLDGPVEAEPPLIYPTTTQTHESFNSNNNVNNTHFNIPQVEAACSDYVAANCNGLKRKRVMTMDGAESLDDGSSNFSTKLKLPGDSRSSHSHFVGSTSSFCNQQQLVDTSGFQYSSLLSYPFLQMQ >Dexi4B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:4B:1357475:1361201:1 gene:Dexi4B01G0002150 transcript:Dexi4B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATCVWQEKASAMGVGAGGDVGAGKKKKGEWAAPPGRRASALAVAGGALNCLVSFVVFSFLDVLDVVLCLVYKLIDYAVEAEWKACYCTAATSATSAPPPRIGLVTSPAVTGPPKVVRLSASSTKLQLEDVSDTLFVRPSLLADATKKGPGTAAPALTVVSPAIAELIRGKMDRPPRQAPCWSDCDCKVCHSWSAAGPRSSSSSHLYVHVQAPPPAAIAGGEVVEDVVFIHGFISSSVFWTETVFPAFSAAARGKYRMFAVDLLGFGRSPKPAESLYTMREHVEMIERSVLQRYRLGSFHVVAHSLGSVLALALAYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRVWDRLFRIFTRNSNLRFPAGVRTYLIEAFMCHTHNAAWHTLHNIICGSAGRMGAYLDVVSDQLSCKVAVFHGRDDELLPVECTLAVGARVPRARVTVYDRKDHITIIVGQERLFAAELEAIWRSAAAD >Dexi4A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:4A:3411158:3411956:1 gene:Dexi4A01G0004850 transcript:Dexi4A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRHCSSWADLHPELLGLVLQRLPSPADRARLRAVCKAWRREILPVPFPWLCLLDGTFLTVPEGDVHHFPSLLGDEDDALCHGSVGSWLFLERTNDRSMSLVNLFSGDTITLPDADTIRHHDNDDDHDARPMMYKPPVPLSSSSSPDSLLFAVLMTVGGFESVISICNSAAAAAFRVPRSEVRICDIAFVGGKLYALSPKKLFVVDVDSSRRAGGPKVPSMECIDDDVDNPGDMYKTIGGESYRCAYWSYLVESRGA >Dexi2B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:2B:12895047:12896093:1 gene:Dexi2B01G0011310 transcript:Dexi2B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSAASFRPADPAARGALASPQQQQSRPRLNTAAQGRLPIPSAAAFRPADPTAQGAMASKQQKMLRVREFDHFVVIDFEATCEKDSRIYPQEIIEFPAVLVDAATGALLSSFRTYVKPRHHPQLTSFCSELTGIQQQQVDGGVDLATALGMHDSWLASAGADKNRLAVVTWGDWDCKTMLESECSFKCISKPRYFDQWVNLRIPFEAVFGMQWDGRLHCGLDDACNTARLLVELMRRGASISITGSLPQPPPPLPAPEPELQFQAQMAPVNRNISWCTGGVATTGGCCYCGLPIRGDMVTTPGPMQGRFFFSCGNWSPILGPMCNFFLWAA >Dexi3A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:3A:11785366:11785764:1 gene:Dexi3A01G0015820 transcript:Dexi3A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMAFPRQSPNHTPGSTARRHSQRRNSATYASSSGGSAEDSRSQSACASWPWEQSARSSALGSAEKEVGAAAETAAELRRRGRFSGGRRVGGRARGDGREASGRRGGLSVSLVGDGGGIS >Dexi2A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:2A:32925009:32926181:-1 gene:Dexi2A01G0020760 transcript:Dexi2A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGPAYIGTGCFHRRETISGRSFSEDYKQDWETGVVEKLGEHMNEIEEQAKSLATCDYECNNTQWGREVGVKYGCPVEDVITGLAIQCRGWVSVYFNPARKAFLGLAPTTLAQTLLQHRRFGEGNFSILLSRYCPFLFGHGKVKLWLQMGYCIYGLWAPSSLPTLYYILVPSVGLLCRIPLFPEITSPWIVPFVYLPAATYVYSLYEALSCGVTLKGWWNGQRMWAIKRTTSYLFAMADTIFRLLGLSAMAFAITPKVSDEDQSKRYEQELMEFGPSSSLEFVIVAAIALLSLVCLAGGLSWIVASGCTASCLKFFLQIVLCGALVAINVPVYEAMFIRNDRGRMAPG >Dexi7A01G0022150.1:cds pep primary_assembly:Fonio_CM05836:7A:30540231:30544628:-1 gene:Dexi7A01G0022150 transcript:Dexi7A01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLRLKTEAMAHHAPRCSFTALMVLLITCCATAAAAGDDHELTHLHFYFHEVNAGEPNASVVNVASLHKNGSTFGDVNVFDSALREGPSPVSRLIGRAQGIGVHASLDESGGLTATDIVFSDYGEYSGSTLPKTEAMSHHTPRSSSTALMALLITCCAAAAAAGDDHELTHLHFYFHGINAGQPNASVVNVASLHKNGSTFGDVNVFDCTLREGPSPASRLIGRAQGFVVHAALDESGGFTAVDLVFSDYGEYSGSTLATMGRFNVMAGPSERSIVGGTGKLRFARGYLIVELVNATDTSIVVVFDLYFTLAH >Dexi5A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:5A:5822313:5827639:1 gene:Dexi5A01G0007830 transcript:Dexi5A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAMATPTTSAHFSAPTILPSSHTRRWSVAAPLRRTRRPRLATVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAREEGIAMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYSGEAIGPLAAYYQVPLRHILLVYDDMSLPNGVLRLQRKGGHGRHNGLQNVIENLDGRREFPRLSIGIGSPPGKMDTRAFLLQKFSAEERVQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHRV >Dexi1A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:1A:23986163:23987356:-1 gene:Dexi1A01G0016710 transcript:Dexi1A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWALAALAAAMTSSSVASSLPNSMFSRIVVANSTGSWLTSPICARSHLSRSRRMSTPSSITSPADGSANTVDFPDPLGPTRATVRPAGTRRLKLAKIGLSGRDGSSPASLAESILGTRRITSWILSAAEIASEKYFTFDSPAPNALCSKKSHHAAVDNGAGDSEDRAALVAVVHDESAAVPEVERRDGHQHGVAGANREALGEPLPEPEPQRLLERGGEPRHGAPLRHERVHGARRRHGLLGDGARARVLLPDPARDADDDAAVDDPGDDEQEHGGQGHECQPPHGRERHGVAAQKRGHVHHKVGHLLGQGVLHDEAVVGHAGDHLGGRAGPEVEVLHVLPEHGT >Dexi9B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:9B:9000751:9001511:-1 gene:Dexi9B01G0013360 transcript:Dexi9B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERFRVRPIDLVKHRRLLSMGRTHGAALGRTLLAAFLLAAALAADDHVVGGSVWCIPTNPGLYRAWADNRTVYVGDNLDSKRHLLIPCAAVLSVFRFETGFYNVVQVSRREYDDCTAADPYQIFSDGPAVVLQASTGVRYYVCTVGNYCKLGVRLYSRLFLSRFFTCSVS >Dexi5A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:5A:4741918:4745472:1 gene:Dexi5A01G0006460 transcript:Dexi5A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVHIKALSQHNSGGSTTPHLHAGPPNPAPPRDQIRHLPPSTPSPPRLHLPLLLCPLSSSSSPRCCGAAAAATNRAPGMKVTVVSRSGREIVKGGIDLKDSAKVADLQEAIHARTKKYYPSRQRLTLPLQPGKGGKPVVLNPKANLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKFFGYEGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGFGIICQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYIFLVVAASIMTNWALGKHSRLKKASFELLIFPGTALLTNISQLFDGKDGRPKYPRRWVILPPFL >Dexi7B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:7B:20919362:20922751:-1 gene:Dexi7B01G0014810 transcript:Dexi7B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPVRAPSASRSARRAAAAAVSAASASRLLLGHRPFLELRFAAGRAAVAGPVAGLRPRPNRPRLSVVAMAASDRQVPLHDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVVQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAKFEYRDIPADLEEMAQDYRVQMLETIIELDDEVMEKYLEGTEPDEATVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGTDPEDPELILERQPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDIPVAVTGDIVALAGLKDTITGETLCEPEKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGSVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVITPEDHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKTEQAAA >Dexi9B01G0032570.1:cds pep primary_assembly:Fonio_CM05836:9B:34775415:34775666:1 gene:Dexi9B01G0032570 transcript:Dexi9B01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVGSRPLPLTTPSPAPAGRAEAGVEEEQGHSDDDRNATGRGARAALRRRRRSRPSVGKDNTAREADALGWVAAERAVKRD >Dexi6A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:6A:16112810:16113037:1 gene:Dexi6A01G0011360 transcript:Dexi6A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLCCLLRLPVCCLLSLPLCCRLRLRALYSLASPPTSFYPTSPRGRVLEGGAALVPPTPLGEAVPCRLAHKRD >Dexi3B01G0026200.1:cds pep primary_assembly:Fonio_CM05836:3B:21157161:21160129:1 gene:Dexi3B01G0026200 transcript:Dexi3B01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMGRFFESVGNFFTGGDNIPWCDRDIIAGCERELADAATEEQRNDSLMRLSWALVHSRQTDDVNRGISMLEASLDNSGGPLQTREKLYLVAVGYYRNGDYSKSRHLVERCLEIQPDWRQAISLKKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAAVARKK >Dexi8A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:8A:4976649:4978074:-1 gene:Dexi8A01G0005420 transcript:Dexi8A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQSPNKPPTAAKERNQEQRRDEEGLHLLKLLLQCAESVNADDLDDAHHTLLEIADLAPTPFGTSTQRVAFYFADAMSARLGLYAPPPPAYCPAAAAFEAFNGISPLVKFAHATANAAIQEALEKEERVHIIDLDIMEGTQWHGLFHILVTRPGGPPKVVKLTGLGTSMEALEATGKRLSDLADTLGLPFEFCAVAEKAGNVDQEKLGVTGREAVAVNWLHHSLYDVTGSNDSNTLCLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSSLFDSLDDASCGARSPERHVVEQQLLSREIRNVLAVGCERRLTGDVKFESWREKLAQSGFRVASLAGSAAAQASLLLGTFPSDGYTLVEENGALKLGWKDFCLLTASAWRPIQIPCRQI >Dexi4B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:4B:6646358:6647551:-1 gene:Dexi4B01G0009250 transcript:Dexi4B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNHLLPLVRGAASQLTSPIHHGGFRLLLSTSPSPTPFSLEDYLVTACGLAPAQARGTSKKVFADATAASKKAIDEFSTSGSGLNPGFVPDAVLALLSSVGLSRADIANVVAADPLILLCRANRLEPRILALRDRVGLSPPEIARFLPVASSVLRRGKVDANIKFLISFYGSFGRVLVALKRNLCLLTSSIEKIIEPNIALLHQCGLSVRDIAQLCSQTPRLLSYNPKRVKEFLLRAEELGVPRTSPMFKYAVSAVAYTSKENVAARLLFFKRTLGCSDSEVSIAVSKCPYILGLTEENLLRKIEFLINEVRLEPQYIVKNPTLFTFNLEKRLISRYHVMKVLQEKGLLSSDKSFYTLAAMVEKTFKLMFIDRHKDSVPGLVDAYAAARAGSMS >Dexi7A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:7A:22839292:22842089:1 gene:Dexi7A01G0012680 transcript:Dexi7A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAPRRPLALACFVFLCSSFWAVNGYGRVGAAAAAEGESRRMRLHTDGSRGDAHAWPGYLYTRAVGRCTPQFWSSGAEPWPNIVPQEAAVSKVFGSRSVEKYGPRLTLLEATMRTDDIGGSPFVKLVKQGSAALLNAYTRRGFPLDSWEVKALLLEALVSEEAAAAQAERFEQANESCV >Dexi2A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:2A:6146916:6147688:-1 gene:Dexi2A01G0006480 transcript:Dexi2A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTSYTLLSRPAPLRKITSTPMQARKLAAAPAVALPLVGRKPLSLSMCHAYTYDDVPPFALVHPKFVSPKDKNCWNIEEEADHITLLFNVGEMKNDLQVAIKGNLLLIRSPAEKKEGGTTSPPPPPPPPASKLDVRLLLPSGYAEDPEKKVKAELTLGSVLKVTVAKPVLEPKEIKIIVPPPSSNQQA >Dexi4B01G0022560.1:cds pep primary_assembly:Fonio_CM05836:4B:24092392:24093444:-1 gene:Dexi4B01G0022560 transcript:Dexi4B01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAGETKAPLLQRWSSSVWGFTGSGRLLWAQNEAWRAHAGMAFVQVAYGLYHVLTKSVLNVGMNQIVFCVYRDLLALAILAPVAFFRERIFGNQLLFLLGLSFTNATYAAAFQPAIPVFTFLLAAIVG >Dexi7A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:7A:24222320:24224368:-1 gene:Dexi7A01G0014230 transcript:Dexi7A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQEMNEVRDRSGPRMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSLCYAEFSVRVPVAGGAFSYLRFVGFFGGANILMEYVLSNAAVARSFTDYLASTFGVTEPNAWRIVVDGIADGYNALDVPAVALILVITLCLCYSTKESSMLNMVLTVFHLLFFAFIIVAGVWNGSARNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPIGIAGSVLVVSVLYCLMSLALCVMLPYTEISETAPFSSAFREKVGWRWASNVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPSWLAKVHPSTGTPMNATIFLVFLAI >Dexi3B01G0027800.1:cds pep primary_assembly:Fonio_CM05836:3B:23515872:23516156:1 gene:Dexi3B01G0027800 transcript:Dexi3B01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPAAFSSSLAVRSAVGALLSAAIAARAVRRRSLDASGGAAGFVVMAVHVACGYRYGALLLAFFFSSSKATRIGEDRKRRIEDGFKEGGQRNW >Dexi1B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:1B:22963741:22964402:1 gene:Dexi1B01G0016490 transcript:Dexi1B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVDTHETTTKAFPFDPILAPMTGRAHRRIRGGQIAAGLGAGRFHEGREQGGGGSRQKLGVRGGRIEGHRGRIEGEGGWIVTAGLRINSKGEVFIPDSEDEDAMEDGVFVPDSEEEDAMEDGALEGAAGMEVAADGARDMEVAAEGARGMEVAADGDPSVELPTTEAPTMDGAPGMELPPEV >DexiUA01G0021120.1:cds pep primary_assembly:Fonio_CM05836:UA:43494090:43500105:1 gene:DexiUA01G0021120 transcript:DexiUA01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHVDAHPGFQSTANPPPSGAATWIPRRRLRDYPVAGARLLCRHRKPSSLEFLDSRRKQPRSYGSPSPVSFIATTCPLSAHRPLTRLSSVPVRLGPRPRPHGALTVLQAAAAAAPAAAVSLSASPVTRFSARERRALAARNKAFQKLQTYREVTDEEIQELIAELQELVNLNNQKAHAIRQLMTMDLTVRVTYQTRITKVKEELEERIQELGRKSTQLRETVGYLDTMDAELIRTEEELEYHRQEAHRLRDLEAWVLVEYKDGEQQEAEPAPALKKPRRDDPFLRYFWGPGSEVHLEEYDRACAQRAQEEQETDQ >Dexi1B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:1B:24160414:24164632:1 gene:Dexi1B01G0017820 transcript:Dexi1B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVYGKWRRAMLEAYKRMCPSRDLMRVAQHWSEASMEIVTSKVFELLHNFQLELKRLGGSKGSLGEPRLHQIAELRRPFRGRDDTTTQLPPDNKRVQGRISSARLTYGLEAAARCGRPGSPWPDPPASSSRLAGRRHAALQLSSRQMDTEKTYVEAVDLGGRRRRGVDLRPVHGVGLLCTAARGLRRRLPALGLRLAAAPVVPSFSSSPLCSFFSGVAARTGENRADRTVRCDAGCERPVISGKCARARCGHPNNGGVEWPSGHAGQAAGEHFNFRGRCASCVSCRHGDGRPAGLSSFSRGQWGKRSQGRIAMAKERDETSAAVERKKEEEQDPAGGLLTISYIYGSFQCYFGINLRPLCDLSEVSYTNMAYFEFLPMEDNIALVELAGMEAGREYELVVSNYAGFRRYRIGDVLRVTGFHNVPPQFRYVRRRNVVLYVGVEKTGEAELQPAARRCRAGLLRGTVPRGPRMERRTPEPPKMKIRRAEVGEARQVGDLPGRGDGGRRG >Dexi8A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:8A:17640867:17645165:1 gene:Dexi8A01G0010460 transcript:Dexi8A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVTSLLGVIRSEARLLRLVRSDVQFIKEEMESMNSFLAHLARWAPPGGDHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRAKGGLRRYLWWVPWFLHKLVAQHRAAIQLRELKDRARDVGERRLRYGVEVPAKSAAGQSTPVASHGLTSAASLAPSLTHAASPHPAGGYAAGDDEEDGDDQPLAAALTDYSDQRALFSRTLDDYVKAKLWEWRTGFKPNAGETMSTVIMDPKRALDAYAVVYETAVFHPGYKLNRGYNRAVVIDIPAIHPDYLPLRTKEILYYILRELKLQHLPSQSQEQDSDDSDGEEEDHESWQVYFKKLHIYREKRRVFKRIRENIKKMKIFEKLDKIQSDMQTRPPKGQQKKSMDRQDPNVHVLLKKLLWSAAVASTSQHEQLKNKEVPKLSASDDTIKAIAKKLKQHMEADEQGGGEEEGGEEKEGGAEVNKLDKFQEPGEASSQGQNAEAIFMEIMKQKMDKLKLELNEQLKIKGLVDDIKHLLRRECPLFILEVDDTVELPRWEETRNALTLLGCSADVLIVTTVKDIKQAKECCYPQREPIDYSLAGLYYDTVLEITSKQKDEDNYNPQIFRNILEECEPHEDCMKIFTHTIYINPRRSSEELNKLYRNLQASPKSFDIVARKMLKFSYSDMPKEYKSCLLYLAIFPPGYKIRRSTLIERWVTEGLIFRDDWPSSMHRANRCFDELINRWLIHPADIGARGMVKTCMVNDPVHGFITKIARKQHIVETRLSHHLARHFSVFNDLQLRSSDKITGFFERLSTSSRVSLLKVLDLEVKGLENLEAVELRMRSSRSSNMGTLLSAFSNSNQISKLILRLEQGDEQIQNPIVFNEDDFPQLKLLTVDCSAIMDIVFNSGSASKLERIVCSSLASFSDLNNLPKLKELQLNGDLVPNTVKEAIRNHKNKPMLNHNKPEIQDQAKGEEQDEDEDSARFPFCWKKHV >Dexi9B01G0047890.1:cds pep primary_assembly:Fonio_CM05836:9B:46891177:46895057:1 gene:Dexi9B01G0047890 transcript:Dexi9B01G0047890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAPKTDAAAAGRKTRVGPYEVGKTIGEGSFAKVKHARDSRTGAVRAIKVLDRNHVLRHKMVEQVMASKTKIYMVLEFVDGGELFDKIVNSGRLSEDEARKYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGTLKVSDFGLSAFAPQTKVLADKGYNGMAADVWSCGIILFVLMAGYLPFDDSNLMKLYKMICQANVSCPPWFSPGAKKFIKRIMDPNPDTRITIAEILQDEWFKKDYKPPRFEQGEDVSLDDVDAAFKDSEEHLVSVKREKPESMNAFALISRSQGFNLGNLFEKEMMMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWKAESDLSKKQTK >Dexi5B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:5B:5897828:5899149:1 gene:Dexi5B01G0008700 transcript:Dexi5B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKGAAMASVTSSKQHEEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLRVDANSAVFRDAVRCYWMPRLLEKMAAATNQVDPPLFHHPEAHIAAAAMASAASSPASNAPPGSGHDNQRSYPVVDPSPSTSATSGSGSTSLPPVPCFSELSWVVDQYGVPCYTDIAGAGGGGAFDSAALGSLGLDGLDLGPADCDVDYSDDSTLLDYLNSTCCTGGGGGAMTTTMMGGAGNNNAHGSSCGGAMGGYGEYGPSSWRTPADELCHKLGDHPWGGGGGI >Dexi8B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:8B:479225:481524:-1 gene:Dexi8B01G0000710 transcript:Dexi8B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESTWKVSLPARSPRTLPVPLCVSPPSDSETIHDCCEKLLIANFTEFQLATVITFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKRNPSAYQKRCIMRLILYHVCVNLPVIVFSYPAFKFMGLRSSLPLPHWTVVVSQVLFYFVLEDFIFYWGHRALHTKLLYKHVHSIHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWVWIALRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKAKAVGENEGKNL >Dexi9A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:9A:4528222:4528866:-1 gene:Dexi9A01G0007750 transcript:Dexi9A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSATSSSSSSSPWRRRPAWWRRRRQAGSAVAAAPLSLLPARHECGRSVVGSVASGDEFSGDERSDRLPSPLARRGGDPARVCSSGVDSVLRESVRHRFRAWVHGELPPCPAARNRGVAVEAGGDDGTESSSRSLLLLRPVVGMDREHMAPAPRPRVALRPLDLAPGASHATVAHRIPHAAADTKAHGLPHPVRTALKATTCLAASTAN >Dexi3A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:3A:11303707:11305658:-1 gene:Dexi3A01G0015240 transcript:Dexi3A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDSIQMEPLHVRVPVPSARAVIAGFVVDGGAGHDAAAPAAGSPVPERETPYTVSFSVPASPSGLHISQLAACASVRSDGGGDAARVSPAPETETEAPPQLLQQARYHSQPTLTIKTEEPPLQRQRTVVSRSDSTRDRRFDHFKTFSGRLERQLSNLRGVPTEPAAEIEPPPGDSKIIISEEDTDDDGEVPTADRYFAALEGPELETLRPTEVSALPEDETWPFLLRFPISAFGMCLGLSSQAMLWKALQSEPATAFLHVSPAVNHVLWWVSVALMGFVSAVYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRPVWEISHVVWYVLMTPIFCLDLKIYGQWISGGDRRLSKVANPTNHLAVVGNFVGALLGARMGLREAPMFFFAVGLAHYMVLFVTLYQRLPTNVQLPKELHPVFFLFVAAPSVASMAWARLCGEFNSGAKIAYFISLFLYMSLVVRINFFRGVRFSLAWWAYTFPMTSAAIATTLYASAVTTVVTRALAVGLAGIASVTVTGVLVATMYHAFVLRDLFPNDVSIAITQRPKAKFSKILAQLRSSGTDVKELVFAVSRHGGSDTHSASESPSPTARGRAGAEP >Dexi9A01G0033560.1:cds pep primary_assembly:Fonio_CM05836:9A:38475391:38475897:1 gene:Dexi9A01G0033560 transcript:Dexi9A01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYIPPQRQGQTQAKQRPPTHSKQFPPQPKRITRANPNRCRATPRSPHPADPPGPNPRTSKSRQNKIRTAPRATTTAPRGEEERGRRAYLSAVLRSLRTLRIGGGRKN >Dexi3A01G0034150.1:cds pep primary_assembly:Fonio_CM05836:3A:39409549:39410591:-1 gene:Dexi3A01G0034150 transcript:Dexi3A01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLVFLIFLSLLFPHATFSATGAAGCDGQCNGLVVPYPFGFSGDCPILLSCNATASTASLLPPPGAAAGAAYPIMSFNSTSSTFIVSVATSCDRSVSEARAALTGAGYGASSRTGVFLRGGCAPGKKNDSSSGCAVPSDVMATLLRTAGCGGGGDGGGEAASWTCVASAPPDPSSGAAARGEGQFMRWEAVEATGCAEVLTAAVYAPTPMGVPAVEFGVAELGWWLDGSCGERGGANATGVAAGVCAANTTCRDVVTPSGEWGHRCACRDGMVGDGFAAGEGCSFAAALAAVPRQPKPGSTIRGQSYAS >Dexi2B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:2B:2864946:2865845:-1 gene:Dexi2B01G0003190 transcript:Dexi2B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSDPPEDLKYHEPSTAAIASYPELHKYHGPFDLTLRQSMIWSNMASAGQRQSYGLHSPYGAQAMHGRVLLPPAIAAEEPVYVNAKQFNGILRRRLARAKAAPARDLRASSRNRKPYLHESRHLHALRRARGTGGRFVNTRSLAAGEGEKAAQSEGPP >Dexi7B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:7B:18841137:18841567:1 gene:Dexi7B01G0011900 transcript:Dexi7B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGKPKTISFLKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEERNQNQYRCIIIGHSAPGTLSSMCAETQEALPSHHPSGEPTTAPHLRTEDDAVATISIRRAFRSLRRTGHATWP >Dexi3A01G0032950.1:cds pep primary_assembly:Fonio_CM05836:3A:37763610:37766366:-1 gene:Dexi3A01G0032950 transcript:Dexi3A01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLLWLALILCAFLTVTTCTGLRLELTHVDAKEGFPLAKRLRCTTERTHRRLASMAAAGVTLPVHWAGTNQYIAEYLIGDPPQRAEAIIDTAKQSHMDTSRRGGGRWAGTFIDSGMPFTKLVDVAHQALRAELVHMTSKSMIPDCSARNFGDILQSRSDLLILSPPYPLPLIFSNSGEVRVPGCWGAILIPGLRGGFGGGRPARRWPAAVTPPAGRCRMAVGPALAAGVCDDGGGGGGSGWRGGRRRRARASPELPPCRQRPARSSGQALARRRRRGGGGRGGGREGGGGGWGGREEREEERRWD >Dexi4B01G0023690.1:cds pep primary_assembly:Fonio_CM05836:4B:24986613:24988417:1 gene:Dexi4B01G0023690 transcript:Dexi4B01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPHRLLAPRVIGGYAPGRRGSFLSPALPARLSLPPPLFPLPFLSSPFPSQTTARPGQACPSSSPRVQKSIMKGAKSKGAAKADAKLAVKSKGAEKPAKGRKGKAGKDPNKPKRAPSAFFVFMDEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSEADKAPYVAKANKLKIEYNKAIAAYNKGESTAAKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEEEDDDE >Dexi4B01G0023690.2:cds pep primary_assembly:Fonio_CM05836:4B:24986831:24988417:1 gene:Dexi4B01G0023690 transcript:Dexi4B01G0023690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKADAKLAVKSKGAEKPAKGRKGKAGKDPNKPKRAPSAFFVFMDEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSEADKAPYVAKANKLKIEYNKAIAAYNKGESTAAKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEEEDDDE >Dexi1B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:1B:25441174:25452764:-1 gene:Dexi1B01G0019200 transcript:Dexi1B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDAKERQAGVERLHEALGAAARRGLSAGEVTSLVDTCMDLAIDGNFRIAQGGLQALSAAAVLAADHFKIHLNALVPAAVERLGDGKQPVREAARQLLVTLMENGSATLSIFQVSSPTIIVERAGSYAWTHKSWRVREEFVRTVAAAVGLFASTELPLQRVLLSPVLQLMNDLNPSVRDAAISCIEEMYRNMGSQFHEELQRHNLPAYMLKEINSRLDKIEPNAPSFDGARMQCRAKESRSFNANTKRGSPRKKGTQMESTLFGGKNMFTVLSCIASFSFIGGMDINEKLVEPIRVHSEQELVRDFEKVASALNPEKDWSIRISAMQRIEALVYGGAINYPSFLMLLKQLACHLLNVLIKELLGDFEPFAEIFIPVLFKLVVITVLVIAESADKCIKSILRNCKVSRVLPLIADTAKNDRSAVLRARCCEYALLILEYWADAPEIHRSADLYENLIKSCVSDAMSEVRATARTCYRMFSKTWPERSRRLFMSFDSAIQRYFNQIFTTVIEVLDDVDSSVREVSLLLVAEMVQNQIDSMEESIEIILEKLLHMTKDNVGKVSNEAHQCLYIVLAKYDPLRCLAIIVPLLASDDEKTLVVCINCLTKLVGRLSQQELVTQLPSFLPAVFDAFNNQSPDVRKAVVFCLVDIYIILGKEFVPYLEGLSSMQLRLVTIYANRISQARSGAPADAAQ >Dexi1B01G0025510.1:cds pep primary_assembly:Fonio_CM05836:1B:30529906:30532735:1 gene:Dexi1B01G0025510 transcript:Dexi1B01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFAAVRSADAAAVRALLADAEASGTSLAALAAAQTDAGETALYVAAEAGSEELVRLLLPLYDLEAATVRSRLDFNAFHVAAKQGHTGVVKEFLGRWPELCSVCDSSKTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLHADVSILNVRDKKGNTALHIATRKWRPQMVQLLLGYESLEVNTINSQNETAMDLADKVPYGESKTEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLSENAKTNKRVTGIRKELQKLHREAIQNTINSVTMVATLIASIAFVAIFNLPGQYFQDTSSGGDIGEAQIANLNGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKQIIKIVNKLMWIACLSTGAAFISLAYVVVGPQHAWMAFTISAIGGPIMIGTLLFLAYLLLRPRLKFGEDRQRRIKRASGSKSFSWSLHDGFSDLEAFSDHDQKIYAL >Dexi6B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:6B:384894:388329:1 gene:Dexi6B01G0000570 transcript:Dexi6B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVQINWHDLQPVLSLDFHPASRRLATAGADHDVKIWVIASDASESKLPTATFQSGLVPNGTAHSSAVNVLRFSPSGEYLASGADGGGIILWKLHSTEDGEAWKIHKTLLFHHKDVLDLHWSHDGAFLVSSSVDNTCIIWDANKGIVQQKLEGHLHYVQGVAWDPLGQYIASMSSDRTCKIYANKPQGKSKNADKVNFVCQHTLVKVEYPSNDESKPPVKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGINCFPVSNSVSKHSTEVINTAYIMSRHNLSRPAIQLPGASKAIVAVRFCPVLFKPRGSNSDGFFKLPYRVVFAVATLNSLYVYDTESIPPILIHAGLHYAAITDIAWSSDAKYLAVSSRDGYCTIIEFENEELGQPHILPGTKEVAEGNTTCEKKPVSVDSIEVDVSARKPKVEASPVAVTVAENVTLSKPNNK >Dexi4B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:4B:3069500:3073658:-1 gene:Dexi4B01G0004230 transcript:Dexi4B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGGFLGELPRTHAILILRFLDERAKATIPIHQKQHGLAASRLGLGSSGKNKIFVAGDDLWYNKIIDPSSDFILTWTYVFRVSCFIALFMDPLYFYVPEIGYRSTATVTTPCAGKDRRLAIIVTVFRSIVDLFYLTQMMIKFRTAYINPSSKLGVFGRGDLITDHKEIAKQYLSSDFAVDLVASLPLPQIIIWSVIPAIKYSSSEHGNDMLLLIALFQYILRLYLMVSLNNKIVKITGVFAKTAWQGAAYNLLLYMIASHVLGALCCYGQTLTVSTFLGETLYAIFLAMVGLVLFAHLIGKVQVPLFSQMDDQLLDAICERLVSSLSTERTYIVREGDPVTEMLFIIRGKLESSTTDGGRSGFFNSITLKPGDFCGEELLEWALVPKSTVNLPSSTRTVKAILEVEAFALRAEDLRFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQHAWRRHKRRKMAKDLRMRESFSSMRSYEGYASPEQNLGLIRGVSIIKELPKFRKPSEPDFSAEHDD >DexiUA01G0023030.1:cds pep primary_assembly:Fonio_CM05836:UA:46957472:46958086:1 gene:DexiUA01G0023030 transcript:DexiUA01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGEAADGDVESRFRGVRKRPWGRYAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARMLRGPKAKTNFPFPAASHHHHHHIPAAAAYTPYTTTTPGASAPPVASPACSSLSSTVESFGGARQRPVLPPRPLPPPIPDGDCHSDCGSSASVVDDDCTDAAASPSCRLPLPFDLNMPAGCGASVGWYGDEDDELRLTALRL >Dexi5A01G0022630.1:cds pep primary_assembly:Fonio_CM05836:5A:26750572:26759493:1 gene:Dexi5A01G0022630 transcript:Dexi5A01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVAAEWDLLSDRFYRRLTLYSPLPWSTPAPTSTTSSSSGGAVIGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPIASAPWPPLLPRLHSLAFSSSLSLLALLSDGSLLRFRLPDLQPTPSSSPVPLLPPASGGVADAVFWGGGVAILTEDNRVVVATDIEAADPHPRDLADPGVGDEEHVMCMAVVEPQFVMSGSPEVLLAVGDRVIAVDEDGVQVLGEELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRIIFEYECDAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQRTLLRAATYGLAFCSRFPHERFQEMCKMLRVLNAVRDPEIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASATLPDTVLLEGLLDKLRLCKGISYAAVAAHADSCGRRRLAAMLVDHESQSSKQARNLACLKYIISFISPSQIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKKAPLDFFGVINARPVARDLFMAYARHCKHEALKDFFLSTGRLQDVAFLLLKESRELEKNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIVMGNERAALKVKSEFKVPEKRWYWLKTCALATVGNWDGLEKFSREKRPPGGYKPFVEACIDAGKKTEALKYIPKLTDPRERSEAYARMGMAKEAADAASQAKDSDELFGRLKITLAQNTAAASIFDTLRDRLSFQGAY >Dexi4A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:4A:22768698:22769437:-1 gene:Dexi4A01G0018900 transcript:Dexi4A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAESCAVVATAADIICSLRGADLAGWTPPWRKVDAGAPALPSACDGRQEAGEEEGGDTRGLAAWPAMARGKRSRSRRAGSPSASGSVSAGAAAAAKEDVEEKNKTKNQGRRGGGVRGSPASPLDYSGGSGSGASTSGGEDGAFCSQPPPSTAAAAVVFHSAAAPSAATATAAAAAPNKVPPRG >Dexi3A01G0032790.1:cds pep primary_assembly:Fonio_CM05836:3A:37661633:37665317:-1 gene:Dexi3A01G0032790 transcript:Dexi3A01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSYFAATPLFLAILLPALLPGSFSLSPGPYATNYNGSHTDLAALLAFKAQLPDPLGVLASSWTSNVSFFRWIGISCSRHRQRVTALSLPEVPLHGELTPHLGNLSFLSLLNLTGTSLAGPIPAEFGRLRRLRYLDLWQEQAIRSFDAECYALRTARHRNLIKILNVCSNLEFRALVLQYMPNGSLEMLLHSKSKTNLGFLERLDIMLDVAMAMEYLHYEHHEVVLHCDLKPSNVLFDFNMTAHVADFGIAKLLLGNDSSMITASMLGTLGYMAPEYGSYGKASRKSDVFSYGIILLEVFTGKRPTDPMFVADLSIRRWVRQAFPTQLASVMDGQLLQAVSSSACNLNDYHTVVFELGLICSDDSPDQRMTMWDVTVALKKIKRDYTESTISATTETGALWL >Dexi7A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:7A:17704202:17704637:1 gene:Dexi7A01G0006330 transcript:Dexi7A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHGLFHHSSKEESSAEVDYEKKEKHHKHLEQLGGLGAIAAGAYAIHEKHKAKKDPENEHGHRIKEEVAAVAAVGSAGFAFHEHHEKKDAKKHGHN >Dexi7A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:7A:13022305:13034968:1 gene:Dexi7A01G0003510 transcript:Dexi7A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSRHGRHPTTPPPSFSGGGETPPRRRTPKENVDPSSYTSPAHHHGPDPFRSPSSSTRPLSARNRLPPRPPSSNPLKRKLDVSSAAAAGAGAAHDAAASPAPDSGVQVVVRIRPPCRVDEEEAGEDGRGPEACVRKTAGNLVAIQGHVQGQDFTFDAVADAVSTQEDIFNLVGRPLVENCLSGFNSSIFAYGQIYNEQITDLLEPTQRNLQIREDVRTSCVYVESLTKQYVFTMKDVTQLLLKGLANRRTGATSANADSSRSHCVFTCVIKSESKVSFAFRPSKTLYSLIMNPEDGSSSTRSSRINLVDLAGSERQKLTHAIGDRLKEAGNINRSLSQLGCKNETLSTLRFAQRAKAIKNNAVVNEEKVEDVNALREQIRQLKDELHRMKSNGGLGNSGSVATGWNPRRSLHLLKMSLGRPTTFQAIKEDSDEEMEIDENDVEKPCNNDNMAISPIKGKESKGLQASIDTSAGTSHVEALDGDKNLISTKRSCCDAKKFSSATDVAASIQKGLQVIESHQNNSAWRRASVVLNARIMDIQPCKVDVAIQTDPEESEDPLALIPSCLLEASANESRDPSTCRDLQLVPADAAAPSDDQKQQNFVKAVEKVLAGAIRREMARDEQCAKHAAEIQQLNRLVQQYKHERECNAVIAQTLEGKIARLESLMDGTLPTEEFMNEEYLSLMNEHKILQKKYENHPDVLRAEIEVKRLQEELDMLRNSGDEKEVLQEEIQDLKNQLHYMLSSSSSIRKLWPPVGAGTKADDGDANVGDIADWTEAESKWITLTEELRVELEATKSLVGKLQSELESEKKCSEELKEAVQTAIQGAARHLEQYADLQENHFRVLALQRRMREGVDDVNMRAEKAGIKGPGLQLISSLAAELSFLKAQNEGLQGQLRDTAEAVQAAGELLVRLKDAEEAEALAKKRALTAEQETEKAYQEIDNLKKNYDQEIVALNQRLAESCQSQCKDGAVEPEEPIDLEPPRYDTAGSPSGEPWKDEFSTLKQGGSFEVSKSTDLNSWFYGYDKCNI >Dexi5B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:5B:8754096:8756285:-1 gene:Dexi5B01G0012360 transcript:Dexi5B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKVRISF >Dexi3A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:3A:16491708:16493525:-1 gene:Dexi3A01G0020810 transcript:Dexi3A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPNIS >Dexi4B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:4B:8791495:8793058:-1 gene:Dexi4B01G0011390 transcript:Dexi4B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGLGDGDERHLPSRGQPDVLLHAHVLRLHPVRQPPREPLHQVRHSGGHELHREVVRRADPPPRPERQQLEVRAAHVHVGGGPHLQEPLRPELAGRVGPRRRVARDGPRVHQHARPGGHVVVPAGDDHRVLARVVWDQQRAHRVKPQRLLHDGVDVGEVREVALRDPPVGAHHAVELVGGARHGVRVAEELRHGPLYCDRRALRPTGDDVLDECLHADARQPGLLRRRLFITVLLLLLGELQQHVDEVARHKLSGFGSPPALLVLVDDLLVELVVKPVQPSHPPPASLDVEPAEPRDPLADVAHRAGHRERLVQRAPERLALGAPVAPRPLLPHRHAQDVPQRRAGDVLPHGHGGAIAAGEEHAAHERAHLLRADALERGDAAGREELCGAELARHAPVGAVRGVDDAPVAVGHELAGGGGRAVGEGEVVGLEDEAGGLRRRGHHGVVGAEAEVHERPVPRRELGEGAVRAPASTPRLPITGKPRGPGGRFSAFRWTRGRMRELRMKTAKMAAIAMDTVA >Dexi3A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:3A:6264972:6268625:-1 gene:Dexi3A01G0009020 transcript:Dexi3A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSVPNNREPVDDTKAAPTIISEEMKRSAARFLFSRPNSRACSLSSLCFADMWAHRVSSFFLALPAVAVSVSSFPSRKFPGLYKPTPRPLNPQPKPRALASPQIELRRRNPRVRAAASIRAFEFVDQLRAKVWNVQSLFSLPLSLSCARATLPSYPSRSSPSFLPSPSRRDFELPSPSFAQLRCAPPLSRRGVFPFDFSQNVPFEGDQDQVYEEEPPQYFEQGNDLDEF >Dexi3B01G0036550.1:cds pep primary_assembly:Fonio_CM05836:3B:39425469:39430908:-1 gene:Dexi3B01G0036550 transcript:Dexi3B01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPRAGAGAAAAGFEDSPVFNFINNLSPIPPPKPSDRAHNVQLFKSSDLAPVSSIFASPHVNLAKEPKILIRDESVQLPQELHSPSSVRTRATSSITFRMIRCKDIVSENCNTTTCRLNEASIDSSDHTSSSENQLPQSIQNGAGSVEGDRDQCADGKTNISQECTDLEGMNLDESVPDKMDQSHSVVDLHENQLSEQNKDEPAAYNGDHMITNQPSSNMLKLGLPFGTETQSLNDTQKADNSYSGKPLLNAESSGYYIQNSGHEPHLYWTCAVEGAAVACTPQALPGALQSQLMPCNKLNEPKDYMPAEQNASSQHLRGTRRRSLFNEKAGAGNKVVDKASVRHSVNSTTPKCKTISGDINSKPLRTPPCALPGIGLHLNALAAIPKEKIVSRDIQSTISESSNLPCPAGSSPPPSEQNVINDDFAQTTDIVSAEPSSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLFCFGVISLLVTVNVLRLEYIVQSLDFNNKTPASARHKRGCNCKKSSCLKKYCECFQVPIRVAPSSIEEPKHASEENNACVKEEKCEIDKQLVIYQATDLAPAENLLSTPLMMECRPLASLPPPSSKKPRSSMKLTGNPSRLANSQAPLKTDILLAQFGNYTEMFLGDSTSDSLKGNSSPQTSVKVVSPNKKRVSPPRMGTGLSPICKSGRKLILKSIPSFPSLGGDLNNEDPKSSPAP >Dexi6A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:6A:24632905:24635606:1 gene:Dexi6A01G0016760 transcript:Dexi6A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTSFTSFALGSLFHAFNLFPIASQVEGILTRMIMRVTIMLMSMHMHTHWKIFLWVCLYYVSIFQTFGIVLFFIVEKIVRYVEDNSRDGAYSVGHGHHHHHHKRHDSSDKTKSDADGKEINQTEDSTTAKVSDENHHEPKATIRKVHVTELCFGCRRSSSASSKAADGEPANSENESAPDKALSTDGSSISNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVLLIVRLSFTIVPMMFLMHSSLALLSGNVTLFVI >Dexi4B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:4B:18517191:18520865:-1 gene:Dexi4B01G0016460 transcript:Dexi4B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQAGFALRRLLPFLVVAAHHLLMPMAMAVSLTNLTVGDVLTPPGYITSSSGAFAFGFRAHDTDPAKFILATWFLFGSRRPRSHRVGHGHHAQHHGALTLADGSSQVLWTISTAGTRRGSVLMLNGSVSTLQGMLFSKRTDTEFTTGRFSLAAQEDGNIVLCADLFTGDLMHNAYWATGTNVPGGNTTITFDDRDGLSYTLYDGSVNTLISPMVELRLRRKQQPLLPVHRMDPDQGQSQKRQAPGLGFAPDPDGIVRTYFRPHKTGGENTSWTVSGTLPSEGGCNLVKPQTGTVQSMCGLGSYCVETKERLSCMCPPGYTYIDAQHTDSGCTLMFVPQSCDLASSRDDKSSDEFSLEISIYYKTFPSVTEEQCREYCLSDCFCTAALVINGSYCEEVGALANGRQGDDVTMINALIKYLRQSTARNKMPRPYKIVAVCLGSLFEMITVGILVAAQHYLGRRNRENQQPLCSSVRAFSRKELYQATNGFDKLLGKGSFGEVYKGTVRSLKPHLIAVKKLIDSNEYSKQEFTNEVQSIRQIHHRNLVRMIGYCKQGKHRMLVFEFMPGGSLRSFLFNPQKRRPPWRWRAKASVSIARGLEYLHDGCNTPIIHCDIKPDNILLDDHGVPRITDFGISKLLRSQQVHTTVTHVRGTRGYIAPEWLRGNARVDTKADVYSFSVVLLEMICFRRCQEPVTIDTSHGGGGYDETVTLFGWAAQLVCARRAELLLHGGDADAVEDIEMVEKFARVALWCMEPNPAAAANHARGEMELKLRRCLTLPVSTLYIHSGNDYSRPHTGYNGQIGFHCVTRNRESQRLLMLSSSATNGFDKLLGKGSFAEVYKGTIRSPQPHVIAVKKLINSNKYRVQEFANNVQFIGHIHHQNYWGKAAIITG >Dexi2B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:2B:29616006:29616329:-1 gene:Dexi2B01G0019480 transcript:Dexi2B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALASVAAASAAGFGSAWLATLGVALVSLWVISLAVLLCGDGPGPEETTTPAAQRGRRRATASSSSSSIIAGVAAMNAATMASSAAAAAASSAAACAAPTSAPCC >Dexi2A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:2A:25461067:25463974:-1 gene:Dexi2A01G0014980 transcript:Dexi2A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKKRKMANQRAEGHKSTPGSQETDKEEEREGQDKSTAIAAEKYTLEPAQGCVLRLRAVFNTRLASGYLLMEKKIWMMSGLLDRHHARRRLPALLVLRDRQPGLQEMRGRRPGLQHDDGEMTQELIVCGVGVEGYSAP >Dexi7B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:7B:21459444:21464215:1 gene:Dexi7B01G0015400 transcript:Dexi7B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGRTEAIMREIASLRAQRDELDGRIRFFEAQLRLRVGGAASHTSKLPPNLSTKLGAMGAHDATAAGGGGLSADMVRRYSRHLLLPDFGVQGSLGIADGENVELKNLHGQPLISGSTIGLEGQLTVYNHNGSPCYRCLFPNPTSCQSSSDKSILGVVPGVIGCLQALEVIKIATHVGEPLCGRMLYFDALTSHFKTVNKIHESSLSTCAVCGDNSNFTEDTFMMFDYESFTRASNSSKPLPSLNRLPRTSGVTSREYKRVLDSGRPHLLLDVRPEHHFQIASIANSVNIPLHELKERLPRLKDALSEVVDVSHGKHRPVYFISQCDDDSQVAVHILRENGFPYASDVIGGLESWAQEVDPDFPIYW >DexiUA01G0020250.1:cds pep primary_assembly:Fonio_CM05836:UA:42236783:42238494:1 gene:DexiUA01G0020250 transcript:DexiUA01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLLHERADMDRIVHQARGEMDSKLKLERENMLGRVKLQRRVLDQKLQHHRASMDKMLCEERENMDQMLKLERESMDRRFQQEREEMDRTIKMDRLSMDAEIMQERAQMDMKVLEERQEMDLKILLEDEGAWPCSLPSCAPALYG >Dexi5A01G0027680.1:cds pep primary_assembly:Fonio_CM05836:5A:31113557:31113862:-1 gene:Dexi5A01G0027680 transcript:Dexi5A01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGEAGMKAAGAAEASTSGAAAPFGRSSSRLSVPGAESFDGALRELKDLRSQLHEAADCCEKAFIKTEKKKM >DexiUA01G0004850.1:cds pep primary_assembly:Fonio_CM05836:UA:8996028:8996470:1 gene:DexiUA01G0004850 transcript:DexiUA01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLAELVVGEYKLQKGVKGEIKELEQEMECITAALHKVSEVPADQLDQQVKIWAGDARQLSYDIEDAVDTFMLRCKEHVHTDPSFSLKRLIGKATDLYKKAKTNHKIHNVIKDIMDQVKKVQGRQHCCQANFGAC >Dexi7B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:7B:13032228:13034902:1 gene:Dexi7B01G0005570 transcript:Dexi7B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKKEEVEKPKEAAAAPAEEKPKDAGAPAEEKPKEGGGDEKKEEAPPPPPEEVEMRVYMHCEGCARKVKKILRRLDGVEDVIADSKAHKVVPPVIAVVLKVHMHCEACAEGIRKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVPPAETAAGDDKAKEEKKEGGGEEKKDESKDEKKDGKEGGGGGEEKKEDEKKDKEDGEAAAGDEKDKEKDAAAIVAANMYMHYPRYAFPGGYYPPPPLPPPGYVYQPAYQPAYPPPPSYAMHHHQTVAPQLFSDENPNACSVM >Dexi9A01G0032500.1:cds pep primary_assembly:Fonio_CM05836:9A:37401076:37402512:-1 gene:Dexi9A01G0032500 transcript:Dexi9A01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRHSAALLALVLAVTCSVAVGYDPLDPNGNITIKWDVISWTPDGYVAMVTMSNYQMYRHIMAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKKTPSIVDLLPGVPYNQQIANCCKAGVVSAYGQDMAGSVSAFQVSVGLAGTTNKTVKLPKNFTLMGPGPGYTCGPAKVVPSTVYFTPDHRRKTQALMTWTVTCTYSEQLASRYPSCCVSFSSFYNDTIVPCPRCACGCGHGGHGGASCIAGDSKRAMSAGVNTPRKDGAPLLQCTQHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVTEVFSFQYKPLVPYGAINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDARTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNAAPAVLAPSRQAMAAASAILLVLLMVA >Dexi3B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:3B:1582804:1583451:1 gene:Dexi3B01G0002270 transcript:Dexi3B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVFLASGISFSISRFSSTVDPDPHRKTRAAATVRATTQRQTRRRHDVEEAMLRRLSCPGACPAAALSCPLHHRRVVVLAVCNMLKRCLFPQAAASSPARRSMVSFPHLTVFGRGKALRGLLPHLSTGAARSSLESETRLHLAIASLPPPLRAHALSPPSRCMVPAPP >Dexi9B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:9B:2458450:2461034:1 gene:Dexi9B01G0004310 transcript:Dexi9B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVGAGGAGLTRWQAAALSAVAGWVWAASFYDLTRRARALVQPWVTRRVHAETTAILRFQRLQHKLLDNFFAAVSCVVSVPFYTGFLPLLFWSGHNKLARQMTLLLAFSDYLGNSVKDLVSAPRPCSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLTGYLLHYVLTYGEHGSLMTAVGLSLVFLLVLLVGIARIYLGMHSLIDVVAGIGFGIVILAFWLVVHDHVDAFVVSGQNVASFWAGLSLLLCFAYPKPEFPTPSFENHTSFTGVAFGIVYGIQQTYFHFHTPDAPLIFSPQLPLLVFAGRVLVGIPTILVVKFCSKALSKWLLPVMCSTLGIPIVSSCYVPALKVNSSKSKPDAKQPAGYLQRLFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLNL >Dexi2A01G0034970.1:cds pep primary_assembly:Fonio_CM05836:2A:44733673:44737258:1 gene:Dexi2A01G0034970 transcript:Dexi2A01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAGVSPPKLEAPINVEEEDDDSTFIVLDGEVWRTKKSKPKAPPPKRMEAEEERRAVTEEEEEEAPELEMIGPDDEGHWMTVAQFRRYWNQRWSGYYGSFEDTTRISPMRFTDEPVEGNPRANGMDTLQIYSVKLAAARGGLQLPLDVFGPTRAVMLEFNPVIVEVDLKVKGIIESKDVYLSFLVAPRIILLDSGAEELPVADDGKIELSRRVVPVEGCGEVIVQVKSFKGCCQEMAGGSDDNAAGHRKPSLPWTVRVQLAALGLAHRPDGSIRRLLFSLGDLKASASARPNAAGVRSGDVTIDASRGLWARVFSPSSSGDADAQPVPVVVYFHGGGFVLFSAASRPYDAFCRRICRELRAVVVSVNYRLAPHHRFPAAYDDGVATLEYLDTNALPADVVTVPVDLSSCFLAGDSAGGNIAHHVAQRWASMSAARLRVAGAVLIQPFFGGEERTDAEVELDRVSALSVAGTDHYWREFLPEGATRDHPAARVCGDGVELAEAFPPAMVVIGGFDLLKDWQARYAETLRGKGKQMRVVEYPDAVHGFHAFPELADAGKLVEEMKLFVQEHRSKRAV >Dexi3B01G0029590.1:cds pep primary_assembly:Fonio_CM05836:3B:28854830:28858227:1 gene:Dexi3B01G0029590 transcript:Dexi3B01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQISLLRGGASQEMFTFSFGGSSGNLLVAGSNAQVLLWDWRNSKQVACLEESHMDDVTQVHGSYNIWTEKYVFYYLLLDSVWDWNDGSRELNIEDARSLATDKWNLDQVSSQ >Dexi6A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:6A:1538098:1543108:1 gene:Dexi6A01G0001630 transcript:Dexi6A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding HISEEKGEEDLPEGVLSVSLLKHQGLGKTISTIALIQKERLQQSRFMSNDSECQISADGDDKAMLVMDKKELTALASQSRVVIAQPNKKTRWASELSAKVTQSAELSVLVYHGGSRTKDPTELAEYDVVVTTYAIVSLEVPTESIDGETLLDGQPIIKIPPKTIQLSKIDFTKQERAFYLNLEESSRQTLKEPPVDAVASTCGHVFCRNCVHGKLIEDDDVKEKVCPAPPHCGKEISPDSLFSAYASKLCLWPKSEDQPFSVCESSYVSSKIQATINILKSTINREDDHDAMGSNSSEKAPNKAIVFTQWTCMLDLLERSLTSNHIEFRRLDGSMPLNIKERAVKEFNTEPEVRVIIMSLKAGNLGLNMIAACHVIMLDPWWNPSAEDQAVDRAHRIGQTRLVTVSGLTVKDTVEDRILSLQGQFGSRGKGKPGKISNCRNLYCLRQQSRKRRRRRSEQEAGNDADDEYFHGKDADDEYFHGKKSPVSRGRARLPVSLAPLTRVPNRGREKNAGGALTSVAFPGLAISRPSYACQLSRGGAQLTVACSGVAAVDDVAMSAGGRWRSTRIVANGRPCRRAPAVSEHATAAISCQRYSRN >Dexi7B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:7B:25626621:25627700:1 gene:Dexi7B01G0020230 transcript:Dexi7B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSTSAAKHAQRLSETSSRCVTGSITATHNFEVTNFSQLDGKGARKFVSSSTFTVGGYDWKIDFYPDGNDMQNYGVYASAFLYFLRGLDGVTVRLSLSFLGKDNRVSIQKTDTRTFPSVGSDWGWSKFIEKSHLQELLHLNAGRFTIRCVLTLIDAPHAEEGSAIKIPEPNLHQDLMDMLKNGEGADVTFSVGEQLFSAHKCILAARSTVFKAELFGALKERDSTRCIKIDDMDPTIFEALLHFIYTDSLLEDFDADKNNAATQHLLVASDRYGVDGLRLMCEAKLCKDIDVQTVATTLALAEQHHCAQLKDACIGFIARRKVLDAVMKTDGFKHLSASCPSTMKEILDKVAAVWSQ >Dexi2A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:2A:24918660:24918942:1 gene:Dexi2A01G0014790 transcript:Dexi2A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWARHRRKILVSLGVAGAGYAAYRLYDAHRAQLVRVEQLRAREEQAADDLVKNQ >Dexi8A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:8A:7565944:7566076:1 gene:Dexi8A01G0006960 transcript:Dexi8A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKKALLVPLVVLLLSALLATATVPAGHGFANAPR >Dexi3B01G0030190.1:cds pep primary_assembly:Fonio_CM05836:3B:29686326:29686532:-1 gene:Dexi3B01G0030190 transcript:Dexi3B01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLELLHGRAEEACAASGTAELTCRAASDSWGCTRLRLWARNTSDGGAWPLQRQIWRLARGAER >Dexi7B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:7B:2732287:2736942:1 gene:Dexi7B01G0001650 transcript:Dexi7B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVGAVTSLLGLIRNEALLLGNLGSDVQFIKEEMESMNSFLKHLSKTAPRGPSGEPDEQVQTWMKQVRELAHDCSNCIDLYLQRGNPAAHRYYLSGSLLQRIACWAPWMVDKLLAQHYAANQLRELRRRAHDVGQRRLRYGVEVPKQPTGTAAEEATTAVASSSTLSNKTAAEAPVTAAPPAAVQEDNEVEGDEDQSDGEGGPYDGYEAAKTAAVRRRALEPHSLDDYCVEKILEWLEGDAAMRESKIPSIAIVASDPEVVGANARDALAFVASTHFKRSVWINLLKMHTQYLMEKRYTIARFLTTLLRLPRVVLTRNPPRPIDILCYILCECQEQNKQKKYHGEVQEHNVKNQAYKDRSTILGEISAKFQDEKMQKKVEEIVSKIKEVEASLAQESETDKSEDTTDGAMETTYDTHWTNKSLGILLQALNFLLIKPGETSRKSFGEGTDKILWDHDKIIKETAKKLKQHIEAVEPDLAKKDATDPEQKEKEEAKPVFPVSLDLFRYEHILHKMFPANEPQQAQEATTTCSPYGSRAAGADSSATATTSLGNAELKEIIHNIVHGILQDILKEQQQQFLQLPEATGKPAAKQEQATQHKPIHNEEDEYASAMKEAKQKIPQIKSEIKEQVTIQMVIDKIKTENFFQSEKTLIIIEDDGNYVSDWEEIRNALNQLLSKGSAMIVTTRNIQRAKEICNPPRDPIRNSIVSLYHDILLQFTSQSVNKDASQIFRDILDKCYPNEFCMKIFTHAIYANPNRSNEDLCKLLGSLDSQKSLGSINAKKMIKFSYNDLRKEYKACLLYLAIYPPGYPISRSTLVGRWVVEGLIAKEEWPNAVHHAERCFEALVNRWLVYPSDIGGVGKAKSCVVGDLVHEFITKIAKKQHIVEPRLSHHLARHFSIFNDVRLRGSDGIDTFLKKLRGSSEFLMLKVLDLEGCHCFQRNQYYLNEICRNILLLKYLSLKGTDITQIPSEINNLYELEVLDIRQTMVPSYATRSLLLLKLKRLLAGHTDLSSRNGDTDNSKRDNMPLFSFFQSKRTPLLSSVQAPLKIRKMANLEVLSNVKVSWTGKELKDIGKLWKLRKLGVVIDDKENLLNNLLVAISDLYESLRSLSIYTVPSTIREGTSPNGHLLKNIRPYLRYRPKLLESLSIHGSTHNGDLLSILAEGLSKLVKVTLSSTSLNQDDMKVLSELPHLRYFRLRYKGYTADKLTFKQDGFKNIKSFLVEGSNMMIIEFQNGAAPELEKIVLSSTNIKSLCRVGGLPKLKELELKKNRFLLSITEEGGTDEKYTRSKLTFKKDEFQKLKYFLVEGPNMETDITFEDKAALELEKVVLSFANIMSFSGANNLLKFKHLELKCNKSLLLSSLENAKKISKVILHSTWLDRGNLQILAKKPRIRCLVLSQNSYDESQLIFNNNDFPELNILIIECSTITNISFTKGAAPKLEKIVWSFSKMNSLSGITNLSKLKELEFTGDFVPDQVRDSIKTHPMQPFLTLKQPQHQDQGNGRVQEDDNDGKVSAACSWLLKNKYWPAAGQDEDLLAHILHPSIHP >Dexi2A01G0029130.1:cds pep primary_assembly:Fonio_CM05836:2A:40237428:40239263:-1 gene:Dexi2A01G0029130 transcript:Dexi2A01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVHFLGAALPLLLLFAVAEAAGEVGVNYGRVANNLPDPASVVTLLKQNGITMVKLFDANQKVLTSLANTGIKVMVMLPNEDVAAVASSPSTALQWARANVAAYRPATQIHAVAVGNEVFDSRPDLNSDLVPAMTNIQAALAQLNLANDVKVTTPIAFDALAESWPPSAGVFRDDIAQAVMKPMLDFLDRTGSYLTVNIYPFLAYADDPDQISLDYALGNTNPAAVRDDDTGLVNHSLLDAQLDATYYAMEDLGFPSLRACLGETGLPSGGRSPVATVANAHAYVNNVINRVLSGNTGTPHRPDADMDVYIFALFNENQKGTGPDDVEQHFGLFYPNMNKVYEFDFQHATGNGGGGGGAKASWCVANAGVGDARLQAALDYACGHGADCGAIQPGATCFEPNTKVAHASYAFNSYYQKMNRATGTCDFAGAASVVYQEPAGACDATSSWCVANAGVGDARLQAALDYACGHGADCSGIQPGAACFQPDTKAAHASHAFNSYYQRNGRASGTCDFARAASVVHQAPSEFALVR >Dexi5A01G0029940.1:cds pep primary_assembly:Fonio_CM05836:5A:32992564:32995077:-1 gene:Dexi5A01G0029940 transcript:Dexi5A01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASLLSVALALAALIPILLFLLNRLLYGKLPPGPRPRPVVGNLFDVQPVRCRCYQEWARKYGPIMTVWLGSEPTVVVSTAELAKEVLKTHDQSLADRQRDRSSERFSRGGMDLIWADYGAHYIKVRKLCNLELFTPRRLEALRPIREDEVTAMVESIYTTVTTPAVLTFPPTLLEGSEGKPLVVKNHLSMVAFNNITRLAFGKRFVNAAGELDEQGREFKSIVTNGIKIGASLSIARYIPWLKWLAPVDEQIFKEHGDRRDGSPDKYDLSDDTVIGLLWDMITAGTDTTVISVEWAMAELVKNPRVQEKVQEELDRVVGRDRVLLETDFPNLPYLQALVKESLRLHPPTPLMLPHKASSSVKIAGYDIPKGANVIVNVWAVARDPNVWDNPLEFRPERFLQENIDIKGADFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHNFSWRLPEGTRPEDVEMMETPGLVTFMATPLKAVATPRLDKEELYRRVPYEM >Dexi5B01G0025450.1:cds pep primary_assembly:Fonio_CM05836:5B:27458029:27459105:1 gene:Dexi5B01G0025450 transcript:Dexi5B01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALPATAAASCRLQLSRRGTSPSLSPPRGSTFRCHGGSSVACSCSPGPPPAVPGERRGGVAGQATSPEGTVRIVAVVGEGTISPIKDTPWEEVMRHTADRLKWIDEGFEMLVFTDNSIEKDDIRKDRLHVY >Dexi8B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:8B:8478584:8479962:1 gene:Dexi8B01G0007220 transcript:Dexi8B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDMNGTIPKIDFAGIDPAAASPGDGDSRWATVRAAVMDALMEHGGFEAVMDDLVAPELRAAMLATGGAAESLFSLPPTTKARYTNEKPYLGYVSSSIPGMPYETFSVMDPLSPDVVPTLAGLMWPDTGGDSSFCETMHAYTERVAVLEAMVRRMVLESVGVNAEHIEEQAKTTSLRLRISRYPAPGGAGAEGRVGLPAHRDTSFLSVLTQNDVDGLEVECGRGDGGWARPALSPCSFLILAGDMLKVLTNGRVYSPLHRVVIAGDKTRYSCILFSNPKDDAVVRAVDGAVDAQHPAVYKAFGYAEYIAFCFTREQYRNPNKVEAFAAVAGVEG >Dexi4B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:4B:3590901:3594590:1 gene:Dexi4B01G0005010 transcript:Dexi4B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEEPDDTERLGLGEEGVEEAGEFPSGQVFDGHGGKDAAHFVRDNLPRVIVEDADFPLQLEKVISRSFMQIDFQFAETCSHHRALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSMDHRPCSLTEKLRIESLGGYVDDGYLNGLLGVTRALGDWHLEGLKELGEPGGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARRRLQVHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLEAPLQIKVDRPGRVARSISADGLNSLRKLLERK >Dexi9B01G0026090.1:cds pep primary_assembly:Fonio_CM05836:9B:27908390:27910950:-1 gene:Dexi9B01G0026090 transcript:Dexi9B01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHASFLVFLLLGVTLPMYFFPGAEAGEVGVCWGTVADNLPDPGSVVQLLKRNSITMVRIYDTNSSVVNALANTDIKLMVALPNELVANAASSSSYALQWARDNVAKYYPSTHINGIAVGNEVFDSASNLNQKLVPAMRNVYAALQTLGLDGAIKVSTPVAYSALKVSWPPSQAAFRDDIPQSVMADLVSFLRHTGAPFSINVYPYRAYLDDPQHISLEYWTFQPNDGVADPNTGRRYYSLYDAQLDAIRYAIGTVSPAGASRLRASLAQGTGAAVDVQLSCTECGCSCYNFRGKHCPCPKFANGLISYTLAASSSSAAARRSSKYGASLLGSTGGGLAASAYIFSLFNEDNKPGDESEREFGLFYPNMQPVYDVDFVHGSGPVSPTPAPASSWCVANTAVGDARLQAALDWACDHGADCSAIQPGARCFSPDTKAAHATYAFNDYYQRNSQASSACDFNGAGSIVYQQPSEFLASWCVANTAAGDARLQAALDWACGHGADCTAIQPGGRCFSPDTKAAHATYAFNNYYQRNSQASSACDFNGAGSIVYQQPNICDPNQPASWCVAKAEVGDARLQAALDWACGHGADCSAVQRGGRCFDPDTKVSHASYAFNDYYQRNGRAASACDFSGAGSIVYQAPSEFKLISHDR >Dexi4B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:4B:14566570:14567860:-1 gene:Dexi4B01G0014070 transcript:Dexi4B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLHWCYGSSDDNWDLHAVVRFACGGGGGHVTPPKASDEPFSWLMPRPQKDEKTDAAPCQPLRPADEDIYFFAAPMADTTTQPASPRNEAPSQQPLAKPRRISYRNGGGPERSKRKKKRIQVSKEVTRVPAGAPSPDPWAWRKYGQKPIKGSPYPRGYYRCSTDKECKARKQVERCRADHTTLIVTYTGGEHRHPVPLHRNSLSGTTRNNNKTQPRSSTSAAEEEPPQPQAAPNSPSDNNKPQASPPVSAGLPPLTTLLGSPEEKEHDDEEDDEKKAFAASDILLEDVEMEGEADMLQFLKPAPGSDNGNGWEDTMAEQKEPAPGPGNGGGFDDWEEVMQLFAKVHEPSPTTTRPNRTDGVSPAAMNITEEKFIPGSGISPWEAAAVSACSIWA >Dexi9A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:9A:7692883:7694583:-1 gene:Dexi9A01G0012170 transcript:Dexi9A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLFPSTPPCCPCGAGAARSSGPMLVAMPSPSASPSFSVATRLQLGGRSRGRTGVVRVGGGGKGEGAKDGGAAAFFGEDGVVEDMDGYLDYLSLEYDSVWDTKPAWCQPWTILLTGTAVVASSWVLIQSVIVTAGISFIICAWWYIFLYSYPKAYTEMIAERRSKVASGAEDTYGMEKIQ >Dexi1B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:1B:22653556:22655371:1 gene:Dexi1B01G0016070 transcript:Dexi1B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSSLLSARNQGWWTISPNSSIGNGISSLDLRHHRSMTLGEAPVAVGTIGAVQTELRSHACTPSFVALAPILPGEPVNTAAGTVAVVVITAELAAAANTVVHSAKADATWRCCLCICYHLALPSTSIAADSPFAGDVGPKPPASTSSMAEAQLPRLSSRVAPPVDPTCSAPYSNGQTVCLVACYHPTSKQLLFELQCSRSITDGVIWVDPILEDASQISNVPTHTPVKGGLAPYSSDQIVFLVACHQPTVEQLPFELQCSRSITDGVIWVDPMLEDASQISNAPTCTPDKGSPAPTTPAPACTIQVADLLTPSKGRGFMPGCRFLLDSNISNQAIQPTAHYRGRNGGNCSLPGRSHTLLKISAKQQHSGQRTGDLLSCIEATAKPASKWKGEIVAMKKLGLLDGKEANTDEARHEYSCFFAEIIDQEGCLTRSLSMLRWLDSSGTSSPSHLGVFDSLS >DexiUA01G0004610.1:cds pep primary_assembly:Fonio_CM05836:UA:8711629:8714498:-1 gene:DexiUA01G0004610 transcript:DexiUA01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSPAYHSPPRRGHGGRGRSPPPRRGYGGGGGGGGGGGGRGGRGDQGSVSLLVRNIPLRCRPEELRAPFERFGPVRDVYLPRDYHTGSYSPAPRRRDDYSASPPRAKEEQRRSSKQPKEIDGDKKRRSYTPEDMNDRRGGDNEEDEEPRRGRRRSPRPASVSPPGSRSRSASPASSG >Dexi8A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:8A:5273550:5287682:1 gene:Dexi8A01G0005610 transcript:Dexi8A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAPQSMFLRYWILAAWALITAMLCWGIVSLVGSAIRCTLERPYLHLSFLGFMFVIAHVALMILLIRPEQRGGISDEDDLRGLRQGFRGLAQVLRGVLEIAVPLLRCKAVNLIMANFNSSEPRDSREPASPSPSTSSSISREKGDLAEVDDPESAMSTVARLLEDLHASMVSPSEKEATTRRIGSSAAKVNAAALLSALCKEEDLRVRVLLGGCIPPLISLLKSESAEAKKAAAEAIYEVSSGGLSDDHIGRKIFVTEGVVPTLWDLLNPRSRQDRVVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARFISAFGDSIPKIIDAGAVKALLHLLNRDNVISVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGETCHSLQSHAVHALSNICGGTTSLLLYLGELCQAPRSPVPLADILGALAYSLMVFDGTDSKSFDPIEIENTLVVLLKSHDSKLDRILEALASLYGNDCLSDRLDQSNSKKVLVGLITMAPADVQEHLVRALTSLCCDGVGIWEALGKREGVQLLISLLGLSSEQQQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAYIMWNMCSDSDDIRACIESAGAVLALIWLLKSGSPRGQEAAVKALKKLIRSDDSATINQLLALLLSDSLSSKVHVITVLGHVLVLAPQRALIQNGAPANKGLRSLVLVLESSNEETQEIAATVLADIFTTRQDICDILDIDEIVQPCMKLLTSGNQVIATQSARALGALSCSASAMSKSKMSCLTEGDVRPLIEMAKTSSIDVAETAFAALANLLSDAQIAKEALDDNIVLALTRVLKEGSLEGKISASRSLHQLLNQFPLSEVLPDYSQCGFVIHALLVCCNCCPMLQEQYEESENIWTCALLLATLFQDSVVVQSSEIMRTVPSLASLLKSDHTIDKYFAAQALASLVSTGSRGIQLAIANSGAVLGAVALIGHVESDMPNLVTMAEEFKLAENPSQIILTNLFELEDVCTGAIARRSIPLLVDLLKPMPDRPGAPLIALHLLTQLAEGNEMNKVAMAEAGALDALTKYLSLSPQDSTETTITNLLGILYSNPDLLYHESSRSTSNQLVAVLRLGSRSSRLSAVRTLQKLFDSENIRDTEVARQAIQPLLDMLESGTEIEQQAALGALIKLSAGNISEGSAMFDVEGNTLENLYKILSFSSSLELKKDAAQLCYILFENSTIRASPIATECLQPLISLMTSGSSLVVEPAVCALNRLLDEEYNAEVAATGEVIDLLVSFVPGTNYQLSEACIGALIKLGKDRPNCKLDMVKSGIIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDFTMWDQHSALQALVNILEKPQSLAALKLTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGIFELSKVIVQDDPQPSQALWESAALVLCNVLRYNSDNYVKVSMAVLVRLLNSTMESTVTIALSALLVQERSSSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNSRVRETKVAKYAIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDISGQATLLIKYLFSNHTLQEYVSNELIRSLTAALERELLSASSINEVILRTIYVIFSNFKKVRFSEAATLCIPHLVCALKDGSEAAQESVLDTLYLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLHCLPGCLTVTIIRGNNLKQTMGGTNAFCCLQIGNGPPRQTKVVNHSICPAWNEGFTWLFDVAPKGQKLYIVCKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGAP >Dexi6B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:6B:24275519:24275987:-1 gene:Dexi6B01G0017050 transcript:Dexi6B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSSGSGMLRKGRARRVTTGGKVHKDLGWVGVRERLWGGYAAEIRIPSSRSRVWIGRFQHARQAALAYDAAMFCFYGERLPNLRKYNFPTMPHPDIPEDVRRGLTIANVKAISEKHARSFASWKMGLALLPP >Dexi5B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:5B:9565912:9566922:1 gene:Dexi5B01G0013470 transcript:Dexi5B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSGSSSTSSLIREAVGLPFGVSVILVLDAGGTIMETLGFYWVDDDGWIFLPTLWGDVDEAAVRKALDGPSDGRLDITAVRRCHHREARAVGFLDKMKGRGAHGSSDGMARLRKTSRRRLTAAN >DexiUA01G0027740.1:cds pep primary_assembly:Fonio_CM05836:UA:59434422:59439567:-1 gene:DexiUA01G0027740 transcript:DexiUA01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFCQASHGASLAGAGVAVARSKGIWPVPTRPPLVLAAAHSRRQKQQPSSLQQATAAAGEKPRKVVGSKLPAASPTRTLYVQGKLRLQTFLDSPNKQLRLSFQLVSTTVAGGDGRGVKGEEAVLEAILGGGEETELDVKLAWHEALGAPGAVVVKNHSGFPVYLTLLSCSDAAGLGNAVHFACNGWVYPVGKHPYRLFFTNDACVKENTPSALLGYREDELTVLRGEGAGASSADEQPFQEWDRVYDYALYNDLGNPDLRKDLARPVLGGSDEYPHPRRTKTGRPPTRTDPHTETRVRLDQQNYVPCDERVGIPTIAAPNLPNLGGHFKSMVEIYGLVGLDHVGQVATAVKQVINSGAAPPKLPVPLMVAGTNPVCIKRVTKFPLTSDLDRTIYGDQDSKIRKDHIEMNMSAMTVQQAVEEGRLFVVDHHDWVMPYLKRINELPGEEEKGEISQRKAYAARTLLFLNDDSTLRPLAIELSSPHPEEGQLGCVSTVYTPPETSSDDILSPPEKFTAWDLAKAHAAVNDTCKNNFAIHWINVHATMEPLVIATNRQLSVLHPVHKLLKPHFRNTLHVNAVARQIIFGSGDRRKNGDIFRGIQEVIYLPSKYGLEMSSKAYKNWNFTELALPADLVKRGVARGDPKNPEKLELLIKDYPYAVDGLEIWIAIKNWVTDYCAIYYTNDGAVTSDTELQAWWWEVRHVGHGDLRDAPWWPAMNCLDDLVETCTTIIWLGSAQHAAVGLGQYGYQGFVPNSPTLTSRPMPEAGAEVTESEFLGSITPKKETLALMGMAAKSLTRTGEVFLGQRPDSELWTSEQRADEAMARFQARLEVVADDIRRRNADPTLKNRAGTVEVPYTQLMPTREPGPVIRGIPNSITN >Dexi2B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:2B:782038:782472:-1 gene:Dexi2B01G0001210 transcript:Dexi2B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYIPYATGEAKDSQAEQVNKISLDVLRRGHGAAADDHAEVVGTSASSHLETVTVGDSSWHEQASTLSCREERAAGALDAAGASSPSLRALRALAMSATLMKAVFSLSLRHELGREGKGGGERPRLGLGAAQHGDAWERCRRT >Dexi9A01G0041660.1:cds pep primary_assembly:Fonio_CM05836:9A:45273909:45277534:-1 gene:Dexi9A01G0041660 transcript:Dexi9A01G0041660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCRAPPLAFATAAGDEATSLRALQGRHRSGEEEQEDEHGGEGERSGQATGREDAGDLAAGEEGTLRCSAESQLLASARRHQIKNKKHEDQGGEGREADAYRREGSGDCGRRMEIVVVAVGMCRTATPLPRAATRPPRRGCQLHGHDVFGPTTEGLLVLLDRSTYAVRVLNPFTRQVVKLPPATTLIARMTLRYLIVLVCCRCQARALLTTAPSRSILVRSERLLSSSQLMCTGQWLIVADTSCQLCLLLAASIVPPLELCADQPPRLAIAAKLTRPFARIMMDTVHLVDNDGEMMLVDRIYNANGNRKYEVYRVDLDARNMVSVRGFGGRAVFIGIEVALSISPLVFPSVRADTIYLGFDDLMLGMLDNSPINLMDGTAEPRQFEDSRAVADMALYGPLCLEEYLSWSVTGYRDTLRDTV >Dexi8B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:8B:5494719:5496932:1 gene:Dexi8B01G0005410 transcript:Dexi8B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGPSSPKMGKTMLDLIRDKESQVGEERKKFGCQLTSKYPVCTTNDWTIIGLASMSSKLKLQEAISSTDKPISGNMDDFTFPILHAGGGPCKQLVPSAFSHQLGLVAGGSPPPWFLATTGGKEGEDEEKMDMLWEDFNEELASVPPLCPLSPVINKQERLETKEEAWLEDELIVVDMEKSMKHLQHPQDGRVVRRRRWSVRLMLRLLKKLFLVKKSRNPRTAPI >Dexi8B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:8B:8281333:8282960:1 gene:Dexi8B01G0007090 transcript:Dexi8B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVMPVILSFLFLVLCHPYAAVSSAGQAPCCYKRLFSLGDSIIDNGNLGAVNPNISALAFPYGETFFHHPTGRFCDGRVIVDFIAEALRLPFLTPFLAGKTAEDFEHGANFAVAGATALSQQFFKDMGLDLTIIPPFSLDVEWFKRVLCMLGPTEQERMEIMSSSLFLLGEIGGNDYNHPFFQNRSFSTEIKPLVPKVIEKIENATKVLIGLGAKTIVVPGNFPVGCIPRYLTMFQSNNSSQYDAAGCIKWLNDFSEEHNNALRRMLKRVASSDPTVTIIYGDYYGAVLEITRSPQKHGFRKDVALTACCGDGGPHNSGTLFSCNATSVLCPDPSTHISWDGLHLTEATYQFVARGMLDGPYAVPSILSKCRH >Dexi9B01G0033730.1:cds pep primary_assembly:Fonio_CM05836:9B:35857584:35860678:-1 gene:Dexi9B01G0033730 transcript:Dexi9B01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSSYYDGDNHGEDGSEHAARSANQCWNVLLPKIATDGRSRVTELSLVCDRVLQRARSYMGVSALPGKMKQPGALLCVEGAMMVSLLRESELHMWHTILLRHGQDQAPASTVGAHHDRPVAHAAQEQEA >Dexi4A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:4A:3099153:3100734:-1 gene:Dexi4A01G0004340 transcript:Dexi4A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARLVLLVATALCCASASSSASPAVRTAGRCRSFPAVFNFGDSNSDTGGFWAAFPAQGGPFGMTYFDKPAGRASDGRLAIDFIGTHALSLQHLIGSDFRSGANFATLASTVLLPNTSLFVTGTSPFSLAIQLNQMKAFRDRVISSHGKNGRLPSPDIFGRALYTIDIGQNDITSNLGSGGVETVKQSLPSVVNQISWTIQDLYNIGARNFMVFNMAPIGCYPAFLTELPHSSNDLDEFGCMKTYSSGVVYYNELLKNILAEVRKKMQDASIVYVDKHTVMLELFRHPHAHVWD >Dexi4A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:4A:3543674:3543991:1 gene:Dexi4A01G0005030 transcript:Dexi4A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTPKPTPRKPPKLETEFSCPFCNHRDAVGCLIDLKERYAKVECRICTESYVTKAHPLTAPVDVYAEWIDACEDANEGVVRRRCRRRLGDDADDDCQDYL >Dexi4B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:4B:4287504:4288691:-1 gene:Dexi4B01G0006080 transcript:Dexi4B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPLLLTAHSAAALLLLLGPGPDAARASRKRRRRGEVDLDPDAEASDPAPQQAAPPVPREEEGPPPPPAPEPLALPLPPTSPDHYPLAFRVSAPTFNFLSGLLDPLLSHPSLPPPPVLLALALARLASGLPYPALAARFGVPPSAPRAASRRLRRVLLANFRFWLAFPPSDPTSAYSAPLPSCRGALCCARFAGPGGPLAAQLVAGASSRVLSLAAGFRGDRTDLEVLRLSSLYQEVEQGRLLEPPQYLVGDGGRYPLLPWLMVPFPGPVVPGSQEAAFNAAHRAMCRPVRRAVRSLMGWGAIARLHEEESARAAVACIGTCAMLHNLLLTREDYSALAPDDAEMQSDLRGVLNQGDEAAAAAGSEEGFEVDGRALMLRSALATTMRDLRAPD >Dexi7A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:7A:2249942:2251342:-1 gene:Dexi7A01G0000820 transcript:Dexi7A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTASIPCVTLNTAHAIPVLGFGTGSSSTPSDLSSIIVDAVRLGYRHIDTAALYGTEGAVGAAVADAVRAGAVASRGDLFVTSKLWINDAHPDRVVPALKESLFRLGLDYLDLFLVHWPVSATTDEGKRTLVAFDMEGVWRGMEECQRLGLARSIGVSNFSSAKMSRLLSIAAVPPAVNQVELNVGWRQEKVREVCAENGVVVTAFSPLGAFGAAWGSNAVMESGVLQDVAARRGKTVAQVALRWLHEQGVCFVARSFNRERLKQNMELFDWELSEDDKAMIMQIPQRRACHGEFFVSPDGPYKSEEELWDGDI >Dexi5B01G0022260.1:cds pep primary_assembly:Fonio_CM05836:5B:24489286:24495251:1 gene:Dexi5B01G0022260 transcript:Dexi5B01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAAAVAPCPSPVGLGRPLCRAHAHPRHRRGFRLEASSSASAPAPASAAADEGAGAGPCPVVRFDMDDFAIADRVTVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPSNAVEQEDVPFVLVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHTHGLAHTELRLENVHVSPIDKHVKVGILGNASDFHDNDPSNSTVASNNERRELMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKMLDRQWGAGWNLLALLLATKPKKRISCIDALRHPFLCGPKWRIDPSTNIIRWGLGSTAVRMAEDYIYGHHQRRRLAYFVELMEVLNPNLRTENWLHLLPGRWRLLYCTGRHIGLTLRQPCPRILISDVFLTVSSESVDPVFSLTSDIGFRIMPESNWPHDKSGTEGALSVTTSARITAGRMYINEQDSKESRVTSSRSPRRYLRGKWREVSKMKELPASLPSVNIAMDEVDVSMSCSSVLNVNSAQKVLQEIRTQTPPEMFDLSKIVCGTYIDARLMVLRGVNGSALLFVRSNPTTDP >Dexi9A01G0046620.1:cds pep primary_assembly:Fonio_CM05836:9A:49964517:49964849:-1 gene:Dexi9A01G0046620 transcript:Dexi9A01G0046620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESWKKLPGDAAGWAAPAAGAGTGRKAGGGRKHLSSIANHVLRQCSLTIEKQTTSQLQVQS >Dexi9A01G0030000.1:cds pep primary_assembly:Fonio_CM05836:9A:34922328:34928303:1 gene:Dexi9A01G0030000 transcript:Dexi9A01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVQVFGQPASTDVARVMACLLERNLEFQLVRTDTFRRGHKIPEFDLSGKVVLKHGDTTLSVPTVVHQGPRACIEKWLQAETQSFDAPSAALAFHLAVSSPATSPHDDNEEDEEEEERHAATVAESERWLARVLDVYDEALGRSAYLAGDEFTLADLSHLPNAHYVAALLASRGNVARWYAAISARPAWRQVRALPLREAASEMRPQPKGASCCLQQRRSVLVATPCPAPNSFLPPNHLFPTHRRRVPLSTATPLPRHLHVPLAAVHRHPMACTPCSDEEEEAPNAPTSIHRRSEKMPAKVFGSPASSEVARVMTCLFEKDVEFQLIRVDSFRGPKRMPQYLKLQPHGEALSFEDGGVTLVELIASICGTLKSELILSHNAWNELLNAESRKILRHIADKYKNQGNKDLFGPGALERASIEQWLQTEAQSFDIPSAEMVYSLSYLPPDMPLDTGRGGLLPVGGMHPSHRQKMEEMLQRFEKSRKDLGKLLDIYEQRLGEEEFLAGSKFTLADLSHLPNADRLAADPRSARLIESRKNVSRWLYTISGRDSWRRVKELQRPPSAEAPF >Dexi7A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:7A:2225194:2226181:-1 gene:Dexi7A01G0000800 transcript:Dexi7A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSVLIKIGREDEFKVRPDYFVYNAGSAAAANSSRRPPSLLLLPPSYHYLRNTSTGLLRHGEDDLVVVACLKIVVPQDQDETPDNKDKEDVAEMILLCKGKWWISRWRGITGIEREKLPYCTSSCSVVPVGDNMLCWVFKSSGLMFCNVLDERRVLRYVPLPDDPHCSANYSSSWNVCVTSGGNVVKFVNMYARCCCGGAGASECKHSKHCYVVKTWTLTMDSMTWVLDGMMDSTELWTHDSYKSLPCRQPGYPVAVLAS >DexiUA01G0003850.1:cds pep primary_assembly:Fonio_CM05836:UA:7912700:7913418:1 gene:DexiUA01G0003850 transcript:DexiUA01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRYAPQLPFVLKGLMCTFPGGKKTVLIDGIDICTIGLHDLRTRLSIIPQDPVMFEGTLRSNIDPVGEYSDEQIWEALDACHLGDEVRKNELKLDSKGSISTSRSAPTCVFGKGDSEKEKDLGSG >Dexi4B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:4B:15479140:15479364:-1 gene:Dexi4B01G0014490 transcript:Dexi4B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPLASALRLSKTPRAPFSSSGSHLAPPHLARRLQTLARALASSSPQAMASAPAPKKASSLLFSLASLYLRP >Dexi6B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:6B:25933913:25935375:-1 gene:Dexi6B01G0019100 transcript:Dexi6B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGNPYPLAMDPRGGGGGRDRLSALPSDVLLLILLRLDTATTGRTSVLSHHWRRIWALLPELRFPAGADLRLVASALAAHQAPITFLHVRALDAAPEPVDACLALAATRLSGRLVFQNRLSPADGDGGGEEEAAGGFDLDLPPQRHRGLPRPRLALAVPTTGVVFARLTELSLQRVRFRGSWDLGGDAVSSPRCPCLQKLSVTYARGLPNLAIHSESLLQLKLQRLDGLQELTIVAQALDRLHLIQASATTPLAAHISTPQLVWLAWLDCFDSTSIQLANWPRLENLHSHFYVYAPHDVGLNHGFLRLLKQFQFIHHLNLTLVLVLYIKDIGNFQCAMEDLTKLPCLTMLTIRVEHNGHAFGASLFHVLRICSDLRMLTLHLHGQSDH >Dexi2B01G0036360.1:cds pep primary_assembly:Fonio_CM05836:2B:43200992:43208680:1 gene:Dexi2B01G0036360 transcript:Dexi2B01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHGLLLPRLASPAPRLLRATLAPSPPLLGFASASAARGLAAPLRAVVPADQREVGLDNQGNPGRPLRVGLVCGGPSAERGISLNSARSVLDHIQGEDLVVSCYYIDSAMNAFAISPAQLYSNTPSDFDFKLESLALGFHSLSDFAEHLATNVDIVFPVIHGKFGEDGGIQELLEKANVPFVGTPSKECQHAFDKHSASLELDTQGFLTVPNFLVEKEKLDKPELEGWFQTINLSKDNGKVIVKPTKAGSSIGVVVAYGVNDAAQKAEEIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQDSSSCEAKEDTIFNYRRKYLPTQQISGMEQTSFLFQQASALDVTPCLLAPANGYFSSHDQDFSDISREVWTLPYPLVLRHTTEEVHAACVEAAEPERVEITSRLREQVMNELGPALNKYDWFPGFDIAYEQPVKYSLEQWINHVKEAGAVVFIAVHGGIGEDGTIQTMLESAGVPYTGPGPIASKTCMDKAATSLAVDHLTSYGVRTIPKDLRATEEVLNSSLVNIWNELKAKLQTETVCVKPARDGCSTGVARLCCPKDLEVYSNALRRKFQRLPANCLSRAHGVIEMPVPPPESLIFEPFVETDEIIISKKLENGSARHLVWKGENDWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPVTIMSEDALQRCKKSIEIMANTLGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALAEEPPVYPHRFFRTLLDLAFERSSGASD >Dexi9A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:9A:10784526:10787642:1 gene:Dexi9A01G0015860 transcript:Dexi9A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVASTLKTLNPSPADHRGRRLSSSLLHLPPPVPRGRSLRCSAQYGEAAAPATTTTTPRPAEIAWSRELCNSVRLIGTVGTEVELRQLPSGSAVAKGRLAVWKSATETTWVTLQFWDDLALVASEHVKKGDRIFVSGRLVSDTVDEGPEKRHVYYKVAVQQLNFIESMQPVRLYEPETSDDAPGMDLSGRHGGYFDSTSSSAEDKNRDNISSSSRSAEELWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAVLDSRMGSLQGNERKPVSYMYADDFMTLDGNR >Dexi6B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:6B:13841854:13844385:1 gene:Dexi6B01G0009440 transcript:Dexi6B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os08g0342300)] MACFDLAVPVLLLLQLVRTVAGADDEAAALLAFKRASVTADPRGALAGWRNDTAGSPCAWAGVSCSDDGHLRELNLSGMSLAGRLHLDALLSLPMLHSLDLRGNAFHGNLSHRHSSRRTAPPCALMDVDLSSNALKGTLPWAFLASCRNLVSLNLSRNTLTGGGFPFPSSLRALDMSRNKLSDAGLLNYSLTTCHGIQYLNFSANQFTGGLPEFAPCSQVSVLDLSGNLMSGVLPAGLVSMAPANFTHLSIAGNNFSGDISRYDFGGCKNLTVLDWSYNKLSGMGLPPSLANCRRLETLDMSGNRLLSGPIPRFLGAFKQLKKLALAGNNFTGEIPDAGHLCGTLTELDLSRNQLIGGLPASFSRCRLLEVLDLSSNQLSGDFVVTVISKIFSLRVLRLPFNNITGANPLPTLASGCPLLEVIDLGSNALDGEIMPDLCSSLPSLQRLLLPNNHLVGTVPPSLGSCSNLESMDLSFNLLIGQIPTEVVLLPQLVDLVMWANDLSGEIPDKLCSSSTKLETMVISYNNFTGGIPPSITRCVNLIWVSLAGNRLTGKVPSGFGNLQKLAILQLHKNALSGPVPAELGSCNSLVWLDLNSNNFSGTIPPQLAAQAGLTTGGVVSGRRIAFLKGESGNICPGAGVLFEFFGIRPERLAQLPAVRSCAPTEIYTGTVFYTFSYNGSMIFLDLSYNRLTGTIPSSLGTMAYLDVLNLGHNNLIGEIPEALTGLEGLALLDLSHNQLSGTIPPGLGGLHFLAALDVSNNNLNGEIPTSGQLMTFPASDFENNSGLCGIPLPPCSRINNRGNGPEPQHVPEGHVPLVSPSGLGVGLAFGVPAGLGLGILLL >Dexi9A01G0038740.1:cds pep primary_assembly:Fonio_CM05836:9A:42886425:42889793:-1 gene:Dexi9A01G0038740 transcript:Dexi9A01G0038740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPAIKRAKGRAPLSVVVAIIGGLALAGIIFTDDLRSITEIMEKKKDKQKEQKQTPLPAGTRMMLTRPVTPPRPVEEKMTTPPPQPQPQPKKEAFDPSRCSVTEGYWAYDRSKKLPYTDQTCPFIDTQDSCQRNGRPDSDYLYWDWHLDDCNLPRFDPAAVLEKLRGKRMVFVGDSLQMGQWLSFVCLVNSAVPYTARSMERTTTLSVFTVTEYNATIEFYWAPYLVEANSDRNIRLRADGRVLHVDAVELHAKHWKGADILVFDSYVWWMSGSRIKTVWGAFGDDGYEELDAWVAFRLGLKTWANWVDANIDPNATRVFFMSISTTHMRQRSSSSYCSDDCSSNFHSPTTSSNALTDIFVQQKNNHRSEDWGREGGIRCYNETWPIMKRGYWGSGADRRMMGVMSDVLGRMRVPVTLLNVTQLTEHRVDAHVSVYTETGGEVLNAAQRADPQTYADCIHWCLPGVPDTWNQILYAHL >Dexi8B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:8B:17527726:17528128:1 gene:Dexi8B01G0009770 transcript:Dexi8B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLHYLMATSFVVLVMIHSNSLSCQALCFGPWCKPRPPCFQPPDNHCTDGRSCVFICQYHGHKTYKAYCKQPKNPKKPVYLCCCPP >Dexi3A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:3A:11472152:11472858:1 gene:Dexi3A01G0015420 transcript:Dexi3A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDQKHLSVPAYPNGQSYNGAVLCAAAVSKDDDPDGCCDHLGCCPFVVVFIVADADRTVRASVYSSETNAWSAPSPCVHVDHLFDDRPSLLAGGALHFAIVGGKTIVKYNLVGHRLLVIDTPPPPPPLPADFALDMVMTRTKDGGLGFVAVVGGCVYLWTRTQPTGGWVQHKATEDLDALLPKRHGYYFHQHSRGDLRVRSQVKAGEDSWGYRRDL >Dexi7B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:7B:16345114:16348262:1 gene:Dexi7B01G0008520 transcript:Dexi7B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGSAPIYPNVRTPEDVFRDFRGRRAGILKALTTDVERFYKLCDPEKENLCLYGLSNETWEVTLPAEEVPPELPEPALGINFARDGMAEKDWLTLVAVHSDAWLIAVAFYFGARFGFDKDARRRLFTMISNLPTVYDIVTGNGKKQSKPPNSNGKSKSGSKPSKKPNSNSKPAKQALPKQEDQILKEEGGCDICENWYHGECVRITPAKAEHIKQYKCPACSNKRGRE >Dexi5A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:5A:20427732:20428148:-1 gene:Dexi5A01G0017220 transcript:Dexi5A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRKPGDWSCRSCQYVNFCKRDACQRCGEAKLGGERTDYAALGGDWDVKPGDWYCCRCGVHNYASRGSCFKCSAAKNDAAAAVAQGWGYTVAGQAGMKPGDWICPRM >Dexi2B01G0036010.1:cds pep primary_assembly:Fonio_CM05836:2B:42954183:42955680:1 gene:Dexi2B01G0036010 transcript:Dexi2B01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAWARAAIGAASSALAAAVFAVLDVVDVVLCVVYALLDGILEESPVRCYCRNRPEPEPEPEEEEEGMSDTLYARRSAIRDALLGLVVRRRRRTPAVKWRSSPRWSDCACKSCVAWQQGRGERLHVVVKEPDPSTKASGTSAVFIHGFTSSSSFWAETVFREGSSLLHSSTRLLAVDLLGFGQSPKPGNCMYTLKDHVEAIERTLLIDPPPHNNLMSSFHLVGHSMGCIIALALAAKHPTRVKSITLVAPPYFLACEQKAASQVALRRLAEKKLWPPLLFGSAVMSWYEHIGRTVCLVLCKNHLLWEWLLRLVTGKRDVDFRVRDLTRHTHHSAWHTMHNVICGGAALQDANLEAVQAAAIPVMLIHGVDDPVVPVDCSRHHLKAKLPRAQLRLIPGCDHTTVVSGRERSFAQELTAFWSSGCSVLASHNRSSG >Dexi7A01G0022190.1:cds pep primary_assembly:Fonio_CM05836:7A:30574309:30575684:-1 gene:Dexi7A01G0022190 transcript:Dexi7A01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCYLRGSMASQAVQLRQSSSSRPPLPVINLGRLSKDPATRALVIQDIARACREQGCFQVVNHGVSKSVMKGALEAASEFFELSPEHKELFASTDIRRPIRYDTSSRDGISKARSFLKHYANPLEDWVQYWPMHPPSYRQKMGEYAVEIQRVSMQLMDAILQGLGLRPLCMQEKLEKGVQFLALNNYPQLSHRGDKIGLASHSDYGFLTILLQSSPGLEVMPHGDDAWKSVPVIPGALHVHIGDHLEVLSNGQFKSLVHRAVLNPDEARISIASIHGLAKDEKVCCADELVDEENPEMYRESSFQDFLDFLPSNINNYKRFVESLKINRA >Dexi1A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:1A:3373035:3377917:-1 gene:Dexi1A01G0004620 transcript:Dexi1A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYVEDGVHPHNLIRSYRTAGNMAIQRVEELAVSIEGKSLEEKKSLLAKCAATTLSSKLISGEKEFFASMVVDAVLAIGDDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPMQYQSIVDAEWNIIYDKLDKCVQSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSAVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQICDNAGFDATDVLNKLRQKHASVHKVRLQLVPWVVVVEVQCEAVVGGACAGGKLSNVDS >Dexi5B01G0036370.1:cds pep primary_assembly:Fonio_CM05836:5B:36104481:36105292:-1 gene:Dexi5B01G0036370 transcript:Dexi5B01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAMTAITAPLLAAMTPPRALLCRLRLARTSPPPRRPPPTREAPPGAQPSNSSLFGVGRVPLCSPASGFVSETIPDCIVALSLLRLSWFVDERSLTDAFSSFSTATEDGALLDDMPCRPRAIPSTQPRELFNEMPVRDVPGCLPALRRSTAMQCVGRPTNQLAYLPP >Dexi9B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:9B:7777748:7788386:-1 gene:Dexi9B01G0011850 transcript:Dexi9B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVQHLDLRHSGGRRGASARPMQPHAAAFRASQAIVAVAIGTHVVGKSSLTLLRLLGRGSLVLGTLGFDSNSKGMLAIVAVAIGTHVVGKSSLTLLRLLGRGSLVLGTLGFDSNSKGMLVPRVEFDALTGSKIASIDLGARVVRMAYSPTTSHVVIAILEYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLITIAKDGALQVWKTRVIINPNRQPMETHFFERAAIETMDITKVLTLQGGEAVYPLPRIKNLAIHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQNQLTISDVARKAFLHSHFMEGHAKSGPISRLPLVTISDSSNLLRDVPVCQPYHLELNFFNKENRVVQYPVRAFYLDGFNLMAHNLSSGSDNLYKKLYSTIPSNMECHPKSMSYSPKQHLFLVVFELSGPNGVVHEVVLYWEQTDLQTVNSKGSSIRGRDAAFLGPDDNQYAILEEDRTGLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQGPLQFTFESEVDRIFSSPLVLLGALNDRLLLVNPTDINPRQEKGVEIRSCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRLLFWFDSLRITPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLAQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWDIKTPTNIKTIPQWELAGEVMPYMKTTDAGIPSVVADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSENAQSVPSVSAEKNKAIAGPDSVGDTLARQLGVQIASADEQARAAEEFKKTLYGVVDDGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPLNRTRSLSGTPQEFNQAPMQPGGPAPAVSPAMPNTAIDLFGTNTLVQPQAPSGATGPVVAGMGVTAGPIPEDFFQNTIPSHQLAAQLPPPGIVLSRMAQPVPPGMNQGQPVPNQNMMPNVGLPDGGVPPQALPQQSQFPQQSGIPMANIGLPDGGVPPQSQPLPSQTQTLPSQPQSFQPGIPAPSQPIDLSALVEGPGAAKQPARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFLALSAPGCVVCGMGSIKRSDALAGGPGPVPSPFG >Dexi9B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:9B:15380043:15388896:-1 gene:Dexi9B01G0020670 transcript:Dexi9B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCPDHTPIRSRIRLTQCVRIGGPSRRRCSRRHSRTRIRTKESIRLRFCSDRSAVLIKDPARGSRPLDLGNERAVASFLSSELDREFVTSKSVGDRERMASLKLGQGQHTGGGSSRRRVHARSGGRSASAAAKQLLLRLRSAWRSGGAARPRRVAVSFGYDMQSYCQNFDDGGLGSPGHPLARTIHEWMPSWPCARVTQCVRAGGVSDRERMASLVGANTGSGSRMASLLSKLRSTWRRGGVARPRPAAAVRFGYDLHSYSQNFDDGLSSSGHPLSVREAVPNRSKNCLPVSAAAAGAIATLAHARTGHEQASQNRLKPVPAGTVKPSADRAAPLLLLASLYSSHEMDAILPRVINLAITFPRAVIICATEKSIPRFLWKITAPPQKPSRRRISSSFSPSLAKISRHSKTKIQSTAQRKFAANTSPKFWISNSSACHPPTDPLRQRMLDLNEPAAFDWDEIREWEGPAHELDYAMIMKESMLTRFLFMEFLELSNKSMK >Dexi9B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:9B:4589243:4591004:-1 gene:Dexi9B01G0007500 transcript:Dexi9B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYIAVLSVAFLFLLHYLLGRGGRNGKGAPRLPPSPPSVPFLGHLHLVKTPFHAALIRLAARHGPVLSLRMGSRLAVVVSSPEHARECLMEHDVSFANRPRFASTQLLSFDGALLSMASYGPYWRNLRRVAAVQLLSAHRVACMTPVISGEIRAMVRRMDHAAAAAAGGAARVQLKRRLFELSLSVLMETIARTKTTRTEANADTDMSPEAHEFKQIADSLIPLLGTANRWDFLPALRWFDVFGVRNKIMAAARRRDAFMKRLVDAQRQRLDDGGESEDKSMIAVLLDSQKSEPEVYTDNTIMALCTNLFGAGTETTSSTTEWAMSLLLNNPEALKKAQAEMDAAVGTSRLVTADDVSRLPYLHCIISETLRLYPAAPLLLPHESAADCKVGGYDVPRGTILLVNVYAIHRDPAVWEDPEEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWDRVDGVEVDMTEGGGLTMPRAVPLEAMCRPRAAMRHVLEGL >Dexi9A01G0024670.1:cds pep primary_assembly:Fonio_CM05836:9A:21180400:21181749:-1 gene:Dexi9A01G0024670 transcript:Dexi9A01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTTVGKLSVPRQQRRLLQPMHSPKHKRVTATPPAGLLQELFGDAPQPLKLRRASDETLAVHAGEKLGKDADEASTDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSHEYGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFIGDRLSKMGIRSTFIDLDDIESLEAVLEKDEVTLFYADSPTNPLLKCVDIRLVAELCHRKGTLVCIDSTLASPINQKPLTLGADIVLHSATKYMAGHHDVIAGCVSGSQALISKIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTALEMARLLECHPKIERVHYPGLESNPWHQVALSQMTGYGGVVSFEVKSDLCGTMRFVDALEIPLIATSLGGCESLVQQPAVMSFWGKCDEEKAQNGIKDNLVRFSFGIEKFEDLRDDILHALEKI >Dexi8B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:8B:8167699:8168449:1 gene:Dexi8B01G0006990 transcript:Dexi8B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAARAAAADAVVTFLWVLCASALGAATAAVTSSLGLQEGGAAGHYALLVTASLLGTLLFAFDLLCGALGGASFNPTDFAASYAAGLDSPSLFSVALRFPAQAAGAVGGALAISELMPEQYKHTLAGPALKVGAHTGALAELVLTFVITLAVLWIIVKGPRNPVVKTSLLSVSIVSLIVAGAEYTGPSMNPANVSIYLTLPF >Dexi1B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:1B:21597780:21598436:-1 gene:Dexi1B01G0015230 transcript:Dexi1B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSSVLTIATAASATGGGGGGGDEAALLAFKAELTGGALTSWNGSVSFCSWEGVSCTRGRTPPRVVGLDLLKGGLAGTLSAAIGNLTFLRALELGFNWLHGDVPASLGRLRRLRYLDLGYNAFSGEIPGNLTSCVAMEQMLLDANNLVLRLGNNSLTGPIPASLANMSSLWHLALGNNQLDGPIPPGLGGLAGLQISTSP >Dexi6A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:6A:25590914:25593434:1 gene:Dexi6A01G0017710 transcript:Dexi6A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTSFFKNPYYYYASSFPAAHHPSPHLPPPLPPDTTTLYPPAAPHQYHPPCFFQPQPPPLHDDTAPPSPPLREALPLLSQSPTRGGASHRRPPPPHLAAESDDDDDDFLREVVGGVSSAATPSSARVPLFADLNCVPSCCDDPMDVEAGAAASMDDDAAVALRIGLPVGGSEADLLAGLSGRACGGGGGMEQEEDEEECKVDIGGGDEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRSRPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNVDGNDGLDDDDEGAVSEIEQDCAGAGACRSSAR >Dexi6A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:6A:20699834:20701494:-1 gene:Dexi6A01G0013530 transcript:Dexi6A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYVLAAAGALIVFLYVLVMNRRRRGKLPPSPPSVPLLGHLHLIGRLAHRSLHDLHLRYGGGNGLLLLQLGRRRTLVVCTAAAATDMFKNHDLAFASRPRSVGADKLMYGCDNVSFAPYGESWRRAKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAAEAAGEGVELRGLLYGYANAVVTRATAGVAGATAERLKQLMAKSAGFVAGFEPEDVLPDAPARFVRWVTGIDKKLGGIVRAWDMFLSELIAAHEEKTANVAEEDEGFLDVLLRLRRDGAEGLELTDNRIKAIVKDVIMAATETSSDTLEWTMAELVANPRVMGKLQDEIARVAAAGDGQLAESDLNKMGYLRAVLKEVLRLHPPAPLLVPHESTAPTVVQGYEIPAKTVLFVNVWAIGRDPAAWDAPEEFRPERFMFRDSGGAPVDFRGTDYQLVPFGAGRRICPGISFALPVLELALAGLLRHFDWELPAGVRPGDLDMGEAPGLTTPRRVPLVLVPKCKMLPQPALQQ >Dexi2A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:2A:12633185:12637198:-1 gene:Dexi2A01G0011030 transcript:Dexi2A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIHLLIYLFSRSNDVPCIFWLKYLGQKMSTSRSTQSSSSSNRTHQSSQARILAQTTLDAQLNAEYEESGNSFDYSKLVEAQRTAPSEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDDPSNLGIGTNVRSLFTDPGATSLQKALGFADVSLLNPILVQCKTSGKAFYAIVHRATGCLVVDFEPVKSTEFLSTPAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGMQPYLGLHYPATDIPQASRFLFMKNKVRMICDCRARSVKIIEDDGLSIDISLCGSTLRAAHSCHLQYMENMNSIASLTMSIVVNENEEDGEPKPEQPPQQQKKRLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIREKSILRMQTMLSDMLFREASPLSIISGSPNIMDLVRCDGAALLYGDRVWRLQTAPTESQIRDIAFWLSEVHRDSTGLSTDSLQDAGYPGAASLRGMICGMAVAKITSKDIVFWFRSHTAAEIKWAGANHDPSNKDDNRRMHPRFSFKAFLEVVMMKSLPWNDYEMDAIHSLQLILRDTLKDAMKPTQTSGLGNQIGDLKLDGLAELQAVTSQMVRMVETATVPILAVDAHGSVNGWNQKAAELTGLRVDEAIGRHILTLVEDSSVSTVQRMLYLALQGREEKEVQFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLAMDKFTRVEGDYKAIVHNPNPLIPPIFGADKFGRCSEWNAAMMKLTGWHRDDVIDKMLLGEVFGSSNASCLLKNKDAFVRLCIIMNSALAGDEAEKAPFGFFDRNGKHIECLLSVNRKINADRVVTAVFCFIHVPSDELQHAMHVQQASEQTAVRRLKAFSYMRHTINKPLSGMLYSREALKNTGLNEEQMRQVHVADSCHRQLNKILTDLDQDNVTQKSSCLDLDMDEFVLQDVVVAAVSQVLIGCQSKGVRVSCNLPERFMKQKVYGDGIRLQQILSDFLNVSVKFSPIGGSVDVSSKLTKNSIGENLHVIDLEFRISHQGTGVPTEIISQIYEEDNKEHSEEAFSLLVSRNLLRLMNGDIRHLREAGKSTFILTAELASAPAAS >Dexi4A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:4A:22155398:22155781:1 gene:Dexi4A01G0018180 transcript:Dexi4A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARGGGVAVELMASGGGGRPMSMWRTPTPYLFLGFAFMMGLIAVALLVLICTRRKPTTTARRGPEDLEASAARARLAPLDREEPKFVVIMAGDALPSFLASARPLATTARETPETGGAAAAAAAV >Dexi1A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:1A:3514001:3515831:1 gene:Dexi1A01G0004830 transcript:Dexi1A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHTRYKTYPGEVTGIVVFSCLIASVAGCIFGYDIGLTSGLTSTEPFLIKFFPTIYEERKKQVITNQYCKFDSQFLTMFCSSLFLSAMTAAFFAGGITRSFGRKWTLFTGGSAYVTGACLGGISFNFPMLLAGRLLVGAGVGLSIHASPLYISEVAPAQQRGMLNILFQLMITVGILTASMTNYLSSKIPGGWGWRVAVAFGAVPAGVIALGALAIPDSPTSLIQRGDTATARKTLAQIRGVGDVREEFDDLSTASQDAMAVESPWKELLFGGKYKPQLTFSILVPFFQQLTGINVIMFYAPVLFKTVGFKQNASLVSSVITGTVNVFSTFVAIMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPMAMRTQAMSVTVAVNMFFTAFIGQIFLTLLCHLRFGLFYFFGAWVLLMTLFIAMLLPETKNVPVDEMAHVWKKHWFWRKFVVDTSDARSAEMRKRIALEMS >Dexi1B01G0021510.1:cds pep primary_assembly:Fonio_CM05836:1B:27392619:27393445:-1 gene:Dexi1B01G0021510 transcript:Dexi1B01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAVAEAQKKEVRSSLQEQSGAKPNSEQVGSNTTTAYTAETLVAMDYLDAHPAPAVHNR >Dexi6B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:6B:3752233:3753189:1 gene:Dexi6B01G0004480 transcript:Dexi6B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDPWDSQSRARVVHSGANSTSSPTSTTMARAPPSLPHAASCAAAAVALFAAAYYLPPTYQILSSLLVWVASSLLVAPFAPSSATGGDISVGRGRLLPDPEPAQEPIPDPAPAPRRARRQNPAATPAKPSDPTTARIQSAASLQPLQKAAAAGEAGVDGGEREEDAGDWTDQELELLRRQMVKHPAGEPQRWEKIAAVFGGRRTPESVIRAAKSGAAAAGGGSFEQFLRKRKPLDPRADGADAGDNAGSVENADGSWSAGDDRALLNALKEFPKETAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSNKAAEAAS >Dexi7A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:7A:11639558:11643367:-1 gene:Dexi7A01G0002840 transcript:Dexi7A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEWSPMLPPGWTPTASPRRRFNGGDDGITAVEHQGGHGVFLSWQDISVTAADEKGRRKVILDRITGFARPGQVLALMGPSGSGKTTLLDTLSGRLGLDMNGRGDILINGRRERLSYGTSAYVTQENTLMPTLTVREAIHFSAQLQLPDSMPPAKKLARVDRIIQEMGLCDVANSRIGGRVSKGISGGERRRVSICMELLASPRLLFLDEPTSGLDSAAAYHVMAYVARLARTAGITVVAAVHQPSTEVFELFDALCLLANGRMVYFGPIDEADEVSTFFTSNGFPCPLNRNPSDHYLRIVNKDFDEEIENGQSLKTPSAAEAIETLVNSFRSLHNLTTKMRAMGAQNDVYPLRVRPII >Dexi6B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:6B:25736424:25737615:-1 gene:Dexi6B01G0018780 transcript:Dexi6B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSRSPEQLCLSLTVADLPKDILSEILLLLPPKSILRCRAVCKAWCAVTSDRDFLLAHHRRQPPRRLLTFLRDVDYGWEFDDKNRDYMEIYYSNSDCESPLEVHAACNGLLLLSYNNCLHLCNPTTRQWVWVSPPALPCDKVAGLYHAHDEYRVLYYRAIGLECTFYVSTVGSGKERCIPPYSSSTSLRAWFAERLKFPIFREPFLFHGNLHWLAEKDNILMFNTVDEVFQWLPLPFKGFASSLLETEGKLAVSKRTKSSVDLWLLQDYERVVWVHKYQIELPVIDIRRFEEMDLWDPHIVSEEGDVWIDAGCWQLHYDRKGNLLEKFQCDGRVVEFTAHILRESLVPDAVFQNSDNDSRHAPHFFRWL >Dexi4A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:4A:9987927:9989351:1 gene:Dexi4A01G0011790 transcript:Dexi4A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGDHTGATRPHVVLLPSAGMGHLVPFGRLAVALSGAHGCDVSVAAVLPTVSSAESNHLDALFAAASPGVRRLDFPLAPFDESQFPNADPFFLRFEAMRRSAPLLGPLLAGAGASALVTDIVLASVVLPVAREHGVPCYVLFTSSAAMLSLCAHFPAHVDANAAAGRAGVGDVDLPGVYRVPKSSVPQALHDPKHLFTQQFVANGRGLVHADGVLVNTFDAFEPEAITALREGKVEPNFPPVFAVGPLLLVKFPPAKEPAGYMRWLDAQPARSVVYVSFGSRKAISPEQLRELAAGLEASGHRFLWVVKTTVVDRDDAAELGDLLGDGFLERVEERALVTKGWVEQEEILQHGSVGLFISHCGWNSVTEATAFGVPVLAWPRFGDQRVNAAVVARGGLGAWEERWSWDGEEGLVSGEEVAGKIKAVMADETVMKKTAGVRDAAAAATANGGTSYRSLAEFVGRCRDAGGRHG >Dexi6B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:6B:21799900:21803051:-1 gene:Dexi6B01G0014160 transcript:Dexi6B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHANSITRGDSVASTFASRYVREQLPRYRMPERSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMGSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMENCKENAAILREGVAATGRFDVLSKETGVPLVAFSLKDSSGFTVFDISENLRRFGWIVPAYTMPADAEHVAVLRVVIREDFSRSLSERLVSDILKILRELDARATHAVRVSSTTAAQSGDGIVAKKSVLEIEREVAARWKDAVDKKKTGVC >Dexi9B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:9B:437148:439985:1 gene:Dexi9B01G0000690 transcript:Dexi9B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAPSASLAGKRPASGAREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLMDYVKVSKVSVEDMLYNESDITRSMEKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTYGIQQHQPRIIREKRFTEVIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSKHPELHKIPIYYASPLAKRCMAVYQTYINSMNDRIRNQYAQSNPFIFKHIESLNSIENFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNACVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFLQTSNFLDELRPPNIILVHGEANEMSRLKQKLITQFDGTNTKIVSPKNCQSVEMYFTCEKMAKTIGRLAENVPEGGESSGGLLVKKGFTYQIMAPEDLRVFTQLSTANITQRIAVPYSGSFEVIKYRLNQIYESVESVTEESDVPALIVHERVTVRQESESYVTLQWSSDPISDMVSDSVVAVVLNVGREGPKVVLVEEAAKTKEDTERVALKVVYSLMASLFGDVKVGEEGKFVISVDGDVAHLDGRSGDVECENAALRERIKTAFRRIQGAVRPIPLSAS >Dexi1B01G0023020.1:cds pep primary_assembly:Fonio_CM05836:1B:28691616:28692058:1 gene:Dexi1B01G0023020 transcript:Dexi1B01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding RASKNAQAAQPLAKPEAAAAAAAGRRQRRSGDAAQAMAVTEDESASHSKADRARSAREERAIHLIPLLTFLCFLLLFLCSHHPSASDMSSFAGGGGVRSGNRRLRML >Dexi7B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:7B:23398887:23401774:-1 gene:Dexi7B01G0017450 transcript:Dexi7B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLVFLLLPALAAGHEHTSTYGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFAVNGRHFFFTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQVWHINCDMRGQPLSFELSSGDGKTLTSFNVVPKDWEYGKTYTGKQFLL >Dexi1B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:1B:972112:972404:-1 gene:Dexi1B01G0001260 transcript:Dexi1B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYSTDLQAEEEEQRRKSRGITWRRRQLPFAWTGGGGFPLAAQSRGRRLPCHEGGGGDDPRKEAAAAQTATPGGGGGARSDAEETEREYPSQT >Dexi1A01G0030350.1:cds pep primary_assembly:Fonio_CM05836:1A:35716639:35717050:1 gene:Dexi1A01G0030350 transcript:Dexi1A01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLPLAAAAVFLLLLLTTTMEAEAIRLDAESRAAVTISQQQIANKPSENLAQKESPIKSSGGESETTKRSIAGQEEVRETAHKLPEFHEDYYGASVHEPRHH >Dexi7A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:7A:18150204:18156011:-1 gene:Dexi7A01G0006830 transcript:Dexi7A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAYYGGRGTEGTRWFVPGADRCLPWGRDGGASAAAGSSETLVAVMARRAPAPSVILQDAMRTAEAAACEVVLCVHPTKEAEQHRQDVIGYLKRLIGSSVGCEVIAFGSVPLRTYLPDGDVDITVLGNTWLNSTFIDDVRSVKLMKCVIENIVVDVSFNQIGGVSTFCFLELALYWFLEYFSKFDWDKYGISLNGLIELSSLPNLTVEPTTGHDELLLDQEFLQGFLDRLVFPNESDGCDAQFRQKFLNIVDPLKGNNNLGRSVSKG >Dexi3B01G0033220.1:cds pep primary_assembly:Fonio_CM05836:3B:35597574:35598207:1 gene:Dexi3B01G0033220 transcript:Dexi3B01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNITFENGAAPELKKISLSLTNKSSQLTGVSVLPKLKEIELTGDKFLLCFFENAHQIAKVILRDTQLKKEDLQILAKKPNLRYLELLYKSYDESQLTFNEDEFPKLNHLTVECPSINSISFTNGSALKLEKIVWTFTEMKSLYGIGNLPKLKEIECIGDLVPHQSNLFLLTRSHSNRAKERKLSQQKTTMIRGFRKFPAS >DexiUA01G0005690.1:cds pep primary_assembly:Fonio_CM05836:UA:10327150:10329452:-1 gene:DexiUA01G0005690 transcript:DexiUA01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPKPWERSGGEGTSGPAPFKPPSGGSTSDVVEASGTAKPGENVATAERNASANVNSTVTRPMPQRPWQQTGYGNTYGGYGGSNMYSSYGGYGNTYGTGGLYGNSMYSSYGGGYGGGMYGGGMGGYGGGMYGGMGGYGGYGMGGMGGMGMGPYGNQDPNAMGPPASPPGFWMSFLRVMHGVVNFFGRISFLVEQNTQASYFFMTAMLQLFDRSGMLYGELARFVLRLLGFKRKPKKGSLQGPGARAFDGPSQQFMEAPKAGNNWDDVWGN >Dexi1B01G0029170.1:cds pep primary_assembly:Fonio_CM05836:1B:33544075:33545019:-1 gene:Dexi1B01G0029170 transcript:Dexi1B01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGGSSGIGTFAIQIAKHLGIKVFVTAGVDVILDNIGGSYLHRNLNSLCVDGRLFIIGFQGGAVAEVNLQAVFARRLTIQAAGLRTRSPANKAQIVSEVEKNVWPAVAAGKVKP >Dexi2B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:2B:22142836:22149314:-1 gene:Dexi2B01G0013470 transcript:Dexi2B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLGPRRFFPPRIPDPPPGFRIRSTTLSVADTATLLLPAAYDGDVPQFKMLVKRLRKAGKGVEEALAEIKCPSYKGHGPVHLAALSAKMVMCKYLIKDLKLDVNAGGDDAKNGDVDMAELESTSSSAMSLQPAVGVTPLFFAIYGTASASITRLLLDHHADPNKAAYDGATPLLVATVEDTYEIAELLLSRRAYADPLSKYGTPLYIAAKDGNVRMLKLLLQHQADPNVLLHTPLKEAIPAHSFGIEQPIKTGANVNPGTITPLIAAAYAGSTDCIKCLLKAGADANIPDHNGRTPLEIAAIQGWQESVDVLFPVTTPSVQVTDSSIGEIIEHAKHMSSKPDVENDGPHFEAQGDDAFCKSDYAQALNHYTMEYGRAIEELMCGLNLCFEKEPTDKAL >Dexi3B01G0037370.1:cds pep primary_assembly:Fonio_CM05836:3B:40114817:40115931:-1 gene:Dexi3B01G0037370 transcript:Dexi3B01G0037370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQHPRDPPISLRGLPDFFSAVGTKIIATRPADPGDLLPIIDVRWRGITSGPRARIMDLPIYLPFGDAAVFSLDVCAFRKLSIDPLWPPRLELRDRSDDGEWSWRELPSPPFEREDMASYAAHADGKTILFSAEIEAVPPRLLPDRETVVFSDEVAPVTFAFDTASLVWRRHGDWVMPFTGRAFFVHPLRSFVGLSKDPDTLGHLCSCEAAAVDAGGDAPPAWKLGKEKLFSDDPAETHVGATLIHMGSGSEFCLVHCVSIDIEQGDDDAGDHHELEEGGEEPRRRRYLYRLTTFCLGFDGNGDLTTGGTCEVRCCEVPEETTESFLEHDPVAFWL >Dexi3B01G0025610.1:cds pep primary_assembly:Fonio_CM05836:3B:20434125:20440609:-1 gene:Dexi3B01G0025610 transcript:Dexi3B01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPILAAAAALLFLLLPVHLALAEAQGFRGFSYLLNCGAASPTTDSRGLRWEPDGPYVSAGTPGEPTVPGPGSLLEPTLATLRTFPNRPRAKFCYELPVDKNRRYLLRPTFFYGAFSSSAPPPPAFDLIVDGTFWTAVNTTDDALAGAASSYEGVFPASGRNLSFCLGLNPEYTDAGPFISALQVIQLDDSVYNATNFNTSAMGLIARTRFGSTGDIERNFSGMGLSGSLSPEIANLTALTNISFAHNSLVGGIPDLSNLSKLERLHLQENQLSGLVPGTLGEIMALRELTRFGPPSRSQKESHRNGLLGASAQCSAIDPPPPHQAAQPSSPPTIPMSLGRLGRARASLSLLQPFTTTTTAAASPPELAAPSPSFLAHHLLDEFSRPRSTRDAARLRRLAAYLSPRAAESVILRLPSWRESLDFFDWAAEQPGFRHTCYSLNAMASLLPPHQRSHLDRLAGHAVASRCHMTPGALGFLLRRLGAAGLPDTAAKVFDAARTTLSCTPNSYTYNCLLDALAKAGRVDDAEARLREMVESCGDESVDRYTLTPLLQCYCNAGRPDEANAVFQRMDERGWVDEHVLTMLVVAFSKWGKVDSAVELVGRMDALGMRPTEKTLSVLIHGFAKQGRVDMAIEMFGKMASYGFRADLAMYNVLIEGLCQGNKLGKAVQLIEEMKRNGVAPDVRLLKKIIETFCSEGHFTTVVPFINENAEHLKPSGVVLLYNVVLECLVNSGDIEAAYQFLRSMVHGDQRVSNNDTGGVHLFVISEGVKPNSDSFNIVVCGLYRLDEAYEMFNKMKGLGLKPSEFTYNSLFYGICGRKDPSAAIDLLREMRTNGCKPWIKNCTEMVQQLCFSGRITEALQFLDEMLKMGFLPDIVTYTAAINGMCKTGEIDNALELFRYISSKYYLPDVVAHNILINGFRKSGKFDEAQEILEEMLRLTKQSLA >Dexi2B01G0035920.1:cds pep primary_assembly:Fonio_CM05836:2B:42853027:42854398:-1 gene:Dexi2B01G0035920 transcript:Dexi2B01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMPLPPGFRFHPTDEELIVHYLRSRAANAGCPVPIIADVDIYKFDPWELPGKAVYGDGGEYYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHHTATGDTVGVKKALVFYKGRPPRGTKTNWIMHEYRLANTTTTTLAAASYRPPSKFGNVSMRLDDWVLCRIYKKSGQASPMVPPLADYDHPDHYDETSSGFDDICSSFVYAPTSSGGSSTTATSAAVIMQQQPRLPKIPSISDLFDEYALAQIFDAPVPADHLAVHPSLNHLLAVGDSDDLTTIYDSPSSPATAAAGKRKAATAIPADVCAAGTPKRINHGSCFEAPQPASGLPAAPSVLGALNHHMLPQF >Dexi3A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:3A:7512493:7515460:1 gene:Dexi3A01G0010520 transcript:Dexi3A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHRQLLLATACVWALSCALLLGASTPDGLLRISLNKNKLDREALTAAKLARQEDSLRLGAIACYLHHRYKSGKSSTYKADGESCQITYGSGSISGFFSNDNVVVGDLVVKKQKFIETTRETSVSFILGKFDGILGLGYPEISVGKAPPIWQSMQEQKLLADNVFSFWLSRDPDASSGGELVFGGVDPKHYKGEHTYVPVTRKGYWQFNMGDLLIDGHSTGFCAKGCAAIADSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILNLLIAQTSPQKVCGQIGLCMFNGAHSVSEVIESVVGEENLGSDVMCTACQMAVVWIENQLRENKTKEMILKYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFTLTPEQYIVKLQQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFATSA >Dexi5A01G0026240.1:cds pep primary_assembly:Fonio_CM05836:5A:29930081:29930689:1 gene:Dexi5A01G0026240 transcript:Dexi5A01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISYSNNGHYEVSFDEDVILTMLADSGDAVDSWLDEIYRVHRRRLHRLVVGLDVEWRPASYYDYSTPPVALLQICVGRRCLVFQILHADYIPDSLFDFLADKRNVHDDVAKLRAGYGLRVGRAKDLRSLAAHELENPALRPAGLQALVWEMQKPHHVRVSAWDSRYLSYEQLKYACVDAFASFEVGRRLYDGDC >Dexi4A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:4A:9358287:9362261:-1 gene:Dexi4A01G0011350 transcript:Dexi4A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSSVFPDGGGRAVLPTFKIFCKAEENFCLSARDGAAVLAPADPNDEHQHWYKDKRFGTLVKDEEGKHAFSLINKATNLSIQHPGGLFRPVIIVPVKLVPFDWDSFDNTLMWTMSDDLGNDDFRFIRTLNDISLKLTAFHRAKGVASFVDGQAMRLYCKADEGFSATVRNGTVCLAPTNPDDEHQHWVEDTRYGDIIKDEDGFPAFALINRATGHALKKSKPREGRVKLVPYDPHYLDRSVLWSKSGEIMKDFHYIRMVDNIYLNLDIRDKGHHDYYHSRVQDGTKAMLSYRCEVLEDGSLE >DexiUA01G0021750.1:cds pep primary_assembly:Fonio_CM05836:UA:44998951:44999910:1 gene:DexiUA01G0021750 transcript:DexiUA01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNCAKAVLLAAVVLVSSAQLSMGARRRMELYHPNPADMLSYHNGQVLHGDIAVSVLWYGQFTQAQKTIVYDFILSLTMMPQTASPSVAKWWNTIEQQYLSKALQATPNAGGPKKTQVLLADQVSDDSCTMGKSLTLAQISSLAARAKPKKGGVALVFTAQDITVEGFCMSQCGLHGSDAKSGTTYVWVGNSATQCPGQCAWPFHQPEYGPQGPPLTPPNGDVGVDGMIVNLASEFAGVVTNPFGDAYYLGSSEAPLEAATACPGQFGSGSYPGYAGNLKIDQSTGASYNANGAQGRNTLV >Dexi5B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:5B:865847:869978:1 gene:Dexi5B01G0001340 transcript:Dexi5B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRAAAAAALLLLPLCLCLLLASAEPDADKAALLAFLAGVERGGAARARINWQSTPLACSTNPGWTGVTCNADATRVVALHLPGLGLSGAVPPGTLGRLDALQLLSLRANNLSGAFPPDILELPNLAGLHLQRNAFSGPLPATGAGLAALSGLQVLDLSFNRFDGAVPAALSNLTHLVALNLSNNSLSGQVPDLGLPTLQYLNLSNNPLLDGPVPASLMRFADAAFVGTNVTRPPEHQPLSPPEAPPSAGSTTTNKRRGARRLSEAAILAIAVGGCVLVFAVVAVCLIAFCNREAGDEMGGAASGKKNKGGDKMGRESPESKAVIGKAGGGNQMVFFEGPSLAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVNAGRREFEQQMDLVGRIRHDNVVELRAYYYSKDEKLLVYDYYSRGSVSNMLHGKRGEDRIPLDWETRVKIALGAARGIAHIHTENNGKFVHGNIKASNVFINRHEYGCISDLGLALLMNPITARSRSLGYCAPEVADTRKASQASDVYSFGVFVLELLTGKSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDGELLRYPNIEEEMVEMLQIGMACVSRTPERRPKMADVVRTIEEVRRGDTGTRPSTEASTPAVEAAQNRAESSSAAQ >Dexi7B01G0021750.1:cds pep primary_assembly:Fonio_CM05836:7B:26789560:26789829:1 gene:Dexi7B01G0021750 transcript:Dexi7B01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSERDREEAARPRGSPTERSPESELEAAELEEEVAMVDLGARGGGGYGGSRRTTTTTASSRRTSRRRRARGGDESRKRRFLSARRG >Dexi9A01G0035780.1:cds pep primary_assembly:Fonio_CM05836:9A:40367928:40370681:-1 gene:Dexi9A01G0035780 transcript:Dexi9A01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDHQRKQTVGSERDQELEQRPWDEEEEAKKPPAPGETEPGAGEEAASCDYCGTAAAAVYCRADSARLCLPCDRLVHGANGVCSRHARAPLCADCRAAGAVFRRVSSVACFLCSNCDFGRHRDGGAGEPALHDRCAVQPYTGCPPASELAGLLGAPLFDKPAAEDGGWWNMWEEPQVFSLEDLIVPTTPCHGFQPLLTPSSPKALTALAKNRSTSADEKMNEEILRQLGELAESDAGVQAALGHEEADQAGNQLPLWASPPQYTTENGNFGAENNREVATMPTPGYENGTWSNNDYHVLSDPCKVELTYDQAPVSSAEACLSSFVPMSEICPSMSNGSSMEEGHQANPGLGTPIQPFPKRTGFDVIPCPDRGLVISRYKEKRKTRRFDRQVRYESRKVRADGRLRIKGRFAKANQT >Dexi9B01G0037540.1:cds pep primary_assembly:Fonio_CM05836:9B:38919658:38921292:-1 gene:Dexi9B01G0037540 transcript:Dexi9B01G0037540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVMSVPFPCRRLKPGGGGVNAAIFNAAGESLQHATKKSADALRPGTSVVVPLPSVSPLQQREGVTHVIHVLGPNMNPMRPDYLKNDYAKGCKILHEAYNSLFGNFASIAQGHIGKQNGKSGAEMSASGGTSPNDTKMKREDSHGSERMKKHKLIPPTVTAKQHNDCTKANVPNHHDKSMTSSAAPNQAREGDNKKSGVVTSKTWGSWAQALYELAMNPEKYKNTDSILEISDEFVVLKDLYPKAKRHVLVVSRTDGLDSLANVKTEHLPLLRRMHSAGVKWAQKFLGEDPSLVFRLGYHSVPSMRQLHLHIISQDFNSTNLKNKKHWNSFTTPFFLDSVDVIEEIEQHGSATTSSDEKVLAMELRCHRCRSAHPNIPKLKSHIASCKSSFPSQLLQKNRLLSSSTMHIDCT >Dexi8A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:8A:222133:223667:-1 gene:Dexi8A01G0000290 transcript:Dexi8A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINRTTGCAIQRRLLRPQDHQALESPHGDHLPKSTTGLEPERRSLPQWAIYIIAISGSVLFFVVAATTMYLLLSRGKKVNTVMPWSTGLSGPLSKAFVAGVPSLGRAELQAACEDFINVIGSSSECTMYKGTLSSGVEIAVVSASANSAKDWLDRSEEQFKNKISVLSRVNHKNLMNLLGYCSCDEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPTRLRIIMGVAYCLEHMSQLEPPVMPASLSSSSIYLTEDYAAKIADVELCKDDDKDAALRDEESVVYRLGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLNAMADPMLRSSVPDKQLAALCDVVRLCIHSDREKRPSMAEVARLMRGATALSPEQVTPRNNPLWWAELEIASAAAESE >Dexi6A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:6A:18349228:18351606:1 gene:Dexi6A01G0012110 transcript:Dexi6A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGAGAGAEMDALIRRLRLHQPGPSPYDPAPAAAPVGSGDGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEVSLPGGKAEEGDADDAATALRESQEEIGLDPALVTVVASLEHCLSKHLLVVVPVIGILSNKEAFIPILNIAEVDEIFDVPLEMFLKDENRTSEEREKMGQAFTVHYFTYVNGNHKYLIWGLTARILIHTASVVFERPPDFPERRAHFNLPKYTKDCSSMLAGLAKH >Dexi9A01G0049640.1:cds pep primary_assembly:Fonio_CM05836:9A:52238981:52239572:1 gene:Dexi9A01G0049640 transcript:Dexi9A01G0049640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLGSISVLPPRRTGGTDGVGASGSSAASLAGSQQPRSQSLSQQSFSQGAGGSSGGGSSLLHSQSQLSQGSLDENILSLHLASPTRDQAALE >Dexi7B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:7B:2317423:2323767:1 gene:Dexi7B01G0001390 transcript:Dexi7B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGAAARMDPEAATELVRKGSTLLLLDVPQRTLFGIDTQVFSVGPKFKGMKMVPPGPHFIYYCSSSRSGSEFAPTVGFFLTTQPTEVIVRKWDPQEERLIKLSEEEMGQSLEAFMQWKTLVCLLLSCSEAARKLKTLLETTFGWDLEDNAVNLIGEDDEFAPVVVEMDGL >Dexi5A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:5A:10901142:10919035:-1 gene:Dexi5A01G0014090 transcript:Dexi5A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGEPLRLWGVQASSGLSKSRKASDSKLDYSDITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFLISGKVVGAVGGKSCSKRGGPPGVKVELMTESDELVASALTSSSGEYSFTNIIPGRYRLRASHPDYDIELRGSPEVDLRFGNVVADDVFFVSGYNIYGTVVAQGNPILGVQLYLYSTDVTEVPCPQGFSDAPREGALCHAISGADGKFTFRSLPCGSYELLPYYKGENTVFDISPSSLPVSVEHGHMTIPQIFQVTGFSVGGRVVDGFGAGVEGANVIVDGQSRAVTDSLGYYRLDQVTSKKYTITAEKDHYKFNRLENFMILPHLASIDDIRSVKYDVCGIVRTVTPNSKAMVTITHGPENVKPQRKLVGENGQFCFEFLCCTLQVPPGEYQVSALPVDSEHSSSLMFSPGSISVNINRPLLDLAFSQSQVNVHGKVSCKEECNQNVLVSLIRLAGGVEQEKKSTTLEPDNVNFVFTKVFPGKYRIEVKHSSEGLIKDDWCWDQNTLNVDIGTDDVRDIIFVQKGYWIELVSTHDTEAYIQLPDSSKLDLSIKKGSQSICVETSGQHEIHLTNPCISFGSSPVLFDTANPMPVHISAKKYLVKGEIHVDRGSLQEDIDSKDIVVDVLQSDGSFIEKISTKPALGKTYQNGFAAFEYSIWADLGQNFVFVPHDSSTGRKRVLFYPARQQYSVSVNGCQDTVPQITAKTGLYLEGSVSPATSDVDIKILSAGKSNYAHLNKGDVAAETKTDSDGSFFAGPLYDDIEYKVEASKDGYHLKQTGPYTFACQKLGQIVVRIYGENSELLPSVLLSLSGEEGYRNNSISSSAGTFIFDNLFPGSFYLRPLLKEYKFNPSAVAIDLNSGESREAEFSATRVAYSAMGSVTLLTDQPKEGVFVEARSESTGYYEEATTDAFGRFRLRGLVPGSTYSVRVVAKDKLQFAAVERASPDYVSVDVGHEDITGIDFVVFERPEVTILSGHVEGDGIDLLQPHLSVEIRSAAEPSRVESVLPVPLSYYFEVRDLPKGKHLVQLRSGLPSHTHRFESELVEVDLEKQPQIHVGPLKYKTEEHHQKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTSSGSGVAPIKKEPRKNIIRKRV >Dexi4B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:4B:6884752:6885757:1 gene:Dexi4B01G0009480 transcript:Dexi4B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPPRIPAAIPHRRAAAGPKSTPAVATDDLVRQHNRSLAALLRRGRFAAARRLFDALPVRSVVTWNSLLAALSRGRDVLAARSFFDSMPVRDAVSWNTLLAAYARSPHPDHLAAARRLFDEMPQRDTVTWNTLLGVYTRRGLMDEAQSLFDEMPQRNTASWNTMVTGFFAVGQASKALSVFEAMPVKDSASLSAMVSGLTRNGLLQEADELLTKRLKPIDMDKAVDAYNTLIAAYGQTGRITDAIRLFDMIPKTQSQNKGHKSRVFERNVGTLRPLTWRRQRSCFGKYQSLMQ >Dexi9A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:9A:4116826:4119240:1 gene:Dexi9A01G0007140 transcript:Dexi9A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSRHPDHEEEEEYDDAVFYEDIQAPMFVDLTAPDAARPDDDPAWFCVRVGCDQSHEQVDPEALDRSFFMRVMAARSPNVRLQKAIGRRNQSSMLKCPHSAPPKPPRAQFTRLSAATEVAGKAAERPKTRVQRICALRASPTRTKATRIEPPSARKKALTTPRSKTVRPRQEPFLSVKHQKEPVAPASRKGTVVKALFMSTPKKEPARTPAADKSKEAVSEVCSKLRKLNLACREVPSRYMSQLTTPKIAKKGEETTSAKSTKKGQESRTNVKKKILGRSVKCANAEPDEENRHGCTNTAADKSSRTETAIPNGRRNAVLQELRIDVDASRADDDNKENVSNADHAVEEAINSSHSVDENRQLDNNENVPLKVAKIQNKVHPEQAGKLKKTTNPRPFRLRTDERGVQKEAKPEKRQPFAENNSMAAVLKDANRGVMPTDKYTHGKGRDKPLCGEKQKKQSTQIAMGGQQLCEAKPAFNSIRCNNTRPAMTKRKAAEKPQSVSRVASSTRTTKTASGLMAPTQIGKGRKASVKPPRVQAAAA >Dexi2B01G0033400.1:cds pep primary_assembly:Fonio_CM05836:2B:40970718:40971813:1 gene:Dexi2B01G0033400 transcript:Dexi2B01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVFILAALLAAMAAQAQAAAPPRLRFGFYRRSCPRAEYIVREAVRNATAINPGLGAGLIRMAFHDCFVQYVMHALEPYAHGVADTGVYTYVRGCDGSVLLDPTPANPRPEKLGPPNFPSLRGFDVIDAAKAALERYCPGVVSCADVVQFAARDAAFFLSGYKVFYNLPAGRFDGRVSFENETLAFLPRSILNLSELVTNFKVKGLNVDDLVVLSGSHTVGRSHCSSFSDRISSTSPSDMDTGLATVLKKQCPANPNFTDDPTVPMDGVTPARLDNQYYKDVLKHKVLFNSDAALLTSRVTRRKVLENAFIRGRWEKKFAKAMVKMSLIEIKNAANGEIRKNCHVVN >Dexi9B01G0048040.1:cds pep primary_assembly:Fonio_CM05836:9B:46990745:46991802:1 gene:Dexi9B01G0048040 transcript:Dexi9B01G0048040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVTLPSSGVAFPVSNASATAARRCLLLPSPLPRRALRVVASAATEAPPKPTPPPTSPSGIVLVDPAEAQRVHRLKAVYEQKVVPLITDEFGYTNVHQVPKIEKIVVNCGLGAEAGNSKGLEAAMKDLANITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRIMYNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSLGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFSENIKSDVVVRKKRLKRHHFLSKGKGKGGRK >Dexi6B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:6B:19580630:19581244:1 gene:Dexi6B01G0012040 transcript:Dexi6B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIKPLNGHGGYLRWKESMLLRLHTLGVAGVLSDDLPAAAAGDDAAAAKTWAHDDAICRGHILHALSDRLLADYARFATAADLWRALARTYDVPYTSGVWQDRFDAFELDMGTGEVLLEQIAHAEALGVAAELPDDYVARKLRAKLPEAMGYAVVIRSGPDESGMSLVWDVARRGVASGAEPEWLWMTTAMVDDEDHQGGY >Dexi9A01G0033160.1:cds pep primary_assembly:Fonio_CM05836:9A:37999495:38000041:-1 gene:Dexi9A01G0033160 transcript:Dexi9A01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVKIGPWGGEGGRDWDIPASLPRPHRLESITVCSSLAVVDALSFVYSDDQGRRHCVGPFGGRGGDPYVVRLEPSEILTEVSGTFAYIGTQPTDAVTSLSFVTNLGTKHGPFGDVDGTPFSVPVHDGGSIVALFGRGWDYIDAIGVYVRAR >Dexi3B01G0009310.1:cds pep primary_assembly:Fonio_CM05836:3B:6401928:6404148:-1 gene:Dexi3B01G0009310 transcript:Dexi3B01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPKKAYGGEGGAYFEWSPADLPMLGVASIGAAKLSLAAGGLSLPSYSDSAKVAYVLQGNGTCGIVLPEATKEKVVAVKEGDALALPFGVVTWWHNAPDATAELIVLFLGDTSKGHKAGQFTNFQLTGANGIFTGFSTEFVGRAWDLSQDDAAKLVSSQPASGIVKLGAGQKLPAPSAEDRVGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGTDGKRVLETQVEGGYLFIVPRFFVVSKIADASGLEWFSIITTPNPIFSHLAGRTSVWKAISPEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >Dexi5B01G0036550.1:cds pep primary_assembly:Fonio_CM05836:5B:36232857:36233725:1 gene:Dexi5B01G0036550 transcript:Dexi5B01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMAAFNKLGSLLRHSALASGPSASSSPALFSAARLMSTRLFVGGLSWGTDEQALKEAFGTFGEVTEARVITDRDTGRSRGFGFVDFSNSDEAKEAVSQMDGQELQGRSVRVNFANERPAGNRGGGGGFGGGGYSGGGGYGGGGYGGGNQSYGSEGGQDSF >Dexi5B01G0023480.1:cds pep primary_assembly:Fonio_CM05836:5B:25626601:25629228:1 gene:Dexi5B01G0023480 transcript:Dexi5B01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLHSHVLTLLLLLLPASTSATYSSLCHFPTAAHDNGSTVSPLPLPWITAGGHFSGGGGDLNFAPGRDYYNRVFSLLCLGTPGTATDDPTVTHVSATLTLEGARRYDVASRHSVSFHLIGYYYSTPTNATAELCMVTSDSFAVRDDGSHIVLHLSVPRPSSLSRPFVTGSLQGAGFNRTALVAYAEDDYAYGQTAPASCPAEAPHGARQVGLFSCSRLRELLGSSYSVEYMPINGTSSSRGYPLQLRHGSMYVNHVHCGANGAVRAYMVFFASLADAFPYNDWERRRGLLVGDEALVAEGLWDSSRNRLCLKACRVVARTPGTSSGAEEEELAVGECGIGVSFWFPAVWSIQDRSVATGMIWNATSNSDGNTSAGVISVSRTWSYMDMSGIKYNYTRVEEARKHYDSMMSSTTPLGKERKKQGRFPGSYSYRDFAFEFSGHRFAGYASPITIGSALVQADELLADAAFQAEEVNKQRLLNVSYTLRYDRTHVSGTNSPQVRHISAEGVYDTKNGTLCMVACQVISDVSPEPDCEVLVTVQFAPMGGATRQRAVGTISSLRNQDDPLFFRALDFVGYGMSVKDMERSSSRMDMESVMLLASTVLSCVFTGLQLRHVKRHPEALPATSVTMLVVLALGNAVPLVLGLQDMYRDSLKRYFAKLMTGGAPGLNEFMQRMTTLLALVLQLRLLQLALSRRLADQAAGKSEDSSSSSSSADAERSTLRICLPLYALGAVAVCVAHLFDGHVGIAAYAGLVLDGFLLPQVVWNAAAGSSPAVRALSPWFYAGGAAIRAAPHAYDAFRKRSYVPSRRASSVYASPRDDLFGVGWDVAVQCGVALLAVLVFLQQRFGGAVLCGLKRRRPGYEMVSSATVWRA >Dexi7B01G0024590.1:cds pep primary_assembly:Fonio_CM05836:7B:28895123:28896569:1 gene:Dexi7B01G0024590 transcript:Dexi7B01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASRWAAARVTNKTIASSTSSGAAAAAAAAAMKKKVMEKKKAADDKVEAAEGGGTKKQAAAESPSYSLALRSLFSCRNSHPATDSRSRGCSAAAPSSICKQLKATDSSQQQSEACKRRASVSGGSERLPLGTKQQQQQQQQLQRGGSSSSSGGSSFRQLRRLSGCYECHMGVLDPSSSSSMRLLCPCPDCGEVFVRQESLQLHQAVSHAVSELGAEDTSRNIIEIIFQSSWLKKQSPVCRIERILKVHNTARTLARFEEYRDAVKAKSGGGGGSSRCSADGNELLRFHCASLACPLGLDGATHLCDAPGCGACAIIRDGFRGGVRTTATSGRAHDACSCSSQERSSLLAMLVCRVIAGRVKRPPTQQEYEPDGDGDGDGDGDGDGDKLFDSVAGSAGVYSNLEELLVFNPRAILPCFVVVYKASSA >Dexi9A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:9A:10280636:10283752:1 gene:Dexi9A01G0015440 transcript:Dexi9A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKQRWTSEEEAALRAGIARHGVGKWRTILKDPEFSSTLSSRSNVDLKDKWRNMNVIVSTSSSRDKGKNAVKRTRTTPKNNDHTVAVSTVTSDIDDGIVDEKHVASVPSETQNTSSSRDKGKNAVKRTRTTPKNNDHTVAVSTVTSDIDDGIVDEKRVPSVPSETQNTSNPKKAQSRLDNIIMEAIKSLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATSGKLIKVNRKYRIAPSSPNSEGRSPKMLLLEDVQRAPIKIGNNDSRTLTRSQVDAELAHIATMTAEEASAAAARAVAEAEAIMAEAEAAATEAEVAEADAQAAQAFAEAAFLTLKNRNTAKLVIVLVHESFVISLILLSHVEPDFIIPSAT >Dexi4A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:4A:5477127:5480943:1 gene:Dexi4A01G0007400 transcript:Dexi4A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAVQSGRNRSPATTSDGQLTHQNAKHNSHLDPHQGKRDAQGATQKHAKPYLNSQNEQHFPGSDPAYVPSNARISGGPVGPARRQVGVVNSTRQPAGRLGSQMHAPSGSYANVQRGNFNSVGTSGRHSTFISRNIHQSQRPDSFRGRPTGRSFVAQNVNRYHQGPTGNQKAVQPIKEWKPKSTKKSPTTDADNSVADAVSPSASNTENANAPDVNALSDELSHANLHEVEHVIIPEHLRVPEYEQTKLRFGSFMSGFDSEQVPASTSLDSEEPEHVQDPVQQVSEDDSLGAGHDDVDEQASSSQHLSTSTAEISLPPSEDSDRMSGQVENDDGLGLVQSDTPIGAADEESTQMTPNLTAFSTYGHEDPNMHSNNEAQLYGLVEPNVHQQVLASSSQGYPSENPEADNTVQVFRMPDSDVHSQVLPSTSEALNPQIVMSNSPVAISQQQHMSQQQAAAQMYPQMHVQHFPNFMPYRQVYSPVYPMPMPNYSPNVPYPSNGNNYLQMPGGGSHLTAGGMKYGVSQYKPVPAGNPSGYGNYTHPAGFAIGSPGVIGAAVGVDDVNRMKYKDNNIYGSTPQL >Dexi3B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:3B:7744936:7745298:1 gene:Dexi3B01G0011010 transcript:Dexi3B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARADHLGRACAFKVCASALCAPCAMENRTLAVEAPARPLDEPRASCTRCAEEPRGAPASRPGSADGALSLSLARSGGEKGEEEGSSALSHRICSPEVGETAALSPPRAAARTRGGRE >Dexi6A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:6A:1616742:1619841:1 gene:Dexi6A01G0001710 transcript:Dexi6A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLSDVFAKCPPQPAAPLSPFSHFSPPSPPLPPPLCSPRAPPWLPPRALRIFESTERTQADPTSTAAGPAGLYRLRPDVSPAAARACYPWGYIGRLGLPRNLVWGSFDSVMAAGRHGGRMERELDAEASRRSKDQHHHLGGRHRDADHRRRDGGRSKGGRDFANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGVPSSSREGESVPAAATGAVVLSPNKKRKFSPIIWDRESPKQPHSDASRVKKAVESVPAELPPPPPLPPKDHVPVRLALDKSPMDVEPTVVTESAELPEHEENKVAEEEEEYPTTRNISTSRWAGANDDEEDGAAPMKKKSASPADSSVPEQWKRTSPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDRDDYMDVDRGQATDSDAQNGMSDTDSEDEARRPETPEPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTNEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRQVLDLKTSNLLLNNRGLTFIAPCGYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >Dexi5A01G0034110.1:cds pep primary_assembly:Fonio_CM05836:5A:36240974:36241696:1 gene:Dexi5A01G0034110 transcript:Dexi5A01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGGSDDVHGVDADVFFCVAATSRRNKSNISYFHTNAAAGEDARSALALAALCLDHAPDHHRWHHHTVAGAGTFAFLSAGDGRTYFAAADPTPGAAEVVRFLERVRDACDAAPRRRMRDEAVAPVARQFEELLRAVAGGGAGASAAALPGASPQARLLPPTPLAPVCAADAGDEKDGENQRAGAPRRAVRQDRGSARAGWWRSWWRHAVVVIGVDVVVCLVLFAVWMGVCKGFRCLTR >Dexi3A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:3A:3762898:3764359:1 gene:Dexi3A01G0005830 transcript:Dexi3A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGQRKQERTRRRPSSSMAGEAKKLFDASEVALHASRKDCWVVIGGKVYDVTKFLENHPGGEDVLLHASASGDATEAFEEVGHSTSAISMMDTYLIGSIKGYVRPSASNTTDPWGLDAPPNSRTMQGNKGPPDPNTFLDFLLPLFMLGLAFAAWYYLTFVSKNQ >Dexi2A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:2A:5355471:5359842:1 gene:Dexi2A01G0005600 transcript:Dexi2A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGEASASAGGGGGGKVACAAWIRRRDEKAAAARVFAAYGRAGAAGSPPAVEVLGFDSKECYLSPEPLARAVLGEGGPGDAPRGIAVHPAGDELVCATAKGCRLFKLIFEEFAVRIIPRDAPPLESVGPQKCLAFSTDGAKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTATDGSARIWKIDEGAPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGSKVVTVVWNISDWKRIGYKRLLGRPITTLSVSLDGKYLALGSHDGDFCAVDVKKMEVSHWSKKAHLGSPVTSIEFCPTERIVLSTSNQWGAELTKLNVPADWKEWQVWLVLLALFMVSAVLFYMFYKRSDSFWNFPMGRNQPAKPWSVLKESPPVPEDQTPW >Dexi4B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:4B:8951739:8953064:-1 gene:Dexi4B01G0011500 transcript:Dexi4B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDGDDPGCTFSHCICRWRTPLCSSPSTPRVTPGRDYTFISADAVDDDDGPTWSLLVGVVSLFDGGSSLRFHRFRTARSGRVLGRSGDALDVFADDVDYYKPNNTWPLIRSAASARSHPNGHHSLSLCLFSREVDLADMSRVEAPRPVEVNINLVVSDDDDDDDDVAKITVSPLPRLPLGLLMPTMPITAAGELWAPYLTEINGPSRLVMLRFDRDAGRWVEVGDLHLPQGRKRANWRDRSSVFQGFVVVRRTRTTILLSLSPFNLVFTFDCSDLAWAAVVTDETRWTHYVPIEDRSVYVEEDDAIYFLCAGSVYAYRLLVCCQDEQGGGRQHRMAPPSMLGCVFPFPSKEGTAFMAHLGGRIMCAVWIGDEKLQCSCDAKHVLITTFRVVLHSTCRRLDLWPSKANGTRSHFKFSILQ >Dexi2B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:2B:615473:616481:-1 gene:Dexi2B01G0001010 transcript:Dexi2B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPMLMEEVLLRFPPHEPALLVRVSLVCKRWRRLVWGPSFRRRFRELHRTPPMLGFACLMLFTIDLKTYEVKKVCEGKNVYSAIPYMSFYTP >Dexi4B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:4B:18144048:18145487:-1 gene:Dexi4B01G0016140 transcript:Dexi4B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPHFLVLTFPLQGHIAPALRLARRLLAVAPDVLVTFSTTKAAHSRMFPSNGEQGGDHRAEEEDDGRLELLPFSDGTDSWEFRSSDVGAFDAYMSTFHAAAARSVAEIVDALAARGRPVTRVVYTLMLPWAADVARDRGVPSALYWIQPASVLAIYHHYFHGHDSGVVAEHRHDPSFVVELPGLAPLTIGDLPSFLTESTDPSDTFHSIFTTVRDLIETLDKERPRATVLVNTCRELEVGALAALGRQHDVLPVGPVLPSGSETGILKHDDAKYMEWLDAKPENSVAYVSFGSLATMGREQLDELLRGLEESGRPYICVVRKDIKAALGEDDEAAETMGERLENGMLVEWCDQVRVLSHAAVGCFVTHCGWNSVMESVASGVPMVCVPRLSDQRMNAQLVAREWRVGVRAQVDEGGVLRAAELRRCIDEVMDNSEAAAEVRRMAKKWKQVVTEALCKGGSSEHNLVAFVDGARSNF >Dexi9B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:9B:7552224:7556389:1 gene:Dexi9B01G0011600 transcript:Dexi9B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALVYGTRDSGTPGPSDLGRRRGQDRDWKRNPNPQTLDEGDPTGPEMAASSVEKLKALWDSQVNDEEQWALNYVLLWFESVAPVAIVLVLRDLNSCDDDLPLQRIDSTARLPLYHVCAELWALPLVHKEAKRERTGDADLARLTDRSETPGTVRPPRALPPFSSDHTCRRKQLQIGNSTETESSLPLGTLPRPSKLSLLREERQEEKPREHHGFLL >Dexi4A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:4A:24936991:24941015:1 gene:Dexi4A01G0021380 transcript:Dexi4A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLEVRRFAAARAGELRSLHAAISSRLDDGSGRSRQQPRSARRRTTGHLPSKRRRRGSGEDAAGAGEAGSSGEGISAARKNSRRVRRRRELAGNPAEGFSVAGDGARRLRTHLWHAKRFAMERQWGFVLPVGAQGRGKGSRSVLKRLKNGTIVHDASYFIPIELDGPECAGSLFQESLLAILRMVLRPSPADKTPDLSHLQDQVMRGVCYENAMIQDSGAVVRCCSLEGKIARLEVMGCKAMQSLKKMLHPIKASKFNMVPDTSHDSTSTDTPPDSSTAPHLLEASIIDHAEILHPGAILSMVVHDPREISVQGTVSSSKLVSLDKKNEDLEEDVVPNADEAPSEVGNMLSSMWIRPGKHDIILSDCTELWDSSQSINPPVAEEVLCMEKQRERIKFFCLDSGNDQVQTMQEKDRFSRFCPVVLLKHAKKGMPSLGWSIILPLSWVKPFWLFLVSHGAHVIGLRERRWIATKNAMLSL >Dexi3A01G0024190.1:cds pep primary_assembly:Fonio_CM05836:3A:19815462:19816112:-1 gene:Dexi3A01G0024190 transcript:Dexi3A01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHQQPNDKQVPEHPQSEGLSLNTGTGGDNNLHSANGASEEETVPLVTRDVGHRNCCQPDMNARWLKPSLVVKYPGGATRMRLGMTMVVAAVLCFTACLVLVHPHGVGVLAAPVKRYLSSDSAS >Dexi8A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:8A:12269437:12271157:-1 gene:Dexi8A01G0009360 transcript:Dexi8A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSVVVSSEEYVRPPPRPLVPVAHDKPASHPQQVHISAVGPNAMGITCITNERSAPSVVEYGTSTGEYSASETGYHTTYQFLSYTSGAIHRVTIGPLEPGTTYYYRCGMAGDEISFRTPPATLPIEFVITGDVGQTEWTSLTLSQIGATDYEMLLLPGDLSYADSHRPLWDSWGRLVQPLASSRPWMVTEGNNERETLREQNQTNTPPRRFVSYEARWRMPFEQSGSLSNLYYSFDAAGGAAHVVMLGSYAESGEGSEQHQWLRRDLAAVDRRRTPWLVVLMHVPWYNTNLAHQGEAEAMRRDMESLLYEARVDVVFASHIHTYELFTRIYDKKANSQGPMYITIGDAGNSRADKFINDHELAHLSVYREASFGHGRLRIVDERRAVWTWHRNDDDRATVSDELDKPKR >Dexi5A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:5A:12503715:12506387:-1 gene:Dexi5A01G0015140 transcript:Dexi5A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEVEVEADERAAEVARKKAAAAKKAAEAVQVEEVVDGEEEEEEAVDGEEDGEEGADGEEDGEEAVDGEEDGDDAGEDEEEDEEEVEGEEKEAAGVVEISDDDDDDDGGEAEGGDDDDDDDDDDDDDDDDVDGEDEEELGTEYLVQPLGRAEDEEHSSDFEPEENGDGGDDEEIDDEDDADDGEDSVKAQPSSKRKRSGDDDDDGDDDGDDDDDGRPPSKR >Dexi2B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:2B:29979653:29980215:-1 gene:Dexi2B01G0019780 transcript:Dexi2B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRAEACHGASGRDEANNHGSETREGRVVAATVRGPVQRLELDQGRPAGTAQNMEQLATNAAASSNAFDGDGATSDSDMDERGRAGTAHDSASESNGCSWLPWQVPDQLGCGLPKTNRARKQ >Dexi7B01G0020870.1:cds pep primary_assembly:Fonio_CM05836:7B:26093313:26095125:-1 gene:Dexi7B01G0020870 transcript:Dexi7B01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVESEREQDDPLKLSGKSRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGAS >Dexi2A01G0028040.1:cds pep primary_assembly:Fonio_CM05836:2A:39351748:39355341:-1 gene:Dexi2A01G0028040 transcript:Dexi2A01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLIDVSAEDDLLFDLATPPPAPAPPRHPDPTHGGSLVGAEAAPHVVPADGSPAAASRTADPDGVTEEQSAPERTESPKQRKVKKGVNLRKSLAWDSAFFTSEGVLDTEELAMANSTFRRTQGSRLPGIVEEMRKSGDSTSTLDSEVWATESLDTQLFDSVRASIQKSLAKPNKVPGGPAGSSKPPKATANGPCIAARKGVDRIPQTKIRDPVSTSQGAVGGKQRPQVSSKMSAAARVKNLPGAAEAKTSSKPPRALPRVAVMRSSTNTAITPATLDKRSSTGGVVNRPAAAKAANTSASRRLGRVTVEKSVALTSTNSSSCGLDTRDKAKTKSTLSNPIRTAQRVPVRSSSKPDTSRPLPPRSGSKIPTRGHVGRASPTISPHSSVDSMSSVISGASTASTIGKMSHTSESLNTLSPSLRKSNDCPLTPKLRPTTVKEGLSACADSSNASTDVTNQGKGFKPTGLRRPTPKIGYFDAEKSIEHNMGAQVQQQPMKIQCLLPATPTASSIAKQESKLNAAALEEHDPPLEVMAQPEADKSIDQSVDAPVPLMEIQCLHPATPTSQASSNICRQERKRIASPFEEINASKSKATKAVPVEAVKVGVDPLKVAKLEACLHQADLVVATDTPKENIPTDHQNVQANVDASSLVDLLTQKLSSISLGEATPNLAS >Dexi1A01G0027920.1:cds pep primary_assembly:Fonio_CM05836:1A:33618708:33620370:-1 gene:Dexi1A01G0027920 transcript:Dexi1A01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLERKKTIDELIRKAIAVKDHLVQFPAFQIFQRNGLSVYLESGRGDQLTLPVKKYIQNLLKVNMEGPYGLEWPSEEKVKRQEMVAPEAQYIFVKQYSNGFTTECSMNQDEGVKHTHTACNEGCLVGFVHYRFVLEEDLPVVYVYELQMEPSSQGKGLGKFMMQLIEQIACKNQMGAVMLTVQKANTQAMSFYTKMRYVISSTSPSRVDPQIGLEKSYEILCKTFDSEAKSKLEDGDE >Dexi3A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:3A:17219066:17220991:1 gene:Dexi3A01G0021610 transcript:Dexi3A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSLARRPGAQNKVAATGRRGGKGKMSCFPCFGGGKGKNNDDADADTPTAGAASNMTPPMMMQAPAAYAPASAPIAAAAPKPGGANNADSAADEASLRLAITAQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGKLEKSGQTVAIKQLDKHGFQDSKAFLTGVAKLSQLHHENLVDIVGYCADGDQRLLVYESVPAGTLEDHLFDLSAGKKPMDWCTRMKVAYGAAQGLEYLHETANPPVVYGEFKASHILLDESLTPKLSDFGLAQLGQAGGNMPVASPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVAEQNVVTWAMPMFKDQKRYHELVDPLIKAEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSMPPDPPAPAAPPPAAPTPKKDKGSDHSDSSSSSSDDEDGNEDEEEEEEEEAEEQ >Dexi5A01G0024530.1:cds pep primary_assembly:Fonio_CM05836:5A:28384001:28387757:1 gene:Dexi5A01G0024530 transcript:Dexi5A01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVVVANGSGGADTTAAFKEVYSKLKEEMLADPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLSKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVRNILVEMGTYFQVQDDYLDCFGDPEFIGKIGTDIEDYKCSWLIVQALERANEAQKKILFENYGKSDPVCVAKVKDLYKELNLEGVFHEYEKESYNKLIADIEAQPSKAVQTVLKSFLHKIYKRDK >Dexi2A01G0036400.1:cds pep primary_assembly:Fonio_CM05836:2A:45950026:45958496:-1 gene:Dexi2A01G0036400 transcript:Dexi2A01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAVAAVTHRPHPDPFPAPPLPPLASPAATSEPAAAAPAALTSEAAAAAPASTAEAAAAAPTPTRPAPLTPLLPLGATSARSRKEPPPLAHLHPPLHPYEQQRLSRCMQNSGRLQQLGIPTIRTMFEDAAAISRDKKKKHGNREDSGSEYDPVQDDNSEDDCIEDGSEKGSNGKTRKKTNKQTPTTVVKFQTRKRVYAAALPNQGPSSKRTNSVLDASRTPSAIQVPPPSHTIVTPVVEPVGNFEDNPQEDGDDDIARSDGHNHLSSEEGGGNNIGRSDGHNHLSSEAVGDVGYNDDNTMVDGPDAITLPAGDNQMINEESVEAELEVQRMANADLQSKMDDMSKKMQETEDARRRDQEELKEMKKKQAELEAALHRILTQN >Dexi2B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:2B:12372904:12373629:1 gene:Dexi2B01G0010860 transcript:Dexi2B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVRGGSGPFAFVLGRRAMGTVAKGTSRAAVHGSHSPTNTTVEGRDEAEESIEKGKEEKRKAREQVEAKVDARIGSSSAPPPHDE >Dexi9A01G0041940.1:cds pep primary_assembly:Fonio_CM05836:9A:45518859:45520568:1 gene:Dexi9A01G0041940 transcript:Dexi9A01G0041940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRDVSCASPRRLVAVFLLVAAVALGFGVRAGAAQLCSEYYDRTCPDVHRIVRRVLKKAHEADERIYASLTRLHFHDCFVQGCDGSILLDNSSSIVSEKFARPNNNSARGYPVVDDVKAALEEACPGVVSCADILAIAARVSVELSGGPRWRVPLGRRDGTTANITAANNLPSPFDNLTTLQQKFAAVGLDDTDLVALSGAHTFGRVQCQFMTARLYNFSGTNRPDPTLDRGYRAFLSLRCPRGGNGSSLNDLDPTTLDTFDKNYYTNIEARRGTLQSDQELLSTPGAPTAAIVGRFAGSQKEFFRSFARSMINMGGIEVLTGSQGEVRKNCRVVNGS >Dexi2B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:2B:3581849:3583233:1 gene:Dexi2B01G0004040 transcript:Dexi2B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLVLGDYDTRMHSLFRLDVAKHLFYPSTAQAEAANSKQETNNGGGGGGDNKPRKPSRLKWPKTPRIKWLGQLPELTIFPPADNGDEKLDPWRPLPSYDDDDAFMLLHPSTSEGTILHVSREGRAVIYDADAHAFSATTVPSFDAGVGREPIVFSVPGAGGGEEKESLYVMCSTSNSRKHYYDRHRCYYSSPPNNNDDEDEEDHRCSGDFFVLDFNNQPLKWQHLPRPPFVVDKGRSAGSQSCIRSSAVVDGGRTIVVSSDERNGDFGGEFTYCFDTATRQWRHDGDWALPFVGRAEYAPGLGTWIGFSSTPPQHHLCAADLSLSAMDDANRAPTPRHVWEVFTPPAYEESEVVLNRHHPSYVLRRSTEWCPTGGNLVSLEAPVFDIRRRECVGFYESDMDMPQEEEFAVLTGVEVVRCHGGEEEGLRMVKHKSKRCSLDHIVNMHWLF >DexiUA01G0021690.1:cds pep primary_assembly:Fonio_CM05836:UA:44874982:44875412:-1 gene:DexiUA01G0021690 transcript:DexiUA01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKGHSKVMESIDASVELGYNPVKVNCVIMRGMNDDEICNFVELTRQKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVV >Dexi5B01G0023240.1:cds pep primary_assembly:Fonio_CM05836:5B:25459943:25462721:-1 gene:Dexi5B01G0023240 transcript:Dexi5B01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPKFFQGMEVQVLDLSSDSEDEKSAHSPEHKRPAQQAGPGADHGGGGTGIGGSVVDSSLSRQESAASDAVKKGKERVGEGGSAWAAGPPKLGGESLGAGVVVGAGRDPWGALVSKCKAGHGGDVGAGCWGGWGDWGDQLCSSLPVQQQGSESKQFQNGSTASGARPADPATTLSLDACKRENNVEMFTRGSLATREVSGCDDFLMEDSSSAWLSKIKGLNFPLPDEHQLRTRQIEDDEMFARRLQEQLNQEQPGTQHSEAVDMTIAWTLQEQDAANARFTAREGQSSSLTRGCFKEDMDLETRLAVLDSLSEAFDNCEDTVSPASDDDDYENLITLDANNHHRGASDDQINSLPLSLVEGDSCSDEPCPICLDCPAAGASLRHLPCLHKFHKECIDRWLGMRISCPVCKSTVFSQ >Dexi6B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:6B:25592816:25593522:1 gene:Dexi6B01G0018660 transcript:Dexi6B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIELESQAVAPETTKTMTMPASAAHVRTSAALRLLAFAASLAAATVVATNRQDRWGITVTFRMFAVWEAFVAINFACAAYALLTAILVRRLVCKQWLHHGDVVTVNLQAASTAGAGAVGSVAMWGNEPSGWFAVCRLYRLYCDRGAVSLALAFVSFAALGVAATLSRFPRTPPPAAVSRS >Dexi5B01G0038820.1:cds pep primary_assembly:Fonio_CM05836:5B:37887847:37889866:-1 gene:Dexi5B01G0038820 transcript:Dexi5B01G0038820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATRPVLRVAAICGSLRKASFNRGLLRAAAEVCEDSIPGLRVDHLDISDLPLINTDLEIDGGFPPAVEAFRVKVAQADCVLFGAPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELCVQAFQQPPKFDSDGNLIDAQIRERLKQVLLSLQAFTLRLQKD >Dexi6B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:6B:13700527:13701879:1 gene:Dexi6B01G0009390 transcript:Dexi6B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSPRSRPLPILLLILAGAAVIVAGQGEQQPITHPGCPDKCGDISIPFPFGLMPGCFRDGFEVTCDHSFDPPRAFLADPDTNRITVTEHVASAVPDDPYLAFDSNTSYLPIELMDVSVDRSEARVYGPITSGCSTNSTHYMMEDQAMSLGRISTETDGPFAVSEALNVVIGVGWQAGVSDGSSYSSTVACRSELPGGHLENARNGSCAGRGCCEAALRQEEYQYGPITEVAPGLMLDDNTLWRTSPCSYAMVVEKSWYNFSTPDLYGDRTLPGRFPRGVPVVLDFAIVEGAACPAKGQRPPPDYACVSNNSYCVNATVGQSGYALSYVCNCSEHYQGNPYIVNGCQDIDECKFPDLYYCASNGICKNRLGGYDCPCKPGTKGDGKLGHCAEKFPLVAKAIVGK >Dexi3B01G0028410.1:cds pep primary_assembly:Fonio_CM05836:3B:24580809:24585708:-1 gene:Dexi3B01G0028410 transcript:Dexi3B01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASKKTLSLALGGARPLAAAASARGVTTVALPDLSYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGASLVPLLGIDVWEHAYYLQYKNVRPDYLNNVWKVMNWKYAGEVYDNALA >DexiUA01G0003330.1:cds pep primary_assembly:Fonio_CM05836:UA:6724519:6725085:1 gene:DexiUA01G0003330 transcript:DexiUA01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLRHSLLPIILLQLHVVLLSGEPAAAAKVPAVIVFGDSTVDTGNNNYISTLLKSDFSPYGRDLRVGPGGGQPTGRFSNGRLAVDFISEAFGLPPLP >Dexi5B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:5B:7526562:7527311:1 gene:Dexi5B01G0010650 transcript:Dexi5B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATAVVFPAVALVKGRPAARSVAVVRVPGRSVRAAAVAVVAADPTEVDYSSSSSVFPMEACELLGGDACSGQMYPEAKPAAAAAAASRREEEVERDYLSYDEPKTVFPGEACDDLGGEFCEPPYQAGVSRELAHSEVEA >Dexi1A01G0025680.1:cds pep primary_assembly:Fonio_CM05836:1A:31834533:31837582:-1 gene:Dexi1A01G0025680 transcript:Dexi1A01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWVDPEPKRRERFLRELHFVDTPEPDRWPDPPQPDRGRVFRELKTLWVHPPPFCRPGASPVTDLLHWEVVIDGPDGTPYAGGTFPVDIEIFGDYPMKQPPKITFKTKIYHPNINSEGYVFLDILQRKDWSPAQTIQKLLTSIVSVLYDPLLDYPINEEAAYLYKNDIKRYEEVATSWTWKYSSTPIVSYCPSEEDKPWLDYCKAVAAMVSADQEEERLRRRKANAERQRRRKAEEERRLIAAAEEGKAFAWRNMAGFLNTATDDTPAMEGRAMALELDIGRIRREVRNLWVDPPAFCRPGPSPVTDLSHWEFVIDGPDDSPYAGGTFPVDIDFNGTCYPLMPPKITFKTKVYHPNIDSEGDMTLGMFVRKNWSPAMTIHSILLTIVSVLYEPMIDGYTNNGEVDDMYESDLELYEQTAREWTSEYSSTPIVSHYPDDEDERRLDRYEAEDRRRRRRAASSPGIAWKQVVVAFLLGLAVALLFATGISSTVLPALFNYTGRTWF >Dexi7A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:7A:21937512:21939909:1 gene:Dexi7A01G0011470 transcript:Dexi7A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPEDGTIQLQDVAAGGGSASASYTKDGSDGQCCVASPGGWLGRLSRELHWSFLFAVVSVYGACQGVGDALNGVASGYYWKDVQRVQPSAAQFYQGITGAPWVIKPLWGLLSDVVPVAGYRRRPYLVLAGAIGVTSMLMLSLHRELGIIAAVLALTAQSTGAAIADVTVDALIAQKSITHPPLASDMQSMCGFSSSVGALIGFSISGLLVHSMGSQGALSLLAIPSALVFSAGVLLKESPATDFDYTQVHQKFYKTVQSMGTTLKCPEVWRPCLYMYLSFNLSLDIQGGMFYWYTDPVAGPAFSEVCCKGTSLIWNFSNFYNLHYHLTEHLPCVVQGFIGLIYSIGSVGSILGVLLYQGALKDYSLRSMLLWSQVLASLAGMLDLVLVARLNLKMGIPDYFFAVIDNSVSQMVGQLIWLPLLVLSSKLCPSGIEGTFYALLMSIQNAGAMISAWWGGIMLDMLNVTRTEFHNLWIAVLIRNISRLAPLMLLFLVPQSDHDSRLLPVEMLDNIESSEAVKAGSDSTGFSILVADDSSGLSSNAVVQKEEIEEFHAGTADVELIPLVNKSRPTIDS >Dexi2B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:2B:19127165:19127740:-1 gene:Dexi2B01G0012730 transcript:Dexi2B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELARQLKETGVLMNQSVQDTEKILDTTERAVGHSLAGTGHANVHAAVVYSLTSKTTCFQWLLLFVMTCMFVMVVLLIRIT >Dexi4B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:4B:1743385:1747285:-1 gene:Dexi4B01G0002710 transcript:Dexi4B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANDAAAPEAAAEAALPPEEESEVDAFQRKVDNLVFKTDVMERRVNEVVDYYDIKNHSSGGQKAGRPGRVPPDLMRRFGKIIREIISDDDSWPFRDPVDVVGMGLHDYHKVISKPMDFSTIQNKMEGKDVATYKNVREICADVRLIFANAMKYNNDKNVVHLLAKSCLEKFEEKWIQLLPKVESEEKRQMEEESKGLVSPDTSREAALASLAKDTDDELNQINRQLEELRKMVVHRCRKMSTDEKRKLGAGLCHLPPDDLNKALEIVAQDNPSFQTKGEEVDLDMDAQSETTLWRLKFFVREALERQTNVASGKMDENAKRKREICNALAKTASKRIKKQP >Dexi8A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:8A:3309156:3309818:-1 gene:Dexi8A01G0004230 transcript:Dexi8A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKHHHLFHHKKDEPTGGEYVGYSETVATEVVTTGENEYERYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKDAEEASGEKKHHHLFG >Dexi9A01G0023790.1:cds pep primary_assembly:Fonio_CM05836:9A:19241469:19242458:1 gene:Dexi9A01G0023790 transcript:Dexi9A01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNSRKRARKGRTTLKQMAEKDELERKEKMGNFVIPFFIHPTRPLLPFEVRNTSMAAARAWLRRAAAPVPRIPFPFPSLSAHPPPAPVLEVPSLAHPAGGIAIDLMAVPKKKVGISSFLLLARSSARHNLPSCGIV >Dexi6B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:6B:4460973:4463165:1 gene:Dexi6B01G0005170 transcript:Dexi6B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSVKIIEELRVAVANTAALPPKPLRLSALDAQWVTLPLIQRLLIFSDADDVSDNTRPPFASAVDSLRASLSETLAKFPPLAGRIVHQPYSGDAAIDCTAASVAAAGGVRFIVAEMISEDAARLAGEEEHDTEAFRRLVPELDAGELPAETMAAQVTRLKGGMAIGVAVHHAVADGRSVWRFLEAWAAACRGGVEDDDDDDVEPPPTFDRKVIEIPGGEELARAVLRKRAPDLPKAAVAGHLIRPNLCRRTFTIAAQDMQRLKHRITDLSPARHVASPPSSFVAIASLAWVSFVHSKYQAGIVSLDDEVYLFFFADCRTRLNPPPGDDYFGVCISGCLARATTRDLLVENGVGVAAALVAEEVRCAAVEPLAGLDWMSTVDGVDLDRLVNLAGSTRFSAYELADFGWGLPARTELVTMNHDGQVVLVAGKKGHDGTGSVQASVSLHPAHMGTYKSHFLSYFR >Dexi8A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:8A:23842236:23845729:-1 gene:Dexi8A01G0013670 transcript:Dexi8A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLIAAKTAAGMDKEKKQPVTRSSRAGLQVXXXXPPPFPVGRIHRQLKERAQASGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTIKE >Dexi5A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:5A:10831982:10832992:-1 gene:Dexi5A01G0014020 transcript:Dexi5A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVNHGVPNEVIQAVKRDIVEFFKLPLEAKKLHAQVPGGIEGYGQAFVFSDTQKLDWADMIYLMISPKEDRDPRFWPTHPPSFRSTVDVYSAETARVAATLLRSMAAELGVEPEPLMEAFRGQPQSMRATYYPPCRQAGDVLGLSPHTDATVVTLLLHVNDVQGLQIRKDGRWLAVDPLDGAFVVSIGDILEILSNGRYKSIEHRAVVHPEKDRISAAMFHQPCANTIVGPLPEIVKKDGGEARYKSIDYMDFMKSFFVAKTDGRRSHMDALRI >Dexi5A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:5A:5209841:5211661:-1 gene:Dexi5A01G0007000 transcript:Dexi5A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPPPHVLLVSAPLQGHVNPLLVLGRRIASRGLLVTFSTIPHAGLKFTHADGEATDIAGRGTLRFEHLKGGDLWPPYDPRFVVNGGDTLRHLEDVDAAPAALAGLIRLQSDAGRPVAVVVASAFVPWAGRVAAGEGVRHTVLWTESCSVLSLFYHFFHSLAGDFPSDTAAPVVGVPGMPAMAAADLPVLIRAPEKFIWRQVLVAELNSLRDDDTAPSWLLVNTFDELEHEAIEALRAHLPSITPVGPLFEPEDEHAGGHGDDAAVDDDYMAWLDAQSPGSVVFVAFGSLMRLGADETTELVAGLAATGRPFLLVARDKNRASLLSDDCLSPAAITGGGGRGKVVAWCSQGRVLSHAAVGCFVTHCGWNSTVEALASGVPVVTFPAWGDQPTNAKFLEDVYGVGVRLPRPMARGNLRRCVEEVMGGPKAAAMRERAGRWKAEARAAAAAGGSSDRGIQGFVDAVVVLGAAADD >Dexi5A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:5A:2704228:2711316:-1 gene:Dexi5A01G0003560 transcript:Dexi5A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKASPFLRSARPFVEQEACAGSSDMYPAPPSPPPRPPPSPPAGGDEPPAPQLSEDAMQLMLALFFIAAGAGAVVSRTPNSLTPPPPERAGQVRELWPLLLQREHRRVILAGGAPERVLRHVLTRADAWASYVRRAASVPNALPCALLWILLCSPFFPRR >Dexi5B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:5B:5977756:5979350:1 gene:Dexi5B01G0008830 transcript:Dexi5B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSHAWMLRRVAAALVAVVLAGAAVSAQAPPVTSAMLQQVAGSLQMYVDPLPQMPKIRGYDFQDGRVVPVNLTIGMFQKKWKFHRDLPDTPVFVYGQCVDSATFPGPTIVARHDVPLAVTWENHLPDHHILPWDPTVPTAIPGSDVGVPTVVHLHGSAHPPQSDGSAFAWFTAGFRDTRPSWTQATYTYPNVQPPGNLWYHDHALGLTRANLHAGLLGAYVIEKPEVDVPMDLPCGDDDDLHLVIADRSFNADGSLYMNSTGAAPSVHPQWQPEYFGEAVTVNGKAWPFLAVRRRRYRLCILNASNARYFNVSLSNSVPFHIIGSDSSYLAAPVTVPSLLIAPAEIFDVVVDFSAMSSSSPATTTEVEMLNSAPYPFPTGTAPGPLNDKVMKFVVAPNGPHDTPDNSTVPEREVPYANVASPGTPAPETTRCIAMYEYVTAWGQSTHLYINGLRLEDPVTETPRSGTTEVWHVINLTGDNHPLHIHLGML >Dexi1B01G0029490.1:cds pep primary_assembly:Fonio_CM05836:1B:33787743:33788527:1 gene:Dexi1B01G0029490 transcript:Dexi1B01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNAHHPMIKNNHAAADELSSSSSGSGSSSCSRGKRGFGEAFQQPKATTLPLFDDGSCERSNKRPVVGWPPVSSARSRACGGGAKCVKVKKEGDAIGRKVDLSLHASYDELLATLRRMFPTTGSQDDKEISSSTGRVVVTYEDGEGDWMLVGDVPWDDFATSVKRLKILG >Dexi3A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:3A:9718762:9720570:1 gene:Dexi3A01G0013340 transcript:Dexi3A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPHFSKVEPKVSTYTVGPFQSSNGEKAKLKVKVRLNIHGIVSIESAAMLEEDEVEIPVSATNEAQKEATKMDTDDTPNDPASGTDVNMESKGATDTAEGAENGAPTVV >Dexi8B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:8B:25078434:25079957:1 gene:Dexi8B01G0014460 transcript:Dexi8B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFANPAVAEQVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSTHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGIITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYVMGRANILNGYTQGYNPSPVGGYGMRMDPRFGLLSGGRSGYPSFGGSYGIGMNLDPGMSPGIGGGSSFNNSLQYGRQLNPYYSGNSGRYNSSISYVGVNDNNGSVFNSLARNLWGNSGLNYSSNSASSNSFVSSGNGGLSGIGNNNVNWGNPPVPAQGASGGSGYGTGNFGYGSSENNFGLGSSAYARNAGSGGVNTFNQSTNGFARNFGDSSAGGGTIYGDTTWRSGSSELDGTSPFGYGLGNSASDVTAKSSAGYMGH >Dexi1B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:1B:1129014:1129655:1 gene:Dexi1B01G0001450 transcript:Dexi1B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTAALMAAALLMLLVSPALLMLLLSPAASDTYEHETRRMFVQWKAKYKITYKFAGEEECRYALFKHTLRWRVTRTNAAGVTLSGLNGFSAHASEEIFLGHGFQIGEESYEDETRRMFVEWKAKYKKIYRDVGEEDCRYNLFKGNRRVFVDLNAAAGKASYGLNQFGDLTNEEVCESCDGHGGEMEGKLSSNARPPSQGTSTSITGRFGPR >Dexi9B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:9B:14014265:14015041:1 gene:Dexi9B01G0019430 transcript:Dexi9B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGRFRAAATSEFLTSAWRSTLWEARSGATPSEDSAEAKVDLRSSRRPARRSADRSAESETSERAAGGEEEKARSASSGPGKRESARARRPGEGGAEARSLWRSEAARSGRRRRVRREVAREKSWREVWRRGMPSLERAEATREWMRPGSASPRMASWRSSTGSRAEVGAARVGVAAGDDEGSTSGSAEKARSRRVEAAAAALGCAARWRRRRREAMVGLENVVSEGFGAVSLSPLFLSRCAER >Dexi5A01G0027500.1:cds pep primary_assembly:Fonio_CM05836:5A:30978585:30980336:1 gene:Dexi5A01G0027500 transcript:Dexi5A01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANQQRSVLVLSLAPKHKPPLRLQPVVAVGEGERGRVTADLMEISGAGGAEGREQSVPGPVPLALPCPAARCPSGWLFLPCASALNDLDRRGSERGARSRRQLGLLLRPRYSAQLSCPPVSRVATHDDDATIRSAGSACVLGSAAPGATANRRQQSVEGAWARCAGAVARPWDETTAWSVRDNGRPVRVPYSSPRSGGALSVRTERGLVLGLGPLQFLAVAARHRHLPRLANDLVIVSPRRLYKCDRTPGSYGVRAAGGPRDMEACEKGPRETGSINSRATTETHGGLHDGFFPTLSIPTPRHNSQTGAQREALRRHMLGQRGAAGHLLLAGTRDDGTIAQVLASRDDDPQRATRRGPLCSLHCRALCLQTT >Dexi1B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:1B:26091084:26092704:1 gene:Dexi1B01G0019880 transcript:Dexi1B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESPVGVGFSYTNTSSDLLKLGDKITGHYVPQLSEKIFDGNKAGPKENYINFKGFMVGNALMDDDTDQTGMIEYAWDHAVISDRVYADVKAQCNFSMVNVTDACNAALQEYFAVYNLIDMYSLYTPVCTDDTSSASPYARGHRKVAVHGAAPRIFSKYRGWIMKPAGYDPCTAEYAETYFNRPDVQAALHANVTHIGYNWTHCSDVINSWNDAAFSTLPIIRKLVAGGLRVWVFSGDTDGRIPVTATRLTLNKLGLKTVQEWTPWYDHLQVGGWTILYEGLTFVTIRGAGHEVPLHAPRQALTLFSNFLAGTKMPPTAFP >Dexi2B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:2B:14707958:14712607:-1 gene:Dexi2B01G0012230 transcript:Dexi2B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGQAAAVPAAAAGSASESGGSPAASAAAAAAAFPATSLYVGDLHESVQDAQLFDVFSQVGGVVSVRVCRDINSRKSLGYAYVNYNNPADAARALELLNFTPINGKPIRIMYSNRDPSSRKSGAGNIFIKNLDKSIDNKALYDTFCAFGNILSCKIATDPSGESRGYGFVQFERDESAQSAIDKLNGMLINDKKVYVGHFVRKQDRENVSSNVKFSNVYVKNLSETVTDDELKEMFGKYGTITSAVVMRDSDGKSRCFGFVNFENADDAAQAVQELNGKVINDKELYVGRAQKKSEREMELKEKFEKNIQEVAEKFQNTNLYLKNLEDNVDDEKLRELFAEYGSITSCKVMRDSNGVSRGSGFVAFKSAEDANRALTEMNGKMVGSKPLYVALAQRKEDRKAKLQAQFSQMRPVAMAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQAGFAFQQPLMPGMRPGGPMPNFMMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGGQQQMFPRGGRGYRYPTGRGMPDPGMHGVGAVMPSPYEMGGMPIRDAGVSQPVPIGALATALANAPPDQQRLMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHLQQTSASPEQQLANLSLNDGVVSS >Dexi3A01G0028210.1:cds pep primary_assembly:Fonio_CM05836:3A:28735176:28737835:1 gene:Dexi3A01G0028210 transcript:Dexi3A01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDATDSPRSARRRPGAAAAAEAKDGAGLLSPRFRSAAALAGWDEESVLLAALVVEDTPVREFRRKRRASTSSSAGGGSTGSGTRTRRSRRQFPGKIPPVVLALDDDDKPDDATDVKPEVKESKEEEEKVVVVGEKEASGSGEKAPVTGNLACMDRLREELSCAICLEICFEPSTTPCGHSNSRSCTINTVLWNTIQLLFPSEIEARRTSSCNEDVNHSPATSKNFPQGSHGMRTRNSSSSFITEGRTRSNYRTFITPVSTASSNASGSSISTQGNTRSSNSRRNFVPASQLVNTRSAVTSDQSEDAALAYRLQQEEFMNAFEEPEQERQIRNTVSTARDNLRAMASRAIRLRARGWPV >Dexi5A01G0029650.1:cds pep primary_assembly:Fonio_CM05836:5A:32678014:32678859:-1 gene:Dexi5A01G0029650 transcript:Dexi5A01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSTFDPFAEANAGDSSAGAGSKEYVHVRIQQRNGRKSLTTVQGLNKDYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKESIKIHGF >Dexi3B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:3B:6128382:6130767:-1 gene:Dexi3B01G0008880 transcript:Dexi3B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDYFRAAQLGDLDALAALLAADPSLARRATLYDRLSALHIAAANGRLEVLSMILDHGVPPDAVNRHKQTPLMLAAMHGKIDCVLRLLQAGANILMFDSVNERSCLHHAAYFGHVDCLKAILSAAQTTQVADSWGFARFVNVRDNHGATPLHLAARQGRPVCLQVLLENGAIVSALTGSYGGNLDCIRKLLAWGADRLQRDSAGRIPYAVALKRNHDACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILKGTKYSLPSPSHCDADVVDDASSEVSDAELCCICFDQACTIEVQDCGHQMCAPCTLALCCHSKPNPTTLTLPSPACPFCRGNISRLLVARTSTSSDPEKAVSSPQLSRRRSRRSHNLSDGGSSSFKGLSSAMGSFSKIGRGSSRMVDSDSGSLDKPEHDL >Dexi2B01G0021690.1:cds pep primary_assembly:Fonio_CM05836:2B:31397535:31399741:1 gene:Dexi2B01G0021690 transcript:Dexi2B01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWFLIFGQPNYLSDESKNSKAEKPSPAAGAGLPNPFDFSSMSGLLNDPSIREMAEQIASDPVFNQMAEQLQKSAQGAGEQGFPALDPQQYMETMQQVMQNPHFVSMAERLGNALMQDPAMSAMLENLSSPAHKEQLEERMARIKEDPSLKPILDEIENGGPSAMVKYWNDPEVLQKIGQAMGVNLPGDSSVSTVLSGPEETEEEGGYDDESVVHHTASVGDAEGLKKALDGGADKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVARLNNQDDVLKLLEKDAFL >Dexi2B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:2B:25709082:25710640:-1 gene:Dexi2B01G0015630 transcript:Dexi2B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWAAATAALNPPLLTRRSCSRAPSFSPARRSAATGLRMRSRRPRPAKFECRRAKNAGYEDYKFPDPIPEFAEQETSKFREHMAWRLEQKKEDYFGEHVEEIVDICTQAFKACTGNIFFGIGYIMGSFLENDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDNDWKAWTGEY >Dexi5B01G0034460.1:cds pep primary_assembly:Fonio_CM05836:5B:34690228:34690909:1 gene:Dexi5B01G0034460 transcript:Dexi5B01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPLPLASPLTAAAASTFTPSFQLHPSAVSMRHGRVRAPPRGNVTAKADLLGDLGGRDPFPEEIESKFGEKVLGNVDTLHNILIPSLSALSLAGLPLQPAAAAEPLSLDDARRLLLKVVGWRLVLSDDERRPARLQCVWKVRDEACGQELVARINAALDGAEHGPAVLAMEAPNNQVRAELSTPSDDGDCLTVNDFIVAARIDKVKTLDLIPKKRVWA >Dexi5A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:5A:23505493:23506260:1 gene:Dexi5A01G0019540 transcript:Dexi5A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYQCKDILKIQKFRRIASYAGFYCFSTLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMTKHFERQGKPPYAYHAQYMAHLLSHGQLDGSG >Dexi9B01G0021190.1:cds pep primary_assembly:Fonio_CM05836:9B:15863472:15866533:1 gene:Dexi9B01G0021190 transcript:Dexi9B01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGAEQHGCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDPRHRHEIPRCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPEIYQKKMVWILCNDCSATSSVQFHVLGQKCPGCNSYNTRETRGGPVPAARSRV >Dexi1A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:1A:27865260:27865704:1 gene:Dexi1A01G0021020 transcript:Dexi1A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPSKQMALSRRMAAPVLVFLLLLVATEMGPARVAEARHCLSQSHHFKGLCLSSSNCANVCHGERFPGGECHTEGGTRKCFCKRVC >Dexi3A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:3A:11164416:11167604:-1 gene:Dexi3A01G0015110 transcript:Dexi3A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTTPHHRSLAAGRRRAAGPRRSGPAVLSLSKGPRRRAPATSCAAVATPEKQPTARKLPPPHRPPSKPASAAAEEERTDYNEVAAALESIYKLSPAVVDEEHGEGDEATKKNKNKRKGRAGRGTVIVRSRRRRRGQRMDLGKRVEMKQKEGDAGGKREEEREFEEMLLREHSVSTDMGSLDWKRMKIPPVLSSAQSARLFKTMQPMKAIFEVQESLREDLQREPTDAELAEATGMSVQQLRRRLDVGRAARNKLIKHNLRLVLYAINKYYPDMANDERFDDLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEISKAREELAFELGRAPTDEEVIRRVGISQERYRDVLRMTKPTYSLHSRNRVTQEELINEVTDDEAIGADAGKHNTLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >Dexi7B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:7B:18958641:18959660:-1 gene:Dexi7B01G0012100 transcript:Dexi7B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPALVPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDAAEKDRLFRAIDTIPAVRRKADWAMRWIDCGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYELLRSKLDEDRVQEIVADAVDIEREFVCDALPVALVGMNGELMSQYIEFVADRLLMALGHKKMYNVVNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGGAAANHVFSIDEDF >Dexi4B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:4B:6373726:6381441:-1 gene:Dexi4B01G0008900 transcript:Dexi4B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSSSSSSSPANAGGGPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFEPYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPRPAQQPRGRSPYLGGPHLQVPQPGAHQGPHFIPRAPASPPQMVPQHGGGPAAIYPPQFGYWYPPDFQYQQAFTNPQVLQNYYAQLYGLASPTASPYHQYVGYMAPQAPTPRALLPPPSPAQQVAVQPLVQHPPAAQQITLQPLLQHPTPQIQGPFFPAPSLPPSFRLQLPPPQALSVSPPITTESQPADQVAASAARATNASSTPPGA >Dexi4B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:4B:4546552:4547833:-1 gene:Dexi4B01G0006480 transcript:Dexi4B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGDEDDLAALLEQASMYSTSDRDLALQLRLAEAVKASPESSDAAYAPESSDAAYALAHRARPPRRAGPPRARRRIRPRRRARRALRAGARRHPEDRWAHDGDRFERPLGSSDDDPSLRPLFSVSAKGLASKDVVGPRDRDPGVAVLAAAVCGPEGEVVIRVQKPVDGFVGGREVLEGMALMEGLHAALGLGIHRVEVLIDYRPLYNYIWSIRFS >DexiUA01G0008580.1:cds pep primary_assembly:Fonio_CM05836:UA:16219738:16227551:-1 gene:DexiUA01G0008580 transcript:DexiUA01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQRRVAPLDAYPFCGRERNQPSRPRASRVSRQPARDVDPLSRCELYWGPRVGTELGRSKDKDKDRKKKDRQVTVELRVVGFGKEVMLKQRRQMRRNKCMSEEERAAVLLMALSSGVIYAS >Dexi6B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:6B:14511962:14512324:-1 gene:Dexi6B01G0009690 transcript:Dexi6B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVEGGGEKHETMAIREATTPARSVADRASQSLLSAGPRASTLACSPAAHPVAAVRGAARLHPYLLARRLARAPQRPPARPLEEAQVAVKGMAELDMRKRKQGWGFVFLLFLPRRDRQG >Dexi5B01G0034590.1:cds pep primary_assembly:Fonio_CM05836:5B:34800119:34802053:1 gene:Dexi5B01G0034590 transcript:Dexi5B01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALRGRLAILLLVILLVLRPPVASAADAANSTAACPLDLGYVATLPWDRTPCEPPVANRTACCMTLLSVLGVGLAARLRSTGHFRLPSAPASAACLVAFSAALESPPLSLPAGLVPGCFPVPSQFAISPDYCAGVTTAAEYAAVAGDASVSGLNASCGSDVIASLSVCTRCLHAGIGASARLTAAAGNSSKSQSCFYLTVLYAAGISSAAGPDSPATASCAFGLALSTTSSPTSSSSSTNHANIAVATTIPIASLLLVSLVALLLWRRKRIQDLEKRRIQISESRRSRPRPNTGSVMFDICELAKATGGFAERNLIGRGGFGVVYRGVLADGTEVAVKKMLEPDVDGGDEEFTNEVEIISLLRHRNLVPLRGCCIADGDPDEGKQMFLVYDYMPKGSLDQYIFSDAGGEGRGQRPAFSWAQRRTVILDVARGLEYLHYGVKPGIYHRDIKATNILLDEDMRACVADFGLARRSREGQSHLTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEVMSGRRAVDLSDPSGVVLITDWAWTHVKAGRPREVLAMALRKEPSSVMVAMERFVLVGILCAHVTVACRPTMPEALRMLEGDMDVPDLPDRPQAFGQRIGFDEGGSNFSASSILSGPLVDFGEMLR >Dexi6B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:6B:18628691:18632709:1 gene:Dexi6B01G0011390 transcript:Dexi6B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGLSLSLYASSSAPPSDPEPRRFSFASSSSCPHAGPRRRLEATRAKALREDWRQKSKPIPPGAVYPAKDHCSRCGLSDTYYVAHVKTACAFLGDGMSRVEDLEPLVHGRGRKESMDEMYFGVYDQLLYARKTKPLEGAQWTGIVTTIAVEMLKANMVDAVAAGVKRLLFCGVGCQVQALRSIEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLALFFSYLAIYCNNLFSTSLGEEKQLIAKDGICRKSVQDLVVGYMGVPKYAGVSMSQHPQYITVRNERGREMLSLVEGLLESTPTVSSGARQPFVMETVKADDAAKLGKGPSKPAPRFVGNILAFLLNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKRRAKQHIPGYAKKIVEAYDGDGQIESMLQQSGTD >Dexi3A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:3A:13838356:13842513:1 gene:Dexi3A01G0018160 transcript:Dexi3A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLEASWVGVQRHGQDLAYRLAQGFSGLLLHAQPPQLPPWSPPQLLPPKISIPFEIDLPVVPFVGGVHRGGGGAIDLPAAAVSSLVEIGGRLGQAGYELGAAVVNGGGGGVIDLSTAAVSSLVEIGSRLGQAGYELGAAVQQLARQVPVPLPFSSEGARCGKWETARSHAAVAADDGEVALASRTVGHTNTTLERVADIGSLEVAAAAAAAATGSATAGSAGGVGAGGADGLDDEEDGFGCEIGTLENVNKAKSFMDVQFPNGQLTYVAGEGITASGFFPLFGGLLQAHGRCPGETRVSFSFKNKQGIRFTPMFQWPDNSLSFGVAQAVAWERSGLMVRPSIQVSLDDPNGMVRSAVRD >Dexi6B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:6B:25780375:25783351:-1 gene:Dexi6B01G0018830 transcript:Dexi6B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKAQLSDSNRRIMEVDAPPRRVYQAWKGSNLFLLGGRLIFGPDVRSLVLTVCLIVTPVIFFAAAVCPQLGHEFHNQIGGWVASVAVIFTVYPSFRKNNILHCFYHVKFLLFYFSHLGVILESFLEMLIRQSLKTSVNRPTYQIGQVVNMVRQTTYENFRYRYDRKTNPYNLGVVQNFMDILFSRVPSSKNNFRAKVMEDSAAFTSSLSMGRVLSPAKMSVDLEMGMKRQAVAAEDLEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEISSDIEAFAEEFGMERGFSERKKIERRTNDGS >Dexi8B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:8B:21868114:21869789:-1 gene:Dexi8B01G0012500 transcript:Dexi8B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAKPPPWVCFKWPWGSNPILSPDTSPSPSPSPCGDLELPWLFKSVRTLAQGLLIAGDLPASPASAAGVGGRRRGWGRSGAVQVEADRGDAEQRALAAALAGGRPATVLEFYSPRCRLCASMQGLVRELEEQAGGSAGFVLADAEDDRWLPELLHYDIRYVPCFVLLDKHGRALAKTGIPTSRQHVIAGLHHLLKMEQTSGHEGNQSAPPS >Dexi1B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:1B:7158426:7158728:1 gene:Dexi1B01G0008550 transcript:Dexi1B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASTTAVLRSYRCPCRRAPRRSRRLLQLPQVFADDRHLGGAEEVCCLHEAGELRVALDGCDVDGVAGRCVRGDGEAQRRAWASGGGEIWTPSLTGGSH >Dexi9B01G0047760.1:cds pep primary_assembly:Fonio_CM05836:9B:46782779:46797128:1 gene:Dexi9B01G0047760 transcript:Dexi9B01G0047760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRAGTMQQPGSSRRILRTQTAVNLGEPMFDSEVVPSSLVEIAPILRVANEVEAANPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLKGRGQKSDAREIQSFYQQYYKKYIQALQNASDQVDRAKLTKAYQTAAVLFEVLKAVTQQHAVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAASALRNTRGLPWPKNYEHKVNEDLLDWLQAMFGFQARQTTFLLIILDDNVLNDVMKRLFKNYKKWCKYLGRKSSLWELKNEMLWNMAFEMYGMLAGNVSALTGEYVKPAYGGEKEAFLRKVVTPIYNTIAKEAERSKREKGNHSEWRNYDDLNEYFWSADCFRLGWPMRADADFFYQPSKLPDERNEVSWIMSFDRLWSFFILALQVMIILAWNGGSLASIFDYMVFKKILSIFITSAILNLGQGMETWHGHCEVSFAGLNRIDKVVIHSKLLLETMIVFTNATLDIIFNWKARRTMEFAVKLRYVLKFAMAALWVVLLPVTYAYTWENPSGIIKAIKNWFGNGRNHPPLFVLSVVLYLSPSMLAAILFLLPFLRRKLESSDFKLVRLVMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLTKFAFSYYVEIKPLIEPTMDIMKTPIHTFQWHEFFPREKSNIGVVIALWAPIILMEHADKENIAARFAQMWNEIVTSFREEDLINNREKELLLVPYVSDQGLGVVQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRIDNDYYFSCAIEECYASFKNIINDLVQGEPEKRVINKIFVEVDKCIAEDKVITDLNMRALPDLYNKFVELVNYLEKNDEKDRSAVIKIFQDMLEVVTRDIFEDQLRYKYCLSLFIIVCNMTVLWSQVMEAHTEGMRAQPHGIKSINCFSHLGLSSFPYKSQPLMLGSKSINDDISSICSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEEELKESEDLMEELRLWASYRGQTLARTVRGMMYYRKALILEAFLDMAKREDLMEGYKAAESVTDEQWKIQQRSLIAQCEAVADMKFTYVVSCQQYGNDKRAALSSAQDILQLMRNYSSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSESADPVQNLDQDNYMEEALKMRNLLQEFLKEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLV >Dexi6B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:6B:6978536:6979996:1 gene:Dexi6B01G0006380 transcript:Dexi6B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGEGITAALSRMCRATVADDAALTTCLNDVSHALLRADVRFEAVRAAIHNVKSTTNPSTLPPGVDRRRAVHKAIFAELCHMLDPGKPPFTPTKGKGNKPNVVVFVGLQGSGKTTTCAKYAAYHRRKGFAPALVCADTFRAGAFDQLKQNASKAKIPFYGSYTESDPVKIAMDGVDTFRKEKCDLIIVDTSGRHRQEDALFEEMRQLSEATKPDLVIFVVDASIGQAAFDQALAFKKSAPVGAVIVTKMDGHAKGGGALSAVAATRSPVIFIGTGEHIPDLEPFDVKPFVSRLMGMGDLRGLVDKFNDVVPDDDDNDELVPDLTGDFTLKTMRQMLKSVQRVGPLGQVLSMIPGLSAQFMEKGKEKEGQAKMKRYMTIMASMTRKELEFTNPSTLMTESRIVRVARGSGRPVRDVAEMLEEHKRIAKALSKLPLKKLANNNNSRETLKVLGNVLPPGMMNQLGGMHGLQSMMKQMGAGKMMS >Dexi7B01G0022160.1:cds pep primary_assembly:Fonio_CM05836:7B:27125968:27134023:-1 gene:Dexi7B01G0022160 transcript:Dexi7B01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGGGGGGGGAQLVFVYYVTRHASERDTRALDVVRSLLDAGHEVYVVTAAPESVFCAEIAARRLHIRRVEEVVLACGPPHALTSDSFPHVDKVSDVDYSAEMNVSEERTPQGVAGIIPPLKSSSKLIVNRSRRYENETRENASADSLLDWKTEVSILIIAAGDAQPGGDSDVDSIAIKAYGVTLKTKEALKKTGVTVIDIPKRGKDSADKLILVDMLLFALDSPRPAFFLLISGDSDFGPAVKGLVKRGHTVVVAIPSQAAASRSLISSASHVWDWPSLAQGRGVIIPSIVPTDLEAVKANLVQLFQSEGGHINLQYIASMYHKRFGKQLKAAEYGVRKLIDLFIELGSPFCVMGKGMVFLDKQSAFEEQDPEDHFGLKYYSRLSSDEHQEKISDWYWGAAAAQQGGPNDAVDHFFQTRGLRGLCTPIELSFSAMKLRNTDAFSKVQHSIIFLKFRIYDVDTKYHHTPVKKLKLDLDFLGEASCYLSEIVTKVDHRVTLNLRSDCGHDLLGTMTVNAEEIHSSRMAIEMTLHCLNLENKDVDPFLRISKLVETADPIPISVTEAVTNNLNPVWRPITLTSQQYGSKMKGQLFVDKLQEKIQHTFLDYISSGFQLNFMVAVDFTDSNGDPRLPQSLHYIDPFGKPNLYQQVVGVEGIMSAYTSSIYGVSLAGPTMFGPVINQAAEIASQSLQYSNNKYFVLLIITDGVPIDIQETKDTIVRASDLPLSILIVGIGNADFKQMEILDADNGKRLQNLTGGVATRGIVQFVHMRDDQDGQMSVVQSLLEELPGQFLQYMRIRGIKPWQQAPLGNAIVPIYPPQQ >Dexi7B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:7B:20310478:20312449:-1 gene:Dexi7B01G0013900 transcript:Dexi7B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVISPCVFWLFYKAFGNIGVDGSEYPAPNAAVFRSMAILGVDGFSSLPKHCLTLCYVFFAAAIAINLIRDLAPKKVSRFIPIPMAMAIPFYIGAYFAVDMFVGTAILFVWQRLDRAKSDAFAPAVASGMICGDGIWVLPQSVLALAKVNPPICMSSDF >Dexi8A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:8A:11131625:11132841:1 gene:Dexi8A01G0008930 transcript:Dexi8A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSRSSINMTGSLAMAASWLLLLLLGVTDGQLQVGFYSKSCPGAESTVADVVRQAGSADPTILPALLRLQFHDCFVRGCDASVLVKGSNAEVGNSKHQGLRGVEIIEGAKTQLEAQCPGVVSCADIVALAARDAVAFTGGPSFDVPTGRRDGKVSNLRDADALPDVHDGIDALRSKFRANGLDEKDLVLLTAAHTVGTTACFFLQDRLYNFPLAGGGRGSDPTIPPGFLSELKSRCAPGDFNTRLPLDRGSGGVFDTSILRNIRNGFAVIGSDAALYNDTATVDVVDSYSGLLSNFFGPYFRQDFADAMVRMGSIGVVTGGSGEVRKVCSKFN >Dexi9B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:9B:4609482:4611964:-1 gene:Dexi9B01G0007540 transcript:Dexi9B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFHLTRARPVSLQSLVLLSQNPSKVEEAIAIHLVYTSNVFVLDSSSTLQCGRNLLPVSESVIMVKEEEIIAEAGRRGYKDLLGGGEEDYLVCLSPSSYFSSSVVSTTTTGAAAPAAAPSPTCVSYLDLAPAYHHMLSFAGQEQYHGGDGVFGFQYYGGDQAIPVTIPQKSSPTAECSSSISSMSSSPPATTISAISSSKPQAFKKGSRSSYQRKAAPAAVAATAASTNKRPRVRREKLGERIIALQQLVSPFGKVLSSPYMQRLPASAHAPAPESAAGTVVEPPRPSDLRSRGLCLVPVSCMEHVAASGHAHGNGADLWSARAAGMAKAAEEGKGPAGALPGGHSGHLA >Dexi6A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:6A:15776864:15780513:1 gene:Dexi6A01G0011030 transcript:Dexi6A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATGVKMVAARPCISASQGMLTSRAMISRIETAARFASCPKIFCFRPLSSKRTGFVVRAISGESAPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMDIVKVYPLDAVYDSPEDVPEDVKSNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSYVSLLQHFLPIMNPGSAYLLCSTGTYSGASISLTYIAAERAIPGYGGGMSSAKAALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >Dexi5B01G0021820.1:cds pep primary_assembly:Fonio_CM05836:5B:24133623:24134047:-1 gene:Dexi5B01G0021820 transcript:Dexi5B01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPNKVVPQKLLRKRFSFQNSLFGVISSSHAHQDSGPLHSRDIAPTGGQSCHVDSLIRVTISCPETGNAARKLVHLPQSMKELLELGAKKFGFTPTKVLTIEGAEIDELELIRDGDHIVLASDEWVPDVAQIRHDKK >Dexi2A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:2A:12155215:12156587:-1 gene:Dexi2A01G0010830 transcript:Dexi2A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYVVAILIQVISTGMFVVLKATFDQGFNTFVFTFYGQAAASVLLVLIAVSRERMEAVNLKSPYGVAKVTGVALCFAGVLVIAFFTGPAFSPVNHHRAFHTDHAYSATGHGTWIKGTFLKVFGDMAWSLWIVFQAVLLKEFPNKMLVTVTQCVFSTAQTFIVAVVAERDIKSWKLGLDISLLAVLYTN >Dexi2A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:2A:5259256:5260662:1 gene:Dexi2A01G0005430 transcript:Dexi2A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPLQLQRLLRHTLFLLAAAGALLIQAATIDEQHEPLPITRPGCPDKCGDISIPFPFGLKRGCFLEGFEITCNHSFQPPRAFLQFSGAGASAKTTNVFSYSATNTGNFSMVNQHKTDVLPVELIDISVAKNEARAYGAVASICSKNATDGFVRMTFTTLAHGIDGPKGPFLFSVARNVLIGVGLEVQPVAFKYNTGLGAQGKKYLVDCRSSLNENLQLASNGSCSGRGCCQASLPEAMPLTGVSVVMYPNNNNSLWVTNPCSFAMVVEDSWYNFSTADLYGNTSDKFPKGVPYVIDFAIRNARCPPKDQEPSLGYACLSGNSSCEDVTNGYVCKCLEHYEGNPYIPNGCQGNV >Dexi5B01G0026450.1:cds pep primary_assembly:Fonio_CM05836:5B:28227942:28229135:1 gene:Dexi5B01G0026450 transcript:Dexi5B01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMVRQYNDKLESFLRSIPRQRLHSLVIDMFCIDAADVAAKLGVPVYTFYPSGASPLAVLTQLQALFANRQTGLKELGDTPLHFLGVPPMPASHLIVELLAHPEDEVIKATVSIYERGMDTWGVLVNTFESLESRAVQALRDPLRVPGKVPPPIYCVGPMGYTFSDEQLKEMAVGLDRSGQRFLWAMRMPANVDDPMRLLENQCEPDLDALLPEGFFERTKGRGLVVKSWAPQVEVLNHPATGAFVTHCGWNSIMEGVMAGVPMLCWPLYAEQKMNKVFVTEDMGVGMEIEGYMTGFVKADEAEAKVRLVMESEEGRALKARVAARKKEAEAALEAGGSSNAAFLQFLLDVENLEEQLAE >Dexi3B01G0026920.1:cds pep primary_assembly:Fonio_CM05836:3B:22352947:22356496:1 gene:Dexi3B01G0026920 transcript:Dexi3B01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELTYRGGGASPVAGAGPGATGYSSKPSKPLAWLPRAARYAAAEHRPVFALAGMLIAAAVISIASPSTSSSASTGAAVSSYSSSGNGNPLARFSVEPAALHHRDLASTRHFVGGKVPLGLKRKALRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFGDPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFPMNSFPINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVTDFRKRIFGDQDSAATTGNQQG >Dexi5A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:5A:8512161:8515834:-1 gene:Dexi5A01G0011370 transcript:Dexi5A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPEKKEATRGSAHQINIYPVSRDPFEPTKLDQWAVGVWRTGGTTLYVQRAFGKSNCLFGSWAALRLLLRPESMVEAGWSSTNGIDCAPSDGKCCIYATSFSSWIDRPARKIDPTQVRLGSSSKRQRGAPRGQRHAPASVRWLGCEPVVQELDILGSLWIFPFRLSLDLSSIPVPQPPAPLDLRRQISSRCAVASTLSRGLPAAYSSTLSRALPGPYSQPIGAGASLHGRLEMAASSKPPRRRPGEALRREVLPAREPKEWCCVTRLPDRWGPPVRRPSGTPRRPVLAIIGGCKAETGSGPRRKKKLHVGPRSCGVVGVYRKNRLPIVHFRAVAPLASSSLSSPQQRKAGRRRRKRAEEANCGEIEQTEKGNRTNRDEIKRNRTNRKRSKSNVNPSGRGEDGAKQAEKGNRTNRSKISAADEEANRRKLAKGKKGNALPVSDETFHRRRRRRGGESEDTDRGNRRCPTKISVAGRGKKERSQIESKNK >Dexi8B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:8B:2215611:2216824:-1 gene:Dexi8B01G0003060 transcript:Dexi8B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISDSRFGTGSGLGGLSADMGSFASKPKGRPSTAATAPGKGFGMKLGKTQKTNQFLESLKAEGEVILEDVQTSALPSRSSALPPSDPVTVTTEEKLNFVVKRDGGISEFDVQGTLALQPRYSWP >Dexi3A01G0032570.1:cds pep primary_assembly:Fonio_CM05836:3A:37422913:37423214:-1 gene:Dexi3A01G0032570 transcript:Dexi3A01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEWDSGSEAGPTGDWPSAAASPVKGKPALPEESDAGASASGSSEAKVDDGNIQEAESSLREGLSLNYEFLGA >Dexi3B01G0025220.1:cds pep primary_assembly:Fonio_CM05836:3B:20024295:20028655:-1 gene:Dexi3B01G0025220 transcript:Dexi3B01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLRPVHSLTLRPPAAKAARSWLPLAGKPGARRSCSRLALLVCSASSPAPAAPSSSGGANAGASAAAKWAEWIPRAAAAGGAGAGPEKVLRLISGAAATPVCQFVDKPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLALLSVWVLPKHVWKDQLGRVALLSGFIFIMLGFGADGAPSLVQTRTPPPSVLGIPNIPCSASGYSYTIMKLGPLQFTRKGLSVASTSASLSFAVRNSALAIVARRINWKKLTAMETIDIFFNYIRRIFKNIFDHAEQISKAMVARGFRGDPSNHKIYFLTESSFGFADAFSLLCLIALMGLASYSDQLL >Dexi5B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:5B:9360813:9364650:1 gene:Dexi5B01G0013150 transcript:Dexi5B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQGTVLPRVTGRSFAPSVAPFSTEAGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRKNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIQYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNDKADRIHNAILQTIAEGKYRTADLGGKATTSEFTNAVCDHI >Dexi6B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:6B:8873347:8874619:1 gene:Dexi6B01G0007600 transcript:Dexi6B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARTQLLASLAAIYLILAIAHVTGNLTDDLEIMWGNAKVVTDSSGQQAIALTLDQSTSSAFRSKKTCLFCRIDIEIKLVPGNSAGTVTTFYMITEGAWEFHDEIDIEFLGNSTGDPYTMHTNMYARGQGGREKQYKFDFDPTQDYHKYTIIWNKDWILFLVDDKLYRQIKNNQIYGAPYPYYYPMRVYATIWDAENWATQGGRVKTDWSQAPFTAYFRNYRSISCSPFGNDPLCVPGSGWFSQQLDDSRKQQLTQVDSNNKIYDYCQDPKRYKNGPPPKECGLPSK >Dexi8A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:8A:9448318:9453436:-1 gene:Dexi8A01G0008110 transcript:Dexi8A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLTSRSVRSSFAAAAAGTRGSPHRPSRVAVFAGAVARPLRAGHRDSILEVLAKEDMLNATELAQLENGKSVNDIAASQGIRIRRHCRPTSSLKEIEEEMGAPRNILEKIIWDKEIEVAEGHAKKPLEELIEAAAKAPPTRDFYAALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAKAYEKNGAACLSILTDEKYFQGSFENLEKVRSSGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLSDLDIKYFLQICKELGMTALIEVHDEREMERVLKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQSAGVSAVLVGESLVKQEDPGRAISGLFGKELLH >Dexi7A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:7A:16319077:16319757:-1 gene:Dexi7A01G0005180 transcript:Dexi7A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCVLPAITVAILLCAAAAVATNAPDYLIQGRVYCDTCRAGFETNVTEYMKGAKVRLECKHFGTGKVEQAIEGVTDESGTYKIELKGSHEEDICEVVLVESPRKDCDELEADRDRASVLLTRNVGICDNLRFANALGYFKDEPLPVCSALLKKLDLDDQDE >Dexi8B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:8B:5077697:5086776:-1 gene:Dexi8B01G0005150 transcript:Dexi8B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMALQLAAATAVLLPRPPIGKPGCETSCGGVIVPYPFGFGPSHCYWSRLNLTCDTSHGGTPRLLLGDGTLRVTEISLRNATVRVVRTNSIIINRTSEFTSDGWNPPFGRGFVEHGYFLSYGNELVVFGCNLMATLVADTGEKAPRVIGGCSSFCTMRDEDTVLSAVVDDYNEGRRGKYCTGTSGCCVAPLAGSSPPREVQVRWLHGGNHAAEQFMIPVNVLVGEEGWIDQLDRPADQVELLQEQEVPLVLKWGVAQDLPQCGGDCELDVCKSDHAIVSNEHSGITCQCEDGYDGNAYLSGGCQDVDECKLPSEENVCLFGECINTIGSYICRCPDGTYGNPIVKGGCISIDSSTTAVDDHIRGQPNCSTTCGDVRVPYPFGFGASHCYWPGFNLTCDTSRNPPWLLLDRDGTIQVIEISLIDSTVRVIHHHSSTDDFFGHINNDEHGFDLDFGESYMLSDRNEFVVYGCGINGTLHAHHENDGNARPYSVISNCFSTCSSSSLVKGRDAGSLVPTQTQGSAYCTGRDGCCHSPIAAGSTPTTADIVWPDSSVMNTSQRELLVFTLITEEVKQGFPMPVNNTKQCPGYIASRLCKSEHSDCQQQNGGYTCYCQKGYQDNAYITDGCQDINECNNATVRNSCFGECNNLPGHFECRCPKGTHGDPSTPAGCISSHTGIIIGSSVANGPALLLLVLDISEKMIIPLDELAKATNSFDKTRELGHGGHAKVSDFGASRYIPLEKTGLTTRVQGTIGYLDPMYFYIGRLTEKSDVYSFGVILVELLTRKKPFPYLSMEGDGLVAHFVNLLAEGNLVKIIDPQVMEEGGEEIQEVAALAASCINLRGEERPAMRQVEHTLEGLRCSTKHKEDDAAAEQFKNMQEQRVEQSSRRQSLEQEMIASASLPR >Dexi5B01G0020880.1:cds pep primary_assembly:Fonio_CM05836:5B:23102058:23102418:-1 gene:Dexi5B01G0020880 transcript:Dexi5B01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCCSPALSASPYLVGMGSYDITGPAADVNLMGYANAEQIAAGIHFRLKARAFIVAEPGGKRVVFVNLDACMASQLVTIKVW >Dexi6A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:6A:2326675:2328716:1 gene:Dexi6A01G0002410 transcript:Dexi6A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDASAATAAAAPPGAASFSYLAVFSNCPLVSAVLAFAIAQSIKVFTTWYKENRWDAKQLIGSGGMPSSHSATVTALAVAIGMQEGFASSLFATAAVFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPPQVFAGGVLGFVVATFTAMIAGLGS >Dexi2A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:2A:14216665:14217162:1 gene:Dexi2A01G0012210 transcript:Dexi2A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALREQLNALLSSMYASGLVDDQFQQLQMLQDDGGTPGFVAEVVTLFCDDADRIISELAALLEQPVVDFDKVDAYVHQLKGSSAR >Dexi2A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:2A:626508:627990:-1 gene:Dexi2A01G0001010 transcript:Dexi2A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHVLLFPWPLQGHLNPMLHLSSALLDAGLHVTLLHTHHNLRRLSLLSPTAAPPHHPRLRLLSIPDGLPDHHPRSVATVMDLFHSMSTAGSEAYRAVLRRETSSSSPVTCVIADGTMPFAITVAEEVGVPAMAFRTESACGFLAYLSMPKLLDLGELPSPSDEPVRGVAGMDAGLLRRRDLPRVAPSDPDPVAVLRAVAATAARCAESRALILNTSTSMEAAAVASIAAQVRGEVFAVGPLHATPAAAAEREVAGAGEEGGDCTAWLDGHADRSVVYMNLGSLTMVSRTDLDELLHGLVDAGHPFLCVLRRDMVLDDDGEVVDVLRLAEKAVAIAGEERAMVTEWAAHRDVHGVLGHRAVGCFVTHAGWNSVLELAVEGVPAVCSPYFADQQTVSRFVGAVWGNGLDMKDAVCDRDVVARMVRDAMESVEIREKAEAMARRLRMDVEKGGSSAKDLDRLVGFITELSSSAAAQQASTRIRHRP >Dexi7B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:7B:23214971:23215446:-1 gene:Dexi7B01G0017210 transcript:Dexi7B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQIVLSGRKIEAQYVEMKVPLYSYGCEKKIKKALSHLKGIHSVQVDYHQQKVTVWGICNREDVLAAIRRKRRAAQFWDGDELGHGEHVPMPGDAPKQYLAAFTAYRLRKSWKKLFPLIRL >Dexi1A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:1A:21520236:21522805:1 gene:Dexi1A01G0014810 transcript:Dexi1A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQSRARPPPPRRRRSRGTARAPGTWHTNTRHISARLARIAFLASSSLSPAASEPPLSPAAVVLPGGFGLVCVVVFALARSLQRTLYVQLLDHSELCSVRQTDPGSQRTLYVQLLDHSELCSVRQTDPAAGPFGAGVVLGSTPPLSC >Dexi1A01G0026240.1:cds pep primary_assembly:Fonio_CM05836:1A:32215723:32216236:-1 gene:Dexi1A01G0026240 transcript:Dexi1A01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAGGAAARAAVQEEHLPGARRYTSWLPTTTLRDRIYKKQEEEASMAAILWRKKLVLHKSLAGVSIGISQNPIMHANSERPKGSPQPRRGDRALAGNSLEPLIGAGPIRLRYGGRSKQVGG >Dexi4A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:4A:611637:612942:-1 gene:Dexi4A01G0000850 transcript:Dexi4A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQQIQQTLSEIDGRVPAALRVALRIGYNFSPAPPDIATFAASLLPTPATDVVVATATTPDEELVNSAAAPPALSIRYGSCYLPLHDHDAHFGHAGAGVLAVADGVGAYARLGVDAGEFARGLMASALAAVEELGGATVSPYALLEAAYERTAATGAAGASTAVIVSLVGDSGFAVLRRGRIVCRSTPQQRSLNSPFRLSGRSGGDSDGVAVARTGEVAARDGDIVVVATDGLSDNVGDEQLERVNK >Dexi6B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:6B:26285279:26289263:-1 gene:Dexi6B01G0019580 transcript:Dexi6B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKVAAVELLAQCNSRKAKDSNPSCSVELRRLPVPPPSAADPMSPPPRVIVTYPNGVEEAIVITEGDTAQGVRDQIIARGRLIDTELLFRNGGEEWPVVIPEEELRMPFPGIKFRSIFLLPLSLGIIGSCSSEAGTDSIGGSPTTVISSRRLGSGALGNGQDTLGSGLVPASPASERHRELRRLARYTVTVSTARTGLTDQNAPSLSSRLDATNAAHRTRAHIINARLVSCHNHTVGRKQSRVRGETHKTTTMGDITAAAAGDAPAPPQLTKENNLFMNIVVNPDGTVTRPEVPLVPPSSAAGGAASRDVPLDASAGTYLRLFLPDPIPPTPSKLPVVLYFHGGGFVILSAATAFYHAHCESMAAAVPCIVASLEYRLAPEHRLPAAYHDAAAAASWLRGGASQDPWLAAHADLSRCYLMGSSSGGNMAFFAGIQASKGGAAAVRGLMLHQPYLGGVDRTASEAGSEDDFMLPLEASDKLWSLALPEGADRDHEFCNPVKAMAPADLAGLPRCLVTGNRDDPLIDRQREFARWLQDKGGVEVVAKTDHTGFHACELFVLEKAQELFAAMREFMFADGA >Dexi6B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:6B:24792927:24794513:-1 gene:Dexi6B01G0017700 transcript:Dexi6B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLLLLVALCILSTQTPSSSASTPSDVDGFLSCLSINIPPSLIHTPSSNSYSPLLMSTVQNRRYALPGPTRPLVIVAANETAHVQTTVLCGRRHGVHVRTRSGGHDYEGLSYTSVNHKHFAVLDLAKLRAIHVDATRAEAWVESGATLGELYYAAAAAANRKFGFPAGNCPTVGVGGHLSGGGFGALSRKYGLSADNVIDAIVVDVEGRVLNRSTMGKDLFWAIRGGGGESFGVVVSWKVRLVAVPETVTVFGIRRGKNESAVELITKWQAIAPSLPRDLYLRVLVENQQATFISLFLGRCSQLVDTMGAHFPELGMTEQDCQEMSWVMSTVFFFFGTADMPAEALLNRHNEEYYLKVKSDHVEEPMSLDAWESLWSEWLEKPEAAMVMLDPYGGRMASISPSATPFPHRNYMYQLQFYQSWAENGTAAMESRLRWIRGVYEYVEPYVSKNPRSVYVNYRDLDLGTNEVEGNVTSYAKARVWGQKYFKGNFKRLAAVKSKVDPDDFFRNEQSVPPLLPKYLPVADI >DexiUA01G0024870.1:cds pep primary_assembly:Fonio_CM05836:UA:51981380:51982654:-1 gene:DexiUA01G0024870 transcript:DexiUA01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFRQHLRIDHLFELSGTENFRQSSVSFKDFCVSVCPEENNLMKIQVSVSGTMTDSVFEKVFTKKGKLQICTNLLITPFVALKIPKEVALHLIGPSKVKKETITKIINCTVAEYVRKEGLTASKDLKVQQSYEELEAAFEPGKEFCFDATVHLQ >Dexi3A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:3A:1809731:1812747:1 gene:Dexi3A01G0002660 transcript:Dexi3A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPVKRLVRRAAKGPLERAGLAGLAAAAVAAAALLVLLCAASLRCSAAAPRMLWAGGVSIAAEASAAAAEVQARREAAARGSEEDCDLFDGEWVRAAGGYPLYDSADCPFLDVGFRCSENGRPDASYTKWRWQPNRCDLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSAAVHNKSDIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGTPDVVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGGDWKTGGSCHLETLPDLTPPKSLEEWDDLLKPVNDVLGNNLRPKLSGLDMLNVTQMTAQRKDGHLSVYLDPSGPVARYKQDCSHWCLPGVPDTWNELLYALVMKRHTKMDQNVSLSGTITLNTG >Dexi9B01G0045590.1:cds pep primary_assembly:Fonio_CM05836:9B:45032137:45034460:1 gene:Dexi9B01G0045590 transcript:Dexi9B01G0045590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFSKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDIQGRNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTKEDVGVKLERPAEADEAVVGEAAAE >Dexi3B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:3B:13143825:13144479:1 gene:Dexi3B01G0017760 transcript:Dexi3B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLGLSTSFLPGHDTLLRRRRRRPASPAAASFRPVTAELGGVATELGRQLVEAVGVGLPCTVMQCGDVIYRSTLPRDDGLTITAPGVALALAAVSYLWSTPGVAPGFFDMFVLAYAERLFRPTFRKDDFVLGKKLGEGAFGVVCKASLANPEAAEK >Dexi4A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:4A:20753737:20755493:1 gene:Dexi4A01G0016980 transcript:Dexi4A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLAAARDPAAAATTTRRRCKEAKVHPAAAEGDEKVAMGAAAAGGGEGWGGLPEWCSAAGVAGVIRRHPLPALFACGLLLFMAVEYTIPMVRPGAPPLDLGFVATQGMHDAVAARPWLNELLAALNTVFVAMQAGYILWAILAEQRPRAAVAALMMFTCRGMLGCATQLPLPDEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAADMRREGRLALARLYDALNVLQVVRLLACRGHYTIDLAVGVGAGILFDTLAGCYFDAKNGDGKNAHCRSCQCHKALLAH >Dexi2B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:2B:496879:510269:1 gene:Dexi2B01G0000930 transcript:Dexi2B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVSAATGVMSSVLGKLADLLLDEYKLANGVREDIRFLMSELEPINDLLSVLADLEELDALNKGWRDRMRELAYDIEDCIDLSVARLGDASKDEGRRFGTKLMRKFNKIRVSLQVAHQIQELKARAIEESKRQKRYKLDGLVGASPNNKVDLRMCTLWVETEKLVGFDGPRNEIIRCLMPAKGEEPLQQVRTISIVGCAGLGKTTLANQVYQKIKGGFECKAFASVSQNPHMKDVLMKICSQVGATTSMADDELILVDKLRERLQHKRNGMPEAETPIVMDPSFPALPNLQTFSTNVFNSLPIWMGSLANLELLHLNIYQFTLEDLQVLGGMPALEILVLQLVGYPGLFTIGGTGEFQRLKSFQVDSLYGLLFMPGSMPNLRHLDAGLIYTRGSPKELGIQHLANLVKAKPAPLALAFAFLGTRRALQLQMEKEPVISFLLLTKPHHAEELEVLPIVGPGRVGKSTLVAHLVRKIKKIRVSLQVAHQIQELKARVAEESKRHKRYKLEGLVGSSCASPNNKVDLRMCALWVETEKLVGLDEPRDEIIRWLMPAEGEVKPSQQVRTLSIIGCAGLGKTTLAKQVYEKIKGDFDCKALVSVSLNPQIKDVLMKICSQVGVTTSMVDDEPILVDKLREHLQHKRSFEENFLTLLDSSGVPPSPLHSNKEFEVVRVLDLENCGSLRNIHLENIEMLLQLRYLSIRRTSVSELPIGIGQVQRLETLDIRETEVEQLPSTIVQLEKLARLFVGSKVKFPAEGFGKMQGLEQLTCFMVRKQPLGFLKELGQLTNLEILEAIWEDYNMGEEDYYYEGSEWGIFTSSLQALGSHKLHSLCFRDGQSEVEIRIPMDSSFPALSNLRTFSIGSFNSLPIWMGSLANLELLNLGISEFTEDDMQVLGGMPALEALVLSIGIYTAPLTISASGAFQRLKSFKVDSLYGVLFMPGSMPNLRHLHAQLYYTTGVSHDLGLQHLASLVKKSRVVDEGESSKAKEAQAAQPMEEDEEEVFESSESEHEEEENIPYADASSDHEDIEADSSSHDDE >Dexi7A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:7A:30147471:30149479:1 gene:Dexi7A01G0021560 transcript:Dexi7A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRASPVELLHLLLSPKVGRNRAVDCFASTDVRSFHRRLAIFLNLLLQILLLSLAAPLAALGAAVELLLNLVDNVLHGRMEYPDKSSPTYRSMTGLIDRRVDLDRSIKPTDSRFDAALCVMASKLAYENEPFIRNVMEFVRFYNCWNEFQNAYTAQAFVFCDKPVIDAEVIVVAFRGTRPLDAARWCADVDPSWYKIPRLGCAHAAYTHALGAQRNIGWPKWVDHIKGKPQKVYAYYTIRDALKEVLEANKKARLLVTGHGSGGALAVLFPAILAYHKEKTVLDRLAGVYTFGQPRVGDAMLAMFVERNLDRPKKRHFRITYGHDSLPRLPIERSAFHFLHFGLGLHFDKSYELKVRWEIPGEEETSSSLLVDLVASRVNSAWELGRGVYLGYRRGGFFREGWLLLLLRAAAVALPGLPFHRVQDYVNAVVLGGHIPEDN >Dexi9A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:9A:5010642:5010942:-1 gene:Dexi9A01G0008470 transcript:Dexi9A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRQAAAAVVSFLPSLPYVTPQPPASIYGNAPGDTQLVPGVVVVMLACGQGQTDRRQVINGK >Dexi1B01G0026630.1:cds pep primary_assembly:Fonio_CM05836:1B:31479921:31481707:1 gene:Dexi1B01G0026630 transcript:Dexi1B01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSNIGTNAMDDFPLISDHSLLLQGHAMFGAEGRLGILSPSGVLSSEGKTVPTQDGRKNKGKELFSCDWPELLDFEPSLRNFDSSFEIGSKYFDDTLWSSLFSPEVQHVPSSYFDDIDFSIDQNESIVLKTNPTKTKQQTRNGASDTPLYCDAHASSSSGLPDAELFRHLDDIELANQIGGCDGLEAIFSSSQETRTPTPSSSMCSDETLASSAFSGPVSVATHIPPPSEKPHDPFRGAPDMVLEEMAKNPLDMYFPPLPMYEQPEMLMSMSDTTSAAQFPGSYALNCAESQFCSKEMAPAGGLHGQPGSAVVLEAVPVKDLGFQKLQEGVNQLDLATRARIRESLYRLANRVEQRHCAAASSGAGSSVSKRFRSGGWTEAQTNPMDQSVAQLLLQKASYRKTVRPHRVT >Dexi2A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:2A:32243441:32245693:1 gene:Dexi2A01G0020180 transcript:Dexi2A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQIPLISELPEKRRGGRVAGLAKELWGESKKLWVVAGPAAFTRLTFYGMTVVSQAFAGHIGDLELAAFSIAATVISGLSFGFFVGMASAMETLCGQAYGAKQYHMMGIYLQRSWLILLAVAVLLTPTYIFSGQLLAALGQPAELSRQAALVSLYMLPLHFVYAIILPLNKFLQCQRKNWVSAVTTAAVFPVHVAATWLLVRCFRLEVFGAAMALTVSWGLATVGLLSYAFGGGCPETWRGFSASAFVDLKDFVKLSAASGVMLCLENWYYRILVFLTGYVKNAQLAVDALSICISYAGWEMMIHLGFLAGTGVRVANELGAANGLGAKFATIVSMTTSFLISLFISSLVLIFHDKLGIVFSSSEAVIHAVDNISILLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGFHHGVQGIWVGMIIGTMVQTLILAYIILRCDWNGEALKASNRIRRWSGNK >Dexi3B01G0025290.1:cds pep primary_assembly:Fonio_CM05836:3B:20082327:20083148:1 gene:Dexi3B01G0025290 transcript:Dexi3B01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLSAILLAAWAALLALAAPLLAGDPDMLQDICVADYKSLQGPLRVNGFPCKREANVMADDFFFGGLSKAADVYTGNPMGSSVTSADVESLPGLNTLGVSMARTDLAPWGGATPPHAHPRATEILFVVEGTLEVGFVTTASRLLARTVGKGEVFVFPRGLVHFQRSVGAAPAVAVSAFNSQLPGTQAVAAALFGAAPAVPSDVLARAFQIDGGVVENVKSMFTPKQ >Dexi5B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:5B:4072918:4073983:1 gene:Dexi5B01G0006050 transcript:Dexi5B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPSRHEASSSDSSRAGDGHAVPVATSSAASGTGSNSNLQAQSKRAPAPHMFHEIVAQEKTATATELEDRVRSEGIYLAGKTKKYWVHEKTRCNCFMLFPRGLSITWSDDPRFWSWHHLKEPSESEIEAVSLQNVCWLEIHGKLELSHLNPGVSYDVVFEVMLTEPSYGWTTPVNLRLKFPDGTVVQERKETLQEKPRNQWLELKAGEVKAQPGQKGEVEISLFEYDGGQWKKGLIVKGIKIVPKE >Dexi6A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:6A:22056087:22057359:1 gene:Dexi6A01G0014680 transcript:Dexi6A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRILCLRPHRVALPLPLPSPIPPRLLPTRTYISDMRRSAFIDRLLRSIRSEISLLANSNPPPSPPAPAPFAVEDRPGEQWARLRRVFPSADGEEEEVKVDASLVDGALPPSRSGADTGGPPRLHITVRVEISKAARPGVALNFECSAWPDEIEVQRIFPVRRGGPVPVQQYVGRQFSELDEEMQSAVRDYLEKRGVDDDLATFLHAYMENKEHTELIRWLKNIESHIKK >Dexi3B01G0036460.1:cds pep primary_assembly:Fonio_CM05836:3B:39343158:39347570:1 gene:Dexi3B01G0036460 transcript:Dexi3B01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEGVSPSAALKDQGNEQFKSGNYLKAAALYTQAIKLDPDNATLYSNRAAAFLHLVKLSKALADAETTVKLKPEWEKGHFRKGCVLEAMERYEEAVSAFQIALQHNPQNTEVSRKIKRLSQLAREKKRALDVESMRSNVDIGKNLESLKTELAFESPQTHSECFTFLRQYAEDSFAKAACMVAPKSIISYPQVWKGQGSRKWKLDQSDGFFVQFESPALRKIWSPETLDIGIHEVLPRIFKEAAAA >Dexi4A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:4A:17246906:17249096:-1 gene:Dexi4A01G0014800 transcript:Dexi4A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKVVMDFLEDDKKRSSDSSVPPPAVLDLNEGFSEGSDGLLGEDADDDDEEEEDDDDEGGSTSEVAGEGRSSSNSSSTNHNSNKDHDMNSSSKGEGSGEKAPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERAAISSVFSPMDFHMRRGDHRLHDMFFQRAAGSAISSRLLHNGGGGFFGSRNAISPEASRLYGFLQRRQPTMQTFDFKNYSSLRYDTTLNPYRQKNTMLCILSSDAPVIMRTLIGVSLSSAYRNQEWTFSQHAAAAARAAGAINDHGPAKGLIHDMIYRKDGKPTSHLFDVRDAIASNRSPSAGAGAAADHGGRVGSSDWIGSSSRPLSRTMSAAASSTGFALGSLHLLSKGTRGAAGSTGCHPNGDANTTSSDPMVTREALGSQLETHLEAKNPTKVIGEMRTGTAVKRAQTKIMEENGGSPDLQLSLSPNVGGDADRAKKRKILSIALSEQEVDSDKKLPLSLSLSLRGDDSGGERGGGDAGRLEAASTGSSSSKKAALGLSTLDLTMSIKALE >Dexi3A01G0026760.1:cds pep primary_assembly:Fonio_CM05836:3A:23305430:23306016:-1 gene:Dexi3A01G0026760 transcript:Dexi3A01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQESREELDRKAQEGETIVPGGTGGKSLEAQERLAEGRSRGGQTRSEQLGHEGYQEMGSKGGQTRSEQLGHEGYQELGRKGGQTRSEQLGHEGYSEMGHKGGETRREQLGHEGYSEMGRKGGLSTMEESGGERAAREGIEIDESKFRTKS >Dexi7B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:7B:17498635:17501909:1 gene:Dexi7B01G0010120 transcript:Dexi7B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLEGSPAKLGFRMPAEWEQHEQCWMGWPERPDNWRENAGPAQEIFARVAIAISKFEPVTLCASAKEYPNVHKLMEHQANIRVFEMSMNDSWFRDMGPTFITRQVESGIAKQTIAGIDWQFNAWGEIYDDWSLDSDVAKKIVEIERIPRFPHKMILEGGSIHVDGEGTCITTEECLLNPNRNPNMTKQEIENELKDFLGVTKVIWIPRGLYGDEDTNGHVDNLCCFIKPGVILLSWTDDEKDPQYERSVEALLVLTQSVDAKGRRLEVVKIHVPGPLYMTNEEAEGVLSTEHAVPREPGTRLAASYVNFYIANGGIVAPAFGDDKWDKEARAVLQKAFPGHEVVMVDGAREIVLGGGNIHCITQQQPVRPS >Dexi8B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:8B:8233541:8235010:1 gene:Dexi8B01G0007040 transcript:Dexi8B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTMVSPSPVRVLSRRTVKPPPRPREHIQLTTWDLSMLDGDYIKKGLLFPPPPISTSHLVDHLDASLADALAVYYPVAGRLSTHRHRRCDAIGDVVGCSVSIDCAGQGAEILHAIADDVTLADVIRPNADVPRVVRSFFPLDAAANYDGHELPLLAVQVTELVDGVFVGFAYNHALSDGTSCWNFLNAWAEIARLKLSPPGAKATRVLKLKPPLIQRWSPDHGDAAAPIVLPYTDLAALVQRQLAPDDLREKMLHFSSESLTTLKEQARRELTDAGDEEAAMAVTKFQALGSLLWRCVTRARHRHEMTTTTTTTKTVCASFAINNRNRLRPPIPAEYFGNCVDLLTTEAVSASKLLARGHGWAAGAVGRAVAAHTDMAVRARAAAWEDAKKPMVSMLRPAAGGGGGNDVFVMLSSPRFDVYGCDFGWGKPVAVRSGVGNKFDGVVGLFPGREGGGSIEVEVALAPEHMAALEQDDELWAAVSPGARGS >Dexi2B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:2B:30453192:30454501:-1 gene:Dexi2B01G0020370 transcript:Dexi2B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEAAEMLEGEQENSPGGERGTGKLAQRSSSPAAAKTNGNGKDGQEKQVLKRRMKKRGELGAGFRRCRRASETTSTGSLSPVEHGDAKAARARAPSPEMRASPVHS >Dexi7B01G0021030.1:cds pep primary_assembly:Fonio_CM05836:7B:26183373:26184009:1 gene:Dexi7B01G0021030 transcript:Dexi7B01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNEFLHLKYLNIELAAADDENVFGTYDYLSLVSFLDASPVLETFILSVDQHDMHHESVFGVASLHRRRIHEHKHDRLKKAQINGFCSAKSMAELTCYIVENATALESLTLDSIFNHRNDANDFVRCSGWKNGKCIPKSKQMIEEAHKALKAIELYILERIPSTVKLYVRRPCVRCHVVG >Dexi6A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:6A:11059568:11062308:-1 gene:Dexi6A01G0009480 transcript:Dexi6A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGGVCTGAMGLLGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVNINLRNCRGQVQGALLSITRHVVEVLSAAKYDV >Dexi6B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:6B:13518016:13518462:-1 gene:Dexi6B01G0009280 transcript:Dexi6B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLPHAAAPLVVLRASRFAPWAARAPRRRLLPGPPTAGEPPPPALPPASKLADPPVVGAPEPPLPFRAAEAEILRDVEPVVQLIKDILHSDRWALWKLKCGGRADFLITKLPVPEQIRRRRMPLPQGRKCRGGEAPHVPPTRAG >Dexi6B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:6B:8379260:8379612:-1 gene:Dexi6B01G0007170 transcript:Dexi6B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTPETSRGRHVFEIDGYSLSKGLGVGKFIQSGTFSVGGYDWCIQYYPAGVADYSDDVSYDRAPDAGDEDEEEGDDEERYVSVFLALVSKDAPQLEACGPGHRRVGGVP >Dexi6B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:6B:24283637:24285100:1 gene:Dexi6B01G0017070 transcript:Dexi6B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEEDDQASSPASEPEAEECPPPLPRAPSSQRPLLLNPAYARCKSVIHDELRSFRVFLQCFLLDHSTASGRAASYAAFLTLSFLVPAAVSLSLRADDDSSSSPSPEYSASAITFNRVAQVPATGLAAISFAALASFFRHLGGLRQLLFLDGALRDDTAYVRRGYARELDRAFRLLAALLLPSLAVEVAHKAVFFFSTVRVEPPMALAVFLPPFLLPHVPWRAAAMVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIHHMFDVEARAAAAEIFAEHRRIRAQLMDTSHRYRVFIICCLVTITVSQLGALLVALSSRDAKRFSNTGDLLVGSAVQLSGFFMCLFGAARITHRAQRIVSIASQWHMSMVAMHHGKSSPAGSSAAVSQQMEPEESCSYYKSRQALVTYLRHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVLE >Dexi4A01G0022190.1:cds pep primary_assembly:Fonio_CM05836:4A:25479280:25480517:1 gene:Dexi4A01G0022190 transcript:Dexi4A01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLGLFVLAAVVSAASLIPPPTVAQAQQQLRRDYYASVCPNLENIVRSSVRQSMAQSQISAPAALRLFFHDCAVQGCDASIMIVNSNGDDEWRNSDNQSLKREGFNTILSAKAAVDSDPQCRNKVSCADILALAAREAVLQSSVVLPSVTFNLDKLNAFFSNLGFNQTEMIALLGAHTLGAADCPFFQYRIGTDPTMDQSLASQLKSTCGSNPTNGFAFLDPSPVNFDNAFYRNLQGGRGLLGSDQVLYSDQRSRATVDGYASNQGAFFADFVAAITKLGRVGVKTAATGEIRRDCRFPN >Dexi9B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:9B:10029489:10031994:1 gene:Dexi9B01G0014950 transcript:Dexi9B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAAGLEIGVGDGAGDDNIILNPEFDSGLDNWSGNGCKLELHDSLDDGKVVPANGKYFVAATGRTDTWNGVQQDVTSRMQRKLLYEATATVRLHGGAAGASVAPCEVRATLGVQTADGRQQYLAVGKSSTVSDKEWVQLQGKILLNSTVAKASIYIEGPPAGVDVLLDSLVVKHAQKTPPAPAPDFEKLEYGANIIQNSNLDDGLNGWFPLGPCTLSIHDGGPRVLPPMAQESLAPLDDEPLNGKHIHVTNRTQTWMGPAQVITDKLTLYATYQVSAWVRVGGGATSPQNINVAVAVDSQWLNGGQVLAIDERWYEVGGAFRVEADKPATRVMVYVQGPDAGVDLMVAGLQVFPVDRKARVKHLKRLTDKVRKRDVVLKVTGADGGAAAAKDDIVDVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQVNYSDADDLLRLCSDHGMSVRGHCIFWEVENAVQQWVKTLSHDDLSAAVKSRITDLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRATMFKTAGELDPDALLFVNDYNVESMCDIRATPEAYIQQIVGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGEVNEAGRRLLQLKREWLTHAHGKADANGEFKFRGHHGEYHVDVTTATGKISQTFTVDKDDAPLVLNIKV >Dexi3A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:3A:12622055:12623946:-1 gene:Dexi3A01G0016670 transcript:Dexi3A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNGLELSLGLSLGGSSGKAKARDAPLEPKAEPQVEESSSKGGSQTPEAPIENQEHNCKQRHSPVAPQFGSFWGQPGSSAAPVVDGSVEPVGHQPQLPRYQDGRMPNNSGNNSEEQKPDSSNRNLHSEQKHQTAVEQPDAFSKSSDGGAKNAPISISTDDGSTGENEDVAESEAEGSNSWLVTQREDSAKGSVVNKGSDRKRSADAAAVGFQGKRQPSFSGSESSSGKVPPGNPLSMQASNVVAVPYQVQAQVSGPPAITNAPNFQTVTPVQLRPPTNGGLAVQNMSSASQVAFGYPSVQLPTLETSSSWAFGASPQGISSFIVKDKAEQTGIKQPDDGKRPQEAGASSSARVEDEKKGERALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGIHMSPEEFMRHASADAPAQENTETLPAFPVGNQAASAEN >Dexi1B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:1B:21903935:21904397:-1 gene:Dexi1B01G0015390 transcript:Dexi1B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVVLGLMGLVLAGVALESADGAGECGRASADRVALRLAPCISAADDPQSTPTSSCCSAVHSIGQSPSCLCAVMLSGTARAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >Dexi9A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:9A:2563582:2565672:1 gene:Dexi9A01G0004690 transcript:Dexi9A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRACRLALLAAAAAYLLFLLLFELPSFAFTTAAPGNAAAAAMHRARRRELEAAAASAPRSSSPARPLKPAFPLPVSSVRFHRRPNSSFDASASAAFAAARPHLARLLLSQAASASPSSSPSPSPSAASSCPATVSALGDRLATTAGVAAVELPCGMAVGSRVTVVARPRAARAQGEPRIAARRVGGAPVMVSQFMVELLGTKAVEGEEPPRVLHFNPRIRRDFSGRPVIELNTCYRMQWAQPQRCEGFASRPDEDTAGLEGYHVSVDGRHVTSFPYRTGYNLEDAMELSLKGDLDVESVVASYLPSSPPSFASQSYLEMSEQWKASPLPTEPVELFVGILSAASHFAERMAVRKSWMISTKKTPNVVARFFVALSGKNEINEELKKEAKYFGDIVIVPFMDNYDLVVLKTIAIVEYGVKVVPAKHIMKCDDDTFVRIESVLDQIKKVQSGKSMYVGNINYYHRPLRSGKWSVTYEVIYNT >Dexi3A01G0033270.1:cds pep primary_assembly:Fonio_CM05836:3A:38061950:38062568:1 gene:Dexi3A01G0033270 transcript:Dexi3A01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding YMADDTGMSGLLCAMASRVDLKMSLLKDVMDEYREIKHVIEGVAKEEERLQQEKERLQHEKEILQHEQHANGEALAAMKEDLLASKNTLMEARDAIVRSTEEISQKNSDLEFLKKKLQESEAKNNRAEQQMLRSLSNQEG >Dexi1A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:1A:3807860:3810625:1 gene:Dexi1A01G0005200 transcript:Dexi1A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDASWYMPVENRNPWEEYQVAHIPGAVFFDIDGIVDRTTDHLHLPINRMFRVFGHNKVWVLDGGLPQWRASGFDLGSASSDDAVLKSKAANKAVERVYNRELINTITFQTEFQPHLFWTLDKVSPFFSPENSNPACIDQRYYQSGHGPMRSPLLSVAHNIAAKSYQQVDARSKGRFDGVAPEPREGVRSGHIPGSKCIPFPEVMFDGAPRLLSADELRQKFQQAGISLDQPIVVSCGSGVTACILALGLYRIGKHDVPVYDGSWTEWEAQSDSDYPKATTTAS >Dexi2A01G0021880.1:cds pep primary_assembly:Fonio_CM05836:2A:33837819:33838257:1 gene:Dexi2A01G0021880 transcript:Dexi2A01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSLAKVASEIKAADIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSKVASGDTKPNSWTLLDFGKSLFFRYVYSVLALLISYANFYYKI >Dexi8A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:8A:8104941:8109964:1 gene:Dexi8A01G0007260 transcript:Dexi8A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin-inducible protein [Source: Projected from Oryza sativa (Os11g0247000)] MDGVGTSRQRRNSEFVRRPSATKRHLQQQRQHEADLNDRKVIASTYFSIGAFIVLACLTVSLLILPLVLPPLPPPPSLLLWLPVCLLILLVVLAFMPTDVRSMASSYLWDQWVGLPMAGLVATLSSPCRAAAAMGEQAAALLRRLGRAGTTGRHGELQQVAAPARAPAAPRHLNAKQQSSSTSTTAPPPSHRLHQLLPSPLRANAPCHAVTSPEQGLGCRAGKPPPASCQSRH >Dexi5B01G0027580.1:cds pep primary_assembly:Fonio_CM05836:5B:29135385:29137825:1 gene:Dexi5B01G0027580 transcript:Dexi5B01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASSCTSSWARRLPCLDADGSDRFRSRNLSGDLLPSLGPATPLPHELRKHLVSPYDPRYKVWEIFLILLVVYSAWICPLEFAFLRYLPRAPFIVDDVVNGFFAIDIVLTFFVPYVDIKSYLLVDDPKKIAVRYLSSWFVFDVCSTFPFHSMSLLFNRHEHSLGLKFLNALRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPDFREAGLWVRYVTSLYWSITTMTTTGYGDLHAENTREMLFGVVYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQVASEFAARNQLPKRIEEQMLNHICLRFRTEGLKQQETLEILPKAMRSSISLYLFFPVVQGCYLFKGVSSGFIQQLVTEMQAEYFAPKEDIVLQNDKPSRLYLLVSGAVDIQAFLDGTEQIYGKAAEGELLGEIGVMTNKPQPFTFRAAKLSQILTISRSKLTDIMQGNREDGQTVRSNFQQKLRMEQRLFEQRLDP >Dexi2A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:2A:22452185:22455792:1 gene:Dexi2A01G0013750 transcript:Dexi2A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLRGLMDEEIVMKTGKVAGIGLAAGSVWGALVSTLKDGPQVGSNVKYPELIRTAKVCRHYAASFAVIGATYVGVDQALEKFRMKKDIFNGAAAGFATGAVMGYRAVRIRQGCKLAGVSLSPRRRRRTSCAAAAAVRAEVSFVDADEAKRLVAEEGYTVLDIRDRTQRERAYIKSSTHLHNNFAGLFFGLPFTKLNPDFAKAVKDKFSPESKLLVVCQEGLRSAAAADALEREGFQNIACITSGLQTVKPGAYLFITLFPDQAEKLFDLAGISL >Dexi2B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:2B:29408182:29408566:1 gene:Dexi2B01G0019260 transcript:Dexi2B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEVAAGQRGRRLGRRGRLGVVEERRGAEDGGDDGEEAERHRQRHPGHRQIQRQLRAVEHHAQRHHPPALLPTLSPLPASRRPALACGGGSSRPRLRAVSMLAGQYKGGWAGRGGWKRSGE >Dexi9A01G0041240.1:cds pep primary_assembly:Fonio_CM05836:9A:44910517:44913653:1 gene:Dexi9A01G0041240 transcript:Dexi9A01G0041240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVAWWEETQAWEDRKHSISEAIMLLVMRKMDKDFLSGLSEAERAAKLKKAKEEEMRLVKILNQHLHAPVKMPDFSCMTESERTVAAERLREKEQERAHLLRAQGRPYIGPEKIVSLLDFDPKQGGLYYNRYAFVDLQTFDLDEESPFGPMRCAYEIEGHKLCGAVNILSVKIASSDVGFPIHVYGTVIVRDSLDERCVYHFRRSSDHCQLINSEMSGVLTPAPTQLPSHNIDGEPVRNASAWCGGAASGDGATSRALAQQQPPNAAMIQALRESSNRQGSPCSPSPNWNCKAYYRGGRASFHGPGTPVP >Dexi1A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:1A:12765708:12768195:1 gene:Dexi1A01G0012670 transcript:Dexi1A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLLLSLDKFLLHYLKKRLFSGPRIPTSAASKSRRSMASPAKWSETAMLIIDMQVSLRRILSFISFWFPFLDFELVVTDGFVLCGIGGSIFVQKDFVDPAMRSPMLVAGGEAVVPAVVEAVAVARKRGIFLVWVVREHDPSGKDVELFRRHHYSGGKGPTVKGLKGAELADGLVIKEGEYKLVKTRFSAFFATHLDSVLKTAGIKNLVIVGVQTPNCIRQTVFDAVALDYEKVTVLIDATAAARPDIHLCEYLCFINSLVY >DexiUA01G0025960.1:cds pep primary_assembly:Fonio_CM05836:UA:54709874:54712155:1 gene:DexiUA01G0025960 transcript:DexiUA01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNIVSTALGFGMTAAFVAFVCARLACCRGRRADTGPPPSPDFDADLDGSVERTGLEPLVVAAIPTMKYNCEAFSSKDDAQCSICLGEYKEKDILRIIPPCRHNFHLACLDLWLQKQTTCPICRVSLKELQATMPSSHSIQQLPIVPEDSVTSMRQYLLPVDQDHRGQSNSQERNESAEVVIEIRQ >Dexi1A01G0004500.1:cds pep primary_assembly:Fonio_CM05836:1A:3302688:3303145:-1 gene:Dexi1A01G0004500 transcript:Dexi1A01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASVPSSPCSSGTNVEEQIRSLTAVASSSDVTIKTIVDGLSKLGSIYSCIDELICFPSSQRKAVEEELEGSLILLDLCNNMQEIFAELRTGIQEMQLALKRGDNVSVQAKAQSYARSVKKVQKQFKKMNSKGRQAIV >Dexi5A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:5A:22410805:22412656:1 gene:Dexi5A01G0018910 transcript:Dexi5A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLHRPTSPTLVAFLFLLLAAPHLLASAAFVSRGLSASDAAHIRHRQLLQYTNHDNGSGGAASHIDPSYTFPNPHLRDAYVALQAWKHAILSDPHNVTGTWQGPDVCAYSGVFCAPSPRDPYLTVVASVDLNHADIAGHLPEDLGLLADLAVLHLNSNRFCGLVPRSLHRLALLHELDLSNNRLVGPFPDVVLRMPSLKYLDLRFNEFEGPVPRELFDRPFDAIFINSNRFHFEIPDNVGNSPVSVLVQEVRAAVATSAAAVSSSAIAISSSAISAATFTVSPATDRYLSPPPPAYTELPPPPPYYEVSPEDRYLSPPPPPPAQSPPPPPPAQSPPPPYYEVSPEDRYLSPPPPPALPKLPVYDYSSPPPPVAGWKP >Dexi8A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:8A:23368338:23368958:1 gene:Dexi8A01G0013380 transcript:Dexi8A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVAVTCLARRRRMHCDAAAAAAAMELEQMQCPPHDDQRHHRWPAEHGLPPDRRRRASSGRPGRGRRLLQGGAPVSLAPDSWQRRRRDQEAAPASTERPPDLAEGAPAASMAASEEAPASGDAGAEAEAASASPASEASSASLVLCAVCLEELRRRGRGGGEARTTTLPCSHSYHPGCVMPWLAAHGDCPCCRAAVPSPENHRH >Dexi6B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:6B:2734665:2735288:-1 gene:Dexi6B01G0003330 transcript:Dexi6B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARTTHSILSVFFLLSLLATLAADAGSRCPRVPSMTAENACTAVTGTRRMLTLCLRTLHAGDGAAAAVPVTRHAAAAVRGALESYAATVAAATSLLDAGEVAGDDEKAAVGDCMVGYGTARGAMARVADDLQVVAAGGGCDGDGRDGVAELKVGYMAGLRGMDGCRRGLLNYPASPLYERNLADRNVTLLAALLCNLVVTAPLG >Dexi3B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:3B:4410353:4415002:1 gene:Dexi3B01G0006340 transcript:Dexi3B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPATAAAAVSGPHHARLLLPSSPRRLPRPRPRPRARLHLAACHADTLLPSSSSEVRAPPAPAVGTSAESAADCFVDWLRAGGLPPGRVDIRERPVPCLREGKDRPLRYVAARDALQAGDVAFEVPMSLVVTLERVLGDESVAELLTNNKLSELACLALYLMYEKKQGKDSYWYPYIKELDRHRGRGQLAVESPLLWTESELDYLTGSPLKEIIARDEAIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQVMCCKVSLARRFALVPLGPPLLTYKSNCKAMLTAEGDSVRLVVDRSYKAGEPIIVWYYNLKLCRLYRCGPQTNSRLVLNYGFVDEDNPFDRITIEASLNTEDPQYQEKRMVAQRNGKLAIQNFNVFVGKEKETVAEMLPYLRLGYISDPAEMQIILSSEGDTCPVGHPFLMLLPIDLLGKAECTLFTCSFENLVGIKDFLQAITLVSPCTERAVLDQLVGYLESRLAGYPTTLDEDEAKLADGSLEPKKEVATRLVRLEKKMLHACLQAANEFIYDLPDHTVSPCPAPYAPELK >Dexi3A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:3A:2998615:3000049:-1 gene:Dexi3A01G0004600 transcript:Dexi3A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPGDVLGDVLALLPPRSLAACRGVCKAWRAAVDAGGLLRSDLLPLSVRGFFVGTDMPSCPFFFRRPSSSSTARKIAGAALDYLQDMGYDQDIAMIRGYCNGLLLLDQCVVNPATRRWARLPPYPPSPEKMEGFHYKECIAFEPAVSPHYRVVRLPYVPISELEGKFDGGIEWPMDPFVIQVFSSGSQRWEHRLLVREQGEAAARCTLGDMRQFYSPYSVSCDDAVFWHEALYVKCMNGFLIRVSLSDDSKYQVIKMPARNDGRQADLRIAKSKNGVYCALLHGNHQLQVWLLHEFGGKRDWVLVHDANLDALWKRVTWKYSIDADGPWKLDTDYCGEANEKPEEEEELEWDSDNDNVLNIEDVDSGNWCCYIPIIGFHPYKEVTFFPVDLSSAIAYHWNSSKFQFMGNLYVMSYAQTSLESYSVYTPCWIGDLS >Dexi2B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:2B:29007919:29013990:-1 gene:Dexi2B01G0018800 transcript:Dexi2B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGTPENVVAGVWMKDMPSDKSSNAVETSAEYSQFMGKAESVDYSRLSTSSSKREWCFMCELEKVMTEGKHGTSPVSPTGILSHLNEIGTSFGQGREEDAHEFLRCMSYERANKKLTISEAPNILTIALKRYKSGVFGKISKDVKFPEHLNLSQFMCETDDYSPVYSLYAVVVHHDVMNATNSGHYVCYVKDPQGKWHELDDSKVKPVSLKKVLSKCAYMLLYARCAPRAPDSVRKAMLDQGASPLGRGSFVSVQQGFVGSDSSSLFSSSDAGSSSTLSSESNDSTRNSARMDYGYIHGASDHMRPVSTMVIPEEDELNCLRQRSSINPSTSGHDMDQAGSL >Dexi2B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:2B:8113343:8114264:1 gene:Dexi2B01G0007920 transcript:Dexi2B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMFTMSTTRRVFAHLFFLLLLVSTAPAVRTTPDAAATTTTTTLQASCSKTLFPKVCLQALKDNPECASATPRRLAELSVYVTAEVGMTVAAFAHHELNGITDNALYKCLDTCSEDIEEAVAHLSALTRELTDAKFLELKSWLSSTLGGTSTCEDACKDAPVSDVKNVCVTKSFEFEKLLRVTLDLITEASGSMSAAEVALPPESVASSPAYGAAAPFGGYGSSAGEPAASPDTPPAYGAAAGGPSLGAPAPSPLGASGSRTA >Dexi9B01G0027400.1:cds pep primary_assembly:Fonio_CM05836:9B:29849025:29851201:1 gene:Dexi9B01G0027400 transcript:Dexi9B01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSARSNASVENRLGYTRSTSMDSARPSLAARSGSMLSRRSSRQGSRGSISLSREMGDSILNSMRHSLQSADQMLGDIDSSVLAQLIESGRVLAPESDVDEDIANNSKHDKVGPLPDTAMMQNNGRSVVAPISSIEPKDAITDVSVNSAIKVEPYKLSMKLDYAAYMIHLAVFGFFGVFTRYGLQKLFGPDCLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAVAGIVLGMFIVNESITVGAETGERLRSLILKHISEKSSIGHKYDWEHWRMDTRTKQSVLLSVMMVLMSFLWVLSIVLAVVNVRNLADGAVLWMGCSVAPPGVWLRWYLARLNGQGIGKQGSFKWLPVGTLAANVLAAGIMAALAVTSKAVHTKQSTVILSGIQLGFLGCLSTVSTFAAEVYTMRRSGQISRAFVYAASTFLLSFVLGTLVYSVPVWKKHYK >Dexi1A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:1A:15234609:15235777:1 gene:Dexi1A01G0013060 transcript:Dexi1A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPSGSSYYGGNASTFQFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGGAERGMRGSRFGMFGDDIFGSYPQFPGEASMHVPQRPQKASPIENRLPCNLADLYKGTTKKMKISREILDPGG >Dexi2A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:2A:31956409:31956612:1 gene:Dexi2A01G0019850 transcript:Dexi2A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAMVERDGGRAEAVKAPPQEVKVAGGVPRVEPQKLARKAGERRMKERG >Dexi6A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:6A:27947829:27949603:-1 gene:Dexi6A01G0020450 transcript:Dexi6A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDDCGWLLYLSLAAKCGGDPHRLLAFAAVFAVAFVVTALLHWAAPGGPAWGWYWWTRRAGLGITGAAIPGPRGVPVLGSMGLMTGLAHRKLAAAAAAGDKAKDKRRLMAFSLGETRVVVTADPDVARELLASAAFADRPVKESAYGLLFHRAIGFAPHGAYWRSLRRVASSHLFSPRQIAASAAHRAAIARQMVAAAMKQLAAGDGGAAVTVPRRLLKRASLHNVMWSVFGRRYELLEEVESEEAAELKSMVDEGYDLLGQLNWSDHLPWLARFDLQRTRARCAALVPRVNRFVSRIIDDHRARLAAGDAATTMDFTDVLLSLQGTDKLSDADMIAVLWEMIFRGTDTVAVLMEWVLARLVLHQDVQSKVHEELDRVVGPGKTVTESDTASLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGYLVPAGTTAMVNMWAITHDPAVWSDPEEFKPERFMAGSSDAADEFSVMGSNLRLAPFGSGRRSCPGKSLAMATVGFWLATLLHEFKWLPAAEYGEPRGVDLSEVLRLSCEMATPLEARLVPRHAA >Dexi1A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:1A:5384217:5389800:1 gene:Dexi1A01G0007030 transcript:Dexi1A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLACHTRDAFPLSHLVQHDTVDDTIGGVAIHRWAARCTARIAPRLYRAAARRTRGRAHKCPRENSHANHQFSTVRKPTSGHSPDSSSPPRSLATSRAPWPRHRRRRSPPSSSFPSSSSSEPRPSPAAAAAWRTRATRPAARAPARWTPATGERGGAGGGRRIIDITHAYVADLPVFATGEVAGPVVRLKQSMAEGSEYNLSELKLECHTGTHVDAPGHINQDNFAAGLDVDTLDLEVLNGSMNTVVGIEICSAFLTAHLSPDHVSMSMSMANGSSATCRSSAWSCTRGHMIQEHFEAGLDADTLDLVVLIGPALLVDVPRDTNITAQAMEYLNIPKGVRRVLFRTLNTDRKLMWKKGGDFSYVGFTEDGAQRLVDNTDIKLIGVDYLSVAAYDHLISAHVVFFKNPSERVPPGGYPWHAAAQSSVAAAAHILAYLSCELATMVAPPLLLMLLAVAVTLAPRALVAGGGAGDDSCGLASAGAEVALEEHGGGRIIDITHAYRPDLPAPGRDGLGPVTRLTESMANGSVNNVSELKMVVHSGTHVDAPGHMVQEHFVAGLDVDKLDLDVLNGPALLIDVPRDTNITAQAMESLNIPRGVRRVLFRTLNTDRKLMWTKEIDTSFVGFTEDGAQWLLDNTDIKLVGVKVVNNRMDCAI >Dexi8B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:8B:20494801:20495106:1 gene:Dexi8B01G0011470 transcript:Dexi8B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSAAAAACVVFLVTGAVALHQPRPYASSCCLPHERDALLVFKQAITSDPAGILASWPERDDHHRHEQDCCRWRGVRCSNKTGHVLELDLRNVLILEDN >Dexi7A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:7A:29003700:29004748:-1 gene:Dexi7A01G0020070 transcript:Dexi7A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKNEITEVNVLKSVQRSGYISPEYAMEGKYSEKSDVFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTTYTYDEVCRCIQ >Dexi3A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:3A:6626322:6628498:-1 gene:Dexi3A01G0009490 transcript:Dexi3A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDVGRASRAGRRGGDGEVDEEVDAAEGKGLGPDLACHPMPLAQEQLAVDTTCAAAAPPCWTSGPYPTDLRLLLLRCPALQLRTLLPCPRLRLLCAPRGWTCCLAVQLLPCCRGGQADRKPDGENEEEERRRETDDSLAKYLDGGRTCLGKE >Dexi3B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:3B:3169201:3170774:-1 gene:Dexi3B01G0004680 transcript:Dexi3B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPFQKLPPGVYFNPTAEECVRDYLRPWKAGVMPATDRVITDVNIYSQSPDALVQGREPGFSRGSDHKWLMLTDCVRVSGGKGKGKARAKRDVATGGHWKVEQRSKPVAGDDDAGDDDPPGGDRRRTNGFYVSSSGGNGGKKDSSAKTPWLMEELTTAEDEEEAAAGWKGDRTVQVFCKLYVSPRSSDEEKIKIFGEDGVPFDRDGNPKTAREALPEDLFDAVAASIHRAQGPPAPAPPRVLGGGCQQQQGHPARRVVAVGHQHGNGQPAAPAPRVVGLQRGVHQYGQAAVLGHHHGHAVLQRGVPAHHQHGHVNGQAAAHQIHGGFDQYCYGGPVHNPYRQFHYQASPAVAGHYYSAAAPSFHPQQQQQQGYEMIHMGKKPRLTLTHDESPPEQQQQQQQPEGDADSDKSSCVVQASTPQEPVHTPSPPQEVAGTATVAAESTTNDHSIFAELPPLIDHDTLLSEDKPPSSDELS >Dexi7B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:7B:19973175:19978127:1 gene:Dexi7B01G0013500 transcript:Dexi7B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPALLAAAVLAAAAALLLAAGAGAATEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNPITLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIDDLFKCGQFCGNLKHSERMNSGVMVVEPSEALFNDMINKVGSLPSYTGGDQGFLNSYYSDFANSRVYEPDSPLTPEPKTQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWFTAWLVKPVEIWQDIRQTLEEPLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQLPNGAHLKLPAYFGPIAVLVCFASAGFSLAFAFAIIPRQVMPWTGLLLMFEWTFVAFFLLFGSYLRFVYHWGSVNANHVGFSNSDSSENHMGSGHQRNMSECDIDATFYWIGMAAIAIFAVLSPTILGITTLFTK >Dexi5A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:5A:22000088:22000603:1 gene:Dexi5A01G0018470 transcript:Dexi5A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGQMTVVSAVMFTVLAFIIAFPYVYGAREASEFSMELTGFEGLNATMVGSAVSPMFSLKARINNSRMLQSWCYDSGEVVVSYSGVALAWGHVPRFCAQKGALTEFTVRLWGRAVDLSSDLCRRLVLDVHTGTTQILVEMKLFYDNKGLMYRGPLVRKFQLMLRGANTVN >Dexi8B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:8B:3145467:3147188:1 gene:Dexi8B01G0003750 transcript:Dexi8B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASTTAKTSQANKTKSRGAAASKKKTTSHQPPSSSWMNMGFSYLSSSSSKNKVHNPAAVPETPSQCNKNNSNNDKMKTKTKTTSMSSISRSITNATSICISKDTSILTRRHRDNDDRSRTASSRSLKIPSDLDVDAVYSATISATSSFNSDATSAMASSSSASVATTVTTTTTTASSSPLSSPAPSFAAGSGSSFRGVHQIRKLSGCYECRHSVFDPRSLAAAAAVFHCSDCGEVFAKADSLELHKATKHAVSELGPEDTSRNIVEIIFKSSWLMRKQAPVCKIDRILKVQNSDRTVKRFEQYKESIKERASGDEGKKNARCVADGNELLRFHCTTFSCSIGAAGGTALCRSPEMQCKLCAIIRDGFRVDGDGKIATMATSGRAHDVAEVVSEGEKKAMLVCRVVAGRVKKACGTTKSSEDRDVDSVSPSSETVYSDLDELFVFNPRAILPCFVVIYSGY >Dexi9A01G0027180.1:cds pep primary_assembly:Fonio_CM05836:9A:31630115:31633706:-1 gene:Dexi9A01G0027180 transcript:Dexi9A01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDVGSSPLRSQPRILASAPQSPLDLARIRIHCEAWAGAPASLIGEAVDWMDRNKKMGGTLLLLFVVVLSAAAPQVVRAAKPIPNSYLVGVDEGDNSIGILQNHIVETVNKHPNAGWTAAHNPYFANYTIAQFKHILGVKPTPQNGHCGSCWAFGAVECLQDRFCIHMNMSVALSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWQEKKHFSVNAYRINSNPHDIMSEIYKNGPVEVAFTVYEDFAHYKSGVYKHITGSIMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEEDVVAGMPSTKNIVINDGGSSGTAVV >Dexi2A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:2A:10366197:10367168:-1 gene:Dexi2A01G0009560 transcript:Dexi2A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCLRKHSTTSSTSRSSRPTSSPRTNLCRLLALCASPFLSSTMTRLTAAATSSLPNQPSSSSRRATSTPTPRSAIIRAMSPWSSSSPAASSRTFSAVTGASLPSATYRTLRGGCLSSHRTAPERVASVVGLSSGRRKPVGTTAGLRKTSAMSGNSRGSSSGQLRTSRRRQRCSVCQKRSMSETPEALGRNEAAIHAASWRRKVREGGEGNHLGMGMKSSTVTRGWLMFSSREPQ >Dexi5A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:5A:23840936:23843197:-1 gene:Dexi5A01G0019970 transcript:Dexi5A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQFYESFTLDNVQYSLYDCVYLFKHGDPEPYIGKIVKIWEQNQAKKVKILWFFFPDEIQRYFSGTVMEKEIFLASGDGIGLADINPLEAVAGKCNVLCTSKDERIRQPSPQELAMADYIFYRFFDVKHCTLSDQLPDKIVGLEVTILLNPKDEQVISNPSAVNVLPSPNVNEGLAATVPPLRSAVKEVGSSVAAVALPQPVSKEVDVNPPAAIPLSQSVVKEDQKPVTTIPFSQSVVKKEDKKSVAAIPYSRPAVKEEEKPVASTPPPRSAAVESVPKNTESQNAHAGERPPKRLKLSQEATVNTTSDVAEIRPLELPSLQAVNSLN >Dexi3A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:3A:9312982:9317049:-1 gene:Dexi3A01G0012740 transcript:Dexi3A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRPATAPSPFPSAPSSSSSSLRTPRPNLRFPRPRNRRKIGVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPSAAHVQKSILHGAADHVLRKVLYWTKEEDKMEKMKARLIELYYENLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMSLSKYFKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSKLSVINLRRLFANKGISFMDLQKQISEKSPPKRRLTVDTIF >Dexi7A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:7A:18461450:18465838:-1 gene:Dexi7A01G0007100 transcript:Dexi7A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLAASCSPSPRLPVLSAARFRALPGAAPAVAFTGTGARRGVRRPRLFVAAAAAAPRGSRNVFEGLRAKGFASVSSSIGNENMSTGTGTLPPVPPPSSSSYFGSPVFWIGVGVALSVAFTTVSSMVKRYAMEQAFKSMMSQSPSGSFGSNSPFPFSMPQQAAPTAPSSFPYFETKKDTSQQAATVDILATEVEATGESKEEDVTETPKPAKKFAFVDVSPEELQQNNLQSSLKTVDVKPDSTVSESSNEDTEQKVPTNGAAFKPNEDAARGPTESSNSGPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGSSPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPDVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >Dexi4B01G0023120.1:cds pep primary_assembly:Fonio_CM05836:4B:24593954:24597844:1 gene:Dexi4B01G0023120 transcript:Dexi4B01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGAGDYAPYYPPYHAPPAPAPATYPSVSAPASAPPYSPYPTDFAPAPSYPAYPPAQPVDLPHYAPPAAAPPPPQPYYPYEPPPLPPSPHNPVPSPYPSLDRAGSYGYGSGSGSGYGQELYPPKPAGGGGWSDDGVYAYNGGDAPEPYGARGTAPRSGSGSALFDDYGRSIGSASDKGGRGGSAASLKVVRAVPKAETTEDIRGGVQKFRVKLLPEGSGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDAEAKRIRLKSNSYTSNTILDTVTAATVQFKEMGGSSISRSRAVADAAKPAEQQNERRKFFPDLRNLMKPMNEEKDHWVPDEAVSKCTACAADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTADADAQPVRVCDRCMAEVTQRLANAREAANRPIVHSHEDLAKKLQEAMDINKRSSSGTRSSDASGKRMREVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSAR >Dexi2B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:2B:3217982:3218418:1 gene:Dexi2B01G0003700 transcript:Dexi2B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVFNYTKGEEMVTEVDSEAFRVCNRQGNMINEWSSGDDVVFLNMAGRHWFFSSLGNHCDLGLKLVVDVDVQLIETPEPGPAPSTLLPLRRPPPTAPVASPPVSPPSPETSSAALHTIVAAVFV >Dexi2B01G0032270.1:cds pep primary_assembly:Fonio_CM05836:2B:40206809:40211394:1 gene:Dexi2B01G0032270 transcript:Dexi2B01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVANEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLTIGRTGSSSYDYRSSSGGGEVILDDCNFHESVHLDSFDIDRTLTLIPPDGEFAVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRLEWNLKKASTNHGRL >Dexi9B01G0041850.1:cds pep primary_assembly:Fonio_CM05836:9B:42230292:42230705:1 gene:Dexi9B01G0041850 transcript:Dexi9B01G0041850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPGAGFSESVQDVRKLCTPRPSVAPGSHVSVGWSKLCHTTPGPDDDADVALLRTTRLPLSRSVATTVVVAGDEVVADAFDDHSKVFVAWTRSSPRSLSVKREADGSRIGRSRLATQTTVCLVTFLNQMPM >Dexi1A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:1A:4205616:4205822:1 gene:Dexi1A01G0005730 transcript:Dexi1A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKIDVVHSGVDSDDPAERGHGVQECSIDEDGAATVGEISGGSSYASASAAATLAWTMEARRCLGTT >Dexi5B01G0033300.1:cds pep primary_assembly:Fonio_CM05836:5B:33735186:33738159:1 gene:Dexi5B01G0033300 transcript:Dexi5B01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKPRESHRQGRGRRASHFGDDGGDDLPSSAYDAPPPHHEDSSDDGDTNEETAEDERDGDAEAVEQDEWQAGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGRRVPLHLQEDFCGTALLSSEWIRTDARRTAIGLDLDLESLEWCLENNLSKIGADGYSRMLLFHGNVLQPKEARLVKQKFNDLVQGLDVNSNNGANSKHAFNALSKRGGIFVMDVYGGTSSERKLRLQRKFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSNGNAKEYNANRDVKYEESQRFNQGDAWNAYVVGVANI >Dexi4B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:4B:5410913:5415791:1 gene:Dexi4B01G0007530 transcript:Dexi4B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTEAQGDDDEVEWQEVEREEVEPEEVEPNDESGRKRRRKSQVWNDFVEIETDDPEMSTAKCRISILDPRYKLNLLRYCYKKIHDDESVAEEQVNKAVTRDAIEVDDADDDVTAVD >Dexi1A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:1A:5581686:5582195:-1 gene:Dexi1A01G0007270 transcript:Dexi1A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGCAVVGVLLLLGAALLSPVAVATARGETLMATSRDEWRGRAGAVDGLGTAPEEPAPPPPAATASEDGEDVIWRRKEEVAARISHQRFRSRRIPASQVQFGGRIPFTADYHSVHRHPPTHN >Dexi9A01G0041340.1:cds pep primary_assembly:Fonio_CM05836:9A:44973493:44975767:-1 gene:Dexi9A01G0041340 transcript:Dexi9A01G0041340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCHPSEVYELFVRHMNTPRVVVDNGVCATATLVQVHSARKHGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADDDALLARLESSLSADALPPRTPPAAEMATAGGAHTLLELVGADRPGLLSEVFAVLHDLRCGIVDARAWTHGGRVAALVFVRDEETGKPIDDAARVRRVESRLRHVLRGGARGARTVLLADAADVNLDRQLHQLLNEDGEADTPAGQGEQPTTTAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGQPISSAAERRRVIQCLQAAIERRASEGVRLELRITDRRGLLAYVTRVFRENSLSVTHAEITTRGDMAMNVFHVTDVAGRPADPKTIDDVIQRIGTESLRVDEERWPRLCSTEGDAGRSGGGAGIFSLGSLVLKNLASLGLIRSCS >Dexi9B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:9B:11225857:11226322:1 gene:Dexi9B01G0016410 transcript:Dexi9B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVIVSVYEERPRRRRPISHGASTATSAPRQAGRGYSRRALLLAYAQQLRRRRRQQQNGPPLLEWSEWKAGRVASGDLAMTTRAAGRRSWCSRLRSCVRLWVRTFLLGASRIREDASCRK >Dexi9B01G0035970.1:cds pep primary_assembly:Fonio_CM05836:9B:37581763:37586884:-1 gene:Dexi9B01G0035970 transcript:Dexi9B01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAVPPPPPPAQVQAGSLPYGPGLAGILPPKPEGEEKKEEKKVDYLNLPCPVPFEEIQREALSSFHSVFMGSLEVPSQSTETIKVPTAHYEFGANFLDPKLMLIGRVMTDGRLNARVKCDLTENLTLKVNAQLTQEPHYSQGMFNFDYTVSIPDNSSSGSDYRTQFQIGNNAFYGANYIQVGTLQVASTGIVALSYVQKVSEKVALASDFMYNHMSKDVTASFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHCKKNYKFGFGMTVGE >Dexi6B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:6B:23539243:23542811:1 gene:Dexi6B01G0016280 transcript:Dexi6B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQDLAHYVESVVRQTAERGGTGISAEAVVRQLGAQLGFDVSPKAPLIRSVLVALLGPAAAAAPSPAAPEPSASRKDPFDPATGGGARAEAPAQLPFATSASSASAPAQAQAVPHFFPQQMQSYLSASQQYQHQQHRPGAPPSPFDVSASYRYGHQTYTQADQAQLQRLVQLQQHQQHQQMAAAAAAAAAASAVTTVAPAESPRASAAPAPASSKKDKDSSASGGAKRKGGPGGLNKVCGVSPELQAIVGEPAMARTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLSKHIHPLESTNNSKRESKKLKSDSGEPISPVETDINQLPFVVSDALAAFFGTGEREMDHSEAVKRVWDHIKSNNLEDPENPAVIRCDSKLKQLFGCESFTAHGVSELVSDHLAKQPTKI >Dexi2A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:2A:29752372:29757215:-1 gene:Dexi2A01G0017730 transcript:Dexi2A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRHVISDLATDVVVHVSEVRFYLHKSSKLQRLVLKATEEGTDEVHIDDLPGGAKTFEICAKFCYGMIVTLSPHNVVATRCAAEYLEMTEDMEKGNLIFKIEVFINSSILRSWKDSIIVLQSTKATLPWSEELKVVGRCIDAIASKTSVDPANVTWSYSHNSKKGVACAEIVESTGKALLAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKSSGCSCRFLLKLLKVAILVGSGEHVKEELMRRISFQLHKATVKDLLLPAASPKYGTYDVQLVHNLVQRFVARTALSHNGGFVEKSDEKMIELNFEQESTLSVGELVDGYLSEVASDPDLSLSTFVELATAVPEVARPVHDGLYYAVDAYLKEHPEISKADKKKICSLVDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSKELALTDDGAHACIRPTPDQCDPCERRIPKHPNSLNKQVTSLSARESEHHRISEHRGSRNSFKDQLGGFLLQSRSRRIFDKLWSSKGHGEHGGGKGSETSGSSQSPPLSAKPAEVKPSPLPPLRNRRYSVS >Dexi7A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:7A:18721032:18722741:1 gene:Dexi7A01G0007320 transcript:Dexi7A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAESPSLLECYASGEDDSPDDTRVSPETGEGDPAAAPYVGQRFPTHDAAYEFYSGFARTCGFSIRRHRTEGKDGVGRGLTRRYFVCHRAGSAPAKPLAGAPKPQRNRSSSRCGCQAYMRTVKGAPPEWRVTGFSNHHNHALLGQEKVRLLPAFRVISGTDKDRILVFAKSGISVQQMMWIMELERCVEPGKLPFTEKDVRNLIQSFRKVDHEGMERVDLLRMCKNFKERDPNFKNLWALPYLRGHFSAGLTASSAVYKSINAYIQRFLSAQTHLDNFIEQV >Dexi2B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:2B:1679476:1683890:1 gene:Dexi2B01G0002140 transcript:Dexi2B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHTMTNNSGSSNGHGTNAAASGWLGFSLSPHMASAAAMDDHHHVHHQQHQQQHGLFFPSVTAAAAAAYGLGAGDAVATSAPPSYYTPQLASMPLKSDGSLCIMEALRRTDQDHHHGPKLEDFLGAAAAAQSQAMALSLDNAAASSFYYYGNGGGHQQHGGFLHPCAAGDLYGGPSPAALVADDEAATAAATAMASWVAARAAETGVLSAAAAAEHHHHHALALSMSSGSLSSSCVNPGEYGMVAAAGAAAMDGGRKRGGGGGGAAGGGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPADLARRIKKDHDDSAPAVVVSDAGVTTAALAAGNTTAVASTNDTWKIAAAALAANPRGVVVSDHGHTHHHHHDVLAGGGAEAFSVLHDLVVTAADSGGHHGGAAAHAQHMAMSSAASSLVTSLSNSREGSPDRGVLSMLFSKPPPASKPMSQMMPLGSWASPAASARAAAAVSIAHMPVFAAWTDA >Dexi4A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:4A:11735340:11738023:1 gene:Dexi4A01G0012850 transcript:Dexi4A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPIRVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYAPSTPTSTSTTGVTPPAVVSATVGVALLGAVAGNLLFGAMGDRAGRRRVYGASLLLMVCSALASGFSVCRTRGCALASLCLFRFLLGVAIGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILASSAVTMAVAAAFDRYTGRRAPLDATPEAADLAWRVILMIGAVPAGVTFYWRMAMPETARFTALVEHDVVKATKDIGRVLTDLDLISSITEEEAAAFRRTTPPAPSSSPSSSSYGLFSRRFLRRHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPWFPPASRVNAFQEAFNVAKFQAIIAVASTIPGYFVAVVLIDRVGRRRLQMAGFLLMAAFLFALAGPYDRYWRGHATDAWYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISGAAGKLGALIGAIGFLWASQDRDKREVLAGYEPGIGMMYALIILGGICLLGLAVTYLFTPETMRRSLEENESEDGQSGHGGQGDNVVIQRFPEELAELPKSPASMVSSHVSTSPIHPHRFSV >Dexi2B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:2B:2336809:2340221:-1 gene:Dexi2B01G0002790 transcript:Dexi2B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASFITSVVTSFVIFVVLVLVFTFLSRRPGNAPVYYPSLLLRGLDPLEGRRRGSRSPVGWIRDAISTPEADVIAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAGTDHALDLQAAAGINQKNANSNNDSTPDFPQMERLGLGNVQTTEITIFFHSMQSHSKRLWAFLLSVYWVSFVTYFVLWKSYKHVSNLRATARSTPDVKPEEFAVLVRDVPRSSPDETIKDSVDSYFRALHPNTFYRSMVVTDHTKADKIYLEIEDNKKKIARAEVVYANSKTASNPEGTKPTHKTGFLGLIGKKVDTIEYCSEQIKELLPKLEAEQRTTLRDKQQRAAIVFFNSRSAAASASQTLHAQVFDKWTVMEAPEPREMIWPNLSRNIYERQIRQVVVYVIVFLTVVFYTVPIAAISAVTTLDNLRKLLPFLKVVVDIKAIKTILQAYLPQIALIVFLALLPAFLMFLSKSEGIPSQSHVVRATSGKYFYFIVFNVFLVYTLAGSLFTSAKAIINKPTFSRIINMLATSLPGGATFFLTFVALKFFVGYGLELSRVVPLIIFHLKRKYLCKTEDDVRAAWYPGDLGYSTRVPNDMLIVTVVLCYSVMAPLIIPFGVAYFALGWLIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALLLYQATMIGVFGLKEFTYSPILVPLLPISFIFAYICHMRFYPAFANTPLEVTQHELKETPNMDAIYTAYIPPCLKPDKLEDLDVFEDAQSHTTSRAPSI >Dexi4A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:4A:16097905:16101588:-1 gene:Dexi4A01G0014210 transcript:Dexi4A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADHEAAGDYTSGAKLLAWDCGSALYDSYELAVFTRQLDAAVLACGRSLSMPHLAAATTPPLAGVQLQGAGRRRKRRLPALLRRLFSKVLRLRLSPGPARGAPYRTRDEDGAGSPWSGALTSIPEEQSSSSPEMGSSSPVEPGRSALRKTQSERFVGSASSIVQFDVVLPEMANHQEKPTTPPPPPRPPAAEGPSARPRLPGGVGAHAGGGGYPNPPDAAIPDAATLRDQWRFAVRQYSRWYSHAWGTAILAGAAFFALGWLVKGSNPLPSRSDPHDTKANAVAKEER >Dexi5A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:5A:7428318:7429928:-1 gene:Dexi5A01G0009880 transcript:Dexi5A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRSSSSSTAAEGRRSSASNNSSGGSAAVERKEIERKRRQHMKSLCAKLASLIPKEHYSSKDTRTQQGSLDEAAAYIKKLKERVDELQQKRSSAQLLAGMRGGGGGCGGGGASTPAATTATSSGAGSEEAGDEEAMAAPPVVEVRHHHDGSSLDLVLISSVARPFKLHEVVTVLEEEGAEIINANFSVAGPKIFYTIHCRS >Dexi2B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:2B:2103561:2104867:-1 gene:Dexi2B01G0002530 transcript:Dexi2B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIADADIVRGTVIFIVIVAFLAVIFLFVCTRRKWCLGGIMRNTSASQSYSVVSNHQIKNATIERFLWEIQNEKPFRFTPLQIAGFTRNYSTRLGSGGFGTVFRGSLPNGLAVAVKVFHAGLGERSEQEQFMAEVGTIGRTHHINLVRLFGFCFDDAQKALVYEFMEHGALDSYLLAVAGDDDVDVAKLRDIAVGVARGIRYLHEECQQKIVHYDIKPGNVLLDGELTPKVADFGLARLVNRADTHVSVSCVRGTPGFAAPEMWMMSGVSEKCDVYSFGMLLLEIVGRRRNFDGDAPESQRWFPKLAWEKYEAGELMELVAARSSDGVEGKETVERMCKVAFWCVQQPPEARPPMGAVVKMLEGEMEIAPPVNPFQHLMAPPMVASQWTRMTTSAVPEISIEIA >Dexi7A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:7A:15963941:15964400:1 gene:Dexi7A01G0004940 transcript:Dexi7A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFLNFWLFFPSVHNLYPDAQPGLYPPPPPANPPEGYQYQDYFGGSEQPPYGHHGRWPGQAAPSEAGPLHYHDDADCITFLRGCLAGLCCCCLLEQC >DexiUA01G0011230.1:cds pep primary_assembly:Fonio_CM05836:UA:22591671:22592794:1 gene:DexiUA01G0011230 transcript:DexiUA01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSRPWINIKKKERKSRDGPPTRSSGEHRNRISTSGSGDTPFDRQPPALRLPFPPSTREKAIDRTAALPSSICSTAAFPSSDPPAPPPQSRPTAPRQQVSRAALRDAVASLRPQVSLKPHAPGSFLLPVTSRRPTSRPRRPSAPAAAALH >Dexi3B01G0038580.1:cds pep primary_assembly:Fonio_CM05836:3B:41389468:41392008:1 gene:Dexi3B01G0038580 transcript:Dexi3B01G0038580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSILSANYNTILFEFGIILVTSKVLHALLRKVYQPRVFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPGTLLDAPTADAAVAYAGILSTSVLVTLFHMPLMQATSGVVHERSLRSFLGLAAVLANTASPVLTRLATDLKIAKTAVGRLAVGAGLASDMVTTMLIAVGSMIWRDAGVVDSPIVQPVLTAAVLVVVVVSAFVSRAMAEWVGGRNPEGRRMRGFDLSLVALAAAALCWLGSALRLDVNMAAFLVGLAFPSQGRVSRLLVSKTNFVLSSLVLPLYVCHVCLSLRQTTDDVEVAGLGRVEGFRAFVMELPFPWWKVLFVTVMGTLGKLTGCAAAGLLRGLGWLEALALGMLLNVKGYFHIYCAQAAFDAGIITDKSFMAIIFMVALNVAVTPMVGVGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLAYLMEALRGGPGGGDLAAYAVDMVQLTDQTAAAIVRGGGFDGVTVVDEEVSEMRRLIGEALDAYQAEAGEGVKVRRLLALSSFQDMHGDICICAEDAMAALVLLPFHKAQRLDGTMDAGHFGFRLANQKVLQLAPCSVAVVVDRGLAHLGHREAQAQVVVVFIGGADDREALTLAALMSRHPGVRLTALRVVQNATAQARARARTSLFETKAATTGRGGGGGGGGPAASSALGQEEAQMQVDDKFFAEFYRKHVAGGKHGMGYLEKHVADGAELVAVLRGLQAEYRLFVVGRGRDRSSVLTEGLDEWAECLELGPVGDILASSDFSNTASVLIVQQYDAKKHYKVIDEEFMPL >Dexi8A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:8A:4001712:4002551:-1 gene:Dexi8A01G0004610 transcript:Dexi8A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFVGYYNKYRVTQPCYCCKACHRYWTQGGIVHNVPIGGGCCKNNKQQCAFVAAALGSAPTSSLSSSSGGSKKINTNTPQLMLMPTPAMATTDFPIVLPTLMSSTSSGLELPNSGSDHQHQVSLPFAPLSLPSNPPGNTLFMDAMRGGFLGDSGSGSRGMMPLPFLPPPSFGFGMMQLQGHGVMMGGSSSRLQLTNSNNCNNHHNSRGYCVDWQVGGGGGGLNSSSSLI >Dexi8A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:8A:18925816:18930684:-1 gene:Dexi8A01G0010840 transcript:Dexi8A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPEEVVEQILGYVTSHRDRNSASLVCRAWYHIDCRSRRSVLVSNCYAVYPERIHVRFPSMRSLSVKGKPCSDDFNLVLAGWGAAADPWVDSCARMCPGLEELRLKRMVVTDECLKLIAGSLTNLKSLVLVSCQGLTTAGLASIATNCRPKLEDLGTGSSLVDRIGDEGLKVVAISCPDLQELRVFRAKNCPQLTSFRLRIHELRSADAITGQPLDEGFGAIVQSCKLLKRLSMCGLLTDCVFLYIGMYAEKLEMLSVRSAEGTDDSMVYVLNGCKNLKKLEIRDSPCFGDTALLAGVGKYEAMRSLWMSSCNITLGACKTLAASLPSFNVEVINRRGAIIDGSNDTATASMAKVENLYLYRTFSGPRADAPGFVST >Dexi3B01G0028930.1:cds pep primary_assembly:Fonio_CM05836:3B:27566144:27567236:1 gene:Dexi3B01G0028930 transcript:Dexi3B01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSSAQRREGRGRLPPFPLPLPRSAAGLSSSDRASDAAEPRGEEEEGEDRAVKRVAERAGGSRLSEVCVKSQEIKELFEDWFSEESRYITRMASPGVYIDNKEHADEEEIVKEVMMFESDKKEVETFVELDGSSEKYQQLMETSIDCFVEELNTRELGASLTSKNLFDVPYDEEFVGNKKEMEVLSSLEILEFIDKHDATEIVANGAASDFETPEAPSLDDSVHHDIGNVHREEIEQEQSTTALSHNNVVDRLPEGILQEWQETQNLVTEHNKNLPEDISIEEDGKHAVGISDEVQDSLCDPTV >DexiUA01G0023370.1:cds pep primary_assembly:Fonio_CM05836:UA:47823238:47823811:-1 gene:DexiUA01G0023370 transcript:DexiUA01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGIPEKKQARKAPLTIFYEGRVLVLEDFPADKAEELMKLAGSGSSSSTLQSKDATPVVQEKPATKPPAVLPDLPIARKASLQRFLQKRKQRIITSEPYNKVMTTALPVPEKDIVGSGKPAKDVPAASWLGL >Dexi9B01G0035220.1:cds pep primary_assembly:Fonio_CM05836:9B:36952799:36954906:-1 gene:Dexi9B01G0035220 transcript:Dexi9B01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATRFFLTRSLLPLPLAKWQRRRGRPGSSIFAAWAFAMAASGSGGGDSFRLSAAPGAGVLKLHKGDITLWSVNGTTDAIVNAANERMLGGGGVDGGNK >Dexi1A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:1A:24096022:24097948:1 gene:Dexi1A01G0016810 transcript:Dexi1A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAWGKNAKTKRQPVVMSTKPGLPFGVETDTGEAEKEKEAMANANCPGTKPLETAESLQRQGDKLAEEGKYHEALSRWEAALTLAPDNPVLYEQKAQVLLEVGDAWHAITAATRATELDPLWAEAWVTLGRAQLNFGEPDSAILSFDKALAIKPDYKDARSDRETAGRLVKKRGQLHSSGLSANKRRFTVGENSEKVVESEEKADETVVQSS >Dexi5A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:5A:3273840:3276420:1 gene:Dexi5A01G0004330 transcript:Dexi5A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGGVADMEAGRGAAAGVAGGGGAAAASSPEETRYARRFVEEWCCACVGLFTRPNPMMARYLYALIFLVTNLLAWTLRDYGNSALAELQRLKVCQGARYCLGAEGVLRVSLGCFLFFFVMFLSTVNTRKVHDCRNSWHSEWWPVKVVLWLGLTAVTFLSPSPLVQLYGKVAHFGAGAFLVIQLVSVTRFIMWINDCCQSETTRKRCHLQIQVVSIVTYVGSLLGIVLMYVWYAPSPSCKLNILFITVTLVLVQLMTFVSMSSKVKAGYLAPGLMGIYVVFLCWSAIRSEPHTEICNKKAEVATSADWLNIASFVIAVIVIVAATFSTGIDSKCLQFKKAEAEEEDDIPYGFGFFHLVFAMGAMYFAMIFVGWNASHPMEKWTIDVGWASTWVRIGNEWLAAIVYIWMMIAPVIWKTRQVGSSAET >Dexi2B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:2B:29326159:29329342:1 gene:Dexi2B01G0019150 transcript:Dexi2B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEVGAYREFKALVEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGAAAGAEGGSGSRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEETKAAYPKTNFKEWKQVLQELGRFLKADGAYKASRSLRYDNLFDSYPSNLASIARFHSKRVLKLKETVLTSYRRNEVAYILYPISEFFCINLVKFTELTLDTFRMLQCLEWEPTGSYQMAGKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPANPQKAVIYHPTVSHLLAVLATICEELSQDSILLIYISASGLEHNNAIQKYASTSSHATASAFPIDKTNSHTSSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAGLSELNLGL >Dexi5B01G0035940.1:cds pep primary_assembly:Fonio_CM05836:5B:35802237:35810283:-1 gene:Dexi5B01G0035940 transcript:Dexi5B01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKLLKSHVFSDFSSLGTGKEAEDPMLLQQLSDACFVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRSQLIDILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTVTARNKESASDDEDEGGEHNKIVSDIRKKYEKKLAAPNDGVEQDKDKQKDLSVPGAGFNFHGIISSCFEPYMTVYIELEEKSLVDQLEKLVQEERWEIEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDISEVQDEFSAVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSEYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTGAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQAILEDFNKHAPAPAPAIKHPAVAPTVAPPVATASVQMAPSVVTPAVSITPSMAALTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Dexi9A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:9A:2681578:2682609:1 gene:Dexi9A01G0004880 transcript:Dexi9A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSYSIHILGGIVALGKFDALHIGHRELAMHASKAGPPFLLSFVGMAEVLGWTYKPPIVALCDRKRVLSSWAPYCRNMVPLEYQVEFSKVRSLSPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTAKRSHNGVAAAINSSDKGQVSSTRVRHALAMGDMEYVSKLLGRKHRLVLMVNQNCLHERKKIVLPNSCMLNMPPAEGLYENCDLVTGGYLGPCRVIINSDTIVIEMKDENSLSPNSIQEVRQLDIEFG >Dexi2B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:2B:22693682:22693988:1 gene:Dexi2B01G0013670 transcript:Dexi2B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTDDLVEEILLSLAPDDPVSLVRAACPRWRRVVSTPGFRRGFAQRHRTAPMLGFFVNGAGTTTTPPTPTPQASSPPPASARAARTSAISAR >Dexi5B01G0022440.1:cds pep primary_assembly:Fonio_CM05836:5B:24776211:24779339:-1 gene:Dexi5B01G0022440 transcript:Dexi5B01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLVPCNTTAAPARPPLPVSHHRSTSTHPGRYSSSKPRASVSPPLAAPAIQGRDVGLTVTTRRGRVLPVPNGCSLHVPPRQLWMLLGPNGCGKSTPSQDEVKSRVSKSMDAVGMLSYSQRPIQTLSGGQKPRVAIAGALAEASKVLLLDELTTFLDEHDQMGVIKAVRNSVAADGEVEALW >Dexi6B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:6B:26250825:26254202:1 gene:Dexi6B01G0019550 transcript:Dexi6B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYALGREAAAASTCEAAAAMSISGELPGEGSDGEEEVFINEEDIIHEITVDDEDLPDRDDDDEDGGDGMDEVFTVACSPTDASLVASGGKDDRGFLWRIGSPDGALELTGHSDTVSTVAFSSDGTLLACGSFDGQINVWNAATRALQGTLEGSGSGFEWLRWHPRGHVILAGSEDCNVWMWNADHNAIFNTFSGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPKTAQSKHVVRGHGYHTDGLTCLSITLDSQTVVSGSKDNSVHVVNVKSGQVVGSLVSHTNSIECVGISSSYGWVATGSMDQKLIIWDIARQSSRCICEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCARVFSGHADVVQSLAITTDGNAMVSVSTDGSARVFDISMFK >Dexi4B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:4B:4917963:4918774:-1 gene:Dexi4B01G0007100 transcript:Dexi4B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWCQVPTSSLFESYNKMEAVGVHLLHICILLSLVEAPICSLVSTLLFSPETKMAAKMPCHHPPSSTSSSSMAMISPRSGTRKPAFRFRTSAHGSSSSSNLSLTAVTDKKVFEDQLRGILCYRDENGELICEGYDEGPRLGIRLPEKACFPWPVEVHVTDFIQLARLQVFEDVDVLQLKDDQKRKLGHDN >Dexi1B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:1B:16874526:16881561:-1 gene:Dexi1B01G0012630 transcript:Dexi1B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSPSPTPRSPRRPVDIVSPDPSSADAQPSLDFGDPASLAVLRALTDAGAATRLLHECVAYQRALDGRLDSLLARRADIDRAAASLLRSAPPLLSLAASDAAALKESSSSTAALADTLSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAASAVHEFVAIDTRFPTDDDLRRDLLDIKRRLEGLARRRLAAAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELHEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVGSMTSAAGGNEGPDPREVELYLEEILALTQLGEDYTEFMVNKIHGLRDVKPELGPQTMKAFRNGSFNKMEQDLTGFYVIFEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSVLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFPGGVGVQKTGEEIATALNNMDISSEYVVKLRHEIEELCAEVFHAPADREKIKSCLSELGEISASFKKTLHSGMEHLVASVAPRIRPVLDTVATVSYELDDAEYGENEVNDPWVQKLILAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >Dexi2A01G0028410.1:cds pep primary_assembly:Fonio_CM05836:2A:39679828:39681941:-1 gene:Dexi2A01G0028410 transcript:Dexi2A01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKPSAAVSAATAKPAAFKPLHLPPLPAAGTRPLFLSVSARPLYHQEHVLATTVAMAASGRGGDRAASPAPPAAAAEGAARPMEVAGAAAAPADASRRARIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSLLSLAAGSAIMLASWATRIAEPPQADLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMMSLVILFPFAIAMEGPKVWAAGWQKAVADIGPHFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVASIIIFQNPVQPVNALGAAIAIFGTFIYSQAKQ >Dexi3A01G0033920.1:cds pep primary_assembly:Fonio_CM05836:3A:38947184:38949032:-1 gene:Dexi3A01G0033920 transcript:Dexi3A01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFQTRLLPSSSSSSSSLAAAAAAASAPPFAPRGPTGGAGSSARVPGGRRRRGTAVAMASGDARVAGIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDQGITVGRDKLGDRPVFVLVKAD >Dexi7B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:7B:21934602:21935236:-1 gene:Dexi7B01G0015920 transcript:Dexi7B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRRRGKLNEMFLILKSLVPAINKMDKASILAGAIAYLKELEQKVQELESISCPAGKAMLMCHDNEITGMSLSAAKRNKASELSGDMEEREHHWELSKDGSSNVINVSIMGTDVVVQVQCRWKELLMARVFDAIKNLHLDVLSVQASTPDGLFGLKIKAQVISTQLRNGMATARYSNF >Dexi4A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:4A:23698421:23698912:1 gene:Dexi4A01G0019880 transcript:Dexi4A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATKMFTLISSDDERFEVTEAAANMSQTIRHMIEDGCADGGIPLHNVTGSILAKVLEYCNKHAAAGSSSSNAAASTAEKEELASFDKAFMEVDTDTLYDLLLAANYLEVKELLDLACQKVADMIKGKTPEQIRQTFGIKNDFSPEEQEEIKKENQWAFEE >Dexi7A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:7A:14180158:14187522:-1 gene:Dexi7A01G0003970 transcript:Dexi7A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFCLFLGSALLGYAGSGMGRVAHKGYKRCEQEKMDKVRREVERKIHPRGGGRARRGYGGGGSAAPRVGAYEVVTWWPRRDVAAKISNLKMRAQQIAERRHRYGVDNPKSSVVVGDGGGGGGAAAPGGGGAAASAAAFNAAENQDSSLKLVTPKTPVGVQKDMEELEKWVTGPGQGGTTSVLSIVGFGGVGKTTIATALYHKFRDQFEHRAIVTVSQSSDIEGILSKILSQVKPHSSDDNHQHVSSPKKSLAAAARGLVDHVTALTGDRPKALFRQAFFESKGTEDVMFMSEVEEFQSKSQEITEEPVQLPQESTASQATPKVFEEVLKMCGGLPLVIVTMAGHVACSSQKSTKEWIDLCKTLLPESKKDRGSELTQDEAGRIVSHCSNDMPPEIKTCSLYLSIFPKGHEISRKRLTRRWIAEGFVNEKQGLSVEDVAETYFNHLIRRKIIRPVQHSSNGRVKTCVVHDMILEHIVSKASEENFITVVGGHWLMHQPSSKVRRLSLQVSDSKRAKDTEKMNLSHVRSLTVFENLHQLPSGSFKFGIVQVLDLEGCKGFRQQHIKDICGMILLKYLSLRGTDTKLLPKKIGKLVNLETLDVRGTDIVELPTAVCNLERLVNILGGHKKTHKALKLPEELVKKKKMTALRILSGIEIVEGSVDLHHLIELRKLSIYKVNLIEDEKLKELSSSIEYLCGYSLHTLVVDDISSKFFKLLSEMSSPPKFLVSLAMSGKIIQLPKWVAQLDALNKLTLSLTALLTDNLSHLSNLKALFSLTFTIAAGKQDPETLAILVQNKLCSDGEVLVPAGGFDSLKLLRFSAPVLPLLSFSEDAMPKLERIELHFDILEGIFGTEYLGNLKEVYLRLNEKHGEGMAKKIVHEVKSAVERIDMMKRPRITLDH >Dexi1B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:1B:20928009:20928539:-1 gene:Dexi1B01G0014580 transcript:Dexi1B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSGSERGRTVDEFALGGAEVVHLSPPQVERRVRQRHAAARQREHGVEPPEELERGARGATQPLNGPLGEERETRWPGRENTRSSRWWAARRWRSRDTAASSARSLTHFSFTFTSVARCSAANHGVPDGAASHRPLLPAVARRQRHAMVCLPAHPPSARYLGLAK >Dexi3A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:3A:7666855:7669290:1 gene:Dexi3A01G0010690 transcript:Dexi3A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSADLEAVDFDLEDDDLMDEDVVAEPLPAAAPASRLRSTIAGDGAPRKTKGRGFREDRDSSSVPRDSRSGDGGRGDLDSLGSGGGPAPIRSIEGWIVLVTGVHEEAQEDDLHNAFAEFGQGYALVEYENFEEAQAAIKELDGTVLHTEIINVDWAFSSGPAKHRSSQKRYFLLGSRTMSLNTAKSSSFKVSNQEKILTPSRT >Dexi7B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:7B:4198935:4200071:1 gene:Dexi7B01G0002420 transcript:Dexi7B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRSRGQAGLAVLPQEILQDILIRLPAKSVLRCRAVCRLWRRLTTDPAFLVAHHRSQPTLHLVRSNTGRGKCEWYSIGALHLQKAERQPVSWPRWSLFDASCDGLVVIGDSICNPATRQWAPLSQQKVRFENIVSLYRHQPSEEYRVLFWTHSNHPSELYCPNDYFVHTVGSKKPRRAICSVTLVDEELKLELSGKGPDIRGAAVHLHGNLYIHLKKSNVSYHGILVFNTVAESFRQMRPPAVAPGHILHLFDMDGMLAASCSKDAMKEMRIFALQNYETEVWSFQYRIKLPEMEIRQFQEQGDWFAKIVSEEGDLLVTCFGWILHCDRKGNLLSKFEYDDDIPVAIPCTLKESLIQHPFFEEKQKISPYIFRSC >Dexi8B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:8B:26662108:26662557:1 gene:Dexi8B01G0015860 transcript:Dexi8B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWCREAVNSLILKLTQIMETRPVVRDLLQGLTTDELLQVWKMVLEMAYGIDDWIDPKLVMSLNLVELEADREQIREIQALIVESRARCRSNDRGGAAREAILDVSVGQENMADIAGEDDTEERLDADATIKIPVVVFHFQGWEVGI >Dexi4A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:4A:20206104:20206926:-1 gene:Dexi4A01G0016590 transcript:Dexi4A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLAKKEWDLLLGLLICVRDPMPAINANKRTDTAERRKGGNVAYGYNRLQFSIELSRGEPHPTRTGAPPTTSQKAEEHKPGRNRSECRVRRSSANTTHIDRSPDVGLVLVLFHAIVQSKRLCSGLVYQRGEGHFRQPTGRPTKHGGPSGHRPPCHDRTSFC >Dexi3B01G0033850.1:cds pep primary_assembly:Fonio_CM05836:3B:36657565:36658021:1 gene:Dexi3B01G0033850 transcript:Dexi3B01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKPLQPSNPTTKPETGARPIRTRPSNPPASRIRRANPARKRQIRTVNEITGIKFPPNARRRGKERREMRGEGSSPCRRWRRWGCAAAARGERTIRVGGWLVAPGR >Dexi3B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:3B:11564858:11565083:1 gene:Dexi3B01G0015940 transcript:Dexi3B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLPLLPPPPGAHPAPPSSTPSMFRPGACPWRPPPLHIGFPDYSTQACLAHCLKALGGHLLPLQI >Dexi7A01G0021810.1:cds pep primary_assembly:Fonio_CM05836:7A:30316511:30316818:1 gene:Dexi7A01G0021810 transcript:Dexi7A01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSQKSPGLKILWIWTLGTAAIVVGGVVRMRINDAQKIFREEEEAAAAAAAAMPASSERIFKDDE >Dexi3B01G0023880.1:cds pep primary_assembly:Fonio_CM05836:3B:18632433:18635353:-1 gene:Dexi3B01G0023880 transcript:Dexi3B01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHTPHTIHPQLLKAVATGDAELLEQVLGLQSSATEEQGETEEQGEESCLKGVTAEGSSALHIAASCGYLELVKMICAQDISLIKARNNMLDTPLICAARAGHVDVAEYLMECAIDEQEDLMARNLDGETAMHEAVRNGHFLILKGLMSRDNRLAAVVNENGVSPLYLAVASNRADMVKALIGNSSHGATPATVSYSGPDGQTALHAAVYISKVHEHFHNHMLNPLRSFRQPVPPC >Dexi2B01G0020910.1:cds pep primary_assembly:Fonio_CM05836:2B:30901736:30902422:-1 gene:Dexi2B01G0020910 transcript:Dexi2B01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAHASCARLNGYLESITVLSFPCLVISTSHSISSFLGIRTSGTSLCLANVTFCRNDLAAAAIPGVTSTTVACSASTGANVDHGVPVAQCATASAVDAAVGREAPSAADTSGGRDTVSDAGATAYSPSPPPVCHADE >Dexi6B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:6B:10192684:10194961:1 gene:Dexi6B01G0008210 transcript:Dexi6B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPPESFSTEKKDDKNAAKEKAIEDWLPINGSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGIVVMILSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGEKLGLWIVVPQQLVVEVSLNIIYMVTGGQSLRKFHDVICDGKCKDIKLSYFIMIFASVHFVLSQLPNFNSISAVSLAAAVMSISYSTIAWAAPLAKGKEANVDYHLRATTAPGKIFGVLGGLGDVAFAYSGHNVVLEIQATIPSTPEKPSKHAMWKGALVAYIIVAMCYFPVTFVGYWAFGNSVDDNILITLSKPKWLIAAANMMVVVHVIGSYQVYAMPVFDMMESVLVKKLRFTPSFKLRLVSRTFYVAFTMFIGISFPFFGGLLSFFGGLAFAPTTYFLPCIMWLAVYKPKRFSISWLTNWFCIVVGVLLLVLAPIGGLRQIIMTAKTYKFYQ >Dexi8B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:8B:21616055:21628744:1 gene:Dexi8B01G0012270 transcript:Dexi8B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVPGHRGLLLHLLPLLILLAPPQRCAAESATCLAVYREGGAPAVFQSAHCPRWTLPPPGAGEGAEDGGGRSSPMGCHVAADRGRRRSQEDRAVCALGIRIPFLGTCTSCSTEASQKHFESGSTATVVLIADGKIISANVGDSKAFLCSEGHDPHRRNTFVLAIWINANMEACIASGKRRRKRNSIDHEEFALANYDGPLYRATELTKDHHPDREDERSRVEASGGYVIEWAGVYRVNGKLALSRAIGDLSFKRYGVIPTPELTGWKFLSENDTFLVASSDGIFEKMTMQDVCDLMLHAKLHVNQDLGSSAITQHNLADYVVRVALQKGTTDNVAAVVVPLGSPSSPDMTLEDWSQFEENLKTSISPILNIPYQLKPGTDDTSSAVIEMEYFRRSSAKFQRFLVEAKLKRLGCFYLSESLDEDMDYIFRVPEAYQREETHEFSHIPTETAIYSDGNVEKYKDRHFCLYLVHQDGEMGQCNGPEAVANFFGLLDSLPHNGSKPNGYHSFGNKIDFRSTTLLREHFNYFYWSDELYKLKKRFDRGSYGEVWLAFHWNCSEDIDVHKEPQHFTATPKSDSYNCTNSNTMPSNEDHVSDTVDGDLFILKRIMLMGLKACHDRNIAHRDIKPENMIICFEDVETGKCLRDIPSEAKQNKLNMRLIDFGSAIDDHTLKHLYGSGPTRLRSYMELCILVPGISTQHGSGSSEQGQFGLASWKCSEESFAHQVKIRDPLKLGFANLWALRLARQLLVWHPEDRLSVDEALNHPYFHEPP >Dexi7A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:7A:28162659:28164195:1 gene:Dexi7A01G0018870 transcript:Dexi7A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLITEGASSSMEKRRKTKTPTNAGGCAASDGVDLISGLVDDVLVRILELLPDARDVVRTHALSRRWRGLWTRVTDLCFDSNGSLGFKESGDPERFVCFVDDALALRAAEKEPAGVEHLAIFFDISKHGQESEQLMPPCVQAAQGWIHYAVQQHPVKSLVFHLDLPWYYINDGGHEVFIKNPAMNLNGLASSAKLETMDLQLSDVKLQLPSSAVFASLTDLSLGAIEVEAGGGHLLARLVSSACCPRLRSLQLVDLTVPGMEGPLLIDADALMELTLEIGDLRILELRTPSLRVLRIKECYQLEGLTISAPRLHDLEFVIQHPLHIDKDVGLSSVERLKIQLMWSHGYLFDGRNDGTIRLLDCCRLNRYLEVCLQVPKREKKYVDIIKSWIPQLPHVTSLAIDIMLWSRRSSYTAGIASLLAQCNNIRHLSLQLFSLIEKVSQKFWMNSV >Dexi2B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:2B:6882279:6882539:1 gene:Dexi2B01G0006890 transcript:Dexi2B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAATSPELTQAEAELWCHNFGYLKSKALRCAIDLGIPTAVHRLGGAASLSELHAAVPVAPSKRPCLSRIMTFLSCCPPPASS >Dexi2B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:2B:25276115:25277706:-1 gene:Dexi2B01G0015260 transcript:Dexi2B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQGRSRQRRSRRATLLLAFAALAMERADAALLPAVYREIGAALHASPSALGSIALSRSVVQTACYPLAAYLAARHDRLTVIALGAFIWAAATFLIGFSTTFPQMAVTAALNGVGLALQIPAIYAFVADSVDGASRGVAFGWLAVAGKAGTVAGTSLGLLMAPTSFLGLPSWRLAFLLLGVLGAAVGVSIRAFAASDAAAKARVSVGPTATAKPKPVRQELQEFAREAKAVMRVPSFQVIIAQGLTGSFPWSALLFTPMWLELVGFTHGETAALMTLFKLATSAGALFGGKMGDALARRFKNSGRIVLSQISSGSAIPLAGVLLLALPNDPSATARHGAALFVLGIMASWNGTATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGMLAERLYGYKLPTSGGVEERAEGVDVEMERHNALSLARAIYTSVAIPMALCCSIYSFLYCTYPRDREMARAEAARDRGGGHGGEEGSDSEDEGDGERKLLPQ >Dexi9A01G0029250.1:cds pep primary_assembly:Fonio_CM05836:9A:34097014:34098519:-1 gene:Dexi9A01G0029250 transcript:Dexi9A01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGMVGAVLAVAMVMVPCLWAALAHLVWRPYAVARTFARQGVRGPPYRFFVGNTVEAKAMRTAAVGEALDRGSHDIIPRVLPHYHAWASRYGKVFVSWSGTTPTLCAGRLDMVKRVLSDKTGLYVKPDPGPTIMSLLEMGLVFTEGDDWARHRRVHIAQGKEVFLAQRELQHIALAAVNSVRIPGAGYLPTKANVRRWRLERTVRDTLMGIIGERLAAATEAGRRGYGTDLLGLMLEANAGGEGGKSVMSMDEIVDECKTFFFAGHDTTAHLLTWAMYLLGTHPEWQRRLREEVLQECGGTDTPLHGDALNKLKLVTMVLYETLRLYGAVNMIVREAREDTELCGVKVPKGTVVAIPIAMLHRDEEGADAGEFDPLRFRDGVGRAAAHPSALLSFSFGPRSCIGQDFAMLEAKATLALILRRFAFEVAPEYVHAPADFLTLQPLKGLQIVLRLLDPEKQSS >Dexi6B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:6B:23216639:23218661:1 gene:Dexi6B01G0015920 transcript:Dexi6B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDGRAWLAAAAVAAAAAGGVALYTSKIAGASPTKTHFLPPRRHTATATTTTPTSPWVILGSIPRVPPGGGAGGADMSLELTAPPRVSRLTISDRVFPARITPQSFPFVLAADRSGLLLLSAILATPWRRVDIDRPGFQGFCWEDTDPRYYVLNATTGAAFRLPDPDPQETIQHQALVGVLPCPGSDGRGFMVAELLPLIGSDTAQLLCYSSDVGEWVDKHVRYPLPARPLAPICTLAHHGRLSWVDYSWGIITADPFADAPVLRFVPLPRPCVLECGEAWGVLDEFRYVGVSAGKLRFVDTYRRGGGAPSKVTVWTLNDHDATEWTLEHEATFADIWADDSYKATGLPKKPPVLALIHPHNPAVVYFFLEGHLFAVDVPARKVVECDRYHLVAPPRDYGISNRFVRAWELPPSVSSDPGNWSSDISSSEPTESPPSRPKPGDYDLVGNTRQTFIG >Dexi1B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:1B:274700:276003:1 gene:Dexi1B01G0000180 transcript:Dexi1B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQKQEETMISSMLLLLLLLAPTSCSARAAINPSNNATTTTSARSKAQAAAAAHSSKPLAPALIVFGDSIVDPGNNNDIKTIIKANFPPYGVDFELLPPYLSSEPLEKHDLVTGVSFASGGTGFDPLTPKLASVISLPDQLSMFHEYLGKVRAAAGEAKAAEILSQGVFAICAGSDDVANTYFTMRARSDYDHASYARLLVQHSAGFMEDLIHAGARRISLIGIPPIGCVPSQRTMSGGLDRGCGQGHNEVAVAYNAGMVREMEALRAKYPATRLVFMDIYGFLYDMMMHPRSYGFTQSTKGCCGTGLLEVSVLCNPVTSSVCDPVGDYLFWDSYHPTEKAYKVLADFVYDNYVKLIL >Dexi3A01G0032890.1:cds pep primary_assembly:Fonio_CM05836:3A:37733521:37738053:-1 gene:Dexi3A01G0032890 transcript:Dexi3A01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLWLVLLCASSLMSFAARAAGLRLALTHVDAKANLSVEERMHRRLASMAGGVAAPLHWAGNQYIAEYLIGNPPQKAEAVVAIGSDLFWTQCSSCSLVCFKQDLPVYDPSMSSTYRPVACTDAACAMSLTGGETQCSVKGTECSVLGTYGGGVAAGDLAVEEFTFGEEVVSLAFGCIAASTLKATSLEGSSGVIGLGRGALSVVSQLAAGDTTTTRFSYCLGPYFHGAAVNQSHLFVGALDGVPATAFELREVTPGKWAGTMIDSAYPFMSLVDVAHQALKAELAAQLGASLVPPPEKVSKRLELCVARGEVATVVPPLVLHFGGGGGGDVVVPPENYWAPVDEVTACMLVFSAAQPNAKLPMSETTVIGNFMQQNMYLLYDLGNGVLSFQTADCSSM >Dexi2B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:2B:18988779:18990510:-1 gene:Dexi2B01G0012650 transcript:Dexi2B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLISGVVYLPFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPSGEEAFELCAKFCYGISISISAANLVPAMLVARFLRMTEAVAKGNLVAKLETFFDTCVLQGWKDSIAALQAAWRISGWSESRVVQPCIDSIVEKILSPPAKVVWSYTYTRPGYTRRPHQSVPKDWWTEDVSELDIEVFRSIISTVRASRLLPPPLIGEALHVYACKHLADPLRAAGEANGVLPAEETVVARQRRVLESIVTMIPGERGSVTGRFLLRLLRVASYVGASSSTRAQLIRQAGSQLDEARAEDLLIPMPSDAQAYDVGVAEAVLERFLAQFQRPAAPDERRRMSAAMDKVARTFDEYLRTIALDREFPVGKFVDLVECLPDIARSDHDGLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAAAGASHGATVAADRASVDAVSQLTARAKEDEPSSSADHKSDVHRPRRDHARVADGAAAAAMTRSLSATTKAPPAARTSEERGSRMRNKQ >Dexi3A01G0023550.1:cds pep primary_assembly:Fonio_CM05836:3A:19242324:19250976:-1 gene:Dexi3A01G0023550 transcript:Dexi3A01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRARSGRRRRACGDAGGSESKRLRWVADGEEEGEEDADAAEGKMEEEVEAYGEDLCFVCKDGGDLRVCDYRSCHKAYHPVCVGKDADFLDSDEEFICEWHTCFICEGRSRYYCYCCPHHSFCRGCVAQAEFVPVLRKTKGFCSNCLRMARMVEKNVDVDSDGLEEVPTTVVNRLETADFSDRETYEFLFKEYWEIIRDKEGMTLDKLEEAYAILKKGQNSKQDPDLEKLPDEEHHSDDDFMGNSDDEGEEPSSRAKLNGTTMKTKSFLKEGMSMKSGFVGWGSKEFIQFLLSIGKDTSETLDQCCAAEVVKDYIRQRGLLEKGRKKNVICDDKLQCLFRKSKVKYNKIYYLLEKHIAANMPSEDETLASSEDNSDSVITKKARNVSYRSSTLKHTTEINKKCLAALVRDNINLIYLRRSLVVDLLKEPETFESKVIGCFVRIKNDPKDYSFHMHKKLYQLGRVTDEDFDEEECQDLRLLAQNDSFLRYTVGDLEEKARSLRRDIMSHWINKELQRLDRLIDKASEKGNCTEIIVSLRRCSEEQYTGTNGTRASFFKSCVEEKFKGFALCLYNPHFRTGGEGELSLKKVSEEKSEATNAYASGGTALIPTQKQSSEANNVCDIPSVQNLDAKGAEAGADGDISEATLPDTYSHTAAKANTAGDVPGTSVHKQVAKATDVITIEDDDDDPREKTGQAVVVDLEADDAGDRHHAQHKTNKISRRGHRNGKMMGEASQHMCIWHYIDPQGNEQGPFSMNHLRNWWNNGFFPEDFKIWRTGQTSDTAILLIDALQMTD >Dexi3B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:3B:10570319:10575073:-1 gene:Dexi3B01G0014690 transcript:Dexi3B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVSAAFNVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDNPITSGAAVQKFVNLDARSYLKFLNWMPAALKMPQDELINHAGLDSVVYLRIYLIGLKIFVPITVLAFAVLVPVNWTNNALEGTTVVHSNIDNLSISNVPYGSKRFIAHVAMAYAITFWTCYVLLKEYQIIAKLRLRFLASEKRRPDQFTVLVRNIPQDPDESISELTEHFFLVNHPDHYLRHQVVYNANKLSDLVEKKKKMQNWLDYYRLKFERNPSERPTTKTGFLGCFGSKVDAIDYYKSEIEKIGKEEAEERKKVMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYVIPIAFVQSLANLEGIEKALPFLKPLVEFVTVFCFFCRIPKIIGMSIPMKATFFITYVMVDGWTGIAGEILRVKPLIFYHIKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLVYAAVTPFLLPFILVFFGFAYVVYRHQIINVYNQQYESGAQFWPSVHGRIITALIISQLLLLGLLSTKGFEESTPVLLVLPVLTFWFFKYCKNRYEPAFVRNPLQEAMRKDTLERAREPNFDLKTYLAGSYLHPVFKASDDDDKYSAMDDDGWMDEEVIVPTKRHSRRTTPAQSKYDGSDGLSSVPEKS >DexiUA01G0004350.1:cds pep primary_assembly:Fonio_CM05836:UA:8443788:8446593:1 gene:DexiUA01G0004350 transcript:DexiUA01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVPRGRRGPSSRPANGERKKPSPSPTRCAGTELTKPAASPPPPPRTPGWMEPPLPAPAGDGSWLILDRFVHYSRRHRGVVEGDATTSSPAEDCAGRHVRVSLRIADPPAVSRLYLHWTDRPQIALPFTEPAAIAAHRNSILFRMTVPFDDFRWWHDTPSFPTEHFVYSCCSSSSPPSLTALPPCFHGGGKDRVLDKAVRQHRSQRQRIMFDEDMGILCHGDNGEFTVAHLACRRKKLELCLVHHPPSASGAAMEWSVKELKTPPDMKIDLKSWRNDVVIPIGKSLCWVDYYQGLLLVDVLAVGAQSKPNPEHLHGIRLPAQALKPCRLYDDVGEPDPFRHVCVTDNGIIKLVCVFANHPPSDDDFKIITWTLVDINKGSWIKVVDTIMVADKFFGLYDAAQSCLPRVNPTFPVMSLVDPDVICFLLKKERSNLTWMVEVNMRSKVLQSSTLYINKEEEGHPSEKDKEEGHPSEKDSIRSFFGHYFIPTKFSSYLSKDAITSRKLSEGMQKAKEERAMQKAKEERAMQKGKVKEEPKE >Dexi7A01G0022490.1:cds pep primary_assembly:Fonio_CM05836:7A:30726796:30731838:1 gene:Dexi7A01G0022490 transcript:Dexi7A01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLQLPQGLSFLRSVGWLEDRKAASAAIQQLSPTLKLQTDKEVYRPGDSVTVTIEIHSPASLKDDAGQTVSGEDAPSLLLDMLSFELRGIEKLDNQWFSVPKPLPGSKQRRGEHMFLDCSSPSLVSKVIIASGQTKTYIVRVELPKILPPSYRGISIRYFYYVRSVLSGRSVVLHNGDQNQSPVNSSVQLEARVPLQIRVSQKSSNLLNDEGTSPFSVDQLGIFWREKDEDSEWTKANDNADLEEGYDSSKDEVSSVSSYNPSKANPEFSMRNSLSMQSLSSRLSTSEPFYNQAERPNFPLYTPIPRLSVSEISDDNDGGLVSPQRKLNHLLLDHPSNGQRFSPDSDRLKDDVGLPLTPKNVDPAGSEGFTRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCLEVSITLETSESINPRALHPSRRGSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVTVQWSLRFEFFTTPEGTDPARF >Dexi1B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:1B:12428968:12429699:-1 gene:Dexi1B01G0011970 transcript:Dexi1B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGHLGKVELAGAAVATSFAGVTGFSLLAFGAGHHHLLGIYKQRAMLVLALVSVPVAALWAFSGEALARCGQDPEIASAAGSYIRCLIPALFLFGQLQCHARFLQAQNAVVPVMLSSGTAALAHPAVCWLLVHRLGMGGRGAALANGVSYLANLSFLALYVRLSPSCKTTWGGFSREGLLGIPGFLKLAVPSALMVW >Dexi3A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:3A:389203:393310:1 gene:Dexi3A01G0000430 transcript:Dexi3A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKSSWSQVVKSSRPTNLSISARNLQPQDLGGVIFGCTNNTIAECHSRQLFGLPRAHISYVRNIKEGQPLFLFNYDDRKLYGIYEAAGNGKFCPESNAWSNDDQGKTSYPAQVAMRVSVWCFPLAENQFRNAIVANYYQNSPSLPGQKLHFFKFELDHAQTHVLMDMFTPSPPPNNFWMPPAAAPTNDHVRELVLSPVWATECEGNSGIKSEKAVRSYAEMVKKNTFEKVGKGAVDAEHVSSGDESSDGFDDLDCGYTPPDREEYAPSDKAVEMKQSEVLSFNRVLEGHAPLPAQQWNPDLYANATETEDNDACSFKYAQEVKCTILDGHSNLPETLDAEVNQLSLGHSNLLVQLFDSESCTEAKLIDVVKELSGRIELMEKKQAWSNKEVKHLQGVNERLLKRIVELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEEVIYLVGGFDGLSFLPSLDSFSPSLDILTPLKPMPVGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWVTCPALTHDKGSLAGVSVNGKIYAFGGGDGSQCFSDVDIFDPTHGKWIKNQPMLEKRFALAGVALNGAIYAVGGFNGIQYLSSAERLDPREANWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTRAKAMVSTVEVFEPRMPSWVMVEPMNYTRGYHSSAVLGGSIFTFGGVKGEADTILDVVGTYT >Dexi9A01G0029900.1:cds pep primary_assembly:Fonio_CM05836:9A:34749346:34753408:-1 gene:Dexi9A01G0029900 transcript:Dexi9A01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTERPSSPAKAKGEARAPLRLRHDDAEAPVFIVNWSLTCGAPIRNFKILRVRRSDDNEEALLEKRRMDYLRSCWGPGSPAGRPRRLSDAAGRQEGLLWYKDGGQVVDGEFSMAMVQANNLLEDQGQVESGPLSTTEPDLQGTFVGVYDGHGGPETACYINDHLFNHLRRFASEHKCMSADVIRKAFQATEEGFISVVSNQWSLRPQLAAVGSCCLVGVVCSGTLYVANLGDSRAVLGRLVRGTGEVLAMQLSAEHNASYEEVRQELQASHPDDPHIVVLRHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSDPAITVHQIQPTDKFIIFASDGLWEQLSNQEAVDMVQSSPRNGIARRLVKAAMHEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDSNAMTTAAWSRPSVSLRGGGVPIRSNTLAPLSVLQS >Dexi3A01G0036000.1:cds pep primary_assembly:Fonio_CM05836:3A:41400751:41409008:1 gene:Dexi3A01G0036000 transcript:Dexi3A01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQASPSIAKDVTELIGNTPLVYLNKVTDGCVARVAAKLESMEPCSSVKDRIAYSMITDAEEKGLITPGTTLLIEATSGNTGIGLAFMAATKGYKLILTMPASMSMERRIILRAFAAELVLTDPLLGMNGAIKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWRDTAGQIDGLVSCIGTGGTITGTGRYLREQNLNVKFYGVEPVESAVLNGGKAGPHKIQGIGAGFIPKVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAVRLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVMEL >Dexi7B01G0020900.1:cds pep primary_assembly:Fonio_CM05836:7B:26105661:26108864:1 gene:Dexi7B01G0020900 transcript:Dexi7B01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRELLACARACRGLREAVAWDPLLWRRLVVEPPLSNRITDEALLALADRARGTLRSLHLLGGPRVSDAGLLRVVQRNPGVTEVGSQPQPDQVVAQSSKARRSESTHEHDATLPAASRAARSEGRRGTDSSSSRACASGGYRVPDLSAGASGPVSAAESSAGRPPRDPMRRRPFLDQRRPSFKRRWQQRPWWVRLVLSLLLALACVLLLAVLLGSPDPGASPSTSTASSGSEATSSPLLRQRSYLEGITDALNMTDEMMSSRSFSRQLMDQIYLAKTYLVVAKEANNLQFAAELSAQVRRAQSILAHAAAHGGTVMEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQSNLRDSNLYHFCVFSDNILAVSVVVNSTAINSKHPEKIVFHLVTDELNYAPMRAWFAMNDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELHKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLIEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATVKEGAVLHYNGNMKPWLKIGMDKYKGFWDSYVDYSHPLIQQCFMR >Dexi5B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:5B:5477509:5478903:-1 gene:Dexi5B01G0008180 transcript:Dexi5B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVQVLESSFVSPSEPTPTEGLWLSPLDLVLANRGHTPTIYFYSSNGVAAADFFDVARLKEAMAKALVAFYPLAGRLGVDSDGRIEISCNGEGALFVVARAAHLTIGDVKDLKPSPELRRQFVPRIEPSSVLLAVQVTFFKCGGVALGTALHHVAIDASSAFHFFQTWSAYSRDDDRAAVELPCHDRTLLRARSPPTVHPDALTMFYPVKVITDPLGPLAGEVFTISRDQVASLKRLCGGASTFCAVSALVWQCVCIARRLPPDSEARIAIPANIRRRVKPPLPDRYFGNAVVRLGVTGAAGDIVSEELGSVAGRIRRAIGRMDDGDVVRAAIDYYEMVAGKDDDRARRSTPGTLPETELQITSWLGMPMYDADFGWGKPLVMSRAESTRGGSVHLMSDGPAGGVRVLVCIEAPSIKELERLLYAKI >Dexi5B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:5B:3029707:3033493:-1 gene:Dexi5B01G0004480 transcript:Dexi5B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKMEQLFTAGCGQPARNRSFQHALHPLLPGQTVKTLSQTAQQGTGLPSPPRNRISPTLSSSLPAKQKLCDLTGISPNFQLDSPSPPTHPTARPPARALPCPSHLHLVSLLVRSEGEIQIRSEGEIQIRVTRSASAAGRHEPDAALAGVFRSAVESARRHAGPSSSTVAAAAASSSGSGGGGGPLDGTIAATSARSEFNNRASKIGLGIHQTSQKLARLAKCTPPPPGSLSCLLSLPIS >Dexi1A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:1A:22362769:22365729:-1 gene:Dexi1A01G0015340 transcript:Dexi1A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTADSLKNYKGERDGDQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLDKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Dexi2A01G0035890.1:cds pep primary_assembly:Fonio_CM05836:2A:45397027:45402592:-1 gene:Dexi2A01G0035890 transcript:Dexi2A01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRREAAAARATKSGELPKSAGISWKDVAAAATAATATAKSGELTKAVAAVREAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLESRLLAYYKKKPKDNMVRISTISLIARVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNQKEKEHQITMGAHDIEDALVWKNKIELLIDQKPDPAAKSHKAFATMDFDMELGGQFSLSDRDSAAEDEEEQPTLVRRKTIGNGPPDSVHDWTKDADFGLRVFEELLEVEYLARSCSRAMRAVGVVEATCEAIFGLMMSMDATRYEWDCSFRQGSLVEEVDGLSGLGICVMFGIGDAMMMEAMYHSVLQILNCVSGLREYFSQTDDIHITPRIPAMESMADVASSQKDEKPNEVDLKTKTVDQEHAENKNMGTIDEESDDDEDYQVPEADIEVPDIAAASYLMELAAIDWFKDTKRMDNVGRQKNCVAQVAAEKGMHTFVVNLQIPGSTHYSLVMYFVTSSLKKGSLLQRFFDGDEDFRNSRLKLIPSVPKVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPSTAVVPDLENSSASNKDNSSNDATSSEDDSSKKTN >Dexi6B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:6B:3463427:3465430:-1 gene:Dexi6B01G0004270 transcript:Dexi6B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVGVSMSWSDVLTICGLFAVQCIFGLYMMFLDGLLAAGVPSLFIIVKWPKVWSPMLVLQLVIISLGGVSIYQVFMMLGVERTSPAIASAMPNLGPGFIFVIAACLRFERFNWKCKYTRAKILGTLVCLSGAMCVSFLKNPTPSVSPKSIPGDEQLPNGKSRKDWILGCFYLLTGVTIFACNTVMQAAALKRFPAPLSICSITAMMGSIFSAIIQVLMEGKLTAGTADNIAWIIGEIVLVGGVVIGLCTTFQVSSIGRKGPVLVSMFSPFQTVFSAFISLIFFGQWIGLGCCVGIVLMFVGLYVVLWAKNREDKMFTDLTAPSEAECDVERPLLQ >Dexi5B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:5B:22741431:22743990:1 gene:Dexi5B01G0020540 transcript:Dexi5B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRDAFSAGAARVPPPRRRVRVLASCSRQPASGNRGLQVERRRLLMSGLVSSFAIVLPISESYAAVETDEDVKMNMQVDEINAYSFLYPIELPGKKFSFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSKVTTGQRMTESSVLDAHCTEAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESVSSKRQIISYQLQL >Dexi3A01G0028990.1:cds pep primary_assembly:Fonio_CM05836:3A:30212444:30212956:1 gene:Dexi3A01G0028990 transcript:Dexi3A01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAHQEPAFDEPGIGLHRWTLLLGEVGLAVSIHFDKDVGTSVMDEGGFAASSFYNEDVGTPLLECGSVTSLSTTVAAPEDAASNPRRQVGEGTAARIFRPAAKQVLCPAVPLVVRQGGEGVEEDCKIRDVATHPHQQGRRWRSGFNSCFGELRRPPASLLSLSLCAQH >Dexi5B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:5B:8596938:8599619:-1 gene:Dexi5B01G0012130 transcript:Dexi5B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRNNPWKPHPPPPLQGPPISSGPRRSSRHGAATRSIVDLLLTDAPKAPALVSPRTAVGHDSARRSFFLKPQTCYPPPSTAAQACAPPPVALVLRAGLTKGRGGLTCVGPLHFLLKSGHGEGIWRWANPASTNVRREEIRTLSVRMDERSEREVVGAVDLLGCHLAFCKWGAPLSSSLFATDSTQRYQSPTEDPNDEANQAAPAPLLLPRNDVFGLVGSAAAATSSIWKRSSDGEAELLLLRLQIHAGSELEQGAGEETALLGLLLLRLQLRAGTELEQAAGEEAALLGCCSSAAAPRGKRARARSRGGGSAARPPWWRRSRASRVGRYCSPWVVVERMVDLDSTPWSSIPPHDSTGRFNVVELDSGAEPLSVRWSSTRHEEPVRRRCPRSTEPAARSVALPPRAAPVVGPPPRGNFASPAMHDAKCVPPLGHPLEGEMDT >Dexi8B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:8B:1274292:1279754:-1 gene:Dexi8B01G0001850 transcript:Dexi8B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGEAEVPLLEKKPEVYFDGCPGCAMDRRKAENSGIPYVLLFHMWIINLVTCLPLSSIFPYLYFMAYAVEVCRPEHHAIGLSIVSTSWAVALIIGPAIGGYLAQPTEKYPKLFPANSFFGRFPYLLPCLCMSVFYFVILISCIWLPVWAIN >Dexi8B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:8B:22804458:22807954:1 gene:Dexi8B01G0013000 transcript:Dexi8B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSSSGARTSPLSLVIAMALCCFFYLLGAWQRSGYGKGDTIAAAVNLHTSCDPAAITSSLTFETHHHGDDASSSNTTSSSSSSPASESSPEESFPPCAAALADHTPCHEQDRAMKFPRKNMLYRERHCPSSSTGDGEARLRCLVPAPAGYVTPFPWPKSRDYVPLANAPYKSLTVEKAVQNWVQYEGAVFRFPGGGTQFPQGADKYIDRLATVIPFAGGHVRTVLDTGCGVASLGAYLDSRGVITMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWGANGGMYMMEIDRVLRPGGYWVLSGPPINWKANHRAWERSEADLSGEQQRIEEFAAMLCWEKVTEINEIGIWRKRLDPAAACPDRPPVRPCDDANPDDVWYKNMETCITPPVAAGAGELKPFPERLTAVPPRISSGAVPGFMAESYGEENRLWERHVAAYKKVNYKLSTERYRNIMDMNAGVGGFAAAIFSPKAWVMNVVPTAAELSTLGVVYERGLIGMYHDWCEAFSTYPRTYDLIHANGIFTLYKDRCKMEDILLEMDRILRPEGTVIFRDDVDVLLKVQRTVKGMRWKTLMANHEDSPHLREKVLFAVKRYWTAASEGSSEDGKKMDSSSEGKGSEE >Dexi1A01G0031940.1:cds pep primary_assembly:Fonio_CM05836:1A:36698111:36699338:1 gene:Dexi1A01G0031940 transcript:Dexi1A01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGSDEKDYVEPPPAPLFEAAELTSWSFYRAGIAEFVATFLFLYISILTVMGVSKSASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTLGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQQGLYMANGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSHAWNDHWIFWVGPFIGAALAAIYHVVIIRAIPFKTRD >Dexi9B01G0021740.1:cds pep primary_assembly:Fonio_CM05836:9B:16441867:16443259:-1 gene:Dexi9B01G0021740 transcript:Dexi9B01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKAAAKDAGGDKKKDAAAAGPQQPIVLKVDLHCAGCASKVRKAIKHAPGVETVSTDMAAGKVVVTGPADAAELKERVEARAKKPVQIVSSGSGQPKKDKEKDKKADAGGEKKADKEKEKGGGGDKKADKEKGGGGDKKAEKDKGADKPKEDKKPKEPKEETVTLKIRLHCEGCVDRIKRRISKIKGVKDVAIDAGKDLVKVTGTMDAAALPGYLRDKLSRPVEVVAPGKKDGGGDKKDKGGDGGDKKDGGGGGGGEEKKDKSAASASVAPMLMADAGMYQMPPHYGGYAPYPPAPGGYYGGGAAPAPHPAGFYPNASVQYPPPPAAYPYGAAHLHAPQMFSDENPNACSVM >Dexi1B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:1B:22227794:22230539:-1 gene:Dexi1B01G0015650 transcript:Dexi1B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCGEVVELGSHDELIANEDGLYKSHTQLQQTSYSSEVGKANGVSGASFDVGQSKSHNRNRRFSSASRSSLAKSLGDARHHDSTDKPNLHVPSYKRLLMLNAPEWKQALMGSFSALVRGAIQPAHVYGLGSVLSAYFLMDHAEVKKKTMTYVLFIIALAALSFVLSTVQHYSFGSMGERLTKRIRESMLKKVLTFEIGWFDRDENSTGAICSQLAKDANAVRSLVGDRMALVIQTASSTLVAWTMGLVIAWRLAAVVIVVQPVIIICFYARSALLKNMSRNSIEAQSKSSKLAADAISNLRTVTAFSSQDHILHLFEEMQKGPCKENIRQSWLAGLALGTSLFVISCVRNKRVVACVLRGVRKSVLCSVCSGVSMAHRVFSKLMVEHRITAEELFQTLIIIMMTGYLIGEAGSMTTDLAKGTDAVASVIAILDRETKIDSDNPEGYNPVKLKGEVEILDVDFAYPSRPDVIIFKGFSLDIRPSKSIALVGKNGSGKSTIIGLIERFYDPIVGAVKIDNRDTRSYSLRALRQYIGLVSQEPTLFAGTIKENIIYGTKTASEAEIESAAKSADAHDFISSLKDGYNTLCGERGFQLSGRQKQRIAIARAILKNPDILLLDEATSALDNASEKVVQKALDRVMVGRTSVVVAHRLSTIQKCDRIVVLEEGKIVEDGTHASLMSKGESGTYFGLVNLQQRKATGTEIST >Dexi1B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:1B:11502734:11505114:-1 gene:Dexi1B01G0011380 transcript:Dexi1B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLLLSLDKFLLHYLKKRLFSGPRIPTSAASKSRRSMASPAKWSETAMLIIDMQKDFVDPAMRSPMLVAGGEAVVPAVVEAVAVARKRGIFLVWDVELFRRHHYSGGKGPTVKGLKGAELADGLVIKEGEYKLEFKHQIAYDKLSLML >Dexi2B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:2B:2399032:2401158:-1 gene:Dexi2B01G0002820 transcript:Dexi2B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTARKPVLTRGISATSGINGLVAEGAEKENAEGRDEAGTDEHKSVSNAQVDLNLTMAGGLPSNHSALPPMPGHSNYGGMGRDLMIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPVSRLQPADSIEGTVIDRDGDEVDDAAQDSGARSKKPRSFNLFYINRPSSSGAGPSRNLSFDLDIDVNRFDTSNAEGPSALHNPFPKDSMRASSVIAIDTVHSGEENSMESVEYHPCDGDDVNKPSSALRSGGMSEALDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPASARDQLSLGVSGGSVGMGASHEAEIHGADISEHKTGSVVGDADPIPEVTETMGHTGESAPGPVLMDEFAPEEVGREDPHGDSQDMASRLAVRADSGSKICGSTKADSVDSGEKMSHAQKYIFIWIGHSPRSYIWLSGGTNGENDHETDLPDFDPIKHHNNYCPWVNGNVAAACCINTGSSTALAGWQLTVDAIETLQSLGQAQNQTMQSDSAASLYKDDHAPPSRKLLKRANHSKS >Dexi5A01G0033910.1:cds pep primary_assembly:Fonio_CM05836:5A:36114552:36116042:-1 gene:Dexi5A01G0033910 transcript:Dexi5A01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLAGLSRPLRARSPLPPLHPPPPNHPKPRRFPFSTQTLAPAPSPPSDAAAAKPAGLAFLEAAELHESEGDHSKALDLAIKALAPLHESHGGWSLPVARALRLAGAAASRAGLAGDGLESLVAAAEVVDYLAPARRGDPEVAAVGAAVYEQLAHAKMAVGRRWDAVGDLRRALELRTGCLDEGSAELGDAYRDVAEAYAGVLDFDKALPLCLKSLGIAEQRFGEDSAEVAKVRRLLAAVYTGLGRHVDALEQIELARMAYERLGLNVELSQVEIDGANICILLGKSEEALNDLKRVMKRADKESEERALAYVTMAKILSSQERIGDAKRCLEIARGIIDTKDSVNPERIAEAYAEISMLHESMAEFETSLSLMKKTLAILEGAKEMQHIEGSLSARMGWLLLHTKRVAEAVPYLETAVDKLKNCFGPQHFGLGFVYKHLGEAYLEMDKHETAVKFLTLAKGIIHATFGPTHDDSIDTNQSLANAYGLMGR >Dexi7B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:7B:8380906:8392246:-1 gene:Dexi7B01G0003490 transcript:Dexi7B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREATELDAEQQQQLLLRRCRRLFTAQERSFRIDRRSQAATALRAAVTDVLPRFLGSYTDDTLAEYIVILVCNGKHQYQARDDLEAFLGDDSEKFVAWLWSYLSKQAVEKADNFNLQHGMDNESENFNDKKNLVTKAHHGDAHVVNSKISAPETYHGLHKLDSTTGRNVPQRCIRSTVIISPERLGCNQCIWENQHHENGQNAPRSFSERITSAQSEELHEEHLGRNASTRSPAQSKTPSSVREDGNSCRNKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGPLSLGLHANLEEDADSRTVLVTNVHFAATKESLSMHFMKCGTVVKVNILTDAITGHPKGAAYVTFSDRESIEKAVSLSGTSFLTRVMRKAEAPAGFPGSVRQTGRPLQPWKSPQFQKVSTPKQTSGYHLQWKRDQSVLEKSPASCATN >Dexi7A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:7A:16537163:16541224:1 gene:Dexi7A01G0005320 transcript:Dexi7A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGATPASARASRGRAAKAAAGVAGPTSVICIKEAQMSLEVEDRPSVEATKQLKRQDSLYGDAEKVSNTKYHGSEGSWSRLLHLAFQSVGIIYGDVGTSPLYAISSTFPDGVKNPDDLLGVLSLILYTLILIPMVKYVFIVLYADDNGDGGTFALYSLISRHAKVRLIPNQQDEDAMVSNYGIEARSSQLRRAQWLKQKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIKEKVPSLTETQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFVLIAGIGIYNLVVHDIGVLRAFNPMYIVDYFRRNGKDGWVSLGGVILCVTGTEGMFADLSHFNIKAIQISFNTILFPSVVLCYMGQTAYLRKFPEDVADIFFRSIPGGYLPFCFSLVLMALMMTWHYVHVNKYWYELDHIVPTDEVTALLKKHDVRRIPGVGLLYSDLVQGIPLVFPRLMQKIPSVHSVFLFMSIKHLPIPHVAPVERFLFRQVGPREHRMFRCVARYGYSDMLEESGLFKGFLMERLKMFIQDEAAFETNPTTGATNTQTCSEGSACPITFDGNSDLVEKEKQLIDMEMERGVVYLMGEANVIAAPTSSIVKKIVVDYVYTFLRKNLTEGEKTLSIPKDELLKVGITYEI >Dexi1B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:1B:21530649:21531863:-1 gene:Dexi1B01G0015160 transcript:Dexi1B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGNKQIAPLALMLLAVVGMTDCIHLALAARQPGGLGDEAMKARYESWMAEHGRTYKDEAEKARRFQVFKENAEFIDRSNAVAGRKYHLATNEFTDMTHDEFMAKYTGFKPLPSGAKKVPGFKYENFTLSSDDQQEVDWRQSGAVTGVKNQGGCGCCWAFSAVGAVEGINAITTGQLVSLSEQQLLDCDTNGNNGCNGGNMDRAFNYIINDAGGLATEDSYPYTGTQGTCQLSGQPAVTINGYQGVPNGDEDALAAAVANQPVSVGVDGSTFQSYGGGVFPGEGCGTDITHAVTAVGYGVDQDGTQYWLLKNSWGTTWGEAGYMRLERGTGACGIAQDAWYPTASA >Dexi6A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:6A:25426921:25432887:-1 gene:Dexi6A01G0017570 transcript:Dexi6A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSGPSPRSTEGWLDVWMDKPYSPTGTRWIGSVARAGRNPNSSSRPACARFSCAARARVPHRGGCVAPPNLPRETLAVGGGRSPARRRRASAPRYPAGLAHAVTRPRGDFSARWPSSPHVPESVTGSASPRCRPGCDAAASDGHDTTTEGRDAATARQQDDGLVYGSGEAANMADYVYGPGRTHLFVPGPVNIPDQVIRAMNRQNEDYRSPAVPALTKLLLEDVKKIFKTTTGTPFMIPTTGTGAWESALTNTLSPGDRVVSFLIGQFSLLWIDQQQRLGFDVDVVESEWGRGADLDALESKLRADTLHTIKAIAIVHNETATGVTNNLATVRKLLDTYGHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGILCASPKALEASKTAKSVRVFFDWKDYLKSYKIGNYWPYTPSIQLLYGLRTALDLIFEEGLDNVIKRHTRLATATRLAVEAWGLKNCCQKEEWFSDTVTAIVVPPYIDSNDIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNFTPLIPSRI >Dexi7B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:7B:23647836:23649158:1 gene:Dexi7B01G0017730 transcript:Dexi7B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEKKKVEEKKVEVKKVEEKKVEVKKDEKKVEIKKDEKKDEKKVEIVKTAVYKVYIHCGKCAKDIETEFTEFQGVEEVKVDRKAGKVTVKGFGFDEKKLGAKVQKGCRKKVEFVPPAPKKDDVVTEVKSKEQELKVITVKVPLHCRDCAVKVKEILLENKSIYEAKMDHVKNTCTVEGILDEKKLVEYIYKRTRKGATVDKVDKKVIVKKETIEVKKEEKKEVKKDEKKEEKKEEKKEEKEKKEVVAPYFIPCSHPRFLDYSHPWHRHGGYYGGDWCSPCGGDYGYGSGYPYGVSYKHEELKGYRDTSFLHCTHPNEFISEENPYACSVM >Dexi8B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:8B:24347953:24348336:1 gene:Dexi8B01G0013980 transcript:Dexi8B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLMDMRPLESAILFNLALTICFCSYVVGLGPIPYILCSEMFPTKAPYCFPVMLSTIGLGGACGIYALVCCIPLVLYYYRIPETRMLSLELIADLFRVERQRYVQ >Dexi4B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:4B:4596650:4603211:-1 gene:Dexi4B01G0006570 transcript:Dexi4B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDTLDAATASESKDTAPPPATATSEAQDAPPADSASKLVRVSLVAAAGAVQVQPRAYSSQGCRQESDEGDDPIGRRATEIRARWFKDMRGWIMVLAMQVASSTYQAGLNPPGGFSGDGTPMLKSTSQNRYYYYMFFYFNTTAFVTSLAIILLLMNPSFYHSEAKVLALETIVVLDVVGLMGAYWAGTASATRDQVTKYTLALTAVVLFVVYVVYMVQLLHKLWRLATAIALRHAPPMRARAANAAPPDIGQNDFTKNLLFRKIPNLGTSDVGKISLQLPTKISWTIQELYSIGTCNFMVFNMAPIGMLPGIPRVASTWQQCLGRIWMHEKYGSGVVYYNELLKNILAEVRMKLLAAGRVDSIHLTGLVMILRLKFGIKVCCGYGGEAYNQNVNYGNGKIRPPPPPSPDAPSTNGQATDGAEEPPPPPPVDCNCEPVRVFISPVVPVAGDAAGAAAATVLPPAYTGVLHLHRRGDDDDGDTKRKKREKWLNEMRGWLIVVAVLVASVTYQAGLNPPGGFWQDSNDDGGGHRAGAPVLESNFPRRYAAFIYFNTTAFLTSLAIIVLLMNPSFYHSEPRVVALELLVVLDMVMLMGAYLAGSTRTVVTTMYTFVFAAVVLVSIYVVYTMRFISKVWCLVAGVLWARE >Dexi9B01G0048630.1:cds pep primary_assembly:Fonio_CM05836:9B:47538244:47541624:-1 gene:Dexi9B01G0048630 transcript:Dexi9B01G0048630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLGAGQEQEEFFDSREVLSPASVSSPASSGRHDDGWLFDESLLEVWVRDPCSVQERRHRFVKSLGLLDPSSNSARADEKPCSRPEATEEILPASPSAELFSAALTFASRGGEPTTSSDDGAGTEEVELECVFKNLDDGTVFVVDEMGKDGSFRSLRERRSNKTVTAAEFEQTFGSSPFIRELMRRVDDSDEPSTPEKIVMRRKRRRLGWLRRLGIGACVVDAEEDDEINSTSSSSYRSCSGKVDRVKVRPHKKRSKELSAVYKGQVIKAHEGAIVTMKFSSDGQHLATGGEDGVIRVWRVLEGERPDDCDFVDDDPSCVFFTVNENSELAPINSCEGGKGKHIKSSKGAADPACVVIPHRTFALSEDPVHEFHGHDDVILDLSWSKNRELLSASMDKTVRLWKVGCNSCLKVFSHNNYVTCIQFKPTNDDYFISGCIDGMVRIWDIPRCQVVDWADSKEIVTAVCYRPDGKGAVVGTLTGNCRYYDASENHLELESQVPLYGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGVHVVSNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPITSRLKTIWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSSRQEVSDEFRNLEASTPHCRAEDSLEGVNLYQPPSGNFTLSSAFFAESVPRGTATWPEEQLPSNSVTPSSTLRKSQYKFLKTSCQSASTHAWGQVIVTAGWDGHIRSFQNYGLPVQV >Dexi5A01G0039820.1:cds pep primary_assembly:Fonio_CM05836:5A:40232793:40233095:1 gene:Dexi5A01G0039820 transcript:Dexi5A01G0039820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEKTISMAPQTKSTTRMVALLVAALVVAAASLPAATAYGCYDDCYERCSNGKEDPACTKMCNQACGPVDLGAAAINGIATAMKPPEGAAAPAPAKA >Dexi4A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:4A:3570450:3571511:-1 gene:Dexi4A01G0005070 transcript:Dexi4A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLGLATAIAVAGEDDKHDGDGAASASHGSMIGPVVLFVLVIVATVLLVSGLLHLVVRCLRRRRRARGEGGADEAAGGGGEETALQRQLQQLFHLHDAGLDQDVIDALPVFLYREVVGPGSKEPFDCAVCLCEFAGDDRLRLLPPCGHAFHIDCIDTWLLSNSTCPLCRCALAADALLHGGEEGWEHDEDAAAVLPVRLGKFKNMSMATAAPGGFAHEGGDGIAAREAGETSSSSLDDRRCYSMGSYQYVLAEASLQVSVHRRHGEGHARGRARIRGVGANLAGGEVAAAATAGAEGNRIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDDSPAMNGRLPWQRRSPGDS >Dexi9B01G0030510.1:cds pep primary_assembly:Fonio_CM05836:9B:32987878:32990317:-1 gene:Dexi9B01G0030510 transcript:Dexi9B01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNMEKNKAAKGSQLEVNKKAMSIQCREHAEAKHPKSDVYQCFPHLKK >Dexi2B01G0030400.1:cds pep primary_assembly:Fonio_CM05836:2B:38680451:38682625:1 gene:Dexi2B01G0030400 transcript:Dexi2B01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAGSQIPSPSPGQSPCAAAAGPQRRPGRSMRTIRSALLQPDSAPGSPAPRDGHGGDDDSGDSDIENLTDSVIDFHLSELAATAGPAHPAAVAKSSSAINAAATELLDLSQDFSEYSSFNSDISGELERLAMAAAGAAPRSDAPDAAAVDLNDLESMDLSPDTAPLERVEPFVLACVQALGTDAAPDARRAAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAAAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVNAGAIVPLVHLIGERGSGTCEKAMVVLGSLAGIAEGREAVVEAGGIPALVEAIEDGPAKEKEFAVVALLQLCSESPNNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGVGCRAGSVAATSLPR >Dexi4B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:4B:3730393:3734002:1 gene:Dexi4B01G0005300 transcript:Dexi4B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPATPTGRRATARARRSPSPRPATPPPSSPSIIAGILSDLAEIGGSLRGGFSRAAAPDHHRPASSAGSLQAPSAGSRPASPPPPANTAAAQVPDGVVGAARALAARPEAWIDFPVLALDENSIISDIQRDHSESIEKLVPDLASLRTRLCPSYMDEDVFWKIYFRLLESNINEHSSEEDNRSVPNSVHHINEIESDSPPHVCEIESVKSNQEGYQSSDSLALPKTRSERSIDQWVFAKSKSEESMDQWSEIPSDVESFREGKRYISSEELSDVDSANVVVMDKYMDSLLSDRRNLPYASSSVRQDSIRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFDILDS >Dexi4A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:4A:6830506:6841102:-1 gene:Dexi4A01G0008860 transcript:Dexi4A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGSHGGADLERHVDKVQESEPPTPMSVMKMGKNRVNVEDEETLSSVAHCIEQLRQSSSSTQEKESSLKQLLDLIQTRDTAFGAVGSHSQAVPILVSLLRSGPSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKISLKNESLVDGLLTGALKNLSKNTEGFWSATVQCGGVDILVKLVSSGNTNTLANACYLLGSLMMEDSSVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESISASDPLDVEKTLLKQFKPKVPFLVQERIIEALASLYSNPALCKTLADSDAKRLLVGLITMAGTEVQDDLTKSLFALCKKECDLWQALQGREGVQLLISLLGLSSEQQHECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSAIILGNLCNHSEDIRACVESAEAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLLEMQSDKILMGASCCLAAIFLSINQNKEVAAIGRDALTPLVSLANSSVIEVAEQATRALANLFLDQELSLQVSFEEILFRVTRVLREGTIDGRTHAAAAIARLLQCRTINQPLSDSINRSGAVLALAGLLEAANGDAAAASEVLDALVLLSRSKASSGHTKAPWAVLAENPHTILPLVSCIADAAPALQDKAIEVVSRLCSDQHDVVGGLVSETPGCISSITRRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILNDSSLYIQLIHSLIGMIHMANTPAESGSSESIADIRISRHSKESNSESETVCRTAVISGNMIPLWLLAVFSRHDSKTRAEILEAGAVEMLTEKISQNAFQYVGEEDSTSWVCSLLLALLFQEREIIRSNSALHSIPVLSNVLRSDEPAYRYFAAQALSSLVCNGSRGTLLAVASSGAAIGLISLLGCADVDIADLLELSEEFMLVPNPDQIALERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCPSNMQLMAEAGILEALTKYLSLSPQDATEEATTELLGILFSSAEIRHHESALGVVNQLVAVLRLGARNSRYSAAKALESLFSADHVRNSESARQAIQPLVEILSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCCVLFANTRIRSTMAAARCVEPLVGLLVSEANPAQLFVVRALDRLLDDEQLAELVAAHGAVVPLVGLLYGKNYMLHEAVARALVKLGKDRPACKLEMVKAGVIESILDVLHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADMGPEGQYSALQVLVNILEHPECRADYNLTPHQTIEPVITLLNSSPPAVQQLAAELLSHLLLEDHLQKDTITEQAITPLIQVLSSGLPNLQQRAIKALANLAIAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALIVLESDDSTSAEAMAESGAVEALLDLLRSHQCEETAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNTPRLTK >Dexi5A01G0035550.1:cds pep primary_assembly:Fonio_CM05836:5A:37329210:37329862:1 gene:Dexi5A01G0035550 transcript:Dexi5A01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHFRRGVEFGMATFQRRHSLSCQTEEIKPLDDAHQKAAIRCREKSRRRSTSSCHPAEMPAMPEQAMEFLSRTWSPSSSDLFQILSPAASTLW >Dexi9B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:9B:8821175:8823260:-1 gene:Dexi9B01G0013040 transcript:Dexi9B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKQRWTSEEEAALRAGIARHGVGKWRTILKDPEFSSTLSSRSNVDLKDKWRNMNVIVSTSSSRDKGKNAVQRTRTTPKNNDHTVAVSTVTSDIDDGIVDEKHVASVPSETQNTSSSRDKGKNAVKRTRTTPKNNDHTVAVSTVTSDIDDGIVDEKHVPSVPSETQNTSNPKKAQLRLDNIIMEAIKSLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATSGKLIKVCLL >Dexi9A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:9A:6343351:6345527:-1 gene:Dexi9A01G0010430 transcript:Dexi9A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESLLSRQQLQQPRPQWADEITTVSEGRCDDSDADPLIRRIRSLSIAPPLLTSQSESEAESSLTDILVRKPSTSPAASGNLNPNVLAELFSMYREWQEENAKKISQKQRFNYSLHSMRSTSHNLAEESLWKDQKACDHQLNLSRLEERRQKVAGHLSQKRALNKKNKSNH >Dexi9B01G0035040.1:cds pep primary_assembly:Fonio_CM05836:9B:36815377:36815664:-1 gene:Dexi9B01G0035040 transcript:Dexi9B01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFIRHTRRGSARSRRGGPAGEALANVCARADPHRPWIKRQAAGPARTWGPCVSGTSRRPSLLPFCKERRAALTDVWAP >Dexi1B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:1B:21506791:21507375:1 gene:Dexi1B01G0015120 transcript:Dexi1B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAADTDPVIGRDDEIDRVICTLCRRTKSSAVLVGDPGVGKTAIAEGLAQRIATGTVPAPIAGARVVEIDVPAMLAGTTYRGMFEERMKGVIKEAEEAAAKVILFIDEMHTLLGAGRVKDSNMDAANMLKPALARGRIRCVHVEEPSTDATSPSCAGSSSGMRSIMT >Dexi7B01G0021210.1:cds pep primary_assembly:Fonio_CM05836:7B:26337053:26337358:1 gene:Dexi7B01G0021210 transcript:Dexi7B01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLIITSGEQRSHQRYPKKCRFNGCSKGARGVKSQVATKALRAEQHIAKLMEEGNGVKS >Dexi4A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:4A:23985533:23985851:-1 gene:Dexi4A01G0020280 transcript:Dexi4A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGTGVTFLVAGEPIAAHRCVLTTRSLVFMAELFGDTTETAEKSVVVEDTEPEVFRALVHFIYTDTSPELVGENEDDAKVMAQRTWC >Dexi1B01G0029000.1:cds pep primary_assembly:Fonio_CM05836:1B:33418966:33419241:-1 gene:Dexi1B01G0029000 transcript:Dexi1B01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRPEIEGCRWDFVPYQGIRAARDHHSNVGGRRVTGSAAILPASAFAVVPIRPRPRRNTRICCSVSTATRRSLSPPWGGVGGGGGGARG >Dexi4B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:4B:6117813:6118969:1 gene:Dexi4B01G0008520 transcript:Dexi4B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSLSLGYPNLGPKLLGIKARNDIDLAQQPSKGPPSLRVLGPIQLEVNDHPRAGGFRLGDPYPRAGGFRLLAIQARAGLAGNDAQRTTTHRSHHDAVKKQGSLLHAITYSRRGHRAILRARPNPASSTPPSKAASKETMERRTQDTTLDAVNCHEKEGRYVSPCHVVSRVGRRDSRSHMHATTNTCTRTPPPWSIKGGGKPMQRGGGNKTRREHKSQTHTDHNLGPRSSSPSPTLLVNPYHEQHVTRCIAPLLDVRPRGRNQDKTPSLTLAIRETSG >Dexi2A01G0026030.1:cds pep primary_assembly:Fonio_CM05836:2A:37610585:37612731:-1 gene:Dexi2A01G0026030 transcript:Dexi2A01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGTRYKRAGIHTTSLSSPTRSPPGLRSPSAVAMAAAAATLLLLRPSLPNPATLQLLILPPPTQLHPKTHPHPLPLVFLLPRRRRGGRITAFPSTTSSSTSGSTSTSYDAREAEAAVAELLRESGASPAYAAAIAARAPAYAAMLADGVRELDELGLWASWSSGTGALVGRGGAVEMDIGRLGFRRKVYLMGRSRRDHGVVPLLESVGVRLSTAKLIAPYVAAAGLPVLIDRVKFLKEMLFSSSDYATIIGRNAKRMMTHLSIPADDALQSTLSFFEKMEARYGGVSMLGHGDVSFPYLIESFPMLLLCSEDNHLKPLVDFLEYIGVPNPRIASVLLLFPPIIFSDIENDIKPRIHEWEKVGIEREHIGRMLLKYPWILSTGVIENYKRILLFFKRKKISISVLGIAVKSWPHILGCSTKRMNSILERFDDLGISKKMVVPVITSSPQLLLRKSYEFLQVCHYYIFIRILKLCLLKEKFF >Dexi2A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:2A:33455706:33468440:-1 gene:Dexi2A01G0021340 transcript:Dexi2A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIYVLPSFFSPVPGKWQCYTISVASPMRTGSCQADRITCQNHWAGKSSKLTKSPQAPRYRRALEDSNPGGLVPLQETLPAELELISTAKAEGALKLLGHMLRPAQQRTTGREMGQSWVDERVQPPARCRPALSLSLRPVLNPESLIYYYSLIYRFAWIFFSFETVVLADSIGVHARRNTPTRRTSFSLSHETHTAPSKQDDQRGKGLPGPPFPFRPRRRKQSSSFDANLILFADRSISPPRSRGKPRVDLILPAKTLTALVSTNQNAPSCPTYKGPGEREDISLRLTTRRWKGSGTSVPPDPRPLGSEGQTPSRVEKGGGVPETLPKLNLACEGEGVRPTPSPDRHPTSPSLLPTEKADLQPCAWEKRPRRKLPRSCRWEGEGHAATKEGRATVGGVRPSRGSLPNQYKPSVLRLLNHSPPRRTPCATRRQLARQMAGSGDHQFRLGATIRFGSLEFLVNEMPDARGGVLRLILVDNPDCPAARAGQVPCGGPAVSSAASEGAATATQAVSVVSRNSIAVSRVGRERILNPSAPDEAFGFVFVTRLQKPNDQEGEASAFPFGLRNASQTFSDSVRRQAWKGLERVHTRVRLLRYEGILRHDQSERAWTGEGSGSAHPPEDCPTRECFTVVPPDDATNGANGAEIRLTPEQIAAKQQELEDMRHAIEIEQAQLDANMEPMARVQAREVGQRIETNADGYPLFDRASQCIAAATLLSRQLPQLSTLEERRVQNGLRTLLERAVVRQAASSAGRRRQSARNSRSPSPVDRKRPADGSRRNAPNTDAAKVQDRLGPDRDVRHTIEARHRDKSEEPEDRVPRIRHRRRVAHIETEEDWDSNSDSDCPGPAAFSHEIRTLAIPPRFRFPTNFSKYVGETDPLVWLDDFRLACRVGGAFDDKVIIRNLPLYLAEPARVWLERLPNGKIRSWADLRSIFIGNFQGTCARPGKVWNLKRCRQKHGETLRDYLRRFSRQYNNLSDATDADAIAALMGGTKSRPLVNRIGRECPKMIKERRERNHGGKKKREETADEAGPSDYREKKKKKKKKERRNQNPPDPKDGCHKGRRPNEGQTPDYFEQLLEGPCPNHATPVKHKYRECGLMRKFPMGRLDGVSPTRQPQGKGTVTDSFPEPNECLMIFGGPEAQASKRRTKLMERDVFTVHPTNQEFLRWSEAAITFDRSDHTKYVPHPRKLPLVVAQIIGRKRVSKVLMDGGSGLNILYASTLDALGVPRSHVRPERAPFYGVVLGKEAVPLGQITLPVTFGDRENFRTETLCFEVVDFDSSYHAILGRPCYAKFMAVPNYVYLKLKMPRPNGVIVVGTSPQVAYKCKKESCTLAAALVASRQLAKAKAAVEASKELVVSQAAVDAMAVLPSTLEGREVRDARDDDGPARKRAMLELEAERQFHPSSDTKKQRRSSSPPPSRRGRKAHSLTASRRTSAYMPGIPWEVAEHSLDIRKGAKPVRQPTRRCNKFKRKIIIEEVAKLLDAWFIREVIDSTVGCEALCFLDVYSGYHQIALKESNQLATSFVTPYGVFCYTMMAFGLKNAGATFQRCMNQCLGDLVRRTVEVYVDDIVVKSKRADNLVQDLEATFDRLWANRVKLNPDKCVFGVSKGLLLGFVVSARGIEANPEKIAAITNMGPLSSVKDVQKLTARLGERGLPLYKLLKRDGSFEWSAEAQQAHQTTYPGAPTRGGTLALVVSAVLVVEREEEGHALKIHHPVYYISEVLTESKTRYLHIQKMIYAILIGKHKLRHYFDAHRVTVVTKHTLGEVINNREATGRIAKWSLVSNQVSAPADLEYSVLHFDGAQNRTGSGVGVVFMSPIGVMMRYAIRLHFPASNNMAEYEALLAGLRIGKELGIHRLEARGDSQLVVDQVNGDAKCHNPKLAVYCEAARRAQEKFKGLGFVHLRQEYNKAADELAKLASWRQPVLPGVFADDQHQPSVNFNDGAPSLGPNPEQLLGPEGSPDQTRVVVAIVSDPDEGPDLEEEQPLEDPPEPERDWRTPFLSRLVDGALPARRTEARRLIRRAMAYRIIEGNLYRRGHNGFLQCCILNEEGRSLLRDIHGGVCGHHAASRILLPIAVADAERILRTCEGCQFYAKKTHLRAQALQTIPITWPFAVWGLDMVGPLPRAPGGFTHMFVAVDKFTKWIEARLLTEITSEQAVRFFRDILCRFGFTGKKFTRFCNNYGIEVAWAAVAHPRTNGQVERASDMILQGLKPRIVNRLVKRIHKLGAKWVEELPSVLWSLQTTPTRGTSFSPFYMVYGSEAILPTDVDYGSPRVQAFDEEANTTNLEDAADELEEAREVAVAHSAKYQQGLRRYHVQRVRGRAFQPNIAVASPIFSPPLEGPYTVVRVLPAATLLPMTSRGGGSGYDPNPPDPTEGGSARTLVGRKAQKAETEVGLGLSAVQEELHNLKAVRPWANHSAVMPRRQRPPPNRWSPISGGTIADRKTFEATTSSCQPQAHGAASTPRRSTEDPTGGAAEALPLASSRPDKVGRDVWRSCVATAYAPAATIAWLPSRTADPANVPKRTQPGVNGRALTAPNRGEGDFTLKDSASQCHLMTELKGLEARGLGRTIGFALPASQPSSRAQGLGGSVEPSAKLKGSGARGLAKLKGSGARQNHRVCLARLTAELKGSEARQNHRVYLARLTGKLKGLEARGLDRTIGFALPTSQPKLKGSEARSVTENYQETKLTPSRRNWKADPTQGLAGV >Dexi8B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:8B:18858198:18863806:-1 gene:Dexi8B01G0010340 transcript:Dexi8B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSPAPLAVEARFADLCKELGLEEGVAVEAAALLDEVKGALLATPSVGGRSAKDAERLCFAFVLYCAVKLKGRKEGCGVRLFDILKGCKLKSDDFFQELQQLSRKIEDILESRYGQDWEGQLELKQLENLVDLLAAASRFYRRVYYKLFSSASTNQEPGLATNNLDYFLFGWHLFAMLRSRSPELFKNLVSCVHGLVAVLAILLIHVPAKSRSFTIEGSSHLNGLMWFKGLIDEESFQHNLEKLEKQCNPDSWDGELDLKLFLSDDYFPSAEGAYTKLGCSKASQKRAFEILASPTKTIKNMLTVPCSPSSPANDVSVKTVQMTPVTSAMATAKWLREVISSLPDKPSSKLQQWLSSYDNTDPRSPKRPCNESRNTGLDCNLQTPPPKQSHIVLAYLKAKCHPLQSTFASPTVSNPVGGDGNCADITVQIFFSKILKLAAIRIRNLCERVQYVEQTECVYNVFKQILDQQTALFFNRHIDQIILCCLYGVAKVRQLDLSFKEILNNYKREPQCKPEIFLSIYVGSRNRNGVLGSHHLDIIPFYNQVFVPAAKPFLVSLMDSSTCSEDKNANSMCYISQFELLFLHL >Dexi7A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:7A:25376937:25380665:1 gene:Dexi7A01G0015530 transcript:Dexi7A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGSKLDDQEAVALCRARAVLLAAAVRHRYALADAHAALADSIESVASPLHRLLRLHPPPPPDLTLPSDRKPFDPPPPPASRGHSSSHIQFTASSPGSDPPSPEPDSPPRIVSEQPPQTHYAYGYGYAPQPAYPYPAPASSLQFYYARSRPPPASVAVTHPAPEAGSLQFHYARSRPPPASVAVTQRAPGPPDRVMRFGSFDAAGGYAQHYGYGAQAPPPMAAAQRPTPATAPPSPPKPASSWDFLNVFENYDSYDYDNYYYESAAAGVTPAAAAPYTPSRSSREVREEEGIPDLEDDEEKDGVPVVKEMAGPAGSGGARSRRSSLGGVSITGELDDPGNIIAHDDVTGELRRRPPAHGNVFVHAPGPPSRRVVVDNGNVAGEMKAQLVHTAEAVRQLAPLLEVGRPSYQGRSSVYHSSSKMISAISVSQLGCKDMDLLDIGVPGKVVDSQTLSSALEKLFFWERKLYSEVKRNFVGPASGTCKDKWIYFEIPFAFSHVSYIFLSAAVFLMQAEEKMRLLIAKNSKRLKLLDRKGAEPQKIDATRNLLRKLSTKIRISVRVIAKISRKINKLRDEELWPQVNALIQGFVLMWQDKLDSYHSQSQVISEAKNLTSVMSGGNGQDLAMEFEVELIKWIISFSSWVNAQRNFVKALNGWLALCLNYEPEDNATGVPSNSPGSVGAPLVFVICNKWSQAMDRISEKDVVNAMQALLSSVRHSWEHQHLEQSEQTIAIREREKWVKTLERKTQEINKEADELNKKLALVPSRQRLHVPRTVQLYEAHCVEASNLHINLRLVLEALENFAANSLQAFQEVLKCAEGARLPRENVRREHRSSNRSSNHRTSS >Dexi3B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:3B:2952315:2953757:1 gene:Dexi3B01G0004280 transcript:Dexi3B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQNHIHGQSAANFCYQFGSDNPLLGMGIQQQFAPFTSPFGASSSTNIPHMDWNPATMLDNLTFIEDKIRQVKDVIRTMVDNGGQLPCRQGDLTQQQQVVNADLTCLIVQLISTAGSLLPSLKNSSFLSQPPAGHMDTVNHVGSSSSFIPNATTISEENKEDMCSPEDYEELFKGFTDGAMEGGIEIDNVFVEEQDAKDGDEGGDASMDGENLPPGSYELLQLEKDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASVEHELVKRYSCPFVGCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHTKKFSVMADLKTHEKHCGRDKWLCSCGTSFSRKDKLFAHVALFQGHTPALPADETKNSSDQISRVGSHQEPAKFPSSMGSSFMWGTSSSDDRGLDVKGLAGCSEDILSTGNFGSFNFSFGPADGFTGEPSGSSFSVLPPEHFQSAQKKGKN >Dexi5A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:5A:9057825:9063235:-1 gene:Dexi5A01G0012120 transcript:Dexi5A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDPSSAATAAADAPSTLYSAAPYPERLREALTALSQACDSGVSDASEAASFTVSDTLDAAAAAIAMSAEADDGSDDAVARISEELLREVHEFLARSSSKQMAMDALSLVLPKPVAKLGAQSGGCWDIATAVLKFFVTNCSPRDMLSILCEALDAPIELPNGLSSFVLLLNALAEVLTSIQRRHVEQVKVALPAVLKVMRATVSECDEEHGKAAVDLFYAAYGIGNAIQEMCKSVVNRNKEDLCAILGLYSLQNIALLSRSRQHDILSACSIVLQHFRLLKFSGFSYLGLLTGSDVTSATDKLSKEDDADFLEYFSFAMDGAALTAVWTYMHDDVSKYADEELELALKVISMVPSSQRFDILQALVNNSMSPSLVISALNLLRFILIIDARGPRSGKLFQKETLHKVHSEWLIPLRPIVAGIQSENERDDSEIANQIVCLLNPVQLVLHRCIELVEEKMKGC >Dexi4B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:4B:6123630:6134458:-1 gene:Dexi4B01G0008540 transcript:Dexi4B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSLGSARLVSVFGSLILTSEPSWLPPAHTRDSDSSLRRTARTSLFGSSLRRTSPSVSPSSASSCSALPFSPPATALSFPVHPPALDLTPIPTKPPSWIPRSGSPLLRLNQTASKRELPELAREPDRAEPTWLFCFENSRRRQPLRPTDASTIPFPCFRTPAPPSSERSLRALHSLAWKLGLASDVVLATALLTRYAKRGLVAPAQRLFDEMPRRDVVAFNAMLAALGAAGRVADARALFERMPDRTPASWNTMVTCYCKAGDLGSARLFFDASLHAGSSSVVSWNAMIDGYCKAGRMDDARELFDRMGSTLPDVVTWNTMMAGHLHGGDPAAAIAMFHRLMHIHQQQQQEHRLKPTTVTMATVVTACTQVGDFALGRQIHLQTRQLGTRIDPVLSNALMDMYFKCGSVHRALDVFRTMPCRPNLFCWNTVIAGLGMNGRGEDAVAAFHDMVEGHEVQPDAVTFVAVLSACSHSGLVRAGRKIFADMLPVHGVRPQAEHYGCVVDLLCRAGHVDEAARLVATMPGRPNAKVMGSFLLAPERQEEDVRWSEWAARRISELDLDDGAAYGLSNVYASVQRWDRVEEHRREVSAAVRHGKGLRRKQPGRAVLARLPHESNNTTAAAWHQLPPGNESALESRNRIAFEFEARIPAVPCSRSGNMPGGLTACPRNNPRFAASDGVPPEVVIVGFCGAPTTTGGLTSPYRRSSGEENSMTARSSAFVSGLDRMYKQLDRLCPVADLAPPNNPRFAASDGVPPEVVIVGFRGAPAIGGLTGPYRRSFGEENSMTARSSAFCPLESCLFSSRLPPGRSADLASVAAAEYPFSLPLRLQMMSVLITTFVAFGRLSAAARRKQPFPQPVTLSTERSVSFPLQNQALGTVAPRRVANPHVLSLALVSSPRHPNGLKQQSTRVLRFSRDMLCSDAVAVRSRSWAWSQRERAMCKARSSSDSDALASPRPAAAPSSRRSSSSSAKRRPAAAAGVSTSSPSTSSYSGAAPSGTGTGTGTASAQRRGTTSSSSTSSASSSRASLAAARASLPDPPVLYPFQELAAATNSFLAKRAGGSGSSSSAAYWRCSIRGRDAALFQLHPRPGAAAVDAAALARIGRYHHTSLARLLGACPAGAHLYLAYELPPGAATLAACLRSPRNPSFTALRTWVSRVQVAADVAQGLEYVHHHAGAVHGRVSPSAVIVSDPGLRARLTHFGAAEFAAPADAGESPYATPGSSEPSREADVYAFGVLLLELLSGEEPARYRFDRGTKEFQRVSVLETAAAASAGGSVRNWVDRRLGDSFPVAAAERLVAVALRCAAAEDRPDMTWVAGKVSKVYLESRAWEQKVQVPDEFSVSVAPR >Dexi9A01G0041860.1:cds pep primary_assembly:Fonio_CM05836:9A:45426706:45429007:-1 gene:Dexi9A01G0041860 transcript:Dexi9A01G0041860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTVSESDISVHSTFASRYVRASLPRQVATILPLYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPVDKPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEVKNRETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGIEKTGRFNIVSKDHGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSKLPPPPPPAPLVKKKSELEMQKSVTEAWKKFVLAKKTNGVC >Dexi4A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:4A:5263231:5263587:1 gene:Dexi4A01G0007150 transcript:Dexi4A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTAAARLALAVALGCAYILAPILESLDGDGVSPCRRSPVLDAAASVVLVTLPITYLLGVVLVYLHVTPAPPPLPQGVSRRLAGLASALVAVLAVALVAFCLLRAGVSPPGCAGQ >Dexi1A01G0030440.1:cds pep primary_assembly:Fonio_CM05836:1A:35748703:35755679:1 gene:Dexi1A01G0030440 transcript:Dexi1A01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLQDGELVHGENFSLFAAMSALEIMDPKMDSGIERSQYNSIEEAIEDGVAPIPLSMDRTLDVQCSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRILRATCNAIISVVSTARTHEEEDLFTMSFGLPLRDEGDDKCLSILNSVEETISRQLRACKAQAFSKKKTPEDLESLQNNPDMEEDYCRAMLCRLRFRKHFYHVVMSMRKPHGRGLELARKHVASCLTELSLMLKSREFLKSQSNITLQDDENCTTASGCQPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLHDLDVICALSLDPVLENSLNSSTISHSMLLSSINNYPKISLTQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLELALKREFGETRNVLHHENMCMRVSKQLLIWTQEQAYWVASRFLTLGFELDLYSPGEYCMVYWYMYVVFTKLIEKMQLRVLSSSETSRRKGKKKKDHSKDSVRDTTFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSFQLPSIFNSEQERFMQHFDLLQKARVPEHISYYSFKESSSQAGITDLMKYNFFREIQKIIPSLRGSFASQPEKLAELRQIEQVAEHNRIALNIISQVGPDDPSMRVSFEFTHHPHFAVAVVKRS >Dexi7B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:7B:25350594:25351031:1 gene:Dexi7B01G0019910 transcript:Dexi7B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFLDERMDWSPGLGSTGAPTTVEQKLVAHTSGRYRSSPGGEEDEEKAGKVPCLSSTTDAFRPQAGGADVGEAAHGCGARHASPTAAASLPAKEDLGAGIEPAASRNRFLNGRMDGWTDWFLGRAQERQKRSQKEEGNHGEKKC >Dexi2A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:2A:2587382:2587716:-1 gene:Dexi2A01G0003030 transcript:Dexi2A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSAAAVAATILLLLVVAAGTSRWRPLVRKNTCRHLSGKYRGWCVNDQSCTAVCKDESSDNIGGVCDDTPDRCYCITNC >Dexi3B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:3B:2209515:2212440:1 gene:Dexi3B01G0003420 transcript:Dexi3B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESVGRDERWKLPGKVLEHSEVLWVFKIYQTHGSPLTPNAVEMAAAAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYNNTLATLKFAVTIFLLGKVYIDTVGDPEKYRIKLAEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGDPDTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWETDELDEDATNSGTAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARKLELVRKF >Dexi5A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:5A:8705741:8711229:1 gene:Dexi5A01G0011590 transcript:Dexi5A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAAARVRYEPGQAFHEVKEEAMLDISPTESTEFWLIQWPKDQLDVSDFHGKELSLKLHKDGNLGSLESSSGKSYELVSFAAQQPDATVFQPSGSEIKPGMVEFQLIALIVWIYSILLPLGKISRRVCLARYPEPGELAKPTFGALTPSSKISAVSSRKTKSRFTSASKNRSSQGSALSLGQWSAEPTPKHKQKRKDGSGLGPSNMSGKASEGSQARGGESNAASEMPQSSSEKSKKKKKARTRQHRINRGAMADRLSSLPDDLLHSILRDLPFKYAVRTSALSRRWASQWLDALAASPVLDFTDRDFARGQPPARAAATVGRCLRLHAEHGAPLDAFRVALVAPSSGDGGAFGRDVVGWVAAAVARGARELEVDLTPSQEEENAAPHGDRGSAAFLELPGDLFQTGNSLERLAFGRLSLRAVPLPAAGLAGLRSLSLSHADVTDEALRVLLANCRALESLSLRCCSLLTSVSVASERLRVLELLGCRAVGELRVAAPALESFTLYGNVILSAADWAEEAIAVDFGAVPVLRDVYLSHMDCCGYLDFNHDHYYPFVYYVPHARILTLCSIGLLTPTLSWDDDDDRFDHLPYVQVNMGNASYIDMPNLQELQLLVSSLDEEGDADPRHPERVYYFFHHTSFPVLKRLFIRFPSNPTNGSCSATAPIDGEDDEDVEFGYKIVLGQLTFIKVVNFRGTSWREWRLVGFLLKRAPILEQLVLVTVGGDGAPGDEQLEVIQERALVLRKASRDARISVCRPSEDDSPNHAHTRFFHEEFCCVHQE >Dexi2A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:2A:10945455:10948657:1 gene:Dexi2A01G0009920 transcript:Dexi2A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSLSLGMPELRTGSENRSGKQVMDKQFLEKVEAVRRSALEKKKGEEKKDYQAIDYDAPIDSDKSTIGFGTRVGIGIAVVVFGLVFAFGDFLPYGSVSPSKESSVVKQKLSQEEEAKFKDALQGFEATLSKSPDDPTALEGAAVSLVELGEYEKASMFLEKLVKVIPDKAEAYRLLGEVKFELKDYEGSSSSYKSALSSSDNIDFEVLRGLTNALLAAKKPDQVCKMLRLEKKMQHGQP >Dexi1B01G0029200.1:cds pep primary_assembly:Fonio_CM05836:1B:33578713:33579168:-1 gene:Dexi1B01G0029200 transcript:Dexi1B01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGACRAMLVGPWVLGDGGCFTDDPLVPRRLLALAAAALSCRAQAARKGSDHWSNEAARGGLFMEWNGMESKRRRNAGVLIPSAPRGCERDGWWEAAAASTSSGGRRRRRRRLVLRCSIESRWIKETAGGWGVDYGTVALARVLLLVVK >DexiUA01G0001680.1:cds pep primary_assembly:Fonio_CM05836:UA:4614294:4615024:1 gene:DexiUA01G0001680 transcript:DexiUA01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKCMFAVLLILATVATTLYPSSAARVQLAEDPAAPATPQPPAFWRPRVPLPSLPCIPGLPRPWFLPPCDASSSAAAPASPSPPATSTPPTPAECHTSLSGMATSCEGFLTANATDVSPPAAACCDAVKSLVQGAPVCLCHVYNGDLAKIMPAAAHVRLLRAVALPRVCRVQMPFGTLRTCIRGPVPPMDAPAPPS >Dexi3B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:3B:13862229:13865233:1 gene:Dexi3B01G0018640 transcript:Dexi3B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVTRYLDLFTDYISLYNTVMKVVFITSSAAIVWYMRRHPQVRRTYDKEQDTFRHAVLVAAAFLLALIFNERFTIREICWAFSIYLEAVAILPQAYRAFYILNWIYRYFTEGHHSRWIPWLAGLVQTALYADFFYYYFLSWKNNVKLELPA >Dexi5A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:5A:1552909:1554523:-1 gene:Dexi5A01G0002200 transcript:Dexi5A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVSYPEGWELIERTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSQYIYDVYYRRKEISQKLYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCRGCASGD >Dexi1B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:1B:15459158:15459415:-1 gene:Dexi1B01G0012460 transcript:Dexi1B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLRRSMSSSWMQSANGVRGLELLGIAEGGRAAGLQLLYVRRRFTTETPTYATRECAATSGVTSSGTVRRPSTRPRCSGRSSS >Dexi9A01G0036260.1:cds pep primary_assembly:Fonio_CM05836:9A:40765147:40767478:-1 gene:Dexi9A01G0036260 transcript:Dexi9A01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFVIGIIGNVISILVFASPIKTFRRIVRNKSTEDFRWLPYATTLLSTSLWTFYGLLKPGGLLIVTVNGAGAALEATYVTLFLIYAPKETKAKIVKLVLAVNVGFLAAVVLVTLVALHGGVRLVVVGVLCAALTIGMYAAPLGAMRTVIKTRSVEYMPFSLSFFLFLNGSVWSAYSVLVKDLYIGIPNAIGFVLGTAQLVLYMAYRKAEPASRKDGDSEEEEEADEEEGLAHLMTGQVELAQRRAPLHKGLSLPKPSGASPRNGLGSIIKSISATPVELHSVLHQHGHGHGRFEPVKKEDAEAN >Dexi3A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:3A:2015439:2019878:-1 gene:Dexi3A01G0003050 transcript:Dexi3A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPALGAADWSELPADILGIVDGKLKFSDLFRSAAVCSSWRSAARSLRRHGLYTRPQTPCLLYSTAAAGSRAVELYSLADKSTYTIPLPDPPIADRTIVGSYHGWLVTADTRSELHLLNPATGEQLALPSVSTIEQVNPVLDEAGNLQRYDLSFYDAEIPRKEYQPPQPFLLEDLRRYLYFKVVLSGDPSRGAQCTAACKSASIGSVRPDRGRGMARSSSGMPRMESIAARFRHWHHDPAIRYALMSDDAKKTVETPPQSPKNPLKGDGAGGSGETVRIVREIGGGLANWPMLTKTNYTQWALVMKLKMQARNLWDAIEPGGVSLAVPTEMVASLASKDSALAAWNAVKDRCVGNDQVQKAKAQRLHRQFENIRFADGEGVDDFKLRLQNIVAALETVGEAMSEQRVVEKLLRVVPKSLNQVAVAIQDASGRLRAAQECDAEDDAPPPRADGKLYLTKQQWEAQSRNKQRGQGSSAGSKFGKPRGRARRAPCGGGGGSSSTPGQKIGARECPTKPKNQAARLAQAEEDEPTLLMAKVSSIQISPATTNAVNTPPLAIRPSVVGAAGDADKELPPAFRPSVVGAPGDAVKSPPPATRPSVVGAPGDAVFAHLNGDGAKDDTLWYLDTGATNHMSGSRAAFSELDGRVVGTVRFGDGSVVNIEGRGTVLFACKNGKHKQLDGVYYIPRLDTNLISIHIEHGLMRIRDEQKRLLARVRRSSNLLYKMHLNIARPVCLTANRTDEAWRWHQWFGHISFQASRKLQAGDMVRGLPHVVHVDQVCESCLAGKQRRRPFPAQARRRADGVLDLVHGDICGPITPATPNDMSRFMWLCLLASKDQAPAAIRRFKVAAEVESGRKLKNGTVVGMARSMMKAKGLPGLFWGEAVNTAVYILNRSSTRILDGKTPCEAWHGERPAPHLKKLENRSTPMIFIGYETGSKAYRVYNPVDGRVRATRDVVFDEDAQWDWGVGADGEDGADKELFTVEFPVVTERIVEGEPAGRSSATVSSPSAITTPSTVGAPTMPGALTTPDVPTMPSGAQEWAEPVEFATPPGYLDDKALDINHDDDVPLRFRTLENLLGSDTPPGQATRVFNNPVFDNEVLMFASTEEPVSFKEAEKEECWRRAMEAEMEAIEENKT >Dexi2A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:2A:31064970:31067939:1 gene:Dexi2A01G0018930 transcript:Dexi2A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAMSLYTSPPGAVYSSEFDPSSRSSSACTTAAPPPAAASHRLPAAGGGLSCLFSSPAAAAAPPRAPAHDELGALWHDRSDDLSVGGGGGYSYSNSHSSSSLKWRDLHHHHHSPVSVFQGPSSSSPSRSPPASWLAGRDRDRLFAGFVRNALGSCVDYAPASSPRPEVGAGELAFELDENLAEASPACEPYARELLVSAQDRHRIFHEEVVVKAFFEAEKAHRGQKRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMETLEALPLTKQHRFAKETKEIFVPLANRLGIASWKDQLENLCFKYLNPEEHKELSSKLTESFDEELITSTVDKLDKDLRDAGVSYHNLSGRHKSLYSIHSKMLKKNLTMEEIHDIHGLRLVVEKEEDCYQALTVVHKLWRPVTGRFKDYISRPKLNGYRSLHTVVMSDGIHPFEVQIRTKEMHMQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMSKEQPSSLGTSDTVTPPCPFPLHSEDCPYSYTRQCNHDGPIFVILLEHDKMSVQEFPANSTVMDLMDRVGANSPRWSPYSIPMKEDLRPRVNHEPISDLDRKLSMGDVVELTPALPHKSLSGYREEIQRMYDRGGFAFSTRGGGSRRC >Dexi2A01G0035300.1:cds pep primary_assembly:Fonio_CM05836:2A:44968381:44971980:1 gene:Dexi2A01G0035300 transcript:Dexi2A01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKLSSALFAGTHFDRKRFAADFARFHQGPTPSPAAPSAPSPEKKRKRRSGKAKAKKNKKKRAGEAAASSSDVVEGFNVFKGLEGKNDELRSEKVEIVKNEDSVAVKRRKEIEREIERAAILRKRFDIHIAGQNVPAPLESFEELISRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPLLMKIKPGSKGGVKAVILCPTRELAAQTVRECKKLAKGRKYYIKLMTKDLSKSGNFKDMHCDILVSTPLRLHHAVKKRHLDLSSAEYLVLDESDKLFELGFVEVIDSVVEACSNPSIIRSLFSATLPDTIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTERGKLLALRQSFQESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLNEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESAAAYIHRIGRCGRAGRSGEAITFFTEEDKPFLRNIANVLVSSGCEVPSWIMALPKLKRKKHRVDRDPISTLPNED >Dexi1A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:1A:1924731:1925087:-1 gene:Dexi1A01G0002710 transcript:Dexi1A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRKEAMAALHLLLTGEEEPAEVGNKVKKVLKKSVTVDRINSMRANPCSTFQELSPEVLNSQSQEFRVTYNQSRIFDQKVRAYEQALIQQYDSHGYAEDEIEVADCSDDEIEVTVD >Dexi2A01G0033100.1:cds pep primary_assembly:Fonio_CM05836:2A:43400096:43401197:1 gene:Dexi2A01G0033100 transcript:Dexi2A01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIINWMQNRLHGKQDKRRSEAGVVNNSARDVPVRESCRHEHTREDKNPNADWPQGLLSIGTLGDEPPPPPADGGPRSSQAAADVPDFTIEEVKKLQDALNKLLRRAKSKSSSSRGSGATDEDRASSQLPLDRFLNCPSSLEVDRRISLRHAAGDGGENGEFSPDTQIILSKARDLLVNSNGAAIKQKSFKFLLKKMFACRGGFAPAPSLKDPVESRMEKLFRTMLQKKMSARPSNANAASSRKYYLEDKPSGRMMIRDRRHDEEDDDKGSDSFKWDKTDTDCKKT >Dexi6A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:6A:7208478:7208672:1 gene:Dexi6A01G0007260 transcript:Dexi6A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWWPELLFAPATPAAMKISEDRPDVLPPGSPFDTEFNPARVRIFIDDSGIVKYVPIIG >Dexi5A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:5A:7003417:7004124:1 gene:Dexi5A01G0009300 transcript:Dexi5A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRISSVFTVLLAFLVADAKGRHHAYDCPSFACGHLRDVSYPFRRQGDPHGCGAQSCELVCTDAKATIGIGSGTYDVVSINYADSTFWVIEADWGMQSSCLLPRWDRNASESIYELAERSYYRVELAPSFSVTWATFANCSRPIENNGMYKPVACLSTNSSFIYVITDYSSYCAEMFEPSCGYLAMTPLGGPGMVVPDNASYPDVVKFMRKGFALQFPFTGFEDIRECLADYMR >Dexi5B01G0022420.1:cds pep primary_assembly:Fonio_CM05836:5B:24708499:24713607:-1 gene:Dexi5B01G0022420 transcript:Dexi5B01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRRGLAAQLTEGAQLNHQAPADRPNPPKLALGRILACLPDSNSTCSKQSHKQKKMGHDEALVTQNSVKAPSPPKDQPAIYPCLDWSAMQAYYGTGVLPPTFFSPGIASGHVPPPYMWGPQNMPPAAFGKPPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKRLKEIDGTAVSTGSGNSEKTSGDYSLEGSSDENNQKVSGTPKKRSLDDRATSGAETCGASAPNNKSGEAGRLATLSNVRIPDTAIKPCVSTGSDFKVSGAPSNEWQAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRGEINRLTESSQKLRMENSALMEKLADGASDAAQEAATDNKAAAAPPPARVVKNFLSMMDGEGASKGSSNSSRRMEHGAPRLRQLLGSGPLAADAVAAN >Dexi3B01G0023390.1:cds pep primary_assembly:Fonio_CM05836:3B:18173815:18177475:-1 gene:Dexi3B01G0023390 transcript:Dexi3B01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHRNQLSLAMFFVLTSRRLTMRPLSTLSSSLSASRDSSGL >Dexi9B01G0024390.1:cds pep primary_assembly:Fonio_CM05836:9B:20403588:20404541:1 gene:Dexi9B01G0024390 transcript:Dexi9B01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSEIILGTPMFRIYKDLHVDRLVGTDTVPAGFDAATAVASKDVAIGGDAGFSVRLYLPNRTANQTDGQTKKRLRVLIYFHGGGFVAESAASPTYHGFLNSLAARAGMLVVSVNYRLAPEHPLPVAYEDSLRALEWAVSAGNDPWLSEHGDLGRVFLVGDSAGGNIVHNVAMMAASSADVAARIEGAVLLHAMFWGREPIAGESLEAAEMIDRLWSIVCPEATGGLDDPRLNPMAAAAPSLRGMPCRMLLVCESDGDFFRPRVRAYYEAVVASGWGGEVEWFESMGKDHVFFLSELGCHQAVALMDRLVSFFAGN >Dexi5A01G0027300.1:cds pep primary_assembly:Fonio_CM05836:5A:30799496:30804237:-1 gene:Dexi5A01G0027300 transcript:Dexi5A01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVASSGGMGQVAGSDARARIRGARGGGGVEKVAGSDARAQLLWDTVVFMSDGEVLLWNADLWLLIEVQWGLASLNWFGCTVNRRQEEHIDSFKTEGLIPRNSNKPQEDGDATPSGARRLLRDLSPRAGGEERGEAAGGEEEETLRLAPPSHRSRSLIPRREHRGSTSSASRRRRIAAEARILATTSSAYTGSRSGGFMARWPRTTAMGREQGGLRRERRRQSSARHRRRPGERKRRDGDGESEKPPGFEKYLGVVGKKRK >Dexi1A01G0029680.1:cds pep primary_assembly:Fonio_CM05836:1A:35158716:35159241:-1 gene:Dexi1A01G0029680 transcript:Dexi1A01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGEASSAAAGNGNGVVRKTPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYLRRAVAAAVAAKDEAAGVAAAAAEGDGEREEGPAVAPVVVFVNSRSGGRHGPELKVRLHELITEDQVASK >Dexi5A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:5A:23895690:23897410:1 gene:Dexi5A01G0020020 transcript:Dexi5A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSQPQAALRDLASKHGPVMYLRLGQVDTVVISSPAAAEEVLRANDLSFASRPSLLGGELCALELLCGFKVRQLAPTRDRETMSLVREVVRRCSGEGPVVVNLGELLVSCASSITGLAAFGDRCSGDLMEQFLSAISVVIFNISGFCVSDLFPSLWISGGTGTVSSTAEWVMAELMKNPDAMVKAQNEVRRAFKNIGPHDHESQMEELYYTRMVIKETLRLHPPLPLLLPRVCRETCNVGPFEVAKGSRVIINSWAIARSPEHWDDAEKFKPERFENSMADFKGTHFEYLPFGYGRRMCPGSGFGISVLELMVARLLYYFDWSLPDGMRPEELDMDMTVGASAKRTNQLHLVASPYEVLMDL >Dexi2B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:2B:13469309:13469596:1 gene:Dexi2B01G0011820 transcript:Dexi2B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWAASASCSAPVAVLLASTSYYLHQPPADISLVLTVDRRGCANFTSLQKAVVAVDAGVYVDASYIDGSQWAVRPLLPPMPSISAEHASTMAGIM >Dexi2A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:2A:516227:516578:1 gene:Dexi2A01G0000880 transcript:Dexi2A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIGLCPTRALLGSDRVGFFRAGRVRLFGSPPPQRRSRCAVDSVRNTQRQPALPFLRALNAFSRGVGFFHLPSFLLISFLPFLLVKPNQTTPRLSSPLAGIPTPRP >Dexi9B01G0049270.1:cds pep primary_assembly:Fonio_CM05836:9B:48006803:48009134:1 gene:Dexi9B01G0049270 transcript:Dexi9B01G0049270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGAWTPTAELPLHQDEEHGSCAVAANKSTTTQDGSVDWSGKPSNQALVTFSVNCVGTNLVTFMSVVMRLDNADAANKANNWNGTTYVFSIARHEHCQPPTRAQSLVLYISIYQIALGNGAYQPAMTTLGADQFDETDAGERKSKSAFFGYFFVANNLGGVLAVTAVAYIEDRGQWALAFWISTSAALAAVLLFSVGTLRYRHFLPAGNAVVSVCQVIVAATRKRRVRVKAPQDLYEKADGGGARKQMVHTPEFRCLDKAAAVVTAAADDHHQGPWRLCTVTQVEELKCILRLAPLWLCSILFSTSFSQMASVFIEQAQAMDGSLGKLQIPAAGMGVFEILGVTAFVFIYSFCIVKVMSRVWREPTELERMGIGLVISTLAMLTAGMVEQQRLRQAITTTTTTHKEPTSSLSILWQIPQYVLIGASEVFMYVTMTEFFNDQLPEGLRSLGSAMSVASMSAGNFVSSLLVTVVMATTTKGGRAGWIPPQDLNRGHVDRFFFVIAALNALDLLAYIVFAKRYRPAPMLKPLLVVGESPVPNLIGDPRDETLINSEN >Dexi9B01G0046240.1:cds pep primary_assembly:Fonio_CM05836:9B:45535034:45537055:1 gene:Dexi9B01G0046240 transcript:Dexi9B01G0046240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANSTTFSGDVWAEFRLADARDVPHLHSLIHQMVELEGITDIFPATEELLASTLFPSPARPPFTSFTALILDLSPFPVVQDSSSTIASRRLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAAWRRRGLGRMMLSAVAGRAAELGMGRVEWCVLDWNKNAIDFYEAMGAVVLPQWLVCRLSGAALDKYKGNQEEAPLARASTEIKQAHSSLRGLVMEQDGALKKKATEEAQALKMDEATGTWAQAKWASIKSKARDAREYAVALTRQKFAMFGEANKVEPDHKGEGASKDESSWTAAS >Dexi7A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:7A:27179974:27180843:-1 gene:Dexi7A01G0017520 transcript:Dexi7A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGSADDNPFSNGGGGGAKQQYGYRSTETVGFGGVGRGDAVVDVPLDTMGDSKGKARELSSWESDLKRREADIKRREEALKNAGVPMEEKNWPPFFPLIHHDIANEIPANV >Dexi4B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:4B:5737924:5738128:-1 gene:Dexi4B01G0007910 transcript:Dexi4B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAWWWSRLVGPGWGRGEHINGGQWLGAAPGLWRLPQEGGAPWRLARRGRVGQEEPEI >Dexi9B01G0034470.1:cds pep primary_assembly:Fonio_CM05836:9B:36422288:36423459:-1 gene:Dexi9B01G0034470 transcript:Dexi9B01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAGEVVFHVLEDEVEAAGHAGGDETVKLDDVGVVEAAEDEDLARHEADALGLKIESARRGVQPSMASPATAESQAGQPAATCSPRALFAKLPPGAGRDKRERLSRRAGFSAGAAGCSGFPVAAASCGGAGAGSGGCGGGRSGLDAEGRP >Dexi4A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:4A:22536715:22538555:1 gene:Dexi4A01G0018550 transcript:Dexi4A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHKPRLPPGPWRLPVIGHLHHLAKTPLIHRALADLARRCNAPVMYLRLGELDAVVVSSAAAAGEVMRTHDVAMATRPMSATVRATAAGGLGIAFSPYGERWRELRKLSAMELLSASRVRSFRAVREDEATSLVAGIAAAACPPGGELVNVTARVAASVSDSALRAMMGERFERREELLETITQVLKIGTGFNMGDLFPSSRLVAAIDGTVRIAQAMQRKLFQLVDYAIEQHRERRSGPSAATDAEEDEHLLDVLLRIHVEGGLGCSLHVGDMKSIIVDLFIGGNEATSTTIQWAMAELMRNPNVMQRAKDELNCVLRDKSRVTEDDLVNLPYLKLIVKETLRLHIPGPLLLPRECQEPCKILGYDVLKGTIVLVNAWAICRDPKYWDEPEVFKPERFEEGATDFMGTDFHYTPFGAGRRICPGIAFALANVELVLAALLFHFDWHLPPGVTPSELDMAEEMGVTVRRKRDLCLHATVRVSLEATS >Dexi3A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:3A:12648339:12660946:1 gene:Dexi3A01G0016700 transcript:Dexi3A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSASNLVPGVRVFISHFVNDASPVPPLPRLPRLSRRRNRCFSPAPAPAPAAATASRCSRVILVKERAAAQEYLSTYLGLGIMDLFAIDSDSESYTGTSDSEDQEECQLGRVVGVAMFVDLETSSGDLIKDVNSKKLSRTLVPVSTLGDYDFWPGQFVLEKLAVEDSARYQRTGIVRDVDALERTVHVTWISPAGSDTSSYGSDPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIDKSEADLTNGPLASSESLVTHSDFLSCIGNVLGYKDDGIEVQWANGVISKVHHFEIIGLDKLLDGSLESMNEEQATGDSVDMTEPEEMQHDNANIVLESTEDCTGSLCKVTAFLFPRTAFDFLTNVAASLFGTHGSRSPSSVMVDPRYQIVKMAEMQTSAEELPEEQIVTRGWVKKVQQEWTILQNDLPDGIHVRVFEERMDLLRSCIVGADGTPYHDNLFFFDIFFPPDYPHEPPAVHYHSGGLRLNPNLYESGKALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYILHKPPKHFEKLVKEHFTCRAPHILDACEAYLGGDLSVYAALINPVPHPSRRNPNGRGRRRRSAAIALLHPPMATSASKSYLCAGSSSFDDPDVVEVTPAAAAAGGWASGHHKRKRSQIQSSLVDEIPGPSTYVSKYANPWVDLKMFHDDAFYNYSDDFPYEGFDEDYVYDEDEFEDDGYDASPFENDYNYSLSSKFDNLGIPPGVEVSLPWMQKAAIEIGNKSKPTKIMDDKIQEKYKAFKQFDTVDDHNTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPNVPPKVNYRAGGLRLNPNLYACGKVLVSIQALVLNAKPYFNEPGYAMQAKTPHGEKKSLSYNEDTFLLSCRTMLYSLRNPPKNFEDFVAGHFRKYGRNILVACRAYLDGAQVGCLAGDGVQDVDEGDKSCSVRFKQSLKRLFEELQMEFIAKGADCDKFVNEKARSGASTAAADTTLRL >Dexi4B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:4B:20192941:20200691:1 gene:Dexi4B01G0017790 transcript:Dexi4B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERGGGGVAVELMAAGGGGGGRPISMWRTPTPYLFLGFAFMMGLIAVALLVLICTRRKPTTGRRGPEDLEASARGRLAPLDREEPKFVVIMAGDALPSFLASARPLATTATATEAPQTGGAAAAAAAAGERRRRRGLIAAGGGGWPPSPWRTPTPYLFLALTAMMAAIVVALLVLVCTRRKTGQPSSSSSRRQPETEPDGGEKVASVPMLVPLDREEPKVVVIMAGERAPSFLATAKPLAVAFNGIAAAEADGAAAAVCVEHLYLALVVDGRLRRPSPPRSPPDLVGAGALAVHGSLHSEVHGCRRRRSKHGAREEVYERWGMGQEHGGTPHLPARDGELDR >Dexi6B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:6B:416486:418420:-1 gene:Dexi6B01G0000610 transcript:Dexi6B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKEMEKAKSGLRLVMEELCLCSPGDGEEEQVQVKVQEQPRSSIMDLLSVSKQLLHVLDEIGPTLLVLRQDIQQNIQRLQDLHERDSSKYSSLTAIVTEEVEQGTAKKTKSCTRAIIWLSRYPEFWSISFSKCLLERLLKAPESNLEEIVEEAYSSTLKPWHGWISSAAYKVAMKLIPEREVLIAVLMGNCQDFEDLADDAKLLTYAVQPMLEEINAILVRAKHNLDKLKSS >Dexi2B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:2B:29926789:29927709:1 gene:Dexi2B01G0019750 transcript:Dexi2B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAAVLAVAALASPFVRLVAVAVAESGAPDWHVGSVSSLRPSTVCTAVRPAGSSTYSSALKVVHRHGPCSPLLSRGAAPSPVEILHRDRDRANSIHRKITAKNAAQQASNKGMHYLPAHWATASLGTNNYVVTVGLGTPARYFTVEFDTGSDLSWVQCSPCTRSRCYKQKDPLFNPSTSPTYSTVPCGARECREIDDSQGRRCSSGSNSSSSRCPFEAVYADGSQIDGVLARDTLTLTTSPSDTIPGFVFGCGHNNSGFFGTEDGLIGLGRKSLSLSSQAASARSSSYGPSFSYCLPSLSSGEG >Dexi2B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:2B:27651437:27654837:1 gene:Dexi2B01G0017230 transcript:Dexi2B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKTPSITAETINPKVKIFNYEPCGEIVRYAEGVKSLRQAVADGISARDGYPSDPDDIFLTDGASSAVNMMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVAYNLTEDRDWSLEIFEVKRCLEEARSAGLTVRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVLLADEVYQDNVHVENKKFHSFKKVARSLGYDETDLSLVSFHSASMGFYGESGRRGGYMEITGFGHDVKDQIYKVASVTICPNIAGQILMSLVMDPPKLGDESFEIFESEKEKIHSSFWKRAKTLEKAFSSLEGVSCNNIEGALYLFPRLHLPSLAIKAAEAEGVSPDVFYTHRLLDATGIAVVPGSGFHQVKGTIHIRCTILPDEDKIAAMIPRLKAFHESFMNKYRGSEPYMKDLRR >Dexi1B01G0022360.1:cds pep primary_assembly:Fonio_CM05836:1B:28088667:28093437:1 gene:Dexi1B01G0022360 transcript:Dexi1B01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRDAHHRRATTSHGYVLAEKSSSPGGGKNNSSPATSSSNASSLASAPPAEPSNKRHRSHRHRIIGFVVGSVAGVISGLVLCVLFRLALNCIRGRYRTRSGMVIFTPKLIRRAEHLAFLENVDGLADEESRQLVKWSR >Dexi6A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:6A:23947594:23950326:1 gene:Dexi6A01G0016080 transcript:Dexi6A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWFNSIHGQYRTSGLPCHSSLLQSATSNSLRIIRSISLENTHRFRNLHVSYAVGDSSKNVIINGKSNPSNTVQADAVALGTIAADMAPVVDGFSADDDELDLDCPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEEDLERLQLPLMVTAKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTILALASPNSKPGDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDDDGSMALLPKLQQFAKKENLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFKAYCYRSLIDGMEHIAMVKGDVGDGHDILVRVHSECLTGDIFGSARCDCGDQLALAMTMIEKTGRGVVVYLRGHEGRGIGLSHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPRGHTSGSGMTDGGAKEEEEEEHTPEA >DexiUA01G0024620.1:cds pep primary_assembly:Fonio_CM05836:UA:51148541:51149833:1 gene:DexiUA01G0024620 transcript:DexiUA01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSGPGREVAHNRSISEPDFGRTPQKAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPLPPPPIKPSFQNTIPESNLNGPPVSGGYTANGFAEARTLNPSEPSSGMPPIPPTQNQFSARGRMGVRSRYVDTFNKGGGGGANAFGAGTMYSKAAAPSVSPLLGAKFFVPTPAAAATEQMADTAADAHSDTAQQDEPSSSPGLEAAFSSSAPKSMIQRYPSGDNIQRYPSMDNIMGPSESAGNSMSRSRASSWSGTYPEQQLGSAAVSRSPDGQIMRSPMMPGAKRAPHSRSSSNSSLHQLNGLGEDLHEVEL >Dexi8B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:8B:27717907:27720069:1 gene:Dexi8B01G0016540 transcript:Dexi8B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPDGEGLFVDPEPFSPSIFLDLPSTPRPDGSGQEPASSDDLVLPFISRMLMEDFNDEFFYQFPDHPTLLQAQEPYAQILYDSTTTTAGSSSSGTNSSASGSAAALSPSSSDDPSQPYPNAGLHDSTAGDVGAFFLPAQDGTILGFEQSPAQLGNVGDVNAFVAGQHGGSTSTQSSASLEDGKASRPEQAAAEGEHGASSVFFSGQNNRVNMDMLNQAFLKGMEEAKKLLPTNNNLLMNSAFATTGEEEEQARGNGRVRKNRVNWDDLEAETCRKSKLMVPEPEENDEMVDEMIVNGYDMCLKEMKALQITMGSEAKKNTRKGRGKSAQGRRSTDEAVDLSTMLIHCAQAVARDNRRSAFELLKQIKQHSSPKGDATQRLAHYFAEGLEARLAGSGSELYRSLVAERIPVIEYLKAYQLYLAACCFKMMAFKFSNMTMGKVMAGMKKVHIVDYGIQYGFQWPTCEGLDRVERPETYKQWQVRNRRAGLRQLPLDPDVVKSVKEKVREQYHKDFVIDVDHQWLLEGWKGRILYAMSTWAADDAT >Dexi3B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:3B:1612454:1612975:1 gene:Dexi3B01G0002320 transcript:Dexi3B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASEQSVILRLKREAKVLMKRTPAEEAKIRRIMREINHTAEEEEPQPQQAAGNKRRKTVIKKTLVPRAAIEFMILHPHKPLEGFPEEKLAIYSQEFRESYFRRKAIADNMLEQQRALIRQFHKKGYAEDYKEVEVTDDEDN >Dexi4B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:4B:6236936:6241581:1 gene:Dexi4B01G0008680 transcript:Dexi4B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRKLSKMYAEAVRHGYSASSQCGEPQPGLQVRQLLPVCGAFAITLPSLLLQIAEQRRGTSYMDVIQTSDSSHHGIVENSPYRIPYGRNTEGGKLGNSWYFSRKEIEEYSPSRGDGIDLKKESYLRKSYCIFLQDLGMRLQVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVILISYEIIHKKDPVAVQRIKQKEVYEQQKELILLAERVLLVTLGFDLNIHHPYKPLVEAIKKFKIAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRHLEEISNQILELYEQSNVAPPPSQGNDTDGSPASVAGKAPGTVDAPTAHEQHQASRLSSQQSVPGHRGYDHPYSEKQNSNQRTPQDEARDGAASSNDGSKMSSSMMDAMKKIDKDKVKAALEKRRKSKVDVSRKVDVIDDDDLIERELEHGVELAAEGEKNKQERRQSWPRPAHREDQQKAVRGMENTEEGELSTDSQEHHSPALDNRRRTDAHDHRNYNRGERDIKR >Dexi3A01G0022740.1:cds pep primary_assembly:Fonio_CM05836:3A:18368944:18369459:-1 gene:Dexi3A01G0022740 transcript:Dexi3A01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVPGAYPKNDAPVTGEPAAVDEVCVPWPSVSRGESTAMVTFSLAIRPLLNLRAPMSFRLHAFDPSNAVPDSQAPFHRAGIGGSPSSRPPGALTPRNSGVCVVSRFTAVASSRWHDTNPRDRRRASRSSARSRAANPCAAWS >Dexi6A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:6A:28858281:28861494:-1 gene:Dexi6A01G0021670 transcript:Dexi6A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHTASDKSRKKKKRRGRKTTTSRSSSSSSSYSSSSSSSSWQQQGHQQHKKKQMMPTLIALLLLVVVVPLPARLGASVSPRRMARIQSHLERINKPATEPPRFPTRRAAAGADDADDMTTTTATTTTTTTTKSRWGAWQTWHHGGHCPPGTVAIRRTTAEDVLRATSISRFGRKKRTSLPRAANAPDVISGNGHEHAIAYTAASQPQPVYGAKATINVWDPAIQESNGFSLSQIWILSGSFNGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGAAISPVSEAGGAQYDMTLLVWKDPKLGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPGGVHTATQMGSGRFAAEGFARASYFRNLETVDADNSLAEVPLEAIQTLAEDAACYDIRKAYDHQGGWGTHFYYGGPGHNPACP >Dexi1B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:1B:4454769:4455164:1 gene:Dexi1B01G0005440 transcript:Dexi1B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVTAFVASVLLLALVFISYDAGVEAWCLEYPSPDINACRGKDGLRYCRDDCVAIGHGFAGGECLKNPDGSFGDCLCLKCADEPPAANTL >Dexi4A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:4A:24815359:24816447:1 gene:Dexi4A01G0021150 transcript:Dexi4A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSALVRATTSTAMLVVGITLLAITPAASTMDFTEHDLASEDSMWALYEHWCTHYEVVRDPGEKARRFIVFKENARLIHEFNYGDASYNKSLNMFGDMTDDETQRAYNCSFVDPPPHRVVSDGTTFTHIAARDLPSAVDWRERAYGGGLAGYVTEAKSQGVGCGSCWAFAVTAAVESINAIRTKVLTSLSEQQFIDCDMDNGGCTGGYVTKAFDYIVKSGGLALEDTYPYKGKRQGFCAMPQPVAASIDGYQRVPPYDVAALMAAVAAQPVVVVVQADGVPFKQYGSGVFRGPCGTKPGHSMTLVGYGATDSGENYWIVKNSWSARWGENGFIRMQRDVAAREGLCGILMYPSYPVKNKQA >Dexi3A01G0017390.1:cds pep primary_assembly:Fonio_CM05836:3A:13276698:13277633:1 gene:Dexi3A01G0017390 transcript:Dexi3A01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFCRDCDRATEVVLDHASGDTICTECALVLDAHFVDEGSEWRNFADDGSGEERDPSRVGGANDPFLNNAPLDTRIVYNKGGPQNKSQANGGGGHALPRTRIKAGPDPEQPLIEAFRAIADMADRLGLVATIRDRAKDVYKKMEEAKACPRGKKRDTFYAACLYIACRNEGKPRTYKELATATGGGAAAKKDVGRLTTHIKKVLGDEAGQVMDIGVVHAADYMRRFCSRLGMGNQEMRAAQEAARRLDDAVDVRRNPESIAAAISYMVVQRAGAGKTVKDVSMATGVAEATIKEAHKDLTPHIKVLFA >Dexi7A01G0023230.1:cds pep primary_assembly:Fonio_CM05836:7A:31259935:31263004:1 gene:Dexi7A01G0023230 transcript:Dexi7A01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRNGAPNRENDDTRPLPPLPPAMAPVKAFAWVNAIPVTHGQATTTCSPSLSRIVAENRRKTPPSHLQDRIAKTKRRNNRRLQPSLTIMALPAHLCTIIATDATMAPPACSRESRRRPRHHGTKTKAGTMEPGRGPDDRSRRSSCSGVRPKHHDEQAPQLQHLRQATATPAAPGKRAADHQRRRSPMDPDLQCADLAPRRADPVNPWPNRSSAMSRTHEEGRARRGKYGGGARETRETHRRRLRRCPLRSSPGFWRGAPAAAEVTSGGVVEFGGGAGEPPESPNWTTRGRFTPHMMRGDRDSRHRAQRTGSTIRLRRPALPLTILGTGTVTHPRSSSIPRSFAMTLPQCDFDIDRFPRRMHAPSSKRSAFTFFPFTGRENLQQLELAGRYHGYNGKASPSNPRICHPGKAPKFHH >Dexi5A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:5A:20643639:20654520:1 gene:Dexi5A01G0017440 transcript:Dexi5A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAEVIELSSDDDEEEPSPGTTALARLAPSSPPDVKPHLFDDADVKPLLLPLPLHPPGYGALVPVKTEDPVPVPVATASPPPRALPSARLCRQFWKSGDYTVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVDKFTNPRDDNSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDVTTASYVQMLRHDQKLFSSNLGILLKWSPFASEAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKVKTNKPEKIATQNYVSSRLRYSLRVKYRFHIYHFGTPSVITTIGFVKGAPNIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSALYQRLEIRLKDMTYEYWGLHCHRLGYDNKSLPKATRALHRANHMNGGSSPISAPPRLLAADIPTSSCGIPRLSASAAREKINSLESHSKSNMGLKRKFDSSGAMSDSADQDGLDRTDGLGVYQRKRFNEYRTLTLENDKLRNECLQYEESEKQLVMKVCLLQVN >Dexi7B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:7B:23899275:23902556:1 gene:Dexi7B01G0018040 transcript:Dexi7B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRAEREPSPPAEEEASAAESRELAVLREMMLRARREGEEPQVPDEQLRCNEQLRHDEMLALEAIYGDNIGSFGEKAGLQSFAVENNNHIFCWVCQVHYCALCLKVVRKSSEHYGPRGCKQHTAEPQDPHRERSMTDLIQKSIDDLR >Dexi6B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:6B:20567120:20568707:1 gene:Dexi6B01G0012830 transcript:Dexi6B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKNNATTLLQRRLRTAVRLLPLLVFAVLCYLQFRTLSRFSPTTTTTTTTTPPCDDTATAMDDLIDRLRTSVTFLPLRDTRKRSGDWFISALNDSSDPDGEEEAKHLVLPSPASSGRVLCVHAPPRSDATYALAWRDALPHGAALRRGLTFVSEMSYDYRNLWHGLSALVPFASWHATSRCRAVPERWALFLHGAAVRTGTSPWLASLAEATTGADMAVETFPDAGDGVPACFEEAVVFRRQMEGLSRERLLKAFDFMRCKARAFCGVDMDAPGVADTSASALRVTLLFRTGARAFKDEAAVARAFEAECARVAECAVVTARANNLTFCEQVRLLTGTDVLVSAHGAQLTNMLFMDRNSSVRLLTGTDVLVSAHGAQLTNMLFMDRNSSVMEFYPLGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPHGEPCPGSPDILSCYKDRKIGIDEAYFAKWAAKVFAAAKERKLRRRGGEVLVGEERQREAADCGCS >Dexi7A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:7A:21527775:21530723:-1 gene:Dexi7A01G0010850 transcript:Dexi7A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGFVDWRGNLIKREVHGGVRTAWFMYFLTVVTSMVNIPVLLNLVTYLRGTMHMGVSGAATTVTNCVGATSGFALIGAFLSDSYITRSKAILLFGPLEFLLLRKRKEAAVQISLFWLTPQFFLLGVADVTSFPGLLEFFNSEAPRGMKSIPTALFWCDTGLASLLATLLVEVVNSATRHGQKKGWLEGTSLNNSHLDRFYWVVAAVELLGFVNYLYWAKRYVYHQDPLIVDEPPVDQDSP >Dexi9A01G0027390.1:cds pep primary_assembly:Fonio_CM05836:9A:32002650:32002916:1 gene:Dexi9A01G0027390 transcript:Dexi9A01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRKRRSHSYGQYLKGITSSTPPLLLRRTVHTTSSLSFHLRHHLAAHLPGPLQLHHAALQRRRHRPHHRYDPLHVHCGAPRPPPRP >Dexi3A01G0026510.1:cds pep primary_assembly:Fonio_CM05836:3A:22766127:22770135:1 gene:Dexi3A01G0026510 transcript:Dexi3A01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDAATIAPSEPESVGVRMSSSEDAVATRPLLSSPSTSPSSASAAPVRESIEELDRRYAPYARRDAYGPMGLGPVGAAEAFRLAFAAVVLVPLRVVAGMLVLLAYYLVCRVCTLRVQEEQEGGEGDGYARLEGWRREGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDEHVDQSKETEKPGAVVSNHVSYVDILYHMSAFFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNASMMLLFPEGTTTNGDYILPFKTGAFIAKAPVQPVILRYPYKRFNPAWESMSGVRHVFLLLCQFVNYLEVIHLPVYYPSEQEKNDPKLYANNVRKLMAVEGNLTLSDLGLAEKRVYHAALTGNSLPRALHQKDD >Dexi2A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:2A:5323383:5324111:-1 gene:Dexi2A01G0005530 transcript:Dexi2A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKRSTGVAGLPDDVLVEILSLLPAKFLYRSKCVSKAWLDLITDRLCCGKKLPLTLEGFFYGYGSNDDGWGSNKDDEDCLNSPDEVDGCFINLLGKPSPLLDASLSFLRNQQPSSEVDFEYLANYNCLTLLDSCNGLLLFAKNTRDTRLVFPNIPQGYIVCNPATEHWVHVPSSGFPMTRWGGSSDDDGESDDELWEMSYETHLIFDPAVSSHFQLIELCVGCEGTAVTTFSSETKHVGK >Dexi3A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:3A:10257072:10260792:1 gene:Dexi3A01G0014010 transcript:Dexi3A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSRQVSCPSLLPSLAAAAAASPRVAPVMADADAADALSGSTGSSLSSFLSLYLCFSSLALSLLALDSNDSTSLPARSKVQAFLEAARAGDLDSLKNVAAALDEEGTGAAAVAAAVRDANKRTALHFAAREGRTDVCQFLIDQLGLPVDPKDDDGETPLIHAARQGHLDTAKYLLDHGADPSVASSLGATALHHAAGIGNTELMKLLISKGVDIESESDAGTPLIWAAGHGQQDAVKLLLQHGAKPNTENEDGATALLSAVAAGSLPCLEVLIEAGANPNITACGATPLHIAADSGNMGVIKCLLKAGGDPNTCDDSQLKEATSLSKPQPVEVCQIVNQSYVSSEAKKKSLEAKSRGDDAFRRKDYLVAVDAYTQATELDPNDAAVLSNRSLCWLRAGQAERALEDAKACRALRPDWAKACYREGAAHRLLQNFEEAANAFYEGVQLEPENKELVSAFREAIEAGRKSHGVDKPNSAQ >Dexi9A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:9A:4262220:4263122:-1 gene:Dexi9A01G0007340 transcript:Dexi9A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLLPATSGAAHFTGHASFALGASSSGVAAGAADKAATTASPSPLSPRSPLLPPSPPLSRRSFHRRLNRSTPLPATKRFAVVFLFFSTRSCSSSITPPPGAARFCPFLAVLLLLLLVWPRLGATFPRSGTPFVAVALLRHGRRTVAGAHEGVVSRRLAVVEPLIARRRVRHACSRHGRCEGLARAASRGAGLGDGLGGELAGVRCVAVRRRRAAMKLGLKLAEET >Dexi9A01G0042500.1:cds pep primary_assembly:Fonio_CM05836:9A:46108982:46109638:-1 gene:Dexi9A01G0042500 transcript:Dexi9A01G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGAAGCRLDAEEHQDTGSSLRGGRGSSCRARQVEKQSSWSLVESASASGSSGEDDGMGMDAPSARRPGEDRHGRGCPLRAGAGRIRSGEAWRAQQRGGGDLELPCSLRGRPAAYGGGGGAVDPPCPPPPRARRGRGGLRGERHVGGGLHGVELLLLAAARRSCCCCSRRGCFSISLPLDFNQGFVLVVFDLCFDFVGFKLDLRLDSSSFFSFLLS >Dexi3B01G0036370.1:cds pep primary_assembly:Fonio_CM05836:3B:39204764:39205798:-1 gene:Dexi3B01G0036370 transcript:Dexi3B01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAFAGAAHGLPAAAAAAATPAARFWDQALPSSPMPESIAELVQKGIDRSPLKERDASPYIQPSACLGYTYQITCGKPPPPQESSSSSAPAFTPTGLFFHESQIRTGAAMTVSFPPASVRPILPLSVAENVPFADASAVLAAFAIPPRSDAAAQVRSTLLGCRAPPLAGETKACATSLEATVRAATTMLMSSTAGDGEVVVWAAASAVPRGGVPRREYAVAAVAALGGGRHVACHDEPFPYAVFQCHMTGQSTTRAYMMTLTGGGRTVAMAALCHRDTSSWNPAHPAFEVLKTKPGGAPVCHFMPYANLVFGIKD >Dexi4B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:4B:2031732:2032688:-1 gene:Dexi4B01G0003040 transcript:Dexi4B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCAKTTFAAARRFSPSTDTSSGSQLSLSFRANNLTVQAQEQDHSKMSTVSRASLDQKLALAKRCSREATLAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEQAPEHLKNTSFQGTGRPHPAFFRP >Dexi9B01G0024800.1:cds pep primary_assembly:Fonio_CM05836:9B:22652108:22652503:-1 gene:Dexi9B01G0024800 transcript:Dexi9B01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPRAAAEVEDQAKTPPCICGGAAKSGPTVATAGSRKKWCAPGPGTGVSARGWPWGGLWRRRRRRPPSSDAGEGRVAVAGEDDGWGPSPAPPPEDEEASAIGDAEGSG >Dexi9B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:9B:9395609:9395866:-1 gene:Dexi9B01G0014060 transcript:Dexi9B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADLNWRRSYFRCRHKLCGAKKKVEWHPSDPGGDLRVVYEGAHQHGSPASSSSAAAETGGAASNRYELGAQYFGGGAGARSQ >Dexi9B01G0021700.1:cds pep primary_assembly:Fonio_CM05836:9B:16413414:16414921:-1 gene:Dexi9B01G0021700 transcript:Dexi9B01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAANTTTATTVKRPVVLYPTPGMGHLFAMIELGRALAARGVAVTVVVVDPPYDTGAPAPFLAGVSAANPSISFHRLPTPDLPPVASRHYEALIMEAVRVSNPHLRTLLLTAAAAAPTAIVLDMFSGTALDVATELGVPAYFFFTSGAASLAFALHLPALHARTSASFRDMGGDGELLHVPGIPPIPATHAIHAVMDRDDLAYDGFLHASTCLCRCEGVIVNTFRWLEPRAVEAIAAGLCTPPGEPTPPVHCVGPLIKSSEFVAKGSNAAACLPWLDKQPEGSVVFLCFGSIGRFSAEQTTELAKGLEASGQRFLWVVRAPPPSDDDDDTAKRLRRSPELDVDALLPDGFRHRTKGRGLVVPSWAPQRDVLAHASVGGFVTHCGWNSVLEAVVAGVPMLGLPVHAEQRMNLVVLEEELGLAVAFEGYDGDRGVVAAEEVAAKVRWLMDSGGGGGSVLRERVALAMVKAKEALRQGGESEAELAGLVYGGM >Dexi4A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:4A:4038541:4040277:1 gene:Dexi4A01G0005570 transcript:Dexi4A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKNSGNLPKVLSVEDKLRTLGCKEIGRKIVEAEMDLTKAKSEGYLWGNRTAAVDPEKKQQLLAVIGVYTGFGSRLKRNVFRGSWMPRDDALKKLEEKGVVIRFVIGRSANRGDSLDHHIDDENQRTKDFLILESHEEAAEELPSKAKFFFSTAVETWDAEFYVKVEDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGVVVSDEYVLSFT >Dexi3A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:3A:16166981:16167792:1 gene:Dexi3A01G0020360 transcript:Dexi3A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLALFLAFAVAAAALQPSEAARVVQSHQRCNCNPPAPAGSHEPEKVALQADAGGVPSQPTTPPAGVPTGAGQLPPGLLPAILGLLFPPLGGIIGLLQPLIPAPGSTPPQQQQQQPTECMTPLQSMAACTGYLTNLTVAAPPSECCDGVKAVVRDAPICLCHGINGGMSQFLPSPVDPLRMAVLPLACGTVLPIQTLLMCNSQQVPPIMPPAPATPATPPPVSP >Dexi8B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:8B:27787172:27787654:-1 gene:Dexi8B01G0016640 transcript:Dexi8B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAAMEEATTTRPPGWLILDRFVHRTTHDFAAVSDDATATATSSTCTGQPISASLRIANSPPAISRLHLHWPRRREFKRLPEPYVIAAHRHAILFKARAPFSEHDMGRDDTFFFPVDLFVYSSPSSSAPPSLHRLPPCFVGGVSAPAEDMFFTPYRNTQ >Dexi8B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:8B:4511060:4521354:1 gene:Dexi8B01G0004860 transcript:Dexi8B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRAPAAAVLLLAVLLLPLAAVPASRAATLPVAASTAAFQLFGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPVQNKLVPCADSLCTALHSGLGRNNKCSSPKQCDYRIKYTDSASSQGVLIADNFSLPLSKSSNIRPSLTFGCGYDQQVGKNGAKPAPTDGLLGLGRGSVSLLSQLKQKGITKNVLGHCLSTNGGGFLFFGDGIVPTSGVTWVPMARSTSGNYYSPGSGTLYFDRRSLGLKPMEVVFDSGSTYTYFTAEPYQAVVSALKGSLSKSFKQVSDPDLLLCWKGQKAFKSVFDVKKDFKSLSLSFATGKNAVMEIPPENYLVVTKSGNVCLGILDAAAAKLSFSIIGDITMQDQMVIYDNEKAQLGWMRGPCSRSAKSAMWTLVAGLLLLLLLPLLPMASSSSMVFKLDGNVYPAGHFYVTMNIAEPSKAYFLSVDTGSDLTWLECAASNGACERCNKGPHPHYQPGPPSYKVVPCTDPLCDTLHQDLGTTKQCTELFQCDYTLTYAYGSSIGVLMADKFSLPMAKSPTDHPDLAIGCGHDQGENAGKVVTVDGILGLGPSSVSLVSQLKNHNIITNNVIGHCFSTKGGGYLFFGKENVPSSDVTWAPMAPRTPRKPYPYSPGYATLQLDTKSIGAEPMEVVLDSGSTYTYLPEILHSQLVSALKASLSMSSIQEVHDPALPLCWKGNGQLESLDDLKKEFKSLISLNFGHGVTMTIPPENYLITTEQGSTCLGILGTADTDMYLIGAIGMQDQLVMYDNETVSKN >Dexi2B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:2B:11002682:11009630:-1 gene:Dexi2B01G0010110 transcript:Dexi2B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSSGARVTVYEKEHLGGHGSKTMAVEDGAGGRVHVDLGSMAFNRMTSPNMTKWFEELGVEVEISSDMSFSASMRLEKGGGFEWGSRNGISGVLVQKSNLLSPRFWLVIRTWRTMEETLTDSDVCLRLVQSSTGSIGLPCSLCTFIFPRQSSSGAVWSPTVAHRQGWFRALRQQGKGRIGKHGLKFKPAAKSNLFQNSVKLTMVRYSETPVNYGVTASYRILGVDGSEEMYDRIIFGLHAPDALKVLGAEATHEELRILGAFQYIYSDVYFHCDESLMPHNFYAWSARNYLATSRGVCVTHWLNILQNIESCRPFLVTFNPPHVPNHVFLKWHTSHPIPSVAAAKSTLEFNNIQGKRGIWFCGPYQGYRFHEDSVKAGKVAASELLRRKCELLVNANPMVLSWTEAGARLLVAKNFERHIIIGNVSILEGGTEFSFGRACEKCNLKSIIQVHDPQFYWKLVTEADLGFAYAYINGYISFVDKTEGLLNLILVTQCSVNWFINLYNRSERKRLLRITARKRKNSLSKAVKNISKHYDLSNDFFALYLDPSMTYSSAIFKAEDESLESAQLRKLDSLISKAKVESWHHVLDIGSGWGTLAIRLVKKTGCKCTGITLSVEQLKYSQRKVKEAGLEDHITLLLCDYHQIPTCQKFDRIISCEMIEHVGHEYMDDFFGACEYHLAEHGLFVLQFITIPEDWYAKRMRPEFIGEYIFPGGCLPALSWIVSAMTNATSLCVQHLENIGDHYYPTLMHWRDNFLANRKKVSALGFDETFIRTWEYYLTYCAAMFKSRTIMDYQMVFARPGDAKLPSYLAIE >Dexi8B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:8B:4466583:4470971:-1 gene:Dexi8B01G0004800 transcript:Dexi8B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQQEQNVQLAGLSSGSPPANAAAATTQPNGSARLVQMCPSLYRAAFRGRTEEVMALLLQQRYGAAARTERYQATVGIIQHAQCDILEMSAERNTVLHVAAEQGHDKLIRELYLRFKEQVLLSRRNSALDTPLHCAARRGHVRAIALLIQLAQDCGESILGCKNEAGDTALHLAARHGHAVVVRILVSTAAEPATEVNNAGVSPLYLAVMSGSVQAVRAITTCRDASSAGISSQNALHVAVFKSSKMVVILMEWDPDLADQVDSGGSSPLHFASSDGDRTVVKAILRAAPPLTAYRKDSAGLSALHIAAQMGHHHVAEDILGICPDTAELRDDDGATFVHAAAREKRFKVVSLAIKSPTLRGLLDVQDRHGNTPLHLAVVAGAPGVTEALLRKDKVRADVLNNDGHTAFDLAAGTTSSFTMVKLVVILVAFGAQLGPRRHDLLTPWCDRSTVENIHKTSDSLAVVAVLVATAAFTAGFNMPGGYRDTGEASLAGKAAFEDFVFLDAMAVATSVTAAILFVYGKASRSGGGSWKSFAWALQCMWVSLLSLLLAFYAALVSVVTSTTVHYGFLVVYVCMSLLLYRIQTWIGTLSSPQRCTILRFLWQRCHSKGRHDGTIKRLYPLVGASVFHFYVFMITSSIAFFYLARTIQIKPADWGLGTSSPAPAPSPL >Dexi2B01G0021990.1:cds pep primary_assembly:Fonio_CM05836:2B:31634878:31636672:1 gene:Dexi2B01G0021990 transcript:Dexi2B01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDDDSEPKASTGGGSHVLLLPYPSQGHVHPMLQFGKRLAFHGLRPTLAVTRFILATCAPDAAAASVRLAAVSDGFDRGGFGECGDVTAYLSRLEAVGSETLGELLRDEAARGSPVRAVVHDAFLPWARGVARTHGAAAAAFFTQPCAVNVAYGHVWCRRLGVPVDATARLPGLPALEPEGLPWFLRVGPGPYPAYFELVVRQFQGLEEADDVLVNSFYELEPEEAEYMASAWRAKTIGPTVPASYLGDDRLPSDTKYGLHLFELTTAPCIAWLDAHPPRSVVYVSFGSLSDLDPVEMREVAHGLLDAGRPFLWVVRASEAHKLPAGYEDDAACGLVVPWNTADFVAKYSCNSK >Dexi4B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:4B:8269276:8282430:-1 gene:Dexi4B01G0010900 transcript:Dexi4B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAEEISAAAGGGGGDGAAVAEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRLEREKARQYAAADLSEDLSEGEKGENNHEPSIHDENMRTRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPISSEHSGHEMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVSSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQILKQGRQTRDEINATYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCFGRYMPRMIAIPPGMEFSHIAPHDVDLDGEEGNEDGSTSPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNASVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYRLVSDKHLWAQCRQNGLKNIHQFSWPEHCKSYLSRVGTVKPRHPRWQKSNDATEISEVDSPEDSLRDVHDISLNLKLSLDSEKSGSKEGNLNTVKKHLEDAVHKLSGGVSASRKEGPSENGSWPSLRRRKHIIVIAVDSVQDADFVQVIKNIFEASSIGISSGSVGFVLSTSRAMSEVHDLLISGGIKASDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMVDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNECGQNVVVEDEECSSTYCISFKVTNTEAAPPVKEIRRTMRIQALRCHVLYSHDGSKLNIIPVLASRSQALRSDCYLNVFLSFLKHVGVACGFDEARGGRESRELWGQRRRWLLHIRGHDPLRRDGGQRLLVCSRWMGRLLAGAHHCRRKQRLPLHLHLLDGKERLPRHLHLLDGKERRRL >Dexi3B01G0028260.1:cds pep primary_assembly:Fonio_CM05836:3B:24196946:24198097:1 gene:Dexi3B01G0028260 transcript:Dexi3B01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEESQEDREMVSREQKKGALHEKLQILRSVTHSHAEDNMTIIADASSYIKDLKQKIAKLNQEIESAKHANVCQPFVSVEVLKNGFLINVFMDNCSSRLLASILEAFDEIGLSVLEARATCAGSFCFQAVGEEEGESLIDAHAVEQAVVQAIKNCPSN >Dexi1B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:1B:6904258:6905101:-1 gene:Dexi1B01G0008250 transcript:Dexi1B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLMECLEENLLCYAIANLPYKVPNLETLTLSDIERVNTPMVPAKFLHLEYLEIYFNGDSSPGYDYLSLLSFLDASPVLETFVLRVYQGEMKFDSIFGTTSHLRQMPEHKHDNLKDVSIFGFCFAKSMVELTCHIVENAASLECITLDSILDQEDDDDLGRCCATSARKTGACWPLTNEVILEAHRGLMAIKTYILGKVPSTVELDVRKPCSRCHALSGHS >DexiUA01G0009030.1:cds pep primary_assembly:Fonio_CM05836:UA:17164275:17165477:1 gene:DexiUA01G0009030 transcript:DexiUA01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLANRITTLVRSAPMAAAAVAGASRPLRPHRISLSPREEGQEEEDREHRARRSSSRGSLSFSAPPSTSAGQGKGDRPGMVVIVGATGTGKTKLSIDVAGELGGEVVNADKIQLYAGLDVTTNKVSLADRRGVPHHLLGAIRPDAGELPPSSFRSIAAATAASIAARRRVPVVAGGSNSLIHALLADPFDASTPGDPFSPSAAAADARGRWDHHRYRPALRSPCCLLWVDVEESLLAEYLDRRVDDMVGDGMVEELREYFATTTPAERAAHAGLGKAIGVSELGDYFAGRTSFRAAIDDIKTNTRDLAAAQVSKIRRMADEWGWPIRRLDASATVRARLDAAGPAAESGTWERDVRGPGLAAIRSFLRERDRVDGSAAATNGDEEDEPRLIRWCDVVG >Dexi5A01G0035920.1:cds pep primary_assembly:Fonio_CM05836:5A:37591677:37592851:1 gene:Dexi5A01G0035920 transcript:Dexi5A01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVEIVWYLIVFVSILPPSHACTFSFFYQFIWLKEFREFTDKSCAINHDTCVSSDLTEMIKMWHVPGRKIAVGKAEYKLTIEKSLVSRIPCLFDDVVMEVMWGLKNLMHFLVPQEKMKLRSADRLPMSQGLKMTLNRHGFDVKPELVNDEIISAACILLDCEYCDVKNCKPLRLAGEHIKFVSGIISEGWDLMKLATAVKIICYPAEATITEKERFTRDELLKFDKDAHKYEQRFNKGICLNVYNEMVEARTCIRSVHRTLESMPEMHQPIQ >Dexi4B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:4B:20351735:20352754:1 gene:Dexi4B01G0017930 transcript:Dexi4B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLYQSTARLGAAASARPQVPRAQFVCKAHKQDAAAAAEGDAAAVKKNTDFISYNGDGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIVQPTTKKSITDFGTPEEFLSQVTYLLGKQAYSGKTDSEGGFETDAVATANILESSEPVVDGKKYYSVSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGARKGVEKAASSFSVA >Dexi1B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:1B:6982865:6983104:1 gene:Dexi1B01G0008330 transcript:Dexi1B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGKFCLVEIMTMPGVDREECVGDGEKCVLRLTVFRVMYNDDVELIVTDRRPVWSFRMSKYKDCAQICSDYWQAFWA >Dexi2B01G0034720.1:cds pep primary_assembly:Fonio_CM05836:2B:41970404:41973526:1 gene:Dexi2B01G0034720 transcript:Dexi2B01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGSSRGAQARGRRKRQTPALPLDVLADIAARSVDPATVVRCAATCRDMRRRAADESFRRRLRLRHTAGRFVLPLLRGHLMGPTHRRKDEQYMVDTAAAAATTRLTSLVFPPPPTPPIDGAPLEMETFEPFFLPYMGQGRSCSSAGSLSSPSRGSPAHLPGHQNIIAMGSATRKSRYDEDRGDDSNTMALGHPDHRLVPRQAATAMATPSRREERRQEAAGNARRRRFLWTCWQRSRRAPSTQPPSSASPPRAGTCAADESFRRRRRLRHTGGRFVLPLLRGHLTGPTHGLGISLSDGETDKQYLIDTTAAAKLTRLVFHPTPQGSPHETFEPLDSRGGLILLAVSDNNNHHYYQERRHLRVCDPVTRHSHTFPLGNPPPLNGSSFVLLVGDQCQFQVLEAKLTLSPYDRSARCLRIRTFTSEHGGVWGPRTRIPTPSLDGGLYYDYELPLAQHSKPLVVGDVVHWLCLTQNGSYVLMLHVGATPSPRARVTTLPASFPRGATPSCYADSGYSYLLATATAAGSPVVLVADDQKISAWQQSKETKIWKQRPWTVIDSVGGEVATSPRPLQVKLVCLAETSGAVLIRIYDCGFFWLDLQSKAIVRQFSDPRVQHDQVYCSLEMRLSSWVPTFSCSGTL >Dexi1A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:1A:27025558:27028151:-1 gene:Dexi1A01G0020110 transcript:Dexi1A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHQLLAPASNLNPRAAAPGRSRDPKSQVTRREHAHGGKYASDPPLLLVSRLPLRILGFPQWSLVVLLRLVVDLRIPLFALMARVYVGNLDPRVTAREIEDEFRSFGVLRR >Dexi1A01G0024590.1:cds pep primary_assembly:Fonio_CM05836:1A:31097443:31101752:-1 gene:Dexi1A01G0024590 transcript:Dexi1A01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGVGVCGPRPRWTRSTHAVRPDSQYIPITPAREVGDAWNQMLAAASIATPHSRLLPLKRYPLAWRHLHRPRLRRAAIGRPGNQGAGRGRPGPTRPPPSRHSSLKKRKRVGSGLARLKYHIDSIRARCSSNPPSSELEAAAPSLRHLALAWRGLSLSSLSPPPIGSAMAGTAVLNERIVSSMSQKHVAAHPWHDLEIGPGAPEIFNCVVEIPRGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLILMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFKDIKDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAKDAIEAIKYSMDLYGSYIIESLRK >Dexi5B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:5B:13552251:13553825:-1 gene:Dexi5B01G0016050 transcript:Dexi5B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGWVVSPWMGRVRVCLEEAGVEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYIFRKYKPELLGEGLKESATVDMWVEVESHQLEPVLLNIVANCIIKPYIGLDRDQAAVDESLGKLRTLLPVYEARLSACKYLAGDDVTAADLCHFGFMRYFMASEYAGVVDAYSHIKAWWDALLERPAVKKVIADMPPDFGFGSGNIP >Dexi7A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:7A:29468221:29470887:-1 gene:Dexi7A01G0020760 transcript:Dexi7A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPLCASSWFAPAAVAAAASHGARITSTAVHVHPLCRRGTSPAPVRIQDRTRVPFHSRCHRRPMREPEPESDDDVLYLDQLDLRASIDNPFPTEDEEQRKKYAEFCASMGWREPPDMFPIERDEISNYMIKTASSRANDQDVNNKVMALVVCAEAQKALDLASRVMDLMNMARLNIGTPEISQDTINQLAMGMLFDGMKRAQVYIVKLIQARREALDYLSLAQVASSYKASRKKDC >Dexi1B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:1B:1533218:1534483:1 gene:Dexi1B01G0001960 transcript:Dexi1B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGQLRRRTRARTPPHADDGDDDVSCEACGSGHAAAELMLCDGCDRGFHIFCLRPILPRVPAGDWFCPSCRSPAAKSSPAVANKPKQFPLVQTKIVDFFKIQRNPLNAAAAAAETKKRKRKPAGALVVSKKKRKLLPFNPSEDPAQRLRQMASLATALTATGAVFSNHLTYLPGMAPRSANRASLEAGGMQVLPKEDVEALSQCQRMMERGECPPLLVVYDPVEGFTVEADRFIKDLTIITEYVGDVDYLRNRENDDGDSMMTLLSASAPSRSLVICPDRRSNIARFINGINNHTPEGRKKQNLKCVRFDVAGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >Dexi9A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:9A:12696270:12698327:1 gene:Dexi9A01G0017640 transcript:Dexi9A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLIQELATPKLQSTLVVAIILPLAALLLFYKRKGNNNNSTLRLPPGPWRLPVIGNLHQIGALPHRSLQALARRHGPVMMLRLGTVPAVVLSSPEAARDALKTHDADCCSRPPSVGPRLLSYGYKDIAFSPYSEYVRDMRKVFIFELRSSACAASRPPVSPGELRCLIENLSCVGRNPVAIHEHIFATVDGIVGAFAWGDTYAAEQFKDEFIHVINESLALLSSFSTEDFFPGAAGRMVDRLTGLVSRREKIFGMLDGFFERVLDQYMDPARGNKPGDDSSRSNLVQELIDIWREQGATKHITRDHVKAILMDTFVGGNNTSSVTMHWAMSELIRHPAELKKVQDEIRGAVGDKERVQHDDMPKLKYLRMVVKETMRLHPPATLLVPRLTTRQIKVGGYDIPANMKVIVNAWAIGRDPNVWENPEEFFPERFQESDIDFNGAHFELVPFGAGRRICPGLAMGVANVEFILANLLYCFNWELPDGVRGEDVSMEEEGSLTFHKKIPLMVVPTRFRMSPK >Dexi6A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:6A:20436415:20436654:1 gene:Dexi6A01G0013380 transcript:Dexi6A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPFDAGSSSSLHGGDLELGPCRCSPRRTAADHLAAAPLLPTPRHHLFHWDEEVTTTTAAVQRKKREEKQQHSRGLA >Dexi4B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:4B:22833312:22837124:-1 gene:Dexi4B01G0020740 transcript:Dexi4B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPERDGEEQRRPLLSSSSPSGEFRCPHSPLTLPASSHVTPCLVSTAPAGAEQQYQFLGRSSSSVIRRGGGGGLGWEGPEVSAEEVRSAASFSSSAGFYPPPQASAPHADHVYPYPPSIHSAVISPSPSHAPSSPRPNEGLAIVPQGPYPYGASYQPSQSVARDVLDEVEIRQLLIEHVGHRCCWGSRPARKWKITSIEDCNVYVGTLETFIEERDIVTKKGPYESGIIDGRDNGPVLGVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCSEVISSRLPVPPSARVISERHIISVVPVTRVTMAHRKQSFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLGK >Dexi9B01G0048080.1:cds pep primary_assembly:Fonio_CM05836:9B:47072549:47075058:1 gene:Dexi9B01G0048080 transcript:Dexi9B01G0048080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANIEKMHLRQSYRNVWHADMMSTIEADCPYCCLALWCGPCVSYMLRKRALYNDMSSGKCGESQCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMVCLQQVACIFSIVAAIVGSEELSEASQILNCLSDVVYWTVCACMQTQHKIEMDKRDGKFGPQPMAVPPVQQMSRIDQPPPPPAGYAPQPAYGQPYGGYPPPPNQPPPNQGYPPAAYPQGGAYPPPAQGYPQGGAYPPPAQGYPQGGAYPPPGYPPQGSYPPAQGSYPPAQGSYPPAQGSYPPQGYPAK >Dexi5B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:5B:8783230:8790578:1 gene:Dexi5B01G0012410 transcript:Dexi5B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNVAGAAVAADPAASVSGGAGVGATASPWRKTTPPPSAPEAAVMGAESWPALEEARQKVTPECPAKAGAGNAGRGAKEPQGSPPPPPSSQVANRSHKFDGHGNPNRNHQAHHRNGPKRRFPAANGAPSYPPTMQYHQHPGQPIFYPVPVLPSPVMLQDHPYQPFRVPAPNHERHAGKSGHENSVGGNEGNRPMPPHPRGDPHGWRPPAGTYGARPHPGGEGHAHFSQAWQNPQMFGRENTNLPQGVGPRAFVRPMVPPPLGYINGPPYPGPMHPMYYYMPAVPMEPMRGPPRYIQNQPVPSTVLSPEDAELRSKVLTQVEYYFSDTNLERDDFLKSLMDENGWVPVSKVADFNRNHAQIILFCNVLVLSRGDDEDDDFFVDDQDVNRLIIVTQDTRLAKDDKSRPSIPQAFSTEEASRISDALYHYETLHGRRTNNQRGTQADTADVNSKPTDGSKGNHISSGSNGSEETGQPIPRKRQSRGSRKANSSRKQRFFAGNFMSNPDQYSGVSESPPGNSVGYFYGSTPENHSYKSSKLSSSPHGIPTGSSPVGSMPKSSPQSQHLNYHLLEKNKLQQQRYNKFKHHCLTERKKLGTGHSEQMNSLYRFWSYYLRDNFNEDMYTHFKKFALEDAAASYRYGLECLFRFYSYGLEKNFQPNVYEDFEKLTLEFYHNGDLYGLEKYWAFHHYRNPDSGPIDKLPELERLLREEFRTLDDFKAKGKAHDSSEKETGGSNSTKAVAASHSAAETK >Dexi3B01G0030590.1:cds pep primary_assembly:Fonio_CM05836:3B:30588609:30594426:1 gene:Dexi3B01G0030590 transcript:Dexi3B01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLAVAASSLPLLNPSLHLRSGETLAPHRRRMEPRGYDYGRGSGAGGKIRRHHASRAAAASPYARPAPAPVPSAAAAAASQGGGWFSRVIAAGASRLLPSVFRKPPLQLPAPAPPPPPPPEALEVPPSRDLLLEPRPEPLDAPPSPQPPPLEDDLPEGEENSGTIVNDDQVASPAEIAKAYMRSKSSKGSPLRLRLHDPSYVPKLMEASMIQKAKPPTIPLLQSSRLQTSKTSDRLESSYTTPNRSAMYKMSSSPYFKVLKRKSIAVNNETASVGPVRRLHQSLDYRAHVNSGQAPPQSAEMAAKILKQLDTLVPVQKENMSEPKQKHKNAMDFSSRENEVSAQSNHLGPSPSKVKDTPAAVTEKIADGASNKSDNEKTTTSSLGSHAPNLVLSSEIDRNKMLIPSNGFTFPVPAGLGAHALAPPTPTLTSPPILPVEKQQPSAVFSANTSIETNPRISQSVPEDGSKVHKLDNKLNADDKPMPSKSSGQGASFTSNPVFKVVNSKPTSLSNGAGHKLNSTTSDIQPSNGSTNSVSFQYTATTISTNAMKSPPKLTSNMFAGTSQSVAVSSLASSGTGSPSAPFGFSPLFGTASSSATQDIPKAASPEAAVLFGNQNALSGNNLSFKSSEKSNNGISQSFADSQASSAPMGSAPNPNSIFPWATGSLSGSTSVAATAPLSSAFGSSSASSASLMFGSTSTTPFSPSFGMPNTSPAASLFSPPPSAIFSFSSSTPSVPNPSPTTPFGGPTVQINGGNVAADRNGSPFPTASPFGLPSSSPSTPAFSTPASQFASNTPTSPGIFGFSQQSQASSGGFSLGTGGGNDKSSRRIIRVKKRK >Dexi7A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:7A:26749770:26750769:-1 gene:Dexi7A01G0016950 transcript:Dexi7A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMKAPTKTLLLFYLLCVTTLSHATSLSFNYNFSTPGDLTSADLRYISNATAAGDRVDLTKNTTWSTGRLAYDKPVQLWDNDTGKVASFTSNFTFVIRPRNSTAQADGMAFFVGTYPPTLPQDSNGGFLGLVNNPNNPANTYFPPTVAVEFDALRNTWDPNDTINHVGVDVNSVTSVKYTALPDGCFNGTMSAWVKYDANASTLSATLRFDDLPELGVYDVSAAVDFREAGLPQQAAVGFSAATGAFVESHQILSWSFESTLTSVAAVNKTGNL >Dexi7A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:7A:25270639:25272303:-1 gene:Dexi7A01G0015370 transcript:Dexi7A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQAPPYAPLPVVSSLPPDPNSTSSANPILLLPNPAFPNKRKRTGFRRKVPSGSPAAAAPSPAVPSQPAHPASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLCRWRETYNSWLAKEPFATLIPPHCEHLLNAAYSFLVSHSYVNFGVAPAIKERIPKEPTRPTTVVVIGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEGSGRSAAADLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEITFNKLLDKSSNLRASMGEVAVDVSLGAALETLRQADGGISTQEEKNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNVKLVQALAENVPIVYERTVHTVRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKTGGIKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLVEDPRRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETMPPTDAVSSVLQILRGENRTSTIEV >Dexi7B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:7B:166265:167420:-1 gene:Dexi7B01G0000010 transcript:Dexi7B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWICKTTGVPTSTKGFAFTHRVHKQPRSVALTRKDGTPVRREGHFGCLNFVYHFDLLAPVTAYKNRWSDDWWALWFYYSTEPDGSSLAGESLGKLAKPFEAYTDSCPEGLQFADMFRKISKNLEGLLKGDVADERANRILGKETSKESKESRKLLGNQWCNRVFEFFKKTARPRVASREGEAAEAKFGEGNTRGRGGHGGRWTGPKRQKTNWFEGPDEDEGVSATRPPSTTNVVGPPLAANPLQSCDPSVKDVVAVGWADPVDPADPFNVHYSDAEVEIEDTQKDIVVETTDLIAVESGSGSGSSPDSRDSSSKDSSADKGMEEGEKEETSSGSAIQPITRN >Dexi6B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:6B:4478507:4483895:1 gene:Dexi6B01G0005200 transcript:Dexi6B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARRRWAVWELLLLLLHPAARMLANTEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTAKPCPGAPPFSPPPPYNPPTPVQQGSSSSSTGAIAGGVAAGAALLFAVPAIGFAYWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDGFSNKNILGRGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLESLVDEDLQHNYIDVEVESLIQVALLCTQSSPSERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRTSEWILDSTDNLNAVELSGPR >Dexi3B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:3B:5271507:5273183:-1 gene:Dexi3B01G0007400 transcript:Dexi3B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVDGGAARAVGRLGPGETASGGGGGEADHVRRLHRHAPADHQCTSTLVKHIKAPVHLVSFPFLLLIPLLASYVSGIASLHGSGVPCSIPDALVSDRCVWELVRSFDQPQRYKPFVSRCIVRGDQLEIGSLREVNVKTGLPATTSTERLEQLDDDEHILGVKFVGGDHRLQNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEH >Dexi2B01G0034340.1:cds pep primary_assembly:Fonio_CM05836:2B:41601574:41605285:-1 gene:Dexi2B01G0034340 transcript:Dexi2B01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPADGAAYWLRWQVLVCGALIALPAAAAAALLPRLRRNAAPLRATDLWVPCWARLHPGWLLGYRAFALAAAVALLARLLLGHGISVFYFYTQWTFLLVTIYFAFATAISAHGCWVYSKKSSRKAAESHGFLNDDVENHALSTTVSGETKKDETTKLSSYYEQIVNEKRAGFWGRCMQIIYQTSAGATMLTDVTFWGLLVPFFYRDKFGLALVTDGMHSLNAVFLLIDTVLNNMVALPVSGPFIIWGSSMVLAHLTSSLTIYVSPRNPYSNPNLVQFVKIQLKFLTSQILLLFCGMFFVLPAALRLARLVAPAAWALYF >Dexi9A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:9A:2510284:2516006:-1 gene:Dexi9A01G0004630 transcript:Dexi9A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPSGHSGVFPVNAAAGAGGGDGGVQLADKLKIFKTDNFDPDSYVQSKCRTMDEKEIRHLCSYLQDLKKASAEEMRKSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLSIGPEASSEQDISTVEDEEPSEIRKWSMDFPDMLDVLLAERRVDEALDALDKAEQIATDAKEKGTLTTTDILALKRTISANRQKLSDQLAEAACQSSTCGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQLNMQTIQPSSTSYGGAYTASIAQQVFRVIAQALSDSAEVFGDEPAYMSELVTWATKQAMSFSLLVKRHALASCAAGGGLRAAAECVKIALGYSELLEARGLSLSGVLMKQFRPSIEQALDSNLRRIEESTAALAAADDWVLTYSPTGIRPFSRSSGNLALQPKLSSSAHRFNSMVQDFFEDVGPLVSLQLGGSAMDGLQKIFDSYVNLLISALPGTVDDEVNLEGLGNKIVRMAETEEQQLALLANASLLSEELLPRAAMKLYSMNPVRGTDRQNRAAEQREWKRKLHRTVDKLRDSFCRQHALDLIFTDDGDTNLSAEMYINMDNTVEDPEWVPSLIFQELYGKLNKMASIAAEMFVGRERFATLLMMRLTETVMLWLSEDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILNIIDRAMAAFSATGMDPDRVLPSDDWFIDVAHETISRISGKPRVANGEREVNSPTASVSAQSVSSIKSHGSS >Dexi9A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:9A:6107174:6108419:-1 gene:Dexi9A01G0010040 transcript:Dexi9A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSILDRPEHCTPSRVVALAAVCLVVVVCTYATSSWPGDFGGKEQKYNTWRRRSSASLIFPIQAFAPDDLEVALHGAAFANRTLILTVLNEAYAEEGGLLDLFLQSLREGDGTAQLIDHVLFVAMDQQAFQRCRSLAGLRCYLLPQRDNGTGDNLSSEQLYMSDGFIRMMWQRIRFLGDVLKHGLSFIFTDMDVMWLRNPFPKLELGDGEDLLISSDKFNGAASDYIGNELNTGFFFVASNNRTVALFGEWHAARRVSPGMKEQDVLNQMKRRGALRRLGVRTRVLDTARFSGFCQDSRDAAQVATVHANCCRTKRAKVADLRAVLRAARRLNATAPGLRWPAHSECVKSWS >DexiUA01G0001830.1:cds pep primary_assembly:Fonio_CM05836:UA:4741149:4745867:1 gene:DexiUA01G0001830 transcript:DexiUA01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSRRSSSGMQSIRRELQRRRPKPLAPKTSVAKETSAPPPRPPRQEDPSPTISKSPPASAGAHATRPPLPQAQPSPCPAVISPSTPPLSCSAPSSAGSACRASPTAAAHLKTGTAVGVRTRTTKLKTGKVLVLWLRAMVVSTTHQGYDVVCDGNWELGDPYGTVHSTTPSQFATAAAVPASQEKETRPAPRPTRAGKSLRLTSAAPALPSSRAPSSPAATHAGAVGSVPAPAPAAAVSLPSPVKMVGLAREEALAHDEVIMDDADSDVEVSDSEDDSGEEAQATPSENAIYNKEAILEKLEDIAWPKNVDWMHKLTIEHDQGGKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKRRIEEAEERKKAREAKKIAKEVQAEKNKQRAKDKKEQIESVKKWRKQRQQGGFAKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGHGGRKGLKKQNTAETTNDFRSYKGGESQTKKRKRS >DexiUA01G0000060.1:cds pep primary_assembly:Fonio_CM05836:UA:626799:631184:-1 gene:DexiUA01G0000060 transcript:DexiUA01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEVQVAMGNGNGLALAQPSRPAGRAAAATGHTDRRLRPNPNAEHKPQDYSDVRGEYAPAVYSALERHLPPSLLDADRDVKLQFMRDILARYWPQGERNKVRLSSLLSRYFMTCGTQVQRHKEYRQRILHLYKVCSFSLIHLNQPLSFFLLWQPLHEELYNMHPSAFFLPAFLEAVKRNSEESFRSIMKEPIPGVYSFAMLQPTFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFIAPISRVFYPEVGGGTLDSHHAFVVEYGKDRDVELGKFLHGSWNSF >Dexi9B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:9B:10394758:10396198:1 gene:Dexi9B01G0015270 transcript:Dexi9B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPEDVLADVLSLLPPRALAVSRAVCKAWRAVADADARCQLRTDLLPVTVGGVFIMKNEPEDPAFFARPSMAHRIAGDVGWYLRKAGFFADVPWVVDCCNGLLLLDDADQVVNPATKQWACLPPCPILRRADGYHYKFLVFDPMVSPHYQVLAMRGPPDGKDELSEQGLEWPPSVYKVCVYSSSTGTWEQRPFILEEGTPRTAADVLPRLDPARQHAAYWDGKLYVYWVDVITRITLSSDKYQVINLPTGIDANSSYYHLRLGKSRNGVHFVVVDDQNRLQVWFLAELAGKTEWVLKHSASLEALKFSRSTDRPWVVQHGNYDRRSNREPVLEKEMDWDSDDENAVDVEEWGKKHSCLYIEVLGFHPYREIVFLFMQCGAVAYYFDSSKVQDLGDVRIRHMYQVISEAFIYTPCWVGELSGNN >Dexi3A01G0028610.1:cds pep primary_assembly:Fonio_CM05836:3A:29444005:29445624:1 gene:Dexi3A01G0028610 transcript:Dexi3A01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDANNNSGSGEATTTTTKYPLNAESYRLLCKIGSGVSAVVYKAVCLPLGPTAVVAIKAIDLERSRANLDDVWREAKAMALLSHRNVLRAHCSFTVGTHLWVVMPFMGAGSLHSILSHGFPDGLPEPCVAVVLRDTLHALCYLHEQGRIHRDIKAGNILLDSDGSVKLADFGVSASIYETSPPAMATAALSLSLAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLLRITSRVRLEDDAEVVSSSSSSSSGRRKKKRFSKAFRDMVSSCLCQEPSRRPSAEKLLRHAFFKGCRSNDYLVRNVLAAVPTIEERCKDATDLCGCASGGARCVSPCRHGAVGKDRRISGWNFNEENLEFDPTDGTEKRRLPFYEEDSDELDESNSTTGGNEDSQPAAAATTEGNVQEKEATKTIGLKEVVIPQLMTILESLEMQRDMVMHVLQSGGCHVADGGGDGIMADQEDKREEILVGYVRQLEHRVEELSMEVEEEMARNARLEKQLQERVSGDYETNSSQTSSGSN >Dexi7B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:7B:21583766:21584012:-1 gene:Dexi7B01G0015540 transcript:Dexi7B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDAQAKPYYSGGGPGASSPRAARKTPRSPVFLGTALFVLGFVSLFTGHVVTDADCL >Dexi6B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:6B:25547729:25552952:-1 gene:Dexi6B01G0018610 transcript:Dexi6B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEMQRFLQQEQQKAMMGEMVGKLTSVCWDKCITGTPGSKFSSGESTCLTNCFVAAVASASRALLLSRAALSPLPAAASSASRHIPALLRPLAGAATLLPAAAAAPLPGAGVRCFATQSATSSLRDTSPNWSNRPPKETILLEGCDFEHWLVVMEPPPGDAANPDIMRDEIINGYIQTLAQVVGRTETRLLGRVTMPLRLHMARTKCHPVKALRTMLRATCLRQLHHAMQVVANQTTSKVELLGTRRATCLPHLRRPTSKAVRLDTKVDLQGTKVVTKVTKGTRALLTRVVTLATKVALQVTKVATRHRHHPNTRPATPTHLRTKEVAILVTVAQATQAKEATRTTNELDHEIYAGASVPECSFGRDGVCISIN >Dexi5B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:5B:14586536:14587454:-1 gene:Dexi5B01G0016430 transcript:Dexi5B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKVTRRLSKMPEEVRGEIAPWFIDRHAIEEEATEKIIKLDSNAKYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDSILPQENVSMVHSNNGLRSTRIFSN >Dexi4B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:4B:6100619:6101287:-1 gene:Dexi4B01G0008470 transcript:Dexi4B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPGLLRTPPKCTMLPLLPACAAIILPTKPSRADAAGRWDAHKIKRTGSPASTTSSSSSSDAMGGKSNSNVRSSSLCNGSLNSDKKNLTTRNSSEERWDAHKKPAVSTASSSASSSNSSNKTKTCRRWISRRPSNGRASSAPERWDAHKKPAPDELDDGESSTGSNDVEMGMPIQPPPRSLYYAGPSFVASPEPSMLPMPSFLISVA >Dexi8B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:8B:2454749:2455555:-1 gene:Dexi8B01G0003320 transcript:Dexi8B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSTIMVTTDAELLQAQADLWRHSLYYLSSMALKCAVELGIPTAIHRLGEVASLPDLVTALSLSPTKLPFLRRLMRLLVASGIFVSDSSNAEVETYRLNTLSWLLVEGVEAEDHTFQKYFVIGTTSRHYIEASMTLSEWFKKDLAPPLLSPFEVLYGVPLLDESTALLDKELDTIGLQSLTDCCGGNGKTAKAIVKAFPQIKCTVFDLQRVIENVPADGIINYVAGDMFNFIPPSQAVMLK >Dexi8A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:8A:4752253:4752651:-1 gene:Dexi8A01G0005260 transcript:Dexi8A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSFTALPSCSPPCLCRQPRGQDRASGNATVVTVAKAASENTSTMRFSAINVMDDALTVGQNMNTSKIIGRAQGIYVSDSIETSSVMMAMNFLRHLHPH >Dexi3B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:3B:3186269:3193039:-1 gene:Dexi3B01G0004720 transcript:Dexi3B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPVGAEEEGARELLYEAYNELQALAAELGGAAGAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPSCDAPRCRLFTGPGDGDEDAGVASRPMPLADIQRFLYPFDSTLKLHKLWQSCNLQSQASAVESLVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARPSDVEVFLHPPTCVLDVSLLGDCPFFTSVPSGRVGSCHEAVFRSNEEFKKAISSRELEDIASIEDKLGRPLTTQEKDRIGVGNLRLFLEELLRKRYIESVPLIIPLLEKEYRNATRKLREISQEISDLDEAKLKEKAQLFHDSFLTKLSLLLKGMVVAPPDKFGETLLNERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCSPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARETFEPFLHQLGFRLLYILKRLIPISVYLLEKDGESFSHEVLVRRVQAAFKRFAESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFVAPEQLAVNTLHEQSPGLNDNKQDRAKGDAKPNHSSDTNSPSPVPEARLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLRQDLESAFEDELDSIFDVTQLRQSLGQKKRELEIEVKRIKRLKEKFGVINKKLNSLQVRQ >Dexi2B01G0030500.1:cds pep primary_assembly:Fonio_CM05836:2B:38760488:38761108:-1 gene:Dexi2B01G0030500 transcript:Dexi2B01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKSLLIALLVALATSLQPSVAFEIRIPPFPCIPGLPRYDPPPKQVTECWTPLMKMMLCAGFLTNNSITEVSSDCCKGFKSVPDDGGAICYCHIVNGDIAKLLPAPMNFTRLHSLPKRNGMVCRR >Dexi1B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:1B:20775652:20781008:-1 gene:Dexi1B01G0014470 transcript:Dexi1B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIMATNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRMARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEPNPYLTELLRLEEVAKQQGVGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRSSAPTVVAETDGTANGANGEDSEGAPAQLTTAQRLAASAASAEIPPDRYGREAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYPDGDTAKDLALELVENGLAKYVEWSANMLDVEVKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDGAPYGSPSAERRVNLSSIRAPKMGNARRDEKPDNFAREAKEFLRTRLIGKQVAVEMEYSRRISTVDGQNAAPTTNTADTRVLDYGSVFLGSPSQADGDDVSSAPNSASQPGVNVAELLLSRGFARISKHRDYEERSHYYDALLAAESRAEKAKKGVHSQKVSPVMHITDLTTVSAKKAKDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKGEPYSDEAIALMRRRILQRDVEIEVEAVDRTGTFIGSLWESKTNMGSVLLEAGLAKLSSFGLDRISDAHVLTRAEQSAKQQKLKNYVEGEETSNGSTPESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKEAPVIGAFNPAKGEIVLAQFSLDNSWNRAMIVNGPRAVESPNDKFEVFYIDYGNQEVVPYSRLRPVDPSVSSAPALAQLCSLAFIKVPALEDDFGQEAAEYLSECLLSSSKQYRAMIEDRDTSGGKSKGQGTGNVLIVTLVDAETESSINATMLEEGLARLERSKRWDTRERKTALQNLEQFQDKAKKERLRMWQYGDVESDEDEQAPAARKPGGRR >Dexi3B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:3B:2526876:2533234:-1 gene:Dexi3B01G0003710 transcript:Dexi3B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDSHKQEEIKKANIAPNSSLLVNFDKLTEEHVTEVEKISSNLFVKDLSRYQSHKAPCNEDKNHAIVSSKDVVQSKLKLHKKDQPARNVGKINDVISKRKMESLLAKKLETCGGDDSRRVVQWDDPTLITVKRRCIPSNEEYEDEASGYNTNLMGVGGTSGLTPHIVISTDCIERQCGYCSKPIDKPTWSGIFKIDGNKYISLVGHLSTKSCEKVWKLSKSLPPMVEVEKVSRSIVWSKVWKVSKPSSDNIGLYFLPHNMRYEEELDILVNEVKENDLVLRAVVNEAEMLIFSSVLLPELYQRSLPPIVDMTKLSRSEVWPKGWEESKLNDDNISLYFFPHKIRADDEQNQLVNELMENDLALRAIINEVEMLIFTSTLLPQPYQTFQTKQYLWGVFKPNKYKGTVVADPITTTTSCAKEVEELQPSLNKLNE >Dexi9B01G0024510.1:cds pep primary_assembly:Fonio_CM05836:9B:20729166:20730025:-1 gene:Dexi9B01G0024510 transcript:Dexi9B01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETEQSAPQAMFHSCVTFAAFSCIMDGLNKQQAAMALTFEGKSSSAKSQEAGVLPPFSLPPLLDASDNLASFCQTLVKPKH >Dexi1A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:1A:4194062:4197890:1 gene:Dexi1A01G0005710 transcript:Dexi1A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSSPRRENGGEGSGGSGSSKERPRSFDEKTRSACWRKAAVVAGRHPERWRQDAVGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVENCQILQTRVNRSKSDKAWVDEAEMRGFSCDIKFTDKELDIIEMAAYGDVIRPGKQCRCRTVAEMLGQVKSKNRMAACELPDKNTS >Dexi6B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:6B:4435361:4435717:1 gene:Dexi6B01G0005140 transcript:Dexi6B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKKVAQETLEGNKRMSAFPVHGGEEEAATAAAAASPAATLAFLARPLSLLRHVAHGCAGYLGGIASRLKPAVPAAAACQTRQEEEGKIKAAAAVVIVSAEIKPPFFFCACRLQAS >Dexi1A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:1A:22282460:22284103:1 gene:Dexi1A01G0015300 transcript:Dexi1A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFDSVEHGGFFRLDVGVLLLMVLSVVRGWTANGASVSVGSEEADMVVNKTDVGLADLPATVAAVKNPSEKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Dexi2B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:2B:701663:702888:1 gene:Dexi2B01G0001120 transcript:Dexi2B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPRRAPGSVQVQPTVPPPPPLPVELVEEVLLRFPPDDPARLVHAALVCRRWRGLICGPSFRRRFREFHRAPPMLGFFVNNHGDTSFFIRTSATCPRLGIDSTVVDARHGRLVT >Dexi2A01G0026060.1:cds pep primary_assembly:Fonio_CM05836:2A:37655716:37657607:1 gene:Dexi2A01G0026060 transcript:Dexi2A01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGSSLGVAPSRRGEGDSLLHVVAAHAGDDYHFVDCAKTIYHGNAGLLAARNNKGDTPLHCAAGAGSAGMVSCLVGLKAAEAAAGDVQEFVRTLNGCGETALHRAVRAGSVACIDELLLVDPMLAASVPDEGDDDHSGGASPFYLAISLGRMDIARYLFDKSNGHLSYSGLDGQNALHAAVSRGQAPLFTWLRRWRGGHTRGYFPSGYQTSGHGPKLPDPESECASEHTEQGYALTPYDLSWVKIPSSFYYDSNPRGLIQLSLQFVGAPCGGSRPDLLSEKHIPKGDDEKLSAHLTNASQMLGVVSVLVATVTFASAFTLPGGYYQNGTDSSNGVAGAPLLAGSYAFDAFILSDTLAFICSCMATFSLVFAGVPAMDIPVRCRYFEISALLLRSSGRSLVVAFALGLYLVLAPVAHTTAAAICVIIFVSSLYGNSEAWQIIRVADTARARLGTRMRVAWTLGLTFYNVFVNVFMNFWSFVIIFGVPAAFRKLVHHER >Dexi1B01G0021380.1:cds pep primary_assembly:Fonio_CM05836:1B:27291708:27292651:-1 gene:Dexi1B01G0021380 transcript:Dexi1B01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAVRGAPQWLRGLLSEEFFDACAAHSGERKNDKNHFCVDCAAALCRHCLPHEPAHDVLQIWKYASCFVVRVDDLRLFDCSGIQSHTVSDHEVVFLNERTARKRSASAENPCAACARPLPPGHDFCSLFCKVKHLGESEHGLRRALRVSRRHEEAATPEGQSGKRRPSPSSDAAGPSCGGSLRKRSRKQPEPERAPFC >Dexi2A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:2A:6163420:6164859:1 gene:Dexi2A01G0006510 transcript:Dexi2A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLPDDVVEEILARFPPDEPALLVRAALACKAWHRIVSGAGFRRRLHGRAPPLLGFFHHNGSHPPSFNPTSPPFRPPYSPRAGWLILNARHGRFLLRAKVHP >Dexi9B01G0025670.1:cds pep primary_assembly:Fonio_CM05836:9B:26887426:26888413:1 gene:Dexi9B01G0025670 transcript:Dexi9B01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELLQWLASLLALLLAIYFLDLLAHRRHGLPPGPCPLPVIGSIHLLGDLPHRSLARLSKIHGPLMSLRLGAVTTVAVSSPEIAREFLHKRDAVFATRPVPDAMSSHAMNSVAWLPVSPRWRALRKMMATELLSPRRLDALRDIRRDKVQELVEHVGRLARQNVAVDVGGVAFTTALNLVSCTVFSRDVTSLGDHGELSEFREVVLQIMEAGGCANLSDFFPAFAGADLQGCRRRAAKVFARLHRVFDAEIYQRQRGREAGEPRRNDFLDLLLDVGTGDNDGTATLDRDTLRSF >DexiUA01G0015920.1:cds pep primary_assembly:Fonio_CM05836:UA:34044261:34044881:-1 gene:DexiUA01G0015920 transcript:DexiUA01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINPLTSWPHYQPPGLSFTSATFISNGPPSSSIPPQTLTPLPSSPPAPPLCLAAAAAEMGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSR >Dexi9A01G0046980.1:cds pep primary_assembly:Fonio_CM05836:9A:50182278:50184516:-1 gene:Dexi9A01G0046980 transcript:Dexi9A01G0046980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSKPWQSRPHRTVVFLSLGLLAAAAAAASATAQGLRSTSKQRRLRSRRAFQLGRRSNRSSSPSVFRVSSTRRPPPRPYSASTPPRELTTSLLGVDSSPSARSTFEKKFVYVNGQINVIGNEIKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLVKENIQLNRKVLSELSMHEPYSFKALVDVSRNAFPGNRPVAAKEGLASIL >Dexi5B01G0029390.1:cds pep primary_assembly:Fonio_CM05836:5B:30626371:30628806:-1 gene:Dexi5B01G0029390 transcript:Dexi5B01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLVSVDKFSAGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPTTARLLPTRFPGIDASLLRPLAPGASASLSLSSPTSDRPLSLRVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWEVGCDRARRAKQALLDALGGDTVDLVYLDNTYCHPSLNFPPRLVVAEQIVNIIRAHPDHEVIIGVDTLGKEDLLLHISRALQTKIWVWPQRLLTIHLLGIDENHEVFTTQTSLTKIRAVPRYSVTIESLEALNTVCPTIGIMPSGIPWLLRSSEEKAKPKVRPPAKSARSKGRDEGPTKMDYDPLSPPKLFDKDSYTLPYSEHACFSELKDFMQTVRPSTVVGIVSTSFCYVNPRHHFRHLCSDSDANDVGTPINNKGRDSDNLTPKRRHNGSATPEGKKIRISGSSLYRSKVTMKRKDGCGARINDTEELIGVA >Dexi9B01G0043940.1:cds pep primary_assembly:Fonio_CM05836:9B:43838072:43840098:1 gene:Dexi9B01G0043940 transcript:Dexi9B01G0043940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHRSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITRRQSFDHIPRWLDELRAHADKNIVIMLVGNKSDLEEQRAVSTEDAKEFAEKENLFFLETSALQATNVESAFQTVLTEIFKIHSKKNMVSEPKSNGAAPAMPGKKVVVPGPAQEIPKSKCCSSM >Dexi1B01G0025060.1:cds pep primary_assembly:Fonio_CM05836:1B:30237061:30238749:-1 gene:Dexi1B01G0025060 transcript:Dexi1B01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSASATLAPLAPPPPKATARSSPRRAPANASASVATAASSAALLALTPAAPAAALSKDDVTGSFTKVVDTVDLAIGVGGKVVEQVSDVLKLLAEAAKPALPVLKSATDEVVKLAAPVVSGASKQATEALQGAGVDPVPVLTAAKTAAEQSSKVIDAAKPVASATVETITSLSPEDYVVAAGAAFLAYLLVPPVWSLVSFNLRGYKGDLTPAQALDKVTTQDYVLIDVRTDKDKAKAGVPQLPSNAKNKLVSDLPSKLKGMVRNAKKAEAEITALKISYLKKISKGSNIIIMDSYNDVSKTVAKTLNSVGFKNCWVMAGGFSGGKGWAQSRLGTDSFNLSVVEVVTPSRVIPAVAGRIGTTAGRIGTTSSASRAPSRKLLPGSVD >Dexi5B01G0024930.1:cds pep primary_assembly:Fonio_CM05836:5B:27026988:27027393:1 gene:Dexi5B01G0024930 transcript:Dexi5B01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPDGLIWDIVRKNNSFLIKQFGNGNAKVQFSKEPNNLYNVHSYKYSGLANKKTVTVQPASGKEMAVVLSTTKTKKQNKPASLYHTSVMRKEFRKMAKAVKNQV >Dexi9A01G0043290.1:cds pep primary_assembly:Fonio_CM05836:9A:46792284:46796899:1 gene:Dexi9A01G0043290 transcript:Dexi9A01G0043290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPPHGPGRRGGGGGWGAGWYWRAVAFPAVVALGCLLPFAFILAAVPALEAGGSKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVSNEEFPSNEKLPESFRDFLLEMKDNHYDARTFAVRLKATMESMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTIRSSSVPEKVVFHVITDKKTYPGMHSWFALNSVSPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWEIDLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVISRSLDPDDCAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHLLGLGYQEKTDIESVRTAAVIHYNGQCKPWLDIAFKNLQPFWAKHVNYSNDFVRNCHILEPQYVKE >Dexi6A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:6A:19320381:19325641:1 gene:Dexi6A01G0012640 transcript:Dexi6A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAPSRGPASFLTQANALLRKNLCFQKRNLKTNIGITIFPVLLCVILVVLQGVIDSELDKPKYRCGCACVDPGPTAVGAACRRTECGIQYSTLDQVGSCPIPSPTPWPALVQLPRPESRAVMTAGQPFDGLPDPTCRDTGTCPGTVLFTGNNRSLAESILFYGVPFYVMYLLAINNSTSQFIINIFPDLVDYVVLTIFISTDVDCIQGLLLWRESASVVNDELFKGYRQQAGGTGGGKTNEFVAGYDFLNTNRNGLEINIWYNSTYNNNTGFGSIALLRVPRLVNTASNAYIKFLRGTGVEMLLEYVKEMPKVGTQPKFDLSSLLGPLFFTWIVQLLFPVSQQKLKIMMKMHGLKDGPYWLITYAYFVALSAIYMILLLIFGSLIGLNFFRTNAYSIQIVFYFIYINLQIALAFFVASFFSTVKLATVVGYIYVFGSGLLGNFLLGFFIEDTHFPKGWIVVMEIIPGFSLYRGLYEFGEYAFAGNAMGTDGMKWTNLDDPVNGMRSVLIIMVVEWAILLPLAFYVDRVSSMGGGFQKNPLFFLKYFKKRAPSFRRYSFGRQGSQVVVEMDNPDVAQEREVVEQLLLEPIANQAILSDNLRKVYHGKDGNPDKLAVQGLSLAIPKVYCCSAISDQAFCNECSLLWETLTGREHLLFYGRLKNLKGDELLKVQFSMSICAVDDSLKSVNLFHRGVGDKQVGKYSGGMKRRLSVAISLIGDPKLKARYGGTYVLTMTTSSENEQEVEQLVHRLSPNASRIYHISGTQKFELPKQELRIADVFHAVESAKSRFSIYAWGLVDTTLEDVFIKVAKGAQAFSVVA >Dexi4A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:4A:4313075:4313771:1 gene:Dexi4A01G0006020 transcript:Dexi4A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPSPQDTAGDELHHRQASTASSSFLSPALVAKLHRFNLASVQARGNGGKADDASATATGAAVLPRITAAVPAGHAGMGIAHSPSSSSAASGGDWSGGFLEEQYVDQMIEELLDSNFSMEISY >Dexi9B01G0032520.1:cds pep primary_assembly:Fonio_CM05836:9B:34736641:34739118:1 gene:Dexi9B01G0032520 transcript:Dexi9B01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEADVPTTTLLQRRSSSSSPPWSTIGRRLVSTLAGDNVAWRAHAGMVFVQLGNSGYQVLTKSVLNVGMNQVVFCVYRDLIALAVLAPVAFLRERGVRPPVTPQLLGYFALLGFTGLFLNPILFLVGLGYTNPSYAGALDPSVPVFAFLLAAIAGVEAINISTKHGILKVLGTAVCVSGAVLMALYRGPSLISLLGGTGDPAPADASVARYTAEWLTSTRLGFGGVEAWHLGVLCLIGHCFLLGAYLVIQVMQILDSFYLWGCYQILLCIIGGGFVIAGLYIVTWARYNEAQRALMEGYLGPLVVYRRVPKTQESAGMDDPC >Dexi9A01G0038390.1:cds pep primary_assembly:Fonio_CM05836:9A:42664976:42665818:-1 gene:Dexi9A01G0038390 transcript:Dexi9A01G0038390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLPTAADEPTAPPGPPPKPVLSAPAVPAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQASAPPCRPALSLGAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSALTDRLGPNNPAAHASSGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVGHCIRTVLRDEGLGAFFVSYRTTVVMNAPYTAVHFATYEAAKRMLGDMAADEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQL >Dexi9B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:9B:542422:545514:1 gene:Dexi9B01G0000890 transcript:Dexi9B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPAPRVSISRTDSASAERMQEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFDADPAKEKKKPKEGDNIVQDAPADIPSLLELKRIYYEHMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPSKWIPILRKICWYLVLAPHDPMQSSLLNVTLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKGEYESEKNLLGGALVAKAAEDLKLRIIEHNILVVAKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPLGVVSFCTAKDSNAVLNSWATNLEKLLDLVEKSCHQIHKETMIHKAALKA >Dexi7A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:7A:23694763:23697007:1 gene:Dexi7A01G0013710 transcript:Dexi7A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVQYRKGLWSPEEDEKLRDYILRYGHGCWSALPGKAGLQRNGKSCRLRWINYLRPGLKHGTFSLEEEETVMSLHAKMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGSKCAADPATPAGSDVDGSPGSSENSNGGGVSRPANSDASEPVESSSADDSSCLTVTENAAVAAAVRPHAPVLPKVMFADWLDMDYGTSLVALGPDAAGVFDEMSGRSSPGQGLMQQVDGPCGAVDSSLHGGGLVDGGICWGFDAAADHMDVQGAGFCDLLSVTEFLGIN >Dexi3B01G0029470.1:cds pep primary_assembly:Fonio_CM05836:3B:28736285:28736989:1 gene:Dexi3B01G0029470 transcript:Dexi3B01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGVAAVTTVSAATTSMMHHRHLKGSPEPGKFLGEKELVAGNRMPMPDIRDKMPPRVFLSRDIAGKIPFEPATVSEVFGVPLNTAMGKTVASTVAECRRPSSKGETKRCATSVEDMVDFAAEMLGNDIVVRSTASTAGSDGEIELGVVTGVYGGRVKRSVSCHQSLFPYLVYYCHSVPKVMVYEADITAGDGSDEKINRGVAISHLDTSDWSPTHGAFLALGGKPGKVEVRH >Dexi3A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:3A:2813818:2814864:-1 gene:Dexi3A01G0004260 transcript:Dexi3A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMDKTWAMYNEEAREMYHEEAKHHFTFSHCWKAVWDQPKWKRYVSSVCYKKTKLSESGDCTSSSEDAEDAPEIETGEQDSMPVKKQKVKGKAPSPSSELQEDIRYSVDHQSMIGKNDKEMVGAELQRSDQNLELARTNQLEMKGKEMEISGMQTGQADTSRIHEFQHERDGLMPDTARFNEFQHGSAAREDVPEKKTHPQGHKTVEHAGTVRVGLPENKTHQLGSKMAKSKRKLKGNTSTTPSEVQEDIKRAVDLQAMLQKDREKMSEAQFRLSKEKLELARLKQQEAKDRKETILYEKYTELLMADTQRFDGFQKEEHRKAVKRMGEMLFGNDGVQISSDNLKHM >Dexi1B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:1B:23388:23669:-1 gene:Dexi1B01G0000010 transcript:Dexi1B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSGQRYRPCYESGGPPSRYSKRTSRASAIRHCGIGPRAPAPTTLPSRLGASRGVADGLGGRKGPPPPWSKRQAPGLASLPPSSHWSRERQG >Dexi3A01G0035170.1:cds pep primary_assembly:Fonio_CM05836:3A:40447396:40450372:1 gene:Dexi3A01G0035170 transcript:Dexi3A01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAAELINVNGGPTTTTTSLADDLNAVAEILLRLPSPAALVRAALASRRWRQVASSPVFLRRYRSRHPSPPLLGLYAQRSAHAGGLPSFQLADSVRSDRALARFVRAGDFNLTGLDSHPEWRLLDCHNSRLLLSRGESRAVYDPVSGREPLWFLQNSPLQEGTSIISECLLQGRGGDAASFCVVSVEHRGRDQMVRAAEYNSCTRQWRRHQWVKNINRPQDDKAMRAGSRFIFWRYQDTSLLLLDMATVELSIVGLPFTFFQPSMYAVGDTDNGVCCLVGLVGSINNLHLQVWLLKEDGAAKTWVPEKKVPVSQVLGKDAQLLQVRVVTNGLALLCWDRCHQFAINLKKMCVDAEFECSALGYPLQMPWPPAVLVVTRSETINCAMVAVTQNLSAIEENKMNMMVGVQCDKMVHRSKTIPTSKLDHAGDMINYNQMAIHGSEMIQGNQMTHCNDTVVSVIRTKSRYGSEMISRDHGGHMINCNHTAIYGSEMVQGIEVTHDNSTAEETPPTISRCSNEMDHIDEMNQCNQMVIRGAEIVQGIETTYGTHTVETAPTTSRHGCEMVPVSRMDHVHSVIRCNQLAILDKQMVQGIEVPHAEAAPDAPPTRARRRRKNSIIWEHFTSEIDSDGCTRVCCNYCKRTFASSKTAGTSHLKRHITQGSCPVMKGQVLPLAGKTGHCGSGAAEKPSKRQCIYVGPGNNMFNLNSNRSYLGNMDILTEPLTTKQGSEYSISKCLKVLHDMDNVSDEIKHLAFHVLEDATNREIFMSYESRLRGLWLKKEVSKLET >DexiUA01G0005170.1:cds pep primary_assembly:Fonio_CM05836:UA:9324353:9324587:1 gene:DexiUA01G0005170 transcript:DexiUA01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEARVGGERGAYGDGEGRRAGREAEESGRGGSPRGGSAPAAAQRPPGDPRTAVLPARPSPTAVFRVAGGGFWTL >Dexi2A01G0021870.1:cds pep primary_assembly:Fonio_CM05836:2A:33830514:33834134:-1 gene:Dexi2A01G0021870 transcript:Dexi2A01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPGTLSLAGRRVAFTTPQTGGGGAYGGRLGSLLRQRGARPVPVPTIAVEPHDPDRLRPFLLPGALDHFAALAFTSRSGISAFARALPSSHRPLSDASAFPFTIAALGSDADLLDRAFLSRLCGGDAGATRVTVLVPEVPTPAGLVEALGRGSGRRVLCPVPDVVGLREPPVVPNFLAGLEEAGWVAVRAPAYTTRWAGPGCAEALVDPDAAAPDAVVFTSTAEVEGLLKGLDAVGWSWARLRARWPGMVVAAHGPVTADGARSLGVEVDVVSARIFLYLLIREGGSGPEPSASLAAVLENGTVRDPGNRQKVAHLWKLPGAKERLQIVRADLLEEGSFDEAVMDCDGEETLLPAVNGTLNVLKSCKKNPFLKRVVLTSSSSAVRIRDDAQPNVSLDETTWSSVALCEKMQVFAEKAAWEFSKENNIDLVTVLPSFVIGPSLSHELCVTAKDVLGLLQGDTARFSCYGRMGYVHIDDVASCHILVYEMPEATGRYLCSSVVLDNNELASFLAKRYPIFPIPRRLNNPYGEQTYQLDTSKLQGLGFKFRGLQEMFDDCVQSLKDQGHLLECPL >Dexi4B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:4B:21048206:21048452:-1 gene:Dexi4B01G0018700 transcript:Dexi4B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIESVGAVGDRQDRTGTPPVAVALGDQERRIAGGSTGRAGEVSDGGQRWNRAASRPPMMMRRRQAAVERNVWGDA >Dexi6A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:6A:27388040:27388429:-1 gene:Dexi6A01G0019710 transcript:Dexi6A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTRTCVPLMVPEHGRRRRRRRGPSPGGDDVRQRGDDDVALLLLQQQPWPPHAAACSSSRRLWLGMALATVAGALWLSSGGGGGGCGGFSGTIHDDDARLCGGAKESSETRPICLSRVELLVAWWLY >Dexi5B01G0038450.1:cds pep primary_assembly:Fonio_CM05836:5B:37639464:37639946:-1 gene:Dexi5B01G0038450 transcript:Dexi5B01G0038450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSQAPPPPPGYPTAAAGAEQQGGARKGRRGKTTSRGEKGFIEGWCHRGSVLLLDLRDVLRLAASPSIG >Dexi2A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:2A:13651999:13653164:-1 gene:Dexi2A01G0011740 transcript:Dexi2A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYDPAINDYRNMPGVETRVPSFGSARGFRHKNPAKTDWCLGKLIAALENLGYRDGDTMFGAPYDSRHAPPVPGQTSEVYSRYFKDFMEAIELASNKKQKKVVVLGHSFGGMVALEFVRNTPLAWRQRYIKHLILVAPTLPYGFLEPVKNLAIGTDILYVPTTTPLSTRSMWRSFESSIVNFPSPAVFGHEPLVITKRRNYSADEMEDFFAAIGFSEGIEPFRRRATPKAKSFEAPMVPMTCINGVGNKTPLQLVFWDEDFDASPNAVYSDGDGKINLISVLAFDKEMARQLGQNKQFKSIKIDKAQHSTIVTDDFALNR >Dexi5A01G0028660.1:cds pep primary_assembly:Fonio_CM05836:5A:31866838:31867467:-1 gene:Dexi5A01G0028660 transcript:Dexi5A01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAAAATTVVARAAIARPNALGLPQLRARSERVRCSYSKGDGKNVVSAKGAGASLLAAAGAVTASAGPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSDLDEDEESGLSL >Dexi5A01G0038600.1:cds pep primary_assembly:Fonio_CM05836:5A:39546334:39547434:1 gene:Dexi5A01G0038600 transcript:Dexi5A01G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDEAQEEGAAAVAAVSVTTTPHADVAGIVPSLLPLETRCPPFNLRHYAGFWLPEVTLMEGFRAVHSGVFSPRPTDVLLASFPKSGTTWLKALAFATLKRSDHHPLAVDHPLRHRNPHDCVKFLEFEIGTGEEFEALPSPRLLATHLPYTLLPESGCRVVYICRDPKDALVSYWHFTKKASPAVGVDARSFTIQDAFELFCQGRCLGGPQWQHALQYWEESLKRPDRVLFLRYEEMLLDPESHLKKLAEFMGCGFSGEEEESGVVSAIVELCSLGKMKDVEVNRNGSANRLGVKYEYFLRKGAVGDWSNHLTPEMAERLDKIDAKVN >Dexi6B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:6B:2507318:2508454:1 gene:Dexi6B01G0002990 transcript:Dexi6B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGTAHGASYTVGAPARSWGPGTNYTGWASGVTFHAGDQLVFKYTRGAQDVLELAVRVEAGRGGAPNAAAPSSPAPVAAVAPRAASSGPAMSSSTSAAAVQSLVGFSLAALVAGLVALF >Dexi7A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:7A:17662458:17664099:-1 gene:Dexi7A01G0006280 transcript:Dexi7A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWCPSPESVDDQNAETVKYQSSKIAKDVTELIGRTPLVYLNKVVAGCEARVAAKLEIMAPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYKLIVAMPASVSTERRAVLRAFGAEVVLTDPSLAMDAVVRKAEEIAARTPGSYVLQQFANPANPRVHYETTGPEIWTATAGKVEVLVAGIGTGGTITGAGRYLKEKNPHIKIYGVEPSESAVLSGGKPGPHKIQGLGAGFVPSVLDVGILDEVFQVTNEEAAEMAKQIAMTEGLLVGISSGAAAVAAVRVARRTDNRGKLVVVIFASYGERYLSSFMYESLKNEAESMVFEP >Dexi2A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:2A:3346120:3348513:1 gene:Dexi2A01G0003820 transcript:Dexi2A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFGSLPAVVGSSVDAARLILKTHDLSFIDRPRWAVGQYTGYSYSDMLWSPYGAYWLQARRLVKTELFSTARLREHESVRDGEVRAMLRGLYSSSPAGERRAVRLMDHLFTMNINVISLMLFSRKYISDDGGGDDGGAGSSTTKFEEFKWMIEEFFHDERRRREGQAFVAKDMVDLLLELADDPNLEAPIERNGVKAFTLNLLVGLPDTTSVTVEWAMAELLRRPDALAKVTEELDRVIGGDRHVTEGDIASLPYLEAVVKETMRLHPVSPLLSPRLSREDVATGGGHDIPAGTLVFVNVWAIGRDPAVWGEDAAVFRPERFAGSRVDVKGQDLELLPFGSGRRMCPGVSLGLRMVQVILASLLHAYTWRLPAGVEELNMEERYGLSMPRLVPLEAVPEPRLPAHLYAGP >Dexi6A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:6A:82993:84225:-1 gene:Dexi6A01G0000110 transcript:Dexi6A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKSTGNAARSRKRVEATVLKRSKDGSAFARWIVEVPDRKKSSAPAKGGRAKADNSKDPKHKRTPTAFFLFMKDFRKDFKAAHPDIKGVTVEKKPYLDKAAELKAEAENAEGSGENNVAAAEKEKKPKEKADDQDGEQEVDQPVKRRRINKVDEEEDEDEEENELDDDLDDDM >Dexi2A01G0030390.1:cds pep primary_assembly:Fonio_CM05836:2A:41352962:41353754:1 gene:Dexi2A01G0030390 transcript:Dexi2A01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTAAAACLPDDLVVEILWRLPAKSLCRFKCVSRCWRRLIPDPAHRFRLAQTLSGFFFCSRDPPWRFTALPSFVTPLGLAGDGGLPLVDTALSFLPPSCGEIKIMGSCNGLLLLLCSNDDELSRSGPPPFYVVCNPATREWVALPQPRYTLGQFSTIITWYATVGFDPAISSHFYVFQVVEEDYMITNYLKAVEIYSSETGTWDKRESEYLHFLGQIAYFNGFLHLPMEYNDIVSVGTKGQPWRVTQTVVVVT >DexiUA01G0009630.1:cds pep primary_assembly:Fonio_CM05836:UA:19033785:19034682:1 gene:DexiUA01G0009630 transcript:DexiUA01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHEVLEPQAPIIITPVPMPVVVVAPQLCAPYAVALTVTKKVMSLHGNDFTITDPNDAVVLQVKGKGMMRHHRCVLLGANEQPILSFRGTMLTMHNKWEVFRGDSKSPSDLLFTARCPKLMQLLKTEMDIFLAGNNTDQQFCDFRLKGNYFDRNCAIYLGDSDIMIAQITRKYTAANVLLGRDTFNVTVFPNVDHVFVAALVVLLDEVHSKHRRHRQHFVAGLVTKGLLFL >Dexi1B01G0027010.1:cds pep primary_assembly:Fonio_CM05836:1B:31830868:31831489:-1 gene:Dexi1B01G0027010 transcript:Dexi1B01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGEFVVLHVQQPPNIAAGLNPAPIPFGGPSGVEVPAFTQAIEAHQRKITQAILEHALQICAEKNVEVKTEVIVGDPKDKICEVTANRNADLLVMGCRAIGPLQR >Dexi6A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:6A:7886116:7887546:1 gene:Dexi6A01G0007830 transcript:Dexi6A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGGDAGILHLLMFPWPAFGHISPFAQLARKLVSIDSSIRVTFLTSAGTVPRVEAMLASSASPVKVTPLSLPHIPGLPSCASSTADLTADGAELLKLAVDATRPDISALLAELRPDAVLIDFATPWVCDLARPLGVKVLYFVVFSASSFAYTTVPSRHPSSGHHHDLMVGPPGFPATSALATAPAHQARDLTYVYTSFYDMPTVYDRVLAGVNGSDGLVMKTCREMEGSYIDYITSQYGNNKRVLLAGPVVPDPQPPRGDDELDDRWATWLSAFPDASVIFASFGSETFLPAAAATELRLGLEATSSPFLAVLNFPKAAMDDAAATELEAIIPVGFEERVEGRGVVHTGWVQQQQILRHRSVGCFVNHAGLSSVVEGLVAGCRLVMLPMVDQHFNARLFARELRVGVEVARRDEDGWFGREDVRDAVEAAVKDGGEEDDARKWREFFTDEDVQSRLAVDFVRELKEVVKGQA >Dexi5A01G0039440.1:cds pep primary_assembly:Fonio_CM05836:5A:40073780:40076282:1 gene:Dexi5A01G0039440 transcript:Dexi5A01G0039440.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAYTQMPVPPPPLFASMDALYLHLLRSCASLPHVAAVHAHIARAHPAASLFLRNSLLAAYCRLGGPLPAARLLDEMPRRNSVSFNLLIDAYSRAGLADRSLGTFARARATRVKPDRFTYAAALAACSRAGDVRAGKAVHALAVLEGLAEGVFVSNSIVSMYARCGDMDEARRAFDVACEHDDVSWNSLLSGYVRAGAREETLKVLALMCRCGTGWNSFALGSVIKCCASSLDITGHIAEAVHGCVLKAGLDTDVFLASAMIDMYAKKGALSNAVALFKSVREPNVIVFNAMIAGFCRDEAEVGKEATVQALSLYSELLRRGMQPTEFTFSSVLRACNLAAEFGCGKQIHGQLLKHSIQGDDYIGSALIDLYSNSGCTEDGYRCFRSLYKQDIVTWTSMISGFVQNELFEKALRLFQELLCYGLKPDLFTISSVMNACASLAVARTGEQIQCLATKSGFDRFTVMGNSCVHMYARSGDVDAATLRFQEMESRDVVSWSAVISSHAQHGCARDALCLFSEMMNAKVVPNEITFLGVLTACSHGGLVDEGLRYYEIMNKEYGLAPSIKHCTCVVDLLGRAGRLCDAEAFIRDSGFHDDPVVWRSLLAKCRIHGDTERGQLVADRIMELEPTSSASYVILYNMYLDAGELSLASKTRDLMKERGVKKEPGLSWIELKSGVHSFVAGDKSHPESNAIYKKLSEMLSKIEKPANTGNVSRESNDVSSREQNLEGCHSEKLAVAFGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISGSENREIILRDAIRFHHFRGGACSCGDYW >Dexi5B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:5B:3345187:3346096:1 gene:Dexi5B01G0005000 transcript:Dexi5B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQQGYPLAASASAAAGASRWEASLDGVIVLPAGSAAPRPPPPPRPPPPPHARQQREREREKPGAEGVFVGDHHHHHSEPPEKVFYKTRLCEKFEAGKCAYEDGCNFAHGQAELRQPLPVPFALKRRTPPPAGADGPYGGGGGYYGKVCFEFRDKGSCHFGERCAYTHASAAEVVAEMRYPGGPRSVEHALRNAPPSARAALLMPAPAGGAPRGSSSSSYPPAAARAFPTPASATGEDDIKLSRLELLSRKKTTGIYGDWPEED >Dexi9A01G0039480.1:cds pep primary_assembly:Fonio_CM05836:9A:43435914:43443150:1 gene:Dexi9A01G0039480 transcript:Dexi9A01G0039480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPSGDAGVGAREGEGPGAGEEEVDEDEVEPPPAAVSFWRLFEFADGLDWALMAAGALAAAAHGAALVVYLHYFGRALNLLESQRVDSPLYGRNEELLHRFKERYHAGFSLGNDRLLSSDQNMFRYVHLQAISYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIVRGKADGGEVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSINQEGITLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGIALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQFEDASVSQYFQEPSSPKMTKSPSLQKTHGMLQFWRSDTNRNSHDSPKDLSPPSEQTVDNGIPMVAIETERTPSIKRQDSFEMKLPDLPKVDVHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDMSSEHSELDEVQHQKPPSFWRLATLSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRLEFRDIHHEVNRWCLFIVGMGIITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDKEENNAETLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVSLLIGMLLDWRVALVALATLPVLVISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLVQGLAIGFGFGLSQFLLFACNALLLWYTAISVDQQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLNSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDQNGLYVRLMQPHFGKGLRQHRLM >Dexi3A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:3A:10315136:10316153:-1 gene:Dexi3A01G0014140 transcript:Dexi3A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKGNTRKSEIVFFDVETTAPSPEGRWWLLEFGAILVCPRKLVEVGSYDTLIRPGDLSAVSRRFTDVESIASAPTFHDVADKIFDILDGRVWAGHNIQRFDCPRIREAFAEIGRAAPEPAGVIDSLNVLAAEFGRRAGDLKMATLATYFGIGTQKHRSLDDARMNLEVLKHCATVLLLESSLPHALQLGARDGAVTRRSSAAASAPAAAHRRPAMAQTKLPFTPVKAAPVTNTTAANNKSGGKRDSLGKLVASNSKPAAEGAGAAPLSVRRPTSAAATTPFHMILRHSRAVIR >Dexi3A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:3A:10282658:10284495:-1 gene:Dexi3A01G0014090 transcript:Dexi3A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLVSNLGLPNQITKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRENRVYEGQRDMLYNQTYNLDQVAFAAEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESESVPSYLQPDQESELNLPAAPTGHAAPPHQQQVVKIVGS >Dexi7A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:7A:13309468:13313356:-1 gene:Dexi7A01G0003580 transcript:Dexi7A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMENYGPHLNNNSEQVFDFMGESMENDVRQLINNNNDQVLDFMGGIMQNAGHQLMNSNEQVLGFMGGTMQNDARQLMNSNNVQVPDYMGDDTSLNYSVEYDVPALLGTEDHHDVGSNQRGNDEDRHSQSGPPPSKRKKRFSVHQVHELEALFKACTHPGPEARKELGERIGLDERQVKFWFQNRRCIVKLKACGEENKDMLEENTKLRAENSELKQQLLMDPTCLACRNPTGAIPTTSDKWLLLSENARLKDELLRAKAYLNMIRGSQQHTSMSASASNDLVPPTNNQQVTFFSHADRALNEFIMLATKGQPMWLPTIDGEVLRDQEYDLHTFPGILGVCPRGYIVEATRDTDMIKATAIDIVNVLTNVAQWSGMFSNIVAYVRSSTVISSGSFSSRDGLIQLIDAEFWVQSPRLPTRSVKFLRFSKMMKNRTWAVVDVSINGNHGVEQESSGTSYMGYRLLPSGCLLEDMSGGFCKVTWVVHSEYQEATLPPYFRQFFHSGKAFGARRWLKSLQRQCDYMGVLLHSSINVPTSGGSSSSAGTTMSALGKRGVLELAQRMTASFYSAVSGPIAVPATNIVDQLCVSSGTGAKRLEAGVRMVTWNCAEVMPGEPVIMALSATATVWLPGTPPQRVFEYLCNLQRRGEWDNFLNGRAVQQLEYVATSSRLHGNGVSVIRPTIV >Dexi4B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:4B:20595267:20596344:-1 gene:Dexi4B01G0018220 transcript:Dexi4B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKYAVDVDADLERFPKEWLFHHRWGKKPGTVNGKKIEFITAGGRTTAYVPQLQKLTGTQSSKMVAVDLEQLAENGDAKDLEIDGEDADILKPKKRAATSRAARGQQNKDTIGASSRKVRGSDAELKVAVTNGNGEQVSDEPNCNAVSKSDQVTRRSSRKVKPCK >Dexi9B01G0043520.1:cds pep primary_assembly:Fonio_CM05836:9B:43477500:43478243:1 gene:Dexi9B01G0043520 transcript:Dexi9B01G0043520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTVQMADEQGLTVIFLAFCRQFCSDLAYYLVYDKATASLSLIQYVPDRFEAVCTTKPVVKRNGSGDFELFVMALDELSPAPCKVLCACTPETRANPAASGGNGPWQTKKPIQIQHEDIQEPFIADVAFSFQSKYGIWADLSRGLVYCHLDTSDDDMDFGFIGLPRECLLDEDEDDDDDGNATRTMSCVRDSIWFVCIDRHATDPKDDLVKMWALKGGNLFQNHPRWEKMVEVRASELWGFDGFD >Dexi9B01G0040760.1:cds pep primary_assembly:Fonio_CM05836:9B:41277553:41280717:1 gene:Dexi9B01G0040760 transcript:Dexi9B01G0040760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKQQQSLYPEVNQSHPDLNTPFYCAPTTSTGTATGSSLYPTVDPNELAENLFPETAEEEAAPPPPTTEETIVAVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHSVAVLARLTPEKPHQRRGLFRLFSSARSGDGAEQEPVQWPLTRDVAAVKLDAAHYFFSLHVPHTDHPDDKEDAEDAETEAEAALSYGLTVAGKGQEKVLEELDRVLEEYTTLSVKQVEAAAKEKSEVMDSKAVTEITPEEAVGDKKEVIEEKSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRRGEDVVKKSVGPSAKPTQVKPSTLRRMKRARRVTKMSNRVANSILSGVLKVTGFVTSTVINSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMQTSSVVTTSVVTHRYGEQAGEATHNYLHATGNALGAAWAVFKIRKALDPKGNMKKSSFVSQAAHAVAKESISRQKKK >Dexi7B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:7B:13779850:13780549:-1 gene:Dexi7B01G0006180 transcript:Dexi7B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAVTLAKSCCRCRVKLEKILCIIQEGCGFVFDKVEYDKDGKVMITGTFDAIDLCCKIKCKARCFVTKVEIVPPKKEEPKKKDDEKKPETKVIPCPYPYPYPYPCLQPQPCPSTTTTCPTPPPDTSCSCGFCKPKPVCPPKICCPPPPVVCPPPPPTWCPPPPPPCPYPPTCRPPACGYPRDCYQEPDGTCTVM >Dexi3B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:3B:8910997:8916792:1 gene:Dexi3B01G0012700 transcript:Dexi3B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAATAVAAPTPARLRLRLPLGPRAPRSGEPPPLGFLPPLLPLPRIRCSGPPPPPRSLGPISPRGNGGVARPGHCRAASSSRLLRFSCSATTMGDETSTSVPAQEQEPTVGAGSVKQQLSRLVISSLRATVPEVEVEPMVEVSAKFADYQCNNAMGLWSKVKGSGTSFKNPNAIGQAIAKNLPSSDIIESTSVAGPGFVNITLSNRWVAKRIQDMLVNGISTWAPILPVKRAVIDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVDVLRRNHVGDWGTQFGMLIEYLFEKFPNWQEIGSQAIGDLQIFYKASKSRFDNDPEFKERAQQGVVRLQGGEEKYREAWKKICDISRSEFDLVYKRLNVVLEEKGESFYNPYIPQVLAELNSKGLIQESEGAQVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFSAARMAGWLPDQKGKFPKTSHVGFGLVLGSDGKRFRTRSTEVVRLVELLDEAKTRSKSELLQRLTENGKIVDWTDEELEQTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKTSGVISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVVCEYLYNLSEMFTKFYTNCQVVGSPEETSRLLLCQATAVVMRQCFQLLGITPVYKL >Dexi4A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:4A:456460:459846:1 gene:Dexi4A01G0000720 transcript:Dexi4A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVCRSIEKDKERYGELCAGLKFIKKEMEAVTGLMKDKDKVCRGAVQEIRIQQLQELAYDVEDFLESLRDPGQGAYGKLLVAIRMDPRPEQLRSIDRFKVTLSSITADLKQSAESNKGEADAMSDDEDEEAVEQLEAMDGPKSKIVELLKPSPGEGQQLRVISIVGCHGVGKTALARAVYHKYYSSSDEFDCVAWVTAASGCNNKKALLDKILHKVRADLASRAPHHTENDAPTEAQGGSTTKPNLHDILSDKSVVLEYIIQKSVAKNVVSLIQGHEPVLKGSTEACVRRLSIQSSSKERFDELDQDKKSALRSLTMFKTAPCDLRRCKMLRVLDLEGCNGLDQEFLESLCELLLLKYLNLRKTRINKIPPKIEKLQRLETLDIRETKVERLPMQVIMLPELAYLFGKFQLPEDHDCPWKKTEERRSNNTDFIKLLLKMRVTPLESVSIVSSSEVCNDFLGSLEGPIKKLQLFSTGLTIKDLSALQVLRGLEYLKLVEYNDRFFNGVFIVEKKGFESLKSLCIDAPKMPSGIIEGISHLSNLSEVILHSSMQQAWETVADGHPNRPCVKRQPPEPTGNTVA >Dexi8A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:8A:30630281:30633677:-1 gene:Dexi8A01G0018300 transcript:Dexi8A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLAAASHGGAAAQGPPIGVNYGANADNLPSPSAVATFLATQTTINRVKLFDANPTFLDAFAANAPSISIAVSIPNSALPSFADQSTGLDAARGWVRDNLVPHSGANVTLLLAGNEILGPVVVPDLVVALLPAMRRLAQALSLEGLPNVRVTTPHYLGILAPSDGIPSNARFRPGFDNKVLAPMLKFHRDTGSPLMVNAYPYFSYNAQNLNYAIFRPNAGVYDPNTKLNYTSMFDAQMDAIYTAMKKLGYGDVEIAVGEAGWPTQADAGQVGVGPEEAKDFNAGMIRVCSGGKGTPLMPGKSFETYIFSLFDENQKPGPIAERNFGIFNTDFTPKYDLGLLRQGSSGSPNPSPNPSPKPSPNPSPNPSPSGGGKWCVAKAGADATTLQNNINYACGYVDCKPIQSGGGCFDPNNVQSHASFVMNAYYQANGRHDYDCDFKGTGTVTSSDPSYGSCKYVS >DexiUA01G0027630.1:cds pep primary_assembly:Fonio_CM05836:UA:58911154:58924375:-1 gene:DexiUA01G0027630 transcript:DexiUA01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYNEGVMLGHLLHIREQTRNLENQKKALLQEQQALIVEAAHQLTPRHKASPPCYVHTAPNSSAIDLPEIVVATPLQPPTLSRRTFPIEASTEHSKKSKGSMWSTTKNLPVAELQGVLPDGDYTLIPAEEEQVPEPDAGADVTNPGANPQSEQEGKPRSMT >Dexi8B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:8B:10172869:10175781:1 gene:Dexi8B01G0007910 transcript:Dexi8B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASMEVRRLEQLVFLLCCFSAITCRLHAQAQARTTLQNPNSSPHPGAASRILSETGNRSESALSIRTRRIDPLDELRKYEGGYNITDKHYWSSTIFTGRSGYVIAALWVIGGIIFLGTILLSKIFCTKRKERYTEFDYFLERYQIVTVILCILLAVFVLLQLVIIPAFFFSRVASAVALRGTVQFHSRAESVKEIIGATALQATATIYNITGAIEMMQNTSKLYNYTSQAWDHLNSTVEVLNSEAMEIQEKAEKNMRLVSRGINTLCIAFCWILTALFWMYFGLYYFFDKFAGDTCVALDEYQLNPQNSTLGTIIPCSEKLSGIIILHDVGAGIHDIIDQVNSNIYTIKSEYPVKQLGYICNPFTGPPEYQYRPQNCPSGAVTIGDIPQILKRLTCSDFGGGANCRPDDLSSAIDYDKVQSYTSSIQNVLDIFPGTERLVSCELVKAGFADIVGNQCAPLRRGARTAWAALAALSAAMALLLLLLVVAASGGARRHPGDDRLSVRHLTSSTNSEISEAEFAEMHAKKVRIRVGP >DexiUA01G0013270.1:cds pep primary_assembly:Fonio_CM05836:UA:27583491:27584995:1 gene:DexiUA01G0013270 transcript:DexiUA01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDTRSPSESPHASTVTPSTLGLIPTTYPMDTSSATSSRARAHTTLIANPAASHGTRRLRPRGGEPDSVVAAATAPRTTPRIAPLMSTATLCCRRCECEVQVKARSTGASAAEAMAYGRDHRCGAMSGMGRGVVVVVVIEEERRGETSDGGLALLGAAVGGPDEDDVADVQREERHGDPDGDGAVEQDREEVAEREEEEAEVAHEPSPLDDDPVAADGERDDAGDDGGHEQRPAERAAEPDTGAAAVAGGEGDDAGEHVGRAVAEGEQRDARDGRREAERRREALERRAEVLGRGVAEEVEEHHQPQRERRARRGGRGWGAGEAAVEEAEVVDVPRRGARGVSAEVCAPGLPALDGLQLRAVAPLPWGGGRGRGGTKNCPLPGYGGAAGGGGGGVTVAAGAGEEEEEEEEEEAGAGDGGGSARASPAGESHKMGSEAGGLTGAGLGRHAAAGARG >Dexi7B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:7B:24880452:24883835:-1 gene:Dexi7B01G0019380 transcript:Dexi7B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTVRYGRRRRSCCPAALEGETKMAGKRRRNDDDTAGSPPKRADPADDTVGSSAEFAKPIYLVAKRCDRKSAYSLLMVDAAAGGSKTSPARSLCRFHGANRGMSFVAAHAKHGSWIVGVGGRGGDTVIYDPSTRKELTGPWLRRPKHEPILISHGGKVYAISRRPKVGIDATRDFEPWFESLNFNKGVPCATVLGSSSWKELPQPPFIASLMTPEEFRNPPDISVSSYAAVGPYILMSLEQRDKGTYGFHVVKKTWEKVGDNGLPFVGHGAPLGGSLFAACGVNGDRAVSVFHMSIKASSTPAASSELATSVLSIQELPLAFPLASGGEIPQPDFFPLGKGSFCSIRKAACQSQESNCLVDLQIIKLTAFPMDNIEEANLAAEAPVQLGQHNHRGYEFNVRVRSNGLVPIPTRILGNPPPSPILPATVPMGHGRDKLRKLDGGGAMASPDKPDDASVPHPRLSPGHHQAEDDGRNRSVVYLALLRQDWTARQTSSPLYKVDVDITSDDPDSDDSSSPVAPSPPAAAPRVKLHRTRDLEADMSGKTFVFLQSSGWIVGVGVGGDPGRTIIFDTTTGQVIRGPDLVSKKWSPVVSVVGDKVYALTKAPNYLEDPDFSPWFEVLDLTNPIVSTEEEEGFLQLDADTCSWKALPYPIFFPHLLAPWEFRRPPVITVLSSVVVATYILVSLNQPSNCVFVFDTGSGQWHKVVGEHLPFVGAAAPRDGHGGDDDIFLAFSRENGSVKAYRIVVDHHCHHGEKQGAS >Dexi2B01G0030530.1:cds pep primary_assembly:Fonio_CM05836:2B:38773832:38775209:1 gene:Dexi2B01G0030530 transcript:Dexi2B01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFLALATVVATTRAQLSPTFYGSSCPAALVTIKTAVRAAVLLDRRTAASLLRLHFHDCFGCDASVLLDDTGNFTGEKSAGPNAGSLRGFGVIDTIKALLEALCPRTVSCADILAVAARDSVVAVGGPSWTVQLGRRDSTTASLSTANTDLPSPASSLSTLLAAFVRKGLSSTDMVALSGMFLTVSSLQSSPQRMSTVCCASWHGAHTIGQAQCQNYRARIYNDTNINAAFAASLRSGCPATGGGGASAPLDASTPNAFDNAYYSNLVAQRGLLHSDQELFNGGSTDSLVRSYAASSAQFSSDFAAAMVRMGGIGVLTGSSGQVRRNCRRVN >Dexi2B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:2B:28372754:28375101:1 gene:Dexi2B01G0017990 transcript:Dexi2B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPGEATPEPFRSLHISTTAAAAATTKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCNKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGKARPDASPACAGGVAAASAGSQKQLAPPAMSLSRTASSTSPSSDVVISPVPWPGPPMPQPSPTTTAAAFHMFDRVPSPPPSELHHRRGGHHNLELQLMPPSEHHHRVGAAPPRSPAVSSRSDAAAPMPLHLFIGGEALAAARAKEEQEEAAREQLRLAMAEKAAADEARAEARRHAEVAEQELASAKRMRRQAQVELSRAHALREHAVRQVNATLFQITCLGCRQKFRARPAALADCSYVSSVVTEGGDAEVEELMPRQLDADGNMRRRQHAMAMDIVL >Dexi1B01G0026200.1:cds pep primary_assembly:Fonio_CM05836:1B:31083406:31086677:1 gene:Dexi1B01G0026200 transcript:Dexi1B01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKFLEVAAVRVEREDLGRGRSCLDRTEEDMNSESWRLAEIAHGASSLAVAQVASITSTCSPRVPALPRMSCRRSPPKSAHLLPSSFFSDAACPGGQLAAVGFTIAAPLDVREEGEDAHCRRPSSPDLLRRTCPTSSASLPPVHPATVELLRHHRVAQATELVLDLHRPHRTFTTLTRRLSTSTTHPKALSPLALELNPSLEIGVARDGLRSRLHSRRGRERKGRKRMERKCPSKKYTAEPTKQEAKLRNEERQRRPRGHLVAAGVWKHRIASTLTLFQVSPRDFVPGRAQLGDARDVDAASSNSTSSVDESKVDLVFCLSLPCPAGRDLGSLIGTKARVAPSCYCCQQGALVCYETMKECRANCPPCNPQCRRQEP >Dexi7B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:7B:24174471:24176764:1 gene:Dexi7B01G0018390 transcript:Dexi7B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSYGGGGGAVSRDPKPRLRWTPDLHQRFVDAVNKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQGKKSTGPELANGGGFAVQGLNFPTPAPIPGVPAEGKNTGEMPLADTLRYQIQVQRKLQEQLEVQKKMQMRIEAQGKYLKAILEKAERNISFNANEPSDKIESTRSQLMDFNLALSGFMDNANRVCEENNEQLVKAISHDNHKDNNLGFQLYQVGSQEVKEVKWTPKTEDSLQLDLNIKGGYDLSSRGMQACELDLKINQMI >Dexi4B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:4B:11123148:11124600:-1 gene:Dexi4B01G0013010 transcript:Dexi4B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSRRYGCSLLFLKMGELLVIVVSSREAAEEVLKTHDAIFCSRPQMETIRSVRRRGSDIAFAPYGNEWRQLRKICILELLSRKRVQSFRAIQEEEVTRLVQSISSASTSLVNVSKLLSAYTNDVIVSSIMGDWLIDRDTLLSYITRAHQYTQTPTMADLFRSSRLACALSHKMGKMDLYIESLFEFMGTIISARHPDKKTERDEANQEDIMSVLLRIQKEGNLQFTLTLGTMKALLFDLLVAGTDTVLTIIDWAMAELMRNPLVMSRAQSEVRRVFVEQMKVTEEGLKKLSYLHWVIKETLRLHAPGPLLIPRESQETCRVMGYDVPKGTIVLVNAWAISRDPEYWDEPETFKPERFESDTRDFRGHDFEFTPFGAGRRMCPGMSFALASVELALANLLFHFDWNLPDVVDANELDMAKAMGFMCRRKAELWLKPIVRVPFASEN >Dexi6A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:6A:2658752:2659389:1 gene:Dexi6A01G0002880 transcript:Dexi6A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNITLLAFCVALAVLCAYGAGAAEVVAQPLRHSHRKASKTWCVAKPSADAAALQGNLEFACSESDCGAIQGTGGCTSPDSLLSRASVAMNAYYQARGRNSWNCFFNDTGLITITDPSLGTCKYA >Dexi1B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:1B:21512449:21516246:-1 gene:Dexi1B01G0015140 transcript:Dexi1B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGSGRPPAAQKILQSLRPPVAFASPSRPPFATDDYHRFPTPAAASATSGGVGAGAAADGIEEGLVIRTPLKRKAVCLENDAAESSDCIITSTGFPSSPMLTPVSRKTVKPSKSKAKNNKAGPQTPTSNVGSPLNPATPAGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNSISALQAEVENLSLQEQAVDERISDMRGKLRAFTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDGRFEELGGAATPARHANMPRHHPVEDFNTSNAGQSSTSVDVAHNVQQSQRTPQDPSSSHEFGTRIIPSDVDTDADYWLLTEGDVSITDMWRTARILDNNSLSFFKLACFFSICSCNWNDLTWKIAEVQWDQMDFLSEEVVTPRANNQQPLPVGGPQMQFPSMDSP >Dexi9B01G0031360.1:cds pep primary_assembly:Fonio_CM05836:9B:33762255:33767299:-1 gene:Dexi9B01G0031360 transcript:Dexi9B01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEAITIRQTHPPEAGGSTPSAPAAASRTADAGGQQHRRRRGGGIRDGERRNARTARWAGFKGRKGKEGERGRERSE >DexiUA01G0024360.1:cds pep primary_assembly:Fonio_CM05836:UA:50442741:50445196:1 gene:DexiUA01G0024360 transcript:DexiUA01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALGLAKTTVEGTITLVRSAMEEEDKLQESVQRDLLVISDELEMMHSFLNDVNKGHVTDNVARTQVRQVRDLALHVEDCIESALYLDKKTHYWWRKVIRPCYTPAAPPAKDLEATVAHIEQLKARVEAMGQRNLHYKRIGDSSHKPVEQMHQQAVANAMAPNISVTENSNDENEEVKNHNVRKKVNDDQLKKVISVLGTGSDLEMVAIEKAYHDSETCKSFKYRAWVKLVHPFNPIEFIRSVLAQFYKNLKKQFCQPVITANGETLCAKQEETLDFLDVLMATDNELIVNFKCQMKLKYLVVLEDVSTMVDWEAVRGYLPDKKNGSRIVVHTRLFEVARSCVGNGYQVSELEKNPPVHLLYKEVWTKLLHQAKLF >Dexi9A01G0045020.1:cds pep primary_assembly:Fonio_CM05836:9A:48619644:48623766:-1 gene:Dexi9A01G0045020 transcript:Dexi9A01G0045020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLQIVCKDFPRPPLENTINYLEAGQLSSFFRSSQRPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPVNGIWAILRNNEMLTWPEKVKFAIGLLPAMVGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPVVDHIRSRGGEVRLNSRIKKIELNPDGTVKHFALTDGTQITGDAYVCAAPVDIFKLLVPQEWSEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWIGRSEAEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYGRLSLRSQKTLQSEEVPVAS >Dexi9A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:9A:6626562:6627173:1 gene:Dexi9A01G0010820 transcript:Dexi9A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLASLRYGDSLSVVAISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSSSGASASSGAAGGSSAPPASSRAKKMDRVETSLKDASRELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAASAGGGLFPMPDPKVN >Dexi2A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:2A:31181979:31186341:1 gene:Dexi2A01G0019050 transcript:Dexi2A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSRQQFAAGGAPLHEPPEDEDVVDAGGIGGGAGGAATTPSTRHAIKALTAQIKDMALKASGAYRHCKPCAGSSAAASRRHHPYHHRGGSGFGGSDVGSASDRFHYAYRRAGSSAASTPRLRIGGAALSSGDATPSMSVRTDFPAGDEDDYDDDEMASEGGGKEDDAKEWVAQVEPGVLITFVSLAQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQTVPLPTTPKSEDESSKEDSPVTPPLDKERLPRTFHRPMSSGGAMGYSSSDSLEHHSNRYCNGHHHHHGHQFCDSIGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >Dexi5A01G0028400.1:cds pep primary_assembly:Fonio_CM05836:5A:31700650:31701188:1 gene:Dexi5A01G0028400 transcript:Dexi5A01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALGRHYSVYDFFPPEFWVKLPAVEGLDRSTDAVTSPTFHITLRVNYDRRGHQLPLCGEGGRVDVAYEGVPIAHGDLPEFCVPAGIVGSVPVVATSEGLGLPDELYERMESQRRRHERVQLAVRVRIDNLTGSGGSPVVLWCTTTLYGQPKGPFICPMVK >Dexi5A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:5A:135279:139508:1 gene:Dexi5A01G0000150 transcript:Dexi5A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPHRQQLSWLARMGAAFGPSFLCLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSLAFSHVGLAIISLLDIVLVSRLHVPYGIDDKYMVLWGSALADAINQFKMMPFLILSGQFCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALDISAAQFDNLALGLGVQLIGTLLPIWFLFLIPKEVTGLTS >Dexi1A01G0026370.1:cds pep primary_assembly:Fonio_CM05836:1A:32301427:32304558:-1 gene:Dexi1A01G0026370 transcript:Dexi1A01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPAGEHQPQLHGVVIITLPPPDQPSKGKTITAFTYSDDPAARPPPQGPVMGYPAAAEPRRRSRRALSARRVAAMVLVLGALAVAAYYCFYSDMAVQFLGVEQEEAQRNETRSFLLPLYPKAGQGRSLREFGDVKLAAKRVDDGGVKGDQQDGGQEDGCGGDNSTALLPIKGNVFPDGQYYTSVFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHALYKPTKEKIVPPRDLLCQELQGEQNYCETCKQCDYEIEYADQSSSIGVLARDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASHGIISNIFGHCITRERGGGGYMFLGDDYAPRWGMTWTSIRSGPDNLYHTEANNVKYGDQQLGVREKAESSVQVIFDSGSSYTYLPNEIYENLIAAIKYASPGFVQDSSDRTLPLCWKADFPVRYLEDVKQFFKPLNLHFGKKWLLMSKTFTIYPEDYLIVSDKGNVCLGLLNGTEINHGSTIIVGDVSLRGKLVVYDNQRRQIGWANSDCTKPQTQKGFPFFL >Dexi2A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:2A:3136071:3147973:1 gene:Dexi2A01G0003630 transcript:Dexi2A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGPSSALLSSSSSACLRRLNPLLLSAAACRRPAWGQRRAARRFCAAVAAEKDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFERGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPTLWIPGTDHAGIATQLVVEKMLAAEGVKRTDLTREEFTKKVWEWKEKYGGTITNQIRRLGASCDWSRERFTLDEQLSRAVVEAFVRLHDKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGTRDDFMTIATTRPETLFGDVAIAVNPQDKRYSQYVGRLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVAMEPLAEKALRAVENGQLTILPDRFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARTEEEALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDLSKEDYKHFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKDYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDVSAWDALLANKFDTEASLQELPLPECWVVTGLHELINKVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDKLAAARAQSVLLYVFENILKLLHPFMPFVTEELWQAFPYRKQALMVTPWPTTDLPKDFRSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVATADVLEYVSKEKQVLALLSKLDGQNVNFTESAPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQLEYDALVARLNSQSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVST >Dexi8A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:8A:29189591:29190153:1 gene:Dexi8A01G0017490 transcript:Dexi8A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWERKPRRMSSEWSAERSGKEETQPRRGRKLRRSGEWRRRRADSIRKASSGEDDAERALTAALRSGSGGGGASGGRRRRSGNAGGGGEERRSSATREWWCGDGGAAWSARRTAKARSSSGRARASGQWWRRCSRRRSAVRGCHPSGVVMAEEKGGDGVGSPPAGSIGDGDDSKRGGG >Dexi2A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:2A:23273467:23276857:1 gene:Dexi2A01G0014230 transcript:Dexi2A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEVKPGKPYTHAYQADHGRLRVCQATLSNCDTAGRTVLQCNVGNKIPIKLCSLNPKLAEMCHLEIELEEVDNVIFSVIGPSSIHLSGYYVRSSSRSNIGDDESQSYGEDVGQSDTDEEHDADDDSYESDFIDDRDVPTPEKYGSDFIDVSDDDDECSSPRRPKQKACEKQTRKAERRRRLKKQVDSPADSDDDSPVTKPAPISVALGKKDKAKVAVKRNACSIFDSSSDEEDNVPISVASGKKDGAKVAVKRNASIFDSGSDDEDLVPLSVAPVKNGSAKVAEEANPQNGQINDETKKKSNGDMKRKGSSITEDFASPMYISWFLVYIKYVGMLKDGKIVESNLNEKPYKFKLGSGKVIRGWDLGICGMRVGDKRRLTVPPSMCSGGKSVLEVPKNSSVIYEIELVKVK >Dexi7B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:7B:14228890:14230836:-1 gene:Dexi7B01G0006510 transcript:Dexi7B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKQKQRPLTVMAASSSSQVAAARGMGVGMANPLAEWKDRVRSLEAGLRAWMAKQPVHVEAAVSTAVGAVQGAALGGLMGTLAPDGGAALPVPPPPLGADPKALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSLVSGMGTPNPVANAVTTGVAFAVFQGGFFMIGQKFSQPKSEDTYYSRGRNMLQSLGLQNYEKNFKKGLLTDQTLSLLTDRYKFFFFFKKKKN >Dexi3A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:3A:5623104:5623916:1 gene:Dexi3A01G0008080 transcript:Dexi3A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMATATSSLAPGLRCKTTAPAAFTSTGTAAAWRTMPPKRGARLMVYAAGCKTCKGKGAIECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGKEGLTPEQRGER >Dexi4A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:4A:4290329:4293351:1 gene:Dexi4A01G0005970 transcript:Dexi4A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTPADATLILDHVLGDPSVPAAAAHALLAALPFPSDPTPRLRRSVLLRRLAADPVSTSALDTLHLLASLPAAPSPPPPIAAAHIAVAGFLAASAPDFDAATTALFARPDGRARRAVDEGGSSALASDEAVATVDQFEAAVGNSFSQVVLRGLWGDRSAAEERVRELLTAEWAAIGPSLLEVVAEQIVGDGAVETWREADEATRAKFRLLAGEGKAREILGKLEESTSRVNPISTPEVSKVVDALKASCAELHSVVEDPLPAAKAVADEVLATRMDRAANSSAESGQPAACGPAGACVLNENNNGPNKTPPSLMDWNPTAQTIQWEESPDPGGSEPALRRPHLPSPRRIPVSPLPPADNKNKRRKARKWCLLEEETLRKGVELYGSGNWKDILSNNPDVFIGRTPVDLKDKWRNMTR >DexiUA01G0018850.1:cds pep primary_assembly:Fonio_CM05836:UA:39537252:39537998:-1 gene:DexiUA01G0018850 transcript:DexiUA01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLTPVTFLAIFSVVNLHLCAASSRFLFAEGPAGLRNNVQSAGPAAAPGPENHAGVLEHIPASLPANKYGSLPVNVPPDILAKIPPELKAKLPPNVSPDMLANLPPETLANIEASKGQLQTSEILATLPEMQGQIPANVPPELLAKLPQLQSQLPANITPEMVVSLAAMQHPGAPGNAAAGVISGDIPQIPKMPDFSGLADISFPPMPSGPKMPRLPHDISLFGYEVKIPKFISNMVGGDGDGDGKS >Dexi3B01G0032310.1:cds pep primary_assembly:Fonio_CM05836:3B:34669324:34670754:-1 gene:Dexi3B01G0032310 transcript:Dexi3B01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPMLHTAEQLPPATVSLPIIDMSDGCDELRRAILDAGRELGFFQVVNHGVPEQLMLDMEVLAVEFFEMPEADKATYYSDDISKANRLCSGATYETGGERYWHDYLHLAYNFPVDDHTRRVGMEILRLVCEGIGLRPDYFEGAISGGDMVLQMNHYPRRRDLTVAVGQPPHCDRSLITVLLPGPIPGLEVTYNGGWIKVKRIPGAFVINFGSQLEVVTNGMLKSIEHRVMTTSSEHRGHRRPHCLIGPAEEFVDGDNPPRYRSLTFAEFKRAFPFPFLFPHNVGKLGPSLNLTTNDLQKAQKEI >Dexi5B01G0025670.1:cds pep primary_assembly:Fonio_CM05836:5B:27655105:27656688:1 gene:Dexi5B01G0025670 transcript:Dexi5B01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVSAIVGDLISRSISFAVDRFCDRRRVVGGGIEQDSPKRLRRALLRVQAVVEEADRRRVTNQAMLRQLQLMRDALYRGYYLLSAIKCHGFIMQEKAQAPEVSRYHHHSSQFNPAKRLCTTLSARTKTSTAPEDKRRYGEAVEAELQEVLGVLERMAIDMKELVVFLSCYSPTRREPYSGHLWLANRMFGREAEQERIVSFLLEPEQELGVLPVIGRARVGKSTLVEHVCLDERVRRHFSLIVFFLGEGDIGDDGEKSSRLGDSGIVKHRDLDSPGKSSLVVLELDGDVDEINTWWRRTLSTLRRRQSTAPVSKVIVTSRSEKIASFGTTQALELKLLPREAYWYFFKTIAFGSTDAEDQPELASVLMEMADLLNRSFISANLFGGLLRANPCSQFWQRVLKGVKQYMSTHLLHFGEHPTDLLTKGRPIYLWRLPKTDTVLIGFYCYQACSAQEHDLPKITMSEVHTGSAKPRGKFEVVAWRSNIPPYYTYLLSCGAQKSSTLLPVPPRNKQIRLREPCLRLNSV >Dexi1B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:1B:2565771:2566118:-1 gene:Dexi1B01G0003170 transcript:Dexi1B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLRLLRSATSALRVSAVTQGGLLATPALHPAAAAAASSSPLADLSRWPQQRGYSQFASGFTPLKPKLLESIIDVERAKGLSPEHLVAAWDDN >Dexi2B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:2B:27085158:27088212:-1 gene:Dexi2B01G0016760 transcript:Dexi2B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFACRGRPTADQDGGGGSHRSPDPPLTHDAGDTMLVIRDALLLQLQKDRLRQEVIMTELARLERAIALCPAAHHGIGSAYLEQPKPLSFTFNEEFMSRRRWSEHCYDADEVHDPKIKDITHWSVRLNSSKPATKDRFCVCTRPCCSNTKADGVSEAFDEQKLQGSNEVDAPNTEHSIQEQCAGKKHRSNVATVESKNKTISQKEEKIAKPSSCAGQETSAIKCWSCAICQVEAPNTEHGMQEHRAGKKHQSNVATLESKNKTISQKEETIAKPSPCAGQETSAIKCGSCAICQVEAPNTEHNIQEHCAGKKHRSNVATLESSNKIISQKEETIAEPSSSAGQETSAIKSSCSTCQANCTNEADLKEHLSGRTHQQNIEAQCQEGGGKVKNTELEEAKCHKSNVPPHSEKLPCSISQDHCPSESELGSLLLAKLQDLLDAISNMATISESHKAKFLPNNVSQDAEQISQSDCSICQIGSDYLSCSSEPQSENPHRIRRRRKKRGALQVEGQDAEPGDMEPGDKISSDGSCSKSTGLEEKLAPYLCEVCNLDLNSKSRLADHCNEEEHLEKQKLLTFCKVCNLQCNSSKMLAHHCTGKKHRKNLNANK >Dexi6B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:6B:2488683:2500548:1 gene:Dexi6B01G0002950 transcript:Dexi6B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNDESASPNHRILWSGRLPSPTPLPLPPLSTTSARPERSRATPRLAAANARNGAEIPALSDPGEPPDPRARFPGRPAGSCCLLKEEDMAHVSFKNKEVDGGMSRWSEYLNVEEPIPSALASWRNMGVDGPQGSSTGGHKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNTHTFSDGFWKAGVFPNFPKLCITLSKKFPEHPNKLQLERVDKFALDALNENAEGYMHNLEQWILLLLDLLAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKVPRKMILQVYNILHVMLKGGRDCEFYHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNIAKQVEKMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALSLAQCEVIWYFQHVGVASSKSTRGKTVDIDATDPTIGFLLDGMGKLSCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGESVPAITCDLTDLRKHWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLELQLSPEQAALRLNNTTRSKGVSSLLPPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIIGNFRRRFHSMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQIFETPVGTQGGGSAVEMICNWYIENVVKDASHIGVAFDAIQNCFRSSQPIGGGCLAEAFTDKRELKALVHLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADLCIQAGQAITFRRLLVEAVGVVLEEKVPLIYSLLKGLALQLPDEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEAGAANDNSWILLPYLCAAFMVSNIWSSAVYDVNIGGFSNNLHCLARCVSAVVGGSEYTRVEREQRINSLSNGHTDELQEAELPSRVSAEANIKSAMQIYVKLSAGIVLDSWNDTSRQHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMDQSPRQSPLISLAHASPSAKQNRPETTPRSHTFEPSYYSSSGSQHDDGYDADKRTGQLRSMRRSGPLDFSASRKVKFVEGSSSGSNHGGGPLQRFAVSRSGPLSYK >Dexi4B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:4B:20846369:20846961:-1 gene:Dexi4B01G0018530 transcript:Dexi4B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNLGLQPEVIANKCVGGLQSVRMNFYPPCAHADKVVGFSPHSDADLLTLVLQVNEVQGLQVKRDDDTWVPVHPLEGAFIVNVGDILQIFTNGRYRSVEHRAVVDTERERLSVAAFHSPSIHATIGPLTELVSDQEMMMYKTVDHESFMKLFFSAKLDGKSFLQRMKL >Dexi5B01G0034570.1:cds pep primary_assembly:Fonio_CM05836:5B:34791953:34793882:-1 gene:Dexi5B01G0034570 transcript:Dexi5B01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVVATYYYPSAAPAAMDVCGAELGQGAAGKCFDDDGRPKRSGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPTVMVLFSLVTYYTSSLLADCYRSGDPSTGKRNYTYMNAYANIVGVAIGYTIAASISMLAIRRANCFHHKGHGNPCKISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSSIGLGLGIVQVIANKGVQGSLTGISIGAAVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRSPPPSESRVMKRATVVSVAVTTLFYMLCGCMGYAAFGDDAPGNLLTGFGFYEPFWLLDVANAAIIVHLVGAYQVYCQPLFAFVEKSAAQRWPDSAFVAGEVELPILPACKVNLFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQKKVPRWSGTWVCLQMLSVGCLVISVAAAAGSIAGIASDVKVYRPFKSY >DexiUA01G0006400.1:cds pep primary_assembly:Fonio_CM05836:UA:12333665:12334078:-1 gene:DexiUA01G0006400 transcript:DexiUA01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSMLRMSGRLLLRWRRGPPPAAGPDGEDEDEEEQDADADGGWLSAACPPIMTAAAAAARVRWGLLGSLAC >Dexi5B01G0030110.1:cds pep primary_assembly:Fonio_CM05836:5B:31125370:31137819:-1 gene:Dexi5B01G0030110 transcript:Dexi5B01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVADCNGWWAPRRKRLHGRRVRQTTTTWAACAIIRAVCTTRAARILGGVEGIGVNYGMIANNLPSPDKVIALCRSKGITDVRLFHPNTTVLAALRGSGLGVVLGTLNEDLSRLASDASFAASWVNSYVQPFAAVVRFRYVAAGNEVIPGDLAALVLPAMRNLESALRAAGIAGVPVTTAVSTSVLGTSYPPSQGAFSEAALASVGPIVSFLASRSTPLLANVYPYFAYADDPSSVQLDYALLQSTSAAAVKDGGVEYTNMFDAIVDAVYAAVGRVGVSGDVEVVVSETGWPSGGGGEGASVGNAAAYVNNVVRHVGSGRGTPRRPGKAVEAFIFAMFNENQKPEGVEQHFGLFQPDMTEVYHVDFAAAGPSSWNRFAERLNGLNLRRSTIYSVNLTKVTPCSRQLVLPSNRSNAHGQQDMPFLVYRRKHQRSATNRRRTAERSRLGQAKYQVTSPALSGSVHAGIHGAHGQNPCGRAELGGWLYTLRPFPQKTSERTIIKIPAFSSPLLIPSSDPRRNDATQTGKPPPPLLTPAPPFPRSLPLADGAPFRRSPDSFPLRRSHFRLSQPPTNPGAPFRGAAICCLSGFWSLRLRGSRSPALGVPARPVAGCRRRSRAFRRWGRRPLWEEEWYPAAVYGGEAIDLQGARKTPAAAALSRCVARSLSVLFYSLGVYYADPGVISTLRSCPAHVCRDVLDSRNQAATTAEARRWRGFIPIICPQTKIRAISQAHTRTNHFYSAPKTERERDAQPQIVAQPRRLADDAADAGQMTLT >Dexi9A01G0028710.1:cds pep primary_assembly:Fonio_CM05836:9A:33468480:33468968:1 gene:Dexi9A01G0028710 transcript:Dexi9A01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTEARQLGQLGDVNGRSRSVHGEAPAKNVVPRVEGACCRREAHTSHIGEHRGGVGSHGHIDPLVLAVVVHPHLHRLSARERQVPEDAAAVIIVVAGAGRGHGHEAVNVDADVVAVDVAELVVLVGVELDAEEVVAGVAVGTSWR >Dexi9A01G0043830.1:cds pep primary_assembly:Fonio_CM05836:9A:47536131:47536607:-1 gene:Dexi9A01G0043830 transcript:Dexi9A01G0043830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMQMRQQRESLGKHAMHFLAGYISMFSGQGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLADLVHDYKLHFQYQGKI >Dexi1B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:1B:937963:940058:-1 gene:Dexi1B01G0001180 transcript:Dexi1B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGIGDPVEDFLLGGAGDDGDLGMFCDGVPTLSGDGGLEIDGVSGDACGFEQSNLGKRRRDEPSSSGPKSKACREKMRRDRLNDRFLELSAVMNPGKQDKLDKANILSDAARMLAQLRGEAEKLKESNEKLRENIKDLKEEKNELRDEKVRLKAEKERLEQQVKAMSAAPTGYVPHLPHPAAYHPAAFAPFMPPQQAPTNKSTPIPAPFPGMAMWHWLPPTVVDTTQDPKLWPPNA >Dexi9A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:9A:118872:128333:1 gene:Dexi9A01G0000160 transcript:Dexi9A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVGNMIFIIVCMPYLGLAEVTYQTDLFLDKNIDYAVNEHQVLLNASKCSFVSSLFPPCEESTKSTKFTSIGSNFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKALCRSHDEVTAAKMLLDKANLTGYQSHCRSYLARSQYVKLMKAIITAQCGWRRRVARRELRNLKTAAKETGALQAAKSKLQKEVEELTWRLQLEKRIRADMEEARTQENKKLQLQLHEMQLQLKDTKDLLKREHETAKEASEKAAAVPEILADTARIDELTSEKERLKALHIVNFAMISLQEKLTNTEAENHVLRQQAMKARPDNMPLLNMHRKSNLANGSVHSDEQTPHSTPMEFGRGSVVERQNKQDNNSDLAYWLSNSSSLLIILQKSLKPPGSSGTTPMKRPQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKREISSVLSLVIQVTPSIESDPQVPTIFARKIFTQIFSFINAQLLNSLLVRRECCSFSNGEYVKQGLDELESWCTQAKPEYAVSAWDELKHICQAVGFLVIFKKFRISYDEVINDLCPVLSVQQMYKICTQYWDDKYNTESVSEEVLDEMRKVVNEGTAAPPDNTFLLDEEISMPLSLEEIANSMDAKEFQNVSPPQELLDNAAFQFLRS >Dexi2B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:2B:23989928:23991429:1 gene:Dexi2B01G0014370 transcript:Dexi2B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVDGANGGGGGPVVMELSNIMNLVRQLEVKLGGSQTHTLEVCKNLTKQISCSTQRSISLVTSYYLDRKRPAADAAAPSPLSDASDAPFKTIKKRKTATEKVKNQMRVRSAAGGDIPADDGHSWRKYGQKEILGAKHPRWNAPAAATAAPPPEENPPDVHSLLQSLSSNLTVKTEGLAVSPEETLQGWAAPFCLPSTPASGGCLVPELISPFSAAPSTSENWGVSPATSDSNQQQPGVSFPALELIGGGDVVTFEFGEVVSARADVADDDEFDISSFFA >Dexi4B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:4B:1756714:1757670:1 gene:Dexi4B01G0002730 transcript:Dexi4B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTQQLPSFSFASPALRGIRLIFPLKPPTPSSRCFVHSHSQFHAPRRRRYPVAYPPDPFLEGQGSDSDDDEDGEESVRSWGGPDSPFRAHHRFGARDYYTDGEGGDASEDEEELQESDEDEKVVGEEMGEWDPPVSPFRSQQREESHYQEEREEEEEDEGGCQWLDPTSFLPSQGGLSGVCTTTTAAMEEILAFARSPAVAGDSAFTEFLAGYNHGDLSEEDCVELMRRMSEEGLALGCANLFQWLQEKQPVPLSRQLWLAGIVALGRCQMPDEVLEIVAKLPSQREFREAVVYNAAISAVAYCERT >Dexi8B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:8B:15960838:15961224:-1 gene:Dexi8B01G0009190 transcript:Dexi8B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILAPAAGHRPNRRPVARTANTAGPPAPPPPPLPXXXXPALPNPMRILAPAAGHRPNRRPVARTANTAGPPAPPPPPLPCLANIGSLPSTTPNPNPNRRIDHHPHRPLHPPPTPAAPRRPESPPAIE >Dexi1B01G0028690.1:cds pep primary_assembly:Fonio_CM05836:1B:33163220:33164539:1 gene:Dexi1B01G0028690 transcript:Dexi1B01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDLFPSTPGKVKIERAGNMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGMHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNAYYDPSLKKARKALLSSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFSERDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLAREQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNFRQ >Dexi3A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:3A:7541516:7541854:1 gene:Dexi3A01G0010570 transcript:Dexi3A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKMLNPQRDGKKTPRHHHSRSPSAMEHSGEMERSSQLYGEVMACTYEDVQVMWSMLDKARICSAAAS >Dexi3A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:3A:1715469:1715690:1 gene:Dexi3A01G0002550 transcript:Dexi3A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEVHDGMGLELYYN >Dexi7A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:7A:14287104:14288337:-1 gene:Dexi7A01G0004080 transcript:Dexi7A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVAPREPFVQSQACISARVDLKFSAEQKLPSRTVIQQQNDSYSVLIPGLPEDLAKICLALVPRSYFPVMGSVSKSWMAFIGSKEFISVRKEVGKLEEWIYVLTAGAGGQGSRWEVMGGLDQMKRILPPMPGPNKVGFGVVILDGKLFIMAGYAADHGKEFVSDEVYRYDACLNRWTVLAKMNIARRDFACAEVNGVIYVAGGFGPHGDSLSSVEAYIPAQNKWILIQSLRRPRWGCFACGFNGKLYVMGGRSSFNIGNSRSVDVYNPDHHCWEEIKRGCVMVTSHAVLDKRLFCLEWKNQRSIAVFHPADNSWQRIPVPLTGSSSTRFCLGVLGKKVLLFSLQEEPGYQTLMYDPAAPTGCEWQTSELKPSGSCLCSVTIEV >Dexi9A01G0026280.1:cds pep primary_assembly:Fonio_CM05836:9A:28716307:28717105:1 gene:Dexi9A01G0026280 transcript:Dexi9A01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTLLSSVTALRPAISSGRQVQSGRPAAVASLSSHWRSRPLSVCCAVSPKGDHNPKTDLHPFNIPAFVLVHPVSPHEERWQVEEEPSKVNLWFEVPGQSSEDLAVLIDEDVLVIKKKVINVTGVDAGHRNTVGSGAGATDIRPQPNTRRGGEATGKEAAQKGEVIYARMLLPAGYSREGVQAELKSGVLRVSIDKVKTEARRIINVHIDV >Dexi5A01G0023160.1:cds pep primary_assembly:Fonio_CM05836:5A:27222076:27223304:1 gene:Dexi5A01G0023160 transcript:Dexi5A01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSSGRSSGSRRGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPPSLIHRTGSLNLEDARASTSSLSSSPSSSFHATTVSLQFPTIHPNFAVPYGERGDLRYGEFQAPIISFNG >Dexi6A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:6A:4561002:4562717:-1 gene:Dexi6A01G0004980 transcript:Dexi6A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDAKEAEAKFKKISEAYEVLSDPQKRVIYDQYGEEGLKASADGGGPSSMNGSANQRFNPRNAEDVFAEFFGSSKPFENMGRAKSMRFQTEGAGTFGGFGGNENKFRSYADSVGTSSNQARKPPPVETKLPCTLEELYAGSTRKMKISRNIVKPNGHIGTESEILTIDIKPGWKKGTKITFPDKGNENPNQLAADLVFVIDEKPHDLYTRDGNDLLLHRKIALVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDIDFPKRLSSEQRHNIRKVLGGQPQQQ >Dexi9A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:9A:4730547:4732686:-1 gene:Dexi9A01G0007970 transcript:Dexi9A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIIAEAGRRGYKDLLGGGEEDYLVCLSPSSYFSSSVVSTTTTGAAAPAAAPSPTCVSYLDLAPAYHHMLSFAGQEQYHGGDGVFGFQYYGGDQAIPVTIPQKSSPTAECSSSISSMSSSPPATTISAISSSKPQAFKKKGSRSSYQRKAAPAAVAATAASTNKRPRVRREKLGERIIALQQLVSPFGKVLSSPYMQRLPASAHAPLCSYPAASSQAPESAAGTVVEPPRPSDLRSRGLCLVPVSCMEHVAASGHAHGNGADLWSARAAGMAKAAEEGKGPAGALPGGHSGHLA >Dexi6B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:6B:3314894:3315455:1 gene:Dexi6B01G0004080 transcript:Dexi6B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGDSSRIRRSTAAATAAPARNPSSSHPSLAAARLTGEDEATAAAPLAAVVTTSQSTSSPTAAFSTTVSNPQSSSLTPPMATPRRRVFSPAAAATLPLVPASVTPETLCCTPAASLPDVSPLASLSILFARGEERASVLRRQIEPVGGEVPRGPLDH >Dexi9A01G0049570.1:cds pep primary_assembly:Fonio_CM05836:9A:52157431:52160124:-1 gene:Dexi9A01G0049570 transcript:Dexi9A01G0049570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDVKTCGGGGGEGSRRRMMMAEWAARRRQLACEQMVLTTLERRDRESELLALARLHAVTSFLHGGDPAGGGDQRPEELLGESERSVRELQRRRLVVGGRLQERMAMECRRELQGLSNHRAVSAFAHRARIQSFLQGRFFRSGYDERPSSMAARESGQSHPVSTLLREEDCSQTQGTTSDQSTSGASSSTQNTCVSNEHDSGMAQAVSDNNHRRHIENATHDHEIQTNRPMMEDEAVYIESTVPDGNNVLQHEFSQEQTHQYEEYSDSGSSGQDSVSTSSTASGNSMQQEAQTYRQETDLPWSRDISSTEDGHRDEGWHVIESQDDGEPRWQLSTSFNSTRNRFSPPEDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIIRSYVERQEHDPDDDWDFEEQRPTTGLLLDEEDPIEIPTRDESSDDTAPQPWTMSSDQTLFPQQRNHHNWSQQQTMHRSEFIMMKKKKSVWQDWDAIHVLRDELSGIQRGMTSMQQMLEACMEMQIELQRSIKQEVSAALNRSLTMRGICGHMCTCSKCASELLHGVGKCPLCRAPIVEVIRAYCIM >Dexi3B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:3B:12572376:12573793:1 gene:Dexi3B01G0017140 transcript:Dexi3B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTMDLETENRLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKEVELESKMKNRSIRSKDVDDSRCGKRKSDSRNHSSSSRVEQERVACHNSCSGQEDGLGDDEIERFLHSRMKRGRGAVGSRMDEPGPYLELSTHRKDIECSPDIRVDEKWERRVQGPQKPSFFISKFPDDYWHKEALDREPSSSEPQSKKEKKRKSEKKDKREKNKDKYTKKSKHRHHHHHKSRRRD >Dexi9A01G0037650.1:cds pep primary_assembly:Fonio_CM05836:9A:41963431:41968185:-1 gene:Dexi9A01G0037650 transcript:Dexi9A01G0037650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVVIDLSAGPCTYGKIETEEGSVSYRSMPRLSQIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHLIAPDIRFETVDMAVRLLIPIIVLQNHNRYNILQEGHNYSIDVQAIEREVGEMERSADVLSAGLLEVANPSLSSRYFLKQNWNSGEDDVKDSIKHRPLWESYMPRNKKERRGTGKKKYGNLYRTYGTRVIPVFVLSLADVDAELLMEEESLVWTSKDVVIVLEHNNDKIPLSYVSETTRQFALPSLAQRHILAGLALAVGGLSAPYERASRIHERPIVNWLWAAGCHPFGPFSNSSQISQILQDVALVMFSALVFNFHEMHNERKNYNLCSSRRRSSQNKRHIREHLKTPLGEPVKGNKNKSTTELWVEKFYKKVTTVPEPFPHDLVEKLEEYLDKLEEQLVDLSSLLYDHRLADAYKNSTDILQSTIFTQQYVERVLANERDRMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >Dexi5B01G0035300.1:cds pep primary_assembly:Fonio_CM05836:5B:35337585:35338497:1 gene:Dexi5B01G0035300 transcript:Dexi5B01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFTALKKAFTSSPKEKPTNVHQLVAQYPPPHGYPREKKRWGGFGRPRPHNAEPASPAPGGALINIPLYREPSSIEKILGDAEMDQQRQYFSATTRAQYHITTARPTTTAVAISAAASPLPQPVATTPRERAREDKDKAAAVVLPLPLPPPQSPPPLIRRFDHDREQQHKLQQLQQQSRTETTEWRRQQQQPRRHRAARQRAPPPETARAAAVAIQASFRGYMASFH >Dexi5B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:5B:13382392:13383494:-1 gene:Dexi5B01G0015920 transcript:Dexi5B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASADSSSSATGVSDATGVNALSLETARHSDLLIVGPGVLGRIVAEMWKQEHPGSTVYGQTATTDHHSELTELGIIPSLKGSIPGQQFPYVIFCAPPYRSEDYAGDLR >DexiUA01G0026010.1:cds pep primary_assembly:Fonio_CM05836:UA:54778030:54780936:1 gene:DexiUA01G0026010 transcript:DexiUA01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFTLFGLPAQYPIDLQALTIRFQDLQRQYHPDKFASGTQSEQLAAVSQSATINQAWQTLRHPLSRAEYLLSLHGFDLASEQHTVRDTAFLMEQLELREELDEIEQAKDEARLESFIKRVKGMFDTRYQLMVEQLNNETWDVAADTPGLSAAPHQRRLAVGIDLGTTNSLVATVRSGQAETLADEQGRHLLPSVVHYQQQGHAVGYDARANAARDPANTISSVKRMMGRSLVDIQTRYPHLPYQLQASENGLPMIATAAGLLNPIRVSADILKALAARATATLGGDLDGVVITVPAYFDDAQRQGTKDAARLAGLHVLRLLNEPTAAAIAYGLDSGQEGVIAVYDLGGGTFDISILRLSRGVFEVLATGGDSALGGDDFDHLLADFIREQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSDARVQRELLDVAIDAKIALSDAQSVTVNVAGWQGEITREQFNDLIAPLVKRTLLACRRALKDAGVEADEVLEVVMVGGSTRVPLVRERVGEFFGRTPLTSIDPDKVVAVGAAIQADILVGNKPDSEMLLLDVIPLSLGLETMGGLVEKVIPRNTTIPVARAQEFTTFKDGQTAMSIHVMQGERELVQDCRSLARFALRGIPALPAGGAHIRVTFQVDADGLLSVTAMEKSTGVESSIQVKPSYGLTDGEIASMIQDSMSYAEQDVKARMLAEQKVEAARVLESLNGALAADAALLSAAERQVIDEAAARLSAVAEGNDADAIEEAIKNVDKQTQDFAARRMDKSVRVALKGQSVDETGETILDVALRNGIEVEHACEKSCACTTCHCIVREGFDSLAESTEDEDDMLDKAWGLEPDSRLSCQALVTDEDLVVEFPRYTINHAREH >Dexi3A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:3A:1260814:1263447:1 gene:Dexi3A01G0001860 transcript:Dexi3A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKIANAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSEGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFFKNLSKTLKDVVWKCEDQQMHSAA >Dexi5A01G0021910.1:cds pep primary_assembly:Fonio_CM05836:5A:25744721:25750978:1 gene:Dexi5A01G0021910 transcript:Dexi5A01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPGAPLPTFARSLAELLVALSAARALPKGQQLHGHLLKAGHLPATDSSHALLAHHLLTFYARCALPGLSHRAFLDLPWPPSPAAWSSLISSFSQNGLPASAFDAFRRMLAAGVPATDRSIPSAAKAIAAVEASSRQALAPHALHGLAAKTPFAGDVFVGSAVLDMYAKCGHLADARRLFDEMPDRNVVSWSSLICGYADAGMHSAAMGIFRLALEEAVPVNDFTVSCIIRVCGVATLFKLGAQVHARAIKTALEVSPFVGSSLVSLYSKCGLVECAYRVFNEAPEKNLGIWNAVIVASAQHGHTASAFERFRGMQNAGFRPNHITFLCLVTACSHAGLVDEGKQYFALMKEYGIEPQPEHYAAMVDLLGRVGHIKEGLDLIESMPMEPPESVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHTDAALARKAMRDAGVRKETGLSWLEAAGEVHSFVSNCRRHQRSEEIYRVLEEVGEKMEAAGYVADTSVVVKDVDGDEKRATVRYHSERLAIGLGLLIVPEGRVVVLRDNRRFHRFENGMCSCGDFWMDIAQLGQKIDRGLLDPLDIDLRRNIINYGELSQAAYTGLNRERRSSLPDGFMVKSMSKAAWSRQSNWMGFVAVATDEEIVLPGSAINPCVHGGWLSVYTTADPGSQYNQESARHQVLNEVKRILDLYENEETSITITGHSLGAALATINANDIVSNGYNNSCPVSAFVFGSPRVGNPDFQKAFDSTTDLRLLRVRNSPDVVPKWPKLGYNDVGTELLIDTGESPFLKAPGNPLTWHDMECYMHGVAGTQGSSGGFKLLVDRDIALVNKHEDALKNDYSIPSSWWVVQNKGMVKGKDGRWHLADHEDDD >Dexi4B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:4B:2942433:2947336:1 gene:Dexi4B01G0004070 transcript:Dexi4B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLHLCLRLSLHPPQALPPSLRRASHSCVASRPIATRAVSTRRGGRPLRAAQGEAGGAAWADGSEEELRRLLELLPGELRRRVETHPELPALVEVVMDLGRPPLARFPSGDFVLSHRPISFDDLQHAISQVGDFGADNRAGISRTLHRISAIRNRKGVIVGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKQRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKTELRVHRSLEATVDALLAGKPPNVEIRKLGPKGFVQEVSVQKEQSHIGLYEGATKFDSDSLRNARRSLDSAFNLESAEAHIEMADEADSSLNLYAYGISESTALQAIKQLELEDVVTLTYNISEADAVIALHSKLKKNSQIQALVESQDIPVFFVKTNSLSQITRALRALVDDHMDELIDYEDKEEARSSEETDALEEARLAIEQVVIPKGESVRLLPRPPSIISSQVDLVESFSLKWEVVGQEPNSHLRILPLFTAAKEATGAELGTAAGVADSGSPDDADYTQNSVTRLPFLPD >Dexi5B01G0036650.1:cds pep primary_assembly:Fonio_CM05836:5B:36313595:36314752:-1 gene:Dexi5B01G0036650 transcript:Dexi5B01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVLSRNCGDDLPNDYWRSGDELSESLQVMDDSGANCLLNIDAIDELPEDWLVDCSQDSESYLPAEEMTSRATTVEKPDNISAAEPIIRATSVGQYDYHGDAMLIDLNKMIHGAPSFKIKHKNDEDRNSHKASVINEKPLVNLIKIYTEGGKQRITISRNVISKHLHVFL >Dexi8B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:8B:22884307:22887809:1 gene:Dexi8B01G0013050 transcript:Dexi8B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDFSRFFCRPLVALAYPLYASVKAIETKSPVDDQQWLTYWVLYSLIALFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAYVYQNYVRPAFVKNQIVNIWYVPQKKGLFGKSDDFLTALDKFVEENGTEALKKLANKAGKSFKQSGKSSKDSKESKPAKESKESKSSKDSKEPKASKDAKQPKASKDSKEPKPSKDSKSPKDSKEQKKAAPKDPKKASLKDSKELKKALKDSKEQESFKDPKEYTPKKRVTFAEVEPEKELKASSSDWHPSSDFHGSYPEQNSWASGFMIFEDENSYWNRGPLNW >Dexi9B01G0022030.1:cds pep primary_assembly:Fonio_CM05836:9B:16678143:16686912:-1 gene:Dexi9B01G0022030 transcript:Dexi9B01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLIFGVRLCVNVGAGWSMVLSGSSNRGFEKGLSTAATDAEAAGQVGDDDEDMAHITNESLRATIRKSKEVLARHKIILEQISEKKKLISVLAESSIHNEQEMHSGQSDSSLSHLKAVSEGQEIDNGRQTYLVTHAQQSEFDTTYGESIYDQSEYYESLEDEDTDFSGSIGEANYGNYYQYDSFPRASPSVYQQEVANGMNQDYVAQLHQVVVPKYGDYDELQEIGEPRRYQVSGQDMEVKYYHAYIDGVDFVFIDNPIFHHVESEIYGGNRTGRGPIDDFNYLDLPGHYMDQFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPDGGWGLHSIISENDWKFQGIVNGVDTTDWNPRHDIHLQSDGYTNYSLETVQAGKAQCKEALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDKVRGWVGFSVRLAHRMTAGADVLLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVQHYNPYEEAGVGWTFEKAEANRMIDALGHCLNTYRNYRSSWEGIQRRGMMQDLSWDNAAKLYEEVLVAAKYQW >Dexi1B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:1B:1488671:1493270:-1 gene:Dexi1B01G0001870 transcript:Dexi1B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHSLLARPNTAPLAFSIPSAPSRRPKPPPAPIACRAASRWADRLFADFHLLPTAAADPPAAASPSSSSSSPFVPVFPDAADRALPLPVDLYKILGAEPHFLGDGIRRAFEARVAKPPQYGYSTEALVGRRQMLQLAHDTLTNQSSRTQYDRALSEDRDATLTMDVAWDKVTGVLCVLQEAGEAQLVLATGEQLLQDRPPKRFKQDVVLAMALAYVDLSRDAMSANPPDVIRCCEVLERALKLLQEDGASNLAPHLLSQIDETLEEITPRCVLELLALPIDEKHKNKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFMRMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIISKRPQFIMMADDLFEQLQKFNVGSQYPYENEMDLALERALCSLLVGDISNCRMWLGIDNESSPYRDPQIIEFVVNNSSINEEDDLLPGLCKLLETWLVSEVFPRSRDTRGMEFRLGDYYDDPKVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSSALQAFSKVFPLIEQLDRSDKDTLSDDLQKSLEKPAQLNVTGDDIHDSRNTALKIVSAGALFALFAVIGIKCFPRKKSLPAVKSEYGSVAVTDSIGGPVVDEDPLEVPRMDAKLAEDIVRKWQSIKSKALGPEHSVTALQEVLDGNMLKVWTDRATEIERHGWSWEYTLSDVAIDSVTVSSDGRRATVEATIEEVGQLTDVADPKNNDSYNTKYTTRYEMAYSKSGGGWRITDGAVLKS >Dexi4A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:4A:7411035:7418558:-1 gene:Dexi4A01G0009400 transcript:Dexi4A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPSCGQNNVASHGKGEYDPKVPRSSDNIDDLICSAAAAAARHKQRGIAAEPTAEGADHGLGNKKGGAGVKQMRSHPGPDEEEGRCGGDRRRGRAAPLSPSRWLLCSTGFAAVRARSDDVSARWRAGRCTCDCGRIPACPWKIAASQDQSEVQELDFYGQHLDLEYKLGRGNRDKVQQFMTITGARFVTLCSERVALQALKASDWHLEGAFDFFYSQPQVSAVNTRHLEDIFNRYKEPDSDMIMVEGVSQLCNDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKFRGKLPSLRAELKDDNKFREIYNFAFAWAREKGQKSLSLETAIGMWQLLFAERNWPLLDHWCQFLQVRHKKAISRDTWAQLLEFVKTIDPQLSNYDDEGAWPYLIDEFVEYLMENGVVQRKK >Dexi8B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:8B:4075669:4076978:-1 gene:Dexi8B01G0004470 transcript:Dexi8B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTKGKLSGILHKGFKPDKCKKSLRMTVSRIRLVRHRKEVQVRQMRREVAQLLETNQDVTARIIVEDVIREEKFMQAYELIELYCELIVAHLPIIDSQK >Dexi4A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:4A:8161481:8166439:-1 gene:Dexi4A01G0010230 transcript:Dexi4A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLMADKERELGEAQAEIRALRLSERAREKAVEEISKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQELNKQIEICHEENKILDKLHRQKIAEVEKLSQTVKDLEEALLQGGVKANVVRDYERRFQEMNEEKRTLDRELARAKVTENRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGLPSGSNRPPTEGKSFSNGPSRRLSLGGADNLSKLSPNGLLSRRSPSFHSRSPLSSSSSLVLKHAKGTSKSFDGGTRSLDRGKVRGNGAHLLNRSTDAVRDKESNDSWKGNADERTNEGADSNADEKSNESTNNNSAETVSGFLYDMLQKEVISLRKLCHEKDQSLKDKDDAIEILAKKVDTLQKAMEVEAKKVRREVAAMEKEVAAMRANKEQEIRAKRLGTKSPGSSQLLPGRYIFWECWFYGTLIFSLLKCSVLGIFIDHK >Dexi9A01G0046310.1:cds pep primary_assembly:Fonio_CM05836:9A:49676279:49676503:1 gene:Dexi9A01G0046310 transcript:Dexi9A01G0046310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPAAMVKAAVLVAVVLLQCCNAIVAARPLLGVAAPAVAGGDGSWLGFIMQMLDKGGPSGPPPGGNPCCGQ >Dexi6A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:6A:43240:49467:1 gene:Dexi6A01G0000050 transcript:Dexi6A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKILLPNLVMAMIDEPLYPIAVLIDELKNEDLQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMNEGDIVDWFIPAVKDKSWRVRYMVANQLYELCEAVGPEPTSADLVQAYVRLLRDNEAEVRIAAAGKVTKFCKILSAEIAIQYILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVFSIRDAAANNLKRLAEEFSPEWAMQHIIPQVSLTIPL >Dexi7A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:7A:29190945:29191606:1 gene:Dexi7A01G0020360 transcript:Dexi7A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAIVCELAPQKAAAASVPTPAKKRDAGKVVLQPRLCTLRSYGTGSGGVVTRRILAGEEEGSGNADSSGSAASPFFASLADYIESSRKSQDFETISGRLAMVAFAAAVAVEMTTGNSLFKKLDTMEIEEAAGVCVAVVACAAAFAWATSARNRIGQMFTLGCNAFVDSLIDNIVEAIFSESEIQDWSDDI >Dexi3A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:3A:12986806:12987551:1 gene:Dexi3A01G0017130 transcript:Dexi3A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSPAAAMAASSSRAARDPLLLGGFNLPSGWGCRKSMGFCRDIDAPLASETNAAAAVETENNGSRSPARGAAVEEAPRRQWNLRERTTAWWDYRAEDARHQSKKLGNADAGGGMSRGFSVALTRQEIDADFVAITGRKPPRRPKKRTKSIHRQIETLCPGSSLMEVTRDRYKVNETPIS >Dexi9B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:9B:3835023:3843420:1 gene:Dexi9B01G0006380 transcript:Dexi9B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPASKQTATLQVAVKCRPLTDTEQRRARHIIQVIDDKTVVVLDPDLSKDYLDLIQNRTKERRYTFDHVYAPGCSNSDVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFELIKKDKSPDTFEVSCSYLEVYNEVIYDLLERSSGHLELREDPEQGIIVAGLRSIKVHSADRILELLNIGNSRRKTESTEANATSSRSHAVLEITVRRKQKGQYGNQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYQKMIDNLQVEVSQLKKELAEKEHQLSAKPTEKAADSELSWLNVLSQETGENVQERINLQKALFELEETNKRNRIELQHLDDAIARHQVKEMDSTVVQALTSRRQVILDNIRDNDEAGSGYRKDIEMNESRRRQLQDMIEEAVSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQREALRSLWNILYGTGLNQKQILKLAAKQGSVVDGQEMKGLEMLLVHKTSIITGLVLLTSVAVCIIFCLLPTPTLMGFLRSEEILVDFTQVVAFGHGHKIDAS >Dexi5B01G0022560.1:cds pep primary_assembly:Fonio_CM05836:5B:24836170:24836772:-1 gene:Dexi5B01G0022560 transcript:Dexi5B01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKVLTVNFEGHRITTTVTSSGDSVAAWIDEVRSVHHRRLHKLVVGLDVEWRPLFGPGYSPTALLQICVGRRCLIFQLLHHDYLPDELEEFLADPDFSFVGVGVAADAERLRYDLDLEVANPVDLAELAAEEMERPDLRNAGLKAIASAVMGVSVDKPQSVRLGCWDNYYLSNEQVMYACIDAFVSFEVGRMLLTGDY >Dexi8A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:8A:1656967:1661570:1 gene:Dexi8A01G0002520 transcript:Dexi8A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLRALARPIRASQQPPQPQQRRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGDDGHVPVICGLSRCNKKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCADVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYNLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLASKMVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLSDVQATCGTLGLSTATVKLIGPDGEEKIACSIGTGPVDAAYKAVDQIIQIPTVLREYGMTSVTEGIDAIATTRVVITGDVSINSKHALTGQSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSGVPESASVGSKE >Dexi5B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:5B:10671795:10676755:-1 gene:Dexi5B01G0014300 transcript:Dexi5B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAARPIASSMATAQLRRHPNPQCLLPRLCRPAPARLLIRLSSEPLRFSSTSASSSQAPPPLHGPSLRRGRAPPDHPDPFARAFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVARLPNDHDLLPPGLSPQSPLSHHPAAEVPGPAAPPATAVARREKLAREFNARGFLRFPNLARLSRPSPAARKRRERKGYGGDEEARREHDRDKTYVVEVVGEGTNEDDDEWKALVGEEGFGRGLWRMGPTRLLLLDESYAKRSVDELPEAVKDVLDHKTQQDESSAYELIRCQLTLFYNYWPMDEVPLVSFYEDGMKIDIVKDFCSRVLEALLPEGVIVPTGFETVGHIAHLNLRDEHLPYKKLIAKVVLDKNKPKIQTVVNKTNAIQNDYRTMQLEVLAGNDSLLTMVIESGLRFQVDLGTVYVLDLA >Dexi9A01G0026320.1:cds pep primary_assembly:Fonio_CM05836:9A:28937019:28938379:1 gene:Dexi9A01G0026320 transcript:Dexi9A01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSWRCRSKAAANRETVATVGHRLEKKIVVGEEEWRTYLAPERLEVVRQLEPWVEEHVLPLLKPVEASWQPSDLLPDPAALGADGFHAACLDLRAAAMGVPDELLVCLVANMVTEEALPTYPSGLNRLEVVRDATGADDTAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIRDGMSVHAPTSPFHGFVYVAFQERATAIAHGNTARLVGARGAGDAALARICGTVAADEKRHEAAYTRLMGKLFEADPDASVRAMAYMMRRRIDMPTVFINDGRHSVGDFYARFIAIAQQAGTYTVSDYRCILEHLIRQWGVEELATGLSGEGRQARDYLCALPRKIKRMEEKVIDKSAKAQNKPTAIPINWIFDRTIGVVLP >Dexi8A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:8A:375440:379778:-1 gene:Dexi8A01G0000570 transcript:Dexi8A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVASSNGNAPTASDYHTSALRSPMLVNYQASPLAEHLLLDMPQQQGCCQLPTFNDDDGDASLAKRGKRRLVEWASVRRACGEWMSNPMNVALLLWLLCVGVSGGMLVLLLLGLIDGAFPSPAERNHWIEVNNQFLNGLFTLLSLYQHPNICHHTFLLCRWRPGDAVDLCDAYCSGDPTVPRPAERAHMAVVVALLHLTLACQYVTCGLYWGFTVTARPDLLADGFFVLGIVAPLAAAVYAVSSPLGKECHHDLSLSDTKQDQSLSHVVGHVVLEPEWSGGMFTCGVGGLSTWCLSLSCTFCVFGWNMERLGFGSAFVHASTFALLCLAPVWVLGVSALHIHDYVIGDAVGVAGVLLCAGGLLYGGYWRIQMRNRFGLPGSRACCGSKSLTDYARWLFCWPFALAQEVRTASLYHVHGEHFYHKQVAAADDDHAAGSIVEPLLLVGSNDRHHGVFRATDTAVAASQASPPEAHHLVVTVDDETTMAPPPVQVVVVHQARAVEEGDAHLSQASPPDAHLVVTVDDETAMAPPVEVVVVQQAVEDDKSDGCSVSSLHGKSETVDSSIPVSVPLSEDEDAQLVVQAGRGSMEEQLHEQQEGDMEPPHDDTSGDSQNESHGASSAVQNNPGREKEEKKKKERFLDFLRAAPSKELWLRRLGIAAPKALLRRVATLRANSIRAPAAFARTVDWRALRGRCKAWARRPTNAALLVWLAFVAVGVAFVFLLMIGALDSVVPDESRRRRWTEVANQILNALFTIMCIYQHPRLCHHLVLLFRWRHDTDVAELRSVYCKNAAGPPRRERLHVGVVLLLLHATCFAQYAYCALFWVFSSSQTRPGWAVNMTMALGLGFPVAAAVYMVYGPLGKKIAVLPAASTDDDEDEEAAVVQDDESSTANTSHDKRVAVTKPEWAGGLLDVGDDPTVAALSVTCTFCVFGWNMERLGMGNMYVHVFTFVLLCAAPVLVFAVAAIHMHDRALGSVVGAAGAVLSVLGLLYGGFWRAQMRRRLGLPTESSVCGGRAATADYVKWLLCAPCALAQEVRTANLYDVDVEEGNVVKLYVRSTDDEDVSSPSDEKKPAIMAPLEREGCIVDAPPVPVMVVS >Dexi9B01G0031750.1:cds pep primary_assembly:Fonio_CM05836:9B:34052839:34053231:1 gene:Dexi9B01G0031750 transcript:Dexi9B01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGDGGGWTDWTSHSDSGSGAMGGSEKCPTVELPLRTSCMVSSAATVSLLAAETAAAEAGKTASIMASQSLTSSEMRSVVKNGCCSTLAMTGSRSTPPVRLSNFLRILASPV >Dexi6A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:6A:3822188:3829633:1 gene:Dexi6A01G0004140 transcript:Dexi6A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLSAMVLGQHQGTIPPIPNIPHESVASTGLEQLTAAEVEQRMKLLRENSELQKLHMKFVLGKILQESEFWATRKNLLNDEANNASKQRPAFKSAMLDLEPSVDGQTNKVTYRITTEKIHQIFVEKPAVHRAFLDSVPEKMSEAHFWSKYCEAETLLRTQNTALAAAHAAVDELLAVFLKSDDILAKEAKFKIKQVDPTLDMEPDAGDYYSRLLDHRILRDGKPSSCYNTDDANHERLVNVAHVTCMEDLQAPRNLVYAPLCIKNPREYLESQQANALRSLGGSNDGKKACNCSLSTDDAFFHLIDQVSSIKANRLNCNVVDSNVALKHWASIQELLRHFWLSYPITSAALGDKVERLKDAMAQIYQKLQDMKESAAPDVRHEMSQLVKPMMQVFQAMDAAFSHDLEQQQKCSKGKGTSPAVLNQPNQRQAVRLGFRTSTRVWFPKLSTPRELTDNSANEVAAASDALLVVGVPPAKDKGYLVHPTPAARFQSQEISASKKMIRSVSDDSEMNSGKRKSADDDSKSNSTSQNTVGTSKRKPDADVSDRQSNTGVPLPKKNKLRDAPVYVDPGTVSDLLCYMAIL >Dexi8A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:8A:2254195:2255427:-1 gene:Dexi8A01G0003120 transcript:Dexi8A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRHLPPVLTLVVLSFLSLSFRNRRLLLLPRGPSPYAAGESGDALLRHLAAADAGGDQVLADAAALLANASVTSLPSIGNRYRLLYLRLPRHDNATSSGSVSGAPSQRAVSRLRVPFVTVPDDDALLAAFRASLRSFLLAHRLRRRGSSNLNLLADVMGGGLPGLLGGGRPRRFPTCAVVGNSGILLGGGRGAQIDAHDLVVRLNNARVTGYSADVGAKTSLSFVNSNILHYCAVRSAILAGGCNCHPYGRSVPMAMYICQPSHLLDALICNATATAAASPFPLLVTDARLDALCARVAKYYSLRRFVAITGEPASNWSRRHDERYFHYSSGLQAVVMALGVCDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYRDLQERPDAVPFLDEAPAFKVPPVKLYR >Dexi5B01G0022340.1:cds pep primary_assembly:Fonio_CM05836:5B:24638895:24639338:1 gene:Dexi5B01G0022340 transcript:Dexi5B01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRASVVLVMAVVAVLAAAANAQAPAPAPAASDGTSVDQGIAYLLMLVALVLTYLIHPLDASSAYKLF >Dexi2B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:2B:23043998:23044354:-1 gene:Dexi2B01G0013910 transcript:Dexi2B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPAEEVLTASSSSPEPAAASSASSPNERYTAYYHEACQIGCCYEDGDGSSYQDDDAAKCDSEDAGVVYEAPPETTTVTNPFGWKGEVVRPLPLSPTAEAELGLGPRQYRSTIDLY >Dexi1A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:1A:19652269:19655933:-1 gene:Dexi1A01G0013920 transcript:Dexi1A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLVGVAPAPAATAPSFLHLPLHTRLRAAAPRVAATASVRASHSHEDDAFLLRRAADVADRSAGLTSPHPNFGCVIARPQRDADSPAEPLVVGEGFLYAEGTPCAELLAAREAGEHARGATAYLNLEPGDCYGDSTAVGSLVQAGITRVVVGLRHPLKHLRGKAIKALRSEGIHVDVVGEDLQNKFFEEALMSCLTVNAPLLYRTAFHVPFSVLKYAMTADAGKIAASSGHASWISGKASRGRVFELRGRSDAVIVGGNTVRFDDPRLTARHVKGHVPVRIVLSQSLNLPEEANLWNVNDAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVCAFVAPKIIGGSDAPTPVGELGMSQMTQAINFIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSMDEIPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPYPIHMPDENGEYFTWPTVEHYYQAHKFVGVDNPQARTIVQDIKLAKSPEEAARIGRTRQKEFPELVCLNIMLSFDLTAGRRDEGTS >Dexi9A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:9A:9064140:9065011:1 gene:Dexi9A01G0013860 transcript:Dexi9A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHEDEDLTRLLPSDALAEALRRLPRRGLAVARCVCKSWRSVVDAHRLTLPRLLPHSLGGIFFRFGGHYTLQLLAAARRPADDPEVPADLAYLPPNRDGPYGSGSGYPYGGSFDHCNGLLLLGDAVVNPATGKWAALPPPPPPVTRSEEDFYRDKYLVFDPAVSMHFEVVAIDRLLWDSADDPAIQASECPPSPCTMLVFSSMTWQWEERNFIREGEAAGTVADMRCICPLVGKGYSAFWEGELYDIFI >Dexi1B01G0025370.1:cds pep primary_assembly:Fonio_CM05836:1B:30425022:30426504:-1 gene:Dexi1B01G0025370 transcript:Dexi1B01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGVERRLAAEGGAAAEGAVGVSSSGRQPSGGGEERKAVVRVVAADMAPALQRRAFRCARDELAAMPHFPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSPH >Dexi3A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:3A:1622862:1623277:1 gene:Dexi3A01G0002390 transcript:Dexi3A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVGLVVLAAAVAVAAAFVSLDSSRLHDDGLAVLEIRGDDDGLQLIPVDGGAAGPESVAFDDGGGGPYTGVSDGRVLRWLPAERRWVDHSSSAPSSRLS >Dexi9A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:9A:7092289:7097671:-1 gene:Dexi9A01G0011380 transcript:Dexi9A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPVRSLPSPAITPPRHRRHRHHTTTPSLPSHSRSLHHHHPGLLRLTPLLASRVDPRPVVGGSAMFSVTKKATTPFEGQKPGTSGLRKKVFPPALALSRSRFPFTFLGALNRDLSVPVMRWVRSPFWSLGSAARQPWLPCRLVDLAGRLLGRDLGALFDPSFRFFWICWDNPPLPLSSSAVTVFQQPHYLQNFVQSTFNALPAEEVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERIGEDGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTTTISEYLISEDLPDVDISQVGVTSFSGPEGPFTVEVFDSSVDYIKLMKTIFDFEAIKKLLTSPKFTFCYDALHGVAGAYAKHIFVEELGADESSLLNCVPKEDFGGGHPDPNLTYAKELVERMGLGKSSSNVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFESVVKRALALVILGNTWSDHIREKDGIWAVLAWLSILAFKNKDNLGGGDKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSEVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVDVALKLSKMEEYTGRSAPTVIT >Dexi8B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:8B:18852262:18854442:1 gene:Dexi8B01G0010330 transcript:Dexi8B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVQLTRRLFQWTRREKMAPPAVAAPSATTMVCARQGRLRQRYEGCYRLVSGCIPYMLKEEDGGSSCGHGQDVLGRLQVLMISTPKRGDLIFPKGGWEDDESIDEAACREAFEEAGVKGNISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFESNFRPLPSPELVESSSLYMVMPAVAEGALALC >Dexi5B01G0027090.1:cds pep primary_assembly:Fonio_CM05836:5B:28709866:28715041:-1 gene:Dexi5B01G0027090 transcript:Dexi5B01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKIKPEKDLERAKAEILQCKLRIREAFRNMDALLSEGKLEESLFDSAGEIFSEDKIFPEAASIANGSKQVAASDPAPGHMEDNDYNPALAEGHMINEDMFSAENDDKVDDLGLPSEDSEDDDFNPAGSDSSEDQKNELKSEESDFTSDSDDFCAEIAKSCHQDEVSASPLIKFTDVMKTSGSYNHSNEEISNHALIDMEPEQGIVLPISSRRQVERLDYKKLYDEAYGKESSGSSDDEEWSGKELLEGSETDSVGEQLHPVKRSSRRASARLQNNDNTPQKERLHGSESEQQTEVLHSNGSGSTGRKFGPMVTQKLKVHFEKDPYPSRATKENLAQELGLTFNQVCRWFSSSRHYSRVASAKKEKHPGNHTSENNDSTNVDSMQTREPNAGVMEKLIEDRNAVVPEKSMVQNTIHHCNKDMPLSGTEIEMESYGQESSDSSDKEWSAFSTPRKARIQDNVTASPAESLGPAKRSSRIATAREQNNEHTPQSEQLHGSTSEQQTEVLCSNSSSSKYHFGPIVNQKLKAHFEKDPYPSRATKESLAQELGLTFNQVNKWFSATRYYSRSAAAKNKKHPGKNTAENDNGITSDGVQVREPDPGLMEKPSADINDMISEKLMVQINLNEGIEEDTAPSQYPTCEERTTMTPTAISREVGLPGYGPGENFLQVSSRNTSSEQNVITTPSAISREVGPPGYVREENQGNDAPWHTSCEQRVFMSPATMSREVGPPGYGPEENQGSGMSWNMSCEQGVFMISTTISREAGPPGYGSQENQGRDTSFNTSCERGMFTSPGTISEVGPPGFWPEENQVNDTSPNTSCELRMFTSPTTISREVRPPGYGPGENESNDTSWNMGYKKGVFMSPIATSSEVGPPGFGTDENQGSSTSWNMRCGQRMYTNPTTISREVGLPGYGGKNQGNCASFSTSCEQGVFTTPKAVSREVGPPGYGPGESQGSITSWITSGKHQVFANHTTTPSEGGPPGYTIVENQGTGGSRNINLEQRMAVAPAAIPIEVFPPGYGPEENQGSGASGNVRNPQGRSAEKKIEFSDEARKRAIQRELRRRQKFR >Dexi3B01G0024200.1:cds pep primary_assembly:Fonio_CM05836:3B:18898018:18900006:1 gene:Dexi3B01G0024200 transcript:Dexi3B01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEMVSPLPLFVVLFLLASSPSGAAAAAGGGGGNATSDSCPLDLSYVATFPWDPTPCAGGASPNNMTACCQTLLSLLGIGLAERLRTTGLFRLPSASASAACLDGLSEAISGSPAALQGSSLVPGCFPDPGQFAITPSYCANVSTAAEFAAAAGNDSVQALNASCADLSSPSSCAGCYAAGVAAAAHLTTAAANDSKSESCFYLSVLYAAGVSNAAGPTSPPTAACAFGLGLSSSPPPPSKSNNHAAIYATTIPIAFLVLASLLALFFLWRKRRHADSKKNKRKNHRICEEGSSAERRSHPRPNTGSILFDIAELAKATDGFAERNIVGRGGFGAVYRGVLADGSVVAVKKMLDPDMDGGDEEFTNEVEIISHLRHRNLVPLRGCCISDDDVDVEEGGKQRFLVYDFMPNGALEDFIYREKPAAAKRPALTWAQRRSIILDVARGLEYLHHGVKPAIYHRDIKATNILLDGEMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLLLEVMSARRVLDMASPSGPVLITDWAWTLVKAGQAREVLDAALTAAAAESPRSGVMEKFVLVGILCAHVMVALRPTIGEAVRMLEGDMDVPELPDRPLPYGHSVMFSEAGSNFSASPAFSGPLAPFIDNGDMLR >Dexi3B01G0037690.1:cds pep primary_assembly:Fonio_CM05836:3B:40454497:40458472:-1 gene:Dexi3B01G0037690 transcript:Dexi3B01G0037690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMMRWPRPPPPRNFRVRLVVRRAEGLPPPPAPLSPEGSPEAEAKVFVEVCWKGPKMSPLSSLRRAQRPPRNQTRKEALPAAGAAATPANIEDDDAVAAAAAPAPTMVAVAWEEEFERDAALTAMSHREATTFQPWDVSFSVVSEPNKMSKGKLVLGTASLNLADYASAAEEEIEIILPLSAPSGGATDLAPSLHLTLSLAELKTSPQSPGASQRSVVVAPLSPSSGDSVPSGKDEVSVIKVGLRNLKILRDLVSTRRFKKTNCDGSVEKYYVHSDGAEFSCDTDSLDDDLDDAEQDDDLEGSTVRKSFSYGSLQTMNVGALLYAPRIDGDDEGWIHYSHRNSDANYHVEQVPSSTAEEHASIPVRRKRSILPVRWRKTKMPKAKGEPLLKPYGEEGGDDIDYDRRLLTPSDGSVSEGSNGPNSMASVFGDDDFVVGNWELKEVFSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFQANQDLMPIRSQFDNLIREGSLEWRKLCENETYRERFPDKHFDLDTVLHSKIRPLTVVPSKSFIGFFHPEGTEDLSGFEFLHGAMSFDNIWDEISRAVDTGKSTLYIVSWNDHFFVLKVDADAYYIIDTLGERLSEGCNQAYILKFDDSTTIHKVPSEKKEENPESSCLKDSSESSSTEQDSGTDTEECELVLKGKDACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPAEITMPAPFPTFEFCWPEPPSPTPAMEVEVTHLPPMVTHQPPMEVAVTRAVAVV >Dexi4A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:4A:21052482:21053150:-1 gene:Dexi4A01G0017360 transcript:Dexi4A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLVPLYSTSTPSMVTPVQGILSEQRRLTASGVEEVPVTFLKETSLTWILEAVSTDLASSYALIRRPLLDFLSVQSFTVMSVTSFSSLYLPRLPMEMPWPGPQVTPVMLILVLPGPMEMQSSPQAMLVLMILTPVESPMWMPSVLGLSPGADTVTSLITMSLHWNTFMWKNLELSRVMPDTSPLFT >Dexi6A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:6A:12448437:12448856:-1 gene:Dexi6A01G0010150 transcript:Dexi6A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAASADTTTSANTTLDDAAGSAYDVLGQNNLPRGLLPLGVQSYTLHAGGAFGVTFPGECNFFVTIAGKQFKFRYASRVSGIIKPGSISSMSGARIQAGFAWLGFNQVSREGNLLNIQLEKSTQSFPMSAFSQSPKCS >Dexi3B01G0031470.1:cds pep primary_assembly:Fonio_CM05836:3B:32922215:32922817:-1 gene:Dexi3B01G0031470 transcript:Dexi3B01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRAVQNELCSMIPGRRISISFLQSQVGAVQAQAPTQFSSCEPGYPGQETNHAHNVANLVRAPRKVAVIEDQARHDTHLRL >Dexi1B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:1B:8625253:8627039:1 gene:Dexi1B01G0009510 transcript:Dexi1B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGTTPASKTPIVPVHVPPLLARGEREKTQEIAAVAAAAPCGLRAPSLERAFVGGPKMSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSVLYFFSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGKDDVSGLFC >Dexi5B01G0028430.1:cds pep primary_assembly:Fonio_CM05836:5B:29795486:29798469:1 gene:Dexi5B01G0028430 transcript:Dexi5B01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEPGGGGGASSAAENFDAGQYAFFGKEPLEGFELGGLEDANGDGNGGGFGGPEEGLYRLSSVGEEMDDLSNLSDVDDLASTFAKLNRSISGTRNPGVIGDRRSISRGTVHHR >Dexi2A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:2A:3069741:3072791:-1 gene:Dexi2A01G0003500 transcript:Dexi2A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMLRLAQEQMRRMSPDDLARMQQQLMSNPDLIRMASESMKNMKADDLRRAAQQMNQTRPEDMRDMTEKLANTTPEEFAAMKAQADAQMSYAISGAKMLKKQGNELHNRGDYSDAASKYKLAKDNLKAIPSSAAHTLQLQCTLNLMACYLKTGQFDECISEGSEVLTYDSINVKAYYRRGQAYKELGKLEAAVADLSKAHEISPEDETIAEVLRDAEEKLAQEGGGVNLRKGVVIEEVVEDDTSQPSSSQSSTQYTVSQPPEGARDSGLSESSGRSRDVSERNHEGLSKSGIEGMSPELIKTATDMIGTMKPEELQKMFEVASSMNGTSSGGPNLGSNMPEMSPDVLKMASDMLGKMSPDELQNMMNFASQMGGPGGAPVRSENNFQPSSRATTSNSPFGSSSQTISPSLDELSNDQRMGQSSSSLPPSTADMQETMRNSMKDPAMRQMMTNMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWMERAQKGVEVAKKTKNWLLGRRGLILAIVMLILAFIFHQLGFIGR >Dexi9A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:9A:5122539:5124733:1 gene:Dexi9A01G0008650 transcript:Dexi9A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVAAALLVAALAAFCGTDPLRTGSMVDFPGFEAHFVDLPEPSEMPPHADSQERLRGAEVRFRGEVQGPESVAFDPRGRGPYTGVADGRVVFWDGERWVPFATASPRWSQELCGGPKASPLQYLPNEHICGRPLGLRFDKRNGDLYIADAYFGLLKVGPEGGLATPVATEAEGVRFNFTNDLDIDDEGNVYFTDSSIHYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSIYARLMSRHVKLRKFMLSLPIPAKYHYLMQIGGRLHAVIIKYSPDGEVLDILEDTKGEVVKAVSEVEEKDGKLWIGSVLMPFIAVFDLAKAS >Dexi2B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:2B:4828871:4829075:1 gene:Dexi2B01G0005150 transcript:Dexi2B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGGSELAVPSIPRRGKKRPPSPAAPPSDDVEDLPMSTTSDDGWKFATARRMRRRIKVQPES >Dexi1A01G0029760.1:cds pep primary_assembly:Fonio_CM05836:1A:35195554:35199852:-1 gene:Dexi1A01G0029760 transcript:Dexi1A01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAIPIQQKQAGLAASKFGVGISKKHRAFVAGDEQWFNRIFDPSSDFILTWNRVFLVSCFAALFIDPLYFYVPKISYGTTVSCVGTDTHLAVIVTFLRSIADLLYVLHIVIKFRTAYINPSARVFGRGDLVTNPKEIAWKYLRSEFAVDVVAALPLPQVLGALWYLLSVDRQTACWKKNCRDEPGCDIKFLDCDDTPNATWANTTTIFFNCDASNTSIAFDFGMFQPALMNQAPADRFVMKYFYSLWWGLQNLSCYGQTLSVSTYLGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPQELRERVRRFIQYKWLATRGVNEESIMQALPADLRRDIKRHLCLGLVRRVNSFICFCICMCRVPFFSQMDDQLLDAICERLVSSLCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPKPTTNLPSSTRTVRALIEVEAFSLQADDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAKDLSMRELFNSVRLDEVDEEDESPPKNSLALKFIARTRKMPQSTKELPKLRKPDEPDFSAEPEE >Dexi9A01G0041540.1:cds pep primary_assembly:Fonio_CM05836:9A:45199703:45200446:1 gene:Dexi9A01G0041540 transcript:Dexi9A01G0041540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLDRSSGSLEFPKRSFGRSRRRPAAAPGGGAYGSFPTCQPYNHLLPINGGAWTSAPPTLPYARPPAIYSSPSLPLLPSNQPPLLPLPPTATKYATFPCLPLAPPPPPPSPATRTAAGKGATVPAVPAPRQREQRRRRPSRPPPPSTTTEAPKEEQKKKPLERATPLPPAMVVTAALDDLEQELARNFVQDLLHALAPPPSSLPLPRFSLVSKGSSPAMAVAPAGPSCNVEAAAADGICGLLRL >Dexi6A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:6A:1293610:1294447:1 gene:Dexi6A01G0001460 transcript:Dexi6A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHHHEGIGGMARGGGGDDGQQQAHDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVRVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGEADRYQKKFCS >DexiUA01G0013920.1:cds pep primary_assembly:Fonio_CM05836:UA:29280053:29281397:-1 gene:DexiUA01G0013920 transcript:DexiUA01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSFTRCDDCALALDAIDPLTLPSGADVVRSAATCRRWARLVAKDGAVLSRALPQLPCLTLGFLHQEDAGTTARRRKASSGAAAHPCFVPTASAARLIGLQAPSSTALADAVLGLGDVLEHARPVASRNGWLVLELRKERYTDSLKLCVCNPTRGDMAMLPPLAGADKPGDYACALYTGHDLGTTPRPLSAFFRLLIVYNRRAFTALRSYSSDAGRWSTEAKRSWGPKIASPRELGQSIVVDGVAYWHLRYSAFAVRVDIPEPTEVLMPAASHHISNLPRGWQSLGVDTDGKLIFIDAALRNLPDVALGKRLGAARRGRSSVLEAGTATAPASGRRD >Dexi7B01G0022650.1:cds pep primary_assembly:Fonio_CM05836:7B:27513287:27514519:-1 gene:Dexi7B01G0022650 transcript:Dexi7B01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLPSTSAISATTTTWVHVIKLRGYSHAKRLLDTGERVTSAVFQAAGHSWQVHVYPNGGNAECRPGSIALYLELVGNSKGDVVTAELRFSLLRHGAKLVSLSSAHDGGRRTDAPVTFNADVAGWGIEDVGTHGELHKPEYLEDDTILIRCDITVPDLVVERRHLPELGLLHSGSSSKKKKASQIHSMAQPPAPSASTIAVTASTGCHVVKFSGYSLLPGNGKHIKSAEFKEAGHAWRVWCSPDGDSEETAGHVSLYLELAGVEATDVHAEFEFSLVPHGHLAPCGDATCGARATYDKEERCFGIEDFKAREELEESEYLKDDCFYIRCDIAAMNKPVAKLLHGAEALGLLCCCDDHELCKNIHGRRDKVEADACLGMLLSCLPIRSKPSRRTVFGVEYSRL >Dexi3A01G0025670.1:cds pep primary_assembly:Fonio_CM05836:3A:21387875:21388157:-1 gene:Dexi3A01G0025670 transcript:Dexi3A01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNGAAGAGVVARDSSGKIIFTAWRSLRRCSDAAEAEALACVEGIRLAVEWASGRSKRFSRGETNRSSASFWQKQRSSCDSL >Dexi9A01G0044630.1:cds pep primary_assembly:Fonio_CM05836:9A:48245833:48251643:1 gene:Dexi9A01G0044630 transcript:Dexi9A01G0044630.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLFLFDRNVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKQHENGSGPVMGASVLSPYILAESLGNQQGSPAATTTTGFYSHGQDALPVTLNEPGLRIAFNGADNQLDPSSLDGLVKPGQGVHPMHPPQITDPSKEFPFAVGPGIEPFTFDEVYSNGLSIKDADTVGTDEESLWQFPGTISSFPTEDIFQQNDSSLEEATDNLLKTHSSSFSDILKDSFKKSDSFTRWMSKELGEVDDSQIKSSSGVYWNSEETDHIIEASSPDQLDQFTVDPVLAKDQLFSIFDFSPSWTYAGSKIRVLITGRFLNSDEVQRCKWSCMFGEIEVPADISADGTLICYSPPHKPGRVPLYVTCSNRLACSEIREFEFRPTNSQHMDGRSPYDAANKTYLQMRLDDLLSLGQDEYQKTVSNPTKEMVDLSKKISSLMTDRDLWSKLLKLADDNELATDDKQDQFFEKRLKEKLHIWLVHKAGGGGKGPSVLDEEGQGVLHLAGALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPTGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAVGSNVPEISGLPGIGDVTDRRASPLAGEDFVAGSMGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAAQYEDDNGAISDDRALLLLTVKPSKPGQLDPLHAAATRIQNKYRGWKGRKEFLLIRQRIIKLQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSTEGASEGTSSSSSNLIQNKPAEDDYDFLQQGRKQTEERLQKALARVKSMVQYPDARDQYQRILNVVTRIQESQAMQDKMLESATDMDEGLVMSEFEELWDDDVPMPGYS >Dexi9B01G0045080.1:cds pep primary_assembly:Fonio_CM05836:9B:44724208:44725018:1 gene:Dexi9B01G0045080 transcript:Dexi9B01G0045080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKEQRGQDAAGANGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDEDLPHAQQQRNQAQTKAQPKLGRPADDHRRPLHARDHSPTE >Dexi3B01G0022470.1:cds pep primary_assembly:Fonio_CM05836:3B:17291198:17293322:1 gene:Dexi3B01G0022470 transcript:Dexi3B01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRLRCLSPACLFLATAVALLAMPDLAAARTRRYTFNVTMATVTRLCVTKSIPTVNGQFPGPKVVVREGDRLIVQIHNNINNNVTFHWHGVRQLRSGWADGPSYITQCPIRPGQSYAYNFRIVGQRGTLWWHAHFSWLRATLYGPLVILPPLGVPYPFPKPDKEIPLMLGEWFNADPEAVIKQALRTGGGPNVSDAYTFNGLPGPTYNCSSSAGDTFRLRVSRGKTYMLRLVNAALNDELFFAVANHTLTVVQADANYVKPFVAATLVISPGQTMDVLLTAAAAATSSPAFAIAVAPYTNTVGTFDNTTAVAVLDYAPPPQVTGAAALPLPALPLYNDTAAVANFSANFRSLASTSYPARVPQAVDRKFFFAVGLGSDPCKSRVNGTCQGPNGTRFAASMNNVSFAMPKTSLLQAHYQRRYSGVLTANFPATPAMPFNYTGTPPNNTFVSHGTRVVPLGFNTSVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDANNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLAMAWLVNDGPLPNQKLPPPPSDIPKCS >Dexi3A01G0026380.1:cds pep primary_assembly:Fonio_CM05836:3A:22595821:22596435:-1 gene:Dexi3A01G0026380 transcript:Dexi3A01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLASGGPAAVENTSSHWVPHGPVLTACVVGVNVLMILLIIFLFWRFFSGEAGPSTSAADADAEDDEDDDEAPVASPWASRWRHEDLAQPLEYDVAALALPVYIYSGAAAGADEEGGKAEECAVCIVELRDGDSASVLPRCGHRFHADCIGAWLRRRHTTCPLCRASVVAPAAAAATAVAAADESTNAAAKDDDEGAAVDCPV >Dexi8A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:8A:8279122:8281995:1 gene:Dexi8A01G0007360 transcript:Dexi8A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIAASDGEKGPEQHAIDVAHVEHADGEGEDMGKERVAVAEEVQRKSKRVAALDAFRGLTIVIMILVDDGGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNIGAAVKKIALRTLKMLFWGVLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTTVRSGPCAIFDAYRWQWLGGFISFVIYLVTTFSLYVPDWSFVYHNDGDVNDGKQFTVQCGVRASLDQACNAVGYIDRQDCTFSSPNMGPLRADAPAWCLAPFEPEGLLSSISSILSGTIGIHYGHVLIHFKTHKERLKHWLLMGFALLVLGIILHFTNAIPINKQLYSFSYVCFTGGAAGIVLSAFYILVWFMSQGILAAFVNGWYYESPDKSLVHWIVKHVFVNVWHSQRLGTLLYVIFCEIVFWGVAAGVLHKLGIYWKL >Dexi4B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:4B:19905924:19906232:1 gene:Dexi4B01G0017560 transcript:Dexi4B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHPCPRHGAARGHYYTPASLDTSPGAACVLACPLWWRPAGEGSHELASADGFACRLLVDRAKRAAGHVTAEFAGSGGEEDELGVVCVGGRGEEKGVLGVD >Dexi9B01G0021250.1:cds pep primary_assembly:Fonio_CM05836:9B:15912674:15913444:-1 gene:Dexi9B01G0021250 transcript:Dexi9B01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADTPLPLAGRVALVTGGSRGIGREVSTHLAALGARVVINYASNSARADELVAELASRGHQSVAVHADVSDPDAVRALFDRAEEAFGSPPHIVVACAGLLNAKYPSLADTAVEDFDAMFAVNVRGTFLVCREAASRIPANSGGRIVTFSSSIVGTLIPGYAAYTATNAAVEAMTRILAKEVAAKGVTANVVAPGPVRTELFLAGKDEAFLKRVEEASMGRIAETTDVAPVVAFLASDAAAWVNGQVIRVNGGFV >Dexi9A01G0040910.1:cds pep primary_assembly:Fonio_CM05836:9A:44598221:44603204:1 gene:Dexi9A01G0040910 transcript:Dexi9A01G0040910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQPQGAMATGAAILTIPCSAGRSPKRSSQRRSSASLSVRASFDANTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDFLNSTGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKETQLLQGVDGHHVYFVHSYHALPSDANRDWISSICNYGDSFVASISMGNIQAVQFHPEKSGATGLSILKNFLSAKSSGAKVTPRRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDHSSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEAFLQSGAVVVSIDPRRVYVNSPEDVPFKTVKVSSKGPSGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLVDDGVEVRV >Dexi6B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:6B:9691561:9691981:-1 gene:Dexi6B01G0007950 transcript:Dexi6B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNLKPCHRSKRATAPPTPQALPPDSPWWGSAVKPGVAFPPSGEVMAIPPGCWPPPPLQSTSSFVSPYGAWMGAVPTPDGEDSQNTSNNPLE >Dexi7A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:7A:2045064:2051742:1 gene:Dexi7A01G0000740 transcript:Dexi7A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDGPSQAAPLLPAVALELAQLERWLGQVAGEAARQELAALGEAPAVRVLRRIGRSQRDVRTLTGYIVTVARQEAFALNALAVPTAESAVCTSSAPSMRDESVHGPQHHNDVQMEEEIASDLSNHCMVVDEIQGQEGSSVMMAVDNPSDCIPPRDWNPDCVEVDEAVPGMISQANQMPMQNGDRLWTKDHTAYQVQDFAILNNMENEAVLTVDYIRSLKFMSIGHFESDIWCKFGRKNFQASNRTVSDRPKGPYMENERTHLQKVLGDDNVLVVKFVVPSETNGNFYRQHYHKGAEDVYKDGGKEKKKKDDERTESSVRFSLILSKTVTLDVDLSKVDVILIDDEPCRDKHGIDAIVDGKRLIHTDGTGFISENLAKICPNRIIKGKKSKVRLFYNGYAVKGTLLVDKRLHDNTVVIRPSMVKVKADPMLSQMQSISSLEVVSTS >Dexi4A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:4A:22227991:22228332:1 gene:Dexi4A01G0018230 transcript:Dexi4A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLQDVVVMAAVVDVVAIIASVAGVAAARSLVAIAGLLVLATKALVMGLLHQGKASGLAIPRQRLRLLAALPATPRSAQGRQHRRTPKASFFPSSSASQRQLQWRNHHAAL >Dexi2B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:2B:25383201:25386862:-1 gene:Dexi2B01G0015410 transcript:Dexi2B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAARLLAAASPRRLPPRVHLLLRGLCSASPGEPDPRPDPDPSPDPDPQLVGALCRVLSDFRGPRHDLRAALHGFAPRLTPAAAAAVLRRCRNLPVPSLRFFLFAAAMPGFTHLPDSLLVLAGSLAGARLFPLLRSLLSDLPRAALSRDLFPLLFRAYARASLPDDAIRAFSSMEGFGFPPTIDDLHSLLFALSRNGLVEHAETFSRDSAAQFGLSAKTYTILISGWAVVTKPENARKLFDEMIERGIEPDVPAYNALIDALCRGGDVALAHEQLKDMQRSHGLVPDAATYGPFLRSACVSKDARAALRVLDRMRMRNLTPNVFTYNAVIRLLCELGEIDEAYNILNEMAAHGEMPDIWSYNTLLNTHCKLKEVNKALRLISRMDKDSCLPDRHSYNMILKMLVSVGRVDRAIEVWDGMEKRGFHPGAATYAVMIHGLSCKKGKAEEACTYFLRMVDEGIPPYQATCEVLRDRLLRLGLRDDLEMLIDRMRRSTSYEMGTKIDELEQSINDLKAEMGTEMPAKKPEEAKPADSA >Dexi4B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:4B:19291093:19293119:1 gene:Dexi4B01G0017220 transcript:Dexi4B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATRDLLPFTSLPLLLVITFLTAILYALRHHFFTGRNTAGLRLPPSPLALPFLGHLHLLAPLPHQALHRLASRHGAPLLYLRLGSVPAIAACSPAAAREILKTHEPAFLDRPKPTAVHRLTYGGQDFSFSAYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVASLRRSAAAGEAVDVNAVLMGLTGDVVSRMVMSRRWTGEDTDTEEMRAVVAETAELTGTFNLQDYIGVFKHWDVQGLGKRIDAVHRKFDAMMERILTARDGERRRRREVAAGGEEGDKDVLDMLFDMHEDEAAEMRLTRDNIKAFMLDIFAAGTDTTTITLEWALSELINNPSVLRRAQAELDAVVGASRLADESDVPNLPYLQAITKETLRLHPTGPLVVRRSMEPCKVSGYDVPAGATVFVNVWAIGRDPASWGPDPVTFRPERFLDGEENAGLDVRGQHFHLLPFGSGRRICPGVGLAMLVVQAALVAMVQCFEWTPVGGAPVDMEEGPGLTLPRKKPLVCTFKARFDTMPCLDADGGGVVAGAADE >Dexi9B01G0021750.1:cds pep primary_assembly:Fonio_CM05836:9B:16446156:16447140:-1 gene:Dexi9B01G0021750 transcript:Dexi9B01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVVASSVRSPASRSVVTVRTDVAGRRVEVTGTADASAVATSLEVRMRRPVRVVSDPRSAGAAGYEHERRKAAAAQQMQEMYGGPSSSYGAAPPAQDGYYYNNYPEPGGVCGQAGQQWPEYPSPGGFYMHQGGQQCPASYPSPGMESEMEGYYPYGGQQDDEKPAGCSIQ >Dexi8B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:8B:25358825:25359136:-1 gene:Dexi8B01G0014720 transcript:Dexi8B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLRVINVALFSQVYFKIASRNFTVVAADANYVNPYTTDVISIIAPDAPSESYSIVVVAQQMPKPKQQLPYFVTTGTLPHKQNESGHGNAE >Dexi9A01G0042680.1:cds pep primary_assembly:Fonio_CM05836:9A:46222605:46225929:-1 gene:Dexi9A01G0042680 transcript:Dexi9A01G0042680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPHSLRRKPTPALPDDVLHLILGFLPEATAAARTAVLSRRWWHVWVHAHKFVFSDDLAPAAALGNFASFVDRLFARREGAEWTRYAVRHVVDFFLLRVSHVPPAATVELELPSHGRTRSIQLDPSGSCLRLPQPAASMYEALAELVLDSARLGDDVLLGDFVSSCCPRLRKLAIGSPLDLRELVLRVDALQELRLSRAEDLRTLDVTAPNLRVLWLDLDRCFPHGAPGNDGGVSGNRVACCRVVAPRLQVIGMRDATLAKLPGMDIHDLASVRCLDLCLHMRGWCCRRTSSGLWLLENCPGVQHVQVSLSHYCGLVVTLTGRLFVGLGTIFAADEFIGLGRKGAAPFASVTSLKVDTTSLPESYLVPSITSLLLRFPRLTSLSISSNRQGTGCNCCYAEYSPSGNHHRKISLGSLEVVEISGFTWAKEEMDLVSLLFESSSSIKRMTILTGAAKISGSHSLKPAAPSSWKLMMGEVDPMVDEELKRTTCIKRITCSTSRGRWDIKEGVYTWTHYAAEAEAEGSINN >Dexi3B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:3B:3684495:3695737:-1 gene:Dexi3B01G0005470 transcript:Dexi3B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTGSPPCWEDLPEELLGKIAARLKAAADAIRFHAVCWAWRGAPAHEAEYNHKPFMFLPWLLAPSTAAGHLLEDQRCRCVFSKETYLAPGICVRDRRVACTTGVPAWLVTGNGERHLVNPLTADRMEFPDECITDEWLDRRHRIIFEGGAVLLYDFDPNPSQHDSYFPYRLRFRASLLPPDDHRWQPITSDLGGTDRCCAAACHKGGFVVCVDLANCHVIQPYWEPSGSGNYIWGRTREVRAALPDVPAGKVRRSSYLVEHDGGLLLASVLQSCTGGGGLSVSLHELRPEKHGVQVDDEVAVEWVRRDGDTSDAADMDRLGKHVLFLGFPASFAVEAAPFYGEGEKEGSEPVGDLQPQGGDSGGAPRRRQQQLRIYAGDLSAKVDNARLREMLSVYGKVATARVAYDKRGRSRGFGFVTMATQEGFDNAMAALNPVVKEEPDDSFDFIDVCLGLLLFS >Dexi2B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:2B:11265319:11269438:-1 gene:Dexi2B01G0010220 transcript:Dexi2B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLWGPATPSATGNSRIMRSPFRAMLAALRGRAGPTAEAQLPPPRPPPDVVNEAGGGCGGVHDGFDGIIIDDRDDDGRRWEDGGLPRPEGGVFITWEDVWVTAVDGRGQTATILHGISGSARPGEVLAIMGPSGCGKTTLLDALAGLGRGATGQEPEEQRDILINGRRQKLAFGTSIDSVIPNDERTQAYVTQENVLMATLTVRETIYYSAQIQLPDTMPVAEKLSWADDTIQEMGLTSAMDTRVGGRETKGISGGQRKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRIAGLAAREGMTVVAVVQQPCTEVFELFHGLCLLASGRTIYFGLAANATESRSSMLMFTGGLLTLMAIGGFPSFVEEMK >Dexi2A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:2A:14127016:14129817:-1 gene:Dexi2A01G0012150 transcript:Dexi2A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERLCYWLLLLVALFSLSATIAAAGKVSALIVFGDSTVDAGNNNFIPTIAKANFPPYGIDFDGGVATGRFSNGRLVTDFVSEALRLQSSVPAYLDPSYTIDQLAMGSVIPLSQQLEYFKEYQERLKRAKGESVANKIITEALYIFSIGTNDFILNYLVLPLRPAQYTAPEYVAYLIGHADAAVRGAYDLGARKILFVGLAPFGCVPAARTLNRDEPGECNEEYNQLAIKFNAELQEAISKLNGDLAGAQVVYIDTYNMASGIVANPLDYG >Dexi9B01G0027220.1:cds pep primary_assembly:Fonio_CM05836:9B:29682887:29685154:-1 gene:Dexi9B01G0027220 transcript:Dexi9B01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATATGYWKATGKDRRIACSDAGVYGLRKTLVFYRGRAPGGERTDWVMHEYRLCQDLAHGACNFIGAYALCRVIKRHEAGLLEPAAKATKGANAAGARAQMSKVSSSSSLVSSEQLSAFTPSPPPPTLDISRGMCTMAESGNTFQSPLAYGGDVTATATTTAPLFLPTGGHSSPYDTFFIGGDDFASESRSLFAGGDMGATGDVAEDELMRWDSFTYPNTFSNNGVDTWNTAAAASPLLCRQASDGGVDDLAAFFFSDPENRIYTSKTRARGMGD >Dexi5A01G0032340.1:cds pep primary_assembly:Fonio_CM05836:5A:34900274:34900830:1 gene:Dexi5A01G0032340 transcript:Dexi5A01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPGINKLAAEADCPTRGRHVCVARGLADNTGVVVAVNINLLLVGPRLPASEADGHHVSTALRGIPPRVQRASFRPLEFPRATATLLGGRAEKI >Dexi9A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:9A:1879557:1882429:1 gene:Dexi9A01G0003560 transcript:Dexi9A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAVLALVAAAASPFLLAAGQDGGGPLPFAVGAAPEGCDVGRGEWVRDETARPWYQEWECPYIQPQLTCQAHGRPDKEYQNWRWQPRGCSLPSFNATMMLEMLRGKRMLFVGDSLNRGQYVSLLCLLHRAIPDSAKSFETTDSLSIFRAKNYDATIEFYWAPLLAESNSDDAVVHRVGERVIRGAPMDKHSRFWQGAHIIVFNSYLWWTAGDKINILRGADNDMSKDIVEMKSAEAYRLVLYQVVRWLERNADPKNSRAFFVTASPTHTDSAAWGDETEGGNCYNQTTPISDAAAYRSSTNQEIQRVTEEVLATSRVPVGLVNITQLSEYRRDAHTQTYKKQWSEPTKEQRADPRSYADCTHWCLPGVPDTWNELLYWKLFFPSYDQAL >Dexi5A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:5A:24025011:24027784:1 gene:Dexi5A01G0020210 transcript:Dexi5A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATKPSAATLSTSSSRRWVLSRCSLATLFLLSGSLMLIAAGYRPFQPRTAATWDRFSKLQKAIPAAPSAARVSHGAAVTAPAPAPAGDLDYLSHQEEHDEEDAGPPAPAPAAAEEVGGDGECDVFNGEWVEEPVGYPMYDAAECPFLSDQVACRRNGRPDSGYERWRWKPRGCGGRTRLGGAEALEACRDKRVVFVGDSLNRNMWESLACILYAALPDRSRKRIVDEAGSEYRVFRAMDYNCSVEFLWSPFLVSLETKDDRTKALKLDQLPAMLQRTLGADVLVFNTGHWWTHTGKLRAWDHLERDGKMVEMAGEEAFNRALRTWARWVDRNIDPSRTRVFFRSVSPEHKSVNWCYNQTSPISSGTVAPWFPKSLITIVERDIKSMRTPVTYLNITHLSELRIDAHPSVYTITREGKPLSTEQRQQPLTYADCSHWCLPGLPDTWNVLLLDSLIRPPSDVQLLG >Dexi5B01G0032720.1:cds pep primary_assembly:Fonio_CM05836:5B:33318571:33320079:1 gene:Dexi5B01G0032720 transcript:Dexi5B01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSPLAGGAGFWLPDEFLDDDFFSEEEKAAVAARSESDEEEGLDGLERRVAGLLLVGGGGKGDDGSSPAKAEVMAGSPQSILCGLAASGEESPNGAASQVSSPPSSPLEQQPADPWDVLHEAAGQVARLRSESIPVPKNAAAHPCSTVLLPARVVQALNLNVEDLGARPVYPGGFALDHDALVSRSNALLASRSSELAAGAVAREVNLPQEWTY >Dexi1A01G0026580.1:cds pep primary_assembly:Fonio_CM05836:1A:32431486:32432552:-1 gene:Dexi1A01G0026580 transcript:Dexi1A01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGEDSPSQIAGKRSRPEPKKHLYLVFDDWEKGYSIRQIDPDTMLSNSSDDDLVYAVVDLPEPAAFRFVAHARDTQFFAMGSSNIVAVSSGVEATESSPPTLVYDTAAAALATAPPLPGHLAGPIIPVADSLYAPTTLGAGMPTAFEAFSCSPYTDEPSSPRRMHEWSWKSVDALRPPPPPPPPSSSPAPAWRRRFVVSYAAHPDGHTVFVTTRDASIGGGVAMTYAFDVERREWTPLGLWALPFLGQGHFDVELDAWVGLDEDPGYICACQVPSRSRYTTVPPESDKMEERLFGARDERTLTYMGDSKFCLVVSVGLEDAEEEEESVGAENYD >Dexi3B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:3B:1745696:1747180:-1 gene:Dexi3B01G0002590 transcript:Dexi3B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSPQRQSRRRLSPPRLLHDDELVVDEILTRLPVAAAVRFRLVCRAWNEALTSDHLLKAHHARLAAARQPELLFLLPPAAANGTAAASLYACSLRDGEPPSAAREVLTIGNLSSGEHVLILSSPRPCHGLTLILDARHCSYYICNVSTGQHAALPPCEPAMCSRAGPSRIGMGFHLRPPPWTPFEISTAGLGFNHATGQHKVVRLFKTRIGETICAICALGRPAGGPTDTGWRPCAGRVPPHAASFIAGLPPVFIDGSLYWLLDNQLDTTGGQPPAIMSLSVDDEQFGFVRTPPLLSRRICHLTDLDGSLCATVDLRHVAERYAIFAWSGGGSTSWSVRCSINLQRLPRPIADEFVEEQDVVPLCTAAGGNKKILLATGRHKVFAYDPERDSMERLVNMQEFVDIPHRHREAPLLLNISLHEERIACVHDPTPPDCSSSSSSKRRLHFRPGNKTLGKRQVPSNDYHDDRFRHLRDLFKEMAGLPLPHMNI >Dexi1B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:1B:22208006:22210508:1 gene:Dexi1B01G0015620 transcript:Dexi1B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFDLRPGSTTSEVVTTVSTDSLAVQDALAERVPNLVTNAAMFLGSYAVAFALMWRLALAALPSVLLLVVPGLVYGRVLTGLARRVREHYASLPGAVAERAVSSARTVYSFAAETSTVARFSAVLEETVRLGLRQGLVKGVAVGSNGVTFAIWAFNVWYGSRLVMYHGYQGGTVFAVSTAIVGGGVALGWGLSNVKYLSEASVAAERIMEMIRRVPKIDSESDAGVDLDHVNGELEFKNVKFHYPSRPESPVFTNFSLRVPAGRTLALVGASGSGKSTVIALLERFYDPLAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATTIRENILFGKEHATDEEVIAAAKAANAHEFILQLPQGYETQVGERGIKMSGGQKQRIAIARAILKSPKVLLFDEATSALDSRSERVVQEALELASMGRTTISCNLVK >Dexi4A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:4A:17038463:17039941:1 gene:Dexi4A01G0014680 transcript:Dexi4A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLGDIIKRLPTTSDLNSLSLVSKRLYTVEAELRDTIYVGCGVCPVTVALVSLCYRFRNLSRVEFNYSDWTTNHGTQLANQGLYVLSSCCPSLTDLTLSFCSHIDDSGLGFLARFKKLMSLRLKALPEITSAGLLSVAVGCKSLSALRLVDVGGKGPSALRLMNCKNVDSVEWLEYLGKFGSLEELVVENCQRIGQLDLLRFGSGWMKLQKFEFQNWCLPNRFKLDGPSYVADSQSRYDICCDFLKDLTLARITTEEEIGLCCLLRKCKALENLSIYYVHGVHDHDMITLAHNNRNLRSISLMLTPQHCEGYVYRTTLTDDSLNALARWCPMLQSVELTFFGCEPDWPEIGFTQEGLVMLIQSCPIRDLTLGGANIFDDEGMKALSCARFLESLRLLRCIAITDAGLHVLARSPSLSSLALELCNGLTDDGVAEFVRAQKLESLTIEKCSRISLKGVQGAAKTVHYTDDCPGFKKWVERCVYGSKW >Dexi2B01G0034260.1:cds pep primary_assembly:Fonio_CM05836:2B:41520252:41520945:-1 gene:Dexi2B01G0034260 transcript:Dexi2B01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETTIVDPAESGKLYEDVPPMPLMALNHISRLCKSVDASVQFYVKALGFVLIHRPPALDFSGAWLFNYGVGIHLVQRDDARKAPDVSPGGGGELDPMDNHISFQCEDMGVMERRLREMRIRYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRGRHNPPVRMVDGGGYDGE >Dexi9A01G0026890.1:cds pep primary_assembly:Fonio_CM05836:9A:31030982:31032518:1 gene:Dexi9A01G0026890 transcript:Dexi9A01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAADAGRVAPMSSGKPIPRVGFGTATGTLGMAEGYDGVKEAVLRAIAAGYRHFDTSAVKLKMDYVDLYLIHFPLSMRPLPIGAPLVVKDELVALDMEGVWKDMEECHRRGLAKAIGVSNFSSKKLERLLSFATIPPAANQVEVHPYCRQNKLREYCRAKGIQLCAYSVLGGKGTPWANDSVMNSPVLKDISKERGKTVAQVCIRWVYEQGDVVIVKSFNERRMQENLEIFDWELTDLDRHKISELPESRGNCDFLVHESGPYKTVDEFWDGEITAGQRNQIAVGTNN >Dexi3B01G0024510.1:cds pep primary_assembly:Fonio_CM05836:3B:19153682:19155092:-1 gene:Dexi3B01G0024510 transcript:Dexi3B01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCYLPATCSTFCLKGAERRGPPRWSLHRLPASATTGLMGFGSCPRRGRLVLAGCARGEASDSKAVQLVLGGRVRDGDTDSESSGDEGGDDGEVPMTDEERRTLRRKIREMMDRVPETAEITDPEERRAKMRELLTKYNLVVEEEDPNWPEDAEDGLGFSLGQFFDKITIKAEKKDDTEEDDTGYQSDKEIVWEDDNYIKPIRDVKTQDWDASVFTDFGPMVVLVHNRYKRPQENEMARAELTKAIEMFWEHDLPSPRCVAVDACAEPDLVDALKVSGFPEILFTNAGKIIHREKVVRSAEAWSRMMAFFYYKAARPPFLCEADGKGQEKVPLMS >Dexi6A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:6A:13088441:13093242:1 gene:Dexi6A01G0010640 transcript:Dexi6A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTWFRYAAHKFEYSIAISWQKYNVGKINSTELTDAIWKNFFQGRLTFTHWNKGGEAMAPIVSPTGGTLLVRKLANLSPTQVFVGDVVLLKDPEKSDDLMIRRLAALEGYEMLSSDEKDEPFVLEKNQCWVLADNQALKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSVMAMSQDAPVLAVELDVEEMAKNNKT >Dexi6B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:6B:22578968:22579567:1 gene:Dexi6B01G0015210 transcript:Dexi6B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQVNHRNVVRQRLYGCYLEVEVPMLVYQFIPNGTLYQLIHSRHPHGSRISFTTRLKIAHEAAEALAYLHSWASPPIIHGDEKSPNILIDEDYTAKVADFGASALAPTDEAQFVTIVQGTYGYLDPEYMQTSKLTSKSDVYSFGVVLLELLTCRKAMNLNALEEEKNLSSHFLLAVSENRLVEILDEQIKTEQSVE >Dexi9B01G0038310.1:cds pep primary_assembly:Fonio_CM05836:9B:39400518:39405384:1 gene:Dexi9B01G0038310 transcript:Dexi9B01G0038310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEGQQRDGSASSGAEPAAPVFPAWARTPSECLAELGVSADRGLSSEEAAARLQRYGPNELERHAPPSVWKLVLEQFNDTLVRILLLAAVVSFVLALYDGPEGGEVGVTAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELDDTDIQGKECMVFAGTTVVNGSAVCIVTGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPTDGKIHDWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGFTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKSDSGKNLLLVKGAVENLLERCGYIQLLDGSVVLLDDGAKALILSTLRDMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNMIFCGFVGLRDPPREEVNKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDISSKSFTGKEFMSLNDKKKLLRQQGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGVATVGIFIIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCSTWEGFKVSPFTAGAQTFSFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVVAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKAE >Dexi5B01G0029190.1:cds pep primary_assembly:Fonio_CM05836:5B:30438061:30449643:1 gene:Dexi5B01G0029190 transcript:Dexi5B01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEDRVEAKRGSGEEEKGGGGLGASGVGMAAAVAAGSSDVEFIRARSDKRAYRRVVLPNALEVLLISDPETDKAAACMEVEVGSFSDPEGLEGLAHFLGEFRLLFLAVLQHFDSFETTNFYFDVNVDNFEEALDRFAQSFIKPLMSQDAVLREIKAVDSGSWETLETKPKERALDIRHELLKLYDNYSANLMHLVVYGKGWAMNLMAGEGTDSTEYSFFEISMRLTDAGHEHMEDIVGLIFQYLLLLKQDGVQEWIFNELVAINETGFHYQDKVRPIRYVTGIVSSMRLFPPEEWLVGSAFPSKYAPERINMILNQLSPERVRIFWESKKFEGFTTSAEPWYNTLYSVENINPSVIQQWIQKAPTEKLHLPEPNIFIPTDLSLKKVHEKVTFPTVLRKTPLSRLWYKPDLLFSTPKVHMIIDFHCPLSSHSPEAIICIDLFVDLLVDYLNAYAYDAEIAGLYYSIYLTSVGFQVSLGGYNDKMRVLLNAILKQIASFEVKPNRFSALKETSVKDYQNFNFSQPHSQASYYVSLILEEKKWPLPEKLEALSKLESDSLAKFLPNLLSKTFLECYIQGNIEPSEAESIAQEIEDTIFNSPNTMFKSMSPSQYLTKRVIMLENELKCYYQIEGLNQKNENSSVIQYIQQACQNTKHDEYIQVHQDDASSNIKLQLFSLIASQPAFNQLRTVEQLGYITSLSLRSDCGVRALQVLIQSTVKDPSYLDARVDEFFKMFERKVHELSDEDFKRNVKSLIDSKLEKFKNLWEESDFYWGEIAAGTLKFDRVESEVALLRELKKEEFIAFFDQYIKLDAPQRRTISVQVFSSNNHSAEFKKAVAETDPPKTYRITDIFGFKRSRPLYSSLKGGPGRITMD >Dexi8B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:8B:19434862:19436464:-1 gene:Dexi8B01G0010870 transcript:Dexi8B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRLDPGCLRIGVAPAEFRPSPPLSSPSQSPQCYSSPNWTIDVSDVRTIKVTNVPLSATADNMKEFFSFSGEIEYVEMRRDSENSQVAYVTFKEFHGADTALLLSGSSMCGDVPVNITPVEDYELPPEAYSNAELAGAGNTYRSGGGEESGGGGEHDAGSRLRAEQGRAQSFDNGHQLLSSATSRVASLDRRLGLSDKFTLGTAAARGVDERFQVTATARGAFAAAGDFVAGSPVASRGAAWVSAAVGAVARAASDVGAMTMEKVVKAEGDDNAGAAEADEQAHVRAGADRRGDGDGHDYKNKAM >Dexi9A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:9A:1477906:1480095:-1 gene:Dexi9A01G0002730 transcript:Dexi9A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGIGEDASWHAKFKDSAYVFVGGVPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIIRVDHVSKYKKKEEEDEEERQQKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEDSGARWEHDKHHDVPKSRGVCYAFQKGECNRGASCKFSHDEQKNANTRSSRDGESSISERYGDRDSRSRHDGRRAEDRDRYRHDHGSRGERQRNDDRYSQGREERSERRKYDDMDRKRSRYDEKSERRERRVTD >Dexi2A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:2A:32937340:32937610:-1 gene:Dexi2A01G0020770 transcript:Dexi2A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLRRGGPTARLAAWEGGASPRDLLRQRVAERERARRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMPSVS >DexiUA01G0009110.1:cds pep primary_assembly:Fonio_CM05836:UA:17438433:17441869:-1 gene:DexiUA01G0009110 transcript:DexiUA01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFQTKLNIDPKETPKMQEDVRIMKDMGMDAYRFSISWTRILPNGTLSGGINREGVRYYNDLIDELLLKGVQPFVTLFHWDSPQSLEDKYGGFLSPSIINDFKGYAEVCFKEFGDRVKHWITFNEPWTFCSGGYASGRFAPGRCLPWEQGKCRAGDSGTEPYTVCHHQILAHAETARLYKAKYQVSDDISKQVQKEQSKLVKGAFDFIGLNYYTTNYADNLPPSNGLNLTYDTDARANLSGFRNGVPIGPQVTTSVDEANNKSLSLQEALKDDTRIDFYRKHLIALQSAISDGANVKGYFAWSLLDNFEWASGYTVRFGIHFVDYNDGLKRYPKSSAHWFTEFLK >Dexi7A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:7A:19143425:19144375:1 gene:Dexi7A01G0007910 transcript:Dexi7A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASCGSCHSPPACNVTPCSSTIVPCKPAMTMAELELAHGVALRRPCSLHAQPRDRPRLPRRCGARTARRTVAAMSSYGGAAATA >Dexi9A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:9A:16308412:16311946:1 gene:Dexi9A01G0021420 transcript:Dexi9A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEMDEEAMRAFFPLSFGKAPARPGSAASSAAHSTTLRKPPNPSSPKPSASTAADDDSGAMIGPPRPPSAPAGEEDDEDGGGMIGPPRPPPPSARGKGEDEDGGDMIGPPRPPPAEEGDDDDMEDDGDGGFNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWEVSDFQSQKQVIKPKLVRPMRIPVTSCAWDHEGKRIVAGIGDGSIQLWTIKAGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTFLKVFEDLPNNYAETNAAFSPDEQLIFTGTSIEKDGDNGGLLYFFDRKKLELVSRVGVSPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGHGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSDNEEK >Dexi2B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:2B:29397231:29398309:-1 gene:Dexi2B01G0019240 transcript:Dexi2B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVSPNSSLNSTMWWIMARFSTVRLRNSCTTPTRYFSASGVHGLSSAPLVYGETSGNVVAASRRSASSASSGINRLCGTAKRNVRRTRRSSTTKSRSSALTASAGSQRASSRTWRPSVGSTTTRKSSGKPWTRSRKSSGRPSTARQWWSLASGTRRWAVSMRSFRTARTRDGRSSSWTRRSSSRSERMSGVSARCGTESTTGRWNSSGCRSAERATLRPRGKPSSRYSLPASERGIRCGGGREARNERARWRKRKAASRSSTASSAGTRRSASGSMGATRGLLSFFKSFFRRLQGSSGRSEAAASAAAAEDAGTGTGAAAGAGAGTGRRSALVAQKRRRAIAGGGGGAASSVPV >Dexi3B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:3B:1936090:1936703:1 gene:Dexi3B01G0002910 transcript:Dexi3B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISSPLAAAAALLLLLLLICFFHSAAAARLLSAVPHPLLHQEDGVKAAAAAEDGLVLHQNGAAVNGDDLSASSEMMGADEEPAACEEANDDECMQRRLLHDAHLDYIYTQHKGNKP >Dexi3B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:3B:15727860:15728396:-1 gene:Dexi3B01G0020760 transcript:Dexi3B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAQHGIFSAKSDVYSYGVLVLEIITGRRVWRHWSLGSVAQLLDGYPADEPGKQDMLRCIHIGLLCVQEDPQLRPSMASVLLMLKHRIMTMSAPTKPAFVFLSSDMPSVAVREPSINVVSVSDLEPR >Dexi9A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:9A:12611436:12619534:-1 gene:Dexi9A01G0017560 transcript:Dexi9A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPHKSRLQACSSSLKVRPQNQPETHYLLAIQHKVSTASFHFTCKSSSSPMLTSSLPPPTIPGSKPAAAAPKAPTKPRAAVLSASAPAVAVAAAAAAPADAAGGRLSALIRSLCAAGRTAEAARALSAAGDGAGVVAYNAMVAGYCRAGQVSAARRLAAAVPVPPNAYTYFPVVRALCARGRIADALAVLDEMPRRGCAPTPPMYHVILEAACRDGGFRSAVRVLKALHDGGCTLDVGNCNLVLNAICDQGSVDEAVKLLGDLPSFGCQPDVVSYNAVLKGLCMAKRWGNVEELMEEMVRVDCPPNIVTFNTLIGYLCRYGLFERVHEVLAQMAEQGCTADIRMYATIIDGICKEGHLEVAHEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEEAEELLAEMFDKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLEHGCMPDVITYTTVINGLSESVKRQFWEDLDGMVSTVPISEKLFIGDLNGHVRATNVGFERVHGGFGYGCRSQEGEDIDFILARRDDRRDCLDCKVIPGDCVVPQHKLVVADFRLRVRVHQDKRTKMARTKWWKFRGEAAQTFKGRMVEEGPWDEGEDVDDMWLKMATCVRKVAAEVLGVSRGGKQEGKDTWWWNEEVQRAIKEKKEWFKRLHLDRSAANIEGYKVAKKAAKRAVSVAKGKAYDDLYQRLGTKEGERDIYRMARIRERKTRDINQIKCIKDETDQLLVKDEEIKDRWREYFDKLFNGEIEGPALELDDSFDDINRCFVRRIQEAEIGEALKRMKGGKAMGPDGIPIELMSHTMKLWERVIEHRLRGATSVTQNQFGFMPGRSTMEAIFLIRQLMERYREQKKDLHMVFIDLEKAYDKVVPQKATFRYLGSMLQQDGDIDEDVKHRIAAGWMKWRQASGVLRDRRVPQKLKGKFYRTAVRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWSCGYTRRDRVRNEDIREKVGVAPIEEKLTQHRLRWFGHVQRRPSEAPR >Dexi2A01G0026300.1:cds pep primary_assembly:Fonio_CM05836:2A:37796858:37802065:-1 gene:Dexi2A01G0026300 transcript:Dexi2A01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLVEKILRVQPGVKKLYLLVRAQDNTAAQHRVLKEVVGQELFRTLRKIHGDKFRSFIQEKISPVAGDIIHEDLGIESPQVKQLSEEIDIIVNGAAITNFYERYDVALASNALGTKRICQFAKQCVHLKMLLHVSTAYVLTGEQKGLLPEKPIQMGETPKPDCHLDIEAELETIDIVIDAYDKQTIPCFICDHNSTVDAIPGDMVVNAAMVAIAAHYAEETQIIYHVTSAHKNPLQMYLLEELAYGYFFVNPRVREGKRTIQHKRLLLFTRYLYFHAYMVLAYKIPLQMLYLVNLLLGGLFSEFHNKLNRNYNFFMLLAKLYAPFTTFKACFDNTNLRKLWRMTGACQGDGYIFNFDPNCVNWSLYLFNTHIPAALKISRGKKDGIA >Dexi1B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:1B:23213563:23216498:1 gene:Dexi1B01G0016730 transcript:Dexi1B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPTAYALMQTGDKKCLEFLDTIRLWVVDRLERLGISSYFRSEIDGCLDYAYRHWSEQGVGFTRDCAVRDIDDTAMGFRLLRLHGYHVSPSVFERFEKDGEFVVYAGQSNQSVSAMHNLYRAADQAAFPGDGDGVLGRAMRYSRAFLQERRASGQLNDKWIISTGLPGEVAYALDFPWNSSLPRVETRMYLEQYGGSANVWIGKRLCRLEWDGLERWCEINNLEMYGVTPKSALRAYFLAAANIFEADRAAERLGWARTAVLAQAFSSFFLSNHNWEQRV >Dexi5B01G0030780.1:cds pep primary_assembly:Fonio_CM05836:5B:31633852:31635673:-1 gene:Dexi5B01G0030780 transcript:Dexi5B01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAASSTLGFRELCCLAIASRRLGRLSLHPDLWSALISRDFPSQSQPSTSSTSQQQQPHPKSLYKTKFERHKVRMAEARRRAVFEAEARVLACRRRLAELEESMRAEGERMKAAAQELENLERESFCGIKCMATTSCSWSPEAVGSAMHGSC >DexiUA01G0027060.1:cds pep primary_assembly:Fonio_CM05836:UA:57757542:57761626:1 gene:DexiUA01G0027060 transcript:DexiUA01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKIKKFGKGKKSAGVGGETVVESDDEAHAAATGNVASQEQQQKFDILGEKAEETEGAGAGNGVAEEAVEEEEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEECGEGEEDGGDEGEEEGSSSREWLESDVVSGFPLCGFDSLYDKYCERMLVFHKMIAQLMKDPESLHMSKKSPRSASKLASTLRSLSFKRKDELQEDCEQLQQQQSEDDPYQTLETAYVAHVSLSWEALHCTYVHLSLIVAAQPDNPTTYSCAAQAFQQFQKEAKVKELFKKKKGWKSKTWPPTMEEVQLLFALIDIKVVSRVLRMGKLSKEQLLWCEEKMSKLDISENRLRRDGSPILFPC >Dexi3A01G0027120.1:cds pep primary_assembly:Fonio_CM05836:3A:23846758:23847833:-1 gene:Dexi3A01G0027120 transcript:Dexi3A01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGSLEHGGFTFTPPPFITSFTELLSGSGDMLGADHHHQERSPRGLFHRRGGVPKFKSAQPPSLPISPPPMSPSSYFSIPAGLSPAELLDSPVLLHSASNILASPTTGAIPAQRYDWKQAAELIASQRAEESKAQGGFNDFSFHAASSNAMPTQTASFPSFNKEQQVETENKQSVVAATNKVSSGGGNNSNTKLEDGYNWRKYGQKQVKGSENPRSYYKCTYHSCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNSSGGVPAEDQQLAAAATGACGPEHSGATPENSSVTFGDDEAENASQRSDGDEPDAKRW >Dexi9A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:9A:3880679:3883894:-1 gene:Dexi9A01G0006850 transcript:Dexi9A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPAPAACACSGRRPLLVARCHPAQPAAPPCGSRAWAAAHQRGSDMASSRPRWGAAGAMAATRRPRLARAGVPPVPWLPLVGLASPVLGAVSAMADSCGNSYYDELELAMKPQAHNLSTYEVLISKQASMFEQSKKLSMSSPWRSAGEHKEPAIQHWHSAADASTGRPWNTATIRLVAAHRRRRLRSMGGWRDVQRGFRFGVVGEGSMIGGLRGGSGGGSRHGGVVAGGGGRQPAGRADGGGERLPWLANGGVQVQRGVIAGCGRGIPDIVEAKELLGKKGVDVGSE >Dexi1A01G0027140.1:cds pep primary_assembly:Fonio_CM05836:1A:33004141:33006204:1 gene:Dexi1A01G0027140 transcript:Dexi1A01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDPSHHLTTAAASREELRQECLQKGMELAQAVADALFALPPTEDREGPIVHLQAPTIRLPREKHLPRPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRNAREEEAGRKARKEQTGELKEICESWCFTKVLAAKALPITGTKADLPKKSRKEDLESVAGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLARNSDEQLDVGKASSRAITMYKVKKEKQRRKDKGMSSKSDKLKAQKKPLKKSSKKKA >Dexi8B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:8B:3910192:3910749:-1 gene:Dexi8B01G0004390 transcript:Dexi8B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSFAALACLLAASALLLATTPVTATAAPWGQKELHLHVYWQDRASGNATVVTVAKAASTNTSTTRFSAVNVMDDALTVGQNMNTSKIIGRAQGIYVSDSIETSSVMMAMNFVFIEGPYKGSSIAIFGPNFIERKVREMSIIGGTGMFRYARGYVQARSVWLNPSTADATIKYDIFVRIDVP >Dexi5A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:5A:3329566:3330927:1 gene:Dexi5A01G0004390 transcript:Dexi5A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAASKSIDRLPARRVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKAPSADRALIAGDGDIDVSASPARTIERALARALVHYYPLAGRLVLSEDGAQQAVDCNNAGVLFTEATAGCSLEDVDYLEAPLMIPKDELLPPTPAAGEEDDERALVLLVQVTSFACGGFVVGFRFSHAVADGPGAAQFMNAVGELARGGAPSMDPQWGRDAIPDPTGALVGGLPSRPDGAKGLEYLAIDISADYINHFKSQYAAASGGAGWCSAFEVLIAKAWRSRTRAAGFDPDSPVHLCFAMNARPMLHATLPRGGAGFYGNCYYIMRVSAPAGKVAASSVTEVVRIIKDGKRRMPSEFARWAAGEMGSGGDGVDPYQITADYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHEGMLDMNN >Dexi5A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:5A:9771244:9775488:-1 gene:Dexi5A01G0013080 transcript:Dexi5A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPLLVATTPGACNPDDAPSPHPPAASLLPPAQQEPPLRADPLAFSVEVPDPFRPSRRGDGPVDDPSASSQREREVGDNESRAVVVGEPSPEFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRLASVLAPGTAGDFQPKRWKHIRVGDVVRVASNETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQVRFSQNGGVSGILHCERPNRNIYGFQANLDIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLNGLWLLNHRGELEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGQIRYVFSDKTGTLTENKMVFQCASIHGVDYSSGEDTGGYSVVVGDNLWTPKMAVKTDPQLVKVLRDSGKNEEAKLVLEFFLALAACNTIVPLVLETRDCNQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYIVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDRTIKLYVKGADSSIFGITNKSSELDIVRATEAHLHKYSSLGLRTLVVGMRELSQSEFEEWQLAYENANTAVLGRGNLLRSVAANIECNIRILGATGIEDKLQDGVPEAIESLRQANIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCQRSLVEALATTKKLTAASSIGTLGPGLASEASRVTIALIVDGNSLVYILETELQEELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFW >Dexi2B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:2B:27249062:27250708:1 gene:Dexi2B01G0016930 transcript:Dexi2B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNSLIGILNLATFLLSIPILVAGIWLGHRSDGTECEKYLSAPVIALGVFLLLVSLAGLIGACCRVTWLLWVYLLAMFVLIVVLFGVTVFAFVVTNKGAGEAVSDRGYKEYRLGDYSNWLQKRVENNKDWNRIRSCLQDSKVCKTLQDKQETLAQFMSSDLSPIESGCCKPPTSCGFTYESGTNWTKTATNSTSDPDCNSWDNSPSTLCYGCQSCKAGVVATFKRDWKRVAIVNIVFLVFIVIVYSVGCCAFRNNRRDNARHGGWKGGYA >Dexi4A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:4A:4950025:4954432:-1 gene:Dexi4A01G0006830 transcript:Dexi4A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDRDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHADSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSAFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGILRKWISRFDVWPYLETYTEDVSSEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELLFSDVENSEHKYVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNARLRGLANLVIVAGDHGKESKDREEQAEFKKMYSLIDQYNLKGHIRWISAQMNRVRNAELYRYICDTKGAFVQPALYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFDKCKADPSYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLANAVPLSFD >Dexi1A01G0022770.1:cds pep primary_assembly:Fonio_CM05836:1A:29377382:29380965:-1 gene:Dexi1A01G0022770 transcript:Dexi1A01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGAMLFSTIADIFPEPDCNLADENDKQTVGESIAGKQLMVRHRRRVIFSVVVTAIVAGVTLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPESPHSLVHNTDRSSLLMYACAVYFHLQGISVALPAYFATNSKWQAFKLATLSGFAEPLGVIIVGMLIVSTYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGRKDAVKAVFVGMAFMSMSLYFIDVSLPKEMSA >Dexi5A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:5A:8148548:8150675:-1 gene:Dexi5A01G0010850 transcript:Dexi5A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLASCFVQRGARSQSFKPYSLQRSTSPLSPGRRSVPIVRGVDIAVANASTSSFDFERYLSSKAKAVNHALDLALQGLRCPDSLTESMRYSLLAGGKRLRPALAIAACELVGGHASAALPVACAVEMIHTASLIHDDMPCMDDDPLRRGRPSNHVAFGEPTALLAGDALLALAFEHVARGCVVVPADRALRAVVKLAGAAGVGGVAAGQVADMEGEGAVSVGLAALEYIHVHKTARLVEAAAASGAIVGGAGDDEVERVRRYAHFLGLLGQVVDDVLDVTATSEQLGKTAGKDAAAGKATYPRLMGLEGARAYAGELLAKAEAELDGDVAKAFLNSYDTVKKGLSMDIKQLINEGDGAT >Dexi5A01G0023340.1:cds pep primary_assembly:Fonio_CM05836:5A:27363565:27364408:-1 gene:Dexi5A01G0023340 transcript:Dexi5A01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Dexi2A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:2A:10223129:10225411:-1 gene:Dexi2A01G0009480 transcript:Dexi2A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTASITAVLPLLLLLFVPSSSSHVKFDLRGNVYPEGHMYVAIKIGDPAQEYYLDVDTGSILTWLQCHNPNCRGQCRTWPQRHPLYQLTPDKPLPRNDPLCQPGLRPVPDRMCRYGIRYLDGHSNGMLIRDKFTLPSPHAQHRIAFGCGYDNVPTDQTPVDGVLALGRSSPVNLVSQLKKEHVITKDVIVHCISTRGGGFLHIGDYEHFSIPITWVYIDNKAQQGHYTPILGANLHLGSIDGALISNHPIKVTFDSGSTFTYLDHQTYSLIKGKILDTLHRSLREHHDNTLSLCWKGPKKFTSIFEVKPLFKPIFLVFGQGSQRRIMEIPPENYLIISQYGNVCFGILQLPMGWKNTMLLG >Dexi2A01G0034130.1:cds pep primary_assembly:Fonio_CM05836:2A:44224639:44227085:1 gene:Dexi2A01G0034130 transcript:Dexi2A01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAGAGTYFPAAAAGDKRRERREELRRHLAEDADWPRADGRSFHDCRPAFMKTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDVGRMNCNVSYTTFATPVRGQGTDNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAFMPARKEITQLTLTGEWSDSRITNAVELCMDACSKLGEILRDRLKDPDTLTSE >Dexi2B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:2B:4689837:4690975:-1 gene:Dexi2B01G0004990 transcript:Dexi2B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKRGAAAVARLPDDPLVEILSRVPIKSLCRFKCVSKAWRDLISNPLHRRKLPQTLEGFFCGHMRTHGEIRGGDGVGGGGGGDAGDAYGGEDGFGGDGVGSSGGDAYGGEDGCGGDGVGDGDDAGDACGGDDGCGGCSHGLDYTFIDLMGRSVPLMAPSFSFSFLKEGLPGIEHTRILHSCNGLILLGHWRAPGHTMGYVVCNPATEEWMSVPSSGWVYTDPPKWLPVPSSISSYPKVEEEQTFLIFDPTVSSHFKLLQFLRNASGLKEVGLRTYSSETGVWTDRSSERRKVEEGGESLQLGSFGRILSMLGSAFVNGMLHFIVYHIQKYQEGHQLAAETWLSLVCACIFLANPKGDSIASWSR >Dexi7B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:7B:21172434:21173486:-1 gene:Dexi7B01G0015100 transcript:Dexi7B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCASRCRSAISIRILCVSLAASILLSSARRNPSPMALSAPTTSPSPSSSPASSRLLFLGGDEVGEGGDGGETAGGGDGDRDEDRDCDLEKKGAAEGPSRRSMASRSGQGRRRLDRRRRRSFSTLWRHCLAVLDGAAAATAAQSAEARATARVSSGVQHGGGTRRPEAGAAAGGEGGGEAAMVVRARVDDLDLAGMAMPMRVSSGVQHGGGTRRPEAGAAAGGEGGGEAAMVVRARVDDLDLAGMAMPMRWWRRTAWWRWAHADAGGRRWAAAAAAAPTAGRGVGGGTLTDTPAPRGPCHS >Dexi5A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:5A:20527909:20529149:1 gene:Dexi5A01G0017320 transcript:Dexi5A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAWIFIMLSIEDGGPCDGVVDGEEEPLAAAGEDKATASAAAKAPVGEVLEEDIGPSGDGGQIGVPAGGGDEAEIGAAAGEKHVATVGEDEAEKRGATERFEAAEDGGVGGNGVPALLDEGGVGE >Dexi3B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:3B:13582013:13584817:-1 gene:Dexi3B01G0018300 transcript:Dexi3B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPGLYSEIGKKARGMNGAPLASREAGAITAASTRKNEAIFSEIQSQLKRDNVTVDVKATSDSSVTTTFTIHDLGTPGLKAIVCIPFPYQKSAKAELQYLHHHAGVAASVGLNANPVVNLSGVFGTKTVAVGADASFDTSSGDLTKYNAGLSYSTHDFVAAATLNNKGDSLAASYYHLVNPTTAVGGELTHSFSSNENTLTFATQHALDPLTTVKARFNNYGMASALIQHEWRPKSLVTISTEVDTKAIEKSSKVGLSLVLKP >Dexi1A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:1A:6697028:6698681:-1 gene:Dexi1A01G0008580 transcript:Dexi1A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLATIAGPVMLLLLCTMCTQALGIKDGLLPNGNFERGPLPSQLQGTRVVGSSTAIPSWTTSGFVEYIPSGKKQGDMLLVVPEGAYAVRLGNDASIRQRLRGAAAGHRYSLTFSAARTCAQAEQLNVSASGQSGILAMQTMYSSNGWDSYAWAWVADADEVEVVIHNPGVTEDPACGPLIDSVAIKTLNPPRRTNRTKWGVLIPSLTVDDHSPLPGWMVESLKAIKYIDGESFAVPRGRRAVELLAGRESAVAQVIKTVPGRRYALSFTVGDASNACRGSLMVEAYAGRESTKVAYESEGKGGVPKRAVMPFRAASARTRVVFFSSFYSTRSDDLSSLCGPVLDDVAVVSVRAKRG >Dexi7A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:7A:20186324:20187896:-1 gene:Dexi7A01G0009060 transcript:Dexi7A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDAASPASAAAARDAKKKRGNRTAAKLKQSKLETRREQWLSGQGKDGKEAKVAASPTGAGSNAGSPILASPHPPLPRRRADTRSRGSDPEDREETGAAGLEVGGSDLDSPMHSPGSDKSQGGGCTQRKGFSGSGGGPSLSSGSSVWSSSRSVSDAEEDDTGGCPEDESEVLDDWEAAADALYDEDSHQCHQSSVPTTPSPAPTNAAPASAARPEPIRSKARAWAPDDIFRPQSLPSISKQVSFPASIGNCWAGMGMTAAQQGILSLPLSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPGCRKQYNTVSAPEGGGGPKATAVGTGREMANVAPVRLSRSCSMGPRY >Dexi2B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:2B:19096167:19106035:1 gene:Dexi2B01G0012700 transcript:Dexi2B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQWTSSSTGQSNPTVALELSGRTNPTMAVEPRNQAEEEVKPHGVGRGEASIWDGHSREETSCPSTGSRFCALDFTDMPISHRVGTSSWARTELWIRAHARMRASPPPLLCADPPRRLESHARRATTRQLWVMGALVRELRQRGEGTTGWDLGWGCTAGLDGDALAIAGAMPEPFHLCLSSRLSIPDRLLDGMSTRQVLDAQVNTTSSDDKSSEEVEDVKVCDICGDVGEEEKLAVCSRCNDGAEHTYCMRVMMEDVPEKEWLCEDCETMVESEKEKKLEKSQAKVGTSKGQSFEGEMNKAAKSRSSDSELVAQNVDNNESDTANKRNDMVKNRMEASSIRDTISETGDAYMGADTRKRMSSSRESSFGYDADKGKQPSQVGTSLTSNAPKNQAPQPRGQLTKSTSFNNSKVPKVKQLLNEVPQKPKILKESWSSILKKEAPISMTTKSATFKKPKPPEPANKAKSSISPPAEEPKLVNQLGSQNLASDQCSSILGTPSTTSVVAPAISKIDTTAQPLATGNNTADLNNLGTAHLQGGKNYIGNSEQKKPLLAKVPESHLKKPSPLSAPGSTASVDYSKLKFKDDYPTLSATTVTSADNGRTMPSDRRDESAQAFSTGDEPMASTVPELDWIWQGGFELQRTGRSPELCDGFQAHLSCSASQLVLEVAKKFPSKVQLEEVPRQNSWPTQFQENGPTYNDVGLFFFARDIQSYESHYSKLVENMLKNDLILRGSVGAVELLILPSNILSKNFQRWNMFYFLWGVFRVSRKDCSNLPTDKLTSRLEPNFNDDPRAPDPSTSVLSSSLSFSKDRDSFAKQNTSLLRSANYVPSLEGNPGVCLNGESSMNQPVSESALDDHLDSTNSNCAVGPSAMATGIKHQKPDVKTSDTLRDTVSERDFDVNMVSATCSVSTHQEEPGKESTTINLNDAEDFMDIDHVNTDEISTVAMDSHASGGGRKRSFDTANGAAEVDEVLEHKKVKLENVGSTNSGLSDNSNNGRLSSKVHPLAASIGDDVTSNKSMAEASSADKKCVFPLDLNAVDDAASENIVNIPSSDDEELQARGNNRSTDITGQLSLSLAFPSRKEQDSNPQSEPQRQFPSNKNNT >Dexi4B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:4B:17329292:17331321:-1 gene:Dexi4B01G0015510 transcript:Dexi4B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAIGAEAWLPCATTVASCVIGVFFLLYFYAPHWGVRAVPGPPALPVLGHLPLLARHGPDVFCLLAKKYGSIFRFHLGRQPLVIVADPELCREVGIKQFKSIPNRSLPAPIAGSPLHQKGLFFTRDEQWSAMRNTIISLYQPSHLAGLIPTMQLCIERAANAIAAAAAAQDHGDVDFSDISLKLATDIIGQAAFGVDFGLVAVTASGGEAAEFVREHVHSTTSLKMDLSAPLSVALGLVAPPLQRPARRLLRRVPWTADWKVARTNERLRARVDEIVAARARERDRDRCSGDGGREGKRKDFLSAVLDARERSEALRELLTPDHVSALTYEHLLAGSATTAFTLSSAVYLVAGHPEVEAKLLAEVDGFGPRGSVPTAEDLQLRFPYLDQASRATPVIKEAMRFYTVSPLIARVTSQQVELGGYTLPKVQTYFCISPPGVGIGMAFVLQLTVHCGQGTWLWMAPGVLARDAASFPDPGAFRPERFDPACEEQRRRHPCAHIPFGVGPRACVGQRFALQEVKLSMVHLYQRFFFRRSPRMESPPELQFGIVLSFKKGIKLIVIERGDTVA >Dexi1A01G0023020.1:cds pep primary_assembly:Fonio_CM05836:1A:29645063:29647850:-1 gene:Dexi1A01G0023020 transcript:Dexi1A01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLFLPSWHCCWLSLSELDTAMAPQVNSQEEFLTNDKENGGDGAAGDEREKSATKEAISILEEYIGHADCHVSEQAEPCDVKNAVKKRKLCSETSENSEEAVTDGNSYSVSESTGSIVESSSSQSKMSENVEKPSNLSLVKLSRSGLLFFKFPSGGLHVVEMLTEIFHSLRCGKLKSPQWCHRILPIQETCVLSEKDLHATVSKLFLDFSRNKGNQDDHIKFAVAYNRRGIDETEVKPRKNTNEGSNQQETLMDREQCFKVVAAAVKSVFENSVVDLKSPEVNFPNNFQSLHSYWSAFWRTQGIT >Dexi4B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:4B:18221070:18222845:-1 gene:Dexi4B01G0016200 transcript:Dexi4B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVTKLSEGPVRPSSATPSETLPLAWVDRYPTHRGLVESSHIYRGVAHMLPPPPAAEGDALQLLEAPEKKTTKTRSPAAVVRGAVADALVHYYPFAGRIVEDVPGRPAVVCTGEGVYFVEAAANCTLADVNFMERPLLLAKEQLVPCPTPEQWPVEPRNCPAMIQVTTFTCGGFVVGLRTNHAVADGTGAAQFLNAVGDLARGLQEPRVKPVWARDSFPDPDIKPGPLPELPVLALEYIAFDFPATYIAKLKSEYAAFTGGKICSGFDVVISKLWQCRTRAIAAATPGGADVRLCFFASVRHVLKLEHGYYGNAIFPVKVSAPAEKVAGSSVVELVATVREAKRRVAEECLSWAEGRTGGRDPFQMAFDYESVYVSDWSKLGFSDVDYGYGTPMSAGPLVNCDLIASVIVMRAPAPLDGTRLLASCVTKEHADEFARMMRDGLV >Dexi5A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:5A:6787974:6788372:-1 gene:Dexi5A01G0009040 transcript:Dexi5A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVPAFIALQMITMTLLFPVVVHGCEPSCSNPAPPPPPAVPTPSGATCPIGTADLSVCVDFLDSLLHIGLNIAPSQQCCSLLQPLASADAALCVCGVIKVLNLALPVNVNILLNKCGLPCPPGFTCPLY >Dexi7A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:7A:12761113:12761408:-1 gene:Dexi7A01G0003360 transcript:Dexi7A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALIFFLVAHPLIFLSNPVAIFAAESTNKSEIDRQALLFFRDGITIHPLGVLSSWANGSTYCNWEGVTCAELATAGWGIIAFSC >Dexi3B01G0030100.1:cds pep primary_assembly:Fonio_CM05836:3B:29545612:29545856:1 gene:Dexi3B01G0030100 transcript:Dexi3B01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWIPGLCPRCRVCRTADAKFQVPVLTVTGGKDDSTKLAGFEDDAGSGSTMERFVPDLKMASSCRSM >Dexi9B01G0027150.1:cds pep primary_assembly:Fonio_CM05836:9B:29590320:29593311:1 gene:Dexi9B01G0027150 transcript:Dexi9B01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDVAPLAAATAAAAAAAAPVPAPASQPPPPSSMPPPPPQPQHQQPPPPPFAQQAPPAPSPAASMPGGMRLSFDQMKPEHHHHAAAPMLYAPPPPQSAAGAGAGAAGSPGGNVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASGGGGGVPGQPQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEVKIHRSFSNFSLHLRSASFRISFTDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEVLCYTGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPPQMPTFVAPPVATSPPSEGTSSASSDDSGSPINHSAMPFNHSGQHQHQHPHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >Dexi3B01G0031320.1:cds pep primary_assembly:Fonio_CM05836:3B:32441410:32444164:-1 gene:Dexi3B01G0031320 transcript:Dexi3B01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRKDLREKAGAVGQPIYLVKPRPERAHLPSKASSHPTPTLAASPLLRLCGGVSPAACAAIACADGYAAVHVKRDAPYPISPRSSPASLFPLLCSLRAKGAEATDAVRLAGGAPARLPGGEGRRFCPPIHKAQSAHPIAHDIILVKANGGFLSNFEVLDFLRSRGAKIDPMGCLGAVAASECKVYEYLLKTPACNQTRDSIYEFLKRSEGYKLADADKLNVINWRPSSAADAYAMIEECGRRFNRDERGEACDEDERVQEFLDMVKEVFPTPPPKVVADAEEGAGADAMQE >Dexi5B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:5B:9623776:9624114:-1 gene:Dexi5B01G0013500 transcript:Dexi5B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGSSAAAMPLLAAVVVVEEGKTKQRRRREGCPGCRLEEENKANAGIPYLNFFYIWVVCLTACTLLVG >Dexi4B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:4B:22545201:22551305:1 gene:Dexi4B01G0020370 transcript:Dexi4B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHNARLIGSHAASASASHAPFSSVKRLLVGATGRDPASSLLGDLPRFPFPVSFGADGGALVHADHMGRRIEFSPTHLLSMLLGYLKQLAEADLGGAPVADCVISVPCYFTLAQRRAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGAGAPTRVAFVDVGQCDTQVAVVSFDALGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDRYKIDVGGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGVIRREEFEKLSAGLLERVVEPCKKAVADSGIGLERLHSVELVGSGSRVPAIAKVLAGFFRREPSRTLNASESVGGILRIARFIVFQLIDDSQRNANSADHMELDSSGDDMGDKSRSEMSIQRQDLPIAEYIYGAMSKQELLEAQEQEQQLAYQDKLVERTKERKNALESYVYDTRNKLSERYRSFATDSEREEISVKLQHTEEWLYEEGDDETEAVYCSKLDELKKVKGNSFCSFCLDASCYIFFNFCLCLCLYLKLVDPIENRCKDDEMRAEVARELLKCIVDHRMAAKSLSASERDAVDNECNKAEQWLREGLQLQESLPKNVDPVLWSYEIKRQERDLDMYVFFPS >Dexi2B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:2B:19742036:19745646:-1 gene:Dexi2B01G0012800 transcript:Dexi2B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMWWLKKFIPKEQPGGLEGRTIDVGNVKVHVQEAIAEGGFSCVYAARDMVNPTKQYALKHVIVQDKESLELVQKEITVMKSLKGHPNVVTLVAHTILDMGRTCEALLVMEFCDKTLVSVLEGRGAGYYDEEKVALIFRDVCNAIFAMHCQTPPLAHRDLKAENVLLGADGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWVWFRVNELLPLELQKDLPDGSPSGSAFESHTAIDAAPRRQPQRIAASSSKEDMGSTSPSDFSNLMPQGPPKAMENQGPVGAFWSTQHAQELAFANDKGPAYQEPISQVSSKQSQAKNQNTPVQNSSRKSLSASVDSSPGDFEIRFSANGSESGLEKTKTAKTENKTSIQATSFVADFVTIKMNSQNNAGNVNIISKLKECQLEAEVTLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALSTTSVTPPAKQFRENSKVELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDTKPWPAFPEEAKPQAAVKSAHPRSVRTLRASNSNKASSLGQFNARSSLDPFAFGQDSFKAAPSGTSLPKSSNMGNTTQPLNNQNGEENKDKSYQPAGWTGF >Dexi2B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:2B:5074736:5075503:-1 gene:Dexi2B01G0005490 transcript:Dexi2B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFAGQVYAVNQRGSVLSSAVAGVADDGGDEQSPHSALTVSIGTITPKLDTSPSLPVRRRTGRYYLVVSGGDLLLVTKPSNTLPCQPIVQRVDTERNNKLVPVSSIGNRAIFVGPVRCISIDADKFHGIEGGCVYFVDPIVLRGDYGPSRMDVYQVSDGFHFFVMFEMGTLEGCFRPLTFAQVLADYCRSVLFSELYEMEARERGWDISSDSEVSDWDSEADASGREISSDSEAELSSSDSEPDDQTLSSEPDE >Dexi3A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:3A:4459176:4464156:1 gene:Dexi3A01G0006710 transcript:Dexi3A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFLKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYACSMELFTDPRSTAARRYISYFMHHINLLRHYKVVPVVVFDGGSMPCKAATDNERQRRRELSLNMAKEKLEQGNTAAAVDLFRKAVHITPLMAYQLIKILRSENVEFVVAPYEADAQLAYLTTLNADQGGIAAVITEDSDLIAYCCPAIIFKMDRFGNGEEFTMERTLNTEKHALSFRDFDQQLFTGCDFLPSISGIGTKRAYSIISKYKDINSVISNLKLDKRYSVPDDYDVSLWKTLAVFNHARVYDGKSKSLKHLKPLDKQYLTYLDGDLEILGPDLSPSIARGIAEGHLNPITMKAFDQCSRIFSPNGFLDTSAFDIAEQYGSQEISTQKSCITILSSQESKENMIVGEISSDGQKCKKGVLSLGKFLLQKQSPQVESDEVEPKNVPENNPFKKRKLATDKGQEMGPNELVIDLEDEISDLSCPALSQGSNLTSKNMKQLDFGQEDYEEPSLLVNEVPVAICSSLTRYSMPNKTAPKRQKAPKRSMDKTNKKVNNSGGILKFFTRL >Dexi3A01G0033360.1:cds pep primary_assembly:Fonio_CM05836:3A:38156623:38156873:1 gene:Dexi3A01G0033360 transcript:Dexi3A01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYMDDKSNMLFKKGGGGGGRRSSAAGQGGSPVVGLKGRASRGPAARSVPGRLAGLVKEQRARFYIMRRCVTMLGLTD >Dexi3B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:3B:5828153:5829748:-1 gene:Dexi3B01G0008290 transcript:Dexi3B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKKAYVVAIIIQLIYTGMYVVSKAAFNHGMSTFVFIFYRQAAATVLLLPLAIVLERNTLSMNMYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFAGSGGAAHENSSSSSNKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCLMSTAQSFLLAVAVVRDPAAWKLRLDVGLLAVGYSGLVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLLTIFCSSFFLGEIVHLGSLIGSALLVGGLYSVLWGKSKDHLHHQPPSQPEATKHQSTGGICTGNDDDEEKQQRKEDDETQLKDLFAMEASPSPLRRQVG >Dexi9A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:9A:16201219:16201515:1 gene:Dexi9A01G0021290 transcript:Dexi9A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAHFTCSTTHSAVTLEITLVLRPRRAAAVNAFPAFPPPDICN >Dexi7B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:7B:24039012:24041988:1 gene:Dexi7B01G0018210 transcript:Dexi7B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMDGDQAAGAGDLTDIVRAGGGAMPGNAELPSTATQWQLHQGGEPMLFQPPPSSSDPFSGDPFSGLGDPFSSDYTSVGGGVDFLDAMPDAMANKVAFDTAITGGGGGGGQLMMDMSRKQPILPRGMQTTMASSVGMLTPASRVAMPSPMSPRAIRPYPAMAMAGDMVKLGITAGQVAGCAIDAAVVGMQMSSSSPRGAGGIKRRKNQARKVVCIPAPTAAGGRPTGEVVPSDLWAWRKYGQKPIKGSPYPSSSSGSKSSQNEKQQQTNVKEEPKDPATTATTSTITTTTTTSTSPAAAAVKEETLPGSSEGLGRAMDAAAVDHNNIELMDQVFSESYKPMIPEAGQSDDFFSDLAELESDPMSLIFSKEYMEAKPSVGDRAAQEKAIITKDLDPFDLLDWSTTSSAGSSFEQGKRG >Dexi5A01G0024850.1:cds pep primary_assembly:Fonio_CM05836:5A:28697692:28699432:1 gene:Dexi5A01G0024850 transcript:Dexi5A01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYITTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIIDLHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPDLYYNILDGAAAGQGIATAAGCAPLNGADNASSAQALTVTVSAAQHSPPSMLHNPTAAWAEFVSQQPLFLPGNGVHGGAGDLHQYAGVDGEFIKLCRAADAFVVPPPENAAIVASECKPSDDDLVAQQDAGAAAVRSLPVFLEPKSSGAGAFVADPAMGPVMDFMEAILGSSSTSAVSSSSVDSFSPSTGGMQSHCWILP >Dexi5A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:5A:1014170:1023374:1 gene:Dexi5A01G0001460 transcript:Dexi5A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEDIWFIFHDGSKMDVTRDRIIRTLAVAACFHVHSSLHLFSHERELAIWIRCYYLSWSLWTTIPEHRANMDVPAFAALLLVALLSLLFFAIVRNRKPSDSDGRRRRLPPSPPGLPILGHLPLLGPLPHRKLHAMAASHGPVMLLRLGRVPTVVASSAAAAEEVMRTHDLAFASRPRMRMAERLVYGRDMAFVPYGDYWRQARRVCVLHLLSHRRVSSFRHAREHEAAAMVARVRRRAGEDASPVNVTALIISYTNGVISRAAFGDDRSFGDDRSFGDDGGEELTKLFADFEELLGTVTIGDFVPWLAWIDKLTGLDAKAARTSAKMDTLLERIISDHRQRRRRDDGRRKEDDGHHRDFVDVMLDVNEEAAQEENNSGGVVMFDDVAIKAIVLDMFAAATDTTYTTLVWAMAELINHPDEMRKVQDEVRAAVVSGDGVTEDHLPKLRYLKCVIKETFRLRTPLPLLLPRETMVDTELLGYHVPARSRVIVNAWAIARDPATWDRPEEFLPERFAGDDMTADYLLGHDFRFVPFGAGRRGCPGVGFAVPSMELALASLLYHFDWEMPAGGGSKDTMTASHAALLLTVLIIITPLISFLLITTKRRRPSHRNRRDDGRRRLPPSPPGALPLLGHLHLLGTMPHRKLRSMAASCGPVMLLRLGQVPTVVASSPAAAEEALKTRDLAFASRPRLLMAERLYYGARDMVFAPSGERWRQLRRVSVVHLLSNRRVLGFRAAREHEVAALLARVRAAGGAGAAVNLSELLIAYSNAVTSRATFGGGGGGGGYYYGIEGGEDDGGGGAKLRKVFSEFEELLGTVPMAEVVPWLRIVDVVTGLERKARRISQEVDRLLEKVVADHRRRRRDARRVRDGEEDDSKDFVDVLLDLSDAQEDFGGVQLDTVTIKATILDMLAAGTDTTDTLIEFVMAELINHPTQMRKLQDEIRAVAASDGITEDDLPKLPYLKAVIKETLRLHPPGPLLLPRETLEDTELQGYHVPARTRVLINVWAIGRDPAAWEHAEEFMPERFAGGEDGEAVEYHKMGVDFRFLPFGAGRRGCPGVGFAVPAVELALASLLYHFDWEVHAGGGRTSSPVDMTEERGLAVRLKRALLLVATPWPR >Dexi5A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:5A:21592097:21600290:1 gene:Dexi5A01G0018090 transcript:Dexi5A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAAISAPLGCRGLPRGALGGGGKARRAEAERWRWAGAGRRAGGAKVRCVATEKHDETAAASVGVEFADEEDYRKGGGGELLYVQMQATKTMESQSKIASKLLPISENSILDLVVIGCGPAGLSLAAESAKKGLSVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTIVYLDNNKPILIGRSYGRVHRDLLHEELLRRCYEAGVTYLNSKVDKIIESPDGHRVVCCERGREILCRLAIVASGAASGRLLEYEVGGPRVCVQTAYGVEVEETCLASKDAMPFDVLKKRLMYRLDVMGVRILKVHEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATEGWRQGREEERQQEERRNNPPPSTSLPSFFSRAPSSHTFRLTTPLIGQSPASISAVFVGTMQGGPLSPDEYRAASPPALLHQPASTIVVAIDRDRNSQLAVKWVVDHLLSSASHIVLLHVAAHYPANHGFAVAETTQGALEAEMKEIFVPYRGFFNRNAEADVSKAILGYITANKIQSIALGGACRNAFTKKFKNADVPSTLMKCAPDYCNIYVVAKGKSVNVRLAKCGVPNAGADISPDTDSLRGASLYMRRGSRGYLPPATPPDTSRRSVDSRSTTTLPELTTRPPFRERSLPSSATKNVMIPAAKDYSDVSSSRSQAQREVEVEMRRLRLELKQTMDMYNAACKEALNAKQRAKEMQLLKLEEARRLEEARHAEEAALALAEMEKAKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISSHDFRYRKYHIDEIETATERFSDELKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLFRRGGTAPIPWPHRFRIAAEIATSLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPRGLTHHVEKAIDAGTFHQMLDITVKDWPVEEALGYAKLALKCTELRRRDRPDLATVILPELNRLRNVGIAYEAARMAAGTSCGGGGGDGGVQISVSSPTYTSWFSAPSIVPVRCDDSLLRNFPISSAPLQVLLGMDPLEDLIGLEITIPSSLINRIKVEFSKRFTGEPCTKFEVASAVLWQCRTRAIMCNPETPALFSYAVNIRKHVGAKQGYYGNCLAGQLVVATSGIVASGDIVDLVKMIKQSKEKIADQFKKIDEDSNQQAVGPQMEQLAQLRYSILIVSSWGNLGFDEVDFGSGGPDRVTPYGNYKSPFPLCAMCLPFKAKDGVNMLGAVVKEEHAGAFLGEIERFTK >Dexi5A01G0026110.1:cds pep primary_assembly:Fonio_CM05836:5A:29844015:29845758:1 gene:Dexi5A01G0026110 transcript:Dexi5A01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASVKQIVVLYPVGGVGHVGPMTQLAKVFLNHGYEVTMVLTEPPIKSTDSGAGFIEHVAASNPSITFHVLPPIPTPDLAISTTHPFLLILDLMRQYNDKLESFLRSIPRERLHSLVIDLFCTHAIDVATKVGVPVYKFFASGAATLAVFTQLPALLAGRQTGLKELGDTPLEFLGVPPMPASHLVRSLLESPEDELCRTMMKILKRNAGAHGVLVNTFESLESRALQALRDPLSVPGEVLPPVYSIGPLVGEVGDDKERHGCLAWLDAQPERSVVFHCWGSKGALPKEQVKEIAAGLQSSGQRFLWVVRTPAGSDDDRKRYWEQRGETDLDALLPEGFLDRIKGCGLVIKSWAPQVDVLNHPATGAFVTHCGWNSTLEAVAAGVPMLCWPLAAEQKMNKVFVTDEMGIGMEMEGYKAGFIKAEEVEAKVRLLLEGKEGRELRNRAIELKKEAEEALEDGGSSRVAFLQFLSDVKNLGE >Dexi3B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:3B:12095339:12099477:-1 gene:Dexi3B01G0016630 transcript:Dexi3B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASTSARPLSLPLTVPLPRYSSRVLPAPASRLLPSRRVALAPARPGAALLSSLSDARQQQEGEEEEEEEKEEFYEEEEDEQQEYDEEEEREYDEEDEELVEVGYVSGAHGVRGDVLVTPRTDFPELRFATPGTRWLRARAAGKQQVREFELVRGRAHTGKKCWIVSFDGIHNLDEARQIVGSAILVRAGDRPEIEDDEFYSLDLVGMRVIVKEWKDRKRLQRRVIAGKKVLSEMDQGHVLEGLLSGDKVQKASLAEQIGYMDFQLFRHAVHCISKQIESSSKKLLANSSLSREKVIKIPYKSINLGEKGKHAFSGELEKGLEILLKSKAAIVLVRNGSDSDAEFQSLLSSLSELMKAIENHVSPPFVIVSSPGHVESVRNCLIENDYFGLDTQKVWVLEELELPVVSTSSNANRKKVLMKSPWEIIKRPAGSGGIFSLLSSSKILDSLNEMGVQYTQICSSSNMPAIGHPLVFGTVASRGADVGIKLSKTGEMEDDFDLVLSIDQLNKMCRDVTQLRFSAHPEQNTHVEHVDGQWVAVQPEADNSHRLHADVMSVLNSCAPDKLCVMEIIEQ >Dexi4B01G0023950.1:cds pep primary_assembly:Fonio_CM05836:4B:25165241:25168937:-1 gene:Dexi4B01G0023950 transcript:Dexi4B01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLHHEGDFSFRESWYHLSDEDFPIKYEADRLPPPLVADLNGDGKPEVLLPTHDAKIQVLQPPHARHPHDDTSFHEARVMADISLLPDNVRVTSGRRPIAMAVGSVDRSYRAGDVRKQVLVVVTSGWSVMCFDHNLKKLWEQNLQDDFPHGAHHREVAISITNYTLKHGDAGLVILGGRMEMQHHSADLFDEFMIPEDHRRSASEKQSSETGTTDLRHFALYAFAGRTGERRWSRKNENIQSQPSDASMMIPQHNYKLDVHALNSRQPGQTQRTMYIPTITNHTQVWWVPNVVVAHEKEGIEVVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGGNGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHLNLFHHGDFSRSFGRKFDATGLEVATPILIQTDDGHKHRRGSHGDIVFLTSQGEVTSYSPGLLGHDAIWRWQVSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPREAIIAGGDQEAVVLSPSGGILAIIELPAPPTHALIMEDFSGDGLTDMVVVTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSTSGKPRASSSAEYR >Dexi8B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:8B:25102710:25103580:-1 gene:Dexi8B01G0014480 transcript:Dexi8B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAASASALLQLIVVASLTLLLLVLSAAAADRDGQLYNHLWGVQWWCVNITSHVVAAPTLPSPYTLSVLIGCNVQATLVSFLINGDGGDGTEHIICGCVSFCGDLEYKFGYWWELEDEVNRDAAVNYCSKSSNILLDDTLTSKISDFGASRYIPVDRTGLTTRVQGTIGYLDPTYFCTGRLTYKSDVYSFGVILLELITRKKPFLYVSPDGDGLVSHFVNLLAEENLSCILDPQVVSEG >Dexi5B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:5B:10073498:10073932:-1 gene:Dexi5B01G0013810 transcript:Dexi5B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKACTIFVPHKDGKKPQQPNSPAMVQHVEAERAKLHGEVMACAYEDVQVMWSMLDQARIRDISGNS >Dexi6A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:6A:7877641:7878227:-1 gene:Dexi6A01G0007820 transcript:Dexi6A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSSSPAGTSDGGGDHHHQPRLRGVRKRPWGRYAAEIRDPVRKARVWLGTFDTPEQAAMAYDAAARRLRGPGAATNYPALTAAASAGGGDPSSSSSSSSRDSSPAVVADDLRLGVPAAASPALRQFLPVKGEDNEERTSCCYSSSAVAVGLGLDLNLPPPAEMPV >Dexi7B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:7B:6549576:6550469:1 gene:Dexi7B01G0002910 transcript:Dexi7B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGIDHCAAGFITHSPAEAAALSSPARSTSRPDGDVDSAAARAPWRVDPTANLVVAAANVLEVYVVRTDTATGAKDGGTSSAGVVLDGISGARLELVCHYRLHGNIESMSVLSDGTENRRDSIALAFKDAKIVCLEFDDSINGLRTRL >Dexi1A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:1A:1963372:1963810:-1 gene:Dexi1A01G0002730 transcript:Dexi1A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNVFTWNCMISGLVRNRMLADARAVFEAMPFRNSVSWAALLTGYARCGRVAEARELFDRMPDRNVVSWNAMISGYLRNGMVDRARELFDVMLNMVT >Dexi2A01G0025990.1:cds pep primary_assembly:Fonio_CM05836:2A:37596890:37600186:1 gene:Dexi2A01G0025990 transcript:Dexi2A01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKVAGCFRDRTILVTGSTGFLGKLLVEKILRVQPGVKRLYLLVRAPDDAAAEQRVLHEIVGKELFSVLREKHGDDFQSFIKEKIFPLAGDMTHENLGVESTRAKQLFEEIDVIVNGAATTNFYERYDVALASNTFGTMHACKFAKHCSHLKLFLHVSTAYVAGCKQKGRIPEKPLHMGQALKKGRCIDIEAELQLANEAKAKFVMAPSDTDDTSHKQLEKVAMKELGLKRTMDVMIAATYEQRLPCFISGPILDSG >Dexi2A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:2A:7511274:7513424:1 gene:Dexi2A01G0007600 transcript:Dexi2A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGGSGRGTRRRRKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >Dexi1B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:1B:4946837:4948320:1 gene:Dexi1B01G0006010 transcript:Dexi1B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMAAARYWGVGGRRCGGACGGGSPAAVHCRTCSGGGAYLCAGCDAAHARAGHVRVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPIQPIGAAAHAEPLLFDASAAVAGGEADDSAGMIAGGAKDDAKVDFLFADVMAADPFISQDFARFTHADSVVPNNGSSGGGGGAVDVDFGGAAMAAVKPSYSSYTVASLGHSGSSSEVGLVPDVMCGGRGGSVTGGVIELDFAQSKAAYLPYAATTPTHSVSSLDTGAVSERSDGGGRFAAATTTPAAASPESREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRADDPDTDADLAAADVIITGAAAPPPMKQQQQQTAAGYHPYVLDFAGGYGVVPSF >Dexi1B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:1B:7146686:7149394:-1 gene:Dexi1B01G0008540 transcript:Dexi1B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVSLSLHKFVEKALPHNIGEILDPNIIPNLEDNDMSNSLEHENHATVRMMSNIMRIAKLGLSCSVETPKDSPTMQDVYAEVIAIKEDLCLTPHMHQLIKEPNCWKKFLLHGFFFGDGSMWRVDVQVTEAVGVGRRASIDSVATLYDSDVVVVVRRQR >Dexi1A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:1A:4736621:4746862:1 gene:Dexi1A01G0006340 transcript:Dexi1A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASLHERKRAIKSSADIAMATARGAGARWPRAILAALSSSSSPPGKARRCKRIVRRCLRARRSSRDGGGGAGASSLARSTAAMMSSGDMARRLVRKRTKVLRKMIPGGELLLDEVSLLHEAMDYVAHLHAQYVVFGPLVWKVVQEWREQGGPPLGSWWLHLLVLFAVRGLTYQFWFTYGNMLFFTRRRRVVANGVDFRQIDHEWDWDNFLLLQTLLGATVVNSPLLPGLRQLSLWDPRGWAVALLLHVGFSEPVFYLAHQAIHRAPHLFARYHAAHHSSDVTQPLTAGFGTPLETLLVTLAMGAPIAGAFLMGYGSLGLVYGHAFVFDYLRAMGYSNVEVVSPRVFDAFPALRYILYTPSYLSLHHREKRGNFCLFMPLLDVLGGTLDVRSWSLQRAAYDGAPGGGALGTPEFVFLAHVVDIMSSMHVPFVLRSMSSIPFANHFILLPFWPLAFAFMLVMWCCSKTFVVSFYYLRGHLHQTWSVPRYGFQYFLPAAKKGINQQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAGVILNDIPSNVKEVFLTGATSKLGRAIALYLCRKKLRVLMFTMSSERFLKIQREAPPEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVHGLGSCEYTMDRGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDVVWRAALKHGLTPV >Dexi2B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:2B:297098:300858:-1 gene:Dexi2B01G0000700 transcript:Dexi2B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGNPHPPFLLFLAVAVLAAGEAAADTTLTASPAKLSPSDHQIKIRWSGLPAPDGLDYVAIYSPPSSRDRDFLGYLFLNGSASWRDGHGELSLPRLPTLRAPYQFRLFRWPASEYSYNHIDHDQNPLPHGKHRVAVSGDVSVGDPARPEQVHLAFADAVDEMRVMFLCGDDGKRVVRYGLEEKDTNWTEVGTEVSTYERKHMCDKPANHSVGWRDPGFVFDGLMKGLLPGRRYFYKVGSDTGGWSKTYNFISRDSEANETIAFLFGDMGTYVPYNTYIRTQAESLSTVKWILRDIETHGDKPAFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWATYGKDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFLQGSEQYNFLKSDLEKVNRTRTPFIVFQGHRPMYTSSDETRDAALKQQMLQHLEPLLVTYNVTLALWGHVHRYERFCPMKNFQCVNTSSSFQFPGAPVHLVIGMAGQDWQPIWQPRPDHPDVPIFPQPERSMFRGGVFGYTRLVATKEKLTLTYVGNHDGQVHDMVEIFSGQVSSNSSVAEAVDGTKLSTGVSTVRRKYPLYLEIGGSVIFALLLGFGFGFLVRRKKEAAQWTPVKNEES >Dexi3A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:3A:9739638:9744389:-1 gene:Dexi3A01G0013400 transcript:Dexi3A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLAAMVVGPLVSMVKEKASSYLLDQYRVMEGLEKQHEVLKRKLPAILDVIADAEEQAASKREGAKAWLEGVRKMAYQANDVMDEFKYEALRRKAKQEGHYKELGMDVIKLFPSHNRVAFRYRMGNKLSVILQELDVLIAEMHAFRFRFLPEPPVPVNYLRQNSSDIIDDPMKIARRSREGEKHNVVKVLLDEASNVNLTIFPIVAMGGMGKTTLAQLVYNDPEIQKHFQLRLWVCVSDNFDVDFLARSIVEEAKKNGCQVDGNSALDKQLQNAISGKRYLLVLDDVWNRDEAQKWEKLKSYLQHGGSGSSVLTTTRDQAVAQLMMGKTEGEYKLGSLSDNFIEEIIKTRAFSSKHDKNCPRDLVNMVGDFVKRCASSRCSKVGELGRLDDLRGQLELRQLENVKEADAKAAKLGNKKKVARLTLRWTDSDKEPQNSDKEVLEGLEPHDELKQRLDHLEEKDLDVRYEGSLADLANDGLL >Dexi3B01G0029130.1:cds pep primary_assembly:Fonio_CM05836:3B:28153004:28157655:-1 gene:Dexi3B01G0029130 transcript:Dexi3B01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALVGKDPTAQTDIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQATASFKEAMKMGVEVYHHLKAFPISFQASVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTVRLEVRSRLELPADRSVSPSTTRQLLRIEEELGAAAVYAGAKFRAPVEPY >Dexi5A01G0035910.1:cds pep primary_assembly:Fonio_CM05836:5A:37572355:37574179:1 gene:Dexi5A01G0035910 transcript:Dexi5A01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGKPAFGLVVAPRTTHAEERLAVAEHALLQWTRCPGADAGVWDADASYTNRGLLAAVDEVLLLAAEDPFPHPPARLRLDVAVGAAASRMVEEFLRVRVWDASPLRVAVDRLSLASTGVSLLVFPSADGDRASAASSGEEVDASDGTRSRASSGVPDEVAALLEGEVWDELDLVRPAGVSVLHEIAIRIIRSGCTNEFFRAFANAPCDVLDRFLSILRVECSQRTTVAVIKRWTTVTKIIGKAIVAMRRQLHAQNPGAFDSFRDEYLLAIAENRISILLDFANGFTTITSHEKLVYLLGMYEALIDAAPGLLLLFTGARKDLISEKTQQILTKLADAMKVMVGGLMAKIQAGDSPPHTTSATGGVHPLSRDAMNCVELLATHRTTLDLILADSGGSLAGVVTELIACLERNLQGKLAVACADAGGSRHLFLANNVGFILSRAADAGGGVASLLGDAWASRRHGRLAQHVASYVESCWGPAVGLLETPPVCGRGKPAKILAEFNAAFARARDSEVCREVPDPALRAALRNAVSEMVVPAYCSFLQKHPKLGTCVRYTADDVAESLSELFEGEAAGKS >Dexi9B01G0047000.1:cds pep primary_assembly:Fonio_CM05836:9B:46241564:46241929:-1 gene:Dexi9B01G0047000 transcript:Dexi9B01G0047000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMPGVWGKDCMEFWPERWLSEGGTKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKIAAAAVLWNFAVELVPGHAVEPKLSIILHMKNGLAVTARRRDGRASRVTASSSRLNTSRPCKHC >Dexi8B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:8B:2106933:2109470:-1 gene:Dexi8B01G0002960 transcript:Dexi8B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSSDGQRQCRRAVDVELDAAMVLADMAGGASEHQPPRPLHQSAAEDEDELASTRLSLELGKVGIQSGASPCSSSSSAGGGGHAHHHHQQSHIHAAAPATGYGPRPRHTLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNENMKKVARTTTKKQPPATTGVVTTATAVPMHVTASAEAMAATASPPATPPQPGFLYTAAPPAVPVPYVWGSWPPGPGFEHHPHHHGGSSPPPTICLPPPCAWYYPVVADPRGSPSAFAPPQQQPVAFPQQHEPAGSGGATAEEDTDDDPCSLTLGLDVADKRSAPINIEARGGGAGPSDRDKAATAAEARKRRKELTKLKHMQHAAGGRPGGGEQW >Dexi6A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:6A:2435757:2439371:-1 gene:Dexi6A01G0002590 transcript:Dexi6A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNHKTKTPFAVLRAVHSPPATPFLGSKSKFPSRPSRGQKDGCLTTKAMLNSFTGEIMNVFALAQEETQHLVLKIGSNQIIWSHISQIICLFLLDLILNEGKLDPVVGRQKQIDQVVQILSREGKNNPCLTGEPGVGKTAAVEGLAQLIARGDVPETMQGKKVISVDMGRFLAGTKYLGEFEERLKNLMDEIKKCGNIILFLDEVHTLVGAGAAVEGGIDAANILKPALARGELQCIGATTAEEYMKHIEKDPALERRFRQVKVPEPTVDETREILHGLRERYETHKSNTPMKHLMQLLSSRISTSGLLFKKGAMHLFAPIVHNLTASSVCSDRFLPDKAIDLIDEAGSLVRLRHAQRKLSKEVKDLETEVKKIMEEKNGAIRSQNFKRAKELRESELELNSQIMTAIAKSKEMTKQDEVNPPATSLIPVVTKQDIRHIDTLHQRIVGQDEAVTAISRAIRRARVGLNDPHRPIASFIFAGPTGVGKSELAKALAAYYYGSEDAMVRVDMSELMERHAVSKLIGSPPGYVGHGEGGQLTEAVRRRPYSLVLFDEVEKAHHDVMNIMLQILDDGRLTDGMGRTVDFTNTLIIMTSNIGGAAMVAGNDGRKEVVEEEMKRYFRPEFLNRLDETIVFRQLSKVEVKEIAGIMVKEVAGRVREMGIEMEVTEKFVDRVVEEGFDTSYGARPLRRAVVRLLEDTLADKVLDGDIVEGDKVTVDADAAGNVNVVVRGRDCLVQLQQPVEFVI >Dexi2A01G0023760.1:cds pep primary_assembly:Fonio_CM05836:2A:35464972:35465499:1 gene:Dexi2A01G0023760 transcript:Dexi2A01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDAENKRFADEEARFGILPERVVSDKEKPFGYPVTSGMHVCEASENGTRDVSSIVVSFALFDGDEMLFACLGIPLPFGTATKLDATRFVISSCLVREFNSKRNRDDNLRRG >Dexi9B01G0033600.1:cds pep primary_assembly:Fonio_CM05836:9B:35729846:35734210:1 gene:Dexi9B01G0033600 transcript:Dexi9B01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAPPLVAPTLHSPATAPAVLLRRHVAASLSFRCRRRWQCSAATGRDGPGAPLAMRGARWRRPAAPTGGNGAGGATPTAAGAAAGEAPYRGSEGKGSLWTVLLATAVAVCGSFVFGTCVGYSAPAQAGIVSDIGLSNSEYGVFASVLTIGAMIGALTSGRLADILGRKMTMRFAAVVGILGWLTVYFAKVPVFISEIAPKEIRGGLATSNQLFICSGCSAAYIIGALLPWRSLVVVGYGESNVAFHAGLIPCAILLVGLFFIPESPRWLANVGREKEFTDSLQKFRGKDSDISEEATEIKGYIESISTLPKARIQDLFQSKNIYAVTVGVGLMIFQQLGGINALGFYASYIFSSAGFSGKLGTTLVGIIQAQGLYSQLVPTLALCGILVYYAAYSVGMGPVPWVIMSEIFSIDMKAIAGSLVTLVSWIGSFAISYSFNFLMDWNPAGTFFLFSAASLVTVLFVAKLVPETKGRTLEEIQASLKASN >Dexi7A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:7A:12085550:12087718:1 gene:Dexi7A01G0003120 transcript:Dexi7A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSVHRGGSSAISRYLKVLLPSSLSNSGKLRISDELARHWDGGDVGSGGVALLASAPVVPFGMVWRVEVGRDAEGAFLGRGWPEFAAEHGFGTGWFIVLRHEPGGGVLTVKAFDTTCCLREFGRPLIGVTGSSTTAIRFSPRGKFCRVTVDKDHTGNVFFSGGWSRFLASNGIAEYDVLLLRHEGNMVFTVNVFGPDGSQKGCKDQDTSPPSRGKGLHQRGDKTG >DexiUA01G0001910.1:cds pep primary_assembly:Fonio_CM05836:UA:4801359:4801640:1 gene:DexiUA01G0001910 transcript:DexiUA01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRRIHLSKLRYFTSKWNQPRGRTDLLSTPLQYSGRQRRRRRGEVSHFEAAGVLGGRMPETQPLVQCTAAALAPGVCRRRWRSGEEVDAKV >Dexi1A01G0030620.1:cds pep primary_assembly:Fonio_CM05836:1A:35857425:35858962:1 gene:Dexi1A01G0030620 transcript:Dexi1A01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRDLVNEANFDCSGTGFSLQAMDSSHVALVAMLLRAEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNEDIITIKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATLIEMQEPVSLTFALRYMNSFTKASTLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKP >Dexi2A01G0024880.1:cds pep primary_assembly:Fonio_CM05836:2A:36599777:36600121:-1 gene:Dexi2A01G0024880 transcript:Dexi2A01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRNALPTSSDASLRSKHITYSIIAASQGSVILPRSPANPNSSCDMLRSSPKTVVPRYAKGTSNLLPSDEYTTQWPLLATDDVLHDDPSLSFTTVGMSLFLARAALLCHFLAI >Dexi3B01G0035140.1:cds pep primary_assembly:Fonio_CM05836:3B:37735275:37736148:-1 gene:Dexi3B01G0035140 transcript:Dexi3B01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLLRHRDLSRARPPAPPPSSSSMLSILFDIGGYRDTHISFYHLPLLPPPGPTTTTTTVFVESDLVFDKAWTKDTGHVNLSHCDGLVTITTTSTDRVFVCNPATQEFIKLPRGTHNAEVDYYARRRRILPLVAIGFDQWRNSYVVARYFYRRYGGATTFDDEDDTGESASSSPEDYDIGHEVFTLGSGDGGSWEVTDDPPGAIGVEAPICTRRGFYWHSGMPNPRLLRFGLKDRAFEVVARPPTAGEWSPFDGMAVMDDGKLCYLHTATEASSLHPTTTTGMAT >Dexi4B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:4B:17500419:17502700:1 gene:Dexi4B01G0015680 transcript:Dexi4B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDFFLGILHEQWRLVTATLLVAFALPLLSIHLSRSRKQSRCSSPALHLPPGPRKLPFLGNLHQIGPLPHRSLRELARQYGPVMLLRLGSVPTVVVSSPEAAREVMSTHDARCCSRPSMPGPRRLTYEYKDVAFAPYGDHFRERRKLLILELLSMRRVQAAWDAREAQDTFIGGINTSAVTMVWAMSEMIRHPTVLKSVQDEIRAVVGNKQRVSRDDVAKFRRLKMVVKETLRLHPPLTLLLPRETIQQVNIIGYDVPANTRIIGNAWAISRDPSIWKDPEVFNPERFKGSKTDFNGTHFEFTPFGSGHRICPGMAMAVANIEFTLANLLCCFDWELPHGVRKEDISMQEAGSLAFQKKMPLVLVPRRVQ >Dexi6B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:6B:26320022:26321941:1 gene:Dexi6B01G0019630 transcript:Dexi6B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRASLARPIQVAEQLIKWADEAQTSRQECQDLKSRIERVSTLLRQAARADLYERPARRILDETDRALDKASSLLDRCCARGGFLRRLLTIVPAAAFRKAYHLLDNSLGDLTWILRVSTYAAAAAAAASDNDDEDDYDDDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGKLIIEEDGVPPLLRLIKEGRADAQESAALAIGLLGRDPECVDLMILAGVCTSFVNILKDAPMKVQGMVAWAVSELAANHPKCQDTFLQHNVVRLLVSHLAFETVQEHSKYAVVSKMSIHSVVMDNKTTTTNHTSSHDTPAAAAKPAAMAASSSATAAACPGPSAAAARPAGIAGTRLHNASMSAAATRGREYEAPDIKAYLKAHAARALGTLATGNPAICKNITESRALLCFSILLEKATGDVQYNSAMALMEICRVAEQHPELRRSAFKPTSPSARAVVDQLLRVVEKADYDELLVPCIICLGCLSRTFRATETRVIGPLVRLLDEREADVTLESAAALTKFACRDNYLHVDHCKAIITHGGAKHLVQHVYFGEQAVQTAALILVCYLGHNVPDSEELAEAEILTVLDWACKQGYMSQDPLIESLLPEAKIRMELYQSRVAKGYY >Dexi9B01G0044720.1:cds pep primary_assembly:Fonio_CM05836:9B:44392220:44392741:-1 gene:Dexi9B01G0044720 transcript:Dexi9B01G0044720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNADPPQHHASTPPLLLAVRHIPFTGVNRPRALPSPDVLTPIARRLEELAAAAAAHPLLKPLFAAHSHLSSFSQSRRRLVAARRDALLSGEHCFAAVLGDSVAGVVVANGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLR >Dexi2A01G0033700.1:cds pep primary_assembly:Fonio_CM05836:2A:43875440:43881117:-1 gene:Dexi2A01G0033700 transcript:Dexi2A01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEIKGGKQNFSRAKEAEESAGLSNVDSPACSNSFASQSQVASQSMDAESPISGHISEYEDAETDNCRASSRYHPFTEMQQPVDGIMMNSLLGASAPSASVNNLGKPLTLSSKPTPANFTNHFVAHNDIASAFNETGAGIRGGAKNPIDSMRFGEPFPECQGGFTEPTLYSSVATMGNSLDESLQTFMSEALYTNNLTQKEVDELSAAGITQAENDGYTDQSARYPLLKQSSLDLFKIESDGLKKFDSFSRWMSNELPEVADLDIKSSSDAFWSTTETVNVADGSSLPINEQLDAFVVSPSLSQDQLFSIIDVSPSWAYKGTKTKVLITGTFLAKKEDVENCRWSCMFGDVEVAAEVLVDGSLRCYTPVHHSGRVPFYVTCSNRVACSEVREFEFRDSETHYMETSDPHTAGINDMHLHIRLDKLLSLEPEDYEKYVLSNGNKSELIDTINSLMLDDNLSNLALSSDEKELTTVRNQNIEKQVKEKLYYWLIHKIHDDGKGPSVLGKEGQGVIHLVAALGYDWAIKPIVAAGVNVNFRDIRGWTALHWAACCGRERTVSALIANGAAPGALTDSTQQFPSGRQPADLASENGHKGIAGFLAESALTSHLSALTLKESQGGGNMDEICGLPAAEDFAEPSSTQLACVDSQAESIKDSLGAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLVSLKNAKPGHSDMPMHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRKVVWSVGIVEKIILRWRRKRRGLRGFQIEKQLEGSSSQTLQIQPAKSEAEDEYEFLKDGRKQAEGRLQRALARVHSMTQYPEARDQYRRLQTSVNGLQESQAVQDSMLSDSGGADGGDLMAELEELCRGDGDATMSNIS >Dexi5B01G0034280.1:cds pep primary_assembly:Fonio_CM05836:5B:34505668:34508843:1 gene:Dexi5B01G0034280 transcript:Dexi5B01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRARAGVEARDIAGFPAAGDGGAAGTFREAVRGFLAKHARLLPLPSIFSPAAAAAPPHLLIWRVSLRVGETGEEAGGRVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDCNQLSGHRRTCCLRCGTPMVAGESRCALCNFDMDGEEVEECAYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRFLTGRDIMSLWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAVTSGHPWYGEWGYKFGAGSFALTSDTYRNAVDMLSSIHLVLYFSNRSPIRTPLQNTIALYWALSDRQLVTLRDLFRFIMHLIHQAQKMSKPSAYKCKELTSDVLCAWTKDEFDRAEAAMLKVLRVVQTGQWVSWRALRGAASKAVDSQELLDYSLRELGGKQLDDGHFVAVRCNAETSAIEYRLESSCIQPPVNVAMFEPSVEHLLHDLRFLYDALLNPESMMSSQPEVVAAPAHSAAAKILDCKQFIKHYDEHALRTPSNPFLLCVRCSIELLDQPKDYTAPPEELVVLPASATLAELKVQASRAFQETYLMFQSFQVEQLPDFPNFSDTTPVKHVLGSGQLVRLRGRCTGDHRRIVQFRMERGLENWTVDCSCGAKDDDGERMLACDLCGVWQHTRCSGISDFEEVPENFICRKCAIPRKGKGRGGGSSNGGGKVDVSAAGRCKDEIGTSVGGAGKFGRMATVG >Dexi3A01G0025010.1:cds pep primary_assembly:Fonio_CM05836:3A:20640269:20645101:-1 gene:Dexi3A01G0025010 transcript:Dexi3A01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGRRARGRRGESLAAVRAEAPSSSSSSRARRHGKGPVVVIDLGDDDDDDDRGGGTRGRAREAADGAAGRGRRGRSTAAPSPAPPSPPAPMMVPAGAVAMRTRSRRRAIQAAVEAPEEARPKRRRKGASSDVAEASVGRVSKAAGASRSTPRDKRRGRDRDRSQRASEPASTGRTRKRRGKQLEAETGVVEAPIRGERAKLSRGNANDDGGRGDNPSDDGSAEARTGGANAKKGNRDRRRATGGDQIQEHCVAGEATALDLNHLTNELVSAGAGEVEGSGDEIGRRDGGSNVNEETGDSGNSEPAPITNAVADETAPFEDDYDNEMLEEQLVADVIRAYSNGGDLDADGVDWEAEDEMEFDDDDADFMDNADEDGMTGPMQDHDEMGMNELVNLNVVLGQGRCQEEEAEDEMEFDDDDDDFMDDADEGGMPGLMQDNDKMGTQELVNHSVVLGQGRCQEEEAEEEEQGGEQQEEAADIKDGVLLKGEATPGSDQQGLHVEILDSDEEVKVLENVSIAPSRKASVQAKLPTMPCVAWRTRSSWGISQDRLSYDTYFEALSDEPKEEDDDTEVELDEEEEDSDDDDNSETCNIDEEDEEEEEEEEAERIKLKNRIYTSDDDMIDSTFPTSRFGDSTVRTSRFGDNTVPTSRFGDSTVPTSRYDIEWEEDNKDANVDILQPISFKKATKWNPVAVGNDTFTEQQKQSRFTWELERRKKLKLGVTETHHLYERELDSDSSASGSDQIKRHGLKRDGDHKVGTKKKHPSTKLGKKSSHATMLKRQSLLKLLIDKMSSDKNGESFLFDQNPQLQFIVKEMHPLVFSFGDEDLTPADRPEQERALDMLWADFDFALESENIGTYYDDEGQENGNQLDFALAPVTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIKFMFPSLVSLRNLHGQMLKV >Dexi3A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:3A:434147:438485:-1 gene:Dexi3A01G0000500 transcript:Dexi3A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVASSNGNAPTASDYHTSALRSPMLVNYQASPLAEHLLLDMPQQQGCCQLPTFNDDDGDASLAKRGKRRLVEWASVRRACGEWMSNPMNVALLLWLLCVGVSGGMLVLLLLGLIDGAFPSPAERNHWIEVNNQFLNGLFTLLSLYQHPNICHHTFLLCRWRPGDAVDLCDAYCSGDPTVPRPAERAHMAVVVALLHLTLACQYVTCGLYWGFTVTARPDLLADGFFVLGIVAPLAAAVYAVSSPLGKECHHDLSLSDTKQDQSLSHVVGHVVLEPEWSGGMFTCGVGGLSTWCLSLSCTFCVFGWNMERLGFGSAFVHASTFALLCLAPVWVLGVSALHIHDYVIGDAVGVAGVLLCAGGLLYGGYWRIQMRNRFGLPGSRACCGSKSLTDYARWLFCWPFALAQEVRTASLYHVHGEHFYHKQVAAADDDHAAGSIVEPLLLVGSNDRHHGVFRATDTAVAASQASPPEAHHLVVTVDDETTMAPPPVQVVVVHQARAVEEGDAHLSQASPPDAHLVVTVDDETAMAPPVEVVVVQQAVEDDKSDGCSVSSLHGKSETVDSSIPVSVPLSEDEDAQLVVQAGRGSMEEQLHEQQEGDMEPPHDDTSGDSQNESHGASSAVQNNPGREKEEKKKKERFLDFLRAAPSKELWLRRLGIAAPKALLRRVATLRANSIRAPAAFARTVDWRALRGRCKAWARRPTNAALLVWLAFVAVGVAFVFLLMIGALDSVVPDESRRRRWTEVANQILNALFTIMCIYQHPRLCHHLVLLFRWRHDTDVAELRSVYCKNAAGPPRRERLHVGVVLLLLHATCFAQYAYCALFWVFSSSQTRPGWAVNMTMALGLGFPVAAAVYMVYGPLGKKIAVLPAASTDDDEDEEAAVVQDDESSTANTSHDKRVAVTKPEWAGGLLDVGDDPTVAALSVTCTFCVFGWNMERLGMGNMYVHVFTFVLLCAAPVLVFAVAAIHMHDRALGSVVGAAGAVLSVLGLLYGGFWRAQMRRRLGLPTESSVCGGRAATADYVKWLMCAPCALAQEVRTANLYDVDVEEGNVVKLYVRSSGDEDVSSPSDEKKPPTMPPLEREGCIVDAPPVPVMVVS >Dexi4B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:4B:6349211:6350197:-1 gene:Dexi4B01G0008850 transcript:Dexi4B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGSASLLLLVVLATAMAAPSAAFLVQPQPNLLTYHNGAVLSGDIPASILWYGRFTPAQKAIVSDFLLSLSSAAAPLGRPSSSSSSPAAPSVAQWWSSINKLYLSKAAAAVSKNAGGAAISRNARVVSDERCSLGKSLKLSQLPALAAMARPATNAGGIALVLTAPDVAVEGFCMSRCGHHGSYGSSAAAYAWVGNPATQCPGQCAWPFHQPAYGPQSPPLLAPNGDVGMDGVVINVASMVAGAVTNPFGDGFYQGDRGAPLEAATACAGVYGRGAYPGYAGELLVDKATGASYNANGARGRKFLLPALFDPDTSACSTLV >Dexi9B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:9B:488400:490526:1 gene:Dexi9B01G0000790 transcript:Dexi9B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDELRGQPKVVEDRQWSLMTSKLAEITKSKGERMNDLDYARMNTVPEAKQWDKMSYHHDEPRMDHINLGLMNLDLKMNDLKMNEAALKNSFRNMGYSMNPMYPKGNNANVNAFKMNVGVNKYSNSPNGKEANGKINGANNNGSNSNANSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDSFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGI >Dexi5B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:5B:20086291:20088209:-1 gene:Dexi5B01G0018120 transcript:Dexi5B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSITEKDKQYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIKFFPSVYAKEQEVVETNQYCKFDSTLLTLFTSSLYLAALVASLFAGYITKRCGRRVSMLGGGLIFLAGAILNGLAQNVAMSSSAESSSASASASAIRSDQIFFLLQLKLNPTCMQSVPLYLSEMAPAKMRGMLNISFQLMITIGILAANLINYFTAKIPGGWGWRIGLGLAAVPAVIMAGGSIFLPDTPNSLVARGKPDEARAMLRRIRGTDDVALEFDDLVAASEATEAVENPWSTLLQRRYRPQLAMAFLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMVATFVSIATVDRLGRRKLLLQGGIQMIVAQLVLGTLIAAKFGTSGVAEISRSYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSAVVVFNMVFTFVIAQVFLMLLCRLKFGLFYFFGAWEVVMTLFVYFFLPETKGIPIEEMDRIWAKHWYWKRFVDGSGSSKVQMSTAV >Dexi9A01G0041260.1:cds pep primary_assembly:Fonio_CM05836:9A:44927784:44931135:-1 gene:Dexi9A01G0041260 transcript:Dexi9A01G0041260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGAPRLDAASGEELVRVDRAASVALGHRSPEPPGILFITTRRVIWLSEAEKGKGYAVDFLAISLHAVSRDLEAYPSPCLYTQIEAEVGTDEEAGESNPEAIDDLELSRVSEMRIILGDPVDALFDVFCHCAELNPDPNAEHNGENGWFHGEDMTDGGWVHGDEDMVDENGPQFFNANPIGENGGYDLSHSVFELQINDQRFEDAEEDQESHENGH >Dexi2A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:2A:5065910:5066115:1 gene:Dexi2A01G0005300 transcript:Dexi2A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHIGNLKDGGSKAQCSPKSSPKTGSATKSRATKSREDLKGTMKKVGPPSATNVTVQT >Dexi1A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:1A:27281072:27284204:1 gene:Dexi1A01G0020470 transcript:Dexi1A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGEALRSCMEQLIIAREEREQIIVEAANEISSEKRKAREVHQKLEDANKKAAMLAAENHSLRKSVDAKDALIGELRVSEAAAAAKLADATSRLEAAKKQAGSLQYEVRMLQKELEVRGQEREYDLKSVDAARRQQAEHLRRIAQLEAECQRLRAMVRKRLPGPAAIAKMRDEVELPTPTASPRRPRPATPSSPRSAAPFSPRRSSVSNAEGYASKLRAVEEENRALKQELAKRERELHLVQMKYADEACKLTVVQRQIKELTEENKQLTDAACQSESWASALISELEQFRAAKQKGESIMASSEMNLLDDFAEIEKLEMASGEQKRNAPRASSPKKADTAPVTPEKNSNHNLVMNGTIPNGHSERVHDIWNLVVNKHEASGESIETILQEIQKAISNSNKREDSEVLYDWSEIEKTVRDLIEKITSMIGTLEGDNVARSGPLPHYKSELCGRLEHLVQVCHDVLHGKSKLEKFIDEVCLILKYIVGQYLTNQDLAETVDSDEKIFVEDKSPGTVDTECKHDIQIAEAAATLDVQKEAQEGPNQSAEDHIMSSHEEKLDEELTRVVLAQDDNIPPDRKSASFEIESPAAEPKIVAAADKLAECQETITILSKQLQALKIPRTSGPLDGSNCNPRPSSAKSDYKPQSLASILGEEFANTEGSRSPTTPKQEQQLKEDEDEDSATQMRSTDQEQNNADSTDKESIQVVVSPVLAAERQQQDKAPADPKRKKKRSQSLLGRIIFRKKVES >Dexi6A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:6A:2089504:2092555:-1 gene:Dexi6A01G0002220 transcript:Dexi6A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPGKSGDKEFAGAGSSLPSPTADARTTTDLTMSSRLVNPNSYASTVTNPGQNYGARTAGAGTGFSNGFTAPAAYEALPSFRDVPVSEKPGLFLRKLAMCCVVFDFTDPTKDVKEKEIKRQTLLELVDYITSATGKFPEPVVQEVIRMVSINLFRAPSPAPRENKALESFDLEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVAERALFLWNNDHIEGLIKQNSKVILPIIFPALERNTKGHWNQAVQSLSLNVRKIFMDHDPTLFEECRKKFEEAEAQEASVRSKREAIWKRLEEIALSKSTQGSSTSS >DexiUA01G0000040.1:cds pep primary_assembly:Fonio_CM05836:UA:347843:349063:-1 gene:DexiUA01G0000040 transcript:DexiUA01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSYISKMLCIKAKSEAPQAGDAGGCPVDECRVCLSKIRVSETTRRLPCRHVFHRDCVDRWLLSCKRTCPLCRVYVADENRHPVAKHTGRDALADDLVVWFSTVLPARPVIPIRPPTPPFRPCCVNARLEPCQTSDADSTTPARPHAAVDDPQEARLRLCGPYMATPLAINQRSVLADTVMDHDTHAALSAHDLDHTALQD >Dexi6B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:6B:8771906:8772876:-1 gene:Dexi6B01G0007530 transcript:Dexi6B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPLLLLASLAAMAAVASADSWLYEQFNTDGPVRTDYDASGQQLTSGDDDGHDEIDMEFMGNETGNPVVLNTNVWASGDGKKEHQFNLWFDPSADYHTYTIIWNPTNIIFKVDGNVIRCFKRYPDLPYPNSKPMTLHATLWDGSYWATEKGKIPIDWSGAPFVVSYKSYSADACVSGSACPAGSDRWMNKQPDDAEWGTVKWAESNYMSYDYCKDGWRFPQGLPAECSRS >Dexi7A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:7A:3499101:3500924:-1 gene:Dexi7A01G0001380 transcript:Dexi7A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPAITIGIASCQYTFEKGVAKAEFADNHCINYCHVKVKDLRVGVGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKVGSGQVIPAFEEAILGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPMTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNQ >Dexi1A01G0032530.1:cds pep primary_assembly:Fonio_CM05836:1A:37134447:37135879:1 gene:Dexi1A01G0032530 transcript:Dexi1A01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRHLSLHGCPNNSFRLLENRGPPGDLYVCLDIEEPSDIKRDGINLYSTVSISYVEAILGTVQKVRTVDGTSELRIPPGTQPGDVIVLARQGVPSLNKPSIRGDHLFTVKVTIPKRISGRERELLEELASLSDGGFARKAPKPKPTKSKPMHQEKEVGASQEYNDKPNEEEGDWLKKLADFAG >Dexi5A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:5A:1030878:1032502:1 gene:Dexi5A01G0001470 transcript:Dexi5A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFAAAVLLLFVALVSRLVFSKKKGGHGRRLPPSPPGLPLFGNLPLVGSLTHRKLQSMAAKHGPVMLLHLGRVPTVVASSAAAAQGIMKTHDVSFASRPRVRMAERLLSEHDMAFAPYGERWRHARRVSVIHLLNNRRVSSFRYIREQESAAMVAGIRRAIAGGGAAAVNLNAVLISFANRVISRAAFGEDGSNVLDGGEKLAKLFGDFEELLGMATVGEFVPGMAWVDTLMRLDAKAARTSAEMGSLLDRVVDVHQQRRRRGARPPEGDDHRDFVDVLLDLKEAEEKTSDGVPFDNSFIKAMVQVIFAAGTDTTYAALIWAMAELMNHPREMHKLQDEVRTVVGDGGHVTEDHLEKLRYLKHVIKETLRLHAPLPLMLPHETTEDTELLGYHVPARTRVIVNAWAIARDPATWEHADEFMPGRFAGEDHKTDYLFTQDFRFVPFGGGRRGCPGIGFAAPSMEMALASLVYHFDWELPAGAGSKLQMDEMDGLSVRLKETLHLVAKERTP >Dexi6B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:6B:16096478:16097167:-1 gene:Dexi6B01G0009940 transcript:Dexi6B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGRQLRRISRLRSPPEPNLRLPLHAPPPPPPGSAPPPPALPTPPSSSSYSSSSPSPQSQLQAPRRRPASGDATNRSRSVRPPPAGAPPEERLPDVFVPRPRGRCLGPSSAAAAEEAAQAAAAPVGPGARAPYPAAQAVPRAGGPRRVGRRGAPRRARRPRRAPRRQQLEPLRQRRHCPPLLTRTLSLSLLCVSGGGVLRDLDGGSGSVRVFLSAATARQKEASSS >Dexi2B01G0032480.1:cds pep primary_assembly:Fonio_CM05836:2B:40341060:40341738:1 gene:Dexi2B01G0032480 transcript:Dexi2B01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRLDGVGYGIREWTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERM >Dexi5A01G0035120.1:cds pep primary_assembly:Fonio_CM05836:5A:36963775:36964115:-1 gene:Dexi5A01G0035120 transcript:Dexi5A01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPPRFAVSAAAPWFVLLLAVAAMSFSVPVAEAAELVELTLLANAREKGAARPATISREASAPENTAGSSILR >DexiUA01G0018200.1:cds pep primary_assembly:Fonio_CM05836:UA:38802562:38802998:1 gene:DexiUA01G0018200 transcript:DexiUA01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGPAEVGSWRLSLCSLSVAQARAAAQQQARTAALWWSAATFELLVADLLRAVGATGASAGWGVGPAPHRPVEGLLYLRLV >DexiUA01G0018030.1:cds pep primary_assembly:Fonio_CM05836:UA:38548369:38548900:1 gene:DexiUA01G0018030 transcript:DexiUA01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFPIFYDSHWFLFIVALQDGYFIFLDCFYGELQDYQRKVRYIIIPNFINACRDFIGFEYDFEDFCIHYARIPRDHMAYYNRLNGGIYVMKYLELWDPLVDMERFFEPTDSVSIRVKYVKHLVFTSHNEMEDAKALLADHENYS >Dexi1A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:1A:1734798:1737325:1 gene:Dexi1A01G0002580 transcript:Dexi1A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLVAPPSRRSLLLSRPLLGILSNTFSASASPPPPARAPPPPPLPPLSPLLPRRAEEAVSVAAASSGIAASFRDWFLEAASGPVAAPLKALDAIYEALASDETPTLEALPLSEQLVLSVLRHRPRRLPDGDALLLLRLKFFDWSGRRPRYRHTRAIYHAVFRLLARARRCAVVVDWLRLFADTNVAAGHPRFHDTLVIGYAVAGDPQRGLSVLGRMRFRGLDLDAVSARILLNSLVDASLHDLADSFARNLAASPVSTCILIKSLCRRSRLDDAVALLDTLPFAEASRGPAAGSIVTEFCRRGRFAEAAQVVDKFSSCDVYGAWIHGLVEAGRLDTTLKFLSDKKEAEGYIPDGQRYDKLVYRLLRRNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAMHLYRSRMELGINPNKDVYNNLIRALCRGGETEEACLILEQAMEGGHFPGRQTFAMFANMLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEACEVPQIASSKSHVGLYHYESTYKSLIRALILIKRVDMLPRLILEMQDMGHIPTRSLYLSVVCALCELNRYAEVLELLDSQLQRSELQPRVCYNYFISGAGHAKRADMAREVYNRMEISGIEPSVESNILLLLSYLRSKRIGDALNFFNLIRGKKPPGSKLYNVFISGLCEAQKPEQAMVFWREARDNGVVPSISCYEHLVLLLCSVKDYDSVIKVVDDFRETGRPVSAFLCNVLLLHTLMGNTLLKALLRSRDKSKPLDVKGEEIEGQEAGRLLIGDLITSEDGFCL >Dexi6B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:6B:10541555:10545511:1 gene:Dexi6B01G0008390 transcript:Dexi6B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASWPGNRNPKEPAQSSPRPAQSHHRRTRTSPSRRCNPSASRPEASRPSPCGGRGRRGESAATLPPPLLGSPTPHHTTPSPLPASFPSATQIRSSAFTRTTQSIHPPLHRKGSDFDQIPAAAASSLWRGSGPTTTEARVDDGGEEDEEPMPAAGARRSTRVFMPKAPKPLQTQDQADPATRVLRSGKRLAADRIRWDAKDAPAAIHVDIDRDPQRQKEDSPKPLLPPLTKSFGIVYTRKRRRQRQPAAEAVPEDGDGGRRFGIVYIRRKSKRLKVAPLRLPQQQELDASSDLAAAIPCSTSQQFASRTGFLDAHFSALVDGAAAHSGALTLVVLVDTSCAGSSQRFLGLLLPVLRWLHRSQQRGKVQNLATFVLSAGVAAAFASRGVHFVKLQRRRAFALLHRPLVQCGWCSLHGALKSEPLVSVIFSALPSYFWSLHSAVALDSMYLPAVIRHSNPMARGAEEIYTHTPLYVDSGSQSTWIANATADIGSDEPCRVVMDYVPLEQVAGLVVHGLRLKKHQRKRRSTRNPRNRRRLTSRLPDNGIGMKSSTAAIQTEVKLPSSKQEPPMEPVQPKAALEISLDLLENLDESDVSTPMGSARRKRSSLKSPLERMNERLALAEVRQNIDSVHSKANLLIIQADRCWREEGAEVMLELSETNKWCIVVKIQGATRYSLKPSDWRSHVINRHTQAYIWAVDDAWKLEFTDKWDWLIFRELLVVGRERNLQGKTIPIPGVHEVSDDMEGIVADPFSRPVLDYIRVVDDEIARALSRDSIYDMDSEDERWLIQLNHAYSNQNSSQQKHISYEDFEMIISIFEKDAYNNPQGTSDLSELLSRYPGLGKDDNVHDLYEYWTNKRSKRVAPLIRVFQAVPLRRGHLSQKSAMKRKRSFKRQRSQAGRGKPEALLQDHAEEEAALQRVAQAERAAKQAVETAIRLRNRAQSLMANAELATYKSVMALRIAEAARISDTSRDIVCAILD >Dexi4A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:4A:22756104:22758401:-1 gene:Dexi4A01G0018870 transcript:Dexi4A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYTDHALAMDPAAAAAAAAGVGAAVNPSFVPGGGVGGAGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAAAAGGAQSGGEELDLFMGHLLVKALGLLCLMMKTTR >Dexi5A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:5A:20603910:20604719:1 gene:Dexi5A01G0017400 transcript:Dexi5A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGEDGKLMVLGAWPSPFVNRVRMALHLKGLEYENVEEDLANKSDLLLASNPVHKKVPVLLHGGRPVSESLIILDYLDDAFPGSGQAVLPADPYECAVARFWAAYVDSKLHAAMLGALIGPTEEERAAATAETLAALDTLEGGLAERSGGKGFFAWDAPGYLDVVLGGFIGWLRAWDKITGLTLLDAGRIPQLVAWAQRVAALDAAKGVIPEPEHIAEFAKVLQARAAAAAAASN >Dexi5A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:5A:6481497:6491341:1 gene:Dexi5A01G0008680 transcript:Dexi5A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVRVTSHSDKVAALSSHYRGLLGEAGQSHIQQLLRETRLSGLPDTWNSPFATTDGRLRTSLLYKLLNTKDQAAEGKHGAVWGCCAPPRVKFFGWLLIQGRLQCRSQLLKRKVVDDSTCEVCQDHEETVEHIMFDCPFARSFWTTLGITPPSRAANLMEHKPPTHVPASQFGAFMLLGCWVLWKRRNAVVFRQEAQTLVEALRQAREEARLWSYRMCREEAGLGDLWCNVFSSAM >DexiUA01G0023760.1:cds pep primary_assembly:Fonio_CM05836:UA:48556412:48566029:-1 gene:DexiUA01G0023760 transcript:DexiUA01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPCGCSLVRLLQQALVAAVLVVIILYRHGHGFSKLSSRSSASRDVDVFFGSPPAATNARPAASGGDAASATCATVERMGEEAAGQGTPEAASLRVRELIRRHFELHGKQPSILQQSKNRASSSPASKHPNVQDPKNVQLITRIPLLRQLGQHGSSSGGACRGARAARVRALPPHEFCKQGFVLGRASEAGFGNEMFNGTNDSVGTQFFLKNIHPGMKASASALFGLPDSSDARPNTFGELMRAIVSPSRTVQEAVNWALKGVNPDIALHMRMMSSRPVEARQAAATCIKRAMQICRIQGTPRVALVSDTPSFVQEIKSDISEFAEVIYFDYELFANGSDLMFRNDMPLNFRLTDWGPAPRWAAIVDFFLASRARCAVITGAHPRVGTTYAQLIAAVAAANTY >Dexi6B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:6B:1802189:1803869:1 gene:Dexi6B01G0002070 transcript:Dexi6B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFENWVESNLIVKARHDLVSSNISCSVDQMIFSEVVQLSPLKNLTALKSIYEANEYVYVAAKVAELKYFLTVFMVKIALDNASIPREDKAAYELCVKDYREVYSAMDRTSDRLERVRKRLPECCGLDLGNEYKAALRSVEACRDCLAKLKLPDSELLGMAESNYDETFVAYLIGKLMGIK >Dexi2B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:2B:30191304:30194236:1 gene:Dexi2B01G0020060 transcript:Dexi2B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDGSRRRREAGAPVVALECVAGSSKAEEWGGAEGVVQEGDVVEAVRVGRGSGGGGGGAAAALELEAPFKGGRAGLHKALHAAFKRGDTSVEVRVRGGRELQACIVPHHGSPGGGGGGGRKQYVLRSLHDPNYMLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWDKKIRDTMRMPNSSCYLSILILPKALDSNACHYESFDDTLARADAWLSSSQASGIPIDFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSCIGGELLLEIPLEEGDTRLGFAISRTEEGFIYISSVVDDDKECNAPSSRSGLRYLFNQAKQASKLLVISRVSNEKVLPWMVSSSGAVRCFDTVSLSQKLSLHRLAVRPIQLHLLAWEKPTGTMERIIRSPKLPPPSLLLPQPHQNLMVESVEPIVDTEQDYVGDLSFRLDDLSFESSWV >Dexi2A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:2A:10977570:10981518:-1 gene:Dexi2A01G0009950 transcript:Dexi2A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFPLPRPLLIFFTAAALLVVTAHAGSISIYWGQNEGEGSLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCANQSPDIKSCQSRGVKVMLSIGGGAGSYYLNSSDDARNVATYLWDNFLGGQSSSRPLGDACPFPDAWIGGALSTGLFDYVWVQFYNNPPCQYSAGSSTNLANAWKQWLTIPAKRIFLGLPASPEAAGSGFIPADDLKSQVLPLIKGSGKYGGIMLWSNQCSLQSHNTQQNNHQSGTTITGSNSSLHMATRSSLVQLLLIAVAVAQIVGSQASGIAIYWGQNGGEGTLAQTCATGNYKFVNLAFLAVFGNGQTPELNLAGHCDPTSGGCTSLSADIKSCQSSGVKVILSIGGGAGSYYLSSAADAKDVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYSSGSTTDLADAWKQWLSIPAKQIFLGLPASPDAAGSGFIPSDDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >Dexi1A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:1A:510640:513469:-1 gene:Dexi1A01G0000800 transcript:Dexi1A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLASNDNSPPAAAPPARRLSSPLPRRAPPSPSPSTSSRAKPRKPAAAAAEPDDSLDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTPPPAPAEGEVQAADDQQPEQQPEADQRGEEWSLAAFSGWMQLGDTHAMLGRMDESIACYSKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPTVASVYVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEIAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLENLFVTNSARAKKEAGRRWSNFGFRS >Dexi4B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:4B:21290962:21292122:-1 gene:Dexi4B01G0019020 transcript:Dexi4B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSMSKQQVLDAGEGAGEEVMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTDAPAKPLLLAPDAAAAAAAVSLSFSLPFLFAFAFDPASRRLQCQALDPFSRRWLLLPPVPIAAAAGSFAVVGLPRRGEIYVIGGVEEGDDSKAVRSVAVYSAARNGWEEAAAMRTPRGYMAAGEVGGRVVVAGEDGEAEVFDPEAGAWLPAAPRRGAAVARYDAAAAGGKLYVTEGWAWPFERAPRGAVYDAAADSWREMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEARDEWRMVDGGGVPPEVRRPHVVAGEVGEVAGGRRRIYVVGAGLDVAVGTVSAAAAAPGAEEEEVVEWEVVKGPTEFAGLAPCNAQVLYA >Dexi2A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:2A:9135960:9138492:-1 gene:Dexi2A01G0009150 transcript:Dexi2A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPHAAAAWEEEAEWLDVDDSDIRLDAPAPSTISRPCATAPSHHLHVPEPASAVQDAMRRRLAASPPTSRGRAEAKAPDPDFLLPTWLCALRFLGKDRGWEQPGIKVIKREEELCRAPLVAGVVTSCKPNGLGGLLVTLKDPSAAIAGSVHKKVLLEGNNAQDISVGCVIVLRKVHVFRPTHKACYLNITKVTKVLRKDCDSPSKPVISSNATERSEGSIDTIMMRLLGHERMIPHNNDMRVTEVSLQHQGISGLSNSTSTQVTLDCRTDGRALRNLNIPNIACAQSSLSERTVMFGDRCSAQASNNENLRRLFDSEKMLQISKKLKSDAALAGDNGETASSRIDTEDSYVLQRNMGTELGMAAQLNGQLSSIREPMEHQQSDFIAVNAGSAQPTKEHATTINGSLLNPKKALSVGSAEWTDEQLCQLLL >Dexi8A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:8A:8614270:8614700:-1 gene:Dexi8A01G0007570 transcript:Dexi8A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEYTSPNPTAALIVPGRTPLPGAIGTTSSRRFGDIAVMNNALTEGPRRGSPRVGREYAGSSVVVNSRVDTDLAVCESVIVGGTGQFRFARGYALSRSYDYDLAKGGVVEVDVYLY >Dexi7A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:7A:12936427:12940063:-1 gene:Dexi7A01G0003460 transcript:Dexi7A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPFLPSHPPPPPPPPPPPPPDLSSALARLRSLLSAASSALAALPSPLQPHPTCPRTINPSPQQPTPPLPPSSTAVTLTLPARPDPYSDCPAVVRTTPTSIPAVSTLPAYIAAECADFSSSSTGRSTSPPPRILPSELSLLRREVDSWGAGGHHLPGSYSLAVTRVAAAFRLGVTVRWEAELRRWVLGSSPRYGVKVDVAEADHIWVLLWLCLKVIAAEARCSLEGMHSGDGKEGSGFDPSAMRFECPRLVEGVSWLGAQLGVLYGESNGRLFALAAVKEAVLQMANCLAVGVGDGVAGGGDGEIGAGGGAGEKGSNARDMAGPVFLSQVATAIMALHEKFSFEKTKSLQAQRLPKYQLLLEYSQALERGNLERSNRPNYRAILEYDGILSRRVENQESARSKTREELLAEERDYKRRRASYRGKKVNRNPTEVRTLSFLCDIRLNIGNTTTFHYKYESYGFLTLMKVLRDIIDDHMEEIRQAGGIGCLVETPADIAQNVLKSNSHGSTDQGTYGFSSSSSHDKAALGSQSPGYDNSPHADSSRRFSSRSHGTRDSYKTSRYISENENRWSVGSKREIDQSYPYDQENHIGQKSSNDSRTNGYKYKKGVSDQRPESSDCTVWSARSQRSSVTEYDYISGEGYSDKSRASQKRNRSLSATQDQFSDRYDPQSAYSDGDPSTRVLDAEDRKREIYHDEVHRRRHHERKRDYHH >Dexi1A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:1A:1412803:1413024:1 gene:Dexi1A01G0002220 transcript:Dexi1A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGGGDHRQKTSWPEVVGMGFLWAAMKIRIDRPDVDVEVHKVGDSVEPGYNNKRVRMFIYSGVVAQTPVIG >Dexi9A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:9A:3099252:3100268:1 gene:Dexi9A01G0005580 transcript:Dexi9A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRFLYLLIDDHHGAHTLRKIDTAPFFAAGVCAAHQGSVSPAAMRPTPLPPPAARFESSLGNAITEFLPLGRGVEKVVGIKEQRDTIICDTRTATVRAGPALHRDKMRMPSWVEFTGKLYLLGCPGMVGPPWFDLEALTYDPRREEDGFWDPLPSPPTDDWDARILSFADAGDEDGSGAAAMRVSTRLGGTYAFDAARRCWRWEGEWVLPFYGRAQFVADYGLWFGFSDSDRGGFGMRAADLGDGATPEERHLWPDVDGLAAHADDWFPGPNCISYLGCGRFCVTRFLTSTRDFQHVALATLAAGSKDLHMVRKASTCYHFSQPASLGWTF >Dexi9A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:9A:10092928:10094025:1 gene:Dexi9A01G0015180 transcript:Dexi9A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTPYTSPFVLSLLLLLSIPVVFFLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSATPTPPTSAASYFFRRRPSPKIAFLFLTNSDLVFSPLWEKFFRGHKNLFNLYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPNNQFFALLSQSCIPLHPFPTLYNALLSETAGPHSRHRSFIEIMDNMDNDTTLLHDRYYARGDEVMLPEVPYNQFRAGSQFFVLTRRHAIMVVRDMRLWKKFKQPCLIERRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDSVAGHPHMYGPREVSSSLIRELRKSNNSHSYMFARKFSPECLKPLMEIADSVILRD >Dexi9B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:9B:4963253:4970108:1 gene:Dexi9B01G0008070 transcript:Dexi9B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRPGSAAATSWCGGGCAYGGVRGGAASEHALYVSGSALEGGCLARADPARPGRVGGWPCLLKTLERYQRYIYSSADAAVPSSDEMQNNYQEYVKLKTRVEVLQHSQRNLLGEDLAPLSTSELDKLEIQVDKTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEVEAEAPPPPQLPWQGGSSDAMLSDGPTQPEHFFQALESNPSLHPTFHTMDMNQQPVPTPGGCYPPAWMA >Dexi2A01G0030790.1:cds pep primary_assembly:Fonio_CM05836:2A:41603046:41604687:1 gene:Dexi2A01G0030790 transcript:Dexi2A01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIVVLFYSNPRRCPREVASVCAGGQSQSLGGKGCRCGLRRKEEVESSAPAIAGRPVEAHREGKGKGMVSTEWIWITEGKTRKARSTDSWSGSGEGEGRTALWGYADDDAVAGVRVPPGTELRVQTPVTLWMRVEWSATGRGEWVTTMEVYQCPRVAKWDGMDDVDARRLRLLVQSEVLLGLWNGTRVAEVREEEKQWQVEQEGK >Dexi3B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:3B:5921351:5923596:-1 gene:Dexi3B01G0008420 transcript:Dexi3B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGIRSLGFGGLAAEEDWADLEPFFFDEAVAVAEHAAAEERRRQKEDKEARKKAEYDRRLQAHQDALDRITTTVPRTAAPTSPASTLKISPTPFVPMRYTDTYTETSTNQYGLIYRHSGRNWYAPATSANILSVKIASSDVGFPIKVYGTVIARDSLDYKCVSLFNRDEHHCQLIDSEVRISNSTKMQLSLLFWAVFGWPPLQLLQPLLVVRQNTVPAAAASGQCGQPNRPLNSSKIMDDELILTGPKRGLVLVDAIYVEIDLKIKGDCGQQDRELSKGYIMVDGIRRKPCEKMVVERDSLDSKLSTVEVMFAVVKRSTEATITIDVVQGEFEGKITAHTTSIQNSLVLYDSRVAVSAVVGTSGDETQHTVEFNPAVNGGDEAEIACGSFKMVVKD >Dexi5B01G0040010.1:cds pep primary_assembly:Fonio_CM05836:5B:38660597:38661172:1 gene:Dexi5B01G0040010 transcript:Dexi5B01G0040010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNWRAGGTLLRNASATRLAKLFSSSSARTSSSKLFVGGLSYDTNETALKDAFSRYGHVTAVKVICHPTTGRSKGFGFVVFSSQDDAAAAVHKMNGEASAPISSVLDGRNIRVHYSNC >Dexi9B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:9B:12999282:13000952:1 gene:Dexi9B01G0018280 transcript:Dexi9B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSPTHTLPPRGSKMERLKSAVPAELRRAVGEGTAADLAATTSRLLAFFDSLPLFHQVMRELTDPELALCRKDKGRAVELKGQGNACFSRREFGEALRFYSQALRHAPINSDGIDVNLVSAIYVNRASTMHKLGLFKESLRDCDRAIAVSHNYSKACCFFFI >Dexi9B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:9B:4880958:4885369:1 gene:Dexi9B01G0007910 transcript:Dexi9B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKAGNRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERFEEPKLYIFVRIYLLTARKRKLGLLEDEDITKLASAASTQGIEFAEKDATKEDTPEIPKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMERMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREELPTVAFKCNTQEQRTKLGWKSSKLDKGSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKPSNSGVSVALRNCKRVEKMEDPISPVKEILSICPHEKLLSLYKVPAFSSVDEFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPRRDAGEDSDAVIISEGGKEFNVDEIYKAESSYISGLKSLEDFHHIEIPPNAPPGIDEEMLEDDKKPKPIEESREESMSDVNDREGSKTTSASTQHDKLYTAEGILDPRKKKAEKKRRKANKFSALADMDADYDFKVDYQMQDAAADGEDDESNEAPADDKDGRDEAKDNDPMTGVDDA >Dexi8A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:8A:4924985:4926191:-1 gene:Dexi8A01G0005380 transcript:Dexi8A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTKGKLSGILHKGFKPDKCKKSLRITVSRIKLVRNRKEVQVRQMRREVARLLETNQDITARIIVEDVIREEKFMQAYELIELYCELIVARLPIIDAQK >DexiUA01G0022790.1:cds pep primary_assembly:Fonio_CM05836:UA:46496144:46504353:1 gene:DexiUA01G0022790 transcript:DexiUA01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTTRLTPGGFGANRGSTKQQPPPAPPLPNWQQMMAMHAEVMRTMQQQIQLQQQQLAQNMNINAQRQGGRNAPPPQVARFEDFLGTQPPVFSKATEPMEADAWIRTIDSKFAILARSLLPHSSFAVPRWFGGRLIVACSPADTVVTWQNFKDAFKAHHIPKGLVERKLREFLTLTQGTHTVYQYAQAFNNLCQYAGHHADNDDKKKERFRMGLSTKLQERLLNIKPATYADLVNVAIAQEDAIMTHRADKKRKAPVVSASGGKPQRFRIVPPQGQQHAGQSGRWVVNPPQQSGSRFPPQQPQQQKAPMKQQPAQPGAGTSHFIRDCPQGKQQNSGTQPNKGKLQKIHVKQGRVNFTTLTDLPEGAPLEDIPIVCEFPDVFPDDLPGMPPDRDIEFVIELQPGTTPISKRPYRMPPAELAEMKIQLQDLLDKGFIRPSTSPWGCPAIFVEKKDHTLRMCIDYRPLNAVTIKNKYPLPRIDVLFDQLAGAKIFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMPELDKFVVVFIDDILVYSKNEEEHAEHLRIVLQRLRDHKLYAKFSKCEFWLDSVKFLGHTVSKDGISVDPTKVQQVMDWKPPTSVHEIRSFLGLAGYYRRFIPDFSRVAKPMTELLKKGQKFVWDEKCEEAFRTLRKLLTSAPVLTQPDSTKPYDVYCDASGTGLGCVLVQENRVIAYASRALRPHELNYPTQDLELAAIVSHGYLNNISLESTLHDEIFLAQQTDKKVGVIREELAKGTEERYNCFRQDARGMIWFGNRIVCDTCRRVKASHLKVAGTLQPLSIPSWKWEDISMDVIVGLPKTARGHDSIWVIVDWLTKTAHFIPVNTTYAVKKYAEIYLNQIFVARFWEQLHASLGTKLIRSSAYHPQTDGQTERPGEREIYGPDLVIEAEEQVRVIRENLKAAQSRQKSYANRRRMPLKFAVGDHVYLKVSPTRGVQRFGVKGKLAPRYVGPYEIIEKCGSVAYRLRLPDKLSAVHNVFHISQLKKCIRVPTEVVEQEDVEVEPDLTYVAQPLKVLDQKERHTRRRAVKMHKIQWVHHTEEEATWETEEYLNRHYPGFLTTPPELLSSQIQPFTTNLATAFVPLDDPRAGMSVTIEPSQYSSIPLVSVTLEVPQPSSKGAFTLERRFRHPRDVFSISGRGTVVTGRVERGIIKVGEEVEIVGIKETAKSTCTGVEMFRKLLDEGRAGENVGVLLRGIKREEIERGQVLAKPGSIKPHTKFESEVYILSKDEGGRHTPFFKGYRPQFYFRTTDVTGTIELPEGVEMVMPGDNIKMVVTLIHPIAMDDGLRFAIREGGRTVGAGVCEYRSSRERARPGSDEMGSPIVGNYLYRDMMLPLRALAVVILIAAAGGVALLTTKGKATVAFAREARTEVRKVIWPTRQETLHTTLIVAAAFSGFEGRVATSLREHIKLHNMEELFGEVMVPTEEVVEIRGGQRRKSERKFFPGYVLVQMVMNDASWHLVRSVPRVMGFIGGTSDRPAPISDKEVDAIMNRLQQVGDKPRPKTLFEPGEMVRVNDGPFADFNGVVEEVDYEKSRLKVSVSIFGRATPVELDFAQVAAGMANPSPPVGPALGQQGVNIMEFCKAFNAKTESMEKGLPIPVVITVYADRSFTFVTKTPPAAVLLKKAAGIKSGSGKPNKDKVGKISRAQLQEIAQTKAADMTGADIEAMTRSIEGTARSMGLVRMSVIRDKVDATKQYDINEAIALLKELATAKFVESVDVAVNLGIDAPVFAQGANAEAAKAAGAELVGMEDLADQIKKGEMNFDVVIASPDAMRVVGQLGQVLGPRGLMPNPKVGTVTPNVAEAVKNAKAGQVRYRNDKNGIIHTTIGKVDFDADKLKENLEALLVALKKAKPTQAKGVYIKKVLQLTRLA >Dexi6A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:6A:23983613:23985440:-1 gene:Dexi6A01G0016120 transcript:Dexi6A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLARLLLPALPACQCQPPPRLRFPERRVSVAAPATATVCSRGHRAAFAARAAASAPAAAPAPAAPEAEPVEQVEPKTRLVAQNIPWDCTADDMRALFEKHGSVVGVELSMYNASKNRGLAFVTMGSEEEASAALSNLNSITLNDRKIKVDFARPRKKQPKQVKQPVVVSDSTEKYTLFVGNLTWRVRNRHLRELFASMPGVLAAEVIFHTTTPRRSAGYAFVSFSSKDAAEAAISTLNGQKLMGRSINVMFKEENAKNNQSSVPKEEEAEVESSE >Dexi6A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:6A:20178544:20179713:1 gene:Dexi6A01G0013140 transcript:Dexi6A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSLFKSNPEAAAEPPPEGRNTSYLVAKSTRDKEDDEKTWLGPTGRVMGLPFPQNRVLRVETGEESAAVVFVPVPDQPLASNRYYIIVASGKDKGLLMACSREEDVTMCCLHRCIPVVEPRPFDPVDVYQQIEIVQHERGSFTARAVAADGFPPSILRYKYWTVYDRVEDRYYESKKIVLGEARGLDATLRSRRLADGVPGAATEAVGKWYCPFFHIKEHGVTRRDQMGRRREMEPVRGDAVRHDGDSSKLASKKVLIGGSVEARLEPGSSWHGGAYMWFTAATTGQRVGVCTMVWERMVWEETKAGWVDEEKDAGSVADGWVVLVERFVVKRMDGSVVLAFDFVHRQYNES >DexiUA01G0027920.1:cds pep primary_assembly:Fonio_CM05836:UA:59697007:59701623:1 gene:DexiUA01G0027920 transcript:DexiUA01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTPSPFVASTRRFAPRLRSLLPAAAMSSAAAAAASAPNPSSGAGEEVQAPPLPHSTLEIAGARQGILSGFSSLRAPYHAFPVFASNRHVETIFAAFTRSLPAVKLRRECLRAPDDGAVALDWVSGDDRALPRDAPVLILLFYSASFTGDLRQVIDHVLGRYPLSNVYAVGWSLGANILVRYLGEESDKCPLSGAVSLCNPFNLVIADEDFHKGFNNIYDKALARALRNIFKKHSLLFEDMEGEYDIPKAANAKTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQADNDPIAPSRGIPREDIKANSNCLLIVTPKGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLQNEKSSTAKNNISNEHQGVSEESAPHIAVHVQR >Dexi8B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:8B:5338083:5340036:-1 gene:Dexi8B01G0005310 transcript:Dexi8B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPRTPAPLTGNSGEWSSPTPQVPNGFEEGDASRGDGLEFANDLSQAALVPSPGTGGDDAAAAAAEDPSKRPDGKKAEKKKLRQRLTIEALDYLVEKMKQTDDVKEIKKEQRCDRLIDLQEEKIKLEREKFEFQRDMEDERILSLDLSNMTYRLQQYYERRQDEILTRRGC >Dexi3B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:3B:12823364:12824468:-1 gene:Dexi3B01G0017450 transcript:Dexi3B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSSVKRGPWSPEEDEQLRSYVQRHGIGGNWIALPQKAELPPAHGGYTEQEDQIIWSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAAVAATGSVAALAAPATPLALSPAASASSSVTSSSSGDVRFAAYPSQQQHHQQGLMRFDAPQRTTKQTELAPVTELTQLDVTGGGAWASSAAASGAAVAELEDDVFLPGLVGGGEQLFPYGDFFGGMMQDRALELSACYFLNMAEMWGAAASDAKPQGLCNTLT >DexiUA01G0008030.1:cds pep primary_assembly:Fonio_CM05836:UA:15088589:15089758:1 gene:DexiUA01G0008030 transcript:DexiUA01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSDSPQECEWRDWASLGAGPAVLIAERALANDYVDFLRFRATCRSWRECAGAAPRPHDAMDPRFHAQRWIMLPPHHHTSGEDDRDRRRRWFLNVVTGGRIRLRLKSLRNCYVFGRTAEGLLVLCRKDTYIVQVLNPLTRQVAELPDASTLLGVSLSRSDWCRQYLGNVLSILKLHGAGLVDDDSTTVMLYLGGFSLAIAKPGDERWTHVSLHEKIFAALPFEGRIYCVTRKNISVVETEADLQPVLAVAVDDELDSGEYLRDRTTCLVNNDGELVLAYRAWTTDVPNAFGSYRVKFGASSKLVPMAKMINGQALFTGTRRSLLVSTGVSRSIVADTMYVCCKDDESTAQSKVLCVDLRGRCVETNFGNEDPAACLSSYVCASQD >Dexi6A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:6A:25030620:25031484:1 gene:Dexi6A01G0017150 transcript:Dexi6A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARRGRRWKCRPRDVVLALLLASVLAPLALYSGAPISPFSGPIRTIARSEMSKRLNALSQDRSGVVVKEPVQEGVVVAVRHGTQMGQDGIVRQYVDQRSVSDRSSGSKARKDNILWNGEEMKEMESEDPVKRGHSADAQLGKQSGRGGDVEARHHIAAAMRSNPNTSLKKVKESELLID >Dexi5B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:5B:2278399:2279644:1 gene:Dexi5B01G0003430 transcript:Dexi5B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGHSSRVVLENVSGGGVRLFGVQLQVGSSSPMKKCFSMECLSSAAPAYYAVALAANSSSPSVSSSSSLVSVEETAEKVTNGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVFQVENAETSTSASERSRLKDAASSVMAVPDTELPALSLGISRPAKPEQILPPSLSSLLPRCSSAMTGGTSPSMIAAPKRPSFLAAAAAAPKPTQASLQQAPDLELKISTADHKTASSPRTPFFGTIRVT >Dexi2B01G0022820.1:cds pep primary_assembly:Fonio_CM05836:2B:32450257:32450576:-1 gene:Dexi2B01G0022820 transcript:Dexi2B01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMDEAVKVVDLEDGEGEEEAAAAAAEGPSKETRMLPRMPVRVLLAEGDDSTRHVISALLRKCGYRANK >Dexi1B01G0021430.1:cds pep primary_assembly:Fonio_CM05836:1B:27324392:27325563:1 gene:Dexi1B01G0021430 transcript:Dexi1B01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQAYLDDMCLQHSLDKVSRALAGTAVSVSLHPVDTVKTIIQANSSGQSSFYHTLRRTLVERGVLGLYGGLASKLACSAPISAIYTLTYEIVKGALLPALPEFHVIRNALVGCLKRGGVASLYAGWGAVLCRNIPHSIVKFYAYESLKQSILKSAPDNARLSSGETVSF >Dexi3A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:3A:16781046:16790966:-1 gene:Dexi3A01G0021140 transcript:Dexi3A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAPSQCPAASFKPRLSSPRSRLGASCKPLAATAASSARRSVSACAASRRGFLLLIPSLAAASTVLRTLPSAATESDDAGTSSTPPPPTDELPSPQPTAEAKEDVEAQPEPDESAMSRVYDATVLGEPEALAGDARGRVWEKLAAARVVYLGEAESKPDPDDRVLELEIVRGLAGRCTDAGRGLALALEAIDGRILKLYTSHWPQKLREQYEPLLNYCRDTGIKLIACGTPLEDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQAGYRHVDTAAQYGVEKEVGKGLKAAMEAGINRKDLFVTSKLWCTDLVPEKVRPALQNTLKDLQLDYLDLYLIHWPFRLKDGAHMPPEAGEVLEFDMEGVWREMESLVKDGLVKDIGVCNYTVTKLNRLMRSANVPPAVCQMEMHPGWKNDKIFEACKKHGIHVTAYSPLGSSEKNLAHDPAVEKVANKLNKTPGQVLIKWALQRGTSVIPKSSKDERIKENIQVFGWEIPDEDFKVLCRIKDEKRVLTGEELFVNKTHGPYKSASELWDHED >Dexi5A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:5A:1681279:1687195:1 gene:Dexi5A01G0002370 transcript:Dexi5A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYVLFLLLVITSLVPVSIAAPPHKLRLSASEVAALEAAAPPRPDQPSTFFEVDRPHRPPPGSFGPCSTLLLSHSFAYTYTKPPVTAAYSAPPCLAAAGVHAASAISLAVLEWRATCRGVQFDRIFGVWLAGAELLRGCTAEPRRSGVEWTVTKDVTKYASLFASRNSTLVVNLGNVVDDTYTGVYHANVTLHLYFHHPPPPPPPQPGLGPADVIVPISLSLPLDDGLWFQIKNRFDMGSASVAVPRNTFRAVLEVYLSYHSDDEFWYTSTPREHGPFREVTVLIDGDLVGAVWPFPVIYTGGINPLLWQPIAGIGTFSLPSYDVEVTPFLGKLLDGEEHEFAFQVTNAQDVWFVDANLHLWLDPRCAATTAAVVSYDAPALDTTIAFRPYGPGDGLYYTTAFRHVSASGWVHTASYGRITATWTQRLGYENTNRIQEDDSLQAVNQTTDAYSGAHVADRAGVLYSQEAQQSFGLGMFVGVVNQTSNDTYTIARSVRLGLGEDRVAAGRSGFWARSLRNVEDCEMDVDVEEGDAVGVSWGTRQTYRYEATDACYFRNVTSLGYAVVSDHSDEACVKGSIAAGVVEELAAAANEEGSIIASTLLPTMAVSCIHLVFLLCLVPATVAASPRTHRLRKSPAEIAAASPPPSDPSRPTTFFEVDRPLRPPPGSSGPCSTLLLSASFAFTFTKPPATAAYSPPACLAAAGGGASAISLAVLEWRATCQGVQYDRIFGVWLGDAELFRGSTAEPTDNGIVWSVSKDVTKYASLLAAGNSTLAVFVENLVNGGLTGVYYANVTLHLYFHGGGSPATRPPPAVGPADLIVPMSRGLPLNDGLWYKIQNASDVQSTSATLPSNTYRAVLEVFVSFHGDDEFWYTHTPADGDANGPFREVTVRVDGDLAGAAWPFPVIFTGGINPLLWRPITGIGSFNLPTYDVELTPLLGKLLDGKLHAFGLAVTNAMDVWYVDANLHLWLDSSSTTTTAGLVSYVAPELATNTTSSRTTASRTISATGWVSSSYGNITTNATQAFAFENDNAGGETINQTTVANAGVSATDLAAGVLYYSVQTTQSFPLRFDSEANGVTVTHGVEETTVTAGRWSSVGPRYRSLRTTQRSVVEGGGSSWGIGQTYRYEASDGCYFRNVTSSGYNIVSDQSSEVCEKRVPAGDGR >Dexi6A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:6A:4355890:4357061:-1 gene:Dexi6A01G0004800 transcript:Dexi6A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARRPRVSSRAPSSSSAAAAAARGGRGWPSSLRRDPTKRPRRADDDGTPLTDELLLTIFAGVPDIKDLVRCAFTCRRWRRLVSSEAAYICRTPRQPPGRFVGPLALGFFHRQEGAAAARGSQLVYWFAKNVVFVLCLETLGSAVWFCEKSGFVFFSVASGDKDHRSYEMYALSLKTRVVEKMGP >Dexi3A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:3A:9517449:9517832:-1 gene:Dexi3A01G0013100 transcript:Dexi3A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTASSSCSVSFPARPAMGGAPIRPRGAGVVRAAAGAAQSGNGSGKWWAPLVGWSGKADYLEAPAPVAVAAQDGVAAAAVARRQQQFVGGLTEEKARELRARMAQTESFHDAMYHSAIASRLARSN >Dexi4A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:4A:7215772:7217959:-1 gene:Dexi4A01G0009150 transcript:Dexi4A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTTSRLAPICRAQDVSNKQAATQKRPPPSAKPTPPDATAAARLDRRRLLQSAGLGLGFGLATASRARAETAAAPPAPAPEEVTSNRMSYSRFLEYLDAGAVRKVDFFDNGTVAVVELDDPALGASRIHRVRVQLPGTLPAELVRKLRDRGVDFATHPVEPNPGLVFLDLLLNFGFPLLFVASLIWRSVTMNNPGAGGPNLPFGLGKSKAKFQMEPNTGITFNDVAGVDEAKQDFMEIVQFLKSPEKFTAVGAKIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLYNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFDGDSGVIVIGATNRPEILDDALLRPGRFDRQVSVALPDVRGREEILRVHASNKKLDPDVSLNVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVKEIDDSIDRIVAGLEGTSMTDGKSKLLVAYHEIGHAICATLTPGHDEVQKVTLIPRGQARGLTWFLPGEDPTLVSRQQVFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALVEPAAQSGDVVMRMLARNSMSEKLAADIDAAVKRIVDRAYEVAKEHVRKNRAAIDQLVDVLMEKETLSGDEFRAILSEYVDIGKEQRDTAARTELVTA >Dexi6B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:6B:5832891:5834053:-1 gene:Dexi6B01G0006200 transcript:Dexi6B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFLSSASHGGLTAARVWAAQPSDIVLDVFLRLGPHEVMLGAERVCKPWRHVALDVKQQMLRVAVDRAKGQCEAFQADCYDSDLLLLVISLSIHHYGGYGERLVKVLKKLTLLEDVEVYFNNRIDWDKSMLQSICKACRHLKKLVLKHASAFDLERDEDEFVKERVDGPIPVMRNLHTLKLYDCDLSCKRLNAILDGCPRLETLLIDGYFDKGKMDKELKLKCARVKNLTLDTTKKPPLRWL >Dexi4A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:4A:1181885:1183650:-1 gene:Dexi4A01G0001800 transcript:Dexi4A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHAFLFLIHLEITFSAATASNSSLLPGAALEQHLHHAKPQLELKLRLAAPISPLCCQIDALDDHNHHLQHHSVLSARIANLGSDTMLNWFLDIGSEAKLKRKIEIIDNFVMQLIHQKREQIKNGRHSKAREDILTRFILASEEDPETMNNHYLRDIVLNFLIAGKDTTASTLSWFFYMLCKNQIVQDKVALEINESLEGAEEDNNIENFTARLNEGAIENMHYLHAAITETLRLYPAVPVDGKIADEDDILPNGHKVIKGDGVNYMIYAMGRMTYLWGVDAEEFRPERWLVNGVFQQENPYKFVAFNVSITGDLTST >Dexi1B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:1B:7966783:7968652:-1 gene:Dexi1B01G0009050 transcript:Dexi1B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRLPELALRVCVIPLAVASLWEMATNRQADETYGEVSFSDLSGFTYLVGINAVTAAYAVASILLPSFKSFARYDWLILVLDQASAYLLVTSASAAAELLQLARRGDRDVSWGEVCSYYGRFCGRATASLALHAAALACFVVLSLVSGYRVFSRCHLPPHGDDGCSDEPPKHAHEQGRK >Dexi5A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:5A:2102717:2103340:-1 gene:Dexi5A01G0002930 transcript:Dexi5A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKPSSPAAAGGKAWEWEGKVVSPVPEATPDEAWALLSDFLAFHRWHPRVAACRLASGTPRSPGCVRYCEGTTTTPSSGEAAAPPPPDWAHETLLEYDAERRFFRYEMNDNNMGFGLFFATFRVAAAAGAGDDDGEEGCELRWEFECEPVRGTAREALVARLQAGLDGMAARVREHVLAARAAVVVGMEGAGGEPLKLDNSIAV >DexiUA01G0002360.1:cds pep primary_assembly:Fonio_CM05836:UA:5309800:5313861:-1 gene:DexiUA01G0002360 transcript:DexiUA01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVKACACGNTMEVNNPPAPSNISSELSETALEILLESIFNNTAGEVVMDQDGRPQILGTPTEVALLDFALLIGGDFKEKRQQTKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEVVLAACDKFIDARGPEFREKNPKELFELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALLVNFSSACFTGIFLFCQLYSTSSAKEPTILVGTSPLKLLKLRFKLLKLRKELKLSDRNPSFMRQEVRSPKSVKEFLERSRDCKVSILHMEAKMDLLDMPQLDNLMLVTTKEEPDPEREQVTPGQLHTGMVEFQVLVPTMLERFVMMEFCVLSSSGEDGASAAEAIGAG >Dexi7A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:7A:18819645:18820884:-1 gene:Dexi7A01G0007480 transcript:Dexi7A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPPLSMKPPTLPFSPPKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLLGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDAGINPVQVARGIEKTAVALKRNGEN >Dexi4B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:4B:18502275:18504188:1 gene:Dexi4B01G0016430 transcript:Dexi4B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDQTTRSSSLPSSSERSSSSAPQTEDAREGTHSSFPVASVSIDRMESDDEIGTVPEVGLELAAGRPSTSGREAAGGGTGGGAAAAGTSSSAAAHAASAARRRGRSPADKEHRRLKRLLRNRVSAQQARERKKAYLSELEVRVKDLEKRNSELEERLSTLQNENQMLRQVRTHHSSGSKSLA >Dexi9B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:9B:2815951:2819275:-1 gene:Dexi9B01G0004920 transcript:Dexi9B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPQGPEDDFLDQFFSMAGGSYSAAAAGAGRAAGDQPFSLALSLDAAAAEASGSGKHAEGGKTDREAVQLPGLFPPVFGGGVQPAHLRPSPPTQVFHAQQPKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSFKGEASDSGSKQQIWEKWSTDGTERQVAKLMEDDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGQPVKPEPNTPS >Dexi1B01G0021320.1:cds pep primary_assembly:Fonio_CM05836:1B:27236878:27238135:-1 gene:Dexi1B01G0021320 transcript:Dexi1B01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCTLLFGALVVIALLVSPIACSRKLAKLTNKHKPSHKLAARPHTNHTANPSASDAYGSGGWLSAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYEVKCDSNAACSGQPATVVITDECPAGGCLAEAFHFDMSGTSMGAMAKPGMADRLRAAGILKVQYKRVPCKYSGVNIAFRVDQGSNPFYFEVLIEFEDGDGDLNAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALRAPFSLRLTSDSGKVLVANNVIPAGWSAGATYRSLVNYS >Dexi5B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:5B:22220221:22225494:-1 gene:Dexi5B01G0019960 transcript:Dexi5B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKIQKVASMRLDSESQSGSSAWWRAPYAFSRLSSWREGDGDDAWWSAPYAFSRLSSWREGDDGDGDDDALRWAALESLPTRDRVRRAILPPLGAGGEGGEAGAHQVVDVLALGPRERRALLERLVRVADEDNERFLLKLKDRVERVGIHMPTIEVRFEHLMAEAEVRVGTSGLPTVLNSITNKLEEVAIALRLRRSRKRAMPILHDISGIVRPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVRNSFAVIESACIDRACATRGQEANVISDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIIKSLRQAIHILGRTALISLLQPAPETYELFDDIILLSDGQIVYQGPREGVLEFFLSLGFKCPERKGVADFLQEVTSRKDQKQYWMRHDKPYKYVSVKKFASAFQSFHAGRALSRELAVPFDKNKNHPAALTTSRYGVSAMELLKANIDREILLMKRNSFVYIFRTLQLMTVSIVAMTLFFRTNMHRDSVTDGGIYMGALFFVVMMIMFNGFSELALTIIKLPVFFKQRDLLFFPAWAYTIPTWILKIPISFVEVGGFVFMAYYVIGFDPNVGRFFKQYILLLALNQMAASFFRFVGGAARNIIVANVFGSFMLLIFMVLGGYILVRDKVKKWWIWGYWISPLMYAQNAISVNEMLGHSWDKILNSSVSNETLGVQCLKSRGVFPEAKWYWIGFGALLGFIMLFNCLFTLALAYLKPYGKSHPSVSEEELKEKYANLNGNALSEDSLAPISSHRATIGTAGSCSATAQNHSCTMERGLVLPFTPLSLTFNNIKYFVDMPQVTVYESLLFSAWLRLPRDVDLNTRKMFIEEVMELVELRPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELINYFEV >Dexi5A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:5A:9656888:9660735:1 gene:Dexi5A01G0012900 transcript:Dexi5A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQGTVLPRVTGRSFAPSVAPFSTEAGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRKNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIQYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNDKADRIHNAILQTIAEGKYRTADLGGKVTTSEFTNAVCDHI >Dexi4A01G0020020.2:cds pep primary_assembly:Fonio_CM05836:4A:23790083:23790960:-1 gene:Dexi4A01G0020020 transcript:Dexi4A01G0020020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAELVVTLERLCKEPNKLLTYDEECSCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRLHSAPATPCDPELTSQLKRAARSTVSAMPGRAAAAETPVLMSGAGHDAMAMAKLTKVGMVFVRCRGGVSHSPEESVMDDDVWAAGLALLNFIEQAAVTEPSVVAVAVAGDEQDAAAVAEL >Dexi4A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:4A:23789251:23790083:-1 gene:Dexi4A01G0020020 transcript:Dexi4A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNSFGGWRDISRQAGNPLVVTLNCLEDPSLEQDALSGAAAVEHAPLSALSAGRVEAAAAVLVPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEGSRR >Dexi7B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:7B:14349854:14351358:-1 gene:Dexi7B01G0006600 transcript:Dexi7B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARTAVLSTRWLDAWRGTPLRLDDLELPAAPCSTIARAAAASGAPWAARADAVSLALASHPGPVDRFRLSRTTLRARVPAAEAWFRDLAAGDRRAREVSLVCPPEWCHRALADPLLASPTLETLALGECRFSDAGASAASASRLTELSLSFTHISEVALQSLLAGCPALRCIMLRHIQGPRRIHISSCRSLVLLGVWQYKNLEELTVEDAPRLERLLGDTHLGTAVTIVSAPKLTALGYLVVGFRNFLPGIDKPGDQKVLEIMKIESALSDNPAWITEQQDVLSQCHRASMEAKVVFKGLKVIRRKGLSIEAVNALPDPFDSDIDIMGY >Dexi9B01G0036980.1:cds pep primary_assembly:Fonio_CM05836:9B:38456289:38460511:1 gene:Dexi9B01G0036980 transcript:Dexi9B01G0036980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDEILASYIAKHGEGNWRSLPKNAGLLRCGKSCRLRWINYLREDVKRGNISKEEEDVIIKLHATLGNRSPSPAAGAPCKAHFILAGFIIKNYWNSHLSRQIHTYRRTYTAGPDTTITIDISKLHTAEKRRGGRNPTSRSPKSTTTTTSDKPTPKSKSKRSPEPDKTEPDPGKAKGASGDPAATASAAAASPASSPDGARSAVVDPEQNQADCSSGNTPQGPISEDATGPLELDPMEFGGLWEAESEMEALLRSSGGGIGLDSLTVGFDEVGEAQVDDLLDMDWDGFAAHLWGEPASQQQQNDTALLQPDEPQADAGCNNQEELDSFAMWLLSDTF >Dexi5B01G0036300.1:cds pep primary_assembly:Fonio_CM05836:5B:36072835:36073806:-1 gene:Dexi5B01G0036300 transcript:Dexi5B01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPAAPCNHSASSSSAAATVASPGGCNICTSPPASSVVTMFSATTSLSASTGTALGDLGFLVGGWPSPPPPASASPHGGGRGGTSLSVADTESSSVTSSLCTRAGKNVRRSAAPPTGARACSFRALFLAATREPWIEANSSRSFSSETPSSELSHDPSALATAPSPALTSFSRSSESRRAARAAWSSV >Dexi7B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:7B:15147671:15148670:-1 gene:Dexi7B01G0007220 transcript:Dexi7B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRARLLALVALCSLLARPQPSRAFLFSGGVRSRSTSVPQGGGDREEKVPMAVVVPADYAPRPAPLGPSPSTAPAPAPERGSDGGGGDEDGTPRMPSERRRGRSTGDHGAAGQAPAGATSADFISSSPAVPLPGGVADSATVLPMPTPGRQQQRRDDAGMGALQLQVRVVQLAVPLLMMVSFGALL >Dexi9B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:9B:12501672:12502973:1 gene:Dexi9B01G0017770 transcript:Dexi9B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVSRQEQTSPAKLADLPDDHWTEIFLHLPPQPHILLRVSHVCRSWRRLATDPAFLRSFRARHKRTPPLAGVFHNICYEGARRFTPITGDGEDPLPLRRGFTCPAHWHVLDSRHGRVLFHAVAYGAAPPVLILWVPLTRRCEQIGMPPDWAVYYNYDGKLSGAVVCMAGDDANGRHEDCRSGPFMVVLMIGREPRAMVSVYSSGDGEGWNKAISFDGLPMWAEVEPKPCVVIGDTLYQAVSGSHTLALDLKSSSFTMVPHPPETKWMDVQIVRVDGGRLGLVVANNVEFSLQLWVWNGADDWVQHWMVQLDTLKPLSATAQPGVVDLGALKELFYDSTMTSDSLYPYESFFAPVQMHVAKL >DexiUA01G0002770.1:cds pep primary_assembly:Fonio_CM05836:UA:6026984:6031495:1 gene:DexiUA01G0002770 transcript:DexiUA01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLRILLIHPTSHQGPPTEPDAGSSSSPPRSRRRAAFATRNPSRHRALRSAAVVQTLADATAANSPPRRIIELRKVAGTSPSPFFFALSLCSARSTSPSILTRRRTSPAASVAQASITTPKMDSPFFPLSRAKRCEVWCPVAPGKLNAGEVLAVRRRPPPRPGDQLPPTTQIPRIESTQRAPCPMYGSFTCCDDCALALDAIGPLMLRSEQDGTHSTCQPGGT >Dexi1B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:1B:5372149:5372349:1 gene:Dexi1B01G0006570 transcript:Dexi1B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGITFALANMEIMLAALLYHFDWELPGRLPPSELDMSEEMGMTVRRKNDLCLCPIVRVPPCAAP >Dexi1B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:1B:1755058:1757152:1 gene:Dexi1B01G0002100 transcript:Dexi1B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPLAMAVLYLVSTGTTTAVSAFNYADALDKSLLFFEAQRSGKLPPSQRVTWRGDSALTDGSPERADLVGGYYDAGDNVKFGLPMAFTVTMLSWGVHDFGDAMATVGELDAARDAVRWGADYLLKAAMAVPEKLYVQVGDPYQDHRCWERPEDMDTPRNVYKVTPEKPGSDVAGETAAALAAASLVFRASDPGYSSKLLQTARKLGGGVARDMRSNGVRVQVFDFADKYRGSYSDSLSSVACPFYCSYSGHHDELLWAAAWLHMATAGGAAAGNSSAHMYLSYIYENGHTLGADDDDFTFSWDDKRVGTKILLAKAFLQPPHNLVAGGNPPPSTTTTTAAVAALQLYKAHADTYVCSLIPGATGFQSQYTPGGLLFKSGDSNMQYVTSTTFLLLAYSKYLTAAGATVSCSSGEVVPPSKLVAMAKRQVDYILGANPAATSYMVGFAGAGEEGGRFPRHVHHRGASMPAVRAHPGRIGCDEGFGYLHSPEPDVNVLVGAVVGGPDGSDGFTDSRDNYAQTEPSTYTNAPLVGALAFLAGGRRR >Dexi7A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:7A:10735103:10736348:1 gene:Dexi7A01G0002490 transcript:Dexi7A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDSLFYILIFIATLAEQASGVLTSQGSNIHPSSAMYTGCPKSCGNLTFEYPFGIGSNCFWNSDFNLTCDNTTQPPKLYLKDLTTEVIDDIDVSDYGTIDGFIDTLFEVKFSQAISVEQGVNVYNMPWKAPGRAFTLDFVELNITGCDFDTYWLDKDINADVRLCTVTCPDDAEITDKVARQNCNGTGCCSIEFDTYLRSFQLKFVLHNRGDLEANTNRSSLWDIINVTSSYAFMAWSIVDQPTCASTLDNRTNYACVSNNSTCYDSLETSDLGYLCVCNGGYGGNPYIPNGCSRDTGAILDF >Dexi5A01G0022950.1:cds pep primary_assembly:Fonio_CM05836:5A:27069547:27070041:1 gene:Dexi5A01G0022950 transcript:Dexi5A01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPDADAAGFKLFGKVIQPPDAHRGAEEGGAPSPPPPQPQPTTTTAAVVPPAPPQSPPPPPPLQLQAAGAGAGGTGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRACRRYWTAGGALRRVASASPGRRRPRPTARSAAAAAAIATVSSASAAAEEGGGER >Dexi2A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:2A:186016:188538:1 gene:Dexi2A01G0000330 transcript:Dexi2A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQEDSNWEIRVAVQVSLLLQILLIFIGPMRKRTSHPVPRFIVWSCYLLADWVADLALGLLLNNMGNIGGGGGGGGGTSSSTTFGGGSKRGGGATAGNTDNSSSSSSSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSACVIFFCSLRGNPMVHATLLMFAVGIIKYGERTYSLYSGSVDGFRAKILEPPEPGPNYAKLMTEFDAKEKAGVDVEIVIADGEASKAQKEMQDKETTRLVQQTDKSVEARAYDLFLIFRRLFVNLILSFKERRLSQAFFLHRHGMTPSHAFEVVEVELNFIYDMVYTKASVAHSRAGWALRCVCSACLVAALVVFFLLDKASHGIARVDVGITYALLLGAVALDAAALLMLLLSNRVAVFLEESSSPALACLSRLTRKVKGLRLRTRRWAGKTSQLNLIGYCLGRPGRYSSKRGWRFWLDKVAHTLGVEEIVDDLVFIRREPVKDALLEFIFEDLKEAAQKLKEKEEKIIMVEEQEDVIMVEQLEPGAAQSNKLLVKEKKDVEETTMAAAEAAGQNKVNQKEEIMAVCTRRGGGVVGHLEEKIKAALKLDDDDKSSKKELFQLILESVKEEDKKDLNDSNKFKDKLLELILQSVTDKDFDESLLLWHVATDLLSRLKPQQGPATQDTACKQPIAETVSEYMLYLLIKQPGMMSTTGGIGLLRYRDTCEEARRFLGSMEASWVIDNPEDARRMLVSVNTSLPPAEVKGDRSKSVLFDAVILAKALMEVADEELRWQVVAGVWGEMLTYAAAKCPGSTHVRQLSRGGELITLVWFLMAHMGLGDMYQIQEGDAKAKLIVKGQ >Dexi1A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:1A:21031106:21033090:1 gene:Dexi1A01G0014440 transcript:Dexi1A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRRIVYFGSSIYSITRGQTRHDIEECFKKGIVGSECLAELFSFL >Dexi6A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:6A:7406861:7407706:-1 gene:Dexi6A01G0007480 transcript:Dexi6A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRATMMPQLLNQQPSHATGTEGVAYRATILQPSLLNQQPSHATGTEGGISCETPSAYALTPYNGQPAALASMPPSSSAGTSFLSIPVSHGSAAGDHQAAIPPPLSSNPHAIALQQHLHEQLQAFWVGQVAEAEATRELKFHSMPLARIKKIMRADEDVKMIAAETPVLFAKACEMFILELTMRAWLHTDATKRRTVQRSNVAAAIAGYEMFDFLMDVVPEELTVAGVVPPPPQTPAAMAAPGQVDPPMHVPFPMYGNEQMWPIMEYQQQQQNSDGGQGE >Dexi5A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:5A:7841588:7843922:1 gene:Dexi5A01G0010310 transcript:Dexi5A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGTKKDKGPPPSIQDATERINKRGETVDDKIKKLDEELARYKDQIRKTRPGPSQEAIKARAIRLLKHKRMFVLCSLLPSPFRHLQLPPQSLLYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALESDMEFESAAVPSYLQPESDFDTDLNLPAAPTRPTAVPAGGQEDELGLPAVPRASLRS >Dexi8A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:8A:4715596:4716324:1 gene:Dexi8A01G0005230 transcript:Dexi8A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYDDLPSEISHNSHPAHKLKLVTTNGPPFRCDGCHEPGNGHGRRYRCAASAGGGGCDFDLHIACAVATPTTKHPLFGEDKVFVLLPSPPPPVDATFCDACGGRTRGVVYHCVDKDLDLHPCCAALRMEVTAGVGGQPIQLGWESDEIGRCAICGDHRSSSSSTSRKEKKFWAYRWRRDDGVHGCVHVACMKKVAVESWERAYQDGIGAGIVEASVPVMLGNMMQRRSPVVDTGIRGVNFV >Dexi8B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:8B:1669952:1670392:-1 gene:Dexi8B01G0002430 transcript:Dexi8B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEQDIVYPPIHEGIRWGEPVYRVVLSDQTETIQFMDPMSREEALLRVQEMLRQGLREGRYLARDFYPQDANDTRMQLPQDAVEGHGLDEAYGEHCFGVAPASSEAVVGLPEMGAKDGECSVCLENFEAGNNKAQDDALLPLLP >Dexi4B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:4B:8046162:8046729:-1 gene:Dexi4B01G0010700 transcript:Dexi4B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPAADDSGKPRPKGSELVRSRVLLHGASFALVTALLLSLLLGRPGSKDDAAKDERRCSPMASAAANVYGGDLTPLQGHAAFFDRDKDGVICPSETYQGFRAIGCGVALSAIAAVFINGGLGPKTIPVSFEI >Dexi2B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:2B:10510087:10512078:1 gene:Dexi2B01G0009770 transcript:Dexi2B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >DexiUA01G0008940.1:cds pep primary_assembly:Fonio_CM05836:UA:17065133:17067207:-1 gene:DexiUA01G0008940 transcript:DexiUA01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTNPRQVSAGSLVDQAGEKNFKKSGVERRGDHAHSRPIPRPHLDSSPPNPRSLPPSSSPGPLVQMAASEAKDVGILAMDIYFPPTCVLQAPPAIQSKYAHGSSSKRPKRPRHALCVVGSSARGRGFDFFARDCDSQKRRDVRARPSTHWTPSEGAIPDGDYTLIPADGEQVPEPDAGADVTNPGANPQSEQEGKPRSMT >Dexi1B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:1B:121262:125605:-1 gene:Dexi1B01G0000030 transcript:Dexi1B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSEAEIDVFESIDKQRREAEMAAWQKVVQDGSTSGLDPAVLPSRLVTDDDLEPFCHAMKLYEPSNVKNVKVNVRKKGALGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESPQPGGMLKDLGVPKGIKPEIPVESSKESEQMGKETSPTVGNSPQAKRRRGRPKRSDIFLSPTTAPTDAVKPETGTTQDGSSATPATIIHSESDTPATPIHSATSDVNLHSISPADINKQEFGTESKPSSSVSVLEGSVAKEISTPLENAQNVAAPAASHQPARGRKAQAGETPRRRGRKPKSLTSPGADDVSLSPTVLVGSGVADTSRGSSYAQVNMPPSQGSAMSVAGIQKDLDAVKLETLLPDSANRIAAVHEGDKGTNVTASLAKDLCAGTVMSDNTTTQAPNTPNENVGLLQVATVPTVPMVSEGISETSHVAVADIPVEKQGASRRRRKKTSGSEDTGVSTRQRSAMKKAYYSTSVDEVGSGITTSEKSRITKERDGSSFQDSSNELPNINLPLHEKSGYDSQPSTPIAVPIDEATLPSSFNDTSATDSKVTRATSANAAVDDKSVGLHLDAPVSVASRNQEQLKTGKDHLAVCSDVPASNLEMVSADPSSDHQPASAQFDQSASLPQNSGRDAIVVPSEIDSVAPNKASSRRRKGSSREPRNRSVFATAASERRGRLTGSKQADIKKLEISAGPAVCVSSVEQQGADSLRVEVTTASVSEAQKNSGSRVSSDISIPVGSHVPGPASTEETIPIITHTPSVAKSEERKLPEGIEFNSSVSQKNVASVVGPAPADDGHKQGTEANSSELTKVVSAAEPAPANDEHMQGIEVDSSERPTKIVSAAESAPSNDEEHTANEVHLKTADVNMLTSSTTTEMLQDKIGSSAAYQSGAPCKEETTSQSDASLQDSKVVDALARCPPGSIKDDNASHSEGTGVNAILCKQDDVKIDDTQADDASKVSSSHLTANLQSTESDQPPDQEEISESTKERVKMEETLDKSSSDNQTPSRGSEMSPDITLVRNSSENLNECSAHVDGDTLKMKDDIAEVPDIMYTDGPEVVLDASSTQYKKEAIMADGSTKGDTVETNASMNTDGPEEAQGASSTQSDKEASVAVVDISTGGSPTVCRAHNDLEGQ >Dexi6B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:6B:22562822:22566985:1 gene:Dexi6B01G0015180 transcript:Dexi6B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHGQRRSVYGDAGGASHRIQRLLSIGLGFGGGERTVAVNLGPTSLIGLGIPDQDARSRVQWAFGPIEQRSVFAVGPLVRFRGPFAARPSETRCAPRLARLSVAARLGLLTRLGSTRSGFHGSQAATWAWAAISAQTRARDAPRRSGPSVRSDGSARGLLSESAAVSACLDFRAAAPWMSPWPGLSSSPSPHLSSPLLPRPNRGSRRFAPPWIFSPARALIEDERTTVERFLGGGSVPSRTRASRRRHGLSVCAFAHRRPDGDTLW >Dexi5A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:5A:3952213:3955376:-1 gene:Dexi5A01G0005260 transcript:Dexi5A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASGRGHVVYPPRSAEDIFKDYRARRSAILRALIHDVEDFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLLSVAFYYAARLNRNDRKRLFGMINELQTVFEVVSGARQQQSKERSSMDNGGRAKPSVKIENNGKATDDAYGEDDSDHGETLCGTCGGIYNADEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCSNKRARQ >Dexi9B01G0031540.1:cds pep primary_assembly:Fonio_CM05836:9B:33879073:33880919:-1 gene:Dexi9B01G0031540 transcript:Dexi9B01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIPCCLALGQNYKHRWLIIYCLIVSPVKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSVVMIEALEPVAKSQ >Dexi2B01G0025750.1:cds pep primary_assembly:Fonio_CM05836:2B:34964086:34966469:1 gene:Dexi2B01G0025750 transcript:Dexi2B01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMMAPSAACSRPSSSLPFLCGCTVDSVAAAAVTVPRTNRQPSASAASSSSTGLVSAGRQIRQVWWAAGAMFSWILRGCRDECSASDQLKQYTLALQARDVFVAKEAVLQKKISQEVERAKEFTKSGNKQAAMQCLKRKKYYESQMSQVGSVQLRINTKEKMIADHTGKK >Dexi7B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:7B:20591225:20593580:1 gene:Dexi7B01G0014300 transcript:Dexi7B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKDSVRVAALSHGYTEAQLAALMSSFIIRKPSPKLPFTNAAIKTLESIRELERFIVKHRRDYVDLHRTTEQERDNIEHEVGVFVKACKEQIDILKNRIHEEENNGGAKTWLGTRDNSSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRAMPRKKIQKKPEIKPVEPSKSNLVLKSEVSKIGDQEVSTAPMRVQEQLLDDETRALQVELTSLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFLFVLTFSVLFLDWYSN >Dexi7B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:7B:3439887:3441569:1 gene:Dexi7B01G0002000 transcript:Dexi7B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSELQAQPTYVHPTAASSGVQSMEERRWLCRVQETLEQASAEALGAPPKVFDVPRTLRDTKPDAYAPRHFALGPYHYGRPELKDMERYKLAAAKRSEARFAGGRKIGHLAARFHGLQGEIRGPYHRVLELSDETLAWMMAIDTCFLLDFLLGYYHYYHRGEGEDDTVVSTAPSWISATVRDAMMLENQLPLVLFVRNLELRHASELAATDVLHAVLDRFIKDVCPIKICAEMPIAEFTKQAHLLELLYHFLVPNVSVFEENSEGATGSDHPPPHADQEEDEEDPEKQIEKVKQACLQVSQLRIVKDHLISRPKNLIEDSIRKVPALAGLVPVIDKMVTSMDVEAKLKSVNLGNLVSSPLANDMKIPSASELARCGVRFAPAPEGIAGIAFDEKTATLSLPVVTLDCNTETILRNIVAYEAVAVRGVPVVARYTEVMSGIIAGGTGKKDVKFLERSGVLVNRMKSQAEAAGMWSGMCVATTRVSEVPRLDAVIRAVNAHRDRTASVRARKLFKKYVFGSWKILTLLASASLLLMTALQTFCSTYPCQSTWFGRALQLP >Dexi1B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:1B:2331798:2333307:-1 gene:Dexi1B01G0002790 transcript:Dexi1B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARVFRGSRFLMSPAVGSAGAKRTAAGAQATKGEATGAKEKSGIMQPLPVSEELSRFAGGKPEIARSEAVKLIWDHIKANSLQNPAKKSEINCDATLKSLFGGRDKVGMLEISRLLNPHFLKN >Dexi5A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:5A:10833324:10836717:-1 gene:Dexi5A01G0014030 transcript:Dexi5A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKAEAGEDEHQAKACPESGKHHLHTIVPSAYFLRGEK >Dexi5B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:5B:5812237:5812699:-1 gene:Dexi5B01G0008570 transcript:Dexi5B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAISVSASSALLVRLFSPECEPQNIAAYDRPELKPE >Dexi8A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:8A:8752805:8753311:1 gene:Dexi8A01G0007700 transcript:Dexi8A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHLHLLASVLFLAAVMAFPSMASANSSPTRSSPTAASPPMTPPPMANISTPTAYEMLEQYNFTRGILPEGVTGYVLHPDGSFEVYLPGECNIHASNMQIKYSSRIAGNIRAQSITSLEGVQVEMMLIWFGITEVTRTGDQFKFSAGLISKSFPIDSFANSPKCNS >Dexi9B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:9B:1981899:1984120:-1 gene:Dexi9B01G0003460 transcript:Dexi9B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKAGEDEVAAAPGPTEPSSAATKRRRTTGAAGTASSGLCDDVLRSIFARVPTRTAVASMALSKHHRLLMRSPDFIDLHRRLSPPLPLPHVAYLAAAAVKRSHTTRGGTTASTSNAPMHTLVGTMYLGMRYVNTCNGVVLLAGEHRTTCVLWNPAIAGDEKELTVPVPSREGREILGLGYGPRSKAYKLLLTRQEERRKELLVYALGGAGEERPQLRSLLSEGLDGAISNESLYMDGTIYLLHLDKRVILAIDVDDETVTAIDLLGTIKIPTMFTLLVMSGRPCVDVQDDRGRALWLLTAEHQWEMRCIIKGHRQHAGDILSFGKYIRDYNSQHDICTPAGVWDCGGVLVMYLRAIGENHKLFLYCAATKNLIEVDLPASLRTERSDYAFCWGYKPTLVSPGSVVGEPKQDEERRRDRAADIMAALKPINVRDRRVGQKATLDTVCFMELLLRIMGKLPDNLQDVMYPLILRLSTASLMIFSMGLICSPSAMSFFDPTSALTSPGWAGT >Dexi4A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:4A:7314367:7315436:-1 gene:Dexi4A01G0009300 transcript:Dexi4A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPLPAAAVSGEEERRSAATGPCLSRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWSADRSSPQSTSSMVHNYDLYDVLEEVLSEGLSHDALKKLPHHVVTDQKQESIGEDLSCAICLQSL >Dexi1A01G0031960.1:cds pep primary_assembly:Fonio_CM05836:1A:36706841:36709421:-1 gene:Dexi1A01G0031960 transcript:Dexi1A01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAKAGTAAPPTPAAAAPAPAFPISHCRATTGSGHWNPHGARGWGSPHGGSAGEAHDAATRELLCFVSRERRTDSELWRKQRKTASFEFASKNLRDFIAIVFRDTEPSIQDGQRCGHGGESPLPI >Dexi4B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:4B:8767963:8768217:1 gene:Dexi4B01G0011350 transcript:Dexi4B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARSGRGARRGVAPAAGELGARGPGDRIAARVVARGGGSEMHTCRGLGDAGKLVTYGMRRLKAQEQGGPTRGADTPSGSAAA >Dexi7B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:7B:15244329:15252003:-1 gene:Dexi7B01G0007350 transcript:Dexi7B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNSCDDRLVNQWVHAEGYCWSRTSERQVRRMRRLYLQAMLRQEAGFFDSGGTSEIIGSISKDASVIQDALADKVPLFLMHSTAFISGLAFSIYFSWRLALAASPLVLLLVIPGLIYGKYLLRLSVKSRYEYAKANFLVEQALGSIKTIYSFTAEKRIIQAYTTLLDGTVKLGIKQGIAKGLAVGCTGIAFAIWAFLAWYGSRLVMFHHETGGRIYAASVSFVLGGLSLGVALPELKHLTEASIAARRILEQINRVPQINVDNPEGLVLDQLRGGIKFESVYFAYPSRPDMTVLKGFNLQIAAGQTVAIVGSSGSGKSTAIALVQRFYDASEGTVKIDEVDIKELQTKWIRSKMGLVSQDPALFGTSIKENILFGKPDASMDEIYAAAMTANAHNFIRGLPDTRQRCTHHKNIRQLQFSLLHSSLPQFLQIGERGALLSGGQKQRIAIARAVIRNPVILLLDEATSALDSESEKLVQQALDKASMGRTTLIVAHKLSTVKNADQIAVLDGGTIAEIGTHNELINKGGPYAGLVELQKKHYNFAYMGVHLVRRIRIQILEKILTFEAAWFDEESNSSGSLCSQLSNEASLVKTLVADRISLLLQTTSGAVTAVTMGLILAWKLALVMIAVQPSTTICYYAKKTVLANVSRDLAKAQHQSTQIAIEAVYNHRMVTSVGCISKVLQLFEQAQEEPQRKGRKMSWVAGITTGLSPCLLYLSWALDFWYGGKLVQSGEISVADFFKTYFILMTTGKLIAEAGSMTSDLAKGSNAVASVFEVLDREAPQNLQTAIVSQEPAIFSGSVRHNIAFGKSEADEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQRQRIAIARAILRNPAILLLDEATSALDTQSEKIVQEALDRIMSGRTTIVVAHRLNTIKNLESIAVLGQGKVVELGTYPQLMNMKGAFYNLATLQI >Dexi4A01G0023890.1:cds pep primary_assembly:Fonio_CM05836:4A:26834571:26836151:-1 gene:Dexi4A01G0023890 transcript:Dexi4A01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLPAATPLRLRLRSHASAPRAPPLRPRPVVTTATSAKRSSLRFAGVRCAIDGAEALRSEVEPPAASVPRSVPVRLAYELQQAGHRYLDVRTEGMTKNTHFVEQVSRIFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSSWRENGLPTAQ >Dexi7A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:7A:2823919:2824652:-1 gene:Dexi7A01G0001090 transcript:Dexi7A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHKEKARNAVALLLWLDPTHHQAIRHLPSLSSTAVGIVAAEANSILDCLRQEGLVLPPIPFVSALCEEGGIEVDSAFLAFNQDLVMRGVADILDTTGHVSRLPELEAPYTCRPVTVPEDCRSMFVTFSKGQPIEREEIFDYFRYKWGDCIIHVLMEKTTRGKPPMYGRIIFKSEAFVSLVLNSERLIKIAIGHR >Dexi1B01G0024510.1:cds pep primary_assembly:Fonio_CM05836:1B:29796478:29798007:1 gene:Dexi1B01G0024510 transcript:Dexi1B01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRLLPLLLCAGALGSLLCCHGAVEAPGFVTVSTASFKPGSTCRDPATPTPHRNGTSAVLRLTHRHGPCAPSRASSLAAAPSVADTLRADERRAEYILRKVSGASAQQLLGSKSAAATVPANWGYNIGTLNYVVTVSLGTPGVSQTLEVDTGSDLSWVQCKPCAAPTCYAQKDPLFDPTQSSSYAAVACSASACSALGLYASGCSSAQCGYVVSYGDGSNTTGVYSSDTLALTSTDAVKGFLFGCGHAQSGLFTGIDGLLGLGRLRQSLVGQTSSTYGGVFSYCLPTKPSTTGYLTLGGGPTGGFSTTQLLTSPSAPTYYIVMLTGISVGGEELSVPASAFAGGTVVDTGTVVTRLPPSAYAALRSAFRAGMAAYGYPSAPANGILDTCYNFSGYDAVTLPNVALTFSGGATVTLGADGILSFGCLAFAASGSDGGMAILGNVQQRSFEVRIDGASVGFKPSSC >Dexi2A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:2A:15424341:15425644:-1 gene:Dexi2A01G0012970 transcript:Dexi2A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSNVLAAAEAARVRHRQHRQPCKSVTFPLSSFRQLHLPWRRDWAGLPLQTICSIFHKSDPVQIMLGADKVCRSWRRAARDVPELWRRIDMRGYEELSHRNLADLNQMAVDAVVRSQGQCQAFIGDRDSVTDGVLRFLAHRAPSLKSLILISCGDKVSAQGFSEAIQMFPQLEELELTECLYLHHEGLFDVVSKACPRLKHMRHSHTSYIYCACCPLRAGDDREAMGIATMHEIRSLRLVHNNLTNQGLTAILDNCRHLESLEIRNCCNIVIDDALRAKCAHIKSLKLVWYIGDEYCEDDYEPSPISECFTCLDYFKNCTTEQYRNGRQTTTDNNGEKHMVVAIVHKIRALEFYRNDITRSGRYP >Dexi2B01G0026510.1:cds pep primary_assembly:Fonio_CM05836:2B:35645061:35645712:-1 gene:Dexi2B01G0026510 transcript:Dexi2B01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGLMILFCKLVASSVQTEMARPPNQSKPAPQHAPQSQWTPAVSARPDLAVALVELSNPRANRAAFPRRHPVP >Dexi8B01G0004360.1:cds pep primary_assembly:Fonio_CM05836:8B:3845295:3845918:-1 gene:Dexi8B01G0004360 transcript:Dexi8B01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHPYRSRSPATPPEDAMDHRRLGTHVDDDDEATAAGDGKRLSMRRRSLSARSLVHSASAAAKGSSPPEGTWPLMVAVARRRRVGWEWWWWWRGRDGGGGSGSGGVGGDGDFAAVVEGRRVVLGMPGWCSQEKGTEVAAPATRRRGDGDGEEAAEETVESEEEEEDDDEEEKVRWRWRRREEGSVVVAGGVGGGGGG >Dexi8B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:8B:757017:759189:1 gene:Dexi8B01G0001100 transcript:Dexi8B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSISSVLCSRAVLPLAPPRRFLGRLPHPRAVSSSSSSSSPLQPPPPPGMEVSYKFGPYMIDAREVFHATPLSYAMVNLRPLLPGNIPSLGALHFFPHLRLLFADLSSDETSDLWITAKEVGVRLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKEKELKEKLDLDIERKDRSMEEMAHEANEYRALFS >Dexi3A01G0029330.1:cds pep primary_assembly:Fonio_CM05836:3A:30776219:30784074:1 gene:Dexi3A01G0029330 transcript:Dexi3A01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEMLCGPDGELPEKLRNLEPRLIEHIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQMVNMNQAGEILLHHGCEEARAWIIRNLLEKDGLFMLSEEETCAICKLTEGYSGSDMKNLVKDASLGPLRETSKGR >Dexi3A01G0027590.1:cds pep primary_assembly:Fonio_CM05836:3A:26368154:26370974:-1 gene:Dexi3A01G0027590 transcript:Dexi3A01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCMWPCAAAAIATTAATVGSGAGRKGWWLFRTKVRCPMEVVRHSRDLLRIVFEDRDASSNKEDLKPETKVTKKDVTQVIANLQRQKVDSKIIASDYLEVNKDLLDVLVSGYDNMEIAIHYSTILRDCIRHQVAARH >Dexi9B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:9B:8505665:8507278:1 gene:Dexi9B01G0012650 transcript:Dexi9B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGKWLRSFLPGRKGGGGRDKQASAGGGAAEHQDLTGTTTPASTPGAKEKRRWSFRRPAAGASPSPTKDAQGGGRLRLDPDQHAVAVAIATAAAAEAALAAKHAAAAVVRLSASAPGSKRSPVIGIDEAAAIKIQAVFRSYLARKALCALRGLVKLQALVRGHLVRRQASHTLRCMQALVAAQNRARAARLRMLDDDKPVRTPRITPTPTRRSSPHHPRFRHHHQDMEENVKIVEVDTGGEVHGGTPRTSSRRSSCYATPLCRTPSKNELYQKISPTPSALTDSSAARSYSGRYDDFSFATARNSPYRYTPSSRHHHHHHLHDVSADKPVAADEHPMFAVPSYMANTESSRAKARSQSAPRQRLSVSSAGGDATCPAWERQASGGGGRRRASLEGGGQATPGARGVVASPPKYGPVRVQRCPSQASAPGGASWGGRLGDRWSASAHDSECGSTSTVMTAATTTYCWSLATDNAAGMA >Dexi4A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:4A:24896870:24901275:-1 gene:Dexi4A01G0021290 transcript:Dexi4A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFTSRAAAQFLEGIGRPGVSTAALLLTAASGGGLVAYADSAVGSAPESSQDAPKKKVLVLGTGWAGTSFLKNLDCSRYEVKVISPRNYFAFTPLLPSVTCGTVEPRSIIEPIRRMFEKKSKDVSFYEAECFKIDSSKKTVHCRSAVGTNLDGNGDFMLDYDYLVVALGATVSTFNTPGVLEHCHFLKEVEDAQKIRRSVIDCFEKASLPNISEEERRKALHFVVIGGGPTGVEFAAELHDFLVEDLVKLYPAIQNFVKITIVQSGEHILNMFDKRIAAFAEEKFQRDGIEVNTGFRVVRVSDDLITMKSKSLGEEVSVPYGIAVWSAGIGTRPVIMDFMQQIGQTNRRALATNEWLRVRECEGVYAIGDCATVSQRKIMDDISMVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIADLIKGAVGDSHKESMVVDIEEFKKALSHVDSEVKSAPATAQQASELAHKDAGGFRLDSQVHIREGFKPNLAPLARP >Dexi7A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:7A:29083836:29084236:-1 gene:Dexi7A01G0020260 transcript:Dexi7A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAMTGNSHAAEAFDMDLIHAIFKLVWRRRVEKGRGGNEDIDVEPAPETSKRNRSTTGN >Dexi4B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:4B:3571540:3578016:-1 gene:Dexi4B01G0004990 transcript:Dexi4B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAPSPDILGERQSGQDVRTQNVMACGAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVKNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINSAKTSMSSKLITSDSDFFATMVVEAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGAMMISTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTRNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEDGNEEE >Dexi5B01G0024550.1:cds pep primary_assembly:Fonio_CM05836:5B:26586986:26588428:1 gene:Dexi5B01G0024550 transcript:Dexi5B01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSGRWTRVRTLGRGASGATVSLAADEASGALFAVKSAPSAAAAAAELLRREGAILSALRSPHVLPCLGFRAQAANGECQLFLEYAPGGSLADAAARSPGGRLGERAARAYAADVARGLAYLHGLSLVHGDVKAANVVVGADGRAMLADFGCARAAGSARPLGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDGGDLLAAVHRIGYTDAVPEVPTWMSAEAKDFLARCFVRDPRHRCSAAELLEHLFLLASAAGDGAKADEVAAKWVSPKSTLDAALWETDSDDSDEEDDVSESPAQRIKELAGACSALPDWDSDEGEWIQVLGEQCEASGNLVPMKEVGGEDECLLLNGVLETEVEFVDADAEGDDPVCSVAVGLTVPSAEQQEELCIASWSVQFVSEIIRFHIGLRILELPEVSNNWCDATIPETLF >Dexi9A01G0036680.1:cds pep primary_assembly:Fonio_CM05836:9A:41059290:41062474:-1 gene:Dexi9A01G0036680 transcript:Dexi9A01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKTGGVTGEVVKIHANETMPCDMVLLGTSDPNDLISFISFIHPYISFSHFAESLRQSGKKPKINVDSALVALLNQPLIGEERIAAHDFFLTLAACNTVIPVSTESSHDMVNGVDEIGTIDYQGESPDEQALVTAAAAYGYTLVERTTGHIVIDVLGNRLRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKVEIGDGLYDSLHAKIREATENHLSGYSSEGLRTLVIGSKNLTDAEFIEWQERYEEASTSMHERSAKLRQAAGLVECNLSLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMRSIIINGSSEFECRRLLANAKAEFGIKSADSRRDSQSAEDLYNGDISKLRSSNGHMSESAVQNFELTGVIAGEKSEYCEKVTFDDTELALIIDGSSLVYILEKDLESEV >DexiUA01G0027770.1:cds pep primary_assembly:Fonio_CM05836:UA:59633898:59636415:1 gene:DexiUA01G0027770 transcript:DexiUA01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACSGLGPGRLRPPRAHAERLRPLPPARRWRVAASAPASGGSPDLPSSSSSPTPPFGVGEDQAAGSPGFCIIEGPETVQDFAKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNAELGISADEPDRELPDFPSFIPFLPPLSAANLKVYYATCFTLIAGIMVFGGFLAPILELKLGLGGTSYEDFIRNVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSTFSDGDQPLSAPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFI >Dexi7B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:7B:14670927:14672021:-1 gene:Dexi7B01G0006890 transcript:Dexi7B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADPATVPAGGNAASASPQPRPSSSLIFLGTGCSGALPDTRCLIRQSTPPCTVCSMGLSLPPDQNPNYRLNTSLLIDYCHDDGTHKYILIDIGKTFREQIILTHEHADAVLGLDEVWVVQPSNGGNGIKHIPIFLTEFTMDSVVRRFPYLVQQRPEDGDQDAQAAKIDWKIIEEDVDKPFVASGLEFVPLPVTSSVKRYFDLLTCLPPGVATYLSIL >Dexi1A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:1A:9157094:9158059:1 gene:Dexi1A01G0010640 transcript:Dexi1A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKAPAPGFSVRFSPFHENRLLAAASQHFGLVGNGHLIVLDLAAAGPGPGVAPVPVFSFPTSDALFDCAWSESHESLCAAASGDGSVRLFDSALPPAQNPVRLFREHAREVHGLDWNPVRRDAFVSASWDDTLKLWSPDRPASVRTFRGHEYCLYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLVLPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRSPRAPVAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRAEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMVYIWPFGTDPRAM >Dexi3A01G0028740.1:cds pep primary_assembly:Fonio_CM05836:3A:29656541:29658419:-1 gene:Dexi3A01G0028740 transcript:Dexi3A01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDFGAQREIAGQIQELKARIIVASQRRDRYTLDEVVVGSGSKNVVPIYPRLPALYVEATNLVGMDGPSEDLIRLVTDEDLSIPEWLTSLVNLNYIQISINRLRQETLHVLGDLPTLLCLTLYSHKTGPKERLVICNSMFQRLKRLVLWCEVGGLMFESGAMLSLEALEFQIMANEARSMCNAPDLGICYLSSLSDICVWIDCGDAKAEEVHALEGAIRASAKLLPNCPTPYFHRLYWRQ >Dexi7B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:7B:12571881:12575647:1 gene:Dexi7B01G0005270 transcript:Dexi7B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNSEERAAGDEPAAVAAVRLANKIRKRRAVSSSSGASDPAAGRRLRSRRPAVLLPRRRAGVAGDMSESSRSLHCRRGGGGGGNRLADGTTRPSASARRLVDAFWQDVDRRMLLEADPAASRRSLVPWSGASTEMSKRSRSRSKILEADGKGSRRNGHGRWFVEDMTSNGSAMEVGTCSQDDVSRCPEEKTFNLQDLHNSLIASKELVKVLAHIWGPGELNPSTVSLISALSSEVDVARAHVRRLIRERKSDAHEIEGLKKQLTEEMESWKVKQKEKVANALQFIVSELDTEKKSRKKAEKANKKLSVALANTEASLQAATKELERERKSRGRVEKICSELIRGIDEDKTEVEALKRETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLYTRKEQEPTNDPMQLSQASENGAAACGASAYRNGGNCSDGGEDNASEDDGDMHSIELNVDGISKTCTWSYTPSSKGRQVHESFSDRGMDGANSYQLERSFLDVDEESEGDWAEGCSNGMLNFEHDEERYQAIKNLREQMLAGSGFILSQGRENAEREYCGL >Dexi9A01G0043230.1:cds pep primary_assembly:Fonio_CM05836:9A:46722211:46724859:-1 gene:Dexi9A01G0043230 transcript:Dexi9A01G0043230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGAKTPTKPLGPAAARTPTKPAGKTPSKMPGSARARLSHASENANPNIPSTPPPQQHTPSKPVLESPAAAGANLAASAKKKASTPAPAAPPPPSMGRERRFLVVKKGGRRRRNVGSVGLSCGGGGEIDFDKCREAAREALGASQEEFFRKQRAEAAATEEPLVVQEDEVKAVAEEDVKGSAFEEGQEGNGADLEGSSKVRAMRTKAMARAMSSVPDSGSGRVKHLVQAFESLLTISGATSDADRAGEDSWELPGLQPLKGEGEGDLGHQPVPVFSSADFLNAGPIRLCSSLDGNTDRLSWDNRKSAGGRRSRRNSSESLRSSWNKKLKVTSQHPFKLRTEQRGRFKEQQLAQKVQEMLLEEEKKRIHIAQGLPWTTDEPECLIKPAVKERTEPLDLVLHSDVRAVERAEFDQHVLERNKFAEQQRLEWERQQELEEQERIRQLRRTELIPKAQPMPYFDRPFVPKRSTKPATIPMEPKFHLRPEKLSCMLTMLGYANDG >Dexi9A01G0029070.1:cds pep primary_assembly:Fonio_CM05836:9A:33833314:33837228:1 gene:Dexi9A01G0029070 transcript:Dexi9A01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding APKQTLHLRFPLRLRLPPPRRESSVGGLRAPRRDGKKDKGAAMQVKQKMYGFYKEAMERVTSARTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKSYLTADKQFLVTRNVPCLRRAISVEEEYDAAGAEVVLDDDDGDGEGWLATHGVQASKADDEEDIPSMDTLDIGKSDGIKSIPTYFTGGKKEEDEEEDIPDMDTYEDTGDNLVAAEPSYFVAEEPEDDNILRTRTYDVSITYDKFYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLLAGKHASVHPCKHAAVMKKIVDVLMSQGVEPEVDK >Dexi3B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:3B:6496251:6500862:-1 gene:Dexi3B01G0009430 transcript:Dexi3B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAPPPAFSGDDGFPAWARSAADCEARLGVTSSRGLSSSDAAARLRDLGPNELAEHPGPTLLQLLAQQFEDTLVCILLAAAAVSFLLALFSSAGEVTLSAFVEPLVIFLILVVNAAVGIWQETNAEKALEALREIQSDHAAVLRDGEWVPSLPARDLVPGDVVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTSHAVPVEDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELHGWLPRNVRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDSAGAVRTFKVEGTTYDPHDGKIHDWPAGNIDVNLETIAKVAAVCNDASVSHSSHQYVATGMPTEAALKVLVEKMGLPAGKNGLSMDLSNTLGCCKWWNNVAKRIATLEFDRMRKSMGVIVRTSSGSNELLVKGAVETLLERSSHIQLKDGSVVPLDEKAKKILLASLHEMSTKALRCLGFAYKEDLAEFATYDGENHPAHKLLLDPANYAAIETDLIFAGLVGLRDPPREEVYDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPNEDITLKSLTGKEFMALEDKKTLLLRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLIIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWDNFTVSPFTAGTRTFTFDNPCEYFQAGKVKATTLSLSVLVAIEMFNSLNALSEDSSLLTMPPWVNPWLLVAMSVSFGLHFLILYVPFLATVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCSSSSGPKRRSRKQKGE >DexiUA01G0008590.1:cds pep primary_assembly:Fonio_CM05836:UA:16234458:16235356:1 gene:DexiUA01G0008590 transcript:DexiUA01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSAGHSFEFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGESRIIRDAYAKARYLPMVRLARRLWSDAEAESGYRVLTPAPHLSFGPRDDASLVRAVENAGAEEVDLAQMWGGAIRVPDGWLTAVNEHGGGVLNANKAMAMFQALAIKMGAVVRDNSEVVSIEKGPEGGVVVKTSGGEEFRGAKCVVTVGAWASKLLKSVAGVELPIQPLHTLTLYWRIKPGREQSLTAKAGFPMFSSTGEPPVYGIPSLEIPGLIKISCDGGPPCDPTAVTGSPV >Dexi2B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:2B:30734924:30740479:1 gene:Dexi2B01G0020720 transcript:Dexi2B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPDDEAPAARAPPPQRRGISYSQPLSRDAASARRAALRNHSLDDDYVLPASHSLNYPLHHDPTHHPPLPPHQHHPSASYSANCRRSGGGGASEGSMTLERAMSEYGGGHGTLPEFVGAGGGKGIFRVPLRATMHPGRPPPLEVRPHPLRETQAGSFLRTLAAEPQRRQLWAGAESGIRVWALDEVFAEWGAGARRGDEESAPFREGVPAPPALCVVVDRANRLLWTGHKDGRIRSWRMDLEAAATAPAPPSAGGGDGAGSAGGSSHGGGNNNAPVFREALTWQAYGRTPVLSMVITPYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADHSRSKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPVMPEQPMEEEVNPKAKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDTRRTGAVAQVGLEGSANGQWWIDNIGKALDEGTSFQRVGSRQLAALLIAAWARKSLKPYVGDVDAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGTTASATSVQLHRTVNVNGNQVEEVRPDLAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLREEMKSGKVFQGMREGIIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIESNGKVRSLLQEACFVPETTVSISEITLENQENIVFQITNKCETSKAAFEILCDGQSIKKEDGTKSEVQPRASFGFPLWLEVQPAVGLIKPGETVDITLHHEDFYTQEEFVDGIPQNWWCEDTRDKEAILRINITGSSSTETKTHTINVQHRCPPSSAPPPMMNQPAAAVPPSNVLASEAHSKRSSKKSQSKHREQQQQQDYPQFGSSEVHDLCRMRCP >DexiUA01G0008190.1:cds pep primary_assembly:Fonio_CM05836:UA:15376368:15381020:-1 gene:DexiUA01G0008190 transcript:DexiUA01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLERDACTKCDGILASMASSKSFDVPGGIFDYDAKKERLEEVNAELEQPDVWNEPERAQALGKERSSLEAIVDTLDQMAQGLEDVSGLLELAVEADDEETFNEAVAELDVLEEKLAQLEFRRMFSGEYDNADCYLDIQAGSGGTEAQDWASMLMRMYLRWAEARGFKTEIIEESEGEVAGIKSVTIKIIGDYAYGWLRTETGVHRLVRKSPFDSGGRRHTSFSSAFVYPEVDEDIDIDINPADLRIDVYRASGAGGQHVNRTESAVRITHIPTGLVTQCQNDRSQHKNKDQAMKQMKAKLYELEMQKKNAEKQAMEDNKSDIGWGSQIRSYVLDDSRIKDLRTGVETRNTQAVLDGSLDQFIEAIDLNNELKTRREKLAALREQGVPFPNDFRRDHTSDQLHADFDGKENEELEALNIEVAVAGRMMTRRIMGKASFVTLQDVGGRIQLYVSRDDLPEGIYNEQFKKWDLGDILGAKGKLFKTKTGELSIHCTELRLLTKALRPLPDKFHGLQDQEARYRQRYLDLISNDESRKTFKIRSQIMAGIRQFMVNRDFMEVETPMMQVIPGGASARPFITHHNALDLDMYLRIAPELYLKRLVVGGFDRVFEINRNFRNEGISVRHNPEFTMMELYMAYADYKDLIELTESLFRTLAQDILGTTKVPYGEEVFDFGKPFEKLTMREAIMKYRPETNMADLDNFDSAKAIAESIGINVEKSWGLGRIVTEIFEEVAEAHLIQPTFITEYPAEVSPLARRNDVNPEITDRFEFFIGGREIGNGFSELNDAEDQAQRFQDQVNAKAAGDDEAMFFDEDYVTALEHGLPPTAGLGIGIDRMVMLFTNSHTIRDVILFPAMRPVK >Dexi5B01G0033540.1:cds pep primary_assembly:Fonio_CM05836:5B:33934971:33938815:-1 gene:Dexi5B01G0033540 transcript:Dexi5B01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVCVDTRHFPPPQPGPTHAYKRAPTHRTAFHPSTPPQLQNTTQRSHRAQRSAAPSRHHQTRSDFPAAAMADNLELQGRHGKSRVRVARVWRRPAAAGGDVIAEWNVAVSVVSDCLPSYTSSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVAVDGKPHSHGFKVGVEKHSTEVIVKKSGSLLIKSGIQGYSLLKTTQSGFEGFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKVLSDTFFGPADVGVYSPSVQNTLYLMGKEVLTRFPDISSIQLRMPNLHFLPVNLGSKENPLVKFADDVFLPTDEPHGTIEATLSRPMSKL >Dexi6A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:6A:9055052:9055654:-1 gene:Dexi6A01G0008520 transcript:Dexi6A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELPPRRHLSPSLSLRRPSPPHPHHISTATVASPPPMARLLAQTLTLARPGPCPSASASLRGFATKVEVIEIDLTEDDAAAGSSPGSPTSSPSVEVVGIRRLEEAIHGVMVRRATPDWLPFVPGGSFWVPPLRRPHGVAELVSRIAAAGGAEGYAGAAGSSVEVVELEAPLTEEEALSFTTARGWPSASYFVDGENRRP >Dexi1B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:1B:3572596:3573446:-1 gene:Dexi1B01G0004420 transcript:Dexi1B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVINISSNLFTGYFPSTTLGIMKNLAALNMSNNSFTGQIPRTLCVDKPFFEVLDLSYNQFHGRIPLELGNCSGLRLLKAGQNQLSGTLPAELFNINSLEYLSLPNNNLQGTLDPEHVVKLSKLIILDLGGNGLNGKIPDSIGQLKRLEELHLDYTNMSGELPSALSNCSNLTTLILKGNNFQGKLTNVNFATLANLKFLDFRSNKLTGTIPESLYSCSNLIALRLSYNNLHDPQFLNQSQAPDNGWQLQA >Dexi7B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:7B:19579214:19583307:1 gene:Dexi7B01G0012930 transcript:Dexi7B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMEQPEPEVPGHYYALQVGSYFLTGYYNVLTNQPHLANQFYTANSSVVRLDCETGQWSFGETMERINDMLMSMNVTKVEVKTANFLESWGAAITLLVTGLVQLKGYPVRKRFAQNIVLAPKKDGYFIFSDIFKLICDEYDDRYHVSDYNGAENMPQVDASYTMAETGSDYFDGEPQDVVPAAENHVQQQDPSEYKAVNGIYDETHSEEHMPSFPSSTDVKQDSPLVPQPPSPPPPEEPVEEAPKTYASVLRTKAKATAGTTEPQQPQQLVQHVQTAPVHEKSNLDNNRAVSAPDDEEEFISCYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALDASPIELNGRLVHVEERRPNCGFPSSRRRGRGRDQAGGRYDGEYATRSKGTGHQKKGGRQYDSYY >Dexi1A01G0022500.1:cds pep primary_assembly:Fonio_CM05836:1A:29170344:29173857:1 gene:Dexi1A01G0022500 transcript:Dexi1A01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAADLEVRQLRILGRIADLEVAAQQRRLEALSIDATPSEDVEAAAGTTEARLSSLLAARGVRDFVFRRVPADYYDRPLEERRGLLRADSVAQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQVIIDEAITKLAEDFFWLGGGEVDLKLGMRTSQFTSAFSPFVVKCS >Dexi1A01G0032000.1:cds pep primary_assembly:Fonio_CM05836:1A:36712449:36713990:-1 gene:Dexi1A01G0032000 transcript:Dexi1A01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVACLLPLFLIPVVNALPYVVDLILSKVYRLFGWEYRRPERAPPACPYKPAATKNDEGASESEPLVEPHGAVAAAAAAEDKKEE >Dexi6B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:6B:5651583:5651843:-1 gene:Dexi6B01G0006060 transcript:Dexi6B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGGALATAGSRLGSTGCAGGPRGSAQELASEPRTKRVKGRSYSFLRRGDPCSRRHSFHAATRAAAEGRPCSCHHRHVGAHAAA >Dexi4B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:4B:18080835:18090033:1 gene:Dexi4B01G0016070 transcript:Dexi4B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLICVCFCRSLLAGMMTQPLRLEIKRKLAQRSERVKSVDLHPTEPWIMSGLYSGSVCIWNYQTQTMVKSFEVSELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKVKMFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQITFNPKDTNTFASASLDRTVKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWSLGYMKGSRRVVIGYDEGTIMIKIGREEPVASMDSSGKIIWAKHNEIQTVNIKAVGADAEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTEGEYAVRESTSKIKIYSKNFQERKSIRPAFSAERIYGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNVYWADSGDLVTIASDSSFYILKYNRDLVSSHLDGGASAGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANTVLPSIPKEQHNSVAHFLESRGMLEEALDIATDPNYRFDLAVQLGSLEVAKAWCHYIEIAVEAQSESKWKQLGELAMSTGKLEMAEECLLQATDLSGLLLIYSSFGDAEGITKLASMAKELGKNNVAFLSLFMLGKLEECLQLLVDSNRIPEAALMARSYLPSKVSDIVSIWKTDLQKVSSLLFAFFRMVNSKAAESLADPAEYPNLFEDWQIALSVEATVAPKRGVYPPAEEYTTYAERSNESLVEAFKSMNVEEEASSENGEPAHEVIEDDGVEESQEDAVEVEPDDSIDGGVLVNGNDGEEHWGMNNE >Dexi9A01G0038590.1:cds pep primary_assembly:Fonio_CM05836:9A:42775805:42778413:1 gene:Dexi9A01G0038590 transcript:Dexi9A01G0038590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHIGSVDGPAAAAANGTVGCPASAPGCPMASTPAQPAATMSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRMSNKMGLEAAVEATVEFLNKAVKPVTAQDVSTMIRCQQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTAAIKTAVEEKKDCLCFIEVICHKDDTSKELLEWGSRVSAANSRPPNPQ >Dexi7A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:7A:22637145:22637855:1 gene:Dexi7A01G0012410 transcript:Dexi7A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFHQAATGRHGADVLPPLPIAAPQPERVPVPRDLVVPLHNPGDLAAEAVGRGRVHQDDLALALAAGGAGGPEELGLEPLEARRAEGLELELLQHVLRRVLQVPELAQPERDEFVAHHPSSAARSVARMRLSLALSLSSSASSTAAGSAEGPNGRSSGAARRLARNAKSSRKSRRSSTSLASLRGAMGVKSALELALVSLAFRLADVSGVSRLAQGHRLHRTRAKGGTTGTTAI >Dexi2A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:2A:28824497:28824725:-1 gene:Dexi2A01G0017050 transcript:Dexi2A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVQSRGRAGAHHHDHGEASAAFPPARAHSLGSCTIPVGRSGQSGWIFLHAALFPADRLV >Dexi8A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:8A:27105257:27106658:1 gene:Dexi8A01G0016000 transcript:Dexi8A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSCLQPDYSDHHGNHTSSAFRNCMCLRCFTQHLINAYTVLFRAGSVHSVSQAIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPARDWLRREASSHSPEESEPLRANDDDEEEMETPSRVDKASKTNYDTKMKICSSAYGDKVPPKELGSYLSYFSPSAEDEDVCPTCLEGIITVLVHLYPQYIVKENIAKFNGNAFSNLNNEVT >Dexi1B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:1B:21796067:21800702:1 gene:Dexi1B01G0015280 transcript:Dexi1B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAGGGGRRFPKSSLAPSAAEATPAFDSSAIPIRNLNSAFSRRDSDAASLCSSRPASSVGVGAAPNFSDRATQAAALRVVNAYISPAVTLRGPLPAARDIQAALRLLLERVDFPPNEATFEEDLIQALRLLGCPYKITRSALKAPGTPHSWPPLLSVLHWLTLFAQYCDAEASSASDAPSNEFMLYVTQGYCHFLSGDDDAVEALDEEFASKSRMKLDSTVATVRALEKEEQELEAEVNKLTSAPSRQEALEAQKEAFNADIHKFEEVVKTWKTKVDGRQDALQDLEKELEAKMLDARSIAAENQDLMKKVDSQAVNTRDVERMHREMQAIERDIANFESGKATLEDKGWELDAKLVTKLEELEGLAERCNQALKKLKPSIDFEYKINAKGSSPSEMLGLSYKTVLKPALKSHAEENKRLAMSNLQESADLLKQVQGNVKILEDEKSNVSSLQATHDEVRTTYIAKVQGILGVASPKVLRWGIASPKMVAHLNSLDREIMNDDSRCTAEARHMEDELGKKKNMLSSAEKEANEFAKNSEKRLQDAIIKEDKETQAAANELLQLAHSIAEYKKYMEAIIAQRRKDLYETADYIAALASKSSSPKPPHT >Dexi2A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:2A:33310199:33310444:-1 gene:Dexi2A01G0021140 transcript:Dexi2A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRDEANNHGSKTREGRVVAATVRGPVQRLELDQGPRPAGTAQNMEQLATNAAASSNAFDGDGATYGVAIERDGGSDT >Dexi9B01G0029570.1:cds pep primary_assembly:Fonio_CM05836:9B:32079304:32080102:-1 gene:Dexi9B01G0029570 transcript:Dexi9B01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDLKLLGVWDSPYVNRVQIVLNLKGLTYEYVEVDLLNKSELLLKSNPVHKKVPVLIHHGKPIAESQVIVHYLDEVFAGTGPSVLPADPYERATARFWAAFVDDKVGSPWHTILFAPEAEKKADAAARIITALETLEGAFEECSRGRGYFGGEGMGFVDVVLGSYLGWFKVFEKMVGVRVLDAARTPLLAAWGERFAAADAAKDVLPDDVDKVLEFLQMFLN >Dexi3A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:3A:11518156:11523559:-1 gene:Dexi3A01G0015490 transcript:Dexi3A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWLRLFPPPYLIHAMAATLHSKINRKRLAELDIIEICEEILNPSVPMALRLSGILMGGVVIVYEKKVKLLYGKLLPLSFLAEIIGAWRVKPSTDPTVLPKGKAQAKYEAVTLPEKIMNMYVEQPMIFSEVDAARFRGMSLDDLDEHYVNVNLDDDFSRAEHAHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDEITVNITPDEGPQVPSMLFPSPPRQEDPPQQGQYYAAPSPVPSTPVPSSPRQEDPPQGQYYAVPSPVQEEHQQGGSREEQEEQKMEKQQPRKASKRKGCRKLPQVIMDNNQIMIPGNLYQTWLKDTSSLVSKRRKVNRNFNFIRTTKISDLMDMPPVALISYSEKSSSELYYPKPLMQLWKECTEVNSAKASSSGQPSSSQEQQPRKSPPREFPPQPEGEYQMETGANLMDFPDGFEKLRANVSTEYDAYNTLHSDHSVTPGSPGLSRRSASSSDGSGRGFLSSDQEMQLASGSGRSKRKQHSSGRSLGNLDPVEEDFPLEQEVRDFKMRRLSDFEPTPDLVEETEPTQTPQTPYEKRSDPIDKVTESIQSYLKQHFDTPGSPQSESLSLLASGMTSASAARLFYQTTVLATFNFIKVSQLEPYGDILISRGAKM >Dexi7B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:7B:17146592:17150919:1 gene:Dexi7B01G0009570 transcript:Dexi7B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGELFLAALCVVVASTTLALAASAGARKTVGVYELKKGDFSVKVTNWGATIMSVVLPDSRASHFFFQFFLTSKLALATAQNDTSFFGPVAGRVAQRIARGRFVLDGKVYHLNINDGRNTLQGGGRGFHKVIWTVKEHVGAGDYPHITLYYRSFDGEQGFPGNLDAYVTYAVSSPYTLAVHMNATALDKATPVNLLQHTYWNLAGHDSGGDVLGHTLRLSASRYTPLDAEMLPSSGRVAPVADTPYDFWTPTPIGSRIRDVMGGRVVGYDANYVVDGEPGEMRPVAEVRDGASGRALELWGNQPCMQLYTGNWLSHAPGKGGKVYEKYAGFCLETQGYPDAVNHPEFPSQIIRPGQVYKHDMVFKLSF >Dexi6A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:6A:3195860:3197425:1 gene:Dexi6A01G0003570 transcript:Dexi6A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPPPSLTLHRSSVTSAARVTAAATAAPGNAAHLSNLDKLFRNRGASSVETTSPPATVSPVLGTKRRKQPLLRLPSFLTRRARGAGEDPTTSSAPAMSPRRLERLLQPVAPDGPSPRGNIAATWRRLHGEDGWRGLLDPLHPDLRREIVRYGEFVDAAYASFLSHPDASPVAGGHGHHRLSPPALPDAAYRVTAPLFATSSVKLPPWLATAAPCAAQRTSLVGYVAVCDSPGEVRRMGRRDIVIALRGTCTVLEWAENVRAGLVPATDGNNSDEKSSPETTSPAAAKVECGFWNIYKTAGEHSPSLSEMVVSEIRRLLEQYKGEELSITVTGHSLGAALAVLIADELAGGVAGEANAPVAVFSFGGPRVGNRAFAARAEARGARVLRVVNAHDVVPRFPPANLPLPGYADVGRELRLDSRASPFLRPDADVACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLVKNQGGNVKQLYISKAKDLRIQLDSADMPGPMMLGRAGDMAGAAASTVVECVH >Dexi1B01G0026310.1:cds pep primary_assembly:Fonio_CM05836:1B:31184262:31189406:1 gene:Dexi1B01G0026310 transcript:Dexi1B01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVGSKAIRPKGSRQNVLIDGGSDDSLHKKKGRKDKGEKPRKGGHGSSKGPGKPQHGKDKKQRRGADGKKGEKRGKDHHSGTSVVMNPGNLKNQDSQPSSNTTKPMQNVLRKRVDPETAKYFMEISNLFDNKEIDLDERSTICANALEETRGKELELATDAVISHTLQVLVQGCDLEQLCTFLRNCIGSFPVIAMDKNGSHVAEAALKSLATHLQDETSRTMIEEILNKICKVIAADAANVMSSCYGSHVLRTMLCLCKGVPSESLQDFHTTKRSAVLAERLSSGKNQTGGHGPGNFEYGFSDMFKSLVREMLHNAKADIATLRVDKNSSLVLQTALKLSSGDDNELHHIISILLGYDEYDTVEKRYYNEKREEIVTLLEESAYSHLLEVIVEVAPEELRNGMLVGTLKGALFAISSHHCGNYVVQALISSAKTSDQINQIWEELGPKIKELLELGKTGVVASILAACQRLETYRLESSQALSAALSSDSESPDSIVAHILFLENYLRERSYWKWSFGAKMSVLGCLMLQSIFQYPHSSFLPLCICGRLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVAELLAVQSELSKTRHGFHLLKKLDVDRYARRPEQWRASLTSKETTHRQFEAEFCSNSKSVAQNFEGKFPSQSPVKKRKQKEKSDKLTDDDSNNNPGLSQNRNSKRSKSAKATSEKEYHKKLSSEGTSMTLLKESGKRKSPGFLSDKPSLKKQKHQRPDSGKPDGKRFVQGSSSSTPFVKITGRPKQSITELADLAGKEKLTAAEVRKLLKPEMSGKS >Dexi2B01G0021810.1:cds pep primary_assembly:Fonio_CM05836:2B:31482987:31485459:1 gene:Dexi2B01G0021810 transcript:Dexi2B01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGKSGPSSWPEMADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYCAGERSPRALRLTNEAIELNPANYTGSIIIFRHHKRWLAEKLGPGVANREHEFTMKILALDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDIFNNSAWNQRYLVITRSPLLGGLMAMRDSEVDFTIEAILANPRNESPWKYLKGLYRGENNLLVADERISDVCLKVLKKDWSCVFALGLLLDLLRLGLQPSDELKAALESTRHYDPEMADDDFATTVCCILQKYDPLRINYWSWYKSTLSS >Dexi2A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:2A:15761981:15770476:1 gene:Dexi2A01G0013090 transcript:Dexi2A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVAIVPGKPQVQIGSHSFTFDHVYGSTGTPSVAMFEQCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIESLKSQVEFQLRVSFIEILKEEVRDLLDPTAATVGKVENGNGHAKLSMPGKPPVQIREASNGVITLAGSTEVHVSTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKTDPIMTADGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTPCISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQIEYLQAELVSARGGVRSDDVQGLRERISWLEQTNEELCRELYDIRNRSQTDSCDPEIQKTLNGFTKSEGLKRSLQSTDPFDIPMTDSVRGNPKDIEDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGQAHKLRDAQLQKLKSLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKEILEARKSSSRDNSAGTNGTSPGSNMGERSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDIRAGASSPQRGKNGNSRPNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRSRWNQLRSMGEAKSLLQYIFSVAADARCQAREKEVEIKEMKEQMTELVGILRHSESRRRDMEKQLKQREQTAPMATTPPRSGNGTAKHSADDPSTPLSPVAVPAQKQLKYSAGIVNSPSKGISALNKEQLKMVPIAQLSVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETIIRTRPRPQLLPLKPHRMI >Dexi5B01G0036110.1:cds pep primary_assembly:Fonio_CM05836:5B:35937841:35941558:1 gene:Dexi5B01G0036110 transcript:Dexi5B01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSQTCLSTTGGGGSYHSPASHLLELEGLRFLLDCPIDLTALAAFSPVPLTGDAGGLIRAVPRYLSPAAAAAAKVGGVDAVLVSSATGMLGLPFLTRLPGFAHTKIYVTEVAARTGKLMMGELVEMHREFVRYYGPDTGELPRWMEGEKLNELLSMLEKAVTVDKGYDLSSLMPLYSPGNIEECMQKIQPVKYGEEVCFNGIFTLKASSSGLELGNSVWAIKGPRASITYLPSSVFVSAHALDFDYSSLKENNVILFSDLSSLNDMDVDNDKLDEHAMDETEHSLCRRSVLRDDGADVDEKITFLCNNDDIKEEIERISYICSCIVDAIKSGGSVLIPIGRLGVILLLLELISEMLHSSSIKVPIFMVSETAEEIIAFTNALPEWLCKSRQEKLFSGEALFDHVDLLKEGKLFLFPHLHSKDLIAAWKEPCIVLCPHWSLRLGPAVHLLRRWHSDKRCLLVLEQGNDAELCLKPFMPLAIQVLECSFLSGLRTEKIDTLLGVLKPKFVMVCSLFPQIK >Dexi4A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:4A:8024111:8025903:-1 gene:Dexi4A01G0010080 transcript:Dexi4A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRFPLLELAYDDTHRAHMIADQNMVLPWLRARVHAPMHWDSRYAPFVRTKRYTENDWRVTHQDYLHMWEQRQRHNIAEGEDWFAGENHHYLLWFHRVARTRLRPTVMEYNMEDVDTDAEDDYDVDTR >Dexi6B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:6B:3273772:3275236:1 gene:Dexi6B01G0004020 transcript:Dexi6B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREWGDPPALAAWNATSASAAGGAHCRWQYVGCDSAGRVTSLVLRGLDLRGPIPEAIGGLSRLTHLDIFDNSITGVSPTLYRCRTLQYLKMSQNNIAGSFPEAIGNLSSLKHLDISYNSITGVFPTALYRCRSLRYLDLSWNLIGGELPDDIGHGLGANLNTLCLAVNSLNGTIPSSLSRLQNLQYLILGRNLLTGTIPMELGELTSLRSVWLSDNRFNAGMLPMSFKNLTNLVVLGVDNCNLTGDFPSSVVRMSQLEVLLLQVNSLTGSIHQGVWGLKKLRSLDVSYNNLTGDIVVGSFAAMSLIDIDVSSNNLTGVIPEVFGHLENLTDLRLSNNRFTGTLPPELGRHSLGLCTVEADNNELIGVIPEGLCTGGQLKLFSAMGNRLNSSIPVGLAKCETLTELHLDNNQLSGEVPKALWITGQLEYVSLQSNQLTGSLPSTISPVQYLPI >Dexi9A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:9A:1497141:1504253:-1 gene:Dexi9A01G0002790 transcript:Dexi9A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLAALAAACALLVAAAPSAAAAAAAEAAKNSTNKFRQREASDDMLGYPHLDEDSLLKTKCPKHVELRWQTEVSSSIFATPLIADINSDGKLEVVVPSFVHYLEVLEGTDGDKLPGWPAFHQSNAHSSPLLYDIDKDGVREIALATYNGVVNFFRVSGYVMMDKLEVPRRKVRKDWYVGLNPDPVDRSHPDVHDNSIAKEAAFKESPPVDQNKPGSMEGGEALKNASEGHSVETKPNSTQAQENVELLNIANNTHSENISSVTTAADTSQTQRRLLQTADKSDDKTGSSNTHENDSGAKAATVENDEPLEEDADASFDLFRDPEDLPDEYNYDYDDYVDESMWGDEDWKEEEHEKAENYVSIDAHILSTPVIADIDKDGVQEMVIAVSYFFDHEYYDNPEHAKELEGIDIGKYVASGIVVFNLDTRQVKWTAELDLSTDSVNFRAHVYSSPTVVDLDGDGYLDILVGTGYGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMEWRSSNQGRNNAAYRHNRQGIYVKHGSRTFRDEEGKNFWLEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGDRRIVVSSVYHEPGKQRLMLPTVPVRTTGTVVVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFGVLVILRSQEGARLPSFSRNID >Dexi3B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:3B:160761:170470:-1 gene:Dexi3B01G0000190 transcript:Dexi3B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAPPPTTSAHSLKPRPTSPPTSCRFLSGRQPIVLPSSPIQSQADPPVDLLSRGVRTPPPACLRALTSNSRERGLLLRRLVSPRRRPPRTPTPPLVPGRGHLLHLWNPTIPPRLPNPFAREHPRPTDPANMPPPDDPVLAACKRKLAHFRIKELKDVLHQLGLPKQGKKQELAERVMTSLFNQQDHVSGREVAVKIVEDTYSKMQDPTTIVAASRSHIESGHSVKPKKKLDDSAELNVKVRCPCGNSKPNDRMIECVDPQCNVRQHVGCVVIPENEKSADSLSPDLPSCFYCEMCRISRADPFLVTINNLLLPVLIGPSTIAADGSYTVQYTTKSFQLSRANREMLQKSEYDIQVWCILLNDKVPFRMHWPLHSDMQVNGIHVRVVSRQPSQKLGANGRDDGPLLPCFCTCISPFDSKHVYVTQLTDYMREGPNKIALSRNDSRTFCLGIRIAKKRSLDQVLNLVPKEQDGEKFDDALARVRRCVGGGTEANNADSDSDIEVVADSVSVNLRCPMTASRIQIAGRFKPCAHMGCFDLEAFIEINKRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIKHCGDDTSEVDVKPDGSWRVKGRAELKDLAQWHLPDGTLCVATDTAAKPKMCIVKHEVKEEPLSEEVGCSLKLGIKKNSNGKWEINKRGDTDLVQSSDIDHARHMGNKTLTCSIDGTNIGDEGYNSEPARNDYPTTYVHDLDSSASAENAPPASTEQDVIVLSDSDDDAVTVLSPSAVICGSAHDTGNLFPPNPPETSFPSLKEGFDDLGLSFWERPPSPADDPTYQMFDEPVSVVDLGVKAVAASAPEDGHDGTLQPIQACDKGTTAGTNEGLVNSQNESRKRRNSGDGTAAALDGAAVGGRTGGDGVSEAELEEGRSVRPRLVLNIDSDSDE >Dexi5B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:5B:2630189:2632149:-1 gene:Dexi5B01G0003800 transcript:Dexi5B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >DexiUA01G0010370.1:cds pep primary_assembly:Fonio_CM05836:UA:20702744:20703059:1 gene:DexiUA01G0010370 transcript:DexiUA01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPGGPLFNPLGLAKDIENAHEEKLKEIKNGRLAMVAMLGFIVQASVTHAGPIDNLLTHLSDPFNKNIIHAFSSS >Dexi3B01G0026460.1:cds pep primary_assembly:Fonio_CM05836:3B:21594138:21599150:-1 gene:Dexi3B01G0026460 transcript:Dexi3B01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSAGDVRGGMEAVGGGGGERSRGAAAQQGGPNDAVDYFFQTRGLRGLYTPIELSFSATKLRNMDAFSKSDPMLVVYTNIKGKLEEIGRTEVVLNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHHTPVKMLKLDQQDFLGEACCNLSEIVTKFNQSLTLNLRSNCGHGLHGTMTVHAEESDLSRMAVEMTLRCLNLENKDVLSKSDNPLLVECFDFDSSGNHELIGAFQTTVAQLENLYTSKSGANFYSRKGQRKMKGQLFVDRLEEKVQHTFMDYISSGFELNFMVAVDFTASNGDPRVPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDKRFPAWGFGARTQGHVSHCFNLNTTTNDCEVVGVEGIMSAYTSSFYNVSLAGPTMFGPVINKAAEIASQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFNQMEILDADNGKRLESSTGRIATRDIVQFVPMREVQGGQISVVQSLLEELPGQFLQYMRARGITPQKQAPAGHASVPVYPPQQ >Dexi7B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:7B:24749416:24752829:1 gene:Dexi7B01G0019100 transcript:Dexi7B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSTPSRTLAPAPAPAGLRGGRAPAQAHLPRGALAPRRSRALRVRASVAIEKETPESEPPATFLREDGRGVGSGSVRERFEAMIRRVQGEVCAALEEADGGGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAADAQKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPQDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFHPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVLPAYIPIVERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHKPEEGTEEWKLLDACINPKDWI >Dexi5A01G0033920.1:cds pep primary_assembly:Fonio_CM05836:5A:36117192:36121289:-1 gene:Dexi5A01G0033920 transcript:Dexi5A01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCTLVVHFDKGSPSMANEIKAELESGDGPTKADAMRRAISLLLNGESLPHLFITVVRYVQSCDDHAVQKLLLIYLETVEKRDAATGKILPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLTEPELLEPLVPSILANLEHRHQFVRRHALSAISAIYQLPQGDQLIPDAPELVERALASEQDAAARRNAFLMLLASAQERAVAYLLTNADRVAEWPDLLQMAAVDLIRKVCRSKGRVDKGRYIKIIISLLSAPSPAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIILDRLHELRTSHREVMVDVVMDVLRALGSPNVDVRKKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAAEVEKGGEYRQMLVQAIHACAKKYPEVAASVVHLLMDFLGDPNVAAAYDVVLFVREIIETNPKLRVSIIQRLIDTFSQIRASRVCNCALWILAEYSLSLSEVESAISTIKQSLGDLPFFTVSEEGESTDSNKQTQPVVNSVTVSSRRPAVLADGTYATQSAATETAISAPAAALGSLASSQNLRSLILSGDFFLAASVACNLTKLVLRLEEVQPSKVEVNKASTGALLIMVSILELGQSSYLPHPIDNDSFDRIVLCVRFLCNPGDDVKKVWLQSCRQSFINMLAEKQFRETEEMKTKAQISHAQPDDLIDFYHLKSRKGMSQLELEDAVQDDLKAATGQFTKDADDNNKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >Dexi3B01G0036640.1:cds pep primary_assembly:Fonio_CM05836:3B:39540595:39544042:1 gene:Dexi3B01G0036640 transcript:Dexi3B01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSRAAAVALRGAARPNHLLLRSSLPKETPLPPLLLLLLLLLLFTTTATLPAVGRVGWAARAAQHGCAAPAMALGAFGVAARCNATSSSSSAVSEAAGVHTLPRTEPVVSAEWLRANLNDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSALGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKIWVLDGGLPQWRASGYDVESSASGDAILKASAAGEAIEKVYQGQPVGPFTFEAKLQPHLIWSLDQVKENIKTQTHQLIDARSKPRYLTQILL >Dexi3B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:3B:5585946:5586956:1 gene:Dexi3B01G0007890 transcript:Dexi3B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTHVVVFLLAVTSLLLTTATTAAGEKRCHSDDKAALQAISAALGNYLASEMDIPCCEWFSVDCDPSTGRVVGFSILDDANLTGTIPDAIAGLVHLQELVFHKLPAISGPIPPAIGKLTNLSLLIISWTAVSGPVPSFLGELTQLTFLDLSFNSLTGSIPASLAAIPNLSGINLSRNRLTGAIPPLLFSESPREAYLWLSHNNLTGDIPPEFAAVGFSEIDLSRNALTGDASALFGQGKPVHQIDMDLSRNGFSFNLSGVELPAQLSGMDLSHNAIYGGIPAQVANLSNLVLFNVSYNRLCGEVPTGGNMGRFDAYSYQHNKCLCGAPLANPCQ >Dexi9B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:9B:1499279:1500761:-1 gene:Dexi9B01G0002650 transcript:Dexi9B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDGAAASGKLAEHSTGGGEKGLPRRGKASSGRTLNTAQIPLVASHPEVYEPCDDSFALVDALLSDKAQLLALQPRLCMEVGCGSGYVITSLAIMLRQLASGTQYLATDINKHAAETTQATLEAHGVHADVMVTDIVSGLEKRLAGMVDVVVINPPYVPTPEEEIGCKGIASSWAGGLNGRQVIDRILPAVREILSERGWLYMVALEDNDPLDICHLMSEMGYASRVVLKRCTEEESLFVLKFWRDPHTSTNASPRSPKSESWFSQLPFKSLWHKGS >Dexi8B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:8B:6221539:6222009:1 gene:Dexi8B01G0005860 transcript:Dexi8B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLTYYKEGRVAAAVRSLLDRRRVGGGGKSGAAAGCFSVYVGAGRERFSVPVERANHPLFRRLLDDAEREYGYAAQGPLALPGCDVGAFHAVMWKMEHDGDEEEGIYVAASLSSSPMCGLIPRSGGGANGGRVEGEYYRMPSPTTARSCRRRWWL >Dexi9B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:9B:9261476:9261769:-1 gene:Dexi9B01G0013820 transcript:Dexi9B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGGGGGATLSEMYQSARRLLLSARDGVARVERLSSAPTSSSYSSAPLVGGGGPGDPAAAEGVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKR >Dexi5B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:5B:1269371:1270924:-1 gene:Dexi5B01G0001980 transcript:Dexi5B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPLGPTMKHIILCLQIFVLLSSFSCSMQTQYTAIFSFGDSYTDTGNKAIISGPVTPNLWITKPPYGMTFFGHPTGRLSDGRLTIDFIAEALGLPLLPPSLTKNQSFKQGANFAVAGATALKQDHRALHMQAGGGARLPPPSNISLSDELGWFDAMKPSLCSSPQACKEYFGKALFVVGELGWNDYGVMLVGGKSVPEVQSYVAQIVETISAATEKLINDGATSILVSGISPMGCAPGNLVFLGTNNAADYESDTGCLKALNQLSKEHNAQLRRALSSLTGEHPGVRVSYGDLYTPVAGFAASPGRYGFDGAGGALRACCGGGGGRYNFNLSAACGMPGVSACKDPAAYVDWDGVHLTEAANRRVADGWLRGPYAQPPILLSTA >Dexi2A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:2A:7382776:7383348:1 gene:Dexi2A01G0007500 transcript:Dexi2A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLATSGIFREKTHQEHGVAAPEPYYHLTTASRLLVDDEDTTRGGHPCISQLLAMCSSPFIFTASLNLDEWLKEEEDARTPFAMAHGAGVYDVVRRNAAFGSCFDEAMASDSRFVSEIVVRDYGKVFAGVTSVVDVGGHNGTMARAIVKAFPHVTCSVLELPRVVDAMPADGTVEFIAGDMREFIPPAE >Dexi3B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:3B:6482054:6482977:-1 gene:Dexi3B01G0009410 transcript:Dexi3B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPTMVIQDDYIDMDLTPAATPMPPSSPRFEFQSTATGGAKQSLPAFASPADELFYKGKLLPLHLPPRLQLVQRLLQEQQPAQALQGVDKKREAESDAAAEEEDAAAGKACAAKKPSWAKKLKVVKRWASKEYIRSFFLARPTPSDLVVDGTANGHGIGSVSARGSVLQDQEEVCHHRKSFSGIIRRVRLVATKAPGTSPLCSSSSSSSSSTPSCGNANGFFFRSAAAAATMPVLKRSSSAGSEEGAIQGAIAHCKRSQLVQPGMVLSARRSVSDVMFYSVTNTPRASSVAAGEVSQERQEMCRG >Dexi5A01G0033880.1:cds pep primary_assembly:Fonio_CM05836:5A:36084516:36084917:-1 gene:Dexi5A01G0033880 transcript:Dexi5A01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNALKISDEARTMNKDGMNTTVANLANNCVHTDGDTAAAIPRYERPLLCVRLPRVAVAAAVGHRGEEPAVDWTLPCRFAAAPLVEWTLGYSPRSTPSGGGGGKKLQSSPTLAGTLPVVSSLSSLKSTDSW >Dexi4B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:4B:21859061:21861482:-1 gene:Dexi4B01G0019670 transcript:Dexi4B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCNVTLGPITGYANSDVTVYARFWIGNNARMINDVGRNTQKAVAIDHIDLADGDLCIEIHMCSAQRIIGTIRLPLRFATVCDCTYEIPFFTRRWGGHVDWTPNNRTPNSFIRVRNLYAVFATEGYNHLEASCPSVLTELLMLMGVQNKKRIAMGNK >Dexi9B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:9B:6368091:6368767:-1 gene:Dexi9B01G0010260 transcript:Dexi9B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHTLRVARSSGKGLGLALGRIGGGAARVRSVSATSATGTPVPGDQGVAMEHPKQQLQPQVPTQDAAANSKRNDAHKTIGDVMSHSFGEGYATRSEEEGFGGVYGRPDPEEEHSGADLHPEYDTLQGSEVKEKEKARHHRDEKHAT >Dexi2A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:2A:29617764:29619359:-1 gene:Dexi2A01G0017650 transcript:Dexi2A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSVSAMLAAAVAILVAVLASLPILRRLLFGSSAGDKTKPRRRPLPPGSFGLPVIGQTLSYMRALRTNTAEEWLRRRVAAYGPVSRLSLLGCPTAIVVGPSANKFLFSGGAALTTTSSESMARMVGRRTIRDVSGDEHRRVRAMVVQFLRPDAVKRCVAAMDAEVRRHIDAEWRGRGSVAVMPSMKSLTFDVMCTVLFGLARGPDHDAVRRELSTEFQQLVRGISVIPLDLPFTTFRKCLAASRRGRRAVAGVIEERRARLARGESSPPADDVVTHMLAEGLPDEEIIDNVMFLMIAAHDTTAALLTFLIRHLESNTEAYDKVVQEQEEIARGKAAGEALSWDDLGRMRYTWAAALETLRLVPPVFSNLRKTTEDVEYGGYLIPKGWQVIQAVSLTQWDPAIFPEPGRFDPARFENPSSAVPPFSFVAFGGGARVCPGNDFARVETLVAVHYIVTRFRWKLAAGCDGSFSRFPLPYPSQGLLIDLQPMAGN >Dexi3A01G0026250.1:cds pep primary_assembly:Fonio_CM05836:3A:22337871:22339355:1 gene:Dexi3A01G0026250 transcript:Dexi3A01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSSAPRPPPLAGEIQVASSPGLPPQHDDVLGHRSPADSEGRPPRGADTFFSAGAGEQCCGAGQATTFCRLVTEFLLFSCDFAFGCSLRSDHCSNTGPLPSQAFPQPAMSDVPPSSPAPVKLPDDDDLLWEILLRLPPFPSSLPRASLVCKRWRRLLSDARFLRCFRAHHKTPPQLGFFTQSFTEPILFPTLCTPDHIPSARFSLPQRTGKSWRFLGCRHGFVAFLDVTRWEAVVWEPVTGRQCRIAFPPEVKFDKNRYIFNGAVLSSAGLNCNGHLQSDYHLAHFKLVLLFNNGEENLVCARLYESESGKWGDMNSIVIPSRPFLFDPGVLVRNTVCWHIHRGNILEFNLDTGSLSIIQKPEGTSLTEQSSIRVLRTEDRELSLAIVSKLSIQLWGRKANLNGVVRWVLQKTVQLDKLLPVKPLGKTWITKILGFDEDSNEIFLLTANDIFTIQLESMKFKELVTDSFITEYYPYRSFYAAGNIAKVIKPD >DexiUA01G0010420.1:cds pep primary_assembly:Fonio_CM05836:UA:20780735:20782983:-1 gene:DexiUA01G0010420 transcript:DexiUA01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLIVLALSLAAAAAAASASASGARPVIRTVTDIPAAVSSGAAADALFCDSWRLSVETANAGPWKFIPARCAAFVGDYIEGPRYASDSAVAAADSLAFASGALAAAEVGAAKPAWVFDVDETLLSNAPYYAVNGWGSQEFNETSFDEWVDAAKAPALPSSLKLYNELQALGFHVILLTGRTEFQRNSTEANLLFAGYRSWEKLILRQPSDIGKTAVKYKSERRAAMEAEGFKILGNSGDQWSDLLGSPMATRSFKLPNPMYFIS >Dexi1A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:1A:638057:642137:1 gene:Dexi1A01G0001000 transcript:Dexi1A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPISEGMESFTNGNVRLLKHERSIIAEDDLDQRWQEATGEPVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGTPGWAAMPNPRIGPWLRLMQKIAKEQGLVPEFEITLEATHHGPVTSTPTMFVEIGSIEEYWGRQDAAQAIALVLWKGLGLEDGNAVGSWQGNGEKVLLGIGGGHYAPRHMDIVIKNGVWVGHLLSGYSLPMDTPSQVNGKTSGEVSGMWKHSIKVSYEATKAAFPGGEVIAHLDHKSFKGWQKNAVTSYLQEQNISIGKPSDFF >Dexi2A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:2A:9040923:9045029:-1 gene:Dexi2A01G0009000 transcript:Dexi2A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGGGRAKVTPNLAVDGEGTRTLNLTVLQRLDPAVEDILITAGHVTLYDFDTDINQWSRKDVEGSLFVVKRFVSSSGPRAMGAQPRFQFIVMNRRNTDNLVEDLLGDFEYQLQAPYIMYRNAAQEVIGIWFYNSQECQEVANLFSRILNAFSKVPPKPKIPSVQSEFEELEASPALVEGPLEPQTSNIISTATHVQEDPLSAFFSASSVSGIPADVHGGTGPIYQLLHLNILVPLSSHKVLPCFSLFRYLQLHLLHHT >Dexi2B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:2B:30728134:30731564:-1 gene:Dexi2B01G0020700 transcript:Dexi2B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSRGPNPTRHAREDQDSRRAEQITSAPPRRTRVSGSPLGSANDGASRISSSERANLTHIHRHRAEEEREAEHTYVCLEKEEGPAAEAAEAEDGERLLRKIMYASGSTTPAIMIAAPIRYLAGEGGGGGRFR >Dexi6A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:6A:1086854:1087876:1 gene:Dexi6A01G0001240 transcript:Dexi6A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHFNLKATRKELTEYKDQQHCIVPDLYSDVFKDDKLGDGPLISTQAFPQPPCVQLARHIEIGDGSHNVQSEVEVNDCSLGDLMTERAESLHVHDAMARAATPRGNWSKLRWCWVERCPNLDVVFPSGASEDNKLETIWVSDLLKARCIWCKGRGTVWEKARTRPSACFASLRHLHLRSCPSIQYALPLWSPSFPSLETLHIIHCGGLRHVFEQDDEEHHNSIEFPNLTTIHLHDLPRLQQICEGAETLAPALDTIKIRGCWSLRRLPALKGHKASTKKPGVEVEKDVWPGPSPIWPGPIWPDPIRHGELLVPCLAAQARH >Dexi3A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:3A:12155829:12165522:1 gene:Dexi3A01G0016260 transcript:Dexi3A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLGGTLGCADGPPGLDIFEYNMSEKSSDAATGDLRPEGDVPDQNGRDGMPSLQQEDHERAYFDSADWALGKQGGNPQKPKGPLEALRPKLQVQKLNLIAQSVHSPTEDVSSPTENAGQNQNQTEGKDKE >Dexi5A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:5A:1326528:1328913:1 gene:Dexi5A01G0001870 transcript:Dexi5A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVRPGVVWAGALALVLLLAACTAVAAVSITRRQHRASSAASCDAFAAGRWVVDESYPLYDSSRCPFIRDEFACARFGRPDKMYLKYRWQLDPPCAQPRFDGLALLRMWRGKTVMFVGDSLALNQYESLLCMLHAAAPGVRTTLTPASGKIDPSSTVRFEDYNATIVYYLTHYLVDLVPEKSGRVLKLDAIEQASNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVRDMDRTKAFTKALHTWADWVDANLLHTDTKVFFQGISPSHYKGSDWGASPKKTCMGETMPVNGTGPYPGGPIPQQAVLKSVLAAMAKPVYFLDFTYLSQLRKDAHPTKYDGGVFAGDCTHWCVAGLPDTWNVLFYAALTGQL >Dexi4A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:4A:14687830:14688225:-1 gene:Dexi4A01G0013800 transcript:Dexi4A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGNTVVALAMLAFLVASAASSDSMCCREHRPRGEQNPMMGCSPDQNDACNSWCQTWCRGGVCKFRGGHHKCHCYC >DexiUA01G0005130.1:cds pep primary_assembly:Fonio_CM05836:UA:9283469:9288934:-1 gene:DexiUA01G0005130 transcript:DexiUA01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKIISGALIVLIAVASRWSNSNSTTFWLYLTRANKRESQSSKLYFVQVILVAISSIMVWLTTSHRSQNRELHSLHQLINWSVAGAAMVLPLFSPPSVLPRLTSIFLGFAPSFLLLSIGYEAVFYSAFAMVLIGWIFVESANLYSLEESGSARRGSLVDSSVFGYEERHLQLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVHDNKGNGTPVRPFGRKQGKPE >Dexi9A01G0046600.1:cds pep primary_assembly:Fonio_CM05836:9A:49960671:49961707:-1 gene:Dexi9A01G0046600 transcript:Dexi9A01G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVEAQQSLKKAHDYIKTANTAILRLWSIALARSPKHTETMIWILTGSAVAVAVIPFKFILIGLAAGGFAANTRIAKAMSNPQGGRRWREWWESIPAVPVRTVDKSQL >Dexi2A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:2A:16403895:16404870:1 gene:Dexi2A01G0013150 transcript:Dexi2A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLFRSSVLLSLPIALLLVPCSAQVGDSCTSARDCGTGLYCGSCPATGRTKPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNDDVWLCHSLQGQCYNFTAFLISHL >Dexi7A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:7A:18133476:18135933:-1 gene:Dexi7A01G0006810 transcript:Dexi7A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSTRSSISPFRSRRSAPAAAAAPPPPSRTSSGGRPSTPSSTASARPTTPSSTPGGRPATPSAAFARPTTPSSSARPATPSSTASARPTTPSSVSSRAAGRAPLVDAANAKENIMVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFASFKM >Dexi3B01G0031020.1:cds pep primary_assembly:Fonio_CM05836:3B:31352903:31356530:-1 gene:Dexi3B01G0031020 transcript:Dexi3B01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQISSSRRPHSAGRERRAKKAGSQLKSRIVAEKPVADCAKLIEQLEGQISTIFREERRIYLGRSAED >Dexi7B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:7B:18721876:18737610:1 gene:Dexi7B01G0011720 transcript:Dexi7B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRKKKKRGAAGRKAAKDHAAQLEGDQAALDEELTALPYSDGMGFEDLSVSAILDVICFPGYPHKCPKLRIIPEKNLSKADADQLLSLLVDQASIYSREGRVMIFNLFEAAQEFLSEIAPAHISGSTASCLGSSSTTDVDVKVSPDSDRHPGVSYIYTSFDLYSQLYDDTSWSRQAPDLIMDSGRKNTGSQVKSNVRSKRKAIIEKTHVSPDKVNNVKSPSGDKAEQQRATKHVVIQEAASNLHVVAEETENDSKTLSTSNGGNTSCTPERSSGSLPETEDCDLQDKAWNEEDCDSDFSSSNDSSYVSDMLDDASRNKKRDLILVHLLRIACASKDSLSASLPAISSELCNIGVLSEWAKNLISDSPTVFGETFGHVFKQQMISSECSLFWRVDNSSSRPNSRYLNDFEELRSLGQGGFGRVALCQNKLDGRQYAVKKIRLKDRSPQVNEKILRFVLREVATLSRLQHQHVVRYYQAWVETEYGHHNILNAGGSRTAESSIFSYDDISLSDASGGNKEESTYLYIQMEYCPRSSPQVGCHEGRAEESRRERKGPQKEVCPKFLKLEQLDHDQYFPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKAYLLYVLRMIQTPEDTYVYDRVISTVFNEDRLIAKMQCQHESSKKSTGNTDNSELLDTIIEAAKEVFKQHCAKRFQISPLHTLEDNFTENRYVISRPLQCPMEKTVKILTQGGDMLELCYELRTPFVMSIVGNQTSSFKRYEISWVHRRAVGHSTPFRFLQGDFDIIGGASPIPEAEIIKVTLDLGARFYDYKDLVIRLNHGKLAEAICSWAGVSQERRRNVAEFLSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLKGTLFYDKSACKALDDLSALLKCLRVWLVEEPITIDVLMPPSECYYTDLFFQVYSKEGNHGPNFHEKLLAVGGRYDWLMEQAWDKAHKSKPPGAVGVSIALEKFLPNYPSSDLGLPRLLSRIEPSISVLVCSRGGGGLLNERMELVAELWKANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITESGLSQTDLVKVNTLNSGCFFFLYFQ >Dexi2A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:2A:12432474:12433480:1 gene:Dexi2A01G0010970 transcript:Dexi2A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCHTEAEEQLAAPPAEDEEPKSEAQVLVEVLDRRTKKNMFLPNLGIQVPTHPRLSARDAAAQLEAEKMENVELPSVISNRSKQIEELQLARTSDKEETNMKIADLEAKLELLLGGN >Dexi1A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:1A:2237346:2237694:-1 gene:Dexi1A01G0003070 transcript:Dexi1A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLRLLRSATSALRVPTVTQGGLPATPALHPAAAAAASSSPLADLSRWPQQRGYSQFASGFTPLKPKPLESIIDVERAKGLSPEHLIAAWDDN >Dexi7A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:7A:282793:285890:-1 gene:Dexi7A01G0000190 transcript:Dexi7A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRSSLIDPDGGALVELVAPPDRVPALRAEAEALPRVRLAPVDLQWAHVLAEGWASPLRGFMREVEYLQSLHFNCIRLPDGGLVNMSLPIVLAIGDAEKEQIGDKPDVALEGPDGGVVAVLRRVEIYPHNKEERIARIWGTTAPGLPYVDESIASAGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSQDFLFISGTKVGSLVLTAFIM >Dexi9A01G0026350.1:cds pep primary_assembly:Fonio_CM05836:9A:29193744:29195998:1 gene:Dexi9A01G0026350 transcript:Dexi9A01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMEELTLSYNNLAGEVSADVTVMRNLREFILAYNNFSGEIPQALGLNTTGGLQRVDLTGNRFHGAIPPGLCTGGRLAVLDLGQNQFTGAIPSEILKCQSLWRVLLWNNQLSGSLLPSDLEINTGCSVVNFSGNLFEGRIPSVFGSWHNLTSFDLSSNKFSGRIPNELGALSRLGKLSLSSNMLSGPIPNAFTGTQGLLELQLGGNSLEGPIPESLVHYMVKRSRRLLAKHVSVRGLDTTEELPEDLTYDDILRATDNWSEKYVIGRGRHGTVYRTEFAPGRQWAVKTVDLSQFKFPIEMKILNMVKHRNIVKMEGYCIRGNVGIILSEYMPQGNLFELLHGRKPQVALDWNIRHQITLGIAQGLSYLHHDCVPMIVHRDVKSSNILMDADLVPKITDFGMGKIVYDEDADATVSVIVGTLGYIAPEHGYNTRLTEKSDVYSYGVVLLELLCRKMPVGPAFGDGVDIVAWITSKLKCTDPCGVMSCMDEEIMYWPGDEQAKALDLLDLAMACTQVAFQSRPSMREVVSTLMRIEDNYIANEEMI >Dexi8A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:8A:30673363:30675206:1 gene:Dexi8A01G0018380 transcript:Dexi8A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPEDEPPFSPSDFLDLPPTPCLEEGNDNLVPPFIARVLMEDEDIDDDHPALLKVQQPFAEILSAGSAFAANDATWPYDPVELSQMLLLSNSRTQPPPSMGAHCDGQNRVTMEMLNQAFLKGMEEANKFLPKSNNSFLTDTSIDRLSMSQQAANNDTRGRKNRRHDINWDHVLEAETGRNCKLMAPDTEEATEMVDEFIQNGYQSLLDRMMDLSISMDRETEKNARKKKSTSEAVDLRTLLIHCAQAVATGDRHAATELIREMKQRSSPRGDATQRLAHCFTQGLEARLAGTGARRVSAVEFHKAYQLYMAVCCFQMMAFKFSNITICKAIAGRKKVHIVDYGGAPEVRITGIDFPRPGFRPAARVEQTGRRLSNFARQCGIPFKFSSIVAKWETIVVDDLNIEPDEVLIVNGLFHFGTLSDEGGDIDSPSPRDMVLKNIQRMRPDVFILCIENSSYKAPFFVTRFREALFYYSSMFDMMDAIAPRDDTERMLVEQELFGRCALNAIACEGTDRVERPETYRQWQVRNDRAGLRQLPLDPDVVKAIKKKVKDGYHKDFFIDVDQQWLLQGWKGRTLYAMSTWVAN >Dexi1A01G0022390.1:cds pep primary_assembly:Fonio_CM05836:1A:29057056:29057781:1 gene:Dexi1A01G0022390 transcript:Dexi1A01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEDSSSGSEPTTSSSAEAPASPTATTASSSSSDSSSSGAGKKRRRTKDGHQPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGPAAAHLNFPELADELPRPATAAPKDVQAAALLAAAADFPAVAPAAANAAGAKVVSDDATASEGSPEQPQDEMDNGGNQDDAALFDLPDLLLDLSSRQGPSSCQLISCAASWGEDDACFPGAGGVFRLEEPLLLWEY >Dexi3A01G0022160.1:cds pep primary_assembly:Fonio_CM05836:3A:17727977:17728648:-1 gene:Dexi3A01G0022160 transcript:Dexi3A01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTAALAILVALAVAAQSALGGHLACEELPPDVCAFAVSSGGMRCVLERTPEGAHRCQTSAVAVARDQPLATGHVESDACVRACGVDRAALGLPVATEDRRSLRAICSPACQDGCPNVVDLYATLAAAEGMSLPAMCEAQKAAGNRRMMMGGMAPLGAPVSAPVVVAPVSAPVAAPEPCEDW >Dexi9B01G0029010.1:cds pep primary_assembly:Fonio_CM05836:9B:31587636:31590253:1 gene:Dexi9B01G0029010 transcript:Dexi9B01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYTLSCFLLGTLALALAARPDTGSLDAATIAVQELDRVLSLPGQPSYSPAFKQYSGYVTTDEYLGKALFYWFFEATDRPDEKPLVLWLNGGPGCSSIGFGQSQELGPLLVKKDVPELELNPWFQRFPQHKAKEFYIAGESYAGHYVPQLANVILEENKKASEENYINFKGILIGNAYMDGDTDLWGIVDSAWHHAIISDNLYSDFQKNCNFSLVDLSPECSADIAQYTALYNIIDIYSLYTDRCEHGGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHEQVGGWTVVYDGLTFVTVRGAGHMVPSTQPEQALELFKHFLANTNLPSKPF >Dexi3B01G0031260.1:cds pep primary_assembly:Fonio_CM05836:3B:32287775:32289831:-1 gene:Dexi3B01G0031260 transcript:Dexi3B01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNGWETQILVLVSLGWQVFLLLLAGIRRQEGQRGRKVFLWLVYQFADSTAIYTIGHLALTGAAEQRQLIAFWAPFLLLHLGGPDNITAYSLQDNELWLRHLQTLIIQVLGVGYVLYNNIAGNNLIILAAILMSVVGDVKYAERTHALRCSNLQNIRSSLKRLIAKHHQLHILDQKFDEEARDEFYVRRAHYLFYVCKHAIVDSWIEQDPENEKSIEMLKNLSKEDYKEVWTLMEMELSLMYDLLYTKAGVIHTWQGYCIRVLSPLVVSASIPVDRTRVKLDICLPLRYKMLGFKEWWIRYYYSNTKDIPDYLKQKIFNYIHGLGGDGPDKERWLNAQGLIRKKWGLEALRRGLDNETFYKENKDEITKYFGVEFQECIIVWHIGTDVSLDRSSGVVDAADAADLVNAIRMLSNYLFFLLVDRPYMLPGLAQTTLYRRTRENLENMWKENSESHPDESIYIKLKKLFSLHDEANLTEWKHRVELATILDDKKPDYSDEVPRLYYAIKVTKLLRNIEQQKGSKATLDVLLNVWIDLLVHAANRCSRESHAKKLSDGGELITILWLMTNYLHQGDYALEPKDV >Dexi5A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:5A:24964305:24965194:1 gene:Dexi5A01G0021070 transcript:Dexi5A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAFELLYVLGGLAILWLVWRALEWGWLRPRRLGRAMRAQGLRGTAFRFPSGDLAEETRLLAAQRAKPLPQSSAHDITARVQPLVHKAINEHGKISMIWQGPTPGVILSDPKLVREVLANKVDYRKHELPSNFVKLIGKGLLTHEGEKWAVHRKIINPAFHLEKLKKMVPAFTNSTIELMDKWEDLIGSDANAKEIDVWPEFQDLTGDAISRAAFGSNLSE >Dexi5B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:5B:8732263:8733792:1 gene:Dexi5B01G0012320 transcript:Dexi5B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRIAPARPVCLSSAAAAGPGAHLRPGRRHGGAEIGIFTAERYFSAADVISRDAAVIVPAAPTLADDHELSVPAADVASQSGRRTAASSEASWNSRSGLLSARAHPAAPPKAAVPVVYDHQGYYHRGRKTTGGSGQRWTLCPCAGKKAVTIVDVASSEPPRSPMAAAQHLSAARFSPQSAVDECLESDIFKKANQNHPSSPPMAATPPADQSHQELPAKEVKITVTPGTGSRAFPLATSNVAFAAAPNRSVEIDRRVMTSAGFTFPAALGALDELPRLSLEVFRPIDEDTVMLANPPRAMAFARGAPPVAAVVEEEAMSDASSDLFDLESFAASSSYPTTYRGRGSRRNSADDDLPFVSGAGVEPAVSECMYPASEASVVWSVVTAEGGAFDAASVANFSSAASACCVDDDLRYYMVPESPEVAGGFTDAMSRTAGRKKIGGGGGGGGGFLNSCRCEKAVSVGPTPVRVVRPPAHPAGTASRKKKGGGDGAAPARYHPGRAAVAVRT >Dexi2B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:2B:2178583:2179701:-1 gene:Dexi2B01G0002640 transcript:Dexi2B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMPDFLQLHQQPPPPPPPPAPAPAPPPASTTPVAPAAANAQPNPIAVVKPRMIIKSVLGRYERWNPVHPTAGAFWGVGLGLGCGVGWGPGFGPEVIGYVGGGCGVGFSVGFTLAGVGIGLPQHGLIRVFRNQEDSGFASNLCGQCSGIQKRIWTEASEERRVN >Dexi9B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:9B:2601182:2602861:-1 gene:Dexi9B01G0004550 transcript:Dexi9B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAARWKGLRLTAIVTFLWRLFRRQLSRATRIVFPDDPHRLPITAAAGDQAPPPPSSTASGWHLTSAALMASQLLYLYTTLLSPALPLRHTFFGRHLTSRPLMRRLARLFDPYRTLTFDEYEGGGRMAGRSSAYEEIKAYLSVTCAASDAQHLRAEGATGRDGATDKLVLSMLDGEEISDELAGVGGATVWWRARSEAPPRSDGGGASGQEKRQLTIRYHGRYHGLVNDAYLPRIRQQGRELIVKRRQRKLFTNIRSVYTGSPWSHVAFEHPKTFATLAMDPARKKEIVDDLYTFKNGKEYYARVGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTTVRSNTELRKLLIETTRKSVIVIEDIDCSIDLTGERMKKKKKQAGDGNGGASTSATVDEDSSSSSSSTTSWMTLSGLLNVTDGLWSCCGEERIIVFTTNFVEKLDRALIRKGRMDRHIEMGYCGFEAFRSLAKMYHDVDAHRLFDDIGELLREVEMTTADVAEHLTPKSSEDNPDTCLEALVKALQEAAKEKANGGSEMNMQDGGQDDIDEQ >DexiUA01G0001300.1:cds pep primary_assembly:Fonio_CM05836:UA:3713194:3714309:-1 gene:DexiUA01G0001300 transcript:DexiUA01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCSSPYFSSPGRPEAVHRPPGVGRPVRGGKAVGDVAGLAGSVTEEAQPVYAHLRRQSALPEKVSADDRLVGYETLLVARFLDILQDLHGGDFRQVVEECLRLSGEYHVDGDPARLDELGALLTSLDVGDAIMVASSFSHMLSLANIAEEVQMANRKKAETDRRGGFADEASASTESDIDETLRRLVVGLGKSPREVFDALRSQTIDLVLTAHPTQAVRRSLLKKHARIRNCLTQLCAEGIAENERQEIDEALQREASDDQM >Dexi3A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:3A:5704662:5707995:-1 gene:Dexi3A01G0008150 transcript:Dexi3A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKGPSSDVLRASISSAPSTSSHGSAQDECDSLGDVSDILLPKPLESSLVLDVYHVDCGVKHAALVTKNDPNSANRRKESVPYQPGESNGDAKVAKANVPSNMDMIRSLDIKAARQGKRTDGLSFLRNPQVSSLLQLSDIALSGGLDMNRSAPRAVRTSATRSVTTSRAVSPFSHKPSPPRSTTPVPTAHGLSLSKSATDNIVKANELLNQEVERLRAQVDNLRNRCELQELDLQKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDHCTYNVNETKQSHIPNGIDSHVANYSSMNAIHPQRNELLNASIAHSPNSGRSSHSNGISGQHKLLGNVSENSDCSTHSLRITSPHDSELPSRRARSSSDEMLTAGCRVDDSMSMDARSLQNGEDGYKPRGTISASSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTRSAY >Dexi2B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:2B:24405219:24408762:1 gene:Dexi2B01G0014540 transcript:Dexi2B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWHAVAALCVAFAAAVAADRGLSLVGAAVAPEEEMGLLRKLANLMWKSDANTYQHVWPPMEFGWKIVLGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGASVSTVYYNLKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYGGVGISNIVHKIARHEYMGFENLCMYDA >Dexi9A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:9A:1667422:1668429:-1 gene:Dexi9A01G0003210 transcript:Dexi9A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRAIAGADAGAGFLRRYRSVHAPTVPGHYHNSSTGPVLSPSSPSTVDAHRFSLDFLPGGAESWTVVDSRGSLLLLWGRRRTCFPDMVICEPLTRRFEIIPPPAEHIDEGCCYLIDGLALEPGGRISMSNFRVLCLFRRDGAMCTAMLTARFLWGEQNICHAVPNLHSTIIMGPAGGKWYFCVRGGILVELDGSTGDFTSSVFPDIGVMDFDMETCNFFVTEFRDGKPRIITEVNSIVEVFGRLDSGEWALEKSILLWEATSGLPGYKDRFFSHYQEILTRGIGIILSPQFAEPWPYSIDLETMEAKEATADMGPRVYQYELPWPPALHAHLD >Dexi5A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:5A:7161816:7162664:-1 gene:Dexi5A01G0009580 transcript:Dexi5A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDAAAARAALQWAVGNFIRGGDSITLLHVCPPARSRRKSRRLRLAGFQLALAFKDLCNGIAEAKVEIVVTEGELGETVVATVNKLGATTLVVGVHDKSFLYGAPSPYSRVRSLGCRVLAVRHHATARDGFLNAELTQIETISLQ >DexiUA01G0012960.1:cds pep primary_assembly:Fonio_CM05836:UA:26860192:26860899:1 gene:DexiUA01G0012960 transcript:DexiUA01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGRRAILPLVRTTSTTSTAASSQPAVLTVGHALRERRRFTEADVAAYAAVSGDRNPVHLDDAVARELGGFHRGRVVHGMLLASLFPSIIAACFPGAVYASQTLKFATPVYVGDDVVAEVQALHIRAATANSSAASRYVVKFATKCFMDEEEGSHAIQGEAMAVLPTLELSSESTTD >Dexi6A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:6A:22222772:22224202:1 gene:Dexi6A01G0014830 transcript:Dexi6A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQVQVVEKALVAPSKETPRQPLWLSNLDLAVPRTHTPLVYYYPAPSQQQQGPGAFAPERLKSALAGALVPFYPLAGRLGTDPDGRLQIECNAEGALFVVARADDLTGEDIFNDFEPSPEIRKAFVPPPESDGPLAMFQVTFLKCGGVVLGTAIHHAAMDGVGAFQFVQTWSGLSRGLDIAEACGPAPLHDRTPLRARCPPRPTADHFCYSSAFLSGRARPFVTRLYSVSPKLLADLKSRCGAGVSTYCAVTAHLWRCVCVARGNAPDSDTRLGLPANVRHRLNPPLPRSFFGNAVVRDLVTARVRDVLDSPLGSVAEKVKKAVDRVDDAFARSVVDYLELELGEKRGGGDKSHGGEEAKREQLVPVSDLWSVSWLGMSMYNADFGSGTPRFVAPAQMFGVGTAYMTPCADKDDGITVIFAMETEYIECFEKVFYGE >Dexi7A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:7A:17724973:17726569:-1 gene:Dexi7A01G0006350 transcript:Dexi7A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDADAAEVVVVGGGIAGLATALALRRAGVARHGGGGVLVLERHPELRATGAAMTIFPNGWFALRALGVAHKLTSRYDSYEISKVTNLETGATQVFRFAGNKDKGEEFRVRVLDRKALLEALAEELPPGAIRFSSKLVSIDTERAAGDGSSETVVLRLDDGAVIRTKVLIGCDGVHSVVARWLGLSEPLSSGRSCVRGLSTFPDGHSLKRELRQFLSPGLRAGMVPVSDTHVYWFLINDTIAAAEEDAAGDPVKTLREVTGNLAGGMPSEFLDVVRRSDHGNLSWAPLLYRSPVAIVTGTAAARGGAVTVAGDAFHPMTPDMAQGGCSAMEDAVVLARALARAGSPAEGVAAYVAERRWRAAWMVAGAYLSGWVQQGGGSGANARGVRRWLVKVFRDWVFYPFVFPRLADMMWFDCGDLTACVDDGKSHTE >Dexi2A01G0031410.1:cds pep primary_assembly:Fonio_CM05836:2A:42149249:42154618:-1 gene:Dexi2A01G0031410 transcript:Dexi2A01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRVADRKIARFEKNITKRGAVPETIKKGNDYPVGPILLGFFVFVVVGSCQLLPMAMLLQDVLVCSCSKSSRNILDPSPSPICRMLGKRKNTREGLVLLDTVKPTRRPPIKYAAATAMLSSATPLFLGYGNYQFRAVLSGILPAVTAQGDLRLLACVIAFSLLLGAIAAAGAQRLVGDRRAILLSAVVLCAGALARSLAAGFATFTAGVFVNGVGMGLALMAVPAYAAELSLGSTRGVLASHPDGFVYLGCILGSLCYSMGILKLPAHLAWRVTVASGTAIPALLGAAVVLMPESPWWLVALNRESEARRVLSRTCATLGETELHLLEIKRELGKHHDDDLSFYEQPEAIMSATRGHWREEFVILQELLARPTEPLRRAVLTALVAKVFQQASGIGSILQYAHGAFRDAGVSSSSAQTTPRALVVFGLVVVMSFPMSLVLVELCWLLVKALANGFRRWAPSHTPQRSCPSHVGLMTRRQEEHKWTRGLCVTILLSLMALVWIALGPAPWADAPSRGCPRWLRKAAAAANKEVSSAILSSFVGVYLVAAVYGNVILVLCHSTIVVVMLFSCGRLFLAR >Dexi1A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:1A:27901900:27903503:1 gene:Dexi1A01G0021070 transcript:Dexi1A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVELRGCVCRIKSSALELLSMEEDLVTDLDDDLWDLVRRDLQLKATFLYIDLSRVIARNECEERKEKITLLANDFFYFMDELGDAVANRSVSVVKVCYGNAARALREVVAAIAPPAAT >DexiUA01G0019360.1:cds pep primary_assembly:Fonio_CM05836:UA:40364874:40366629:1 gene:DexiUA01G0019360 transcript:DexiUA01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKAKRKKARRRRRGAEPEPDRLSALPDCLLHVIMSSLKARQVVQTCVLSRRWRDLWRTVPCLDVNLDEFRAKKTQAAPGGDHNAASNSNGVDNSDSDDEICGLDHENASSDDNADSSEDSSESDEGISASDPESSDSDSSDSCSSFSSSDDDDDSKYRYGGNKDKEWKDFEDFTVNLMHRCNISQLDSFRLHSRRFRAPRYGDRQVGGWLRRAMKYCTPDPAVLRTLEDLELDDCRCEIQSVTSHSLKSLVLKNCRWFSLSEITSRTLKTLVIDGGSNTDDCLLVILTPAVAYLHLAGSPNLEKLTLRHCKFPNYAEKKKGKTKLSKTTSSEFLGLNFMSENLKIEIVYKYGNGRQLIKLLCAYGNLSKKCIKLIKVN >Dexi6A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:6A:25320450:25324573:-1 gene:Dexi6A01G0017470 transcript:Dexi6A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTVSLMLLRMQLAAAGMSMITSLAAAAAIALPGCDSKCGGVDVPYPFGTRDGCHRPGFEVTCDQTHQPPKLFLGSGAGGPEVLDLSLRNSTVRVRSAVWSFAAGGAGTTTAAATIDVLPGNNLTHYVLSAARSGVALVGCGFHATVARRGGNAAAAFSSCAPSCPGAKRRELRHGPCDGAGCCVVPILASTVTSSFDVKFSWLEKNATDRPAWVVPGASVFVVETEWWHDRDNVVPVKLSLLNSGNATGFVIPAVLDWTLNKSSCAAAKRMADDFGCVSKNSECINSTSSAYGYVCRCNDGYNGNPYVLDGCQGSRRMHIAAAASTSVVHRDIKTSNILLDDRLTAKVSDFGASRGIAIDQSGVTTGIQGTFGYMDSEYYYTRRLTEKSDVYSYGVMLVELLTRKKPTVYISPDGVGLVAHFVTLLSQGKLSEILDEQVIEEGEEEGKQVAEIAAMCLKMQGEDRPTMRNLEMRLQGLQGLDINFSAVEEDQPPKLFLGDGATEVTDISISTGTVRIRSAYVNITGLVLPSGDTVAAGGLANRTVWTAGLRSGGPFFLAEEMNKLVVVACNVQVLLLGSGDDIVSACSALCPELITGGDNGTSPAHRYLYYNGGCSGVGCCQATVPLGYTSYPVEARKLDSTAAIRTNIFYVAERGVNFTIDTAMAEDSPPATLPAVLDWVIAGANSTCPADAPAPECRSSQSFCQDSTAEGHRGYICRCKDGYDGNPYITDGCLG >Dexi1A01G0030140.1:cds pep primary_assembly:Fonio_CM05836:1A:35473795:35474036:1 gene:Dexi1A01G0030140 transcript:Dexi1A01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGYRIHGRCGTRSAGTSSSPFVAMATIRDPTRLRKLRRGALVTSGGARAGQGPPSLHRSCDLQGGVAAN >Dexi6A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:6A:5126285:5131673:1 gene:Dexi6A01G0005590 transcript:Dexi6A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARRRWAVWELLLLLLHPAARMLANTEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTAKPCPGAPPFSPPPPYNPPTPVQQGSSSSSTGAIAGGVAAGAALLFAVPAIGFAYWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDGFSNKNILGRGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLESLVDEDLQHNYIDVEVESLIQVALLCTQSSPSERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRTSEWILDSTDNLNAVELSGPR >Dexi2B01G0026060.1:cds pep primary_assembly:Fonio_CM05836:2B:35215107:35226648:1 gene:Dexi2B01G0026060 transcript:Dexi2B01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWKENVSPLPLHQRGAPNPSPILPCKRPLESPSPCPPPRRPLANVTGNAMEQRGGVEPCGYGYTTPLPKVSRPCGFLLGDDDYMDEAFLQEVDAICEEHARSTARKEEKEKRPAEEDSGTSEGPVAAVTAMIDDAGPEIATLEDGFWEEVNAVCEERDAQSSSKSQEEEEEESLVLSCGDGSLPPVISITADGGEVGELYVLMTLVSGSMDEWKKLGSFWMFEDAFWKINAISEADYTESHAKCPDGMMEMDKKSGLIALCGDASVSPVISIAKGPGELVDAFHGEDDPAIHEGHADISAAKGKEELQDMELEMEEEEGCAPKKYYGYLHSLNDRQREAACSDVAVPLMIVAGPGSGKTSTMVGRVLTLLKEGIPPSNILAMTFTTAAASEMRARIGTVVGKAVAKEIAISTFHSFCLQLCRTHAEKLGRTSEFIIYGHGQQRRAFIEAERLLENDKKSGAEDTTKQNDGDIKSSFKDKAKKWQKFIAQAKASGRTSEEYEKKGDLTGASVLRHYNEILRSCNALDYHDFINSSITLLTKFPEVYKECQDMWQAIVVDEFQDTSAMQYCLLKILASHNHITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRHHHKLVETDNPSGCKITVKECLSEDSQCAFVIDKIIETTSSSSEGCNFGNIAVLYRRQITGKAFQVSFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLPNDKEEKKKILDHVEKISLARKCSFISAATDIFSAKVSGTFKRLQITQGRKVLLTLDSLSKLVEREQSVSVIISSAGDMLPQKYLLEKRAVVDVDNGKLLNEDSDIRSVLQFLMDDVSDFLSTHFSSSMEKSKTEEKGCASTLQAFIDYISFRETENFRSRKEENKNSITLTTIHQSKGLKWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLELLQPSRFLREIPIHLLEVQGEETLGKIPEQRLGDVPPDDPEGDTSIGKPIGQNETPPYTELAQACLSNDFLRRFDIDDRSVVSHIFHQWGKKQAFQNPKRLLDKISFVIDERLRGKGYKRKDVLRKLKTFLSGDEAFGYAQHVIKWEQIPVEKRNHLTRERQARF >Dexi1A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:1A:6270795:6271666:-1 gene:Dexi1A01G0008140 transcript:Dexi1A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKLITAFGSPFAHRAEVALALKGVPFELITEDLYNKSELLLRHNPIHKTVPVLLHGGGGDDDRAVCESLVIVEYVDEAFDGPRILPADPYDRAMARFWAHFVENKVVSKPFWMSFWTEGEVRQGFVKEAREMLAVLEAQLDGKRFFGGESLGLVDIVASALAFWLDAMEEAFGVGLMGSDDEFPALRRWVKEYTSHEAVKKCTPDGDKLVAYFVDNKDKYQQIAKATL >Dexi4A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:4A:3750787:3752906:1 gene:Dexi4A01G0005220 transcript:Dexi4A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRRREEIEGELKKLRAEREELDARIRLLESQLEVGPVGVGGAAAEEGVVDGSCDGSVACRSRGGNGFAPDGGIPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGCLGIVDGDDVELNNLHRQIIHKEAYVGDPKVKSAADACREINSSVKVVEHQHTLRPCNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLVSGAALGLEGQLTVYHHNGSPCYRCLFPSPPPVAACQRCSDSGVLGVGSM >Dexi1B01G0030040.1:cds pep primary_assembly:Fonio_CM05836:1B:34133100:34140274:1 gene:Dexi1B01G0030040 transcript:Dexi1B01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEDGGCCNCRREEAWLWFSDCDTRAPDRFVRKRRCRTSVACVFCRDTDGWELADRSIDRSPLIARSEESSVVRSRDEGRSRPSGPGQQPAQQRKKKEARKERLCCFRAVALRMIGVVRAVTDGAMIPALVGVHALVPESASMSSLERMKRDGYESFDDAKIAGCTASPTGGGLDTGEEKHELLVASSFLTSERGRRPLGTMTQPSIHPRRQQHGVVYNPVNGKPLQPFQQQQSTNSFPVSSGKDMPLWESRIYLRLWLLACGGDGISPTRPLNCVLKPDGTASPSPFTSVSVHWNGSLLSGRVGSGRRHAMVCTQAVQLRLPQPWPFLSAQRTDRSPAGCCCCYKYGQPNNGEEVCVTGAGGFIGSWVVKELLLRGDPTDAKNAHMLALDGAHERLTLCRADVLDYASLRAAFQGCHGVFHVASPVSNDPELVPVAVEGTRDVINAAADEGARRVVFTSSYGAVHMNPNRSPDAVLDETCWSDYDFCKNTDNLYCCAKMMAEITATEQAALRGLQLAVVVPCMTMGPMLQQELNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLAYERPGAQGRYLCIGTVLHRAQLIAMLRELFPQYPVTAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLQKSLYEAVVCMQKKGHLPVIQQQHCLRDPRLERPENQCALSISCGHYAPDLPKPTGGSPLNEHCGGGAMLRLAAARSTGRPAPIIAMSSSNSNKASNGGGQQQEQLVCVTGAGGFIGSWVVKELLLRGYRGARERLTLRRADVLDYDSLLDAFRGCSGVFHVASPVSNDPELVPVDVEGTRNVINAAADEGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCQHTDVKTCQPLCFLCRLVHCVSVPQIHPYLTPKPLVQNMYCCAKMMAEITATAEAARRGLQLAVVVPCVTTGPMLQQTLNFSSNHIARYLMGTKKTYPNAVAAYLDVRDVARAHVLAYERPGAHGRYLCIGAVLHGAQLVAMLRDLFPEYPVTAKCEEDGKPMAKPYKFSNQRVRDDLGLEFTPLKKSLYEAVVCMQQKGHLPVIKQHQRSYL >Dexi3A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:3A:6043274:6043678:-1 gene:Dexi3A01G0008620 transcript:Dexi3A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAQAAAGGDEEQDQARMDAIAGSLQTRDAMRLYNWLSQRCFSDCVVTFYRRALGKREEECVRSCVRKYRLFSTATGARFAHIADTTSSPSAAFDD >Dexi6B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:6B:20912737:20913110:-1 gene:Dexi6B01G0013240 transcript:Dexi6B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGVFLLIFFPAVVLLSSAPLLAFASEPLNPEVQALIAIRQGLVDPHGVLSNWDQDSVDPCSWAMITCSAQNLVIGL >Dexi9B01G0044370.1:cds pep primary_assembly:Fonio_CM05836:9B:44150688:44151626:1 gene:Dexi9B01G0044370 transcript:Dexi9B01G0044370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPARRVTAGHLWPAAGGKGKQRKADDFEAAFREFDSDSDEDEEVESKPFVFTAPPAATRPRRKQQEQPSSTRRRKAAQYKGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDAAARDIRGPRAKLNFPSPADAQAAGRKRARAVEVVDLVADEEIKHEATATESEGSDCGGALPDFSWQGVSAYDEVAPVARPALEVAVEDATSKRPRTEAESTDEASPRASDSDESSDDALFDDAFLFGDQQFASYFNGGAYESLDSLFSADAVQGSAAVDEGMGLWSFDDGCLVEDNLSF >Dexi9B01G0046190.1:cds pep primary_assembly:Fonio_CM05836:9B:45508953:45510425:1 gene:Dexi9B01G0046190 transcript:Dexi9B01G0046190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKDGGDGAAEEAATAAAAAEPVVLKMELHCAGCAQKVKKAIKRVPGVESIMADAAANRVVVAGTADAAVLKARLEAKTKKAVEIISPGNGAKKSAPTAEPKDAGGAPEKKDTAPKEEKEKAKKQPPEEKKPIEETVLLKIRLHCEGCADRIRRRIYKIKGVKDVVMESNAKDEVKVTGTMDIPAMVSYLKEKLNRDVEAVAPAKKDGGSGGGEGKDDKKDKAGDKNKAAVATGGGDDTKSKGIEVASAGPSTAAAAAFMAAPAGPSTYHVAPPHGYVAYQQGPPPPSASYYTPYSYYGGNGDGSMGHHANPTYYHDPYQHQQLDGGQQQPAVAYPPYPYRFDMAPAPQLFSDENPNACSVM >Dexi4B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:4B:929898:931652:1 gene:Dexi4B01G0001550 transcript:Dexi4B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLRRWSLGARAFSTAPAPATRTTVPVAHLAPLAASLPESGYTVTPPVQPWPRRLTARSLSRLLLRAPTPDAAVLALRHALFHASPPLPPSLPVFAAALSRLSRAAASDADADAASRLLAPVISLLRAARLPAFSDRPFLPLLRALRPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVSARRLRLAAALFRAARAKLYITPNLVSCNILLKGLVGIGDLDAALEVLDEMTGWGIVPDVVTYTTVLTAYCGKGDLEGAQKLFDDIVASGRRPDATMYTVLIDGYCLRGKIQDAARIMDEMETAGVQPNEVTYSVVIEACCKEAKSVEARDLMREMLGAGYVPDTPLCAKVVDVLCQDGKAGEAYEMWRWMVKKNVPPDNAVTSTLIYWLCKDGMVEEAKKLFGELERGFIPSMLTYNSLILGLCENGELQEAGRVWDDMVERRYEPNAMTYEALIKGFCKMGKSNEG >Dexi3A01G0031720.1:cds pep primary_assembly:Fonio_CM05836:3A:36085555:36085872:1 gene:Dexi3A01G0031720 transcript:Dexi3A01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVMKLASERAVVVFTLSSCCMCHTMTKLMQDLSVNALVHELDSDPRGKEMERALLKMLGGKGPAVPAVFIGGKLVGGTNRVMSLHLGGELVPMLMNAGALWV >Dexi9A01G0030190.1:cds pep primary_assembly:Fonio_CM05836:9A:35105699:35107460:-1 gene:Dexi9A01G0030190 transcript:Dexi9A01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGPSSWSRVVVYPSLLLNVLLLAHLLVPMSWLSVARDGGGGSHQLSWALQAAMEAEAAATTDCSGHGRVYLDSVAGEDGQPGCECNGCFHGPDCSRRTLNCTADAERRFICSADQMFMEPYWMRHAAESAVVVSGWHRLSYFATDGEYQSVELERHIRRLHRAVGNAVADDKHIVFGTGSMKLINALVHALSPDGSSSASPGMVVATAPYYASYRTQTKMFDGREYKWEGTTEAWAANASSSHPSNSSTFIEFVTSPNNPDFLLRKPVLGGWFIADHAYYWPHFTPIPSAADEDVMLFSASKLSGHAGSRFGWALVRDDKVALRAKEYIEESSLGESRDTQLRMLSIIKVILANLHGKEDMFAFAHEEMSTRWTRFNAVVSGSRRISVKKIPPQFCTYFNKTREPTPAFAWVKCERKQDHDCYDALLKANIISWSGVDGEASARYTRVSLVKALDDFDVLLERLTDLVNDEKQSAPTSSIRL >Dexi3B01G0035670.1:cds pep primary_assembly:Fonio_CM05836:3B:38684177:38686080:1 gene:Dexi3B01G0035670 transcript:Dexi3B01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQECEICRKWQEHCYLEHMAASNIRFFKVMTGDFAQGIRVPEKFVSNLDGQITKGLSLKAPNGETWLIEVAKNANEMLFMSGWGDFARAHELQENDILIFTRSGNYSFDVQIFDASGCEKVPCFFTSKKGPCVHKHFDGGGDRHAENCILSDSDDSRMPLRLIGSQNKASTSKKSGKTKPRKEPESPISSNYHIKPEPISDDEQSDDSLVDSKYYSRSASNLTSDERDQIFSLASIRPGNPAFVAILQKSHVEHKNSMLTIHHGFAADHLEGRSHDIQLLRPRRKEKWRVRYYHGGTTRGFNCCRWIKFIRDNRLRKDHICIFELMKGARRTTMVVHVLRKVDGRFVLLT >Dexi7B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:7B:24740919:24741881:1 gene:Dexi7B01G0019080 transcript:Dexi7B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLMAAMLLGGARVAGAAKLGGGTAVKQLPLPTTACFVPPRPHPASWSRLCLQTAPRSSQAYSNAPADDRRDIKDKYNRAAEEAKEATGEAKEHVKGMAGEAKDTAKDKAGHVADQASDMAGRAKGVAEGAADTASRVTDKAKHETRDAAREAADKAARATERAKEMGHEAKERTGEAAERAMDKAGEAKDKAVEGTKTAGEKVVEMTKEGATKVAETAQVIGEKAKQAAKGTWDAAKETAQSVRDSVVPDAEDVEVAVKERDRIAREMDRVEKERNKREAREKGSGLP >Dexi9B01G0024530.1:cds pep primary_assembly:Fonio_CM05836:9B:20786887:20787838:1 gene:Dexi9B01G0024530 transcript:Dexi9B01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTATMNSSWLLLALVLLSCFHCYPAVRHGRKDPRPPLTGAVVVGSVHSGSETTNTISGTLVAVHCHDGNGRTVFQKEVVTDRHGRFHVHLPQETSGRLPSVTSCSVRLQQPSNHNAAACAATATSSSRGLRLVAPKRRGGGARVFSAGEFGVRVPELCGQKGIFFPPLPLVPEPPNIGGVPIPPNPITPTSPSLVPPLLPTPSPPSILPPLVPQPPPSSIIPPLLPGLPPLLQSPPPPPPPPQLLPPLLPPLFPGVPPSFASKNRLPGTP >Dexi6A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:6A:4659933:4661888:-1 gene:Dexi6A01G0005110 transcript:Dexi6A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRVVPRVVRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHGVLGIKVKIMLDWDPKGKVGPITPLPDLVTIHAPKEEDELRPPVLVPEV >Dexi7A01G0022240.1:cds pep primary_assembly:Fonio_CM05836:7A:30605653:30607139:-1 gene:Dexi7A01G0022240 transcript:Dexi7A01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRAKYESTARSWTQKYAMG >Dexi3B01G0026500.1:cds pep primary_assembly:Fonio_CM05836:3B:21703966:21705366:1 gene:Dexi3B01G0026500 transcript:Dexi3B01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMKQLAPKHHPCSHHLLAPSVLLLLVLAAAFVQECAAQEGGSSSGGSDDHAPLVSPLAKDPATSLYTISIKDGSGPLVIDLAGPLVWSSCSCASGGHPTFPCGSAECDAATASSFDQPDHGPGAIIADGGGGAGRHVDCTCTARPCDPVTPGRCAAGDLTSFAMSANATDGRNALHPVSFQAVGACVPLDSLLLRSGAAGVAGLGRAPLSLPSQLAAARRFGRRFALCLPGVAIFGVTPIYLGYYPPDLMTTIASTPLSTNPRSGGYYLPVEAISVSWPSWNVATSRVALPPGALELDAATGRGGVTLSTVRRYTAMRPDVYRAFVKAFSDAIGEPGHVKAMPGVPPFELCYDTWSLRHLRVVGWDVPSIHLELGAGASMNWTVDSGNSMVQVADRTLCLAVVEMVGPEEAAAHDAAAAVVIGGYQVEDNLLVFDEDREVLHFSGLLWGSGATCSSFNFTAPR >Dexi5A01G0038670.1:cds pep primary_assembly:Fonio_CM05836:5A:39571054:39571542:-1 gene:Dexi5A01G0038670 transcript:Dexi5A01G0038670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKSKSPRWLCVPPSAESYCIVHSCQCTVKTTFCIVKAGAVNPLLRILEGEEREADLADEIWENGSRVIEKASGIHALLRVAEAGDLSSQDKAIWMLERIFRLDNHRERYGEIAQALLIDLAQKGDPSLKPMIGKILAHLQLLQTQSSYF >Dexi4B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:4B:5795760:5797170:1 gene:Dexi4B01G0007990 transcript:Dexi4B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGSTGAGVATTADGYDRRLELQAFDDTRAGVKGLVDAGVTSIPAIFHHPPDALALDSASSIDDDAPAIPVVDLSGAPREEVVAQVKAAAEAVGFFQVLNHGVPSELMDAMLAGIKRFNEGPTEVKRRMYTRDAAYKVRFCSNFDLFKSAAANWRDTLFCELAPVPPSPEELPDAVRGVMLEYGEAATKVALRVLELLSESLGLTTDHLREMGCVEGLNMVSHYYPPCPEPHLTLGTSTHTDPAFLTVLLQDGVGGLQVLVDRRGDRRRWVDVPPLPGALIINIGDLLQLVSNDRFRSVEHRVLANCSRETPRLSVACFFNTDDKRRLYGPITEGSSDPPVYRSVTALEYFALFYGNGLQAQGRLLDYFRLQH >Dexi3A01G0028280.1:cds pep primary_assembly:Fonio_CM05836:3A:28821426:28821830:1 gene:Dexi3A01G0028280 transcript:Dexi3A01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAALVRIVRWVGARWRRSASWELDPFCRELDPELRWTGNGPEAARGPQIMQSASQVERRRGVRRRRVWEEGQGTRPACRGGGGERVGARARGWRWNYEEAKPTARARRSESGGGGIFLALPLRSSGKHEDAM >Dexi5B01G0032770.1:cds pep primary_assembly:Fonio_CM05836:5B:33347978:33349410:1 gene:Dexi5B01G0032770 transcript:Dexi5B01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQCPIRPGSRYTYRFNVTGQEGTLWWHAHSSFLRATVYGALVIRPRQRGAAAYPFAMPDGEHVVLLGEWWNNATSISSNANADAYTINGKPGDLYAGETSANRSAKFEVTGNSTYLLRIINAALNTAFFFKVAGHNFTVVAADASYTSPYRTDVIVIAPGQTVDMAADASPGRYYMAISSYQSASPLSPVGFNGNTTTAVVEYAGAAASAGKHSQAPARPAMPFATSTRTANRFYTNLKALLRPGRRTVPLDVDTSMFVTVGLGLRYPSSCDPKLPSCKPIPMATMNNQSFVLPTTMSMLNARYYEKNTQGNVYTSDFPDKPPVAFDYTNETRTQVAAALLFPGRPATKTKVLRYNATVEVVLQNTALVGRESHPMHLHGYNFFVLKQGFGNYDAARDSKRFNLVDPQERNTVAVPTGGWAVIRFVADNPGENL >Dexi2A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:2A:29745583:29751939:-1 gene:Dexi2A01G0017720 transcript:Dexi2A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSTELALTESESLNPLSGSDSRTTSSALEAGGRSKESRRRPRRETARRGTEETEPPLPSLAVSRDGPRFWYLSDLSPVQTNRSWNFYLCDTRIQSSEETAEFLRAREIMVAPDVKAETMKLMDRRGALEAEMDAIIARLTAPGGPGITGGLVDAEGFPRSDIDIPNVLAQRQRLAGMLILDFR >Dexi1A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:1A:27403524:27405100:1 gene:Dexi1A01G0020530 transcript:Dexi1A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPEDLARSSTMGAALVPLVVSLLCLVATALPAASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGEKLLVGANFASAGVGILNDTGIQFVNIIRIGDQLQYFQEYQRKLRALVGPEQARQVVNQALVLITLGGNDFVNNYFVVPMSMRSRQYALPDYVRFIVSEYRKILLRLHELGARKVIVTGTGPLGCVPAELALHSQNGECAGELMRAVNLFNPQLVDMVRGLNRVLGADVFVTANTLRINFDYLNDPQRYGFTNVQVACCGQGPYNGVGLCTAASNVCDNRDVFAFWDAFHPTERANRIIVGQFMHGDTDYMHPMNLSTILAMDREGL >Dexi2A01G0037250.1:cds pep primary_assembly:Fonio_CM05836:2A:46848612:46850741:-1 gene:Dexi2A01G0037250 transcript:Dexi2A01G0037250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSALVSLANGGETRSSLCETALALFPRMATLHTLLKLWLSDGSDALCRYNFGLLNLFGLRDGSIGLLETRLKWSGPLVQSSPNLPSASASINSGFLLNIVSPGGRIGIENKEMLKTIEQNMPRYIQVLLEATGHLLQLLKEGLLDPSRVAGLLEGPVAKETLLDFLMIVSDLARMSKDFYVPIDKAGLVGFLKNYLSSEDPDIRGKACSAIGNMCRHSSYFYSPFEANKVIQLLVDRCSDPDKRTRKFACFAVGNAAYHNDMLYDELRGSIPQLTTLLLGPEEDKTKGNAAGALVTLSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIATRACQA >Dexi5A01G0031630.1:cds pep primary_assembly:Fonio_CM05836:5A:34245277:34246326:-1 gene:Dexi5A01G0031630 transcript:Dexi5A01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALVVVLLLLVVSVVAAAMATAEQESDRIRELPGQPPNVEFSQYSGYVTVNPARGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRSDGQTLYLNLNSWNKGGECGY >Dexi2A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:2A:508856:509583:1 gene:Dexi2A01G0000860 transcript:Dexi2A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGFLSVRVLRGINLVSRDAKGSDPYVVLNLDGRKLKTSVMKKTVNPLWNEDLTLAVLDPAAPIKLEVFDKDTFSKDDEMGDAEFDIEALMQIVKMDLDDIRSGTVVRTVRPGRNCCLADESHIIWENGQAVQDLLLKLRNVETGVVHLQLKWVNIPGIITTFS >Dexi1A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:1A:23658995:23663859:1 gene:Dexi1A01G0016460 transcript:Dexi1A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGSAAQHLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDGNCLLANCLDSTVRLLDKSSGELLQEYKGHACKSFKMDCCLTNDDAFVVGGSEDGHVFFWELVDAPVVARFRAHSSVVTSISYHPAKACMLTSSVDGSIRVWT >Dexi6B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:6B:3136965:3137380:-1 gene:Dexi6B01G0003830 transcript:Dexi6B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGGGARPWRTALLTLRDESLASPSPPALLALLRRVLLSPAPPSLAASAATLPPHEVGSDVAFLAETAAAVASCPGADDALRGVCHLVMALCFSSVSRHGGL >Dexi3B01G0032160.1:cds pep primary_assembly:Fonio_CM05836:3B:34554177:34555439:-1 gene:Dexi3B01G0032160 transcript:Dexi3B01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQMAPQVAKSTRDTHTTPPHHHHHLGGGARPVPGYLRPSTSTASCHHVCKYGGTHEFEENNNDPKKKKKKQLHPKPRKPPPPQQPASSAESQSSRVMVKVRSVFRRRVGDSSTTTNKTSAAAGKEGGETVGVVEWKDIVVDDTTTTVPKPNTADVTKSITKPPKGKKKKMTKTNKPTSLLVEEMAAAMDQEALQHGYEVLSPSVMQGLEEEMAAVHGGAAAAIAEEEQAESAKPPPPPCSLNEEEYAAVAAAETKRPIVPAHRRAKSMSISSRSVRFPSNPIARQASKNSSVTFKLRSRSTKAPIAPPEGEEKPATMARMRSRRGGEDGSSGSIRGIQLRIRSLRRRGLGGGSGGVGAGGFVVPAVALRHQKTLEKKKSQRLYNNVIEETASKLVKTRKSRVKALVGAFESVISKIAK >Dexi1B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:1B:19867414:19872128:1 gene:Dexi1B01G0013900 transcript:Dexi1B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQLHALLRDLDALKQRPDDLGSIDRMRERVVAMMSPAAGAASRSKIKDMSAEVVDSNPYSRLMALQRMGVVENYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLESYSLNITTVKGFETFLASLKARSSHERSTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLNFGQVSPYLGYNSLKDYFPTMEMRPNPQCSNPACVERQKEYMDCKPARDAAAKAKMEAEASEANECPVHLDNEWNISVVDDEETATSNVRSTPDILPEGLVRELPAADLYPEPPATTSSSALDDDLEELQRQLDALNSS >Dexi5B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:5B:2195458:2195724:1 gene:Dexi5B01G0003290 transcript:Dexi5B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTTRDFERSGILDDGINETIVRLLGRGVKLHIIIDTCHSSTILDLPYLCRMYRTGTDDWPSAPALPRKPSSFASDSEVAKQQHKA >Dexi1A01G0030290.1:cds pep primary_assembly:Fonio_CM05836:1A:35608943:35609619:-1 gene:Dexi1A01G0030290 transcript:Dexi1A01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMNTTNSHWWLCVLYPARNEIQVLDSYNWPKDYEQHLKELRCGVHSILQAILSSSDLLESRWSNYDILKWNIVVKKNIPRQHDGCSCGNFIIKYMQYWNGSEITSPFAQKDMETFRKKMPAELIMTPLNVLTSNRERVLAMQNV >Dexi2B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:2B:3216048:3216732:1 gene:Dexi2B01G0003690 transcript:Dexi2B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRQQHPFFFSFKNMSSGQNAFTGLSGTGFSSSSFSFGSVSKDGSSPGTLFGLKTDGSSFPSFNLGANNSALATSAEAPKKFAMTEGPVETGEENEKVVFTADSALYEYLDGGWKERRKARLVMRTKGNYRLVLNASLDDDMSLKDMDKKGVTFACMNSIAESQSSLATFALKFKDTVIREEFKDVVEAHKTRKEPGAPLKTPETSPKAAEV >Dexi2A01G0036210.1:cds pep primary_assembly:Fonio_CM05836:2A:45805587:45807296:-1 gene:Dexi2A01G0036210 transcript:Dexi2A01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPTVTLRHASAAAWGTVRTRHAVDARRDAPTRVAFRRGCSRGDLVRAAGLGRFFGAGDQGSSKSHEVTRSQPQVDDLAPARLYVGLPIDAVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYKAVADMVRAEGLSLRVSLRAHGTPGAGVPTLPSWVTGVAVDDPDIFFTDRSGGRHDGCLSFAIDELPVLHGKSPLQLYEAFFRGFANEFEDFFDDSTITDVTVGLGVHGVLRYPSYPPGSDARKFTGVGEFQCYDKYMVSQLRRHAEEAGHAMWGLSGPHDAPRYHESPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGMASAVFAGKPVELSAKIPFMHWWHGELSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMIVPGMDVCMNKQLHSAGSSPDQLLAQIKNACRRHGARIAGENASLAMTHSSSFSRIRSNILTTELMRPCHFTYQRMCADFFSPDHFPQFLEFVRSVVCGEWDEDDEEQRGMMAGSNGNAREATKAA >Dexi3B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:3B:13204429:13205364:1 gene:Dexi3B01G0017860 transcript:Dexi3B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFCRDCDRATEVVLDHASGDTICTECALVLDAHFVDEGSEWRNFADDGGGEERDPSRVGGANDPFLNNAPIDTRIVYNKGAPQNKSQADGGGGHALPRTRINAGPDPEQPLIEAFRAIADMADRLGLVGTIRDRAKDVYKKMEEAKACPRGKKRDTFYAACLYIACRNEGKPRTYKELATATGGGAAAKKDVGRLTTHIKKVLGDEAGQVMDIGVVHAADYMRRFCSRLGMGNQEMRAAQEAARRLDDAVDVRRNPESIAAAISYMVVQRAGAGKTIKDVSMATGVAEATIKEAHKDLTPHVKMLFG >Dexi7A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:7A:24005355:24006176:1 gene:Dexi7A01G0014020 transcript:Dexi7A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFLSPAKLVLQGAPRFSGAKAAPGRVVRLPPARAQPEQQVKEAEAKAAASAPPAPEQKARKGDAQSLPRQPLAESKNMSREYGGQWLSSATRHVRIYAAYIDPETNAFDQTQMDKLTLTLDPQDEFAWTDEICQMVFNEFQDLVDHYEGAELSEYTLRLIGSDLEHYIRKLLYDGVLKYNMRSRVLNFSMGKPRVKFNSSQIPEAT >Dexi3A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:3A:15052102:15055276:-1 gene:Dexi3A01G0019230 transcript:Dexi3A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRDARANGGGGTPVVVKMENPNWSISEVSASEVSPDSPAGGGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVAAAARRRVAAGRTDSDAAPGENTALRTRFYGCIRVFLFLSMLLLAVEVAAYLQGWHLEVDAGLLAVDGLFAAAYAGWMRARLDYLAPPLQFLTNACVVLFLIQSVDRLVLCLGCFWIKLKGIKPVPLAADKEDVEAGAEDFPMVLVQMPMCNEREVYQQSIGAVCSLDWPRSNFLIQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >Dexi2B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:2B:11647391:11648174:1 gene:Dexi2B01G0010390 transcript:Dexi2B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSGSSHGGANGSGGEEYLRPMDAEQLRECGHRMVDFVADYYKSIETFPVLSQVQACIMNQIVVFYSTPTEPSSPSRLNGGSCLGPSRRRGPKPIQSPVSTLAELWTSDYVGGEEAEAGDCVGGGRAGAAAMEEQHPAATEEQIGRAATEEHQATTSA >Dexi3B01G0027670.1:cds pep primary_assembly:Fonio_CM05836:3B:23312131:23313979:-1 gene:Dexi3B01G0027670 transcript:Dexi3B01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARPSKKARGAADSGLTAFALRLAKHLAEDGGAHNKNLVFSPVSIYTALSLVAGGARGTTLDELLTLLGAASRDELAEFASSVAEGALADRSGSGSDEPLVAFACGLWHEKTFALKPAYRTAAVESYKAKTHAIDFQKKPKKATKRINSWVSKATKDLITSILPPDSMHSDTALVVANAIYFKGRWSMPFDKKDTQTRQFHLLDGSTVRAPFMQHREDQAVAVHKGFKVLKLAYQPHWLPHWQDTYLGSRKRATGKGARFSMCVFLPDARDGLPELVDQMASRPNFLCDHLPESRSETGEVRLPKFKLSFSSRINSVLEDMGVQAAFNPGKADLKDMLEGDDLQLVVEHVFHKAVIEGVTSGPS >Dexi2A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:2A:23236306:23237643:1 gene:Dexi2A01G0014220 transcript:Dexi2A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >Dexi5A01G0024470.1:cds pep primary_assembly:Fonio_CM05836:5A:28335829:28339199:1 gene:Dexi5A01G0024470 transcript:Dexi5A01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIAYYDVLEVSTDASVAEIKKAYYLKAKLVHPDKNPGNPDAARKFQELGEAYQVLSDPVKKEAYDKYGKEGLPPDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEESTAPEARTRIQEKIKDLQTEREQKLIQSLKDRLQPYVDGKQEEFSDWANAEAQRLSQAAFGEAMLHTIGYIYVRQAARELGKGKLYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEEGDDKEEQLIKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKENNIPKDILKSRARGLKKLGTIFQGAKSHYRRENSLRVEDGTAEATPSH >Dexi9A01G0046530.1:cds pep primary_assembly:Fonio_CM05836:9A:49909077:49916072:-1 gene:Dexi9A01G0046530 transcript:Dexi9A01G0046530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNPPPPHPAAAGKPPPTAMAPPRKSRWGPPPPGAAPAGDKAAASTSARTPTPTHAADSRRHQAPPAPAPRNPASPAAALRPPQAHPAAETPPPPAYGFQNLDRRTMLLADGTVRTYFALPPDYPFETAPLPPLPHHLLPRAGPDLWPPHQPPPPQMPMPTPMQMPPHEAKRRHPADQDDGFLRRPKQPRQDAPHRTPQLPPHAAVDRHALRGAFLKYAKMLNESSEQRRSYLEGRRVPCLACGRSSKDFADAHGLVMHTYNPPNSDSLVDHLGLHKALCVLMGWDYTKIPENSKGYQSLPADLVRASREDLIVWPPTVIIHNTATGRKKDGRSEGLGNKDMDKKIAELGFSGGKSRSLYGKEGHLGLTLVKFANNTAGLKEAERLAEFLERQDHGRIGWSRAHATHSIDSDQNPLLVETDTRTGERKRILYGYLAIASDLDELDSDSRKRAFLKSRREFDPSD >Dexi2A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:2A:733217:734161:-1 gene:Dexi2A01G0001110 transcript:Dexi2A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPMLMEELEEEVLLRFPPHEPALLVRVSLVCKRWRRFVCGSAFRRRFRELHRTPPMLGFVCNFAGGAKTASFVTSTRFCAPDANLGCYRAIDARHGRVLLQRPAGDLVALSFIVLI >Dexi5B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:5B:6883673:6886371:-1 gene:Dexi5B01G0009830 transcript:Dexi5B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTVAYGPKDIRNGCLLKPSATATPPLVRISGRRNDLYTLIMTDPDAPSPSDPTMREYLHWIVANIPGGTDATKGEEVVEYMGPRPPVGIHRYVLVLFEQKTRVRAEAPGERACFNTRAFAAAHELGLPTAVVYFNAQKEPGANRRR >Dexi9B01G0036960.1:cds pep primary_assembly:Fonio_CM05836:9B:38430308:38436603:-1 gene:Dexi9B01G0036960 transcript:Dexi9B01G0036960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTDDEFFDEHLFDGVRFFLVGFEGNDASQYCRSEMIRRGGADAGPSGNGCTHVVVGNLLYDDPACVAARAEGKKVVNEQWVNDSLDAGVLADADRVIYWSVRHLNGIRGAQSLLICLTGYQRKYREYITKMVSLMGAQFSKPLVANVVTHLICYKFEGEKYEVAKKMNIKLVNHRWLEDCLKAWEILPVDDYGKSSWELELMEAQANDSEHEAEAAGAMSLNNRASVRCILNSTKRKETFVKNDVNAPKRSPIIPSGSREMAVGRNLNTLGHIMKAEEADKAHGITGLDCNSMAAVSAKANVVATIQSPPGCIMKTEDANSKIHDITGQGSPKSSLLGVSNEVNVLSPLQTSSALSHKRDNSIVRSRNSPNLQEAKEKYAGARTQDLACGVLGTPSSSKITAFRNCRLDTFNETPGSQNDHTSHVPGKSSVNHDQIDVTKVLLASPLRGNQSVDELGSSKVDRGRHQEKDGASGIDITLACQSNDDAKLTNHERIVKPTDSKSNGIKNASNSKKASRKSLLAGGHSVNHIASPKRTEESKLRAESNISSLEMGHQKVSEHADVKSMKGNENIDNVDRSDDAFAQKTKSLVSPASLNLQKEDPASKTGPLDSRFVSLQTDASDAEANTGHFGKEQSSLSTSRQTRSRKTSLKHGNLINGIKLPESSSRDKNVKSLSKARMSLKEMAENKHTSPSPTVQDGESGSGFSFQNKDGDDTQGCGNAVNQDCLNARTNDQAHDKSVHNSSNSQVFSSSGNSGIKITDPLKVYDKDVAMVSNSELEKVVSNANGKEVTTTPRNIQGETSYSKKLETPSRRNAGAKRPWSASIKAEGSDNNSGKKVLPESWPAGVIPHEHADPASKNGCSPASAAKLKTNPPKKALMCKVSDTVAKRTRNACAKIDDARADSSLEFSKVISQNIETDSNKFFDIENADRLQRISPKKIPNNRVRNSAAKRSRTSDTNMSNETLVDKTETVAAESLFDDLFPSDNVEDCPKKLSSCASASDSETITPKTVSNTRIRNAVAKRKIKTLEDKSGRKCGKVGSAIASVAKATSSRRADEISCNISKVTADVDSKKSNKDLIRDVSGLSCQDSDTVHKQEGPPNSKLRSSERNKVLTSDSGKENRLDCSDLNSKSNRTGSLCSKSDAKTIEKSTQVLSEHQMVKGNESGTLIVTEPALFILSGNHEQRKYYRSILRHLKGRVCRDSHHWSYQATHFIAPDPLRRTEKFLAAAAAGRWILKGEYLTSSNEAGNFVDEEPFEWFGTGFNDGETISFDAPRKWRNIRQQMGHGAFYGMQIVVYGQLILPTLDTVKRAVKSGDGTILATSPPYTRFLDSGVDFAVVSASIPRADTWVQEFIRHGIPCVTADYLVEYVCKPGHPLGRHVLFETDDLANKSLKKLKNQQEMAMEKTEQSEDDDDPENLSCSVCGLKDRGEVMLICGDENGETGCGIGMHIDCCDPPLDAVPDEDWLCPKCAIPKAKTKATRGPERKARGSRRR >Dexi6B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:6B:13094644:13099182:1 gene:Dexi6B01G0009100 transcript:Dexi6B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRRRSVLLLLLALTVLSPLALYTSRLPAALSSIQTRDFPGEITNQGRGVKADKLNVLPLETVSSLKEPVGVVFSEELGGLVKESSESDGQELSLRKAGEHKSRMLSEVTAAADGTEVIEQVTRRQEAQDGDSASAFSEEKEKTTGSQQKLSSEESLHETMPKQTSPKLIKAKVYLGLGTIRANSQYLKDLRQRIREVQKALGDASKDSDLPKNANEKVKALEQMLIRGKQMQDDCSIVIKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANDYFSLDPDRQQFANQHKLSNPKLYHYALFSDNILATAVVVNSTVLNAKLDKMVFLDDDIVVKKDLTGLWSINMKGKNQDRSLWKLGTLPAGLITFWNKTFPLSRSWHVLGLGYNPHVNSRDIERATVIHYNGNMKPWLEIGLPKFRSYWSKYLNYDQPFLRECNINP >Dexi2B01G0023650.1:cds pep primary_assembly:Fonio_CM05836:2B:33212612:33216974:1 gene:Dexi2B01G0023650 transcript:Dexi2B01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPRRRGYPHGHHHRCHRRIALPAAALALLFLAVSLLSVSFLSAPPLTDPRTRLATSSSRRFLRRYPTNGSSGEFEGFESGEAFPVTLIALLCFDQMQTHGWIRQDDLWHSKLASNFYECSNSSSKYLDSSVTAQPERYLIVVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDMDWFISFLAKDVKIIKEPPEKGGKPMKPYKMRVPRKCTPRCYLNRVLPALLKKHVSCGTYGLDFFFFSDGRFEPDMLAFSGCYYGGGEKERRELAAIRRRWRTLHVRAIRDPEKGRRQGRCPLTPEEVRIIQKGYMGEPMEISPGRGEFHANPAACICEKTGKNSVAVKSISRSNQELVNDTGIKKAVGRPPYPVYTDEEADGSDTEDDTTARGEMIDAEPDDDSVVRQEDPELEEILSD >Dexi9B01G0036710.1:cds pep primary_assembly:Fonio_CM05836:9B:38194241:38204672:-1 gene:Dexi9B01G0036710 transcript:Dexi9B01G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLCFVLPMDADEVVLAAAEEEPRCRQQTARKAVGSFVRGSVGRVLWCLRCRSCGGGAGYRWRDSSSGGGVAFEDIAGVDDVGVGRKLAGSGGGNSSSPRIFSYSELYIGTSGFSDKEILGSGGFGRVFRAVLPSDGTTVAVKCVASHGDRFEKSFLAELAAVARLRHRNLVRLRGWCVHGGELLLVYDYMPNRSLDRLLFAPAASAKVLSWDRRRRIVAGLAAALFYLHEQLDTQIIHRDVKTSNVMLDAEYNARLGDFGLARWLEHAVVCPDDDDDGPEVSPSLRVSSCASAAANYHQFRLMDTSRIGGTIGYLPPESIQRRATSTAKSDVFSFGIVLLEVATGRRAVDLAYPDDEIFMLDWVRRLSDEGKLLNAADVKVKLTPAEDYNKLLDVGRLIHLGLLCSLHDPKARPTMKWVVENLLPDGGCSGDLPRLPSFAALPKYYISLTSSSDSGTTTTIATDGTVTTTTTMSKPVYATAAAELETIYHTADDGNGRRSGSRSADSGAWRSPVAAAIPNVDMPREISYKEIVAITNGFSESQVVAELDFGTGYEGFLDNNGHGRVHVLVKRLGMKTCPALRVRFARELCNLANLRHRNLVQLRGWCTDHGEMLVVYDYSPGSLLSHYLTRRDGDDAVVLSWRQRYNIVKALASAILYLHEEWDEQVIHRNITSSAVFLDPDMNPRLGSFALAEFLSRNEHHGGGGHHVVVSSTNSARGIFGYMSPEYMDTGEATTMADVYSFGVVLLEVVTGTMAVDGRLPEVLLVRKVQHFEQLNRPVEALADRRLDDKFDRRELVRLAKLGIACVRSDPAARPSMRNIVSILDGNDQVLDKFEQRKESTEDWQRRNAANLALEDIFEWQFAILGPQDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIDEIHDQMLSKAPPVPQTLPSGPNGESDQLPPPDSTGEYTNKDDEGDNTTGAISGSSSGLPEPESESGVPENTGETPAAEVIDHPPPEARHRENIPRVPLVPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGLAGFIEGKF >Dexi7B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:7B:18447569:18451986:1 gene:Dexi7B01G0011410 transcript:Dexi7B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAKARPPKHLVALAVVAVLGLVLVADYLWASSSSSGSPSAVWSSRINLPTSPAAQALPVVKEVHSHVDIYNFSDNTWGGRFDMPKDMAHSHLGMVTDGRFIYIVTGQYGPQCRGPTARNFVLDTETKEWRDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEKEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMTWKELPPMPKPDSHIEFAWVNVNNSLIIAGGTTDKHPITKKMVLVGEVFRFNLDTLEWSVLGRLPFRIKTTLVGYWDGWLYFNSGQRDKGPKDPSPKKVVGCMFRTKLHL >Dexi2B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:2B:12380893:12384242:-1 gene:Dexi2B01G0010880 transcript:Dexi2B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIEQLLRRNSKTKISRNIVDGVHEQKEEQCVQSLRELLLASNQLPEKFDDYYLLLRFLRMRGFNILKAKEMFLNMLKWREDCSVDAIANGMNNFSKSAREMFVEIQKIDSNYYPETLNQLYIINAGTGFRALWKVLKAFMEARTLAKIQAAFGKDQKSFNELTAIIACESFIGCQESSAKQVESTSRRKRTLAMLLKDDQDGTDTNGNILRKQVDEQISEKIRELEDCAAQTKETLQTLICKQQELTSHIEQLRKILR >Dexi8A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:8A:4358080:4358617:-1 gene:Dexi8A01G0004970 transcript:Dexi8A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSSSSTAANPYDHFVYRSGGEARRPSLTLLSGLKFVRDMEEGLVDPNGRILLDEDTGILWRGDNDDGEFLVVWMDLRSHTEHGVANVCMLRSGSSQWEHNLSVPIVHEEGEDVMRSLSGADMALPVGDRPKLLHTTTQMICLP >Dexi3B01G0029620.1:cds pep primary_assembly:Fonio_CM05836:3B:28883168:28884500:1 gene:Dexi3B01G0029620 transcript:Dexi3B01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFFLEVGVVDKIQIATKEAEGMDTQAMVIKVETLVVLELGLTSLTVTNQNKEKDHEMEDVEPKNGSDVSGSEVPPSNLQSGQVPKSGAPTAFEKRVVEQVIDLAIDKILHELSAKVMAESDEDKESLPVLMDLPHDKLRVNDKEQGIDGVSSILMP >Dexi1B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:1B:24731206:24732152:1 gene:Dexi1B01G0018530 transcript:Dexi1B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPKLQASPTATLATAALLLFLALTAATTAAQNCGCSASQCCSRYGYCGTGKDYCGTGCQSGPCDVPQTNNVSVASIVTPAFFDALLAQASDDCEAHGFYTRDAFLAAAGYHPSFGRTGSVDDSKREIAAFFGNANHETIKFCYINEINGPSKNYCDASNTQWPCQEGKGYYGRGPLQLSWNYNYGPAGQSIGFDGLGDPDAVARSAVVAFRAALWFWMNSVHDALASGGGFGATIRAINGGLECDGKNPAAVSSRVGYYEQFCQDFGVDPGRNLTC >Dexi5B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:5B:19797456:19800991:1 gene:Dexi5B01G0017910 transcript:Dexi5B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHNCAADWSLYNHVDIPKVVALNESVPGSVKSVFKPWEQRLDTSGGFLESNEGDPELLVFIPFTSDVKIKSISIVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGALEYQTRYSRFQGVANLTLHFSDNFGGDITKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >Dexi5A01G0030700.1:cds pep primary_assembly:Fonio_CM05836:5A:33568381:33571502:-1 gene:Dexi5A01G0030700 transcript:Dexi5A01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLFKMNLSGTLAPEVGLLSQLKTLDFMWNNLTGNIPKEVGNITTLKLIILNGNQLSGSLPDEIGYLVNLTRLQIDENNISGAIPKSFANLTSMKHLHMNNNSLSGKIPSELSKMPSLLHLLVDNNNLSGPLPPELAETHSLQILQADNNNFSGSSIPAEYSGIQTLLKLSLRNCSLQGAVPDLSGIPNFGYLDLSWNQLKGDIPTNRLASAITTIDLSHNFLNGTVPPNFSGLPNLQLLDFQNNSFDTIPPAFDPPKPVTVL >Dexi5B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:5B:22234287:22242475:-1 gene:Dexi5B01G0019970 transcript:Dexi5B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEIQKVASMRLDSGSRSGSSAWWRGPDAFSRSSSRMEGDDDEEALRWAALERLPTNDRVRRAILPPLGGGGEAGAHQVVDVLGLGPRERRALLERLVRVADEDNERFLLKLKERVERCVLLLGALAWPHGDWPATNPSDHSRSVLLLSCRVGIDMPTIEVRFEHLRAEAEVRVGTSGLPTVLNSMTNKLEEVTSALGVRRSRKQAMPILHDVSGIVRPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKACAMRGQEANVISDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIIKSLRQAIHILGGTALISLLQPAPETYELFDDIILLSDGQIVYQGPREGVLEFFLSLGFKCPERKGVADFLQEVTSRKDQKQYWVRHDKPYQYVSVKEFASTFQSFHAGRAISSELAVPFDKSKHHPAALTTSRYGVSAMELLKANIDREILLMKRNSFVYIFRTLQLMMVSIMAMTLFFRTKMHHDSVTDGGIYMGALFFSVMMIMFNGLSELALTIIKLPVFFKQRDLLFFPAWAYTIPTWILKIPISFVEVGGFVFMAYYVIGFDPNVGRFFKQYLLLLAVNQMAASLFRFVGGAARNMIVANVFGSFMLLIFMVLGGYILVRDKVKKWWIWGYWISPLMYAQNAISVNEMLGHGWDKILNSSVSNETLAYGKSHPSVSEEELKEKYANLNGNALAEDSLAPISSHQATIGTIGSCSATVENHSCTMERGMVLPFTPLSLTFKNIKYFVDMPQEMKTHGIAEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNINISGHPKRQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPRDVDSNTRKMFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELINYFEGIQGVRKIKDGYNPATWMLEITTAFQEQTLGVDFSDLYKKSELYQRNMALIQELSEPAAGSSDLHFRNQYSQSFLVQCLACLWKQNLSYWRNPAYNAVRLFFTTVIALIFGTVFWDLGGKMGQSQDLFNAMGSMYASVMFLGVLNATSVQPVVSVERTVFYRERAAGMYSALPYAFGQVTIELPYTLAQASVYGIIVYSMIGFQWTVAKFFWYLFFMYFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWACPVAWTLYGLVVSQFGDITTPMDNGVPVNVFIENYFGFKHSWLGVVAAVVVAFAVFFACLFGFAIMKLNFQRR >Dexi3A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:3A:8231208:8231700:-1 gene:Dexi3A01G0011500 transcript:Dexi3A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLKIVVEAEALTLFTPQDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQREYLYQLHSAGR >Dexi2B01G0033210.1:cds pep primary_assembly:Fonio_CM05836:2B:40874117:40874770:-1 gene:Dexi2B01G0033210 transcript:Dexi2B01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKPSSSKLTFIVASAVIVLLLGLEAGVGVAHGGGGGRRRLVVSSNEDEPCKRMTAYYHDILYNNMSNNDNNAANATSAAVTKPSPELSTSSSSNGNSTFFGMLVVFDDLVTSEQALTSEPVARAQGFYFYDRKEAYNAWFAFSLVFNSTSYKGTLNLMGADLMTEETRDLSVVGGTGDFFMARGVATVSTDASEGFFYFRLKMDIKLYECYLPA >Dexi5A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:5A:8145573:8146694:1 gene:Dexi5A01G0010840 transcript:Dexi5A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGYMDKLASCFVHHGAPSQTLNLHNLQRRSTSPLSHGSRSVPIVRCAAAHATTATKLSTVDVAVVNGSTTSSSFDFERYLSSKAKAVNHALDLALQGLRCPDSLTESMRYSLLAGGKRLRPALAIAACELVGGHAPAAVPVACAVEMIHAASLVHDDMPCMDDDDLRRGRPSTHVAFGEPTALLAGDALLALAFEHVARGCSASGVVPAERALLAVVELAGAAGVGGVAAGQVADMEGEGAVSVSLAGLEYIHVHKTARLVEAAAAAGAIVGGGREEEVERVRRYGHLLGMLLQVVDDVLDVTGTSEQLGKTAGKDAAAGKATYPRLLGLDGARAYAGELLAKAEAELDGFGDARAAPLRHLARFMAYRQH >Dexi5A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:5A:21217494:21220382:1 gene:Dexi5A01G0017820 transcript:Dexi5A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASAAAQVNASALDLLPVYAKELIAGGAAGAFAKSAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGVRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQSGSALGNSGQRQTYNGIKDVFKTVYKEGGARSLYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKKSVILKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKQPQNSSDGFRIRGTFQGLLLIIRCQGWRQLFAGLSLNYVKVISQTNIFFSKDKI >Dexi3B01G0024240.1:cds pep primary_assembly:Fonio_CM05836:3B:18910130:18913776:1 gene:Dexi3B01G0024240 transcript:Dexi3B01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASSADDTDPPECPVCLSPFDAASVVPRVLPCGHSLCGTCIAALPSASASAAAAAAGSSLRCPLCSQCVPFSRALGPSSLPKNLALLALLPSTSPSPSSHPSTAAAAPRPLPLPLHAAHSRLLSRFRHAILPESASPLRSAPMGPAAGLALGSLGSDLGAPWFYARGSAVSLLPIETHPGEGRPPAEREAAFYRPSHAARVLAAIGALSDEAKEELAGLIASSVRLARWVCRVYGVWMDPDAPPLWMVSERHPRSVSLFLEDKVNEEEMVNQIGFVAMEACEVIMRLHGEGLVLGCLGLDCFRLDNFGHCLLDFNQVLALCRGVRAGASSDNIGAFITPEVVAVLGDTFQTKDRDFDGLVGFSSDIWSLGCVLLSLLIRDEGIVAGWNSEGSYDDWEKEVITRLNASLLGTQLEPLAAIIQSCLSYDPKGRPKIADVWKCIRGSLMKSGDVSLAPDADIAAQESFKCLLLGELSLMFGESCAGESDGKAQLSQGSDENSSNQGDGSNGCCINNSVTDPSEIDRPQSAGMFKSSTLIAHRDCVTGLAIGGGFLFSSSYDKTINIWSLQDFSHIQCLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWRVDSTLQEEPLNKWYEHNDWLYRGVNCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVASGILYSGSWDGTIRSWWLTDHTPLTVLENDTAGSIAPVLSISTDANFVVASYENGYFKVWKNDVLVKSEKLQNGAVYAVKLSGKWLYTGGWDKVINIQELQEDESEVELQDVASITCDSIITSILPWDERLIVGLSNKDIKVNLLCVFVSAIFWFVERHAQ >Dexi3B01G0025020.1:cds pep primary_assembly:Fonio_CM05836:3B:19811750:19812306:1 gene:Dexi3B01G0025020 transcript:Dexi3B01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMVLKETLRLYPPVVVINRTATRDVKVNKFDIPAGTQIEFPIVDVHHDFDVWGTDADEFNPSRFADGKSYHLGAYFPFAVGPTICAGQNLAMVEAKVAIAKILQRYVLSVSPSYVQAPVLMLTLRPQFGAQILVRRI >Dexi9A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:9A:973071:973943:-1 gene:Dexi9A01G0001880 transcript:Dexi9A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDMEEAERAYMLAEERFVAGDLAGALRSARNSKRLFASLPTLQNAAAAYEVHAAAAAAARGGKMSWYAVLGLRQDAAVVTHEDVKRQYRRLCLVLHPDKNPSAAADGAFKLLHQAWEALSTRHPPGRPTPSVSATKPSKKAPPPPRPRAPPCRERRKTGGSQRRPPSPEWSSFGFTAPPEPDGSTFDFRAWREAYARANGAIYCGHCDTESAAEGGAGHGKSGTGGRCHSCGARSSPVGPMFTCQAACPGCGERLSSPVSVGVCCGYVATVHVRSRESATAF >DexiUA01G0015820.1:cds pep primary_assembly:Fonio_CM05836:UA:33807390:33807769:1 gene:DexiUA01G0015820 transcript:DexiUA01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSTYISRSEWDRVFSRLTVVPGFQVGEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVVCANKTTKGLCGTSGTQKSWVIIGPMLRAKPIPRV >Dexi7B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:7B:23530939:23532220:1 gene:Dexi7B01G0017610 transcript:Dexi7B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGEIQLQIAAGIRGQEGGDDHGRSCPPTPAPRRALSKRLAWWALVLVNIVFVLAGQSVATLLGRIYYDQGGKSLWMQTVVQSCGTPLAIPLLIYFRTRKSSSVASVSAAAVASSSRPPLVKLAAIYAGLGVLLAGDNLMYSYGLLYLPMSTYSIILASQVSFNAVFSYFLNKEKFRALILNSVVLLTFSAALVGVSHGSDGSSGSDIPKGKFPAGFALTLAASALFSLILSLMQLTFEEVLKSDTLTTVLEMQFWSNTAAALVSVAGLFASGEWRTIAGEMAAYQKGEVAYAMTLGWTAVSWQLCTMGLMGLVAAVSSLFTNVISTVGTPLSPVVAVVFLGDRMDGVKLIAMLIAVWGLLSYMYQHYLDDRHAKAKKILGDKSDQQLQAAKISEE >Dexi3A01G0033230.1:cds pep primary_assembly:Fonio_CM05836:3A:37994817:37996616:1 gene:Dexi3A01G0033230 transcript:Dexi3A01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAGMVEQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >DexiUA01G0018940.1:cds pep primary_assembly:Fonio_CM05836:UA:39639921:39640451:1 gene:DexiUA01G0018940 transcript:DexiUA01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKAVLDGVLGYTKSAIAEEVAWQLGIECDQAFIRDELQMMQAFLMAAHEDQDGHKVINTWVKQVRDVAYDVEDCLQYFAIRLGKSSWWCIPCTLLERHHLAKQMKELRAKVEDVSQRNMRYRLIKGSDAKTAISTRQYSIASATMFGMEEARRLQDKAKLDLSQLISG >DexiUA01G0023050.1:cds pep primary_assembly:Fonio_CM05836:UA:47014518:47014724:1 gene:DexiUA01G0023050 transcript:DexiUA01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGRSTAPPESPRRAYRTAPCAQERRESPHAAAAWAAAAQCRNLSGCGGARRGGGGGERRTGGAS >Dexi2A01G0031210.1:cds pep primary_assembly:Fonio_CM05836:2A:41962360:41963590:1 gene:Dexi2A01G0031210 transcript:Dexi2A01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSSTTAVAALPRNGLRASPSFLAGKTAARPSFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >DexiUA01G0023620.1:cds pep primary_assembly:Fonio_CM05836:UA:48302870:48303827:-1 gene:DexiUA01G0023620 transcript:DexiUA01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGGWEVKARRRREVRLPGKEEDKKSPALLKCATPYEDLLPFCSAHSPFTRLSKRRKGGQQIDSVPLLFRCTRFISPTHKEGRGGKKAALASVRTPERKGGRTSFSDSAIAL >Dexi2A01G0033990.1:cds pep primary_assembly:Fonio_CM05836:2A:44128840:44129536:-1 gene:Dexi2A01G0033990 transcript:Dexi2A01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLANLRQLYGDVVETATIVRSLLAPVASQLSGDPSDLHRRCVFGYCLGFFPEPDDVHADVAPFGAVEAVAVCALMHNAAIVFRDESSVAVALRRQAETSEGLFSPVLPLHNALPLRCMPPDLVKAYPGEPCVNAPSGAPGTSLFMASRRSRVYGPVVGADGHLWMDGDLFYNYDDDKSVR >Dexi3B01G0021820.1:cds pep primary_assembly:Fonio_CM05836:3B:16700251:16700815:-1 gene:Dexi3B01G0021820 transcript:Dexi3B01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKAMVSGLLLCLLLVQSGMAVLGSSDDCWVDNNANYPICFHQPKCRGHCQDSGKADGRCNNKFPNLA >Dexi5A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:5A:23561234:23561492:-1 gene:Dexi5A01G0019650 transcript:Dexi5A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTARVKAPAMGQPYTMVTGPPNWRPVPNSVVTPVSTDTIENVTAKLDTTLRSGERAPDKMAVILGLMP >Dexi4A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:4A:1591567:1595142:-1 gene:Dexi4A01G0002340 transcript:Dexi4A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPAPASSAVPAAGVSMKEYLKRYQSGPGADGDQKKAKKKTKKKPKPAAGGGGVLIVDEDPVWQKPVQVEDDEPASSGDDSPMVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAREEQGGGLARSRRNDTPSPERGGAGRKDLSPPRRRQRRDTPSPEPGDAAGKDSSPPRRRRKDTPSPKGNGAAGQDDMSPPRKSRWQEEPSPPRRRARNDSKELQDLSLPRRRRHDSEEPQDMSPPRRRVRHDSEEAGDMSPPRRRVRHDSEEPRDMSPPRRRKRHDSEEPQDMSPPRRRTRHDSEEPKDLSPPRRRKNQDSTKVDDLSPPRRKNLGQSREDQDMSPPRKVRKFASDNSSPPRKERDLSPPRKGKKEGPPKQARKSGLMTAEEVKEDIRKIKEDEMLKFASQDPSLVGKGAKAVFRDKEGKRISEEEMRKAKESEKPKDIHIEWGKGLVQKREAEARLKDLEDEKSKPFARTRDDPELDLMLKNRIRWGDPMAHLVKRKDSEFLLEDLGDDEKMKESGFIVPQNIPNHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >Dexi9B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:9B:9879889:9880633:1 gene:Dexi9B01G0014780 transcript:Dexi9B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSSKKGAMAAADVEYRCFVGGLAWATNNDSLQHAFSSYGEVLDSKVITDRETGRSRGFGFVTFSSEQSMLDAIEAMNGKELDGRNITVNQAQSRGGGGGGGGYGGSRGGGGFGGGGGYGGGGGGYGGSRGGGGYGGGGGYGGGGGYGGRREGGYGGGGGGYGSRGDSGGNWRN >Dexi5A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:5A:22093849:22096572:1 gene:Dexi5A01G0018570 transcript:Dexi5A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPLSQALAAPPHAAIQTRQSATSKMAFSSVFRRVNVKELISNASVYASATETSGGMSLVFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHMLHPVYASGSTTAADLDAQL >Dexi6A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:6A:22628567:22629368:1 gene:Dexi6A01G0015230 transcript:Dexi6A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGARRRRHNPCRICMEPMAPAEAHRGGAACEHAFCRACLSGHVRAKLESGGGGGGGATVRCPDASCAATLDPELCRGALPSEVFERWCRALCESLFLGARRTYCPFPDCSEMMVADDDGGGDCVTQSECQGCRRLFCARCEVPWHAGVSCAEFARLGEGERAREDLLLVEAAREGNWKRCPRCRFYVEKSSGCLHITCRCGFEFCYGCSKPWALIHDGCPGA >Dexi6A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:6A:8527615:8529811:1 gene:Dexi6A01G0008280 transcript:Dexi6A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHQPLLKRWLRLLAAKGVQELVLVNRRAHGNWWLPHATRLKGVSFPSLRELGICSVLVEDGDIDSLVVRCPVLEILNIQGSTKRLHLVSQTLRCVQISSSVVENIALVKTPCLERLILWQVKGSPFPASDMRTRIKIGIAPKLRVLGYLDPAHHLLEIGGTRITAGMEPTGSAILTTVKVLSLEVCLGANGAMMVPAFLKCFPNVEALQIKSAKCDEPAGKFNIEYWQKVGPIISVLMRIKVMTISEFRGEQHELAFLQFVYQNAQVLESAVVTAANRRFTGISDEQMTSILQKLDSSRWASDFGLAIMGSNGPEGGIPWMFQRGANFSNDDPFAPKRVY >Dexi5B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:5B:2752177:2753270:-1 gene:Dexi5B01G0004050 transcript:Dexi5B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDEQPGAIVGPEPFLNSGEDVPSIPVTGLTTDPKLWVSFYQGTWVLTTLVPGIVSIQQSFTPRRGDVVLASLPKSGTTWLKALAFATMARGAYPPAATDAAAHSLLRLNPHQCVPFMERLFAAGEEGAMDTLPSPRLVSTHMHHSILPTSITNNPHCKIIYICRDPKDTLVSFWHFVKKLSPKITFADVFEAACNGTSVYGPIWDHILGYWNASKASPETVLFLRYEEMLRDPAGNVRKLAMFVGQPFSPAEEESGVVEQIVKLCSIDKLKSLEVNKAGPCSPFANDWYFRRGGKGDWANHMTPDMARHLDAIVEEKLSGSGLSFA >DexiUA01G0025710.1:cds pep primary_assembly:Fonio_CM05836:UA:54056559:54060817:-1 gene:DexiUA01G0025710 transcript:DexiUA01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEGSLDLGTLPGLEHNRLAPSSVRASRVPSIIPDSVDLRPLSKPFVGPRFEPLISSTMVPSVRGGSFERDFMAFETSFPRGGILEPSSFEVPPSRVVVLNAPSFCASHDDPQRKLRGFVRSWEKWARFLGRGVRAQFDTTARSVAPDSSIFTAQLLDCAVDAARLAVSLGTVASRLESCRYASLCVFAFVFFLRPSSPSSVMAQFADPAPWKPSNATKHCLDSLVEVGVLPPNVDGEPPVWISPGAATEPDPPRGYVVSFARFHERGFGVPVERFMRALCFHYKVELHNFSPNAISQAAVFVAVCEGYLGIEAHWDLWCHLFVGELFLEYVSKGVRRPARAGGLVLQVRKGRKDLYIPSSMVSNNQDWDKGWFYLRNDGGHLPPYTGLLLTEKQADWHFGVSPPSRKKKLDPLVEALQRLSRLGLTAGGVIANFHRRWVLPLMRRRLALHQMTPDADLTGTVMAAEPLPVATAVQRARRAVDKLPDDPWTVPMRPEDGYVSLGVSRGHYSKPPVPEDKAVNRALAEKAKEAKARREARSQRKERKRKKLEAENRERARRGLSPLPAPESSTDRAHLRSSFPSVGPPPAAASGGDGEEVIDLGTPPSTAVPSVERPSGAATAVPEGTQGQDEAPPSTAGPSSEGPSGAAPAALEEPQGGGEAPERPGAVEEAPARGAEAEVPQVEPVDSTRGEEASRVTPRGKPSSPRETRPRGRLLKGNPSRLREARSRGQLPFRPRGQNGNSPSTPSLAPTKALKIGPSSSPHPSSQLLGPTNEVVEDFVTFFDTQAELQARQQPREEAPPVLEGPRPPQLLEGAVEPHVEAARPEEANPAPGEALRVEEPSAAPVEADAVVVPPHEGGERRTHGGGFPHLTELAEALGVGAPVAQGHESGGAAPSTLITAQPGPVAAWSYEAHARGSAEMWQPRSALPQRFVDEAVAEETLWEVQSSHGLDVRRALQDILRLHDDAGKVHRELRKQALAKNDQIAELSLELRRLSGALEARDRQLDDLRGARDQALAQGREKGEVIARLEGSASALREQLANRNDWLENERAARRAAELAVEEERRLAVEARDRLEQEQTARATAERQAREVEQRAQEAERALEAAQDRSRQALQAETESKEAVLRELDELVQAASAACNEIAGPGLQSGSTLVSRVRALGGHFTSRVKEALLLGVRKALGVVTTHYQADLLKLAAGYVIADNLNDEEAVEAMDEADAAADGTARVLAGYFEGALFPGEDGGGWDNLGGGGDP >Dexi4A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:4A:7466207:7466515:-1 gene:Dexi4A01G0009450 transcript:Dexi4A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLENSLAARGGTISGPDLEVHGGGLALAKQGSLKGTTLPSPRPSTTKPSSSDPCTSAWWSSGEICVAVARSPAAWSGEVGVAGHGGQGGECVGVDAAEH >Dexi6B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:6B:4218787:4219026:1 gene:Dexi6B01G0004930 transcript:Dexi6B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGDKPPVPVGHQGGRQRRGGSAALPPELFLTAETMERQHVATCCPQEHHAVGCFVTQCGWNSVCEGLDRHRLGMVG >Dexi3B01G0031550.1:cds pep primary_assembly:Fonio_CM05836:3B:33516588:33523165:-1 gene:Dexi3B01G0031550 transcript:Dexi3B01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQPQSPAMTPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQTQLQKNLLYLAAIADAQPQTAVSRPQMAPPGASPGVGGQYMSQVPMFPPRTPLTPQQMQEQQLQQRQAQLLNFSGQMVGRPGMVNGMPQGLQIQQTQTQAAMSKQDVGGAASEPSGTESHRSTGGDNDGGSD >Dexi3B01G0035970.1:cds pep primary_assembly:Fonio_CM05836:3B:38927971:38933352:1 gene:Dexi3B01G0035970 transcript:Dexi3B01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLVEDNTGDGRSSSTEEMPSDQQSHSGDSLAEWRSSEQVENGTPSTSPAYSDTDDDDCGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLNDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELIRVYLSNVEQICRRFIDERRSKLSRLIDDKLRWSSFSAFWQAMDPSVRRHMTREKTDTILKVLVKHFFIEKEVTSTLDGSSGEEFNKDSIERDDRRLIELGWKTLELFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRAKKKLAKQKKSSRKSNKGKNGKSDISKEILMGSSPSDDRILDDFSGQAEEMSSNADNPEEVSDISDNRDDNSDALHADIEERESSPVNWETDASETQATVPGSGEVQNGQAGKRTSFVDDSSSTCSSDSVPSVILNGSSTGGAWTNVRSSSNRGNNRRNKDTDARAAYVQGGPNSMYNGFVGSGSNASVNAKDARHES >Dexi9B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:9B:990045:991322:-1 gene:Dexi9B01G0001720 transcript:Dexi9B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAYQSSAQAPDWLNKGDNAWQLTAATLVGLQSFPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLIPIWGKARPALNQGFLIGPAALPATAHYHAGGVDLETPAATPLYPMATVVYFQCVFAAITLVLIAGSLLGRMSFLAWMLFVPLWLTFSYTIGAFSVWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAVNTIASMSVVNTNVCTAMSLIVWTCLDVIFFGKPCWWLGAVRMAIEHAPSGRL >DexiUA01G0013680.1:cds pep primary_assembly:Fonio_CM05836:UA:28740629:28743617:1 gene:DexiUA01G0013680 transcript:DexiUA01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTKLDPIETASIDELQALQTERLKWTLHHAYNNVPMYKRKFDAAGVHPDDFKTLEDIRKFPCTTKQDLRDNYPFDTFAVPMEQVVRIHASSGTTGKPTVVGYTQHDIDNWANLVARSLRAAGGSAKDKIHVAYGYGLFTGGLGAHYGAERLGATVIPMSGGQTEKQAQLIRDFQPDMIMVTPSYCLNLIEELERQMGGDASACSLRVGVFGAEPWTQAMRREIEKRLGITALDIYGLSEVMGPGVAMECIETADGPTIWEDHFYPEIVNPNDGTPLNDGEQGELLFTTLTKEALPVIRYRTRDLTHLLPGTARTMRRMDRISGRSDDMLIIRGVNVFPSQLEEEIVKFEHLSPHYQLEVNRRGHLDSLSVKVELKESSLTLTHEQRCQVCHQLRHRIKSMVGISTDVMIVNCGSIPRSEGKACRVFPHPLILTFSPEGRRDVVPLSLWERAGHAVSSVPVSGTSLISSLYGDALSHRGGEIWLGSLAALLEGMGFGERFVRTALFRLNKEGWLDVFYRLSDKGLRLTRRAESKIYRAELPAWDGKWLLLLSEGLDKTTLADVKKQLIWQGFGTLAPSLMASPSQHLADVQSLLHDAGVAENVIFFEAHSPLALSRAALRARVEECWQLTEQNAMYETFIHSFRPLLPLLKEAAPDELTPERCFQIQLLLIHFYRRVVLKDPLLPEELLPAHWAGQSARQLCINIYQRVAPGALAFVSEKGETSVGELPVPGTLYYQRFGGLTISYVHPTAVLIGDVILGKGVYVGPNASLRGDFGRIVVKDGANIQDNCVMHGFPEQDTVVEEDGHIGHSAILHGCIIRRNALVGMNAVVMDGAVIGENSIVGAAAFVKAKAEMPANHLIIGSPAKAIRVLSEQEIAWKKQGTREYQVLVDRCKLTLHQVEPLREVEPGRKRLEFDEDLRPKSAS >Dexi2B01G0027040.1:cds pep primary_assembly:Fonio_CM05836:2B:36011066:36012538:-1 gene:Dexi2B01G0027040 transcript:Dexi2B01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTSSTGLSATQRRRRRRKLAGGQERRKKARKLAGGRAVASDSNSEPLVTNPQLEDDPNQMGIGSSDGRAAIGAGDVLHPSVYLAVNRGNWLSSEGFYFPLHKVEVASDDDGSSSRGEAPLASHVTNIETGAGFKTIVSVKSRWIVSVGGNPSRTVIFDTKTAEVITGPDLVAAKSSPVVMAVEYRLYALSATARFEEGPDFTPWFEVLDLSKAMDAEGNLGLLDLCSWEAMPSPPFFARELPKAVDLPPPKSVGDILPPIITVGSYVVVGQYLAEEWHKVDDTCLPFYGAATQLGHSGRVFLGLSRKNGSVSAYRICASPSSGRSAMAGLPAKDGALKLSITVFSLKTKAHERISIGDGHYFTSLDGTCFSTLFDSLDGRICHRKYSKITREFYSTMLGARLRTYQIESESLELLEATDEEKLLAVEPEITVSIQREHDFRIFSAHGFNRTPIAFVASI >Dexi9B01G0034040.1:cds pep primary_assembly:Fonio_CM05836:9B:36130014:36137427:1 gene:Dexi9B01G0034040 transcript:Dexi9B01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAHGAPSTRRVGAILQQKGQRPVATNTYNAMTQPPGTSTDPSAAITPKACNPRPTTRAHYPMGHHTPRWSPATAAAAVKARAIIIVCVLLLAKAAAQQGAPAADSAAAAIDAESDGNGTTRGGGGRGGGGDGGSRSKLVSSIDCQICEATCRVKCLINNLFQWGVCYQRCKSDNCNDWCSRAHASQSRKTLAVRLVKRVFLGLFLAAKANHNGRARSTRAYRTPSTLTADEARPSHRQPLATRGASFSIVFAPVRYLAAVKPTPSGHHLHTHISINDQLGSSTTFIGNPSNTLRACVLAMGGGNNGGGGGCLLTPYFCAASLLSRRRAARLVLWGGETRAARHGKLAGQVMLDFAGTVVCAADAFYLGRPAPVLAIEDRLVGGATYLVLPVERLPQQGQHDALTAASLEALSYDRVVAGGGAASIAGAPKSPFEYVKGEDGRTVIKVTPEFLIGAITSSEVGKEGEGDAGSGEEACAGALCSTPELRKHYEQLVGAARGRAWSPRLDTIKERKGRRGFVAAVSPGRLSPVAVRLLLGLDGKGER >Dexi9A01G0049920.1:cds pep primary_assembly:Fonio_CM05836:9A:52388443:52390857:1 gene:Dexi9A01G0049920 transcript:Dexi9A01G0049920.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGKFLLPGATAAVLVMLGALHAKRMYDDKKVVQRKEKGIEPEFSPDFKVNLEPDMWTNRTVSRMVHSLPPEERVYEPEGTGAEVKKGEVVRAATADACGKWKSDGHVRFADSRIQDGVNSGGGPSWKREARSDFSFCVRAGXXXXARLSVEL >Dexi9A01G0033470.1:cds pep primary_assembly:Fonio_CM05836:9A:38418096:38419844:1 gene:Dexi9A01G0033470 transcript:Dexi9A01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLYSPDGDFSPSLVCEENMDGIFGFNGGEGELPELRIDLDSLDFPLESDEIVASLMEKEKEQLIDVASEYYLQRLNSEGLAKTRHNFGPLCFYLSVNYLDRFLSKYEPPVDKPWMKQLLSMACLSIAAKMEETVVPRCVDFQVCTEKYKFNAEAITNVEIFVMSSLKWRMKSVTPFSYINYFVDKFTEGKPLSCGFASRYTELILGTLEGTKFLQFRPSEVAAAVVLSATVESHVLDFNSALIASNIPVDKENVRRCHEAMQEMGLVKKSQESNASLSIPKSPAGVLHASCFSFKTDGSQIPGSSQENNNEAYTTANKRTKLDA >Dexi3A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:3A:12267830:12268921:-1 gene:Dexi3A01G0016370 transcript:Dexi3A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFKRKRLLLASACCVILLLLTPTHGESNSEQSYKMAQPLELTPKLSSQLKLHAFLLWFSVGFLMPIGVLLIRLSSNAKDAKSVKRLFYWHVGSQIVAVVLATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLVGFLRPNRGSMRFRSAWYLTHWLLGIGVCVVGVANVYIGLLTYQERTGRSARMWTVLLTVEVAAMAFVYLFQDRWNYVVRQEEAAALGDEQSEGSSSMYPANDHKETVVVVVP >Dexi3B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:3B:3289215:3290540:1 gene:Dexi3B01G0004940 transcript:Dexi3B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSEAQVMESSIVTPSKATPRHQLWLSALDLLLVNRGHTPTVYFYRRAAAAGDFFDAARLKESLARALVAFYPLAGRLAVDDDADGRFVIDCNGEGALLVVARSDLTVGDLGDLTPSPELRKLFVPRVEPSSIMLAVQVTFLKCGAVAFGTALHHAAADAISAFHFFHAWSSLCRRGLTGDDDDIDAVVELPCHDRSLLRARSPPSVSPDAFAVFCPKLTLTQKISGPVASKVFTVSDGHVAALKRACGGGVRVSTFAALSAHVWRCVCAARGLPANATTRLTLPASVRRRMRPPVPGGYFGNAVIWVGTSGAVGDVTSEGLGDTAGRIRSAVRRMDDEVVQSAIDYFELAAAEVKKEDAGRPIPGDLPETELRIISWLGMPVYDADYGWGRPELMVRAESERSGFVYLMNDGGGGGVQVVVCVEAAILEQFEKLLYAK >Dexi1A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:1A:26683982:26684830:1 gene:Dexi1A01G0019620 transcript:Dexi1A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVCLFESALSTSTCFPNRPFAPRARVVPRCTFLARGPDEDDNAAAANSGFLRRSRTESSSARNLFRRYLLSDDDILDGTKISRFTSKPSLFRLMHLRFGAEESSESTRTGLPAAPSDSPTVSVYPRAAAVPPLKTISSYPRFMGL >Dexi3A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:3A:7662861:7664719:1 gene:Dexi3A01G0010680 transcript:Dexi3A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTMTEQRVSRVRGDEEHHQGFAVDYDGGEHHGVVSSSRRVSRLAVEGGDAAAAVDNSKAIQGVFGRAGKLLQEDAAAARRRRSFGGARAPLPPPHAWLAIDDATGINKQQYESDDPAAEQWARLLGGGGARRHQQQRRSSFTVVRRERAAREAWLDRAWEMKRSWHERNGGAPDADTPVVVVVGKGASAEGSSESHEAGAVGGGGVAMDVDEVRACRDLGLELPSDCTVEIQCYGLTSGGSSPTHTNASSGADSPCAVSSPGADPMDVKARLKVWAQAVALASTTHLSS >Dexi1A01G0026000.1:cds pep primary_assembly:Fonio_CM05836:1A:32032101:32032538:-1 gene:Dexi1A01G0026000 transcript:Dexi1A01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHGPGVSFSHRQRRRPRPPTPRAANALYRSELAPPTSAPNWQEIKKQSRTGAELRAPSGNPAVIANSRAPHLIAFLSRTNLGLGGTPTRHQTPPPPLAQPPRRLGSQGGSRRASERLGARKQGRSGGREGGRGRGGAIRVGK >Dexi3B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:3B:2588348:2595363:1 gene:Dexi3B01G0003780 transcript:Dexi3B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAATVAAQGGPSVVGVEEGVGESSSPPREEAPVPSGSGGSGGGGGARDICGQVLERLVADGHAEASDPEFREKLVAHFGRLPHSYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFHVKFLRIEDMDHAYDSDASDEGDDDGDELSVRQDTQYTHIHEVVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTLDGYSLDVFVVDGWPIEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGSLIASGSCGDLYHGTYLVTLDMSSPSTLSREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLTTLLKFAVDVVKVADFGVARFQDQGGIMTAETGTYRWMAPEIPYDTMTPLQAAVGVRQGLRPGLPKKAHPKLLDLLQGCWEADPSKRPAFPDILAELEDLLAQVQGASGKMVQDPANNFSTKD >Dexi3A01G0036470.1:cds pep primary_assembly:Fonio_CM05836:3A:41862609:41863218:-1 gene:Dexi3A01G0036470 transcript:Dexi3A01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLFVLSLALLLMITSPFHETSHAQAAAVVTQQGGMGDGAGTSMRSMVGSRPPSCAGRCWWCGDRRCEAVQVPITPQEKNRGHATRGGLGGGGGGRRRAGASLSSLKQQQQQLSLVSSYNDHSNYKPLSWRCKCAGLIKP >Dexi9B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:9B:5712782:5713726:-1 gene:Dexi9B01G0009240 transcript:Dexi9B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFMSYVDLKKAAMKDLEAGGDGIELPESGAGGVTDERLRGFFEEAEGVKAEMAAIRDALDRLHAANEEGKSLHQADALRAHRGRVNADIVAVLRRARGIRARLESLDRANAAQRRLSVSCSEGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVETQGEKLDDIESHVANASHYVQGGNKELGKAREYQRSSRKCLCIGIIILLLLVLLVIVPIATSFRKS >Dexi5A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:5A:9662829:9667257:-1 gene:Dexi5A01G0012910 transcript:Dexi5A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASHAGAPPPPPPSPPPSPAYGAQPPFAAADAAPPPKPHEEEAAEAEQKVDYLNLPCPVPYEEIQREAFMALKPDLFEGMRFDFTKMINQHFSLSHSVYMGSVDVPSQGNEVIKIPTSNYEFGANFINQRMMLMGRVSHEGRENIRVKFDLTENLSVKINAQLTSEPHYSQGMFNFDYKGKDFRTQIQVGNNAFYGANYIQVATGQIATTGMVALSYVQKVSEKVSLASDFMYNQMTKDVTASFGYDYILRQSRLRGKLDTNGVISALLEERLTPGFTFQLSAEVSMRTSLFYL >Dexi9B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:9B:13135064:13136508:1 gene:Dexi9B01G0018390 transcript:Dexi9B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPLHNEDVQRGSPGDYSTRRVDSGQRLVDRMPINPKLAVFESTQERFEIPIRPGMRSSYVAAGGPPSSSKRPSDPSVVRKRNASYDPTGHIMEEGCGRGRKKVASRKTNESVHPTVDGVLRKKKRSFHRYSKDNNASGNSRQLTDYFGTSEGTEVPPLPKRCAKPSQSFNIRCNHSVPRMRDLLSHPLARLSGPPLEALLEVFDQFDLTLSETSTAIQASMNNIVRAPRQLAEKFRTVIDLVAAQTSADPNIIGESSRMHSCAMKRILLTLQQVKHNAAF >Dexi5A01G0026140.1:cds pep primary_assembly:Fonio_CM05836:5A:29869114:29870304:1 gene:Dexi5A01G0026140 transcript:Dexi5A01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMHRQYNENLESFLRSIPRRRLHSLVIDMFCVDATDVAAKLGIPVYTFVPTGASPLAVLTQLPALFASRQTGLKELGDTPLEFLGVPPMPASHLMRELLAHPEDETCKASVSIFERGMATRGVLVNTFESLESRAVQALRDPLCVPGKASPPIYCVGPLVGNDAGKGAKAERHECLSWLDAQPERSVVFLCFGSMGTFSDEQLKEMAVGLDKSGQRFLWVVRMPANIDDPMKILENHCEPDLDALLPEGFLERTKGRGLVVKSWAPQVEVLNHPATGAFVTHCGWNSMLEGVMAGVPMLCWPLYAEQKMNKVFMTQDMGVGMEIEGYMTGFVKADEVEAKVRLVLESEEGRELKARVAARKKEAEAAFEAGGSSHAAFLQFLLDVENLGEHRAE >Dexi3B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:3B:2183218:2187757:-1 gene:Dexi3B01G0003340 transcript:Dexi3B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRTLALSSSPAAARRSPEKTAVASLHLRRFSTTSSLHLGAPQSPALRAHSSPFQAWRRRRRAGGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTDVIRGVSPDQQLVKVVNDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLALLQGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTDAKPSQIAKNGLKEAKSQKKDVIIVDTAGRLQVDKAMMSELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTEMIAKMGSFGRLIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRRRVAKDSGKTEQQVSQLVAQLFQMRARMQKMMGAMQGKESPDMDELMESMKAEQAGAGTGQRRRKYGNLRQRQLDAMRGFRRR >Dexi3A01G0036850.1:cds pep primary_assembly:Fonio_CM05836:3A:42352590:42356193:-1 gene:Dexi3A01G0036850 transcript:Dexi3A01G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAPPARKVPLRQLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLIGHLSDRVAPASPLGRRRPFIAAGAASIAAAVLTVGFSSDLGRLFGDDITPGKIRLGAILVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYRIFPFTVTPSCSISCANLKSAFLLDIIILVITTYITVASVQEPQSFGGDEAEHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDNPGESQNYHDGVRMGSFGLMLNSVLLGFTSVVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAGASFIGGLVAILGLPRARISSSRRGGTHR >Dexi5B01G0028710.1:cds pep primary_assembly:Fonio_CM05836:5B:30046459:30047304:1 gene:Dexi5B01G0028710 transcript:Dexi5B01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAIGVVSGQNRWTRFLRRHPHVELALIPAILGLCLLLFCWLINGPPDVSATVMSIQDLEPGHRAADAPKFAVTLRVRNPYVWRHCFKPGNGSAVVAYAGVPLARADLPGFCVPGRSTKTVRFVAAGGGLGVPSALYESMEAQRGRRESVLN >DexiUA01G0012430.1:cds pep primary_assembly:Fonio_CM05836:UA:25146439:25147205:-1 gene:DexiUA01G0012430 transcript:DexiUA01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAISALLPRLAELLTDEYKLHTGIKAGVRYLQDELTSMHATLEVVSSQVPAVSAHPNEGHLKPWKHKVRELAYDAEDTIDKYLIRVSDTPPGLSHRPWTTVLHAARRCKARRRIATEIERIKNDVKDASERRQRFSIPDVAGHWSPPRTTSPAVDSHLPLRYDNTVRLVGVGTPAAELIQKLSLQVEGEDARSQRLKVVAVVGAGGIGKTTLAWEVYDMFREKFDCGAFVPVTQKPNDTPTGEPK >Dexi5B01G0039390.1:cds pep primary_assembly:Fonio_CM05836:5B:38259310:38260834:1 gene:Dexi5B01G0039390 transcript:Dexi5B01G0039390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAFNTATAAGALASPAPRRPSALAARLPAARWVPLRCSPPALGLRRGTAPSRRGSAALRVEAKKQSFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYTSIANRYRIEALPTFIIFKDGKPCHRFEGALPANQLIEQIENALAVTK >Dexi1A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:1A:24172218:24174438:-1 gene:Dexi1A01G0016900 transcript:Dexi1A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEYYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKNSISRANILDGTVVFTLLFGSELFEDYIGHLAMATMASTELTNDNDNPEKLQDRLKNVQREREEKLARFLKEFLSQYVRGDKEGFANRAEAEAKRLSSTSSGLDILRTIGYIYSRQAAKELGKKAMYLGVPFVAEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSNKDGIATEQDVDLQMRMNKDLMMNSLWKLNVVDIEMTLLHVCEMVLYENNVKKEDLKARATALKILGKVFQREKEALPAPAPGPGPGPSGPSKRTVLDDDSSSDDSSDDDMARTVPYRTPAFTQGIGRLFRCLCNPAYDVDDDFEPRK >Dexi1A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:1A:2841768:2844781:1 gene:Dexi1A01G0003870 transcript:Dexi1A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGRWWRRREANGIPPLRVGSSHGLSAPARNPRRQPMEAAHVSRDSWPPDRPDGRPRSEAGHVGRDSWPPDRPGGRLRS >DexiUA01G0012650.1:cds pep primary_assembly:Fonio_CM05836:UA:26172867:26176149:1 gene:DexiUA01G0012650 transcript:DexiUA01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAIAPRPPGEEEDVEEEEEEEEACRICHLPAEAGRPLRHPCACRGSIRFVHDDCLLRWLATRRSGLASRCEVCKRAISMVPVYATNAPTRLPLPESMLGLANKLMAWLLLLLSLLFVICVWEFLMPLTTLWIWRLALSRTLAQVRQLLSIRAAAAFSTPYALRFMPTPDTVLACVSIRREFPNIRQLNAPARIVADALAPVALWVARVEAHLQRRFGGLDTLQVLALHTVEASLMGKER >Dexi8B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:8B:22009765:22010792:-1 gene:Dexi8B01G0012630 transcript:Dexi8B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVSSAVTQEVVHQVLSRLTERYENSSDAKDRMERMEMAHIRMEAALEASQRWSITSVPLLRWRSKLKRAAQECDHTLRRCKRRLQEEEEERSSLPSRVACAAMSLVSSIIGGGSDDEVGGSTVRRFERFADGTSEFLRYVELGGGMPRRSVFFNGALLRHLLEGKGTKNCFVGGGQHLSLVLQAISVPDRGMEGSLIFSLEDGNMPENNFFLTLNLRVSESTDIIGIVVRCVELFAPHLSSTAEAVKTKLTQLPTQDLRWVPDAHSVFGHDGRWDNLDTVYSKWVRPNPLCCQQQHQSYNSQRL >Dexi7B01G0021650.1:cds pep primary_assembly:Fonio_CM05836:7B:26652701:26658394:1 gene:Dexi7B01G0021650 transcript:Dexi7B01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIPFVNILDDDDDDLTSPASDFLDAFSPSPPLQKRLLLALGDPVVLDDTPSPPKRRPSSAPEPPVLVLDDDDYAPSASGGVVTVTPDSVLHRAAFFQSPEMAMPSSASLGTVVPETPGGTVVPETPGGTVVPETPGFPSQRSVGPVPAKNLSASLISLESDDEDDDTIYKNYSKSSVGCGTTQSEKNPEMNKRQEKDDAQQIEAKERKQPAKKRLTKEEKAKMLDERKQKQQEDKLQKKAMRAQQAVKKKNDKEIQKWESGKYALKCITAEIDHNVAESGSIGGCLLSSLSEKCLSYKLTNNPVKGSILWKMNVPPDVAQAFSSLHDDCDMDPASLSKVQYIAIVLQAEEFCDLVLSKLATHYTHVHSRQCIDEAEVAEHIVGLTSNLAKCKKPLTWLSVHANGAIISKDFVDKNLAKKDTWLKALIAIPDIQPRYAMAIKKKYPCMRSLLNEYMDPNKTVQEKEDLLSDLKWDNRLGEEGKRLGKKCSRRVYRMLMTQNGDLYTDDPETGGSA >Dexi1B01G0031210.1:cds pep primary_assembly:Fonio_CM05836:1B:34929371:34930488:-1 gene:Dexi1B01G0031210 transcript:Dexi1B01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQDFSPSQFTPSQNAADSTTPFKMRGASGTMPLTVKQIADAHQSGTGEKGAPFVVDGVETANIRLVGMVNGKTERNTDVSFTLDDGTGRLDFIRWEITTVSPGGIIIITFSFLKEWYVRFCHW >Dexi3A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:3A:1634644:1639283:1 gene:Dexi3A01G0002410 transcript:Dexi3A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSSAKPFSPATKPPTPFATRSQSQSPTLSPRAAAPRFAHGLSAAAALTARRCRALARPIRASQQPPQPQQRRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGDDGHVPVICGLSRCNKKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYNLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLASKMVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEINDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLSDVQATCGTLGLSTATVKLIGPDGEEKIACSIGTGPVDAAYKAVDQIIQIPTVLREYGMTSVTEGIDAIATTRVVITGDVSINSKHALTGQSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSEVPESASVGSKE >Dexi6B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:6B:9305907:9306415:1 gene:Dexi6B01G0007810 transcript:Dexi6B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >Dexi9B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:9B:4095430:4096656:1 gene:Dexi9B01G0006830 transcript:Dexi9B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPELERGRNEAAMGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQQFMRQATESNGMKQEAAAGDATGSSGMPAVTYNWHHQAMAVPVPPVPGTTMEGHRIGDEVDESIRKLLYKLGAGPFATLPLPQCTPPMYEGSPSLVPPSCTVDTTSLNEGGMQASSALPALELDQSFHFNQVKLDGLDCLFGMGADQSLRWSEVSPLVCPNNAVASSSQGMQQYCLVDESANLGMK >Dexi1A01G0029000.1:cds pep primary_assembly:Fonio_CM05836:1A:34543861:34545490:1 gene:Dexi1A01G0029000 transcript:Dexi1A01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACMIHVFLGLTARNPHRAIHASNLYSISFWYKEFSCCKPTYQHINTNNSMKPNDLIGVQDTVPAEGNHSCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAEFLNSLRPGSVVLDAGCGNGKYLGFNPDCFFIGCDISPPLIEICAGRGHEVLVADAVNLPYRDNFGDAAISVAVLHHLSTDDRRRRAIEELIRVVRRGGLVLITVWAREQEDKALLNKWTPLCEKYNEEWVDQSSPPVRSQSATLLESIAETDEDAGVMKQTDNQLKKCHDGMEDKTITACSNSNNDEKEKNQQEYFVPWHLPFHRAEIGGASAAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSGIKNAAIVDQFYDKSNWCIVLKKL >Dexi5A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:5A:8739671:8740028:-1 gene:Dexi5A01G0011610 transcript:Dexi5A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVTIEGVKVPVDTSMPNPNGLEYDNLYLDMNNIIHPCFHPEDRSAQKVKARLNVLLVIR >Dexi9A01G0036010.1:cds pep primary_assembly:Fonio_CM05836:9A:40575769:40576120:-1 gene:Dexi9A01G0036010 transcript:Dexi9A01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLLWSPQTVTMQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYDGRFTTFALSGFVRAQVIVTYLCNPVVLTAVF >Dexi5A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:5A:7310031:7310539:1 gene:Dexi5A01G0009740 transcript:Dexi5A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYPSSFSNDRPIGAGVGGAWSPTAEDAMAFVQMVKEAFKERHPEKYQLFLRVMDDFRNERIGVAEVTSTAAALFRDNPDLALGFNVFLPKSHMIHVGLDELASYFIRDVNLDDDDDDHGN >Dexi9B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:9B:10796038:10796760:-1 gene:Dexi9B01G0015770 transcript:Dexi9B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNKPLTTLSIMLLLAPLLMATDPDPLQDFCVADLTGKTSVNGYPCKPASSVGDEFLFSSRATTGGDPTANPNGSNVTELDVSEWPGVNTLGVSTNRIDFAPGGVNPPHVHPRATEIGIVLRGELLVGVIGSLDSGYRYYSKVVRAGETFVIPRGLMHFQFNVGEDAATMVVSFNSQNPGIVFVPQSLFGSNPPIPTPVLVKALRVDTEVVELLKSKFTGGSAAPMNDNFADLCVGAW >Dexi2A01G0036750.1:cds pep primary_assembly:Fonio_CM05836:2A:46198071:46200532:1 gene:Dexi2A01G0036750 transcript:Dexi2A01G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLLIKLYRFLVRRTKSDFNAVILKRLFMSKTNRPPLSMRRLVKFMEGKGDQIAVIVGSVTDDKRIIEIPAMKVCALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Dexi4A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:4A:19315356:19319130:1 gene:Dexi4A01G0015890 transcript:Dexi4A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVLLLAMAVTAAVVIGGSSPANAAVSYDHKAVVINGQRRVLISGSIHYPRSTPEMWPDLLQKAKDGGLDVVQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLAKQAGLFVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSVMKTEGLFEWQGGPIILAQVENEYGPMESVMGGGAKPYANWAAKMAVATGAGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNSNSKPNMWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALISGDPTVQSIGNYEKAYVFKSSSGACAAFLSNYKTNAAASVVFNGRRYNLPAWSISVLPDCKTAVFNTATVQEPSAPATMSPAGGGFSWQSYSEATNALDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSNEQFLKSGKWPQLTIYSAGHALQVFVNGQSYGTAYGGYDSPKLTYSGYVKMWQGSNKISILSAAVGLPNQGTHYEAWNVGVLGPVTLSGLNEGKRDLSNQKWTYQIGLHGESLGVYSAAGTSSGEWGSAAGNQPVTWHKAYFNAPAGSAPVALDMGSMGKGQAWVNGHHIGRYWSYKASGGGCGGCGYAGTYSETKCQTNCGDISQRYYHVPRSWLNPSGNLLVVFEEFGGDLSGVKLVTRTT >Dexi7B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:7B:1690601:1690967:1 gene:Dexi7B01G0000880 transcript:Dexi7B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLLLLFLATSCDEVLSVVDEGFDELVCDIVFEVCLGSCWKSGHCMRCCKHHGFVHGRCSLKHGDGCYCCHTRDEK >Dexi9B01G0023030.1:cds pep primary_assembly:Fonio_CM05836:9B:18012909:18015063:1 gene:Dexi9B01G0023030 transcript:Dexi9B01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIDVPMNSAQSGAHFPVQHRSLSLDIKGNKTDIVISKHEDTFMVIVTQIGCMGTILAAKKDESVFSDPTYNVSVLFGKRDEPLLVACARQLIEHISGSGSARSLVISLGLKDHSQGTLKDIVAAVIENRLW >Dexi5A01G0031800.1:cds pep primary_assembly:Fonio_CM05836:5A:34413531:34419167:1 gene:Dexi5A01G0031800 transcript:Dexi5A01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIQGYQNQYAPKATVAPVFQNQYVNNTAANHVSENSRAPGQDSVTSNIHMNDLKAVAVQGGSEAVMQVTGSFPVSLRTYVERSLARCKDDAQRTAIRRMLKEIITKVTADGTLHTKNWDIEPLFTLPEISTGTNMTGQRSTKKQRTGGGASLTENGNVSSDSVKEQDLTKYYVRPEHVLEKALHMVETSKKNYLYKCDQLKSIRQDLVVQRIQNELTVKVYETHARLAIQSGDVPEYNQCQSQLKRLYRGGIKGCNIEFSAYNLLCVMLHYISKRDLLSSMASLPKEAKKDATVKHALEVRSAVSSGNYVLFFKLYKAAPNLNSCLMDLYVERMRFEAIKCMSKAYRPTVPVRYAAHVLGFVGIDEVREANGADGLEECKKWLRAHGAVLSVDNNGELQIDTKVSSTSLHMPEPENAVSHGDTSLAVNDFLSRAS >Dexi9B01G0032250.1:cds pep primary_assembly:Fonio_CM05836:9B:34500746:34502460:-1 gene:Dexi9B01G0032250 transcript:Dexi9B01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPSSWRPCNACRTKAMAGSVVGEPVVPGERVTVLTIDGGGVRGLIPGTILAFLEAKLQELDGPEARLADYFDCIAGTSTGGLITAMLTTPGEDKRPLFAAGDINRFYFENCPRIFPQKNAITAAMSALRKPRYNGKFLRSKIRSMLGETRVRDTLTNVVIPTFDIKLLQPIIFSTYDAKTMPQKNALLSDVCIATSAAPTYLPAHYFQTQDATGKPREYNLIDGGVAANNPTMVAMTTITKKMLAKDKDELYPVKPEDCRKFLVLSIGTGSTSDQGLYTASQCSKWGVIRWLRNNGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDRDYLRIQDNSLRGAAATVDAATPENMRELVAVGERMLAQRVSRANVETGRYEPVAGEGSNAQALAGFARQLAEERKARLARRAAAACASGSRCSSTVKTY >Dexi2B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:2B:20067133:20067393:1 gene:Dexi2B01G0012920 transcript:Dexi2B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLATPRIDLAPSVAHRRGPASLSSSAEPARTSLEAPPRACRSLRRTSSPTSMTTTSSSSSRLLSPAWSFFAGTDERRRLGEATA >Dexi6A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:6A:8941226:8943603:-1 gene:Dexi6A01G0008450 transcript:Dexi6A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLAVLMALALLGPVSCQSGASYGYGSGYGYSSGSSNGGASAVAGGGGGGGSGGGGGYGYGYSSGSGGAFASGGGGGGGGGGGWSTYKPTLPPTPKPPTHHSRSPPPIHVPIYPPASPPPVPQSPVTPPTSPQTPQIPSYPSPPPTPQPPTVPAMSPPPTPAPLPPSNPPTTPPPNVEPPTPPMMSPPPTPTSQPPTSSPMNPPSTPIPQPPSSPPTISSSTPTPQSPSSPPTIPPPMPNPQPPTSSPTSTPPTATPQPPTSPPTSTPPMATPQPPTSPPTSTPPIATPQPPASPPNIPQPLASPPYISQSPASPPMSPPPQGAGQLIVGYYKDKCGSYMDVEAIVMKHVSQADSGIKAGLIRLFFHDCFGCDGSVLLDPTSDNPQPEKLGIPNFPSLRGFEVIDAAKAELEAACPGTVSCADIVAFAARDASAFLSNGLITFAMPAGRYDGNVSLASETLPNLPAPFAGAARLAQDFASKGLDVADMVTLSGAHSIGRSHCSSFSDRPSDMDPTLAANLTAQCASANGTDGTVAQDYETPDELDNQYYRNVLDHTVLFVSDAALNATDTIGLVRSYADTPSMWQVRFGEAMVKMGSVEVKTAANGEIRTTCRFVNTRAS >Dexi6A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:6A:18436088:18436495:-1 gene:Dexi6A01G0012200 transcript:Dexi6A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTCFSYSTVLKETINDSYTSFFGHRPKQVNCPVTLLLLAKALEKNRVGDHIGHAPKLRHPAEQDVERLIRPVSIAQTPEEASACDDIDAQACSEEASEECKRKVRDVRAAAAVHEDVVGARRG >Dexi7A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:7A:24189658:24199751:1 gene:Dexi7A01G0014190 transcript:Dexi7A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGASGTSCSRHRGGDVRVMWPCDGLVAGRRGGGVRNPRWSCDGAGRGWRGQAQVAGGRAEVASAPSWLPSASLSMIRKQRSIEERREAETGRALGERKERGVNDKAKAVMPGRPGSVQQQYRPRSPAGATIHGNATSSYVPAGSAAGAGHMEPVNQGSGACASLGSLDNVKPSEVAAQSDVLNSVSAEETWVADSSSPAVPTKGYMSPAFTLQFGTFSPGAINKKHTTAPTCTSSAPPDMNGSKHEKACHGLFGKPNTVSLSAQEQQTQEARDDLVIGGGADSIETYDSVHVPKLHETPVLNSISPTSKVCMDSCKVFPRTPSSPTQQQCENQEEIKDTVNANQSNTAYKYPATKPKISVQIPASYTPNMAPPQFMLPVPGRSMPVAFHQKQPQVPVEFRGAEVSSFKGLLPPSLSAPVHVDLKPPVPFPAENNEVSSKCSGESAMSNKQNHHKVKELNIDNVVTLTGVISQIFDKALMEPTFCEIAKDEEEVVLCMKELDAPSFYPSLVSLWINDSFERKDLERELLAKLLVFLCKSQENLLSQRQLLQGFQHVLSTLEDAVTDAPKATKFLGQIFAKAIMEDVISLTEIGGLLQERDGREEPGGRDALDDSLASEVLGSMLESIRVERGDSAVDEIRAKSSLLCPRLTGVCV >Dexi9A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:9A:4351745:4354070:-1 gene:Dexi9A01G0007500 transcript:Dexi9A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPLPLASLLLLGLLLISAPSPSDSASLSFLTDSSNASITTFADPELEDPAPEPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAVRVGEVQRYEFRARAGGVVALARFSDEAVEWRRPTSPAVEEVDGPDGIDVIPGDGAFGFGTGVRDVELVGPVELKLAGNEDGVLVELQLPSGNVTYTRLKRILVTDGVGLKLERDISEEDSMWKDVPEWKTQPMVQRLTLDIVAKVEDGDRLKAISVKKARQPFPIVDTTTWGSLTSNVSFTQFMSFVLPPEPLSLDVKW >Dexi1A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:1A:26695443:26696005:-1 gene:Dexi1A01G0019640 transcript:Dexi1A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLASDKGGGEGRGSSTATVSSPILVLTSSVANSLETLPSRVGGCFLFLLGGNAPLTILPQQRGLRKAPTRILRAATTRDLARLPVADTVSGGDPPAAAAVAAMVRVAIAAQVALVVVLLPPSGALQVELTLPLHIAAPVARVPHRGHGAQTGIPRNRAN >Dexi3A01G0030690.1:cds pep primary_assembly:Fonio_CM05836:3A:35022956:35023258:1 gene:Dexi3A01G0030690 transcript:Dexi3A01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKGALSDAKQSRMLRPGWAKACYRQGAPHMLLKEYKQACEAFLDAEKLDPGNEEIKRELQ >Dexi5B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:5B:21186257:21187213:-1 gene:Dexi5B01G0018810 transcript:Dexi5B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAPAAADDLIHEWLDDGDSYLLRLNLPVEQAGFKKEDFRVHVDVEGRLTVIGQRRTTLVPGDGKAVPVRIHKVFQLPNTANLDTITGRFDGTVLTLTVPKLSLKQQRQQNAAAAAAEPARVAATADGEKPAAQAEDKAPHQKTSQLTERDNKEEEAKAKPVAPPPPPPPETEKTMGNGGGDGQDDEKARAEHREKVEREAARRIEAARARVAEAKAKAERERQCEQWKERAMEEGLKLADAVSRNKEVIATAVAAFTLGVFLSTKLFSRS >Dexi1B01G0029670.1:cds pep primary_assembly:Fonio_CM05836:1B:33904502:33908107:-1 gene:Dexi1B01G0029670 transcript:Dexi1B01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRSERDGGRTANRRRRRHLYLIFDDWSRGYSIRKVNLEGGGGGGEQRLPDAFWRVEAERGMPEVFTSAFGTRIVALHGARKDKPEDNDRLDAIQIVDVRTRSLVSAPASNFMHRPVCYFPVAGDRLFLLDAWTFKLCRLPQQDAPHHPEPDDDDDDADDDGSPLPCSYDGVESEWDREYDRWWRHFPLPTLSVGSVTITGLCLMGAINSYAVHSDGRTLLVSTKNKWTLPFTGRGHFDRDLDAIVALSKDPDTLGHLYSCHVTNADTGKELCRPESSEGDSPIDETGNSSGRLCSAPAWKLSKEKVLSEDPAERHVGATLLYMGRRSKFCVVECLAVDDDGGGGARHHHHQAPPLPQGGVVVLPCSRYVYRVVTFSLRYDEMGDLRVKRRRVR >Dexi6B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:6B:982644:988976:-1 gene:Dexi6B01G0001210 transcript:Dexi6B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAPDAAAAPAPAGGAGDDVEMPEAAAPSDSDSDSSDSDDEDDGAGGADELRIQALEQALQAQPLDYETHVQYIQCLRKSGNIEKLRAAREEMNKYFPLTPKMWQEWAKDEITLRMSEESFGDIEKLYERGVQEYLSIKLWRDYLDFVEEHDPSVSQCTPSGLSKMRDLFERAITAGGLHVAEGSKLWSAYREYEMAILITITDGNDEEKAKQVQRIRTLFHRQLSVPLAEMESTLSEYKSWEAEQGNANDPSSNFDGVPSNVISAYKKANDMYNERKQYEDQLNDAGASEADKLQEFLKYLKFEESSGDPARVQVLYERAVSELPVSKDLWIGYTSYLDRSLKVLSETCLGILVFERAVQCSFATVQEDICHAWIRFEEEHGTLDDYDLAVKKVTPRLKELMVFKSQEEAKLEAYSLNDNSTADDSSQKRKASKMANKQQPAAKKRKENPPKSAKSSDVQGSKTQSGHSGVVTAVEVGESTREKVEASTEMKVDSDSRTGNTGSNEPKSSFYTDKCTVFVSNIDLKANEDDLRRFFSDIGGATAIRLLKDKFTKKSRGLAYVDFSDNKHLEAALRKNKQKLLGKKVSIARSDPSKSKKSREAGPSSTGHDNLPQSGDDGAKAPGSRPDKEVAKDDVKITGKNTFFAPRSVVKPLGWTTKDDKTDGGTGELKSNEEFRNLLLKK >Dexi9A01G0039080.1:cds pep primary_assembly:Fonio_CM05836:9A:43194785:43196107:-1 gene:Dexi9A01G0039080 transcript:Dexi9A01G0039080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRRAGAAASGAASSSKKPRASAAASYAESLRSKLRPDASILATLRSLASASASASSSKSKAAEKSLADHDPSADPTSSYIVVADQDTTSVTSRINRLVLDTARSILSGRGFSFAVPSRTASNQVYLPDLDRIVLLRRESARPFANVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELEMLLRTKQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >DexiUA01G0002400.1:cds pep primary_assembly:Fonio_CM05836:UA:5390339:5391254:-1 gene:DexiUA01G0002400 transcript:DexiUA01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKQFKQANTSLESSILKIARGEEQMKTCVAQAIKTSAENRHLTIGLERTALDVSNTEKELKWLRSSVGTSEKEYEQTQQKISELRVLLEQERSERRRLEEQYEEVKNEVMELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQNDVREVKI >Dexi1A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:1A:6244407:6244670:-1 gene:Dexi1A01G0008090 transcript:Dexi1A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGMVFELHAGGVAGGDVVFYVAIECLSLLSMIIFAAAAGGEEGNKQRRRRANGPVFVGGKGCGCGGCLAGDGVCGTYLS >Dexi9B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:9B:13671563:13674085:-1 gene:Dexi9B01G0019080 transcript:Dexi9B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFILGHEFIVLLIFQICGAPSLVRKALYEISARLHQHPRKENLPLEEIIDASTQRKHGSPQPLPHGNPMLPHLHIDHPPPIPLLDPYRDGPLRTVETEEFSIRVLCASELIGSIIGKSGANVKRVEQQTGARIKVQEVDKDASGERLIIISSKELPADPVSPTIEALMLIHDKAARQMHGREDHRGLTSVAGYSSTIELRIPNSSLESIIGIGGVNLAEIRQISGAKLRLLEAHTGSSESVVEIQGTLDQAKTAQSLVQGFISANSRSTQQQPQSSRMPLYPSWG >Dexi9A01G0026330.1:cds pep primary_assembly:Fonio_CM05836:9A:29192379:29193313:-1 gene:Dexi9A01G0026330 transcript:Dexi9A01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKSGREPEIWKGAGNLVAGYKQFVAEAMRKLPGDHVGVDAEDFQHAVLREVRYWPGEFVAAQVQQAESRKRGELEGDFAGEGVVAEVEVLQKRQATSGGRWDLSIERVGVEVDLSELSSSGGRAPESPFWPRTRADRAEHDASAGGTVPVKLLPVRSSTASSGSEQSRGAEAARSPESPIPERLSAATAPVAEQVTPRNAQCGPLPLPMPPALVFHDGRRRRWEASGMAARKERRTSAELFDAGVVAEVADGIARSRRRTREDTSMGGDL >Dexi9A01G0046930.1:cds pep primary_assembly:Fonio_CM05836:9A:50133588:50135369:1 gene:Dexi9A01G0046930 transcript:Dexi9A01G0046930.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTGGLNTVSNDSSSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFSKAQYWVKELQKHGSPGIVMVLVGNKADLNANRSVSSQDAQEYAEKNNMFFIETSAKTADNINQLFEV >Dexi9A01G0035190.1:cds pep primary_assembly:Fonio_CM05836:9A:39823880:39824945:1 gene:Dexi9A01G0035190 transcript:Dexi9A01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFLALHTRTHVKALEEVEKNISSLRLLISGDGEVEPNQEQVLQITTEICKEDAES >Dexi7A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:7A:23273737:23277177:-1 gene:Dexi7A01G0013110 transcript:Dexi7A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHAEFTGGFGSYLLSMNAKIAATSTEANDAQNIKDPQLGWIIGFLFLVSFIGLFGLVPLRKIMIVDYKLTYPSGTATAYLINGFHTPQGAKLARLPGKNMLCVLSLMTQFCFELLNSVTVLQVFIAIALILGDGIYNVLKISILTVISLRSQRKKSNAATLPISEDGIVETTPVSYDEERRNEMFLKDQIPWYVALGGYVVIAAISIGTVPQIFPQLKWYHILVAYIFAPLLAFCNAYGSGLTDWSLVTTYGKLAIFAFGAWVGASHGGVLAGLAACGVMMNIVGTAADLMGDFKTGYLTLASPRSMFISQVIGTAMGCVISPCVFWLFYKAFGNIGVDGSEYPAPNAAVFRSMAILGVDGFSSLPKHCLTLCYVFFAAAIAINLIRDLAPKKVSRFIPIPMAMAIPFYIGAYFAVDMFVGTAILFVWQRLDRAKADAFAPAVASGMICGDGIWVLPQSVLALAKVNPPICMRFLSRAMNEKVDAFLGN >Dexi7B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:7B:22330523:22333087:-1 gene:Dexi7B01G0016340 transcript:Dexi7B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSEEAELEAVKNVGCHGGQPPQAPARAALPMAAPEREPSMSSSSGHPSSSSSSSRSRGSSSMSSIATTRSCSSGSSLAAAYPEGRILEAPNLRVFTFGELRSATRNFKPDTVLGEGGFGRVYKGWVDEKTMNPTRSGIGMVVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCVEERELLLVYEFMPKGSLENHLFRKGASFEPISWNLRLRIAIGAARGLAFLHSSEKQIIYRDFKASNILLDTNYNAKLSDFGLAKNGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTGRPAQQHNLVEWSKPYLADRRKLARLVDPRLEGQYPSKAALQAAQLTLRCLEGDPRSRPSMAEVVAALEEIEQIKVRPKGPPRGQRGDGAAAPSAQHHRSPRPRYGSGRTTGSGGGHRQSPTSTR >Dexi1A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:1A:1233569:1234179:-1 gene:Dexi1A01G0001830 transcript:Dexi1A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIDVRSMCVRFGPGLTWPLRPILITAGDDDDKLFALDMESFSMVSMKPLCTLKEWSWCNLPMPPFKRVDVTSFAVDCDGCTIFVSTDYATFVFDIVSSEWRQSSNCSLPFAGSANYVHALDIFVGLSKAADTYGHLCFCKKLLGGDENGRPSKENLLSKDPAESHVGATLVYLGGTGGD >Dexi3B01G0032220.1:cds pep primary_assembly:Fonio_CM05836:3B:34601687:34602742:-1 gene:Dexi3B01G0032220 transcript:Dexi3B01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAGKVVRAALHAFFRQYHPWSSSATLLALPFSAAALLSRSHPALLAPSRALSRRLRRALVAAGFPPASQLLFLLSHRLSHRACAFLASLPFSLSFLLLAKAFAVHSCSNPPPPPPPQPPQRMRRRKQPSSIAAVAELVRSSYPAMAATQIVNCLALVLANAAVFAALLAAFNAADALHLLGGGAAVPPSDSISVPNSGGGGRAVLTLSAAGVIVYSVALANASAVCNLATVVAAAEGKGGADAMLKAVLMLLRAGDAATAVAASLPASLAAAAVEALFHLRVMRPYTLTGGKVTTGMLCEGILVAYIHAMICVLDTVITTMFYQTCKASYSCHLVELELDEGKEDLRV >Dexi8B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:8B:19478690:19482005:-1 gene:Dexi8B01G0010880 transcript:Dexi8B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVKLVVAFVFAAVVVVFQVGSSAELPRLEHPPTKADGSLAVLAVGDWGRRGQFNQSMVAEQMGIIGEKMDVDFVVNVGDNFYKNGLTGVDDKAFEESFTNIYTAESLQKPWYTKVADFFFIDTTPFVLKYWNEPKNDTYDWRGVAPREAYIANVLKSKATWKIVVGHHAIRSVSNHGDTQELVQQLLPILKANGVDMYMNGHDHCLEHISSRDSSIQYLTSGGGSKAWRGSFTPNTDKLEFFYDGQGFMSLQLTKTEAHLAFYDVAGTVLHSWGLTKGTYY >Dexi6B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:6B:22782696:22784168:-1 gene:Dexi6B01G0015420 transcript:Dexi6B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATETRRASKSNGRSGDTGPHVLLVPYPAQGHMLPLLDLGALLAARGLAVTVAVTSGNAPLVEPLAAAFPSSVAILALPFPEDTPLLPAGILAGENTKDLPCHLFRPFMASLAALRPPLLAWCRAPQQQGGHRRRVTAVVSDFFTGWTQPLAAELGVPHVTFSPSCALHLAMSHALWRHMPSRRLPDEPVTFPDIPGGPTFPWRHLSWMFRQHVAGDAVSEAIRQFFLWNLESEYFVTNTFAALEAAYVERPLADLATKRVFAVGPLSDVAATSTSATGDRGGRHAVAAASVSTWLDTFPSGSVVYVSFGSQHALSPPQAATVADALAASSAAFVWAARPGTSIPDGFEAATASRGMVIRGWAPQVEILRHRAVGWFLTHCGWNSVLEAAAAGVAMLTWPMAADQFTDARMLEEAGVAVPVAEGADAVPDARKMASAIAAAVGTKDGESVRARAVALGVKAAAAVGEGGSSRRDLDELVQMLREVVV >Dexi4A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:4A:11192476:11196549:1 gene:Dexi4A01G0012550 transcript:Dexi4A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCDKETAGGSILSPSCADRSSWDRTALGHHLPPRERSSLQKHRNLRYLHRKLPTIGRSFFASPLFPSRRSSCRNDSSPISNQNQSAASESPHLPPPPHRRRDIPPPAIRAGSRASEPPASMGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLFAMEKGLRIPQKERTKTTNSILISLMNQLEKDKKTLTLGPDDHLHLEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQPDIEQKQKYAIWKAADIRKALKEGRKPEAGPPGGDKDEAPGSTTTISQDMGRSQSFSGMQHGTEAQPPHLDKDFSRRDSFSAVQPGNNVHRQSTEFNDQPSTQSPYSPPPPSQSQHPSPSQSYSSPSDYHSSDFHKQPPNFSPPPYTSTDYPTNEVHKPSNFSPPPYTRTDYPSNDGYNPPSNDKPDVSAYPQTYQPPPYTIEPQHTSQNYYSTEAPAAPYNYPNFQSYPSFQDSTSPSVQTHQSAFYPASDGPAAPSYSPASNPTAPTRYHSNAESEPQAPQVTPPAVPPASQFNYDSSYQPAVEKIAEAHKSARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >Dexi5B01G0022970.1:cds pep primary_assembly:Fonio_CM05836:5B:25234797:25237189:-1 gene:Dexi5B01G0022970 transcript:Dexi5B01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLYLIALPLLPFPICSGASPWQIMTTGSHIRGEDHDKVFLLSPDATFSCGFHELGTNALTFSIWISLNHDGNLILTDTNGSMVWESKTSSGKHTIVTLLNSGNLVINDSGNNIVWQSFHSPTDTLLPGQNLTKDTRLVSGYHHLYFDNDNVLRMLYDGPEITSIYWPSPDYDAEKNGRNRFNSTRIAVLDDMGNFVSSDRFKIEASDSGPGIKRRITIDYDEQAIAMVKIAFSCLEERSKRPTMDEIVKVLMSCDDEDDCHPAYSY >Dexi2B01G0030860.1:cds pep primary_assembly:Fonio_CM05836:2B:39116985:39117284:1 gene:Dexi2B01G0030860 transcript:Dexi2B01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGQILTLEMLHFCRQIDEYTHLIASIKAQIKLVCEGIRELLQLPLETELSPRNTW >Dexi9B01G0040550.1:cds pep primary_assembly:Fonio_CM05836:9B:41070602:41079611:-1 gene:Dexi9B01G0040550 transcript:Dexi9B01G0040550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTQMVANGLGAQRGNAGTKKSHQQIQMLEKFYSDVQYPKPEEMGQYATCVGLTYSQIAESSSQSSDVPYEDTAPVRKHGIGKGLMTVWHAMYSHSTQCQSGPNFIDETGCLRSLRPFDDCDGLEDIGKTKNQRMSRKKVGKRSEPPSNKRKVPRKRVIEPKEHPLMDCCLAVDKSESSELLTEQVALVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLAKFPPQSVKMKQPFSVKPWVSSPEMVKKLFQVVRFVYAHFGSIDVHPFTFDEFAQAFHDKDSLLLGEVHIGLLKLLLSNAEIGSGVVFAPRSSKDCRFLSFLNFVREQEFDVNFWMRSLNSLTWVEILRQVLVGSGFGSKQHMLNRDFFNKEKNQMVRYGLRPRTLKGELFSLLSKAGSGGLKVSVLAKSSEIIELNYSGTLELEQLICLTLSSDITLFEKIAPSAYRLRVDPQIKGKEDAISDSEDSGSVDDEEDASCSDDESNGSPEINLPEHDNVIVRRKKQQNAHRSLNKCSEIDESYPGERWLLGLMEGEYSDLRIDEKLDCLVALIDIVSGVGSVPRLEEPQRVLHSMPRAQPHQSGGKIKKSTKNLYESSDEFLNGPQNSYSLDCSQQGWSASRSNQNYIIDSGRNDLSGVVHEPQVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSDDGHWEVVDSPQELLSLLSVLDSRGTREAHLLASMEKRQACLFKAMKKHVEGGNAVGLPASSDSFHSETSTGYGASPKTSSVFGASPVSDVEIASLPTDLEDSNLDSLSAIVIENGRRGDERILMWDRLQAFDKWIWTSFYSVLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAVHAATCREPEDAHDVPNHKVLPSQLQALKAAIDAIEASMPELAFTGSWMKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKSSASVSFSSYLDDIIVYFQTMPQTTSAVALWVVKLDALITPYLDKADTSKALASKGQVQT >Dexi1B01G0031020.1:cds pep primary_assembly:Fonio_CM05836:1B:34780989:34782610:-1 gene:Dexi1B01G0031020 transcript:Dexi1B01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSTGLRPSALRSSCPSTRSSVGSASSSSAPAASKLPVPAAAPRDVASNAKVVAKCLAYDDDDDTLSAATLSVDAAREEDLEPLPELPDPEVSAEASSTSVISAAPDGDALAASADSCVTEVLAAADFTNNVSEAPLPEAINVVLGELHSASGLSPRSKRLLAALTEAAALELAPSATARRLRRAAFWGKVRVAVLAGTLAAVVAVDVALAGYLYARHANDRYHVLPPT >Dexi8B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:8B:4217622:4222594:1 gene:Dexi8B01G0004610 transcript:Dexi8B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGVLLIAAVLLVVASPPHAYASEADHKYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLKNVDKGPICTIELDAQKVQQFSDAIENSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRGLVFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGFFQTSFYFGYTLMFCLGLGILCGEL >Dexi2A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:2A:790135:794317:1 gene:Dexi2A01G0001180 transcript:Dexi2A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVSSLPDELVEEFLLRLPPDTPASLVHAGLVCNALTSGGWELSKVIDLGTLSVPHGQGHFCPYLVGSAEGIGVVLLWAKGVLFTVDLRYMSSVP >Dexi4A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:4A:6321654:6322654:-1 gene:Dexi4A01G0008390 transcript:Dexi4A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLQDRLQDEDVRVGMDRFPERQPIGATAADDLGRDYTETPPAPLFEAAELTSWSFYRAGIAEFVATFLFLYVTVLTVMGVSKSPSKCGTVGIQGIAWAFGGMIFALVYATAGVSGGHINPAVTFGLLLARKLSLTRAVYYVVMQCLGAICGAGVVKAFGATLYESAGGGANAVSPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPVLAPLPIGLAVFLVHLATIPITGTGINPARSLGAAIIYDRPHGWHGHWIFWVGPFAGAALAAVYHQVVIRAIPFKSSAHY >Dexi3B01G0027750.1:cds pep primary_assembly:Fonio_CM05836:3B:23398745:23402021:1 gene:Dexi3B01G0027750 transcript:Dexi3B01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHATAAAAAAAVGAFPQSTRSSSSSTRRVPASAASPRLAPPPRSLRLDHAAPPLSAAAPDGLLAAAIEHLEREPASAAADEAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGEREHAVEFVRDVLRRGGLGAGGEHSSADWNGERGGPAGYLAWKMMMDGDYRDAVKLVIEFKESGLKPELYSYLIGLTALVKEQKEFSKALRKLNSSVKDGSIAELDAETMHSIEKYQSELLSDGVLLSNWAVEEGSSEVLGLVHERLLSLYTCAGCGLQAEHELWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKKSMSWLLRGYVKGGFILDASETLMQMLDMGLFPDYLDRAAVLTALQRNIQESGSLESYMKLCKRLSETDLIGPCIVYLYVRKLKLWMMHML >Dexi2B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:2B:12816586:12819944:1 gene:Dexi2B01G0011210 transcript:Dexi2B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSWKPCYFIFNTGIMQVGESDSVIVITHEPNWLLDWYWGDSTGTNVAYLIKEYLRGRCKLRMAGDLHHYMRHSCIDSKEPVHVQHLLVNGCGGAFLHPTHVFEKFREFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRVNGFFIAMWNAVFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHLTSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEHWTFGLYPACIKYLMSAFDVPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYVCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPFQMSYTRKFPSKWRSALGQDPINAVRIVDRFVIPRTPPSPTTPGGSVK >Dexi1B01G0024380.1:cds pep primary_assembly:Fonio_CM05836:1B:29706449:29706964:-1 gene:Dexi1B01G0024380 transcript:Dexi1B01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARPLAQSPVPLAPLANPPINPPPRRPLHSQARKQAEFQAQRGKARTAAASSQARRSSSCSPPFRLAGRHLVSQIELEGMEMKKIACAVLIAASATVALASEAPAPAPTSGSSAVAPAVGAALGAAVASFFAYYIQ >Dexi2B01G0025100.1:cds pep primary_assembly:Fonio_CM05836:2B:34354680:34362971:-1 gene:Dexi2B01G0025100 transcript:Dexi2B01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPPPSSAAGGGAAGRRRKAEAYAEVLCRIRAGGYGGGRPELEDELWAHFQGLPARYALDVNVERAEDVLLHKKLLDQAREPMNGVVFDVRPSQVVALEESTGIESSTSVKQEQDPQCSEFTSRDQRPLHEIIFACDDKPKLLSQLTLLLGELGLNIQEAHAYSTSDGYSLDIFVVDGWEYEVDILRSALRKGVDKIKVSMLIFSLIYCVTFSNVFNPLFPQKGSSQECRPIYWCMHETSYFVYSYRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDHSADVFSFGIVLWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIAADTHPMLADLLQRCWQKDPALRPTFAEIVDILNSIKEAVRSSGHHKRHSGRSHSGRRRGC >Dexi9A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:9A:1236346:1238022:1 gene:Dexi9A01G0002320 transcript:Dexi9A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGEAETTMIRHRTVEANGIAMHVAESGEEASQRVVVFLHGFPELWYSWRHQMESLAARGYRCVAPDLRGYGATTAPPDVASYSAFHVVGDVVALLDALDLHKVFLVGHDWGAIIAWYLCLFRPDRVTALVNTSVAFMRHVYIRSDAGASAVKPIDYFKRLYGPTYYICRFQEPGVAEEEFSPANARYIMRQILCNRFTSGAAGGKKPDADDDEPLPPWLTEADVDHFASEFERTGFTGAINYYRNMDRNWELAAPWADAKVKVPTRFIVGDGDLTYHYPGIQDYIHKGGFKADVPMLEDVVVIPGARHFIQQEKADEVSDHIYDFISKF >Dexi2B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:2B:22168956:22169916:1 gene:Dexi2B01G0013480 transcript:Dexi2B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPHVLIVDDACVDRLVASRVLESCNIRGISDSQYMFCCCYFVVTVVEGAMQAMRFLAKEQDVQLILTDYSMPDMTGYDLLVEVKNSPRLNHIPVVITCTDYVDETVQMCLDGGAADFITKPIVVADVPCILSYIV >Dexi5B01G0035400.1:cds pep primary_assembly:Fonio_CM05836:5B:35380654:35382214:1 gene:Dexi5B01G0035400 transcript:Dexi5B01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVTDASFFITLQKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEEANRAKEEGDTKNAKADATA >Dexi9B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:9B:1187469:1189617:-1 gene:Dexi9B01G0002110 transcript:Dexi9B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDEKAAAAAGGAAAEGDAAVDSKDLQQQSKALDKLTDHVEDRQLDSSRAMAAIASSKVADWNAMKLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >Dexi3B01G0033580.1:cds pep primary_assembly:Fonio_CM05836:3B:36334805:36339890:1 gene:Dexi3B01G0033580 transcript:Dexi3B01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETYERLTAETRRRGTRFEALIGLDEAEGSDEEEEEERAAGAGAADEIPCPFCGEELDEVGLWCHMEDKHHAEANAGVCPICTDKVDINLVGHISSQHRGLLKDRWRNRQGSSGSHSSTLAFLKKDPYERISGASRAAPVSTVPDPLLSSFVGNFYEVDLPKDAKKVSLDETEVGRDNVEQKAAESVDEPEVKEERTRRRQFVQGLVLSLIFDDIL >Dexi7B01G0021820.1:cds pep primary_assembly:Fonio_CM05836:7B:26837157:26840273:1 gene:Dexi7B01G0021820 transcript:Dexi7B01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRGIMSAPLEQPKLWPPTAPSLGRRLPFGAFSPPQPLSNVRDHGCCISRALRPRQEWVEGWVRSNDTLVRGLPILVGGASLVAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGPDVPSSALHELLWTWDSLTTATCCKSLVVVYGGNCILQIGVAAGSPEDGNALPVDTQKFIQGSLYKGAMESKKQSYLANLALYPGRSELPFLPANTQALILQPIGDKGIAIVGGDTIRGFTSIDQAWIAMIADKLDATLSKSYSS >Dexi7A01G0023670.1:cds pep primary_assembly:Fonio_CM05836:7A:31616748:31621293:-1 gene:Dexi7A01G0023670 transcript:Dexi7A01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQRAALLRESLHKSQQVTDAVVSILGSFDSRLSALDSAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNSLLSKALVKMEGEFQNQLSQRSIFSSMCSEARASALESSLKNLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAIDTIFVGESCSQMRDSALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKKDDGTGSELAAVTMKIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERFRSFNVLFEEIYQKQCSWSVPDTELRESLRLAVAEILLPAYRSFIKRFGPLIENSKAPGKYVKHTPEQLELLLGNLFEGKQERA >Dexi1A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:1A:24226069:24229498:-1 gene:Dexi1A01G0016970 transcript:Dexi1A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISVMPKPDNKGQRSLLKLPRHLRLLDPLKQGQEAYTTSDGLPSRSTPWPMEREHLPTLYDEGSWPIEDLYRINNPRFATSDGVPPDATIAVRPPVTDGQTPYDRRSDSLYRQSDSLSRSSDEEIPTTERSSAYQENLVFITDLLDPSLMESKIDRDDIPTNIEDLDEEGRQKYLVVLAHLQNEFLKGFKKDHDTVTRVQEFVMPSFKMSDNKIEPSGPVPLQAVRPAYTMVVQRR >Dexi6A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:6A:20193729:20193962:1 gene:Dexi6A01G0013180 transcript:Dexi6A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVAATTGQRVGVCTTVWERMLWEETQGGWVDEEKDAGSVADGSVVLVERFVVTRMDGSVVVAFDFVHQNTTKALV >Dexi9B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:9B:9094189:9097950:1 gene:Dexi9B01G0013550 transcript:Dexi9B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMDRIVTECTNLDVTVKFPSLQALRSFFSSYPTSGTVPELDERFVMSSNHAARILRRQVAEEEVDGEVHQDSFWLVKPGLYDFAASRQAPPPAPPAAKMAPVTNSCLLTTLKCDGAGWGMRRRVRYIGRHRDEAPKEVGVDGYDTEVSVREDQQRLATLEVKRSERNCKRKRVAEGSSKNKRRDEGKTNHKVKGGSKKSSKKEKKRTVESKDGDPRHGKDRWSAERYAAAERSLLDIMRSRGARSGAPVMRQVLREEARKHIGDTGLLDHLLKHMAGRVPEGSAHRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRHVEELIEEVNGVKRQMEQLVSKDGDFDAERAYCSLKEKCQSVVRANEKLEKQVFSLKGMCENVVQMNSALKKEVLSFKEKYEHVADKNDKLEEQINSLSSSFLSFKDQLVLALKTETARQMGLAPSDENQEDAPRTAVYLASSDQMTSRADSTVIQGVPDRPTRKKSSFRVCKPQGTFLWPSMASGTTISGGASSSCPAIATPGPGIPRSTSYASSAGPGLPRSSRAPAVQAASSGLDEHLMVGALFSTPPSAASSYATASAAKLQLSLPSPRSPLQPQKLFGTAAAAAAGFSPQKLLHFSGLTRIHVDTSPSSPGACGSNLLEGKRTLFNDAGVISVVGTELALATPSYC >Dexi8A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:8A:23753770:23754277:1 gene:Dexi8A01G0013610 transcript:Dexi8A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTLLKGQFGLASWKCSEESFAHQVEIRDPLKLGFANLWALRLARQLLVWHPEDRLSVDEALNHPYFHEPP >Dexi9B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:9B:1521931:1526050:1 gene:Dexi9B01G0002710 transcript:Dexi9B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSASSAPITPPPAGLSKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILADIHQIISKKALAAQEAAGSGPPGQGTTINVADPSGVAKKGCCSSS >Dexi5A01G0038480.1:cds pep primary_assembly:Fonio_CM05836:5A:39475789:39476064:1 gene:Dexi5A01G0038480 transcript:Dexi5A01G0038480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYDTRKKWSPVLAGELGAPVVEPAGGRDEQISAMDSATQPLKKATTSHPSVMDTGPP >Dexi5B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:5B:5671105:5671867:-1 gene:Dexi5B01G0008380 transcript:Dexi5B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPPPPPPDPVADAAAVEAAAAATSNPRKAAAFSSFPSLKTWGSHRALRCAHINHAGAGDAIATATATAAARRSPEKLDVGGEKAPRHLNEAEAGSSDAADADHVAAEEAPEPVAAASQPWKLRTRRRPKPPVPSARPSSPPERRPSRARAEVLDRPRFYATLTSEEIEEDIYAFTGARPRRRPKRRPRAVQKQLDMLFPGSWLSEITAETYRVPDDR >Dexi6B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:6B:19948306:19952497:-1 gene:Dexi6B01G0012360 transcript:Dexi6B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFSVLGALKKVRSFVAGPTAEEAAAAAADGRPIAAPMSRSGEPSPADSPPPAAARSGGRRPIALRRQISSPQLLRCRAVSRADDEDDYEPGVQFFTPGNDFYFSDTDSVSVSTPNGINRSLTPSPLESPTWMLKHKDDSPISRKNDSFNPDSPGYGTKASLGSDGRLEQMNGSVTDSGGEGSKTQNPVDFSANIWLPPPPEDECDDIESRLFGLDDEDDDVGDSSGLLVPGSFSANKIAGVDKVTNIAQKEGLKSAVLGHFRALVAQLLKAEGVDLGNDDGSKNWLDIVSSLTWQAASYVRPDIKKGGSMDPTDYVKVKCIASGDPKDSNFVKGVVCSKNVKHKRMVSEHRNAKLLILGGALEYHRVPNKLASINRILEQEKEHLKMVVGKIESRRPNVVLVEKTVSSSALELFPKDISLVLNVKRSLLDKISRCTGAQIASSVDSIASARLGHCEMFRVQKVIEFSSDKQTNRRSCKTLMFFEGCPWRLGCTVLLRGSCREELKRIKRAVQLAVFAAYHLSLETSFFADEGATLPRFPSRHVVVQPDMRNSTDNDSAASATVGMPPHGRESEQDKLSQATSVNMMFENISVSPSSLPSNAEAHGYVGDSEHTETECVDYPNSCGHCVSCATGPCNRHETSLCSLDHDLQMQPQDLHNSAKPLEVHEDELRAKKYQQVDHWNSKPRDEYILAERHDLNEFSGDYFPGTDNHQSILVSLSSTCIPKGLVCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCHACKEPSESHIRCYTHQHGSLTISVRRLRSRKLPGERDGRIWMWHRCLKCEPKDGAPPATRRIIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSPQEWLKRVAIEIFGKMESLHVEISEFLQCTEKNIVTEEEPVKESVQRQIIEMKDLLKMERNEYEVSHPDFSAIFLTFIKS >Dexi7A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:7A:23271598:23271933:1 gene:Dexi7A01G0013090 transcript:Dexi7A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTETPLYAFSEEWGVVKWAVDSMTCKDAMSSEGYRCFSHSDCVDVTEDKTLKQVGYRCKCSHGFEGNPYLKDGCTAG >Dexi4B01G0022340.1:cds pep primary_assembly:Fonio_CM05836:4B:23981012:23983157:1 gene:Dexi4B01G0022340 transcript:Dexi4B01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMAKLVLQLQAAADRRHGGGGLGLGLGPAVRRHPLTPRHTPAAAAGRFALFLLFLAAAATTLALALTMHRHGPDDPDAAAAAPGASPRGDFAVVINTWKRYALLRRTVAHYAACAGVDAVHVVWSEPRPPPEPLRRGVLNCTRRGNVRFEINDADSLNNRFRPIPGLATDAIFSVDDDLIVPCSTLRFAFNVWQSAPSAMVGFVPRMHWLTDPRGSIEEYRYGSWWSVWWTGTYSIVLSKASFIHRQYLDLYTNKMLPSIRKYVDENRNCEDIAMSFLVANATGVPPIWVQGRIFEIGSSGISSLKGHSLQRSRCLNAFSAMYGHMPLVATTVKAVDSRRSWFW >Dexi3B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:3B:12570591:12570812:-1 gene:Dexi3B01G0017130 transcript:Dexi3B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSPPVTAPPAAPLTSRPAPRHSLTKEADRPRPAVGPSFRLPSAAAGARDVSASPPSIRHRGRSYCRRQTLL >Dexi7B01G0022890.1:cds pep primary_assembly:Fonio_CM05836:7B:27668409:27668942:-1 gene:Dexi7B01G0022890 transcript:Dexi7B01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARCRSLALTLLLACSVVVAAAAADDGDAALTHLHFYFHEIEAGAPNATVANVASLHRNGSTFGDVAVFDCPLREGPDPASRLIGRGQALGVHTSLDGATASAAIVFVFSSGEYAGSTLATQGVYNTSGGPAERSIVGGTGKLRFARGYMTSSVVSSTNTSYVVVFDLYLTLAY >Dexi3A01G0028940.1:cds pep primary_assembly:Fonio_CM05836:3A:30114406:30115268:1 gene:Dexi3A01G0028940 transcript:Dexi3A01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELLMAACHGCDTKLKSLLNSEGRQDTATTESPSIVVQIGRGTAAASSQATSSSSLLLRGVTSDGDSALHVVAAAGDRGEHLRSASVIYGKARHLLEARNKGGSTPLHLAARARNVEMLSLLVRFAGEEEEEGEERVRTLLRMQNEVGETALHGAIRADDMEAVHVLMKADPCLARVPEEDGGVSPLYLAVALRRYAIARDLHERDNQLSYSGPAGQNALHAAVLQSKGLFS >Dexi1A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:1A:20977782:20985696:-1 gene:Dexi1A01G0014380 transcript:Dexi1A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVSHLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEVWRQIEEAVQCDYVPGFGKKLSNLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKSAISGLESALSAFELDEATEKELLAKLEKHGRSVVESKAKEEAGRVLIRMKDRFSMLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLATLAAIRLDEDGDTIENTLSLALIDTARPGTTDRSIQSFDPLASSSWEQVPEEKTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWAIAAMAILGFNEFMTLLKNPLYLGVIFVVFLFGKAFWVQLDMGAELQNGFLVCTSCTAHVHAVPTRSRVVVLVPPPVVDLSSPVQPARASRDSTAPPASTRLSPIMGKLCKLCSG >Dexi3A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:3A:10398118:10403017:-1 gene:Dexi3A01G0014260 transcript:Dexi3A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPTSSDRRRRRRGRRRDALRLSRLYSFACGGRPSVAGDDDRAAAAGSRIGGPGFSRVVNAGAAAAAAQQPAGEGQLPPDHLAASTSNSISTTKYNFFTFLPKSLFEQFRRVANVYFLLSAGIAYSPLAAYSSSSAIAPLVVVIVATMIKEAVEDWRRNQQDTEVNSRRTRVFKDGALQDARWKDVRVGDIVRVDKDEFFPADLVLLASSYEDAICYVETMNLDGETNLKLKQSLEATSASLPDDDSFTGFGAVIRCEDPNAHLYTFVGNIEIHGGQGDDQQQHPLSPQQLLLRDSKLRNTDFVYGAVVFTGHDTKVMQNAMKVPSKRSNIERKMDWVIYLLLFSLFLISVVGSIFFGIATGDDIRDDGRMKRWYLRPDDTDIFFDPKNAAVAAVLHFFTAMMLYGYFIPISLYISIEIVKLLQALFINQDINMYHEESDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGVTEVERAMAKRKGSPLIADMDSGNQHFQPDEGKPAVKGFNFRDERVMDGNWVNQPNSHVIEMFFRLLAVCHTCIPEVDEESGKISYEAESPDEAAFVVAARELGFTFYQRTQTAVFLHEFDRMSGKQVDRSYKILNVLEFNSARKRMSVIVKNEEGKTFLFSKGADSVMFERLSGSQSAYKEVTQQHINEYADAGLRTLVLAYRELEDNEYTNFDKKFTAAKNSISNDRDEKIDEVADLLERDLILLGATAVEDKLQKGVPECVDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIITLDTADIITLEKGSDKAATIKASKDSVVRQINEGKKLVNASASEAFALIIDGKSLTYALEDDTKDMFLDLAIGCGSVICCRSSPKQKALVTRLVKKGTGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRITSMICYFFYKNITFGVTMFLYEAYTSFSGQPFYNDWSMASYNVFFTSLPVIAMGVFDQDVSARFCLKFPMLYQEGPQNLLFRWRRILGWMFYGVCSGVIVFFLSASALQHQAFRRSGEVIDQSTLGATAYTCVVWAVNLQMLITVSYFTLVQHACIWAGVALWYVFLAVYGAITPSFSTTYYQVFAGALAGAPSYWVVTLLVSAAAVVPFFAFAVAKSWFFPDYHNQIQWLRHRERAHPDPEASADVEFGHALRQFSVRSTGVGVSARRDAAVLRRVNGGATTQVHHADSPQQQQVS >Dexi9A01G0047480.1:cds pep primary_assembly:Fonio_CM05836:9A:50579880:50581135:1 gene:Dexi9A01G0047480 transcript:Dexi9A01G0047480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSLLLQEGWRKGPWTALEDRLLTEYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQEQRRQYLQSLHLLHQQQQQQQQQLNHHLQSQQLLMMSQQQEQQSPPEPDHHQAAAMAMMNSLQGTGCYDGSPASTAEEEHYCTLPDDDDALLWDSLWRLVDGDGCGGDGSSASGGEY >Dexi2A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:2A:2237289:2237993:-1 gene:Dexi2A01G0002800 transcript:Dexi2A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDTPWRTVLDYAEGGGAKNGIGTLGTTTGIGGKVTLGTPAGTGGKVTLGTAGIGGSTTFGTAGIGGRVAAAAAGTAGTAGMGGKVAAGIAGICGTVTAGTFGTAGIGGKATAGIVGTAGMGGKATAGTVGTGGFGTAGMPAGTTAAAGDAATGAVSSARRRAAWLVLVSVSATTTSAAAKRPDADAMGHLDDVFTAPVTSRCRSLQDLNWLQTEAACLLAGDAMASAMHANL >Dexi2B01G0035190.1:cds pep primary_assembly:Fonio_CM05836:2B:42326440:42336321:1 gene:Dexi2B01G0035190 transcript:Dexi2B01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSSAAKRRASSEEASPPPASPAASPPVEPAPSSSPTPSPQSRSGKRAKVAVARAEDPGAKVAEDPAAVDVLDNSLNNLTGVARAPVDVTTSSAVSNSAGRRKKSRPTRSFAAGEEATLWKTRPVSATGRSEAWGRLISQSSEYPSIPIHPTHFTVGHGGKNDLKLTESSPGSPVCKLKHVKRGAALEIYVSKVVHVNGKALDKTAKGQPSKSKDDSYKKGDRVRYIGPRRLSGTILDGQRAPDYGSQGEVRLPFEENGSSKVGVRFDKQIPGGIDLGGNCELDHVDSLCLDGPGWENRAKHPFDVVFEFASEESQHEPLILFLKDVEKMCGNNYTYHGLKNKLESFPAGIFIIGSQTQTDARKDKSNSGSPWLKFPYSQAAILDLAFQDGFGRVSEKNKEALKMSKHLTKLFPNKVTIESPQDESELSRWKQLLDRDIEILKAKANVLKIQSFLTRHGMECTDVESVVCVKDRILTSECVDKIVGYALSHQLKDRTIQTPGKDERVVLSSESLKHGVDLFECLQSDPKKKSTKKSLKDVHTENEFEKRLLTDVIPPEEIGVTFEDIGALENVKETLKELVMLPLQRPELFTKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRKKILGVILAKEDLADDVDLDALANLTDGYSGSDLKERAIAAAENRPAPPQCSSSDVRALKFSDFKHAHEQVCASISSDSNNMNELIQWNDLYGEGGSRQKTSLSYFM >Dexi7A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:7A:2653340:2653668:1 gene:Dexi7A01G0000970 transcript:Dexi7A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSTREKRLGGRRRVWEGESEDGSEARGAGAATAATNRELELAQGRGTNGSHFYGPLFGRPGWSWERISGL >Dexi2A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:2A:22712540:22714768:1 gene:Dexi2A01G0013920 transcript:Dexi2A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAAMMSDDDDAAPQVSAVEDYYLVDSSKQPVCFSTLPLQDGDTDDVPEGKKRLVLWGIADRIEKVYREVVAWRLVLEGKQPEIAVLAADGGGWIRLLKPKKSYEEEIRRVLITAQMLHFLRRKPDDPEKNLWRHLRKLFDKFDVRPSEDDLRSHHSLMKHFAEKDPVLAKSEVLRVYVEGRSRKNISEVGADNIEIKQPFIADDEDIDEMIIEDANNESDEEEDEDLFDSICAICDNGGDILCCDGPCMRSFHAKNGSGEDSYCDTLGYSEAQIEAMKIFLCKNCEYKQHQCFICGVLEPSDGAAAKVC >Dexi9B01G0023770.1:cds pep primary_assembly:Fonio_CM05836:9B:19230837:19231358:1 gene:Dexi9B01G0023770 transcript:Dexi9B01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAEADCSGRRRFAVACSVLSRCVRADAAAGKMAQPCHARSEAQAQAQASTMLLMPGADVTREETVAATAQLTVMYGGRVLVFDDVAADKAAAVMRVAQQADVLDGQPADLQVARKASLQRLMEKRRDRLRERAPYAPAARLGVLPPRRGGQEEGDAGTWLELGLPGGCAR >Dexi5A01G0025740.1:cds pep primary_assembly:Fonio_CM05836:5A:29532202:29536534:-1 gene:Dexi5A01G0025740 transcript:Dexi5A01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAQALPRLLLFALAISSLSIVSTASGKQDQVYIVYLGEHAGGKAEEAILEDHHALLLSVKGSEVEARASLLYSYKHTLNGFAAILSQKEANALAAPAERSEVVSAFRSEGRWVPHTTRSWRFMGFEEGLKGPDGSDWLPSLDKSSGDVIVGVLDSGIWPESRSFSDEGLGPVPARWKGVCQGGESFSSSSCNRKIIGARYYLKAYEAYYKGLNTTYAFRSPRDHDGHGTHTASTVAGRTVPGVSALGGFAAGTASGGAPLARLAVYKVCWPIPPGPNPNIENTCFEADLLAAMDDAVGDGVDVLSVSIGSWGNPRFVDDGIAVGALHAARRGVVVSCSGGNSGPKPATVSNLAPWMLTVGASSIDRAFDSPIKLGNGVMIMGQTVTPQVLPGNKPYPLVNAADAVVPGTPSNVSNQCLPNSLSPNKVRGKIVVCLRGAGLRVEKGLEVKRAGGAAILLGNPPAYGGEVPVDAHVLPGTAVSAADAKTILHYINSSSNPTATLDPSRTVVDVRPSPVMAQFSSRGPNVLEPNILKPDITAPGLNILAAWSEASSPTKLDGDHRVVQYNIMSGTSMSCPHVSAAAVLLKAAHPDWSPAAIRSAIMTTATTNNAEGGSLMNGDGTVAGPMDYGSGHIRPKHALDPGLVYDASYEDYLLFACASAAGSHLDHSFPCPARPPPPYQLNHPSVAVHGLNGTVTVRRTVTNVGGGARYAVAVVEPPGVSVKVSPRRLRFSRAGEKKAFTIRMEAAGGRVARGKFVAGSYAWSDGVHVVRSPIVVIVA >Dexi9B01G0040390.1:cds pep primary_assembly:Fonio_CM05836:9B:40950631:40950755:-1 gene:Dexi9B01G0040390 transcript:Dexi9B01G0040390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDHVPCTIQEAFRVFRGTRNHAPFRVPCD >Dexi7A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:7A:25517492:25520219:-1 gene:Dexi7A01G0015670 transcript:Dexi7A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVSVINVEDATILYQKQFECRISGGIASLQFEIYSHNGYDKDILILAMEDSSMFILEEDTGKLLNPNPVQTDKPSKALLLQMLDLSSSDASVSDNHDTVSKESLLLLCTENAIRLFSLSHAIQGIKKIINKKKFSNSCCFASLIHSPSDEIGLMLVFSNGKIEIRSLPDLSLLKDASLRGFVYSRNMNSTSSIACSSDGETILVNGEETYFFSILCQDDIYRHMDSISRVYRKDNSLREESSLAVKSPKEKKKGLFGMIMKDNKGSKSKESDANGSEQCIATTSEELASLFSSANFAPPSERRNSSLKDEENVELDIDDIDIDDNPQKQKGPHFPGLSKEKISKGLQTLRGKLKPKTEEKTSLGNKKTEDETSVSQVDQIKMKYGYGNATNDDSTSVPKMIGNKLQENMKKLEGINLRAADMATGAQSFSAMAKELLRTTKNEKGTS >Dexi4A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:4A:6428951:6429220:1 gene:Dexi4A01G0008590 transcript:Dexi4A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAEMARRPLPAASGDEEIGSDWAAKAVFLAAEKDRAPVDPTIWGDEKRMKRELVAWAKAVASMAASNKNASRSPPPPSSMRRRRMA >Dexi2B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:2B:26550504:26550764:1 gene:Dexi2B01G0016440 transcript:Dexi2B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGQRQGRKDAYGGPSAPRWAGIGIGSDPAGGVESLGERPADGGERSAGVGFGGGCVLVMLFGLGARPDVCFARSIRVRLVWIA >Dexi9B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:9B:383560:384120:1 gene:Dexi9B01G0000570 transcript:Dexi9B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPILFCLLLFLVASPSHPRVTAAAGPAPLSSSPFDAALSKLQAQIGYAFRAPYLLRRAMTHASYSIENGRALAVLGLAASQFAAALAALAADNDAAAEAVSRRAREAASEATCAAAGTHAGIPAIVRVAHKTDASSDTVVCGALRALIGAVAVDANSTDAAVEVFWKLHVLTAAAAATAAM >Dexi2A01G0032050.1:cds pep primary_assembly:Fonio_CM05836:2A:42672625:42677271:-1 gene:Dexi2A01G0032050 transcript:Dexi2A01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQYVVMGLYTPLGISTYDYIIALREQEQEDASGQQSPQMSRVSSYTGLSSASSFGPLRRGSWCTPPRLFLEDQYDIIPSEAGSSHNSATKRKDDVRRKKGSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPILKDDYSRGHETDSSYGGMSSGRIDLGPDSKRRSNRRVRQPSDLSLKPVAKISTDAIDSTSSDVPPEALSSLAPLQLEARSAFHPSRAASSANVGGSSPDSSLDSPDLHLYRVSAVSSSGAEDLQLTALTAPGSTPQQGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVPKNRLP >Dexi8B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:8B:24502613:24503320:-1 gene:Dexi8B01G0014120 transcript:Dexi8B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIILDTDFITEQLDHPMGLKPLEESLVACLHKLKKIRSVDISGMAHWMNPSYLPHLTYLCVTVLQLQQEDLDIIGSLPALRDLDLSVHSGLSITIDGSLFPNLVRCKLLNFMPPVVFRQGAMPRLIDLEFTFNVRQTRRVAGSIDNLEFGLENLRVLQNILVRFTGRSDTKKEREEAMDVLRHAVEIHPNRPKLRTEDW >Dexi9A01G0028500.1:cds pep primary_assembly:Fonio_CM05836:9A:33171321:33172762:-1 gene:Dexi9A01G0028500 transcript:Dexi9A01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFGSLVERTAAVILHIHSVFPASPDAPVLYSNQFLDLWACGRALEPWNEKWQQIPLVLHDSDLTEAVQIKLPAAEEEEQVEAEDLTKTITKVLKNHPGPIERLRLDHSVCPGEGLLREWVEDLSCKGVWELVILNLTQPMEMEFPLHRLRSWSMTTLALGFFALDWQGAQTDDLPSLKQLHLAGCRFSGQALSAVVHRLPCLRILTIGGCHVTVGCGAQGLVIDSGTLTKLHLWHCTGSALTVANAPCLDVLVVGITPPAPPASGKEIVSTIDLNSVPALQKLHQLDLHSHNIRIANYGTRCGSSTKVKQRRVIALPSMSNLEVRVHVCMLHHASVLVDILCNLPRLEELVIERLDDLCAPEAAPERWLSSGVC >Dexi6A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:6A:10247139:10247979:1 gene:Dexi6A01G0009150 transcript:Dexi6A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTLQDGTEIAVKKLSQNSSQGFHELKNKLVLAAELKHRNLVQLLGLCLREEKLLVYEYMPNRSLDTFLFDPVRRQQLDWSRRFAIICGITRAILYLHEESRLKVIHRDLKPSNVLLDSDMNPKILDFGLARASAGDQSGDITRRPVGTLGYMPPEYAYWGQVSTKSDMFNFGVIVLEMVTGRSNNSAHNNSSDSVFVLSHVSACNDPKV >Dexi4A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:4A:17727404:17728129:-1 gene:Dexi4A01G0015120 transcript:Dexi4A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAARFKYFSCSGCLRLGCLHRDRHTTHVAARRARLRFHLAKHSACTAFRHVLHVRIAPCCCAGAAISSRHTGQVASSSDLPDEAASCWPTSVALLLVAVAGRADQSWWNLSLARAPASTSGHDVGVATRRATWQGRSNCLHTQASSRERPRTRRRTKRTCLHGAAGSGHVHAGDVDSVTVYTFPVAPSTKNSAPARCSSRSWARMMRFATRRVDSSSAAVAAAAAAKGVVVFDIATG >Dexi4A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:4A:23957477:23957660:-1 gene:Dexi4A01G0020180 transcript:Dexi4A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPRFLGDRMHDHITRSLAFFSSPSVAPRPAGQQDCHTWF >Dexi9B01G0037890.1:cds pep primary_assembly:Fonio_CM05836:9B:39156304:39156555:1 gene:Dexi9B01G0037890 transcript:Dexi9B01G0037890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWCTNASYRVAAAWPGARLRVFGSSGFCLQLRVVARSTEAALQRTELLAGAQEKGCWVWRAGGGVGACRGEEDNVRGHGEEG >Dexi9B01G0042970.1:cds pep primary_assembly:Fonio_CM05836:9B:43077640:43079048:1 gene:Dexi9B01G0042970 transcript:Dexi9B01G0042970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAVEDSEAMAQLLGVQYFGNEHQKQPATTTTAMYWPGCQEADQYYGSAPYYMPQPNSGASCYDDHAGYYGAGAVTMTGDFFVPDEHVADPSFMLDLNLDFEDQDGGANVPATACKRKLTEDHKDESTTTCTVPKKKSRSTAMPEQKKGKNSQSKKAQQKGACSRGNREESSNGDGNVQCSGNYLSDDDSLEMTACSNVSSASRKSSSGGGKARAGRGAATDPQSLYARKRRERINERLKILQNLVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQ >Dexi5B01G0038730.1:cds pep primary_assembly:Fonio_CM05836:5B:37821747:37822550:1 gene:Dexi5B01G0038730 transcript:Dexi5B01G0038730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATTLFATVVLLALAAPSLAGDPDMLQDICVADYKSLQGPLRLNGFPCKRPENVTANDFFSDLLANPGDTGNAVGSAVTAANVEKLPGLNTLGISMSRIDYAPWGVNPPHTHPRGTEIIFVLQGSLDVGFITTGNKLYARTVCRGEVFVFPRGLVHYQRNNGNTPAAIISAFDSQLPGTQVVAETLFGASPAVPTDVLARAFQVDGGLVEAIRSKFPPK >Dexi3A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:3A:15840468:15841355:1 gene:Dexi3A01G0019930 transcript:Dexi3A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSCHSGGLIDKTKEQIGNSTKQNRAQHRDRGIKPPSASCTCTSFLRTIRLAFESLGIHLPRRGHHQQSTQNGINNKPDAKPEAAAPSASIATTTNRSLPLSTFIEMLRDKTGRHDVGVGSIRTTLFHHFGHDASPKVKKFVMVAKLRHDDGKLDEEAAGQPHPHALVREAMEQDVHSVQEVYAGAPAAASAASAAVRMMPRNGVLISGCQTDETSADATTDDGVSYGALSNAIQDVLLAAGSGRWRKKRGAGVTNRELVVRARELLSKQGYTQQPGLYCSDDHAKRPFIC >Dexi9A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:9A:15776646:15778373:1 gene:Dexi9A01G0020710 transcript:Dexi9A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPREDDQTEAAPSPRPLENGVVETKYLNDTTLLRSLMDKGLVVKPDASDHYHTVQCDVVVVGSGCGGGVAAAVLASAGHKVVVVEKGDYFTADDYTSIEGPSMDRLYEKGGVFCTSNVTAVLFAGSTVGGGSAINWSASIRTPERVRQEWAREHGLPVFVSPVYDQAMDAVCARLAVTDACTEEGFQNKAVRRGCEALGLCADAVPRNSSEGHFCGGCYVGCPTGDKRGTDTTWLVDAVARGAVVLTGCKAERFVLERNSGKQNARSRKKCVGLVASCASAGITKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPPEKRNNHDPSHQLITGRCYEGGIITTMHHVTERTIVETPALGPGCFASLVPWESGRDMKDRMRRYARTAHAFALVRDTGAGTVNGEGRVCYTPARDDVDELRNGLRRALRILVAAGAAEVGTHRSDGLRLRCDAGVRDEELEAFLDEVTVARGPMLPGSEKWGLLCSAHQMGSCRMGSSPREGAVDGHGESWEAEGLYVCDGSLLPTAVGVNPMITIQSVAYCLSKDIAETLVQSKMK >Dexi3B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:3B:1888623:1894671:-1 gene:Dexi3B01G0002840 transcript:Dexi3B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEIRDGSHGVPDVSSAAAAAAAAVPADEVKMEAADGRDAVEEPSAARAAASEAEVGRDVGASVSEVDASAEDHSTAPGADEVDAGGTAGQGRSAASAANEGTVPEEARHVAPLLSESKMEADEAAAASKEDSAASAVSEVNVGSIPGATHDLVPVMSSETKMECIGEQEFTAAGDVKMEEGDDRVANQGPATSAGCLQGKEEAGQCLVGRYIGRSAPGHARILIGKVASYDSKAGVYSVVFEDGHGEDLGFPQLQEFLMSDENGALGMKVSCRKRKLDLLVSSGSASEVKEPASTRQRVDGCETAAKDGAPQHSGSGSDMSEDVESSSNSSDFTKEEPSQPCPPVQAVELPPSSGDIPVPENSISYLFSVYNFLRSFSVQLFLSPFGLDDFVAAINCTVQNNLLDAVHVSLLRALRRHLESKSSEGSQLASNCLKYLDWTLLDALTWPTFLLEYLCVMGCLKSLGGRSFGRTILATEYYKLPVSMKLRVLQLLCDHVTESDELKTELEDREGYNEEMEYETDSSSFLGSGSRAVSTRASKASAYKKMDDLQNLESTLNVTNPEDGVANGSQDGNSDDCRICGMDGTLLESNIRGVAFSASWLKPIDDWPVESPGLSTGASRPAPHQKRGVGGRRGRKRSLASESSTATDDDNSWMWWTGGHVSKSTLQRGALLRSSTRKLARQGGKKRVGGLSYYEGSNFPRRSQQFAWRSCVGLSQTSSQLALQVRYLDAHIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDKKIIDNKIRYALKFPNQKHLPVRVTKNILEEEGDHNENRKLWFSENHVPLYMLREFEQNAGISSLPAPGISDSNCLTNFYPRRVKVSAGDVFSYLFHKGDVYPCTSCKKDVPYRDVVKCTSCQGHCHKDCTSIVIKGGSATSSLTCKLCIQKQSLKLTSYNSNASYIRPQQKNNGLQQVTAPKVVFKVGSSNSAEPPLKVGAQPVTKVKAQPCAKVEAQPIMNVKAQPIVNVKAQPVTNVEARPLAKVEALQITNVTTPNISSVQAQPKTKAKKSKSEKPKKAKKVQAITYFGLVWKKNKNDKDDGSDFRVNDVILKSKDGIGSSIKPTCCLCNKIYSPDFLYVRCERCRNWFHGDALQLEDERI >Dexi9B01G0040890.1:cds pep primary_assembly:Fonio_CM05836:9B:41391029:41392767:-1 gene:Dexi9B01G0040890 transcript:Dexi9B01G0040890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSRAAMGAAVVLLVALLCAPAAGELERLEHPAKNDGSLSVLVVGDWGRKGTYNQSRVAEQMGKVGEQLDIDFVISTGDNFYENGLTGTDDQAFEQSFTDIYTANSLQKPWYLVLGNHDYRGDVLAQLSPDLDVAIKKSTATWKIAVGHHTVRSVSDHGDTEELLQLLLPVLQANGVNFYINGHDHCLEHIILHERRRFESMERSVPAQ >DexiUA01G0006190.1:cds pep primary_assembly:Fonio_CM05836:UA:11728483:11729076:-1 gene:DexiUA01G0006190 transcript:DexiUA01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRMFTSGSENRDEASDAGRRMYNPYQDLNMQVPYNYRTLYDLPTSPEFLFAEEALAQRRSWGENLTFYTGVGYLSGAVGGAVLGLRDAARGAEPGETAKIRANRVLNACGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINSVGAGLGTGALFRAANGPRSAVVAGALGGVLAAAAMGGKQLAKRYVPVI >Dexi2B01G0036200.1:cds pep primary_assembly:Fonio_CM05836:2B:43073963:43075225:-1 gene:Dexi2B01G0036200 transcript:Dexi2B01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRPPPATTLRAFLDAHFASPDDLAAAPALAELLRRECAGLQASLRRLEEQLAAAAASWLHRSAGARSDLRRLRLPGGAVGEDDERAETVRKLALPALHN >Dexi4A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:4A:2984217:2986792:1 gene:Dexi4A01G0004180 transcript:Dexi4A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGAGAEGGIQLLLTILADGEEQARQLGEIADDPRSRAEHYRGAAARLQCTLGKAVAVAKAVEATSASSRGTDDRSDSPRSADESSGATAAVDAAQERQGLCKRRKGLPRWIAKFRVPEDNLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRTAQGCQATKQVQRSDTDLSVFDVTYQGEHTCHQKNRHAAVAATAHGGGSQSPPPPATQDTASMQLLMGFRDALKVETTTVASLHLHDGDYYYDHGPASAPAAPFSFPSAAVPFHHHHDAAGDPPAVALSPPASGYFSSAPPQRHCPAYDVYDYEAAAPAGARADSSELGEVVSRATAAAAAPAAGFDYSSMYHHGELDPHLPFPPFGGQSFHGPSYQ >Dexi3A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:3A:7375422:7376588:1 gene:Dexi3A01G0010330 transcript:Dexi3A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSACQGLLLMALVAAVLSTASGTLQYDFYSYSCPKAEEAIRNATVKIISEDRTMGAALVRLFFHDCFVKGCDASILLDQSNSNPQPEKLAIPLRGYDAVNTIKAAVEAVCPNVVSCADILAYAARDSAMVTGGFTFAMPGGRRDGLGSDLSDIPANIPSPSMNVQDLATRFGAKGLSTLDLVALSGAHSFGQTHCSFVTPRLYPTLDKAMDRAFGDALQKVCPRRGGGGTVLDNNRVTDPNALSNQYYKNVIARQVMFTSDQTLMSDAGTAKMVQDNADDPVGWMARFAGAMVKMGGIEVLTGDQGEIRSFCGARNNAS >Dexi3B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:3B:12724747:12725340:-1 gene:Dexi3B01G0017290 transcript:Dexi3B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSAVQTQITWAPSARGRRSRHQASAALALSPSTAARRVRCRAMQQQERPPAVRTVTIPFADLKEREKDLGDKIEEGLGPHGLGIISIADVPDFPELRKTLLRLAPRHVIVDVILCRE >Dexi7B01G0024650.1:cds pep primary_assembly:Fonio_CM05836:7B:28953433:28963870:1 gene:Dexi7B01G0024650 transcript:Dexi7B01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALWQPQEQGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEALHKCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLSERPINVFMPRILQFFQSPHATLRKLALGCINQYIVVMPSIDPTAAGALYDREFIVCSLDLLSGLAEGLGAGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLTVAAKQLSPQSVKDAVSVANNACWAIGELAIKIGKEIEPVVISVVSCLVPILKSPEGLNKSLIENSAITLGRLSWVCPDIMAPHMDHFMQAWIRDDFEKEDAFHGLCAMVAANPSGAVGSLAYICQACASWTDIKSEGLHNEVCQILNGYKQVKVACF >Dexi1A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:1A:11565410:11568255:-1 gene:Dexi1A01G0012050 transcript:Dexi1A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYAVKGRKKKRKLDEAAAASDAAPPAEEAEELPPPEETGGEEKGEEKEEEAAVGEEEEQAAVEGLPLVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVKTEKGVLFEIKPHVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARKVGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICNYPLSAACCLNRICSALEQKWNIQ >Dexi7A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:7A:15555113:15555498:1 gene:Dexi7A01G0004610 transcript:Dexi7A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAERLGAFSTNRWLVFVAAMWLQSMAGVGYLFGAISPVIKAALGYNQRQMAALGFAKNLGDCVGFLAGALSATLPAWGLLLIGAAHSFLGYGWLWLVVSRQAPALPLWMS >Dexi6A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:6A:2374428:2378735:-1 gene:Dexi6A01G0002510 transcript:Dexi6A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECMGEIMFETFNVPGLYIAVQSVLSLSAGYAYLKSISDENSDPSVAQYFSLLLTNIYCQSDMTGVVVDIGDGAPHIVPVVNGYVIGSSIKPFPYSGSDVTQFVSQLLQCHLGVLQERGELLPPEDSLDISRKVKEMYCYTCSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFNPEIYSADFSTPLPELIDRCVLSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQSDIKKIVDERVAATNAHHRVEVRPIEVNVVAHPIQSYAVWFGGSVAASNPEFYEYCHTKEEYEEHGASICRTSPVFKGMY >Dexi6B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:6B:17840155:17841862:1 gene:Dexi6B01G0010980 transcript:Dexi6B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADVPPPWHRRLILAGHLPPEGKKLVSPRAGSLKRAHEEEDFEAALAKFEVEPEVESEDEAQLFTTKGSVVAKVDVDGPNATKKKTQFRGIRRRPLGKWAAEIRDPRKGVRVWLGTYNSPEEAAKAYDAEARKIRGNKAKVNFPDDAPLASQKRLPEATSMEVAKKNTEEKQIVNNLTNSNPEPFMQNEEMSFASLVNDGASIQETMVNLSFDQGDASVELYEFNPYMNFLMDSSDESMNTFLGCDDEPEDVGSSMDLWNFDDMPMTGVIF >Dexi9B01G0034980.1:cds pep primary_assembly:Fonio_CM05836:9B:36757816:36758469:1 gene:Dexi9B01G0034980 transcript:Dexi9B01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSLVLAALLIVLVVAAGQVPRCSGLVAASANSSVLVSGVVPCATGNSINAATAPVFPNAAVQLVCSGTVVARATADGNGAFLISLSNVGKGFVTAMLGNQCKVVVTTPLAACDKTLASATGTLTAPLKLLGIDTGSGSGGLDLGGIIGLIDQIVGGLIGGILNLSTQSFSLV >Dexi9A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:9A:8699928:8701279:-1 gene:Dexi9A01G0013530 transcript:Dexi9A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFVGLLHTDWLLKTEPGEWSWSDQASAQGGVAPWDGVRNHQAMKNLRAMRTGDRCLFYHSGAGAASRRVVGVVEVARTWYEGEAKGEGKEAAAGGAVDVRAVGEFRNPVPLGEIKKAAGEVEGMRDFALLRQPRLSVMPVPAKVWDWICDAGGGFVQDGEGEVEEEDV >Dexi6B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:6B:2993184:2995361:-1 gene:Dexi6B01G0003660 transcript:Dexi6B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPRRREDGWWEMEMGRLSVAGDGEGEEVVASFEVLGWYLKRGLVVEGIEFRPAIRMSERPTSSPAPAPASLPDDKDILGQILLHLPPLPSSLPRASLVNKLWHRIISDPTFRRRFWAHHHHNTPPLLSFFFESFIDGLHRPHFPPEFNPNHEARIYLGAVLRDADDDDDLAGSMSEFKFKLVVVFYGVCEWALRVSVYESESGRWGEIISTTTYSDSFKPSVLVGNKLYWLIRHQRGCNFLQFDVDRQAMAIIKMSDDVMHVPDGAHVQAMAIIGGLGFAIVWRRRMQLWGKTSISGNVVRGVLEKAVELDQLLPMKPALTAMERQPSIVGYDEEKWRTM >Dexi1B01G0023340.1:cds pep primary_assembly:Fonio_CM05836:1B:28952345:28952650:-1 gene:Dexi1B01G0023340 transcript:Dexi1B01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRQRHPAPPPLLALASPLALRPLLGLACLPVCLPLAASHPFFARFLTAPSSVSRVASPDAKALAFHFTLDFIFFYGEDACDWQVGIRSMAAGWLAITA >Dexi9B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:9B:9982058:9982682:-1 gene:Dexi9B01G0014910 transcript:Dexi9B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGKKRGVPALGWWLMVVGTVRLAFTCSCFFGSAALCSTTYSKAQSIRPIYTATFLSLVYAYGHFIFEYLVFHTVRAATLAGLGFFAAVLLTSAKQR >Dexi7B01G0021550.1:cds pep primary_assembly:Fonio_CM05836:7B:26549465:26550165:-1 gene:Dexi7B01G0021550 transcript:Dexi7B01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRLRLCRAPRRCAPENDVWWTVPLRPEDLVETTGHGLEELVAIRDALVTDPLRPTWVALQEIVATGGNIFRCRSIASRGRYLPALQSGS >Dexi4B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:4B:4561764:4562744:-1 gene:Dexi4B01G0006510 transcript:Dexi4B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAATIHKLVKKQQRKIKQESAAEPTTVRKLVRVFCVDGDATDSSGDEEPTGARGVRKFVKEIRVEEQSVKVITPAMAPAGRLAAGAGAGGGKRKSPPEIPAEGVAAAERKYRGVRKRPWGKYAAEIRNPHEGVRVWLGTFDTAEEAAREYDTAARQLRGASATTNFPASPAAAAKSDLAADAPPSAIPAAAAVVYLSSAEDSSDESQLVGSPVSVLPATPTEMPCDTAAQKPTDAAAGDPTGVKDTAMVCHDEVLPHIDLDYYPGVVITPYHGNPALGVMFDVLDEPKLPHLVDDDDSELAPIWRFSNIRDDDLFPSPIRSPGL >Dexi8B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:8B:568948:569768:-1 gene:Dexi8B01G0000810 transcript:Dexi8B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding VACAVGSESETVTVEGIPFPAEITVGNPLSLVATGITDIEIHFLQIKYTAIGIYLNVNDSQLLDHHLGSWKGIKTADELLGDEAFFEAVVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAADKYDDDEEEALEKLAGFFQSKYFKPGSVVTFHFTFTPAGAWVVEVSFATEGKDDAKLTVDNGNVAGMIQKWYLGGDSAVSPTTVRSLAHRFATLLSSASASA >Dexi3A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:3A:5400860:5408485:1 gene:Dexi3A01G0007770 transcript:Dexi3A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELANGLQESTVAMEEGRGGGDEACPEISERDKGASSRLMLSIPFVQKILAEILGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSFAFATCGRFPWRQVPAYAAAQLMGATAASLTLRLLFGGAKEHFFGTVPAGSDLQSLVIEFIISFNLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTLGPAIVVGRYAGIWVYFAGPIAGTVAGAWAYNLIRFTDKPLREITQTSSFLRSARRN >Dexi6A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:6A:12832958:12835243:-1 gene:Dexi6A01G0010410 transcript:Dexi6A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEQHHEPAGMQRPQAGSLQGFQFFGQQDEDHESVAWLFDDPPPTTGDERSSPVEEQPHQRPRMFQPSGPQYHHGNGLTFEVSLGLGGGGGGRHAETAASATILQKAPEDPSVEREAQLMRYKEKRKRRCYEKQIRYASRKAYAEMRPRVKGRFAKVPEAPEPRQPTLATTCYQHSSLDLGRWYHS >Dexi6A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:6A:27768306:27771965:1 gene:Dexi6A01G0020250 transcript:Dexi6A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGAADPRHHLSPQLGGQQQQPPVPRSPTPLDLASAAASGYRRLSPSLRPPAHPQPRLPSPYGQIPAPGGGGHHARSLSQPLFFSLDSLPPPPYADLTAAAPAVPPSPPSSTSDPHHHHPPPPQLGLPPRKAGHRRSHSDIPFGGFAQLSPPLPPPAPVKREVTVASEGCRSDGGDEAAIYDLVNAYMDLDGLDPLNSSEDRHDDRDSRASGTRAGSAAESSENEAESQSASVDRKDGGKSRHCRSLSMDSFMGKLNFAAGDESPKLPLPSPGGGLTRSGSGSLEGGAVALFDMEFSSGEFSESEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSSGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKMATGEMTDGRMAKSLQQQMNSQMLQLQQLQIQHQQQQQAPQAQQQQGQRHQQQQQQKSA >Dexi9A01G0041360.1:cds pep primary_assembly:Fonio_CM05836:9A:45006478:45011254:1 gene:Dexi9A01G0041360 transcript:Dexi9A01G0041360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRPPLRSTQGAAHFAAVHKVFGASNVSKLLLQIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLPAPPQMPMPGPFSISDLPSATNVPTTVDLSALFDPPPQQPPQWAVQQQHHQHQFRQPTPYGAPARGGPGIAESSGGGGGGDLQALARELLDRHRSGGVKLEHPPPQPPPHSR >Dexi3A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:3A:11401521:11401984:1 gene:Dexi3A01G0015350 transcript:Dexi3A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRLTRSASGGDVRPRRGDVLAGVALAGEEERPRAEARVEGEEGLQRGEDVRGDDGLVGGDVGGGRRGAEAGAERAVDEEETEAAVPREGVGREGLGVGADEVGAELEEVAEEAGAAGPALQPEEERRGGRRRERVGGLIEGEEE >Dexi1B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:1B:14838808:14840360:-1 gene:Dexi1B01G0012230 transcript:Dexi1B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLPTFIRIWKKGSVEQYSPVPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIELAYVTLFLLYSTGAARRKVFLLLAAEVAFVGAVAALVLTMAHTHERRSMIVGILCVLFGTGMYAAPLSVMTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVLFAVAQLVLYAIYYKSTQEIIEARKRKADQQVAMTEVIVVDGKNNNHASVGHY >Dexi1B01G0030330.1:cds pep primary_assembly:Fonio_CM05836:1B:34349068:34352295:1 gene:Dexi1B01G0030330 transcript:Dexi1B01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQDRRHNKKQDLQVLAPFSGCLGRMINMFDLSNGVVATKMLTDKAHRDGTYSSYPVLSMCNIRLAVSPAGRDRSNTFKMAINPPAQIEDRQRDSQTRRNSPTKRSNSPTKRSGGTPVKMLMEQDMWKQGMPDEEPLNVVARLMGLHDAPVQQSNFILGRQIDKEYQSGGFEENYRNIKPKKESKYYQNQKAVARHQYTWNGFSDQPSRINSSQRKHQGNEPCCEKRMSLVREKFAEAKRLATDEKLLHSKEFQDALQFLSSNGDLFLEFLDEPNPLLSSNRYEFQPVAPPSEVKQITILKPSEPTKRKGSVLVGRQLFSDEDESECNRYRRHHSLDVSPANSNLSEPTKIVVLKPGLANSYDARIARFPLSSAEDSEDESMMTVDETVCSRRLAKEITWQMRMRLKDKQDEESMLSCEYPDLYIGDDSFSKSEVEVAKEISGETSEDLEFGTPTSGRSWEFLSRSGSPYSASCSSQTSHRREPSVVREGKKKILERWSMVSSTVSSEEEMEGRRSTGTLGDMLTIPKVKGQEEIEVETLESLASELEAEEAFSCLPRSRSLPISLSYGGIESNGVASGSQVAQKERIRKSSSFRERVSSLFSKNKKSAREKVDPSASSRLKHESAVTYGDMKEGWNHLALDNCQRQNTCLNTDEKNTVQGLVTSSCHTNSTPNTPAKDISSMSSFVTPGFFGDPQDQPSPVSVLDGPFICDNNRRLLYSSENFIASSPQALWRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDLDSLTFIQKLVHSSGMDREGCILAEPLDPKLLEKFSDYQEEGIKLGNRRSKQRLLFDAINEALTELTSVAELDAYPWGRSYSLEHRDCKNGSSNSAAEEIWRVIRNWSILEKYPPGEAIERNLLLEMILKREVTEAASADTIRLEIFELNSAVCTMILEDLIEEALLDLTNN >Dexi7B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:7B:11719202:11720455:1 gene:Dexi7B01G0004760 transcript:Dexi7B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAYGCFSAALVLPFAAFRGDFTTAGHPTLPAPSSSPPRSPGRRVTGALLSSFPSSLRGSLVSKLVFLSSRRLRVSCLRRRLVVSACSSGQASLEAAASPTEATFDIKLPRRSLLVQFTCNACGERTQRLINRVAYERETVFLQCAGCQVYHKFVDNPGLVVEYDLREENALQEENLLQEENVVSTDSED >Dexi2A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:2A:22186149:22189148:1 gene:Dexi2A01G0013570 transcript:Dexi2A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQCIPIIFYQSAIFPFGSFKLTLALPPHSIISSLSSPLSFSVALPTPQSLPKDPILIQEPPPDSPRIARFGASAMAARAAALLAAILLVVLGAARRADAAIGVNWGTVSSHRAPPGVVVDLMRANRISKVKLFDADPGVLRALAGSGIQVMVGLTNGELSSVAGSQAAADTWVAQNVTRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYIIPAMTSIQQSLVKANLASYVKLVVPCNADAYESASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQNSDFPQDYAFFEGSTHPLVDGPNVYYNAFDGNFDTLVSALGKIGYGNLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRITSNKGTPLRPGVPPADVYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNSVLKNAKEVPYLPSRWCVANPAQNLDGVSDHMKLACSMADCTTLYYGGSCYGIGEKGNVSYAFNSYYQQQKQDPKSCDFGGLGMITYLDPSMGECRFLVGVDDSKSSAVASCGSGCCGVFCGLWMIAFWVFMCLRIMGSF >Dexi5A01G0025810.1:cds pep primary_assembly:Fonio_CM05836:5A:29573498:29578032:1 gene:Dexi5A01G0025810 transcript:Dexi5A01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTLTSIIADGVATWQDDSFGPPYLIDAPPGHRHRPPPPPPPGQQAAAMARTAGDDEDDGPPPAYATQRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEDAAFAGLIGSLKTLRGLLFQPRHGAWRCSDPSTYLTPFLDVVQSEEAPPAATGVALSSVLKILRIDVFDECSPGARDAVHAVLAALTNCRMERISDAGAEEAVLLRVLQVLAAVLRTRAAPLLSDTSVCTAVNTCFQIVQHAASSGRGSELLQRTARHCMHEILQAVFARLPDIRDDGDGDGDAAAVSSGAGFGARCMVDVFNFLCSLLANAPDMVVTADGQGAFTSEEDVMLFSLVLVNSAVELGGEAIGKHAKLLRLIQDDLFYHLINYATEYSPLVLSMICSTALNLYHFLRSWRRSFMFVLLRVCGGANGPQLQEVAVEALISFIRQPTFVIEMYVNYDCDPLLRNVFEEVGKLLCKAAFPAPSSGPMTAVQLQAFEGLVNMITTIADNVEVDKAPDHDAYAVDVSEFRLFWTERWDPSTAASLAGGERETWVDFVRKRKVRKKKVAIAANHYNRDQKKGVEYLRLCHLVPTPPEPRSMAFFLRYSPGLDKNKIGEFLGDPDEFNLRVLKEFTETFHFTGAILDTALRTYLETFRLPGESQKIQRILEAFSERFFEQQTTGVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDKKDLPREYLSELFHSISTNAITVFSSSASATVEMTPSRWADLVKRSRGMEPFTPCDFKHKLSREVFIAVSGPTVATLAAIFDGADDEETLSQCVEGLVSVARVARRRSSTFSNELKPRMATLALFTIANRFGESVRGAWKNVLDCLLKLKRLKLLPPSVIDGGGGGSEQRPPGHRHRASSASESSGSGVIYNTTDRGVGTSRHVSSMIGRFSQFLSLDGVGGESLLSVGSEFENNLKIIQQCQAGRIFTESGKLPDEALQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLVAMLASANLHRFATFWPPLLDCFSAVSQLPLFSPCPFAEKAIVALFRVAVKMLSSPPSTTSTQRPGDSRVAEELMFKSINMMWKLDKEILDTCCEGISESVVRLLTEHAAGVQTPLGWKTLLHLLTVTGRHPETFDQSVAAMIKIMSSDGGAHVTRFNYAAIIEAAFGFAALKISPLDVSTRILELMAESVNWLVQWHKSGYSDPGSGGGFSGGSSSSSSSVDDAARMGNLAANMFIKLAEALRKTSLVRREEIRCQAVFELGRAFNLAAAGDLDFGPAGCLACFNLVVFAMVDDLTEKTLEYSRREGAERETRSMEGTLAAAAELLADVFVLLLPTLAQAPGFRTFWLGVLRRMDTCIKCDLAAGGGAGVMQELVPRMLKRMIMEMKLKEVLVPREGDELWEITHIQIQWIAPAVKDELFPD >Dexi5A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:5A:22642949:22643497:-1 gene:Dexi5A01G0019140 transcript:Dexi5A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAVLASVGMFEAQLSSGAFQLLGMAELGLLPSVLARRATRFRTPCVAIAASSAVTLAVVSFLGFDDVVGTANFLYSLGTLLEFAAFLCLRARLPELKRPYRVPLPLPVLAAMCAVPSAFLVYVCAVAGWRVLALAGAHTALAVGLHAAMGLCRSKNWLTFNAAAVDEDGVHAAGAGHRV >Dexi7A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:7A:27525975:27527035:-1 gene:Dexi7A01G0018050 transcript:Dexi7A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRACVVVLLLLGLCAAIGLPAAAAAGRIDDGLEVTWGDGRGSVSPDGQELTLSLDRTSGSGFRSRDTYLFARADVQIKLVPNNSAGTVTTFYFISEGPWDVHDEVDLEFLGNVTGEPYTLHTNVFANGNGGKEQQFHLWFDPTTDFHAYSIEWTQQHILVLVDGTPIREFKNHADRGVAYPSTQRMRLYGSLWDAEDWATQGGRVKTDWSQAPFVARYRNFTAADASSTGTRGYGQQLDAAAQQAMKWARDNYMVYDYCADGKRFPQGVPPECSMP >Dexi5B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:5B:5589457:5595734:-1 gene:Dexi5B01G0008300 transcript:Dexi5B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQSRRPPPPTSMASPPHSTFDLGTVTSSVKRMMRCGLKGGGRVASWGGDRRSPAVNPSSSVRMPAGGGGGCCSGLRSRASDVAGLEMASLRGGVGGLFRSSPRYGRLQATAADPEDIPLEKVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEHLAKDLGIAENAVLQGWVVSTSLAGATVGSFTGGSLADKFGRTRTFILDAVPLALGAFLSATAQDIRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGTLGSVNQLFICIGILAALLAGLPLAANPSWWRTMFGIAVVPSILLAVGMAFSPESPRWLFQQGKVIQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMGASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSVVD >Dexi3B01G0028620.1:cds pep primary_assembly:Fonio_CM05836:3B:26815438:26815837:-1 gene:Dexi3B01G0028620 transcript:Dexi3B01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPLLYLPYLFPPLFPHLLLLPPPVSSSHHHPAPPPTHSRHPAPLLPELRLGPSPHALAPPAISVLAPAQAQPVSLSPHRQPRCPTPTSCAGQVCHHRPSFCDHNPKTITSLEISPESGNGFE >Dexi6B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:6B:11067386:11070979:-1 gene:Dexi6B01G0008770 transcript:Dexi6B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKADNCVASGHGRTVCVTGAGGFIASWLVKLLLEKGYMVCGTVRNPDDEEKNAHLRALDGAAERLTLVRADLLDKESLAAAFRGCEGVFHTASPVTDDPKMIEPAVNGTKNVINAAADVATLRRVVFTSSIGTVYMGSHHAPGAVVDEKCWSDLEYCKNTKSYVHVKDVAEAHARVYEEPTACGRYLCAGRTLHRGEVCRILAKLFPEYPVPTECKGGCRFSSRRLAELGVGVTPASLCLYDTVTSLQGKGLFPRRAAAVVEPSVIS >Dexi3B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:3B:2501641:2506358:1 gene:Dexi3B01G0003680 transcript:Dexi3B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVVFALVMCRHLVWEDGFCGHASCSAGSEAPDAGCKPGSSVCTLVRKVMASQIHVVGEGTIGRAAFTGNHLWIIHDPANDHSLRSEVAAEMNHQFGAGIQTIAIIPVLPRGVLQLGSTNVVAEDTNLVLQYKKLCSQLNNRSNMASSSSVKNELNQKVQSRPLNAPPASSGVKTTVSQEKQTSSLDHVGPKKANEVQDPADVIVQAVKNMDRRKLPDISNERAPSLLNMDPTSESDLFDMFGSEFHHLCRNVDNDLTWKAAKPESSNRNAPQSSVHVDASPACNSVDDEFPYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSVTDIPSSSYCRSKEPKHCESSGAPPLLIKNDLAVSNLAKQPSLQEKSEDGCLSQNNGMQKSQIRLWIESGQNMKCESASASNSKGIDTSSKASRKRSRPGENPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSNDSKILGTENGPLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGAGGNPNNLKMPLGVVQYPVIPATGHLR >Dexi9B01G0029680.1:cds pep primary_assembly:Fonio_CM05836:9B:32138476:32139330:-1 gene:Dexi9B01G0029680 transcript:Dexi9B01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEELKLLGMWASPFVMRVKLALGFKGLSYEDVEEDLFGGKSELLLKSNPVHKKVPVLLHNGKPVCESQIIVQYIDEAFPSCTGPSLLPTNPTNVPLLASGLLASFLQSARCKTEEEKVEGQKQTAVAVENMEAAFKEISKGKPFFGGDTVGYLDVTLGALVSWVQAAEKLYGLRLFDATRSPLLNAWAERFAALDTAKAVLANVDKLVEYAKHRQAAAAAASSN >Dexi9A01G0028470.1:cds pep primary_assembly:Fonio_CM05836:9A:33131236:33133134:1 gene:Dexi9A01G0028470 transcript:Dexi9A01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding RILASGKTSTGQRRQKLVQPAWLNVGNLKAMLRGFLLDSMPVTGSRRRRRRDELRLHSAQAHAAISVSQLAAAVAGIVSACDLRPAATASSGDKKLGSVLASAAALVATVCAEAAENAGANRGRITSAVRTGLDSRSSAELLTLTATAATCLRGAAALKQRAADLRGISSSSTSSNAMAMSISAGIQKGTNLRVCLPCGRVSVRTVSVFPQRGGGGGGGAVVLRLGKKRLRGAFATCKDYVVSAVGEGGGQAVVEGRPAFPVTLITSEEGARVELLFEHQMHCKVWKAAIEGMLAEEKLKRDTRK >Dexi7A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:7A:14548195:14548693:1 gene:Dexi7A01G0004180 transcript:Dexi7A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTAALTILVVLSLVFADQIRASQQLRGGGHGQELVADGGGGGGAVSPKDCREEALYHGPCIKAICAVACLLQMRHGGHCPEGLVGPCSCFVCD >Dexi1A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:1A:6414274:6418244:1 gene:Dexi1A01G0008350 transcript:Dexi1A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTTAKFSLLIVAAAAWSFLSASHALPRQLQGNHASGASCLPHERDALLAFKNNITSDPQGILTSWQRGRNDNCCRWMGVSCSNQTGHVLQLDLRDGSLIGQISPSLLSLEHLEYLDLSTNDLSVTDDRISQFLFSFKNLRHLDLSWTQLSFTRRVPDHLGNLSTLEYLDLSGAYFLPREVPPQFGNLSNLRYLGLDSNHLYSKDISWLIRLHRLEYLDMRGTNLGSIDNWLHVVNMIPSLKYLLLSNCSLPRAKQSLTHINLTALEMLDISQNYFGQPIASCWFWNLEFKAPNIEALILSSNNITGPVPETLCRVGLTRPRHVSTSLRRHRPSGTSTTQAAIKAHAMARRVRALRLGVGVHVYFRPASTRDTRNKQAEALRYLLASRMSPFVILRHVEEDRIESRLGRGFRRSRGAVVERTAKQA >Dexi9B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:9B:13777447:13780116:-1 gene:Dexi9B01G0019160 transcript:Dexi9B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVASSRRRGSSPARLALLLLVTLAVAAVAEGMRVVHVEEAHRRSMLANGLGSAPPMGWNSWNHFQCDGNGEVVIRETDDCWAEPKRDAMGVDYLKYDNCNNGDLKPLERYPEMSKALMKAGRPIYFSLCEWGDMHPARWGAAYGNSWRTTNDIADTWDSMIATADQNEVWAEYARPGGWNDPDMLEVGNGGMTNNEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQEPLGVQGKKVRMEGSSEIWAAPLSGYRTAVVLLNRHATDEATITAHWDDVGLPAGTAVEARDLWLHKTVDAAFTDKMAFDVAPRSCRMFVLKPKLW >Dexi6B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:6B:13623636:13630230:1 gene:Dexi6B01G0009340 transcript:Dexi6B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPGVFLCNNATCGHFYHPKCVAQLLHPNNRNEALELERNIVAGISFTCPVHWCFQCKGLEDRTQEPLQFAVCRRCPRSYHRKCLPREISFEDIGAEGIITRAWELSKRILIYCLYRFLMPIVIYDIFLLYNRVLALVEKEVCSLTLDDTSRRCSIPSTYATSGRQIDKIIARGKLECSIQAVQAALQKLEHGDTIDDAKAVCEPEVLRQLTRWNNKLRVYLAPFIHGMRYTSFGRHFTKKEKLNEYEHFSLLINFIGMFNLVTRSFTAPHPTPRSTTYRHPAPPPPGGPLAASSSRCSTTEAPARRLLLRLLRHRGATSSCSSTAKALLIASSRSSAAEALLATCSSAVEALLTTCSRSAELEPDPTLVPGLFPTSTSSQQLALERLGRLRSRIVDFSCGLNDFSQFMKGKLDKVGKKCNFKNYDVIRPKGLT >Dexi2B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:2B:1759823:1765620:-1 gene:Dexi2B01G0002210 transcript:Dexi2B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPENKLLPALFFLLVSLNLVALTAGDDHHQFVYSSGFTGSDLILDGAATVTSSGLLELTNGTLRLKGHVIYPTRLPFRDTSSTSSNATTRSFSTSFVFGILSAYPDVSANGIAFFVAASKDFSGAMAAQYLGLLNTTNNGNSTNHVFAVELDTMQNNEFKDISDNHVGIDINSLISVNSTNAGYHAGDGAGDFHSLTLISHEAMQVWVDYDGETKKINVTLAPLNMDKPVRPLISTTHDLSTVIPDMAYIGFSSSTGLVNSRHYVLGWSFAMDGPAPEIDIAKLPKLPREFPKPRSKVLEIMLPIATAVVVLFVGTVLVLLRRRQLRYTELREDWEVEFGPHRFAYKDLFHATEGFKNKNLLGVGGFGKVYKGVLPVSKCEIAVKRVSHNSKQGMKEFVAEIVSIGRMQHPNIVQLLGYCRRKGELLLVYEYMSNGSLDKYLYCQESNATLKWDQRLGIVKGIASGLIYLHEEWEKVVVHRDIKASNVLLDGGYLAPELGRTSKATTLTDIFAFGIFVLEVICGQKPIMQDAEDNQLMLVDWVVEHWKRASLTETVDTKLQAADINVTDQFLYTGFTGGDLTLDGAAKSFSVAFVFGIISNYLHFSTHGLAIAIVPSTKSMSNALTDQYLGLTNAQDDGNVTNHMFAVELDTVQNLEFHDINANHVGIDISGLSSVQSHDAGYFDDSNGFQNLSLISRDAMQVWVDYDGETMMINVTIAPVATVKPKKPLLSYIHNLSEVLAVEPSYIGFSSATGPGNSRHYVLGWSFGMNGPAPVIDVTKLPKLPQFVSKPRSKVLEITLPIASAALVLTVGIALILLVRRRLRYTEVRDDWESEFGPHRFAYKDLFHATKGFKDKHLLGAGGFGMVYRGEELQKSGIEVAVKKVSHGSKQGMKEFIAEIVSIGHIRHRNLVQLLGYCRRKYELILVYDYMPNGSLDKYLYTEEDNQTLDWGQRFRIIKGVASGLHFLHERWEKVVVHRDIKTSNMLLDKEMNGRLGDFGLAKLYEHGANPQTTRVVGTTGYLAPELVRTGKATPFTDVFAFGTTMLEVACGQRPIKQDEQGNQFLLVDWVLQQWHSESLLEAVDPRLLRQAGEYNSDEVRLVLQVGLLCTHPSAAARPSMQQVLQYLDGEVPLPEMTRADLSFDVLALLQSKGLHVISCPCSSSNMVSAGSISDLSGGR >Dexi5B01G0032010.1:cds pep primary_assembly:Fonio_CM05836:5B:32649001:32649291:1 gene:Dexi5B01G0032010 transcript:Dexi5B01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRLGRRGKPRLRLGSPFAAAAARACCVLLSGPGDWGGGERHAAADTDFGESEAREAGRRRAARRQRRTGRRRDAEGGGGVGDPGGGGVRRRER >Dexi1B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:1B:2548222:2555162:-1 gene:Dexi1B01G0003140 transcript:Dexi1B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRLLETTKQAASFVSKPAAPAAATPATSAAASASKCDAAVAVAAAASKCDDAIADPKLSTLKKRSSKRRAAVSTAVTQASLLRLKQAAASKKTALPSSLPQAHPYGLDEDDPPEALTKVLMSVLDGPDEAEEVTPSGAPVEDSEDAGEIATTNKILDFEWFQAPSSKDPTLQYRREIARERKKQYIFKNVESRRYTKLMQLCANKLGTESTVEFFEKLGRETGVKEFGSLIRLCLNKARDCKDVDSAVEYIYRAYHLFETMRDKGLTIEQDIYGPFLLYLVDVGLSEEFEMFTAFFKNSNPQSFSRIAYYEMLHCIRVQDELKIQELCHSVEDYNEEAHYDIAESYMLAFAESGRKEDLIALLDLLDLTKVSGSKYISNIFKSLGRLELDNYAEKLLQGMSSKGCAHGDISSIILDYVANIPNILISLALDVADRMCKSSSNVPIESFHPIIYACEQSDDFHTARAMYDLIRHHNLKLKSETFRSMISLFVKMKDFEGAYNILADAEESGEISTKNQSGAQMVMYQMQIAGVKPDSETFGYLIANCESEENISKYLDQLRQDGIQMTKHLYMSLINAYSRLGNFEMAKQVLLGEEIPRKLLSDIKSALVFALASNGQILDALCMYDDIKQSGGSLEPKAAIALIEHIRTEGELDRMHQLLDELNDSSSWIEGCGRVVLYCVQNNYPDAAIDLLKQLKEKDEMSTYMVVDQVFGQIWEMEITNLDLGMVLLHGVKDLGLNVSRTSLDFLLSACVKLKSSRHTQQIWSEYESAGLSPNVLTSLRMYQALLSSGGRKAAKKLLKNISKEDEHVRYIIDACRMTYYSEDFKPSATVRSRSKNKASSKQRATSEGTEG >Dexi3A01G0035500.1:cds pep primary_assembly:Fonio_CM05836:3A:40754093:40755500:-1 gene:Dexi3A01G0035500 transcript:Dexi3A01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTYAPPGGAAGALASGRGASPRVRSPAGLGLNPTRPGLLHRPLALTRRSPIAPAPGARLMRCAAASSSSSAAASARPVTAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRHLKVVDVGGGTGFTTLGIVKHVNPENVTLLDQSPHQLEKARQKEALKGVTIMEGDAEDLPFPTGTFDRYVSAGSIEYWPDPQRGIKEAYRVLRFGGTACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFQDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRAHGDSPLELGPKAEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >Dexi5A01G0033040.1:cds pep primary_assembly:Fonio_CM05836:5A:35436764:35440632:-1 gene:Dexi5A01G0033040 transcript:Dexi5A01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAARGGLALYELYRAASRAAAPAVLLWRRLQGLEHPTRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPVLLTTTTLSSFEVIKDLLPDGVIYQFAPLDCPDAIDSFIGYWKPSLVLLMESELWPNLIMSAAAKGIAVALLNAHMSLKSFNNWSVPLGSPLVALMLSKLSLVVPLSTIQAVRFQMLHTPPGIIHFAGDLKYGMLIFCLLFSELKPTYDIHVIHIAVGDVNAGENEVSKTKDLQRQFSNRPLWMAASIHRGEEDGELRMLYRVTPVAVIGGSFRPGLAGHNISEAAAAGCAVMTGPHVGHFYHMLVEMWQINPLAVKQVSGEIELLQTLKELLGDTKTLRARQRAAKDAFSIMSDGVVNRVWDLVYKFTIDFQTDTWNNNLHPSTGLVEESECTG >Dexi9A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:9A:3478541:3479424:-1 gene:Dexi9A01G0006150 transcript:Dexi9A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPYGGYGMGVVPYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVSFLVSQNTQAFHMFISALLQGTSQQFVEAPKATNNSWDSVWTQNGKGK >Dexi7A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:7A:23219844:23230292:-1 gene:Dexi7A01G0013020 transcript:Dexi7A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATNTKPHFVLFPWTGTITHVIPMTDLGCLLASHGAEVTIITTPVNAAIAQGRVDRAPHRAAITVTAIPFPGPDAGLPDGLERMDLLRSQAQIPLFFVANKGHGEAVSRYCLDNKAPGFRRPSCIISGMCQTWTLPLARQLGVPCYVFHGFGAFAMLCIEHLFIHRTHEAVASEDEFFSVPALPPPLVCRLTSKQLPPYFMPPNSVGGKALQGIRDFDVAADGIVVNTFEELECGSVELLAEATGKKVLAVGPVSLCPRSPSPGLDPRESMTDDARRCMAWLDGKEAESVVYVSFGSGGRMQPAQLMQLGMALVSCSSPVLWLIKGADSLPDDVKEWLRENTDGDGAANSKCLVVRGWAPQVDILAHPAVGGFMTHCGWGSTLEAVAAGVPMATWPFFAEQFVNEQLIVDALGIGVSVGVTKPTENVLTASNAGGSGGEAEPEVGMEQVKKALEMLMDRGPKGEQRRKKVQELKLKANGALEKGGSAPQGILSSPMQLDMAGGGALHKGLGFGKEARGQQAGPRGGGGCGGVEAGGAGQLVGRGDDDGHDGRGPWNGATECGRLPVIVDGPRSDVTVGPGCRGGGGGKRKAPSLASPDWRAQQGARREQKGNFARMSPRRLGKGTKPVRCLPILARARRQRAEKRKQARPLYLEVKERDMEGTTRPHLVLIPWQGGVSHIIPMTDIGCLLASHGAAVTIITTPANAPLVQSRVDGATPRGAGVTVTAIPFPAAEAGLPEGSERLDLLRSPADVPPFFAANKRFGEAVARHCSSLPCRPSCIVAGMCHPWSLGLARDLGVPCYIFHGFGAFALLCIEHLFEHRPHEAVACPDELFDIPALPPFECRVSRRQLPPHFAPTTSMGGGPPQEMRGFDAAVDGVVVNTFEELEHGSAALLAAARGQKVLAVGPVSLSHSPGLDPQAMPSDDARRCVAWLDTKAPRSVVYVSFGSAGCMPPAQLLQLGMALVSCPWPVLWVVKGADSRPDGVKKWMSENTDADGVADSKCLVVRGWAPQVAILAHPAVGGFLTHCGWGSTLEAVAAGVPVATWPLFAEQFINERLIVDVLGVGVSVGVKRPTENILTARETDEGSKAEVEAEVGMEQVTKALERLMDQGAEGEERRKKAQELKLKAKGIQETEATHTQCTKPHFVVIPWPATSHMIPIVDIACLLAAHGAAVTVITTHASAQLVHGRVERAGQGSSDAITVTAIPFPAAEAGLPDGCERLDHTPSVDLVPNFFDATTRFGDAVAHHLTATTRPPASCIIAGMCNTWAHGLARELGAPCLIFHGFGAFALLCCEYLNTKKPHEAVASMDELFDVPVLPPPFEIRFARRQLPLQFLPSCSIPEIRLRELREFEMAVDGIVVNSFDELEHGSASRLASATGNKAVFAVGPVSLCGATSQLLDSDDARRCMAWLDAKKEDRSVLYVSFGSAGRMPPEQLMQLGLALVSCPWPVLWVIKGADSLPGHVSKWLQDNTDAEDGQPESQCLTVAILEHPAVGGFLTHCGWGSTLESVAAGVPMATWPFTAEQFLNEKLIVDVLRIGVSVGVTKPTDGVLTGGKNGGGEKADVGTEQVRRVLDMLMDGGVDGEARKTKAQELKPHFVVIPWPSISHMIPIVDIACLLAAHGAPVTLITTPASAAVPTCCSVPERRLRELREFEMAVDGIVVNSFDDLEHGSATGKAVFAVGPVSLCVSPSVLDGARAGSDDTRRCMAWLDAKEDESVLYVCFGSAGRMPPAQLMQLGLALVSCSWPVLWAIKGADSLPDDIYKGLHHNTDDGDGFPEGQCLVVRGWAPQVAILEHPAVGGFLTHCGWGSTLESVAAGQFLNEKLIVDVLGIGVSIGATTPTKSVLSGSKDCGFGQAKPEVGTEQVKRADGTNERKAQELKSKAKAALEKGGSSDMNLEKLVHFAA >Dexi3A01G0032040.1:cds pep primary_assembly:Fonio_CM05836:3A:36702824:36706464:1 gene:Dexi3A01G0032040 transcript:Dexi3A01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGQKLDYAAAGGNGAGGVGIGVLSLDLLGQVLDRLREPRDRKACRLVSRAFERAEATHRRALRVLRREPLPRLLRAFPSLERLDLSACASLDDASLSAAIAAAGAGGLAGLRRVCLARASGVGWRGLEALVAACPRLEAVDLSHCVGAGDREVAALAAAAGLRELRLDKCLAVTDMGLAKVVVGCPRLEKLSVKWCREISDIGIDLLAKKCPELRSLNISYLKVGNGSLRSISTLEKLEELAMVGCSCIDDEGLELLSKGSDSLQSVDVSRCDHVTYQGLASLIDGRKFLQKLHVADCLHEIGQRFVSKLATLKETLTALKLDGLEVSDSLLQAIGEGCNKLVEIGLSKCSGVTDEGISSLVARCTDLRTIDLTCCNLITNNALDSIADNCKMLECLRLESCSLINEKGLERIANCSPNLKEIDLTDCGVNDAALQHLAKCSELRTLKLGLCSSISDKGIAFISSNCGKLVELDLYRCNSITDDGLAALVNGCKKIKLLNLCYCNKITDSGLGHLGSLEELTNLELRCLVRITGIGISSIATGCKSLIELDLKRCYSVDDAGLGALARNAFNLRQLTISYCQVTGLGLCHLLSSLRCLQDIKMVHLSWVSIEGFEIALRAACGRLKKLKMLNGLKTVLSPELLQMLHACGCRIRWVDKPLVYKDC >Dexi1A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:1A:13883672:13884587:1 gene:Dexi1A01G0012740 transcript:Dexi1A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQPVLPFLLLLLLPAAGRPCPGGRTRVFILAGQSNMSGRGGATNGTWDGVVPPECAPSPRISRFSPALRWEEAREPLHAGIDVGNVLGVGPGMPFAHAVLAAAGVGPAAVGLVPCAQGGTPLANWTRGTELYERMVTRARAALDGCGGGAELAAVLWYQGETDAMSREDAELYQGRMETLVRDVRRDLGRPDLLVIEVGIATAQYNGKYLSEVREAQKAVTRTVPNVRYVDAMGLPIASDKTHLTTEAQVQLGNMLAKSYLETL >Dexi4B01G0022350.1:cds pep primary_assembly:Fonio_CM05836:4B:23983730:23984820:1 gene:Dexi4B01G0022350 transcript:Dexi4B01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVTEAWALAGCGAASKAAAAQELPVQQHLPAAGGKAKKAVSFRGVSSSSTGGQDRREAAAVIGRRSGLASCVLAALAASFSPLAADRPARALVLEEDDDIELLERVKEDRKKRLEKQGVISSSGTETGYLQDLIYKLSKVGQAIDRDDLPAASSVLGPSSDAKWVQNINAAFSKASFSSSPEERSMVDSFNASLASLFTSVNKLDAESSKSAFVSSATALEKWIALSGLSGQLKGY >Dexi2A01G0031790.1:cds pep primary_assembly:Fonio_CM05836:2A:42499769:42505312:1 gene:Dexi2A01G0031790 transcript:Dexi2A01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGREVVVVRALLAVAVVAGQLLLSAGAEADGGGLHRRSLHQPFFPIGPTPPPGADDSIVPPPPPPDSSSAAAASKGGGRSSPSVTNAVAIALATGLVALAVACYSCYLLWRRRSDGGGGDGDGGSDGLRAAKPARAGAAAVRVASDLGSSARYQRSPPPSSTASDGIYLDPLTTMVEVSRHRPQSPDLRPLALVKPPSPDLQPLPPLKRPAPRPPPPPASTPPMTTTGNSSDEDDQATFYTAPKTAKSWFSRSTSQRSTMEPTAPQPPPPPPAPTPPPPPQANPPRPARPPPPPPPPRQRLLRPMPTESPPPAVLASLALTNSSDPEASVQDRGGENPDVYGGRARPPKPPSLKPLHWDKLRAISGRTTVWDQVNNSDSFRVDEAAMESLFPSNTGGAGNSDQPARKGGSGKQESRLLDPKRLQNVAIVLKALNVTSDDVIGALMHGKGDLGSEFYETLAKMAPTKEEELKLKGYSGDQSKLDPAERFLKGVLDVPFAFKRVDALLYRANFDTEVDYLKNSFGTLEAACADLRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGKTTVLHFVVQEIIRSEGFSSDQTTAVNPGSTSKEQFKKDGLKLLTELSSELSNVKRASTLEMDTLIGNVSRLETELEKVKLVMQLKETCPDPGSSEKFFEAMDAFLGRSLVEIESVKTAGESAQRRVKETTEYFHGDATKEEPHPLRIFMVVRDFLSTLDRVCRDVGRTPERVMMGSGKSFRVSAGTSSVPPHRYEQRREPSSSDEDSSSS >Dexi1A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:1A:3982488:3982925:-1 gene:Dexi1A01G0005450 transcript:Dexi1A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNRFYCPSVNVERLWSMVPADKAAEAGADKAPLVDVTQFGYFKVLGKGMLPPKPIVVKAKLISKVAEKKIKAAGGAVVLTA >Dexi5A01G0031300.1:cds pep primary_assembly:Fonio_CM05836:5A:34043760:34044107:1 gene:Dexi5A01G0031300 transcript:Dexi5A01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLGPLTKRCHISEVAAEIDRILRPGRWFVLQDTTEVIGKMDPVLRSLHYKTAVIKQHFLVATKGFWRPGSTGSQS >Dexi9B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:9B:1438006:1440696:1 gene:Dexi9B01G0002490 transcript:Dexi9B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDIPPCGHGVMPGSPEQTRKGKGIVATVEKNASALVDVDGAMAWLWLAAAGALWAVPGRNCGILDDEERLAVILALRHTRFEKVDPDETNILYSKKQKRLLKQGTAGDLSNLSSFLISARKQIRVDSVAYQATIPEWVGPPGSEQSLAEYKNDNLQRMGTMVKLPPIIEPMKTRKTAKDKNAVDDKCKCSLPGSEACVRVHVKEACKGLWYQLGGKASKNLGLDAMGERVLKLWTAEDKEKLADIEKLVPQDSHEDFMEIALKKFKSERTMDLASYYYNIFLPKRLASLNRAEATNTKIIVDNEGNNQDEKNNVHRSEEESKGSGSSSRR >Dexi6B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:6B:800497:802091:1 gene:Dexi6B01G0000940 transcript:Dexi6B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDAAPPPEVVAPEEHPAAADSAAEEVAPAAAASEVDNKRKLEEVAADAEANGDGEDAKRPRLDAEPDAAAGVEQQNDGSSVNVEEPAAVEDGNVAPTEGVPDGVNGTVVASEEKPLELAPEAAAEAPPQEGDAADALQETSRKIEVPNSKVGVLIGKAGETIRNLQTSSGAKIQITKDVDADSNALTRSVELVGTLASVDKAEQLIKSVIAEAEAGGSPALIARGFGSGQSGSEQFEMTVPDNKVGLIIGKGGETIKGLQTKSGARIQLIPQHPPEGVTLTERTVRLTGNKKQIEVAKDLIKQAMSQVM >Dexi8B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:8B:27985956:27989472:1 gene:Dexi8B01G0016840 transcript:Dexi8B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPEDEPPFSPSDFLDLPPTPCLEEGNDDFVLPFIARVLMEDEDIDDDHPALLKVQQPFAEILSAGSAFAANDATWPYDPVELSQMLLLSNSRTQPPPGMGAVGQHGATLPDGNNRVTMEMLNQAFLKGMEEANKFLPKSNNSFLTDTSIDRLSMSQQPANDRRGRKKSHDIDWDHVLEAETGRNSKLMVPDIEEASEMVDEFIQNGYQSLLDRMMDMNISMDRETEKNARKKEKGSANEVVDLRTLLIHCAQAMATGKRHAATELIREMKQRSSPRGDATQRLAHCFTQGLEARLAGTGSQVYESLMSSRVSAVEFHKAYQLYMAVCCFQIMAFKFSNITICKAIAGRKKVHIVDYGEHYGFQWPTLLGFLAKREGGAPEVRITGIDFPQPGFRPAARVEQTGRRLSNFARQCGIPFKFSSIVAKWETIGVDDLNIEPDEVLIVNGLFHFGTLIDEGGDIDSPSPRDMVLKNIQRMRPDVFILCIENSSYNAPFFVTRFREALFYYSAMFDMMDAIAPRDDTDRMLVEQELFRWCALNAIACEGTDRVERPETYRQWQVRNDRAGLRQLPLEPDVVKAIKKKVKDGYHKDFFIDVDQQWLLQGWKGRTLYAMPVGYEHQHGQRDREERQEKEEHQRGCGPTHLAHPLRTSRATGDRHAATELIREIKQRSSPRGDATQRLAHCFTQGLEARLAGTGSQVYESLMSRRVSAVEFHKAYQLYMAVCCFQMMAFKFSNITVCKAIAGRKKVHIVDYGEHYGFQWPTLLGFLAKREGGAPEVRITGIDFPQPEFRPAARVEQTGRRLSNFAWQCGIPFKLSSIVAKWETIGVDDLNIEPDEVLIINDLFHFGTLIDEGGDIDSPSPRDMVLKNIQRMRPDVFILCIENSSYNAPFFVTRFREALFYYSAMLDMMDAIAPRDDTERMLVEQELFGRCALNAIACEGTDRVERPETYRQWQVRNDRAGLRQLPLEPDVVKAVMKKVKDGYHKDFFIDVDQQWLLQGWKGRTLYAMSTWVANDDAALL >Dexi9B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:9B:7271840:7276369:1 gene:Dexi9B01G0011440 transcript:Dexi9B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTIDNSARTSKAVQKELRSRLVGSFPCAQFAIHVIIRVSLRIWDPRSATPEHPLPSLTSCPEPALDMPPHLTPPPGSARFLDTDRAASRAPATATRSHHFFLASQLQYLES >Dexi8B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:8B:16185193:16192333:1 gene:Dexi8B01G0009260 transcript:Dexi8B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGAEGELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHVVTEDDLNANFLIPPDESIYDGRSRAEVCCDSLKDFNPMVRVYVEKGDPSLINGEFLDKFDIIVVSGASLKTKLFINENCRKRSKRIAFYAIDCKDSCGEIFVDLQKHSYIQKKRGGETEQQELTYPSLQEAISVPWSNLPRKTTKLYFAMRVLENYELSEGRSPGETTLSDIPAVLALRKDMCDKMSMNESQIPTALMERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVIEDIPPPPAN >Dexi2A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:2A:31812329:31816301:1 gene:Dexi2A01G0019620 transcript:Dexi2A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWCYKCGRARRIISYPSTDVFCSHCFGRFLHEIDASPRPAFPPPHFLPHPFHSQHHQFDGHARRWVIYGSDPSSAARSVSPSRPCPLHRHRHGGAFPPRRLGREENGHNLLERKVESRPNSAERKIYKAAEIAERFIQSIDNRVLVDTGAPIESVKEAVSKFGGILDWKERRKNVQNELDKALEDTPDYQRRAEVAEVEKNQVLMELNSTRRTIEGLKLNLESAETEAIQAQQDSELVNIRFREIQQGIACRESAATKAEIDLAKYRHANALAELQSVKDEIEQLQKEHTSLKTKRDNAETKACESSAASQEIEKTVDDLTLELIALKQLLTSSQATHIIAEEQKLNFALAYQQEKEKWQNELKQVDEEVQKVRDAASINKDLKSKLEAASTLLVKLQEEFSNYLKGEEWPQGGSLDGEGGRQMISARVKLAKSRKELEDMRADIKKAKDEVRILWNAAATLRADIERQEADLGALRHKEHLSSVSVSSIQEELSNKAYELNIVHERTKAAEMPAELQQATKVMEQANSKAQMARHEVAKAREEADQAKAQVNVVKLRLEAVSREILAVNTSEEIATNSANALQEYKQETPIDPQADRVSDNYVTISLEEYDALSKKAQDAEGLDKKRVIKAVEKIKQAKDAEVRSLNQLEQLAKKMNERKLELRAAQEKANSAQYGKLTMENELRKRRAKHEQQRDAGESAHSISDIADLKSTSWSFDAAASSSNPQMVGSLSRAETIAATRVKEPKPRKSLFPRSIVAMFVSRTKKTH >Dexi3B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:3B:1601483:1602402:-1 gene:Dexi3B01G0002290 transcript:Dexi3B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHEQWPAGDDVLPGYRFKPKARELIHHYLNPWVTRSPTSQAPPFGEAERIVCAADVYSTDPGTLTSRLSHFGHDDGNWYFLCVARWKDGNVGTRMSRAVSGGGTWHGSGKRIAVPRHGYRQTFEYRHAGGGKSAWLMEEFGTNLPEATGDDGVKVICRVHRTPKAAAAADDADDEQRREATGANKRPRRATSQEQHGFVAGDYWTTVMAPATADVGCSYASISGDAPPDTETAGCSGTGWQQPVMGMEQGLGYQCLGVNGGGLEFKEETEPLETILDPDKAWQELVDMDE >Dexi5A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:5A:25081059:25081823:-1 gene:Dexi5A01G0021200 transcript:Dexi5A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEASGGAVAPSVAWRVVRAVELLFAAVGLYGFFLQLPLASAAASSVLRLAAAFSVQQYTFLLGNAIVIALFALFRRRDDDDEEASPSSPSPLHISWWWPSDGDAQADKYLPLPGPPSLMPPPPPAAITDEAGEKEIPAVFEDKEAVHVTKVRAAQPPRRSKSEKTTTSNSGAAARRRRAEPELRRGESENGRQWLVAAAEATPVESGMEVEAFQRLIEEFIEKKKTGFHLEESAAAAKAAAAGGAVVVVK >Dexi8A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:8A:1631188:1636941:-1 gene:Dexi8A01G0002480 transcript:Dexi8A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTEATGRARRLAHLGWALCECAADIEAGSMEKAAHRLSQAVGLKAATGDGPLPRLAVPAVDCLARRLIRGMVPAVADALIDPSDHLDRRCAMAARRSFFDLSPFPKAAVAVSNRVILEAMENEKNVHVIDFAGPAAQPCQWIQLLREFKSRPEGPPHLRLTIVYDDGEFLATVSESLVDEADELDVPFQVHCVAAQIEALDFNDLHGVLGLKSGEARALLCTQQLHRLLAAAEDDGGGARSFSAAGCNFNKQMANTARLQQMASTSSCSPSIGGACEDDDESAYRSPATPLSFISPPLTTPPPQFEMPPPALASFLSAARTTISPKVAVLVEQEAIHNGVSFRKRFAEALHYYGAVFDSLDAAATAYGRPDAERAEVERAVVGEEIRDVLVREGPRRRERHDRLHQWGFRMEVAGFRRVPLSYMAIREGDDMVRRCGLRGCENKQHGGCLILCWRSLPLYSVSAWRPDRGAAADGIEVQNRMFRRPAGHMCLHVMYRPLMQDQGTADHLAKLGPILYACAAHVIEGSFEKTDICLRQIKRLASIVDGPLQRLSLITADSLARRLLCPIQGFAGALIHPSDYFEQPILQTARCNHAELSPYISTGFVTINRAILEAVEDEKVVHIIDLSCSTSHPRQWLNLLRDFHGRKGGPPEVRLTVVHDDKDFLATMRRLLSEEADMLNIPFQFNSVIGRLETLDFCNLHDTLNVKYGEAIAISCSLQMHRLLVVDDNMSYSGIGQLQKMANIARLKQMASSGYSPVSTLSPQCQTPKLLASFLNAIRALKPNIMLVMEQDADHNALLFCDRFVEALNYYAAMFDSFHAVAAGNPRRADERTRVERMILGEEIKNILVCEGIHRHERHERLRQWATHMDRSGFEHVPLSFDAMRKARQNLMSFGLDERNGKVENDCLLLCWGSTHLYSISAWRPHQGSTSGSRDHMDVQPQAIKHLRSL >Dexi1A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:1A:3010984:3013753:-1 gene:Dexi1A01G0004110 transcript:Dexi1A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASAAAMANSDEEDTYEEYIPVAKRRAMEAERLRQLRLSKPAPPSAAGADLPLPPPPPLLPAQPSAPDAAAKPSLLVKATQLKRSAPEVTATEQRIQQEREVIENLSDKKSLLSVREIAKGIVYTEPIKTGWKPPLRLRRMPLAKANELRRKWHIIVDGDDVPPPARDFRDLRLPEPMLRKLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMLMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLLPLKEAGYPEIRPLLCIGGIDMRTQLDVLKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIKEVFDHFKDQRQTLLFSATMPQKIQNFAKNALVKPVTVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIDSFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEEIIAKESGVKGCAYCGGLGHRVGDCPKLEHQKSMAIAGSRKDYFGGGGYRGEI >Dexi1B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:1B:19821381:19822644:-1 gene:Dexi1B01G0013840 transcript:Dexi1B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSKRWMSFLESKELIAVRKEVGKLEESVYILTPEAGTKGSHWEILECSGQTQSPLPRMPGLTKAGFGVVVIGGKLFVIAGYAADHGKEYVSDEVYQYDSCLNSVEVYDPEQNRWTLIESLRRPRWGCFGCSIEGKLYVMGGRSSFTIGNSRFVDVYNPTNHAWGEVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKIPVPLTGSSSTRFSLGIHDDKLLLFPLEEDPGYQTLMYDPAAPTGSEWCTSKLKPSGSCLCSVTIKA >Dexi9A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:9A:7232190:7239169:-1 gene:Dexi9A01G0011520 transcript:Dexi9A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAQAGAASDRDRSPPPPPPPPPQSSAAAAISSPLAVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASPDEKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKEAEVSLLMDEVERAQARLVSLEREKESAIMELKKELQERPTQKLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKTSLLEEAEKRIVELTSKVEEQQKLILKLEDDILKGYNSTDRRASLLNDWDLQENGSSEASEGTDPRHVSPDQDQNSMLKVICNQRDRFRARLRETEEELRKLKERYEMLTVELEKTKADNVQLYGKIRYVQDYSHDKIVSRGPKKYAEDIESGSSDVEAKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKCA >Dexi5B01G0037530.1:cds pep primary_assembly:Fonio_CM05836:5B:37009371:37010678:-1 gene:Dexi5B01G0037530 transcript:Dexi5B01G0037530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVTTKRAETTCSDGGGGMAALPVELLHEIFRRVGSLKDLFLFAVTCRRWLRLFTDPAFLIGLCSGHQGHRARLLGFFFRPTRLVHCDRMLRMRNEQRTSVSPPTFRPSPWSPLGPTDIPLTSFIADDGGAFNYAEPLAARRGFVLVQLVPPTFNLERIRDDTVPLLVAVFNPITGERHVPPAACVGRHAVHGCAIVTTADDGDLDGKASPTFSQLLLITRRTHAKGQWQLRSYTAATCSWSTRTLLPDDAGGGGGVGGSAVVHQGAAHWLFMHYNASTRDYDKVYKLSVELGTASVSMAKLPVRVEGTPLLCVGRDGQLMVAGVYPFHVTVWTQQQDGDAAAWLRTQVIPAPAMAVGDLRQQEVWYEFGKGTLIVLDRGGGVFILDLEKKVMEKAMDCYPSLPTSDGRISYIPYEMDLVDFFVSRLGGLG >Dexi2A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:2A:9118077:9118638:1 gene:Dexi2A01G0009100 transcript:Dexi2A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQALWFAWHTLILGTLLWVILIGLVFALIIQSLSANLGVVTGCHLAELCKTEYPTWVRICLWLLAELAVIAADIPEG >Dexi1A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:1A:28168937:28171713:-1 gene:Dexi1A01G0021390 transcript:Dexi1A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSGGGALINEVLTDDELRAVLTRLGPEAERDAFGLVCRRWLRIQSSERRRLRARAGPDMLRRLAARFSGALELDLSQSLSRSFYPGVIDDDLDVIAGSFRNLRVLSLQNCKGISDVGVAKLGDGLLSLHTLDVSRCIKLSDKGCNSITDAGISALADGCHHIKLLDISKCNKVGDPGVRKIAEASSSRLLSIKLLDCSKVGDESIFSLAKWCRNLETLVIGGCRNISDASIQALALACYNSLRSLRMDWCLKLTDTSLRSLLSNCKHLVAIDVGCCGQITDIAFLDVEGNGFQSELKVLKISSCVQLTVAGVSSALKSFKALEYLDVRSCPLVTRDICEQAGVQFPVGCKVNFYGSLLESDPSAERFF >Dexi3A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:3A:2738669:2743128:-1 gene:Dexi3A01G0004160 transcript:Dexi3A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRAGWLWRRKSSDKSPGGSDSLVSVSLHSEQCPDDQVQHHALILYHLHLFVSSGKHGQSVEPLVSSDVRDEEIKETMKSLNEKLSSALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCEKNASLQDQVSHLDEALKECVRQLRLVREEQEDKIREIISKKSQVPNSENSELQNHIAELKKRLEVTRSEASSSMLLQHQLQEKLQTIEKENLNLKAKLHSIDKENADLKAKLLVQSKDLKILMLERDLSNQAAETASKQHLESVKKIARLEAECRRLQHLSQKTTLINDSRPTQNNGCMESLTDSHSDHGEHMVEVDNDLRNSDSWASALIAELDQFNNGKDGSRNLVNNPVEIDIMDDFLEMERIAALPESDRTSSNFEMETDSDKAVARSISLKVETEELQNQVTDLQEKFNAIESEKRELEMALMEVRNQLDISCDALVAAKNRLVEMQMQLDSANDSKLSALEDVERLGSERKALELELQSKSVEVEELRVAVASLEENAGQKELESQLELMSAQAAELRLTVASLEERVCAEKDLSLQQKEKEEAVLNAKEELEAQLCSANTELGTLHDIIKALENEVKKEKALREELTTQLQVKMEAAVDAVKESLEAQLSSAITESEKLQDVVKELENEIEKEKALHEELAAQIEMKTEAARTAEAVKESLEAKLCGANAEIQKLHGITKALQSELEKEKALYEELSAQLEMKIEAERTRSVESAKESLEEQLELVTSEAAHLRDMVTALEHDVEKEKVFSTELQMQLEALEAVKKVLESEAECALQDARNLNQKVESLEAKLKEQMSSAVEFTVKTEALQSERMAMEHKLKTADRELIKLTNKVSLLHREIEHERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGNLKVRKEKDLANAAGKLAECQKTIASLEHQLKSLTDLDTVVLEPERLECSRDMPLPLDFRNGDAEFTMFTDDFYDFELPNSNTSCFSPLPSIQPSSPPSEMSVFAGGLSTLSNYRNKRATRRC >Dexi5A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:5A:4347614:4348885:1 gene:Dexi5A01G0005840 transcript:Dexi5A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISERETTTRAPAQESNSAISQQSLQRCNLHSHSLPNVVGDRCIMSGDATPAPHVVEDFFGVVRLLSDGSVLRGDESILMPVGPFPDIPGVQWKDAVYDAARGLRVRLYRPSSSPVAAGEEGSKLPVLVYFHGGGYCIGANDQPMFHSICQRFAAELPAVVLSVQYRLAPEHRLPAAIDDAATFFSWLRAQAAALGAGGAEPWLAESADFSSTFVSGVSAGANLAHHAVVQIAAGQIALAPAVRVAGYVLFSAFFGSVERTATESGSPACASTTAAIDQLWPMVLPVGTTRDHPLANPFGPESPGMETLPLPPALVVVPGLDTVRGHMYRYAARLEEMGKAVELAEFAGEQHGFSVRQWGEANEELVRILKRFVHQGPGAAPNRGEAKV >Dexi2B01G0027760.1:cds pep primary_assembly:Fonio_CM05836:2B:36559994:36563544:1 gene:Dexi2B01G0027760 transcript:Dexi2B01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVVGRRFVLTPGHMCGTQGRYEAGSAYEANLRRLAVTIAAEVTASAYCYWRLGVSSPDYGACVALAFREAQRLCPYHRQAVAVIDGGACSVRPSASTTCSEENRSPAKGRSTTARSLQSSTPGHSHEFSFVLSFSATLYFYSLK >Dexi2B01G0033420.1:cds pep primary_assembly:Fonio_CM05836:2B:40977169:40980149:1 gene:Dexi2B01G0033420 transcript:Dexi2B01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHPRITGGRRQGPLPLTLKRALLAAITVATAISILCFLSFTDTVSFTDTLSFLGFPPRDVDKREGNRRYLYWGSRVDCPGKHCGSCVGLGHQESSLRCALEEALFLDRILVMPSKMCLSSVHNARGTLYTSNATSEQRWETGSCAMESLYDLDLMSRTVPVILDNPRSWFMECKDRTKRSSVMLPYTFLPTMATKKLRDAANKMKEILGDYDAIRVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIARWIKPGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILDPIIQNNYQLFMLERLIMQGAKTFVKTMKESDKDLALCDDPKKNTKDWQEPVYTDD >DexiUA01G0016790.1:cds pep primary_assembly:Fonio_CM05836:UA:35917044:35918857:1 gene:DexiUA01G0016790 transcript:DexiUA01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPEFYKYLEEHDKDLLGFDDDEIKDDEETGISDDGEPVSKDEQKQVVKPIAMEMFDSWCDGVENEKIGPASYLVHVYKNTALCRYMKCSLPNRPKGRGLQSFLKICCYSAPESTGQDGALDETSVIVGAESSTFSRRLTEAQKQQDEPDDDEGTIAFSKNFPTEKKPKTTKEKNKKRPRDHDAAATEEDLVEDLVLSSDDEDTDNQGSDEDDSVPVEDDSDEDFVDPDSEYKKQKKAELKNRNMRPPLSNNKTKRKARPKKKTKH >DexiUA01G0005480.1:cds pep primary_assembly:Fonio_CM05836:UA:9745028:9745401:-1 gene:DexiUA01G0005480 transcript:DexiUA01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSTAAAADAPEHAGDGAAAGKREKGRGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRASGDRVAVKRIDKNKGRAAV >Dexi5A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:5A:12923190:12927507:1 gene:Dexi5A01G0015350 transcript:Dexi5A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPSETAEPEVRAGAEAEQQHPSALEMKFEALPQLVQGLSSDDSSLQLEAIKELRELLSIELNPPIQEVVNSGAVPFFVQMLTRDDCAQIQFEAAQALTVIVKGTSENTKVVVDQGAVPNFVKLLSSPSEDIRHEARKTTNYWIFHEIVPAHDVLLPLLQLLKGHPRLSLLRDCTWTLRVICRGNPQLSFEHVKSALHVLGQLIDSQDEAVLSDACSAISFMFDGCDDSKKIQALIDVKVCPRLVELLTHSSPTVVYPALSVVSRIANGNAAQIQCIIDHQALPCLLNILVKDEDADEDKVRVCSIIARMTNTNEEQFRNLTLLGTNNAVIDGNIIGPLVHLMQAAAYDVKKEAAFAIANATDVGTPDQIEYLVSQGCIKAFCGLLRYSETDILAACLDGLANILGEGEEEDDFNPYAQMIEDAGDFYEIDDLKNHHDDTINGKAGPVNLIRPSSVLFKRFDQFCCSP >Dexi6B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:6B:8114788:8115552:1 gene:Dexi6B01G0007020 transcript:Dexi6B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLQSSPYAPSLIASSFIVVVPPRPAKEGNLHGADLLCRLAAEKESRAEGEWESNKLKPNQRQIEGKSRNRSHNGERASGGAHGTHHRRAAVEEHQHHHQPRTGAARTTAATTEMEACSPLPPRPPPPTRLPILAAAPASPRPLHPKLGRRPTSCSGSPRASSGSSTSIFACCGISLRSARIAQLATTPSDLHLLFKLIHLASRATAASAERAGSRSPVLLDPWMRRPEHRGREMEMANGEWITSPEERDA >Dexi4B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:4B:8173307:8173983:1 gene:Dexi4B01G0010800 transcript:Dexi4B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGTTTTTEVWQWQCAVCRGDWKMMRQVTTSCPHGHGCRCRCCHGTRPLSVRNHPPPPHHHHHHHHRRHLHQDEGDIWALPAAEGSNTGGREITALQSPAPPAVAVAQACWVPDPYLMVQQLREFEPLNHEVVALRVQLQEYAWEIERSIKRDDAGTDWFLALPADVRDVLVMARDAIESFIAISATAPAN >Dexi9B01G0028570.1:cds pep primary_assembly:Fonio_CM05836:9B:31173559:31175014:1 gene:Dexi9B01G0028570 transcript:Dexi9B01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPSKGRFGFSPSSTAEEVTAGVDGRGLVAIITGASSGIGLETARVLALRGVHVVMAVRNVPAGRSAREGIVSKIPGARVNVLELDLSSMASVRRFASEFDALNLPLNILISNTIINGFMAYCESKLANILHSTELSRILKL >Dexi6A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:6A:26428097:26428312:-1 gene:Dexi6A01G0018720 transcript:Dexi6A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSASAVFEFSVNYDQAKQPGHRQGVYSDAISTGGRMIGYYASNMTEHICLTIRRSRGGMGRAGHEPT >Dexi5B01G0024120.1:cds pep primary_assembly:Fonio_CM05836:5B:26230566:26234309:-1 gene:Dexi5B01G0024120 transcript:Dexi5B01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLPCLPVFAFLSLVFLIVHVPASHGSPDSLPTTYDSSMCPESFLCGSVHVHYPFYLSNGTRYTANYTRYSCGYTDLEIFCQGEGPTGIPIIRFRGDNYTVKNIFYEEKTIVLADSNVLGPVKCPVVSHEVSFDELWLRLNPGSNDNLTFYFGCKSLDRVPPGLDTYQIDCNGFKSPFGDGPTFVFTPDDLDKAHEQELVMLCYNFSVPVSGEALAARNRTNITHGGYGEVLKQGFELVWLSNSTYDECLRCEQSGGKCAYNEYREFLGCLCSKGKVVQQHPFCTNDTGGYQPKRRKEQKETTSSTYLSSHGSRATPPLLLAVLVAACRGDDYSGDAYNISICQAQPYRCGKVEIRYPFYLSGVTGDVRNHSNSCCGYPGLAIACEDGREPTLRLNDTDYNVTGIDYSNHIISLVDPDVLEDESCPRVDHNVTVPSYSWLNYTEDTIGYLLFFANCSIFTLPNQSDIKPIECASSDGGREYSFVIPLNVPHLILLEQCQQVTLVPVLQSALEQGSTDGYRNTLTQGFQLEWELGRRSNSCVKCDNSNGRCAYNQDGVYLGCLCANGRVNDQGCLKVLQDV >Dexi5B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:5B:373038:376262:1 gene:Dexi5B01G0000590 transcript:Dexi5B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKLLQLFCVSQKDSKKKGAVAIPGYRKTNSLVELPIANPSIHFGKATKDWVKAIQSEWNLLQKNLPESIYVRVYEDRIDLLRAAIVGPPGTPYHDGLFFFDVRFTSEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDRPYFNEPGYKNSAKTTAGEKNSLAYNQTAFVLSCKTMWYSLRKPPKHFETLVACHFHEREGAILDACSAYMSGAVVGSSAGSETRYACDKSFADFKKSLTLYTEHLRTEFAANRSRVLELDRQASAVGEIVPTS >Dexi3A01G0022430.1:cds pep primary_assembly:Fonio_CM05836:3A:18092215:18092469:-1 gene:Dexi3A01G0022430 transcript:Dexi3A01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVKIAFSYQFHRTKRGTVVQDATGEVDGKVGRSSGSTSGSTTAEGGGWSLEVDWCRIRPPRVLARGFLRRDGGFGEVFGV >Dexi2A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:2A:27141841:27143625:1 gene:Dexi2A01G0015740 transcript:Dexi2A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDLLTRVDAICKKYERYDADKHRGDGDRFSCLYAAVDAEIDDAIEKSARAAKEKNRAASVTLNADVQRTKARLLEEVVKLEKIAATKVKGLSPEEKVLRADLVAALPHRIQAIPDNHDGGATDQNTSWSARPGIKCGLQDEGLDFISEGLDTLKNLAEDMNEVDKANLEMKRTNVRLKQTVNQFRSTRNFTIDIILICIILGIATYLYNILSQ >Dexi7B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:7B:6319917:6322836:1 gene:Dexi7B01G0002880 transcript:Dexi7B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSARRGGYGPLPADGGPSEPSLEAPPRRPVHRRRSREALPPLRPGSAREKGSLVISNDSTLKQTVESEKQEGQGKGIVLVDEPDEMDEDSWSLEEPPGWLPDGWIMEVCHDDNSSIYQYYTSPVSGYTFTSKMEALEYLFSGMEERMLESQESTGDNELHGPCIGLPCGWLIEVRAGGKKMDKMYKFYFHPPTGMRFLSKAEVLHYVNEGKISACDMDVLCDTSTDDNILAHVEFNPDGLPDGWVKETIFRKCNDGIRKDPYYTDPISHRVFRTLKSVLSYIGTGEISKHAYLPRRNVIDMYSFDKCADLVISFLALHIDTVY >Dexi2B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:2B:10202525:10208430:-1 gene:Dexi2B01G0009430 transcript:Dexi2B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLESAGAIGANTLLGCFWVVFCNNYDVPFIVQSFVLQVYTLVGLSGGFLTFFIAMSMLLGRLISSIIKRQWSQQSLKSLASYVVPMIPCLLYCLYYGGFLIQFLIEKTGMMGSLPKPYGYFVADIIVGAAVGLVVDANDVVDSNYGFSVLDANSVEFLLNNAPEAAKWLKDNSEFSFDEKHHSDRSFWVASYPVSFLFSGSLKFQAQTDEIRKHYHNFPQLLVQKTSRNNGQRRVHLNLSLGSLSEIWTAVLNVTGPLSDWSFADNMLPAPETISGGPPSYVCRLSGKSDVDWSFWLEANSSESLRIDVAVLDHYLVDSTKELKTLFPSWADVIAFTSYFSTYYV >Dexi5B01G0022640.1:cds pep primary_assembly:Fonio_CM05836:5B:24901455:24902289:1 gene:Dexi5B01G0022640 transcript:Dexi5B01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEAAPCTCGLLYGSCGGGCSLLFSAAGAGDHHHYYMDAGFGAAGPYGGSVDCTLSLGTPSTRRAEAGARAPAPSGGMHWEAPVPSSCNGGVGRQQETRASAAEANAARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPAAAMASVDGGAEYAAYGYAARQPQQWGCYGPKATSFGMFSDAAGEVVDGPCLPWGLGVMQSSSPAFGAVREMPSLFQYY >Dexi3B01G0023920.1:cds pep primary_assembly:Fonio_CM05836:3B:18659343:18660541:-1 gene:Dexi3B01G0023920 transcript:Dexi3B01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDAVGAPPTTTTTTTESEGTTTDDEHLSPTCVCGGEGGGIGGGGGGGRRIKILCSFGGRIVPRPHDGVLKYVGGETRVLAVPRSIPFRELKKKVEEMFKTEVAAIKYQLLSLAEDLDVLVSVTCDEDLAHMLDEYDRLEAKRSPTASPRFRVYVFAPQPASAAPTAVPASTTTRYAGLSRLHPHHHHHHHQQQHHHFQPERYVGTMPPSPDGSPPFPSQSHGAVSAGNSPRANAVGAVDPPPAFGLGLGMQRVRSTPNLGALDAADGGGVPGYVSGSPSHHLMQNSGSFHHYQHQHQYAPAPAPAPVPVPVAHHGGRYDARGYVRVGNYLAPMVPPARPVSRGGGMAQHNEMNTPKKSAIVWD >Dexi6B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:6B:9887745:9887981:1 gene:Dexi6B01G0007990 transcript:Dexi6B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHDGFQVTVTTKDLQVAGAPVPIVAGGERGYAATAASSPMRWPPLAAQPCKWRDSMTAATSSSPGGSSPRGGKDQE >Dexi1A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:1A:21159042:21159319:1 gene:Dexi1A01G0014500 transcript:Dexi1A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLVSGKDSLPVDLPKPIDNEKPVEVAHANVKPFSVHPLPPMV >Dexi9B01G0031870.1:cds pep primary_assembly:Fonio_CM05836:9B:34184718:34186622:-1 gene:Dexi9B01G0031870 transcript:Dexi9B01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGAPRALSQREQDIQMMLAADVQLGTKNCDFQMERYVFKRRSDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEETKELEEEEAAVAPDYAAVADYGAPAADTWGNDQWGAGEAPAAALTAAPVGAEWGAAPGQLVLFFSSVDLL >Dexi5A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:5A:7216344:7216784:1 gene:Dexi5A01G0009630 transcript:Dexi5A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSSSLGCAAPQGGSAEEHATQEGGTVGRLCHWEMEEAWWDEEVEEGAPEPRRRPPGRTHRWGVDEDAAIPWRLSRRSHRCHAIALLSPCRAPSHPSWSWVGAPEASGVPPEHASRAPSWRPWLPPPSPCRSTMFVAHPRGCVH >Dexi1B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:1B:17098915:17106889:1 gene:Dexi1B01G0012660 transcript:Dexi1B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAEMAPPFAPHATEVAADDWAERDDIDEMPAESHSPALVAAAAEDDAGEAASAPRAQVLAFFSLVQQGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDDPTVGWSKERYDEIEGKMIPFLKSSGYNVKKDVHFLPISGLVGTNMKTRVDKSICSWWDGPCLFEVIDCIEVPLRDPKGPVRIPIMDKYKDMGTVVMGKIESGTIREGDSLLANVKVLTIHCDDDKVRRAAPGGNVRIKLSGIEEDIFPGFVLSSITNPVGAISEFNAQLQILELLYNAIFTAGYKAVLHIHSVVEECEIVELVEEIDLKRKKETDPKKKKPNRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKCSIEVMLTVVPSYNIFAATPMSPE >DexiUA01G0013600.1:cds pep primary_assembly:Fonio_CM05836:UA:28545013:28546247:1 gene:DexiUA01G0013600 transcript:DexiUA01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNGSGKSTLSATLAGREDYEVTHGSVEFNGKDLLEMSVEERAGEGIFMAFQYPVEIPGDLMEEKIKLLKMPEDLLTRSVNVGFSGGEKKRNDILQMAVLEPELCILDETDSGLDIDALKIVADGVNSLRDGKRAFIIVTHYQRILDYIKPDYVHENWKYTPLDGLLNGEFVTRLADISPAQRDALALTVDAVRLVFVDGQFRPELSDSTQDCGFEIAINDDRQSLSTPVQPEVFLHLTESLARSVTHIRVKRNQRPVKPLLLMHITQGADGDEINTAHYRHHLELAEGAEATPGTLPGRV >Dexi9B01G0022010.1:cds pep primary_assembly:Fonio_CM05836:9B:16673080:16673807:-1 gene:Dexi9B01G0022010 transcript:Dexi9B01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVRRPRVLAEIDPHSEWVHGREFDTLVVDVTGFGKDHLKVQVEPSGSLKITGERAVDGSGRQWLHFTKRFDLPSGCCGGDAAAIKVQLDKGMLYIQVPRGLDATPAGGDGSGGSSDDSERYEDAVRGGEDEVAGDGWNVGGRVAAVARRGEQSSLRRLAGGLSRHRQVVLNVVLAVVLLWLVAFGAKNKPGAGGQAD >Dexi5B01G0035070.1:cds pep primary_assembly:Fonio_CM05836:5B:35168556:35169366:1 gene:Dexi5B01G0035070 transcript:Dexi5B01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVIVWLLLVSSSSSCSGTPSDIQCLRGLKESLSDPNHALSSWKLSENTTDGYICEFAGVDCWRPSESKVLSLHLGNMGLRGPFPRALQFCTSMTALDLSGNNISGPVPADINLQLSYTTSLDLSNNSFSGEIPSGIGNMTYLSALNLEHNRFTGRIPELNLPRLTSFSVADNSLSGPIPASLGRFPAEDFAGNAGLCGSPPLDRKCKKHFHARIRAPQASAGFVAGFVVAFYFPHWFVFCGGLRPYIFRVCG >Dexi9A01G0044550.1:cds pep primary_assembly:Fonio_CM05836:9A:48169696:48172818:1 gene:Dexi9A01G0044550 transcript:Dexi9A01G0044550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAEGRRAVCRAAEASPERGRPTYAAAARPAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDKLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSADRFQHLPRVTDRSPAKPMALPHSHKQYVDGYRDEDADDDELAYSYHHRRAASGPHPGARLARLDKPAVVVSARTNRSSRPVELPVEETSPPSSTSSDKPAPAPPSLLQPGGRGDQDLQEPEPSRPGSMLLQLIACGSTLGPTSSGGSGSGKCRAEPRRSCGLVSRLSARAGADEEEEDEDAAGGELGRRFGRLAVNDKAEYFSGSIVEGSGGRGTPLPASSLKRSNSYNEERCSRFGVGAIGEDGSDEQMEGDGGIRGRCIPGRKKQPPLK >Dexi1A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:1A:9431733:9432469:-1 gene:Dexi1A01G0010860 transcript:Dexi1A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAEWCLLAFVVGFFAAVSLGGTALVVYALVALSRTPQRSAGGIAVLSVFLLLWVSFSVKVCGEFIECSRLGDRLAAILRAALACLRGVGRLLCLPCRCARAVRFRLWRPDTGTGRDAATGVQPRPATQSHVMDVLPREAPARGGARVLAVDDIPAYVQRNVKRPGGPSSECAVCLGEVQSGEMVKRLPVCLHVFHQTCIDPWLLSGKSTCPVCRCNVFAPLPPEMK >Dexi2A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:2A:8518448:8523593:-1 gene:Dexi2A01G0008360 transcript:Dexi2A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVFAVTTLTMSLSMDEQTKWVMDGVLECEELWDLPGYEGLPCVPPSCPVVSPANSDIIYFKVSNRCLLSFDGKEKFELANSWTIEEPGVDEVWAPAAGLPTEYASIDIFPDIWSFHPCVPSSSNDGGQHQQQVVYTSYAAATTQHDNPITEKAAAAPPPSQDSLDADLLHDMETEILSNNPIRVFEEATRKFEVDMDMMKMKIHRYPPSIQCIDNEWYTVPTVVAIGPYHHGKSQLKQAEKVKHVASYHCIKNSGRSVQEMYQAVVNVVVEIDARRLYDEDVMEGIGDDKFLPMMFFDACFLVMYMLNVSRKPCDALLRNFLESHEYDIIHDTMLLENQIPWPVVDAVMKYTPVPLAEFVTIWKHGRLQDRIVAKVPTIVLDSSFKPPHLLGLLRFYIVGRRRTRSRDEVHGLEKMKSIAISVSAIELTEMGISLRANETTELADMSLTKEWIYFAKLSMAPLSLNDSRASLLVNMAAHELCTVPDFWDDEAGDEDSAVCSYLLLLCMMMNREDDVQHLRTSGVLEGGAGLSNKQALDFFTGLQSLRIGRCYGCVMAQIESYRMARPLRIKVYAFVYNNWKAIVAVGSVIGVVASILRAIKSLNGHGQ >Dexi5A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:5A:4451225:4452106:-1 gene:Dexi5A01G0005980 transcript:Dexi5A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSTTAAAAALSMKLLIDRKAQKASKDVVDFLFSLLALPVATAVKLVGKDAMVGCVANLYASVEKIDSTYVQPGAAKDALLSPTVLSPAAGSANSSLLRLPESSSVKPKTFYRCSNTSYSACRAYVMDEHGRACPNCKCSMTTVASYLPSPAPGSDGSGQVAAEGLVQGIVTYTVMDDLTVTPMSAISSITLLNNFAVRDLGDLQEKTVQLGYNEGLEILKASLQSKSVLTDVFLAKKSPARGRAA >Dexi3A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:3A:2387607:2388227:-1 gene:Dexi3A01G0003600 transcript:Dexi3A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNGCGCCCACICSCIGSLIGVAFIVLIYWAIFQPHHIRATVESATLTNLTVVSSNAAAVSYNLTVTLSFYNPSLRVNIYYDAMAAELRFRDAVLGPAANSTSPSSEFLQRRKTSDDVRLQFGYGGGVAVAGDVAGELEKEIKAGGPVGLELDVDLRVRYVLRVFKVRQKPRVWCQLSIPVKTEGPGPGVGGAVAPGDRCTVKY >Dexi3B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:3B:1579040:1582616:1 gene:Dexi3B01G0002260 transcript:Dexi3B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNNMRKAKRGGGDLIRSFHKNRKACDPEWVLGHLCDGDSNQDGRSIPFDWVTSDCCRSVVSISLCTGLEHLHVVNLAAIQKLSDNQNLVQEKKGFFACTGYFIDWNESTAILTSASLVRNSGDENKINESLRIEVLLPSMERKEGTLEHYNLHYNVALAGIGGPLITLDGDVLGMNFYDKKIGTPFLSWRHICKILASFEGKRYSSACHTLMLKFAMVVILLVGLSGKCLPSDATDRFNRWPVPMPYWCLPKDKPEVHDDGDVFTVGYIMGTRTMLC >Dexi3B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:3B:8718000:8722436:1 gene:Dexi3B01G0012440 transcript:Dexi3B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSTTPVVSPPPPPPPSSNSHGCIGGGGGIPTVDMSAPGGRGELSRQVARACAEHGFFRAVNHGVPRAHAARLDAATAAFFALAPHAKQRAGLPSPLGYGYRSIGFNGDAGELEYLLVHANPAAVAHRARSIDTDDPSRFSTVVNEYVGAVRQLACDILDLLGEGLGLKDPRSFSKLITDTDSDSLLRINHYPPACSIHKLDHDDQCKMKSIVRTKNGNGLNPSAGARIGFGEHSDPQIISLLRANDVNGLQVLLPNSDGKEVWIQVPADPSAFFVNVGDLLQALTNGKLVSVRHRVIASACKPRLSTIYFAAPPLHTRISAFPETIAADSPRQYRPFTWAEYKKTMYSLRLSHSRLDLFHVAKDENSNVDKADQE >Dexi5A01G0033890.1:cds pep primary_assembly:Fonio_CM05836:5A:36088900:36094096:-1 gene:Dexi5A01G0033890 transcript:Dexi5A01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRAEPPMPLREPAHSPPPSLVHAPGGPPDPGRRESHASVDHHHSRAPFSSSTSSSGASRQRQGSNNLSDSWRDGCDTSKTLNPRHTKLPPPHPRGGGGLNRSSTAIDEPPTLPPQQPAMHKLLPRAASFLDAAAATALLLRCPSMPALRLAGSPLLAARPSANPSRVRSPQWLRCDGARRGLCSAEAARRGGDTEEEREKGGGGRAVPDRRQRGRSDALVGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNAKMVEFLQDSVGIIHKNHAESITLFIKESVDEELKGTDASKLQKNRRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESASGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLQGLHEKHESWLLPSKGGGSGVLSVSQLPVHMERSLPVDIREQVFYLEGDHMHSCIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAQFFEFVKKKKESPSAETTDGDKSINKQIVLPQGGGLWVPGKSPLPESALKSFDFRRTMSSFLST >Dexi8B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:8B:19749427:19751399:1 gene:Dexi8B01G0011090 transcript:Dexi8B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRDGFLGAAAGASLPPAPPSCSFLGSATTTGSGGAQMLSFSSSGAAGLGLSSGASKMQGVLSRVRGPFTPTQWMELEHQALIYKHFAVNAPVPPSLLLPIRRSINPWGSVGTGSLGWAQIRPGSGDAEPGRCRRTDGKKWRCSRDAIGDQKYCERHIKRNCHRSRKHVESRKVTPTIAEPSMAVSGGPSVHSYAVPWQQQAKSSAANMTDPFASESNR >Dexi8B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:8B:665913:666529:-1 gene:Dexi8B01G0000990 transcript:Dexi8B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSSGGCDGGVSQRFMCSSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQWMRGGDGRVGGEMNGRAFLASLSRGFGRITMFGGPAAAEKKRRKKSGGSQWSEGKSRRRHKAASFAYD >Dexi9B01G0039230.1:cds pep primary_assembly:Fonio_CM05836:9B:39993905:39999005:1 gene:Dexi9B01G0039230 transcript:Dexi9B01G0039230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGAMNQLAKNLACEWAKDNIRTNSIAPWYIKTSLVEKVSNFFCTILQDLAEQEFLDSLVRRTQLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTVNGDWAQRVHTDTGREQEQRDGGGGDHGHGDRIIGTVGAPWQDGPRHRRHARHRACGSGGAGGAGGGRAHLPRNEEELGERVKEWEARGFRVTGSVCDLSARDQRERLLREVADRFGGKLHILVNNVGTNIRKPTIEFSAEEYSLLMATNLESTYHLCQLAHPLLKLSGSGSIIFISSIAGVVAIFSGSIYAMTKGAINQLTKNLACEWAKDNIRANSVAPWYIRTTLTEKILANKNFEEQVVSRTPLGRVGEPGEISALVAFLCMPGSTYITGQTISVDGAMNSTEHCMKKATQTGQGAFREMEAHALDMACSTIGIWALLHPKTAFITGGTWGISTQGWGALHMSSNKEAEGWGGGEWFCITVQDQQEHLLCEVAVHFSDKIDNLC >Dexi3A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:3A:666140:666385:-1 gene:Dexi3A01G0000920 transcript:Dexi3A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSNGYLPQSAPSDHPSSLSDHRSAMKEIARGQSLVTQLRAIVLPALQADERCELVAQMFQNILDCSSKAMTELQLHHQ >Dexi9B01G0037800.1:cds pep primary_assembly:Fonio_CM05836:9B:39103807:39105860:-1 gene:Dexi9B01G0037800 transcript:Dexi9B01G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGKRRQVAAPVPAPPGSSKVVAPKPKSIATTRAVRMAKRSPTGNTNFAPQPRQVPLGVFSRPPRRAFGTTKSNNAPVEKPPPPLQKPSKVSPPLPKKPSKMSPPAMQKPSRLPPTTIQKPSKQSPPAVRKPSKLSPPNPIKVTKPSRLAGKPLKKVAPGADVEAKIKKSQRVSFQEAEVGASAPRSGEKVKDYADDAVGHTPMVAIRVTEKPAKVLTAETPFFSAQNCSNCTLDQFESATYWLAQIRMAESIGKHWVAAAFFRLAFECQAQPIHRIRSELRSYVVRHESAGTFTPLFDELLTAHGMPVKQPKFDADGCDNVDTPLATNAVEKDLDTATLKVDECLEFDCGEDLIDVGAIIVNKHDEDTNVMDQLSIQKKLNESFEFDDSEAVIVDQVDEANFDLSKNMCIEVPCSDDIVQSACRPSTEKLSPRVAIVVSDSSSRRLSLVNPSDKLSPNTRSSSSRRLSSGSSFDRKSPLSSKRLISSCPPCKKSSTRDLSSKRIPYSSHSDGKHSVAAGVAYHKCEVYQEVALECPALFDQLESKEPADDAASNEDL >Dexi6A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:6A:23923544:23925764:-1 gene:Dexi6A01G0016050 transcript:Dexi6A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTMPPGASPDEGPEPSVAREMDDEDLVEELLVTVNSARAFAEFRRTQRKECANLLRWLQLVLPLLEELRDAAPRLTDDAYRRLTLLGRALAAARRLLRSCNDGSKIFLALESETVLTKFRNVYEKMHSALDGMPYAELAISDEVKEQVELMNTQLMRCQKRADTQDMELSMDLMVILQNKEDERNADRAILERLAKKLELQTLAELRSETKAIKKIISERNGQQGDSTKQIIDLLNKFKEIAGVDEKNVLGDVSMPKSLNKCPSLMIPNDFLCPITLEIMTDPVIVATGQTYERRSIQKWLDSGERTCPKSRQPLAHLSLAPNYALKNLILQWCEKNMVELQKREPEPAAEQDDNQQRKEDIPSLVEGMSSIHPDVQRKAVKKIRMLSKESPENRSLIADNGGIPALIGLLASPDKKVQENTVTSLLNLSIDDKNKQLITRGGAIPLIIEILRNGSPEAQENSAATLFSLSMLDENKAAIGSLGGLAPLVELLRNGTARGKKDAATAIFNLVLTPQNKARATHAGVVPALLGVIDDKGLGMVDEALSIFLLLSSHATCRAEIETTAFVEKLVRLIKDGTPKNKECALSVLLELGTNSKPLLVHGLRFGLHEDLSKISKNGTSRAQRKANSLIQLARKC >Dexi9A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:9A:2938904:2940631:1 gene:Dexi9A01G0005280 transcript:Dexi9A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKNAVEAFKENDMIFTSEGNFHAKKMQDGFGARPTQPAVVQTRCKWIIGDVTEVFDRNTWKLGKILKMLNNNYFVIRLADCIQPKEFHISSLRIPHGLEAPQIKPFPATNKATGRGNRQPADRALPRARAAQKRKAAADASHHPPSKRAHPRNVVAAAASMADSYLLHSSSQAIEDAECSVASCSVNDQSHLGHGERRRPGAGCLPDDAMSACPRTPGARLEEEDDDVHGLELEAYRSTMRALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLLSS >Dexi5B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:5B:8228440:8229325:-1 gene:Dexi5B01G0011590 transcript:Dexi5B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSQQQQGGENHPPEASATATATATAATGAPHAPSFDDDTGTLLVVATLITALSYQVGTNIPGGYWGDDNDGHVAGDPIMRDKHRRRYWLFMVASWAGFGSSMLLTVALLTQVPTRSLVVRCAFLVSYSSLVLTFVTSQPRTWLAMDIAIWAGVMAALAVVTSSHKSGT >Dexi5A01G0025590.1:cds pep primary_assembly:Fonio_CM05836:5A:29406709:29412226:-1 gene:Dexi5A01G0025590 transcript:Dexi5A01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAEGTGGDRPASIRGMFRFADRVDVVLMALGTLGAIGDGCSTNLLLIFASDVMNALGYGRGAKGGGVDFMHEVEKSCLNFVYLAFAVLIVAFMEGYCWSRTSERQVLRIRHMYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFVSGLAFATYFCWRLALVSFPLVLLLIIPGLIYGKYLLYLSRESRHEYAKANSLVEQALGSIKTVYSFTAEKRIIQKYTAILDKTIKLGIKQGIAKGLAVGFTGLSFAIWAFLAWYGGRLVMHHQASGGRIYAAGISFVLGGLALGMALPELKHFTEASVAATRILDRINRVPQINADDPKGLILDQIRGELQFESVRFVYPSRPNIPILKDFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKVDGFDIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDEIYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAIIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGRIAEIGTHDELISKGGPYSRLVKLQKMVSYIDQENEQFRASSVARTSTSRHSVSRASPMPLTPAVFKEIDSDVSPPAPSFSRLLAMNAPEWKQAVDQNEMNAIIRRYALIFCSLSMVSIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEEANSSVTMGLIVAWKLALVMIAVQPSTMICYYAKKIVLSNASRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHAQEEPLKRARKKSWVAGLTTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASDFSLDVKAGTSVGLVGRSGCGKSTIIGLIQRFHDVDRGAVRIDGMDVREMNILWFRGFTALVSQEPAMFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGSYPQLMNKKGAFYNLATLQK >Dexi9A01G0032860.1:cds pep primary_assembly:Fonio_CM05836:9A:37693023:37695601:1 gene:Dexi9A01G0032860 transcript:Dexi9A01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFARGNRNQRTFRPKKNAPSGNKGMQLKRHIDATLGSGSLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDENIFPQKLGAPFPANFRDVVKTIFKRLFRVYAHIYHSHFQTIMKLQEEAHLNTCFKHFTLFTLEFRLIDRAELAPLSELVDPIILGC >Dexi8B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:8B:21735746:21739118:-1 gene:Dexi8B01G0012390 transcript:Dexi8B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKKSDPPATASSTPTPTAAAAGDASTTTVIRNARHRPSKLKLCYHVAISNSLYILLAPFAAVLFHRLSHHTLSDLTFAAHSFTTTSNPSFTISLLALAAVLATVYLSRRPRAVYLLDFACYKPGPSHIVTRETFMSQSAKAGVFTDENLAFQQKILERSGLGQGTYFPKAVLNSPPNPCMAEARAEAEAVMFGAIDQVLAKTGVKARDIGIVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYWGNNRSMLMSNCLFRMGGAAVLLTNHRRDRRRAKYQLVHTVRTHHGADDRAYRCVFQEEDAAGRVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVGRRVFGLRSLRPYIPDFKMAFEHFCIHAGGRAVLDTIEDNLALSPWHMEPSRMTLYRWGNTSSSSLWYELAYTEAQGRVRRGHRAWQIAFGSGFKCNSAVWRALRTIDPAKERDGGNPWVDEIHRFPVEVPRVERVVESSPAPAPETKAAS >Dexi9B01G0027390.1:cds pep primary_assembly:Fonio_CM05836:9B:29839045:29844137:-1 gene:Dexi9B01G0027390 transcript:Dexi9B01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESECGGSDGGYRDLGDVRVELDPGSARGGGGGGFAVSFWLYLSSSARPSSVILHQVTMGDANKLPFLALGEGNKLLLFPLTSLHREAPAPATSSYPWTDTTNLSSTSECPLEKWFHIGCEASCRRNFILEVVLINAFGEPVKDKEVVASLVYADNGTVVEKSRDDSEPPLLITCEGLEYPAISKPLPILHGRALFKLKISQNKHTRKMVVSKEAQNFMGTDSSTSTCDSFDSGSSWSGSDVDDVEAFSDAVVFRYCLDSTYDRSKFLRGAAPTFSKDDLVKLADKVSLYSGCSHHRNQILMSKRLISEGADAWSMISKNNECALWSSAIPVIIKKFMDIGRSVNRGLSKQDLEILRGIAGCGEDIGRDEFDRLWYWLYPVAASLSRDKIKKLWDCTSPRWIEGMITIQEADNALRNSGELLKEPGTFVLRFPTTRSWPHPDAGNLVVTYIGSDNSIHHRLLSLDSSAARAENLQDLLLQEPELSQLGRVDRLPTAILR >Dexi1B01G0024230.1:cds pep primary_assembly:Fonio_CM05836:1B:29609077:29609430:1 gene:Dexi1B01G0024230 transcript:Dexi1B01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGEREGSALKTAVIVAGGLALAWVTVEAAFRPFLDRLRGAVSGSTDPARDPDQEEEEAPAAAAAAAEAEEEKAPAPEEPSAPPAPEVVEEKVEEKVVELEEKVEEAAAAADKAE >Dexi4A01G0023000.1:cds pep primary_assembly:Fonio_CM05836:4A:26199227:26200902:1 gene:Dexi4A01G0023000 transcript:Dexi4A01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSPNLANPPTEANPGGSEAAAMEPTPVGGGGGGDGGAAERWRAEASRAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYFVRGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCIAFIMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIMHMIKYKYVPFSFGKQVSSIITGS >Dexi7A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:7A:545546:547221:-1 gene:Dexi7A01G0000330 transcript:Dexi7A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSLYAVSLATAALLIWFHQAEVIRATPGDKSKHKKKRLPPGPWTLPIIGSSHHVMRGLGHRTMVELSCRHGPLMLLRLGEVPTLVVSSVEAAELVMKTHDLAFCSRPTTSVTIDIVGCKGKGIAFATYGDHWRQMKKIVVTELLCATQVKRIDSIRAEEVGYLLRSVAAAAARAGVVNISEEVKALGPDIVARAMFGGKCTEKSDFIVQYDEVSKLLSGFFPVDLFPSSRLVRLLSISERRLAKIYGCIQRIIETIIESRKAAKNEACSAEQEDLLGVLLRLQEEDSLTFLLTSEIIGAVMFELFGAATTTIGSTLEWAMSELMKKPEAMVKAQQEVRKVLGGSRGVITNTDLVELGYIRMVIKEVLRLHPPNPLLVPRESREECEILGYHIPKGTKVLVNVFAISGDPRYWSSPEDFNPERFENCDIDYKGTNFEFTPFGAGRRQCPGIMFATTTLEVALVNLLYHFDWVLPDGVSPDMVDMSEKYGMGVSKKLDLHLRAIPYGHSSAA >Dexi8B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:8B:1254335:1260229:-1 gene:Dexi8B01G0001840 transcript:Dexi8B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGHAATEQQSSTPLLEKTIAGCPGCAMDRRKAANPGIPYGSFIYVWIVTLCTASIMGDEGTSPLLEEEEYQPGCPGCAYHRRKDLLRGMPYKEFLYVWMISLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVVFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLAMPAENFPVVFSPDSLFGRFPYFLPCLCTSIFAAAVLISCIWMPTLHKHKVRENENQSIEALEALLIDPKEKVEESGSLDTKKSLFKNWPLMSSIIVYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFVYPRINKVLGPIKSSRIAAVTIITGTFILQNNAVPQNQRGAANGLSMTAMSFFKAVAPAGAGIVFSWAQKRQHGFLFPESCTHEVKGIDNGLPPEQPEDGSRVVCM >Dexi7B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:7B:19445979:19448496:-1 gene:Dexi7B01G0012710 transcript:Dexi7B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWRKAWLSVLDRAGGGGGGSSGSLQAQQLQGLHSSSPSSSSLASCKRGGKHGSGKAVAGCFCAALALALAFFYVSVTGGPGAADDSFASPAAAATSSSRIFLSWAWLSSSNSSSTTLFSKDVSSTTATATAGGATDVEQSDARNATVGASSRRVQSSAAGDSSASEFGNATVDSDAEPQVEAATPMPRWRRTGTDGASSSNSAVVRDAPGQRNADVAAGNATGAGNSSREEETKNVAVDNVQNSAGQVALLPSRRERKQDKHRRNRRSVRHRRPRRRKGVVLPAQDLVAERDGETAVVTAAMSVVDDMAGVNTTSMVLDGPGNGMAAGAMNASTGVVGAGDNRIVWTSGVQDLVSFAKCDVFNGRWVRDESYGFYPPKSCALIDDDFNCHKNGRLDSDYLKWRWQPQGCDIPRLNATEFLERLRGQRIIFVGDSLNRNMWESLICILRHGVRDKRNVHNASGRNQFKTRGYYSFKFREYNCSVDFIRSIFLVKEMIREGINGTEDSKLKLDELDATTPAYRTANIVVFNTGHWWTHDKTSRGLNYYQEGNHVYRSLEVMDAYRRALTTWARWVDKNIDSRRTQVVFRGYSLSHFRGGQWNSGGRCHGETEPIFNQTYLTEYPEKMVILEQVLKQMKTPVIYLNISALTDYRKDGHPSVYRKWYGTEEERMAAVKQQDCSHWCLPGVPDTWNELLYTSLLQAGKGSWKL >Dexi9A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:9A:6103467:6106607:1 gene:Dexi9A01G0010030 transcript:Dexi9A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTHLRSGTALLSPAAGRRARARRAPSSVSVRCDASPPAGASSAAAASSLDPDFDKKAFRHNLTRSDNYNRKGFGYKKETLELMSQEYTSDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWLTNEIIHNPTVNKRLDEMGVEIIPVDAGIKDFNVVEKGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKSEYTSIIHGKYSHEETVATASFAGKYIIVKNIAEATYVCDYILGGKLDGSSSTKEAFLEKFKNAVSPGFDPDVDLDKVGIANQTTMLKGETEEIGKLVERTMMQKYGVENVNDHFIAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNRISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEILQAA >Dexi9B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:9B:14341796:14342323:-1 gene:Dexi9B01G0019730 transcript:Dexi9B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKLLAFLAAAAAAAAVLLVLAPSCAEARRHHHEQPEDPCRDGAGLLRHKDHRCSSPAVSPHGGGTPAVMTVNGFEKGQEGGGPAACDGHFHSNHEMITALSTGWFAGGKRCHKPIRITSTQTGRSVVARVVDECDSRHGCKNNIVDTSKAVWDALGLDTEVGVVPVTWSDA >DexiUA01G0026120.1:cds pep primary_assembly:Fonio_CM05836:UA:54992320:54993853:1 gene:DexiUA01G0026120 transcript:DexiUA01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLTPDLIDILLSILKAIVILLVVVTCGAFMSFGERRLLGLFQNRYGPNRVGWGGSLQLVADMIKMFFKEDWIPRFSDRVIFTLAPMIAFTSLLLAFAIVPVSPTWVVADLNIGILFFLMMAGLAVYAVLFAGWSSNNKYSLLGAMRASAQTLSYEVFLGLSLMGVVAQAGSFNMTDIVNNQADIWNVIPQFFGFITFAIAGVAVCHRHPFDQPEAEQELADGYHIEYSGMKFGLFFVGEYIGIVTISALMRYRVRVMTSIWMIGLHAFAKRETRMYPEEPVYLPPRYRGRIVLTRDPDGSERCVACNLCAVACPKAETVDGRWYPEFFRINFSRCIFCGLCEEACPTTAIQLTPDFELGEYKRQDLVYEKEDLLISGPGKYPEYNFYRMAGMAIDGKDKGEAENEAKPIDVKSLLP >Dexi2A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:2A:24550430:24550720:-1 gene:Dexi2A01G0014670 transcript:Dexi2A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPASSIDSSDCLLQFPFAQEQNQRIHHSQRNEYEGEIGEEAGAEEELCWRRGGSLPPLLLLPVRCGSSSSSLPRQARADCRACRCQASSTRHEG >Dexi8A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:8A:1764171:1764779:-1 gene:Dexi8A01G0002700 transcript:Dexi8A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLVLLISTVDSSEAPAPATTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLDFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi7A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:7A:20173227:20173982:-1 gene:Dexi7A01G0009020 transcript:Dexi7A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYGLEPHEYGPIVDGLRRNPQAWLDFMMRFELGLEKPDPKRALQSACTIALSYMIGGLVPLLPYMFISTAQNAMLTSVVVTLVALLFFGYIKGRFTGNRPFTSAVQTAIIGALASAAAYGMAKAVQAR >Dexi3B01G0025850.1:cds pep primary_assembly:Fonio_CM05836:3B:20798287:20799615:-1 gene:Dexi3B01G0025850 transcript:Dexi3B01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAVAAKRRRWRTGGGGDDIMSALPDHVLHEVLSRVGTVKDQFMLAVTCRRWLGRFTDRAFLRDIVCPGQGQVLLGFFLQDALQRATVWARGPSQLASSCLFVPVPGSPLGPGPTARALTSSLVADGGGAFDYAVPLGARRGIVLMQCINNCHGDTELLLGVCNPVTGERHLLVPLDMEPTSICAYAIITAADVHADAAGSARFTFSHLLVANFRKDIYLYSAATRSWSHRCVVDDGRSFHLTGERSAVVHQGAAHWLFWCHDTGSRYDDDPSLYKLSVQLGGGAAGPICSAAFTRIPGRVSRDPLLCVTGDGKLAVVYQTGGHVEVVAWEKTVFTIPAAVPYPPWVLPPKETWFHLSRGSMLAIYRSSAVFIVDLHRKTLEKVMDCFLPLFKHKKNRTAVPYEMDLVDFFMLKLGALSSAGPSG >Dexi2A01G0028700.1:cds pep primary_assembly:Fonio_CM05836:2A:39905489:39906043:1 gene:Dexi2A01G0028700 transcript:Dexi2A01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGMIGSVLIATVAFAAAFTVPGGLVADDHANARAAVLARRFAFRAFVVSYTMAFVCSATATCLLIHGGTRDVTRNHRVCYNSLGAGLLPMAALFTIAAFAFGFQLVLGDANRGLIVFLYAVCLASVLLIFPANWVPLQLGMEKAIWRRAGWRGFVNMHDRKPSLLGLFDLLSWRSYLLQYL >Dexi9B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:9B:9314335:9316674:-1 gene:Dexi9B01G0013920 transcript:Dexi9B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRVHLRLVSTAAVRLHPPPSAAIYHEAKDKKEWQLELEQHIARGQLAFARQVLDRIPAPDARAYNALIRAYSWRGPFHAAIDLYRSMLHRRVAPNKYTFPFVLKACSALADLRSGRAVHAHAAAAGLHTDLFVSTALIDLYIRCARFGPAANVFAKMPTRDVVAWNAMLAGYAQHGMYEHAIAHLLDMQANDRLRPNASTLVSLLPLLAQREALPRGASVHAYCLRACLDQKEEQVLVGTALLDMYAKCKHLVYACRVFHGMPVRNEVTWSALIGGFVLCGRMVQAFNLFKDMLTQGLCFLSPTSVASALRVCASLADVRMGTQLHALLTKSGINTDLTAGNSLLSMYAKAGLINETMALFDEMAVKDTVSYGALLSGYVQNGKAEEAFLVFKKMQACNVEPDVATMVSLIPACSHLAALQHGRCSHGSVVVRGLASETSISNALIDMYAKCGRIDLSRQVFDKMAAQDIVSWNTMIAGYGIHGLGKEATTLFLGMKNQGFAPDDVTFICLISACSHSGLVTEGKHWFNMMTQKHAIPPRMEHYICMVDLLARGGFLDEAYQFIQSMPLKADVRVWGALLGACRIHKNIDLGKQVSRMIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEIRVTQKVKGFKKSPGCSWIEINGSLHAFVGGDQSHPRAADIYQELDNILIDIKKLGYQADTSFVLQDLEEEEKEKALLYHSEKLAIAFGILSLGEDKAIFVTKNLRVCGDCHTAIKYMTLVRNRAIIVRDANRFHHFKNGQCSCGDFW >Dexi1B01G0021230.1:cds pep primary_assembly:Fonio_CM05836:1B:27191782:27192149:1 gene:Dexi1B01G0021230 transcript:Dexi1B01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARVASAASRSAAAARSAPFAGRAASAARRAPCFSRMPVELGCCAGLSLLPLHSAVAAARLTSRLSTDSSCRALSQGILCRTYPGL >Dexi5A01G0038160.1:cds pep primary_assembly:Fonio_CM05836:5A:39229638:39230882:-1 gene:Dexi5A01G0038160 transcript:Dexi5A01G0038160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLQVHFHLIFVLCTLLISSPSIHNNLRIVQASSPPIQALVAPITKDTATSLYTLSLSQRQYLLDLSGPLLWSPCSTPHPTVPCSSGDCAAAASGSGAPKSCTGQRPCTVRPTNPVTGEQAAGNLTFTDISTNATDGKTPTAVVTVHGVLSSCAPVSLLRSFPGAAAGDAGLGRGSAASLAAQLYAKLSLKRQLAICLPSVTDAPGVAFFGSGPYGFLPFALLDASDLAYTNLVKNSPSSSSSAAYGIRLSGIAVNQEAVPLAAGVTVTFDTALPYTVLRRDVYRVFVDAYQSAMAGVPRVPGVAPFEACFNSSGLGVTRVGYAVPSVDLMTEGGGGNWTVWGSNLVTQVATDVACLAFVDGGWAAPSAVALGRFQMEDNLLVFDEANSRLGFTGTLLFIRTTCSNFNFTRG >Dexi8B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:8B:15924971:15925918:1 gene:Dexi8B01G0009170 transcript:Dexi8B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEQSVPFQCGSVDVVSFKGPKLQRIKEVWIGLESGSWRLDGLSLKVINGPVDPSEDINGSPELKFNGLQYTFDKINVLLGEDGASVAEARPVAITDLSGVSLSDLQEGQLSLESTASIVKELKEDGLRQYADLKQSLLLYDAAIVITGFSAFTLASNDNAAYSFLVGGIGGFLYLLLLQRSVDGLPVISTPSEVGSTASSASGFSGVRRPWLILSLVMVAGAVALKYGAGGDSFKLTPTELFVGTAGFLANKVAVLLAAFKPMQSDLKDEDRSGDST >Dexi8B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:8B:2717023:2719041:1 gene:Dexi8B01G0003570 transcript:Dexi8B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPVAPLKSSSFSAATNREEKLARNLSLGPIKLNEHIKEARVEKLAADDAAGETPAVPEEASEPDFATLSAEIDASLAAHRDGEPLPAVSEVTLDRFATAVEQEIAPSDGSDDKWVPEAPGETPPLLAAIKRIAALASALTSPDSGKYTIGVHRVTGVLHRVMTFVEDEFHSLLEDPRVAKTAVVSVSGETPVKSMKRPPSFNHSSAAAEPDRCVVVTSSDGTGEPFPPETVEKLRAMAEAMLAAGYETECREVFAVARRNALDASLQSMGYERSSIDDVVKMPGEALESEIATWIKAFRHAVEVDLPAERDLSRRVFSSVSGDHLGRAIFADLAHSSMLHMLNFTEAVVLTKRAAEKLFKVLDMYESIRDVTPVINSFAAGDDEDDAMLADVKHELASVRSRLGELAAATFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKKTLEQVFMEYRRPDDDAGEHGGGCPFAAQLMEVMELLHRNLEAKSRLYKDPSLSSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLNLLRDDGVITVKGHVQKQVLKDRFKQFNAAMDEIQRTQGSWVVSDEQLQSELRVSIAAVIVPAYRSFLGRFSQHFSAGRQTEKYIKLSGEDLEAIIEELFDGNAVSMPRRRT >DexiUA01G0026610.1:cds pep primary_assembly:Fonio_CM05836:UA:56922474:56923322:1 gene:DexiUA01G0026610 transcript:DexiUA01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTEDGGDGASAPEVVERVRDGHEDIDEDEEDGFEFGDADEAMLCVEMAGRSTADGALRAETHDYEALAARKRKALAEEQPQRDGSKRPRQDELSEAEAATMFDQLMEGFGLRRKRRSKDV >Dexi1A01G0026200.1:cds pep primary_assembly:Fonio_CM05836:1A:32191625:32192207:1 gene:Dexi1A01G0026200 transcript:Dexi1A01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSKFLHLKFLNIAIGGLTFDFLSLASFLVASPSLETFILEVLRESKERVSVFEDSSNFRMMPGHHHSKLKCAKIIKFSAAKSVAELTCHILESATSLECLTLDTTHGMPRCSVKETSKCIFLLKEALVEARKGVLAAQTFIKPKVPSTVEFNVLEPCSWCHAVEL >Dexi8B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:8B:19128722:19135993:-1 gene:Dexi8B01G0010540 transcript:Dexi8B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKSVDDFPAGGSAMLGMGPNEGHAGVPDIEAGGTAGAQSPATPRPRGQRLVSLDVFRGITVVLMIVADDVGGLVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKRVPNKAVATKKAAIRASKLFLLGLLLQGGFFHSIHDLTYGVDVRKMRLMGVLQVSPQVHLHVIQILGIDLSCTHDFYFLNDQNDCDIGAGGYALLRRYRHQLNSNILLIMVMSFSYIQVKCGVRGHTGPGCNAVGMIDRHVLGIQHLYKHPVYLKTVECSINSPQNGPLPSDAPTWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHFKEHAERIVRWSIPSLSFLILGFSLDLFGLHMNKSLYSLSYTCVTTGTAGLFFAGIYLLVDVYGYKKPFSPMEWVGKHALLIFVLVACDIVPILVHGFYWREPKNNLVRHITSFILKFIGIGG >Dexi1B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:1B:17124113:17126675:1 gene:Dexi1B01G0012670 transcript:Dexi1B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRHPVQPALQLPLLLLLLAVAPTVCAHVTALIVFGDSTVDAGNNNAIPTVVRSNFPPYGCDFPGATGRFSNGRIATDFYSEALGLGRDFVPAYLDPDYGIQDFAIGVCFASAGSGLDVATSRVFRVIPLWKQVDMFREYKARLAAHLGAAEANTVVAGAVYAVSIGTNDFIENYYALTTTRFLEFTLPEYTEYLVGLARAFLAELYGLGARRIGFTGLAAMGCLPLERARARALGTSGGCDEGYNAAARAFNAALAGMVADLGSELPGAQIRVAEVFEFFEGVVRDPARHGFARADVGCCGTGTYETGYTCGAWAAAPGGTCQDADRYVFWDAVHPTERASRLVAEHLINTTFGRFE >Dexi8A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:8A:1194220:1203178:-1 gene:Dexi8A01G0001750 transcript:Dexi8A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDESENDEQEQPLEIKPLRSLAPMIPTPLGYDVTTQSTDPMLVIVTPFRPRTSSEQSPSSFGQPLPKSPIPLRATPISATFPMPQCKDESSDEDYKPFCDQKKATSPKTAKRTQEAGYSDAANIKRRSTRRSLNLELTSCPSSSSNPNESVGEIMMMFDSLRRRILQLDEKEDASRRADLKAGSLMMQNGLRVSNLKTIGPVPGVKIGDIFFFRIEMCIVGLHAPAMAGIDYISAKNAGKDGTLAVSIISSGGYENDDGDSDILVYTGQGGNSRHKEKHDQKLERGNLALMNSMKKKNVIRVVRSAQDPFCNSGKIYIYDGLYRIEGSWMDKAKNGYSVFKYQLKRAPGQPDGISVWKMTEKWKENPATRDKAILLDLSSKIENLPVCLVNDVDDEKGPSFFNYVTGVEYLRPLNKTKPLQSCKCPSVCLPGDPNCSCSQLNSGDLPYSSSGLLVKQIPMLYECSSRCQCSQNCRNRVTQKGVYLNFEVFWTGDRGWGVRSWDPIRAGTFICEYADQNATPSRSSPVPEPEERRKTKRRRRAPPSKRSAGVAVCTVTDVSVNAKGLIAAVIAVWSTALQQYYVHFLQRKHSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGQRVDHFNFSSLALFFLVLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMVLAVIGMIWYGNASAKPGGKERRSVLPVRSPSLKASSEEPDGDKK >Dexi1B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:1B:22491797:22495011:1 gene:Dexi1B01G0015970 transcript:Dexi1B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQYDDDWVLPSADITLVLVGKLGCGKSATGNSILGQEVFASEYSHVSVTNTCQMGSTALKDGRTINVIDTPGPSVDAETEVELGDAIGSDKQRKEKQRQPEQMNQHMRICNSGTGERTDRDSRTHHTEEEGAAAARRRSLTACGGGGRSLKAWSGEEVERSREPVATAAVERRSSARPPVATGDGAVERRGADLQRGRRLFDVDVTSEDAGKEIVKCMKMAKDVFTYGDMIGESKLKNMQTNAPECLKKVIELCQNRVVLFNNITNDRRLQAQQLDKLLDLVDSINANNGGKPFSDQMFTRIKEVHDREKEVHDREKEMHSIGYSEKQISELKEEIHRTRDEQLKHITSMHVEP >Dexi1B01G0023980.1:cds pep primary_assembly:Fonio_CM05836:1B:29412516:29412794:-1 gene:Dexi1B01G0023980 transcript:Dexi1B01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSSSPSLPPRTRRMLPSTSSTATCSFVRPGTSIVNTWASRVSFQSIGGRASSMPPASGKNRSSSMRDSASDMPVFAFSLDSWRVVLGC >Dexi2B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:2B:9609988:9610476:1 gene:Dexi2B01G0008930 transcript:Dexi2B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTWLALALAMAAAGVAAQNTAQDFVNLHNSPRADVAVAGVTWNATVAAYAQGYADQRAAGDCQLVHSGGPYGENLFWGSSGYAWAASDAVGAWVAEKQYYNHATNTCSAPSGKSCGHYTQVVWRASVSIGCARVVCSNNGGVVIICNYSPPGNVIGQSPY >Dexi4A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:4A:943233:944140:-1 gene:Dexi4A01G0001490 transcript:Dexi4A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLVGSERRVLISGYGLHQPVPPPPPPPDSLLGRLDQIDLRLRQLEEQRRPAATAEDDGDVHRAPRHHHTKSLPSALQPHVQARGTIMDRLNLLESRIRQLSCELDLDIGAGKAGSHAAAAASSMAPPPVEDPAAWSDTASMMVDPAVAMMSAAPAGRSSAAADGSWTAVDILQRGARQFHRSKSKAPNKVKNLKEGKCACQKEKRKPERSRTNRR >Dexi7A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:7A:23405583:23406624:1 gene:Dexi7A01G0013320 transcript:Dexi7A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAALLRPSPPVPASLSERRPPRAVAGRTTRRTRSAPGVVRACFNPLGDERILREAIKEPVAFLGGVFAGLLRLDLNEDPLKEWVTRTVEASGITEENSSEESSEADQDDTPQQIEIE >Dexi5B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:5B:2625568:2627826:1 gene:Dexi5B01G0003780 transcript:Dexi5B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGTSTQWDDIQRRLGNLPPKPEPFKPPAFVPKVDADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKTARFGSIVPITGSDFVREVSQAPSDVWVVVFLYKDGIPECGLLQNCLEELSTRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSDPVLNDGHGGSDSSRDNVIEGVRRKFIEKVVAQHEEREEEDSD >Dexi7B01G0023710.1:cds pep primary_assembly:Fonio_CM05836:7B:28236512:28236979:-1 gene:Dexi7B01G0023710 transcript:Dexi7B01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEASSSGGGSEEGYGAWTREQEKAFENAVATLAAEEGEEVDEDARWEKIAEAVEGKTADEVRRHYELLVEDIEGIEAGRVPLPTYAADGGAEEGGGGSGKKGGGGGGAHGDKASAKSAEQERRKGIAWTEDEHSDG >Dexi4A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:4A:23020982:23021778:-1 gene:Dexi4A01G0019180 transcript:Dexi4A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAGVSVASSVEVWGRRMRAGSGSSRAPAATTVRCSFVGEAAAGGLAEEHYRTLRLTPGATRGEVKKAFRRLALMYHPDVRKESDGGVQFQRINVAYQMLMSNMREAEKRLEYWRLKYGLNDQDLDRYRYYLNEDDDDWFDV >Dexi7B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:7B:16474331:16477174:1 gene:Dexi7B01G0008710 transcript:Dexi7B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCMNILNFSPAHTIYTVRPSPHKRGELHRQQAMTSLPERSSTCHSKIPDFLVGPIGQPMPAVGLGTASHPFVEEEVRASVLTALELGYRHIDTAALYASERVVGEAMSEAVRCGIVASREELFVTSKVWCTQCHPELVLPSLKKSLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLSGVWKAMEECHRLGLAKMIGVSNFTTKKLQELLSIANMPPAVNQVCQRIPIMNPVRQSDVLEEIARARGKSVAQISLRWIYEQGASMVVKSLNRERLKENIEIFDWELSDENRLKIGRQIPQRKLITVQNLLCPEGISSVDISDVDVLEI >Dexi5B01G0027140.1:cds pep primary_assembly:Fonio_CM05836:5B:28751594:28752689:1 gene:Dexi5B01G0027140 transcript:Dexi5B01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEPLVLAHVIQDVLDSFTPTVPLRITYSNRVVLAGAELKPSAIVNKPRVDVGGTDLRVFYTLVLVDPDAPSPSNHSLREYLHWMVIDIPGTTGASFVRSAACHAGCVKSLRAAWAAACLRLPTALLSTPMPRAR >Dexi7B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:7B:9292870:9295606:-1 gene:Dexi7B01G0003720 transcript:Dexi7B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLTLLVLFVAALLFTPPVAAAPEEHLVAGLPGFHGTFPSSHYSGYVTVDEASDRSLFYYLALSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFIFEPGSTPGGGLPRLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKELKDLNKYNVLAPCYHHPESQEVEFANSSLPLSFRRLGETDKPFPVRKRMAGRSWPLRLALRAGRVPMWPGLGGRSLPCTSDELATAWLDDEDVRAAIHAKPV >Dexi6A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:6A:20645827:20646292:1 gene:Dexi6A01G0013500 transcript:Dexi6A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADKLLASRYIRPVQGMGRASRRGAAPQFATLGLVWSCYDRSKEATSTTPTASTGGDGRTCLLFPVEHCSRMNPPLPGNCVGPAQGTKDALAAGGAGGLLSSCAAIEASINEAVHDDHAVSVRLAEVQRAGPWARPLGEGVDIVSVARTGA >Dexi3A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:3A:3064926:3073961:1 gene:Dexi3A01G0004740 transcript:Dexi3A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSFLRRVHVDVISTDDDAARPTTNQRTHHRTSLFVARLHFFFVLFLRWSGHGYHKSSASSALYIATISFHIPTQPLRSSPPRPHSFAFVLEHPRPHSLTHQQRSMAAHSGLSKHAVAPMEVSVEAGNAGEAAWLDDDGRPRRAGTFWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPVTGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIAASISMKAIKRADCFHTNGHADPCQSSSIPYMVLFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTIANGGFKGSLTGISIGADVTSAQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMEKATRLSVATTTVFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAMAWPDSAFISRELRVGPFALSVFRLTWRSTFVCLTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSVTCLIVSIAAAVGSIADVIDALKVVAVGYRKRHDSVGSGNARRLIGALSAGTRRSIKAKLGAQRRLVRCECGMELHRQRTAQWQSRPSAFPADPTRRGVRLLCTGRYGHCPHSRSAGQQARRKRERQVGDDENMTSWTRLPTRTHHRIGSSVDRRTSLFVVVELVALYLPSSSCFCRIPSAALDRSGVWSSAACLPEYENRRPSSTQNSIQNCHPKLHGRADGSQPAQTTLKKKTNLLSPRRADHRSALSIYIKQPTSSSSIPHHHHSSTPPPLTSPNCLFLVHTRPRIIYPSLRSIAMASQTAPKHVSPMEVSVEAGNAGAAEWLDDDGRPRRTGTFWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPVTGKRNYTYMDAVRSNLGGAKVAFCGVIQYANLVGVAIGYTIASSISMQAVRRAGCFHKNGHGDPCKSSSNPYMILFGITQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGISIGADVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEATVMKKATSLSVATTTIFYMLCGCMGYAAFGDASPDNLLTGFGFYEPFWLLDVANIAIIVHLVGAYQVFCQPIFAFVERRANATWPDSAFITRELRVGPLALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWVCLQTLSVSCLVVSIAAAMGSIANVINALKVYRPFSG >Dexi1A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:1A:20269628:20278490:1 gene:Dexi1A01G0014120 transcript:Dexi1A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQHQQQHGGAVAGGGDARHHAPQPVVIGGDWLGFLGRGDLEEPARNAPSPATFLLPPAPLDDRAAQPEPKPKPGQLAGAVDEERHLALAHQNYRSGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPHFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQERFSFGNAGMLPSLLFLKLQEAVKIKPSFADAYLNQGNVYKVSLLVVTKITCKRMQRELRSGRKFRSYTFLVVQALGMSQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRLCLVLQANHPQALTNLGNIYMEWNLISTAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPTAADALVNRGNTFKEIGRVNEAIQDYVQAANIRPNMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRDAMFRDVEEIIKRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLAPFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPSCYAHIYSEKLVHLPHCYFVNDYKQKNQDCLTPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCKILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSQLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCVATGLGVEMIVSSMKEYEDRAVELALNPAKLQALTDKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSNRHPEPFKVEEDDCEFPFDR >Dexi7A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:7A:27941127:27941643:-1 gene:Dexi7A01G0018590 transcript:Dexi7A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLTIPPSFAGASPPSPSPVGGSSGGPGLGQAAKDKKMASAEQLVLELCDPELRENALLELSKVFRTWVSENCGT >Dexi1A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:1A:3191942:3195085:-1 gene:Dexi1A01G0004340 transcript:Dexi1A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNFSHMNYNTRCPATFLGLTLVLLFLASPVSSCNEQESNSLLQFLAGLSQHSNLSLSWKNGTDCCKWEEINCSPDKTVTSIFLASRSLQGFISPFLGNLTGLLRLNLSYNLLSGGLPLELVLYSSITVLDVSFNQLSGDLQGQPSATPVRPLQVLNISSNLFTGKFPSSTWEAMKNLVALNNSNNNFIGEIPTALCVITPSLAMLDLSYNRFSGSIPPGLGNCSMMTSLNAGHNNLSGTLPDDLFNITLLEHLSFQNNQLEGSLSSISKLINLVTLDLGGNGFGGNIPDSHRSTSFMTRNRSIKDTIEGMPSNFNSEQSLVMVQRGKGQKNKLTFTDLVKASNNFDKENIIGCGGYGLVYKAVLPDGCKVAIKKLSSEMCLMDREFSAEVDALSMAQHDNLSATLGLLHPGRLKIAQGASRGLSYIHDVCKPPIVHRDIKSSNILLDKEFKAYVADFGLSRLVLPNKTHVTTELVGTLGYIPPEYGQGWLATLRGDIYSFGVVLLELVTGQRPIPVSFVSKELVQWVWEMKAKGKQIEVLDPALQGTGYEEQMLRVLEAACQCVNRNPSMRPTIQEVVSCLDSIDDNLRIQNSVNIE >Dexi8A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:8A:26304072:26305284:1 gene:Dexi8A01G0015240 transcript:Dexi8A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSVSFEEHDDSYLVERVMAFGSEHEFANILWYLGHAKAVYRIDDRVPINMSGNGVAASRPEPGALCGVEVYYGVLMRYVRA >Dexi8B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:8B:19393202:19395028:1 gene:Dexi8B01G0010830 transcript:Dexi8B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALLTVVSEWGIRGLVILSLLAHVLLAIFASFRRRQRSGVVTVVLWSSYQYVDWAAPYILGKLPFGSTSSSRCVPFLLSHLGGPDNITAFSFEDNKLSLRKAVSTLFHLLGTISAVYKQVNVGASNGSLLWASPVLVAIGGAKYVEKAMALRVADFGEMRKAAKKLPRTSIRIQRHGEGELTDEQALLVAHGLLHITKGAFADYSIQRSQFRSDPYLKELFARQCGVGWKNMCEVVEMELSLMYDILYTKAAKIHTCLVGYFIRIVSPVATATMAMLFLFSSKDGQRMPDVIITYILLVVTFLLEVRWLLRVAASTWAYAFFNSAQGERSVQHEVFCTRRWHRLRCAIVSLDPRQLLLGQPRGNYRLWTGTIGQYNLFDECTRGKKTDMCSSLLKMFVRSDDAWTEYKYSSGFELGKLAGISENICKLGVPTSVRGLLFEQIKKALGKAYPRKRLFPWDYVVPTPLAVKREEDLEGGDDSANNLQSGHLLDVALGFVPEFQELVLILHVATDVSLAVMSNHYQQGVCKNIELYKEAIEVTSNYMTFLAAARPEMLPGLNLRSLFNVTSTKLGEMWEQDSGEDEEAE >Dexi6A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:6A:22566760:22576608:1 gene:Dexi6A01G0015180 transcript:Dexi6A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAPASALPKSGAVSKGYNFASTWEQNAPLTEQQKAAIAALSHAVAERPFPANLEKSSGKDGGAAVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYENTLEERVKTCDGILKQVDDTLNLFEELQSLHSSVATKTKTLHDACDQLFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRGSDSGKNVVTEGVEASLIYVRFKAAASELKPILGEMESRSSRKEYAQILSECHSLFCEQRLYLVRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPSSAADVSSMAPLMDPLRGESVAGLRPILQRILADVHERLTFCARTHIREEIANFRPSDEDLDYPGKLERSVDTSSSATVGENSDIYVTWYRPLEKTVSCLSKLYRCLEPSVFTGLAQEAVEVCSTTLQSASKVISKKSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLESQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVSTAIQQDLPKVMTKMRLYLQNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSTEEMQSIGMLPIADLQSQLDSLL >Dexi2B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:2B:29251738:29252299:1 gene:Dexi2B01G0019070 transcript:Dexi2B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNALSLVAMLVASYATLSSARTFTVGGGQGWMSGIDYTDWTSGKTFTVGDKLLFSYRSQEHTVTEVSKGDYYACSSGSGALSDDASGWTVVTLTGPGTRYFICNITGLCSSGMKLAVTVAERYPVPSGASGGALVVPAVRATVLVATGVLIKLAIL >Dexi9A01G0026180.1:cds pep primary_assembly:Fonio_CM05836:9A:27929967:27931560:1 gene:Dexi9A01G0026180 transcript:Dexi9A01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVAGASGGGGGAGGPSGNGGAGAGLGAPPSGGPCGACKFLRRKCVTGCIFAPYFDSEQGAEHFASVHKVFGASNVSKLLLQIPPHKRLDAIVTVVNLQTELTYLQGHLTTMELPTPAPLVGQLQTPMTAAFSIPNQPTSSNVPATVDLSTLLEPQMQSQQWASQFQQQQALLLQQQQLLQPQQYIQMGEGSGRVAGGSGSADGGDLQALARELMLRHGRVAVGSPPEPPTPATQ >DexiUA01G0019310.1:cds pep primary_assembly:Fonio_CM05836:UA:40280385:40281569:1 gene:DexiUA01G0019310 transcript:DexiUA01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTGPSWSDIPLDLAGRILRHLPAYVDRLRFAAVCPEWRGAARQGGLPPSMPLLLLPDSTVYSLPRSKPLHFPACTGYTGVCGTGNWLVFLKEDGCLLRDPFSNETVTLPALSRARLQDVGDESSDEAGHTWIEMDQKRGVDASKIMFCSPHLIAAIFRFKRDSTTRIAVCKPGASSWWYIYMNYQAPQFADIVFHQGKLYALDCLETLFAVAISIDQGTGDPWVSQIQQVIGGRKVFYYDFLHDFLNLRVTFLVESRGVLLLVCRKIDLWSKIAFYDAIEALETEQSMFEVYEGNFGQSRWTKVTTLGDDQVLFLSRQCCRSVSISHNEMPGDRIIFMENDEEYYLGYRSEASSSCRVYDMRDGKVSTPLPVVSWKLGKVFTTWLLPAGLN >Dexi7A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:7A:18051760:18051959:-1 gene:Dexi7A01G0006730 transcript:Dexi7A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRMGGHGHDEPYYIHAKHMYNLHRMKHQALKATLSVIGAVSIGVGVPVYAVVFQQKKTASG >DexiUA01G0027320.1:cds pep primary_assembly:Fonio_CM05836:UA:58459799:58460083:-1 gene:DexiUA01G0027320 transcript:DexiUA01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALRRPTLRHSGHYGTAHGQVLAHHAYARRQPRGRRPREQQAGASRRAVFSICAWGCSSAAAPPCLGVRERGGGLEEELCPVPSWMLPVARE >Dexi4B01G0021480.1:cds pep primary_assembly:Fonio_CM05836:4B:23444090:23447099:1 gene:Dexi4B01G0021480 transcript:Dexi4B01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEELQKRNLREELEERERKHYSSKDKSYAEERDRRKSSSQLLLEGSKREADDKIVPREIDADDSDVEPRSDDESDDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNAGSFSVKRRWDDDVVFKNQARGEVKTPKRFINDTIRSDFHRKFLQRYMK >Dexi9A01G0023300.1:cds pep primary_assembly:Fonio_CM05836:9A:18570062:18571829:1 gene:Dexi9A01G0023300 transcript:Dexi9A01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRVGRREDNRNPRQPKKPPRRRNSDQFAARVLAVPSTSAHGKPSGSSSAIVADRPSGYHDLKIDACLFAAGSVPTGECLQSCPFTVGAHRWRIKVYPNGDRQEAAGYVSLYLRLDDEDVDKPVTVQMQFIATVEKRGLFFLKCKKKVASTQKPVTLTTFDGQQATVWGYSKFTERGKVHKHVRADRPLTISCIIVVHNRARAVVVGSSTSAPSSVVPVPSSNLSAHLGDLLSKKTGADVVFEIGGGETFAAHRAVLAARSPVFAVELFGAMMSESDAGGVVRIDDMEPQVFQALLRFVYTDSVPEMTRKEEGAMWQHLLVAADRYDMERLKLICEDKLCRYVDVGTAAIILTLAEQHHCSRLKKVCLDFVSAPANLKAIVASDGFEHLSTSCPSITKDLIAILAS >DexiUA01G0017370.1:cds pep primary_assembly:Fonio_CM05836:UA:36704873:36707378:-1 gene:DexiUA01G0017370 transcript:DexiUA01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGRAAAAGLLVVLALSVCVSVSAAAADQVADGAAGNATGAGAGAARLDRRTKMFLHTARSRGAATGEQDLGLGLLDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVEEKLEAGQGKSTFRRVFSRFCTPIFLESFVLTFLAEWGDRSQIATIVLATHKNAVGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >Dexi1B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:1B:2631897:2633132:1 gene:Dexi1B01G0003320 transcript:Dexi1B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLSRTFHHHPLPSPAPSHLSRETRGAPSCLPGAVSRRRASMQLLSAGFLAAVAPPPPPSLAARRGRIVVPPEDYVTAPDGLKYYDLIEGKGPTASKGSTVQVHFDCIYRGITAVSSRESKLLAGNRSIAQPYEFIVGSLPGKERKRDFADNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPPDASFELDIELLEVIPAAEK >Dexi1B01G0030970.1:cds pep primary_assembly:Fonio_CM05836:1B:34731775:34732480:1 gene:Dexi1B01G0030970 transcript:Dexi1B01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEVDAEARLANMISVPMALTAVIRLGVPSAVWAGGANAPARARARWAAATAVGRTAVLDPAGPEPFARANAGVPAYAHYGRDTEANQVMLRAMTAVSEPFMEALLDGYGGVFDGMLSLFKQQHQLTRTRRILHVLFFFLIFCSSCSGNSTVGFH >Dexi5B01G0033730.1:cds pep primary_assembly:Fonio_CM05836:5B:34057016:34060188:1 gene:Dexi5B01G0033730 transcript:Dexi5B01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERANELKGEVRQMFDADNVMSVADMVNLVDELERLGIDNHFRKEINTALSRIHSEELDVVMSNDLHTVALRFCLLRQHGFWVSTDVFDKFRDETGSFSKDLTGDTRGLLSLYNAAHMAVPGEATLDDAIAFARHHPEAAIGSLVSPIVEQENSHLRIIVTKVFVLLGLMDDTYDVHATLEECQMLDEAIQRWNESAVYFLPEYLRMLYIKTLSNFNEIEDTMEPYDKYRMAYIKKTGQVVTKETFEWAFNIPDMVRASGEMGRFLNDTASYKRGKNTRDVASTVECYMKEHGVTGEEAMVAIAAMVEQAWRRINRAYMEMNCAVKPAARWLLDMTRMLEIYYLRGRDGLTYGRDIKELVAFVFLKQIPV >Dexi2A01G0037060.1:cds pep primary_assembly:Fonio_CM05836:2A:46530331:46531251:1 gene:Dexi2A01G0037060 transcript:Dexi2A01G0037060.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGSFWQDSTLIIEVDLQCEKCYKKIQKVLCKLQKTENIKKIDYENTKNTVTISGPFDAAKLSKKLRCKACEVIKDIKIKPREEPKKAEEKKPEAKKPEEKKQEEKKPAEEKKKPEEKKPEEEKKKPEEKKPAEEKKKGDDEKASSSTTTVNLKFTNMCGICYPWPCSDPSHWGGYHTPQLMPQWPPCGGMVPLPPPVHHPYPPCGAPQKWAPCGGPSFCGRCGWCHGGGGVHGWAPPAAQQQPMCCPGPSLCRGCNGCKIVQETKFSYEEYPSSACAIM >Dexi5B01G0022520.1:cds pep primary_assembly:Fonio_CM05836:5B:24822540:24823739:-1 gene:Dexi5B01G0022520 transcript:Dexi5B01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWSELPSELLGVVYLHLRCLADRVYFAAICRSWRSAAAAAARARAISASPPPQLPWLLLLPSSGAPCFVSLLAGSSLRRLSLPYSAHGARLCGAHPGGWVAAAANGWRAYALVHVFSRAWVPLPDRMRLPRHGITTCLILRAVALSASPTSRGGCVAAALVSGVSNLAFVRPGMDRHWVASDAVNGLQDILYHHGEAAQGFHAVTNDEAVTVFVQEGGLPIAHDLRMVSRRYRMQRRPNAPAAWNPSSPAGAGVSRYLVESRGKLLMVVRHFPTVVQHGVRGGFEVLELAVEAALPSGGHSAASWVELEDGGLDGRVIFLARGCSRAFEASQFGGFKEGIYFLDDTSFDVSLALSCGGNFPCGDIGCYTGREIVSGIKGFPSEFQSTFSSPTWFYP >Dexi1B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:1B:23276812:23280959:-1 gene:Dexi1B01G0016820 transcript:Dexi1B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTARSPTAEASPPAAAEKHRSGSAAGSGLRSLASAASGWLDRWAVMGSGLSKLERAFGDQFPEGERYFGLENFGNTCYCNSVLQLDISFQISASKKKTGVIAPKRFIQMVRKLNEYFRGYMHQDAHEFLNFLLNEIVDILEKESSSAKVSPETTSPEKVSNGAVVNGVRKEPLVTWVHKNFQGTLTNETRCLMCETVTAKDETFFDLSVDIEQNSSLTSCLKNFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPEILVIHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSNSDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSGNTDHGYILFYERVGGNCNEKTDSPEGAV >DexiUA01G0016670.1:cds pep primary_assembly:Fonio_CM05836:UA:35582545:35583838:1 gene:DexiUA01G0016670 transcript:DexiUA01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAQLRFHTGEAIQQQLLSDLPRALRSGIAQHLYRDTVQRCYLFQGVSNSLVVQLVSETTTECFPPKADIVLQKETSTDCYIIVSGSVDVLTTADDGTDRLVMKAGPHRMAGEIGVIFGIPQPFTVRSRRLTQVVRISQNHLVQMLRSNTADADTVYANFVQYLKSLKQQVASDAPFFREILSGTGLDQLQNGAIFQKQLHNGPTKIVGAQDAKLGAEQHEEIAPYNMLQRQQLKTRVVIHDHFPGDGIEKARNHGEGKLVCLPDSLQELMKVAEAKFGKAVTRALTLDGAEVDDIAVLRDGDHLVLCW >Dexi1B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:1B:25436407:25440234:-1 gene:Dexi1B01G0019190 transcript:Dexi1B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQRRNPSNRSHSTSLRPPRPPRGPINQHPPASRALTEPSSPDGRQRKKVRFANEGEDGSQHIGRRQVTNTREIAKNKPQVCDAKTAEYRFFMKLCEQSGHGSRSYNKHPHQSIEPKISKQKRESQNVTTLRKFSVHGNTVCYDDPPATPAKNEEIPSEQVNVQSSQFEYENKEAPQFNPHGCSSSVHVLTPIAQTPFDVTMTSGNVGWDARSMTDSLVFIDGEPVSGQIFSEKRSKLLKIAAKTVSMGSAELVQRRSEFLGDILQRLGAKNITKEEEGLIRHRKSDCREAPAIPTSQFDSLLDYRQRYFTSSTNLRRTGKNSSSNANDEAYEFMALPWGHNQGLPSCIDWKNALPHGDSKARECMALPWVCVDDVGPYVHRRPASANELSLKVQTASNGQLGWSPMWSVKLAESFGDRLSFPCQVEEQHYAVPYAFLNTSWQPDHHSAERLVSSSVGLEREDPKDPGSFDISDARFSTRFDRLPAKSAASSFLESGNGILEDNDFTCISNFHSSQSNNMVFSANTDCLNSMFSSSEHACEMDRKSLQYSAGGVSCLAGLKEKHSREVELSDNSDRLLQVLDQLPVKFTPSGFSNDESRIQDDHLLRYITSCHPEEDSNILTLDANDIVLNSLSSYSAHPCKPDWNSLHDSSTELWSSVHQLQSHSDWGAMLGFMPNGNACSDLVEGHQSLMLVQGDLNNNILGRTDLSFFGSFSALDKIREAPMLSSDAITW >Dexi3A01G0029820.1:cds pep primary_assembly:Fonio_CM05836:3A:32219937:32220368:1 gene:Dexi3A01G0029820 transcript:Dexi3A01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAPPFAPLSCAHGLPWLAQGLPRREFVMSNCHAPAPTCTRCQKLASPPLDFARSRLAAGREHELASPRLGPRLSAGSFAIESLPLGRWELASPPPRAPPVESSSLGLKPVARDRSRRRAEAMGAALRREGGPRGRRRCQE >Dexi2B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:2B:13341927:13343026:1 gene:Dexi2B01G0011760 transcript:Dexi2B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCICNCREVVSAYARSSRRLFRELMEAALEALGIGELAAGEVLADCDAGSQMLMVNCFPACPEPELTLGMPPHSDYGFLTVLLQDQVNGLEILHEDKWVLVDPLPGSLVVNIGDHFEIYSNGRYKSVLHRVRVNSTRPRISVASLHSLPPARVIGPAPELVDDEKNPRRYMDTDFATFVDYLSSAEGKHKSFLQTRRLNNS >DexiUA01G0008300.1:cds pep primary_assembly:Fonio_CM05836:UA:15482140:15483801:-1 gene:DexiUA01G0008300 transcript:DexiUA01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPPKSFHNSTGQPPSALRQSSATTQPTTIGGGGGIRVRGMKNWVRAALLRVLSAAELLVSTAVHLGYAFYIFGTAVAADVAPSLVDGLTAAVGLGGGGGVAKGAAAESEAEAAAALDGTVPPIVLVHGIFGFGKGRLGGLSYFAGAEEKDDHVLVPDLGSLTSVHDRARELFYYLKGGTVDYGEEHSNEYNHARYGRTYELGHYPIWDEDHPVHLVGHSAGAQVIRLLHQMLHDGGFDGHVGTSERWVLSVTSLSGALNGCTRAYIDGVRPEDGWSLRPLCLVQVCRVGSILYHWLDLPFLNRYYDFGFGHFAMSRRVVGVAGLAGLLFGSGDRRRRGPFATGDWILPDLTIHGAARINARVRTFPSTFYFSYASRRSSGSGGGGGSLGMAGIHPLLLLRAMQIRRWRYPAGVAPPYEGYRDEDWEDNDGALNTFSMTHPRIPDEHPSVQVEEEEEVVGPLRPGVWYYRIVEADHMTFVINRRRGGVQFDLVYDSIFHNCRRHVVFRNAPPPPTPTPTPTPTLRNQS >DexiUA01G0026100.1:cds pep primary_assembly:Fonio_CM05836:UA:54932904:54935882:1 gene:DexiUA01G0026100 transcript:DexiUA01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKAEFGVQVRAMLKQIRENKAIRGLVFISAKPDNFIAGADINMIARAQNAQEAEELARQGQQVMAEIHALSIPVIAAIHGACLGGGLELALACHSRICTDDAKTVLGLPEVQLGLLPGSGGTQRLPRLVGVSTALEMILTGKQLRARQALKAGLVDEVVPHAILLEAAVELALKGRQAKRPLPVRERVLAGPLGRTLLFSMAGKKTEQKTKGNYPAAKRILEVIEVGLSQGSSSGYAAEAKAFGELAMTPQSQALRNIFFASTESQALRNIFFASTEVKKDPGSEAQPAPLHAIGVLGGGLMGGGISFVTASKGKLPVRIKDINAKGINHALQYSWQNLDQKVIRRHIKANERDKTLALISGTTDYSGFAHRDLVIEAVFEDLALKQQMVADVEQHCAPHTIFASNTSSLPIGDIAARAQRPEQVIGLHYFSPVEKMPLVEVIPHASTSPQTVATVVKLAKMQGKTPIVVADKAGFYVNRILAPYINEAMRLLTEGEKIEHVDEALVKFGFPVGPIQLLDEVGIDTGTKIIPVLEDAYGDRFSPPANIVSAILKDDRKGRKNERGFYLYGAKGRKSKKQVDPSVYGLIPTTGQGKLSPVQCAERCVMMMLNEAARCFGEQVIKSARDGDIGAVFGIGFPPFLGGPFRYMDTLGAEQTLEVVGECMNLPASVDVLPELTPCGDVGLVSAYLQALCNEGVASALVISHLPLVGYLVSELCPGETPPMFTTSAIANVALDETGKGVFNWQMSPCNLKMAKAI >Dexi2B01G0023690.1:cds pep primary_assembly:Fonio_CM05836:2B:33242396:33244417:-1 gene:Dexi2B01G0023690 transcript:Dexi2B01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKAAKRVAIVGAGTSGLAACKHLLARGFRPVVFEAGPTVGGLWTRTLASTRLQSHAAGYRFSDFPWPEDADAFPRHGQVVDYLAAYARRFAVDACVTFRSHVVSAEFVGGHGAGEQWAGNAEAFGEEEGAGRWCLTVRHGESNDTQVHEFDFLILCIGRFSGVPNIPAFPPGGGPDAFRGRVVHSMDLSNMDDADAAALVKGKRVAVVGSGKSAYDIAAECADANGAELPCTMVCRSPRWLLHDVDVWGKLNLGYLYMNRFAELMVRKPGAGVASSLLATLLAPLAWLKSKLTGAYYKRTIPMREYGMEPGYGFAGCLSSCKVGMLPDAFYDKVRSGSVVIRRSRSFSFCQDGLVLDDGHVVVPADLVVLATGFRGDQKLRDMFVSPRVKDIIAGSPDTPVGLYRECVHPRIPQMAVIGYSESLTNIYSSEMMAKWVARFLDGAFRLPSVAVMEQSVAEWGSYMRRSSAGGSSRGSCLGAVSIWYNDELCRDMGCDPRRKKGLLAEWFQPYGATDYADIE >Dexi2B01G0035890.1:cds pep primary_assembly:Fonio_CM05836:2B:42826033:42828793:-1 gene:Dexi2B01G0035890 transcript:Dexi2B01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHAVMAKARKDLGLLPSSGPGTPTSVAAAAHSPFLLSRQNSGRCGGGTAPSPLSVSSPSSWAPPPVFSRTNSAISNGAAEEMAGVGDDLMSPANGNGPPSPFFAAPAGDQLLDDLQLHDQLAFLNDAGHHQLPLFDGSECRSPGTGDGGFFPYGWANGGPGHRRSSSVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGDDAGAKMDMEQQCQDILLRSKNQRLAAAAFPYSPTGSLPGSPSAASKCLSILLQQQQQNENQRAAAAAAAAALMLGGDEAHKFLGRPRLDRTDLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQQLQGERMDFSNGLDARDSFDLHQLGARMLQHSNSANEMLLRRKLEEQQQQAAELQQAMELQSRRLMGLQLLDLKSRSSPSPIGMPFSTTRGAVVDSPPDSSSGEGKGSSFLHPQRRAVVNGGDKEESSGEASPNAESDQSAEHNLPDSPFASPTKSAAAYAHDPFAPTESELAAAASPARTAASFAGINNGGLTGHLRPAALDITSPKPYFFPISRLSSDHGAGAIGM >Dexi5A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:5A:8853713:8856675:1 gene:Dexi5A01G0011770 transcript:Dexi5A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGIAPKPAAPPVAAAPQPRHMFVFGAGFVGRYVSERLLAQGWQVSGTCTSAVKKKELEMLGINASVFDATESNLENIHSLQQATHLLISIPPIPGIGDPLLHSNEDLRRILSHGNLEWLCYLSTTSVYGDCGGALVDEDALDTLAKSKSLSQRQKLRESKQYTARIHVADIYQAVLVSMSMRCARKIFNVVDDDPAPRAEVFAFARSLMEGRPDLITETPGVNSTESDFQERIVPAEKRVSNARLKKELGVKLLHPTYRSGLQSILDSWQAESQLRDRSR >Dexi1A01G0022520.1:cds pep primary_assembly:Fonio_CM05836:1A:29181644:29183704:1 gene:Dexi1A01G0022520 transcript:Dexi1A01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALLGAERSRAADLGCGKALFLPEGKGKAKAVVEPRSVLDCTRSPSPNNSTSTLSSSLGSDISAAAAAAEVTKWGASGEHGGGGNEDWAVGCELPPIPAGLDMGLVGGDNWDTVLGSATAAGQDQTFLNWIIGAVGDRDQPGPPLPVHQLLDNAGFAFPAADPLGFSLDPHLGGGASDMWSSDAVSHTTTSSGGGGKVSSTFGHFSTEYASLHPPPWPPVVFHEGIDSKPPLLGAQPPATPFFMPLPSFPDHNQHAPILQPPPKRHRSMRDELYLTRNQAAAAGHGLPFPPQHGSASFQLQPSPTPPHGAMKTTAAEAVQQQLLDELATAAKAAEAGNSIGAREILARLNHQLPSLGKPFLRSTSYLKEALLLALADGDHGACHRLTSPLDVVLKLAAYKSFSDLSPVLQFTNFTATQALLDEIAGSTASCIHVIDFDLGVGGQWASFFQELAHRCGASGAALPFIKLTAFVSAASHHPLELRLTRDNIAQFAADLGIPFEFNAVSAETINPMELISTTCDQVVAVVLPVGCSARALQLPVILPLVKQLAPKIVIAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAVSIDADTVCKIEKFLIQPRIEDAVLGRSKADKPMAWRSAFAAAGFAPVPPSNLAEAQADCLLKRVQVRGFHVEKCGVGLTLCWQRGELVTVAAWRC >Dexi9A01G0027890.1:cds pep primary_assembly:Fonio_CM05836:9A:32502885:32503430:-1 gene:Dexi9A01G0027890 transcript:Dexi9A01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYAETGESAQAGPGAGMCCGGGGRWKRGLLWLGLGGTAWWWLGCTGGGGRATGVAIGGGRILWRRMDGGLGCDCGGRWWCCWWYWGGGGEGDGVPATCGWGCGGRAAGFCCCCGGGGGVALRMLRPSLLTPRCGFWLAAVVVPLLLLLLVDRSMVGWIGLGVD >DexiUA01G0012270.1:cds pep primary_assembly:Fonio_CM05836:UA:24674620:24675634:-1 gene:DexiUA01G0012270 transcript:DexiUA01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAGLLSKGDSDLRNRCASTESFDLSDKEQDSSLESEGDYDDENDDEMDGELPGHFSSSELANKTTGNDDECLTQEEMVGSSGNQKPLSSLDSTTGGEMPSSSVQPASVRTSGSEEAVATKSCSCSFCIKGL >Dexi8B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:8B:2357849:2362409:-1 gene:Dexi8B01G0003180 transcript:Dexi8B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALPQTMDALSRRAAMLRDSLQRSQGNTDGMVAILGSFDHRLSALEAAMRPTQARPPCCPLVSSHPPISSPAMKFEPAFCLVVRQVRTHAIRTAHENIDRTIKAADGILSQFDLARRAEATILRGPHEDLESYLEAVDVLKGIVRFFSSNKNFKSSETVLNHVNNLLAKSTLKIEEEFKQLMSTYSKPIEPDRLFDCLPKSLRPTKGDHEADGGSHSDHPSKGLETAIYRTPTLHQANNAVLFKQVKLLLAGERKICDQIFDGVNFNKGHCFAELTANSVITLFSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIEEIFEGKPCTEMREAAASLTKRLAQTAQETFADFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFDSGTEAESQLAAVTTRIMQALQNNLDGKSKQYKDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSVQGAGSTGDLSSSGVSRATIKERNLVENNKNPQKYVRYSPEAVDQLLGQFFEGQQWAEQKR >Dexi6B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:6B:18746491:18746749:1 gene:Dexi6B01G0011550 transcript:Dexi6B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINDVFARAATPILIGTVGPSLFNSMGKEVWSPAAAIDARSVGMRWAQDMHDSWHGSA >Dexi1A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:1A:5575688:5577496:1 gene:Dexi1A01G0007250 transcript:Dexi1A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDMLHFSDELSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDGSRDRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASILSG >Dexi7A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:7A:25851790:25854244:-1 gene:Dexi7A01G0015930 transcript:Dexi7A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGHLIISGPPVRWKKQEKEWNELQVMAGALCYKLITVDGNTAIWKKPAEPSCLPNENDVGLDLCSTDDDPDEAWYFKLNKCVCKVSMVEEIAIGSIPKWPDRLSKPSARASLINNGRKLFEVDSQKWVKRVSYYKNSLGVKLGTAHIRNVMDMNAFFGGFAAAIVTDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLLHADAIESLISDPISGTNRCDLFDVMLEMDRILRPEGTAVMRGSPDVIEKAAQVARSIRWNAQVHDSEPESGSTEKILVATKTFWKLPLTSR >Dexi5A01G0034710.1:cds pep primary_assembly:Fonio_CM05836:5A:36705776:36709278:1 gene:Dexi5A01G0034710 transcript:Dexi5A01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPPRALSAGPERRAALGAVALSVPPRPGARVRAGRPLEEKFHTHRLLMSANMKSGLLVGSPCNCSQTALADARIAHQPDVDRHAGVLAYELVQGNLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAHEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIEFLRRMPKKVSSKQEVVNALVKEQFSVDVARWVATNLRPSDPLGQGSSSNFSWTFDLNGISEMYNSYEDTNLWSVVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRVETTIRGMQD >Dexi4A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:4A:9854722:9855068:1 gene:Dexi4A01G0011650 transcript:Dexi4A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVGMDKRTSLPPRTPGLRGWNLMLPSRTRRNGFAV >Dexi5A01G0023980.1:cds pep primary_assembly:Fonio_CM05836:5A:27891360:27891608:-1 gene:Dexi5A01G0023980 transcript:Dexi5A01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAVLKGADDAFVPSFASRAASEIRSLQWAGGEGGGDRQRRASMPSPLRWRSSRWATRRGPRIPAAQRRHLDLVASTAQPT >Dexi2A01G0027760.1:cds pep primary_assembly:Fonio_CM05836:2A:39084981:39091755:1 gene:Dexi2A01G0027760 transcript:Dexi2A01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGGWLFHKPVDPVLYGIPDYFDVICNPMDLGTVKKKLTNKQYVTTSEFAADVRLTFSNAMQYNPPGNDVHAVAEQLNRIFDSEWRSLIRKWNVGNPVPEQKPMKATKVQTAMKSKSLIPKGMVTCSNSLAKEPSINATSSKIKIKFFVRGSGNTSLKAGSQERSLDNSLTCTKEDDRISTIQSNENSILSNENESPSCNSTSPLASSEQGVESYLHHEPLSPSKALRIAILKSRFAGTIVKAQQNALLDHGKEVDLAKLQLEKERLEKRQQEERARIEAQVKAAEAAAQLKLEEEMRTKREKERKAARLALHMMKKTVDIDNSDFLKDLENLCQKWQLNPPSKLIVDFVHGFELPQGLGNPLEALGLFMKQDLEEEVEHEMEDSMSTSLNADVEEGEISCCQ >Dexi6B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:6B:20439286:20440762:-1 gene:Dexi6B01G0012710 transcript:Dexi6B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYRWMSKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKDCQYIAMFDADFQPESDFLLRTIPFLVHNPEIALVQTRWKFDSKKCRWITISSMSKRQGHQCTRSLASMGQLGSGGFQRSMMLGAGRIGQQWRIWTWLSVRCCRGGNSFTLKVSLWSKIHLWYDFFFVGKVAAHTVTFIYYCFAIPVSVLFPEIQIPLWGVVYIPTVITLLKALGTPSSFHLVILWVLFENVMSLHRIKAAVSGLLDAGGRVNEWVVTEKLGDTNKKPSINGSDSVEI >Dexi9A01G0029240.1:cds pep primary_assembly:Fonio_CM05836:9A:34092105:34093359:1 gene:Dexi9A01G0029240 transcript:Dexi9A01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISTKVAALAAVIFSLLVTNGSCARPMNFTESAFTADPNWEAARATWYGAPTGAGPYDDGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCKNHPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNEELRHAGIIDIQFKRVPCNYPGRKVTFHVEEGSNPMYLAVLVEFEDGDGDVEQVDLMEANSRNWMPMRESWGSIWRMDSNHRLQAPFSLRITNESGRKLVANRVIPANWAPNNYYRSIIQY >Dexi7B01G0023650.1:cds pep primary_assembly:Fonio_CM05836:7B:28191876:28196129:-1 gene:Dexi7B01G0023650 transcript:Dexi7B01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPTVTSAAGAALHPAVSARRLGLGSGNASTAAGGFLAGGRRAAAAGSVRARVAEAAPVAEEGSRQEAPATPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKIAGIEDGYTAEVSTFRQALLVDVRDKLLFEQDYAGSIKENVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSKPYAHDVLLAMALAECSIAKACFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTLDNSERRRGAIAALCELLRQGLDVESSCRVHDWPSFLDQALNKLLATEIVDLLSWDTLATTRKNKRSLESQSQRVVVDFNCFYVAMLAHLALGFSTRQTELIKKAKTICECLVASESTDLKFEESFCSYLLGEESGTTVFEKLQQLQSNASSNAKNYGLDKKKDSSNKVTVNQSLELWLKDVALSRFADTKDCLPSLANFFGAPKRILNTSKQKPGSPRSVLLSSQPSSSVLSCNRTSGEQTPRLSPNSHLGEAVKQLAPTNLGLHSSMDRQVNGSGTTSVPLKRNPGSHPLRTLELWGLTGDVIGKLAYSALLGFAVFGTLKLLRFQFGHMKYANPSRESASISSLSEASAPEGSFITNSVRKHFEKLSKLLWLNDRVYSISEERERYPVPNDTTAAVCKQKMDIQEAEALVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWKDLVSSAKDQSCYWRFVLLNLDVVRAEIILDEAGAGEAAEIDAVLEEAAELVDDTHPKKPSYYSTYEVQYILRRQNDGSWKICEAAVRDLT >Dexi4A01G0024450.1:cds pep primary_assembly:Fonio_CM05836:4A:27224147:27224636:1 gene:Dexi4A01G0024450 transcript:Dexi4A01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHSLINFLDLIVSLSPAWDLGDQDCLGLERAHTLRSLAVVGEILEEAFVAVVVPTINRFHKVVEGLATTSMDKVLCLNLAEQCHSSGGLLQGIWVMVRLTEKCNHHKTMVVVEMDTGQVHPP >Dexi1A01G0026480.1:cds pep primary_assembly:Fonio_CM05836:1A:32379751:32380299:-1 gene:Dexi1A01G0026480 transcript:Dexi1A01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITSVVRGSVGHRCGVFAGGGGGIMNPESHWGVLANRGLRSIPGGRSGVTLALACAAPQSSMILAAASAGSLLSPMSFSTCALGSRILSRTGVPPPPPAGSGEVAPLGSGEVGAEAADEWAGSEERSEVERRASMSDSSRSRAPTRRFPDQARRMGVPPAAEARRGSATRK >Dexi5B01G0033600.1:cds pep primary_assembly:Fonio_CM05836:5B:33986675:33988113:1 gene:Dexi5B01G0033600 transcript:Dexi5B01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPLAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVGNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGYTLPHAIIRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETARTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEASGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEIAALAPSSMKVKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHMKCF >Dexi2B01G0025440.1:cds pep primary_assembly:Fonio_CM05836:2B:34698466:34700560:1 gene:Dexi2B01G0025440 transcript:Dexi2B01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYANGSTCANDDPPSLEETKEPAFLLKTMPPHANGWLNDMKISSPTAIRVNIGNPSAFDPIYRAWTKKYPSALNAFERIVVYGKGKKIVLFLDYDGTLSPIVDEPDNAIMSDQMREVVRNAALHLPTAIISGRSCDKVFGFVKLTELYYAGSHGMDIMGLVGKTGSITDNRSCANSSAKQGKEVKIFQAASEFIPMINEVFRLLIEKIKGIDGAKVENNKFCVSVHYRNVNEKDWPLVARCTDDILKAYPRLRLSHGRKVLEVRPAIDWNKGKAVEFLLDSLGLADSDNVLPIYIGDDRTDEDAFKVLREDKRGFGILVSSVPKESHAVYSLVDTSEVMDFLKRLVKWKEEEALQITIK >Dexi4B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:4B:21982817:21989714:1 gene:Dexi4B01G0019860 transcript:Dexi4B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRKEEFLEQFGGDYGYPDAPRGIDELRAADFKRLEGMVYLDHAGATLYSEGQMADVSKDLMSNVYGNPHSQSDSSMATSDLVTSARHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRDSCYMYTMENHNSVLGIREYALSKGATVSAVDVEELVEPSKNHGSDSLFKVSKRSNQRRGDDAFLHNYQNGNLTGISAGNKLNLFAFPSECNFSGHKFNLSLVNLIKEGKFVGTSQEQGRWMVLIDAAKGCTTEPPNLTMYPADFVVCSFYKIFGYPTGIGALIVKNEAASLLNKTYFGGGTVAASIADIDFVQKRKSIEQVKDLKMGPIITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTYEDAEGFLKFLRSSFVSKAVGLNNGYMDTLNFGLKYDREWLLQGSGGEILTQKKVPELSSIRTLIDLEHGKLFLESPKCKDKLQISVLENWNHLTAEVDVYGQRYEVQTYGEKVNTWFSDAIGRPCTFMRCSSSKYRSCAIKGRRDLLCRDSRSKLNFVNEGQLLLVSEESIFDLNSRLSSSNGNGKQQVFVDAMRFRPNIVVSGSTPYNEDNWRRLNIGEAYFTSMGGCNRCQMINLSQSSGQVIKSKEPLATLASYRRQKGKILFGVLLNYEDVMDEEDDTVVERWIKVGQEVYPSTE >Dexi9A01G0030070.1:cds pep primary_assembly:Fonio_CM05836:9A:35009716:35011062:-1 gene:Dexi9A01G0030070 transcript:Dexi9A01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMRSARSLSLVLLLFFLAAPSPARGCDRCVRRSKATYQASSLALNAGSCGYGSLAASFNGGFLAAASPALYRGSLGCGACFQVRCRDSELCSGAGARVVVTDQARTSSNRTALELSAAAYAAMARPGKAARLRAKRVVDVEYKRIPCEYANRNLSIRVEEKSRPPSELSIRFLYQGGQTDIVAVDVATAGSSNWRFMTREHGPAWSTAQAPAGPLQFRLVVTGGYDGKWVWAESEVLPRRWEAGRVYDTGVQVADVAQEGCYPCDTHEWQ >Dexi1A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:1A:1138386:1141613:-1 gene:Dexi1A01G0001710 transcript:Dexi1A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAGEAAETSGGAGLPLAVRELLAGGAAGGVAKTAVAPLERVKILFQTRRAEFRGSGLIGSFRTIYRTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVNVGFRECKPSEQVYKGIMDCVKTIFRQNGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKSHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQALSSSSLVGRGTFESMVMIAKQQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREETAVAVLSEERNNTAPIPSS >Dexi5B01G0028860.1:cds pep primary_assembly:Fonio_CM05836:5B:30137347:30137752:-1 gene:Dexi5B01G0028860 transcript:Dexi5B01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAAEEGEFVGARLDAGLRAARFASPPSADDFAADVEPRNVPAVFRGVAKEWAASTREK >Dexi3B01G0027350.1:cds pep primary_assembly:Fonio_CM05836:3B:22878713:22878919:1 gene:Dexi3B01G0027350 transcript:Dexi3B01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSKTSWPEVEGLPGEVAKQKILLDRPDLQVDVLPVDSIVTTDFDIKRVRVFVNKKGNVAKVPKVG >Dexi2A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:2A:32074428:32075215:-1 gene:Dexi2A01G0020020 transcript:Dexi2A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDSTSGSFIKNWVSIGKSARLSTKAAAGNLSFEKQCTHCEKESINSSLLNLLTYPWIEKRVNEGTLNLHGGYYNFVDCTFEKWTLVYRQGLEGGSKYAIKNRSTWS >Dexi1B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:1B:6382845:6383818:-1 gene:Dexi1B01G0007740 transcript:Dexi1B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIKESIEPGIETSRDENPIKFSDVCGNTKSSHYSHGGMVIECLSGSHGKEGIAKAASLLYSVSCKGSGGPEPDLLLVYGPVRCHLGFPAWRLRYTEIM >Dexi8A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:8A:648086:648625:-1 gene:Dexi8A01G0000960 transcript:Dexi8A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSPPPEHELLLTSSDDDMDELVIATPHLQIYGGELRRRPFVGMPPAPAVLVPWIVIGGGGTMAPAPAASIDAVPTVEVSEPGEVCAICKEVLPMAAAARRLPCGHLYHSSCIVPWLELHNSCPVCRSRLPSNPTTEQQSPSEQDTLPPTAASDSDQLPSQAASEQGEATTVVLSV >Dexi3B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:3B:7429288:7431865:-1 gene:Dexi3B01G0010630 transcript:Dexi3B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHLRRCGGGALAAFRRFRHIPSAASKSPPAAWRCPCPPPRLYSTGELSQKLPANLVGIMEQRMKLIEQQSAYLQEQINQPAASPEEYSRANKEFRKLESTMELIRELRSKQEEIEGLKSLVANAREEKDMREMAAEELLEAVEEEKRLQHELFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMVRIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >Dexi3B01G0037210.1:cds pep primary_assembly:Fonio_CM05836:3B:40006958:40008860:-1 gene:Dexi3B01G0037210 transcript:Dexi3B01G0037210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDGLRRRECCGGGGGSSLFPEESFQSWSSYGRALLETGPRLRDRVTARSLDSSELHEVRGRSGADMKRTLTWWDLIWFGIGAVIGAGIFVLTGQEAKEAAGPAVVVSYAVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHATALADDYSRLDPIAVAVTILICVFAVVSTKGSSRFNYVLSIVHLAVIVFIVVAGLTHANAANLTADFAPFGARGIFAASAVLFFAYIGFDAVSTMAEETKNPARDIPVGLVGAMTLTTAVYCVLALVLCSMQHYTDIDVDAPFSVAFTAAGMDWAKYIVAFGALKGMTTVLLVGAVGQARYLTHIARTHMVPPCLATVNARFGTPVNATVAMAFATAVIALFTDLGILSNLLSISTLFIFTLVAIALLVRRYYAAGETSPPDRNKMIGCLAVIVASSVATAAYWGATSSGDGGGWAAYVVTGAAWLAATAFLQWGVPKARSPAKWGVPLVPWLPAASVFINVFLLGSIDAASFVRFGVWTAALLVYYFLFGLHASYDTAKAIATEAEVAGVEEGARKAVVDGGGGH >Dexi5B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:5B:8809448:8811292:-1 gene:Dexi5B01G0012450 transcript:Dexi5B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGIQYAVVDAFTAEPFKGNPAAVCLLEDAAKAADERWLQSVAAEFNLSETAFLLRDSSHAAAAAAAAAAPRFQLRWFTPAAEVELCGHATLASSHVLFTSVLAEHETLIEFATKSGILTAKKVAAPATAVASGEGKLFIELDFPMIDLVDCHPAELPSIPETLNGASVVSVHKSATAGDLIVELSSGKEVADIIPNIHEIKKCSGRGVVVTGPAPAGSGFDFFTRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQVCYCN >Dexi7A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:7A:26077643:26079678:1 gene:Dexi7A01G0016150 transcript:Dexi7A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHQLFARTLTAIVATSFFALLCSLPQVRGMDYTFMKDATQAPNVSYYDYIIVGGTSGCPLAATLSERFRVLLLERGGSPYADERIGDMTRFSDTLSDTSPTSPSQRFVSEDGVINSRPRVLGGGSCINAGFYTRAGEAYVVDAGWDVDATEAAYRWVEDVVAFQPELGPWQAALQSGLLESGIAPDNGFTFDHIDGTKVGGSIFDADGRRHTAADLLRYARDDGLDVLLRARVAKILFNVRAGRRPVAHGVVFHDSKGRMHKAYLNADRRNEVILSAGAMGSPQLLMLSGVGPADHLRSFGITLVHDQPEVGQGMSDNPMNAIYVPSPSLVEVSLIQVVGITQVGSYVEGASGSNWGVRPRSFGMFSPQAGQLATVPPKQRTPEAIALAAEAMRQLDDSAFRGGFILEKVLGPLSTGHLELRNRNPDDNPSVTFNYFSHPEDLRRCVAGLQVIERVIQSKAFENFTYPNLSMEALLNMSTGFPVNLLPRHDNDSTSLEQFCRDTVMTIWHYHGGCQVGRVVDTEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGR >Dexi9A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:9A:580315:582711:1 gene:Dexi9A01G0001120 transcript:Dexi9A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYTKRVLLTAAGGAISRGIASTIAKHGCRLVLVGDEGALAATADEARRCGAVGVEVVGLDFEACDEAAISAAVDRSWRCFDGMDAFVNCYSYEGEVQDFLSISEDEYKKTMKVNVVTPCLLMKAIARRFQDTKLGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRVRTLVFHMCPFSVLSAMELGKHKIRVNAACRGLHLHDKFPVSVGKEKAEKATTEAMPLRRWLDPEKDLASTVLYLIGDESRYMTGTTIYVDGAQSIVRPRMRSFM >Dexi9B01G0032950.1:cds pep primary_assembly:Fonio_CM05836:9B:35106269:35110236:1 gene:Dexi9B01G0032950 transcript:Dexi9B01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESSLSWPFSFSLAQQQRPNLRPNSRATRPSRTLPSPSLSLADEWALLVSFIFLAVPESNSSSSSNAARLRVAFPSRKFFGLYKPPPELLESPNQSSSFRIALAAVVATNPRVKPPPRFELSRPPSSLRARRRDRSFASSVLVVVAWRHRRSQAASRRRKPLTPKTDSPHPKLAPKPRILRPTPAKRRRAPPSPAVSAAAVEPSDPEPLDRDPTAEIEPDSKNGLFEGDQDQVYEEEPPQYFEEGNRGWEAIDRVNRPAIDRRRRALAIVASYSMADGHGSVNERSPPSPPPVAWPSVGAKYAPAAAKP >Dexi7A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:7A:26508254:26508568:1 gene:Dexi7A01G0016620 transcript:Dexi7A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCSVTYAAVAVAILAVAMAMGSADATSYLTSWAGPGCSGQTAIVGSCGCSDLQFYDGQEFTYQGQIARLYTESGCAGTSYIVFEDTQACGDFGWRSINIDC >Dexi2B01G0025000.1:cds pep primary_assembly:Fonio_CM05836:2B:34252434:34257713:1 gene:Dexi2B01G0025000 transcript:Dexi2B01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAELHVQLRLAWSMARRPPMRCEGSSFVQSVARSHRGSPGATQQQRAGDVGGVARSIVSTKSNKILANIAIKINAMVGGRSSVFVDAQKSLPVVSNKPTIIFGVDVILSASLDASAPSIASVVASQDWHEVLKYNGPPVHYAHKLAHRARLYLAQGSEAATTVSSGGATAPTGGSKQIPEIKDALKRSMFYC >Dexi3B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:3B:7444486:7447533:-1 gene:Dexi3B01G0010650 transcript:Dexi3B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVNIDCGLPGTANSVDDTTGLSYAPDAAFTDAGSSQNISVAYKTPTLSKRYLNLRSFPSGVRNCYTVRSLEAGLKYLIRAEFKYGNYDGLDKPPSFDLYAGVNFWSTVNVSNPSLYPDGVVSLEAIVVVPDDSVCLVNTGSGTPFISSLELRPLKNSLYPQVNETQGLVLLFRFNFGETQSNAIVRVWPSMVDVFGDGATTIWANISTPNSVNTADDMFEPPSKVMQTAIIPRDGSNTISFSWNSNPQPRDPTPQYIAMMYFSELQLLSSNDTRELSVMINNSDVWWHVFSPGYLLSHSLYTGHNPLPISNKYDVSINATTNSTLPPLINAADVYSLIPTTNGEYREQKNWAGDPCSPKIYSWDGLTCSYAISSLSRITGLYGNNPNLCTTADSCKLPVKRKSKLAIYIVVPVVFVAVIVSMIGLVFLFVRRKRQGLTSTSNTVKPQNETPMSHVAEPLSPGDAYGQSSLNLENRRFTYKELKTITNNFQHMLGQGGFGKVYDGFLEDGTQVDVMLRSQSSN >Dexi8A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:8A:8424585:8425176:-1 gene:Dexi8A01G0007460 transcript:Dexi8A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRRLIKEAPTPRRFFTMADVMRRSRPVEAPPRARVTRARDTIVMHEDDVDVVCDTCGSCEHEDKLLLCDRCGHGRHTFCLRPVAAEVPDGPWFCSDDCACAPPVKRFKRR >Dexi3A01G0032650.1:cds pep primary_assembly:Fonio_CM05836:3A:37512205:37513505:1 gene:Dexi3A01G0032650 transcript:Dexi3A01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMMELLLGLALCMWAGLAVPAKFLSVLPHSDENRIVSLPANLDFMIFNHRGSALPSDADLKLKT >Dexi9A01G0026140.1:cds pep primary_assembly:Fonio_CM05836:9A:27696348:27697248:1 gene:Dexi9A01G0026140 transcript:Dexi9A01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFSSVLTLYKCLMETSGEDKDRTWFLHYDPIEVRLEGRAIQMMFASDVDFNKQVVTAITRLYHTMDDDIYACYAEKRCRHFLPPGFADVMLQSDQFNESTRLKDMFVGYHVTYDVGECKLILAPVCVDLDWSLYVWNFERRTIVVIDPVTMANGVEAVMNKHNGIVEKMHKGVSICKEQLFQHPQVHMADWEIEYLTVEGGHGVR >Dexi7B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:7B:15132932:15135223:1 gene:Dexi7B01G0007200 transcript:Dexi7B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGADGEGLVGGAKPAVAMVAVEFVFSALQIFIKLALDDGMDVRVLVAYRLMFASAFLCPLAFFIERIAINQNLLVFAMKLTNSTTIVTCLSNLTPQSTFIVAILTRQEIVKLGKASGRAKLAGTLVGLAGAMVVTFYKGPELVFMHRLSRVAKLQHDGHGHGLSSAAATTPATSSAARVVGSFLAITSCFSYAVWLSIQSRVGEAFPCHYSIAALVCLSGAVQSSLLALCFHRDMAHWRLGPNIRLYSSAYAGIVASGFAFPLMSWCLRKRGPLYVAMFGPLIIVFVAVLSSIFLDETLHLGIALGAVLIVAGLYMVLWGKAREAQEKAAGVLPQDEELGKESAAPAADAANGKSVKQNGET >Dexi8A01G0000740.1:cds pep primary_assembly:Fonio_CM05836:8A:481848:486079:1 gene:Dexi8A01G0000740 transcript:Dexi8A01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSEDGSSKLAGWENHSWFYIVVRISLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWLGPFMLLLSSLLMELAAVSSKGICIDDSQGSMELSPTGAETIQNTEADDEMSSLIASPRSPFQSRKASPEIFIMFEGFWLILRSPYLIYISLFLWLSAVISSFFYFQVWLFYILISTFIKVTIIATTISSPPARRRTFALINSFIAVFILVGQLTLTGHILTVAGVTVAICASPLVAASNMVALALWPTWIAVAITETIRKVVTYVLTRPGRELLFTVVSLDEKYKAKVCIDVIVQRLGDATAAGIYSLLFSRLEKKATMATLYALPV >Dexi3A01G0029650.1:cds pep primary_assembly:Fonio_CM05836:3A:31850206:31851315:1 gene:Dexi3A01G0029650 transcript:Dexi3A01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGLFSNFCKRLITGAASLCFAGNIISFTTFLAPIPTFYRMYKSKSTEGFQSVPYVVALFSAMLWIFYALIKTNETLLITINAAGIVIESIYVVMYFIYADKKAKWFTAKIMLGLNVGFFGLILLVTLVLFKGDKRVVALGWICVGFSVSVFAAPLSIMKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMGLYMFYMNKTPLVPEGKAAGKLPVAGEEHVVNLHPVTEMAVPRTCKAEAMSHQSPVVNVV >Dexi9A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:9A:8706543:8710696:1 gene:Dexi9A01G0013540 transcript:Dexi9A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQEIPSPQSRTAAPATGNILTRTRERIPTWAPLPDDDPAFLRPSKHRASRADRAPAGVDSRTLAGARSSGDDDYFNPSFMAARRRRTATHRTPASSPPKPNIEARDTLCASLATPRDAARASPSHRPWREHAACTNSAGEDPSEAAHVRRPWRRSATATNGIPDRGNQQQQHGEKSLPRRDSGGERQKRAAPGGGVMEEEQERVESNKETTHASDSPATTVKAEDDDAVKEEKRNPPPLLATSSCDTARAGPQRTRRVAGETVQTPPATSCFVVLVLGWTSEQAAFSQLIKRIERSGEEESAIDSSSSCRRDPRSPHL >Dexi1A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:1A:6394075:6396074:1 gene:Dexi1A01G0008310 transcript:Dexi1A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAPVPPSDREAEGPPVRSTRSPASVAADFAMGGAAAVVAKTGAAPVERVKLLLRNQGEMLRRGTLRRPYEGIAQTFARVLREEGAAALWRGNQANVIRYFPTQAFNFAFKGYFKSFVGYDREKDGKWKWLAGNVASGSAAGATTSLLLYHLDYARTMLATDAIESRGNKRQFRGLLDVYRKTLASDGISGLYRGFSVSIVGITLYRGLYFGFYDTMKPLVLVGPLEGNFFASFALGWAITTFSGACAYPFDTVRRRMMLTSGQPFKYRNGLHAVKQIVLTEGFFTLFRGVGANILSGMAGAGVLSGYDQLQQFASRHGHNFERKMKGALK >Dexi2B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:2B:9524412:9529571:1 gene:Dexi2B01G0008840 transcript:Dexi2B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRMRKSPTRRSMVLKAMDLQDDSWKWETHGQKNIFGSNVTRSYYRCLYRNSTGCCAMKILQPNDTDASMLSAMYVYEHNHEFPSEPHLELSAEVLGVTRKRKESDVPSDEHTSKRQLNSSQSYGMPADKSPSPDGFNDD >DexiUA01G0005300.1:cds pep primary_assembly:Fonio_CM05836:UA:9452735:9453736:1 gene:DexiUA01G0005300 transcript:DexiUA01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGKPLGCLGWPPRGRRAAVTLLDRPNPRQPCPGLSHIRLTPCAVPSRTPHATSTCRAGDHGELRSAIGAERRACPKPPDPVARPTPAPAASPEHPPSRTPQSLTANPQLRPTRAHQAINGALAAAHPATRETFVVDKKFNVSWRFNIDPGFDYLLEYEKAEQRKFKIYINSKTAAENYDVFAKAGGKNKAFHEDFLDDASPQTDTLWCCS >Dexi4B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:4B:18743099:18743625:1 gene:Dexi4B01G0016630 transcript:Dexi4B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASAGASHAGLAIAATAMALSGTLVLYSLCRTNKQPHLVPAASDTDAAGSPSSPRLRPCLSSSEKRKKREKARQGSKKRVRFAADVVDNGAASASSSPRAAAAAAPARSEEAEPSCAAAAMPANREALYRGMLRGRSMLRVACSY >DexiUA01G0007340.1:cds pep primary_assembly:Fonio_CM05836:UA:13956127:13958560:-1 gene:DexiUA01G0007340 transcript:DexiUA01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPWSKELTPLFPTPSRSRCAACVSLSDSSSSSSQTPIKPRFPSSPASDSFAPPPLALSSPRHSPRHTKDQEKKGRVFSPACKLARSPWRRRCRCSPLPLLSPPELSRRSLH >Dexi7A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:7A:20661083:20661549:-1 gene:Dexi7A01G0009700 transcript:Dexi7A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAVVAVLAVAALLACLPPAAASSPYRAAAALRRLETAEPMDTAKGLREKADVAKVAAEDVSTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >Dexi2B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:2B:8724263:8727541:1 gene:Dexi2B01G0008530 transcript:Dexi2B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARLVPRLTHSPAHQLSPPAAAAAAAAAAAAAAATEWPRHRGSVSLRRGSYSKMACSIGNGGDSKVTRVLFCGPHFPASTIYTKEYLQSYPFIEVDEVGLEEVPDVIQNYHICVVKSRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSMTGNAVSCAEMAIYLALGVLRKQKLMDTAVKQKDLGSPTGETLLGKTVLILGFGAIGVEIAKRLKPFGVKILATKRNWSPASLPFDIDGLVDKRGGPEDMYELAGEADIVITCLLQTNETVGIIDNMFLSVMKKAVFDHLESGHLGGLGIDVAWTEPFDPTDPILKFSNVIITPHVAGVTEYSYRTMAKVVGDVALQLHSAEPFTGIEFVNYI >Dexi2B01G0024520.1:cds pep primary_assembly:Fonio_CM05836:2B:33941006:33945056:-1 gene:Dexi2B01G0024520 transcript:Dexi2B01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFQFCPFVLFLKAIFASVLKKMRKGKRRRDDLSRSSRQNRRVCKNKLVSGCFLGDSNQDVWTGLSDELKSYLSKRIASITVRNGRGVSGEMMAKSVELNVDSRVSEDDDDLDCKISEAWEGGPLVSIDRNIVGMNLFLTMKRAVFLPWGTILKHLEHYWTSRGLAIPKNCEGSQIRSKIHRSYQLAYANWPIMILYMSVLILDLVAPVHGDFLNQEQLELDSMGYPKLPSTMLEAGMILDYSFEETFGNVHGKGEKRFFACTGFFIEWNGSMIILTSASLVRNSGDENKIVENLRVGAHCSEAAVLQGGLCLCLVGVRRTRINPTLVPIPKDMVTFSERKSSSTRCSHG >Dexi5B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:5B:6056087:6056543:-1 gene:Dexi5B01G0008950 transcript:Dexi5B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNAGNNNTGKRAGEAQEQGHSSAKRLKCSIEAEAFCCDVCSKPLTSPIFQCPEGHLYCSSCACSCNDKLPAEEKKCTVGSGCTGTLARSLAMERAVRSILLD >Dexi9B01G0029260.1:cds pep primary_assembly:Fonio_CM05836:9B:31858492:31859166:-1 gene:Dexi9B01G0029260 transcript:Dexi9B01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRKFLGENLEDCSVEELHNLEVKLEKSLHVIRGKKTQLLEQQIAKLKEKERTLLKDNKELREKQRNLQSPLVAPVPNRCLPPWPRNLPAPPCDDDMDVETELYIGLPGRERSSNRDSG >Dexi6B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:6B:27110228:27113252:-1 gene:Dexi6B01G0020710 transcript:Dexi6B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHTASDKSRKKKKRRRRKTTTSRSASYSSWQQQGLHRHQQHKKKQMMPTLIALLLLVVVVPLPARLGASVSPRRMARIQSHLERINKPAVRSIQSLDGGDTIDCVAAHSQHGLEHPLLKEHTIQTEPPRFPTRRAAADADDMTTTTATTNNNNKIGRWGAWQTWHHGGHCPPGTVAIRRTTAEDVLRATSISRFGRKKRTSLPRAANAPDVISGNGHEHAIAYTAASQPQPVYGAKATINVWDPAIQESNGFSLSQIWILSGSFNGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGAAISPVSEAGGAQYDMTLLVWKDPKLGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPGGVHTATQMGSGRFAAEGFARASYFRNLETVDADNSLAEVPLEAIQTLAEDAACYDIRKAYDHRGGWGSHFYYGGPGHNPACP >Dexi4B01G0023320.1:cds pep primary_assembly:Fonio_CM05836:4B:24684456:24686072:-1 gene:Dexi4B01G0023320 transcript:Dexi4B01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYELATKALVLSLVLGAVAGTRPGELVRKVPAMYVFGDSTLDVGNNNYLQGEGVPRANRPFYGIDLPGSGKPTGRFSNGYNTADFVAQSLGFHKSPLAYLVLKAHDKLIPSAKSRGVSYASAGSGILDSTHAGNNIPLSQQVRLFESTKAEMKAKMGPNAVSYLISKSFFLLGAGSNDLFVFATSMAKQNKTATQSDVTAFYGSLLSNYSATIAELYKLGARKVAIVNVGPVGCVPGVRVLDPTGACSDGLNKLAAGFDAALKSLLAELAPKLPGMAYSIADSFGLTQAALANPVALGFSSSDSACCGSGRLGAQGDCTPAATLCPDRDSFVFWDSVHPSQKSAMIAVKAYFDGPTQYTTPITFKQLATAS >Dexi3B01G0028800.1:cds pep primary_assembly:Fonio_CM05836:3B:27382346:27383344:-1 gene:Dexi3B01G0028800 transcript:Dexi3B01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATVNSSGRAPARCICSNTTNTVPRSPTCQAVAGTNGGSAPELAHFVKHLEGAIQVASVGKRAEQKVEGGRGEGEVLVDLDEVREERRGPRPRGEEGGQEGAGVGGAAGAGQDVEQLRVCEEGWAGRGEAEEVQSGVGVEADPAQGRTEERRGEGAGGGEEAGGGGEAMEEEEAEVQSGVGVEADPAQGRTEERRGEGAGGGEEAGGGGEAMEEEEAGETAQKGGICAGIGRSGSGRVDAAAEEVERSEAATAASLLHCGCLGGGRGGAAETANGKA >Dexi9A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:9A:15010540:15013776:1 gene:Dexi9A01G0020020 transcript:Dexi9A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMDTTDVSRNATTAAALFGTSTGGGLASLLPEVQTVELLVAISIFVTIHSLRQRRSQGLPTWPLVGMLPSLLLGLRGDMYEWITGVLKSRGGTFTFRGPWFTNLQCVVTADPRNLEHLLKARFASFPKGPYFRDTVRDLLGDGIFGADDEVWRNQRKAASLEFHSAGFRALTASSLVELVHRRLLPVLADAEAGGDAIDLQDVLLRLTFDNVCMIAFGVDPGCLAKGLPDIPFARAFEDATEATTVRFLTPTAVWRAMRALGVGNERVLQRSLAGVDEFAYDVIRRRKEELGDAGRRGSSRSDLLTVFTKMRGEDGRPAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLGKNRRVEERILEEIEGIVAARKAVDAGGEVEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLRKGTKVIYAMYTMGRMESIWGDDCREYKPERWLRDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILHRYRVDVVEGHPVAPKIALTMYMKHGLKVTLTKRNKSRL >Dexi8A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:8A:30570124:30570660:-1 gene:Dexi8A01G0018250 transcript:Dexi8A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFFPTTMVMYPPSSSLAAGDLGCCGGGGAGEGDLRALMASSASARRFSSASLRNWNLGGGDTVGGGPGLATGDSNSGLYELSIAAGDGEARGVRNGGVSEQCSRSPRLRLILLRPSSDSRSSRVSSLMVKSSRVGPTTFPSRISCASTVSSSSSSPSPATATATADGGGSWTA >Dexi5B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:5B:20643537:20647560:-1 gene:Dexi5B01G0018480 transcript:Dexi5B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLTYIPMGPPAPPQPPQGLCFGAPSWPWEQQDAAAAWWADGMEASESGGPGLRFLLQLDPAGRPPPGLDHRTLLGFSLSALLSRLLGWRGGSVAIAGEEEGGGSGNAAVSAAALAAAVSLSLAAVYASSDQRPRRPPPPPLPRRRRRLLLPAPDSASRHRALPGPDDGLRILSSSNDKSLENVIHGASIGAGDDEPDIIARVEIHATATPAEIAGENAAAETEQDQPEEEEEQQQQKRQEELERQQEHERLRELWLSLLEREQRLELRLQELEALRAQQATVRELESRVASAAMEERLLQLKVATLQEENGRLRAQVDELDTARAELARAKEKLLAIKARVEAEQEEARREAAALRAKVAELERSGEETAGKLAAEIAELRKANAALEEENLELALRLQEAEQAAASASVNLVLEDDMDEEAMYLRETNERLTKEIEQLHNDHCAHVEELVYLKWVNACLRHDLRNHDGHHPNTEQDIGGAGDLSALELSKSMSFRSSERAKQLMLQYGHPGGLQGFDPALFSPLHESFDGGDGHDRSPANNYYEPERSPYATSAKSPAIAAGDADAAAQGKKKAGPRKLKFLGNIKKLLPGGGKKGHSSRHGHAGGEEDNRKKAAAPASDEYLEKAMQWLSSFDGGEHSYESTPLSSCARTPTSSVTTATTADRGGETTTRRPGAEAAVMMARSKSDAGRSYRREAASPYHALRPDHPAGGGVETDGFTAAEKREPRRRSEDLRSPAVV >Dexi7B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:7B:24804965:24808571:1 gene:Dexi7B01G0019210 transcript:Dexi7B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRITGVAPACFSPPCGVIPVRSRRVSRPGVVTAYADKRLAAENARVQNERRMELETRIRNQLLRPELPPSSYDTAWVSMVPLRGFNITFTGMLSHAIDMGLEFPIRQNDGFAVPALHPLKLHCQLSMVDMLEKMGISQHFVSEIKSILDMTYSCWLQKDEEIMMDVATCAMAFRLLRMNGYDVSSDELHHVAEPSTFHDSLQGYLHDTKSVLELYRASKVSLSEYDVILDNIGSWSGNILKDKLHSNMVQRTAIFREMEHALKFPFYATMERLEHKRNIEHFDTRGSMTLKTEYFPYCASRDLHTLAVEDFVCSQSVYQDELRHLDRWHDHEDKFYSEQVKTVFSAIYTTTNQLGARASAVQGRDVTKHLAQIWLDLLRSMMVEVEWQSSQHAPTLEEYMRNAVVSFALGPIVLPALYFVRQELLEHAVKDQEYDELFRLMSTCGRLLNDIQGFEVFGSFARVFPCKLHAYLFDFFFFNFSNFVI >Dexi3B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:3B:8479195:8481641:-1 gene:Dexi3B01G0012090 transcript:Dexi3B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARLPTSSSLATGSITARSRKSAMAGIVCVPSVSRSSHRTSVGLPLCRSSTAGAAGGGGRRMEDYNTAMKQMMHNPYEYHHDLGMNYAVIDDDLIVGSQPQKPEDIYHLKNEENVAYILCLQQDKDIEYWGIDFQAIVSSCKEVGIQHIRRPAVDFDPDSLRSQLPKAVSALEWAISQCKGRVYVHCTAGLGRAPAVAIAYMFWFENMDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKKNDPRKEPFENLPEHAFEDIADWERKLIQNRVRALREA >Dexi2B01G0030380.1:cds pep primary_assembly:Fonio_CM05836:2B:38658488:38660836:1 gene:Dexi2B01G0030380 transcript:Dexi2B01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQMGVDLETEVELSPVAKAEAAVFSSPYSSPSTALLLQRKVVAWAKETGSPATVRVRVRVAERSFDLHKDRLVSKCGYFSEASLESGDIELPESFPGGCEAFEVIALFCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQRRDQPGAAGARNLVGRRWDAELVKELAARDLWIKDLIALPFEFFERIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFWATTDDEAVDGETDANRRAAAIMEGVIALLPVEAAVVAASGAIPVAFYFALLSRSLTLELSDQSRKRLREQVASNLPFARVDDLPLPEKETDRWSVADSREVRAVESIVSNHVSAQRKGAEAVAELWDRYLLQIAADPKLRPERLSELIGIVPAGDRKNHNHLYEAINTYLVEHRGLSGEEKATLCGHLDCRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELVPGAGAYTPSPGCPTAIPTSQPLSSSSPYESHHHAPRDAKLRARDDASSDYETASFRIQALEKEIISLKQTLQRHNTLKGSARRDGGGKEPSFRVATEANAAAAIKRRATVSGSCIGSMRWGSQRRCASRIMRVFTRLAVFGRGRSSRGKQSSKCRAATEQLNCM >Dexi4A01G0022910.1:cds pep primary_assembly:Fonio_CM05836:4A:26154180:26155643:1 gene:Dexi4A01G0022910 transcript:Dexi4A01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYDSASPRKKTKKSMRSKDNAAAAAAMPDCDSTWKRKTKRKTKKSKRPKDDAAVAMSAYEYDSPKKKTKKMKEKQEEDDGKKMEKANKFVPDAGDEQNQWPSLYLVIQHNVQRPSYSLYKVDFKPKTTDHPHPIPSPCRIKLLNANHDMSFAAVSSRRRSWIVGVGGREPRPNGGPGETFFFDCKSEKLAMGPRPMSFKFSPIVFSVGDKVYALSRMPSLHLMQTDLPPWFEMLDLSGASLVNGKLSTDSGPPIVMVESYAVAGNFILLSIFRDPFTERDAGTVAFDVCAEEWHYVDRERNLPFIGQAFPCGDHHLFLASSRSADWHQLAGFSISVTKQTNSSSLMLSIVEVPVSTDATAAKNNFPAISSGEFLSLIGNGVVCTVGCCIYNWDSDEDQERDNICIDFYGPINVEEEDAESHRAGKIVVPSNRSEYFFRLNEPVYKLRAPALVSAMWVDVI >Dexi7B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:7B:22506759:22508735:-1 gene:Dexi7B01G0016500 transcript:Dexi7B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSAWRVTLPEGASVAVEHELAVAHGARAWASSVLLLALRDKVVGSCRRVWRIGADDPRRAVHGLKVGLALALVSVFYYTRTLYDGVGGAAMWAVLTVVVVLEYTVGGCVYKGLNRAIATASAAVVALGVHWIASKSDDKFEPFIRSGSVFLLAAAATSSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVSMAEQRVCTISIGVSICLAVSALICPVWAGQELHLATARNMEKLAGAVEACVENYDFYAAADGEQDESTNNKKQQPSSKAEGYKCVLNSKASEDSLSNLARWEPPHGGFGFRHPYGQYKNVGAAMRHCAYCVEALTGCVRSAGEVHPAKAPGRRQLANACTRVAARCARVLREASGSVRSMTTSRAVDMAVADMNAAVEEMQADLRAIPSSCLLLAGSAAENTAATSALMDAAAQLFTVTSLLIEVSSRIEGVVDAVDTLARLANFKSADEEEKPAKSVAKAGPVIEPDSEASGGNRTTKALEEV >Dexi4A01G0023840.1:cds pep primary_assembly:Fonio_CM05836:4A:26789286:26789650:1 gene:Dexi4A01G0023840 transcript:Dexi4A01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGCLPLRRHYHAVTTPACANHQHHHAVDSGLEQSSTGEKSMKTPSASSPSTTTQSREAGARSLDDTKPRAAVHLEQNRERKPPRACAVLCCFVTTSPPPSLDLTFSSPR >Dexi9B01G0042980.1:cds pep primary_assembly:Fonio_CM05836:9B:43080238:43080756:1 gene:Dexi9B01G0042980 transcript:Dexi9B01G0042980.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTKLCGDKLTMDPKHSAELSKHLDKQNQALMETYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKSAQ >Dexi9A01G0044920.1:cds pep primary_assembly:Fonio_CM05836:9A:48508810:48515027:-1 gene:Dexi9A01G0044920 transcript:Dexi9A01G0044920.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPTASAPSTSASASASLPIAANPPPPHHLAPRRGAARRLAPLLAFSTLSLAAAGTVYLTTDNLEETLQRSRDSAGRVVERMQHTLTAARMLWKSLLSVLSSANQEVRSGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDSVVRGATQAEAARALAHLVADPWVAPVVLGRPHAVPCLLKFIFSYQPTRGKKFAVPKIFSFLRQKSSFDGSDHSRGRSMLVAALMDIITSNCDNADYSSFQPLLPADADTRDIAAVIEVIEQGGMHFDDHDDNSSRDGDGGLKGIGIKVLGGTTILGFSRGNNSLELDNSDDDMLEVSHNSRRLVAQQSAIDYPLVEKLSSSAVPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVSTALKAAERTVKWHGTLVARALLEDQNLALAPSVPDWCSSLLSTASQATENNDMPLGQLSLSTFLLSMTRCNESKFVIRQKGLHPLRSIAKKIENQNGQSSMKESIASALSLLYAGEVPLSFEESQRWSGILLRWLFDKSVSDKTHLTAVKILSCILEDYGPASVPISQGWLALVLSEILGDSKAQNSKGTTQPEPERVKNPVDYHNASTATQVLNQLGSAVVKLACAQSGYEPGSDDKGKVPLADFLSLEPFATALKNLNKKNPPKFDAADSASAMLKGIKALAELCSEDGACQKRIADLGALSLLRHILLGDDYEKLAAIEAYDASRVREVQDKNVYASNTSTTDTSADPSSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEDCASGRIPCNDIKLKSYCRLTLLNIFCSENTRRGSDEYPGPESEYKRNCPQFGDALFLLNPELPLEVHLDNSGFRISSVPRDDCKDVGGIEDSNETGSSEDGADAASKTTPLMDVVFVHGLRGGPFNSWRIADNKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARFFTVKYKVCILFNSLCLAFLLTLTDLYSYLFQIIYADKFDSMDWSQLAPSGGELNAAEENGGCWDCMGGLVVKQLLYQAKLNNYDNFLNNTVGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHNKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGFGELVVLPSTDHINSCKPVNKNDPSYAETLAFMEKNFKLRLKSAES >Dexi5A01G0027960.1:cds pep primary_assembly:Fonio_CM05836:5A:31287082:31289440:1 gene:Dexi5A01G0027960 transcript:Dexi5A01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQAEELFRKVLEGGSKAKATRLLGLDVGSKYVGVAVSDEKNRIALPLSVLSRTKTNVSLMADDFKTLASNYSLAGFVVGYPFNLHGQRCPDAVQVRLLTGELCQTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTMTDKFAAVCILQGYLDNMNRKLRPADKSEA >Dexi3A01G0034440.1:cds pep primary_assembly:Fonio_CM05836:3A:39605582:39605937:1 gene:Dexi3A01G0034440 transcript:Dexi3A01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKLSDYNVARRAAIDGDLRVLKAMAKEVNLREAKDSEGRSALHLAAGKGHLEVCRFLAEELGLDVNSTTPDGARRACPC >Dexi1B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:1B:8284552:8286996:1 gene:Dexi1B01G0009240 transcript:Dexi1B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSKRVVQEQECDENVGFGMEDGVFCGVFDGHGRCGHFVSKLVRDYLPFMILSHRNALLLAGADEDDDPAFSDASPSSSSTDGSGGGSSPSPAQLLDEWRAACANAFDAMDRELKLQPNLDCNFSGTTAVCAIKQGKDLIIANLGDSRAVLATMSDTGYLTAVPLTTDQKPSVPREAERIKRCNGRVFALKDEPSVARVWLPDEDYPGLAMARSLGDFRLKRHGVVSEPEVTHHRVGRGDLFIVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVAEAAAQRWRTKYPSSRVDDCSAACLFLRDQDWGGSIAAAKAKAAAAAARAPHGHC >Dexi2B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:2B:217230:219527:1 gene:Dexi2B01G0000510 transcript:Dexi2B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKASASKIAVDEVITAARISASLLFHSGPDEAEPKKIRSSRSNLRRGHRYSSSSSGSESPPRKRSKKHSRKIADKKSKRSKVRSSSRRRHRRSLSPSRSLSCSSSSSPSSCSSSSASERSVNPPPRSRSRDVRKKKGRGRDRERDRRRRKARRSASSSSTSASSGSSRSRGRSKSKSRKRRTFDSAKDGAAKDKMEIDYDNRYASWSEKHMAEDDDRHESKLAIAKGEHDGDSYKKNLELESPPSMNANETQEMAPTGGGDSDGEDLELILRQKALENFRKFRTAAVMAGKTGTNGVTGKEALVDGPQNTVTKIAEAKSSAVAVTHLQRQGSSLVMKSLGGSPRSEDCGNGAGRFWKQEDSARMTRGPASPGRHEAGDSDTGGPTQQKGRTVEGTHLNCQFRSPQDGRNSDSVMQRLVNTPGSCASVNQRLGSRAGVSHVNGAPTPRIRSVVSIPAREGLDASTYTTSPRPSENSAPVESSSHVGCPLIDINKAERTNGDERKTIEASASNGSMLSPAEGKSLVRTEDTSENPAPVESSSHVGCSLIDINKAERTNGDGRKTIEASASNGSMLSPAEGKSLGRTEDKDSDQFQKKTFSRMHDGEAVEVSYKVYIPKKTPALARRKLQR >Dexi3A01G0025090.1:cds pep primary_assembly:Fonio_CM05836:3A:20723157:20724491:1 gene:Dexi3A01G0025090 transcript:Dexi3A01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPQHHKPSKPSAPSCCSSWIRRSPPPSPPHKKPGGGGRSRYACRLVPLLVLTIYSLVTVLRIPSSSLVRVERREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFISGEAGYGEEGVLCCDRSHYRSDVCYLRGDVRTDPSTSSVRPYTRKFEDSIMSTIDEVSIVPVSGAYNASDGGALRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLEGLTNYKVVDFRSDRRVHCFDEMIVGLRIHGELVVDPKLMPNVKSR >Dexi6B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:6B:26397146:26397717:1 gene:Dexi6B01G0019770 transcript:Dexi6B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRGEAELQLVWGTTTTAGVSGNDDDAAAAACLCPAAVQAAAAGATGGACSLLPRHALEQEVLHRADLQQQLMQQQGGDRRRERKMRNRESAARSRLRRCAYVSELEKEVSLLRAEIHDLRKLCNQLKKEAAEAPVHQAANKNNKRPAAGQLQRTSSASF >Dexi1A01G0032240.1:cds pep primary_assembly:Fonio_CM05836:1A:36889113:36900638:1 gene:Dexi1A01G0032240 transcript:Dexi1A01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSSHHLSAAAAAAAAGVSYPPPLPPTPPSAPPDIGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLEGFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTEKFFIELNTRRNDALYPRSETLSLINGMRYLKLGVKTEGALNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKKHWPPSGVDSALTLWYDAVTRIRVLLMHWMDKQSKHIAVGFPLVTLLLCLSDASVFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLAVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNQQVGLDVLQAFGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDIQNVRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDHSANEWGDNKLKLEPEPIFIIDIIEENGLAATSALGHSHLEVCETMFGELSFFLEEVSSETEGKPKWKCYSPDPQIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCMEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDVSANVVLEFSQGPTASQVATIVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPSLMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDMLHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRIELPSASLLSALVQSMVSAIFIQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHSDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYASDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVPSLGSASPLQEHNQKAYYVASNISVWCRAKSLDALAEVFRAYSYGEIMSLEDLFVRASPAICDEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEVGFGENGHGAGEKALQSMLLPQSSFKARSGPLQYAAGSGFGSLMGQGGGSAADSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >Dexi9B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:9B:13321973:13322327:1 gene:Dexi9B01G0018670 transcript:Dexi9B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFAAARRLLRLGGRGRSGILPSRAAASSSRSKSEDERPPPVRRPRLESTLWPLGHPGTLLVPEIELWAARPGNRLRGVELQRIVKELRKRRRHRQALELAR >Dexi4A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:4A:22550521:22557060:-1 gene:Dexi4A01G0018570 transcript:Dexi4A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAAAGARKKKAPSPPKHRHDGTSPLPLGMDWSPPPKRWLKSAFPKKDVPSAPPKHAFLRINSSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAARSYFQDRNGRPSEAGSSAKSSSGSSPHADGSASDSLAESNQINQALNRGSSLTGATGNGVLGESILDQSDEHVSSISNHRKGNLVFLEHDGRNGSAASHRGVVSEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGASSSLWDGPVNGHISQTEHLTGLDMQLLYDVDAQVILPNDQNQKLSRLMITMQRRIGTAKTDMEDLIARLNQEAAVKDYLTTKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKIEQNEKTHVETEKTSVSGENETLLEELEMKQKEVESLKQHLGEVEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYLEEKTDLEAQLLARDDEQGSSDDGDNSDSRSSLTMSSEEANVTDEDTTKMLSDLLIDNAQLRMRLNGVIRNAVNTAVKPEKEGSGEVLPKKTVLNWLLDR >Dexi5A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:5A:23902381:23906690:-1 gene:Dexi5A01G0020040 transcript:Dexi5A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSYYLQQSSSSSTAASPSPAVGATAASGPSGTAGAMDVDHLPTYDPRSDAAKEALDASRADLARTLVHLVPVVVLLCGLLLWSLSNTDVPEISVLVEKGNEHTMVARVNIMAQNNGATSNWKGSGMMAATEDSDPIDKAHGTKRRVLIISEM >Dexi9A01G0040510.1:cds pep primary_assembly:Fonio_CM05836:9A:44233717:44235300:1 gene:Dexi9A01G0040510 transcript:Dexi9A01G0040510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRKLQWRRRGRDGIVQCPHLFVAALALALVVADPFRLSPLAGVDYRPVKHELAPYGEVMGSWPRDNASRLSRRGRLEFVGEVFGPESIEFDAEGRGPYAGIADGRVVRWMGEEAGWETYAVMNPDWSEKVCANGANSTTRKQHDKEEFCGRPLGLRFHRETGELYVADAYYGLMVIGQGGGVATSLAREAGGDPIRFANDLDVHRNGSVFFTDTSMRYSRKDHQNILLEGEGTGRLLRYDPGTGAVHVVLTGLVFPNGLQISDDQRFLLFSETTNCRIMRYWLEGPRTGELEVFANLPGFPDNVRSNGKGQFWVAIDCCRTPAQEVFAKRPWLRTVYFKFPLTLKMLTRRATTRMHTVLALLDGEGRVVEVLEDRGREVMKLVSEVREVGRKLWIGTVAHNHIATVPYPFD >Dexi1B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:1B:17520937:17521554:1 gene:Dexi1B01G0012780 transcript:Dexi1B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIDDLMRSCGAGAPVPSGDGQQATPRQQMVATGSELHQLTVSKIRTAVSMLGRRTGHARFRRGPIAVAEHPPPSDDHRQQRSAAGGVTLDFAKACDGEKTAFSGSASGASSSLPSTTTLTSLTAGEGSVSNARFPPVSGHHVAGNKLQPPVTTSMQQQQEPAVSDYYTTVAAGRSKCHDRARSENDVAGGKAHASRCHCSKKR >Dexi3A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:3A:9563203:9564285:-1 gene:Dexi3A01G0013150 transcript:Dexi3A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLGKISRKSPKSGSGDGGSPPQKYPTSRGANGAEAELRASSPSQASAVAAAAGAETREDVFLRKLNVCCVVFDFAVERGRDSPEVERKRQVLVSLVDCVSAAEEPLTEAMISACVRMFAINLFRVFPPKLRSGGATDEEEPFFDPSWYHLQAVYELLLRFLMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCIIQFIEKEPKLTGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMADFQKCVVPLFRRIAQCLNSSKC >DexiUA01G0000230.1:cds pep primary_assembly:Fonio_CM05836:UA:1630308:1633231:1 gene:DexiUA01G0000230 transcript:DexiUA01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVSGVDATRTVLAGRRCLHLSPSTPLSICDLSGFPVACIRSISLEIQKNSSSPKVLSTVVTIDMTTSPWASSLPGDLVRLISSRLLAGDLLDYVRFRAVCHPWRSGTASPRGRGVVDPRFHPHRWMMLPEGHGLYPGHPKLRGYVRFFNLDTGAFVRVQIPLFEDHCVLDSYQGLLMGLPRAISLPRARSIGALGTPDVGMSVDMPRASFFTLSLSAKELAKVFPHGKQRVSGTRAAQTHSPST >Dexi5A01G0024320.1:cds pep primary_assembly:Fonio_CM05836:5A:28200439:28201889:1 gene:Dexi5A01G0024320 transcript:Dexi5A01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVGGRWTRVRTLGRGASGAVVSLAADDASGALFAVKSAPSGAAAAELLRREGAILSALRSPHVLPCLGFRAQAANGECQLFLEYAPGGSLADAAARSPGGRLGERAARAYAADVARGLAYLHGLSLVHGDVKAANVVVGADGRAMLADFGCARAAGSTRPLGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDGGDLLAAVHRIGYTDAVPEVPAWLSAEAKDFLARCFVRDPRGRCSAAELLEHPFLASAGVKAKEVAAEWVSPKSTLDAALWETDSDDSDEEDDLSENPAQRIKELAGACSALPDWDSDEGEWILVLGEQCEASCNLVGVPMKEAAKEMAGEDQCLLLNGVLETEVEFVDADAEGDDPVCSVAVGLTVPSAEQQEKLCIASWKLPEVSNNWCDATIPETLF >Dexi1B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:1B:1948606:1952387:1 gene:Dexi1B01G0002380 transcript:Dexi1B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEASPAAADAAQPAPEPAPETTTTPPASSQPAPAAPAPVSAAPVAAGRGDGKRKRGRPRKYGPDGSLLRPLNATPISASVPDDAGGAQYTPAAAVGAVMKRGRGRPVGFVSRATPISVAVTAAAPTPAVVVSAPAAPPPTQLGPLGELVACASGANFTPHILNVAAGEDVNMKVISFSQQGPRAICILSANGVIANVTLRQQDSLGGTVTYEGRFELLSLSGSFTLTDSGGTRSRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNANKKPVIEITTVPPPPPATLGFTISSGDMEDSYSGSQHPRSATGKGSSTPAVFKVENWTAPAQQPPPPPDQAKKTPPPVTPPPASETKVPVPGG >Dexi7A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:7A:24903197:24909816:1 gene:Dexi7A01G0015040 transcript:Dexi7A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRALFLSCIHGGGADVSRHLALRPRYPSMPRRPRSAAVAGDAREVGGSSSGDIEAANKGAAAEGEEEEDEKVAVFSVAGMTCSACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIREAIEDVGFEAKLINEEVRGKNILICRLHIKGMTCTSCTSTVESALQAFPGVQRVSVALATEEAEIRYDRRIVAANQLIQAVEETGFEAVLITTGEDRSRIYLRIDGVLTERLVMILESSIQALPGVEDIKVDTELHKVTISYKPDQTGPRDLIEVIESATSGDITASIYPEAEGREHHRYEEIMRYKQSFLWSLVFTIPVFLTSMVFMYIPGLKDGLEKKVVNMMNIGELLRWILSTPVQFIIGRKFYTGAYKAMCHGSPNMDVLIALGTNTAYFYSVYSVLRAATSEKYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIHDHEGNVVGEREIDSRLIQKNDVIKIVPGGKVASDGFVIRGQSHVNESMITGESRPVAKTKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISKVFVPLVIVLSLLTWLAWFLAGRFHGYPYSWIPSSMDRFQLALQFGISVMVIACPCALGLVTPTAVMVATGVGASQGVLIKGGQALESAHKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAATEDNSEHPLAKAIVEHAKKLHSEENHIWPETRDFISVPGHGVKAKINDKSVIVGNKTFMLSSDIDIPMEALEILVEEEEKAQTCIIVAMDQEVAGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIENIMAEAKPEQKAEKVKELQLSGKTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVVTAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGALFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYRSPKKFGN >Dexi3A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:3A:13178905:13182248:-1 gene:Dexi3A01G0017270 transcript:Dexi3A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSVSGVLASSSALLSGVVDSASAAFSLVGFPRVSFGRANPSSMTAPACKASSSSSAAVGAGAGEQKPWLFVGLGNPGRMYKGTRHNVGFEMIDAIAEAEGISVSSKQFKAIVGKGLIGDVPVMLAKPQTFMNASGESVGQLVSYFKIPLNQLVVIYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDHLKQTRNFPRLRIGTAIICFSFFFIQGMSQRLFPKKCCAGIGRPPEEMGAISFVLRSFSMEEKEELEVTFQRGLHAVRIMVREGFNKSATFVNTPLPLEMLNR >Dexi3A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:3A:11074166:11083978:1 gene:Dexi3A01G0015010 transcript:Dexi3A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKVIIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNTDSRIPVDKEEVRLRRTVASKKDEYYLDGKHVRHVIVLFKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQDTAANKRKQIDQVVRYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRKISESMSVADNEVVDVREMIKSFDKDIKISTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIRDRIVTEKRAKDEAAKDLQSVRRESEKSKSELAEISKAHHAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDNWLQKEIEDLEPVLLSNRKQEGLLQEEIQKLKDEISNLTDYIESRKSESSKLEATLAKRHNDYNDLRKQRDMLQEERKSFWKEESDVTAEIDRLREDLIKAQKSLDHATPGDIRRGLNSVSRIIKDHGITGVFGPVLELVDCEEKFFTAVEVTAANNLFHVVVENDDISTRIIQILTREKGGRVTFIPLNRVKVPDLSCPQSPDFVPLLKKLKYRAEHRRAFEQVFGRTVICRDLETATKVARSNGLDCITLDGNHELLLLSSHYYFNSEIITCLYKKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQNTSLEKALVKKEKSLDNIRNQIEQIQSSIAMKNDEMGTDLIDQLTSEERDLLTRLNPEITELKERFLLCKNSRIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPLEAESKEQELKSSKRNLDELTSLLKANVDAINNFTKKMDDLKRRRDDLKTREAILEQTVQDGAKDLEQLMNSRNTHLAKQEECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYDCNEQLKQFSHVNQKALDQYVNFTEQREQLQRRRAELDAGDQKIMELISVLDQRKDESIERTFKGVARHFREVFAELVQGGHGYLVMMKKKEGDAGDDDNDEDGSRDPDPEGRIEKYTGVKVKASLICFYYNSFCFVPDMIRRLADDADTQFIATTFRPEIVKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >Dexi9B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:9B:547619:548077:-1 gene:Dexi9B01G0000900 transcript:Dexi9B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATAARPLAAVPADRVAVLPRAPRAVQGLSLGRPARLPARARRSVRCLAALSPEMRATLDKVVGSSKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVGI >Dexi7B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:7B:12964837:12965187:1 gene:Dexi7B01G0005510 transcript:Dexi7B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKKLGDMARAFSSNRWLVFVAAMWVQSMAGTTYMFGAISPVLKARLGYDQRQVAALGVAKNLGGCLGLVAGALSAAQPPWVLLLAGAAQNLLGYGWLWLIAAAKAPALPLWMVR >Dexi6A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:6A:21586953:21587426:1 gene:Dexi6A01G0014240 transcript:Dexi6A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAASLAAPSTSTSSVLLLRRLPSPPAPASAAFARRLGPPSPPLRRARLLLQVALTSEVSSDDVAAEEAAAAPKIGRRVRVTTPLRVYHVLKAPDLDVQGMEGVVKQYVCVWKGKRITANLPFKVEFQIDVEGQTKPVRFFVHLREDEFEFVDEE >Dexi2A01G0036250.1:cds pep primary_assembly:Fonio_CM05836:2A:45820243:45823713:1 gene:Dexi2A01G0036250 transcript:Dexi2A01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAHGPLNLASPIPNISGALKPWPPSSALSLSAGASSTGKNPPAAATAAGDAMLALFETPAGFALFKVLDESKLIHVEDLWKDFASSESARKVVELKAFNKFENTSDALSAATLLIDSKPSKSLRKFLQKHCDGETLAVADSKLGNVIKEKLKIDCLHNSAVMELMRGLRHQLTELMSGLAEQDLGPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRILDDNVEAELKEAAVISMGTEVSDIDLLNIRELCDQVLALSEYRGQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQANPKNKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRAKLQTRLNILENKEFASSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVIPEATPKKSEVASKKRKHQDADTTPLAENVEETEEQDNEKSKKKKKKSKDTEEAAAVDADGGEKKKKKKDANAEGEKKKRKKSDEQDAPMEIDVSSKKEKKKKKKRAEE >Dexi4A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:4A:22107326:22108966:1 gene:Dexi4A01G0018150 transcript:Dexi4A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCSIVLPLLLLIIISNNHNGHSSLPRAPWPRLPLIGNLFFLHHTPTVASLTEVLRRLHAVHGPVVSLWIGSKPAIFIACHGIAHRALVNMDTTFAHRPTSWYTGLNSHGVNSATYGSRWSRLRRNLSSHLAGEHVAGVLRSSANRLVKSLESAAAGEHGGDVVTPSDTFRHAVFGFFAALCFGEGVEEDKLRRLRGLHAEIISLIVELDAFHLVPVFVQVVCYFPRWRNLMRAQRSHHVLVTDIISARRRRREEGVGCDVAEPRCYVDTLLGLGLGEDEMVSLCWEYMNASVKTTTTALEWIMARLVLHQDIQQKLRDDIARQASSDHTTCGERRRRRPFVEAVVLEALRLHPPAHYLLAHTTDKDVTLDKHVIPKGSIVNFDVASIGRNATLWTEPSVFRPDRFMEGGEGTGVHCTNAGCGGPETMKMIPFGAGRRACPGAGVAMTVLQSFVEDLVRRFKWIPVDSNVGTDVDTTEKQGIITEMRVPLQTRLVVRRDNLLFE >Dexi9A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:9A:9251902:9252858:-1 gene:Dexi9A01G0014100 transcript:Dexi9A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAENDQRRQIKGLVLPLPDDILDDVLRRLSPRDLSVLRCVAKPWRSAVDGLLLPRSVGGIFICFNNLDSLEYLARPTTTGTPVSGKLDFMATTTTIAEPSSALLKDHCNGLLLFHHCVVNPATRRCAPLPPRPPELTWRNNKNFYHDEYLVFDPVVSPHHEVFAIPKILYRPGQEHVHYQSSELADPAIENSEWPPSPWNLFVFSSRTGRWEERSFMREGPAGGSGTVASKRWSSESHSEGHYAAYWQGELYNIFVGE >Dexi4A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:4A:8215294:8216293:-1 gene:Dexi4A01G0010300 transcript:Dexi4A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLSSPAAALAVLTTLILFSAYPTPARGGDDYTAFVYAGCSQARYEPGSQYAADVDTTLSSLINSAAYTSYANYTSPSTPQLAGVYQCRSDLPAAVCGACVKSAASKLSSLCNSASGAAVQLRACFVRYGNDSFLGKPDTTVLFKKCGGENAGDTGVVAMRDAALAALVNAAAAPVAGDGSYRAGAAGYVQAMAQCVGDLGAKACTDCVSAAASQLKAGCGYANAGEVYLGKCYARFWSNAGGSSSGGNGVPVGGGGGSGINNGVPVGGGGMGGAGNGYAYGGFVPNPYAQHGKHLLDPVEWD >Dexi9A01G0033660.1:cds pep primary_assembly:Fonio_CM05836:9A:38556496:38557889:1 gene:Dexi9A01G0033660 transcript:Dexi9A01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGRSPATTTTIRCKYCSACLTVTPGERAIQCAQCCGVTRIRRSTRLPLPSLPRPAPPVGFPCARGKKRAVLIGITYAGVRRGCGELRGPVNDVKCMRHLLCQRFGFPNDGIIMLTDDQKDPFRLPTKDNIRMAMQWLVQGCSYGDSLVFHFSGLGAQVPDEDCDEADGYDEAICPMDSFQKGPILDDEINEAMVRPLVHGAKLHAVVDACHSATVLDLPFLCHVSRTGNWQWKDHRPPSGACKGTSGGQAVLFSGYSDGKSKFSVTPEAYASVGAMTHSFIKAVESEPCGVTYGRLLTSMKAIMTNGGGSCNLQGPIGAPVSKIANFSGVQEPNLSSSEMFDIHRRSFAL >Dexi2B01G0031430.1:cds pep primary_assembly:Fonio_CM05836:2B:39591900:39593744:-1 gene:Dexi2B01G0031430 transcript:Dexi2B01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRCSLLSLALAAALSVAVAYDPLDPNGNITIKWDIMSWTPDGYVAVVTINNFQMYRQIMAPGWTVGWTWAKKEVIWSMVGAQATEQGDCSRFKGNIPHSCKRTPAVVDLLPGVPYNQQIANCCRGGVISAYGQDPGAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALMTWNVTCTYSQHMASKYPSCCVSFSSFYNDTIVPCAKCACGCEHKTCVQGDSKRPLTVTGKHEHAHGAAGVRVHRDMEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTAMFYGLKYFNDHLMQAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKVYFNGDECQMPPPDAYPYLPNAAMPAPAASLGAAAVAVVAFLVLVVV >Dexi4A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:4A:18361976:18367679:1 gene:Dexi4A01G0015410 transcript:Dexi4A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGDPAMAAVLGSSPSWFCGRRLTVTSPCVQRTLIDIINAALLVAYASALAAAYVRRQWTATAGRWWRWGLGVVSACCVAAAVGYAAAAAAAFQDASDATAAAPHLVRGLVWLVLAASLHVQPNKPARAVAMLWWVLFSLLVTAYNAEILVTGGELDIAEMISWPVNLLLLLCALGSVLRRRAASDDDDDGLSEPLIGKAGDEAALPTSELYRAGVFRQLAFSWLNPLLGLGRSKALDIADIPLIAGEDTAQHASQKFAEAWSRHVSDNKARSRRSSSVGTNRLALILIKCFLGEIMLTGLYALLRTLSIAVAPLLLFAFVWYSNQEEERDLLVGLALVGCLMLMKLVESLSQRHWFFDSRRTGMRIRSALMAVIFQKQLRLSSQGRKNHSAGEIVNYIAVDAYRLGDAISWMHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKILQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRNMIESLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAIMGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSFDRIERFLLEDEIKEEDVKRVHLDNSHITVQVQDGNFSWNANRADLSLRNVNLSISRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVGVFDSVAYVSQTSWIQSGTVRDNILFGKPFDKELYEKAITSCALDKDIENFDNGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETNRILVMEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITALDTSASQQNQDQGQLLPDENIVPSALQATRQASDIEVAAKGPSAAIQLTEEEVKGIGDLGWKPYKDYINVSKGAFQFSGMCTSQVLFTCFQIGSTYWLAVAVQMDNVSAALLVGAYSGLSIFSCLFAYFRSYFAAILGLKASKAFFSGLMDSVFKAPMSFFDSTPVGRILTRASSDLSIVDFDIPYSMAFVTTGGIEVITTVLVMGTVTWQVLVVAIPVTITMVYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVAIRAFAATDRFIHRNMQLIDTDATMFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGGISPGFAGLCLSYALTLTSAQVFLTRFYSYLENYIISVERIKQYMHLPAEPPAIISEKRPPASWPQEGRIDLQELKVNNSKGTVRNNLDPLGQHSDQEIWEALEKCQLKTAISSTPVLLDTVVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVLVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNSS >Dexi4B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:4B:1340347:1345854:-1 gene:Dexi4B01G0002140 transcript:Dexi4B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMRPPYMWLWILLDSKSFFPLGAQVTWPRRRTEWPASTPPPLRRGHGYGFRFGVVGEGSMVGGLRGGSGGGSRHGGVVSGGGGRQPAGRADGGGERLPWLATGGVQVQRGVIAGCGRGIPVRKKVLDSRGSILSLASRGAEIIWKLGFYWSSLVYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQVAFAIIEEELGQPLERVFSKISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYKQLSGSRALVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLARGTDVSPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSLQAVRSQQLQSKLDLTETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVARDFMLSWSDSILSDRQY >Dexi5B01G0033840.1:cds pep primary_assembly:Fonio_CM05836:5B:34139744:34143573:1 gene:Dexi5B01G0033840 transcript:Dexi5B01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGGDPRAWLAVDETASAFISRSLSSRPPITLPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYFGGLGKSVMYLDLDCRFDVLRLAQILRNRIAEGSTHLRNGDLEKDDTEDEFQSSFENALFSDCMQRFFYVRCYNSSEFTAALKDGVILA >Dexi5A01G0030580.1:cds pep primary_assembly:Fonio_CM05836:5A:33501923:33503834:1 gene:Dexi5A01G0030580 transcript:Dexi5A01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPARMTTMRGASAALLVTLAALAAVARAEDPYHWFEWKVTYGTRTIMGTPQKVILINDMFPGPTINCTSNNNIMVNVFNMIDQPLLFHWHGIQQRKNSWQDGLPGTMCPIQPNTNFTYHWQPKDQIGTFYYFPSIGMQRAAGAYGLITVHSRDLIPIPFDNPADDFPLLVSDWYTKDHTVLAKNLDSGKGIGRPAGLVINGKNEKDASNPPMYNVEAGKTYRFRVCNVGIKASFNMRIQKHSLKLVEMEGSHTVQNMYDSLDLHIGQCLSFLVTADQTPGDYLVVASTRFIKEVSTITAVIRYKGSNTPPPPQIPESPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSKGKVDGKERYALNGVSHVDPETPLKLAEYFNATDGVFQYNLIGDVPPAAGTPLKMAPNVITAEFRTFIEVVFENPEKSIDTFHINGYAFFAAGYVYPRSWTAVMLTFDNAGMWNIRSNLWERHYLGEQLYVSVVSPARSLRDEYNMPETSLRCGKVVGLPMPPSYLPA >DexiUA01G0024530.1:cds pep primary_assembly:Fonio_CM05836:UA:51107804:51109322:-1 gene:DexiUA01G0024530 transcript:DexiUA01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVASSNGNAPTASDDHAAALRSPMLLNYRPSTPPVDDHLLLDMPQQQGCCQLPTFNDDEGDASLAKRGKRRVVDWASVRRACGEWMSNPMNVALLLWLLCVGVSGGILVLLLLGLLDGAFPSPAVRNHWIEVNNQFLNGLFTLLSLYQHPTLFHHTFLLCRWRPGDAVDLRDAYCSGDPTVPRPAERAHMAVVVALLHLTLACQYVTCGLYWGYTVTARPDLLADGFFVLGIVAPLAAAVYAVSSPLGRERHHDLSLLETTKQEQSLSPVVGHVVVEPEWSGRGMFDCAGDASTWWLSLSCTFCVFGWNMERLGFGNAFVHASTFALLCLAPVWVLGVSALHIHDYVIGDAVGVAGVLLCAGGLLYGGYWRIQMRKRFGLPGSRACCGSKSLTDYARWLFCWPFALAQEVRTASLYHVHGEHFYHKQVAAADDDHAAGSIVEPLLLRPRRHRQKLIIWLLQLMTKRQWRLHQFRLWSCTKHEQ >Dexi6B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:6B:10436694:10440277:-1 gene:Dexi6B01G0008320 transcript:Dexi6B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATSRPASVALCAFLTFLNLFAFLLAVGAERRRSTGKVVPDEYDERSYCLYDTDASTVYGVSAFFVLLLQQVIVTAATRCLCCGTALSSRGCAVTAFILSWTTFLIAEACLIGGSVRNAKHTKYLGYYMKHDLVSCAALRKGVFAAAAAMMLINLLASLVYYWSYSKAATGGFMKHQNEVGMGMTDYGLDKGVSGP >Dexi4B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:4B:19978273:19982265:1 gene:Dexi4B01G0017650 transcript:Dexi4B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVVSPEKRSRGPRALLRGGIPTPAEARQKMAAEHDAIKKRKVSEIVEGSAAAAPPPPPNPTEQKLTIRLLSIIVKLAAGGNMSLQVCLGTLISFATIIGMSLGLALFLPIMRESSTAQFLSTLAAILQTISQLIPFYSAVTNIVSCVLCFVSLCLHLTYALRPTPEENAAAEDDPEHQD >Dexi6B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:6B:3897264:3899156:-1 gene:Dexi6B01G0004610 transcript:Dexi6B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEDAAVPETAAGAGAAQTEWYDPMSPPAHVAESHQPAAEDAAGTSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHMYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVKAIGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGTNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSESNLSGEDSDS >Dexi7B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:7B:21997311:21998284:-1 gene:Dexi7B01G0015950 transcript:Dexi7B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDGSRATSFITWTRLGSDSDEVAVPVIEEPQKLLKKAVAGGAWENNGVGGTTWTTQESGMKNHVISERRRREKLNELFLILKSLVPCIRKVDKASILAKTIAYVKELQRRVQELESSTEPIPRLARRHDDDTARKEFVGSKRKGSELGRDIEGEHPWVLSKDSAAASHVTVTVSDKDVLMEVQCQWEELLMTRVFDAIKGLHLDVLSVQASAPDGFMGLNIRAQFAGSAAVAPWMISEALRKTIGKR >Dexi2A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:2A:27614998:27615664:1 gene:Dexi2A01G0016120 transcript:Dexi2A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTSTMPLPRCTGRINMYGQMSHYNSAKAVVASARNSSTVCSEGTSRKKNDYYTVLSLEHSAAVGAEDIKRAYRRLALRYHPDVCPPSRRAEWTERFLELRRAYETLSDPAQRVRYDAEARRAASGDDEGHEAAWTSGVVGGFVARDVWEAQLGALRARSEQRQRARRSGGVRSAVACYV >Dexi6B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:6B:8709182:8709652:-1 gene:Dexi6B01G0007480 transcript:Dexi6B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKIATTTALCLLLMTCGAEALLCSVRSSTFIGWCKYNMSCVHHCVTKGRTGGYYKGIPFFKYCMCTFECDPGGGGDAGGGRGGGGGRAGGGGAQPGQPMPPRPTTSALTMKA >Dexi7B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:7B:23537761:23539705:1 gene:Dexi7B01G0017620 transcript:Dexi7B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQEIQLQIRGIPDEEESVHEDGRNGHKAAMSRPTSRRSFRWWVTVAVDMLMVLCGTTVATLLGRLYYNSGGNSKWMATLTQSGGSPLLLVPLLLTPAPSPEDHRPAAFKMWPIYAGLGVLIGFDNLMYSYALQYLPVSTFSLVAATQLGFNAVTSRLINAQRFTALIFNSVVVLTFSAALLGVGSSSDGTSSSDVPRGKYPVGFVLVLAASAVFALILSLFEVTFEKVIRARTLRWVLAMQMHTNLVASVVSVVGLMASGDWRTIHGEMDAFKDGRARYVMTLVGTAVSWQAAAFGAVRLIARVSSLFANVTATLALPLVPVFAVVLFGDRMTGIKVVAMLMAVWGFLSYVYQHYVDARRAGKDECRVCAGAAREGKDAVLPA >Dexi6A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:6A:184769:185413:-1 gene:Dexi6A01G0000260 transcript:Dexi6A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKYCDQHKDCERQRLYRRFCVALVGIILLALLIVLIVWLVLRPSKPHFFLNNVDIVCINVSSSAALTVTMQATLAARNPNDRVGIFYDRADVYAEYRGLQVTVATSLPPMFQGRNDATVWAPFLSAANVPLPPYLATALAQDETAGYLLVTIRVDGWIRWKAGAFITSHYHLRVRCPALLTVNDGQGSYGSNAGGGRGYFKFNRAAPCIVDV >Dexi9B01G0043130.1:cds pep primary_assembly:Fonio_CM05836:9B:43178953:43180959:-1 gene:Dexi9B01G0043130 transcript:Dexi9B01G0043130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRAMLLLLLLPCVLATSGSILPPPGGPVHCSLATFDCTVTNACGSFNDRETCHASQAVYPRTEAELVAAVASAAREKRKAKAVAKYSHSFPKLVCPGGSDGVIISTALLSRVVGVDVEKKQITVQSGMLLRDLVAEAAMAGLSLPASPYFYGVTIGGLLATGAHGSSLMGRGGAVHEYVVGMRIVTPSMAGESGEVLAVVLELSADDPDLDAAKVSLGVLGVISQVTLQLEPLFKRAVTFLTNGSDADLAEMVDVWGLHHEFGDIMWLPGQGKVVLRKDDRVDISTPGDGLNLGMFRDRPTSDIARSRLEEEQLQEKGSDAALCSVSRNRSMVAEQLGFGLTNDGKSFTGYPVVGYQHHIQAYGSCQEGPDDNGHQEQQLCPWNPRINGSFIYNPGLSVTRSKAKAFIKDVLTLRDLNPDAFCGLDMHSGILFRYVKSSTAYLGKAEDSVELDMAYYRSRVRGTPAMHADVFDELEQMALYKYGALPHWGKSRSYAFNETWGKYPKLGEFLDVKARFDPDGVFSSEWSDQVLGINGRSPMIPGPGCAVEGLCTCIQDSDCASGYVCSPGMLYPDARVCSIPRPQSTIAQC >Dexi5B01G0024370.1:cds pep primary_assembly:Fonio_CM05836:5B:26416820:26429260:-1 gene:Dexi5B01G0024370 transcript:Dexi5B01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGDGVRWPQPRGEAAEALPPPPRPGDRGEVASPRFDSSRALRLLRELGTNVTEDLVVLMPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMTLQINKCGKLEAWLEDMWAWMKQFKDAVRGVMHEPGPIATKLLAVKFIETWILCCTSQANSDQRQPTEGKNGRFDISRLSQFHTSLDPGVLEADAHRALILLLDILQSAYAHRGSFLVGTINSLAAVVKHRPIYYDRVLPVLLDFDPGLETAKGAHSASLRYSLRAAFLGFLRSPHQAMIEDESPAWEMPYEDNRKKPSARSSDVLAMSDGTAKRARFDTSASSNLPVMGLSNYSDMQADNDANVGHSSDPAILSSDASPVEKMIEMIGALLAEGERGAESLGILVSTVEADVMADIVIETMRHLPGASFPLATIKGVQKPNLKYSSSLLTENLPANSDSSLFAAQSTPSADGGSILPSDPFVVPGVHDAKRDPRRDPRRLDPRRTVSPAAVNSVQAKVETNSVHQTDNLPNTLCSNSGKAENCSDYSGELPKNEDENSSSQPNQTIAIDKSELLDVATEQEPTFEVEAPVDVGIHSSDVDEEMPNPISSEVASVDQSDSLDVEVDPFLPVSEASTPEDTNHELSVITSNLELSDKEKSLLNKLALGRIIDDYNKNSLNARFSLLAHLITQSADDENIMDLIQKHIIFHYHDQKGHELAMHVLYLLQSMNVANSPESSNSTSKHYDKFLISLARSLIDSMPASDKSFSKLLCDAPYLPESLFRLLEGLCMSEDNSQQIKDGDGDRVTQGLGTVWNLILGRPPLRQVCLDIALKCAVHSQDEVRGKAVRLVAKRLYDLTYATEKIEQFATESLVGVSNEHDVNTDMNLKSLEASTAEGKVGSQETSVSGSQIQDAVCSESGSSKVSPKQAAVSLSEAKRHTSLFFALCTKCIHWHMPSLVNNLGSSCPEMLNIIHNPPEGSVHLITLILQTLTDNSTPSAELVAAVKQLYNTLKDASILIPLLPSFPKEEVLPIFPRLVDLPLEKFQDALARILQGTAHTGPALTPAEVLIAIHDINPDKDKVALKKVTEACTACFEQRTVFTQQVLEKSLNELVERIPIPLLFMRTVIQALDAFPPLVDFVMEILSRLVNKQIWKMPKLWVGFLKLAFQTQPRSFDVLLQLPPPQLEYMLNKYPSLRTPLSSFVNQRNMHNTLPRQVLKILGFISEPQQAPMSFVPATIPTADATPSLPGATLM >Dexi5B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:5B:18409004:18409588:-1 gene:Dexi5B01G0017270 transcript:Dexi5B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESFLSRFDDDDNLPRSVQCCIDVFMFIICIAMATLFGYILFVDPYLSFGSIKFSMEPVAYAGLGPDGATAPPAFNVTLHATSTFGRRFCSTGGGTLQAAFSGLTVAVGQVAPFCVDPKASAVIDGAASSAWMALAGAFRERIDRGQQEGGVELEIDLGFRNEIKGPMWVRCRTMLDANHKAHCTTFILSLF >Dexi2A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:2A:5335724:5336708:-1 gene:Dexi2A01G0005560 transcript:Dexi2A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPNPKRRSAVVAGLPDDLLVDILARVPARSLCRSKCIPLHRKRLPQTLHGFFFVDEKIHGRRPIEFASLQRESRSAPLQIDTSLSFLTKRPGIETLTFSDCCNGLLLFENKQKSRPFDLLSYVVCNPATEQWVVVPRHGPPALAHVREEATRYDYLVFDPSVSSHFHLVHFGWESKESMEFEGGFAFDDDDDDDGVHLVHFHNIEEGEMYRTTLHVYSSVTGKWTRMQSGWSQIQSEWGWGEHNLEGWHHQGLVPYQRSRCAVLNGMLHFVTSDHRIAAVDVQEATEKIIPLPALPEKKSWPEPGYVAQSQGKLH >Dexi6A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:6A:24685174:24686808:1 gene:Dexi6A01G0016830 transcript:Dexi6A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGYEGYKNIMENCKENAAILREGVAATGRFDVLSKETGVPLVAFSLKDSSGFTVFDISENLRRFGWIVPAYTMPADAEHVAVLRVVIREDFSRSLSERLVSDILKILRELDARATHAVRVSSTTAKQSDDGIVAKKSVLEIEREVAARWKDAVDKKKTGVC >Dexi3A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:3A:6908700:6909882:1 gene:Dexi3A01G0009800 transcript:Dexi3A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLDKIKKDIQDKDKHKLLGDVEETQDDCEPDQPKEDCPLVQKPIDELLLLLLLLQSAAPPDQARSKAMRKLATWRDHIFRIAAERLKMLLEFEAVDLAQQQQLQGKFYDARYKYILVKVFLKAGDGGTGPQEQDSTVAGQIKEVISNVREMIHEVQEAQGRDGPVIPQDVSAETEKKMEQIKRMIQDLMENKWITDKIVDNLCSKKIDDDHLRGINRPMLVILMIGEKIDGSTWEENRYALNLLMEHVAGALVVTTTKSTHQAREYCDPKAKEPIEFSPAGLYYDTVLQLTSHHKNQDCCSPKIIRDILHECEPYEFCMKIFAHTFYAKPKRNNEELSKLCSNLQGVSPKSFANVALKQSSLKDATSGGQH >Dexi5B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:5B:3289576:3295749:1 gene:Dexi5B01G0004860 transcript:Dexi5B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNPFKGVVADFKGRAAWYKHDWAVGIRSGFRILAPTMYIFFASALPVIAFGEQLSTATRWLRSFIADYGVPLMVIVWSALSYTLPSKVPSGVPRRLFSPLPWQSSSLGHWTIVKDLFSVPTAYIFAAILPALMVAGLYFFDHSVASQLAQQKEYNLKKPSAYHYDILVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKRQLLSRKMVDSAKESIGKSATSLEIYGKMQEVFIEMDSEQNTDSVDKELKNFKDAVLQEGEASSGVASGVYIAREMFTWNAAPSPSQQAKTRGVDPVKR >Dexi3B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:3B:7408199:7409389:1 gene:Dexi3B01G0010590 transcript:Dexi3B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGTIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >Dexi8B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:8B:19823258:19827737:1 gene:Dexi8B01G0011140 transcript:Dexi8B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLPPEYVSLQLLSEAFDIFSLGVIITKIMIGNDGYNKFVDLPHRKLINQVRNNWKKRLQDTVRPGSLDAYCLQVKTCIDLAKRCLNKDRHQRPDIKKIVSTLDEVEIMIPLQMEQLHHGEMVHASDISNTVTSLERTAGATTTTESKSSFPTLTPKIPFKLLKNITDGFSDDRLLGEGAFGTVYKGVCEDGQVIAVKILRHTLKHLPDDSKFEAEIHNLSKARHKNIVPFVGYCYETEENGSLQKFMYDVFDGLDWCTRYKIMKGTCEGLRYLHEELDIIHLDLKPGNILLDENMVPKIGDLSLSRLLGEERTRHTNSAVGTR >Dexi8A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:8A:3306668:3307477:-1 gene:Dexi8A01G0004220 transcript:Dexi8A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSISCSFLVLLAAVAAICALPWLPPSAAATAVSGGSAHRHLTAGFTRVRLKESQFVVQKPYDVPLRDRYKESGGVRIMWVFATDKPISNTHPGGARTEIKIFGAATHATTLMLHVYDGKLTYYHDLSRVIADRVYDRWIRLNVVHDVGAGKVTVFVDGERKLNATGQGGTAHYFKFGVYKQSHHQPSHRMESHWKNVAVYTKK >Dexi1A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:1A:1225013:1225620:-1 gene:Dexi1A01G0001820 transcript:Dexi1A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASAASATLVNLGGSEPGFCLVECVSITQGKSFNMRLEECDQLVKCVDEEGGNCGELDQLKMNVDEGDGAYGSIPECGELDELKKFVDEGDGASGSMQEGGELDELKEEEFVDEGDDASGSMHYRYLYRLTTFSLSFDSNGDLTTGETCVVQCYKVPEGVSDAIYLADPVPFWL >Dexi3A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:3A:9568789:9569461:-1 gene:Dexi3A01G0013160 transcript:Dexi3A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEPARSSTESSSAASSGLDFEDTALTLRLPGSDPADRKRAASTSDPAAARSPRASDAPPSPKARVVGWPPVSRNRRIALPRGKFVKVAVAGAPYQRKVDLEAYAGYDQLLAALQDKFTAHFTVRRGANEEMQLVDVVSGAEYVPTYEDKDGDWMLVGDVPWRSV >Dexi3A01G0034910.1:cds pep primary_assembly:Fonio_CM05836:3A:40182322:40188777:1 gene:Dexi3A01G0034910 transcript:Dexi3A01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDASFHAKLGDFGLARLVDHGRGSHTTMIAGTMGYMDPECLIAGRANAKSDIYSFGVVLLEIACGCRPMVVRRGEENPIHIVQGVWELYGKGDILEAADARLKGEFDAGETEAVMVAGLWCAHPDQSLRPSIRQAVNALRREAPLPSLPAKMPVATYLPSCA >Dexi5A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:5A:9248037:9251198:-1 gene:Dexi5A01G0012330 transcript:Dexi5A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPAAAGAGHDYPTIDSTSFDVVLCGTGLPESVLAAACAAAGKTVLHVDPSPFYGSLYSSIPLPSLGSFVSPDPSTPSAAAAASGSHTAVDLHRRGVYSEVETSGVVPEPARRFTVDLVGPRVMYCADEAVDLLLRSGGSHHVEFKSVDGGSLLYWEGSLYPVPDSKQTIFKDTTLKLLEKNVLYRFLKLVQDHIAATSGAGDAAGIISEEDLDLPFVKFLNQQKLSPKMRAVVLYAIAMADYNQDGPEPCEKLITTREGIQTIALYSSSVGRFANAEGAFIYPMYGHGLLDVGMVMLFLLVDPVSILDLALEEQQVATVRLLQLSSNLAVCPPGIPLDSDGSQGQLATTSGSTGDVKPTLIWSCVYVQEITQGTSSSLLSCPTPDENLDYRNILESTKKLFTDIYPDEEFLPKKSAPVHDDEDSDSGE >Dexi5B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:5B:12503663:12508109:1 gene:Dexi5B01G0015330 transcript:Dexi5B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAAADVFIPACAAVGIAFALWQWFLVSKVKVSAYAAAPSSNGHHHGGPVFRMEDDEQEAVGMGGDHHRDEEEEEEGAGDGTIAVARCAEIQSAISVGANSFLFTQYKYLAAFTAVFAVVIFLFLGSVHRFSTDSRPCQYTTARACKPALANAAFSSIAFLLGAATSVVSGYLGMRVATYANARTTLEARRGVGAAFATAFRSGAVMGFLLSSLGLLVLYATIKAFGLYYGDDWEGLYESVTGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHDFAAVAYPLLISAVGLLVCLVTTLFATDLFKVKTVRGVAPALKLQLIISTVLMTVAALIVTFAALPGSFTLFDFGEVKQVKNWHLFFCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCKTGAATNVIFGLALGYKSVIVPVFAIAVSIYVGFTLASIYGIAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVADVNVLNPKVFVGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFVAIPGLMEGRAAPDYARCVRISTDASLREMMPPGALVLLAPLVAGTFFGVRTLAGLLAGALVSGVQIAISASNSGGAWDNAKKYIEAGASDHAKSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >Dexi9B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:9B:9309708:9310832:1 gene:Dexi9B01G0013900 transcript:Dexi9B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQRPGCATVAHRRRIRAQALVPLDPDQRLGQIARRRQLVDPRVELGLYGGRWLIEHGRDWRAKSGAGHRSSAVIPVRASGRINRGREVRYSSATLLLFLVTSGVEDGRGEEAGDGETSSDTSLSSSMAVDRESEIRSGFDEEGRRYL >DexiUA01G0027810.1:cds pep primary_assembly:Fonio_CM05836:UA:59650032:59652700:1 gene:DexiUA01G0027810 transcript:DexiUA01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRFRRFPSKVKKGKGEKRREGAEAPASPAAASFPSTGARSNLSEDSSAAARAAAASKSSGSVSVSSARSIPELYEERGADNLREFGFRELRAATSDFSRLLKVGEGGFGSVYKGVVRLPGGPPGGTVVAIKKLNPNGHQGHKQWLAEVHFLGVVEHPNLVKLIGYCATQSERGPQRLLVYEFMSNKTLDDHLFNKAYTVLPWNIRLEIALGAAEGLMYLHEGLEVQVMGTLGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSVERNRPKSEQKLLEWVRQYPVESKQFRKIIDTRLEGNYSKQGTRKIAKLANSCLARQRRDRPTMREVVESLKQAMQHKDLNGVARASGESSPPDEVSGKPTTEDVAVASARRRMLHLAALGENANSIARRKFMLMRAAAAPTPT >Dexi3B01G0021660.1:cds pep primary_assembly:Fonio_CM05836:3B:16509683:16510846:-1 gene:Dexi3B01G0021660 transcript:Dexi3B01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHWLSVVGSVWLQTINGPNADFPVYSSQLKEIKGISQVKLNFLASASDVGKFFGWFAGVAALYLPLWAVALTGAAFGLVGYGVQFLFLDSPRLAYGHMFVLTSLAGNGICWINTVCYLLCINNFPSDSRVAVSLVTSYLGLSAKLYTTVAETLPRAARARYSTTKVYLLLNAVVPVFVALLAAPSLRVVELNKDRSKKTTRAPFLAMFAITLATGACAIVGSVGAKSIGLSTREHMVSLVRESMAKIRETAKWENRVHDHDSDGPETVVVEVEAEDKQEEEQGQHQDGEQQSISQEEVGGLQLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLANASTLVSLSSSFGFFGRLLPAFLDYYTAK >Dexi9A01G0027650.1:cds pep primary_assembly:Fonio_CM05836:9A:32334193:32337097:-1 gene:Dexi9A01G0027650 transcript:Dexi9A01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGGGGRESCADEKGVRPLLPEMQSGMSPPPGGSNGHHHQQQRVASMESGGGDASRRRFNWKAPVIVLVFELLESIAFSGVALNLVVYLATVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASIAFYLVGLVLLTVSAAVPSLRPSTACQLGGASPCAPASKTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDEHERPERKQAFFSWFFAAINLGIFIAGTLISWLQQNVAWALGFGIGTACLLVASLAFVSGTPWYNTQMPTGSPLKDIIRVLVAAFRKRHVTLAREDGAVVPLHEEDDDVITGKDKSSPSGAGAGQRLVRTKGLRCLDKAAVVAKEEEGKWSLCTVSEVEGVKILARMVPIWATCVLYAASLGQMTTTFIQQGMAMDARLGTFKVPPASLVSVEVVFMLLWVALHDAAVIPLARRLTGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRASTSAPMSIAWQVPQFVLVAGSDVFCGISQLEFFYGEAPPAMRSICSAFSFLALSLGFYVNSLVVTLVAAMTGRPGWLAPDLDAGHLDYYFWLWTVISVANLLLFVVLASRYTPKQVAAVEPRPRSSGGGSSSDE >Dexi9A01G0031530.1:cds pep primary_assembly:Fonio_CM05836:9A:36530911:36531994:1 gene:Dexi9A01G0031530 transcript:Dexi9A01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKIFLLSGKEMVNKKGKSDGDGPVRERTITWDEEHSKFMLNWYIEYKKNQHAGFVWKRTHHAKCADALNKQFAMGVTIEQVNRHYRDYKEKWKIVERALGNSGNGLDATRCKITISESEKEKLSDRARRLLAKPIKFFHDMEELFKGSNADGSLAMDQETCLDDDKDCDSDDSRGLNDISGYAHPADHADDDSDTLPSPEAKQISPNYAASGENSSSSTHRSGKKRSRGYKSPSKKPLKSKSRFSDATLEITTTIKEISKSLAEPPPPPLVLKLDNPHAELWKRLEALTICIEDKIKVGTYLARPENEGMRCWLDGSSATTLETWVYQFLCGQDDS >Dexi9B01G0041400.1:cds pep primary_assembly:Fonio_CM05836:9B:41869269:41869515:-1 gene:Dexi9B01G0041400 transcript:Dexi9B01G0041400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQKGVVWDEELCTIAPDAFISDVSAAAFNFVRYLKVYFDVADSMKVWSNKSGGXXXM >Dexi8A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:8A:2209813:2213386:-1 gene:Dexi8A01G0003080 transcript:Dexi8A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMMRPVLHLMVGLVLYGVAEEMTVPALVDKVTAALCPAANSSCPEALYLTGLQSSAGGIFRTIGFTLIGQLADEYGRKPLLLLTASTSIIPFAVLAWNNSRIAVYVYLVLRTLSFMIGQGTIFCLSIAYTADAVETSRRATAFGFMTGVFSASHTLGSVFARFLPERWIFEVSIALLICSTLYMKIYLVETIQRAPSVPSQHSSMSSLLARLPQQRWESIKENISIVKNSHTLRRISYVAFFYKLGMIAISDVLMYYLKSVFGFDKDQFSEILMVVGVGSIFSQILILPFLSHIIGEKGVLCISILASISYALLYGVAWDSWVPYFSSSLGVIYVLAKPAIYAIVSGEVLSTDQAISFCLAWRLNPESKDDKCRKLVISDEEAAQVEAPLLVPRPKP >Dexi9B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:9B:11027359:11029021:1 gene:Dexi9B01G0016110 transcript:Dexi9B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITRLTEFPPKSTLDPSKYGDQTSTITAEHIEKNLEGLTVQQALDGNRLYILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLRADGRLSPLAIELSEPYVDGNNLTTAKSKVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLHPHYRDTMNINALARQTLINAGGIFEMTVFPAKYALSMSSAVYKSWNFTEQGLPADLLKRGVAVPDASSPYKLRLLIQDYPYATDGLAIWHAIEQWVSEYLSIYYPDDATLQGDVELQAWWAEVRDVGHGDLKDAPWWPKMEAVSELAGACTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTDAYAELERDPELGFIHTITSQIQTIIGISLIEILSKHSSDEVYLGQRDTAAWTSDARALAAFQRFSDALVAIEGKVVGENRDPQLKNRSGPAEFPYMLLYPNTSDRTGAAAGLTAKGIPNSISI >Dexi4A01G0023520.1:cds pep primary_assembly:Fonio_CM05836:4A:26585119:26586263:-1 gene:Dexi4A01G0023520 transcript:Dexi4A01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPESTPGRDLGPRGSPPAASLLPNSRGGSSSKAKADVGRKATAEVDLLLAKLEKEGVQLDDKIATIIDDGIARIKAEAAMENISEVNRKGKLVLLTIVSVAVGFVMGVDWFENALRKKLAKSRRE >Dexi5A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:5A:9577078:9579034:1 gene:Dexi5A01G0012720 transcript:Dexi5A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALAFLPRRRPFSSPCFLLCFLLGFVAGLFPFAHHHLLHLDLHHDLPLPGSLPPPALARVAEAAEEREPATLIVVTPTRARPMQAYYLHRLAHTLRLVPAPLLWLVVERGAATRETAALLRGCGLMYRHLASRRGDAPLDARRPAVRGLRQRNAALDHIEHHRIHGLVYFADEDNVYSLDLFNQLRSIRSFGTWPVAMLGVGKSKTLLEGPVCDSSRVVGWHTNERNKRSRRFHVNTSGFAFNSSMLWDADKRAHQAWIYIRLLDTVREGFQETTFIEQLVEDETHMEGIPAGCSKIMNLNLRLEDKHLVYPKGWQMTENLDVIIPL >Dexi2A01G0031960.1:cds pep primary_assembly:Fonio_CM05836:2A:42604631:42605041:1 gene:Dexi2A01G0031960 transcript:Dexi2A01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIPVALLLLALVAAASLQDLAVAADNGAAAAPVPDAVCDGKCRSRCSLKVAGRCMGLCMMCCRDCKGCVPSGPYASKDECPCYRDKKSPKTQRPKCP >Dexi9A01G0046920.1:cds pep primary_assembly:Fonio_CM05836:9A:50118951:50120418:1 gene:Dexi9A01G0046920 transcript:Dexi9A01G0046920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKDGGDGGAEEAATAAAAEPVVLKMELHCAGCAQKVKKAIKRVPGVESIMADAAANRVVVAGTANAAVLKARLEAKTKKAVEIISAGSGAKKAAPAAEPKDSGGAGEKKGAPPKVEKEKEKKQPPEEKKPIEETVLLKIRLHCEGCADRIRRRIYKIKGVKDVVMDSNGKDEVKVTGTMDIPALVSYLKEKLNRDVEAVAPAKKDAGGEDKDTKKDKGGDKNKGAAAPGGGDDTKGKGIEVTSAGPSTAAAAAFMAAPAGPSTYHVAPPQGYMAYQQGPPPPSASYYTPYPYYGGNGDGIGHHANPTYYHDPYQHQLPDGGQHQPTTAYPPYPYRFDMAPAPQLFSDENPNACSVM >DexiUA01G0015350.1:cds pep primary_assembly:Fonio_CM05836:UA:32198929:32199581:1 gene:DexiUA01G0015350 transcript:DexiUA01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPTSFIFFLWQPLHEELYNMHPSAFFLPAFLEAVKSNSEESFRSIMKEPIPGVYSFAMLQPTFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFIAPISRVFYPEVGGGTLDSHHAFVVEYGKDRDVELGKFLHGSWNSF >Dexi9B01G0022540.1:cds pep primary_assembly:Fonio_CM05836:9B:17215757:17223340:-1 gene:Dexi9B01G0022540 transcript:Dexi9B01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARHDSRQFSWLWVSHISPKNSKWLQENLSDMDTKVKSMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTMSEAFPNQMPSMSDESPSSSGQEMEPHTPDMSTITQAPFDSVAQKDGAGVSPHHSISKRNGTHPEETSALSSRKGLKLFNDLSSSGENAPRAGFEGKVRKGLTFESPEAKVKEDISKDMADLQQEVSRLLAESQNLKQQMLSESERANKAENEIQILKDTVLQLNSDKDTSLLQYNQSSERISTLESELSKSQADLKKLTDEMASDVQKLINAETLNIAIQSEVEGLDQKMKMQQQELDQKLKELESFRLSFQEEHEKRMQAENALLSQGKELAQSHEEVQRLTAEIKMTHEKLNELMQTKDGLENTVCELKKDVESLTEQNHSSEMLIQKLHDEINMLKDSRNELQSEMQSLKSIISQLSTEKNAALLEHKQCVEQVSVLESQLSKLQSELEKAAQKVQLLTQDLEQKREELNSAHNQLQDECHRRTQTESTLLMTEGLHSQLQEKLETLTQDLDGSTKKLSEFENDKLNLESTLKELKNTILVLNSEKAAALLEQQQSLEKASDLESQLSKMQLELQRHDQKIQLLELEIAQKNESVDNLELSLRDECEKRLQAQTSLASMERMYSQSQEDVSRLHLEIEKQNGKLDELGNLSSELKNTILILNTEKDAAIHENQQSSVRISDLESELTALKADLEQVQGKIQVLDQELKHKIEEADNLQISLQDESQKRVEGEASLLMMTNLCADSQNEVNRLAQEIEKLTGNLRQVENNKIDLENIVTKHTEEIHILREQNLSTELMIKDLHHELEILKELNVKLQTEMGLHIGEKEALQRDFACQREEKENLEGMHHTLVDEMDALKTSAAINQKLIEDLQTTNSKLKEVCAKNEVEKALLSEKLQEVEKLSEEYSLLENSLSDANAEMDALREKIKAFESSESSLKDIISCHVSEKAVLTSELEVLGKSLSDISEKNSILDISLSDMKTELEDLRAKLKNSEESCQAHLTNNSALSAEMDALRENIKVLEASESSLKDAISCHVSEKSALASELEILGKSLSDVSERNSTLDISLSDTKTELEDLRTKLKDSEESCQVHIRNNSALSDEKNNLFSQLESITVIMKALEDKHANLEYKHSFISKEKDFAYNQVKELQGQLRIKNEEYDVAEKSHQLQVNSYEKQVSSLQKKNQYMEEVLEQEQQKNLSSSINAVILENSLADEHDKKVALFTECKKYAEANHSATMLVSELMEEARYDKEERKALLMHNEKLREGISQQMKVLNICKDLGPAQLAEDEILMQTVANETINVLKLKDETEDVNRVTYIELSVLSTVLLHVGMELRDLHLQKCALEKEVASGAEELLSFQNRNHQMLEQNELLRQGLKESRDREAVLKTEVFVVQEKLSCLAESYKDSQDEILNLTKENESLSKEYQSLNEKCNYLEDENGTVLEECMMLENLCLFFRGHNNEIASALVSLTDEMALLSLAKGDLDLEVNELRRGSAVLESENNHLKEYFVCLLEILRSRLVVSEFDLNTNKSICQELFTELQNCMAQLTQKDDELLEAEEKVQSLQEKNRELCGVVGSLQVAIEGAKVVKEELEKKITRLTEQCTAKDDEILLLNQANEGLQSNAEQCEREFVALMGDAITSSVNSVVYEEKALKFLMEGKATEISAITEKELLMSEIYSRDAHVEELQKKMTGILEEHAELKAELSTHLNLIASLADHVSVLEENTVSLSKPYSTEGKEETAQVPHVQEGDELKSQCIREGTPELQGLIERVEALQVVVLNAKDRQDQESAESASKLAAANNEIQELKARGSSRMEAKEIYSDNEKQKDVEISKGKQAQIMKDIELDQISTCPPYGTGVTLYPLGNGGNAELDDEMLQLWEAAERNCRNQTAKSSSSEHDIQAVEEVKSEYPSSELVSGRDLGINKLEVSRGPVEHHEVWSKSVLERLASDAQRLLSIRASIEELKRKMEEPSKGKSPLNSEYSSVSTQLHETEGYVLEQINFNNKLTRKTENYPALSDSMNIEREGYSSKRKISEQVQKGSENVARLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRRDRGGGQKKKRAPFCGCVRPKPRTEP >Dexi1A01G0024020.1:cds pep primary_assembly:Fonio_CM05836:1A:30675190:30675664:1 gene:Dexi1A01G0024020 transcript:Dexi1A01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEIDSDIIRYAPLSHRIARRADGLRYLASSTSSRRDALGRPTRARARRRLGHVHVPSAGAEEVFSYGRGERNLPSTALQPGSRPVDGDVVPEMRGCNA >Dexi9B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:9B:797501:801007:-1 gene:Dexi9B01G0001360 transcript:Dexi9B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVGLNPGEELDFGGNQEDDDEAGDISPGSKELAAMVEAAAAAESVELDDGAAASAAQYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGNGKEDACYQARPTAKTNCQATVVARLWGDGLLHLTDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSASGDIDVPIFDDWGRLKIGAADVVAINRELLEYEAMRTELETVVYDSLNDDEFEARWRSDFKLLHYPDNPSKDLSASNHVERYDYISMQFLRLVEIGVSSDEKYRHAVRLIKDMKETLLDDNICRDLEQKLTPAERAIEEVNPSELSFDSRYGVQSGHPNHFGNWACLDFGMLAAAEDMRAEGLKQAG >Dexi5B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:5B:8572218:8573636:-1 gene:Dexi5B01G0012080 transcript:Dexi5B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGGNSRVRVVSRRLMKASDTSIEPHVLAVSNLDLLPQSMQTSLFCIYARPPPSTTGNFKTAVAIFASRLPTFLNHFFPLAGRIAFNPRSGLPEVHCSNQGAELVVGDASVTLASLDYGQITSTLRQFQLPYDMDVALSVQLVSFTCGGFTVAWCTNHVLMDASSMILLVNSWSEHARSGALPAGVRPNHDRSLLFRPRAAPSYSASLDEAFTPLDKRHQVNVLTTQQSMVERLYYVDASAMARLRDAASHGGGARATRIQAISAYLWKALAGVVGEADECCRMGWWVDGRSRLTTPKVSDALTNYIGNVIAFVVREERVQELVRMPLADVAAMVREAIAAPAYDEHFQELVDWVEEHKNKRYIDTASIGLGSPALRITAAAWFDTDFFGLGQQATLATVTATLVARLCAGFVRLGPRPTGDGSWIANAFIWPRLAAVLESDEPRVFKDVTAEYLGLASPQVLRGRL >Dexi8B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:8B:23829787:23833944:1 gene:Dexi8B01G0013540 transcript:Dexi8B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGRFLSTSSAATASFSPLRTLTRSLLRRPHPRLLSSASAAAATTAVEPDTKGSGGGASGGGGGALRPQWKASIDFKWIRDNRDTVADNIRSRNSAANLDLVLELYDQYLALQKEVERLRAERNAVANKMKGKLDPSVRQALVEEGKNLKEALTGLEEDLVELTDKLQLEAQSIPNTTHPDVPVGGEESSVIRKQVGSQRSFGFAIKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAISEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIENSDQCLIGTAEIPVGGIHMDSILLESTLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKWHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPAPSEPPLPSAKKGKAAGGPTQFVHTLNATAVAVPRLIVCILENFQQEDGSIVIPEPLRPFMGGVEVLSPKSK >Dexi8A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:8A:29095942:29096253:-1 gene:Dexi8A01G0017370 transcript:Dexi8A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVAGVEKIVKLGLAIKEAVDTVRHNEEECNEIRRRVLRFSDILSQLQQTGMMNDSPAMSGALEDLEESLQRALQLVMACQERGTIRRLIGQGSSPSSCAG >Dexi6A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:6A:26578410:26580172:1 gene:Dexi6A01G0018960 transcript:Dexi6A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAVVGRNIFNQTVAQQHKKPTTQSKPIQPSVSNFPHPGRPSGQPLPARVLARIRAPSPHRNPASAASRFASSLPPPLPRRAPDPKMAVPLLTKKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKSTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >Dexi4A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:4A:25009249:25009538:-1 gene:Dexi4A01G0021480 transcript:Dexi4A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRQKVMDQELQLNIELKATFDDADFLKKQDKSHQQRILKAKEDLASAEKELEDLHPYELPRAQMVS >Dexi8A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:8A:23677585:23684539:-1 gene:Dexi8A01G0013580 transcript:Dexi8A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALGYAKSALAEEVALQLGVQQDQAFITDELEMMQSFMMEAHEERDNNKVVKTWVKQVRLEHVGVAVSSSQFVFDISSNTWWASAMAAPLRQLLVQAHLVVGVAVERGHGVVVVVLAVDVMDGEPAVQLGILSNTLVASRLGRGKTSNTLWATAMAAGSGRTCSRRSSPAGCRQLRGGLGSATVASWRRSCSPTRRRLGSARSLSCRAGVVSGGGLVLRLLGAMASALLLLGSSAAQRRGELPLVAADGRDGVLLLVVVVIGGTSKSCWWLAGPSEKEREVVACWCFGWKKNREEDECAAAAGRKRKELRYERDGEIFIEAIFGVDEARRAAKHDNQRVDLVQLISMEDDNLKVIAVWGTSGDIGQTTIIRAAYESPDIQNKFLCRAWVRVMHPFNPKDFVQSLVNQLHGAQGVEALLEKQKTEHGLAQEFNGYVDDRRFLIVLNDLSTIEEWDQIIKCFPNNKKGSRIIVSTAQVEIASLCAGQQSQASELEQLSVDQTLYAFYDKMNAI >Dexi6B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:6B:1919367:1921087:1 gene:Dexi6B01G0002190 transcript:Dexi6B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSARRPFVFFGGSMGLLAAQHYCSRTTPVESPPPAKATTGNGVLVVTTHCAYLATTQDEDDESCKHDTFAAMVHVKAPTVVGDDEARRTPLDLVAVVDISASMSPKLEEAKRAMALVVDGLGPRDRLSIVAFSDVARRVLPLTRMSEDGKATAKLAVESLVAGDGSTTETTNIRAGLDEAAKLARRRRRDTTDTTKKTDYYSDLVPRHLVSDGELRHTPVHTFAFGSDHDEAALHGISSATRGTFTFVGNNHHAPSSSSNLQDALARCVGGLRSVTARDVSIEVEGDHLDLTITAVKSGAYKNEVNDDEGASVSVGELYADEERRFVFFLDAPRDEDEDDEADVSDYVGNRLIAVRCSYRDVATEQDISVEAHDEYMDKLTSTEVEKERHRVEAADDVALAYAAAERGDFAEAARILAARREKVTSSAAAVAGDVACEALAAELDELRRRAAEEGEYRRTGRASLLASMSAHAQQRGSAMFATPAMRKMEELWEMRRRREAAPATAPAPMKNAPPRRSRLEARLAAAAPRISMLRRFRRLAPFIKR >Dexi9B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:9B:3639038:3643993:1 gene:Dexi9B01G0006090 transcript:Dexi9B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPTAAYDGPAAGAAARAADQRSYADGGGARNGIGTSTFGIAGKGGSVTCGTVIAGTAGMGGSVVVTGGMVTQGGHGREARDGGGRSWGFRRRVGQLLARTSAHAMTMAKKLAAEAIALPKFFMRADLALVIRMAGRGDWLQRSTDVDALAPVLAPTRAELAAAGSGSGHPTPLLAVAGSIVCAARLQQHYGGGSRIAVAFSVRCGLLPGDLLCSVSIVAVSMPQSDPPVFVACGRIEEERRGEEAKRARMYNAPPPQDMSYYGHCQRRHEEKGCLYAW >Dexi9A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:9A:11447441:11449387:-1 gene:Dexi9A01G0016440 transcript:Dexi9A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPPASSSSASSAPAPSLPPLLPLPPPPSSSEPEEHHHPPPPPTIADKENAAAAPLRLTRAQAKRAAAVTAVAVAVAAKRKRVALSELSGHPNAAHEWDRDDDGAKPARKQRVLPADPEPEPEPATKSTKTRAAALKPAAPLPAAAGDAADGEEEGDPQLCAPYASDIYSYLRSLEAEPRRRPATDYIAAVQVDVTPNMRSILVDWLVEVAEEYKLVSDTLYLTVSYIDRYLSVHALNRQRLQLLGVSAMLVASKYEEISPPNVEDFCYITDNTYTKQEVVKMEIDVLNVLKFEMGSPTTKTFLRMFIRSAQEDNKKYDSLSFEFLGSYLAELSLLDYGFLRFLPSLVAASVVFVARLTLDPHTNPWSKKMQTLTGYKPSELKDCVTSIHMLQLNRKCSSMMAIREKYKQHRFKGVSALLPPVDIAASYFKKLKE >Dexi2B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:2B:29203166:29206301:1 gene:Dexi2B01G0019020 transcript:Dexi2B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSVVPLISELPGKKGGLPKRVWDESKKLWEVVGPAMFMRLVLYGMNVVSQAFVGRLGDRELAAFSIASNVISGLSFGFMLGMASALETLCGQAYGAKQHHMLGIYLQRSWLILLAVAVLLAPVYVFSAQLLVALGLPVELSLDTGTISMYMLPVNFMLAILLPLNKFLQCQLKNWVMAVTTVAVFPVHVAATWLLVRYLQLGVMGAVISSNISWALMTGMQLAYAIGGGCPETWKGFSASALVDLKDFVKLSTASGVMLCLEIWYYRILIFLTGYMKNPELAVDALSICMTLAGWEVMIHLGFLAGTGEAVINAVDGLSVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGVILAWGFHYGVTGIWIGMIGGTTMQTLILAYITLRCDWNEEVWFSSVTTNKSFVKHDFQNVQMIVCLLSPRLPKRFDFAGTES >Dexi5A01G0025010.1:cds pep primary_assembly:Fonio_CM05836:5A:28891132:28892426:1 gene:Dexi5A01G0025010 transcript:Dexi5A01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLARRAICLLAVSIVLHTVDLLSDASSRSAAVLSLDDYEEDSGGNDSSSFSFTFPETPPRGLVFGFYDETCPDAEELVSSTVRKLYHADPNVAAALVRLFFHDCFIHGCDDSVLLDSVGGRKSERDAGPNQSLRGFDAVEAIKQRVERPCPRTVSCADILALAARDSLVLVGGPTYPVLTGRRDSAQSLYTDVAENIPAPNATYAMTLDAFARRGFTERETVALLGAHSIGKVRCRFFADRIYNFAGTGEPDGSIDPDMVGEMRAVCAGDDAAPMEMGYYRQGREVGFGAHYYAELLEGRGILRADQQLTAGSTVRWVRVYASGARGEEVFREDFAHAMVKLSGLAPLTGSDGQVRITCSKPVEDN >Dexi3A01G0029740.1:cds pep primary_assembly:Fonio_CM05836:3A:32043577:32048923:-1 gene:Dexi3A01G0029740 transcript:Dexi3A01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAVGGGGRVGGPEAGGGGGGKDALFVELWKACAGPVSSVPPLGEKVYYFPQGHIEQVQASTNQLAEQQSTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKRDENTSTTVESEEAEGVVPDAPPATTANNDQRRIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRTSPAEFVVSRDRYHESLKRNYSIGMRFKMRFEGEEAAEQMFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPSPVNPVPPRFKRSRSNVNASPSDMPTVNREVASKVMTDTQQNGLPRALHSQGRTQLSGRYNDSRDQNSSQELTMWSSGIEQDRNNVGAQTKLSLEGWTQTRRPEGYSQLSSAFRPLQDAQNPLCPFPSQISGNRSNTWDTIDTHYPVQQANQNMLPGTWSLMPHNTALRMNQQNYLPIPEGALPQRAEIAKFSGKSAFTSVQGHNIDQCSSGWFGHNASNSHIDRASSSLIKPQPLVIENDVQKTEGSSFKLFGFRLGCTEKSEPLVSPPSVTYGGKLLTSPTERNQLDIIEVDDCSDPSKTVKPLDGPRSDSARENSQPCPEATQNTQNKVQSSTRSCKKVHHTLHCFLASHLLIRRMLTGPHLVHKQGSALGRSIDLTKFSCYDELIAELDEMFDFNGELSSPHKNWLVVYTDNEGDMMLVGDDPWNEFCIMVHKIFIYTREEVERMNPGALNSRSFGVARKTKGHISVAGET >Dexi8A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:8A:4354425:4355915:1 gene:Dexi8A01G0004960 transcript:Dexi8A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLGLGCFDGLFRCRPSIIPLRSIHHHVPTSGHHRKPSELPPAATADDPAGWILLNKRGIRADDAFVAEADTVAYCHVFMGRLLRVSLRRAPPPASTFIYYDFPYSTPGEDDKTVIDEYFKEHVDMSEEKHDESVEEEEEEEEDVDESEKEEAENVDEWGIHVVAAHCDLVLLELSHEPCDHDSIHLLYRAGAAKRPSLALLPERDFLTKSEQLYTQQVFSPYSGDTCLVRRGDILLVELYHMYDRSLQQDMAEFCLLRHGARQWELKEPVPMIIQDEGSTERPRTRGGRDTIVPLGNRFVCWVRYETGFLLCDMGDEECPKVRYVPLPPGVCWDPKEYDDGNPKYSMNMGAAGDGASVVRFVSVDPHCCCGGPGRSTCMRSRYAFTINTWTMNLSMDDPLVWVKDGEIDCEELWGQPGYEGLPKGHLQCPIVSLDDPNIVCFLVANFPFVSSYEDRKVWMIQLNIKTKALLSAVQYTKDLWGVYHHLPVQIQS >Dexi1A01G0022870.1:cds pep primary_assembly:Fonio_CM05836:1A:29463726:29465606:-1 gene:Dexi1A01G0022870 transcript:Dexi1A01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTPRPHLAFLILLCSSHLASSIRRPTDNDTPLAAYLVTVHRPDGLLPVDEPEALEQWHTFLLGQVCNTSDPATKDRFPTAESRLIYSYSHVVSGFSAWLTAPEVEHMARLPWFVEAIPDKSYKLMSVHDDHVPTPPELHWLESVRDGVWSKGNMGEGIIIGVLDAGIAADHLPAIPDDHDMPSPPAKWKGGCDHSEACNNKLIGLRTFVDTSRALGAAMFIGNGVDTFMQHHLTSVLGVEYDKAFDVAPKAHLAIYRVCNEECHPAAVNAGMVAAVDDGVDVVSMSVSTKEKAMFHEDDVAVPSYRAVARGVLVCAPAGSIGPDMLRVESNAPWLLTVAASDTDRRVVTNVELGNGILKPDVSAPGVDTLADPHGDVEYTDVQVKAATSMAAAHVSGVVALIKKAHHEWSPAAIKSAFVTTADPAGPDDALPGNATATSYFVTGAGEVNPVKAMDPGLVYDLGASDFIPYLCGMRLGENKISKIVELMANTSCAETGEISAKDLNYPSIMVAMDEKVQQVEAKRTVTNVGEEPVEEYHVEVTVQGVDVAVNPSKLAFSEIGQKREFVVTVRRQASTPAKAVIEGELKWVSEKHAVRSPMVVVVGETAATSAGLSYGDDIASINS >Dexi3B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:3B:12022065:12027650:-1 gene:Dexi3B01G0016540 transcript:Dexi3B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTNKCRAAVRRLMDANGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEATKPTASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPEQNKPRDILDPKKKRHRDGRLNAGTTAIDGRELDGAMQWYEEGNTTLRKVGLASDFIWSDAQTPLEFLGSYSVISFDNPESLPIKNHELTTDDIKNFCEDLLLLDKNSFKHILKWRIRLRKALSSSSQVTPKVDDDAETTKVKDDDQLLQEMEELTSVIDRKKKREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELQAVESAELDVEDDIEDSGIEETQGREVSDEEIDSDEEQQRYDAQLEEMLDEAYERFVTKKGGEVKQERKRAKRINPDADADLLEGDEDDGDDVEMDQGFDEEQDPETNPLLLSLDEDKPTKEQIVQQWYSQDVFTEAGTGVTEQSDSEDEREDFQRNMKKMDTGKKEKVTKAQRLQQDDFEMVPAEPVRNEEDSSSSSDESDGSEEELDDYRKAEVLAYAKKMLRKKQREQILDDAYNKYMFDDEGLPNWFVEDEKRHRQPMKPITREEVAAMRAQFKEIDARPSKKVAEAKARKKRVAMKKLDKARQKADAVADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRTGKGKVLVDPRMKKDKRASGTGKKGKKGGKGAKGKGGQKGMRGKAGKKAGR >Dexi3B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:3B:5446464:5446706:-1 gene:Dexi3B01G0007720 transcript:Dexi3B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHARMAPSDHARADQAQHVSESVPRQIGSIAAALPSHDLAPPMPPSPPSGEPEMAMVAAKRWGTTQVTDGSVPSPGIGH >Dexi7B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:7B:15784850:15788100:-1 gene:Dexi7B01G0007980 transcript:Dexi7B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERERDEGEAIALTGGGGQLQQEEEAVSGKPPPEGESDVKERDAGEDERDEKDRGRDEREWSEIRLAIEELSTAQSGGGGDGKPAASSPPTLPFLALSHLLLRVLDKIGPTMAVLRLDVQRNIERLQELYLLDPAKYSTLTEIVEKEVKEGTARKVDSCARAILWLARSMDFTIALLQRLEEDSDLAQLVEAAYGVSLKPWHGWISSAACKIALKLVPERKIFISFLLGPGQDDCSTLKDEIKKLVLLLRPLLDDIHSMMAMFRLDRLKST >Dexi7B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:7B:24161272:24164293:1 gene:Dexi7B01G0018350 transcript:Dexi7B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLLPRAARTAAATTTSATAIARAPLDSFSRCFRTLAPPLLRPPPPPSAEFLRGLSDAAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDTFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQIDLVDDAELEALTTKIKLINGMAQMKKAKLGDVDMDFVLGIGGYDLDRIEAEVQSQESNETSHCHHGDEHGKVENLISDVLYLPRHHHDHVHDSAVTSVSIVSEGILDLDEVNDWLERLVDEKGEDLYRLKGVISVNDSTGRFVFQKHHSITQSDALQVPDAVVLLLMFASMFSLGN >Dexi3A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:3A:10440291:10441159:1 gene:Dexi3A01G0014310 transcript:Dexi3A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPIATAGGTCAAGEFGIWDVVLWRRGRADVSACLLAATAASWLLFYGPVRGGGGYTALSLASDVLLLLLTVLFVWAKAARLLNRPAPPVPELRVSRRAVDEAAALLRAALDAAFSGFHDIATGRDSLLFFRKYQERIDRYMRFAYKNLRMYEMVYERLSMKCFVRVRDLVMEVLKDP >Dexi3A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:3A:7708845:7710306:-1 gene:Dexi3A01G0010770 transcript:Dexi3A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPKEHIGAPAMAAAAFTFAVRRREPVLVGPAAPTPRETKRLSDIDDQETLRGQVLFLFVYRGGAHDAGDRDPAAVIRRALGEALVPYYPLAGRLREVEDRKLVVDCTGEGVMFVEADADVRLPEIEKATGLKPPFPCMDQLLFDVDGSSGVLNCPLLLIQVTRLLCGGFVLAVRLNHTICDAIGLAQFMSAVAEHARGVPSPNTAPAWSRELLEARCPPNPPAFPHREFDAMPPPPPTPPPGDMVMRTFTFGPDDIAAIKRTLSATTTFEALTAALWRARTAALEVLPGEEVRVVSIANFRGVPNVGLPAGYYGNACVAVAAVTTAGALLAGSIGDAVELVRETKAAVTAEYVRSTVDLLVLRGRPRLAMANLFVVSDNRHAGFHRVDFGWGQPAYGGPAATLFGVSFYIHVGKKKNGAGEGEVGVMIVLPRPAMDRFASEVEKLLKG >Dexi2A01G0032190.1:cds pep primary_assembly:Fonio_CM05836:2A:42794444:42796676:-1 gene:Dexi2A01G0032190 transcript:Dexi2A01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKSKKGGKKKTVDPFSKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVYEVSLADLQSDEDQAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVDIMSIQASTCDLKELVSKFIPEVIGKEIEKATTSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPAEADDAMAGQEVPAAE >Dexi6B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:6B:17087081:17087567:1 gene:Dexi6B01G0010660 transcript:Dexi6B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSRGSSLPTWMTAAASRVDLTGGAVSPSHQGSSSPSTSSSSGPAPAAAADLELGMFERALSAAGAAFVSAIIVNPLDVAKAQAAGVLYHHPPQMAALGPDAVRNLISFCVLWGGIW >Dexi9B01G0034740.1:cds pep primary_assembly:Fonio_CM05836:9B:36646301:36647966:-1 gene:Dexi9B01G0034740 transcript:Dexi9B01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALMMTRDLAGIVYPSSSHASMLSRGTSSGAGGCRRSASLITSFMYWRLLACRSPSVTTVFPLNASLICPCSFFITAGYRISSDIPHSMADPDVPVPPANMSCVRPKPPFSWRPMRTSTRFSSTSSAETPLLSLSWRMPLRSSMISSRMVSTVRWISLRLRVMPVSLASKVVHVGNRSGMLKPLERPTTALVAARNSAAFLRFFPNAARDTTLLVMLWNMTLRFTGVDGPAARISSTFSLTCIQSMRVRQHAHLVLPDVAEGEDALGAEDLGGAELAELAPVVAGGGEEDVDPLVADDLAGEELRPGGEVGVVGLEHRAGRLLGGGHHQRRLPELQHHQRAVGVRQVAQRAVRQHVDEVGPGGSRGLGFKASFTS >DexiUA01G0008430.1:cds pep primary_assembly:Fonio_CM05836:UA:15766307:15767070:1 gene:DexiUA01G0008430 transcript:DexiUA01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHGKKVVESFTIRETDEVVRAGDCVAIRPSMDDDEENLSLARVEKLETCCECRGVRWYYQPWQTKPGSRTFHGKKELHLSDRIDTRSAYTFEAKCVVHTLKEYSKLSKARIEDFFCRLEYKVDSATFMPDQLSVYCICEMPYNPDIPMISCPGCKE >DexiUA01G0021890.1:cds pep primary_assembly:Fonio_CM05836:UA:45101846:45102250:-1 gene:DexiUA01G0021890 transcript:DexiUA01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDLTDIGPAASACGAPCGAAAAGAAPCCSCLERRVGRRRFFLFSFSASSAADGGIGGGAGMGTAAVCSPVALMMQPPSLSLILSIYLSSKHTRRGNPRSGWGVLRFVDTDGGKKRGAEARGEEEEGAGRKG >Dexi5B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:5B:22746441:22749421:-1 gene:Dexi5B01G0020550 transcript:Dexi5B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAPQLVSKLGFGCFGLTGAYGGSALDDEAAAAQRHPRFSAENLDKNKQVYLKMEELAKKQQRSPAQLALAWVLHQGDDVVPIPWTTKIKNLDANIDSLKVKLTNEDLKVISSQIREEDVAGARQNTSFAPTNWNYANTPRK >Dexi3B01G0023750.1:cds pep primary_assembly:Fonio_CM05836:3B:18484967:18490498:-1 gene:Dexi3B01G0023750 transcript:Dexi3B01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDSTLGRNQVADLEPGAALEVQKPPVSGEADAAAKRLEEQQQSFSFLESVVPGLAFHGGPNAGGDAGSRASPQLTRSLSQRAGLGKAKAGAAKVSEVGSILGRASTVGLEKAVEVLDTLGSSMASLNSSTGFVSSNVEKGNIISMLAFEVANTIVRGSNLMRSLSEPSMKHLKEVVFHSEGVQYLISKDDDELFKMAAADKREELEVFTKEVVRFGNRCKDPQWHNLDRYFEKLASERTVQNHLKEDAESVMQKLVTCVQCTAELYHELHALDRFEFDYRTKQKEQDGLSSRGDSLDILKQEVKGQNKHVKSLKKRSLWSKNLEEVGMRCLCCKSIILSNADNEDPEEPAKHYNRLGPAGLALHYASIINQIDNLVSRPCAMPPNARDTLYHGLPPTVKSSLRSKLQSFELKEELTAPQIKAEMEKTLRWLVPFASNTTKAYHGFGWVGEWANTGSELNCKLSGQMDTTRIETLYHAEKEKTDALILELVVWLHHLICKSRNGYGGVRSPIKSPMSSPTKKGASFTLLAGKTNNSSPILTQEDKDMLRDVKYRKFVPGISKSQEFDTKSSHSKQCRLSKSNSHSPASGNRKDLLPVRRSSMLPIIDFEIDKTKALDLIDRLDNLKLQ >Dexi1B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:1B:23751959:23753776:1 gene:Dexi1B01G0017410 transcript:Dexi1B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGIFDVSNEVSF >Dexi3B01G0025770.1:cds pep primary_assembly:Fonio_CM05836:3B:20642949:20644242:-1 gene:Dexi3B01G0025770 transcript:Dexi3B01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLNLKEIRERRLTDPRFPAQYRNLAAKKKSTPWPIEMRFCEDSASQHKPRFFPQRISFTFSVCSSILSDLLYSGVSLNPYREKGLKTYSLSLDHSCVFCNTTAAIPIITQANFIESPSAHGGWGFITESMFNRQGELIMSLTQEALIRREKTRPNPRPKL >Dexi7B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:7B:18581549:18586219:1 gene:Dexi7B01G0011560 transcript:Dexi7B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSATASSTPSHPYRPASARVSSTRLRPVVAMAGSDDPRAAPARSVAVVGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMTEGELEVSRLIDDLGLQDRQQYPNSQHKRYIVKDGAPALIPSGPISLMKSSVLSAKSKLGLFLEPFLYKKANTRNSGKVSEEHLSESVGSFIERHFGIEVVDYLIDPFVAGTSAGDPESLSIRHAFPALWNLERKYGSIIVGAILSKLTAKVGDENVKLSTEVLSLACTFDGVPATGGWSISIDSKDAGSKHLATNQSFDAVIMTAPLSNVQRMKFRKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILTQLVTSDLKKLLGVQGQPTFLKE >Dexi9A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:9A:6542063:6545995:-1 gene:Dexi9A01G0010710 transcript:Dexi9A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLTAFCSPPSPPPPSSTSSSSPDSLSFPNADLLLRLHLDPSHDGADAELDTKCHFAAAPGTFLDLHVSSASLLRSRYFAALLSDRWSPSPSAAGGGRLSRLSHSVVASPSSPRPFHAHVEVLRLLHTLDFAGAIRSPGDALDLLPVALELLFDACVEACIRFLEAVPWSEDEEARVIDVAPLLPADEAADLLARVSPPPVVAGSSSAAGEATRSPSEAMLHGLIHSALDGHPVPAATKAFVAMLLKDYPSRDCVRKVLDDAFHSRLETVKELMGKYASPDFRVAVDSDEREAIQRLNLHSAAWNVKHLYWLIERMVDLRVADNAVTLWSDQAALAADLQKLLNDADMWRNMTPGLPMLVIRCTLRLANSVVTGEPLVPRQVRMKLVRSWLPVLNVCRDIVQPMHFHKSTNCQELEAAFLQIISTLPVPEAQELLQQCLGFSTRNVDDCQHLVAAFKTWFRRAGRAPLGGDN >Dexi3B01G0027520.1:cds pep primary_assembly:Fonio_CM05836:3B:23076284:23081741:-1 gene:Dexi3B01G0027520 transcript:Dexi3B01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMMVKAIREESPWEALPKRIQAALVNKEEWHRSIPNFSAADALRITGVGRNGFIDIMNKCRSKKIMWKLNKSIAKELLPTEPADLAIEPWWGVRFVNFTLEEFKKLSEDETSAIDKICKEEVNSYVLFDPEVIQSLYRRGMVYFDVPVYSDDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLYQLQAAASFACRLGWAVKLLDTDSVLKDSSTSALSSNILMDDDEASRTSLASEKSGHELLSSDSDGHKKNSGTAYVGFVVDANSVRKRLRELCSEYQATGATARLFNQRGGSKNNSPRKLVNIVSGRWSPFHDPSTPPPPGSPSEHDKTKPARRQRCFTEVLSFDGSILRSYALTPVYEAATRSVSEEQPSTPSAKLDHDDGNTKDVALPGVNMLFDGAELHPFDIVACLQARQPLSLIAEASATSLAMK >Dexi2A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:2A:6947628:6951894:-1 gene:Dexi2A01G0007210 transcript:Dexi2A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRHLVLLLLALAAVAEAAAAADEGPRGRRLLVLLDDLAVRASHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGDPEAMVIDHINYAVTDVDGDHTLIASDDLIQSDVILGSKKIEARNNARVLVSGSLDLFSNRFLKSGVQKAGSKKSHERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQLQFFMMSPYVLKTMSTDKKGLYSISFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYTASFSSMGAFFIFSFVYLYHK >Dexi3B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:3B:6302700:6303653:-1 gene:Dexi3B01G0009150 transcript:Dexi3B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSQEPSLPLLPVVAGHGDRAPERMFVCKTCNRAFPSFQALGGHRASHKKPRLDGDGDPSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPPATRMVVDKKPDGVSSIIHDDGVKRGMLWLDLNHPPCDDGCDADQADQCGHNATAGITFHQFMDTGTMAYST >Dexi9B01G0033520.1:cds pep primary_assembly:Fonio_CM05836:9B:35673911:35678111:1 gene:Dexi9B01G0033520 transcript:Dexi9B01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAATLYGAKTQTEVLRPGPLRPANIIRSKFPTYKNGSNGIVIKLADGPEIPPLKEIVAKETADLLDRRQRLSVRELAMKFEKGLNAATLLSNEVEILAVQLSKREAELLQQKEEVTKLAKSLKQASEDAKRIVEEERANSHTEIECAKNAVQRVQQAVQEHEKMSQSTGKQLELHKRLKENGTPSFDLEGLQCLGSVLRIVALSGTHMDLSSVSIQWFRIHPKESDKEIISGGILLCATRPVYALEPQDVGRYLQAEIDVGGEIAVAKTAGPVDPDAGLVDYVETLVRKPETEFNLCGVRGGGEAASQAMFWQPRNDLSLVLAFETARERNTAIMLARRFAIDCNVCTLS >Dexi2A01G0023400.1:cds pep primary_assembly:Fonio_CM05836:2A:35132348:35135690:-1 gene:Dexi2A01G0023400 transcript:Dexi2A01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGSSRSKGAKRKAEAMKEVAPTDEAAPAGDVPLPPLDPTNTEEASLLARCVDPRVRDQILTCHLLMVNNRYQKNPVPRQGQPPREVKKPKGQQQQNYKRLCPREHELVIPDERAKVSPQPKTSSSVGTAFGEIGTSMPQQGSSARQPLSDEEILHNIFNPVSAPFKRTTPVVEEPCPAGPSVPEQETEEEFTLGEPEIPMRPSTMEEPPVDHAAVEPEATVPEEPRVMPETTLPGVQTAVPSGLPVPEGVQVEETVAEVLADIEHLVTQANSAEPPSVTETTQIRAEAECSRGKQAEASTQEQIIEEIPRVPMSTGTEEEIGSFRIGSYDPMLNPNPQGFEYILDAEEDEEHIDRGLYHAERAVAYFKAVGEASRKKTEYIHNISLMHAKADRLQKELERERQDRKLQEAEDADMIRTLHLRTKELVAEKEDMKKKLSAAKAELKGVQQQLTTTQRKMTDWSNLANRHEEALKTLSAEHDAIKEELRVAVQQRKDADAQLIQVIEQQKKLAKDLEDARGEKNQLSKELVQARKNLADKEALDEKLEQATRRMSELEESLRLMKKSNDDLAEALNRISLLEKAANPVVKALVPEDPASPLSFLERLKAMPRQLKAYIKRSSKACLMHVLAVIRSRYPEVDIGKLVEGAEPNCTEAAFRDLKQEAEPVAEAIARSLRL >Dexi1B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:1B:24855808:24858674:1 gene:Dexi1B01G0018680 transcript:Dexi1B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGGISAKDVPQHGGKEVILVDDNDSGQEDGGKAKVDENAPRIGLRFKTYDDALKYYKQYAEDSGFAAIILKSSYLKSGVCRRAFLACMKGRCPKAIITEHYNAILDAVQEVLPEVRHRLCLYRIMKDVAENLKEHAEFKTIKKSLNKVTYGSLKVPEFEADWKKIIEEHGLGENECLSSLYEHRQLWAPAYLRDKFWAGMSISQRGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEEKDFLRSSFVTDDTNMIQGPPSATHLEGPHMGVQGGIDLMVQAEPHGFANQWVYHPMLQDNPVLRTPTRRTG >Dexi1A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:1A:5134583:5137277:-1 gene:Dexi1A01G0006670 transcript:Dexi1A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYERRELAKDFVVSGTSSESLYGACESMYKPNMEPEELFETVSQALMASVDRDCLAGWGGHVFIVTPTEVQERVVKGRMD >Dexi5A01G0024430.1:cds pep primary_assembly:Fonio_CM05836:5A:28300559:28303523:-1 gene:Dexi5A01G0024430 transcript:Dexi5A01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKIKILGCVGYEGCAHSHEKRECNSDKENSEGERKVKRSTFKKRAITAGNKFRHSLRRKSRTKSGNHVVSIEDIRDDQELEIVERFRRCLLDEGLLPERHDDYHTLLRFLKARKFNIEKAKHMWSEMLRWRKEFGADSIEDFDYSELHEVVKYYPQFYHGVDREGRPVYIELLGKVDTNKLVQVTTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDTSTTILDVQGVVLGCKYQTKLLEIIDGSELPDFLGGKCRCEEYGGCPKSDKGPWKDPEIIKRVLNGEANYGRRIRAVSSIYQKEVGCTELPHSTEQGTGNDASAESSSELEDVSSPTASANPIMSPNLTHMHESKFPGHASTSDAPPIVDDIIPVVDKVEDACSDPRNSSVDSTSGFYIILSGSETVIEKLL >Dexi5A01G0035790.1:cds pep primary_assembly:Fonio_CM05836:5A:37506271:37509980:1 gene:Dexi5A01G0035790 transcript:Dexi5A01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVESNTLPGAADRRTSKKDRRLFWACVFILANNCFQYTAYFAVSTNLVNYLKDQLHVGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFLLISVVAYAVLTASAAAALESAAFYAGLYLLALGGALQPVLVAFGADQFDGADEVGRALQSSFFNWFYLSINVGSLVGGTVLVWVQSSVSWGLGYGIPAMCSVLAVAVFLAGTAAYRRHQRPGGSPLTRVAQVVVAAVGKCGVEAPEDASELHECEGDDGMSAAIQGSRCLAHTDQFRFLDKAAVETAGDKPRPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTMDPYIGNFRVPAAVLSVFDTISVMLWVPLYDRLIVPLARRATGHERGFTQLARMGVGLVVLAAAMVAAGTLEVERRRVIARHGMYDTNTGADGKYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRGHLDNFFWLLAMMCMGNFGVYLLIARWYTYKKTVE >Dexi4B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:4B:21772154:21775322:1 gene:Dexi4B01G0019600 transcript:Dexi4B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMRPGWVGALVEESFFVGCATHDDRKKNDKNIFCLGCCASICPHCAPAHRHHHLIQVRRYVYNDVVRLDDLERLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHAMVQGAGDLSNILYVPPPPPANDLGCGFPRFENLRVGGGGFDDDSGQVVTPNSILEDPTQQGSSSGVVPRKKKSSGGGGFFPQIVLSLGNRRKGAPHRAPLA >Dexi8A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:8A:3012330:3015607:1 gene:Dexi8A01G0003930 transcript:Dexi8A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHAPIDLVTLINISHSMSLPAKCPTEAPSPSRLDLLKKAMKFIVRQLDDDDRLAMVPFNDQVIEDYTTGLLEMSSNGRMAIEKKVDGLMANGDTAFKPSLEYAVKRVGFIVLVSDGLDKQVKWSDESIALSSIPGLLRKYPVHTLGLCKAHDPKALHYIAKASYGTYSSITDDDNLVSKIVEAFAVCLAGFKTAVAVDACVDIRSGSLQITRIDSGGYTLRAASGGILVGTLYAGEVKDFVVYFSYRTGYWSRGYNTTLNGITGVVTYKDVPSRSSTSIITETCSVSLPVHVADAGSPPANPCPPHPVVLQQMVRFKVVDLLTGVLKEFHLLKEEAGGAVHGKEGDDPVLQAVAASSLQRKWAEFKQSDESWNGAPRNFVDLGGLDKDVSAMVGVLKQGLGAGCVYSWLSSHQMQRATTAAGLPQQTGRFLTPAMAAMVEEAQRQLAKEASAQDVGASVVGRRAVELLDGITKRFELWCKVDHDLPPATSQPSPHQEDGAAALALRGDISRAKQHHIYLAADQAIKEWRSFLASVENTHGHGPGK >Dexi2A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:2A:33029124:33029572:1 gene:Dexi2A01G0020850 transcript:Dexi2A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEETKTKKKQKEQCIINCLPRDLIEQIFFRIPVSSLLKCIGVCKQWRKFIRDPEFIAGHLKRAPRCALIFFPQESVQGKAYPKLEG >DexiUA01G0010250.1:cds pep primary_assembly:Fonio_CM05836:UA:20440046:20442363:1 gene:DexiUA01G0010250 transcript:DexiUA01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKLDEEAAVKTCHDRKSFVKKAIAQRGLLASSHVAYVQSLRRVTMALFYYFAEDEHLYYLQEQSSSTTTLCLNHPSSPEKKVLVINCLRPAGAPPVHPVVEQWEPDAVETATIDRFFGLDHQFPSSIDQMNGMPVSPQPPRWDLSWDPFSSTIDQHHLYPDYGVDGIKAVGQEDEQIPELEEDTDDDSDGHDHSEGETEEEEEEAEEREEGKGEEADAAAAVAAEVAPPPAREEERKVDHHVKNELRVVASADVGQHGTPGFTVYVARSPTSMAEAMKDIQAHFMKIVETAGEVSELLEVVPYRRRVQPPAPVGDGEEQGVPVVPPEPFELFQSHKESLDRLYEWEKRLYEEVRAGERVRLAYEKKCALLRSQDANGAEPFAIEKTRIAIRDLRTKLDISLTSVDAVSKRIAAVRDNELLPQLMQLVRGLARMWRVIADAHRVMKRTADEACALLTSSAAAAAAARPAALVGEGGIRGPPPPPSSTRAAAGAGALGAELRGWRAALEAWGESQRAYAAALWGWARSCCANDRGGGAGGEEDMPRLIVGWARAVESVDVDAAAMALDAVAAEAAAIAVAAKRQQRGGGGGGGEEWFNEEEAKKKICVGLTAALAAVAEAGGLAVAAYDGLVMEMEMGARGAPETETTGMNEESIQN >Dexi8A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:8A:8120028:8122135:1 gene:Dexi8A01G0007280 transcript:Dexi8A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGVLFIVGSAFGGAAINIPMLLLNRIFLGIGLGFSNQTIPLYLAEMAPPQYRGAINSGFELSLSLGILFANMVNYFVLKIKGGWGWRISLSMAAVPAAFLTISAIFLPETPSFMIQRDGNTNQARVLLQKLRGTTSVQKELDDLVCATNISRTSRNPFRDIVFKRKYRPQLAIAIMTPFANQVSGINVINFYAPVMFRTIGLKESASLLSAMVTRLSATCANILAMVVVDRTGRRKLLLGGGIVMLLSQFTVCAILAAKFKEHEDLEKGYAYLVLIVMCVFVAGYGWSWGPLTYLIPAEVCPLEIRSAGQSIVIAVNFFMTFVVGQTFLAILCHIKSATFVIFGVLICLVTLFVYLFLPETKKVPIEQMDHLWRKHWFWKNIVRGEEEEKQSETITSLSS >Dexi1A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:1A:6268842:6269261:-1 gene:Dexi1A01G0008130 transcript:Dexi1A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSEKIVDLADRIAALPPEEIKQIAPALLFRLNQEPPQAISGQGFSFGSQGGSGAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIGKIKAAGGVAVME >Dexi4A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:4A:21863079:21863799:-1 gene:Dexi4A01G0017970 transcript:Dexi4A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAGKKKMTTVCVTGAGGFVASWLVERLLAAGRYIVHGTVRDPGDAKNAHLTALGAAAERQRLFRADLLDYASVVAAVAGCDGVFHAELLAPAVAGTMNVLKACSEAKVKRVVVVSSLSAVMVNPGWDEGKAMDESCWSDVENN >Dexi8B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:8B:20643365:20648541:1 gene:Dexi8B01G0011560 transcript:Dexi8B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRHRRARLPQAPDAPPPSTTAACRWPSTHLCHSRAHPPPAPATPPPSSSGSESHASTPPPPPGHDARTWPLLAYDNCFGASAVAESPQSNSTRDSSCPCGAAACGSTTPAAIQLNVLDLHDNNFIGQIPPELGRLSRLHVLNLRTNSLQGSIPLPLVGCSNLTKLHLSDNRLQEYGAGNVISTNGDIYSYGILVLEMITGKRPTDNILTQGMSLREYVEMALHKRPMEIVDTRLCLSLNSEAPDESASDNRKIEAVISLLQLGLSCSEEMPTSRMPSRDIIKELVFIKSSILS >Dexi2A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:2A:32425355:32427612:1 gene:Dexi2A01G0020320 transcript:Dexi2A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRSKVQRLYDACDAVFSPGKQGGGRQLPTLKQMRWLRTSSVRHGMEAADVGIDADAGGEQGESERSSSSSDDETSPRAAARRVLSTRGFTRITYVHIHECDDFSMGVFCFPAGATLPLHDHPRMVVLSKLLYGSMRVSSYGWVTAPRRCGPRKGGLAKVVAVDELREAPCKASALFPRSGGNIHTLTAVTPCALLDVLAPPYSEALGRPSTYFSDTPVPAVPGLAVLEESDLPEGFRVVGAPYVGPELIVDMDLYDY >Dexi9A01G0045430.1:cds pep primary_assembly:Fonio_CM05836:9A:48972320:48972967:-1 gene:Dexi9A01G0045430 transcript:Dexi9A01G0045430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTGSSSLRSRCSLPNLLVWLLNLSLLALAAAALGPVLLLRPRPTPFGWAVVSVHAATLLTALAALCAHLAAARLRLATYTAFALAALCCHALLAAAFVLHRDSSLRLLESARDRGEQLVLAFLEVALLLAMFLAQAGALVATCVVSRRWAREHREVETEKAAVARKRGREMARVQAVSAAAAEAGVKAVDDKVMRSSSGKVHWANDDGFDEC >Dexi4B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:4B:2369756:2371145:-1 gene:Dexi4B01G0003390 transcript:Dexi4B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPLLSPQRAHPTRLPRRLPAPPLSVQRHVPGRLLRLRRAPPPCGAKFGKFDASDSPAEPEEAGATADAGVAQAQPAEEDDSCLPSDLEGAIQQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAELWALSKIFLDTLVQETGQLKRPEAGWS >Dexi4B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:4B:3921998:3922375:1 gene:Dexi4B01G0005530 transcript:Dexi4B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGVRRRPAAAGPPPACATGPASSRAPAPPPPAALLPGRICSYIWTWTEEIEKERMACGGGVVVGVAGFGAVVCPREESNTFWEGDTTGGPAAAAAGVVLAARRRRSLCAQTPTTTSLGWKQQ >Dexi4B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:4B:17257982:17265086:1 gene:Dexi4B01G0015450 transcript:Dexi4B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGPGDQGEASQPQPLDWRFAQVFGERAAGEDVQEVDVISAIEFDKSGDHLATGDKGGRVVLFERTDARDSASRRELERQDVPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANSALSLLSTNDKTIKYWKVQEKKIKRVSVMNLNTSQSVGSSTSASASTSSSKAPLPNGGCSDKFNCLNTDLSFPPGGYPSLRLPVVTSLEANPVARSFRIQQSLEFTVDSKYFINDEIYGIVLILFNCLLIHSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPNHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKNWPLTLLAIFLFHTQLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSIEATTLEASRNPMRWRKSRR >Dexi9A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:9A:891808:892777:-1 gene:Dexi9A01G0001700 transcript:Dexi9A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGAATIVCDFDWDLDDYECFADDLVEEEVLPEDEKEKFKEFVKARVRERKTELKQAKEARKKAIDDMDPKKREAFENIKFYKFYPVKPPDTPDLSNVKAKFINRYYRDAHYLM >DexiUA01G0024030.1:cds pep primary_assembly:Fonio_CM05836:UA:49193692:49196453:1 gene:DexiUA01G0024030 transcript:DexiUA01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVEAFKTQISKIRTGRASPSLLDGIIVEYYGTPTPLRQLASVTVEDTRTLKINVFDRSMSPAVEKAIMASDLGLNPSSAGADIRVPLPPLTEERRKDLIKVVRGEAEQGRVSVRNVRRDANDKLKALLKEKEISEDDDRRSQDDIQKMTDAAIKKIDAALADKEAELMHTLDVVRHNPEHYTVTALVAGKNVQRMIEQCLEFTPRYAVMDDEESARLLTAQLREKGCRTEVLSGQQAACDMAALDEVDQVMAAIVGAAGLLPTLAAIDAGKEVLLANKESLVTCGRLFMEAVKQRGARLLPVDSEHNAIFQSLPQPFQQNLGYADLEQNGVVSILLTGSGGPFRETPLSELSAMTPEQACRHPNWSMGRKISVDSATMMNKGLEYIEARWLFNASAKQMEVLIHPQSVIHSMVRYQDGSVLAQLGEPDMRTPIAHAMAWPNRVKSGAKPLDFCKLSSLTFSEPDYDRYPCLKLAMKAFDQGQAATTALNAANEITVEAFLNQQIRFTDIATLNLSVLEMMDLREPQSVEEVLAVDAQARVIAPIIMDGNGRWAKRQGKIRAFGHKAGAKSVRRAVSFAANNGIDALTLYAFSSENWNRPPQEVTALMELFVWALDSEVKSLHRHNVRLRIIGETSRFNSRLQERIRKAEALTENNTGLTLNIAANYGGRWDIIQGVQEGLLRPDQIDEEALNFEGALHAFANRERRFGGTEPGGDKA >Dexi9A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:9A:3596072:3597159:-1 gene:Dexi9A01G0006360 transcript:Dexi9A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNRPLLVVHDDKEGHLVYDLLLLLLDGEAENDAAAAALACFPRPVAHFAASLGLRALAVSGGAVHSFTASRDMGVGPTNAPTMIPMADGTVIRLDTTLFDGHYAFESLRPPVVTGAGGWQTVSLPKPPIVYADGGKELVWGRWELPFEGRALHVPELGCVIGLAAGTRLLCAYDFVTGKPPVMRRVWTETCPEGCIYTSAGDESRPSRPRDVPSLAYLGNGRFCICRPMSVMEPHPDNFGPPMKYNAASFLVVVLRRSGSGELELVRRGKTSFMCFPKSSQISYIGFIQPAISN >Dexi5A01G0022510.1:cds pep primary_assembly:Fonio_CM05836:5A:26611504:26613672:-1 gene:Dexi5A01G0022510 transcript:Dexi5A01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRMLEDTDFANEFDTKTIKSMKISHFHVSELQQSAVLNSSHKAPRDESDPTIQLADQDIRVMEASGFNDLHGEKSAGVFKSLQMKV >Dexi9A01G0049720.1:cds pep primary_assembly:Fonio_CM05836:9A:52293367:52293850:-1 gene:Dexi9A01G0049720 transcript:Dexi9A01G0049720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNNWAKGHYTEGAELIDTVLNVVRKEAENSDCLQGFQVCHSLVGGTGSGMGTLLISKIQEAYLKRMMLTFSVFPSPKVSDTVVEPYNATLSVRQLVENADEYMNAKANNAEL >Dexi9B01G0033160.1:cds pep primary_assembly:Fonio_CM05836:9B:35349742:35350155:-1 gene:Dexi9B01G0033160 transcript:Dexi9B01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRNLSAIHLLVVVLLITMAAGESASVGEFGCNEHLSGSYKGVCFSLINDGACNSACLNESSDNISGECNFLQCWCESICPPETVAAASAPTPA >Dexi4A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:4A:14841529:14843425:-1 gene:Dexi4A01G0013850 transcript:Dexi4A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQERDYIGLSPAMAATELRLGLPGTEEAQGGDAAAGTPLTLELLPKGGAKRGFADAIVRPEPAARGKAPAEDEEDRKKAQAPAAKAQVVGWPPIRSYRRNTMAMNQGCLYVKVSMDGAAYLRKIDLKMYNSYKELSLALEKMFSSFAVGDSEPNVKSGREGLSDCRLIDHKNGTELVLTYKDKDGDWMLVGDVPWR >Dexi3B01G0024170.1:cds pep primary_assembly:Fonio_CM05836:3B:18874027:18875261:-1 gene:Dexi3B01G0024170 transcript:Dexi3B01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQHPQTRRGDADVEVSGIGALILHGDALSEILLRVQAKPLCRFRAVCRSWRSVLSAPSFAAAHAARHRDQPLLAVCDRAPGGGGREAAEIKLLDTSGHVVKRFDAGPWSLLRRLWSSSHLDLVLIIRRVADLDNQHRPMHTRTSFVFGRATSSSPTGEEGDGEYKVLSLNTPIRPYYGTQQFCKILTVGGGGHGAWRDAPAPPATIKTFHRGETVVARGVAYHLVDDSNGWTIAAFDLDAEQWLPDLLHGPAEPPPVPPAANINSRERRSLAEVNRCLAAVYSTSSAMDMWLLMGSGEKAQWCKRCRVLMSFMVKECHYYWLTPDPVPLWVMDDGRVAFWLRSRVTQSGTLWMYDPRTKTCTRLANCLRIGASGYTGNLLR >Dexi1A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:1A:25132253:25133004:-1 gene:Dexi1A01G0017820 transcript:Dexi1A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSLLPLRSFFLLPTSTSSAACRSPPSATVPFPRISLGRRRRRRLDASATKSSEEEAAAAGSTNGSLPGQPPVEEELDDDDLCSVDCVTEFKTDEEFQWYLERSKASGVLLVVDFYRPSCGSCKYIEKRFIRLCKGSREDGAPVVFLKHNVIDEYDELSEVAGRLRIKVVPSFQFYKGGVLVDSFATRDKERIIAAIQKHTSPETT >Dexi1B01G0030100.1:cds pep primary_assembly:Fonio_CM05836:1B:34184733:34192153:-1 gene:Dexi1B01G0030100 transcript:Dexi1B01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQMQQLQLMQQRHAQLQRTNASHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKSESNMGVPQRSLPMDPSSLYGQGIIQPKPGLSGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRSGLNGKDGQPAGTDGCISSPMQSSSTKVRPDQEYLMKQSSSQQPQEQLQQQNQQQQQQQSQQQQMQQDDLEQFGDVGSLDDNVESFLSNNDGDARDIFAALKRSPAEPNPATSKGFTFSEVNCWRTSNSKVVCCHFSSDGNILASAGHEKKAVLWNMENFQTQYTSEEHGGIITDVRFRPNSTHLATSSFDRTIKLWNAQDPGFSLHTFNGHGFQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLACLRAIKVADVLSNPKFPECSVFEYQHIISLLFEKLPCYIFLHYNPAHEYLEFLKMLLGHNTDVQSVCWDNNGEYLASVSQDLVKSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDNSVKVWK >Dexi9B01G0015360.1:cds pep primary_assembly:Fonio_CM05836:9B:10447012:10447880:1 gene:Dexi9B01G0015360 transcript:Dexi9B01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPLPPSAVGAHRRRQPPAPSAAAYLAATLAFLVIAALAYSRAAFRRFPHPPATRRCHPDAEGSWSTGIFLGDSPFSLKPIEQWGISGGGGATQPVANPVVTCADVMEAGFPSSFVANPFLFIQGDAIYMFFETKNPVTSQGDIAAAISKDAGATWQQLGVVLDEEWHLSYPYVFSYENKVNT >Dexi9B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:9B:11024128:11024762:1 gene:Dexi9B01G0016090 transcript:Dexi9B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGIDAGHACAARGLGAPMISESSRTPSPISPPEWPWLVSHAQQEAARTGQRARPVKKMKPRRREGNETSGSGRRGPGRREHAGASHTIHDVHGRTAQQSHRFNAGITHWQEYYDHLGATPLAHGTSTRSRYSEPRASVTSTAASVSREDASLARRPLAINALRELSAVRATQPTLQRQKPQLHKQPLEIEQGGDWEGRGSSS >Dexi4A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:4A:10168772:10189668:1 gene:Dexi4A01G0011910 transcript:Dexi4A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATFATSLTYEAGLSLPGGFWDNTGDAHRAGEAVLQERRPGRLVALFVCNTAALVASLLIIVLLLDRKLRARTARSCGLYGCIVVALAGLVGAYAAGSCRSTHATVFVVALVVTVLGFIFLLLRVFRNAMEAATGQLSQIVGRCCSSVQGNEASSGNNGRHGQDEQLNQGLDKARSLVLLLATLAATITYQAALDPPGGAWQEDADGHRAGDPILLAKDPTRYKAFFYCNSTAFVASLAAIVLVQSDAVLKRNALEAAMILDLFGLMGAYAAGSCRDAITSVAVAAIAGAVLIYVVIHVVFCTMDHKAGTARDADANADASMENRRKRLLLFAIFAATITQQSTSSSNNGVGRSDQGESPQGVGNENGPKPPHTKRKKYLVMLGILAASVTYSGGLNRPGGGWQSDGDRQQWHEAGDPVLHDNRRGWYNAFFYCNSASFVASVVVIVLLLLEEPDNPESSLTKAINMTIMLDLLGLLVAYGAGSGRDWAAIGSVVAIATAVLGSYIAVYAALSSLRRQGRHNEDNHEAAHQVVPLGTAALPYEPLRSVGGVDVRGGSSDCSVASPSNTDAAMPPPGATERDVGCGDGNRLSPRNGGKRWEEFFVRLSHLIF >Dexi7B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:7B:23571624:23574379:1 gene:Dexi7B01G0017650 transcript:Dexi7B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGICEQRVPRHAKSATIRGVSVFVRRLHSPPPPLYHRHQFTTIASGPLPLLSPSSSLLFSFSLSLVRWLSHWGDKMERGRLGLPVLARIPKMFIHGGLLDGPSGKASGSGFLEHDSSGCAYEPP >Dexi7B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:7B:19765283:19767719:1 gene:Dexi7B01G0013220 transcript:Dexi7B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNQPKLDSTPPARRAREATGRPVATHSASGILPLQWRRRQISTAAAEKYPHGQSILLARSHPATSSLAYSPQHSACSPTMIAAAGAATTYPFVSSFHRPRRATVLRVHATGSSSSSSSWEEREEARWLREEQRWQREEQRWLREEALLAEVAALRLRLRALEVGAHLDLTDAVDAVASPAPLAAVPAPQPRPVLVEEVEVRKEVVVVEENTAAAAKPEERSGAGAVATSASKSRRTLRAGAEGEDVRAMQEALQKLGFYSGEEDMEYSSFSSGTERAVKTWQATVGTSEDGVMTSALLERLFSGKTGEDLKTNDGTNGAAVPAVTGIAEVRQTVVTENGVSGVGISEHRVFLLGENRWEDPSRLTKKPASNDTAASTKTCMSCRGEGRLMCLECDGTGEPNIEPQFLEWVGEDTKCPYCEGIGSILCDVCAGKKVVAN >Dexi4B01G0023960.1:cds pep primary_assembly:Fonio_CM05836:4B:25169753:25172608:-1 gene:Dexi4B01G0023960 transcript:Dexi4B01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPPPPPRRCGVVVAVVALVLLACLQIQYHHLKVDLGKAGFASGTQDNRIHSVTSRKPAPATTNSLSLPRGIVERHSDMYLRPLWDDSAAATHKSKKDDHNALLAMAVGISQIKNVDTMTRKFLKENYAVMLFHYDGNVDGWRHLEWSDKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVENFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRWVEGMAPVFSRAAWKCNDLIHGWGLDMKLGYCAQGDRSEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELERFKERWNRAVREDEGWRDPFES >DexiUA01G0002440.1:cds pep primary_assembly:Fonio_CM05836:UA:5502204:5502425:1 gene:DexiUA01G0002440 transcript:DexiUA01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACYPSPARAPPSRTAHGPPWTSALCAVAGPGWEQHCCRTLNPMLRVLPSPTARHRTDPAPGPAIASARSRI >Dexi9A01G0041530.1:cds pep primary_assembly:Fonio_CM05836:9A:45189613:45190014:-1 gene:Dexi9A01G0041530 transcript:Dexi9A01G0041530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGDRVVWSGRTRSSNAASTAGWRRSSSARDAGTDAEKPWKTVSGSSGGAAGEEAKAAEAYQCAWVGNTDGLARWFTRKMYVFLGSPPSSARSRAGDDSASSARRRRKGSGQRGAAIGELARWASVRE >Dexi7A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:7A:26390861:26394723:-1 gene:Dexi7A01G0016520 transcript:Dexi7A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVARGRLAEERKLWRKCHPHGFVAKPETMPDGSVNLMVWKCVIPGKEGTDWEGGYFPVTLHFTENYPSNPPTCKFPAEFFHVNVFDSGEVCLSILGDAWKPSITVRQILIGVQDLLDNPNPASPAQDLGYDLFTEDMPEYRKHVRQQAKQYPSLV >Dexi4B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:4B:7824184:7824680:-1 gene:Dexi4B01G0010490 transcript:Dexi4B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRIGGSVHVTIIILVILAFLAVSGMARQLSGTDLRAPAGESSVVSGEGVMQFLRQMYLQRLRAGPGTSCGTNSSNVLH >Dexi4A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:4A:1559619:1563384:1 gene:Dexi4A01G0002270 transcript:Dexi4A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSFNKTHPTPLRPLQLHFTSTSDLTARSAAPMLPLPMAASLFRIPLPLLLLLLTILAASARNEEDARALAALRRALDPAGRVLGSWNPSGDPCGGSFIGVTCDPAGCVTAVSLQGLGLSGTLPPAVAGLRRLQGLYLHYNGIKGAIPREIGKLSELTDLYLDVNHLTGPMPVEIAAMTNLQVLQLGYNQLTGSIPPQLGSLNKLTVLAMQSNQLTGAIPATLGDLTQLRRLDLSFNSLFGSIPSKIAEVPLLEVFDIRNNTLSGSVPVGLRRLNGGFQFMNNKGLCGVGFSLLELCPSSEDGLKPSKPEPFGPDGTVKTREIPQSANPESCSGSHCSKSTNGSAGVLIVAVVAVVIGAAFCGLFAFSWYRRQKQKIGSSLEVSDSRLSTDHFQQKEACRRSASPLISVEYSNSWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSDVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTLLRHDNLVSLRGFCCSRGRGECFLVYDFMVNGCLSQYLDVKDGSSASVLDWPTRVSIVRGIAKGIEYLHTKKSSKPSVIHQNISAEKILLDHNFAPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTDKSDVFAFGMVVLQVITGKRDVSQLKVGAAAVSDLDGLVDGNLNGVFSRAEAARLAAVAAYCTSETPSQRPTMEAVVQQLSH >Dexi9B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:9B:6189566:6191865:1 gene:Dexi9B01G0010000 transcript:Dexi9B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAATLSRTPARPQARGGEEAHTDARKDAQTDAEPPPPRRRPAAFYSSVFAQIEEIGWERVVSATGDDGVSSLTFRVVDEQGRIHLLEITLPIGYPASPPSIAAEIPYLPKIQWSKTSRLKDVIYQFQEHLKILQEFWSTMDEIDKVLWVVDPTKSTDVKGKFHENLAGVLDFALPVPHSVSSVKDDEQADCGICYAKHLPVDDELGAHSGCATDYTCENPSCSRAFHSICLRDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVADR >Dexi9A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:9A:14967861:14970498:-1 gene:Dexi9A01G0019970 transcript:Dexi9A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATPSSNKRTKASALPDEIVEDILARLPVKSLRRFQCVSHPWRHLITSPSFRDLHAMGAIVRRRRLFVRPAGYGEPFYACEQHGISSSPDEEILSCCSELAPGTVFPISKPCHGLVLLRCVEYDAHYVWNPSSGETLALPDRTPFRTAGLKPRTFVSYGLGYSKVTNEHKAVRMYCLDVDAGGDKPHAATAFCEVFTLDKSTHWRPAGTQPPAACRLRVRGSQGAVLCNGNLHFVGKDGVITTFNVEDETFGTLMPPSGLEYSGFDLTELDGCLCVYFSNQTNPWPDVPYRIWLLRDYAAAKDGSCWEEVRCIDWGSMTDAERAAFKSRWIAPLGMYCPDDGSNTGQTNKKIMFGTGSCKVFVVDPSNGGGTRPVVIFSLDGVQRDGQFPTVGLFEESLTSVGRASDEIILSSPSAEAWCQVLSRLPARTVGRLNQVSKDWRALIKSECFIDSHLCYHQANKSPHQLMFTDGRPNSFKPLEDFIGITLDVPPLVDDSARVVCSNPCHGLNAGSFLCYDFVCNPITGYYKALPLGDGGRELRGTSVYSDIARRSSLGRNGGGDDMFAAGRFGLGYDMEMGKHVLVRMAYRERNFSTREYRLECEIRYVEDMFWEELDPPQRPIADTPPAHINGKLYWMADGKLGHLSSGHEIVALDVSTREFELLKGPLRVEHPEECVSVVELQGQTRKGTLEIWAMKGNGWWFMEYRIDVGRFWPEYSSELVY >Dexi9B01G0032190.1:cds pep primary_assembly:Fonio_CM05836:9B:34444816:34447321:1 gene:Dexi9B01G0032190 transcript:Dexi9B01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDSNGHGETRIQNAEDKTPASVTSPDTSEEIQEKLPHKLIEHQEELGSPVNHAVSSSPEMLSHIEPAQASDDLSKDGRGHATLTNHTEVENISENGFTSMNSMSSDEMKSKEGNMNYHDNIAVAQENIVKSEKVSDSSHRGLVDTTAPFESVKEAVTKFGGIVDWKAYRAQSLERRRVMQLELEKVQQEIPQCKEDWETAEVAKSHVMEELERTKRLVEELKHKLERTQLEVDQAKQDSELALLRAQEMEQGIDGEASVIAQTQLTVAKERHEKAVEELKLLKEELLSMHEQYAVLTTERDVAIERAKEVVSAAKDTEKQVEELTLELIASKDSLELAHASHHEAEEHRLGAALAKEQDCLAWEKELQQAQEELHQLNEKFASKTNVEAEVEENERKLLSLKRELAAYVDNKLNEEAEAVQEQGSDEAKEISRSIKQALVSKRKELDEYRAKLENAKNEANLTRVIAESLRSELDREKASLATLQQSEGMASITVSSLEVELERTNKEIEMVHTKETETRQKMTELPRMLQKAAQEAEDAKMAAHLAQEELRKAKEEAEQTKAAAKTADIRLRAVLKEIEASRASERLAIVAAQAVQESEETGSVGDSPRVVTLPINEYHALSKRAHEAEEAANERVAAALAQIELAKKSESRSLEKLHEASNEMDEKKSHLQIALERAEKANQGKLGAEQELRKWRAEHVQRRKAHEATKHAVSPVTPPRTFVEHKGSYQEDDEFLADPKLHKSTGSLDQFVSDEKVQKKKSFFPQMSTLLSRKAQAQT >Dexi8B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:8B:24120389:24135040:1 gene:Dexi8B01G0013750 transcript:Dexi8B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDEFEMMHSFLNVSKERASDEMVKTVVRQVRNMALDVEDCIGSVVLMDTKSHWWRRLLPYCILAVVPGLPAPALDEAVVAIELLKSRVEAMGQRNERYMNIGSGGNSGPAGLTEKAQRQAVADTTAVGLLIEARNVLTKHRSPGDLIQLINKIDQALPLQVISVWGAEGDLGVASIIKKTCADPKISKRFGFRAWVKLTHPFNSHEFIRSLLAQFYANYCPQEGSSVNFLKPLDVMIATEGVLIEEFVKLVSDRRYLVFLEDVYQAVDLEAVRLYLPDKKNGSCIVVHTRQLEVASLCVGESHRVSELEQFSADHSVFVFYNEAKKKRRSPGSLIDLLKEDAPEPQLRIINVREEVGHHVVAPITMKTCDKYEDICKSFKYRASVKLMHPFNGEEFVKNLLTELCTNYCPKHGSAKDFLQLKGVIMVITEGVLITEFVKQVMRNKKYLIFLEDVSSVDDLNAVREFLPDNNNGSCIVVHTQQLEVARLCTYNSISIFPSEDLDDDDDDDDEDEDADEDDDYDEDHIKRKQAKEWLDEHESKLVGRMADKESLLANSRGAVRPVFGMAGVEGYCMDTKEETAEEKGEQSCLELCRRNIVHVPGSTSMSYMMRMPPCQVNGFFREYIVSRSMEENLVFALEGHCSVNKQHTGRHLAIGSTWDRDMSVYRGIDVSRLRSLTVFGKWDPFFITDKMRLVRVLDLEDASSVTDCDLKLIVKLLPHIKFLSLRRCKEISHLPDSFGSLKQLQTLDIRHTSVVKLPQSIIKLQKLQHICAGTAVPMDGDSSTVESIPSPLEAASASASMNRPCDATLVSQLKSWKPWTRCSQELPTCHNGGIELPRGIGKMMALTKITVVDVGIACGRPILEELKNLTQLRKLGLSGIKREICEALCSAISAFIHLESLSLWLEKSQTGCLDAISSPPEKLKILKLYGYTGKLPAWIHMLSNLSKLKIQKDMIMQDDVDLPNSLPNLNTLNLSSKEFQHGQFRFHPHFQQLQVLEINCNNRLQAVKFQPGVMPRIEVLRIFCHDVSSLRFSGLQQLINLRDVTLSGSYDERVKQSLELELGEYWQPCSTSSTSHGALVRWRRASQ >Dexi6A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:6A:4864264:4865525:-1 gene:Dexi6A01G0005380 transcript:Dexi6A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSRETRGVVSPALAERRHGLRSLTTRAASALASSLGLCRAGHDAAAPPPARKGAGDPPAAAEEAPLAGEGAAVDEEKPPAIDFDPATLPSFLPVPAVEAMPSPSHPALLYPKQENTPSPETKTRTPAIRDIPEVMTGIVRARVDEFHKKLEKRRRKKKQSSVAAEEEVPAGFFVDGDGDDVTPAALKLRRPLPRAGKTVVLYFTSLRGVRRTFEDCRAVRAILRCYRVRLDERDVSMHAAFKSELRDHLLLAAGEVRPAALPRVFVVSGGGGLLHDLGGAEELCELHEAGELARALALAGCDAAPPPAMACAACGEARFVLCGTCHGSCKVFVGDDEGCRRRFAGFFRQCPDCNENGLIRCPV >DexiUA01G0009200.1:cds pep primary_assembly:Fonio_CM05836:UA:17871036:17871662:-1 gene:DexiUA01G0009200 transcript:DexiUA01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVSCLAPPPPALTFADAAIARALHHLSSTMSSESASSSSSSLSAPAPHRHQCYSPLLLDLASPAPPAGAYGAPMMTCGDSVLVADSPPAAGRRHHNKLKLAPAGGRAGKRRSRASKRAPTTYISTDPTNFRMMVQHVTGAQGDDDDMRLLMLQQPMLLPGADEASPAAAANNGHNHQQQLQLNQQQPCFPTLDSWNVMYESTDLL >Dexi8B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:8B:25058955:25062787:-1 gene:Dexi8B01G0014440 transcript:Dexi8B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPGADSQSHHHHQQQHPAPQSGMPPPPRPNGRAPTLQTSLSLGSGGGGGAGAGSPDGAASPPTDARGEPAVSNSDPGHDSATESASSQETWPDETAKSGGTGGVASTPVAVAVPIAAVRMSASGGSKDKEVVGKGGGGVSRQQLQAVHRGRIPGATGRVMLREIARERVDVVAEKMKAMSEEQLDEIKAELRAILEGTGGSHHIEEFLYLQKIVQGRVDLSPAILSVAHHVQLEILVAIKTGIQAFLHPSVNILQSRLAEVFLYKRCRNIACQSALPAEECRCGICSNRSGFCNLCMCVVCNKFDFEVNTCRWIGCDVCSHWTHTDCAIRDGQVGTGQTIKNGVGCAEMLFRCQACQRTSELLGWVRDVFQQCAPGWDRDAFLRELDYVCKIFRLSEDPKGRLLFRRCAELVDRLRNASAESMTPRMLLQTLRELNIDSSKSFENEEPGRVITPQDACNRIAEVVQEAVRKMETVAEEKLQMYKRARLAVEACDHELEEMARKAQELKAEQLRKLQQAEEMESIIRLKQAEAEMFQLKANEARQEAERLRSVALAKKSEEAGQDYASLYLKRRLEEAEAEKQYLFEKIKLQENQRAPPQAASSSGLGIGGGSASDSSQMMMLSKIQDLLKNVRSMPPSSKSDGHHSK >Dexi1A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:1A:8037103:8042682:1 gene:Dexi1A01G0009680 transcript:Dexi1A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSGPPPASPPAGGAPSYNSVPPPPDEIQLAKQRAQEIAARIFSAAEAKRPRVDNGDDDVGGYGGGAPLGSSGGGGRIDGGGLGFSSSAGGGHGSSVPPLSSQSNAPSYSSYGGYQGTSKKIEIPNGRVGVIIGKAGETIRYLQLQSGAKIQVTRDNEAEPGAQTRPVELSGTPEQIDKAEQLIKEVLAEADAGSSGTGSGGRKYNAPQPGAETFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVIPLHLPPGDTSTERTVHIDGTQEQVEIAKQLVIEVTSENRARNQMSGGYSQQGYRPPRPQSNWGPPGAPPQQPGYGYMQPGAYPGAPPQYGGPQQPYGSYPPTSGGYQTGWDQSQNQQSQTTPPGTGYDYYNQQQPQQQTAPGSAASTDATSYNYGQPPTYTSQGYDSTYSQQSGGQQSYDYSGYQTQGQQQGYSQQTGYDQQSYGASAYGSTANSTQDGSAQSYGGTGGASQTSPGKQTSTPAAASHPGYASQPPTASSYPAQGSAPPSGYGAPPTQSAYGTQPPPQGGYGQGSYGQPSPQGQKPLASSPYGQAPPPAQGGYGQYGYSQPGYGAPPPYPGAPPASYPGYGQQQSYGDAYGSGSYGQPPAYTTEAPAAAGSQDQTAAPASAAAATSAPAPANSGGAQTSAES >Dexi8A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:8A:18017661:18019067:-1 gene:Dexi8A01G0010550 transcript:Dexi8A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTQRRHRKAAVRRTDDAPLIGYHEAEYTIKDVRDWKEVYDVHPRCPPPGETLRGDLVLENKWPQDLPGFRLHGFFKDQTTIMRLNYYPPCPNPGLALGHSPHRDGSAFTIIYQDDVDGFDVRRRTDGEWLRVKPIQGSFIVVVIGEIMQVWSNGKYKSLEHRASLNADKERFSIPFFFNPASSTTIEPLPETVRNEDPPRYSSYNWGEYYGSRRKGSSNSYAGATIAKA >Dexi3A01G0031500.1:cds pep primary_assembly:Fonio_CM05836:3A:35947687:35949965:-1 gene:Dexi3A01G0031500 transcript:Dexi3A01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMEELSSGPRACLYHNFLSKKECGHLIALAKPHMTRSRVVDVNAVGGKESSSRTSTGMFLRRGYDKVIGDIEKRIADCTSIPVEHGECLQVLHYDVGQKFDSHFDYTDNTQTTENGGPRQATFLMYLSDVKEGGETVFPLAKAIKSSSSNGGAKNGISVKPKMGDALLFWSMKPDGSRDPKSQHGANPVVKGSKWSATKWLHVREYKTY >Dexi5A01G0035190.1:cds pep primary_assembly:Fonio_CM05836:5A:37013558:37015879:-1 gene:Dexi5A01G0035190 transcript:Dexi5A01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEALKPYRDDELRNLRGDDQQGPYQAHDRVYRYDVYNDLGEPDSGNPRPTLGGSKDHPYPRRGRTGRKSTTTDPNAESRLTLLDDNVYVPRDERFGHIKSSDFLGYSIKALVDGIVPALKGYIGLEFNSFKDILQLYEGGIKIPDVPALDAIRKQFPLQVIKDIMPVGGDFLLKLPMPKIIKEDKKAWMTDDEFAREILAGVNPMIIKRLTEFPPKSTLDPSKYGDHTSTITEAHICKSLEGLTVQQALDGNRLYILDHHDHYMPYLVAINSLDDNFIYATRTLLFLRCDGTLAPVAIELSLPELKNGLTTAKSAVYTPTSNTGAEAWVWHLAKAYVNVNDYCWHQGISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLYPHYRDTMNINALARQKLINAGGIFEMTVFPRKYALEISSKVYGSWSFADQALPNDLIKRGMAMEDPSSPYKVKLLIEDYPYASDGLAIWNAIEQWVSEYLAIYYPNDSILQSDVELQAWWKEAREVGHADLKDEPWWPKMQTVSELTRACTTIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPAPGTKEYAELERDPEKFFVRSITSQFQAVVGISLLEILSTHSSDEVYLGQRDTKEWTSDAKAQEVFKRFGERLKEIEKRVEAMNADPKFKNRNSPAKFPYTLLFPNASNKENAGITARGIPNSISI >Dexi4A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:4A:18014148:18014543:1 gene:Dexi4A01G0015240 transcript:Dexi4A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALKYLLRKSTRTCTSVSIKDRERRSQECSAADGLRTHHCTSCPENCRGGALTRTGTPAVVAAPHPAPRVPRWARWNLALLSASPLGCHLTGTRIATPFQFRPQAITDSQISPPDAAVAAPPCPRADAA >Dexi9A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:9A:12324764:12328765:-1 gene:Dexi9A01G0017290 transcript:Dexi9A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLLTLVISGHHHPGRSHAFSIMNYLCRNRTSYALNSTYQSNVVALLSSLAANASSSAVGFATGVYGVNKELNITSDPGRYVELAADLASALSGWAAHNSTRRYAAGAITTTSGEGFTTTSSDLVSTIYGVVQCTPDQSPTACQGCLGRLTSVMPALFNGTAAAQFNAVWCNLRYDLYAFYDGSPVVNLVAPPPPPPPPLDPASQNTNRGKDSENAATVIAIVLGVLVAVLASAFIMYIWSKEQAKQREFLSSVKRLDKASTQGLKELRNELLLVAKLRHNNLAKLLGVCLKGQEKLLVYEYLPNRSLDTFLFAPAAETHLLLDWETRYRIIYGIARGLLYLHEDSQIKIIHRDLKASNVLLDADMNPKISDFGLARLFNGDKTTTITSQVVGTLGYMAPEYAVLGHLSVKLDVYSFGVLILEIVTGRRNTDTCFESEHEEPSSLLSYVSEHYSQSLHTCMKCNESMKLFLASSALFLRRRLAFLCWGEDNDDKARGATARKWTMGSRFFLYTPTTSTPLETMDPSLDCQGQATESEVLKSIHLGLLCVQENPADRPTMLDILMMLHGQEASFAAPSKPAFAFGRGEMMDSEERVRLSGGPGTQRAAATVFSVNGMSASEFDPR >Dexi5B01G0030810.1:cds pep primary_assembly:Fonio_CM05836:5B:31648344:31649006:-1 gene:Dexi5B01G0030810 transcript:Dexi5B01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRSLIEFLRAFEHHRKAAADSSSPTSACSRSRRASTAPRAAAGSFSDSTAMAVVDAVMLLAVVAALGFLVAPYLKLLLVEVGTLLHPAASCLSAAAFFGAAVAVAAAVVAWELLGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGRPGTLARSALLAAAGARPVELGDEQRELEAELRKMAPPNGRTVLIFRAPCGCPKGRMEVWGAKKVRRIKK >Dexi6A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:6A:21392691:21393709:-1 gene:Dexi6A01G0014050 transcript:Dexi6A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERFIIKQVTKTELESFVEFAPRYFKYLMESLTSGSPTCLAKIVGLYQVSVKSLKSGKEVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSGDSKVLLDSNLIEALHTKPIFLGSKAKRRLERAVWNDTSFLALADIMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSC >Dexi4B01G0017100.1:cds pep primary_assembly:Fonio_CM05836:4B:19183225:19200469:1 gene:Dexi4B01G0017100 transcript:Dexi4B01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRRGTFHWGGGLVEQAASEEVDSIHFSFYSDDEIKRISVKKITKSERLDAKNLPVPGGLLDPAMGPTNDTDNCKSCGQYSVRCPGHFGHIELAKPLFNPLLFMSLRNLLQVTCFHCHKFRLSKGQVDRYANELELLVKGDVARAKNLEDSAKEASLSKEDEDITEATSGDKSSPEDDKKTWTSIQLKEVLSIFSKIMKKRQKKCARCDMKSPTISSPIFGWLVKDTGASAVRANAIADFKLKGDGAAHDSGETGVSGLDEEPTSTGIVSKGLINEVRRLSDDTIKEMVASSGKRHLLPIEVESILKDLWKNEARFCMLLCDFQQNTLSVSEKRRGYEMFFLNSLLVAPNRFRPSTSSSLGIMEHPQNVLLSKVQEANLVLQHNSAGSSHMDAIRRWMDLQRSVNVLYDSSKGLVKSEKNAHGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAMNIVNANAQYIGPRSGDVVRGLIQDHIVSAVLLTKQDTLLSREEYSHLVCGSCVPSNRSSRQPGKKLSAIKDDGALELVLPAILKPKPLWTGKQVITTILNHLTKGRTPFTVEQKGKIAEEYLSPREFGKKKEKEDKEREASEERKIATSERVLYICDNELLKGMIDKAQFGNYGIVHTVHELYGADTSGMLLSIFSRLFTLFLQLHGHTCGVDDLLLCQKADEARRKIIDRSEELGEEVHMVFTKPKKNDEDDSVKSQMEVEKGKAVDMEFTHTGKSLQDLVKLRMEVEKVIRRDGNATLNLDRTMSNALNGITSDVNKRLFPHGLQKPFPGNCLSLMTATGAKGGEVNMYQISSLLGQQELEGKRVPRMVSGKTLPCFLPWDTSSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKCLESLKVGYDHTVRDVDGSIVQFCYGEDGVDVLKSSFLKKFKELALNRKAVLKELVGDDELRKPNHYITELLAESARGESPGLLKELVGDKTSKPNYYITELSAESRSRRLNTFHLAGRGEMNVTLGIPRLKEILMTASANISTPIMEAPLLANRTVEDAKRLAAKLRRVRVADIVEKIEVCTVPFYNSNGNVSTLYKLQMKLYSEKCYPPESDLTVDECQEALRTVFVDAMENALEKHLDLLHKINEIRAVKVNDVEGSLSDGGEESESRHADREDTGTSDGDDDENDDDDLGTDAEKRKRQENDEMEYDDDTENEEGMDSESEEETKVKHQSEEDPAESGDDLQEADDGYNTSKSEMTSVDNTSYSAKKGKSSKDRHKTAKLQEKTQTDSKSEERKHNETTRKRRKKLKRTVQVESNDLDFEIHYAFQGEPHILLAQIAQKTARKIFVRACKNIEHGKVVEKTDEKKGSYTALQASGVNFEVFYNLEDYIIINEITTNDIHAMLKTYGVEAARASILQQVQGVFAPYGIEVDPRHLSMIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAATHGEADSLESPSASVCLGKPPKVGTGCFELLQNLGMDHPMVM >Dexi3B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:3B:9650843:9653768:1 gene:Dexi3B01G0013520 transcript:Dexi3B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRCRAPLLAEGPSRLPALEYGSCLKVAAPGEGGGAWRGRTGVAALGEDGREMAALGETIGGGGACEDGMSRYVSVPPPPPVATLLAGMDRREFEAWKLFVRGLPPLEVTSYGMRVLRQCRINLYALAEIMRVPELV >Dexi1B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:1B:6998997:7001125:1 gene:Dexi1B01G0008360 transcript:Dexi1B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQEDVARAVASEMDGGEEGVAWRARADQGPREEGADAMVRGGSSDQNVARLSRRRPIPVRATSHVRGLEASDRPFIWVVKPVDPAEFERWLSDDGFETHVGEERGLVVTSWAPQKAILSHRATLGSVTHCGWNSTLECAAAGLPMVTWPHFAELFMNEKLVVNVLRVGVPVGIKATAKWGVEAEAVAVTREDVARAVTAVMDGAARRATAEALGKKARDAVARGGSSDWNVSHLVEHVLGQRKLVA >Dexi8A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:8A:323044:323385:-1 gene:Dexi8A01G0000450 transcript:Dexi8A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVWVWPRDGEDKGCGEGGNGGSIWNNGTCEIERIFTGEAIIDEPISCLITRDRQQQGKHKDEETQQQRKAGMMHIDRSN >Dexi1A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:1A:3590004:3591037:1 gene:Dexi1A01G0004930 transcript:Dexi1A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQHKILFVSLCLFLCTGVAISRQKGEAEALLRWKSTLLDSTSLSSWSLANSTCSWFGVTCDGAGHITALRLPGAGINGKLDAFYSTALQNLTRLDLSDNNLVGTIPANIFMFLTLTFLDLSSNSFTGAIPYQLNQLPRIASLNLGCNHLTNPEYGKLSPMSNLESLSLANNDLKGTFPWLILNCTGHRMRWLDLSANAFSGHIPGSLPDMVPRLKSLNLSSNGFSSTIPSSFSSLQKLHVLCLDYNHLTGRVPEELGIIPGLEFLSLRNNFLSGSIPTSLGNLTNLVLMDLSLNNLTGGVPEEWE >Dexi6A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:6A:4404066:4408678:-1 gene:Dexi6A01G0004830 transcript:Dexi6A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAGGRAVFHLQHLRPRPPCRALPPSPTTATAGVLSRSAPASRSEGLSPAPILARRGEVRKHETVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEQVLGRVDALRKRITSVGKQHASVCAKSTTKREAEEHLSEGRKRLEEAFQHGKHAIDDLVNVAKALRSMPVVDLHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGVLMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWIDVISKCDLLGKKAPISFDDADEEVAQYRRFGPEGALRVSVQSEIGVKEVSYSHASLILLKKRVHRLLTSQMARIKSNMAEHETQDGGVSVPLRS >Dexi6B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:6B:27064193:27064825:-1 gene:Dexi6B01G0020610 transcript:Dexi6B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYDELVKLADQGKDAEAKDLPVDEDLPGMGQFYCLHCDRYFASESVKDEHYRSKRHKKR >DexiUA01G0002200.1:cds pep primary_assembly:Fonio_CM05836:UA:5021282:5021744:-1 gene:DexiUA01G0002200 transcript:DexiUA01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVRDSDLLKDVETIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDETTRQNIKLLIKSLSRLL >Dexi1A01G0031850.1:cds pep primary_assembly:Fonio_CM05836:1A:36665514:36669545:1 gene:Dexi1A01G0031850 transcript:Dexi1A01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSPPQQQQQQPPSEEGVFKQCFFSDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGMFDEVMKAMELILEKLLDEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKISPQDNNYAGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLAFPSYPGVPVGYMIPQVPYNNAVTYGPNGYGGRFPNNKPSTPMRSPATNDAQESLTIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTSEAIRTAESMIMRRVSASSDRS >Dexi3B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:3B:4619372:4621080:-1 gene:Dexi3B01G0006670 transcript:Dexi3B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAYSEWWFDDSLYRHPCIACAASTIQAFVVRHRPSPKHLHPAVLRPPKQLNRPFVDYRTGRIHRRTLPYLHDGAVPSSSLAGLIRTLGAALRTRSGGGCAWCWQGRDAMASLQAVFRERGHAMGAAARELEEDRMRLEHAVTASLAHRGKLLACLHEASHAPPDDVGLAGAARGRRKAAELAVDDAIDTLGRAMENGELSFQEYIRRVKILARGQFFHCYAASTSMGT >Dexi6A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:6A:19744413:19745141:-1 gene:Dexi6A01G0012920 transcript:Dexi6A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKRSGRPAPARNHMRRTTSMTEFTPPADVLAGVPEEELEDEEAELQLLPAHAEGGDQQAAEAVVEDPYGWAIGGAAAAGRKADWLAAYSARATPARRLRRNSADFSAAETAAFLRACGLCNRRLGPGRDTFMYRGDTAFCSLECRQQHITIEEWKEKCALATPPMAPPATAAADPVPVAPLPPAGVASDKPAGTIAAA >Dexi9A01G0024540.1:cds pep primary_assembly:Fonio_CM05836:9A:20922558:20923061:1 gene:Dexi9A01G0024540 transcript:Dexi9A01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAGARTVVITGMLPLGCEPKLLAMFPDSPGDYDPASGCDARFNKLAAIHNRALKLMLRELRLRYPGRSLVYADVYHPIIRAVTSPARTPLVACCGGGGGPYNFNYAAFCGTPNSTTCEDPSQFVSWDGIHFTEAANELMAHAVLRVLMSTSAEELSSQQA >Dexi1A01G0028200.1:cds pep primary_assembly:Fonio_CM05836:1A:33912956:33913867:-1 gene:Dexi1A01G0028200 transcript:Dexi1A01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSHSGASPDCSGVRNFASPKSAIFTWPPSASRMFSGFTSQWMILWSHPKWRYASPLAAPMATSPLGREERRFSLRHDLITMAPMMTTSTNARIVGRRMAMRVPFEEPLDELCELRTLMKPSLSESEPLVESSLMKEPSWCCTK >Dexi9B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:9B:6773239:6773770:1 gene:Dexi9B01G0010770 transcript:Dexi9B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSRGSWSSTAGSRRSPIPYRKKPLDYEPAVLCHCGMKGNTTEGGCGFITWYEGPCNPFVASLLVDLRDAVWGLKDVNTALRLQLDDVTMRLEQEKNDAIALKELQRMEKEEEQLKLRDGRTNKAGGDRCLIIAVCVALCATWIWMALH >Dexi4A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:4A:6700149:6701930:1 gene:Dexi4A01G0008740 transcript:Dexi4A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTAAAQQLESLLPRLATLSHHKQFHARLLTSGHLLSHPHLRARFLDRLALSPHGAALPYALLLLRSLPSPATNDLNAALRGLAASPHPARSLLLLAGRLLPAPATAPPRPRLDALSLSFALKAAARCSDALATLQLHATLVRLGVAADVRLMTTLLDSYAKCGDLPSALKVFDEMSVRDVATWNALLAGLAQGTEPNLALALFHRLVGSFRELLPREEPNEVTIVAALSACAQLGALQDGLTVHQFARTIGVEDNVRVCNALIDMYSKISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAYGLISTPPGETIRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHRFENGQCSCRDYW >Dexi6B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:6B:26392928:26394910:-1 gene:Dexi6B01G0019760 transcript:Dexi6B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGSALSFARPVKAVNTSSLSFSAASKGNGFLRLQPVPMRFAVCCAAKKDTVDKVCEIVKKQLAVPEGTEVCGASKFSDLGADSLDTVEIVMGLEEEFQISVEESSAQSIATVEDAAELIDKLVEEKSS >DexiUA01G0015380.1:cds pep primary_assembly:Fonio_CM05836:UA:32301936:32302538:1 gene:DexiUA01G0015380 transcript:DexiUA01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKHRVVTDLPKDLLVEIFSRVPYKSLCRCRCVSRSWHALCSDPDVVKKSPQTLSGFILHAGDKQGRHRLLNLPGSGRPLLDSLTPFQCHYYSSIRLVHSCNGLLLWRCCKHPASSYEVDYVVCNPATKQWTALPPDEMELRGIMWQAYRLCFDPAISSHFRVFMFLPGYICGGPFKGVAIFSSDFEPNDHQLFRCGT >Dexi2A01G0023560.1:cds pep primary_assembly:Fonio_CM05836:2A:35279624:35284603:1 gene:Dexi2A01G0023560 transcript:Dexi2A01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPEPNQREQTPTPDQPRAGREGLRKREGKTRVTSCAPRRMSRAAVASTTRMAAEEMRRASASAAAAAAAATAEAAPAQAGSRWARVWPPSLRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNIGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALANRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVVAKGLGPWGPGIVQKYTSARFGTSSTGELLTDQESTLLTDYIYHTLAAKASGELCLKYIFSFGAFARKPLLHCASDWKVPTTFIYGHQDWMNYEGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHSAVLYACRNILSDGEEGLTLPDGLISA >Dexi5A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:5A:3216194:3225587:1 gene:Dexi5A01G0004260 transcript:Dexi5A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRQQQQQWILSFFQRPPRDPDGAGAGTPPEKAPRPPAGSVASIMERLVRPPPPPPPQRRLLIPKGKFYELYELDAEIGLKELDWKMTVSGVGKCRQVGISESGIDYAVDKLVARGYKVGRIEQMESANQAKARGSNSVIKRKLLHVATPSTAADSNIGTDAVHLLALKEVTLSSSGSRVYGFAFLDYAALKIWVGSLHDDESSAALGALLVQVSPREIIYETSGSVKMQLTPLSGIDFSDVSQIRMLHDALKNGEVLPYHVYKTCLRMDGQTLVNLEIFGNNFDGGSSGTLYKHLNHCVTASGKRLLRRWVCHPLKDIDAINERLDVVEGFIQNCGLGPTTLVYLRKIPDLERLLGQVRSTVGLSSSVQLPFVGERILKKRTKTFIMLINGLRNGLDLINDLQRADHGVSALYKVVDIPTLSSLRESIRIFEEEIQQEFPCYQDDVKDYDGNTLADLVERFVGNAPEWSLVINAVSTIDVLRSFAAMTLSSFGAMCRPHILLKDDVPILRMKGLWHPYAFAESANGLVPNDLTLGGDLSGLNRFALLLTGPNMGGKSTIMRATCLTIVLAQLGCYVPCTSCELTLADSIFTRLGATDRIMSGESTFLVECTETASVLQNATEDSLVLLDELGRGTITFDGYAIAYAVFRHLVERVRCRLLFATHYHSLTKEFASHPHVSLQHMACMFRPRSGDGNGEKELTFLYRLTSGACPESYGLQVATMAGIPKVVVERASVAGQAMRAKIAGNFKSSEERAEFSTLHEEWLGTAMAVIVKDGHLDEDIMDTLYCVTHELKAHFRKAR >Dexi2B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:2B:13013046:13015275:1 gene:Dexi2B01G0011380 transcript:Dexi2B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTAMLSSERLCYYWLLLLVAHFSLSATIAAAGKVSAIIVFGDSTVDAGNNNFIPTIAKGNFPPYGQDLDGGATGRFSNGRLVTDFISEAFRLPSYVPAYLDPSYTIDQLAMGVSFASGGTGLDHLTAQIASVIPLSQQLEYFKEYKERLKRAKGESVANKTIAEALYIFSIGTNDFIVNYFPFPLRPAQYTAPEYVAYLVGLADAAVRDAYGLGARKIEFTGLAPFGCIPAARTLNHDEPGECNEEYNQVAIEFNAELQEAVSKLNGDLAGAQVVYADTYSVVSAMVANPSDYG >Dexi9A01G0049740.1:cds pep primary_assembly:Fonio_CM05836:9A:52302571:52304594:1 gene:Dexi9A01G0049740 transcript:Dexi9A01G0049740.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGAWTPTAELPLHQDEEHGSCAVAANKTMTTTQDGSVDWSGKPCVRARSGGWFAGFLMLANQALVTFSVNCVGTNLVTFMSVVMRLDNADAANKANNWNGTTYVFSIVGALISDSYWGRYKACAIFQLIFLAGLVELAIASHLFLASSCDFRQGQARHEHCQPPTRAQSLVLYISIYQIALGNGAYQPAMTTLGADQFDETDAGERKSKSAFFGYFFVANNLGGVLAVTAVAYIEDRGQWALAFWISTSAALAAVLLFAVGTLRYRHFLPAGNAVVSVCQVIVAATRKRRVRVKAPQDLYEKADGGGARKQMVHTPEFRCLDKAAAVVTAAADDHHQGPWRLCTVTQVEELKCILRLAPLWLCSILFSTSFSQMASVFIEQAQAMDGSLAKLQIPAAGMGVFEILGVTAFVFIYSFCIVKVMSRVWREPTELERMGIGLVISTLAMLTAGMVEQQRLRQAITTTTTTHKEPTSSLSILWQIPQYVLIGASEVFMYVTMTEFFNDQLPEGLRSLGSAMSVASMSAGNFVSSLLVTVVMATTTKGGRAGWIPPQDLNRGHVDRFFFVIAALNALDLLAYIVFAKRYRPAPMLKPLLVVGESPVINNDNDAAGVHHPIDRC >Dexi3B01G0026610.1:cds pep primary_assembly:Fonio_CM05836:3B:21988684:21989305:-1 gene:Dexi3B01G0026610 transcript:Dexi3B01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLNILAAWPSQVPVVEGPPEPYSFNVVSGTSMATPHVTGIVALVKKAHPDWSPAAIKSAIMTSSSAVDNDGHPIMDEEHRKASSYAVGAGHVAPASAADPGLVYDLGVRDYAGYICKLLGEAALKAISGTTNLTCTKVEALGAEQLNYPAIVVPLRAEPLAVNRTVTNVGPARSSYTAKIEAPEGMTVKVEPAELEFTEVGA >Dexi5B01G0023900.1:cds pep primary_assembly:Fonio_CM05836:5B:25986708:25987795:1 gene:Dexi5B01G0023900 transcript:Dexi5B01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTSVKRGPWSPEEDELLRSYVQNHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRVICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKALAMHHHQQEYYHHNNQHGSGGRGRRAAAVATPPRAAPQSQCASMHPSPASASSAITTASAGGDAACSFGAIYSSSPAAALQQAPATVLARYDGGGAATAPPLPPPQQQASSSSLAELFSSPAPLLTHAGGVAINSWASGLLPFDDMFLPELLGVSEFAPGDCLLGGGGFVPLLQDRSSLQELSACYFPNAQAEMWAAAEHVKPPPVAGLCHSLT >DexiUA01G0022520.1:cds pep primary_assembly:Fonio_CM05836:UA:45729963:45740576:1 gene:DexiUA01G0022520 transcript:DexiUA01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHGPPYHPPPGYQAYCDEEQSLYNPPPPQPPQEDDCFVSPRRTGSDMVAAGRAPQCVYRVRERIAAVAVPALQCEQHWIRADTSLASSPSAQAMAADLPEVAMAALYIALGCREVLKPVLEFMDSSAAARGPVVDMAVAAVLLTLPTAYLFVVGVILPIALHVTLPPAAPFSPAAFWQSVALGFVLLLLFVAVPLGPFCSSPPAAHSAAWPWRDSERVHRHSITLCSGRHQAQADMATYSFSFLPPPLTSNATTPVPFPSTAHLPTPPAPHLSQCSRRRRCLRQAAKALVSTCLKFAAELRPRSAALMARSAAAVRGLCPDPSPELCQRRRPTDLAPVQHQTPSKEDGFIRSILWDEAVPDIVGIFPSLGWFYPLLLNQTRDGAARIHPALEPNTL >Dexi5A01G0035840.1:cds pep primary_assembly:Fonio_CM05836:5A:37526652:37528845:-1 gene:Dexi5A01G0035840 transcript:Dexi5A01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVGRCAWEAVYSASAPSTSEEAKLARTSHAVAVAKYSHRLAIYRSATPNLASPPMRFDT >Dexi7A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:7A:27261077:27263292:-1 gene:Dexi7A01G0017680 transcript:Dexi7A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGGYFEGSHDKYLMAGSLIHDSSQISEGNDDTSIDLQKFRVPSFSTEALSSPTIFSSEDIGGTNLLQHQLGIDLEQEAPPGETASWDPSVCTIQDQIINHQFGEHSENMLMEPEIQQYAALYPNGAYTPAPDLLNLLRINAAPALPAATSVFSDVVLNGSNYLDLNGELTGVAAVPDNGLMFTDDSSVQFGYHATQPHLANDICHSLPQNYGLFPSEDERELMIGAGSVDLFQEIDDRQFDSVLECRRGKGEFAKGKGKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHSNSREKRKKLDHQAAADGESSSMKPIRDDQDNQLNGAIRSSWIQRKSKECHVDVRIVDDEVNIKLTEKKKDNSLFYAAKVLDEFQLDLIHAVGGIIGDHHIFMFNTKVPEGSSVYACAVAKRLLEAVDAQHQTFSIFN >Dexi3B01G0027380.1:cds pep primary_assembly:Fonio_CM05836:3B:22884592:22888708:-1 gene:Dexi3B01G0027380 transcript:Dexi3B01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVATSPAPPPPSASSSSHHHHHHAPTTVRGLLCHAVAGASAGVVAATFVCPLDVIKTRFQVHGWPKLATGSMGGSVIIGSLQQIAQREGLRGMYRGLSPTVLALLPNWAVYFTVYEQLKSLLSDNDGSHQLSLGANVVAASCAGAATSIVTNPLWVVKTRFQTQGIRAGPIPYKGTLAALRRIAHEEGIRGLYSGLVPALAGVSHVAIQFPAYEKIKAYLAARNNTTVEALSVGDVAVASSLAKVAASTMTYPHEVVRSRLQDQGAHSEARYKGVIDCIRKVYLKEGVAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDLFPPEPEPHIQPLKH >Dexi2A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:2A:31487074:31488188:1 gene:Dexi2A01G0019260 transcript:Dexi2A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFPIIDLGLLAGEERPAAMDLMRDACENWGFFQILNHGISTELMDEVERLTKDHYKRVREQRFLEFASKALTKDGCDDAKVAENLDWESTFFVRHLPESNLAEIPDLDDGYRRAMRRFAGELEALAERLLDLLCENLGLDKGYLARAFRGPSKGAPTFATKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVITNGRYKSVVHRVVAQPDGNRMSIASFYNPGSDAVIYPAPALVKDEESAEAYPKFVFEDYMKLYVRHKFEAKEPRFQAFKSMETETSNRIAIA >Dexi3A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:3A:11575828:11580594:-1 gene:Dexi3A01G0015540 transcript:Dexi3A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHFKDHKFSPISFSPDAESQQFVMSYNKATERKPDDLIEMTTCTAEPSMNFVIDSHNLSHGPATNHVTGIGNGTIAGDDNDRNPSSARLSARSPESDFVADQKQQSPSWTPISGCVSANENHKNSDSHSTRSTVTPDYAFLQVSDIDAQAQPIKAQPLLGQQPKLLNKKESAAKGSNNLANHCHTPTSVAHTTSSSNMPHVDKKADVNPTSASAAAAMKEAMDFAEARLKAAKELLERKGDSFKLRKKSSHHRSSRSTEIKAPVCAEEDTSIQKLSVKKSSKEEKNPDGSLYDKHTKLSAVRFDHSDENGKTELPLEKPQQMMPHSAESCQTSSKLEKLGKWKSVNDFFELTGDDQKCETDATIREDDKRERMDHISVINDHSETVLSTADSDLERYEKLWEVNDGRNLGVKHVDLREGKIAPVDKDRVSVILEASTENMAPQKTHSSVGMESHDADECLGLPSVSDASAKLDVIKDMPDSLPEACSSGNASDLRDVGDSIPKVSPVAGASQDSKLEQEVPCSGGMQCTSGSSEKLQEPSDASNVAISQGSNIKSLILEELQESDVCDAFLRPRVSRIDQEAETYGREKFSFIAGSLLHNKGAKINEVLSEEVERVEIEEKVNPCTHPGETVVDLDAECPEDENDIILQNDNLADREESNMLNVFEVASKLIKRELDQEMHGSLGHQVDHMEEGMDGLISDVNNKETDETPLENIDRTGFEEGPAPCNHDDQKSPESTNRGQSDVDAKLDTTSNEVGSESFSGDEGTIKAASDSSSRATINLNDDPASSSEMYTHMQHSVRKDDSATSQTSCSAPGLGEIGEVSNRREGELPTERSTREETGRASKMEEKDTTTRISKADHRPSPFETTHSPTKSAEAPTPVSADLWKKEALGVQRENITRADSATDKDKASSRKAQEAKDSATDKDKGSSRKAQEAKESEKRLEKQRELAEEKERQKLEEEERERERKKDRLAVERATREAHERAFAEAREKAEKMAMERITAARQRASAEAREKEERTSAEAAAERATREARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYRSSFKEGFKSNQVAVVEVESALRHKARLERHQRTTERVSKALAEKNRRDMLAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAVAVKKAYRKAALCVHPDKVQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >Dexi7A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:7A:2850598:2853127:-1 gene:Dexi7A01G0001130 transcript:Dexi7A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPSFRLLPLTPTRATTPTSPLSVRRPRPRALPNPHVRCCASRTTHPAAAAREAAAYWAGKIAGAVPWKAAVSGALALAVT >Dexi9B01G0029700.1:cds pep primary_assembly:Fonio_CM05836:9B:32145334:32152000:-1 gene:Dexi9B01G0029700 transcript:Dexi9B01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELKLLGTWASPFAMRVKLALNFKGLSYDNIEEDLRNKSDLLISSNPVHKKEEKAEAVKQTLAAAEHMEAAFKECSKGKPFFGGNSVGYVDVTLGSLVALVRTSETYDFKPFDATRSPLLNAWLERFAALDAVKTVVPDTDRLVDYAKTRLAEAAAALLASWLQTFRGKTEEDKAEGVKQTLAAVVHLEAAFKECSKGKPFFGGNSVGYLDVTLGCLVAWVHAGEKLYGFNPFDATKCPLLNAWVERFGALDAAKAVLPDTDRLVDYAKMRQAEAAAAASNN >Dexi9B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:9B:3887728:3889247:1 gene:Dexi9B01G0006470 transcript:Dexi9B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIHSLAALPPGPPPARPPLRRGTVAASATHLRVSSLLQPPPPAPPSLASRRAAILAALVLAAAPARPDAAAAFSLGIPGPKELLREQKKKSASFLLAPIAASRDTLVKAQALLASPDASAEIAEEVRGRIGAAGRDCVPRQRNSIVAFQSKTGVEVCTFSLILNNAASLLTKKDPLKVEADSKLGELIQSFSDLGTVVENSNFELIGDRETMKDGLLNTISALDKFEQSVKDCLGV >Dexi2B01G0028510.1:cds pep primary_assembly:Fonio_CM05836:2B:37109661:37110489:1 gene:Dexi2B01G0028510 transcript:Dexi2B01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCLGRKLRFPSQSAGAFTALAALELTTGSVSGGELESILSSRCPQLKKLALEVVTITLRPAAGDNRALCIRSDSLEQLKIIANIYRHDLLQVATPELKSLFLNVHCNLHIIAPKLSKLYWHCNSYDPDRHRLEEGSEYYNRFLQDINHLIKCEVLVLTYSVTKHAFKLTMLNILAKCAGLRKLVIDYPVFITVSAF >Dexi5B01G0025850.1:cds pep primary_assembly:Fonio_CM05836:5B:27764716:27765573:-1 gene:Dexi5B01G0025850 transcript:Dexi5B01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKTHGAAREVPDEDLEEARPPLLFHEQTGGDLSASSPAAINTTPNCVSGEDETWNGWWSPSPEPSTATVSTVPGDGHAVVVGPEPIAARQDCTAARARRTPRETARRALTAVDPSPNRSPSSAVPTDKSGSTRSRLVI >Dexi5B01G0028620.1:cds pep primary_assembly:Fonio_CM05836:5B:29983191:29984752:1 gene:Dexi5B01G0028620 transcript:Dexi5B01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWQDDDGGDSGPGLAGGEGEGAHCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTEHTEEDVTEEMKSGSLSLGFPTNEPFAFPGLRSDIEALEKGLFGSLGSVLNEAERMTNDFFKSFGFPSTHDRESSPFPRQPAERHIEEGTTKKTKEGDYSEFSGQISDV >Dexi3A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:3A:6605050:6605697:-1 gene:Dexi3A01G0009460 transcript:Dexi3A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVPRQAGLGAPGFWHDFLLGMLKPVAATAVVAMAVALSFAQRLGLEREMLYAAARAFLQLTVVGFVLHFIFSQKHALLILLAYLFMVTVAGYTAGQRAKHVPGSKHIGFVSILVGTAITMLVIVALKVFPFTPRYIIPVAGMLVGCAMTVTGVTMKKLREDVKDQRNLSLSRLLHPP >DexiUA01G0004820.1:cds pep primary_assembly:Fonio_CM05836:UA:8966592:8972541:-1 gene:DexiUA01G0004820 transcript:DexiUA01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSWSFGEVKKPETINYRTFKPERDGLFCARIFGPVKDYECLCGKYKRLKHRGVICEKCGVEVTQTKVRRERMGHIELASPTAHIWFLKSLPSRIGLLLDMPLRDIERVLYFESYVVIEGGMTNLERHQILTEEQYLDALEEFGDEFDAKMGAEAIQALLKSMDLEQECEQLREELNETNSETKRKKLTKRIKLLEAFVQSGNKPEWMILTVLPVLPPDLRPLVPLDGGRFATSDLNDLYRRVINRNNRLKRLLDLAAPDIIVRNEKRMLQEAVDALLDNGRRGRAITGSNKRPLKSLADMIKGKQGRFRQNLLGKRVDYSGRSVITVGPYLRLHQCGLPKKMALELFKPFIYGKLELRGLATTIKAAKKMVEREEAVVWDILDEVIREHPVLLNRAPTLHRLGIQAFEPVLIEGKAIQLHPLVCAAYNADFDGDQMAVHVPLTLEAQLEARALMMSTNNILSPANGEPIIVPSQDVVLGLYYMTRDCVNAKGEGMVLTGPKEAERIYRAGLASLHARVKVRITEYEKDANGEFVAKTSLKDTTVGRAILWMIVPKGLPFSIVNQALGKKAISKMLNTCYRILGLKPTVIFADQTMYTGFAYAARSGASVGIDDMVIPEKKHEIISEAEAEVAEIQEQFQSGLVTAGERYNKVIDIWAAANDRVSKAMMDNLQTETVINRDGVEEQQVSFNSIYMMADSGARGSAAQIRQLAGMRGLMAKPDGSIIETPITANFREGLNVLQYFISTHGARKGLADTALKTANSGYLTRRLVDVAQDLVVTEDDCGTLEGITMTPVIEGGDVKEPLRDRVLGRVTAEDILKPGTADILVPRNTLLHEQWCDLLEANSVDSVKVRSVVSCDTDFGVCAHCYGRDLARGHIINKGEAIGVIAAQSIGEPGTQLTMRTFHIGGAASRAAAESSIQVKNKGSIKLSNAKSVVNSAGKLVVTSRNTELKLIDEFGRTKESYKVPYGAVMAKGDGEQVAGGETVANWDPHTMPVITEVSGFIRFTDMIDGQTITRQTDELTGLSSLVVLDSAERTAGGKDLRPALKIVDAQGNDVLIPGTDMPAQYFLPGKAIVQLEDGVQISSGDTLARIPQESGGTKDITGGLPRVADLFEARRPKEPAILAEISGIISFGKETKGKRRLVITPVDGSEPYEEMIPKWRQLNVFEGERVERGDVVSDGPEAPHDILRLRGVHAVTRYIVNEVQDVYRLQGVKINDKHIEVIVRQMLRKATIDSAGSSEFLEGEQVEYSRVKIANRDLEANGKIAATFSRDLLGITKASLATESFISAASFQETTRVLTEAAVAGKRDELRGLKENVIVGRLIPAGTGYAYHQDRMRRRAAGELPAAPQHDAGAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGDGGIDVELALQPEPMQRLDKDADFLLHQPTEAP >Dexi8A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:8A:12318020:12318806:-1 gene:Dexi8A01G0009390 transcript:Dexi8A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAPSTVVPTDAELLQAQADLWRHSLYYLTSMALRCAVQLGIPTAIYHNGGAATVPDLITALSLPATKLPFLRRLMRLLAASGVLTVDNSGEEAIYRISPTSYLLVDGVADDGHINHSSIVLSATSTHCVEAALGFADWFKKDVVTPPFKELHGATLFHDNMEDIDEEYHRLANEALASHDNFGIDMALRQFSDIFEGIQSLTDCGGGDGTTARAIVKAFPHIKCTVLDLPERRYQRMVSLTMLEATCSSSSHLLKL >Dexi3A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:3A:8709510:8712773:-1 gene:Dexi3A01G0012050 transcript:Dexi3A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNEREQMRKGNYKQSVDADESRRRREGQMVDLRKAKREESLQKRRHVGLGFAAGAAPPAGHSSALQQKLEGLPALVQAVLSNDPNVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFIEFLTREDHPQLQFEAAWALTNIASGTSENTKVVVESGAVPIFVKLLNSHSEDVREQAVWALGNVAGDSPKCRDLVLGHGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFDQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDLQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNVYAQMIDDAEGLDKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPASDNPQNGFNFGSQPSVPSGGFNFG >Dexi2B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:2B:26184700:26185678:1 gene:Dexi2B01G0016050 transcript:Dexi2B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKRGPWTAEEDHKLITFLLTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKLVIDLHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKPLLIQPVLPGDDPSGSPEVEEEEKAVTEIMPGGFGQDALSVEDDVVTMGHLLDDIVIPGDVVVDAPPAADDSGIGAACSPDEESSSTSSSSSYSGSAPAASSSGIVDGEWPQMMEWPESMWLDDVVVTGTTPWEFEDDDPFLTYQRMALFDHQETWNNNNRVELF >Dexi8B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:8B:21549504:21553820:1 gene:Dexi8B01G0012250 transcript:Dexi8B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLEKGKLSKFSGHAAGGSSIESLMKMPEPEVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAISDLLSSCGKCSQEFRLLDFVSQVSTGSHDMSYDDESDEFRGSAVVHFRIRDDMIACDRRRLAALSTPLYAMLNGGFKESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLEFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRLTMVGSASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQLACTRFLRKDYAEAERLFSAAFSAGHLYSVVGLARLASLKGNKHFALKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDTAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASVAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYDEMTKLIEKARNNASGYEKRSEYCDRELTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Dexi4B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:4B:18017401:18017779:1 gene:Dexi4B01G0016000 transcript:Dexi4B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKAARFLVLALFVVSAVILPSSVCHGIRSAGVGSGSLDPNHPVCIRGPCGPGEPYGTRPGGGYSSYPTTPPRKENLHP >Dexi2A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:2A:30181324:30187248:1 gene:Dexi2A01G0018150 transcript:Dexi2A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARRHPPFHLVLLLLALAELIPPTPRAQPPAAASVTVGLIIDADSPVGRIANTTIPMALDDFYASFPNASPRVQLAHHDSAGDVVAAASAALQLMTTHGARAILGPQSSVESAFVADLATVAEVPVVSFSATSPSVSPSGARFFTRAALSDAAQAGAIAALAAYFGWRRVVPVYQNDDYGAAFVPFLVDALTNTSASARAEVPYRCALPGDASRDAVAAVMYRLESEQTRVFVVHARPALAELIFESAVEAGMMAEGYAWVATDGITCLLSSIRPPQGIIGIAPHAPGTPRLRDVRRRWARRYMRDHPDAEAAEMGCHALWAYDAAWAVASAAERLGPGDFSSSPPGLVGGEKNGPTDFAGLGKSMTGDKLLAAIANTTFDGLAGRFELVDGELAVPAFRIVNTIDDGKERVLGFWTSKDGLHRKLGGAPNNSGLAPVIWPADSTVVPVGWVQPTSGRKLRVAVPGDVDPGYRPIMHLDVDPATNRSTAGGFVIEVFEAAVRLLPYALPFEYVLVGSMHYDSLVEKVGSGDYDGAVADITITANRSQYVDFTLPYMTSGIAMVVPMRDQRSKLAWVFLKPLRYDLWLVSFVFLIFTGFVVWAVEHRVNREFRGPPSNQIGTLLYFGFSTLVFAHREDLKSNLSRFVVVVWVFVVLILQSSYTASLTSMLTVPQLEPAIGDYTSLWLGAAKVGIMNNSFMRASMTKSGFPQSRLMSYQASQSFHDALLNGTIGAIVDETPYLRIFLKAYCDNFTQAAQINKTGGFGFAFPKGSPYVADLSRAILNLTESDEMSEIERKWFGDDDEGCAAQGSQFTSDSLSFASFWGLFLITGATSLLCCVVHLVTFLVANRRSIWVVVSTTHEPWKDRIRMFLELLDKKDLSSHTFRTKDGGGGGGSVAGRSAHDAGAASPAVEHIAASSPFSVSNHTYDMSECSFDTRSPAPASATGEMEMAAGGQAEEEVVAVAQDPDGISDQIGTGHQASN >Dexi2A01G0030180.1:cds pep primary_assembly:Fonio_CM05836:2A:41174802:41175815:1 gene:Dexi2A01G0030180 transcript:Dexi2A01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIMAAATSRVLAAKTPFLGQGRAAANASPLRDVAAAATGRITMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPIANNAWVYATKFAPGS >Dexi3A01G0026740.1:cds pep primary_assembly:Fonio_CM05836:3A:23188814:23219356:-1 gene:Dexi3A01G0026740 transcript:Dexi3A01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIGLRRLTVLREFRPHGLVVEEADGEGAARSPQDYDYFLFDPALAASPGDEASASGADGDHELFIRGNQIIWSNGSRVHKRYISPNTVIMACWCRMNAISDALLCVLQVDTLSLYNVTGEVVSVPLPYAVSSIWPLPFGLLLQKSADGGHMVSSSSSLLDARDLNRPNKDYGLTYSVSCQTNTMETDSKANGCLISSHLILKHPLEEPQATYFEENDKLTMMKDFDEKIIWTSDAIPLMASYHKGKSQHSVWQIDGASYQEATNDNTMLPVSCDISSHKCAFRKIWQGKFSQSTASKVFLATDIDGFPIICFLLHEQKLLLAVRIQVDDTTEEAFGDIKPHMSWNIPAFAAAPVVVTRPRVRVGGLPFTDILILSSDNDLLLYSGKQCLCRYTLPTELGKAFFSNYDLNPDISDTGSDLKITSIADAVEGRINVTCNNGLDEHSSDVSFYIRFMRETLETLHALYENLKLNILRKGDLGCLASLLCMIASSLGEHTYVDYYCRDFTLNLNELPSVASSTSLRTPPSLFRWFEYCLRHGCDSAKLEDIPTLMRKQKVSAVSWGRKVVSFYSLLLGAEMEGKNLSSGVYSEVASGSARNPEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKVGSVRKDNGLWHNDNLTSISVPYMLHLQPVTIPTTASDIPTSKVLNSEDSDSVYKSIEDGMEHIFTSTTQLRFGHDLRLNERRHLAAAGAAAMAGGQQSLPLSSLSPLSAPGPAAAAAGAAGVDPAATAVAGAAAVAAAAGAGAGSDPVALVAAAAAGPAAAGAAGGSSQPLPHLSSWCGPPATPTAPPAVSSSGAGAGAGAGPAPLAASSSGTGAGAAARPPAAAAATWQGGPGCGPTTAATPAVDPGHGCRLLRGAHALATAAGLADPADLAATAGPCGFASSLPLDGGIRVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVNLDLSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHAHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSGNTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSIPNTYFDLQYVRPDFVMLRITARNLILWSRIQPTKEWIDSQIPETVRSGVSNMSEGSMDTDEFDAEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYTVHFLNEIKHIPVRTASILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRIPAEGQVNYGLQMAAFRHLYVIATEPRWVQTVDVDTELPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKRIRVCGPRYWPQVIKLTPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDAPSASCSNQPNSTNHSSFRVNQLVSTFSANPSLIAFAKLCSESWKNRQVYISFYTIIESMWEHLKIGQFPFYDSLFLPNLKVALAYNEALVDGRITNGGIIQSTFLESLMKRIGDIFAELPNLKGNLQRYLSTGRWPDARNDVVILSWYLQWYNIPPPHVVASAVEKVRPRVPAGLSMLPLLRLLLPTTHLVGLMEIEKLQIAMES >Dexi3A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:3A:2624197:2624598:-1 gene:Dexi3A01G0003950 transcript:Dexi3A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLCTKHHQVDFHGAVHRLVVADGILYLADVTFMVWQLPTSKFPLLVPSGSTLAWRMPSWLPPLLPITPNLKLKQSLCFSVHDGLPHHCCRVMASSHPKMFSLLPVRSHFARPPLRITQVFI >Dexi8A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:8A:15591850:15592401:-1 gene:Dexi8A01G0009900 transcript:Dexi8A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRVSLFVLMATVMLLASGDRVKAQEAHGNPERINWDMRTASTFCATWDADMPLAWRQRYGWTAFCGPAGAHGEPSCGRCLLVTNKATGAKTVARVVDQCDNGGLDLDISVFRQIDTDGGGMFNGHLSVDYEFVGCQD >Dexi7B01G0024490.1:cds pep primary_assembly:Fonio_CM05836:7B:28830023:28832818:1 gene:Dexi7B01G0024490 transcript:Dexi7B01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDVVAAMVVVQVIFAGVNIFYKLAVSDGMDMRVLVAYRYLFASAFLAPLAYFIERKNRTKLTWRVVVLSFICGLTGGSLAQNLYISGMKLTSATLASATTNLIPGVTFVLAVIFRYERVSMGAVSGQAKLGGTLLGIGGAMVLTLYKGADITPWHMNHHGRHGPNGAAAGANGSNGNNKERVMGSLLCMSSCFFYAIWLILQAKLSKEYPFHYSSTALMCVMSTLQSVAFALLGGREWEEWRLGVGVRLLSVVYSGVLASGVMLVVLSWCVRRRGPLFASVFNPLMVVVVAVLGSLLLGERLHLGSALGALLIVIGLYAVLWGKARETAHHALPTHSTATCSSSSPDHTPHKHQGSSPTTT >Dexi5B01G0026710.1:cds pep primary_assembly:Fonio_CM05836:5B:28412959:28415037:1 gene:Dexi5B01G0026710 transcript:Dexi5B01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKERETIPRLLDLIPDGKEWKAREEAQGAGRSRDRSFRSEEDRKLELKLGLPGLIEEGTAAASRHEGIQRDSPALSLGCFREPSKPTTNTANTGTKRVFLDTVEAKTEGCDDLLLNKGCDEQKQQARAGFGNKLVLEQKIVAVSEREKGCCPPPSYSPIAASVRNRPQAQGRGASAPVVGWPPVRPLRRNLANGSSSRQSTGSQKGEANTKEKLACIKNPLVKINMDGIPIGRKVNLAAYDSYERLSLAVKELFQGFLEAQKNISSTENAQLGADEKIFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLRSSDLSHGLIGVAPKE >Dexi7B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:7B:20435267:20437986:1 gene:Dexi7B01G0014030 transcript:Dexi7B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASSQKDAVGGPRRRSGSVGDVVVFLPGLRVPRSVDFAQALGGRLDSGIVERLSALRARVVEMAMQESAAALKPRRKTAARHGSSTANLLQALEDYLPVLLGLVKEGSLFRHAVQFTWTNQEDKAEETTMADAWYEVLSVLHLMAMVCLLQANALLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIRHVLTQLPPELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWQQVQESIPELPVSDGWGKKHRLFIKWKYVEAKAAAYYFHGLILDEGNTEKAHGMAIAALQASEEFLKESKRVSEAFHSTPPTSRSPTPFGTAKYLADKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALTPEDYDLPPLDPLWNKDDRRQ >Dexi3B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:3B:3675240:3678237:1 gene:Dexi3B01G0005460 transcript:Dexi3B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDFFDQGSGEVGVSEPLCNMETAYLYLVVLLSFLFVLATHRLISHRRHSKGKLPPGPLAVPVLGHLHLLEKPLHRSLARLAKRYGPVFSLRLGSRDAVVVSSADLARECFTVHDLTFASRPHLPTMALMTYGGTTIGNSVYGPHWRHIRRAAAEHLLSARRMGSMLPAISAEVRTMVRRMHRAAATSGGARVELKRRLLELSISRHGQAELTVQCSCAALAVLLCRTIDLAAAGGKAAMQRRFRFPRRLDSDETASSLPCMSRVLLGARAGRPSRPPLGPALSISALMETVAQTKTSRAADDADTDMSPEAQEFKESLDVLIPLIGAANTWDFVPLLQRFDVLGVKNKMAAAVCTRDAFFKRLIDKERRRLKDDDGGGGESKKMSMLSVLLSLQESEPEKYNDDIIMIMCFSPSIFSGGTETTAGTSEWAMALLLNHPEAIKKAQAEIDAFVGTSRLLNADDVPRLGYLQGIVNEALRLYPVLPLLIPHESTADCTVGGYHIPSGTILLVNTYAIHRDPAVWAHPAAFKPERFLDGSAKGLLLMPFGMGRRSCPGEALALRTLGLVLGTLIQCFDWDTVGASAAGVVDMTEGVGLSLPRAVPLEAMCKPRQVMLDVIRKL >Dexi9A01G0023500.1:cds pep primary_assembly:Fonio_CM05836:9A:18909755:18910061:-1 gene:Dexi9A01G0023500 transcript:Dexi9A01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLICAAMPFFGDFVSICGAVGFTPLDFVLPALALLKTSKLPDNIGLRYAVKVLGSAVAILFSIIGVFACIGAIRSITLDVKTYSIK >Dexi1A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:1A:1570847:1573797:-1 gene:Dexi1A01G0002380 transcript:Dexi1A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAAWEMALLAGPLWAAALLGLLLGWAWRPRWAAGLVATADGDAAAPVQPPFATLDFLKAQLPARLRAPLGYAGTAAQQQEEDKAAAQGSKEMANEELAVEKDDLVNLWRLVEGRDGGPSWIKMMEKSLPTFTYQAWRRDAQNGPPQYQSSTIFENATPEEVRDFFGDDEFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGIPCSSIPRRNKPRRVDLYYSSWCIRADFLLTDIGTVESRRGNGGLTACEILLFHHEDMGIPYEIAKLGIRQGMWGCVKKIEPGLRAYQKARAAGEPASKSALMARINTKVGDNFVRSLESNSDESEIVEAEEKPARNNVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRKPL >Dexi7A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:7A:28080199:28087566:-1 gene:Dexi7A01G0018800 transcript:Dexi7A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRLRGFLLLLLPVLLLQSSWRAAAQPPPPPPQTDPVEAAAVNAILSKLGLSAPASWNISGNPCSGAATDDTQLDDNPAFNPAIKCDCSDQNNTICHVTRLKINELDAVGAIPEELRNLTHLVKLPQNGGEAAGRRSPPPSLGAYQCSSTAQSLGDLRKNYLTGPLPAFIGELTGLQSMTVGINALSGPIPKELGNLTNLLSLALGSNNFNGTLPDELGKLTKLRQIYIDSNDFSGPLPSTLSQLKNLSVLRIGEIVNGSSPLAFIGNMTSLGELVLRNSKISDTLASVDFSRFGNLSLLDLSFNNITGQIPQSILNLPSLSYLFLGNNSLSGGLPATKSPLLTNLYVPFSLFRHTHHIQLFTFFLIFMYVLPVLRNLVANDLVIDSSNNSVLPWGLDCLQRNTPCFLGSPQSAFFAVDCGGSRTISGADNSMYQADNASLGAASYYVAGAPTWGVSSVGLFTNAPNGSYILYSSRQFDSTLDSALFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDMESWRSRGRRVFDIYVQGERKEQNFDIRKTAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPYKGYFGPAISALSATPKLYAIVGRPNVFSYSELRSATENFCSSNLLGEGGYGSVYKGKLSDGRVVAVKQLSQSSNQGKTQFAAEIETISRVQHRNLVRLYGCCLESKTPLLVYEYLENGSLDHALFGKGGLNLDWSTRFEICLGIARGIAYLHEESTIRIVHRDIKASNVLLDSDLNPKISDFGLAKLYDDNKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALEIVAGESNYQNTFEEDTTYIFERVWELYENGRPLDFVDPKLTEYNGDEVLRVIRVALSCTQGAPHKRPSMSKVVSMLTGDADMGEEVAKPSYITEWQVKVVGTGSFKSSQVGSASTTQPSTSSVDAGGVQANLESGDATPVLPSPLFTSIIDEGR >Dexi9A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:9A:1761408:1761854:1 gene:Dexi9A01G0003330 transcript:Dexi9A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFGQEEPAELGIISVAEGVMLLLARGGGGEPSASPRVFECKTCSRRFPSFQALGGHRASHKRPRASSEATAAAPAKARAHGCTVCGVEFALGQALGGHMRRHRAVAEEERESAAAAASRGPAQAEPKPDEARRLLGFDLNIAPS >Dexi9B01G0044910.1:cds pep primary_assembly:Fonio_CM05836:9B:44576876:44581591:-1 gene:Dexi9B01G0044910 transcript:Dexi9B01G0044910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAAKALNSLLRPPSPRPPIPTQLATPPPLLHLVGAAGRYSRSAASRRAPIPRCARFHTPSLSLPASAPXXXXXXXXXXXXXXXXXXXXXXXXXPIPTQLATPPPLLHLVHVSSQGMNSSRQMELHYINTGFPYTVTESFMDFFEGLTYAHADFALTDGFQDQVVWQDNIDPDNMTYEYISSLILSSTITWFCWQELLDLGEAVGTQSRGLSQERISLLPVTKFKCGFFSRKKRRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPKRQ >Dexi3B01G0023900.1:cds pep primary_assembly:Fonio_CM05836:3B:18649636:18652994:1 gene:Dexi3B01G0023900 transcript:Dexi3B01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRKTERPLLLNQPPPSRALASPASRHACLSRLLARIRRSVNASSLPLFLSASDLPDPAPMARNPGCTVFIGSSSSCARAGAVCLGNIMAFAMGEALPPPLRQAFQHPPLVWPAVLFDAMTAQELGGAAAAATTSGSARLRAHHRTCTAAVRRPSGCVTRRRRKEWRRRVEMIGMVVASGGNLDDRVPERVLYEILIQISGQDKQSANSNNPVTPRLNPVPPLKPQLMRSSDTPPSQQTVVNGRIAGTIKWVTR >Dexi2B01G0021270.1:cds pep primary_assembly:Fonio_CM05836:2B:31124659:31128535:-1 gene:Dexi2B01G0021270 transcript:Dexi2B01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQTNCWVLPDGIYGAFEINGTDVFVLTARAALNLAYQHLSRVPEKPTCLCELSGNDLIGLALRSPLAFNETIYALPMLTVLTDKGTGIVTSVPSDSPDDFMALQDLITKPALRAKYGVKDEWVPCQVVPVIHIPEFGYKSAEKVCHDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIKSKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVRCLDGMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVSHLLQNGNLYGQEISSVRPEQMTDEVWDYVLCDGPAPKTDIPPALLNKMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLEEAINKYSSDATRFALADAGDGMDDANFVTETANSAVMRLTKEISWMEEVVAAESNLRAGLPATYADHVFENEMNIAIKETEKSYNRFMFRDALKSGFYDLQLARDEFRLSCGAGGMNRDLLQRFMDVQTRLITPICPHYAEHVWQKILKKEGFVIKAGWPAADTPDPTLRIANKYLQDSIVLMRKMLQKQESGSKKPKKGAAPAPPSEEKKMSIGLIYVNEHYSGWKEQCLRVLQSKFDSHMLTFATDQEITEALMDCPIGQEMNLKQVQKLCMPFIRFKKDEARVVRPQALDLKLPFGEMDVLQKNLELISRQLGLEQVEVLSASDEAARAIAGEYVSLLEKNPPSPGNPVAIFISKQEYEAQRNGLNSVVITQGIMDTSL >Dexi5B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:5B:5823656:5824618:-1 gene:Dexi5B01G0008600 transcript:Dexi5B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQGNATVFLAKFYGRTGLINLITSGPDHLRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQTAVESVLRGMPIAPPPAAAAAAVPPLQTCDIRHVARRRQDHGHGGAAVAAAAASLHRMANSSRAQFKRHRAGSGGIELVFSQPSSAAMLVDVQPLSWAPRRQASHDAVVVPETDSNTSVDTVDVSHVSQSEPEPQKESDEHADGLDLTLGLPPTMVHKIQPSEVDDVRQELSHRSDRAGEARLGGHRF >Dexi2A01G0033620.1:cds pep primary_assembly:Fonio_CM05836:2A:43827638:43827925:-1 gene:Dexi2A01G0033620 transcript:Dexi2A01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRATKDDIPVLAQKYLDKELELDEFITHRMGFEEINSAFDLLDQGKCLRCIIWMDDGAKK >Dexi6A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:6A:19113409:19114997:1 gene:Dexi6A01G0012550 transcript:Dexi6A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGAVQTKETEQPVHTPSSQKPSSSSPSTSSTNPAVYFLPRTTSAFLSLALLHLLCCSPDPTQWALVFSPLRNYFNGSYSSSVSAAAGRQSCDYSEGTWVWSPGHARRYNATACGAVKDSQDCVRNGRPDTGYLDWRWRPSAAACPLPPFDAAAFLDAVRGKHVAFVGDSMARNQAESLLCLLAAASPSFPSRLVYRETDTAAGGFRLRRWAFPTHGVTVSVYWAPFLVHATGRVDDYHLPYSSVHLDTLAERWSAEADTMDVAVLSAGHWFLKWAMFYNGSDVVGAHMLPESNNHTEIGFASPFREVIRKSLERLLIGNGGGGRTVVLATLSPSHFEKAWDDPTTCARKRPYKDGEKDVDAEAELRRVVKEEAASAVARNGGAAATIKVLDVTKLATMRPDGHPGAYMHRDPFGPGKPDKMLNDCLHSCLPGPVDTFNEILLQLLLTKR >Dexi4A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:4A:1161193:1164137:-1 gene:Dexi4A01G0001760 transcript:Dexi4A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAACSRVRCLLAFRRRAPPPPLPTTPTAARRRGVRMASSEGSSASATPSTTIEVPGAAGPVLVVGAPGLPEAEFRNAVDSALFKQWLRNLQSEKGVLTYGRLNLTRILIQGVDMFGKRVGFLKFKADIIDEETKAKVPGIVFARGPAVAVLILLECNGQTYAVLTEQVRVPVGKFLLELPAGMLDDEQGDFIGTAVREVEEETGIKLKLEDMVDLTALLDPATGGRMLPSPGGCDEEIGLFLYRGRVDEDTIRSLEGKETGLRDHGELINLRVVPYSQLWRSTADAKALCAIALYEMAKREGLLPSYPPSANL >Dexi6A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:6A:2355397:2357911:-1 gene:Dexi6A01G0002480 transcript:Dexi6A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTRSQAAAAAARRRGVLTRRQAALTTENAPPPASSSSVRSRKKKSSRAVVVARPPEEVKTASRVVQRRRGNSKSSAAAEEAAEEAAVGGRHISSLCDDLLLEIFLRLPSVATLIRAACTCPAWRRAMSSSPDFRRRFRSLHPSPLLGLFTSDASDASSIIVAFVPALPHDDDDLAAAIRGGDFSLPDAGGGGGWRLVSNSCQFGNLLLYNRQDKSHAVINPYTTRQGEGRRRLVLDLLVSRNMTGRYFAAAVLSSSSRLMLLDNALSKMKATIFSMETGEVCSATPWVDIPACPDKVDGRVPYGMICVQSDGSVYYLCENWAYIASIDTSTMGITVVKLPPQCVSRGSLGKVGETKDGETCLVYSNRRDVGVLMQTRGDGADGTQKWVLDRVVSMDAELRRVLPAGQFDARGCVRVMAVRNGYVYLSTSVALQDVHEYVPMFEAAASLTCFQSLKGYTSLMSASTLGV >Dexi8B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:8B:8303965:8306137:1 gene:Dexi8B01G0007100 transcript:Dexi8B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMPIVLSLLLVLCFPYTIVVVSAGQAPCGYKRLFSLGDSITDTGNLARVTPNISTMAFPYGETFFHRPTGRFCDGRLIVDFIAMALKLPLLTPFLAGKTAEDFRQGANFAVASATALSLQYFKDMGLDVKKIPPFSLDVQVEWFKRVLHMLGPTEQERKEIMSNSLFLLGEIGGNDYNHPFFQNRSFSAEIKPLVPKVIAKIENAIKTIIVPGNFPIGCMARYLTKFKSNNPSDYDGTGCIRWLNDFAEEHNRALRLMLERIALKEDPSVTVIYGDYYSAVLELINSPRKHGFRKDVALTGCYGKDGPHNHSTLSSCNGTSIHLCPDPSRHISWDGIHLTEAAYQFVARGVLDGPYAAV >Dexi9A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:9A:10402016:10403057:1 gene:Dexi9A01G0015590 transcript:Dexi9A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKKVDNSQARNAAAPARNVTAPPESSAEVDDLERRLASLRRI >Dexi6A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:6A:256756:259732:1 gene:Dexi6A01G0000420 transcript:Dexi6A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDLDGAALILSLPEDVLALISAHLRPRDLLALSAASRRLRRALTGAAAADKAWLAQCLRLDLLPSLRHLLAWRDAASGSSLAVCRFLHSAAPLLGGALWAHQNPELGNLVAAVPGFLSLVAVRAIPQELSPRLRWAPVFELLADAHGRPALLFLHGHDPADLFPARLSSLQPHANVLFLEAHTDRHPVSSEFHFPLLAFGDRRRLLDSLVDACRVTLPSDLVAAPLLARSDDDLPLLAARREAMLRLHGEAAGGMVGTPDLQCLILQANKKVSPSPTGGAGERTRLRRSLSAVAGYVRNGLRQMVTRSVSANSRAHQYVDTKHLPLADFLHAGESVGLSLRGARVRLSTYRAWPSMNDNRFALYKLTVQPPMPGREYAGLWGGTFGWPPGRPDDDCKPRKALFFLLLSYEEDAEGKLLLIATKVLEGTHYVVHPNGSSMFVVRMGEPSTEVFPWKGKTDGESWNVDVETGFAGEGIASGYGFRYPGSKPGSLFVLQDGRLAFVWRETGTVLTLKRLNLEELLKKGERVPALQPVPNFAYLTKSYSNVFTAFPGSSASPRGGSFGWLAVTAAAAAALQQRSPANEAYTPQSAHNQVKNLNGGFVKGSPIQSNGEMVSGTDHVLLPCQATPGDGSMYPCCG >Dexi7A01G0023250.1:cds pep primary_assembly:Fonio_CM05836:7A:31286474:31287325:-1 gene:Dexi7A01G0023250 transcript:Dexi7A01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPQELNSPRSGGSSSKPRNPDEILRDFHAAHPDDAISTSFGGGAALACTTGHPARMFCGLDDIYCVFLGALDNLSGLIRQYGLCGRSTNEALLVIEAYRTLRDRGPYPADQVVKDLAGSFAFVVFDNKSGTVFAALSSDGGVPLYWGIAADGSVVICDDRDVVKRGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAAWDEGI >Dexi4A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:4A:10040592:10042043:1 gene:Dexi4A01G0011830 transcript:Dexi4A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAMQSPAGELISGSSRPHVMFIPSAGMGHLLPFFRVITALATRDDVDVSVVTVLPTVSAAEADHLAGLFSAFPTVRSVDLHLLPFDASEFPGHDPFVLRWESLRRSVHLLGPLISGASPRVSAIVTDVTLTSHVVPIARELGVQCHVLFVSCATMLSLLAYVPVHLSKKAEPGPGVGDVDIPGVQRLPQSYLPQWLLDLNKLFTKQFIDNGREIINADGFLVNTFDALEPVALAALRNGKVIAGFPPVYAIGPLQSQTSATEPDGKQEHTGSPVAWLDEQPARSVVYVAFGNRSAVGRDQLREIAAGLEASGCRFLWVLKTTTVDRDDTAELTDVLGEGFLERVRGRGMVTKAWVDQEAVLRHPSVGLFLSHSGWNSVTEAATAGVPLLAWPRLGDHRVNATVVVSGGVGVWMEHWSWDGEDRLVTGEEIGEKVKEVMSDATVRARAARTSEEAAKAIAEGGTSYRSLQEFIGKLKATQD >DexiUA01G0024240.1:cds pep primary_assembly:Fonio_CM05836:UA:50073647:50080421:-1 gene:DexiUA01G0024240 transcript:DexiUA01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAVFHHYYDVHVAAEFRCRGDDLLGIYADSIREQVDAMQHLTLQDDEYQWLSGLPFFKADYLNWLRDFRYKPEQVTVVNDNGKLDIRLEGPWREVIMWEVPLLAVISELAHRYRSPETGVEQAVASLENKLAAFSTLTEGLDMSRFRLMDFGTRRRFSREVQQAIVKRLQQEPWFVGTSNYDLARRLDLTPMGTQAHEWFQAHQQISPDLANSQRAALAAWLEEYPNQLGIALTDCITMDAFLRDFGPEFAERYQGLRHDSGDPVEWGEKAIAHYEKLGIDPMSKVLVFSDNLDLAKAGRVAVDQEVTVRGWVRTRRDSKAGISFLAVYDGSCFDPVQAGQSFEIQASAIEVTGWVEDPDTYPMAAKRHSIEYLREVAHLRPRTNLIGAVARALHRFFDEQGYFWVSTPLITASDTEGAGEMFRVSTLDMENLPRTPEGKVDYDKDFFGKEAFLTVSGQLNGETYACALSKIYTFGPTFRAENSNTSRHLAEFWMLEPEVAFADLDDVAGLAEAMLKYVFKAVLEERPDDMKFFAERVDSDAVARLERFVSADFAQVDYTDAVAILEKCGEKFENPVYWGVDLASEHERYLAEKHFKAPVVVKNYPKDIKAFYMRLNEDGKTVAAMDVLAPGIGEIIGGSQREERLDVLDARMEEMGLNPADYSWYRDLRRYGTVPHAGFGLGFERLIAYVTGVQNVRDVIPFPRTPPGAANAAEIYNKSGNKLDLYGKVVGEHDFVTSGDNTDSNDATYAQIGFKGETQINDQLTGFGQWEYRALASQAESNQTQRTRLAFAGLKAGDAGSIDYGRNYGIVYDVESYTDMAPSFSGMTWANYSDNFMTGRNSGLLTYRNSDFFGLVDGLSLGLQYQGKNERTDVRTANGYEFGEGFGLIGAYSNSDRTDAQSADGKGEKAEAWAVGAKYDANNIYLATTYAETRNMTREDDGSFANKTQNFEVIAQYQFDFGLRPSVSYVQSKGKNLAQRGTFAGGDADLQKFVELGATYYFNKNFNIYVDYQLNLIDDTDYSRSVGIVNGKDDQNITAAPADPILGLADLFRADDRPGKINLGIGVYKDETGKTPVLTSVKKAEQYLLENETTKNYLGIDGIPEFGRCTQELLFGKGSAIVSDKRARTAQTPGGTGALRVAADFLAKNTSVKRVWVSNPSWPNHKSVFNSAGLEVREYAYYDAANHSLDFDGLLASLNEAQAGDVVLFHGCCHNPTGIDPTLEQWEQLAKLSVEKGWLPLFDFAYQGFARGLEEDAEGLRAFAAVHQELIVASSYSKNFGLYNERVGACTLVAANTETVDRAFSQMKSVIRANYSNPPAHGASVVATILSNDALRAIWEQELNDMRQRIQRMRLLFVNTLAEKGADRDFSFIIKQNGMFSFSGLTKEQVLRLREEFGVYAVASGRVNVAGMTPDNMAPLCEAIVAERVMESFITQRRHRTVSRDKRHIIAQRQQLLFNRLNQLSVVATWKIAASYATFENHIAGNQQTGLPAIGRQGQTLIKAKHAALGWHTEVLCQLRRRTNMIKMTMRHDFLLDFLSVTAGIDKRGQFAFFRYPTAVGAQFIIGINRAITRNKLHWLAGTQQALKAE >Dexi9A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:9A:13513766:13514056:1 gene:Dexi9A01G0018420 transcript:Dexi9A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQVDLVCAMQPCSSRTFSPSARPTNYRLRLAAAAVALAPGPTTSDRLRQGAYPRCRNPHPFLPSSSSPHSATQHAPVFRGGATRVGKMNSVEAV >Dexi2A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:2A:6908014:6911235:1 gene:Dexi2A01G0007170 transcript:Dexi2A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVKPRCLLHLLWLLAAMVTTHTITETSLAGHDGDERALVDFKAKISGHSGALDSWNQSTSYCSWEGITCGKKHWWRVVALNLNSQGLTGTISPAIGNLTFLCLLNLSLNTLQGEIPPSIGSLRRLQRIDLSTNILTGIIPSNISRCISLRVMYIHSNKGLQGRIPVEIGAMPSLLHIVLYNNSITGTIPSSLGNLSRLVELSLKGNYFEGSIPSSIGNNPYLIFLQLSVNNLSGLLPPSLYNLSSVSYFYVANNELHGQLPFDLGKKFPSIQEIAIGGNRFNGALPQSITNMSRLQKLYMENNGFTGIVPSKLGRLKNLEVIALDGNMLEANNEEEWEYVDSLTNCSRLQMLSIGWNRFAGKLPRHIPSSIGNLTESIVIGAYANNLEGPIPSSIGNLSKLLVLDISINKLTGFIPKGIMELPSTSSFLDLSYNLLEGPLPAEVARVLDQPMNSNSSLGVRGSIGYIAPEYGDGLEVSTCGDVFSLGITLIEMFTGRSPTNDMFKDGLNLHHYAEVAFPDKIMEIADANIWLHEGANTSNDTRHRTITKECLSSVIELGILCSKQLPVERLSMSDAATEMHAIRDKYISAQQLCDVRLY >Dexi2B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:2B:28005061:28005867:1 gene:Dexi2B01G0017640 transcript:Dexi2B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMDLLLLSVLAQIRQEAVLRVGQLLLFPSCSSCCNCKPSCCKPNCSSCCNLSCCKPDCGSCCKPNCSGFKLPSCCKFQCSPSCCNCTLPSCSSCNPCGSCKGCCSGPSDCKPSCGCFSAKCCSCPQCSSCFGCFKPFKWSNLFGSCCSCKQCFKCQSSCCKGAPSCCKCQSSCCEGEDGSSSCWRSCCSVPKPSCSGCSCGRVSMLWVPESVLCHRMLVLRLN >Dexi9B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:9B:10111969:10113223:-1 gene:Dexi9B01G0015010 transcript:Dexi9B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLVEVAKARRPRRSRGGSSGGGLGCCPADACRRLLRLCNHGFKKRKSGGGGAAFGSGLRSALSKVLCSRAMRRVLRWEDLGAGCFSGAGSCGGREFRRLRRSLGDSGECDPRAMVFAEDDEERMGWKADMDVDSSRQLSPVSVLDLHSDDDESSPVHSGWEDEKPSTSGSSPPSEPFLGPASPCFSFSYNLHDKFCEMEVDEAEDETGRSGRSIEEQITSWEKIAGDISRIPAMMELDLSRSMRQWRELKPEVSEIGDKIETLIFEDIRRETVCDMLASHCTLAAAATSC >Dexi7B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:7B:23766697:23768272:-1 gene:Dexi7B01G0017840 transcript:Dexi7B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSPHPAAALPVPRVSQFTPPLPPLRSSPRRQRRSGGLRLRAPAAAAAAVVDSSPPAEEEVQAEKRRKLYVANLPWSLPAPEVEKLFAQCGTVKDVELIKGKDGRNRGFAFVTMSTAEEAAAAVEKLNSHDVMGRAIKVEFSKSFRRPAPPPPPGAIIERHKLYVSNLPWKARAPNVKEFFSKFNPLSANVIFDNRKAAGYGFVSFGTKEEAESALSELDGKVLHETLCFYVLKLLLECGLAVDT >Dexi3B01G0022850.1:cds pep primary_assembly:Fonio_CM05836:3B:17564136:17568188:1 gene:Dexi3B01G0022850 transcript:Dexi3B01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAREASKVWRKVTTEISVEIQLLREKWGLLLAGLIFQGSNLATLPPPKSVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYQKYGSKRFIKFLAWFMAVIQSLLIIASRKHYSVDVVVAWYTVNLVVFLVDNKLPEMPDRTNGVPLLPLSTKEKDIRLKDEKDSKLKDEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHALSEATANGT >Dexi3B01G0033770.1:cds pep primary_assembly:Fonio_CM05836:3B:36600655:36603838:-1 gene:Dexi3B01G0033770 transcript:Dexi3B01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGMSNFTTGKGNVNLSDSNVRPLEVFMCSVVRKMGYGEGFKWVSQYIK >Dexi9B01G0043610.1:cds pep primary_assembly:Fonio_CM05836:9B:43569858:43573534:-1 gene:Dexi9B01G0043610 transcript:Dexi9B01G0043610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVSDGGEGMDTDIASRSSSHRHMGSGYKVGVPPKKNLFAEFSDAVKETFFADDPLRQYKDLPKSKKIWLGLQHVFPVLDWSRMYSLGKFKGDLIAGLTIASLCIPQDIGYSKLANLPAEVGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPKTHPQEYSRLAFTATFFAGVTQAALGFFRLGFIIEFLSHAAIIGFMAGAAITIALQQLKGFLGIANFTKKTDIVSVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKKNKKLFWVSAIAPLISVIISTFFVYITHADKHGVAIVKNIKKGINPPSASLIYFTGPYLSTGFRIGAVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVANVVMSTVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQDQKLTKIEFLIVELSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPVVIQKLRSAKFTDLIGEDKIFLTVGDAVKKYAPRVVENV >Dexi9B01G0040920.1:cds pep primary_assembly:Fonio_CM05836:9B:41423573:41426099:1 gene:Dexi9B01G0040920 transcript:Dexi9B01G0040920.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYSLGPRTACCSLLLILTGPSSSLSKNNLYLAVFHAGRFISRVHGWPVPPRRCFSLSVGCRWLVLTLPVSRVTMEVEEAAAASAWPGSSKRCHLLQFLLHASKRLDLRPIVKYSALEFFSGRFLPALPRKMGFCGARSGRVVRSWLVEPPRDSNLELFALVAVWIASKIHDLRPLSVKSLKALGDRIIADQHFMCRDFADAELVFMEVVEHNIGSSSIAFIYLEDLLIHFRFFRLEISKLGDLLDMDVCMEILDILYETEDTSLLFNSPCSLAASTLVAAYVISVPKQTWEFPILPWGKQLLEHSIRFATSYNEEEIMKIVLTILLHVLKLDEFKENDMEDFEVRCLL >Dexi8B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:8B:331321:337184:-1 gene:Dexi8B01G0000500 transcript:Dexi8B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPAGLATKATKRTKATKEARILAVKAGELASDTRNPAAEQARRPHEVTSVMSDAVSAILPRSVLRRSRSDSASAITGNDDSDSRTASSVMSDEPRRSSGSDGCSAWNTGSVTASGTSAPVPLSEKRTPRTLSLRPSTVGPRNTPTSMWVTKRDCPRRRSTTWAAAESTRITDTWTRRSGRAKRSGWSPRNIPCEFTRLLS >Dexi3A01G0034050.1:cds pep primary_assembly:Fonio_CM05836:3A:39367340:39367843:-1 gene:Dexi3A01G0034050 transcript:Dexi3A01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIEEHYGAEKASSSGHGRCRSAPSIVSGSGGGGACIMESSVSRRRLWRLRWRMRRSTASLSSAPATGCRYRQLVTGTAADDPPASTLPPPSPSPYPAGGGEVVLDTMDDDMAEIDAKPLPLTLIELSRDLGVSSELGS >Dexi3B01G0025530.1:cds pep primary_assembly:Fonio_CM05836:3B:20276527:20283156:-1 gene:Dexi3B01G0025530 transcript:Dexi3B01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRARAVSLAAAVRVVAATARPASSAAAAAGVGAMALIVKGEDTAFGTLEWWAYAGTSCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVLCYPIAYPIGKILDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAAEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKPKIEPPHDKTEPNSGVVQAQLTAPLLSNAEERADNVVVDIERPHNKQVNGNPPSNAGTRSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAASQQGAQNRQGQQPTGILKKPTEGEPNPSKQVNLVEPLLENRR >Dexi2A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:2A:28478621:28479028:-1 gene:Dexi2A01G0016740 transcript:Dexi2A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYTENDWRATHQDYLHMWEQMQRHNIAEGEDWFAGENQHYLLWFHRVARTRLRPMPMEYNMQDVDTDTEDDYDVDTRWGNQPERAPLHDHMT >Dexi2B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:2B:873571:874745:-1 gene:Dexi2B01G0001400 transcript:Dexi2B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHRVPELVDDAVEEVLVRCPPDDPARLHRAALVCKRWHRLISAASFRRRYAERHRRAFHHPLGFVCNFTAGEVSSSFSGDDNGGADDHTAHFVPSPLSSFHPPGAAAGHRKMRALDARHGRVL >Dexi3A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:3A:10179393:10182484:-1 gene:Dexi3A01G0013930 transcript:Dexi3A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFPSSSPGSANRLLVLFAATCLILAAASFAFGVSASRSRQPPQQQPPPAVAFRCGRAEDSLRSFLASASPGRNYSAGEREKVLAVVGVHTEHGSAARRAALRATWFPPNPEGIIDLLLFLQKKDLNTGLTLVA >Dexi2A01G0029350.1:cds pep primary_assembly:Fonio_CM05836:2A:40394981:40399246:-1 gene:Dexi2A01G0029350 transcript:Dexi2A01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLPVCSHDDRITDLPEDIFEHVLSFLPAEDAVRSSVLSKRWRGAWTHAPVLNLSDEQHQQGRWSFLPFARAVLGRYGSPDIPSLNVAIGCAYNLGPSTAAWLGDAMERVVGSVCVSVTAPGGLLGQLVLPRRLRAKSISLTLSGATCSYNTPLVFPSEPGAAAPAASYGNLEELSLSRVRLQERDMRSLGAFLSSCCPRLRKLRLRKVSVEGRLPLWPLVLRMEQLEELETEEVDTTVVEISAPRLETLIWHGGFTKRISFLAGSQRSIRRLARLCFYLPAEESRSITAVRLLEARSEASDISVRIDVPDHCSPSWLSREHLEHVPLLPNVRILSLQVAAVLRFITCPIAPVILSFIRRCPNLRWLHIDLTMTHWFSKSRPNYLTVPIMDDDEARDEEALPLQPSDFDRLKAQRDKMHLASLREIRLSGFMGTSQEMEVADLLFGPGTARPSLERVSISLFPQLIRQDRASLNPLTARFPEISLSAHPKPETSCERSPILPHLLPSSGSTSAARPLPRAPILTKILAKTSAPETAGSDSQRRRPKPFHATINVARRRQRIDAFDARHRSPSTPSPVVPSSRTPPSPIIGVLPRWTCARNFLDDAGSYGTT >Dexi3B01G0025660.1:cds pep primary_assembly:Fonio_CM05836:3B:20490593:20491856:-1 gene:Dexi3B01G0025660 transcript:Dexi3B01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANSGGGDDDSGGKLLVGRYLKGENVGEGTYGVVNKAVDTKTGKIVAIKRIRIGEHKEGVNFTALREIKLLKELKDPNIIELIDCFPYKKGLHLVFEFMETDLEAVIKDKQFVLSPADVKSYAQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARMFGSPGRNFTHQLIVP >Dexi6A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:6A:5398198:5403073:1 gene:Dexi6A01G0005880 transcript:Dexi6A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIPASPPAAASPSSATPAPRASCLPQGGMKSTAMAVRRSYSVSFSVHADHPAAVPDLGGNHRDHLLPGKPLTPGYTLVSDDGTFALGFFSLSKSTDKHYVGIWYNNIPQHTFVWVANRVAPIANLSSAMLALTSGSNIVLSDDPKSFLQRFLWNSTTPHRRSLVWSSYFLLGSYMDKLHSTIFMAVHRGDDDEVYMSFGMPIDSLSLLIRMEISYLGKVNILNWNSNMSAWTALYTEPAHECNVYAYCGPYGYCDNSGATSTCKCLDGFEPKDDEDWINGRNKSFDECTADCLNNCSCVAYAYANMSTRAIDGDDTSGYMSPEYALEGVISVKSDVYSFGVLLLEIVSGLKISTTDPVTGPSNLIAYAWSLWKDGNLHDLVDSSIVESCSPDESLRCIHIGLLLVQDDPNARPLMPWVVSSLDNNDIELPQPSESIYFARRNYRISEAGESYVSDMSLGTLEGR >Dexi8B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:8B:17342661:17347299:1 gene:Dexi8B01G0009730 transcript:Dexi8B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVTPKDEERLVGLMARERPRSAVVTAGGDLVTAPGGGEGSDGDSSGSIQEITAEDFRKDSSSAAGGGVLGAGASAAPRSRSWAGPPPAMGYMARSYGQAFHSFAWLQAVQKKPLVAQSAPEADDEDEVEHAVDISDGEKEEGEIEEGEAVEGSSSPPRAQPETVDLDLDAPEKSESVAAEGNSGVEPAAATQEVELDYDQRVGVILEDLEMISIEEAEKYGQSFEETCSRLRVCFENLKPLYSENGSPVLILDPLVQQAFIGIDTLTNVANTFNLPRREQNKTMLLKLLFHIKNRYSYMLTPDQKDELDSRVRQLVFEEKDNVSDPSTSGGTKEINVSAPSGQVSSGRLPFESGAPNPFNGSSLMRSEMPAKRISPLLDLHADYDENSLPSPTRDNAPPFTMPKPVGYGAFPMVPERPSFPERAESGRNSMYPPLNDPLKAVSSYQQKYGQKSVFPSDDLPSPTPSGDEGKSPDKAGDIFGDVSSFPVPKKTALPSTSQMSTSQPNNTVSGSNVNYAGGPPGYGKQAELSAAGPNHALKATSKSRDPRLRFLNRDSAGATDVNQRVNFSEPKDGSVGVGVPINSRKQKAVDEPQVDENVLKRFRHGAGDPRNMVVPTGNPNQLMTNMRTPPNSSGTITSLLQPPQSSAPQLSAPPAVSLPPSLLKDIAGNPTVLMNWIKMEQQKMSSSEPQQVSTTGAMFSGTTSVGTTGTFLPPGSAPKTTAAQVPSVRPQVPVQTPSLNSQTDAGILRMKPRDPRRILHNNIAQKTDAGGLEHVKSNGTTQPDSQGNKDQTTSMASQPALVSSIARPSTMSTKHVDPVSNSQLAATALMAPTQALGSINRVDPRLGVEQNGHNADAANSPATPLEAAHPVSPWGDVDHLLDGYDDQQRALIQKERARRITEQHKMFSARKLCLVLDLDHTLLNSAKFIEVDSVHEEILRKKEEQDRSLPERHLYRFHHMNMWTKLRPGIWNFLEKASKLFELHLYTMGNKLYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNRHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHRNFFSHPNLNEADVRSILAAEQQKILAGCRIVFSRVFPLGDAKPHIHPLWQTAEQFGAVCTMQVDDRVTHVVANSPGTDKVNWALSTGRFVVHPGWVEASALLYRRANELDFAVK >Dexi3A01G0033180.1:cds pep primary_assembly:Fonio_CM05836:3A:37940542:37941785:-1 gene:Dexi3A01G0033180 transcript:Dexi3A01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSISCNNEGVEFVAASVDCSLQEAKIFGDLSSTRTKAFLYELAVFYPAGSYGFDDPLLSVTEFSCGGVVLGVTWNHAVADGAGIAQFLTAVGELARGSPSPSVVPVRCDDAVSSFPPLTYPVVHLLLACPDTSDVKLIVPLDITVSSALINRVKAEHHSCFDGQPCTTFEVVLAVLWRCRVRATMSNDPGSPNCLAFTINMRKVVGAKDGYYGNCIATQLVAAATSGMVAEAGIVELVTMIKRAKAMMGRNGSDDDGDDDQLMRGVIQRYDMMLVTSWRNIGFERVDFGSGAPARVMSHTRQGTPEVPICMVYPPCKGKDGVNLLLLAVKEEHAGAFFWELAMLELDSNSE >DexiUA01G0008450.1:cds pep primary_assembly:Fonio_CM05836:UA:15867995:15868678:-1 gene:DexiUA01G0008450 transcript:DexiUA01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNTNTNTNTTSIPGGIVGGGDEEGGGVRSCAGNVAAVESSCDAAAAVAASAATPHTDCDMSRMPESPLRKPGHRRALSDIIGLPDDLDLGAPGAGDGPAMSDENEEELFSMFLDVEKLDSRCGASESESSAGGGGGETMTTQASAAAPGAAGLRPKHHQRHSMDASSSIDTEHLFGTSAMDGVSPAEAKKAMSAAKLAELALIDPKKAKRFIIC >Dexi5B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:5B:6325947:6330129:1 gene:Dexi5B01G0009270 transcript:Dexi5B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARRAAAAAAAAVFTVVLVVARADATGDSLADLGGAAKEIESAPGLRFMKNTCLILEVKNLGPWAKGLLRGMPAAAARPAAMAPVAKYPLVLAEDRTRRPDVLRHLKMYEGGWNVTSKHYWASVSFTGSSGFLLAVLWFIFFGMAVVGRCYFGSRTAKGKASHKEVIRPVLLVVFALTLVVLPSLFLIYSNYSFCTRAGCIVLLYGQSKFHAEATQTVDFVVNQSDFTIQSLRNVTEYLSLAKTITVAALYLPSDVQGQIENLKGDLNKAADTISQKMTENYKRIRKILHIISVVLICIAVLLPVLAFFGYVLELYGPRYAVYIFVTICCKMVAALFILLGIFLVLNSAAKDTCEAMDQWAEHPQAETALSNILPCVDESTTNRTLYQSKEVVVILVRIVNRAISALSNRRPHHKHPGQLMPYLCSPYDSNFNDRQCKSREVTFENATNEWQNYTCLTQDTDLCSGNKTLTPEIYGQLVMAANVSYALYHYAPVLLSFQDCKFVRATFSAIASQYCPPVERDLGLVSAGLALIASGLILYLVWMLFADRPQREEVSDLASVSRIIPVDSSPSQ >Dexi6B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:6B:1334647:1336710:1 gene:Dexi6B01G0001480 transcript:Dexi6B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASQEIDLDVIRDVDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNSVKRIGMRKTLVTAASAAAASDSGQEDGWVVCRVFKKKHHHKESSSSGGGGGGGGKHHGGGHDGGKSAAAAAHHHGGGLQYSSSDEALDQILQYMGRSCKQEHEHLLSPPASSGGAGTERSSAARYLRPIETVLHGGHGFMKLPPLESPSTLTTPATTTTAHVSDHAGAGDDDVLLHGGGTNGITDWAMMDRLVASHLNGHDAAAGGSPADHQLCFDGGADDADGGLAFYSAAAARLLAGGGGGSSDDDLWSFTRSSAPAATATSATERLSHVSL >Dexi6A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:6A:2845592:2848979:-1 gene:Dexi6A01G0003150 transcript:Dexi6A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQPSVHVVSTVQTCMKQYGVTAEQAKEKLRVTIEETWMDIVEDYLDQKRPMELLEKSVDLARTIDFFYKYDDAYTLPLSLKDTLTSMYVDSV >Dexi3B01G0035760.1:cds pep primary_assembly:Fonio_CM05836:3B:38749161:38752007:1 gene:Dexi3B01G0035760 transcript:Dexi3B01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKANLQMISSLLAPFDGIMSSGSTQKQIQSGATTHQAQLDDMDYTIPDGAIIAIRDLNQQMYVSVKNTGDTYQVVGAYHYSLVGESALFKVKNHKRWRSNTQCISLLSLCAKNDEGKDLALSFSQGSDFVEVSSYVDKPCSIWSTLPFRINSFDDDDDEGKSYNIITRSSFLFVNKKNNYGIAFVDGLLEFVKKPGNPFKVQVLDESIFSDVARLIVPHMNLDSNAYLDVEDDVPFSVRDRLAGVASSQHVIIDVEKIVFTITQEVYDIDNVFPLVQTCISDIRVVTQIFPSKIRILSSFKVSGQYFNARKSLWEELISPIASYMFFRSRFFTPDPVTKCGKMPIRFFFHLKQVQ >Dexi7B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:7B:9658028:9662320:1 gene:Dexi7B01G0003920 transcript:Dexi7B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSVLHGSARAISRHLKVLLPSSLSNSGKLVGRDAEGAFLGRGWPEFAAENGFGTGWFIELRHEAGGGVLTVKVFDTTCCLREPQFINVLLPPSMEKMIFPPRFVRKYIAEQETGSSTAVLFSPLGKFCRVTVEKDQSGDMFFSGGWSRFLASNGITEYDVLLLRYEGNMVFTVKVFGPDGCQKGCKDQEDASLPSRSETEEEDTPSTSMKRKSMAKKPADCDKMGSETGEEDPPSSSKKPKTVAKKPADGEENPKRPRRYGASSPSTKHVYEIGPLSWMKKEINNYILFNRLTVAASFCKAIRLERTSEITLRTNTDEVHGLKSWRHVWELRSWSWRAHSGLEEVLLGQWDQGRFLLWAIGLQRTCEMTLRTNTDEVHGLRSWKVGFIVYADRRGGDITRGWRRFCKDNGIEEGDVCTFNIVKTTLWHVDITRRRG >Dexi7B01G0024520.1:cds pep primary_assembly:Fonio_CM05836:7B:28854181:28855340:-1 gene:Dexi7B01G0024520 transcript:Dexi7B01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRSVLVVGAAVGLLVAAASAVSAGSGGLSVYFHVESCPQLETIVRSNVDAAIRQNVRLTAGLLRVLFHDCFPQGCDASILLDNGERSLPPNVGLQQEVLQLIEDIRGKVHAQCGATVSCADITVLATRDAVNLAGGPAFSVPLGRLDSLAPASRNDVSKLPPPTASVDQLLAAFKNASLDDPADLVALSGAHTVGKAHCNAFQQGGGAGDDDDDMISKCVTETCSAAASGGVLRDLDFLTPTVFDNLYFVELTLRKNKGVMLPSDQGLATDPRTSWLVQGFADNHWWFFDQFKTSMIKMSQLRGPQGNVGEVRRNCFSRNSNAIPGAADLTASA >DexiUA01G0025110.1:cds pep primary_assembly:Fonio_CM05836:UA:52643360:52644646:1 gene:DexiUA01G0025110 transcript:DexiUA01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHGGAAAYGGSPSSCASWPPSSAGMMGDLDCGGGGDDLFAISRQMGYADGGGSGSSATAAAAVSQQHQQQQQLYYSCQPASLTVFINGVATEVPRGPIDLRSMFGQDVVLVHSTGGLLPVNEYGVLMQSLQMGESYFLVTRG >Dexi6B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:6B:20136878:20140175:1 gene:Dexi6B01G0012500 transcript:Dexi6B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMKCVLLLFTASIVLVLLNLEALEGALRLASRERGEVGVVAVTGDVCSGFVSRFRMLIGLDHRRSRHRRHQHSSEALAPAPATSPHEARAPAPAPAHVPLPHTGHSRMPVKNRSHVAPVRSVARKLGGGNHTRLPKAAIVALTVAGACLLVLGIAIAAVFFRRTRKLQRKPFKLFHGSRTHRSPCATMKVSSYPSPDMLSLSSAVQCQDDYAILKGSSKSMSLSTPSKSVELIVSGYTVKTNVDLQSVEADSFHSISCSRSSGGSITESPLQICDKTITDPSPSSPHTGDSPSGSSYQSLSPDFRTPSSPKSPASTASDRPHASSNPFYYPPEKQDIQETANTTGSMAHPESPREEQDNSNWFMNPSSGYKSTSNVTETTASETNTPFSASNAIFNLDSKETSRNSAERAEFKPSHATSVLKSPPPPPKSPPPPPPPKKTLSSLKGHNSGQPPLPPPLPIQVQVGKDGLPLPRLKPLHWDKVRAAPNRSTVWNDIQSSSFEFEFDEQMIKSLFAYNFQGPVKTKDAKNKTLSSSKHVIEHHKLQNTTILLKTLNASTEQVCGSITEGTGLSVRQLEALVKMKPSEEEEKKLLDYDGDINKLDPAENFVKVLLIIPMAFSRIEAMLYKETFDDEVAHLRMSFALIKELKLAEAEVLQQVRELTEYYHGEFGKNESNLLHIFVIMRDFLGLLDKD >Dexi9A01G0033010.1:cds pep primary_assembly:Fonio_CM05836:9A:37839873:37840916:-1 gene:Dexi9A01G0033010 transcript:Dexi9A01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIGDGKNNDDFVYVENVVHAHICAERTLRTKEGAKISGGKAYFVSNMEQMNLWDFKYMVREELGYKRPFQIRIPLFVILLISYVLEWMYKLLSHYGMRQPMLTPAMIKYATLNRTFSCNKAVEELGYKPIVTLKVNS >Dexi2B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:2B:2600868:2601475:-1 gene:Dexi2B01G0003000 transcript:Dexi2B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGGGALPPRRPDATAAAGVVDGGGARVFPCLFCSKTFLKSQALGGHQNAHKKDRVVVSGAGDWSNPYGASYAAALEVDALAAAAGGALLNAPSAAALAASYRAAAATAAPLRLELERWTGGGHAPPPPAALRGHGGLMISDEVVNWTSCTQAPAGASNNKAATAADSSAAAAGEEPDLELRL >Dexi9A01G0024090.1:cds pep primary_assembly:Fonio_CM05836:9A:20124386:20125939:-1 gene:Dexi9A01G0024090 transcript:Dexi9A01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEHCLRLLPPVATPGLAASAAPFCCPLHRRGAVHQFRPPRAPALRLGGKPSSAVRASAGPDAAVAPAPPEMPQREVARALAEKAVARLGPRLLPSAVPDDVAEFRNGAGNAVGSLDVRRGAPSSSIDFMLESTLHCKVPNGAIDITSILIFLNTMTDAPHFLLELIQGSSTSIVVILDLLPRKDLALHPDYLQKYYENTRMDEQRSKIEELPQARPYRSPSLFVRSACSPTAVMVSIDCGQGGEGTLEEIVHGQLAAVSKEVLQIWLECCADSTAEMDDAERDCLLKRDQIVRSKSIEVDLTASLPRMFDPDVSSRVISEIRKAFGVQEP >Dexi3B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:3B:2977893:2978658:1 gene:Dexi3B01G0004350 transcript:Dexi3B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAALLASTTPASASLALAAAADGSGDPTVGFREVTLSESNFVLQRPYDVPGRDRYKFHGGVRQLWVLSSDKPHDRQSNTSPRTEIRMTGYDYSSGVWQFEGYGYVPSGTTGVSIMQVFGAGESATTLMLHVYDGALRYYDRQVVEDDIYDRWFRLNVVHDVDASRLTVFVDGVERLRAPGRGGDSHYFKFGVYAQNHASSCMESRWKDIRIFRKE >Dexi4B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:4B:22478251:22478571:-1 gene:Dexi4B01G0020270 transcript:Dexi4B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSSAAGAAAVVGGAPVVAEKAAVGGHGVEATTTEEQARAIICMAKRAVEEEAAKAKIRGDGAAAAAAGEPSLKRSLECFLEGRKNRRRRLESSSAASSSSSSN >Dexi7B01G0021740.1:cds pep primary_assembly:Fonio_CM05836:7B:26784758:26788974:-1 gene:Dexi7B01G0021740 transcript:Dexi7B01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHRHRHRRRTPLPILLLVLLVTTASAAGAVTPGAVTHPQPTLPASPVQAAAATPPAALPSKPPAGPGAASRSQPTRPSPVRAARAPAAALASTPPPAPVELLAAFLAKADPASHLRVPPAASLCSRPGITCTASGQIIRLVLESVGLNGTFPPDTLSGLADLRVLSLKSNALHGPVPDLSPLVNLKALYLAGNRFSGPFPASLASLRRLRSIDLSGNRLSGELPPGIESAFPHLTFLRLDVNHFNGSLPPWNQSSLKVLNVSFNNFSGPVPVTPVMTQLGADAFAGNPELCGVVVRRECRGAHLLFFHGGGDNGTAAAPPVQSAAASDSGPQRESLSMPDSSTPHAKRMRRRTTVAVAVAVGTVLAAILLCAMIGMKRSNKRRRPSSASYESPNPKKSAPASEVSRDNADMGYVECVPDEETAAIMVPEEKARRLERSGCLTFCAGEAASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEAEAFEQNMDAIGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDVVQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMKSNRMLTPKSDIYAFGVLLLELLSGKPPLQHSVLVASNLQTYVQSAREDEGVDSDRISMIVDIAAACVRSSPESRPAAWQVLKMIQEVKEADTTGDNDNDSDLTSNS >Dexi3A01G0023180.1:cds pep primary_assembly:Fonio_CM05836:3A:18758624:18759360:-1 gene:Dexi3A01G0023180 transcript:Dexi3A01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHTPHTIHPQLLKAVATGNAELLEQVLGLQSSATKEQGEESCLKGVTAEGSSALHIAASCGYLELVKMVCAQDISLIKARNNMLDTPLICAARAGHVDVAEYLMECAIDEQEDLMARNLDGETAMHEAVRNGHFLILQGLMSRDNRLAAVVNENGVSPLYLAVASNRVDMVKVLIGKSSHGETPATVSYSGPDGQTALHAAVYMSKGIS >Dexi3A01G0035630.1:cds pep primary_assembly:Fonio_CM05836:3A:40880981:40885141:-1 gene:Dexi3A01G0035630 transcript:Dexi3A01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRGPPRSSSGGVEPRYRQVGFVTSADPEPAAAAAPAPIPAAAASPPASDGLSPVMIPPRIVPGHHPAPGSESLMPSSPPPVSSCRLDALSDLDGDDDDVDVSWARPPPPALPESVKVNLTETKNRGDPTSVPQKPKLSKAERRAIQEAQRAAKGAAKETGVKSTAKASDVHTKMPKKPKAGKASLKKDVSQANALVGLQYLSGDISGGNARCIAMLLAFREAINDYSTPAEKILSRDLTAQISSYVSFLIECRPLSISMANAIRFLKNRIAKLPLAMSESEAKASLQSDIDRFINEKIIVADKVLVSHAVTKIREDDVLLTYGSPSVVEMIFDHAHDLGKKFRVVVVDSRPNLEGQVLLRRLVAKGISCTYIHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLICCEAYKFHERVQLDSICFNELGDPDVISRVPGGESLSHLKNWAENENLHILNLKYDITPSDYVSMLITDYGMSAPPA >Dexi9A01G0049750.1:cds pep primary_assembly:Fonio_CM05836:9A:52304866:52307566:-1 gene:Dexi9A01G0049750 transcript:Dexi9A01G0049750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPAPLPGSRRRGAGEPPPGLFPDREDLLRLLSVLAIATAAAAACSLLNRRTEPFCDSPLQPPHDYAGGDSCQPCPHNGRCVEGKLECVQGFKRHGTSCLEDGLLSQTATKISELLQLRICDQHARALCGLPAKILFQKHEVLDTVDHLLSKNPAGLNEDGIQLVKVRVLNSAQGFFETAFTSNKAEAFRCPELVAERHRPLTCQVRQWISRNIIFVTTFCIMVCEILEDNAVNAKIGNSDCEPWVVASWLRDHLLVPRERKNAFLWKKLIQDTLPVKVWDTRSMRSFVIVQIVVERVEELILEDSRIDQYPKVIKGESKVVYEWQASGSLKTKKVQGARVKTRTGGGGIKLAEEMGEARLRMRSNLLPPTQKIYE >Dexi9A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:9A:12085184:12089247:-1 gene:Dexi9A01G0017030 transcript:Dexi9A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSPDHPPAAAMTVAELEAAVAALPGKRDALQEAFDHLAACSPSPLPFTWEDLDAHLSSLQSSISLRFLQLRALEAARPTPAAAAPGETRAGETGVNLEEVEEEVVVVEEEEEEVVEVEEEEVVEEEDVVEVEEEEVVEEEDVVEVEEVVEEEEEVVEEEAEEKADEEMREGGEEEVDDKIGKDGKDEEEARYEVEDTAADKIGVVRDAKEEGQDAAEEMHVANEEEDAEKTSQDREDDANMEDQNIEDAKKSSQDEEKQDANMEDAKNASAVQGKEQEACGGKQVEEEQEAHKEEQDSKHTTKEEEKANVSRDQDSRIRRGPGGFLNDLAAACTSMDAPSLVKFMHTKVGLSTRFRAPMHRAPDAATLSLCVIELFLHEKMFKSNKVWNNCIGMIRTIPAVVTKLSTESIEHAKRLAKGWKEMLDNPGSCAALGSLASWGLLNFLISYNIVFVFDMKENAIIIKELDNLRMARDLAKQQVTDSGLRSGIMAEISALLAEFGKKKKQSLANASIVLTSNPHQKQAESNKKRKKKQGHHKGQECHQHGKKSKLGEKLDNKQNKPQQEQQQK >DexiUA01G0012700.1:cds pep primary_assembly:Fonio_CM05836:UA:26383286:26386484:-1 gene:DexiUA01G0012700 transcript:DexiUA01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIIVTIVGVVFGFFVGISFPTVSITKLHFPSSIVSYIEDKNSGLSAQAILNHAWTAARNARGNGSDPNSNDALKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSSDFAILLFHYDGRVSEWDEFEWSKKAIHVSVRKQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVDHFNGDEYIKLVKKYRLEISQPGLEPDKGLTWQMTKRRGDRQVHKFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQSENGRAPWEGVRERCRKEWGIFQTRMAEAEKAYYEMMGVTPPNVTFVS >Dexi5A01G0027990.1:cds pep primary_assembly:Fonio_CM05836:5A:31316586:31326059:-1 gene:Dexi5A01G0027990 transcript:Dexi5A01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGLPPPLRPSTAPTPPAVARALHAINTCTSAAALGPIRGGILRDPALLRNATIVSAFFLACGRLRSLDTALALFASLPRPHVFVFNSLLRSLPLPAPACSPLPLFRHFLGLGVRPNRYTFPLMLTSLSSLRDLTVLHSQVAKSGFTRDLHVRNALLARYAACDPDLAHAEQLFDEMPCPDVIAWTTVITAYRNRGHSFHALAAFRRMYAASVSPNRVTMVSALGACADHGAIDTGVWIHRYVQKQGWELDVVLGTALVDMYGKCGRIAEGARVFSEMVERNVYTWNSIIGALALAQDGETALQWFFRMKADGVRPDAVTLTCVLSASVPLLPPPPPPHTLVVARQIRGDAVVNVACLLWYSSPPLPPCKHRLSPSDSPSRRAAATGDMKIDMDETKAKQDVKKQPWEQKIPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVRDDNSADDIKFLNLTITHYLSGPLRIVDSEGVPASPGDLLVVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWCEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQIS >Dexi8A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:8A:24273714:24273981:1 gene:Dexi8A01G0013990 transcript:Dexi8A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRGAPRAADRAQPGAVLDEGEAADNDAKDVQREVRPWPVLRLLCIVGLWSEAHFVEHHVCAGAAARDLDALPPFRAQTGRDA >Dexi5A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:5A:2044417:2047000:1 gene:Dexi5A01G0002820 transcript:Dexi5A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGRCKSSPCAGIPFPTRWQRRLLALREGGLACAALHAAPPARRSIILQPVAPHARTHSVALCSVDRPSGLSGDALACDGDSALMGGALSAMHPAFKASINLDLSLSSVSGCACYQLKARHGRPGISSETISARSIDRSRCSVLPFISALDRDCTSFSGRFQTWQQLMIVAERSASHCRHRREDRSESVGRWLWLAAPAPITPACLRACNPSQRPELTAASKITATRKSPEREVQQGRPTPELLIRSVVSSAAGSQGLRRCPAALIDPPKAMHEHW >Dexi4A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:4A:8224276:8225974:-1 gene:Dexi4A01G0010320 transcript:Dexi4A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWPPAVMPSVLLIVWIVFCSSFIAIGCDPAFAVSTDTATRAFAPLTTPVPPGKLASTNIHGAVHASNRGAHDNKGNRYRHHLLLLQPCRRGLGSYVTQPPPPPPPPLSAVRDQGLGSCLAQPPPPPPPPPAMCKRVTTLASPRHRHRHPRPQLCADRVTAPAXXXXXXXXXXXXXXXXXXXXXXXXRRRHHHRRSQLCVIRVSAPALPSHRLRRHRPQLCANGSRLLPHQDTATATPVPSCVRTGSRLLPRPATPAXXXXXXXXXXXXXXXXXXXXXPPPPPSVYGRDHGSYLSQPPPPPPPPSMYERDHGSYLAQPPPPPPPPTVQ >Dexi5B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:5B:5414597:5415310:1 gene:Dexi5B01G0008060 transcript:Dexi5B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWSSLILILAVAAPASVQGEGDCSASNRCGNMTILDPFVIVPEDATEPSCGEMGFQVNCQNNTPYLGYYHQSYESHAHPLQILHIFYGNSSLLVADTGKLSDLTNLSHRDCQSYKFPSTNSSSKIALPFTISPVNKNLILYSCGGEPPPVTTAEGLVEKKTCGNSTFVARVGGSYGDPDNSGRRYFLEGCDHVIVLPMLGESSGEANASSFVELISNGFLLTWQPREGEFILGGS >Dexi9A01G0037340.1:cds pep primary_assembly:Fonio_CM05836:9A:41604148:41606588:-1 gene:Dexi9A01G0037340 transcript:Dexi9A01G0037340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARRFSCALVRAPSLVRGRPLPPRAAPATSPASRPPPRRLMSSSSSGWQHASRPPPPPPPHPGAEKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGMHFVVTATSRAKRPGEVEGKDYYFITKEEFLTMIERDELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLREILGESAVFIFLVAESEEALVKRLIHRKTETSDMLLVRIATAREEVRRMQNFDYVVVNAEGKLEEAVKQVESIIDAEKAKIHKRPVNI >Dexi6A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:6A:19018871:19019202:-1 gene:Dexi6A01G0012500 transcript:Dexi6A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLGVALNGAGERVRNTDTIRRVIAAFVERHAASSLGIPACHQLADAGTMEKVLRTVDEVAGWRPRWRRRTHERCPCSWAVGIASVVNKESRASHYCLYGVVDI >Dexi2B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:2B:5600148:5601033:1 gene:Dexi2B01G0005990 transcript:Dexi2B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPPSPRRHRSRRCVLPEDALYEILLRLPAKDLCRLRAVCRPWRSLLSDPHFIDAHAARHPDPLVVVGCEDCEQNGPVLCDVVDLSGRIVKRVRAAGHDDGSRTNYRWVRFVMCTHADLVCVADYGMGMSCQLLNLATGAVHDLPDGVAAQVQEQEDITCYCTWIVFGLVPSTGEYKAHLILRRKSGGQAFEDPPQVAIMQL >Dexi3A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:3A:6413705:6414250:1 gene:Dexi3A01G0009230 transcript:Dexi3A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNNSTVALLPRLLLLLPLMAILSSTTASASRRSLMATRASTEDLPVLRKVPFGPDPITSDPPPPPPVREVSVLRKVPTGPNHDTSDPPPPPAREVSVLRKVPTGTNHETSDPPPPPAREVSVLRKVPTGPNHETSDPPPPPAREVSVLWKVPSGPNHETSDPPPPSLATSSVDDFPML >Dexi7B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:7B:22182577:22184642:-1 gene:Dexi7B01G0016240 transcript:Dexi7B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKEVISEVESAPPTPRPPPVSTPPSQIHSLSPSPRAGPGRSPLRGMASPFRTMASPLRAMATPLASPVRKAVATVRDCLEEVGHITRLADPRDAWLPITESRSGNAYYAAFHNLCSGIGFQALVLPAAFVSLGWTWAIICLTVAFAWQLYTLWLLVRLHEPVAGGVRYSRYMHLATTVFGERWAKFLAFLPLLYLSAGICTALIIVGGGSMKMLFGVACGEACLARPLTTVEWYLVFVCAAVLLSQLPNLNSIAGVSLVGATASVAYCTMIWVVSVAKGRVAAVSYDPVKAPNDVDATLSVLNGLGIIAFAFRGHNVGTMPSTLKHPSHVPMWKGVKVAYAIVALCFYPLAIDGFWAYGNQIPQNSGGILSALYEFHSRDVSRMVLGITTMLVVINCLTSFQIYAMPMYDNMEAGYVHKKNRPCPWWLRSGFRAFFGGVNFLIAVALPFLSQLAGLLGGISLPVTLAYPCFMWVAIKKPRRGTATWNVNWALGIVGMGISVVLIVGNLWGLVEKGLRVKFFKPDAQ >Dexi3B01G0027110.1:cds pep primary_assembly:Fonio_CM05836:3B:22641273:22643027:1 gene:Dexi3B01G0027110 transcript:Dexi3B01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGEDDRLSALSDDILLRILHLVPSKEAACTSVLSRRWASLWRSSGAVDLAVRVPSYLVDECQEAFSRAAAASLAAAEVPVTRLALRVDTEGGIDTILRRFIHGGRDEMYSTSTDEGVFRDVLSHPSARHVEDLRVALVGTFDAMRFSGMEIYGSIGICCFASLPSRETLRVLDLTRCDLAPAAFPRLATLRLRLCSIRLTDLHALLDAAPMLTDVHLESVLFMYAMQQHVDPEFESTSTTTAVAMRLPAVTTLVLALCGVLGQGTPRGQFDTSCAIAIDAPRLRSFVYKGLLRPFQLRSAAPELARAGLHFLKESANYGKERTRVLFWQSVQSFSGAKALKLKVDHELKEIAAIGKERRAQLLCPLPNVERLELEGWHRPTSTTAAVAIANLLHCCHALRDLTLKLSTVPPDSQKGSNYAWESLRKDLLDYSRSIDRFRRRSSRISMEDSNNGVRYHDVQDISGMSGESFACLQRSLRRVSMEFRLDDSSSTCIGLRLVKFFAENAMALEEMRIDSGNWRLYEHLNLSVERWIARANPAAEVQCEFSRVPSVPFDSTTDLGRSTISFTVLPLQRRKRMRCMS >Dexi6B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:6B:23511615:23525500:1 gene:Dexi6B01G0016250 transcript:Dexi6B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWEQKKLEILFQWVATVGRRRLRCRWEETRRPFLQLSSARVRPCHCPRAIERRIIAVETSLADNHCIGDGRRPENNRRSPASRRRLEADPMLSKKARFQTPSWVVLSWCTDTQPGKESGARFVSPERFNAGAVHWVEWCPHHAGSVSPSGVRAFVLLLTWRPAREAEIVAVARAYLPGGCSRRPRARADEAPEWAWRPRCARSGAHVCGAVYVHRSPDDDGSSCLSRVVSAYVLRCAAAEPAVPSPQVLAHLAQQQQLLFALRLRSSASADSGNGAPFPRCLMLPLLWWPPPSRPAALATSRSKLRRRTTADSADATATATLPTGKRYVTLTCPVHCPASDQIVAESVSWSTDTRSAGAEAQELSKGQGVIAPRRRQVVAVSTAAVSHWATRPAATKLLRAATRLLLPPWPRHHGHRRFHRVLSTIHPVSVTVESSTSSLDPTVRAVLERIGAGWRGCARRHKGSASSRSSGVGAGSGGGEESAGWGATPRARSCAWLPHEEERQATEMASWAQGAAVSSEEEDHHATTMASRGARSGGELRAVAAGALGAAASCAQEGDWERNERARVAGLGRITTRYARSRASMAVMAPVVELTAMEERHQRRELDDVAGERVEDADGGVDVAVEEEEAVDGVEDDVAVEEREVGPDEGARREVEEAREAMEVRVAGVEARRSATPRPWGVVVVVLQWEHTARNRTTRHPISSTRSPFSDAQSGDTSPAAALNVRRRSLLLGVVMGPVGQRLPGNVRMRGKVVLGRSPLRSAMADLVGGRAPQPLRGVGVGYGANAFVGASTKTEETSEISVGRDGSFLSSAISSTSRSGVAARRRHQPTHGSFSLVPSCSPSFIFPQSIWPPSQITLLPAAIGNIHHQSLVPAEEILPKPCTRMKQADLARGSSADPIELDLSSVMLCPPSSSPRLPGAPGAAGCNVGGTSHDSADADMEEPHVGAEGTRGPAPRCVPWLPEIPCQGRSQGKDLPSPSSEIRARQRVHLGIHLHSTVPPEARSLDVTLPKSS >Dexi1A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:1A:6941710:6948130:1 gene:Dexi1A01G0008780 transcript:Dexi1A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRMGSIASGSMRRTASSWRASGRSDTFGRSVREEDDEEALRWAAIEKLPTYDRMRRGILTGAVGDGGGIEEVDIEGLGMQERKNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLNIEAEAYVGNRGVPTFTNFFTNKIMGVLSAMGVVSSGKRPISILQDISGIIKPGRMSLLLGPPGSGKTSLLLALSGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDIHVGEMTVRETLKFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADAMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIINSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSEGQIVYQGPRDHVLEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKAFHVGRKLGSELHVPFDRSTNHPAALTTSKYGISKMELLRACLSREWLLMKRNSFVYIFKVVQLIILGSIAMTVFLRTTMHRGTVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGAFAQLILLILGGFLIARDNIKKWWIWGYWSSPLMYAQNAIANNEFLGHSWDKIVDPATSNDTLGVQVLKARGIFVDPNWYWIGVGALLGYIMLFNILFVFFLDWLDPLGKGQTVVSEEELKEKHVNRTGENVEMLQLGTDYQMSPNGRGEIIRADTRRRGMALPFTPLSITFDNIKYSVDMPQEMKDQGITTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISVSGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPKEVDSEARKVFVDEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIEYFEGIRGVKKIKDGYNPATWMLEVSTLAQEDILGINFAEVYRNSDLYRRNKALISELSTPPPGSTDLNTRQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAYAQVLIEIPHIFLQTIVYGLIVYSLIGFDWTVEKFCWYIFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRMPIWWRWYSWACPVAWTLYGLVASQFGDITDVKLEDEEIVKDFVNRFFGFYHDDLGYVATAVVGFTALFAFVFAFSIKVFNFQRR >Dexi8B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:8B:675049:675999:-1 gene:Dexi8B01G0001000 transcript:Dexi8B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLICACLLHLLLLATSSGVAAQSQPLSPARILDAMLQDYAYRAFVRPHTGIVYNATLPTNLTGIAVSAVRLRSGSLRRKGLAGYFEFAVPTGVVVQPYVERVVLVYHNLGNESEYYYPIPGYTYLAPVLGLLVYDAANLSAVGLQELNVVASGSPISVTFSNVRAVPAGSAAPRCVMFDLNGVPQFRDMEANNLCSTYRQGHISIVVNSSEIAPAPPPHGTISPPIPTEGGHNKKGNSKAWKIAVSVVGAAVALGMLAALLLCLVRYKRDKKLEVMERNAAVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >Dexi4B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:4B:4357690:4361990:1 gene:Dexi4B01G0006190 transcript:Dexi4B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADERADAVRRAKEAGNDAYRKSFLETAVEHYTRGALLDPADISFLTNRALAYLKLCKYKECVRDCDDAVKRGRECGADNKLIAKALSRKAAALLEVADCAGGYAPAIRALEQSLAEHYCEETLAKLGKAERERKELEEQERLDQEAADHDREKGNELFKQKRYHEAAMHYTRAMKMNPKDPRAFSNRAQCHICLGDFPLGLKDAEKSVELDPTFLKGYVRKAKVQFLMESYENALATYLEGLKCDPNNMEVLDGLRRCAACIKRANGGDVELKDLKDMSGNFQSENDLRKLQNAMEQAAILKKEASDERLMRIESERMARTTEEYLSGVKQELEQLRKQHEVMEKLQKTNEDLQGQLSESRGQYERLLVEHDHLLHERNLAVREVQELHQKRGQMLSVLTTSMHCEFSSSELVCATENFSSSLKIGEGGFGCVYRGILRNMTVAIKVLKPGSLQGQSPFEQEVAILSRVRHPHLVTLLGACLESSTLVYEFLPNGSLEDFLVWKPPDGIKKIVEDAMEKGNLCSVVDTSAGEWPDVHAQQLAYLAISCMELSRRCRPDLSGEVWGVVEAMRDDAAALSSASSSRSASNEICTPSYFICPISQDVMNDPHIAADGFTYEAEFIRSWLDSGHDTSPMTNLRLEHDELIPNRALRSAIQEWRHQHNMA >Dexi9B01G0042960.1:cds pep primary_assembly:Fonio_CM05836:9B:43070648:43071027:-1 gene:Dexi9B01G0042960 transcript:Dexi9B01G0042960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNREPSFLFLVESFLLLLLVLCFFLGANEAEVEAAPSLLVGEVPPESLGHLVERPVAGVVGVVVVGVAAEEAVRVLPLDAVEVDGVGEHVAREARPGLGLPVVELRRDPAQVRRRQRA >Dexi7B01G0023540.1:cds pep primary_assembly:Fonio_CM05836:7B:28114524:28116454:1 gene:Dexi7B01G0023540 transcript:Dexi7B01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVGNLGRIQPPRCRQVATTSPSPFAQGKAYPGRLRPPRGTGNLGANPANSCPLTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVSVLLRHSGSKLVFVDPSCLPLTRDALKQLPPEHPAPSVIPVEDPHEKEFPAAPPGTLTYELLLDKGDPEFEWVRPASEWDPMVLNYTSGTTSAPKGVVHCHRGIFLITLDWLVEWSMPQRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVNAGAVYATIARRGVTHLCCAPVVLNMLANAPEGSRRPLAGKVRVLTAGAPPPAAVLQRAEAIGFEISHGYGLTETAGLAVSCTWKKEEWDTLPAPERARIKARQGVRTPGTPDLDVIDGETGRSVPRDGSTMGEIVLRGGYVMLGYLNDGEATAAAIRDDGWFYTGDVGVMHLDGYVEIRDRSKDVIINAGENISSVEVESVLYGHPAVNEAAVVARPDELRGETPCAFVSLKEDAAGMVTAADVMAWCRERMPQYMVPRTVVFRAELPKTSTGKIQKYVLRNIARDMGPARKGDDRSSTRV >Dexi6A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:6A:11467022:11469300:1 gene:Dexi6A01G0009650 transcript:Dexi6A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGDAPSLDADVDMADLASLDAPAASSVAAAGAPRFRPRGKGKPRPKPEAPKPKPVALPKLEPDPELQPVSASEPEPEAAPQAPPEDGRVDAMEVDGAGDAACLGERAEENVEEEDFVVREIDVYYTPKPFDDDTKLYIMQYPLRPCWRPYELNEICEEVRVKPLSSEVEVDLSVNTQSENYDQEARLRLTKQCQ >Dexi9B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:9B:5493443:5495539:1 gene:Dexi9B01G0008930 transcript:Dexi9B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNSKRRLHGSITKRTVSKVSLCNLPTRIILPGKAFIFTHLRHLRLELVLYGNKKRKTGVLDFAYLLEVAPFMEKLELLMWLCCQRRPYCKEDGKLRSRPPQHHTHLKSVHISGFFGHKDQVELALHILRSSIMLEKMEITPRVEIADCDESRKQLYEREQYVDGHRVASEFVCKADHRNVVKALRASFSWGPPLDYRHARVVQRVSRP >Dexi3A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:3A:7784571:7784801:-1 gene:Dexi3A01G0010920 transcript:Dexi3A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGNARASMSTSNELPVEGVVRVKKVERIEAYNVATKPSQMATAKVTRSLTVNVVRVVDVDEKPNSFVSVPILHN >Dexi9B01G0033070.1:cds pep primary_assembly:Fonio_CM05836:9B:35253947:35256340:1 gene:Dexi9B01G0033070 transcript:Dexi9B01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEPAVPDVLTQHGKRADYLSRVVPAVSTGGGRLTTIVTFNVALTLLTYTGLGVVGWSAIRPGRWGVAGGDKDWKLFLKTMFWNLNYWDSVSTMAGEVDRPGKTFPKALLSAVCMASLGYLLPLRAGIGATDTTPEAWGNGYFADAAGLIAGKWLKYWIEVGAVLSSIGLYSASLSSAAYLLAGMADLRHAVGKPSGMMLLEFAAFVRLRVGPPARHGPALPLPRADAHGGGRGHVRRAVGIPGARHGRRRVEGLRDQRRDHRDRRRGVLRHGVLQGQGTRQV >Dexi2A01G0026640.1:cds pep primary_assembly:Fonio_CM05836:2A:38216649:38217804:1 gene:Dexi2A01G0026640 transcript:Dexi2A01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGSRAFNVLNLSKVAELFAAWQRGLKGVAPHYAVKCNPNPALLGALAALGSCFDCASPAEMDAVLALGVGPDRIIYANPCKPESHIEYAASAGVNVTTFDSVEEVGKVKRFHPSCKLLLRLKVPDSGDAVLDLGTKYGARDEEMAPLLRAARGAGLEVSGVAFHVGCEVSRVGVYDAAVEAARTVFDAAVALGMPPMHVLDIGGGFTAGGGAASRFEDACDVINAALVRHFGDMPDVEVIGEPGRYFAETPFTLAARVFGKRTRGEVREYWIDDGIFGTLSCVVNINRYIPRPVPVALSVSDSAAGDDETHPSTVFGPTCDSSDVVAQGYPLPELRIGDWLVFHDIGAYTTVVSTNFNGFSASEMKTYLASCV >Dexi5B01G0031780.1:cds pep primary_assembly:Fonio_CM05836:5B:32435745:32439469:1 gene:Dexi5B01G0031780 transcript:Dexi5B01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQSLAESGLSSIPRRYIKPPSDRPMAPPAAASAAELVSDVSIPVIDLGELLAGGGGDVVAITEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRSLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPEAAKSPAMLWPANPGDCKEVSEEYGREVVRLCEVVMRVLSVSLGLEEEHLHRAFGGAACGATLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRGGSGEWVTVQPVRDAFIVNVGDQVQILSNSIYKSVEHRVIVNAKEERISLALFYNPKVDVPISPAPELVAAADGPALYPPMTFDEYRLFVRKKGAMGKAQIEALKGQASSPEN >Dexi9A01G0042840.1:cds pep primary_assembly:Fonio_CM05836:9A:46333422:46336755:1 gene:Dexi9A01G0042840 transcript:Dexi9A01G0042840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSVGVARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGTYRQLFHPEQLISHKEDAANNFARGHYTVGREVIDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDEEEAEY >Dexi2B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:2B:1061574:1062140:-1 gene:Dexi2B01G0001600 transcript:Dexi2B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSPEMMKAVEEIDGVVGRARLVQESDIPRLNYLKACIREAFRLHPVAPFNVPHVALEDATVAGYHIPKGSHVILSRIGLGRNLGVWDDPLRFNPDRHLTTVDPKVEVTLTENDLRFISFSTGRRGCIATSLGTAMSIMLFARLLQGFSWSKPAEISSVDLSESRHDILMAKPLLLHAEPRLPAHLY >Dexi5A01G0033980.1:cds pep primary_assembly:Fonio_CM05836:5A:36163326:36166815:1 gene:Dexi5A01G0033980 transcript:Dexi5A01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPASSDLSLGLHSHGPSHAHARVHAVAAPLRLFDDSEGAKPERLEGGEADVEREDEGDQHFSLLGHSLCVKRPRRLVNGGGGGGGDTSSCSSSSAALRPAKRAAGEGSGPDLETRRAAVRAWGNQPLAEADPDVHALMERELDRQVRGIELIASENFVCRAVLDALGSHLTNKYSEGLPGARYYGGNQHIDAIERLCHERALTAFGLDPACWGVNVQPYSCTSANLAVYTGLLQPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKVEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIVFFRKGKKLRKRGGSFSQGDESEYDFEDKINFGVFPSMQGGPHNNHIAALAITLKQVATPDYKAYIQQVKRNAQALASALIRRKCRLVTGGTDNHLVLWDLRTLGLTGIIPAAILLCHCKIFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFETIAEFLIRATQIASNVLKEHGKQQKEFLRGLQNNKDVIELRNQVEAFASQFAMPGFDV >Dexi7B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:7B:22538544:22540071:-1 gene:Dexi7B01G0016530 transcript:Dexi7B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRSSSGSGRYCNTQPYKSTSKGLGKPMEEMLMAANAGAATNTTQVSNPNPPAAAPPSSLPGSGALRGGVPPAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSASASASATTSSSSMATTTAAAAAGSKNTKTLMAQHEGAHHDLNLAFPHHHGGLPHAPEFAAAFPSLESSSVSGGGAMASNGRGGAGVGALSAMELLRSSGCYMPLHHQVPMPPMPGDYAAAGFALGEFRAPPPSQSVLGFSLDAHGPGPSGAAGYGSSGGLPENAGRLLFPFEDLKPTVSSGGGGLATGASGGGAGDGNSGHPQFDHSNKEPGGSGGPSGGHDAPGFWNGMIGGSGASW >Dexi7B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:7B:19284998:19289903:-1 gene:Dexi7B01G0012550 transcript:Dexi7B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAAAVVTNGSPGAVPAVVSPGAVGVGVGVAQPLPTTSLYVGDLEGSVSDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPLDAARALEVLNFAQLNSKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHETFSTFGTILSCKVAMDEAGQSKGFGFVQYEKEEAAQAAIKSLNGMLINDKPVYVGPFLRKQERDHSFDKAKFNNVFVKNLSESTTKEDLLKIFGEYGNITSAVVMIGMDGKSRCFGFINFENPDAAAHAVQELNGKKINDKEWYVGRAQKKSERDMELKRRFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRDLFSNFGKITSCKVMRDQNGLSKGSGFVAFSTREEASQALTEMNSKMISGKPLYVAFAQRKEERKAMLQAQFSQMRPPVPMTPTMAPRLPMYPPMAPQQLFYGQAPPAMMPPQMLPRGRMFRYPSGRNMPEAPAMPGVAGGMIQPYDMGGFPVRDAALSPAAQIGTLTSALANAPPEMQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAHQQNPNTPTSQLAAIIVNYGAELYCPRRDRRAPSARSTTPICGHDKRGRVSWKGCGEVRCTDM >Dexi8B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:8B:7640010:7641893:-1 gene:Dexi8B01G0006520 transcript:Dexi8B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSKILGTQLNFAGSSRYATAAPTASAQKIVSLFNKKPAQKPKPAAVTSSSPDISDELAKWYGPDRRIYLPDGLLDRSEVPEYLTGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAVIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLVVAVIAEIVLVGGAEYYRIINGLELEDKLHPGGPFDPLGLASDPDQAAILKVKEIKNGRLAMFSMFAFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERTPSL >Dexi2B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:2B:8349405:8351903:1 gene:Dexi2B01G0008260 transcript:Dexi2B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHSAVTHCPSTSTSSLFVHPPHVTALRPHLSALSTPFLRSGCRSGQWQCGSGRGTARAASLSAVAGLRRSPRCLVRAAAPSPPPPPRLLQLQGKHSPPYLLRGSMRVQFRAAQGHDRESGNIFFAKEMPASTDQAAEASKDTGVRTIGSLGNKIRIRLPPRKRLAEGTQTQTMSTVGPDDTKNHLAEVSEHTDNNTPSTTLVDTKVKAEEVCSKAAGVGQCEEANSNILIKTMSKDTLSEEANLIVSSLNLTTATEVQVKEEISCPVRKELCEEGNKNIMSKVPLEDSSNTARKESYVGAIDNTTSKNMSIIRVHDELEKKNSINIIPSNRLIYETNRNSRSKGVTQEAKIKNPRKNLTTSAVNGDEENDKSLGNNLFEEARKNIMSTEAINYASNRRPAIQLMTRNLAKDRAPLWYTYMLLVPARTLLE >Dexi1B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:1B:12273083:12273619:-1 gene:Dexi1B01G0011810 transcript:Dexi1B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPFHQEVLKHRKAGGAFQPFEKEKRAELPASSTTAASSAVEGADRCDEDKASADTSEKHSEKDMDKDAKDAKGKDNKGSKEAPNRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRDLMKVDGLTNDEVKSHLQSYSYLSV >Dexi9A01G0044120.1:cds pep primary_assembly:Fonio_CM05836:9A:47738756:47739726:1 gene:Dexi9A01G0044120 transcript:Dexi9A01G0044120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVAMAAASSECSSGCQSGWTTYLDDHSSYSNSYGTGRFHGKARRQPYYCDDYSEEDDLSMISDASSGPRQQSSTGNDVQGGGAAAVAHANAERRGRREAAAAARRQSKRAANASQLEDTASSPAFYGYTTVMGSGVANGYGAQMMELGNAADFSYAFSTTTGFKSPLNGTALVGGGGGYMQMQYSPAVVKPMPARQRCA >Dexi4A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:4A:19789500:19791047:1 gene:Dexi4A01G0016220 transcript:Dexi4A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRLLAGVATLLLAASPAMAGNDPVEVGVNWGSQLSHPLLPSSVVKMLKDNGIARVKMFDADPWPVGALVDSGIEVMLGIPNDMLMTMNSYGSAQDWVKENVTAYGDKLKLKYVAVGNEPFLKAYNGSFMKTTFPALKNVQKALDEAGVGDKVKAVVPLNADVYVSPDDKPSSGAFRPDINGLMTDMVKFLHDHGAPFVVNIYPFLSLYQSDDFPFEFAFFDGGKNIQDKGEISYSNVFDANYDTLVNALKKAGVPKLKVIVGEAGWPTDGNKNANLKLARRFYDGLLKKLSKNEGTPVRPGNMEVYLFGLFDEDMKSIAPGNFERHWGIFTYDGKPKFPIDLTGQGHDKVLSPVPDVEYLPHQWCVFDDGAKEKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITDKNASTRGCLFPIQILSAGGRPTPGNGWAAALLALAVVLFV >Dexi6A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:6A:24519911:24520777:-1 gene:Dexi6A01G0016630 transcript:Dexi6A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGAAVKLTRTPSSLLRSPTVRAGATLDDPEPDDKKAHAPPLAKPRALLRRAHYHLRPGPAQSALLLLPVLALAALLLGGGGGTHHLALLAAAAGAALAAAAAVARLRAARAGVRAPRAPSFVGASWFIGEGDGEEEEHQQQQRATKGRKAGRAAEVREGVEFYSNGDRYEGEFHGGRCSGSGVYSFFGKGKYEGDWVDGKYDGYGVESWARGSRYRGHYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFR >Dexi7B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:7B:22909523:22912045:-1 gene:Dexi7B01G0016890 transcript:Dexi7B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTANPGVRLGWKEAPALGLGSASRLALPRRAAVTPHRIGRGKFPVAAISLDDYLPMRSTEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNAGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGLISHFEAVLPMGPTIIYNVPSRSGQDIRPQVIEALSRFPNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPALMHSLMYEGENATLNEKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLSLEKRIEFVRIVEAIGRENFVGQTDARILDDDDFVFISRY >Dexi3B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:3B:12271624:12272458:1 gene:Dexi3B01G0016790 transcript:Dexi3B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLVVMVKPAQAALSSGSHPQSIDCETHLPHGQGYAKRDHSWGPTPRRPQTFLLRHRRSAASSTISHPTNEQEQRAPQPMNTRARPARREIHNAHARNPHRSKNKSTSWPGSPPARFPSLLASSPCLSLTPPHRRKKKDNSSGAEEHQTPQQRGGRASNATAAADGGVASVASPVAPPPRAPSSLLLSAFAPVLRVRAVIIVIVVAAPHIGRASVRAPRFLGCPCIYR >Dexi9B01G0035560.1:cds pep primary_assembly:Fonio_CM05836:9B:37168259:37169398:-1 gene:Dexi9B01G0035560 transcript:Dexi9B01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQCVASMLALALLLGTFAAIPTGVQSIGVCYGVNGNNLPSASQVVQLYQANNIGLMRIYFPDANALNALSGTGIGLIMDVANTDLGSIASSPSAAATWVQTNIQAFPGVNFRYIAVGNEVSGSDTNSILPAMQNIHNSLAGAGLGHIKVSTAVQSDVTQGFPPSQGTFSQGYMGPIAQYLQSTGAPLLANIYPYFAYIDNPSQIDINYALFTSQGTVVQDGSNAYQNLFDALVDTMYSALENAGAGSVEIVVSESGWPSAGGTAATTGNAQTYNQNLINHVGQGTPKRPGAIETYIFEMFNENQKPGAATEQHFGLFNPNQSPAYPINF >Dexi8A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:8A:20069156:20069506:1 gene:Dexi8A01G0011380 transcript:Dexi8A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQWPSLTRFRLAIVSSALLLDTSAPSYSYLAAVNSLVAPLPLHGIPSPPCIAIPSAFAPTRRDVPGRALHPLRLATQTLRRTQQRRPEWSGAGGVEQQLHLPAFDPIPRMDKA >Dexi6A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:6A:25999423:26002123:1 gene:Dexi6A01G0018220 transcript:Dexi6A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGGGRGRSPLSPLLGCLLVVVVSSLLSTVVRGAQLQELPELEVATYNYTSFQDGNSQEATDLVFSSNARIYQGAIQVTPDTGNAGSYESIMVNKSGSVLLRRAFTLWRHLDNVSNGTAPPVVQVVSFNTTFSVNVYQLTEAHPGEGLTFVVAPSLAEPPPGSYSGYLGLTNSTLESSSSGLNTTANKFVAIELDTFKQPYDLDDNHVGLDIGSVVSNKTASLAGVLDIATNATTATNYTVWVQYNGLARHISVFMAKQGSPKPSSPVLDSQLDLSEHVPEKAYLGFTASTGTSFELNCVLDWSLSIEIIPEKKSNTWIVIVAVAVPVSVVVVAIAAFFLAKKLRARRSVERRQERLGHQLSTLPGMPRCFEYEKLRKATKNFDERQQLGKGGYGMVYKGVLPADDGRPEGTMEVAVKRFIRDDGKEVSDFLAEVQIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGVHKHRPVLNWPSRYAIIADIAAGLHYVHHEYTHMVLHRDIKASNVLLDASFRARLGDFGLARVLDHDRNSFTDINVAGTRGFIAPEYFVGHKASRETDVFAFGALVLEVVTGQQPLRVHDARCPLLVDWVWQMHGRGALLGAVDQGLGTDEFDHGEAGRLLMLALACSSPNPRDRPAMPVVLQVLSKASPAPEVPLFKPQFVWPPEGGAQFDLSDIGVSMSTSTAGSTVGDGGASTAMATQDTAHHSGEGYFPAISSGR >Dexi1A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:1A:25592273:25592718:1 gene:Dexi1A01G0018320 transcript:Dexi1A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCLTGSRQLMGEDLSGLNVKELQSLENQLETSLRGVRSKKDHLLIDEIHELNRKASLFHQENMELYNKINQIRQENTELYKKVAYKLNRNITE >Dexi9A01G0043240.1:cds pep primary_assembly:Fonio_CM05836:9A:46726463:46729481:-1 gene:Dexi9A01G0043240 transcript:Dexi9A01G0043240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKAGSRQENGPYKLHALASGHGKALPQHAEPNGQIPLVLSALALPSPESPPAPPPPRARGYEWSCSPPPFSEGERRLDPFRKPSKASASAAEAISGFSSSSAVEMSAPMYKKQSSMGTPVHKTNPFDSDSDSEVPSRPSRAQSVPVRRTDLSVQELEDYAVTKARETTHKVNDCVRAAEAIREDATQTLLTLHRQGEQIMRSHQVAADIEQDLTVAEKAKQDDALSDLSNTLGQLKEMAVDMGTEIDSLTETLSLLAGKTKLWFLSATT >Dexi5A01G0039300.1:cds pep primary_assembly:Fonio_CM05836:5A:39996090:39997732:1 gene:Dexi5A01G0039300 transcript:Dexi5A01G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITFSPPAVLYTLLCVVVFTVLLKLRSFFTSHAAGLNLPPGPWQLPIIGNMHCLLGALPHHAMRKLAQRYGPVMLLRLGHVRTVVVSSPEAAREVLKTHDATLADRPLYVTMDIFTYGGRDISFAPYRSRHWKELRRLCATELLGPKPVLSFRPIREEEAASLVRAVAAAPAAVNVSERVKALMNDILMRCAIGDRCPMRDEYIAELDAALQLLAGFNLIDLFPSSWLARTLGAGSLRAARVVHDSLHRITHAIIDYHESKGKGVAAADDDGGRNSRREDILDLLLRFQKDGGLGITLTTEVLSGVLFDVFAAGSETTATTTIWAMSELVRSSRAMERAQSEVRRVLQGKTMVAEADIQGRLPYLQMVIKETLRLHPPAPLILPRFCGESIKLLGFDIPEGTTVFVNVWALGRDEKMWADANEFKPERFEDETVDFSGGDFRFLPAGSGRRMCPGVMFGVANIEIALANLLYHFDWKLPSGADPSELDMAESYGITARRENDLLLEATPYVPHGSES >Dexi5B01G0024690.1:cds pep primary_assembly:Fonio_CM05836:5B:26715376:26719989:1 gene:Dexi5B01G0024690 transcript:Dexi5B01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIAYYDVLEVSTDASVAEIKKAYYLKAKLVHPDKNPGNPDAARKFQELGEAYQVLSDPVKKEAYDKYGKEGLPYDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEESTAPEARTRIQEKIKDLQTEREQKLIQSLKDRLQPYVDGKQEEFSDWANAEAQRLSQAAFGEAMLHTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEEGDDKEEQLIKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKENNIPKDILKSRARGLKKLGTIFQVSFLLIYKTTVTGVLSLLFRTRLVREGDRGASLQERAMAEYQGEYGLPYPRVDQYGNPVPPVDQYGNPVPREPSVSSGLGAGDTAGEGYVAAPGDYVTAYPSGGVAPGETALAYEGMVGGGGGIGAATVGMGGQLQPAMEEEHTFGSCQLQPAREEGHTTTLGEKLTRSGSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGTHKHEERKTTTTAAGTHAAGAHEKKGFMDKIKEKLPGHH >Dexi2A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:2A:28993884:28996237:-1 gene:Dexi2A01G0017170 transcript:Dexi2A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLVLAALLLLRAAWVTLSCYLLTPLRIRRIMARQGVHGPPPRLLVGNLRDVSALAAQATADDMPSLTHDIVARLMPHYVLWSKTYGKLFVYWYGSEPRLCLTDAAMIKEFLSSKYAANATGKSWMQREGTKHFIGRGLLMANGARWSHQRHVVAPAFMADKLKGRVGHMVECTKQTIRALREAAATPSASPARRREVDVAAYMTRLTGDIISRTEFDTSYETGKRIFHLLEDLQRLTARSSRHLWIPGSQYFPSKYRREIGRLNGELEAVLTESIGRSREIADEGRTTSAYGRGLLAMLLSEMEGNKKDGAKFSYNLQLVIDECKTFFFAGHDTSALLLTWALMLLATHHEWQDKARAEVTRVCGDGPPSYDDLSKLTVMIIHETLRLYPPATLLPRMVFEDIHLTGGLHLPAGLSVWIPVLAIHHDESIWGPDAHEFKPERFAAGRRPAFLPFASGPRNCVGQAYALVEAKVVLAMLLSHFRFAISDDYRHAPVNVLTLRPKHGVPVHLRPLRP >Dexi1B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:1B:21072602:21073558:1 gene:Dexi1B01G0014700 transcript:Dexi1B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKSASLSIHQIHSTSGWESMRRRSSGSLAQYPASSVSSGREPRIPCEPPTPLLVPVGDLGPERVFPHGGGVRGGGLGGAERGGVESRGGAEVPVVVVGVGAGVGADLAEDAVDVLDGLGSRERLGVGGLGGEGEVEADGSTEDEDGDEAAQHGDLEVRWILRERLWWWWWASGDAPRGRGAVIGSPPPPPPPPLLLLYPIPSCPIPATEDGISSGFYPPAPPPRIAGERDSLAAGLARGTHMGSGASEECGVGELGENGN >DexiUA01G0006620.1:cds pep primary_assembly:Fonio_CM05836:UA:12809586:12811473:-1 gene:DexiUA01G0006620 transcript:DexiUA01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYNGGVIQNGEFNSGLMGWSTRQNFKAGVRSSPSGNKFAVVQGAGGTLSSRGNVMPSHSVYQRVRMQRDTHYSLSAWLQVPVGSAHVKAVVKAPQGERVIAGAVIAQSGCWSMLKGGMTAYSSGHGEIFFESDAPVGIWVDSVSLQPFTFDEWDAHALRSANTTRRSTIRLVAKDAHKKPMANATVIIELLRSGFPFGNTMTKEILNMPAYEKWFTSRFSVATFENEMKWYSTEWNQNQEDYRIPDAMLKLANKYGIRVRGHNVFWDDQNSQIRWVRPMNTDQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKLGPSASAQIYNQVGQIDHNAILFMNEFNTLEQPGDPNPVPSKYVAKMNQIRSYPGNGGLKLGVGLESHFSTPNFPYMRSALDTLAKMKLPMWLTEVDVVKGPNQVKFLEQVLREGYGHPSVNGMIMWAAWHANGCYVMCLTDNSFKNLPVGNVVDKLIAEWKTHRTAATTDENGMVELHLPHGEYNFTVTHPSVKGAAAIHTMMVDAASSASDHTITVKA >Dexi9A01G0043320.1:cds pep primary_assembly:Fonio_CM05836:9A:46809074:46810312:1 gene:Dexi9A01G0043320 transcript:Dexi9A01G0043320.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTSDKLSFQLPAGMSSGGEYDAAFAATVAAAAFVIAAQEEKLATQKKTVPIESVQPALSPVKRGESMKRPGGGSKISRWLSGKEPAEYDDDGPVNISVRRPLKPAPGKPQDITPDHKVTPKMLGSYQSVKKGSGSSNKAADRKGSKKFEQEQGTQKVPSTVRPATSYHSRRNEEGAAGVTAIGTTGSKADEWEKAKLARVKDEYEKMIETIAEWETEKKKLKTELKLQTELDRKRAKALEEYNQEMTRINKIAGGARSMAEERRYNDEKKIKEKARKIQSTGKPPRTCICF >Dexi3B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:3B:6549658:6550002:-1 gene:Dexi3B01G0009470 transcript:Dexi3B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASPPAKKRSPTPDGSRSPRSPSPRGESPPPKSNGERNGSERGDSPGGMEKENSRSRSRSPSDGNRSPAANGRSPSPRDERSPSHRDDRSPSPKGNDEDEAHPASPRGSKSP >Dexi7B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:7B:21023692:21025244:1 gene:Dexi7B01G0014940 transcript:Dexi7B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDSCDRRVHRANKLAGKHRRFSLLHPSPSSSSSSSSSGQQKPPLCDICQERRGFLFCKEDRAILCRECDVPVHTASEITRRHSRFLLTGVRLSSAPVDSHATSELQDDQEEEEENAGSPCNADSACSGGAATAAASASDGSSISEYLTKTLPGWHVEDFLVDDASAWDVGACSDGTLFQGEQGQMGGLLQEAYTPWTGREQLLGDVVVVTADERASRERWVPQMHAEFAGSNKRPRASPPCGSYW >Dexi8B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:8B:14573928:14575295:-1 gene:Dexi8B01G0008790 transcript:Dexi8B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGEAMEMGPYPERAVAAARMKGEYPQRTGQPDCQYYLKTGTCKFGATCKFHHPREKAAMATRVQFNELGYPFRPVCS >Dexi1A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:1A:2853320:2861202:1 gene:Dexi1A01G0003890 transcript:Dexi1A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDGDGGEVTAPPQHLVFAYYITGHGFGHATRALEVVRHLVAAGHDVHVVTAAPEFVFTTEISSPCLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILKTEVDWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSELSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKETGVRPTPASHDMNGTAESSFEDFEILHGDMQGLADTMSFLKSLSGLAVNDPRSPEKQTRERAAASVLFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSDPIRQKQWKHTQARQLANGGAVPVLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSLDPSQKWAAYVAGTVFVLMTELGVRFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIAPRDLALLCQKVENRVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVNIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASELLSESLPSCVAMQSGDTNPEEYEEHGVDLLKSETLMEYLCNLPPHRYEAVYAKDIPEVITGDAFLEKYGDHNDAVTQVDPKRSYCVRAPTRHPIYENFRVECHYSYNACGLGSDGTDRLVNLVQEIQHRKTSRGGGPSLFGAKITGGGSGGSVCVIGKNSLRSSEEIFEIQRRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRSAPTVV >Dexi7B01G0023740.1:cds pep primary_assembly:Fonio_CM05836:7B:28275318:28278907:-1 gene:Dexi7B01G0023740 transcript:Dexi7B01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMAAILADLERGDSDARLPKTKLVCTLGPASRAVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIKLTKGQEITVTTDYDIKGDESTISMSYKKLPVDVKPGNVILCADGTISLTVLSCDPDAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDHEAVFKAMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLIPLLAEGSAKATDSESTEVILEAALKSAVQKQLCKPGDAVVALHRIGVASVIKICIVK >Dexi3A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:3A:8394816:8398489:-1 gene:Dexi3A01G0011610 transcript:Dexi3A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRASRPALARMPSTREHVGSFDVGAFTNVSAPPSPSIDSSLVDVVSKISHQQPTNTDSLARSSSITFELGPEMECLAVLFHALLLCLSLIVSSLPGLCDDAGDENFVFSGFAGAGAGNLTLGDAAKVTPEGLLQLTNNTDHQRQRDYSSSPNGTARSFSAAFVFAIVSAYGEEASTDGMAFLIAPKTDLSDAGWAQYMGFLDGAAMDRTFAVELDTYRNAELRDIDSNHAGVHVGGLFSTDSHAAAFHDDSHGGGALTGLSLDSGEAMQAWVDYDGMSKRVNVTLAPMGVAKPSTPLLSDVSDDLSAVLTERAYVGFSAATGPIKTRHCVLAWSFAMDGPARPIDFTKMPKLPRSGRSNKTLKTMALPIAAASALVLLATCAITLLLWRRRRLKYAELREDWEVEFGPHRFSYKDLFHATGGFKSNNILGAGGFGKVYKGVLPKSRSEVAVKRVSHESSQGIKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDRYLYGGGDKPVLGWAHRFQIIKDVASAVFYLHEKWEQVVIHRDIKASNVLLDGGMTAHLGDFGLARLHDHGADLQATTHVVGTMGYIAPELARTGRASPLTDVFAFGVFLLEVACGRQPVSNGGVRHGRRTLLVDRVLEYWRAGELLETVDARLRGGYDPDEARLVLTLGLMCSHPFPGERPAMRQVVQCLDGDAPLPELTPADLSLLRMMQNEGLFDRRCLWSSENSIGTMSIDISVGR >Dexi7B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:7B:17828391:17834110:1 gene:Dexi7B01G0010480 transcript:Dexi7B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPDPAPLTFACLPADPSSAAPSPPPPPRNPPRAAPRDAAAHRRLNFGGVQRPGGGRGGGQLGLPPMDGGESRTFKANFTGEGVTLLQDRVKEKLRELMGDYSDDTLAEYVVVLLRNGRCKDEAAKELQVFLGDDNDAFVSWLSSFSQTLPKAATSNDEPKSTHSAALSMEVPFRFPPTLYLFFVIILLTYFQRASHSVISAPRRLLQFAVRDAVRTVQPVTPRSESASKRLRSVVSTLASDSALDITHIRLQKTNSDVRIPGGTAALRAAAEAAEDAIQGSVFNRLGRMPKLNHTGTHREQDPEGEGYEAIDDVRAENRIDLYERNQYGGSDAYMHDQDSEEATGSAPNIDGYDRFNGLGSRQSTLPSSGGEESLVLGYVRGGAEVRSRRLIAQGTQASSGPRPSGMNLNISANTSMRKLPTHGTREVPTEKKVADARKSIVKNVHVNDTVMIEKSNHCISGFLVTRHSFLILKNAFQDFVHSGSMLEAQKASSLAVESNTKDQSEGGPDSRTIFVNNVHFGASKDALTCHFNKFGAVLKTLMVTDGITGQPTGYDFNSAYIEFLHKESAEQASTLNGTYFMSRILKVVRKSSTEATQLPGLPRASRGSPFASRLIRTAYPRTTFPGAIRGRLPLRGGARSLQWKRGAVDSTDAGKSSQTTPATPVNQLVTPTTRSFTYTRTEPKPNDGAMA >Dexi3B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:3B:13588215:13591687:-1 gene:Dexi3B01G0018310 transcript:Dexi3B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSSRSGSTKAKDLSKGASDKGYAGKEPAFSDSSPVISEPVLVSAHNNETAREVAKVENSSVQGEVTVPDVNQDLEKHVSVGSDGSNDAERLKEEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLGAKPDSWKEKLYSNAFARKLLSSPIVVEALHFQYDETDPNSALYWLERWTTSRVWKPISQPKRVGADAKPHARKASYAMETESGKLKRNARKSAPTPFEPSQTNTAIDIEKTKRNPRKPSSAPGDSVPDGQLTELEKVKRSLRKVTNSMSETSKVSNPAPEIPDHQEVQCERAVRNAKQVPVHLENQEPQNFNLSDNAKMDTLVPDLQPDEESASDPVTNEEKVDEPYVVAPPAEVMPLQDINNEENALVNDMEQRSKEEPLSTESLKGSKRRSSFSTKPEYPENGSKNSPALPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSTNGKTISHSPRTQRPTHAGGKDGAKGDKAMLSSRDASGKKKKYLKTCIHIFMFYLMPCH >Dexi3B01G0024640.1:cds pep primary_assembly:Fonio_CM05836:3B:19304378:19313882:1 gene:Dexi3B01G0024640 transcript:Dexi3B01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAPAAASGFRLAPSSLLLPHPLRTSPSSAILRAASSSSPRSRRCGCGAVVRCAKRTGKRRYPSEKKRLDRRHKDLLRQAAPEEGSEERESGYWRLFKLAVPARDDPGKDFTGISLPLLQAIAKAIKFPDFSESPRACSEVCGRWPPGGRFFDFVSLADEWSTTGSAQRREVGTSATVTTRVSGWARGLLQRRSRGVGVCRSSRARYEGATASGGGSLGDAAEGWMWCAWQLRWAASPLLWWWLVGVLRWSLLKREVGAAAPGSVSLATVASMLPDEAFTVVRKSFDARKILKEPQFTYTVDVDVKKLLDMEPRAWDFIARLEPKLGVVEYMPKKKLASDLISMLNVSNKGYNNEQGIKDTHNGSIYPQDKKPRVAVIGSGPSGLFASLVLGELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNADGVQAVLKTFVHFGAPRNILVDGKPHLGTDKLVPLLRNIRNHLRESGVTIRFNARVDDLIVEDGQVKGIVVSDSELQPGSGSQKLTFDAVVLAVGHSARDTYNMLQQHDVDMSPKSFAVGLRIEHPQELINSIQREFERRAAIMGGGNFVVPAQRVTDFIRNRLSVTTLPSSSYRLGVRPSKLHELFPPYITEALQQSIIMIDREMPGFISNEALLHGVEVLAMLGVS >Dexi7B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:7B:16359055:16359779:-1 gene:Dexi7B01G0008540 transcript:Dexi7B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKKMEKDIRISYLFTRIVKLITVELYFTHTGACIFYYLATTLPPAFEGGTWIGSLTLGNTRYINFREIDLLTRYVTSMYLAIVTMAPAGYGDIHAVNSREMAFTVVYISFSILLSAYLIGNMTALIVKGSKTERFRDKMTDLIRYMNRNKLGADIRSQVTDHLLLHYESSYTKDRVIDDIPVAN >Dexi3A01G0035690.1:cds pep primary_assembly:Fonio_CM05836:3A:40979838:40981699:-1 gene:Dexi3A01G0035690 transcript:Dexi3A01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDNGSSEMVAGQPIVVGRKLLPPITPGGAQVFVSEKQYDAIIRLRERRRRVKEARERRALRVKKHVPRDPKGRFIKSKGKQEANGYQEVTTIGSDAQSSTPAIGFYLPFVSTNEGGENVGEVICDSKAQSSTPPIGLYCPLVATIESGENVGEVMYWPLIATNVCEVANDSEAPPGMGFYWPFTAASEYDENIGEAASGSKAPFSNPAGDFFWPVVATNDDEENVGEFAYDNILNLESPDPTTLLRIMMGNRYNTDPVSAQFQNVAQLQAPDFTTLLTIMNNAGYDEAADNGHYDVDKVMTKLEGW >Dexi1A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:1A:25208720:25212414:-1 gene:Dexi1A01G0017920 transcript:Dexi1A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAPPSSSVAALTRQPVQLLKGCSFSKETKGSVCSLFTLNSNNAKVKSVGLRIASSLKRDDGYTAGGASRNGDMLLPRSTSVRGQDNPVADSVLPTDSMVAPEILSANLARVADKFADDDTDSELDLDSPTEGFASIADAIEDIRQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFIVRHGTGIVCVSMKEEDLERLNLPLMVTTKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPDDLNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDADGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLIERASVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGEDILVRVHSECLTGDIFGSARCDCGDQLAMSMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDEGRDTVEANEELGLPIDSREYGIGAQILQDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLLTPITSENRRYMETKRTKMGHVYGHAKQPSDSNSAEEKH >Dexi6A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:6A:347100:348451:1 gene:Dexi6A01G0000590 transcript:Dexi6A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSSRWSDLLPDLLGRVIAHLTFPGDRARLRAVCRAWRSAARHHMPRRQFPWIVLPDGSFCTDGAFFPRVPGLPENVTCLGAGGDGWLALDRTDDVFRRTNLWDKLVDMCFLRPRPDVKHRHTYLLYNPFSGETVALPELDSVVGYIPETFEIRKVLMRSSTSPADDLVAVGSCVLADLRVFDVAFHGDRLYGITPEEELVAIDLAEDDEGMPTVPRIRRVIRRPLADGEEDRWSFVYDDDDEQQRDDEDEAEEHDYLSAGSSNEEAEQEWDDNDVPDGVESEHLDEPSKAYMTTSRQLVVQSSSCAGGDDDEHQQLLMVRHHDQSPAFSRPYTRGVDIFKADMKQGKWVPVSALAQGEALLLSRSFSKSTHAHGGIKEGSIYFAGMDDLFDTKCWSPRPLSRPAHSRREDMNLLTWLLPPEILL >Dexi7A01G0024020.1:cds pep primary_assembly:Fonio_CM05836:7A:31860377:31862280:1 gene:Dexi7A01G0024020 transcript:Dexi7A01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKASKDYADFLDKVKRTIYLDQLSPQVTTQVIKAALAQCANVVNVEFIVNYTIPYDIPSAALVELEDEMQAKAVVELTTDFPFIIGGMPRPVKATHAKAEMFPDRPPCPGIKKDFRWIKLGDDEFDSMNKQKILAKRQESENMALIKMQLEEEKELAKQQQEALDANCKKYDMLEVVVQNGGLRNLAHCYGVNLDD >Dexi5B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:5B:7702121:7707399:-1 gene:Dexi5B01G0010910 transcript:Dexi5B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKSSPDGAGGEYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKDAVNVIPFTLVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMRENFQMEGPTGVLKQLQALWKIMELTDVELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLTMWEMMWAADFDEEAIRRLEESCLESLLMFNDNVLKINVKRCVRLAIKLRKKYLYKVNSDAKLLNFLFPLTWQSLKGGSSDDKES >Dexi2A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:2A:1117626:1118479:1 gene:Dexi2A01G0001630 transcript:Dexi2A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGSILLAPTVSRVSAHTSRLVPRVDIHSPAQSLSAPPPLHFSPTKPSPPATGAMDPAAAVAGMDELVEEVLLRSPPDDPAHLVHAALVCRRWCRIVTGPGFRRRFRERHRSPPMLGGFHRPFLNVPDSGAPCGFAPTASFRPRNADLGGRYALDSRHGRVLVGVLPSVGGAPEARLAVWDPVTGEQLELPEPPLERDGPLLSWNAAVLCASSKDGACDHLDCSRGQFLVVVVVTTILSRFAYVYSSDDGEWSQRACALEFFCPRR >Dexi1B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:1B:1155814:1156968:-1 gene:Dexi1B01G0001480 transcript:Dexi1B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQHAMRAATKLPSVAIVPKAKPHAASTSTPPPPPARRPANPAKPHRKPHAGPKPPGDLAPVAASDDASAPQATQEERRPIRTPADLAAAIRCAADADIDAAMALALTAPAAIPLPPFSLALLLRRLATRRSVAAARDFLQKVHPDPSSAPAPKGALLALADAVCHHGDPREAARLLPVLADHGVRADAHLYNALVKAHAAASDPAGALAVLRRMERDGVVPDLVTYNTLVYTLARAGMVAKARTFLDKMAAQGQFPDVITYTSLMNGMCIKGEALAALKLLEEMEAKGCQPNDRTYNTLLMGLCKNKKLDKAVEVYKSMVKAGMKMEAPAYATFVRALCRSGSVADAYEVFDYAIETKSFTQVTAYSELENSLKWLRKMKS >Dexi6B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:6B:21438096:21439854:-1 gene:Dexi6B01G0013670 transcript:Dexi6B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVIGETFQLFKGSPLIDIPRYYQDRLKRYGPVFKSNLVGQPLVVSLDPKFNRYIFQQEGKLFRSWYPETANSIFGKKSNTTYNSTIHKFVRNFSSKLFGPENLKEVLIQELEDAMRQSFASWATKPSIEVKDSVADMVFDLVAKKLISIEPIESRELRKNFEDFFQGMLCFPIYFPGTSFYKCKKVCK >Dexi2A01G0033130.1:cds pep primary_assembly:Fonio_CM05836:2A:43409367:43411767:1 gene:Dexi2A01G0033130 transcript:Dexi2A01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLALAVVALLWTPCALLFADASVHDYSGERFVGSGNAFVLHGGSEGVYASTKAGAFIRFEKVAFRRTPESAAAAAEEEDGNRTATVTAVIFEAGGRDTIGSTDVVSGERVLCCTPDMAKLGACTEGAVIHRAWRNDTGWPKVLSASFLPGTLEAAFPDETIPVSRSGMYTLLFAHCDASLAAGGVSGTGKTIWKNSHGYLPGRMAPLVTFYGAMSLAFAALAMYWFAQCARFWREVVPLQSCATVVIALGMVEAATWYLDLAEFNESGVRPRGATFWAATAGALRGAAARVLVLAVAMGHGVVRPALAGLKSARVVGLGAAFFVAAEALEVTENVGTVSDHSPSPAKRLFLVLPVAVLNTVFVYWIFSSLSKTLNKLKARRMTEKLEMYRRLTNALLIAVAMSLGWITFEIHFKSTDEYNERWRAAWVIPAVWQLISFSLLCAICLIWAPSQSTTRYAYSEEEESEDVDRDLEDTRPLIRPGPLSYVDTWAISVSQDATKIILRTDSGVYAKAADDGGKRV >Dexi4A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:4A:20094809:20097662:1 gene:Dexi4A01G0016460 transcript:Dexi4A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIAATAFFPGSPAPAPAAPKNGLAERPESLDVRGVAAKPGSSSNAVRAGKTRAHAAVPKVNGGGKSAVADGEHETIPSSVPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPRRPDMLTDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVSANGKNGMRRDWHIRDSMTGHTILKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFERSAIVDEDNRKLPKLPEDKSTTAAKYVRTGLTPRWADLDINQHVNNVKYIAWILEVMFFLMTCLYSIRISAPISILENHELASIVLDYKRECGRDSVLQSHTNVHTDCNSDSGETILHCEHLLSLESGPTMVKARTMWRPKGTKAQETVVPSSL >Dexi9B01G0047460.1:cds pep primary_assembly:Fonio_CM05836:9B:46534194:46539148:-1 gene:Dexi9B01G0047460 transcript:Dexi9B01G0047460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGRADLSPSPSSSSPLLSRLRSAVSSLVVSRGTSLSVTHPPLKHFVEHLAGGALDRSSTFLFADVFVFLSVAAVQHEQWRRRHWSAERWCLFLRAKATQASLKFSIPAGFVDPSTWRHFDSRAFGIYLDAIPKDALAVLKRLRRNGFEAYLVGGCVRDLLLKRVPKDFDVITTASLRQIKKNIFRRCMIVGKRFPICLLKMRDSVIEVSSFQTIGKHANKSEEADYLDELNGYDDRDILLWKNSMRRDFTINGLFFNPMNFKIYDYVNGVCTVIPAHISFMEDPARILRGLRIAARLGFQFSGEISNAMEDFSSSIIKIDKARLMMEMNYMLSYGAAEASFRLLRKYGLLDILLPFQAAYLSDQMKSRSSDTDLMLMVSLICCLMLLISNLQKLLANLDRLFSADRPCHCSLWLALLVFHTALVISPQDTLVLASNNDRGRLLKIFEALDSDLTSYDERRWLHKIDYYSLKDGFPAEVRFVLGKVIMDTMGDKLPCVSDEDALLF >Dexi4A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:4A:613662:616601:-1 gene:Dexi4A01G0000860 transcript:Dexi4A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKLGPQQNKTRNGRSRCSSLLETEAESKVDSLADVTSPAPATAAATVPQPQASPARDSVIMQSPSKVSGASASTTTTPAVYGIQGWADLPEGLLQSIVALLGSFLDLLAFSGTCHSWRAAFSSYPSKSTFCALLPPLLIRPNKRVAALHLPSKGSDGRKLRTCQVIDLANPNTPLRCQIPQETLQKLCFAGSSYGQLICGKGRKCLVVDVFTGAKVSPPQLPFSEDTYFNSGMLTAPIASPDSHLLVCVESNQDFTQRSLFDWPVGSNSWSALQLNDSRIEQIVEFNGKFIVMDFRYRLYTLFLAPQFGMQEIPTVWWDDMNECPFLRPWLVVCDNMLLIVDHCISLLSRAPVLYKAYRLDMSTEPATWVEAPKLRNHSLFIGGDVRSPAFSCRSPGLWSGRSNCLYYAHDTQPWSLHGLGNDVDAVWDDSTDPDLVFKRNWYRQLQPFWVYPSMFYSDDQ >Dexi5A01G0030550.1:cds pep primary_assembly:Fonio_CM05836:5A:33437601:33438932:-1 gene:Dexi5A01G0030550 transcript:Dexi5A01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAAEGSGYRDAESELNLPPGFRFHPTDEELVVHYLCRRVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGSGKAVGIKKALVFYSGKAPRGVKTDWIMHEYRLADTDRAPGKKGSQKLDEWVLCRLYNKKNNWEKVKVEELEAPQHQHRQSGGEVMDALADSMSDSFQTHDSDIDNASVMQNSFGNMAQGVQGMRNGGMVTVKEDNDWFTSLNLDELQASYNMSQMVNPPIPNPYPVQTMNLAAGQGHGYLQSMTSPSMKMWQTILPPF >Dexi4A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:4A:20600199:20600689:-1 gene:Dexi4A01G0016870 transcript:Dexi4A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVEQDPELEPSPKAEVEAGAEELSPLLSSGEEEEEEDDDTDSDGDDGSGRGGAAPALKKGPWTPAEDKRLRDYVVAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPDLKKGPFDAAEVDMIIKFHYMWGNKWAKMASQYH >Dexi5A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:5A:8686514:8694197:-1 gene:Dexi5A01G0011570 transcript:Dexi5A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSVTLLHFPCPAPFLKVSVPLLPPGARHGSARIGAAQTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHTNVLKFYSWYETSAHFWLVLEYCVGGDLKGLLEQPAFDNMIELSTTPYLAEGNGDRPSRQLTPPKPREYTGLRKKDENFTKAFTTPVKNVQSGKRTSAKPKADAFKGVNILRMSRIAKLNLQRERDKENYRRPPTETSENETEVKIENNDMELDFGENPEGDLTDDTDGSDHPGSAANEKPQAADGNEENCMANQVDMLTDEGPVKPDTMMKTDQNSCSDNLDVVATPPSICMRKAQRAKARDDFQMTLLRVLEAATEEPSVILKEHKIFTSRIIPSLSILYKGNRDGDSRFLCLKILSDVIIVIFSDSSLTADEQTIRDLKSISQKHFLPLYPSFAEDEDPIPIYAQKLLVMLMEHDCVKVSDILHKATVSQCFEFSLGDLSNANVSNVKLCFALASAPEMDTHILSQLQVVRRIGNLLEFVAAKDMDDFLEPTLELCRAFIVCGIGSNRSVALSKNPALLVDNAFSMSIAVDQQTCVMDICDFGGNMGIFIELVGNSDSQISDLASDCVVLLLKAAPREATVGLLTNLPKLSAVMDLLKHDSCLRLTRLLYGLAFSCRQYLAQGMILSLSVSAMMRVEALVSAFKGSSDNLLADAASYLGAELQRLPRCG >Dexi3B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:3B:8340435:8340956:1 gene:Dexi3B01G0011930 transcript:Dexi3B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQRKECWDPPVEGWVKINSDGSFDATNGHGAGAAVLRDHQGKVLAAQSRWYGPMLEVLVAEARAAQDGLQLALHLGLTRVHFVRREANSLADRCAKEVSVDSPVKNWHDCFPLWLMEAAAKDCNLHCVN >Dexi5A01G0030630.1:cds pep primary_assembly:Fonio_CM05836:5A:33542979:33546000:1 gene:Dexi5A01G0030630 transcript:Dexi5A01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLLEALATAAQGGTSGTSVLSMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITLELMLQWWYIPVNIVVGAISGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFKMLAPPPGRTFDDSEDDELPVKASGENVVPQLSKYPIPTSTHASTVPEDEPLLSSEEVQKECDNSVGSKIMGHVKSVIKFLKDKQLLQPPIIASAFAIVIGVVPFLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIVLLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIFYLSLLF >Dexi9B01G0034160.1:cds pep primary_assembly:Fonio_CM05836:9B:36202249:36206143:-1 gene:Dexi9B01G0034160 transcript:Dexi9B01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAPVVSDLVDFLNASPTAFHAVDEAKLRLKAAGFTQLSEREEWAGLEPGRKYFFTRNHSTIVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIIREKRDGGVSYTHKLVRVQEPILRIPTLAIHLDRTISSEGLKINNQNHLIPVLATSIKALIDSTSTEHSLDHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSMLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKMHGGLVIKHNANQRYATNAVTAFIFREIAERHQLPIQDFVVRNDMACGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDISHSYEHFKAYFEEFTELDGKVKVDY >Dexi9B01G0039090.1:cds pep primary_assembly:Fonio_CM05836:9B:39931712:39934543:1 gene:Dexi9B01G0039090 transcript:Dexi9B01G0039090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLSWAGLLKWSLSYVDGAGPSRAISEEERRWLAEAVEHHMMVDVVSRMREIALLMSTPPAVLEAQGITHDDIEGLLSELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFRSDPDLTARIKALGALSSLIRNNKPGVAAFRLANGYTGLRDALNSESARFQRKALSLIHYLLSESHSDCSVFAQLGFPHLMMRLVSSDDSGVREAALGGLLELARDTTMGNRSLLAEHDRLRRLLWGRMESIRMMTPEDLDAAREERQLVDSLWITFYHEPSMLRSEGLLVLPGEESFEQPPDVAGRFFEPMRQASARRAPPVGRSDPGDETGGGMILLLGPAPDRSNSQGH >Dexi1A01G0023490.1:cds pep primary_assembly:Fonio_CM05836:1A:30146903:30151218:1 gene:Dexi1A01G0023490 transcript:Dexi1A01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGGASQRHDAAGYAPVAAAADEPVPRGNGKRGARQAEAGAAAAADGSAPPPAPGMRRGVPAPAELTANVLGHPTPSLRDHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDQQYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIVVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLSKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPRPAERLTAHEVLCHPWISDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFTAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEADGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPSAV >Dexi8B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:8B:18969878:18974279:-1 gene:Dexi8B01G0010410 transcript:Dexi8B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRAPRPPPRLPSPSHHHRLFHAIPLLCFAILTPALAAAAAPPAASPVGDTGEENSSSSIRIAMDTKGVVSATEVLKKEPVSDVIALKDSMKYFDANFFNDSKLREMEDGAKEFNVPAFRENRKLVASENGGLHNPSVLLFKSSWSGDSMTSETRTFDYARASAVYRPSNDEDIAFMSVIELGELIRTKQISSCELTDIYLKRLKRYNPVLESVVTYTEDLAYKQAKEADDLLAQGKYIGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVLDTEAFVYKRLKAAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAETAGSITYPAARCGVTALRPTFGTVARTEVMSISESLDKLGPFCRSAVDCAIVLDAIRGKDGGDPSSREVALGDPFHVDITKLTVGYLDDAEMEVVDVLSSKGVKLVPFKLNYTIESVQSILNITMDVDMLAHFDNWQRNGHDDDYEAQDQWPVELRRARLIPAVDYLQAQRARGKLIKEVRESFTVDAFIGNVTDWERVCLGNLVGMPVVVVPTSFKRIEDPPKGGTKRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHNKQRPPIDDLGPNDVIHR >Dexi1B01G0027400.1:cds pep primary_assembly:Fonio_CM05836:1B:32136495:32137768:-1 gene:Dexi1B01G0027400 transcript:Dexi1B01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSMAKRRSPAQMPRTTSISGTDGSTYNCEPRFDVSSSAISSRTCRSWRSHARTRLSRLAGARRALHTP >Dexi5A01G0028020.1:cds pep primary_assembly:Fonio_CM05836:5A:31351693:31352978:1 gene:Dexi5A01G0028020 transcript:Dexi5A01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAGAARDEAAFSVRVLRHIACRDGSSANRAVSPLSIHAALVLLGAGARGATLDQIVAFLGPAGGPAHAALASHVALNMMLDSDSSGGVAGPTLRFANGVWVEAALRLKDAYARVAAEHYRAEARPATFKSRPEEARLQINQWIESATAGRIKNLLPQGSIHGGTPVVLANALYFKGAWERKFDASLTQDGAFYLPTGSQVRVPFMSSTSKQYIATHPGYKVLRLPYASSGEHKAFSMYIYLPDAHDALPGLVQKLSSDPASLESERTLTSKVPVRAFRVPRFTMSCKTKAAEMLRDLGLTLPFDPISADFGDMLESSPEPLVVSEVYHECFVEVNEEGTEAAAATAAVMAFGCARPLAPVDFVADHPFMFLIQEDLSGVVVFAGQVVNPALSG >Dexi6A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:6A:906058:906513:-1 gene:Dexi6A01G0001060 transcript:Dexi6A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKAVTKRAHDITVRSIRLPSPSFYRREAARRREEAQLSVITNAGRFGDLIAAAGVLLLLDAAFLPALFKEPCTAQRLIDALNDLRMQLRTKAHAVGGGFEVQASAISDLIHAYYTCTPLQKPEWSMRLGIVNITNRVKALLDDVVTIGV >Dexi8B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:8B:2372893:2374132:1 gene:Dexi8B01G0003220 transcript:Dexi8B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAAVERTVIPHTDYLTHAKVVTASAVGIDDSVVLTSIGRAVAYDFLVIATGRTCTRPQKQSDRLEMFQRDKDRIDGAASVLVVGGGPIGVELAAEIVIKCPGKRVTVVHGGPRLLKVMGPRASAKALEWLRSKNVTVLLDQTVDLAGASPDGSDGTRSFTTSAGETVEADCHFVCTGRPVASGWLKGSFIEEHIDEEGHIKVDDHLRVGGLKNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLRLLVKGGACKEEKLHRYKPCPKASITVTLGRRDALAELPFMTLIGHIPGAVKPRDLFISRTRRMMGLKSSRPYGNMPRAM >Dexi5A01G0033710.1:cds pep primary_assembly:Fonio_CM05836:5A:36005781:36007694:1 gene:Dexi5A01G0033710 transcript:Dexi5A01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANTLLLPCSDGSVTGAVDFRGRPASRSGTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVLASLLFVVSMGMLTVSALPVFQHDGCSSHSSKSVVCSSSPVQVAIFYISLYLVALAEAGHKPCAQAFGADQFDQHDPEESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNVGWGLGFGIPCLVMVFALLMFLLGTRNYRYYTSNESSPFARLARAFVALITGSKSSQQCDSLATTDDAAHREEVKSVIRLFPIWATCIIYAVIFSQSSTFFTKQAATLDGRIFGSTLRVPPAALQTFISLTIMAFIPIYDRAFVPVARRFTRLSSGITMLQRIGTGLMLAMVAMVAAALVEMRRLAVARDAGLVDQPKASLPMTLWWMLPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLSFFLSIFGVGHFLSSFLISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAVELAAFVVVSRVYVYKKRVSHDHGNGDVM >Dexi5A01G0016370.1:cds pep primary_assembly:Fonio_CM05836:5A:16681313:16689736:1 gene:Dexi5A01G0016370 transcript:Dexi5A01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLVVVSDPYLQRRFTQADLRVLQAQYAALRDASPSGRLRIRDLPAAISRAATIAAKGDAEKENSAPEPDPAPSLTDDEWASVLKAVARADERPHLDASFELFLRVYAEMQLRLKVAGGVGSEKKVGGGIARSSSSSAVAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKSALPIDPATDHIFHVTKDGVLLCKLINLAVPGTIDERAINTKRVLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRKNLNELAFCHINNQIQLLADVNLKSTPQLVELVEDSKVCEDLFFTFPTQKMQDSEAYACLLNVLAPECSAKPSAMSVKDLLHRARLILEHADRMGCKRYLTPKDIIDGLPNLNLAFVAHIFQKRNGLSIQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEMIDKIAPGSVNWKMANRPPIKLPFKKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILGAYQLHRSSGEGLRRRRGGELEIRAAAARVWGMPPVPPHGQPENDANSDSKHHVLVFEKANITFAGLGKWEFM >Dexi5B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:5B:1070359:1071148:1 gene:Dexi5B01G0001670 transcript:Dexi5B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGNNVPAAAPFSLVWGESPKLYLSYGTAALKGARPALTDAVAAVASFTALSPPMGLDYFAVFDARHLGAAVAEQLPAKLAGAIAEQVDDELTTENPRFVAAPHDMDGWWRTVVQKAFRAVLEDVAGNGNGAGEDALVAETAVVALVLEKYIVVASSGACKAVLCRGGEHVELAPERRFCHY >Dexi4B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:4B:19953258:19956559:1 gene:Dexi4B01G0017610 transcript:Dexi4B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDASLMQVMVSVASPSPMVRSEEDLGPPWLRPLLGTSFFVPCRLHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGGFDLGRFDRAGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >Dexi2B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:2B:10015753:10027668:1 gene:Dexi2B01G0009240 transcript:Dexi2B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPNLRASHSHSAASYDPPANDDKNKDGNKDGAQQNITNGSVPKPGVVAHQAHDALDIGTRKKPMVVLSIPDIELGAATRKGSSAALVAIPEALHVSILSHSLSLGMPELRTGSENRSGKQVMDKQFLEKVEAVRRSALEKKKGEDKKDYQAIDYDAPIDSDKSTIGFSTRVGIGVAVVVFGLVFAFGDFLPYGSVSPSKESSVVKQKLSQEEEAKFKDALQGFEATLSKSPDDPTALEGAAVSLVELGEYEKASTFLEKLVKVIPDKAEAYRLLGEVKFELKDYEGSSSSYKSALSSSDNIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLNEKSQTRLANLEAANDNGDQKPQDIDPIQVDLLLGKAYSDWGHISDAVSVYDKLITEHPEDFRGYLAKAKFFAPEAAKALVDRYAQR >Dexi3B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:3B:3576255:3577773:1 gene:Dexi3B01G0005350 transcript:Dexi3B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGTLAVIVNDPDKAYLSDEMHRTLQEVTRLFTTSIVSGRAIEKVFNFVGIKDINYAGSHGLDIKLSTTTESSSASGEIVEEGHSYQPAQEHLAAINKVYNSLLRATDGIDGATVENNKYCVSVHYRNVAKENQARVREVVEEVLEASGDGLKMTEGHMVRPPTRWNKGDAAVYLLENLGFRDPSKVFPIYIGDDRTDEDAFRALQGKDCGVGILVSEANRETWASYSLRNPSEVGTFLNKLIQAKAQMI >Dexi5B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:5B:10811682:10812095:-1 gene:Dexi5B01G0014400 transcript:Dexi5B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHHRPSRGDLLRPSSTAPQPSSTAYPKSPLFPRGIYTTALLPPQQFAVAMAPQAPSPTPALPAAAAGIRRRGGICGGGGGGVRAVRMGCFGDPEMKRRRRVAGYKAYGVEGKVKASLRRGLRWFKRKCSGILSF >Dexi4A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:4A:10744387:10745367:-1 gene:Dexi4A01G0012170 transcript:Dexi4A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVEKIVDWEKTSDERVEIQFKPARVILQVPADLVIDHSVTSDGVRSESAVQDNMELEFERNKERFACLKWGSSAFYNMLIIPPGSGIVHQVNLEYLDRVVFNTNGLLYPDSVVGTDSHTTMINGLGILGWGVGGIDAEAAMLGQICAKPMSMVLPGVVGFKLHGTLSN >Dexi5A01G0034050.1:cds pep primary_assembly:Fonio_CM05836:5A:36216682:36218765:1 gene:Dexi5A01G0034050 transcript:Dexi5A01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHKILNLPKDTSPQEIRAAYRSLVKKWHPDKHPPSSKPEAEARFKAISEAYEFLHAQALLDQQENRAVFGLCNDGRAGERVAGGAFVGGGGLGAGVGARMERTRSDDFCTRSAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLECTLEELCRGCKKEVKFTRDVVTKNGSIVKKEVSQTVMVKPGWKKGKQVVFEGMGDERPGCLPADAIFTVSEKKHPTFKRVGNDLVLKAEVPLVSALTGWSFTFRLLSGKKVSLAFQDEIICPGHERVIRGEGMPIPEQKGARGDLRVKLEVVFPKELTDEQRAGLAEILKGSC >Dexi8B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:8B:1407584:1421758:-1 gene:Dexi8B01G0002000 transcript:Dexi8B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPALQAAAAAPEQTDAERLDALDRMLTRLALADDARLAPVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESTSSTIVRNFCVVYIEMAFERLPTEEKGNIAPDLLTNISNAPAQHQGIILRLVTKAIGECNKHKVDDTIASKYRAITESNDGQVFSDFCFHTLLYQTPPQGIGCPSGLSIAQSDRVTGKIPLPGDILAPRKLGILNVIEAMNFAPETVYPLYLCAASDSQESVSKKGEELLKRKASAVNLEDPNLMKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGIPLLQYLTSQFCMLVHPSYLSLYFFACRKWNYFKAEAVRNGIYCMGVQTCMCTLHAVAGQLKLIGPVILTGILRSLDGSSTTETGLSTPPPPPPSDTEMLESDKTDMAIRLFTALKLEDQSLRLTIQEAATSLATAYKGASMILLKDLEVLLLENCEAEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDGRQSSTGSVDFNYPDVTEMINYICHQRPQLLDSDEQRNGKLIFPSKTFLSMIKFLMKCSESSGSPDLVQDLSHSHVEKMCVVLEHAMSYEGSSELHALALKSLVDLSSREPKLVSLRYADRIQWLKALLGHVDSDAREAASRLLGIASSALSRSAALLLLSEFTSTLGQNRPSRFENYHGLLCAIGYLTAGCLKQSYIPEVIVKNVAEILVKVVDSEGSALASVAMESLGHIGLRCALPSITRNSSTDALLTILHEKLSKLLSENDTKAIQKILVSLGHISWNETSFPHLNNALDLVFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERGGCEEAHAMAREEIIKKLFETLIYSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDVSMKEQLVHALVNTLTGTARKKKAIKLMEDSEVFQDGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALEPYLNTLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWITAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTPLLLKLLYSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVIPVIFVSRFDDDKDTSAVYEELWEDIPSSERVTLTLYLPETLSLLCDCMSSSSWAGKRKSAKATKKLCDVLGDSLSAHHHNILESLLKELPGRFWEIQPPPPGLQPPLAGLQQPMAALQPPSPPLRPDLAQGLAAADLQPPPTSSRRRPSSSHPWPRSSRCRQGWPGSSRRCRPWLALQPRLLVLPLVWARVGSHCCPPSSSPHL >Dexi5B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:5B:9068190:9071168:1 gene:Dexi5B01G0012750 transcript:Dexi5B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPPPVEPAAPPPAPPAPTEAEAEGEVAGASFSRTSAVGTVNWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTPDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIDTRGVHDVFNIAGAGSATAAAFGLICWIQLKLAEKANLEIANSKPSSDLTEGKGNQSRVGAAIERLEGSLKK >Dexi1A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:1A:27061044:27062219:-1 gene:Dexi1A01G0020170 transcript:Dexi1A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVYLPPFPELCVDASGNLAGDQAGDDAAATATATAVSSIDAALASASPSASVDGGGGAPLMLPVECQWSRRVRTFDVAGAGAAPACPAARRGGKKPSLAPLQGPSLTQAPELGPTLEERVSEWAARKTAAGVPAHHCVLPFLTGAPKAFH >Dexi5A01G0035390.1:cds pep primary_assembly:Fonio_CM05836:5A:37167993:37169851:1 gene:Dexi5A01G0035390 transcript:Dexi5A01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTWAVAGLALLAIFSYLAMARHHQSRRYPPVSGTVFHKLYHFRRLHDYLTDLSRRRKTFRLLAPGGRRLVYTCDPAVVEHILRTSFAGYGKGPFNYGNTRDLLGDGIFAVDGDRWRQQRAIAAHEFSTGPMREFSAAVFRDNAAKLAAVVSGYAAVQGLLQKAAMDSIFAVTFGSDLDTLDGDDEARAFAAAVDDASEFTLLRYVNPFWKAMRFLNVGPEAELRDRIKVVDEFVCSRIRARFEELTRQPGVVTTTKRQDMLSRFMEAASTTTTDDGGSTGTTKTKVDYKYLRDIVLSIVIAGKDTTVEALAWFFYMACKHPNVQERVWQEVGEATGVGEEDTSVEEFARNLTDEALGRMHYLHAALTETLRLYPPLPLNNKECFADDVLPNGFSVDKGDIVFYVPYAMGRMEYLWGEDAETFRPERWLDEHGQFQQESPFKFTAFQAGPRICLGKEFAYRQMKVLAAVLLRFFVFRLRDGEESSVRYRATITLLIDHGLHLTATPRRATV >Dexi5A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:5A:8861236:8862564:1 gene:Dexi5A01G0011790 transcript:Dexi5A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETITNTLTRLSDKLSRFACGFSPSAATTAAHHHHDPRDDWFGGLASLGTALLFPTISGDDDDESPCAPSSSSRVAAADRVLEEDEPPVVDGETNLPCVAFPSQHGYMVFSLADDRMLDGVQLRSVTGRRVVPSPYGDGSISVVITTDLSSFRHPSRFVDPFTGEESASLPDLPVPLGETGPTSFEPEAPRVQGRRRAAPPTDDGFAWDLSPCGAMVARGDTVFFCERGDDGGGGKWVPVQRSRSASDTMTVNYRGGFFFVLEQRALLTTVIDASTLEKVAEIRAPPLVGDDENDAVVDCVHLVASTEDVLLLVHRGRDMHCELFSEVYRARHKEQKPEWRKVTDVGDRALFVDRLHGFSVGVGGGEENTAGVRRNCVYTISATPVEDPHGRRVAVYHVEEFHVNWPEVGETMQCRLGACPVEQIWGEPYWMIPKNCIASS >Dexi2A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:2A:8598197:8600901:-1 gene:Dexi2A01G0008490 transcript:Dexi2A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAPTNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFEKCIEKRHKDAELNMGENSCIDRFLYVLISDEEVIKDYQ >Dexi6B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:6B:366926:367600:-1 gene:Dexi6B01G0000530 transcript:Dexi6B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKPLVLIFMALLPLTTLGSRAGPSPHHSHSPKRPSPPPPSSSSPPPPPPSPAQPTTLVRATCNSTTYPDLCVSTLLADPSSATADVRGLSVIAVTAAAANASGGAATAAALANANVNATANASSGDDATVQALLRTCAAKYGDARDALAAARGSIATQDYDYASVHVSAAAEYPRVCRVLFQRQRPGAYPAELATREEALRQLCTVSLDIITLLFTNSSN >Dexi3A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:3A:3940529:3943432:1 gene:Dexi3A01G0006100 transcript:Dexi3A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDASTTSTNFFGAICFFSFLGAFVSDSYIKRFYTILVFAPIEIMGYVLLAVQAYHPSLHPPPCDMLTNPSECIPVSGRNLSLLTLGLYLVPIGEGSLRSCAAALGGDQFDGDDPAELHGKISFFNWFAFCISLGGFIGLVFLVWVENNRGWGLSFVIAAIMLLVGTTVVSAGLPFYRHQKPMGSPLTRILQRKLSLPEDLTEMHAAAVNDGDRRRWSLCTVTQVEEAKIMIRMLPIFLSSILGNVPIPLLLSLTVQQGATMDTRLGATTMPPASLFVVPIVFQMLTLIVYDRAFVPWLRRATGLAGGVTHLQRVGVGFVFGVMALAVAALVEGRRRNSGDSTAMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKTIGGAIVFCILGVASWLGSLLIQVVNRATARRGGGHGWLDGANLNASRLDLFYWLLAIFGLVSFFLYLLCAWRYTYRHDPRMQTAIVVDEVSSSASTKDQATV >Dexi7B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:7B:23788402:23788803:-1 gene:Dexi7B01G0017870 transcript:Dexi7B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLDVEAGNGINTIGADTVTATDMAEATLDVAKLRRVLVTGGVGQAAAALYMVLFRTPAGVFLLSGPLLRAYYCVLAAIVLFGVAEAWTGLWLAHGGDSAPPRRRAVGVAVLWASVLPMLVLAGVGGLEVFK >Dexi6B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:6B:10145448:10149406:1 gene:Dexi6B01G0008190 transcript:Dexi6B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARTALARPHLPLPSSTRRSSRLSLTPSSAPGLRVVVVGGGATSIAAAAAPLQQQATTARLGDVIEAQQFDRDALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLNSQGVAWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLGVLPKHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGH >DexiUA01G0016580.1:cds pep primary_assembly:Fonio_CM05836:UA:35265684:35267425:1 gene:DexiUA01G0016580 transcript:DexiUA01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDVRLLVPTTFMNLSGKAVAAMATFYRINPDEILVAHDELDLPPGVAKFKLGGGHGGHNGLKDIISKLGNNPNFHRLRVGIGHPGDKNKVVGFVLGDLEMGFKCGIVGLPNVGKSTLFNALTKAGIEAANFPFCTIEPNTGVVPMPDPRLDQLAEIVKPQRILPTTMEFVDIAGLVKGASKGEGLGNQFLTNIRETEAIGHVVRCFENDNIIHVNNKVDPADDIEVINTELALSDLDTCERAIHRVQKKAKGGDKDAKAELAALEKCLPQLENAGMLRALKNLTEEDKAAIKYLSFLTLKPTMYIANVNEDGFENNPYLDKVREIAAAEGSVVVAVCAAVESDIAELDDADRDEFMAELGLEEPGLNRVIRAGYELLNLQTYFTAGVKEVRAWTIPVGATAPQAAGKIHTDFEKGFIRAQTIAFEDFITYKGEQGAKEAGKMRAEGKDYIVKDGDVMNFLFNV >Dexi7B01G0021930.1:cds pep primary_assembly:Fonio_CM05836:7B:26899113:26900682:1 gene:Dexi7B01G0021930 transcript:Dexi7B01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPRLGVSVSVSVPDATRPSDLSTAPYTLAQPNPAPRLLTWSSLVALCLVGRLSFSSSSASNDAAAVPWADLLPELCGLVVDHLDPISALRFPAVCTGWAATCKENNPLLRSGAPMLLTSGLDTEGVVTEHDVDAGAFGLHDVSATTGSGRSSFLGEAEGLKGRTWIGGKDDWLVTADYNLLLNLVTGDRVPLPPFDAANQQGVKLLDVPGYLHVTSEVHHWHKILKVTLCQTPAHLGGYVAVALFSDGLLASTAVGDKCWTVLKNPATASRLDLSYMDAIVIKGKLFAVNESGRVYSWDMSSKTMEPAMVQGPEVETTRHYGGFYLATSTRRQLLLVYVYGDTELFRDSRVHSRLVFNERWSFDELGMSLHELDAGGSGAWRRVTDLGDDRALFLGANHPFYITVPPGSEDLKANCVYVADTPSGYDAGVFDLNKGEEDGFVERQTYSFMAEPLQMPIWFRPTTHPTQGCW >Dexi1A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:1A:2220558:2227470:-1 gene:Dexi1A01G0003050 transcript:Dexi1A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRLLETTKQAASFVSKPAAPAAATPATSAAASAYKCDAFVAVAVAAAASKCDDAIADPKLSTLKKRSSKRRAAVTTAVTQASLLRLKQAAASKKTALPSSLPQAHPYGLDEDDPPEALTKVLMSVLDGPDEAEEATPSGAPVEDSEDAGEIATTNKILDFEWFQAPSSKDPTLQYRREIARERKKQYIFKNVESRRYTKLMQLCANKLGTESTVEFFEKLGRETGVKEFGSLIRLCLNKARDCKDVDSAVEYIYRAYHLFETMRDKGLTIEQDIYGPFLLYLVDVGLSEEFEMFTAFFKNSNPQSSRIAYYEMLHCIRVQDELKIQELCHSVEDYNEEAHYDIAESYMLAFAESGRKEDLIALLDLLDLTKVSGSKYISNIFKSLGRLELDNYAEKLLQGMSSKGCAYGDISSIILDYVANIPNVLISLALDVADRMCKSSSNVPIESFHPIIYACEQSDDFHTARAMYDLIRHHNLKLKSETFRSMISLFVKMKDFEGAYNILADAEESGEISTKNQSGAQMVMYQMQIAGVKPDSETFGYLIANCESEENISKYLDQLRQDGIQMTKHLYMSLINAYSRLGNFEMAKQVLLGEEIPRKLLSDIKSALVFALASNGQILDALCMYDDIKQSGGSLEPKAAIALIEHIRTEGELDRMHQLLDELNDSSSWIEGCGRVVLYCVQNNYPDAAIDLLKQLKEKDEMSTYMVVDQVFGQIWEMEITNLDLGMVLLHGVKDLGLNVSRTSLDFLLSACVKLKSSRHTQQIWSEYESAGLSPNVLTSLRMYQALLSSGGRKAAKKLLKNISKEDEHVRYIIDACRMTYYSEDFKPSATVRSRSKNKASSKQRATSEGTEG >Dexi5A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:5A:3605411:3605743:1 gene:Dexi5A01G0004770 transcript:Dexi5A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTTSSAFITLLRPAHGPRALTLLLLQLTPPTLYSTFVSPDLGGGRERERGSSERETGGGALTLLLLHPTPSAPYSAPAPPDLGRRKRKGEGAVYIRERLGRRNMEARR >Dexi2A01G0022050.1:cds pep primary_assembly:Fonio_CM05836:2A:33972101:33976143:1 gene:Dexi2A01G0022050 transcript:Dexi2A01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQDAPSGGGGKLSLASVGFAGPGAGAGGGGYKDLLVMALPKDDGLDGAKVAEVIGIRLPDVAGTMRTILGRREVREFASGALAGAMSKAILAPLETLRTRMVVGVGSRHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAVEMGTFECVKRSMAEAQEKWKEDGYPKIQLGNLKIELPLRFLSPVAIAGAAAGIAGTLACHPLEVIKDRLTISREVYPTISVAFSKIYRTEGIGGLYAGLCPTLIGMLPYSTCYFFMYDTIKTSYCRFHKKSSLSRPELVVIGALTGLTASTISFPLEVARKRLMVGALKGKCPPNMIAALSEVIREEGLLGVYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKQSV >Dexi1A01G0032510.1:cds pep primary_assembly:Fonio_CM05836:1A:37107155:37110127:1 gene:Dexi1A01G0032510 transcript:Dexi1A01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLSPEAAGAIIPEASSPETDELRWPFGRLDVGLSQDELRESAYEIFFSACRCSSTATTRPSGAAGGAAAKTTTTNMAVTSRVKRALGLRARRTRAMVGAGGRPLTSAEMMRRQMGVTEQTDDRLRKTLGRCLVGPHRKVESLVLPLELLRHLKASDFANAGDHRAWQLRQLRILEASAAACTPIRDTVRSAALHHQMTTTATVRAAAATVLSCRRSVDRWADGYPLNVHLYMCLLRAVFDARDQTAVLDEVDELLDLITKTWGVLGFNRMAHDVCFAWLLLDRYVTTGQVEPELLCAVLRMLQQLSSSNADDNNLLREPWHLRMSAATLASMHSWAEGKLLDYHEAFGDQQGSSNSLEDLVSLAVLSATMRLSSAAGSSSPSSSVAAAGEQVERYIKSSVRRAFTRLHEGAGKTMDSMIVEVDEDPCETLTYVAAQTKELARVEREVYSGVLRRWHPCPTAVAAAALHGCFGALVKRYVVVVSSSSSSSRMSSESVRALHAASKLDKWLHQMAAEDEPAGSGRQQHIYRQLVTESMAPLLDVDATISGLVKGWMDERLTMGAECVRRARDSETWNPRSKAEPYAQSAVDLMKLAKVTVDELLEILQLQVPSCSCREELLQRLVDGIDKLVHQYALFVASTGLSSKESYVPPLPPLTRCNQDSKLVQLWRCQVDGGFNCGGGVVATTSSRPRGDKPQQATSLGTQRLYVRLNTLHYLLAVLHSIDRAIIMASSPQQRQQHRRARSSTSVFDQRRARPALDAACLHVSELSAYRLVFLDSGHVLHQALYQGGVHVQPIRPALRVLKQSLAFLASVLSERAQPLAARQVMKASVEAFLTVLLAGGSGRAFSPADHGAVAEDFASLKRLFCSFGLPEEAVDTEAAQAEGVLALMALSTDKLIHELLAHYDPSPAPHDLAVPPTTRRWSRSDANTLLRVLCYRDDDAASRFLKKAFHLPKR >Dexi1B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:1B:3747080:3758858:-1 gene:Dexi1B01G0004690 transcript:Dexi1B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAPSPASELPFRISFSGHGGHLRLDPTPHPPSPIPEFVPLPAYPPGSPSSVKEYLEANYLNPELHLPTAADGGRVWDVDWFAMARPPLEPSAPRTMLVPAWEPPFRRGRGTSQSASESQVWDPESVQMEMGEVFGSGTGGIAPRMPGPAKDFVRGSINNRPFRPGGLLDDDAEAAALEKAFPEGARNGDWVRELMTGGPAQVAPPGFRKGLELGQLKEYKSHWKCFRNGELVEDQPASSSNDTMEKYSVQFDDLFKIAWEEDGANKALQEDGVQHLDEEKGTEGVGEQKIDALQDASETITKLDAEKHVLDDDPETQTDLDLVLSSEVKDTRRESGVSGDNKATQDGKVWALVGGDEDIVTDFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGETYKICERDTFLTQGFREAKDAFKKKNSNKFGVKPGPKSGTSAVVIFCFSKNRCDRSAESMFGADLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVAVQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVEDMLKRSFAEFHAQKNLPETEKLLLQMLRQPTKTIECIKGEPAIEEYYEMALQAEAHRELITEAIMQLPSTQQFLTPGRLVVVKSESVCLHHAFLFSHFLSVYQFLLDDDHLLGVILKSPSQTLNKHVVLVLTGDCASSALAPSLSIKTEQEPGDFQQGHFIIPKGKRGMEDEYFSSVGSRKGSGVIKISLPYKGDASGMCFEVRAIEKKEVMSICTSKIKIDQVKLLEDCSKAAYSKTVQLLIKEQPDGTKYPPALDAIKDLKLKDIHFVESYHAYHRLLQKMSENKCHGCIKLKEHKSLMKEQRVYKDELNKLKFQMSDEALQQMPEFQGRIDVLKEINYIDSDLVVQLKGRVACEMNSGEELITTECLFENQLDDLEPEEAVAIMSAFVFQQRNASEPSLTPKLAEAKKRLYDTAIRLGQLQTQFKVPVDPEEYASDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEVASNAIKRDIVFAASLYVTGI >Dexi5B01G0033230.1:cds pep primary_assembly:Fonio_CM05836:5B:33691014:33692339:-1 gene:Dexi5B01G0033230 transcript:Dexi5B01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVSYPEGWELIEPTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISQELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCRGCASGD >Dexi6B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:6B:11052285:11053067:-1 gene:Dexi6B01G0008730 transcript:Dexi6B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGGLIRIPDHAHLLHSRGACRLQVHWVVPVELIHGEVPLNLILSNLGIVDADLSLVTQQTLAHINGRGLPGVTSVLLEGKTKNGDLLSSNSVEHGGHNTVHKPALLMYTKFKMSFWKQDPPKPTLAFRNLGPILEGDPLRQECIRGKLGELSGPQVGGEDPVLRNPVGIHVLQSLNSLPALGSLPATDENSIRLEQVLNCCALRKELRV >Dexi3A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:3A:12777064:12784036:1 gene:Dexi3A01G0016860 transcript:Dexi3A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHQPRKRYTDFVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQHPWVGVVNRSQQDINKNVDMIAARRREREYFSSTPEYKHLAPRMGSEYLAKMLSKHLEQVIKSRIPGIQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVKKLITEADGYQPHLIAPEQGYRRLIESCIVSIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCATLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >Dexi6B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:6B:2018500:2020757:1 gene:Dexi6B01G0002270 transcript:Dexi6B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQPSSMETQAPGNHSPPKDDRSTQDNAVENWLPINGSRNGKWWYSAFHNVTAMVGAGVLSLPYAMSQLGWGAGVTVMILSWIITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGTSLQKFHDTVCENCKHIKLTYFIIIFASAHFVLSQLPNFDSISGVSLAAAVMSLSYSTIAWGASVHNGKVADVDYSLRASTTPGKVFDFLAALGSVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVVVAYIVVALCYLPVSFIGYWAFGKSVNGDILVTLNKPKWLIALANMMVVVHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLIARSTYVAFTMFLGITFPFFSELLSFFGGFAFAPTTYFICIILGVLLMVLAPIGGLRNIILSAKTYSFYQ >Dexi9B01G0028130.1:cds pep primary_assembly:Fonio_CM05836:9B:30740182:30741249:1 gene:Dexi9B01G0028130 transcript:Dexi9B01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMISFKAIALVALLCVVATCGAHAQESYNDTSARRELYYSSSSSTTSGSWRPARATWYGRRNGAGPDNNGGGCGYSHTNEAPFNSMTTCGNQPLFLDGKGCGACYQIRCTSKNNPSCSGEPKTVIITDVNYDTKVAPYHFDLSGTAFGAMAKPGLNDKLRNAGILDIQFRRVPCDYQGQQVKFHVMGGCNPFYFAVIVYFAGSDGAVVQVDLKEANSQTWRPLYESWGAVWRIDPGHPLKAPLSLRVRTDNKKVLLANNVIPVNWRGNSDYRTIAQFH >Dexi1B01G0026340.1:cds pep primary_assembly:Fonio_CM05836:1B:31222548:31232763:1 gene:Dexi1B01G0026340 transcript:Dexi1B01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRSLLLHPPLWSNSSSLGISASGVTGTGSCFVRRFTAVGAPRPHGPSRRLCRFYGSKGGVGSAEAHGASAASSAAGSSGRCIEQEHARLGEREQQEWLSGERFLTGCKRRESPFLTRRERFRSEFLRRVVPWEKGTLSWQNFPYYVNENARQLLSECVASHLRHKGVTSEYGSRLQSSGGRILLQSSPGTELYRERFVRALANELRVPLLVLDSSVLAPYDYGEDYSESEAEDEHAESEDEGSDSEMEDEGDEDWTSNNEKSGESDDEDALKSVEDLRKSVDDLKKLVPCTIEEFAKRMVGSEESTSSESSETSESPEEEKRPFQRGDRVKYVGDPAVSEADQRIILGKIPTQDGSRNAYTFVGGRTLSNGQRGEVYEINGDQVAVIFDPPTEKSHSDDEDITSKEENAKPTIYWVDSQDIAHDHDTESDDWHIAFEALCEPSPLKRLVGGIKGQKYSRSSDISKLFTNSLTVPLPEVLEEHELSCVELLHVKSDGVVLTKQKAEKAVGWARSHYLSSTNLPSIKGDRLIIPRESLDIAIERLKEQGITTKKSSQNLKVLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDAQNRMKILKILLAKEKLESDFKFDELANATEGYSGSDLKNLCVAAAYRPVHERLEEEKKGGVSNESSYLRPLKLDDFIQAKSKVSPSVSYDAASMNELRKWNEQYGEGGSRTKSPFGFGN >Dexi7A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:7A:22027487:22027756:1 gene:Dexi7A01G0011620 transcript:Dexi7A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLRAGSSSQRRQHPLPRPPPATTLACRGSPNTRSPSSTQLAATAVERPSPASTRNNAGLAVGLAGRRIWSMPAAPASYGAWSMPVS >Dexi9A01G0049140.1:cds pep primary_assembly:Fonio_CM05836:9A:51846979:51847421:-1 gene:Dexi9A01G0049140 transcript:Dexi9A01G0049140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPSVWDEEGVAKGVAADAVTPAPAAAMLASLSGWLSRTVQPPPPPTRVCGTEGGPPVTAPRLRLRDGRHLAYCESGVPRDTARFKVVFSHGFTGSRDDTVRPSQVCMFLRSDARLQ >Dexi1A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:1A:24868120:24869424:-1 gene:Dexi1A01G0017570 transcript:Dexi1A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIAPFLHPGLAGVAPRAAPVLDVRCPTMATYAEGVPAATWYGCSYEDDDASDIGALLRGIDAVVRPPMPSDLPKPSMDFLARSRHHGDHDAAFRAMLTGIHSVRVPSAGLMASVPMDAHDATPTTPVAVLEAPRSYGDDDDADAITTIKTTSPKNKPQQQKQCGAEYDADIDAAFRVMETDPMERPSEDYLSRTQAGAMMMTDRAELIEKMHRFSTYYELAPGTLHRAVSYVDRFLSAKKIAGGDRHGQLLLLGATAVFAAAKYEDRATSWRINADAVAFYAGTTRSEVLDAERELVAVLGYRLSGPTAYTFVDHFMRHHSHGDSQALLIIKSLAHHLADMALLDYRCVAFLPSAVAASAIFLARLTLGCCNLTVPVAGYAPQDLTECMEAIYEMHENVSVWPGCAEMMADFELTTRLTYSLPPSSLLIGTP >Dexi1A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:1A:22745084:22754568:-1 gene:Dexi1A01G0015630 transcript:Dexi1A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPALAVSGAALGGGAVVRAPRPTGGGGERRRGSPSLVFFTGGARGGGSGARGAMRAAAASGKVVVPEGENDGLTSSTDSAQFPSDELEVPDIPEGQIESSETTRRAREGDADALNREVLGSALQEKPRVVPPPGDGQKIFQIDPMLQNYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGVTYREWAPGAHSAALVGDYNNWDPNADRMSKNEFGVWEVFLPNNADGSSPIPHGSRVKVRMDTPSGIKDSIPAWIRYSVQAPGAIPYDGIYYDPPEEEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGNWEVIRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSGMPTFALPVHDGGVGFDYRLHMAVADKWIELMKQSDESWKMGDIVHTLTNRRWLEKCVTYSESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPTIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQRLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEAKYGVCFMTDDHQYISRKHEEDKVIVFEKGDLVFVFNFHCNNSYSDYRVGCRRRGMYKVALDSDAGLFGGFGRIQHAAEHFTTDCSHDNRPHSFSVYTPSRTCVVYAPAD >Dexi1A01G0028690.1:cds pep primary_assembly:Fonio_CM05836:1A:34315282:34316897:1 gene:Dexi1A01G0028690 transcript:Dexi1A01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSEDALPRRAHAVLIPQPAQGHVTPMLHLAKALHARGFHVTYVNSEYNHRRLLRSRGPASLAGADGFRFEAVPDGLPPSDNDDVTQDIAALCLSTTEHSAAPFRDLLARLNATTGCPPVTCVIADGVMSFAQRVAEDMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTEIDWIQGMPGIRLKDIPSFIRTTDRDDVMLNFDGGEAQNARKARGVILNTYDALEQGVVDALRREFPRVFTVGPLAAFAAHAGGELDAIGGNLWKEDTSCLRWLDAQQRPGSVVYVNFGSITVMSAAQLAEFAWGLAASGRPFLWVIRPDLVSGENAMVPEEFIVKTKERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMLCWPFFAEQPTNCHYVCAKWGIGMEIDGDVRREEVARLVREAMDGDSGKAMRVKAMAWKERAREAVEKGGSSRKNLDRLVQFLITGNADVPS >Dexi5B01G0022490.1:cds pep primary_assembly:Fonio_CM05836:5B:24810176:24810500:-1 gene:Dexi5B01G0022490 transcript:Dexi5B01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSATTANRSYTCTRFTAREGRWGRLIVTVDGRFAGCSVLAADELKSDADIHPDDNVRQHRPWERGRLLGVLGGENKAGREGHGKGGGGRAQTAREHR >Dexi1B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:1B:4381764:4385007:-1 gene:Dexi1B01G0005390 transcript:Dexi1B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDPIVSKEWTDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVASIIVHRHFKSQIDPYMIGGLAEIASGRRLFVDTYDRKTKETKVGIMQVAPEVAQWLGRELGYKSYNIEDNPNLLYWPLVNVYFGAAYAKWLFSCDDKQRTEEFVVRAYKGGKKKASHKSTSPIFQRYLYVKESLLSIRQPEICNELTPDLETLSSTEAQLIYWDSKVSEADMDAMWKHPDVYKEWTKSGERRGNVRFSHDAKKRPYLSRVEVKVNLVINICTNFCISAVAEIIISRHLSTRGSKPEALSALAEVCSMRFVHGVSTRTGLMGIDYPTAAWLSRQV >Dexi3A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:3A:3683231:3683447:1 gene:Dexi3A01G0005690 transcript:Dexi3A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSSSSTAGRRSLSGERHGGGGMRGERHGGAGLRAAEAVGPRHVGRWRTAATAPDLAPGEGLRWL >Dexi9A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:9A:2616595:2617026:-1 gene:Dexi9A01G0004770 transcript:Dexi9A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPYQGPPYAQPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVS >Dexi6B01G0016580.1:cds pep primary_assembly:Fonio_CM05836:6B:23870056:23873154:-1 gene:Dexi6B01G0016580 transcript:Dexi6B01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRVAHLRRRFRTVRGVEQPSSSDETGKRVFFANKPYLPSQTPAGLVSYRKKDLEEKRGNGHGERKSTDRIYDYDTYDDLGDPDANPDKARPVLGGSKQFPYPRRCRTGRPMSTKYPDTETRKGSNYVPRDEAFSEVKQLQFSVTTLQQVLHAAVPAVQSTLINPNLEFPSFFVIDKLFEDGVKLPKAEDLGFLRSALPRLLQTLRDSPGDQVLLFDLPANVKKDKFGWMRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITAEVLERQMGHVMTVAQAMKQKRLFMLDFHDLFLPYVHKIRALKNTTMYGSRTIFFLCDDGTLRLLAIELTRPASPTQPQWRRVFTSSTDTTESWLWRMAKAHVRAHDSGHHELVSHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMEINALARSALINAGGIIELSFSPQKYVRGRLGNQKQEQQEQPSVMYSCGGRRTEEV >Dexi2A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:2A:14642380:14650243:1 gene:Dexi2A01G0012620 transcript:Dexi2A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASPPSRPAAAPTAIPVPVPILLFFVPSATPYAAAAGSVGAAAVATAGAIVPIAAGAVGATGARLRRVSHRSRVGAVGVVVPGGLLDGDADVEAAVGPTRGPLEAEAAGGFPPNPGVVEDVAEREAGGSDERLDALGGVGVDDVDVEHGVVEAGGGGERDEDLLVGFSPPSTSTRVVHLAPESIQRTAYGLRSPLRQLTFLRSRAEPTVTSTS >Dexi4B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:4B:9960752:9960966:1 gene:Dexi4B01G0012220 transcript:Dexi4B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAALISTCVAARPTRSASYGLHAVAAAASAAGAARGTAPAMMWVETERELRGSELCPESKLG >Dexi3A01G0026600.1:cds pep primary_assembly:Fonio_CM05836:3A:22877180:22885125:-1 gene:Dexi3A01G0026600 transcript:Dexi3A01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAKLERRVMMAVKASAARGDPPLLQAAEAAASASCGLALAEALVSNLCFNHNTAAMWKLLDQTMSSRLVHPLHTLALLTARVVPKRREQPEAYRLYLDLLDRYAVAPVYAERKERKSMLAKSIDDAMKIAPRYGFQQLDFGHAVILFVLNLVETLIDCILDDCGLLNISAHEHDNLCFKIVVGNMLHLIVEACIARNLIDTSAYLWSGYVVPLEESCRAQESPWSALIEGSPLMELKDALMVTPASSVAELEKLYSFAVSGSEEEKLMASKILCGASLLRGWNIQEHIVQMVLKLLSTFLCLDSGSDGRYVQHMPMLHALISGISSVDAVHILSMYGLVPEVAAMLMPLCLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAAMLPVVESEINQVLAFAGVNINISSQQRMSMPVSTNHPFLYVAYIITIFIFCASYNILDSKIMNHNLKCSLDPST >Dexi7B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:7B:26062291:26067034:1 gene:Dexi7B01G0020800 transcript:Dexi7B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANGMVWARYNNAKHHQTLATRTRGPFLLLRCFLIVLLAFASVAGGDGTASWLLGVVSDLRLSHPPPVATVPLGTGNNLPFSFGWGKKNPATDKAAVKSFLGQKTKHSIKLIFFCFSWHIIMRMRIPQEGPCDPIAPLDLPHSLHAFHRVSSSDSLNVEGYHTFRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSKYSEHCPTCKGEDHEKTSIRSIICLNLPSFSGGLNPWGTPSMRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGTTEHTFMRIDGEPWKQPLPKEDDTVVVEISHLRQVAMLANEPCRSKSVNDPSSLSCHSHQEDDDSNSLEDEDEWEDGRKKFGAAATFKLPDEVEVDISHLS >Dexi7B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:7B:18630505:18638053:-1 gene:Dexi7B01G0011630 transcript:Dexi7B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHASAAQLYVQQLHCISVLHWCSLLSIFWLVIWYFLLSIMTVIKEKKIFCSTMEIGGFVNWRGNLVKREVHGGVWAAWFIYFLTVVKNMVNVPISLNLVTYLRGTMHMGVSGSATTVTNYADATSGFALIGAFLSDSCITRSKTILLFGPLLFLMVMLAIYDRFIVPFLRKRTGYASGITHLQRIGIGFASMILASVIAAVVERKRKEAAVQMSLFWLAPQFFLLGVSNVTAYPGLLQFFNSEAPRGMKSIATALFLCAIGLASLLATLLVQAVNSSTRHGQKGGWLEGTSLNNSHLDRFYWVVAAVGLFGFVNYLYWANRYVYNQDPLIIDEPSVDEDSP >DexiUA01G0022840.1:cds pep primary_assembly:Fonio_CM05836:UA:46586656:46588394:1 gene:DexiUA01G0022840 transcript:DexiUA01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKLKVAIANVLKEEGFIEDFKVEGDTKPELELTLKYFQGKAVVESIQRVSRPGLRIYKKKDELPKVMAGLGIAVVSTSKGVMTDRAARQAGLGGEIICYVITIKGKNGELTRTLNKAVEVKHADNALTFGPRDGFVDGWAQAGYRAAIKGNAVGLSLGFSHPVEHPLPAGITAECPTQTEIVLKGADKQLIGQVAADLRAYRRPEPYKGKGVRYADEVVRTKEAKKKHWQMLPVKLAFSSKPASEGTGIIAGGAMRAVLEVAGVHNVLAKAYGSTNPINVVRATIDGLENMNSPEMVAAKRGKSVEEILG >Dexi5B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:5B:16131955:16132260:1 gene:Dexi5B01G0016600 transcript:Dexi5B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWRRSMLVVSTSSRRAFLAAGLAADGPGGYHPAESVREDAVATDARTATALSAFFRVVEGLGVRNTEDGEEEGQKGAGNVAMAAAGTSIPCPAAAGFRC >Dexi7A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:7A:30171783:30172602:-1 gene:Dexi7A01G0021610 transcript:Dexi7A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPIPQSLPARHDARSSPPPLPPPLALDVAVIVGLLTGMLLALFLFLVTASTAASPATAIPSLGLGFPSSSCERCGSGLTGSAVGALPAVRFGEIGGGGATECAVCLGTFDDADELLRVLPGCRHAFHAECVDTWLLAHATCPVCRSRVVAGVATGDAAVADVSIAVVSDDPDPAAARLDATGDSAPSSAGMMVVPGRRSAGDEEVQVVVVVDRPCDDRRWSTDGLVGRVAYLEAARHRRDLGVLAPVSSAHGLRGSRGVVTLTPRSC >Dexi4B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:4B:20821415:20822988:1 gene:Dexi4B01G0018500 transcript:Dexi4B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSLARAAPLAVAAGAGARRLPAASIAFPTKSFFGAPLAATAASVASPLPRKPVTSTSLAVVAAGKKGYKMKTHKASAKRFRVTGRGKIVRRCAGKQHLLAKKSTKRKKRLSKMVQVNKSDYDNVTGALPYLKVNRKAD >Dexi6A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:6A:4247944:4248495:-1 gene:Dexi6A01G0004670 transcript:Dexi6A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLILVLQLLDLLRHVVLLCLSSLGLAAPPSADDHPAYAAPPPDLWALPPSSSPQHAAAAAAVVPPAPTPAAIKARLPAVRYADLRRGSPAEPTPAVCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRALLLPGGEGEDDAAGELLLAASSSSSFYF >Dexi9A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:9A:1854286:1855967:1 gene:Dexi9A01G0003470 transcript:Dexi9A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTMMSAAELTGVEDTGVDGVSAPMKPLAPVTITVSPFLSSPLAISSSLLAWPSLSCLRADLSRGVVLRVAECVSQAGVFKAEEAQFSHTSGIPPNPITTRSLRKNPVRPTAVLASQSESRNRDSLTHGLRPRCRSAPRRSTAPSPLRRSTAAVATGSLLRSTSSPDHAFKLLPPPPRAVSDRRLAAAAPSSSVW >Dexi6A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:6A:27778792:27783090:1 gene:Dexi6A01G0020260 transcript:Dexi6A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRETAAAVELAAGREDDEGWYGIDVAATTTAKGRKEPPPLTHDDNRGFLRMLRDKKERLGVEAAKVEVRFERLTVEADVRVGRRAVPTLLNCAVNAAQFKGKVFYNGEEMNASTPQYLRAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFGKASSGILNVIENTSVSYFPLESSEMLGEAIRRKKGVINKVDQDLDSFIKATTFGEGSNLTTNYIIKVTWLFVQFAIYLDKMIVTVDYIQILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIIKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVIII >Dexi8A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:8A:8631281:8632216:1 gene:Dexi8A01G0007590 transcript:Dexi8A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARKAKLKNHLVSAKAKLKHHVTPRRVVLLAASSFSVFLLLLTLRTLHSAAPRGATSAAASTPTAIAGAQQQQKTCTSLPASVAEALVHYATSNETPPRQTEAEAGAAARVLSRRAPCNLLVFGVGPDAALWAALNHGGRTLFLDSDADRIAAARASGMDLDAHPVTYQQQTISDELLLAVRNSSNCVTPATKGLSPEQLENSACAVAPRGMPAAFYEEEWDVIMVVGGADESSPVVVVPGAVYTAGVAARTRRPGTGETDVLVHGVDGAAEESFTRAFLCEEYLKEEAGRLRHFVIPSHRDKEAMAFCP >Dexi5A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:5A:19160369:19162033:1 gene:Dexi5A01G0016640 transcript:Dexi5A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRASTRSLTFWSSSANSSASRTIRSISSGLSRLSSLVMVIFSDLPVPLSAASTWRMPLASTSKVTSICGVPLGAGGIPERSNLPSSLLSLVMLRSPSNTLMLTVVCLSWYVVNTCVFFVGTTVFLAISLVITPPTVSMPSRRNVEEDHVFGFLVDVAAEDAGLHGGAVRDGFVGVDPLVGLLAVEVVLEQLLHLGDPRAASHEHDLVDVALLQAGVRHGLLHGHHRLPEQVVVELLEARPGELLGEVDAVEQRLDLDAHLVLVAQRALGALALAAQLPQRAGVAGDVPAVLALDELDEVVHDALVEVLPAEVGVAVGGEHLEDAVVDGQHAHVEGAAAEVEDEDVLLGALLVDAVGDGRRGGLVDDAEHREAGDDARVLGGLALRIVEVGRHGDHGVRHLLAEHHGAHLLRAELAHVPVPDLDAHVRLAVPVHHGVGQQLHVALHHRVAELAADQPLGVVDGALQVRRRLVLSRLTDEALAAVREGHPRGRDPVALVVGDDLHVAVPVVPRSMPITVPVFLSPAPPPSADGAAA >Dexi5B01G0026010.1:cds pep primary_assembly:Fonio_CM05836:5B:27878743:27883171:-1 gene:Dexi5B01G0026010 transcript:Dexi5B01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARALPRLLLLALAISSLSIVSTASGKHEVYIVYLGEHAGGKAEEAILEDHHALLLSVKGSEEEARASLLYSYKHTLNGFAAILSQEEATELAERSEVVSAFRSEGKWVPHTTRSWRFMGFEEGLKGPDGSDWLPSLDKSSGDVIVGVLDSGIWPESRSFSDEGLGPVPARWKGVCQGGESFSSSSCNRKIIGARYYLKAYEAYYKGLNTTYAFRSPRDHDGHGTHTASTVAGRTVPGVSALGGFAAGTASGGAPLARLAVYKVCWPIPPGPNPNIENTCFEADLLAAMDDAVGDGVDVLSVSIGSWGNPRFADDGIAVGALHAARRGVVVSCSGGNSGPKPATVSNLAPWMLTVGASSIDRAFDSPIKLGNGVMIMGQTVTPQVLPGNKPYPLVYAADAVVPGTAANVSNQCLPNSLSPNKVRGKIVVCLRGTGLRVEKGLEVKRAGGAAILLGNPPAYGSEVPVDAHVLPGTAVSAADAKTILNYINSSSNPTATLDPSRTVVDVRPSPVMAQFSSRGPNVLEPNILKPDITAPGLNILAAWSKASSPTKLDGDHRVVQYNIMSGTSMSCPHVSAAAVLLKAAHPDWSPAAIRSAIMTTATTNNAKGGPLMNGDGTVAGPMDYGSGHIRPKHALDPGLVYDASYEDYLLFACASAAGTHLDHSFPCPPRPPPPYQLNHPSVAVHGLNGTVTVRRTVTNVGGPGRARYAVAVVEPAGVSVKVSPRRLRFSRAGEKKAFTIRMEAAGGRVARGKFVAGSYAWSDGVHVVRSPIVVLVA >Dexi3B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:3B:1471657:1474019:-1 gene:Dexi3B01G0002080 transcript:Dexi3B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYIVNTDEDFLHEVYCEKLCEASESERPELRRKLDDILYNEERPYDRGISEDLLSVKRAVDEELTTVTGADISKRPLWHTYPGSRPPRPIVQRPDSIWKPSTPATPREEHKHAGTGWLGRGRGNAAQTQLTISSSYRKGFSQSRGSSSRFDNSAGALWTAGGGGAGHGRRRW >Dexi1A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:1A:2291728:2295524:1 gene:Dexi1A01G0003170 transcript:Dexi1A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKAGMANLTSGFASIKCSGVMHVDKGHMQASGLPFLSFRRCAQLDISRLGSVPRFVGARSTCASQQHVRHKISAIRASTLSCLQDDTTKFFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMEDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQKNGEIYCYGVDTIDIETQKIVRIISKVTLLASGGAGHIYPTTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDDGLPIKPTTKRENAFLITEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPRDKILAHFPNIAAECLRYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADDDAGASLAAARWARPTLPWPTLGGGALSDIAERTRRTRAELQSVMWEYVGIVRSTGRLKQAEWRIGDLESEWEEFLFRRGWAPTMVGVDACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESRRKPTVIFPDAVQELTWSSKPLQRQLQCK >DexiUA01G0008200.1:cds pep primary_assembly:Fonio_CM05836:UA:15380856:15381216:1 gene:DexiUA01G0008200 transcript:DexiUA01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERKFIGGWEEPGTSKLLEEAIDARIPSHFVQASRSNLNISRRVNPSHVHGMIPPTSSPISAVPRAHV >Dexi2B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:2B:3565634:3566320:-1 gene:Dexi2B01G0004020 transcript:Dexi2B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGDPRAPSPPSTKGADGVRPASLLLSARSLPNLFSTDTPMSPISMAEQSKNPTCSGARNGGTVRSGSSHCGGIGSPAAGLAGVLVAGEVDDVGCRNNGRVLLGMRRLRVQLPPPPGKGSGGGDLPGSPIEFGVKNRDAQLALLSPVQRSPLSSSAARLARRSEVEELAEEDYTCVIARGPNPKMTHIFEDRVVESRAGAGDDGGSDACRLLTSCSVCKKDALLLQR >Dexi9B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:9B:2943032:2946302:1 gene:Dexi9B01G0005020 transcript:Dexi9B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEIPNPYWAPPQPQAPAAGAVAAPGGGGGGAGDVEGAMNRCSSEWYLQKFLEEAVLDSPGPVAGVGGGGVEAAERKPLGVAAAAGTSSASVDPVVYNAMLKQKLEKDLAAVAMWRASGVTPPERPAAASSLPNVDVSHAGPVNPIGGNGIPVQNKLAGAPVGGSAPQVVQNADILVKQATSSSSREQSDDDDMEGEAETTGNGNPVQQRLQRRKQSNRESARRSRSRKAAHLNELEAQVAQLRVENSSLLRRLADVNQKFNEAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAVSDMSSLSMPFNGSPSDSTSDAAVPIQDDPNSYFASPSEIGGNNGYMPEIAPSAQENDDFVNATLAAAGKMSRTDSLQRVASLEHLQKRMCGGPASSGSTC >Dexi1B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:1B:993161:995829:1 gene:Dexi1B01G0001300 transcript:Dexi1B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAAIHRSGALLLLAAVLAVAAFSASGIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGKKEWVKVTDWDSFQTEKKAAARAHSKNLSTFCGRLLEETEDELSEWIKTSSESENVSKALCEDIGKHCRSTSATIQIDDEL >Dexi2B01G0035090.1:cds pep primary_assembly:Fonio_CM05836:2B:42191729:42201806:1 gene:Dexi2B01G0035090 transcript:Dexi2B01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPNLAIPNPEVSDSPIRPRPGERSPPIGERGFQLLLAAPPELASAPAGVPAAVGEPLRWAPRGPGWMLSVRRRQEDAHARGSVVAQLRGGSMDDDAELEEGEACGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAANVSSKSPFHQSFEVPKFVLGTSQNPSAVAVPPVPQNNGSVVPFSGDSNKKEIRSTTKAERSSGPSGSHDSYGPSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSIEDSPDGCGSLSPEVNNMPHESPRTILQIMTCFSVPGGFLLSPLPANILQLTKKVVAPSKKWESNVEIGNVQETYEGHVAKKVKSDGKKKKQTDAKNSKNRNDVSAVMKKEIDIETVDGQKIVSEALNIALLSDSRAMEMKGENRLEEEPTENILSRNKDVRLKERAIKSDSMTTKAEPLIAEAIECLENSSFGSSEMDMSATKGELKPKTEKGETVLEERNTTSDKNQTLDRKQEKKIKPESKCNASNFESSNIINERAPVVSRSMGKIPGKETLPYDTNGENISKSEAKKMQREQKANTSMSSDFLEDEKHIHSSAAVKERKNDMQSKSSHTGKKPKAKSHREFRDHLPEGSYAGKEQDTLENESGFGDPRQKERSWKNDSERDSDVPGNSRRDISSSIKHDRHTASEEQKMHIPPPTTVSTTNTAPTLPAPVVIEEHWVCCDICQKWRLLPYEMNPSSLPKKWKCSMLHWLPGMNKCEISEEETTNSLNALYVIPAPANGISSVGHPHVASSGLVSSITSTLNGHVDQSRKRKSLLSDGHFLSEGSHQVQASVHPMSNQHAPSKNKSYADGSQYPIERDSVSKLGDPTTEKKKSKSKHRSSYSDGGDLVEKSKKHSKAKSKRDMDHDEYKASKKIRKEERHHFDRDRNPGCDLASGDVPDEAKALPVKTSTSKGSGERSEVSSSKQKNVSRHNRLENSKKARQEDVLVPEDDKEYFHQSDLQKSDMSSKKRIVKEWEESQYNSVPHVSKGATMNHISAIKETYKDQNLKEAKLKPLKSEEPISATESKPGKIQHADQILSYGGGHMAGELVEDNTPFSGKRGPPELENNLCDQALDLGEPAPIDVAYAQTTAVTSSSSKEEGKELESTRLYFEAALKFLHVASLLEPISFDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQTVVQTAPGESPSSSASDIDNLNNNGLSKGSSSKDANSPQVAGNHLLLAARNQPHLMRLLAYTNDVNGAFEATRKSQLAIGSAAGNHENGMDGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >Dexi4A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:4A:23687615:23691063:-1 gene:Dexi4A01G0019840 transcript:Dexi4A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAAAAWQRLLTAVALVVLASATTAAAQAQTEADVLKAFRAALVGPGGGPPVELDKWVDPQAGPPCSGDGTQKWVMVKKCSGGRVVNLQLEGLRLQGATPDLRLLAPLQGLRALSLAGNELTGAFPDVSPLPALRSLFLGKNRLSGDIPDGAFAALKGLMKLDLSSNGFTGPIPSSIATSGKLVDVNLANNNFSGPVPDGLQNSGAKLHVEGNKFICGTQDGPPCPSSPPPAKSSSGSMNVLITIAIVVVAIGALLAIAGIFAAIRARRNEPRYAGGTETLGGSPDAAAKAIKITSAPAVKIEQQSGMDSGVVTPASAKRGGGGGRREDHGKLVFIQEGRARFGLEDLLRASAEVLGSGNFGASYKATLLDGPSLVVKRFKEMNSVGREDFAEHMRRLGRLVHPNLLPVVAYLYKKDEKLLVTDYMVNGSLAHVLHGGARSTLPPLDWPKRLKIIKGVARGLAHLYEELPMLMVPHGHLKSSNVLLDATYEPVLSDYALAPVVTPQHAAQVMVAYKSPECAAAQGGGRPGRKSDVWSLGILILEVLTGKFPANYLRQGRAGRDDLAGWVNSVVREEWTGEVFDKDMRGTRSGEGEMVKMLQVGLGCCEPDVSRRWGIEEALARIEELRERDGGGDDSSTASSFVSDGEPAMAARHGEPLSHSSA >Dexi6B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:6B:21927729:21929069:1 gene:Dexi6B01G0014370 transcript:Dexi6B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVLLLTLLVPAMSLLPVAHCNDNVGFHLTLTHVDAGSSYTKLQLLNRAVHRSKARVAALQAVAAATVAPVVDPITAARILVTASTGEYLIDLAIGTPPMYYTAIMDTGSDLIWTQCAPCLFCTEQPTPYFDAKRSATYRALPCRSPRCGQVSPPASCFQKVCVYQYYYGDTASTAGVLANETFTFGGSGNSTKVRATNVAFGCGSINAGDLANSSGMVGFGRGPLSLVSQLGPSRFSYCLSSYLAPRPTRLYFGVFANLNSTNTSSGSPVQSTPFVVNPALPSMYYLSLQGISLGTKRLSIDPIAFAINDDGTGGVVIDSGTSMTWLQQDAYEALQSELMSIIPLLATNDTDISLDTCFTWPPPPNMTTVTLPNLVFHLDGANMTLPPENYMYMDTTMGYLCLVIGPSAVGTIIGNYQQQNLHILYDNANSLLSFVSAPCDII >Dexi7B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:7B:15607555:15610762:1 gene:Dexi7B01G0007840 transcript:Dexi7B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGETVVVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLLYAGPASLVWGWVVVSFFTWFVGVAMAEICSSFPAYAGSQVLQSIILLCTGTNKGGGYLAPRWLFLVMYIGLTLIWAVLNTFALEVIAFLDVISMWWQVIGGTVIVVMLPLVAKTTQSASYVFTHFETAPESTGISSGAYAVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYDTSNETAGTFVPAQILFDAFHGRYNNSTGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGIPFSSVWRRIHPKHKVPANAVWLCAAVCALLGLPILRINVVFTAITSIATIGWVGGYAVPIFARMVMKEEDFRPGPFYLGAASRPVCLVAFLWICYTCSVFLLPTTYPIKMDTFNYAPIALGVVLGLIMLWWVVDARKWFKGPVRNIDEHNNGKV >Dexi5B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:5B:20921690:20921902:-1 gene:Dexi5B01G0018560 transcript:Dexi5B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSAATPRETHPEHKLTAAVGKSKRTKQAKDPEQYHGRIRLGSERTTDPSAPAEETPPTARSGAVEAAN >Dexi1A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:1A:21243470:21246598:1 gene:Dexi1A01G0014540 transcript:Dexi1A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRLPAAPWLVAAVTLLVAAARPAGAAWCIARSGASDKALQSALDYACGPAGGADCAPIQASGLCYLPNTLAAHASYAFNSIFQRSRAAPGACDFAGTATVTVTDPSYGSCTYPSSPSTAGQSTTPGSSSTPSNTFKSPPSTGGGLSPPDIDSTDGAEAPAIASFLSLALSCFMYMFLQLW >Dexi2A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:2A:29959435:29961175:-1 gene:Dexi2A01G0017990 transcript:Dexi2A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEATISPRSALSLSLLLLCISLLAMASSSHAQQQQPQPGAPVPVFLFGWQDYRSTFKAGDTAAITVRPLDFPDAASRRSSLSFWVSVNGKRGNSSYVTDVAAHLGNDPNFWSITFVPLRAGDFVLLVAEERYSVAESYLEFGVLPATTVHPSASRASWMFDDGACVVAGSRAFVSVSPRDAFSNGIARGDDMPDYFKVLGTYINGSGTVELLNFHYNGWVADGRIGLEFVPNVAGDLLVHVYGDNRELRDSPLMLTVKPVETARNLSIPVNLKMEAVADGTQLLSFDVVQPGEFVLTVFDPQLNKRVSDTQRFSS >Dexi4B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:4B:1040811:1041687:1 gene:Dexi4B01G0001720 transcript:Dexi4B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHHQLDEHGDDDDRHGSQITPLLQPSAPRSSPEEEWENSPVEQVALTVPVGDDPGTPVLTFRMWVLGTASCAVLSFLNVFFGYRKEPLTITAVSAQITVLPVGRLMAAALPERAFFCGRAWEFTLNPGPFNVKEHVLITIFANAGAGAVFGMNAITSVRIFYAQHMSFFVALLIIITSQVMGFGWAGIFRRYLVEPPAMWWPSNLVQVSLFRYHKPAIVMLDQ >Dexi4A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:4A:17157007:17159906:-1 gene:Dexi4A01G0014740 transcript:Dexi4A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTSATLAVLLLAALAVQQQLAVPARAAITCPDVVNDMEPCLSFLQGGATFPSAACCAGVRAVYAAADSTEARRDTCECLKNAYNQINAQLGAAQALPRDCGLNLGYTITPEINCQTLLVARPTNTPPLPHFNTAPRSSLYNTDHRSSAMKKITTTPAFAALLLAVLAVQHQLAAVHARADHHHHVSCSGVINDLSTCLDFLQGEEDRPDDQCCQGVKKIFAAADTTAQRQATCECLKSAYNLVDADLYATQMLARSCGVPLSYTISPDVDCSE >Dexi2A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:2A:10383870:10393030:-1 gene:Dexi2A01G0009570 transcript:Dexi2A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQTGQVEESRLHSGADAGTCSAGDDDLAVVARCLLMDSYEVKELASSGASGAASAMCLDESCEHESTQPAKKQLKRKMISSELDIVDKESAAAEGQQEIDALYEYYREVSGHNLNSEELASMKDDSIIACLLEESSLPYAKLTDKIYKKMKLQDGITKSSACNSVLNIGRRYSYGICAMDVDELEDDSDSRLWCWEMCKGMLIFYFTGKLAGEDTPNPCSSQNSSSVNTMEVLNLYEICSFVEDLKKKNDADIMKIYSKTKAQVLQATRKAMKKQQMMARQIDKEYKKKDREVKHMKDKAEREAKRIERENKRLKKHLEEAEREKKRKEKEEAELKKQASIKKQANLMECLFIRKPNNNIGSSGSHHSEKTTSSKPSAIIEESPVPATSAMDWTLSQAEKIRAEEYWVAHVSRWRKHSQHNRMLHWGVRRSPKVQLFPELKLQKSSAAPSNNMSTPTKEQASEESTGSLDFTKLLDELETPSRGKDNHSRTALNSISSSVFLVKKLLQFDRSFRPAYYGTWRRKSSTVSSRQPFQRDPELNYDTESDEEWKEDDSGERLSDFEDDDESLNEHDSKIDVEEESENSFIVLNDHLSEDEPFNFMQGVKESVKSDESCSMQFLRRATERALSRERPVVISNLDHSKRDLLKAEDATAEKLCLQALCMKKYSSGPIIDVPVDVKMTMKDQEFCQSNKKIPRTPVPSKSLSDSDMPEFAKLVKSCSHGMVKLVDLLHERHPCISKAQLKNKVREIAEFTHNRWQVKEDILDQYSLCLSPDTSGSLNCAAPHFSQQCQPPDEQGKTGASSPHSALKPNVSRQQISAQGSSGRAPHPDP >Dexi3B01G0036140.1:cds pep primary_assembly:Fonio_CM05836:3B:39032487:39033083:-1 gene:Dexi3B01G0036140 transcript:Dexi3B01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPHPPMLLIAGVFLALLFSTATTTSTSPPATPLAPPSAALDEVCGRLGGYYVTPTHCSSTLCSSTSPCRTLRDAPSVATLAARLAADNATAARDSIQSALSSSSSSAAAAAARSSCLQLYSGAVPALRWAAKAVAEGRYRGATEVLQAAQYVASGCEGMAGDAANAVLPRENGGFGDMAFVAHAVVASMAAAD >Dexi6A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:6A:5359612:5369687:1 gene:Dexi6A01G0005840 transcript:Dexi6A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGQLFSVDPLERQAARGHGVVTSMAAGSDVIVLGTSRGWLVRHDYTFEDAHGKSPLPHFAPFPFGKAAYGRSGEHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQSITEASTKEVILGTESGQIFEMAVDEADKREKYVKPLFELTEQREGIKALQMETAIVGNSTRYYVMAVTPTRLYSFTGIGSLDTVFASYSDRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGSGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSGNKPRSFALSEFHFLLLIEDKIKVVNRISQQMVEELVVDNTPENSRGIIGLCSDPSTGLFYAYDESSIFQVSTSDEGRDMWQVYLDMNHYAAALSHCRNPFQRDQVYLVQADAAFAAKEYYIAASFYAKMNYVLSFEEISLKFISIGEQDALRTFLLRRLDNLTKDDKMQITMISTWATELYLDKINRLLLEDSTGSTTNLVAEPNSSEYRSIVNEFCAFLSEGKDVLDEATTMKLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRRNVPVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLENEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTSGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALKVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIVQLKQEMDDATRGADNIRSDIGALAQRYTVIDREQDCGVCRRKILTVGGLHQVGRSYTSAGHMAPFYVFPCGHAFHANCLIGHVTRCSSQEQAERMDLQKRLSLMDRKAAKDNGASANGESIMSTTPVDKLRSQLDDAVASECPFCGDLMIKEISQPFILPGEADEKASWEIKPQPATQKILPMTMSI >Dexi7B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:7B:4033873:4035705:-1 gene:Dexi7B01G0002360 transcript:Dexi7B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRADGPATVLAIGTATPPNCVYQEDYADYYFRVTRSEHLTDLKEKFKRICRKSMIKKRYMHLTEDILQANPNMASYSEPSLNVRQDILVEEVPKLGAAAAEKALKEWGLPWSQITHIIFCTTSGVEMPGADSRIIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEDHLDSLVGQVLFGDGASSVIVGADPNEGVERPLFQMVSAAETILPNSDGAIEGHLKEVGLTFHLQERVPDLISANIERLLEESFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRATRHVLSEYGNMSSACVLFILDEMRKSSVKDGRSTTGDGMDWGVLFGFGPGLTVETIVLHSVPITNA >Dexi9A01G0033490.1:cds pep primary_assembly:Fonio_CM05836:9A:38432827:38434226:1 gene:Dexi9A01G0033490 transcript:Dexi9A01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAAAQTRFHVCKHENGIAVRVIACFQLLQNCQAEYFRHLLKPENPTPAAAPLVSKVTLDPVPELQGSNETNVTDFGAEETEGIHENTDEINALLDSDSDEGCEKVQGLKRARSPPPAENDILSVESVASAGASAGSARPAKKRRLNSGTDKSVVDTASSARLDHSVEQKLLVNDSDAQSCCIGEVESHNKFALGDGEAAEGDNPDDQKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLQYLKLKVKTLGAVSL >Dexi3A01G0022500.1:cds pep primary_assembly:Fonio_CM05836:3A:18134537:18135228:1 gene:Dexi3A01G0022500 transcript:Dexi3A01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYYGVSGQSSSSSAAAAAWGAPPCSRPWTKAEDKVFEGALVTFPEHLPNRWALVASRLPGRTAQEAWDHYQALVTDVDLIERGVVEAPGTWDADDAAAGGGSRAGAGRGRGGEERRRGVPWSEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAGTRGDTKRKSIHDITTP >Dexi5B01G0039780.1:cds pep primary_assembly:Fonio_CM05836:5B:38475132:38476492:-1 gene:Dexi5B01G0039780 transcript:Dexi5B01G0039780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSTPEQAKNSADGGSAADAEARRGPPSTVRFFPDAERQKARQPPPIKLEEEEGAPPPPVTDEEMAPRNLWQVYALGAFIVLRWAWAKWKENQDRKDSPDGDGNAPSGST >Dexi6B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:6B:17963041:17968730:-1 gene:Dexi6B01G0011060 transcript:Dexi6B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGEHQLEGDDLSADDASWSDGVWSEDDDEGSLSFEGSGSGSGSDADSDEAAAAAEESDSSEDEVAPRNTVGDVPLEWYKEEEHIGYDIDGRKIKKRDREGRIEAYLKNADDAKNCAPEPKRRFVPSKWEQKKAVSNVEWHFKGDYFTTVVPSDIL >Dexi3A01G0033750.1:cds pep primary_assembly:Fonio_CM05836:3A:38579386:38580660:1 gene:Dexi3A01G0033750 transcript:Dexi3A01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGRRSKRRRSPAASFTDDLIIEILSRLPARSVCRFRCVSKTWQNLISSHHRKLHQTLAGFFTMHDGERGGSVPHFTNVISGRGCPAWVSSSFDFLPNRGYLQDCCNGLVLLCNYWWHSENPDDSNLGGKCRGLRLGFDPTVSPHFFVFEFFKDDHDRYGVQGRAHRSIPVPDNKEGGFIQESQGRLHYANLEANDDDEVVRLVVYVLEDDDSQHSNIAREFEWVTIHPDCNMIFYTVGWDKTLMSYDMDHRRVQVFGELGQDTRERYLPYVPLFSESEGLHS >Dexi9A01G0038170.1:cds pep primary_assembly:Fonio_CM05836:9A:42500732:42501307:-1 gene:Dexi9A01G0038170 transcript:Dexi9A01G0038170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTIEIVSPVAAPRLFRAAVMDWHTLAPKVASHVVASAHPVEGEGGIGSVRQFNFTSAMPFGFMKERLEFLDADKCECKSTLVEGGGIGVAIETATSHIKVEPAADGGSVVKVDSTYKLLPGVEVKDEIAKVKESVTAIFKAAEAFLVANPDAYN >Dexi4A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:4A:3274586:3276479:-1 gene:Dexi4A01G0004640 transcript:Dexi4A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDDAEARASKRARLTTPPAGDAVDLISGLDDDVLLRVLSLLPDASDAVRTGALSRRWRGLWARVAALRFASRPASESDPCAALERYVSFVDGVLARRTRSDCAIEDLAISYTTDPDEHYLKLKQLMLASFPDDEDVTEEEEEEDGYYYGSDNDGKNGHGYKGCQKEPEVVLLDELPTSPTRLEAFRLALGGATLRLPTTVKFASLTDLSLERMKIPDGGAHLLARLVSPASCPRLTKLRMIDLWVPDPSDQEMRLEANVLSELWMEDVTPLRSLELKTPNLRILHIDACYSETENDINVVLLNQCISVTCLAVTLRGPKVFKEDMDIISNRVPHLPQITSLIINVTDWAERHDFGAGVASLLTRFNNLRRLSLQLPVFDSLWEKGANALCDRQLQKCHWTSYEISMAHPQEVELTGLTGAECELSFVKVVFASAKRLYKVAISFNPKCQHPGRMDAFERMLLDGAWTIHRGAFMLTAYLSNIF >Dexi9A01G0030870.1:cds pep primary_assembly:Fonio_CM05836:9A:35756918:35760496:1 gene:Dexi9A01G0030870 transcript:Dexi9A01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGDHHRYYSTVPSATIAGGLALLALCSYYLLVVVGRRGDKKAKSKRYAPVVGTVFHQMYHVRRLHDYHTDLFREHKTFQLLAPAGRRQIYTCDPAVVEHILRTNFANYGKGPFNYENMTDLLGDGIFAVDGEKWRQQRKIASYDFSTRALRDFSGAVFKRNAAKLAGVVAGCAASGEPMDFQDLALKATMDSIFTIAFGLDLGTLGGSSSGDGARFAAAFDDASEFTLLRYVNAFWKAMRLLNVGSEAALKDRVKIVDEFVYKRIRDRAQELSDCKAQADPDSRQDMLSRFIQTATNESGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMACKHPEVQEKVREEAIKATDASETATVEEFAQSLTDDALNKMHYLHAALTETLRLYPSVPLDNKQCFGDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGNDAEVFRPERWLDDSGEFQQQSPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRSFVFRLRDGEGATVNYRTMITLHVDQGLHLTAKPR >Dexi2B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:2B:13293279:13295315:1 gene:Dexi2B01G0011710 transcript:Dexi2B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASPHTSFLHLPDHRPFGPRRPRLTRLRCLASLAPSASGASPANENHLIQTLCAHGLLARAAALLQGLPAPTQRTYESLLLAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYATLSALPAARQVFDEAPVKNIFVWNALLKALALADHGEEALTRLSDMCRLGVPLDSYSYAHGLKACIGASASHAPASARVREMHAHAIRRGYGLHTHVATTLIDCYAKLGTVTYAERVFAWMPERNVVSWTAMIGCYAKNECPGDAIELFQEMMASDADLVPNSITIVSVLHACAGVNAIGQGKVLHAYILRRGFDSLVSVLNALMAMYMKCGCLEIGRSIFNWIGRRRNVVSWNALISGYGIHGFGRESLQVFEEMTKEGISPNIITFVSVLGACSHAGLVEEGKKIFESMVEYNVTPRAEHYACMVDLLGRAGRLDEAMELIQSMRIEPTPQVWGSLLGACRIHGHVEYAEMACSHLFDLEPRNAGNYLLLADIYARAKLQNQVDVLKELLEEHALEKVPGCSWIEVKKKLYSFVSVDKKNPQVEEVKKKLYSFVSMDNKNPQVEELQALIGEFVTQMKSEGYVPDTGSVLYDIEEEEKERILLGHSEKLAVAFGLINTSRGEVIRITKNLRLCEDCHSVTKFISKFTEREIIVRDVNRLHHFRDGVCSCRDYW >Dexi7B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:7B:13768005:13768836:-1 gene:Dexi7B01G0006160 transcript:Dexi7B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIITIDQECCRCSNKIQKILCCIQERGEFVIEKVVYEKDKVLVSGPFDANKLSCKLCCKAGKIIKNIEVAKPPPPPPEKPKSPKKELVQCKVIYPCPYPYPCPQQPWPCSCPTCQPPPPPKPEPKPESKPKPKPEPALCNKVVYPYPYPYPYPWPCSCPTPYCECPSSKPTPTPPPAPPKQPPACPCPAWSPRYCGGYPPYMAPPPPMMPYPMVVCDDNPAYGACTVM >Dexi3B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:3B:3283208:3287358:1 gene:Dexi3B01G0004930 transcript:Dexi3B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSPPLDSTAAACHQRGEQSPEKEDADEAHPESNKRVPAIVEALEKLELNHKHRGSQVLEIQNFNPASLDDVARVHSRSYITGLEKAMSTASEEGLILIEGTGPTYATETVAASKLGPNPPLGFALVRPPGHHAVPQGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTSDAFYDDPDIFFLSTHQFGSYPGTGKIDQVGQGDGEGTTLNLPLPGGAGDYAMRCAFDEIIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFHMLASNIRRLAKEICGGRCIFFLEGGYNLQSLSSSVADTFRAFLDEPMPRSAVRRSGDVFGRAD >Dexi3A01G0031860.1:cds pep primary_assembly:Fonio_CM05836:3A:36270859:36273814:-1 gene:Dexi3A01G0031860 transcript:Dexi3A01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCQGNEINIQSLYLHHTCLGPNANQSSVVDGKLAANNCTVFDGPGTDAKLVARAQGLHIDAGNWHNSFSLVFENGRYSGSTLQVMGIVVERGEWAIIGGTGQFAMATGIDLGPSEIVKEISGTFGTFDGATVLRSFKLVTNTRTFGPWAEETGTPCRVPVQSGSGIVGFFARAGKYLDAIGVHVTQV >Dexi7B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:7B:26030031:26033214:1 gene:Dexi7B01G0020770 transcript:Dexi7B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSPTAFAGGVSDTLGTGRNITDNETLVSADGTFTLGFFSPGSSSTKRYLGVWFTVSGDAVCWVANGDRPINGNSGVLVISNTGSLLLLDGGQITWSTNSSFASSAEAQLLDNGNLVVRDHGSSAILWQSFDHPSNTLLSGMKLGKNTWTGAEWYLTSWRSADDPSPGAYRRKLDTTGLPDLIVWQGNVRTYRTGPWNGRWFSGVPEVTTYMDLVTRQVTTSPGEISYSYTAEPGAPLTRLVLTDAGMVKRLVWDAGTRTWQTFFQGPRDVCDAYGKCGAFGLCDASAAATSFCSCLKGFSPASPAAWSLRDTSAGCRRNVKLDCAANGTTTTDGFLLVSGVKLPDTHNATVNTSSTVEDCMARCLADCSCLAYAAADIRGGGGGSGCVMWKDDIVDLRFVDQGQDLYLRLAMSELPPSPSPRRTFPTAAVVGVTAAAVIVILLVLIALFMIRRRRKSIVSVVHISTTTTSTEQRSAGPSQHSVGEGSPAPTVPFVELSFLKKSTNDFSVHNTIGRGGFSVVFEGYLPDGRKVAVKKLTQTSVTDEGSETFMREVEVMSKIKHENLAQLLAYCKDGNERILVYEGYTAPEYAAQGRLTLKCDVYSFGVVLLEIITGQRNNASPTLISDAQESWNHRKIKEDLLDAAVGQPEPEILLELERCVEIGLLCAQQSPAERPSMAEVVTMLTTNSSSSRTRPSDRPVLDSMAVSPLSEANAPGAHDDSIYLT >Dexi8A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:8A:1756439:1757047:-1 gene:Dexi8A01G0002660 transcript:Dexi8A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLVLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi2B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:2B:8031107:8032793:1 gene:Dexi2B01G0007840 transcript:Dexi2B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGQKERDHKFITKAVEEAYRAVDGGGGGPFGAVIVRGDEEVVSCHNQACKRLGKTNLSDCEIYASCQPCPMCFGLIRLSKIKKVVYGAKAEVAAAAGFNASIPDAFVEYYKKSGIEVRQAEGDTVRIAEEVFDKAKEKFQIK >Dexi1A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:1A:11713571:11715025:1 gene:Dexi1A01G0012190 transcript:Dexi1A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLQAPLLSDRRRCREYLLALEEERRKIQVFKRELPLCLELVTQTIEGMKSQMDSVGGSEEETASDDHGGGPVLEEFMPLKPSLSLSSEELESAHDAAEPNGIEKKEEAAETPGSWRSQATEAKRVTPPDWLQSIQLWSQESQQHSSSPRKELLNPRKAGGAFQPFEKEKRAELPASSTTAASSAVEGADKCDEDKASTDTAEKHSEKGMDKDAKGKDNKGSKEAPNRKPRRCWAPELHRRFLQALQQLGGSHVSQ >Dexi3B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:3B:1538496:1540440:1 gene:Dexi3B01G0002200 transcript:Dexi3B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLRATAIAAAPALAPATGLTSAAAGGGVGLGYGIAIAVGILVLISTVMLASYLCILTKAGAALLAADAPVGPPTSASSPTAVVPGLDGAAIDALYPKYPHAGSGDDGPCAICLGEVARPGVRARLPRVLRRAVAPRERHVPRLPRLPRSVARRHAARRGRRAPRRARARAVTSLSPIAIVSCPIVRCEFVFDSKSPCPIGAHLCCSLDEFDRRHAPACSSSINLTHPLPFLACCSTASPAFFQRSHPSLDSSPSSCRVDMESPGPEVFSLGFRFDPKPLDVINYYLPRLIADAPLHVAMRPFVHHADPQRAGKAIKATRAAGAGSWQSQGSKDVLDKDNKKVGEVTKLRYKKGGKYTDWLMDEYSCGLQDAIVGGDRQLAFCNIYVSPRARQDSVAHQESAAFFAPPPPSAPVVVMAQAAAANPKRPVAPPKIASPPCPKRMRVAAVAPSHQVVQLPRPCVPHYGVAPPSSAPSVTRPSPASAQTPAPAPTRLAMQPPAPPRKLGQPKQQQQPTPTPPVVHMPVQAPALHCRPQASVQRILDPLEAMRDEAGDEGESPAALQDGDDDGSLEDALAEAEAEDEAAANSEGSPMSFDDMVQLLEKEILLVPKEEILA >Dexi9A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:9A:12255324:12258396:1 gene:Dexi9A01G0017240 transcript:Dexi9A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRYDNPFEEGGADEVNPFADQAKRGAPAAQSSYSGGAFYTTQSRPAPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKDMKTREKELLAKEAELNRREKILYFVGFGLFCLESLLSMWVIQRVYRYFRGSGKEAEMKREAARSAARAAF >Dexi3B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:3B:1324891:1326110:-1 gene:Dexi3B01G0001870 transcript:Dexi3B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVPTVLRPIFLIILLVAVGASLLHLAAAAAANGVTLRIDQHQVVVDNGMVQVTLSKPQGHITGIHYNGELNLLLYAGGQENSGG >Dexi2A01G0029420.1:cds pep primary_assembly:Fonio_CM05836:2A:40487895:40492236:-1 gene:Dexi2A01G0029420 transcript:Dexi2A01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARGSVWEIQPANVEAAGLAAADAGAFLAALRSAAAAAGPAAAGDAVWAAVVAAGLLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSLSDCRQTNLGRLMEANGPKLLGPAYKDPITSFNLFYKFSVENPEVYWSMVLKQLALKFQQEPKSILSTSDRSKKGGEWLQGALLNIAECCLIPCPSLNRTDDSTAIVWRDEGRDDCPVNRMSLKELRSQVITVANALDTMFQKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPHEIGTRMGVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVVIPATGGNLGVTLRNGDMSWKDFLSRAAQRSSIYFPVYQSADALTNILFSSGTTGEPKAIPWTQLSPISCTADLWAHMDVRPQDIGCWPTNLGWAMGPVILYSCFLNSATLALYHGSPLGRDFSKFVQDAGVTVLGSVPSLVKSWKAGNCDKVLDWTKIRVLGTSGEASDIDDNLWLTSRTSYKPIVECCGGTELASGYIQGSLLQPQAFSAFTGASMSTGFVILDEQGIPYPDDVPCAGEVGLFPLFFGATNSLLNADHDKVYFDGMPIYKGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPAGGGPEHLAILAVLKDKSAPHNVNLLKSKFQRAIKSLNPLFKVTYVKVVPEFPRTASNKLLRRVLRDQLKQELSKHSRL >Dexi5B01G0021340.1:cds pep primary_assembly:Fonio_CM05836:5B:23623108:23627915:-1 gene:Dexi5B01G0021340 transcript:Dexi5B01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKATRGRPDDLVEMATCIVEPSISYVVDSCHLSNGSEMDNVPVMDGTHANRVKEKMSPPNDVAISLKSADSASVVDQQPHIPTCPPISGNIREESFNKRSSIDLVLSEESPASNDVVKVKMSPPNVVAVSLKGGNNASAVDQQPYIPTFPPNSENICDEESCNKRPTTHSMSSEEAPSPNGVQEKMSPPNVVAVSLKGADTASVADQQPHIPTCPPNSENICDEETFNKRSSTHSMSSEDALSPNGVKEKMSPPNVVAVSLNGANNASVVDQQPHIPSCPPISENICEEESFNKRSSTHSMSSDEVPSPDYPFLRASDISLPAAPIKVQPPPMPPFKLLSKKGNKEHGDADVNPNSAAAAAMKEAMEFAEARLKAAKDLMERKGGSFKFRKRPAHHRSTKSTEIKECKTPEEVHLFEENLDMRRLPKGENQNIDISSLDKDRGGGAFKTVHCDHDKMGVLSAGKPQEMVQNGREQEQLGKWTSDAEFYELVSNDQRSRPNEAACQGNNDLMTNSFTKLDQSEKEKAESSVGEPKRSRKLWGINNTIGLRMEHVDQGKDGIASMAEQKVPSLPEVPFGAERVTYQEPTERDNCLLTNSFVKLDQSDKEKAGGFVGEPKRSRKLWSSNSTTGLRIEPVIQGKDGIPSVEAEEKAPGLLDVPFCDARVTYQEPTKGDNSLVTNSCAKLDQLHKEKAGSFAGEPKRSRKLWSDNNTLGMRIEPVNQGKDSIVSVEAEQKAVRSPEVPFCDERVTYQEQTSSHMKQCSGPKNSQGHSNDALFEISCTNSLPTEVHADPEMSCSFLEPCLSGRHANGNENHSDGRTQETPLVGNCNHNDSNREGLELPCTDELPCTLARTQILQDLPDVPITDEIKEGSMKVSQLEESAKPCEIFKKERLFDFVDEACLQNEDGRANEVTSLSLNHEELTKYGIEEKADVHEYFQEGDVDQVAGSPEDEGYVTSGSGIANESEYEEAEDGVFVGDSKLMEPNVKTCGTFDKDPYQFQESQGSLGPQDLENMDRVEELISHGDRKEAQKSLLENVDRILVEEVPNGVYPEVNVRCDINYYPFDSVNESITDDGSTYAMKMGTLPNTLQASFSEACASMKHSPQHAESVSLEKADVLKNPEVNCREANREIPTENFATLEEGQNTGSKMEERDNFAEDTTSETVLKSREESLDVQRTKARNDVKDTEGKLEKELLIRLDEEKEKEFKLEKDKQQDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKAERKALERITSARQRASAEAHEKEEKTNAQAALEKASREARIKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSIKAPNQDNQHEAQFQKTAVHGKSTDIEVVEVESALRHKAKSERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYVGLLSEPLHLGHCRTSTGFYQNIA >Dexi9A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:9A:7991099:7991695:-1 gene:Dexi9A01G0012490 transcript:Dexi9A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAFFLLAAVVLVAAASASAQSSGSDDCTSALVSLSPCMDYISGNGTSSPSASCCSELKTVVQSKPQCLCAAIGGGSSSSLGGVTIDRDRALGLPAACNVQTPPTSQCNAGERHHHRATPSMPSGAAALRGPAGLVLGLAVAAVYAVSAAW >Dexi4A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:4A:56318:58347:1 gene:Dexi4A01G0000070 transcript:Dexi4A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARVAAEIAALPEPRGPMRRLCGDLARRIRLLAPLFQQLQEDALPLADALAAARELLRAVHDGSKIYQAMRGDGLLARFVSVNRQVQVALDALPYHTFDMPEEVQEQVALVHSQFKRAATRTDPPDAQLSKDIASALADKTPDPLVFTRISEKLQLQTMADIKKESLALHEMVISSGGEPDGCVEEMSSLLKKLKDSVITEPPASETVGARSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSRDKKAAKSSDYDHAGLVSLMTRLRGGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKATIVDSHAIPKIVEVLKTGSMGARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMTDEALTLLAILTGNPEARAVIAQSDPIPPLVEVIKTGSPRNRENAAAILWSLCCADVEQTKAAKAAGAEDALKELSESGTDRAKRKASSILELMLQTE >Dexi1A01G0032470.1:cds pep primary_assembly:Fonio_CM05836:1A:36977919:36979373:1 gene:Dexi1A01G0032470 transcript:Dexi1A01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRMRSTAHTARRCSASVRFSTSTSTTGRRPSASPSASTPSAALATPSPSSVDSAASAASTSRASACSRHATSRSTAAAAAALPSTRQKLSRSEHRPPCTRHVPGPGAIGGGAPPPPKHAHASSRPRGATGDGASGGTTVSLPDCIDRSSTKSGASPSSRATSTYSAPKIPTAHVTLYSALTAPSVKGATWRLPRMAPRRSSASRDASARNAPSSCSCRVSSQSRSGRSPSRPAAAACSSVTATPSDAALTRLPRWPPRASASSAPMCGDTLRSSLRDSTRISRCAASTNWTRLMVAPELRTPETTSATVAGDPVSPTSTLRSLHSMAGPVLLMRWSRLCTTPSDTSVSPLSSSTAMDPAAPDATRLARIAADTSLSLMGRCPAPTERRSLTSGGRMPASSRILALDSLDDISLSALSVASLAGRLPVLMMLTSSAPTCSATTTLMSLASASSPRYSAMAT >Dexi2B01G0035240.1:cds pep primary_assembly:Fonio_CM05836:2B:42354200:42356195:-1 gene:Dexi2B01G0035240 transcript:Dexi2B01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDHIELHQKRHGKRLDYEERKRKRQAREVHRRSKDARKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKADDDVQEGALPPYLLDRDETKRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGAGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Dexi5B01G0033970.1:cds pep primary_assembly:Fonio_CM05836:5B:34235831:34242069:1 gene:Dexi5B01G0033970 transcript:Dexi5B01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALDPLLPHPATALDHLGRPASRRTTGRWPAAVFIIGVEISERFAYSGISGNLITYLTGPLGQSTASAAAAINAWSGAALMLPLLGAAVADSWLGRYRAIISASLLYILGLGMLTLSSIFVPQKPAEFGDNVGSSVSWMALVEMRRLKIARDYSLVDKPEVTIPMSVWSLESTKRRMEASLLPRPEPPLSAVDHLGRPASRGSSGRWRAALFIIGVEVAERFAFYGIMGNLIIYLTGPLGQPMSSAAAAVNAWLGAGFLLPLLGSAVADSWLGRYPTIVFAALLYTLALGMLTFSTFLVPRERVVCEPAECSAQVAFFYVSLYLVALAQGGHRPCVQAFGADQFDENDPEELASRSSFFNWWSFASFGGNAIIVSMLNYVQESVSWQLGFGIPCVAIALSLAVFCLGTKTYRFYPLKTDGNNMFRQAAEPLAAWMRGCLASWRSRSNDDSHCFLPSPSSRGNGSDTGNANFTTQEATSLLKLFPIWATCLFYSVAVTQCFTFFTKQASTLDRRIWGILIPSASGQNLSSASIMIFLLIYDQIFVPIVRKYTKNPSGITVLQRIGIGLAISIVAVVVAALVEMRRLKIARDYGLLDEPEVVIPMGIVWMVPQYILVGVSDSFALVGLQEFFYGQVPNNLRSMGLALYLSIAGVGSFISSFLIFAIDKVTSCTGSGSWFNNNLNRAHLDYFYWSVALLSGFALAAYVYCAQVYAHKGTISVQ >Dexi2B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:2B:2547082:2553849:-1 gene:Dexi2B01G0002970 transcript:Dexi2B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCLLASLAATLVTSAFQSILLFLRLLLQRRHDSGCGSAAGATLLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRLPLPDHLSADDARRIASTSGPVRLLTIPKSVGYEQNPLSIYYCYNSAEQAQDGELRMCIAEVTNTPWGERVMFTFQPESDLVAKPLHVSPFMDMLGNWSIRADAPGESLYAVISVQHPTLGNYFTAALHAKLVGHTSNPLRLAAFFWLMPHKVAAWIYWEVRILCILYLFCGYALRLWLKNVKFLDHPRYLNQNYRNEALKRDLELRSSCSFLQKQKANNQRSSSTNETCEILNHLDNKGDESVTKRWCVWRDAQWPWS >Dexi5B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:5B:2792299:2792871:-1 gene:Dexi5B01G0004120 transcript:Dexi5B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEYIIQEVASFPDPCPHEPGTSTIATIRGGIPEIETLDLTALSPKRRNPSPARRGPGGEARSGEEDTETHRGAQGGTGDDPRGRRLTAGGRAPELQRGSGGAGRAEGMEEEEAEARESGDGEKFQGKF >Dexi9A01G0023070.1:cds pep primary_assembly:Fonio_CM05836:9A:18244136:18245715:1 gene:Dexi9A01G0023070 transcript:Dexi9A01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLKSIITGGRKDAGNGGGKKALPLPGDATPLPASSTGSSPRDKKRWSFRRPASAQQGRPAAAAPSPLSLDPTGVAGMSVSGRDLDGLAQSEHAVAVAVAADAAAMAVMEEEDGEVSAIFLVEEAAAARIQATFRGYLARKALCALRGLVKLQALIRGQLVRRQANATLRRMQALVDAQSRLRAQRARMVDTDHIAASVAGYQRRSPQHPRRRSSYEMGRSGEEHVKIVEMDIIGDLPPGRRGRSSCSAVATESRDRRLAEYSYYGGGEQLCSPAPSSTAFGGAAELMSPERAYSGHFDDAFAFADHAAATARSSPYVSPYDVTGDGVVPSYMANTESSRAKARSQSAPRQRTDAPALERQPSRRRGGAPRKMMQRSSSHIGVPAVAAASGYGYGYGYGYQLQQQPWAGVRLDRSSASLVGSECGSTSSVLTAATVGYCRSLVGFEVRAR >Dexi1B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:1B:7058947:7062472:-1 gene:Dexi1B01G0008450 transcript:Dexi1B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKEDEKHERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSVSMAKFTAQEVTSLQEGGNERAREVFFKEWDPQRNGYPDSSNADRLRNFIKHVYVERRFTGERSTDKPPRGKDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEVVDDRSGKTTPVQRFEDRRFSEPRKPDTGSPDFQKEADGSSPVVRPVRDILGDNAPQLRVGEPSKPAAEPPKPSVPRPIDPPKPVVAIRPIDPPKPNGTRTIDPPPLAKTISSASSIASSEGISEPVKVTNAVSLIDFSADPEPTAPAAPPQPASTTQQHPVNVHGPQPVLELGNSAPSVSGGDWASFDAFGQQQTPQTSSSANPLESALAQLSFSEAPSAPIVSSFPASVDPTLKTNDGGHSSVVDQSHNLFDAPFGISGNQASTVMSNQGPSVQQSPLAAPIAGQPSQVTANPLGTGGIQGGAPSTDSKPSVRKELPAVRHT >Dexi4B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:4B:6535034:6536978:-1 gene:Dexi4B01G0009090 transcript:Dexi4B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWPNPALPLLLAAALLAFEDWLSTPSCSGGPPAAHGPGELRAMMVADLMLLGSDATYADRFFRDHVMHKFFANSIQTLKPDMIVVLGDISAKGSELTERKWISVIEQFEGILGHHSSLPLLVALGDKDVGSCANLEGKFVHRKAKHLPVLDSCGCGTFEISNVSFVSLNAVALLCDNNDLRFGVEKFMERESHHFQRLNETECYHLVCEKIVGSTDIGWRRNSMESGSGPVVLLHFPLHRFDAEVTGVPTSSEVVGSDHSSIFSSSKQSMGLHDHLHTLPANSTQYILQALKPRIIFNAHTGSFSDFLHADGTHEVTVPAMTWKTRGVPGFVISTFDTKGSVTLRCCWLAQELHVIAGYVSFLCLTALAVKLSH >Dexi2B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:2B:10471384:10473335:1 gene:Dexi2B01G0009730 transcript:Dexi2B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGLRKAQIRSAKPSSRITMLSWQQNPGRASDDGAAGTPLYLGIDFGTSGARCALIDGRGAIHSEGKRAYAPVGDAAGWASSWKEALFQLIGDIPPAHRPSISSIAIDGTSATTLIVDSKTGELLAGPFLYNESFPDALPAVASIAPPNHTVCSASSTLCKLVSWWNTTCGGGGSGEDAVLMHQSDWLLWLLHGKYGVSDYNNALKVGFDPEADAYPSWLISQPYARLLPSVRAPGAPIAAVKDDVCSQYGLAKECVVCTGTTDSIAAFLAAGTTEPGRAVTSLGSTLAIKLVSKVRVDDARFGVYSHRLDDTWLVGGASNVGGAVLRRLFTDDQLVALSRDIDPAAASPLDYYPLLKNGERFPVNDPDMAPRLEPRLESDVEYLHGILESIARVEAKGYNLLRELGATAVEEVFTAGGGAQNEKWTAIRERVLGVPVRKAEQTEAAYGAALLALKGADRRHDIARI >Dexi3B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:3B:834042:835282:1 gene:Dexi3B01G0001090 transcript:Dexi3B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADGEVYKEHYVLVHGAGHGAWCWFKLASLLQASGHRVSCVDLAGAAGSLVDPNGVTSFDQYDAPLVDFMAALPDGGHKTLPPVNLGDRTGVPCGAAALPSLLHLQDPRSKLLSGSSIISHSQLASYEQIGASVPATTTTPAPAPTSALAAPLAGPHDHPSSAATPASATTPRLSPSAAPFLPSVGRGKAQRWADGSPASVASSSPGASSPPDSYRAALLRPSAPAPAPVRDPPPVPAPTPAPVRDPALPRPRPGLFVTYLEHESSPAAVSHDAAARPSSYMGFPFVGNRDGLDDGGGAPQTVVQPPLRRLSTGMALPSSWANALDADAVSVWLRVLGGSRRRRPAHGDFRSRKGDA >Dexi7A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:7A:21871843:21874014:1 gene:Dexi7A01G0011320 transcript:Dexi7A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRGLIAYEEPPPEATDLLSSAWCSSAIQVLQAGPKECSMALVEHPVMSLDKDQKNLLSKSNRSLVVDDSSFSTAQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWLPRKMAPWNGGISLKKWVKERKQRRKEEARLQRAEVHAAVSVAGVAAALAAIAAENAAPPGAAGMRETAVASAAALVAAQCAKVAEAAGATRDQVAAAVDAARASTDASNVITLTAAAATSLRGAATLRGRRSTGSTGGGGHGQNERGDHAGSARSQDDLDFDFNHARSRAALAKGDEMFVAMPDGKWKLHTVSAATNKRGEVVLRVKKANLVMAFSHAKESVIRDVRPCAPEKPSPDDGATYPVEVSTSKGKVELRADDYGVYKRWVATLSHMLVMSTAVVSARHDPPRRD >Dexi8A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:8A:1474267:1475620:-1 gene:Dexi8A01G0002220 transcript:Dexi8A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVVPASPYGAHFAKMVVVRHGQTDCNISGTIQGRLDHELNETGRQQAAMLELNQKLTERHMGLFQGMNEHDALKTDAYKAYASNNDRNEQLPGGGESLNQLSERCVPFLNEIAEKHKGERVIVVSHEEVIQEICRHADPTSSARRKIPNTSITILHISGPDGHWILEKVGDIGHLNED >Dexi2A01G0035740.1:cds pep primary_assembly:Fonio_CM05836:2A:45300621:45301331:1 gene:Dexi2A01G0035740 transcript:Dexi2A01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLLRWWLSARQRWHRRRRRGRRTAPRLVMWGGEARVAEPGKLAGEIMVEHAGRVVCRADAFTIGRPVPVLDIEDRLEPGVTYLVVPVDRLPCAATDGLLTAASLAALSSHSSASGNGKASSSSSAPGRRRKSGRSPFEYVKDEDGRTVIRVTEEFIVRAVAGSGGGGGGGTPELRKHYEQLVGAARGRAWSPGLETIKERKGRRLVDVVSPGRLSPVRLLGIDKGYS >Dexi6A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:6A:22157506:22163333:-1 gene:Dexi6A01G0014770 transcript:Dexi6A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAVPADAVAPAAAAVQQQSGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTRHTLVLNVLEFLVAMADDPKNAHLKALDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAMTMDPNRGPDVVVDESCWSDLEFCKKTRNWYCVGKAVAEQAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHIIKYLDGSARTFANAVQAYVDVRDVADAHLRVFESPTASGRHLCAERVLHREDVVRILAKLFPEYPVPTRCSDEVNPRKQPYKFTNQKLRDLGLEFRPVSQSLYDTVKSLQEKGHLPVLGEQTTEAEKEAPAAEVPQGGIAIRA >Dexi4A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:4A:3267578:3268071:-1 gene:Dexi4A01G0004630 transcript:Dexi4A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSKAAVVQLPDVCTIWCRGKMNALARPRRHLVYLKLVPLSPSLAGFDTRFTFRCNGHGQQAYRCTISGCRPRSGSAFRVPKPKHFVDVAFFGGKLYALSQVELFVVEIDPSYNVVDCYM >Dexi5A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:5A:7228452:7228715:-1 gene:Dexi5A01G0009640 transcript:Dexi5A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREEGEGGWLCGVRVRGGAGTFPYATALGIPRRRRRRRWAAAADDRQAGGEVRVREETRARGGPAGGLVGCDDGYKDATAVPTPS >Dexi6A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:6A:18074037:18076915:1 gene:Dexi6A01G0011930 transcript:Dexi6A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLAHERVPSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >Dexi8A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:8A:16207849:16214328:-1 gene:Dexi8A01G0010040 transcript:Dexi8A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGCSCEAAAATAMASEAYLQGEPVREARELVAELCRHFYAQGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMAADGKVLSAPTAKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLNPGAKEFRMTHMEMIKGIKGHGYRDELVIPIVENTPYEYELTDSLSDAAECYHYLLDACIKLYQLGIDWTTPEHGPINSAKRLRSILSPEIPNGCHAAESSKCVVLDIEGTTTQISFVTDVMFPYARDNVQKHLTSTFDSEETKEDIKLLRIQIEEDLRNGIAGAVPVPPDEAGKEEVINSLVANVESMIKADRKITSLKQLQGHIWRTGFEKKELQGVVFEDVPVALKNWHTSGIKVYIYSSGSREAQRLLFGNTTYGDLRKFLCGYFDTTTGNKRETKSYFEISQSLGVDSPSQILFITDVFQEAVAAKNAGFDVIISIRPGNAPLPDHHGFRTIKSFSEI >Dexi2B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:2B:18907751:18914205:-1 gene:Dexi2B01G0012580 transcript:Dexi2B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPDPPCGLLTADSARSLVDSVDAFLFDCDGVIWKGDKLIEGVSETMELLRKLVYVVGEDGILEELKLAGFECFGGPEDGKKNIKLEADFYFEHDKSAVLPYQSC >Dexi9B01G0030880.1:cds pep primary_assembly:Fonio_CM05836:9B:33316620:33320721:1 gene:Dexi9B01G0030880 transcript:Dexi9B01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHLIHGINMGVSPVFVVMGTTLIGIWLFHVLLKHINRRRRLQAVLPPGSMGLPLIGETLQFFGRSPSLDVLPFFKRRMERYGPIFKTNLIGKDLIVSLDPDVNHYVLQQEEKAFHIWFPDSFMKLLGEENIARCYGSLHKNTRNLIRRVFGPENLRLVLLHDMQGAVDRCLSSWRDSPSIELKPALSSMIFGIAAKWMIGYEASVLSGDLWKNFDAFNQGLLSFPLNFPGTAFYKCMQGRNNVMKTLKEVLDERRKKAETPERMDFIDVIVSELNKQNPALSENLALNVLFLLIFASFETTSSGLTAALKFLSDNPKALKELEEEHQKILERRADPHAEITWEEYKSMKFTSHVINESLRLANVAPVLFRKATQDVQIKDFARLQMSVFLHFLVTKYRLKVVSGGDMVFGPGLGFPNGFQVQLELKNKLSL >Dexi8A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:8A:24383008:24383783:-1 gene:Dexi8A01G0014070 transcript:Dexi8A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAVTRRVTSAAVLSATVTQRVPSAAVTRRVTSAAVTRHVPSAEFLSAAVTRCVPSAAVTRRVPSAAITRRVPSAAVLSAAVTRCVPAAAVTRCVPSAAVNRRVPSAAVTRRVPSAAVTRRVPSTYVPSAAVTRHSRRSYHPACPLYPLARAKNRQSFRAHLDFRVAGIFRVTGIFHGAGALP >Dexi1B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:1B:19706974:19709277:-1 gene:Dexi1B01G0013700 transcript:Dexi1B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTVEHAMVSVATGVLSPLLRKLASLVEKEYAQLKGVRKEIISLREELRTMNALLEKVAAEDDHDVLVKEWRNQVRDLSYDVEDCVDDFLRRADEQQHGDTAARPGHDVGFFHKSLSKLKKLGARHGVADQIRKLKARVDDVSKRHGRYIYGGACSSSAAAAAGPPLAAVAIDPRLPALCGRTGSLVGIDGQRDKIVELLKLNEQEAELKVVSIVGLGGLGKTTLACEVWRELRGQFDCHVTVPVSQSPDIIKILTKILSEVKGPQHHMCNISDLQDLIEEIKSCLLHRRYFIIIDDTWDPFVWEVIQCAFPDNNLGSRVITTTPSVPFLLSLGNWGCEGGTGF >Dexi4A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:4A:5871399:5872124:1 gene:Dexi4A01G0007810 transcript:Dexi4A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHLATDAGKEITKKVGLVYQLNIAPKKLGVDEEIFVVDLKKGEVTKGPYAGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSIGAAQKFTPDIFPKPAKL >Dexi3A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:3A:5271252:5273475:-1 gene:Dexi3A01G0007590 transcript:Dexi3A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGVRAAELGLLVVVACALRPQGAAAQRYNAIWSFGDSISDTGNLCVGGCPSWLTTGQSPYGETFFGRPTGRCSDGRVIVDFLAEHFGLPLLPASKAGGDFKKGANMAIIGATTMSADFFSSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGSDCKSYLSKSLFVVGEFGGNDYNAALFSGRSMAEVRGYVPRVVSKLIRGLETIVRAGAVDVVVPGVLPIGCFPIYLTLYGTSNGGDYDGDGCLKSYNGLSSYHNSLLRRSLASLQRTYPHARIMYADFYSQVVHMIRAPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMAGASACSDPGNYLIWDGIHLTEAAYKAIADGWLKGSYCNPAIQH >Dexi4B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:4B:2799302:2800288:-1 gene:Dexi4B01G0003940 transcript:Dexi4B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRREPNPAMPRGRRPPPRPRPAPVVAGDRRLAEEVLYLHSLWRRGPPGAAPAPTQPPSSSAARNQTETTKRKRPAVAARKAKTIELKRLRREATAAAAAGPKDHGFEWPVAPSPPNSSPKAWPDAAPPSTSTPAKPPPPPPSPGARAQREALRAAAEFLSNRGSSYDNDDDDAGSESDGEEDAAGFITGLFVRDAALRGHYERGWEEGHFECLACAGGNRKAGRRFKGCAALVQHAGAGPTRYGRSRAHRALAAVVCRVLGWDVATLPSIVIDPRGTLGQELAAEATAGQ >Dexi5B01G0036770.1:cds pep primary_assembly:Fonio_CM05836:5B:36396628:36397515:-1 gene:Dexi5B01G0036770 transcript:Dexi5B01G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIACWARFAAGKGAGCAVGWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVVADDSPVKDVEVASAAAADAAVVMPEGVVPVASGKPSLLALVKVVAHKLSRNPNTYASFVGITWACVANSVKI >Dexi6A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:6A:25961587:25964793:1 gene:Dexi6A01G0018170 transcript:Dexi6A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDEEIVASVVAGKIPSYVLETRLGDCRRAAGIRREAVRRLTGREIEGLPLDGFDYDSIVGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGASSVVLRDGMTRAPVARLPTARRAAEVKAFLEDTANFETLSVIFNRSSRFARLQGVQCAMAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNTIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEPINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRDCPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVLP >Dexi5B01G0037910.1:cds pep primary_assembly:Fonio_CM05836:5B:37256859:37258947:-1 gene:Dexi5B01G0037910 transcript:Dexi5B01G0037910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSRALAHGLARADAAASAPIAGARSLRALSTLPQDPATAGSSPRQPSVRSPLDLPKEGASTKVKAFSPLEATIAKPRISPLRLESLKVKGNEIVTGSTYYMIPTILLISRNSVGTSLLVMSVFHQIYMFHKEILLDYVHNEVTRKWALIYFKVLLLIMVKDTIVYFSLV >Dexi1A01G0023990.1:cds pep primary_assembly:Fonio_CM05836:1A:30657514:30659266:-1 gene:Dexi1A01G0023990 transcript:Dexi1A01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVKVHNVSLKASEQDISEFFSFSGDIVHVELQSCDDRSQFAYITFRDNQGAERAMLLTVEGATIADMAVIITPASDYKLPASVLADLESRNAGGMESALRKAEDVVGSMLAKGFVLGMDAVEKAKAFDEKHQLTSTATAKVASLDKTMGLSQKFSTSTIVVNEKMKEMDEKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYVLTGAAWVTGAYNKVATTATDVGAMAKERMADQEGEHLDRELEKTHLPESSEAAEQECKHQEGSGNLESPATTQQDSNRQEAEHQMTNVPGNTETVTEEHKNQDGGIAVAHAQENTEIAEKEPSHHETEPSKAHITDSLLMSEQTEREHKQPNGESAKTHVPGSPVTIPVTMATTDGNSSNSPKKPESSQGFL >Dexi5A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:5A:21360967:21369900:1 gene:Dexi5A01G0017920 transcript:Dexi5A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCGTCGKVLDQEVYTDEPNFVKDATGQSRLAGKILSSIDSEYSMSRQRTLDKGRDEISQIVNNLHVSGGESIISKAYNFYKIAVDRNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVWLCQILLLADHPVVQKLIDPSLFIHRFTDRLLPRRDNAVTDTALRIVASMKRDWMQAGIPSYVSVVHVCEATLTKRLIEFENTDSGSLTIAEFLATADEYNQEPVSKRSLKSGELLCKHKGKEGFEHFAHGLCEKCYNKFTKLSGGLEGGANPPAFQRAEKQRLEAAKRAEEDAAVKEAVLEESLCGTLNSDVENTITPAKGITKDKSSTVASGKLTNDSVLSKDAEGGDENCEGDADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRKRNEDTKSSTPAETPAEATYNMLKRKGLGSKVSEGAVGELYKTKDEDENDTVNKKEMDFDAQYGQGNADGETFDHGYYDYDGAGAGNGIDDFDFL >Dexi5B01G0032090.1:cds pep primary_assembly:Fonio_CM05836:5B:32744940:32745554:1 gene:Dexi5B01G0032090 transcript:Dexi5B01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPSPAHAPAPKSAKPTRPGPRSWIGYLLREQRLLFVLLGALIASTFFLLRPYLSLTASSHLPDARPLFSFASRSGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIISFILGSASSFDFAYSESDLI >Dexi3B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:3B:13854771:13859073:1 gene:Dexi3B01G0018620 transcript:Dexi3B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVELWTWWWPWAEVGAAYLGWGSGLAVGVGDSTAEHGRHGRSGAAAFGTLGRRFGRSRTWDWENVTAIDRSFLLPCRSDLRSDTTMLRGIDSTKPSLIMHDHD >Dexi9A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:9A:14566388:14575927:1 gene:Dexi9A01G0019620 transcript:Dexi9A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLASAKPSARAAGGSSCPPLLFSPLKPFPLLRFPPRRRPTAARLRLRLRASAAASSPGGEEVFGARKELTGLQPLVESLPPAARTAAELAVAAAAAAAGYGIGLRLGGGSRAVAVAGGAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGHDDPTSMDKAEVEAIANKYGVSTQDAAFKAELCDLYARYVYSVLPPADEDLKGTEVQAIIKFKRALGLDDVDAANMHMEIGRRIYRERLETSDRDADMEQRRAFQKLIYVSNLVFGDQSVFLLPWKRLFGVTDSQIEIAMRENAKSLYSSQLKSIGRGLDIGTLIDVRRAQLAYKLSDEIAAEMFREHAKKLVEENVSTALDIMKSRIPDSLAKAVEEVESVIKFNSLLTTLSKHPQADQFACGLGPLSLGGEYDHDRRADDLKILYKAYATEVLSDGIVDDQKLAPLNELRNIFGLGKREAEGILSDVKAHIYRRTLAKVFNTELASVPSKAAFLQILCEKLQFDPELASKMHKEIYREKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAVHTEICGQLFEKVVKEAIASVDGYDTDRREAVRRAAQSLNLKKEAAMAIFGKAVRKLFLTYIQRAKEAANTIETAKELKKLISFNTVVVSELLADIKGELSQTAETEASSTASESEGEDDEYEWESLATLRKTRPDKELKEKLRKSSQNEITLKDDIPLRDRTELYETYLKFCIGGETTNVSFGTAISTKKDDSEFLMLRQLGDILGLTRKEVQDVHIKFTEKAFVQQAEVILADGKLTEAKADQLAKIQKQFGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLKDANFQLDSLISEPLRESIYRKTVEETFSSGTGDFDEDEVYVKIPADLIINAEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDLLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPKPEKLSRLQDLLGISNEKANKIRDAASEGTLPIAAAEEKEELTF >Dexi4A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:4A:3582219:3583315:1 gene:Dexi4A01G0005080 transcript:Dexi4A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLTSCSPSVNPNIEKPEDMVKTGVLARFQNFLRKCLIAVISYGPMPNHIAFIMDGNRRYAKCRSIQQGAGHRVGFFALIANLLYCYEMGVKYMTVYAFSIDNFKRDPKEVQSLMQLMEEKINELLEKQSVIDKLNCKVNFWGNLELLCEPVRLAAQKLMASTAGNTGPVLSVCMPYNSTSEIANAVSEVYAERREMLQREHDGDCNGQAVNNGVHPEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDALWPDFSFRHLVWSVLQYQRAYPYLEQNRNLAKKQL >Dexi5B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:5B:2526319:2530222:1 gene:Dexi5B01G0003680 transcript:Dexi5B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRIWSMKSVDKNNANDDYSQRLLATVRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKKFSNGQDTKAAPVGLANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRSRYGDVRGRQSNLAESPAQLLLEQASAKQSTGKKGSSVVQPLQAPPKVSADNPAPVVQSQKATVASPEDDKKTAGPGPDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNVPNRSQDQLVDFSSLNQQMNGTRPSYGSSANCNNCEVRDRSGVTARANITESLVIEKASTNSGNDGRLSIEHTGSIVSGSLTSCSALSIHVLNKKDDEDSVTVCLEAKPVERAAGDMIGAGGAFSTKETEIKCTRGTETLWSDRIFGKVTVLAGNANFWTVGCEDGCLQVYTKSGRRAMPAMMMGSAAVFIDCDSCWKLLLVTRRGVMYIWDLYNRTCILQDSLASLVVSPDESSAKGAGSVKIISAKFSRCGAPLVVLASRHAFLYDMSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLAASLVLKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMIDSMSSMDPKNPVWDPHVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAETQADPMDRTPTPQPTTEASDKEMQTTTHNRSQRQGNADHSRSQ >Dexi2B01G0026550.1:cds pep primary_assembly:Fonio_CM05836:2B:35653347:35654152:-1 gene:Dexi2B01G0026550 transcript:Dexi2B01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPLHHGTSPGFTVPSKSYRLLQILPPTPLAVRTFKRNSTPVLALSAASVRRVRVTRILSAILSPTSPLLPSLPPVISAPNRLHRKSRFEVTPPANRLPKSNVFPRRLLTPPILLAARSKRILVLFSPRGELGAGRDMGVSAVEVILLGLLLATLTLLLMVFGGGGEAARRWPAWRATGAGGGDRARAAVGLVTLLLLVFGGAGSQPKLFSSSPRNKFTQKLAISGFLLTY >Dexi2A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:2A:11619884:11621490:1 gene:Dexi2A01G0010460 transcript:Dexi2A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQSNLGGSVLACTLSFLAAAISSAGGVGGGSLYVPILTVVAGISLKTATAFSTFMVTGSTLSNVFYTVFFLRSGGDRRTPVIDYGIAVVSQPCLLLGVSAGVVCNVVFPEWLVTALFSLFLAFATFKTYGAGVRRWRAETPELGRIPEDAAAVEEEALLGENGGGGRRCQWVDLVVLVTVWLCFFVMHLFIGGEGAKGAFGIMPCGVAYWLITVAQIPVALAFTACIGHQRRKSQQAHQGAIADQDISVSTKLDALPAYVFPVAALLTGAMSGLFGIGGGLLLNPVLLQIGVPPKTASATTMFMVLFCASMSMVQFIILGVEGIATALVYAAACLVASIVGLAAIEGAIRRSGRASLIVFMVAGVLALSAVVIACSGAVRVWEQYRSGQYMGFKMPC >Dexi7B01G0022530.1:cds pep primary_assembly:Fonio_CM05836:7B:27413163:27414824:1 gene:Dexi7B01G0022530 transcript:Dexi7B01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYAEAAAGDLTSWQERHAVLVLSKAKELAKIRYDLCPRHMKDKQFWRIYFLLAKSIISPYELRAIQKEKIRRMEMDNGKSKEVVTVEVEMQEPKGGRASQPSEVDLESQV >Dexi1A01G0024810.1:cds pep primary_assembly:Fonio_CM05836:1A:31251008:31256899:1 gene:Dexi1A01G0024810 transcript:Dexi1A01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHDSMADSPRRRHNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLVQHNEGIIFVGVAGPSGAGKTVFTEKVLNFMPDVAVISMDNYNDATRIVDGNFDDYDTLLENIHGLREGRSVQVPIYDFKLSCRTGYRTVDAPSSRIVIIEGIYALSEKLRPVMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPMYILKSPRSLTPEKIKAVLGDDHTEGNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRQYIQVQGRDRLYVKSVAEQLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSHSYSTHGDKNIVKLSKLTDSNRRFGGGRAPEPPAINQWAPCAIWMAVTEVEGSPPEASARSSVRHSAQAAAGAPAAVLWGQRRVADVVIIDDRAVQPRHDDTLDGEANEPAGVVHPKRKVNGFVALELVDAFGGAAGEGLERRRRPFQHLCTASSNQIMNISRGQRQVIHQLDNLTNLLHEHLVLTRQANAVSRNRVLDIDPVICPLIGLTAASVGYFVYKGLSRG >Dexi4B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:4B:7814894:7815127:-1 gene:Dexi4B01G0010470 transcript:Dexi4B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFAAPVPVILLVIMGFLAISGAARPLSGEVWPPAGEAFLQQMYLQKLGAGPSCRTNSSNGGCPQRP >Dexi9A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:9A:4166109:4166696:-1 gene:Dexi9A01G0007190 transcript:Dexi9A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQEALDLDFAAASSSSGCVAPRDMGMGMDIITCGFTPWGPESCPTLEQVMATTTTPPPAPALTPEEEDDDDERLRRQRRKMSNRLSARRSRERKQQRLEELRGTAARLRAEKQELEARLQALARQDMATRCQNARLRAEAAALARRLREARRLLALRRALAAQRAARMPPMLWPQQAAAAGVAPLGLASLMT >Dexi7A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:7A:18706727:18711338:-1 gene:Dexi7A01G0007310 transcript:Dexi7A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHHPPPSNQSPPEQPEQHDNYDIESTDAAWRGAHTASEALLRYDDDGPRQPLLRKRTTNTTSQIAIVGANVCPIESLDYEYFTAFLAYGGCNLVLAATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYAILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTSQDIVAIIVLGIIGGVFGGLFNFLLDRILRAYSFINEKGAPYKILLTITISIITSACSYGLPWLAPCTKCPADAVEQCPTIGRSGNYKNFQCPPGYYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFIAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPYMRHLVASDVVSGPLISFSGVERVGNIVQALRITGHNGFPVLDEPPISEAPELVGLVLRSHLLVLLKGKGFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMPEHIHGLSPNLHKSH >Dexi9B01G0039070.1:cds pep primary_assembly:Fonio_CM05836:9B:39919849:39929478:-1 gene:Dexi9B01G0039070 transcript:Dexi9B01G0039070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFVSEPHILYDVKTAALLPSTRRFANPPGAVEPSIPAFAFSFDLPRPADWSTSSRREPFPIAALHVQSPSPATSPPRRTPSHIKPPHPLTHPAIPLPLLVSAPRNPPESNPAAFDLGISAPRPRKFLGSEPGGRLRSAAGPGVSIAAMESSPVSYWCYRCSRFVRVSPATVVCPECDGGFLEQFPQPPPRGGGGSVIVLRGGSLSGFELYYDDGAGDGLRPLPGDVQHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGGGAHCAVCQEAFEPGAAGREMPCKHVYHQDCILPWLSLRNSFRPQPPQMRRQMLGSPSGDYHAVDLPLVEQLPVVYTELDGGFSNGRETGKWTAVKVGFAVYLGIYLAVSAMVAGKQARRNPVCLLLSLHVSECGKGKRRLRIGFVRNVASFSPDAGGDWVIGSSPTGRAGEGARAQHDTHEVKVTGAGRRHHHPESPDDRIGSTRHCRHRHGCNEKLVVNEQPGSPAVDHGLLNRRQDELARATPNAKGLFGKTMSLSVSSSGATWPDKDNRRRPLGIGEEEPVKKPVKKRRSLLLLMHQTHTWLPRTNAAARCAGRREPQRCGRRVVNLDAAIAGEPPPPPPGKSRNREWPHANPSPLPLSPRPRGGGGQRGSVAASLTPQPPQAPANRLRFRHDVSLTVSVAVGTPPQNVTMVLDTGSELSWLLCNGTTSAFNASASSTYGAFRCPSPECRWRGRDLPSPPFCAPPPSNSCRVSLSYADASSADGVLAADTFLLGSAPPPVPALFGCVTSYYSATGINSSEPATTGLLGMNRGVLSFVTQTNTRRFAYCIAPGDGPGLLVLGGDVPLALAPPLNYTPLVEISQPLPYFDRVAYSVELEGIRVGAALLPIPKSVLAPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFANKTRALLDPLNEPGFVFQGAFDACFRAAEGQVAAASRLLPEVGIVLRGAEVAVAGEKLLYRVPGERRGEGGAEAVWCLTFGSSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATRRLG >Dexi9A01G0030600.1:cds pep primary_assembly:Fonio_CM05836:9A:35608135:35611423:1 gene:Dexi9A01G0030600 transcript:Dexi9A01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGGGGLNRSSSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLMFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTYERVLEETGCDVSALLNLDDCIEVSIWQQREISWHRIDDLLPASDDAVSRGVNGMKLYMVAPFLTELKAWIAKHRPPLYQKSEASARGTVWKAKKSSTSGAPPVENPVARAGFDAQHVDNNSPGRSFRNFRFDTASILLSMEASFLHT >Dexi5A01G0037350.1:cds pep primary_assembly:Fonio_CM05836:5A:38738063:38740603:-1 gene:Dexi5A01G0037350 transcript:Dexi5A01G0037350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRVQKELTECNRDREVSGVSIALHDGASISHLTGTIAGPADSPYEGGTFVIDIHLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSSPAPDDPQDAVVAQQYLRDYPTFAATARYWTEAFAKSTSTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >Dexi9A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:9A:2173010:2175669:1 gene:Dexi9A01G0004150 transcript:Dexi9A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYGGGGRGVHLSSHKDLLLGRGGRSFLFGNTWFLLSTYPARLLHTTDRRAPAAFFAAIHRAPCVRSPCAGQGLLQRGGIVMAACGYALRRAELGATKRQLDKDPSAGTGVSRIAAMGAVGSAPRPDVSFRYRGMESCKKFGASLKCPEPWGNRSFWTNAVGPSWKLSFAVEPWTRDFSSSCAAPYSAGATEHQLSLDEKMDNSTVASDAKAPTSENLKLVSGSCYLPHPAKEATGGEDAHFISTDEHVIGVADGVGGWADLGVDAGLYAKELMRNSMSAIKDEPEGTIDPSRVLEKAYTSTKARGSSTACIITLKNQGIHAVNLGDSGFVVVRDGRTVLRSPSQQHDFNFTYQLESGGGSDLPSSAQVFHFPVAPGDVIVAGTDGLFDNLYNNEISGVIVEALRVGLEPQIAAQKIAALARQRATDKNRQSPFAAAAQEAGYRYYGGKLDDITVVVSYVKSA >Dexi1A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:1A:12730815:12734906:-1 gene:Dexi1A01G0012630 transcript:Dexi1A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLRLLPRAVGRLRSVLALGATRGFSAAAAAEPLHVCVVGSGPAGFYTADRLLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGRDISLSELRETYHAVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCNLSPDLKNTESAVVLGQGNVALDVARILLRCKSELATTDITEYALDALRDSRIRKVYLVGRRGPVQAACTAKELREILGLKNVRVCIKEADLVTSPADEEEMRNSRIQRRVYELLSKAASAPKDINCNDQKELHFVFFRRPIRFIPSENGSTVGAVELEKTTLKGDEVTGRQLAVGTGEFEDLKCGLVLKSIGYRSLPVQGLAFDKNRGIYDFFCLLWVNKVASILEDDTKAVLRAPSDLKKHGRAGLVEILEQKNVRFVPFSGWEKIDSKEKTAGQLRNKPREKITTWDGLQRAANE >Dexi9A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:9A:6189909:6192250:-1 gene:Dexi9A01G0010210 transcript:Dexi9A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSAFSASFSALRRRAPARTRRLLAVAATRAHSSAAGASRARGGLPRFHAPSLPSSKGEVVRVQGDEFWHMTRVLRLGVNDRVELFDGAGGLVEGSIQKIDKGGSDVELLEDARLIAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHNIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQIGSLLPVISQSKLAFLASAEAAPLLSVLPKSSNEQSGLLIIGPEGGNKSYIHIYI >Dexi5B01G0026000.1:cds pep primary_assembly:Fonio_CM05836:5B:27875294:27875584:-1 gene:Dexi5B01G0026000 transcript:Dexi5B01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGASRCAAAERRPFTEPIEIPGVVVSGARAYERAEEEDQNGEVVPPHVLLARRRAAAAAASSSVCSGQGRTLKGRDLRRVRESVLRMTGFIES >Dexi9A01G0040170.1:cds pep primary_assembly:Fonio_CM05836:9A:43999287:44003624:1 gene:Dexi9A01G0040170 transcript:Dexi9A01G0040170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEMLQFASSLPLSRFLQPFALAETLCGSPLYMAPEVMQAQKYDAKCLMVLFLTEQADLWSVGVILYQLVTGIPPFNGDNQIQVFFPFCFSDDAMERLTVEEFVHHPFLSEHAPERTISQTPSDIRDGFAINNSSPTRPSSQSSQEDCMPFPLDDETSGQDENPVLDTSAARESPSQDMPMKGLSADVPRLLANSQLADDAYMQIERQFLTEVEYAEELASTVGQIADGTEMPDAIEIIFQSALHIGKRGGVDEMFGRAAHAMTGYTRAVSMLQFLLIEAPSLAVNPPLTLTRSDRQRLRSYIEAINTRLGQIQSQRH >Dexi6B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:6B:13683027:13692196:1 gene:Dexi6B01G0009380 transcript:Dexi6B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRCFFPGSAIGRGFVRPFSSRAVAAPSREAEPAASLGHRTRVDFPILHQVPDHGLIYYHWNLQEIDGAKLVYFDNGATSQKPNSVLKTLDEYYRSYNSNVHRGIHALSAKATDAYEDARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSAILPWQFVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVVHHVSNVLGSMLPIEDIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGIGFLHGTFEMLSSMEPFLGGGEMIVDVFNDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLLCIGMDQIHEYEKELATYLYESLQSVPNVRIYGPAPSQTDHRAPLCSFNVDNIHPRDIAELIDLQVC >Dexi3A01G0029280.1:cds pep primary_assembly:Fonio_CM05836:3A:30728988:30731286:1 gene:Dexi3A01G0029280 transcript:Dexi3A01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAAAAAETLEGVDHGNGKPHILVVPFPAQGHMLALLDLTALLATRGELMNTKDLPFHLFLMFLPSLAPLHVPLLSWCRAQRNRVTCIVSDMFMGWARPLAEELGARHVTFSPGSALYIAMACHLWSHMRRRTHNIDDDDDELAIVFPNLPGVPSFAWHQLSAIYRNHKDGEEVSEAIRQILLWSFGSGYVVVNSFGALEPSYLELHHIPSPLTAKPRVLALGPLSEAWANSGDRGGKPSVPMTKVWRRRAVGTPCMAMETGAALRHGWAVACMVVVAGA >Dexi9A01G0037040.1:cds pep primary_assembly:Fonio_CM05836:9A:41372890:41375323:1 gene:Dexi9A01G0037040 transcript:Dexi9A01G0037040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNNSPDRQSSGGGSPEERGSGGGGGRGGSGGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAASSAAAHSSPTAASSPTTTVGFPSSAGAAAAAQYHHPLAAMAGGSAACCQYEQQASSSSSSGSTGGGSSSLGLFALGTGGVPGGGSSGGYFLQASCGASSPLASGGLMGDVDSGGGGGDDDLFAISRQMGFTAESPSVASSASVAAPSTAGHHQQQYYSCQSPAATITVFINGVPMEVPRGPIDLRGMFGQDVMLVHSTGALLPVNDYGILTQSLQMGESYFLVSLRILLLITI >Dexi6A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:6A:1711968:1721490:-1 gene:Dexi6A01G0001780 transcript:Dexi6A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLRDKVFDILVIDEAANLKECESMIPLASRRINHVVLVGDDKQLQSVVKSTIAKEKKFGRSLYERLSELGFPKHMLKIQYRMHPSISKFPNEEFYAGGLEDGPNVKDYNNTYLDGHMYGPYSFIHVEDGYEENIGQGSRNIVEADVAANIVARLAEVCTVDSCQGDEKDIIILSTVRHNRSGNIGFLECDKRTNVALTRAKNCMWILGHETTLLQHKTTWSRLVKDAKDRQCFFDARDDYSLARAMDQSVRDNVSRPNIKFQCAPDAQLAGDSCGEASMDNNPTASLHEASHDMMSVDENLLKYSGEASKENQADSSMDNKKIEEKTMCDDSANSAKTKMCDDDKNDVNAEGNKEIQDTTEGNKVKEVPMQAEVAAEKTCQLLPSCSRKRINSLQEDQPAGQFAEQVHLAQLPPRPSRKKACLSQSAPIAQVTVEMAALEDAQIDLLGALPELAEAVARTPVNYEERKERENAMDIVFTQTHPSDALKKGKEKVVEGESACWEPEEQLWVAARCIHAMDRELHRRDQDVGMLRREREELKKKIDQLAKEKAELTQSNLQLSKEKKILVDEVMAGSLRQHELEEEMEEQHATFKIHLDDLTRRKRRALAARDKAFQKLQTYREVTDEEIRELMAELQELVNLNNQKAHTIRQLMMLDLTVRVTYQTRIAMVAEELEERIQELDRKGAQLRETVGYLDTMDAELIRTEEELVYHRQEALRLRDLEAWVLAEYKDGEQQEVGLAPAPKKPCRDDPFLRYFWGPGSEAHLEEYDRACAQRAQEEQEIDQ >Dexi7A01G0010950.1:cds pep primary_assembly:Fonio_CM05836:7A:21638281:21641465:1 gene:Dexi7A01G0010950 transcript:Dexi7A01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKMRKAKRGNDVTGSFPKNKKTCNTEPMVSGHLHENQNEDVWSGLSDEQISCFSKSVASITLCTVHRDLNQEQLDLDSMGYPKLPSTMSDGEKRFFACTGFFIEWNGSMVILTSASLVRNSVDENKIVENLRIEVLLPHNQCRKGKLQDYCLHYNIALVSVIDYHARRPANGLLRWKSTGEVAAVGRCFKSGALMATTGNLVPWSGTLDCKDLIHSSCKITKVE >Dexi1B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:1B:333538:335016:1 gene:Dexi1B01G0000300 transcript:Dexi1B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRRSLSMSRLRSCHEADDRGWNPLHVAARKGNLKEVRRLLDEGMDVNAPAWGPKSPGATALHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKKAVRFLIENGAFLPPEMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSPGESSSSSD >Dexi7B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:7B:24874022:24879178:1 gene:Dexi7B01G0019360 transcript:Dexi7B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDSPLRAAVVVAAILYTLCGAGGTEVLSKSRLESCERDSDVGGRLSCDKKLVLDLAVPAGSSGGEASLVTKVVEADNGTQAAAAARSIRDPLVITIDKSAVSAVYGLTYYMDVAYKPEEHFVQTRKCEPDAGGDVVGDCQRLWNENGAVIEHTEPVCCPCGTNQRSPSSCGNICKFHVWEIGTSLLWFNIRVEVKRGSSVSEVIVTPENKTVVSSDNFLRVNLIGDFAVYERSIPSFENMYLVTPRKGAGSGQPQDLGDEHSKWMLLERVRFGPECNKIGVGYEAFQNQPSFCSSPLSNCLNDQLWSYWESDKSRIDRNQLPQYVVEGRFQRMNQHPNAGVHSFSVGVTEALVSSLVLELRADDIEYFYHRSPGKIISIDVETFEALSQVGNAKVTTKNTGKLESSYRLMFSCLTGISNMEEQYYVMKPGEVIIRSFDLRSSTDRAEKYQCAAILKASDFTEVDRVECQFSTTATVFNNGTQIGSTNKREKGGIWGFLDAIKASWRNFWDFVTNFLTGKSCRYF >Dexi9A01G0021920.1:cds pep primary_assembly:Fonio_CM05836:9A:16892859:16893236:1 gene:Dexi9A01G0021920 transcript:Dexi9A01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADEGNWNVEQPPLIRNPNLHCTLDKQKAGDSATSRGRREHQARTPVQGFHDGNPASTSSPKTPEWSVAGARKNSRGPYSLTPTPTPPQRRRRKPNPTSRGTKSTTPGKDPRIPLTSEAKKAI >Dexi9A01G0046400.1:cds pep primary_assembly:Fonio_CM05836:9A:49838837:49844194:-1 gene:Dexi9A01G0046400 transcript:Dexi9A01G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIRWQHRSNDPASPSGQPQQAQGQPPPTSPSPASSPSGTGAAPALSVSTASSSPPSASAAATPTGAGAGGGGGGGGGGEDYISSEEEFQMQLAMALSASSNSDCVGDMDGDQIRKAKLMSLDRFAAHRDEGHTAESLSRRYWDYNFLDYHEKVIDGFYDIFGSSMESSRQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEIEQVAQCILLDFPVANIALLVQRIAELVTDNMGGPVKDANDMLARWLEKSTELRTSLQTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGGSDDDAINIIKMDNEREFLVDLMAAPGALIPADILSWKGNSLNSNRKLGLNQTAGSSSSIDANLGPSALLPEPKGGQMPLFSSGDWISDSKSGYETAAATASSQTSSSVFDSSWTLVSHEQLDGPSTSAGTSSQEKVVLEGEHPQNLNRVPDLQENPESRNLFADLNPFGGIESKKTSVAFKGPDNRNNDLQKRRENVAPSAGRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNVGNNAASSSSQMPRPATRNTNLNAGLRNDTSYAAQLHNYDNIKAGPSAMKITSTAETGNVPERVLHGDVERRPTNSRLEDQHGLVQPAHERLPWGNPAEGRVPVNRVQNQAKQRIENLDVKQDHKKLLPDPKKSPLDRFMDTSTPSRHIDVRSQRLDFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVGELLSVCILEFTKYVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCLIDEKRRIKMALDVPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIYECWQKDPNLRPSFSQLTNALKTVQRLVAPSHQETQSPPVHQEISVNSTP >Dexi1A01G0027360.1:cds pep primary_assembly:Fonio_CM05836:1A:33177897:33182385:1 gene:Dexi1A01G0027360 transcript:Dexi1A01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRMKGVLPIKGASGASGRDKKVPGKLELENVLDRYFGYSGFRGKQLQAIEAVLSGRDCFCLMPTGVGKSMCYQIPALVRTGIVLVISPLIALMENQVATLKNKGIAAEFLSSTQTSHTKQTIHEDLDSGKPSLKLLYVTPELVATPGFMAKLKKLYHRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRKQFPDIPLLALTATAVPKVQKDVIASLCLQNPVILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIIYYLERAACDDLSMHLSQQGVSSAAYHAGLNSKKCLVIYWPCHHFQGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSILYYGLDDRRRMEFILRNTKTKKSQSSSSSSELSEKALADFSQIVDYCESSSCRRKKIIESFGEKVQPTLCQRSCDACKHPNLVSSRLEELRRVSNCRFSKISPVFQSSLVNQAQLDTEFWNREDEVSISAEDISDSDDGNEIVSNIAIAKIPAKAGLEAKFKALECAEKAYYQGKGQTKQQGGGLVDKKSISQALRDACRKRLLDALGQAKLRLGNLPCDEAASATHLETECFKKYEKVGKTFYNSQVAATVRWLSSATSNQMHDRYRALIDQATDHGAPSSPDIVPESPPATTEAIGTRPGETSNYEANDKPQNIHKLEEMKHSDESAKTAAPSAGNMELPLPAIPSFREFLNQKGKDRASSSSNSNVGSQSSGIRRKSSGLVEKQACKKMKS >Dexi2B01G0034520.1:cds pep primary_assembly:Fonio_CM05836:2B:41748279:41749820:1 gene:Dexi2B01G0034520 transcript:Dexi2B01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTHHRGEKNQGRAISRAHAVADLSHSRSRPAPQSRSVAEWSGGEKGIRGMGKRRRAAAPVGEELISPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILIAAGGTHVACNIQIKKPICIIGGGELPDDTVLTCSRGSDK >Dexi5A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:5A:5911837:5912770:1 gene:Dexi5A01G0007960 transcript:Dexi5A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILVLQAVLVMGIFAAVAKENAAVGESKGNTETNDGKLGCCTNCNFSFSGLYTCDDIVSKCDPVCKVCKVVKKNPVKQFQCTDTFIGICGPPCKKN >Dexi9A01G0028080.1:cds pep primary_assembly:Fonio_CM05836:9A:32691188:32698035:-1 gene:Dexi9A01G0028080 transcript:Dexi9A01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSYCVEDNAGEEEAAIVAGKLEGHADNADAARAPATGYNHDAVIIVCQPAVDALENREKLQSYNYFHRFTSTDWWPFLSFDVVRDQLGPVRSDFPHTLYGVAGTKTPQGTPNYVAIFMLSNMKGKMQKPGGECDMDSNRSSDDREDTKNVTHVWDFSSFLNSLADSGVEDQIIHKHVPLKIFSGHKDEGFAIDWSDFNKCIHLWEPTSSDWNIDTNPFVVLSKSVEDLQWSPTEANVFASSSADKTIAIWDIRTGKKPLANRMIASGSDDGSVSVHDYRLIKQGQKHWKEVHWHSQIPGMIVATGIDGLDVLMPNIAATLP >Dexi2A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:2A:30372793:30376382:-1 gene:Dexi2A01G0018350 transcript:Dexi2A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATDEAALEARLQALRQRLGKKQQFEEAVADLAALLRDRYAGASPALRKSMYSTVCRVATVLQTRYTAPGFWRAGLNLFVGTEKLGIIEHPPRAPPASKELVANLPVLTVTDEVIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRYELRTDDHAYESRKEREKEEEEDRRGAANAVRGGEFMYI >Dexi9A01G0032660.1:cds pep primary_assembly:Fonio_CM05836:9A:37575535:37576540:-1 gene:Dexi9A01G0032660 transcript:Dexi9A01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIPARRSNGRLHCAASRASSCCWRDLDAAERGLRKGKLHWVGHLAGGGARLQWAGQLAGEEWRRRRVLVAPPPAPQRGCSPSASSLSICSSSRVHSGGGFPHRAHIGGGGGAPVGCASLCLLPSPRRQYPFPSILAGRQALAWGPRTRGGGLAGARTGASRLPAQSPVVAARVREFCQCQVCRALLCVLGEIPAGGERAPSILTHREGSVAPPCTNR >Dexi1B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:1B:25256016:25260231:1 gene:Dexi1B01G0019130 transcript:Dexi1B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNWLGFSLSGQDNPQPNQDSSPAAGIDISGASDFYGLPTQQGSDGHIGVPGLRDDHHSSYGIMEAFNRSQQETQDWNMRGLDYNGGASELSMLVGSSGGKRAVEDTEPKLEDFLGSNSFVSAEQDHQSGGGYLFSGVPMASGSTNSNSGSNTMELSMIKTWLRNNQQVPQPHQAPAPHHQINGQPEEMSTDASASSGFGCSDSLGRNGTVAAAGAGSSQSLALSMSTGSHLPMVAAAGGGGASTGAAAAAASESTSSENKRASGAMDSPSGGSAVVEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRNCTIFNFRTFVCTERNSVSSSRHHQHGRWQARIGRVAGNKDLYLGTFSKRAFPNLLRTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKDAVDHVEAAAGATIWRAATDMDGAVISHLADGMGAYAASYGGAHHGWPTIAFSQQPSPLTVHYPYGQPPSRGWCKPEQDASVAAAAAAAHSLQDLQQLHLGSAAHNFFQASSSYNNGGGASYQGLGGGGSSFLMPSSTVVAGDQGHSSTASYGDEHQEGKLIGYDAMAGGDPYGAAAARSGYQFSQGSGSTVSIARANGYSNNWSSHFNGMG >Dexi3A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:3A:11812758:11815142:1 gene:Dexi3A01G0015860 transcript:Dexi3A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPMLGSIANRWRELQGANSWAGLLDPLDIDLRTNLITYGELTQATYDGFNQEKRSPHCGACTYGYSDLLATSGAAAAGYYTITKFFYATSALPVPEAFLVLPLPDLLPESWSRESNWMGYVAVATDAGVAALGRRDILVAWRGTMRNLEWVNDFDFTPVSAAPVLGPAAATNPAALVHRGFLSVYTSSNPDSKYNQSSARDQARTKVLQEVSRLMALYKDEVTSITVAGHSLGASLATLNAVDLAANGVNAPGGSSQPPCPVTAFVYASPRVGDGNFKRAFASFPSLRALHVKNAGDVVPTYPPLGYVDVAVQLPIDTGRSPYLRQPGTIPTRHNLECYLHGVAGEQGSAGGFQLVVDRDVALANKGEDALADKYPVPADWWVAKNKFMTKGADGHWALQDFEQI >Dexi5A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:5A:10112821:10115957:1 gene:Dexi5A01G0013510 transcript:Dexi5A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVGAMHPLLHKLGELLVGELTLERRVREDVLSLHRERAFMHAKLREVAELPRPGEQVALWAREVRELSYDMDDAVDAFVVRLEGEDPARGGGLKSRAHELLKRTARLFGKSKALHQIAGAVGDAQARAKQLGELRQRYEMLLELKDGGGMGSSGSTSASIDQFDPRLWAMLREATELVGVDGSRDQLIKVLSNGSEKNVRTVSIVGFGGVGKTTLARAVYDRVKVQFDCAAFVSVSRNPDITRIFKKMLYELDEEKYADINEAVRDAEQLIRELDGFCRIRVRSMPSLSRFQVLRVLDLEGCDLKKCGSHFKLSHVCNLSHLRYLGLRRTYITELPGEIRRLQFLQTLDVRGAHALQELPPSISVLRKLMCLRLDWDTKLPNGLSNLTSLQELTGLRVRQDSANVVRELLCSLTGLRVLTMRWEETTDLDSDLVQSLGNLREIQTLDVYVDGGHGDLIRSWVPPPCLRRFFSEGPTSHLSTLPPWVNSSSLPRLSSLDLRLSQVRQGDLQAIGALPELRSLRLRATGRIEDRDTERLAVGASAFPSARACAFLHFATAPSMFPRGAMPMARRLEFSVRAWDAARGGRLRLDDDLAMGHLPSLEEISVELWYRRIDGDEEELVVATLRRAARSHPNRPTLRINRKRSRRPPPIGVDSTISSGERVNSASYSVP >Dexi9B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:9B:12811957:12812793:-1 gene:Dexi9B01G0018030 transcript:Dexi9B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLSPARTTRKASAPAHARSASEPTHPALARLDGAVRALRAWSCCCGGGGGGSSGYGLALLEAVLAALGELLATPRAAAALHDADHVLDAFLELADAYGTFGAALRAAKQSVADAEAGARRGDGAAVAAAARAHRRTEKELRHLAAAMRHASRHAAVVMPTTSFADATGTTEVVVISVVAEATVAAAEASAAIFSRCTAMSPDVSAMVQTVSSHKWLARLGVAPVATKVAPEMGSAALERLEELEECLAGLESESEKVFRMLLQARVLLLNIHNPL >Dexi9A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:9A:8744466:8744807:-1 gene:Dexi9A01G0013600 transcript:Dexi9A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSRLAVAGCRPPPRSRVPSSRTTQPPAGASIRRLDPSLLGPAEMGASPRAGRWRPRAPARVAVPASLRRVEATECRRQAAVDAPPLLLLFSRRRWGGRERERESAAGHGD >Dexi9B01G0047780.1:cds pep primary_assembly:Fonio_CM05836:9B:46799844:46801204:1 gene:Dexi9B01G0047780 transcript:Dexi9B01G0047780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVEHDGANAHLHHNNREPSTTEHAAASAPIGRAATANDFAAFLVLWSAAWSAGTDGASAAGGEACGASAGAGAGASTAPTSGGTRRLSSVIRQKGTLSTTVSATHVVALGDHLDGGLGAGHGGERALDELERRLGVVGRHRVVLEELGEVGDGHGLDVGGVLGLERVVVGREQGEPLVHGVLVRLEHAGLGGERGEHLAAGALEEEGEVGDGRGVEEAVELDGGGDLEDGELERVGLDLLGDGADPGAGGGGGEAVVLVVLVDDLDVARGGGGVAGGLEEGGGALVASSTEWRRSAFLMAGSESPASEASVVMASLESTRMLIDVDCRELISSHTFVSERYLLYSLYSLYPSRMAVMLRPRTDAIGSSTHASSAAATSRRIAMAPR >Dexi5A01G0025840.1:cds pep primary_assembly:Fonio_CM05836:5A:29591123:29593274:-1 gene:Dexi5A01G0025840 transcript:Dexi5A01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVHSVQPVSAAAACSCAAFPSPAAAAAEQEHGDGLLFNNLVLGSGEDVKNKADADNDEASAQKLEWLRSQIIGADAEFASPFGARRITYADHTASGRCLRFAEEFVLRNVLPYYGNTHTTDSYVGLHTSKLSGDAARYVKRSLGAGPRDMLLFCGTGCTAAIKRLQEVTGMAVPPTLRAAALAALPPPDRWVVFVGPYEHHSNLLTWRESLAEVVEVGLCPGDGLLDLAALEAALETHAPSGRPMLGAFSACSNVNGLRTDTRAVARLLHAHGAYACFDFACSAPYVRIDMRSGEDDGYDAVFLSPHKFLGGPGSPGVLAMASRLYGLRRTAPSTSGGGTVLYVSAYGDAVYSDDAEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEASEARMLALALRRVRADANPNLRLLLGAADDDHHASAPRLPVLSFVVYPPTHTQEDAELDGARRSRSTGQRLQLHCRFVTKLLNDLFGVQARAGCACAGPYGHRLLGISPARAKAIRSAVEQGYYGVRPGWTRVSLAYYTSMEEAEFVLDAVDFVASFGHRFLPLYTFDWKTGDWRYDPSRARGLAPNNVGNGSVAPSGRVKAEDGYRSYMAFAHRLADSLAAPCSDLESTRARGSIPKSVDPQLVYFLV >Dexi6B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:6B:23283398:23287153:-1 gene:Dexi6B01G0016000 transcript:Dexi6B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNSSDALDKIRFEGLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEESEDEKKRKEELKEKFEDLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDETPEADTDMPVLEDDAGESKMEEVD >Dexi8A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:8A:8368638:8371375:1 gene:Dexi8A01G0007390 transcript:Dexi8A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAGMAMLFLLQLSSPYTSMVHATDGPVVLGRKAGVADKPEDKNAPAGSGRYAVIFDAGSTGSRLHVFRFDRQMDLVGIGDDIEVFGKATPGLSSFAGQPQGAAVSILPLLEKAKSVVPTQLMKRTPLKLGVAMNYLLDRLGGDYSQTVGVIDMGGGSVQMAYAISACAAESAPAAPDGKDHYVTKEYLKGKDYSVYAHSYLYFGALAARAEILKAKNGPFSSCMLRGFSDKYIYNEMQYDATASPNGADYDKCREEVAKALNLNAPCKTKNCTFDGVWNGGGGPGQNNLYVTSSFHYLASHVGFIDSEAPSAKAAPAAFRIAARKACRLGVKKAKVAFPKVEDASLPYLCLDLTYTYTLLVDGFGLQPEKKITFVSKVKHGEYYIDAAWPLGNAIEALSPKKQTGNS >Dexi1B01G0021810.1:cds pep primary_assembly:Fonio_CM05836:1B:27619482:27619569:-1 gene:Dexi1B01G0021810 transcript:Dexi1B01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKKPRQLEPSLSSLQQ >Dexi3A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:3A:2219916:2221197:1 gene:Dexi3A01G0003420 transcript:Dexi3A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVVRPWHGLQVRTLFTEGPSAFDSVQTNLPRTPGVIIEKIQDQSSAKASGLNEGDIINRVNGTYFSNAAEMQFGVRGHEGEKTIVVDKFASSGIRNRWPFPKPIIIQKYRNGKKVLEEWYAMES >Dexi1B01G0027570.1:cds pep primary_assembly:Fonio_CM05836:1B:32264460:32268270:-1 gene:Dexi1B01G0027570 transcript:Dexi1B01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGGVSGSAGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFENENDEDERKEGSQEVMCYQFNCFRNVNDIYCKSVTDEVVIVQGEGQSPGNSFDNAGAKKSNLLSASSSNLLPDAQGLVSGARATDSARIAKFTTELSRPAVILAVCPHICGLMYGGFFCWLQGYAPPNKDRREGVLTRKRLEYVECVSQYYDIADSERSDEEITMLRQIAVDCPRTVPDVTFFQNPQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGNMDTWSMDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEQGLEFLQFAFRWFNCLLIREWSDKLQKLDFQEMVMFLQHLPTRTWAHHELEMVLSRAYMWHTMFKSSPSHLAG >Dexi9B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:9B:13782832:13785897:1 gene:Dexi9B01G0019170 transcript:Dexi9B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPTVSSRAAAAAAGAGRHHNHHLLDAAAAPPSSPHHRRRRRRRVPGCLRPRAPVRCCAAAAPAPQAAVPAAAARAAAAAVTARVFVVSDLHTDYPENMDWVRRLPAEVGAGTGPGVDALVVAGDVAETRDNFKRTMEVLRARFGAVFYIPGNHDLWLRREGGRYVSRFFPLSFLCPASKMDSLEKLTALLDACSELGVDTGPRMIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACKWPSDLANDDESIALYFDKLNDKNHDAIEEVKNSSKQILTFSHFVPSLHAHGLIVMSSVKLCRQELCPEKRMLYYPYLPKVIGSDFLEKRLRDIHCNRKDGSACHVFGHTHFCWDSVVDDIRYVQAPLAYPRERKRRMNSEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVARHFAKYHKFH >Dexi1A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:1A:5731013:5731684:-1 gene:Dexi1A01G0007420 transcript:Dexi1A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTASLSPAACRRFALSSPSLSFSSRRLPTSPAGPGLRLRAATSSSTTRRRAVVAAIAVGNKLPDATLSYFEDGDLKTVTVADLTAGKKAVLFAVPGAFTPTCSQKHLPGFVEKAGELRARGVDTIACVSVNDAFVMKAWKEALGIADDAGVMLLSDGNLELTRALGVEMDLSDKPVGLGVRSRRYALLAEDGVVKVLNLEDGGAFTTSSAEEILKALG >Dexi2B01G0032180.1:cds pep primary_assembly:Fonio_CM05836:2B:40139317:40140501:-1 gene:Dexi2B01G0032180 transcript:Dexi2B01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLRAALSRIVRSQSRLPTSSHAHPLVFPHRILGSSAAAAAFCSRSFAVEDYLVSRCGLTKAQALKAAAKLSHLRSGAKPEAVLAYLESTLGVPPAGVSRTVVTDPTLLCCNVEKTLVPRVAELHELGLSRDEIARLVPLAPISLRSRLLRSNVEFWLGELGSFDKLLRVLRWCSSLLATDLDKVTRPNVALLRECGMDISKIAATDMYSSLLFVINPSNLKDSVQRVEEMGIDRAAGVFRYALAIFAFTDKEVIAMRIQLLHTLGFSKDDVLAMVRKQPFVLGLSEKKVRGNLNFLMKDAGLEASYIVQRPVLLLYSVERRLLPRHCLLKVLKEKGLLKGELSYYFTASMAEKDFVKKFVLPFKNHVPGIIDDYASKCLKKATDGIGLPK >Dexi1A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:1A:707074:707897:-1 gene:Dexi1A01G0001090 transcript:Dexi1A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRCYFVSASLGEKLYITGGLGLTDKSPNSWDIYDSATDSWCAHKNPMLTPDIVKFVALDEELVTIHQAAWNRMYFAGIYDPLDRTWRGTENEIARCFSSPTIVVDGTLYMLEQKLGTTLMMWQKDTKEWVMLGRLSDKVTRPPCQLVAIGRRIFVIGRGLSIVTIYLDTAARVDGMLVTSSTGPLVEQDLSPERSKVITI >Dexi2A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:2A:11697179:11702537:1 gene:Dexi2A01G0010530 transcript:Dexi2A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDCLISCGELDNENVEVRKARRMKIPIVREDYVGECIRKNRMLPFDLYKVENTLESSKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNMSDLARGVNSYYILQIIEEDDGSECYVFRKWGRVGNEQIGGKKLEEMSKSDAIQEFKRLFLEKTGNPWEAWEQKTNFHKQPGRFYPLDIDYGVKQAPKRKDITETKSSLAPQLLELMKMLFNVETYRAAMTEFEINMSEMPLGKLSKENIQKGFEALTEIQNLLKNTADQALAVRESLIVAASNRFFTLIPSIHPHIIRDEDELLIKAKMLEALQDIEIASKLVGFDNDNDESLDDKYMKLRCNITPLPHDSEDYKLVEHYLLTTHAPTHKDWSLELEEVFALDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILNQGLRIAPPEAPVSGYMFGKGLYFADLVSKSAQYCFVDRANPVGLMLLSEVALGDMYELKKATSMGKPPRGKHSTKGLGKTVPLESEFLNWRDDVVVPCGKPVPSSVRSSELLYNEYIVYNTSQVKMQFLLKVRFHHKR >Dexi5B01G0035370.1:cds pep primary_assembly:Fonio_CM05836:5B:35369753:35371003:-1 gene:Dexi5B01G0035370 transcript:Dexi5B01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLTADQETHGTCAYPEIQDEYDYFSTALYLYSKYNVTKVLKKAHIRTASGRKYAVGHIVAVIEYAFGAMPSLVCKNGSVQELRLCFHKDYQPRDCTFETDKAPNSRSYCPRYVTFPSYKPSVMANATAGIGGQANAELHAYG >Dexi8B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:8B:22307163:22308044:-1 gene:Dexi8B01G0012870 transcript:Dexi8B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKEDFGGVGGGSAPAEKLEAGEVEIKVGTVPSAFMPVPLPSGRHKRWAKEADSVSGLMLRPCSGSRAAAEEGKMADLVYGGLSPCDSGRTLTGGEAKADSDSVSGGLNACSGILAEKESKEMGAVVAVKSKGAAAGGSPEGGKPAAAAAAEDARAVDDGEEDSEVKWVEMPLDHIHWILAQKRENNTVPPIEDYDLYRSEEDAKSTVFSQKSIDETRELFTRLHASLQASHDDFFEYQAWVREVYESNGRVLIPEACSKDELQKEINDAWAQFKEEYARDHPDDSDTDSEV >Dexi9B01G0038180.1:cds pep primary_assembly:Fonio_CM05836:9B:39320034:39321867:1 gene:Dexi9B01G0038180 transcript:Dexi9B01G0038180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFARCCPSPLTPASTSAPSTTGAPSARIKIRRSRRAAHRIAAKEPSRIVAMAAAAPASSVKEVLPSPLTSASEPPPIFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKVKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYLDSNFEGPSLLPDDPAKKQFAEELLDYTDAFNKAIYSSIVSKEDVSEETVAALGKIEEALGKFNDGPFFLGQFSLIFLSGIKNYDITKGRPNLQKFIEIA >Dexi4A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:4A:1164321:1164535:-1 gene:Dexi4A01G0001770 transcript:Dexi4A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRCFGGGGRRESPPCAARKFFSLRRTPFPGISSSSSSAAACSVAVRCRRRRLRRGAARLPKRGSK >Dexi5A01G0033260.1:cds pep primary_assembly:Fonio_CM05836:5A:35611929:35613256:-1 gene:Dexi5A01G0033260 transcript:Dexi5A01G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASGSAAGELDAAEWRTRAPGGTEYSWCRAVPGGTGTTLIALRLSRGAAAEVAAPAQAALSSLQSSHPALRARLRTTPSGPTLAFPSSTPPPLPLEPLPAPESAADFDALLEHELNNNPWADPESSGDAPVFFASLYELPPATGGAALFVRIHTVACDRSAASALARELVALLGGGEEERAPEDAAAEAALEERIPQRDTWKPFWARGLDMVGYSINGLRTSTLPFVETGTVRSTQLLRLGFGHDETTRLLDACKENGVRLCSAMAAATMLAARQSKPLESGQQETYSIVTLINCRKLLEPALDDHNGSSTPPSPTHTRSTARKGCGSWPRGATTRTRTPRPTRSTSRISATSISSCAEPSRTPS >Dexi7A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:7A:24003789:24004492:1 gene:Dexi7A01G0014010 transcript:Dexi7A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSHEEPEGSSALPLVRLNHVSFQCATVEASVDFYQRVLGFKLVKRPASLDFEGAWLHRYGMGIHLLQRGSDSESNDPPLPAARPAAINPKGNHISFQCTDMGLMKARLRDMKLDFVAARVRDGETVVEQLFFHDPDGNMIEICDCEKLPVIPLADAAAELPNLFGD >Dexi3B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:3B:13149628:13150349:1 gene:Dexi3B01G0017780 transcript:Dexi3B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYKARQRISAKAALAHPYFNREGLLGLSVMQNVQLQLFRATQKDYSEAARWVIGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASVLRVQRKIVRTIKESMDELTSQRKSIWWSRWIPREE >Dexi8A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:8A:422208:424807:1 gene:Dexi8A01G0000630 transcript:Dexi8A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGKEEMATTTPLVVSSCNGCRSYPPPHRHRRFPGDPRPTALPPLPLPSLRAIPLLVPLPRLLRRRNVSAAYGDDDMDDDFGDFDADDADGVGDDDYMDNEQDYDVDYDRLLAPVKAPLPSSLHGEEGDIAMVAADSFVSTQDSASDTVVDYAVYEDEFHKIRLLHCDFLIRKVPDPDDDVYDFREMYVTPPDTDIYSIPRVLTPMPQKYVRCTKKDFGRYNVTEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRADDPDFFLDFEEIYVIDSKTKSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWTKSRQDVEKHFRKLRDFDYSNWF >Dexi9A01G0043600.1:cds pep primary_assembly:Fonio_CM05836:9A:46985156:46985398:-1 gene:Dexi9A01G0043600 transcript:Dexi9A01G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPVVHPMEVAAAAAPAPAPAPANPAQQPPGVLMKDLPGMPGTPSGLALRVTQLLLAAVSLAAMSSTSDFASVSAFW >Dexi7B01G0023790.1:cds pep primary_assembly:Fonio_CM05836:7B:28324671:28328436:1 gene:Dexi7B01G0023790 transcript:Dexi7B01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAVRPPGPDPPAEDPEQEEEFYESLDRILSSSCSSTSASDDDADHRRRRRAHHHHPQPHASSAYDVWISEPTSVEERRRMLLQRLGLSSDPPQQQEPPSPRRSPSPSGSPPASPPPEPAAEEPRSGGLGKPPLARNPSSSGGEQCRIRNLDDGTEFEVVEVHEEVVREVGTGRQLTFEEFELCVGRSPIVHELMKRATTAASSSASDHASPASKPRRKPGGGWLRGIRQLAGSVAYGRRSTDEGEKEKKEREARRLSSATDDSLDGSGSRNAGRVRVRQYGKACKELTGMFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGERKGELLGEASVAKENGGACSPFLAVVGNDSPEIAALSLTCADGGYVEKKRRPRKQSNRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLISSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVRDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGGCHIFDTSEKKLQYKSNIDLRIRKKKSGQKKITGFQFAPGSSLEVLITSADSRIRVVNGDEFVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHESSSHPSRSRSTVDVTNSYEHFHCHGVTVAITWPGSEARGSFGSRSSRHSDSDGAVSSGRDIPVENTEHNSDPVENSESPGCEGVGSRSSGKHPGDGASTSWPDEKLPSAKSSPGHCSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >Dexi5A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:5A:23835982:23836428:-1 gene:Dexi5A01G0019950 transcript:Dexi5A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDGDAAASKEKGGSGGGHERTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYQDAIASKDFSKLGSFEL >Dexi3B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:3B:11877219:11877822:-1 gene:Dexi3B01G0016320 transcript:Dexi3B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSQHALLLLSAVLASLVAGSTAGVYHIVGAGKGWRMPPNRTYYDDWARSRQISIGDKLMFLYRSGVHNIVEVPTRALFDVCSMRNITSRYQNGPTIIELGEAGPRYYFCGVGEHCEVGQKLAINVLLVAPPQLDTTNAGAAAPACLFRHAAGLAAACSLVSVLLLLMVV >Dexi2A01G0030530.1:cds pep primary_assembly:Fonio_CM05836:2A:41437601:41438874:-1 gene:Dexi2A01G0030530 transcript:Dexi2A01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIPSAVRPPLPSHSACFASSSVPRVRAASAHYCASLKQARPSTVVAAVTGRAAASLLAAALFLAAAPPGLPAAVSPAFAQPVSEGAALFRKACIGCHDMGGNILQPGATLFLKDLERNGVTTEEELYNITYYGKGRMPGFGEKCTPRGQCTFGRRLSEDDIKLLASFVKSQAENGWPKIEGDGD >DexiUA01G0008000.1:cds pep primary_assembly:Fonio_CM05836:UA:15017281:15017962:-1 gene:DexiUA01G0008000 transcript:DexiUA01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDFLGCGRHDEGNGELGHHPWTCFTEASVTSNQSSVDWTSWINVPSAVELSEAGISFKESNTNSVCDIDFKNGVLRMPLVKVHDGTEKIYLNLMAFERQYMSKGLLKSGLGSDKEVAELFNSVSKGAVMSPFCTLLDVRQKMNGHCRKPWNKLRASFEHTYLSNPWVFISLVAAVVVLVATVMQTIYTVVPFYTQR >Dexi2A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:2A:8306053:8306631:-1 gene:Dexi2A01G0008050 transcript:Dexi2A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVAKMQPETTKKPADGLTSPADWLSALPDALLHAILSFLPAPQVVRTCLLSQRWRHLWRSSPYIKINEQDFGISLRPQMTHASLDEKWARFEDFAANLLLFHDNTSSLDEFLVCAQIQSYDLAFKLPPMASSSFHRLKRLCLHHVDLDGQFTYLLSSCPVINIGA >Dexi1A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:1A:24546156:24549964:1 gene:Dexi1A01G0017170 transcript:Dexi1A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPVDVKTVQRLSGADKKKLRRASKERFPQASDADLDAILPPKGEITLAKYPNHALVYAIEGEFPMIFNIDARGHDLFPTVYALWKVPHLLPAFTLKGGEVSRYVIGGADLMFPGISIPPEGFPSFEAGQPWAVKVPGNPAPIAVGITTMSSTEALKAGLRGKALRIVHYYRDMLWDSADGRYVPNEGFFEDIVVEDPNYVSTSQSPDPAEETAEVTHDTEDAAADSSDTADPGLNSEATEEITDGVNELKLPEDKSNEQAPDEKEHQNLTTEEIDSLLDKCLLQALFTILSSVYFFRSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLISAKEDKYKKEVILQSINRKHPDYMAFKPEKRVQEPVEHEKAVAESSVTKQLEVAEIYKPSSHVKPIFVAVEADMEKYYSASEASDRVFRYVEKENLVKPTDKAKVILDVTLCDALYKGAIKKGSAYPTEIHKKDLGSTFLNRMQVHHKVTRGAQEVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQYEVLVQGGVIDDLAKHLVDHYGVPKRYIEVYDKTKR >Dexi4A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:4A:1596763:1597362:1 gene:Dexi4A01G0002350 transcript:Dexi4A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGATQALAMPTAAAHRGGGGDHPRCAHHHLLLERMSPLRPASLGAAAADRRLTRLLVNVTVDGSLWPLHLVLPADATVADLVRAAVAAYVREGRRPPLHQHGGDGFELHFSKYSLESLKPEEKVLDLGSRNFFLCARRSAAADA >Dexi4A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:4A:16297650:16300020:1 gene:Dexi4A01G0014320 transcript:Dexi4A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding DWDLQRETGPMEAGDAGNGSAAAVQTKGSGDDASHKPLPPCCVKAKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKNVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKEFFPNLSIGYKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFIQTIARALKPGGVLCNLAESMWLHTHLIKDMLGICRQTFKGAVHYAWTSVPTYPRYYALAFFDFTLVHFFLR >Dexi2B01G0032130.1:cds pep primary_assembly:Fonio_CM05836:2B:40068287:40072207:-1 gene:Dexi2B01G0032130 transcript:Dexi2B01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVYSSEPGVKSLRCMDLKRLQLFYPTTPPSPTTDGHRLESAAVDAGNQKNKQQAPDLEMQSIRLPSPSFTFQACMSHGPGNRWSVSCFPLAERMVLCTDQCGRSFLYDGDKQAVIMPNLHKPDKSTPISLFVPSEDSSGGGSLFLMESSTPGVKLLRRINLARQQLFYPDTPRRSESAWVKEDLPLNMEMIRLSGRSFSFRASDSDLKNERKMDCFPLGDCKVICADNSGGFIFDLSTRRVGTIPPICKPSIMPISVFVPKADVDDDIYHEGNGSSLFLMERFLQLEEAGIQESNQFVGVINRMPATFRSNKSWHCHILAPPPFLREPCYWDNNRPEITAYGVVGGGSQVCISVKGVGTYCLDTASHTWSEVGKWMLPFGGKVEYVPELKLWFGLSAGAQQLAAADLSNMDCEPQLVGPWKELEVPEEWKQCKDSQFVNLGSGRFCIARFFQDMATGAGSGGQINNENFAVLTGVEVNVGGNGKGAGVEVNVGGNGKGRGGKKGKLQMTPHKSRRVNNTSIEVLSSWNTAASGWVLTTGRTNPRAILNLPVPPTMAPSRTSSPRKPYDRQRAPAVDR >Dexi8B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:8B:25709400:25709978:1 gene:Dexi8B01G0014910 transcript:Dexi8B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEASNGETSQTGNDWMKKEVMLCFKKYVERSPDLAELVDYHLGDLLHQCFNVESYDKVFHHYNFTVRMKMPNSDLYFAEAKEIFMRKYYICCPLEPNENGCCYACKSQGVNDLRHPAIDVFERGSRDSPCGLWYTDE >Dexi8B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:8B:19578493:19579182:1 gene:Dexi8B01G0010980 transcript:Dexi8B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLYDGGRNGPEKNIFIFFSLSLSPSLFYTPKKPVGANRRASRRPRDTIVSPRIYARVSPHRRPASRDSLAYLTSVRSSSRIRGSSSQEIITGGREGRRRPHLPLRSEERTRPRRRWPCPTGCLMTRRPLHANTSAARPSSFRRVAGVNRLKTPGRSTVAARSRTPGVRDHLLETFVPTSAVYIRGLRALQRHHQGRSGAPPFRDVADAVYGLLNGEPLTLHHVEPPV >Dexi2A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:2A:8420883:8426850:1 gene:Dexi2A01G0008190 transcript:Dexi2A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGGARLHGGVGVAKCRPAAALLGRRGVCFRPAARRLGTARGIRADLPRASADGGAPAVTAGLAVAVPEAGDATTEQVAAVAQPVAVPEKHDKVGGDDVDDGAGGNGKFPPGGGGGGGDGENGGGGDGEEGEDEFGPILSFEQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELSASEGLLGRVRHAYDALPSSVFEAERPGYNFSVQQRIGTYFFKGILYGCVGFGCGIVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGVFLGVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNVYGGMQFVDWARMTGFANHNDAVACGLLLFHPNLSNFRGFKLKTW >Dexi5B01G0038650.1:cds pep primary_assembly:Fonio_CM05836:5B:37754301:37766895:1 gene:Dexi5B01G0038650 transcript:Dexi5B01G0038650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASAAINLGLALVTLSLFSLLKKQPGNAPVYRPRRMAAGDPGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLEALVVIRLFKFGYVIGGGWIKCFTVCSIVGVLVLAPTNYTSEGRADIRRSNSMELFTVTNVARGSNRLWVHFSCLCFISFYVVYLLHNEYKEITGRRIEHLKYLRKRPDQYTILVRGIPTCPDHGTYGCYVDHFFSKHYQTYQSYQEVPVAFVSFKSRLDAAQAAEMQLHVNPLSLVTTYAPEPTDIIWKDLAIPFWRMAMYKLGVFLAAFLLTVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSVVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSVLSGSLLDQLGESFTHPKDIPSRLASAVSAQMEDVYDITYDTCGQYWPNIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVLNILFNEYCKVRFLPTFHHRPVQVAKLSDELDEAEGTTAILDGAIGAYKPPWMHPTNLESTSVQPLNV >Dexi7B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:7B:22726274:22727916:1 gene:Dexi7B01G0016700 transcript:Dexi7B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMVGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPLEICQVACLNRAFRGAASADCIWTAKLPANHRYLAALAAAADDDCDCEGAAEGNGRCCSAAMIKKEIYARLCRPTPFDGGTKEFWIEKDRGGFCMSISSKAMSITGRDDRRFHSVAYLQQIWWLEPILPTPFGSTTQVDGPSRPWL >Dexi1B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:1B:4815428:4816024:-1 gene:Dexi1B01G0005930 transcript:Dexi1B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVRFGPAATDNATLALAADDLYFLGFKNRTENWHILKGGFKGLPAAAGSTVVTLPMGENYGHFVSGGHKNLVTVPLGRQSAIQAARDLASYDSSKTPDRVVKQAMARFMVMFSEAMRFRVVRDTFEGRWDEKTFITKKVAEYIVYWGKLSRLLIKWQQSVYRPWGGTEDADAVATVLGIKNANDAWLVLDFLLLPY >DexiUA01G0017820.1:cds pep primary_assembly:Fonio_CM05836:UA:37826583:37829971:1 gene:DexiUA01G0017820 transcript:DexiUA01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSFSDPLTGDDAAAGPGGRGASRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNEVGILSNLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIRAIVFCTTSSTDTEIYKRLLPLYFPRDKQEEEIAVLKLPADVGDENGETDSFIND >Dexi9B01G0024210.1:cds pep primary_assembly:Fonio_CM05836:9B:20075661:20076656:-1 gene:Dexi9B01G0024210 transcript:Dexi9B01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLRSFASLYCQKLRSTTRLGPAALPRFQAVNQRMFSSSGGTADAGPPPPLPRQYNEQQRTPFFTWARLAIGSALAAAAPFLHSRWVSFLRIQSEVEMVKDTAEAVAEVVEEVATVAEKVSSEGGRMRTAAMLVEHASKEVAQEAHLAQDIIHKVDEIEEDVKAIIAPIVDHSKHVHDNSSQKRGNRR >Dexi5B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:5B:12589030:12594377:1 gene:Dexi5B01G0015390 transcript:Dexi5B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQPARGGIISIEACARPIAVDHRISLPYYFRIAGSLLRQANIYRNERNLLDLYVILLRYSSLLCETIPKHRDYHAFRLREKAFYDKLGDVIKELESLKPVVQRQVTEHNRGGTVESNTNGLNGTNATTRRIEHHKPSLYTPQPFVGSANGALQKSFSVGRQIPLLPSVQPDRQIQKQFMNLPCPSEETLARHSILGPNGLHGRWNGPVTGIKVQYPSNFELTQSDVTSLVPSILNENGSHGPSTAPPDSSTNESEDMKSVLSLDDGRWSVPAEEQTPLPSASLEEELFQLNIKQPSPPPVLAEIQRPISPSSVADPTPGLPTSGTARFQNLHVPIKLMECFLRVAESNTKRSLETCGVLAGTLCEATNEEELFEVQDTCSLFTLGWIHVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQERGFHPHRAPLDGSPIYEQCSHVYMDTDIKFDMIDLRER >Dexi1B01G0026910.1:cds pep primary_assembly:Fonio_CM05836:1B:31742612:31743418:-1 gene:Dexi1B01G0026910 transcript:Dexi1B01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCVCCRLPLAALLLVAWSSTAAATSYTVGSGSGWTTGVDYTSWAASKNFKVGDSLVFNYAKGLHTVVEVSAADYMACTAANPLGSDSSGATTVPLKTPGTHYFICSITGHCAAGMKLAVTVGGSNSPASTPTPTTPRTSPTTPTTPYTTPTTPYTTPTTPYTTPTTPYTTPTTTPTCSGGGGTTTTPTTNPGMTPFMSYPSAASLSSAALAGFALVCCMIGQLALL >Dexi3A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:3A:1594326:1594892:1 gene:Dexi3A01G0002350 transcript:Dexi3A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSVPFFGSSSGKKLTKRTTSTKNGNKSSSFGYTSSSSSSDECASVTTPRTVLPPPASMASGSGGANKIIRTVTRDDLAIALRRVVSSEEELAEMLAEAAESGVLLEEIAAEAAEAVDEGELKETFAVFDADGDGRISAEELMAVLASLGDDRCSVEDCRRMIGGVDVDGDGFVCFKEFSRMMMQGV >Dexi4B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:4B:324388:325206:-1 gene:Dexi4B01G0000530 transcript:Dexi4B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSPALEELLPDLSRDEQLRLQKQSHERERIWKRTNKNKSPPLPPTFSERQRDSFMVPHVHYALRHYNARHPGGEFDAVKPLMYCSVPFRGHPWFHVNFWARSRRTNKISRFFAEVHYRPPPLSSFDGSAIPVPIPTVELCTILEEPLSQYRSSYAFCTSSLDILHPKGSRKFVCGNDKHRIEQRLPRGSITTLFHGMPFTGS >Dexi5A01G0028480.1:cds pep primary_assembly:Fonio_CM05836:5A:31749097:31749579:1 gene:Dexi5A01G0028480 transcript:Dexi5A01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPPDVSATVTSFQGLEPGHRAAGAPKFAVTLRVSNRYMWRHCFKPGSAVVAYAGVPLARADDLPGFCVPGRSTKTVRLVAAGGGLGVPSALYESMEAQRGRRERVALAVRVRLDSDRVVPHNMVDWSPMLYWCQAMLDGHPPGGRPRCAAFIMHKRKG >Dexi4A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:4A:685291:685497:1 gene:Dexi4A01G0000960 transcript:Dexi4A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWREAAVKQPGARKKGMITSGDAPFRRLIELASYGSSLPLLFSGSRAALLQREGSGEGEQGEVVGMS >Dexi9A01G0029870.1:cds pep primary_assembly:Fonio_CM05836:9A:34742839:34743462:-1 gene:Dexi9A01G0029870 transcript:Dexi9A01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEQPNLEAVKIAGSMPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSAEKVLGEVIEEIMGDHEMLTGKNTE >Dexi6A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:6A:21955390:21956766:1 gene:Dexi6A01G0014540 transcript:Dexi6A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNNAATTLLRRLRTAVRLLPLLVFAVLCYLQFRTLSRFSPTTTTPTPPCDTATAMDDLIDRLRTSVTFLPLRDTRKRGGDWFISALNDSSDPDGEEAKHLALPSPASSGRVLCVHAPPRSYATYALAWRDALPHGAALRRGLAFVSEMSYDYRNLWHGLSALVPFASWHATSRCRAVPARWALFLHGAAVRTGTSPWLASLAEATTGAEMAVETFPDAGDGVPACFEEAVVFRRQMEGLSRERLLKAFDFMRCKARAFCGVVDAPGVTTSAALRVTLLFRTGARAFKDEAAVARVFEAECARVAECAVVTARANNLTFCEQVRLLSGTDVLVSAHGAQLTNMLFMDRNSSVMEFYPLGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPHGEPCPGSPDILSCYKDRRIGIDEAYFAKWAAKVFAAAKERKMTRWRGSEAFVGEERQREAADCGCS >Dexi5A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:5A:25248590:25249432:-1 gene:Dexi5A01G0021400 transcript:Dexi5A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPPPHQAPPCPNRRRMATDDSGSGARSSSSWPSSSTSTMGGLLVRCLGQAAVPAAAVRRRTAATSWPAAEKQQLALALRLCSEVSSTADPDFLDSSSAAAEHLQQQMGGELLLLPRRSKKGTLRFGRGTEEEIEGMSSLDGGACYRHPRPRHRRRARESRGPEAPSPASRPRTLRRRPPGVRPCGDELDSVALGHGSWSTGSRELRRASCCSGRAGRSGERAAAAGTGDAWLARRAPPRSAVGDDNTMRLEDDELVVGAAGEALRLPLHVGNIHH >Dexi2A01G0036280.1:cds pep primary_assembly:Fonio_CM05836:2A:45859653:45864846:-1 gene:Dexi2A01G0036280 transcript:Dexi2A01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARARRVLASPAASGLSSILWSPRLGSASGAESALVLHLHGVPSSEASPHHARAFSSCFAPQSPEAVEEMYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLFQILQKLRVFRLSNLRINANFNDHLCMKVTEACARVGALHYGLKALWKHNVYGITPTIGSAHYLLQNAKTVNDTKLMESIMQVLRRNSLPLQPGTADIVFSICYNADRWDLLSKYADRFVKADVKLHRTAFDIWMEFAAKVGDSQSIWDINSLRGKSVKHYTLATGFACAKGSLLDRKPENAAAMIKLLYKHLPDQKKQFVKDELQKLIAEWSTEVIKRQKKDDRKVRYMIFGSIPSQSGIRYLLPAQFATFTAFKAVERSTFLDLLYISA >Dexi1A01G0029060.1:cds pep primary_assembly:Fonio_CM05836:1A:34592063:34594979:1 gene:Dexi1A01G0029060 transcript:Dexi1A01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKVPAMRWLLLLGVVLTVGVGVSPAHASRANHYDFFVSLLIHPLVFISFIQKLNLTHFAGFNHVISLQIKETKITRLCHEKTALTVNGQFPGPTIYARKDDVVVVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGANYTYTIIFTEEEGTLWWHAHSDFDRATVHGAIVIHPKRGSTYPYPKPHKEIPIILGEWWNVDVEQLVKEVQRTGGDVNISNANTINGQPGDLFPCSKDGTFRVAVEHGKTYLLRVINAGLTNEMFFGVAGHNLTVVGTDASYLKPFTVESIMIAPGQTMDVLLEADRATDGSCNSRYYMAARTFATNTNIDFDNTTATAILEYVDAPPSAGPPEFPNLPAITDIAAATAYTAQLRSLASVEHPVDVPTHVDEHMLVTIAVNVLPCGSGNETACTGPGNNRLAASLNNVSFGNPSIDILDAYYYSIRGVYEPDFPNRPPFVFNFTDPNLPQTFWPTKRATEVKVLEYGTVVEVVFQDTAILGAESHPMHLHGFSFYVVGTGFGNFDENKDPETYNLVDPPYQNTVAVPKAGWVAIRFRAANPGVWFMHCHFDRHLLWGMDTVFIVKDGKTPDAQVMRPPPNRPRC >Dexi9B01G0047200.1:cds pep primary_assembly:Fonio_CM05836:9B:46394963:46401466:1 gene:Dexi9B01G0047200 transcript:Dexi9B01G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSALLSRSTTGSSTTTRVTAAAAAAISGPAAPSSSPPAPRPSPRPRRTSAASPFASGLAGRLFGGHRAASRSASSATAVFERRFASAATRNTYDEILTGLGRPGGGDEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDDFQVTGKDVEKILDWEKSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAVSKLGSDPNKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFHRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDAKTLDYLRLTGRSDDTVAMVESYLRANKMFVDHSQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVADFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDQSGLQKYLDQLGFHIVGYGCTTCIGNSGELDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGVSKDGKEVYFMDIWPSTEEISEVVKTSVLPDMFKSTYEAITKGNPMWNELPVSASTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGNIHPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHVPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTIHLPTNVSDIKPGQDVTVTTDTGKSFTCTLRFDTEVELAYYDHGGILPYVTRKIAEQ >Dexi9A01G0029150.1:cds pep primary_assembly:Fonio_CM05836:9A:33933486:33934598:1 gene:Dexi9A01G0029150 transcript:Dexi9A01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPHIVSVLLLLLLRRPLLPVSCLHVAADAPLTATVHRQDGGAAWRSFQQLLDARRGSHVTGLAELKRYLARFGYMPGSPEHEPPNDAFDAHMEAAVRRYQSTLSLPVTGQLDSATLDRIMAPRCGVGDNAHDVATSTSSSSVSAPVVSRFTFFNGEPRWTQPDPLVLTFAISPTATVDYLPDETVRAVFRRAFARWARVIPVGFVETDDYDAAAIRVGFYAGSHGDGIPFDGPLGVLGHAFSPKNGRLHLDAAERWAVDMDTETARSAVDLESVATHEIGHVLGLGHSSSPKAVMYPSLSPREKKAELTVDDIEGVQWLYGSNPGFSLSSLYQQDSSMATTGRSSWLAATSSASLVCAVLVILVTRL >Dexi3B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:3B:3160740:3163946:1 gene:Dexi3B01G0004670 transcript:Dexi3B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGDGDKEAFFHCLDRVPSGLHLDADFPSDDDDDDDEDEDDVRVSFASATGDQNLQSFRRYQAAVVDDGDEQDEEDDDPSKYDMWMSDEPMSIQERRRRLHQGLGMASSRDLALRRHSMKKRPVDVPRTMSRTISRQLPPPPSPSPTPPAAAAVAPTSTTTAQLAAVAAAPPQTEKKKAITRRRSDSDLVVVRDGASVSGKPQSSSQPLRRVRSLPPRHDAGDVALAVEKLRAMASRDVPVVVPVPQPAAPVEDKGRKGDGGGSKKGDGGECSKKGDDGSKNLPDTEKETAAAVVVPSPKEVSSNSGVPGLEEFEKFIGNTPIMKLMRRGTSQHHPAPPLAGGVPPKAASKKKGGWLKNIKSVAIGFMGDKQDTNAKSSSGASTTTTTTTAAAVPKSQSTNASAGGAAAPASSASSSERLKVHQYGKSSKELTGLYMCQEIVAHEGSIWSIKFSADGRRLASAGEDSVVRVWQVVETSAPPSSLAMDGKSGPLAPLPPAMADGSSSSIPALSKKSTTKAKSAVPEQVVIPDKVFALAEQPVCVLEGHKDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKACLKTFAHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDINEMVTAASYTPDGQGAIVGSHQGSCRLFKTTGCKLSAEAQIDIQNKKRKAQAKKITGFQFAPGSPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQITAAYTSDGRYAVCASEDSNVYLWRTTRVPPAAAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTHAPPPPGSPSSSGGSPASRDKMVCNEESCSVAAKPEGGGDPASSGKGDGGNAWGLVVVTASLGGEIRVYQNFGMPFRIKGQGNLFY >Dexi7B01G0023660.1:cds pep primary_assembly:Fonio_CM05836:7B:28197084:28198441:1 gene:Dexi7B01G0023660 transcript:Dexi7B01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTASTLAPSLRSPFSSTPCGARLPATIRLSPAQPVSAGCRVGPGRRLPCPRARVRCAAAVKFVAQSEFPAEVLESDLPVLVDFVADWCGPCRLIAPVVDWASGEYAGRLKIVKIDHDTNPQLIEEYKVYGLPTLILFKNGQEVPGSRTEGAMTKDKFKQYLEPLLATTVA >Dexi7A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:7A:22637113:22638165:-1 gene:Dexi7A01G0012400 transcript:Dexi7A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHGMRRGTSLRQPQIAVVPVVPPFARVRLLTPLTSASRKANETSASSSADFTPMAPRSEARLVEDLRDFLDDFAFRAKRLAAPLLRPFGPSAEPAAAQGQAQAHPRHAPGRRGRVVGDEFLRDLEHAAEDVLEELEFEALRSARLEGFKAQLLRSSSAAGGKRKREIILMYSRKIARIMERYNEIARDRDALRLRSGDGERRQDVSPMTPMGLEAGH >Dexi9A01G0010720.3:cds pep primary_assembly:Fonio_CM05836:9A:6556221:6556901:-1 gene:Dexi9A01G0010720 transcript:Dexi9A01G0010720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTLRAASSSGTGLGFALGRIGGSGGGAAAPGLVVPIAGAARARSVSATSAASEPVPGDQGVAMEQPKQQQPQQVPQQDAGGKNKRDDTHKTTGDVMSHSFGEGYSTRSDEEGFGGVYGGNDPVEHPGTEIHPSHPEYDTSQGSEVREKEKARHLKDDKHAT >Dexi9A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:9A:6550748:6551494:-1 gene:Dexi9A01G0010720 transcript:Dexi9A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHTLRVARSGGKELGLVLGRIDGTRGGAAARVVRSVSATSATGAPVPGDQGVAMEHPKQQQLQPQVPTQDAAANSKRIDAHKTIRDVMSHSFGEGYATRSEEEGFGGVYGRPDPEEHGGADDHPEYDTSQGSEVKEKEKEKARHHRDEKHAT >Dexi9A01G0010720.2:cds pep primary_assembly:Fonio_CM05836:9A:6550748:6556901:-1 gene:Dexi9A01G0010720 transcript:Dexi9A01G0010720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTLRAASSSGTGLGFALGRIGGSGGGAAAPGLVVPIAGAARARSVSATSAASEPVPGDQGVAMEQPKQQQPQQVPQQDAGGKNKRDDTHKTTGDVMSHSFGEGYATRSEEEGFGGVYGRPDPEEHGGADDHPEYDTSQGSEVKEKEKEKARHHRDEKHAT >Dexi4B01G0021930.1:cds pep primary_assembly:Fonio_CM05836:4B:23766857:23768486:1 gene:Dexi4B01G0021930 transcript:Dexi4B01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRILVVAVAALSLLLVTDASEVDQKLGASNNKNETNLMMLRGLIGHISPDDGGSGADRTYFAHHGAETSPDGYYGFIATLDVYGFTLKHGQGTAGAVWIASSGDGAQSSAKTIIIGWNDDGFIKKGCFNTKCPGFQSEKGASIAPGDAIAHVSTPKGDKQKLKLKIVKDVGASGDWLVHLGLNHEPELIGRFPRSLFTGGFADRAAAIRFGGMVTAPVADPAPMGSGYLPAEEGAASISDIQLIGRDGHATPVTGDLPKLESKPDAYAVSPVIDGKFFYGGH >Dexi6A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:6A:1021878:1024443:-1 gene:Dexi6A01G0001160 transcript:Dexi6A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSSGEFTATDMWGQIKKHGSFGHVGDAVRSASKAGSSVAAAVAASTAVPAGATRVVSFSLAWACPDVKFPAGTTYHRYPVGLFNELYYLNAGGTIWTARTELFSLNPLLTTTSSSSVDGLLHATSSATASSNATAALGTSLLAAGDDNVGQFMYLEGMEYNMYNTYDVHFYSSFSLLSLFPYLELSLQRDFAMAVLIHDPRRRRTLDGKTVRRKVLGAVPHDVGLNDPWFELNAYMLHDPARWKDLNPKFVLQVYRDVVATGDVAFAASTWPAVYMAMAYMDQFDTDRDGMIENEGIPDQTYDIWSVSGVSAYTGGIWVAALQAAAAMARVVGDRDAEAYFYERYEKAKRVYDGELWNGTYFDYDNSGGATSSSIMADQLAGQWYARVCGLEPVVMEQEDGIGNGKARSALGTVLDYNVMRVKGGGVGAVNGMRPDGGVDASSPQSKEVWPGVTYAVAAAMIQEGMTEAAFRTAKGAHDAAWGKDGFGSTPEAWTEDGGYRSLHYMRPLGIWAMQWALSPPELHKDLRSAAASEESSPGDAAIGKDKFEKLASMLKLPEEKQPKGYLWAIYNLIRQMVFPA >Dexi1B01G0025720.1:cds pep primary_assembly:Fonio_CM05836:1B:30719915:30724899:1 gene:Dexi1B01G0025720 transcript:Dexi1B01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKAMAAVNGTSPGRLASVYSEVQTSRLHHALQLPSVLGSQFSLVDGPPSSATGNPDEIAKLFPNLFGQPSAALVPAKEAVEGKPLKVGVVLSGGQAPGGHNVICGIFDFLQHCAKGSTMYGFKGGPAGVMKCKYVELNTDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLELDGLVVIGGDDSNTNACLIAEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSSGKYYHFVRLMGRAASHITLECALQTHPNIALIGEEVAEKKQTLKNVTDYITDIFCKRAELGYNYGVILIPEGLIDFIPEIQKLIAELNEILAHDTVDEAGVWKNKLEPESKKLFEFLPPSIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKSEGKYKGTFIGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLQCGKTGLISSVGNLAAPVAEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDAAPFKKFASLRDEWAIKNRYISPGPIQFIGSGADAVNHTLLLEFGVQA >Dexi5B01G0035760.1:cds pep primary_assembly:Fonio_CM05836:5B:35655378:35658349:-1 gene:Dexi5B01G0035760 transcript:Dexi5B01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDFDGLPMFVEDDEEETAAAKQKRRQQSQKPRGKLPWEDETPEERAKAGLRLAMMKKLYEYDPKSGHGCYTRVWFVDFSTLDIDEETQYGPMRFTDSLIGDDYDLSDSESLSVLCLKIRSSDAGYPINVYGTVIVRDRLDMKCIYIFRRNRNNCQLLESEGESLILTGPTRGIVFSCDAYFETNLKIKEDKESGDRQFSKAMIDVDIAKVARGVQTRTIVSWLSEVDLIFAYVKNALEGTIEMAILSGPDVFDGKITVYTTDVPNHILLYDSGVHGPNSWGSDRVIQLLRRVVAVSADQMLIFHICPRSDRNPKTCGFAPRIEGEERAEIACGAYRLRVKVTWSILSVRKF >Dexi4B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:4B:3704358:3706369:-1 gene:Dexi4B01G0005260 transcript:Dexi4B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVTENWISATLLLGPVVGTYQLHTHLICEMLITDPYKILISLNLMPILKPQLGEAVVSRPHGVLARGPRAHTPERVHVLAFPVPEQLLADVPVLAAGEEGQEGLRRSRGAWSGAFVVTALFRYAYRTT >Dexi5B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:5B:8984785:8987463:1 gene:Dexi5B01G0012620 transcript:Dexi5B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGAAPTAAPSTSPDPMNALRAAALRRSAPHWSAAAAASFFSPPFRPRRCRCRRAPAPAAATRTPRPRASAKDRAKLLAEADPRDPWLASLSLLPADDSSGADAAPNGWAIGVDPDTRGAIAVLSPDGSSQVFDNPFVNIVVSEVIRKRLDTKSIIQLLRSLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVASGFSVVPVASQTWKAYFGLSRSESPKDDSRQAASMLFPDKVPSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKTPKPQVDIDGDCRLTGHFSG >Dexi9B01G0025900.1:cds pep primary_assembly:Fonio_CM05836:9B:27483217:27483573:-1 gene:Dexi9B01G0025900 transcript:Dexi9B01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLLAYSSHANTIVCNPNSYRDDDPFGGSREQLLQELVWLTPWAASHDVYTFRPFGTPLAYGHAVCRPGLVGDDCQFCLGYVATQMEQICGHSLGGSAAQGDDCRVRYEQYAFTD >Dexi4A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:4A:9065219:9066932:1 gene:Dexi4A01G0011220 transcript:Dexi4A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSYGGNVFEKEVGAGGGEGSCSWARPCDGCRAAPSVVYCCADTAYLCVSCDTRVHAANRVASRHERVRVCEACERAPAVLACRADAAALCAACDTQVHSANPLAGRHQRVPVVPLPVAAIPAASVLAEVAATTTAICDKEEEVDSWLLLSKDSDNNNSSSNNNISNSNNISDTNNNDNNNNMYFAEVDEYFDLVSYNSYCENHINNNAEQYRTQEQQQHLVQKEFGDKEAGECVVPSQVAMANKQHGYVVVGSEQAASMTVGVSAYTDSISNSITFSSMEVGIVPDNMATDMANSNVLTPAGAISLFSDHSLQMPLHFSSMDREARVLRYKEKKKNRKFEKTIRYATRKTYAEARPRIKGRFAKRSDMEIEVDQMFSTAAMSDGSYGTVPWF >Dexi1A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:1A:24179569:24183444:-1 gene:Dexi1A01G0016910 transcript:Dexi1A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFWWWPRRRRSTPSSKRKDGPTGAASACCSPRHSVDVIVPPTAYASASASPSPQWERAWHRSLGSPAPPRTAAAASTPLCCDGGGGDSGLVRAAAGRGLPLPRPAPVYRSGPQLQMPSSHADAPFAAAARGGSPASSGSSSESDEAADNQSHSERGRLCAIKEVKVILDDSKAKERLKQLNQEVDILRQLSHQNIVQYYGSELTDEALSIYLEYVSGGSIHRLLRDYGPFKEPVIRNYTRQILSGLAYLHGRNTVHRDIKGANILVSPNGEVKLADFGMAKHRKPILDGS >Dexi3B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:3B:10608171:10608929:-1 gene:Dexi3B01G0014740 transcript:Dexi3B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDVMFCSLPQLSFPQSSYLFPMLPSWSS >Dexi2B01G0027160.1:cds pep primary_assembly:Fonio_CM05836:2B:36126009:36128369:1 gene:Dexi2B01G0027160 transcript:Dexi2B01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAARSGSAVAGEGRGSRPYDCKTSDRESCSKELTNANRANLETANLHAAEEKAKVTGKEVGGGWDPTFTRRSYFPYWRSVLRTRCLMKVYPTYAREDARSGLDLQQFRVSQGSPKVNGSTPTPNLKLGLVGTLSLPVASSHPKRSFGTAAIGAFRLLKMLKHA >Dexi1B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:1B:6303716:6308507:-1 gene:Dexi1B01G0007610 transcript:Dexi1B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCSKCHKEMILKQEQAQLAASSIDSIVNGGDPGKGSIMAATAEVVVAQVEEKMIFVQPPLVAEPSEAVAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHECQFDYRTAARDAIAKANPVLILVMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEIIMKQEQAQLAASSIDSIVNGGDGGKGPVMAATAEVVVAQVEKIIVMQPPLVAEPSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >Dexi5A01G0024580.1:cds pep primary_assembly:Fonio_CM05836:5A:28404847:28406169:1 gene:Dexi5A01G0024580 transcript:Dexi5A01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIILLLFVVGVIAIYLTSTPTTTATPVDITDPDIQDLGRWAVTEHVKKANDGIKFKSVVSADQTNGADFGQYYGLVIDALDGHGKDGKYKAQVRFRDFGNDRFFHWKTTGPPDHHQWSRGGRLRRRSPSLRAVPPAASHRPRGGQPPCARALPDGYELEVVGAGACGLDAKARSSALPVFMWESKQAGGGRGGAARRAACGVPGEMEDGELGRVLPVCRHVFHVECIGTWLGVSLTCPVCRMAAATANVAVASGEVEPRGGEC >Dexi7A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:7A:26893390:26894662:1 gene:Dexi7A01G0017120 transcript:Dexi7A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCSFSSSEPPDIKNWFPSYEYESPEASELVPDPAVDNDSETQDPFEVPLPCAQHSLFKHSYRDDVVTLRGNCLRDQCEPEVFAGKYLIPDDKTSTKPAPKRKQSLRTLFGAGFLDKDEAEETTETESQSLLHVQGIALQPLSDYITSLPDRKQSQEGSTEQSKVLENCDDISSVDTQEIAPADQEVEYSKQSVDCDDARMANVDTAEIAVDAIDQIPLDSNCVNLACTEENTQDGVEHSIRPVSHNDFILADTEENSPLEETRCCKVKLDRKRPQEIVASDGFIAVKRKENRPDECKMNKIPRYPTGREKGKGKLQENKVVLEQKVSVQEQTRRPLADRTNFSGVATVAPPAQGVSKKWKCPSKGKPFVGRPMKQLRLEQWVRRMN >Dexi2B01G0021710.1:cds pep primary_assembly:Fonio_CM05836:2B:31409968:31410896:1 gene:Dexi2B01G0021710 transcript:Dexi2B01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVTNQVAVGLMTNPAAFGNGDPNLYVYYTTSNQVALGSSFLNGGSSITYDGVPYVAVNIHRRSGQQQWWVSVDDTFIGYFPHFLFPTYFPESFLNQLGGSVRNTRPGGVHTDTTMGNGRAPGNGGGGGAAVVKAYLAVAANGADTKDMPVKYLITAPKCYNAAVLGENMDVPGYDIAYGGPGGSGCDH >Dexi8A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:8A:5566006:5569532:-1 gene:Dexi8A01G0005770 transcript:Dexi8A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHWLSTRSANASSSRRNNSSYHTSTDRTTSTTSLTSNAEQVSVSFELVEPPGVSVLSLDWPNGPSPSASTYPEIIAAHSDVVLLKMSRAISRPYGADDALVDYFVYQATTDASRRPSLLLLLPTARYDDEEERSAQGRRGRPLRQVLLSRDGTGIMSCSRRKDSESTSFVVAKLMIKSGSRPEIQVHLFRSGSESKWKVFKNLHVHGANGGRDLNWWSTDAVVPYQHRFLIWVDYYRGMIMVDMSSSESEEKDVAPPRLRYVPLPVDKVSGDPDHIEYGRGFPEGSRCVCATRDGLKFVSVDHRHTSNWGVGHQEVLKWNHTFRITIWSLREGDYTWRKDVTMYEEEFWEAVGSGEHLIPRVAPEYPTCHTGIGNPSDPSSDSPAASHPTWVLLSRGGARRDGFHGDRTTSAAAFTSGGEEVSVSLHLVDPPLASVLTLHWPQGPVVSEDNTFTSTPVVLAAHRDLVLFSVSTSSGRAPRGTRPPSVDTDYFVYKASRDPSRCPTSLSLLPVHYQPVHSFGFGWAPAPALGASAPGLGKKKKKVLHRVLLHLSNTICLEVEQLGDGQVSIHLFRSESGEWEVMKKMHVHGVTGRSDLFWWKTDAVVPYRHKFLIWVDYFRAMIVGDMSKSSPELRYVPLPVYSIPIFPEEPFADGRQLVALDLGMRKWKNTFRITMWSFREDDDTWRRDATVYEEEFWPTLDPGNRLPHISPEFPVVDVEDPDVLCFQLNKYRCNSKEPTWMVKVNMRKLVLLSATAYSKQDTSLSGDDENIDSARMRYGDLNFITSEVPRYLYGRQEACKKRRK >Dexi3A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:3A:2178474:2181376:1 gene:Dexi3A01G0003390 transcript:Dexi3A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESVGRDERWKVGFVGLRESEHAMAETEQEPGAPRANRPTLLPASPDAADGERGTLPRLAAARLPLSLKPPVEMAAAAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYNNTLATLKFADTVGDPEKYRIKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGDPDTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWETDELDEDATNGGSAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARKLELVRKFQ >Dexi1B01G0022170.1:cds pep primary_assembly:Fonio_CM05836:1B:27909351:27909998:1 gene:Dexi1B01G0022170 transcript:Dexi1B01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFHGAASADCVWAVKLPANYRYLAALAAAADDEGRGDGDEEGNCKRFSLAATKKEIYARLCRPTLFDTGRKEFWILKNKGGLCISISSKAMTITGIDDRRYWSHLATDESR >Dexi9B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:9B:3338532:3339023:-1 gene:Dexi9B01G0005660 transcript:Dexi9B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVAMASSDASSSPSKRGLRGPRPLPLKVSASSRGSSPTASASSKPPSGSKKPVIVYEHTPKVVHARPQEFMTVVQRLTGKPASATSSSSLPPYVPSFSPPPPAEEGGDPLLLTLGQRQAAPAPAPTTMMPSPMAAGLLLSPGFIFSPNTMQAIQELSPLL >Dexi7A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:7A:24797999:24798810:-1 gene:Dexi7A01G0014910 transcript:Dexi7A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVTKTTKILINLACYLLCVSSTLPNATSLSFNYNFSTPGALTSADLKYISNATAAVDRVDLTKNTTWSTGRVAYGQPVQLWDSTGKVASFTSNFTFVIRPRNSTNQADGMTFFVGTYPPTLPHDSNGGFLGLVNNPYNPANTNFPPTVAVEFDAFRNFWDPSNTASHVGLDVDSITSAKYAALPDGCFNGTMSAWIKYDANASTLSATLRFHDLPGLGLYNVSAAVDLRAAGLPQQAAVGFSAATGDYR >Dexi1A01G0031820.1:cds pep primary_assembly:Fonio_CM05836:1A:36650100:36650985:1 gene:Dexi1A01G0031820 transcript:Dexi1A01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQSSTDQTSPRGNDWEVVQLTASAYAAAPPPKRPEPSEEVEAKKYGAKGDDDSAATLLMSGHFSVSQSEVESLLIGADSKEPRKELCSQDALSNEGDDQKYQESCKHKLDADLPSIPSLDKGKNVSLGDMEFDDGKALQGMSLIGEESVGLSSPIYSSIDAQKDLSRSAMESRNEKKTEEPTLHIVNPTTSSSNAVLSGEQNKPDGSGPRDAWWKKQLLSLYKNAKESNNFWPIVAAAAALVGLAYFGRRWHKGKLQLQQVKLPPSSNKEAQ >Dexi9B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:9B:6054164:6056370:-1 gene:Dexi9B01G0009830 transcript:Dexi9B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPSFLGVDKIRVGPIPPQLGGLTQLETLYLQWNKLSGGIPAQLGDLKSLVNLDISNNKLTGQIPSTITGLSRLKQLILFDNDLHGVIPESLEELVELEDLQIGSNNLSGVLPADLGNNSRLLYLDVSGNQLTGAIPPHLCAGRRLRTIFLMENRLSGSIPEDLGNCKTLTRVCLNNNLLNGSIPWSLFDLPGNYWLFLSNNLLSGELPRVIPRVGLSLLSVASNNLSGPVPPEIGHLKNLSLLNGVFAVSDEADFQGNPGLCVEHVTAASCSQLQCLPRRVAKGSMLPWLVPTVFCVMVATTICLALMWREAAKRRPPAWKMTLFHKLELEMDDVLGSLREENAVGRGGAGTVYRCSTRGGAAIAVKRLPGPGRRDHGFRAEVTTLVGVQHRNIVRLLGFASSAEGNLLLYEYMAVGSLGAALHDCERGALLGWGARHRVATEAARALCYLHHECSPRILHRDVKSSNILLDAAMEAHVADFGLARFLRRGASGSVAGAVAAEECVSAVAGTYGYIAPEYAYTLRVDEKTDVYSSYSFGVVLLELVTGRRPLGDFGDEIDLVHWARTAVSRSSDAAAILAVADPRLPQEPASLIAGLFRVGMSCVHESSQARPTMREVVHVLSRYLPVADHP >Dexi6A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:6A:887801:888137:-1 gene:Dexi6A01G0001040 transcript:Dexi6A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIASSKACPDPTCIEIADCCSHLKIPHAIEARG >Dexi2A01G0025140.1:cds pep primary_assembly:Fonio_CM05836:2A:36890461:36890733:-1 gene:Dexi2A01G0025140 transcript:Dexi2A01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVCRIVHQVEDRRAVPTSCREIAAHGVMPTAARAVALPTYVALLICAALAAVNEEDGGEPSVPGAEPMRSTC >Dexi8B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:8B:10846791:10850825:1 gene:Dexi8B01G0008250 transcript:Dexi8B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGLVDWRGRPVNTKKHGGVRASFFIHALVLLSNAANIANILNLVSYLRNDMHMDVARASTMASNFFAALQMFSIPAAFLADSYIKRFYTVLIFGPIEILVLVASFKKRKVKLPENLIELKQIHQDEGSGVEILRRTEGLHFLDKAAVDTGKAGAWSLCSISEVEETKIILRMVPIFLSAVLGYIPVPLILNFTVQQGNTMETRLGAIHIAPATLFVIPTVFQMVILVIYDRFIVPFLRRITGYVGGVTQLQRIGIGFLSATIATGIAALVEIKRRKVAEEHGLMDATTGIPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASTGMKSMGSSIFYCILGVSAWLGSFLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYIFFARSYVYRNDQKVVVEGDNNNSSDDAINTI >Dexi2A01G0031900.1:cds pep primary_assembly:Fonio_CM05836:2A:42570017:42570601:1 gene:Dexi2A01G0031900 transcript:Dexi2A01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDEYLSLCLMALAAACQQAGAAAAPSSATTTTTSSTELKLLNFRCPLCGKAFASYQALGGHKASHRKAPAYDGGAAPLLRHHQKETSSASASASGNGGGTGRHVCTVCHRGFETGQALGGHKRFHYLHGPSVSASLPISTSGSSRSGGFDLNVAPPEIGAPSVRRRGDEEEEEVLSPSPLPAKKPCLPSNSA >Dexi9A01G0030710.1:cds pep primary_assembly:Fonio_CM05836:9A:35664822:35665160:-1 gene:Dexi9A01G0030710 transcript:Dexi9A01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTELKLLGQWASPFVTRVKLALHLKGLSYDYVEEDLRDKSDLLLSINPYIDEAFAGTGPSILPTDPYERALARFWVAYIDDKVFT >Dexi6A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:6A:9066182:9067306:1 gene:Dexi6A01G0008550 transcript:Dexi6A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTATRVFYVSGHASSDGFHFVAGGSSRRSAVFNVGGYDWSIHYYRNPQDWEKILLCLQFETKEPSKLVTASFGVSILDPTGTLPPWKVQEVTSAVFDPNRIDNTGKEAAVTVSMPRRFQQDMAPDTRYFTQGSLLFGCTITVFTDDDTTPATAAVAMSSSPATKALDSDMMEQLGKIYATKDGSDVTYSVKGKLFHTIILAMRSPVFKAQLYGGMMESTAQIIEVEDMQPEVFDALLHYIYTDTLPCALDDDDDDDEVDEDVTLLISHLLVALGRYGIERLKILCQCKLCDLVSPHNWVKMVVFAEEQRCDRLKDACIQFMATSGRAGKVVVSEEYAQLRRTHPLILIDVLEKINEFRENMLNPCFREMKV >Dexi4A01G0023370.1:cds pep primary_assembly:Fonio_CM05836:4A:26487544:26488221:-1 gene:Dexi4A01G0023370 transcript:Dexi4A01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDYVFKIVVIGDSAVGKTQLLGRFTRGDFFLDSKSTIGIEFQTRTVDIGRRRVKAQIWDTAGQERYRAVTGAYYCGALGAMLVYDVTSRRTFDHAARWVDDLRAHADKSIVVMLVGNKADLAVAGARAVAADEAAAFAEEQGLFFSEASALSGENVERAFLRLLEEIHANVSTRRSLEATPDDGEAGAADVLLLKGTKLSLAEEMSIMETSALRRASSCSCS >Dexi1A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:1A:3057781:3065078:-1 gene:Dexi1A01G0004170 transcript:Dexi1A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPVRHHSRWPSPAPPSCALVRLRVSWVSRPEGAEEMGVDVHQLNARIGSPTLGVGVSPLFGCRLAAGWVSNVLLHLTKVCPAIIRLNMNMKLCAAVLLFYILKHTCGQPDSQGFISIKCGILDKSSYQDLSSSIVYVSDHDFISSGQNRNISSDYIKPTLAWRNYNVRFFPDGIRNCYTLRSLVAGNKYFVRATFYYGNYDGLNRLRVFDLYLGANYWHEVNFRGAGSVNWMDIIAVAPADYLQVCLVNKGMGTPFISGLDLRPLKSSLYPESNSSQSLVLVNSNRFNMGPTDNSIIRYPLDPHDRLWSTYDTIPSWKEVSATSVVQNYLTDAYDVPLAVMQNAAAPVNGSRIDFSWDPSDPSVNISSRYFFVFYFSELQSVASNALRQFDIIVNNSTWNTKPYTPPFLFADSISGIVQGQGRDLSHNNLYGSIPDVLGQLPLLVFLDLASNALSGPIPYSLLQKSRNGTLSISKSLTWEQRLHIALDAAQGLEYLHVGCKPPLIHRDVKSRNILLSTDLSAKIADFGLTKAFSDSKTHISTQPAGTMGYLDPEYILYYLSYQISEKSDVYSFGVVLLELITAHSPVVPINDSVSIHIGEWVHQNLEQGSIESIVDSRMGGDYGVNSVWKVADLALHCKHKVSRERPTMTDVVAQIKEIMELEARRDRKQSEPVLADGDLSYTGETSAFEVEGSVGTSEAASPGSAMR >Dexi9A01G0043920.1:cds pep primary_assembly:Fonio_CM05836:9A:47618303:47618572:1 gene:Dexi9A01G0043920 transcript:Dexi9A01G0043920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAWHAKGCYVMCLTDNNFKNLPVGDVVDRLLEEWRKVPEKPRTDAKGVFEAELFHGEYRVTVKHESLKEPIVQTVDLDSKSEAKLTC >Dexi5B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:5B:85227:87765:1 gene:Dexi5B01G0000130 transcript:Dexi5B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPHRQQLSWLARMGAAFGPSFLCLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSLAFSHVGLAIISLLDIVLVSRLHVPYGIDDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALDISAAQFDNLALGLGVQLIGTLLPIGFLFLIPKEVTGLTS >Dexi7B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:7B:24220387:24224029:-1 gene:Dexi7B01G0018460 transcript:Dexi7B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERAWLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIRFGAYPFINVIFSLLRNGCDLHLSKGIPVILTIMVSREIDETDIKTLERQLMQSIETCTAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQVYCPLFLQSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMVIITDVLGGDIQFDFYHRWFDAIFVAS >Dexi9A01G0033460.1:cds pep primary_assembly:Fonio_CM05836:9A:38407034:38411184:-1 gene:Dexi9A01G0033460 transcript:Dexi9A01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPREWRREESASPIPYREGPLEYSPAKMCDCGEKAARWISGQKNSPVIAPLKRGRPPPPPAVAYARCLRIPPRRRLHYFAWERAIWAERNLVSRRVSTVTWQSDPRWRSKPGKCGVKQPRRRAAEKEKELTLTGRRRSSGGTSNRSELRSSDGGLARSETQRKRRRQESGTPGRCVRSRDKEGHLLGWAVSRPYLGRVDEANTHSLFLTSYSFSPYETGQATQRVHVATTAKFFFTSPMIRRSVQEPPIDSHLPESSRITSALLAPFHSVVISTVAPFLSGRPCGELHWFARPLSILTGSPIASAASAADAHDMPPDPCPGGTAAVAVPITASRASAQRRYERADRWQLGGVGTAVSLQTRRATPRRRRLRRRERSPASNLVATFRASGHWSSVAGAITWVTTARGDAAAAAASLSCLPLPWKSPRPSPPSLEHHSSWTRHLHHATLIPAGILLARSFLLLFLSHKTE >Dexi2B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:2B:352303:358760:1 gene:Dexi2B01G0000760 transcript:Dexi2B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDADEDDDDDVVEVVGEDNRHQLQQQRHQELDEDVDDEEEEDAGSHAHARSGGYHSEEVDGEAENGGEGEGESEGQLGMEEESEGEAHRADLDQGESDADKVQSSPERELDDQGMEPDARGMDSDDEGYQQRMVSSRRRGVVASESEGSEDNYYANGAQEEDEARQTRKPSSPMEEERDQEVVRDVFGDSDEDEPAPYHARHEIDEDSHRSPMDDEGQYERDMHPDDVVADEDMQYESDENRELKSKEKPVGPPLDLVVPFKQPPARPDKMNVIKVSNIMGIDPKPFDPKTYVEESVFVTDESGTKKRIRLEDNIVRWRTVRNADGTKSHESNARFVKWKDGSMQLLIGNEVLDISVHDAHHDQSHLFLRNGKGILQSQGRLLHKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETQDPEKVKQENERARGQNIRAHSILQRKREKVTRKYTQPARPRRQLSPGFLEDALDEDEEPEYGSRRGPGRRRFEDELEAEALAERRIINAKKSNMSRNVPRKPSYPPARPPRRQADEYSESEREESEYETDGEDIEHSPTRGREDELDEEDEYEEDVEEAPLSDEEMEVMELRSAPKRKRESGGGSHRREELVSEEEDDDDSPPRKQQAVQHRRKAVIKEKKGRRYAAKFAAETGDRVVADTPGDRHVGVHALVVSPSGAATHHPYSEATTSDASIILQAGCMGPHPSESSP >Dexi5A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:5A:21558633:21559162:1 gene:Dexi5A01G0018070 transcript:Dexi5A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGRHHWNGTATRDALALNLVAWLSRRPEWRAMGGRNHSLPAGRPAEAPSRRRRAARSPNSAGVALMQDVLLRVVARSTEAALQRTELLTGAQEKGCWVGRGGGGGGACRGEEDDGRGHGEEG >Dexi5A01G0032170.1:cds pep primary_assembly:Fonio_CM05836:5A:34756891:34760072:1 gene:Dexi5A01G0032170 transcript:Dexi5A01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVEVVVSPPYVFLAQVKGLLRLDFAVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLGESNDFVADKVAYALSQGLKVIACIGETLEQRESGTTMDVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLHSNVSPAVAESTRIIYGGSVNGANSKELAAQPDVDGFLVGGASLKPEFVDIIKAATVKSSSA >Dexi9B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:9B:5398507:5401474:1 gene:Dexi9B01G0008810 transcript:Dexi9B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKRFRVQQQTRERIKRGQRTESEERKEREVASDGGEMLAVFDPTVAKCPEGLRSPPVAGAAAAAAGGVGALMKGFSAAHDGAVTVSLGPSGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLSGKFAFILYDTLSKSTFVATDADGSIPFFWGVDSEDHLVFSDDAGLLKTGCGNSFAPFPKGCFYTTSGGLQSFEHPLHEVKPVPRVDSQGQMCGSTFKVDSEAKKKQDASIPRVGSAADWSNQF >Dexi8A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:8A:24328205:24331935:-1 gene:Dexi8A01G0014020 transcript:Dexi8A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGASDKCSVPALGWWLIAVGAFRSAFTWSCFFGSASFCSATFSEIPMTGVHGRTVAVWTLLSCTLCFLCAFNLYNKAIYTATFLSFVYAISYLGVECLGHPWFGCCFSEIHMAMALVLVELPSSPDPGCGLYCLNAVVSISTVRFYA >Dexi1A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:1A:1369654:1369866:-1 gene:Dexi1A01G0002120 transcript:Dexi1A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKTSWPEVVGWPASAAVTQINSDRPDVIPAGANVAPGYNASRVRVFFDAGNATGPVLYIPVVG >Dexi5B01G0030120.1:cds pep primary_assembly:Fonio_CM05836:5B:31126946:31129670:1 gene:Dexi5B01G0030120 transcript:Dexi5B01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWWAFIMMHVSAMTKFSFYFQEGHVEPFKNLIQDFDNCYWSCSVARLGYSGTAVFSRVKPISVQYGIGISAHDQEGRVITLEFDSFYLVNAYIPNSGRGLRRLNYRVNDWDLCFSDFIKKLECSKPVIVAGDLNCARHSIDIHNPQAKTEAAGFTIEERESFEENFTSKGLIDTFRKQHPNAVAYTFWGENQRVTNKGWRLDYFLASESIADKVHDSYILPDVSFSDHSPIGLVLKL >Dexi2A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:2A:26458089:26459537:1 gene:Dexi2A01G0015460 transcript:Dexi2A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRLSNGELRWWMLLVLFICCRLSSPSSANAAEERVVTHLPASRDPSPSTSEQGRYVEVDESNGVRLFYYFTPSEHSPADDPLMIWLSGGPGCTSFAGLVYQIGPLKFDSQGYKNGLPKLVYQSESWTKWFEVHPEFLSNPLYIGGDSYSGINVVSEPALNLKGYLVGNPFTDVNFDKPSKIPFAHRVGLISDQFYEVRAGKQMNSTLQYC >Dexi4A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:4A:3503711:3504316:1 gene:Dexi4A01G0004960 transcript:Dexi4A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDATAFYPPPQPVQAVPLAAAPGAVAMADAGGAGGAVVVGGNARGGGGGGGGGGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAAVAERPEGHRDAGAGAGLTAAAIRKKAAEVGARVDALHCGGVGAPPPPPPLSSSHHRRRAKNPDLNREPTPDTDDDE >Dexi9A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:9A:3671806:3672210:-1 gene:Dexi9A01G0006410 transcript:Dexi9A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLLAVLLVAMAASAALLPCHEARRLADLPLPELPKLLVPEVPGVPMPEVPDLPKVPIPEVPGVPMPPLPELPKVPMPQLPGLPKVPEVPGVPKVPEVPGVPLPELPMPEVPGLPALPPVPGVPGVPAAP >Dexi1A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:1A:6514081:6535497:-1 gene:Dexi1A01G0008410 transcript:Dexi1A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPRELLAVIEAALLGPTPPSPAQRVELLHAVRDAAPAFRTLLSYPGPKASDRTQVESKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIESVRLLVDANREWVLYGREPLEIFRLAAGLWYMERRDLITSLYILLRSVALDQGLDSDLMSEIEDQMQPLFNDGLRQRIITLVKELNREDPAGIGGPSSERYVLDFRGALVERRAIVSRERLSLSHCLALSALIKQMSPKEVKDVFSLLKDCAAEADQNTTVELQITYGVLFSLVATFISDALSTSHEKGSLSSSDSSFRSEFHELVMRTGNNMTVEGFVGVVRLAWSVHLMLTHDRSNSRGTSDIWSCLEIICRQNSFEFLRERVLRTAAYQIKEIKEKAMTALSPYGPPRDHREDPGRNGEQIGQASNEPFVSLLELIREIYQKEPELVHGNEELWTFVIYAGEDHTNTQTLVAFLGLLSILASTEVGAAKVYELLQGKIYRSVGWSTLFDCLSIYEDKFKKSIQSSASLLPDFPEGDAQALVAYLAVLQKVVENGNPMERRKWFPDIEPLFKLLSYENVPPYLKGALRNSIAAFIKVSPLLKDAIWSHLEQYDLPVVTAPLGHHTATQVYDMRFELNEVEARRESYPSTISFLRLINALIAEERSILDKGRRFMGIFKFVYEDVFGPFPQRAYADPQEKWELALACLEHFRMPEKGRQSNSEFSFDDFMSGKVAFRNIMNIILVGVDSLINERTTQTYGILLEKAVHLSLEIFILVMERDLALADVFRPLYQCSIKIMGILSSRVVGLVQLLLKADVGKTVIEDYAACLEYRFDDFQVIEDTKGDVGVLILQLLVDNICRPAPNITHLLLRFDVNGAIDRTVLKPKSHYSCLKVILDNLEKVTKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSSKKYQFFSKHVGTIGISPLPKRNSNQSLRISMLHERAWLLKMLALALHLSDISSSVYREACVAILYHTFGQCADNFQSSSMFHSRDALTGISNEPANRNKIEEILRNSAASEFGGVYYYSERGDRLIDLDAFHEKLLQISQELNSQLSDSEKGELKESVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMSLLEDRSQLLFELLDASLSATTSPDCSVKMAYVLTNVSLTCMAKLRDERFICPAGADSDAVTCLDIISSKQLPNAACNSLLFKLMMAILRNESSETLRRRQYALLLSYFQYCRSILDSDVPPSILRFLLLEEQEADDDDFTLQKVLKEHNELAHANFSIIRKEAQAIIDLFEINNIRELAATAVLAPVAGALRCLHVPDSRSLRSLRRLPLAGAPAGRATQQRCAPHLASAGSRAPVGHLLASMGHCAPVGRRVASAEARHAAPPRPPPPSCTSLPAPRSWIWPRGEERSSWSVAKDAVHGSETGKAISFYVLDALIGIDHEKYFLNQLQSRGILRSCLSDVTNYLSKVKNKIVREIVDFAKQHQSVFNSILRESMPGANLIALERLSLVVSILSKVWAYEENEECSYIQDLFGLMQSLFSVDFGSLNFMQSPNMIENQKSELVAFGLCFSLISYLYVLATKKNMRFQVPYDHNSDQQQPTLQMVSDFLNSVTLALERVAEEKYMLLNKVRDLNELSRKEVDEIIKLCMKQDCISPNDNIRKRRYIAMIDLCCMAGNRDQLITLLLQIAECAITILLVHFQDEACAKDLSPFSDELLPVLERLEHLKEDKVGRSLKVFHRSVTTLKEMTIRSMTL >Dexi3B01G0029080.1:cds pep primary_assembly:Fonio_CM05836:3B:28101119:28104069:1 gene:Dexi3B01G0029080 transcript:Dexi3B01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDATDSPRSARRRPGAATAAVAEAKDGAGLLSPRFRSAAALAGWDEESVLLAALVVEDTPVRESRRKRRASTSSSAGGGSAGSGTRKRRSRRQSPAKIPPVVLALDDDDKPDDATDAKSEVKDAKEEEEKVIVVGDKEASGSGEKAPATGNLACMDRLREELSCAICLEICFEPSTTPCGHSNSRSCTINTVLWNTIQLLFPSEIEARRTSSCNEDVNHSPPTSKNFSQGSHGMRTRNSSSSFITEGRTRSNYRTFITPVSTASSNASGNSISTQGNTRISRRNFVPASQLVNSRSAVTSDQSEDAALAYRLQQEEFMNAFEEPEQERQTRNTVSTARDNLRAMASRAIRLRARGWPV >Dexi2A01G0027870.1:cds pep primary_assembly:Fonio_CM05836:2A:39178768:39181631:-1 gene:Dexi2A01G0027870 transcript:Dexi2A01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHPAALRDVKAARIGAVRQKVAVTPSAAAARGQRARAVRPLRAAEPGRQPVSASAASAAPVAPVADEVAAPVAAVDYEALAHELEGASPLEIMDRALAMFGSEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQFFDQVEKHYGIRIEYMFPDAGEVQELVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRASIPIVQVDPSFEGLDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNTLHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIEKDGQAAAPKSANGNGSAGAPDIFESPAVVSLTRTGIENLLRLENRAEPWLVVLYAPWCPFCQAMEASYVQLAEKLAGSGVKVAKFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >Dexi2B01G0024400.1:cds pep primary_assembly:Fonio_CM05836:2B:33863595:33866708:1 gene:Dexi2B01G0024400 transcript:Dexi2B01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRCAAALAVLLAVAAPAAGFYLPGVAPSDFKKGDALQVKVNKLTSIKTQLPYTYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKAPIDEKGAKELKEKIEDEYRVNMILDNLPLVVPITRQDNNKIAYQGGFHVGAKGQYTGSKDEKYFIHNHLSFTVKYHKDDDSELYRIVGFEVHPYSVKHQYDGEWNGVDTRLSTCDPHASKFVTNSDSPQEVEAGKDIIFTYDVQFEDSEVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYTSSRLYKMFKGSEWKKITLQTAFLFPGVAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFSTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Dexi8A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:8A:733665:736422:-1 gene:Dexi8A01G0001060 transcript:Dexi8A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGAADDRRASYPQARIPLPRLIVFALLDSTSSYSYTSFKSIDEPKLGLWQTLASKAKGILDEDALAHKFEDFRKERPRSNSSADQVRQQAPQSRWSFENHWKTGDAAARIRPEALSASVNQLSGRIKNAFEVANAMAAKAKLLLRELKSIKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDDLIRLQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLGDGDMEDDDPDEESNLLYTENMVPVVEENSGDEELSPVPSRPESPVIRPGEASSPMSTNSQNPSASDTA >Dexi8A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:8A:7121048:7122074:-1 gene:Dexi8A01G0006820 transcript:Dexi8A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVCYYYDPHISYIDYGEGHSMVPDRVAMTHALLAAYGLLDDMDHLHISPATKEDLKRHHLGVVTNSRTGCTSHDNPVIDDLWDYCLRYAGGSLAAARALIAGNYKVAINWSGGTHHAGDGKASGFCYVNDVVVTIKALLERFGRILYVDIDAHHGDGVQDVFVEEARVMTMSFHQYDGKDFFPGTGGVGDVGVAGSAAVYRTLNVPLEAGTGDVRYHKLFKPIMERVMEVFRPDAVVQR >Dexi9B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:9B:499675:500476:-1 gene:Dexi9B01G0000820 transcript:Dexi9B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAEAEEQGIPEVGAGQANNLLGDGFLAATHSFLAAGRRQSSGSCCCYLSLLCCRGRARLFGRRAGPSHVCQITSSSTSPDLTPRGCLSLTTRGGSVWTMTICLAVWPAALWEVMVWLPAAHNSAAKPSMAECGSDGDWRLGSKAGGLVGGGGVASDTLQRCDRRTR >Dexi3B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:3B:4548874:4551384:1 gene:Dexi3B01G0006590 transcript:Dexi3B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGRWLDMASLMLASADLLLTSPSRVPDKDLECVLSVICSLVTKAATEDQALQITELICTKLTQQPEDKPALRLKVLFSLYNLLPSPYGKAFVYKKALELATAGKAAEFIIPSFKNIDSFVSEWGIGNLEQRELYLAIVRILKDHKGMTKEYFNFLNKYLTTFKGSDDDSATIGDAKEEAVAAIIEFVKSSTLFQCDLLNMPAVAQLEKDEKYQLVYELLKIFITKRLDSYLEFQTANSVLLKDYGLVHEECITKMRLMSLLDLSSRCSGEIPYSAIIEALQINDDEVEQWIVKAIAFKILDCKVDQLNQTVIVSRHTERIFGMPQWQSLRTKLGIWRGNIASAINTIQANKVTEEGTQGMQGLMIR >Dexi5B01G0028960.1:cds pep primary_assembly:Fonio_CM05836:5B:30242587:30246649:-1 gene:Dexi5B01G0028960 transcript:Dexi5B01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLVFMLLFLISSLISTVGLPVEPPAELLGDVGRLSVDASDLHEASRDFGGVARAEPVAVFQPRAAADVAGLVRAAYGSARGFRVSARGHGHSISGQAQVAGGVVVDMSHGPGAAARAEEGRALPAYSSALGGYYVDVWGGELWIDVLNWTLSHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSETENPDLFFGVLGGLGQFGIITRARIALERAPQRVRWIRALYSNFTEFTADQERLISLGAGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDDTAASVDQDVDALLGELNFIPGTVFTTDVAYVDFLDRVHKAELKLRAKGMWEVPHPWLNLFVPASRIADFDQGVFRGILGGRTAGAGGPILIYPMNNHKWDPRTSVVTPDEEVFYLVAFLRSALPGASESLESLARQNQMILDFCAEAGIGAKQYLPNHKAQREWAEHFGAARWERFARLKAQFDPRAILATGQGIFSAPGSPALVSDS >Dexi1B01G0021400.1:cds pep primary_assembly:Fonio_CM05836:1B:27309944:27310854:1 gene:Dexi1B01G0021400 transcript:Dexi1B01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLLRVSPSDLKMPFELKKQNSACLELYNKTDQRVAFKVKTTNPRKYAVRPASGVVPPRGSFCVTITMQAPKEIPPDYHCKDKFLVQSIVVEEGTTVKDIVPNMFSKAPGKLVEDFKLRVIYIPANPPSPVPEETEEEDSLDSDVDHEVERPSTSNSVSSNFTDCCFEHIMPCLFPVNH >Dexi2A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:2A:9017920:9021640:1 gene:Dexi2A01G0008960 transcript:Dexi2A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASSGGLLLLPLLLLWLSSLASPATARDILGDARSPGFAAWLRGVRRRIHQRPELAFEEHRTSELVRAELDAIGVPYTWPVAHTGVVATIAGGEGGGGPVVALRADMDALPVQELVNWEYKSQETGKMHACGHDAHTSMLLGAAKILQDRKSDLKGTVKLVFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPLLPVGVVSGRPGPFAATACRFLATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESMTFGGTLRSMTNEGLSYLMMRVKEIVEGGSAVHHCTATVDFMEDKMKTYPAVINDEGMYAHANSVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGSAFHASVAIEYLKKHASA >DexiUA01G0010440.1:cds pep primary_assembly:Fonio_CM05836:UA:20796686:20797950:-1 gene:DexiUA01G0010440 transcript:DexiUA01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFFIVILLCTLPASSAPAHTEGGTLILLHPAVVVHYSPPHDAARAAGCGMEQDGGTNVEVIGQQRSSTGTSSSSPLPDASLLRRLYAGQTIARWGARCVRTPSATAPLL >Dexi9A01G0032010.1:cds pep primary_assembly:Fonio_CM05836:9A:36817144:36822357:1 gene:Dexi9A01G0032010 transcript:Dexi9A01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTCRRVVHGVPHAGECRSQNAHVTAGRPRRLLAVGLVRLGDPFLDRRVSFAFPPAASRAAARKQLPFSVGRWAGDVGCRSGEGVGRTPSPSQARFDLGRVSGTRPFSTCVRFDGRDPSRGGRGSGETDVPDPFHPLVVWRGPGSDETEAFDQSRLALPGSSSVRAPFVDALTVGPLIHEPHSSPRPCRGVKDSGVGFRGEALLSFYFLANSWQLPKFWGRDQGNASTAMPCAQHVTEVTTFFAKRQPVSFSVGPGSRQLAGIQLGPRITAAYPPIECDATRRLGSGLDLTACGHGGQLMAYSAASCHARTSGLRALGRAPPRCGRLPPRRPYIYGDAGVTGYPFCIPSQFPSPCSRSPFVAFVDLIFNLASSKSTVEMASKGSSSSAQLRPWARSTATVAALESLVSRGLLCPRTAQEEWISPHPSHKTPSPPAGYVVSFMAYHVRGFAVPAHQFVREVLYHFGVELHALAPNGVQQMANFVALCEGYLGIDPDFNLFLLFFKAVLVRPHGALAPWGYCSLQAKQSRVDKFPRSELRGSNKDWNKGWFYLKSHAKATLPPFDPASSPPAKEPAHWQYGPEASDRKKLAPHLDCLAKLRSCGLTGIGIAEAFHRRRVAPLMARPLRLFEMLPTTSEAELLASLVSRVVPSEDEVRARLALLVDSQRAASMVVPTPGQPPMLPGPGAAPGGLRASRGALSEEQPSLDEARRRNHEAWEAARKRKREKTKKRLNREERRRERERKEHRGDEVGSDLASSCDEKENPGGSSPLLGDCTVVDLMAHGEQRFPPHLRVPHRWGRPVRVRPPPPNRCGWRFTTPKRRAAPVKRLRRDAPPAVVPDSLEPRAAADPCPTRAEGSAPPPALGGSSPPRWSPLPGVTYVLASSPVAGEVTGSAEQAMDIDASAGAVAGGELGASAMASVAEPLPTAAAPIEGAVVDAPAEAPTAPLVVPTAFEPLSMNPREGVEVSRWSAEARSDAYAGVGLGAATHYRASGSLGVDGDHGPAVDPKHILQIDAWLESLQQMAAFPATLLQMALTLRTVAIPSSRSMLLALSRTRSIEQEAINQARRAWDVANSSRDTEASSARRISELERELATQASAHQKELAALRVELGSIRTDATDVRSGFQAQIDTLREERAAAVRACVDTQTEHNQAVAAKEDAEGACAQLSQLVADLRSKAQTSTGRAETLEAALSTARNSLEEKDSKLEGMFSLTFSCPASLIVCLPSRMADGITHGNTLVSRFGMLRDTAGADIERLPGDLHRLRVVAISMLDALEVPLSSDPARLPAELDLVRACVGALAKHSLVRGVQEAFTLVRSHYDGIRFDRLAAGFPNEFTSEALDAMAEELRAPVEQFANGVAPATDTEGNPVDGTDPDQSL >Dexi8A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:8A:21178182:21178385:-1 gene:Dexi8A01G0012170 transcript:Dexi8A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENVLWDRWRHVFERYRTRSRTAALPSPAAGASFSRPAAAHGRRRGATQRLVWDARCGAFGEVADD >Dexi3A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:3A:11223297:11227930:-1 gene:Dexi3A01G0015170 transcript:Dexi3A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAPEILVPFPPPDSPSVPASSRRRPGPPSVVTDEAASKLPRPPGTGGAASPGRAEGQQQQASCQRSSCLVPLPSAGADGSADPSGLGFISSGPAWFLYGHEAKILPVQMPICACEETINEFETLTRDAGRVQQDTLKKILELNANAEYLSHFGLNGRTDVESYKSCIPLCVHSDLEPYIQRIADGDSSPLLTGKPVTSLSLSSGTTQGKPKFLPFNDELLETTLQIFQTSYAFRNRLVHAFQTFEEVWEDLCADIRDGVLSDKITVPSIREAVTKILKPNPELADSIHNKCMGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPTLPPEQVTYAVLPQTGYFEFIPLEKPKGEEMENSASIHYIESDPIGLTEVEIGKIYEVVITTFGGLYRYRLGDIVKVAGFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAGKLLEAEKLEIVDFTSFVEKSSDPGRYVIFWELSSDASEDVLQSCANCLDLAFVDAGYVGSRKIRTIGPLELRILKKGAFEEILDHFLSLGGAVSQFKTPRFVNPLNIKVLQILSRNTTKSYFSTAYGL >Dexi6A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:6A:17496483:17498423:1 gene:Dexi6A01G0011700 transcript:Dexi6A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELVAAVAAAVKAKRKSKAATRYSHSFTKLACPGGSDGTIISTRWLNQTVRVDAAKRLVTVESGMVLRDLIRVAAAAGLSLPNTPYWYGLTIGGLLGTGAHGSSLWSKGGAVHEYVVGLRIVTPAPESHGFAVVRDLDAADPDFNAARVSLGVLGVISQVTLALQPLFKRSVTFVERDESHLAEQVAAWGNLHEFGDITWLPHEGKVIYREDDRVNVSSPGNGLFDSLGFRPFLASSLITDRAEEELLQEKGSDTAQCSASRVAAAWLESQGYGYRNYGNSFAGYPVVGYQDQMQASGTCLDIPENDTQSVCYWDPRIRGPFLYNTGLSVPLSNAPAFVADLQRLRDLNPQAFCALGSSGVLMRYVKASKAYLGKPVDSLTIDIDYYRSRTPGVPRAHADVIDEIEQMALRKYGGIPHWGKSRNFAFDGAIAKYPKAREFREVKHRYDPDGIFSSEWSDQVLGIRGSPSIVGNGCAMEGLCVCSDDSHCLPEKGYRCRPGKVYTEARVCRFERAGLVDVL >Dexi9A01G0040450.1:cds pep primary_assembly:Fonio_CM05836:9A:44197682:44201609:1 gene:Dexi9A01G0040450 transcript:Dexi9A01G0040450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAATSVFSPSRVAAAAPAAGALVRAGAVVSARRRGSGGTRSGAGLRCRAVTPLANAAVSRSAVAAKAEEEDKRRFFDAAARGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNVDAPSFLFESVEQGPQGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGQVTEQIVDDPMQVPRNMMEGWHPQQIEDLPESFSGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRHLPDVHLGLYDDVLVFDNVEKKVYVIHWVNVDRHASVEEAYQDGRSRLNLLLSKVHNSNVPTLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVSKGKIINRPLAGTVRRGKTEKEDQMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDRLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDCDMQIALALRTIVFSTAPSHNTMYSYKASDRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >Dexi4A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:4A:12326018:12331702:-1 gene:Dexi4A01G0013180 transcript:Dexi4A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGGDIKGFRLAYGGRRLGGTPGGTRVVVKVGTSSSGREEAPLGVGECNDNVRRRWRQGCRVVAAGGGDVEGWRQRRLGRRMCTAAVMGMAGGSRGDRRRGGGGEKAGICKSNPLHQLNRQLYTMILRLFSLLELPRMFSTTTEAEEEEEAVAEQTGYQSRTITKFTEDFDFMAMNEKFNKDEVWGHLGKSTGQLNDDPNDYEDDVLEDEISPRKAEAKCLWYFFGCRPLVTRLGIDQWACEGGPPVVLVVVLEVVAIMVEDMDIWVVDGGTLTQITNLDAKDGYAFQCT >Dexi6B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:6B:21049037:21053580:-1 gene:Dexi6B01G0013340 transcript:Dexi6B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSASNPFPFPSRRAPDDTLFYAVYPLPLPTKLPAPALLASLQSLHLSLLSHLGPFLSSHLFHRDPFTLSLPADPAAPCALCASPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGEVHILPPSLFPETPSLEAALAAVYDDAVDTRAPDAVQAAIQRRIAGLPERAGENLHTARVIVPAPVAKVLKEEPCLIARAVEAFYDRDIDTMKHAARMDKFLKGPSGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERLRQGEEGKGSTWEVYRKSLEATGCFEGLLPGSKEYNRVMENAMRYYKSSTSYSRTREILSEPVRRIDEILSMPYSADEFKGVDLPPTDDDSWLYDGEEELNAELRERQKELEEYEAAKKQRKSQKESAPGSSKSQTDNFKLGEITESMQDFVRKMSSFEGAEVPANRRDMESVDLDVNQFFKAMESVFGGGSREQAGSDDGFDRKSSSSDMDFDDSDEENEFAENEELGNKDMDASFMESYSDALNKELSSTTLEKSFARAPRTGTIDEGPSNAAATDGEMTPVDVDLNLVESILNSYSSQQGLP >Dexi6A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:6A:7649867:7650318:1 gene:Dexi6A01G0007720 transcript:Dexi6A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLLAYACSGSLEKLNHLLTTAESPPPAAQLLGGVTTEGDTVLHAVAKHGDAQNYLCIG >Dexi1B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:1B:26389751:26390307:1 gene:Dexi1B01G0020290 transcript:Dexi1B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTVARASVLVLLLIVVSVFLVCAEGGRELANEKVHKVGGYSKEHPTTASEKGTTASGDMVKTNDYGRYDPTPAFSKPRFKLIPN >Dexi5B01G0025230.1:cds pep primary_assembly:Fonio_CM05836:5B:27330927:27332830:-1 gene:Dexi5B01G0025230 transcript:Dexi5B01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGPSIIAFFFFSARCSTGMAGMQVNELDLNAATLSRCKHYATGLRVLLQKELRISDLSQLRRIVLPKKEAESYLPVLISKDGITLCMYDLLNAQQWTFKYRYWPNNKSRMYVLENTGDYVKTHDLRQGDFIVIYKDDESNRFVIGAKKAGYEQTATVPQIHEHMHISTTLLPAPQVFHDYVAPVAPEAEMLATVPQADEIFDGILNSLPEIPVANVRYSDFFDPFGDSMDMTNPLGSNHSVNLATHFHDDKTASSLFPYPKSGPLI >Dexi5B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:5B:6584592:6585896:1 gene:Dexi5B01G0009570 transcript:Dexi5B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVRRRSPPALVVPSGPTPAGELIPLTSMDKARLFSSFTSLHVFAGGATIHDPAETIRRALSAALVHYYPFAGRNVTLGPGAGDDDHDFRLACTGEGVLFAAATVSCTLRDARFLEDAPPPHADLALRYGTCGVSGPLLLVQVTEFACGGYTLAATWNHGIADGFGMAQLLRAVGELARGLSPSVTPVRHDESIPDVPQHLLAAVLRRRTHDDVFKPVDYAYCDITIPRSFIDRVKAQWRRRRAHARPCTEFEAVTAAIWRCRTRAIGTGAGDEAPAPLVFAANIRRHVGAKEGYYGNCLTVQLVTAASGAVASADTVVDLVRLIRDAKERIPEGAVAGGLGQLGEELVAALCGYNALYVSSWGGLGMDGVDFGDGPPVRVLPSKERVGAPACYPCLPRRRKDDDDDASIAVATCVTEEHVDEFMLQLSRLG >Dexi9A01G0027660.1:cds pep primary_assembly:Fonio_CM05836:9A:32342902:32345721:-1 gene:Dexi9A01G0027660 transcript:Dexi9A01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERGDVQSPLLQHPPNQTQVSTANEHYNKPFSWKAPAIVLAFEFLESIAYSGIALNLVVYLGTVLHGTTASSAANVDAWNGATFLTPVLGAFLADTYWGKYKTVAISIVFYVVQNVAWSLGFGISLICLVVATVAFFVGTPFYRVQLPTGSPLKSIVMVFVASFKKRKVEVPADRTLLFEGDDAESTNTVPNKLEHTDEFRCLDKAAVVLEDQDVKDSHRPWLLCTVTQVEEVKILLRMLPIWFTCVFYSAAMCQTATTFIQQGNAMNTKVGSFSVPAASLNSAEVIFMMIWVAFQDSVVIPIARRYRGDPAGLTLLQRMGVGRFLAIPAMASAALVETWRLRRVRDGGNLSIGWQLPQFIILACSDVFCGIAQLEFFYSEAPASMRSLCSAFSFLAMSLAYYVNTLVVSVVAAATTAGGGKGWLPANLNDGHLDYYFWLWTGISVVNYVVYTVFAKHYTVKKTVRQ >Dexi9B01G0025020.1:cds pep primary_assembly:Fonio_CM05836:9B:24396992:24399553:-1 gene:Dexi9B01G0025020 transcript:Dexi9B01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLPWLIWLILSLIAVYLLDLFAHARRGLPPGPRPLPLIGSLHLLGDQPHRSLARLAKIHGPLMSLRLGSVTTVVVSSPEVAREFLQKHDVVFASRSVPDAVGDHARNSVPWLPHSARWRALRKIMSAELFAPHRLDALQHIRREKVQELVDHVGRLARDGTAVDVGRVAFTTALNLLSRTIFSCDLTSLEDHGRSKEFQEVVTEIMETAGSANLSDFFPALAAADPQRLRRRLARLFARLHQVFDVEVDRRMRERDAGETRKNDFLDLLLDATGEPSTPGLDRDTLQSFFTDLFAAGSDTSSSTVEWAIAELLRNPDSMAKLCSEIAGVIGSRRKIEESEIGKLPYLQAVIKETFRLHPPVPMLLPRQAEAAAEIMDFIIPKGARVLVNVWAMGRDKDIWHEPEKFIPERFLESVIDFRGGDFELIPFGAGRRICPGMPLATRMVHLVLTSLLNQFKWKLPTEVQRNEVDMAEKFGVTLKKASPLYAIATPI >Dexi2A01G0033400.1:cds pep primary_assembly:Fonio_CM05836:2A:43618485:43619675:-1 gene:Dexi2A01G0033400 transcript:Dexi2A01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLRAALSRIVGSQSRLPTSSHAHPLVFPHRILGSSAAAAAAAFCSRSFAVEDYLVSRCGLTKAQALKAAAKLSHLRSGAKPEAVLAYLESTLGVPPAGVSRTVVTDPTLLCCNVEKTLVPRVAELHELGLSRDEIARLVPLAPISLRSRLLRSNVEFWLGELGSFDKLLRVLRWCSSLLATDLDKVTRPNVALLRECGMDISKIAATDMYSSLLFVINPSNLKDSVQRVEEMGIDRAAGVFRYALAIFAFTDKEVIAMRIQLLHTLGFSKDDVLAMDAGLEASYIVQRPVLLLYSVERRLLPRHCLLKVLKEKGLLKGELSYYFTASMAEKDFVRKFVLPFKNHVPGIIDDYTSKCLKKATDGIGLPK >Dexi9B01G0029360.1:cds pep primary_assembly:Fonio_CM05836:9B:31938803:31939575:1 gene:Dexi9B01G0029360 transcript:Dexi9B01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGLDGDKLESRLYVGNLDFRISESDVIKMFSPFGKITAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKSSMDSGDSHRAFKDKKLTGGSASKSAQTDRAAKIAAIKNKLKSLEEEGCSTKRPRLTPNDLTGTREHSHKKF >Dexi9B01G0045100.1:cds pep primary_assembly:Fonio_CM05836:9B:44732283:44734821:-1 gene:Dexi9B01G0045100 transcript:Dexi9B01G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECKVGGAAAGDCLIKLFGKTIPVPEAAAAVGEADKDMQQSGSSTTELKGQENTLQDSTGSPPQQEVADTEDSSAAKNPSASGDQQQSETANPKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPIDPLCTAAKTNGTVLSFGSDMSSLDLTEQMKHLKEKLVPITRIKSSEDRSVGSCTDGSARGENLNQMNQKEKVTADKPANVVPHPCMNGVAMWPFGCAPPPACYTSGIAIPFYPAAAAYWGCMVPGAWNAPWTPHSRSESASSLSTASPASTKSNCFTPGKRPRDSDEEGDTKGNGKVWVPKTIRIDDADEVARSSILSLIGINGDKASKDGRGCKLARVFEHKEEAKAATHAVINSLPFLQGNPAALSRSLTFQEGS >DexiUA01G0005860.1:cds pep primary_assembly:Fonio_CM05836:UA:10558869:10567468:-1 gene:DexiUA01G0005860 transcript:DexiUA01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYVLAAAGALIVFLYVLVMNRRRRGKLPPSPPSVPLLGHLHLIGRLAHRSLHDLHLRYGGGNGLLLLQLGRRRTLVVCTAAAATDMFKNHDLAFASRPRSVGADKLMYGCDNVSFAPYGESWRRAKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAAEAAGEGVELRGLLYGYANAVVTRATAGVAGATAERLKQLMAKSAGFVAGFEPEDVLPDAPARFVRWVTGIDKKLGGIVRAWDMFLSELIAAHEEKTANVAEEDEGFLDVLLRLRRDGAEGLELTDNRIKAIVKDVIMAATETSSDTLEWTMAELVANPRVMGKLQDEIARVAAAGDGQLAESDLNKMGYLRAVLKEVLRLHPPAPLLVPHESTAPTVVQGYEIPAKTVLFVNVWAIGRDPAAWDAPEEFRPERRMTWD >DexiUA01G0017640.1:cds pep primary_assembly:Fonio_CM05836:UA:37247988:37251789:1 gene:DexiUA01G0017640 transcript:DexiUA01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDEQRDIKPFLYPVTATPIQRGLPGSITFQATAVPVERGGAPVSVGARPQTEAQAACVLNRAASELSGGVGDRTIDAGEALEAEGTSARRSFPAPRFNRKFWSAGDYDPGAGSSAPQHPTVQNRMCVHPEFLHSNATSHKWPFGAVAELLDNAVDEIETGGATRILVDKVIDNRNGSPALLIQDDGGGMDPESLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADVIVFCRCTKSGGPTQSIGLLSYTFLVETRQTDVVVPVVDYKCNLLRGQTTRLERHGSEQFASNLSVLLKWSPFATEEELMQNFNDIGPHGTKIVVFNLWSNDDGNLELDFDTNPEDIMISGAPNPEEFSSAVKRTNENHLANRLRYSLRVYASVLYLQLPNYFKIILRGKEVRRHSIAADLIYPECVSYKPQVNGRQEVCLEIRTIFFLTTVGFLDGAPTISVHGFNIYHKNRLILPFHRVLSSASSKGRSIAGVLEADFIKPTHDKQDFEKSQLFQRLMNRLKEMTNEYWDIHSHKIGYVKTQRVRAAPVPPAPPVMLPIANGAAKPLEWSAPAPAVSVPSLRSDSTYVNAVPISFAPPSFHSAPAGTDGAAPRVPTGSPSNAQIMRINERTSSSMAPGTDLVETTKRRREDDVLMGSFKKQATNDLVSSSSNSDQVYQYMGERERKEFSFLKLENRMLREECSEFETAEKELLLKVNSLPCLVVMII >Dexi9B01G0021520.1:cds pep primary_assembly:Fonio_CM05836:9B:16223822:16230895:-1 gene:Dexi9B01G0021520 transcript:Dexi9B01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAKRNGGDGGGEKPGEEGTRSDASRVVPVASALSQAALPFSAVHQQEKQTRPQPFPLLLPVLAPKPPSLSSPLYNMVLIFRLQKEAEFKLLEEELARRVEEAIRKNVEERLNSDEVKLDIKLRIEEGIRKLFDEVDAQLQKEKAAAIYEARQKVEQERREREELDRMLEENTRKVEEAQRKEALEQQQKEQERYLELERIQKQREEALRRKKMEEEEERGCG >DexiUA01G0015010.1:cds pep primary_assembly:Fonio_CM05836:UA:31466574:31468554:-1 gene:DexiUA01G0015010 transcript:DexiUA01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTREITMLPCFTEVEGTAECTSLWEYRYEEYLGKAVGFIALDKEEKNEEFQKLYQHYKRLLYGAEEFEETTRDHSVLFMEACTIYRIVYERARSTRSISKCRFVWVVAGAALCHLHARMYAMQRGEKTMLCPISVVRQLYR >Dexi5B01G0031210.1:cds pep primary_assembly:Fonio_CM05836:5B:32009430:32009777:1 gene:Dexi5B01G0031210 transcript:Dexi5B01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWYSAALSARSAAVRMPFSVIISSIDSLKSRLGSRDVFTTAKLSANGGGGLVVARAGDLAAAAVAGGLHFLDGADATRGVLARVLRRRGDDTDRLGLMSCLLCSG >Dexi5A01G0027440.1:cds pep primary_assembly:Fonio_CM05836:5A:30933599:30936030:-1 gene:Dexi5A01G0027440 transcript:Dexi5A01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEELRLELDELRQLEGLAKRPRVQSLLANEIRNVEAKLAKATAPVPEPQAAASAPPARPGLNYVTLGSFSWDQDTDKIRIYVFLEGVEQEKVETDFKPMSVDIKLHDVKGKNYRCAIPKLNKEIVPEKCKVLVKPSKVVITLCKASKGNWVDLYYKEDKFKPSMDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTTDSLTGLR >Dexi3B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:3B:11968955:11969698:-1 gene:Dexi3B01G0016440 transcript:Dexi3B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDHSQGVTAVARDLLEPPVVAAMASTTSASSSSSSHDDAEEDGFTFAAVPRLPSSGALPDGRLAPLYYPVFGRPRSPPAVQEAEEEGDLFTATVMVPLAQLLMEERGEPSASGQLEDEDDGGLDGVPAETYCLWSPGASPAAGSRSSSPSPARCRKSGSTGSVLRWRQRVMRRSHSDGKEKFVFLKESSAERSSGGHGWMMGSDRSGKGGGGGGDRKSTFLPYKQDLVGFFANAGAFRRSYLPF >Dexi8A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:8A:8074212:8081764:1 gene:Dexi8A01G0007230 transcript:Dexi8A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPAEEERLAAEGLPRSGSASRLNAQAPEFVPRAAAAAPPPPPPPQAVVRLFPPPPPTAFFVAGPPPPPPPFEYYAVAAGGGARFGPPGPAAELEVEAEPPARDGGFDDPVHKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKRLQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSAVGSVRTIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYDTLEDAARAIVELNDERNWRSGLRTKGCKGRKGGHEADGYGEEENVSTSDQRNDKNLEETPQLSDAAGEHMTEDGIGDMGRGRGKGRGRGGRGRGRGYHQYNNNQQYQNQQQYQNQQQHQNSSQHGNNRSVTHPVGTPPSGYPVKNEQQTQPQPQQPSAANKQPPGPRMPDGSRGFTMGRGKPLSSVPSVVASESEP >Dexi9B01G0043010.1:cds pep primary_assembly:Fonio_CM05836:9B:43116153:43117669:1 gene:Dexi9B01G0043010 transcript:Dexi9B01G0043010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAAAAAVASTSAPATPTSILICREDGSDLFPDADDDDGAGADFAVARDDRLLVVDHDDEYVAVLLSKETASAADCGGALVEEMEEWMKAARSGCVRWIIKTTVMFRFSGMTAYVAVTYLDRFLAHRRVNRGQEWALQLLAVACLSLASKVEEHHARRLSELRLDAYEFDSASIFRMELLVLATLQWRMTAATPFPYISCFAARFRHDERRAIVMRAVECVFSAIKGLEDRSSAEVASSGVSVAAHVVGSPDASVGTNNAAGAAPPATPDSSNNKRRRLRSPPRQ >Dexi2B01G0027680.1:cds pep primary_assembly:Fonio_CM05836:2B:36501288:36501949:1 gene:Dexi2B01G0027680 transcript:Dexi2B01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDNTTDILVGVGGFLMVIFISCLAIHLWIKTQQQREQAMLKLRQMSLAIQSVINLWRMEGSNLEFSQYDYSDIKEATNNFSVDNKLGQGGFGPVYKVSCPR >Dexi3A01G0035050.2:cds pep primary_assembly:Fonio_CM05836:3A:40326448:40327169:1 gene:Dexi3A01G0035050 transcript:Dexi3A01G0035050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWPLHRRHIKIGPIPRDLDDPSTDGITIFKHYEPFLDPATDKEKETEDDDLPLRVICNVATDKEIRTLVFCSVTGKWRAVTSFAITGATTTFRWLSYRGSRHYTCSCFFWTGYGKKAMLVLDTREMKFAHVDVPRESSYRMHSVVEVGGGRIGLLILGTRMLFLFSKTWPPDNNGGDGVEGWRYDDAIALADRNWRLSGGDGEGYALLKGVEESATQYFLVEIKTLSVVCGETWH >Dexi3A01G0035050.1:cds pep primary_assembly:Fonio_CM05836:3A:40325897:40326444:1 gene:Dexi3A01G0035050 transcript:Dexi3A01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDVRKAGRVQIHSVESNPSLLRSSPIAPTPSASRWPRHPSPTSSPTISWRTSSSASTTRATSPEPTHPASPSAASSPAATSSAATVPSTARPSSASSPSPPATPHQFHPAEPPHRSAPTSPWPSSPTPAAGASATSVTDASSSPGKPPSPATPSRTF >Dexi9A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:9A:2581649:2582909:1 gene:Dexi9A01G0004710 transcript:Dexi9A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGSVRRAAAAAVAILFGALVLIALVMDDGEKAALLPAIGGRKTMSRADGEQRTPDGFKTDDTFQDSKRRVPNGPDPIHNRLHFGKEIPASVSPRWEPASPDDHRAEHDGDGTHVKAWKLLKRRTCVEQQTDVALHCKEEEEQTRGALWWVWLECGV >Dexi4A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:4A:13478563:13480306:1 gene:Dexi4A01G0013610 transcript:Dexi4A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETHRLRLRLHVLAILLTVAMVIPSISAGELCRESCGNIPVRYPLGIDDGCGSPYYRNMLICADNATLRLRTPSGTYPVAGADYSDPHLVVTDPSMWTCTRPFTAVHAAPFSLDTSTRFSLSPRNDYVFFDCDEARVIVAPRPASCERYPDRCDSACDSAGYLCRNLPGCRGALEEGNMTCCAYRPRAAESLRAMLQHCEAYTSVYWRAAGDKFPPYDQLPSYGVRVDFEIPVTTRCLQCQDKRRGDGGTCGFDPATRDFLCICDDGHNSTTDCADGQASGYHGSAGVIAASVVVSISAAIGIGGFVWYMRKIRPNKVVTCGVQSNENRFF >Dexi4A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:4A:20582498:20584450:-1 gene:Dexi4A01G0016840 transcript:Dexi4A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCVFLQINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >Dexi5B01G0033740.1:cds pep primary_assembly:Fonio_CM05836:5B:34062010:34064241:-1 gene:Dexi5B01G0033740 transcript:Dexi5B01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTNSTRKVRICPLRFFARLVIRGSIALNFIMHIVSNGYLSLFHITQACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQVLGFDAC >Dexi9B01G0027840.1:cds pep primary_assembly:Fonio_CM05836:9B:30331427:30331726:-1 gene:Dexi9B01G0027840 transcript:Dexi9B01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSQRGWFVDPELGAAPEDDGVVVEYGGHDESAVQQRGGHRGVPELANLVAEPAVRNLSPSSSAEAPHWSKPLPPEAAEGKESKERSMVE >Dexi5B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:5B:11461280:11467427:1 gene:Dexi5B01G0014620 transcript:Dexi5B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREATQPEEAAEARGPEQASFIPPKYFEYLETKSLSVRNLHVLQENEDKDLKLSSRVVSLLFGGDISTPAQTFEKWLSLVRKRSGAFRPSGFPHRGSRIEVMPSGSFSLFGSGDLSEHLVREEPVGKDPLTCCDQPPEISLWERLGNASTLDIESSEFSWDVLSSLHHTEHSSGSEHSEDEMNKALEVTVNSGGVVFFALFSSSGNSELAQEAAAVIKFSSSKMATQAERLGYEFARLLGVQTPQARVVYNSSPEWQGIKHAAENARAVAISNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFNSRETACVTASSLGRVLMLDLILRNEDRLPCRPDEVTSADSCLSLSDEDNAVDPELLKEIEQWNEALKTDVIKFCQENNFHSGFFDGTENNMVADAYELKVGHKIYLNVIPHFKELVSLIIDHLQVRLEHIIERIALISDAANTERPSLVVNNLFIGGALAARSKYTLQHLGITHVLCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDFIDNVNHGGGKVLVHCFEGKSRSATVVLAYLMLRMGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDKKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTMEIQKSIESLRISRGGSLSPSQKLTKAFANELGF >Dexi5B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:5B:7473524:7478587:-1 gene:Dexi5B01G0010560 transcript:Dexi5B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPAASAMDWSIDLDRGLRSRHHGNSSAPLLPFNFPLPSVPSLTSAPRPAAAATRVGALDAAAPRLRQLCACAASPAPAPVASAYGVLPGEARVFAETMLLRLATEFRTAADGAMRARVVRTLLAAAGGRGVLVGARVAEPEQLLRRVKVVYDTGSARDKALALRVFGCLAEVAEDSVHVRSLILSSLGASSALEVGKQMVLGSLEDVFKSEMLYSLSRLTSAALEELRASNGNVELLATSAKACLIESDIEPGKLPKRFSHASVLQDLLGRVDGPDRQIVSQLQQFMPASFDAEYIRRDLTKGRDEKDSQSDLEMVTSFASFEPADSGQRFSACLLDVSSFPEGSYQIKWHACCVNEKGSYFSLLPLNDGAVFSVRKS >Dexi1A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:1A:26268435:26268832:-1 gene:Dexi1A01G0019090 transcript:Dexi1A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWPSPPLIPASTLVPVPATSHEDELLLAMAESDLEDKLNEIRKANSNLVFIGKPTGDTKEEYDAEVEEDDADNVDESDGDDFDQETG >Dexi9B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:9B:12343321:12345100:-1 gene:Dexi9B01G0017580 transcript:Dexi9B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAAPGAGKEYPGRLTLYVFLTCAVAATGGLIVGYDIGISGGVTSMDTFLQEFFPSVYRKERTARGGSQYCRFDSQLLTAFTSSLYLAALAASFFVASVARSLGRRWCMFGGGVSFLAGAALNAAARNVSMLIVGRILLGVGVGFAGLSIPIYLSEMAPHRLRGTLNIGLQLMITVGIFSANLVNYGAAKIKGGWGWRLSLGLAAVPASIITVGSLFLPDTPNSLITRGHHEHARQVLRRIRGTDDIEDEYNDLVAAASEVSSGGVRRRSPWLDIVQRRYRPHLTMAVLVAFFQQLTGINVIMFYAPVLFKTIGLGGDASLLSAVITGLVNIVATLVSIATVDRLGRRKLFFQGGCQMLFGASGGDEGAIPKTSAAAIVAFICVYVAGFAWSWGPLGILVRSEVFPLEIRPAGQGINVAVNMLCTFAVAQAFLPMLCHMRFGLFYFFGGWVLAMTLFVAAFLPETKGVPIEKMGAVWRTHWFWRRFVADEDGRAGNRDVEMDYPKGNGDVVR >Dexi2B01G0023420.1:cds pep primary_assembly:Fonio_CM05836:2B:32890955:32894361:1 gene:Dexi2B01G0023420 transcript:Dexi2B01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGDGGDPVAVCRDRKRLIKAAAERRFALAVAHASYAAALRSVADALDVFVARHTTPAPILITLPTPSNSPPVSPKASQVQVQELPSPATPPPPPQEDEQAAASPAAAVDGGGGAQTPDMGCPYYYPAPATPPPPPPAASVVGGWDFFNPFYGADEVAAAISDEEMRAVREREGIPELEEAEEEDEGAKSVEAEAPKAEASLGVSKPQEETKDMRDMMGNDGGLEVALAPQGRELLAALKEVEELFARAAEAGKEVSSMLEAATRTPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTEKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCFQLRNQDAKGSELRSAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFVSYVNAQKAYIEALDGWLSKFILTDTIRYSHGISSIAPDRADAPTLVVICHDWYTTLSKFPSKRVSFTMRNFLRSVRVLWLKQGEEQQQKRKVDGLSKELDKKLTAYKRAENRIIGTKLLEHKPEIDAKQRMEHLSEKKEMLNVLRRRIEMEKMKHQACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLAQANAKDSEKVTAEKRPCVEGPYSHIGVDA >Dexi6B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:6B:8635751:8636812:1 gene:Dexi6B01G0007410 transcript:Dexi6B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMVASSSSCKCRVLASALVVVLAMAARGAVAYLYDDIKVTWGSGCSFFYMDNDVDTLALCLDRSSGTGISSNGSYLFARYDMDIKLIANDSAGTVATFYLLPDDNVPWEYRDEIDFEFLGNATGEPYTVHTNIYVNGAGGREQQFKLWFDPTEDFHTYSIEWNPKYIIFLVDDTPIRAYKNDRARGVPFPTWQSLTAEGSLWDAEEWATQGGQVKTDWSQAPFYAYYRNFRVTPCVPSPGVAWCGDEPPESTWFDQRLDAAALQRVQAQNMIYDYCVDQKRFKDTGFPVECTTA >Dexi9A01G0046560.1:cds pep primary_assembly:Fonio_CM05836:9A:49936472:49940262:1 gene:Dexi9A01G0046560 transcript:Dexi9A01G0046560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPAPAPAAPAPAASAAPAPAAAVPVADQTTDLLQKLSLDSQPKAADATETTGAKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMSEWDDYPRYLNSDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYYQPPTPVPSTTQGDLQSSVNPEKPAAKADPAKTTANGVPNGTAHSNSGTVPLASSNQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYGNVQQRTNTTTHMPSSTFNGNGSSARNQTKSSTTPQMQGMQNRRPATTTGSMAPTYPNRMYPSTRPYTQYGNSIKTGLPYSSNGYDSRIYGRWGVGMDSRYRPRGRANGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSGESKDDSAVPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEALSKGSSCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRPPASVTTGEQQHEVANGKPKPTVPNGVNGHNGEVKAPSEKGTAPVVSYAAKVAQTATEKPVLANGVAKTG >Dexi4A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:4A:8963487:8964929:1 gene:Dexi4A01G0011060 transcript:Dexi4A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPSHPVLRHVAMLPFMAKGHAMPLLHLAHVLLRRGLATAITFLATPRDAPFICAGAPTGASVVELPFPSSATGPQSMDELPSAASVTGLLDVVSTAAALRPAFAAALAGMDPRPDLLVHDGFLSWPKDAADELGVPRLVSMGMSAFATWVPMAVMVQKPHARVGGSPSEPFELDGLPGLRLTKADLNPPFDEPEPAGPHWEFILECSGAMGTSRGTILNSFHELESLYIDSWNRAMPIKMWPVGPLCLAGEPDRTLEADIAGWLESRLAMNRPVLYVAFGSQAELSRAQVEEIAAGLDRSGLDFIWVVRSRWLDHEDDQFENRFGDRGKVVRSFINQLGVLGHKAIKGFFSHCGWNSVMESISMGVPILAYPMAAEQKLNSKFVVDVLQVGIRVWPSETEDGGAGHGSELLVSSEDVSTLSRELILGEGGKRAAARMTELAASARAAVEEGGSSFESLELMVREVCEIGCADEKE >Dexi9B01G0047690.1:cds pep primary_assembly:Fonio_CM05836:9B:46729748:46732024:-1 gene:Dexi9B01G0047690 transcript:Dexi9B01G0047690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKQAVHDDFGPNRGAHEAAAQFVSFLPVGHRPPSAKTGKPAAEIGKTTTLGHTPPQLPPLSARARDLHKRGLAMPAQVTQISAVTAAAAHVLCLAGLAAAHALAGRGALVSNPALALRLLVVCEAPVVIAVFSYLRRDRKSCSFIKAAARGLIGLPVGALLNAFGAIVLGAPVGIKSQGRETNFVTFQFVPAACVFGASKIDWQNVLSHSMYVLDFYFTPTDADNYIISVPCHGAVLGAWLGAWPMPLDWERPWQEWPICVTYGAVAGYLVGMAVSLVLIAVHKRRVRAKSD >Dexi9A01G0041560.1:cds pep primary_assembly:Fonio_CM05836:9A:45207441:45214842:-1 gene:Dexi9A01G0041560 transcript:Dexi9A01G0041560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPVVLLSSSSGEDDGGGRRGPSARRSRTPASAPARARAQSQEASGSRKKPRRESSVGRGRRRASATAPSDSLKAEFDMLSEDFSECFDDLGMSGSIRKTKELWVEKYTPHSLAELAVHKKKVEDVKKWLEEKLTAPKGIRDTNSAKTNLQKTVGGWSLVLTGHTGVGKSATVKAIAADLGADLCEWTTPVPTLWAEHVHANSGLRYISKLEEFENFVEKIRKYAMLRPTSTTSQGKLFIVLIDDIPSNNTATWNSEDLESLLQDAGAHKISFNPVTTNSIKKILIRICKEERYNASQELLHQIAISSGGDIRHAIMSLQYYSLDPRGHCSSLATNTTRAGSRCGNSLVPRRENYGLSSALPSPCGRDETLTLFHALGKFLHNKREINCDVDIDLDPFPLKENLRRNSLKMDVPEKILSQAHGKVRTVVDFLYENVLDFIDNEAVDDAWAVVSYLSEADCLLTGSPIVSYNSENMGQLIAASVAARGVLFGNAHVASSRWHTIRSPKLWQTEQSFRSNKDQILKERFDCSSSCGSCSFSDIVTEFRPLERWIGPRNAGSRISSLPHGVGGSVLVGRLDADGSNSEDDDNVIEEC >Dexi1B01G0023110.1:cds pep primary_assembly:Fonio_CM05836:1B:28778777:28783292:1 gene:Dexi1B01G0023110 transcript:Dexi1B01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPATKPMELVGFWKRALDTTSPAATTAAAAAGATATGAPSLSFATSAPTTTAAVGFHAAAAGGHHHQHHHHPVPSSHHHLGLPFLHHTQPIHPDGISGGLRDMGSMRPIRGIPVYNTSQPLPFLQSHPHHHHHHPHCYDAIGMGVHGGGGGPRSPNKAAALRLVAGAPAKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKPASAASYGQAAAKTIIDIPDDNLFDAANTPSESESSAQQSNPDANYHGSSMCALWSNSSISRGAWFHDKSIDATPGDIKSFEDVQSQSLDDVVSDLNSSAFQVAGMFGRTKKPNLDFTLGRI >Dexi7A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:7A:29863240:29872350:-1 gene:Dexi7A01G0021150 transcript:Dexi7A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSPASRLLPLLLAILIAGAVPGVRCSDRRFPHLDRVRELHRREGSSPAEQEAAARGLLQRILPSHSASFEFRIISTDQCGGKACFIISNHPLFDGEGTPEILGSMPSAISVTACKFYSFAWWDWERWEKEIDWMALQGINLPLAFTGQESIWQRVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNCHPLFYYCLRFTVDSNPRWCCTYLLDASDPLFVEIGKFFIEEQIRERKKNANLDTSCSFAQNMVGQVTYTTGSLTSITLQGWLFTYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPVWISSDQFYGVPYIWKVLFFPPTSNTIYYCPFLYLHKKTCVIFFFRCMLHNFAADFEMYGVLDALAYGPIDARLSDNSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVQTYPTRRYGKPVKGLQDAWWILYQTLYNCSDGKNDKNRDVIVAFPDVEPLVIQTPGLCTSAKKMYSTIQSNNYEVKDASNDAYEHPHLWYDTSVVIHALELFLQYGDEVSDSNTFRYDLVDLTRQVLAKYANDIFSQIIKNYKSNGINQVATLCHHFLDLVNDLDVLLASHEGFLLGPWLENAKSLARDREQEIQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKHLLQSMEKNEPFALEEWRREWIRLTNNWQSDRKVFPTTATGDPLNISRSLYMKYVRGTSLLELQGTGSLGNSASLV >Dexi7B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:7B:17760504:17760980:-1 gene:Dexi7B01G0010430 transcript:Dexi7B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAATARRPEHPSSVHDGLMMRHLGSCGGGAPFGLPPPSRDPLAPMVNYSTYAAAIGGGGSPASLPSLPMMPARPLGQIEGLKLPMLAPPSLQQQQQQHQLLRHRLAMQAAGLVAAPKAEVKVEGNVAGGGAAAAAAVYQQMMRSGLLPLGRQM >Dexi6B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:6B:18655255:18655533:1 gene:Dexi6B01G0011430 transcript:Dexi6B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGAGRWAGSDTRKRPKLGACRAPRGASSRRVLRHPAASVGESTTESAGPTRLSETRATRRRADAVGGATPPALSEHVVALGWGGVGGKR >Dexi3A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:3A:12039767:12040315:1 gene:Dexi3A01G0016130 transcript:Dexi3A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSSAAFSLLTVPIASSSSSLPKAVTFSSSGGRDGGRFAVACTSTAASPKVLELVDAIAVLTLEEARGLVDHLQERLGVTAAAFAPAAVAAAPGAAGGEEDAAAAVEKTEFDVVIEEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEEVGAKVSIA >Dexi5A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:5A:8132247:8133559:-1 gene:Dexi5A01G0010820 transcript:Dexi5A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAADDRKRMEPSEPSRDASGWPSVGGTGAVSQTAIVASRGMATAAAAAPPAPKSSSGGGNTLCFCTDEAPALRLSPTMVLVMSLCFIGFFTALHGFGKIYNSRWRPPANTVEAGGGHAPMVVMGCEWQGWTTMAPLVRWWRTYGGQGQRMGTG >Dexi2B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:2B:1140965:1141369:1 gene:Dexi2B01G0001690 transcript:Dexi2B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVASAVAGETVSRIISSLIDRDDDKSAENMERLEMAHIKMEFVLHVTDKWQITDVPLLRWQSKLKRAAQECGDALQRCKQRAIEEQENRQRVIHSSFPKRVAYATRSFVSSITGSGHDEPRSSSTNIVRRS >Dexi4A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:4A:19608080:19616666:1 gene:Dexi4A01G0016090 transcript:Dexi4A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPQTLPLLLLLLPLLASLAPASTAATFSGLDAFLASAAARDPSAGNDTFAALPAGLRRALSVPTPLLPSRLLSLTAAVPVHVRLAGNSFPASSGRSLPSLVNAAVSSANFLSSRRPHRLAVSHTLHLDVTGPVAASKLANSASDAVRAHLEKSPAPFHTNALAGVPYSLVDDLVAEDYRAISGSGPAEAVYIYLLDLGQQPRQYAYTAAASGTDSSSPGYSRCLGPMWTGKDRYIWIDLGAGPVNYGPALSGDGVLPRGEFHPLATLHGRPKSEKALLADLASLVLSAYKSLLVPSLRTPVHYEDSLLIRFVHIHGYRKEPEGLDFGVIEQSIRDGDLPYSGQSLKFELHTVRYHQTVAFGDMVVAVRTRSSQTVSDYT >Dexi6A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:6A:16252268:16253029:-1 gene:Dexi6A01G0011420 transcript:Dexi6A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSEAAAGLSHRLRLRATVLIPIAVAVAITILAPLPVAASQRRPEVNLKPRPESENGAFKGCFKTDPNGRKWPCSRINNPAANLTMFDCNIENRMRTEMSIDCDGDERAYPMAPGDRRYESYASVFDPAPPPRYFNLDRTSPRVSCNWECAGNEMTGLVVWDEQWPEAWSCREVGSDGQCRVVFEESKEVVLVTRTGRRVLGDLATKECSKNWWGYGGWLPFSLGCTYPKHDHEYYGKIKGWLAPGKEDGH >Dexi9B01G0041340.1:cds pep primary_assembly:Fonio_CM05836:9B:41811348:41812660:1 gene:Dexi9B01G0041340 transcript:Dexi9B01G0041340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVHHLDDASLALGLSLGGGGVVASDVTRQQSTGVLLSRESPRALDPSLTLSMPDEATTATGSGGGGGGGGAGGGPARSVSSLSVAGVKRERVEEADGEHASSAAARAHGGGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENRRLQRELQELRALKLAPPAMATAAPAHAAGVAPPFYMQLPAATLTLCPSCERLGGPAAAAKADPDRPKAAATHHFFNPFTHSAAC >Dexi1A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:1A:1118629:1123164:-1 gene:Dexi1A01G0001690 transcript:Dexi1A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLLAGSPWLRARLLPDAPSRPFARHIHLGRVLSSRSRSRARAFAEPISGPVRVRFAPSPTGNLHVGGARTALFNYLFARSNGGRFVLRVEDTDLERSTRKSEEAVLADLTWLGLQWDEGPDVGGEFGPYRQSERNSLYKQYAQKLLDSGAVYRCFCSNEELEQMKEVAKQRQLPPVYMGKWATASDTEVEKELEKGTPYTYRFRVPKEGSLKINDLIRGEALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSFPPDELIKAFEDRWKNTGILQESESGFAKEAAELLKDGIDLITEADAALTNLLSYPLHATLSSDEAKPVVQDKISEVASGLIAAYDSGELTQALAEGRDGWQKWVKVATGSVTSSGKGLFMPLRILLTGKLHGPEMVGSITLIHKAGICGAVTPQSNFLTLDERFRILKEVDWESLVKEQESPAEPAIHATS >Dexi4B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:4B:768122:772100:-1 gene:Dexi4B01G0001220 transcript:Dexi4B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRSPAGAPSSSGGGGGGPLIEMASLLRSDRTYAPLSTDDPSASSRGAVMVGLPPAWVDVSEEISANMQRAKMKMGELAKAHAKALMPSFGDGRDDQRAIELLTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRRKQSSYLKQLRQQKEGQDGVDLEMNINGSKSTFEDDEFEDVGFSEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQSVAASVEEGCKELQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >Dexi7B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:7B:4982024:4983612:-1 gene:Dexi7B01G0002590 transcript:Dexi7B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRIIHLILALCILYTTGTSSSASSDVDAFLGCLSADIPPRLVLTPATSNYSELLLSSVRNLRYVLPGTVRPLAIVAATEATHVQTTVICGRRHSVRVRTRSGGHDYEGLSYASLDPHQQFAVLDLAELRAIHIDAERAEAWVESGASLGELYYAAKVWGEKYFKGNFERLAAVKGKVDPDDFFRNEQSIPPLPPKR >Dexi6A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:6A:7152606:7153184:-1 gene:Dexi6A01G0007190 transcript:Dexi6A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAKGTMRWVPVNRPGEASSSRGHQGRGGGGGADSPAADRLSALPDALLHHVMSFMKAWDVARTCVLSRRWRDLWASAPCVDIRVGRYSDAPEDYAKFVYRLLLSREALAPVETLRLCSPGEDDHEFDMEDVRMWIRHAIRQNVIQLNGHLHEYVELDANDLVSRCLRVLKLSYAELDDKFLKLICSR >Dexi5B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:5B:4357196:4358197:1 gene:Dexi5B01G0006470 transcript:Dexi5B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTEQRVVQDLFGVVRLLSDGSVVRGDESAIMPPGPFPDVPGVQWKDAVYDAARGLKVRVYKPSPADAGEEANNTKLPVLVYFHGGGYCIGAYDQPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAVDDAATFFSWLRAQAALRSSAEPWLAESADFSRTFVSGVSAGANLAHNAVVRIAAGQIGLGPLRVAGYVLFSAFFGSVERVATESDPPPGVSLTVEMSDQLWRMALPAGATRDHPVANPLGPDSPSLERLPLPPALVVAPGRDVLRGHVLRYAARLKEMGKAVELAEFEGQQHGFSVTTRSEATEELMGIFKGFVHHHATPN >Dexi3A01G0026410.1:cds pep primary_assembly:Fonio_CM05836:3A:22610513:22631014:1 gene:Dexi3A01G0026410 transcript:Dexi3A01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLPPPAGSRHGHYRYARMLPPASPDGEAPPEDPEPEPERRPEVLAASASASLRVPDAARVFDELPRAHIVAVSPPDAGDITPMLLSYTIEVHYKQVRDPSPPNYFYQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDEDEADDVNVPLQSDENSIRNRNVPSSAVLPVIRPAIGRQNSISDRAKVAMQEYLNHFLGNLDIVNSQEVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDRKKTCCSCGLFNCCKSNWQKVWVVLKPGFLALLEDPFDRKLLDVIIFDALPHMDINGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMVQWFIDGQAAFEAIASSIEEAKSEIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDSPEHKVADSPPIIWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVVRSVGQWSAGTSQIEGSIHNAYLTLIEKAEHFVYIENQFFISGLPGDDTIKNRVLDALYRRILRADREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLFDVIGPKAHDYISFYGLRAHGKLHDGGPLVTSQDTILTKVPLQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHLGEVSHIMDPIDDSTFKNIWMATAKRNTMIYQDVFSCVPNDLIHSRAQFRQSFAQLRDKIGHTTIDLGVAQSTLETYQDGDLKGTDPMDRLRISL >Dexi4A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:4A:10606356:10609997:1 gene:Dexi4A01G0012100 transcript:Dexi4A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMTENAAAPVGAAAPDAAVHADQRVEGGAVVDDSAAPTVAIEATSDADQIIEDAAPEDDGGHGDTVINVDVSPEEMRNIIEVIADTGKFWHDWNFLKSLLSLQLKQVLDEYYEAQMASQDDVQQQRSFSGETYSELVSRLSDALWRFEEGPPFTLQRLCEILLNPKGTYTKLPKLALSLEKNLLVTSTIAKCTDPYPAAHGPPSSDCTQITENSGLVDEEPESTPEHSTTVPNGTEHAAGDGDEEMADAAEEVSGSRDVEMQEDKPDQVENVRSDSNPGAAADTEAVNVSEPLLDPQT >Dexi2A01G0023160.1:cds pep primary_assembly:Fonio_CM05836:2A:34931174:34931661:1 gene:Dexi2A01G0023160 transcript:Dexi2A01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGLGSGTATTIPCSSGARTTWQPRRACLLSSRFLDARLVEPLLRDVDLALGGARVDVLEAVGGRLDEPPVGERAEKSLTGEANHFPARAVGIDGEDAHDAVGDLGGCGGGGWGSRGGGRGHGTEGAGERGGEVEREAAQGARRDRHG >Dexi3B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:3B:5969245:5974829:-1 gene:Dexi3B01G0008540 transcript:Dexi3B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMAARGGEAFGAEAERDFEVFRSGSAPPTVEGAMGAAAAAGSLFLDDDLRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSHHRLRSSGLGGIGDGRRQPAVAAAEGTVGLPGIDLGRQRSFSTVFQDDSYQRDMDRQPANHNGNDLLGSSGIQYVLHRGPGAMGGLHSSNNSRGLDEIQSNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGSNDKKINGGSSSFRRSSSAIGESDDLVAALSGMSLSSRAMSGQTMDQSQLYQDVDNVQKFLFDRQADQTNGNQQHSYMRRPEHGQSKGPDGYSANLANSSTVRNQINAGSFTSFDNLSLGSGFASPRIGSRSPGGTVSSRQNLAGMSNMLNYSGIGSPTASPSLQTPIDPAYIQYLAQLAATCDDPLMDRAHLGNSYMDLLGPQKANLGPLLQSQKQYGYYGNLGFNLGYGGSPLTSPVLPSSPIAPGSPLRHGDRSMRFPSGMRNFGSSFGSWNSGMGGKMDANLMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVAELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSTTESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >Dexi7A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:7A:18867832:18872395:-1 gene:Dexi7A01G0007510 transcript:Dexi7A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETSLEGTPTWIVAAVCSVIVLISLVFERALHHLGKLVVQALEHMKKETSYEALLKLKEELMLLGFVSLLLVVFQDAIREICIDESLMERWLPCRAASPAARHRSIFSIFRDGAAGARRMLGGIAASGYCSREGEVPLLSLHTLEQIHIFSFVLAITHVVLSAVTVLLGLLQMRKWMHWENAIQEEGDSGRTMRSFFKQFYGSVSNDDYVAMRLGFVMEHIRGHPKSNFYDYMIKALEKDFKRVVGIKMALQLLVLINPDSSKLEHIINKLAYEVATKNFATDEQNTDMVLSPSDELNWFQSPRLVLTFIHIILFQNAFEFAYFFWTLICHPNDLALCVSVFFSVVVEVLCSYSTLPLYAIVTHMGSSFKRAVFGDDVAEHIRGWADGARRRNMLAATASNAGGLGTAAEEPRTSYRMPEWSQPTLI >DexiUA01G0021970.1:cds pep primary_assembly:Fonio_CM05836:UA:45162118:45164302:-1 gene:DexiUA01G0021970 transcript:DexiUA01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNQDVVVSEMGIGAGSALPGPSPALLACRGAAAGAMSLRYLDLAAAAARSASCSWVDAMRASSPTRSRAAAAADVDEVTAWMRKHPSALGKFEQIASASKGKKIVMFLDYDGTLSPIVSDPDAAYMSDAMRAAVRDVAKNFPTAIVSGRCRDKVRNFVGLPELYYAGSHGMDIEGPSSNNVRSDSNLQQPESVLCQPAREFLPVIDEVYKLLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPKLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFASCSDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETNASYSLQDPGEVMDFLLRLVEWNRRSSSPAMIRPRV >Dexi9A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:9A:666006:669952:1 gene:Dexi9A01G0001300 transcript:Dexi9A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRLLAPSIRFHPNPDPESIHHKWKMDCFGLSENKIICTDNCGLAFLYDADLRSVVPLPALHSPKRCPISEPEFVCLFVCLFVWVRHQSIVHHLTPIDGASGSRFLNLISMHEPQPIAAAAKGGAAGSWRSRWRASAVHHRWKVDSEDRSDKLICADNCGLAFLALRPIWRKMSEPLFDDFFTVKRLDPDGKKLDKVSRVEATSDSGMYMQLDVAIEVYPMHVHDKFKMVLASTLNLDGTPDTGYFTQAGGETLADRFEYVMQGKLYRIANYVKPPPPPPPEKDEDKEVLASFGGLLMALRGEPSFAKTLHLDNRIFLLIHKV >Dexi2B01G0026920.1:cds pep primary_assembly:Fonio_CM05836:2B:35967065:35972855:1 gene:Dexi2B01G0026920 transcript:Dexi2B01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGEGGLNGGRFPILQANRDPESNWELDVAKSLEEYLLKICSGEVTGEERAHSVNFAEAALLVQGSVQVYSKKVEYLYSLVLHALEFLSQKKQDQQETGSAHANENDPSTIPSEEDDIFIGLRDVPVEARTSLDNNVERDDLQRKIVRPPANLLVFEGDCLDSEAIIVVCAMQLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENGMAHQGSSAPSKSRPNVFTSPNGRSVGTGRKSAPGKLQEDLDPTQENPGQSNAEATPDNKNTNLDGSYWSDPVDPVIPGDYLPDPDDDPVGDDSDDDPWKPLNPHEPGNLKIKPYRRVKGSARQVMSAAKKKTLTSSFPMAKMDDVIIPEHAKSFEAQQPQQEELHPSQSPPPYEKLMRSFEYGEQGNPHVFGDLNYETGPDIGVNDDFYGIDDPDSPICGDSDVEIESPSYPSEKNEGPPNGTLGSQENMDTHESLDDLCQSHLNALLASIAEAEQQNEMDARVSTWKERIEHALEEQDKNPPFDIGSYGEEILDTLSSRTDNTGIASFSEIVSGRPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCYTAENPFHVKLIGPNRKPEMEAHFARKRVKSPLQNLQNRGKGGESSLAQQDPPKKPSHKNGKIAVKTAIRLTPDGKRRRKSAAHLIQPINLESSR >Dexi9A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:9A:9680443:9682206:-1 gene:Dexi9A01G0014650 transcript:Dexi9A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRGRGARTSPAVPSACFLLLFVLLLTSSSLLTDPRRDGDSSSSSSNSRAVAGQRTILGATSSSSSSPGQGSDCSELQSIEGGEARCAYLRAHSPCSPAGYIDYLRFFYCGFSGAPPAAAAACAALALWLVVLFYLLGDTASEYFCASLEGLSSALRLPPAVAGVTLLSLGNGAPDVFASVVSFASGDDGGAGVGLSSALGGALFVSTVVAGVVALAVGRDGVVVEWRGFVRDLCFLLLALCYLLAVLLNGAVTVWVAVSFLSLYVGYVVLVWTSHCCAQQGKPQPAGLTAPLLLDEDDDDDDVPSLPSHSKPEPSAPGAASTKAIAWLHCLARALCMPLYLPRRLTIPDIAPHRWSRPYAIASAALAPVLLAFTWTSHSHRRQHSVEVLIGGAVLGVVLGAVAAVTTDAGSPPRGRKRRVPWLAAGFAMSVLWAYTLARELVALLVSIGYVVGIKPSVLGVTVLAWGDSLGDLVSNVAMAVHGGAGGAQTAVSGCYAGPLFNTVVGLGLSLALAAGAQRPAPFVVPVDAAAYEAVGFFGAALAWALFVVPVRGMRIDRVYGVGLIAIYLCFFAVRVAETLGLWS >Dexi2A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:2A:2300155:2302407:1 gene:Dexi2A01G0002880 transcript:Dexi2A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVASHPETKNLFLRAYYTEYLYPLLNTTSNARSFEYLCLTTLGVLGALVKVDDTEVVTSLLNSQFIPVCLQIMETGNELSKTVSTFIVQKIILNEAGLQYICATPERFYGIASVLASMVAEQPQPSTRLLKQIVRCYLRLSDDPRACSALQTHLPEVLTDGTFNSCLRVSLSLDSSIVPNSTRATCNNCWIIWLRLQAEHLLQVQLLLLLVGHILA >Dexi9B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:9B:5132212:5132427:1 gene:Dexi9B01G0008360 transcript:Dexi9B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETPSPILDEVPEDELAKRPKFFRDAYATCKVRNDKIKAYYGALIDQYKKLGYAEDESEVTDDEEEMEEK >Dexi1B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:1B:2406113:2406782:1 gene:Dexi1B01G0002900 transcript:Dexi1B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKGAGKCSGGDCQVAWTTACRLKSEDGLGVIDIDLQNICLLLKTIGKLVSGHANPWADWYATGTLRRTTPTDRLLPAGLLPTYRALTGVTLRRGDSVSFWQDSWTTASPLAVALPALHSHCTALTVSVPDAFSTGALVLLLQDRLSATATTELQGLATRFAGLRLVDDPDSRHLLWGSTRAFSAGAAYKMMKATGCAVPFANINWDNFAPVKVRRVFF >Dexi6B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:6B:22807204:22809203:1 gene:Dexi6B01G0015460 transcript:Dexi6B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAHSRQHHHAFEKSPSSHLKNLDRKLQQAVNHATSKYMQRIYPLAGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLEMRREDDDKASHHDVEGGEEDFDCSEPGSQHRCSWITKNSDEAYVQFHDECWGVPVYSDNRLFELLSLSGMLIDHNWTEILKRRDMYREVFADFDPSTVARMDDDAIAEISSNKELKLAESRVRCIVGKEFGSFSGYMWGHVNHRPVVGKYRHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGIAIDHLVDCFRFNECVRLAERSWGITNVAA >Dexi7B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:7B:3810730:3813774:1 gene:Dexi7B01G0002200 transcript:Dexi7B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSEVEEEEQEEEEEEVRTGKTPTGGSWSCLARTMICSAHHLPLLAGLISGIRNVDSHFNGNNEASDLRNRLPQVAPVWPTNVPVNSSVVNRKKGLLSSFYTIAEKLLVFCGSLVILSLSYPLAANAKKRPSRTM >Dexi5A01G0022860.1:cds pep primary_assembly:Fonio_CM05836:5A:26969111:26969401:-1 gene:Dexi5A01G0022860 transcript:Dexi5A01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIAAGEAGLAGERPVPDGEVAHVVVDVADDGCPAADEERQGSGICHLPDVDGDGDELPRRLVSLGCGCRGELAAAHRRCAEAWFSVRGNRY >Dexi3B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:3B:6476207:6477966:1 gene:Dexi3B01G0009400 transcript:Dexi3B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRVKSAAPAASSSPPGVDVEGTEFLYECAASAAVADVAAALGALAGLQDRLLSLCRRLRARCADAGAAAAGELVRALDEAEAYASKEQVKHNRFLSPRALREHIKNIKKKCATTLQEPPEALGLPESSSDSKHEGIQLWWAGKELSMDQKLCDYIGVNDKTKIVVKLTRARDER >Dexi5B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:5B:3698043:3699718:1 gene:Dexi5B01G0005480 transcript:Dexi5B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLDASCRRAFTSAGLRQGSAAVDADTTIHFWAHRSLLPSSTSTATATEQQQQRRPVLVLLHGFGPGPTWQWAAQVGPLSRHFDLVVPTLLFFGASRTRAPARSEASQAAAVAALLTGGHLPGLGSGRHVVHLVGASYGGIVTYHLARALLRGGGGDVAVGKVVLCDSDVTKGPEDDRALAARGGVEEVTELMVPADTKMMRRLTKLSFHRPPMYLPECIARDLLRKSLEDRREDKIELIKGMTTAEGSQLTPLPLETLIIWGEFDQIFPLEKAYKVKEKLGEKATVKVIPNSGHLPSQEEPKVFNRVLLEFLLQPSDSNGCATAVAAAAVK >Dexi2B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:2B:4881877:4883201:-1 gene:Dexi2B01G0005220 transcript:Dexi2B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVFSVRRREPELVGPARPTPRETKRLSDLDDKEGLRCHVPNLFFYRRVVHGDHPARSIRRALGEALVPYYPLAGRLREVEGRKLVVDCTGEGVLFVEADADAPLAELEAAGLRPPFPSMDQLLFDVEGSGGIINTPLLLIQVTRLLCGGFVLAVRLNHVMCDAPGIVQFLSAVAELARGLPSPTISPSWSRELLNARSPPEPTFPHHEYDPVPPSRPPPSPSDMVMRTFTFTAADVTMLKNKHLSPHLRDTATTFDVLAACLWIARTAALEISPEEDARLTFPATIRGVRELGLPAGYYGNAFVLPMAVAAAGSLRGGGGLGYAVELVREAKKAAASAEYARSTADVMVLRGRPSPAMGNMFMVSDNRRAGFDRLDFGWGKPVYGGVASPTSVLSYLIAVRNGDGEDAIAVP >Dexi8B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:8B:27203505:27206575:1 gene:Dexi8B01G0016180 transcript:Dexi8B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKENLKRLAGIDLTILSAQITQSTDFTELVSQQPWLLTTKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGAIEFPLPFGRVLSPTESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLHYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEVYGPEETMTGICKQAIECIMAAA >DexiUA01G0019350.1:cds pep primary_assembly:Fonio_CM05836:UA:40353223:40357379:-1 gene:DexiUA01G0019350 transcript:DexiUA01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEIKRRKQKKFFDKNGGKILKNMGINIFTEGQLKKITNRYSTPIGEGAFGKVFMGITDAAQRVAVKRSYAKGEALRQEDFVNEITVQFRISHSNLVRLVGCCLETDIPMLVFEFIPNGSLYNVLHGTNRQNVLPLQVRLDIAIGSAEAIAYMHSQCGHVHGDVKSGNILLDEDLTPKVSDFGSSKLVSIATNANWSVAGDMNYIDPVYIKTGRFTEKSDVYSFGVVLLELITRKTAKYEGCRSLPIDFAMSCRDEGRRRGMYDRDILCGDDGRLHVYMECLDRVGELAVRCLKEDVEERPTMAEVVVELKQVKSTAYGGSCSQQAGYATCTVKLSC >Dexi3B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:3B:13963267:13964881:1 gene:Dexi3B01G0018780 transcript:Dexi3B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQHKEESMLEKISDKLHGRGGGSSSSSDSDDERSSATAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLAVNVARSLRYEMNRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSFFMVLYTVPVLYEKHEDKVDAFGEKAMVELKKYYAIFDEKCLSKIPKGPSKDKKQH >Dexi3A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:3A:3927397:3930417:1 gene:Dexi3A01G0006060 transcript:Dexi3A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADASTTATNLYGAICVFTFFGAFISDSYIKRFYTILIFAPIEILVAHFPSLHPPPCDVAGECTAVSGRNLSLLTLGLYVIPLGEGAVRVCAAAFGGDQFDDGDPRELRGKMSFFNWYTFCISLGGFVGLVLVVWVQNNVGWDLGFLLSALVALLAAVVLLAGLPFYRHQNPTGSPLTRILQNLMEMHQATDGTCTSSVEVLERTSSFKRRRQEAVVEEAKIILRMLPIFLSSVLGYLPIPLLLTFTVQQGGAMDTRLGAISIPPASLFVIPIVFQMLTLVVYDRAAVPWLRRATGLAGGVTHLQRIGAGFASSVVALAVAAAVETHRRRRGGEMMSVFWLTPQFFMLGVMDVTSFVGLLEFFSSEASAGMKSIGGAVFFCIVGVASWMGSLLIRMVNRATAGGTGGGGWLDGADLDAGRLDLFYWLLAVFGLVSLVLYLVCASKYTYRHDPRMQSRMEDGRVSPASSMMKQAASPLHFQHLPEAQAPSERVQHPRSTSPAAGAWTPMAPIRRALPLPDELTENILARVASPRDLAFASAAHPDLRRIIAGASFPRLYRSLHPPQLLGILGPRGIMPVEAPHSNALAARAFAADADFSSDHLPADHGGSRWRPSDARDGRVLLMRSGSGLPADSVVLPELAVFDPFTRGYTLLPRIPDSLIAAHVPVSYDLIRTFWRL >Dexi2A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:2A:23342909:23343907:-1 gene:Dexi2A01G0014260 transcript:Dexi2A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTAVRNLLPYLVIISSTVGIAQLVLQSSPCPCGINVPAAAHKQEKPKGINRTASSADEDNNLRELLARAAMEDKTVIMAFTNEAWSAPGSLTDLFLGSFRTGLKTEALLKHLLIVAMDAKAFQRCRQTHPLCYAFVGDGGVNLASEQRYMAKDYLEMVWRRVRFQGRVLELGYSFLLTDVDIIWFRNPLLRVPVGADITMSSDWFHGDNPYNLDKRANAGLVYARASPRTAAFYAAWHELRDLFPGRKTQDVFEKLKHQLTARLGVTLQFVDTAYLGTFCDRHRRRDFNKLCTFHANCLIGLRPKVEMLRRVLDEWRQFVKASNSTSLTD >Dexi9A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:9A:12260803:12261834:-1 gene:Dexi9A01G0017250 transcript:Dexi9A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCKFISCKLYISESRDAMAVDAIERASKSDPQVLVVSQFGDCHYNRFRYTLVSYITDDDTAGEVIYSPITKVLLAMIEAALSTINLESHSGAHPRIGVVDDLSFHPLGEATMEDAASLAKQVASDIGNGLQVPVFLYAAAHSAGKSVGAIRRELGYYRPNYKDNQWSGSILPDVLQVKPDVGPSRVSHKRGATTVGVTPWIENYNVPILSKDVATVRRITRRVSGRGGGLPTVQALALFHGNDCTEIACLLDPDHVSTYQVQKVVEEIAREQGLEVEQGYPTDITKEAMLDKYLKIAFADN >Dexi2B01G0033350.1:cds pep primary_assembly:Fonio_CM05836:2B:40952882:40953754:-1 gene:Dexi2B01G0033350 transcript:Dexi2B01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMGGIRSGLVPSSIALFRLVAAETPVMTSRVAVSPSCKQRLTMYRPLASRRLKALLLVCAPLFSSLAMAVGVYMTDGGEGWRQIVL >Dexi9B01G0021380.1:cds pep primary_assembly:Fonio_CM05836:9B:16137321:16137994:-1 gene:Dexi9B01G0021380 transcript:Dexi9B01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARWWAATAVVLVLAIGAGLHGCAAQGEEVVVASYGQGRLSLKPYDWTYLRVAAVVFLCHHEFRH >Dexi3A01G0035350.1:cds pep primary_assembly:Fonio_CM05836:3A:40674552:40679460:-1 gene:Dexi3A01G0035350 transcript:Dexi3A01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSKMPEPSPESDGEQRCLNSELWHACAGPLVSLPMVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLSPVAYQCPLSSNSSAKETSPDDRLECFPAAHAVATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDLDSERWPNSHWRSVKVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPMFSGGRTDEFARYSSLMWLRDGNRGAQSLNFQGLGVSPWLQPRIDYPLLGLKPDTYQQMAAAALEEIRGGDHLKQTSSLLPVQQAQNLNGGLDPLYGNPVLQQMLYQSQQSPLQAVQQGYGQNTGNSGFLQNQLQQLQLQKQELPPQQHQQTQLQQQSQQQMQQHLSSSCHGITNVASSMSESGSASQPDSSLLHGSSFYQQNMFEGNNGPGLHLHNSYNNFSSQETSNLLNLPRSGQLMASEGWPSKRLAVEPLAGVEAQSVHHKLEKASPQRNVSHISGTLPPLSAREGSSAQACGTNVQNHLLSSSFAIHDGMTTIRNGGVGSGTDAITIASLRYGDVDLLPENSLATSSCLGESGTFNSLDDVCGVNPSQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRGGDDLLSTPGATMLQSNACDDYSASHNMQNLSGIIAPVVPLDY >Dexi9A01G0040950.1:cds pep primary_assembly:Fonio_CM05836:9A:44623293:44626588:1 gene:Dexi9A01G0040950 transcript:Dexi9A01G0040950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTGLARIETNGKKKDEMTGEYVYADSAPPVRAQTMEELHSLQIKRSTPTTPIKDGAGATPFASALSEEQQLESISASLASLTREYGPKVVKGDGPAATLQKHHQHLHPAAPAIATSDSSLKLTHVLNNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPKDKRVVKNELTAQELWWGKGSPNIEMDERSFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMYNMCIRPTDEELKNFGTPDFTIYNAGMFPCNRYAHSTTSSTSVDINLARKEMVILGTQYAGEMKKGLFGLMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDQNRLLIGDDEHCWSDNGVSNIEGGCYAKSIDLSREKEPDIFNAIKFGTVLENVVFDERTREVDYADKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFLMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGNRIKLAYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEINGVPSEILDPINTWTDKAAYKETLLTLAGLFKKNFEVFASYKIGDDSSLTDEILAAGPNF >Dexi9A01G0035940.1:cds pep primary_assembly:Fonio_CM05836:9A:40492713:40504881:-1 gene:Dexi9A01G0035940 transcript:Dexi9A01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGVLSREYEHSRDAIFEQFLLECAEQLPHKIPFFGVLIGLLNLENEDFVKDIVDTTQAKLQDALYNENRDRIRILLRFLSGLMCSKVVVPNSIIETFETLLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGPELFEQVPDEFERVLVGIQSYLSIRRHFDDIAFSVFETDEGHSPNKKFKHCPCRISWKIYGSAFKFFPAVDGKLKVSMQPVDRFVVEECILDVLLFFNGWSNFQFIWPWQEWSYVKDLPKWAPQRVFVQEVLEREIRLSYFEKIKQSIEDAAELEELLPPKAGPNFKFVGNESSETTDGHKLSKELVGMIRGKKTVRDIILWVEEHMIPTNGAEFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMTAISIDRMMGYRMISNLAIVKWVFSPANVEQFHVSDRPWEILRNSVSKTYNRISDLRKEIQSLKKGLQVAKEVSAKAIKELEEAKSVLEIVEGQPTPAERPGRLRRLQVYADKAKHEEVTTEESLEAKGALLSRALEESKTLLKLLFKRFVDVLTERLPPVSADGEIPNLRAGDQNVNSEARDLEKATMEIDSENGADKNSEPNGPNTKNSYNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDDEVFVGDIHPLIRKAAFSGLCRFTNEGPQL >Dexi4A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:4A:22986720:22988619:-1 gene:Dexi4A01G0019130 transcript:Dexi4A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGENKVVFRVREFDMERDLAAVEELDRRCQVGLCGDATADDGNDGAKRKAAAEKKKKKKRGMSLSVELVGDPLARVRHAPEHVMLVAEYGEEEEIVGIIKACVKTVARGGGGAVGEKTSSASSSVGEKGQPPTYVKVALLLGLRVSPSHRRLGIATALVEHAEEWGRAHGAAHATMATTTSNAASLSLFTGARFGYAPFRRPAFLGRPVHAHRLPIPSHHRVLNLPPPLAAAAYARLLPPHASEFLPADMAALLSHKLTLGTFVAIETNQDPSLPPSLAILSVWDATRSMRLRVRGAPRLLKASLAALRALDRGAPWMRVPSIPDIFSPFGAYLLYGLHMSGPAGPALLRSLCHHAHNIAGKNPACAVVAADVSPDDPAAAAVPRWRRFSCDEDVWCIKKLNNKKKENNAGDDEEEDDDDWPAAAAGKVLFVDPREF >Dexi7A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:7A:12496091:12500391:-1 gene:Dexi7A01G0003280 transcript:Dexi7A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGDPGAVAIVKQLKVLMPPSLHKLRISDELAGCFVDASGISDGGKGVPLGPTAHVVSPFGKVWRVEVGRDGDGAFLGSGWPEFLAAHGVGVGWFVVLRHQGGGLLTFKAFDTTFCIKEFAAPAAVMTSRSSKGVSCKPQFLRIARQDFMEKMIIPDRFVKKYLTGECLNRQIAVIVSPLGKFWRIELQKDQSGVFFAGIWPQFLAFNRISEGEVLLLRYEGNMVFKFKESAFCIKKRKADDERARYEEVKRRKITKKSLDKGSSQNGPKYQIGPSSWIKKEVTAYMLKRLLSLPIKFCHSIGFRRACTIMLKTAMDSTTTWQVRGLAYKNVCYLLGEGWRCFCKENKLKNGDLCTFNIIDSTLWHVDIMHWSAEMDKETELPCSSSMERKSNNYKSGTEEQLRPESPRISLVKASSYTRCAYEIGPPSWIKKEIKTYSLQKHLGFCNGVGLRDTCMITLKTSIGSTRSWLVRGAKQRNGSYLFGSGWKKFSQDNELKVGDVCTFNIAEMLLWHVAITRA >Dexi9A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:9A:8994218:8996890:1 gene:Dexi9A01G0013780 transcript:Dexi9A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAARSPSPGPAARPCCGLRRSADSSPFRPAASPPGSPRRSSSVCRNGGGGGRASPRPSGAEKENDPRDVAPAARTHKVRSSGGCGGGGVAKSFMAPTFSAASKAVGPSASPRKKILGERNDPVPSSPGDLAHGTKPSRGAPPPEAALGAPRRLRLSLDGEPVPPPPVAAPVPSHAARHSFGGDEEVEDPAWKGHQHVGSAAVPYDPKTNYLSPRPRFLRYKPNPRIEMYRQSDGDCVRPLEDDFASESSREEADTATTTEDDGSEAEQETTLSSAPDAFTEETSALAPAPEARAAPAALVVAGVLLQLHPAPDSPLDRVDQESPRSGGLLTPEREHAGSPAQARSRKKKRSSLRFLLAPLALVLFMAAALVCVPPPPGSPVMLNTSLSKVSEFLSVQELHPVELAARLKQWSSSSLDFVTSYWEAFTSSQEHGVFGPHFAANLSAAPADIDADHAVGFYYGAAQTGLISVKQELEIQDFVSESRTEMIAEPDVEEITSIGDAEVEEPIDDAEMEHESAVLSVTEEANVSGNSEEEEFNAEMVEDVSGSSAEEMAEEVSGSGSEEMAVSIQDSDTPSQSAAYPEQEEDMAKPSLQQDVQTEDSEGDHADGKEDQQGHLGGKLGSDMWPSYLDRISNPAALGAALAAIIIHAALAVVYLRQKQARAALDSNEPAEQVEQVEQVEQFEQVKYLYGSGSSEGHVVAKSSQFQYPVVGETEKLGGSGASQYSSSLSSGRGRRRKAREEASLGLEPEVSRRDSTAQSTASYGSFTTYEKIPAKKKGNKEDEAMTPVRRSRRNIKTPEA >Dexi5B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:5B:10276283:10276854:1 gene:Dexi5B01G0013950 transcript:Dexi5B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLLFQSKLGRGVAVRAPDKRQGRWKRGGGGGVNMPGRMDGSGLPRILRPPFHREPHALPPLFRRSRVGEEKSSSSDPAGPTLLTPKLGMQ >Dexi7B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:7B:26022111:26023860:-1 gene:Dexi7B01G0020750 transcript:Dexi7B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLYAHIRARRGRAIPSPIIWHALELVGRTAAKTKPSLRTATSATVARLCEPEARRLFHFHPRLRFDTVARLRQPSREWPARAAVFEHLGMKIGMDEGRAGERPLGWMATCGREVRDEITYGCVAKPGDRRVLLPAACCSPTTAWRSAWCGTPGAARRGVHALPHRSCARRVEDEGGLQPEPLCPTETTCPRPPQPQGLGVDALPLLPRVADRSGRQGAHGPFSNAPGRRMAARHGPCVRICERAPSLADTCNSSFLDTPLHSAAKAKNDKNAT >Dexi1B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:1B:235868:237010:1 gene:Dexi1B01G0000140 transcript:Dexi1B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQMLPARVESLSGLSAIPPEYVRPADERADLGDAFDQLAAADHHTAPRRIPVVDISPFLLPNQQHKQQECLEAVRAAASDWGVMHIAGHGIPGELMDSLRAAGSGFFALPIDAKEAYANDPAAGRLQGYGSRLATNASGQREWEDYLFHLLHPDHLADHSLWPAHPPGYVAATREFGRRIREVASALLAILSVGLLGPATNTRLEEELTAKGEEDLLLQLKINYYPRCPQPELAVGVEAHTDVSALSFILHNGVPGLQVRHDGRWVTARDEPGTIVVHVGDALEILSNGRYTSVLHRGLVNRDAVRVSWVVFCEPPPEAVLLRPLPELVTPDSPARFTPRTFRQHLDRKLFKKQQPPAAPDDDKQQQQQQDGIAAAS >Dexi3A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:3A:3906480:3906703:-1 gene:Dexi3A01G0006030 transcript:Dexi3A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARPAVSAAKARPRVVRSAAAATGEDATAAQSEREHSVVGVVAGEARGRGMVAVAVADV >DexiUA01G0006080.1:cds pep primary_assembly:Fonio_CM05836:UA:11331436:11333839:1 gene:DexiUA01G0006080 transcript:DexiUA01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSRRAGSEAPHEERAAAAALRSPDSPRFGWVSQGICGVRGGISLVAKAMVGFAGKRKELDQVVDGLSDFSLSGPAAKSRRLDPGLPPIMEEEPPAPSMAFQMLGEKINHVVDMPSVEVMMEGATSDHVPSEDMALVLYKPVDSPAPFGAGISSSSFIVSSDLIRGLKNHAFNQVNCRELADKSPERSNSLALVPWKPQLSLRSDRGASEPESEQMLEVPMEADETEVTSMDFEEEPKATAGGFDGENVLQWQHCMTLPSLPNPSSHMMWSR >Dexi5B01G0022940.1:cds pep primary_assembly:Fonio_CM05836:5B:25199245:25199862:-1 gene:Dexi5B01G0022940 transcript:Dexi5B01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSARPWLMPPAEAAGVVVAVKPEAAVTVANKGAEEEELARPEADAGGDDGGRAEVERAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAALAGVVPGGGAEAAAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAVLHHIAADVSP >Dexi4A01G0024660.1:cds pep primary_assembly:Fonio_CM05836:4A:27364887:27368303:-1 gene:Dexi4A01G0024660 transcript:Dexi4A01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLSLLLVSALAGAAAGGDIVHHDDEAPKIPGCNNDFILVKVQSWVNGKEGDEFVGVGARFGPKIVSKEKHANRTKLTLADPIECCSPPKDKVSGDILLVQRGKCKFTKKAKFAEAAELYKMVCEKNETDLDIHIPAVLLPKDAVSVQLYSPDRPVVDTAEGLQTCLVALLSRWFKPAAESFVKVPFIGAVSHLTLAVCPFCIAFAVLWAVFRQLPYAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPIYDFVAKKSLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLIALGWKRGELQNLWMRGEPERVCTHMHIPLLPATPN >Dexi1A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:1A:15237542:15240319:1 gene:Dexi1A01G0013070 transcript:Dexi1A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHAAGEEFSYKRRTMVVEEILTIDIKPGWKKGTKITFPEKGNEAPHIIPADIVFIIDEKPHDVFTRDGNDLVITQKISLAEALTECTVNVTTLDGRNLTVPINNVIYPGYEEVVPREGMPIPKDPSKKGNLRIKFSIKFPSRLTSDQKAEIKRLLGS >Dexi9A01G0025240.1:cds pep primary_assembly:Fonio_CM05836:9A:23281459:23285634:1 gene:Dexi9A01G0025240 transcript:Dexi9A01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSDARVAPSFAFAGQTTSVSPVHLLPRLGTSAAVRLSPPPLGRTGLPSGSVVSGSSTTPCFSDSSFLWTTMGSPNPVLKLAALSISIRDSWSDEELVRFLAERKAEDPLPQDVLAGLDFSVIDPRVFTNMWYLNWSDDQHPKDTAENSIRKAENGYWKPVDSSKIPTSTGIMGMKIILEFYEGQAPCGKRTGWVMREYQVEQNNEANLPQDDKTLDAESQQILNVDAPDNSLESYLQYLAKLEEPKVTADANEEDVSSKVQFEQKPVSAVDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMISEEYFDSDAFLDEILKGTNTTDGQNQDHRFSIAAPTKSANVVLSPSEQGLLQIHDNNGMVPGTSQQKPVPEGDRGQHSSQGFQEQSPSMSSCFPSSHVKRSHSNSSSSSQSSNKSQKEQSTSKFGKIGKKYCCFGSF >Dexi9B01G0040150.1:cds pep primary_assembly:Fonio_CM05836:9B:40750041:40753065:1 gene:Dexi9B01G0040150 transcript:Dexi9B01G0040150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVDLQKRMGNAGSNGGGGAPGHRRRSSGHGHGHHHQAPPPPPPQEAAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPPPPSVPVSLPAPYDHHHRPPTAAAGEFPPPPPAHPHHYPGWAGRYPAYGPHLPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEEFPGRFLVSFTFDATVAGSITVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFGESDLLKQGDMDVYPLAVKAETALPVDEPLEGEDQKMKTPNSQITQAVFEKKENGEYHVRVVCQILWVNGTRYELQEIYGIGNSMEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNKSEDQQQTPQSPPPLPPPTPQQEEVQA >Dexi6B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:6B:24425303:24426639:1 gene:Dexi6B01G0017230 transcript:Dexi6B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAHQDHACSSTRPRRRRRVVVADGTARDESLWASLPEDLISLVAWRVLAGDLRDYVRLRAVCRRWRSGTPSPRGRGVLRGYVRFFNLSTGAFVRVRLPIFRDHCVLDSIDGILLLQRDHDTAVRLLHPFTGDILDFPPLETLLAHVNPWFPGENNSTYIRKVLAASISVGADGLVKVMMSLHHIQNVCFATSGDQQWRVSSWLQDVCSVPLGFQGKLYMLRRPESNGAQPEVVQVDPPQQEDVDFGSPLLPPPKFVAKCPQVSS >Dexi7B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:7B:25164359:25164631:-1 gene:Dexi7B01G0019700 transcript:Dexi7B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANLLVRDGAPDREARVVEPADGRRRRSHHACRALLRELRRRRCRAAVSPGPERGHESVHQLIAMVTVGHDASAAEGGARQDRAAQRGR >Dexi2A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:2A:12561179:12565427:-1 gene:Dexi2A01G0011010 transcript:Dexi2A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSPAHHAVATRDHAALRRVLDALPRGRRPEEIRTEADSVAEEARAEAVSAVIDRRDVPGRETPLHLAVRLGDVAATEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTEAVGPWKAKVYDMHHVVVSVKSRRVPGAMTDEEFFSACNDNDTESEGFDDVLTEEEKKQLEAALKMESPDAGGEEQSDSFAGPRHSCFEPREREIPIEDMSVSGNGESKHDKKGWFSSWGKRNQSSKPEGAKKMAPPRSSLCVDEKVSDLLVESPSNVQTRPGRHSVDVVRTNDNRRIRERDSRRPAASSESEHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPAVQPSSSSWIQWIKAPYRQNFSNSPGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKKSKKGRNGS >Dexi5B01G0026980.1:cds pep primary_assembly:Fonio_CM05836:5B:28625073:28628326:-1 gene:Dexi5B01G0026980 transcript:Dexi5B01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTMASSSLCVFAAMSGAAAGRPPAGASGAAVPGGRLATSKGISKSKRAVAQPLAASVVTETPTPAIAAPAPPARAPGVDAPRRRGGRGGGEHAAWKSVRQERWEGALEVEGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYAMLVRVSFRNGRAVGAHRQIESGAYKAARASGKVCYREFSEVPKTEGFLSYVGQLASLFSGSSLTDNSNTGVVRLGDGRVLCLTETVKGSFVVDPDTLDTIGKFEYTDKLGGLIHAAHPIVTDTEFWTLIPDLIRPGYSVVRMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTDHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHPESGSYMHVMCKASGKVVASVEVPPYVTFHYINAYEETDEEGRVTAIVADCCEHNANTSILDKLRLHNLRSSTGQDVLPDARVGRFRIPLDGSPFGELETALDPDEHGRGMDMCSINPAHVGKKYRYAYACGANRPCNFPNTLTKVDLVDKTAKNWYEEGSVPSEPFFVPRPGAVEEDDGVAISMVSARDGSAYALVLDAKTFQEVARAKFPYAMPYGLHCCWVPRG >Dexi9B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:9B:14432709:14434308:-1 gene:Dexi9B01G0019790 transcript:Dexi9B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAGMIDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Dexi9B01G0043680.1:cds pep primary_assembly:Fonio_CM05836:9B:43642901:43645956:1 gene:Dexi9B01G0043680 transcript:Dexi9B01G0043680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELLGEGEGLRRGGLQQRRVLHPLVGTSTTRSPSTYQLPFPPLLLSASTAAAAAGAAPDTSIAARIRLHSRQPAVRRFEPASWAWLCPCGSSLLALSPLAVVTTQQRSHAAMWPARGLLVVAVAVAIAALPGGAEAAAAASTGRCTTSTATKTYDKCIALPTQGATLAWTYDAANATLDAAFTGTFISPSGWVAWGVNADAPAMTGSRVLAAFSDPSNGALLALPFLLSPDVKLQSSPLVSRPLDIPLLASSASLVSSSSRTVRDGAKVTIAATIRLSPNRTRVHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPIASATLQWLHGSLNALSWGLLLPVGAAVARYLRPCASTGPAWFYAHAAVQAAGYSLGAAGFALGLVMGSASPGVTYKLHRGLGIAAATAGSLQTLAMFFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCLALATLIGACVALEVNAWVVFCRRQQEEKLMRREGSGLLVLDRFCCLRWPGRRPGPTRATSQHSKDGATSWQAVLVLYFVA >Dexi7B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:7B:24265332:24268106:-1 gene:Dexi7B01G0018550 transcript:Dexi7B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPLHLLAQPHHPRLPGLLPSRPTHCLPTSRLRFLVRRRRPKRLAAVPLRLSSGSQADSPGHRGWEWAPRAAASLVLQLAVCSLLFLFPSSARAHALPPPAAAAAAVEATQEEEEDQEWEAALQKWKTKTYALSVPLRVVALRGSFPPSWIKDFFEAQGKRLKFSPELRANLDGIFSEMSQCVDKGQVQPKSAMAADIVSIGDSWLGYAIRKGLLEPVKNAEEQDWFRSLSNRWKVHLRRNQNGEADPNGSVWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTLDMEADVSGGREAVLKSFTQLQKQVQLFDSMNYLKSFSVGDVWVAVGWSSDVVPAAKRMSNVAVVVPKSGSSLWADLWAIPCATRFQTDRIGGRTRGPSPLIHQWFDFCLQSARSLPFCQDVIPGASPLYLENPAPEVPEDKKRKPKLETNLVRGVPPPEILEKCEFLEPLSGKALEDYQWLVSRMQRPRLSLFGNVLQKISSVLDLKSRI >Dexi6A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:6A:24928696:24932124:1 gene:Dexi6A01G0017050 transcript:Dexi6A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLGATTTARRSLLALVVVACVLAAPCHGVNEQGQALLRWKASMNSTTATRGGAFMDSWRAADATPCMWNGVSCDARGDVASLTIKSVDLGGALPPAAVLLPLRASLKTLVLSGTNLTGAIPMELGDLAELTTLDLSKNQLSGAIPDSLCRLRKLQSLALNTNSLRGAIPDDIGNLTSLTYLTLYDNELTGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGLSGSLPETIGNLKKIQTIAIYTAMLTGSIPASIGNCTELTSLYLYQNSLTGPIPPQLGNLRKLQTVLLWQNQLVGTIPPDIANCKELVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNELSGEINIDFPRLRNLTLFYAWQNKLTGAVPASLSQCESLQSLDLSYNNLTGAIPRELLALQNLTKLLLLDNDLSGFIPPEIGNCTNLYRLRLNNNRLSGTIPAEIGRLKNLNFLDLGSNRLAGPLPTAMSGCDNLEFMDLHSNALSGALPDELPRSLQFVDISDNKLTGLLGPGIGLLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPSLEISLNLSCNRLSGEIPSQFGELDKLGSLDISYNQLSGSLEPLARLENLVMLNISYNAFSGELPDTPFFQKLPLADIAGNHLLVVGAGADDEASRHGALSALKLAMTILAVVSALLLLAATYVLARSRRRDGAIHGADETWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVVLPSGDSLAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLAWGANRSTKLLFYAYLPNGSLSGFLHRGGVKGAADWGARYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGSRNEPYLADFGLARVLSGAVASGSAKIDSSKPTRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVEWVREHVKAKHATAELLDPRLRGKPEAEVEEMLQVFAVAMLCIAHRADDRPAMKDVVALLKEVRRPAEGGEEGGKGQPAACNSAAAAASMAVQAQRSPARSTMTPKGGSSSCSFAMSDYSS >DexiUA01G0020260.1:cds pep primary_assembly:Fonio_CM05836:UA:42238722:42242820:-1 gene:DexiUA01G0020260 transcript:DexiUA01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVAEREGGCLGKNCEINGPSPFQSFNVFSPQFRWAEEDDGLASGTSQNNIASGGGGGAAARMDPEAATELVRKGSTLLLIDVPQRTLFGIDTQVFSVGPKFKGMKMVPPGPHFVYYCSSSRYQYELATYNPQFASGSEFAPTVGFFLTTHPTEVIVRKWDPQEERLIKLSEEEEIRYSEAVRRFEFDDQLGPYNLESYGDWKQLSNFLTQSVIERLEPIGGEITIAWETSWMDKAPQSDMERRLMEQLRESKFANNAPVLSERRGCYYTSIPASVKHKNVSGGDLTALNLDKTSLLESVLAKDYQGQEDLLLGELQFAFIAFLMGQSLEAFMQWKTLVCLLLSCSEAV >Dexi3A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:3A:12119344:12121980:-1 gene:Dexi3A01G0016220 transcript:Dexi3A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSYLGKRKLMSGNGAAAEGEPPAGSGFQAVVLGYDGADGGEPGAEARRVVGEMDFFKTEKRKEEEAAAGAAPGDLSINKDDLTINMGLHVGRRKSGSEESIVDDGVSSNDVDHRETKAEMGLHVGRRKSGSEESIVDDGVSSNDVDHRETKAELALVKSENGRLNEENKQLRNLLSRVTTNYNSLQMHVFSLMQQRSNNHRGLGAPNHELNVDPEKKDQEGTTRQFISLGTAALSDEPLRSVGGADVRRGDCSASSPSNNTPVDYSPGKGNGGVAMNSKDVMPLQAFDHHQRGSSPEDPHHAAQGWLPNKVPKSLPAKGPDLAAPEAATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRTVVITTYEGNHNHPLPPAAMPMASATTSAASMLLSGSMPSSDGSLMAGSNFLARAVLPCSSNVATISASAPFPTVTLDLTQPPPPAPQQPPPPLPDHAQLQAALAGATRPVAPQLFGQKLYDPSKLSAAQAAPGITNTADAGDAAAVMASDPKFTAALAAAIKSYMGGSSSGAAGGSSGGDSSRDDKIGEQDS >Dexi7B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:7B:16811869:16813444:1 gene:Dexi7B01G0009120 transcript:Dexi7B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAADAAGDRPHVVCVPLPAQGHVTPMLKLAKVLHCRGFHVTFVNSEFNHRRLLRSRGAHALDGQLTNGFLDTPVDWAAGMSKHTRLKDLPSFLRSTDPDEFMVHFALKVTEQIAGADAVILNTFDELEQEALEAMRAMIPASASIHTVGPLAFLAEGVVPRGGPLDALGSNLWKEDVSCFGWLDGRAPRSVVYVNYGSITVMTSEELVEFAWGLANSGHDFLWIIRPDLVNGDAAVLPPEFMEAVKGRGHLATWCPQEVVLRHEAVGVFLTHSGWNSTMESLCGGVPMLCWPFFAEQQTNCRYKCMEWGVAMEIGHDVRREAVEEKIREAMTGEKGKEMRRRAVEWRESAVRATQPGGRSYANLDKLVADVLLSGCKSS >Dexi3A01G0021800.1:cds pep primary_assembly:Fonio_CM05836:3A:17373375:17377387:-1 gene:Dexi3A01G0021800 transcript:Dexi3A01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRLAQPRATMETPRRLAQPRATMATAATPARPTAAARSAAPSSLPVRVVLRVRPFLPSEAASAAAPCVSLLGGHPGGEVTVQLKDQHSSRCEHYKVDAFFGQEDGINQIFDREVSAVIPGIFEGTNATVFAYGATGSGKTYTMRGTEDFPGLIHLAASTIMARCTGTWCSMEISYYEVYMERCYDLLEPKAKEIMALDDKDGNMQLKGLSWVPVRSMEEFEELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVNADVVKGKLNFIDLAGSEDNRRTFNEGIRLQESAKINQSLFALSNVISALNKNELRIPYRESKLTRILQDSLGGSSRAVMIACLNPAEYQESVNTVSLAARSCHVWNFTSSESKQETPNVKVDMEAKLRAWLESKGKTKRIQRMDGLCSPIASKTPFSMSHKKQPGSSSVSCRSKAMDQDGGKIKKILFDPALHAPTENVSKEHLQSEVNTPKKAVHTSVTLCKEKQEASLRKALSPISSNMVPVNPQISENGNCAIVLEPQTPMETSNIKKIPGVTPLDKFNAVGSTLKEALIQEYLDLLNVANKEELQKLKGIGARRAEYILELREDSPRPFKTLTDLENIGLSSKQVSFCVSLKAYKTLLGRQRLESSNDHQS >Dexi1A01G0026500.1:cds pep primary_assembly:Fonio_CM05836:1A:32386915:32388501:1 gene:Dexi1A01G0026500 transcript:Dexi1A01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQLTNACAKYKPGSNCGEHTANFNSQSSRIISAAQRIPNLKGTLGLATSNRAGFPTEQTGAKKDGAHEPSEPVEERVPEPHAAGDGAKGRISPGGVEWEGGAAEGDETTCRGRWANRRRGGGREAGGLAKAWALPDAMLLCDEIPCVVLDVIGRGFLWFVLGLYGFKLRGGLRRHGCVDGNVATLDLAWVERGCEGLEPEGTGNAKKKIGISAFLEMLLIAVHTTIDSQHFIRHAIGLQMGHDVACDTLSPSPPYVGRSSPPFHVPRPSCNDYHPPASPPVRRRPLRPRGLICFPMTYCFLETHIHMKKEGVAAATSTCMMHVLVVRIAVAACHVVTARQLSHGLHWQAWPPANGTHKGRASKPAEDASYSSTERTLLGRSEG >Dexi3B01G0038150.1:cds pep primary_assembly:Fonio_CM05836:3B:40980030:40980635:-1 gene:Dexi3B01G0038150 transcript:Dexi3B01G0038150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVILVLLAAFAAAGANAATFTITNNCGYTIWPAATPVGGGVQLNNGDSWVINVPAGTSSGRVWGRTGCTFNGNTGSCATGDCAGALACVLSGQPPLTLAEFTIGGVDGNNNDYYDISVINGFNIGMDFSCSTGVTLTCPSPDNCSDAYHEPNDPDTHSCTGNSNYQQYVLRLTDTSIVVIT >Dexi8B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:8B:21092724:21093904:-1 gene:Dexi8B01G0011830 transcript:Dexi8B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKCSAILVSLGFTLLVCSASVVAAETHVVGDSKGWGFSMSYDSWSSGKTFAAGDTLVFNYQGGVHNVVAVSESEYRSCKVRSASDMAATSCCTAKFELKKGVNYFICGVPGHCAAGMKIRVVAN >Dexi8B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:8B:3523977:3526271:-1 gene:Dexi8B01G0004030 transcript:Dexi8B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKLLGEVEVGEEARSCQRRQPARGRRVEEGIRGGCRGSSGGKLEGLPHGSMRRSETWVSGAPLEEAAMMASQTNEEVVAASNTKAKQARQQVAAPSVAGERKPRPKLDRALSCPRCDSTNTKFCYYNNYSVTQPRYYCKACHRYWTQGGILRNVPVGGGSRKNNKQQRAFAAAAALGSAPASASSSSSGGSKKINTTMPQLMKMPTSAMATTDFPNVLPTLMSSTRSGLELPNSGSDHQHQVSLPFAPLSLPSNPPGNNLLMDAMRGGFLGDSGSSSRGMMPLPFLPPPSFGFGVMQVHGHGVLMGGSSSTDQQQNLVGPLLQGQGVQQVRPPMAAAAGGGVPWVPTARGVAQHGHQHQVGDAGGDGGSNNHHNGRGFCVDWQVGGGGGGSLI >Dexi9B01G0042490.1:cds pep primary_assembly:Fonio_CM05836:9B:42715551:42718537:-1 gene:Dexi9B01G0042490 transcript:Dexi9B01G0042490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLVLSALALPSPESPPAQPPPRARGYEWSCSPPPFSEGERRLDPFRKPSKASAAAAEAISGSSSSSAVEMSAPMYKKQSSMGTPVHKTNPFDSDSEVPSRPSRAQSVPVRRTDLSVQELEDYAVTKARETTHKVNDCVRAAEAIREDATQTSLTLHRQGEQIMRSHQVAADIEQDLTVSEKLLGSLGGLFSKAWRPKRNQHIKGPASENNYVVRTGNHTEQRWRLGIAPTRQESPSAVQTTPATAMGKIQAEKAKQDDALSDLSNTLGQLKEMAVDMGTEIDSLTETLSLLAGKTKLWFLSATT >Dexi2B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:2B:10688889:10689468:1 gene:Dexi2B01G0009980 transcript:Dexi2B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLAFAALVLLVVVSGELRHAVPLRRGLGLGWMNGLKGGSPGGMQPSDTKLQASAGKKGNIYTNADQAQFVSPVPAFIRPPRIPPS >DexiUA01G0025630.1:cds pep primary_assembly:Fonio_CM05836:UA:54017687:54018574:-1 gene:DexiUA01G0025630 transcript:DexiUA01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHADLASYPNDVSFSDCLGWKGFPSILWSVLQALDYPTRHNMRGPSSETVVSPTWSVEVHGHHHMETTCELAALNGISSFCAQNQDLVEHELLGLFPPTQPDDPHWMRRYLSSPLRMAENPVAAAALMMRWIRAYHRLQALLGRSQSEMLNLAMDMSARARDIGVTTRDAMIAHLERQLNDLHIAHNNVQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLLEQEGVQGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi2B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:2B:573440:574629:1 gene:Dexi2B01G0000970 transcript:Dexi2B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPIPMAPPLRSSPQLPDEILEDIFVLLPPDDPARLLWAALACKRFARLIAGRDFRHRYRQHNSREPPMLGFLANLIDNAGITRFIPTTIGGGHSSFRPVLADHDRYRAHDACHGRVLLTQITGLLPGKSKDADVLVVWNPTTGELQRLPLLPRRRHVLYWNAAILCGDATAACGHIDCHPGPFTVVFISMEAYAMFSYVYSSNTHAWSVTSLADLPDDILHESSRGALARNALYFMLRDETFVLKYNLATSIISQIAVPQRPDGRSLLLMTMEDGGLGFADVDFFGHDLRLWAMEDDHPEGVGWILRTVISLSEHLPPRALRSSPDAVAFAEVVGVIFLSTSDGLYTFDLKTRKGNRIMSNRFYDIVPYI >Dexi9A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:9A:3405158:3407875:1 gene:Dexi9A01G0006040 transcript:Dexi9A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPRRASVPAAAASMAVLLLLLASVATGDDRNGVYDPCADTTVRRGDGFTFGVVFAGHDAFFNGGVQLSPCDSRLGLSNIGAQVAVYRPQVDEISLLTINSSTFDPTSAGYMVAFAGRKYAARSPPVFVGNNTLTVTSFTLVLEFEKGRLQNLFWKGGDCSSCKGRSGFACVDNTCAVQTTSCKGKGGQADCSPGIQLAFSGTDNHEDVLNSWYEVSKLRQYSLFGLFSNLKDSLTSQFSSFF >Dexi5B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:5B:3418496:3419117:-1 gene:Dexi5B01G0005110 transcript:Dexi5B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKRALLLMFVLAVIWSAEGHRRIYNVLDFHAAGDGKTDDAKAFLATWNAACSDGGNEPVMAIPRGRAFLLSQIVAPNVIWTKKQGNLLTFFDVDHLTLDGNGEIDGQGPIWWDCYNKRV >Dexi2B01G0021650.1:cds pep primary_assembly:Fonio_CM05836:2B:31374915:31375121:-1 gene:Dexi2B01G0021650 transcript:Dexi2B01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLRAHGTPPLLIALLAVAFVGVVPRSASAITRRDFPEGFVFGAGSSAFQSRVDSAPSNS >Dexi4A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:4A:10034523:10035983:1 gene:Dexi4A01G0011820 transcript:Dexi4A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPPNSTSGDSHGSAATPHIALFPSAGMGHLVPFTRLAVALSTGHSCDVSLVTALPTVSSAESVHIAALFAAFPALQRLDLCLAALEDASAEFFRGADPFYVRYEALRRATPLLLAPLLAGASALVADIALASVAIPVARELRVPCYVFFTASATMLSFKAYFPTYLDAHGAGVSAIGDVDVPGVYRIPSSSVPQALHDPDNIFTGQFVANGRALAEADGLLVNAFDAMEPEAVAALRGGSVVAGLPPVYAVGPLMPLNLRETEEAAKEQGNNYMAWLDAQPPRSVVYVSFGSRKALAKDQIRELAAGLEACGHRFLWVVKGAVVDRDDAGELVDLLGDGFLRRVEGRGVVTKSWVEQGEVLRHPAVGLFVSHCGWNSVTEAAASGVPVLAWPRFADQRVNARVVARSGLGTWVERWSWEGEEEAVVGAEEIAGQVVAAMGDEAVAEKVASVREAAARAVADGGTSHRSLAEFVRRCQGGTAV >Dexi6A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:6A:8090907:8095122:1 gene:Dexi6A01G0008020 transcript:Dexi6A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSPSQLAAESSVDWRGRPCHPRRHGGMRAAVFVLVFQSAQTMALAAVGSNLITFVFGELHFPLSEAANVVTNFVGTVFILSPLGGFLSDSYTGCFWTLLAFAAVELAGVILLSVQAHLPQLKSAPCNMLTMVGSCERASGFKATIFFVALYLVALGSGCVMPNMTAYGGDQFAGAADKRLSTYFNLSYFGFCIGELVALTVIVWAQIRYGMDVGFGLAAAAVGIGMISLVSGVLFYRNKPPRGSIFTPIARVFVAAFTKRKQICPSGSSNPANGAAGDPASRVDNFRHANKFRFLDKACIRVAPEPDTAPESKWRLCTTAEVQQAKTLLAVMPIVACTIVFNTVLAQLQTFSVQQGSVMDTRLSSSSSFAIPPASLQAIPYAMLLLLVPAYELLLVPLARRLTGTRSGITPLQRIGVGLAVVALSMASAALVERRRRDAAVSGDGERLSVLWLVPQFLIFGVSELFTNVGLMEFFYKQASAAGTTMQAFFMALFYCSFSFGFFLSSVLVSLVNRATARGGGRHGWLGDNDLNKDRLDLFYWVLAVLSVLNFFCYLLCARWYNSGAGGGDEASSGEVVSEDDDDGKGLI >Dexi7B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:7B:16157362:16159440:-1 gene:Dexi7B01G0008300 transcript:Dexi7B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMSSALTARSRTMPSPALALIAGLVVSLLSGGSHVAADSHPDYADALGKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFSTTMLSWSVLEHGGKMKARVHEARAAVRWGADYLLKAATQTPGRLYVGVGDPDADHHCWERPEDMDTPRNVYSVSASAPGSDVAGETAAALAAASMVFKAADRDYSRRLLAAARDVMELAVQSQGKYSDSIGGDIGAYYQSYSGYKDELLWGSAWLLWATKNSSYLGYLYSLGDNDSVDMFSWDNKLAGARVLLSRRALVNGDNAMEPFRQQAEEFFCRILPGSPSSTTQYTAGGLMHKSGDANLQYVASASFLLATYAKYMAVSKHTFSCQSLPVTAKALRGLAKKQVDYILGANPQGMSYMVNFGARWPQRIHHRASSLPSVASHPEHIGCQEGYQSYYYSGAANPNVHTGAVVGGPDENDAFPDDRGDYARSEPTTYTNAPLVGCLAYLAGVYKSG >DexiUA01G0002060.1:cds pep primary_assembly:Fonio_CM05836:UA:4921647:4924443:-1 gene:DexiUA01G0002060 transcript:DexiUA01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDEQQHAPLLSPDGGAAAASSGEGGGVELERILTDESAAPARRLARAARAELRMLVALAAPAVAVYMINYAMSLSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYEMLGIYMQRSFVLLTATAIPLAAIYVFSKQILLFLGEPERIAAAAWVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAVHLAASYLVVYVFGLGLLGASLMLSASWWVVVVAQFVYIGTSRRCRLTWTGFSCQAFSGLPEFLRLSSASAVMLCLETWYSQITVLVAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPKAASFSVKVVTTLSVTVASAIAVVVMCLRDHISYVFTKGDDVARAVSTMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNVACYYGIGIPLGCVLGFHFDLGAMVEQARMRLNKWEDKKKPLLAED >Dexi5B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:5B:1274345:1276417:-1 gene:Dexi5B01G0001990 transcript:Dexi5B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKLLRVLPALLLLASAGTGAVSSLPIRRYGSIFNFGDSYADTGNNIVFFAERSLIADLACPTAEALGVPFVPPFKTYNGSFRQGANFAVAGATALDASFFSFVTSVAKPYVFNASTNVQLGWFDSLKPSLCSNKVKCKGFFHKSVFFMGEFGVNDYSFSVFGKNLSQIRSIAPDVVKVISTATERVIKEGAKTVVVPGIPPIGCAPSNLALFPNADPASYDPQTGCLKQLNDLAIYHNSLLQEAIKNVQTKHKDVKVIYVDFFTPIIDIIVSPQKLGLTGDILSCCCGGGGKYNYNISAYCGMPGTTVCQDPSSYLYWDGAHFTEPVYRYIAKGWLNSINNYHM >Dexi3B01G0037320.1:cds pep primary_assembly:Fonio_CM05836:3B:40093595:40099359:-1 gene:Dexi3B01G0037320 transcript:Dexi3B01G0037320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGPVQYRPGGEIFRWAARALTANEGEGRGGSLYRPAAAGGEVSGPMRRASPYTYAVLERLLQENFPFPRRLLQLHALLLTSGALLPCPERSAAVGAFPYNCLAHAHLRLSATASPSSPPSAPLRLFTAMLARGARPNRHSFPSLLKSASASGSAAALHAQCLRRGLAADRYVACSLISAYGRTGCQPARDACRVFDDMVGSPDLAVCNALLDALCLAGDLEAAGAFFERMVLKDAVSWTTLLSGLSRGGRHHCALEVFRGFLLDNTGRRLGEATLVSVLSACANLDGAEGLAAGMAVHAYIVRHELDVTSFLGTALVDMYGKHGRLGFCRNAFDVVPEKEVCAWNALLSALANHGMETEALVKFDTMRTQGFLPNQITFLTLLTACARAGLFSFSLSLFLGPRAARPSRLSSFSLSLADEWAHLVRPDSLLSHGRARLDLRFPSRKASPCFPRPKSAASVVALAAIAANPSFQPPLSRLEFVSESLFPLSRALSCSCDLTVVPEPPLAVASFRLCAILVENPQNRLPLAPASSQAKNRLKPSFLGPDFPTPARFPAKRRRASSPALPQFASFVSSHPSRLESNRAAPVSQNGPFEGDQDQVYEEEPPQYFEQGKWISPSAYSFEPIHIA >Dexi5B01G0025820.1:cds pep primary_assembly:Fonio_CM05836:5B:27742013:27745256:1 gene:Dexi5B01G0025820 transcript:Dexi5B01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASPGAPGAKTAARGPSPRPTSAFARLSTRRAPAPTGSRLRASLHLGGAISSSSSAAAAAGSNGSGLAVPPAIAPLALPKMAGARGTHKNVLLFYCEEMRDLAQQVVARNDDIELRSISWSVKITLCLMTHQERFYFGDSVLPCFESGIPLLKSRLQELPDSHNITIAFPDDGAWKRFYKQLQHFPMVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYATHGIFPNNSWEKFQPDNGEGPEHGLSHFWLTDSCPLTVKAVKDRRPFEILSLAGPIASALQI >Dexi2B01G0035880.1:cds pep primary_assembly:Fonio_CM05836:2B:42818026:42818890:1 gene:Dexi2B01G0035880 transcript:Dexi2B01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSTLIIEVDLQCEKCYKKIQKVLCKLQKTENIKKIDYENTKNTVTISGPFDAAKLSKKLRCKACEVIKDIKIKPQEEKKPEPKKPEEKKPAEEKKKPAEEEKKKPAEEEKKKGDEEKAKVPSSSTTTVNLQFTNMCGICYPWPCSDPSHWGGYHTPQLMPQWPPCGGMVPLPPPVHHPYPPCGAPQKWAPCGGPSFCGGCGWCHGGGGGFMHGWAPPAAQQQPMCCPGPSLCRGCNGCKIVQETKFSYEEYPSSACAIM >Dexi3A01G0024670.1:cds pep primary_assembly:Fonio_CM05836:3A:20307302:20312330:-1 gene:Dexi3A01G0024670 transcript:Dexi3A01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPTGGEGKVVDSFGGLKAYLAGSNDAKAAVILISDVFGFEAPNLRKIADKVALSGYFVVVPDFLHGDPYVPENAEKPLPVWMKSHPPKMGFQEAKQVIAALKEKGMSSIGAAGMVVVELAKTQEIQAVVALHPGPVTVEDIKEVKCPISILGAEIDQMSPPELVKQFEQVLSANSEVAHFVKIFPGVAHGWSVRYNHDDAAAVKSAKEALEDTINWFNKNLK >Dexi9B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:9B:6444222:6445241:1 gene:Dexi9B01G0010340 transcript:Dexi9B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDAPHPIHSAKDAMDALAGILGGALPGSVAAADDPAAALLNDPDVASAVTGRLRGPGSGAGNDTVCRWLYDAFRSGVPELQLAVLRFVPTLAGVYMCRAVSRKPLAGFEAVLLALYAHAAAQRGAGEAVTVSIPNLANPSPYHDAKVAPKAKPAELEVAVLSPPLEPHGTMRATRRARIVGAVLELYNGKLSHMPVSCKTDFCEFCVAWAGTPSKLDKDKPQQLQQPAPDAATGGAEKWRRVPLPWELFQPVVRIVAHCLLGPTRSDELKAQAARAAECLYWRAIETMDSTALLATRSLVRLSQMVEEPIPEPSFSGAIENMAELEAMRANILSPNN >Dexi8B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:8B:3199615:3200605:-1 gene:Dexi8B01G0003790 transcript:Dexi8B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTASVATFSAEPLLLFVKKHDLEIKVITAWLVWFILRHLQSFLGPMRRRSGHWFVQYGAMAAYYLPNLVVLYTANAVHSSDSDIKVILGMGCILLLVAASARGTASMTTFTLGNGPLKLESWRVLPWLLYFGWLLWGASTLDCETKELADQMMIESRSSPSAFFHDDAGHLYDRCRYPFNLKLKVKSDDRWINFSDVLKWRGRLTEDSAAAVDPDICLAYSFCRLLARRYFGFPCPEDGNAQVRDFVLRELLAGSTNKAFTIVEVQLALLHDYFFTNYHSNITSGVLTK >Dexi7B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:7B:22862707:22864571:-1 gene:Dexi7B01G0016830 transcript:Dexi7B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPTSPAKPPSQPGSPPNAATPSPVSALLRGTALLLAFILLQLVLFVSLLGFPDGSRFLPAPGRRNTTFPNGAVDAASCAAGLVYVYDLPPEFNHDLVDDCESLWPWYSFCPYLTHGGFGEAAATLPVFHNATRNVSLHSWHNTDQFQLEVIVHRRLLSHRCRTTDPSLATAFYVPFYVGLDVGSHLWGENSTAADRDRAGLRLLRWLSNQTPFRNSGGWDHFITLGRITWDFRRYGDDGWGTNFVVLPGMANVTRLAIEADGTDPMDVGVPYPTGFHPRSTADVRAWQRHVLSRERSKLFGFAGAPRSGFGDDFRAVLLEECEDAGSDHCRAVDCRGTRCTDNGAAVLELFLDSRFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYEQYRWYLPPGPVGDMGEWSVFIDRKALRVGNVSVRGVLEGFSEQRVRRMQERVVEMIPRIVYASSPDGLGDGMEDALDVALGGVLERFRRRRGSIQREEHRPGPPVARRVDVNSTAAPRPSDSQNGSVAAISRAAGGKNQGHPDPASTHMKTVISESSASINKTLQKS >Dexi7A01G0022460.1:cds pep primary_assembly:Fonio_CM05836:7A:30717213:30718455:-1 gene:Dexi7A01G0022460 transcript:Dexi7A01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRVEEVVRQRQVLELPGLGQQAHHVGDLTVQLVPGEVELLDVTQAPERQRDGSHHLVAAGVEHDEPFHQPDLIDLGIGPVNWLFATTKYLAPVLPKLDAAVEAVVVEEQSFHGEVEHRRWHGAGVLVEAEVEEEEAAEWDHRVREGAGEVVVGEVELVEVGEVGEVRDVAGEVVGVGVEEGEVRELVDEPGKRRRAEAEAVEVDGRHGERRRRVGWEVAVEPLSSGPSHVAVTPCGSHVIVFLNAWITGHSAASFWFRNCHGAGAGPHPHAASDADNDDGAGAGAEAAALVAFALGGALPPPCTTTPAAKSEPQRATCTAASTRTSTTMACLATGRVIPAPAIVCLSWSREVAAEKEGRVSDTVARCWAYKEEGED >Dexi1A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:1A:26459941:26461060:-1 gene:Dexi1A01G0019310 transcript:Dexi1A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLAQVPDAMDYLLAEFSRVCIYTVPKHLHALNAQARNKDYYRLIGYQEENGQLESTESYLTYVVAYVKLYAAMIQTEIKGVRHPHGLTEGWKWLAMFLNALPATTATACALHAFLKMAGFALHKKYGSQFLKILDVISRCFLPALKDQGNKMQSEAVNNLQNYLNDKIYLQEPEGQYLVQQLLSKELFM >Dexi2B01G0032110.1:cds pep primary_assembly:Fonio_CM05836:2B:40062892:40065878:1 gene:Dexi2B01G0032110 transcript:Dexi2B01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVTASSSSSSFLSQSSFSLKYPTLPCSTEQIYGCCLLIFSYSCSKAYKTSVPRNANMAKLQAGYLFPEIARRRAAHLLKYPDAKIISLGIGDTTEPIPHVITNAMAERAHALSTIDGYSGYGAEQGEKKVRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQLLFGSNVTIAVQDPSYPVALETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLACLSPEGLKAMHDVVGFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >Dexi7B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:7B:24283556:24285495:-1 gene:Dexi7B01G0018600 transcript:Dexi7B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSGYTRPRPIGEDAAPRLPSAVLYVANCGPAVGVTDADVRAAFGAFGEVAGVQAADSSGARVIVRFHEPAAAEAALAALHGRPCDRLAGRVLHIRYSVTVKPKALPGGSLPVARSAAELGIPGIYMVEDFVTAAEEQELLAAVDSRPWKSLAKRRVQHYGYEFLYETRNVDSKQFLGELPPFVSIVLEKITSFPGVKKCTARLVDQLTVNQYPCGVGLSPHIDTHSAFEEIIFSLSLAGPCIMEFRKYPKDSWRAPTMVNGTDEDVSQESECIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNSRRVSFTFRKVRMDPCDCEYKQFCDSHSKRC >Dexi7B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:7B:22976993:22977661:1 gene:Dexi7B01G0016970 transcript:Dexi7B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMDPAQKLPGHGHIEQAVGARRAAPWSWLAGLVAVGLGGAALLVWWALAFHPANARLWMVPAGLVLLGTPILAWFSVLASGPTSPGAGAACAC >Dexi6A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:6A:24932971:24937293:1 gene:Dexi6A01G0017060 transcript:Dexi6A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGELAGARGEGGGVEARRVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPQRGEIVTTLPGHKAPVNCTLWLPTKKDVLQVRGRDTYYLLSGSADGTIMAWEIGSGKGDWSHVLQLPGMHKKGITCLAGRMVSDTVAIFASTSSDGIVVIWELVIEPTTAGSCKVSCLHSLSVGSKPMVSLSIAVLPEQGSHLVLAMGGLDHKIHIYCGDKSGKFIKACELKGVKESPSSNSSDGPDSMETIPDAVPTAQLAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSIFSIKKTREGVEHHLVAKHEAHKRIIWACSWNPFGYEFATGSRDKTVKIWRVQDTSSVKLLATLPQFRDSVTALAWMGRDSASNAGILAVGMDNGLIELWSVSGGRASAGDTSDSSPLIAACMLQFDPLMCHVSTVHRLRWREPDSSDEKSALELASCGADHCVRVFDVHCRTDQCNQI >DexiUA01G0027310.1:cds pep primary_assembly:Fonio_CM05836:UA:58452358:58454612:-1 gene:DexiUA01G0027310 transcript:DexiUA01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIGEGGGRGIGQWLRRRRGRVAVSLAGRGGGGELRRWQRRRAWCIGGFSGGDELGNGERREGTGVRDLEYESVGLDDSLEDERNLDEIIADRRAAEAELDAREVRTGATADRKLPRMLDDQDTDEDMNFRRPKRHRTSFRPPSGPRTPRSDDDGATPSSPGRSQRGYSGGDVPMTDQTDDDPYETKEGSVQGDLLSSYELMMLCIHSSRSH >Dexi1A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:1A:23318784:23322058:-1 gene:Dexi1A01G0016320 transcript:Dexi1A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWPGCRSLLCLCMVGLSSVLTIATAASATGGGGGGDEAALLAFKAELTGGALTSWNGNVSFCSWEGVSCTRGRTPPRVVALDLLKGGLAGTLSAAIGNLTFLRALELGFNWLHGDVPASLGRLRRLRYLDLGYNAFSGEIPGNLTSCVAMEQMFLDANNLVGRIPAELGNTLTQLQVLRLGNNSLTGPIPTSLANMSSLWHLALGTNQLDGPIPPGLGGLAGLQYLDLAVNKLHGLVPLSMYNLSLLWSFHVEGNRLHGSIPDDIGSKFFPAMEDLSFANNRFTGGIPSSLSNLTTLTSLQLSTNGFTGLVPRDLGRLQRLQYLYMPYNLLEADDTAGWEFITSLANCSQLLQLSLGYNSFGGQLPNSMVNLSATLQYLYLSDCPSISGSIPQDIGNLVGLSILGLANTSISGMIPSSIGKLANLVQLGLYCARLSGLIPSSLGNLTRLNWLAAYSNGLEGPVPASLGKLTKLYLLDLSANYRLNGSIPKEILLPSLSYSLNLSHNSFSGPLPPEVGNLINLNQLNLAGNQLSGRIPYTIGNCLVLESLMLDDNMLEGSIPQSLQNVKGLQVLNLTMNKLSGRIPDALSSIAALQELYLAHNNLSGLIPSSLQKLASLHTFDASFNDLQGEVPNGGVFANLTDISVTGNSKLCGGIAQLHLAPCSPHTLSNSKKDRSKSLMISLTTTGAILLLVSVLVTIWRLKQRPKSQARPTIAEEGFQRVSYQALLRGTNGFSEFNLLGKGRYGSVYKCTLEGQDTPVAVKVFNLQQLGSSKSFQAECEALRRVRHRSLIKIITCCSSIDSHGQDFKALVIDLMPNGSLDGRLHPKYSIANNTLSLAQRLDIAVNVIDALDYLHNHCQPPIVHCDVKPSNILLTEDMSARLGDFGISRILLETADRTGQNSNSTIGIRGSIGYVAPEYGEGSPISTLGDVYSLGILLLEIFTGRSPTDDMLKESVDLHKFAEAVFPDRVLEIADPTIWVHNDANDEITRSKVQECLVSVVRIGISCSKQQPRERMPIQDAAMEMHAIRDANLMFSSSFAVEHGVLTGHASTFSK >Dexi6A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:6A:16148788:16149066:1 gene:Dexi6A01G0011380 transcript:Dexi6A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVQVVDGCRGDTRRGGWPAAPSSRVVRSQGRLCVRSAQGATTALSHGGSAPASDLEHLVLTELPLGSMAVGSPHAGTRKGMRRKGRSDS >Dexi9A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:9A:12653151:12655818:1 gene:Dexi9A01G0017610 transcript:Dexi9A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYLRRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLCAFALTYVMGQRYLETSKIMPAGVVTGLSAVMSAFYVFKIATGGNHIPPKKE >Dexi9A01G0022350.1:cds pep primary_assembly:Fonio_CM05836:9A:17561862:17562916:-1 gene:Dexi9A01G0022350 transcript:Dexi9A01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRTTEAVDVLEKMARLNNVPLPSGRLVSDKNIELDEVSGSSESATLLSSAEESDNIDKDEGSDFGGIKSVGKLLAPKLIRATLLLWMAFFGNAFAYYGIVLLTSELSNGNRICAKEEVESVHSNNAALYKNVFISSFAEIPGSILSAMVVDRFGRRLSMASMLFTSCVFLFPLVFSRTDMLTTISLFGARLCIAASFTIVYIYAPEIYPTSVRTTGIGIASSVGRIGGILCPLVAVALVHSCHQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVDMS >Dexi2A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:2A:4239562:4242012:1 gene:Dexi2A01G0004660 transcript:Dexi2A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPPGEWVPHVEAYVDVSRPAAQHSASVDALATLVNKDRLTLFDLVAKMDMYLTTTDHILRSRGILLLGELLSRISDKWLDVNTITTLSDFFISRLNVQVQSLAAADRKLCFQILSCILDRYPEVIKRMVWFLLDI >Dexi6A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:6A:12300402:12302488:1 gene:Dexi6A01G0010080 transcript:Dexi6A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGVAGDGDEGSSRVATEDRDGGVDNGNDNVIMGEARATGTATTQGQPQATARAVVLGRQAKVVLPVTTGEEGPSNEQSDQCGQDEVGNNKGYVHEDINFRATLLGECWT >Dexi3A01G0034930.1:cds pep primary_assembly:Fonio_CM05836:3A:40203124:40206650:1 gene:Dexi3A01G0034930 transcript:Dexi3A01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPPAPVTIGTPSVVHRALLPVVVLAVVGGWLGAADAVEPDDAWLAKAGSISTERVFAMNGTQWKLMMNQLVAMAAASPLRSANGSHPYSETKAVYGLVQCRMDLAPDECRDCLNFLVGEVTRNVPNNTAGNVWAPKCYFRYDHDPIYVNNWEQCVLHRDIKPSNVMLDASFNAKLGDFGLVRLVDHGRGSHTTELAGTTGYMDPQCTVTGRFSTESDIYSFGVLLLAVASGRRPVVVLRDGDAFIHLARWIWDLHGRGMILAAADPRLNGEFEAGEMESLLVAGLWCVHPDWSLRPSIRRVVSVLRFEAPPPSLAELMPGYMPATAIRLDFAAATSSLAPNNNSSASSSSRSPAAAARRGSILDATDVRVAGEFDAREMACTMRPTIRQADNGLRFEAPPPILPTKMPVASYGPPANHPRSTISSEESATVSCRGHGSDGDVGHYRPSTSEPAY >Dexi3A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:3A:9109197:9115105:1 gene:Dexi3A01G0012540 transcript:Dexi3A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAATAVAAPTPARLRLRLPLGPRAPRSGEPPPLGFLPPLLSLPRIRCSGPPPPPSLGPISPRENGGVARPGHCRAASSSRLLRFSCSATTMGDETSTSVPAQEQEPTVGAGSVKQQLSRLVISSLRATVPEVEVEPMVEVSAKFADYQCNNAMGLWSKVKGSGTSFKNPNAIGQAIAKNLPSSDIIESTSVAGPGFVNITLSNHWVAKRIQDMLVNGISTWAPILPVKRAVIDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVDVLRRNHVGDWGTQFGMLIEYLFEKFPNWQEIGSQAIGDLQIFYKASKSRFDNDPEFKERAQQGVVRLQGGEEKYREAWKKICDISRSEFDLVYKRLNVVLEEKGESFYNPYIPQVLAELNSKGLIQESEGAQVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFSAARMAGWLPDQKGKFPKTSHVGFGLVLGSDGKRFRTRSTEVVRLVELLDEAKTRSKSELLQRLTENGKIVDWTDEELEQTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKTSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTKFYTNCQVVGSPEETSRLLLCQATAVVMRQCFQLLGITPVYKL >Dexi3A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:3A:381221:387239:1 gene:Dexi3A01G0000420 transcript:Dexi3A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCIASGMSSSSSMSSHCSSLPLIALLLLCSQLLQVATGREQYHEFVIQEASVTRLCRQRSIMTVNGQFPGPALEVNEGDSLIVRVINRGGYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRAGVAAYPFNTASKPPAREIPVILGEWWDMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYRCSSSKDTATFTVKSGETDLLRFINAALNTELFVSLAGHTMTVVAADASYTKPYSTSVVMIAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAVFEYDDGSTSSAPMMPTLPAYNDTAAATTFTTSLRGLHKADLPSRAHYHSNTAASVFTDDFPANPPVQFDYTAQNVSRALWQPVPGTKVYRLKYGAAVQVVLQGTNIFAGENHPIHIHGYDFYILGEGFGNFDAATDMAKLNMDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLQAPPPDLPLC >Dexi6A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:6A:28291342:28291938:-1 gene:Dexi6A01G0020920 transcript:Dexi6A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHRWERQEFMCLPQLEIPGSTPLKLRWFGDKSGTLIFTIGEGGTSGAYAFNLATRSVEQLVDGVECDSWRNFMIDTV >Dexi9B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:9B:2730722:2731027:1 gene:Dexi9B01G0004780 transcript:Dexi9B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSAPMGSRYGRVCEELLEMGARVAVRSYGHCPQTGRMYYKPPSATPAAAEGSHDGEEAASGGSGASAAMTARRQQQAAAEDKVEFHCSEFILYGVGQD >Dexi4B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:4B:4869892:4875712:1 gene:Dexi4B01G0007020 transcript:Dexi4B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLNMEVDDDVEEEDMDFNPFLREGSPSETSSSLTSEAECEEHSSVNRPSSETYLQDNESDDDGDIQNVDEEGEYRKFLASVLSGVGDDTQGCQGDENLDEDDNDADFELEIEEALESDGDEHAENYDDRNGRKEKDGRRPQTRKRQPFTELPGAECQWMPLIKSPVISILDVAPLQFTLDYLSDVATAVIKHRKSHVDGTADKNRRKEPLFPSPVINSCKEASNIAQDRSSSAPTAPSVSSGQLQQKKTLAATLLESTKKETVALVPADIARLAQRFFSHFNFGLFPHKPPPAAMANRVLFTDAEDRLLALGIQEYNNDWGAIQKRFLPCKTKHQIFVRQKNRSSSKAPDNPVKEVRRMKTSPLTVEEKECIQEGLRVFKNDWTSVWRFVVPHRDPSLLQRQWRIASGVQKSYTKSDAEKEKRRTYEAKRRKLKALMPNSRVVHGQEADNNTSEDVENDDDSYVNEAFLEDTDSRSINMMPCQQPLPRNAGKSMTMQSGTGLDEECGATGDYVEPQKGSGTKLDVTTSYIPFMYCPSDGPSFVRAPSATAPVVSCGSLDQLQASQMSKEKGSRVVKLAPDLPPVNLPPSVRVLSQVPFHPNSTHYHGTSDNTAKDMYPVPPLTFTESACRQLNLFPDHRANSRLQQNGIPNENTTEDGAEQDLQMHPLLFQYPRDVVSSYSHPVQNLINQSRKYDLFPFEKVQVEGSNNQNTGPTENGTINANTIDFHPLLQRTEVEVHGEVSEDDYHHSVNQSECNMRQAPVDDQSIPGQASTSPSGRETSIDLNIHLFSPAEIKDSNDFRGAFGKSNDQDEVSKKEKAGVPELEVVNSCPHHCIQESNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQGPEACPVQNKVMFANAYVSIIMELKLTFLAM >Dexi7B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:7B:21184496:21188576:1 gene:Dexi7B01G0015130 transcript:Dexi7B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRHPWRAFGGSETSKTILGVSVLEGFIQSFSDTAVMAFFKPSLVFCLFLTFLSLNCGPCHVFAKVYMVVMEDDPVISYKVNRKHIMRGEEAQKYKQVATTKHDSFLDSFLPIGSYKKLYSYTHLINGFALHAESAKTIRILSRAKGVRLIQEDIKMVKTTTHTPNYIGASGVWPLLGGSEHAGDGVVIGMIDTGIDPKNPSFVSSNMSSQAKSPLASFKGICRAGNRFPADSCNGKIVGARWFARAAQESGEFNATIHYASPYDSDGHGSHTASIAAGNFHTPVMSRGYNFGYASGMAPGARLAIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSSVSPGPASFLNLLEVQLLLATKAGVSVVQAVGNAGPDENTVVSFSPWILSVAASTTDRKYRKSIIIGNGKSFSCGALSAPTQGEIMYPLALADDVMVVNSTDDGYNKCGDPKIFIKALVQGKALMRYYNNNTVRDEVGNVVSFGATARILEGRHATYTGEAPVVADYSSRGPDVENSQLQPAEVLKPNVMAPGHLIWGAWSPTSDAMPEIRGESYALLSGTSMAAPHVAGVAALIKQQHPTWSPAMVMSAIMTSADATDRSGTTFLCSVPGVDDAAVLRAVGAPCPPPPPAARAGAGAAARWCSDLNAASVTVASLVGSRRVERRVTSVGAENETYAAYVRAPEGVAVRVWPAEFAIAPGATRTLRIVLNATAPGSAFSFGEVVLRGDRKHRVRIPLAVYPTSKLSP >Dexi2B01G0025630.1:cds pep primary_assembly:Fonio_CM05836:2B:34893670:34895151:1 gene:Dexi2B01G0025630 transcript:Dexi2B01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVVAHALVFPFPLQGHINSMLPFATALVGSGVHVTFLHTDHNLRRLGVASATGVPPRSPSLRFVSVPDGLRDDDPRSVGALLALVESLQVVASVAYRALLASLLSSSTVSPCRGDHHDVGFPPVTCVVSDGYLPWAIDIADELGVPALAFRTSSACSVLAFLSVPRLLELGELPFPEGDDLDENVRGVSGMETFLRRRDLPSSFRRVGEHGVNPRLRVLVKDTFHSSKARALVLNTAASLERSSLSHIAPHMRDVFAVGPLNAMSPAPSSASATTTSLWREDDRCMAWLDGQADRSVVYASLGSLAVITREQFTELLSGLVSTGYPFLWVLRPDMVRASQHDVSQEVARATGDDGDKARVVAWAQQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPMVCWPFFADQQINSRFVGAVWKNGLDMKDVCDRAVVERMVREAMESAEIRRSAEDLARRVKRDVAAGGSSATEFQRLIGFIRELSTSNVSLGQ >Dexi6A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:6A:6665932:6667327:1 gene:Dexi6A01G0006880 transcript:Dexi6A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSRRRRCKNPCSSSSAYSEERDWAALPHDVLFDIFLRLKSCEIMWGAEAVCKAWRRVTVEEPKLWRHIHIIDVPEWSSIDIAVRDVVDRSAGLCEVFSGPWDAESLLYLAERSPSLKSLHISHDEDASYEVLIDTIKNLPLLEDLDISLPYRHICASEKFLVSVCNARPLLKNLKINFSMPSDYSFEEAVAVVCQECVDGDIYRIPATCARVKNLILPDDSDEECEDEDLVEDEDG >Dexi2B01G0035470.1:cds pep primary_assembly:Fonio_CM05836:2B:42468448:42475313:1 gene:Dexi2B01G0035470 transcript:Dexi2B01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTSELLRPVDPAHALDEAALLRYAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGRTTRYVLRKKPPGAILQSAHAVEREYQGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWERQYLASTGEGKPPRYQRMLDLARWLKEHVPEEDSTAASGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLVQSGERAKFAGQVANTMVDCAWDFISRNKVLPEQPSRGFQISEAPWQEFGGEQGGPAKDQGKFVPSEKVMKLQKQLMKFIEDHIYPMESEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNLFIPLDSAARARKLLFDDRSLVTPESSNDLLLGAGLTNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGRIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDMDTPGVHIKRPLLVFGFDDAPHGHAEITFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTVFGKRIAQQGSFLSDLAKCRIELEQARLLVLEAADQLDRYGNKKARGILAMAKVAAPNMALKVIDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >Dexi2B01G0025580.1:cds pep primary_assembly:Fonio_CM05836:2B:34779905:34780249:-1 gene:Dexi2B01G0025580 transcript:Dexi2B01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDGAADHHCRWQWPAGFADRFRRAAASIVYGAAATASRMTSGQVLGGPLAGLLQSTVAPPDLAARLDQHASSAAGVAGKQDGVAPTRLAACGGRAERRPRGEGGRRGGANGG >Dexi1B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:1B:5793844:5794707:-1 gene:Dexi1B01G0007000 transcript:Dexi1B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFSIYFVKDHDEIKKSTRTFALIFSMSKKSIQAQSECSKLASEAVSNLRTITAFSSQDRIMRLFEQAQDSPRKEGIRQSWFAGLGLGTSMSLLRCTWALAFWYGGILITNHQITAKALFQTFLILVP >Dexi9A01G0021940.1:cds pep primary_assembly:Fonio_CM05836:9A:16918390:16925514:-1 gene:Dexi9A01G0021940 transcript:Dexi9A01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPAPPPSNSGSSAAAFKSNASGLSPGLEPTQAASASPAAAAPPPPSPPPVRVPSVTLVAVLGVVGELLARGFLTRLEIRDAERTDARAPALFDRVLATFLAEAWDPEGASRLPIPPPPLGDGSEVELLRVLPAVRAHGGLAGVASWAAVAEAVGLDPATGTAVKILYRKYLDQTLAKPLEVHKEVESSGCNGGRSRRSGSGKDKFLSSLTKDLKRKRDPFVGMLNAVRQVAKNPAEAGMKNYDPCGYLNTAVWLRRHMFAAECLSAERLEGMLNWVRHAAKNPAEPGLIGTDDCDDHRSTADMLRREMRANNIGSASSQNGHYYEDALRCINKSSASPIRPSGQADIPEWTGKAPSRHDDRRALRFLGERILIPESNEALDVGSIGKGRQDNCYCQSPGSIDCIRFHVAEKKDELKRELGSAFYKMGLHETGEDAAHSWTKSEERRFNTIIQENLPSSEYNFWDILRTAFRYKGSKAIASYYHNVFQVQRRAYQNQLGLEADSDDDSIEPGFLYSRQGNIGRSRSRPAASSSGNGKALRLCLILISDR >Dexi8A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:8A:24055324:24055912:1 gene:Dexi8A01G0013750 transcript:Dexi8A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKMTGGRVALAAALLCAAAAMAAAQSASNVRATYNFYNPQNINWDLNTASVYCATWDANQPLSWRSEYGWTAFCGPAGPTGQASCGQCLQVTNQATGASIKVRIVDQCSNGGLDMDYATAFSKIDTNGQGVNDGHLTVSYSFVGC >Dexi6B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:6B:8292097:8292727:-1 gene:Dexi6B01G0007140 transcript:Dexi6B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSCLKRAREGQPASPPPPMASRRREVKSPPPVPTKSEAMRFVKAVEREFRFAGKPGKYKEFLSILYEFKHGRLGIAGVIERMQVVLQGHPYVIGWFNKFVPRFYELNKDL >Dexi7A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:7A:16141849:16147521:-1 gene:Dexi7A01G0005070 transcript:Dexi7A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLVQSAIVPAVYRSSSGRFRVRARARTNATMVRNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQISRPRGSASKGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGNSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVREADIQHIVSSWTGIPVEKVSSDESDRLLKMEGTLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEINLQVTEKFRDRIVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPVEPALSI >Dexi9B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:9B:7118621:7118908:-1 gene:Dexi9B01G0011180 transcript:Dexi9B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSPTSSYHFFLQLVFPPAAGLVLCLAKEAGGRPRRRAQQLVFGWRHCRQASAACDNGGRGDDDPPTLRFRLRPFREHEQSQGGSAGPRNVYR >Dexi8B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:8B:26282884:26283303:-1 gene:Dexi8B01G0015480 transcript:Dexi8B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALARALGRHDADGGEEDDGDGGGEPGDEEHQRLGVAAAAIDGEVELCEDGATDADPKQRAAAALREVLDGGDEGGGAGERLRVGTGADVEAHEPERWTCDVAGEGEVHHEVAGEVHGGAGSEDDGGRGDLE >Dexi2B01G0028660.1:cds pep primary_assembly:Fonio_CM05836:2B:37224408:37227535:-1 gene:Dexi2B01G0028660 transcript:Dexi2B01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAAGGVYVANGGLTDPLLASANGGHGAAVKKASHGAKGKHWVASDKAERRAAKESGAEDGRVLLFRKYKVKGALLHPYRLLIIARLIAVLLFFAWRIRHNNSDVMWFWTLSIVGDVWFGFSWLLNQLPKFNPVKTIPDLAALKRHFDLPDGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILSVDYPVDRLACYLSDDSGALVLYEALVEVGKFAPLWVPFCRKYCIEPRAPESYFEMVAPPQAGRASQEFLNDYRRVQIEYDEFKVRLDKLPDTIRKRSDVYSSMRTAEGDAKATWMANGMQWPGTWIDPTENHRKGHHAPVAKVVLDHPCCGHSQPNAEGNLIIGATDERIPMLVYVSREKSPSYDHNKKAGALNAELRASALLTNAQLIINFDCDHYINNSQALRSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHYREENVTAEASRFGNSTLFLDSVSKALKQERSTTPPSLDDTFLAELERVVTCSFDKGTDWGKGVGYIYDIATEDIVTGFRIHGHGWRSMYCTMEHDAFCGIAPINLTERLHQIVRWSGGSLEMFFSHNNPFIGGRRIQPLQRVSYLNMTIYPVTSVFILTYALSPVMWLIPDEVYIQRPFTRYVMYLLVIIVMIHMIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPAAVLHMAVNLLTKKGIHFRVTSKQTTADDNDKFADLYDFRWVPMLIPTMVVLICNVGAIGVALGKTAIYIGTWTAAKKMHAALGLLFNIWIMFLLYPFALAIMGRWAKRPVILVVLLPVIFVLVALLYVGLHILLAGVVKF >Dexi3A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:3A:11909067:11910726:1 gene:Dexi3A01G0015990 transcript:Dexi3A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATACGAPPCPCARSRLLPPASIGSAYGSSARVRSTRRRAPRRAAVARCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATTVPAGIYAKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEKEDTKESISEASQDSKEKSSV >Dexi7A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:7A:13232946:13236198:-1 gene:Dexi7A01G0003560 transcript:Dexi7A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAVNLPGAGEEEEEEMMGGLDEDEAMKDLDAGEGEDEDYFTGTMKVGEEKEIGKQGLKKKLVKEGEGWDRPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGESGSPPTIPSNATLQFDVELLSWASVKDICKDGGIFKKIVKEGEKWENPKDLDEVFVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALAKAVKTMKKSEKVLLTVKPQYGFGEQGRPASADEGAVPPNATLHIDLELVSWKTVTLIGDRKRILKKVLKEGEGYERPNDGAVVRVRLIGKLEDGIVFVKKGHDGEEPFEFKTDEEQVIEGLDITVVNMKKGEVALARIPPEYAFGSAETKQDLAVVPPNSTVFYEVELVSFEKDKESWDLKSNVEKIEAASKKKDEGNAWFKMGKYAKASKRYEKKQSKALKISCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRAQAYIELVDLELAELDIKKALEIDPDNRDVKLVYKTLKEKMREYNRRDAKFYGNMFAKWRKLEHMDNKVPGKQEPQPMAIDSAA >Dexi2B01G0031890.1:cds pep primary_assembly:Fonio_CM05836:2B:39895542:39897050:1 gene:Dexi2B01G0031890 transcript:Dexi2B01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLFTAAAAALAAVASLAAYVALNSPVDPVPSPPLPPTPPPNNLLQRLKKLGEGALDAPEDVYVDAAAGGTLYTATRDGWLQRMHPSNGSWERWRFVGGTGLLGITPSADGTMLVCDADKGLLRVGEEGVTLLASEVDGSPIKFADAAIEASDGMIYFSDASSRFGFDRWYYDFFESRANGRLLRYDPSTGLTSVVLDHLYFANGVALPRDEAFVVVCESTRFRCMKVWLKGEKADKAETFIDNLPGCPDNIRLGSDGHFWIALIQTRSPWLDLITPSSLAKRVVATFPAFLEWSKSTMKGAMVAQVSEDGKIIRVLDDSQGKVINFATSVNEFNGDIFLGSLATNFVGKLSLAEVTQEQVTVSFS >Dexi5A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:5A:25337594:25339236:1 gene:Dexi5A01G0021550 transcript:Dexi5A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRSRGQCRAFLGECAGNKDGFLLHLAHQAPLLRSLTLISCNVISRRGFMDAIKMFPLLEELHISDCWKPEFFDMEAMEIAKMHELRSLQLFHNQLTNIGLSAILDGCPHLESLDVRGCYCVDLHDEAMRAKCARIKTKKIFTPNPEDECEDFEPANYYDPCYGLDSLDEIGLEVHDRMLCKRARR >DexiUA01G0008550.1:cds pep primary_assembly:Fonio_CM05836:UA:16055507:16057176:1 gene:DexiUA01G0008550 transcript:DexiUA01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVPSPEVEEEEAEEEPPRSATAKQEEAKAALGSEGSRPFTMRELLGELKEDGETATAGSGGGSARSALGDVNGIGSADAEGSSYSQDSTQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVNAIERNPDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKM >Dexi2A01G0032680.1:cds pep primary_assembly:Fonio_CM05836:2A:43077055:43078800:1 gene:Dexi2A01G0032680 transcript:Dexi2A01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGDQCLSEDDCGSGLYCYNCWLEFAGRKCVRTTVANPFEIADKSLPFNRYAFLTTHNSFSIRGEPSRTGVPRVTLYNQDDSVTNQLNNGVRALMLDVYDFRDDIWLCHSKGGRCFDFTAFVPAIETLREVEAFLSSNPLEVVTLILEDYVKADRGLPKLFRDAGLTKYWFPVSRMPRRGGDWPRVRDMVARNHRLLVFTSARSKQDTEGIAYQWDYMVENQYGDDGMRPGACYNRSESPALDDKARSLVLVNYFHTVPLGVTACLEHSRGLVPMLRTCHDAAAGRWANFVAVDYYRRSDGGGVFEATDMLNGMLICGRDDVRHCRKRTLKDAVHDLLGNLQQMWKWYA >Dexi5A01G0038460.1:cds pep primary_assembly:Fonio_CM05836:5A:39465849:39466127:1 gene:Dexi5A01G0038460 transcript:Dexi5A01G0038460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPCLPLDGEAVDEAMDGQDGSVDGQHDVLGGHVAAAIEAPGAKQDDHLDELCQREVHARGTSPLRNSSSTGTY >Dexi4A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:4A:3478127:3481474:-1 gene:Dexi4A01G0004930 transcript:Dexi4A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQQQQPGGDDGGAAAEADIERLPADILAHVLSLLPSFRDLSMAGGVSRRWRRAVERSLASRRRLSFAGQRTGDDTAARFVHAAVNLRDLDIIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVSLLSISPALQIRSIPQILTAGVQVSS >DexiUA01G0000530.1:cds pep primary_assembly:Fonio_CM05836:UA:2137525:2139045:1 gene:DexiUA01G0000530 transcript:DexiUA01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPAAATTTTTRRPLLVLVATVLASSLLPPPSDAAANPAAAFDSWIAKNQENYIVNMALYAKKAAGDGGKTLDASLSAAEEKKTTYVIDPSGKGDYATITAAIADIPNNNDRRVILDLKPGATFREPGHHRLERHGGDAGERRNTVAIEADYVMAYGVVFKNDAPLAKPGAKGGQAVAVRVFGTKAAFYNCTIDGGQDTLYDHKGLHYFKSFVDFIFGFGRSFYEDCRIESVVKEVAVLTAQQRTKSIEGAIDSSFSFKNCSIGGVKGGQIYLGRAWGDSSRVVYSYTVMGEEVVPIGWDGWNVAKPESSGIYYMCSGPGADAKKKKRVGWALDLTEEQAKPFVGAHYIFGDSWIQPPSVTEGGAKGTVTGKKSGNETTTDDAAAKAPAPAAASEEEEAKAPAAAAASGSEGANSSSSICKCVVYNSSVCECVIYNDSICECVVNSHNGQSGNCVNNNDKRSKSIRRTLSLHKKRSHLLGWINK >Dexi6A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:6A:22529450:22530401:1 gene:Dexi6A01G0015150 transcript:Dexi6A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRHHAQKPYFTGAPAGLSHGSACKKFCTVNCVASSLLITFLYPGQLTRPAMSAPGPRLAYSAYHSVLSPKSPFHGRYPALSMNAGISDSMMSVRENSRHGRARKIDLSDCTSSATAWCFAAPAVFLNPSAIPMNPSPCASASVVRPSAKFLLPVMMVFMAPSPYMVTFSMALVMVTYSSYTPAFT >Dexi6A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:6A:17974009:17974617:-1 gene:Dexi6A01G0011850 transcript:Dexi6A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMSSNSSRPSWSVDGERRQSPIPYRRGPLDYEPTVFCHCGNKAALWISWSNDNLGRRYLKCYKARTGGCNFMGWYKGPVDGFVHSLLVDLHDTVWTPKHEKTELKAALVDAVLKLEQQRKQIRSLSKSQKSGMKRGSVVV >Dexi2A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:2A:4690331:4691643:-1 gene:Dexi2A01G0005030 transcript:Dexi2A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLRPYVVYPPEGPRPPPPEELRERAREIARKRQQS >Dexi4A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:4A:24456406:24461243:-1 gene:Dexi4A01G0020700 transcript:Dexi4A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MHARTHAPPLELLWSGSVKFEDNLWSSGSVPINKPPLLPCVCRTVGSDFFGDSEMSGPGQCSSQPQFMTSVGRSNRSNGPGTPLIESIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKVTNFVLWILAELAVVACDIPEVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGCFFMVQVRKLEFLIAFLVFLIATCFLVELGYSKPDASEVVRGLFVPELKGNGATGLAISLLGAMVMPVGVIKKSATLRSWNQGKLNPEDQANCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSVFTSVLTWVIGSFIVVINTYFLITSFVKLLIHSGLSTVSQVFSGIFGFLGMLIYIAAILYLVFRKNRKSTQPLLESDPELEVADRSTGAGTEGSLGHLPREDISSMQLPQQRAAATDLD >Dexi2B01G0026630.1:cds pep primary_assembly:Fonio_CM05836:2B:35759094:35762685:-1 gene:Dexi2B01G0026630 transcript:Dexi2B01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLIDVSAEDDLLFDLATPPPAPPRHPDPTHGGSLVRAEAAPHAVPADGSPAAASRTADPDGVTEEHAAPERTESPKQRKVKKGVNLRKSLAWDSAFFTSEGVLDTEELAMANSTFRRTQGSRLPGIVEEMRKSGDSTSTLDSEVWATESLDTQLFDSVRASIQKSLAKPNKVPGGPAGSSKPPKATANGPRIAARVDRMPQTKIRDPVSTSQGAVGGKQRPQVSSKMSAAARVFHIYSLHEKNLPGAAEAKTSSKPPRALPRVAVMRSSTNTAITPATLDKRSSTGGVVNRPAAAKAANTSASRRLGRVTVEKSGALTSTNSSSCGLDTRDKAKTKSTLSNPIRTAQRVPVRSSSKPDTSRPLPPRSGSKIPTRGHVGRASPTISPHSSVDSMSSVISGASTASTIGKMSHTSESLNTLSPSLRKSNDCPLTPKLRPTTVKEGHSACADSSNASKDVTNQGKGFKPTGLRRPTPKIGYFDAEKSIEHNMGAQVQQQPMKIQCLLPATPTASSIAKQESKLNAAALEEHDPPLEVMTQPEADKSIDQSVDAPVPLMEIQCLHPATPTSQASSNICRQETKRIASPFEEINASKSKATKAVPVEAVKVGVDPLKVAKLEACLHQADLVVAADTPKENIPTDHQNVQANVDTSSLVDLLTQKLSSISLGEATPNLAS >DexiUA01G0022880.1:cds pep primary_assembly:Fonio_CM05836:UA:46649366:46649761:1 gene:DexiUA01G0022880 transcript:DexiUA01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPHCVIQVDDVETAAVETLGPVLESHERFPERANIGFMQVVKREHIRLRVYERGAGETQACGSGACAAVAVGIAQGLLAEEGKNCRKR >Dexi5A01G0027100.1:cds pep primary_assembly:Fonio_CM05836:5A:30651768:30660681:-1 gene:Dexi5A01G0027100 transcript:Dexi5A01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHTSPDEVVLGQETGAARVITLNRPRQLNGISDRVVYLLAQFLEKWEKDDSAKLVVFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLVMGGGAAMVAPLKFAVVTEKTIFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMISAGLATHFVSSENLEELEKRLLNLDSGDESAVRAVIEEFSTDVQLDEDSVLNKLSTINKCFSAETVEDIIKAFESEASIDGNQWIPPVLKGLRRSSPTALKITLRSIREGRKQSLPECLTKEFRLTMNILRSVVNGDVYEGIRALSIDKDNAPKWNPPTLEEVKNEDIDRVFQPFSPEQELQVPCNDSNRWSGKYENTIYAKTSQ >Dexi2B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:2B:16027372:16027815:1 gene:Dexi2B01G0012290 transcript:Dexi2B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNERVDEGVARIRNEHLGGGDDGADALEVDDDLRDEEAALRQALVDLEMERGLCRPQAPPLARSAGRGRRLRDPPSAATVCELLRLALPPKSGLARACAASFAPSREMGRGERATHLCVSHGLRPKMGRGFGSPVGGWNGDPKTLL >Dexi3B01G0036240.1:cds pep primary_assembly:Fonio_CM05836:3B:39093589:39096542:1 gene:Dexi3B01G0036240 transcript:Dexi3B01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCLVGQTPIFRAANEGEVSALRYLLDHGGDPAIPDSLGFTPLHMAAESGAPNLPFYGSLACHHEAVRLLLSRGVVVDCLNNRLVTPLHSAARKGHHQSLKLLLERGADAGADLNFVKPYGSSPLIEATREGLTDIVKFLLEAGADPNICDNGPGSIADLKIRGNEAFAKGYYAGALYFYGLALHILPRDATLLANRSLCWLRVGDGKNALSDAQQCRVIRPRWSKAWHREGSALRLLKNYKGAADAFVEALKLDPTSDEIKTAEATEALRRATRSEEQKNP >Dexi5B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:5B:20148725:20154733:-1 gene:Dexi5B01G0018140 transcript:Dexi5B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCTAASPSLRESVLACCISLGPSLTTDTMAVNTFWDIGFVKPLAHMLGRHTQGDPLAYGKDRIHCEDHHRRKKDTDLGLQHLLLELQSQKLRQVKLARQQNDEMHPGGMSMATAWDDEDFFRYCPPSRCSKHGPEIRFPFRLESSNTSTLCGAPGMKLTCSDQDTILVHPFIVTAIDYRLNTLTFVPRVDSSASCRKKLMSASLPHRIVDRPPLRNLLYAVIVHMSK >Dexi8A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:8A:16855186:16855464:-1 gene:Dexi8A01G0010190 transcript:Dexi8A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPPDAPAPRAPTRFRGRTQSRANLRWWLDSLSSHFPRAMPQLCIDDGVPRPRASTELVRRQAVQRSKASVRAAELRPQAGAVETVVRW >Dexi3A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:3A:16845717:16851643:1 gene:Dexi3A01G0021200 transcript:Dexi3A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAFSGSSRRQKPSASSGEEINPVYAELSCSLHENLFQDGNSDFDSTEVVQSVIYGIIQKGGDSGKITKGSKKLKLEKGILLDNYVQRGPRLVDAQARSLLIHSKRSKQHMSLKQHKKCGSFDLDGTYHKKKQLSENLLSADLHGALLIVAECKAASYQGVSGIMVRDTAETFGIISEDNRFRVVPKAGSIFILQADCWKVTLIGDKLSPKLKLKEDQRQQRAQSQIR >Dexi5B01G0033140.1:cds pep primary_assembly:Fonio_CM05836:5B:33638314:33644317:-1 gene:Dexi5B01G0033140 transcript:Dexi5B01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFGFGGSAAAGSTASSPFSFSSTLSAFSFSQPAAASSPAPAFGSSLFSASSAAAPSAPTFGSSLFGASSAAAPASTTASSPFGFGSTAFSFGQSTAAASSAAPAPSLFGAAATPGTTTPSIFGASTAAASSPGLFGAASAPASSPSLFGASATGSATTTPGLFGATASAATTPGLFGATSSAATTPGLFGATSAAATTPSPFGAASSAASTPSLFSGAATGFGFGSSASASTTTTAAASTPSFGFSFSSGAAASSTPTAAASSPALGFGAATGSSLFGSTTSAPLFSTTTAASSPAAAATTAPSFGFTPPPANTTTGSTASSLFSSASSAPAFAITKSTSATPTTPVSSAATGFSLATSQAAPAPSLFSNTGAAGTSSATTSGFSFGSQAASTPALASVSATGASTAPSAAAASATSGSLFQAAATSGFSFSVAPSSSSAAAATTTTTTVTSASTSAGMTTTSPLTGTTGFPNLNLPTTTPASTPSPALSFGASTTAASTSTTSTRTTQPTSLGVQASSTGPATTTAITPAATQAPKLPSEIVGKSVEQIIRDWNNELQDRTAKFRKHATAIAEWDRRILQNRNVLIKLEAEVAKVVETQTSLERQLELIETHQREVDKALQGMEEEAERIFQDEQVLLREDEAASARDTMYEQAEVVEHELQHMTEQVKSIIQTMNATQGGELEAADSMTPFDVAVRILDNQLRSLMWIDEKVNEFSGRIQRLPSNSASAERDSGIPRFWLS >Dexi9B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:9B:8404657:8408356:1 gene:Dexi9B01G0012500 transcript:Dexi9B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPLPVAAPSGGGGSAPSPSPSTSSGAASASVNGGGGGEKGLRRALSFDEAGTPSSPEKKPRVVGDVEGDDEEGAVMEVGGRQVPVEVMAEVLRRLGPRGAMAAAAVSRGWRDCAARVWRAAEELRLRLLAASGAGPLAALLPRCPALSRLDLRMESDFDATTLACLSISCPSLKTLEITMAGNAVNRMTGYGYDAELVILICVAFEDLNRFVSEKSSLSVLKIGGCSNLDFIDLCSSSLSVLWLSDLCSLSKSVMNCPNMSELSLCFVQQSNDCTDLVTLIDAMGRTCPNLNKLHISSNQLSNQAVFALESANLRGLSMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVAMICKAFPHTLSGLLLALCPNVTTRGIQLATAQLPCLQLMDCGMSLCTNLNSERGPGFGEINGGIRIIRKLCASKKQPVHQKLIIKHGNLKKLSLWGCSAIDALHVICPELNDLNLNSCTNLHPGIVSFHFSSGFQACKIATFNNQNCLLQNDCFFSAQI >Dexi9A01G0023530.1:cds pep primary_assembly:Fonio_CM05836:9A:18916729:18921193:-1 gene:Dexi9A01G0023530 transcript:Dexi9A01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMQSSSKEQEVHKVASKFHEQSLLKQGAVDEEDSGDTTSSAGENRYPGWPGTSVFRMLIPSHKVGAIIGHKDGDLPPVALEEDRVIEIWGLPAGVHKALELVASHLRKYLVDRSVIPLFDRHVPMQTSHMDMPLCHYNDHPDGPVHAVSPGYHSVKKKMQVPIFYAEAVIGPSGERIEYIRRASRSSILINDSLEGIMSIEITGSAATDVLTAEQLIKNFMAEAAAASPDHSFNFIPSYLPASRSPISNILTNEKSRVSSLSEQRLQMIH >Dexi9A01G0044190.1:cds pep primary_assembly:Fonio_CM05836:9A:47795411:47799476:-1 gene:Dexi9A01G0044190 transcript:Dexi9A01G0044190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPRRSDLDFADVFGGPPRRSSGNEHRSRRGSMDGSSFGSSAPRPRSRGSDTHVFGDRGSSDRRRQLGEEFYKDIFPGNEEAASPRRGGVEWGDVFGSASPGSTARPRSRKGRANEVQERMKKTVLTDNIKMETKMDTISGRNGAASDESIQDTKDKADSMVDHDDIHIEDLDDCVVEHVSEDPVLHDGQEKEQMKISESKIREWSRGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKFIAEKVFDILQESWKEFNSVSFG >Dexi4A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:4A:237866:242705:1 gene:Dexi4A01G0000340 transcript:Dexi4A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLLVGAAPRPPPAALRLLLRRQMASSGGARAFQLRLNPLTGDSEWLVIDEAEDAPAAPTHHKQLLAATSYLDMLNDAARNRAYRRAIDATVTDPNSRVLDIGAGTGLLSMMAARALAAVGGEGRGTVSACEAYLPMGKLTRRVLRANGMENKVKLFHKRSDELTVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDELLVKNLKTVPYRATTYGVLVESTFLWKMHDLHSSEATAQDGVWLTPRGTENILSVKLQQHAMQCDALEDEIRLMSERSSPTCIVADDSVFLALVVSSLSPSSKVIAMLPGLRDKGFNYLQAVTDANNLSMDRIKVIGRKASSLTMSDLEHEKVNLIVGEPFYHGSEGMLPWQNLRFWNERTLLESLLSEDVFIMPCKGILRICAMSLPDLWRSRCSLKDVEGFDHSVVNDSFGACGDMPGEQQGPCLPYYVWQSGYTKKLSKVYSLMDFDFSEPIHPCFGKTKIKFAYDGICHGFAVWIDWVLDNKSSTVISTGPESRYWKQGVQLLSRPVQVNPSNSSMHVEAHFDPDTAELSFQAKFVM >Dexi4A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:4A:1587803:1590807:-1 gene:Dexi4A01G0002330 transcript:Dexi4A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAFFVFCGCVDQASVAVVEKWGRFVRLAEPGLHFFNPFAGECVAGTLTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLEELEKVMADYGYSIEHILMVDIIPDAAVRKAMNDINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRDGMMQASSSNV >Dexi2B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:2B:28775155:28776025:1 gene:Dexi2B01G0018470 transcript:Dexi2B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEFQPKPPTATTNHYCKSLSSLIRETYAHCHVPCVRVPAGSGWSSGEDDSDDDDELLDTKQVVLNEMRNRQMKKRSRCSMDSPTLPLSSAAFAWSYTPLDPRTVLEKVSSPRTCVVVDATDEKEKEKVEEAGDDDGDFCDADDESEAFFSVKSFFTRSTSRAATVASSAAADVAMDPPPPPMLLRSAEALERFRDCEGWPFGLCRRPTGVLPLPPLPSTPADSWKWRKSVSSLAASPAHPAAYTHKLTSK >Dexi5B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:5B:4267425:4269853:1 gene:Dexi5B01G0006280 transcript:Dexi5B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWTVPVEQRAADVGHRCARACVVLADAAERLPAPPTDAAAAADARARLEVVRGMLADASSDLDLAASSMMAIELFALRGAAASPMAPLASVQHVGGGGGGDHPVRLALSLFQGARGCAEDASHYLDRCCGSLRTDDALLAVPGLPGADGLLGDEHFSDLRADVEAALDLARVSAVLAIIAHWLLERFRILEMEHCSCQFRITGSWFATETTGGDDHSVVAGVLLRTTCGSPASLCCGVPVNNGGGEGAVVIQDVTPTCGRPASLGPGVPVYNDGGEGIVGVQNGTPLAPSASTTGLTFSPARWGHIAVSDREITRLVSLHAEAGHVFVIQMASIVVVKLAGTP >Dexi1B01G0022230.1:cds pep primary_assembly:Fonio_CM05836:1B:27987079:27990591:1 gene:Dexi1B01G0022230 transcript:Dexi1B01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGKNREQVLKEFSRVLDDQIEKIVLFLLQQQGHLASRIETLGEQRAALMEQSDFSQISQLRDAYREVGYDLVKLLRFLDMNATGIRKILKKFDKRFGYNLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGQPLLLDAKERHDEDGEDNDDNEEDPEESHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTYYFNWTTSTVAIFLAVLGLTVLPVNVIVGTYVTNLFQDRQILVASEIMVLIGIVMSFCFTPQYSVPQYVTSALITFVFAEVLEGVNLSLLSHVMSSRLSRGTYNGGLLSTEAGTLARVAADMMITAAGYLGQSRLLNATLVPSLVICVGSIVATFCTYNSLY >Dexi1A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:1A:26437739:26438029:1 gene:Dexi1A01G0019270 transcript:Dexi1A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLRPAEASMSRMRLCAAQQRHGDAVKVGLWVSLATPRWHGVACIGLGTPTASFRRGWLYGMSSLHRRSRGGGGSNDTEAPGVATHVVLVTEV >Dexi4B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:4B:4304832:4308595:1 gene:Dexi4B01G0006110 transcript:Dexi4B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVDDMIRRLLENRGGRTPRNAQLTDAEIRRLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTECFNCLPVAALIDDKILCMRGGLSPELKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGPDKVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSEKKGKAAGSKPGTPPRKIKISVTRI >DexiUA01G0001950.1:cds pep primary_assembly:Fonio_CM05836:UA:4839250:4843230:1 gene:DexiUA01G0001950 transcript:DexiUA01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVSSRLGQQDDHEGAELWRETTPNAIIQIIPMQLFSVSTSSEHLNDSSFRLVDAKPPPRQPRFGPKWRFNQRPQLPQRQDEEVEARRRLAEKERARRDRHFQNHRSHHHPGFRGNQSSSSAKPSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLVCGAVEFYDRAYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDIVVQRVGNKLFFDKRDGSQLDLLTVNETAQEQLPENKEDINSAHSLAVEATYINQNFSQQVLHRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEVSLVARCEVHAVNADPSGGRQFLTLNAVNEFDPKITGVDWKQKLETQRGAVLATELKNNANKLARWTAQALLSGADMMKLGYVSRVHPRDHYNHSILTVMGYKPKDFAAQINLNTSNMWGIVKSIVDICMKFEEGKYVFVKDPAKPQRRSRFAR >Dexi1B01G0025260.1:cds pep primary_assembly:Fonio_CM05836:1B:30375017:30379329:1 gene:Dexi1B01G0025260 transcript:Dexi1B01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAEEAAEIVREIAAVGAADLAAAHEPLRADCLRLARKVSLLSHLVAEVAEAGEGAGDALAAAWVRELVRALEAARRFVALGRAPPAPAAAAGASDQDATCNNTALQFQYVTWQLEAALANLPHSCFQISDEVQEEVDLVRAQLRREMEKKGALDVTVFTKVHDILAQIDDAGPQSQQPHQQQEQLQVEKNSEDYLELQNAVLLVSEISGLSKSNMLKITSELIEGLENVGTPDSPKPANVDSQSSDETKSSSEEVKKPDSVTIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLIMQWCEEKGIEPPSRSKSDGSSHEVGGNRLAIEALVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESSAIPALVKLLSSKDQKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRTGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIESLVELLQSGSSRGKKDAATALFNLCIYQANKVRAVRAGILVPLMRMLQDSSRSGAIDEALTILSVLVSHHECKTAISKAHTIPYLIDLLRSGQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELAKTGTDRAKRKATSLLEHLSKLQVL >Dexi8B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:8B:20252113:20252591:1 gene:Dexi8B01G0011270 transcript:Dexi8B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADECDGVGLKRLQFTTRTPMSPVETPVLASRASMAPNMTDSASARASAMLRSFVIGGEVSMARGEPDRWRTFCWNARLSAVNTPAAADWRMKVSWVTTFVAREVQQVHRRWSPSLEEEDEDGDEEHDGEREVVGGGAAESGYGGGEF >Dexi9A01G0022960.1:cds pep primary_assembly:Fonio_CM05836:9A:18123469:18125360:-1 gene:Dexi9A01G0022960 transcript:Dexi9A01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAWIVGEKGEDLQGPKEFLPLSKLEDIGVLYWQLDPKKSESEVELAKIRKERGYNYMNMCCFTDQDLIEICPDKLENYEEKLKNFFTEHMHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFTLDSANYVKLMRLFIGEPVWTALNRPQEGHPARQEYVKNVTGNTGFALAAH >Dexi4B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:4B:995146:997409:1 gene:Dexi4B01G0001680 transcript:Dexi4B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRGTQHKDQDPQAQPSKEPRGHNLDELKDLGKDLTSRCSGLPLAIVLLGGYLSKNRDIAKWKGLKSSVHWHGMIGPYNKILKAILDFSCYDMPSNLRWCFMYTTAFPEDSVIDVRALSRLWVAEGFMQPEINLWLWRSKGQERVSSTSGMWVIDANLELQQLSGEEATWCTSAGNVLASTVSPKAQGQLSSQNAVAVPLPMLTREQREQSFPVCTFDVPQGPRPILPNRSFKRAGMRSGHSVAESPIHRLLSAGKRDTNATRESKPLRLRGVLQRLILLRIAHFVHIPI >Dexi4B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:4B:5894028:5895945:-1 gene:Dexi4B01G0008160 transcript:Dexi4B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADARSTEATDEPSWLEIEEASPFTAKKTDNTTASSDVRRWSSVVNGTLVVLIMTMTPVLFFLSGGLTSPTVWINSTISSIGTSQTTQRGPKRDVLVGGLLVPGFDEQSCASRYQAAYYHKNMTRPASPYLIKRLREQEALQLRCGPGTEPYTRASERLKSGQTTNDTDDVDGCSYLVLISYRGLGNRMLAMASVFLYALLTNRVLLVDTGYGNTLADLFCEPFPGTTWALPHYFPVENFKELGEDAPESYGNVFVNRSGSVTGLRFVYLHLDHAASPANRLVYCDDHREFLHRAQWAIIRTDQYMAPGLFFNPAYQEELSRLFPKKDSVFYTLSRYLLHPTNDIWGMVTRYYNSYLRNADERLGIQIRVFDNSDKPFQQVLDQILACTSQEHLLPGVVSTSGVAPALPTAGASKSMAVLVTGLSSWYHDNIREMYWKSATIDGEVVSLYQPSHEEHQLWFHSKHDMKALAEIYLLSLTDKIVTSGWSTFGYVGQGIGGHTPWILFRPMNYSEPAPDPPCTKAMSMEPCSHGAPGFECTRKDISTNLDTGVLLPHVRPCEDMSWGLKLTDPAIEKKV >Dexi7B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:7B:4064955:4070341:1 gene:Dexi7B01G0002380 transcript:Dexi7B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAVAAAHAVLRPPPLSWAGGQVDLLLRVRLLVLEPSLLLLNRHLLGLQIKPPHLLSSEARGEGEREGDVRSGVRLLTSLRYTRASTISPPSFDARCDGEREATRSSGRGGVT >Dexi4B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:4B:22683257:22686432:-1 gene:Dexi4B01G0020530 transcript:Dexi4B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPQDYAAAAAAMAYAQAQQPPPPQYGGYHPQAPPQYPPHPYGAPLPQFPPAPYARPMPPAYSHLPPHQQPPPPYAAHPPPPHVMSTPSPPPHHPYMHPPPYESAPPPAAPPADPELQKRIDKLVEYIGKNGPEFEAMIRDKQHDNPDYAFVFGGEGHAYYRYMLWLLPRPPAPYPPGSMHMMPPMGPMMRGAPIHQAGYPPFYDQHQQFASAHDHGEYEAAVQPFKGLSGPLPADVAAELQDVLNNLNGTKESIKGAKSWFMQRLPFTPALAEALRERVFSLEDSERQLHIIFLVNDILFESLQRRANIRDLDNEAIAFKSVLGSMLARIYNNPQSQDDSQTRVDKILQFWGSKEVYDQETISNFEREMKGGLAYPLVQRHVSPDPSTFSAILKIFAVFAGSAPVPSKWSSEPPEKEKIHPISGPPLSAPSVQFSANQLPAGVYPPVGQTTFPVSLPVQPSLTPSVIPQSTAAPTNDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILERVSKFFSEIGEVNPSEGPMRHNEHDDYDDYERELPARKGGACIPPPPNLLVNPETGMRADGSVESKPGSSGRLGLGATADPNEVSQYDDVYSSYRKQRSTTYHSFITARSTSR >Dexi5B01G0024660.1:cds pep primary_assembly:Fonio_CM05836:5B:26697868:26698551:1 gene:Dexi5B01G0024660 transcript:Dexi5B01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPNLPLLFLLSLLFRSPRSFSTPRRRHSSSPEILSRRPPPDILHGRIRPSRGWPASFGYHQQRVESDQAAAAPGRAAAASRHLRRRAPSPANRAYLDIQETPLDVCGTHTTDRSSSQRRSASPGPRCRRRSRCEASLQLQWPASCTCTNGTEVEALSMPLSTASSASSLERRERMAGTVVYACLSGTGSPGPNGAAAGVRAQVLKLSLRATIFSELSSIEANNL >Dexi4B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:4B:16179405:16188428:1 gene:Dexi4B01G0014960 transcript:Dexi4B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEESRQHAQARIPRRRWLDSPSIGRLQQGRWKQGRLAGGEEDVVLAIHGGILAAGGGGPAGGARGSSGGGRSARVAPVRAGDSSSSGSGGGSHGRGARVAPASGGGGGIGSHYHFIEANPYLVFDRERAYGMRLNILAGTAVRFEPGDAKSVTLVSIGGRKVIRGGNGIADGPIDSSKINEVMQKVHGNSFGHEDYPDAREGLVGDGPFDCTVDREKYTSIYGPTTGDKIRLGDTSLFAEIENDFAVYGDECIFGGGKVLRDGMGQATGYPESSCLDTVITNAVVIDYTGIYKADIGIKGGLIVAIGKAGNPDVMDGVHSNMIVGVNTEVIASEGMIVTAGGIDCHVHFICPQLAEEAITSGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPINMGFTGKGNTAKPEGLAEIIKAGAMGLKLHEDWGSTPAAIDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRAIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVGKLADLVLWKPSFFGAKPELVVKGGAIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKVDQLYMLIF >Dexi5A01G0031670.1:cds pep primary_assembly:Fonio_CM05836:5A:34275344:34276097:1 gene:Dexi5A01G0031670 transcript:Dexi5A01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRLTLLKLFGSWLALRLKVLEFQYVEADLGNKSDQLLRNNPVLKKVPMLVHGGRALPESDIILQYPDDAWPESRPLLADAFDPPHARPVLLGPAMGRAVFASTGEDQEAAVRQVHDNLALLEADLRNGTFRGPCFFDGDEVGLLDVVLGYGSYWLPMFKDVTGVRLMGADVLPRFHAGCATLRLPPRRCS >Dexi8B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:8B:14821749:14822087:1 gene:Dexi8B01G0008930 transcript:Dexi8B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGYFEEPSEFDPAELTNFNLSKMSNQSQPFIGNWVQCRETLNLGDSDKQVVCGKWRRI >DexiUA01G0011470.1:cds pep primary_assembly:Fonio_CM05836:UA:23032256:23032558:1 gene:DexiUA01G0011470 transcript:DexiUA01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGERASSSHELAATADELAMGRSPLHPGSGKLVVHAAPSAPSSLGSSPPPPRSSPWQVAVASRNLLHDAREPLAVGYAPPLLLDTSLAGGFGSGRTR >Dexi4A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:4A:12899882:12900226:1 gene:Dexi4A01G0013420 transcript:Dexi4A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLASDLDGGFCGANMISNASSTSWTVARELGRGLMTSRCFAPEPFSASVLCCPDPDELPLDDEPLPHSRPATQAATPRNEITTITRSTGPKDPGLSSATDLPERCTEPEEG >Dexi5A01G0037440.1:cds pep primary_assembly:Fonio_CM05836:5A:38797416:38798525:1 gene:Dexi5A01G0037440 transcript:Dexi5A01G0037440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANVNSPAPVEAATAAAASTPRSRLPRWTRHETLVLIQARRGLELPVRPRPKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEANVAAVVSGEQQHESFWDMRGEQRRARQLPSSFDREVYDALVGGVEDAATAPPGFGGDAELDGVYRKPPIMVMPISVTESDKKAGGAASDKNSTSQHDGGGGFKDSDATFVAEAEGTGTATPAATLSIGKQVIEALERGNRAMAQHMEAQERSWRADREQRAALLGALDRLAGAVARIADKL >Dexi2A01G0024120.1:cds pep primary_assembly:Fonio_CM05836:2A:35820877:35824608:-1 gene:Dexi2A01G0024120 transcript:Dexi2A01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKQRIPYSTAGIGGGGSRAASGSGVLPPVVVLVFLFVVAPSLFFVVRNGGRGHVHVASGPIFLPSLSPYVELGALCKMMLWESNDIFCLPADPKGRDDNQEAVVVNNLKSILPKEVIDAIVSSQQEEGTSSLDFFTNHASPSWKTDDLVTEKSMYVDDKSKNSVAEHDLPVNKSPKDPDEHQVDTAEKIARRKLREKRREKRAMELVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSALAKSKNKSGLFQELQTRIKESQRAVGEAAADADLHRSAPEKIRAMGQVLSKAREEVYDCRGITQRLRAMLQSADEQVRSLKKQSTFHSQLAAKTIPNSIHCLSMRLTIDYYLLPLEERKFPRNENLENPSLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHISRNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSDIEGAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >Dexi9B01G0031510.1:cds pep primary_assembly:Fonio_CM05836:9B:33856889:33860623:-1 gene:Dexi9B01G0031510 transcript:Dexi9B01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEMDGVAFHTMPNFDFLSGNGYSVKQLIHSNSDRDSSSTKSEQSGQALSAVSDSSLNGQHTKTQSGLFSPSDNKDSCGKRDQSMVKSVLSFGNPEAAFSPPKFDYSQTFACSSYTADPYYGGVLTGYASNAIVHPQISGATNSRVPLPVEPATEEPIFVNAKQYHAIIRRRQMRAKLEAQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQQLLQKAVPPSLQTPTGGVGKMTFGRSLCPENSTSHSPSTSSGISSVSNGGGMLAHQEHISFSSTNFLPSMNFRAENGSEKMAINGVRHRNPS >Dexi6A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:6A:22133897:22135997:-1 gene:Dexi6A01G0014750 transcript:Dexi6A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLIEDTAWQPVWQREIESSPFLRKAIIFGYGPIRPWMSIAHWLIWHFDLKKFRPNEVPRVKISLASVFAFMAVGWPLIILKSGLAGWFKFWFMPFMVYHFWFYRIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPEESQPIKFLRKFMPDYA >Dexi5B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:5B:15599351:15600437:1 gene:Dexi5B01G0016550 transcript:Dexi5B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGDAIWAEFNESENHILPYPNGAKDSMLVSVGDHKNNDKEAASIAGIAGQSAGGQTELRGMEKQHANPTSAHFSATRLDMESWPDLPSLNPAFDRNYRDDNIGSTYLDFSAEPSLHKVTGNTAVQLDGKCEVFGNDHEEKSSSFLDCDWGNIGDFDDFDRLFSSNDSMFGNEMVTNGREFLSSLDLMDNAAQSIPIPQVPLSKQPSAEHGPSFLLVNEVSRGISKQESKVQR >Dexi5B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:5B:12804352:12810115:1 gene:Dexi5B01G0015570 transcript:Dexi5B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCNKATTARNLGTTGEEITAVMAAKGRTELEVGADGVAVITICNPPVNSLSVDVLYSLKESYEEALQRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQTVQPKVGYIAIDILTDTLEAAKKPSVAAIDGLALGGGLEVAMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHELGLVDALVSPNDLVNIARQWALDIYELRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVVEEGIVAGPRAGLWKEATAFQDLLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKISKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTDERYEKAMSLVTGVLDYEHFKDVDLVIEAIIENVKLKQQIFSDLEKYCPSHCILASNTSTIDLNLIGEKTKFQDRIVGAHFFSLRTIEYLTDGFKIVALFLLLVSRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRAGEATQKGFYKYEGKRKATPDPEIMKYIEKSRSMAGVTPDPELLKLTEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMYWADSMGAKYIHGKLEEWTKRYGGFFKPCSYLAERAAKGIPLVSKSLCH >Dexi8B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:8B:21362403:21368588:-1 gene:Dexi8B01G0012110 transcript:Dexi8B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAECDAAQVVGADGEMDAAAVERFAAASGLPARGLSYAVVSILGPQGSGKSTLLNHLFGTSFREMDALQGRNQTTKGIWIAKAVGIETFTVVLDLEGTDGRERGQDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLRTVFQVLMRLFSPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWDSLQKPEAYKEATLSEFFNVEVSALSSYEEKEELFKEQVEQLKQRFHHSIAPGGLAADRRGVVPASGFCLSAAQIWKIIRQNKDLNLPAHKVMVATVRCEEIANEKLRDFLSNKGWRELEEAVKSGPIPSFGMRLGAILDSYLSEYDMETMYFDEGVRTAKRKQLESSMLDHTYPALETVIENLHLATLDKFRSDLEQSLRSREGFTASVRQCVQASMAEFEAGLRDAAVKHVEWDASKVRNKLQEHIQAHVESIRNGKLAELKAKYEKNLSDALAGPVQSILETGERDSWACIRRLYRRETEHAALAFSASLSEFDLDQTISSKMVSDLREHARSVVAMKAREEAENVLMRMKERFFTVLSRDRDSMPRTWIGDEDIRAITREARLEEAHRRSNKWLPPAWTILLLAILGYNEFMFLLRNPLYLLGLSVAFVLSYAIWLQYDITAYFRHGTLSALLTILSRLLPTIMEIMTAIVNMSHGQKHSPHRSHHPPVLHAAQSFMNQTWQHGQAQVHYQSPDSPSSSSSSVNSNSGDES >Dexi3A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:3A:819189:822193:-1 gene:Dexi3A01G0001100 transcript:Dexi3A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRKGPNARPLPPHLVKLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPSEEQWPGVSDLRDWHEFPQWKPQSLARAVPTLEPQGIDLLSKMLQLDPSSRISAIAAMEHPYFDSLDKSQF >Dexi2A01G0033680.1:cds pep primary_assembly:Fonio_CM05836:2A:43860223:43863140:-1 gene:Dexi2A01G0033680 transcript:Dexi2A01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAVAGSSRGRCFSFAAARDRCFSHRFLRAGLRPLAIPLPAPAREPPVADPDPDPTAAAAAVHATTVHMWVPSRPPRNPLLLLHGFGASATWQWAPYLRPLIAAGFDPIVPDLVFFGGSCTRLPDRSDAFQAATIKAAMDVLGVTRFGLVGVSYGGFVGYRMAAMFPEAVERVAMICAGVCLEEKDLAEGLFPVAGVGEAAALLVPRRPEEVRRLVRLTFVRPPLIMPSCFLWDYIKVMGSDHIQEKTELLQS >DexiUA01G0003780.1:cds pep primary_assembly:Fonio_CM05836:UA:7809352:7817449:-1 gene:DexiUA01G0003780 transcript:DexiUA01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIAEGGPWIKSGNSHIGRETWEFDQNFGSNEERDAVDSAREEFQKNRFRMRHSSDILARMQATLIYIYEHCVNNYEMSRETNTDKVKKLQVKQYENLAAQNITLFLQLAKENNFTFDLQKAKDETPTDINPNTISEILRKALSYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLSITLSSEHRKEICRYLYNRQNIDGGWGLHAEGESSMLSTALNYTALRLLGESVDDGPDMSMPKARKWIHDHGSATMIPILGKVWLSVTILSIESHNCNSLVSRSNITLHLSCITLDLSQVLGVFEWSGVNPIPPELFLLPSLVPIQPAYPNSLGTKGYVVVVVVVVVVVVEDVYNPHTWLQECLSDCLYSFGEPFLTQWPVSYMRKKALQQIAEFLKYEDENSQYICIGAAQKVCVGQLWDVAFAVQAILACNIAEEYKHTLKKAHDFIKASQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKIFNMTEIYADIMVEHQYVECTSSVIQALALFREKYPGHRKEEIGQCIRSATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQNYGNSTCIRKACIHKSGWEEVTYSEHCMGNVGTNESWTGGKRSHPTAQSSKTYHEHAAGQWRLSTRGNDWKFLEKWSFVLHGLSQHISYMGSWRVPEIDPPVWPPTAKLLADS >Dexi4A01G0023500.1:cds pep primary_assembly:Fonio_CM05836:4A:26557351:26557830:-1 gene:Dexi4A01G0023500 transcript:Dexi4A01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWYCGNSYGDYWEGRACNWESFPDREQMMRSLATQAEPLRTRTTLEIKEEIRRKNGVPLPSRHNLFGPVAIDYAANYGKPTKFNTGIVEHLIKFWRDNRDKYNFAVTTEGKMKEAEERMQMMRKEMLRR >Dexi5B01G0032190.1:cds pep primary_assembly:Fonio_CM05836:5B:32810478:32810690:1 gene:Dexi5B01G0032190 transcript:Dexi5B01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGKRQRPAPRRSVVLLLRLASCPSSPVEREREAVWRRPWMGLSQLQQPIEGGHSSAVRTPDGANRL >Dexi3A01G0021860.1:cds pep primary_assembly:Fonio_CM05836:3A:17448670:17450007:1 gene:Dexi3A01G0021860 transcript:Dexi3A01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIDLSGDGGVLKTVVRKAKDDAIAPSDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEIGQGAVIKAWDMALRTMKAEERLKELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >Dexi3A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:3A:13994352:13994966:-1 gene:Dexi3A01G0018300 transcript:Dexi3A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLDPLASLGLGYAIAIALGFLVLLASVLLASYFCLRRGAGEGFGAGGGGGVGASARHAASSASSSGHISITVPRVVFVAEDYDSPGSSSRGAAAAASPVGLDPAVIASYPRVPFSGAALGADAEVACSICLCEYREGEMLRVMPECKHRFHLTCLDAWLRRSASCPVCRSSPIPTPVSTPLSTPLSELVPLSQYAADRRRSR >Dexi1A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:1A:2900735:2905779:1 gene:Dexi1A01G0003960 transcript:Dexi1A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGVMRSSENKAVCSNSGRSSKRSKETLSESVPVSSRPSRRNASRQCKTSSPLKRSPRKVRNATLAKSIKNKYHCSPLKRRRGLDSVAAKNVTGLGARRKKKRKRQNIDEATRLERRARYFLIKIKLEQNLLEAYSGDGWNGQRSCVQHASLMNRFPAIKLSSVKGPAKGHIMRNVRNLKKRVVVIFDVFKEATEQIDSEDALDEDWLSEYSGDEDYDPEENEASNSCMNSGDEMSDDSNGSGSPLYSPNDDIPDFISADFNEVEGFCQANLDLSIDSGEDCAQILTYQRPRRDVDYRRLNEEMFGKIIENEEQSEDEDWGHGGRKKRRTRAGSARDNSVEGFFNATSDEQTQKKGRKLFRIPPAAVEVLRKSFAENELPPRDVKENLSRELDISFEKAEGISHSSAPSKSSRSRGQAGISGKAERNGQIAAFSSNFRTNEEKADISGMVDLGDNSCSVPLSEIINVPTRLQRNIEKRKMESTNSPVRPHNKGACSPTGQVKVNYTKLIVPEDLKKDLSHATNNEVLAEEQAASQMDTGAFSEEQAACQMDSGAYAEEEAAPCVDVGASDYQPFLDVIDEMCGLECRLQRLKKNMLSSGAEGCAKSGSDMKNQAVVLVPSAELKEKA >Dexi5A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:5A:10395120:10397964:-1 gene:Dexi5A01G0013740 transcript:Dexi5A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKHLVLVTCFWLLSCALLLDASSDGLLRINLNKKKLDKEALTAAKLARQERETCTITYGSGQIAGFFSEDSVLVGDLVVKNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGGAPPIWQSMKEQNLVAEDVFSFWLNRDPDASAGGELVFGGVDKKHYKGKHTYVPVTLKGYWQFDMGDLLIGGHSTGYCADGCAAIVDSGTSLLAGPTTIVAQVNHAIGAEGIISTECKEVVREYGEMILELLVAQTSPQKVCTQIGLCVFDGTHSVSNPIESVVEKEKLGSDLFCTACEMAVVWIQNQLRENKTKELILNYANQLCERLPSPNGESTVDCNQISEMPNLAFTIANKTFTLTPEQYIVKLEQAGQTICISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGENRIGFAESA >Dexi4A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:4A:15475642:15476403:-1 gene:Dexi4A01G0014060 transcript:Dexi4A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLSWCYGSSDDNWDLHAVVRFACGGGGGHVTPPKASDESFSWLPLPQKDEKMNAAWWPPLPADEDLCLFAAPKPDTTQPASPRNEAPPQQPLAKPRRTSYRNGGGPARSKRKKKKIQVSKEVTRVPAGAASPDPWAWRKYGQKPIKGSPHLPLRHHAQQQQDAAAIIHLRWRGGTTAAAGCAKLAIRQQIGDLAAAAAGASRAAPIHPAALFAQGSGTRRRRG >Dexi8A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:8A:7051666:7054275:-1 gene:Dexi8A01G0006780 transcript:Dexi8A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSRSIHVAAASVVVVFFFLLSTMAIPAAADVVEHTFVVSQMNRTRLCKETLVTVVNGQLPGPMIEVREGDSVAVHIVNKSPYNITIHWYGVKQQRLSCWADWDRKEPCGGMHMTSASGHPCMAPSSSDRGTVQGEWWEQELAQLDTNMRDGFFADNPSASTVNGKLGDLYNCSGVAQDGYVLDVEPGKTYLLRILNAALLYEYYHKFTVVASDPNYVNPYTTDILAISPGETVDALVVADAPPGSTVIATSHMVRLRKKKGNLTSMDHRLRPTVPTGVDERLFFVLGVGKTSCQRAQSCTSRKGGDWNILVATMNNISFELHSEMTSLLETHYYNIIDMDVLRELPDRPPRASNYTDRSLIPEGPKEAQLEQTSKATVARWLRCGTTVEVVFQSTALLQTDAHPMHLHGYDMFLVAQGLGSMTLRRTCMVHALPFSVTFVNQSHLSMGMAAVFIVEDGPSVGTSLPPPPTDFPRCPLDNNLVPDELYMRTRKDNFVSRINA >Dexi5A01G0037030.1:cds pep primary_assembly:Fonio_CM05836:5A:38384169:38387185:1 gene:Dexi5A01G0037030 transcript:Dexi5A01G0037030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRAVALGAAFLLLLVALPSAFLYLTSSAAPAAASRAALLNLKPFSARCPPAAAANPPPLRVFMYDLPPRFHVAMMTADAANATAGGGSFPAWPPSAGGIRRQHSVEYWMMASLQDWGGGGGVGSERREAVRVRDPEAAEAFFVPFFSSLSFNVHGRNMTDPETEADRLLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVGSFIDDDPPDPFEARHTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFENSFATGDGIKISTEGMWSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYTEFSVFFSVEEALRPDYLLSQLRQIPKKKWVDMWLKLKNVSHHYEFQYPPKKGDAVNMIWRQVRHKIPAVNLAIHRNRRLKIPD >Dexi7A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:7A:30173455:30177153:1 gene:Dexi7A01G0021620 transcript:Dexi7A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRLAPAALSPACAVVCFPCRPSSQRVPRPASRSALVCLAATPKVPLPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMVTLMEDMEMDGAFQQVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAHKDSDDERKSLLEIIKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNEKGLKCQIPGANLNDIANQADDLLETMESRDIIPDRKLLARLVIVREEARNMMGGGLLDERNDRGWTTLPEAEVNFLSKLVALKPGKALERMIRDVMNGKGEGADNIGQSIADSPSEQEHLAGVSGKGSVSGSKPRPVRPGMFLETVSKVHQTTLKILQEMAF >Dexi6B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:6B:21661998:21665059:-1 gene:Dexi6B01G0013980 transcript:Dexi6B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSRRRKPRPPPPSPGTVDAVPPEVLEQVLSFLPLRDAVRTSAVCRAWRRLWESAPGLALEWDPDTDTDTDVADGVLSRYSGPVRSFVFDLRQGSFWRADDWLPLLAAKGVQTLMLHFIRDRVSPSRYYMDASIFSCRELTSLDLSGGCQILAAPSGLAGFPKLTRLCLRKVGFPHNGMSGLEAMIAESPMLEVLFLDRVGNPDEDEDEDEVEEWVIQAPNLRYLTMYSEHDNGWQIEGLPSIEEVEIMTEGDVHNRDLVKLLTGLARVRKLKLEIPNFSCHADEVEVGVDLLNVD >Dexi9A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:9A:12848745:12849005:1 gene:Dexi9A01G0017760 transcript:Dexi9A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFFPDECAGADRPRHLQLRVADLLLPSSAAPPILPRRAPRSPASTPWSPRRRTTTTPIDPPGSLVALTDSSTTRPNQAPEATE >Dexi5A01G0033570.1:cds pep primary_assembly:Fonio_CM05836:5A:35891690:35895260:1 gene:Dexi5A01G0033570 transcript:Dexi5A01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRASHAHCSLPLLLFLIHACVHLSTPVAVLAERTGYIVHMDKSAMPSHHSDHRAWYAATVASVTDASADYRAEPQLLYTYDEALHGFAATLSASELRNLRGTPGFVSAYPDRRATTLHDTTHSMEFLGLNSVRGLWPASKFGEGVIIGMIDTGVWPESASFNDAGMPPVPSRWRGTCEPGEDFSSSLCNRKLIGARYFNKGLVAANPNITLSMNSTRDMEGHGTHTSSTAGGSEVPCASFFGYGRGTARGGAPRAHVAMYKVIWPEGRYASDVLAGMDAAISDGVDVISISSGFDGVPLYEDPVAIAAFAAMERGVLVSASAGNNGPGLGTLHNGIPWLLTVAAGTVDRQMYAGTVYYDDTRGRNSIVGVTTYPENAWIVDSKLVYNDLVSSCDSTEALANSTADIVVCRDTMSLNGQLATLTEAGVAGAILISDEGDDFEDNMPLPALIISPKDAPRLLSYINSSWLPTATMKFQQTILGTQPAPVVTAYSSRGPSQSYAGVLKPDILAPGDKILASVAPISPSAAIGETQLISNFLVESGTSMACPHASGVAALLRAVHPDWSPAMIKSAMMTTATTTDNTNSPITAAEIHDTTVASPLAMGSGHVDPNAAMDPGLVFDAGPEDFVALLCAANYTNAQIMAITRSSKAYNCSVASSDVNYPSLVAIFGTNATSGDMHFKRTVTNVGEGSSVYHASWVSPSNVDVSVSPGRLEFRSVGETATFEVDIKLTASTGGEPAFGAIVWADVSGKYRVRTPYVVLCFAFRAFSTFFWRRQSSLQRASVVGEAAVEASCSTPARFRVGGFGFEIGSAMLVLWPRGGFSGGGGRRRPALLQSFGSAGEAA >Dexi2A01G0035970.1:cds pep primary_assembly:Fonio_CM05836:2A:45646003:45649190:1 gene:Dexi2A01G0035970 transcript:Dexi2A01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGPHPSPFSSAADEARGEEMALYLLFESASVYALFHAYGIDEIGQSVDAVRASVLDLQRFGKAVKLLGFSPYSAAADALNQCNAISEGIMTDELRNFLELNLPKVKEGKKPKYSLGVMEPKVGSHIHEATGIPCQSNEFVHELLRGVRLHFDQFIDQLKASDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKIAKSVVNKSDLAEKDIPALADVTGDEEKAKEIVEAAKASMGQDLSPVDLLNVQLFAQRVMDLSEYRKKLHEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLSKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRATAGNKGRMARYLANKCSIASRIDCYSELNTSLFGQKLREQVEERLDFYNSGVAPRKNLDVMKAAIEGIANAVSENGDGNEKSDVSAEKSKKKKSKAEAGGEAMDIDNPAAGEDEPQAEKKKKKKHRLEERMDEGTVTEAANDDVKQGESPKKKKKNHKASEDVELKAATVGKKKKKRSKTNDDDV >Dexi7A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:7A:16003497:16003868:1 gene:Dexi7A01G0004980 transcript:Dexi7A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPVELDFLGLRPAADAAAADHLHGSTTAASSSSIRGMKTSAIASIGARQLRRVIAGGDEAPAPAPMTLFYNGAVATFDAVSQDKAS >Dexi1A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:1A:5890534:5892048:-1 gene:Dexi1A01G0007630 transcript:Dexi1A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSGRSFIPPIHGYGWQQVTAWFDMARRLTLDQNPRDVGECDRLSKLPDDLLHHILRFVDTRQVVTELSTLSRRWRYLWATTPFVTLRSGNNVSEKFGNMLLLLRDGAVPLRTFQLPECVFSCATLEEFNLSATGVKNSIAPSSVCLPSLKKLHLNLVEFADPYLVEKINVGCPALEDLSLYRCSLGSFKMLSETQNDLAQNGYDLAAALCNAQHLEIFQFNLFLQDITENLALEGLSFCKLKSLYIGEWRITDFYGPLACFLRRAPNLVALTMDQWKSMEKKPTAELKLVSALTKDLEKLLIRLSKGDDIGEFRKVRRLLKEKAKPKETEIVWF >DexiUA01G0015160.1:cds pep primary_assembly:Fonio_CM05836:UA:31858513:31861193:1 gene:DexiUA01G0015160 transcript:DexiUA01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQRTAKDRLQHLYLVFDDWHSGYSIRKVSLSRRSGKRVGSGEGAEPLPEVFMRIQADRGSSRYFTVDVSSYGVLPDGFVLLSTKRTTFILDTKEYVWKPYGNWVVPFTGRGHSDTSLEGFTLGYLYCSTMASIGTGDTLHPSPGFKCSKDKVFNKNPAERHVSANLLHMRPGKFCIVECVSIDNGRADQELREPGAAGGGGCYMYRVKTFSLGYDAKGDLKLKDSRVRCYSLPQEVTSDFLYDDEVSRCLNLQGSIERGGVFMPEPSRCMAGFETAALARRGIPPPTGDPPPPGSGSALAASAPPLSLPPPPLADEPPQASSMSGHGGKLPKPKNPNPHPQAQEP >Dexi7B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:7B:15743639:15746844:-1 gene:Dexi7B01G0007930 transcript:Dexi7B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPRKSRSKSKAKPGSGAVSAASSPRKSVDLESPSPSPSPTPRGIREKARSLDSPAARARGPAAHGRVGYKLPEPVGTLYEEAAAAPGDGSSSAEASSVCSVGSLDEAQEQHGFSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYLGSDLTDEALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHVCSIYILTLPLLRVCLAFPT >Dexi7B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:7B:2276386:2283305:1 gene:Dexi7B01G0001310 transcript:Dexi7B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNERPPPPGRPVSAFVPGAAVPPPPFAAGGQLAPQPRQGVPPPQSGAAAPPFGAAPPAAMGGYRGPTPSQGPFAAVPPPQRPFTSGPPPQGPFTSAPPSQGPFASAPSSQGPFASAPSSQGPFASAPSSQGPFASAPSSQGPFASAPSSQGPFASAPPSQGPFASAPPSQGPFAAGPTPPGPFGAAPAPFRPPPSSSSLGQPQSPTGGALPPPPNYARPPPLQSQGFYPGARPTNPQFPMSRPAFQQPVQTMPPPPMGPTAMFGNQAAYPSTGPPVGGTLQSLVEDFQSLALSSAPGSLDPGVDIKGLPRPLDGDEEPIKLLESYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVINFGSAGVIRCRRCRTYVNPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRFDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLESSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFHDNVNVESALGPALKAAFMVMEPNKIDGSLKRLPLTMQCLDTGGLYLLDDGFSFLVWLGRMLPPELVNNILGVSLANFPDLSKCNIIWGCMCTNEGFIADSVERMRQRVLKKFHENTRNPA >Dexi8B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:8B:9617925:9618560:-1 gene:Dexi8B01G0007750 transcript:Dexi8B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESSPPAETQRRPSPESFSFIGVRKRPWGKFAAEIRDSTRRGARVWIGTFDTPEAAALAYDQAAFAARGAAAVLNFPVDRVRESLAALALAGGGGAAGGGGGSPVLALKRRHSKRMRRSKLSPASKSLLKTTTPRQTTIHFSSVPGASGMDAVVDQKFKDASSPWPYGRVELEDLGSEYLEELLRVSSELQY >Dexi2A01G0023200.1:cds pep primary_assembly:Fonio_CM05836:2A:34963943:34967659:-1 gene:Dexi2A01G0023200 transcript:Dexi2A01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSIVASSLPRCSSSAAAPTMRGQPSLLPSVRKQWLGKPLLYGIGSLLVMPLRTLHGVGRVFGAGRFLCNMTSVSSSLQIELCKCLVAVLTEEFSVPCLRDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDKDRIPGIDITLKEGDTWMFAGHQVLVLETPGHTSGDTLFSLSCGKLFEGTPQQMHSSLQKIVALPDETKSNSKFALSIEPGNKELQEYATYAAELRNKNIPTVPTTIGREKQCNPFLRTSNPEIKRTLSIPDHFDEDRVLEVVRRAKDNF >Dexi6B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:6B:8629963:8632005:1 gene:Dexi6B01G0007400 transcript:Dexi6B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAKLAVLMALALLGPVSGESGASYGYGSGYGYSSSSSNDGASAAAGGGGGGGSGGGGGYGYGYSSGSGGAFASGGGGGGGWSSYKPTPPPTPKAPTHHSMSPPPTHVPIYSLASPPPAPQSLVSPPTSPPTLQILYPSPPPTPQPPTTPAMSPLPTPTPQPLTNPPTIPPPNAEPPNPPMMSPPPTPTSQPPTSSPMNPPSTPIPQPPSSPPTISSSTPTPQSPSSLPTIPPPMPNPQPPTSSPTSTPPMATPQPPTSPPTSTPPIATPQPPASPPNIPQPPASPPMSPPPQGAGQLIVGYYKDKCGSYMDVEAIVMKHVSQADSGIKAGLIRLFFHDCFVRGCDGSVLLDPTSDNPQPEKLGIPNFPSLRGFEVIDAAKAELEAACPATVSCADIVAFAARDASVFLSNGLITFAMPAGRYDGNVSLASETLPNLPAPFAGAAQLMQNFASKGLDVADMVTLSGAHSIGRSHCSSFSDRPSDMDPTLAANLTAHCASANGGDGTVAQDYETPDELDNQYYRNVLDHTVLFVSDAALNATDTIGLVRSYADTPSMWQVRFGEAMVKMGSVEVKTADNGEIRTTCRFVNTRAS >Dexi5A01G0022030.1:cds pep primary_assembly:Fonio_CM05836:5A:25932281:25938529:1 gene:Dexi5A01G0022030 transcript:Dexi5A01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVESRAKNRQLEAARTTMKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGHGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEEHVKVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQHICSVGKVPREPKLAEWTERATKFDKLKTPVGFITHCSSYCSQGWDYTLCFLANGLFKVITVAQLNFQVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSAKETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPAATSPCVLFMPEGSKTHMGATMRLGSRRTYFQVIGCKSAKLYGNATSVEERHRHRYEVNPDMVPDFERAGLQFVGKDESGRRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCNNPMKTPMPGKVPKRKLYPTPVKNPLNGLVNGYYPNGTGIHT >Dexi2A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:2A:10345033:10348545:-1 gene:Dexi2A01G0009510 transcript:Dexi2A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHADAGGSLPVPNVQALAQNYNMSEEQIPERYIRFEETAEEVIGGHDMSSAIPIIDFNKLLDLESSKKECAKLGSACRQWGFFQLINHGVPPEVIPNFRNDMTEFFKKPLEEKKVYSMEPGNLEGESIDMYSSEAAKIVSCLLRFVATDMGVEPESLLQRFQGQPQSMRMTYYSPCKQADKVVGLSPHVDCAGLTLLLQANDVQGLQIRKDGKWVTVNAVDGAFIVNVGDILEILSNGRYKSIEHRAVVHPTKECMSAAVFHHPCKDATVGPLPELVKKDVSQTQFTAPWPEWKAIDDSLSAAARLEEGLAKPTIIRQSKSTSSDVLPE >Dexi6B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:6B:24859465:24860490:1 gene:Dexi6B01G0017770 transcript:Dexi6B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATKFEFDSSSFTYQFKLNFEETKKGAIGHFVSSGEISAGGHLWSIKCFPRGKKNEDKGEYLGVYLHHESETKDAKAIFKVFVMDRDGAPSSSHKRLGSVVDVYKPKGSTTGSSWGWGQFVKRSDLQTLYLKNGSVIIMCGVKVVHDDPNPITVPPSDIKSHLGILLDSKDGSDVSFDVGGETFRAHRAVLAARSPAFKEQLLSCCTVADAKMPSITLHDIAPATFKAMLRFIYTDDLLEDVKLSAETFQDLLAAADRYALHRLKLMCVRKLWDDVSVDTVGATLACAETYSCPELKKKCMDFFAVESNFKKAVLTDGYVQLVQKFPAIRAELRAKVGA >Dexi2B01G0024510.1:cds pep primary_assembly:Fonio_CM05836:2B:33938062:33938396:1 gene:Dexi2B01G0024510 transcript:Dexi2B01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIDNVNNDIVEQEESTGSIPSPLLRSTRPNKRLRSKVWDDFIPTFVDGKVPWDSEEAKAAGAYTLAIYTEEHSSCQL >Dexi5A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:5A:8004565:8007886:-1 gene:Dexi5A01G0010660 transcript:Dexi5A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQHREEDALRGQAVKNQKICLLSESLPFFVLCSLKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKTSFCDHDKEIEQAYEDLSDSSKQTLGSMMELQEALLESNTATKDANEIPSASNGEDDEWSGVQRLQKRITTFRNTEIDKWQRKIQVTTGAAALKGKLNAFNQNISDQVAGYMRDPSRMINRMCLTKTDVGVFGEDAGEPGAAAEEERTVEGDPELFDDSEFYQQLLKEFLESCDKGASESAFYDLQKQKVKKRKIVDRRASKSRKIRYHVHEKITNFMAPVPMALPPMAPKLFDNLFGTSN >Dexi9A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:9A:15136845:15149582:-1 gene:Dexi9A01G0020230 transcript:Dexi9A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHHLRFVAAVVFGRVIAAAESIGRHLEVARCIGHRRPSSPHPIRWFGKRGATRRRRRRRRMPNEETGLKKLLGQPNPNTPNISKPSPSFLHPSSAPTPASKKIRAAAPSLTPPPHQLLRVAGSPSRPRACSGPQRPALAPAIRRRVPLGQDSKLQCRPDLLPPDLHLASIPCSSAAIIQGVPFVDEDKSPLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTATPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVALLYSTFYVWLGVDSPGSMKVADLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGHSTGQPLLGAKVVASMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >Dexi6A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:6A:1107944:1110502:-1 gene:Dexi6A01G0001270 transcript:Dexi6A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNCRDSAVACPELRQEQKKKKDKGENWRNVPLSQPSSRARILRRSLLVLFGFGAERAGDGRDGGAAAAADVGGGEARVRRKTQAEQRQTRALQTRGSLPIHPPPLSLPAARCDATKAAVAAASHSMRPPPLPPPPAALSRHHLLRIRRCLPPDWLNAAPARSPSPPPPPPPAAASSFSASSLASLLYTCTAGRARFPGEQVHARAVALGVAAHPSVLPRLASFYLALGDLPAARAAVEIAAGKARAFPWNLLIWGYAERGMWADVVRAYGRMPALGVGADRFTYPSVLRACGELRDAAIGRGIERRIERWMYGLDMYVWNALVGMYAKCGELEDARRVFDGMPARDVVSWNAMVSGYASAGMWGEAFELLQQVPGANIVTWNIVAAGNLKAGNYDEVVRLVSQMRSSQGPGLDFMTVVIGLKACGRNGYLRIGRELHGVAVRLCFDRLERVECSLITMYSRCQMMSSSYCLFRACSVRSMATWNSLLAGFAFMDQVEEAVLLFREMIESSFFPNDVTLLTMLSLSARVGHLCHGREMHCYILKHGLSASNLLQNSLVDMYSKSGQMEAARRVFDQTQCQDRHAYTSLILGYGMQREGLLSLKLFDEMIANNIKVDHVTMVAVLSACSHSGLVTQGQLRFAEMIDVFGIEPRVEHFSCMVDLYCREGLLRMAEEIINKMPFQPTAAMLATLIEACRIHGKTEIGDRAAKRLLAMRTNNPGHYKLVANITPGGLSDDMTDTDFSSSEEVKFTEAFGG >Dexi5B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:5B:6373405:6377443:1 gene:Dexi5B01G0009330 transcript:Dexi5B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIEGFRGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVAWFDDTGKRFFPSLFFDEESDEMTKGDSGNADSTAQGIMVDKVANSPPEVVKQVVLESSPPVPEKPSTVGVLRKKISSVERGSESFLFVQDLFLSGMGPFATPSNILHIHRYSPNDITAQCRFEAFERQMKSTKEARGDANVSVGLCDVDEKGVQYMLLCRMILGNMEAVEPGSQESFPSSEIYDSGSNIPLIQPDNLSAAKELLFHHYEELKENIISREEMVKNMIIIVGEKILLEALKKLHYCPSLWYKPSVEAVSSDTVMAAPEQLSLDKAGRGKSSSMSAEGRDSLALSITPKGNCGPALSKAPKPHESPIANMSTKGHGSLAPGITPKGNSVPASSKVPKRDESTIPDMSPKGHDSLALSITRKAHEGGASSKKPKQVVDTLPESGHSQAQDVAAKVYRVSKPITGEPNKEQAAVPASQAKPSGRSLDASSHVTGAANALVALSTLREKGGH >Dexi5B01G0037120.1:cds pep primary_assembly:Fonio_CM05836:5B:36655690:36660561:1 gene:Dexi5B01G0037120 transcript:Dexi5B01G0037120.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSCLCVSGLDIQKLQQAVKTRWLKPQEVLQILQNHELFTVSHKPPQKPPSGSWFLFNRRVLRYFRNDGFEWQKKKNGKTINEAHERLKVCSFVDNVDALNCYYARGDKNPTFQRRVYWMLDPAYEHIVLVHYRDVLEGSISVSAQNDSSTSNQNGSASRVEVHSSPGWTNTWLEDDQLEAILQPASVTPTENQWFHIREVSPESAFSSEITKVPVEIIQQGAIRCHTPCLNPGKVTMCLVDGNEKPCSEAREFEFHEKATKGMIDGTEKLLNEAQVIKAHQTPTKTLGYDLALKPLLSSGVPINYRDANGWTALHWAARFGREDMVIALLTAGAAAGALSHPTSEDPAAKTPASIALAYGFKGLSAFLSEAQLTTHLDSIESKENGKGEGNISGGGICSAVDRISDKSTHMHGGTDDQLALKDSLGAIRNAVQAAARIQAAYRVFSFKKKKEMALQSSCLSVHETIPVSHDALEKAALSIQKNFRCWNKRKEFLKLRKNVIKIQARVRAHQERKKYKELLRSVGVLEKVMLRWYRKGVGLRGFNSGAMPIDEEVEEDVAKVFRKLRVETAIDEAVSRVSCLIGSPKAMQQYRRMLQRYQQAKVNLPKDASEVPTSKGE >Dexi9A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:9A:14720108:14720614:1 gene:Dexi9A01G0019820 transcript:Dexi9A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKLLAFLAAAVLLVLAPSLAEARRHHHEQPEDPCRDGAGLLRHKDHRCSSPAVHAGGTPAVMTVNGFEKGQEGGGPAACDGHFHSNHEMITALSTGWFAGGKRCHKPIRITSVRTGKTVVARVVDECDSRHGCKNNIVDTSKAVWDALGLDTEVGVVPVTWSDA >Dexi7B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:7B:8031770:8033288:-1 gene:Dexi7B01G0003300 transcript:Dexi7B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNLLLFLLLATATPLITGQLSDYPTANLSTVWTNNNASLKNSVTNIDGSVVRAIVLRSPKTVYGPSFAAGFFCATAPCDVGTFLFAVFILYTNSGAGITMPTTGIPQVVWSANRLHPVQVNATLELTGDGNLILRDADGSLVWSSSTAGRSIAGMEITELGNLVLFDPKNATVWQSFDHPTDALLPGQSLLEGTRLSANTSAINSTQNHLYVTVLSDGLYAFVDSTPPQPYFSQLGNTNKTGNHPTQVTFTNGSLSIFVQSTPPNPDSSITLPAAKSTQYMRFESDGHLRLYEWSNTEAMWAVVFDVIKIFPDNCAFPTAVMFRYFDNESDGDCQWVTKVFSMQSIQPQIVHYNSSAYLKVQLSPSSSAPTANTKKEAEVSRDRRRI >Dexi3B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:3B:4784634:4786426:-1 gene:Dexi3B01G0006860 transcript:Dexi3B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVETLKDRRQEEKLKDVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWYVMHEMVPGKRFDRYHELGQHAFGPRLGLWIILPLQIIVMVGTDIVYMVTGGQSLRKFHDLICHGRTTGSCTDIRLTFWIMIFASPHFVLSQLPNFNSISSISGAAAVMSLTYSMIAFVTSAVKGSSSTTSAAAVDYALRATTPAGKSFGMLSALGTVSFAYAAHNVVLEIQATIPSSPETPSKKPMWRGVVVAYAIVALCYFSVAFAGYYAFGSSVEPNVLISLEKPTWLIAAANLMVVVHVVGGYQVFAMPMFDMIETALVKNLKYKPGFWLRLVSRSAYVAATMLVGLTFPFFDGLLGFFGGFGFAPTTYFICIVIGVLLTLVSSIGGLRQIILDAKNYKLYS >Dexi5B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:5B:8959520:8963196:1 gene:Dexi5B01G0012580 transcript:Dexi5B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKRDEADCTAALLCGDDTRKQELHQQESRELWRRVWEESKKLWEIVGPAIFTRTATYSLNVIMQAFAGHLGDLELASVSFACTVLAGFNYGLMLGMASALETLCGQAYGAKKHHMMGVYMQRSWIVLLVFAVLLTPMYLFAEDLLLLTGQPPELSAMAGQVSVWFIPLHFSLPILFPMQRFLQCQRNNLVNAVAAAAALCIHLLVSWLFVSKLRFGLVGVALTLGFSWWAITAMLFVYVTCGGCPETWHGFTVEAFAGLGEFVRLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSIWVRVANELGAGNGKGAKFAATVSSTTSLVIGLFFWVLIIGLHGKIALIFTTGAAVLDAVDKLWLLLAFTILLNSIQPVLSGVAVGSGWQATVAYVNIGSYYIIGIPLGVLLGWLFNLGVLGIWAGMIGGTAVQTLILAAITVRCDWEKEAMIASTRMDKLSSQVR >Dexi2B01G0025400.1:cds pep primary_assembly:Fonio_CM05836:2B:34661066:34661536:1 gene:Dexi2B01G0025400 transcript:Dexi2B01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAAGESPSPDPPPSPPPEGPPSADTSSSSTAATGTQAPAPPGPGAREVAVAMEAVERDAAAIAESYASLFASLRVALSNVTSTSVENMECLGDVVGRLQESGEAANFY >Dexi8B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:8B:3786252:3787257:1 gene:Dexi8B01G0004270 transcript:Dexi8B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPGGGAGAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYNHSGKTVSQMTVAYLKEKPAGQGSNPSTPSAGSGMRSAAARLLGTGNGNRALSFGSNGTSRAVSASSRIGGNIVASTSASGSQGIANYDGKGTYIIFNTADTLFISDLNSHDKVFPFCLLNAC >Dexi1A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:1A:12280564:12281935:-1 gene:Dexi1A01G0012380 transcript:Dexi1A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDKEVLSPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKHGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREGQLDSIVDGNLNQNYDNEELEMIIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSAGR >Dexi5B01G0025340.1:cds pep primary_assembly:Fonio_CM05836:5B:27395326:27395682:-1 gene:Dexi5B01G0025340 transcript:Dexi5B01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMALASFFDSHAADAVFPAAAMAYHRRPCSGGGFPPAAFHYDGAGSGSSSPAAAFFPTQSVFGLAPPQQQPPADAFESLSDEGVSPAVPVAFGTPPPRMPVEQVVPDVVSGGYSHAR >Dexi9B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:9B:10836471:10837802:1 gene:Dexi9B01G0015820 transcript:Dexi9B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDRRRGRKAPMVAAAGFPDDPLVEIFSRVPFRSLCRFKRVSKAWRDLIDDPLHRCKLRQTLEGLFHEIKDSRRRDRGGNGEAGGDNLRRQGWVGFVDLLGRSSSPIVDPFFCFLRTKLPAGSESIRLMDICHGLLLLDIGGYRIESIPKYVVCNPATKQWLLVPDFDWTPWPCNGMSVHLIFSPDVSSDFALVRFTNDVSPSVTSVQTYSSKTGAWTNSESAWSLEERQAPLEGWRYQKCRLVPESKSTVIGGMLYLICDSVGDGQVLEGDHIVVVDVEGKTRRFIPVPFQMNKENCSILSDFVGQSQGLLHYVNHEEPAYYTNNDGPDDELPDEDNDDVDCELSIWVLNGGGDTQEMALKHRVSFLHLFGEKSCQAGPDYTVVAIHPDRDMIIFSRDKKLMSYDMDTKEVCALHTVSDAFAFNSYVPYFSESPALTNKY >Dexi2A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:2A:23124454:23125750:-1 gene:Dexi2A01G0014180 transcript:Dexi2A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNIPVHHAVPHEFIMPPEKRPENYYEVTDPTVTLPVVNLAAGRQHVIDEIIKAGKEFGFFQVVNHGVSDDVVTRFRRAAAEFFALPAEEKLPYYSDDLSKPFRLDTSTTYVDDHCSRRYWRDYLQLQCFPSDRLLQDWPAKPDAFRGSLAAYAVAVQQLGARVLRLIAEGLGLDQSIFLGELIGGGTLMNVNWYPPCPNPSLTMGLVPHCDRPLLTVLSQGDVSGLQAKHRDRWINVHPVPNAFVINLGHLMEIMTNGLLHSVEHRAVTNSRAARLSVVSVIMPEMDSHIEPLPALVTEEEPPRFRPFLFREFNEAYTAASANREVVLHRFRIHSAH >Dexi9A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:9A:1644939:1646879:-1 gene:Dexi9A01G0003170 transcript:Dexi9A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAKTECEILQSANVKIFSFNNLKAATRNFRPDSVLGEGGFGSVYKGWVDENSLAPCRPGTGIAVAVKRLNQEGLQGHREWLDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSLTGAQTIAALALECLSYEAKMRPSMDAVVTILEELQDSIETEKKHQERKAATKQTPAAVSASKSSLKARRKSLGVLKETVGPNPKPLAHSR >Dexi6B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:6B:22458424:22459507:-1 gene:Dexi6B01G0015030 transcript:Dexi6B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSARGHRVMTSAVGPADVIVDRSTVNGQGGQWGSVTDWWAPAVSAAPRLGSAIGPKPAMGHARPTAARPSNHEARPRDPLRQRSDPVRPAPCGQHSRACQAFGEMPQWHDRTPASERSTRSRARKANVYVCAQRTVHECVRERGEAQRRGSVAGTARRDGELAERRLRAPAVASKAKRRSFEAPASGTTAGQRGLAHGDPPEQSKARRGKRLTLGLKKKTGLATREVRRGCGESRQAGVVWRRGSWSAFVLVPGLAASTSVCGSRGGVARCSSLSRGARLGSRHGKAPPCRDPLSSPPLLPSPSSSPTAAGGKGKTPN >Dexi1B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:1B:19087312:19088104:1 gene:Dexi1B01G0013430 transcript:Dexi1B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMASRAVLLAACLLALTCFHATASDPSLLQDFCVVDKMSKVRVNGFPCKDAKDVVAEDFFFSGLHLAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAGLSSQNPGVITVANAVFGSKPSIADEILAKAFQVDKMTVDRIQAKF >Dexi1A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:1A:10022331:10025380:1 gene:Dexi1A01G0011160 transcript:Dexi1A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDGAVGVGGGGGQATPAQPHPRLWRLVDERSDLRAMESDYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLQNYSSILTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALLKCNLKSLAEVSENRVTGDQTEPLDR >Dexi2A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:2A:11496188:11497447:-1 gene:Dexi2A01G0010330 transcript:Dexi2A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDAESGKAAAAAAEPVPPVSGGGFSGADVALRALLFAVTLAGLVVLATAKQTVLFPVPLLGVVVPMPAKFKDSPAFILLTAVGKLVSGSSASTAKNIFLLLLLDVLYAAVMASATGSAGGVAWIGLKGNSHTRWNKICDAYGNFCRHIGSSVFLSLIASIILVLLAAINAHSLYRRTR >Dexi3A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:3A:16025207:16025461:1 gene:Dexi3A01G0020150 transcript:Dexi3A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLPVFPRCGRRLQSRGFVPFEVVSDSDGSWRGTILELDGKETSTSVVRVELDSKVSGANSFGFFDELMASTASSDGCGMRLS >Dexi5B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:5B:2153497:2153853:-1 gene:Dexi5B01G0003240 transcript:Dexi5B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSIRRGLTIDPAGEEEAPAARVGRLVRESPVVIFARRGCYMAHVMKRLLAAVGAHATVIELDAAAEEELAAAADAGHGAVPALFVGGDPVGGLEGLMSLHLSGRLVPRLREVGAL >Dexi3A01G0027890.1:cds pep primary_assembly:Fonio_CM05836:3A:27893485:27896244:1 gene:Dexi3A01G0027890 transcript:Dexi3A01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVHNPRKRGPPAPPPPAASPALPVKLPPPGFVADREEAAARVERLLRYQFRDRALLEEALTHQSFSDTATSYQRLEFLGDSALGLAFTNFLYLTNPTLGPGALSTLRAANVSTEKLARVAVRHDLYPLLRRKCPRLDLLVGQFIESVKQELEDDLATAPYGGCVVKAPKVLADIVESIAAAVYIDCKFDLEKLWKVTRWLFEPIITAETIDEQPVATLLELCQKHGKLAQFKTWQKGGMTVVNVFVGGEMVGLGSSEQKVIAKLNAARDALGKLVGGAKEQLLITAVGNGGDGIGELRECKNKLTEHCVGKHWPKPIFK >Dexi9B01G0032200.1:cds pep primary_assembly:Fonio_CM05836:9B:34448354:34451475:-1 gene:Dexi9B01G0032200 transcript:Dexi9B01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHADLDGSGVPLAVLLKRELCNQKVERPDILFGEASKSKKGEDFTLVMAQHPRSLGEGTSGGGENAGDDDTISVFAIFDGHNGSAAAIYTRENLIHNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAANRIVKEAVASKGLRDDTTCVVVDILPPEKLSPPLKRPGKGGIIALFRRRPSDEMSEDQMDRGCLEPDVVEEIYEEGSAMLARRLNINYPAGNMFKLHDCAVCQLEMKPGEGISVHDAQSAVQPGA >Dexi5A01G0033540.1:cds pep primary_assembly:Fonio_CM05836:5A:35862737:35865403:-1 gene:Dexi5A01G0033540 transcript:Dexi5A01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFELADQRAPQHGGGGGGGGWPPVKRAGGEQDGMAAMAAGDPREVMSEYYQAQEQSTMVSALTQVVAGGAAGSWGEQPASSWASAAPEQQQAMHGGYVHEMGSYHAAPSPELAGSEQGSDITQSAAAAGMEEHHAAAALSGGGQEGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLQPASTAAPPTSMSAPLSAAAAASTYHHAGAAQGADYLRYQMLLQQGATGNQGNLLPFYAGGGGGMSNPYGGGGAMSNPYGGGGGNTSAYLGSYHSFPPSSVSVATVPSSASSASGYYYPSPQDSHQSESSTAAADFNWETSMMYWSDSGYPPPPHTQ >Dexi4B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:4B:449189:450046:-1 gene:Dexi4B01G0000670 transcript:Dexi4B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIGRVAPLEAVLFDIDGTMAISDPFHHRATSEMLVKVGYNGGVPITQEFGMTHMAGRSNGQIGRFLFPDWDQAKLDAFFAEKEVLFARYAGEGLKEIAGLTALCRWAADRGLKRAAVTNAPRANAELMISILGLSDFFQLIVTAEECKRSKPFPDPYLTALDMLGASPEHTLVFEDSTTGVQAGVAAGMPVIAIADESREPKLTSVGASLVIRDYQDPKLWAELDTLDTAEPQAAEETNGVTHSNS >Dexi9A01G0031730.1:cds pep primary_assembly:Fonio_CM05836:9A:36684308:36684934:1 gene:Dexi9A01G0031730 transcript:Dexi9A01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAHDDYVSLCLMALAAAGMTTTQRPATICWTATTVPDQRDDELRFRCSVCGKAFASHQALGGHKASHRKPPPPLQAHASSSSAGDAASSSVVTTTTSAAGEQQAGKRHRCSVCNRSFATGQALGGHKRCHYWDGLSVSVMAATASGSGSAGSSVRDFDLNLMLMPAGTTTAAAGGRRWGEEEEVQSPLPLKKRRLSSGPSLDLSL >Dexi9A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:9A:4186097:4186561:-1 gene:Dexi9A01G0007210 transcript:Dexi9A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGRLRWLWRAPARALGRARDMYVRGLTGCARYVPSDAAFGYPVLVPAASSLSRSRSGGGGDWSAGDDDLRELVRAASQRRVEQRRRDELAVARSQSMAAAAARSISMARIDEDAPCEFGDDPAALYARSQSCAGVGAGTAARGHRKVVALV >Dexi2B01G0023290.1:cds pep primary_assembly:Fonio_CM05836:2B:32817231:32818595:-1 gene:Dexi2B01G0023290 transcript:Dexi2B01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPAQSAAAAAVPPAIAALPEPGHGAPAAPRRDPPAQPEGADPANAAARKTAWNVPAQPPAAAAAAAGATPGGGGGIIGGDASWPALAESARVPPKSASSDSLKSLSDGSGPSQQVLNLVIKSEEDSTASSVAPLVEVNPIPTGSKPTSTPPPNAGAAASSQRNGSATQPNPFRRGGSNGGNNGGGSRGNGSNGGRRAANSSGGDGSSGSGGDGNWNGGSLGTGSGCNSSNGNGSSLGDNVTGGGGAGANGNESSRNAPGNSHWNNNMRGVGVSSSNGTGSGDGNNRNNVGSSNHWNNSPRNSSGSSNGVGGHGGYRGRRDHDRAGNFSPRNFPRVPVMPYQQQQQQQAPGVYQPGPFHRPPPPHAAHFMVPQHFYVPS >Dexi4B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:4B:4449261:4449637:1 gene:Dexi4B01G0006310 transcript:Dexi4B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPDADGAHLRLRSRVHGTYLHADADGEGASSSTQRASLNTAWAVHRLVRGGGSCVLVCSAAYGRIRSS >Dexi5A01G0032440.1:cds pep primary_assembly:Fonio_CM05836:5A:34979422:34981117:1 gene:Dexi5A01G0032440 transcript:Dexi5A01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFTLNTGARIPSVGLGTYKAGPGVVGDAIAAAVKAGYRHIDCAPLYNNEKEIGVALKKVFDDGIVKRENLFITSKIWCSDLAPEDVPLAINSTLNDLQLEYLDLYLIHWPFQIKKGSEISPENFVQFDMLKTWQAMEKLYDSGKARAVGVSNFTTKKLADLLALARVPPAVDQVECHPGWQQAKLRAFCHSSGVHFSAYAPLGRMKVVANNPVVTSIAESLGKTPAQVALRWGIQQGQSVLPKSANQSRLKENIDLFGWSIPDELCAKFSEIEQVKQIRNDSFVHPQSIYKTIEDLWDGEI >Dexi8B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:8B:738295:741261:-1 gene:Dexi8B01G0001070 transcript:Dexi8B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRKGPNARPLPPHLVKLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWLLGTPSEEQWPGVSDLRDWHEFPQWKPQSLARAVPTLEPQGIDLLSKMLQLDPSNRISAIAAMEHPYFDSLDKSQF >Dexi4A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:4A:23643407:23644119:-1 gene:Dexi4A01G0019750 transcript:Dexi4A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSRATTLARRLGAQAQPQPVVVLSRRHSHTRRRAEEFIEVEAAGPSATAPADAAAVAQRLEEAIDGAMARMAEPDWAPFRPGTSYFVPPRPAGAGLEILALIRQGGGFVEAPAPRRGLSTDEARAVAAASRGYPCSTYFIDGHFPDEVESSSLDATDQAQEE >Dexi9B01G0039310.1:cds pep primary_assembly:Fonio_CM05836:9B:40053945:40056593:1 gene:Dexi9B01G0039310 transcript:Dexi9B01G0039310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLCNFLKGSKPGEPNRRRPLVTAGEEDTLWQPPVRPKIQSDPTRNGNEELDRAIAESLAEEVKPPKERNHKGDSNDEDLARAIQDSLNMNPYTPYNPYAPSQAQPRGHRVCGGCKHEIGHGHYLSCMGIYWHPQCFRCCSCRHPIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFPRNTKYMSLGDGRSLCMECLGSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVSSILRRPRIGGNRLLDMRTQQQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLNAEVEEGICQVMSYLWLESEILPASSRHAPSSSYASSSSSSSYLPTSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >Dexi9B01G0037760.1:cds pep primary_assembly:Fonio_CM05836:9B:39063342:39066894:1 gene:Dexi9B01G0037760 transcript:Dexi9B01G0037760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCCFNGGAGWPEPVVRVQAVSDTCGDTIPERYVKPPSDRPSPLPATSAASASDAAAGVVAGGPSIPVVDLSMPDADAASRAVAAACREWGFFQAVNHGVRTQLLRAARAAWRGFFRQPAEVRERYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHFMPQSLKSHEKWPSLPPSLRETTEEYGEAVVQLCRRVMRLLSSGLGLEAGRLAAAFGGEGGEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVRGLQWITVDPVPDAFIVNVGDQIQVLSNAAYKSVEHRVTVSAAEDRLSMAFFYNPRSDLPIAPMPELVPPGHPPLYPEMTFDEYRVFIRQRGLAGKAQLESLQAKPAAPVPDSDASFSSSS >Dexi2A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:2A:31356074:31363148:-1 gene:Dexi2A01G0019150 transcript:Dexi2A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVLGSRNLTRTSQTSTGCRISTQWSWSPCGCTLQATKPASPLLMPHGAMAQGVEVGGFPVPAGTKVIVNLYVFAIMRDPALWPRSEEFVTERFMGTDMDFYGRLEFMPFGSRRRACPGLPMATRVVTMTLVSMLHAFEWRLPDGVQPGDVDTRDRFVTSLNMVTRLKAVLVPVATKLQLAGMARHGMKLVVEVASARDLLARRSRGGVSPFVQVTFGGQRHATAVRPPGDASPTWNETLVFVVDARACGGLTDGSIDVGVYHRRSSGGKSCLGRVRLFGAAVAPSAEEAVLLRCPLDKPSFFSPARGEVALRLYLAPYATSSSTSAAAAAAATADAPAGNAYSSTYATTTFNDTTSMDGPETVVGGASTQSAPAMVKTATTKKKKEPMQVFRSIPTQSSTSSLIFPPPPPPPPPAGVPKVPKKTKTTTTPATGDDAKAAEYLMVDKLEFLYVHIVRASNLPGMDITLGIDPYVEVRVGNYSAVTRHVVSNRYPEWNQVFAFSKDHLQSDNLEVIVKHRNLLVHDSFVGRAVLPIIEVPSLAPPNRPLAPQWYWLKDAKDQWAGGEIMLAAWKGSQSDEAFAGALHAGAHDDLSPSAVAATQTKSYYAPRLCYLRCHVIAAQELVVDRPDDRSSRSRQNLNAFARVQLGAQRWETRASPSARWDQDFFLVAAWPFEEPLVVTVMDAASPGRHEVLGQLVLPKSSIKVQQFDKKKLNLPAPSWFDLERPRSGVSEGDGRDDRGRWGHEFRSKIQLRVYYDAAYHVLDELTTYASDYEPSARPLRKPAPIGVLQLAVLRATGLPSTKKRHNGGRATGDAYCVAKYGPKWVRTRTFPDTASPSWQEQFTFDVFDPCTVLTVAVLDNSQLLAGDAGRGAMADSPLGKVRIRVSTLESGRTYEQAHPLFVVAPGRLLRCGELHLAVRFRHTASAWVTMISLYLRPTLPNQHFARPIPTHLVPELRRAAVDIIAARLSRAEPPLRPEAVHYLLRDPSSHPNPSMPELAAYSRRKSHAACARLRDVLAPVDALATWFHNVRDWKHPPTTVLVVALFLTLTWMPKLILPTFFLYLVAVGLWNFRGRPTGPAPMEHHADGLDAGVLEEEFDAVGPGSGTPPDVVEWRYRKLREVATSVQVSIGGVASQGECVQALLAWRDGRATAVALVAAAALAAVTYAVPFRALVAVAGLYVTRHPRLRRTGRPSALLCFFRRLPSNAEVML >Dexi2A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:2A:3469899:3476325:-1 gene:Dexi2A01G0003920 transcript:Dexi2A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGWSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >DexiUA01G0001810.1:cds pep primary_assembly:Fonio_CM05836:UA:4734225:4737007:1 gene:DexiUA01G0001810 transcript:DexiUA01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSLIYCVTFSNVFNPLFPQKGSSQECRPIYWCMHETSYFVYSYRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDHSADVFSFGIVLWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIAADTHPMLADLLQRCWQKDPALRPTFAEIVDILNSIKEFGVLGITKDIQVDLTPGGDEAVDAEVLFNVPPFCLI >Dexi9B01G0021840.1:cds pep primary_assembly:Fonio_CM05836:9B:16549084:16550002:1 gene:Dexi9B01G0021840 transcript:Dexi9B01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQSLQLIKMGGTTAMLLAYSVAVLALLATSAAAQLSSGTATFYGGRDGAGTMGGACGYDNLYNAGYGVLNAALSQALFDDGASCGQCYTITCDTTKSRWCKAGYSVTVTATNLCPPNYAITTNGGGWCNPPRPHFDMSQPAWEHIGIYQAGIIPVLYQRVPCSRQGGVRLTISGFNYFQLVLVTNAAGSGSIRSMSVKGGSTGWIGMTRNWGALWQCSSALVGQPLSFMVTSTGGQTLYMNDVAPAWWDFGMTFSSNAQFDQYRL >DexiUA01G0007500.1:cds pep primary_assembly:Fonio_CM05836:UA:14127235:14128115:-1 gene:DexiUA01G0007500 transcript:DexiUA01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNDLASYPNDFSSSDCLGWEGFSYIFWSVLQALGYSNPPQYERTIIRDHGVTRCRVCLVVHHHPPRPSSPTWGIEVHGYHLEVTCELAALNGISSFCTRNTELVEDQLLGLFPPIQADNAHWMRRYLSSPLRVEENPNAAAYLLMRDAMIVDLERQLADLELAHNNTTNELAQAEGGQNADADAPESDMDTEDDMPPLEAPPSPMGSQATVNNLDDL >Dexi3B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:3B:6274246:6282741:1 gene:Dexi3B01G0009110 transcript:Dexi3B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVARSACQTSVALAWPVACSPQAGKGTFGWLARVRGVATAAAAAARWRRHLTRRDGSPAAVTRSCLPPSSSETSIVDRSQAGSERSQVISDAEQEGRSGKIDIDIGAACVQSEAEPAFTLACGELFYATNKLIRIYGYSRLLERNDIINGPWLARATHHTRLHPPRQVRMATEPETIAAVESLHPSPTSSPSQPSSPIDTCSGPLPSTIATQNLASTSKIQPQSPPQQPPLAARPRLKSIVVAPSPLRDPSPGSYAAAVLGNSGSIKKVRFNLSIPPTHGRPSSPSTGNAQNRKSCLRSALTAAPLAATGSHPVKAIEGHSIPTSRGEAAHPPAASEAHCSRREVISEELEGWTTTESQCAIFFNSSNHQASFATHPEQWQNLAHECSRGGRAERRGAVVLPCSPATPPLLDDTSFPPLPRSAMSRPGDAATRPMEAFAVASSTGDMERELERLSLHAVVAWLGKGRPVVGSEVIKRAFCSYFAVRQDDITVVRHYPEDYLIDFKHRHHRDAAVAQRDFPCGNLDIRIRPWQLLTHGDLIDLKFHVRLCLEGVPLHAWNESIAKRVVATTCNLDYVEEQSLRKEDTRAINLWAWTSNPSDIPKVTWLTLTGRSMVVHDGMVPPSNRDKSGLTFRWTRMVAPPVHDYTWHYGVIDGERVPRDHRDPAPRDHHDKHNHGNDDDDDYDDDRRPSRALQRSGSGSALNQGGAITGTGLLQPEDDGTSSRSIAVASKLPGTSFAAAAVNAIIIDALSDVHAQSPVLFHNHVELLTETLEIHMEHGTQPLPQAAAEPVLVSTSSPLQPPPEIAANAPASLPQLQAATNLEETEVAPATPAAPAAPAASDFISNITKQLEQPLLQHQQPEQQAAPRRTKKNAGLPTRKSVRLAALSWPRGHALNRARQVLMKRLGIVQEEDESREETLVRYINLFKGPLTDLVIKALAALSGLDGHLHIWGFFAALCYDNHPSSAEDASSLMYLSLFVVAAAPAFVSGRAILYPCTAIYGSSSDITFVAVSIVLISDRIFFLLFGRRSNVNARLQRQAVHCIAWDARHALSLSLSLKNSRDARPEAELLTAPLDALFIPPNSR >Dexi2B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:2B:24272087:24285587:1 gene:Dexi2B01G0014500 transcript:Dexi2B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKVQVIESSFVAPSKAAPTKELWLSPLDHELFNRGHTPVAFFYRSGAAFSDADRIKEGMAMALAAFYPLAGRLCVNGDGRAHISCNGEGALFVVARSDLKSDELDFTKPSPELRGMFVPRVEPVAVSDTPGRPAATEVFTISRDQLVALRRLCGRASAFCSVSALVWRCTSAARRLPPDAEARFSFPADVRRRVKSLTPDCYFGNALVFLCAAAAAGDIASEALASVAGRIGGAIARMDAELVRSAIDYSELAGMDTRSMRASMPETELRISSWLGMPAYDADFGSGKPQVVSLAGPARGGMVYLMDDGPRDQGDAGAVRVVMSMEAENMEEFGRLLYANIAEASKLALDN >Dexi8A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:8A:25837051:25837399:-1 gene:Dexi8A01G0015040 transcript:Dexi8A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTNLKPMQKIGPWGGNGGAAYEIKDEEQPQRLESLSIYAEDFIQSIAFTYIDQTGQKRTVGPWGGEDGKSEYPVSGL >Dexi3A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:3A:16366662:16368585:-1 gene:Dexi3A01G0020630 transcript:Dexi3A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVATVRAAAGVLLVLSALLALVHAEDPYLFFEWKVTYGTKSLLGVPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFHWNGMQHRKNSWMDGLMGTNCPILPNTNFTYKWQPKDQIGTFFYFPSVGMQRAAGGYGAITVVSRLLIPVPFDQPPPESDNAVLVGDWFTKDHEVMARLLDSGRSIGRPEGVLINGKAGQDAAAAPMFTFEAGKSYRFRVCNTGIKASLNFRIQGHDMKLVEMDGSHTVQDMYDSLDVHVGHCFSVLVDADQPPGDYYLVASTRFIHDARSTSAIIRYAGSSTPPSPNVTEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLMVSRGHIEGKLRYGFNGVSHKDPDTPLKLAEYFNVTDGVFSYNQMGDVPPAVNGPLQVVPNVITAEFRTFIEIVFENPEKSMDSFNLDGYAFFAVGMGPGKWSPELRKTYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWNIRSNIWERHYLGEQLYMSIVSPARSLRDEYNMPDNALRCGKVVGLPLPPSYAPAR >Dexi6A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:6A:7517435:7518195:-1 gene:Dexi6A01G0007590 transcript:Dexi6A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDNVSTCLQHKNKKILLKKNLFSMHFTGSRGGKAAKSKKKNKRRKDQAKNPAKANSEPVNKEGAGCVVQRKADSGNISPLPCQSPGLQDDIEYPFEDADLDDGLDPAMREEIDREVADFAMKLNLVWPERVLLGQDQRMESHVGARW >Dexi4A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:4A:24958523:24960306:-1 gene:Dexi4A01G0021400 transcript:Dexi4A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQIGGRVVIPDLNLPPPEDGAHALHPSAAAKAVDDRISLLPEKARLRILSFLPFKAVVAIGTVSKSWRNLVDDQRRWRDSTLRIHVLSAGAAARGRCGCGRAHLTYDHMPCLARKLRARGRGPSHRLLRFSIVVEDVEMSSAALKSLLDYAAACNVEDVFVDARVRRGPPHGHGLSYSFQRTSNHLVCLTLSGVRIDGIHRPLQLHHQQGSSLIPTLEVIRIGFTELSDVDLSTMLFWCPRLRVLDLRSCRDITRVDVVEASACLMSLTVVDCREVDDIRAETALGLRSFRYRGEVLMSMALPHTCFGDLYISFTGRRAGRPIIGWLEALPYLSNLTVLTICSNALGIVSALRARENSHPQLAKLSNLQNLRELQLLLYGTEPDILSHVYGFFMNCRCSQLRKLFVQITNFKGQCNEIELVRFLLRKASSLQKLILVAAHAEGYKSAPKNAKVLSVSS >Dexi9A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:9A:11280523:11280732:-1 gene:Dexi9A01G0016360 transcript:Dexi9A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESEEKMGAARQARGPHSSPSRRACQTLLVETVAIGGGSGATIRPVMSSSSTCETQTPTTA >Dexi6A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:6A:28629853:28634195:1 gene:Dexi6A01G0021370 transcript:Dexi6A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALTSPSLPAAGLDTGRRSRRLQRVRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEEAMITAISESPATFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDIITIDVSKRVIDVDLTEAQLEERRRKWSPPSYKATRGALWKYIKLVAPASRGCVTDE >Dexi1B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:1B:26400677:26401775:-1 gene:Dexi1B01G0020310 transcript:Dexi1B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSISSYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGASSNKSDQMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQTKKSEAAEAAQEAPAEAGVAE >Dexi5B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:5B:3740277:3741688:1 gene:Dexi5B01G0005540 transcript:Dexi5B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPGSSSSGKGKKAKDGGGDSAGTGTFSCFHGEWRPVDEATLMDANEIRRRFIDGFYEEAARRLPLKEIPGLDGCIRASGLCVGLADPVANIILNAVGLLLHDRQEQEEHRPLPPQREEFRVSRGSAKGWADIAYRSLDGLRGFMTAYFRYLGYTQAARYLYLASHHLPLATRLVHHDRFGPRSFSQEQRRLLPDGGKLKGALRIAAVQSKHPAPDLLAQLMTAQYPPDMLSRVVAKLQGTEPLTAIDVSEIMALLACRWPPTPSPVNMEFWCRPNGTTCTRHQDGALLVSTCIGEGLVADISIRTDQKDQFQYITDLTFHCGDMEAKLSECLQAAGEISGTGMETIVNYDAFRCEHIVTLEMCLLDTIHTFYITALAILPRRRSTLRALIVAGHCYGTMDPVSNIILNSIWYEITFPPTNDDKVELPQGILDTRPMFRLASHSLDGLVEMARKTSSQLF >Dexi5B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:5B:5093849:5097184:-1 gene:Dexi5B01G0007560 transcript:Dexi5B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEAGGRTLGAVIKEKDEELALFLEMRRREKERGVAADHLLLSGAAAAAGDGMLQSGPPPPAAQAGGVGGGFRRAPGGADDFLNADAGF >Dexi7B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:7B:8100211:8101158:-1 gene:Dexi7B01G0003360 transcript:Dexi7B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVALVGMNDVLMNQYIEFVADRLLMSPECRKMYNVANPFDWMELISLQGKTNYFEKRIGDYQKASTYLHKN >Dexi2A01G0029460.1:cds pep primary_assembly:Fonio_CM05836:2A:40502136:40505072:-1 gene:Dexi2A01G0029460 transcript:Dexi2A01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPTTFLPHRLRRLAPAGCTTSPAASSSASAPSSRYDFESLLDYLSSPSVAASLTSSSPPASVPAPERRLAASYSAVPSHEWHALLRDLAASDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLTDPPSPLLLNSLLAASAAASRPAVALRLLALLREHDFLPDLASYSHLLASLLNTKDPPDAALLERLLGDLRESRLEPDASLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGSAARVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSHCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMELFKEMRESNCPPGTTTYNIMINLLGEQEHWEGVEAMLSDMKEQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSILVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >Dexi5A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:5A:9705508:9706953:-1 gene:Dexi5A01G0012980 transcript:Dexi5A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAASPAPAPAAEEPRSDASLLDALGGEVLAVMSPVSICMALVVLLISLLSPPSSGSVAAGSPPPVTAATLVYLESPTDTPAQKFVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVIFSMGGAIAAAVLRRLAAPLDAPTAFLLLFNGAAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPATSSSSYASAMGSVEMQTMADSGRVGGSRYDRVEQDEDASRAVVEMRDLGRGRSNIGEMNRSSGSVLQMDNLEREVPVTPIELPSNQIGSQHAVIQIEQSEEAETAPLVSAASSNNAASDEEQRQSSSSEPLDFEMFESTRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICRHALPALPISIMLGVTFYFLTRLLMEPFVVGASTNLVMF >Dexi6A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:6A:23046072:23046921:1 gene:Dexi6A01G0015560 transcript:Dexi6A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGDSYLDVVLIPLAVLFPAVYHLWLWRAVRRSPLSSTVGISAAARRLWVFSMMKNNEKQAILVVQSVRNVLMGSTLVATTSILFCTGAVTVLSSAYAVKKPLSDTVFGAHGEYTMAHKYAVILVVFLLAFICQSLAICTLNQASFLINALSPSPAIHLTLTKDYVANVMERGFLLNLAGNRLFFAGAPLLLWIFGPVLPFLGSMAMLPILYSIDMIEYTKKGSSNGKANAKVDMDTESDQSTEV >Dexi2B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:2B:13301116:13304443:1 gene:Dexi2B01G0011720 transcript:Dexi2B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIQSTSASPPRHASTRPAAMGDVPVPAQAAAPLLPDIDMTSRRRREPSEPRSDSDWDAGSSREGSPDLLRRAPAGQISRAAAAAAPSSSSWLREIERDRVRLVREWVHMAARDRDDDAGPPPSPVPDHARRDGPRIRGRQARLELVMRMAADRQAELQLLSQHRAVSDFPHRNRIHALLRGRFLRNGGLPEERRPPSMAARELGQLRQRHPVSGLRLENLVRGQAASQADASSTHDVELSTNDRSESRPTTSESTQEIHEQTSENTILQQIEGTATTSVFESGTLSVAEVFCGSHSQAGSTEVLEHETRDWQRFSHTVTGAESERSWHENVDISSREGTVVEDDHDDRLPEANEESISVDHLPEGLAESTSDDILPEAHEEQHGSDHLPSVTQELRDNNHLQESHGEWSRDDHPVEVYDEWQSDDHLPEVNEEWQDDDESNDTADNWHDNTSEQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRDPLPWDLEGTTPAPDSPDQNQEQQRDDDDQELQHTVDRPPLVIPPPPMPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGS >Dexi7B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:7B:19358393:19361948:-1 gene:Dexi7B01G0012610 transcript:Dexi7B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKAGGAAPCGDSGGACEARRRQSLRVRYAYGLVFFATNLLAWFVRDYGARALRGLHHVPVCGAGDSKCFQSGRVLRNVQIFFWLMFATTFGTRKLHEARNSWHSGCWILKSLVYFVSIGIPFIIPNIFIQLYGEIARLGAGEPQTGKCHSHMKIAKDGDWATIVSFIIAICSIVMATFSTGIDTKSFQFRSDEVQLEEDIPYSYEIFHIVFAMGAMYFAMLFISWELNHPTRKWSIDVGWASTWVKIINECLEVDLPSSIEE >Dexi5B01G0034660.1:cds pep primary_assembly:Fonio_CM05836:5B:34867776:34868673:1 gene:Dexi5B01G0034660 transcript:Dexi5B01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRIAIANHGAIPFLVSLLYSEDPSTRESAVTVLLNLSLNDNNKIAIANANAIEPLIHVLETGNPEAKANAAATLFSLSVNEENKARIGRSGAIKPLVDLLQDGNAQGKKDAATALFNLSIFHENKARIIEAGAVKPLVELMDPAAGMVDKVVSVLAILATVQEGRDGIAQAGTGSSELFSQPTPSWKGN >Dexi1B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:1B:16975009:16975243:1 gene:Dexi1B01G0012650 transcript:Dexi1B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSQKECDLQGGKFWTNFMKNKRSIDGSVRQLIFLVETVTGREVYFEANCTSSVEFWVRGINLIVRENMVKAG >Dexi3B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:3B:3064034:3064841:1 gene:Dexi3B01G0004510 transcript:Dexi3B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIITLHKILGNKWSQIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPKTHRPIVVATAAAAPSSSHRQDDDDDYDYDLGPSCSPETSGACHHSDDDDSVPAPHPGGIDLNLSISPPPRAPPSPSPLPDDEDARRNTKGQAARPEAMHPVKY >Dexi8A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:8A:20845308:20846660:1 gene:Dexi8A01G0011840 transcript:Dexi8A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPVASLLSSASKLLDLLPAAPRRGGRSVSAGVRRLQRLLRRIQATLDDAGERVVQDRSVKLWIAELTEVAGDAEDVLDDYRYALIRRRVQDLHGGGGGGGGGTSSTSSKRKHDEEDSGICEL >Dexi5A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:5A:11751989:11757139:1 gene:Dexi5A01G0014660 transcript:Dexi5A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAMDAKDEGAGGATNQSSTVVVKTEAACTGDDPQVTSSDLAKDEGDDTTECSSSFGDTCFGFEGEADGGELEVNSGSSAHANGVGSSKPPRLTLEYNFFPVLLSIAEPDKQNKGAETDGVLIEEDCGNTGDGSIRGGLDTVTLLDSENYDMIFEQLTLKDTLMTIDGLQSRVQLLQDRLSRAHSGGENLALYEDNTDVNVRVPRKREHTQKRSFSYTKYRYTKPQKRKNLNILLKDDDGSAFVGWPALPDRETDSHVKNANRNAQERSGECNQTTEKSVTADQLLGTGNFIPNGHSEDLCNENIDDILIDNQAANEACQQFDNAKHLPSGTTSGGQKISSSAAMKHISALEEVNNTCGPVETDSTSAPAVEPVSTQNEQHLKPKKWKKGSFFTKKQRKEASNTPAAEDKTEGPPSAAKDKTGSTPSAAAEEKTESTRFAATGTGTKVYIRSAWRKRKISNEPTDAKNRESGSEFAASKELQAGKPSSQAKQKTENPSPATKKWETENAPSAARETEGVPLNLKIEKAVLVAVNSRRSQRVRKPKVFAE >Dexi3B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:3B:12868522:12873987:1 gene:Dexi3B01G0017530 transcript:Dexi3B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVTNQRIATSSTRSFCKHHSKIVRKVTFDFILCTSTMSNFIGSRYDKEEVQVLLSKKDPDESPQHKHYLWMAHWTKASSSAGPQNNNISNPLEDINKGSTAKGSETLPYEFMKSTVAERLMVGVSHGSTSMQHAEQFNSSMWGVAQHVCNELRAKNNEQVDGSFEKSMKKNAPNLRARAVVSETYSVHKLSEFPSDFQKHGSSEDPNSDWSHFPMFEINRKIDNILNPKRRSVLGPATLSLNMSASHVMALSSQEYRMNSHQIADENMKMCQPAGGFASRAEDPADLNSDPSGQKLKRKLLDTMSCSCSKDDNSSDCEIDEQHTSHHLAKAKHVLSCASDEKKFTFAANNKNRIVASVFHNMNTRRSAAHKQENDAEIMFRTPVPSRERQNEAITMSNKSKKDDENFHETHISHGKAVSSSLLPYEQQHLKTQRAEAAANLKGSILPDQIANKLTEKSKSSGDLLTHGPKSNEIYTGKSSGFGVCMYGTNIGSQLFGAQNQSSAKTETFYSDTLIRSKSSAGIASLSAQKDCVCPNEAKSEQLANHSERGDSRYSKDDGFHNVNGNHDVSSKATIASKQSCMPGTRITNLDLILSQMSRMRNQICSGIVQPPIGAEPSDRWLKRLQLDISEPNIRGSKRPKILGSPPLGQTNSMFDITVPCNKIDTGMIGAAEDKSSNEGNNELQDKQERSPVPAKSMNSWIGRWCQGGNPVFHEDSGKGRQATKPDQPAEELEGQFPSIAAMAMMGRVMNKLRPCEHQKKGPFVVWKTD >Dexi9A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:9A:3702506:3705400:1 gene:Dexi9A01G0006500 transcript:Dexi9A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSRALGSAFAGFTRAPVAAPAGTSLPSPCGSSALLRHWQWSRATRTRRFSSGRAARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTISPNVGVVAIPDPRLQVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPRSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDAQVKVKEQAEKTGLEKIQEALMDGKPARSVELADHEKEAVQHLCLLTMKPVIYVANVTESDLAEPDSNPHVKEVAKAASDLQSGMVTISAQVEAELAELPFEERVEYLKSLGVAESGLGNLETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGAAREKGLLRLEGKDYVVQEGDVMLFRFNV >Dexi4B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:4B:14170425:14173537:-1 gene:Dexi4B01G0013930 transcript:Dexi4B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQVSEHSLIIENESSDDDDTHFAAAAATNGRSRAHDEEEDAGSDSDSSSSSSCATPRRGPCSSSTLAQPWPQSYSPTTSDEQRQQHEDTQKSSQYLLPSRKPSLQQIPEDQKPLVAAHEVSPNQNCSYTQGVMNGINVLCGVGILSTPYAIKQGGWIGLGILSLFALLAWYTGVLLRHCLDSKEGLETYPDIGHAAFGRTGRIVISVKSSSEYANTFYYA >Dexi4A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:4A:11900506:11901561:-1 gene:Dexi4A01G0013010 transcript:Dexi4A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSKLFALLRKSRALATGGTTAAIAATASATAATANGMEEAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGAELMDRCRAQSLRFGTKILTETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGADGGPLAGVKVKNVVSGELTDLQVAGLFFAIGHEPATKFLAGQLDLDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGIVS >Dexi1A01G0032280.1:cds pep primary_assembly:Fonio_CM05836:1A:36908032:36909226:-1 gene:Dexi1A01G0032280 transcript:Dexi1A01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPHKRKRPDEPAAGEVDLSAADAVEVLDLRAGKRLLLAFERRLRDNLEARMKYPDDPARFADSEIALHAEADRLRLLAGAPELFPDLVPIGLASSLASLLTHDNADLAAAAASLLADLTDSDDPSDLAAVQALADALVEANALDLLVHNLSRFSEADPDEAEAVHNTLAVLENLLDLRPHLADKVCDGTKLLRWLLSRLKAREFDANKQYASEILAILLQSSPANQKRLGQINGVDALLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPLENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFL >Dexi9A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:9A:9054305:9057928:1 gene:Dexi9A01G0013840 transcript:Dexi9A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPVSPEIMGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIEYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVSKASVPTYELQTCVLNLEVMDRSRHWRIVGCSAYTGEGLLDGFDWLVQDIASRIYVLD >Dexi9A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:9A:9255763:9257928:1 gene:Dexi9A01G0014110 transcript:Dexi9A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTHLRAHLLLTVLVLLVATTPFHADAQPASPDPAADDACADPAVEGACHNVPKALRLKLIAIPTILVASVIGVCLPLLSRSVPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFNNLTSPCLPTKPWAEFPFTAFVAMLAALFTLMVDSLMLTFYNRKRSDGDTSGRRAGAAVADHESPAHGHWHSHGHGHGHGDIVMAESAAVAKPEDVEASKVQLRRNRVVVQVLEMGIIVHSVVIGLGMGASQSVCTIRPLVAAMCFHQLFEGMGLGGCILQAEYGLRMKSGLVFFFSTTTPFGIALGLALTKVYRENSPTALIVVGVLNAASAGLLHYMALVELLAADFMGPRLQGSVRLQLFSFLAVLLGAGGMSVMAKWA >Dexi9A01G0032820.1:cds pep primary_assembly:Fonio_CM05836:9A:37663635:37664148:1 gene:Dexi9A01G0032820 transcript:Dexi9A01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLGVSEEALVGAFFSISGDVKGQSVGIHHYPHCRQPEKVLGISPHTDWPGLTVLLHAVDTPGLQVRRGRRWLPVRPLPGALVVNVGDILHVLTNGEYMSAEHRVVPDAERCRITVAMMLPLTGWWRRSRSSSRETKGGHGGKHDYSKGNFVALADGARFLDSLKK >Dexi7B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:7B:11670803:11672324:-1 gene:Dexi7B01G0004700 transcript:Dexi7B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAAATATLLVIFVALAVSLSLAASSPATTVRAGYYLAAAARLRPLAELDASLYTHLYYSALAVHPTTHKLVLPTDPAEAGLLAAFSPALKSKNPALRTLLSVGTTTTAADIAGATATDPAFAAMASDPSSRAAFVAAALALARDLGFDGLDVAWRFPSSAAEMAHLGFLLSDLRAAAAPPDFLLTATVYFSSHVLAGVDYPSEAMASGLDWVNVAAFGLRSGAASANAGATTAFDAPLYDRATHFSASYGVVSWIDAGVPEGKVVMGVPLYGRSWFLRNKANHGVGAPVVAAGPKQRGSNATGVMSYAEVSQLLLSGAGDGRSAVATAAAAYDNASVASYVAVGDVWVAFDAVAVVAEKLAFAARRGLLGYFLWPVNYDNANLTVSRTGVDGDSHSLGSVAASEVWMQNEMSSSSKNGTGVRQTQEPVRLPPATEPAGTPGPVPAPASGSSALWLPWTKLDAFLHLAPLILVW >Dexi7A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:7A:23890771:23893896:1 gene:Dexi7A01G0013950 transcript:Dexi7A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFAAGRFEEAAQHFGDAIALAPDNHVLYSNRSAAYASLGRYSEALADAERTIALRPDWAKGYSRLGAAHLGLGDAPRAVEAYEKGLALEPSNEALKSGLAQARQQAASTPRRTGGSAADAFGKVFQGPELWSKIAADPTTRGYLNQPDFVQMLREVQRNPSSLNTYLSDQRIVQVLTLMLNIKLPHQNNGASEPAAAQSATQTPKQQSEAKAREPEPEPEPEPMEVTDEEKERKERKAAAQKEKEAGNAVYKKKDFDTAIQHYTKAMELDDEDISYITNRAAVYLEMGKYDECIKDCDKAVERGRELHTDFKMISKALTRKGTALAKLAKSSKDYDVAIENFQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPRVYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPKNQELLDGVRRCAEQINKASRGELSEEELKERQSKAMQDPEIQNILTDPIMRQVLTDLQENPQAAQAHLKNPGVMQKIQKLVSAGIVQMK >Dexi9B01G0034410.1:cds pep primary_assembly:Fonio_CM05836:9B:36388263:36389874:-1 gene:Dexi9B01G0034410 transcript:Dexi9B01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITLTMVKAVAVLGSSEGVKGTIYFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDENRHAGDLGNVTAGADGVANINVSDCQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >Dexi9B01G0043400.1:cds pep primary_assembly:Fonio_CM05836:9B:43351988:43352970:1 gene:Dexi9B01G0043400 transcript:Dexi9B01G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVAMAAASSECSSGCQSGWTTYLDDHSSYSCGTGRFHGKARRQPYYCDDYSEEDDLSMISDASSGPRQHSSTGNEVQAGGAAAVAHANAERRGRREAAAVARRQSKRTAAASQLEDTASSPAFYGYTKAMGSGVANGYGAQAMEIGNAADFSYAFSTTTGFKSPLNGTALGGGGGGYMQMQYSTAAVKPMPARQRCA >Dexi6B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:6B:51164:52894:1 gene:Dexi6B01G0000090 transcript:Dexi6B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATVIHMDDGKAAGASSSYATAPATTTTTDAAAAGATSHKAAAARRGLPLLLRSGADGFRRCLAVIDFLLRVAAFGPTLAAAISTGTADERLSVFTQFFQFHARFDDFTAFTFFVVANAIAAGYLVLSLPFSAVGIVRPKATGVRLFLLLCDVVVMCLLTAAGAAAAAIVYVAHWGSRRANWVPICMQFHGFCQRTSGAVVASFLAVLVGSCGGLAVVVRHAPDAVGVHGEVEEAVEPHHPGLGVEHDVVDAVVPEVVDEALHDGAPWHDGSTTTSHTTALNTPSPAARANATGRSPSGDADSHTTRLCSSARRRRSSCRRGNPTRANTSFRAATSMPSAVRRTVNPRDASSSSDIVSRTLEAARGSSGDRRRWWSGISNAAAFGGGWGLKPKLEIPRARGRSTRGGCRMICVVGMAAALLC >Dexi6B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:6B:4164822:4167587:1 gene:Dexi6B01G0004880 transcript:Dexi6B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSELQERARFVQSSAASAGVNFDEERWLRRVQRSMEKEAAEALGAAAKVFDVPRVLRQTRPEAYLPQHFALGPYHCNKPELRDMERYKLAAAKRAEKLFADGQKFEHLVQRLLLAQDKMRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEVTDMVSSATNWINATVRDAMMLENQLPLFLFSQALALRHPTADQAAAAAADALHAVLGRFIKEVSPIKTTAELVVADVAKHAHMLELLYHFLVPDASVFDDSDSGDREPPPMVPEEFTIDMLDPSQHLPDYDKVKQACVQVSSLDMAPVRFLKKNLITRPMSVASSLPGTIMRKVPVLSAVAPLVTKLLTMTSSSPADVESKLMLKGVSLGSIIVNSPLAQEIMIPSVSTLARWGIRFVPAPEGIAGISFDAAAATLSLPIITLDANTEVVLRNLVAYEAVAVRGALVLARYTELMNGIIDTAKDVKILRQSGVVVNRLKSDKEAADMWNGMCRATRLSKVPRLDAAIREVNAHRSRRAAARAQKLLKKYVFRSWRILTLLAAVVLLLMTAMQTFCSVYPCNRWFGSVFQLPQAGGNR >Dexi1B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:1B:21915498:21916345:-1 gene:Dexi1B01G0015400 transcript:Dexi1B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSPEPAPLPEAAEAAIIVAALSHVIAHGRAATSTPPPATTRGHVRQAACHGGQPSSALAHIASVHNRSAYDQSLTTPATHWVAPMALQQEAQLGTAAAQRIYRGVRRRPWGKWAAEIRDPKKAARVWLGTFATPEAAARAYDAAALRLRGSGAKLNFPEDASSLRQSPPATVGSLQPCNGWNTTMDRSTCPEMVVRRDATDGGDNGRFLGPWIIGASSRAPVLPCSASPIVAPLLSERHGTGSSGIEDVGK >Dexi9A01G0032250.1:cds pep primary_assembly:Fonio_CM05836:9A:37157463:37158884:-1 gene:Dexi9A01G0032250 transcript:Dexi9A01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNMLFTHENNADQFDLLSYGNLRGVGASGNSEESNFGNGCRDSSSVSPETFSFSWLPVKNCQTGTLDHDKRPLSDAKPCQVACKRPKQTDHDTWSHSFEERPFTSEVDMSASALADEVVMTKQPDHIPASNGATTYSFSSDIPCRNHEQSVEVENLHLPDWLTSFPGYFEDCGPFAGYNLVDDIDLSVHEHLSRKGVQIGPEHQADIPEWRTRVSGFCADLDGCSISTSEPILRGYDCESDKWVKDCVLPISSCLSPIDWVGNKRIDCDCSDESSVRCARQHIAEARETLKMSLGQDKFRELGLCEMGEDIAQRWTDEEEMQFQRVVYSNPVSLGKNFWEHLPLTFPSKTIKDLVNYYFNVFMLRKRAQQNRSDLLCVDSDDDELHGESPIPGQEKEDSAVEPPKHEPFINSFLPIDDDHKECEGEHSVGLSFHGEAIENAV >Dexi5B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:5B:6876560:6876892:-1 gene:Dexi5B01G0009810 transcript:Dexi5B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLRPAAALLVAAVWLCAAATCAADDGGDITSLLPPGTASPFPFCPVRPAGASTGPFPWMTPPPPPSTALLPQDPGFLASVACPVGGAVAWPPLLAVFSAFLVPWMYQ >Dexi4A01G0024470.1:cds pep primary_assembly:Fonio_CM05836:4A:27225538:27230232:1 gene:Dexi4A01G0024470 transcript:Dexi4A01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPLFHRYAPFGRSFFSPDLGRRRSLGEGVESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVAQLLNTDIHSRPLSDAERVKIKKALRGVKVEVTHRGNIRRKYRIAGLTSQATRELTFPVDQGGTVKSVIQHFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRERDIIQVVPNPYRRFSYFGMMNHNSYHEDPYAKEFGIKISKRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKDFALEPILPPMYAHPDQVQRALKARLHDAMNMLGPQRKELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCLAKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQRGTICGGMIRELLISFKKSTGQKPQRILFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPPVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMESDSSDSGSLASDARGGGVPSTCSTSRSTRAATGGAVRPLPALKDSVKNVMFYC >Dexi1A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:1A:23946715:23947760:1 gene:Dexi1A01G0016680 transcript:Dexi1A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQNSIPMVFLAVSAAALYARAASSCVRPGFPRLLALLPVAALLAAAPLAFPFANIRGLTGFFLGWLGVFKILLFAAGRGPLEPNLPAHQFLFVAALPVKLADRRHGQPGATATSSKSAAFLVSCAVKIAAYKFVDQLHLYMRLALYGVHIYCFFDLLLPCISAVGAALGMEMEPQFDRPYMASSLRDFWGRRWNLVVSAILRPSVYEPLRDAHAGKAAAAIATFLVSGLMHEAMVCYITLRSPTGEMAAFFLLHGACCVAEQLCVQWWVARGWPRPPRPVATVLVGVFVAGTAFWLFFPPICRDGSEERLLEEWAALAEFITGTGRNLSGMFSSVNNS >Dexi1B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:1B:23831531:23834769:-1 gene:Dexi1B01G0017530 transcript:Dexi1B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVLHKAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSVCIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTRDNNIRLADFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLVKPTKSNLGDKQSKKPSSGRKRTVKANGSNGTIETAAEHTVEGRDSSTNFSDASTIGTQEALILQMPTDLDARNKEQQNSDVLSFQHVEENLTTTTDRQIDSTLRLKAIRTSNAKDEAPVSVLNQKPNEAPIPNEELTIGVVQEERKDVKPRSYQVPKPSSGDTTTTEESSPISTLKLAHTESAPAEWDHLNIVQQRADALESLLELCAKLLEQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMSPPKFGESPTKLL >Dexi6A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:6A:3459106:3459306:1 gene:Dexi6A01G0003700 transcript:Dexi6A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATLPYPSCRLPSPPSVLSSSNSSSRNYLAASSKLPAPTHKVDGGVARSDAGHFLIGDVDDGDG >Dexi1A01G0025520.1:cds pep primary_assembly:Fonio_CM05836:1A:31704999:31705256:-1 gene:Dexi1A01G0025520 transcript:Dexi1A01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPATRMENTAEHATARADAAPPAAAPVTGAGAGGTPTSISCAEAAANITADTATSNNTARDAIAKRYTESKSTRKRGRGRGGG >Dexi2A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:2A:27893405:27895152:1 gene:Dexi2A01G0016310 transcript:Dexi2A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVELFLGSSSAPVDWEVEAYPTYGDFAVLPLLVAFFPAVRYLLDRLVFEVISWLLQATWIRNSRAKLCRSVVLARRFIFGKGHDKLAETDDSRKKINKFKESAWKFVYFLSGELFSLSVTYNEPWFKNTRYFWVGPGDQIWPDQKMKLKLKAVYMYAAGFYTYSIFALLFWETRRSDFGVSMSHHVATVVLIVLSYIFRYVSKYFLRGG >Dexi9B01G0025060.1:cds pep primary_assembly:Fonio_CM05836:9B:24631783:24633382:1 gene:Dexi9B01G0025060 transcript:Dexi9B01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVAGASGGGGGAGGPSGNGGAGAGLGAPPSGGPCGACKFLRRKCVTGCIFAPYFDSEQGAAHFASVHKVFGASNVSKLLLQIPPHKRLDAVVTVCYEAQARLRDPIYGCVAHIFALQQQVVNLQAELTYLQGHLTTMELPTPAPLVGQLQTPMTAAFSVPNLPMSSNVPATVDLSTLLEPQMQSQQWASQFQQQQALLLQQQQLLQPQQYIQMGEGSGRVAGGSGSADGGDLQALARELMLRHGRVAVGSPPEPPTPATQ >Dexi1A01G0023330.1:cds pep primary_assembly:Fonio_CM05836:1A:29971507:29973225:-1 gene:Dexi1A01G0023330 transcript:Dexi1A01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGGGGKESPAASLLRFALLLLLPLTVLYIFYTLHAILSSTPACSPDHDLLVTTANGGMATNALAVSHLTNNNNNLTQSTPSPLSPPPPVPPPPPAAPSATTLQHVVFGIAASVRLWEKRKEYIKIWWRPGGGMRGFVWMDRLPVLRRSPTAPEADGLPPIKVSSDTSSFPYTRRRGHRSAIRISRIVSETFRLGLPGARWFVMGDDDTVFLPDNLVSVLGKLDHRQPYYVGSPSESHLQNIYFSYGMAFGGGGFAISLPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPDARSRPAAVRRLFEGPVMLDSAGVMQQSICYDEGKRWTVSVAWGFVVMVARGVISPREMETPARTFLNWYRRADYKSHAFNTRPLARSPCERPALYYLAAARRAVARGGETTVTRYQRWRRRNEARPACRWKIPDPDALLDSVLVVKKPDPALWDRSPRRNCCRVVSSPVAGVNGNKTMTIDVGVCEDWEINQL >Dexi2A01G0026440.1:cds pep primary_assembly:Fonio_CM05836:2A:37948766:37950745:-1 gene:Dexi2A01G0026440 transcript:Dexi2A01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLGCLLVLLVSSSSGAGASAGGERCVRQGKAAYAPSLSPLPQGTGVCGYGAMAAEINGGFLAAGGPRQHRGGLGCGRCFQVRCRDAKLCSNGGVRVVLTDFHRSNRTDFLLGGPAFAGLAKPGMAHELKRLESLAVEYKRIPCDYKDKNLSVLVEEESKRPSNLVVKFLYQGGQTDILAVDVAPVGSSEWRFMTRVHGPVWRTDRAPAGPLQFRAVVTGGYDGKWVWAEREVLPADWRPGQVYDTGVRIADVASDGCRGCGAGGAAALDWK >Dexi9A01G0040740.1:cds pep primary_assembly:Fonio_CM05836:9A:44464080:44466229:1 gene:Dexi9A01G0040740 transcript:Dexi9A01G0040740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATIEKTPRHPPARSGRGRHPFTPSPSSARADARRGVRLPESNPNPNSAGAAALRIPQFFPGPEAAGMNRIPSVSLRGGADADAAAPPDPAALARWARAFCVIRFDLERGQLVEACFPPDALSAPGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSTHRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGHSALAMVASHVAAWPAPAPGRPMELPIGSAALRVHLPPAVDDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQPLGNGTNGTPGKLKLDKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYAPTTKPDTSVLNRLIDGGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNIDIEKLMSKMSELERIDSFNAVERYLLREMEVTFLPLGVELFIPIIGTTVF >Dexi2B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:2B:9512587:9514431:-1 gene:Dexi2B01G0008810 transcript:Dexi2B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTAAATSSAPNQPSSSPRRATSTPTPRSAIIRAMSPWSVMNGSITSGWPPNPRLSVTEPHPQCVRNAPTATCPSTRTCGTHPAHTTPRPRVRASNPSGNIAAAAPSPPPPAPLGGRSAHTKRSPESSSPAASSRTFSAVTGASLPSATNRTLRGGCSSSHRTALERVASVVDLSSGRRKPVGTTAGLRKTSAMSGNSRGSSSGQLRSSRRRQRCSVRQKRSMSETPEALVRNEAAIHAASWWRKVREGGEGNHVGMGLKSSTSGFLPNTPSSSSLSPAHAAARKSAKGVARPSKAALRSSTFSGGTSAVDSAPSGSPSTAGSGRATIRTRPERPSGGSLAMFLAVETKVTAWPRAASRLESSRKGMRWPNASHGNTTRWRVCSCCCCLSPAIASGYGGTADTKFG >Dexi6A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:6A:1478845:1480475:1 gene:Dexi6A01G0001600 transcript:Dexi6A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRELRAEHPNAAMAPKTTGVLAAAALLHLILLAPRLAAAFNYADALSKSILFFEGQRSGKLPPNNRMPWRSDSGLTDGSQHNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVADLGKFMGSELPHALAAVRWGADYLLKASTSAPNTLYVQVGDPNQDHHCWERPEDMDTPRTVYAVTATRPGSDVAGETAAALAASSVAFRRADPAYSSRLLAAARRVFELADNHRGAYGGACPFYCSYSGYDDELLWAAAWLHRATKNDTFFMSYVRVNGLQLGAGDDDFSFSWDDKRAGTKVLLAKGFLRRKLQGLELYKSHSDRYICSLIPGTASFQSSQFTPGGLIYKGEGGSNMQYVTTATFLLVVYARYLRSAGGAAVACGGKEVSPEEMVATARRQVDYILGKNPAGVSYMVGFGERFPRRLHHRGASMPSVRTHPGRIGCDEGFRYLHGGAPDANVLAGAVVGGPDERDGFVDDRDGYGQTEPATYINAPLVGALAYFAGTARF >Dexi5A01G0028820.1:cds pep primary_assembly:Fonio_CM05836:5A:32024275:32029889:1 gene:Dexi5A01G0028820 transcript:Dexi5A01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSTMAPIKVEELRGQTVAVDTYSWLHKGALSCGDRLCKGIPTTRHIEYCMHRVNMLRHYGVKPILVFDGGLLPIKGGQETKRARSRKENLERAREHEAAGNSRAAFECYQKAVDITPKIASELIEVLKKEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLERNRELDFNGFTRQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKCHEKVIKHLRYSAFSVPPLYEENFKKAIWAFKFQRVYDPATEDIIHLSIFTWLPQNIAKGIALGNIDPLTKEPFETKPECSAPAVEKVHPTREPIAYSNGKKRLDLPVQKNILTNYFCLASLEAKRKFRAPKWSSRDSGSDPPYEDTGIEDRKVEANDCTENKPPTSPCLVGKTPRISEPSLLPHNMEPSIPVQPHTEGTTTSKNKDIVRSSYFKTVNKRVCTNQEEQLADEEDYDIGTCNLPGDRLRKSGMLKRRKFCGIQNFKDDSLQPISSDDSPPVIDEGQNIDDADDMNLSEGRFGCNVSHVNMYSGIAEKSMDKFAALISSFRYPGSRASGLRAPLKDVKNTLSVRSILKGPEKATFRSTAKKSDLGPPSRSRYTGDNKKTAASPPEISTFAYRPVKSVQSDQDRTTNKTKNPTDAYTPMLPTVCPPDRSKFTGTAMKIADGPPDLSSFAYKPTKGAVRYSAGSRF >Dexi2A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:2A:5747995:5750478:1 gene:Dexi2A01G0006050 transcript:Dexi2A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLRLRAAAASSSGVLPLDALSEILLRLSAKELCRLRAVSPTWRSLTYDCAFIAAHRARHPEALLAYAHRDDNHAHSVDIVDLSGQLVKRVPVREDSICVLGTRLELVCFAYRYRQMALMVLNPATGAAVTLPECHSEYTGSGSDEQFHCGYGHVERYAFGRVSTTGEYKALRITSRSFRDPKDKVCEVITIDADGSNHGVWREVHKPPSLVCTGDFISSRDASPVDVMKYVVVDAVVHFLIDFKSIYFNAMGISVEPGSIASFNLETEEWMPTLRGPAPVRSFLQDNIGMFSYHVLDQQLSLSDLHGCLVTVHNIHYTSMGLWFLSDFEKGLWVKKYSLPSQVAGLLVYPLMALDDERVLLMHGTDSVVSYSPKTGIYTYDLKTGAYTHELDLDMGGLIFNVVVGRK >Dexi6A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:6A:15988075:15996343:1 gene:Dexi6A01G0011230 transcript:Dexi6A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVGSKPAMDDLTKHHALIELFQERDPIFMKLKILHKFIGDITKPTEEVYTQALYFCIGQCKRSFHPKNEDGCESKCKTLGYTSAQLKEIDSYMCKNCKYKIHQCFKCGELEPSAEPNAKVFKCNNAACGHFYHPKCVAKLLEPDDSDGSCELAKRIMAGMSFTCPVHWCFECGRMEDRTQRAMQFAVCRRCPKSYHRECLPREISFKTKDKNIKQRAWELSGIIIIYCL >Dexi5B01G0034400.1:cds pep primary_assembly:Fonio_CM05836:5B:34650731:34653223:-1 gene:Dexi5B01G0034400 transcript:Dexi5B01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLYDCWSAYKYVNSSRAISDAMAYLDDTIAVNSNYISMLAARRRYGDDTSLWRPPQTERNGYWPPAAAKTTSEADVDALGVPRGMDANATVCAAGCEYKTVREAVAAAPDYGEGPFVVHVKEGVYRETVSVPWEKTNVVLVGDGMGKTVITGDLNADTPGVSTFNTATVGVLGDGFMARDLTISNTAGPDAHQAVAFRSTADRTVLDSVELLGHQDTLYAHSMRQFYTRCRVAGTVDFVFGNSASILHATSLVVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLSRCSVNGSDEYLSLYRERPDVHRVYLGRPWKEYSRTVYLRCTLAEIVQPQGWMPWNGDFALKTLYYGEFGSDGPGASAAAAGRRVTWSSQVPEDHVDVYTVGNFIQGQEWIPKA >Dexi6B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:6B:8882554:8883667:1 gene:Dexi6B01G0007610 transcript:Dexi6B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARILAAIYFILTISPALSDMADSIELMWGNTQLLYNSDGHQIISLTLDQWTTSAFRSKSQHLFGRFDIDIKLVPKESAGTVTTIYMVTEGPWQYHDEIDLEFLGNTTGEPYTLHTNIYANGKGGREKQYRLWFDPTEDFNTYSIIWNPRMILILVNGIPIRRVKNQMKNDTPFPLFQPMRLYASIWNADQWATQGGRIKTDWSQAPFTAFFRNYTANACVPYNKSWICSQGSGDSSWFNQELDEVGKQKLKDVDDKNKIYDYCTDSRRFPDGYPSECASQ >Dexi2B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:2B:957398:959350:1 gene:Dexi2B01G0001460 transcript:Dexi2B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTSGGGGGGGASYARVSEEQDDDPVVAAAAVAEEEPCPPAPARKKEGQWGPRALLVAGLVALPLLAFFVLGRESASMVWEMATAKLNAINTRGSTWLSNASSHQHGHDVGELLGGLLAPGMDRDTCQSRYESWRYFKHFPYAPSRHLLAKLRAYEARHRRCAPGTPLYAKAIDHLKSGGGRSAAADEAAMEEECRYVVWLPFDGLGNRMLSMASGFLYALLTDRVFLVALPPNSADLFCEPFPNTTWLLPPVEEFPVPNLFSLGPRPSSSYTNLLAKKKIVVDVDNTSTSTAATPLPAYVYLSLGYQMTDGPFFCGEHQAVLGKVRWLLLYSDLYFVPSLYPIAEFQGELRRMFPDKESVSHLLLRYLFHPSNAVWGMVTRYYHSYLAHADKRIGVQIRMFHFATVPADDLYNQILSCSKQEHILPVTDDDAPAPAMAGDNATTTSGGGKNNNGGSNTTAILIASLYADYYERLKSRYYEHAAARRGGGGGVWVGVFQPTHEEWQLTGKLAHNQKALAEIFLLGFSDELVTSGMSTFGYVGSSLAGVRPTILLPAHGHKVPVPPCRRAVSMEPCNLTPPRGVKCRSSKAVDGEDLARHLKVCEDYWKGVKLFD >Dexi6A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:6A:9199607:9200418:1 gene:Dexi6A01G0008660 transcript:Dexi6A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKGPAGRVLSTFFASKTTCSLGSALKLRRPPLPLHHRAGSRTAAFFSTFPSSSSTSTTTASKTLLAAASAAAHQGPVTTTMSRSTPETARGRHVFEIDGQVHPVRHFSVGGYDWCIQYYPAGVADYSDDVSYDRAPDAGDEDEEEGDDEERYVSVFLALVSKDAPQVRANCSLKLVDPATGVSVVCPSMMKRTRVFNGAGSTWGFGKFKNWSELESSGYLKDDCLKIQCDVSIVTGTSVSESEPVSSDQYVLSESDE >Dexi7A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:7A:22456933:22459458:1 gene:Dexi7A01G0012200 transcript:Dexi7A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCEARREGVHEEEVTVHRVHESEDLLVIVVASRWSLLPHRAVDLSRVLRCKGGSQEPRLLRRRSHAVSAGSHKAPPHQNLNPPRSSPGRSQLTGFVGISGSAMESEL >Dexi9A01G0044660.1:cds pep primary_assembly:Fonio_CM05836:9A:48270395:48273204:1 gene:Dexi9A01G0044660 transcript:Dexi9A01G0044660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSCFAGLLGGGRNKASKGKNKGAFAKRINGNDCPKVKPVEFMDMADTVDVITRREHNVPGAACDSKFGVVPAPAELTPHGGQSCDKAAIKMAGGSSGCSSDRTGKSPKSASPDAGEFSSVGRMSPTPSPKLKRSCSNIETTARSSAPPSRVFDLPAKSRSCNDLYAMAPARSRSATTPSAGEEDTIPNQWLAFSTEASPLDRVSAWVNSLGDDGSFHHHAAAVDEDDDATEHGGAARPSPCSEIVEVEVPTTAGGKIRQSAAKRRAAEEVANTNNQASSVVHTLNVFSSVAHISGMGLKAVPPIAAFSTLRAVNLSGNMIVQITPGSLPKGLHSLDLSRNKIAVIEGLRELTRLRVLNLSYNRISRIGRGLSGCTAIRELYLAGNKISDVEGLHRLLKLAVLDVSFNKVTTARSLGQLVANYASLRALNLLGNPVQAATGDDTLRRAVSGLLPKIEYLNRQPIKPQRAREAAKDSVARAALGNGGGGAGGGWSSRRRTAARRVTQSPGASSNKGRGRDGGSSRRGSRSRSKTRQQGTSSLSRR >Dexi1A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:1A:1004207:1009246:1 gene:Dexi1A01G0001530 transcript:Dexi1A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGNHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNVFPLWFPFFTNTLILPIVNGPTEGLMLIYLCHIFTFFTGAEWWAQDFQKSMPLLGWVPFIPEIPVYDIVLGLMIAFAVIPTIGSNIHNVYKVVEARKGSMVLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEQLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFRITRKKA >Dexi8A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:8A:1327092:1328146:-1 gene:Dexi8A01G0001970 transcript:Dexi8A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTTRTNGKKMLATLVGCNYGGTRYELRGCINDVLAMRDTLVARFGFAPTDITVLTDDGPSSGGVVLPTGANIKRALADMVSRAAPGDVLFFHYSGHGTLVPRRHGHGHGHGVDEAIVPCDFNLITGSFVVVDRVPQGATFTMISDSCHSGGLIDQEKEQIGPSVADDINSRSVRGRFLPYGAVVGHLSATSGVDASHHVAEHLLALFGDDASAKFHGHHQQEEQRFHDDGGVLLSGCQTDETSADVPAGEDGNGKACGAFSSAVQAVLAAAQPEAAVAVSNREVVIQARKVLAEKGFQQHPCLYCSDANADAPFLCQPLHQGN >Dexi2B01G0021470.1:cds pep primary_assembly:Fonio_CM05836:2B:31258190:31264813:-1 gene:Dexi2B01G0021470 transcript:Dexi2B01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSRLDDSPMFRKQMQSLEEGAELLRERCLKFHKGCRKYTGSPGLLNPNFSFGLREGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHEMKDARKRFDKATLLYDQAREKYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTSLSNIEAKKRFEFLEAVSGTMDAHLRYFKQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMNDSPNGDGIQAIGRSSHKMIEAAMQSASKGKAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHHRTASESSSFSSSTELEHSISEDCMLEKNLGSGYFEHSGRATQHHRTSLMKPDKPIDLLRKVAGNGNCADCGSPEPDWASLNLGVLLCIECSGVHRNMGVHISKVRSLTLDVRVWEPSVINLFQSLGNAFANTVWEEMLPSSSCADHGDISRADGLESTSHGLALSKPKQSDPIAVKEKFIHAKYAEKDFVRKHSMDEIQLAQQMWDNVSSNNKKGVYSLIVGSNADVNFSYGHTSFNSALTLGKALLLQEQPTSPSNGSSRCFDRNPLEKDSPGDSISPASTSARIDELDDYVEGLSLVHLACRLADLGMVELLLQYGANVNSTDSRGRTPLHHCILKGRHAYAKLLLSRGADSQARDRDGRTALQYAIDSGTIDDDEILVLLEDPSR >Dexi5B01G0035880.1:cds pep primary_assembly:Fonio_CM05836:5B:35742047:35744054:1 gene:Dexi5B01G0035880 transcript:Dexi5B01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAKAIEDTPGTLAETRLAIAVLQWEATCTSTNLLSAVDEILRLKETQAFPMSSPARRRMDSALGVAMSRLMEEFLILRVWDASQLEGPGGLRVAVDKLSVSLDPAGGVWFLSILQLDRPFFEANSVKFEDAEWWTAEDMVRRWIMVTKLVVMRRQLMEQKCGAFDRFKDDYFMAIAKQSVVVLLKFADGFTTTRSPEKLINVLELYETLSDSAPRLFPLLTGQHAELISKQKLGVGVHPLARHAMACVEMLTPHRAALDLILANAGEAERGGGAPDGGAVGVTSFGSLVSELIAGLERNLDERSELVFAAVGSPPWRHLFLANNASFVLSRAEDIAGVASLLGDEWAERRRSRIDQHVYTH >Dexi7B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:7B:20741947:20743170:1 gene:Dexi7B01G0014540 transcript:Dexi7B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDSKGIKKGPWAPEEDKLLVDYVQANGPGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTAEEHNSILQLHAIVGNKWSMIAAQMPGRTDNEIKNYWNTHMKKQLRQDSLAGDAAAASQQLTAMAAAASLPFPAARHMAQWETARLEAEARLSLLSSSPATTTTVTSATASSSSCAAAAADLAAAAGPDIFLRLWNSEVGDSFRGSSAQGVVAEAAHGRPATASPAAAVMPPAMAGGDDDSAASTNEGTEAADDYQAFLEMAVEEFALLHGRLGGAFSAFPPVADVLAEASCLFSPFE >Dexi3B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:3B:1730590:1731718:-1 gene:Dexi3B01G0002550 transcript:Dexi3B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRAPPPSLLFLAASLLAAALGWLIVFAARRPSRRRSARLPPGTTGLPLIGETLRLISAYKTPNPEPFIDDRVARHGTGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHCSYPSSIATLLGAHSLLLTRGAAHKRLHSLTLTRLGRPASPPLMAHIDRLVLTTMRNWGEPAAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIRKRMDEKMENGGAKGEDDEKRDMVEELLDAEGGTFSVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALSQLKES >Dexi3B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:3B:2433440:2441747:1 gene:Dexi3B01G0003600 transcript:Dexi3B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPCQSLKHSRQAGRQARRHTHRSSITAPPSTPPAPFHGDIYQLDLEACVHLHTYHRRAAPASLPEDHIQLLPPSYRTSSSLACSRISERSIVRKNNKTKIIEQEMRSGGCAVQQELAVEAAAVMRQAVSIARRRGHAQVTPLHVATALLAADAGLLRAACLRSRSSSHPLQCKALELCFNVALNRLATAGSPAASMFHHPRPPALSNALAAAFKRAQANQRRGGGGGATSPEGQPQVAAAARVELEQLVISILDDPSVSRVMREAGFSSAEVKANVEKTVSSSPEQSSTNTAASSTSAAASPNPPKPKGKVVHDVAGDAARVMDAMASGRSHRSVVVVGESAAAAEGVVKAVMDKVSKGELQLKHECLKNAQLVPFSAASFQSLTREEVVAKSGDLRALVREGRAAGKAVVIVLEDLGYAAASSRHHGHGRYCPVEHAVMELSSLVRGGGGHGMFWLLGFGAYASYASCRLGHPSLETILELHPIIVPEGCSLASLSLGGEHRYTTSLIHTSIQRVALAHAEITHCGGADMVVATAASVPSWIRRCQPQQQGPVLTGSELTLSFSLAPASSSIYGFTHHYDANMMSCGPWQHDHLITIDRRQPQSNHGHDVLPIMAESCYDQQLMLANPYNPAGSSSNSVSKSNSSDAATPEPAAARRRCPKKFTELTAENLKILCSALEKRVPRHRDIAPAIASAVLQRRSGVTRTTRPTISATWLIFQGKDNDGKTDMARELARLVFGSCSEFTCIMTTTAAPELTLAPSGSNSGDGRSLKRRQRSPENDEHGYMQRFYEAIRENPHRVVMIDSVDHHDSSEAGGIENAMANGTVRGCNNGDVVSLEDAIVQRVMGDDIDISKVEDDAAAEKGDAARFGLDLNACAATVDGGEEEEGTSTLPNDMRILSAVDGRRISPPNPTRELRARVASIPQPRGAATSPPPRHPASSPPPCHPAATAPPCCQPPPQIRASGHPPWLGPGTGRRRSCRLESGESMAPTGEAPTVLCY >Dexi8A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:8A:601112:603520:1 gene:Dexi8A01G0000900 transcript:Dexi8A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLCRRRRRVEMARSSQLDDQLSPVVDAALSTREITIVEAPSKNACHASGSTTSTSSGPHVCADLPDSLLHEIILLINSFHDFVAFIGTCRSWRAAVSSFPSVYTFSFPPLHLKPDAPYFQPHSRGIKPLLLSNCKWQLSVPCKKNLSRSCSVSQNTPNSMSYLGCSYGYLIFAYDEHCLLVNAYTGAKVEPPRLPYDDDLGYLSGIGVLTAPFNSPNSRLLFSKASMFEWQVGTSCWSVYPLALDYERIHQIVFFQGRILVIDALMRLHTVQLTPQFSMQQVKIMWWSLEHGPVNPWLVTFGDMLLMVDLSFHPLYSGEQYDISSTDHSRIFVVFCLDFSVKPAKWGEDGEVGKSSSVC >Dexi4B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:4B:3194498:3197769:1 gene:Dexi4B01G0004480 transcript:Dexi4B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPIFAAIFAFLLLFLLHGPSPTLSAGCSDDRSALLSFKSGVSSDPNGALASWGSPNVCNWTGVTCDMASRRVVKLILTNQNLAGEVSPALGNLSHLNILNLSRNLFTGRVPPELGNLFHLTLLDMSLNSFVGRVPPELGNLSSLNYFDLSGNYFVGGVPPELGNLSKLKQLSIGGNSLEGPIPVELTRIPNLFYLNLGENNLSGHIPEAIFCNFSKLQYIDLSSNFLVGRIPIRGDCPLPDLMFLVLWSNYLVGGIPPSISNSTKLKWLMLENNYLTGELPSDDMFGNMNNLELLNLSFNNLESPENNTNLEPFFASLTNCTSFKELGISWNGIAGTIPPLVGRLSPNLEQLHFEYNKIFGPIPVNLTDLSNLTTLNLSHNLLNGSIPPGIAALNRLERLTLSNNQLSGEIPPSLGTIPRLGLIDLSHNRLTGTIPATFSNLTQLRVLVLGHNLLSGTIPPSLAQCVNLQNFDLSHNVLQGKIPADLSGLSALLYLNLSSNQLEGPIPATISKMVMLQVLNISSNRLSGTIPPQLGSCVALEYFNVSGNEIEGDLPDTIAALPFLQVLDVSYNGLTGALPLSLETSASLRRVNFSYNGFSGEVPATGAFANLSADAFLGDSGLCGLVAGLSPCGGARRRVVHVHDRRVVLPVVITVVAFTLAIAGVVAFRAAARSEVVRRERDSRRSMLLTDADEPMDRDHPRVTHRELAEATRGFEPSSLIGAGRFGRVYEGTLRDGTRVAVKVLDPKTGGEVSRSFKRECQVLRRTRHRNLVRVVTTCSQPDFHALVLPLMPNGSLESRLYPPDGGPGRGLDLAQLVAIAGDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAQLVKDVGDKDFDGNSGDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMLLELITGKRPTDVIFQEGLTLHDWVKRHYPHDVGEVVAQSWLTDAASAVADERLWNDFMVELIDLGLECTQHLPSARPTMVEVCHGIALLKEDLAKHIGAAVTTARGPASMTMTASERSFSTTDSSF >Dexi8A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:8A:18067890:18070547:1 gene:Dexi8A01G0010570 transcript:Dexi8A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEMKENGVAVAAGAGEKAAAQPHLTVKRGEATLVKPAEPTPTGEQYYLSNLDQNIAVIVQTVYCYKASGGDKQDDVAAALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGAVFVEADADCDLADVGDLTKPDPAALGQLVFSVPGAKHILEMPPMTAQVTRFRCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGAAHLTVPPFLDRTVLKARDPPAHTFPHHEFAEIPDVSDTAALYASQDLLYRSFCFDPDRLERVRSLAGAGDDGDDHLPPRRFTTFEALSGLVWRARTKALGLAPDQRTKLLFAVDGRRRFSPPLPPGYFGNGIVLTNAIATAGELLSAPVSRAAGMVQEAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDEI >Dexi1A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:1A:6038510:6045835:-1 gene:Dexi1A01G0007860 transcript:Dexi1A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDSEQCLHEGVASKRRKVPGNSLCNLPMLPSDFKGFLNLKSLTLVDVRIIDDDVQHAASSSSTPTAASSYAPTVVASSAQAAAQAEALRAPLNPLTSIVGLLGRTVQPPPLTPAVAAAYHAELTALATATGLADPAGLADLAATTGLRGFAGSLPLDGGMRMWTGLMCRERPYCKEDGELRTGLPHEHAHLKSVRICGFFGYKDQLELALHILHSSTVLEKMEITPKIRDK >Dexi4B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:4B:4067797:4068591:1 gene:Dexi4B01G0005760 transcript:Dexi4B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTAASVRVLLLLAAAVLLPATSLAWRQSNCPPPAPGSGSGGHGPGLPWYPPPGSGSSPGSGSGGGHGSPPHHGKPPKQHHGKPPSNCPPCNPPYTPPPTPRPSPPYVPPYTPPTPRPSPPYVPPYIPPTPPYVPPYVPPYVPPTPPYVPPSPPYVPPYVPPPTPTPTPPAGRTCPIDALKLNACVDVLSGLIHLVIGQEARTKCCPLVQGVADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHAPPGFKCPTLYD >Dexi7B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:7B:17222699:17223458:1 gene:Dexi7B01G0009700 transcript:Dexi7B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLNPTSRRLATSACRCITTPAVAGPLASRRNSQGLPSCPFLSRAAGSQCMMGVSESSRFHASLKKDGVHTKRLVVNQVLPPSASDCRFCAAKRREEGRAFNAIREDRELGGLKLIQAPLLDVEVKGVPALRFLSDSVWK >Dexi1B01G0021260.1:cds pep primary_assembly:Fonio_CM05836:1B:27203126:27205951:-1 gene:Dexi1B01G0021260 transcript:Dexi1B01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGGHCIGDLGLGKRQLLKGWPDLWLAGDTPGHRAAAAMAGAPPPPEPDQTLALPDALLLRVLACLPEPHLTGAASLVCRRWTRLAGRLRRRLAVRDWTFVAHRLPYRFPELADLDLFPASIAAPTAGAAHAASASPLLTCAAVSLTLDTSANPPLGACRFLDDDALDRGLAAVAASFPNLRRLSATAAAESGGLMAIAGGCPTLQELELHRCTDLALRPVSAFAHLQILRIVAASPALYGTGEGGGVTDIGLTILAHGCKRLVKLELLGCEGSYDGIAAVGRCCAMLEELTIADHRMDGGWLAALAFCGNLKTLRLQGCSRIDDDPGPSEHLGACLTLESLQLHRCQLRDRRALHALFLESKIPVIRKVLLTNYSRSRIGDNLLERPAEPRSRLLQ >Dexi4A01G0022050.1:cds pep primary_assembly:Fonio_CM05836:4A:25414448:25414616:1 gene:Dexi4A01G0022050 transcript:Dexi4A01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLLADAPQHRRKCQCTSICLKNDNAPN >Dexi5B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:5B:5778736:5783810:-1 gene:Dexi5B01G0008530 transcript:Dexi5B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSHSPIVSYLLCCLLLLTLAGCNGEVATAKPKVGFGYKLVSLVQLPNGGGLVGCLQVKQRTSTYGPDIPRLRLFVKHERRERVRVQITDADKQRWEVPYNLLPREPAPPVTGGKVTGAPFTAGEYPGEELVFTYGRDPFWFAVHRRSTGQPLFNTSAGVLVFKDQYLEVSTALPKDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLDKIHAQGMKYIVLIDPGINVNNSYGVYQRGMARDIFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCTIPKTHQCPIPDSKTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHKALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPSPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYKLLPYLYTLNYQAHLTGAPVARPLFFSFPDFTPCYGVSTQFLLGSSVMVSPVLEEGATSVTAMFPPGTWYNLFDTTKVVVASKTGATVKLDAALNEINVHVYQNTVLPMQRGGVISKDARATPFTLVVAFPFGAGEQADAEGAVYVDDDERPEMVLAEGQATYVRFHASVRGKDVTVRSEVQMGSFSLRKGMVIEKVLVLGLVGTGKDLAIQVDGTDADAVALSSPYFTAGGNAKVQGIEGVEEDSKKKSVSVEIGGLALPLGKSFTLTWKMQIEA >Dexi2B01G0024180.1:cds pep primary_assembly:Fonio_CM05836:2B:33605324:33605615:1 gene:Dexi2B01G0024180 transcript:Dexi2B01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFGKHVFPRQVPFASSRRSLLFFGATTYDVHRSIKNNEQPPTREQMEALQDYINSKKQ >Dexi6B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:6B:13919134:13919873:1 gene:Dexi6B01G0009520 transcript:Dexi6B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGQQGQHGHGTTGRVDQYGNPVAGVGHGTTGTGGGMGYGTTGTGGMGQLGEHGGAGMGGGQFQPVREEHKTGGILHRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGHKDDQQGMATGGAYGHQQGHTGMTGTGTGATYGQQGHTGMTGTGTGATYGTEGTGEKKGIMDKIKEKLPGQH >Dexi1B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:1B:10643784:10644352:-1 gene:Dexi1B01G0010800 transcript:Dexi1B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQTGKVKTLLGLALTRLAVARRPRVARRSISSSDVGQFLALGHLDRALHRAEHVIEEDNILEAFSIIELYCNRLIENAKQLDKPHECGEDTREAAAGVIRVVWRAAGAAVCTPYPGK >Dexi3B01G0025360.1:cds pep primary_assembly:Fonio_CM05836:3B:20147081:20148678:1 gene:Dexi3B01G0025360 transcript:Dexi3B01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISFVPSCPKQLASMDTSATTWTMVAAAVTFLAVALASLRILRTSSSKNMKPLPPGSFGLPLVGQTLSLLRALRANAGDDWLGRWFATYGPVTRLSYFGCPTAILAGAPGNKFIFSSASVAPKVPASMAMMVGRRTIRDMAGDEHRRVRAMMVQFLRPDACKRHVAGVDAEVRRHLGDQWHGKATVAVMPAMKDLTFDVMCTVLFRLGRDSSKSEATRSELATEFQQLVKGITVIPLNLPFTSFSKCLAASRRGRRAVAGIIHERRIKLETGQSSAADDVVTHMIAEGLPDAEIIDNVVLLMIAAHDTTAALLTFLIRHLDANREAYDKVVHEQEEIARCKEPDEPLSWEDLSKMRYTWAAALETLRLVPPVFSVLRKTTADIEFGGYHIPKGWQLIQTMSTTQMDAAIFPEPGRFVPERFEDTSAMPPFCFIPFGGGPHVCPGNEFARVETLVAVHYIVTRFKWKLASGCDGSYVGFPLPYPSQGLLVDIEPRHHQTN >Dexi2B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:2B:146686:150788:-1 gene:Dexi2B01G0000330 transcript:Dexi2B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGLLPSSLLPPAGRGHPERTLRLLAVLTSTPLPAASTRDPPGILRFASLPPSSLVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTDEEDEKLKRHMKPDFLDMVPWTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKGPRDDTQKDDLLYDYFNEREDLWYPNPSSFTYERRFFKPFEYALQPPP >Dexi3A01G0031570.1:cds pep primary_assembly:Fonio_CM05836:3A:35994172:35996412:-1 gene:Dexi3A01G0031570 transcript:Dexi3A01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLATGSFSTAAARRQVTNVISSRSSVSFQNHRMTSVSIRSRTSSLRFKICCSAKKETVDKVCNIVKEQLALPDGTAITAESKFAELGADSLDTVEIVMGLEEAFGITVDETSAQDIATVQDAANLIEKLVLEKAS >Dexi4B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:4B:18946372:18949468:1 gene:Dexi4B01G0016800 transcript:Dexi4B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGKVFGKSKQQSQATALASLDKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPTAQQSNRPSAQSSKAEDDELAALQAEMAM >Dexi5B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:5B:19500598:19502635:1 gene:Dexi5B01G0017650 transcript:Dexi5B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMEGYDREFWQFSDQLRLQTAAFSGLSLGDSIWSPAGALAAAAAADRRNSQPDAAGLFAEEPAKNNGGGPGLIGSGKLAFGASKADRYNNLPTSKAAYNNNTTNDSGINSGYDSKNNNSSSSFAFNKMGSYGGYNSNTNNSGDVKSYFNKSAGRPASNNNNGHHAGGGKKHGGEYGKKKHAKNEGGSNNNSSNGTAADKRFKTLPASEALPRGEAVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVTEALALLDIFADKDDA >Dexi9A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:9A:13635633:13636478:-1 gene:Dexi9A01G0018560 transcript:Dexi9A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLSPARTTRKASAAAHARSASEPTHPALARLDVAVRALRAWSFCCCGGSGGSSSSSGYGLALLEAVLAALGELLATPRPAAALHDADHVLDAFLELADAYGTFGAALLAAKQSVADAEAGARRGDAAAVAAAARAHRRTEKELRHLAAAMRHASRHAAAAVPTTSAADATGTTAEVVIRVVAEATVAAAEASAAIFSRCAAMSPDVSAMVQRVSSHKWLARLGVAPVATKVAPEMGSAALERLEELEECLAGLESESEKVFRMLLQARVLLPNIHNPL >Dexi1B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:1B:7202520:7204085:1 gene:Dexi1B01G0008580 transcript:Dexi1B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLAIAALLLVTFSSACYPSFATSNGFIQCLTEHIPSELIFTPGSINFTTMLVSTSKNSNFLTNTTVKPICIVTVKDASHVQAAVVCGRWNGVRLRVRSGGHDYEGLSYRSARAEVFAVVDLANLHTIAVCVPTATAWVDSGATIGQLYHAVAKTSPELGFPAGECPTIGVGGHFRGGGIGMMMRQYGLAVDHIVDAKMVDANGEILDRATMGEDLFWAIRGGAGESFGVVLSWKVKLVKVPSTVTMFRVTRTVEQGAVDIVTKWQDVGPTLPWDMNMMVRVQRQQAMFQALYLGRCDAILPTITSRLPELNATRSDCEEMTWLEAMAVIGNGNTNTTALLDRNVGFNSFFKVKSDYVRRAIDKGVWHKIFNTWFTMNATGWVMLEPHGGVMGTIPTEATPYPHRSGVLYIIQYIVGWSDDDGSASAARNWINNFYDFMAPYVTTSPREAYVNFRDLDIGQNTVVVNDVSTFDSCKMWGEKYFGGNFQRLAMVKGKVDPTDYFWNEQSIPPLLFHATR >Dexi1A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:1A:18799824:18800657:-1 gene:Dexi1A01G0013570 transcript:Dexi1A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPPPPPMIGRAGNLTVFITPPSPASTPRGTSRTPPPESPRSDFSTPTPQRAAPSPSPSPSPRKPASPAAAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASSGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYEATGKIAS >Dexi6A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:6A:26081484:26082025:-1 gene:Dexi6A01G0018340 transcript:Dexi6A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNNDQQAAENAVGHPAAAPARQQKRRSAWTGVRFRQGGRWAAEIRVPRTKERIWIGVFEKEWEAALAYDAAVFCFHGENPPPRRKFNFPAAPRPGDIPEDVRAHLTVAGIKYIAKKHAMAAAGRLPPPPPPPPANTMAAEHLQVAALAPAAGAAPPPAIYHLGHR >Dexi2A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:2A:28034357:28035460:-1 gene:Dexi2A01G0016440 transcript:Dexi2A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPPESAGTPRRLTVADLLRLRRPTTGASSLHFPSAPAPTSRSPSRPRKKPKHAATAPASTSGTAPFAPISYPVLLSGTLSHPSAAAPPGCRDNCFSFSDPTPSTSVSPAASVCCCLLDFDPTALGREIRVLAWNYLPSIRLHGAAGVLEVVRWCLAEEEPAPAPERSFLEIIPLHCPAQNPVLATRGCVFGVVRSVSVAFSVPQANAEKKSVSSVGFLAEILCCGCRRCKLSPPEAVQDHKFEAVKFVCFVDSVCTWRPLMVWLVGRLVYVSGLMKKMASVAEKDSHIMLVSSSNTAMAWCRSYRGNVPLDGSPEKCSGAYAGVITGIYLQGQVVELDDTVCLLIDDLLLLPPHSLRVGAVVCG >Dexi9B01G0028810.1:cds pep primary_assembly:Fonio_CM05836:9B:31358465:31359852:-1 gene:Dexi9B01G0028810 transcript:Dexi9B01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTRGSVRIKEEVERLKKAFAPEQTGLTGLRPIPSRKYHIRRGERGYRELMESRLDRARNMSPKLIGPQNIPVTPFENPITGHSFHIIEMISWWKKGYVVDILVRDTDGYIVAFRRRRLQGSRWQQGTWYRYKDVEGLPEEIQENSVKLSFDSSHGSSSRTKPGGIRVLQHMFEVLATFQDCNRDETGKLLDETDEGRVYEALLKAIIIFSEALRFRSIYLTIRARIRYNAASSELHSSLWDLIHVWGHSSSEILQLCAGSEELPALDTGAHLLNTQVRRPDLAEPVTLATLDDAIGSAGELMYLKVRSDLIMSDEKRSLAEEVMRERKVNPVPEPNFRDVDLPE >Dexi9A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:9A:4856348:4859969:-1 gene:Dexi9A01G0008220 transcript:Dexi9A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHVLALPFPAQGHVIPLMQLSHRLVEHGIEVTFVNTEANHALVLDGMPADDGAGRSSSLDADGIHLVGVPDGLADGEDRKDLGKLVDAFSRHMPGHLEELVVRIEASGGTKISWLIADEGMGWALEVAKKLRIRSACFWPGSAAFLASTFNIPQLIQDGNQPTIFQLLIRNNEAKDLAEVILCNSFLDAEPGAFELYPNILPIGPLFTDDLQLKKQVGQFLPEDTTCLEWLDAQPDRSVVYVAFGSFTVFDPCQFEELALGLELTGRPFLWVVRPDFTVGLSKAWLDAFRHRVGGSGMIVSWCPQQRVLAHRAVACFVSHCGWNSTMEGVRNGVPFVCWPYFTDQFQNESYICNVWKAGLAVAPGADGVVTKEELSGKVERVLGDDGIKERVGALRDAARRSVAEGGSSHENFKNTTTAHVPFPPYLMASPPRVLILPYPAQGHVVPMMDLSHCLVEHGVKVTFVNTELNHGLILGALATRDSELAGIDMVSVPDGLGHGEDRKDLARLTDSFSKVMPLELEKLVRRINGDARGSEKISWLIADVNMAWAFHVAKRLGLRAAGFCPSSAAMFATRIKIPEMISDGVLDESGWPRWRGTFRLAPAMPPVDTSEFSWNCAAGDAKGQPVIFNLILRNNAATHLAESIVVNSVHDLEPGAFTLFPNVLPVGPLLSEKPVGSFWAEDDAASCAAWLDAQPASSVVYVAFGSLAAYEAAQLVEMAEGLALTSRPFLWVVRPGSATEELVDELRRRAAASRGRVVSWCPQRRVLAHPSVGCFLTHCGWNSTMEAVANGVPLLCWPYFTDQFLNQSYICDVWRTGIKVPRGGDGEGAGLVGREVVRGKVEELIGDAGTRARALALRDIARRAVGEEGSSRRNLERFVDLVKGLAS >Dexi9A01G0045600.1:cds pep primary_assembly:Fonio_CM05836:9A:49180884:49182409:-1 gene:Dexi9A01G0045600 transcript:Dexi9A01G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPKDIDWTRVVSRYVRDETYEGIEAPHWADLTDPNAGRAAVDDEAWFCRPDCRHPKTAEDFLKLSPSPKGNLLRSVSAMLPFGERDVNATNLRDGNNNLKWRGGAGGAVPTFTPAKPKTAPKKRFQEDSENQDPALATPPPRQAPFRPPLGAPRWNKNAKDAIKSSAEKRPVNAEKEALLNKHVPPRQLKSTLSARNLFSGKDILGQISDFYDELKRMVGTGGSQPVKDTQEEHSSNPMNGSDAAEKVTCDAGISDPISSETVKKEGKEGGVEGGSREAEVTLCAEGGEGNTTDSAAVSIPISEAY >Dexi4A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:4A:15132044:15133862:-1 gene:Dexi4A01G0013930 transcript:Dexi4A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQQMAGDVYQQQMYGGEMASYGYGQQPGGYYVPNAGYAHASTNEMSQRMNGLTMQDSSLYGMGPSLQQRNRPSRPEDSLFSDLVSIAKTRPSKTASNKPGGFARHARVPVAQKLPLDIIEAANAYASDDNGDDDTPHL >Dexi9A01G0025760.1:cds pep primary_assembly:Fonio_CM05836:9A:26455002:26456072:1 gene:Dexi9A01G0025760 transcript:Dexi9A01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFAVERNSKTRLLLDVSSGKIRGLSSSVFFPDATCAFENGGWLLMLQRKPPSGFFTERAAGAVFLLHPGTGRRLDLPPYDSSSDDELFVFHVDSHGTPLVATRVETLGFVPTVHVACPGDTYWSVYEHDTTDIAVVDPPPPRGESRATPRRRQRRLLDPASFVDVALLGTQAVFLDANGEVVVFDLAETAWRRRTPAVRPDSGFGQYARSLVAAGGEVLLVSRPRRTAAEKNAFRFFKLDMEALEWSPLERRELEDTSWFLRRGQSFRAKDTGRRRVYTFSGPEHCGGGGGSVAAEASVAAGSFSLGQGMSMKAITNVYACDLDDGSVEMVMPASIVTEAHHWVRPSVFATPAT >Dexi3A01G0026450.1:cds pep primary_assembly:Fonio_CM05836:3A:22675312:22683302:-1 gene:Dexi3A01G0026450 transcript:Dexi3A01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPTLAPIALPCHSRTVLATYGLGRGGGPAGGEEGGGNEEGEEGPLRSLPRWNQRSAATTCYSTDILRLLTLWFNHGATSEVQIALQKAQEVVDKIRQHSGSLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENAFIQAYGHELLEAHECCLKYRATGEDAELTKLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRQSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAHTVVSSEEAAANQELVQPQRGTRERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSASGAGSSTHHGSEHWASGDARDAEPGLSVKVQVVPILVSSYASRSS >Dexi9A01G0036870.1:cds pep primary_assembly:Fonio_CM05836:9A:41197172:41201949:-1 gene:Dexi9A01G0036870 transcript:Dexi9A01G0036870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGRGGRTTTMRLALAVAVALVAACGVRVCHGTTDTQDTTVLRALLDQWQNAPPTWGQSDDPCGDSPWEGVTCANNKVISIKLSTMGIKGVLAADIGQLSDLQSLDLSFNKDLGGVLTPNIGNLNQLTTLILAGCSFHGSIPDELGSLPKLSYMALNSNQFSGKIPASLGNLSSLYWFDIADNQLSGPLPISASDGMGLDKLIKTKHFHFNKNQLSGPIPDALFSPEMTLIHLRLDRNSLSGPVPSNLNNLTKVNELNLANNQLTGRLPDLSGMNLLNYVDLSNNTFDPSPCPAWFWRLPQLSALIIQSARLYGAVPARLFSDPQLNQVILDDNALNGTLDMGRSISGELSTVSFKNNEFSSVTVTSSYNGTLALAGNPVCARLPNTPYCNVTQRSLSAPYSTSLVKCFSGTCPAGQSLSPQSCACAYPYQGIMYFRAPFFHDVTNDTAFQALESMLWTKLDLTPGSVYLQDPFFNSDSYMVVQVKLFPSGDTAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEKSSSSKSKGVIIGIAVGCGVLLVALAAAAAYAFVQRRRAQKAKEELGGPFASWARSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGQFIAIKRAQQGSMQGGHEFKTEIEMLSRVHHKNLVGLLGFCFEQGEQMLVYEFMSGGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDSERGHVSTQVKGTMGYLDPEYYMSQQLTEKSDVYSFGVVMLELIVAKQPIEKGKYIVREAKRLFDASDAEFCGLKEMIDARIMNTNHLAAFGKFVQLALRCVDEVATGRPSMSEVVKEIEVVLQSEGLGSSSTSASTSATEFDVTKGAPRHPYNDPPPKKEKEVSTDSFDYSGGYSFQSKVEPK >Dexi9B01G0040020.1:cds pep primary_assembly:Fonio_CM05836:9B:40664186:40668627:1 gene:Dexi9B01G0040020 transcript:Dexi9B01G0040020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPQPQGAMATGAAILTVPCSAGRSPKRSSQRRSSASLSVRASSDANTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLNSTGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKETQLLQGVDGHHVYFVHSYHALPSDANRDWISSICNYGDSFVASISMGNIQAVQFHPEKSGATGLSILKNFLSTKSSGAKVTPRRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDHSSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEAFLQSGVCKDREKQLGANLQSIWQSGPSGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDTVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVLDPTLSSLL >Dexi2A01G0026520.1:cds pep primary_assembly:Fonio_CM05836:2A:38109362:38112736:-1 gene:Dexi2A01G0026520 transcript:Dexi2A01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGRSPALALLGLLLLAAVAAARGSQDDDGSLLRLPSSAPRHLSPRSPRSAAVDLIRALNLHPADASPRATTNGAPAPAGTLVERSIRLAAEAGGVAGGTSVEDLGHHAGYYRLNNTYDARMFYFFFESRGNKDDPVVIWLTGGPGCSSELALFYENGPFHIADNLSLVWNDFGWDKASNLIYVDQPTGTGFSYSSDSRDTRHNEATISNDLYEFLQAFFAEHPKYAKNDFYITGESYAGHYIPAFASRVYRGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALNMGLITEAQFNRINKIVPTCEFAVKLCGTSGTVSCLAAYVVCNTIFSGIRMIIGNKNYYDIRKPCIGSLCYDFSNMEKFLSLKSVRESLGVGDIEFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAYVSSSEKPFTVDGKEAGLLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGTLSDPPSISQKLDFDM >Dexi1B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:1B:5435975:5436821:1 gene:Dexi1B01G0006730 transcript:Dexi1B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRKLMWTKEIDTSFVGFTEDGAQWLLDNTDIKLVGKKLCPDSAYAIIPIEGLKLDHVKAGIYMLHCLPLRLIGCDGSPIRCILIK >DexiUA01G0006850.1:cds pep primary_assembly:Fonio_CM05836:UA:13157191:13157598:-1 gene:DexiUA01G0006850 transcript:DexiUA01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPRSPCLAPGGAHAGGGGHGAHLARPRRRSPACLPVVEAGHGAQPALIWLDPGSVHLHARRRWRPWRSPAGGGARCQAADESERSSLPCWAADSKVGTKMTTALGAKILRDALFTPAAVGVLPASPTARSSA >Dexi7A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:7A:21714299:21715232:-1 gene:Dexi7A01G0011080 transcript:Dexi7A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPMALTALALGLALLCFATGRSAAQNCGCQPGYCCSQYGYCGTSAEYCGTGCRSGPCYSSGGGSSGGSGANVASVVTDAFFNGIKNQAPSSCEGKNFYSRSAFLNAANSYPGFAHGGSEVEGKREIAAFFAHVTHETGHFCYINEINGANNNYCDSNNRQWPCVPGKKYYGRGPLQISWNYNYGPAGKAIGFDGLGNPDAVAQDPVIAFKTALWFWMNNVHGVMSQGFGATIRAINGALECNGKNTAQMNARVGYYKQYCQQLGVDPGNNLTC >Dexi6A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:6A:8478545:8479033:-1 gene:Dexi6A01G0008190 transcript:Dexi6A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLGFLLPRSRSRQPAVTELETTTSTCTTEAVRRKHIFEIHGYSSLLGRIGAGEFVHSAAFKAGGYDWAVRYYPRGCSLASPEDYASIFVILTAPAMAEAPVLCETAKVEEKEYLVGDVIKI >Dexi9B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:9B:11466935:11469044:-1 gene:Dexi9B01G0016670 transcript:Dexi9B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGALYTVARFFYFKGYATGVPDNRLKIGGLNFLAVFGLIFCTASFGINLVIRETL >Dexi9B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:9B:3223590:3224096:-1 gene:Dexi9B01G0005510 transcript:Dexi9B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRKSVEVNEIAAAATCANGCGFFANDATGGLCSKCHRDQAAADAMATADEEKMMRSVFDDTSGVFAPPPEKKARMIACAADNASAAAAATVTATAEQKKPAAANRCTACRKKVGLLGFRCCCGETFCGAHRYAEKHACSFDYKTAARERIAKNNPVVVADKIAKI >Dexi9B01G0039830.1:cds pep primary_assembly:Fonio_CM05836:9B:40513116:40519684:-1 gene:Dexi9B01G0039830 transcript:Dexi9B01G0039830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQAGGWKPALCVVLSEVFSTGTILRSKVAIDWGTFVFSLLFYRSILGAVFTLPFALFFESGKWKDLDKGALGWLFLNAFAGYSSPMSLYYYGLLDTDASYAVIFASLTPLVTFVLSIVLGMEKLRLRSKEGSSKVTGVLICFGGALLISLYKGKVLLLFRAIVKAGHKDSNETAGRHHLRGTLLLLGNCISYACWYPVQVRSIAALGTAAKYWLNLYAVEKRGPVYPPMFSTLSALFIIILGTLLLGESLTVGRLVKHYIPVPRFGNFLQKAKEPEEKTSSGSRDKELQVWPTHGSASEDTISGP >Dexi3A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:3A:2520810:2522256:1 gene:Dexi3A01G0003760 transcript:Dexi3A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESVWCNRIAIQMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >Dexi7B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:7B:15869662:15871096:-1 gene:Dexi7B01G0008080 transcript:Dexi7B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEAKGKRARASSSSSFAVGDRLCSLPDCLIHHIMSFMKARQMVQTCVLSTRWKHLWRSVPSLNIDLEEFKTEGAYHANVEERKKFEDFADHLLIPNNISIALLDTFQLHVDYHGCQNLEDLELKGCPCNFHEIMSHTLKKLILKDCRCYVLSAITSQTLKRLVISGCTCYSNDGPLVIMAPAVSYLLLSVAIYYFKGGIVLNEMPSIVKVLTEEFPQFENLKTLLLEKCDLSDNFQTLGHFLQSSPSLEKLTLRYCMFSKDPKKKKGKVKLNKQSLSQLDVRCKNLKQTEIIYRDDDVHKLVELLLSIAGSLPENNIKLTKVDSP >DexiUA01G0002980.1:cds pep primary_assembly:Fonio_CM05836:UA:6333260:6334216:-1 gene:DexiUA01G0002980 transcript:DexiUA01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPDVWQGEEQSSWARARHRQWILLVLGFCLLAGKVAVITGGASGIGKATAFEFVRNGAKVIIADVQDDLGRAVAAELGGPDAACYAHCDVSDEAQVAAAVDLAVERHGRLDVMFNNAGIGGDAAAPGPLASLDLGAFDRVMAVNARGALAGVKHAARVMVPRRRGSIVCTASTAGVLGTAGIAAYGAAAVAVVRAAAAVNAVSPHAVPTPLVMGTVARWFPGRSDEEMRRIVENMGEMEGTVLEAEDVARAALYLASDEAKYVNGHNLLVDGGYTVSKTPNMPAAP >Dexi4A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:4A:1294936:1295256:1 gene:Dexi4A01G0001960 transcript:Dexi4A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSIASPVLVFLAMALMLAACAQAQAPAPAPAPSQGSCPPGFKNYFDLTDYLRATGRELIMDTVPGLLPTVKNVIGIIPHTGLKLCVCFRTTIVSLPIQCVSY >Dexi3A01G0035150.1:cds pep primary_assembly:Fonio_CM05836:3A:40385666:40387387:-1 gene:Dexi3A01G0035150 transcript:Dexi3A01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPADVDLGKLSYEIFSLLESKFLFGAAGSIPGTPARAPPPPRDEDRGRVRVLAIDGCGPSPADALLAAVALARLESALRDLAGDPDARLADFFDAASGAGAGGVLAAMLFLKGEDGRARYSAADALAFVAASLGRSKGGGGWGDGGGGGARRWASMLFRRGERRSSSSSSSSLLRRVFGDATLRDTVAPLLVPCYDLSTAAPFLFSRADAVESDSFDFRLRDVCAATCAGGATPAAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSSSAAAAATATPSAGWRTPILPPRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPAAWSSSGKKTVDAKKAVAAADGMLTQRNVEAELFRGRRLSEKSNREKLEGFAAELVKEHERRARCTGLPNVVIKQVAATPRPSSATTASSATATGRTASTMPSPASQGSYGH >Dexi4B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:4B:16059041:16059403:1 gene:Dexi4B01G0014800 transcript:Dexi4B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGVSSKILVETTSAPLSRFPSMLTWYSLVRAVRLTCPAISSSFEMILDAGAADTNRICSPGSSKSPVRLRLGEDAMMSNAFRLLGRRPWTTVLPVLSSVVNEGAETEVCMRLP >Dexi8B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:8B:1052592:1054051:1 gene:Dexi8B01G0001600 transcript:Dexi8B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTKTRKLVKGLAKPAPTWLKAMEEAPPVTFPRVDGKIKKIELPEDVYIKKFFKKHPDSLYHDAIKISGFDPPPARVFAWRILELKEQGVSEDYAMAVADFEYRKEKKAKKKAYKELKEIARSEGREPPPDPYPSAIKEIQAEEKKYVMDRFCNPKIIEIANKMKEERDMLLQNRAESGQW >Dexi5A01G0036820.1:cds pep primary_assembly:Fonio_CM05836:5A:38246750:38249620:-1 gene:Dexi5A01G0036820 transcript:Dexi5A01G0036820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGYLHRSGDPSSVGEADAASSSAASASSSARGSTSRTTRLRRGVRLRLRRRRQEPALAAGAGAGVQDDLALPLGMSFAAVLAQVMSTKNRSGERLQPTLLSRSESESGLLMQVCTSAVKESLRNIYGDKLDSFMKNFEKSFSSTLTTLHLVNEMPVYEQNPIPRCSSKHEGSTAASMLSTDDPQTPPREIKQNILNSVESQLVLYASSNQQLTPRPRGISSPEADQRILNAFERSLKEQTRSNELKEFEIGLSMKKLQLKQSQLELNSYSHMLEKMKLSLGFQKASFQGDKFKTQMQDTRHAQILRTLIDFLASAVIIMSVCFGYGTYIYSYERITDITAACSVTSRGSKSWWMPNSVSNFNSGLLFLRCHFIAATRMGFGILMILAIAWLAFQRSAVSGSNMPVTFNFILLGVVCGLAGRFCANTLGGDGNIWLICWEVLCSIHLLGNCYPSVLYRVLHGPISVSHRKEAVWFPYWIRRWIFYAMIGFIMPALTGFLPFASLSDWLNHFARQLKSIFVGERIED >Dexi2A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:2A:11429635:11432750:-1 gene:Dexi2A01G0010270 transcript:Dexi2A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGSRSRQVYVVYMGAVPPRSSTNLLLESHLRLVSTVLKRGQTADSVVVRQYKHGFSGFAARLTGDEAAALRRKPGVVSVFADPVYQLHTTRSWDFLQQDAVKVDAAAARRSGAHNSNKASGDDPSSNTIIGLLDSGIWPESPSFNDAGFGPVPTHWKGTCMTGDNFNSSNCNKKLIGARYYDMSGVRGPSGSGGGSPRDDVGHGTHTSSTAAGNAVAGASYYGLAAGTAKGGSSASRVAMYRVCSEEGCAGSAILAGFDDAVADGVDVLSVSLGASPYFRPDFADDPIAIGSFHAVARGVTVVCSAGNSGPAAATVVNAAPWILTVAATTIDRDFESDVVLGGNNSAVKGGAINFSNLDKSPKYPLITGAAAKSSSESDAEAASHCEPGTLESSKVQGKIVLCNHTEGDTSKLVKADELKSSGAVGAIFVNDVENAVATAYLDFPVTEVTSAAAVAINKYIASTSQPMATITATATVTEYKPAPVVAYFSSRGPSAQAGNVLKPDVAAPGVNILASWIPTTSLPAGQTVASQFKLVSGTSMACPHVAGAAATVKAWNLTWSPAAIRSAIMTTATQLNNDHAPMTTDSGSAATLYDYGAGQVHPGGALDPGLVYDAGEEDYLQFLCNYGYNVSKIRLIANATIPGGFTCAANATASLISDLNYPSIAVSGVLGGKASRTVTRAVTNVGAQAEATYTVTISAPSGLDVKVAPSKLEFTASVKTLAFKVSFSHRSDAAAKKQGALWGSITWSDGKHLVRSPFVVTS >Dexi3B01G0025470.1:cds pep primary_assembly:Fonio_CM05836:3B:20211377:20211610:1 gene:Dexi3B01G0025470 transcript:Dexi3B01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPSARKSGFAPDLPSLPVSGVWQAEGRVARRACLGRRGFRRQSEAGHGGDAATRGAEAARRPASRTKGVAARRA >DexiUA01G0001390.1:cds pep primary_assembly:Fonio_CM05836:UA:3911443:3912349:-1 gene:DexiUA01G0001390 transcript:DexiUA01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNKPKVYGWAISPFVSRALLALEEAGVDYEFIPMSRSDGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHVFRKYKPELLGGGSLERSAMVDVWLEVEAHQLHPATGAIVVECVFAPFLGRPRNQAAIDENLGKLRKALEVYEARLAGSRYLAGDFLSAADLSHFTMMHYFMGTEYASVVEEYAHVRAWWEDLAARPAARKVAEFMPLGGARAAAKKDE >Dexi3B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:3B:11352895:11354601:1 gene:Dexi3B01G0015680 transcript:Dexi3B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNPLTNACAVVSAPAAGQQGRTIAGYAHPVTGRFHLLHSSDVTVSDDPDLVAPITDRILGTGTAKAAAAALLVFDTAREQFRFMAAPGRPGLDPTTARLRARTDARTHQPPCMELTEELIEEIFFRVPTDEPAYLVRAALVCKSWRRILCDRGFLRRYRVFHSRTPPPLGYLHNLYFQGPIPRFVRTSAASPAFTTPPSLVHNKSWRALDCRHGRVLARTLKHDDRLVVWDPMTGDQKHLSVPAYPNGRSYNGAVLCAAAVSKDDGPDGCCDHLGSCCPFVVVFIVADADRTVRASVYSSETNAWSAPSPAVHVDHLFDDRPSLLAGGAIHFAIVGGKSILKYNLVGHRLLVIDTPPPPPPLPADFALDMVMTRTKDGGLGFAAVVGGCVYLWTRTQPTGGWAQHKAMETPNVTDDDPTTSGVR >Dexi2B01G0029780.1:cds pep primary_assembly:Fonio_CM05836:2B:38153056:38153315:-1 gene:Dexi2B01G0029780 transcript:Dexi2B01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGALLRRLRWLRTSRCLGDAAPAFPPAAAAAPSRNSAAATTSATRNARAAVMGRHALPNSQLLMGSGSPARGRFFLVDG >Dexi7B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:7B:7996252:8001371:-1 gene:Dexi7B01G0003280 transcript:Dexi7B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEQGGRGGNGAGVDAELQGRRPLTWAVHCSDGARGPSLHATVETSAQFQHVALESIRRRSKQQGQQDRADAGGVKLSISFSPDAANRVVHEIRLLSKFGSECRAFVGRPECACCWTQNRGAGPVHVPGDAAAAVAGHLRMEFKSKVEGTEQVTCPHSPTSMPRPPAHQRPDR >Dexi8A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:8A:2270819:2273409:-1 gene:Dexi8A01G0003150 transcript:Dexi8A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAARRLASCSSHRRLLLPSQAKASCNPTAAAASAAFLHSHATSFGTRPPCRSIAPASLEAEILKSRLSSGYKQVREEEKSRLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRVLERVKSVGHRAMQGTLTDSEEDTHIYVCDINPNMLNVGKKRATERGYNDEHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPVFKQIYDVYSFSVVPTMGELIAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >Dexi7B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:7B:13621602:13623463:1 gene:Dexi7B01G0006020 transcript:Dexi7B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLADDADAALAGDSGGDAERYEAEAERYEAEAELLRDRFRLAVISIATAEGKKAGMTVAEPVVACIADLAYKSAEQLAKDAELFAQHAGRKSIKMDDVILTAHRNEHLMGLLRTFSQELKGKEPASSERKRKKSSKKDDRAIDV >Dexi9B01G0049190.1:cds pep primary_assembly:Fonio_CM05836:9B:47948820:47951339:1 gene:Dexi9B01G0049190 transcript:Dexi9B01G0049190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAGSEALRPGIDEEIVKPVVVDVPPLRKEPARHARAPAREAQTKKESLPRPIDALVGVKIIQGLKLHSPNRFLLAIAVQNLWLANHNGAGAAIDEVTGGDASDYLDHKDEKVQGDG >Dexi5B01G0033760.1:cds pep primary_assembly:Fonio_CM05836:5B:34085577:34088680:-1 gene:Dexi5B01G0033760 transcript:Dexi5B01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQQRVYQAWKGDNLTVFCLQRFFFGGRLIFGPDVKSLGVSVALIVAPVALFCVFVARHLRHQFHAYDAGYAILIVAIVYTIYVLLLLFVAASRDPGIVPRASRPPLEDIHYDDLSLNDTPERLRFPRVKEVMVNGIPVKVKYCETCMVYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKTTYENFRYRSDGRPNVYDQGCLSNFQETVFAKIPPSKLKFRAYIQEEIRAPPANSAGEVEEERFGGPRAKVGGDLDIDGDLLKISQRHNHGDIDIETGGGNAS >Dexi9A01G0031390.1:cds pep primary_assembly:Fonio_CM05836:9A:36354405:36356040:1 gene:Dexi9A01G0031390 transcript:Dexi9A01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKLMFQSLLVSILAIALIRIIRLYQISRPKARLPPGPWNLPVIGSMHHLVNVLPHHALRDLARVHGPLMMLRLGEVRLVVVSSREMARQVLKTHDANFATRPKVLMGRIVLYRWADIVFSPSGDYWRKLRQLCAAEVLSPKRVFTFRHIREQEMTSHVERIRAAGPSTPVDLSAMFYNLAINIVSRASFGNKQRNADEFLAAMKAGAALASGFKIPDLFPTWRPVLAAVTGMRRTLEDVHRTVDSTLEAVIEERGHVRDEKARSGGNATVEDENLVDILIGLHEKGSSGFYLNRNSVKAIIFDMFAAGTGTLQSSLYWAMSELMRNERVMYKLQHEIREAFRGKADVTEVDIQGANLPYLKLVIKETLRLHPPVPLLVPRESIDACEIEGYKITARSRVIVNAWAMGRDPKYWDDAEEFKPERFEDNTMDFMGSCYEYIPFGAGRRMCPGISYGLPVLEMALVQLLYHFDWSLSEGISEVDMTEAPGIGVRRKSPLLVCATPFDPENGV >Dexi8A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:8A:12000350:12000803:-1 gene:Dexi8A01G0009270 transcript:Dexi8A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATSPAFRRQLVLLRSFLPPSPPHPSSSVAGRFAPASYSSGSSSSLRASHAMAASAATVYEADAEAVVRRITPPLDRARHKGQAGG >Dexi9B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:9B:2847162:2847984:1 gene:Dexi9B01G0004970 transcript:Dexi9B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHETQNLPPPRVALVLARSQVAPPPFLPDHRRADLDVAGAEEEALCPEAEEQAQLGDVLGPLLEGQHPASLVAPLAARHVEGVGSLAVHGQPDALAGGEVGGHLAGVAPEAGPPVERRRRDGREGAQPAAAAAAAAALEAEGLEVRALLARFGVGGREEHVVAAAAEAGLGRDEDGPRLGGALGRARGVFGPHGVVDDGAAAVGGCDDLVAAGRGDQGHELDAVGVAAEAGEGVGREGKHLPGGP >Dexi9B01G0022000.1:cds pep primary_assembly:Fonio_CM05836:9B:16658593:16659501:-1 gene:Dexi9B01G0022000 transcript:Dexi9B01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAAADKREGKRPMAPEEDPAAAAAADGDTAEQAAGGADNDDLVLVAECGTEVRLSRSAARMSTTILHMMEDGCAEGRVPVKGVHAGTLRMVVAYCERHAPHYDPAASAEWLRDPFPPFPIDFPNAANAIRPVTDPGPDPHGLEAWDKKFISDLPDNSALFAIILAANFLGIEELLDLGCTAVADKMRGKTADEIRTALDIENDYTPEQEAEVRRENAWAFEE >Dexi1B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:1B:5843353:5844849:-1 gene:Dexi1B01G0007060 transcript:Dexi1B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLLRIHHHHPCKPPPSPPPPVARAAVLCRAAAGTSAWPASAAALRSLAPPTRPELLSLDLPRYDPSRARPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPALVWPNNYGVWVDEFEAMGLSHCLDTVWPSASVFIDDSGGGVKSLDRPYARVARRKLKSTMMDRCVANGVVFHQAKVAKAVHHDASSLLICDDGVAVPATVVLDATGFSRCLVRYDKPYNPGYQVAYGILAEVDGHPFDIDKMLFMDWRDSHLPEGSEIRERNRRIPTFLYAMPFSPTKIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGNGTAGLAGDALSAEVWKQLWPANRRRQREFFCFGMDVLLKLDLEGTRRFFDAFFDLEPHYWHGFLSSRLFLPELLMFGLKLFGNASNTSRLEIMAKGTVPLGKMIGNLIQDRDG >Dexi5B01G0019910.1:cds pep primary_assembly:Fonio_CM05836:5B:22183810:22189117:-1 gene:Dexi5B01G0019910 transcript:Dexi5B01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSNGEPSTAPQPNRWYDLRLGSSCRDPFPTAKFCTLRYEFKPASIDKTQAGSLQSTKDNRVTVEFHNNQPGKPKVSFEGSQEEYKDNDGVLFFEGETFRLERLHRAVKRLRHVRTPGESAAANLATTTTGMGAEPHSPPLAKVSKSQPMSKPAVHSVPVEVERIDIAEPENPGPKYNNKNTYQPVSTDPFALSPDPNDEEENLDILGDDDDNVSPNNMTSGQGTSGHGFDINLSDQGNIHDEIADVDVNEEADEGLNAAEVLRAQVNAEGEQEQDSSSGSSSSSSESGSGSGSGSGSSSSDSDGSDGDSASSGGDIDI >Dexi3A01G0030890.1:cds pep primary_assembly:Fonio_CM05836:3A:35190745:35192884:-1 gene:Dexi3A01G0030890 transcript:Dexi3A01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVHRKWLNNAQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPIVGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDIANVSTLVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQAALEEQRITLAAAAAAANSTATESSPVGPQENDKVDKNYEPLGIFQPIPRDGSATSTDQPNENVEEHQFIPNGPNLFGMSPMRDGQEGNGELKNLPDLETSADDTNICIGLHLGEREPKRLRSDSTLDIDLQK >Dexi6A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:6A:2158068:2158461:1 gene:Dexi6A01G0002270 transcript:Dexi6A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTRVIVYRREQPASSAGEQAAASCCKENTSNGSKRWRWRRGDAAIVAIKEQLLRCSSTGEQGRRRRFKRGYLLAGDGRGDAADSAIFYLACVVCTSGLHRAAPSLPIGVEVVADSSSSMRPE >Dexi9B01G0041960.1:cds pep primary_assembly:Fonio_CM05836:9B:42303923:42305617:1 gene:Dexi9B01G0041960 transcript:Dexi9B01G0041960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAGGLKGVALIGGGANSTVAGALHFFQDPSTGYTEVRGRVTGLSPGMHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPIDDQRHVGDLGNIVANKDGVAEVLIRDLQISLSGPHSILGRAVVVHADPDDLGRG >Dexi3A01G0035340.1:cds pep primary_assembly:Fonio_CM05836:3A:40660369:40662060:1 gene:Dexi3A01G0035340 transcript:Dexi3A01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISPTKLRMKLLGSHGNPKDEPTRKSPRASPSRLELVDDAADHPKNSLLPQELDEEYPKDRSDSSRSRSDASHGRAARSGGAGDNFEFYKEERVSLPAPAAVAMSGPFFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKPAVAAASPHIPTTAGGRVMPESAASKGVGSAAVSAVTEVSSKSSSPSSASVPPPPHKKLRFSSSSAAPAMSVSMRDVGTEMTPMASKEQSRSGTPAGAATPSLSPLCSVPSSPRGGSTSASSSASEQEIRLRTRREIAALGLQLGKMNIASWASKEEGLLAAHAAAAASPEESAGDIDEEMKRKEFEARAKAWEESKKCKLASRYQRKEAKLQEWESCQKSKFEAKLRQAEAEAEQMKARAKQELAKRLSSLSHKVEGKQARVEARRSRRAARLAREVERIRKTGREPCRLRRCCTWFLL >Dexi9A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:9A:14272980:14276144:-1 gene:Dexi9A01G0019360 transcript:Dexi9A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHSSSISSFLREITIKKNRDSGQKQRETELEPAAGERAGGGDRGSGIDVGAAGVMAGRAAARGSRPLLGGGGGGGKRGGRPSTAVVAALLLACMALLLLLALGALSLPGTSDGAGHRGAGLVRPRSRSRRSASESGLRGEKGEPWTEVLSWEPRAFVYHNFLSKEECDYLISLATPHMKKSTVVDSATGGSKDSRVRTSSGMFLRRGQDKIISTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFHDDYNTKNGGQRIATLLMYLSDVEDGGETVFPSAPVNSSSSPFYNELSECAKRGISVKPKMGDALLFWSMRPDGSLDPTSLHGGWMSSDKRQQMVVDKVDARSRVQNLDTREHRPQ >Dexi2B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:2B:5300557:5303169:-1 gene:Dexi2B01G0005760 transcript:Dexi2B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPIRLRGVLLAMALLLAATAVVPVLLLGAAEGGGGGVVAPAPPFNSSRVKAVSWSPRIFVYKGFLSDAECDHLVRLGKDKVRRSMVADNQSGKSVMSEVRTSSGTFLDKRQDPVVSRIEERIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKVNQARGGHRYATVLMYLSTVDKGGETVFPTAKGWESQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHVDGVPDPLSLHGSCPVIEGEKWSAPKWIHVRSYENSPDMEVETEGCTDKSQYCAQWAAAGECGKNPVYMVGTEGSPGQCRKSCKVCDS >Dexi4B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:4B:1160900:1162980:-1 gene:Dexi4B01G0001860 transcript:Dexi4B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDIDRGGDDDEFLRTVAGQLSFGRTSSAHGEFPYASIDYTPIDGDRLPAESCLLLSSADASTRQRSYSSPSMDDRTRSSIASCSLDLALFAHQLSLADLPSTDEEAAVHQAALHAAAYAPGNFILFPAHVEASPSIDMGIESSGRSASQCPAGSLERDGSSPVNTQQANRASRLLPLSLLRVCLSFHGCFEPGQSLMTPPMHARACAGSCGMET >Dexi1B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:1B:11347948:11353081:1 gene:Dexi1B01G0011270 transcript:Dexi1B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDGHYVAGLYRHSSSGEYRVLYRMGASYPAVDAPYYSLTVGSDAKPRCVGLLSASASIKQDVADHVPPTNENSSRGSRRHLLEMDDGTLGVSYIDERKMTVKLWVLKDYEAEFWSLKYEIELRTVEMRSVDQKCNFMMVVGGGGFGGLCEQELDVEMGDRARGQGGFIGSMRRSMRCQRERRGADGIGGAPLAINGK >Dexi2B01G0026600.1:cds pep primary_assembly:Fonio_CM05836:2B:35716446:35723412:1 gene:Dexi2B01G0026600 transcript:Dexi2B01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTRNRSSGSGSGQRRRLDEEDEDEFPSDNTSDSDFVANSEDGADDDDEEGFALDEDAPPAPALAPAMVAPQPVVLDRERKRGGKRRRGKKAKEEDRSHLPWKVWEAANDRWLDERAAASKDGGEAPDAGVAPGEAVPTADPAPEVVLPLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQAISLVMTARRLRPPGHHHPTASASSSSSSAVRPMRRVGCTLVVCPVVAVIQWAGEIERHIASGSARVLIYHGAKRATQKFDFDSYDFVITTYSTIEADYRKNIMPPKTRCQYCDKLFYPNKMKVHLKYFCGPDAVRTEKQAKQQSKKWGGSKGKGKIRGHRKDDDEENEEFDELADEPVSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTSMKKQCDCGHSSVRHFCWWNKYISKPMQWGSASDDGKRAMILLKEKVLKGIVLRRTKKGRAADLALPPKIVSLRRDSFDKNEMEFYEALYTQSVTQFGSYVEAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAQPLEGLENKGNESRESQCGICQNMAEDVVREEVRNMIERDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNISQKERAIDTFTSDPDCRIFLMSLKAGGVALNLTVASHIHLSCLTTLRLWYGRSTRFVIKDTVEERILQLQEKKQLVFEGTVGDSPDAMSKLTEADLKFLFQI >Dexi9A01G0027010.1:cds pep primary_assembly:Fonio_CM05836:9A:31246042:31255582:1 gene:Dexi9A01G0027010 transcript:Dexi9A01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASDEERPLIPHLPSQDEEGSLYTSDGTVDINNQPALKHNTGNWRACFLILGTEFVECFVFFGVAKNLVSYLTGDLHESNVDAARNVSTWIGTCFITPVIGAFLADTYWGRYWTLVIFLSIYTVGMLTLTASTSQPLLMDSLHNSGIRHVAVYLGLYLVALGEGGIKPCTSALGADQFDGADPLERVTKASFFNWYYFSINVGSLLSGTVLVWVQDNVGWGVGFLVPTVLMVFGLVAFVAGRRVYRYKKLVGSPLKRVTQVVVAAVRNYNLRLPEDCADLHEVPFPTEQNCKIQHTQQFRFFDKAAIVAASPEEKGTVVSKTPWRLCTVSQVEELKMLLRMSPIWASMVLFFAATAQMSSTFIEQGAAMDNRVGLFTVPPASLATFDVLSVMFCIPLYDAVLVPLARRATGKDRGLSQMQRLGVGLGLSVAAMVYAALVEARRLALVRTGTPMSIMWQAPAFAVLGAAEVFTAIGILEFFYDQTPGGMKSMGTALAQLAVAAGNYLNSAVLGAVAALTARGGKPGWIPDDLNEGHLDYFFWLMAALGVVNLLHFLHCSVRYRGNNSVEFTESVAFFGIAKNLVTYLTGALHESNVDAATAVSTWIGSSFFTPLVGAFMADTFWGRYWTVVIFLSVYVIGMFTLTVSAFLPLLMGSSYDRGIHRVTAYLGLYLVALGNGGIKPCVSALGADQFDANDPVERVTKGSFFNWYYWSVNIGSLLSATVLVRVQDNIGWGVGLAIPTVCMVSGLSVFIAGRKVYRFFDKAAIVAPYSGGKGDTSPWRLCTVSQVEELKMLLRMFPVWASMVLFFAVTAQMSSTFIEQGAAMDNHIGPFTIPPASLATFDVISVMVCIPIYDAVLVPLARRVTGMDRGLSQLQRLGVGLALSAAGMAYAALVETRRLALARTGTPMSIMWQAPAFAVLGAGEVFTAVGILEFFYDQSPGGMKSLGTALAQLTIAAGNYLSSAVIGAVAAVTTRGGEAGWIPDDLNEGHLDYFFWLMAALGVVNLLHFLYCSMRYRGNNTTAL >Dexi4A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:4A:25022335:25022575:-1 gene:Dexi4A01G0021510 transcript:Dexi4A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRMVVCLSAGQPPEKRVPTSAWARPQQQASKHQERGGVVAM >Dexi3A01G0024120.1:cds pep primary_assembly:Fonio_CM05836:3A:19706198:19710311:-1 gene:Dexi3A01G0024120 transcript:Dexi3A01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKEVFRSLQELFPQVDHRILKAIAIEHRKDVDSAVVAILDEVMPFITGSAGVFSAHHEVLPSRADSVGNVFANNSTHEVGSSSSAGESEDQSSVNLYSAKDKEASSAGTSGVIEQKSTSNAGTGSNKQLSGDIDENEAILLSSKTMLPDLNLNHFGSMASTHSSHSVSIESLEDSIADARSNKHAGEVCGEKAILTTEARELQSRLHRLADERNKYLVIIEEIHQTLDERLVAAQQEIAAAEKEKIEKEAAAQALLDEQEKMMNSIVEESRKLQKEAEENLKLKEFLVERGQIVDTLQGEMAVICEDVSLLKRVVDERLSLSKLQRSTMSSLSSSLHSSLHKSGSSSGRTTEAVESSDKDTVGEVASPVAEGLDDNASIGAVSDGNDSAKGICKRQSVSNEDGDDWLIC >DexiUA01G0023290.1:cds pep primary_assembly:Fonio_CM05836:UA:47655711:47658565:1 gene:DexiUA01G0023290 transcript:DexiUA01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTLPPRKREAALGSMDSGLEEATQKLAWLSFACARDDLESGVALLAELKLLRVVFYCTDFVVVHAVVFARSPYEYQFLGLNLLRLLPENRISEFHTELEHLPLEALNHPCIKYAVELEQSFMEGTYKQLANFCQAVPNETYVYLMDRIAESVRDEIVDCSVEAYDYLPVSVAKKMLMFTSYREFFEYISEVHLFLKESSAFEQPEWEIKNCSIHFHMAKPKSHMDLSSFKLIKQALSYAQELEQIV >Dexi3B01G0026660.1:cds pep primary_assembly:Fonio_CM05836:3B:22109676:22110103:-1 gene:Dexi3B01G0026660 transcript:Dexi3B01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLISPSATSRTRTRTRTTTTRRFYALLANIRAMRGLVPPCVASATTTPSGGDARKRLRAAEAPWRPAFRMEDFEVVVEPAAAPAPALPSKRGRMTRGADADAEDDDHGESTRPAVVAAPSLSSLPHAAARCDSDVGL >Dexi5A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:5A:8372738:8374858:-1 gene:Dexi5A01G0011190 transcript:Dexi5A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGDDGLAALREQVALASSAAISACDLDYAFQLQLDEAIQASLRVDPTFSSSSNAAASSSAQAAATLPEPSSDVAYAFALQATDLTLAEQERRDALACRDAHSEAAASVRIAAHDALFARELAAIPEDRWAHDGDYFARPLDLTSPASGPMFRVFFKGMASSEVVGPRDRDPGLGVLAVAVCGPQGNVVLRMHKPVEVFVGDREMLEALALMEGLLAALELGIRSVRVITDQKILHDHMLGMWRPLGKGLFG >Dexi1B01G0028410.1:cds pep primary_assembly:Fonio_CM05836:1B:32950433:32957958:1 gene:Dexi1B01G0028410 transcript:Dexi1B01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQMEEASTAAAAATPFQLQFDKPIPFQIKMAEWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKIIALGTEEGLVLLHDVENGKMLRTIKSHDVAIVCLNWEEDDPLSRVHYFLSYSIADKDEFLSYEDRTTRFFPPAPVMPRIGGLSSGDTGIVDENEEAIPEFCSASSLFFLTFQNINKIPINVEAFGKKNCQLQGASISKVSLSKNLQKLVVLCYGKLIDPDNLSHNCETAGLHCLYLDTSIFFNRKNELHQVSQQASSIQDLIEVVRASISLISKQWSNAMNLFHEKFSALPDLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLIDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANRDTARHVEQLVVFGGFTDTQFLERSLVKQFNELQDSQVFVFSLSTLEGGFLDAVYHDLFENTLSRIASSLPCYIIRYLVINLYTNIYIILQGSCSLQWFFFDKVEDSQHEDSSYNLTDYVCFKIPDESLNKRNCIGVIKNSSNCCTALSMASLSGFLLHMPDEYECVDLSLYKAVDLQLDTGYGKVRSIPHNVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDEASDME >Dexi3B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:3B:735180:735554:1 gene:Dexi3B01G0000940 transcript:Dexi3B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGQAHQQVTVLMVVALCFLMTTTTVVNGGVSCGQVVGWVSPCISYAMGQQGTSPPPACCSGVKSLNDAAHNTADRQATCKCLKQATSVMHGLKPDLVAGIPSKCGVHIPYPISGSTDCSK >Dexi4B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:4B:2686476:2691446:1 gene:Dexi4B01G0003860 transcript:Dexi4B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVAPSLRRALVSSAASSRHGRAEFSNPSHPPPQALLPHWRRHASAASSSAPPPPPPPQSPPRGPSRSGGGGPSVSSLNPAEVAKFAAIAETWRDPYSSKPLEGLKVIDVGCGGGILSEPLARMGATVTAIDAVGKNIKIASIHAVIEHVANPLEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPKGTHEWSKLVTPEELALMLQKASVSVEEMAGFVYNPLTGEWSLSDDISVNYIAFGVKKSKTPSPIDGTESRLS >Dexi3B01G0029250.1:cds pep primary_assembly:Fonio_CM05836:3B:28355487:28359159:-1 gene:Dexi3B01G0029250 transcript:Dexi3B01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVLLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHDKYGDKEMIPVAANDVAFSLHAVALTAFTVFQVFIYERGIQKVSKVCISITAIVWTAAIVCLIIAWPKSDWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLETVFFDVLFIIQHYVLYPVKKDENGKAIISERVSPLIRPSDKPDEDNV >Dexi3A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:3A:3255048:3255845:1 gene:Dexi3A01G0005020 transcript:Dexi3A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNSSVVGLKPAAAVPQASSPAKRVQVVAAKAEGRRAALLGLAAVFAATATAGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKFKCGSNVFWKW >Dexi9B01G0038110.1:cds pep primary_assembly:Fonio_CM05836:9B:39293619:39297107:1 gene:Dexi9B01G0038110 transcript:Dexi9B01G0038110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVANHASLLLKAAAAKPFFSPRAAARIPAPPPRAHPAAARLPPAATAGALGASRWFRWQSARGLCAAPHSGGGAGAQGMGSDAGVGARKRLAPAVNGLAKEVPPLNGLAKEDTAPPPPTPPRLLTLPTVLTIGRVAAVPLLISTFYMDGPWAATATTGIFLAAAVTDWLDGYLARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLRDGPWLLTVPSIAIIGREVDTQPITLHYFSVITMSAVREWAASQSGKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGALVTPGVALLYVSAGLAIWSLVVYMRKIWRILLK >Dexi5B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:5B:2553488:2556699:1 gene:Dexi5B01G0003690 transcript:Dexi5B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGAAGLDPPEEREPERHGDDRVASSLTARYSDWVLEALDELPGSFLLTDPSMPGHPIVYASRGLPSLTGYARREVLGRGARLFQGAATDRAAVVAVREAVRAQRPHQAALLNYRRDGSPHWVLLHLAPVFHARDGTLLHFLAVQVPIAANDKRRAPPCRGAPGGLLAACREEARVEEDFPCASHAGKVFVDMDKRGLEAEEPRVASDSEKEEAISTANSIVSALNRYSKLTGLMVSGKRPDSVGIPALSSSFNFSLGRIKQSFVLTHSCLPDMPIVYASDAFILLTGMCSVAFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >Dexi5B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:5B:8565649:8566993:1 gene:Dexi5B01G0012060 transcript:Dexi5B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYDFLSLVPVIEGAGGSITDWRGDKLHWPVTAESRPTSFNVVAAGDARVHQQALDALQWR >Dexi5B01G0025270.1:cds pep primary_assembly:Fonio_CM05836:5B:27348762:27348983:1 gene:Dexi5B01G0025270 transcript:Dexi5B01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQQQYRQSGIQHPATSSTAASQQCVREPDGLGGASAKGARGAWTLDLAPDGPAAVLPARAGLEPGGGAAEG >Dexi4B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:4B:3881594:3882871:-1 gene:Dexi4B01G0005470 transcript:Dexi4B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGSRSRVVAVLLLLLLHAAISLSTTTPGTVRVNEEPITDGLSWGFYDDSCPWMEDIVRWYATEAVRRDAGIAAGLIRTFFHDCFPQARTSMIDIYVSEQLEVPNQTLRPEARHKEVGMKLIDDIRGALSDACGGPTVSCADITTLATRDAVAASGGPLFDVPLGRRDGLAPASSDLVGTLPAPVFDRPTLLEAFSNRSLDTADLVALSGAHTVGRGHCPSFSDRLPPNADMDPALRQKQAAKCGKDPNAEQVLDVRTPNAFDNKYYFDLIAKQGLFTSDQVLINHPATKRIATRFALNQAAFFDQFATSMLKMSQMDVLTGNNGEIRLNCALTNAAAAAAGIIVKTTADEGHAADA >Dexi7A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:7A:14536190:14538812:1 gene:Dexi7A01G0004160 transcript:Dexi7A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTVILAILLLLSLVSADMVQIKQQVIGTEVQELVDNRSSDGDGGNGVTPKNPIRAQQLGGSERQELMENGSGDGGMVAGGVSPRSECTEKALYHGPCLEMVCVAGCLLQVRSGGHCKGGLFGACMCFVCS >Dexi5A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:5A:3288557:3290053:1 gene:Dexi5A01G0004340 transcript:Dexi5A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVEDVRSSPAAAEPHFLIVTYPAQGHINPARHLARRLLRATGARVTISTAVSAFRKMFPEAAVAGAGDDDNAGEEAGHRDAAGVWYVPYSDGYDAGFDRAVHDHTHYLTQVKLVGSRTLSGVLTCLAAAGKPVTLVVYTLLLSWVAGVASSHGVPAALYWIQPASVLAAYLHFFRGTGGLDKAVAAASSDPMAVVEVPGLPSPVRIRDLPSFIVSTSGDDPYAFVSDMFRELVDMLGRDDSPSVLANTFDAMEPDAVASLREHGVDLVPVGPVLSFLDDAPAKNAVAGNNDLFRNDGNGYLDWLDTQAPASVVYISFGSLSMMSKRQIEEVAMAMSESGRQFLWVLREDNRRSEGGGADIAGGERGMVVEWCDQVRVLSHPSVACFVTHCGWNSTLESVACGVPVVGVPQWTDQGTNAWLVERLGTGVRVTVGDKEDGGVVDAGELRRCIDFATSEMVRAKATLWREKARAAAAEGGSSEKNLRAFVSKQLAGGI >Dexi9A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:9A:2016238:2022393:1 gene:Dexi9A01G0003840 transcript:Dexi9A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELIDGRKAGCSIDPAACGGVWWWLWECPVDSAMFLKAASGRVGSAAVWKGGLEREGTCGGGGGGMGGEVVGSRKVVDGHLSCPDGELNWDASIRPGPRRARRLVARAGSRLAALWPPPAVALQACSEPQAAHCRMEMGIRSHIPRVTAHQALDPPISSVEATHARFDRYLSIPIYLLTTTSSRATHAYVEAKPPRPADELSSDRRGRQPQLCYRHVVLPVAACLAPVTPAAAKASQTEHKPLEAPRASGGRAATWFAVGGSDRRELGAPVVAVGCQCWRSARSSLDHRPTCHVEGVRRRPCFDVRLRRGNITFTGDCWRKISPRAKKKNDHDVHVFRGAKKGPGKWGGASRASWQRGCDVARRPCTTTTYHVWDTVMEDEDTRVTNGTPARTETVAYLVRDRGSYPIHRTTTEIETNTWIQPSIGRKLMTTYTVPTTALGAASRLAPLVKFPRTAAFTHRTWMESWTELGREIRDARFRRYGDRFSGAPDLTWHLGNQCQQVDRATAAAEHRRRSRHGLVAPRAAGHVAEQRVRVATAGWLVVLVRSPSDTAPASWSTPSSTGHQNPLLDGDEDCRSPGEGNIRRRKSAWKICSRESEAWPDWYGNKSPSESCRYGLSSSDAMPIQISMPIHIVPFGTREESAEHVASADLPAPAGGVVSIQPQKQGPGEIAELTATRQASDTNASPTGGVDWLGFEVGGILLLRRYGAKLTHIHRRGRAAAQPAVVGRKVMGRSMDSFRLDLNRDCACAYSIHAASPPTGIIGIKNLQDSTTDLQDSRTHQLQAYESDSLPAGKDSCPHEDSLKSSLSRLRHENTRFA >Dexi9A01G0037780.1:cds pep primary_assembly:Fonio_CM05836:9A:42072673:42075545:1 gene:Dexi9A01G0037780 transcript:Dexi9A01G0037780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASSSSLTAPLLRPNPNPNPAPRSLSLLRNRRCARVITAAVSGGAGAYGASQRRGIWSIRDDLVVPRSPYFPVEFAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPTKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPSSS >Dexi7A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:7A:21400083:21400644:1 gene:Dexi7A01G0010680 transcript:Dexi7A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNRGLLLPHLLPHSVCGIFFSYIDHKRTHLFAHLSPALSTGPKIDAVLSFLPSFKGGIWSMMDQCDNLGHSLRHGPTMHSYSGERCFPVHEEGVFSATPGAYIMFDLAVSPHYDVVLILVMVEEPARLDRWGGEEEAARKHHCWLLPP >Dexi9A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:9A:4194417:4194962:1 gene:Dexi9A01G0007230 transcript:Dexi9A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLSSKRSFKLPFMCGQSDVASPRGAAITRSSSSSFGRASRSASSSSSSSRHCELQRIFQHFDRDNDGKISGAELRAFFVSMGDDMPSSCGDGGGYMLDFAGFVALMEREGGQEEDLRRAFEVFNAVESAGRITARGLQRVLAQLGDKRSVAECEAMIRAYDVDGDGGLDFHEFQRMMS >DexiUA01G0000880.1:cds pep primary_assembly:Fonio_CM05836:UA:2698468:2700691:1 gene:DexiUA01G0000880 transcript:DexiUA01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLQEAHRLIGLSSTNPNGIILLFSDGSPNKGDFFDMAEDFISTGLRTIVANSPGGSFNSIPVPNNQDPSSPFSLLLDGILSGTMNDDETTPSSNTGSTKSLVAINQVKYLKDNAALTVDTVTAEVEIKATTCTAVREGLDLVAILDVSSRMATKMDNLKNAMKFVIMKLTPVDRLSIVIFSDGAARLNPLRSMTPDAHKDLIALVNGLKAHAHGGTNIRVGLETGLAVVTGRANTKARTPNIFLVTDGMQTISNAKNVDASQVAIYTFGFGNDSDHNLLSDIALKSHGGLFSAVPDGTNLSVPLSQLLGGLLTVVGQDLQLTLTPNKDEGDDVDTIVVAPGTHYTQTVDPTTGVITISFGTIFAGESRKVIITINLKKSTKKTEYDAALSEAQTIFTAQGKVHNHMAPRDITILRVTNPSQLVPGSSDTSRELQAELARRAEADAIRKARLLADAGNLDGARYTLVNAQNALEDVVLSDGQKLVVNTLLRAELVQLVKLMDSKVIYESKGRAYALASEASHGRQRYACRGGEDDDVRLFSTPRMDSYLEQAKSFEKDPTVTVASADEDVKKEVEANPLAAISGDLALYLRTAIEALQGMRRIIAAKA >Dexi3A01G0036610.1:cds pep primary_assembly:Fonio_CM05836:3A:42173064:42176595:-1 gene:Dexi3A01G0036610 transcript:Dexi3A01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGSSRRRSRRRHGHAAAAAAAAFSTLLVTLLLCSSPAMALTPDGEALLELKLAFNATTQRLTSWRPSDPNPCAWEGISCSVPDLRVQSINLPYMQLGGIISPSIGRLDKLQRLALHQNSLHGPIPAEIKNCSELRAIYLRANYLQGGIPSEIGELVHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQRACRAKLVTYQWNLPYSTSEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTSFAVKRIDLSRESRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFVELGSLDCYLHGDEQEDQPLNWNARMKIALGSARGLAYLHDDCSPGIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNGAHVTTVVAGTFGYLAPAVGNDQMVTTEILLQLNTLTGEHRLEDIIDERCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >Dexi9A01G0044880.1:cds pep primary_assembly:Fonio_CM05836:9A:48464646:48467740:-1 gene:Dexi9A01G0044880 transcript:Dexi9A01G0044880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMIVCWAQTSVGRSSAAAQRRLGEGDRAQRVRVAPGFLRREATTQTSSFSHAQRCLRRESQRGPLRPSAGSPLVARLPAAAAAALLPRSSGLGRRSARAWIDLY >Dexi1A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:1A:8928627:8929462:1 gene:Dexi1A01G0010330 transcript:Dexi1A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVNKNCVNPLWQVYHSSFVDDDGITKACGCPLLPLKTHIKGPAPASDPDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFMLPVSQEEAELLRNYLKQIREETSGRLLNCAYRANGFPNKWWLAFAKRKFMNIVIL >Dexi2A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:2A:15483206:15490144:1 gene:Dexi2A01G0013020 transcript:Dexi2A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAGASYQRFPRVKIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFNLSARATDSDQTLEVTSNDLRSADPKVCPVDQARAYQQALSSGDYDPNAGDQRQVILHLITRLWVLLCLLSYCIFVADLRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMDTLTLDEKRSWVESSPTKVFELDPVTQQVTVSDAEAYTYDEEVIKAAEAMGKPGLVEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGP >Dexi2B01G0035460.1:cds pep primary_assembly:Fonio_CM05836:2B:42463931:42464560:-1 gene:Dexi2B01G0035460 transcript:Dexi2B01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISEYIPQRGRGKRGLCAAEDLWPPSAGGFDDDGYEFNGAASFPHQAAEAEPPARKRERKTMYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGSKAKVNFPNEDPPPDPDDDDVAMLQGTMPMSSSSSCIDYDMGFFHHHHHPYAPDAVPVMAAPPEVAPSYVHHPQQDAGMEMWAFDAINTAVPL >Dexi5A01G0027830.1:cds pep primary_assembly:Fonio_CM05836:5A:31214607:31215008:-1 gene:Dexi5A01G0027830 transcript:Dexi5A01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGAGKSLFQGLRKFLKKPWEITGPCASPEYRSALPGALEYREKCPATVRDDRDKAIVPTSDPETVYDIKYFVRDRRRNRPPVRRTLLRKPDLERYMAAKQFDPTKDFPVPYVNTAVEEDYDAVGGGYQK >Dexi9B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:9B:5380279:5396525:1 gene:Dexi9B01G0008800 transcript:Dexi9B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAAGQQQERQQPPQPPPPPGSPLHRLQADQDLATPRLSSASAGGDEGGFDAAAGSSPSAAASPARFTVALAILRLQRLNLDFAFFISNIDQECGVFCFKGKHELESDFRRFWEEFRSSSSEKEKEKALNLAVDVFCRLVKQHSSVAQLVAKLVEAHVFSFVIGRAFVTDVEKLRIHSKGRSLHVADVIAFFSDITELGICPGSNLLYAVEVLVTEVSGTNDKQPLLDSGILCCLIYILNSLLSPNEYPPSTSPVRQAGPKIEKSKNLDHTQSRRLEIEGSVIHIMKALASHQSAAPSLIEDDALQVLFHMVANGSLSVFSQFRDGIVPLHTIQLHRHAMQVLGLLLANDNGTSAKYIRKHQLIKVLLVAVKDFDPQSGDAAYTMGIVDLLLECVELSYRPDNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGSFPAALQELLSFDQQYKKVLREVGVLGAHPEEIGSLIEILKSGMVSTSSGSQFKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEETESSLHTHMKIFGFLLRAMTAAVCNNLVNRIKLHTILSSNTFYDLVSESGLLCVDCEKQVILLLLELALEIVVPPTSNLQVECISSETSDDESSFLSATSFGLSRIDREHVYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETISPFLEGSSPILNHALRIVEQLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIHMEDIRQGNVSLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFQNFFKCQAKEAEKTSKGAYSKRSGHVLRIFSVGAVDDANTLFAELYLHDNGVFTISTGSSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQASVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPTIRGKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVIAILDSLEVEVPASSSSQRADSSMKQANSRLESSGIVWDMERLRNLSLQLSGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYICNQCTIGDTVQTVGGMPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKTMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSKINRTASYASGMSPDASLDDLTLPKFGDDVSSGGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVAAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEELLEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLTSSTTFALKFRASGGFQGLNHVLPSFHDSPEIYYILFCLVFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTIIAMAKATFDSLIMKSMLAHQNNNLSHLNGTLVADLDEATSDMGGDLQGEALMHKTYAARLMSGEAAAPAVATSILRFMVDLAKMCPPFSAVCRRHDFLENCVDLYFSCVRSNCALRMAKDLTTAATDEKNVHDDDNGSSKDTFSTLPQDQEQSAKTMSITSFPQEQKSSSSESTGMPNSFETAEVKADDSSIQEPNTKILNVEANQTVNNAHDQGRIAAPSSNGIAESHQATDSPSSVSMNNVGSPVLSERSTHRAASTPSASPMAPFTSWPGSAGSYSDGRHLTASPSMASSISGIDLDSSPDPKAHIQSSPAVNTLFPISSKLLLDIDDLGYGGGPCSAGATAILDFVAQILADIISEQIKAALFIESVLESVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRCDLGLDAVKIANKEDGDFLMTSGSDTMSGLNLLTYDTEQKDLDAAEFASFKDDDDIFKGGSTISAPIGWTDDKSSINEQSLHSATEFGAKSSSLSFHMTESQHGKSELSSPRRTPSVKGADAKTSEDKSEKELLDNGEYLIRPYLEPYEKIRHKYNCERVAGLDKHDGIFLIGELCLYIIENFYIDDSNCICEKGSEDELSVIDQALGVNKDIMGSSESQLKSPSTWGATTKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEEGEEEFRKRLPPFSTENQKLQGGQFDHADRLFNSVKDTWFNLDLGEKQSGEKVGDVVLPPWAKGSVREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVPPHPLRYSAYLTQQEIRKTASSVSQIVSYNDKILIAAANSLLKPVTYSEYISWGFPDRSLRILTYDQDRLLCTHENLHGGCQIQCTGVSHDGNILTTGGDDGVVAVWRFVKDGVRRLLRMEKALCAHTGKITCVYVSQPYSLIVSGSDDCSVILWDMTSLVFVKQLPRFPASVSALNVNNLTGEILTGAGILFAVWSVNGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCSSDEAVNSKSKSPAVTSGGLSLNGQTPEYRLLLQKVLKSHKHPVTALCIPPDLKQLLSGDASGQLLSWSLKDDSFKGS >Dexi4A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:4A:25087877:25088282:-1 gene:Dexi4A01G0021650 transcript:Dexi4A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKQLTKPMVSKEDLASKKEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEPTFPHYDLWENPLTPCMCRA >DexiUA01G0017490.1:cds pep primary_assembly:Fonio_CM05836:UA:36783646:36783891:-1 gene:DexiUA01G0017490 transcript:DexiUA01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRYFCHSFCRCSRPSGSSKEPSMPTRCAAKMSHGWCFLSTVERHSSMNLYCSVPTRQSCSESAMQNRNMP >Dexi4A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:4A:9519785:9520076:-1 gene:Dexi4A01G0011490 transcript:Dexi4A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFRRSHRRRLTSSCHRRNPSPCRRSPSQSRHHRSLPCTNQAPRSPQEQRSPWQPPRPHGGSLVYNNHTWRAHPHKDLGGHLCICISYPNY >Dexi4A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:4A:8647412:8648875:-1 gene:Dexi4A01G0010720 transcript:Dexi4A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPLGNNGLLKNVHRFVAAVAVPLAAAALAAAARLLPQEATSGWLRDARPVHLFLAVFLPAAAATVYLLLRPRAVYLVDYACFRTAPNCRVPFSTFLEHAKQVPALNERSIRFMTKLLERSGLGEETCLPPAHHYIPTYKYCTLDAARGEVDLVVFGALDDLFAKTGLSPSAIDILVVNCSLFCPTPSFVDMIINRYKLRSDVRSVHLAGMGCSAGIVSVGLARSLLQVAPENSHALVVSTETITPNYYVGSERAMLLPNCLFRIGGAAALLSNSPSKARFRLKHVVRTLTGAQDSAYACVFQEEDDVGNVGINLSKDLMTIAGNALKANITAIGPLVLPASEQLMFAAAFIARKVLGGGRFRPYIPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIQPAANAEGPWATCIHRYPVDIPDVLKH >Dexi8A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:8A:15633395:15638445:1 gene:Dexi8A01G0009920 transcript:Dexi8A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKGRAVPEWLNSPIWSAPPPAPAPPDPYGADLAPPPPPKPPPPAAAPPVPPPPSYEQAVREGGRRGDEEEEGAGAVLRAHLLADFKAALSKKEVNMGELRRLACLGVPDGGAGVRPFVWKESEILEQIDRDVKRTHPDMPFFSSKSNQVRMHCLLCLLLPVQPMEYIVYTDHFNSYQESLRRILIIFSKLNPSIRYVQGMNEVLAPLFFVFKNDPDPSSSVSSSAINLLFIIFHWVPR >Dexi5A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:5A:5135197:5136695:1 gene:Dexi5A01G0006880 transcript:Dexi5A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGRLLQLLLLLVPIALREYISPVIDDHRLRLDHQEDHAATGDVVLHPIVLVPGLTCSQLEVRLTDAYRPSLPRCGAMKGKGWFGLWANCSDIATHHYLPCFMEQMSLVYDPIADDYRNIAGVETRVPNFGSSKGFQKNPVHTDWCFEILRKELEKVGYVDGDTMFGAPYDLRHAPPVPGQKSAVFSAYFRQLSRLIEDASTSNHGHKVILFGHSLGGMVALEFVRSTTMAWREKYIKHLLLVAPLPSEGFVASLQNFVSGSGLLYVPGVHTLALALRPMWRTFESSIANFPSPAVFGTGKPVVITGDRNYTAGEMEELIADVGYVAGVEPFRRRAVPKMNYFQAPMVPTTCINGVGNDTPEQLVYWDGDFDAEPEIVYGDGDQEINLISMLAFDGKMRRETEQNRVYKSIKIRGARHGTIITEDWALKRVMQEILEASRI >Dexi5B01G0039710.1:cds pep primary_assembly:Fonio_CM05836:5B:38424994:38425971:-1 gene:Dexi5B01G0039710 transcript:Dexi5B01G0039710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFVVVVVVAAALVVAQSSSPPPPPGVPLPPNYHVISPGKLKRNQQLACNDDKNNQPSCMAKCDRRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNSFYFHGKKDQDFCIVSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHRLYVGAQKTATWSNDVDRLELALDDEPVRIPAEAGARWESATVAGLTVTRTTAANGVRVHLAGVLDIMASVVPITEEDSRIHNYGVTKDDSLAHLDLGFRFHDLTGDVHGVLGQTYRADYVNRLSVSTSMPVMGGAPNYVSSDIFATDCAVARFGGSGGISMVTAKAY >Dexi6A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:6A:1196124:1200482:1 gene:Dexi6A01G0001350 transcript:Dexi6A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAGGEMKGASIPAVAIMPSPLFLWRFKAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVGTIAWFEADSICGSHSIAIPLVLVFPYLCRFFQCLRQYKDTKEKTCLLNGSNLVLRCTWTYKLSAHLRHNYLTVFTIAALEILRRWQWVFFRVENEWNKMTAMQNLEMSSNMPSEGDRLLDSSDHTV >Dexi7A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:7A:12240661:12240942:-1 gene:Dexi7A01G0003190 transcript:Dexi7A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRRARRGNRRRGGPRSRAARLRQGGRRRRVPGARVAGARGRVQRRRGWFLVVRHRGHGVLTIKVFDRSCCLWELGAPPAGQKFHL >Dexi5A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:5A:5264731:5265063:-1 gene:Dexi5A01G0007110 transcript:Dexi5A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPMSVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSDRAGPYGR >Dexi2A01G0023370.1:cds pep primary_assembly:Fonio_CM05836:2A:35104416:35105792:1 gene:Dexi2A01G0023370 transcript:Dexi2A01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEALAVRDGLLLVEASGCGKIMLETDNLPFVNQLHSEDGGRLHFAIGEEPCTPLRTDLSCRQPRHPLRPHFRRPPALPSADASPPAVRPPPARPPSPPFLNAIAGNLAIFQAIAPPPTAILLRPPPMELSPTTLTPEILEPLSRRRQPPLAAGGDPAADGSAHSPPSLELLATGRCTAGLGGNFGNQQQGAPTHDKSAADPVILYLYSRFRCSLISEEPDPAPVTSLAPPVLRHTTESQIHLVSGFVRPCAGANLTSALFYILLSFTDQRGQH >Dexi9B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:9B:4764592:4767050:-1 gene:Dexi9B01G0007820 transcript:Dexi9B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHVLVLPFPAQGHVTPLMELSHRLVDHGFEVTFVNTEVDHALVVAALRASGGEVAVCGGIHLASIPDGLADEDDRKDLSKLIDAYPRHMPGHLERLIDDMEATGRPKVKWLVGDITMGWSFEVAKKFDIRVVSFWPGAMAALVLLLKIPKLIEDGLIDDKGRPQREEIFKLGPGTPPLHTSHISWNNAGAPEGQPIIFDMVIQNNNFNDLAEMSVCNSFHDAERGAFKLFPDILPIGPLFPDGEFRKPVGSFLPEDERCIKWLDAQPDRSVVYVAFGSMAIFDPRQFEELAEGLELTGRPFLWIVRPDFTPGLSKTWLHEFSQRVAGTGMIISWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYYCDQFLDRSYITNVWRTGLAVSTNAEGVVTKEEVRSKVEQVVGNTEIKESALLLKDAARRCVSHGGSSEENFMKLVNLLSD >Dexi7B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:7B:17730859:17733103:-1 gene:Dexi7B01G0010410 transcript:Dexi7B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGVEKGRKAHRKAIRDSKHGSFVESYLIASDAGDGFSSYRHRKMLMNYDGDRSEDRQSEEVQSAYRRDSDIGEEMIISEDQNDENEWSWVNEGKDGDPLAESVSSLRTTQQVLESEIQKLSELGKELEAEESTSGNKDQDVIVLPYADVDMLELNERMEHLEQKLEEASNTIREKDLRLSKLQTLISTSDRPTLGEEAASIDQLVTELEHHLLEKLEAEIQCLVMLKVKQNWQVRAEDRVALEEHRASAGESSTGMLLKLRETESKIVMLKEQVDKLEVHEKELYRRTEVLKMQSRTFKVSLFGLLQLVMLCLSLKMFFAQVPVPFDEVVPT >Dexi9B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:9B:1411502:1417487:1 gene:Dexi9B01G0002450 transcript:Dexi9B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDPPRWLGIHIGAPPSEDSSAFDFTGFHAVAHAHPPSRITPPRPPAVRRNAPRRRDVSRSPPLSPTRPATPFKCASVRSTILSSVLRFSGSLILFFLSMAASLALSLRIAPSAPLGLHRRRGVLACRATATFHQLDAVEVREEEARFKLAAADGTNLLPLKRCIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQGAEGTNVGRYSVIGAQPTMEIVAKANHVTVMDHEMKSRREHFVPDPMKIPRSIMEQWNPQITDGLPDAFCGGWVGFFSYDTVRYVETKKLPFSKAPHDDRNLPDIHLGLYNDVIVFDHVEKKTHVIHWVRLDCYNSADEAYEDGTNRLEALLSRLHCLNVPTLSSGSIKLNVGHFGSALQKSSMSSEEYKNAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVQKPDLRRTLPSLQVSKPGSVKVEKLMNIERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDELEVNMRGPYSGGFGGISFRGDMDIALALRTIVFPTGNRFDTMYSYTDGNPRQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGAARAIDLAESTFLDE >Dexi5A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:5A:2573008:2577470:-1 gene:Dexi5A01G0003440 transcript:Dexi5A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAVSGLSALKVSRPSPGALSLPRLLSFPVDLGALRAAIRPIRVAVALALRRRRILIGDLHRADAQVMNIAVRSVSTSVAQSSSGGFWTWLTGARSNALPPPDFKLPGVTIPPPLPDLVEPGKTRITTLSNGVKIASETSAGPSCSVGVYVDCGSVYEAPETTGASQLLKMLAFSSTTNRSELRVVREIEAIGGSAKASASREMMSYSYGALKTHMPEMVEVLVDCVRNPAFLDWEVKEQIFRLKAELAKASSNPEKLLLEALHSTGYSGALANPLIAPESSVSRLNTDVLEQFIAENYTAPRIVLAASGVDHDELVSIAEPLLSDMPSIAGTTRPKSTYVGGEYRRTADSSKADVALAFEVPSGWLKEKDFATVSVLQTLLGGGGTFSWGRQGKGLHSRLNHLVNEFGQIKSISAFKDVHSNTGIFGIHTSTDAAFVPKAIDLAARELIALATPGQVDQTQLDRAKASAKSAILANLESKASLTEDIGRQVLAFGERKPADHLVKAVDGVTLKDITTVAEKIISSPLTMASHGNVLNMPTYESVSGKFSSK >Dexi9A01G0035060.1:cds pep primary_assembly:Fonio_CM05836:9A:39730711:39731582:1 gene:Dexi9A01G0035060 transcript:Dexi9A01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKPILRVAGISGSIREASWHRGLIRAAAEICEDSIPGLRVDDLHIADLPMLNTDLETDGGRGFPPAVEAFRAKNALDWASRGVNCWADKPGAIVCAGGNFGGGRSSYHLRQVGVFLDIHFINKPELFVFSFYEPDKFFDGDGNLIHAETRERLKQVLLSLQAFTLRLQKKD >Dexi3B01G0028540.1:cds pep primary_assembly:Fonio_CM05836:3B:25952506:25954834:-1 gene:Dexi3B01G0028540 transcript:Dexi3B01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESEDHGSLLEKINEKIHEYKHSSSSSSDSDDDKKPKKSKKKKLFGRKHPLHHVLGGGKAADLVLWKNKQTSGSILAGVTVIWLLFEGIGYHLLTFLCHSLIVFLTVWFVWSNAASFVNRSPPKFPEVILSEVQCLKIAHIMRKEINEAFYTLRNVASGKDLKTYLMMVAVLWFLSIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDQVDVVGEKALIEIKKQYKVIDAKLLSKIPMLSEKKQH >Dexi2B01G0028620.1:cds pep primary_assembly:Fonio_CM05836:2B:37190783:37191121:-1 gene:Dexi2B01G0028620 transcript:Dexi2B01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSQKMYSPVAGLADPLMGNRNGGVKHAAGKDKYWVPADEEELLAAAECDDEDGRRPLLYIAREKQHPSYDHQAPEESRRAERAAPPFIVNFDGDHHVSNRRRSAPPCAS >Dexi3B01G0029770.1:cds pep primary_assembly:Fonio_CM05836:3B:29067133:29070144:-1 gene:Dexi3B01G0029770 transcript:Dexi3B01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSSSSSSSEETTTSSSNGSAVPSLKYSRRASLRSVVGRPDGGLGLAGERAVVGGWVKSSRAVKAKLDGPVSPPRTMPATETTRLTCTEVLMARVPLVRCFAKLIGVGGSAAVDRVASVSVSYKLAVETALVRINDGSCLQDLQIVVNSSLCPLEQVTAIGACVLVEGKLELVEGRSQQYVAQIRVDKVLHVGPVSIDKYPLSNVELLPEIVKDYPHLAARTTVMASVARVRSEMVHAAHAFFQANEFFHVNTPIITASAAADRRKMFRVMRITSKSDNRAITPEVVRASIKTKTKQIEALKRSESNKEALEAAELDLQRANDLATQLEQQGNADFSDDFFRRPVYLSPDHTLHLETYACALSSVYTFSPAFQAENLEPHKHLAEKWTIDAELAFAELEDAISCAKDCLIWILSRVSKNCSDELKFLSTRVNNGHVFHIESAVSSPWERVTYSEAVNVLLQVKDKPFEAKVELGMPLSLEHMSYLVDDYYKKPVVICEYPKELKPFYARLMEDGTKVSAFDIVMPKVGIIACGTQKEERMGNLTARIDDSRLPRDQWEWYLDIRRHGTVKHSGLSVDIEQLLLLVTCLNDIRHIKPFQRTKGDAKC >Dexi1B01G0022180.1:cds pep primary_assembly:Fonio_CM05836:1B:27914791:27916818:1 gene:Dexi1B01G0022180 transcript:Dexi1B01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNQHVNDTSSWEGNVRRGRFFSPYPATSAPYPNGQSSTPASSFVRGVGALDLNSTAEDNLDDMAFMDLLGASSRDQVHGIEDDGGSSESGLPLSSRDGRGSRGALPPQAQPYRAPRPIGQSGRASASDAYAAGTEEGDDVEEVASSGNQKDSFDKANWTSFNNNVVFCELCVEQVRAGNRNNGTMTNRGYENITGPFYERTSLCHSVKQLRNRWDQLKSLYTFWTYCNKQSGLGKNGTGGIIASDAFWDQHCKKQPERKKLKYGPPECLEDLEVMFEGINVSSEDETSPSEDDTSSIW >Dexi4A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:4A:264794:265036:-1 gene:Dexi4A01G0000380 transcript:Dexi4A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTTTPHPLDSSVPGVGGGKEEESRRMRLRQASAPTAVPVGDEDDEGARRVRKPYTITKSRESWTDPEHDKFLEALQL >Dexi2B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:2B:5938647:5940288:1 gene:Dexi2B01G0006360 transcript:Dexi2B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALPSCSGLSRAGRAHQPVRRSLVFPRKPTCRRRRAGSARAAQDAPPVVRAAVGAVTELLRALSPNKKQPRGSVQREEELDPPPCGSVEDVLAVLEDDYRRAYFLTGRSRYSQNLDLLVPFFDSPSLELESIEKGLRVEMKFVKATWKLRTYLRLPWRPLIAIRGNTTYDLNEDYKVVRHSESWDVSALEAIGQLFVSAPEQREQERR >Dexi3B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:3B:5084762:5085388:1 gene:Dexi3B01G0007200 transcript:Dexi3B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLRSLVPLLVAAAAVAAVALAAPPAAAPGGKKGFIVTGRVYCDNCRAGFETNVSHNIAGATVQMECRHFETQQLHDKAEATTDAGGWYRMDIGQDHQEEICEVMLLKSPEPDCAEIERFRDRSRVPLTRNNGMEQNSVRYANPIAFFRKDPLPSCGEILRAYDLYNDTSENP >Dexi5A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:5A:8066496:8070023:-1 gene:Dexi5A01G0010780 transcript:Dexi5A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMEPKGRDAAGKPLLVRVGRSGGGDSRGSASSSSITVVVGSTAVAVAGSFEFGLSIGYSSPSQLDIMRDLHLSLAEYSVFGSILTIGAMLGAIVSGSIADRAGRRGAMAISDVICTLGYLLIAFSQNYWWLDIGRVLIGCGIGLLSYVVPVYISEITPKNLRGGFATVNQFMICCGGSLAFVLGTFVTWRNLAIVGFSSGNTGTVAMAAVQVPMIGLGVLLMDKAGRRPLLMISAAGTCLGCLLVGLSFLSKEEHWERNLNVFALAGLLVFIGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVNWLGSWIISYAFNFLMIWSSYGTFFIFATICGLTVVFVERLVPETKGRTLEEIQASMNSSLTPFHK >Dexi5B01G0032080.1:cds pep primary_assembly:Fonio_CM05836:5B:32736708:32742261:1 gene:Dexi5B01G0032080 transcript:Dexi5B01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEAEDIKYEEEFSVNSRGNKLFACRWMPQNFQPKASIFICHGIAAECSISMREKPENRGKKRFLYGISMGGSVALLLHRKAPDYWDGAILLAPMCKVSDDMKPHPIVVSALTMICAVVPSWRIIPTPDIIDKVCKDINMRKEVKLPFLVLHGGDDIVTDPSVSKLLFEKASSRDKTFKLYPGMWHALTAELPDDVERAQYRDNMKYEIKYEEDLVVNSRGNRLFTCRWTPKALEPRALIFICHGYGAECSISMGDTAARLVRSGFAVYGIDHEGHGKSSGSKGYISNFNDVVKDCSDHFKSVCEKQENRSKKRFLYGFSMGGTVVLQVHRKDPLYWDGAVLLAPMCKIFDDMRPHPIVVSALKMISTVAPSWRVIPATDMIDKVCKDPQFKKEIRSNPYMYKGNLALQTGRELLTASLDIEKNLHEVSLPFLVLHGTDDVVADPYGSKLLYERASSRDKTLKLYPGMWHVLMGERPEDVERVFSDVMSWLEDRVAAVQLPTPAERQSVMRQQ >Dexi8B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:8B:17027384:17028955:1 gene:Dexi8B01G0009550 transcript:Dexi8B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDRWAGLGSAVASFFFLWSVVQNHLPASLTHRLSSWANTLTSYLSPYLEITISEHGADRFQRSEFFLAAEAYLSDACARRARKLKAELGKGSKSLQVSVGDDDHVTDTFSGADLWWHASKKIPRSQVMSWYPGMEESRLYKLVFHRRHRDLVVREYLPHVLDAGRAVTAKNRQRRLFTNGSGGGGGGGGGKKGWSHVAFEHPATFDTLAMDPEKKQEVVDDLDMFRDGKDYYAKVGKAWKRGYLLFGPPGTGKSTMIAAMANYLDYDVYDLELTTVTSNTELRKLFIETKGKSIIVIEDIDCSADLTGKRKDKKKPEDKPGGGGDGNDRPKLPGEPEKEESSKVTLSGLLNFIDGLWSACGGERIIVFTTNHKDKLDPALIRRGRMDKHVEMSYCCFESFKVLAKNYLDIAEHELFGEIRSLLEETEMSPADVAENLMPVSKKKKRDTTACLEGLVEALKKAKEDAAAAAKAKEEEEAKQKAEAEANEAAKKEEEAAPEAEEKKAKGGDDEGKDKTSEST >Dexi5B01G0026870.1:cds pep primary_assembly:Fonio_CM05836:5B:28524799:28531180:1 gene:Dexi5B01G0026870 transcript:Dexi5B01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLMILYAFLTIATFGYNLFLANRRAEVIDWLGGLLPEFDLPLDSSDEELREYLIDGAALCYIAEKLMPGIQEGMWGGNASDQRSNVKKFLSVVAEMGLPGFSIKDLEEGSMSSIVECLLALKDNVTTGQNISNNSKTPLRRRLELRESDGSIVSVMTPGRSLKEERQKVHWDLKSPQRNILHSDESIERKRGEIPHRVVYLLRNVVQEIEHRIAIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEITINRLELIEDIRVSSQSIKQEMFALRMKWSDEISNIGHDLKGLVDAADNYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKTSTVDYIGENGEILITNPFKQGKDTCRMFKFNKVFNTRASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMVSYLKDTISRKDMEIEQLLKDKSKSPNSSTDRNGSSQQIRRISGVAVSGEADCEDNVSDDGCSVAGTEYSVGGASEAAGEQMQKTPSRIARLFLTKNGQTTNSKPKPRESALKPPGRTKSTGSQVTGGGPSVKPPMRR >Dexi2B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:2B:4085785:4088233:-1 gene:Dexi2B01G0004480 transcript:Dexi2B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEEMPDPFWDLPILEQPLVIGDGSIDGVVTHGDGEGVNTMDQSPTEWSFESLLEEELLADAPIENSTSSSALPADPVVEVDHAAMSPMQVSVVGNPMEYNTVLKRKLEEDLATVGMWRRASSVVYPQDPQGSNYYIGGNMNLMKNKSMVEGPINHARDAYIRARLATCSSSRDPSPSDDDDMDGEVEILGFRMPTEEKMRRRKESNRESARRSRYRKAAHLKEMEDQVAQLRVENTSLLRRLAVLNQKCTDATVDNRVLKADMETLRAKVKMAEDALNRVTGMSSSQPSRPILAPTNADASGPILDNIINYLMNATDATTNNNFVPRMMAPALPQAELPVSGNKGTSNDAMINRIAAHHAVAVELLHKRLGSMPTSSEAAPLESAPSGVDESIDDIDTMH >Dexi4A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:4A:10113397:10117788:-1 gene:Dexi4A01G0011870 transcript:Dexi4A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDGVQGSQWRQQRRPRVAMAAVASRVHVGGSYDVQELRWRQQQRPGVAIGSSLEAAKLNKPSTSVPRRLPELDNLSKPSSEVEPKQQKPNNLSNESVDHTTSNKVSAELIEDKVVSECPVDEEKKAMGHENTGRSLKPLSAMGKEFGLAKANESGRLMKKSETGERGISSRYRTSNSSDISDESSCSSISSITKPHKANDPRWEAIQMIRTRDGILGLSHFKLLLKLGCGDIGSVYLSELTGTKSYFAMKVMDKRGKYFPEQAVKFYVSEILLALEYLHMLGIIYRDLKPENILIREDGHIMLTDFDLSLRCAVSPTLIRSSNPDAESLRKNNHSAQQAFVGPSCMMQPSCTAPTTCFGPRLFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFPARDLIRGLLAKEPQQRLGYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPFEIGRPPKQPGSTSETAASTGATQKGSDNYLEFGFF >Dexi3B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:3B:4513431:4514372:-1 gene:Dexi3B01G0006510 transcript:Dexi3B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGGCCVAPRFGAAAGAQQQQQAGAAWQMGRIMLKFRPIAPKPASMAPVPTPAPVPAAGAGRGKRKAAAAGGGRRGRKPKKAATVAPVAAAAAPPAAVQAVAEIRRKDKDCEKEKSLSSRSSSSSGMTSVDSSPPPPPRQPATLPLMPVSPAENKPAAAAVAGTEKAAPGASQVTPRALPPAAWVTVEEVTATWRDTEDAPSSAAAAADDDDAPAFVSDQWGRVTWTNAAFARAVVSGDDEGARAVALAGALPAWGTCAGFTCRARVVRSTTTTTPSSVVAPCDVWRLDAGGCYLWRLDLQAALTLGGGLP >Dexi3A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:3A:11951722:11953016:-1 gene:Dexi3A01G0016020 transcript:Dexi3A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAQRLLRLRCAVQHYEWGRRGAASLVARLADKDHPDLARPYAELWMGTHPSGPSTLLDGGGELLRDWLVRRPDALGPAVAARWGGDLPFLFKVCSVAKALSIQAHPDKKLAEELHALRPSIYKDDNHKPEMAIAITEFRVLYGFAGMEELKDVLRTVPEVEEQVGHEHAGKLMSLKEHDEGNEIKSSLQTAFAKLMATSKEKVSEALSKLIRRLNIESKVKILAHNCCDQFILTPPQYFF >Dexi3B01G0029210.1:cds pep primary_assembly:Fonio_CM05836:3B:28310373:28315784:-1 gene:Dexi3B01G0029210 transcript:Dexi3B01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAFSSVVFPAGGVIVEPPYLMRGTVSLEMAEVQDGHYDSMSQSTDSLRVEPIYESFLCPLTKQVMRDPVTIDSGVTFEREAILKWFNDCRSSGRRLVCPVTKKELSSTDLNPSIALRNTIDEWMNRNEAAKLDVARKSLTSDCTESDILQALQYVDEICQRTRSSRQAVRRDGLISMIADSLKNSSAKVRQKALETLRTIAKDDNENKVEIAAGDNIRTIVKFLNHGQTQEKEKAVSLLYELSGNKALSERIGNVSGAILILVGLSSSKVENLLIVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSPDIQLSMAAYLGELVLSNDIKVLVAETAGSTLVNIMKKGNREAREAALKALNQISSYDASAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSANILANVVASGARFESIPLDHNRQTLVSEDIVHNLLHLISNTGPAIECKLLQVLVGLTDSSTSVQSIVDAIKSSGAIVSLIQFVEAPQREVRMSSIKLLNNISPYMGEELADAFRGNFSQLSSLIRIIGDNNGISEEQAAAASLVGDLPMRDSVLTRRLLQEGAFATIISKVVRIRQGEIRGGRFVNPFLEGLVRIVSRITFVLDDDPDFIAVACEYNLTTLFTELLQMNGLDTVQIVSATALEKLSHQSKHLTKILPAPNPGLCFSIFPCLSQKAVATGVCRVHCGICSARESFCLLEGKAVEKLVACLDNNNEKVVEAALAALSTLLDDGVDIEQGVMVLCDAEGINPILEVLCENRNEALRQKAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDYRTRQVAERALKHVDKLPNFSGIFSKMGAQ >Dexi4B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:4B:7002594:7002993:-1 gene:Dexi4B01G0009680 transcript:Dexi4B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPLPAAVVSAEEERRSAATGPCLSRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWSADR >Dexi3A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:3A:13491651:13493533:-1 gene:Dexi3A01G0017690 transcript:Dexi3A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLVALKAPEKADGHRGGRKWTRLWRSSSSGASRASAGEGSALASQASSASADSFSSVLAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALKALRGIVRLQALVRGRLVRKQLAVTLKCMHALLRVQERARERRARSSADGHGSKDALNGRATTTKGAEEQWCDIQGSVDEVKSKLHMKREAPEFKTQRKTRLSC >Dexi4A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:4A:784162:785579:1 gene:Dexi4A01G0001210 transcript:Dexi4A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPSQNGSWVPLYHPLGPCSPSFKGGAARAPKPPSLADLLRQDRLRARHIHRMASGDFRVSKKSAGLVEENQIHNQQFGIIAKFGSQFSQQQMHGQAAATDDDGSSGEPSPGVTQTVVLDTASDVPWINCVPCALAECPYYDPSRSTTYAAFPCNSTACKQLGRYANGCVNNQCQYRVNSTSGPTSSGTYGSDVLTLDSHNAITGFKFGCNSNDNDNNGDDSSGNNNGIMALGRGAQSLMAQASSTYGNAFSYCIPQRDTEKGFFRIGVPGGAPYMFVTTPMLTDRRSPSLYRAALVGISVAGERLNVPPEAFSASAVLDSRTAFTRLPLTAYGALRAAFRDKMAAYRRAPATEEMDTCYNFTGVRFVKLPKVALVFDGNNAVVELDKYGILVGGGEDDHGCLAFTANSNDAAPAILGNVQQKTIEVLHDVAGRSIGFRRLAC >Dexi5B01G0021630.1:cds pep primary_assembly:Fonio_CM05836:5B:23914181:23918042:1 gene:Dexi5B01G0021630 transcript:Dexi5B01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWKDFYTVLTAMVPLYVAMFLAYGSVRWWRIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLALLAAWSRLPSSPGASPRLDWSITIFSVTTLPNTLVMGIPLLIAMYGPYAGSLMVQVIVLQCIIWYTLLLFLFEFRAARALISDQFPAGAAAAIASLRVDPDVVSLEGGQAEAEAEVSEDGRIHVTVRRGSSASRRGSSASPLMVTTPRPSNLTGAEIYSMSSSRQYSPPRGSANFNDHADFFAMVDGGAPTTTTLAGGGARGSSFSATEVYSMHSSRGPTPRHSNFDEHSASARLSSTKHAAAVPVPSCHDAKELHMFVWSSSASPVSEVSGLPVFTAGGQAAGVSVGAKEIRMVVPADLPPQNGKGPYLSTLMAVFSENNGAAMAIEGEAFRFSGGKTVEDDAEAGEGGGVAGQDVLSKLGSSSTAELRVKDVDGAAADEGRGAAAQQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHISMPAVVAKSISILSDAGLGMAMFSLGLFMALQPNLIACGWRATGISMGFRFLAGPAVMAAASLAIGLRGSLLQVAIVQSIHK >Dexi1A01G0027550.1:cds pep primary_assembly:Fonio_CM05836:1A:33286587:33289377:-1 gene:Dexi1A01G0027550 transcript:Dexi1A01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYYEFSQKLKRIARLPFSTIACGTGLMLLIMVWQPLVHILSISLLLRIAIVVEVTCTGCFMGLYIWHIHKYNSLDGQPDILRSLYSALQPSNTFEDQRYYDAHLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDSGTTPQVDLAHLLASRDQELRALTAEMNQVHSELQLARGLIDEKDSEIQRIRVSNSQYVEENDRLRAILGEWSARAAKLERALDAERVSNIELRNNIAKFRGHLYKEQDA >DexiUA01G0007670.1:cds pep primary_assembly:Fonio_CM05836:UA:14337488:14339862:-1 gene:DexiUA01G0007670 transcript:DexiUA01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSSLASSFYSAFSPLLPSSSPQQQKQGSMFLLLPLPVAAAARALTVLRQLLLLATQAFISLFFMLLSAFSPSPSPPPPPRMMEPGGSPAEGDTCVVRALGHVLSVASRLPVSSRKYELVRGLAERLLDDNLRARAAAVNRAALAGAFARTLRQLESSAAAAGGEWPWPRMEVAVMRAVRTGVRWWWWRPTTTVAAVTSMDEGFGGPAAEKIAAELLWIGKKMAECGAAGEAVAQFGGAERLGSRAVVAEPALQVSLLRLAVFLFKHANSKEFEQSMAGEEDKGAVAEQRMAMLRSWLPLLCRGSTGTDAPVLTGLERAEMLAVLEELIDKLSWEQREEVLSLWLHHFASCPDTDWPNLESCYTRWYAESRRLLA >Dexi5A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:5A:1686259:1691146:-1 gene:Dexi5A01G0002380 transcript:Dexi5A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVAVVPTGSSQRWRLASTYHTSMALVTARPNAWSFPSSSFPSSGVSSTSYVGRLKEPMPVMGRHRSGLMPPVKMTGNGHAAPARSPSTRTVTSRNGPLASPSAGVCVYQNSSSPWNETKTSRTAR >Dexi5A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:5A:19484573:19488064:1 gene:Dexi5A01G0016830 transcript:Dexi5A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRSHSPPPSPDELKWACASCTLLNSIWSASCELCETRRPLEVDADSPIVVGDASASPPLQACGRERECDPEVSNAEHTVDIDADSAVVVADTPVSAPFRRLRRKGDRAPQVDVVVGDASASPPLHLCGRKRERAASLDVVEICDSAGRGGGGEGKAPAAKKGNLDIHLDKIFKIMTYNVWFREDMELSRRMDAIGDLIKHHNPDLICFQEVTPFIYLLMQKSEWWQRYKCLLSQEMAILKPYFCMQLSKVPVEPSECIPFHNSIMGRELCIATVSTGEITNLVLATTHLESPCPAPPKWDQMYSKERVDQAKKSLEILGGCRNAILCGDMNWDDKGDGPFPLQDGWIDAWVELKPGDDGWTYDTKANGMLSGNRKLQKRMDRFLCKFEDFKIDNIEMIGKEAIPGISYFKEKKVRKEIRNIQLPVFPSDHFGLVLTITSKGNETSQSRGDESF >Dexi7A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:7A:26903638:26904920:1 gene:Dexi7A01G0017150 transcript:Dexi7A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEKKKVEEKKVEVKKVEEKKVEVKKDEKKDEKKVEIVKTAVYKVYIHCGKCAKDIETEFTEFQGVEEVKVDRKAGKVTVKGFGFDEKKLGAKVQKGCRKKVEFVPPAPKKDDVVTEVKSKEQELKVITVKVPLHCRDCALKVKEILLENKSIYEAKTDHVKNTCTVEGVLDEKKLVEYIYKRTRKGATVDKVDKKVIVKKETVEVKKEEKKEVKKDEKKEEKKEVKKEEKKEVIAPYFIPCTHPRFLDYSHPWHHHGGCYGGDWCSPCGGDYGYGGGYPYGFSYKHEELKGYRDTAFLHCTHPNEFLSEENPYACSVM >Dexi3B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:3B:11105374:11106416:-1 gene:Dexi3B01G0015330 transcript:Dexi3B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARLYGPALAVRRWEYINAGVYAFAALLLASGLGALAAGGGARPALAVAAVALAAVAAVNAHDLAAHLAGVDWRVGLARYDAQLGLVEFLVPALHVAGCVLSVAGLALLVSSQGEGAAYIISYRREKHAANMLLAAALLWLLGSVLNSCQVYERADGRAQLLQSSVQVPLLLGSLLFLVAAVLNRRRVSGSWRHEPAVLVNRSWAWLCMLGSLLWLAAALLNGLKVFMMHQSDAMRLEKLRGGAQEWLSRDREGRVPLNWEEAARRRALPAELR >DexiUA01G0004840.1:cds pep primary_assembly:Fonio_CM05836:UA:8988922:8989749:1 gene:DexiUA01G0004840 transcript:DexiUA01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPSWENLNLTVNFFSSKNTKFTAGCPALPSQMKTVVCAMEDLQCSTEGPSSEDDDLSQEEPQDQQELSDSPTRDEQSELSWQRPSSDEDDHSERQRLSSVEAQPMGGLTGIAGSDVGEDSTDVFAPIKWSEILDTRTELDEPTTSPRYSWSLSGDDCGTAMEGGLGELSPMLTFGAGSDDADGGHILNGSDVIDLVTPIPVGRLRRRGCVNSICSKIVDLTSSPVVIQL >Dexi4A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:4A:22413913:22417021:1 gene:Dexi4A01G0018430 transcript:Dexi4A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTLYSVGAPPLVIARGGYSGLFPDSSQLGYQFALGNSLPEAVLFCDLQLSSDNVGFCKTCLALNNSTLIAEVFPKKDKTYKVNGEDQHGWFSVDFTSNQLMHNVTLIQNVLSRPSVFDGTMGMSLIDDVVELRPPQLWINVQYAQFFLDHKLDIKEYISSKAKEFWVNYVSSPEVGFLKSLGVKLGKSNVKLVLRFLDEQLIEPSTKQTYGAILKDLKSVKTFASGILVPKSYIWPLNKDQYLQPATNLVKDAHALGLEVYAYKFANDVISSYNYSYDPSAEYLQFIDNSDFSVDGVLTDFPSTASAAIACLAHTKDNPLPPPGDDTRPLIITHNGASGIFPGGTNLAYQQAVEDGADIIDCSVQITKDAVPFCLDSPDLTKGTTAATMFLTKSATVNEIQNGSGIFSFDLSWSEIQTLKPDLVGPFNQQGLKRNPEAKNSGKLMTLADFLAFSKSSNISGIIVDIRNAPYLATRGIAIVDAVSSALINASYDKETRQQVLIASDDSAVLGAFNKFPALKRVLHISNVISDASKPSVEEVAKFADAVSISRGSVVQAHGSFLVQFTDVIHKMRAANLSVYVGVLKNEFMNLGFDFWGNPMVEIVTYSSLMVDGIVTEFPGTVAEYFRSPCSDFSKNLSYTILPAQPGSLLNLTEPGALPPAQGPAPVLEPADVVDPPLPPVTVGGHGAASPSNDSSTKSGATAASASAGLSLLVAGLAALLAVSSR >Dexi2B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:2B:1639244:1644381:-1 gene:Dexi2B01G0002090 transcript:Dexi2B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSLPNANSIPTKDWGASPAVPPIKQEEERPNVCVEASLALPVKSEANSGDAPPVAEEEKEQLLKPVKEEGDADDFVDASSSFSIDLEAKNGDASLITEVMTKEEEQLEKARIKAEEEEESRKREEAARLAFDPETRYNKLDELLTKTQLFSEFLLEKMDQIADEEGQAEEPQVEEKKGRGRKRKAKPQYNDKKAKTAVAAMLTRSREDRLAEDSTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYLIIAPLSTLSNWVNEISRFTPSLSSIIYHGDKVARAVIRSKFMPKTAGPDFPIVVTSYEMAMSDAKFLAVYKWKYVVVDEGHRLKNSKCKLLREMKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEQQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTEHQKQIQDHLVHKTFDNYLHEESEIVLKRPGIRAKLHNLLFQLRKNCNHPDLLESPYESTGFYPPVEKILEQCGKFQLFDRLLNFLLAKKHKVLVFSQWTKVLDIIEYYLETKGLDVCRIDGNVKLEERRRQIAEFNDSNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVDGRIIKRAFEKLKLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQAEEDKLVQTDISDEDLLKLMDRSDLSGPPGAAGSAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >Dexi3B01G0020860.1:cds pep primary_assembly:Fonio_CM05836:3B:15793273:15795922:1 gene:Dexi3B01G0020860 transcript:Dexi3B01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGHMAAASPEQVARNGGGGSSAGGSTARPPPLSPPDAVMLEQPPPVPYLFAPQDDDLLPCGTGRFGTNHIYGYCCCQLLLTGNCTLQVPVTPLQIPTEFSPVFNHAPINGTDGSTNSHSQEKGIPTLITWSQGGNEVFLEGSWDNWASRRALERSGKDHTILLVLPSGLYHYRIIVDGESRYIPEQPYITDERGQVANLLDVHDYVPESLDSVAEFEAPPSPEHTYDLKYPGDEEFAKEPPTLPPQLLMSVLGDADDADDLTPKPQHVVLNHLFIEKGWGSQSLLALGVTHRFESKYVSFVLYKPLRR >Dexi3B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:3B:13317033:13319812:-1 gene:Dexi3B01G0017990 transcript:Dexi3B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSQLDDEIVHGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILKLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFMVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLEPSPNVTNEAAFTPDGQYVISGSGDGTLHAWNINTVQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPSQSN >Dexi3A01G0032250.1:cds pep primary_assembly:Fonio_CM05836:3A:36998823:37000245:-1 gene:Dexi3A01G0032250 transcript:Dexi3A01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAMLSAAARRVSRSASTIITREVTGHHNLTISGFTPSRKMPTDWTASSQAFEAAGHGWKITYYPNGNSWSEHVSLYLEPVHGDGRQKVSDTDPVEFAFTLLDPSGNPVPHVAFASSKGVTYFDGDSMTKGFQKFISWSDLESSGCLKDDSFTVRCDQGKKNRYNKNFSAPAAAPPRVVVPPSDLHKDLMNLLWKKQGADVVMEVGGETYEAHGWLLAARSPAFEAELLAAAKEKVAGGVVRRRMEVQGVEPKVFEAMLRFVYTDALPAEVAEEEGRDAAVAMAQGLLAAAQRYKLERLKTMCEEMLCQRIDMDTVADTLVVAEQHGCRGLLAACVEFLARPGNLKKVMETQGYEKMKASCHSVVLELFLKQLAARG >Dexi6B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:6B:24188136:24191433:1 gene:Dexi6B01G0016930 transcript:Dexi6B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGLEALKERGFCAETPSSYHQHRHSRRCLIKFLSNLDQFLCIFSAVSTYANVADISPRLSDHIPTTNRNKMLHKRYSLNLPDELPDYRIITSAERTISKSVADLAWEIEVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASALVEQESHRERGRRTTDEGTLRLRNIKESAAYNLPTLSDSKRHTQELSRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASRPLKEANSETSSTPSFSSASNSFSLKYPVDSWTPRCHYNADTTSETYGSFDGNSEQYTGMIIFPRIHIDEDKFKYASKMLDTIRTLIKRLEKIDPTKMAHDEQLCFWINIHNALVMHAFMAYGLQEKRLKSTDMILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLWVRSLFTPTKKSGSYIHPFALCHPEPIAHFAVSTGAFSDPPVRLYTAKKLYQQLEQARTEFIKANVMIRKQTIFLPKVLHFYAKDAALELPDLIDMVCECMPELQMKEIRQYLRRRIDKCVEWLPYKSSFRYTVHRSLAD >DexiUA01G0001420.1:cds pep primary_assembly:Fonio_CM05836:UA:3930461:3931082:1 gene:DexiUA01G0001420 transcript:DexiUA01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADPPSPASQRRHQCAAATAAAAAACLAPLIVFLAVLVLAPSLVPRLLLRPHYHAVLSVASAELRLMSFDAAASAIAYNLSAVLRFEGPPPSLHARQVIKAAPFYAGQELGAAVALPEFTQRRGGVGRARARERARGRIVVRDGGEESDFACLLSFPVPQERSNGSVVFDGGSCADAVRGEF >Dexi9A01G0043520.1:cds pep primary_assembly:Fonio_CM05836:9A:46940765:46943867:1 gene:Dexi9A01G0043520 transcript:Dexi9A01G0043520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCILVDPYQGELPETIEEYLHHGTMKCIAFNRKGTLLAAGCSNGSCVIWDFETRGLAREFRDKDCTAPITSISWSRYGHRLLASATDKSLTLWDVPTGEKIARITLQQTPLRASLQPGSPTPSFCLACPLSSAPLLVDLNTGSTTVLPVSVSENGNPPAPNPRNKFADGTPPFTPTAATFDKHGDLIYVGNSKGEILIVDSKTIEVHAIVPIPGAAVVKDIVLSQDGQYLLTNSNDRVIRVYKNILPVKGSGEEIRNISNNSNSYESQYDKLKANGASCLILSCELSDAITKIQWKTPCFSGNAEWIVGASANKGEHRLQIWDQRGRLVKILDGPKEAVIDLAWHPIEPTIATVSVTGYVYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNPREEEAEEVVIDENAEIDIETYEKNAVLSDVEDSVDEIVYLPAIPSPDAPDEQPEKCLGSSSKLEDSNHSGSPSSMDAVQNGQAIPQVSSPMEVDNSTAEDPAEGPNSKRKRRLSVKGLEMQQSEKGKKPTTKNKSNGKSAKSSAKPMESANGNSSAFDDEATEDDEVNVDS >Dexi2A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:2A:6898463:6901743:1 gene:Dexi2A01G0007150 transcript:Dexi2A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGNRFSTLRKALSILLLTILLQALIMFKEQDPGYRKEEIENCIKSASKFIEKEQRKDGSWFGSWSVCFTYGSFFAVKGLAAAGRTYENSSSIRKACSFLLSKQLPTGGWGETYLSSETESYMEASGPHAVNTAWAMLALICCGQEHVGSFNSSLYFNYGNYRNLYPNWALGEFRLRLLARKK >Dexi2B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:2B:1654582:1654920:1 gene:Dexi2B01G0002110 transcript:Dexi2B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALGNQGHATVGEEALGSNSAAEGRGRDRRGGVVVQEQEDSVMGGGFGDEDVAAAATTPVTTREARVEEAGSEESEEIGFGWMRPIGPPGR >Dexi7A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:7A:9022516:9024659:1 gene:Dexi7A01G0002250 transcript:Dexi7A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDLLSLGFDFCCQSPPGFFDDTYEHDLAVVDRVSCWLLHSSIMYAPALTEAESVTGLYPSYREASSSPDGANSCSTQVTPASPPSPAAAGVATKNMVMERDRRRRLNEKLYALRSVVPNITKMDKASIVRDAIAYIEQLQEEERQMLAEVSALESSGDTAAAGVKTEDAATGNDVDTYPCRKRMRMAAEDGVSRSIEASPPLRILEVQVSTAGEKMAVVSIRCSRGRDAFAKVCRALEALRLRVVTGSITAAGDTVVHTMFVEECAIAFSL >Dexi1A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:1A:27477614:27478060:-1 gene:Dexi1A01G0020660 transcript:Dexi1A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLASHSVVSGGCIEPGTAVRVSYSYLRLPMASGRAPDVCVGPGQAAERRAVARGREVALPGFMVDSLAEELRRGEAAFEVKITSLEEDRWKVVTAGDAGAGAAKPCVQSFTRIDKMPELQPQPGDGGYVQHPVHGAVPVPP >Dexi6A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:6A:24012325:24013701:1 gene:Dexi6A01G0016160 transcript:Dexi6A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLDHSTDPCTNGEHSGHPAVPSSPSATRTRGGDDGIPTVDFDVLINGAADQRADEIRDLRRACEDWGFFMVINHGVPEELKEAMMETCKELFSLPEEEEAEYLEAGPMDPIRIGTGFFSVVDGVRYWRDYLKMFAHPELHCPAKPAKLRDVAAEYSVKTRDLLLSLAEAISESLGLDGGRISEALDLESCFQILNGVDGLQVEHNGQWLLAKPLPGAFFVIAGDQLQIVSNGRYKGVLHREVVDGERARMSMVSMISPCLDTVVEPVPELVHDGRGLEFRGVRYRDYMEHQQSNKLNGKAALDIARVQLDTAGLRK >Dexi8B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:8B:16997327:16997647:-1 gene:Dexi8B01G0009540 transcript:Dexi8B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSPPSWFEIKIITLLSPSHQMPSSSKKTEDVAYAVFRRCTTLGVFRRAENPTTIRCRPILQRCPAPHWRLPSNPGAVERCEQLNNSRVKTPGHQHEGRHPGVG >Dexi2B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:2B:2985088:2987122:-1 gene:Dexi2B01G0003330 transcript:Dexi2B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAARMEEHSSAAAFVEGGVQDACDDACSICLEAFSDTDPSAVTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPMSQELFEAVEEERNVQENHVRTTTIFRHPLLGDFEVPIDADDTEIEERIIQHLAAAAAIRRSHRHARREGRRSRSAAHGHPQTLFLSTADATSGGSMPSNSRQEGDSEHAPATISAGPLSTIASTDRTAEDTYVHGTNNGPVVSNNRVSENQSSPVSQDEAGPSDVQSFSDSLKSRLQSVSTKYKDSITNSTRGWKERWFTQKNTISNLGMEVRREVNAGIAAVSRMMERLETRDGTGPSSTPTTNIHSASDTNNQGASPPKVATVVNDASSSAI >Dexi9B01G0029460.1:cds pep primary_assembly:Fonio_CM05836:9B:31986628:31990430:-1 gene:Dexi9B01G0029460 transcript:Dexi9B01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSASPAAAAAAIATGGGGGQPLVVTLNCLEDPSLEQDALSGAAAVEHAPLSALSAGRVESAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSSSSPAAGWLGSVQPLCRGMRRCRGLVLGIIGVNAAARCLATRSLAFRMSVLYFDPLYEVREMPNVLILPRSADYSEEVWMEIREKAIAILQSFLYDGVVPNNVISDEDEEISEMGCEDDQLGKQEKELALQICDGEQQTEESQLTTEYDKRRAISQPEEPQASAQSHSIGSRSEGRRSRSGKKGKKRPARRRSQQKMDELATVEGGSNYSSRRDDDNQVLSSSSRFASPEDTKNKQKSSAESPMEIISENKLPAGPGRKLPEKLKEGFVIALKTEDNLGFYVSRERVAGGGWYLDVIPNATKRDPAAQFLVTFKNKDTIGLRSFVAGGKLLQANNKMDLVFANHSFDVCESWMLEGSLSECCKLVNHKNSSAVLEVYFEILGAPSEEDGVIRWLD >Dexi5A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:5A:987729:988856:1 gene:Dexi5A01G0001420 transcript:Dexi5A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNANGGGARSSRRLRERLARMFRPASLLRSTCNTTASGCSSSSSSSTSPGAAAAAASNNNYKAPPPASACSSSRALLAADSSSSFLASSRRDLVSRTESFSTAVDRLHRRAAVAPAPPSRFSVDARPALVEHTTNNKDKEKSPREHHRLGLGGDKMKTTLLSNPYGFSTSDDDDTDVVFSTDAEDDLAARGVSSTSGSKKLIGGDSAETTTTTFFSSSRSFSSDSSEFYTTTKKKKNKNKTKNTKPPPAPKKKKPHQQKMVATGSSSKRHQHRRAGAAASSGCDTCGVRDGFRPAVALCAAEEQVRRGFAVVKRSRDPYADFRSSMVEMIVGRQLFGAADMERLLRSYLSLNAPRHHPVILQAFSDIWVVVHGG >Dexi4B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:4B:5482734:5483084:-1 gene:Dexi4B01G0007610 transcript:Dexi4B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVDPDIGDTCKDLGEVFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPPKPPAQQPPGQPPQPPSSVPSYINEYVSLRGTGALSCANSSSTSDAELFLKFGEAISQNTE >Dexi8A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:8A:6216177:6218464:1 gene:Dexi8A01G0006150 transcript:Dexi8A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMILGYDMGVMSGAALYIKKDLRLTDMQLDILMGVLNLSSILGCFAAGWTSDWIGRRYAILVAGLGVGYAGTIPAVYTAEISPASARGLLSSLPEVFTNVGMLLGYLSNYAFAHLPLYLGWRVMLGINALPSVLLALMVLGMPESPRWLVLNGRVKDAMSVLEKIADTSQEAAAGLAEIKAASSILFPHVVDGDVVITVHKSSNEWQVWRELVLSPSPAMRRILLASLGIHFFQQASGIYAFVLYSPRIFMGAGVTDDHRILGITCALGATRALFTLVATFLLDRVGRRPLLLWSTGGMVFSLAGLAVGLTVIGGDPDTQIIPWANGLAIASTVTHNAFYSIGLGSIMWVYSTEILPLRVRALGCALGVAFNGTISGLVAMTFLSMSKAITIGGSFFLYAGIAMVAWF >Dexi2B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:2B:26947505:26954328:1 gene:Dexi2B01G0016660 transcript:Dexi2B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVAMSADDSALAEDLQVEEVLQFSVQFENENVCAVCKQVIQTLEASWKPDNCDHIICIACFSQYAHETEATEMPSCVSQYIAAKVEENVLSIGCPDPGCKNGVLHPEACRDMIPLQLFQRWGAALCDSSLGELKFYCPFKECSALLVDDPGDGEEVITNVECPHCCRMFCAQCKVPWHDGVTCTEFQRLGKDERGREDLLLRKVAQKSKWQRCPKCKVYVERVDGCVFIKCRCGHCFCYLCASPMSRDNHHCKTCKRTWQSSDRSALGRGRLQQPTSPAQHPQLSTHGAFRSLPDSLRERLAAAAARSCAIVGADDRLSLVRCAADPLPKPKQRPPLGVHSLQLREQHIYNQSTMLDLIYKFACDLVLAEDRQAEEVLSRLSTHPERFCAVCKLVIPSLEASWKPDNCDHVICIACLWQYAQTPTGLPRCAVASCESLKSETHQGVDAVHRTSTPMEDMDSGKGEEPLDAMLQEVGQCSRGANTTSSSEFYCTICMDTVHSREIFLVPGCKHLFCISCLSQYITSKVEVNVLSIGCPQPGCKDGTLYPEACQDVIPLQLFQRWIAALCDSALGAFKFYCPFEDCSALLVDHGPHKAARKAECPHCSRMFCVQCKVAWHYNATCEDLQRFRNDEQRLDDMLLRKTMDESMAQHDHVGSCESLHKSELPQGINVGHTTLISIKDMDHPKDVGSCESLHNSELHQGINMGHTTLISIKDMDHPKGKKPFDTIIQEGQSSGGANLMVNSEFYCTICMETMHIRELFPVSGCTHLFCVSCMIQYITGKVEDNVLSIGCPEPGCKDGALDLEACRDVIPLQLFERWGAVLCDSVLGAAKLYCPFNDCSALLVHELGHGEAAITQAECPHCSRMFCVQCKVAWHNGATCEGFQKLENNQQSHGDLLPRKVQESKWQRYLRNVVATCKTLCKSKKATQGMDFGHRTLTSTEDINRHKGKDPLYDVLQDRGQCSSSSCGMASYEFYCTICMETVHVRDLFPISGCTHLFCVSCVSQYITAKLGDNMLSIGCPDPGCKYGALDPEACRDMIPPQLFERWGAALCDLAVGRFKFYCPFNDCSALLVHELGHEFKRLKKDERGKNDLLLRKVAPESRWQRCPKCKMYVERAEGCVYIVCRCQHRFCYLCASSMSRGIHRCSKCKRTW >Dexi9A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:9A:423102:424658:-1 gene:Dexi9A01G0000790 transcript:Dexi9A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGGETNHVDAGDPSSRAVVFSDGSQYVDPDPGHSIQAIYEQVYGTPFVDATSTPITRPGVAMSGFAQNAEKEKPGMSETVMSGFHPDAVPVYRELVSQFAVCDRWFASVPASTQPNRLFVHSATSHGLVSNDTKQLVLGLPQRTIFDNLHDHGLSFGIYYQYPPSTLFYRSLRQLKYVGNFHQLELEFRRHCREGKLPNYVVVEQRYFDLEILPGNDDHPSHDVSEGQRFVKEVYEALRSSPQWHETLLVITYDEHGGFYDHVPTPFAGVPSPDGIVSDAPFFFNFDRLGVRVPAILVSPWIEPGTVLHRPESGPYPTSEFEHSSIPATVKKIFNLGDFLTKRDAWAGTFDTVLTRDTPRGDCPITLPEPVKIRQTPAAEHAPLSEFQQELVHLAAALNGDHHAKDMKLVIEDMKVAHAATYCVDAFKSFLDECERCNKCGEDGSHIPSVKPPPPPGKNKSSSFGSKVLACLACGRHSSSSSS >Dexi9A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:9A:3184036:3188772:1 gene:Dexi9A01G0005720 transcript:Dexi9A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASSPLVHLTASRLRLPRPRASVSGAAAPPSCSRGVRLGWRLTVGWRATRRCDRLRCFSNDGGGGAEEGEKRGEEEASAAAAPAAEELGSERSRSGSFSSSSGISSLPPEIVSYFTDPNATGPPPDMQLLVPFVESALPVAYGVLAIQIFHEIGHFLAAFPKNVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDIAMAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRATLGYRAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIASVFLVVLTLIPLWDELAEDLGVGLVTSF >Dexi3A01G0032220.1:cds pep primary_assembly:Fonio_CM05836:3A:36980171:36983676:-1 gene:Dexi3A01G0032220 transcript:Dexi3A01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVLFVPEAILGSPSGAGAVTAAKLAHLLSFSTSWGAALWATFIGGIIMFKNLPRHMFGNLQSKMFPAYFTLISACAALSVAAFAYLHPWKTASTVERYQLGFLLSALGCNLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQQVAKSNPTLKAMNRKFGMIHGLSSLANIMSFGSLAMHSWYLASKIEL >Dexi9B01G0048820.1:cds pep primary_assembly:Fonio_CM05836:9B:47687526:47698693:-1 gene:Dexi9B01G0048820 transcript:Dexi9B01G0048820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETFQCLALTMHLFVPVIYLLLKYFQVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQSYAAGKAREYLDKPAIHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAILLSTYAKILMHTQPPDAGLQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGSALADSALLKKAEDAEVDTAEQSAIKLRSQQQTSSALVVAEHPSANGSAPAANHLTLVKVPSQTVSDIPESSATYEEVSNPTVEALKENGPVEVESKATDISEINHQTKVEPPSTSRSTSPADLLADLLGPLAIEGPPAAEQYPVQGLDANQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFMGNKNTSPLMSVRALILPPSHLKMELSSVPDTIPPRAQVQVPLEVANLRASRDVAVLDFSYTFGTALVDAKLRLPVVLNKFLQPINLSPEEFFPQWKALTVHSLKVQEVVKGVKPLPLPEMANLFMSLHMAVSPGLDTNPNNLVACTTFFSEATRAMLCLIRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHLIDIPRTQAAPPPGPVQPQLPAAVPATYNDPGAMLAGLL >Dexi3A01G0030770.1:cds pep primary_assembly:Fonio_CM05836:3A:35099507:35100847:1 gene:Dexi3A01G0030770 transcript:Dexi3A01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSFTGPGIGIGFGVGCGFGVGWGFGGMPLNMFGLGIGGGCGVGIGLGWGFGNAYGCQYRSSRVQFQGIEFHKKAEGDEAPKVVSP >Dexi4B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:4B:1303429:1305546:-1 gene:Dexi4B01G0002110 transcript:Dexi4B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVDAATPVAHAFAAASCDAAAAAARFPAPLMPLPAAAAADNKPEWSADLSAALYNVDGWGAPYFFVNADGDVAVRPHGAATLPGQEIDLAKVVDKAAGPRAGGGLGLPLPLLVRFPDVLRHRVETLNAAFDYAVRSTGYGAHYQGVYPVKCNQDRYVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLVCNGYKDDAYVSLALMARTMGLNTVVVLEQEEELDIVIDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVTKLKAVGMLDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGAGMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAVAVVAAVGRVCDRKGVQHPIVCSESGRALVSHHSVLVFEAFSATAPGQLDAATAYLLDELTDDCRADYRNVMAAAVRGDYDTCGLYADQLKRRSAEQFKEGILGLEHLAAVDAFCEIVARGMGAPEPPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVCEFIGGRHSLPLHELPTHATHGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVTQSDGPHCFAVTRAAAGPSCADVLRAMQHEPEVMFEVLKQRTDDATAASIARVFGAMPYLVFDPEAAVMSSGESSGMSSDSEGSAAGAAEEDDEDWEFMRGLTV >Dexi9B01G0030660.1:cds pep primary_assembly:Fonio_CM05836:9B:33143813:33144016:-1 gene:Dexi9B01G0030660 transcript:Dexi9B01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVRVGGEGKLPADLMKTVKDMLPDRKVVMGRAKRGIFAGRHIRFGNKVSEDGGNK >Dexi9B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:9B:11161759:11166020:1 gene:Dexi9B01G0016320 transcript:Dexi9B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKANQLPADTNPDRSTKDKKALNTYRPDNSMDNNDGPVIGGLTSEAAAFDEEAPLIPSARLSYMSDGQETDKNIEIWKIKKLIKGLESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGEIIIKHLNKEQEADQSHFRDQSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGLLRYQLDIRSFDELSDDEGIYEDSD >Dexi6A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:6A:2908619:2908981:-1 gene:Dexi6A01G0003240 transcript:Dexi6A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPTDHAGDAAAAARRRRRRRRRAAAIAAGHQWSPSLGDIYEEYTTDAAAKHAAAAVATGGPPARARKAASWDVARRVHSDEYRQLESSSSMPAFAPTAYLF >Dexi9A01G0035230.1:cds pep primary_assembly:Fonio_CM05836:9A:39853342:39861667:1 gene:Dexi9A01G0035230 transcript:Dexi9A01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRADYSPNDDLLEQEFMLKGRWFQRKDLEVKNGLGKKLQCSHYMPAVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEKEDLKAVVNYLRTDGNVSCIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKANFDIMDLDTIQGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPEVPEDHYFLTPHGSLGQGHWDTEHDIEYRFAQSPRGTASATTTEDAIAQLRSRRLMSRMEVPSGATTEDRADPPEGLDSDVGPSSSSVSTATPPNGHNGRLLTPTSDDGEYVEYSFDSLSDMPYTVEDEDRMLMRAILESLKDYEQSNSKNAQSDASDAPKESNTVEDCSGVAGAVSEPDASSVSSDAPGDSEAKADSVQSSDSPSVNNIALADATGSSEPPAF >Dexi7B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:7B:25047658:25049614:-1 gene:Dexi7B01G0019620 transcript:Dexi7B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWVLIPGRPIAAAVNAVFAKLGQTASSSPLAWNISGDPCTGAATDGTVLDDNNNFNPGIKCDCSDQNNTVCHVTKLSFAIVPWGIDCYAVHPGLFWCFFRGFGSNYLNGSLPSELGNLAKLEQLYIDSAGLSGPLPSSFSRLTRMKNLWASDNDFTGRIPDYIGSWTNLTDL >Dexi9B01G0031500.1:cds pep primary_assembly:Fonio_CM05836:9B:33848679:33851197:-1 gene:Dexi9B01G0031500 transcript:Dexi9B01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVGFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEVSLAHIAMDFGLVDALLETRY >DexiUA01G0003090.1:cds pep primary_assembly:Fonio_CM05836:UA:6413761:6417294:1 gene:DexiUA01G0003090 transcript:DexiUA01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAAMWESSRLLTVTRTVLLAVAAAAAALLPLSSSQDLQIGLPGCPTSCGDVSVPYPFGIAPGCSLAGFGLTCDTTHTPPLLLVSNSTLQVTGVSLDNSTVRVLGPAVNFSQMLRNGTAWTTISYWGGLPWGLGFDGPYVSSEARNEFIVWGCNIFAELRLGSAQLITSCGSVCDGPGPGNYADNECALRYNGSRHCGRCYGVSCCQMPVPIGSTFYFVRLTSMLDSEEDFAAVIAEEGWLDRGVAAEAARSSGEMKATVPVVLAWAIAGSSAQPAVNETRDGDATCPTDLDSTGCHSSYSSCTNELSNNDRRRRSYTCKCWHGYQGNPYLPDGCQDIDECALPNQCYDFGASRHIPVDQTGVDTVVQVSYRSAQGYGLARHFVTLVSENNLDQILDPQVTQEGGGEVVDIALLAAMCVKFTSEERPTMRQVEMTLESIYAAKEFDSSEVTDEDFDEN >Dexi6B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:6B:19799117:19804254:1 gene:Dexi6B01G0012210 transcript:Dexi6B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSERERRRPGESNDPLAEPDDGKLEQDGAVGGVEEEDLVELLALLLPPPEVEDAQLRPSPIPERRAAAPRDHPPRDGGETRPAASEWTDEGRADPIAGGSSPGRKREIPRARAWDGGRGRWGGRRRRSEREGGGASTGPVAVAGDSAWGMGDAPVGRWWWGWIAWLGLAGRKKTITDAELNYTSLLMNINNIVPAVVEMNSARTCLLGPLLQFLKATGIYPLYSAPRHVALPTNPDPNFSSIAVFLSHVLVSLALYASASFPNPNPNPPPLVTTLSFSLADSAAVSRTVLHADAPAFVPWYPRPWWSHGWPRRHGDRAAVGSKMRSSGAYESYFTPHLHLLGISVLTGCSRPSRFLPPASDRFEFQYFRSHFRTSTLPPLPLSRPVPSNSHVVHCATSLIHCWLRANTISMQLIAAAPW >Dexi5B01G0038850.1:cds pep primary_assembly:Fonio_CM05836:5B:37910334:37910975:-1 gene:Dexi5B01G0038850 transcript:Dexi5B01G0038850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGRERCRSSIAYDVDDFACRVGLAPVGNDTMVMVRHFVSTLLARLQIARQVRSLLDRATKLDQLRKRYELPVPSATSPIPASVQLPSYAETTNMVGIDSPRDEIIAKLTSARTRHEAGRRRVVSMVGFAGVGKTTLAKAVYSSLDDRFQCKAFVTVSRKFQLKRVLKDILQQLTTSNRSSSMPDPAIVSVDTWEVSKLGKEIRDNLKDKR >Dexi9B01G0031350.1:cds pep primary_assembly:Fonio_CM05836:9B:33754911:33755597:1 gene:Dexi9B01G0031350 transcript:Dexi9B01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIANLSAVLTGAAEAAKAMATEILPAAVTRVVEATRTSVAWLATHLWSLLAMARSVAVEHLPTGAAAAGSSALEAAASGPWIQAAARLFHGAYAWLAAAVVEKLPDVAAERLLGDAAAWVMGSRGGGGWAVVYTAAAVALLAAAFVGGAVWALTCRTMKAPGIGGGVRVPRAVFKASPKRYYAAVRAAKKARRGGCCGGGGAGWRMLLAGIGIAVVAYLAAKVLY >Dexi6A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:6A:3570566:3576016:-1 gene:Dexi6A01G0003900 transcript:Dexi6A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRHHPCVGVHTPATATADETARHRPQETPPTTTTQVEDLPEACLAQVIALTSPRDASRCAAVSPAFRAAADSDDVWRRFLPRRLPGNLQPPPPPAIAGEQDGRRKKDAYLDLCDAGVLVVDGGGGGVKVWLEKATGAKCYALSARRLSLPWDGGEFSWRWTTTHPLSSRFTEVVELVDCTCLDIYGTLPAAALTPATPYAAYLVYGTAAETEDGGHRGLSYPDQETTVVVGGRVVARHAVCLLPDEDEMRKFIRAVVVACGDEAAPATARRPTRREDGWWEMEMGWLTVAREEMEEEEEVVVSFEVMGWYPKRGLVVEAIEFRPVGDLPEECLAQAIALTSPRDACRCAAVSPAFRAAADSDHVWRAFLPNQLTSGVVVLHHHHPMVRRTKDVYLGLCDAGGVAADVVGGGEEGGVCRVWIDRDTDAMCYAISARRLSLPWDDGEFSWRFTHHPLSRFMEVAELVDCTSLDIYGNLPTVALTPAAGAEAGPPAMARRPRRREDGWWEMEMGRLSVAGDEEKEQEVVVSFEVLGWYPKRGLVVEGIEFRPVVHNSAT >Dexi6B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:6B:35959:36663:-1 gene:Dexi6B01G0000060 transcript:Dexi6B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSKHPSKVDTRTVAAHLLAVAATVLVLVWCVHFRGGLALHSQNNKQLIFNVHPVLMLLGPIVLAGEAILCYRSLPLSRAARKKAHLALHAAGLAAGGLGVYAVFKFHGEAGIPNLYSLHSWVGLATVALYALHWSAAFLAFFFPGASPATRRRAIPWHAVVGLLLFALAVATAQLGFLEKLTFMQAPPLRLPKYGAEALLVNFAALVLLLFGVAVVLATVTIDDATRYNTII >Dexi3A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:3A:3094026:3095692:-1 gene:Dexi3A01G0004760 transcript:Dexi3A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHNGVNGNKHEMITPMEVSSMEAGNFHEADGFDDDGRPRRTGTLWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVAFCGVIQYANLVGVAIGYTIAASISMKAIRRAGCFHKNGHADPCKSSSTPYMILFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTVSNGGFKGSLTGISIGADVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKKATSLSVATTTVFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERRANATWPDNAFITRELHVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSVTCLFVSIAAAAGSIADVVDALKVYRPFSG >Dexi2B01G0029130.1:cds pep primary_assembly:Fonio_CM05836:2B:37638947:37639648:-1 gene:Dexi2B01G0029130 transcript:Dexi2B01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQGDARKGSLATSLSFSNCRSSTRILGRKRVAVSPTPASRSPHSPVRTLRKQRSVRFHMDDTVSLLESLPQDVLIKVLCKVNHSDLRQLLLVSKPVSEATTVARELHFKFATPLSKACFRGDEDEEDEDGPGAPRQHRVARSRLRGKNLEGIAVNLSASFESLLSGV >Dexi5B01G0031150.1:cds pep primary_assembly:Fonio_CM05836:5B:31973856:31974530:1 gene:Dexi5B01G0031150 transcript:Dexi5B01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIAPAPSLLPCRRPPPPPPALALPDAAAASSTVPRHVSTQRTWKPWAQRGSTRTLSPSANSPRQMAQTSAATAGAPSAPYTSTGMLRSARRLSPPPPAAASRSEAARRAQRMRQRASELRPSAKRRAKSSAARMMTMLVSKLPSLVLPGPGLLLLSACCAASAAIPGAGDSGGPMYQLIERPWTPMDATARSPRPMDERWIPFLSLHLPAS >Dexi6B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:6B:2912184:2912519:1 gene:Dexi6B01G0003530 transcript:Dexi6B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTRGTRRTRGSLDGRLRPPVANTERSEGKRVCLSMMLEEADGATAAEMSSARTRSPRAAVDRNSTEDMTPAGKERSSAGMRETPPVAEERSQAGDAAELEPHLPLAVC >Dexi3A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:3A:16416192:16417602:1 gene:Dexi3A01G0020690 transcript:Dexi3A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPNDLVANVLGRLAPCSLAASRCVRKAWRAIVDARRLLRTDLLPLRLDGFFCCGQILLPRPHFFSRPSIGRRIGGGLDFLDTRDDMDLHIMDHCNGLLLLYNVSGCSNSKFKEDSEWPSSPYTTHLFSSRNGRWEKRSFFREGDAAGTIADMLPDRRQFQRHAIYMRGVLYVHCQNDSVMRITLSDDKYQMIKSPVESKIVDNGVFYLGKSEKGVYFALLWGDRWPQFRVWLLNESCGQMEWLLKSDTSLQALVQNFPFNIDNKYSRPWIVNYVKDVKEAQVEEESEWDFDNGIVLETKARVEADHQETFFLGFHPYKEIAFFWVSHTRVVSYHLNSSKVQELGILHVPSMMKSFPYTPCMLEQGVF >Dexi4B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:4B:3814836:3815904:1 gene:Dexi4B01G0005410 transcript:Dexi4B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPTTPIAVPLTVFDKASYDKHISGINLFLTPTPPNAALEAGLARALAAYRHWAGRLGVDGDGKRAILLNDAGARFVEAAADVVLDGGAMALALEPSLEVRSLHPSGDGAEELMLVQVTRCACGSVAVGHTMHHAVADGRAMSSFLLAWGQATRGVAMDHPAPVHVRASLFVPRSPPRVEFHHRSVPRSPPRVEFHHRSAEFKPRGERNKLAHDQEVVVRRVRYTPEFIVKLKSLSPCNSTFQCVAVHHQGARAGPAGGHDATGRRRLPRARMRGVVPEGYSGNAVLSAHQAITAGELLAWPLPRVVTELVSRAVARVDDAYLRSFVDFASSWAVEEEGLVPVDDDAEEE >Dexi1B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:1B:5775996:5783203:-1 gene:Dexi1B01G0006980 transcript:Dexi1B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDAPRTRAGRRAGMASPLRCPRREGWLPMAAAWYGPGGRRRERCSAFDEVTMYGPTSPDMGKDEGEGPPETKTVPAVRSLFASVFMHADAVDVALMVLGLIGAMGDGMSTPMTMFIASRIFNSAGSGPDRLQHFSSKMNENARNLLILAAANWTMAFLEGYCWTRTAERQASRMRSRYLRAVLRQDVEYFDLNAGSTTSEVIASVSNDSLAVQDALSEKIPNFIMNVTMFLGCYAVAFALVWRLALVALPSLLLLIIPGFLYGRIHLGLARRVREQYTNPGAIAEQALSAVRTVYSFVAEPSTMARFSAALEESTRLGIKQGLAKGVAIGSDGISFAIYAFNIWYGTRLIMYHGYKGGAVYAASASIVIGGMTLGTGLSNIKYFAEASAAAERMLEVIRRVPEIDSESNTGEELASLAGEVEFKNVEFFYPSRPETLVFASFSLHVPAGHTVALVGSSGSGKSTVIALLERFYDPSAGQVMLDGVDIRQLQLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVVAAAKAANAHNFISELPMGYDTQVGEHGVQMSGGQKQRIAIARAIIKSPKILLLDEATSALDNNSEGVVQEALELASMGRTTIVIAHRLSTIRNADMIAVMQCGEVKELGSHDQLIANDNGLYSSLIHLQKTKESMDTEEVGGTGNTSVMWQPSSHGMSRRLSTASGAIITRSMGNVDGNNNIEPKLPVPSFIRLLMLNAPEWKQAVMGSFSAIVVGAIQPVYAYTMGSMVSIYFSKDQEEMKNQTRIYSSFFVGFAVVSFLTNITQHYNFGAMGEYLTKRIREQMIAKILTFEIGWFDRDENSSGAICSQIVKDANVVRSLVGDRMSLIIQTVSAVLLAFIMSLAIAWRLALVMIVVQPLVIISFYARRVLLKSMSRKSIQAQSECSKLAVEAVANLRTVTAFSSQGRILCLFDQAQEGPCKENIRQSWFAGLCLSTSMSLLRCVWALTFWYGGILMAEHHITSKALFQTFLILISTGRVIADAGSMTTDLAKGADAVTSVFAILDRETEIDPDNPDGHKPEKLKGEVEIREIHFAYPSRPDVIIFKGFSLKIQPGKSTALVGQSGSGKSTIIGLIERFYDPLMGIVEIDGRDIKTYNLRALRKHIGLVSQEPTLFAGTIRENIVYGTETATEEEIESAARHANAHDFISSLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSHSEMMVQEALDRMLVGRTSVVVAHRLSTIQNCDVITVLEKGTVVETGTHTSLMSKGSAGIYFGLVSLQQGRNQHLDFA >Dexi5A01G0025070.1:cds pep primary_assembly:Fonio_CM05836:5A:28921260:28923617:-1 gene:Dexi5A01G0025070 transcript:Dexi5A01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRAALTYQCAWRRRVARKELRKLRMAARDTQALKVAKEKLEERVEELTSRLGLEKKLRADLEKSKAEEVSKLKAALHEMEQQVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIDGLKI >Dexi3B01G0023590.1:cds pep primary_assembly:Fonio_CM05836:3B:18407245:18407988:1 gene:Dexi3B01G0023590 transcript:Dexi3B01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPQLSLSGCSSLFSLSSTSTSRDDDSAAAAPPPPSLHPLPPRRPLLSLSVGGGDEEQEDEEEYLLGGLDLQLTGAGGSSNNSGCCDGDDERKNMRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRQCKQLKVDMAALIQSSSSKSNSHIRRTSSSTQL >DexiUA01G0015530.1:cds pep primary_assembly:Fonio_CM05836:UA:32521471:32521980:1 gene:DexiUA01G0015530 transcript:DexiUA01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTREEAQPESCRCPDADDPETSRPERDDPETSRSERGDPETSRSEHDDPSPGAPPPPLRQQIVGACRADERIRPLLTLNVSCTAADDRFIAHLAQHFEVSEVGMLARCLCIPLVSLRVGKVQRDGTLLCPTHIRL >Dexi9A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:9A:6964805:6965029:-1 gene:Dexi9A01G0011180 transcript:Dexi9A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAMAFVALLITDAHGARTEPRDGAPGSGGGAGVGAPRRSALDVDDSSLGVPSCCTHDPNTGGKTCCPQTLTP >Dexi3B01G0034090.1:cds pep primary_assembly:Fonio_CM05836:3B:36857027:36860216:1 gene:Dexi3B01G0034090 transcript:Dexi3B01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLKSLKARHQIASQIQDLKARVEDASKRRMRYKLDERAFQSSTTAVIDPRLPSLYAESDGLIGIDQPRDELVRWLMEGEAESVQQLTVISIVGPGGFGKTTLANAVYRRLEGQFQCRAFVSLSQQPDVKKILRNIFYQVNQQEYDNMEIWDEESKAYVDNLLSSLRRLGRANLRRLYIQSYYGYSIEFLLDSWFPIPHLLQTFCMTMEYHFPRIPFWITSLENLSYLDINVDSVEQKALDVLGNLPSLMYLWVTAKATVCKERLFIRSSMFISLKEFHFTCLSSGVDLMFEAGAMTKLVKLMISFYAGDDLYSGFQHLSSLKHLVAEIICSSMTAQEVQALEEAIRSAVDILPNCPTLEVRIW >Dexi7A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:7A:18054307:18059597:1 gene:Dexi7A01G0006740 transcript:Dexi7A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQARPAAVLWLAGFLQAARLHRVVSFCASSRALFIRIAQCFLLNGLIFLGRYNDIAKHALEVVRSRSLDSTRATNAHNITEAEDQPEGFDRVALGIGEQVYSILLLTIFFAEVSVIGYIPYFGKAMNFLLLSLMYAYYCFEYKWNFFAVSLNKRLEFFESNWAFFAGFGAPCVLPIFFFSPLTSYGFMAILYPLFVLTAAGTQAEQVINELRPAHEGKLQRIPVFFVAKRLT >Dexi7B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:7B:22728982:22731644:-1 gene:Dexi7B01G0016710 transcript:Dexi7B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGALENRNYAPDKANKDDCVVHFEVKNASEVEAQLQEEELQNGHVANPEVEAQPQEEELVEELNVEPEHSDLHYNLEKPLESYAAPEGTPMSRAAVPESHGTPSSEVYVNTKKRRSKKPKSHAAKRAKGGQGKGQKGKKQKKKEQAAAVPVKVKES >Dexi2B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:2B:24863491:24864333:1 gene:Dexi2B01G0014910 transcript:Dexi2B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPPQRWAATYTKQVKQKRKAYQDGALLLYPASGRLVLLDDAGGNPRVQEISPGAALSFQAHLVDVGEPEDAPARYTSSSASASASAAAGSRTARRGGGARTRPPSSGRVFQPRVSRAFVNPSKSHGCGGGDDGEAAGSGGVEVADSRFQGEWTALYTAQLTQKAKKYHDGFVRLVQAGPHVKQC >Dexi6A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:6A:27662480:27669047:1 gene:Dexi6A01G0020150 transcript:Dexi6A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNPHGATSSEVKPAADDTESIEINIKTLDSQVHKIRVNKNEPVSVLKEKIVEATGVPLDQQRLIFRGRVLRDDQLLSEYHLEDGFTLHLVARRAPEGQNSSGASEGNAHANVNVAGNAGLLDDISRSVRDLLGSLGVAMSGSGVTNAAFSVPLTTAPEGGNNIPGRTQPANPVPPGFSVMNHQIHVTQLQPGAIPRNMVIPDSLTTLSEYMERMDGVLQNNGTPPSRDSEGQQQPVVDDANVNPRFPSPEVLASVIERAQQLLSGSAASALSHIAQRIRQDGGTGDASIRREIQTESVQLGIAMQHLGAMFFELGRTMMMLRTGLSPSQAFVNSGPAVYINSTGPNPIMVQPSFQSTPPFGVSNIPVVGGVSGAFGIVDPSRSSGFGDPFRNINVQSSGASATSGSSAGTTTTSEGAINGNRQDATRTQGGNLPGHPAATRALQTRTVLAAIPARSSVEAPNHVLSVVLPVQVRGQVAVPNQSTSSQGSQTAAGNGAQPNSTPAVPQASVGGVASVPPLVAQLNAINAANALAANAPDQVSSSVQSAVDQGFHLASDSRAGVLSSSTPATAPHQNDPSGTCGSTLSSQDISAVNVPSLDNIQQHPQSEDTSADTANLSGDPAATFTHDVPSSTSAEKSVPKNKSSDGLGSQSLEPSASGSSEPVGLGGGLIPKRRSRVAKPSGSTTDPGRDSSAVSQNQDAVSVAQQFLQGFASQNANASRSNAPTPGPPSLRPQATGVRPRRQGGEGQPDIGSMISGMLSNPVFGNLLSNVATQAGGSSAELRSVMEGLQSPAVVDTISNIVQNVDEQDLGAMFGSGRGQGGMDLSRMLQQMMPVVSQVLGGAGANPIGANNGQSRSQPRSNDTAGGNVLDSSSSQIDLHQARQSIEQHESPENIFSAVLETAAQAYGEDDSIQSMLEELASDPELTNDYLKLLVEQFRERLQSESQPGSQS >Dexi9B01G0044160.1:cds pep primary_assembly:Fonio_CM05836:9B:43990761:43992370:-1 gene:Dexi9B01G0044160 transcript:Dexi9B01G0044160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKESPGAAVASASGGPQPPGATVCCMCGDRGLLPELFRCSACSVRSQHTYCTDRYPKVESYGTCNWCLRADRGVASTSSSPKSTGKAAAGRQAAAHQQHGETSGGGRSPKVAARGDFASSNLSKPIKKQQQPQHQRLLLRRSASDLGSRVRDAPPPSPGVARGRPRVRRYKLLEEVITS >Dexi5B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:5B:13309956:13314043:1 gene:Dexi5B01G0015890 transcript:Dexi5B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTPVKAFKITAMATLSPPLHTQATALWRPRRRWHSSIERTSCQLKHGGRLLKASYRGLLPLYDDGYQTVKNLDDYYESLEELVKHDSGPPRWFCPVDAGSPIEDAPLMLYLPGVDGMGTGLFMHHKALGRIFELRCMHVPFHDRTPFEDLVTMVEDVVRKEHATSPNRPIYLLGNSFGGCLALAVAARNPHIDLILVLVNPATSYEKSGIQQLLSFFSLFSDQACMAITALLNYNIENEAYMAVSSIINGKHPLAALNRLTNNMSSFLKHSNILDKIPEDTLKWKMKLIKRAASYANYRLQSVQAEVLLLASCGDKLLPSKAEADRLQKLLPKCKIYFFDKHGHSLLLEYGVHVASIIKCTDLYRHSRRFHPVFDFIPPSTTELKEVDKATRDLRFRTCPAMYSTLEDGTVVRGLAGVPQDGPVLLVGNHMLLGIELISLAAEFLRLKGVVVRGIAHPLLMYKYIYKLLAAGEFVLLYPGGHREALHCKGEEHRLFWPSKAEFVRLAAQFNATIVPFGVVGEDDLLEVLCTFEDIRNAPFGKEMIQAYSDHLKLRDVDHEVFFPGLYLKMPGRFYYQFGKPIPTKGRQDVLTDKQAAHDLYMHVKSEVERIISYLLEKRTEDKFRSLIPRMLYQAARGPTCEVPAFDP >Dexi5B01G0021520.1:cds pep primary_assembly:Fonio_CM05836:5B:23836968:23838902:-1 gene:Dexi5B01G0021520 transcript:Dexi5B01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPRTPAPERPPPPVPVSTPPPPLETPPSPSPSSQPGEEYHTPPPSLDASPRAEASLHSDGRGGGGGGGGGLAPEQSPPSSHDASPREDATLPTKSLSLDASPRAEDATSPSDGRGREAHTTKNLSLAASPREQGSLPGDARGGRAAPAKSPTLSPVRLPPPPPVSPSGQNGKGGGGVAAAGGKNAAAQGRPQLRLATSLVRTPSQGSLATKSPSPSPSPTPPSPLTPATPPVVNSNNKSTQTTPKLAEARKARPSPGALGTTVEQSVDPDEEAATSPLRLGKAGLELDHQQHQQQQQQRRQQHAGAAENGGDVPPDVAAVAAVGERRALSVTLRLATAVLSLAAFSVIASARTTGWAGDSYARHQQYRYAVAVNVIVCAYSIAQSFGEIRRLISPTFIFRSMSSYYCSLFLDQAIVCKNLIFGASTTCCLLQVLAYLLMSASSAAASRNDLWVSRFGTDAFNRKISSALWLSFIGFLMLSLNALISTANLFSMI >Dexi3A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:3A:10378841:10379652:1 gene:Dexi3A01G0014240 transcript:Dexi3A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSVPSLCHVRHHHRGGDAAHAQPPHKSLIHGPGSIPGARVVAAHCHAGRPRCHSACRGRTPAPLLAAARRPSAIPLSGSSTSATYRPAAAAADSCSSSKATAELAPSNVPAAVCPADALALSAKNASASVPGPCTTSCQRFAARAGASSAASPQATANACHCG >Dexi8B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:8B:18142939:18143305:-1 gene:Dexi8B01G0009850 transcript:Dexi8B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFAALSVGKAWEKLSSFLRLSSTVPSTSSSGSQQEDLEDLRMLERSMRRIRATLHDAEEHWNIREESAKLRLRLWVCYAARYGGCSTGIVLFSPQLGALPGAASYRTVSRAPNLGQ >Dexi6B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:6B:26621818:26623427:1 gene:Dexi6B01G0020080 transcript:Dexi6B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLISFLLLLLLMQHGLWSSGASDKNKSMVEQLQRYGVIRSSKVAEVMEAIDRGLFVPSGGSPYFDSPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSDGREGWPELAPYDAIHVGAAAPQIPEALIEQLKPGGRMVIPVGTIFQELKVVDKKLDGTVSIRDETSVRYVPLTSKESQLHAN >Dexi5A01G0028250.1:cds pep primary_assembly:Fonio_CM05836:5A:31556794:31558395:-1 gene:Dexi5A01G0028250 transcript:Dexi5A01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHINANNGVHHNSGGGVPAETETEPPLMQGSRHLDAEEFRRQGHQVVDFIADYYASMGDYPVHPNVTPGFLRRQLPVDPPSHPEPGAFAAALRDVRDLILPGMTHWQSPRHFAHFPASSSTVGALGEALAAGINAVPFTWAASPAATELEMVVVDWLGKALHLPERLLFRGGGGGTLLGTSCEAILCALVAARERKLAEIGSRRIGDLVVYCSDQTHFAFRKAARIAGFHRDSCREVPTCRGDMFALSPAELRATMEADVDAGLVPLFVCATIGTTQTTAVDPIRELCAVAAAHGAWVHVDAAYAGSALVCPEFRHVTDGAEAVDSFSMNAHKWLLANNDCCALWVRRPSLLTAALGTEQEYILKDTAAEGHDVVDYKDWSMTLTRRFRALKLWLVLRCHGVEGLRGHIGAHVRMAASFESMVRDDARFEVVVPRQFALVCFRLLSPEKFGGEKTANELNRRLLEEVNATVSGPYMSSANVGGVYMLRCAIGSTLTEERHVHEAWKVVQNRAASLLRKMEIIYNVLA >Dexi5B01G0026990.1:cds pep primary_assembly:Fonio_CM05836:5B:28640782:28646855:1 gene:Dexi5B01G0026990 transcript:Dexi5B01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNTPSQELALTNCAFVSAGDLRRFPNSIALVGDALLLTLRYPQPVSLVCLSCCFVPPEDFKLALLTLELSFVKAKANEEQLDAVLLAQQLRKRFLDQVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVSRLGIKHVKGILLYGPPEKNVRDLFADAENEQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRLRGIVDCGKPHKHIYQRAMLLVEQVKVSRGSPLVTCLLEGPAGSGKSAMAATVGIDSDFAYVKVVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFDERDIDAAAEALDDMPLKKLYTLIEMAAQGRTGGSAEAIYAGKEKIDIDHFFSIFGDIIRY >Dexi9A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:9A:1468757:1476568:-1 gene:Dexi9A01G0002720 transcript:Dexi9A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSASSPSTTTQSREAGARSLDDTKPRAAVHLEQNRERKPPRACAVLCCFVTTSPPPSLDLTFSSPRLGKSISILKRLSVGELPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGDDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPRIYLMDEEKRDDNSRMEKTIPDSENTGIHEEMKVEHQPKNGLAENRTSPTKENENLFKSKECMVEEGDGHKDRDQPKNNTAKIEKTGAEPIVVPIVLRMSDFDHKALLEEWIATRAFRDNCLPQDHRKLINNLKLIQDYLCSFESQVSFS >Dexi9B01G0045950.1:cds pep primary_assembly:Fonio_CM05836:9B:45377057:45380293:-1 gene:Dexi9B01G0045950 transcript:Dexi9B01G0045950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEASSSSPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHTLPHHPTTGTVFVDRDGNHFRHVLNWLRDGTISMMSESEYQQLLREAEYYQLLGLADCINERLGWKKAESSEAELTRKDVIKSIQAQRVRFRGVNLSGLDLSKLTVNLTSANLREANLEAANLKGAKLSGTNLQGANLQRAYLREVDLRDTQLTGAKLGGANLQGAIR >Dexi4B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:4B:6664181:6664503:-1 gene:Dexi4B01G0009300 transcript:Dexi4B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVHTADAQLCKLTPSALPGTARRMSNQKNMAEEPLLASTVRDLRELIADIRSGFGAAAGIASLFMWASNFSKRRKNRS >Dexi4A01G0000570.1:cds pep primary_assembly:Fonio_CM05836:4A:375587:376436:-1 gene:Dexi4A01G0000570 transcript:Dexi4A01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIGRVAPLEAVLFDIDGTMAISDPFHHRATSEMLVKVGYNGGVPITQEFGMTHMAGRSNGQIGRFLFPDWDQAKLDAFFAEKEVLFARYAGEGLKEIAGLTALCRWAADRGLKRAAVTNAPRANAELMISILGLSDFFQLIVTAEECKRSKPFPDPYLTALDMLGASPEHTLVFEDSTTGVQAGAAAGMPVIAIADESREAKLTSVGASLVIRDYQDPKLWAELDTLATAEPQAAEETNGVTHSNS >Dexi5A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:5A:3956151:3961133:-1 gene:Dexi5A01G0005270 transcript:Dexi5A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYAAAAAGSSSRKPNRTSTASVAAARPPAPSPSPAPAPPAAYPSAVSDSDPSSYSSSSGDDADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLSCIQSWAHQSASGAAVPCPTWGCPKCRFAYTKSQTPTSYLCFCSKTEDPAPDPWILPHSCGDVCGRRLNANVDSGCEHTCLLLLDAAHISVTLVRGNATNDLAVDSTGVRCPERCHRGPCDETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACKRRCCAGDCPPCSETCDRKLRCGNHKCLSPCHRGACSPCPLMKTISCACGKTCFEVPCGTEKNQKPPKCSKKCNIPRLCRHKLECRPHKCHYGACPPCKLTCGEELSCGHICKERCHGPISPPNPEFTLKPTKRKMEKHIECTPGTPCPPCKEVVLVPCLGQHLGQERAAREPPCLHRCPLRCHLSDCLPCKVLVKKPCHCGAMVHAFECVYFNNLKAKEQLKVRSCGGPCHRKLPNCPHLCSEVCHPGTCPSVDQCMKKVNVRCACNTLKQEWICQDVLKEYRNSGRDPKEVSKSQFGAGLLACDDNCKKKLKAPDSELHLRKTQENKSPIVEVANVPKRRKRRDRGQEEVKASKFQEVKTYVLRVLLIIFLSIIAAAGLYLLWKGIFRLSDWMNEMEEHKARQRHLRGSMS >Dexi5A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:5A:8865178:8867912:1 gene:Dexi5A01G0011810 transcript:Dexi5A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGSNLRVRVVSRRLVKASDSSMEPHVLPVSNLDLLPQSMQTSLFCIYAKPPSTGDFESVVTAFAAGLPSFLNHFFPLAGRIAANPHSGLPEVHCSNQGAELVVGEANVTLASLDYGRACSTLSQIKLPYSQDVALSVQLVSFSASLHEAFTPLDKRHQVNVLTTQQSMVERLYYIDASAMARLRDAASHGSGGARATRMQAISAYLWKALASVVGEADECCRMAWWVDGRARLTKMPKVSDALTNYIGNVIAFVVREESVQDILRTPLPDVAAMVREAIAAPAYDEHFQELVDWVEKHKTKRYIDTASIGLGSPAPRITASSSVPLDTDFGLGQKATVTIMTATLIARLCAGFVHLAPRSTGDGSWIANAFIWPPLAAVLESDEPRIFKDVTAEYLGLSCPQVLRGRL >Dexi2A01G0030510.1:cds pep primary_assembly:Fonio_CM05836:2A:41430292:41431900:1 gene:Dexi2A01G0030510 transcript:Dexi2A01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTAIQLAFSIFFIVVLVAIKITRRQQSDGPTTRKRPPPPVSPGVPLLGDLPALLIKGPLELIRDRYTRLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEINQDEVSQFTIPTFGPGVAFDVDYATRHEQFRFFGDAMKPAMLRTYAELMVHEVESHFARWGASGTVDLKQEMEHVVTMITSRCLLGAAVREKMFGEVGTLLRDLNDGMRLVTILFPRLPITAHRRRDAARARLGEIFTDIVRSRRNNDDDGRRHDDMLQCLIDSRYKDGRATTETEVVSMLVSALFAGQHNSSSAATWTGARLLTHAKHLRAAVEEQARVVVARHGGRVDHAVLLEMDTLHRCVKEALRLHPPAMMLLRHARRSFAVRDPADGKEYEVPKGHAVASPLVIHNRLPHVYEEPDKYDPDRFGPRRAEDRAGGALAYASFGAGRHLCVGEAFAYMQIKVIWSHLLRNFEMELVSPFPKTDWNVVMPGPKGKVMVSYKRRQLPAAA >Dexi9A01G0039660.1:cds pep primary_assembly:Fonio_CM05836:9A:43531242:43531747:1 gene:Dexi9A01G0039660 transcript:Dexi9A01G0039660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVRPAFAYTIVYVSDVEKSAAFYAAAFGYTVRRQDQSHKWAELESGATTIAFTPLHQRETDGLSGEVKLPDSAAARGPVEICFVYEDVDAAYKRAVDEGAVPVSAPEQKPWGQKSGFVRDMDGNIVRIGSHVRE >Dexi6B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:6B:20906372:20908118:-1 gene:Dexi6B01G0013210 transcript:Dexi6B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCWKPALDWATRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALELGKASGAMHNPKGVMLDWEKMLDLLVDQDLGPHYDRIEVAEVVHVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAACHDALGYDHRNDSNGSVFFNDFHDIDSSLSSDEARSIDMVEEMELSGPR >Dexi6B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:6B:8411303:8413800:1 gene:Dexi6B01G0007210 transcript:Dexi6B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASERPRMRTASMCAAETARGTHSFKIAGHSLHKGLGVGKYIYSSTFAVDGHEWRISYYPDGFKEDSKEYVGVFLSILSISKGVEVRVVYDLRIVNQATGVSSSVFSSPTVYRDSERGFGAGKFMRRSILEASPFLQDDCLIIECDVTVIKTTRVEGITITSGFEVQVPPSDLSENLGRFKDSEDLADVTFKVKGEIVRAHKAVLAIRSPVFKAQLYGPMRGRGKRRTSINVEDMEPAVFKALIHFIYRDSLPTMDDLDRDESEEMIKHLLVAADRYGLERMKLLCERTLCKRLDVDSVATTLALADQHHCSSLKDVCIRFINSLNKMDDVIASQGY >Dexi9B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:9B:9318889:9324010:1 gene:Dexi9B01G0013940 transcript:Dexi9B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGSEQGSPESEMGDGDNDSVGYGAEMEVDAGSGSAGASAPASSASASASATASAYAARAGAYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSVMSRQFVCAKEGFRTYRGKNEVARADVADVGDDDSGRGRRTRAVTRVGCKAMIRVKKQDNGRWTVTKLETAHNHPLVPANQAHCLRPHKPLSECGKQRPFVGHRNGVYLKDTFLGDVSLKQQCASRSSLFEGYISAKTDSQSFIQQYEKALDCCYEKEVKEEFETKYSLPDIKTSSPIEKQGAELYTRHILAVFAARGVSALPSQYFVKRWTKNAMDRTSSNKVDEVSRAEEPNEEQRSSAEDDEQSLTWRYNSLCREALSVNTSNSSMVPGLVTVPIGLCLPSMDGSKISAAGINSENSGDINSNGKLSLGLHQPQPSAQQPSTPSQTKTLESIDSRANLESSSIRAAAIAAGARIASPSDAASIIKAAQSKDAIRIRPGENLPNYLKTLAPKPLSSIPPVNTPNSAHASPGQRSFGDSAAAKDAIFGSSDGSDDDEYDDDTDDEDEGLTGDDAEHE >Dexi9B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:9B:6069526:6070950:1 gene:Dexi9B01G0009850 transcript:Dexi9B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFPLRLRLRGAPLTTPHPSACSTSRLVVHASAALASAPRGGGRVGRRSVSAAAAAGDLSGSAPIASGAPAWDALGGVSVLAAGTGDAVPLTDLWDPTEGVAVVALLRHFGCFCCWELASVLKDSIVRFDSAGAKLIAIGVGTPDKARILADRLPFPVDSLYADPERKVPIPLPDLSELVECSAYNVLGLYHGVGRTLFSPASAKIYSRLDYIKKATKNYTLEGTPTDLIGVLQQGGMFVFRGKELLYSWRDEGTGDHAPLDDVLSACRKTPVA >Dexi7A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:7A:15095684:15097080:-1 gene:Dexi7A01G0004350 transcript:Dexi7A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGACYSSCRAAAGEAPEAPRRKRRRTAAAEAGSSSPAATAGAPARCGGGLGDMFEELPDDLVVSILRDVASSAGSPADLAGAMLTCKRFRELGQSKVVLSRASPRCLAVRAKSWSDDAHRFLQRCADAGNLEACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSLLDGRRLLIQANARELASLTAAGGGSVKAQRRKHSCLLSDFGCRAAAAGEAHAANRFLVDWFATRPLVAGGTGGGGEVAGMTEEEGGGGGALRMCSQGTCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMDRWVDAGAGDAQAINVAAVAAPAP >Dexi5B01G0021140.1:cds pep primary_assembly:Fonio_CM05836:5B:23433787:23437440:1 gene:Dexi5B01G0021140 transcript:Dexi5B01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGALLELLYVLGGLAALWFTWRVLEWAWLSPRRVGRALRAQGLRGTAYRFPSGDLPEEVRLLAAEVAKPMPLLSHDISARVAPLLYKGVKDHGKVSMGWEGPTPRVILNDPKLVREVLSNKFGHFKISQLPANFIKMVANGLANHEGEKWVVHRKIINPAFHVEKLKKMLPAFTSCTSELIKRWEDLVESGKGREIDVWPELQDLTGDAISRAAFGSSLSEGRRIFRIQSEQVQLATHMSKTSLYIPGYTYLPTTLNRRVKANSREVEELLKGIITKKERAMKNGHADDGDLLGLLMQSNIKESKDNGSSKPVMTMDDIIGELKLFYFAGMETTSVLLTWALILLSKYPEWQDRARLEVVRIFGKKQPDHEGAHQLKVVTMVLYEVLRLYPPITFLERQTYKEIELGGIKYPPGVKLVLPVVTIHHDPDIWGKDVNEFNPERFAEGISKASKEAPAFFSFGWGPRICIGQNFALLEAKIALSMILQHFSFGLSPSYTHAPFPVSTLQPYHGAQIMLEKI >Dexi9B01G0021770.1:cds pep primary_assembly:Fonio_CM05836:9B:16459288:16460172:-1 gene:Dexi9B01G0021770 transcript:Dexi9B01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTHSRPLPVFLTVVVALLAAPAVVAAWSKGTATFYGGGDASGTMGGACGYGNLYATGYGTATTALSQSMFGNGASCGQCFQIACDAQTDSRWCRGWGATVTVTATNLCPPNYALPSNNGGWCNPPRVHFDMAEPAWLQIGVYQGGIIPVLYQRVMCSKQGGVRFTITGFNYYELVLISNVGGSGSVASAWVQGTSTNRVPMSRNWGANWQSLAGIAGQALTFGVTTTGGQTIVFQNVVPVNWVFGMSFMSNLQFTY >Dexi5B01G0038970.1:cds pep primary_assembly:Fonio_CM05836:5B:38028579:38033044:-1 gene:Dexi5B01G0038970 transcript:Dexi5B01G0038970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKKLPLQDLQNKKLCVDLSCWLVQLCSANRSPVFLKDKVYLKNLFHRIRALLALNCSLVFVTDGAIPSVKLATYRRRLGSNVTEAARDEANSQPLTSLPRNKSSEFSRMIKEAKHLGMALGIPCLDGVEEAEAQCAVLNFASLCDGCFTSDSDSFLFGARTVYRDVFIGEGGYVICYEMEDIEKKLGFGRNSLISLAVLLGSDYSNGVHGFGPEAACRLVKSVGDGAILDQILSVGVKPTRKCKAKNSGVNAGRLGGTCPKESTCEVGMYQGSGDQFRDIINAYLEPKCHSPDSEDVQRACIQRPFLRSQLQQICEQYFEWSPEKTDEYILPKIAERELRKFSNLRSTSSDVGMKPSLNEIPVACPLLAIVKQRKVHGSEYYEVSWRNIDGLQVSVVPGDLVRSACPEKITEFLEKKDEQKKHKRRARPKKSAPAAIKAVDAQLQELLLGIESESGNFPSTGTGPQTALRTVAPLQDFVDLSSPSPPIRAKIARSRKFSESDVVPMNGIDLQSQNLISGNTESQEKTILSDVQNSTHDNTLVDLSSPLPRAAHKPHASLDEQLCLKGGRALSDISNFPEKGSMLGASCYKHGARGGDVQLEEASPLISHGTELAAKVHDSSRRCDVENNAVAEAVTIDLSSPLPVIGGKGKKIVDVIDICEAGSDRSPEHERKSRELRSFLASIRDELY >Dexi4A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:4A:935374:935707:-1 gene:Dexi4A01G0001460 transcript:Dexi4A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLRIQAIWGWGVGFFVIGFMVSGVLAADVHETRVLVGCTCVAAAFMASLVAFWVWLARTYGDSGSDDLESSSKDKIC >Dexi3B01G0037680.1:cds pep primary_assembly:Fonio_CM05836:3B:40437939:40442297:-1 gene:Dexi3B01G0037680 transcript:Dexi3B01G0037680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGEQQQAVEEVVSVEMPAPEGWTKKFTPQSRGKSEIVFVSPTGEEIKNKRQLSQYLKANPGGPAASEFDWGTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSTGRKGKQEKKKAPETEEAKEAEAGKDAEEAPSEDAAKDTDVEMKPAEEVKEAPTETEDAEKAADKADAPAPASAEEEKKETEKPAESDVAPPTPLEEKKVEAEEKKEDAKPAEPEAAAAAPAPASNPIENLAPAPTEPNPAPETKPDAAAPAPETKPDAAAPASGTKPDAAPVENSADKGASQESQPNGAVNNGQLPASAVKCT >Dexi5B01G0026170.1:cds pep primary_assembly:Fonio_CM05836:5B:27980292:27980887:1 gene:Dexi5B01G0026170 transcript:Dexi5B01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEVAELVLLAAAAALALAAALILVVFRSRLHRRSSSSRKRPPPPPPELPVFHDHEPALPAAAAPAAKASLVLLLAMLFSGRRQRARVEVEPAAAAADTTQAESSPAVTAAAGAAEGMASWRERWSRALYTIDEEEGEEEQQEDEAEPPETPFYTPAASPARLH >Dexi2B01G0029060.1:cds pep primary_assembly:Fonio_CM05836:2B:37557889:37559003:-1 gene:Dexi2B01G0029060 transcript:Dexi2B01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLACFGRRGGATTASAPDEPAEDQQQQQQQQEVAPGPVLVELFVSQGCGASPQADAVVSRLAQDSAAAAQEGGGGGGPAMVVLAFHVDYWGHEWKDPFASSSWTVRQKAYVESLRLDTLFTPQVVVQGRAHCVGTEHDAISQAVRDAPRYPAPAIKATFQRPNPTTLQASFTGTLRSRVEGAGGASVMVALYESGLVTDCGRGENKGKSLLNDHVVRRMEKVAAVKEGASAKKAVSGSVQFALWDGFRAAKCGVVLFVQNAAHQVLGVQHFDLPDNI >Dexi2B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:2B:27702026:27702767:-1 gene:Dexi2B01G0017300 transcript:Dexi2B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGWVVGNARVRGPAPRHVADSAVGIASPCISIIVARPCGEDYDSGGRDGEGCVLSRLAEGRNWTRCPSCRAVIDKIHG >Dexi9A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:9A:10948885:10950656:-1 gene:Dexi9A01G0016020 transcript:Dexi9A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGVFNIKQHHARALLIHYRWNTFRLNDHLERKGQDRMLMEAGVVLSRENNKMAAPSPPPASTTKKQPSRKKTKKKKEASCNVCFEDFSPHSMSAMDCGHSFCDACWTGYFVSAIGGGNKQVRCMEFKCPAIVDEEVVQHFLGRKDPAMAERFQDLLLQSYVDDNSAVKWCPSVPHCGRAIRVASGELEVEPLCEAECPCGVSFCFRCAAATTHSPCPCAMWERWDAKGRGEAENTRWILANTISCPKCFKPIVKDGHSCNRFKEEEKKKVDDAKRQLRRYEHYYKRFESHRLSCTVEREKIGPAVARRVEELESHDSILIKDASWLSGAHRSLLACRRALAQSYVFAYYMFDAEETATVPPPEKGSLSMAQRQSLFEDYQEQVEGNVEKLSELLGKDVIDMPDEDIVKVRQNAVNLVRVVETHCRKMYGCIQDELLPMLVEPMSIASFQPGGPSKAAELPA >Dexi9B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:9B:13468100:13470293:-1 gene:Dexi9B01G0018910 transcript:Dexi9B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGESGEKKKATEGQQLTGREPSTPSHASKRRRSEAMADRFFANDFPDFVAEAEAEIPGGDGDRHDAGLRGLLSLPYASLSDRFLRAARRLKDKARPAALFPLVVEETWVKAGRQVTDYTLYTGALGTAFLLFKSFQVTGDRGDLALAADIVHACDDASRGLPAGVCALGAVIAKHCDDQLRVTQYLSSFDEITITEKVPNELLYGRAGYLWACLFLNKHLSEKTIPLEHINYVAKDIIKEGRKLSSKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMIKNRFPGGNYPSSEGNDSDRLVHWCHGAPGVALTLAKAYEIFHDDHFKQSAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLFEKADELIAEGAMHGGDRPFSLFEGKAGMAYLLMDMINPSESKFPAYEL >Dexi1B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:1B:3126394:3131118:-1 gene:Dexi1B01G0003930 transcript:Dexi1B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSALTYVILRLLGEGPDGGDGAMEKGRNWILEHGGATFITSWGKFWLSVLGVFEWSGNNPVPPERFVGRITPLVLELRKELFKDPYSEIDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPVMMHWPGSKLREKSLETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIQEFGPTLKLAHDYIKNSQVRDDCPGNLDDWYRHTSKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGESLDANRFYDAVSCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFRKLYPGHRRKEVDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLIAAGRTFENSPAIRKACKFLLSKELPSGGWGESYLSSQDQVYTNLKGKRAHAVNTGWAMLALIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAGKQ >Dexi9A01G0026030.1:cds pep primary_assembly:Fonio_CM05836:9A:27567287:27577334:1 gene:Dexi9A01G0026030 transcript:Dexi9A01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIVARGWVGAEECISSTPPLPHYDRTRKTWSFIHERAAASTTSAAAGLLEEEAGERTDAQGSEEGFGRRFCSSLPPPAVRAALLLPPFAGDPRRSAPLLSSSIGSPRAWILATRRRARRRSAGRSYCRGVQSPPALAQLPAAARPDTAPANPGRPPSLGRPLPLELQLGDGELVDAAGEATAQARCGSRRRPLLSLGVALINHLAGCSPQTQSSLSCGVWAAEGSSPQPHTHLPFSRRPPAAQAAAAESRTPPGCAMVVMGKLGVLIGPDVSLLWKFKDDLESIRSTLLTLQAVLNDAEKRSSREERVRLWLKRLKFAAYDIHDILEEMESKNDMQDTVRGIALQKVSQFRAHIPIARKMKKVRQRATFSSISEDIVGRAMEKETIVAMLMAYSEEEILTISIYGFGGLGKTTLARLAFNDENVRRVFDYQVWVYVSMKFDLKKIGESILSEIDGGNCGHANLQEVSRHIQRVLASKKFLVVLDDLWEENGFQLLKLKEMLSGGAKGSKIIVTTRSEKIASLMRPCTPYKLDVLSDDDCWILFKRRAFVPGMDDPRIEGIGRDIVKKCNVFSKGVVIDKDMLIQQWIALGFIQPASGSLTLEKRGEEYIHELVSMSFLQASMISSLTLTNLTNLEHLNLACCISLEMMPGYYGCLKKLKRLNTLRLSTNYWYRDEGANIVPGGMHQVYRAKERYTPHMSNCSS >Dexi7A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:7A:28140169:28140384:1 gene:Dexi7A01G0018840 transcript:Dexi7A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVVEVDQDGRTLNQWTAWTGRQADNSLQPEQHCSRGTGVALARQVVGHRLVAQGRPGSGVLPRCSLAA >DexiUA01G0003260.1:cds pep primary_assembly:Fonio_CM05836:UA:6595071:6615494:-1 gene:DexiUA01G0003260 transcript:DexiUA01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSPLQLGVFSLVATDEFYDAETMWEYRRNPAEIARIAAWPGSELVEVSPTLEHLPDEALLIESDAGSIIKSCSNIARSSSTTTTGLTTSNQAASKEEERSRQKQAATSTHGSKRELKEEEVARRGDQQQEAETTRAGKETRWTDDDKKRAAGWRGSGVRPEMVLVGFLLTLPLLFLVFGGRWGSTSFPSSSSSSTPAVSRHVAAGDRGDTPQKQSKLPVLFFFYTVSSSLTGRRAWEFSRFAPRFPDGFGVYEQEQVHWHYGHANHGGARRRRALTGTLEFCDKLLGGLLSAGFDESSCQSRYKSNLYRKPSPFPLSPYLAQKLRKYEAYHKKCGPGTKRYRRAIELLKSGRNSDDSECQYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLTHVATEQEGLFCEPFPGSSWVLPGDFPENNPHKLHIGAPESYANMLKNNVIRHADDPSKVPASSLPAYVYLHVEQFQLKLSDNVFCDEDQVMLRKFNWMILKSDSYFAPALFLTPMFEEELAKMFPQKEAVFHHLGRYLFHPTNRVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQVMRCIREQRLLPELGNAEPATNTTTAEAGKVKAVLIASLYSGYYEKIRGMYYESPTKNGEIVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWNKEVSEVACPCLHSPPQLGCRAKRDVDVATVKPYVRHCEDVGFGLKLFMRSINESPAGLFPLSEAEEAWASRKKAMARTPRGTHKQVAAIGGEKEWRWWAWRAPARAEVVVVVGSVATLALLVLLFGGGTGSVTAFSSPRIEFVQKPGKVTYYCREGSVWPHKETDTASCPSKCFPRYEFYNSGQLLAACTCTRRPSFTLSRCRRRGGRGESGRVGGKKLARASMMDAAAAAHKQAASDGELDAGRDGNGGGEEAWRWPGWRAPERVEVAVLGLLTTLTLLLLVFGGAGRQPAAFSSSPRREFPPKPASRVHEHVARPDPQARHDDQDRLLGGLLSPAFDEQSCRSRYESTSLYRHPSPFRPSTYLVERLRRYEARHRRCAPGAPLFKEAIEHLRSGRNAGRSECQYVVWTPINGLGNRMLSLASTFLYALLTDRVLLVHAPQEFDGLFCEPFPGSSWKLPVEDDFPITVTDFAGVFTMGSPASYKNMRQAGAISSDHRNVTTEGLPAYVFLDLIQSFTDAAFCDADQRVLAKFNWMVLKSDVYFAAMFFLMPSYEHELARLFPEKEAVFHHLARYLFHPSNDVWGIVQRYHGAYLARADERVGLQVRVFPEMPVPFENMYGQITRCSEQEGLLPKVVVRDKSGAGAANHSSSAMASGRSNSNKLTSILVTSLFSDYYERIRGVYYASATETGEYVEVHQPSHEREQHTEARVHNQRALAEMYLLSSCDRIVTTAVSTFGYIAHGLAGVRPWVLLRPPSPDATVYPACVRSETVEPCLQAPPRRICGVAEGTDIGALVPYARHCEDASATTFSLFSMLLPRRSPPCCHCGCVRSPALAPIVLVKAGHLGPSVQPLHRGNLQKHSPTCPSHAVAATRREEIDNRIAHDPDRRSDALKPEGGGYMRRLRPSHSHGSGEERLPLRGGLETERPPPAAHHGGEQLLKDARRGGRLWRASVRAGLVLCLLTVPAADSSPQWVFDFEAPEEDDDQAVWSGRKLVVDARSNKVDVFFFFLSWPGQKDDRAALTNTPWPMGREGCPVSSRAASGGDGSAHPLFNCDLQFKWLCTPPVQLQTWSAPWSVHVLPPLVQPPTRLNLPPKRPKMTAVLEKKSRRRDHSGPMYQPSHEEYQRSKNKKHNMKALAEIYLLSMNDELITSGFSTFGYAAQGLAGLKPWIMFRSENHLVPDPPCGRAMSIEPCFHQAPYYDCKAKRDTDLGKVVPYVRHCEDVSWGLKIFTRDFCVSASYIMALAAINWETEWTSRLLLKSAGRGRQSGAPADGAADDIGVFASPEMQQRKPKVCAAEGAAQEMEIPVSRAPEAELAPPEHSPVSPKKRLALDKKRWCTVFNVAFVMAAAALVVVISAGDGATMAQLRRGSGGRSFPYARSPPDKLLGGLLPDGLDERSCRSRYESSMYRRNTARRPSPQLIAKLRRHEELQRRCGPNTNAYRLAVEQLRSGKSVVGSPECKYVVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMDELFCEPFPGTTWLLPRQDFPLASYTNFSINTAESYGNMLKNKVVSADTPMTPAEKLPAFVYLHLDHDYAHEDKMFFCDDDQRLLSGVQWLVMRTDLYTVPGLFLVTAFQEELDALFPDRDAVFHHLARYLFHPSNHVWGLVTRYYRAYLARAEHLVGIQVRNFDPRHAQSPHVLRQITSCVWREKLLPEVLDTEEHTTRTPGAKSTTAVLITSLRAWYYERIKGMYWDQATVTGEDVSVHQPSNEGQQQFGKKSHDGRAWAEMYLLSLCDVLVTSGWSTFGYVAQGIGGLTPWVLHKQPENLTAAPHPPCFNDVSMEPCFHAPHVYDCKMRRGLDPGEVVPHVRHCQDVSWGLKLVDPKAYKA >Dexi3B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:3B:8059817:8060692:1 gene:Dexi3B01G0011440 transcript:Dexi3B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNKPKVYGWAISPFVSRALLALEEAGVDYEFIPMSRSDGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHVFRKYKPELLGGGSLERSAMVDVWLEVEAHQLHPATGAIVVECVFAPFLGRPRNQAAIDENLGKLRKALEVYEARLAGSRYLAGDFLSAADLSHFTMMHYFMGTEYASVVEYAHVRAWWEDLAARPAARKVAEFMPLGGARAAAKKDE >Dexi3A01G0022290.1:cds pep primary_assembly:Fonio_CM05836:3A:17874601:17875494:-1 gene:Dexi3A01G0022290 transcript:Dexi3A01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPPSATNPLFTVSFNVQGSDNYGDFIGGIRRRVANPRHYSHNRPVLPPVEPPPRRWFHIALRTQTATLTLATRADNLYLEGFRSSDGTWWELTRGLIPGATYLGFGGSYGDLFGNMVRLAADVLRQQRLSPQQMAAARAALASRAARGLAGVELGPQQMADAANALAARARGDLASGKEQLRATEALLVILLMVHEATRFATVSAFVAALMHPRAAMKRGTITAQMEKQVNGWEDLSKALLKADAVQPPGPFMQFPDMGVRTVEDAAATIGILLFVDVGGMTAATALRLFHGN >Dexi5B01G0038220.1:cds pep primary_assembly:Fonio_CM05836:5B:37461108:37462323:1 gene:Dexi5B01G0038220 transcript:Dexi5B01G0038220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRTKQNVQWGLLGCALCVVSGGPDTSALHRGKCQEDHVGDGPRSRAAPAIALRPPPSFSKSVRSPMLPCTCKRARCVAGLVLLTHQLAFGGGIHPRSTTPLLLEGRPASPTVAVFSKASCLYSSVGVANNKPAAQSGHWRRRYL >Dexi3B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:3B:8061862:8063696:-1 gene:Dexi3B01G0011450 transcript:Dexi3B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAGDLMWFSSSSTPLQRASGIQDTITHAPSGVELGDTKLRCSASADPSSSSLINALLVPRWPWPGGDENSLSFPGRRGDDDGIDLAAFLAVAARKMGAECFDVFGDARTVCSRAQQARSSARSGRLLTDHVPAPLLAIRLHCSARRLHGRGSGRLHPPVPRPRSRPHPIYRSLLGVQKQTVSQGQDSPPSPPCVSWKRFLSLSRLAVEAWLSIFFTDKIAPQIGSIFEYTNQRPVFGIIGSDSALYAPLLGFFVFTGIRPLHVTTSVPCLLYAAPGRW >Dexi1A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:1A:2418482:2423690:-1 gene:Dexi1A01G0003350 transcript:Dexi1A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGDTRHYFCIPCYNESRGETIEVEGQAFLKAKLGKKRNDEETEEWWIQCDKCECWQHQICALFNGRRNDGGHAEYTCPNCYVDEVKCGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQEKQDRAAAAGKDIDEIPGAEGLVVRVVSSVDKKLEVKPRFFEFFSEDNYPQEFPYKSKAVLLFQKIEGVEVCLFGMYIQEFGAECSFPNQRCIYLSFLDSVKYFRPEIKTVSGEALSTFVYHEILIGYLEYSKLRGFTSCYIWACPPLKGDDYILHCHPEIQKTLKSDKLREWFLSMLRKASKEEVVVELKNLYDHFFITMGECKAKVTAARLPYFDGDYWPGVAEEMISQLWQEEDDRKLQKKSKAKEIIKKRALESSGNTDLSGNASKDALLMQKEDVGCFDAEQQLEDRERHPSKSRCIHMLHPVDIAGVPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTRRRAKHSSMMVLYHLHNPTAPAFITTCYLCCHDIETDQAWRCEVCLDFRVCNACFQKGAVNHPHKLTHHPSADHDAQNAEAREMRVQK >Dexi9B01G0039360.1:cds pep primary_assembly:Fonio_CM05836:9B:40081676:40082152:1 gene:Dexi9B01G0039360 transcript:Dexi9B01G0039360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFQGFPFGSGSSSSLFPSFASANSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEIEDGNVLQISGERSKEQEEKNDQWHRVERSSGKFLRRFRLPENAKTEQIRASMENGVLTVTVPKEEAKKPDVKAIQISG >DexiUA01G0022040.1:cds pep primary_assembly:Fonio_CM05836:UA:45246759:45248658:1 gene:DexiUA01G0022040 transcript:DexiUA01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASGTANGHGKEAALYEEQKSKISKVRSELGQLSRKSALYCSDASIARYLIARNWDVKKATKMLKKTLKWRSEYKPDEIRWDDISDEAETGKIYRSDYFDKSGRSILVMRPGCQNTKNANGQVKYLVYCMENAILNLPQGQDQMVWLIDFAGFNLGNLSIHVTKLTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLEKKTRNKVKFVYSDRPESMKIIEDLFNMDELECAFGGKNPATFNINDYAMRMREDDKKMPSFWSPENSALASEPYLMNNQKLEESSSLGVKAEETESEKREETDTTPEKRKESETESEKEEETLTESSTVEQKSLPGEDSAPAADKSGP >Dexi1A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:1A:23087584:23091876:-1 gene:Dexi1A01G0016080 transcript:Dexi1A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALHGKYVCGERITVNWSKQQPRFSQGFRSSRFIESSRGRNFRDAQDNVRLRDPVARKNHPANHDHGHNPDAVPEKESDKFTDVVNDAVENFGDDLGEVKRNEGGTIDEDPGEVKRDVIGTIDEHPGVVKMDEGATIDANAIEHDRWAETGKVSASPQHKSSPDVENKNLDDLMNSPLEDNLEVRARCEVDNVDDNKQERKGSVLNSKALNGEVLIADKDANAADYTAVSFDKNFIDANAANGVQSQNANFEDSSSMKSKQNVRAENGKNKSLNLTTNEVIAALKRYGMEAPEDSSDQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRLEQNKEFGIVDQVQFEDDKKSMNSLMFSKTTMKLESSLLQGLDIGVRYVVRKRCAQGQRRQMQCLCGGAVSADVAAGPCAPAAAREGRGRDRAGRSSCRSSSAAAAVGGRPWRERGVGAGAIPNRGPLSPSRSSLSGHDACGQQSFFFLKSTFFPKSTATASSTASRTGNAVEVGRPVTQARSGDQRLRARKPPPVGPPPGAGKLESRRRSDLLSSPTCSSTSTESRPRRLRAAPRPGPAPXXXPPPPPPRCSRHRHPSPANLEQQQLRRAASPRRERRRSRDDDGHAIGGRCAAAVVAGERGGAVGRWWP >Dexi8A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:8A:23201940:23202762:1 gene:Dexi8A01G0013180 transcript:Dexi8A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMRGRQSLTAAATTAIFFLSSMSKPGAATTAIEHTFVVSQVNMTRLCNETLVTVVNGQFPGPMVEVTEGDSVAVHVVNKSPYNLTIHCAPSGQVTTSPTGSTSPGSKAPCGGMLTLPPSGQVSTAP >Dexi1A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:1A:763537:765135:-1 gene:Dexi1A01G0001200 transcript:Dexi1A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSICHHLLAQCKTLRELQKIHAQAVAHGLHPHNQSVSCKIFRCYADFGRAADARKLFDEIPCPDLVSFTSLMSLHIRLERHREAVSLFSRAVADGHRPDGFAVVGALSAAGGLGDRQVGRAVHGLIFRLGLDFEVVVGNALIDMYSRSGKFESAVVVFDRMFLKDEITWGSMLNGYIKCAGVNSALSFFDQMPVRSVVAWTALITGHVQANQPVKALELFGRMVLEGHRPTHVTIVGVLSGCADIGALDLGRVIHGYGSKCNASRNIIVSNALMDMYAKSGSVEMAYSVFQEVQSKDAFTWTTMISCFTVQGDGKKALELFWGMLRSGVVPNSVTFVSVLSSCSHAGLIEEGRELFGTMRRIYNIEPQLQHYGCMIDLLGRGGLLEEAEALIADMDVEPDIVIWRSLLSACLVHRNDRLAEIAGKEIIKREPGDDGVYVLLWNMYASSSKWKEAREMKQQMLIRKIFKKPGCSWIEVDGVVHEFLVEDKTHGARREIYETLECLSRQFKMDLRSYPWEQLSSSIFVDEEI >Dexi6B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:6B:25542418:25547096:1 gene:Dexi6B01G0018590 transcript:Dexi6B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYPSVNNWTVDRPHHYNLTAGRGRLESGDLSSEPALHGEPTGTGQEEDFEFSAGTGTIDSVPAFDHRVAVQCPVTPAVTVEDEIYSSRGERIGFQKRPDRSLRMKRWKGCGGGGNLVNPRLVSGGRIVAAAGIAGQRRHIKLPPCLLLCGRTANRPTDQPSPLGGRATSPGAERVEAERRAEPPAGAGSSG >Dexi4A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:4A:2424251:2424688:-1 gene:Dexi4A01G0003360 transcript:Dexi4A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDDPATDRHHQQDPPPPAPQEHARRLPLAGVAILLGFSLNLGLCIRRARDDLGAAAFVAFSHLNLFALVAAIRRFEDTPDGSAARGRARVAVWLATTTLTAAFTWRVGAMLPLGLAVAAWVLAAVTVLAGFYMMFLPGGDDK >Dexi3A01G0030750.1:cds pep primary_assembly:Fonio_CM05836:3A:35093397:35094138:1 gene:Dexi3A01G0030750 transcript:Dexi3A01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGGTAAPPPSRCSASAALKAASSAANTAALASTSARQFTSCVAAIAGYDDRTSSATAAIDEGCLRRCRRSGGGGGGEQEWTAKALARRRKEREKGREARKAQARWERRWLSRKRSWDAGGNPAATKARRRRRERARAGASRAGWERESSAAAEKGSARSVADEDQGWYCRKNAGGSCGVGDW >Dexi5B01G0027000.1:cds pep primary_assembly:Fonio_CM05836:5B:28647756:28649768:-1 gene:Dexi5B01G0027000 transcript:Dexi5B01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLALYHVLGVASFVALLYFTLGGVDLRHISLPSLPGSGLDSVSVSWPSSSRFRPAAAAAFVERRGAQLFLNGQPFYPNGWNSYWLMDQAVEPRSRNRVSRMFQAAAGMGLTVCRSWAFNDGAYNALQVSPGHFDERVFKGGGGTQALDRVVVEAGRHGVRLILSLANNLEAYGGKTQYVRWAWEEGVGLSASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGVEYRDDPTILAWELMNEPRCTTDPSGDTLQRWIEEMAAYVKSIDKKHLLTVGLEGFYGPSSPQSKLNVNPGVWKDNNYGSDFIRNAKTPDIDFASIHLYPDTWLLKQHATVDEKLKFVKQWVASHIEDGDKELGKPVLATEFGLSRRAQGFDPSHRDIFYKAIYDIVYRSAVRGGAGAAAFVWQLAPEGMEEFHDDYSVVPSEHPSLRRLIKAQSCRLAKLRPDAGDEAKRALKACAAAGSS >Dexi1A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:1A:7562925:7568287:1 gene:Dexi1A01G0009290 transcript:Dexi1A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAAERAAFFARREAAAVLRRVLRGDATRRAAGSIKSLVYSPTVRNKRATFALVCQTLKYLPILKEVLGSSGILNSKCKKQEELVVVTAYDILFGQGIAVSGSAEQLIMTHKDTLSNALDRICAKRKVSNVEDLLGKKTEVKPKPRFLRVNTLKTTTDSVVEELGKIHMVDKDDMVPDMLVLPPGTDLHSHPLVTNGKVFLQGKASCMVAVALSPKPGWKVSIFRNGAIWIIVIDACAAPGNKTVHLAALMNGEGSIIACELNKERAKTLQHTVRRSGANNIQTVNGDFLDIDGNDPSYAEVRAILLDPSCSGSGISAERLDYLLPSYSRGEQDDASTSSRVLKLSAFQKKALSHALSFPSVERVVYSTCSIHQAENEDVVNAVLPLATSLGFELATPFPQWHRRGLPVFEGSEHLLRTDPEDNLEGFFIALFVRKVACGGVEEPSEDVALEVPRKLVRRRRNRFRAFSSLRLSRMILCSNRGLW >Dexi4A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:4A:4091344:4091871:-1 gene:Dexi4A01G0005660 transcript:Dexi4A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQEQPWRIPPIVQELAAGVQEPPSRYMVREKDRPVVGVPMPEPIPVVDLSRLSALQNWGLFLAVGHGIEPGLLAEMMKVSRGFFDLPLAEKQKHSNLVNGKEFRLQGYGGDMVVAEDQVLDWCDRLCLVVEPEN >Dexi2A01G0037390.1:cds pep primary_assembly:Fonio_CM05836:2A:46980398:46984927:1 gene:Dexi2A01G0037390 transcript:Dexi2A01G0037390.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPLYHDARLMKIEKKHHADQCLCSFAIFYQDQCPGSKAKLVTGRIANVVTIGDVFILQKLQSGELQDGSVQWSAAEDCLHHNRNKLLSARFSTEVTHLIVLSSLRGMEFNIKVVEGKIIYQIIKGDQARYSVDSMSIPPGFGMNMDIISFEPRDEALRPRLRTVPIIQFKKHNLTEDRCATVMNELDTTQDVEVLYQHVDLRRSKRMKTQPDRFTSYDAPNFNRTYKKKENASSTKNENLQSDLSWDSPVVQSSDEDMLGNLEKTVFRPLMVKEDTMSMKGQGMSMKDQRRNPMKRTQCSFHAKEKSTSVEIDKNTTEQICSDSHIPHTPAKIKEEYSRTLFFRLKPFTSHSLSGTSEPAFCQKRGRKRKKHMQEREYKELMDQCIGNIQCEIERDSDFKLDVQKMNCNVVHAYQKEDFTWPSCADSQEDKDEFEEPWKEMDYALASLALLEQKQMPDSQATHESNTDLGKREYCNHDCILDEQLGLTCRLCNVVCTEAKDTFPPMFTCKDHERPGQNEFCQDDHVLDSSFLEICAPEFSQFKGSGNVWASITDIEPKLHAHQRKAFEFIWENLAGSLQLEEMDDSTASRALTKEDSKLQHQAFMAKVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEYRILLSGTVFQNNFEEYFNTLYLARPRFANDAMTVLVPEAERETRHSGKHQEALVRRIFVEKVGQKIESSSIYDRVDGISLLNKLTRGFINSFEGTERSNLPGIHVYTLFMKPTDIQEEVLSKVTMPVPGNARYPLEVELLVTIASIHPWLIKTTKCASTYFTQEEIARVEKCKRKYAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPINFLVKLIEIVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDREGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTMQAMARAFRPGQERMVYVYLLVASGSWEEDKYNSNRRKAWIAKMVFFGRHVDYPTQNHVTEIDDEVLKELADEDEAKTFHKIVKQD >Dexi5A01G0037300.1:cds pep primary_assembly:Fonio_CM05836:5A:38708990:38710532:1 gene:Dexi5A01G0037300 transcript:Dexi5A01G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRGSSDAAAAEAELRRGFETLAVGSSPEGVFEVRLNRPAQRNALSPAAFAEIPRAMSLLDRLPTARAVVLSASGPHFCAGIELGGPGNPLAAAPSKGADPVAAAEALRRAILEMQDALTAVERCRKPIIAAVHGACVGGGVDLVAACDIRYCSRDATFVLKEVDMAIVADLGALQRLPRIIGYGNAADLALTGRKITAMEAKEMGLVSRVFDSKKELDAGVAKIAKDIAEKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAAMLRSNDLKEAIRAFLEKRKPVFSKL >Dexi5A01G0037990.1:cds pep primary_assembly:Fonio_CM05836:5A:39133204:39134545:-1 gene:Dexi5A01G0037990 transcript:Dexi5A01G0037990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEIKIGQVDDVQELHRTGLDTVPDRYIRDGNDRPGCGNVCDLAHIPVIDAGELPGGDELNKLRLACEEWGFFQVVNHGIEEELLDEMENLSREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSEDQKLDWCNMLALGVEPSFIRQPKLWPTTPARFKETLEKYSMEIRSLCQRLLAHIAETLGLAPGTFADMFGECVQAVRMNFYPPCPRPELVLGLSSHSDGSAVTVLQQDMSCAGLQVLKDGAWVTVHPIPHALVVNLGDSLEAKSDGVLTNGRYKSVEHRAVTNAERDRLSVVTFYAPAYDVELGPLPEFVSDEVPCRYRRFNHGEYSRHYVTSRLEGKKTLDFAKINQATTMAAPEETTCSLFGQVASI >Dexi3A01G0031330.1:cds pep primary_assembly:Fonio_CM05836:3A:35825792:35826580:1 gene:Dexi3A01G0031330 transcript:Dexi3A01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEVESLKTELDALVRDKEAAAEAFDVEKAEIMKELEDLKIKMEEIQANKDLVMNENAKLRLEILTAQEKHSVFEAEIQSLKMELSALAKAKEADGKAFDAEKEKIVKESEDLRRKVDEIQAIKEAAEEARRDKDAQVDKLRAELEGLHVSISQLQASYNDLDTKSSRLNDEKSSVQKALDAEKAETAVMKSKIEALENYNTEKDGEIGKLKAALEEKMGKIDVLAKDIQLLRVTVAEEKRKKKCDIRANLSALSSCIPK >Dexi5B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:5B:7332515:7333510:-1 gene:Dexi5B01G0010410 transcript:Dexi5B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHSAQPLPPPAASSEETDSGSGSEESEEEEEIAHSPPPTAPKMTATDLLQLKGQESEGSEEEEDDEEEEEEEEDEEEEEKVNLVPPPLTTKNSSPPPNREESETFDNEGEEEEETDDEAPERKPAPIQEAEEKGAKQPSSGEDNNPAAPFQRIWSTGDEVRILEAMAAHRRDHGTLPQVDALAAVLAGSLDNSGCSLKALLSKITSLKRLYNTASKKGELPSKDHDRRIFDLSKCVWGSVMAVAAASGGARRDFDEMCELYPYLAEEVKALQRAHNGLFKREFEMMDGGKARLLDEKIKKQRMHQLKVHNRRHDLTKEVTKTLIDLVD >Dexi3A01G0023860.1:cds pep primary_assembly:Fonio_CM05836:3A:19502793:19505272:1 gene:Dexi3A01G0023860 transcript:Dexi3A01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDRWRDFFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTAHRRDALAPPSIGSAAASATPATPAEEDKGSVRRVAEKESKVDSSSNGAHGGGHGHGDEDDDSDSDDERLRRAAASNYGHSYDDDDDDQEEDEQQHVVDDAVDDAEEEDHEAEELEALTNEMDEESQIVGEVLRIKDLLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQQIRHLVRTLIEGWKVLVDEWVNTTNAALAENSPVSSNPSVVDEEEEGLPSPPLDEAAFFATQPTSIQLSEFFDEMDEDGNLRHNSDASLGNKRGNNGGRPANYSAVATQEPHRPSLGAVEKIQFRRPESARQEPPMRQANTQNPQSSSLQAKPHGALNNNKQSKPSSYESGPGRPLKATPSQKPCGDMKPKQTHIAERRPMTSQTDKSRLAAQSSAGAKLELAKAKIYDDSLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >Dexi6B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:6B:25840368:25855547:1 gene:Dexi6B01G0018920 transcript:Dexi6B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDPPGSADEKKAPKPEGSSNEHQGLPPAGFSNPFDFASMQSLLNDPSIKEMADQIARDPAFNQMAEQLQKGAQSTGEQGMPPLNPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSSMLETFSSPSHKEQLEERMSRIKEDPALKSIIDELENGGPAAMMKYWNDPDTLQKIGQAMGGGFPFGAGSSAEPSGTEETEEEGGDEEESIIHHTASVGDDEGLKKALEDGGDKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDAFL >Dexi3B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:3B:5367682:5370383:-1 gene:Dexi3B01G0007560 transcript:Dexi3B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLTRLFTSTHIAMASSSSSSPSRRLIHLTRHLAAASSSSGELSSVGAPAAAADAVPAKTPRPASSKVHAAVLVCLFEDPSGGPRVLLTKRASTLNSHSGEVSLPGGKVEEGDEDVETTALREAKEEIGLDPAIVSVVAVLEPFLSKNGLSVVPVIGMLPDKALFKPVLNKDEVEDIFDAPLEMFLKDDHRRTKQMNWMGLDIPVQFFDYEADGKKYVIWGLTAHILTRAAAVIFQRQPSFVELPRPKYAPNAGTDETKP >Dexi4A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:4A:5424368:5425878:-1 gene:Dexi4A01G0007310 transcript:Dexi4A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFTNRPNMITLTGFTFLVLSALLGYIYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAVRTF >Dexi4A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:4A:8733303:8739386:-1 gene:Dexi4A01G0010860 transcript:Dexi4A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHEQLKAKLTTHKFQTGSDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDVFNQCSKSVTKRLMTDVPFGVLLSGGLDSSLVASVASRHLEETKVARQWGNKLHTFCIGLKGSPDLKAAREVADYLGTVHHEFNFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKSLHLYDCLRANKATSAWGVEARVPFLDKSFINVAMDIDPEWKMIRRDLGRIEKWVIRNAFDDEKRPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASQHVSDSMMMNASFVYPENTPTTKEGYYYRMIFEKCFPKPAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTPGEAPAAIDPVADNGLHQAIGESLVKPVAPATAV >Dexi5B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:5B:8944628:8948451:-1 gene:Dexi5B01G0012570 transcript:Dexi5B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPACSLVPAPPVPLSSAARPCAALVAAKSYQRRFAMAAASPLVEATTETEPLTKEDLVAYLASGCKPRSDWRIGTEHEKFGFQVETLRPINYQHVRDLLNGIADRFGGQRVMEGDNIVGLKQGVQNISTEPGGQIELSGAPVETLHQTYAEINSHLCQVKAVGKEMGIGFLGLGFQPKWALNDMPIMPKAKFEIARNYMPKVGSFGLDMMFRTCSIQVNLDYGLEKDMIMKLRAAIALQPIATAIFANSPFKEGKLSGFLSLRRFERYVDYVLDVPMYFVYRSKRPVDCTGMSFRDFMAGKLPQLPGERPTLNDWEIHLGSIYPEVRLKKFIEMRGADGGPFSTLCALPAFWVPITGLRTQFRGAYVRDLAENLLKLAKDGLQRRGHMEVGFLDDVDEVVGTGVTQAEKLANLYKTRWHYNVDPVFHEFIY >Dexi1A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:1A:9038357:9039572:1 gene:Dexi1A01G0010450 transcript:Dexi1A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTSGHHCLFLALLFLSTAAYGQQLSTSFYATTCPTLESIVRTAVSNAITSESRMGASLLRLFFHDCFVQGCDASILLDDTGSFTGEKTAFPNVNSVRGYEVIDQIKTNVEAACPGIVSCADIVALAARDGTFLLGGPTWNVSLGRRDSTTASWSQANSDLPNPASSLATLLAAFGNKGLNATDMTALSGAHTVGFTQCQVYRDRIYNDTNIDVPFAKLRRSNCPRTKGVNDTVLAPLDVQTQVTFDNAYYGDLTKYKGLLHSDQELYNGGSQDALVKKYSSNSAAFFADFVAAMIKMGNISPLTGADGQIRANCRVVNN >Dexi2A01G0023430.1:cds pep primary_assembly:Fonio_CM05836:2A:35165453:35166468:-1 gene:Dexi2A01G0023430 transcript:Dexi2A01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVEAAELVHQQVQSLSPPGSPGRSIGGVISPTSGVSSSPANAGPYATSTAHGCVKNAALATPCHRATRCARVVHQRTHGPAQLGFGPEERSHGLRPGRLQPGRVGHDPSGLVEATGVAAVGDGGDVDATAGDASRGVVGLPAGGGGGDGAQRDPVFTDSEPGNARALAGGMT >Dexi5A01G0022650.1:cds pep primary_assembly:Fonio_CM05836:5A:26765719:26769567:-1 gene:Dexi5A01G0022650 transcript:Dexi5A01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRTEPRQGRKTNLVSFAADDTSPPPTPLLIDPVDKTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVISRASRAKADHFVDHGDKIHFGNLFLEVRATPGHTAGCVTYITGDADGQPSPRMAFTGDALIIRACGRTDFQGGSADLLYESVHSQASIFTLPKDTLLYPAHDYKGFTVSTVEEEVAYNARLTKDKETFKTIMDNLNLPYPKMLDVAVPANLVCGIQDPPPKI >DexiUA01G0007040.1:cds pep primary_assembly:Fonio_CM05836:UA:13487648:13489988:1 gene:DexiUA01G0007040 transcript:DexiUA01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRAGRASPYALALTALLLVSAFLLALIALGVFSLPVAAPNAAATTNAAGGETESADARPARPRPRRDLGEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATLLMYLMGP >Dexi4B01G0022700.1:cds pep primary_assembly:Fonio_CM05836:4B:24249306:24250032:-1 gene:Dexi4B01G0022700 transcript:Dexi4B01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVKHSYEAYKNLHQELGMREDPAFGFVDNTGAGGWPDGAVALGGGAGAAAAEMYAFRVVPSQPNLHGMAYGSHDLRLG >Dexi1B01G0021680.1:cds pep primary_assembly:Fonio_CM05836:1B:27563075:27566570:-1 gene:Dexi1B01G0021680 transcript:Dexi1B01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAASTPPRSPRGRPAYYVLSPAASHPDVHLGASGRGAAAAEKMSLAGSTPAESPLHYHFHHHHRPSSGAVTGGGGVHHSRESSTGRLLFSDNLRSGGDVAAVVSAPWRRLAHSSGAGSDDDEEGASSLHGGGLVPPQWRCYALGVLAFFAVFAFFMLVLWGASRSYKPHVVVKSVVFESYHIQGGTDRTGVPTKMMSMNATVQLGFRNRGTFFSLHVTATPFLLFYGELTVASGEMKEFYQPRKSGRVVTVSVVGKQVPLYGAGVSLHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLVKSKFYRRVRCGLHIREAHLGKPLRGVAADCQYHDGPR >Dexi9A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:9A:4039151:4040461:1 gene:Dexi9A01G0007060 transcript:Dexi9A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTSPCYCKIRLGKMPAQSVPAPLVPFDGAEQAPASGALAAAFHLSKADLEWFNGKPSLFSSRGEATLKVAVYAGRKGSTCGVSSGRLLGRATIPLDLKGAEAKPAVLHSGWISFGKRGGGKGSPAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRPGMQPEREGASGKERKGWSVTVHDLSGSPVAMASMVTPFVPSPGTDRVSRSNPGAWLILRPAGDGAWEPWARLECWRERGGAGTSDSLGYHFDLLLPGVDHAVPLVESSIPSSKGGKFAIDLTAAQPLSRGGTPGCSPRSSGDFSNWPLGNYRGFVMSAAVQGEARCSKPTVEVGVAHIGCAEDAAAFVALAAAVDLSMDACRLFSHRLRKELSHPQADLLR >Dexi6B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:6B:7265220:7280689:1 gene:Dexi6B01G0006560 transcript:Dexi6B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSAPTPPPPAPPPPSLPAPHPPVLPTRRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLSRFRCPQCGVDLAVDMSKLRHFLASAAGPGFIPPPMPPPPPVPMPHMPFLPMMPPHLPVPMAPMFPPAAEPPEEINEVAVDVERDEDEAGTFGETFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTHKLIIVEELDETNALSSLQIETLVYACQRHHHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQHGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSEAIGIADGVVFVTYSSLIASSEKGLSRLQQLVQWCGSDFDGLLVFDECHKAKNLIPEAGGQPTRTGKAVLEIQVRLGSCLCFLILYAHEMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGSDFDVLEAPLDERMMRFFRHMCMSAKVPAVVRLAEEALAEEKCVVIGLQSTGEARTEEAITKYGIELEDFVSGPRELLLKLVEDHYPLPPKPDCFQQDEENVMEFQRKRHCGSDGSLKGRVSKHGKLENVSDNGSDDYPPRKFLDYIADSVCYVWYDAAMERKSKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASNGKSVIYQSRNTKEVALEMINMHEKQQFMNGEKNIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDAFPVVPFGCSENQATLEEFITKAKAALVSVGIIRDPVMGNGKNGGKLTGRIIDSDMHDVARFLNRILGLLFDLFTSILDLVIQNARTEGKLDSGIVDIKAKSVEMKESPKTVHVDTLSGATTVLYTFTIDRGVSFELANAICEERLKDEAGSSSDGFYESRKEWMGRRHFLLALEGSMEGMYRVIRPAVGEASREMPLVELKSKYRKVSSVDKIGKGWQEEYDASSKQVILWLDMNIDCSTCIKCPKTY >Dexi9A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:9A:4905369:4907064:1 gene:Dexi9A01G0008310 transcript:Dexi9A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNGSVTWHTHIEEPVISFSKDPNLSCTEGTEVKKIEPTQTYNATHNHLGLGILHPKSSEQNTPGNIKMDIHHCDDYSQIVTQHSTIHSASKTSSSSILHVGKFDGKALPQQKKRKRATENLLPWHAQVMIGCGAMRHRRTSGLDWARATKRLVEKVDGGNTTTMERSSFGIKAWKRLILTTSLIQYILPVVPTKLLASNVTNSGESTVYHLSKLALSDACDSVLSFANDDMLLNQTSTSGKEDTVVLPKVLETFKSRFGELESSLSSAEKATALHDLGSELQDLERWRSIHHLARWYGYAEKRGSNTSNSALSPYTTAVKKHDGAAAAPVTSLSSIKCRLLN >Dexi4A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:4A:5767593:5767923:-1 gene:Dexi4A01G0007640 transcript:Dexi4A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFEQLSMLADMAVDGEGFDPARLDGVLALFEREARASWAAAEAEHEAVARATEAAADAAEGHLDAVVGAAAGTYRGSSGEADALAAATAAMEMALDATSRSFIRK >Dexi2A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:2A:2860480:2861761:1 gene:Dexi2A01G0003300 transcript:Dexi2A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAAAAAPPRRVVICGGGVVGACTAYFLSTHAASPTVPTLIEKCAPACAASGKAGGFLALDWCDSTPALSALARASFALHRRLAADLGGADAYGFRSVHTLSVCIPTLPKPSSPPSPHPLLPPWVDPSASAAPPRELGTPDTTAQVHPGLFTKAVLAASGAELVIGEVERVVVREGRVAGVAVKGRDGVVDADAVVLALGPWSGRLQVVGEVFDVSGLKAHSIVLRPREPEKITPHCLFLSYQPAPGAKMLDPEVYPRPTGEVYICGMSKDENPPDDPATITGEPDSIAMLHKIAGKVSSQLKKEEGAEVVAEQACYLPCTTDGLPVIGEMPGVKGCYVATGHSCWGILNGPATGAALAELILDGKAKIVDLAPFSPARFLKRRSRR >DexiUA01G0016720.1:cds pep primary_assembly:Fonio_CM05836:UA:35745442:35746914:-1 gene:DexiUA01G0016720 transcript:DexiUA01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTVIQLAFSIFFIVVIVAIKVTRRQQSDGPTTRKRPPPPVSPGVPLLGDLPALLIKGPLELIRDRYERLGSVFTVRLFHLKLTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRHEQFRFFGDAMKPAKLRTYAELMAHEVESHFARWGASGTVDLKQEMEHVVTMITSRCLLGDAVREKMFGEVGTLLRELNDGMRLVTILFPRLPIPAHRRRDAARARLGEIFTDIVRSRRNNDANGQRHDDMLQCLIDARYKDGRATTETEVVSMLVSALFAGQHNSSSAATWTGARLLTHAKHIRAAVEEQARVVVARHGGRVDHAVLLEMDTLHRCVKEALRLHPPAMLLLRHARRSFAVRDPADGKEYEVPKGHKVASPLVIHNRLPHVYEEPDKYDPDRFGPRRAEDRAGGALAYASFGAGRHLCAGEAFASR >Dexi3B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:3B:5410942:5411235:-1 gene:Dexi3B01G0007650 transcript:Dexi3B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLQVLGIYFNASGWDMHGAAPAGIEHLSGLKEISVRIGGRGAKECNRRAAQSEMRNAIDVHPGFPSADIMCIDEMWTYFDDYVMEEVQEAIVLSP >Dexi3A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:3A:17008227:17012800:-1 gene:Dexi3A01G0021360 transcript:Dexi3A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLPSHDNYKHRAPSETSPWPLVPELLLRERRTHAPPSSPPVFLRLHHQFTLSKVSKPPKTLTSPTPPPTSPVPLLLASPKMPLLLRRAISAAVSSAGLRRSLSTAPSRPPWAIIESTILVKSTSPRAFLQLAEPPRFSDLLVPAHHINPLPRIDSDPKSLGLLGGAVRSTSGDGLLLLQFEDGPATAPVVAKLRAAREGEGVRLDIDPDITRFVCNPLSGQMSRLPDIDGTKKVHTWYPQGILTQSLRGHGPPDSDLPELRFVELPRGSVTRVPGTNKIAGQGMFRRMGVSEGRLRYAEVSEKEPFVLSSYALDDDGDGWKLEHRVALGPILADAGTQEGTPRIGVIDPLNAHAIYVIYGNLALAIDMDKGKVLASVLMEGVRGGPAAFFSAFLKACVLPPWLGTTQIPSAGTLSSNRANVKSKTLSAICVRIDRDEKNRDQASY >Dexi6B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:6B:8913103:8913438:1 gene:Dexi6B01G0007640 transcript:Dexi6B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEEEVAGLKLLQSPAHDVGEEGAQGEKGKRGHEAYVAGLAHHYLVARVAVDAEGARVAAAAAAAKGAHPRELVVGKVLTGCAASAIAACWFRAIAAAAVACWWKVPGT >Dexi2A01G0021990.1:cds pep primary_assembly:Fonio_CM05836:2A:33906984:33907878:1 gene:Dexi2A01G0021990 transcript:Dexi2A01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLVLIPLSLLLPMTYHVWLWREVRLRPLRTAAGINAATRRLWTAGMMKDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGIAAVLSSTYTVKKPLSDAVFGAHGEYMMALKYVALLLIFLFAFLCHSLAICFLNQGSFLVNTSCCLVSDAGDHSGGGGVLVGLPSARDYIGEVFERGFTLNLVGNRLFYAGVPLLLWIFGPLLAFLSSMVMIPILYNLDVVDLKGHSGCVVSNDKSAQTNGNGCAHIV >Dexi1B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:1B:23968227:23978555:1 gene:Dexi1B01G0017620 transcript:Dexi1B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKGLGFWAATVVVEEADEEGVAAEEARRSRGGRLGSLRPGAESQDEIAPPHPPPPPPEPAAPSAALRLRSRESLALVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTNEALLVMEFCEKSLVSAMESRGSGYYEEKKALQIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGFDGAWKICDFGSTSTNHKCFSKPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWVWFRVNELLPLELQKRLPDGSSSAISVSLQDEGAHKRTHVMPRRNPPPPPREQSNTSLSHGSSRVGDAPLGAFWATQHAQGAQAADNWNPLFDEETKPSLPSKQNQSRVDTGISIPGDRHGHSGQTSRTSKAASNSTPNNGFTSISSTNLFSETQSSVKTEAHQAQTKPKCDKDPVNSFVADFDTHNLNVAAGKASELELKVSSLKEQLKKTTLEKDEMTAKYENLSAICRSQRQEIQELKRALAETTPPSNKVSSRTQDSVSQRKEKIEGTVWELEQGMLASNSSSASSDAKTWQAFPDTKAQARPKVDHATNGRQNLTKNTNPAPSPDSWGFGTDNFRTSAVAVSTATQINRAAAQGSSSQRFSAGAAKKVEQPSGWAGF >Dexi2A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:2A:25748993:25749765:1 gene:Dexi2A01G0015120 transcript:Dexi2A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAARKHASSAGLRALSSRLLTELSSAAKNRAPGCVKRKNLVFSPLSIYAALSLVAAGAKGRTLAELLRGLGATSRDRLVKKVRRVVEGAVPDGAQQQQPGKPGSIPRVGFASGIWHDSTRALKPAFRDVAATYCRAAARGVDFLGKPEEARKKMNRWVAKETNRLIKSIVPKGSINHNTRLAVTSALYFKGKWATPFHRFSTLTRKFRRLDGTAVDAELLRSFED >Dexi6B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:6B:2212774:2213236:-1 gene:Dexi6B01G0002530 transcript:Dexi6B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHRSQMSRLAQGGTSPPMEQTETARPAAATRGARTHDEIRRPGEGFLPELRHSSLSLCNHSPMNKARNRAENYSWLEELLSHTDLSRELDMRGEERMMEDTLALMVASSRALLGASPPAASAAAGLRWGEGNGRKAAAEGGEGSGTLD >Dexi5A01G0036500.1:cds pep primary_assembly:Fonio_CM05836:5A:37987584:37989353:-1 gene:Dexi5A01G0036500 transcript:Dexi5A01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLVAVVALPTLLSGLRASRTASQALQCHALLLTSGHLAASPLRLSNLLLLALASASAHAHADAVFARIPEAAARDPFPWNTVVRLHAAARPRAALLYFARMHRRAVRPDAYTFPAVLRACGCAPGCRTGLVVHAEAVRRGMDKDLFAVNALISFYCRVRDCRSGRKVFDEASGASRDLVSWNSIVAGYVGCGEMELAQELFDEMPKRDAFSWATMIDGYGKQAGGVDRARELFDQMPERDLVCWNSMLDGYARHGRIDEAKSLFEKMPERNVISWSVLIDGYVRCGEAKEALEHFQSMLQCGVRPDRVAAVGAAAACAKLGALEQGRWLHSYLEKKMVLFDVVVQTALIDMYMKCGHLDLAMSIFESMAERSVVTWNVMIVGLGTHGYGLDAVTLFHRMVAEKAPMDDLSVLAVLTACTHSGLVAEGLGIFDRMKKDFGIDPKVEHYGALIDLLGRAGRLDQARHAIETMPMEPTPELWGSLLSACRSHRCIELAELSVERLANLGADDSGVYVLLSNIYADEGMWGDVLRIRKLMSDEGMKKDIGRSVIEVDGEIHEFVNGGSSHLYKDEMYLILQNLSNMAAST >Dexi6B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:6B:234578:236055:1 gene:Dexi6B01G0000340 transcript:Dexi6B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPPISLAVLAAKHGPDLMLLRLGTVPTIVVSSPRAAEAILRTHDQIFSSRPKSMVAEAIVYGQCDSCYSPYGDHFRKVRKVVTVHLLNSNKIQSYRPAREEEVRLVMAKLRLAAVEHAVVDMSEVLNSFANDLICRAVSGKFFREEGRNKMFRDLIDTTARLFGGFNLEDYFPRLTRVGPIRKMLCANARKMSQRWDKLIEEIIGEHEARAARRHQEEEEEDSDFIDVLLSRQHEYGLTRDHIKAILIDMFEAGTDTSYSTLDVAMAELMRKPQVMAKLQDEVRKNVPKGQEMVTESDLGNMTYLKAVIKETLRLHPAAHLFIPHLSMETCEIDGYTIPSGTRVMVNAWAIGRLSSHWEKPDEFMPERFVDANDVDLKGKDFRYLPFGSGRRMCPGIHAAAATLEIMLANLMYCFDWELPAGMKKEDIDMTEVFGLTDHRKERLLLVPKIP >Dexi5B01G0023310.1:cds pep primary_assembly:Fonio_CM05836:5B:25533017:25537408:1 gene:Dexi5B01G0023310 transcript:Dexi5B01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFISSWMVLVVLALHLAVDGCSAVNFEGSVLLKFQSRVEEDPHGAMAGWSPRDGDPCGWNGVRCVDGRVVMLVLSNNLFSGPIPKELSALVMLEILDLSNNNLSGEVPKEIAEMQSLKHLLLFNNSFRWPLIQNSYGNFDQENDFNIYDILRRGNMNQRAGNGFESGSSSNEKKKDTSNLSAQLPSGYTERNAAAQRNQRRLLQDSNLAAPSSANAPVPVAVPVPSTGTGSFSAFSPNSAPVPAVNPPSSPPMVPGTTTEEVPKRRSIKWWIYIIVLPLVALLLIGITCVLLLCRNKPGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEDPFMRMMVMEYAPNGTLFEHLHVEGFDPIDWNGRMRIIMGVAYCTQHMHELNPPMAHPDLKSSSILLSEDGATKMADLSVWHEVYSRGNIPKDDDYVDHHEPVAADIAGNVYSFGLLMLEIISGKPPYSEQKGSLANLALECIKDNRSMSCLLDPNLKAHKEKDLEIISELVQECMQSDPKKRPTMREVTTRLREVLSISPEAATPRLSPLWWAELEILSVEGS >Dexi6B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:6B:18263318:18263983:-1 gene:Dexi6B01G0011200 transcript:Dexi6B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRALPPSYPAAPPLVRYRSFGLRLNPNLYESGTVCLSLLDTFGGKGTELWSPETSTVLQVLVSIQGLVLTSLPYYNETGFVAHSGEPEGRRNELPYSENAYLLSLQTMLHLLRRPPVGFEEFVKGHFRRRGRRILRACEAYVDGCTIGSLDGEACPTELSKERPSSAGFRLALANIIPRLVEAFKEIGADTTTYPSSS >Dexi5B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:5B:11846196:11850359:-1 gene:Dexi5B01G0014920 transcript:Dexi5B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPGQRQQPQPAHASPPFPAAPFTPPPRVFSPVLPARGTPSPGSGPGHSRAQHLSTPPGPPVFSSPLRPAAVPFRATPASPHPVPFAAAGSGYSSSSSATTASLPTSSAPHFLNGAATPHGDLTPPLSSLQGDGLDNPYVQFSANKVLKQKKLFNAPSLGFGALVSPGKEVSPGPEVLERDPRRCLNCGAYVNLYCDISIGSGQWQCVICKKSYGSEGEFVVSSKQDLLQWPELASTTVDYVQMGNRRPGFVPVSDSRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTAKIGIITYGRTVSVYDFSEGSAVSADVLPGNKSPTHDSLKALIYGTGVYLSPIHASLPVAHTIFSSLRPYQLSVPEVSRDRCLGAAVEVALGIIQGPSVELSRGIIKRSGGNCRILVCAGGPNTFGPGSVPHSVKHPNYAYLEKTAMKWMENLGHEAQRHSTVVDIFCAGQCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDNMLVTQVIGPGEEASPDSHETFKHDSSFCIQMHSVEETQSFSVSMESKGDIKSDFVFFQFVVHYSNMYQAEITRVVTMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDAIDMRLTIDERVKDIAFKFGTQAPKSKLYRFPKELGSLPECLFHLKRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLVMQSNAAVVLDHGTDIFIWLQGSSQARYFVSRLIPGHKDPTYEQESRFPQLRTLTPDQRARLKSSFIHFDDHSFCEWMRSLKLVPPEPS >Dexi3B01G0020910.1:cds pep primary_assembly:Fonio_CM05836:3B:15831860:15832087:-1 gene:Dexi3B01G0020910 transcript:Dexi3B01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKFRSTWAGECCESCANSGLPSISFRKWEKMFRGFGEDRLSVSSASPSTATTRSILT >Dexi3B01G0038100.1:cds pep primary_assembly:Fonio_CM05836:3B:40936679:40937233:-1 gene:Dexi3B01G0038100 transcript:Dexi3B01G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSALFLLLLVACFTAGGNAATFTMKNNCGYPVWPAATPVGGGRQLSPGQTWTLEIPPGTSSGRIWGRTGCTFNGDRGHCNSGDCAGALSCKLSGQPPLTLAEFTIGGTGGGGGAGANNDYYDISVTFCP >Dexi2A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:2A:24401350:24401652:1 gene:Dexi2A01G0014620 transcript:Dexi2A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYFQVASKINTQEIIRRFEEKLAQLMEEREIKLMRKEMVPKAQLMPAFDKPFHPQRQLYYTSRYDELTDY >Dexi1B01G0025270.1:cds pep primary_assembly:Fonio_CM05836:1B:30381905:30382839:-1 gene:Dexi1B01G0025270 transcript:Dexi1B01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPESHWGVLANRGLRSIPGGRSGVTLALACAAPQSSMILAAASAGSLLSPMSFSTCALGSRILSRTGVPPPPPAGSGEVAPLGSGDVGAEAADAWAGSEERSEVERRASMSDSSRSRAPTRRFPDQARRMGVPPAVEARRGSATRNLPFRSGASGASGRARAPRSLTSSDSSSWKPGWSRSTSAAAAGGLTRKELPSSCITLALLRLPPMLFPIALAPATWREEAKGGEGRLEAGARRVEGEAEMRASPTRGGGCFFTFWVKDETTQRLFVGVEGRVIWGG >Dexi4A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:4A:8309852:8333509:1 gene:Dexi4A01G0010440 transcript:Dexi4A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSEMASSQHVEMEAAKLLHKLIQESKDEPVKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVNQHGIDMEALRSSRIPFAGGPQAGDSSGASMSKDKEVIGNQSPMIGSDASLNSGQAGLWQFPSGSSDMIRHGASISGRVPAGPNRGDFSAADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTRLASSGVPIELQKSMRGSANLFNAGFGWNQNPQVSIMKNSQGPIPNLVGSGVNVEGKVNVGAQGAFNSTSAPQMGFATIPPYSSSSFGGSSQFLDKGKELASGSIGSELHSTTKVASQPGIPHVRNNLQPRKVHLEIALGRGPPAESDSASQRGSESRVADGLGKENGSSRENSGVFCRQSDLSRLPSTSAGSIAEVDSFPKDPENATKKIKVAEQEKSMMELGNIQQASVMQGTSSEMRSHETASPIPSGPQQSYFQGDTRRVAPDIHRTDGDNLNRNLSWGGLGPTALAGNRQHLNQETKGSLAPSKSHHIPVDGYNSNMPGIDQTSGAVGAGNDVENSSHVAEIVPEQTADEGEDDLSEHDDLPSSPPKHTESVNSSEDLSAKTKSVIELKKLQLLPLQRRVRSEFLMDFFKPSTTDLERIKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADVEAHREKLEDSFKVKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQNAKSTDGRASNVLDKSDPANDIEDESYQPQHYLESNEKYYKLAHSVKETVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQNNLEELWALLNFLLPNIFNSSDDFSQWFNKPFESNGDNSPDEALLSEEENLLIINRLHQVENELPEKIERLVRCEASAYQKLLMKRVEENLGGIGAVKVRSVHNSVMELRNICNHPYLSQLHVEEIEGYLPKHFLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDKFNDPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVRTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSEAEIDVFESIDKQRREAEMAAWQKVVQDGSTSGLDPAVLPSRLVTDDDLEPFCHAMKLYEPSNVKNVKVNVRKKGALGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESPQPGGMLKDLGVPKGIKPEIPVESSKESEQMGKETSPTVGNSPQAKRRRGRPKRSDIFLSPTTAPTDAVKPETGTTQDGSSATPATIIHSESDTPATPIHSATSDVNLHSISPADINKQEFGTESKPSSSVSVLEGSVAKEISTPLENAQNVAAPAASHQPARGRKAQAGETPRRRGRKPKSLTSPGADDVSLSPTVLVGSGVADTSRGSSYAQVNMPPSQGSAMSVAGIQKDLDAVKLETLLPDSANRIAAVHEGDKGTNVTASLAKDLCAGTVMSDNTTTQAPNTPNENVGLLQVATVPTVPMVSEGISETSHVAVADIPVEKQGASRRRRKKTSGSEDTGVSTRQRSAMKKAYYSTSVDEVGSGITTSEKSRITKERDGSSFQDSSNELPNINLPLHEKSGYDSQPSTPIAVPIDEATLPSSFNDTSATDSKVTRATSANAAVDDKSVGLHLDAPVSVASRNQEQLKTGKDHLAVCSDVPASNLEMVSADPSSDHQPASAQFDQSASLPQNSGRDAIVVPSEIDSVAPNKASSRRRKGSSREPRNRSVFATAASERRGRLTGSKQADIKKLEISAGPAVCVSSVEQQGADSLRVEVTTASVSEAQKNSGSRVSSDISIPVGSHVPGPASTEETIPIITHTPSVAKSEERKLPEGIEFNSSVSQKNVASVVGPAPADDGHKQGTEANSSELTKVVSAAEPAPANDEHMQGIEVDSSERPTKIVSAAESAPSNDEEHTANEVHLKTADVNMLTSSTTTEMLQDKIGSSAAYQSGAPCKEETTSQSDASLQDSKVVDALARCPPGSIKDDNASHSEGTSVNAILCKQDDMKIDDTQADDASRVSSSHLTANLQSTESDQPPDQEEILESTKERVKMEETLDKSSSDNQTPSRGSEMSPDITLVRNSSENLNECSAHVDGDTLKMKDDIAEVPDIMYTDGPEVALDASSTQYKKEAIMADGSTKGDTVETNASMNADGPEEAQGASSTQSDKEASVAVVDISTGGSPTVCIAHNDLEGQVSFRETLDTAGGDNQTHCNTNDDSSNKNEDTMVNPVDTCIDDSENKIEESMVNPVDSTREPIGESTISVSENPDLNKQSCTLHFGNDPPASTLATVQFNEVTGDAEILFPSRLESSGIDTETVDIQETAVADLERTGDLDNKTSNRDAVLDTVVFMDTCNTEPCGDSTNAEGEKLTVEVVHTNEEQSAVPEHAEAQTKPTAICGPMLNEYPQTAGLEDQCSLPKHSGPTSELVLPPNPIGETSAIQVEPEAIESGYCTAEGGRASSETVMELEPNKETVAPMQEDIAEANDAESFECSDASLEMHSSEIKAASSINSSAGSLSTQTPALPDGAEQVDMPSASGVAPANDEHMQVSEVNSSGQPTKMVSPANDEHVQDIMVHSSEQQTRMLSAAEFDTLCVQETAIIDHCGATETVNLNEKSSLLGAEAYSSEQKIASSPGDDVNSSEQTKIDLVTEIGTADVNETAIADGETQDVKETDMADDETVDIKETAIADHEETGHKSGRSVESEVHYSEQIKMVSAVEPASGPGCHMHGVHHTVGDGAILSSEEQDTLRDSIGSGANVDLSSQRKTSFEGDKDQSAGINVESTQGPCDASGNDYSTDPAATNLLAESVNDTCDAEIGGKLESSGGSDIGTIGAQEVADVANVEGTRGIGIEDYGSEQMKMTSVAQVASNVVLVGYSSSEDSMLEDPARAADGGDFVDSKAGVDGQEATSTQTASTLAENTDMDWQSCPVHSANESPAMIESDKDTGDVGIPCVGKIESSSGVGNEMMGVQETSIADQQGKKGTSDLNEENGSPQRDDGCGTSCSKLIMASEKASSDEVVTVVVHSEVPTSVELVTAKPTEEATISHREETILYEKATSGEEPTVGSCSDAPTSAELVGVESTQEANVATASGADRLEVVKAAEEEPTEICGPMQEETIRIENLESDVPEPKDGSPITPSERVAEPKQIDETCVMQVELVSSAGDGCAADDHKGVSSEIVMESEPVQEIVVPMQEDGKEGTDAGTVCEDLEDHAPGDVLMPIESEITVPMQESGSEANDTTTVSEVCEGTKSHVSEHEGRSMAHLDAVADDLPVTVGGESTPGGANANFGVADTEQKLLSSSGEAMVYISSEPPNQVAEESSSADRSGNGENGKMEEAAATQGPLHTDSHGSENVKLDEADNAMQLPHSSGEETMVDRCSEPPSNEVKESPSIDPSMNIEDVKTEEANVAQGLVNSELAHGGETDTELQLPFSSGEPMVDTCSELPSQDVNEAPISDPLVNNEILETENATAAQDLLNIESTACGENAKHDEADTEEQLPPSGEAMVDITCEVPIQVQEAPSTDLPGNDEDAKMVEASTAQGLLNTESVHGGENAKHGEAVMDLQLPPSVDAMVEISSELVTQEVKEAVNAGPSENHEDAKMEEATAAAHVLLNAEPAPSGEASKLAGARTEVQLPSSGEAVLDMPSELSSCQEVTEAPSIDTLGNDENAKMEEATIAHGMLNTGSAHGGENAKLDEAGMELQLPPSGDTMVEISSELVSQEVKEAASPGPSGNDENAKMEEGTAAAHVLLNAEPAPSGEASRLAGVQTEQPPSGEAMLDVSSEPSGCQEVKEAPRSDTLGNDENSMTEKTAAAGGENTELGEAETEEQAVLVSAEVIVESSSELPSQEVKETTVTDLSGDDEKAKSTRAAVVAELFGDTTEGALDQPLESPRGQGEDADADEFVE >Dexi7B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:7B:15364881:15365099:-1 gene:Dexi7B01G0007490 transcript:Dexi7B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPSAMAASMAASTSEEADSSVSAENTALYMATLAIGATPVALAPSTLAPAAVDDVWDPWPK >Dexi9B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:9B:15360845:15361114:-1 gene:Dexi9B01G0020660 transcript:Dexi9B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQLGQQTGGGSRRSVHARSGGRRSPSAAAAKQLLLRLRSAWRSGGAARPRRVAVRFGYDLQSYCQNFDDGGLGSSAHPLAVIPCN >Dexi1B01G0027240.1:cds pep primary_assembly:Fonio_CM05836:1B:32012832:32016790:-1 gene:Dexi1B01G0027240 transcript:Dexi1B01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEPALGFPSAAAAAAGVHDRRRKKQPPPRRRRPTGAAQQQPVGPRGAADPDPSLVSALLPLPSSGCSSGFATRGTAAPEEEEGRDGASLAVSEEEAEATSDSFSYSLRGCQKERRLKSEGSGLVRPPASHELNGGGGIELLVLSPRCLIGGNVGGMSKSSTASSRSRSGTGTGTFPSPGTPNYNRHCAGSMQYSKGWSSERVPLGTSSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGVGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAASNFAAHSPFSAGVLIPEHGRIGEFSSGRGRSGDEGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANSISEENAQGLVLWFSLFLLVHFLMS >Dexi9B01G0027240.1:cds pep primary_assembly:Fonio_CM05836:9B:29720281:29724058:-1 gene:Dexi9B01G0027240 transcript:Dexi9B01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARTQKALGALPQLVKSLRSEPVSGGARLRRLPSLRYTAAFFCAEEIRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAESLSIFKVVHPIPEILILGCGRHIQLLSPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPFGVTC >Dexi5A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:5A:20998134:21001321:-1 gene:Dexi5A01G0017710 transcript:Dexi5A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALLVGAELDGLTNLQPSRGCDDPNFPYYLKLKCENCGEITAKSTYVTLSEQVDLPKGHGSAHLVQKCKLCGREGTIVMIPGQGTPLTIEQSQKEEKTCLMVFDCRGYEPVEFSFGAGWKAESVHGTPFEIDCSEGEFSEYDEKGECPVELGNLQSTFKVVKKLERGGKTRFA >Dexi6A01G0000830.1:cds pep primary_assembly:Fonio_CM05836:6A:669966:670640:-1 gene:Dexi6A01G0000830 transcript:Dexi6A01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKALMLLFMALLPLTTLGSRSGPSPHHSHSPKRPSPPPPSSSSPPPPPPSPAQPTTLVRATCNSTTYPDLCISTLLADPSSATADVRGLSAIAITAAAANASGGAATAAALANANVNATANASSGDDATVQALLRTCAAKYGDARDALAAARGSIATQDYDYASVHVSAAAEYPRVCRVLFQRQRPGAYPAELASREEALRQLCTVSLDIITLLFNNNSN >Dexi1B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:1B:8022360:8022961:1 gene:Dexi1B01G0009080 transcript:Dexi1B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKEHVEFTRVSSGLPMLIPVLLMGLGSVPTYKETFEWALNMPDMVLASGEVGRFLNDLASYKVGKRKKDVASTLECYMEEHDATGEEAFAAVTRMKELAWRRINRACLEMDPALLRPAQFAVVDLARSMEFIYLGGSRDAYTFDSNLKDSVTSLFLKPVVPAARPKPL >Dexi6A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:6A:11215679:11216676:-1 gene:Dexi6A01G0009530 transcript:Dexi6A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQRSPPALLMPLSSLAIAIILSILRPAAATASAKQSWLDEFTTDGEVRSDFDRSGKQVASLVLDESSGAGFNSTQKYLFGEFTVEMKLVPGNSAGTVTSFYLTSGEGDDHDEIDMEFMGNSTNAPTVLNTNVWASGDGKKEHQFYLWFDPSADFHKYAIIWNPTNIIFRVDDVTVRVFRRYDDLPYPTSRPMAVHATLWDGSYWATEKGKVPIDWSAGPFVVSYRGYTANACVAAADGGGGSTCPAGKNEWMQREEDDTDRLTVAWARRNCLQYNYCEDGWRFPGGFPGECSRE >Dexi2A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:2A:166854:167846:1 gene:Dexi2A01G0000280 transcript:Dexi2A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKIERLLLRHNVVAAAVRLLQRDVPGRGGARLLRLHFHDCFAAGCDATIMLKSHNGTAQRDADPNSTVRGYEAIEAIKAKVEAACPLVVSCADIMAMAARDAVNYTMGPAYEVETGRRDGNVSRKDDALRFLPPADGNVSVLLDYFAVQNLTYKDMVVLSAAHTLGVAHCPSFSQRLYNYTGAGDVDPRLDAAYAANLTAACPAGNVATVQPLDPVSPYTFDLGYYQTVYNREALLASDAALLDDSLSFAYVQLMTKGSSLSIFFDDFAASMINMGRIGVRTGTDGEIRATCSIYVD >Dexi9B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:9B:15172344:15172664:1 gene:Dexi9B01G0020430 transcript:Dexi9B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYTRKDKFSEEIADVEITVTPLGVRTRAHALALQRLQKQHAHGEKEDGSREYLELRSRRVEKLTPPMVAKKRYGRMKAAVATTTTQEEDEASFRGQYARVGGHG >Dexi1A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:1A:899144:903694:-1 gene:Dexi1A01G0001410 transcript:Dexi1A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPPSHCSAGLVSNCLFDWEATIHGPSDSPYAGGTKVYHPNIDSNNGSIGLDILRDKWTAAMTAEKVLLSICSLLTNLNAEDPQERAVGDMYRNDPRGYETKAKKWTEKYAMQTDRSS >Dexi3B01G0026140.1:cds pep primary_assembly:Fonio_CM05836:3B:21072202:21072414:-1 gene:Dexi3B01G0026140 transcript:Dexi3B01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDILEPWQDLGGGLLEPQQDLGGGLLDLEVRMSPGSREELGRRRKLRPHRDSTCVPCPAGSSTTVCT >Dexi6B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:6B:3506692:3508310:-1 gene:Dexi6B01G0004330 transcript:Dexi6B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQTQATILQKTQQTRSAHQQPSQSRHQHHPPGGSRAAATGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRRRYPALAQARLSARWSGSASLRAVGGTWALHGGGGGSGQDGRRRRRGQAGSGGGGAPRRLGRTSAAPEAGTAA >Dexi5B01G0033890.1:cds pep primary_assembly:Fonio_CM05836:5B:34186658:34194602:1 gene:Dexi5B01G0033890 transcript:Dexi5B01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSTPVAVFPQLGKNARQAEKVSESRGEARADHAGAAAGRVFPRAAPLPAHALDLLRVQGVRRNTHIPFNMIGIASMLCLSCQQSQIVVVDTDRSQEIQDLPGCPGAIPAAATGQAGSEQTPGSGRSPLAARLHMDLSGAARRPPVIRFVVPRRVHAMPRATRRHGDEDPSQVRSSSPAAQHQYMMLMIAAADYRPVPLQSHHTHAAAARGDGKWTPTPCSCLAPTAPSPARSTSAAARRPGPAPAGGPPPCSCSVRSPLESRVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVLASLLFVVVSPSPPCMGMLTVSALPVFQHDGCSSHSSKSVVCSSSPVQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQHDPEESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNVGWGLGFGIPCLVMVFALLMFLLGTRNYRYYTSTESSPFARLARAFVALITGSKSTQCDSLATTDGAAHREEVKSVIRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIFGSTLRVPPAALQTFISLTIMAFIPIYDRAFVPLARRFTRLSSGITMLQRIGTGLVLAMVAMVVAAMVEMRRLGVARDAGLVDQPKASLPMTLWWMLPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFLSSFLISAIDGATNKSGASWFSNNLNRAHLDYFYWLLAGLCAVELAAFVVVSRVYVYKKRVSHDHDDGDATRKRKVFISGGHGRFRGLLANTFPPPKQSVWQTDARKAHVASPHKCSAPITATRPPSDGRPRSSARTKTIRTRVPPQFKHSSPRAPGSPYACRSQAWAPWLSALCPRVCAHTSAPAHKALTSPLRLYLVLIRPLAMAILTRVRQPPLRLYLVLIRPLAMADTVAGAADYRGQPASRAATGGWKSSAFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACLADAWIGRFRAIILASLIFVVSMGALSVSSAFPAFRSGHVAIFYVALYLVALGEGAHKPCAQAFAADQFDEKDPKESVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVASLAVFLLGSRSYRYYTTTEASPFSHVAKAFLALAKSWTPNRGDCEATAVEEVKSVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGARFKVPPAALQTFISVSIVVFIPAYDRLFVPLARRYTGRPTGITMLQRIGAGLALSLVAVAMSALVEMKRLAVARDAGMATNTPKGTHLPMSLWWMVPQYVLIGVSDVFAMIGLQEFFYDQVPDAARSLGLALFLSIFGVGHLLSSLLISVIDKATAKSGTSWFSNNLNRAHLDYFYWLLTALCAVELVCKSPRDEGLPDLAIQRHCHGVVVADKVAPPLRPGT >Dexi3B01G0031570.1:cds pep primary_assembly:Fonio_CM05836:3B:33549090:33552548:1 gene:Dexi3B01G0031570 transcript:Dexi3B01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAHEKAAATAAPAAPAEEQAADHGPVPIEQLQASGIAALDVKKLKDAGLCTVESVAYSPRKDLLQMKGITSKLVPLGFTSAGQLHAQRLEIIQITTGSRELDQILDGGIETGSITEIYGEFRSAKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYKTDHQSRLLLEAASMMVETRFALMTVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVVSSPCLAEAEARFQISSEGVTDVKD >Dexi7B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:7B:20730039:20732294:1 gene:Dexi7B01G0014520 transcript:Dexi7B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVQYRKGLWSPEEDEKLRDYILRYGHGCWSALPVKAGLQRNGKSCRLRWINYLRPGLKHGTFSLEEEETVMSLHAKMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGGKCAADPATPAGSDVDGSPGSSENSNGGGVSRPANSDSSEPVESSSADDSSCLTVTENAAAAAAVRAHAPVLPKVMFADWLDMDYGTSLVALGPDAAGVFDEMSGRSSPGQGLMQQVDGPCGAVDSSLHGGGLVDGGICWGFDAAADHMDVQGAGFCDLLSVTEFLGIN >Dexi8A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:8A:1088626:1089090:1 gene:Dexi8A01G0001540 transcript:Dexi8A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVLSWPSIRASISCWSTSLSFPSLSPRNRVDSQVPSLRRIAFPGSSLVSTPPPGSSLVSTLHACSASLHAPASHRCPRRCSQPLSPSMAPCCRSAAIDLSVPELGKAQEVVVDLSSTHFDCSLKFQKRHCYWSFQKFRPSDFVFDLVKVLC >Dexi7B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:7B:18440655:18442653:-1 gene:Dexi7B01G0011400 transcript:Dexi7B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTPAPATPCYDMPEGVDIRGRYDPAFASVLTRDALAFVASLQREFRGAVRYAMEQRREAQRRYDAGELPRFEPATRPVREGDWACAPVPPPVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMNGQVNLRDAVAGTITYRDAARGREYKLNDQTAKLFVRPRGWHLPEAHVLIDGEPAIGCLVDFGLYFFHNHAAFRAGQGAGFGRLFYLPKMEHSREARIWNGVFERAEQTVGIERGSIRATVLVETLPAVFQMDEILYELRDHSAGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMSQHFMRSYSHLLIHTCHRRGVHAMGGMAAQIPIKDDRAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLAGKPNQIGDATGPNEIVVTAEDLIQPPVGARTVDGLRLNTRVGVQYLAAWLAGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALAHGAAGVEVRATPELLARVLEEEMGRVEAEVGKERFRQGRYAEAGRIFARQCTAPELDDFLTLDAYGLIVVHHPGGASSSPCKL >DexiUA01G0012370.1:cds pep primary_assembly:Fonio_CM05836:UA:25017783:25020306:-1 gene:DexiUA01G0012370 transcript:DexiUA01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMFNLSQLSILFTVDCASGTNSPVVSLGIYSVAASSAKAEESKEENGANEKQTQLSENTFRSQDQAGKEGNDLDKKQEPGVEKHLKNASQLSQNGGSDSLLLVCCEDFLLLLSIASLIQGSSKHLHKMKLVKPWCWSAVFKNMDGSICGLILAYQTGIIELRSVPDLAVVAESSLMSLLRWSYKAGMDKSMSSSNRQITLVNGSELAIISLIASENDFRYFTFLA >Dexi5A01G0032120.1:cds pep primary_assembly:Fonio_CM05836:5A:34702866:34705772:-1 gene:Dexi5A01G0032120 transcript:Dexi5A01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGGARLRSRGASCLRVARPPCANGGFASMMEQEDGWPLGLGALNVRAGVLRGVDLPGSASFSTTFTSSRSASSLPSTDFDTESAWSLPRRGGGGGGMTLASLIGLVDAMESRRRPTTRVARSGRLRALLLSLCLRSHLENGTGAPSLREFLEMERRASGATGHIHGARE >Dexi4A01G0021920.1:cds pep primary_assembly:Fonio_CM05836:4A:25322727:25323379:1 gene:Dexi4A01G0021920 transcript:Dexi4A01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFESSSGLWTLLGQASNVAQLVGVDALGLVSMVVQAALVARRHRDACQRLAQHVEIVGGLLRELELAELMRREATRRPLEQLRGALRRCYKLVAACQDCGYLRSLLAGARMADELRAAEQEIDIYHF >Dexi9A01G0035290.1:cds pep primary_assembly:Fonio_CM05836:9A:39888991:39889907:1 gene:Dexi9A01G0035290 transcript:Dexi9A01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARTNGSLPVASVQELAKACNGVADGQVPERYLSKDPTSAEEAVVVAGDDDEGACAIPVIDFHRLLDPRSSEVECARLASACRHWGFFQAFPDEVIGNLMSDVAGFFEQPLEDKKECAQQPDSLEGYGQAFVVSDDQKLDWADMLYLQVQPIESRDTRFWPTRPASFRHSVDAYSSEAAKLTYRLLEFMAKGVGADPASLRGVFEGQAQGMRVNFYPPCRNAADRLVGLSPHTDPNGNGR >Dexi9B01G0021400.1:cds pep primary_assembly:Fonio_CM05836:9B:16143121:16143922:1 gene:Dexi9B01G0021400 transcript:Dexi9B01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSISSSHGSAASSPAISTCRLAACGRFPALVGGSAASQKRSLTVMTGSEMRGVTAVRSSSLETTSVGDEAVTGQVTEVTKDTFWPIVKAAGDKVVVLDMCGPCKVMAPKFQEMSEKDLDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKDGKVVKEVTGAKIEELAHAIETAKAS >Dexi4A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:4A:11759354:11764276:1 gene:Dexi4A01G0012860 transcript:Dexi4A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQTPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLKSVPSFKQRAENDPTVPDAPAKAEKFAERLREIVLRELGFRDIFKKVKDEENAKAMSLFDGVVQRNDEIEDGGKRVENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFMSKWTKKSWEKAVIFVDNSGADVILGILPFARELLRRGTKVCANLFIPLFASTVLVLSLFLLCLAPPGLHLLSPLHHRRKRRFDEGADPQGVCGGAQRRLAKGVTSTKFRGVRR >Dexi5B01G0027540.1:cds pep primary_assembly:Fonio_CM05836:5B:29092639:29093722:-1 gene:Dexi5B01G0027540 transcript:Dexi5B01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPKHAGDGDSGGDPRDAEALAAVGLGAFAQLALPEHPPEGLGLAAAYDDASGHISVSFAGATVSASRADLAAALELPPGPVGLAAGVSAAAATFSSAEAIAAVSAFVRDRMIRGGGGDGGPASGEVAAALQLVEEGKGFEVDWGGLVWAVVKEEVVAGTLQRCTPYLLRLMENQRPELFVEFDVRLSPQKRWKGCQWTDGMLLGSEYLDLEQEDASLVYGGSQNVGDLEDMPIFGEVKDPVCDKSKVICLPWRVHTSMWKRPAGTQNEM >Dexi5B01G0034100.1:cds pep primary_assembly:Fonio_CM05836:5B:34371330:34374802:1 gene:Dexi5B01G0034100 transcript:Dexi5B01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPASSDLSLGLHSHGPSHAHARVHAVAAPLRLFDDSEDAKPERLEGGEADEEREDEGDQHFSLLGHSLCVKRPRRLVNGGGGGGGDTSSCSSSSAALRPAKRHATGEGSGPDLETRRAAVRAWGNQPLAEADPDVHALMERELDRQVRGIELIASENFVCRAVLDALGSHLTNKYSEGLPGARYYGGNQHIDAIERLCHERALTAFGLDPACWGVNVQPYSCTSANLAVYTGLLQPNDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKVEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIVFFRKGKKLRKRGGSFSQGDESEYDFEDKINFGVFPSMQGGPHNNHIAALAITLKQVATPDYKAYIQQVKRNAQALASALIRRKCRLVTGGTDNHLVLWDLRTLGLTGIIPAAILLCHCKIFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFETIAEFLIRATQIASNVLKEHGKQQKEFLRGLQNNKDGIELRNQVEAFASRFAMPGFDV >Dexi5B01G0033630.1:cds pep primary_assembly:Fonio_CM05836:5B:33998822:34001376:1 gene:Dexi5B01G0033630 transcript:Dexi5B01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSTSGAGSSSSGCGSGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGICAVAFMDDHYPVRSAFSLLNKVLDEYQKDFGDSWKSATADGTQQWPFLTDALTKFQHKTIESVLARGERLDSLVEKSSDLSAASQILM >Dexi2B01G0023400.1:cds pep primary_assembly:Fonio_CM05836:2B:32874424:32877500:-1 gene:Dexi2B01G0023400 transcript:Dexi2B01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVAWVPVRILFLAAVAASAAEALSLDVHHRYSATVREWVGHRAPPAGTAEYYAALAGHDLRRRSLASGSGAGGEVAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCIKCAPLVSPNYGVRTASPTSSTICLLLSWIIPLLVADNICGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASDGVAPNSFSMCFGEDGHGRINFGDTGGSDQQETPLNIYKQNPYYNISITGAMVGSKSINARFSAIVDSGTSFTALSDPMYTEITRSFNSQVQDKPSQLDSSSLPFEFCYSVSSKGTINPPNISLTAKGGSIFPVNDPIITITDTASNPIGYCLAMMKSEGVNLIGENFMSGLKIVFDRERKVLGWKNFDCYSVDSSSNLPVNSNSSAVPPKPAMGPNSYTPEATKGASPNGNQVNVLQPSASSSPKLHFNISVFITAALLFIAIL >Dexi3B01G0029670.1:cds pep primary_assembly:Fonio_CM05836:3B:28952731:28953390:1 gene:Dexi3B01G0029670 transcript:Dexi3B01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQRGRRRHVPIPVFGEWNQLYCEELPMTQYFESAMQAGLVRPGYHCCYHDAAGEPVLSRSPSGSPPPHKPAKKVRSAMMEERRESHQQAAHVVSRRRQQGALVVADGGARAPRRPRAVVRSVDEDLYKVHPDLLPKKGKGGVCHYLLLSCGLL >Dexi9A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:9A:7899765:7900070:1 gene:Dexi9A01G0012430 transcript:Dexi9A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSVSSALRAATLASAPRAATARGYAASAASGAMRRAAAAAEGAASGEAKEAGRRGAAAEISWVPDPVTGHYRPSNWAAAADPADLRAAHLARAYARA >Dexi1B01G0028320.1:cds pep primary_assembly:Fonio_CM05836:1B:32886051:32897076:1 gene:Dexi1B01G0028320 transcript:Dexi1B01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAATESNDTLLGNGVVGILAETCNMWERRAPLTPSHCARLLLGGGKNRTQVNRIVVQPSTKRIHHDAQYEDAGCEITEDLSECGLIIGIKQPKLQMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQLSQGAQEVFKLLPHTFVDAEKLPEISAARNLSKQSQSTKRVFQVYGCVVTSRDMVSHNDPTRHFDKTYVNYICSSFQWPHSVILNVYNLQADYYAHPENYTPVFHERIAPYASVIVNCMYWERRFPRLLSTYQLQQLMKSGSPLVGICDITCDIGGSIEFVDKSTSIEKPFFRDLAPAKANPLPEKKYSTLVSLSGHLFDKFLINEALDIIEADGASFQLVRCEVGQSIDDMSYSELKVGADDTTTLDKIMDSLTSLANAHGGDHDAGKETDLALKIGKVNECDAGDTMEKGGPKVLILGAGRVCRPAAEFLASYPNSNYCVDDNSPDQIHVIVASLYQKDAEEIVDGIKNTTATQLDVADIGSLSDLVSQVEVVVSLLPTSFHAAIARVCIEFKKHMVTASYVDESMSNLSQAAKAAGVTILCEMGLDPGIDHLMSMKMIDEAHARKGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGAVRSGKNPAVYKFLGETIHVDGFSEIMATLSKIGFFDAANHPLLQDTNRPTYKGFLNEILIANNISTTATNLNVEASTGYDDELIARLLSLGYCKEKEIASKTAKTIKFLGLHEETEIPKDCSSAFDVICQRMEQRMVYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRSTTAMALTVGVPVAIGALLLLQNKVQTKGVIRPLEPEIYIPALEILESSGIKLTERMEI >Dexi6B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:6B:5552303:5552648:-1 gene:Dexi6B01G0005960 transcript:Dexi6B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGENKERRLVVHGVVGPRDDEEEEEEEYEYAFDEDEEAVQAPAKWRAIARRQSMEAPGLCGVLQ >Dexi5B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:5B:21643407:21645070:-1 gene:Dexi5B01G0019330 transcript:Dexi5B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAVRHCVLGCLPVPAVTRVGTLSAADGYDDGEDRISALPDELLRDIVSRLPVKDAARTAALSPRWRSVWRSTPLVLYDEHLFPAASEDARVAAIRRILAGHPCPLRTVHLVYCFFGFDERELDVWPRLLADGGVQDLVFISQPPPVDMPLPADILRCTELRRLYLGFFVFPDIRDLPKGAGIFPHLREFVILNTCINDGDLDHMLASSPQLEKLALVASYGLPERVHLRGKKLQCVLFWLSMAVEFAVVDAPCLERLIMWRTRPPSGLDKSDDEPRMRVRITCAPELKVLGYLDLGAHQLQIEHTVIKARTKVGPRSMVPSVKILALKVNLSVSTEVRMLASFLRCFPNIETLHVESAVADKPTGKHYAEFFEEVSPIECVWNHIKKIVIHEFQGDISEIAFLKFITQRANVLQKLTLVLPDKALVPVAQDLLRALAIPTWASKACMVLLVGPIGKLGWNFHRASDLSIDDPFLLEHEQELFHLVKKGE >Dexi7B01G0002630.1:cds pep primary_assembly:Fonio_CM05836:7B:5094460:5104802:-1 gene:Dexi7B01G0002630 transcript:Dexi7B01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASLFLLSAYQEYERPLFLLRLSDPIKTVIAATMFLKGFGGLLFIFSSSFGAFLLLIYLAFITPIVYDFYNYEMESAQMAHPRFPLLELAYDDTHRAHMIADQNMAWPFADSMPPFVRTKRYTENDWRVTHQDYLHMWEQRVARTRLRPMAMEYNMEDVDTDAEDDYDVDTRWGNQPERAPVHGHMGQQLSRIVNDAGVAMLAMMRDFSDLSWRVRRTCQRMALKLNCVTAIPVDPERAPGGSSDSQPTGGGSSAMAGPSSSHRAGKALASPQASDKGVPGEDSEGSPAPGFADQFILSQHMDDAPPYTQTQGESSQMNMTQTQGETRMAMHYLGDAVGVTALTFPAVPIGYRPRHVGSVGQQMSTAHQIRGRHGVESRLPVEPCVLNLTVFKYDMF >DexiUA01G0026460.1:cds pep primary_assembly:Fonio_CM05836:UA:56492060:56495545:1 gene:DexiUA01G0026460 transcript:DexiUA01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPAPGAPAMNFASKPRGLGLRRPSPEPPGAALRLGPLFWPWEKFSLLSMGDEQMELKTVCDSLGIRLIAYSPLGLGMLTGKSVLFRQILPGLESLLSSLRRIAEKKGKTMSQVAINWCICKGTIPIPGVKSIRHVEENLGALGWRLSPAEISELESAAMAAPKKMIQNVFQTA >Dexi2A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:2A:25465159:25466637:1 gene:Dexi2A01G0015000 transcript:Dexi2A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAASFFAGGAINAGAMNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFIGALFLPETPNSLVERGHLEEARKVLEKVRGTHKVDAEFEDLKEASEAARAVKGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMFGHGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLKWGVFVLFASLIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRRDPKYQGNIHQQQEMGTGSA >Dexi2A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:2A:22617659:22618402:-1 gene:Dexi2A01G0013840 transcript:Dexi2A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVNESITVGAETGERLRSLILKHIREKSSIGVWLRWYLARLNGQGIGKQGSFKWLPVGTLAANLGFLGCLSTVSTFAAEVYTMRRSGQISRAFVYAASTFLLSFVLGTLVYSVPVWKKHYK >Dexi1B01G0028100.1:cds pep primary_assembly:Fonio_CM05836:1B:32704794:32709281:-1 gene:Dexi1B01G0028100 transcript:Dexi1B01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLPIKGASGASGRDKKVPAKLELENVLNRYFGYSGFRGKQLQAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVRTGIVLVISPLIALMENQVASLKKKGIAAEFLSSTQTSHTKQTIHEDLDSGKPSLKLLYVTPELVATPGFMAKLKKLYHKGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRKQFPDIPLLALTATAVPKVQKDVIASLCLQNPVILRASFNRPNIFYEVRYKDILDDVYSDISNLLRSSGNKCLVIYWPCHHFQGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSILYYGLDDRRRMEFILRNTKTKKSQSSFSSNELSEKALADFSQIVDYCESSSCRRKKIIESFGEKVQPTLCQRSCDACKHPNLVSSRLEELRRVPNCRLVRSLQCFKEFWNREDEVSISAEDISDSDDGNEIVSNIAIAKIPAKAGLEAKFKALEHAENAYYQGKGQTKQQGGGLVDKKSISQVLRDACRKRLLDALGQAKRRFGNLPCDEAASATHLETECFKKYEKVGKTFYNSQVAATVRWLSSATSNQMHDRFHTLIDQATDHGASSSPDVVPESPPATTEAISTRPGETSNYEANDRPQNIHELEEMKHSDESANTAAPSAGNMELPLPAIPSFREFSNQKGKDRASTSSNSNVGSQPSGIRRKSSGLVEKQACKKMKS >Dexi5A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:5A:12945229:12946880:1 gene:Dexi5A01G0015390 transcript:Dexi5A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGSRGRAPPPPPPPALQAHLHGVRPPYYNRYRGAAPDAAPLPPPLGVPAPVERHRTVAVHAGVNINGDSLRLEPDDDGRSLLLSFSFDADAPGSITVYFFAQEDEELILKATKENLLKAVTTAFNKGHDQKFKQPCGTGIDVSQFEESELTKVGEGGVFPVAFKVDVAVSNNQELDGAHEDEESKCLIKFATLVKKDSAEYGLRVVQQILWVSGTRYVLQEIYGIGNKVDEKNHEDESGKECVICLSEPRDTTVLPCRHMVCCSIILSILYINQD >Dexi4A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:4A:22594571:22603403:-1 gene:Dexi4A01G0018640 transcript:Dexi4A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAGAGAGAARGRGGGGGSGFGERPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEASRLSKRRLETEKQRNDATADMSEDLFEGVKGEDAGDPSVAYGDSTNGNTPKISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQIMRFFTNPRKPMILAIARPYAEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMNKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHSIADALYKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYVSRILTLGPRHPAFGNREDHKVPVNCRKHILVIAVDSVSKEDLIQIIRNSIEATRTGTLSGSTGFVLSTSLTIAEIRSLIKCIGMLPTDFDAFICNSGSDIYYPSKSSDVPSTIFTFALDNNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQIIFEDSEHSSTYCLAFRVANPNHLPPLKELQKLMRIQSLRCHALYNHGATRYLSIRWGIELPDAVVIVGETGDSDYEELFGGLHKTIILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSSGDIRSAMQQLGIPAQ >Dexi8B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:8B:19674135:19677895:-1 gene:Dexi8B01G0011040 transcript:Dexi8B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENPSLYAYLYSGDHDAMIPFIGTQGWVRSLNFPIVDDWRAWHLDGQSGGFTIAYSNNLTFATIKGGGHTAPDDEPERSFALFTRWISKRPL >Dexi8B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:8B:18170361:18171065:-1 gene:Dexi8B01G0009870 transcript:Dexi8B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCNGMDEEPNGSKAVTLLLRLSTMALALTSAVLMATASDYVVGFSIVATILEAAGIYLQFGEGGGDDDEEETPKLNKILLVLIDVLVPALLNLATGATFSAVVAYGPQISACAGTAGRFCDEVHRSKLFSLGASISAVLKAAAKDVPLKFSVWPISSDDC >Dexi6A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:6A:2696136:2702036:-1 gene:Dexi6A01G0002940 transcript:Dexi6A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSRNMPTTAAMIGGLHSYATSFGQLAVMQQQQQHQNHVQQQAATSESDARGQRHDELMMIESKSGSDNMEGGAGSGSGGEELQEEDLSLQRPRKKRYHRHTQHQIQELEAFFKEFPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERHENTQLRAENDKLRAENARYKEALANAACPNCGGPATAVIGEMSFDEHHLRIENARLHDEIDRISAIAAKYVGKPINNGLVPNSSNISSIAAPYPPQLSSHNLISGGAADMFGGGLHHRGVTAAFDKPLVIELAVAAMEELIRMAQQGEPLWVPALVDGTATEALNEEEYARTFPRGVGPKSPELRSEASRDTVVVIMNHVNLVEMLMDVNQWSTLFSSIVSRAATLEVLSTGVAGNYNGALQLMTAEFQMPSPLVPTRESHFVRYCKQHTDGSWAVVDVSLDGLRAGGATAVRGRRRPSGCLIREMPNGYSRVTWVEHVEADDAMVHDLYRPLVSSGLAFGARRWAAALERQCERLASAMASGVPAAPAGGDAAAGVVTSAEGRRSMLRLAERMVASFCGGVTASTTHQWTTLSGSGPEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVSPARVFSFLRDDATRSEWDILSNGGDVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDTTGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPGAGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAALATDITAGGGGGPRRAGLTPYDPSSAASHHDTSTQHEAETKSKHITFF >Dexi3A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:3A:11602206:11602629:1 gene:Dexi3A01G0015590 transcript:Dexi3A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMTSGSVRAQWTQKQNKLFEQALAVYDKDTPDRWHNIARAVGGKSADEVRRYYELLEEDVSRIESGKVPFPAYRCPTGGPGALRYEADRLKHLKI >Dexi3A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:3A:5461513:5462160:-1 gene:Dexi3A01G0007830 transcript:Dexi3A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYTGDIARQKRADVSTVSTGGPTWFGSIHTRDAPLADGPGSNASVVGRVMDVGFKVTAETENTWYTYMIFLFKNGSRFNGSTLQIGGLNTPTDAEWAILGGTGNLTMARGIVRRNLTRNDGTTQYKVYAYYTPMPFVGVS >Dexi1A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:1A:4462387:4464732:1 gene:Dexi1A01G0005980 transcript:Dexi1A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRRVAFFFFLTVALVTTTILPAAGAQGATEADVLIAFRDTLRGADGAPPGPLRNWGTPGPCRGNSSSWYGVSCHGNGTVQGLQLERLGLAGALPDLAKLAVLPGLRALSLADNALTGEFPNVSALAVLKMLYLSRNRLSGVIPEGTFKPMRGLRKLHLGFNDFSGPVPGSITSPRLLELSLANNRFEGPLPDFSQPELRFVDVSNNNLCGPIPSGLSRFNSSMFAGNRLLCGKPLDVECDALGAPRRGMSTMMKIAIVLIVLGLVLCAVGITSGVIGGRRRRKPRRAAAEGMPGGDQTPSNPKLNTAPAVNIENAASTSQPRAAAAAGGAAAAKRQRRDEHGRLVFIQEGRTRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLLPLVAYLYKKEEKLLVTDYIVNGSLAQLLHGNRGSLLDWGKRLRIIRGTARGLAHLYDELPMLTVPHGHLKSSNVLLDGSFEAVLSDYALVPVVTSQIAATVMVAYKAPECSAAQGKPSKKSDVWSLGILILEVLTGKFPANYLRQGRQAGTGDLAGWVQSVVTEERTGEVFDKDITGARGYEADMVKLLQVGMGCCEVDVDQRLDLKTVIARIDEIREPELAAGAGAGEESSSSS >Dexi6A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:6A:20488611:20490411:1 gene:Dexi6A01G0013440 transcript:Dexi6A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPSEVDEHTAAGAPTPCPPSPSQFKAQAREAAQAACVFPPSCAPYSSFLQKLPSFSLFTGRVREVGVEIEEVTSPGTRRAMAAPFVYCRPTGNGSMEDKLIMATLQGNLGRLKGLTPLMSAAQSGDVSTVKYLLDRGGDIMKADENGHTVLHHAACTGSTKVTEFLLSKGIPVDMDYGCGTALVQAASNDQDKTVKILLDHHANVCSPGA >Dexi1A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:1A:682508:685151:-1 gene:Dexi1A01G0001060 transcript:Dexi1A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGIVTQNDSKRKPATTTGQRGLEEVEEEVEMCLGPQEALAQTDEVNHLLKTVRGELMQVHPEVIVNLGTPLPPPSSLPCSPAAASFRPSSSVRFVVVMSDPAFPNWAILEPFVFRRDDSSSFPDKTKAPIRASATTSWGASFRIAFVFADPPRVSRLYAQLPGFPDPKKQTPLAILGTHRHLALLRVGMQTPARDIIQDFFVYSAHDPSELRLLPPCTAPHTDDSLRPIPLEEEEEEEGAPAQRRLLGVTSMGLVSRGEGEQDFAVVELKLSNLTRTEVCADICLFRSSPDLLPSARVLPGGEIGGQWDSMRVPIVRSSDPDDTWQLCLWQTDAVVPVGRWLCWIDYRRGILFCDVFGRGPTPTVSFLRFPLDEFPSTHNRSNACSWVYRVVTPIDGGQALKFVDVARNDHVVYGALQKFGAFTVTCHTLQLGSVAVLNKSTLSSLVWRKDSTTTSDELWSANPPERLPRGILMFPLVNIDRPQVVHFLFSDFKHVLKKICVVAIDMSTNNVESCYKYVNGKEDTGTVDADLTKERSTCPRPFLPCEFSKYLNMSR >Dexi6B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:6B:4232343:4232787:1 gene:Dexi6B01G0004950 transcript:Dexi6B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAGGGPSSLSTCSYKSPILKKARIEANRSSQQIRTTSLSGQPVRTTTIHRPNSTITITKPIGSNRQSQNSRPKF >Dexi3A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:3A:9754945:9757347:-1 gene:Dexi3A01G0013410 transcript:Dexi3A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGLEKQHKLLKRKLPAILDVIADAEEQATAKREGAKAWLEEVRNVAYQANDVLDEFKYEALRRKARKEGHYKDLGMDAAPPMPVTNWRENSPDIIDHKDIASKSRALEKQEVVKALLDKASNSDLTIFPIVAMGGMGKTTLAQLVYNDPKIQEHFELRLWVCVSDNFDVDSVAKSIVEEAKSKGCQVDEKLAIDKQLQSAVSGKRYLLVLDDVWNRDEPHKWEKLKSYLHGGNGSSVLTTTRDQAVAQLMMGTTEGTYKLGSLGDVVLAEIIKTRAFSSKQEKDWPRDLVNMDYEIDVEKMIQLWMANGFIPEQQGEHPEISGRNIFMELASRNLELLPKGLKYMTALRHFYTHGCTDSCCSKVGELGPLDDLGGGLELRQLENVKETDAKAANLRTKKKMARLTLRWTNGDKKAQNSDKEVLEGLEPHDGLK >Dexi8A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:8A:28529572:28530077:-1 gene:Dexi8A01G0016860 transcript:Dexi8A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDMFECSSGSAIVLCTKVKEVADQCSPSKTRVHSLVDFYAKKILSLDLVNIHIPEDKARRHAIESILGRFNPNIHCMNIFLQALYRNPYRTSLELKDLYESIDPRRYFHSRMPQDPKVKFGAALGGRSLDRQSRAV >Dexi3B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:3B:14552688:14554388:1 gene:Dexi3B01G0019520 transcript:Dexi3B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQHDIEPAALVVEAVMGMTDANKPINHAAPLLSVQRRGGDGTTEPTATAGLPAYEASDATSPVPHGAVPFGWEHRPGRPIPKSVRTRRPPAASPPSTTIAVDPSRVTTQQKTTAVTMASECAREEEEQRFSDALSRDDVISCVTVNCSATGLSDAAGVGARSVPDGARGGVMIDRFLPAAHAVAAGSPQNTFRKAGSARDPATRSPSVSLPSARTGDRLPLQRRLPLQRIAAYHLPPLPSERKNNEDDDDDAGSDAHSTAGFASKRCGLLPARCVKTALQLSRGARRGAGRPFLLSGGGSRRAMENPLLRRSRNVKHTGDDDDPGMQSWEEVYIKSLLRSGGGDGKGLMGPAAAVASELDRTVRELYMHRGGQAVQPKASHLGLLLVLDRSNEDCGRGYHDSPAPRRISKAGGDTAAVLLPATTKTSPDAGKKYGFPLLLEDSDAVAGREMAMSSPPQTLLLPLPLPKSPTESWLSRALPSVSTRRPPATSFLGLHVQPKKHAPLPWCSSMVMDYGKDVIDHDRQRQGRVHDLQK >Dexi6B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:6B:22832168:22832368:-1 gene:Dexi6B01G0015490 transcript:Dexi6B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRMTPREATPCPGVAWSTTLQEAAARLPMGSQRHGRWAHSGTADGLVGGVGTTGSGIAERNSRT >Dexi2A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:2A:10925953:10926985:-1 gene:Dexi2A01G0009900 transcript:Dexi2A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVCNTIPSFSTAEHQFVYSSFTGVNLTLDGMASITPNGLLQLTNGTLRLKGHAFHPTPFHFHKKPSGTVQSFAVTYVFAIYCIESNICGHGIAFLIAAGTNFSDALQSQYMGLANGNNNGNATNHFVAVELDTNKNDEFKDINNNHVGVNINGLDSVNSSNAGYYDDSNGNFHNLTLASYNMMQVWVEYDGDSTQINAPASFFSFDGDYGMLNYEKIGKSSLDHIDSHIRICFMPLKDLRTTIY >Dexi5B01G0029220.1:cds pep primary_assembly:Fonio_CM05836:5B:30459514:30468622:-1 gene:Dexi5B01G0029220 transcript:Dexi5B01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPAVGYDGFEAAAGAGAGAVGAEDNLSMSLGDFMAFLETEPAPPGEGGEEEEEEQQPGTGSSDVLYSLEAGLLHTPGRRIELRDGQGDVGMVIVAPAVSFHHMHHHQLVFRDPACLIRTWLAKSAAGLALTPDCGIEIQFWQLPPHSPSSPALRQQTSAAPAARAAALIAQQFAYVNQGCMEIAPNTNGSEDLFQSHEEMLENIEIWSNYTHVDPSECQVEVNMELNEGEQTIDHSEASPYVLINGEKWKDSDIHGNQSGMYNLDNQHVPRDASNHANFEEATGPLHELSNGSYLGQRTVYDQTEHQVENNKGGMKTQMNTYFSGGISTEQSALSSEIQWENADEMLGNTSQDGDRFTSMAMFSLTHNADVPDISCTGLNMGEPTDSICNGNSSCLTLQEEHRQGEYGKYHHPDYISVDMDISQPKSEANPPDGLLAVPLLRHQKIALSWMVQKETSSPHCSGGILADDQGLGKTVSTISLILTERPPVPQSSTIKKETFEAVTLDDDDEDDCDEPDLKKQMQTCSSELTSNTVKQENPIVSVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPDELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKPSSSKKAKNKSAAESSLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCSLIKIPISRNPTNGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERNFYNTLEVESREQFKKRSWFLTNIWILQDAPEDAVVTICGHVFCNQCILEQLTGDDSICPVSNCRVRLNSTSLFSRGTLECSLTGLTCDFKSNDTSMEMVHGEKRPGIDSSYASSKVRAALDILLSLPKIDPTQKMDSKNLIGLASENFEGKGSSEQADTKLTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAARDKAVKDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQSRLTVEDLNYLFMV >Dexi7B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:7B:23737055:23739644:-1 gene:Dexi7B01G0017790 transcript:Dexi7B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAALSVYRDEDSKGGGGFLAGFLIGGAIFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRGPRPQDGRYYDDGLEKTRQTLGDKISQLNLAIDKAALRLKRVTGNVENEDVKDEAETGMSSLNDNEHVVESLNEHGFVQGESAI >Dexi3B01G0024800.1:cds pep primary_assembly:Fonio_CM05836:3B:19461033:19461394:-1 gene:Dexi3B01G0024800 transcript:Dexi3B01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDDGTTQCRDAVAPPETKATTQSRNADTVGQRQTGLRVRLLSFVTTSPPPDPDALTAPIGSRVAVASTPTCPHHRASLSPPSQAKRERAHEHEGKSRATAAAKRHTPTRTNQ >DexiUA01G0014850.1:cds pep primary_assembly:Fonio_CM05836:UA:31070149:31070703:-1 gene:DexiUA01G0014850 transcript:DexiUA01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLDEMLEALVLEGLGVRDESVCAHLELLDHGIQLSRYGAPPDAETSMSMPAHYEYMMNNVIVQHEVEGLEVRLQDGGWVAISPDPGTFTFVAGEVATNGRLPACFHRVRTPSSRERFVVQFGLTQKPGMEVRALDELVDEEHPLAFNPLRHEEYFNWRYSEEGFKVDDALKAFCGVEKV >Dexi6A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:6A:4645164:4646031:-1 gene:Dexi6A01G0005090 transcript:Dexi6A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGAPCGSASASLSRSSNSPFRAMIWPATVNNRNPTGLSSIRASQRGPSRAGGRATAGVCYASQAVELLPALCPEIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRIDRYIALLAGFTVPPGCMRTCLVAVNPDPVNGGFDVECGDAKDADFQ >Dexi3A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:3A:16930812:16933526:-1 gene:Dexi3A01G0021290 transcript:Dexi3A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLVGNPTNGVAKPTCNGVGSLPMANSHAVIASPAPVTTTAVAPAGATLGRHLARRLVQIGASDVFAVPGDFNLTLLDYLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQAITCHQAVVNNLDDAHEQIDTAIATALRESKPVYISVSCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAAAFLNKAVKPVMVGGPKIRMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDVSTMLRCGQRSVIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNSDGNCWTKKVRTEEELKEAIATATGAKKDCLCFIEVRTEEELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Dexi5B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:5B:1669260:1670689:1 gene:Dexi5B01G0002640 transcript:Dexi5B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHASGFLCLLLAAVAGSLLAPAQGGLFPTPASTNVSASWSVSLKATGHGGSQQSFGYMDGMSVSVFLLQSVDGSGGGGLSFAACFYCTDPCADFYFGVCILQTDSGGFLTLPNAGTLQVVWSANRGHPVSENATLTFAATGDLLLRDTDGSFVWNTNTSGQSVAGMTVTKSGNLVLFDGKNTPVWQSFSNPTDCLLPGQQLTEGMKLTPNASATNWTANDQLYVTVRADGMYAFVESLPPQLYYQKTVPKSGNNRKTYMTLTNDSLAIVASSSSANVSTLPTNSGINMTAGGMMYIRFQSDGHLKLYQYNGIEGWVITQDILQGQVDDCAYPTACGPYGICDSGQCTCPINSTGTHFKQIDDRRINLGCTPVTPISCAPTAAQNHQLLALSNVSYFNYVDSKAALPQMIDEESCKKACLQNCSCKAAFFQYGGSDCTVTP >Dexi1B01G0028510.1:cds pep primary_assembly:Fonio_CM05836:1B:33027787:33029341:1 gene:Dexi1B01G0028510 transcript:Dexi1B01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGHTGMNTLRMEQATGVGGPEHIVIIDIPRDTGSSASVSRSVDRENHEELNPVDRPSTRALVPALQAPSAIGGAPNAGQTSGTRRSDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLCLSRKEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHEPAHAPQGSSHNNSTEATHAASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYSSGSEEGQEGGIVAAGTEKERALSAEDAVCCICLAKYAHNDELRELPCSHCFHKDCVDKWLKINALCPLCKSEIASSSSSSGTRQPDQTAIPVQEIEMH >Dexi8A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:8A:3103345:3104935:-1 gene:Dexi8A01G0003990 transcript:Dexi8A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPDPLLFRSLATATASPAAPPPPPPPLPASKPPRHAAPFIAVLLRRGTEAAARVLNLRLRAAPASEALSLLSALPTVRDTVSYTTACGVRPNVITYGTLIHGLCVAAEVDAAVELLHEMCESGIEPTVVVYTSLLRRYCKCGRWEDVGKVKDMMVKQGLQPNVVTYSVLIDALCNEGLMKEAMGLLEEMIQGDIAPKPNLITFTSVIHGLCKIGRMFKAAKVLEMMAQRGCMCDMVTYNCLIGGFLRVRKVEMAMKLMDELAGSGLEPDSFTYSILINGFRDLEKAKMVFKQMKASGIVPDAGVFVSLIKGYSAEGQINKVLNLIHEMRVKNVALYSKHIHAIVSSLLENNEGKKLLEGLPSLSEELLHGNITSSQEFMNSLYKACPAHEPCVTG >Dexi8A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:8A:2088001:2089291:1 gene:Dexi8A01G0003030 transcript:Dexi8A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYSYPPLPLVSSPNPKMARLGALLPLALAAVVVLLAVAATAQPFSNPPTGHGPKDPVPVPVGSIKPIINPEPVDNPKPVDNPKPKKPMKVKCHNRKRYPYCYGKPMDCPDQCSQSCYADCYSCKPVCVCSVPGACGDPKFIGGDGNAFYFHGHKDADFCVLSDLDLHINAHFIGKHGADGMSRDFTWIQAIAVLFDGHHRLYVGARKTATWDDDVDRMEVTVDGESVHLPQEVDATWTSSVVPALSVTRTEATNGVLVVLDGRFKIRANAVPITTEESRVHKYGVTADDCLAHLDLAFKFDTLTSDVHGVVGQTYRSDYVNQFDVKASMPTMGGESNFSTSGLFAADCAMARYAPGHHVHGDGVAMVSELAGISCASGMGGQGVVCKK >Dexi8B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:8B:5604146:5608680:1 gene:Dexi8B01G0005490 transcript:Dexi8B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGTKNSKVQILTLYVLETLSKNCGDVVHQLIVERDILSEMVKIVKKKPDLTVREKILSLIDTWQVAFGGPSGSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIAKGVPPGTGATAPGSANVNQGTAPPRSARDGTMAQSNPHSAPRNERPYPSPLLPPPPASKRPVYTETSNIDYLSGDSYKSEKVSDDFINPTAPANISTSSNLKTEANLPPSYGGRSDGVSDDFLNPTAPSFSAPSHPTSEEPTRSSVKRQESLPDDDFINPTALPGFPSSSTDSKEDLPKAPWETSAPAGSLPPPPARYGQRQQYFEQNVYSGGSNGGGYDGLVTQTENLSLNQNEMSTSRPTPSRQAKPEDSLFKDLVDFAKTKPSSPSKPANSRRTR >Dexi3B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:3B:10012075:10013047:1 gene:Dexi3B01G0013960 transcript:Dexi3B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNHRLAAFFLAVVAAVTSSAVAAWNVKLEIDNDIPLIHTLRPLLSSAAGHQSRRRNYGGVPCDSWRFAVETNTIRGWATIPASCEEYVGNYMLGGHYRRDSRVVVDEAIAYAEKLKAAGNGKEVWVFDVDETTLSNLPYYAEHGFGAEPYDRAAFGAYVEEASAPALRETKRLYDKLKEMGIKPVILTGRREDKREATAKNLAAVGYTGYHKLLLKPQDAKVHSVEFKSGERKKLEDAAYVIVGNIGDQWTDLLGEPEGARTFKLPDPMYYVA >Dexi6A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:6A:27549280:27549994:-1 gene:Dexi6A01G0019950 transcript:Dexi6A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELMRKIRALEEGQAELKREVSKINQLRTDRRGGVQSQQPLAAAAAASPRRAAGLSRRHHAMVMQSLGQAVHVLDPYGKILYWNRNAEHLYGYSSAEAVGNDITRLIVHSDDIPALNSLVGKIFAGRCWRGNFPVKKKSGERFFVVADGTPLYHDDGSLIGLVCLSEDTQTLRELIDPSNSGYYYAKY >Dexi3B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:3B:1352641:1353717:-1 gene:Dexi3B01G0001930 transcript:Dexi3B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTTRTNQKKMLATLVGCNYGGTRYELRGCINDVLAMRDTLVARFAFAPTDITVLTDDGPSSGGVVLPTGANIKRALADMVSRAAPGDVLFFHYSGHGTLVPRRHGHGHGVDEAIVPCDFNLITDVDFREVVDRVPQGATFTMISDSCHSGGLIDQEKEQIGPSVADDINSRSVRGRFLPYGAVVGHLSATSGVDASHHVAEHLLALFGDDASAKFHGHHQQEEQRFHDDGGVLLSGCQTDETSADVPAGEDGNGKACGAFSSAVQAVLAAAQPEAAVALSNREVVRQARKVLAEKGFQQHPCLYCSDANADAPFLCQPLAPGEFRTITAL >Dexi3B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:3B:7018421:7021717:1 gene:Dexi3B01G0010130 transcript:Dexi3B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQAQALTQTALRPRHKAAYQSKYYKADQAGDMPLHVTAVPAGGEKNQEREQIERFAHTRGKRPLLAQCERQGQRFLPPLDPVRPPRPRPCLFPSPRAKSPSLRAKILRSSRQIKPESITRALNRTCDWREWLGSPRGQLRQLLMRFRRQELRPFLLRGLSPFLLQGLSPFHRVHHVHHNPWCHPLHPTGFLRFNTRQSRACQLKSDMACRKLQKAQLGRIPVKNVLVSFHAPSGKDGPASRLCPIWATGRTAGGHRLLMAHSGRGRSEMHVSSTVHGVTGPDVQSIHLAPMLHVRATICHHHIIIRPLASALPAAAKIFMVTDRDRSMIRCLKAKIRLPNPTNGLGWSSRSGRRQPNPALRTSSSSSSIPLMAAARGAGPLRWPCPPLDGFGCMIAPVHAHGWYGGCTITS >Dexi5B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:5B:7796209:7801059:-1 gene:Dexi5B01G0011050 transcript:Dexi5B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMEPKGLDAAGKPLLVRVGRSSGGDSRGSASSSSITVVVGSTAVAVAGSFEFGLSIGYSSPSQLGIMRDLHLSLAEYSVFGSILTIGAMLGAIVSGSIADRAGRRGAMAISDVICTLGYLLIAFSQNYWWLDIGRVLIGCGIGLLSYVVPVYISEITPKNLRGGFATVNQCILWINASISHVGVIPCLLQMVGLLVIPESPRWLARFRHPGAFVPALQKLRGQGADISEEASEIKVFTEKLQHLPKSKMIDLFQKDYIHAVTVGVGLMALQQLGGVNGFSSGNTGTVAMAAVQVPMIGLGVLLMDKAGRRPLLMISAAGTCLGCLLVGLSFLSKEEHWERNLNVFALAGLLVFIGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVNWLGSWIISYAFNFLMIWSSYGTFFIFATICGLTVVFVERLVPETKGRTLEEIQASMNSSLTPFHK >Dexi2B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:2B:26334020:26334313:-1 gene:Dexi2B01G0016200 transcript:Dexi2B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSALKTAVVLMIVLFAGQLLVATPVAADADGRLLQGLVPSASGLCDPSSCSVSCFEVCITECNGLIGQNVLFLACRKNCVGKCSIN >Dexi4A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:4A:3466654:3467668:-1 gene:Dexi4A01G0004910 transcript:Dexi4A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASLPLLRRLAALAGGRVRANHRLLSSSPSAVSAERASQSSAEPEAVRMTEGCVRGL >Dexi9A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:9A:9058473:9062332:-1 gene:Dexi9A01G0013850 transcript:Dexi9A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSALATAQPLRGSSMPSTSPPPSPTTLSTARAGGGRAGYRAMQQRQRLGAHETKGPIISHPPGHHHCQTGHRGTHQATTTARPATVVLLVRFCQTGHRGLHCCHVTSRVGGVGELPHASRQHRKSWANQTGPVEEGLYVGRPDPTTHEQKYLVRSRLSACRPITPSAKISRPREPSRGPTRDPYLPPGTAPRPASRPIRSRRPAPRLSRYKFAVGGLASPPPLSNAKKGDMAAADVEYRCFVGGLAWATNNDSLQQAFSSYGEVVDSKVITDRETGRSRGFGFVTFSSEQSMLDAIEAMNGKELDGRNITVNQAQSRGGGGGGYGGSRGGGGYGGGGGYGGGGGGYGGSRGGGGGYGGGGGYGGGGGGGYGGRREGGYGGGGGGYGSRGDSGGNWRN >Dexi2A01G0021810.1:cds pep primary_assembly:Fonio_CM05836:2A:33786999:33789932:1 gene:Dexi2A01G0021810 transcript:Dexi2A01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDEEIVSAVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGREMDGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRLYVPMATTEGCLVASTNRGCKAIAESGGASSVVLRDGMTRAPAVRFPTARRAAELKSFLENPTNFDTLATVFNRSSRFARLQGVKCALAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWTEGRGKSVVCEAIIKEEVVEKVLKTNVQALVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAINDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLASVVAGAVLAAELSLISAQAAGHLVQSHMKYNRSSRDMSKAAEEADRPKKC >DexiUA01G0019560.1:cds pep primary_assembly:Fonio_CM05836:UA:40813526:40814011:1 gene:DexiUA01G0019560 transcript:DexiUA01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTHARRRRRRGPWPSSGVVSDGGGERPSEGGVASRAASNTVGGGVSHVGKETHSSSAASDRRRSRRAARGSSPDTVVVVAVKPEKEEEDGKGKREQEDWRRGLTGARRCDAWRREQGLAAGKGCGTRRMRRLRGRKKVAGNEAFSREKKTSGGPPISA >Dexi6B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:6B:5496894:5497300:1 gene:Dexi6B01G0005900 transcript:Dexi6B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYFYERMVNHYVDGKDAFALPYWSWDVPAGMAMPDMFKDDATSPLYDQYRNPDHLDAVVDLDYHLGRKQQPPVTLEMKTSKPEFYQDAVDRNLSTI >Dexi8A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:8A:30080680:30083749:1 gene:Dexi8A01G0017960 transcript:Dexi8A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKENLKRLAGIDLTILSAQITQSTDFTELVSQQPWLLTTKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGAIEFPLPFGRVLSPTESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLHYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEVYGPEETMTGICKQAIECIMAAA >Dexi5B01G0029440.1:cds pep primary_assembly:Fonio_CM05836:5B:30676874:30680883:1 gene:Dexi5B01G0029440 transcript:Dexi5B01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLALCLVLLAAFFPVLSISTDSIGLATSISGNQTLVSPGGVFQLGFFTPDGARRYLGIWYYNIQEPNPTIVWVANRQSPLVNNSPGVLRLSTDGHLAILDSQNATVWSSAAPTTNVTAGATAKLQDDGNFVLSSDGSVAWQSFDYPTDTLLPGMKLGVDIEAGITRNITSWSTPTDPSIGTYTFKLVLGGLPQFFLLRGDAMIYTSGPWNGEILTGVPYLKASDFTFRVVSGPDETYYTYSIGNTSLLSRLVVDGVAGQIQRFVWINGAWSIFWYYPMDPCDNYAKCGPFGYCDMAQTSQCNCLPGFQPRSPQQWNLHDGTGGCVRSTNLSCPGGGNGSSSDGFWVVSQMKMPEATNATVYDGVTLEQCRQVCLSNCSCRAYAAANVSGGVGSGCVVWAVDLLDMRQYTTFVEDVYIRFAQSEIDALNAAANSRARPSKRVVTTAVVATVAGVLLLLAVGCWWWRKRRGKKDSSAPGGGDDVLPFRVRKHPDLDEEWRSAEKDVDLPLFDLEVILAATDDFALSNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSMQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDEDERMLLYEYMHNQSLDTFIFDEGKRRLLRWQKRFDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTEYTKKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVMVLEIITGKRNRGFYEVELDLNLLRYSWMLWKEGRSVDLLDEAMEGSFSYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGKNTSDTESSHGLTSNNLTITAIDAR >Dexi5A01G0022600.1:cds pep primary_assembly:Fonio_CM05836:5A:26741028:26742613:1 gene:Dexi5A01G0022600 transcript:Dexi5A01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFSASSATRLQAVDAAAPAAAVVKNGRVPLNLKASVAPAQRSLGCCRATARQEGATKEPSVAVSSARTQLDLLEQLTSPAPDGIAGLENGTPPESYQRATIREQLLALVNGKVDDEFTLPLAKRLKEGLKRLNSLTVSQRRNIKRQALLTQVSGRNDSVFFATVGAFVLVPPFAILAIGVLTGYIELFP >Dexi3A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:3A:4385756:4386148:-1 gene:Dexi3A01G0006630 transcript:Dexi3A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSWTRGLKHVVAPRHAAQLVETRGLAIASKGKKGGKGGADAAKTPALSKELKSTTVFGANILKDGSDPKIQPDSEYPDWLWHLLDKRPVLSELRRKDAKTLPYEDLKRFVKLDNRSRIKENNALTAKN >Dexi2A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:2A:28815971:28817511:-1 gene:Dexi2A01G0017030 transcript:Dexi2A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEAHGWAARDASGHLSPFNFSRRVQRDGDVTIKVLFCGLCHTDLHVIKNEWGNAMYPLVPGHEVVGVVTDVAPGVTKFKAGDTVGVGYFVDSCRTCESCSKGFESYCPQLVQTSNGVDLFDGSTTQGGFSDVLIVSQDYLVRVPESLSPEGTAPLLCAGITVFSPMVRFGLNVPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVVSTSPGKREEALDRLGADAFLHTVTELLELLKPMGQMVVVGLPAKPLEVPAISLVAGGKRVAGSGGGGIGECQAMLDFAGEHGITADVEVVGMDYVNTAIQRLERNDVRYRFVVDVAGSNLSAAA >DexiUA01G0004290.1:cds pep primary_assembly:Fonio_CM05836:UA:8381903:8383424:1 gene:DexiUA01G0004290 transcript:DexiUA01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGERWVGLATDFSEGSRAALRWAADNLLRTGDQLLLLHVIKEPNYEQSEAILWESTGSPLIPLSDFSDPLVAKKYGAKADAETLDLLNTVAKEKEVMVVVKVLWGDPREKLCQAMSETPLSCLVIGSRGLGKLKRVLLGSVSDYVVNNATCPVTVVKTHDG >Dexi6A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:6A:2976603:2976914:1 gene:Dexi6A01G0003330 transcript:Dexi6A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAQASGTAVPAAVAMNDAAGGGESQRRESAVPDDGESSQLEKNLNCFVRVVATGELVGNALGTLASLWATVVLLGGYV >Dexi9A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:9A:14842700:14843938:1 gene:Dexi9A01G0019890 transcript:Dexi9A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAPSVLLVPIPLVKKAGLAFVPDEAMQASTSHRKAKRTLRIQTELQIELLQTMESFAQEHVIGIPLASFAYAQEETTQGKPSCSALIHKKNKKSSFIYQMNKLSQKTDSYMQGFKEHLTLGPKFSETIKGKLSLGAKVLQAGSIDKVFREYFVVEKDERLLKAFQCYLSTTAGPIAGMLFISTAKMAFHSDRPLSLPCSKGGRTRVPYKVLIPVKRIKSASVRENLYNPDEKYIDLVTVDGFDFWFMGFISYEKSLRYLQHVISELK >Dexi3A01G0011100.1:cds pep primary_assembly:Fonio_CM05836:3A:7901797:7907065:-1 gene:Dexi3A01G0011100 transcript:Dexi3A01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLSFAEDVFVLGRDKDRNLTIDHGKVEYKGPSHLQRHHYMFITCGINKLGIGGPVIKNCGKVAGMFSLLEMAFIPSSIILKCLQMRKTFDCIPRLHLGMKFSAISFLDLPHREKIACKCDVNDGLIVKQVSEGSVAEKVGVRRGVYPVTAKDCAVVDGDTDDGLIYGKAPTDDEDDEETSTSVFCVELDSKVSGANSFGFFDELMASTASSDGCGMRLS >Dexi9B01G0032940.1:cds pep primary_assembly:Fonio_CM05836:9B:35104405:35105381:-1 gene:Dexi9B01G0032940 transcript:Dexi9B01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDLILVSYVQDHGPANWRSVPANTGLMRCSKSCRLRWTNYLRPGIRRGGFSEQEDRLIVHLQALLGNRWAAIASYLPDRTDNDVKNYWNTHLKKKLLPPHHHPPPPHRAAPSPSPPSPSPPPNKGQWELRLQTDIDLARRALRHALSAPPRPPPLSTAGWAPPEVGKKSGSPAPPPPPVAVAVAESASGSTSECSVVSTTSSTAAAAAGGHLFGRAEEKAAAAAGGEVALSEIESWLLLEDGVGGLGGEHKPAHGDGGLLLDAALQYNFGF >Dexi4B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:4B:2405076:2405423:-1 gene:Dexi4B01G0003420 transcript:Dexi4B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERHGALTKLGFAALTCNSTLAVYRSWDDPRAVAFVAGAYGAIALLFHLLRRFERGEGDRGRTKAAVWALTTLLTAMFATRVAPLMPPPVAALVWLMAVATAGAGFWAFFLHR >Dexi4B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:4B:19996602:19997363:1 gene:Dexi4B01G0017660 transcript:Dexi4B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPAAAQFATVRPVAGDDDEEEEEARLWAELQQLPTPQRARSAVVTLEDEERGGAAASRKAVVDVGELGAGQRRALVDRLVGSVEHDNERFLRKLRDRIDRDVGMGLRDKDHALILPYLLP >Dexi3B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:3B:10041744:10043417:-1 gene:Dexi3B01G0014010 transcript:Dexi3B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCCTSELTDDDKLKDRRQTSASWRCPSCGHSLDSNMDMIGLPAGVKFDPSDQELIEHLESLVKEGGSRAHPLLDDFIPTIQGDDGICYTHPENLPGVTRDGLSKHIFHRPSKAYTTGTWKRRKIQSERGLHGSEDVGEARWHKTGKTRPVIVDGRQKGCKKILVLYINYGKQGKPEKTNWVMHQYHLGDQEEKDGELVVSKVFYQTQLRSATATVDQRAKDGEKVAEASEAIRTALPLCAANATSVTVAMVPQRQQKRQRQADGQCTFAPAQMSHEEF >Dexi5B01G0030160.1:cds pep primary_assembly:Fonio_CM05836:5B:31150175:31152976:-1 gene:Dexi5B01G0030160 transcript:Dexi5B01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEGAAHPNGQANGAVVEEKLDELRRQLGKADGDPLRIVGVGAGAWGSVFCALLQDAYGHLRDKAQVRIWRRPGRAVDRATAGHLFDVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTDTREVFGEIGRYWKERITAPIIISLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEGNRSVAPVEMCPILKALHRILIKRDRPADSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDVKA >Dexi2B01G0036400.1:cds pep primary_assembly:Fonio_CM05836:2B:43236932:43237245:-1 gene:Dexi2B01G0036400 transcript:Dexi2B01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCAPPRQTALDGPAPVAGAPGLGVRDVGLDVGPPGGDGGGRVERPGSGAGGDGEEGPVEEAEGEGSDGEEERALEDGHRS >Dexi3A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:3A:7723280:7724701:-1 gene:Dexi3A01G0010810 transcript:Dexi3A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTALTFAVRRQDPELVGPAAPTPRETKRLSDIDGDDVLRVHVPSAFFYRGGRRGGDDPVAVIRSALGEALVPYYPLAGRLREVDGRKLVVDCTGEGVLFVEADADVQLADLEAATGGVREPLPCMEQLLLDVQGSSGGILNCPLMIIQVTRLLCGGFVLALRMNHNMCDATGAGQFISAMAELARGLPSPTVAPAWSRELLDARNPPRPTLDNRTYDAVPPAPPSGDSDMVTRAFTFTRADIATIKQGLPPRLRDKQATTFEVVAAVIWRARTVALDPPAGDDDMMRLVVVANVRSVHELGIPAGYYGHACVFITAMATAGALRAGTLGDAVELVREAKAWLSAEYVRSTADLLVLRGWPNVSPTNLLVVSDCRHAGFHTVDLGWGLPVYGGPVHTHHPVPALLSTVKNGDGEDALAVPLTLPRPAMDRFASEIEMLVRSASG >Dexi4B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:4B:3304786:3308012:-1 gene:Dexi4B01G0004640 transcript:Dexi4B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRRPPEGVVEISGNILVFDHCFSMDLLEEDELMPHIGGILKQLLGRYSIDSFMVFNFEGGKKDNQIASIFSYYDMSVMGYPRNYEGCPLLTMEMIHHFLRSSESWLSLSQDNLLLIHSEHGGWPVLAFALAALLVYLKRYSDERKALEMVSRHAPDGLAELFSPIDPVPSQLRYLKTVPNFDGQGGCRPIFRIYGLDPLVPDDRATKVLFSIPKTSDVVQLYTQEECEIIKVNVNCPVQGDIVIECTSLDEDFEHEVMVFRAMFSTAFIEDNLLVLDRDQIDILWDTKHRFPEDFRVEAIFSDMDMSTTIRISELSSEEKDSLSKVDDAFSHLDWSSKNEQGTNHESDQKRLPNEHEGFDVIPLEETGTSNSAPEHSFLDSRSVHIEPAESSTTKFKDSEDYDADAHSLSEAEAPGSNPQGDQLFEDASAQDEPEVDGTENEPNSELLRDAEAGGAADAEWSDNNSDVFLSDTHSSAPSSPPKFDEDILEAGMVETRSQLTELKI >Dexi5A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:5A:4478468:4486323:-1 gene:Dexi5A01G0006020 transcript:Dexi5A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRASPLSLLSRLKPRPSALHLHRILPLSSTSASGSPSGPASQLRTLAAAAVTDAAATPPEEAAPAAAGTKVERLHPLQWPPRDALCGELGAGDAGRRVRLCGWVALRRAHAGLTFLTLRDRSGMVQVTTLPECPEVYNIVNKLRVESVVAVEGVVRPRPADAINADMKTGAIEVAADRVLVLNSVTRPLPFPVTTVDTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKLIRRYLEDEHEFVEIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLRLNEDLMRHVFQAVGDIKLPNPFPRLTYAEAMDRYGTDRPDLRFDWELKDVSDVFSESSFKVFADTLENGGIIKALCVPGGAMVFSNTDLKKGTVYTEASKAGAKGLPFLKVMENGDLEGIGPLVSSLKPEKKEQLVELLDAKAGDLILFALGEQSSANRILGRLRLFIAHKLEVIDTSAHSVLWVTDFPMFEWNDDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSNVQQRIFEIIGISPEQAEEKFGYLLESFDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTTAQCALTKAPSAVDPQQLKELAFPKTS >Dexi4A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:4A:17511128:17512711:-1 gene:Dexi4A01G0014990 transcript:Dexi4A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARALPLLLCILLCFHSPTPSSSSAAAAADFLRCLSASVPSHLLFTPSSPSFASVLSSSVRNPRLLTPTTARPLCIVTATNASHAQSSVLCGRRHGVRLRVRSGGHDYEGLSYRSVRPEEFAVLDLAGLRAVRVDVGAATAVWGEKYFGAVNFKRLAITKGKADPGDYFRNEQSIPPIVPRK >Dexi2A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:2A:24111293:24112252:1 gene:Dexi2A01G0014480 transcript:Dexi2A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPHVLIVDDACVDRLVASRVLESCNIRVTVVEGPMQALRFLAEEQDVQLILTDYSMPDMTGYDLLVEVKNSPRLNHIPVVITCTDYVDETVQMCLDGGAADFITKPIVVADVPRILSYIV >Dexi6B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:6B:18369897:18375927:-1 gene:Dexi6B01G0011250 transcript:Dexi6B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSKANDDGELVCVIGAGSFSCEATVSGLLQGTLGAKEKLSLLRADIMDPKSLRAAFSCHGIFHVASPVSNDPHADSCTYVTMNCVQELVTVARRGGRGRTPRGVHFLLGAVHMDPNRSPDAVMDDACWSDYDFCARTGVSQPHLRSLNVQNWYCCAKMKAEITATEEAERLGLHLAVVLPGMTIGMLQKELNVSNHHVLRYLMGVKRSYPNAVAAYVDVRDVARAHVLAYETPGAAGRYLCARVVLHRAQLVAMLRDLFPEYPPRERPYKFSNQRLKDLGLQFTPLKKSFMAPNDGEQEQLVCVTGAGSFIGSWVVRELLMRGYRVRGTARDPGDSKNAHLLELEGAKEKLSLLRADIMDPESLRAAFSGCHGVFHVASPVSNDPELVPVAVVGTRNVMSAAAAEGVSRVVLTSSYGAVHMDANRSPDAVMDETCWSDYDFCARTGNWYCCAKMMAEITATEEAARLGLQLAVVLPCMTMGPMLQKDLNLSNHHVLRYLMGVKRSYPNAVAAYVDVRDVARAHVLAYETPSAAGRYLCAGVVLHRAQLVGMLRDLFPEYPPRERPYKFSNQRLKDLGLEFTPLEKSLYEAVICMQKKGHLPIIEKQLRANL >Dexi8A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:8A:8984965:8985978:-1 gene:Dexi8A01G0007810 transcript:Dexi8A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSALLRSFSSRLSTRLSPSPAVVAPWPPVRSAYDSWLAAELDDLRADDPLTPCTSAAWLSRALALAVSAQSRLVSSSETSTTAAITDRKIIDECVDDTAELLDAIAGIRDRLEMLRSYATSTRVALHWLELDGHHGARCAAAAFAECDAVERRCGGGAELAKCVSNLRKLGERAVLQDAGDEELSGARAMALLAVGALGASLAFRPRRAVFSGVVSNRSGGKAVAQWECDLQEVQRQVREEYDRRRKDGVPCMAELDAMAAAVRAVRCVVAGGRRCTETVAAAARMRCDELEETVEVLEEKVGELHRELIAVRMVLLERAQRARGHELLRLPRI >Dexi5B01G0024290.1:cds pep primary_assembly:Fonio_CM05836:5B:26348728:26349746:-1 gene:Dexi5B01G0024290 transcript:Dexi5B01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSKLLGISLLFFARAAAATSGAIGNISIYWGQNSNEGGLAAPRSATGRHRGVKVLLIIGGAGGSYGLSSASDAQSVATYLWDNFLGGDNVSRPLGSAVLDGIDLDIENGNSSHYDDLAKNLISLYKGDKGGGRGTFLLTAAPQCPYPSASLGLGPALGTGLFDHVWVQFYNNPPCQYASGGDVSNLASAWKTWTHNLSSATVFLGLPASPDAAGSGYHRTYSSRVCCRLPVVNGSANYGGIMLWNRYYDKQSGYSANLLQLRKRIPSV >Dexi3A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:3A:17226637:17234824:1 gene:Dexi3A01G0021630 transcript:Dexi3A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAGASAGSDAPHAERSIPFSLRNYTIRNLNRCKDDAQRTACTRIMEEIVRKAIADGTILTKNWDTEPLLPLPELGVTEARTYEEGTRAVEDMDWDALTIKGTCQEIEKGYLRLTSAPEPSMVRPEDVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNGLTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHYNNKRDLLSSLASLSKEAKQDAAVKHALAVHAAVLSGNYVLFFKLYKKAPNLNSCLMDLYVERMRFEAMKCMSKSYRPTVPVEYVAQILGFLRTDSEGCTADGDDGLEECEKWLKAHGAVLSVDSSGELQIDMKASSATLYMPEPENAVAHGDATLAVDDFLARTS >Dexi3B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:3B:7821695:7823430:-1 gene:Dexi3B01G0011100 transcript:Dexi3B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVNGEWSDSEIRMAKSIIASHNANNIYANDMYKKHNHIVSDLRARFPRKTKHEVIKLYVDLVVKIIEPAQSGNQYVVTFNDSPVEDPTMNNINMLPAYNTNKEPEVIKMVEEVPQKKVTIPQKNVELNGRFWTTEEHRQFLRGLQTYGRGNWKNISTYFVTTKTPVQVSSHAQKYFRRLESISEKQRYSINDVGLYDAEPWAQNNSSSNELLVTFPSGAYNPNCYEAGSQLASINNVAQVWSPFCVGQGSSTQVKKTISGTKEIV >Dexi8A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:8A:3931693:3932383:-1 gene:Dexi8A01G0004520 transcript:Dexi8A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVR >Dexi2A01G0026160.1:cds pep primary_assembly:Fonio_CM05836:2A:37732562:37734859:1 gene:Dexi2A01G0026160 transcript:Dexi2A01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKAASFLSSLIGGGGGGEPAATVKSILIYPIKSCRGIAVPQAPITSTGFRWDRQWVVVNGKGRAYTQRVEPTLALVQVELPPEAFTEDWEPTADDHMVIIAPGMDPLNIPLAAECATVDDVSVWEWSGAAYDEGTEAAEWFSAYFGKPSRLVRFKAESETRPTDPDYAQGYKIMFTDCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCHPYSEDLWKSIKINNLTFQGVKLCNRCKVRCYNGILGTEPTETLLTFRSDEVLRPSHKNTRQVYFGQNLVCKESLSGKVKGRIIKVGDPVYVLQAFTSSNEAPA >Dexi9B01G0036900.1:cds pep primary_assembly:Fonio_CM05836:9B:38363379:38364240:1 gene:Dexi9B01G0036900 transcript:Dexi9B01G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAAPERGRRILVAVDEGDESVQALRWCLSTFAAAARGDTVILLYVRPPPPTYSVLDASGYVFADEVTAAIDRYSREVADAVVEKAQKLCTLYGKEEGESDHEMKVEVKVAVGDARTVICHMADKLGADILVMGSHGYGFFKRALLGSVSDYCLRNASCPVLVVKS >Dexi1B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:1B:948405:950818:-1 gene:Dexi1B01G0001190 transcript:Dexi1B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPPQPTMRDSSKFRVAFITSSTVIVVVRSPASSSPSPGKRSTSVDFAAESRRSSSAATLALSSCRFDQTRHVIAPATTRSSAVPTLIPATTPALRRSLPPPSTSPARSLSRDAHLATWMPQRKGFPSKSAREKVENWPPTGTSPERLLNETSKWERKLSLVSDDGMSPDRELWERSSDSRLVIPDSSGGMGPDRLFQLRSTMCSFVRFPSAAGTPPRPQRRREVGEGVAGEGEEGQGREVGKRRRYLAGELVPAEVERHHAPTLGEARRNGAREAIDAEVEVHQVPERSEVYAADVAGERVVPEVEHAETTAPRERRRELAGELVGRRRELLEFGERVEHVWELAGEGVEGEVEADDAAEVTQARRELAGDGVGVEAEDLQRRDGEEVDGESAGDAIAVEGELDERPALPEPDGEVAGEAVHGEPHDAHRRRLAAQGGGVDWSGEVVPRHVVVRQVAGEGEHRVGAVERVAGEVEDAHRRELDRRPGELAGEGVGADVDHLEAPETEERRWDRAAERVAREVQHTERGEVGQRRGHLAAERPVGEHHPDDDGGGGGAPPWRVVADHAGPVATRVVGRVGDRPAADAGVEAGGEAAQRGEVAGAAALRGGVLGPRQEDRAQQEQWCQCSAAPPGHASRQRQGDGW >Dexi5A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:5A:23890025:23892675:1 gene:Dexi5A01G0020010 transcript:Dexi5A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCGIIMFDVTSRLSYKNVPTWHRDLCRSMWKNRQVKAKQVTFHRKKNLENYEVSAKSNYNFEKPFLYLARKIAGDPNVHFVESPALVPPDVTIDLVAQKQHEAELIAAAAQPLPDDDDDLIE >Dexi2B01G0033380.1:cds pep primary_assembly:Fonio_CM05836:2B:40960454:40961771:-1 gene:Dexi2B01G0033380 transcript:Dexi2B01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDKKKLGALVVALVALLIAAGPSASLPILGSSGPSCTNFVGWAPVLSSVSSLVDFLICGFGGFIFTPPTPPPPPAATGSGKLSVGYYSNSNCSYDVEAAVREAVEDAIKTQGRRIGASLIRLFFHDAFVRRTGPPNANSLRGFEVIDAAKEATTKACGGRSDVVSCADILAFAARDASFFLSYGKINYTVPAGRFDGRESFAAETNQLPGPDSDLQELVEMFAAKELDVFDLVALSGAHGVGRVRCRFTNGNSAMDPTYANDLRTECSGNPDKLVNQTDRSPGGDPDMMDNQYFKNIAKFVLFKSDATLISNATTRKQVNDNAANPDKWYKDFEAAMVKMGNIGVMTSPVAGLAEIRDVCWRVNGIY >Dexi7B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:7B:20786145:20790910:1 gene:Dexi7B01G0014620 transcript:Dexi7B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFHRPATVLIPSFPLRWFSVTRGRRSGMSTARLLGISILCLLLVRNSESISDEVSALLAFKIAIYDDPLSKLSDWNSKDKDPCSWSGVGCSALNSRVVTLELSNSSLQGFLAPEIGSLRSLQKLVLDHNTFMGSIPKDISMLKNLIELNLSTNQLAGPIPSEIGDMTKISKIDLHANRLDGTIPPELGKLGSLLELRLSNNNLTGIIPASNDSNIDSEQVHFIIITGEINQDTSKDNHTDENEQKGLPEPLWLLILEVIAAVSFLSLLTLCTITGLRRCRARSSGSENSVPWTRAVSWKENTVISIDDDLLINVPKISRQELAEACEDFSNIIGSSHETVVYKGTLKDGREIAVVSLSVSVIEMARLSHENVAKMVGYCKESDPFSRMLVFQYPPNGTLYEHLHDSEGWQVSWPRRMKLALAIARVLRYLHTELQPPFAVAALTSSSIYLTEDFSPKIIDFERWRYLVTKPELGSVNGGSINNITDSRHKRFMDVQANTFAFGVILLELISGKASVSSKDTGDLVDWARKYLEQPEEFSKLVDPRLQRQSVNQESLGIVCNVVNLCIDPEPSRRPSMSMIAAILEEGIEMSAATLLRDSSLAWAEAELAIS >Dexi9A01G0049900.1:cds pep primary_assembly:Fonio_CM05836:9A:52376990:52378829:1 gene:Dexi9A01G0049900 transcript:Dexi9A01G0049900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDATSSHPSRYVKLTKDQDAPDEDIRPGELNQPVHVPQNSPCDPCMVHCCLHWCANCQEHRERCGRLAENSAVPMTVVNPPPVQEMTMPDNRASVVPENGEANTEHEAAKSEHDDIEVIPL >Dexi2B01G0024580.1:cds pep primary_assembly:Fonio_CM05836:2B:33966493:33968896:-1 gene:Dexi2B01G0024580 transcript:Dexi2B01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSAVQWWEEWQLRILVLSSLTVQWLLFVSALWRWRPIPGWLRSLIWLAYLGSDALAIYSLATLFNRRRKQEDLANAASTALEATWVPVLLIHLGGQDGITAYNIEDNELWRRHVLTAVSQITLGIYVFCKSWPVFNMNHKTRNKKVAILPKAIIILLATKMPCTEINMSHRTMAVHDHKRKRDAASYWMFNDHRGQWTLERNKCNYLAWSLNCPFDESVLLWHVATDLCFYSGKFAGHRCDFSEVKSAWQQLLEEMASDKGMSLPSPRSSSQCGEFTSCKAVQCRQMSNYMMYLLFVNPEMLLPGSRRNLFTTAYGELKGILEDKKPAATMEDSAGTRQGNKPPVEEKDVTRSIITKLKSTQGSQQEGFLHDAWSLAQGLLDLGNEKKMWEVIEGVWVEMLCFSAGRCRGYLHAKSLGTGGELLTYIWLPLSHMGMETLAERLQRTELPSSGGNTRAAAGEGIV >Dexi5A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:5A:3723670:3724713:1 gene:Dexi5A01G0004860 transcript:Dexi5A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKKPAIATLSPFLLLVLLLLAASGATAARPPRQLSSAGDDDDDAGATATAAAAAAAPAATSPAVAPAAADAPAAAGAIPAAAGAAVAASGGAAAAAVAPVAASTIPAVGAGVADGHGMVFFMHDILGGTNPSARIVAGIVDNAAVTAQLPFARPNGAVLPLNSGVNVNSGAASAVDNNNIPFLTGLGGGTNAVKSFNNNNGNNGNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPELGSAAVGRAQGFYIASSEEGVSQTVAVTAMFKEGGFDDTISFFGVHRTADSESHLAVVGGTGKFVGAKGFAKVAVVRPGGVAASGALLETDGVETVLQFTVFLV >Dexi1A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:1A:2953783:2958517:1 gene:Dexi1A01G0004020 transcript:Dexi1A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVLCSPAVSPGGASPSSPSPPPTPPEGPPVLPALPPPPPLGSSRPERLADRLEDLARARRRSYRRRPRNTSPGTGAAGGGGRATPPRETVDSPPPPPPPPPLPPPPPLRSSRPELAVVAWRDGDGAPPAAAAGNVRRSASPGAGAEGGGIGCRRRRLSPPPRSSSPSKRLRRGSSWSRCRTSKGGDSRSRSPDRLHSGYGATTEGPDSTASLYVLNVSRLGLMTYKQFTQVLEDDVSPAQVGDRYQEYRTEYITTQKRAYFDLNKDEDWLKDMYHPTKLLPIIERRNNVCKIVAMNLLLDLQNGTLDLGPGVTAYPPTKTGDGNEGSFEDDAGYGEMKRKHGKGPQKEIEPLSVAPKAHPVSSQYRRIRADIECTLALVKKLDSEKNIVGNILLTSNHGKSTVVIVRGLNTVKGLEGVELLDTLLTYLWRVHGVDYYGMSEMKYAKGFRHVRAEKKSVSMPENINAADWEKKLDSFWQERLRNGEDPLVVLTAKDKTDAAIVEVLEPYVIKMQDEKYVWTYGCGAKGCEKVFHAPEYVHKHLRLKHPDFVSVLASRVENDIYFQNYMNDPDAPGGKPVMQQKEPDRIRRRLDEQMCGAGGHGSDASLLPRPSLLLIPVSGAGPYGPFIPAPPEMAMQMMQKGLPGPGAARHMKPSVLGPMLPTYPPFPLDRRIYRSYKDLDAPKEEIDALDLRRL >Dexi2B01G0021550.1:cds pep primary_assembly:Fonio_CM05836:2B:31318853:31319248:-1 gene:Dexi2B01G0021550 transcript:Dexi2B01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFKMQNRSIEVFKMDTANYRLAAVPSSCLSVDAQQFPSVEANSIYYVLDDDEPTFDIICCIGVYNLKDEKEVLAGGAIDAFSPDALSLLASPPFTAVQLLSFYTFEVRGSELWVNMS >Dexi3B01G0038330.1:cds pep primary_assembly:Fonio_CM05836:3B:41110963:41115320:-1 gene:Dexi3B01G0038330 transcript:Dexi3B01G0038330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQQQGASAAAARRMATLASHLRPHPASSHPQMEEVSLLSGSNCRAKGAAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNAPGVTADISHMNTGAVVRGFLGKSQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPREVNVPVIGGHAGVTILPLLSQVNPACSFTPEEVNHLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGMRGDAGIVECSYVASQVTELPFFASKVRLGRSGIEEILPLGSLNEFERSAVYTFETC >Dexi8B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:8B:3922419:3922925:-1 gene:Dexi8B01G0004400 transcript:Dexi8B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLAVPATAAPSGQKELHLRVYWQDRASGNATVVTVAKAASTNTSTTRFSAVNVMDDALTVGQNMNTSKIIGHAQGIYVSDSIETSSVMMAMNFVFIEGPYKGGSIAIFGPNFIEREVREMSIIGGTGVFRYARGYVQARSVWLNPSTADATIKYDIFVRIDVP >Dexi3A01G0024510.1:cds pep primary_assembly:Fonio_CM05836:3A:20170727:20173992:-1 gene:Dexi3A01G0024510 transcript:Dexi3A01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVVLLLVALLCLSGGGGVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESIFPPYGSTFFGGPAGRFCDGRLIIDFLMEALDMPLLNAYLDSLGTPSFRTGVNFAQAGCSITPATPTSVSPFSFGLQIKQFFAFKDKVTKLHSKGFEHATEACCGYGGPPLNYDGNVPCGQTVSLNGKLVTAKGCSDSTEFVNWDGIHYTEAANFHIASQILTGKYADPPFVDKMPFVLKPRF >Dexi8A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:8A:10952851:10953299:1 gene:Dexi8A01G0008840 transcript:Dexi8A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVGGMLASAMLKLVTQQIGSIIGGRLKLQWDFSDDLRNMEMTLESMEALLQDAERRSIHDAAVRLWLKRLTDAMYGISDILGDLETARNLPRWKIVLPVW >Dexi3B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:3B:2604628:2606415:1 gene:Dexi3B01G0003810 transcript:Dexi3B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFSTATLPVLIHLLRGASDLASVAATHAKLFKAGIASTLASSNHLLAAYCRCGAMSSARDLFDGMREPDVVFWTTLMSGYAASGRSREALSLLRAMELSGVQPNVVTLSTAASACAHLADAGLGRQVHARTEVAGWSGNAVFATALVDMYGKAGRVEDARAVFDGMAASERNAVSWGAMLAAYTQNALGNEAIQLFAELRTNGRGLAPNQFMLSSVVSACAGVARLGIGKCVHGEALRLGQGNDEVIAVALVDMYSKCMRRHGIRKDPGCSWIEVKDTPYVFYAGAISCAGARTDEVLTLLDELECKMRERGYKGRLGRARVSDGHEDDGDEGKGVMVGVHSEILALGFGLLVLPKGMTIRVMKNLRMCCDCHEAFKLISVIVEREFVVRDLNRFHHFKMGSCSCNDYW >Dexi2A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:2A:8182675:8184087:-1 gene:Dexi2A01G0007880 transcript:Dexi2A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAWRPRRRKASPSAADGDAADNGAEGGRAPPKGQAAAAEGGGGFFCCYLLRSLCPRSKSRTYIGFTVNPRRRIRQHNGEIASGAWRTRRGRPWEMVLCIYGFPTNVAALQFEWAWQHPIESLAVRKAAVEFKSLGGIGNKVKLAYTMLNLPSWENLNLTVNFFSSKNTKFTAGCPALPSQMKTVVCAMEDLQCSTEGPSSEEDDFSQEEPQEQQELSDSPLRDEHSEHYWQRPSSDEDDHSEQQRLSSVEAQPMGGLTGIAGSDVGEDSTDEFAPRKWSEILDTSTELDEPRTSPRCSLSLSGEDCGTAMEDELRGLSPMLTFGAGSDDSDHGYILHGTDVVDLITPTPVGRLRRRGCVDSICPKIIDLTSSPVVIQL >Dexi5B01G0038380.1:cds pep primary_assembly:Fonio_CM05836:5B:37593459:37593843:1 gene:Dexi5B01G0038380 transcript:Dexi5B01G0038380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQVTEEAALAVIELYPTPSFLAQAYYALDGDPPAQQAMLESKNKKINAGASRNIFELFCGDGRNTQN >Dexi5B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:5B:6386382:6389617:-1 gene:Dexi5B01G0009360 transcript:Dexi5B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSLLRSSSSALRRAGAPSPAVPRCGSPAGLLLARFAASSAAQPAPPSAAPSSSPAAAAGKGKGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITISVIYEQVLELLQVSVSRATLGRIINVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFSGPGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARDRVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGVSHLLWVINLLLLLILEVFKSISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIVAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Dexi7A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:7A:21124087:21127827:-1 gene:Dexi7A01G0010330 transcript:Dexi7A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAMSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHPVKKDGVGGKTEQDNHEDVDSLPSQELKKLANGNNKVPGTLDDYKRLVVPIVDEYFSTGDVELAASELRGLGSNQFQHYFVKKLISMAMDRHDKEKEMASVLLSSLYADLLSSYIISEGFMMLLESVEDLTVDIPDAVDVLAIFIARAVVDEILPPVFLARARALLPEFSKGIQVLQVVEKSYLSAPHHAELVERKWGGSTYFTVEEAKKRIQGILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTFGMENMSSQPLILKLLKEAAAGCLISSNQISKGFSRLAESVDDLSLDIPSAKDLFDKLVSTAISEGWLDASFSKSAASEEEMQATIAEKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEYNPIFLKKLVTLAMDRKNREKEMASVLLSSLSLELFSTEDIIKGFVMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEIGSKLRPSSSGNQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKITKLLEEYNTGGDLAEACRCIRDLGMPFFNHEVVKKALVMAMEKQNDASILALLQECFGEGLITINQMTKGFSRVKEGLDDLVLDIPNAQEKFGGYVELATERGWLLPTFASVP >DexiUA01G0016350.1:cds pep primary_assembly:Fonio_CM05836:UA:34765846:34768442:1 gene:DexiUA01G0016350 transcript:DexiUA01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKRSVEKTRALAPPPAPAPRRRYTYAAEAGGRKVKWVAEDKPAGGRAYKWEAELKTPNDDGFDRKWKWESKASAAGTTKVKWAKEIKGKGWLEPWSNSYSVEETFGDEDQDKEEKKAANNKAIKVEKEEKKDKHKKKGNVEIVEIEDNSAGCVAIRKAFEMSHAKGKKKELSPQDAAALIQLSYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRRRIAHESEERQRFAEKIIVLLLTVDALEGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGGAIPREMRDVSFTM >Dexi6A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:6A:18340847:18342111:-1 gene:Dexi6A01G0012100 transcript:Dexi6A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFSRLQTLTRTLLLAVTAAAVLLPQSSSSQDLQIGLPGCPTSCGDVSVPYPFAPGCSLAGFNLTCDTTHTPPRLLVGNGTLHVTGVSLDDYTVRVLGPAMDFSSVLRLKEGWSTIGTWGGTPWGLSYAGPYVLSETHNEFILWGCNVFAEVRLASAGQLITSCGSVCEDPDSNGVSECALHYNGSGHCDRCYGVSCCQMPVPIASMSYFVKLTSMLDSPEDFAGVIAEEGWLEPSVAAEAARTLI >Dexi7A01G0022780.1:cds pep primary_assembly:Fonio_CM05836:7A:30933792:30940259:-1 gene:Dexi7A01G0022780 transcript:Dexi7A01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARRAQPPLLNGAGGDDGDDEREEEEDGDEEPVEEDEAEEEEEPRLKYQRLGGSVPAILSTDAAASIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVAISSLFSDEKLKFEYHRPMKAIALDPNYSRNYRRFATGGLAGQVLVLTKKTWGGYHKKVLRDGEGPIHCMKWRADLLAWANDAGVKVHDMRTDKGIAFIERPKGIPRPEFLLPHLVWQDDTVLVIGWGTSVKIAAIRTDLSQGLNGIQRSIAAVSSEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPDEEEKEKKISTSVTSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHIAWLLQHGCHEKALAAVEAGQGRTELLDEVREVVAKICGGSTSKGGTSCAHLGFEKLQDLFASVKVGSRYLDYLIIERKYAEAAQRCPKLLRGSPSAWERWVFHFAHLRQLPVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKNWPPKLYSASPVISAIEPQLNSSSMTDTLKEVLAELYVINSQYEKALSLYAELLKPEVFEFIEKYNLHDAIRDQVELYADYEPRMLLPFLRTSQHYRLDKAYEIFAQRELVREQVFILGRMGNAKEALSTIINKLENIEEAVEFVMEQDDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVHGNKVDDGSSRASERSSTVRSLDIKSRTRCGARCCLCFDPLPIQDISVIVFYCCHAYHLSCLEGGLDLMRSNSNQDSDDGSDDEDGSPSGESRMRCVLCTTAAA >Dexi9B01G0024170.1:cds pep primary_assembly:Fonio_CM05836:9B:20031161:20035043:1 gene:Dexi9B01G0024170 transcript:Dexi9B01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRMLAVASKTRLSLAMPFLLTTSSATATTVTTNPNPPFPSPRCQNPAPNLRLPPTPARRLSIFPAAAPGARFLPIPPRRGMASLAASAAAAAAADVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAIAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSVSFIPAEDLPDDLSREFDVIVDAMFGFSFHGTPRPPFDDLIQRLVSLSVIGNSDKRPPIVSVDIPSGWHVEEGDVDGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPAILNKYGIKLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPYDQFRRWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGSRKAHDLSENPNAALLFYWNEMNRQVRVEGSVVKVPKEESDKYFHSRPRGSQLGAIVSKQSTVIAGREVLQEAYKELEQKYSDG >Dexi9B01G0026650.1:cds pep primary_assembly:Fonio_CM05836:9B:28823676:28826155:1 gene:Dexi9B01G0026650 transcript:Dexi9B01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSNARKRQGGKPSAAADPAPDNANKRKQAGAGGEDRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTYRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIHYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHEEWLANADRWVAGFLEKFEQHCHNMETAIKDRIQERLGRQRSKGMYAGLVQQPVVA >DexiUA01G0025290.1:cds pep primary_assembly:Fonio_CM05836:UA:53207834:53209425:1 gene:DexiUA01G0025290 transcript:DexiUA01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVRYLLGSPGANGFGSKSTAEEVTAACGGDLGAVTAIITGATSGIGAETARVLAKRGARVVIPARSVKAAEDMRARIRAECPDADVLVLPLDLSSLASVRAFAHRFLELGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAASTGVQGRIVNVSSSVHGWFAGDWAQYLHLVTSRKIGYDATQAYAVSKLANVLHTRELAARLQEMGADVTVNCVHPGIVRTRLNRDREGLLTDLVFLLLSKLLKTIPQAAATTCYVAAHPRVAGMSGRYFADCNEALPSPAATSRHEAARLWRISEAMINAHSHDDPLLFPAAQAGAGASPRPTW >Dexi5B01G0032940.1:cds pep primary_assembly:Fonio_CM05836:5B:33465153:33465817:-1 gene:Dexi5B01G0032940 transcript:Dexi5B01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMYKQQLRDDAFGTLGGGHCGDQPRLVGGGASSSSSSTVLAPPLAQAHGGGEPRQLFEVLVGGASLSHGAGGGKGGGAVGDLGALVRWMRELAADPVAPLLAPSEHRPRKRHVLALRRARYLRLEDVANAEELPSFSKVRRMVMTSVARW >Dexi2A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:2A:5343296:5345283:-1 gene:Dexi2A01G0005570 transcript:Dexi2A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSERSAGAVASLPEDPLVEILSRVPVKSIRRFDCVSKAWRDLIADPLHRKKLPQTLEGFLFYEDSEDGGSKDRPRLYVHFVDVLGRSVRPLDPCFSFLTELPGNENIMLLHYCNGLFLFARPCNSDTSRLS >Dexi3B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:3B:12601709:12602509:1 gene:Dexi3B01G0017170 transcript:Dexi3B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASGGRHQHHHRHRHGRKSARLTLVPAAPGASFSDRARDDRHRHAYIRSTLAASRRRAAEVEVAVGASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGAAAAAGSSSSPAASSEREFRPADSKSWDPIACSSDTCTSYVPFSLANCSTPASPCAYDYRSPAGASGRSKCWLVGGWPFTALVVPPPAGRSAGLAAAERGI >Dexi6B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:6B:8523178:8525312:1 gene:Dexi6B01G0007340 transcript:Dexi6B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTGGDIKKSGELGKMFDLHRKSGPLGNQPSRNTSFGGAASNSGPVSNAGGRSNYSGSISSAVPGTGGSSRTKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPITSGQMNSSGGQRKVSGPLDSSVSMKMRTASFAHNPAVTNLNAEDGYSIKGSIPTAIIWLVALLFLVGFVAGGFILAAIHNPILLIVVVVIFGFVAALVAWNICWGTKGVTGFVRHYPDADLRTAKDGEYVKVTGRHAVDFYISDFQSGLRALVKTGFGARVTPYVDESVVIDINPDNKDMSPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPAEPISTGCQWAKCMLPTSLDGLVLRCEDASDMDVIPV >Dexi3A01G0030020.1:cds pep primary_assembly:Fonio_CM05836:3A:33158639:33160630:-1 gene:Dexi3A01G0030020 transcript:Dexi3A01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNGWETQTLVLVSLGWQVVLLLLAGIRRQEGQRGRKVILWLVYQFADSTAIYTIGHLALTGAAEQRQLVAFWAPFLLLHLGGPDNITAYSLQDNELWLRHLQNLIIQILGVGYVLYNNIAGNNLILLAAILMSVVGVVKYAERTHALRCSNLDHIRSSRKDLIAKHHQFHVLDQKFDEEAGDEFYVRRAHSLFYVCKHAIVDSWIEKDPENRNSLEILQNLSKEDYRAVWTLMEVELSLMYDLLYTKAGVIHTWQGYCIRVLSPLAVSASVSVDRTRVKLDICLPLRYKVELASECSSMHRKMVSAATFYPDDHRKRWKKLIRKEVMLGFKEWWIGYYYSKTIDIPDYLKKKLFDYIHDGFGGDDIENIERSLNAQGLIRKKWGQETVLKEFREDDGTYKKEVEKTVNYLFGVEFQECIIVWHIGTDVFLNQSSGVVDATDAADLINAIRMLSNYLFFLLVDRPYMLPGLPQTSLYLRTRENLENMWNENSQSRDELATILYDKNPSYSDEVPRLSNAIRVTKLLRDLQKRKGSKLATLGVLLNLWMDILMHAANRCSRESHAKKLSDGGELITALWLMINYLHHGDYALKPKDV >Dexi5B01G0021920.1:cds pep primary_assembly:Fonio_CM05836:5B:24216305:24216696:1 gene:Dexi5B01G0021920 transcript:Dexi5B01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQSLFFVGEIGGNDYNIPLTSRVPFETIRVFTPSVIAKISSTINELIGIGAKTLVVPGNLPIGCIPEYLTMFKSDKHEDYEPQTGCLSTTTSFS >Dexi9B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:9B:10450198:10451989:-1 gene:Dexi9B01G0015370 transcript:Dexi9B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQCQSLPGIGCSSIALGARARVQQCLAAASHAPLLRANGGRFPASVKAGNAMGLSLGRRRDLRVVVAEAAAAKVTQLSPAAGGVSISDVLWPSAGAFLAMAVLGKLDQVVALKGVSLTIAPLGAVCCVLFSAPSSPAAKKYNMFVAQIGCAAIGVLALSLFGPGWLARGAALSASIAFMTITGASHPPGRELCSLLQACPFYLLTAQNFTICNFARGGGLPKKELQVLNQAV >Dexi2A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:2A:32018442:32020073:-1 gene:Dexi2A01G0019960 transcript:Dexi2A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTRTTLFLVTVFAFLLGTSLATFAHLPEDDVSQEAQLSKFLSSRALKRLRARPTADEPEESDPWADPDTFAHLPELCKGPPSGSKEADRVLGLPGQPPRVNFRQYSGYVTVNQAHDRELFYYFVESPYDAESKPLILWLNGGPGCSSLGFGAMKELGPFRSPAGVGFSFSRNTTDYDTVGDQRTAEDAYVFLAKWLERFPEYKGRDFYIAGESYGGHYVPELAAVIMYMNHFPGVLTPINLQGIFFGNPLLDDYLNGKGNLEFLWSHGVISDEAWANILENCTFTESDDWQCFVAAHKFQKGNIDRYNIYAPVCLQSSSGTHYFSSSHSMPGYDPCSYHYIEPYLNNHAVKKALHARLETKWTGCK >Dexi9A01G0045790.1:cds pep primary_assembly:Fonio_CM05836:9A:49319118:49321796:-1 gene:Dexi9A01G0045790 transcript:Dexi9A01G0045790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSSGSAKALPCFHASLLAPQTQTTVVASASPRRASVRMRASGAAPAEADLRKTVWVWTENRQVMTAAVERGWSTFLFGSKDLGKDWSSTARIHPLFIDGPEILDGENQKVIPAENIVAAFQGCRGTVLAVSTNSTEAQVFLEALEQGLNGVVLKVDDTDDIIKLKVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVSVPGGKTSYLSELRSGKEVIVVDQNGLWRTAIVGRVKIESRPLILVEAKDNSGDDTYSIFLQNAETVALITPDKGTGRRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >Dexi4A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:4A:2583885:2585453:1 gene:Dexi4A01G0003620 transcript:Dexi4A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPFHLVIASRTLVQASRRPPGFPAVLAVSNLDLILGPFLIFLVSIYPAPAAGLDAVLAAVRGALPGYLSRFFPFAGRIARDPDTMIPEVQCNNAGAEFVVADAAAPLAAVDFSEVDRSLGLIQIPFDASLAMSIQVVRFACGGFALTLGTTHLLADGRAFTVLLNAFAEMVRDGGLSQEPLLDHSLFKPRSPPRYGASLDGEFARFTPETMVNPLLAAAMRRRLYRIDVADLAALQAAAASPPGPGGGGRRRASRFVALCAHLWKLLARAVGDADPSCRMAWIVDGRKQLEPSEGALDRYIGNVVTYTSREVTVAELLRAPLPAVAAMVRAAIAGVMTATRFQELTDWMEERKAAFRDGGKWTEEVNLGLGSPALVMSGLLPFAIDGDLGFGKPRLVMPWVRHGRLGSAAVTVVPSPSGDGSWFVGATRMWPRLMEVVESDPLLNMKPAGNLGLAAPPCSPI >Dexi9A01G0043640.1:cds pep primary_assembly:Fonio_CM05836:9A:47025359:47036096:1 gene:Dexi9A01G0043640 transcript:Dexi9A01G0043640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAAATAHPDPAHPARPPLTPALDKPNSAAARRNSRSNKPVSSRYLTGGGATASPASSTSSSTSSSSSSSSRRSLSAQRTRASTPPPQHSTSPTTTASVAAAAAAATATTTTMRSLSVSFQGESFFYKTSRAPRASSPSSPAARRGPTPERRKSVSSVPEAENARPQGRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPSAAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSGRRSFSDSPMSPRLPGRSPSPCRGSRGVASPSRGRGGEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDASLLVQSFTAEMSYLEDWSHIERHHSSALSAAIKALKASTLRLPVVDGAKADVQGVKEAVNSAVDVMHTMTSSICNLLSKVEGTSSVVSELAKLATQEQMLLDQSKDLLSTVAAIHDFLFVPEKDGNRVLEILRWPMAGYDDSDPFRGSSPCTRHPASRGVGPGNVCARGRRGQRVITVRAHILDIGRQAPAPVASSLSAHRRRPPPPASTSERRTRLRRHHVRSASLSELQRCTVAGDLRGPGAIAISSGPASQATALGSLRATAHSTRELAMRAKGPKRDQRPGRMQTRMQLRTSGTSFPAAGVG >Dexi4A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:4A:3959220:3959789:-1 gene:Dexi4A01G0005450 transcript:Dexi4A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKERGGECATPEASRVEQTDHNGEDVGRTREGHRRRWPWRSVLEEEAVGIDNFCCGEKRTARTESLAPCRAPAISPSQTHERRICVRGPHRSSSRIFALSSLAGAAARAASSEPNKRYLGMLRTAGGGGTETLPRPRSCSRRRGGMLEARTRLPQRRGSRKHGHWPGVSVSAAEGRRRGGSELRQQ >Dexi3B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:3B:8968629:8977462:1 gene:Dexi3B01G0012810 transcript:Dexi3B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALERGAAMGSLGGGAGAMVGLPALDVALAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRQKVRGIMEKEIAPIMAQYWEKAEFPFHAIPKLATLGLAAGITKGYGCPGLSLTANAISTAEVARVDASCSTFILVHASLVMPTIDLCGSEAQKQKYLPSLAQFKTLGCWFLFDDLIPIRLPLYTNLSLTRYLKERNQFGAPLAAFQLKQEKLVRMLGNIQAMVLVGWRLCKLYESGKMTRGQSALGKAWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYEINSLSESATSPPAQLALYDRWGPRGGSRTSKALSLLCSPRPSKSFALRIVSCRSSSSYSSSPPTAPPRSREPGGSSPDPRGEVRGGRSGAAMGSLGVLSLLLVLLAAGSGGAGAGGKVGLPALDVALAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRGIMEKEIAPIMTEYWEKAEFPFHAIPNLATLCLAGGTIKGYGCPGLSLTASAIAIAEVARVDASCSTFILVHSSLAMSTIVALCGSEAQKQKYLPSLAQFKSVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNAETNQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIVLNKVFVPEEDRLTGSRYVSHYGGMATNRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLIGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGISSFKPAVLTKSRL >Dexi9A01G0039520.1:cds pep primary_assembly:Fonio_CM05836:9A:43449065:43457153:-1 gene:Dexi9A01G0039520 transcript:Dexi9A01G0039520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPNPSRRSWVGPAPMPFLTPRPERRHLEMRWDNGGSRSAARRSGVGAVAGNGGRGGRSEMDREVNVQVVLRCRPLSEDEQRANVQSVISCNDQKREEVTVLHSLFKEADKKFTFDKVFGPKSQQRSIYDHAVAPMVTFLELYNEDITDLLASEDRSKFAEDRHKRHITLMEDGKGSRSHAVFSINIHVKETVGNEELMKFGRLNLVDLAGSENIARSGVKEAMREKLEHLELSLEKQNKEVEKFRGLYLEEQECRLNFEFQNKDLNSTDQLEKRIAQAKDIYVSGVQCMKELANTLRQRSVMDSEQMRLNISTHAIAIDNFLAMMVSEAEQVLDDILKSTSELKELLAFSSKLQHAGLKRSLASAQGISKTSIDFFKDIRIHVSRLIKITEQNQIQRSSKLLEFENEFKEICVNDEQAALDKIAAILSGLTAKKTTMVSTYVGQLNEIYSEEQKHMNLEMSNLQQVSDNGKDESVAYVGEVESRFQEDMSLHARLNGQMEGILEQCLKNGEHSVSYWSHTQSSLHDLCSVVDSQMRNYRREEDDQLFRYQLKREGRGKYKMQSSGKGQGFVGQDHVLSTSDTEGRRVLEEELSWARLERQRVLTLSAEADEAIWSLAELARRTMQERDEARNQARMLLSELHARNAQMMMLPVTPSPRVPIVRPDAFAATGYSPLCRMAMQGQHYAQTTGTAGHCVASSSGFGHMSLASSSLHGFASSSQEDHFDPDMFLVDVDESPQHVVSATAGSSNGGSSGTNGQVAEHEQKPFQLRGKSAQAAVLRGTAGHGHAS >Dexi2A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:2A:6110811:6117185:1 gene:Dexi2A01G0006430 transcript:Dexi2A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGRGGEERETRLAHCTTPPGSTGHAPYPRTCRRRASHFSSNKRCPPRLKLFVEWPSVLVQGRTGPWRLAEALPSEGGEEHPGRDERRPTCAWGSIVTSRLLDDQSVSEMTRPAIHLTALAVAKNDGGLPMDVLFEVLLRLPASLLCRLHLVCRSWRSLTSDPVFATAHASRHRPLLSGYHIGSHGCEVRVVDLSGNVVERIPVKPDPIGYCNTQLNLVCVSEVASHRISRSTLVNIATGEVATLIPDVVHGCDAANAVVSPLLLGHVPSTGERKVFYSCLCCATTHVDGRVVQTCGVATLGGGGISVGAWRATASPPAFVASGIQDRVVTGGFAFFLLSQWYNQRNNHGDLEPDAIAVLDLATEEWRPAMLRGPLSSRLAGDDEKLRYLKHRDDVGPHDAAAAANRRSFRLISHRSPLPQRVCPHGSRCREGPVSPVPDTVPTDGGHPKDPSLSHTPRDSVKLLDGWTPATISKSRPF >DexiUA01G0003880.1:cds pep primary_assembly:Fonio_CM05836:UA:7935641:7935924:1 gene:DexiUA01G0003880 transcript:DexiUA01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPVDLQPIIFRKEHKRSYFAGQFFKFVQENKLCEGDICVFELMKGAKRVTMTVHVIRKVHDRFVLVR >Dexi9B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:9B:4909527:4910419:-1 gene:Dexi9B01G0007960 transcript:Dexi9B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFHGKNPKETSRLKKLLKLALSRLAIVRRPRLTRRSICRNDVGQLLSLGHLHRAILRAEQVIEEDNMLQAFDIIELHCNRLIEHAKQLDKPRECSEDTMEAAAGIMFAARWCGELPELLLARSILEGKFGSDFAATAKEGTSIVDPMLVWKLSGDKTNMELKKKVTKEIAAENNISEFREAIDQDDTGSIPYC >Dexi9B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:9B:1791779:1793044:-1 gene:Dexi9B01G0003140 transcript:Dexi9B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASREADRLSALPDDLLVDIVERLSDLRTTIRISTLSRRWARIPRLLPVLEIDVYDVMTRADSEFTTCSAEAAAAAHAATARWLLMDQPTGGGRKKLFLAFYLIDSFLQSLGHAVGDAVERGHTECLEFTIWTQVSAASASDLDLDLYGKKFMDACPVAFSWLTSLTLHNLCFGADDARRLLDAAIRLETLCLSHCASQTEVLEIDAPRSQLMVLELLSCYFEKVDLIRVPQLGQVNCDDWSGENNPPLRFGYVPRLHNVSLASSWQWQEPFALSECLSNTRNLSIVHLSFRGAKIWVKPEEPNQLFPVFSNLRDMYLYDISHESELDWTLYVLHAAPSLRNLYIKMISLMP >Dexi3A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:3A:7929609:7930082:-1 gene:Dexi3A01G0011140 transcript:Dexi3A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTHHQPIPNPDLPSPTPPPPTASSEEEGSGDSESESGTPVSLVLLIGAHPAGYGDEDDAESCSGGGNGVCGGATSAAVDDRNGGDDAGAGGEEDEVDSWMAVPWWRRGVVEDAVAQDGGGGCCAPAADGAAVADVGGHAAESDRLFWEACIAHGY >Dexi9B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:9B:2374055:2374831:1 gene:Dexi9B01G0004120 transcript:Dexi9B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGIVSLGDEFIVAGLRLIRTFEGMDRAALAEGRRSVDVTGLKEVELAELFRYSSATDLCELKTLLAVPYDGDALKPFQWCTDQVLAADGSTMYWVDLHRGLLSCDVAADNPELSFIRLPEIEVWKDILNHSRILPELNRTVGVCKGLVKFVDVDNGRFETRRRTNRFTVTTWVLNKIAVPAKWAKVSVLQVNDELWTLPNFRDSPLPQSAPLCPMVSSKDVRLFHFILEEVQYLNPYRPPAATAPVWPYPSPPVI >Dexi7A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:7A:28625553:28626594:1 gene:Dexi7A01G0019410 transcript:Dexi7A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSLHRRATARDGATRRRPKHDFEVSGYSRLKALGVGQFVSSATFTAGGRDWAVRAYRKQGTARFTLRRLRRREQPPAAMTALADDLGRLLATATGADVGGRAFPAHRAVLAARSPVFMAELFGGMVEKDARGIKVAGVRPEVFGMLLRVIYTESLPGDGEGSPSHWSSASECFYICGGGSL >Dexi8A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:8A:3914851:3916208:-1 gene:Dexi8A01G0004490 transcript:Dexi8A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGKKPRELGGSLPVPNVQDLAARPAAELTPAILHRYLRGADAPVLSSNTTIVHDSSSSSSSSVPVVDLARLLDPAHADEEAGRLRAACEDWGFFHVANHGVTGHVINDVKDDIEAFFNLPLAEKNAMAAQGSSGIEGYGQAFVVSEEQKLDWADMLFLATLPPEYRSLNLWPSKPTTFRTSLERYSVEVQRVAAELLRAMARNLGVAEEKMTSIAAAQTVRINYYPPCPQAHDQVLGLSPHSDAVGLTLLLQVSPVPGLQIRREGKWIPVDPVPGALVANVGDVVEMLTNGRYKSIEHRAVVNARHERVSVAAFHSAKFGATYGPLEEIVGASGDGETPRYRTIGAEDYVKLLLSSKLEGKNIMDAMKINPP >Dexi4A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:4A:13733712:13744151:-1 gene:Dexi4A01G0013640 transcript:Dexi4A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEASELHAQLAAAVHALNHDANPSARLAANQWLLALQRSPQGWAVATALLAAPDPPPPADLLFFAAQMLRRKIQSPGPALPGAGLAPQLLDALLLAARRFSAATAPRQLLTQICLALSALALRAEGGVDGLFARMPHLPAPAVLELLTVLPEESAQDQAGDTGVDAAARCRFTRELLAHAPAVIEFLHSHSEKVPADDDGVPLHERNRRILRCLLSWVRVGCFSETPSAALATHPLLTFAFNSLQVSFSFDVAIEVMTELVSHHQELPEAFLSKMPYIREVLLLPALTNRSEKIIAGLACLMCEVGQAAPALVAEGGSQALALTDALLRCLAFTSDDWEIADSTLQFWCTLAHFILGIDVKTTKRNAAQELFLPVFSSLLDALLFRAQIDTDEHGADGAPCMPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSHGWDFSSQSVPWKEVEVRMYALSMVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISTSVSSNACSLALRKLCEDASPFIHEPQNLEILFWISEGMDKGNLRLEDEEEIVTAITQVLGSVRDKELRKSSLARLLCSSYSAVEKIIDIDRDYSLRQNPATYTQSLDLAVRGLYRMGALFRHLSTSITSGLVDDDIILVLLGIFWPLIEKLFRSSHMENVNLSAAVCRSLSSAIHSCGQHFHMLLPKVMECLSTNFLLFQRHDCFLRTGKKLYFYAQLNFACRNSNKTNSGSVIEEFGHKEEYGALCVRTFETLSSASSISTLNSSYTCDQEPDLVEAYMYFTSMFIRCCPKEAIIASTSLLELSFQKAAICSTAMHRGAALAAMSYISCECYLFTFMYYSLSVHKSATILQQLAAMCSFCERTTWKAVLSWSSLCGWLQSTVKSLPSEYLKQGEAEMIIPLWLKVLEDAGSDYIHSRTGDNIANHQVYMQGKGGRTLKRIIRDFAESHRNAPTPCPS >Dexi5A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:5A:25328093:25332851:1 gene:Dexi5A01G0021520 transcript:Dexi5A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVEEAGALLARSDSAGRRRRSPVQSASSPPRPGTLRRQSSSFREDVGHAASETYLVSRLTFTLLQYLGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVNDASQGISFVCNNIADYGGDPNQYNIHSLVDHFHERGLYRSIFLSIMEGEESLSRYSPEIIAKTSSAETIALLPLIVLMHGTEDYSIPSSASRTFVDVLQQVGAPAKLLLYEGKTHTDIFLQVSYLEL >Dexi1A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:1A:5372379:5374104:1 gene:Dexi1A01G0007010 transcript:Dexi1A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPQFHLLPPDEESSPLSKPAMSLELPLYYLLLLAVPVFFFLWATKPKPPPRSSAQLRLPPSPWALPIIGHLHHLSRGGLPHRAMRDLARRHGELMLLKLGEVPVMVASSPSAAREIMRTHDATFASRPMGPMSRLWFEDSNGILFAPYGDAWRHLRRVCTQELLTPRRVVSFRHVREDELRRLLSAVADASAARRPVNLTEMFSTFIADSTVRAIIGSRKLKDRDAYLRMLKGLFAIMPGMSLPDLFPSSRLAMLVSRAPARIMAYRRSMRQIMDGIIQEHRDRTAGAGDEEEEEDFVDVLLRLQKEVDSQFPLTTENIKTVMLDIFGASTETSATTLDWAMAELLRNPRVMEKAQREVRDALAGHDTVTEDDLANLHYLRFVIKETLRLHPPATMLVPRQCQSQCRVLGFDVPAGITVLVNAWAVGRDPDQWEEAEKFVPERFERSTKDFKGADFEFIPFGAGRRICPGMMFGLAHIELALAALLFHFDWSLPGGLAAGELDMTEAFGIATPRRYDLLVVAAPADTKPIQKGKRNRFSSD >Dexi1B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:1B:12380691:12383578:1 gene:Dexi1B01G0011930 transcript:Dexi1B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMENHHGGGVGRGVEEVSSLLSEVKKQLRLATPLAAGFLLQKIILTISILFVGRLGELPLASASLATSFASVTGFSLLTGMASSLDTLCGQAFGAEQRHLLGVYKQRAMLVLAMVCVPVAVIWVYTGEILVLFRQDREIAAGAGSYIRCMIPALFVFGQLQCHVRFLQPQNVVVPVMASSAATAGLHVAVCWLLVRRLGLGANGAALANAVSNLVNLLVLGLYVRVSPSCKGTWTGFSREAFRGIPGFLRLVVPSAAMVCMEWWSFELLILLSGLLPNPKLETAVMSICFNTYVFAFMLPQGLGAAVSIRVSNELGAGRPQMAHLATRVVMLLAFSLGVSEGLVMVLARNLLGYAYSNEEEVAVYTAKLMPILAVCTLFDCLQCVLSGVVRGCGRQKIGAFINLSAFYIVGIPAACIFAFVCHLRGMGLWFGILCGVAVQMLLLLCITLCTNWNKEALKAKDRVFSSTLPVDGMITSGSTEQTNNVSSVAGKDTQRTLETKDCTATEEVECNESNYLVCK >DexiUA01G0022000.1:cds pep primary_assembly:Fonio_CM05836:UA:45191778:45193962:-1 gene:DexiUA01G0022000 transcript:DexiUA01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNQDVVVSEMGIGAGSALPGPSPALLACRGAAAGAMSLRYLDLAAAAARSASCSWVDAMRASSPTRSRAAAAADVDEVTAWMRKHPSALGKFEQIASASKGKKIVMFLDYDGTLSPIVSDPDAAYMSDAMRAAVRDVAKNFPTAIVSGRCRDKVRNFVGLPELYYAGSHGMDIEGPSSNNVRSDSNLQQPESVLCQPAREFLPVIDEVYKLLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPKLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFASCSDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETNASYSLQDPGEVMDFLLRLVEWNRRSSSPAMIRPRV >Dexi4B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:4B:18492865:18494447:1 gene:Dexi4B01G0016420 transcript:Dexi4B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRPLAVLAAASATATPSTCISGAPVAAPFLGTRVCLRLRSPPRGVACALRRRPSKYKTKIQSEVEVVAAEDVVDDDDEDGDLEALFKQLEEDLQNDDLSVDDDDDDISEEDMARFEKELAEAIEDISGVDESAKDSLLSPGDYGNDEQKDGSEQPELKTWQLKRLARALKIGRRKTSIKNLAGELGLDRAVVIELLRNPPPKLLLMSDSLPDEAPSKPEVKELEPPSSITVDEVDTSENEPQVELPVHVMSAEWSARKRLKKVQLETLERVYLRSKRPTNAMISSIVQVTNLPRKTIVKWYEDRREQDGVPDHRVAFKRSLSETVASS >Dexi2B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:2B:27867741:27868076:1 gene:Dexi2B01G0017460 transcript:Dexi2B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGSFAACSLQPSRGSIRACHAPRAPPPLQGPGAASSTRTTGLRANATKGVSAVCEPLGPDRPIWFPGATPPPWLDGR >Dexi9A01G0035160.1:cds pep primary_assembly:Fonio_CM05836:9A:39796851:39799800:-1 gene:Dexi9A01G0035160 transcript:Dexi9A01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSGKQRSEEEWRAILSPEQFRILRQKGTELPGTGEYNKFKADGVYNCAGCGTPLYKSDSKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGYKTPTDERHCVNSVSIKFTPAS >Dexi1A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:1A:7464655:7465071:-1 gene:Dexi1A01G0009200 transcript:Dexi1A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPLLEQPAAQPAPVVHGPSPLTIVSFVFLTFNVAMAVYLWSQALGALSFVAFSYLDFILLVYCLALYEGTAPGSLRREHLKMAVWLLATMLFFALGPLMEFWFLFVAKVAENSTTMAFQNQTSCTQLRPPWLPVVP >Dexi1A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:1A:8732950:8739161:-1 gene:Dexi1A01G0010190 transcript:Dexi1A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRGLGVGAAPRRASAGASVATTGGDESVPPGGSSTTASRPPLRAIQQPRPAPAASSRKPNWPATALAPSRGPGPRAVGKTLARRSASLAPARSLRKPASRLGIATTGAASSGEVPSFKVREAISFWEENRRSVQDSLFMLQQHDSDAIAKIDRCSDEGSVSNTEDILSLQLELDMLKTILMEEVKARAQAADWAAALGDELKAANFHILEACRQKEVTEKKLNKQRTSIEYLELELQERVIELNEMKEKGEVCREAEIATSEVISSLTEQLSSVKLQLDSSKKNELLAKQNLDKVKLQLDSSKKNELLAKQNLDEVKLQLDSSKKNELLAKQNLDESIEALMQKEVLEQNYISLIRRMEEEIRQLDSQLYQSNRFYEVRLKELEIKMQELDDEASTLLASWNKEREIAEQRQAYIEQLELGNDDLRIDVCELEKKVNFMEEEAEHQRVQRGKIEAELQNVKLQLQAVTSYGKPGSFLEDGIVDLADTTRYQNDMNIELSGAQEVRIFQREVSVGPAPQVEHSKESFSNEHMQEVDQSDVEMEKAQSDMVYWSENSHPSASEELGQISAGKQPELFGSGAYNVQPLSPYDSEQVRPPAVESVIEVLEANELPPVAPVRPNDPRDYMRAPSDELKRLRSRNHYEGPRTATDMRFWSIEQQDLYSSIYSKAKLFAMRWIDWGHIDRMDQSACVREQCAHLGLEMIMSYRCDWNSELIKQFYSTVHISDDKSSMTWMADGRRITTNKRAWEKIFGVPGGVQTKIHKQFLLDDDDKRILYSDAECTLGQTIGLSPLPSIANKIIRKTIYPRAGNTLHRHNWNLLHHIVEQQPFDIIALIFDEIELFISDRNRTKDQLLYAPYIMGMINEAFKYDGHKESTHLSYKPKVSYKLKRTKRVGRPPAHPVAAPSEQPPSTFQPEVEAHVDADHHSQFKAAGHWPHGEGEEAVKGQTVLAQAATPAPAVSSSELSSGMHTHNSSVSAQPAHMSP >Dexi4A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:4A:23079008:23081789:-1 gene:Dexi4A01G0019290 transcript:Dexi4A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAVTCAIFQHSALLDLDNDTSFFGVFDGHGGKVVAKFCAKYLHREVLNSEAYAAGDLGAAVHRAFFRMDEMMRGQRGWRELQALGDKINQFTGIIEGLIWSPKGSDSNDRHDDWSFEEAYNLSRDHKPELEAERERIQSAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLSPDKQILTANPDINIVELCDDDDFLVLACDGIW >Dexi6B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:6B:4487970:4489131:-1 gene:Dexi6B01G0005210 transcript:Dexi6B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEDGRFLCITRLSLSDPAMAGGQCVNIWAEVGQEKRAIGELSSTKPDVAVPPVVLGGEFVLRHDLANNRRRGFVLGDHDEDDDQEETDDDDDSDDEGGFGVPLFAAPAGSVVVPDDGEFLGPARFAAVENAAAFMRVAAAAASSETNAGGGESKEIVVLYRYTRFSTTTRGGVEPCRRTKLHRLRFVVAHSGDMASSLAMAGSSLGPLIYPGLFRQQLHDLWKSLVAPPAMETIPPGATRLHVVVDAGILRREDYSPERMAHVHGALATRILDAWPPEYYHVGMELHLPEAVTASRRIGEGEEEEDDECCVSFELLKSGMAAWPGCGHVFHGACLEKALERSQTCPLCRRKLSDPIAQ >Dexi3A01G0030410.1:cds pep primary_assembly:Fonio_CM05836:3A:34436302:34437151:1 gene:Dexi3A01G0030410 transcript:Dexi3A01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLVESAPSS >Dexi2A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:2A:10176332:10176812:1 gene:Dexi2A01G0009450 transcript:Dexi2A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKNMQKIMSGLLRKRLSKPDTKHGDFLDLIVEELQSGTPTIDEKFATDALVALLFTSFVTLAPILTLAFKFLSNSPEVLKALEEEHEAIVRNRGDANYGFTWEDYKSLTFTNMVILH >Dexi9B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:9B:9414178:9414642:1 gene:Dexi9B01G0014090 transcript:Dexi9B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASLLHLTTTPIRSPTPRLSLPRHPTTSQLPAPRRRQGARLAPPPPRAYKVTIEHGGESRVVEVEEDETILSRALDEGLDVPHDCKLGVCMTCPARLVSGKVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATADD >Dexi9B01G0025170.1:cds pep primary_assembly:Fonio_CM05836:9B:24988665:24989430:1 gene:Dexi9B01G0025170 transcript:Dexi9B01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIMGSYTGIVSRSPVLSASSFVLASGSWRPVVAAFQRPSRAVKCRRPLTVTCALPEKERPPAFSIPPTALLCPVPPSDGKERWDIKEEEDRVTLWLQVPGLSSSDIEVTTGEDVLEIKRKVTGQQPAAAVDAHGVGAFHIRLLMTKEYDGNGVTADLKAGMLEVTVPKNPQRGGDRVQLGATASRAKESTKKGGPGGTKPDQTSGKQGTGGISG >Dexi5B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:5B:394360:398797:1 gene:Dexi5B01G0000630 transcript:Dexi5B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGGGSSASASASSAAGGGAAAFATRMLLLLTLLPLALAAFAFALQWRGGMRDPAGAAWPADTQRFPGMENSPLGSSSSGGGGSYFAVSSKSSSAGADCAEILGRSASSHGIQLYRGWSFDSESSITPKLIPHFGLQICITGSTSAGLHQILPWLYYHKVIGVSHFILFVEGEAAKPAVTSVLESIRGVKIIYRTKELKERQDKSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVLLYVQVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKSPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLVSPCFFPLLYLHSIFCCHMGTGYLAIIRGLKESGVFTSAVTSAKAESIRKSSSMGLENKESILANVTAGQSALDVGHEELQATVRKILEMVDAQEEAMPPMSPPSFVELTESALS >Dexi7B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:7B:16953855:16957417:1 gene:Dexi7B01G0009330 transcript:Dexi7B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVADGPSVDYGGRVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLSAFFPGVLRRMAAARRDQYCVYDSHALTAFTSSLYLAGLAASLVASRVTRAVGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVILAGALLIPDTPSSLLVRGRAEEARAALRRVRGPKADVDAELEDVSRAVEAARAHEQGAFRRILRREHRHHLAMAVAIPLFQQLTGVLVIAFFSPVLFQTAGFRRDGALMGAVILGAVNLGSTLASAFTVDRCGRRPLLLTGGLVMIVCQVGVAWIMGSQIGRDGEEAMARPYSLAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAVWERHWYWGRFVQPPAKIAQDP >Dexi9A01G0026200.1:cds pep primary_assembly:Fonio_CM05836:9A:28206606:28208276:1 gene:Dexi9A01G0026200 transcript:Dexi9A01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LITAFGSPFAHRVEVALALKNVPYELVVEDLANKSALLLQHNPVHRFVPVLLHGGRVVCESLLIVEYVDEAFHHGAAAPRILPADPYDRAAARFWAQFIADKCLKPLWLSMWAGGDAQARFARETKESLAIHDAQLEGKKTRFFGGDAIGDELVAFFAANKERYTGQGRARRLLRRQQGEV >DexiUA01G0006920.1:cds pep primary_assembly:Fonio_CM05836:UA:13323010:13326105:-1 gene:DexiUA01G0006920 transcript:DexiUA01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKDRMRHIRRAIDSRDALSAAHLSYTQSLRTVGTALRREHHHQTAMNLWNEMDSFSSSFRNWVTAHQSYVEALNAWLQKCVLQPPQDRRRRKRKVSFPPRQAVSPPIFVLCRDWLTLTESLPADELCKSIKNVMQLLRDSFDHQDDQNKPKSESQERGMLENNEQEEAYSGSVPAAEGLQSKLTMVLDRLTKFSEASLKCYEELKQNYEMAHDDYKRFGPNAQLA >Dexi7B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:7B:22907233:22909143:1 gene:Dexi7B01G0016880 transcript:Dexi7B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSSSSTGQHAFPPENSRQTATMSGPLNPRDERRPPPMQRAFNRQVSLGSGVTVLGMDRGGKNGGGRGQQRALPRSGRSLGVLNHSGALGQAAGDGGARRGDFSMFRTKSTLSKQNSLLPTRIRESDLDLPTHVEEQPVGRPAPAEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQSILWGALAASPAMRFLRVTPMINLALWVLAVAVLVATSVTYALKCVFYFEAIRREYFHPVRVNFFFAPWIAAMFVTIGLPRAYAPERPHPAVWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYLSLVVRINFFRGFRFSLAWWSYTFPMTTASLATVKYAEAVPCFASRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDKQNGAVRPNARGKRASKRVHDIKRWAKQAPLSLVSSITKSHSADKEEEEKTE >Dexi4B01G0021280.1:cds pep primary_assembly:Fonio_CM05836:4B:23302655:23304031:1 gene:Dexi4B01G0021280 transcript:Dexi4B01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVPASDHWGNWTFLLSPAALGTWSEKRAPMGKVLAGALVSVLLGLAASTTGVVAADAPAYRVVLDYLLPLAIPLVLFRADLRRVLRPSGAMLLVFLLGSVATMVGTVVALFLVPMRSLGSDGWKIAVAMMSRHIGGGLSYVAVCQHLGVSPSALAAGLAAGNTICALYLAGLFAFAANIPAEESQSPGEEGSEPSASNDNVIPATQTAMAVAAAFAICRAGMLAKSMLEQQLGIPGPGISLLCTTATLVLALATFFPSQIRKLAPPDDALAVIVMQASSPVLFAVVGANGSMGNAINTAPCIFAFAFVQIAVHLLVTLGLGKMLRFDGKLLLVASAANVGGLTMACGMATAKG >Dexi9B01G0031690.1:cds pep primary_assembly:Fonio_CM05836:9B:34021246:34021722:1 gene:Dexi9B01G0031690 transcript:Dexi9B01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGIGPTTSSLALLRRRRRSLSPQRPHAAALSQPPSRRAEREGTEGGRMERETREAAGDPARLGGARAAATRAEVASELEMPSTPRRRTQAAPAFFFLATTSCSATTSSDSSPPTLDPRGNRRWGSRGKGGAASTSVEEQARWRPRPSAAESPSG >Dexi4A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:4A:22345147:22346517:1 gene:Dexi4A01G0018360 transcript:Dexi4A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMLSCFRLPRTGGGPDQPGAASPRRPALPFAASPSTSGRGKTPWPPSEAVDDMEKKRWDSMESWSMLLDTVMGPTGEGGSSSSTSTTTTTGARREEWMADLSQLFIGNKFASGANSRIYRGIYRQRAVAVKMVRIPERDEARRAVLEDQFNSEVSFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSAETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETKCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTTCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQDLRLWRSFAKIFRMGCIANNLSIPVHA >Dexi9B01G0039210.1:cds pep primary_assembly:Fonio_CM05836:9B:39986568:39986768:-1 gene:Dexi9B01G0039210 transcript:Dexi9B01G0039210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFFSDADVKNTRWLRCYLYALMLLSLSYYATLFCRDRIDHNFLQCLLCEKPQSTAAGAEATR >Dexi2B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:2B:27601997:27602956:-1 gene:Dexi2B01G0017130 transcript:Dexi2B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSSHGHHHTASLCTPYLLLLPLGLLAVLLVLPTLTSPNARSDDLGVLCAGTDAYSVSTGADEKDAAASDAAGSSEFSLLVGVLTMPSRRERRDIVRMAYALQPSASNARVDVRFVFCNVTDPVEAALVAVEARRHGDILVLNCTENMNDGKTHEYLSSVPRRFASAPYDYVMKTDDDTYLRVAALAEELRGKPREDVYLGHGFAVGDDPMQFMHGMGYVVSWDVARWVSENEEILRHNDTHGPEDLLVGKWLNIGGKGKNRYSLKPRMYDLGWFMDNFRPDTIAVHMLKDNRRWAATFRYFNVTAGIKPSDLYHLP >Dexi9A01G0043950.1:cds pep primary_assembly:Fonio_CM05836:9A:47625877:47627822:-1 gene:Dexi9A01G0043950 transcript:Dexi9A01G0043950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSYYNFIHCLAEPLAPQYGGGILRNADFNAGLQGWSTFGYGSIAESTSAAGNAFAVALNRTRPYQSVSQKVYLQGDTHYTLSAWLQVGDGSADVRAVVKTVGDFVHAGGVVAKSGCWSMLKGGLTAASSGPAEIYFESNATVDLWVDSVSLNPFTKEEWAAHRAASVVSARKKTVKLQATDSSGKPLANAAVSLEAVRTSFPLGAAMSQHILTNSAYQTWFASRFAVATFENEMKWYSTEPSPGHEDYTVPDAMMAFAKSNGIAVRGHNVFWDQPSQQPSWVSSLPYPQLLAATSRRIRSVVSRYAGQVIGWDVVNENLHFDFYEGRFGWDASTAFYAAARLLDAGANTLMFMNEYNTLEQPGDMAALPDRYLQRLRQIIAGYPENGAGMAIGLEGHFTNPNIPYMRSALDTLAQAGIPIWLTEVDVAGGGPAQAQHLEEVLREAYAHPAVQGIVLWSAWRPEGCYVMCLTDNNFKNLPQGDVVDRLIAEWRATPRAGATDAQGYFEAELVHGEYKVTVTHPELNNSASRSVKVELGSGSEQYFVDIQV >Dexi7B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:7B:20417090:20417855:-1 gene:Dexi7B01G0014020 transcript:Dexi7B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGREHVIGIPVSNRAFGIEEPDFPSKGNAYHGDAKNTTTAGRSGKFARAGDRVAQGLKEHVTLGPKLYETVKGKLSLGARILQAGGVEKVFRRWFSVEKGEKLLKASQCYLSTTAGPIAGMLFISTEKIAFRSDRSLALSSPKGDTVRVPYKVAIPLRRVKSAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIAHSQ >Dexi9B01G0046950.1:cds pep primary_assembly:Fonio_CM05836:9B:46183268:46185087:1 gene:Dexi9B01G0046950 transcript:Dexi9B01G0046950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRRFLLLTAAAVVLLLLDAPRLASCADIYALVYKGCANQSFPGGMVPSTVAVLASALGAQSSSAKFYKTSSAGSSNSVFGLFQCRGDLSGSDCAACVSRATSSWHDVCGASVAARVQLAGCLALYEVSGFPQVSGVQMLFKTCGTGSGGGGDFEMRRDTAFAALEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGAPSGQVYLDKCYISYSYYPHGVPHGGGMGGQQTAKTVAIVLGGAVGVGFLVICLLFARSLVKKKDDY >Dexi2B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:2B:27073119:27073482:-1 gene:Dexi2B01G0016740 transcript:Dexi2B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPHSGGAAGSEHDDDVGADEVHDLKKKDGVVQEGVELKPAMQDLAGECSKTCCVTGKAADQDNAALNECKMQEQPSEIAVLAKVLLPSN >Dexi1B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:1B:753057:753403:-1 gene:Dexi1B01G0000880 transcript:Dexi1B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEQSLQAGKAQAAESESHAEQAAQSVKDAAGATAADATGAAADSAQQQQHRAAGTVEQAADTVAQAVQGAAAAVKDAVSGGH >Dexi6B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:6B:4319174:4320136:-1 gene:Dexi6B01G0005060 transcript:Dexi6B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIYPSPGQPTSDDARDRRDNLPSAVGATTSTSTSSSGEVHRPGQSLEMPSRRRHGGQSKPARLFQKLRQAFPSALTPRCGRLQVGTPAELAAAAAASSSSSSPASSSSSAPSVPKSGVSFTGASRRTCRRVTGTLYGHRRGRVVLALQETPRCLPTLVVELALQTHALLRELGNPAGARIVLETERRRSAIAAGEEKRGGTPPLLEEAAWTMFCNGKKTGYAVRREANDEDLTVMETLRAVSMGAGVLPAGMMATRRSSSSSSPAPAGDAAAGDDEVPYMRGCFDHFVGSKDSESLYMVVPQGGGTGPELAVFFVRL >Dexi7B01G0022720.1:cds pep primary_assembly:Fonio_CM05836:7B:27569772:27574411:-1 gene:Dexi7B01G0022720 transcript:Dexi7B01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKATSGVLRNAAALLDEMQLMGDTQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTKKTPNSRIPNYPSLSSQLLCQVHNITLHADKETDEIYAQMTLQPVHSETDVFPIPTLAAYSYSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLVWTFSPYYIYLLCHSDTKNCCCRDAKSQLLVGVRRAARQQPALSSSVLSTDSMHIGVLAAAAHAASSGGSFTIYYNPRTSPSPFVIPITRYNKATYTQPSVGMRFTMMFETEESSKRRCTGTIVGISDYDPMRWPNSKWRNLQVQKLGSVGRSIDVTRFRDYHELRSAIACMFGLQGKLEHPGSSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGVHVLNDCIQMA >Dexi2B01G0027570.1:cds pep primary_assembly:Fonio_CM05836:2B:36458169:36459419:-1 gene:Dexi2B01G0027570 transcript:Dexi2B01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAVLISLVACAALASGVAGVRVELARAHSSRLVGDALRRDMHRHSARRLATSGDTVSAPTMKDPANLGEYLMSLAIGTPPRSYPAIADTGSDLIWTQCAPCSDQCFQQPTPLYNPASSTTFSPATCDTSPGNLCSYNYTYGLGWTAGILGLETFTFGGSSPANQARVPGIAFGNASSDNWSGSSGLVGLGRGSLSLVTQLGADKFSYCLTPFQDTNSTSTLLLGPSASLNGRGVGSTSFVTSPAQALTHYYVNLTGISLGATALSIPADAFALNDTDGTGGIIIDSGTTFTYMVDAAYQHVRAAVLSLVTTLPTTDASATTGLDLCFALPSSTSAPPAMPSMTLHFDGADMVLPADSYMILDAGLWCLAMQTNIPGLPSILGNYQQQNMHILYDVGQETLSFAPANCGTF >Dexi2A01G0036040.1:cds pep primary_assembly:Fonio_CM05836:2A:45665643:45666992:1 gene:Dexi2A01G0036040 transcript:Dexi2A01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGVSLRGAQAGGRRKRQTPPLPLDILLEIAARSDPATLIRCAATCRDMRPDDPAATFRFRLRLRHTGGRFVLPLLRGHLTGPTYRPTPWLLGGEETSRYDDHQYLLDTTAAMATKLTRLVFPPPPPHGEPNKETFEFEPLDSRGGLVLLALTTTTSSDNTYRRHLRVCDPVTRHSHTFPLAGTPLSSYGCSYVLFVGGESGGAGQPSFRVLEASLDVLCLRIQTYSSEHGAWGPRTSVPTPGLDDVGYDYHLAADSKPLVVGDVVHWLYLTPSSTHVIMLHVGATSARAKVTTLPASFPRAPYSPRYTSDWSYGGRRRKHYSYLLATATPGGTPVVLVADDEKVTAWPQYKGSKIWKQRPWTVIDSVGELPATTISRSVRVKLECFAETSGAVLIRIYDRGFFWLDLQSKAIVRQFVDPRLQRQKVYCSYEMPLSSWVPNFSCRL >Dexi2B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:2B:22867662:22868096:-1 gene:Dexi2B01G0013810 transcript:Dexi2B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFWVITGEHKSVFAVIESRADGWFQLDAFVAVALSCVFGLLISFFGFAARQAVSATAFTVTGVQSVTTKGSSAGQREPVSEEPKEGNGSEEYDEEKQSLVSSAK >Dexi8A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:8A:29978151:29978438:-1 gene:Dexi8A01G0017850 transcript:Dexi8A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDATTPPRHLPGPAVPLLLPRLTSCSCRASPAPAVAGPRGSTVLPPPRREQERLLPPCVWRGAPAKRAEAGGEQAAPRQGVARRVHRPRLVCS >Dexi1A01G0022000.1:cds pep primary_assembly:Fonio_CM05836:1A:28728171:28733167:-1 gene:Dexi1A01G0022000 transcript:Dexi1A01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTPSPFVASTRRFAPRLRSLLPSAAMSSASASAAASAPKPSSGAGEEAQAPPLPHSTLEIAGARQGILSGFSSLRAPYHAFPVFASNRHVETIFAAFTRSLPAVKLRRECLRAPDDGAVALDWVSGDDRALPRDAPVLILLFYSASFTGDLRQVIDHVLGRYPLSNVYAVGWSLGANILVRYLGEESDKCPLSGAVSLCNPFNLVIADEDFHKGFNNIYDKALARALRNIFKKHALLFEDMEGEYDIPKAENAKTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQADNDPIAPSRGIPREDIKANSNCLLIVTPKGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLQNEKSSTAKNNISNEHQGVSEASAPHIAVHVQR >Dexi2A01G0036600.1:cds pep primary_assembly:Fonio_CM05836:2A:46109007:46111108:1 gene:Dexi2A01G0036600 transcript:Dexi2A01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGPSLPLKLAFTISLAVSFSVSCCAAPSSPSSHTSANSRSPPPSPKAVAADLLSVLGGPRAAERVPPAEASRLHACLRFLSPVNPAASKVSSWGARKFLLEGRDVGAAEADEMVKWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVVFSSPISSFIIFCNYGWFYTVPDVEGSQENKCELTRTTYGRRFANKELNSYLAFLFELIVARGPSVGLNVSLSRYDLFHGHLFLAYETGRLGILFHAKEYPAFDKELFPYSLGYCQAGSNVPYDDSMNLRNILWLAPLPSKETKAWLAPGALVVLDAHPDGIIYQEMIHDYVQIVRTVYEDDFGENAVDVNYLNVANAAPVDRIFIC >Dexi1A01G0021810.1:cds pep primary_assembly:Fonio_CM05836:1A:28534456:28538140:1 gene:Dexi1A01G0021810 transcript:Dexi1A01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSTSPLAATSARPTRPTPSTTCAPRRLLRVSCQAGPDRPACGGGNASNASPAPQQRRWRAAVSAALAAAVVAAAMPAYADLNRFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDSATGMCDAK >Dexi9B01G0021050.1:cds pep primary_assembly:Fonio_CM05836:9B:15736041:15737881:1 gene:Dexi9B01G0021050 transcript:Dexi9B01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDALHGDSADAAADELFVGGPDLQSFFDHAALEVKANGGGGGGGEEGDEELEWLSNKDAFPTVETMAPLAQWPRTKGVRRRRWEVARRSPPQAPAVARAVARAEAAGWRCRHCGTDKTPQRREGPEGPSTLCNACGMRYRSGRLVPEYRPASSPTFSPELHSNRHRCVVEMRRRREAEDRASLAAAGSGDEKGNEKLEWLLKKGEVLAAAAVRPRTKGMRRPRKAAAWPVIAWSPPPAPPCSPAVVGQRQSHGGGAGVAGEPRHVPDGGDHGAGSCTAGEEGRGAVPADGGFNHAAPPALAAEEGRGCQQFGTEKTLSWLEGPERSSTLCNAGVVRCTSGRMVPVQPPASIPTYSPELRFDWHNRVKLHRRLEQPAKFSPAAAGVGEEGKKKELEWPSKSNKDAFPAVNTVLPAGTLPQTKNARRRRRVVELSPPRRRNRLGGEAEVVEQGRVGDGGTAAAVEARAAAAPADAGEDPASAWAPVVPGRRCRHCGTEKTPLWRDGPEGRHTLCNACGVRFRSGRLVPEYRPASSPTFSPGLHSNVHRQVVQLRRRRPEPTVVSTAVAAAAAAAAAARILLDD >Dexi9B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:9B:6627580:6633122:1 gene:Dexi9B01G0010530 transcript:Dexi9B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCSKLLRLTYNGGNMSLDWASLDKDLVDLIGWRVLAGDLQDYVNFRAVCHHWNASTVGPRGRGILDPRFHPRHWMMLPEGHGLYPGYPDLCGSVRFFNLSTGAFVRVHLPLLDDHAILDSIDGLLVLHRDYDTAVRLLHPFTGDVAELPPLASLLSWVEIPHYYNEESKRSGLMSVRASVTVGSTGRITVMLAFDLLHRVAYATAGDQRWTFFTWKIQPLIKPVAFQGKLYAMEFLGHEIMSSGPERMLRHAVGGQGEDGENAAVSR >Dexi5B01G0023790.1:cds pep primary_assembly:Fonio_CM05836:5B:25901824:25903351:-1 gene:Dexi5B01G0023790 transcript:Dexi5B01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAKQETQPKPRLIVRLGVFLASHHILFRFTLISPYVCSLNPSFGPFFLTHPSPFEISVICCFAGIIALLLLPSLAKNTYLSENALIPGSANPLFSTEDAIEANRFMKGIEAAAGVSIGGMYVTP >DexiUA01G0019600.1:cds pep primary_assembly:Fonio_CM05836:UA:40834095:40845845:-1 gene:DexiUA01G0019600 transcript:DexiUA01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPNLKNPPSHAAAAAAATATTTAVDSMGRKDSSSSSSAAVGGKKDKPMSVSAMLASMDAPAAKGKPSKSAAPSKAKAKPSKAPASSYMGDIDLPPSDEEDEEELAAAAAKPKPSRAAAVDLSAGVASQKDAKKKDKREAMAAAAAEAARQEALRDDRDAFSVVIGARVPGSASADDGAVDDNVKDIVLENFSVSARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRNIDVLLVEQEIIGDDRSALEAVVAADEELTALRAEQARLEASNNADDNERLVEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTKRKEMNRKFEVYEKQMKAARKSGSKAAQDKVKGQALSKAAKEAAKNKGKGKSAADDDDDQNQVAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPGRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVVLGDDGRKAADQRGSGEMEKKTTLTCGGYLANRRSLISSGKTGIKGEVEERTRRASRVQHEFENVLGRRNSNAFGYKAIRVRRSSATAGTEAVIQTTNRQLHGATGQKYLAIFPVPQCTMNATNSHDGPCEECPGRPAPPDTPAPPLRRRRFPRQWRDPVAANEHAARMDPNILHLRGMPSFIRKRIVHAPASPSNHSLPPPIPSVPLSFNTRRILLSPHSLTRHARAQHIQAGIMALAAGVFYLLFVSSLLLTTMAATPYPHGGHGGDPLLGASKKYEGSSDLVDLRYHMGPVLTAAPLRLYVLWYGRWDPTHQSPLRDFLLSISDPSPPHPSVADWWATAALYGDQTLANVTRRVALAGEATDASASLGASLTRLDIQRVLAAAVAAGDLPADTRGGAYLVLTAPGVNVQDFCRAVCGFHYFTFPSLVGHTLPYAWVGHSGGRCADVCAYPFALPSYMSRRGGVAALRPPNGDAGVDGMLATNPLVNAWYAGEDPTAPTEIADLCEGVYGTGGGGGYAGKVEVDKQGRSWNVNGRKGRKFLVQWLWSPEAKACACRGKSAQMSESVSDLDSEQKVHTIHKINANHQKEKKSPVLAFLATRRLKTERNRSVVDMPQPQGRAGAPPSVAGKQKHLADVHALAERLNAIDVGVDGKPNAFDGGKPAADSMAVDEVVPRMGRVNKAVIPGRITVVTLTGRAIEVGAWPTDTVGRIKERVAEQEGIPPEQQRLILGGKQLADDKTVRESNITPGTVIHLVLALRGGRFNCVASVSDHWHHRHRLTDATLTDSRTVTPEQPRTAPSCSPAPHPHYSDTIVVLESDANGVPNELYEAAHLYLGARCLVRPVPARRRRPSWRRCRTTHTARDTFRGVPVARASGNGGTTVYSPASIGRRRRGFGMGWQQQQRCLRLELPRRHRDVVRGDYIAHVLAVAATPKCTAAPAAAGWTSTRCCGRRTRSATRPHVRHARFVDGLKTVIQSTHPSSSTLVGVLNFVDGLWSSYCKIELGYGKRHALRVLANNYFGADDEDDHEPADGDTATGSRLFEEVHLTPADIAEVFMGCDGDGPHAALRKLVDDLNTKRIAQKCKESV >Dexi9B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:9B:3975377:3975856:1 gene:Dexi9B01G0006630 transcript:Dexi9B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTRSAAAPAAAKTISTIVGAPRCTEAGGELPLSREEPLDECSGGGWVLAGENGAPWDLAAGGGDEKESLVLDGAGEDAAFGGDGGDEESADGGGAVEGEGLWLFGGDETAGVLVEEELDDMTRHETINLHKLSRVETQQRMCSPAASAPHLPPPRRP >Dexi9B01G0027030.1:cds pep primary_assembly:Fonio_CM05836:9B:29481550:29482848:1 gene:Dexi9B01G0027030 transcript:Dexi9B01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEEEAASPAAYGDQWPRWLQPLLSSRFFAHCKTHSDSHRSGECNMFCLDCSSAAAAATGALCSLCLAHGHRDHHTIQIRRSSYHDVIRVSDIQRFLDIAGVQTYVINSARVVFLNERPQQQKPGCGGGKAASASANLCEVCARSLLDNFRFCSLGCKVIGCSPDAAKARSWLLRAPDGDTSSTSAMRNADKKQSFTPPTPPTLPTKRRKGIPHRAPFGSLIVEY >Dexi2B01G0025420.1:cds pep primary_assembly:Fonio_CM05836:2B:34676691:34684258:-1 gene:Dexi2B01G0025420 transcript:Dexi2B01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNCLYVAAASTAASAAALQWWASSLLDGGAGDGDWLGAVLRSRVTVALLANLAAHVFLVIILALKVTLAPLFLGPPFGVVSSGLPAHDWRFSSMKFCVGFCSSCDSKLFWLLFFEPLSIAFDTLQALIASFLKRIKTYIKLRKALSSLDGALPDATYDEICAYDDECAICRVGSRSNGRGAGLDASWAPPWSSPGMNNPSSSSAVRSVGLTGVQMMMRQLASVTDNYGHADGTWNLWTEPMAGSSLVPSTSSIPDNASASGLRLRGTAGATRNGNLSEVLTMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >Dexi3A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:3A:16292499:16295507:-1 gene:Dexi3A01G0020540 transcript:Dexi3A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLHAQRIETTVAVAVAVVAVAAGAAYLFLRSRKSSSGKPPLTRALGLFFLMIFALVGCLDPENFREFKLVEKRQLSHNVTNFKFALPTPTSALGLPIGQHISCRGQDANGEEVIKPYTPTTLDSDLGRFELVIKGRFKYKPGQVRAFGMIAGGSGITPMFQVTRAVLENPEDNTKVHLIYANVTYDDILLKDELDSMAKNFPDRFKIYYVLNQPPEIWDDGVGFVSKEMIQTHCPAPAADIQVLRCGPPPMNKAMAAHLDDLGYTKEMQFQF >Dexi9A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:9A:4655331:4657000:-1 gene:Dexi9A01G0007920 transcript:Dexi9A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHQTPCAGHSQTTPPTPTSNMEMAYLVLLSFLFLFATHRLLSRRRHGKTSSQKLPPSPPLAVPVLGHLHLLEKPLHQSLARLAARYGPVFSLRLGSRHAVVVSSADFAKECFTEHDLTFASSPRFPTLALMTYGGTTIGNCVYGPYWRHLRRVATVHLLSARRVSSMLPAIGAELRAMVRRMHRATAAVSGGARVELRRRLFELALSSLMENIAHTRTSRAVDDADTDMSPEAQEFTESLDVLVPLLGAANTWDFLPILQWFDVLGVKNKIAAAVRTRDALFQRLIDTERRRLEDGVESEKMGMMGVLLSLQKSEPEKYSDDVIMALCFSMFTAGTETTAGTTEWAMSLLLNHPEVIKKAQAEMDASVGTSRLLDADDVPRLGYLQGILNEALRLYPAVPLLIPHESTADCTVGGHHIPGGTLLFVNVYAIHRDPKVWADPTAFKPERFMDGSAEGSLFMPFGMGRRKCPGETLALRTLGLVLGTLIQCFDWDTVGGVAGVDMTEGVGLNLPRA >Dexi2B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:2B:10835319:10835633:1 gene:Dexi2B01G0010040 transcript:Dexi2B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHLWLHLLVSPAPPMPPAPRIPSAVVLYAVPVAPLLCFAPSTRCLPGGCRTEGRGEGQPRGAGHLRPGWSAAGRKLTGRGEIQRQRGAPPAVYNGRGDED >Dexi8A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:8A:24050674:24051126:1 gene:Dexi8A01G0013740 transcript:Dexi8A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVGARTAAAALALLLLAACTSAAAQQAAGGVTAVRNSYESSLVNWDLRAVSAYCATWDADMPLEWRQRYGWAAFCGPVGPRGEASCGRCLAVTNAATGAEVMVMVLDQCSFGGLRLDPFVFTQLDTDGHGVVTGQLTVSYQFVDCQD >Dexi3B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:3B:375476:375791:1 gene:Dexi3B01G0000550 transcript:Dexi3B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIWSFEEQMLKSGQVWHFKTYDKRPEFAQWESEARAGRRGLLALENPGNHGIGEETSAMRTFRSTNGAVPNDLIGTYIVS >Dexi9A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:9A:740754:742875:1 gene:Dexi9A01G0001390 transcript:Dexi9A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKANTVLVILALAVAVAAARPAAAGAFRRVYAFGDSFTDTGNTRSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAEELSLPSFLPPYLSSSSSGNSSSSNHDVVGVNFAVAGSTAIEHDFFARNNLSFDITPQSIMTQLAWFDAHLRRAKANKINVADALFWVGEIGANDYAYTVVARDTIPPKLVRDMAVQRVTTFVQGLLERGAKYLIVQGLPLTGCLPLAMTLARPEDRDNVSCVASVNRQSYAHNRRLLAGLHQLRQRHPGAVIAYADYYAAHLAVMRSPSKYGFAEPFRTCCGSGGGAYNFDLFATCGSPEVTTACAQPAKYVNWDGVHMTEAMYKVVAGMFFQGGDDYCRPAFSDLLAMKAKGKP >Dexi5A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:5A:5257767:5259953:-1 gene:Dexi5A01G0007090 transcript:Dexi5A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDLDERYRVAPPPPTRPPEEENRTPPIAASGMKSFPVAGGRSVSLALYSNVSNSRWLKNSGVEHLRELLDLMQSGKLEPEVAFLNASLVPDVFPVLAAAHKALLSKARESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTTYILAARFDASDEEMKAVKKLISGTEIDLGELESRANQAQILKHYKIPPQELLISTLPDAIVCRIAARDAL >Dexi5A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:5A:8289427:8290654:-1 gene:Dexi5A01G0011040 transcript:Dexi5A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLAVVLAAGAPPPPRPPQPSPRHRHGSPRRLLRPARSLLGGGPSPPPPPPPAPASRSRRRRAWPAVSAALFGVGFLLGPLLDGIHSRVGLQVYGNGGALDVGPLHTHVLVPPLLGVFYLTVGLLHLALDERAPSKSKATGSAQKTATSLLVLALFIELSAEMYRAGVPSNVEAYALFAGAEFVWLFLDGSWLGFALACLVGTVCPLAEIPLIKLLGCWSYPNADVHLLGEGLVSWTTTCDFVYTPFLANLARWLDSRLAAADDNAGDDGAAPS >Dexi3B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:3B:14732276:14732832:1 gene:Dexi3B01G0019740 transcript:Dexi3B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARADGGGGGGGGASASSSSTAENSRFDAAQYSFFGKAPMEGLELGEVLEDGGINGDGGGFGGHDDGGYQFSSMGEERE >Dexi1A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:1A:15032301:15035129:1 gene:Dexi1A01G0012980 transcript:Dexi1A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAAEPSTPAPLAAAAALPEPPYHHSPADRPVTPPPKPPAAAAVAGAGLRTPSPSPSPSVQVSGYSLHELLLLSPPPASSRRHRSRARGAAGPGVDESLEMVAVAGTPTPPRRRRRGAVEQCAAPALASPRNTRRARRRLEKDVEAEEDAARRARRRKSTRAPPKAAAAADKAAAAGLAAGVNEEDTSLALVPACPYATSGTDFVEQSGWEGLWERTVELVMWRNVAKSALWFGFGSMFFSCSFSREITFRHVKFHTLLPEIPDILHFSTLYSCNELWVMICSPISAFCHLGVMILGLAFFKDSVLQRPQVERGRRFQLTEEDVLRASRAVLPTANSMISTAQVIFSGEPSMTLKVLPVLLFGARYGSLITVWRLLATGFFTSFTVPKLYSCYSSQIHKRVEILRDRALEAWKSCPRKKLVAATAVTMFWNMFSVKTRVMAAFLSLVLLRYNQKYRKADSNPGVELCQDDEGQQMETDE >Dexi3A01G0032310.1:cds pep primary_assembly:Fonio_CM05836:3A:37064877:37065748:-1 gene:Dexi3A01G0032310 transcript:Dexi3A01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKDVRCSVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGNRWRE >Dexi8A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:8A:29193253:29193603:1 gene:Dexi8A01G0017510 transcript:Dexi8A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMKVTQRPAPQAVAKARRWTSLAAAAARPSPLRRSASGAKRTPAARNETAVRNRAAHPIARDEDNGERERERDELNGRPGLSSEPGEEEVSETKDGRRR >Dexi6B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:6B:22790087:22798300:-1 gene:Dexi6B01G0015450 transcript:Dexi6B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKDHAPNCPLTGCCFCLHDLPYTKLRADSRDRAPNHCTRQASDPRHCYVLDASPPPPVRLALACMTCPTQLADAEHYFDRSRTYALLCSLSRSPVDFNQLSTIARVYGVPARMGLLALRLQSERVRFPPIVCHGDFARQRASDSSTHQSPPTRTRQEDRDANAHGPSRAGTHGLTTSTRWFVALQQTAHERMPARAPHQQAHHPDLLPLLHACPPPPPAALPEEASPDAAVQESWGAHVGSARLPSSEGPLTCRSSITVQVSSHALPRLSDKHTPKCATVHACKVQGHGPVEKEQWLPTLPRSRSAVLRILHCQNTYRTRTCTVHVPPADRRRDNATESAALRAGKINQRHPSLSPPAEASAHPCASEVNRGGRRSKVVAHGHQYTWPNVSCRPGTALALRGTSASRAVPRTSTTLRGIHRAVLCRRHYEALSY >Dexi2A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:2A:1918696:1919667:1 gene:Dexi2A01G0002420 transcript:Dexi2A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKILCKGGNDLYVSIRGDKVVLAHDDPNDRTQQWNSVATTLTDDSGQTAFALVNEGTRQVMVIKEKYNGTRHAQLAPYAEDERVLISMLWTMVWEVHGDGFHQLAMLRNSTEVLDAEGGNSEGTILGLFSSHYSYQHYVDTNQLWKFLPVSGSE >Dexi8A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:8A:19097066:19097464:1 gene:Dexi8A01G0010930 transcript:Dexi8A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRSLRLVSLRTPRFRVYAAKAETVNKVMEIVKQQLALGADAAAAVTPESKFTDLGADSLDTVEIVMALEEEFNITVEEDNAQNITTIQEAADLIDKLVA >DexiUA01G0022220.1:cds pep primary_assembly:Fonio_CM05836:UA:45370549:45381226:1 gene:DexiUA01G0022220 transcript:DexiUA01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAALREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGISALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKRLTALDGKRRFALAAASSHKEEVGRLRKGAGAGATPRNGTQEKSKVQGKGYKDKCYECIRMAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWLEGMVTEYLVATFADYFGDVKMYIEERSFRRFVEACIEETIVVYVDHLLSQKNYIKEDTIERMRLDEEVLMDFFREHINVTPEVVEKLVAMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLAAKKGIWRKLGQ >Dexi5A01G0031990.1:cds pep primary_assembly:Fonio_CM05836:5A:34561982:34563348:1 gene:Dexi5A01G0031990 transcript:Dexi5A01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKIGGRASVSLPSRQPSHVARAFGVDTGAARITCSLQSDIREVASKCVDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGVESFPFKPGKYQMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGQFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELVKENIKNAASSTGNITLSVTKSNPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLES >Dexi7B01G0023980.1:cds pep primary_assembly:Fonio_CM05836:7B:28427019:28427384:-1 gene:Dexi7B01G0023980 transcript:Dexi7B01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKQSSFRRDRSENRTEEQEAGDGQEGRAQMEASLWELVACLQDQGKQQQLGNGPAAASTAKQEKKSFAAWKKQQFAVRVGSKITVRLGQIASQKGISEQQNHWDEQNWFRHDSLLLLL >Dexi8B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:8B:14798496:14799536:-1 gene:Dexi8B01G0008920 transcript:Dexi8B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQPLPSLTEGELLAARRRRWLLRARVPSPAPKSPAPSPPSAATTRNDQGRLTILDSYKRLKKIGEGAFGTVCKARDRRTGEVVAIKSAVGNGPGGAEALLREAALLAACAANPAVVKLREVARGSEAADLHLVLEYVGMSLHDIVSERRRRGLPLTESETRRVMEQLLTGVGTMHAQGMVHFDLKPRNVLVGDEDRRLRICDLGLAKSVAALPLEGEPEGTPGYIAPEMLLREKDCGAPADVWALGCIMAEIVNGQSLFAEEDLYQQLASIVDLLGIPDDVSLMPLGITAAAPSKLREKVPEERLSPAGFDVLQGLLQYDPKDRLTAMAALEMPWFQATKDD >Dexi2B01G0022770.1:cds pep primary_assembly:Fonio_CM05836:2B:32399788:32401375:-1 gene:Dexi2B01G0022770 transcript:Dexi2B01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRCFCCVAGDDEPEPTAAPAGRRRTNPSRSRTPKNRSIEYPWEIYSLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGAPSSQQHQPLDWARRVSIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAPQLRAVVEAAMLCTQSSAESRPAMAEVVEMLKFSGERRTTKEIVPVVAASSEETTDLDDVTGSSEPLDRRSWKLTKL >Dexi7B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:7B:6800514:6804891:1 gene:Dexi7B01G0003000 transcript:Dexi7B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGAARLAGLLLLVATAAGHLGVQPLSRIAIHRARVALDASAAVRASPALLGAKRFNKSAACWRRLHFAEKQGIWPLSTTRGAEEAPLAVAEPRSEEEAAAVEWEEEDAIFERKGQTLSVDVVEVKGQALLVGRWWISGRGRRVVRQCGEAVGLVKFLLYEKRSLTMFSTQGEDTAWVTVDFVAPNPSGDDWIGIFSPSNFNCCTFLQCIHMPWFSWIRPRSCHMLSTNKGLDETMSNLDILLMNKRLKSFMFVSVPICKLLIRLRQVWKRNTEVSADKPATRLFICIAHRWTLKCLLLDKIYLMLPFDGKSLTLTLSVSLQPKLIAVSNAIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAYPFVEWGMKWRPAVSTAAVTVTFDRETICGMTTIKYSI >Dexi8B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:8B:25360999:25362065:-1 gene:Dexi8B01G0014730 transcript:Dexi8B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLRVINVALFSEVYFKISGHNFTVVAADANYVNPYTTDVISIAPGETFDALVVADAPHGSYNMVAVAQQVPKPEQQLPYFVTTGTLQYKQNDCGHGNVQESLASVALVVPDQMPDQHDTMTTYYFHGNLTSLRHRWQQQVPVLTDEIFFITLSDGTICRHGRQSCKRSGSNESLLVVAMNNVSFQLPSSLEAPLLEAHYYHYNDSDGVKLFMLPNSPPREFNYTDFSLVSSSVQLEATEKRMVGRRFRHGAVVDLVFQNTALMQTGSHPMHLHGHDMFVLAQGHGNYDAAKDVARYNLMDPPLKNTVIAPRLGWVAVRFIADNPDPV >Dexi9B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:9B:9394531:9395831:1 gene:Dexi9B01G0014050 transcript:Dexi9B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLEGVNRHLHPDGRPGGAASTSHLPGYHHCHPVAVRSPFRLISQRSPAFLAAGHCERAPAPPPKYCAPSSYLLEAAPPVSAAAEDDDAGEPCWSPPGSLGCHSTFFLAPHSLCRHRK >Dexi2B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:2B:23852813:23856986:-1 gene:Dexi2B01G0014290 transcript:Dexi2B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRLVLSLIYILLVAGHGAPHAAAAYTLSFAFDFSSTSTFSLTDFTTAGDAAFHGSLFDLTANSYSAGITFSVGRVAYAHPVQLRDNATGKANSFTTAFSFAISVTDENNKGDGMAFFLGNYPSKQPPNSQGGALGLCTGFCAKQTTGEDRFVAVEFDTFTNTWDPNRTYDHLGIDVNSIVSVSNVSLPIFSLNGTMSARVDYNGSTGVLNAELQFGPRPMFYGATPTYNVSAKVDLASVLPEQVAIGFSAATGSSIELHQLLSWSFSLVTPGSSATTSTSAGATASSGSRTGLKVALGITSAVSLLLCIAILGLLRALRRQHLAFAEIQLESEARSKLMDEEFEKGSGPKRFDHGQLAAATRDFSDEEKLGEGGFGAVQDRARAGIGAAVPPPGVGAVRGAQGHQAQRHHAGRLVQRQAGDFGLARLSDHGLGGSHTTNLAGTMGYMDPECFVTGRAGPESDVYSFGVVLLEVACGRPPVVLIGQQDEEQHQVVGRERLVEWVWGLYGGGAVVEAADERMGGDFDRGEVERVMVVGLACAHPDSTLRPTIRQAVSMLQSEVTLPTLPGKMPTPKYP >Dexi1B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:1B:25790141:25795758:-1 gene:Dexi1B01G0019550 transcript:Dexi1B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLSLSFPFLSQTAARTAPAVLPPVEIQSPSSTSSKSLATRAPRLPSSLPQPSFATLGHHTDANPASGGHHCSSRSTSPGRLRPPLLHSTKKPPQPYSGLCSANHSTSKQRRFLPLSLCVLNSYPPLGWSGGRSTGPQEGAWGLFAVGSLAFPVAEPYPGLPEEYSPRPSTSACDPLLPNAAHTGSVVNIFVLSTLKPGTPTPRQHAGGIVERQLQNKFNDLKRKPCCDSGESVSAGGHGTEQTSSGVSPQTPHDLLDEPIRSRSVGQSSKRSTKDHSVCSQIKKKSIKTPSLADCLDDLSAMNKDSREKKSSHTIEAEEMAKQAAVLGGSRDLAFNRAMLMKLMEVEAYIFGPERARDYDHEKEDLSPVLRRLFADHSFTCVRKSRARGRAPRDTLSRFLS >Dexi2B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:2B:1490082:1491312:-1 gene:Dexi2B01G0001950 transcript:Dexi2B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNANPSFFLDGTPSSSSSLDARLDIVSKAAPELAAAAAAKAIAMWGRPATDITDLIVATSSEARSPGTDLSLASLLGLRPDVRRTSLQLAGCSAGCAALRLAKDLAENNRGARVLVACVELNLTSFRAPREDDTFDTLIPQGLFGDGAAAAIVGADPDLHAGERGIFEMVAASQTTIPGTERMLNMRLGEGGIGGDVSAGLPRFAAEHLERCLVDALAPLAGIGVEDGRRRKWNELFWAVHPGSRGILDHIDVALRLEPGKLAASRAVVTDYGNMMSATVIFVLDELRRRMEEEEDEAEAEWGLMNV >Dexi1B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:1B:25949632:25959314:1 gene:Dexi1B01G0019720 transcript:Dexi1B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHDRHLSLFPSPTSRPDLRELRESTSHQQPRLRATRGRTWRPSPSSRTPLCSANRGSLDEAYTGDLSFADSLQAFGAGLDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSDRLSQFINMDLNGVKDCRRRLDRAAVAYDQAREKFVSVRKGTRAEVVTELEEGYELLSQMEPFIHQVLTYAQQSKEMAVSEQDKLAKRIQEFRTQEEIANVRMASNVDTSTSGDGIHVVGLQSYKTIEALMQSTANGEVQVIKQGYLFKRPQNTRGEWKRRFFVLDSHGTLYYYGNKGKPAESGADQKDWIQKITGVIASLLNSPFPQQMSYSNIATESNRSTSSVDSLSIEDNKSSEGHDDIFNLLRNIPGNDSCAECRSPDPDWASLNLGILMCIECSGAHRNLGVHISKVQYLAFLMDESNGAILFIEKPKPSDAFSIKERYIQTKYVDKLLFARDTDQVTIDILEAIRTNDVRVAYRILATAEVNANMTYDALSKDVHHVQPVTDKMSLDPVSCEIIRDSGKPEGCLQGCSLLHFACQYGHTVMVELLLLFGADINTQDFHGRTPLHHCVQKKNDDLTKHLLKR >Dexi2B01G0035540.1:cds pep primary_assembly:Fonio_CM05836:2B:42509331:42510625:1 gene:Dexi2B01G0035540 transcript:Dexi2B01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASCLVALVVVAAALASSASAQLSSTFYDTSCPNALSTIKSGVDAAVAQEARMGASLLRLHFHDCFGCDASLLLNNTANFTGEQGAFANAGSIRGFGVVDSIKAQLEALCPQTVSCADLLAVIARDSVGALGGPSWTVLLGRRDSTTASLSLANSDLPAPSFNLSQLITAFSNKGLDATDMVALSGAHTIGDAQCKNFRAHIYNDTDINAAFATSLQANCPATAGNGDTNLAPLDTTTPTTFDNAYYNNLLSQKGLLHSDQELFNGGSTDNTVMNFASNTAAFSSAFTTAIVKMGNLSPLTGTQGEIRLVCSKVN >Dexi8B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:8B:1599692:1599907:1 gene:Dexi8B01G0002350 transcript:Dexi8B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRETTVAEETREEDEECAVCLKSFEEGDRMGAMPCSHEFHDGCIRRWLAISRLCPLCRFALQSPPRGS >Dexi4B01G0023710.1:cds pep primary_assembly:Fonio_CM05836:4B:25017166:25019031:-1 gene:Dexi4B01G0023710 transcript:Dexi4B01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGLDSYGLPIGKRLPLDAVKSPGMDDAMQPKEGMDGYPVKVRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNAIEIPPPRPKRKPLHPYPRKCADSSGMANPAVGQPKLAPVSSPSGSDPENGSPVSVLSAVQSDGFGSSVSNPSTGCTSPASSDDGNNVLVLVNEEETLLTQQIRDDDQARQEIKLDNSDGDLSEEDSSSGVQETSLKLFGKTVIIPDPKKACSSDGDGVCGDGEKNSQSSKQKVLKASSIGGVAAYPTHNGWLLPYHSFQFHMGESGDTRISPLHVWWPYYGFPVGHPRGFDMGLHTEGTCESDTGKSPSAESSSDSMGNVQTTSPTNCKVVKESLGAIQVPESAISFELKPSANSAFVRVKPGSSRGQSARGFVPYKRCKVE >Dexi4B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:4B:14077937:14080720:-1 gene:Dexi4B01G0013870 transcript:Dexi4B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVCSVTARLHLHPPPALAIPVTARNLIFRCRAASTMDAASASSPDAEKKTMTVFVAGSTGRTGKRVVEKLLAKGFGVIAGTTDVDRARGSLPQDPNLQLVRADVTEDADMLVEAMRGVDAVICATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVMKFVLVSSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAEKYIRRSGINYTIVRPGGLTDQPPTGNIVMEPEDTLYSGSISRDQVVEVAVGALLCPESSYKVVEIVARADTPKRPLQDMFAGIKQN >Dexi5B01G0038190.1:cds pep primary_assembly:Fonio_CM05836:5B:37439174:37439481:-1 gene:Dexi5B01G0038190 transcript:Dexi5B01G0038190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGEPPASAAPSPPTVASSIGPQPRPPSPSREIGAAPQPTPPPPAPSHYWLSIRR >Dexi7A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:7A:29320456:29320833:-1 gene:Dexi7A01G0020570 transcript:Dexi7A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGYVLSKDALAKARAFDESRGLTAAAAAKAAELSKRIGLTDRVNAGVGAINAGVGAMRSVDETYRVTETTKTVATATGRTAAKLMNTIVTSSYFSAGAMLVSDALTRAAKAAEDLAAHGRQS >Dexi7B01G0021620.1:cds pep primary_assembly:Fonio_CM05836:7B:26616560:26619918:-1 gene:Dexi7B01G0021620 transcript:Dexi7B01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEEVYVAAIDQGTTSTRFIVYDRHAKPVTSHQLEFKQHYPEAGWVEHDPMEIIETVKVCIQEAVKKAKDSKLNVVSGLKAIGITNQRETTVMWSKSTGRPLYNAIVWMDARTSPVCRRLESELSGGRTHFVETCGLPISTYFSALKLLWLMENVDAVKDAVRTGDALFGTIDTWLIWNLTGGVHGGQHVTDCSNASRTMLMNLKTLDWDKPTLDALGVPAEILPKIISNSEKIGVVAKEFPLAGVPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPTAPTNYALEGSIAIAGAAVQWLRDSLGIIQTAAEIEKLAETVPDSGGVYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNHLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTKEHVFAGLHKENTTVFRPKLNEGHRKNRADSWYKAVSRSFDLADLSL >Dexi4A01G0022700.1:cds pep primary_assembly:Fonio_CM05836:4A:26004945:26008178:-1 gene:Dexi4A01G0022700 transcript:Dexi4A01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPPPPPAATAPAADATKAGGPHSVFVYGSLMADEVVRAILNRVPPAAPALLPNYHRFNVKGRVYPGILPVDSKKVAGMVLMGVTDEELQLLDAFEDVEYTRTRVEISLNVSISQPCSFASQDSSEKMLADTYVWSDAHDSNLYGEWDFEVSVQGFNFVKRRAPATHGLGHGELRWPHGLGRVSSGVPMAAAIRAYPLPSGLPNLASSEYLIEEELRAPGLLKPSLHVVIIVGRAPYSDVVVQEWKKVHMKAFLAMTNGFMHGLQQSETKTRVETYESFMQQQEHPTLETHAEG >Dexi4A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:4A:5538158:5538445:-1 gene:Dexi4A01G0007450 transcript:Dexi4A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTNVPWNSKYKVAYKKGEILAMKRLGFLDTNTGGNNIDTARKEFTRFFNDIVDIKNFPALWDVLPAARGLNDEELMAAIQQASAMVDGSRHLD >Dexi8B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:8B:21840939:21846633:-1 gene:Dexi8B01G0012460 transcript:Dexi8B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAFHLRHPPRLRFLLLSSSPISTSTTSSSSSSSSPYPWLSVWSRPGQRSRLRAPASALDLRPEPSPSSDSDDEDAVGTSRSSGRSTMSLILHRLRRAGFSPEGPQQPAASPSPPHPPRGSVEDVFRAGDGVLPNARGGFDPEEEEEGMLGDARFPWERPMPPPEAAPRASRSPTWLAELTLPAAELRRLRHAAIRMKSRTKVGGAGVTREIVEKIREKWKTEEVVRIKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVDYDEPEPTKGSNKSMKSSIKGSPSPSLQPTEKVDSTQDSNGALVSNTGKEEIVEQVPEIKYEDEIDKLLDELGPRYTDWPGSDPLPVDADLLPATVPGRSRQLQGLANAMVKLWEKSSIVKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNIDFIVFYRGKDFLSSELQEVLLERERLAKSLQDEEQARLNAASSFSSSSDAYVQPSVAGTLEETLEANSKYGKQLNDGHADQMARTVAAARHADVVRKLEWKLARAQKKMEKAERVLGKVETALKPTDGTKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKSKSFSDVKRTALSLEAESGGILVSVDKVSKGYAIVVFRGKNYRRPSKLRPGNLLSKRKALARSIELQRHQALSQHYAKLNKKVEKLRAELVRASGPDGRRQGARRRGAVRQAGFCDEDDDEVYLRRFDDEVAGATFEDAGDVHDEADYPDSEDDESVGDYSDDDEEEEEDDAYGTPDGGFSSEADFGREEYYRFEGGRNPEYRGDLADETIRGSRADEQQRLATELTNTTNPCS >Dexi3A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:3A:3988193:3988750:-1 gene:Dexi3A01G0006120 transcript:Dexi3A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLVTKTCADIKRHDWGWLPDDVCESRLRQDKRSAAAKHPRDLALIAMSLAQHAVVDAEAKVYDCVMDYATVASAIPVCSAMVYGYNNKLQAGAQHQLAPVDYFDCARRLRRGTAKCWFRVINTPDVKKLVIKEVYEALYRTELVAAMVEEMLGIVIKDHEPPQWI >Dexi7A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:7A:23248045:23248910:1 gene:Dexi7A01G0013040 transcript:Dexi7A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMGLGGEGSAAAAAPHVLAVDDSSVDRAVIAGILRRSQFRVTAVDSGKRALELLGSESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVHPADVSRLCSRVLR >Dexi6A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:6A:5787149:5791914:-1 gene:Dexi6A01G0006110 transcript:Dexi6A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEEEFHLHLDTIKTMATRILLLHLQVLGSMGNLHQHMLGVTSLHHMEHNSGSSDLVEARNKTANQSAAAFPVRGVGGDFVNVRAFGLSRSLRVASYPAAASSPASPSAAAFHNPQRRTCSAPLTLHLPHPGQWSSAPPHSLPPPSFGDVPNAANR >Dexi8A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:8A:8350077:8353818:1 gene:Dexi8A01G0007370 transcript:Dexi8A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSLSSHSSTPAVCSRSWSISEDSLRRYVSYASESCIQELLAASDSGRGSGDGDDDGWKVLVYQNGVEISKRRTGPAHVFRSRWLLQDVSPEQFMAAANAVDAAKQWESDQLVEASYIRELGDDLSIIHLKFDSSSSSTRRPARRRDLVVYERRQAMDDGTLVVAVASLPKEIAAGLLPPSSSKGGRGLLMQSGWVVEKLNDAGDVGGGASCVVTYVVQLDPAAGWLPRCIVSRLNSKLVMIIAKLRKIAQATVPVASPARSD >Dexi4A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:4A:3117381:3118700:-1 gene:Dexi4A01G0004370 transcript:Dexi4A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTAYERMRAEHPSEFAPASVFFTHDPRSAIDRRNSFRVKAALVYEAVTGHHVDDHMLRANSLLLALAKECHSRIHHAPPSTTTNNPATPEQERLTDEDWDENLKRVVDSIFLVVGFLPKLMDAIAKSPDRDGVDEAFKSRHMHDIVADVIKLENQLPLRDLLDVAGVVEAAVMATLAGYEFKDVRDSVAGGGEYKLPFTNDTFGEVVHGFCWYYSPFASPKKPAAASSPFHDLAGDDDEGMKTRTLLDCLHMSVVKPPQGAGMSATGRPSRMPTAKDLRRSGASETGRAEVEFAPPAVRLPALVYDFKLATVARNLLAREYEGQSKPVTRYFQMMNELVEDAADVRILRRAGVVRGGSGDGKEVHELVKNIDGHATYPSVYMALDREIEKVRQYHDQRMTSFFVRNRPGVIWASSVAAISVVAIVAARRNRG >Dexi8A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:8A:30108859:30115124:1 gene:Dexi8A01G0017970 transcript:Dexi8A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGAMGNIAPKLLQLLRDEYKLQKGLKEKVQFVSDELVHVNALLRKVAEVPWDQLDEQVKIWLDQLREKSYEMEDILDIFLVHVEGPVPSDKKDGKLKRKLKKKMDSLFSLFSKAKARHDIAGAIEDIMKQLKEVDERRARYKLDDVVAKAAATSRIDPRLVAMNNEVNKLIGIDKSSGELSRMLSPVGNDSNGKIKIVSVVGVGGLGKTTLAQAVYDKLNPNFGCRAFVPVGRDRDVKTVLRDILIDLDNKYMDVKYNILDERQLIKQLKDFLRSNRYFIVIDDVWSTETWNIIRTAFVENDSGSRVIVTTRKREVASMAEEVYYLQPLSDDDSKMLLYTRLYGGEDKCPLNHPAEASEKILKKCGGVPLAVITMASMLVGKSTEDWSDMCKSFYGGNEGQQIHDTEWILSLSYYDLPLHPRTCLLYLSVYPEDYLIEKGPLIWKWIAEGFVEMKTGTSLFQRGEEYFNQLINSSLIQAVESYNGVIDRCRVHDMVLDLIREMSKEVNFVTISNDDGEGTLQRNKQVRRLAHHNRPMKQTQEDDDMGMAKVRSLVVHGCKIGSWFLHPSFKLLRVLALEECSRDSAEGWQGLRHLGNLLHLRYLGLRDTRISELPEEIGKLKFLQILDLERSGVRLLPSGVCQLTQLLCLRGDDNMRAPDGLLKKVTSLEQLRLNIDNLDDESKQQFMKDLGNLSQVRVLSIYGVSRGGGMALQSELVQSLDNLHKLQHLRLVDYNFDGEDDTCEWVEWEDTVVLPRGLQVLDLDAVPFRRLPSCISPAHLQNLWQLYLRVEAIDEAGLRALGGLPELRELGLLARRSSIASTATVASINISGEGFFQKLRVCRLYYGWMVQLVLNKEEDDSKAGVVSVSFWNGNGAAPFGSRTKQQAGCRRSVEAVPPPVMPNLQQLYFEVPVRALYMDGNGGCDNLGLEFLPSLAKVYVDVDCTGATADDVEKAEADLRNAAQLHPNKLMPVIQRMKEHRMIAQSIDKGDEEDDSVHLDWPDSPGHLQNLCQLYLRVEAIDEAGLRALGGLPELRELGLTARRSSIASTATIASINISGEGFFQKLRVCWLYYGWMVQLVLNKEEDDSKAGVVSVSFWNGNGAAPFGSRTKQQASCRRSVEAVPPPVMPNLQQLYFEVTVRALYMDGNGGCDNLGLEFLPSLAKVYVYVDCTGATADDVEKAEADLRNAAQLHPNKFIPVIQRVMEHRMIAQSIDKGDEEDGAWYLTPAAGLAATRFT >Dexi5A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:5A:2718252:2720371:1 gene:Dexi5A01G0003580 transcript:Dexi5A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYLLLAALIASCHAQPTSGDRRSPIWPASLAALAAEGKLRTDLNATLPASMDFGNITSALPAAVLYPSSSGDLAALLAAAYSTAGWPYTVAFRGRGHSIMGQAFAPGGIVVNMPSLSGDGDSSAAGAPPRINVSADGRYVDAGGEQLWIDVLRASLERGVAPRSWTDYLYLTVGGTLSNAGVSGQTFRHGPQISNVYELDVITGHGEMVTCSKELNADLFNAVLGGLGQFGVITRARIALEPAPARTRWVRLVYTDFATFTGDQERLIKLLPDGHASGPVSYVEGSVFVNKSLATDLNNTGFFSDADVARVVALAGERNVTAVYSIEATLNYGGGNASMSVDQVLKSVLDGLRFEPGFSFQRDVAYVEFLDRVHGEEVALDKIGLWRVPHPWLNMFVPGSRIADFDRGVFKGILQGADIVGPLIVYPVNKAKWDAGMSAATPAEDVFYVVSLLFSSVANDLERLQAQNQRIVRFCDLAGIEYKSYLARYTSRGDWVRHFGSDKWKWFVEMKNKYDPKKLLSPGQDIFN >Dexi4B01G0021290.1:cds pep primary_assembly:Fonio_CM05836:4B:23306244:23307602:-1 gene:Dexi4B01G0021290 transcript:Dexi4B01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRLLPHFLRRGGPAVRALSTAAAPAAAVSSAEEDEESVTVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFNLSRYGNPHSRTHLYGWESDAAVEAARARVASLVGADPREIFFTSGATECNNIAVKGVMHFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRSDGLIDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEVAAQEMDYDHRRVSALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVRQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >DexiUA01G0018140.1:cds pep primary_assembly:Fonio_CM05836:UA:38705061:38726019:1 gene:DexiUA01G0018140 transcript:DexiUA01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVISCQRSASCPPPRAKNNLAGFWLGQKQSCGGLGASTSPTHEQSTARRGEAAPKISWPLPIKNTRQPQPSDQITDGGLRDVRRELRQPAVPHALQLHPKIPRSRLLKSPKPTQIPRSSCSFSSSSSSSALSQAPPRRRASLKRVRACGMEAATMAWTAAVAGLGLVYWFVWVMGAAEVKGKRAVDLKMGSITNDKVQDKYTQYWSFFRRPKETATTAATAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINDYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFPDASFDGAYSIEATCHAPKLQDVYGEVFRVKLYLGEKKNLHMVGLAAITQKHNAKGALEVATEGLKLG >Dexi3A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:3A:14061885:14065220:-1 gene:Dexi3A01G0018410 transcript:Dexi3A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAGSHLRSLKHHGAARLASTSVVKQSDGGLFGWLLGGKSSQLPPLDVPLPGITIPPPLPDYVEPSKTKITTLPNGVKIASETSPSPAVSVGLYIDCGSIYETPASSGVSHLLERMAFKSTVNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKNYTPEMVEVLIDSVRNPAFLDWEVKEQLQKIKSELAEVSANPQGLLLEALHSAGYSGALAKPLVASESAVNRLDVSILEEFVAEHYTAPRMVLAASGVEHDDLVSIVEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSPNTHIALAFEVPGGWNQEKTAMVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILSNYAQIESFSAFNSVYNNSGLFGIYAVTSPDFSSKAVDLAAGELLEIATPGKVSQEQLDRAKEATKSAVLMNLESRFVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIMSSPLTMASWGDVIHVPSYESVSRKFHAK >Dexi1A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:1A:22831974:22836085:-1 gene:Dexi1A01G0015810 transcript:Dexi1A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHETRSRAHAAAQEEGKAATKKQKTESKDQERGQHVASKNKKFAEFCKGIGEHLSVEDMRKILQANEQDASGSDDAIVPRCEDMIFYGPLEKCPICGGQLEFKGWKYKCTGNYSEWARCTFSTNDPSRRSGPIKVPDDIKDGFTQKWLKQREGKEFPKRDVDEEAHIFSGMMVALSGRMSRSHGYFKEQIMKHGGKVNNSVLGVTCVVASPAERDKGGSGGFAEALERGTPVVSENWIMDSIEKKEVQPLAAYDIASDVVPEGRGLPLGQLDPSEEAIETLAAEVKLAGKKAVHKDSKLEKDGGCIFEKDGIFYNCAFALCDLGNDMNQICIMQLVMVPENHLHLFYKKSPIGHDQMSEERVEDFGSRVNDAIKEFVRLFEEITGNEFEPWEREKKFEKKSMKMYPLDMDVGVDVRHGGLALQSVPESGPEADAFWTEISNKWFTLFPTTRPYVLRGFEQIADNVASGLETVRDINDASHLIGDVSGSTLDDPLSECYKKLGCTINPVAEDSEDYKMILRYLEKTYEPVKVDDVVYGVSVERIYAVESSAFPSYEKIKNLPNKVLLWCGTRSSNLLRHLHKGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGEEITEVTGTPGAEDAQGFEAKKMAVKGVGRKTTDESEHFTWRDGVKVPCGKLVPSRNKKGRLEYSEYAVYDPKQARVSICFLVGVKYEEQNMEVVLDDE >Dexi6A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:6A:23842595:23845101:1 gene:Dexi6A01G0015970 transcript:Dexi6A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSACSPETAATAPYENASCQRRCGDMDIPYPFGIGRGCYHYTGEGDITFGLTCNLTSTGNYQTISGESVEVVSLSVRHGQARILSDIQPWCYNLTSRSMGDNSLWWTDLSDSQFHLSDSDNRFTVVGCNSLAYVQSVNTGTTYMTGCMATCPDAGTLVNGSCSGMGCCQAAIPRGINTYGVQFDDRFNTSGILRFSRCSYAVLMEAAAFDFKTTYVTGGDFVKSTGGKVPLVLDWVVGKETCREAVRNETGYMCASGNSECVDSRNGHGYLCNCSKGYDGNPYVPGGCQDVNECEDKRYPCSVPGTCTNTPGAFICSCPDKTTGNAYNGTCEATKSQLGEKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYEFIPNGTLYQLIHGSLQHHGLVPRVSFATRLKIAHETAEALAYLHSWASPPIIHGDVKSPNILIDESYTAKVSDFGASTLAPTDEAQFVTFVQGTYGYLDPEYMQTSKLTNKSDVYSFGVVLLELLTCRKAMNLQAPLEEEINLSAHFLLAMGDKRLGDILDEQIKGEQSIELIEQVAELARECLEMASEKRPSMREVAEELDRVRKLSQHPWGQETSDEELKALLAASPGMCSEIELSNGYISMTDSAYLGVRSPR >Dexi8A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:8A:29040730:29042583:1 gene:Dexi8A01G0017320 transcript:Dexi8A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPEEFFAMGLMEQSPPSPPVFLNIPQKPSASSEGRHHIPDNMMLPYISRVLLEDDGEDKLSHDPALFQVQQPFAQILSCPVPFGTNTSNMEGANDLLQDGGSKERALDLVLSKSTEVAQAFLKGMEDANRLLPKDNNFRTDNLVNQIVTKSSSHSGAKKRYNRDDHQEEVRTSKAVMTMKEVEDSNVSEILHEMMSSHAYETCIRGMDKLHLLMENKNRKCSSRKTSTDFVDIRTLLISCAEAVAVNDRMRACELLKRIKKHASETGDATQRLAQCFTKGLEARLVGAGGQTWQLQMAETPSIVDFLKAYNLYFTACCFNKVAFIFSTMTVMQAMEGKSRLHIVDYGMNFGFQWAGLLRLLALREGGQPEVRITAVGPPKRSKSCPAEQIEEIGCHFRKCAHEFGLHSFKFHTIMKKWEDVSIKDLNIDSDEVFVVNDLFNFSALMDESVFSDSPSPRDTVLNNIKKMRPDVFIQSILNCTCGTSFLSRFREILFYHMALFDTLDATIPRESKYRLVLEQFELGSYALNAIAFEGVDLMERPEKYRQWQARNQRVGLRQLPLKARIIEVVKDEVMKHHHKDFFICEDDQWLLQGWMGRVLFAHSTWVAEDIYSG >DexiUA01G0027270.1:cds pep primary_assembly:Fonio_CM05836:UA:58407751:58408023:-1 gene:DexiUA01G0027270 transcript:DexiUA01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPNPGGTKSGVPDGRRDGLSRGILLQRPGFLCLGAVAGVARSEEPLPASEAATKPGPCIGSPRVWCYMSRSDQSRRRERTSAARRRW >Dexi7A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:7A:23537069:23540467:1 gene:Dexi7A01G0013460 transcript:Dexi7A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPLALFLLCILLPAPPVSAALLFGGGKSAKAAGMDMEWRPATATWYGDAEGDGSDGGACGYGTLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDRGICSRRAVTVIVTDECPGGGLCGFGHTHFDLSGAAFSRMAVAGAGGRLRDRGQLSVVYRRTACKYGGKNIAFRVNEGSTNFWLSLIVEFEDGEGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGAFSVRVTTLSTKKTLTARDVIPKNWMPKATYTSRLNFEPSL >Dexi8A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:8A:2985144:2987607:-1 gene:Dexi8A01G0003920 transcript:Dexi8A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSASPKETPSRLDLLKKTMKVIIRQLDDDDRLAIVAFNDRVIKEYTTGLLEMSSSGRIAIEKKVDGLVAKGDTAFKPSLEYAVKLLDEREDKKRVGFISLVSDGLDKQVKWSDESIAPTDPLRGLLRKYPVHTLGLCKAHDPKALHYIAKASYGTYSSITDDDNLVSKIVEAFAVCLAGFKTAVAVDACVDIRSGSLQITRIDSGGYTLRAASGGILVGTLYAGEVKDLVVYYSYRTGSWSRGFHTSLSGITASVTYKDVPAGWSSTSDTCSVSLPVHVSDTASPPANPCPPHPVVLQQMVRFKVVDLLTGVLKEFQLLKEEAASGGAVHGKEGDDPVLQAVTAGSLQRRWTEFKKSDESWKEAPRSFVDLGGVDKDVAAMVGALKQGLGAGSVYSWVSSHQMQRATTAAGRFLTPAMATMVGEARRQLEKEAASTLDDDGTTTTSLVCKRTVELLDGINKRFELWCNLNHDLPSSHEDDESRDLGAAMRGDINQAKQHHIYLAADHAIKEWRSFLASVPAHAQGK >Dexi8A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:8A:6053242:6059334:1 gene:Dexi8A01G0006070 transcript:Dexi8A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAPTSTAVLFPAVVAALMAMALQLSAAAAPAPPPIGKPGCNTTCGDVSVPYPFGFGPSHCYWEGLNLTCDTSHDGGTPRLLLGDGSLRVTGINVSDTEPTIRVVRAGFLINSTAGGRWNAPFGRGFTEHGYLLSGDNELVVFGCNVVATLLVDGIEAAKTNNTAGRIGGCTSLCAKRFDGFGQFFIDTWDVDDVPGDVDCSDGMSGCCRSPVTMPAPPREVQAMRLHSGSDTAEEMQLPVNVFVAEKGWIDELHNMSMRADEVREVPFVLKWSVTHGLPPGPELDDGSICTDEVHRMLCKSNNSICWNANPGPGYTCQCEVGYEGNPYLAGAGGCKDINECTTFSSEQNRCFGECINTIGSIYCRCPHGTYGNPGVKDGCAKIDPTTDDVLLPTVDPQPIALPNNCNDTCGDVRVPYPLGFGPSRCYWPGLNLTCDTSHGGPPRLLLDSNGTLQVIGVSLSDSTLRVVHHTRITPDDVVFNYSVNLPRVNGHKVITSDVSFQLPHIGESYMLSARNEFVFLGNDMRATLYGHKYRNGSGGAYNNITGCVSSFNSGTFDEYRNCSVRDSCASSVISGPEYRNCSGRDGCCHAPIFPGSTPKKMEFKIGSIYSSWAFMPLAFVSEEGLTAHWWHTTLNSTSDWGNHYFSSPLVLQWAVKQGFQVPAGNSSGKCPEDVASRLCRSKLSMCLQENGGYTCYCNNGYQGNPYIFDGCKDIDECKITPQRCFGHHCHNMAGTYKCTCRLGTFGDANKPDGCVSLSSALSKFAKENKIALSAASGPVLLLLGLGIILVRRKIEQHKMKVLKQKYFKQNHGQLLQQLMSHRADIAERMIIQLDELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKSKITVQNEINEFINEVAILSQVNHKNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPRSLSWANRLRIATEIATSLAYLHSAVSIPIIHRDIKSSNVLLDDTLTAKVSDFGASRYIPVDKTGLTTRVQGTIGYLDPMYFQTNRLTEKSDVYSFGVILVELLTRKKPFSYLSPKADGLVSHFLDLHVEGNLIQIIDPQVIEEGGEEVEEVAALAASCINLLRGDERPTMRQVEHTLEGIRGSRMPENHGMVVANMENDSVEFSCPLSTKEGQRFEESSRRYSLEQEMMMSARYPR >Dexi7B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:7B:9965098:9968282:-1 gene:Dexi7B01G0004070 transcript:Dexi7B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSAAVSMGNKHPRVLLPFTCDSLRIPDEIAEGIGAEEAVVFGPTSGEAAPWRVEVGWDGDGAFLGRVWPEFAGACGAGVAWYLVLRHRGGGVLTVKAFDASSCLRIRELGAPVPPAVEATAGSKYAARKPQFIRVLPRDFMEKLLISAKFVQQYFPNEHLNNCTTIVLGPHGKVSHIELERNWSDVFFAGGWPQFVVFHNITEADALLLRYEVSTSSNIEEQKQEAPSVSIQKHWKNNGLSSDGVNKPKGLMTPLNEEPQPLWMNPVYEIGAQSSIKKQINANTLKELYLHPVFCNAIGIREPCIITLKTSMSSTRSWQVRVAPYEGSSHHVSGSGWTQFCRDNRIKLGDVCTINIFKPTLWQVVINSPE >Dexi7B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:7B:19544588:19548817:-1 gene:Dexi7B01G0012840 transcript:Dexi7B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHAARLPLPSSIPGSSSAEDEKKKKNRRDSSSRSAPPAGDLVAVALTSSYSVWASALAVSCAACELELLLCSPARAQLQLGDILLCSSRRPAAAARKARAPAPPTVNPHDQLVEAQFWDRVLGVVRYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLMYELGYLIRKPSREVCIFLAPTIPLVCQQAIVIADSTNFKVQYYHGNGTKNLRDHQAWEKEMAEYEEFYNVVDKPPRVFGMTASPIIGKAQELLGLKF >Dexi1B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:1B:21268456:21269085:-1 gene:Dexi1B01G0014870 transcript:Dexi1B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACAYGYQGTRPLAPARSSPADPFLVHFDDEAEAVTPPPPRQARAPPSFASRHIGICTEGLGSESSGDVDLSDLSDDVNGGEDAGAEVRQPCKRQHRDDGDEEEEEPPGRARRGGRSPALFPPPISVIGAGGKPWLYLRHHREGGRLVLREVKIPSRELLQGRREGGRFKLQFAQPQPEEEEQVGVGHHQCQDQPPDAVARQEGNG >Dexi9A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:9A:443497:445186:1 gene:Dexi9A01G0000840 transcript:Dexi9A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHRRLASLTKLLTTHVNAARHRDALSLFSRMLSAPDLPPPTDPSFAHAFPLAVKSATALRVPCAAASFHAFAAKCGLLASPFLASALIASYGVGAGASRELARRLFDELPARNAVVWSAMISVHVREGDLAAAASALDAMDVAPTASCFNTVIAAVAESGEHPARAIEVYRHMRRVGVAPSFITLLALVPACTAMGALTSIKEVHGFAVRHGMSARSHVGSSLIEAYGRCGSLAGAQRVFDQVEDRDVVVWSSLVSAYAFHGRAEVAMSLFRHMKDQDDVRPDGIMFLSLLAACAHSGHADDALQYFDVLTKRKYGEVGLAEVAGQALFEIEPENAGNFVSLANIYSGRGMHEDAERVRREMEQRDVAETLIL >Dexi1A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:1A:7072470:7076305:1 gene:Dexi1A01G0008990 transcript:Dexi1A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRLWRDVARGGAATPSLALLCAAAGCSDSSLNAASAAVTAQDVAAEESLGANPSSDWRGGAAWYGFSKEIVECPGYWPSSAHACGFCMGFLRNPKAFLMVLKAAIESTDYRFILFSSGYQPLDSAIQSIASSVTNASEASSLGDDNALLFNRRLFCFSGSIPYSWLFPRCAAAIHHAGSGSTAAALRAGIPQVLCPFLLDQFYWAERLHWLGVAPEPLQRQHLIPDNDDALSIHNAADVLLGAIRSVLLPEIKDQATIIANRLSFEDGIGEALRILKERVLIDDKI >Dexi6A01G0006670.1:cds pep primary_assembly:Fonio_CM05836:6A:6447638:6448258:1 gene:Dexi6A01G0006670 transcript:Dexi6A01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTAAGDEGWRLLKNPYDSIEYTNTTVFNGKVLAVTKFEGIYSWDMEGGAAAEPTLLQGPEELFTDDPNFKREFYLFALSDGGELQVRVSLHELDASSSKWRRVMDLDDDRALFVGGNHPFYVVVPQGGAKDLQADYVYVMGLNACDAAVFDLKQADDDQFYIAWQLDYPSMSNSQ >Dexi1A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:1A:22725415:22726944:1 gene:Dexi1A01G0015600 transcript:Dexi1A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAAAALMASFSAAAGEGGAAATAQQELRRGFSVSHDESYSQFQPVLADPTGTFALGFLRVNTTMLDLAVLHLPSAFPLWRAIPDRPAPWSSSASLTFNDGGLALIDRAANNKVLWSSTAVASSASAGDRVLLLNTSNLQIQTTTSAAAGVVWQSFDYPSDTIVQGQNFTSAAALYTSDRRFAMRLGSNYFALYIEPPPSSGVAAATSAAAMYLKHTALEAKAQIVAGGGPIYARVEPDGFLAMYQKEGPPADVLSFDTFNRGVRAFRRMTLEPDGNLRAYFWDGTRWALDYTAITEPCELPTTCGAYAVCAQPTGRCECLANATDGGSGCAAPAAVVSSLCGATTGGLYGAVRRQGVEPVNKELMGFERAASAADCEARCERNCSCWGAVYSNGTGYCYLMDYPAQLLVEGDERKMGYFKVRSMEEEAAARGGSGSRRAKAALLAVGVAAVVAAAAFGAYRVWERRRRNAMDARRQLGAVDDEGLSPGPYKNLGSFSSVELTNSFRR >Dexi5B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:5B:4853564:4854693:-1 gene:Dexi5B01G0007240 transcript:Dexi5B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAIHWGSSSRGCLEPDEASSDLQSRLRDIATWSLQNNAEESDANGLEQLESLLRNTLRDTKAKRV >Dexi3A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:3A:1050100:1052571:-1 gene:Dexi3A01G0001550 transcript:Dexi3A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIPLPPRNKRRAKAGGAPDKPKRPRKDRQSKEDPNATAASAAGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTSVQNKKKGRQGAYDTEEAAARAYDLAALKYWGPETVLNFPAPS >Dexi2B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:2B:3237317:3240718:1 gene:Dexi2B01G0003720 transcript:Dexi2B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCRHSLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPPVLTTWGNQTDFCYGGDYKTSSAFVECYGDSVTQLHIIGHGGAPPLPKTFSIDAFFTTLTRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNVSGNYLYGELPETLSRLSNLQTFIADDNMLSGELPGWLGKLPSLAVLSLRNNSLQGPLPVSVGDMASLRSLTLASNNISGEVPDMSSLKNLQVIDLASNSLGPAFPRLGRKVATVVLAGNRFADGLPSELTSFYLLEHLDVSRNRFVGPFPAATLLALPSIEYLNIAGNRFTGLLAANMSCGDNLRFVDVSSNLLTGNLPSCLLTRASSSSKTTKVVTLFAAANCLSAPAATGAGEVAVVGWQHPSVFCQNQALAVGIVPDQSRSKKSGAKAGLVAGIVAAALAGAVLVGVAIFLAVRKVTMRRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFELSSLLGQDAHGQMYRGTLSNGTPVTIRSLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLPWVQRISAAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYSSDRVPNGDKMDIYDFGVILLEVVTGRPITSIHEVEIMREQLQSAVTSESPARRRLLVDQAASRACSDESARTVMEICLRCLAKEASQRPSVEDVLWNLQFAAQVQDDWRGADSRSQSSEESPLSPSQIPRESIAGATPADAC >Dexi1A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:1A:9029944:9031332:1 gene:Dexi1A01G0010440 transcript:Dexi1A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAGRSSFLLLALLLCFSTAHGQLSETFYSSACPSLNLTGIVNRVLRSELSNGNQRMGASLLRLFFHDCFPQGSLRGFDVIDTIKKTVVSETNCSVSCADILAVAAREAVVILGGPSWTVKLGRRDSLQAFKDKAQRDLPDPSFSLQKLEAAFRNKGFDPSEMVALSGGHAIGSAACTFVDDTVRQVRCTASTVLDPNSTRVPLDKTPAIFDNQYYVDLVDGNGVLNSDRVLVGQGSDRAGQVQTYKTSKDSFFKDFAKAMAKMSEMGVLTGSQGQIRRVCSKLN >Dexi1A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:1A:26676166:26680219:1 gene:Dexi1A01G0019600 transcript:Dexi1A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASVARSLLRECECRNHRPAGGGENTLLRAGYGGWVLYAAASAGDVAFVRELLERDPLLVFGEGEYGVTDMFYAAARGGSADVFRLLLDHAMSPRCSTNCRDGEGGSGRGSVFRLEMMSRAVHAAARGGSVEMLRELLEEGRSSVSVYLDVRGSTVLHAAAGRGQLQVVQYLLASFNIINSTDNHGNTALHVAAYRGHQPVVEALVAASPSTLSAVNNAGDTFLHSAVAGFRTPGFRRLDRQLELMRYLIRERSADIQKIINSRNDAGLTALHLAVLGCAHPDLVELLMTTPSIDLNAEDANGMTALALLKQQLRSATSDRLIKQIVSAGGVLNSSILRTRSAIASQIKMQGGIASSPGTTFKVSDAEIFLFSGIGAAESQRPSSCSSNGNDGPAQVDANGAENHGSSEKRLSSATRAKDRLKMMLRWPRHKEKMPKTPKKSAESSPLESIKRLSEHGVETPAPLRQKFTKTTALNGKRTLAVKSSTPSSSATKKKLNTKLIHGIMEAMPQLAPSSAARSQSPSDTLPRSSLSSTPLPLAKLKDICLDDEVTVVTPQVVRLKDMVLDSDDDPSCSNSSSIDDGCGVTEEGTARKHGCGNGRLINICFGAQGLTVEDTVSGQPTSRMFKQQCLRVS >Dexi5A01G0035560.1:cds pep primary_assembly:Fonio_CM05836:5A:37333180:37334436:1 gene:Dexi5A01G0035560 transcript:Dexi5A01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIAFLWQMKAILGGFLLSTLSVTGSQRRRRRRRDDLRLHSAQAHAAVSVAQLAAAVAGMVSVCELRPPAAATTTRGADPGDGGRRMDAVLASAAALVATVCAEAAETGGANRARVAAAVRAGRESRDPGELLALTAAAATSLRGVAALKIRGADVRGIGGGNSVSIIRASMQKGTTLRVCLPCGRVRVRTAAIFLLGGKVVLRLEKKLLRGTFTTHKQYEISAVLGGGGGEAVVDGRRLFPLALRLSTLGGAATTVQLLFEHQAHCKAWTASIDGMLSEARTKVHRAHTMN >Dexi9B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:9B:2062023:2073421:1 gene:Dexi9B01G0003610 transcript:Dexi9B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAALRLPLPRLLLLGPSASVLGAASRRRAAAAPAAALRFLASSHPRPAPFSSRPARPLRSRRRDRDSDERAAAAAGGGDGGDGGGGGVAVTERIVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLSEAMFLTDLELNTVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSVIIQNPEATLQELLECMPGPDFPTGGTILGNQGILEAYKSGRGRIVVRGKTDIETLDEKSKRAAIIIKEIPYQANKATLVQKIAELVEEKVLEGISDIRDESDRTGMRVVIELKRSADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFLDFRCSVIERRARFKLSQALERKHIVEGIVVGLDNLDAVIQIIRETSNQAAAIEALVKEFDLSEKQAQALLDITLRKLTSLERKKIVDGTKTLSEEISKLNELLSSKKLIFQLIFVFLPRFTGSNIYHLKILSEKGYVKRMNPNTFNLQNRGTIGKSVGKMRLNDTTSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRTATGTPLVQLLSLSDGERITSIIPVSEFGEDQHLVMLTVNGYIKKVPLNAFSSIRSSGIISIQLVPGDELKWVRRCGNDDLVALASQKGRVIVNSCDKIRPLGRNTRGGCAMRLKEGDKMAAMDIIPATVHKMPEIYNRRLRDPSPPWLLFIAENGLGKRVPLSAFRQSRFNVVGLTGYKLPADCRLAAVFVAGLSLDDDGESDEQVVLVSQSGTANRIKVKDISIQSRHARGVILMRLEHAGKIQSASLVSAAAAAAEVAED >Dexi6A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:6A:6589326:6590485:-1 gene:Dexi6A01G0006760 transcript:Dexi6A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASEGGLTAARDWAALPSDIVLDVFLRLGPHEVMLGAERVCKPWRHVALEEPTLWRHVGLDEPHYTNERWMCCPCSVKQQMLRVALDRAKGQCEAFQGTCYDSDLLLLVIRAPFLKSLSIQHYGDYGERLVKVLKKLTLLEDVEVYFNNRIDWDKSMLQSICKACRHLKKLVLKHASAFDLERDEDEFVKERVDGPIPVMRNLHTLKLYDFDLSCKGLNAILDGCPRLETLLIDCYFDKGKMDKELKLKCARVKNLTLDTMQKPPLRWLF >Dexi4B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:4B:21799579:21800860:1 gene:Dexi4B01G0019610 transcript:Dexi4B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKRSATVARPPVKLTAVWPGVCVPAVIPIRNEDASPCGSDVTASRRSRLAGRQPAAIDGDAAAAGGRSTAAWAPLVPLVGDWVSDSERGRGRISDASRKVRKLPRGRQLHDGDASADHGRRVEEHNDPTDDDAWLTPSSASSTSSDRSAAMAMSDTGSSFAAQWAELYHHDLSPGLPITDDTTTLTADSPDIFTSTTVATTAAAASPPTSGGSTGGSPTKAAAALGVDGARVGKPARRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPTPPAGAFGGPVINFAGDYGGGFPSSGVMSFDHLHRSHPPPASLHDQLRRQQQYTGGNFGGGYNGGGSLLHGGAGDVFASHGLSSAEDRMLLQSIQQAAHHMPPASTANGFFA >Dexi3A01G0035870.1:cds pep primary_assembly:Fonio_CM05836:3A:41245668:41247199:1 gene:Dexi3A01G0035870 transcript:Dexi3A01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSPPRRRRRTAADDAAGAATRERDSSPWSSLHEDMVSQIAWRVLAAGDVLDYIRFRAVCANWWSSTSSPRGRGIVDRRFHPRRWMMLPEGHGLHPGHGKLRGFIRFFNLSTGAFVRVQLPLFKDHCVLDSIDGILLLQRDHDTAIRLLHPFTGDILDFPPLETLLRNKGQIRFQGEMKEWRVKRKWRIGPID >Dexi9A01G0024690.1:cds pep primary_assembly:Fonio_CM05836:9A:21223695:21225418:-1 gene:Dexi9A01G0024690 transcript:Dexi9A01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPDTFVAVLATVITLVMLVLLRRRRATCKQRPRLPPGPATLPFIGNMHQMVWNKPSVSRWINGLLGRMGADIVCLRLGSVHVVAVASPEMAREVLRVKEAVFMSRPSTFASNIFSYGYKAASLTISEQQWRKMRRVVTSEILAPALDRRLLAQRVEEADHLIRYVFDQMNTSCDDGCINIRHVGQHFCGNLIRRLVFGKRNFGGEAPEMAVGGPGDDEVEHVNALFTLVNYVYSFCVSDYFPALVGLDLDGHEKVAKGVVKTFDRLHDPIIEERRHEWANRREAGQKREVADFLDVLVSLEDAAGQPLLTFEEIKAQIVEMMFATVDSPSNAAEWALAEMLNKPDVMRKAMDELDTVVGRERLVQESDICKLNYLKSCIREAFRIHPFHAFTAPRVAMEDTTIGGYLVPKGSHVILSRIGLGRKPRVWPEPLEFRPERHLVGDGNGMVVLGEPDLRFVSFSTGRRGCPAVPLGTSVTVILFARLLQGFTWTKPPGVDKITLHESRSSLSLAQPLVLRAEPRLAAHVYVSS >Dexi7B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:7B:25389842:25390606:1 gene:Dexi7B01G0019930 transcript:Dexi7B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSVKMETLKTADETAASAAEEEEEETQPKPIDNSGSVGGGASSTAVAAGPSKPVCYQSSHRSDTCEAAGNVCVLGRTQTIQISSLEQEWKVKPYCRKYDAFVLSHVKEWALRPLSTGSDALRCTVNNSATARRSERGESGAAVGR >Dexi9B01G0045500.1:cds pep primary_assembly:Fonio_CM05836:9B:44972991:44973184:-1 gene:Dexi9B01G0045500 transcript:Dexi9B01G0045500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRDWHSEARPAAAAAVAAAARDAVEGLAPIPPTSKERVPAGRLCGGRAKAREAAEDRH >Dexi9A01G0034490.1:cds pep primary_assembly:Fonio_CM05836:9A:39273185:39274294:1 gene:Dexi9A01G0034490 transcript:Dexi9A01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGIPHLMCGKSCRLRWINYLRPDLKKGTFSQEEEDLIIHLHSLLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPTTHQPLAAASSSRAAVFGDADLIPPTTTTTTPLQAPPPLADPTMLDWPVVSVDMDALQQHCGIPSASSSSTLTSMAADAAEHCNANVVGGLPWLELGANAGVDSSYAGALAEELRWSEYYFDGAFQAQQGGGALLQQAAGQQCVYSGNNKDDVAVHFDVHGLSNWC >Dexi2B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:2B:24857692:24861853:1 gene:Dexi2B01G0014900 transcript:Dexi2B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPPFLSAKPPFPSSLRSAHSPRVRCSRVTTATASVSSPTPPSASSPSTSSPAASDGAVGGKRKKKRRPLKPSFEDQALRRWSARAPTQRASVPWEQTQQQSPSPPHLSGRESGSTGSQRNSGGGSSATLRSIVDYFSGGCSIDDGDEGVREEEGAGNDSTALRDVAPRDKDDLSHFRPSYLVGNMPVSAPWMHGEESSNDQWLSSSVDEGEKGVDMDDICDDELGLMEGDDEDLDSGKDLLDGSSEEELYDDYAVPTVNSSYGVDLAVDSGSKRDGFDSSMRRGSVSSIVNTLRSSMAETNPNIAIERSNAEDFVQKMGSVLLPWEREEEEDDDAFDVGKTGRRSNTELAERTIPEHELRRLRDAALRMQERIKVGPGGVTQDIVESIHKKWQVYEVVKMRFEGPPSLNMKRTHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLRCVQSYAESAEVDSDKEVADGNSAVLIFGSHTLQKSRVNGVKHSTSGGNFSLELEANEAFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGIVPGYKPPYRVLPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSTIAKIAIKRGVPNTCNDRMAEEIKVKLYKSSVYVTFIFCVIKHLQKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITRQDEEELARLKASASITTIPNESRGPLVAGTLAETTEAKSRWGDSLNDKQREEEMKRLALKKHASILRNLKRKLILARTKVAKAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGMSDAFHYTVALKHHISSLQDKIWKLNTQLVKMKAAKETEDVKLLQTVEDLLSDDDDVEDEGEEAYLHTYSSDEEEDGEDDPMNFFEL >Dexi2A01G0031750.1:cds pep primary_assembly:Fonio_CM05836:2A:42479191:42484839:1 gene:Dexi2A01G0031750 transcript:Dexi2A01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVLMLDFAFSFRGSTREAALVKLVDAFESFMLHGLVENNSTPVLLFYDTVSGCSLLEHLGLLAITLGAGSSSHEIMEESHPQLCRVLQAWSDASKMISALDCLAVITFVGATDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPPVLAAAISAWTFLLTTIGSWRINTDSWKEPIAFLSTLLEADDRAVRMAAGEALALCFELKLLDVSSCEDDDGNTGGTGGSNNKLFLDMQALKAKISGLATNLSAEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESIKIAGKRDVLRVSSWSELIQLNFLKRFLGKGFLKHVKENGLLQDIFDIKVDTTETLSSTDKKIFRSEEEKERALKLNKERRLAQARKNAAMLVE >Dexi7B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:7B:16046178:16047772:-1 gene:Dexi7B01G0008200 transcript:Dexi7B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRACSGSPIFSQRRGGRRRWRSPAAQGRWRWQRQREERVAGRVWEQALGEAFFLLYTPFWLNLCIDIIVPFKLDEVLLLIDQGPHSQLYSRAMCRGRVDHCSRRCRATGAGPCKQTPLMMTTNWELSLRLEASTGLSGTLQRSTHLGLEHGEREQRLATEEDAMAVQHALGQLDGRRHRQIQKDDIFWG >Dexi4B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:4B:10200001:10202276:1 gene:Dexi4B01G0012400 transcript:Dexi4B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKQRLIITPLVLLLLASLFFAPAGAHDEATPGLHPVILLPGYSCSQLDARLTDEYEPPTPACGVAKQGRGWFRLWENYTALQVDPTLLPCFHDQLRLVYDRAAGDYRDAPGVETRVVSFGTTRSFRFDDPTLKCIREVHRRAELAVLQQHPLCSPAGGARLHRRRSRGRSRSGLAACCAFASVEVTAQYDFRYAPAAPGVAAWSFAGFRASLKPLVERASETNGDKPVILVSHSFGAFYATQFIDRSPLPWRTRYLKHFVMLCAGGSGSPGIMQVLASTMGTSPPTRLAMFGDRSFESALSTFPSPEVYGDTPLVVTRAKNYTAENIPEFLATVGFSDEEVERYRRRAMPLTRSFKAPIVAMTSINGVGVPTVDKIVYWDGNFTEKPQVVNGDGDGAINLKTVLALEKLVGDDPDQRYFKSVLVPNTTHSGMISDVSALRIVVSEILEANLATSG >DexiUA01G0001120.1:cds pep primary_assembly:Fonio_CM05836:UA:3506795:3508091:-1 gene:DexiUA01G0001120 transcript:DexiUA01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVEIAAFRVRRKKMRWGGEEKTGGGYRVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRR >Dexi5A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:5A:10081887:10084127:1 gene:Dexi5A01G0013440 transcript:Dexi5A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPLQEDADTVTPPVVRTPPRHMATGTLCPTTWIDHHRSRAHSAVYLSLVRDDEEKNEMACLPGSHAPMRRGRKKQQRRGQPCCVAGRGHCWFGLARAPRHAPGSVIGAYTSAMCLRRECAPSLLLVAARRAGKAHSVHVRNTVKISLYDTRTVAPHILSPTDERPATGPHTHVIGAFGPVPTDFVNPAHVTYVQEASAVRCHSCGHPRCSHRLLALPLRGRWRAWLEGKARKIKAKQANNRSRCSMRIADPDDRSEAPTGIALRQSRSDARWHAAKPFAGTWLYTCTRFLCGVSKRRRCWPPASGPFHRLRPGQGQGHSIPNLI >Dexi7A01G0021900.1:cds pep primary_assembly:Fonio_CM05836:7A:30378298:30379527:-1 gene:Dexi7A01G0021900 transcript:Dexi7A01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPLPSTSAISATTATWVHVIKLSGYSHAKRLLDTGERVTSAVFQAAGHSWQVHVYPNGGNAECRPGSIALYLELVGNSKGDVITAELHFSLLRHGAKLHKPEYLEYDTILIRCDITVPDLVVESRDLPELSLLHAGSSSKKKKASQSHSMARLPAPSASTIAVTASTGCHVVKFSGYSLLPGNGKHVKSVEFKEAGHAWRIWCSPDGDSEETAGHVSLYLELAGVEATDVHAEFEFSLVPHGHLAPRGDATCGARATYDTEDKCFGIEDFMEREELEESEYLKDDCFYIRCDIAAMNKPVAKLLHGAEALGLLCCCDDHELCKNIHGRRDKVEADAYGNPWRCLGMLLSCLCIRSKPSRRTVFGVEYSRL >Dexi2A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:2A:13564596:13565160:-1 gene:Dexi2A01G0011690 transcript:Dexi2A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAASGVFAQSSDSGGYRLTPVSTLLLSDNGGGCRSLKQMVRLELSPFCVSPAANLAEWFATDDETTPFAKTFGTDHWDFCGRDPGFSAFFNDAMACDSRFVMDAAARTGGAAKAVATAFLHIECTVLDLPHVIHGIPADGQVEFVAGNMMDFIPQADALLLKVPN >Dexi3B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:3B:2325411:2330358:-1 gene:Dexi3B01G0003510 transcript:Dexi3B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAIALLIAALVLAGHVVPPAGGNCNDGLTKSTSTACDSGKLGNADLTGVGDNIKYVWALPSLSSYLPFSADRRDVVAGGKAIHGGFRYPHIMWSKDLVLQRFVPTKNIGGNTLFLDERNLSVSSKEQRNNIQKGTKLV >Dexi8A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:8A:22250540:22250953:1 gene:Dexi8A01G0012640 transcript:Dexi8A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGETAARAKAASRAAGAGRWETPSGWGWRRGRHGALPGKAGLARRQQVAADPAAGADGDEAGGPRGGTPRRTRRRRRHITGELEEEVGEKIEEGGPPGIGGDADEGEVGGGGRRRAAEDEPRKTKKSRASMICAE >Dexi3B01G0033140.1:cds pep primary_assembly:Fonio_CM05836:3B:35494302:35497789:-1 gene:Dexi3B01G0033140 transcript:Dexi3B01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEQGVEAMRKGDGEEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDAALVDRVARLTGRQPHCFLRRGLFFAHRDFNDILDLYEKGEKFYLYTGRGPSSEALHLGHLVPFMFTKYLQEAFKVPLVIQLTDDEKFYWKDLTVEETKRLARENAKDIIACGFDVEKTFIFSDFGYIGGAFYENMARVAKCVTKNKAVGIFGFSSEDHLGKYSFPPVQAVPSFPSSFPHLFAGQDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSAKEIKTKVNKYAFSGGQDSIELHRQLGANLDVDVPIKYLNFFLEDDDELEHIKKEYKEGRMLTGEVKQRLIAVLSEMVARHQRARALVTEEMVDAFMAVRPLPNMFC >Dexi9A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:9A:4287458:4289011:1 gene:Dexi9A01G0007400 transcript:Dexi9A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYLSFTAAPSSSRAGRHQHPQLRQTTRAAASATDRQREVVSPKRRLPLRKVPGDYGPPMVGALRDRLEYFYGPGGRDGFFTSRVRAHGSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYVDPSEPNHAPLKTLLFYLLSHRRQHVIPKFREVYGDLFGLMENELARVGKADFGHHNDTAAFSFLCQALLGRDPTESALQGDGPKLITKWVLFQLSPLLNLGLPKLVEDSLLHSFRLPPALVKKDYERLADFFRDAARGVVDEGERLGVSREEALHNVLFAMCFNSFGGMKILFPSLVKWLGRAGARTHGRLATEVRDAVRAHGGEVTMKALSEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGFEVREGEMLFGYQPMATKDPRVFSRAEEYVPDRFLGDDGERLLRHVVWSNGPETSSPTLQDKQCAGKDFVVLIARLLVAELFLRYDSFDVQVGSSALGSSVTITSLKKATF >Dexi5B01G0023860.1:cds pep primary_assembly:Fonio_CM05836:5B:25958383:25959203:1 gene:Dexi5B01G0023860 transcript:Dexi5B01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKEQLDLVLVPLALAAWLGYHLWLLYAILRHPTRTVIGLNAIARKRWVAAMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGVTSPASSSSSSSSSSSKAPWLVYGSKAGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGDETREEFAAYVARTVNRGSYAWSLGLRAFYVSLALFLWTFGPIPMLACSVLMCGLLYFLDTTSDHGHHRGSSGKDSSAV >Dexi4B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:4B:827338:829857:-1 gene:Dexi4B01G0001370 transcript:Dexi4B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQSLNQTVLCPASIDKYIQNLTSSYTEQSNEASMVSASVIMFVLAGLFFNLNLFSGISDVSATLDPKVRLFLSSALSLLLPVMSYLFSEAKNSTKSSSGELSLGALVILAWMLLVELLRKKVDEIRMRGYSGSIQRAARVVWLGSLVFFNIKTSGRKAVFGILWILCATRVVQRIAFTEIGKYSYAHGKNARLIASYMAKILKHQDQRPAVAADAHGDIEQAAAHVQQDGHERLKSCKYIVMGEESLAPEPTADGYKIDTASIDGDSGIITVGKVWKLDENNNDVLFTSPDQVRRLKRLCLSFALFKLLRRKFEHLPEVTGEEADDCKDLIFRGLLHNNHSSSNNGGNNGISAAEELFQVINDEVIFLSEYYHSVVPVVLASPFFLLVNYFLVLFVVAILCAMTVVLCGNGDVFYAFNSVVADNYTFHSGIGNIAICLVIKSKNSPEAFFSIVDLSITILLFIIYFYEEIWEFFVFVLSNWFMVSLVCSYMARPRQWRDSRYIRYAVHRIIWLRSKLNNGSSLSFRQLSVLSLRWPLGAQLCSPFSLLLKKELVPRNLKESIVDRLMEHDRLAGTVYYVPQSNGKSALHRNFLFDKLSWACRSDSVSEVFLTWHIATSVLEVLVECGGNERQEEEDEAVASWSRAATWVSKYCAYLVIFHPELLPDNPEKAERVVDGMAAELAGIFWFWEYYLCSHRARVNKIMKAAAEGHSDGDHGQDEGGGGVVRNGARLGRLLAEVAGSHGRGTVWKAVADVWTELVVFAAPSGEEERVKGHGDVLVQGGEFITVLWALATHAGVSRDSGGGGMPAAAKTLEDLMGESMRGPPPPPPVAAVEII >Dexi4A01G0024420.1:cds pep primary_assembly:Fonio_CM05836:4A:27211361:27213743:-1 gene:Dexi4A01G0024420 transcript:Dexi4A01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVWVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLETQPAVEGLVVATSLIGATIITTFSGPVSDQLGRRPMLIASSLLYFAGGLIMLWSPNVLVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMFFSYCMIFYMTLAPAPSWRFMLGVLSLPSLAYLALTVLYLPESPRWLVSKGRMKEAREILRMLRSRDDVTGEMALLVEGLGSGGDTVIEEYVLGPAAGDREDEEHDTRDQVTLYGPEQGLSWVAQQVQGARSSVLGSAVELASRQGSMYEQMKDPVVTLLESVHEIKMPDAAAGGSARGSTLFPNLGSMLSVAERPGDWDEENVMMMPPNVDLDEDDDEEEYLSDDEDAAAAAGGGALKAPLLSRQSTDVEAGSKQEGGSSSMQRYSSMTAGETASTMGIGGGWQLAWKWTEKAGPDGVRRGAVKRMYLHEEGGGEGGGPASGGGGEYVHASALVSRSMLYTKGVMIGQSPTPAFENPPETVANKAAAASGPRWRELLEPGVRRALLCGVLIQLLQQFSGINGVLYYTPQILDQAGVSVLLASLGLSADSTSILISGLTTLLMLPSIGVAMRLMDVSGRRSLLLWTIPVLIASLLVLIVSNVVPMATTLHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFGFYAVVCCIALVFVYMKVPETKGFPLEVIIEFFNIGAKALPSSSPADAHHQQSPQAQA >Dexi2B01G0031400.1:cds pep primary_assembly:Fonio_CM05836:2B:39579275:39581932:1 gene:Dexi2B01G0031400 transcript:Dexi2B01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYTEEAMERESATTYEPKRNSEIRVFESSDEMATDLAEYISQISEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHADSNYKLTKEGFLAKVPIPNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESTDCPKFDLILLDMGPDGHVASLFPNHPALELNDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDDKVKAVNLAVSDGTEGPDIPASLPARMVHPTDGKLVWFLDKAAASSLEVENGEHHEY >Dexi3B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:3B:14085722:14089177:1 gene:Dexi3B01G0018980 transcript:Dexi3B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKACRHPRPRPPRLRFTPHRTVPSARWPATTTTQQTERARTRRRKNHAEPERPKETIMACLRVLLPLACACLALLAPRHAAAQQQQADEAALLLRIKTAWGDPAPLASWSTSTTAAHCNWTYVACDTAGKVTSLSIANVTLGGVVPDALGGLVALTELVLHNTSVGGGFPAFLYNCTGVTRVDLSHNYLAGELPADIDRLGGNSLTYLALDHNNFTGAIPDAVTKLKNLTYLALNENQLTGTIPSGIGELIGLETLKLENNPFDAGVLPDSFRNLTRLTTVWLAKCGLGGEFPSYVTQMSDMEWLDLSSNQFVGNIPSGIWNLQKLQNLYLYSNNLTGDMGINGSIGATGLVEVDLSQNQLNGTISESFGSLMKLRLLNLHDNSLYGSIPASIAKLPSLMYLWLWDNNLSGELPAELGKQTPLLRDIQIDSNNFSGPIPAGICDNGKLWVLTASSNQLTGSIPSSLASCPGLIWVLLQDNQLSGEVPAALWTVPKLLTLNLQNNGQLSGTLPEKLYWNISSLYIDNNQFTGRIPASATQLLRFHASNNLFSGDIPAGFAAGMPRLQELDLSANQLSGLIPDNIGSLSGVSQMNLSHNQLTGEIPAGLGSIPVLNLLDLSSNQLSGSIPPSLASLRASELNLSSNQLTGEVPAALANPANDQSFLGNPGLCAAAPLVGSLKGVRSCAAQAADRVSPRLRAGLLAAGAALLVAVAGLAFLVARDIKRRKRRLAQAEEPWKLTPFHPLDFGEASVVRGLADENLIGKGGSGRVYCVAYASRSGGAEGGGTVAVKRIWTSGKVDKGLERAFASEVEVLGHIRHSNIVKLLCCLSRAETKLLVYEYMENGSLDKWLHGHRWVAGSGGMVARVPSVARRAPLDWPTRVRVAVGAARGLCYMHHECSPPIVHRDVKSSNILLDAELNAKVADFGLARMLVEPGKADTVSAVAGSFGYMAPECGYTRKVNEKVDVYSFGVVLLELITGREANDGGEHGSLADWAWRYLQSGKSIADAADKCIRDAGYGDDVEAVFKLGIICTGRQPSTRPTMKDVLQILQRCEQAYQKTLDEKVDDYDVAPLLQVPMRGGSRRKQLSDAKVVDDGGKGGFDCNV >Dexi5A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:5A:3014789:3015362:-1 gene:Dexi5A01G0004040 transcript:Dexi5A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEYIIQEVVSFLGQDKTVEDPCPHEPGTSTIATIRGGIPEIETLDLTALSPKRRNPSPARRGPGGEARSGEEDTETKRGAQGGTGDDPRGRRLTAGGRAPELQRGSGGAGRAEGMGEEEAEARESGDGAKFQGKF >Dexi9B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:9B:8115078:8115956:-1 gene:Dexi9B01G0012200 transcript:Dexi9B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFTHLHPGCKNDVHHHHQYPITSTLPIATSGPNTTTTAADMAAYLQQLQDTAAAGGEANKNGGGGAARGEQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRLAHHHHVSPARRAPLFVGLGLGGNTTPNPMPPLPPPSSAATASQGGLLGTLFALGSPLLEGPAAPMLEGRDAGFDLGLGLPAGSGPVGGGGGSMQMQGLGLRGGGGHGGASSSGMFWPAGLLDNDSVDAWKAMPSSAGVGAVAMWPEFSAAAAQTGGLLHGGAQLM >DexiUA01G0021850.1:cds pep primary_assembly:Fonio_CM05836:UA:45070749:45073477:-1 gene:DexiUA01G0021850 transcript:DexiUA01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPPMQHLRTPMDSLSSLASSFYSAFSPLLPSSSPQQQKQGSMFVLLPLPVAAAARALTVLRQLLLLATHAFVSLFFMLLSALAPSPLTPPSMMEPGSRSPAAKGDTCVVRALAHVLSVASRLPVSSRKYDLVRGLAERLLDDNLRARAGDVNRAALAGAFTRTLRQLESSPTTTVAAVTSMGEGFGGPAAEKLAAELLWLGKKMAECGAVGEAVAQFGGAERLGSRAVVAEPALQVSLLRLAVFLFKHANSKEFEQSTAGDEEDKGAVAEQRMAMLRSWLPLLCRGSTGTDAPVLTGQERAEMVAVLEELIDKLSWEQREEVLSLWLHHFASCPDTDWPNLESCYTRWYAESRRLLCVA >Dexi5A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:5A:996931:1001323:1 gene:Dexi5A01G0001430 transcript:Dexi5A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVHLSVHGRLRRSPLDASSHRPSLLRCRAFKQEADGDASAPSPSDEGKQRKKKGPLYKLKAAIQGLAGSRSAAAEAYGGADEYQRAVEKAEEIFFSVATQLGKYVITMMSSGVVLGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDHVVITSRSPESVLQTIKELEENIQEGISVAKKKERKTLSHAKVVGTACDVCKPEDVKKLVNFAIDELGSVDIWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLSNKQMFNLICELPETVARTLVPRMRVVKGSGKAVNYLTPPRILLALVTAWVRRGRWFDDEGRAVYAAEADRIRNWAETQVDLFQAHDPQSCHT >Dexi9A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:9A:2300199:2301514:-1 gene:Dexi9A01G0004300 transcript:Dexi9A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLLAAPLLALLFLSSALTASSQSMFSLDRYGGRGDGRHDDTPALAKAWKAACASPRPAIVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLVASPNMADWSDKDRRHWIVFRSVDKLTVNGGGVVDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVQDLNIVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSQVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGAHIKTYQGGSGYAKDITFQNMIMYDVKNPIIIDQDYCDKAKPCKAQGSAVEVSNVVFKNIRGTTVTKDAIKLNCSKSVPCHGITLQNIDLKMQGGNGAAQSTCENAKWRKSGTVLPQPCSSKN >Dexi2A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:2A:5851298:5853262:-1 gene:Dexi2A01G0006200 transcript:Dexi2A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSSVVAELWRPPPQHHHGHLASGGTHHEAASVVTAADRGNGSRSGGGGGSSRRRPRRDVPEEEPSSKLASTSGATAADSARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQRQVEFLSVKLEAVNAHMNNANVSFPSKDVSLFMNKNLSFQDP >Dexi9B01G0023960.1:cds pep primary_assembly:Fonio_CM05836:9B:19382168:19388079:-1 gene:Dexi9B01G0023960 transcript:Dexi9B01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIAVENLNPKVLKCEYAVRGEIVIHAQRLQQQLQNQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPCLLEKEETKSLFSADAISRAKQILATIPGRATGAYSHSQGIKGLRDAIAAGISTRDGFPANADDIFITDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLDEKTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEDNQCDIVKFCKNEGLILLADEVYQENIYVDNKKFNSFKKIARSMGYGEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKVGDESYASYKAEKDGILQSLARRAKALEDAFNNLEGISCNKAEGAMYLFPQIRLPQKAIEAAKAANKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAVITRFKAFHEAFMAEYRD >Dexi9B01G0036370.1:cds pep primary_assembly:Fonio_CM05836:9B:37911441:37914951:1 gene:Dexi9B01G0036370 transcript:Dexi9B01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSLELSELALHSMQVSAILGFLWIFTAWAYAEVLFHRKNSASIKTSEFGGYLLYFYICDRTDLLGESAKVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYAALGILNKYNEIRSVMAMKFVACFLVVILVWEVPGVFDLVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEDTELKTKLYIKGSIVTVSLTAGYLWYEYIYKLDKITYNKLHPYTSWIPITWLGKITLETYISQFHIWLRSRVPNGQPKWLLSIIPNYPLLNFMLTTAIYVAVSHRLFELTNTLKMAFVPSRDNKRLSYNFVAGAAISTALYFVSFVLLGIAGY >Dexi1A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:1A:20919109:20925730:-1 gene:Dexi1A01G0014350 transcript:Dexi1A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPPRGSGRRPPPNPVPLRPEQELLLETASDGDLPLFRRVATMLAGGQGSVTEAVEAVVECTAGALHLAAGQGRLAVCRYLVEELRVDINAIHDGGESPLAYAINGGHVATVRYLLDHGANPDKVDDKGFTSLHIAAEEGYCKIVKILLSKGASVDALSNRGTPLHLAATNGHHSTVQILLDHNADAGADVNGVGNMAPLIAAVSGGLTECMKCLLNLLLTQMSLMNVYRWPQFGRMPIEFAAICGSREDVEILFPLTSRIPYVHDWSIDGIIRHACLLPGQEFYESGLEKETARLKLQGQKALERKDYHSAIELYTKAMGLDNDDATLMNRPDWPKAWYRLGAALMFLKDYEKACDAFLDGFEIDPGSAEIENAL >Dexi7A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:7A:24605171:24606082:1 gene:Dexi7A01G0014650 transcript:Dexi7A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNPVLVVANPANTNALVLKEFAPSVPAKNITCLTRLDHNRALGQIAEKLGVHVGDVRNAVVWGNHSSTQFPDASHATVRTEHGEKPVRELVADEKWLREEFVSIVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPDGIFYSFPVTCEKGEWSIVQGEFLSYCQDGSTGSTTLLDMICSLFQMELSANELDEERSMAYEFVST >Dexi2B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:2B:9922546:9924058:1 gene:Dexi2B01G0009110 transcript:Dexi2B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPAAKNCRSGEAGEKAAAQISSAVSPTRSSGTSSPSSRPGTAPARRPSPAGGGPSGASRLSTYRWTASLARTASASSSPPRSSPNTPAPAGVLLRDRFAKIDGWLRSRALTGLWEIEFSYYAENPQLPHPIPPSALRFAPTLCKAKFACCDFPSVMVPELNFPYLKKLSLHTISEDALHSLLSGCSVLENLLLEYIVGIGRLCISSQTLKSIGFNAGWKSSVAIRIQELEMIALKLTTSMRTVKVLALDSLGPNLNAVVDFLKCFPCVEKLYVTCTLQKTMKNALTYNSLDPIECLEVHLKKMVMSNYHGMKPDVDFAKFFVLNAKVPEEMDFGAMCFCNEKWMANQRRRLQVNNKASQGARFAFGYSHGMAFNGFSKPDPFEWMNHEDFL >Dexi8A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:8A:23316093:23316934:1 gene:Dexi8A01G0013330 transcript:Dexi8A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRLPLLLVLLLLAAASFLATAQAAEEYCGDSLAGLTECRSFMYGGASAPSPACCAAYEAAFDADPFCLCYVADGTFGRATGYDVTVADALRIPAACGQPEPPVELCSMQGLVLPPYSPEGTPAQSPAAAPTAEPPSGSSEAPPSFTSPPPPQTSKANSSGGVKLLLVAVAFLWWSVTI >Dexi5A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:5A:5385766:5388814:-1 gene:Dexi5A01G0007250 transcript:Dexi5A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEHDDSTGTSREGQLGSPGAVSDGPRLPCATPIHYCPEAKGDRSTRHFYASCGAIARCNFPQYTSDVRTRHCGKCEAMRRAVACKRSFCSRLQSINRPPIARRSLHSPKHKLDYTLHEHMRTPIPPGGEEANLDARHFLPVCLLQLAPDGRSALAALAPPLPCKPAALALSWQWWRRAAAAVKDRRSLYLTRVATLQPAAGSSSGVAASLQPSLKLVAAVICATRHDDRSMDYGSAVRVFALARASPPAMQGRSERSCVGKTGEAIQAEEQQAMRKGSVKMETLKTADETAASAAEEEEEETQPKPIDNSGSVGGGASSTAPVCYQSSHRSDTCEAAGNVCVLGRTQTIQISSLEQEWKVKPYCRKYDAFVLSHVKEWALRPLSTGSDALRCTVNNSATARRSEPAGGTPEAGQVRSTVTAEDRPRYGLYGASTAAQNAVDSYGHRRDHPALHGAFFSPE >Dexi7A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:7A:10713527:10719975:-1 gene:Dexi7A01G0002470 transcript:Dexi7A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREATELDAEQQQLLLRRCRRLFTAQERSFRVDRRSQAAAALRAAVSDVLSRFLGSYTDDTLAEYIVILVCNGKHQYQARDDLEAFLGDDSEKFVAWLWSYLSKQAVEKADNFNLQHGMDNESENFNDKKNLVTKAHHGDAHVVNSKISVPETYHGLHKLDSTTRRNVPQRCIRSTVIISPERLGCNQCIWENQHHENGQNAPHSRSFSERITSAQSEELHEEHLGRNASTRSPAQSKTPSSVREDGNRCRNKPVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGPLSLGLHANLEEDADPRTVLVTNVHFAATKESLSMHFMKCGTVVKVNILTDAITGHPKGAAYVTFSDRESIEKAVSLSGTSFLTRVMRKAEAPAGFPGSVQQTGRPLQPWKSPQFQKVSTPKQTSGYHLQWKRDQSVLEKSPASCATN >Dexi3A01G0036020.1:cds pep primary_assembly:Fonio_CM05836:3A:41431757:41432260:-1 gene:Dexi3A01G0036020 transcript:Dexi3A01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESSIEYHDYYEECHSRKKAGTHPASSPRDRGQHRRAKDSSSFESHDYDEEFDGRRMAQARSRSQDGDQHRRAVDSMDISSSESHGYDEESHGRRKAQRRSRSQKSSSKHSYKRHAYEGSTSSDEENNFKRRW >Dexi8A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:8A:23322319:23324798:-1 gene:Dexi8A01G0013340 transcript:Dexi8A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVHHHHLRQLRAALLRRGHPIPPPPAAHPEPERAHLAAIRAAAACTPRLALAACACLRRAGLPPPGPRALPVLLRSAARCDGAGAYVGGAHSVAVRVGALDDGFVGTALVGAYVACRRVEDARRVFDGMPARDVVAWGVMLDSCAKMDIAEKIYNGMPRKDLVSSTAMVFGYAKNGKVELARSVFDRIPEKDVVSWSAMIAGYAESSLDKAIWIHSFTEKNGLRKILRICNALIDMFAKCGGITVAFDIFNEIPDKNVITWTSMIAAFAMHGDGKSALVLFEKMKNEGVEPNKVTFLNLLYACCHAGLLHEGRLLFRYMIQEYRIEPNLEHYGCMVDLLGRAKLLHEAVDLIKSMHLRPNVAIWGSLLAACWMHGDLKLGAFAAKKVLELDPNHDGAFLLLSNIYAKFGNWNDAEEVRGVMKLHGVSKETGSSWMELNNPNHEFAVGGEKHPESNEILLKLNGKVS >DexiUA01G0006370.1:cds pep primary_assembly:Fonio_CM05836:UA:12297273:12298356:1 gene:DexiUA01G0006370 transcript:DexiUA01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQVATVAPVVVDAHHDGRPELLHDAESRRREGPGANSWEVDGDDLAGRDGHGQGRGRVRRRVEHDGVELERDAEVGGEAREALVSEMELQRVRRIRRDQCRHLDSWWRELRRRGRPAPLERELLHQRRPPSAKSNVQVDDVHPARAAERVEEQLRGGEAGELDGRGRVGQGLVRGKLERDVEQGRRDGGAVAGRRDEKAEERVPEVSGGAEERRLSDSQRRRGEPVIEPRQYLARAGGVAGVGAVRRLEEFVHHRAGLEEVVGCEERRGLRLLQEDLDGGGVLARRRRGEEGVKGVDLGVGVHDPAEEAAVLRSGAAAMSPESTASQSSALMGVGSGAAEEEASEDEVGGLGLRL >Dexi2B01G0031050.1:cds pep primary_assembly:Fonio_CM05836:2B:39269588:39272735:1 gene:Dexi2B01G0031050 transcript:Dexi2B01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLLLRRPRNPHFLLRAAISSSRALPQQAELSAEPTAAAPEPAPLPPNPSTGSPFYGENWRNPAAANPPSSLLPAVVGGSPFGAQSRMAAYSDAAGLKETFAKWMAEQRWEEMKQLFDTWVRSLDAATGKPNRPDVDLFNHYLRANLMTGALPHEMLDLADHMREFELEPNTASYNLVIKSMVTALEAEGAEKLLERSLCDSPLHQVWLRNNFLFVEIRMLQTGTVPDDESYNLVIDLLIRQNLFDSAMKYLDLMLKSGHTLSLTVFTDYVRVCVRSGRLDTLASIIEKCKSTDKNKILCPQWAWCIDIAEAAFEANNSKLALFALEFLARWIARGENVKSPIQLSVNEGLVISALSAAGRTYSADLLNAAWSLLRKSLRQKRAPTPEAYLAKIYAHSSIGQLQRAFATLREFENAYGNSEDIDLELFSPFTSLHPLVVACCKDGFGTLDSVYVQLENLSRAEPPYKSVAALNCVILGCANIWDIDRAYETFVAIKDKFELTPDIHSYNALLCAFGKKKRTEEACNVFEHISTLGVKPNATTYSLVVDAHLANKDPKSALAVIDKMVEAGFTPSKDTLKKVRRRCSRESDFDSDEKLQSLAKQFNYRLGGENRREMLYNIEYNPVY >Dexi1A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:1A:3795389:3796240:1 gene:Dexi1A01G0005170 transcript:Dexi1A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGVVVADSPGGGGGASSARPSRYESQKRRDWQIFGQYLRNHRPPLELTRCSGAHVLEFLRYLDQFGKTKVHAHGCPFYGHPSPPAPCPCPLKQAWGSLDALVGRLRAAFEEHGGPPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRRRHTPAAKHRQVGAKMEMDVAGERHAAAHGGAPVAGMVVPVTERERRGGGGVGELMMMGDVAPAPHFLIPHGHFVHGQFFMPVTEPVNATGAGGGGGGGGGGDELVLAMAAAAEAHAAASGFFMPLSVFH >Dexi5A01G0038030.1:cds pep primary_assembly:Fonio_CM05836:5A:39156143:39158131:-1 gene:Dexi5A01G0038030 transcript:Dexi5A01G0038030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTPVVAGIAAGAAALLLVVVLAAAWLVRRRRRLRARRDRSSDTGSSEAPPTLAEWGRCGRTSSAPEFHGARQFSLEELAHATKNFAEANLVGAGSFGMVYKGLLLDGTVVAVKRRAGAPLQDFADEIKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSSMTRLEFKQRLSIAIGAAKGLNHLHTLAPPLIHRDFKTSNVLVSDAGIDRLMRGFEGAAPSNASVYQDPEAHSQAQLSESSDVYSFGVFLLELITGREAAGLIPPESKESLAHWMEAHFSSNELIDPRLGGSFTSEGVTELVGLAFQCLSPSARRRPKMRLVAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >Dexi4A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:4A:692021:693000:1 gene:Dexi4A01G0000980 transcript:Dexi4A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGCGKSTVAALLAEALSCSFIEADDYHSETNKAKMSKGIPLSDADRTPWLESLRDAIRDRLDHGEDVVVSCSALQLKYREVLRAADRSYKPGGYAMCRVKFVCLRASAEVISERMQRRSSEGKHFMPASLLQSQLDLLQIDEAEGITEVDATTVRPTDIVRDTISQFREELASTVPSGF >Dexi3B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:3B:4290337:4290814:1 gene:Dexi3B01G0006170 transcript:Dexi3B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHPQAQEPVHDYGRVNGVARRREKGVINMTRRRARALCWLRCVRCLLTRIAITYAAVWWTANRGGTVGCIKDRIDGLGGTASCSSNRRTCTAGLSFPIGRELDAD >Dexi7B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:7B:25770103:25773957:-1 gene:Dexi7B01G0020480 transcript:Dexi7B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGASPCDLDREFAPQIAQLLATPTLQSAQEYYDELIRSKKHDGVRLSYSGKHGKGVCANRDFAEENLILKDQMLVGAQHSLNKSIGASMGSTSERHCHGSDVGSSTGTNDIFLVAAKAITFTLLRFKKLKRQPASHESNFSLLMEAWKPLGMGFKKSDLVVASPIEDYFIHIDDLPESEKITISYIDEDLSYEERQAQLADYGFTCECSKCQEERPV >Dexi3B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:3B:3229234:3230902:-1 gene:Dexi3B01G0004810 transcript:Dexi3B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHNGPKHVSPMEVSMEAGNAGAAEWLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVAFCGVIQYANLVGVAIGYTIASSISMQAIRRAGCFHKNGHGDPCKSSSNPYMILFGLVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIAQTVSNGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERRANATWPDSAFITRELRVGPFALSVFRLTWRSAFVCLTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSISCLVVSIAAAAGSIADVIDALKVYRPFSS >Dexi6A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:6A:19301420:19306475:1 gene:Dexi6A01G0012630 transcript:Dexi6A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAPSRGPASFLTQANALLRKNLCFQKRNLKTNIGITLFPVLLCVILVVLQGVINRELDKPKYRCGCSCVDPGPTAVGDACRRTECGVQYSTLDQVGSCPIPSPTPWPALVQLPRPESRAVNIAGQPFDGLPNPTCRDTGSCPATVLFTGNNQSLAESLSGGLFPSLTSSFNFTNYLDALSKIVAGSDTWPWTTELMEPVFIPGNNLYLVQPRCLSNLSQTVSSNAGAIPLQLNVDCVQGLSLWRESASVVNDELFKGYRQRDGGSGEGKTNEFVAGYDFANTNRNSLEMNIWYNSTYNNNTAYVPISLLRVPRLVNTASNAYIKFLRGSGVEMLLEYVKEMPKVGTKQKFDLSSLLGPLFFTWIVELLFPVSVRYDAFLKDSGKNEGWILVMEIIPGFSLYRGLYEFGQYAFAGNAMGTDGMKWTDLDDPLNGMRSVLIIMVVEWAILLPLAFYVDQVLSVGGGFHRNPLFFLKYFKKRAQSLRRYSFGRQGSRVVVEMDNPDTVQEAVDDSLKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKLKARYGGTYVLTMTTSSENEQEVEQLVHRLSPNASRIYHISGTQKFELLKQELKIADVFHAVESAKSRFSIYAWGLVDTTLEDVFIKVAKGAQAFSVVA >DexiUA01G0024280.1:cds pep primary_assembly:Fonio_CM05836:UA:50229463:50229840:1 gene:DexiUA01G0024280 transcript:DexiUA01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPVEAERLRDNDLVLEQYLLPNSPGIAGFRLDFFNVIRPRVTHSPSSSELDTREFSLTRLEDGYVNQAILYMQVTIVKSKLPWNQSLIGGM >Dexi5A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:5A:935575:941419:-1 gene:Dexi5A01G0001380 transcript:Dexi5A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSVVMSALGIGIGVGVGLGLASAPWAGGGASGSAARAGVTVERVEQELRRLMVDGAAADSKVTFDEFPYYLSAAYVHLKQADISKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFQARLLLLDPTDFLIKIHSKYGTGGSTEQARPLNILCFLTVQTPFKRSISETTLERVSGLLGSLSILPQKEQPKVFVAGTIRRQSSMTDVKLRSSESMSNLPKLRRNASTSSDMSSLASQGLSRYIEEIVVSAVSYHLMNNKEPEYRNGKLVLSAKSLSHALEIFQETKMSDKDSMKLEATGDALKAAEKGTTTAAAKSETKPASLLPPVRPAAAAAPAAAAPAPAVASKAEPTKKDNPPPAAKAPDVPPDNEFEKRIRPEVIPANEIGVSFEDIGALDDIKESLHELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSTESRELIMRRLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKLKREKGGTPSDVTKKNEKEEPIILRPLNMADLKEAKNQVAASFAAEGAIMGELRQWNELYGEGGSRKKQQLTYFL >Dexi5B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:5B:421269:427079:-1 gene:Dexi5B01G0000670 transcript:Dexi5B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVAAPLRLLPLSQTLAPTAPLLHLSRRLFASSSPSLGRAATLRALAYRAQPGRRHHQPRRGSSTLRKAPKEEMAGAGDKEVAFNRKRAEGMDGRKRGTMELKTRKLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGDEGMSVNIWGPSDLDFLAGAMRSFIPNRAMLHTHSFGAERNVSSSQSKDSVIILDDEVVRISAMFVKPRYCNGAGSLNDTDSKPGDTAIVYACELPEIKGKFDPAKAAALGLKPGPKYRELQLGNPVQSDKFDEMLFPSSGFWSVKPANDVMENDKNTSSEASGSVSAQNLLKFHLRPYAQLGLDNASIPSLFTYKDIVEELVSEIPEVKEVPEQGHPSLQNIGMFGVNGADEAVKNLRCIWISHIHADHHTGLARVLALRHTLKPSVEAFLCENVTGSGTPQLESTMFAPGSRMENYNRKPASPRDTTALANFKEVLQESGLEILYSVPVVHCPQAFGVVFRAMEKVNSAGKATFEDSMKDEAIARNHSTTKEAIEVGTAGGAYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLVDLPVLPKVLPHLKVLFKDELVVEEADDIQEAAVY >Dexi5B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:5B:1084505:1084960:-1 gene:Dexi5B01G0001720 transcript:Dexi5B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKRPRPPRVDPDFVSSPPPMKRARKQAAPTKLKEAAGPAKRRPPTNTARRPAVGIGCPVAGLHRVTCRHQPPLRTSTRVLFRPHRPFNWEFGS >Dexi3A01G0022950.1:cds pep primary_assembly:Fonio_CM05836:3A:18561314:18562355:1 gene:Dexi3A01G0022950 transcript:Dexi3A01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACATTAVEIAERFSFYGVSANLITYLTGPLGEGVAGAASALNAWNGTAQLLPLLGGMLADSWLGRYTTIVLASLVYILVSSKAQTHFYCFR >Dexi2A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:2A:29987170:29988325:-1 gene:Dexi2A01G0018040 transcript:Dexi2A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGAPPYHQQLLLAPICRSYNLDPLTLSAWTCFLSTLQSVTVAIFLLPDWSAWKIHSLFELSCYIFAGVFGSGVTLYLQSWCISVRGPLYTAMFTPLCTVVTSALSAIVLHEELHIGRHGSLLGAVAVVAGLYVVLWGKAEDARKGRELDVENTLAAPLMAEAAPAQAPS >Dexi2A01G0026250.1:cds pep primary_assembly:Fonio_CM05836:2A:37775728:37776559:1 gene:Dexi2A01G0026250 transcript:Dexi2A01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWLEKWPKKAAFVRLAGEGDWHHQFKAVALLRISPFPYIVFNYASVATNVKYWPYIAGSMTGTIHETFLAIYSGKLLQSLALATSKGSFLSVDQIIYNGIGFTVAAASTAAITIYAKKALQKLQAEDEIF >Dexi1B01G0025790.1:cds pep primary_assembly:Fonio_CM05836:1B:30775037:30776961:-1 gene:Dexi1B01G0025790 transcript:Dexi1B01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGTAARREPLKQRVNRCLLKLADRDTEAMAAAELESIARGLGPDELAAFVSAVSDARPTDKTPLRRHALRALALVASSHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDAARAAAAASASASTALRPLTDALLHEQDQCAQLAAALATAAAVEASALTADLASYLHKLQPRLLKLLRSNAFKAKPALITLIGASAAMGGDAEVTASIPCLRDAIASDDWAARKTAAEALAALALEHADLLTTYKSSCVTFFEARKFDKVKIVRESMNRMIEAWKAIPDAEEEECSSATPPASQSQRRSSLTGSVSEGRYPAPSLGSNSVPSATRKSRLPASRSSPPDVSPSVTKTNSTGSIRNKKLSPPSYRKVRQAKNCNYKVDIDVAPDATPIKVVTEEKLLKGGNVRDRLEARRTLFQGSEDRSAKLVGLKAGSRVVPYEGGGNLEEISEVEGGSERFAVHKDESLSEIRTQLLQIENQQSSLLDLLQVILVCPVGFYRSAVRLTRLVAN >Dexi7A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:7A:13712149:13716346:-1 gene:Dexi7A01G0003640 transcript:Dexi7A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPAGAAAAAPAAPGSPPPAQVVGNAFVHQYYNILHQSPELVHRFYQDASRLGRPAGAAADGMDTVTTMDAINDKIVSMGITRAEIKSVDAQESQSGGVTVLVMGHLTGRSGGASREFVQSFFLAPQEKGYYVLNDILRYVGEGEEGAEQPVRPPTPEVDAEEAPAAVLANGTVGGDTETVPRAQDASPQPEELAAEPTPQAQEKELLNGVEVSSPPNDVDKHVVEETPVAEVINEVPNNVAAAAPSSSLAVPVEEAPKKSYASIAVAEEEDLHQVEVVTSEAKALEVVALTTEAEAMEGASSIIDPIMVAEVVAEVAHHVEVMLATSGSTTLVLVVVVLERHPLLLRLQSEFVVAVRP >Dexi5A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:5A:23322275:23339441:-1 gene:Dexi5A01G0019440 transcript:Dexi5A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAPPSDVAKALVPSGARGSGPNLGGDGTDLGGGNGLRAPAARVRVAPPSGGGREAGRREGDERKSKLQSSTPLPTCCSALARPPCASHLRRRPLTHFASHLRPHCCHKHSYPRAYLVLRLRCPTPACRRAFLAAPPVVPGACGRICKLAGRPELQSAPAERMDRRVKPGSKSCARLVFTMSSKIEEEGDDETAVGDKVHNILPLNISVPRQPIMGIPEIKAAVELLRQMARNLHGIISDRSEVFNPPFQREGSDDAFLQTVIQPIYNVMQKEAARSEHGTMSHSKWRNYDDLNEYFWSEECLKELKWPMDQTADFFTEPTRTKNKTEEHDHVVRRRRVSKTNFVEVRSFLQLFKSFYRMWVFFILSFQAIVTIAFSPSGSLCSIFEADVFRTVSKIFITAAILNFILAMLEIILNWKAWKSLKFSQRTRCIMKLVVAIAWLIILPTIWKHTFIYNFAIASYMLPTIFSALFFIFPPIGGMLEHSNSRIIRFFLWWTQTKLYVANGMYEDTYSRLKYTTFWFIVLICKLVSSFYAQISLLVEPTRTIMSLERLPNEWHVFPNLQHNLGVVITIWAPVVLVYFMDMQMWYAMFSTFWGGLNGAFQHLGEIQTLGMLRSRFVAIPGEFGKKLIPKREEVLDLMNLFNQEDKAQSVNEFADIWNAFINSLREEDLLNNREKNMLIATSSGGETSVIQWPPFLLASKIAIALDMAKSVKEKDEELMKRIHQDCYIEYAVTECYDKLLGILYSIIVEERDKKVVDGIRESIKDSIQRKSVVKDFRLDELPQLSAKFGELLSLLKDYDDNDPVKKNTQIANMLQDIMEMITHDIMKCGQGTLKDEGQKKLFANLNLDILKHKAWREKVLQLFAFTLLVRGMMYYRKALEIQYLQDTQDPAKFARDRRTKSYQELQSDTEIARAIADIKFTYVVSCQVYGMQKTSKNPKEKSCYQNILDLMIRNTTLRVAYIDEVEAPTGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGRPADIGEGKPENQNHAIIFTRGVALQTIDMNQDNYIEEAFKMRNVLEEFESRKYGKRKPTILGLREHIFTGSVSSLAWFMSSQETSFVTIGQRVLANLLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSVDDYTINFLITVLIVYIFVYGNFYLAMSGLGKSMSMDPRNQNVKALENALAPTDSVFQLGSLLVYGVSWLVMITILLALHVASRGRQQYGPTRQFEFRLIKGILFVIFVSVVAITVFLHILTMHDLVASILAFLPTGWCILLVSEALHFTTFTLIHSIFPFHIGQACSAFRKRTVPWHCIMDLARLYDNIIGLIVFLIVGFLSWFPFISEFQTRILFNQAFSRGLQISRLLNCHNEMGEFD >Dexi2A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:2A:32352941:32363588:-1 gene:Dexi2A01G0020280 transcript:Dexi2A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGTNRTPLRSVAPASATRRGALLQPHRRPALGNRLAATKLGIASRCGGGLDFGKAGVSISVQRLDAARSTAAKAQSGKAGRIAIKYVLFAMCSSLGIMFIILYYKEMGHTSSDCEVMKYSSGKAFPLGVSQVEGGLNFAIFSQHASSVTLCLKLPERGTQDDVEIVEFALDRQKNKTGDIWHVSVEGMPASGVLYGYRINGPQGWQQGHRFDDSVILLDPYAKLVSGRKYFGVDEEKPSQLFGTYDFNSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSRLGPTVRGSYLGVIDKIPHLLELGVNAVELLPVFEFDELEFRRFPNPRDHMVNTWGYSTINFFAPMSRYASGGGGPVAASTELKQMVKALHNAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLDNNAQLLNFSGCGNTLNCNHPVVKELVLDSLRHWVEEYHIDGFRFDLASVLCRGPDGSPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNNRKPYHSVNFIIAHDGFTLCDLVSYNSKFVLLLVTGETNDLNVRRLRSKQMKNFHLALMTSQGTPMMLMGDEYGHTRYGNNNSYGHDTHINNFQWGQNDVTWHEDCWENQESKFLAFTIHDHNSGGDIYLAFNAHEYFVDAVIPAPPHHKSWTPYNCFISFPQVDTNLEPPNDIVPEGAPFTGSRYRIAPYSSILLKAKP >Dexi9A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:9A:16523458:16526144:-1 gene:Dexi9A01G0021690 transcript:Dexi9A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSPWCVVDDLGLPLRVQQHFGAEAGAGVQHGVKKEATAMDGWMDMDVGGSGLDWTGYDQRRACGDADLTTTAYLTHVHTERAADTRGPLLRFFSLPGSIAQHTRSWLLMSVRRPFQYAFATGTAFTLRIGRSEHTLRTYARSNDDGLSAVAQRARPADPSPGDALSPRDDDDGGRMGAVNPRREEEGPIPATDGHRRKLTKAAAVPPRAHDPVKSLRVGDPPTRDISNRGREKLPLTRTPNVWRRRRHARRLARA >Dexi9A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:9A:377587:380710:1 gene:Dexi9A01G0000690 transcript:Dexi9A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSFTDKNAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVEAKYTSRAAELYRQILSKEVAKSATSDNALPSSPVASEPPKPSDDFPEFKLSDPPADNLNGKQEPKSPKAPPRSPKAPNHPTFATSVKKPIGAKKVGGKTGGLGVRKLTTKPNESLYEQKPEEPKPAVPALTTTSTAKSGPSLHSRFEYVENEPAADSRTGGSHVTGHVAPPKSSDFFQEYGMGNGFQKKSSNASKTQGSSSISSADLFGRGRDMDDSNLDLSAADLINRISFQASQDLSSLKDIAGETGKKLTSLASNFISDLDRML >Dexi5A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:5A:4312100:4313706:-1 gene:Dexi5A01G0005770 transcript:Dexi5A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDRVPRGYIPILIGQGVESEREDPRVYGAPECLLELLDLAVQEFGYEHQGILQIPCTVQAFRSIIGCHWALVEDGPLECVWAHSFSTRATGLSWKTGHLNAYGLTRLARARWAVLLGLVSWVFLKSKPAQDDDEITRWGQRSSPKPRQPMNSHRYPSRGSPRPASHTHRPSPSNGQNASPAHYSQNFHSPAPSPILFFFASTNTTSKQTPNPPLPNRIPISNPPQILHPLACLASDPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi2A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:2A:4575765:4585123:-1 gene:Dexi2A01G0004930 transcript:Dexi2A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPGNPYEKPSGMATEEAGAAVSSAWTRVLRSWTWPPSDSVRHAADRRRLHGAATSLAVQPSAAIPAAGASASAGSGSPEEAPGLADPTQGHHPHPSSSPTRPRRRRRLGGPSPHPSAGGHGLSLARGQENHFASVPSRRKTLFSAARAAEKPSSSRPPPPADEENPSSPPPSASKIPSSPPPPRPPTDAAAGNRAPTRKVRKVITKGTIATRKAAAAVGAASAAAGVLQPGEVHGMDEPPTDRNAAGDEMVVKEQNLGGTAIKEPAAGCNAVPVCESFLGKEVVMEGTVADDLVMDCGDAPEVEKLASRGEVGMSDGQRRRITEAFVGGLNRDTMEKDMVSAYSEAGEITKVRMSEGQRRRMTEVFVGGLNRDATEEDVRAVLAEAGEITEVRMVMDAGTKNRGYCFVRYREAAQARKAIAEFGNVKIMKLLQKIRVQNIDVVTLMADFNNPGCNRGFAFLELETFRDAQIAYKKLSRKDVFGKGINIRVAWAEPLDDLDEKQMQKVKTIFVEGVPNSWDQAKMKEIFKKYGKIELVVLSRDLRMTKRNGVAFITYATREAAILCLESFDGEQLTENGSKVNIKVALAKSATKGKKNMDKKCCIIEKETTKIPKTL >Dexi1A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:1A:27541065:27546278:-1 gene:Dexi1A01G0020720 transcript:Dexi1A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQRLGSFKYLVMAPVVAHGARLVAAGGWGEIDLAFALILPSLLLRMVHNQVWISAARYQTARSKHRIVDRGIEFDQVDRERGWDDQIILNGLLFYVGYLAIPSARHLPAWRTDGAVVMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHLVYYLLFAIPMLSTIYVGNASVLGFVLYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDQLYESALKGTEETPDLVHLTHMTNLQSAYHLRIGFASIASRPSDSSMWYMWTLWPLAWLSMVLAWVYGSSAFVVERIKLKKLKMQTWAVPRYNFQAKQLNGGGELFRQKYPKLRVRLVDGSGLATAVVLKSIPRDANQVFLHAGPSKIACTAASALCEKGVKVIMNPKKEYDMLKSQIADSRASYLDNSSNHLPQIWLVDNIDDKEQKLAPQGAIFIPISQFPIKKIRKDCTYLSTPAMKIPETMQNIHACENWLPRKVMSAWRIAGILHALEGWAMHECGDAMIDPEKAWSAAIRHGFVPLNKA >Dexi3A01G0031630.1:cds pep primary_assembly:Fonio_CM05836:3A:36025131:36025977:-1 gene:Dexi3A01G0031630 transcript:Dexi3A01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATGSSSGGEGRRNAWEAVSIVLRIATVGMSLASAVTTFASTQCVSRDDGSAASTVSYSDYGSFKYAAIANLMSALLQAVAIWLEVLDKDSKWAKTVHLIDKVVLALTSTSAPLLLAADDITSCGGPPRGGRGRNNNNNNGARRPPKLFDGGKLQQLVTSFSLAPLIPAAANEVVKKVKQHEEEVIRSAQEDNNTRLLVLVPPSAAVPPATEAPAPPPPSPPPVPPQQPVLVPIQWQGRLMPPESPGRCNIQ >Dexi5B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:5B:21578602:21579300:1 gene:Dexi5B01G0019250 transcript:Dexi5B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREILLRLPADALCRLRLVCRPWRSLTSDPSFARAHAARHPLIAERGPHRRLLRRIPVPLAPQQRYCTDLTTAQPGLVCVSPNRGPSFTFNPIDGVVTATPTRNAAGSGIECRSILGLVPATGHHKVLRFQLESTSAGLLVQRCLVVTLGEDHSNNGGRRWRAGPSPPVIVDWHRSGDRVVIDGVAYFLLSLLNLRDSANHSFVRLGYGEVEADDNGGTSE >Dexi2A01G0026620.1:cds pep primary_assembly:Fonio_CM05836:2A:38185523:38187258:1 gene:Dexi2A01G0026620 transcript:Dexi2A01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSGERAAVVAALVVVVLAMAATIVPGADAQPSPGYYPSSRFRPIPFNRGYTNKWGPQHQTLSGDHSSLTIWLDRTCGSGFKSKHAYRNGYFSTRIKLPSGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTVPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAGYHTYAILWNPDAITFFVDDVPVRRYERRAELTFPDRPMWAYGSIWDASDWATDDGRHRADYRYQPFVAHLDRFVIAGCSAAAPPSCRPVRASPAGAGLTSQQMAAMRWAQQGHMVYYYCNDFRRDHSLTPEC >Dexi5B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:5B:4957910:4959846:1 gene:Dexi5B01G0007400 transcript:Dexi5B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAAATTAPLLLRAAAASSSGAHRGAALLRPLAAAAARPQPRAMPFSSAPATKPSSDAELLSVIDSEIKYAEDCDXXXXPPLIRVEEIPDNFPFKISDEKGMNAITLRRTYHGEQIEVVAHMPSLVTGDEPDHDRDDKDEGEGKEEDDGEEDEGEKPPQSSVPLTVTITKGDGPILEFTCTAYPDEVIIDSLSVTHPSEDYEKDIIAYEGPDFNDLDENLQRAFHKYLELRGISPLTTNFLHEYMINKDSREYLLWLRKLKDFFQQ >Dexi9A01G0032050.1:cds pep primary_assembly:Fonio_CM05836:9A:36852354:36852661:1 gene:Dexi9A01G0032050 transcript:Dexi9A01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVLVGARADRLMPDGSHDLSPSVTREASPLSPAPLIVNPPWLTALRRLALPRI >Dexi8A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:8A:8677255:8681020:1 gene:Dexi8A01G0007630 transcript:Dexi8A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGSSSVSAMSRSAPTIWSVVQAGGELGELVNEQSQAEEERFAEAPSRSNSGAREEGKRMSSRSSLGARKEREAVGEEQLRRHRRGGGEAANECRGVGGHAGGHWTGTYKCVRFSSPRSDLAPLSPTCGHGRSTPHHHHHSSSSLPPLLLKPHRHPSRLPPSVPTPLSMAAITTTATAAAAASSSFLRRRPGARPLATAGSLRACPGHCHHRYRLSCRATEVSGAETSAAPPAETTGGGGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFTYRVKTDEQNIYISISGADSVGSAEIIFSGKAQPGVTASDVNVQEVRMIVDEDVGGFGFTSANELINGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYAVSGAFN >Dexi1B01G0024310.1:cds pep primary_assembly:Fonio_CM05836:1B:29671410:29671919:-1 gene:Dexi1B01G0024310 transcript:Dexi1B01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAKGALGFGGSGEVALDDVEEAVVVGGGAARVLHQQRPRVPQAGAHRRAQRAHLLLVRGTAGGGPEERREIHHGEVHRLRLRGAGRGEAGEAT >Dexi5A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:5A:7815111:7816249:-1 gene:Dexi5A01G0010280 transcript:Dexi5A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQPLSRAEAMPERRSRFWPMDAQPTPRVEVICPQPRRATRLPFAVETVNRASPRTNGAFPLHRSDSTSDILDLILSKNDPDGDSSSQVGFLCGSPPVRTDNPVIHDPQFGKRLAPFSPLGSSFGKTPAGRVEVGSPSCGASSSPKVRIEGFACGKSETHYAVTLV >Dexi2A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:2A:8850474:8856482:-1 gene:Dexi2A01G0008810 transcript:Dexi2A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHSGLLAWLVLIVCSSCLEETPLVRAQQQAPRTDPIEAAALSTILGRWNAQITAATAARSSPAAWNIRGDPCTGAAVDGTGLDGNNDFNPGITCACSEDDSNNTVCHITMLYVTKVYALNVVGQIPSELQNLTYLTYLNLDQNYLTGPIPSFIGQFSAMQHLGISLDNFSGGLPEELGNLSKLEQLYIDSSGFSGPFPSTFSKLKELRILRASDNYFTGKIPDYFGSLTNLEDIIVGDIVNGSSSFAFVSNLTSLSTLILRNCKLSGNLEAVNLSKLANLILLGYLAPEYAMRGHLTEKADVFAFGVVALETVSGRSNTDNPLEERNIYLLEQAWGMYEGKQPLRIMDPRLEEFDTEEALRVIHVALICTQGSPHQRPTMSRVVAMLTGKAEVVKEMVRPSYVTEWQLRGGNSSCSTSSYWESTTPDFSRRQKEVDPLTLSPTITGASDEIEGR >Dexi2A01G0028280.1:cds pep primary_assembly:Fonio_CM05836:2A:39540171:39540489:1 gene:Dexi2A01G0028280 transcript:Dexi2A01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTILYGLLVLGGGVAGYLKRGSAASLAAGAGFGGALLLAGALSAWAFARGGGGAAAVFATVLQIGPVGTV >Dexi4A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:4A:5237632:5237982:1 gene:Dexi4A01G0007110 transcript:Dexi4A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPEAAMAAELPEMAMVALSIAVGCAEILKPLLGFLGEASARNPVLDAAAAVLVVTLPTAYLAGVVLLYLHVAPAGAAAPVPPAALGRFVILVSALLLFMAFIFFIAVGVREQ >Dexi5B01G0028370.1:cds pep primary_assembly:Fonio_CM05836:5B:29753379:29762219:1 gene:Dexi5B01G0028370 transcript:Dexi5B01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRKGKGAAAAGGSGGGGISEATLVRITKALEDFRASDAQDEKVTPRQFYLAVYTFEPDLSNQERGAIHNMCRKMGMKSKSSGSGEQRCVSVYKCKKKQKPDMKKGPNHLGFSEEARHVLQDLFTHYPPDDADLNGDPNRNFIDKAANIKWKTDSPFSKPTMSKLDITKKVEMLASKINGNAQLRKIMENKSKLPITSFKDVITSTLENHQVLFFVVLISGETGCGKTTQVPQYILDHMWGKGKSCKIICTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRDEIHERDRFSDFMLTILRSIQYCIQQVLMSATMDAARFSQYFNGCPVIQVPGFTYPVKLLDPNCKIADFLNKTLDPPIPETLRNAISVLQDLGALTQGEQLTELGEKLGSLPVHPSTTKMLLFAILMNCLDPALTLACAADYRDPFFLPVAPDERKRAAAAKVELASLYGGFSDQLTVVAAFDCWRRAKDRGQESQFCTKYFVSSNIMHMLSNMRKQLRNELSQRGFVPADTSACSLNSKDPGIMRAVLMAGAYPMIGRLLPPCKKAKNAVVETASGAKVRLHPHSCNFNPSFNKSSGNPLVIYDEITRGDGGMYIKKCSVVGSYPLLLVATEMVVAPAANGNDEEEDSSEDEAEKSSLVQHRDEIMSSPDNTVSIVVDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPAALGASMYAIACILSYDGLPAMVPSNDLPANGSDQNSAEANSFSQGRRTGYIPPDDFLMSLMADKSRRAPHFQNSSNHPGCASAHAQPSRAPVGRFDQSQRSFRNSGPGTGSSAPRSFKRQRNTAW >Dexi1B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:1B:22557846:22558279:1 gene:Dexi1B01G0016030 transcript:Dexi1B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGHCGSAMSCTSPDPPDYCSAESPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGLKKEMREMLPVVIFKESFLIRETQSVIYF >Dexi7B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:7B:15315887:15323702:1 gene:Dexi7B01G0007420 transcript:Dexi7B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHVSADANGNGTHAGMAAQVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEHDISFLQSVMPMCEGAFFDYLREVDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFVSSYMSLDEIPDKVLRSKDGSRVCQDFVSLVQEWLQKIQVADSLGGAFGDTNQSELAAFVSYALAFPSNFLALVDTYDAALGCVFKLVEINSKPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFIESKRAYVVPQHVEELLQCYWPGRSDKPRAELPSLDKIRSRCMQQLEKLRPDHTRRLNPTPYKVSVSAKLYEFIHCLWLNEAPVGELQ >Dexi5B01G0021320.1:cds pep primary_assembly:Fonio_CM05836:5B:23613265:23615485:1 gene:Dexi5B01G0021320 transcript:Dexi5B01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARDAAASKVAAGGVPAPAGEYWSEALKSFLDHIPISSVPGALQPTACPAVEIKLDGSVLDAIDAMYGGNAAGAVIVDEVRSSFGKYVDRDIGFVEFSSIVLWVLEELGKVEHETADISSDFLSCLKRNPHIAETKVAWLAKLFLWEPFFPVRTHETLFHAMLLFSKHHRLNVVPVVESMNSNVIGFVTQNAVMDLLLQSSGLEWLDKIADKQLSEFRFASVRKPASVYSDQTLADGLHILSKEKAGVAVVDRKTSCLIGSIQCSDLCLLLDDSSIFSKRTRITMEEFINLNKKIENEHNTAQNSSACEGQNILALRNTRQQRTGLQVSNLKSDTLKQVMEKLTVSRSSCSFIVDEQGHVEGVVTRRDIISVFSPPCMDSRIDGGTFFSAALEQAGCRVENGQMIHITSP >Dexi3A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:3A:7729647:7730737:1 gene:Dexi3A01G0010840 transcript:Dexi3A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYALGEALVLFNPFAGYLREVDARKLIADCTGEGVMFVEADADVRLAELQAVGLTYPFLCADQLLFDVECSGSLFIAPCCSPRC >DexiUA01G0011360.1:cds pep primary_assembly:Fonio_CM05836:UA:22934434:22934967:-1 gene:DexiUA01G0011360 transcript:DexiUA01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSTNARMTDVRDQCATRLMEAEKKIALYVMCLDLKTQG >Dexi3B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:3B:2188439:2189590:-1 gene:Dexi3B01G0003350 transcript:Dexi3B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSGRPIKFVGQGEKLEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEESVELQKKIMSAKFDFNDFLKQCQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAGMYILNLRKERSQSYLLNHVRGGLRVAEESGKTEQEVSQLVAQLFQMRVQMQKLMGMVQGQEAIAGMGDLMDSLKAEEKAPPGTARRKRRNSKPTQRGLDAVLS >Dexi7A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:7A:23939813:23940067:1 gene:Dexi7A01G0013970 transcript:Dexi7A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREQQHHRLVGLDPEPENAPAVAHNPRPPPRARPRAWRRICRRNSCSLALPSSSSRGGGGGASSRREIVAGVRWRSEDEAGE >Dexi9A01G0026060.1:cds pep primary_assembly:Fonio_CM05836:9A:27614665:27616769:1 gene:Dexi9A01G0026060 transcript:Dexi9A01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITAFGSPFAHRVEVALALKNVPYELVVEDLANKSALLLQHNPVHRFVPVLLHGGRVVCESLLIVEYVDEAFHHGAAAPRILPADPYDRAAARFWAQFIADKCLKPLWLSMWAGGDAQARFARETKESLAIHDAQLEGKKTRFFGGDAIGDELVAFFAANKERYTGQGRARRLLRRQQGEV >Dexi2A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:2A:2660547:2661631:1 gene:Dexi2A01G0003100 transcript:Dexi2A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEELAEMGISKEEKDKLVAEVMRYMLFKTHQTSGCPIKREELTGIVTKNYRQRALPTLVIKEARDRLAATFGYEMRELQRSRDPSTRSGRPSQQPSSVDAKSYVLISQLDPEVYSKYVEDKEAAPLTGFSFTRLGLHETDENHPVLGNNKQALELLVQQRYLLKEKLAGPEGHVMMYELAERALDENISGKIKDDISQVCMH >Dexi3B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:3B:11295294:11295776:1 gene:Dexi3B01G0015570 transcript:Dexi3B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAGAGGHGGLYGDPAAVDHFAAAFDDHDDGFFFQRSPPCAGEARGGGDGLVMTPYSSITDYLQGFLDPAGMAAHLDAPCRAGDDPVKQEMEARLSRRHERDDGPAAAGAPATPNSSVLSSSSGEAAGADEEPRRRGCKKGRLEEEEGSADAADRNCK >Dexi5A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:5A:251905:253458:-1 gene:Dexi5A01G0000350 transcript:Dexi5A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGGDKPALRKPVFTKVDQLRPGTNGHTLTVKVVSATPVPGRARPGAPASSSRAPRIAECLVGDETGVIVFTARNDQVDLLKPDATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >Dexi4B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:4B:1431475:1431780:-1 gene:Dexi4B01G0002290 transcript:Dexi4B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRHARSSPGARASLRAAPLWICAVPVLRVALPAGSASRWPAVAARGAPLVSTPLLRALPVHLQNFLGEHADAPPSATRPPRNLCCPSSTQHQRAAAA >Dexi3A01G0029480.1:cds pep primary_assembly:Fonio_CM05836:3A:31337054:31341407:1 gene:Dexi3A01G0029480 transcript:Dexi3A01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGHGSMVPTRSRSRSVIKQHVQAEIALRSSSTKAGDEHKVFYLDNLDFGVINTSHRLVPRAREYTYPVLKKLVAADKNDNIGTGISSFGRTKVLRADDEVMYKRKFAVATEVEGLDTKENDTMIKSSVMDATRIMTNWVAFVEKITCESTKQLLQIARRIEEAKNQDQSLLDRGANTRGCMRVEDVASSSNAVTTPPASGSQPAIINHETPAYSNKEFSPEIDGSDVSEDYSISPEICAPNVRDSQGLTDSDDTRLRYGIEGYPDERRTDMSYWSWSTNREIEGEETMRLELAMFDHFGDYVCDDDIGCDDVCMEDNKHLETENTVVLRNRDAVMNVGGKPEWHYTTVGRSDKGKPCGSHEVVKVSGSPDALAFATPVEDDGCPEDSCNYIVKVNGIEFNAALLQPTTTIGMSPFAVDLTHPDAPFSSVLTLYKCLMETSGEDKDRTWFLHYDPIEVRLEGRAIQMMFASDVDFNKQVVTAITRLYHTMDDDIYACYAEKRCRHFLPPGFADVMLQSDQFNESTRLKDMFVGYHVTYDVDFSSCVCRFGLVPIRMEFERRTIVVIDPVTMANGVEAVMNKHNGIVEKMHKGVSICKEQLFQHPQVHMADWEIEYFTVEGGHGVSSSSGLYTMFYARFFDGKTLTRILTVESKQLHLCNLLHQMLSMPGNKANLPAAIQSALDMAT >Dexi2A01G0028350.1:cds pep primary_assembly:Fonio_CM05836:2A:39648516:39648896:-1 gene:Dexi2A01G0028350 transcript:Dexi2A01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELPERQKLDTSLGSKPPTPRIKKPHPVRPFRLALLLLRWLHSAHQLFDEVPDGPEQSGANSRLQEERPIRIHTPVSSALRAPKQNIRRKRFIGGYHLLDDAMVATGNPEHQEGGEVDGADPRVP >Dexi1A01G0030920.1:cds pep primary_assembly:Fonio_CM05836:1A:36103045:36106188:-1 gene:Dexi1A01G0030920 transcript:Dexi1A01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQISSASRMRASERTSGAAGPSMDKIEKTPGEASGRRNPTGSMNPSDNYAQRPRETVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPGSSVVEPSEQQYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHHSLELLSLGGGKRK >Dexi9A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:9A:9576957:9577465:1 gene:Dexi9A01G0014480 transcript:Dexi9A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEGAAAGAGMDFALPDEVLAALPRDPYEQLDLARRITALAVAGRVSGLEREAGRLRADAAEKDRENAELRERVGLLDTALQETNARLRAALEDNIKLSKERDSLAQTTKKLARDLQKVPSFDLACSF >Dexi2B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:2B:3642947:3644098:-1 gene:Dexi2B01G0004130 transcript:Dexi2B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTMTRKKPSPAPVVLALLLLSSAVAAVSGQSPAPKQTAANNPRLQKAYVALQALKRAITDDPKNLTKNWCGADVCAYFGVFCAPSLDDPHVLTVAGLDLNHGDLAGTFPEELGLLTDLALLHLNSNRFAGGLPESLPKLHLLHELDVSNNRLSGGFPQHILCLPNVKYVDIRFNNLCGPVPAAIFDKNLDALFLNDNHFDFELPENFGNSPASVVNCIAGRPDQRPGDQCLAFLHRPPPHCDEHGCFGPPPQKPPQKPHY >Dexi1A01G0022800.1:cds pep primary_assembly:Fonio_CM05836:1A:29396027:29402115:-1 gene:Dexi1A01G0022800 transcript:Dexi1A01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKEVKKTAKENLIDTFQRLISPNEQKGSRKSRGSRRHGKDSAGDKGCWSTAQSRSTSPSKEVSRCQSFAVERPHAQPLPLPGSRARVTRTTSDISNSKSTLEKHGKGQLLPLPRPQKRPEATEPVAEEAAGSVSSNCSIDSDDAGYSRLQSLVGNEVENGTRATATNSSRYALRHDKGEMCAMKEVTLFSDDPKSKESAKQLCQEISLLSRLQHPNIVRYYGSETVDNKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVVGHARNMSSLGLEGQSIFQRRAAKFSFPNSELHIRSNISCPVSPCGSPLLRSRSPQHQNGRMSPSPISSPRTTSGASTPLTGGSGAIPLNHVMQPAYRNEGFKVTSRGLDDHLPSRPADPVYGRNVQVQQLSAGLQERVVSEADILSPQFGRMRHANVWDSHNRPLPSERSSQQSFGDNVQLKPSLDLRSGSSYRGRNHGQIL >DexiUA01G0014870.1:cds pep primary_assembly:Fonio_CM05836:UA:31089792:31091318:1 gene:DexiUA01G0014870 transcript:DexiUA01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding SITAKLRSLQATKLGKGSNLKTNYIIKMLGLSECADTIVGDELHRGISGGQKKRTTIGQIVYHGPRQNVIGFFNTIGFICPSRKNEADFLQENLH >Dexi6B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:6B:1042522:1046680:1 gene:Dexi6B01G0001270 transcript:Dexi6B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRELWAVLGRARGEAEGSLEGKGWGGWLGAVRSTWLHGGSIERAPARCALCSCPPLAVSYWRPIRAQKPIYARCFFFLPRTGGCDGDVYGRADADADADADADADAEEFIASDPVYGPQLKALRESSKFALAGALVGATPPRRRRVQPLQCAARHRAGDRVRGDHRRRAGSRGGRALVPALQDGQAGGPALCVLLATGFGAITVTVLGAEVAEHWYQLYKMDKQGANLRFIYWWEDKISGLFLVLSCVVSPLASYES >Dexi3A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:3A:10645500:10658164:1 gene:Dexi3A01G0014640 transcript:Dexi3A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPQELNALLKKVSQYARSKSWDTRVAAAHAIGAIAENVKHTSLKDLCASVEAEKHASGLSDGGDDAGSSLPRSDTAATSDLAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKKNLRRRLGRNIQQLVSTMVPRYHKQPNFRSRRLSARELNMLKRKAKSNAKDHTKTVSEDDEGAFDITMDEDNPEYNENGRWPFQQFVDQLILDMFDPIWEVRHGTIMALREILMHQGACAGVYFPDLSLPSAILDGKTNFDSLKMAHGIDLNEDVPHEHLEPALKRHKKEPNPSKFSYLDYDTEMVNGDSSSKTEADLSNMRTVSTGELSSAHVKVEPELCVDDSTDPSKGDSSCKPLPEKLNSISNPSSLMHVPENPKFMKLMKLAKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGVVLKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVSVRQEMLKDLLDYVIHACKAGLEDPDDDVRAVAAEALIPVADSLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTAASGERGELDLNTLTQTAGQEDSLASCENPYGLATLTPRLWPFMRHSITSVRRSAIRTLEKLLEVGNTRNLAGTTPSKFWPTSILGDALQVVFQNLLLESNDEILQSSESAWKLLLQCPEKDLESAAKLYFSNWVQLATTPFGSVLDSTKMFLPIALPRGSRSRAAAKIRSARQEHENTRMISFGSAGESTSHDKHFDVPSNVSKIIVGADSDKSVTHTRVVTSMALGLFASKLPVGSWQVVLSPLADDLMSLSGVQRQVASMVIVSWFKDVRSRDPVSVGTLLDFLSSVKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLLHSIDSCATFKDYISGLNLNVDVLSVDDAINFASKLLLPSESDLPSESEKVFLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVIEDQNLLSIGKRFSSHRSRGQTTSGSEERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDGPKGDTSIAQLGRSYEDKDPQSLINNIQVVRSITPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLADDVMVLVIENVIPMLSDLSSVSARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSKGASIPGGLSERLSTSSEDVQFLEQLLDNSQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAELRTRNDDKDAASLIICPSTLVAHWEYEIEKYIDSSVMKPLQYVGSSQDRVALRSQFNMFNVIITSYDIVRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSSSNAKEEISTIVKANESEESAPQPKATRHVFQALQYLLKLCSHPLLVTGENPPDHLVDLLKEIGVGSGSELHELHHSPKLVALQEILQECGIGSEISSPDASSSAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPRGSSDEQSKDSKKKSGGKGLKSILNGLDELWDQSQYADEYDVNQFLAKLNG >Dexi5B01G0021600.1:cds pep primary_assembly:Fonio_CM05836:5B:23887300:23887580:-1 gene:Dexi5B01G0021600 transcript:Dexi5B01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKAVKVAGAAAQANEPAPKLSDTATKPSAAKSGGVKKAEQKPRETKKKKVKSSKPAAAKK >Dexi9A01G0028350.1:cds pep primary_assembly:Fonio_CM05836:9A:33023289:33025330:-1 gene:Dexi9A01G0028350 transcript:Dexi9A01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATATGYWKATGKDRRIACSDAGVYGLRKTLVFYRGRAPGGERTDWVMHEYRLCQDLAHGACNFIGAYALCRVIKRHEAGLLEPAGKATKGNAAGARAQMSKVSSSSSLVSSEQLSAFTPSPPPPTLDISRGMCTMAESGNTFQSPLAYGGDVTATATTATTAPLFLPTGGHSSPYDTFFIGGDDFASESRSLFAGAGDMGTGGVAEDELMRWDSFTYPNNTFSNNGVDTWNTAAAASPLLCRQVSDGGVDDLAAFFFSDPENRIVF >Dexi9B01G0033880.1:cds pep primary_assembly:Fonio_CM05836:9B:35956685:35963578:1 gene:Dexi9B01G0033880 transcript:Dexi9B01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLIFAGITDSSAISLHGRADYSPNDDLLEQEFMLKGRWFQRKDLEVKNGLGKKLQCSHYMPAVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEKEDLKAVVNYLRTDGNVSCIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKANFDIMDLDTIQGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPEVPEDHYFLTPHGSLGQGHWDTEHDIEYRFAQSPRGTASATTTEDAIAQLRSRRLMSRMEVPSGATTEDRADPPEGLDSDVGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTVEDEDRMLMRAILESLKDYEQSNSKNAQSDASDAPKESNTVEDCSGVAGAVSEPDASSVPSDAPGDSEAKAGSVQSSDSPVNNIAVADATGSSEPPASAQTTNGKLVTAESQKMTHSINGEDGTRATLVVQKSRTGGLIDGLTQKWGSFFKNND >Dexi9A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:9A:9152994:9154686:1 gene:Dexi9A01G0013930 transcript:Dexi9A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHQTTACPERRSAPPRLKTDRPIRPPHSFAARIDSGPTVQDSMERLPDDVLADILRRVPPRGLAACRGVSEAWRAVVDGRRLLRADLLPISLGGIFIKFHGSKHNASELFSCPASAAASVSGTRRYLPEASGCHSWGEIQDHCNGLVLVEGYDDDVWYVLNPATRWVAPLPPCPPPAVDMDALEVKYLAYDPAISPDYEVLSLSRYKRRRPGDHRNDSSMDSVDSEIEESEWPPLIYNLYVFSSRTGQWEERSFAREGEAIGTIADMRKCWASDQRNAVYWRGILYVHCQADFVMRISLASDKYHVIKPPMGVEVKRYYPEIYLGKSVNGVCCASIEGRCRVQIWNLTETGCKMDWVLKIDKDLGKCLLKHKLEHPRPRANHDPKIRGPWTLQGINYYAYDEYDEDDNMEAYDESDEDDNTEEPLEEELEWSSQASADEKSAWSTDDVSFNGEYCSGYMNILGFHPFKEILFLGESITRGLAYHYNSSMVEVLGNIYPAAYDDALPNEQFIESSFPYTPCWLGQTKDENS >Dexi2A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:2A:26696550:26700087:1 gene:Dexi2A01G0015550 transcript:Dexi2A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWHAVAALCVAFAAAVAADRGLSLVGAAGAPEEEMGLLRKLANLMWKSDANTYQHVWPPMEFGWKIVLGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCKDLTAYCCGFFLLCMIMGASVSTVYYNLKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYGGVGISNIVHKIARHEYMGFENLCMYDA >Dexi9B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:9B:15425502:15427897:-1 gene:Dexi9B01G0020730 transcript:Dexi9B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDHPFADDISSPIAAHILDFCDDGSGGDLFAAVNVASDMFTAASSDDASSSSVTTTTTPQAPCSHGDNVSSGAAAAAFSPMPSLDSTLSALLEEDDPSVPDTELLLPIDYHQFAAAVAVDEPQQPEQGQLPVALEQPPALQTQMSSNASELMQLASSAYSDKCFAAAMAGAGGGGYVGLEEVLCQQQPPPQPGALLPAGVMESTVQGCLFTKDTAAVAVQGGGFFGTAGGCTGMVMSMMGMEEIGEYQRMMESASAALAATHSPDAAADSSVSTAAQMAAFAGGNAGEMQRMRDEFLGGARQMGGSMSPGRMPAATEASTLEDQSFKSARISVEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGETSREMQGHDGYDQIAIEPGGISCFQAGLKGEDMLDSDALQAHLSGMNSYMYSHTVESWM >Dexi3A01G0026710.1:cds pep primary_assembly:Fonio_CM05836:3A:23087993:23096314:1 gene:Dexi3A01G0026710 transcript:Dexi3A01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAPRPHHGCCGLKCEDTQQASMALGDLMASRLVHSSLSPSPSSAAPSAPLPNHHHHSRVTDGLAVANGPEPRNGLEAAEVERPAPVAYLPQVVVLCEQRHEGLDEAAAATGPSTSGLVSKWRPKDRVMDAIQYSDAASADSAITQTMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHTQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSVEFPADVFTACLTTPIKMALHCSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSATELRQILVFIWTKILSLDKAYFPEAQLLGLHSNAPEILIYLLSEPQPEVRAAAVFALGNLLDMGSTSVNGVDDDSDDDEKLRAEINVVRSLLQISSDASPLVRSEAAIACKMTSQIASWDTRFESGTKATLLLPFSPIVVAADENEQIRVWNYEDALLVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRVWKNFTQKGGQKLVTAFSSIQGHRAAGRSIVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRPGHFAAGFADGSVRIFDVRSPDRLIYMAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPIVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi4B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:4B:11050885:11056768:1 gene:Dexi4B01G0012960 transcript:Dexi4B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGAPRELSPLQKARALYQPELPPCLQFATPLPVPVIPPLWIRVATVHAGFPPPPCAIGRIDLVGEPGVVPGTTVRVEYGDAAIAVDVADAHVISQAFPHTYGHPLAHFLRKTANVPDATIIAEHPVVRVGVVFSGRQSPGGHNVIWGLYDAIKAHNSNSKLIGFLGGTDGLFAQKTMEITGEALSSYKNQGGYDMLGRTRDQIRTIEQVSHPIRMLLSSLKTFAESKCQTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKVILGEEVSMSKLTISDITKQICDALQARAETDKYHGVVLIPEGLVESIPELYALLQLLLHPESDDSAQLSQIETEKLLAQLVETEMNKRFVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >Dexi5A01G0034440.1:cds pep primary_assembly:Fonio_CM05836:5A:36489311:36493622:-1 gene:Dexi5A01G0034440 transcript:Dexi5A01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAIARIYSAARSRLEAFITALPGGHDGFGRSGRGSHRQRGRRRRWRSPFSTPLGSPMSTMSSIIGAGDDQATPASTVAAYATPQGGVGTSPRAPLPLPPPQMVVVALDATRDHRDDEIKTALKGLIDRGDILRAGDSLFVLGVLYSITHPMGYQAKPCTESFSGTSDKYLIDQVAKIAEIYKNKLHQVAEAYHKVGITVTLKITPGAPAKVVIIHEVNSSKAAWVVLDRHFRRDFRHLEKHIACKVAAFQDHLQVQTLKSIRSIPSSKSIAEVKDLQRFAVTIDLSSETLTAGTHRVANMSSPVSYFASLSHHEFHETSSLASCSMPYFSAMSLATEDTQSLSNGKYEEQMTSQYDSLERPVLCIGCGLKSVLYIKESMKFPFSEIQAATSDFSNENLLGEGGFGHVYKGQLKDGQNIAAKLRKEASTQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSASLLEWHKRHAIAVGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAGNDSIKTRILGQSGYLAPEYAQYGMVSVRTDVYAFGIVLFQLISGRKVLNEYEGQCTHILQWAEPLVESLALHELIDERIKDTYDTYGLYHLAKTAYLCVRANPEQRPSMGEVSFEIVVRLIETENEHIRDLSRQFIPHFMK >Dexi6B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:6B:13466931:13470395:-1 gene:Dexi6B01G0009260 transcript:Dexi6B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLREWVSDKLMTLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRTFAADIYAKFPRRSSGVSNYQKQEREAAKLVQKQSTYKLLSDEDDNDADNQTSTSRKGSTIPSSKSRKHFRRKTDQDGGDDDADDEKISNDSGRNVRRRTEEDDEKDGDNSSDEEKERIRDQQERAQLEKNMRERDASNTRKLMERQLSKEEQEELNRRSQAMDKNDTSDLSDEILDHEFIFQDVKLTEAEEKELR >Dexi7B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:7B:15851037:15856436:-1 gene:Dexi7B01G0008060 transcript:Dexi7B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHMKDPAHRTKVVLRRLPPAIAQQTVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVVEFAEVFNGHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDGREGTITKAAGKEAPVVTPLMMFVRQQRAAKSMAQRSGSRVSRKVAGVVTSSSSPSKRSSEKRRSSTQYVARDSGKEKPTYIMVPKREEHTQREKAVAGSSDATSGGTSGPGQAVEAKGDKIIILKGRGRVDSNTPDGTLTPVKNVLPSSSRQDQRLEAGGRIIKTILSNKEVRSSNPSQHEQEGHMLNTERDKRPPRVLNPRTIVKDHIVENAERSHFDEKPNHLHGSAPVGEKIERHARNRDRPDRGVWAPRRYDKSTSGGGSHASSSEFPQMQSHSGDNLSQLADGHGDRKTDTRSHGGSRGGPVENGIPTVGVRVVRRKLKYQLACLMQKIQSEALLAMVLMRDKYGFRSQVQDREFVH >Dexi3B01G0038320.1:cds pep primary_assembly:Fonio_CM05836:3B:41099104:41100935:1 gene:Dexi3B01G0038320 transcript:Dexi3B01G0038320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSTFLQWAINQLHHHPSAAAAAASAYHQDGAGAATGDREEAIFPSLHALRSGGASQSQPHPVAAAPGVRVRDDLTVQVDHHRTTNSSTTSSGDSPGAAAMDHDAGWSPHTARSRTTGLGGGSNSRPMSWNFSAASAQPTATCETGGGALPDAAAATRVQLASAAGRRAAAAASSPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVRELQDKVKTLEEDGAGGSSSIQSVVLVKKQCHLPAEDEAAMASSGGGHGGDGGLQLPEIEARLSEKNVLLRIHCYNARGLLVRVISEVEQMHLSITHTNVMPFPASTAIITITAKAS >Dexi9B01G0042270.1:cds pep primary_assembly:Fonio_CM05836:9B:42509829:42514477:-1 gene:Dexi9B01G0042270 transcript:Dexi9B01G0042270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRKREEFCCLELASMAQLLPRTAATVAMYALVALIAAGAAAAGGGEQPLSRIAIHRATIAPQPGAFLDASPALLGLQGNDREWVTVTYSNPKPSKDDWIGVFSPANFNDSICPSENIWVEAPLLCTAPIKMTVTWTSGYGTNEATPFVRWGIQGQIQILSPAGTLTFGRNTMCGINIAMKPSRSINITKYHDGFMLGPPARTVGWRDPGFIHTSFLKDLWPNFMYTYQIGHRLFNGSIVWGHQYSFKAPPYPGEDSLQRVVIFGDMGKAEVDGSNEFNDFEPGSLNTTNQLIKDLKNIDMVFHIGDITYANGYLSQWDQFTAQVEPIASTVPYMVGSGNHERDWPGSGSFYRNLDSGGECGVPSQNMFYVPAENREQFWYSMDYGMFRFCIANTELDWRPGTEQYRFIEHCLSSVDRQKQPWLIFLAHRVLGYSSATFYADEGTTEEPMGRESLQSLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKGSDRYTGAFTATTHVVVGGGGASLADYTTARARWSHAQDRDFGFAKLTAFNHTTLLFEYIKSRDGSVHDHFTVSRDYRDVLACGIDNCPSTTLAS >Dexi9B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:9B:12882605:12892099:-1 gene:Dexi9B01G0018140 transcript:Dexi9B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSVTVLTSPLLPSSTAAVRLRQHPLLRVRARAAGAASSQSPSARSLRLLEWGKVCDAVASFAGTAHGRNATKKQLWEVEDVSYEQSQRLLQETEAAVWLLDNAGGAMDFSGLDTVAIESAIRCVSGGAVIKGLEAVAVASLIMFVESLQLDIKAAMKLDEGSHSRLTALTETILDAVISKSLVKSIQDIVDDDGSVKDTASPELRRYRERVQILESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGNKSSTFDGLLLSSLIWNQGYILIKIHMCCSGAGAGSMIEPISAVPLNDELQEARALVAKAELDVLSKLTDKILLELDSIQSLLQETIKLDKEVRRRRIYGQGITEDQLASDIESMKLRVSQLEKDQPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVSSLSTIRCILIPCNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPSDIVESARGLLGTAGAEINALITEMERFKQDYQQHLQKAQHLLMQSKELHNTLELAQKNIVDHTSAQRKRRARVISEYAVMARSIIRKKFQQFQESAIAERVREEEKAVNNAKSERVKDPVPASTAAMAKTQNTDTNLGAAADDEEDGIPEVGDSVYVPKLKNQATVVKIDSSKNELQVQAGMMKLKLKLQDVKVQKRKVSR >Dexi3A01G0027190.1:cds pep primary_assembly:Fonio_CM05836:3A:23965706:23966182:1 gene:Dexi3A01G0027190 transcript:Dexi3A01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTAGQEKFHALGPIYYRDADAALLVYDITDSDTFLRVTKWVKELKQMASKDIVMAIAANKSDLVRLKNIDTQDAVRRTKGL >Dexi5A01G0038770.1:cds pep primary_assembly:Fonio_CM05836:5A:39653859:39654633:1 gene:Dexi5A01G0038770 transcript:Dexi5A01G0038770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPAVKLIGWYGSPYVHRAEVALRLKGVPYELILDDPSNKSELLLRDNPVHKLVPVLLHGDRTVCESLVILEYVDEAFDGQPLLPVDPYERAMSRFWAHFIDQKFARPFWMSFWMGEDDEHKEAFVKEAKGNLAILEEQLKGRRFFGGDAVGFLDVAACGLAHWLGVIEEVSGVTLVNGDEFPAFCKWANAYVNDDTVKQCLPDRDELIAFFSGNKEIYMALARATLHK >Dexi2A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:2A:170824:174154:1 gene:Dexi2A01G0000290 transcript:Dexi2A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAILVVAAMAALAVQLAAAADHPVGGTGSWDTSNGAGFYNKWASKTVFKLGDTLTFKYPAGHDVTEVGKPEYDACSGAKPIKTFSDGDTTVKLPTAGKRYFICSVPGHCAAGMKLEVTVVAAAATPTTKPGDRHQRSVAPTPAPAPEPSSTETSTPAPAPKASDAASIMLGGKQAVLVGLAVMAALAIATREWAFWAGWRRPGSFCCKMEQGKVKRAGQNCWRVRPSVPTTNPGPADADVRPILAVVAVAALALQLAAAVDHPVGGDGSWDASGTSYNAWSAKQKFVQGDTMLFKYSASHDVTEVTKAGYDACSGANPVKSYSGGATTVKLTSPGKRYFICSFPGHCAAGMKLEVTVATAAVTAPAPAKSKPRHQRSSAPASSPAMAPEPSSTGELPNVSTPTVAPAPKSSDAASVRVLGTKAAMALAVGMALACLAI >Dexi4B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:4B:3044337:3045983:1 gene:Dexi4B01G0004220 transcript:Dexi4B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTPKTVCVVGAGMSGLAAARELRRVGLAVTVMEQCSDVGGQWLYDPRTDADDPLGATAPVKVHSSVYASVRLLTPRELMGFSDFQFVPRPGRDARRFPGHRELYHYLKDFCAAFGLADSIRLNTKVVRVAMAPPPPPACSGSGGYKWLVRSRHVEPGGKEGVAVEEEEVFDAVVVANGHYSQPRLPSIKGMYTWRRRQLHSHSYRVPEPFRDEVVVVVCCGESGLDIATELCGVAKEVHLAAKSVEEATSPAPMVSKMLAKHAGDIRLRPPVDRLCGGDDDDGTVVFADGSSVVADSVIYCTGYTYSFPFLDTGGVVTVDDNRVGPLYEHTFPPALAPSLSFVGIPIRIFVPWFLEVQARWIALVLSGREALPPEEEMLRAVQEDYRAREAAGVPVRHTHDIGAIDGKDEIREFVYRHSDLPHMEDWKMELFMIGFVNTMEDRETFRDRDDDTENVREGLRRWRRESVAQYEAALAAAASGGDDAASEGDAETAMAADSISSLKLSTDDEH >Dexi5B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:5B:10830209:10834404:-1 gene:Dexi5B01G0014430 transcript:Dexi5B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLEKQQRQHQPLAAAAPVEEPAKPTPELRWDAPAPPPPPPAAATAATNLESFIASTAVRVPARGHPRTGTRGRGPGADAHEGTPYYELADLWDAFGEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGSRPPPPSKRYGRWPLHLSLGDLEWRFNEDSDDDSALDTSNDVSSVSDNERNIGIPTQCLAEDISIDREGFSDDSMLTGRPETNNFHNNKIADVPGKITLPLIGLVSYKFHGSMWMSNQHHEQKLTTSLLKAADDWLCQRQVDHPDFRFFLSH >Dexi4B01G0020880.1:cds pep primary_assembly:Fonio_CM05836:4B:22986665:22987256:-1 gene:Dexi4B01G0020880 transcript:Dexi4B01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGIAGDWLVYCGLDKDPELIGHFPKSLFTGGFAEKAAGVMFGGVVSAPITKPTPMGSGYLPTDVKSAASISNIQLIDENEKAWPVTGDLPKFETNRNAYAVTPIVNGKFFYGGHDQPPVA >Dexi4B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:4B:19860969:19862645:1 gene:Dexi4B01G0017480 transcript:Dexi4B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLFADVDLEALLASFSGEPSSVSDLIVPSPPPPAPATATAHDAEAGSPESVTSRAGPPVEEALTGIERFLMQEGDAELGREAEGISVEEFFDALFDGGDGEGEGKESGAGGSTDGGSGRDEVVEVVTPETQTVEVDGDDPVSKKMKRYADSVALSLGMPIFVASSSSWQMRNRDSAMKSRERKKSYIKDLETKSKYLEAECRRLSYALQCYAAENMVLRQSLLKDRPVGAPTAMQESAVLTETLPMVSLLWLVSIVCLFLMPGLPNRSPAAPSSAGRDLGMVAGKTSSENPEIMELILHGRRCKGTRAKIKLDSLPFHAVAAC >Dexi6A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:6A:26122161:26122793:-1 gene:Dexi6A01G0018410 transcript:Dexi6A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQWSTAASLDRWVGPWRRSSPAPPCQAAILAATATPLPRRAKREGRIGCASVQRELGAAAEAEQAARPVADGDSEEEGDACGECGGEGWMICDFCKGKKNNVKSEGTRVYRRCPTCKAAGFILCPRCRVFKCITFPESNES >Dexi4A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:4A:8015968:8024074:-1 gene:Dexi4A01G0010070 transcript:Dexi4A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRTCRRMALKLNCVTVNPVDPARAPGGSSDSQPTPVHSAPGGSSAMARPSSSHRAGKAPVLPQASDEDVPGDDSEDSPAPRFADQFIFTQHMDDAPPYTQTQGESSQMNMTQTQREFSQDSDGDAQPRRRRRRDRSNVPSSANRLQTGVYWRQLSVSITCSLPDHAVDRSTWDHVPSGTIPRVRAPRVHPSSTPRLVPPVSVQRRHATHSAAANDLCRGV >Dexi2A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:2A:516618:517933:1 gene:Dexi2A01G0000890 transcript:Dexi2A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQKSKMARERNAEKNKGSKGSQLEANKKAMNIQCKICMQTFICTTSEAKCKEHAEARHPKNELVQCFPHLKN >Dexi1B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:1B:1113461:1115782:1 gene:Dexi1B01G0001440 transcript:Dexi1B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAGDETAAKPAMEGTVLCAANHAPLTPISFLERAALVYPDRPAVVASGPGAAPPRTWRETRARCLRLAAALAGLGVARHDVVAVLAQNIPAFCELHFGIPMAGAVICALNSRLDAAMASVLLQHSEAKVVFVDAALLDTAREALRLISQVAGARPPAVVLIKEVLDDEPPVPSDNNLPYHEYESLLDSSIAGGDGGGSPEFAVRWPGDENEPIALNYTSGTTSRPKGVVYSHRGAYLNSLASVLLNDMPAMPVYLWTVPMFHCNGWCLVWGVAAQGGTNVCLRKVSSATIFSAVANHGVTHMGGAPTVLSMVVNATADERRPLPQTGRPVTVMTGGAPPPPPVLYRMEELGFLVIHSYGLTETYGPATVCTWKPEWDKLPASERAAIKSRQGLHHLGLEVDVKDPSTMASVPADRCTMGEVMFRGNTVMSGYFKDAAATAEAMAGGWLRSGDLAVRHGDGYVKILDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRPDEYWGETPCAFVKLKDGVAAGGVSEEEVVAFCRGRLPRYMAPRTVVFVAELPKTATGKVQKFTLREKAKAMGSIGSEKKKKNQGPATGTTRQSKL >Dexi3B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:3B:84712:87784:1 gene:Dexi3B01G0000080 transcript:Dexi3B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENEDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSAAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESEPSLNAEIRNMRRPLSSSVGSLPPMGRSISSSQTSDRRGGSSASNTRKDEYNWRYDTDDMSEEVLRASTALESIQLDRKSRNLPTSWRHSGDGTE >Dexi6A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:6A:2864575:2867920:-1 gene:Dexi6A01G0003220 transcript:Dexi6A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKGVSEGLIIGITVGVVIVHILAEIHNFVSVIISRELQKATSNFTTLLGQGAFGPVYKAEMSSGEILAVKVLSNNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCADKGQHILLYAYMPNGSLASHLYGMFSSCENSVPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDRSMQARVADFGLSREEMVSRNGSNIRGTYGYLDPEYLSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAIAYRCVSRVSRKRPAMRDVAQALTRVLKHCRSRKHHSKRHPQARADDESVDLETSEVQSSFSGLQREESVGSISDLPV >Dexi5A01G0038760.1:cds pep primary_assembly:Fonio_CM05836:5A:39652382:39653164:1 gene:Dexi5A01G0038760 transcript:Dexi5A01G0038760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPEVKLIGAYGSPYTHRAEVALRLKGVPYELILEEDEDMSNKSELLLRHNPVHKLVPVLLHGDRTVCESLVIVEYVDEAFDGPPLLPADPYQRAEARFWAQFIDLKFGRPFYFSFWMGDDEDDKKRAFIKEAKENMALLEAQLKGKSFFGGDDTVGFLDIAASGLAHWVGIVEEASGVTLVNGDEFPAFCKWTNAYVNHETVKQCLPVREELVAFFSARKEMYTAQLRDALHK >Dexi1B01G0024750.1:cds pep primary_assembly:Fonio_CM05836:1B:29961075:29962642:1 gene:Dexi1B01G0024750 transcript:Dexi1B01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMTAWQSLQTRRFFITRSVVPGGVVISPNATASFSLCLARTRSFSPSSMSSSTTDVSGTYPRRRASSSNPSSVSHIAVASGCAQSPAVNSCTVPSTDTVHVTNVGARGKHGNDGHIVRRHPGVLHVSEHAQGFGGPPGLREPGYKRRPGADVGLRHFVEQVERFVDVSTHGEGGEEDVVGRGVPGRHCVEEEAGELEVVVVLPGTRGVHVEEGVGEQSGGGEERELGGGPVDGAASAEVPQARARAGGGRGGGRRRGAGGEPRLGERRVQLRERVGGVGARARWRRRPGARREH >Dexi5B01G0030600.1:cds pep primary_assembly:Fonio_CM05836:5B:31521031:31524976:1 gene:Dexi5B01G0030600 transcript:Dexi5B01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLWRLRGLHAMALEAKQAALCIKKVLRFPIRKGYRFVSEHPILFGFGVLLYLLYRSSPGFFAFLLSSSPVIICTTLLLGALLSYGEINLPEASEDHKGTSEISAFKVENPCSDTHFEANQRLSVPGFREDTSNFKEREINQTVSFRERASEHVPLLRRADEEDERGDYHNIPRTLTPFPSMVNLRQESGIREDLNFNKRREPEGSFFIQNKADRQTILFDAAHLSGLNDKETSFGLFPSSENVNKHVDMEDNLNQERVTGSSASKEREISEEKQTEELAGTSKSAFTSIQPWEKTDRLNVDTSNAVEDSLLDSSLGSPWARVGSQDGSSGFDSDGAESSSPDASMTDIAPVLDEIDPLLGADSASPDPIPRDDSDTDSHVSEDHQIDDDSNDEGDENDSKDNAEGKKKDDGKDAAFLWTADDEKNLMDLGYSEMERNRRLEILMARRRSRKNIRFEIDNNFIDVDSNGAGRSLDDLTRFRAQVAPIAVPRRNPFDLPYDFEEAAIPGSAPSILHARKNPFDLPLEQPHDSGDSTHDTLNAGKSVTSPCRDMFFRRHESFNFGRTDAIQERRFSKLKPYFVPETVEWNASNFQRQFSDKSDSKLSSVTKSDMASSVADQEDHKDHDEKHLHMEHESPALVRQDSDLADAGSECSDGINSIDVELDNSDIDERETALHHFVFERSQEREAHLASTKGKGHEEDYSPKSSGNSNMPFHPVPDLLSWEDGDGDSSPGSKPSFELNAEAKCSEWVLSSRPAVEGESHSRDLPEYLHTDVASSSNTVVLGASNIAEKDGNVDFMSYADNDMPLDNLIQGSMELPSEFVTETLPVISRDLHPIPEERVLENFSVQENNEAAIFTDSAGSLIGLHVIEEHFDVGSGRSLSSVSSYSQANDAIQSPLSEHAEVLNPFISMAAEPNKVEIGDMNDETTTGYLLDSDDEAGKIYPEPIEDSGIDESFLSELDTVGDFGVQPMRFDQQVPDQGSQDVNSTSVVAVDSVISPQTSDNVSLTMSEASAEDSREQSPVVDDLNGPEFSWSLEASHGDPEQTVYNPRSRILEASPFEAMNLDLKPPHIESEVPSDDAPSTAILAAGSSELEVTPNELVTTTTNSEMTILDAKSLEDIETAFKLVSDGVVSEPTMDTGLLHISGVNNVDSEPKEGGELHVIDAKSVNDIHVALKEHCDSVVNRCSEENEDKAEYGETSESTKHDEVTEAIHFESSHDVRDAREDLPVESTSNKVSNEAKTHDDIDAVFSTVSDVSSAKSSVQGVEQEDSHKRGEEREYQ >Dexi2B01G0026130.1:cds pep primary_assembly:Fonio_CM05836:2B:35266797:35267977:1 gene:Dexi2B01G0026130 transcript:Dexi2B01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVDKKNDSEIVTDDMYPFLRRYKDGRIQRLVPNTFVLPSEDPGACGGVATRDVVIDHATGVSARLFLSVSAAAATERRLPLVVYFHGSAFIAGSAFSEASHRQATTISARAGALVVSVDYRLAPEHPIPAAYDDGWAALRWATSSSSSSHPWLASYADRRRTFLVGDSAGANIVHRLAVRATARQDCVDVDMIDIEGIVLLQPFFWGPERLPCERPGRHEGRRVFAPERMDKLWPFVTGGAVAGNDDPRLNPPAEEVASLRCRRALVAVASRDVLRGRGRRYAAWLCGGGAWCREVALVESEGEDHAFHLGRTAARASAVVLMDRVVTFIHGSEPLTGAGPSWFKFN >Dexi8B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:8B:12817152:12819346:-1 gene:Dexi8B01G0008690 transcript:Dexi8B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCCWLLLFALALVACCGHAATVEHTFDVGNFSITKLCRPARIITAVNDQLPGPTIHVREGDTVVVHVVNNSPYNITIHWHGLFQRGTQWADGPEMVTQCPIRPGNRYTYRYNATRQEGTLWWHAHSSMLRATVHGAIVIKPRNGDQGYPFPKPDKEEIILLGEWWNRNIFDLERKAFLTGTLVNPADAYTINGKPGDLHKCSGSNRKLETFKFKVKSNSTYLLRIINAAVNTPMFFKIAGHNFTVVGADASYTTPYDTDVVVVAPGQTVDALMVAGARPSRRYYMVATPYNSARPNLPFRKRTATAVVEYSGAQRGARRPLLPPKQQFKDTATAHRFFTSLTALVRPGQPTVPLTVDTRMFVTIGLGFADCMPEQTRCKQQVFAGSMNNASFVLPTSISLLEAHFKNVTGVYTRDFPDEPPVKFNYAKPPGDMDATTTKSTKVKTVRYNATVEVVLQNTALVARESHPMHLHGHNFFVLAQGFGNFHQETAVKRYNLVNPQERNTLAVPPGGWAVIRFVANNPGMWIMHCHFDAHLPIGLAMAFEVQDGPAPETALAPPPADFPQC >Dexi5A01G0022130.1:cds pep primary_assembly:Fonio_CM05836:5A:26064712:26068564:1 gene:Dexi5A01G0022130 transcript:Dexi5A01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEASAVAVAVAEPEALIPLVVEMKMETSETSVKDHGVAQRRRRLEMRHLNLVASATAGGEGGKRARPALDLSPAAVAGVVRPKSLSHGVHMAIGSRRQMEDAVAVAAPLPVVVAAAAEGETGEVEEGGNNGKGKEMEVEEGDVGWVPEFFAVYDGHGESWVAEMCRERLHVVLAEEMARLRLVKGGGGGGELWKEAMVAAFARVDGEVAVIQVAEGDVKRNTKGSTAVVVVVEPRRIVVANCGDSRAVLCRGGVPVPLSTDHKPERPDERVRIESAGGQIIYWQGPRVLGVLAVSRSIGDYFMKPSISAEPEITVTDRTSTDEFIILGSDGLWNAMSNEYACKVARYCLSGRAAAKWPAAVQGSSASDAAKLLVELAMARGSQDNVSVVVVELRRMLWRKFSRQNGRT >Dexi9B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:9B:13279659:13279922:-1 gene:Dexi9B01G0018600 transcript:Dexi9B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINPNGGILSYGTLEGYAMWVATGVASAFFASLERCSCIHLHTAEDDGDEEDLEEARDRPLMLSRPQALPEYYYDRSGSSASFAKM >Dexi7B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:7B:14283783:14285745:-1 gene:Dexi7B01G0006580 transcript:Dexi7B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSSAHLAFRRSLAAAPGAASLGKGLLQASDEYTMQRLLHGQPLLRCFTSDALGPNKNSQLSGPEIAAELKPHQNLRGPNFVSQNLHSKGQFNNFIITHNGGTLSERAGADKPVNLGGRLPFAASRFLEREQYSQKKRDFVHVLLKRNKTFVTVTDASGNKKTGASAGCLEDRKGRSRLSRYAAEATAEHVGRSARKMGLRSVVMKVKGAAFFKKKKKVILGFREGFRGERVRDQSPVMYIHDVTQLPHNGCRLPKQRRV >Dexi1B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:1B:2069395:2070005:-1 gene:Dexi1B01G0002550 transcript:Dexi1B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQVCTDY >Dexi4B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:4B:22639330:22640928:-1 gene:Dexi4B01G0020440 transcript:Dexi4B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERPVPRRESPWGLPEGDTRQPKAHRCNDRAEDVVQAIFEGNPFKTVPGPFKLFWQCMRSKPGYVVPVPSHHL >Dexi2B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:2B:111615:116236:1 gene:Dexi2B01G0000240 transcript:Dexi2B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICLTMCTGPAAGQLAVGYYSKTCPAAEDIVRNETAAAISASPDLAAALLRLHYHDCFVQGCDASVLLDSTPTNTAEKDSKPNGSLRGFDVVARVKDRLEHACPGTVSCADILALMARDAVFLAKGPTWAVALGRRDGRVSSAGNCGELPPLHGDIDLMVQSFAAKGLDVKDLVEEIDREQLRKAMVMAAASPPLLLVVLLLTTTAMMTAEAHVEIGAYNKTCPQAEDIVLKEMTAILARSPDLAGPVLRLLSVDCFVGGCEGSILLDSTANNTSEKDSPLNQGVGGYEIVDAIKAKLEAACPGVVSCADTLALAARDSIRLTDRQSKGPFIPLPTGRRDGNRSVAADVALNSPPPGATMADIIALFANKFNLTAKDVAVLSGAHTIGKARCSTVSPRLYNFGGKKGASDPTLDANYTATLRGECKPGDNATLVDLDPPTPTVFDTDYYALVAGKRGLLSTDAALLLDPATSAYVAAQANATSSDEFFSDFAKSFVAMSKLGVLTHQKGEIRQLCSKVNTPTTHNAAAARTTQQTAVAGMALALAVALVL >Dexi6A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:6A:21993611:21996590:-1 gene:Dexi6A01G0014610 transcript:Dexi6A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEKRTKRAAVNLPDEILNEILLRLPARPTPSPPRATRGGRAVVFLAASPGRRSTTAYSCSSQSTPASDPLFTVDHARADFLSLSSSTASRGLVLLSDTRKATGGRNYWVCNPSTGECRALPHQRHYGLIPSSAGLVLDGRTKQCKVVHLFFKLSMFGGLGCEVYTLGDAGRRWRPPIAGLGSLEVNTKNVMRALETEEAVTKMPPMAADGFLRWLIYCPSGGGTPDQSRDDILRFSATDESFDFISAPCCVGSGEDDDVWLELEEYIPAVPFHLAELHGSLCMVHDLRRRRRGHGGSSIDVWVLRCGGDWSLHYRIAVTPLLGRDVHSPRFITVLGCYGGGTSTSSGNKVVAKRQLLSATSEHKVFAYSPDSGDVETVFSAEETDIGLQKEAASGLRLGMYEESLDWGGESHDEKQVSSAVTEILLRLPLKSIAQSMLVCKEWRVLIESESFVATHMSMERPTSILMATNGRARCAFFAFAPLESWLHQASAAHVGSVADAKIICSKPCNGLNLISTSSDDYLCNPCTGAIQGLGIRGRSRFRPWRRSHQPAGCHPFSIGRNIDFGFDRSTGEHVAVEIGDLGGTLACLLKTSESDAWTCVGTPPMPVTDMPPAHVDGTLYWVGAQTQAMAPPTSCQRIAVVAFDIGTRAFGVLAHEQRFLTSRNSDHDAFLVELNKKLSLVVMDRDAEEVEIWTMHERGAWVDARRLCLRDQPDISPKTTVMVVPLEASRQDGRILLNTGRALGYYDIRTGAVDTLYSLNHLQLPPSNLAFPMLCQESLLRVPDEMDLPAASPPASSDHNGGLRSCGHPEHASAYNEIGTPRPIFQSCQKAGGCQGSGEIYSTCCKRVICRVCIRQCAEHSYQRDHVPLNNLYTNVIEGIQRHGLPLEHPFVPDPDYYCYYYSAIDAGDVARHVFVSLKDFAQGKEACHLTECAYRMDGHGAVRETWVRRYLKIDSGGEPLT >Dexi1B01G0023870.1:cds pep primary_assembly:Fonio_CM05836:1B:29345122:29346372:1 gene:Dexi1B01G0023870 transcript:Dexi1B01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHSGYVGFVDGYGSDAFDALGYAHDDAMLGFDAASLFAGAGSHAGAGEGYMADGGSVVWPGAARVSSSVLAFDRATSAAMVSGEEGDDEERDAWIDAVNQSYAGDDAAARHATTTTTVSVGFDANTGCFTLTERAASSGGAGRSPFGLLFPTSTAAAAAATSPERASPARASQKRTYVGVEPPASVSPKKHCGAGRKAISKAKSAPAIPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPEQGGKAPEISQVREALDAILSAAAQRGQLN >Dexi1A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:1A:6708925:6714190:1 gene:Dexi1A01G0008600 transcript:Dexi1A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSTPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRPDAAPREIEKSPGSVRNVTWLHSDQTILSCCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHYGLVKSYNMPCAVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFSPVGESYASGSEDGTIRIWQLGPANSEDQEVATANGKTKVTANDVARKIEGFHIPKDGQAEG >Dexi4A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:4A:1567164:1568747:-1 gene:Dexi4A01G0002280 transcript:Dexi4A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCILIHLPKNLRLNPLDGDKVLTMLVSQLHKGMVMVGNGSKILGWTTLVTEEFFQLTQYAVAPGSEVVDEGSGKKIGTVNTALGSRGMGLLRLEEALKQGSSLRIGDNRDVRVQAIRPDWWPAEWTQMLDQKSAVA >Dexi8B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:8B:7377607:7380488:1 gene:Dexi8B01G0006350 transcript:Dexi8B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIAASDGEKGPEQHAIDVAHVEHADGEGEDMGKERMAVAEEVQRKSKRVAALDAFRGLTIVIMILVDDGGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNIGAAVKKIALRTLKMLFWGVLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTTVRSGPCAIFDAYRWQWLGGFIAFVIYIVTTFSLYVPDWSFVYHNDGDVNDGKQFTVQCGVRASLDQACNAVGYIDRQVWGINHLYTQPVWIRSKDCTFSSPNMGPLRADAPAWCLAPFEPEGLLSSISSILSGTIGIHYGHVLIHFKTHKERLKHWLLMGFALLVLGIILHFTNAIPINKQLYSFSYVCFTGGAAGIVLSAFYILVWFMSQGILAAFVNGWYYESPDKSLVHWIVKHVFVNVWHSQRLGTLLYVIFCEIVFWGVAAGVLHKLGIYWKL >Dexi7A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:7A:222203:229809:1 gene:Dexi7A01G0000150 transcript:Dexi7A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPPAAKPRRRGAATKRKEKAASTALTASPPPKRKARERARVDPPPLPPPPPPPPAPRNRSASRKSRRKPARKKAARRSVNTPREQQEAVLVLPPPAAAPPPPRPSLEQEMQAALSRGANVHVVPTFADFDLCSDCYSEAKFDEGMSKADFILMEYAEVPGSGGSSWTDQETLLLLEALEIFKGKEWDDIAEHVATKTKEQCMLYFLQMPIFDSFLDGEDFNKTPRKITQDSAEAGAFDVPGEMDVDDNTEGKESTDEKIYKKTSANSSETGTKLADQSVSSKEDTMNSGDNDLVASSTLDASNKPLLMDPANKKNSADVNVSGEHASNFVIDVLRSTFEAVGHFQSKEDLCSFAEAGNPVMALAAFLSSLVERDDAVTSCCSSLRAISERSPALQLATGHCFILPDPPSDLKDPASNFSPCIGGECQGGADGTRNVNDTNKDVSKREGSALALEKENATFTSQKERLELPNTKESFVEGPQAEVKSNSTKESDNQAAKVESCVAYDEMRDGYNTILCSATSNNTNEPSSLVSQAASAASTKYTTNPERVEGDKASFKELSDNDSPSERKVELEENEHVPVASSSMEQLEPNQTGNDNTEEPNSNKNIAVADDPIIRLQRAAGTVISATAVKAKFLAEQEQDHIRCLAELVIEKQFQKMETKMSFLAEVENMVHRSRELTEKMRKKLLLERNAIIASRMAAMASRTNQPGAPPATRLPVPVALVQQLRRP >DexiUA01G0006660.1:cds pep primary_assembly:Fonio_CM05836:UA:12889022:12889924:-1 gene:DexiUA01G0006660 transcript:DexiUA01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLALQLALCAFFLLLGGSPAAAKSQWTPAFATFYGGGDASGTMGGACGYGNLYNAGYGTRTTALSTALFNDGAMCGACFTIACDSQYCKPGTSITVTATNLCPPNWALPSNAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRAGGVRFTVAGHSYFELVTVANVGGSGVVAQAWIKGAKTEWMAMSRNWGANWQSNAFLDGQSLSFRLRADDGRVVTANNVVPAGWWFGATYTSNAQF >Dexi2B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:2B:30242353:30245269:-1 gene:Dexi2B01G0020120 transcript:Dexi2B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRILSGLLLCALVSNVAYAKFSRNSFPEGFLFGTGSAAYQYEGAYKEGGKGKIKNNDTGDVADDFYHRYKEDVKLLKDMNMDSFRFSISWTRILPTGSLSGGINKEGLAFYNSLINEVIANGLKPYVTIFHWDTPQALESKYKGFLSENIIKDYVDFADICFREFGDRVKFWTTFNEPWTYASQGYGNGAHAPGRCSPFISKSCTPGDSSREPYIVTHHILLSHAKAVRLYNAKYKPVQGGQIGLTAVSHWFVPNTNSTADLKAVKRSLDFMFGWFLDPMVHGEYPGTMRAYLGDRLPRFTPEESRLVKGSYDFIGVNYYTTYSTSAKPAPNGLEQSYEGDIRANTSGFRNGVPVGPPEFVPIFFNVPQGIRELLLYTARRYNNPVIYITENGIAEENSKSIPLKNALKDGERIEFHYKHLQYVNHAIRDGVDVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESVIS >Dexi6A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:6A:22961553:22966337:1 gene:Dexi6A01G0015470 transcript:Dexi6A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTDRRRRQQHRSNCRTCSLAVTGGTSASVAPTDLTEDPSAMAICTYARLCGNHWRKLLLDLEWKAGKWHARAHSDSGAAGGAQAPRYESAAAEVRFGDERLRQRPHSAAGRQMFQSMMCMVTAGKQMPDVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDRATSYAMHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLRANKWEMYLGGAPNKNRNIAVIWKAKNFTIHSVVKWLDAQLPHHPQLKVFYRSISPRHFFNGDWNTGGRCDNTNPLAKGSGIHLNHSEDTDAEGAVRGTRIKLLDVTALSRLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >Dexi1A01G0031730.1:cds pep primary_assembly:Fonio_CM05836:1A:36580551:36582969:-1 gene:Dexi1A01G0031730 transcript:Dexi1A01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDLFAGLPPPSAAPPGGGAALSPPPPAAPALPPPPPKPALKSSLKRNKPSSDATASPPPAPAAAAPEPHVPEKRLRFRTTVDALETQILEAMQKIKSHIGNPSKFSKASKLALQLIEAGSVKPGTIDHFFAILEAAMSSPGACNEPSVRADYHTLFSAAQGVTELFSQQKKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDGMSALPMATLDDDNDEAAALAAACRNETTTQNEAGAASKSLPDDSAHAAASESGEESSDPFGLDDLLARKPKKSERAREKEVSALNIKAEEEESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVNRFTQQQRDAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >Dexi6A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:6A:12112431:12118937:1 gene:Dexi6A01G0009980 transcript:Dexi6A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQGSGGTTTGGRRRVSVRSAAASAGSGSASVWEARMRMDEVKGGVKVFSAGGGDEPADEEGMRVFRRLRRNQSEGTGGAGTAAAAAKKRRNWRASEPVTAIGDLRKSRSDAAVAMATTTTTTTTAVVARRAVARVTTPEKKVAPSTPPGGEVKEVVVVEVRKAQAEEANSVVNEPEDELDDDVDELDDEELEEDKEMLDQDYMAIDNDETALHQGKESWMTTTKISSHRQKPTLSVEDERGANPEPVKPPPEKKLASEIDLRAINPEPMTPPPVGKKTTPIIVHRMTNFEPAKSSPVEEYEEIQGRPSQPSRSHERMQNIVNLVMWRDVSKSALVFGLGTFLLISSSYTKDLNFNTITAASYAGLIYLGLRFLRKSILNRDETVDCDAERDGERCYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSNQLARYGMFWLERFRDAWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKLYQQRLVEFGWSSSVEDGAASDEAAADEPHTEEPPAKSSPSRAQGEPQSPQMFGTAAAPRHRRAPVSGEFARERLRVRGGIQPR >Dexi7B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:7B:21092414:21094686:1 gene:Dexi7B01G0015010 transcript:Dexi7B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGEGEDGKRRGATADEDAADASTSASAASLNDLCATAAGVGAGAPPPFPRAAAWAVAALLAVGLGVGALVLVVVHTAALLVVAVVLSAAVVAFLLWNAAAAESGRALRRFVDGLPASSLRVAADGQLVKITGSVSCGDISLISSYEKVENCVYTSTLLRKCSRWGSIIRNPWNRSSKWKLTHAERFAADFYITDAKSGKRALVKAGHHSKVVPLIDENLLVTTSIDTELSSTLKYWLEERNLSSEEAQLIRLEEGYIREGMRLSVLGMLSKKNGDAMILPPPEPLSTGCVLLSCLLPSYFDGIVLRLVDRSYFVPNSGVS >Dexi3A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:3A:11627257:11632037:1 gene:Dexi3A01G0015620 transcript:Dexi3A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDQESGGEDGGRMSSASDLRKPLLNTGSWYRMPAAGGMMGSRQSSLMERLGSSAFSLRDVSVSATLCTLIVALGPIQFGFTCGYSSPTQDAVIADLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYTVPVYIAEIAPQDQRGVLGAVFQLSVTIGILLSYLFGMFVPWRILAVLGILPCSILIPGLFFVPESPRWLAKMGKTEDFEYSLQVLRGFQTDITAEVNEIKRSVASSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGITNSNLATFGLGVVQVIATGVTTWLSDKAGRRLLLIISTTGMTITLVVVSVSFFVKQAAYQCMMKWLEFIYQLPGRLYIYLVFLQILPVSIKSLAGSVATLANWLGAWAITMTASLMLSWSNGGTFAIYAAVCTMALIFVCLWVPETKGRTLEEIAFSFR >DexiUA01G0013860.1:cds pep primary_assembly:Fonio_CM05836:UA:29174429:29174936:-1 gene:DexiUA01G0013860 transcript:DexiUA01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLREDLDALRRSCILSGADPDAAVAQLSSPSASLAGPSTPALAAATAPVAADTDGFSSDDDDEEEDEDLALVRSIRENLHHLNNNKASPPPDAPSSSSSPRPICTWPPSDTDEDEDDLETLRAIQRRFSHYQSTRTVL >Dexi5B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:5B:5535279:5535612:-1 gene:Dexi5B01G0008220 transcript:Dexi5B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHGGDYLGRFVAETGWYNEIVLSAVAPGNWWRGLPHPVQSWLRNCVGGYLLYYISGFLWCFVIYYWKRHAYIPKGNYA >Dexi2A01G0030160.1:cds pep primary_assembly:Fonio_CM05836:2A:41151213:41151605:1 gene:Dexi2A01G0030160 transcript:Dexi2A01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRAVIGDTDMLQAMQKDALRLAGKALDDYEAIDSTEIARFIKKEFDRSYGPGWQCIVGTDFGSFVTHHSGCFIYFGIGNLAILLFNAGEQARLTAKPDV >Dexi3B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:3B:6070444:6071664:-1 gene:Dexi3B01G0008760 transcript:Dexi3B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKESPKRTADPMDKEVKEPPKRSADPMHAAKRVAVEEYCRVVPGQTREAVHGEMLSLAGAAHRCYGDDWNSYLGRRVDDAGFADMLLLDGCFLLQFMVSMCREDDDESPDPLMARPEVRRNVDAIAQDIFLMDNQIPWFVLDALIELRRPYAAVPVDKFLAVMATAFDVGNIVDKTPSPLLAQDQQPPPPPHLLGLFHRRQVGAARNPNRRIVPSLSALSCTAVELAEMGVKLTASKTKKFGDMAMSKRRHSLGLFGELSLAPVVLSELTMCWLVHMASYEEAFQGDTLSDNFAVSSYINAVSLLMNRPEDVQELRAKGIVALAPQINVGDRYYEIFERLQEYRKERWLWIAVHKFLYNNFKTIVTVLTVAGVLAGLFKTILSLKQPNSQG >Dexi3A01G0027510.1:cds pep primary_assembly:Fonio_CM05836:3A:24879608:24883370:1 gene:Dexi3A01G0027510 transcript:Dexi3A01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALVEKALLGLFAAAVLAIAVAKLTGKRLRLPPGPPGAPVVGNWLQVGDDLNHRNLMALAKRFGDIFLLRMGVRNLVVVSTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVEDVKKNPKAATEGVVIRKRLQLMMYNDMFRIMFDRRFESEDDALFNKLKALNAERSRLSQSFEYNYGDFIPVLRPFLRGYLNRCHDLKTRRMKVFEDNFVQERKNVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGVAELVNHPAIQSKLREEMTSVLGAGVPVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNEGKLHGYDIPAESKILVNAWFLANDPKRWVKPEEFRPERFFEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFELLPPPGQAQVDTTEKPGQFSNQIAKHATIVCKPLEA >Dexi4B01G0021820.1:cds pep primary_assembly:Fonio_CM05836:4B:23681921:23686872:1 gene:Dexi4B01G0021820 transcript:Dexi4B01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALRTGAAILRALSTASAAHLHAHALKLGVLPSCLHLCSSLLKFYAASGRVAAARQLFDEIPRRDVPLWNALVSAYARSGHPLHALSAASAMARDAEGARPNGVSVTSLLSSVRGRELHGYAVRNLVDLDLPVLNALVNMYGKCERLADARTVFASIGVGLRSAVSWTSMINACSDNGRPTEALEVFEEMRSAGVKVDEATLLAVISACTRLDCTPSLGDWVEQCALENGFLQNNRVANALIHMHGRTGRMLREGFQPDGVIFLSVIHACGHSSLVNEGRQLFKSMVEGYSITPWMEHYGSMVDMLCRAGALDEAFEFVLAMPVIRTIHDLPPPGQHTNEHVAMAPTSPPSQESFFFELMKAPPILELMRVLAPWGIWFALHLLDWIIGPKRRSSGHWFVQYGAMAAYYLPTPLLFYATNSASSLSSPMQRFFFNLYNLCLLSLAWTSLSQGVMTAAGEFCLGCPTFYGYKRKPGRRGRTKAFADYMTLEFRSSPAPFFDFDARRYFGFHCAEEGNAEARRALAMVMICSKKTMGVATTLSRYCAYLVAFHAELLPEHILNTKILLQLVLSQAKCLLAGRTQISMEEKHVRIQGLQLPEDDSSLKTFQKGIKLGRRLANMLTPVRWKVMADFWAETVLYIAPSDDVAAHIERLANGGEFVTHLWAMLSNAGILKRATEEWSPPRQPEPDSNIAIEDQDQLNAGPIAPVSSTVRQGMRLRRSKSLSSF >Dexi5A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:5A:18266944:18271796:-1 gene:Dexi5A01G0016500 transcript:Dexi5A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRPAAPAPPPWRCSGVSFSPHLLMCGDTFHADKIFHVPLPVDRAARFSLSEKRSASPLVQSRIDCTDFRSDVIHAANEHGHDLTRSISDLQEVVFSCFGKACLFSSCIIYVLPPAALAEPCEQEYSLPNMPLLFAIAMVGATVGGLLARQRRGELARLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLIAYLRTGKNYLRNQAPDKAFPEFKAALDLAQALGDHVEEKKAARGLGASLQRQGKYKEAIKFHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >Dexi9A01G0045070.1:cds pep primary_assembly:Fonio_CM05836:9A:48654845:48656689:1 gene:Dexi9A01G0045070 transcript:Dexi9A01G0045070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCHSQSPQEPEHPTPPPPRPTHTAAAGGMGKRAGSGVPIPSSSRPLKRPRATRAASRTQIPSCCLPSKRPRALDGAPPGLLSCSGHAEIPASRPSKRLRTVRAASRAQISSSSPPLKQQRALDDAPPCSRSRSGRGKIPSFRLPKQKKAPRGGACSTAHINFPSWEAKGAPCARGGACSTEHINVPSWGAKRARTGTAHINLPWWGAKRARTGTARRRPYWYAHFSFVSASGESQPDLYPRRRSSRDWADLGDGPAGLVAELVLADDLSGYIRFRAVCRAWRRCSSDPHAFGALDRRFHPRGWIKVCEKHPIHHPQRRRFLNLRSGQCVEIDVPELLDHRLLRCTTEGLLVLLHAATNLIRVLNPLTRQVTELPPIAADLASGFRPYSHDSAGLADEHTVLLYFGRAKTLAFAKPGDEQWVLVKTDELLIMATMSYAGRFYGVTTKAVVAVDMRGGGSLPPRLVVVARLAKSFSAMADTVHLVDNGEGDGLVLVHNKVYQFSDGEEDWWLERKYEAYRVDLDAGKMIHVRHLGGQAVFIGSYCALSVSPRTFPCISADTVYPGAGLLGTTGAYSIKDGSFEPSSYDTQNIWANPLTITDLLSTYVSQYKWLY >Dexi3B01G0035380.1:cds pep primary_assembly:Fonio_CM05836:3B:38154923:38157110:-1 gene:Dexi3B01G0035380 transcript:Dexi3B01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMLLRFVSTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAVNNGLDCTKHRAALETITSLLPAEKGSVSCGLLLKLLRASCMLGSSETYRDDLIKRIGTQLDRASVSDLLIPADSSDDTMYNVDVVTTILEEFMLHHKDVIEPKVQEDDDTMDVSDNLITSISGSSKRAAVAKLVDGYLSEIAKDPSLPLEKFIALTESVPPTSRPVHDALYRAIDTYLKEHTGLSKSEKKRLCALMDCKKLSTDASAHAVQNDRLPLRVVVQILFFEQVRQSSAAARSLLLPSREDGTSCGSSRSATTTATEDEQWAGVVGVPMSTSGGDASSLRSVSLAASKRGDGAGGKKKAKGGAVVPAPAKRVLGKLWSGKASSAENSGSDDTSESPAGSVNLEETKSTPSRITRHSVS >Dexi9A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:9A:6296226:6297269:-1 gene:Dexi9A01G0010370 transcript:Dexi9A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFRLTLATILLESDNNTAIESEDTESNADETIDPNDCVILETPQSFQCTYTSFRDTAEAICDYILSIADGDALGKEWVISSNPYPITVNLEKLQGILNVNMPMDHDIFNLGVRMLACDVLTSFREPKCHLLDLKFFVFVPYRSCESYGVFALDRKDRIIAIIDPTPFSQWNDYNHPSFYYLPKIQKIAKTYERAMEEVDPMWNDDVYDWRHIFPSFVPKTMD >Dexi4A01G0023480.1:cds pep primary_assembly:Fonio_CM05836:4A:26548861:26550740:-1 gene:Dexi4A01G0023480 transcript:Dexi4A01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVSDNAKGLALAVASSAFVGTSFILKKIGLRRAAKCGASAGGGGYTYLSEPLWWAGMSTMLLGEVANFVAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVVHAPEEHMPNSVVEIWNLATQPGFLAYAMTTLLLVGTLVLFFERRHWIPSI >Dexi4A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:4A:1674869:1676037:1 gene:Dexi4A01G0002440 transcript:Dexi4A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMRMVCSGIVVDHVDQKTCILTSASLVRKPDTLFEAYEPCDAKIQVALHNELVEGSLEMCNLHYNIAIVTIEFRGPRINLPSVELSDLPECYSLQPRPVIALGRDMHSKKFQMRCGELVRMNSELDCTELLTCTCDVSENFIGGPVMDSERRFLGITFLYRETTLFLPVEIAARCLKYYKKSKYIFFLPL >Dexi8B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:8B:20884868:20886862:-1 gene:Dexi8B01G0011710 transcript:Dexi8B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGIWSAVRWWDAWQMRVLVMASLLIQWFLLLAAPMRKYTIRNRLRRLIWLAYISCDALAIYALATLFNRQTKASSTCLNTGAKASSLEVLWAPVLLIHLGGREEITAYNIEDNEMWTRHTVTLVSQVSVALYAFYKTWPNEGDRRLLWSAVLLFIIGVLSFCEKPWALRRASINRLVSVYSSLAAGELQKPKSAWEYLFTELDFGGETIVRLKKKTGSKFQLSEGDKVQMILSDLSLGVAANAAKNSGRYTKEDVLGTLDPGAEKNMKRWLRHAFGLIYTRANVVSTPAYLACHVLLVPSMHATAIALFATSHKSAYEQFNATDVKMTYVILSFTAVLDVFGVPISELLYWVMSKTKIPALCETLPSDNLIQAVQKVKNPRTGRLIKWAASIGYNGRFFHHDDRNNLYGKVAGFVVAELLGYKVLGLDLATYRDTNKKKNWALKKLEVLFNEGYKTADPNNVIWSSLRKLPFDESVLRWHIATDLCCRLSPPPKGLDPTTYTYSKCAAGISNYMAHLLNCRPDMLMTGSRQHLFSEALRTMDSMGRVVWRSIEDAEDACSKPDLIRQAWRLAKELVDIGDDKTRGYQHAKSLGEGGEFLSFVWLVIALKGAKTLADKLQMPDDEEDTLKSTKEQEVDLSNYM >Dexi1B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:1B:10875895:10878295:1 gene:Dexi1B01G0010980 transcript:Dexi1B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAEEAPAAASPAASPRDGVTEETASPSAAARSRGFWLLGEDKSVHKALGGGKTADVLLWKDKKTSAAVVGGATLLWVLFEVVEYHLLTLVSHVLIAALTILFLWANATVFIKKSPPDVPEVQISEKLAVNIALALRADINKALVLLREIALGHDLIKFLGVIVALWILSEIGELCDLLRLMYIVVLILHTVPILYHKYQDQVDDFAAKAHVELCKQYKVLDAKVLSKIPRAPLKDKKEN >Dexi7B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:7B:25474756:25478335:1 gene:Dexi7B01G0020010 transcript:Dexi7B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRGLRYVDVLDCPRLPLLERLLSQGYDLCAGLEWLDTDDFSFLTTSFCQYLTSLQRLAFHGCNTGEVRRLTDEQERALQLLTSLQELRFGGCEDLEDLPVGLHRLYSLKKLEIAYCQRISSLSEKDLPPSLEELEIWSCSEELTDPFLLHSSSLSKRLLPTAVLISLQRAGIMDILVKIARAISDALRDPEKLPMALILCGIVEAAAALSLVFFRVPGGGPFLGHHHGDKQALVYVYYGILGAVVAFGIAEAFTGLWVSGEPSGRRTVGMTVFWFSILPLVIVAGLGGGLSSATSN >Dexi9B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:9B:2505956:2510870:-1 gene:Dexi9B01G0004400 transcript:Dexi9B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPSGHSGVFPVNAVAGAGGGDGGVQLADKLKIFKTDNFDPDSYVQSKCRTMDEKEIRHLCSYLQDLKKASAEEMRKSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSSLIHGLSEGVQIDSLSIGPEASSEQDISTVEDEEPSEIRKWSMDFPDMLDVLLAERRVDEALDALDKAEQIATDAKEKGTLTTTDILALKRTISANRQKLADQLAEAACQSSTCGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQLNMQTIQPSSTSYGGAYTASIAQQVFHVIAQALSDSAEVFGDEPAYMSELVTWATKQAMSFSLLVKRHALASCAAGGGLRGAAECVKIALGYSELLEARGLSLSGVLMKQFRPSIEQALDSNLRRIEESTAALAAADDWVLTYSLTGIRPFSRSSGNLALQPKLSSSAHRFNSMVQELYGKLNKMASIAAEMFVGRERFATLLMMRLTETVMLWLSEDQSFWEEIEEGPRALGRLGLQQFYLDMQFVILFGQGRFLSRHVHQVILNIIDRAMAAFSATGMDPDRVLPSDDWFIDVAHETISRISGKPRVANGEREVNSPTASVSAQSVSSIKSHGSS >Dexi9B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:9B:12129806:12132647:1 gene:Dexi9B01G0017330 transcript:Dexi9B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDGSAFHVAGWRLDRLHYIQILHIESSSQMYTSISMFFPRANMMSSNPRPSMAALPANLHVVAPFTVVACSLLVLAFLHYLATKTTATMKRELHRLPPGPAGLPIIGSVHHLMYNKPVFRWIHRLVKEMNTKIMCLRFGPVHVIVVNCPEIAREVMRKNDAVFASRPLTFASSSFSFGYKGSILSPYGEQWKKMRRVMTSEILSPALERRLHARRAEEADHLVRFVYNQCNDTKANNGVDIRHVARHFCGDMIRKLVFSKRYFVEPPLVSAGAGPGPNEVEHVDALFTLVNCVYSFCISDYFPVLRGGLDLDGHEKVVHGVMATLNRLHDPIIEERIHEWSILRKHGEKREIQDFLDVLVSLEDSEGQALLSFEEIKAQAAEIMFAIVDNPSNAVEWALAEMMNKPEVMEKAMNELNTVVGKERLVQESDIPRLNYLKSCIREAFRLHPYHAFNVPHVAMKDTTLSGYTIPKDSHVIISRVGLGRNPDIWDAPLEFQPERHLSGSSDVLLTEPDLRFISFGTGRRGCPGVSLGSFVTMMLFARLLQGFTWTKLPGVRAIELKESTTSLALSEPLILQAEPRLPVHLYESISS >Dexi5A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:5A:1360689:1361937:-1 gene:Dexi5A01G0001920 transcript:Dexi5A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLPYGETFFGHPSGRATDGRVVLDFIADGLGLPFVPPVLAKGRNFSTGVNFAVAGATALNLTYLQGQNITVELPINSSLNDQLRWFERLKPSLCAGSTTQAGSKDDCFGQSLFIMGQFGGNDYLNILINSNMTLLHATSYVLAIVNTISNGVERLIHHGAKYIVVADIVPVGCLPITLATHPSPDTADYDWHCCLKSFNTGLSRRQNALLRRRVDALRQRHPHTKIAFAEHYRPVVAFLLGPDRFGFNGGTTLVSCCGGGGPYNQNGKAPCGAPGATACAAPSMAISWDGVHLTESAYSNVAKGWLHGPYSDPPILHLSK >Dexi5A01G0026720.1:cds pep primary_assembly:Fonio_CM05836:5A:30280395:30286286:1 gene:Dexi5A01G0026720 transcript:Dexi5A01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQSSRTTSGGDGRLRNALGGVLCAFSLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKETKGSGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALVFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLIVLGTLLAALVPVVGFNAVMTSEHFASFLVCPLLVFLILHVVALVYYIKGLLTPRLFKVAMTFVVTVGLTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFLVLYLVTSVYFSGVMVSLSMNICNVQHVLVYLLVDPDVTAMVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYGWLRHNTDADDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATIGTAMASPEKAAWEILNSLDVKYVLVVFGGVIGYSSDDINKFLWMVRIGGGVFPHIKESDYLRDGNYRVDAHGTPTMLNSLMYKLCYYRFVETDGKGFDRVRRYEIGKKHFKLTHFEEVECFYPFL >Dexi7A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:7A:385788:388897:1 gene:Dexi7A01G0000270 transcript:Dexi7A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLLLPSSTATKATAAGDLSDSRRHDHHHHGSKRKKKPPPSPQPSLSSAPRTPQQAARSQRGAMAMAAKSPKVASAAAAGKSRPQYQQHRGVQSTKKASTAASSSSSSSWEQVKSLLSCRSATAAARVHDPAAPSALTRLRGSRAASLCAMRDVVVDAASSAPSSAAAADTAPLNRRRRAGSSSSSVAGGGGGSSSHHSSSSLRGLSGCYECRAINVEPMSRRYPRPRELCACPQCGEVFTKPDSLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICHIDRILKVHNPPRTVARFEAYRDAVRSRCGRATAARAAADGNELLRFHSAPLACALGLSGATSLCSSSSSGDTTASSSSSPAASCGVCTAIRHGFAPWVGTHPLGVRTTASSGRAHDCGVGGVSPAASASNGGCRAMLVCRVIAGRVRCGGGDATEEEGTFDSVAGEDAASSSVYGNLEELFVANPRAILPCFVVIYRVLE >Dexi9A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:9A:710825:713628:1 gene:Dexi9A01G0001330 transcript:Dexi9A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYNFDLLDLADGESGEAAVSVVVSKKKAAAAAAKVADPAQQQAAAYVRPKFSHFDKLKHDNALRICQQDQRKVWQALKKLRVEETKLMEQERQGNEAQVQAAQARVKEIREEQRKLRQEKWNLRQEEEVLSSQRTAFYREYGISLPDGQDQNRANNNSDGAPEDSGSNPNDANGNACNNNDGDNSGHSDYGHNNGHYQQEACDDGFGEGHVGRVNNHHFNNGERQGPNKPKKQVYRPKAKPSSDAGSETEHKVSSEAGTEAENVVSPSETEQKEANAVKPEPASGSEKTAGGAAQNKAFKSQGTVNGSEKRKKRNAKKNGGNETEKVKKQDSVGETQQADKQPLPEEEKKTLAEYEKMREEKKKSSEVSKTEAIRKVSAEEFQGLQAYEKKKLDDEEVVVKVEKAQPKAKEASKKEETAEGKESAAAKDAKPKKVPLQDIIGFRQPRRVLYDQEDRGRFNGGFEGGSREDSSFARGRFNGGFQGGNRDNSTEPQVSSRGDNGRAPQAEAGSNNGDGRGDGAPRSGHRNGYGGNGGGYSYGRGNGGYGYGRGNGGYQGNGGYQQQQGVGRFQQERSGNGGYYQQNQRRQGYQQRGPAPAPVLDFSKFPALPVPASARSAAAPASAPAPVTAPVQASAPVAATEA >Dexi3B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:3B:8033454:8034617:-1 gene:Dexi3B01G0011390 transcript:Dexi3B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVIFALGDDLLREVFVRLPDPADLLRAAAACKPFLRAARNPPFLRRFRRRHPSSCPHLLGCVLLFPNRREDNLQLIPLNPPSSSSSSAAAGGGGADFDLSFLPGGGLLGQGADAWKHLDCRNGWFLLKNMGSQELAVADPVSRWYVSLPPAPAGRAVGYGLFADHGDSEFRVICVSRDAASGALRALFLSSRELSWADVASVASERDLAAGSRAMQANRSLYWRLKGGERMVAFSMTSMELSLLDLPPDLQKLSFDAFDRGKEEDANVLHLLTMSGFRIEVWAGTADADGGMAWRRVDKSVRFHKVLTETIKPTVHSYQHELDVIGVAVGVVFLRQWNHLFSIDIETMKFRMLPSKDCEVALIYPYTIAWPPSFLNPAGQGA >Dexi1A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:1A:2183974:2184856:-1 gene:Dexi1A01G0003000 transcript:Dexi1A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGLPSDILVEVTASIATRSATPLSDIVNLRRSVFRDATAARKVGRCMAVNREWRLHWWDKSRFLSVLRRCAASGNPEASYILGLEEFCNRRRKATGLWHLCRAMEHGHAAAAYMIGMITMHDSLCSPAVAEQALERLDWLSPCASAGPWTRRRMASVRVEAVSVMRRLTMRRWRMAESPTPCVDPWCGKLVRQTAEAWDGDGDEERWFCSRTCRWRHEYCKFIAKI >Dexi8A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:8A:27351498:27364035:-1 gene:Dexi8A01G0016170 transcript:Dexi8A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGAGLLCAAARQQREEGLAGAVLLRGGQREQGTRSEQEAAAVLKTWGNRLEKSQREKQLEQLQLCAEIGKECTKDDPRRRPDALTIVRRLHEADITDISVLTDMSNLSIAQEEQHGDGCNSIGAIGSKQSLEYLVRMSRSEYGSVARQSRDFRSLVRSGEIYRLRRMAGVAEHWIYLSCNFVEWNAYDPDRQHWIEVPKMPEDEFFRNAYMESLAVGTNLLVFGLGKGKERILLKLLKYSIRTNSWIRTDPMNYPRCLFGSASVGHKAYLAGGIDCYERVVLSSVEMHDCEKNNWAPLPNMNKARMLCSGVFMDGKLYVIGGKGSNNEELTCGEEYDFEQGSWNVIENMTEGLNVRLSSGAPPLVEVVNNQLYGADYIEKNVKKYDKQNNRWITLGRWPESIASSSMNGCTKHDVLERMMVDESVNPTDLPLSLLEYITNGFSDDVQIGEGGFAVVYKSHVITSKLFGSLGYLTPEVYCGRITFKSDIYSLGVIMIEILTREKDVLKNWGNKLETKHREKQLEQLHLCAEIGKECTKDDPRRRPDALTIVRRLHEADIVDISTVSEMSKLSITQEEQHDNGCGAIGRKLSLKCLVGLSQSEYGSLASSSQEFRSLVHNHEYGSMASVSREFQSLVHSGEIDGLRQQTGVAKHWIYFSCNVLEWDAYEPDQQRWIKVPKMPTDECFSLSYKESLAVGTDLLVFGKGRGVERTLFSLNRYSILTNSWIRTDPMNYPRALFGSASIGNKAYLAGGIDCYGRVLSLVEMYDSDKRDWEPLPSMVRARQLYSGVFMDGKLFVIGGEGSNNEILTCGEEYDFELGSWRVIENMSEGLNAQVKSRGAPPLVAVVNNELYGADYTELYVKKYDKENNRWVTLGKWPENASSTIGWGIHFKACGDQLIIIGVPKRFAGNAMVELYSCVSDSLPPVWNLIARRCSSGIFLYNAAVISC >Dexi4B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:4B:5366687:5368805:1 gene:Dexi4B01G0007500 transcript:Dexi4B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGNMASTAAQKAAASKSKQEVWEPLRKEGKGMDEASQVAEAEVAESDDGTDTDTDDDEGAVEAPCNNNLAAKLGNGGVILALVETKTGFAIFMYDGIKLLQEDALQNIWVAFSDHKLAERSVWLLEYRPFEPKVSAINSNGVSDELADMIIRRIEPGQKLAVETKGHVGPVTAMSFSENGYLLATAAHDGVRLWDVRKLTNLRTISPYDSGTATNTVEFDPSGSYLGIGGSDARIYKAHEAEWNIVKTLPDLSGMGKVTSLKFGAGAEYIAVGSMDCNLRIFGLPSPRR >Dexi2B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:2B:23456685:23457022:1 gene:Dexi2B01G0014040 transcript:Dexi2B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVCADVERLQRRLASGRHGMELPPNIVADLSRVARGLHRLQGVLTAAEKQPFEASRELRLRKIKQHVYDVEDILDDLEDGSIRGRKLQENSNLWSQP >Dexi2B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:2B:3067259:3068676:1 gene:Dexi2B01G0003490 transcript:Dexi2B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSFHSPIFASLVAPAFPRLHIRTALPRRSHWWRRPLPHRAPTALTPASFLRVGRHRARLVFPSLRTCRRRTRSRGLAPLRRTAVHVPASVEASAIAPGSGGRDPVTQASFLRCAGLRRPRRRGLAPLRGGSGSLSRFSLKEKQVLALLSKLDGQNVNFTESAPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDALVARLNSQSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVST >Dexi2A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:2A:23829046:23829366:-1 gene:Dexi2A01G0014400 transcript:Dexi2A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGADELQASRLQRRMGGDGDKLHREIWCGGRQQRPLRRQLPLSAVAPGRELAKKTTETTPSARDRFVRSIFRDESVPNLARIFSILGCSHPCLLEPKNDKTRMK >Dexi6A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:6A:7419732:7425445:1 gene:Dexi6A01G0007490 transcript:Dexi6A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRCSSPLLSTAPPGAPPTRAAWSLPDALPGSRRAAAWNPDASLPGSRRAAAWNPDAPPPGVPSTRAATIHPDACRLERSTHPTEIGFSIISTGGTASSLEAAGVDVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDLKHHLEALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLKYLEGKQDDKDFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGDMFPPSLTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAVQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTTIDEDLAREIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEARRSGKGMLSLRQVNGGWLAQESDDRTPEDITFTKMSERAPEGSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQSGITVIAEPGGSIRDQDAVDCCNEYGVSLVFTGVRHFRH >DexiUA01G0022770.1:cds pep primary_assembly:Fonio_CM05836:UA:46434211:46442330:1 gene:DexiUA01G0022770 transcript:DexiUA01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVPIRQQRFCSTLQKHAYVGELRGDSSKIPILGGAGHQPSNGVGPTDRERIRLWPLGTSEPHEFTGVEHGHVTCTEKVADWAFSWIKADQLAKFERDLPKNDLWWYGRYGLRKPDVFRLIRGSVASRTTPTLEGVVSVSLEARARLDGNDAQRTATHRTGITAPRHHQLSGEDIGQIHSQQPNPSSSTVPTTPLTLPPAGHAATLEGSLEGDHGTPCARHHPRRRELSREGRTIPELEGTIPARVLRSMDEQSSSPSPTLLVNPYYEQHVTRCIAPLLDVRSRGRNQDKPPTAITRRCRCDQIRHRHAAQLSFPMANFGEGRPPLGESDLLPAGHEIRFGSLRFQTCGDDYRMRILQEDPSDRPEPHHQQPAAPRRRSPRAARRVADVGDPRPTREGDVLQSGSKERVAPFLPGPMSAPRATTAYSYPYGLRNSADAYASFIRTTMSAYGNQPGCHPASEQDFADPLPGYPRTESDDGHAFMRGYPGWDYSGLRDPEAFIAFQTAADYCFGYSDDEYDPTRECFVINRQISEGSTSDDGGEDDDQGDSDGVDPIGAQPSDPSDHSPSEDERDPRHLPRVARSHDLGSGDVSPPARSDHELAKQGNEHGTDARHAGRVAQARILAEGEDDELAPRTSQKLIAAAAPLRALPEAATPEGRKLHLEAQKLVEHAARQHAESSASRLRRSLASKGERGGESSVRSPRPNGRARAQSRGNSHRDTARRHAGEPRALEARTLPARVPARSRLRDTRGTDDDGDARNTLNQIRQREGARTHQRGRTDVGWNRDAAPEPAGTRVFSYNIRTAPIPPRFRQPTTITKYSGETDPRVWLNDYRLACQLGGATDDAMIIRNLPLHLADSARTWLEHLPPNRIRDWDDLVETFVGNFQGTYVRPGNTWDLRGCRQKPDESLRDFIRRFSKRCTELPNITDHQIIHSFLESTTCYSLVCKLGRSPPPDANRLFEVASKYASGEEAANAIFNGKKGKRPEETPAEGSKPRKPSRKKATTANEVPAVDPSHKGPRGLPGGGESLTLTTCSSAWDDQPPMEILANAYDIQQLMRFGPTLARTIPPSGATMGTNPRKRQGRLSRVLAYASRLWQHLTSLRLSHSRTIDPMATSFRGACIRHDVHRRDAFRVRSHHQGRPSRRHLRPGKDALPPSGQSCGHFARATPEKARTLPHEVAVTALTVIMTPHEEGEGTFNTPTLERAAYRATDQGSTESGIIDGTLEARTLEETNRLCSRPGKVPRSRDPSRGSIEPSTSIE >Dexi7B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:7B:17920193:17921298:-1 gene:Dexi7B01G0010640 transcript:Dexi7B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEIPVVDLRLAGAASSPEESARLREACERLGCVRVTGHGVPPELLAEMKAAVRSLFDLPDDAKRRNADVIPGSGYVAPSAANPLYEAFGLLDAASPADVDAFCARLDAPPHLRETFKAYAEKMHEVIVDVAGKLASSMGLEGHSFKDWPCQFRINRYNYTQDTVGSSGVQIHTDSGFLTVLQEDECVGGLEVLDPATGEFVPVDPVAGSFLINIGDVGTAWSNGRLHNVKHRVQCVAPVQRISIAMFLLAPKDDRVSAPEAFVDAEHPRRYNEFSYDDYRRLRLSTGERAGEALARLAA >Dexi3A01G0024650.1:cds pep primary_assembly:Fonio_CM05836:3A:20262408:20265574:-1 gene:Dexi3A01G0024650 transcript:Dexi3A01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGEGLTFSEKKGGRRMASSQCCDKPPMLNPASGEGELVDSFGGLKTYLAGSDKSKTAVILISDVFGFEAPNLRKIADKVASFGYFVVVPDFFHDDAYMPENAERSLKMWSKSHPPGKAFEEAKPIISTLKDKGVCSVGAAGYCWGAKVAVELSKAYLIEAAVLLHPTFVTVDDMKEVRCPISVLGAEIDKISPPELVKQFEKVLLENSAVGHFVKIFPGAKHGWSVRYGHDDAVAVKRAEEALADMIGWFNKYLKLTLKLKPSL >Dexi9A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:9A:1310925:1313044:1 gene:Dexi9A01G0002450 transcript:Dexi9A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCPLAVTFFSCCILLETIFCSGAFVELSYDSTRVNILSSLVITEYRVMVTEKQHAYLFLKPFECRGKSCRPEHLAGTFVNEVLDPNRHLNISNIVVMATKRQLGALHRTLQSVHASLGTAGLTQSVKVSPELMLSSLRIMAKDRAHRKHWSKIMEFVRRSGSFVQVKMEAEANGELAITAEIQEAIADLTALSGADTSIVLHFKSRAARSEAAMAKLVGDINREMRLLGVLVDVSSPRRELAGEARATAHDEFSPVTNPAAMPVTNPTATPVTTVPATNPVSANPMSPGFVTVPSTNPGNGFAATNPNLPPLYPEPTTPATMPDPTTTMPPATVPTPFTSPVTNPVAPVTNPATTPTGTSPVTNPANTFPYPQQGGGGGMPATPVYQTPATMPGGTVQPATAGQMWCVAKTGLTELALQAGIDYACGMGGADCSAIQPMGACYNPNTLEAHASYAFNSYFQRNPSPTSCDFGGAGMLVNVNPSSGTCMYQTSSGFGAGYSPGATGGVPTGYTPGMSGAVPAGYGPGMGGGSGSTVLNANNPGGNSMYGGSDNPTGLTAGSASLSCGWVLCVIWLVTFAFVKEKV >Dexi3B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:3B:5882347:5884087:-1 gene:Dexi3B01G0008360 transcript:Dexi3B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLPFKPKYLVTFTVGIAQKENINRAVKKFSENFAILLFHYDGRVTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGVEHFNAEEYIKLVKKHNLEISQPGLEPDRGLTWQMTKRRGDREVHKFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLV >Dexi9A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:9A:16193744:16194303:1 gene:Dexi9A01G0021260 transcript:Dexi9A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGVVDISSDEEDFLIGDPLDPAGWTADLFDVDDNANGEDLDDLMIMSEISAPPLLQQIAKRDDLVVMSELSSPPVLQKKASANADDGCDEDDDDCVVLDGDPDKLVTVAGEEGSAGDGSSDELQIVAEKGPKLCIEG >Dexi3A01G0023650.1:cds pep primary_assembly:Fonio_CM05836:3A:19329378:19331502:1 gene:Dexi3A01G0023650 transcript:Dexi3A01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLEDGQGAVDITAAGGAERSCDAAAVGGGGEAGDEHDNDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVDLIAFLDLYRYDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPATDAEPLIPKSEISLCRVYKRSGIDDGHGQSSSSTHQASSGRRLSSRTGVPTGRHGSSPSSTPVSPTTQQPSGSFHLLQGECSSASPPAPPPIMDQVLTVHRAPPQLLLPPPPRPCTYAPQPAAQGAAAIASPYSLLNMATTAVPMVGSSSRPIDELSTMVAAGSSQAYANFSAVTGSHFLPLLPAPPSPMAQMTPLGVLPMASPSSSSVTNNKLSWGWNQAPDDDTKARDYSDSGFK >Dexi4B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:4B:7435583:7436701:1 gene:Dexi4B01G0010160 transcript:Dexi4B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSNTTSPLLVLLAAMAVAALVTAPVSSADLPSGVASIASKIPSPWSAFKNLTGCHFGEEQQGVAKVKDYLAHFGYLPEPSSSGGFTDIFDADLEEAIKVYQRNFGLDINGVMDAPTVTQMMAPRCGVADVINGTSTMGGASSAHVHGRNLYTYFPGSPTWPRSKKSLKYAITQTSLTSIDRATLSQVFARAFARWSAATTLNFTETTSANDADITIGFYAGDHGDGEAFDGPLGTLAHVFSPTDGRFHLDAAETWVASGDVSQASSDVAVDLESVAVHEIGHLLGLGHSSELDAIMYPTITSRTRKVDLASDDVVGIQSLYGGNPNFKGVTTSPSSSRDMDSAAGELSRPWSALVAVAAVAAGLVVAL >Dexi9A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:9A:560811:561587:1 gene:Dexi9A01G0001090 transcript:Dexi9A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRPPEPATNGDSLGVVTALPAVDLAAASEAAAAATAAGGAQAPAAAPYSKRRRRPSVRLGDIDAPPPRRNHKTSSSSHPRPPRRAHPDDAAAAAAAAADPHNRRGPKQPAQRRPRTAWIPAAPSGSAAAAEGYEDEDERYYDDEDQSDSAAAAAAAAKARVSGSRDASGDESDGVADWGLPNGRLPSAMGYSGVKAWLDGLGLSRYAPVFEIHEVDDEVLPMLTLEDLKDMGIGAVGSRRKMYAAIQKLRSDNAS >DexiUA01G0000420.1:cds pep primary_assembly:Fonio_CM05836:UA:1998085:1999334:-1 gene:DexiUA01G0000420 transcript:DexiUA01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVLTRETKGEKEEEGWTEYLSPDKLEVLEHLEPWAATHVLPLLKPAEVAWQPSDMLLDPAALGDDGFHSACRELRARAAGVPDAHLVCLVGNMITEEALPTYQSVPNRFEAVRDLTGADDTSWARWIRGWSAEENRHGDVLSRYMYLSGRVDMLQVDRTMHRLIASGMAMNAAWSPYHGFIYVAFQERATAVSHGNTARHIGAHGDDVLARICGAIMADEKRHEAAYTRIVGKLFEVVPDDAVRALGYMMRHRITMPAALMTDGRDDDLYKHYSAAAQQTGVYTASDYRSILEHLIRQWRVEELAVGLSGEGRRARDYVCGLPQKIRRMEEKAHDRAAIARKKPTSVPFSWIFDRLVSVILP >Dexi2A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:2A:7565050:7568597:-1 gene:Dexi2A01G0007630 transcript:Dexi2A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSPGLSGRRRGRNRALSTPSPPPSDLELNPLPPGTEIEVRIDDEGFYGSWYEATVVGFDPAAGRRCPAQYTVAYSHLVAVDGPHTFAPSHVRPRPPPIPAPPPPFRLHDIVEAFECNGWWSGLVVEPEPAAEPGSPVTVAFPITREVIPFPPSVIRPRRDFVVGGEWVPSRAVVDVQPHKRGVRVYKAGERVELLEERKMYGDSWFPATVAKAIDRLSYIVEYNDLDGEQGGGKATVYRHWGYIRPAEYHRPRQSKVRLFPGAAVEVHCDGAWSLGVVRRIVREGYQYEVSVDGEETELLLTKGGIPSEATRQANLRQQSASEKRPRSPVDATSSDDDHSSHLKSSTAKRSRKEPQRQELPSGNSAPPPDESAPNVIGQTEFSRNALSEMVPSHGQLNALICERNVDEASDMLSISEARKQNISSSLRNQQTQERPFPVKVPIQLKENRNSSGKEIIYASGTSPECDNSSPCTPEVSRGTSSGSDSEGVNFEKLTADEGAGLLDKELAAMINMICPTNRDENVCTDTAVTQVTKSNPPTEIPVGTLDDLVQQDESEVPFVKSSELWLHIDAMDVYKKVPQQPHFRPLGKCLPTVREGMALGLMVTFAKSVEEVSRLSITDSIASFKEKITTLHHLEENGFDVQFLRSTLVKMLQIKSDHINYLTEKYQLKSQLLEKTTSLSQIDEQLDKKEETIGKLEEELEHARQEAQKIVEEKEREDKELSRLVAADSSVKEACASAELQFQSVLAELQRKSLA >Dexi9A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:9A:11142943:11144310:1 gene:Dexi9A01G0016180 transcript:Dexi9A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSMDESFVQAPEHRSKLAVAEATGIPLIDLSPLTSGNAAAVDALAAEVGAASREWGFFLVVGHGVPPDTVARATAAQRAFFALPAERKAALRRSEAAPVGYYESEHTKNIRDWKEVEALEEYMGALEELAFKLLELIARSLNLSPDRLHGFFKQHTTFFRLNYFPPCPRPELALGVGRHKDPGALTIVYQDDVGGLDVQRRSDGEWVRVKCIPDSFVINVGDTIQVWSNDRYESAEHRVSVNSAKERFSMPYFFNPALDAVVEPLGELVGEDDPPRYTAYSWEDFFSTKLGSNYKKLDVENLQIEHFRKSLEA >Dexi9A01G0044020.1:cds pep primary_assembly:Fonio_CM05836:9A:47645717:47649087:-1 gene:Dexi9A01G0044020 transcript:Dexi9A01G0044020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSRHPKALGLRCYLASHRSVTLVLWSLAALVVVVNFHLLIIHKEEEESMSTHEIRRSIMRELEVVEEEKLRLSPRRSRRNPRAVRRKGERKPPTIVDEFLDESSAVHDMFFPEPNMAVDPVNGGNDSMYFYYPGRVWLDTDGKPIQAHGGGVMYDKKAKTYFWYGENKDGKTYKAHSKGADRNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKASVGVAVSDSPTGPFTYLYSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVSDDMRRFLIAQRREAPALFKHEGTYYMVTSGCTSWAPNTALAHAATSVMGPWETLGNPCVGGNEVFRSTTFFSQSTFVLPVPGLPGSFIFMADRWNPSDLRDSRYVWLPLTIGGVPDEAADYSFMFPLWSRVSIYWHKRWRLPEGWRDS >Dexi2B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:2B:7132544:7133818:-1 gene:Dexi2B01G0007040 transcript:Dexi2B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAEKDDPSKNPFFTWALHRKEIKMNLAEKEDPSKNPFFTWASQGMGSERIAEKEDPGKNPFFTWASQVKDSETKLTEKEDPRKNLFFTWASQGKDSEMKLAEKEGDGQPSVHGGHNHRHFDLAHLSFSEDTLAPGSMVTPFILPAASHAPFLRHEVAKSIPMSTENFTDILAMFMPASLEAAHDIWNTLDACEHPVLMGGERQGCATSIESMVELATAVLGTRDLHALSSSSNVPADGLQSSPRGYTVVSARTVVAGTKEGNKSMTCHGSAFPFAVFYCHSINPTRVYDVTLHPSTGGAAAEAMRVLAVCHLDTSGFDPANPFFVERGLKPGDIPLCHFLSRDTVVWMPAAAPPQAHGDGLLMASQ >Dexi2B01G0029700.1:cds pep primary_assembly:Fonio_CM05836:2B:38060580:38060933:-1 gene:Dexi2B01G0029700 transcript:Dexi2B01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDESGAEAYYTTDEALSQLGFGRFQALLLVFLGTGWVADAMEVMLLSFVGPSMKEEWGVSGGAEGLITSVVFAGMFLGACVGGLSSDRYGRRYVQSFSSSDFSLQFSVAANYFLE >Dexi6A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:6A:2554104:2556118:-1 gene:Dexi6A01G0002730 transcript:Dexi6A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKQAYDRKLCSLLDEYSKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYAEKTGNKAFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQIVSVYEDGSVFSPEVLDLTEDDLVEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAAPKEEEKAPEPAEESDEEMGFSLFDD >Dexi8B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:8B:26198152:26198436:1 gene:Dexi8B01G0015390 transcript:Dexi8B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLREKSGVKENKDGDDDARRFMEWPPSPWTWQEFSSRTAGWEKKVFAREGEAAGTVGDLLIVEPDGAMPPTGREKSTYIGMENMF >Dexi2A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:2A:1668893:1669876:-1 gene:Dexi2A01G0002180 transcript:Dexi2A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAQHPWRCGGHRSHGDEDDDDEPEVDAGTHSDTTDTEEYYNRCSILSDDETISGAVADDGGETASSVLAPANATSSVARGWGGTGRRGCTGSSVAAAARAAAPVSPVAEPEQPVAAIVAAVPDPEVVVFHPTPPLAFPAHGGGAMEVTVASVAAIANDPPTQAIVDHHQEQPSTSPAIVVGQAPKVYTCKRCGMTFTNPQALGGHVVGHRNRDLAAAAAGTTTMSAADGVVFPGGRRGAPKPPERRAHVCNECGAEFVTGVQLGGHKRKHYTGEPIVPKRKNKTRVVVHVQPALSAAAAEAVGDITLALSVKGDDVALPAVWH >Dexi2A01G0036440.1:cds pep primary_assembly:Fonio_CM05836:2A:45985753:45989548:1 gene:Dexi2A01G0036440 transcript:Dexi2A01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDAHARGSVVAQLRGGSMDDDAELEEGEACGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAANVSSKSPFHQSFEVPKFVLGTSQNPSAVAVPPVPQNNGSVVPFSGDSNKKEIRSTTKAERSSGPSGSHDSYGPSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSVEDSPDGCGSLSPEVNNMPHESPRTILQIMTCFSVPGGFLLSPLPANILQLTKKVVAPSKKWESNVDIGNVQETYEGHVAKKVKSDGKKKKQTDAKNSKNRNDVSAVMKKEIDIETVDGQKIVSEALNIALLSDSRAMEMKGENRLEEEPTENILSRNKDVRLKERAIKSDSMTTKAEPLKAEAIECLENSSFGSSEMDMSATKGELKPKTEKGETVLEERNTTSDKNLTLDRKQEKKIKPESKCNASNFESSNIINERAPVVSRSMGKIPGKETLPYDTNGENVSKSEAKKMQREQKANTSMSSDFLEDEKHIHSSAAVKERKNDMQSKSSHTGKKPKAKSHREFRDHLPEGSSAGKELDTLENESGFGDPRQKERSWKNDSERDSDVPGNSRRDISSSIKHDRHTASEEQKMHIPPPTTVSTTNTAPTLPAPVVIEEHWVCCDICQKWRLLPYEMNPSSLPKKWKCSMLHWL >Dexi2B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:2B:28346410:28350099:1 gene:Dexi2B01G0017970 transcript:Dexi2B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPAISTATLTTGSSHALLCTLRPTPASCSCVRRRVPAPAVPVAARSGGSCRDLSSLGFLLPHRASALSSAAAAAAEGMSDPELRLVLELATDEELMELEDILYGTSYFSPLLKSIARRPNSDSIVVLDNIEERDLFISKLESRFLYLAADARSVISHQKDPVSFPWDKQKTPNEISSLGVNKWKVLTDAAWRIGAKGLERTFLKGGSALTVKTIYESLASRLSGKLLMETANYEIKKELVKQASSEVFRFQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRTSYIEPREE >Dexi4B01G0023870.1:cds pep primary_assembly:Fonio_CM05836:4B:25131516:25133559:1 gene:Dexi4B01G0023870 transcript:Dexi4B01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEYDALRGAVLSPERTPPSSPPPPSPDHHCLEHEVSRMDTLAGIAIKYGVEISDIKRANCLVTDSQMFALKILLIPLPGRPMPASVRLNGSGQRVKRAWAPNYQQNREATGSLDSSKSGQQGPSPAMSSLQRYYGISSQKENTMDCSTEMSVYHKSSFQSSLGETLLSSSAALDRSWEFEEQVNGFSSANGAHGNRSNGVSKPKQDASMRRRQKLEAESNSTNAQDDFLTDPIKAFKSLLPRPISSIRVNMDTGGPDSSQNSNISFLSGFKSVRKSPSTPNLSDAENGASSWSGSKWTFNHESFTRPLLDGLPKPVSARRMKTALD >Dexi5B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:5B:20602402:20604939:1 gene:Dexi5B01G0018400 transcript:Dexi5B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRFGFRFTSSDMVGSAYNSFFESFAALVCSSLHLLVPAVGPQRSGSSLSLGERLCAIFFPFVAIAEAVVFALTDCLADLCPGSDSSASRRYGAGAPSFAAKMNKSHHHHHHYRPFLRRCGWTPLDLRELARLADDQVSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPVENKINFAFRLYDLRQTGYIEREEVKQMVIAILMESDVKLSDDLLEAIIDKTFQDVDVDRDGKINQEEWKEFVLSHPNLLKNMTLPYLRDITTVFPSFIFNTAVDD >Dexi3B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:3B:8964714:8965916:-1 gene:Dexi3B01G0012800 transcript:Dexi3B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYQLFLEAKRQEQEHQHLLQSHGGSALPPPPVYTSTALPLGQSSSVPPPAAKEPPNSGRSATEAQSLKIHSEAERRRRERINAHLAALRRMIPDPDAWQMDKATLLARVVRQLKDLKSQAAETRQPLPIPAEANDITIDCYTGVDTAGYGRPATYIRASISCDDRPGLLADLAGALRGLGMRPLRADMASLGGRARCELMLCMEEGDAASGGRVKVLKEGVRQVLANAAFPETEYGCNYRSRRQRVLESHCALGRELDLGVQGWQFWRSN >Dexi9A01G0024780.1:cds pep primary_assembly:Fonio_CM05836:9A:21478230:21478693:1 gene:Dexi9A01G0024780 transcript:Dexi9A01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNIAEGEDWFAGENQHYLLWFHRVARTRLRPTAMEYNMEDVDTDAEDDYDVDTRWGNQPEQG >Dexi3B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:3B:12211702:12212482:-1 gene:Dexi3B01G0016740 transcript:Dexi3B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSASRPWPPRPRPAPMAAAAVEEERLAKERMYQAIPYSASRPWPPRPRPAPMAAAAVEEERLAVHQQQPPAAEALAAREEVRRVVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVQEVAGEAELAGVVADDVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >Dexi4B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:4B:944797:946405:1 gene:Dexi4B01G0001580 transcript:Dexi4B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVAMATVGQPGALRRVTVHYANSPTRSTGDADLQDLDEDLLPYLLSEVLPGQEGLHQSFLEGEYINQNHMRGSPSDSSQSQHYHGESSSSAAAATASGTPGTEEQIASDYEYAKRLQEMEDLSIEDDDCVPSPSDSDDDHDRDHDDEEADRQDGNDDDPDNMTYEQRQALVESVGTEDRGLSDELISFLPTWKYKASGSGFFSRKTNSEDCPICLSSFRHRESMITLPCTHYYHAACVTRWLKVNKICPVCKYEPFGPS >Dexi3B01G0033450.1:cds pep primary_assembly:Fonio_CM05836:3B:36146894:36147421:-1 gene:Dexi3B01G0033450 transcript:Dexi3B01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASISFVLNRLGELAAKEAGLLRGVDDDIRLLRDKLEWLQTFIQHADHERRTGANNYIGLWVRQTRDVAYEVEDVLDEFLRKVDLERLGLLPTWKKWLKVAATCTKQVSVRHVLRDRMDSIKNRLKEISENVDKYKIEQLQPSASSSAQNPNNSAAASWYVVVLAMALPHELS >Dexi4B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:4B:17660523:17664572:-1 gene:Dexi4B01G0015810 transcript:Dexi4B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVKLYLRRVPVCHRLSPLGGSGRTPAPTPRASRPRQRRHLLAPRPLPRSSWLSGPARPTQLRPRPSAVRPRRWTPNPGGRQQNQPMKTKKTVNGSSCKKGKLFDGLIGKHASEMDPSYKLFLEHLSKDGNTCVLNVPNGDHGMPVSVRYEEDDTVYSNKKAKNGPNCPSGSLHRSWGVPSGKRPDLEAVKAASGNVDQSFSPKRSYVKQKKNSTVDDSYELFMSLVKFKDGCMVIEPEPGVTIVYEQEEDMPDAYDELRTGSCTNEPEALMSPLETMEEDCTMYGCEYAQANKVASERETVGPSSENIDGQDIVCTDERGLVLYTQPSDSNPYDQNEYEELWRKASDQKPVSRQRHLRSASKRYVTGAIGLSYLDHYPDLAVQINSADCDERLSLLRKFFFWLENLCHEGAYMPWISKPLACNPPLLSHMKMSPRGEALGPALMGPMCLIRRGPLRRRCGRRSPEMAGDIATAEEPNA >Dexi2A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:2A:29915296:29918610:1 gene:Dexi2A01G0017920 transcript:Dexi2A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LATHLSLSSSSSVSVSLSTLTMAKPRKNSTAAAATTTTTANAAAAGDVGVRVKPKRTRKSVPRESPSQRSSIYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQGAYDDEEAAARAYDLAALKYWGPDTILNFPASAYEEELKEMEGQSREEYIGSLRRHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGGAAAAQDPHPMLGALAQHHHQQLLSPAADAMDAAAFQHQFQLSSPRTSLGHTPTTSALSLLLQSPKFKEMIERTSAAESATTTTTSSSSSPPATPSPSPPPIQQQLQAAKDGSPQSGFPEDIQTFFGCEDVAGVDVDALFFGDLAAYASPAFHFELDL >Dexi4A01G0023830.1:cds pep primary_assembly:Fonio_CM05836:4A:26787757:26788065:1 gene:Dexi4A01G0023830 transcript:Dexi4A01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGLRPLIPKGTNPKLAQLLEKCWQQNPINRPDFTEILQTLNEIAEEVAMDANKPHKEKEKGGSFFSFGKAH >Dexi9B01G0029560.1:cds pep primary_assembly:Fonio_CM05836:9B:32077777:32078579:-1 gene:Dexi9B01G0029560 transcript:Dexi9B01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDLKLLGLWTSPFVIRVRLALNLKGLSYEYVEEDVKNKSQLLVTSNPVHKKVPVLIHDGKPVSESQVIVQYIDEVFAAAGPSLLPADPYERATARFWAAFVDDKVGLAWRTMLFARETDEKVDGAAQAIAALETLEGAFQDCSKGKGYFGGDSAGYMDVVLGGYLGWFNVLEKMVGIKVLDAARTPLLAAWAERLSAGEAAEGILLQDVDKVLGFLKAFFA >Dexi1A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:1A:6808984:6810527:1 gene:Dexi1A01G0008640 transcript:Dexi1A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEPAMAAPTTAPTPPSQPPHFILVPFVAQGDIIPLVDLALLLAERGARATVVTTPLNAARQRRVAEHAARRRVLLEFVELPFPPPGSVLPPDCQNADILTDVAQFIPFFFELRDLATPFDAYVRSLTPRASCVISDWCNPWTASVARSLGIPWEEEEKRYDVPGMPVPVTVTKATAPGFFNHPATLVLRDEAMETMRTADGMVVNTFVELEHQFVECYEKALGKPVWTLGPLSLCNRDAEAMATRGKTATVGKEAITAWLDGQAPGSVVYVSFGSIARKLPKQLFEVGHGLEDSGVPFLWVVKEHEVDASPEVREWLEALESRTAGRGHVARGWVPQLAVLSHLAVGGFVTHCGWNSLLVSIAHGVPVVTWPHFADQFINEQLAVDVLGVGVRVGVTSPVMLFGDEAVVVAREDVAKVVSALMGGGMEADERRKKAREYGEKARRAMEEGGSSYGNLTRLIESFSRPEPEVKEQLKLHG >Dexi9B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:9B:9465736:9466059:1 gene:Dexi9B01G0014170 transcript:Dexi9B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKSRKSSGAGSRSPGRCAGGDEEAEKVPRGHVPMLAGGGGGEDGGERVLVPVRLLSDPCIAELLEMAAQRYGYCQPGVLRVPCDAGQFRRVVDGAMQRCRIITSA >DexiUA01G0016830.1:cds pep primary_assembly:Fonio_CM05836:UA:36017028:36017595:1 gene:DexiUA01G0016830 transcript:DexiUA01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSENAFRGNDSHWLGRRGLFWPQQRGGNRGNDHGGNRKRPQGNAAFFGYDRRLWLGFFHRWQSDRCRFRRIQFVRRDAYHRGRGNQRLIGLFKGQDWGRFRLGFRVFNDNRGWLRDQRFT >Dexi5B01G0029780.1:cds pep primary_assembly:Fonio_CM05836:5B:30905590:30906860:-1 gene:Dexi5B01G0029780 transcript:Dexi5B01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLHRDVCIRDQFGDLESLGYPALPEPVPYDQFGDEYGKGVWSELSETASSNIYENIVALASFNGHKFAGPLTFDCQYLGYTDCRITKAGIGGPLLKFDGTFAGMNFYDEIEGTPYLSARRILTVLENFKTKRYV >Dexi1B01G0030150.1:cds pep primary_assembly:Fonio_CM05836:1B:34231119:34231805:-1 gene:Dexi1B01G0030150 transcript:Dexi1B01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDARSATPATPRSYTYSHTQGIVPNPLHCGELCADNLPSSNAAPKSRMRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVEGLTIYHVKSHLQKYRTVQHRSESSDGPYVSML >Dexi2A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:2A:6763309:6763718:-1 gene:Dexi2A01G0007030 transcript:Dexi2A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIHRPAAANTTAISSNHDYKMTTTGSRSLTTSQPDLTTMTKGDDPRCNLNEGANLNPRRTEDRAVQRQTTKDGDGTASAASPDPARARDQPKTQPGSTPHRSW >Dexi5B01G0021670.1:cds pep primary_assembly:Fonio_CM05836:5B:23939258:23939668:1 gene:Dexi5B01G0021670 transcript:Dexi5B01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAALSRVMVREEEEKGRRWVAGAGHFTCGGGASGRASSGRPITAGAREAGAGGVCSLGRRSTADEQWHQAAPRRGHGMFGYEDVEGLRRRSTVPVVLPPAQPPDWFPWAFSVFTKCVRWAAFRPTPRVQQARFP >Dexi6B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:6B:25200398:25203011:1 gene:Dexi6B01G0018150 transcript:Dexi6B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRSSGRKRSVRDRLGSGGGSRSRSDDAKRFRRDDGTWMRELYKDSGGTQTSSGPSSRNLQSSKHSQVEQRIEVVKKSSVPDLREKLSGVPSQRPQLSSTVQVPKPVRETVNSDRPVKKRDPPPTVAPPVIKKVSAPAPVPVPPAAVPAPPAPQQSQEKVDMKAMAYMSEEDLKALGIPMGPRKKILSALAHKKRKSSKSLPTS >Dexi5A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:5A:148014:149167:1 gene:Dexi5A01G0000190 transcript:Dexi5A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAAGKPPASASVSWEFHTTGPRNLSSPGWRDLIRSSWRDPNYRRVAMSCFVQAAYLLELDRQESTGSGENALAPSWWKPFKYKLLRPLIDSRDGSIYGALLEWDQLSALSDLIVLKPQAAPKAVLAIRGTLLRPQTVARDLEDDLRFFARDSLRGSVRFTGALEVLKSTIHKHGSSNVCVAGHSLGAAFALQVGKALAKDGTFLECHLFNPPSVSLAMGLRKIQEKAGKALKRYISSSSSSSNASGGSAEEPQVASQIGEEKLIKEVKTWVPNLYINSCDYICCFYADRSGVATVTTEKHSGVHSKLYVIAKGPNKFLEAHGLQQWWSDDSELHLAVQDSKLMYRRLKSLYVQQ >Dexi3B01G0024310.1:cds pep primary_assembly:Fonio_CM05836:3B:18970308:18972699:-1 gene:Dexi3B01G0024310 transcript:Dexi3B01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVQLLPGPSEIFSRTRNALSAVAKSAFIARRSDEPSIHPSLVGLVRFQLHCSTFSTSDPARRANPEPPPADMWALNNAKAGGPCLTPRRPAPPSLASRSAAGRRVWRRGPARAPLVAVRASGGARKDGPVGGEGNGEEVESKASSSGHDDVSDSAGDTSAGLNKPHDESKSTNPVNVSSSNYWRDVRANLVRREQELFVDPSAPTDSKTSSGDPVQLPQKWAHTITMPEAGCVLVATEALDDDSIFERTVIFLLRLGSRGTIDGPFGVILNRPLYTKIKHVNPSFQDQPTPFGDSPLFFGGPVDMSMFLVRTDDSSRLKGFEEVIPGICFGFRTDLEKAAVLMKSGAIRTQDLRFYVGHAAWDYEQLLGEIKAGYWSVASCSTELISNALAGDPSCLWTEILQLMGEGSTRS >Dexi9A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:9A:7296741:7298857:1 gene:Dexi9A01G0011630 transcript:Dexi9A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGALYTVARFFYFKGYATGVPDNRLKIGGLNFLAVFGLIFCTASFGINLVIRETL >Dexi4A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:4A:2382858:2383223:1 gene:Dexi4A01G0003290 transcript:Dexi4A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGHHHSFLTKLGLAVLTCSAALALCRSSRDDPSSAAFVAGAYGAVVLLGFFLRRFERAVEGEDRRKTKAAVWALTMLLTAMFASRVATLMPSVAGSVVVYLVAAGTAGAGFWALFLINP >Dexi4A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:4A:18287568:18289264:-1 gene:Dexi4A01G0015380 transcript:Dexi4A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAGEDAEQGVVEIRLGAKRTNPIEAWRFVQMSGFSAAASAAAAAERCALAIRARPAASSPAARQKASLRRSSAGPLRPSSTLAAASRRGPVAPRAITTPADRASTDLAGKFKLDSNSELQVAVNPGSQGSVTAIDLEEEYEAARAELIDELNRGVSLEKLRAKLTKSPEATESGSKEGAAG >Dexi2A01G0023070.1:cds pep primary_assembly:Fonio_CM05836:2A:34866510:34869949:-1 gene:Dexi2A01G0023070 transcript:Dexi2A01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRWLRRELFVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYILLALVYGGTLVYKRQHLTTVCHHGVYLGCNIVNISTKRKPEMFLCVLFLQIKWYYYLLLGIIDVEANYIEGPNPLKGDLLVIGGSMLYAISNVTEILPFIGFSLAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAIGLVIYSYKGSKQIAEETAQVTGAGDEEAATSNRAAQIPGGGDDGPASNKEFASAATASR >Dexi8B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:8B:5712596:5713070:1 gene:Dexi8B01G0005540 transcript:Dexi8B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSSWEFLQFHTELVTLSIDSCGDLTQLPETIRSLTSLENLCINRCSNLAMMPEWLGELRLLRSLSVYTTPMIDSLPQSTEHLKSITMLQISGWRNMKQLPDVIQHLFSLELLNLANCSALTVLPEWIGQLSALRFGSSIALLLNACHSPYNG >Dexi5A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:5A:24230737:24232082:-1 gene:Dexi5A01G0020400 transcript:Dexi5A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLESCDEIVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCSPIGRGFFAGRGVTQQVSSESSLQKHPRFVAENLEKNKQIYLKMEDLAKKHHCSPAQLALSWVLHQGDDVAPIPGTTKIKNLDANIDSLKVKLTDEDLKEITSQIRADDVAGGRQYSSYAHTSWKYADTPKK >Dexi5B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:5B:11508347:11510353:-1 gene:Dexi5B01G0014640 transcript:Dexi5B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFRTTTTTTTLLVLSLLVVAVRSDTAATPVTPSTACNETTDPNFCRTVLPSNGTSNLYTYGRFSVAKSLANANKFLALVNRYLSRRTGLSAGAIAALQDCQLLSGLNIDFLSSAGATLNTSANSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRDGLAVPMVNSTKLYSVSLSLFTRAWVPKAKGGKSGGGKKSKPPRHGHGRGLFDATDDEMVRRMALEGAAAAVAVVGEVTVDQSGAGNYTTVGDAVAAAPTNLGGSSGYFVIRVAAGVYEENVVVPKNKKYVMMIGDGIGQSVITGNRSVVDGWTTFNSATFAVLGTGFVAVNMTFRNTAGPAKHQAVALRSGADLSTFYLCSFEAYQDTLYTHSLRQFYRACDIYGTVDYVFGNAAVVFQGCRLLSRLPMQGQSNTVTAQGRTDPNQNTGTTLQGCTIAAAPDLAANSAFTVATYLGRPWKLYSRTVIMESEMDALVDPTGWMPWDGDFALATLFYAEYNNSGAGADTSRRVTWPGFHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFMSGLN >Dexi2A01G0034030.1:cds pep primary_assembly:Fonio_CM05836:2A:44140132:44145752:-1 gene:Dexi2A01G0034030 transcript:Dexi2A01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLALQLRRPAVHRMKTRWRRIELATAAVPGHGEVARRREIKTRWRTIELAVAAAPVQAGRVLLCSSADGQDACARSPSSGGVGAPPWRCEAALHAVAWRGEAARFLLPLQRICPLRSIRAPSPPHSGCKAQPTSPVAMSQRERSCCSTFAGGEVTMAVPSYSSSDSRLLVQVAVDGDGRNFRRTPTTQPDKSCGRGTDWHMRWWWRRAVPASSHPRSGTRQGGGYYRAGWVPLPHAPRVSPSSRPDPQSYPSSATPPPGPLVSDPRRWRIYSTPGSSEGIVDDSSWDPPPSKVIGSGLLIVMVPRDRAHGAAGGGGPTESVQGPISNRCEKKAPKKAHKSEREKRKRDKQNDLFGELGNMLEPDRQNNGKACILSDTTRILKDLLSQVESLRQENGTLKNEYRYVALERKELLDENNIIRNDILELQNELRMRMEGSSPIWSHDVPRSNLTAPHPAAAVFALQHLPHPPVITTMAPPLQQLAVLEQSYTAPRRELQLFPEAATAEDTEPPRDQGIPNHVMRPQARYPTTMATLPVHVYPILPGMEDEQSSSGTTGSGEEDGVGNHQ >Dexi4B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:4B:11965236:11967996:-1 gene:Dexi4B01G0013430 transcript:Dexi4B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLQVPAGGAAAGAIGSVGNTPATPGSDPPPSSSSPLTPTGATPSPTDTSSPSSTPPPSSPSSDPSPPSPSSPTSQPPSPSVPPPSPPDAAPPPATPVASPPPPEASPPPPPAELPPPPPAPVPTTSPPPPPAVAPPPPDNAAPPPASVQAPPPPSASPPRQSPRSTPPSSTPSPPPPAAAEPTVSPVVATPPPPSPAHSTVDYSPPPPARTSSTTATHSPPAAVDSSATPPPSSSSGLSSGATAAVAVVVVVIVLGFAGVFVCLSKRRKRNQADRYYAGFGVPSYTPQHLSGEAPFLRPPSAPGSMNFSMGAGGAPGMSAPMSQGYGQQPPWVSSANYSATMGSQGPARSVATSASGDLSVGNTKAFSFDELYEITGGFARENVLGEGGFGCVFKGTLGDGKVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDYVSNDTLHHHLHGRGRPVMDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDMFEAQVADFGLARLAENDVTHISTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLDGNFDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYNQSRSSIGSRRDF >Dexi8B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:8B:20896423:20900967:1 gene:Dexi8B01G0011720 transcript:Dexi8B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAVQWWEESQMRFLVLTSLFIQYFLFVAALLRKRRIPHWFRFLIWLAYKGCDAVVIYALATLFNIHKKDEVGMASAHIDTLWAPILLMHLGGQDGITAYSIEDNENWRRYLLISVSQITVAIYVFRKSWWWSDDRRLLGAAMILLFIPGILKCLEKPWALKNATMTSIMNSPDSRLQATLKTDNKVPKDTTTTHAHKASIKKPLSLDEYVQEASKSVQDAIAKAKKDAQDLRKNTPIAGDAEAKDKYVLKGPLDAEVITNNEDIKLLTVRDLEKRVGDKPYHLFADLGHPYFIRLKNLQEVMAAPKARDMAHGLVRSSLSKAFDRLYTKHNKRRSLAGLLRAAAAVLLTFTAIGLFNEGHRKNTYNHDDVIVTYILLCCTATLELVSAFFFFFFFLGSGHLTSLDDQMSQYNIIEYLARNKNYQSFWSLMSRLGYKDQLDRLWCTEDPEASAGITKLVYDHVIQGWTEYININEVEYTYEFIVTRHEGREDVWEWDYIKTMVGTYRRFNDSRGQRTLMWEKWHRRMEAVKSSMHMPFDESVLLWHLATEFCYFERVDTGSDATRHSRVISNYMAYLLLVKPEMLMPGARRSLFTAAYSELEPSGYVVELEPMETMLESPPKTKDEMARKIIQKVKSTIGSDDHVHRAWELAHELMELARDVAVKEEENLKQKEAKVKADQKKIQEEINKGKEENLTKEQIKKAMEMERNMEKMKEKQLMIDAKKAGDDKMWAVIQGVWVEMLCFSAGRCRGYLHAKSLGQGGEYLSYVWLLLSYMGMETMPEKMQRSEMQVKGDVGGLVKSKSEEKTAKTLAKEANKGDGDKKPKEAKKTQGEGTKPAQAVAAAAAAATTTSATCMENAERGGEDELIEMEIEPEGDRKQAQATGGTNATTSAAAVVAPITGDDNV >Dexi1B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:1B:23503603:23504378:-1 gene:Dexi1B01G0017120 transcript:Dexi1B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAKHLILCSTTSSSPSQRHRRPLPPNATAGGDSDNNHQQAPPPPRSATRRLAVAASTALAATAALSARRPAAPPPAMAAEAAAVTTPASPPGAVPRWGTRSYVRERFFEPELTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPAARRADYVRVANELVDNMTEFDRFVRTPKVYESYLFYEKTLKSLDDVAEFLV >Dexi5B01G0038410.1:cds pep primary_assembly:Fonio_CM05836:5B:37628829:37630779:1 gene:Dexi5B01G0038410 transcript:Dexi5B01G0038410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGGGGGGESDFSVLVLGSDFATDAGAALLTHADREDWHDCLPDLSEGDACFSDLEELQVVRVQGVDRAGRTVVRVVGKFFPAPVIDGERLKKYVFHKLRTELPEGPFCILYIHSTVQSDDNNPGMSILRTIYEELPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYINRLEYLWGDIRKGVVEIPDFVVEHDKVLEHRPLTDYGIEPDPLHLADVPAMGYSLGRYEDKWAPEERWYSRNYM >Dexi5B01G0039080.1:cds pep primary_assembly:Fonio_CM05836:5B:38106943:38111288:1 gene:Dexi5B01G0039080 transcript:Dexi5B01G0039080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLLAASASSSSTAAFRPPLRLRSSAHPPHLRLSRTGRRTFPVVRAAETDAKDAKPKAPEKAPAGSGSSFNQLLGIKGAKQESDIWKIRLQLTKPVTWPPLIWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDAWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTTLYSIAGLGIAIVNDFKSIEGDRTLGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLLSTGKLYYALALVGLTIPQVVCQFQYFLKDPVKYDVKYQASAQPFFVLGLLVTALATSH >Dexi2B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:2B:5616873:5618361:1 gene:Dexi2B01G0006010 transcript:Dexi2B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPELPSDVVEEILARFPPHEPAHLVRAALSCKPWHRIVSGAGFRRRLHGRAPPLLGFFHHHGSHPASFNPTSPSFRPPYAARLGWLILNARHGRFLVGHVGFAFGIVPYTNFYTTGEEARAGA >Dexi3B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:3B:8675600:8675974:1 gene:Dexi3B01G0012400 transcript:Dexi3B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTGSRRCNSSTTSTARLMRTTRRSPASSFAAAVDPRRPSPPTSNGAACGKARKKQASSRQSDTEEVRRKMEALRRLVPSGGGAAGDEVTVMQLMVDVLEQTRD >Dexi3B01G0031660.1:cds pep primary_assembly:Fonio_CM05836:3B:33692594:33693635:-1 gene:Dexi3B01G0031660 transcript:Dexi3B01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSTHLGDGACSTHLLKIGADDSHDEGFRCKVDGYDWEIRLFHPYNVFEVDPQIMLQLIFLGEATGENGVRAALSCWLVDPTGTREPSPARKTVAASFRRPSDSSDFVPRSTHIWASGYVHSDESLTVECTITVFKDATPVPSSPSLAEHLGELLESKAGADSFAAHKNVLAARSPVFKAEFFGGMMEKSSGHVEIKEMEPSVFEAMLRFLYTNAVPELEDKTMDAVTVALAQRLLVAADRYGLDRLKVMCECRLASAMDTSTAATMLGLAERHGCKLLKPKCVEFIAGGSRENLEAVMETDGFKDLMVNSPSLLAELLFAAHGRKD >Dexi1B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:1B:8813285:8816786:1 gene:Dexi1B01G0009590 transcript:Dexi1B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSTGGPGGPHRPPLPDFSAGDDGGGLGGMRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTEGEIEERVEEARRAAEAEAAAAAAAEEAGGGRSSLPGRGFTDTQSHHVAARKQKQLETMRAALGLNVEDVKKGDVESDVESGELVPVKYSEELDTALQKESKDSKDARKEDGKKSKKKDGIDKRGRSKSSRKSKYDSDSEHEHNTKTKKKNKKSSRHASEDDSETDYDEKKQEKHSKKKRLGSDDDDAEIDQKKARRGKNSRHGSESDTDTDYGKKKVEHAKNNRDERKNIPVKSSRHDSESDTDNERGKKKTEHAKNSRDERKKIPKKSSRHDSESDTDSDYVTKKTEHAKNNRVERKKMPVKSSRHDSVSDTDSDYGRKKMELQKSRDERKKIPIKSSRHSVEDEKPRESRYKEDGKPVKYKESSRYDSENEKSRKYEESSRRDLEDEKLRMSKHKGDLYSEDQKRQKSARKSNYSSESESDSDSDQGEKSKSGKISHKSGPRNDGYRTNKSVKSLSHDSDGEKPRSKVLRKDKYSDESETDSDTHVKSKKKLEKSYHHDPEVDKQAQKVKEKEDKYAKSIDKRKRRDTDSESDDDSHDRKRQLNSAVLKKDVPQKRRVASSSESSDYSSSLSGSDSDTSADSYEERKRGQVGRRDELNSQRQKQEERKELEKQKQREEERKELEKQKQREEERIQMEKKRQQEREEERQEREQDTRKGGNGVERDYKRKLEDDRYDPNSSRVREEGYRGLQIRGDERRHEEHSRHRARNLDSHDSKRPRHDDDSYYHSRRDREDRYSRDEHRDRRRH >Dexi4B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:4B:19967786:19969632:-1 gene:Dexi4B01G0017630 transcript:Dexi4B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLAGNRCLHDSLRVHHAIVLQEELDEVPEHDLWHHVANSGNTCLDFFFHVVPNTPASRVRELVAAAWALTTLKLVANLRGVRGTGKSDREGFYAAALWLHPRTLACNVPALAEFGYLKVYADGDALSNAGRIESKRSRKAAAVVPVVEPEPEQVTMDVEQKPESAEAMEVTPSSPPEEEVAPAAKKKKARKVAKIAVQSLETYYGDRAYRFLFNSVADLLASDLKQLAPGGNKRKIGLAAKWCPTPGSSFDRSTLLCEAIARRLFPRDADLSEEHYSYHALHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKSLFKKHDEARFGKYLEDVEAGKAKIAAGALLPHEIAAAAYRGEGGDDVSELQWRRMVGDLRSKGSLSNCIAVCDVSGSMHDTPMEVCVALGLLISELSEEPWAGKLVFDRILTTAVDARLAKEKMIRTVFVFSDMEFDEASASPWETDYEVICRKFQDAGYGDVVPQMVFWNLRDSHSTPVTSTQPGVAMVSGFAKNFVKLFLENDDVVNPEAVMEAAIAGAESEACRV >Dexi6A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:6A:23987482:23988072:-1 gene:Dexi6A01G0016130 transcript:Dexi6A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIAPTPAPAAEEDQRRVEENPAAEVTDLSDIDSGWVVLKSSDIVSADLAAAAVSGGQRLGTIPSWARWVIGGVVYTVVPFYNRVRQLEGT >Dexi3B01G0028150.1:cds pep primary_assembly:Fonio_CM05836:3B:23932956:23934677:-1 gene:Dexi3B01G0028150 transcript:Dexi3B01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAACAPPAASTPVPVAWSVVPVDFTVFKKGPEMAMHDATGRLAFRVAAGGGGGTALFDAAGGVLVTVRTNGQGEWQAFSGNSLEQRHIIFTAKVISASSSPKEVHVFIPPTKSFEDSKASYRLLGSTFRRACTIIKGDSIIAQTNLLYKLKKTIYSRRKFRVTIYPGNDNMLVMAMVMTFFVEK >Dexi7A01G0023810.1:cds pep primary_assembly:Fonio_CM05836:7A:31701874:31704553:1 gene:Dexi7A01G0023810 transcript:Dexi7A01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQATPLKTLAFSRRRAGGAALRPRQMAALRCSASARSYNITLLPGDGIGPEVVAVAKDVLSLAGALEGVELRFQEKLMGGSALDATGVPLPDETLAAARDSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRAGLRVFANLRPAAVLLQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNDNGEETGFNTEVYAASEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRRRVTALASEFADIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRIEAAVTETLNQGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQKAVAAIN >Dexi9A01G0022890.1:cds pep primary_assembly:Fonio_CM05836:9A:18048727:18050140:-1 gene:Dexi9A01G0022890 transcript:Dexi9A01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGSAAPGDNETRSASAIVGDNLTGHHLLHIDGYSRTKDKLPTGKSIKSCPFRAGGHRWRINYYPNGQTSNCADFISVFLHLEQSAGEPVVAWAKFGLLDRAGKPVPSNTLSTSLKEFAPDGTGTGSARRAGLPLSRVFRLQCHNLIWTSTSAILLMGKEGADVTFQVAGEAFRAHRFLLAVRWCVFKAELCGSMKESTTTGNCIRIDDMLPQVFKALLHFIYTDSLPQMDEQEDAVMAQHLLEAADRFH >Dexi6A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:6A:7268480:7268787:1 gene:Dexi6A01G0007320 transcript:Dexi6A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQEGYPPPGQQAYGAPPAPAYVAPPPAYPPTQDAGGQHETTSRGDGFWKGCCAAICCCCLLDMCF >Dexi7A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:7A:27135335:27138124:1 gene:Dexi7A01G0017460 transcript:Dexi7A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHHRPGGNGEEDEVELVEQVRLTVPTTDDPTMPVWTFRMWTIGVVACALLSFFNQFFGYRSEPIVISQITVQVAALPVGHFMARVLPNRKMIFLGSEWSLNPGPFNVKEHVLICIFANAGAAFGNGSAYAIGIVTIVKAFYKRNISFFTGLLIIITSQVLGYGWAGLMRKYVIEPAQMWWPQSLVQVSLLRALHEKEDRRMTRGKFFLIALICSFACYIVPGYIFPTVSAVSWVCWAFPKSVTMHQIGSGLNGLGVGAFTLDWSVVVAFLSSPLVSPFFAIVNVYFGFVLVTYLIVPACYWAFNLYDAGNFPIYSTDLFTGAGQMYNITAIVNDRFEIDMDAYAKQGKIHLSLFFAICYGLGFATIAATLSHVALFHGKEIYQRFRKSYKGKHDVHTRMMRKYDDIPSWWFYLLLAVTMAVSLVLCTVFKEEVQLPWWGLLFACAVAFIFTLPISIITATTNTTPGLNVITEYIFGLIMPGKPIANVCFKVYGYISMNQSVSFLTDFKLGHYMKIPPRSMFLVQLIGTIIAGTVNTIVAWWLLTTVPHICEKDLLPEGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWCFLGGLICPAFVWLLARALPRHAWWISLVNLPVLLGATANMPPAAPLNYTAWFFLGTVFNFFVFRYRKGWWKRYNYVLSAAMDAGVAIMGVVIYFALSGNTLDWWGSRGEHCDLATCPTARGVEVDGCPVF >Dexi7B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:7B:2664643:2664978:1 gene:Dexi7B01G0001560 transcript:Dexi7B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPYVAKIFQGFPCQTRQLILPIHYIAAIFHHQEYSNSERPGEYLLTNWTPEIDNYFKNVNSSILTKDRC >Dexi3B01G0023450.1:cds pep primary_assembly:Fonio_CM05836:3B:18214740:18218667:-1 gene:Dexi3B01G0023450 transcript:Dexi3B01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRVYEAWKGNNKFLFGGRLIFGPDAKSLILSVSLIVVPVLVFCAFVARHLRHHFAAYNAGYAIPVVALLFMIYVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGMLVKVKSDSRPNIYNQGCLNNFLEVFCSKTKPSKHKFRACAQGEVRPPTVSFGRDVEEEPVGGPRSKVEDDLEIGSDLLKISQRRNYADVEMGGRLSNEMEVIGGAKFVTGSEPHIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFAAEAAHPSQAETQ >Dexi5B01G0003990.1:cds pep primary_assembly:Fonio_CM05836:5B:2698278:2701349:-1 gene:Dexi5B01G0003990 transcript:Dexi5B01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRSPSTPPRPAAVVDPRSGYCAATKTFHSLRTPPASPPPDGRPLSFPAAAFSLLPTQHLPSHPALIDSATGEAIPFPTFLSRVHVLATALRVHLNVSPGDVIFILAPAGIHIPVLYYAAMSIGAVVSPANPALTAGEVSKLVSLSNPSMAFTVQAAVGMIPPGLTTVLLDSPRFLSFLSQQELSPRHHEDAAAAVMIHQSDPAAILYSSGTTGSAKAVVITHRNLIAMASSTSAAPASGPEAAADVLLLTVPMFHVYGFVFCLRTVLVAQQTLVLYTARRFNAMDVLGAVRRFRVTRLALAPPALLGIVQAAEADDSMAAAHTAATTLQAVTCGGASVAPELTRRFSRKFPNVCLFQGYGLTEATTGFCRLIGVEETKRIGSVGRLLWGAEAKIVRPETGDALPPGMPGYLGEKDATEAILDREGWLRTGDLCCIDEDGFIYVLGRLKELIKYKGYQVAPAELESLLQTHPDIDEAAVVPYPNDQAGEVPVAFVVRRIGSKLHEGLIKEYVAKQVVYYKRIRHVFLVDSIPKNAAGKILRKDLVKMLRHMGSKL >Dexi5A01G0022040.1:cds pep primary_assembly:Fonio_CM05836:5A:25941529:25944938:-1 gene:Dexi5A01G0022040 transcript:Dexi5A01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCTGRGRRRGRPPASLPTSISARRTEHSLSCWYCDCKIYNFSDTLFSLGWKYARTRSLSAWLQNLLTSGYIISIMDTMIFIMSTILSIAFHEFGHAIAAASEGVEIEYVAIFVAVLFPGAFVALNYDQLQNLPLFSMLRIYCAGIWHNVVLCAVCVLMTLLLPVVLYPLYVSGDGLM >Dexi2B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:2B:4945312:4952358:-1 gene:Dexi2B01G0005300 transcript:Dexi2B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSAAVGWTAEDDVLLKNAVEAGASLESLAKGAVCFSRKFTLEELQDRWFSLLYDSETSAQASARMANYEMELSVSDPAKAMKLFKPKAKFYMSVVEPKAIMLSDDQKMKTSVSDAHAFQILDQDLIPWDQEPIIQPEVSRFQYPEKRKDLIRLEKGSCSYMNRSIMFNGAFAVLYGQHLKCYIRDPEVLPDPRQMQVTLGRQTKEVNVDIDLGKEGNANKISRRQIRNMKFIFHVNHNAVQKYITRTRSESSRGKFTAFDWNRSP >Dexi7B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:7B:19828257:19828742:1 gene:Dexi7B01G0013300 transcript:Dexi7B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLDKYKLRRALAVKRSANAPERMARPWREAPRAPRPRAAQHLSAAGREAGTDDRGAAEARIRRNRDMASRKWPWAAATRIW >Dexi1B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:1B:23953029:23959900:-1 gene:Dexi1B01G0017590 transcript:Dexi1B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLVAAAPHSGEELAPPPPPRRHRWRRIAVELDGRIDARFRHRESRLLLDSFAEIGAFDHKYYVHGEERCGTYLDRMVNASTMDFHQVREGISAMEFDKKGIYLASVTLSGCLTVHDFEILYCSKYGPSCSLQDDSSNYLLHISNPMPLNAVRWNPGNQDEIACTSSQSDKVLLFDIGYVSSAPTEVLQKGKSKFPALYSGSRKSLSDIAFTSDDKSRIFASGLDGAVYMWDRRSSKTHCLELMSSPESQFNTVKLGVDNRTMFGATKNGTIHIWDLRGGRASAAFQSHNEVGSVAQQINFMHAAYELLQSFTPCTAHIACSTIGLSEGINIAGQNCIAKGTQKKKEPIIMDVSAKEQTNIVSSPILSIDFNPSCSYQLGFHLDDGWEGTDLVLQKQLRKPTWLPTSSIYAVGSSSYDGIYLLDFHPDMSSACHVDYREETRGLEENQPAENKFVPLSQRVLSCAAHPLSHTIIAGTQVGSYFIWQCLIYLSSSHRCSCYHKNKRLSEIQSREHLLFSPFQATSTKYAFA >Dexi9A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:9A:3803440:3803742:1 gene:Dexi9A01G0006690 transcript:Dexi9A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLKSKKTKQAGDGKAKRKKVVKTRLPLALIEKLVATPYTNDGIPDDRLAKARRAKMMAYRQAIINQYNDKGYVEDESEVTDDEE >Dexi2B01G0035440.1:cds pep primary_assembly:Fonio_CM05836:2B:42459528:42460159:1 gene:Dexi2B01G0035440 transcript:Dexi2B01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNKSLLPAGTLYCFLLLLAAQAKDTTIVVIGGQARCKSDPSRIISNTTLKLVIDKDEIPGGTVMTTSAGQVAMAVKLRSQEEVTSVTKGKAYLVAPPHACGAPSIPQGTVMAARVIVTAEQTISTATNIGDAARPRINGDTSSESKPTIKVVLCEPECIVCHTI >Dexi4B01G0011720.1:cds pep primary_assembly:Fonio_CM05836:4B:9188027:9190935:1 gene:Dexi4B01G0011720 transcript:Dexi4B01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRSHNPQQPAEAGEGGGGGGGSVDRVLFKNLVEMVPLVESLMDRRVNPSYSRRASLVYTPAPPKKASDLKSVKSPQSVSAKKRREPADSAKKSTPDANGENGSVTPLSLSGAENKPKDEVAVLREQIDELQKKLLEKEEALRAAESSVNEMNAAYVTIDELRRQVAEKEALIRSTNSQLHDAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDMSNMEFEISSLIALFEKVSENVSDDCYDGSMPSSYELEALQSTVSTHPWSIVDLLGPSEIDKIEIDKIEQERITYAEALAAVRENPNEEHLNLAAEARSRLQVLVL >Dexi1A01G0023380.1:cds pep primary_assembly:Fonio_CM05836:1A:30068381:30071954:1 gene:Dexi1A01G0023380 transcript:Dexi1A01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELMEEYTALVARVLEHLLHGAPFPRRMRFLMLRSLPFAAPPLPPPPPAHALHTVEMNVHMHCDGCEKRVRKALSRLQGTARQPILSIISVEIDMDRQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDAQYYPFAIQYLVDDTYVATDRYYRHGYNDPMIGSYPCHAFTHVIDDAALAVFHEDNVHACAVM >Dexi2B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:2B:2141120:2141774:-1 gene:Dexi2B01G0002580 transcript:Dexi2B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCVHACLFNVYAEGGGAKNGIGTPGMEGIGGSVSLGTPAGIGGKVTLGTVGIGGIVTFGTAGTPAGIGGSAAAAFGMAAGTAGIAGTAGMGGKVVAAGIAGIAGMGGKLAAAGIAGTWGTAGTVGIGGRATAGTVVTGGFGTAGMPGMAAGAAAGVVSARRRAAWLGVLPASRSAMTSAVAKRGEPEAMDDQTLMRSMTQVTSCCKIKN >Dexi9B01G0027120.1:cds pep primary_assembly:Fonio_CM05836:9B:29566404:29570912:1 gene:Dexi9B01G0027120 transcript:Dexi9B01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRGAGARRGGGIGGAVGEDAASRICMGGAALRGRLKPVEDGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPADVKLNKGIQLNAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSYVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTRLEMRAAVQSGGRSPKRLNGPSASPQPKTAPDGTQNGGICKGKKRERGEQGIDPAKRERDRLVKVDDSEPGSFNLEDIKSEVEKITEKGGLPNSEAVEKLVHLLQLDRTEQKIDLTGRVILADVIAATEIPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDAKPLVSGQSVSWSGKAGFQEISNAGNKRGGSSESSPKNAVVTVSSPKVLTDRPASTDAAAKLNPVVPASSKLQHVPPTNVAANLKDQPCKSTGGTGGSELPTVKEEKSSSSCQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSRRRANNGLVSGNLKEGSAGRSVSLDRSLQQDKSSQTGTASEKGVELPSDHGNNHRLIVRFPNPGRSPARSASGGSLEDPSVTGGRASSPVVADRHEQTDRRVKMKTENSRPHLASDANAESWHSNDVKGAAASEEGDKSPCAILDDDNSRTPDDSAKDAHVSRVACSYMNDKGVCSSETRVGNSFSPMNALIEIKYSEASHSLQAGDDTAINLLASVAGEISKSELVSPSSSPRSSLAKKLVCEGDSTGKLKVESGVGPSQDPGPTDTKNVVVGKEVKNDPCLIAKEEQRKTVLSPELADSKAVGSSVKVESHEGRANKPKSQPASVDSKGENRDACTVHGKVEDGSTDKDGAVESALGSQ >Dexi3A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:3A:2021022:2021651:-1 gene:Dexi3A01G0003070 transcript:Dexi3A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASRRSACSEDIAYRVCRRSLLRRSSIPLDVIPIVQQDPATPASTGASAGPPRAPRQAGGRPAEARGEPGSQRLGQRRSRELSRRRDEEAGRCGEW >Dexi9A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:9A:13137718:13140126:-1 gene:Dexi9A01G0018060 transcript:Dexi9A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDAAKEYVAGAAAGVAQVVVGHPFDTVKVKLQAHNTTAHGKVYKNAFHCTSRILLEEGGNYEDGKPHLQVIIPSAACSGALISCILTPTELTKCRMQVQGKDVMHGARYSSPLDCAVKTLESEGLRGIFRGGLATLFRESIGNAVFFCTYEYSRYWMHNYLDSPRFSSSSHFVLAKDIGVGVMSGGISGMAFWTATLPLDVAKTIIQTDPDPHLSRNPFQVLSMVYKRAGVVGCYAGLGPTLARAFPANAAAIVAWEYSAKILGIKRG >Dexi5A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:5A:23420324:23428343:1 gene:Dexi5A01G0019450 transcript:Dexi5A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPGFDLTGCCFRCLLQVTPAPEDSVSSLSFSPKANHLVATSWDKQVRCWEVLPGGACQAKASISHDEPVLCSAWKDDGMTVFSGGCDKQIKMWPLLSGGQPTTFSGHEAPVKELAWVPQMNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLCYPLMVVGTADRNIVVFNLQNPQYNCRGMHSIQVHGTFATTGSDGGFNFWDKDGKQRPKAFSKCPAPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFIHSVQESDVKGKPRAGKN >Dexi5B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:5B:12151030:12153252:1 gene:Dexi5B01G0015130 transcript:Dexi5B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREPLLRPADDLYRRGLATAEAKLLVRLAGPMIASCLLQNVVNMVSLMFVGHLGELPLAGASLANSVASVTGLSIITGMATALDTLCGQAFGARQYSLLGVYKQRAMAVLGLTCVPIAFAWAHASRILVLLGQDARIAGEAGEYARWLIPSLAVSVPLQCHVRFLQAQGLVLPVMASSAATALCHLAVCWALVFKAGMGSKGAALSNAVSYAANTAMLAMYVRLSSACRETWSGFSMEAFRELRRFADLAVPSALMICLEWWAFEILVLLSGLLPNPQLETSVLSICLNTGILLFMIPLGLSSAVSTRVSNELGAGQPQAAKLATRVVIYIALSTGFVLTLAMTLVRGVWGYMYSNEQEVVAYIARMLPVLGISFFIDGLHGSLSGVLTGCGKQKIGAAVNLGAFYLAGLPMAVLLAFVFNLNGMGLWLGIVCGSLTKLLLLASVAWFIDWNKEAIKANERVLGSSICQ >Dexi2A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:2A:8640760:8641299:1 gene:Dexi2A01G0008590 transcript:Dexi2A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTFFFFFLLLLLLLPYASTAAAATSSSTTLENECERYAAGGKGSYDYCLWKLRRDNASSSAAVDARGLAAIAARMARSTAKATRERIIAGMTMIQSANETTTVSPTAAARRDCLAACAAEYAAAVRRLGHAARDAGGGGGGGGGGIQRAQTLLAVVAGTPARCEATFAAAGWSGLRA >Dexi3A01G0036160.1:cds pep primary_assembly:Fonio_CM05836:3A:41589364:41590191:-1 gene:Dexi3A01G0036160 transcript:Dexi3A01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPAPPPPHHGLRNVALSSLLPKLAKLLQDEYKLQKAARKGIEFLHKELETMHAVLCKVGDVPREQLDELQRIWARDVREVSYDMEDIVDTFIVDIEDINDIMERAKQLAERRDRYKVDDIALAKKIPVDPRLKALYMDASEIIGIEEAKEEVITMLKRE >Dexi2B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:2B:1823785:1824711:-1 gene:Dexi2B01G0002280 transcript:Dexi2B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLGKPRSEIASNIAELKVRAQLIAERRIRYGVQNPENYNGKGQPDAPAYDIGEDQLARRELTLSEPVGVTTAMVQLEQWVKETNKEGRAVLSIVGFGGVGKTTLAMALYNKVMKDFDCRAWVTVSQNYDQGTVLSDILKQINPDHKQQDGGGISDKMNSGAVCIRSKLMQALLLRRGHMKEGSASKSSNMAESTGYTKLESAVKTHLEGKR >Dexi5B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:5B:22984218:22986916:1 gene:Dexi5B01G0020780 transcript:Dexi5B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVEPEAAEPPAPAAGAGADAEKDESPAPAAGLLMGAKVRRRAALYRDCKGDYIGIPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISNLSDNFFSIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEYEPEVASSNRFEYHAAAEVIKVVEFEDVDGMFSVDITQTQCRCYALPLSHLPTWLHGTS >Dexi8B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:8B:9070084:9072423:1 gene:Dexi8B01G0007490 transcript:Dexi8B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGCPFCTQISFFILAALLVGNLQIPAAVTQVVLSTLRLRRLCGAHAHHDYYLQPQVYNQNLVPSITVFYTLAFCEGAFYIMACILGVFSFFPCRSLLLRSGFTGQWGSKAVDLYYRRVYTTRMETGVLAAGSMMTLDTFAMESLKSSSQEVRLIGVRVLDSLLQESDSSKMLISRITMSSEAMSALISMLGGTEVQDEGGMRLFAARVTANIAGHLRIAEAPAGMVKSVSSLLDAEKLSLTSTRNGENNAGNHHTYGTSAKERNRGLSWVCHCWQRTKDKWSVPDEPPLTQQDSFTVMGMVILRRLAHDPDNCVEIVKATTLISKIIGLITYTTDNHNSVILCSSLHLVRRLVSTGGKIGVTIRQELSDSPFLIDNLVGICEDSRSSPEVLMLAMDIIAKLALEENARQEVGSKQVIICKLVHSFLGQDEANDQESLRMAAGEALVNLTIESPANCSAILEVLGCHLIKDLKDMLCEAEYRIYMCTAASLLQNLCAHSRDKLTSLPGAGEHLRSTLPAVMENIVSTEGKQLETWIGLASQICSISECFIFDLESQSNNVGARVVRKLMGTLSSNMKPRCQYPRMRRVIVEMVISFVTSYPGYQTMLRDEGVMETLSKVAMTPSKVEKYRVFSGVDGVVLECGLPLRDLVDRAKGLIGHATPYPGS >Dexi2A01G0022460.1:cds pep primary_assembly:Fonio_CM05836:2A:34333464:34336911:1 gene:Dexi2A01G0022460 transcript:Dexi2A01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPKMAAPNSGAASGDSAHLRAGFAKLQGEKFEYYMQTYSITLGHNTEAYKVDFDLSELDEGKEGPHGSHLQARIFYDFECHHFALEVFGENGCSIQKVSDIDVNGCPIKVSYLPGSDPVKLNSQDLIEIAGKKFYFLLPKRSILATLAARETELEVSNSYRFNAGPARTQGESENDTNNPELLRREDKDADEDQRLLEEEKDVVSSLVTLISQLCSPGEWVAMEKLSSESKDLEVPATGRRLRLIN >Dexi5A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:5A:622729:623114:-1 gene:Dexi5A01G0000900 transcript:Dexi5A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPETENAPGLTTMNGDGASGVKQAAGIDKTRNFSVGKHVRSCICLPLQPSIPITEASTPPVVQSSWL >Dexi1A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:1A:4414253:4421486:1 gene:Dexi1A01G0005930 transcript:Dexi1A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMMTMRRAAALGARHMLAASSSRVVPIRHMSADVGAAMEKIRAAGLLKTQGLIAGQWVDAYDGKTIEVENPATGEVLASVSCMGSRETSDAIASAHSTFYSWSKLTANERSKALRKWYDLIIAHKEELALLMTLEQGKPMKEALGEVNYGASFLEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSADTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLQVGNGLEETTSQGPLINEAAVQKVEKFINDATSKGANVMVGGKRHSLGMTFYEPTVVGNVSNDMLLFREEVFGPVAPLIPFKTEEEAIHLANDTNAGLAAYIFTKSIPRSWRVSESLEYGLVGVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNMS >Dexi3B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:3B:8813179:8813436:1 gene:Dexi3B01G0012560 transcript:Dexi3B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRREEEEEDDDEEPSPPRAGVRGRHGAARLAVEQRWSRRREPHTRSDARPRGHRGAARLAEGTTHTQSKRNRRPRAVLEQGLE >Dexi5B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:5B:4063870:4065456:-1 gene:Dexi5B01G0006030 transcript:Dexi5B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVGFGLVLGSDGKRFRTRSSEVVRLGNTAVYLQYAHARICSIIQKADKDIEEMKTACADLFPHYLCDYLYSLSEAFSKFYASCQVVGSPEETSRLLLCHATAVVMRQCFNLLGITPVCKL >Dexi5B01G0036560.1:cds pep primary_assembly:Fonio_CM05836:5B:36234925:36235512:1 gene:Dexi5B01G0036560 transcript:Dexi5B01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQPGPGLPRRHHHVLHLHLDPRHHHHVHIHLCHHHHHFVDTAPPACLHQQQQHPAPVLFPNTNAGAVPWQLEPPPAAVGEGVGELDPEPGLLHAEAAEDEEDEEPVFVLTDEWAEFFAKSDAKRTLVKQQKKKNKGRK >Dexi6B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:6B:12704965:12707262:1 gene:Dexi6B01G0009040 transcript:Dexi6B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGGEDEEEVNDHPIEEVRNTVPITDDPSEPCLTFRTWILGMSSCVMLAFINEFFNYRSSQLSVGTVLVQIASLPIGRIMASMLPEQQIRVPLTGGSWSVSLNPGPFSLKEHCLITIFAGAGSSGVYALNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRTFLVDSAYMWWPINLVQVTLFRAMHEEVKRPKGGLTRLQFFIIVMICSFSYYLIPSYLFPSISTVSVLCLVYKDSVTVQQIGSGLKGLGVGSFGLDWNTVAGFLGNPLASTAFTIVNVIAGFAVSTYIVLPLLYWTNTYNAKRFPLVSPHVYDDAGGAYDTNRVLDPETFALNLKEYNAYSRINVSVLFAINYGIGFASLMSTLSHVALYHGKEIWDLCREVTAGKADGGKEQDVHTRIMKRNYKPVPQWWFHLMLVIVLTVSVLTCEGFGRQLQLPYWGLLLACAIAFSFTLPIGVISATTNMQPGLNVITELIIGYLYPGKPLANVVFKTYGFISMGQALAFVSDFKLGHYMKIPPRSMFFAQLAGTLTASTVHFATAWWLLTTVKSVDVPRR >Dexi2A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:2A:14860213:14865847:1 gene:Dexi2A01G0012720 transcript:Dexi2A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLTDSDFEEEIEFQDTGQLNPDTLNDLMENGEGSFIQVEECRLTDIAGVDDGATFPIQEDQNISSGHPQLQMLEDSTKEKFDATNIASYSNLSSEQHQDILSNSQFIETKCGVSVENIDLEQSNVIDVEEVTSLPMPGGDIVPLNEQVMGQLDTAMENTIVYNDISNTEPDMKHGTDFDNENECLYPLVLPSFYADPHIWLPPAPENKEDDLDTVFNNYDESESNSTGWARSTLDVNLSDRSKESHEDQLQKVMSEVMNGQFKILVSRFLAAEGFSLSDGGTDKNWLDIVASLSWDAALLVKPDANSGNAMDPGLYVKVKCIASGSCQQSEVINGLVFKKSAAHKQMRANVKHPKLLLLQGALGHSSTGLASINSMKQKYSCSSCGQSPEAHMYSYTHHNGTLTVLVKMLPLESSLSGKAQGKLWMWTRCLRCNAKPTHRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGFGSIVAMFIYSSVEIYSACKPPLTLEFNNRNKKDWLDLEVNNVLLKWKHLFTVIENGIQDLRTKYSTQAMREDIYERLFLEVTRMLKKEQYEVENMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPREYKTRFRAFMSQHFLSVPDA >DexiUA01G0019320.1:cds pep primary_assembly:Fonio_CM05836:UA:40332963:40336451:1 gene:DexiUA01G0019320 transcript:DexiUA01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDILACKLRSLRLHSALIPSPPSPSRSVPTSRRLRLRRRRHAPLHCSLTTSSSPSTSATTTTSEEESRSQDLSSLLSSSSDPSAAGAGNKKKRSGGSSGASSIPSGVRLEGISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEADGGTVVKAKENMKIAFLSQEFEVSASRTVREEFFSAFEEEMEVKRRLERVQAALEGATEDMDLMGRLLDELDLLQRRSQDVNLDMVDVKVQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKAIAVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAIKNLQFGFEDKTLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVLLGDHNVLPNYFEQNQAEALDLEKTVLDTVADAAEDWKLDDIKGLLGRCNFRDDMLDRKVKFLSGGEKARLAFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFIKQIVNRVIEVKDQTIQDYKGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKAARKKQKVQAFQQSKQKSKSLKNAKRWN >Dexi7A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:7A:20503701:20505296:1 gene:Dexi7A01G0009520 transcript:Dexi7A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRAFQFQLQAAEAAAAPAHAADEDKSEPPIPMPLQLEIVVAQAAADPPPPPPGQGSGVAPAGVVIKCPECPKKFTSEKAMFGHLRKHPERGYKGATRPTTSSAAAAVAGNKKRRKHAVAHKEADVSAMDMTVDAATTAGEKEAELSTKWPAVAAKRGHAAFVPTDEDQEAALILLEMASSSRSTTSETQQESVQQQVHAAPDAVPVHQTQQDVVQVQPMLLDHVAAGHHQITPEAEQIVRPPEIIVELSAESGTTPAAVNKELTNLEITTEAVLIVVPANKKPIVPSPGPNKQQTSRKRPAARLDLEQISAAAAASPAPPDDGGKSPVKMRRIPSPASNKKHECLICDKAFPTYQALGGHMSSHSKGKTTAGARHDDLAVAQAAMHNILARRYQQSAAAGSSVVVPAASTGGLGTGWGQDVHLQDVPPPSPTVAAPAAQSAPASPHACTECQMTFPSGQALGGHKRKHWFPEKYQAKAAAAEVAAASAVAEAAPAEIASPAPARATVAREFDLNELPDEEGEGESNQP >Dexi8A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:8A:4120863:4124110:-1 gene:Dexi8A01G0004690 transcript:Dexi8A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSENANAKAKLPQPVTAPAPSSGRPVSVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTAEEAPLKATDFGLSMFYKPETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDIDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLQLNLGELLNPSKT >Dexi8A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:8A:329033:333360:1 gene:Dexi8A01G0000470 transcript:Dexi8A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRSSWSQVVKNTRPANLSISTRNLRPEDLGSVIFGCTNNTIAECHSRQLFGLPRAHISYVRNIKEGLPLFLFNYDDRKMYGIYEAAGNGKFCPESNAWSNDGRGKTSYPAQVAMRIRVWCFPLAENQFRNAIIANYYQNSPTSVPGQKLHFFQFELDRAQTHVLMDMFTPSPPPNNFWMPPAAAPADDHVRELVPSPVWATDCEGNNGLKSEKAVKSYADMVKKNTFEEVGKGVVDAEHVISGNESSDGFDDLDCGYTPPEREEYAPSDNAVEMKQRYSDKQGQVLSFNRVLEGHAALPLHQLNPDSYANSTEPEDNNAYSCKCAQEVKRTILDGRSNLPETLDAEVNQLSLGHSNLLVQLLDSESCTEAKLIDVVKELSGRIELMEKKQAWSNKEVKHLQGVNERLLKRIVELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGFSFLPSLDSFSPSLDILTPLKPMAVGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWTKCPSLAHDKGSLAGVSVNGRIYAFGGGDGSQCFSDIEIFDPTHGKWIKNQPMLEKRFALAGVALNGAIYAVGGFNGVQYLSSAERLDPREPNWKMLPMMSAGKGCHTLAALNGKIFSIGGYDTGARAMVATVEVFEPRMPSWVMAEPMNYTRGYHSSAVLGGSIFTFGGVKGEGDAILDVVERYKEGCGWVTTGLKSIGKRCYCSAVVF >Dexi9B01G0034700.1:cds pep primary_assembly:Fonio_CM05836:9B:36613687:36617815:1 gene:Dexi9B01G0034700 transcript:Dexi9B01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSFLSLRLPTPSPSHATYSPSFSLPLLRQARGGAASSALVARAAPGAPSPLFNPRGDPFLSTLAAASPEDLAAAAGGERRGDDHLPFLEIFQNAKLMSSPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKAEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMSDMLSPDEWDKVAGVRRPDLM >Dexi3A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:3A:5498011:5499054:1 gene:Dexi3A01G0007890 transcript:Dexi3A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQSSQVLAFLLLLISCLVSTATSASTMQCHDEDQAALLAISDAMGNSPYHFASWTPDTFCCDWSDVDCDAATGRVVGLSVSGDGNLTSASIPDAIANLTSLRNLTLRHLPGLTGDIPNSLSRLADLAFLTISYTGVSGPVPSFLSELANLVSLDLSFNSLTGAIPASLADLTSLSTIDLSRNKLDGPIPATLLSKCSGGEVVELYLSKNNFSGGIPAEFAGVNFTRLDLSRNSLTGDASAVLGKGKPLQYLDLSRNDLHFSLTGVELPEEVSFVDLSHNAIRGRVPAQVASLSNLQLFNVSYNRLCGVVPTGGVMARFDAYSYQHNKCLCGTPLPACHRYGLF >Dexi2A01G0000470.1:cds pep primary_assembly:Fonio_CM05836:2A:249524:254155:1 gene:Dexi2A01G0000470 transcript:Dexi2A01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTEQVFNICQQKQQKQRPTYPPFSDTSGSSGSHKRFPPNPYQVQPRKIKLICNFGGTFLPRPSDGELRYVGGERHLLRINCDMSWHELTCKTTKLIRQDHKIKYHLPGEQLNMLISISSDDDLRNMIDECIVLEATRERLTMYLFSANDYEHSVHFLVTRLSDAEKEAQFIALINGLTRPTVASRMQSLGSTSTSDLDQMMLGIKEGRLPAGTEEEDSLCTKGKPSQRIAVEPPKASSGPLEKTLPTPNFLTQVAKKDKVHSSEGNLITSGRKITGVHSSPSVPSETIHGAKRGAGSDQAISRHQAELQQTTTIITEKGHQAAGPQDKISPRKELLGPLYNRNENMLSSKPNNNSPTPHTSRGTYEVTASLSSSSEKTVNEQTSSDNSKMKLGRHKSQEEDMFHSEHEPPMKNKNYQLQNKMGMPGHGPEYATPMQYHDDVCIIPNQHTLEKSVISNSRVKQQPAVPIMCTNTLKKDHPSELSSDSEEKILSNSFTSSDKTTVLKPHTLVRASSERQQERPSSPRPDEQSSMMIKSRSVGADRNSPQIIIPSEDVKDNTVLLISELEEHETKKSEQGLPKTVALGTDLTSNIQIISNEDLEDLREMGSGAFGTVFHGKWKGTDVAIKRIKNSCFMLPSHQADKLITEFWREAAIISKLHHPNILALYGVVNNGPGATLATVTEFMVNGSLKKVLIRKDKYLDWRKRIMIAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMGGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPASCHPEWKKLMEQCWSTEPERRPSFTEIASRLRAFLEANQREALT >Dexi6B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:6B:26274761:26275889:-1 gene:Dexi6B01G0019570 transcript:Dexi6B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGSGCGGGGGGGHHHGRGDHPHVSTASSTSDSAAAAAAAAAAAAYLYTTAPRGGGFQLWPHHHHHPVQEHHFYAPNIIRFATDDPTAAGGGSSSSRGRGSASASGAGGVTISCQDCGNQAKKDCAHMRCRTCCKSRGFDCPTHVKSTWVPAAKRRERQHQLTAGAAAEPSKRPRDGAQPSSTTATTTSSGGEQQQQQVVAVAGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTSVSIAGHVFKGILHDVGPDPSSAGAGGGFFRHAAAAEAAGSSPASTAAGGDGGGVAGPVSSSAVVMDPYPTPGPYGGAAHFFHGHPR >Dexi5B01G0029990.1:cds pep primary_assembly:Fonio_CM05836:5B:31045355:31046065:-1 gene:Dexi5B01G0029990 transcript:Dexi5B01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPPDADSQGFTCSALLMCLYLPGLSKKKPEVEASAATSEAVETSPAPVPASAANEPAEQGGTPAAYAPSRAASLEKSECASVYSGKNIVFDFVEEAEGYHQVELGRDLHGGYCPSPCFDLPVELIRAGERFGVVAGDDSHDAPVTAAFVFADDDGHRGGALHLQKMASCLAPGGEGEGEGELRSPHLVRFLSAASGRSSSVARPPVMVMPSRGAASQGMVVDGHCELGDGACV >Dexi3A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:3A:2839024:2844365:1 gene:Dexi3A01G0004340 transcript:Dexi3A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLLVLSRGGFFVPRHPRRSPALSPLPRSIPSTKRPGTFVSPSIRAFSGYSGMAAGSPEQQPQQRSLVVRETVELTEKEELIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQHFCEKVNEYSGLVGEKQKGICVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTMEVGTAEEDAYRRDLTINSLFFTINDNLVEDLTGRGIEDLKKGLIVTPLPAKDTFLDDPLRVLRAIRFAARFNFTLAEDLKEATSDERVKSELGSKISKERIGHEFDLMMSDKHPVKAMRDIRDLGLFYVVFSFPENPDPPVFDKCDWQCVSHIEAAWNLANSIGSSLFSSAKLQVPVSSYIIKQSLKLKTSDAETVANIHAASVKFAEFVLLLEHNVDVATLKENLEDEYLEIPTGSVKRVLAGLVLRDIKEFWRVALFISILSYPEAENAANILNKQDELQQRKEKYIKVERAITDLGLDEVWKLKPVLDGKSIMGVMQVMSGGPLIGKWQQRALKWQLAHPNGTVDECIEWIKQSQLKRQKVEIST >Dexi5A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:5A:6336474:6338505:-1 gene:Dexi5A01G0008500 transcript:Dexi5A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQHGVHQLGALHELLRGSSPRAALLFYALLLACPVILLIRRLAMSSSAARAREQLLSKLPSPPWRLPVIGHLHLVGALPHVSLRDLAAKHSRDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDHVFASRAYSPVADILFYGSSDVAFAPYGEHWRQVKKIATMHLLTNKKVRLVMAKIRKAAIAGMAVDLSDLLNSFTNNIMCHAVSGKFYREEGRNELFRELIEANSSLMGGFNLEDYFPDLVKLDMIKRMVCAKAQKVNKRWNELLDKLIDEHERRLASQRGDEESDFIDVLLSIQQEYKLTRDHIKAQLEIMFEAGTDTSFIVLEYGIIKLMQNPEVLTKLQTQVRMAIPKGKEMISEDDLNVNNVAFLKAVIKETLRLHAPAPLLVPHLCMADCDIEGYIIPSGTRVIINAWALARDPSQWERAEEFIPERFMEGGSAFATDYRGNDFVYLPFGTGRRICPGISFAISGIEIMLANLMYHFNWVLPQEYKDRGFDMSESFGVTVHRREKLLLVPILPQE >Dexi6B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:6B:26430381:26436971:-1 gene:Dexi6B01G0019810 transcript:Dexi6B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIPSWLSWNARSPPSVKRRERSKLAPSPPGSHGLMAATIYPKELLQLDRDVRYWRLEQRAMEPTVLSVGKSVLDGALRYATSAVAEEVSLQLGVRRDQAFIKDELEMMQAFLMAAHEERDEHRVVATWVKQVRDVAYDVEDCIQDFTVRLGDRYSWWLAPRVLIYRHRVSKQMKELRARVEDVSQRNARYQLIKGSSAASMANTAAGQPSSSMPSRATTMSAIDEERRQQNKAIFLLVRLISKTDEDLRVIAVWGASGVLDDNTPIVKMAYDYLKRNKKFECYAWVRIMHPFNPTEFLRHIIRQFYIDTLEDAATVQQESTPGAQDLRMLWMMKGDDLVNEFKKYVHTKSYMIVLCDISTVEEWEQIKACLPNNNRGSRLLVSTGVVEVASLCVGPGTVLPEHKQLSTNQTLYVFYDKVFDNITDLDEHYPELVEEAKLILKKCNGLPLAIATIGGFLKKQPKTHMEWTKLNVHISAEWETNPELGMIKNVLLKSYDGLPYHLKSCFLYLSIFPAGYKLMRDALEIDGIPNRHDVCTVCCCAMFPSLARRLDPRGVVLPTGIRKLKALDTLGVVNIANGKTILQDIRRLTQLRKLEVTGINKENCQEFCLTLSKLSCLASLSVSVVESDSHGCLDDVSSPPKKLLNLHLLGSVVELPEWTKELQNLVKLNLRSTRLSEFDASIQVIGGLPNLKILCLWQKSFQVEELCLNFQQVIFPSLKVLELALLDNLKSVEFGAGAMPKLEQLFFAGWQEKTDIVLFSGLASLQSFKEFFLYNGDYKEDFMEDVQAQLAKNPNGPVLKRY >Dexi3A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:3A:7385783:7386310:-1 gene:Dexi3A01G0010360 transcript:Dexi3A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEAEMSWNVLISPSQLDRKGLLLRKAIIVRLMEDVTNRKASKEHGYYIAVNQLKAISEGKVRELTGDVLFPVSFTCITLKPMKGEIMVGYVDRILKHGIFLKSGPVESIFLAEKSMSDYKYIAGENPVFMNDHSKLEKGTAVRFKVLGFRWMEADREFQLLATIACDFLGPL >Dexi8B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:8B:26350926:26356713:-1 gene:Dexi8B01G0015580 transcript:Dexi8B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPELEETVAAAVVEDVMRLHEDCGGGGGVGVGEMVGSWRNIDIAWRKAEEAAIRRYEAANWLRRIVGVVCARDLAEEPSEEEFRLGLRNGIILCNALNKVQPGAVPKVVEVPSDSSVPADGAALCAYQYFENVRNFGLGLQDLGLPTFEASDLEKGGQGVRVVDCVLALKSLSDAKQVGKQSPFKYGGIVKPLPGKYVIRKNSEPFMKTMVRSHSAELLRDGISLEQIGLDFSQEPTETTTSDSIRMLVQTVLSDKKPEEIPLVVESLLSKVINDFERRIASQNELATYNVKMDGDDRDSLNVKDDVNTVVTGPDLKGDIFTIKSGMEQLKSLYFEDLMKLGDHLRIVSHAASAYHKVLEENRNLYNQIQDLRGGQAKTLMFIHIAPEPDALSESISTLKFAERVATVELGAAKSNKEGGEVRELKEQIACLKAALARKDGDHESIRSTQSSPDIYKMRIGNASSASRHPTEDGNLEKESASGDWADHSQFGSSNSLPELGPDGSQDLSFYQRSSPEQQWSWSGSVATEDSDDFEVATSCSSEQDCVRPSSAPKGSGFANGSVTAARKTQPKGAKSSDNRGVNPTKRASPLQKKLNGASPMPIKKSGVDVRKTPNGKVNVKK >Dexi2A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:2A:29934832:29936010:-1 gene:Dexi2A01G0017960 transcript:Dexi2A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADSWGSSPASPIGFEGYEKRLEITFSDAPVFEDPCGRGLRALSREQIDSFLDLARCTIVSQLSNKNFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAGLSLPVLSVKYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGSLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTFEMCMTGLDVEKAAVFFKNSADGRCSSAKEMTKLSGISEIIPEMEICDFEFDPCGYSMNGVFGPAASTIHVTPEEGFSYASYEAMNFDPSSLVYSDVVKKVLAGFSPSDFSVAVTIFGGHGFAKSWAKSADIDSYMCDDLVEQELPGGGLLMYQSFTAVAPGTVSPRSTLEMDGWSSDGMETAVNSDEMCICWGEEKKAVKKDVVV >Dexi7B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:7B:12405107:12405316:1 gene:Dexi7B01G0005140 transcript:Dexi7B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHGQELVADDGGGGGGAVSPKDCREEALYHGPCIKAICAVTCLLQMRRGGHCPEGLVGPCSCFVCD >Dexi4B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:4B:20401501:20401863:1 gene:Dexi4B01G0017990 transcript:Dexi4B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPVRAWLVVAVLALACALLLLPQSSDAAAAAKTTTATATASAPAKAVSSGAAKPKCVHGAVNDKACRVGAVHDPENSEEEGFSVSVKAPAGAPDADSDDDYNDPDVPNDDLVVVGH >Dexi6B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:6B:21083296:21083727:-1 gene:Dexi6B01G0013400 transcript:Dexi6B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAADGDPPLDEDALVNTCGGDAVLLLLLVRDRLLVVAVPMLADLDVLELAGDDPSTSSRPGRESKLRRRAGAAVPRAPTTEVSSIHRVALKESRNRTFLIASRRLPRIDDRFLFLFIASSSSVDGQATETAMDGADELW >Dexi1B01G0026860.1:cds pep primary_assembly:Fonio_CM05836:1B:31702572:31703348:1 gene:Dexi1B01G0026860 transcript:Dexi1B01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVASRRVVPLVRALQKLLAAPSTASSLRQAAVAGGLRGYNTGVAQRRYEGGESEDDSVREYESRRGGRDYAAVPSLFSDVFRDPFSAPQSIGRLLSLVDDLAAAAPGRAGAMRRGWNAREDDEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEEGEDETVSPPRYSSRIELSPDVYRMDKIKAEMKNGVLKVVVPKVKEEQRKDVFQVNIE >Dexi1B01G0023660.1:cds pep primary_assembly:Fonio_CM05836:1B:29188223:29190170:1 gene:Dexi1B01G0023660 transcript:Dexi1B01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNRNLSRGEGRRLGNVALIAFMLGSLLLLSLVRARFSPIGKPGEAIEAEEQQAMRKGSVKMETLETADETAASAAEEEEEETQPKPTDNSGSSGGGVSGGSSTTLAAGDAATDHHLTPSKPVCYESSRRSDTCEAAGDVRVQGRLQTIQISPLEKEWKVKPYCRKHDAFALSHVKEWALQPLSGDAPRCTINSSATAFVLSTGGFTGNLFHDYTDVLIPAFITANRYAGEVQFLVSSFKSWWTNKYIQIFQQLSKHEVIDIDSDDEVRCYRSVVVGSTFHKELGVDASTAPLGSSMVDFRAMLRSAFGLERATATPSGDHWDIRRRPRLLIISRRSSRRFVNERAMVDMAMSLGFDVRVGDPEISTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPSADMEIHYLEYMIQLDETTLSEQYPKDDPVLKDPNSIHKQGWNALKTVYLDKQNVKPHLGRLKNTFQEALKLLPHGQNT >Dexi5B01G0032920.1:cds pep primary_assembly:Fonio_CM05836:5B:33436966:33437352:1 gene:Dexi5B01G0032920 transcript:Dexi5B01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPAKAGQHGEEEERGTAGTGTVPVKAEPGSGGGLINIKVQSQVAEDVFFRIKRDVKLRRLMDLYCGKHSLNPKAVKFLGPDGSHIRPMQTPNDVGLEDGDAIDLMLDQEGGGGEAPVHVSQSSA >Dexi4B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:4B:5023654:5025473:1 gene:Dexi4B01G0007140 transcript:Dexi4B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADFIPPQRRVKARHLWPESKKPRSAGAGGRKRTLEEEDFEADFAEFDVEPGESEDEVKPFAAPRSGIAAKDGLNITAAGLHGSAVTSQRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTYNSPEEAARAYDAEARRIRGKKAKVNFPDEAPVANIDAEEKPVINNMTNTIPDPFMQTQNMSFAPLVDSAASIQEPVVNMSSDQGSNSFSCSDFSLENGTRTSDITSVLAPVPTSIEVDESAFLQGTADSVLPPVTGNSSVDLAELEPYMNFLMDNSDESISSLLGNDGSQDVVSNMDLWSFDDMPMTGGFF >Dexi4B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:4B:18290540:18293395:1 gene:Dexi4B01G0016250 transcript:Dexi4B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASIAATAFFPGSPAPAPAAPKNGLAERPESLDVRGVAAKPGSSSNAVRAGKTRAHAAVPKVNGGGKSAVADGEHETMPSSVPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPRRPDMLTDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVSANGKNGMRRDWHIRDSMTGHTILKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFERSAIVDEDNRKLPKLPEDKSTTAAKYVRTGLTPRWADLDINQHVNNVKYIAWILESAPISILENHELASIVLDYKRECGRDSVLQSHTNVHTDCNSESGETILHCEHLLSLESGPTMVKARTMWRPKGTKAQETVVPSSL >Dexi4A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:4A:12293210:12297848:1 gene:Dexi4A01G0013170 transcript:Dexi4A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSCKPAYTETDMATHVAAGYCAATGTYSSHHPPLATVTASSFPEYLFPRLLQFPPDRPAFVDASTGTTLSIADLRTLSLKTATALSALGLRRGHVALLLAPNSLHFPVISLGILSLGAVLSTSNPLLTPDELADQARDSEPFLVLTTAGLAPKLCSLATSRVVLIDDLIAGIDEHDVWALDDSPVCHDDPALLFYSSGTTGKSKGVVSTHGNVIAAAAFLESVWRCRRDGEVDVYGCLLPMFHMFGFSAFVLGTPAMGTTAVLVPGRFSVERLMAAMEEHRVTRLLAVPPMVVHMAKIAAGEPSTSALTRRFCLREVVSSGAPLKLEHMARFRRCFPGVSLAQCYGLTETTGIVTMDDVPAPLQHDHDGGVELSMEPSPISISIGRLVPSTEAMIVDMESGEALPPNQVGELWIRGPSVMRGYLRREEATAAALVARTSEDGGRWLRTGDLCFVDSRGLVHVVDRIKELIKYKAYQVAPAELEDVLAAHPDIHDVAVAPYPDEEAGEIPVACVVRKPRSNQLQAQDVVSFVQNKVAPYKKVRRVVFVDCIARSPSGKILRAQLKSF >Dexi9B01G0034810.1:cds pep primary_assembly:Fonio_CM05836:9B:36676688:36677719:-1 gene:Dexi9B01G0034810 transcript:Dexi9B01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRINVAASLLLAVALLLPSSFCAAAEPIKTTPTQWSFHLPLPGGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSSLGWTTFAHSANYRKIPLCTASVVPSQDTESICGRPLGLQFFAKTGDLYIADAYLGLMTVGPNGGEAEVLATQADDGAAFHFVNGLDVDQATGDVYFTDSSATYPRRFNTEIMMNADATGRLLRYDARTKQVTVLKAGLPYPNGVAVSSDRTHVVVAHTVPSQAFRYWLRGSKTGQYELMADLPGYPDNVRRDARGGYWVALNQEKARIDAAAAPVKHLVGVRLAADGTEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVVY >Dexi5A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:5A:4128714:4130096:-1 gene:Dexi5A01G0005560 transcript:Dexi5A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQSEYQALP >Dexi9A01G0045990.1:cds pep primary_assembly:Fonio_CM05836:9A:49415510:49416730:1 gene:Dexi9A01G0045990 transcript:Dexi9A01G0045990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRLAAVPAAALLLLLAVAAVGVAAKTTVQDVTEGSGAKEEESWTDWAKDKITEGLGLKHHDVDEEEAARKAGHTAKSARESAQHAASGEKNNTHSNSVQFNSIQFNSSCRAGADARRVYERLLAEVGKKAGDAKDAAGNAAEGASSKAGQAKDHAKETVKGAAGEASGKAGYAKEKAKEAADAASQRGAEAHERSKQGKAKVEETAKEKAGQGYETAKDMAGKAHETLRQTTDAAADKAGSAKDAAWETTAAAKDKAAAAKDAAADKASAAKDAAANKAGSAKDTAADKAGSAKDAAAEKAEAAKDAAWEKAEAAGKKAQESKEAAAEKAEKAKEAAWETVEAAKDKANEGYEKVKEKARETADTAKERMEEVKEKVAGPGADGKGKHRRADADKYKSVDEL >Dexi9A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:9A:1362786:1366840:1 gene:Dexi9A01G0002550 transcript:Dexi9A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGESAAAALCAPMKATSEGAWQGDSPLRFSLPLIILQVCLVLVLTRGLAFALRPLRQPRVIAEIIGGILLGPSALGRSKVFLNHVFPPKSLTVLDTFANIGLLFFLFLVGLELDPASLRRTGRRAFAIAVAGISLPFALGVGSSLALRAAIAPDAPRAPLVVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPFVSVYVLLSGVGFVLAAVFLVRPALVYMARRSPAGEPIKESFVCSTVAIVLAAGFATDAIGIHALFGAFVIGVLIPKDGGAYAGALTEKMEDLVSSLFLPLYFVSSGLKTNVATISGAKSWGFLVLVITTACAGKIGGTVAASLAMRVPAREALALGLLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALTTTFMTTPAVTAVYRPARRGASYKHRTVERGDGDSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARHNGLPFSSSRRGGGAGGEVVVAFEAFRRLSAVAVKPMTAISDLSTIHEDIVASAENKRAALVVLPFHKMLCHDGAMELAVDGAFRQVNARVLASAPCSVAVLVDRVLGGAAQVSAPDVSYAVLVLFFGGPDDREALAYAARMAEHPGIEVTVARFTAAMAKHNAGEAKDKEALQRYVTRALKSGDGSVKYEEVAAAERAEVTAAIKALGRGKNLVVAGRSPAMAEALVEKSDCAELGPVGSYLATPEFSTTASVLVVQRYNPRSSSSPAAGEGDVEEAVMPFPSSSSRPAAAECSESQGST >Dexi8B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:8B:23003855:23010830:1 gene:Dexi8B01G0013150 transcript:Dexi8B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSTATCIDRDGGLVRCSELAPPSTVAASDRRHQGAAGYLFVQMGVTYMTEMMGLLLHGFLIAQTGTFVGSVLACFMIMEIKRRKQKKFFDKNGGKILKNMGINIFTEGQLKKITNRYSTPIGEGAFGKVFMGITDAAQRVAVKRSYAKGEALRQEDFVNEITVQFRISHSNLVRLVGCCLETDIPMLVFEFIPNGSLYNVLHGTNRQNVLPLQVRLDIAIGSAEAIAYMHSQCGHVHGDVKSGNILLDEDLTPKVSDFGSSKLVSIATDANWSVAGDMNYIDPVYIKTGRFTEKSDVYSFGVVLLELITRKTAKYEGCRSLPIDFAMSCRDEGRRRGMYDRDILCGDDGRLHVYMECLDRVGELAVRCLKEDVEERPTMAEVVVELKQVKSTAYGSSCSQQASYATCTVKLSC >Dexi2A01G0025230.1:cds pep primary_assembly:Fonio_CM05836:2A:36928834:36934673:-1 gene:Dexi2A01G0025230 transcript:Dexi2A01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKRYVLRLFISLKYVTANVVDRQSGRVVTTASTAERALRDGLQECGRACNAKAAAAVGEELATRLKEAGHN >Dexi2B01G0027510.1:cds pep primary_assembly:Fonio_CM05836:2B:36421182:36422571:1 gene:Dexi2B01G0027510 transcript:Dexi2B01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDELVKGCPDFYELVDDNGMNLLHLAVGRNNVTVVRHICENVRFDPAAVAFQCLQWVGARCSLEVLYVNKDSESGDKEESDEEGYMMGNGTIASGLIAIVAFAAAVTVPGGFISDDNPHPGTAILAKRFAFRAFVVSDTMAFLSSIIATCFLIYGGAREVPPRHRETYKLLASGLVPLAVQFMIAAFAFGFHLVLGAANLGLIIFVYMVSSASVLFCFPGIWVPFCLGFQKAIWRRAGWRGILNLPKRPSGLLHVVCHLCYSPLIQVRRTVCAVLICVTFVVAIALEIALPNY >Dexi3A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:3A:9356560:9358105:-1 gene:Dexi3A01G0012840 transcript:Dexi3A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDDAPRGHHAAALAPNAVLNLELGDPTMYEAFWREVGDRAATVIPAWQAMSYSSDASALCWFLEPGFEREARRLHRVVGNAEDDGYHFVVGTGATQLYQAAMYALSSPATGDKPVPVVSPAPYYSSYPPQTDLQLSGYYRWAGDATTFAAGDDYECIELVCSPNNPDGAVRDAVVTSAGAKPIHDLVYYWPQYTPITRRAAHDIMLFTVSKITGHAGTRLGYVAMFVRWALVKDREVARKMVYFIDRSTIGVSKILGVVSDAYEISQASDGASNAVPRLFDFARRRMEERWRALRAAVASSGAFSLPDETTGYCSFNKRTVTDCPAFAWLRCEKEGMEDCAELLAGHKIVARGGEQFGGDARCVRINMLDRDRVFNMLVQRLSSIN >Dexi5B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:5B:4604199:4609291:-1 gene:Dexi5B01G0006880 transcript:Dexi5B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLELRGFQSSAMGILSLITGKAGASGFGSSSTAEQVTDGVDASRLTVVITGGASGIGLETSRVFALRGAHVIIAARNTEAASEARKTIMEKNPTARIDVLKLDLSSLKSVRAFVDQFNSMKLPLNILINNAGVMFCPFQLSKDGVETQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFEKLNDEKTYNDKMAYGQSKLANLLHAKELSRRLKEEGANVTANSVHPGLIMTNLMRHSFVLMKVLQVATYILWKNVPQGAATTCFVGLNPQLRGVTGKYFADCNVEKTSKAARSDELAKQLWDFSEELIKSAQ >Dexi4B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:4B:12141356:12144266:1 gene:Dexi4B01G0013490 transcript:Dexi4B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAPSPSSSSSSEENSNRNNDSKAPPPSSSSSDSGSRSPPNSNGWSSPPPSPSSESSKSKPPPDSEDSSSSPPSPSGRTPPSDSSSPPSSEPSPSPPPSPSSWSGGNSKSSPPPNPPSESSRNGGGNSNTSPPSERSSSSPPQRSERSLPSPPPPQSSSSNQAPPNPSGGPPSSSSSKESPPRSSPSPPHGSNDDQSSSQPFPRSPPPSSSSTSSPPPPPTNQSVVIVPVAVSSNNSPPGSVSIPPGASVGTLTSSNNLSSSQGNNNASTARSTSQASLGSSGVGTSHVAAAIAGAAITGLMFAMLAVFFITRRRRKRTDGIVYHNDGNNNLPSGEFGGSNPSGALYPGGFSYGPPATSGPDYYQSGSMDPPGSKQSSFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLADGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAAHHRMLIYEFVPNGTLEHHLHGRGMPVMDWPTRLKIAIGAAKGLAYLHEDCMTDENSVSEHIHVADFGLAKLSNDTNTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQARPMGEESLVEWARPVLVDAVETGDLDAVVDARLEGAYNRGQMIVIVEAAAACVRHSAPKRPRMVQVMRALDDEGSMSDLSNGVKVGQSRNFNDSGQQDAAIQQLRLTAFATEEYTGEFEQSGEYRGAYSETQPINRR >Dexi2B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:2B:10645084:10645733:-1 gene:Dexi2B01G0009920 transcript:Dexi2B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSRPRRSGEHRHRLRLRRLLLLLPLALLLVLLAHVPALLLRSANSLGRRCLPGATDHPLLLPRPGLSLAIVTLADEGAGTRRSFRGVLEATARNKRAYAAAHGYRLVALPASAVDPSRPPSWSKVLALRAHLRRHHWLFWNDADTLVTNPEIPLVSL >Dexi3A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:3A:4790280:4790762:1 gene:Dexi3A01G0007070 transcript:Dexi3A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAKVARLTTLALFSPSPSGPRPTNPRTRRAPPPISISMDPALVDPAHLQALMLACAHSCALRLTPAAPAEPVDLGKLRTALADSFVVVSVFCGARLLAGSGGGGEEGEGEEQRFLGLGLDLGLGRQGERQLVGFGRAVSDVGLTASVHDVVVIFSLL >Dexi7A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:7A:10745323:10746181:-1 gene:Dexi7A01G0002500 transcript:Dexi7A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVEIMATVFSRSAWRCVWYMVQNNLVHGWGMDLALRRCVEPAHEKIGVVDAQWIVHQAIPSLGNQGEAVNGRTPWKGVNKRCNLEWGMFRTRLADAEKAYYLEKGITPPNSTR >Dexi3A01G0036330.1:cds pep primary_assembly:Fonio_CM05836:3A:41744991:41745733:1 gene:Dexi3A01G0036330 transcript:Dexi3A01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATAGLGTLLPKLAELLKEEYNLQAGARKGIRFLQEELERTQAALRELGKVPLEELNELVRLWARDIRDVSYDMEDIVDTFVVRVQGAGPPSKRSTKRFINKMNSILTEAKARRDVAKEIQVIKERVREVADRRDRFKLDITTAKKTTVDPRITALYAKVTELVGIGEAKNEVIMRLTKGYNADKEERID >Dexi9A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:9A:14130301:14132336:-1 gene:Dexi9A01G0019170 transcript:Dexi9A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVQRNGHVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSVSTRELALVPRPEVSGNDTEVTSKLRDGSSSRDGVKGARNCAKGRGSGRVAAVSEDHLLSNSGTDGPLVIPGVLLKDVVSDLPVHLLGYGHISYRLCEGIKNCKKISKIWCAWVGQEGSHGSETCNAYEHSGFAIVNFSYTHDLGRKWSSDEQDLPISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQSSSPQGSSQAIVTCSPTGTSHNLQVGLLSSKSVRRELRKQKRMAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSSGAYHLFHTSCLLHWTILCQYEMLIDQLARKGKSNRGRKAKNAPKKSKITSIFCPECQGTGIHVKGDELENPSISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHLENSEEKVMPLKSIPFFTADG >Dexi9B01G0021570.1:cds pep primary_assembly:Fonio_CM05836:9B:16262858:16265463:-1 gene:Dexi9B01G0021570 transcript:Dexi9B01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREIKICSPEMEQDGVFWVPMQQAEQGWDDFDLEINFASGTACRFQLEPFSPTEVVDGRARPPWSPAAVVRESGARAHRVGEDALKPSPVRQGWIQGGGEAGVEGDARRGVVWLPSGQRAGLSVAYGMSEKWSKGNTQEKDISMGQVAKFGESLPGQEKGTKITLLQLEPPLVEEIRKVAAKHPYPKQRHS >Dexi6A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:6A:22977605:22979578:1 gene:Dexi6A01G0015490 transcript:Dexi6A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVEAAMAPPPPASACSSSSIGRDSDECPPQGKEEEGEVQSAFMGGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAITSSGSAKDISKADNSYSRKRKNLLAYNIMYGNSHDTAAAQVYETTPPKRLASLSRNSLATLASSSSRSSSSISIAENELPEQLHAPLSPDDFISTPRSGTRAPDESSAPMRSLSMMDLHRLHRSSSSVRLKDKED >Dexi9B01G0045650.1:cds pep primary_assembly:Fonio_CM05836:9B:45082012:45082224:1 gene:Dexi9B01G0045650 transcript:Dexi9B01G0045650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTATMAKAVLLAVVLMQCCNAILAARPLLGVAAPVAGSDGGWPAMIMQMLKGGSSAPPTHGNPNGGQ >Dexi9B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:9B:7587148:7592983:1 gene:Dexi9B01G0011660 transcript:Dexi9B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKELEAKIKGVLMKEVKLEQAECSLDERVYCNKCRTSIVDFHRSCECCFYDLRLGCCWEIRKGEMSGEEVKSVWYEDGGRDYVFGSINLRKHKESPNSMATSEDPNTPLLLWKAKNDGSIPCPPKELGGCGGPFLNLKCLFPEKLLSELEERADRIVRSEIFAKAVEKEVMTYLESTTVVTGQWVHVVKKASGMDMQMKRKDQVNILTHTAEVAYNTYQLQMMEKTRKKMREQDLNELYGGLESGTDHGLSPSADFRDGACEDISDGTDINAVPIDDSKGVAKGQPSSHDSEVIHPIHDHSFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPYQVRNMKSCIKVAMGFVSPENLGECIKLSEEFRRLPCDHRAKEDKLEIKKIAIHALNAAINFLDCSCSEGGVRLETGEPMNKDEAWWPAPPKRLSQSPGVLVRCSELTADAAAVCALGRPALCFPSCQFLYGPPVTS >Dexi5B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:5B:5468628:5469080:-1 gene:Dexi5B01G0008150 transcript:Dexi5B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVFDPLSLDFWASADPLGVVRPLAEQCPVLTNVRVDWKETPTAHVFKADLPGVKKEAARVEVEGGDVLVISGERDAREELAGEGEAWRLAERSTGGRFRRRFRLPRGARLDQVQASMEDGVLTVTIPKDEAKKPQVKAVEISG >Dexi3A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:3A:15343901:15347724:-1 gene:Dexi3A01G0019560 transcript:Dexi3A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKQVAAEPPGPGAAGASGSGGKRRKGSGDAGPSSSSAAKRRRRAGVLRFVDDAAGVDEDYEEEDVLESEEEASDPDDGFFTGGEHVQNLSHKRTERSHPLPFLVKEEELSGDELEEFIRNRYSSGVKYAADRNYVREDDDDFPMDDALKEPTIWRVKCMVGRERQMAFCFMQKFVDLQKIGTKVPIITAFALDHVRGFVFVEAEKACDVTEACKGFCSVYASRITSVPAAEVPSLLSSRTKPFEISRGTWVRMKNGNYKGDLAQVVSDDDGRKRVMIKIIPRVDLHAISKKYGGAISLREAAVPAPRLISSQELEFFRPHIEMKRDRQTGEVYEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPINRASADDLDWVSSIYGPKKRNLPAERNITSSSKMKSSKTSKASTSTENLDDNDDFNLHDLVLFGRKDFGVIIAIEKDGFRILKGGPEGSAMTVRKQDIKKGCVDKMFTAVDRQKKIISINDIVNVLEGPFQVKR >Dexi5A01G0026180.1:cds pep primary_assembly:Fonio_CM05836:5A:29882573:29886461:1 gene:Dexi5A01G0026180 transcript:Dexi5A01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILNALRAANPALREFLRSQAPPVDAIVLDMFCVDALDVAAELAIPAYIFYPDMGKTALDFAGVPPIRAHDMPETMKDRESEVARVRMQQIARMPEAAGILVNSFEWLESRALNAMREGHCLPGRTTPRIYCVGPLVDGGGAGENGERHACLEWLDRQPTRSVVFLCFGSLGTFSAAQLKETARGLERSGHRFLWAVRSSPKEQGKSNSPEPELGALLPDGFLERTKDRGLVLKNWAPQTDVLRHEAVGAFVTHCGWNSALEGVVSGVPMICWPLYAEQRLNKVHMVEEMKVGVAVDGYDEELVTAAEVEAKADPDADPFITLIADLRATNPELVAFVRSLPSVKALVLDFFCGCALDAAEELGLPAYLFFTSGASALAAYLHISVMRPDVPFGDMGRSLLHFPGVHPVPASDLPEVLLLGPHNEQYKATIGLFQQLPKAKGILANTFEWLEPRAVKAIEEGSPRPGEPLPRLFCVGPLVGEERGGEGRKHECLTWLDAQPARSVVFVCFGSASSVPAEQLREIAAGLERSGHAFLWAVRAPVAPDADSTKRFEGRGEAALERLLPGGFLERTCGRGLVVATWAPQVEVLRHAATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRLNKVFVAEGMKLGAVMEGYDGDMVKAEEVEAKVRLVMESEQGKELRERTAAAKDMAAAAMEIGGSSTAAFIDFVNSLEISTHD >Dexi5A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:5A:1619153:1619503:1 gene:Dexi5A01G0002280 transcript:Dexi5A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEEGDVRTRAAALLKRLEKTTPEERTVALKFLTAALEAAKQYNAMEEEEVEEEYRRAGRLHAYDIDTEWKKRLARVARIYPPPKYMAKNIDGFMEILEEDEQDHPIFEESYLDA >Dexi6B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:6B:3486560:3488454:1 gene:Dexi6B01G0004290 transcript:Dexi6B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKVQIFRPLFSSYLEPEGRQKSTHIDRLNIDREVVGLCRSSLASCCGASISMEGWNGYRRETVSSSRSGTIVSGLSTAYTSRSSGSRFSTFSAEAAAMHFASLGIHEELDLGIHVELDLGIHEELDGDNMDDREEAEEEEEEEETREDSIRRLVKELFSAPSGNCSIKRDDDMSVVERWVIASPKDGSSSAKELANEARSWIRRIENEMTILLAAYEDKLGEAIRSTMEKIRMMSMEDGNDSPIPLNPQGSLDIHKESQHFYYHGLSDQIEGHVERYLQVSWESLLSCLFNPTPLCLGKISSPLSKFESKFQKIYTTQKEWKVPDPLLRSKLRQAIIDKIIPRYKKYVDDSTVFLESTEDNEVTDPKIKDNKVTDPKINPQELEAMLQELFEG >Dexi2B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:2B:24916949:24921201:1 gene:Dexi2B01G0014970 transcript:Dexi2B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAPMAATPPTPAPPRILLAGDAHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPEGDAEGAPGDVAEYLEGRAAVPIPTYFIGDYGPAAPRLLSKAAAGARGFAPGGIEICPNLFWLRGSNGFTLHGLSVVYLSGKKGPGGPGCYSQDDVDALRALAEEPGIVDLYHIAGTKGVFYSREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADIHAKPPNATLSPYAAPAKSVPMEEASKRPADNTDGQYWRYDVKRQRQGEAEGGRLCFKFTSSGSCPRGSKCHYRHDEEAVEHYQRNVCFDFLNKGKCERGPECKFAHSLSGDTSVGDAKPRSERRRVESSCWFCLSSPDVESHLVISIGEAYYCALAKGPLVPNHVLMIPVEHCPSTLMMPPEAEVELGRYKNALVKYFEKQGKTAVFFEWVSQHSRHANLQAVPVPFSKADAVNKIFHLAAKRLGFEFSVVNPDGAKAARESLISQSESKSSIFYVELPEGKILLRMIDGNEKFPAQFGREVLAGLLSMAERADWRNCKLTKEEEIKMVDDFKQDFHEFDPAE >Dexi3B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:3B:14004537:14005174:-1 gene:Dexi3B01G0018850 transcript:Dexi3B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGLEYLHKACSPAFVHRDVKTSNILLNANLEAKIADFGLLKAFRREGDTHLTEKSDVYSFGVVLLEVLTGQPPILRCPEPTNVVQWVRQRLARGEDIGDVVDARLRGGYDANAAWKATDVALKCTEQVPTQRPTMTDVVAQLHECLELEEGR >Dexi8B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:8B:20085474:20085893:1 gene:Dexi8B01G0011210 transcript:Dexi8B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTKLVVFGFTLALLVASYGVTAVCEERTGIMCTSDARCQSICLQKKGLKSYTGGYCSAVYVVDGHASCVCRKTCGPPSANESPVEKVATEVQREMGNDLN >Dexi9A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:9A:15419814:15426336:-1 gene:Dexi9A01G0020400 transcript:Dexi9A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSAGRCGGATSSHNGQRSRGTTTARGEGKKGDVAPPVNEGLMLLPVVLPDAVGPRALGRALSWTRCGRRAHATAAATTTSRREDFQFVCWVDSGDRSLGGADADPDVDFSAPLCPGANVSFVPGAAVASPINGGRGRLLWWTVIAFGGRGGETTTTEEEDDAMKEAAGDERCLDPQLWHACAGGMVQMPPVRSRVYYFPQGHAEHAHGGGAAELAAAAGARGLPPLVLCCVAGVRFLADPETDEVFAKIRLVPVAPGEAADFRDPDELGGDPADAREKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVSCGGMECMSGWNAPGYGAFSAFLKDEENKMMKGPGGYMRGRGKVKITDVVETASLAASGQPFEVVYYPRASTPEFVVKAASVQNAMRNQWCPGMRFKMAFETEDSSRISWFMGTIASVQVADPIRWSNSPWRLLQVTWDEPDLLQNVKCVNPWLVELVSSIPPIHLGPFSPPRKKFRVPQHPDFPFDGQLLNPIFHGNPLGPSNSPICCFPDNAPAGIQGARHAQFGLPLTDHQLNKLHLGLFQGGGFNRLDAITPQSRVSKGFVISSAPIKESVSCLLTIGTPQGTETSDDKKKPHIMLFGKPILTEQQMNSGGSRETFSPEVTGNGSSDGNVQKTGNVSDGSGSSICIGFSSQGHEASELGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGQLADMFGIEKAEIMSHLRYRDAAGAVKHTGEEPFSDFMKVARRLTIIEGAEDRLQKPLIGYMVERA >Dexi9B01G0037520.1:cds pep primary_assembly:Fonio_CM05836:9B:38907596:38910062:-1 gene:Dexi9B01G0037520 transcript:Dexi9B01G0037520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVALLRSKLHGLIERNRALEEENKQLRHQVSRLKVQGSSLEVQDTDKRMLWKKLENSPTSISYSKEKQFVQSNDDAKEAVDLNNSLCHIRQQFSRATLVRSRAPRVPNPPPSPTCIQPRTTVRKEGCMAPPPPPPPPLPSKLQRSSKAIQRVPEVVELYRSLVRREGKSDARSGSVGIPAATNSREMIGEIENRSAYVLAIKSDVENQSDFVNFLASEVQNAAYREIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERVRDSASARYRDLKIPWGWMLDSGIINQLKMASLKLAKEYMNRIVNTLKSDPFANDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE >Dexi3A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:3A:15466961:15471199:1 gene:Dexi3A01G0019600 transcript:Dexi3A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRPLPNKAPYPSSPFRHDPSAVRSARPARTTQHPTLARHSTAAPPSNRFFSVRALAMSAAVGGAEFHGFRGAAAQLPRSRMLGRPVRVAPPAAAPAGGGASSGGIRAVSTPVKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINESAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDILFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTAAGDNSVDILTNDIGVVVVSDDAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEAEKYYGKKFESFRQLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITTALAQAGLLEPKDVDPLNLTAMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMNKVNLDDIEKVLEPLFSYWNSTRQEGEAEKGYVNVDTN >Dexi5B01G0025800.1:cds pep primary_assembly:Fonio_CM05836:5B:27730499:27737379:1 gene:Dexi5B01G0025800 transcript:Dexi5B01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIPVVGTSCPCELDAGGAAVEDAYGDGRAAEEQTITPWTQTVGSHFSVSSSLHLVHRHPLRAAGRAGGPRVGSPSPSAGARGDPLVRRPYAPFAPASSRGYNLMRDPRYNKGLAFTETERETHYLRGLLPPAIISQELQERKIMNNIRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFTRSQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQCLPITLDVGTNNEQLLNDEFYIGLRQRRATGQEYADFLQEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGLIAAQKLLGGTLADHTFLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVNSRKESLQHFKKPWAHEHETVGNLLDAVNAIKPTVLIGTSGKGQTFTKDVIEAISSFNERPIILALSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVSEENFENGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPIYRNYR >DexiUA01G0027590.1:cds pep primary_assembly:Fonio_CM05836:UA:58868614:58869397:-1 gene:DexiUA01G0027590 transcript:DexiUA01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMVPDCMRTQEGARCLGTKIGTFCAVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRRL >Dexi9A01G0022860.1:cds pep primary_assembly:Fonio_CM05836:9A:18024955:18025304:1 gene:Dexi9A01G0022860 transcript:Dexi9A01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLYVERNLLTFTSDPCHLILLDGTEGLDTLGAQELNHADPPELPPLVAVGSKSDIRATFVDALDCFCLQPRGEDGVMGLHDLLGGLTGGYDDRRNLSNLEEQ >Dexi4B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:4B:5380720:5381535:1 gene:Dexi4B01G0007520 transcript:Dexi4B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAMASSVPAIVVLALGAGALGGFDTFQLLFAYAGRKSPIVDIAICVFVIAVLTAQLLATVLLVRFVRKAPPPPTGARDEHPTDYVTGTTLLLSLAAALTVTACLVLVPGGAPVRVLVDFAPKCFPFVITASAGALLLPYAMPPLLRRVVANRGPWWDARGGAAPGAGSATTTTHHAAARFVVIVLTLVAAVCACCALVAVPLGGLDTPRRISHGGLFTNTLVAGVVAASAALVVGFYRGRARNAGPLFGGGDAVPAPATVTRTRGASAG >Dexi7B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:7B:16829473:16831975:-1 gene:Dexi7B01G0009160 transcript:Dexi7B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVADFMEVKGNELGLFAIFDGHLGDTVPAYLQKNLFSNILNEEEFWTHTDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGEPIQMSIDHDPNVERSAIENRGGFVSNMPGESSILLFFKHGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIKVENIDHTAELLVLASDGLWKVMNNQEVVDVAKRFKDPYAAAKQLTAEAIKRDSKDDISCIVVRFKA >Dexi1A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:1A:21923713:21928277:1 gene:Dexi1A01G0015050 transcript:Dexi1A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRFVFIASQTPPAPAMPAASAAAERLAAHWVADALAADETIDFSVIKALVGVSSEYLVGAPDATRERVALRCLQELATYAVAEEDGEAAAAAEQSGMLKVDAARSCEEVLVELTGKVGSSRSFDQDKILPFRQDIQNFISIKKPTLPEMSLELLREVDPEIQSVASPSPVDQNGIKKHENNKPLCNANHLYSNAEEPRLPPVSAKLQPENLTNVVIETEIGNFQRCPIEPTVDLDRPCASDGIIYNQPQEDAINAASVGARSPEKNLSNVDSISDIAVSAGPASASCNTTLQENIEAPLSEKDAVDKTTVVQSQHCKGDSRNPNDDGTSDQSLKDPSHESQSVQVTIAPAFHRSNVVLPTNASEANHLVNQDLDGSASNPHIEKDPIHEELTLRAASKPSVTCKDAMQEDKSGTNHPSCNTTEHTRMFEEEIGDKSQLESSCANKNNHTLYDDATMLEKNKVCGGLNVQTASESRSCNVTLYDKISEANSLSEQIMGTNTSEVQKRTCSISVPNSAQDGDITRAKQDSNKATAGETVAETSHVHTLDGSFSGFAAARLLSMTGKLPFYSHDQEAMDSLGVTQEQDLCIKCGKDGQLLQCSSCLLSAHDSCFGSSVTFEDSGQFYCPLCICTKATEAYQKAKKTYIEARKNLAAFLGTEQLLKQHDEQQTGVLPRAANSEGQLNGHNSSKRQKSSGQTEADDLSHQGEKSSKRQKSSSRTEAGDPAHQGEKSDGQSKKQKINVTSDAFDEVVIQNTSQHMPSPSRKRKYACPPKR >Dexi3B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:3B:11714887:11721216:-1 gene:Dexi3B01G0016140 transcript:Dexi3B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTNHVYLSKPNLAFDGHSKAAAANRRTSLAAAAAAAQLALPNAKPELTRAAIADDDELKAGRLPFQSQARNRTRCGDADFRAREKGKTLEFEMELGEPPVEAEGFIAALWMNAGIVAAGSWTAGGDRIRLAFVPREIPGHHAKSSTSSPNPNPIHTKSRKTAMAGGEGSSRSKGAKRKAEAMKEVMTTEKAAPTGDMPSIEDPISDWPTSNLKEKYIKILESDGFLGAQGISQWSLPPLDPTNTEEASLLARCVDPGVRDQVRQRKQPATEECDEPHAHVEQQVLEEPQGKTGATSKRGEEAKRPATTELQAPVPKRARTLTKPRARVIPEERAKVSPQFKTPSSVGIAIGEIGTSTPQPSPTAQQPLSEAEILHNIFNPETEEEFTLGEPEIPMRPSTM >Dexi8A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:8A:23297467:23298254:-1 gene:Dexi8A01G0013300 transcript:Dexi8A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHEAPPAVISHPAHPAHMLTLVTITTAAGDPLFRCDGCKELGSGTGRRYRCAAGDEGCDFDLHTCCALASPTLKNHPLLGDDLAFTLLPDGAPPAAGDAAACVACGGATEGLVYYHWSVDSKKKDLYLHPCCAAMRTESLCAEAKLRCAVCGEKKEHGHHFFSPGRKLWAYRWCYGGEEGYLHVGCMKKIAVLSWEQDCDVDGGAAVMEASVPIMKGLLLRRREKESERFSNGIEIGNSIAEAVSAAATSS >Dexi5B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:5B:6061992:6063094:-1 gene:Dexi5B01G0008970 transcript:Dexi5B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKETTNAGNNTGKREGEAREQVDSSAKRLKQCSIEADAFCCDVCSKPLTPPIFQCTEGHFCCSSCNDKLPTKEKTFFSKDKKCTLGSACTGTLARSLGMERAVRSILVDCRHAEHGCTEKVAYCDSVEHELRCRHEPWRCPEPGCLFAGRSEAELLEHFTGHHKWPSVAFRNWVPFDLRVAEPGTRVLRCENDGELFLVSVQAAEPGGGLVVSLVSVRYVKPNEVGCSVSFSCNSRHHSTATQDGVRPWWHSGWPPTEHVCFVPKVVSDDGPDGGDAGVVLKITINSVFDEGEDSDDSTYDDCGDEYEDDDEDVNDS >Dexi6A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:6A:9153736:9155381:-1 gene:Dexi6A01G0008610 transcript:Dexi6A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILETSPFLQDDCLIIECDVTVIKAIRVEGITITSGFEVQVPPSDLSENLGRFHDSKELADVTFKVKGEIVRAHKAVLAIRSPVFKAQLYGPMRGKKRTSINVEDMEPAVFKALLHFVYRDSLPAMDDLDRDENKEMIKHLLVAADRYGLERMKLLCESTLCKRLDVDSSKLEGYLRGDCLVIECDVTVIKETQVAETAATIVVQVPPPDLLDNLGKLLESEELADVRFKVKEEVVHAHRVMPAMRSPVFKAELYGPMRDRKRQHIIVEDMEPAAFKALLHFIYRDSLPDMDDLDRDENQEMIKHLLVAADRYGIERMKLVCESILCKRLDVECVADTLALADQYHCSKLKDACIGFINSSDRKDDVLTSQGYAHLKRTCPAVFIDIWEKAAKSCKI >Dexi9A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:9A:7392605:7394512:-1 gene:Dexi9A01G0011770 transcript:Dexi9A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQDAGGSGGDAGSSKDKMMAAAGAGAGAGEDDDDVDELLAALGYKVRSSEMADVAQKLEQLEMAMGIGGGVAVAGAAADDGFVSHLSTDTVQYNPSDLSSWVESMLSELNAPPPPLPPAPAPPAPRLASTSSTVTGGGYFDLPPAVDSSSSTYALKPIPSPTVAASAEPSTDSARDPKRMRTGGGSTSSSSSSSSSLDGGRTRSSVVEAPPPATQAPAAANGPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFTAAEALVKQIPMLASSQGGAMRKVAAYFGEALARRVYRFRPAPDNSLLDSAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDDTDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPDGEDKEDEPEVIAVNSVFELHRLLAQPGALEKVLGTVRAVRPKIITVVEQEAKHNSGSFLDRFTESLHYYSTMFDSLEGAGGGSGSGGQSADASPAAAAAAAAGGTDQVMSEVYLGRQICNVVACEGAERTERHECLEQWRSRMGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVDEKDGCLTLGWHSRPLIATSAWRLTAP >Dexi2A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:2A:320130:321680:1 gene:Dexi2A01G0000590 transcript:Dexi2A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAIRLRRSTPSHDAYTVYVYDRRVAALATARPFDARRWVTTTRWLHGSLHLGGRLVVGLGVQWTPTRAPLHGVPPCPAVLQLCVGHRCLVFHLAHADAVPEMLRRFLADPRVTFVGSGSANDRRMLWAHYGLRVARGLELRAFAGMGNASLEDMADRFLGYPGIYKPREVAMSAWHAPRLSPDQVQYACLDAYLAFRLGLVLCPAAQPPRQPVLLRPPAPPVQQRAPALVRQQGPPPAHQRAPAAVRQGPPPAQQRAPAPVRQAPPPVQQRAPLVFEVSPRAFSGGSVPAVVGVDTAITGSKVAARAASDVDGETDYDDYVDGTGTHGRLPIRVYASDSNDDNVDDSSDGFEHVRFGVFTDDEEDEDDGCLSYSGTGSLGAQDVNSNVDDDLAVEEGCNAEDDLEDEEGCNGDDLLDEEACDMMGGYHQEYTGTGILTDDNQMYGFGESIQCVPYANADDGMQEDCTEYLGHSEPVLDNGEGALAQDDRYDQEVDYSCDQDGEVEFDEFYLL >Dexi6B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:6B:3502447:3503647:-1 gene:Dexi6B01G0004310 transcript:Dexi6B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPHEPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGTMERGESSGMHENSMRRRSPVDDDLDEHPKHSRGEDMYQDSGEEYSE >Dexi3B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:3B:4842260:4845600:-1 gene:Dexi3B01G0006940 transcript:Dexi3B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWAAGRSSSASAMAGNGGAKPAAARGGKRVAFGDITNIFRGRGRSSSGSAATEAKLSSTKSVDVKDKGCQRNVNTERGSIRKPASDQFDWAVSHHDTVLQKENAFFPSVPIVVPMDASLPGLSEDSVSMEDAMSTSNSIESPDLEFLNDSDPSMAASLHCWAEDKLHISDNKEVAGLFACGSGAVLVRLLFNILNLHSLFIAAAFNWRKHISDLDSNYEDPQLCATLAYEICENLRESEARKMPSTNFMETTQTDISTTMRAMLIDWLVEVTEGYRLVPETLYLTVNYMDRYLSVKKINRNRLQLLGVSCLLIAAKYEEICPPQVEELCYVTDGSYTKEEVHGSFEMAVPTSKCFLRRFVHAAQVFDKGSTLHLEFLVNYICELSLLEYSLLCYLPSLVAASSVFLARFILKPTKNPWNSSLSYYTRYTPSDLHVQICSKELLPANNPHRVLPGCDQLEAKIITSSY >Dexi3B01G0031240.1:cds pep primary_assembly:Fonio_CM05836:3B:32134641:32135168:1 gene:Dexi3B01G0031240 transcript:Dexi3B01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGRGKPKGTKAVTRSPSAARDGGGAPVYLSAVLQYLASEVLELAGNAARDNKKTRIVSRHIQLAVRNDEELSKLLDVVVMAAGRVLPNIHQTLLPKKAGGKGKADIGSASQDF >Dexi2A01G0031910.1:cds pep primary_assembly:Fonio_CM05836:2A:42571412:42575493:-1 gene:Dexi2A01G0031910 transcript:Dexi2A01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGTAQEKAPSFLRSFASVFGHADATDVALMLLGLVGAMGDGMSTPVMLAITSRVFDDTGSSPDHLQQFGSKMNENVRNTLFLAAAYWIAAFLEAYCWTRTAERQSSRMRGRYLRAVLRQDVEYFDLNTGSTSSEAITTVSGDTLAVQDALSDKVPNLVMNATLFVSSYAVAFALLWRLTLVSLPSLLLLVVPGFLYGRVQIGLARRMREQFTLPGAIAGQALSSVRTVYSFVAERHTAARFSAALEESVRLGLRQGLAKGLAVGSAGIRIAIFAFNVWYGSRLVMYHGYKGGTVYIVSVIIVVGGGALGSALSNIKNLAEATSAAERIMEMIRRVPKIDSESSSGEVLDHVAGEVEFRNVEFSYPSRPKSPVLVSFSLRVPAGRTVALVGASGSGKSTVIALLERFYDPTAGEVTLDGVDIRRLRLKLLRAQMGLVSQEPALFATSIRENIKFGKEDATEEEIVASAKAANAHGFISQLPQGYDTQVGERGIQMSRGQKQRIAIARAILRSPKILLLDEATSALDTSSEHVVQEALELASMGRTTIVVAHRLSTIRKADMIVVMQYGEVKELGSHHELNENEDGLYSSLVRLQKTRDSVEMTEEVGKTESIDISQQSSIQSMSKGLCAARRSSSTCLIGDAKDSGNTKNPKLPVPSFRRLLMLNVPESKQALMGSFSAIVSGSIQPVFAYAMGSVIWIFFSTDHQEIKKETRTYALISVGLAVLTFLVRSLVGDRVSLVMHTISVVLTAYIMGLVIAWRSVTTDLAKGADAVASVFAVLDRETEIDPDSLDGYRPEKLKGEVDIRQVDFAYLSRPNVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPISGVVEIDGKDIKTYNLRALRQHIGLVSQEPTLFAGTIRGNIVYGTETASEEEI >Dexi2A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:2A:13771517:13771819:1 gene:Dexi2A01G0011860 transcript:Dexi2A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSFEVSIINFPSPKVYGETPIVVTKKRNYTVHDVEDLLTDISLTSMMAFDEEMRRQTGLNGQFKSIKLDKAGHGSILTDEGALNRVMQEILEANRISS >Dexi8B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:8B:27993323:27995110:1 gene:Dexi8B01G0016860 transcript:Dexi8B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVFQGLLPPAPRADADEASTSLHLSLISRLMEEEEDDDDVADLGADHPALLDTQQPFAQILFGAPFLPDQGPAFPGGGKEYSTDMFTAAFFKGVEEATKFLPTDTAGNPLLRTEEGSSSGRTCRDRHRGGGDDDDEVEAEAGRTTKVAAAESEETNAREVFDEMMLRGFDAFSERMEDLSICKDNESTNLDDKKARKRNRARRKRHVAKVVDLHTLLLHCAKAIIDDRHRAEELLRQINDHASPTGDATQRLAYCFAQGLEARLAGTGSQVYRSLTTNRTPLPEFLKAYQDFMATCCFRKVAFIFANKAIFDVAMGRSKLHIVDYGLHSGFQWPELLRLLGARDGGPPEVRITSIDLPQPGFRPANHMAELGHRLSNCARQLHVPLKFHAVVAQWHTVCIDDLNVEPDEVLVVNDLFNFRTLMDESVIIDSPSPRDVVLGNIAKMKPDIFIQGIVNGSYGTFFLSRFREALFHHSALFDMLDATMPRNSQLRLVLERDIFGWVALNAIACEGEDRVERGETYKQWQIRNRRAGLRQLPLNGESVKMVRDIVKNHYHKDFVIEEGQQWLLQGWKGRILFAHSMWVADGANSEC >Dexi9B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:9B:11790572:11801392:-1 gene:Dexi9B01G0017090 transcript:Dexi9B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKSMSTLPDEEKTKQPIAPSEEEEEDIEALAEPPDWLPDGWIMEVYRGNDGAINRWDPVAGPRQLGGRRARQEVRFIRVVSGVGYNFVIDAFDASGRPAKYDAVLADIVWQNRRDSQPFLMQYYTSPISGYTFNMTSEAWEYLFSQTDERMLESKESRAEKTIQQEHEWLPKGWVMEIRAGGENMDKMYKFYVYPKNALRLQTKEDVALYVNERKISNFDTSGECDTRSQDNLLAIVDFYPSGLPEGWVRELVFRKTKEGLIRRDPHESLRSRLIINETPFLKSTRSSRSKGTLLIEYDQSIKNSQDGDTSSGSDGLYEPEEKIKSRKAKGKEAISSRTTINRPTRRPVQLRIKDEVDTD >Dexi3A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:3A:16577973:16581961:1 gene:Dexi3A01G0020950 transcript:Dexi3A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRLSSKKTRSQPPPRSSDRRDAAIQELRRGAELADLLKKQVELIPEPNRRDAAVTNVGEISMAMESSLCILQSEMEHPSSPEVGVAAGCYSSDGGTGETNSGAVARARRVRHRRGRHGADFPIKEILTDAPENDRFHWRKYGEKNILNAEYPRLYYKCGYSDDHKCPAKKYVQQQSHNGTPFFLVTLIDEHTCETLFRDEPSSSSSNASQVLDFTKPSLSPPLMAPAHGLKKEEEDSMPVSMHSYSYDEYLSSSLPAISPDGDRVKFSPGPGW >Dexi4A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:4A:3518140:3518499:1 gene:Dexi4A01G0004980 transcript:Dexi4A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGARWYLYVQRRTHMWVGIDPTGGGGSADLGRGGGRSIQRIKKSCRQCVGCPGDLAAGALSGCRRAPGQRPLGAPPGSARHGIGMVGARLAGDVPPRRRVVGTPAHRGLVALPS >Dexi9B01G0040720.1:cds pep primary_assembly:Fonio_CM05836:9B:41227593:41229805:1 gene:Dexi9B01G0040720 transcript:Dexi9B01G0040720.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGRHWGAEEGPDLIPERERNPNPRRETAPFPRRGVPQQRSAAMAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVFKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDASEMGFDVIRGRAQ >DexiUA01G0018650.1:cds pep primary_assembly:Fonio_CM05836:UA:39415535:39417411:-1 gene:DexiUA01G0018650 transcript:DexiUA01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLTEASPPPILRDVIAHDDDDDDNFIAEEEDEDEDEDEEWDDISKRMSRLSMEGSDGGDADDEDGVEEEEEEVEDDDEFEVRSDLNGATYRGGAGRPWPPYDDDGRAPSSASLPGTPDRAAQAARSSPTWWPAGPSGGKEYASETEARWPPEGGRGGRRRSHHHHHRRERMMREVWLERAWRMRKQRRQMQEAAVPLVILGGGGGGGGSESPVGSRGGVAMDMEEVRACRDLGLDLPCDWTVEIPCHALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >Dexi7B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:7B:12739208:12739529:-1 gene:Dexi7B01G0005310 transcript:Dexi7B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLATADMCSSSSTGMNNMPLAGGARPAARRAVRPHGVPEMPRFQPRWRRRAPVRVAAVRAGASGVCYKSQSDQSARMFD >Dexi8A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:8A:3301843:3303615:-1 gene:Dexi8A01G0004210 transcript:Dexi8A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPVASLKSRSFSAATTREDKLARNLLLGPIKLNDHIKEARVEKLAADDAAGETPAVPEEVSEPDFATLSAEIDAFLDAHGAGETLPAVSEVTLDRFATAVEQEIAPSDCTDDKWVPEVAGEDPPLLASIKRIAAFASALTAGESKYTIGVHRVTGVLHRVMTFVEDEFHFLLEDPRIVKTAVVSVSGDSRDTPVKPMKRPPSFNHGAAAAEPDRCVVVTSSDGTGEPFPPDTVEKLRAMAEAMLAAGYETECREVFAVARRNALDASLQSMGYERSCIDDVVNMPGEALESEIATWIKAFRHVVEVDLPGERDLSRRVFSGSGSGDHHLGRAIFADLAHSTMLQMLGFTEAVVLTKRAAEGKLFMVLDMYECIRDVAVPAVDAFAAGDDAILADVKHELASVLSRLGESAAAIFCDVESSIREDARKQPLPGAVVNPVTRYMMNYLKYACEYKKTLEQIFMEHRRPENDDGEHAGGGCPFAAELMEVMKLLHGNMEAKSRMYEDPLVSSIFLMNNGRYMLRMVRGSPEIDAVAGEEWTRKLSADLGQYHKKYQRERWSRVLNLPITRIKQV >Dexi7A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:7A:28444377:28448219:-1 gene:Dexi7A01G0019160 transcript:Dexi7A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPANPLAVGSTELAIWTVRSGGTVANLLVPFASCDLSRPVAAVRTPPVAPPSACIIARNLRLSCVQLQRREGVDGDASEETTAEAALVPAMILWPGHLGLILAALLAAAVVAARLLLSSSDLHEHDARRTSRSKLNDNCFSNERGMATVAAQRAHGIRRRMEHRRRRWPSGAGDRRLRRGRDALFVWDSQSTPQDPRIIPEDRLIEASSHFI >Dexi8B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:8B:20729917:20734264:-1 gene:Dexi8B01G0011630 transcript:Dexi8B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVAVRLRAPAPALLAPAARPGSRLRATASGPRGGGGVRRRAVASEKPPAVAEKAGGGGGAEGEDCTYDAIVVGSGIGGLVAATQLAAKGARVLVLEKYLIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALQAVGRKMEVLPDPSTVHFHLPGDLSVLVHRKYEDFVNELISKFPHEKEGILKFYGICWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQKLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIATSLADGLVEKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKDKDLPEEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDDWNNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKEYEDKKEVVANEIIRRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGKFSFY >Dexi7A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:7A:302870:304223:1 gene:Dexi7A01G0000210 transcript:Dexi7A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQVMPILVTKNSPICIRPSSPTTPVTPATNNAAAVVALSSFDKCMPPVPITMLLVFDHPIVNPTETIKTSLSRALAHYPPIAGRLIGDVITCTDDGVTFVAASAAKSTLEEAMAVLPQTDLAIGYPNPRCHGGADEPLLLMQVTEFACGGFVVAVTWNHLLADAAGMAQFVHAVGELARGGGISVSPSVRLWDDVSLPGVPSSAIAAQRSTLDFDPLPLARLDVVVLSTLISRVKAAAGEFAGGEPPCTVFEAVAAVLWRCRVRAVMSSSAGDDSPAPLTIPCNVRKLAGAPVGYYGNCVVMLVVPAAAGVIANSDVGDLVRMIRSAKAKVVEGKEEAAPAVWYEALAVSSWRNMGMEATDMGGGAPVRVTWQPERTMVPACVVCPPRRDGREDGSVDVSSMFVREGHVDAFKDELARMIDDGSEE >Dexi5B01G0036800.1:cds pep primary_assembly:Fonio_CM05836:5B:36419316:36421438:1 gene:Dexi5B01G0036800 transcript:Dexi5B01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHDKDPGFPKKMFIASPTKAKAAPGKGGGGAALVGDAFGDLKSKAEAEQQRAGFGPNSPDSGSKDEVFFESRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMANIFQPGNLDKTKSPEPSPTGRRKLAELLQEAMHSDPEENTDVSKNEKQQLQSVATDGKVVSESTSSSACSTEPTPTTVARSRKEKTWYTGRCCLPSFVHSLSLDESERGHKMSSRPCAV >Dexi1A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:1A:22971691:22973754:1 gene:Dexi1A01G0015970 transcript:Dexi1A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding PILLEADGVRFGYSGNTGPKYWGSLSPNFTLCSKGINQSPIDIVKDEAVYNPQLEPLERDYTATNATIVDNVFNIALRYNDTSETVKVGGIKYKLKQLHWHSPSEHTMNGQRFAMELHMVHFTEEGNITVVAILYRYGKPDPFLFQIKDQLAELHAEGCKAEKGDPLPVGVVDMTELKQGADRYYRYVGSLSAPPCTENVIWNVLAEVREMTKEQAADLMAPLEGSYRHNSRPLQPLNGRVVELYDRSMKIRKMM >Dexi1B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:1B:25824522:25825628:1 gene:Dexi1B01G0019600 transcript:Dexi1B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPCDWPLIGVLNAPSANALSSSGPSQHYSTQTETHMQASMCLLVLQLPGGIAKPCHQCPTCCSAASSSRPGLPAGMPDGDMASRIPAAFRGHECSSPQSRVPALAPTRPTEEIIAMSAEADDASSTLIHPLWRPDQLAIGSLRRCLAPDPFSLYPTPIWLHGPWTLAPPAAAVAFPLLPRSRPRCRQWMKGYFGRRWRRAVPRAFVRLWFHALYGCRPERGAARLQGARREGNHYFRPPRSPSRLTGRLSQNVVPAHGGVGAAPQGRRVFSASRRRDVAVVSRRDVSPAHDTGET >Dexi2A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:2A:3074980:3078308:-1 gene:Dexi2A01G0003510 transcript:Dexi2A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTHQTHHLPALFAGHPLPSTSTKSFSLDPTNPPCRGEQPRVPAAAAPPLAGAMGNTCVGPSAPSDRHSFFNSVSLAVLWRPNAAAARAEPPSSPDPTPSTPSSTSSRAPDPVTISDSEHSPHSAAAAASAPNPNGKPKPKPKVKRVQSAGLLVGSVLRRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKQFACKSIAKRKLVTDEDVEDVRREIQIMHHLSGHPNVITIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPGEVFSDVVGSPYYVAPEVLMKDYGCKVDVWSAGVIIYILLSGVPPFWDETEQGIFDQVLKGELDFSSDPWPSISESAKDLVRKMLNRDPRNRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKSGLKRVGANLKDSEIARLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITHDELQTACEEFGIADAHLEDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGHGQMSFGLREALKLG >Dexi4B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:4B:681254:682925:1 gene:Dexi4B01G0001070 transcript:Dexi4B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPSQQQQPLPHSIKPAATPTHRHGRRGNPQSHNAVPASLRRAAPTDARGLRALIKSLCASSAAAGSSAAAVHGHAAKLGLDRERTVRNSLIALYLASGDRAAAGALFHVFPDGGRDVVSWTAMVTGHTRLGLADEAVDLFFAMMEEEDDDDLGVDAVAAAAGFAACAELKDLAVAMDAHGRVAAMGIALDTVAWNAMVDMYAKCGDVAAARRWFARMPATAGKTTVSWNTMISALSRAGEHGEALALFREMQLAGDVRPDDATLVAVLGACAHLGALDAGRWVHAYVARHHGRLAADGVIGNALIDMYAKCGHNIV >Dexi5B01G0034270.1:cds pep primary_assembly:Fonio_CM05836:5B:34505464:34505831:-1 gene:Dexi5B01G0034270 transcript:Dexi5B01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGRSLACFARNPLTASLNVPAAPPSPAAGKPAMSLASTPALALFSGRPFTTISPSAAVGSPQIWRLRRLPRRRLTGSELWVCGGGGCEIKRRKAMGSIPSRGSTICYARSLCTGSLE >Dexi4A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:4A:5793629:5795205:1 gene:Dexi4A01G0007690 transcript:Dexi4A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKVLNEVKPYLYMVLLMVGFSGMYIVSVASLKQGMSHFVLVVYRNIVATVVMAPMALLFERGVRPKMTPRIFVKVLGLALLEPVLDQNMYYLGNKLTSAGFASALVNILPAVTFIMALVLRIEKLRLRSLHSQAKILGTVCTVAGAVLMILYHGPVVPFPWSTSGHQHAGAAAATASQQSSASWLNGTAMIIGSCVCWAGFFVLQSNTLQSYPAEMSLTALICGVGSVMSGTVALVAERRDMSAWRIGFDTRLFTVVYSGVVCSAVAFYVQGLVTRARGPVFVTAFQPLCMIFTTIMGSTILKEETTLGSVIGAAIIVVGLYSLIWGKSQDHLTGDGKPGAAELPIVASDPTANGKQHVLGGHVTDVETPVAVTTKCTY >Dexi3A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:3A:6729682:6731088:-1 gene:Dexi3A01G0009610 transcript:Dexi3A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEAHHHHALPTSAAAADAADAAAAVSASPPATRLFTAGLVAAWYASNIGVLLLNKYLLSVYGFRFPVFLTACHMSACALLSALAHAAGPGSSSGAAPRRRSQAQLARVAVLGAVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVLAYAVAARREAWATYAALLPVVAGVVIATGGEPSFHLFGFIMCVGATAGRALKSVLQGILLSSEEEKMNSMDLLRYMAPVAIALLVPATLIMEREAFGVVVTLAREDPNFIWILLCNSSMAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFKNPVTVVGMLGYGITVMGVVLYGEAKKRSK >Dexi9A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:9A:14773502:14779974:1 gene:Dexi9A01G0019870 transcript:Dexi9A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADIVSKRIKGGCPLPEEMVQDILSRLPAKPLCLFRCVSRSFRAAISSRAFQDTHYQRSSGDRRRLFIRPPGVQEPFYACRLGGGGAPPAAAETIVSTRRLPQGSSIFPVSKSCRGLVLLKSTHCCTHYVWNPSTGEMSALPDKIPVRARLSWRFVPYGLCYCPATQRHKVVRMYDACGSNGYGVTPATICEVFTLSESAYWRPAATQPPPCHPRENWRQGGVFCNGNLHFLGHSRNLQRWSKFELTELGGSLCVYSIVAQEEWRSHHESKHLAVHVWLLKDYDTAAAAKWEKLCSIGCGDAPQVEHGLMLNSYWIAPLDMYYDSSNQKKIVFGTDPCNIFIVDPNNIGGTTKLAFSLNNVTTIGECRRVRGQPPSMGFFEESLAPVGALSDNAITFASPRMRAWSEVLSRLSARTARRLGQVCRGWRAVIKNEHFVAAHLYRANLSKRLHMVFFSDGMPYDFEPVEKYISSPAQDVPPPLIDSRCTIICSKPCHGLNVWSFANYDFVFNPAMNYFKALPPGNTRHWEDLVDTISFNDTDATMFAGRLGLGYDQGSSRHVLVRLAYRERNLTTRHYEMVCNMRYVKDMVWDEVDPPPRPIANMPPTRPTHVNGKLYWMVDTELGQRSPGLEIIELDVSTRKFEVLQGPPCGRDIGEHMSINELQGMVCVTCSHRTMGIIRIWELEDTAGIMWSIKYDIELEKFSPEFSR >Dexi2B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:2B:12920486:12937909:1 gene:Dexi2B01G0011330 transcript:Dexi2B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPPSHLFLSLLRFSSPSPSLFFPRLKPPFQLGFSGRAPRSRVFQKLRAAMRSPPAASAAVVAVVAVAAVLLSASAAANPAGGPHMADLSVLLPPRMTKPVEYRLIGGDGCFSWSWDHHDLISVKPEYNDSSRCSTSARLASIAPYNGRRETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEIATLRVHAFDDEENVFSSLVGLQFLWLLTPRLLDNSRHHLVHIPLKETHLSDCGGFCGDMNIRFELEDKNLGSDFFVVKGIEIGQEVVKAQLFEPQFDHVIDTITLTVAEAMSLEPPSPVLVTVGVLVKFKLKVFRQKVAQAKAFAEGFDAREIYIAEENELKLESSTMEFWSLSQVLKIVQEVNVCNKVQAFWDDRLENSNVIHLPWVPGVYQEVELKAVGGCGKTLDDYKLISSDEDVASVSDSRIVHAKKPGQAVIRVVSAFDFLNFDEIIVEVSIPSVLSILPVFPVEVPVGNQLHAAAELKTSNGHSFSRCDKFNAFIRWSLLSDTDSFHILSTAEAWSVEDIKHSAGSWGKNGNPCAWVSLNASATGRSTLVATFTVDSDSNIETLGPISLKAASKISAYYPLMVLQGGNGNQFGGYWFDLSGIHSRVENMDNNISKELYLVPGSAMDVFLFGGPEQWDKVVDFVETVDVIGELENHITSSVAVQKLSSGIYRVTCPSKVNYKLLFSRGNLIGKDHTVPAIAKSEFSVVCDFPSEITLIANENENRLDILEAASKADRGPDRLQESPVVISNGRNIRLAAVGIHGNGRFFANSSSLCLKWEAMGCDGLAVFDEAKSAEMLDDSAWERFLVLQNSTGVCTVRATVVGFSTKLVGQIHKEEYTFHSLTDAIQLQLVSSLRVTPEYVLLVFHPDAQENLIVTGGTCFLDASTNDTRVVQIVKHPGQSLCSQLILGAKGLGKAIITIQDVGLSPRATIHSLARVANVDWIQIIAEEHISLMEGSTKDFQILAGTQDGQTFGDSQFKYMGIELHLGDEILELVSPRDTVDEPKFSIKAAKTGITTLYLSVKGGPKTGVYIEYSSLNMEIAEVQNATGKLSAKAVGNSTVRAAVLANGGTLVCEAFGRVEVDIPVAMILNTQSDRLCVGCSMPIYPSLPKVSAGETKVSISITCDFLLPGTTRTVVSYNASKTILVVPDPPLALALPITWLFPPFYTTTSLLPRSANSLGEIDSLELESSVGYSLLRGSGRSGSVIQDASIIDGSKIRTGESNAVDCIQAKDHSTGRTEIASCLRVAEVAQARVAAAESSVQIAYLSVNDRVELDIKYADELGYVFHEAHGVAPVKIETNYPDVVSILMPRDFNGTHERFVLQARSYGTALIRLHVNYIPKKADFILVSVGAQMYPRDVILHSGQHLNFTIIGDSLDARGSGHWLSSNEKIVHVNRVTGEAHARGEGAAEVIFKGSNLKLQTTVTVLKVNKIVVDAPSETLTNAAGPSDGYKFFVRFSDSIEHSTGSSMSPIDVPFECKVDPSYVGYVEPWTDHAAKKSYCLFHPYPPAQLLHVKLNQNEGFLHILVHANLKEDPKVTGSAHALFVKGFYIKEPGKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSVNLVDTNENKGAPSRIIYRVEALKGQPFSDKVTIVLPATGQTEEIEISYDTGDKSEPSSSWGLTTFAVILTCIVAPVVTVALFMKSLERPSRQAPPRSAAASTPARAPAASPASMADPASPANGQLSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >Dexi6B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:6B:10519071:10523665:1 gene:Dexi6B01G0008360 transcript:Dexi6B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRHLRRFSQHHAERHSSASRLIRQQNALIMCSSTSRSLSMLRCSGEINRFASPGLELMRSMFSTVAADSVKDMGRGGPMVEYERRIASGELVDGDSFQLDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLIPQPSTHAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAEQGFYFIGKHYSTLLKQKLQSLIGDEEPSPQTVEVIMGRKLLVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPRFGSSNRTAAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKFSPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEARLQQQQPLQGVDSADVVPV >Dexi4A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:4A:4854111:4857875:-1 gene:Dexi4A01G0006760 transcript:Dexi4A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKRRLAKASLAYNCESKNCPHFKKLFPEYVEKYNQQQQLENTATEPEPRENPAAPTPSAVQQAPVVANKAQPVAEARREKNQKKAVPFWMVLIMFSVFGAVMALPLMQL >Dexi1B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:1B:1996810:1997040:1 gene:Dexi1B01G0002470 transcript:Dexi1B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKSERQCDVNNNRSNSGGDVTRCGGDDDEGGCRSEEFVDCTREGFFEPKGEKIGTRLRLNETCRCREMERLRA >Dexi9A01G0035600.1:cds pep primary_assembly:Fonio_CM05836:9A:40231965:40233583:-1 gene:Dexi9A01G0035600 transcript:Dexi9A01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEAVEEEEYGEVMAASDSEAEDVVVGQMPTVMVPKHIRKRSLKNKALSVTLDKKALRDFVTGFHKRKKKRRKEAQKVLQEKERKKRIEDRKRRKQEKEIAMYGRVLSSDDVGLENEDNDNDGEEIENDESLPEIKTYEDDATRITVTTSEITPEDDDIEPRTVGPMSVSYTNKNPSAVAKKNSSLGVKKKPQKRTFKNKSKTKKGDKKRGAVKGKRKGKGRK >Dexi7B01G0021670.1:cds pep primary_assembly:Fonio_CM05836:7B:26704382:26707041:1 gene:Dexi7B01G0021670 transcript:Dexi7B01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAETTPPSPSADDSGASSSSAAALVEIPDDADDDSAVVTRQFFPAPPAAAASGADNAPRPGWLRLSAAAAAPGPPAAGANAATAAGPAAAASKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGVEADINFSLEEYEDDMKQMGNLSKEEFVHVLRRQSTGYPRGSSKFRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEELEPAAAATGGGGGGGGDEHNLNLSLGSSAGSKRGSLDGTGAGDDETSDQRVPMTFDLDWQTAAARGTKAKFDANSKQAQMPPALQVGHHLPPFGPRHQQFLSNGGDPGTAGGLSLAIGGAGGGGHYWPPQLLQQQQQQRLLHGWGNGGGGTSWPPPPPPTNTAAAATAAAASSRFPPYVTTQGPSWVQTNSGFHHSLARPT >Dexi1A01G0031750.1:cds pep primary_assembly:Fonio_CM05836:1A:36586680:36588824:-1 gene:Dexi1A01G0031750 transcript:Dexi1A01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAFEPSPEMERFLCERLLDAEQPIAERFRALFSLRNLRGDAPRRALLQAARDPSNLLAHEAAFALGQMQDAEAIPALVAVLKDLSLHPIVRHEVVQETCELALRRIEEQKKANGVESTTASPFLSVDPALPAKHGLSVDQLRSRKHCAFGGVFEGS >Dexi5A01G0024680.1:cds pep primary_assembly:Fonio_CM05836:5A:28542504:28544917:-1 gene:Dexi5A01G0024680 transcript:Dexi5A01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEATKKKVEATVLEILRGSDMESVTEYKVRNAAANRLGIDLSAPDRKLFVRGVVEGYLTSLSSQEVEEEEEEEEEQKQKGGAGEEAKGDEDEEEEEEEEEEEEEEQEEGGARKREYDDQGDLILCRLSAKRRVTLSEFKGRTLVSIREFYLKDGKELPTSKGISMTVEQWEAFRNAVPAIEDAIKKLEDSD >Dexi2A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:2A:13370950:13376629:1 gene:Dexi2A01G0011440 transcript:Dexi2A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVRKSVPLRLLSRRPRNPFFLPPRSCPRRLPLPRAAMGSVAGDGARLAYPPARRDDSIVDNYHGVQIPDPYRWLEDPDSEETKEFVARQAELAEEVLAGCPDRENLRREVTRLFDHPRYGAPFRRGNKYFYFHNSGLQAQSVLYMQDDLDETAEVLLDPNTLSKDGTVALSTYSISEDGNYIAYGLSESGSDWVSIHVMNVTNKQPMPDKLSWVFVPSKDGTKIPMFVMSKKDINLDGSHPTLLYGYGGFNISLTPSFSVGRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISNGYTSSKRLCIEGGSNGGLLIAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLVKYVCF >Dexi8A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:8A:2112302:2112651:1 gene:Dexi8A01G0003060 transcript:Dexi8A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLPCARVLTNNDCLAHLDLAFKFDTLAGDVLGVVVQTYRSDYLNGFDVKGSIPTMGGESNFTTSGLFAADCAVAR >Dexi1A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:1A:26706581:26708828:1 gene:Dexi1A01G0019670 transcript:Dexi1A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTFTSFPQLPASLPGRSALRRFRSLSPPPPADRGSCQDSQAVFLICQPFCWDAMKAREPKKARGNVKWDEENLNDIESNKPEREKITEPKTPYHPMIDEDEGPVSPLQLSEESVDKSAHADAIKTALAEAVSSGKIFDRSSWESCDNEEAIKQGKAFEEQRKVHYDEYHKMKEMLQKGTMTDDADEDESEQDNRKE >Dexi4A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:4A:15733110:15744066:1 gene:Dexi4A01G0014130 transcript:Dexi4A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDGEAGSLPLEELVPLLRRSCGRPHAPLGGGLMGRHPFALYIARQQWPKKLGRIAEQGCRESGNTVQDRKQRQPSMEVEAPQAAAAAVAAALLKCRGDGGEARVEASGKAVSRSPSREAQELDGCPGTGGHRGQQEEEEEEEGEPLRRGLAAAQARARARRKAGHATPSPSWKLEPSPPLPEEAASASAEADTGAGRRGPPAASARQLGATLWEIQDVIRVAGAGRRIRHRGRRAPAVDEASADADRMLKFPLTFQPRSSGGFRAYVTASVEHGKLHEERCTSRQPLSPASYTSSVGACIKELMQERQRYHHEIDSLARQVTEDKMARRNKEQEKIKAALHSLQQELEDERRLRKHSETLHRKLGKELSETKSAFCKAVKALEKEKKTINLLEDLCDEFAKGIRKYEEEVRMLKQKHVKEYEHKFDKSVVHISEAWLDERMQMQKTDRREDLVGKTSITERLSSEIESFVHHAKGFINSKNDNIDICNEKRDASFCRQSLESVHLNGATSAPRLAEDDDGSSIASDLHCFELNMHGGAFKSHDLAVAGSPMSNAPTCSKKEKARVAVASRDVKVVRVRVAVTEADGGSPYPWVVAVSGGMEVGGQ >Dexi7A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:7A:28400032:28401845:-1 gene:Dexi7A01G0019090 transcript:Dexi7A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRVRRPDHVLQERHVDTDIKEDRATWRRGQSPAAASPQRHNTVLPCNRASAHTGPPDPKQQQHMVCPSASGSARTAPPAHTARARTQTRCSARPEVQCVAPAQKAYGKKVQFHFDKTKPLAALLACVRHRALRLLVVAAVGVRGTGRAAIGAFEYIVHAKFNLPVA >Dexi9B01G0038100.1:cds pep primary_assembly:Fonio_CM05836:9B:39280898:39287682:-1 gene:Dexi9B01G0038100 transcript:Dexi9B01G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGFDFEYKRARSRPGARDIVVPRATCTALSPVADGAEVWRRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHRWRDFLDRLAESANAPTTPSVSPYATARDGDAGGGQAEEHDGAGIHCDKEDEEGDNAEGNSKLEDPNEADGNDEWQEANGASQDMKDVTDDLDTVREEISSRPTEVTKASEDMKEANGDYEELKDSNRSSEESEDGNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDNGANDTHGKIKTQLASIEEEGRTAELSHEGDPAEAVSSVAENVELGQETHGDYAGTALEAGDDGSYFPWREELESLVCGGVPMALRGEIWQAFVGVGARKITGYYNKLLEGTLESDEKDLVDPVVNQQTSAPRKLVQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGPALVTTKDAGDAITLLQSLAGSTFDIKSNEGADGLKLNKDTGSANLETYLSTSTILENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRSEELETALMEMVEHDNRRMLSAKVEKLEAEVSELRKAFADKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAEKKHAAHLLEEKYEATMAALSQMEKRAVMAESMLEATKQYQAGQVKANQSFTSSSPRADHVPGKTNQEPNQDAPNRRMGLLSRGLGWLDKSKAKQNSSETAGS >Dexi5A01G0039590.1:cds pep primary_assembly:Fonio_CM05836:5A:40154602:40155619:1 gene:Dexi5A01G0039590 transcript:Dexi5A01G0039590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASAAVVDAAAEIERRKKEKREKIICGLVDKRRELLAISVSLTRAATCDPPRGSRSDVSASSAKVRLRRRRDVLRWQRFLAWHRRHSPGHQFLLSSLPPLLVPHSRTQLLY >Dexi2A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:2A:2224890:2226025:1 gene:Dexi2A01G0002740 transcript:Dexi2A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSENAAGNMMNIMEAIAENLPNKKSVRFDDGEGSISDQARKMFAAATAGSGQGRKKSVHHVLGGGKSADVLLWRNKKISSSVLGVATLVWIFFEWLDYHLLTIASFVLVLGMAAQFACSLFASSPPRVELPEEVFANAGRAVGAQVNKALGMLQDISCGRDLKRFLIVIAGFFAASIIGSWCNFLTVIYIGFVCAHTLPVLYEKYQDQVDEFLYNMLGLVQNQYQKLDKGVLSKGKFRKSD >Dexi4B01G0023530.1:cds pep primary_assembly:Fonio_CM05836:4B:24842634:24842907:1 gene:Dexi4B01G0023530 transcript:Dexi4B01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAVLVTVLATAAFAVTVQAQQCGWQAGGKLCPGCLCCSKNGYYGSTDPWCGDGCQSQCNCGGGGGGAPPAETKKKKVVTASRL >Dexi5A01G0022560.1:cds pep primary_assembly:Fonio_CM05836:5A:26726763:26727997:-1 gene:Dexi5A01G0022560 transcript:Dexi5A01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASHHGAAAAAAPASSLPTMPRVARSHVWDWLALLLLVAVEVLLNVVEPFHRFVGSGMMTDLRYPLKSNTVPIWTVPVVAVIVPMVIFTIVYIRRRNVYDLHHAILGILFAALITGVLTDAIKDAVGRPRPDFFWRCFPDGKAVYDKITTGVICHGDPSVIKEGYKSFPSGHSSWSFAGLGFLSWYLAGKITAFDRRGHVAKLCVVFLPLLVAAMIAISRVDDYWHHWQDVFSGGVLGM >Dexi3B01G0035490.1:cds pep primary_assembly:Fonio_CM05836:3B:38473318:38476686:1 gene:Dexi3B01G0035490 transcript:Dexi3B01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTLQVGGFANFVGSHFWNFQDELLGLADDPGADPVFRNAALDMDVLYRAGETQQVGVATYCPRLVSVGSRGSLGSLSSSGTLGSSSAAAGHPNILTWQGIQFIVDDSGGFSSVAAQYLENIADDYTNTPFPFNLAFAGYLSPLLSIKDEKYFHSSAIFAAAIHSLSVPFRLQHVGPSSELAHSSGNLDIGELMHMLSDQGRQNMVTALDVAMPAPSLTDRTDLRNIQRSLLCLTPEISDEDEDPYAVESLVFHGALGAGGQRASISQVKDTVCSALEGRATKPKFSHLSVSPCPLPVPLPFPSIFSSSIGQHGEILSNDHAEGTRRKGSLDVVSVPMAARLRSSNTIVPFIERRSTSLQRLGMARGALGSQVLRDWGFGREEVEDMGEHLAKMLRPFYSEMDLTSDSD >Dexi3A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:3A:3994801:3995982:1 gene:Dexi3A01G0006150 transcript:Dexi3A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDVDNHRVQKRPMLCCHHHTTTATTTPTLPTDLVLEIALRTDPATFVRCAATCKDVRRHVVVANPSVLRGGRLRHGDRFIPSLLCGHLAGRCLYHLDDGAKNLTAATRCSHRGKPISARDGLYVLLAGDGDGDATGRPFKVVKVSSVVARHSGRGSVQVQTFSSKRGAWGPRTCMPAPFETSYSTVPLPSRHLVVGDNIIHWVCRNDATYHVLKLDVGDDVNSVPRLTSTKLPPSFHRECESPRPRLAQQILLATASPAGRLMVPVANHGRITSWMMSEHAARSRWVDRPQVVVEFEDIERQIGVQERPVKRVRLEWFAEMRGIVLEDHEALFGTVWYRKQLPL >Dexi5A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:5A:21027441:21032632:-1 gene:Dexi5A01G0017730 transcript:Dexi5A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGAAGGGGREEQGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQFTVPSSRFSSSARPAGGSLVPSTSASQNPNLPERSLREAGVKRKQGYHDIEENDDLSDSSVECMPGWEVSPDEIVGAIGSKHFWKARRAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGSALLGKKTKLTKGNLKVQTLSIANMPNKDDIQPTLEHPELSKQNTEGNPPSPSLEDGLGGNCHDQATTKETSASNPPVMPAAPENKQNNWSMNPPQNQWLVPVMSPSEGLVYKPYSGPCPPVGSLLTPFYANCTPLSLPSTPYGVPMPHQPQHMAPPGVPAIHMNYFPPFSMPVMNPVAPASAVEQGSHAAAPQPHGRMEQQSLISCNMTHPSGIWRFHASRDSEPQASSASSPFDRLQVQGDGSGPVSLFPTASVQNAQPHASSGSRDQQNHVIRVVPHNAQTASESAAWIFRSIQKERQQNDP >Dexi9A01G0043740.1:cds pep primary_assembly:Fonio_CM05836:9A:47450183:47456380:-1 gene:Dexi9A01G0043740 transcript:Dexi9A01G0043740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRVAVDASIWMVQFMRAMRDDSGEMIRDAHLLGFLRRICKLLFLRVRPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKSRKIEELAEQIRSDRAKHDAKGKQVESIREEENEDTNQDQNQNQNQNSDTNRSEENIASFNQDKLDEMLAASLAAEEETGFTGEGKQHSTSVPLQDGAEIDEDDDDDDEGMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREINQVQKCAAGKGVGGVQTSKIASEANREFIFSTSFTGDKQMLAQRGEKEQIVDNAESQREVNSDVLRSKPNSTSSLRTAKPSTSKHLKDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQVKSRVQANVHKGSTVSEELPDFPEHLFESNELQSSLSLDEEFLETAKDNHQTSSPVRGSNNIPESSCYGNKETIEISFMDDQAEVKDNYDDIFLQLASGTTSKSNLFADDDCLDKKNEESEGSECIWEEGVIKGETLPMKVDEKYNKSSVPENYSDDEVEWEEGDSLIPGVPSSSEHNTCNVPKGDLEEEALVQEAIRRSLEDFNEQASEIVSTEDMQASENVSTEDMQASVQDRCLQFSDDVSKMSEAPGETTPHSGAALEKGTNEESRTEISSDENDVIHGTGLTETYRQENENQPQVVNDGRIDMHRDHLLESLQPCTTSASNLAEKTSDSSKADGDDAMISRTEISETIIVDRDKNIEQNSTMSNKSKCSQDFANIGETLKSPQKDLLVGGPVADTIVPKENATKEDLKVSTTEINYTQVGGNDDNHGISTTYLDEELSRLRQEQVDLGHERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMSNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRQQLIRMALLLGSDYTEGVSGIGIVNAIEVVHAFPEEDGLQKFKEWIESPDPAIFGQLHMETSSKSKKRKIGGNGLDGKGKGLEPECDQGSDDLCSNETDRIKEIFISKHRNVSKNWHIPAAFPSESVVSAYITPQVDNSTESFSWGRPDLGLLRK >Dexi9B01G0030860.1:cds pep primary_assembly:Fonio_CM05836:9B:33286914:33288440:-1 gene:Dexi9B01G0030860 transcript:Dexi9B01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAQSKKLRILLMPFFASSHMGPFTNFAVHLAAARPDVVEATVAVTTANAPFVRSIIARQSPSHVKVEVATYPFPVVDGLPAGVENLTVVKPADAWRIAAAAVDEAVMRPVQECLIRELSPDAVISDMHFFWNTDIAGDLGAQCLVFNVIGAFPSMAIAHLNSSLAAAASDGTRDVDTTRSSEAGVVPVPLLDGKDMLIPHTELPEFMRVKEAFDASVMDRIGSSTTRCASRAANTFLGLEQGYCELFAGTVQTRPSYFVGPVSLPPAGAAPAAIGGGGAAAGRSPCIGWLDRMPSQSVVFVCFGSLAPVSDAQLVELALGLEASTKPFLWVVRNDTWSPPEGWTERVGERGMLVKGWAPQTEILGHEAVGVFVTHCGWNSVMETVTAGVPVLTWPMVFEQFIIERLLTNILGIGERLFPEGAGVRSTNSEEHDLVTAETVAQAIIKFMEPGGGGDAARKRAKELSAEARAAMAEGGSSHRDLQRLIDDLMGARTEQRHATNILHA >Dexi1A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:1A:26009133:26009513:1 gene:Dexi1A01G0018830 transcript:Dexi1A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKALLLLALLAFATVLATAAGRQQARDDKKTEKMMVNDVATGVEDWHGSRGGSDQYSHGCEYGCCHRVYHGGCQRCCQPGGASTPEVNN >Dexi6B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:6B:25445977:25448502:1 gene:Dexi6B01G0018500 transcript:Dexi6B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSRISRSNEMVDEFEKLVIRMNPPRVAVDNDSDITATLVDSANKYGTLLEVVQVLTDLKLTIKRAYISSDGEWFMDVFHVVDQDGNKLYDSQVIDRIEQSLGAGSLSFRGAPERVEAEAEESQTTIELIGRDRPGLLSEVFAVLTNLKCNIVASEVWTHDARVAALVHVTDAGDGDGTGGGAVEDADRLDTVKRLLRHVLRGSSRDRTASRAAIVDSSSAAHSQRRLHQMMHADRGARRVAGDEDEDGGEGFAGAGAARGGGSGRTVVEVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMGYVVFHGTVIAEGSEAYQEYYIRHLGDSAGGSGEDRDRLRRGLEAAIERRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVETRGAQAANVFYVVDASGHPVQAQAVDAVRAEIGEQILSVRELDAGNGGGGGPRSPTGAGGGRRSLGNMIRSRSEKFLYNLGLIRSCS >Dexi2A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:2A:32220908:32229626:-1 gene:Dexi2A01G0020150 transcript:Dexi2A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARNNSPPPARSSKTKTTHRLMVALNCALLGVGVTGGQLLSRLYYSKGGHRQWLSAWLQTGAWPLLLIPLAISYSTRRARDGRGAPLLLSPPRVLLAAAGLGLSTGVDDFLYAWGLEFLPVSTSAILISTQLAFTVLFAFLVVGQRLTPATMNAVALLTVGAVVLGLHVSGDRPEGVTRGQYWMGFVLTLGAAVLYGLILPLVELAYNRAAAAGGGGALTYAVAMELQLVMGFVATVFCTVGMVVNKDFQQGYAYGVDDFIYAYGLPTNSRVHLRDPHLEFSTHLVFTVCFAFLIVGHRQTPATVNAVALLTADAVVLGHPRGAHARARSSYLEGATKLSAAGVTVVSKASSQILHLDADRTHPSIPACVTASRADQTDAPRRHSSPPPAAAMDVEAPRKDSAAPARGGNGKAMHRLLVALNIGMLALGAIGGPLLSRLYFSKGGHRQWLSAWLETGGWPLLLIPVAASYAARRARHGRGAPLLLTPPRILLAAAALGVATGVDDFIYAYGLAYLPVSTSAILISTQLAFTVLFAFLVVRQRLTPASVNAVALLTVGAVVLGLHVSGDRPPGVTRGQYWVGFVLTLGAAALYGLVLPLVELAYRRAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQAIPREAKHFELGEGRYYTVLAWAAVLWQFFFLGAVGVIFCVHTLLAGILIAVFIPVTEVAAVIFLHEKFSSEKGVALVLSLWGLASYSYGEWSEARAKKQTEAAAEAQASS >Dexi9A01G0029850.1:cds pep primary_assembly:Fonio_CM05836:9A:34737105:34738116:-1 gene:Dexi9A01G0029850 transcript:Dexi9A01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDFPFTPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRGYRFHKAYLAKLKDLGQNDGEKGNNGLRYAKLE >Dexi5B01G0023320.1:cds pep primary_assembly:Fonio_CM05836:5B:25539715:25540083:-1 gene:Dexi5B01G0023320 transcript:Dexi5B01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIFAGTGGRSWTRPPEMAREPWLLDSIRLCSGGTVVVAASSSSSAAAIPLLTLLPRGDDGDDDDDDVDGEDEKDGLGDTARRLLDRSLSRLWPRRRNPPTITGSCQSRSTPTREQVEWL >Dexi4A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:4A:4058636:4059897:1 gene:Dexi4A01G0005590 transcript:Dexi4A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAQGQMVQEVAAGDLPAPPSRYVLKEKDRPTGGVAAPKLSFPTVDVRRLADPGDVEEAAKLRSALESWGLFAVTGHGMPEELLDGIRDAGKQFFHLPTSEKLKHANRTDAGEFQPEGYGIDRVDTDEQVLDWCDRLYLTVSPESERRTQFWPTQPPSLPKLLHEFTLGSEKVAKRVLEAMARTLGFGEEFFLAHLGEDVATYARFTYYPPCPRPDLVYGLKPHTDNSVVTVLLLDSDVGGLQVLMEDGVWVDVPVLGRGELLVVVGEEMEIMSNAVFRAPTHRVVTSGRERMTLVLFYQPEPERELAPVEELVGEERPAMYKKLRAKTFGDGFWDAFALGERTIDHLKIKVDQPEQQQQELKAA >Dexi3A01G0021950.1:cds pep primary_assembly:Fonio_CM05836:3A:17506481:17508318:1 gene:Dexi3A01G0021950 transcript:Dexi3A01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFVLNTGAKIPSVGLGTWQADPGLVGDAVYAAVKAGYRHIDCAQAYNNEKEVGLALKKVLEEGLVKREDLFITSKLWNTNHAPEDVPVALDGTLKDLQTDYVDLYLIHWPIRMKKGAGFGPQSVIPSDIPATWSAMEKLYDAGKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPVWQQGKLRAFCESKGIHLSAYSPLGSPGTKMVKAGAVLEHPVIVSAAEKLGKTPAQVALRWGIQMVQSVLPKSTNEERIKANFDVHGWSIPDDLFAKFSEIEQEKLIRADFLAHPEGVFKSVEELWDGEI >Dexi5B01G0023830.1:cds pep primary_assembly:Fonio_CM05836:5B:25923894:25928854:1 gene:Dexi5B01G0023830 transcript:Dexi5B01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALSSDAEEGKSKKGQYEGPDTDLAAMLERDVLDSTPGVRWDDVAGLTHYVHSISYQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGRRLEKRIYIPLPDFESRKALININLRTVQIAADVNIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEIAKDPVAMCDFVEALVKVQKSVSPADIEKHEKWMAEFGSA >Dexi2A01G0033730.1:cds pep primary_assembly:Fonio_CM05836:2A:43895001:43895673:1 gene:Dexi2A01G0033730 transcript:Dexi2A01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERM >Dexi8B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:8B:18887349:18896254:1 gene:Dexi8B01G0010360 transcript:Dexi8B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARAPPVPVPAPAPRPHPLLLLRLHPPTRIIFPAARLPPPRRRARLAAVAAATATAAAASVAPDDISQLNYRLRAIVRRRDAAASSSSSPPVDPAQAEAYLRMIRDQQRLGLSQLRGGTGGGGAGGEGEDDADEEGETRRKGVAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFACISAEDGVDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKKRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQSRPPYPKPVALDEFAAEFPYEPTPDQCQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVVSAGYQAMVLAPTVILAKQHYDVMTERFANYPGIKVAIFSGAQSKEEKDELITKIKDGDLHIIVGTHALLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSKERALSAIKFELQRGGQVFYVVPRIKAIDDVLQFLKDSLPDVPIAVAHGKKMSRNIQLAMEKFANREVKILVCTHIIESGIDIANANTMIVQFAELFGLAQLYQLRGRVGRSGREGFAYLFYTDKSLLSRVATDRLGAIEEHSELGQGFHVAEKDMGIRGFGSLFGDQQSGDVANVGIDLFFDMLFDSLSKVDQFCLVPVPYKDVQLDINISPHLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRRYGKEPRDMELLLKKLYVRRMAADLGISRIYPSGKMIFMKTNMNKKVFRLMTEAMTSETHRNSLSLVGKEIKAELLVSLPDTLLLNWLFHCLADCYAVIPALVRY >DexiUA01G0009240.1:cds pep primary_assembly:Fonio_CM05836:UA:17969322:17970103:1 gene:DexiUA01G0009240 transcript:DexiUA01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDIAYDEAEDYVVIKHAALFTSTIMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTTQTVPPVWREEFVIASKDDEVVDA >Dexi9A01G0029940.1:cds pep primary_assembly:Fonio_CM05836:9A:34793278:34796859:-1 gene:Dexi9A01G0029940 transcript:Dexi9A01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEINERYVGGLLLVLGILFECGGGGTGDDESPDAGEKEALLGLSEDASWHAKLKDSAYVSSAAATSSPYSRRELAPPHFVTLCLTVVVSGTGQGLRVCNDPNIKHRLLPPPITSLLAGWAARAAPPRRSSSPAVDARTTRNPPSTPLSLPLFEPSRRPPQTLALFPHCSAATIVAVELAAAAIPPLRPPRLESISPTSSPPPRRPSHPSNRHHHRRQRRHHRRHRCSPPPHADAPFPYKMDCSRRPLAPHAFPLAGISPEAAYFTGFGRRPWLAPARSLTCGPRASVAAVLVTPECETVEPEAEGEPEENIAVLGLFYPVDPHTGEE >Dexi2B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:2B:2143657:2144286:-1 gene:Dexi2B01G0002590 transcript:Dexi2B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGSADALGAMLVYAEGGGAKNGIGTPGMVGIGGSVTLGTPAGIGGKVTLGTAGIGGSVTFGTAGTPAGIGGSAAAAFGMAAGTAGIAGTAGMGGMVAAAGVAGIAGMGGKVAAAGIAGTWGTAGTVGIGGRATAGTVGTVGTGGFGMAGMAGTAAGAAAGVVSARWRAAWLVVMPASRSTMTNAVAKRGELETMGDDRGVLNEAGY >Dexi3A01G0030480.1:cds pep primary_assembly:Fonio_CM05836:3A:34467996:34471453:-1 gene:Dexi3A01G0030480 transcript:Dexi3A01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLATSGRITRSKAAANGTVSGVAPSLPPLKTEQKHGTKGKMKRKASDENASSDAGVSAPHPKRRAVLENVTNIRCVDASKKCTAVTKLQSGPSHKVGQSINKQCASKVPKLLPLAVGGSSFVNDSNSAEETRKVELLAQKKKQIVLVENKGALPLQNTERIRDSACHEAFFEERNASNKLETACHEAFFEERNARKKLETASLKAVGAAVKKNLYFVTGGSGGLNIVDIDKDNGDPRMCVTYVAEIYRNLMALEVNSTYQQAEVLELERKVLNDLGFYLSVPTTKTFLRRFLGAAQASCIELQHNTSDCPLKSVREKYRQQKFSSVANLRSPELPQSLFL >Dexi9A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:9A:16446053:16447058:1 gene:Dexi9A01G0021590 transcript:Dexi9A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVNWFVAYQPWREKTPSPSASPIGCPMPLLATVSGQKGRKGERLLLLEGDGRDASGIALCAGEKEQDEGPEGGGENGEVANPGRPEHAAAATAVLAAGGVLGIFLEA >Dexi9A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:9A:16134809:16135045:-1 gene:Dexi9A01G0021180 transcript:Dexi9A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLYGSGRRRVSRNGGTAWQSPAPVSRLLRRLRSSLRRSAARPRREAVRFGYDLHSYSQNFDDGLAASSIGRRL >Dexi9B01G0030900.1:cds pep primary_assembly:Fonio_CM05836:9B:33346177:33346840:-1 gene:Dexi9B01G0030900 transcript:Dexi9B01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLRRGTPRLDASSVVQGLKKSETTQVGNVALDGGAEEGHGAVVAHHVGRVDAVVAGGGVVGALGQAGGGVVEGELGEPDGEARRAGGIDRVAYALGRGHGRGDGAERAVGGQKQRRVDGRDQVAVLVQRDEHEVGCGPSLYI >Dexi6B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:6B:7281968:7290092:1 gene:Dexi6B01G0006570 transcript:Dexi6B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSLNSMQTSTHGGSIAMSVDNSSVGSNSDSRTGMLGHPGLRGPVVGSYSVGNSIFRPGRVSHALSEDALAQALMDNRSPTETLQNYEEWTIDLGKLHLGMPFAQGAFGKLYKGTYNGMEVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSLKHFLSKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELVTGSVPFANMTAVQAAFAVVNKGVRPQIPPDCLPALGAIMTRCWDTNPEVRPPFTEVVRMLEQVEMEVLTTVRRARFRCCIAQPKTRD >Dexi2B01G0028290.1:cds pep primary_assembly:Fonio_CM05836:2B:36989150:36993447:-1 gene:Dexi2B01G0028290 transcript:Dexi2B01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARGSVWEIQPADVEAAGLAAADAGAFLAALRSAAAAAGPAAAGDAVWAAVAAAGLLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSLTDDSTAIVWRDEGRDDYPVNRMSLKELRSQVITVANALDTMFQKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPHEIGTRMGVSKAKAIFTQDAGVTVLGSVPSLVKSWKVGNCDKVLDWTKIRVLGTSGEASDIDDNLWLTSRTSYKPIVECCGGTELASGYIQGSLLQPQAFSAFTGASMSTGFVILDEQGIPYPDDVPCAGEVGLFPLFFGATNSLLNADHDKVYFDGMPIYKGRQLRRHGDIIQRTVGGYFIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPAGRGPEHLAILAVLKDKSAPHNVNLLKSKFQRAIKNLNPLFKVTYVKVVPEFPRTASNKLLRRVLRDQLKQELSKHSRL >Dexi2B01G0025330.1:cds pep primary_assembly:Fonio_CM05836:2B:34594078:34594263:1 gene:Dexi2B01G0025330 transcript:Dexi2B01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWGEQWGEEGYYRLCRGSNVCGVDTMVSAVAVAPPPAAGV >Dexi3A01G0033470.1:cds pep primary_assembly:Fonio_CM05836:3A:38234149:38235370:1 gene:Dexi3A01G0033470 transcript:Dexi3A01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANRAAVESGHRVLALLSNPRGQLVPNKDLVAATGEAVVKFSFLTAKLSSSNGNGLKGHARVRKIKKPLPIFDSNLFLESSAAAAASSAKAPSASPITSLQLSSFSRYHQMEGSSSKDPVRIPAQFPKRLLLENLAPTGLEGPSSQAPPVHMVQPVSVAPPAGTPTPALPAAHLHFIQQQQSYQRFQLMQQMKIQNEMMKRSNLGDQGGSLSGGGGGGAKGVNLKFDSSNCTASSSRSFLSSLSMEGSLASLDGSRASRPFQLLSGSQTSSTPELGLVQRRRCTGREDGSGRCATGSRCHCSKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRI >Dexi5A01G0033850.1:cds pep primary_assembly:Fonio_CM05836:5A:36060672:36062962:1 gene:Dexi5A01G0033850 transcript:Dexi5A01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIASDAVGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGVRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLHRVGILGCGLCIVGSTMIILHAPQERTPSSVEQIWHLATQPSFLCYAAIAVGVSLFLMLYCAPRYGQTNIIVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWVFAVVSATCIVIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQRASNIASEICGFLTVLAGTVVLHSTREPDQTMSAGRSLFMFSRTDTVIFSPNHYLTFPSINPDLYAPLPPKIYWHIQGNADIGKQREDDSLTCEFITVVRQDYFV >Dexi3A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:3A:11731037:11734686:1 gene:Dexi3A01G0015760 transcript:Dexi3A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQDEEEEEEEEVKTISGIINSARSLDGDDDDMLSEIESLLSGDIDIPIPSDRFDVNGRSEYNAHMANAAAEIDRLHSLVREMEEREAKLESELLEYYGMKEMETDVAELQKQLKTKTVEIDMLNNTIISMQEERKKLQDEVACGVLAKKELEEARSKIKELQRQIQLEAGQTKGQLMLLKQQVIGLKAKEEEAAKKEAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLLVKLDAAEGKITESDVVAHAREEIDKLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQMPSGKVSARDLNRTLSPKSQERAKQLMLEYAGSERGQGDTDLESASSMPSSPGSEDFDNLSIDSSSSRYSFLSKKSNLMQKLKRWGRSKDDSSSLTSSISGSPRRKPRGPLEALMLKNAGDGTAITTFGQRDPNDILDEENVASSFQLMSKTVEGFADEKYPAYKDRHKLATERENAIKEKAGQARAQRFGGGHSSALISSPKVALPPKLAQIKERAPAANAASSEQSSDNQSNTLVVSQLKLANIEKRATRVPRPPPTRSTTASGATNTASGLQMPRPPGAPPPPPPRPPGAPPPPPPPPGKAGGPPPPPPPPGAVPRSLAGGDKVHRAPEIVEFYQSLMKREAKKETSLGSVSSNVSDARSNMIGEIENRSAFLLAVKADVETQGEFVESLASEVRAASFVNIDDVGAFVNWLDEELSFLVDERAVLKHFDWPESKTDAIREAAFEYQDLIKLQNKVSSFTDDPQLPCEEALKKMYSLLEKVEQSVYALLRTRDMAVSRYKEYGIPVDWLSDSGVVGKIKLASVQLANKYMKRVSSELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTSAPQISEA >Dexi7A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:7A:16288076:16290085:1 gene:Dexi7A01G0005130 transcript:Dexi7A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHRQIGYGDDGRRRQIDDRKLTKVDPRRHGKRPLPADEEEEDQPPPPPPPLPAAKHEQPQLVESPSLEEQYHNVSPQLQQGATFSGGGGGGGSSSSSVAGAPLPEASYAQYYYSARADHDATAVASALAHVIRASPDQQQFTPQQASFYGGAPAGHQQAAPPHAGAGAEEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGLPHRHGHQPSMAPPPSMPPPPHRHHHQTVVPYPDLMQYAQLLHGGGEHGEAIAAAQAQAQLMMMARGAAGGVNLPFGGAAFSPSSSASSAPPQILMDFSTQQLIRPGGQPSSPAAAVAPGTPPSSSTTTAASSSPGGGGGAWPYGGEHQRRNKKDG >Dexi6B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:6B:784003:788433:1 gene:Dexi6B01G0000920 transcript:Dexi6B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSPSTLLNNIFRLGALTSDGSAVRPKPIQKYCQNVYDISSIVNPLLEDLCKSPEEQLHEVLRDLDTAINEASGLIGNWHQTTSKIYFGWQIESVISDIQGCSLQLCQLANSLLPSLTGCACTCIEKLQDINYEHMFDLVKDVAKELEETSALNFPSGASSLQDLSAAGGSPLHPSVISRANIPGSPEADVYLRNLNGASPPPSVANQNSHVHASRSAHEVSTSQISENANGSAPDVSRLSLANSEARESSLEGRRGGSIGQTSEQSAEEAFQSSNLDRDTQDNMASSSLNGSLPNSGQLDGECDNGVTRVPSDRTNYSSDASGEVTDGGGAPVASSIPQREHLIPPRLTTRGQFVRRQASDRGFPRIISSSSMDARSDLSAIENQVRKLIEDLRSDSVDVQRSAASELRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKTQENAVTALLNLSINDNNKIAIANADAVGPLIHVLETGNPEAKENSAATLFSLSVIEENKMRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >Dexi5B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:5B:22715449:22727039:-1 gene:Dexi5B01G0020510 transcript:Dexi5B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRCGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVSHHLNSNSPKAIEVLEAYEGTLEDDYPPDNERYEHSEMLLYKISLYEECGMLDRALEEMQKKESKIVDKLSFKEQMASVLFKLGRFDESESIYRSLLLMNPDNYKYFIAVQKCLGLYSDNGQYSADDVESLSTLYNSLKEKYAWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEHPGKANILEQLFLKIEDSIRTSGCFPGRILPELFTERASFHTIVDSLFDFPAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAERTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDTPTKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDETASSNSTKPGKKQNARPVDLDPHGEKLIQIEDPLAEATKYLKLLQNNSSSSLETHILSFELNMRKQKILLAFQAVKQLIKLDENNPDSHRCLIKFFHKINSLPTPGTDSEKLIWNVLEAERPDIRKLHGKSLVEVNRTFLEKHNASLTHRAAAAEMMYLIEPDRKMEAIKLIEDSTNNTSSGNNVLGPVNEWQIKDCIDVHKLLETVFGDQDVANRWKARCAEYFPYSTYFEGIKSDIAAYAVDHSLESSSENGIDPNPQLKSKEGLNGTVHIVDDLSSLSIR >Dexi2A01G0024910.1:cds pep primary_assembly:Fonio_CM05836:2A:36698790:36701353:-1 gene:Dexi2A01G0024910 transcript:Dexi2A01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMMTAGESKSPARALRRLAGAAVAAVLLRRSFSASKWYAVSLRPASKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDKQEDTARIRVEHVIREQNFMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDIFEKKYGKDFVSAAVDLRPDAAVNTLLIEKLSVKKPSGQTKLKVLKDIAKEHQIDWDTTESEQELLKPSEELIKGPSTFVEASNMPVKTTLTPHVVQPIPTNFSPGYSDDEYDNGDTMQFRDAASAARAAAESAERAAYAAKAAADFANKNNHSLDGVEGYQAASHESTHHPGKRQSMSNSSRSSRKEDTDAFEELKPHGGKASSAGSLSGTNHREDRDNYPAELDARKTRPRNSRATWKVHSDIKFDDSEGLCSESEDENDVEIQSVERPLPPRREPFSENRHPEEEEPDNDFPELPKANIHSRVHPNMPLDYETLTARFEALKSGKLP >Dexi9B01G0048990.1:cds pep primary_assembly:Fonio_CM05836:9B:47827159:47827695:1 gene:Dexi9B01G0048990 transcript:Dexi9B01G0048990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNEEDTTSQGSAGGGLPASEEQMVVSAETEEHIQRILVAIDNYTRQVSEMLDAGRALFKDLAADFEERLCTIHKERVERWEEEIRELRARDAANEQTRALLHNAQLHLLHTVRD >Dexi9B01G0018660.1:cds pep primary_assembly:Fonio_CM05836:9B:13320163:13321785:-1 gene:Dexi9B01G0018660 transcript:Dexi9B01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLRRRLSTTAEGAVAASPSASPSQTLPDDLFRRIADVGRPGIPLYPVLEQWVREGRTIKKHALQVMIKKLVGLKRFSHALEMSFWMTDRRHLQLTAGDVAYRLELINKVHGLEKAVEYFGMVPRQLRKPQCYGSLLKCYVEAKDVEKAEQHFTKMVEMGIKSSYVYNCMMDLFLKTGQLERVHVAFRDMEENGIKPDMFSVENKLAAYIAAEDFEGAQKVIDKANPHEKLLSWHGYASAARLFRKCGMQARAVEALLEAERRIPPKNGRIAYSFLLHIYNDLEMYPEVERIWTVYKSKVPLCNSMYMSRISVLLKKNDIDGAEEALKEFETAHVSYKDFRLINLVVDAYCGGGLVEKAIVLVDDAIKKGWTPLANTWYKLAGGFFMTGQVLKAVDMTRKALASASSRWKPDLAYVLMTLNHFMDQKDVEAAEEIVSMLQKHVSLTRDVYHSLLKTYVCAGKPASDLLERMKKDGLEADEETDRILAGECEKISPLPRP >Dexi7A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:7A:20256399:20261441:1 gene:Dexi7A01G0009170 transcript:Dexi7A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAPPGATATATATVRVSNIPFSAVATELLAFFDSAVVAGAAFACEIAASRRGWLSRGHGSVQFDSAAVAARAVDLASTGRLPPFLGSRLSISAAHVDLLPRAPEFTLRAHGSSLLVGNRVAERELEVGRAWDDVRAEVIPGKRRVDLYLEHDSRRYKLEVLFEDIRECFGCRADGVAAILLQLTYAPRIHTAISGPTIKSKFTEERFHACKEDAKFAWVRALDFTPNNCFGECSTLVLKLREGAPVSDFLETLPFSGELGELTISSMDMFGSSAKVVPIVDCPSGFSVPYEILFRLNSLVHMGKLVARHVNGDLFKALEDIPIDTLRRIFEKMNKLKSTCYEPLEFIRHEAHNMKISKKTLLSKKGEGEGKLMRCYRIHITPSKIYCLGPEEEVSNYVVKYHSEYASDFARVTFVDEDWSKLSPNALSARVEQGKLDYGQVYIRITKNRKEQKYSEQPFFCNDDGKTAVIVGKVAISKNPCLHPGDVRVLEAIYDPGLDARGLIDCVVFPQKGDRPHPNECSGGDLDGDLFFITWDDKLIPEKVDTPMDYAATRPRILDHFVTLEIFIAANITKEIQKHFVSYMINDALGAISTAHLIHADRNPLKARSPECIQLAALHSMAVDFAKTGAPAEMPWVLRPREFPDFMERWEKPMYVSDAVLGKLYRAAVRHAENAEALLPGATPSCAYDPDLECPGFPDFLDAAEEHYEAYAEKLGTLMTYYSAEREDEILTGNIQNKLVYLRRDNKRYFEMKDRIIAAVDALHAEVRGWLRSRRDEDASRLASAWYHVTYHPDRRGEKRFWSFPWIACDTLLAIKAARRCRKRVEDAAAAVPMDCDA >DexiUA01G0020120.1:cds pep primary_assembly:Fonio_CM05836:UA:42024953:42025267:-1 gene:DexiUA01G0020120 transcript:DexiUA01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSYDDMFWAVHPGGPAILTKMEGRLGLAGDKLHASRCALRDFGNASSNTIVYVLENMVEETRRKKAEAGDGEDCEWGLILAFGPGITFEGILARNLQATPRA >Dexi7A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:7A:26645628:26648288:-1 gene:Dexi7A01G0016810 transcript:Dexi7A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPWPPPPSPPPPPAAASHMAVTQALADALRSCGARGALSGARALHGRLVAVGLASTVFLQNTLLHAYLSCRSLPDASRLLQADITSPNVITHNVMLNGYAKLGCLRDAVELFDRMPTRDVASWNTIMSGYFQSRQYLPALETFVSLHRSGDSSPNAFTFSCAMKSCGALGWHGLALQLLGMVQKFVSQDESEVAASLVGMFVRCGAVDLASRLFARIENPTIFCQNSMLVGYAKTYGVDCALELFDNMPERDVVSWNMMVSALSQSGRVREALDMVVEMYSKGVRLDSTTYTSSLTACARLSSLGWGKQLHAQVIRNLPRIDPYVASALVELYAKSGCFKEARRVFNSLRDRNNVAWTVLISGFLQYGCFTESVELFNQMRSELMTLDQFALATLVSGCCSRMDLCLGRQLHSLCLKSGQIQAVVVSNSLISMYAKCGNLQSAEFIFRFMNERDIVSWTSMITVYSQVGNIAKAREFFDGMSIKNVITWNAMLGAYIQHGSEEDGLKMYSSMLSEKDVRPDWVTYVTLFKGCADLGATKLGDQIIGGTVKVGLILDTSVANAVITMYSKCGRISEARKVFNFLNVKDLVSWNAMITGYSQHGMGKQAIEIFDDMLKRGAKPDYISYVAVLSACSHSGLVQEGKSYFDMMKRVHNISPGIEHFSCMVDLLGRAGRLTEAKDLIDKMPMKATAEVWGALLSACKIHGNNELAELAAKHLFELDSPDSGSYMLMAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVDNKVHVFKADDVSHPQVIAIRNKLDELMEKIAALGYVRSESPRSEIHHSEKLAVAFGLMSLPDWMPIHIMKNLRICSDCHTVIKLISSVTGREFVIRDAVRFHHFKGGSCSCGDYW >Dexi5A01G0027980.1:cds pep primary_assembly:Fonio_CM05836:5A:31311338:31313724:-1 gene:Dexi5A01G0027980 transcript:Dexi5A01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIEVKKHPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWSGGRVRDDNSADDMKCMDFTIAHYLSGPLRIVDSEGVPASPEWLLFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSREQVYLLLSCCPCEGRISGIVDAPNAVTTLAIPTAIFDQII >Dexi8B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:8B:149173:155157:-1 gene:Dexi8B01G0000190 transcript:Dexi8B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDDPVLAACKRKLAHFRIKELKDVLHQLGLPKQGKKQELAERVMTSLFNQQDHVSGREVAVKIVEDTYSKMQDPTTIVAASRSHIESGHSVKPKKKLDDSAELNVKVRCPCGNSKPNDPMIECVDPQCNVRQHVGCVVIPENEKSADSLSPDLPSCFYCEMCRISRADPFLVTINNLLLPVLIGPSTIAADGSYTVQYTTKSFQLSRANREMLQKSEYDIQVWCILLNDKVPFRMHWPLHSDMQVNGIHVRVVSRQPSQKLGANGRDDGPLLTDYLREGPNKIALSRNDSRTFCLGIRIAKKRSIDQVLNLVPKEQDGEKFDDALARVRRCVGGGTEANNADSDSDIEVVADSVSVNLRCPMTASRIQIAGRFKPCAHMGCFDLEAFIEINKRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIKHCGDDTSEVDVKPDGSWRVKGRAELKDLAQWHLPDGTLCVATYTAAKPKMCIVKHEVKEEPLSEELGCSLKLGIKKNSNGKWEINKRGYTDLVQSSDIDHARHMGNKTLTCSIDGTNIGDESYNSEPARNDYPTTYVHDLDSSASAENAPPASTEQDVIVLSDSDDDAVTVLSPSAVICGSAHDTGNLFPPNPPETSAPSLEEGFDDLGLSFWERPPSPADDPTYQMFDEPVSVVDLGVKAVAASALEDGHDGTLQPIQACDKGTTAGTNEGLVNSQNESRKRRNSGDGTAAALDGAAVGGRTGGDGASEAELEEGRSVRPRL >Dexi7A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:7A:26396806:26399216:-1 gene:Dexi7A01G0016530 transcript:Dexi7A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGSVNLMVWNCVVPGMQGTDWEGGYFPVTLTFGADYPTSPPECTFPTGFFHVNVYNTGRVCLSILGGGWKPSITVRQILIGIQDLLDNPNPASPAQGLCCDLLRKNLPEYKNCVRQQAKRYPLHV >Dexi7A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:7A:19725638:19730285:1 gene:Dexi7A01G0008470 transcript:Dexi7A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSVARDYGGGLTFSVVATCLMAASCGLIFGYGSGITAPVYLSETAPAKWRGAFTSSYNAFVVFGVLFATITNYFTDHIRSWGWRISVGLAAIPGVIIFMGALFVSDTPSSLVMRGHPDRARAVLQHIRGRDVDIDTEFKDIVYVVDEACQNDEGAFRRLFSKQYRHYLVLGVAIPVFYEFTGMVVISTFSPVLFRTIGFNSQKAILGSVINTLMNLVATILSSFIMDHTGRNFGVSWAPLKFVVLSEIYPVEVRSAGQAMSVSIGFFLSFLELQVFTALLCGMKYAVFLFYAAWLLAMTVFVALLLPETKGVPLEVMRSVWAQHWYWRRFAKG >Dexi1B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:1B:220511:222021:1 gene:Dexi1B01G0000110 transcript:Dexi1B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDLRLVVPPHPPSPCLRSVDLDLATVSKIFSSANNNDIITIKKYRLHDVLTFPISLRSPGSHVCFHLDVDLKAYGVPVSIPEYPESIYQAIVCMPSAKFKYFCDKLSCGTGDRKAVISISVDKDNVRFFTDKSSAIVRLQTQNGDKPNEATRIVMKEKISLTFGLRYLKNFSKASTLSDQVTIKLWSNLLVVEYMGYIRYHVMPAEKEAETEGIEEEDQKN >Dexi1A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:1A:26829080:26834280:-1 gene:Dexi1A01G0019860 transcript:Dexi1A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQCLSWALGLLVPSLWEAKVAISATALLIAALILFLLTSDQRAASKPTATAGEDSPASSSPHSSAALHHRDAGRSGGARGKRVSEITCAPGSGCYIIKLELLSAKYLIGANLDGSSDPFAVISCGEQKRFSSMVPSPRNPLWGEEFNFLVDQLPVEIVHQSYSCALERCFLHHGRMYISQWHLCFHSNVFSKQLNVTIPLQDIDEIKRSQHSLINPSITIFLNAGAGGHGTPRSCSQNGRVRYTFASFWSRNRTFRALESALRSYEATLEAEKQVRAHVLLQKERNSVLSTKTDSTRTLEKNTERARTFQPFIDEHVLADVTNKFFPGTPEKFFSTILDDNAMFFQQYRDARKDTDLKLSKWCTSEEYGGKVREVTFRSQCHSPLCPPDTAVTEWQHASFSKDKRNLIYETKHQAHDVPFGSYFEIHCRWSLRTISSSTCQVNIKIGVHMKKWCILQSRIKSGATDEYRREVCKILEAACDYFLKSESNSHDSDEIVMASSP >Dexi1A01G0024510.1:cds pep primary_assembly:Fonio_CM05836:1A:31038033:31038414:1 gene:Dexi1A01G0024510 transcript:Dexi1A01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLRPSPWPRLALIAPSRRHHPLCKEHLLLPTRWPRVRLALGVRMAEMAMIQSSGALPEGEGDAVQKGGPSREANRWARVEAALNWAP >Dexi7B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:7B:3519189:3520142:1 gene:Dexi7B01G0002060 transcript:Dexi7B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEVYKAWDRVGRRLVAVKHLRGRPDAGFVPTNHHYFTREATSLAACRGHPSIVKLVATHADETHDIDGDFFVVTGYAGPINLRAYMKLRSKQGRPFQEHEVRDAMRQLLSGAKHVHKKGVLHRDMVPENVIVDKQSVRGEKMVYKIAGFGVSEPAVGAEKDGSAALASPEPYRAPELFLGSKDYDGRVDTWSLGCVMAELVTGDGGTAPFFSADVEAEPVFKKVLRVVGTKGILEWPGLKLLARRDVAAELREKGCASYAGCLRDMFPEEKLSEAGFEVLSGLLEPNPEHRLTAATALRKPWFRRRGLGGGCFVP >Dexi2B01G0032880.1:cds pep primary_assembly:Fonio_CM05836:2B:40637061:40644021:-1 gene:Dexi2B01G0032880 transcript:Dexi2B01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGVEHSVPLPAHGADAKGPPLEKQLNGFVKAVVRIERLGNALGTLAFTWATVVLLGGFSLDLYDRIVMILAEENAASSTASTPAPAPSDDNAKANLAPSLGIFYGMVLGQGALYLVACGLEKCMERNVLASNVLAPEISLCNFAIDSLNSDSTKRQLHGIRIVHILLQRDPATRTRLLVKLTAEMMTRLLRMLDWTGQGHETIRLVAVKVIDELARDLLIVTVPGIVQNVSLLLDCAEDGDRFLRKVAGQALAMLAMGNVDNCRAMLSEDTGYSIVKELTNMIQVVRCFPSGRNQATALLDGARDEDTPFSMVLY >Dexi2B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:2B:18816136:18816742:1 gene:Dexi2B01G0012560 transcript:Dexi2B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLCHEFQTDLPASDVWEVYGSLALGELVPQLLPQVLSKVELVEGDGGAGTVLRVTFPPTGTSGPASYKEKFTVIDNEKYIKEATVIEGGFLDLGFQKYIVRLEIVRQEDGTTIIKSTIEYKVDAEHTSNASLISTGALAAIAEAITKYIKEQKTGPQAHE >Dexi3B01G0031680.1:cds pep primary_assembly:Fonio_CM05836:3B:33713208:33719481:1 gene:Dexi3B01G0031680 transcript:Dexi3B01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVLNGGPGDGEPLDVEPDRGAHGLADLAAAVIHGGGGVGERCDRAHRIKLRNVVSAVHDLNVTGFCVTSNTITGDTSPAAASRCVVDGHGWEIPFHPALYVFAHGYCPGLDLLSPLPLRFDPGIDQFLAMVSGAAASASASTPASESTTVARRLNGLDLQADDATPSLQPAASKKKKKGARAVGPDKNGRGLRQFSTRVSKSLMEILICSFPVCEKVESKGRTTYNEVADELVAEFSDPNINIDSPDPDNPSAQQYDEKNIRRRVYDALNVLMAVGIISKDKKEIQWIGLPKTSMNDIEELKTEAVALKGRIDKKSAYFQDLQDQYASLQNVVQRNQQLYGAGDPPSGRVALPFTLVKTRPRATVEVEISDDMQLVHFNYKSTPFELHNESSVLKAIGLSGKEEIDATQARVANGGECSSTSNNCWHQSPRPARQRGVRLPNAPPIPAILKRRAKSEH >Dexi5B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:5B:17709810:17710975:-1 gene:Dexi5B01G0016880 transcript:Dexi5B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSALLATVLVMVSNTPLAKSQKNLNVKIMEAKDSRIKATAEVLKSMKILKLHAWETAYLDKLLKLRDVERGWLRRYLYTCSAIAFLFWASPTLVSVVTFVICILVDVPLSAGTVLSALATFRILQDPIYNLPELVSMVAQTKVSLDRIEKFIKEDHHGKPSNYCNISSTEKPSVPGIVEIEAGEYSWESADSILKTKFALNIDRKVNIMKGQKVAVCGPVGSGKSSLLCAIMGEIPRVSGAKTIVTGSRAYVPQSAWIQTGTIQDNVLFGKAMDKVLYDEVLQGCALNKDVELWANGDMTVVGERGMNLSGGQKQRIQLARALYSDADVYLLDDPFSAVDAHTGAHLFKEILKHINM >Dexi2B01G0021210.1:cds pep primary_assembly:Fonio_CM05836:2B:31101526:31102794:1 gene:Dexi2B01G0021210 transcript:Dexi2B01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTKMMTLAWASSSPSSVKLSFTITGGRNNLQTTSTTMPLATKRSPSPSSAHLITTNGRARRSAAAATTATAGEQQLHQQATSAELLMRRLDEDGWVEEHMLSLLTPVADAWQPADLLPNFAATADEHRHQVAELQARAAGVPDDILVCLVGNTVTEEALPTYMTMGNRVGAAANDATGHDGHGWARWLRGWTAEENRHGDLLSRYLYLSGRVDMSRVERTVHHLLRGGMRMLEPQCPYHAFVYGAFQERATFVSHARTAGRAARHGDACLARLCGVVAADERRHEAAYTRAVARCIEADPDAVVRALAAVMRAKVTMPGELMADGRDGDLFGHFAAVAERAGVYTAADYGDMVEHFVRRWRVAELDQGLSGEGRRAQDYVCGLPRKIRRMEELAHDRAARMEAQSVSFSWVFDRPVRVH >Dexi9A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:9A:93756:95031:-1 gene:Dexi9A01G0000120 transcript:Dexi9A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLESAVFQLTPTRTRCDLVVVANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLEPDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAIVVQSNESLGLRSKDDTLFFALC >Dexi2A01G0037520.1:cds pep primary_assembly:Fonio_CM05836:2A:47073020:47075504:-1 gene:Dexi2A01G0037520 transcript:Dexi2A01G0037520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTYKCCLIFKRRFHSRDAPPPDDVRALFSLHSGGGPQMGADGLRRYLHATGHEDALDDAEVDRLLDQILLQQQPGRARLPRLARPLLTLDDFHRYLFSHDLNPPIRHPQVHHDMTRPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAKDDINILHGRTLTTPVSLIKCLRSIKEYAFVASSYPVIITLEDHLPADLQEKVAKMVLEVFGDILYYPDTDHLKEFPSPETLRGRVLLSTKPPKEYLEANAVGTMKEGDADIHLGKGAGDDAAWGKEHDDDVSEHQRDDDADSDEEEEDAEKMQPHIAPQYKHLITIRAGKPKGSLADALKSDPDKVRRLSLSEQQLAKVAEDHGTEIVRFTQRNILRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMRTEPLVFDPREPQPVKKTLKVKVGIAGVPADSVMKKTKAIEDNWVPVWEEEFSFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNKYNHVKLLMRFEFV >Dexi9B01G0042530.1:cds pep primary_assembly:Fonio_CM05836:9B:42741951:42745465:-1 gene:Dexi9B01G0042530 transcript:Dexi9B01G0042530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSSRRAESPASRVAQWRLTGIVSVRESRLKLPENLLKDCTALQNISLHGNPITMDQFQQMEGFNEFEARRRKKFDKQIDSRVMMGSTALDEGIDFH >Dexi2A01G0030930.1:cds pep primary_assembly:Fonio_CM05836:2A:41735300:41740128:1 gene:Dexi2A01G0030930 transcript:Dexi2A01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGTGDYASSGPGGHYYPPHQYPPPGSNPYAAATDAPAPGAGGYASAPPYSVGGGYTDQPPSAPAYSQPPPAQQQPHYGAGYPPYNANPAPYPPEPYYTYTPPPSQPAVPPVPEPNPPPLPYDAPYYGGGYQPPATGYEDEDYLNEGAYAYSGGAGSEPYGARGTAPARSGSALFDDYGRSISVPSGREERPWSGGGGGGEERPWNGGGGGSGGGSFGAIAKALPKADSHEDASGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDSLTRWEVLDSTIFAIWAKTSVDIEAKRIRLKSNSYTANTMLDTVTAATVQFKEIGGDARSKESVDAGKSVVQSNEKKKGFDWMFAKPVDEVKDHWVPDEVAKKCHSCAVDFSPFNRRVIYATSLFVYSLSLMGRIALTAEDNAPLVRVCDRCMGEVTQRLSMAKAASRSTAVQSHEDLARKLKEEMERNRKSSGPSSGGGASGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFLVSAN >Dexi9B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:9B:2627395:2628730:1 gene:Dexi9B01G0004580 transcript:Dexi9B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPFIASQLERLAQLVDRHRTLTFDEYESGGRMATRSRAYEEIGLHQRHLFRQRAETASGRDAAAVDKLVLSLVDGEKVSDQLFPGATVWWHAHADEPPSATRGISRRSGCGSRSGRYHERYHAFVKDTYLPRIRREGRELIVKGRQRKIFTNICSVYTGSTWSHALFEHSKTFTTLAMDPARKKEIMDDLDKFKNGKEYYARVGKAWKRGYLLYGPPGTGKSSMIASMANHLDYDIYDIELTSVHSNAELRKLLIETTPKSIILIEDIDCSLDLTGARKKKKKNERQRRLVDAGRTGHHQQQSDAVRPAQRHRRAVVVLRRREDLRVHHQPRRGAGSALIRPGRMDKHIEMGYCCTEGFKSLAKMYLDVDAHRLFDAVGELLREVEMTIANVAEYLTPKSSEDIPDSCLAALVKALEEAAAKKKANGGNEHDVQDDEQ >Dexi8B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:8B:25363165:25364375:-1 gene:Dexi8B01G0014740 transcript:Dexi8B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMAAVVIFFVFSAGLSAAAAAVVEHTFVVSRVNMTRSCKEILVTVVNGQLPGPAIEVTEGDSVAVHVVNKSPYNLTIHWHGVKQRLNCWADGVPMITQRPIWPSHNFTYRFDVPGQEGTLWWHAHVGSFRASLHGAIIIRPRHGASSYPFPEPHREIPIMIGT >Dexi6A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:6A:19273215:19273448:1 gene:Dexi6A01G0012590 transcript:Dexi6A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYGAAISVKDINLGLYLGVPPTPPAPPSASMEKKSSMRELQRNVICKEDGRKEDINRRGRRLLRCRPRPSSRRLS >Dexi5A01G0032420.1:cds pep primary_assembly:Fonio_CM05836:5A:34959421:34960583:1 gene:Dexi5A01G0032420 transcript:Dexi5A01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGIETYSVQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAADQQLYAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSASKLATGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >Dexi3A01G0035020.1:cds pep primary_assembly:Fonio_CM05836:3A:40295121:40303805:-1 gene:Dexi3A01G0035020 transcript:Dexi3A01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPAHASPFVSTSHEQIQQRPIHLFHRPRRRRRSRDGFIDGEKDHGLPGGAAIPPDDLLEDIFLRLDDRRTSSEPTRPASPSAASSPICPIPGGLDSSANGIIFYEPFLDPATDKEETEDEHLPVRVICNVVTDKEIRTLVFNSVTGKWRAVTSFAIIGTTATFRWLSCQGRRYYSCSCFCWTGYGRKEMLVLDTREMKFSVADLPRESYNRMHSVVDAEEGRLGLLILVGSRKLLIYSKTWPDNGDGLKDWQCDNVIPLFDCNWFFSSGGAEGYAVLKAVAQYQNQIVGKKSDTQYFIVEIKTLLIKRLCSMKPGTDAPHYLYASFPPPLSLPSL >Dexi9B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:9B:4389772:4391075:-1 gene:Dexi9B01G0007240 transcript:Dexi9B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAELAAWLESRDAHWCSQYQAAPAPDEESEIVAQFLAAPYPYQNDDDDEQEQKHQHHKLGEINSTYWPELGHVTDAGNGACYWPSNGGDASNSNSSGSGAYFDGSGSCYYYLAEPDVSLGINTLTTLPCASSSIDLNLLGDGEEEGAASFVHPVVPPKPLPADHTHTAGHRRNGGDDADAARAAASLPKRKAQGGHDGGDLGRHKKKERKAASKTAQKCSQESTQSRGSCSAEESNCSEVNRRSGAHGGGGNTKARAAKGSATDPQSLYARRRRERINERLKILQKLVPNGTKVDISTMLEEAVHYVRFLQQQIKMLSSDEMWMYAPIAYNGMSLGIDLTVSPPQ >Dexi3B01G0034790.1:cds pep primary_assembly:Fonio_CM05836:3B:37482950:37483192:1 gene:Dexi3B01G0034790 transcript:Dexi3B01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYMDDKSKMLSKKGGGSSSRRSAATGEGGSPAVGLKGRASRGPAARSVPGRLAGLVKEQRARFYIMRRCVTMLVD >Dexi1B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:1B:18366201:18370114:1 gene:Dexi1B01G0013080 transcript:Dexi1B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGPAAEEKASANAAAAAAKGKEKAEIAPPELGRGRFVAYPAPVAEHADVVADSSRFRVALERLHAHMGTRLKVPIIGGKDLDLHQLYKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYLFRMQGWYQQDIDSITNSSIEGKTEAQASNKRKRGINASPSDPASSSDNVDVDVIIDGKFEHGYIVTVIMGSKSTKAILYNCTEEPVMPTPVPPVASNTTDLKGGRRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGQDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYQTQLAAYKEELRTGQPISNAVPIQQRLPQTEVTIDEVDSKVSEGDILLSNQGYSSSDESEHSGEKTVEDEELNTDTSPEMSMETTGSPGHPDPAADGDRFELRRRENSKADEKQNVPPDS >Dexi6B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:6B:20140551:20142869:-1 gene:Dexi6B01G0012510 transcript:Dexi6B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPFSLSASTVPARLRAAAVPAGTRGRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWAASEGDRVNKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVPLALAKAKELSNGQPQQAAAPAPAEDALATPPPPPTPAAAPAPVSAGTKGIASPQAKKLAKQHRVDLAKVTGTGPYGRITPEDVEAAAGIQPKPKPAAASPAVAAPSAAAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEGPESLTL >Dexi3B01G0033910.1:cds pep primary_assembly:Fonio_CM05836:3B:36682440:36689522:1 gene:Dexi3B01G0033910 transcript:Dexi3B01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEELLKKIRELEVGQAQLKQEMSKLIPGVGERRRSQSVSPRRGAPVPPPPPPKKGTPPGRRLSGGFEGNPRAWARGSASFPHSSPLQREGRAAGAGDASTSARLPERQYSRVLQSLGQSVHILDLEGRIIYWNQSAENLFGYSASEALGQDVLMLLVDSRDHNVATKVTNKVRSRVRTDENGIEREGGSGESHCSDRVTKEEPTSSGTTTPRGDAPRAPFAMEEHSPGKATNPNSDESGEGKVGFHRILSSKAEALLNKKGISWPWKGRENEGPDERNHVILPWLHDEQENGPNHRKVSDSSIAPDTQGTEHNQPTKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRSGTKLDVRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLDIPSDVDPQWTSIILSCWENDPQKRPSFQELLEKLRELQRHYAIQQRNAKNNIEE >Dexi6B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:6B:804308:805590:1 gene:Dexi6B01G0000950 transcript:Dexi6B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKATALRVTVQPLSGGHVLKVSLAMDTHQEVCLHLRTTTHPMVVTHSRDLQEAAWAGTKGRALHPTLHIKAVVRTTTSRDLNHTMASHQTTVLDQGTTIVMGNLRLLAMDNLRIRNMHPNRTMATGMVILDTMLRLQTSTMGNHQWVPSKAILNSQILMLGLHTVDLDNGRHPEVLRLQTAPTRHHCLHLMGHRLSNRLPMVKHMAQQQLDLMGMLKVTHSRVDKHQPSMVRVHQQRKAILSKERSKVDMGSTRKPNQHMVTKQLKPTRTMATRELQQILTMELSTNSQDMELLLQRPVRLVMLLHQQLASLQHIARQDIPNHLQTLQLMISLQQQQQQHQLRVDILHRLQIHSLLQQRGCHHNQQLLALDFCHLKDIVTLEM >Dexi7B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:7B:22496428:22504616:-1 gene:Dexi7B01G0016490 transcript:Dexi7B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASTLSSLLSTLRVDGPWTPPGAWELVTPESGAARVSGLGVRPRQEPIYELTSVTDADPVHYGLLKYLFIRSCEPYFNFIKSWIYRASVDDPYEEFLITQTENKDTRGDSSDILDEFALFPLMVIPFHTASNLLHKRTDHLDTSVSDAELLCGDSDATLTCNMGPEKDNDASSTSQEYSDKEDPLESSECSSYTSMDDVEVDSATDCGNSSSSMFSSYCINTGEAKCSLVTRKLLSSQASSAHHVINLASPIDECEKDGNLNGRHVPMHSQNIKHDVVPDALELDYQYSQFSPFNRFMKRTGCSSEKMNSVEEFIYTDHISSAEKVSHCTEVYPLHSESRHPRLLNTKTFEKSGKINQAWNTSIPYNLSLNPILKNPASCHPESDLQHKSKNRALATFDFESVTDPCEVYCGRSLSYLAESVNGTATVVKSSTEPSGQPDCSSKLLQAQARSQAHLTSSGEMAAKDNIPENASGGAFWEKSLQYSDKSKEKTAVDFSSQFDMPLDIVIDKCIIQEILLQYKYVSSFTMKLLEEGFDLYAHLLALRRYHFMELADWADSFIISFYHKELTQLISSSSHTRPELFKKLNFVMRVRYSCLEFFRTL >Dexi2B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:2B:284787:285516:-1 gene:Dexi2B01G0000650 transcript:Dexi2B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRRRQLHSHSYRLPNSFRDEIVVVVGCGESGKEIALELREVATEVHVSVKSVDTVVPGMRKAVSRHPNLHLHLQAIPKQSILLVQIFNSFIRISDDRAHVRGWPGNVHRLGSCVVADAIIYCTGYDYSFPFLDTAGHVTVDDNRVGPLTFPPALAPSLSFVGVPSQVAAPRFYEVQARWVAQVLSGRRSLPETEEMMRTAEEYHRPPRQGDRRRRAQAPLPCHLLRPPLL >Dexi8B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:8B:2008033:2009114:1 gene:Dexi8B01G0002860 transcript:Dexi8B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRAAIVLLVAASSLAVSLSSDGGATLFGYTEGTSTGPENWGKLSPAYKACGQGKQQSPIDIVTKQVVPNPNLDTLERTYEATNATLVNNGHDIAVKFDGNPGSITVNGKKFSLDTLHWHSPSDHTINGQRFPLELHLVHKSVDGAVAVIGILYKLGAPDSFYYQLKSSLGEMAGDKCNFAGEEESREEAGLLHLRSLQKRTGSYFRYMGSLTVPPCTENVVWSVLGKVRQISKEQLQLLKAPLPGSDARPSQPLNGRTVQFYNPPNSTVSFPM >Dexi2A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:2A:26781675:26788984:1 gene:Dexi2A01G0015660 transcript:Dexi2A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGGSEKETLVFTISLPYCVTGFLSIDCGMDPNKSGYTDPDTGIDYVSDGPYVDDAGESHATEGAQPRRYQTVRSFPSGVRNCYALPTEAGEKHLLRAEAFYGNYDGRNSSAGAIQFDLYLGGDPWETASVGGAAAAYIYEAVFVAWAGWTPVCLVNTGHGTPFVSALELRPLGDELYPPVAPGLSMAMYTRVNVGANTSFIRYPMDEYDRFWWPPTEVASPRSTNLSTQQTIQPNPSFAEPLVVLQTAAAAAGNGTALTVTTWQDASRAFMVFLHFADFQNAKFREFDIYLNGVVWSSSFDPSFLAASCVYNPTPYNSTDGNYNITLVATAKSVLPPMINGFEIYYAIPRVVPTTFPEDIDAIMAIKFEYGVKKNWVGDPCFPTNYTWNGVKCTNTSGNTTRITSLDLSKSNLQGAISTNFTLLTALQNLNVSGNHLDGNSQCKKNTGSLIFRFSSLPYYTYLILVLPVSINDHTRNEQLENAPRSRTSEGDHLQDSENRRFMYKDLEKFTDNFKGFLGQGGFGLVYYGHLEDGTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKDHLALVYEYMSQGNLHDHLKGKISAAETLNWGTRVQILLEAAQGLDYLHNGCSPPIIHRDVKSSNILLGQNLQAKIADLGLSRTYLNDAQTHISATAAGTAGYMDPEYYLTGRLTESSDVYSFGVVLLEAATGEPPMVPGHGHIVQRVKQRIASTGDVGSIADARFRGAYDVSSMWKVVDTAMACTAEAGAGRPTMADVVAQLKDSLALEYAREDECSVPMSVAASDSAGLVSAFGPSAR >Dexi2A01G0022670.1:cds pep primary_assembly:Fonio_CM05836:2A:34492715:34494693:-1 gene:Dexi2A01G0022670 transcript:Dexi2A01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMEAMGAIRRSLGSGVLLLLCLVPLLRGATAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTQDGVDLVVKDPRGDQIHDCREKISDKFEFVVQKRGVHRFCFTNKSPYHETVDFDVHIGHFSYFEQHAKDEHFGPLFEQIAKLDEALYDIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAGLVAASVVQVYLMHRLFERKLRSSRV >Dexi9B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:9B:12423188:12425408:-1 gene:Dexi9B01G0017670 transcript:Dexi9B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGNNECPACRTHCASRRSLRDDPNYDALIAAIYPDIDKYEEEELAFNEEENDRNKQIQASIAEAFRKQTEVIGRKSTAKATAAAFVRRSRRNIQTNGHSTYFRGRGRASSDDVAVTCSDDEEDGNGENCGKEESSAEESSPEKKQKRPPKWPTPRSSPARAACNEEFASDDKDDVGISRENFSTSPLRAWGKNGTRSQTRYSSFSGSNGRVVKGGRMIKLVECLRNSDDNDSEFIASQTSHKDEEVEIYARKPSYSDLVSTNTSSFDKARLVGEERLSDLRSSFTFPNGVLELVYAIKVTN >Dexi9B01G0046680.1:cds pep primary_assembly:Fonio_CM05836:9B:45887975:45891201:1 gene:Dexi9B01G0046680 transcript:Dexi9B01G0046680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATPRLGSTSHLFFPLSFSLALLCCIAVSNAAGDEAAALLAIKAALVDPLGKLGGWNSASHCSWDGVRCNGKGVVTGLNLAGMNLSGTIPEDILGLTGLTSIILQSNAFEHELPLALVSIPTLQELDVSDNNFAGHFPAGLAACTSLTYLNASGNNFAGPLPADIANASSLETLDFRGGYFSGTIPKSYGKLKKLKFLGLSGNNLGGALPAELFEMSALEQLIIGYNEFSGAIPSAIGKLANLQYLDLAIGKLEGPIPPELGRLQYLNTVFLYKNNLGGPLPKELGNLTSLAMLDLSDNAITGAIPAELGQLTNLQLLNLMCNRLKGGIPAAIGDLPKLEVLELWNNSLTGPLPPSLGGAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPASLTACSTLVRVRAHNNRLNGTVPAGLGKLPRLQRLELAGNELSGEIPDDLSLSTSLSFIDLSHNQLRSALPSNILSIPTLQTFAAADNELIGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLSLRSNQFTGEIPAAIAKMSTLSVLDLSNNFFSGEIPSNFGTSPALEMLNLANNNLTGPVPTTGLLRTINPDDLAGNPGLCGGVLTPCGASSLRASSSETTSDLRRSHMKHTAAAWAMGISAMIVACGVVFLAKQLYQRWYVNGGCCDDAGLEEDGSGSWPWRLTAFQRLSFTSGEVLACIKEDNVVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEEGATVDVRRQDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNVDTMVLYEYMVNGSLWEALHGRGKGKMLLDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTNMDAKIADFGLARVMARPNETASVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIMAEYGEGTDIVGWIRERLRSNSGVEELLDAGVGGRVDHVREEMLLVLRIGVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >Dexi9B01G0035710.1:cds pep primary_assembly:Fonio_CM05836:9B:37287835:37290899:-1 gene:Dexi9B01G0035710 transcript:Dexi9B01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPSSPTSVAAAGHAPFLQLPRQNSGRGGAPSPLSVSSPSSWAQAPAFSRSNSSGNGAADDAPGSGEDQQQLPSPVNGGAAPFFPQGAGDALLDDLQLQEQLAFLNDNPAHQLQGFPGFDGGECWSPGAGDGGGMPPFGGLGWHNGGPVHRRSASVNELCLGGVGGDGFGWKPCLYYARGFCKNGSSCRFVHGGLPDDAAALAATKMEAAADQQQQQYQDFLIRSKSQRLGGAAFPYSPTGSLPGSPSAASKCLSFLLQQQQQQQDRAAAAAALMLSGGDEAHKFMGRPRLDRADLASMMNPSSRQIYLTFPADSTFREDDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDMKQQQGDFSGCTTPTGLDARDPANELLLRRKLEEQQQAAELQQAIELQNRRLMSLQLLDLKASTGATATESPMPTPIANAFASSQPVSTTAVESPPESGEQLKLSSCAPEGKVNAVDKEESAGEASPNAAYSDQSGEHNLPDSPFASTAKSAAFGHDSFSATETDSAASRTVVDAGSITDGGGSHLRPPALDIPSPKPYFFPMH >Dexi7A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:7A:15890536:15895380:1 gene:Dexi7A01G0004890 transcript:Dexi7A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASGLLRRRAGAERIVQIRVVNVLLCYFWTFRVNPVVVNCFADTQIYTLGLLRSYTHVRNYSSQLSALIPATSQCSKLTRRRYYMPNASPYQVWSRSFSSDDGDKVEAVVPFMGESVTDGTLANFLKKPGDRVEADEVIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAVISKSAQPAETHVAPSEEATPKESSPPKVEEKPKVEEKAPKVEPPKTQAPKPTPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDEFVAKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINSLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGEILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Dexi5B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:5B:22001562:22003863:1 gene:Dexi5B01G0019710 transcript:Dexi5B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIFFVNYKMAQAARLHLRMQKEIKLLQDDPPHGVSLNENGLSSLSSIEARIKGPEGTVYAKGVFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSEPNPDDGLMAEISREYKYNRQVFDTNAQLWTEKYASPSAVDASCWGSVDAGVLAQNTEMENTESQRSSPNPSKKDCEGKQRKMRLLGQKLSLKSERSEENMKTVKQDPVGSHLPSMAGSTYPTASFADVSGRQDESENMSVRTASVVVSKKEYQGNKNMQLPDQELSVASEAPSKSSNGNDVLLYHLPTSASVANDHAMQSSDDILENSLPRSTGESPDSSYKLPEGNRRNIRTLGLKLSLQPIKPEKKSDDDQKENMAPSHLPPQQGFNKLQKRPLDTVSRKQFSGGPALVQQNPITERQQSNNQVVLNEECNQGRKKLCSLSRRLALKSKQPVVDNACEKEFKPANCSLSNKKPNELPLSAPPSPIPKGESMAPNELPLMTPAVLKNEAKALGFAGGQKDAKPGNSFVNQNAVAIENIVVSDSEDSEDERERTQRSRLALMRRRLAGKMGT >Dexi3A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:3A:4982022:4982350:1 gene:Dexi3A01G0007320 transcript:Dexi3A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPFALAVAAALVFAVAMPAAATQAPVPAPTSDGTSIDQGIAYLLMIVALVLTYLIHPLDASSPYKLF >Dexi6B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:6B:9235738:9239540:-1 gene:Dexi6B01G0007760 transcript:Dexi6B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVTVAAAAALRLCTGMSARGAAVPGRWRPLAPAAPVKLRLSSPAVRVPRATSPAAVEDGSNTDTVPIPKIIIDQDSDPDATIVEVTLGDRLGDLLDTMNALKNLRLNVVKASVNLDSTGKHNKFAITRASNGRKIDDPELLEAVDVDIATHIDIYNDGPERSLLVVETADRPGLLVDLVKIISDINITVVSGEFETEGLLAKAKFHVNYRGKPLTKALQQILSNSLRYFLRRPTTEEGSF >Dexi3A01G0023490.1:cds pep primary_assembly:Fonio_CM05836:3A:19195556:19197547:1 gene:Dexi3A01G0023490 transcript:Dexi3A01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEMVSPLPLFVVLFLLASSPPGAVAAAAAGGGNATSESCPLDLSYVATFPWDPTPCAGGASPNNMTACCQTLLSLLGIGLAERLRTTGLFRLPSASASAASAACLDGLSAAISGAPAALQGSSLVPKCFPDPGQFAITPSYCANVSTASEFASAAGNDSVQALNSSCADLSSPSSCAGCYAAGVAAAAHLTTAAANDSKSESCFYLSVLYAAGVSNAAGPTSPPTAACAFGLGLSSPPSSKSNNHAAIYATTIPIAFVILASLLAFFLWRKRRHADSIKKKRKNNHRICEEGSSAERRSHPRPNTGSILFDIAELAKATDGFAERNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDMDGGDEEFTNEVEIISHLRHRNLVPLRVCCISDDDDLLEEGGKQRFLVYDFMPNGALEDFIYREKPAAAKRPALTWAQRRSIILDVARGLEYLHHGVKPAIYHRDIKATNILLDGEMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLLLEVMSARRVLDMASPSGPVLITDWAWTLVKAGQAREVLDAALTAAAAESPRSGVMEKFVLVGILCAHVMVALRPTIGEAVRMLEGDMDVPELPDRPLPYGHSVMFSEAGSNFSASPAFSGPLAPFIDNGDMLR >Dexi3B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:3B:3798218:3799168:-1 gene:Dexi3B01G0005610 transcript:Dexi3B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPVPILMHGAGGGSPYLGLHHHEHLQQHGHGANGRHMSPPEVMVPEESKNRQLAVVPVGAGGGGGPGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPGGGDPRQLHGHHHHPHPLSPLAAAHHHHRGLLVAALPPAPTRMVMPLSAMQQQQQTHHSAASADSDDARAPGYQHQAAAAPPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLDDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRVTDGGDPEHQLAGMPLPEPGEIGRSPSRSPPRPPQQVRLE >Dexi1B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:1B:7647994:7654335:1 gene:Dexi1B01G0008790 transcript:Dexi1B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLPDCGCDGLCILHAFISCRPEMADECFSTQLIDGDGVFNVSGLENFMKEVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFRGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILREDIQKIWDSVPKPHAHKETPLSEFFDVQVVALSSYEEKEELFKEQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAVQHDYCPGFGKKISSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQSLLGHLRTRTLEEFKESFDKALEKEGFAAAARHCTQTFLEKFDKGSEDAAIQQVNWDTSKVKDKLKRDIEAHVASVRATKLSEVCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLERETKAAVSGLESAVVSFELDEATEKELLVKLEKHGKSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDGDNIENTLSLALVDAARPGTTDRSIQSSDPLASSSWERVPEEKTLITPVQCKNLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLLVIFVVYLVGKAIWVQSEIGREFQHGFLPAILSLSTKFVPTVMNILKRLADEGQRPAAGPERQREMELQPKPARNGSSYSNVTSAGSSSVTTSEIGPEYSSPVAQ >Dexi9A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:9A:1736973:1737810:1 gene:Dexi9A01G0003270 transcript:Dexi9A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGKRNMFNMNYCAGILCARTAGDLGTTLGIAHLRLCAITVIFQAECTSQTVCWNCKESGHIAIECKNEALCHTCNKTGHIAVDCTNERACNNCRQPGHIARECKNDPVCNMCNVSGHVARSCPKTTLSSEIQGGPFRDILCRICGQPGHISRNCMATIICDTCGGRGHMSYECPSARIFNRGLRRF >Dexi5A01G0032720.1:cds pep primary_assembly:Fonio_CM05836:5A:35137586:35141178:-1 gene:Dexi5A01G0032720 transcript:Dexi5A01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALANDLDQRERLVMLEGSQSPGAANAAAGDFLAEGSHNVSLGGDFSIQVLEKALEVWDLQVIPLDSPAAGSSQFDPEQEVAFICHLQDHWFCVRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMGTEGSNGFGQWLTPDDARGITASCNQVSAPANQVQSPVIGGQSGGMSEMDMITAQQEEADLNAAIAASLMDAGGPFPTYDAAQEDSKPQDAPVAEAASNKDNNQEEADKSEPTPAPCVETQGPASGSGTKRDFSSMEGKEPAKED >Dexi8B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:8B:3701557:3704834:-1 gene:Dexi8B01G0004200 transcript:Dexi8B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSLASEAEINLDLSRLIIDKPRFGLERKRSFDEQSWSELSHRQNDGFDSVLQSPAFPSGGFDSPFSMGTHFGGPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLSESPDCQKCMRFQSAHDSVYYNFQGIYGYPIEIQALFYMALRCALQMLKPEGEGKEFIEKIGQRLHALTYHMRNYFWLDFHNLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQSVAIMDLIEERWDELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >Dexi3A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:3A:16636984:16639671:1 gene:Dexi3A01G0021030 transcript:Dexi3A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQPVGIDNTSRRKFHKEEYLERARQREQREKEEARKGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLNQQAGYFCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPSTFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQAAQHEPEDIDPDVAAMMGFGGFGSSKK >Dexi3B01G0030750.1:cds pep primary_assembly:Fonio_CM05836:3B:30967605:30967854:-1 gene:Dexi3B01G0030750 transcript:Dexi3B01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMMLSSSTGAMNSTIAKLSVLLGDQYKMFKGVRKEIEFLKHELSYMNAVVQIQANNESLDTTTKVWRDNIA >Dexi4A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:4A:7143127:7144626:-1 gene:Dexi4A01G0009050 transcript:Dexi4A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEVSDGLLGTFVPIAVYWLYSGLYIVLDGLGMDDYRLHPKGDEETKNIVSKWTVFKGVLVQQAFQIAVSTLLFTVIGDESGTVRKQPSALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLVSGMTPRVGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKGGGFEARPVRLKKAQQTKTD >Dexi9A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:9A:612212:618685:1 gene:Dexi9A01G0001190 transcript:Dexi9A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVAPPPPAPATDLFGEPIEAHPPWFKPDSFLRPDFDPDAYVAELRSYVPLESLAAELRAHLAALRAELVGLINRDYADFVGLSARLKGVDTAAARMRAPLADLRDKVAGFRAGTAAALAALRVGLEQRAAATAARELLELLLDTSHVVSKNLPFIENMEKRVQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYAKAVAGASSDELEDDYQQIKQCVEKDCKFILEISSSENSGLHVFDFLGNSILKEVLSAIQKGKPGAFSPGKPKEFLKNYKASLGFLDFLEGYFQSKTAVTKFRSEPAYTDFMRQWNVGVYFSLRFQEIAGGLDSTLTNTINPAGVNEAQEKPLLLKQSIKLLESLQACWSDEVLVFSHCDKFLRLSLQLISRYTTWLSSGLSARKGSDGSSNLPTDAEWALSIPIDDFIYVFLEGERVKYLSEDDKTKLCRGSTDKITATYYDLVSEVVTVEYARNLRAIGIDAREIDSYRALWQCVAPKDKQENIQF >Dexi3B01G0032690.1:cds pep primary_assembly:Fonio_CM05836:3B:35117122:35117665:-1 gene:Dexi3B01G0032690 transcript:Dexi3B01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVVKAALWVVELVLGPAKDALLKAWAASNDLGTNFKALENELLAAQAVLSDISGREIDNAPLAELLWKLQDHAYGAGDLLDELDYFRIDDVLHGTSEATDKRHVAPTTSLSIHATLSCPWSELPVNASPAPPRHPLMIFAALLACMVVLLPYTRHGERGTHQKIWHLIGLMSPKE >Dexi9B01G0026660.1:cds pep primary_assembly:Fonio_CM05836:9B:28827785:28830831:1 gene:Dexi9B01G0026660 transcript:Dexi9B01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMIGITTLRCHHRRLPARSRATSTARSCTLPPRRHRRGSPALRSSSCPASSACSPHGRPAAALSRVAAKNKGFMGPAGNGAATLHRPSSSDLHRRLIVPNSTAGTGDASGSSGLLPTILGVAHLIVSLGIVLATDKFLKQAFVAASIKFPSALFGMFCVFSGLVIFDTFAPALAKGFMDFFEPATMFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFAITSLGTTAKTCLPFLLAATVLGYMVGSGLPAGVRKVLHPIISCALSADLAAVAYGYLSGSGLDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAIIGRLIGLEPSLTISILPRCITVALALSIVSFFEVVVLTGLIGANFVQAAMDKLGLSDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYSLTGIFGSLICSVPAVRQSLIFIAG >Dexi6B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:6B:22843961:22850173:1 gene:Dexi6B01G0015520 transcript:Dexi6B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPAATTTPRRDTPSSPDLYPRDARDHSPASCAAAPIPNRHVTQSPGTPSPSAPVLLLRRRAFATGSALACSKKGFVPLKHQQQFNSSAPSVSWRDKATHDFLSLYSAAKDSALPLLPESKPPPPASHQGFFLKTHDFLQPLERPSSQSPPPPASDKPLRQHALPGGIGTFSISRAAACAAADQPGAAAVKQEQPPFAVWGQPDPRGHPWSLPFAARCVAVASARPQPQQQQQQRPTERKAGGGFMDAGSRSSGGGGFDDDDGLAARREVSSSLKELSVRVEAKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSHPEWNQENAKIMPWSNIYFRSSWKSSQNKDEINGDMGSDPTQVIKDGSTPRFPFAAKPEDHNSAGAFETAPGAQELAEPKSCLPFKQAETPSNVANTVATLQSAPLKNSSPSNDCAVPNGMLNNPELAIDEGTISLSSQYSQGLLTTLNLALQNSGIDLSQANISVQINLGKRAVKRAAPGSDSTSKDLINQASRDQGIGHQLRSPDN >Dexi9A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:9A:9527576:9530474:-1 gene:Dexi9A01G0014420 transcript:Dexi9A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGVDATGRYSGPSEQAQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMESIRAGPYGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDEEEHDGDEEHA >Dexi5A01G0023870.1:cds pep primary_assembly:Fonio_CM05836:5A:27836090:27837409:1 gene:Dexi5A01G0023870 transcript:Dexi5A01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAEGLPPSCSEVITCGGQVVQYPFWLNDSTSDCGYPGLGLVCENNDTLILPVELHRYRVGHIDYPTHTLVVSDADVVESEIDYGCPRLHVSLTLT >Dexi5B01G0033440.1:cds pep primary_assembly:Fonio_CM05836:5B:33845180:33845633:-1 gene:Dexi5B01G0033440 transcript:Dexi5B01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRASSSLRSRLLSSSSVCPLWRLLLSSPVHTEAPHQTQTLAFDEIQLSPEKPPTATAFVLHGLLGSGRNWRSFSRTLAVENGSGGFEEPWQVGRD >Dexi9B01G0025410.1:cds pep primary_assembly:Fonio_CM05836:9B:25587937:25588828:-1 gene:Dexi9B01G0025410 transcript:Dexi9B01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSGMADEKAPEAFGLSRHVAEAELEEEHGGESSVKSKLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLMGSWTAYLISILYLEYRTRRERDKADFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLAHGQVEGVAHSGPTRIVLYFTGATNILYTFGGHAVTV >Dexi4A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:4A:1228004:1229385:-1 gene:Dexi4A01G0001840 transcript:Dexi4A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDEEMCDASYSGPASPGGGAEFDEEGPEEAGDMGEGVMVVEVTWFQVDLDYEFDAPRWFDLAQEEPPLEAAVSQGWFASAPSYPPSHDS >Dexi2A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:2A:941977:943200:-1 gene:Dexi2A01G0001440 transcript:Dexi2A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHRVPELVDDAVEEVLIRCLPDDPARLHRAALVCKRWRRLVSSPAFRRRYTERHHRAFHHPLGFVCNFTTAVSSSFSGDDNGGADDHTAHFVPSPLSSFHLPAAAAAAGHRKMRALDARYGRSDQVRKLDEGPRY >Dexi3B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:3B:7902107:7902973:-1 gene:Dexi3B01G0011240 transcript:Dexi3B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLDGLPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPPAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVREKAASDPESTPLCEFYETFDRAAAAGDLASLMSPGVYTLADLRALGRQRRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECAHNIDNVCIEALSVSIRKQTLEGAERNLRRISHEIDRYYSQMLEKLQFSL >Dexi9B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:9B:7220707:7221243:-1 gene:Dexi9B01G0011370 transcript:Dexi9B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAPSLLAVSSGAAFTAQPSPRKGASLFRDRLVSRRARISAKLGGDGELKPPGKKKFITREEEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIGFANGWIKVPVR >Dexi5B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:5B:5580500:5581871:-1 gene:Dexi5B01G0008280 transcript:Dexi5B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDANRAMPVSHSRLGGKLLNVVPMLLLFSLGFVLGMTYSSKFPNFYLPFVPPLLSPTPPSPPPFPPPRPPPSPPPPTPSPPPPLPPPPPPPTNPQQAGPIRFLAPSTVTHNMTDEELLWWASMTPKAQNSPYHRVPKVAFMFLARGDLPLRPLWEKFFAGHEGLYSIYVHTDPSYTGSPAEDSVFYGRIIPSQRRLLANALLDLSNERFVLLSESCIPLYNFTTIYTLLTGANTSFVDVIVTTARYSPLFSDRNNLTASQWRKGAQWFEVDRAAALEVVSDATYFPTFRERCAGQRGCLMDEHYFPTLLSVLRLLPRNANRTLTFADWDRRRRSGFHPHVHRAEEVTAELIGEIRSGERAGGANCSAFRDAASGVCFVFARKFTPDTLEPLLRLAPKVMGFG >Dexi1B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:1B:1828126:1828362:1 gene:Dexi1B01G0002220 transcript:Dexi1B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMPAGDDGGDDLKTSWPELMLNAADRINIDRPDLSVAFYVLPTPLPTDYDANRVILVGDDRSVVVRTPVIG >Dexi3A01G0030370.1:cds pep primary_assembly:Fonio_CM05836:3A:34345472:34345982:1 gene:Dexi3A01G0030370 transcript:Dexi3A01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVECAIPVPASVLSRNLVELLRSKAGADVTFIVSGESIVAHKGVLAVRSPVFITEFFGEMKKESRHVEIKGMDARVFNAILGFIYTDAVPELDEGIGRGRRRKRLWHSTCSSLQTEQQNCLRLKAKCIGFIAGAVT >Dexi8B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:8B:9664336:9666994:1 gene:Dexi8B01G0007770 transcript:Dexi8B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMIGDGKSRFSIKGQPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPVKGSTVAIFGLGAVGLAAMEGARLAGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDHDKPVQEVLIEMTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHREDQFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVEMYMKKELEVEKFITHSVPFSEINTAFDLMLKGEGLRCIMRMEG >Dexi6A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:6A:24268927:24270136:1 gene:Dexi6A01G0016400 transcript:Dexi6A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLSRPRTTRPHPLPTAYDDAWAALAWVLENARHGSGEPWLSKHGDSSRLFLAGDSAGGNIAHNLAMRVGQQQEHGVRIKGVALLDPYFLGRYVSPGAERAWGFICAGRYGTGHPYVNPTALTAAAWRGLPAARVLMTVSDQDRLGPFQRAYVDALRGSGWGGRARLYVTPGQGHCYFLNNLDSPKAAMHMATLAAFINGS >Dexi8A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:8A:136965:143286:-1 gene:Dexi8A01G0000180 transcript:Dexi8A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDDPVLAACKRKLAHFRIKELKDVLHQLGLPKQGKKQVCPLPLSAKIFRRYHLSSISICCNSGISLCLLFSFIGLEIVTYEPDVPRNNLNCILPVSGREVAVKIVEDTYSFCLLFSVKCKTLQLLLLPQEATLSQGTVLNLKKKLDDSAKLNVKVRCPCGNSKPNDPMIECVDPQCNVRQHVGCVVIPENEKSADSLSPDLPSCFYCEMCRISRADPFLVTINNLLLPVLLGPSTIAADGSYTVQYTTKTFQLSRANREMLQKSEYDIQVWCILLNDKVPFRMHWPLHSDMQVNGIHVRVVSRQPSQKLGANGRDDGPLLTDYLREGPNKIALSRNDSRTFCLGIRIAKKRSLDQVLNLVPKEQDGEKFDDALARVRRCVGGGTEANNADSDSDIEVVADSVSVNLRCPMTASRIQIAGRFKPCAHMGCFDLEAFIEINQRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIKHCGHDTSEVDVKPDGSWRVKGRAELKDLAQWHLPDGTLCVATDTTAKPKMCIVKHEVKEEPLSEEVGCSLKLGIRKNSNGKWEINKRGDTDLVQSSDIDHARHMGNKTLTCSIDGTSIGDEGYNSEPARNDYPTTYVHDLDSSASAENAPPASTEQDVIVLSDSDDDAVTVLSPSAVICGSAHDTGNLFPPNPPETSFPSLKEGFDDLGLSFWERPPSPADDPTYQMFDEPVSVVDLGVKAVAASAPEDGHDGTLQPIQACDKGTTAGTNEGLVNSQNESRKRRNSGDGTAAALDGAAVGGRTGGDGVSEAELEEGRSVRPRL >Dexi8B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:8B:5321550:5322146:1 gene:Dexi8B01G0005280 transcript:Dexi8B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATMISVATTTIFYMLCGCMGYAAFGDGAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFVQPLFAFVEKWVAATWPDSGFVSGEVKVGPFVLSVFRLTWRTAFVCFTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPIEMYVVQRGVRKGSTRWICLQMLSAACLVVSVAAAAGSIADVIDALKVYRPFSG >DexiUA01G0009460.1:cds pep primary_assembly:Fonio_CM05836:UA:18631231:18632325:1 gene:DexiUA01G0009460 transcript:DexiUA01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSTLPGDMVRLIVGRLHDPLDFLRLRAVCRPWRSAAGSSPPSPVLPWLLAHPAGPARSTASLSFFSISSGAARSVPAPSSSHSLLCPTRSHLLLADTTHHLLLLNPLTGAQLPLPDSPFPTFSPAIQGYLVPAAADDDSPAPVVLYNARKLFFHFDFIAAGEPTKEPVDRDGWTEVPVPDLVAENMYHDGKLFVCDDRGHVTVFDAATLPVVGAVPSPPLPPGVPQRRDVFKCTAFVPSGDELLCVIRYFASDGLVELREGCGSGLERLEMGIEKEDQSLQSRWVRMRSIGDRILFIGLFQGFSFSAANFPGFKGDCIYFFKLERDKRSSIYRFSLEDGRTEELPGPWMHACTWFVPSLS >Dexi3A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:3A:10268180:10268844:1 gene:Dexi3A01G0014020 transcript:Dexi3A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPSGVSTPGSGPTAVHSSPAASESGQLPRTLHSSLALLAESPSIMLCSPALALAPNVIGASSSSSPAIAGAGAGAPSSPSSAMASSSAAIMASSSATAAAAGASAAGADAASSFATVRSIETARSATSSATASQDGFLHALAMPIDLCLPKVMNT >DexiUA01G0002680.1:cds pep primary_assembly:Fonio_CM05836:UA:5963674:5971216:1 gene:DexiUA01G0002680 transcript:DexiUA01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGSKGLILQWHQRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDEEFEPKISDFGFAKLLQRDEADSNVSKVRGTRGYMAPEWVSSAPVTEKVDVYSFGVVLLELVMGLRVSEMPTDGSGDAESALRQLLSTIGENMKTGKETWIDDLVDPRLNEKGQDEQRDHVLAEEAGRVEVESVCQRVVDHHHQAEVGRPVLEALVTAGRAKGNGCDGPTQGELCLKKCSCVAFEYKVHPHPTGCFLKASLFNGKTSPGYPGTAYVKVPESFLSRSQASYSSELSHGHVCNASRTLTFNYAAQTNDMKGRTWYYYWCFLAAFFLVELCFITVGWWFMARQQPARSAIWVAEHEEGLRVVADHFRSFTHNELQKATNNFKDELGHGRHGSVYKGTLQDNRIVAVKKLKDMKGGEAEFETEVSVIGRIYHMNLVRVMGVCSEGKHRLLVYEFVENGSLAMFLFGSKGLILQWHQRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENTLLDEEFEPKISDFGFAKLLQRDEADSNVSKVRGTRGYMAPEWVSSAPVTEKVDVYSFGVVLLELVMGLRVSEMPTDGSRDAESALRQLLSTIGEKMKTGEDTWIDDLVDPRLNGDFVQSEVLLLLEVAALCLEQERNGRPRMSVWFICSSDPLMRVDLTTGKGPLFFNLSFPLEGIM >Dexi6A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:6A:25883529:25885580:1 gene:Dexi6A01G0018060 transcript:Dexi6A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLKDGHKGNFVAVGTMGPAIEIWDLDIVIFSVDCFEPHVVLGGKSKNQKGKNKVTKYRKGSHRDSILGIAWNMEYKNVLASASADTTIKIWDVAVGKCVTTLEHHDSKVQAVVWSPCSSEMILSGSFDKSIVLKDVKNCVPDCIRWSVGADVETLAWDPHNEHLFVLQQQYG >Dexi5B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:5B:5934582:5935404:-1 gene:Dexi5B01G0008760 transcript:Dexi5B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVASSIPLTMLWFEAALLLVVAAVASTAAVDVVVPDGMDAIRLPTDVRGVADVVAAMARATDDASDEERPWKCCDLAVCTRSQPPICRCLDKVTRCSSACKQCDKTSDTMSRTCTDWYKGQPGPRCHHDAGSSSSLAAAAAGTTMMAAGDENEKRPWKCCSLPICTRSQPPVCHCWDVVKRCSRACERCEQVAEGSSSSSGTRRYRCLDTHRGDPGPRCEEKWAPTVARRR >Dexi5A01G0031150.1:cds pep primary_assembly:Fonio_CM05836:5A:33988125:33990074:1 gene:Dexi5A01G0031150 transcript:Dexi5A01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRTAAAAAAAAVGGPGERSVPASPPMPPPPLAPSGAPAVPPVTSLERTVRTLDRQISQFVTMDRLIWADSGDADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDAAPQVPGGFGSDESEEEDYDADDGFGDEPIPIAKPVTDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYATARRGFIDESVARLGIRSRTTEEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLVPCGDLAFVAAVRTQALQLISFGDAVAAASRAPERLFRVIDMYEAVRDLLPDLDPIFSDPYSAALRAEVSTVCSTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGALGATAITVDPDRPTSSLAVHIAWIMDVLHKNLEIKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGILLGDEWMKQMMSRVRRWSMEYQRGAWAKVMSVLQTGCPGVGSITAKAMLQKMQMFNSYLEEIWAVQSDWVIADEQLRADVKSAIVESVMPAYRGLIGRLRSSPEAARDLFIKYTPEDVQARIQQLFEGVAK >Dexi6B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:6B:26979058:26979589:1 gene:Dexi6B01G0020550 transcript:Dexi6B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding APGKKADAKTQALKVAKAVKSGAVKKKTKKIRTSVTFHRPKTLKKPRDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Dexi7A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:7A:26596673:26596895:-1 gene:Dexi7A01G0016750 transcript:Dexi7A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLEAESSGGRSLLVADATGGGGRMKRGQGGGLLEAATAGAKAEGALAIVGGGLVGDEEGLA >Dexi1B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:1B:26150260:26151208:-1 gene:Dexi1B01G0019950 transcript:Dexi1B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTTEGHVVGIPVSNRAYAIEEPDLNYGIEEPEFQVEETPDHGGFSSSFQSSYGESRHTCSLSARFRKHGRKGDKIAQGIKEHVTLGPKLADMVKGKLKLGAKIIQAGGVEKMFRQWFSVDKNEKLLRYSQCYLSTTAGPIAGLLFVSTARVAFRSDRSLAVSSSRGDTARVPYKVTIPLRKVKAVRPSENKHRPEQKYVHLVTNDGFEFWFLGFVSYNRSLQHLEQAVAYAQQAQ >Dexi1A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:1A:81329:85047:1 gene:Dexi1A01G0000100 transcript:Dexi1A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAMAQPRREAMAARGGLSHLLDNFEDSRRPSSPSGLGSRFSSKGGRTASETGWAGRPGPLLRLLQAQPHFLSFGFGPFPFSPSLGHPAAHQPAQSASFSLSLTDSWAQGVSPFFLLPPSQQRACLPAGSSPPPGLSLRCDPSPPRACVTSPRTASLPSSPLCAFPPTYPSYKAPFPFASAPRSFLPPHHLDLHCRRRPKFDLLPRRSGFTAHNCINAWDYGLLLGCWPDFSNHIDLMEFVLWFECKLLRPSIHAKLDDSSTDINAGICGALERPHKNERCLGVGLHVEDNEIHRDEEILDFHRNILRDPSWLVSLPPNSGGRQSSRRAL >Dexi5B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:5B:4768084:4768374:-1 gene:Dexi5B01G0007110 transcript:Dexi5B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVIRALLESLQLQGVRGLGLERATSGDTPDKELPEVRLNICLVSEVFVQILASGFGTLAFIWATVVLLGGFSAFLHKVDFWVITGIVFVQAAK >Dexi5A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:5A:8354923:8355846:1 gene:Dexi5A01G0011160 transcript:Dexi5A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGETDEEEAGNYFIFSAPASPVHYILRSPPSSTAAAGYSSSSSHCATTEAPDCTAGDFEFAARGAAGPGGPDAGAAAAMMCSAEELFVAGRIRVGRLSPIRQEEEAESCRHAGCWEEEDEGDGCGDEEADGERCSPTAARRARSASPPRSPRSAGGVEPSDPFASSSSSSSSSSSSTARSIRRRISLRDLLTRTAGDCAGASDQAAPGGRAEISRQGFWPPSIWPSRSSKKALLLPCPAPPPQPGRRSTSSSDRGTAAAANKRTPGASARRTTSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSR >Dexi1B01G0031640.1:cds pep primary_assembly:Fonio_CM05836:1B:35100277:35103120:1 gene:Dexi1B01G0031640 transcript:Dexi1B01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPTPFLKLKAPNPPIGGYPRPSSTAAAKRRLTTLRCALNSENNVVCAPRAPYDHKVCVLTRSATKAASVFPGKTFTRTTDVVSTNLAIEASSFYSTNKHPLIHYIAASTYPGVTIAEQGDWEACVRASSAVVNLAGMPISTRWSPEIKREIKQSRLSVTSKVVKYINHAENADAQPSVFVSATAIGYYGTSEIHSFDESSPSGNDYLAEVRFSWIHLDDLVNLIYESLINPAYKGVINGTAPNPVRLSELCQRLGQVVGRPSWLPVPEFALKAVLGEGATVVLEGQKVLPVKAKDLGFSYRYPYVEGALKAIAQDL >Dexi2B01G0023570.1:cds pep primary_assembly:Fonio_CM05836:2B:33050824:33051028:1 gene:Dexi2B01G0023570 transcript:Dexi2B01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPELGAPVTCCVTSDESLGLLQRIPIQPEPAAPLLRDVRWKAELADKDRRRRTGGGIA >Dexi1A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:1A:25300075:25300813:-1 gene:Dexi1A01G0018040 transcript:Dexi1A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCFRRDQETPVAVEAAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCSEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Dexi7B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:7B:15570265:15573685:-1 gene:Dexi7B01G0007810 transcript:Dexi7B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTTAAAAATLRASATAAAPSARRAARASSRAFFPNSSASAARARVGLRAAPPSRLPQRAMAVRCAAVGAAVSDAAQLKAAREDIRELLRTTYCHPIMVRLGWHDAGTYDKNIEEWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIKDKYPSITSADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPSSPADHLREVFYRMGLDDKDIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFTDIKEKGDQDLLVLPTDAALFEDPGFKVYAEKYAADQDAFFKDYAEAHAKLSNLGAKFNPPQGFSLDD >Dexi5B01G0023780.1:cds pep primary_assembly:Fonio_CM05836:5B:25890327:25898039:1 gene:Dexi5B01G0023780 transcript:Dexi5B01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLEATRAAHEDLERLERLAVRELQRDPANSRDRLFQSHRVRHMLDLVVSTSDKLVEIYEDKDNARKDEINTHLTAPSQNHLFAKYYERLKEIRDYHRWNPSARFVSTTDDYEELLKEEPVIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGSFSQTEKISQSHKTTRQYKEYLEHILEYLTSFLYRTEPLQDIEKIFAKLESEFEERWANGEVPGWVNKATENPEEPEIDLDYYSTVEELVELGPEKLKQALAARGLKSGGTVQQRAERLFLLKHTPLEQLDKKHFAKVPRTKDVSNASSNGNNFKDDLKKEIALMEVKMRRLCELLDEEEVQADTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKGLWEKIQARQGLNKWRPDLEEEYEDQEGNIYNKKTYADLQRQGLI >Dexi2A01G0030400.1:cds pep primary_assembly:Fonio_CM05836:2A:41355788:41356631:1 gene:Dexi2A01G0030400 transcript:Dexi2A01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTTAAAYLPDELIVEILAWLPAKSLCCFKCVSQRWCRLISDPAHRVRLAQTLSGFFFVSRDPAWRFTALPSSVTPLGLAGDDGLPLVDTALSFLPPSYGEINILGSCNGLLLLLCSNNDLLPSVPPPFYVVCNPATREWVVLPQPRHIPGEEYGNECNATAVTWYATIGFDPAISSCFYVVEEDYMIRNYLKAVEIYSSETGTWDLTESEPECIHFLGRITYFNGFLHLPMSSNAVAMKIVTKIKAVVTKAILKGACFT >Dexi4A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:4A:9706910:9707615:-1 gene:Dexi4A01G0011540 transcript:Dexi4A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQPPETERPSTEDQQPKGILERMHKYLLLLAILAATITYNAGLTPPGGVWVDDADGHIAGDPILQARYPARYSVFFYCNATAFVASLVIIVLLLSSSFSFHGYRVRALQAAMLLDLLGLMGAFTAGSCRKVRTSAYVVALVGAVVAYLAAHLVVHFWVRSNRCPSRRQEVVELLNLNRRTTSCFERDKRVNINQ >Dexi9A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:9A:1838851:1839219:1 gene:Dexi9A01G0003440 transcript:Dexi9A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCIHALAMACRRPYVLSPSAAMVRVLRPPLVDAERYATSWIQMQEGAVRLTSQLPAWRAAADPRPVLPSPSSAGRAAAAAYAATGEAAGAVAGAATGAPLHALLYWISILRVGFRIGGRG >Dexi3A01G0026730.1:cds pep primary_assembly:Fonio_CM05836:3A:23165231:23166591:-1 gene:Dexi3A01G0026730 transcript:Dexi3A01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSWFDMNSGSFSVAFRTPPVSPPQHDYLTGLRRVCSMEFKSHHMNSPEGLADPLLSSAAAPSNAELSILPQSTIYNWSCGHFSKPLLTGSDDNEEANATREEREQTALDCIAKCQRSSCKMTSQIASWDTRFESGTKATLLLPFSPIVVAADENEQIRVWNYEDALPVNSFQHHKLSDRGLSKLLLINELDESLLLAASSDGNVRVWKNFTQKGGQKLVTAFSSIQGHRAAGRSIVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALVSSCCHVQFD >Dexi9B01G0031700.1:cds pep primary_assembly:Fonio_CM05836:9B:34024073:34025204:-1 gene:Dexi9B01G0031700 transcript:Dexi9B01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGSRWCSHAECAAAGSSRSIPCGGRGGGRWPPSGRTRRLVSFRPSSTARAKASSMDGRRSGGGGGGGGAAGDSMQRRWGEWPGGRGIARESTRLSSLQQGEGGAVRLPAGHGDGLMVTLRLPEAARLRATALFVSLASLRFARKATLLDHRTVGKGGAHGPHDERGAYRAPTRVLPSAPGPRRQGSGDHEGQRAWRPLPVAVRGSVTASSSVDRAELPAEQRQGRRDLHGDEDKYHSVSFGLSVGGLS >Dexi9A01G0048560.1:cds pep primary_assembly:Fonio_CM05836:9A:51309054:51312251:1 gene:Dexi9A01G0048560 transcript:Dexi9A01G0048560.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKKNANHQLKTKRGEHRRSRSRRQRGDSGSASHQGSKTSGAEMGSPLGGWPSYNPHNFSQLVPADPSAQPSNVTPATYIATHRTDPPPNQVITTESRNILLRHFYQKSEEKLRPKRAAPDNLAPENSNKQPRGAVADVGSQSNARS >Dexi7A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:7A:21411280:21412710:1 gene:Dexi7A01G0010700 transcript:Dexi7A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALMALPDDALADALRRLPARSLAAARCVCKAWRGIVDDRGLLLPHLLPHSVHGIFINYIDHDRPHLFARPSPAASPEIDSMLSFLPNDESRDWWSVMDHCDGLLLCDIDRESQLCVCNPATRRWTPLLPERLDGYAGAAHLMFDPAVSPHYEVILIPDVPKKPSRPYGWKAKKKKRQHKIDGPFCLQWLFSSPDEEELQTATESAGHVLPCVDEDEDDDKEPDDPYRLMEWPPSPWQLDVFSSRTGQWEVPESQKLAAMEADDAGAVGVTVQAFVVANAMLDAENV >Dexi9A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:9A:9240984:9242237:-1 gene:Dexi9A01G0014070 transcript:Dexi9A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARLPCINFTGVDPSSPGAGRWWTRWPRSAASTRTTRALSPDLRAAFFDGAVKNLLALPADAKRCNTYGRDKPLFGYLGATPGVSSGYESLAISERVEPERVRAFANLMWPDADNAGFSEAVHGAARWMAELEEAVQRMVMEELGVCRCHGAMSESMRHVFRMSQYMPPISDDTEGRSKEVIRHGTHQDCSMLTVICQHGEVDGLEVQTSDGEWVRFRPSSPASLVVMAGNELRAWTNDRVRAPFHRVAVGGDVARYSAILFALPGLRIQAPDELVDDEHPPRFKPHCNDDFMRFCIAQGARHEDKLRDFRGV >Dexi5A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:5A:725090:726684:-1 gene:Dexi5A01G0001080 transcript:Dexi5A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLPCAAPPLLLFLVIASSPGPAVSAGSSRRRYDSIFSFGDSFADTGNKPIAFAMYSVPVTVMRPPYGETFFGGRPTGRTTDGRVILDLPKTWGCRSYHRPCWLLTTAAASSATAPTSISAGATTLAAEFYHARGIPSAASKLPINTSLNVQLEWFETLVPSLCATSQDCNELFGKSLFFVGEFGVNDYHLSLKKLSVREVRSLIPHVIETISMAIERLIVKHGAKSLVVPGVIPSGCSPPILTLFADRASPADSDSRTGCLKQINQLGKRHNSLLKAALHDLRARHPHVRIIYADFFGPIMEMIEAPRKFGFRQDVLTVCCGGPGRYNYNDSVACGDPDATPCTNPSASLYWDGVHLTEAGYRHVADGWLRSILSSDRGSGGERCQASASTHGSPQ >Dexi3A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:3A:582175:582603:-1 gene:Dexi3A01G0000750 transcript:Dexi3A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESAWKLLIANFSEFQLATVITFLLHEIVFFLSGLPSLLFERFGLFAKYKIQEYILINSNISNN >Dexi9B01G0039480.1:cds pep primary_assembly:Fonio_CM05836:9B:40156110:40159044:-1 gene:Dexi9B01G0039480 transcript:Dexi9B01G0039480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQILTYPLQTVNARQQTERDPSKPAFKDGAARQLYLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNRAEAKALERSRRGLGDGSVGMLQSLTVAALSGCVNVLLTNPIWVAVTRMQTHRKANKQQSPQGLTCALDKALEASTTENTPYKTIDVELYKEAGVFGFWKGVIPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLSALEIFLLGAVAKLGATVVTYPLLVVKARLQAKQRIDDDKRHRYKGTFDALTKLVQHEGLTGMYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGNTTLVKKLPSKPAR >Dexi5A01G0035410.1:cds pep primary_assembly:Fonio_CM05836:5A:37180013:37185765:1 gene:Dexi5A01G0035410 transcript:Dexi5A01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSALAGCGSKAITGTAKCGFRLRVSVSRYLFNCPRKTHAHAPRLLPHSSTLRRDRFRLIVQATPPSPPAGAMGSAGREAEVTRADFPGGFVFGVATSAHQIEGARREGGKGDNIWDVFTDNKERVLDGSNADIAVDHYHRYKEDIELMASLGFGAYRFSISWARIFPDGLGEKVNEQGVAFYNDLINFMIAKEKWNSGEKIGERAASEWLFIVPWGLHKLLNYVAKKYDNPAIYITENGMDEEDDPSTTLEQALNDTTRVGYFKGYLASVAQAIKDGVDIRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSRFLKGEAAENKADAN >Dexi1A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:1A:3090777:3093804:1 gene:Dexi1A01G0004220 transcript:Dexi1A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLPLLLRRSAAASRSLRSSRASAPCRWSPRVAPSVPRAAGAGRVSRCALSSSPEVVALENFLPQLDRSNLALACMTARKNTRKKVTGDAILLCGDGPPDELSDDQEFECLDMEQLHDDFHNYPVEDVDPLFICPYSTHRDGYIYKGAKYSWKKNYRIKDRNEKASPAGNCLLIATYVLPIPACCWSGFCTPIDYFIRMGEA >Dexi8A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:8A:23205945:23207491:1 gene:Dexi8A01G0013190 transcript:Dexi8A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYVLEVEPGKTYLLRFINAVLTSEHYLKIAGHKLTVVAADANYVNPYTTDTVAIAPGQTLDALLVADAPPRRSYYMVSMGSQPTIANPPPPVIVTRGTVQYRSSSSDDHEPAGNGVPLPSMVPDMPDLHDTATSFYFHGNLTSLHKSRVPTRVDEHLLIVLSAGSICRRGHRSCKRSGDMESNVLVTMNNISFELPAAAAAAVTPLLESHYYHRNEPVYVTLPDRPQRAFNFTDHALIPFGTKEAALEPTGKATTARRFRHGMAWLWRWCPRTRPLCRVTTTPCTYTGTTCSWSPRDVTTMTRREMWHGVWYVHCHYEYHITIGMAAVFIVEDGPTVNSTLPPPPADLPKCNNGRH >Dexi1B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:1B:17280334:17281133:-1 gene:Dexi1B01G0012680 transcript:Dexi1B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIGDRGVLTNKLRIEVHDDSDMEEAREVNAVREAPPLSGCNHNRGGIGTNRRRLLSKQLSMKETTREAKWEKRRQQIQRRSSMVSVVRGKSAVQERHHVVRSSSERAMRCLTDEDLDELRGSFELGFGFDEETGGAHLRNTLPALDFYFAVNRQLSDPKLRTLPSASPTSTLSAVSSSSTLPDTPSPRSPSDVADDGWKIFSPDERLRHWAQVVACSIKHGC >Dexi7A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:7A:28412438:28414761:-1 gene:Dexi7A01G0019110 transcript:Dexi7A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEESAFSKMVQSTGPSNAEYLKSLVFASGEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQSVLEGKHNTEINESLTQYEVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPSYNFENNGSIDWDQM >Dexi1B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:1B:26068001:26070505:-1 gene:Dexi1B01G0019850 transcript:Dexi1B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPEPVPVNPAAAAVVFLVSFPAHGHVSPMLRLARALATRGVAATVAVPDFVHRRIVGNVGAGVELASIESGVPDDGEGEPPGFASFAHSMEHRMPASLEAMLLKKKTTPRHGDVAACLVIADVLASWAVPVAARCGVPAVGFWPAMLATYRVVAAIPELIDEGLISDLELELSTAELPWLVGDAACQKSRFTFWLRTMERAKGFRAILVNTFPGEVIADSAGEHLLHASLVLQILQVGPLPTKGAFGCDLLLDDSPPAKNPSMWQTDDTCMDWLDQQREGSVIYVSFGSWVASIGPDAINELALGLEATGRPFIWALKDEPSWREGLPRQYTSGSIAGRGKIVGWAPQEDVLQHKAVGCYLTHCGWNSTLEAIQHGVRLLCYPVAGDQFINCAYIVKMWQTGIRLWSTERSVVHDCVERIMEGEEGRRMQEKVVELRERVMLDETRCAAKRNLDSFVDGIMREDFVLGQLSL >Dexi2A01G0023470.1:cds pep primary_assembly:Fonio_CM05836:2A:35174687:35187866:-1 gene:Dexi2A01G0023470 transcript:Dexi2A01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCRARGRCCPAAVPKIHTDPLPPAPAHLPPTLAGFSLAFLSVRVLSRPPPPPPPRSTCSPPRGNRAAAASGSPRAAPPDLRLARSCKMADICFIFTRENVVELLQRYRRDRQVLLNYILSGNLIKKVVMPPGAISLDDVDVDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAVEEFYLLTKPEYSGPAPTREPPPVPVTTPSPVVIPPPVVESAPVTMSSPFAATNLTKSQSFDSPSEKELTVDDIEDFEDEEDEFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHKLIRKLGRSKSESVDTHSQRQPGLVGLLETLRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNILIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINNPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETVHDTCYAWVLFRQVGSIRVIINVGSAMQFVLTGEQGLLKVVIDHLRKIPLKEQRGPQERLHLKSLRSAVDADGSYQDFTFFQSFLSPIQKWVDKKLNDYHLHFSEGPTLMDDVVTVAMLTRRILGEETDKALESTDRDQIDRYITSSVKSAFLKMAHSVEFKADTAHEHVLASLAEETKKLLKKDTTIFTPVLSKWHPQAAIVSASLIHKLYGNQLRPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVAGDAGLDSICRQKIAPYQIESKSGTLVLRWVNGQLERIETWVKRAADQEVWDPISPQQRHGSSIVEVYRIIEETTDQFFAFKVPMRDGELNSLCRGLDKAFQVYTQLVTAPLVDKEDLAPPVPVLTRYKKELGIKAFVKKEVQEVKTVDERKAAEITQLTMPKLCVRLNSLYYFFMQYGISQLSKLEDSINERWTRKKTDCANIRRSTSEKSKSAVPNQKNQFDGTRREINSAIDRLCEFTGLKVIFWDLQQPFIDNLYRSSVQQARLEIIMEVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILDGGSTRVFAPSDASYLEDDLEILKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGGKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPST >Dexi5B01G0026380.1:cds pep primary_assembly:Fonio_CM05836:5B:28179304:28184516:1 gene:Dexi5B01G0026380 transcript:Dexi5B01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIGLSARAAGASSSSSSSPSGAGDEDDESKTRKSHPAFVAAAYSRLHSSHRAAVSLLLLLTVAVAAFLAGRARPSLSCATPRLDARFLALPDAAAASDFGSLGVPWLKQALKAGFRHLIFEDNYDTGTGGGHSCFWDSDEARLRSKRKKLWEKAVEMDDLCGKGDAWWGVRAGSSISDDATSSAQQHPIVLMFERLKSMSPPLRNFLRSRLPAVDALVLDMFCGDALDVAAELNLPVYYFYASGAGDVAVFINLSRSTTTSSVDLDGDTVLSFPGAPPFKASDLPQEISNGSPGAKPILHALSRLPEADGILINTFESLEPRAMRALRDGLCVPDHSTPPVYCIGPLVSGGGEKEHECLRWLDTQPENSVVFLSFGSMGTFPKRQLEEIAIGLERSGQRFLWVVRSPRDPEDMSGKPLPEPDLDALLPEGFLGRTKDMGLVVKSWAPQVDVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRMNKVFIVEEMKVGVEMSGYDEEVVKAEEVETKVKWVMESEGGQALRKRVEEVKDKASQALKEGGSSHAAFVEFLKDLDNMRSL >Dexi9A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:9A:4916513:4917781:-1 gene:Dexi9A01G0008340 transcript:Dexi9A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGSKESQNYDNNNQKVHPQPIDENMNQNGDSMDTMIRRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTKLSELKHTYREKNPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDNAYQSMHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKLAYSFDPKVKVFQVKKGSEFSDIHMESVVKNIILDEGAERPKVGLMVMPGFLIGTSIIQSRVYLSDVKCAD >DexiUA01G0011890.1:cds pep primary_assembly:Fonio_CM05836:UA:23695064:23696464:1 gene:DexiUA01G0011890 transcript:DexiUA01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVKTLHPKVHGGILGRRGQDDGIMEKHDIAPIDMVVVNLYPFAQTVAREGCSLEDAVENIDIGGPTMVRSAAKNHKDVAIVVKSSDYNTIINEMDANEGSLTLETRFDLAIKAFEHTAAYDSMIANYFGSLVPAYHGESTEPSGRFPRTLNLNFIKKQDMRYGENSHQQAAFYIEEEVKEASVATAQQVQGKALSYNNIADTDAALECVKAFSEPACVIVKHANPCGVAVSTSILDAYDRAYKTDPTSAFGGIIAFNRELDADTAQAIISRQFVEVIIAPSASDEALKITAAKQNDRDLGMVTAGDLRVVTKRQPTEQELRDALFCWKVAKFVKSNAIVYAKENMTIGIGAGQMSRVYSAKIAGIKAADEGLEVKGSAMASDAFFPFRDGIDAAAAVGITCVIQPGGSIRDDEVIAAADEHGIAMIFTDMRHFRH >Dexi7A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:7A:19113765:19114906:1 gene:Dexi7A01G0007850 transcript:Dexi7A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEVALRSCNARPMPALGMGTAAIPPVPEAAKNAVLAAIEVGYRHFDTAEAYATEKPLGEAVAEALRRRLVASREELFVTSKLWCTKCHPDLVAGPPSFPARKEDAMPFDFEGVWRGMEECQRLGLAKAIGVSNFTTRHLHKVMAVATIPPAVNQVELNPVWQQQKLRDYCAEKGIHVAAFSPLGGQNWDGGQGNAVLGSEVLAEIAKARGKTIAQV >Dexi7B01G0022130.1:cds pep primary_assembly:Fonio_CM05836:7B:27103951:27106183:-1 gene:Dexi7B01G0022130 transcript:Dexi7B01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIGAINNYTVALQDASSPYDPTESLMFLAHFVGDVHHPLHCGHSDDLGGNTIIVWDVNVIETAMKDFYDNDLSTMIQAIQHQQNITVR >Dexi5A01G0026590.1:cds pep primary_assembly:Fonio_CM05836:5A:30186651:30187681:1 gene:Dexi5A01G0026590 transcript:Dexi5A01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHDGADESSARAPLLPPPAPRRAAARLHPLPLIVAAAFVASFRLLFLAPDPSYYQSLLLSLGSNATAAAHLRALTLRPHIAGSEANALTAAYVLDALSSHSFPTHLTPYSVLLSYPERRSLSLSAPGSDTTHFALEQESYPGEPYAAEAVPTFLAYAASGSVAAEAVYANYGRAEDFAYLAARGVNVTGKVAVARYGKVYRGDIVRNARDAGAAAAVIYTDAKDYAAGKAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCQRLSIAEAMASDDMPGIPALPVSGMDGEAILQLIGGDVAPEDWQGGASAPAYRLGPGPAVLNLTYVVGV >Dexi6B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:6B:7908755:7909550:-1 gene:Dexi6B01G0006910 transcript:Dexi6B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSAVSSLLGQLSGLLVDEAKLLGGVRHDILFIKGEMESMQGFLLDAEGSGPSNQVMAWRRQVREVAYDSQKCIDLYVQMVGASRPSAGLLGSVGRLPQLFRTMPARHRIAVEMKELKDRAREVGQRRRRYGVKAPKGLIAGTAISAGPLLLPQQHQRQEAVEQARRRRAIAEATDWINTDAKHVMNWIAPGSGESLLQEERHTTFMEDR >Dexi2A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:2A:5714377:5716498:-1 gene:Dexi2A01G0006010 transcript:Dexi2A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVLAVVGRLHEGLRHWPTTARDDLNYPTMAAHVTPGWNFSVGFSRTVTNVGHSAYSIYIVYLGHLPSSDVSESEDGLSAVEFAHQDLLNQVIDDSRNFNGRDDP >Dexi1B01G0020840.1:cds pep primary_assembly:Fonio_CM05836:1B:26847475:26847959:-1 gene:Dexi1B01G0020840 transcript:Dexi1B01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAYMDGGQLGACLPSFLVPDHYAFPLLPLPLQLPSQPNKLLQMPFDQQEEGEHHGLMLSSDHCGLYPLPSLPLGCSGAASAACGGKPTTGFMPTTLGADEVCTSVTKGCNESASTT >Dexi5A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:5A:6678799:6682051:-1 gene:Dexi5A01G0008910 transcript:Dexi5A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSLLRSSSSALRRAGAPSPATTRHASPAGLLLARFAASSAAQPAPPSAAPSSSPAAAAGKGKGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGGFSVFAGVGERTHEGNDLYREMIESGVIKLGDKQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Dexi9B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:9B:9720712:9721077:-1 gene:Dexi9B01G0014530 transcript:Dexi9B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTPAWKAYGHSTPAVPEADCHPDDPSGERDRRVTAALLPLRPGSLQPDHKFFVIIKAFASRVILATGYILTHSTTSPCRACREPWAEFPFTAFVAMLAALSVLIVDSLMLTF >Dexi4B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:4B:16193384:16196128:1 gene:Dexi4B01G0014970 transcript:Dexi4B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTASALSIGVVLRGLIRVIVTDAHRAAIASATPRWASATRDPRSCAAHARRSYNRCSSTRSASAFAYDGPCRRSVAKESGVAAEYKLEKRVEAVGGVRCLTKLDMKLNDTLPKIEVDPETYTVTADGEVLTCQPTPTVPLSRNYFLF >Dexi3B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:3B:3583341:3586689:-1 gene:Dexi3B01G0005360 transcript:Dexi3B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPTARACDYKSGGAPPPPKARKRIPLRFVSPSSPQSPAPPSIRLSAHGTRRSLAVCTAVEKGGKRVMACASPSIGVSIKCAPKAFRKSSVPGGLKSIASFPVSRTLNGLYSPIIVQNHACGSNTAFKSRGLSRALSSTNGSLKVPEQIQEKSCIKLICSFYTEERVTVLVIGGGGREHALCYALERSSSCDAVFCAPGNSGIAQSGDATCIPDLDITNSEAVISFCRNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYQTFTNPSDAKTYVKGQGAPIVVKADELAAGKGVVVAMTLDEAFEAIDAMLVEGSFGSAGKRVIIEEFLEGEEAFFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGKVSLTWSPELATVVVMASEGYPGAYKKGTVIKNLDEAEQVSPAIKIFHAGTAFDTDGNFVAAGGRVLGVTAKGRDIEEARTKAYDALDVVDWPEGFYRRDIGWRALKYRHMAAH >Dexi4B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:4B:6888098:6888745:1 gene:Dexi4B01G0009490 transcript:Dexi4B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADSKTEDGHKSLPQLCYGDDKEEVSSNSNAMVVRDDDQRVKPGEETALTFEIVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLINNVQVLQEAGKATGEGQLRAMVEMLQQEKQLLEQMPYVQLEEKTKRLRQACFKANYKKRRLLALEGGDAPRISKY >Dexi5B01G0024250.1:cds pep primary_assembly:Fonio_CM05836:5B:26315453:26317466:1 gene:Dexi5B01G0024250 transcript:Dexi5B01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMDEVPGRSSSVKATRSIFGESIGGRKQQKNMANDVLGQQNLSPATKQLAKSSMDKLNQRKAAVDKERATAESELARARAMAKDLERQIEQTKAAKARSEVQAMQTTRASKKETDAPSSHSHSQEEEEHDAAAYAEVMLELDHAKQELHRLKLEVRSAAEAKAKAESDIVASAISIQSNLRTADEMKRLVEEANEEHVLVELARIEAERERREIDAQRRAEAERFAAEMEATRAKIKSLRKDLRRAGEMEAKLAATNADVEVLQAEMELVRAMTRNNDGVVAEAEAARRKKEEEEKDGQEKAMLQAAEAELEAAKKELESIKAGGFQFMTSMDTTRTEIMRVSEEVNRLKAEEKKTDAQVQQLNARLLKARARLEALTATRERSKAIVSNLTSALQQLRDEKEEAREEAELTDIEQRCVRAEAENVKAEIAVAEARVRESVKELEAAKAAEAAAMKKLRAAVEAATQARAGSRKKKITISRFEYEYLSGRAAMVRVVADKKVSAAHAWVVALKAGEKEMEARAVAAERVAAEMRAREAEAAAEAEEKESEQKALEQELYDLNAAAEREGLMCAYPRRRSTRVSATMRRRRRSSVSSAAGIRNPRSPSFTIKRKKKVMPSLFKLIKQRKGKNTS >Dexi6B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:6B:2472729:2473433:1 gene:Dexi6B01G0002940 transcript:Dexi6B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMARALVLPVAMAAALLLVATVFMEGAAAATYTVGAPDGLWDMQTDYAQWVKTKTFHPGDKITFTYSAELHDVVEVSRAGYEGCSSANNISASRTGNDVVALTAVGARYFLCGLTGHCDSGMKIRVDVVAAASAAPGPASSASSSAPSSCIIAGGVVAAALHLMQHAVVAQPLWW >Dexi9B01G0044590.1:cds pep primary_assembly:Fonio_CM05836:9B:44315882:44320201:-1 gene:Dexi9B01G0044590 transcript:Dexi9B01G0044590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQMTSSNLLRTKGFPGNMHLCWPRAQRVNIRLSSSPGAVVSKGFDSPLVEKSDTAVDAGIIQLYRIPFLQESETAELLRKVKAKVSANIVDIITEQCFNIQLDNPLTPEKLSVLHWLLAETYEPEKLQTGSFLEEEVSRSSSTVIVEVGPRMTFSTAFSTNAVSICRSLSLVEVTRLERSRRYLLHLEPGSDPLDDGQLKEFSALVHDRMTECVYPTKLTSFQSDVVPEPVRVVPVMEKGKEALEEINVKMGLAFDKQDIDYYTHLFRDDIKRDPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPNTLFQLVKKPLKANPSNSVIGFKDNSSAIKGFPVNQLRPTIPGSTSPLAIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDHSYAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKDDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCQRERVSMAVIGKIDGCGKIVLVDSAAVEHAKLNGLPPPAPVEELELEKVLGDMPQKTFEFKRVSQVTEPLDIAPEITLRDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLMWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGILLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVPYLKKVFEAIQELITERLISAGHDISDGGLIVSVLEMAFAGNCGFKMDIDLEDRSLIEGLFAEELGLVIEVHSEHLNVVKQKLEAAGVSANVIGEVTSTPEIEVFVDGNLHLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWSLSFTPKFTDENLLVASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIKQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGTGGDMSQPRFIHNESGRFECRFTSVTIGDSPAIMFKGMEGSTLGVWSAHGEGRAYFPDDNVLATVVKSNLAPIRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >Dexi8A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:8A:21205215:21205658:-1 gene:Dexi8A01G0012200 transcript:Dexi8A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLICCRWRCRLLVNDGAREPRFERGSSRLGLNEPRLNSSRAQFIVEEELDGFLCFRQRKHLFGGGLASTARHCHAPIAILYPAFPEPSSSPRLPLPSRTARHRSSSLAVNGSWRRQWWTTADFFDSSEGGWRIALGGVGEEARVL >Dexi9B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:9B:10631831:10638794:1 gene:Dexi9B01G0015550 transcript:Dexi9B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGNLDAVLKEAVDLENIPLEEVFENLRCSSGGLTSEQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQILNLAYNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPIATLIAVYANWGFTSIKGIGWGWAGVVWLYNLIFYFPLDILKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKLFPDRVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Dexi9A01G0034250.1:cds pep primary_assembly:Fonio_CM05836:9A:39041969:39042439:-1 gene:Dexi9A01G0034250 transcript:Dexi9A01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEQELDLELTLFHPGAALATAPEEPAGFFLCTYCDRKFYTSQALGGHQNAHKYERTLAKRRREIAAAMSAHRRPAVAPASAPVDHLAGSAGVNDLSAAGAEPAGRTMLDTQKAAPSSSLAWQSGGLLRRGMRSSSSSELGGESAGELDLSLRL >Dexi1B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:1B:25246724:25248352:1 gene:Dexi1B01G0019110 transcript:Dexi1B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGKSSGLNSFGLMWCNGLVCGPCVLFLTYIQGDLRRAIEFPYLYSPGFQDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >Dexi6B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:6B:26830915:26831121:1 gene:Dexi6B01G0020370 transcript:Dexi6B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEEGTATMCGEGDRRGGHGDDLRRGTPARRPRQQPAARTTGEEATTTELRRRPMGANEEADETQRG >DexiUA01G0026480.1:cds pep primary_assembly:Fonio_CM05836:UA:56506357:56509245:1 gene:DexiUA01G0026480 transcript:DexiUA01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLKGQFDAPPSVSVRHVLCPVHQSTITFVVSLPLLANTPPVAIVPPSRHPGNLIAGPYLLLSTHHYRCSAPAVDKCSLSNGCHHSKVLHSSHTTPPLSPLFGDPHGTQAVHSPPCPPFFDKQALPPPFCKATRKCPSRQPPCRLTKVYGTNLTEEGVRAEVT >Dexi6A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:6A:9988291:9992081:-1 gene:Dexi6A01G0009020 transcript:Dexi6A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVTVAAAAALRLCTGMSARGAAVPGRWRPLAPAAPVKLRLSSPAVRVPRATSPAAVEDGSNTDTVPIPKIIIDQDSDPDATIVEVTLGDRLGDLLDTMNALKNLRLNVVKASVNLDSTGKHNKFAITRAVRIVSILEACKPSLVMTCEYCSHINRQVDVDIATHIDIYNDGPERSLLVVETADRPGLLVDLVKIISDINITVVSGEFETEGLLAKAKFHVNYRGKPLTKALQQILSNSLRYFLRRPTTEEGSF >Dexi5A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:5A:22820076:22823771:1 gene:Dexi5A01G0019280 transcript:Dexi5A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSAQQQHLLLQRKGKAVAEKGTATATATEEKVVVAVRAATREISKTAIVWALTHVVQPGGSIILLVVIPGHTSGTVSCDCASGHKSMLNQKSDISELCSKMMEKLDVSYDIKDKINVKTKLVSGSPAGVVAAECKRAQASWVVLDKELKHEEKHCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKSTCAIPPVLDGSSGKAATDVKEARSSVRGPAVTPNSSPDLETPFGSTEVGTSSVSSSDPGTSPFSASETNGSLKKDVQITKDQIQHSDVNISDSDSESLSPPATFSLHPWMADIIQGSASSKSLGKGPRKTRTATADALLEKISKLDLLNEISAMRSRSDLNFRGDVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNRETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPMGQQFLTEWARPFLEEYAIDDLIDPSLGDRFCENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVDSGSVTASSDSGRSWRMLNDQQHYQDYSSPGQQDSQRAGEGKRSYNALRASWDRDRQSMSNRY >Dexi8A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:8A:10697920:10698338:1 gene:Dexi8A01G0008740 transcript:Dexi8A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLYMGCVDVRDVADSLIVLYESPSAKGRHLCMESVERLVDFTNHVADLFPELPVQRIIEDKQGWVVRANDPSKKLIDLGIRFTPLGVTIRDTVDCFKSKGLI >Dexi5A01G0032110.1:cds pep primary_assembly:Fonio_CM05836:5A:34699335:34700852:-1 gene:Dexi5A01G0032110 transcript:Dexi5A01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQAKSGLFVGINKGHIVTKRELPLRPSDRKGKATKRVTFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKISLQ >Dexi6A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:6A:5181663:5184469:-1 gene:Dexi6A01G0005650 transcript:Dexi6A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDAFFKGGGGGGFRAAKWECPIDLKEAISSICFAAPRCADLPELIQVQMMFATKYGKEFVAAASELMPDCGVNRQIIELLSIRPPAVDAKLKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTYFNGSSLPLPKEKHEETLAASAAEQPEEDYESDAGLESLDLPEVLKAAIRPPDAASAPDVGSHDRSSQSIPHEFSNPNLPSVPKAAHPPSDVPSYQDIGPHVQSSQSIPHEFSDPTDLEENPTADGIFKIQMKSLEHLISGQSAQSSMPDSPNEKKQFIPFATPPPVTATSLVEKIESIPSPSPSPPVKPTEPEIFTKKIDEVPPTDYMFSKQREQVHTISPTGSGAEIDLDDVLSAAQTAADSAERAASAARAAANLAQLRIADLKKNTRSYSDGVAKESRHQTEATQKPVFDHQDSFTNNTQDYVSSHVPQRSPSLEDDPYFSYPNLFSPPKP >Dexi2A01G0029030.1:cds pep primary_assembly:Fonio_CM05836:2A:40117803:40122632:1 gene:Dexi2A01G0029030 transcript:Dexi2A01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAQHRVRVSFRLAAGLLLVSLLAPLAAAQPPWWVCGSTGSYTENSTYQSSLNQLFATVPTNASRDLYAIATVGAAPEIVYAVALCRGDTDASTCESCVAAAFPEAQQLCGFDKCVTVFYDDCILRYSNKDILADSSNGNLTMIMSQQNVSSPVKKVFDDAVAMLLNDTANYAAMNTSRRFATGEQGFDSRYYPTIYGLVQCTPDMSPAACQSCLGDIIALTPQLSGRQSGRIIGVRCNFRYDLDKFFVGDPTLRLQTPFVPAPNNGMPTSTPEDSTKPEDFEGIGSLLLHLSTIRAATDNFAESNWVGQGGFGAVYKGVLPDGQEVAVKRFSQGSGQEMQELKNELVLIARLQHKNLVRLVGVCLEEHEKLLVYEYLPNRSMDTILFDPEKSKELDWGRRFNIINGIARGGYMSPEYAMRGHYSVKSDVFSFGVLILEILTGRRSSGSYSFDESVDLISLPGCPPTRSASAPAHRASRHQQQEEEEEEEEEQPAFAAAKAAKGSSARCGVKGALNPQLNMREGAAT >Dexi9A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:9A:10354701:10358646:-1 gene:Dexi9A01G0015500 transcript:Dexi9A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKRPRACTAPPSPDQNETTPPPQPPPPPEEEDGDPPALTTTLPADLLPEIAARSDITTLVRFAACCKPLRRDILRPAFIRRVCREPGPGGGAAVVPPFVLGFLHAYDRASMKEEYPGDPRRGVLLRGAPRPVRGSHSRCLPSRRLRAPDLVPQRPRRAPPQGLAAAAGAEIQPPPPELQPPLAVLQLPPPQPLTAAAGAADGLQTLHTSVPLPGTSGAQHVRQHPPPDPAAAAGVAAGVRSGSVGPGVDPLLPALQQPPPLTPKLVGAYHAELNALATAAGLARLASTTGPRGYAGSLPLDGGMRVFDHAVSAGRPPLRDTGKQVSDGAVSAEAALAAALSAAKVEAAAAEARVRAASATWARERATADALACRVAEAECYLHPASSLQPVVPYTDFGASSSRPPPLEGSRGHLPDPVVTQLHFQAIGVQHIRELVSIVLDSSSTSYNRWLVGGIQQNIPL >Dexi6A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:6A:16501176:16505383:1 gene:Dexi6A01G0011540 transcript:Dexi6A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATMASSSSRTLIPSRHHGAAPSPSTSGDSSARLLRAQPRHGRRGRGVSVSTPAARRRPFVFTPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFIILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAETGGIPIGIGKNSHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >Dexi2A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:2A:15917199:15919161:-1 gene:Dexi2A01G0013120 transcript:Dexi2A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLLSADAALAARFRPSTPARGPSRVVPGRRSASCRPVRARAAAAASPAAGGVTGQSHGVYTVGDFMTRREDLHVVKPTTSVDEALEMLVQHRISGFPVIDDNWNLVGVVSDYDLLALDSMSGNGLTDTNTSMFPDVDSTWKTFREIQRLLSKTNGKVIADVMTSSPLAVGIITRGNVVSAALKIKKKSEEGA >Dexi3A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:3A:9698979:9705473:1 gene:Dexi3A01G0013310 transcript:Dexi3A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVRCPNPTSFDPAPCSRRKVETRVGTFRPAHVVLFRKCMTPSACGRLYYLSALVNQPRFHPAFPACVWTRLHPRLPRCPPPPPLSSSAATSPRLPAIPSPPPDLASHAARRRRRCPLHGQISPPTPPAPAVTDFLSRRHPCPCPCPHCTRFQCVHKVPFISECPRRLSIFTTWLPRSSLVSTTPPRSSLSQVDLVKEEHDQAEAEVDLTSWVVEASTAAAEERRRGKSRGGGVRRKRKRDGGGERGAAEEEEEHDGGQRVAAAERRRSSAAEVKEWRRRRRQTGFLLLPIGNPGLWTCGVGNQQKGIRETELAGEPLLPHDSTCGRRSFRKNRVECSEATRRSKRKRHVPSLRPCRAAAVAPHRPAPTKPPPTTTTTRNPPASSAYIIAASDLTLARDSSNRRAPLNLPSAPIIALLAALMASVEAAAAAKGKRRLVDGRVVDQAVAYVLMAAALAVTYLRAAG >Dexi3A01G0025400.1:cds pep primary_assembly:Fonio_CM05836:3A:21075204:21083959:1 gene:Dexi3A01G0025400 transcript:Dexi3A01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDAVASPSRPRSARYAEKGYPNYAELDARRGPRWRSDGGGRAKKPEVRRGRKRRRGEDVEAAAVGKVQPPILQAEEAVTQEHGRKRRMTDATAADKVFPLIEDGIEDGEEEAASTDERGVGKNWRQRVKETLRMFSTNYLHFVQEEQRREEAGKQDPKASRTIKCQVRFVLCTQWYFLLIMQKGYENFAFPLATCIVLSGKYADDFDKANEIIYTGEGGNNLFGNCQQQTAQTLVRGNLALKNSKDNGNPVRVIRGHVEKSSYSGKVYTYDGLYKVVDYWSEKGVEGHLVFKYRLKRLEGQPPLTTSQVLFTRGDVPMPISELPGLVCGDISNGQENFPIPATNLVDNPPVPPSGFVYSKSLKIPKHINIPVDRIGCNCTGDCSTSDHCLCAKRNGTALPYVSTQRKKAKQNGSKHNNVGRLVEPKAVVYECGTNCTCHCNCVNRTSQQGLNYHLEVFKTESKGWGVRTWDTILPGALICEYTGVLKRTTEVEGLLENNYLFDIDCLQTIKGLDGREQRAGSELHIASLHAEHESEASQAPEYCIDAGSELSYDYGYRLDSVTGANGQIVKLACHCGAAGCRKRLY >Dexi3B01G0027190.1:cds pep primary_assembly:Fonio_CM05836:3B:22714313:22714733:-1 gene:Dexi3B01G0027190 transcript:Dexi3B01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSPASGRETATISSNTRAASGTRSDADAEAARTSGRRSGSGSGSSGEASPSGLAGGGIRA >Dexi2A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:2A:11232637:11236791:1 gene:Dexi2A01G0010070 transcript:Dexi2A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDVGSCNMLRVLFEGAIAGGAAGVVVETALYPIDTIKTRLQAAQGGSKIQWKGLYAGLGGNLVGVLPASAIFVGVYEPAKRKLLEMFPENLSAIAHLTAGAIGGAASSLIRVPTEGYGSFLLRDLPFDAMQFCIYEQLRIGYRLAAKRDLKDAENAIIGAFAGAITGALTTPLDVMKTRLMIQGQASHYRGFIDCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSVLSERSSRRDAKRRL >Dexi4A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:4A:3765564:3772423:-1 gene:Dexi4A01G0005250 transcript:Dexi4A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPASLAAALSPSSPSPAAFPAQADDGVGTGEAARAAEAGPSSGGSGGGGVGAEWVSCPVCGESIRGSDYCVNTHLGPWFRKSTISYREIADLDHAIMELKCYINTLSCTVDPSECDTKAALDVLTVPEMKEILKELRKMSIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVMFADIQDVFQSKFQTAPLDMETDDFYKSRKELAESQLKKIQDGMAEEMLISSWELHQGTACRGVNWDRHSLTDLRAVVGCIGGHRLALLLRHLAVDYRSWSSGMPDLLLWRFLDESGGGEAKLVEVKGPRDQLSEQQRTWILVLMDFGFDVEVCKVSPVAKRR >Dexi1A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:1A:21946365:21949052:-1 gene:Dexi1A01G0015070 transcript:Dexi1A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQALIRRSSSAFSAPVLLVKKADGTWRLCVDYRALNGRTIKDKFPIPVVEELLDELHGAHFFSKLDLRSGYHQVRMNPEDIPKTAFRTHEDLYEFVVMPFGLTNAPATFQALMNDVLRPFLRQFVLVFFDDILIYSRTWAEHLSHLCAVLQVLRDQQLFLKRSKCTFGEQEVSYLGHVVSGQGVAMDTSKVQAILEWPRPTSVRALRGFLGLAGYYRRFIKDFRSIAAPLTGLLKKDAFHWSPEATIAFKQLQQALTTAPVLALPDFTTGFIVECDASGSGIGAVLHQGDGAIAFFSRALPPRHRSLAAYERELIGLSQAVRHWRPYLWGRAFVVKTDHQPLKFILDQRLATIPQHHWVSKLLGFDFTVEYKPGRANIVADALSRRDADDVQLSALSAPFSLLQEFMEVVATDPALCILRARFDAGELGDKWALVDGLFTFQRRLFVPQSFALLPAILAAAHDDNHEGVQRTLHRLRRDFHVEKAHQLVRDYVRACAVCQRNKVEHLQPAGLLQPLPVPTVVWQDVSMDFVEALPKVGGKSVILTVIDRLSKYAHFIPLGHPYTAESVASAFFADVVRLHGIPTSIVSDRDPVFTSAFWKALFAASGTKLLMSSAFQPQTDGQTEAVNKAIGMYLRWLTGDRPRQWVRWLPWAEYVSNTAFHTALKETPFKVVYGRDPPCLRSYDPSEIRVAAVAQSMAERDAFLEDVRLRLEQAQAVTKAAYDREHRDLQLKVGDWVWLRIHQRPHGSLPDAARGKLRQRFYGPYQITEKINEVAFRLALPQGTRLHNAFHVGLLKKFVGSPPSAPPLLPPTHNGAVVSVPLKALKTRLCRGVRQVLIQWADQPPSTASWEDLPAFQAAYPSFQLQDKLLLEGGSDVMWGKHYTRRAKKVAG >Dexi2B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:2B:26108386:26110344:-1 gene:Dexi2B01G0015990 transcript:Dexi2B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHFHALIRNPFLLSVPFSPGAAAPSLGVSVASPSRALRLPRARASVEKREQAMEEQGGVAALGWAARDATGVLSPYNFSRRCSTAGSATFDLHIIKNDWGNAMYPVVPGHEIVGVVTDVAPGVTKFKAGDTVGVGYFVDSCRSCDTCSKGYENYCAGVVLTSNGVVHGGAATKGGFSDVIVVDEHYVLRVPEGLQLERTAPLLCAGVTVYSPMMRHGLNEPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPSKRDEAIDHLGADEFLVSRDAEQMKQAATATMDGIIDTVSAWHPITPLLALLKPLGQMVVVGVPNKPLELPVYAIVPGGKGVAGNSVGSVGDCQAMLEFAGKHGIGAEVEVIKMDYVNTAIERLEKNDVRYRFVIDVAGSLGSAA >Dexi3A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:3A:6034290:6038308:1 gene:Dexi3A01G0008600 transcript:Dexi3A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLTTGGVAAVSEHVDGDATLQPVLQVADVRKVPNPQKNPAAAERFRMALSDGVHTMQSMLATAINPLVLNGVIQRGSVIHLQEFTCSTIQNRRIIIVIRLDVLQSECDIIGNPRPYVSPNLPTEQSPNIPANAAQVNSGAYPNGPGTLRAAEVANNVSHGGHPNILANAAQVNSGTYPSGPGTLGSSVAPRVAEVANNVSHGGTYGGHQGTVDPPVGRSVDVSHVGSYGGYPGTVGPPTGRAVEPVTNVSSGGSYGTTSAHNTVNADMMRPNLQQPLLNSRSVEPVPNVSSGGSYGIASTHNTMNADMVQRNLQQPSLSSHQNHRLAVPSMSGGIGTPGNTYGRPAQSANQQPHPGHMNRGPVAKDEATRFVPVTQMNPYQTGWTIKARVTAKSDVRHYKNDRGSGKVFSFDLLDAQGGEIRMTCFNAHVDQFFDLIEVDKVYKISRGTLKPAQKKFNPLNNEYEITADFKTSIEVFSSDDSSIPRQQYDFRQISEIESIEVGAIVDLVGIVTSVCPSVSITRKDGSEAQKRTLQLKDMSGRSVEITFWGKFCDAEGQQLQSLCDSGLNPVLALKSVRVTEFNGRSVNSIGSTQLKINPDLTEAEKLQHWYATEGKNAVCVSLSTASMGKTDIRKTVVQVKDENLGRSEKPDWITVKGSISHVNTDNFCYPACTIEMNGRQCNKKVINNGDGTWHCEKCGQNLPNCEYRYLLLCQIQDHTGLTYATAFQEAGVEIIGCSAEELYNIKEEDAERFTEIIQGVRWQLFLFKLKVFEEIFNDEQRVKCSITKAEKLDVSRECSYLLKSIGATLQDETGSPSKVQGAMSYNAGINDPGAGQSVPASNSANAMGMGTRRYGESGNLVGRQANTYGGASTPLSATRNVLTCMGCGLSGHNAENCHAGMYRQQQPAASTASSYGSSPGNAGSGVCYRCNQPGHYASSCPAVDAAAGPDSGRCYRCNQPGHYANACPGAGAAPQQQPYGSGVASGGYGRQSYVRATNY >Dexi2B01G0022560.1:cds pep primary_assembly:Fonio_CM05836:2B:32194770:32197498:1 gene:Dexi2B01G0022560 transcript:Dexi2B01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQTAAATRVCTHFLFAHFNLGFELPPSPSQTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKLLAQKRKATVESLSEDDCLRAISKLKVRPVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSTGRAVDALETLLKEGLAMIDDGHRDGKRRYWFPCVTINSDTIGGEAK >Dexi1A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:1A:9352374:9353753:1 gene:Dexi1A01G0010800 transcript:Dexi1A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILVLFQIVLLLPSLSFSIPSNYTSIFSFGDSYTDTGNLVTLYGGLATTTPNVWIAKPPYGMTFFGHPNGRASDGRLAIDFIAEALGLPLLPPYLAANQSFRRGTNFAVGGATALERAFFVDKGFKAIISFNVSLSVQLGWFDTLKPSLCSSPQDCKEYFSKALFVVGALGWNDYVVMLLAGKSVDEAASHVPEIIGKICAATEKLIGEGAKTVVVSGIAPLGCAAGNLVLMANQTGGELEPHTGCNKDLNRLSRYHNAQLRRAVARLAGGAGVRITYADFYSPIIDFVVSPEFDGGLRACCGGGGGRYNFDLAALCGMTGVSACTDPSAYVNWDGVHLTEAANRRIADGWLSGRYAYPPIRRTAD >Dexi3B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:3B:14675672:14678845:-1 gene:Dexi3B01G0019700 transcript:Dexi3B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRDARANGGGGTPVVVKMENPNWAISEVSASEVSPDSPAGGGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVAAAARRRVAAGRTDSDAAPGENTALRTRFYGCIRVFLVLSMLLLAVEVAAYLQGWHLEVDAGLLAVDGLFAAAYAGWMRARLDYLAPPLQFLTNACVVLFLIQSVDRLVLCLGCFWIKLKGIKPVPLAADKEDVEAGAEDFPMVLVQMPMCNEREVYQQSIGAVCSLDWPRSNFLIQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFMDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHSKQQRVGSAPNLDALTKESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >Dexi9B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:9B:2087961:2091140:1 gene:Dexi9B01G0003640 transcript:Dexi9B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAAISAGPTPPSATAAVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRKNGLPQGYKGCQFHRVIKDFMIQGGDFLKANSGANSNGSQLSY >Dexi7A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:7A:29446725:29447446:-1 gene:Dexi7A01G0020730 transcript:Dexi7A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSWRRRAQARVIGLVDAAVVAERVDEEVEGGLIAEADGRGGGFERADGVVDEVGGGEAVEEGAERGAEEGRVPPRGVVVGEEEGGGERELEVLAEDVDGAGERAGGRGQVGAGGRGGPVEEVERAAPVVGVEGQRLEHRRCVESGGLRGGGGGGGRLEGGEGEGERAAAAADEGEHLRSRDGSRFASYGAVTRDGRSAGTVALKMDAAFA >Dexi8A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:8A:28205465:28209126:-1 gene:Dexi8A01G0016560 transcript:Dexi8A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIMSGVGKMALGDPQADYGSVAAVGLFVALMCVCIVVGHLLEENRWMNESITALFIGLGTGAVILFASSGQHSRVLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITITLFGAVGTLISFTVISLGSLGLISRLNIGELELADYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGNINAAKLLQFIGSFLYLFGTSTILGVAAGLLSAYIIKKLYFGRKFQDHNQVIVWWAGLMRGAVSIALAYNKFTRSGHTQQPSNAIMITSTIIVVLFSTIVFGLLTKPLIRLLIPARHLSREPSALSEPSSPKSFLEHLIANSPDHPDPENGISLRRPTSLRLLLASPTRSVHHYWRKFDDGFMRPVFGGRGFVPFVPGSPTESSAPFLPGNEN >DexiUA01G0015110.1:cds pep primary_assembly:Fonio_CM05836:UA:31653229:31655402:1 gene:DexiUA01G0015110 transcript:DexiUA01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQLLLRRRRSCAQLLDAADPAPNFSCAAAQARRRRPAAPTPLSPINPLQIVADLAPRRPPRTGLIRGWPYRPAVYRVEMIPTEFETEVDYSKAFYKLILWEIWHNICSVMDNIPIGSTVEAISSESVLDPWLDPETGDWSRKIKDDGLCTILVVEKTIKVTEWDNGTKRGCLRDKVFDILVIDEAANLKECESMIPLASRRINHVVLVGDDKQLQSVVKSTIAKEKKFGRSLYERLSELGFPKHMLKIQYRMHPSISKFPNEEFYAGGLEDGPNVKDYNNTYLDGHMYGPYSFIHVEDGYEENIGQGSRNIVEADVAANIVARLAEGMSNI >Dexi5B01G0028120.1:cds pep primary_assembly:Fonio_CM05836:5B:29574083:29576862:-1 gene:Dexi5B01G0028120 transcript:Dexi5B01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLHMTTNGRRMKAMRTNARWLFGGDGRSSDARLERSESANEDILIFYFQMDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKALNLLRVRDSHYLKCNFVFSFCCSADLQKAIDSENYALAAGLRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRGVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYVDPELLVAYVAEENLSAAEESEKGRFDHPYIEFLFYGEDTAGDFIPIKQLREKYDQPRYEASEDENDDDGDTNS >Dexi2B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:2B:4122262:4123152:1 gene:Dexi2B01G0004520 transcript:Dexi2B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRLIAASLLALTAIFFLLAGPAAATGKTGQVTVFWGRNKAEGSLRQACDTGTYTFVIISFLNVFGHGKTSLDLSGHPIGPIGADVKHCQSKSILVFLSIGGLGDQYSLPSSQAATDLADYLWFAYLAGHRAGVRRPFGDDVELDGIDLFVDRGSPEYYDVLAARLWSYNKEFRGRTPAQLSATVRCRYPDPRLKKALDTGVITRINVRFYGDGYCAAYWEMEWDKWTAAYPNSGVYVGLPASEKTVGYVHPKNLYYGVIPVVQKAANYGGIMVWERYADKQSNYSSYAIQWA >Dexi7A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:7A:23299334:23301895:1 gene:Dexi7A01G0013130 transcript:Dexi7A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYETAQGDVACTGGDVDLSETIASQAAEENNADNIKEPKLGWMIGFLFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAHLINGFHTPDGSERAKSQARTLIKFSMASYLWGCFQWFYTAGEGCGFGQFPALGLIAYKNRFYFDFSTTYIGAGMICPHIVNISVLLGGILSWGVMWPLIAEKRGSWFGAQLPDSSLEGMQGYRVFIAIAIILGDGVYKFAMVLMRTVSAIAAASTEKKKFFGALPVSSDDRPISGKDAATPTPSFDDARRTEFFLKDQIPTSVAIGGYVAIAAISITTVPHLIFPQLKWKHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFIFGAWAGESHSGVLVGLAACGIMMNIVSTAADLMQDFKTGYMTLASPRSMFVSQVVGTAMGCVVGPSMFWLFFKAFDGIGTRDGAYLAPYALIYRNMAILGVDGFSKLPSYCLVLCCAFFAGAFALNVAKDVAPAKVARLVPLPMAMAIPFYIGSYFAIDMFLGSVVLFVWERADKAQADAFSYAVASGLICGDGVWTLNQALLSLGNVKPPICMKFLSRSVNYKVDGFIEKL >Dexi8B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:8B:5961543:5964094:1 gene:Dexi8B01G0005690 transcript:Dexi8B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRGGTRTSKRVARPVAGGASLDVLPDEVLHHVLSFLPAQEAVRTCVLGRRCRHLWKSATGFRILCGDEEEAESMKELQGFVEHLLLLRAGAPLDSCQICLLDIEEDEDDMRRIRLWIRHVMLCKVRVLSLSTRFNNGGPWSEEFYLDELPLLSQHLKRLELRGQNLNDSSVDFSGCPALEVLEIKQCGMLDLRKMVSQSLKVLSITGRCEFTDGDRFHVYAPNLVSLRLEVWYGMAPIFSPMPSLVEAAVKIDYGGCDFFYDDTDEEKLTLQLFCSGSKSKVQMEGIPDPTKRSNAIPEHLKVVELKCELVDNRVLNVLEFLNKHGIFATLIVAGFPQEAGIPSCAAPLPLFLVTTARPTPDVRPPCDLLHAAAAALHFCAAAAAAIVDYGARAALDVPLGRVVLRLRHDAGAAHHCRP >Dexi1B01G0023820.1:cds pep primary_assembly:Fonio_CM05836:1B:29297448:29298960:1 gene:Dexi1B01G0023820 transcript:Dexi1B01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEEKKKLIPHLGDAEHVESPTPTTSYTVAAARAARHHQTSRIMVMRKKKGLRFTDSLGPQAETAIAHRPTTATSSENVQTERKLERQPWMEFSLPCACSCDHFHGDQASRKTERSSARFHFARHLARGRGST >Dexi1A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:1A:2714187:2715041:1 gene:Dexi1A01G0003720 transcript:Dexi1A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPPPAAASSGTHSVFVYGTLMAEEVVRILLGRAPPSSPAFLPGHRRFSIRGRVYPAILPVPGYSVNGKFFEGLTDRELHVFDLFEDEEYVKKTVEVSLTDTSEKSLAYAYIWANESDPDLYGEWDYEEWRKVHLKDYLEMTRKFMDEIGQF >Dexi3B01G0024070.1:cds pep primary_assembly:Fonio_CM05836:3B:18759362:18761404:-1 gene:Dexi3B01G0024070 transcript:Dexi3B01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRQALGAVKDQTSIGLAKVGSAGTVEADLDVAIVRATSHSESSPAEERHVREILALTRLSRVYVGACVASLSRRLGRTRSWAVALKSLAIVHRLLSSSSVSDDGGDTAAFEREVFYATRRGTRMLNMFDFCDRSRGADAWDFSAFVRTFAAYLDDRLEYRMQGRGSRHGGRPLLEEMYAPSSSSSSGSRDTCDIVTAFNGRREGDGEADGDRSTVLVNARDPPTSEMTVDQLLIKANQLHHLLDRFIACRPVGAAKANRVVAVSLYPVVKESAQLYCELTEVMAALIEQFAEMETADCERVHALFCGLAKQMEELDAFYAWCKVACVCRHSDVPEVELVTHKKLELMDEFIRDRHAAASSHPRLPAPEPAARPEPVLVEEEEDVNATKALPAPDEPPAAAREEEDTARAAEPEALLVVAHPADDEADFLNLKADAMAAEEHGQQLALALFDGDPAAGSSAPQAGAFDHSAADWETALVNSASALANQRAELGGGLNMLVLDGMYTHAHAAVVASAQTTFSGSASSVASRPPGAAMLALPAPPGSDVAAGADPFAASALVPPPTYVQMSDMQTKQQLLTQEQIVWQQYGRNGMQGQGGLGILEQRPQQQQMLPHGGYNYPGYHS >Dexi3B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:3B:291951:294080:1 gene:Dexi3B01G0000360 transcript:Dexi3B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGSPKMTVLHAPVGVRSIVSSLVAFFIVASSVTFLLDRGQEAQVQMAVEHGRQETQVKVEAGLQEPAMRGTTVAGDASEEECNWSRGRWVYDNVSRPLYSGLKCAFIFPEVACDKYGRKDVLYQHWRWQPHGCDLPRFDATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKIRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMAKAKSYFKTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >Dexi7A01G0024000.1:cds pep primary_assembly:Fonio_CM05836:7A:31852049:31853045:1 gene:Dexi7A01G0024000 transcript:Dexi7A01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYLHFFCNPPLCHRDIKSSNILLDEHFVAKVADFGLAHASRTGTISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDNKNLVEWAQMHLSSGVISPEIVDSRIRSAVDMDQLHLVIGIVQWCTQREGRQRPSIRQVLRMLAERLDPGNGSFGKGMEDTEGGFYPRSSKSGGQHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLESGQTQSPPETL >Dexi1B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:1B:3761681:3762842:1 gene:Dexi1B01G0004700 transcript:Dexi1B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSALSTSALSSLEAMLHALMRGSSGEGEFDGDTPLDDTLASPPPPPLPARPTARGRRPSARRVPPAAVPPTSPPSPSPSPSPSKEPEEDAKTEEDVSVLVEELERKAMEVEARLRHKEEENAALKRRMESYHIRWLEYEIRIKSLEEAFHEQMAALKLAQDAARRAEDETAYGRRRGSSELDGSMEEEEEAAAAPVRLCHGRDRMVVVGSRRSSVMSRLGSGFRRQSHTLERGAAAIVVADAPPASGGGGSVDELKKLKAQFRSWTKDYRARLCRAKAELRRDRQQRHHQASCWI >Dexi4B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:4B:8722113:8727693:-1 gene:Dexi4B01G0011320 transcript:Dexi4B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSRGAAGSRKKSWRSELVLAYQSLGVVYGDMATSPLYVYKSAFAGGDIEHSEGNEEIYGVLSLVFWTLTLITLLKYVLLVLRADDDGEGGTFALYSLICRHVGAGLLPSSAGAGEDPMEQRGGAPPPVPASSVRGALQQRRELQWFLLLFALLGTSMVIGDGVLTPAVSVFSAVSGLKLSMVNEQHQCFRDTKHMANAQGLAVITVMIVTTCLMSLVIVLCWNKNVVFALAFLLFFGAIEAIYFSASLVKFHEGAWVPVVLSFIFLTVMCVWHYGTAKKYEFDVENKVSISWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVSLPHVQPEERFLVGRIGLKQYRLYRVVVRYGYRDVQQDSLEFEKALVSSIAEFIRSGDSDQNGYLDGSDSPYEMLSVISKGLPFQEDAEPDGSESSTHKETNRKLVSSRSKRVRFVLPENAQINSEVRSELQELTEARETGMSFIMGRSYMKAKSGSSMIKRIAINFIYEFLTRNSRGPAYAANVPQVSTLEVGMVCQV >Dexi5B01G0021100.1:cds pep primary_assembly:Fonio_CM05836:5B:23353213:23357147:-1 gene:Dexi5B01G0021100 transcript:Dexi5B01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGASFASEASVPWGFLLGVLLGLVLLWQAGRLLNQLWWRPRRLERALRAQGIPGTSYSFLTGDLKEYGRLNKEAWSKPLPLGCHDISHRVTPFIHNLVQEHGKMSMSWFGPNPRVTIVDSELSKDVLSNKFGHFEKLKVPALSKVFGDGLASHEELVSRWSESLGSDASLELDVWAELQNLTGDVISRTAFSSSYHVGRRIFQLQGEQAELVMTNIQKIVMPGYVSLPTSKNRKMRKNNEEIESILKDIIGKRIQAMKQGESTKDDLLGLLLESNMRETEENGQSSMGMTIEDVVEECKVFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVRSLFGKNKPEYEGLSRLKIMTMILYEVLRLYPPAVSLIRKTYKEMDIGGIRYPAGVMLELPVLFIHHDPDIWGSDVHEFKPDRFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFAFELAPSYTHAPHTVITLHPMHGAQIKLRSV >Dexi9A01G0044440.2:cds pep primary_assembly:Fonio_CM05836:9A:48073094:48074422:-1 gene:Dexi9A01G0044440 transcript:Dexi9A01G0044440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAMQRLYDHQPNGPATPVDMAVDYRKYDFEFAEPPRVTSLQNAVPLPTFSDFGDDVYFVADQRGYESVVYYLAGQYLKSDESSGKIVDPRLKLNKVVSEISYSSAGVTVKTEDNSVYQADYVVVSASLGVLQSDLIQFKPQLPEWKIVAIYQFDMAVYTKISVKFPKKFWPEGKGRQFFLYASSRRGYYVVWQEFEKQYPGANVLLVTVTDEESRRIEQQSDNQTKAEIMEDWRC >Dexi9A01G0044440.1:cds pep primary_assembly:Fonio_CM05836:9A:48072584:48073088:-1 gene:Dexi9A01G0044440 transcript:Dexi9A01G0044440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKDVPDATDILVPRWWSDRFYRGTYSNWPIGVNRYEYDQLRAPVGRVHFTGEHTSEHYNGYVHGAYLAGIDSAEILINCAQKNMCKYHIQGKYD >Dexi2B01G0025790.1:cds pep primary_assembly:Fonio_CM05836:2B:34990865:34993073:-1 gene:Dexi2B01G0025790 transcript:Dexi2B01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSISSPQLRYCSSSPPPRRPRWRRLSPAAAMTSSATPRRSEEAIVIVGAGVAGLATALALRRLGVGATVLEQGETLRAGGTSLTLFKNGWRVLDAIGVADELRAKYLRIQGMRMRSPAAGGRDLREFSFEEEAPGQEVRAVERRVLLETLASKLPPGTISFSSKLKSIADQGPDGTLLELEDGRQILSKIVIGCDGVNSPIARWMGFSEPRYVGHMAFRGLAEYADGQPFQPKVNYIYGRGVRAGFVPVSPTKVYWFICFNRHDPGPKITDPAALKSEALHLVRGWPSDLLAVMRSTPDGAVVRTPLVDRWLWPGVSPSASRGGRVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLAPTADAGGVDVEAALRAYESERWARVFPLTARAGLVGALVQWDNPAVCAARDGVVIPRLVRLGPFLEHTNFECDLLDPAP >Dexi5A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:5A:2228110:2229103:1 gene:Dexi5A01G0003060 transcript:Dexi5A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMAAAARQREAVGQRRKDGGSSEAHDGMANGTADCTSMAEEENGPNRLETLDRLDNVKRLEIPMLV >Dexi8B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:8B:353092:355538:-1 gene:Dexi8B01G0000550 transcript:Dexi8B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGMAPPDAATNIAPAPSIAAAPTGIRVENCYVFKSRLQEYAQKVGLLTPEYHTVKEGPSHEPIFKSTVVVNNTKYDSLPGFFSRKAAEQSAAEVALMEIVKSVPATETKSIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFVCTVEIGGIRYIGAAARTKKDAEIKAARTALLAIQGQLEGCANGGTKYIVVPGQRQVKETDKKPTETPKSLKVKRSGGKNKWNKRKFMRKSDPIADAEKDGAREALEVHDSDVPMQAAIAEEPPNDITMPHPDEESRNVKQGLALLLHHEEARRVEHDLPRDTAMVQSNKEAVILQREEEARIMELEPPRYPATVQPKEDARSVQLEPLSSVEAVKSNMEAITVEQESASDYVALQSDRDATDVKEEPPSNTAMMQ >Dexi1B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:1B:1138739:1142924:1 gene:Dexi1B01G0001460 transcript:Dexi1B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPRRLLLLSLVLSLAVAAAAKISGPRTPISRDIYHSSDSLLREIEVLVARHSDKLSMDTVRASNRGYSAELSVVTFNPVKESVDNGSKVHILLSFGQHGRELITSEVALRLLYILTEKRKIAGVDLSSFEKVLENLVIKVVPMENLNGRKRVEEGELCDRRNGREVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPEAQIMRELSKSFKPHIWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTTTDYMYDIAKVPMPFTFEIYGDEKASPDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLRDAQIVSQGALDNWVPIGGDIVERNVERKSVRERRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCTRISKNRNRDSGNVFDP >Dexi5B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:5B:17859209:17859997:1 gene:Dexi5B01G0016920 transcript:Dexi5B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERSMATQGSRSSHVLCEKKRFVEAFTTSGVPCVLETRVGLLAAVVEPSSANIRVAEPARTSARDLTLALSARLCCVTRASLLADDDKTLLMNCKRCHRSTTGDTVYVYMYVHHLDDVEKYKKRLAMVLMERSKIVTRMVASKANSSSKLATQASCRPTFFTCVEADSLQLVSSPSIPS >Dexi2A01G0027000.1:cds pep primary_assembly:Fonio_CM05836:2A:38444154:38445674:1 gene:Dexi2A01G0027000 transcript:Dexi2A01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHALVFPCPAQGHINAMLPLAAALVDAGVFVTFLHTDHNLRVFSSASVAASPRLRFVSIPDGLPDDNPRSVADVLELDRSLREVGSVRYRALLASLLPAAAGSPSFRDGRDDGVDDEHLFPPVTCVVADSWMPWAIDVAEELGVPALAFFTSSTCSYLAYLAVPELLELGELPFPAGCDLDEPVRLVPGMETFLRRRDLPNCYRRLPSDDAEDGDDTDPMLHTVHLFAKATAKSKARALVLNTAASLETSAIEHIAPRMRDVFAIGPLHAMSPSAPAPVPSSQWCADDECIAWLDGQADRSVVYLSFGSLAVMSYEQFTEFLLGIVATGYPFLWVLRPDMVGEGQGYGILEEAAMVAAGDGKGRVVEWAPQREVLRHRAVGCFVTHAGWNSTLEAVVEGVPMVCWPSFADQHINSRFVGAVWRNGLDMKDVSDRAVVERMVMEAMESGDIRRSAEALARQVKRDVAAGGSSATEFQRLIGFIRELNTSNAASGLTVNGCD >Dexi7A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:7A:22864590:22869655:1 gene:Dexi7A01G0012710 transcript:Dexi7A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFHRSNSTCCPSPISRRPPKGSLRSDSARPPASLLLPAAYARAPHPTASASSLSAPSSRDPIRDRRASMRPPALLAAAVLAAAAALLLAAGAGAATEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNPITLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIDDLFKCGQFCGNLKHSERMNSGVMVVEPSEALFNDMINKVGSLPSYTGGDQGFLNSYYSDFANSRVYEPDSPLTPEPKTQRLSTLYNADVGLYMLANKWMIDEKELRVIHYTLGPLKPWDWFTAWLVKPVEIWQDIRQTLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQLPNGAHLKLPAYFEPFAVLVCFASAGFSLAFAFAIIPRQVMPWTGLLLMFEWTFVAFFLLFGSYLRFVYHWGSVNANHVGFSNSDSSENHMGSGHQRNMSDCDIDATFYWIGMAAIAIFAVLSPTILGITALFTK >Dexi5B01G0035130.1:cds pep primary_assembly:Fonio_CM05836:5B:35190225:35191716:-1 gene:Dexi5B01G0035130 transcript:Dexi5B01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLATAKQKGFIRGKVHEVHLQWSRSPSGFRKKFVDDLIVARYKRDWGLFIDSCFNHCQTPFRISWHSRISLRLGNKTIAEAVADWYFGGGHGVKEIDCEYPCINPTCSSQLDL >Dexi7A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:7A:28348791:28355300:1 gene:Dexi7A01G0019060 transcript:Dexi7A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDARDVPLDEMEVDGGERHRDRERRDRHRRDEKDHHGSGRRDREKEKEKEKDDRRREKDDGKHRDRDRDRDRERDKDKDSKHRDRDKEPERDRGRDRDRGKDRERDRGKDRDKEPERERDKERERRDRDKERSRNRDKDRAERGDREREDREREKSRGKGRVEDDVDLSKGDEGDHKQSVDASGEAEQPATTELRERIARAKEERLKDKKEVGILDGDDGASEILSWVGKSRKLDEKRQAEKEKALRLARALEEQDNLLAENGDDDDEDEEDKQVGDHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINEDADMLENIEIGEQKQRDEAYKASKKKGTYDDKFNEDSSSKKSMLSHYDDPMEDEGVTLDEGGRFTGEAEKKLEELRKRIEGGHVQKKTEDLTSITKTFTDYFTPDEMLQFKKPKKKKSLRKKEKLDLDALEAEAIASGLGAADLGSRRDSRRQSAREEEQKADAEKRSSAYEAAIAKAEEASRALRPEKRTPAKPAEEELVFGDDYEDLQKSLEQARKLALRKQEEAAGPVAVADLATATKGQEDTDATEGDLQQNKVVITEMEEFVWGLQLNQETRKPENDVVYMDEDDDAMPSSNLVKDDTNGLAEMEEDARTEKSVKVDEEEVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLVGVEDGPKDIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYQDEMKTKRMKSSDTPLMAAEKMREAQARNQTPYLILSGNAKTSQASDASGFASVEKEHPGSLTPMLGDKKVEHFLGIKRSAKPGGLPPPVPKKPKN >Dexi8A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:8A:830401:834527:-1 gene:Dexi8A01G0001220 transcript:Dexi8A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGSSACSARTLAACVIGGIVLGASVLALHHAGSAAIPSLPPLDAVRRRLRRRRHRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGPDSRIVYIDGAFDLFHAGHVEITTFNISLVVHGTIAENMDYAKDDSNPYAIPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >Dexi3A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:3A:13274037:13274410:-1 gene:Dexi3A01G0017380 transcript:Dexi3A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEKGEVGLGLHRLFRYADGADAALMAAGASGAVASGVAQPLMTLVFGEVVDAFGSGSRHDVLHRVSALF >Dexi8A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:8A:9880194:9880643:1 gene:Dexi8A01G0008360 transcript:Dexi8A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQPLTPKQKRILDPKQKQVSELMFMHCGYIALLRPFRSWEEKVAMILHVVRQREFTDYDPKAGCCLPHRFSLYNIAFFDFDKESEVVHGPKFRDISPYKYDELEFLLM >Dexi5B01G0033060.1:cds pep primary_assembly:Fonio_CM05836:5B:33558568:33561543:-1 gene:Dexi5B01G0033060 transcript:Dexi5B01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAACRRFKPIEECCSEGRSEQTVAADLDGTLLISRSAFPYYLLVALEAGSVLRAVLLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRSIEMVARSVLPKFYAGDVHPESWRVFNSFGKRYIITASPRIMVEPFARAFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKKQAVVKELGDAVPDVGMGDRETDFDFMSICKEAYLVTSRKYSPVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALMRVYINLPLPERIVYYTYKLMGIRLIVKGNPPAPPKKGHPGVLFVCNHRTVLDPIEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEVTFLNHLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTTITRKEKYGILAGTDGRVPSKNKDKEKN >Dexi9B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:9B:5644800:5645009:1 gene:Dexi9B01G0009110 transcript:Dexi9B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCGFCKVVKRRGIVFIHCTANPKHKQRQGYSTIAEAAASCLHLPPPPPASGSASATAFAQ >Dexi3A01G0027700.1:cds pep primary_assembly:Fonio_CM05836:3A:27312479:27315336:-1 gene:Dexi3A01G0027700 transcript:Dexi3A01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCGKWHPGESQRPEVDDAPIFTPTEEEFKDAIACIASIRPLAEKYGICRIVPPSSWKPPCPLKEKTFWDSTEFNTRVQQVDKLQNREPPKKPTQPRVQRKRKRKKRLKFGMHRRSSMESQDKFGFQSSSDFTLENFQKYADEFKQEYFGMKGIDEISLSEIRNHKKIWEPSVEEIEGEYWRVIIGSTDEVEVDYGADLDTALFGSGFAKLLSDGNKKDAYVWYGVPGCEAVKLEESMRKNLPKLFDEQPDLLHELVTQLSPSVLKSEGISVYRAVQRPGEFVLTLPRAYHSGFNSGFNCAEAVNVAPVDWLPHGQCAVELYREQHRKTSISHDKLLLKTAKEAVKQIWMNLFNCNSGEGKYRWLNTCGEDGILTSAVKTRVKMEGASRDLNGNLKNKKMDKEYDSTDRECFSCFYDLHLSAVSCQCSPNRFACLNHTNILCPCEMDRKIAFFRYSMEELNTIVAALEGDRIAVRLWGQEYLGLFCPSGNVHKRKVDSDISTEFAGSAIDISVVSGFGGSEDGWYDLQKPAAREWNPE >Dexi3B01G0021480.1:cds pep primary_assembly:Fonio_CM05836:3B:16338593:16341543:-1 gene:Dexi3B01G0021480 transcript:Dexi3B01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGIAALVVLLLASSLLSCPASGSGRRSLEGDKPSSGEDAPAPAVSAGGSPKHDSSKEPGQSSATGQEAEGHRHQNSPPPAASPLKDTTDSHKASPPPGGPGPNGGTNPEDTGSQAKEETDKMKEVMEQCGASYKCSAGKEFSACLQVSDKAPVGSFVIVHNEGQNEINVTVKGLSNTNIDKQSLHLTKGTFGQIKIKHINLDGWNITLSGGNENCSIHGKQSVEKQSVFDLQQQLQMFADALRLNPMYGASFFVFTVVLVGIVCVCCKFTKRRGSNGVPYQQLEMGSQAPNSAVVDNTTSTTDGWEDGWDDDWDDEEAPARPSDKKPTSSVSANGLSLRSQTNSKDGWDVDWDD >Dexi1A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:1A:27165172:27171413:-1 gene:Dexi1A01G0020320 transcript:Dexi1A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGAPDPEQLLRQVEDRLRDVGQRLLAPPDEAEDLLKLLKEVEQCLLKVDQSPPESTSNAIRPATEALVKKELMGHPDPDVRLGVASCISEITRITAPDAPYDDDAMRDVFSLIVSSFQHLDNIKDPFFGRRVSILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSGHSDAVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAEKVIDSCREKLKPVFLQSLKGTSLSEYSQIVASVCEEDSDDKEDNVVDPSGKDTEDNIVDPSGKDMVDDGKLSERTISEELPQETSKAEQDVSRPEQDGSSMNGNTGAAISSDATPADNGAESIAAEPKKKALAADKSTKLNPSDKSEEIAHSGADTKKDLVASGEEGSNGAADDTSKPVDATPVKPRRGRPPGPKSSEKKTAGKKSLDLKKTEEASDSARKLKKTGEGESSKKLQTSSLKQQKDGNISEEKLQKSSLKQQKDRNISEEDPAKDLSLKLPRSRKSKGLDGSLVGARIKVWWPDDKKFYKGVVESFDSGSKKHKVAYDDGDVEVLLLRDEKWEFISEEKGASVVSETPRGRKRKGDAVKEEKTETPKSSDAVDPPKKRGRPKGWRPNNGTPSNNSSASPSTKGKSVSKDLKETPKTDSNLSNEGEKASKDKASGSTEKTKDELPKDGDDKSASKSKEASNKTKDSKDEGKSTEGKGRPGRKQKNPSSAVIDADKEKQKEKEGKTAEIEQEASANASAGKKRRRKA >Dexi3B01G0026910.1:cds pep primary_assembly:Fonio_CM05836:3B:22345557:22346147:1 gene:Dexi3B01G0026910 transcript:Dexi3B01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGLGGESDVDLMDRALKLYEEEHKKDGPFMFKHCWEVLRKEPKWNAYLERLANLDPEKRKFNLEDDLGQHFSADDDKEERPIGGKKAKELLKRKRKDQAVVIDLEDELQLFLDAQNKANEGRNKMLETQKCVSSENLEARKLAYLAAKENKESAMLETYRELLKQDTSVMAEDVRSEHVLALRCFREKLFGNTN >Dexi5B01G0023370.1:cds pep primary_assembly:Fonio_CM05836:5B:25553520:25554983:1 gene:Dexi5B01G0023370 transcript:Dexi5B01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGLGVRMIAAAYPAPPVRAPTGKPLLPSSLRRRSSSWWFSNGNAHERDSATATSRAARRRWWSDPETNQQDYGHSSLEEEYDYDYDDEDGAAFRGLGGAGELFDEPWFSKVFKTYGFLLPVMLASMLAATGLKAFLLAMAIPLSQSAISFLIDAIWGRRRSNRDDRSRKPFQEEEEDYPEDTTDFTTGGRGNRYTSSYYEGRRRRQDSYQSWVSNDFADAASGAAADEDNGTNSSSSEGEDDKSSGNFGGWDELLSDSNVDTQEKRRRRSSFSGGNTDYSKRQRPTVNGEEDMDNAAAGQGLGAPSARMRMRRRRGMPRMTGLGSTRYKQVPILMRLLVAVFPFLGSWFRLL >Dexi2A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:2A:5134427:5134714:-1 gene:Dexi2A01G0005350 transcript:Dexi2A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARLYYHPPAGAPSAAAGDGGRKGAEAAVKRQQKGYEPAEIILYTVV >Dexi3A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:3A:8793515:8796409:-1 gene:Dexi3A01G0012180 transcript:Dexi3A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRKRSKGVATNNNLPPEIIDKILLLLPARSVFRFRAVCRSWAARLSSPSFTNAYAAATDARRTHNKLVVLAPSSGPSTVVYSCSSNEAAVEPLLTLDHIRTDFLSLSSRPSRGLLLLSDTRAVGRYRVCNPSTGECRALPPPERRRVSLSSAGLAFDDLTMECKVVHLFFFRGRTEVNVRCEVLTLGAHAWRWRPAACTGLNQCSGDIVEALLVEKAVAKAPPVFADGCLHWLMRYPRRGRRVDGVLLRQGQDAILRFLAADESFGLVSAPESVPFEDYIRLEEHLPMVPVHLAELKGLLCMVHDLRHRGSQLDVWARSVQGEWSLGYRIPVSPLLSSLREPQFITVLGSTSNERLLLATSEHKVYSYATDTRRVETVFAIGETSIGQQKEAPAELRLGLYEDSLIRIGGADRVSSAVAQVLLRLPLESIVQSKLLSREWCALIESESFAAAHLSIKRPRRIFIATNGHARRSFFRFAPLQSWLRASPADLANSLVVDSNIVCSSKPCHGLNLISTGTDDYLCNPCTGAIQCLGIRGRSHFTPYGSSATGQPSRRHAFTVGRSVGLGFDHKTGEHVAVEIGNLCGALACILKASEWDSWTCAGTPPMPVTDMPPAYVDGTLYWLGRGEQRVLVIVAFDISTRAFDVIQLCEQPNLNHHHGATTFLVELNNTLSLVVADGEAEEMEIWMMNIRLRAWFSVHRICLRGRPDFSPRTAAAMVVPIEISGDEGRILLSTGRALGYYDTKTGAIDTIYSLDLLQLPPCAMASPILCEESLVPVRNEDFLPLDRVAPPSVHGHMVAADQGRICDHPEHAAAGADESSRTLTPVFPKCQSHGCQGIGTFYSHCCKRMLCTKCTRRCPRHYWGLHIPLDTLNYDAMEDIRSNAWPVEHPLVPDPDHYCYYYSSMNDDGDVLRHVFISRRDLVLGGLPCRLTECAYRMNGHGDVIETWARRYLDFDSGPE >Dexi4A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:4A:25111453:25114899:-1 gene:Dexi4A01G0021710 transcript:Dexi4A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTASECDGGRSDVKSASGWRAEEAVAGNRKALEALRELVTYPILYARESRLLGLMWPRGLLLHGPPGTGKTSLVRAIVQECNAHLITIRVNAIDPALRRSGRFDTEVEVTVPTVEERLQILKLYAKNLHLDEKVDLQMIAAFCNGYVGADLEALCREAAKLAYHRMLDRGEKVLKILMEDWESARSMVGPSITRGVTKEISTVSWDDIGGLKDLKKELQKAVEWPIKHAAAFDRLGIPPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRGTFQKARLASPSIIFFDEADAIAPKRTGPGGNSNGGVTAGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDGALMRPGRFDKVLYVPPPDVEGRYEILRIHTRKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDLSATTIHNAHFQTARSSLRPSLTKAVVDEYANAAINDPSTRKH >Dexi4A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:4A:16785765:16786685:1 gene:Dexi4A01G0014530 transcript:Dexi4A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPDHSGDGQGLPNTNSSNFTLLYIIIAVLVSVILYMAIRYGRSVMAEWRQLQAGGPDGPGPGLSVDDITALPTFTYHARATSASASPSPIGGRRSRSKGRATPGAVECVVCLQELEDGDVVRVLPACRHFFHDRCIDAWLCAHSSCPVCRAHPEPERARLLEGFLTPPLPQLRRCGLSPERPTASSVLKDILARSPLRSGSKDMVVSKSPSPRIQFGSRSPSPMPPVHGGVWDGCSNSSPPGMSEIVVVPSKSPSPMRFSNSRQLSARSIGTLESVEVITPASPWPVLIGEDGGSLSKSPSPH >Dexi1B01G0021800.1:cds pep primary_assembly:Fonio_CM05836:1B:27614617:27616134:-1 gene:Dexi1B01G0021800 transcript:Dexi1B01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEQNYFSDSDNDEADNQSDDHTSSRSGTAGSSRGRGRKRKDIKSVPPVEESLSEFVSFRKEQAAAKEMVKKQGLEFSVTRCLAVLKDMDDVSDEIKIGATDIFKDALNREIFLGYESGLRGLWLRKEVSKLGDQLQQAAAGQGGEADGEVAGRRNGWRTGKRGLGERRWLRKRGRAGETAEEVAHGEAAGEVEAQRQAAAAEEAVGRGGRRTPALSRW >Dexi4A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:4A:22912553:22917854:1 gene:Dexi4A01G0019060 transcript:Dexi4A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYEEGLVLVACLSRWWVRNARSTLTKEGSSQDDDHVRARHLDVVDGEDMYVRTNNRHGIRSEEGVCHGSAMTTLALNASIFFFACVHTAAAQARAAPIFLRARRSVRLASTAAILFLAAVFFSGHHALDLVRTFFVCLLAGVFRSARARVASSLLAIHAAAGWLADRGKKFTGADAPSWLDGHSRPAGSGRSTATRAVTDCRTCCCYRASSAIDLSDYRIHELSQGNEQRSLCSVTLAWPTATANQIAPPVARARPGRAEDVSSQHKHQNVMCGECDIVSGDDRAPATYRTTPLHRHAVQVQQSSLLALRAACGLRRWPLALVGIPTRHFPGLSSPSTWNLHCRHPTWRISRSTQRTWPVFDLQKLAVHRRPNTHQTHRLDQGRWTKLGGCWSNHLSH >Dexi4A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:4A:16366179:16367072:1 gene:Dexi4A01G0014350 transcript:Dexi4A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKRPCRCRVLVCHGQPRTHPTPTRPLHVLAHRTTPHHTTPSLPLGSFPLSSRTPPARARTLHRTAASYRAGAAPQLLPAIPVWSTSRETAMGNCLNPASKQRHGGLLPEEEESLSEMRRISQLLREEDEEDEAAMDQYLLEETAADVLEAAPAAAATEGLKVKIVLTRSELEWLMAQLKSGEQRLEDVLHHMQAAKAAAAVAEKPHAAWRPRLESILECPETTATRVS >Dexi9A01G0030510.1:cds pep primary_assembly:Fonio_CM05836:9A:35523701:35525724:-1 gene:Dexi9A01G0030510 transcript:Dexi9A01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGGGGGGAQIKGMATHGGRYVLYNVYGNLFEVSSKYAPPIRPIGRGAYGIVCAAVNSETGEEVAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENILALKDVIRPPSRDNFNDVYIVTELMDTDLHQIVRSNQALTDDHCQYFLYQLLRGLKYVHSANILHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITEVIYLMELFVLRL >DexiUA01G0006300.1:cds pep primary_assembly:Fonio_CM05836:UA:12162630:12164397:1 gene:DexiUA01G0006300 transcript:DexiUA01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAGILPPVKYSLASFKSGPNTGSQASIGLSGGYGTYGSSPSVYTNNTTVSSGNQAENDDVTSSQVKENSIYIAGFQTEGSALWVPTPGHDISGLQANSFYGLPPQGQQVTFAPQSGPFGGIYHPAHTVAGAGIHPMLQPSHTMAGAVEIVGAPGSVYQHPQAQMTWGSY >Dexi4A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:4A:19068905:19069804:1 gene:Dexi4A01G0015750 transcript:Dexi4A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKDQFAEPTELDPSETTMMGCLQRFKDTKNNVGNWIQCQEVLDMGVVCGKWRRAPLFVVQSSDWDCSCSVVWDPIHADCAVPQELETAEVLEQLNYINKVCSF >Dexi5B01G0021390.1:cds pep primary_assembly:Fonio_CM05836:5B:23687184:23691694:1 gene:Dexi5B01G0021390 transcript:Dexi5B01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNPAAANQNPNKSLEVTPAPEDSVSSLSFSPKANHLVATSWDKQVRCWEVLPGGACQAKASFSHDEPVLCSAWKDDGMTVFSGGCDKQIKMWPLLSGGQPTTFSGHEAPVKELAWVPQMNLLVSGSWDKTLRFAHYSSYTAVSVHSNAMLLMYWDIRQPQPVHVQQLPERCYALSLCYPLMVVGTADRNIVVFNLQNPQVGSIEGRVGVHHVDDSQQSKNFTFKCHRDGNDIYAVNSLNFHPVRYPFFYTIIHFIQVHGTFATTGSDGGFNFWDKDSKQRLKAFSKSPAPITRSTFNQDGSIFAYAVGYDWSKGAEKHNPSTAKTNIFIHSVQESDVKGKPRAGKK >Dexi9B01G0034000.1:cds pep primary_assembly:Fonio_CM05836:9B:36077788:36079848:-1 gene:Dexi9B01G0034000 transcript:Dexi9B01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDAEPYETEVRIGTDFQADVPEWSGPIPRNEDQFIEPSELDPSETTMMGSLQLFKDKKSSVGNWIQCREVLDTGIVCGKWRRAPLFVVQSSNWDCSCSVTWDPVHADCAVPQELETDEVLKQLKYINKLKDRLGESNKKR >Dexi7B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:7B:20444992:20448600:1 gene:Dexi7B01G0014070 transcript:Dexi7B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCGRIRRCPLSLPVPARTAPRRPAPLLVVRAKRAGNRAPAAASRQPANPSAVPKREAEEEVEEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLIAVPLAYVGVSFVLAVVGKNIFLLKSLDELFQKGRDAVDYPALQDLMQKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDIEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQALFGKILYLSELPEFCSRDSSLVVKEIFGVTDEDADSLRIHTLSATGDIESIQKMVDDLDIGQGPSASS >Dexi9B01G0027100.1:cds pep primary_assembly:Fonio_CM05836:9B:29554634:29556353:-1 gene:Dexi9B01G0027100 transcript:Dexi9B01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATEEMAVYCFDTLIAHYNGDQPPPPAFEDGNHPLFVTWKKATNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFSPIQSKELPTLECTVSILTDYETAEDYLDWEVGKHGLIIEFTDPDYNIRRSATYLPEVASHEGWGHVETIDTLMKKAGYNGVITEALRKKIHVTRYQSTLYTMHYGEYLAYVKNNRGGAPAINGVPVVNGFKPGH >Dexi3A01G0036270.1:cds pep primary_assembly:Fonio_CM05836:3A:41676615:41682573:1 gene:Dexi3A01G0036270 transcript:Dexi3A01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPLFSRIPKWIVQLHSLNDLRLTIEVVEDADVGLLELLPSLMYLHLHIEGAPKDRILIREGSGLFPVLKRFIFACRMISYLSFEAGAMPMLETLDLYFNAHGWDKHGAAPAGIEHLSGLKEIYVDIGVPDSSIHLQSLHVNFWHSRVIPEWIGQLHSLYDLELVVQEVPEDAVGVLARLPSLIHFYLHIYGAPKDKIHIQGGRVGGGGAGGWGVVVPAEMLPPPAGGVSREEWFRVGDRGEGEGGGVAGVVAGAGVASGEGRSWGPGEGER >Dexi1A01G0024160.1:cds pep primary_assembly:Fonio_CM05836:1A:30793932:30796913:1 gene:Dexi1A01G0024160 transcript:Dexi1A01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMERGDRAPPLLPESRGPTIEDDSLHVPLLKDKKRTGSKQQRLFLCLESTAFSGISTNLVVYLETVLHGSNLASASKVTTWFGTSYLTPIFGAIIADTFLGNYNTILVSLAVYLLGMVFVTISAFLPTAAVLGGSSVFGAQTIAFIGLYLVAIGSGGVRSSLLPFGAEQFHDDNATDRENKGSFFSWFYLCVDFGPIVSGLFIVWIQDNVSWGLGFSIATACLAIAFAAFVLATPMYKRRMPTGTPLKRLSQVVVAACRKITVKVPGDVDMLYEVNDKVDSQSKIAHTGEFSFLDKAAIITESDFEEVTEEVVGSSWKLCTVTQVEELKILLRLLPIWATSIVMSSAYAQMTTTFIQQGSVMNMSVMSVSVPAASMSSFEVACVLTWVLLYSKVIVPALRSFGSEPSQLQRMGTGRLLVALSMAVSALVEMKRLDGAARGEEITIAWQIPQYFLLAGAEVFCYIAQLGFFYAEAPDTMKSTCTSLALLTIALGSYLSSFIYAVVAAFTATAGSPGWICDDLNQGHLDYFFWAMAAMCTLNFAVYSGFAKNYKLKTVLS >Dexi2A01G0031850.1:cds pep primary_assembly:Fonio_CM05836:2A:42529607:42530461:-1 gene:Dexi2A01G0031850 transcript:Dexi2A01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLASGSAPSVSSTATAVAAAAAAGRLRSKSLSTELACWAALALVVVLAAASLTPSAPAAPPSRLCPPNACPDGNVRRQSEHWCTFSSAAALAAPGAAGSSPAVADAEAYTLPHTEHSYPWLAFAAAAAVLLGLEATAAAALSSCSPRTPRESMRREWARYSSTDSSAPPPPPLLALGLPARRLVRRPPRAHESIRGGDGGGTGGLLWDTSTAASSAMATDMDRSAGWPISLLADGSEMTRTESERGSEGEVDK >Dexi5B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:5B:19648418:19650593:-1 gene:Dexi5B01G0017760 transcript:Dexi5B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSVVSVSAALLAGVLLSLSLPEAAAAGSTVRTTTLSVLSFGAAADGVTDDTKALVAAWRVACRVPRATVLLPSGHRFLVSPVTLQGPCSTKLTLQIDGTVLAPADMGSWLKPRRPLQWLNFKWLDGFTIQGAGTVDGQSITSLQSASPANSPQIFTGHWYSSGTKPTLVRFYSSYNVTVRNIRISNSPQCHLKFDSSGGIKVKNITISSPGDSPNTDGIHLQNTRDVEIRSSSIACGDDCTGCSNVHMKNIVCNPGHGISVGGLGKDNSLACVSDVVAENINVQNALYGVRIKTWQGGVGSVRNITFSNVRVSNVATPIAIDQFYCDRGGARCANRTGAVAITGVAYRRVVGTYTFQPARLACSDARPCTGVTMVDVRLSPAASAPGTTVAPLCWNSYGEASGAMEPLSVGGCLQRSNGYAMPLTQPFNYTC >Dexi1B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:1B:12127411:12130961:-1 gene:Dexi1B01G0011710 transcript:Dexi1B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATFLLHLHLLLLLSCRAPSPAFSLPPRPPVRCHSGNGCVLSNAYGAWSSDRDDCPVAAVAYPSSEPEVLAAVARASATGARVKVVSAFAHTIPKLACPSNGGGNGTTTTLLISTARLASAVEVDAAARTVTADAGAPLRAVIDAAEARGLSLAAAPYWEGVSVGGVVSTGSHGSSWWGRGGAVHDHVVGLRLVVPAEEGDGWARVLSLRRGDDLFPAALVSLGLLGVVTKITLSLEPRFKRSITYEYRDDSTIQDDFAAHASRHEFADITWYPSQHTAVYRVDDRAPLDASGDGVNDFIGFQATPIAATAALRALETSLERSKNVKGKCAMASAEAAAKRLAGNGLKNNGVLFTGYPVVGYQGKMQTSGSCAHSSAYDLLSACGWDPRFHGLFFYESTAIFSPPARFREFVLDVKRLRDVAGADALCGVDVYNGFLVRFVKASVAHLGQHEDSVVVDFNYYRATDPETPRLSEDVWEEVEQLALVKHGARPHWAKNRLVAFDGVRGKYPRWAQFAAAKRRLDPRGMFDSKWSDEVVGGEVGRSKGDGCALDGRCVCSEDRHCSPEQGYYCRPGLVFTEARVCRYSVSQNQ >Dexi4A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:4A:24090383:24092244:-1 gene:Dexi4A01G0020450 transcript:Dexi4A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAAVARAVVAFLDAVLVGCLLSFFRPRRGSDSGSGGSEQDAPVGRVGRDRDAEVTWDHELGLGRNERYDEEFTCGSMGEEELRIEANYLKLCGAITETPAELQTECDNNNMTTNAPETNCGSPLQANSSEGYQEECHAVYELSIEDTQHHPGVELVPQPAFLEKSPLQSIQHKIVDHSVSPFATPLVLRDDMHTPGTIYTSHRGASLSGKRVHTRKQFIHPILRPIENRLKQMELKEDSSPLPSFNPPKRTNLEAHSTKKAKPTHSSSVVKSGLSKTSSFPGQVKEALSPDELSGSGKLSKGNSHEKNAALSLSRWLKSSSTDVENQGDVKGAAASQSCGECSFPTERPVLNAPDLENPTPELTKAWGDSGIPNTTTRYREDQRVSWHTTPFEERLLKVLSDKEHCPPRKLAHGKFHQEEKAV >Dexi9B01G0028750.1:cds pep primary_assembly:Fonio_CM05836:9B:31329025:31329648:-1 gene:Dexi9B01G0028750 transcript:Dexi9B01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEQLNLEAVKIAGSMPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSAEKVLGEVIEEIMGDHEMLTGKNTE >Dexi5A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:5A:21085554:21085879:-1 gene:Dexi5A01G0017760 transcript:Dexi5A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLKDGASVASAWDDEDFFSYCPPSRCSKDGPEIRLPFRLESSNTSSSLCGAPGVIKLACSGQDTILAWYPDDGPACGLLCLLQAEAHVSCPTS >Dexi9B01G0022470.1:cds pep primary_assembly:Fonio_CM05836:9B:17133396:17135525:1 gene:Dexi9B01G0022470 transcript:Dexi9B01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKPGAAHEPLLPSRPPPGTAAEAKRLLRLAGPIVASCVLQNIVNMASVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATALDTLCGQAFGARQHHLLGVYKQRAMVVLGLACIPIALIWAYAGQILVFLGQDPEISAEAGAYARWLIPSLAAYVPLQCHVRFLQTQNVVLPVTASSGVTAICHVAVCWALVYKAVMGSKGAALSNAISYAINLGMLAVYVRVSGACKETWSGFSKEAFKDLLRFTELALPSAMMICLEWWSFEVLVLLSGLLPNPQLETSVLSICLNTGALLYMIPLGLTYSISTRVSNGLGAGQPQAAKLATKGLWLGIISGSVTKLLFLVLISWSIDWGKEAVKAKNRVFSSSLPLA >Dexi2A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:2A:10436058:10437724:1 gene:Dexi2A01G0009580 transcript:Dexi2A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAGRRIQYPLHAIPQLAVWSSREQRKDGGRSGIERRSRAEGEGESQDEQGESTVEEAQSPPWRPSFCSDLSSSGSGITFSARPQSRNKGRWRRGHPAPKEHLFNKVLTPADLGSRLVIPKQDARRLRDPTGKGVVQLEDPSGKRWSFRCAYSKMGKIRRRYSLTQRWRRFVKENGLHTGDTVSFYRGVGAAGQRRLFIDWKLQSDDAGPHLRMQPPMYPCSAFSIRELSQQLAHVRATISPSSKALVSFSWLTNVRSLAGDTEDHLRDLHYRMMLAFLGVDCQKVKPNVSENSSKARSTSSSLQGASPAELTVATIAEKLKGLEIGRPELSVSATQNSTGAEAKVTMEVPVFASDDLTYVGRDRDKKLAVFGSLKLQQP >Dexi5B01G0025300.1:cds pep primary_assembly:Fonio_CM05836:5B:27363487:27366072:-1 gene:Dexi5B01G0025300 transcript:Dexi5B01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVDSQGEIGELQRKLEDADGRNGLLQDSLQRVQQQQMLFTYQKGKSTIKRRNRSLKLKR >Dexi3A01G0022640.1:cds pep primary_assembly:Fonio_CM05836:3A:18248469:18249876:-1 gene:Dexi3A01G0022640 transcript:Dexi3A01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEKGATNGHAAEEQQDVMEVEPRRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPSATAREAIQYSMDLYGQYIMQTLRR >Dexi4A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:4A:17214969:17220650:-1 gene:Dexi4A01G0014780 transcript:Dexi4A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPGHSIDAQLRLLAPGKVSEDDKLESYELAAQYEGGHDAARLSELGTRLTGLAPADAIVVASSISNMLNLTNLAEEVQIAHRRRNKQNQHGDFTDEGSAITESDIEETLKRLVNELGKSKEEVFEALKSQTVDLVLTAHPTQSIRRSLLQKHARIRNCLTQLYAKDISEDDKKELDEALQREIQAAFRTDEIRRAQPTPQDEMRAGMSYLQETIWKGVPKFLRRVDTALKSIGIDERLPYKTPIIQFCSWMGGDRDGNPRVTPEVTRDVCLLARMIAANLYIAEIEELMFELSMWRCSPELKARAEELHSSSKTTKHYIEFWKQIPINEPYRVVLGAVRDKLYNTRERSLHLLTAGSSTISEESTIKSVEEFLEPLELCYQSLCASGDKTIADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTEVIDTITTHLGIGSYRSWSEEKRVEWLISELRGKRPLLTHDMPMSEEVADAIGTFRVLAELPADNFGPYIISMCTAPSDVLAVELLQRECHIKNPLPVVPLFERLADLQNAPGSMELLFSVDWYKERINGKQQVMIGYSDSGKDAGRLSAAWQLYRAQEDLAKVAKKYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSIRVTIQGEVIEYSFGEEHLCFKTLQRFTAATLEHGMHPPVSPKPEWRALMEEMAVVATEEYRSVVFREPRFVEYFRSATPETEYGKMNIGSRPAKRKPKGGIESLRAIPWIFSWTQTRFHLPVWLGVGAAFQAAIKKDSKNLNLLKEMYKEWPFFRVTLDLLEMVFAKGNPGIAGLYDNLLVADELKPFGEQLRNKYVETEKLLLEIAGHKEILEGDPYLKQRLRLRDPYITTLNVLQAYTLKRIRDPSFKVTSQPPLSKEFADENQRADLEKLNPASEYAPGLEDTLIITMKGIAAGMQNTG >Dexi9A01G0035400.1:cds pep primary_assembly:Fonio_CM05836:9A:40088686:40091814:-1 gene:Dexi9A01G0035400 transcript:Dexi9A01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALRSAAARARAAAVSGGRWLGTSSAAETEREMGRGRGMEKEEERGRWELSVAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEQPWGGSRLRKAGIKTAVVSNFDTRLRPLLQTLKCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHVGDDRRNDLWGARDAGCDAWLWGSDVHSFKEVFLFFFVHLALLDKAACVSCYAG >Dexi3B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:3B:7380740:7381816:1 gene:Dexi3B01G0010530 transcript:Dexi3B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSGCQQQALLLMALAAAVLTSTASGTLQYNFYSSSCPKAEEAVRNATVKIISGDRTMGAAIVRLFFHDCFVTGCDASILLDQSNSNPQPEKLAIPLRGYDAMNTIKAAVESICPGVVSCADVLAFAARDSVMVSGGFTFSMPGGRRDSLVSDMSNIFGSLPTPNMQVQQLVSSFAAKGLTSGDLVALSGAHSFGQTHCSFVTPRLYPTVDPTLNATYAGKLRSFCPQNSGGGKVLNNNNVTDPNVLSNQYYRNLGTGEVLFTSDQTLTSDAGTAKMVLDNAASPVMWMAKFAGAMVKMGGIQVLTGTQGEVRKVCGATNSGS >Dexi5A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:5A:14007372:14008297:1 gene:Dexi5A01G0015980 transcript:Dexi5A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPVVSPNVARVVLCLEEVGVEYEIVNVEFATGEHKSPEHLQRNPFGQLPALQDGDLMLFESRAISRHVLRKYKGSQVNQLGESNLEEAALVDVWLEVEANQYDPAIAPIIYQQILVPMQGGSPNQKLIDDSVEKMKKVLDVYEAQLSKFKYLAGDFVSLADLSHLPYTIYFMATPYASVLDSYPKVKAWFQDLMARPAVQRVVAQLPTF >Dexi5B01G0029330.1:cds pep primary_assembly:Fonio_CM05836:5B:30602166:30604315:-1 gene:Dexi5B01G0029330 transcript:Dexi5B01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLLVAVTLVDALPPALLLVCDKVYGVQKHETCFAVSQAEGLSLKKFLRFNPNINCNNLFIGQWQPKLASFSICGRRQLKPNPPCSLAPPLPEHREDEKEKKGAVEETRRMATPTNSSATPRAPTPGGGGRDHEQAVAVDYVEPTLAIEEEREPSRSSARPPTGRSCSACAGNDEPSSCHGHHHKVLDDMPS >Dexi9B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:9B:15255247:15256224:-1 gene:Dexi9B01G0020530 transcript:Dexi9B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIRKRPAPDGLFSTAAAAGGRKKRPRYQFGSIYNYEKLEVLGEGTYGVVVKGRDRRNGETVAIKWIRPDANGVTDITAVLREAGCLEACCGHPSIVQMKEVAADEVTGHVFIVMEFVGPSLESRLTTRPFSEAETRAMMRQLLRGAEKLHGAGTIHRDIKPDNILVGAGGALKICDLGMAVPTRPAGEPYPEQIVAALWYRAPELLGGDWRYGAAVDMWALGCVMAELLIGEPLFGGAETEDDMNTRMLELCGIDTPELQASLRELSEAGREVLCGLLSFEAEKRLTAAEALGHRWFDEEDAPLTSSALCSQPNPRGFISFF >Dexi9B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:9B:8097598:8098955:-1 gene:Dexi9B01G0012150 transcript:Dexi9B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFVQAPEHRPVGPIAEATGVPVIDLSPLIAATPPSPAVLDALVAEVGAACRDWGFFVAVGHGVPDATAARAVDAGRAFFALPAVSKAAVRRTERAPLGYYDAEHTKNVKDWKEVFDIFPHELPSPDSGELVFVNKWPDEADLPGFREALEEYAAAMEELAFKLMELIARSLNLRPDRLHGFFREKTTTYMRINRYPPCPRPDLALGLGRHKDSGALTILRQDDVVGGLDVRRRSGEWASVRPLRGSLVINVGDIIQVWGNDTYESVEHRASLNLEQERFSIPYFFNPAMDTVVEPLEEMVSEERPSRYNAYGWGDFFCTRRRSNFRKLAVDNIQIDQLRKDKIGQEQQCRPAN >Dexi3B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:3B:4498816:4499975:-1 gene:Dexi3B01G0006490 transcript:Dexi3B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGRWGGEGGKLKTIKLGSSEFIKEVSGTIGAWAEYNNIISTITFVTNVTTHGPFGDPRYGIAPFSIPVPNNSSIVGFFGRGKVYLDAIGVYVLEDTAAAS >Dexi3B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:3B:6412016:6416163:1 gene:Dexi3B01G0009330 transcript:Dexi3B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKLWPRYRQVDVVLLASPRPPAGTALACGPGTGECPTVQNLNSSGERKGLQSLEEERGGGEAEADAEAMLRSGGSRASAKPRRPHSRPRPPSPTAPSRRASGAAAAAAPESKEPSVLEVPVVSSMEETSFTFEFKRGFKRAKKTVLPPMDAQDNLREGFSNKSNDVPPAKKEAPKQVEFTHCSPGIVARLMGLDTVPRPKKVLDRCQSDTQNNLQRHISGVVQEVASTSSGDHPCNISSDDLPALKDVFEVTEMENMAMHEVPQPGNEEQYLRHLEADLEFVRQKFLDAKRLATDEGHRNSKEFSEALEILHSKKDAFLEILEENRTAVSGLSGHILGYSGSHSSPDTSNTASAELFEQEILCNMPDVCNGMFGRPKEFERSIPSMLFKEASVASVEPLAPNQGKNKGSSHRAQIVVLKPNLQRKSFTPVLSTQETSRYKQRRVTNSKPPHLSKQYSLPQKNEVLEGEEGISTQKVRKQTPKSGSSRRQSKEEYLAVDSEKRKIASTSHDDTMTIHSNMHSAGPSVSRKARKHLSERWQMACQSGSENPVPKGITTLGEMLGLSDGDAPKETSHKGSSDPNFSRCNVREVPASPLGISSKDGWKTGICYEDDSRGGMSRNFPRSKSLPASSSTSTKLSGRRQSTPTCRLPILKDILNTPTDESENAPVRRRSSIRNARQRNGRAIVQLGKENMLPEKEIHITSEKSRHSICISDLSHASNVYNEKCPDDVIRAEDQQKCDSSVQHEDMNNSEGHLALTYQTLATSFPETNGVLSIQNQDIIAFKEGRSPPVEIEIALDDTQATQPVSIASGESCECSSLTASSPRSSVEEASYSGIFKSINFDIQGLRAQLKMLKMEGQDDIYGDYSDTLSTDECNSTNISTYQETEEQLPIFKDDEDRDLCYVQDMLASVCDLPDYPEGWQVGSDVFLWLENKYSKLLLWSKSDRRLLFDLVNSTLADMSALENSLHSKILMNCWPEIDREQLAENVWQMVRKQSKYEQFSLEDVQPLPLDHRSELEVIGMKITRMIHDDVIQDSIIEFLSRENLPC >Dexi4B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:4B:2296215:2300287:-1 gene:Dexi4B01G0003290 transcript:Dexi4B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVIEISDKPRQREAEPAFKFIGNVHGDEPVGREVLMHLANWLCNNYLKDPLATLIVENMHLHILPAMNPDGFALRWRGNANNIDLNRDFPDQGALVANYPWDGTSDTGKRYYGCPDDKTFRHMASVYSESHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYINAGCFELTLEISDAKWPKAVELPVIWEHNRMSMLNLLASVIKSGVHGRIFAADTGRPIPGSVMIKGIDSKVRASRTFGDYHRIIVPGEKYEVMASLEGFRPKSTNIVLEQEAANLDFILEPDGVDGQTKLPSNDYGCRCDNSMFHVQEAHLWLYLLVVCVLLTLYLVFKRKTASRLLTYRYSLRRPVAV >Dexi6A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:6A:15589546:15592939:-1 gene:Dexi6A01G0010910 transcript:Dexi6A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIARELRLRDIGGIIVVDFIDMTDDSNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHGAGRVEALDTSFSKIEREICRRLAASRRKSDPEKPKSWPRFLLRVDHEMCSYLTSGKKTKLGLLSSSLKVWILLKIARGFSRGAFEVVPYSEKENGEDRETSSDYPQKEGSPKLSVFPIKKWMSRAKRAK >Dexi9B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:9B:7990486:7993010:-1 gene:Dexi9B01G0012010 transcript:Dexi9B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAITLFRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEEKSDEVDISDIPGAHSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIEKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKVINHCIDSIASKASIDPSEVEWSYTYNRKKLPSENGIDSHWNGVRKQPMVPNDWWVEDLCELEVDLYKRVIMTIKAKGRTPSVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAALEAIVFLLPTEEGSVSCGFLLKLLKAACLLESGETHRNILIKRIGTQLDGALVSDLLIPVNTDENSAYNIDLIMAIVEEFMSQNSDNGKAKLQDDEEIVEVENVSVTTVSSTSKLAVAKLIDGYLAEIAKDPNLPLPKLMALAEMASSLPRLTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSKDACMHAVQNERLPLRVVVQVLFFEQVRASVASARSDPSAELPPTVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANKSGGSERSSGSSDTNKNNGDDKSATGKAKGMLMPKKILSKLWSGKTNAGENSSSDTSESPGSVNPEEVKSTQSRITRRSVS >Dexi5A01G0040090.1:cds pep primary_assembly:Fonio_CM05836:5A:40372208:40374545:1 gene:Dexi5A01G0040090 transcript:Dexi5A01G0040090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTAASTPPVPDAGEDGATTHSPPSPSPPPPSPPQRGRGKVVIVMGATGAGKSRLAVDLAAHFAGVEVVSADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIQEILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDMQDCTLSDRLDGLGLINDDVGCGYERLKQIDPLAAQRIHPNDHRKIRRYLELYATTGALPSNLFQGETAKVRNFAIVQKWGRTSNSRFDCCFLWVDADLQVLDNYVNQRVDCMMDAGLLDEVCGIYDPDAVYTQGLRQAIGVREFDEFFRQYLTRKESDKDRAVFSTNMLTVHDDQLKRLLDEAVSQLKTNTRRLVRRQRRRLHRLSKDFGWNLHRVDATRAFCCTTGDSWDKGVVEHCAAVVKRPATNVCSEGRTSGSSTGKGEATVKECSG >Dexi9A01G0023350.1:cds pep primary_assembly:Fonio_CM05836:9A:18657756:18658437:-1 gene:Dexi9A01G0023350 transcript:Dexi9A01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCVYIRKSAPETRGVKKPHRYRPGTIALREIRKYQKSTDLLISKQVTLSEACQGDCSAFQGTFISLDQMNNSDMRFQSHAVLALQEAEEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGERS >Dexi4B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:4B:450828:452605:-1 gene:Dexi4B01G0000680 transcript:Dexi4B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPNGNPAASSLATTVPVQAVLFDIDGTLCDSDPLHHVAFQELLLEIGYNNGVPIDDEFFIKNIAGRSDVEAAQNLFPDWELEKGLKFLEDKEAKYRSLAKERLEPVKGLGKLVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIVGGECEQPKPAPYPYLRAIKELEVSAEHTFIFEDSASGIRAGVAAGMPVVAVATRNPENSLLEAGASLLIKDYEDPKLWAALEEIDREEAKLKKADA >Dexi5B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:5B:5250470:5253403:1 gene:Dexi5B01G0007710 transcript:Dexi5B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIPTTASSPLRLSGNLPRSPGATTGCPRPSLVAAARQPASASSSSAGRLRAVSPSPTPPPPVESFGFGALKETFSVDVAAAEARPLDVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAAGRACDALAGAPTAPLGAMLQDVAEVLPGHDFASVRNPPHLLVRLCTEYNLPSVTVITVHKFSVPCVFDCNCFPIKARAGVEMALIDAVANSIRIPLWRLFGGASDTVTTDITIPIVAPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTADQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIAAMEKYKVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVYGGYEAFGPLYKFTNARGHGGFLHLDNDASVCHSYP >Dexi1B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:1B:23212373:23212688:1 gene:Dexi1B01G0016720 transcript:Dexi1B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAIRAALRWMRDGDISVSPYDTAWVALVKRVDGGGDGPQFPSCIDWIARNQLPDGSWGDDAFFLVQDRIINTLACIVALKSWNVHRDKCKKVATC >Dexi4A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:4A:4642197:4643525:1 gene:Dexi4A01G0006460 transcript:Dexi4A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAPAPPPPPAADAVPSMAGGIERKMSPGVVLLIAILAMVFFIIGLLNLLIQNLLRLRRARRRRGRVGDASGDGSPTAFQGQLQQLFNLHDAGVDQAFIDALPVFPYHAIAGRHGKEEEEDTPFDCAVCLCEFAGDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPLCRGSILLADVECYSPESNPALLVQLESSERLRETVAGDGDDPGDRDMGEAPKDVEVEEILEVKLGKLRCVDGNVSARDLVIDGNGRGSLGKRRCLSMGSYEYVMDEQAALRVAVKAATPKRRDAAAGSWSRRRHALSAFDFGSSNSKKGAWETAVTEAAAGCCGGGDGAAARLNKDSFSTSKIWMVAAAGVKREEDDRRTDGSAGTERRAASFRWPAMSMGCNKHRGGEAEADATWDVEVGGRGGNGAPATAEERPSLARAAMIWVAGGRQGSLS >Dexi1A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:1A:25200698:25201900:-1 gene:Dexi1A01G0017890 transcript:Dexi1A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNIVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >Dexi5A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:5A:9467903:9474270:1 gene:Dexi5A01G0012610 transcript:Dexi5A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPDPLAISASAPFPTIPAASSSRPRAARPRRHAAPFRSDHPAAASVRRSGIDLSRRPTTSALAQQEGSRSWGASRDASFVFGSGAAGAPVMTRSFSSGSGEALSTEIPAEVDKLSLYDGSGRRSDADASGGDDHDGSSRRSDASWGDDAVPVSNTSDPFGIGTRGSNFSFHEDFGLHSLHDQTEKHDEGSRAPSQTIQCERTEIRSLASPTCTDQDAPIQFARNGDSLPVRNSVDEGSLPEDGSKISAHSGNVQQNVFVFGRHAGYRDTANATQSSISKVGSTDKDSATINSEQLNDSVAESSTSTKFILRDAKLAFGLTNKNPLHSEPREISPAVKFGSNSRSEDGSGKVSFIKLPYDFEAVAAPELNEHASFDEKSFTVQDHNVASRNKSRAKGMIANRRAVMPNNFSSADQISSLESVSISDHCSGKVSPEKRGNQAYAEGQLTKAEECYTHGINSFSPDEASRKALMLCYSNRAATRMSLGKMRDALSDCREATGIDPGFLKAQVRAANCLLALGDVEEAQKAFEMCLKSSHLSSLDHKIVEEASDGIQKAQKVSGFIRQSKEYLIKKSFDNIPDALQMIYDALSISIYSDNLMAMKAEALLLLQRYEEVIRFCEETLYAAERNSLCLCPEEHSESNNVDNSSCSVKLWRYHLIAKSYFFLGKLEEALQFLKKYDQIKVMGCRCGKQSQESILSFSMALSELLRLKQNLPEIYTSAIKNFLATFQAAGNEAFQSGKYLEAVEHYTTALLSNNESLRFLAVCFCNRAAAYQAMGQILDAISDCSLAIALDADYAKAISRRSSLYELIRDYDQAENDLHRLITLLEKQLQENTSMPSEKTESIHSNLNRANLRFSSLKRDARKGAPLNVYLILGIEPSSSAVDIKKAYRKAALRHHPDKVLYSCSSDYTHILLSNSSYATGR >Dexi9A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:9A:5338948:5339298:-1 gene:Dexi9A01G0009020 transcript:Dexi9A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVSCECCGLEEECTGEWLCGLCSEAVKYEAGKCKGAPDVEEAVRAHMAICRTLKMSGGPAGRVADGMRQILRTASWKKAAGASSSPRGHHRASPPLSVGL >Dexi3B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:3B:9665762:9667506:-1 gene:Dexi3B01G0013540 transcript:Dexi3B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSILMLRWKRGEGPGITAPAINPLLSAAEPHPSPTLSCDTAVFLSPLTPHLSLPSDLTPQESTATIPSALLALRLPAGIRFRVLSGTMRKALLLFLLCCLAAAGGEAAGEGAELAVVVDPSWRFPSQRLRDAYVALQTWKQQAIFSDPRNLTADWVGPGVCNYTGIYCAPLPRGVPGAGELAVAGIDLNHGDIAGYLPTELGLLADLALLHLNSNRFCGLVPASFRRLRLLVELDLSNNRLVGAFPAVVLDLPALKFLDLRFNDFEGAIPRELFDRPLDAIFLNHNRLRSPLPDNFGNSPASVIVLADNSFGGCLPASLGNMSATLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNALVGPLPQQVAGMRKVEQLDVAHNRLSGAVPEAICALPRLKNLTISDNYFAGEPPSCARVVPADGDRQNCLPNRPAQRTPQQCAAFYSQPPVDCAAFQCKPFVPVPPLPPPPPPSYPGPLPPVYPMPYASPPPPSHYR >Dexi5B01G0027890.1:cds pep primary_assembly:Fonio_CM05836:5B:29399851:29401461:1 gene:Dexi5B01G0027890 transcript:Dexi5B01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACALAVVQPAAAPALRGRRSLSGHLPRLPSPRLPGRMRIRSRSIVAKVAQDGSESPGSSIVRYVKNSFNTAEDIFALAGIGFATVAALWASVNLIEIIDKLPVLPLFFELIGILVAWVFIYNNLLFKPKRQEFLKSIKTTVSQILGQ >Dexi4A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:4A:6015020:6015370:-1 gene:Dexi4A01G0008010 transcript:Dexi4A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWKKREKLAPVICRERRSERADEEEAGAGDCGPRNHGRACRVVKPAAAPGAGRKITGGFPSWGPPLEARRVGKRLEGRRRVERLHEGGGEGSQRRQLVETPDGDPSGGSCGGA >Dexi2A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:2A:1040206:1041227:-1 gene:Dexi2A01G0001480 transcript:Dexi2A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSDELAEEILLRLPPDDPGSLVRAALSCKRALRLAADPGFRRRYRAFHGAAPMLGFVHNTKGARGSRRGMFGFLEEPPTVARFVPNLAASSSSCLPSCEWLVLHD >Dexi3A01G0030960.1:cds pep primary_assembly:Fonio_CM05836:3A:35295753:35301383:1 gene:Dexi3A01G0030960 transcript:Dexi3A01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSREGSGQEPHHHHTIIHLDAGTGGAPRQVWVPGPVIVGAGPSGLATAACLKARGAPSLVLEKDTCVASSWRHRTYERLRLHLPRSFCELPLMAFPPGTPPYPTRDQFVAYLDAYARAFGIEPRLGVRVRSAAYDASIGFWRVVVTVDGEGDGGAAMAATEFVSRWLVVATGENAEPAWPEGVEGMDGYRGVALHTSSYKKGDEFRGKKVLVVGCGNSGMEVSLDLCNNGARASMVVRDKLHVLPREILGISTFGFSLFLLKWFSTKRVDSLLLFFSRLILGDTEKYGLPRPKMGPLQIKSSTGKTPVLDIGAFRKIKKEEIKVVPAINRFTENGVEFIDGHREDFDAVIFATGYKSNVPSWLKEEEFFSHADGLPKKPFPHSWRGKNGLYAAGFTRKGLMGTSYDAIRIAGDIADQWTDAFASPTAVHISSDHGA >Dexi9B01G0027630.1:cds pep primary_assembly:Fonio_CM05836:9B:30085615:30086026:-1 gene:Dexi9B01G0027630 transcript:Dexi9B01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQCYNNAYFARVGGISLVEMNYLEVDFLFGIAFDLNVTPSAFASYCGVLQSEMAYLDPPTPMDAPRLHCYSTGASDQHDDHTATGAGCHRHNQHQPQLTV >Dexi9A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:9A:13905979:13906506:-1 gene:Dexi9A01G0018860 transcript:Dexi9A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDTTGTEEEEWKDAPVPATTSMDTKTTTNASGPGYTDTLKNAAMHGHHIARQGTSPRNCAQGTRTVSSAQRSPALCSGARRMSVAQRMSTPGPVITKVREAVTLLTGRNRVSEIVQSGTAIGEEVKEGYAAEAP >Dexi1B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:1B:22719735:22719964:1 gene:Dexi1B01G0016170 transcript:Dexi1B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPHCRRNATLGLIGLEKAAAPPNNRKELVEGSELAKPELQIAAEEPATEGVGDEGYEARDFVPRQADLA >Dexi1B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:1B:3599484:3600188:1 gene:Dexi1B01G0004440 transcript:Dexi1B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGLPKELVYSNSIIVLDVSFNQLNGDLQELQSSTLRPLQVLNISSNFFTGRFPSTTWELMKNLISLNASNNSFTGQIPAMYCVSAPSFSLLDLSYNQFSGSIPPGLGNCSMMTSLSAGSNNLSGTVPDEIFNLTLLEHLSVPNNQLEGSLRGISKLKNLITLDLGGNSLSGNVPESIGELKRLEELHLDHNNMSGELPSTLSNCTNLMIIDLKSNSFSGELTNINFSSIPI >Dexi1B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:1B:21489936:21490965:1 gene:Dexi1B01G0015090 transcript:Dexi1B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSLNVRLFDDTGNAPAAEGPVLSLAEFKWMMDEMMLLNGVLNVGDWIPWLGCLDLQGYVRRMKRIGERFSAFIDHVIDEHAERRRRDGDSFVARDMVDVLMQVADDPTFEAFTQDLIVGGTESSSATVEWAISEVLRKPSIFATATEELDHVVGRRRWVTEEDLANLPYLNAIVKETMRVHPIAPLLTPRVTREDVAVAGYDIPKGTLVLINVWTIGRDPAQWEKPEEFTPERFIGTEIDVKGQDFELLPFGSGRRMCPGYNLGLKEVQLSLANLLHGFTWSLPEGMAGQDLSMDEVFGLSTTRKFPLEVVVQPRLPTELYA >Dexi2B01G0034680.1:cds pep primary_assembly:Fonio_CM05836:2B:41957043:41959102:1 gene:Dexi2B01G0034680 transcript:Dexi2B01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAERDHQFTDEEEDEFLDDQGIGSEEEEGVGAGGKRKRLGKSLGGPGKRGVCYLSRVPPHMNPSHIRQMLSKYGEVLRIYLVPEGQGHRKHTIVKAKAYSEGWIEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGETAEKTHIREQKLTLEIAAAKKQRDHYLSNVQKSRALKHIQERRKKKQKTEGAEPSNVLETRTARPIPQEKPVGESDAKIKPKLSKDILAGVFGGSS >Dexi4A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:4A:20187124:20189907:1 gene:Dexi4A01G0016560 transcript:Dexi4A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDVSQPSALPAAAAEAAAVKGSSVGEGLGRYYKQQIHDLDLGLQQKINDLSRLEAQRNVLNSQVKLCRNELNLLQEPASHVGEVVKVMSKSKVLVKVHPEGKYVVDVDKSIDITKLTPSTRVALRSGSYMLHVILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVILYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSTRSGGGGDSEVQRTMLELLNQLDGFESTNKIKVLMATNRIDILDPALLRPGRIDRKIEFPNPNESSRFDILKIHSRRMNLMRAIDLTKIAAKMNGASGAELKAICTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKSMSLRKLWK >Dexi3B01G0024720.1:cds pep primary_assembly:Fonio_CM05836:3B:19371989:19374013:1 gene:Dexi3B01G0024720 transcript:Dexi3B01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAEGEGEGEQKKWKQGGYKTLPFIMANEICDRFATAGFNANLISYLTQQLNMTLVDASNTLTNFGGTTSLTVVHGAFFADSYIGRFWSIFAGSVFYQVGMLGLVLSALLPSLRPTPCGHASNPGDTTCPRASGGQLAVLYVSMLCMCLGTGGIRPSVVAFGADQFDEQKGAEAWAHRKRRYFNVYFFTMGSATLLALTLVVYIQDNVGWGWGFGIPAISMFVSILVFVVAYPLYVRAKPGGSPFTRLAQVLVAAFKKRNAEVPEDTGMLYQDKDLDALISTKGRLLHTNKLKFLDRAAIVTPGDVSSDSGRPKPWRLSTVHRVEELKSVARLLPIWSAGIILAAAGSHNGSFTIMQARTMDRHVTRHFEIPPATMSIFTTGTTLVTIVLYDRVFLPLARRVTGLPSGVTYFQRMGIGLAIAISGVASAALVETKRRGIAAQHGLLDTPKAIVPMSVFWLVPQYAIHGVADAFASVGQMEFLYDQSPESMRSTAVALFWLCGSFGSYLSTVLVTAAQRATRWHGDWLQDNINRGRIDNYYWLITFIMVVNLGHYLCSFYFYTLKPLEVSEQHGDRDKECELLSSQRNENDAGNGVGMA >Dexi4A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:4A:7995643:7998839:-1 gene:Dexi4A01G0010060 transcript:Dexi4A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITRIEEVLAVAVLFASAAALSSMASAEPSPSPSPAAARHDYEDALHKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGDDVADAGELAHALESIKWGTDYFIKAHTRPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASMVFRRHNPHYASLLLHHALQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRGEYLDYVVDNAHEFGGTGWAITEFSWDVKYAGVQILATRLLLSGEHSPRHKETLEKYRAKAEHYVCACLGRNANADANVERSPGGMLYVREWNNMQYVTSAAFLLSVYSGYLSSSSAIAGAGVSCSSGEAPATSGEVLAMARSQVDYMLGSNPRGMSYLVGYGPRFPARVHHRAASIVPYKHSKEFIGCTQGFDDWFVRKGANPNVVVGAIVGGPDRRDRFRDHRENYMQTEACTYNTAPMVGMFAMLNRLARDEAVTATAATRSGADADRSVNR >Dexi5B01G0036600.1:cds pep primary_assembly:Fonio_CM05836:5B:36267042:36267490:-1 gene:Dexi5B01G0036600 transcript:Dexi5B01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLTLIVLLLFSSAAAASSSDPQARGAAPSRLGLVPVAPAEAELGAMALGFNDTRRRLEGSFQLCAPCKCCGGPGGSGACVLAPCCYAINCNIPNRPFGYCSFTPRSCDCLGCNI >Dexi9B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:9B:3930167:3932451:1 gene:Dexi9B01G0006550 transcript:Dexi9B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEKMADGVAFEAARKIIMHPLYAPRSSPWLDLKVFYIRVSNCVVDESAPEHLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKKTEEATFVSTDNIRMTGSVRFQVFDKNDLLLTGDLDLCNANGVVGESKNSCKKWNMKCQSSTSCNGFLKGKMSTGSEYVQPAIEVYVAGTFSGTPIILTKTIQLISRRKSEMKLKLDSIPENEATEQHKEESAEDSLKVSEFQDSKSETDVDVDYNSLYPRQDFLEGEDGELSWSNAGVRVGVGIGLGVCVGS >Dexi5B01G0031510.1:cds pep primary_assembly:Fonio_CM05836:5B:32202849:32206334:1 gene:Dexi5B01G0031510 transcript:Dexi5B01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIAVAVTVFIVLSSLFILFNPAPSPLQFFSSPSSHISSSETSIPLSSNASPPEAPTAVASNGLSSSTPADPVPVSGDASPPVTATGIASDGVGSSTADPPRPDLAAADRDAEADAPQPDHGTPSASADESGSAGDSDTTPGVSGERDGEGPGGGGGSGSGSGAEAEPVRLPSWELCEVGKGVAAADYIPCLDNVKAIKSLKSTRHMEHRERHCPEPRPRCLVPLPDRYRRPVPWPLSRDMIWYNNVPHPKLVQYKKDQNWVRKSGSLYLSNGCIMAKTETICDILGLGY >Dexi7A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:7A:23699509:23705343:1 gene:Dexi7A01G0013720 transcript:Dexi7A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGNPNYYGRGPPPPQQQHHHQPPPPSQAHHQQYVQRQPQPQPSQHLNQQQQQHQQQWLRRNQIASEAAAASAQRAPPAVDGIDSSSQDWKTQLKLPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDHDKNAIQDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >Dexi4B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:4B:10828196:10828703:-1 gene:Dexi4B01G0012820 transcript:Dexi4B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDATLADFGSHFDRLFASPDAASDGKVKLLLFLADREPGSSLTWCPDCNVAEPVIYERLEALKGKDAVLLRAYVGDKPTWRDPAHPWRVDPRFGLKGVPTLIRWENGAAAAKLGDEEAHLKDKVDALLGPGN >Dexi7B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:7B:10992815:10993024:-1 gene:Dexi7B01G0004490 transcript:Dexi7B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVGERPNNPLLSSPNGFLGREVWEFDPNAGTLWERAEVERLRQEYTCNRFTQRECNDLLLRMQEC >Dexi9B01G0033470.1:cds pep primary_assembly:Fonio_CM05836:9B:35621880:35627604:-1 gene:Dexi9B01G0033470 transcript:Dexi9B01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLGKSAAVQEPAAEEETQVAAAAVPPAAGHDEVAARAPRTPKQTKFSFYLASPLLPSSYKGSPANSSVASTPARKRSFPFPPPSPAKHIRALLARRHGSVKPNEASIPEGAEPDLGLDKSFGYSKHFAAKYDLGREVGRGHFGYTCASKAKKGELKGEDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEENVYVVMELCKGGELLDRILARGGKYSEEDAKVVIHQILSVASFCHLQGVVHRDLKPENFLFLSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPNFDETPWPNLSAEAKDFVKRLLNKDYRKRMTAAQALCHPWIRGTQEVTINLDMIIYRLVRAYISSSSLRKSALRALAKTLTIDQLFYLREQFTLLGPNKSGYISLQNMKTALMKNSSGAMNDSRVVDFVNSICNIQYGKFDFEEFSASAISVYQMEGLETWEQHAQQAYELFDKEGNRPIVIEELVSV >Dexi5B01G0038860.1:cds pep primary_assembly:Fonio_CM05836:5B:37916368:37917503:-1 gene:Dexi5B01G0038860 transcript:Dexi5B01G0038860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAALNYGMADVGMVVVAPAASFHHTHHHHHHHDAAAAAADPIFPLLSGGPCVLDPDAAKSAAASGAAAAPGSAIQFWQPPHSPSSANPNPSGGPFAYLKTKPLAMLDTGGGGSSGSGGATTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQHLAASGSASSSPATASAAAVASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDGLPRQVRAPAVFKCVRVTSIEDGDDEYAYQATVTINGHLFKGFLYDQGPDDGRHSNDDSTAGGVPNISELHLGAASGSGAGGSGVREGGTSVVPTELYGGGGQHHILGGSSYGNTMN >Dexi9B01G0029720.1:cds pep primary_assembly:Fonio_CM05836:9B:32158172:32158966:-1 gene:Dexi9B01G0029720 transcript:Dexi9B01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLRTKSELLLKSNPVHKKVPVLIHNGKPVCESSVILQYIDEAFAGTGPSLLPVDPYERAMARFWAAYIDDKMMAAWNQASKAKTEEEKAEAMKQSFATVETLEGALRDCGKGKPFFGGEDVGYVDVVLGGLLGWIRANDELQGVKPFDPERTPLLAAWAERFWSLEAVEPQMPDVSKLVEFGKMLQARQAAAGEGN >Dexi2A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:2A:7101430:7102365:1 gene:Dexi2A01G0007290 transcript:Dexi2A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTTSPTASPAGAGACISLGVAAVPGAILFVGAFFVSDTLSSLVLRGYPDQARAVLQHIRGMDADVDGEFKDIVRAVDEARKNEEGAFQRLFSKPYRHYLIMGVAISIFYELTGGFLLIAGGLSMMLCQVATAWIMAAHLGTHEAIAAMPHNYATGVLVMLLLCTFSFSASWAPVRWAVTSEIYPVEVRSAGQAMSISIWLCLTFTELQVFIKMLCTMKYGVLLFHAGWLLTGTIFVAVFLPETKGVPLEVMRLVWIRHWYWRRFAKEDMNNRQDTTNSNL >Dexi1B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:1B:19702704:19703241:1 gene:Dexi1B01G0013680 transcript:Dexi1B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKADLQAQLKEFKSELSLLRVAKVTGGAPNKLSKMFVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQVYTSFAFAPNQC >Dexi9B01G0030390.1:cds pep primary_assembly:Fonio_CM05836:9B:32909311:32909547:-1 gene:Dexi9B01G0030390 transcript:Dexi9B01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCRAGSPSTSKRACGRRGTTEKVAVDSMRRPPDTGVSALGERAREAQSNRFAVTAPPSHRRPSERSGGGPPNPRG >Dexi1B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:1B:5994405:5996459:1 gene:Dexi1B01G0007260 transcript:Dexi1B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPWLPGAALVLLPLLLLLLAAGARGQQEYEANKQDACYATNASSVLGYTCNATTAATNSCSTYLVFRSSPPYATPVTISYLLNASPSAVADATAVPSTVSTLPASALALVPVPCACTAGGYFQHNASHTIELADETYFIIANITYQGLTTCQALIAQNPLHDSRGLVRGNNLTVPLRCACPSPAQAAAGVRYLLSYLVMWGDTVTSIADRFRVDEQDVLDANSLAEDDIIFPFTTLLIPLKTSPTADTLVSPAPPPAPTPPQTPPSSPAGGSGSGKWIGVGVGLGAGAIAVAGIVGLLCLRARRRRRRGDKDGESGRQGKAVVDVSSSAEYGALASGKQTTNTTTTSTSTSSAARSLVASHVRGAVEALTVYKYSELEKATDGFAEERQVPGTSVYRGVINGDAAAVKRVAGDVSGEVGILMRVNHSSLVRLSGLCVHRGDTFLVFEFAENGALSDWLHGGAAAGNTLRWRQRVQVAFDVADGLNYLHHYTNPPCVHKNLKSSNVLLDGDLRGKVSSFGLARAVDGGDAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVILLELLSGKEAAFVDADTGEETLLWETAEEALVSADGGGEGVVDRAKVRVFADPRLHGDYPMDLALAVAAMALRCVARAPHARPAMDEVFVSLSAVYNSTLDWDPSDYGTSGSSMVGR >Dexi6A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:6A:1759909:1762034:1 gene:Dexi6A01G0001790 transcript:Dexi6A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYVAGVSFHAQGSTCSSCGKNNYLDNLYCDRILTKLQRLGASFDLSNNACTMASYKSKIVTESFVKLHEQQLVYRDVGLVNWDCTLQTAIPDIEVRRIDVNEGAMIKVPGYPSSIQFGVLVSFAYRIEEGLGEIVVETTRIETMLADTAIAVHPDDQRYKHLHGKCAVHPFNGRRLQIICDTELEYPTSGAVKIAPGHDPNAFEVGKRHGLEFVNIFTDDGKINHNGGEKFVGLRRFDARTAVILALKDMVV >Dexi8B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:8B:27595064:27595498:1 gene:Dexi8B01G0016420 transcript:Dexi8B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDHSVVRRQRAAEARVVVAAEAEQRHEVGHVAVAVDNVESSSASEKKKRGRKKQQEEEEEEETCSVCLAELEDGEAVRVLPACMHYFHTACVDEWLRKSATCPICRAPLTMVAAKAPKVAGAAS >DexiUA01G0026560.1:cds pep primary_assembly:Fonio_CM05836:UA:56872167:56873601:-1 gene:DexiUA01G0026560 transcript:DexiUA01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDSALQETKGLRAQLEQVMSERDEALSRDISELPCSHFTREELRNAMLRFSDGLKVGQGGFGVVYKGFLWNTTVAVKMLSSTSVQGQSDFKQEVKILSTVRHPNVVTLVGACKEALALVYEFMPNGSLEDCLEHASGAPSLSWQARTRIITELCSALSFLHNNKPYAVVHGDVKPANILLDGNLVAKLSDFGGSRCLLRSDQGPQDSGMLCTSHPWGTLGYMDPEFQITGVLTPRSDTYSFGVTVLRVITARSPLNIARVVRDAMERGDLRSVVDASAGDWPIAQAKRLAHLALRCTEMTSDNRPDMAGEVWSVVKHLADDANGEEASAGFSRQHFGNGQQQPEAFKR >Dexi9A01G0046780.1:cds pep primary_assembly:Fonio_CM05836:9A:50048763:50050480:1 gene:Dexi9A01G0046780 transcript:Dexi9A01G0046780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAEDIVIAGAGLAGLAVALGLHRKGVRSLVLESSPFLRASGFAFTTWKNAFRALDALGVGDKIRNQHLQAQTLRVMSLATGEIVLIGCDGINSVVAKWLGLAKPSYSGRSAARGFAHYPDGHGLDPTFLQFTGNGFRAGMMPCNENDIYWFFTWTPSDNDKGVDESAAKMKQFVLNKFRGSKNVPEETVAVIDKSEMSDVLAAPLRFRPPLSLITASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGEALAGEDAGENERIEAALREYARIRRWRSVELIATAYTLGIIQQSDNAIISFLRDKFLSGVLAGRLLKMADYDCGTLSN >Dexi3B01G0032420.1:cds pep primary_assembly:Fonio_CM05836:3B:34783393:34788914:1 gene:Dexi3B01G0032420 transcript:Dexi3B01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRAETQQEPHHPTILHLDAVTSITGGGAPRQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLEKDTCVASSWRHRTYERLRLHLPRSFCELPLMAFPPGTPPYPTRDQFVAYLDAYARAFGVEPRLGVRVRSAAYDAAIGFWRVVFTVDGEGDGGAAATEFVSRWLVVATGENAEPAWPEGVEGMDGYRGVALHTSSYKKGDEFRGKNVLVVGCGNSGMEVSLDLCNNGARASMVVRDKLHVLPREILGISTFGFSMFLLRWFSTKRVDSLLLFFSRLILGDTEKYGLPRPKMGPLQIKSSTGKTPVLDIGALRKIKKGEIKVVPAINRFTENGVEFIDGHREDFDAVIFATGYKSNVPSWLKEEEFFSHADGLPRKPFPHSWRGKNGLYAAGFTRKGLMGTSYDAIRIAGAIADQWTDAFASPTAVHRSSDHGA >Dexi3B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:3B:4306367:4309315:1 gene:Dexi3B01G0006210 transcript:Dexi3B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFIKLLHPGTVFKHINYTWKFHVLFYSSLRHISLDSRIRLQNPHTDVVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSQCRLHPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHRDLLDKVCFLNSNYVCIVIVLQTVAFQLIKVLLPVVFMIFSDVAYFAEFFLRQFCDAHTCNRGAKPFPKGGRKQTNRFYLALCVLTLILLPLFYLIVFLHQREMRKGAQDLKRFSKIGQKKKPS >Dexi3B01G0026040.1:cds pep primary_assembly:Fonio_CM05836:3B:20981361:20982162:-1 gene:Dexi3B01G0026040 transcript:Dexi3B01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAETPQPGDSASTPADDKLLAFLRLKLAGEVLPAAAAAHFHDADIYAADPVTLTSGFDPSPAKKCEEGGSWFFFTHVKPKSRNDSRKSRMVGGGAGTWHSERAPRAVFDDEGSCVGHSQYFSYKRKTGKNCSERTDWYMVEFTEGQEGDHERIHGGEPMLVLCKIYRAHSSSRSSASSRSGRKRKPTDEHVLVDQSSAPVKAKRRLFAPAPTKAAASQEQVSSRVIMAGSQGETSKSELER >Dexi3A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:3A:7794292:7794549:-1 gene:Dexi3A01G0010940 transcript:Dexi3A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGANNGVRVVVDGEVHVERVEKIEAVAVDGVPSPPPMTTAAARVVLPPPGKAAAPDVNELAEEFIRRNKAAFQRGIGDHRQRP >Dexi2B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:2B:6786732:6786954:-1 gene:Dexi2B01G0006800 transcript:Dexi2B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAAVFALLVKEELASWPEQSTRQRCWLTVPEAVEQSRHPWMQEALVTGTGATVVAVWIGAKDDDT >Dexi7A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:7A:25744692:25748725:1 gene:Dexi7A01G0015840 transcript:Dexi7A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGVESPAARRLRPGRASAKRSCPPGCGRTPAPPPAALPAAAAGDGDKVTDGGAGVLGGRAGEAIAPAAVSPPAQNGSLLRQEGSDNGEGAAGPAAVSPVAQNVALPRQEGTDRVEAAAAAVSPVALNGDLPQQQGSDKMEEDAALDAAQNGAPPQQGQNKVGELASPAAVLSAVCNGSLSHALPQLGPERAGEDGDNVENGEAQLLGNEGVLSLDGQEGIGVVEMAVAPAVKVSESCDIVGVSSSVQNGGEEVGLLADKEHGGGQNSEVVREKVSPDGDAMESKENVVAGSRAKRWLTSAVNPPPKTRAVSAIRRFPPGCGRTPITTEGSGVLEVSPVRTFPPECGRPSVTTIDAEILDVSPIRTFPPGCGRSAAATTVSGDEARLQLEATPVIDGDALVATPVLGGAASFSLPLEASNEKLGGKRMVDKGQSWAHSRVKQSDDFVGTEQGGDLQQNVVAEASLRNSSNEKMKGKLSPREGNHVAQTVVDDKMKNKLEGSLHRSILRTPLSDPVDAKTKGRRLESDKMNAALICNSKAPVAGKMQSKTLSTKKEVGFSINMKQNKSARKLKGDDMGKDNLNRSARETKIGKNAGNSMNLVPDQLIVQALMAPDKCPWSRGRKSIASASKSIPARNRRKVNNATPIKLLTGKVASRESINDETMEGNADSNMEDYNNSRALVLYGENQEICAADPPSVPFGSHHRQPEDQGIDARSKVRKLLQFFQAICRKLMQVDEQGIRNVGRIDLEAVETLKKDPTYKKPGPIVGNIPGVEVGDEFHSRVELSIVGLHRIYQAGIDTSKVNGVLVAISIVASGGYSDELSSSDELIYTGSGGKAGGNKEGDDQKLERGNLALKNCIDTKTPVRVIHGFKGQSNSKAGNSKGKQTSTFIYDGLYEVVECWKEGPKGEMVFKYKLRRMAGQPELSLHAVKATRKSKDREGLCLPDISQGIESIPISVINTIDDMRPAPFKYINKVIYPTWYEKNSPVGCDCTNGCSDSVRCACVVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTSKTGQVHDNDGEEKVKHCYCGAADCSGRLY >Dexi3B01G0022830.1:cds pep primary_assembly:Fonio_CM05836:3B:17530461:17534189:1 gene:Dexi3B01G0022830 transcript:Dexi3B01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASAATGALQPVVEKLTALLGEEYKRFTQRRGEIESLARELSAVKAFLIEKSEVEEPGEQDKLWMKDVRELSYDIEDGIDEFMLHAAAESATPDGFMDKIRSLLESTKGRRQIAKEIEDLKQNPGEVAERDRRYSGGESIDSRALAMLEDMTKLVGIHGSNGELIRLVEEDQAGDGSVQQQTKLVSIVGSGGVGKTTLARQVYQELKGRFMHQAFVYVSRNPDIKGILRYILSEVVSQKDYETVMKGDYVSRVAGEDQLITKIREYLTGKRYFIVLDDIWDVKTWNTIKDIFPMTSCGSKIITTTRINDVAQECCGSSTSGHIYNMRPLTMTQSTQLFYRRLFNPEEKCPSHLEEISGQILKKCAGLPLAILAISGLLSSKEKTTEQWDQVRNSIGCGLERNSSDEAMTIILSLSYFDLPPHLKTCLLYLSIFPKDYTIGKENLIRRWIGEGFILEQKGIAAYNSGERCFNDLINRGLIQPSGRDKFDEVISCQVHDTVLDFIVSKAIEENFVTLIGKSGVNPIARNKVHRLSIQHDGEIPSGLVVSSVRSLNVFGGNVKVPSLSDLRLLRVLAFENCGQLEDDNLKDIGNLLHLRYLRLNDAHAVTELPKETAELQHLGTIDIHGRDKIMKIPAAICEVELLQCLVTLEVTDDYTKLPDEIVDMKALRVLEGVNVYIQSLDFIRRLGELPNLKKLGMIFINSDADEEWEEKYEEIVSSIYKLAKANLDSLHIRTLNEPPEFLDNLSKKHPDPLGLRELVIEGDAISGLAAWWSLLVNLRKLLFCADGSVSEEDVETLRSLSYLECLCIHLWDAPDDPAVKAPLERAMKAHPNRPKLVWIDEY >DexiUA01G0011370.1:cds pep primary_assembly:Fonio_CM05836:UA:22946745:22948212:-1 gene:DexiUA01G0011370 transcript:DexiUA01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGIIWSRYSLVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYLSDEKDAAPQLEA >Dexi5A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:5A:57247:59965:1 gene:Dexi5A01G0000060 transcript:Dexi5A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQASSTVDSGLKTHHRQQASPWTTTTMVTRFHGGYFRISLALSGQAMLWRTLIVSSSDAQLLLLPSAAFLLLWSLALVALCALCALYAARCVLRFPAVRAEFRHHVAMNYLFAPWISCLLLLQSAPFLRPDAAPYRLLWCAFSLPILALDVKIYGQWFTRGRKFLSMVANPASHMTVIANLVTARAAAHMGWHEAAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPPMLRPVFFLFFAAPSMASLAWDAISSSFDTCCKMLFFLSLFLFASLVSRPSLFKRSMRRFSVAWWAYSFPLTVLALASGEYAQAVRGAAANALMLALAVLSVAVTLALMLFSALRTADLLPQDDPFDFPPPPIVAARVLH >Dexi4B01G0022820.1:cds pep primary_assembly:Fonio_CM05836:4B:24360502:24360997:1 gene:Dexi4B01G0022820 transcript:Dexi4B01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYVQVASRFFRVKPSSGGAGDVARRLHYLRQCFLCKGSIAGNRDIFMYKGDAAFCSDDCRQEQMDMDDALHAVARRHRHLLMPSSSAEAAAAASSRPPVMRRRPTIANLAARSRPVVAS >Dexi5A01G0033180.1:cds pep primary_assembly:Fonio_CM05836:5A:35565132:35567521:1 gene:Dexi5A01G0033180 transcript:Dexi5A01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEALREAIASITNDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAERIGLDSMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >Dexi6B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:6B:21973032:21973336:1 gene:Dexi6B01G0014460 transcript:Dexi6B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAAAKMMTSTTTTAAAARRPRRWRAAGPMARGEEDRAVSGRNGTRQTNEPPAATAEDESVHAPPPAAHK >Dexi7B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:7B:16812458:16813239:-1 gene:Dexi7B01G0009130 transcript:Dexi7B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHSMHLYRQLVCCSAKNGQHSIGTPPQRLSIVEFHPECVRNTPTASCLSTTSCGHHVARWPRPLTASMNSGGSTAASPLTRSGRMIHRKSWPLLASPHANSTSSSLFTYTTDRGALPSSQPKQDTSSFQRLDPSASSGPPRGTTPSARKASGPTVWMDAEAGIMARIASSASCSSSSNVLRITASAPAICSVTLSAKWTMNSSGSVERRKLGRSLSLVCLLIPAAQSTGVSRNPFLLRICIDCHRKT >Dexi5A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:5A:6925861:6931517:-1 gene:Dexi5A01G0009210 transcript:Dexi5A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGLGGGGGGGGGGGGGMQFPFSGGFSSSPALSLGLDNAGGGGGGMGLGRALPVAVGGGGAARDADAENDSRSGSDHLDAMSGGGEDEDDAEPGNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRGELSRRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLCIENARLKDELSRVYALANKFLGKPTMSMLAGPMLQPPPHLSSLPMPSSSLELAVGGGFRGLGSIHSAAIPGSMGEFAGGVSSPLGTVITPARATGSALPPSLVGTDRSVLLELAISAMDELVKLAQIDEPLWLPSLNGSPNKEMLNFEEYAHSFLPCFGAKPAGFVSEASREHGLVIIDDSLALVETLMDEMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGSVRDQNSATASNAGNIRCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLAMLQRQCECLAILMSPDTVSANDSAVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVDEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMHEMANIAKGQEHGNSVSLLRASILVNSQPTAKLTVESVETVNNLISCTIKKIKTALQCDTV >Dexi2B01G0030100.1:cds pep primary_assembly:Fonio_CM05836:2B:38352007:38352619:-1 gene:Dexi2B01G0030100 transcript:Dexi2B01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRESDFAIKSPVRFITVATPFATGAGHVRPQLAMDPGLVYDAGASDYVDFLCALNYTTEQIRRFAPDMTTCTRELPGGAASLNYPSFVVVFDGRTDVRTLTRTVTKVSQEAEMYNVTVKAPEHVKVTVTPATLEFKEQKRSYTVEFRSQVTAGNTKAAEAEWEFGHIIWENEKHQVRSPVAFTWKTKN >Dexi4A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:4A:23768339:23770914:1 gene:Dexi4A01G0019990 transcript:Dexi4A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAAGTSNGGSGDAAAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARDVVEIIDRYVKSRNDAQLRDKRKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYSFTHGNQNLTVDKKDISWKSDREHKFGKDVYPSNFQNGALKGGATLDPEIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKENETITVRLSNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYFIKPSEIVWV >Dexi1A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:1A:4368734:4374000:-1 gene:Dexi1A01G0005890 transcript:Dexi1A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLDALAPYVKKMITDIPKEEVLMLLGVSNEINKLKDNVGYLQDYVADAERRRLTDVSVQSWVKKLKDAMYKAADILELCQLEARERQEGDGGGANSCHCFSSLEGLMPKSLQDAIQPFLFCLQNPFFAHATGSRIKKLNMELESIRTGVTKFKFIKLDPYEQQRRPAADTTNARRKTTSGFDESAIVGDKIQKDTDKLVQKLISTTTTSSHGSDTAAAVKVVSIVGPGGMGKSTLAKKVFSSEAIKEEFKTKIWLCVTQQFDKVELLKSALIHAKEPAKGKDESFLEQTLTDALSANKFLLVLDDVWSERAWKDVFQVPVVNAGRRQPGSRVLITTRNGDVVLKMGPYHSHNDQLHVSKLDDEDAWSLLKRQLPQRQVSTKGDFDELKHVGMKIIKKCDGLPLAIKVMGGLLSTRRPNERDWEIILEKNIEWKKDGPQDEEELNYSVRLSYEDLSPQLKQCFLYYSMFPKGSVFIEDRVISMWISEGFVQHDETGTSESGQLDLEEIGKEYHRELVARNLLEPDYTTDNIWDYTMHDIVRSFAKFMAREEAFVVDEDQADIRSLLPENQKFSRLSIKTDSELEWSILESQEKLRTLLVDCDIKPGGSLANFTSLRVLDIRSYESDWLVDSLCQLRHLRYLSFSNTDISRLPSDIHKMWFLQHIQLDNCAKLDRLPDTITKLVRLRYLSFDGSKVDIIPRGFGGLTNLRALYGFPAKMDGDWCTLEELEALSYLRSLQIQNLENVCDSSVAKKARISNKRRLEYLELNCYKDDDEEEEEEEEEEGGERGGGEEEDDDEGEGEGEGEGEGGGGEGEVEVEEIQKEIEKEVQQIGPEQQQKIEGVFDELLPPPILDVLIISRYFGRRLPIWLQSPATTNFESLRSISLLDITYCIQLPDGLCRIPGLEELQIDNAPAVKHAGPDFQSMARGHGSIVTIPPFQELTKLELQRLSGWMEWDWEEEQSQVLAMPALEHLELTDCKLTHLPPGLASHHRHNLRSIFLENLTLLEYLENFPSLIELTVDNCPKLKRISGLSKLRTVYIDCCPELKVLEGVMELDSMVLDGEAWEITGTPARCTPKGHQAGLLRQVPQDLTITR >Dexi2A01G0030220.1:cds pep primary_assembly:Fonio_CM05836:2A:41201055:41204456:1 gene:Dexi2A01G0030220 transcript:Dexi2A01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTTPPTSRSTSPFVATADASIEVRGCSLRWLRLAAAAAATVAVEATVSSRRLFAAQQQHNEHAETKHRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGLLQREDWETAIKVPLGIIPAGTGNGMAQSLLHAAGEPFSISNAVFAIIRGHKRALDVTSVVQGKTRFFSVLMLTWGLVADVDIESEKYRWMGSARIDFYVLLRVLNLRRYNGRVLFVPAPGYEEVGEPVEQSTSCKQNGVSTGSHEDKADDRNGSTTGYPGPSIQEADLEWRSLNGPFISVWLGNVPFASEDVMAAPKAEFADGYLDAAIIKDCPRWDVMGLMVQLKDGAYVNSPYVEYFKVKAIRIEPGLRVGRNAKGGIIDSDGEVIARADEPEHLMAYGPPIQLTVDQGLATIFSPRSR >Dexi1B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:1B:25565615:25570513:1 gene:Dexi1B01G0019360 transcript:Dexi1B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNATAERFKDAIRTMTNIGFRKEIVVRVLKKLLTLYDDNWEHIEADNYTALADALCDIDDSDPKQEGQKKRTEKKNLDWDHCNKKLRIKEISQKPKPSIHGSSKREPGEVPRPQKAEAIEGKIIGTQLKKQGSQIVMKEPKKESSFADTTTIGESSSASVLKSQEFHTFETPLAVMCPSVLEPSCHNGYEDAPLISGVQRIDKKLKGVLVAREGQMANACNSQAIVFLTREKKGWGLRPAEKLPQGAFVCEYVGEILTNTELYERNNRLTGKEKHTYPVLLNADWGTDLVLKDEEALCLDGTLYGNVARFINHRCFDANIIDVPVEIETPDHHYYHIAFFTTREVDAFEELTWDYGIDFDDVNHPVKAFKCRCGSQFCRGKSRSSRKNAI >Dexi4B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:4B:11954349:11954790:-1 gene:Dexi4B01G0013400 transcript:Dexi4B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAFPWRCVCGTSKKQPPLQGREGLIDGRNLPPRGGGKAMRPRRAHHARKAPRRTPPQRERVGTCLGVSAGESGDAARRRKVSLGKGKKFQALGRRRRERARERGDASAAPMEESLEREREGGREGGRARWVW >Dexi8A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:8A:25361872:25363095:-1 gene:Dexi8A01G0014780 transcript:Dexi8A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSFVRVLDLEGYGGPIRLDGLNKLLLLRYLGLRGTGISELPASVWELRCLETLDVRSTNVKELPRSIGRLRETLTTLLFSSEGMLNSRTGTKTSIAEDVQHCRELVNLATIDLREHHASFVKSLGALDSLRMITIIWSLQHCTDESYREALLSCIQKWTNLRSLTIHCGLSCSMEFLGSLSHPPELLEKFMVTDGGSASQDSVPYGIGNLQRLTEVAKHRNPINLTINNTKVDVQEEVVEETKMTTIIQSGDVQQDGEVIARTRIETWGEIEIEPEGDNINDA >Dexi2B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:2B:29142848:29144140:-1 gene:Dexi2B01G0018910 transcript:Dexi2B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITTSTKHVVVKTAFCLLLPLLLFAVVFYLHFQTQLSVFSPICRCASQPAVAGAVDDDHVERLRASATFLPLKDTRHGAETWFISTLNATAEPDGEARNLVFPSPASSGRLLCLSAPSRHDGTKNAYALAWRDALPHGVASPLPLPGLTFVSETAYDHTNIWHGLTSLLPFASWHARNGCRSPPARWALFHHGEVRTEMSPWLATLAEATTGAEVAIETFEAAPSPVCFEEAVVFRANVARMNGERMLRAADFMRCKARAYCGVTRRAFKDEAAVTRVFDKECKRVAGCAVAAAHVSNLTFCEQVRLLSSMDVLISAHGAQMTNMLFMDRNSSVMEFYPLGWKERAGGGQYVFRWMAGWAGMRHEGS >Dexi7A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:7A:18749857:18752271:1 gene:Dexi7A01G0007380 transcript:Dexi7A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAHPSLRPPPPRRASAPARARLPNRARARTLARARPPTAGAAETEAASTSGGGGSVLSFLCPLLKLLGGGDPSRERNDVVEVTTSSISSLARLPWGSKVATSSGENMYSAIGAPTLQLYEFEACPFCRRVREALTELDLSAEVYPCPKGSLRHREVVKKIGGKEQFPLLVDAATGVTMYESGDIVKYLFRQYGQGMSPSSGLLESTIFTGWVPTLLRAGRGMTLWNKAGVVPGEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKMDALQRISGSKQVRLPT >Dexi4A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:4A:125609:132596:1 gene:Dexi4A01G0000180 transcript:Dexi4A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAAAAEVDHLAAERAAAAFDVEEMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLSRKDLLKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEQQQQKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHSIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETQDAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLASGKQPVGTMAYMGRVQYLMQSKCAVNRAEDWLNPAAIQEAFEARALRMAVNCAQNVNQAASQEDGFYERSPDLLEAAVAHIQLIIVTKFIEKVQQDIPGHGVKEQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANEQLGKLYTQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHQYLRPLLKQQLLSARL >Dexi4B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:4B:5584241:5586793:1 gene:Dexi4B01G0007750 transcript:Dexi4B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSPRCPRCLSLLNPTAGEGDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFVQKHVKGPKWLQLLVGVPPLLLFSGASAVFGAYALPRFAQLTVTSYYAASSGSHYAVSQITRQIERAHFSESDEKSR >Dexi1A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:1A:26026813:26030142:-1 gene:Dexi1A01G0018860 transcript:Dexi1A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPLKVFQVEPFCAAAEPSESSSRSRAEPRTEQARLLLPIADGHLHDHRRRRRQQLQKLKLQERPAPGEKGEEDKGGRAMRVRRPRWIFKTKRKTKKWEDGGWRCDAAAELCPVCEEEEKVASGSGEPGKGEGGQRIVCELLLLPSRPAHPGAPCHLRFSDEAIKRFAFMIAHLIIIRLRGVALCHWCCGRADEKPRRSRHMVAARRVACLRIWCQTGQRKWTRGTHPLCSALFSARPAMRSAMDDDAVGPEPGPGASQAPIPVLARTSAQPHGNPRTRPGHSDSELKMVAVVALNGAVTLALPAYSTCLSHRQPPHMEVAPPRCERGKICPSFQCALPPAPQQDENGSSTPAFTIFS >DexiUA01G0007580.1:cds pep primary_assembly:Fonio_CM05836:UA:14175101:14178953:-1 gene:DexiUA01G0007580 transcript:DexiUA01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTSSPSPTISAMNPLLPSSAFPKSPHPPDPNPSSPNPSPCSYLLHADADDEALIQIPGQDPSLEGASAPFALLPAIDPAPHISSQFYTFSAASYGLMLRCILAGRAASSDEVRAATSLSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASADGRHLHFKTNAAQRVSHVGMWRDIVSEAHADPDLLRHLAVATLVAE >Dexi5A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:5A:4218300:4219946:-1 gene:Dexi5A01G0005700 transcript:Dexi5A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLDASCRRAFTSAGLWQGSAAVDADTTIHFWAHRSLLPSSSSTATATEQQQQRRPVLVLVHGFGPGPTWQWAAQVGPLSRHFDLVVPTLLFFGASRTRATARSEASQAAAVAALLTGGHLPGLGSGRHVVHLVGASYGGIVTYHLARALLRGGGGDVAVGKVALCDSDVTKGPEDDRALAARGGVEEVTELKLPADTKMMRRLTKLSFHRPPMYLPECIARDLLRKSLEDRREDKIELIKGMTTAEGSQLTPLPLETLIIWGEFDQIFPLEKAYKVKEKLGEKATVKVIPNSGHLPSQEEPKVFNRVLLEFLLQPSDSNGCATDVAAAAVK >Dexi5A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:5A:804665:805432:1 gene:Dexi5A01G0001210 transcript:Dexi5A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTETEGKRAHDPLYSPRRAARATATGFPVGEHGEVFAGPLIIGAGPAGLAVAACLTMLKVPCPYVLLERHDCIASLWRHRTYRRLRLHLPKRYCELPHMPFPPSYPEYPTREQFLDYLEDYMRHFGIRPFFRQEVVSAEFDGEFWCVRTKEVVTAAIGGAGEEAVVSTATREYRSKWLVVATGENAEPAVPEIEGIDLFKGQVMHSCEYRSGEGYQDNKVLVVGCGNSGMEVSLDLSNYNVHTSMVVRDTVR >Dexi8A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:8A:27349035:27349235:1 gene:Dexi8A01G0016160 transcript:Dexi8A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSFGHSVEQVMGKAKGDRGWFRLKVGLTAKLDGGVPAEERHGSKAGFTEGLGSSRGFYRWFIGI >Dexi9B01G0049210.1:cds pep primary_assembly:Fonio_CM05836:9B:47961921:47972992:1 gene:Dexi9B01G0049210 transcript:Dexi9B01G0049210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYSGAAGNSAGFSGAAPGGRDFSSSSSNYPVSSRLGPPDFYPQTTNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVIVKCKELRLQLTLCGVCCSMKALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEVEKTNLSFVVIMFCSTGPWYENILVANDILPDVLSASVNRSSVSATSRHPGSAAFAYVRYFLKKYRDVASVARWEKSFRTTCDQRLLAELDNGRSIDGDLVSSSGVSVGEEIDEQVRQKLNGRSSRLMQNMKEIVQRQSDEVQRSLKEKKVPAAPKSPPSFEKEDSYQISHDIVLGLVECIRQNGGANPDGDLTIVASAVSAVVVNAGHLIAKHLDFAGGSYQGVNSVGNSLNFVRHTLRIHINSLCLLKESLGDRFSRVFEIALAVEASAAVTTAFAPAKMHRNQFQPSPETHDAYGNHTSELSNSGKGFVGRTAKVAAAVSALVVGAVVHGAVSLERMVAALKIKDGLDILQHLRGLKTNTNGVSRPTGTFRMENSTEVSAHWFRILVGNCRTVYDGLIADILGESYILALSRLQQTLPLTVIFPPAYSIFAMVLWRRYIFNREDPQLYQSLSNAINDITRHQPFREICFRNTHHLYNLLASDVGDSEFAAMIESHSPDRNSKILPFIPLRARLFLDALVDCNAPMTTLGDSASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKIAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDIIANKSAKRKLEVTSIEEGEVVDDSVDAKRPNKTPSHSIDRSFEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGAISEHIKAISRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRAPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLASRMIYEDADLPLPPTNATALRREADSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRSTSKSTIRSQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPSRHPCIQCQPPQLTLAAITPLQSCTSSAGPQQKSNSVSWVPTNVSSRSKAALPLHDPEMEVDPWTLLEDGTSCPSASSSGSNGSSGVAGDHANLKACSWLKGTVRVRRTELTYIGSLDDDS >Dexi9B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:9B:13819376:13821976:-1 gene:Dexi9B01G0019220 transcript:Dexi9B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRQFVVVVVASSVLLAMAATSAGAVTFDATDSVPSTAGGARFDQDVGVDYAKQVLSDASSFIWDTFNQPNPEDRKPVDSVVVLTVVDSIVFSGTSVPAATSGNAISLSDPSVFAERMEIHVYYSSFPGQGHRWDEGYDVRARFLGYCDSLKQGFVAELNGKMKDGYSDGFFQDILGKDVEQLWQDYKAQYGG >Dexi6A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:6A:27073755:27074231:-1 gene:Dexi6A01G0019430 transcript:Dexi6A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKIWGFELSLPPGELVVGIGDGDSGETAARLEEGGDWQCDKHGGVDDDVATLFPPVPRLVGLDPACEQRTRWPVFETNVRGGLRAAEEARGVAPGVGKTTSARVWCQSPARRVGGDEDSNAGLGGSTVAHTTQLSGVPTCRAVPVYRLARGPNDTQ >Dexi3A01G0023040.1:cds pep primary_assembly:Fonio_CM05836:3A:18617143:18618004:1 gene:Dexi3A01G0023040 transcript:Dexi3A01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDDVIIRLHGVLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPTGSGAATDATAALHQLPATAVSAPPAARLAVMKPEESSKDGGRSRSSSSSGGGAASTGEPRCPDLNLDLSVGPPAAGTPTSEPRRPVCLCYRLGLRAGEACGCQADGTGPQGFRYFRPLEQGQYI >Dexi3A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:3A:2544753:2546000:1 gene:Dexi3A01G0003810 transcript:Dexi3A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGSSSSPFSFGRSLLSLRRDPAAMPSGEEADLEAFQRHVAATLAELLPGAEAGGDAAAATAVTAGGEEFLSVAWIRRLLEAFVICQEEFRVVVAQARRRGALPAAAEKMVAEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLRGAGEIHEGQLRRARKALSDLSVLLVDDTTASGTGGVASFLASHRNRSFGRTRASPSRSAVSSASASASSSHFRSLSWSVSRTWSAARQLQAIGAGLAAPRAHEAGLAAPVYSMGCVLHLAAWALVAAVPCPDRATALQAHHLPAAPPRAAFPWAPPLLSLQERLAEEGKRKDRRHSCGLLKEIHSLEKSTQKLAEAIDAAPIPLFGDRETDVREAAAELAAVCEAMRDGLEPLERQVREVFHRIVRSRVDGLDSSMHNAD >DexiUA01G0013020.1:cds pep primary_assembly:Fonio_CM05836:UA:27047319:27048748:-1 gene:DexiUA01G0013020 transcript:DexiUA01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTASIPCVTLNTGHAMPVLGFGTGSSSTPSDLSSIIVDAVRLGYRHIDTAALYGTEGAVGAAVADAVRSGAVASRGDLFVTSKLWIKDAHPDRVVPALKESLARLGLDYLDLFLVHWPVSAITDEGKRKLVAFDMERVWRGMEECHRLGLARSIGVSNFSSAKMSRLLSFAAVPPAVNQVELNVGWRQDKVREVCGKNGVVVTAFSPLGAFGAAWGSNAVMESGVLQDVAARRGKTVAQVALRWLHEQGVCFVARSFNRERLKQNMELFDWELSEDDKAMIMQIPQRRACHGEFFVSPDGPYKSVEELWDGDI >Dexi5B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:5B:820752:821816:1 gene:Dexi5B01G0001300 transcript:Dexi5B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTLRIIWDDPDLTDSSSGEDDDGCGASRRNARMMVRPLPPVAVTSLPSAAPAPEDRYIISGNGNRKRRLLGDGADPPQGDCGNGGGARRRLAKGATTSSKFRGVRRRPWGKFAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIQLRGPDATTNFDQVDDSAAAVPPEVAERLPRPPPPLVAPGNASSSATSYDSGEESSHAAAASPTSVLRSFPPSAVVVDDACGKKPALAAAPAAKPAAFRAMETDESSSDGGSSFFGCPFSGDGCFAGEFPPVYTDFDLLADFPEPSLYFLTEIPDEPLSFPAGAAIPEESSSEPEAEPSPARWQQVDDFFQDITDLFQIDPLPVV >Dexi9A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:9A:11934444:11936397:1 gene:Dexi9A01G0016820 transcript:Dexi9A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALALHGGAGDIPRTLPPESREPRLATLRRCLDLGSAALRDGRSALDVVELVVRELEDCPHFNAGRGSVLTAEGTVEMEACVMEGATLRCGAVSGLSTVANAVSLARLVMEKTPHIYLAFDGAEALTRDQGVETRDPSHFITEHNIERLRQAKEANRVQIDYTQPLKGQQAPQDPPAPVDDNCQTGTVGCVAVDAAGNLATATSTGGLVNKMAGRIGDTPVVGAGTYANALCAVSATGRGEEIIRHTVARDVAALMEHAGMPLRDAAARVVAATPRGAVGLVAVSRAGEVCMAHNTTGMFRACATEDGHSEIGIWTDADAL >Dexi3B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:3B:12227930:12229987:1 gene:Dexi3B01G0016750 transcript:Dexi3B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSVTIILHLTGARTSPISLHSLAKQRKAAGVRRKSPEMSSVTTKLSHAADVASSETQTLVAVQKLQEMVLEMVASYEDCTALAQAIKDVPGVYRSLIRWGLIENEVMKIKEASAGSGQNHPLFRQFRESVWNVHHAGQPMPGEEQEDIVMTSTQMSILNVTCPLTGKPVIELANPVRCVDCKHIYEKEPVMHYIRSKKPPQCPIAGCPRILQVGKVVCDPLLLIEIEELRSSEPPAQNATNIEDFTPLDEDDE >Dexi2B01G0035980.1:cds pep primary_assembly:Fonio_CM05836:2B:42936805:42937296:-1 gene:Dexi2B01G0035980 transcript:Dexi2B01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVQRHLQLRIEAQGKYLQSVLEKAQEALAKQSVDLDAGETQQLSELISRASATKHLGDGSSVDSCLTACEGAHQRDHDMLSIGLSPVPTPTPRGSSLFPFQAARSSGNGRAAGREEFLFLEDPGRRASSDDQQLDLNINDGNTHRRPRVCEKIDLNGSTWS >Dexi3B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:3B:9999256:9999638:-1 gene:Dexi3B01G0013940 transcript:Dexi3B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRAHSLIAVDLLTANATSTAAMIDGLLRRERERPATTIPWHATCLLYRGILQRQPGCKGDINDKSDKEAMSSLEASASAAVECQDDFTKSNSTSPVTAENDDAFMLAKLSVALVGGAHGL >Dexi4A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:4A:5799866:5802639:1 gene:Dexi4A01G0007700 transcript:Dexi4A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMFPAVLLAAVLLLMPGAEAVWLELPPSGTKCVSEEIQPNVVVLADYAIMYESHPTSHPTVAVKVRAPSNSPPSSLFLSSFRTPEVLKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWIDSAEKGSGTSLNLDWKIGIAAKDWDTIAKKEKIEGVELELRKLEAAVESIHHNLLYLKARQDYWVFPDTNTNTLDPPLIGVKPEEAEMRTVSEKTNSRVAWFSILSLGVCIVVSVLQLWHLQGFFQKKKLI >Dexi2A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:2A:33344393:33344794:-1 gene:Dexi2A01G0021220 transcript:Dexi2A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLLLLVALQLFTGPSPAAASSHISAVISQSGLDFAKDLLVSHAVETLTPLSVPDIEKSMSIPLVGTVSMTASGIVLHSLTVTNSTVAVGDTGVVVAASLASANLTMEWGYSYSAWVV >Dexi1A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:1A:12668181:12670562:-1 gene:Dexi1A01G0012600 transcript:Dexi1A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMARYSRRLVPSSSGRLPTCAPAGSGMASRPQVGGGEIWSAPRARSPTAPMKLAAPSPSATAWLNRNPTTKPPHPNVVTCTRRIGRGSIREDELVLLLVGGPRQRVREELVLGDLQRVLEVVDVLEAAPHGGLDEPDALAAAVDVQAPGVLGHGEAPRERVVVDERPREGALDGGGGADPLPRRVGVEDVERLDERHLGRRSSQERRCGPVGVGSTGGTRRCASRSTAFAAAGSMDGLAHREGEGGRTSLSPD >Dexi9B01G0020840.1:cds pep primary_assembly:Fonio_CM05836:9B:15489914:15490087:1 gene:Dexi9B01G0020840 transcript:Dexi9B01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSAKCGLGHGGGHDVVHDAGDAGHAGPVAEQQTKERRTF >Dexi7B01G0021580.1:cds pep primary_assembly:Fonio_CM05836:7B:26577091:26577882:-1 gene:Dexi7B01G0021580 transcript:Dexi7B01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFCPLKSYHHSLAAPAILNSLQAPLLSLLLACFVIPAELASELVALMAASRTPRVLREATAASCADANTTFVQADPDTFRALVQKLTGAPAGTTPAAPPEKKQQQEEDSESAKVTIAQHAPRRPKLQERRRAAPARLELARPQQPSSASFYYYYYHHHHGHHGLMHSPVSPMDAYVLMASSSSPSSMTPSPHSSLSSPSCGGVVVISKEEEEREEKAIASKGFYLHASPRTRSAAAGDGDRPKLLPLFPVHSPRSAAYYAS >Dexi3A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:3A:982062:982756:1 gene:Dexi3A01G0001420 transcript:Dexi3A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLICFLFMLALASAKVISNTDPSALCCVTRRMYVQGNNDGKGNLKPSQCAGECRRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGSKETCPCYNNWKTKKGGPKCP >Dexi2B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:2B:1818810:1819622:-1 gene:Dexi2B01G0002270 transcript:Dexi2B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRILSGIEIDDATAVAGLYQLTGLKKLTIYKLNIHEGGSKTEDRDNNIDIFVDLRSSIEYLCSCGLQTLAINDEGSRFINSLDKMSAAPRYLIALELSGKLESPPKWITKLQTLNKLTLSITVLRTDTFELLHALPLFSLTFSWNAEQDNGITKILEKNVSQYDGEIFVPEGFKSLKLLRFFAPRVPKLGFCDNAMPALEIIEMRFQAFEGLFGIDTLENLKGVHLRESKQGEKGNNEAADINEILVRDLKDSTEGLKVIVDHTFTS >Dexi2B01G0021660.1:cds pep primary_assembly:Fonio_CM05836:2B:31375985:31379984:1 gene:Dexi2B01G0021660 transcript:Dexi2B01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAHSSKRPTSHLLLAISLSVSVLIPLFVPAAATAAVAVAVGDGGGQWATGKGEGQLVAEADTAGGGLVEEDEFAGGFGSLDSMLQWAIGNSDPEKLKEEASDVQKLSADELLKRRQEIKDRQRALQELLVLVEPIDNANDLDKLGGLLPVIHELNNANEEIRITSAWVLGTASQNNALVQNQILGYGALASLVKMGYSTSTEEAARALYAISALIRNNVNGQEAFHSENGSAMLQHILVSNSIDVRLQKKAVFLVTDLADFQLNSGNPQLPFLSDRLFLKSIVDMLSRFDLDLHEKVLLAIKSILKLSSTDVEDFEFYDLEAVLLRLGVQLEDLTPDDPKEFAGEVDALRREVQTLFQQKLKQGKATAA >Dexi2B01G0024530.1:cds pep primary_assembly:Fonio_CM05836:2B:33946290:33946742:-1 gene:Dexi2B01G0024530 transcript:Dexi2B01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSGMSLSAVGTVMAWRPAAGDVVRRPTAERMRRPSSENHSFWRCSGSHWQWRRKAAAKPRGIERRSEIVASSFTFRDDMAAAA >Dexi9B01G0021820.1:cds pep primary_assembly:Fonio_CM05836:9B:16520685:16525529:-1 gene:Dexi9B01G0021820 transcript:Dexi9B01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTGPSSGPSHSASTGPGGGSPSERGGAAPSASASVSTPASESTVARRLNGIDLQADDAPSSQPAASKKKKRGARAVRPDKNGRGLRQFSMRVCEKVESKGRTTYNEKILHMAWLFQVADELVAEFSDPNINIDSPDPDNPSAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWRGLPKTSMNDIEELKTEIVGLKGRVGKKSAYLQDLKDQYVSLQNLVQRNQQLYGSGDAPSGGVALSFILVKTRPHAIVEVEISEDMQLVHFDFNSAPFELHDDSIVLKAMGLSGKEESDGTQAPAANGGECSSTPNNYWHQSPQRERQRGVRLPNSSPIPGILKGRVKHEH >Dexi5A01G0029100.1:cds pep primary_assembly:Fonio_CM05836:5A:32291154:32291408:-1 gene:Dexi5A01G0029100 transcript:Dexi5A01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRGTTFLVIVCLLVVVTLADALPLTLPLVCDKVHGAEGLSLKKFLRFNPNINCNNLFIGQWVCLHARRA >Dexi1A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:1A:6659713:6660306:-1 gene:Dexi1A01G0008550 transcript:Dexi1A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGDPSGDPILDGLLPLFGGGDIALRGGLGLLLMFLRGRPPLTGLLLTLLLGILTGLLLKLLRMFLRGLLIGLLLEGLCGLLEGLRLTLRDEDLAEVRVRLLLFTTGLLLIRGVGLLLASLGKLLLGLLLAGLLLMLLCLAGLRLLLLLEARTGLLL >Dexi1B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:1B:11364607:11374843:1 gene:Dexi1B01G0011280 transcript:Dexi1B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESASVGRIRRRRTFSPAPPVLPEELVVQEILVRLPAKALLRCRADCRSWCRLTSADAFLLSPHPSPAPTIAPAGCLPQPNHLYRSLRSPGIPPTCLTGSSRRHVHRHSRQRLFSSTSSSTLTHGDAASTHGDGDGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLQAIVLLFQRLGITSLDVGIRVSSRKVLQAVLNMYSIPEHLFAQVCVIVDKLGKLTREEIEKELMSTGLSSEAVRGIIEVLSLKSLSKLEEVLGSGVEAVADLKKLFSFAEQYGYAEWICFDASVVRGLAYYTGIVFEAFDREGNLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDIPRQIDDIVFPLDEELEGPASSIASSLRKKGRAVDLVEDKRLKWVFKHAERINASRLILVGNSEWEREAV >Dexi5A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:5A:3915783:3917234:-1 gene:Dexi5A01G0005180 transcript:Dexi5A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNEVAAAAAAPPPHIVLVCFPTQGHINPTLRLAKRLASKGLLATFVTTSGAGAKIAAASASPPGAMSSGVRVGRGRVRFEFLDDHGNERDDLMRFLAASAPAAFAELLHRHADVACVVGNPFLPWAVDVAADAGVPAAVLWPQSCAVFSVYYHFAHGLVELPPEEDDDHDDARVVVSIPGIPPLSVAELPSFLLPSNPFKMLTEAILGQFENIGKSSWVFVNSFSELELDVLAAFPSVSPTPPELIPVGPLIELDDDAVRGDMIKAADDECVRWLDTQSPRSVVYVAVGSIVELPADEVTEMAHGLASTGRPFLWVLRPETRPLLPEGFVVEVAAGGGVAIAGGGRGVVVPWSPQERVLGHAATGCFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAKFMVEELGIGVRLRAPPLRREAVREAVEAAVAGEDADAMLARATSWSAAARAAVAPGGSSDRHVQAFVDEVTRRASGKASSS >Dexi5B01G0023180.1:cds pep primary_assembly:Fonio_CM05836:5B:25398431:25398780:-1 gene:Dexi5B01G0023180 transcript:Dexi5B01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNRLPPLNHARSDPRAGCSSGARELEGVAAAAAGSGACGEAGSATCGDIEREEVRSGDVPERIPSRRYLVAEDGVGRGGIIFVTPHGGGSAVVRGLLFWREGQGQPR >Dexi4B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:4B:6180480:6181634:1 gene:Dexi4B01G0008610 transcript:Dexi4B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSNASFILPPRQSPPIKVEKVGEELVEDLGAAAGGDEAVEADGERLMRDAALAEQRRQEAEQRERVVGREEAYERKELRLLEPAGLGGRAAEHGGGGAREPRAGEADEERREGGGRVGEAALGGGRVEEVERALRRGGRRDEAHELRVVEVAGVWEKPRWAEAALKRSSARCAEGDDAMRRTSSGWWRYRGGGGEGGGGGGGGGEGGAPRAGLRYWSGEIHGSAGLVGAGGSFMVGGRRRGWFPPSRHSPGGAGSRKPGTDV >Dexi5B01G0024820.1:cds pep primary_assembly:Fonio_CM05836:5B:26850605:26853203:-1 gene:Dexi5B01G0024820 transcript:Dexi5B01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQHHHHNGRHGGHRHETVIDTAHQHQHNGRHGGHRHETVIDMAQQHQHQQHGRHGGHRLETVINMAPPPPPEKAGGGARFGFTGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHEITGHAPKGCVTAVMGPSGAGKSTFLDALAGRMSSLDGRVALDGVEMSPSLIKRSSAYVMQDDRLFPMLTVYETLMFAADFRLGSSVSASDKKLRVENLIEQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILLLLDHLIILARGQLMYSGGPKEVTSHLARMGRKVPKGENSIENLLDVIQEYEQSEFGVKALAEFCLTGLKPPKLTATYGAEGLSTVSSIAQTPISGLGGGEDFDHSLRSQHSRSPWNGAQLTPSRRPKNKDQNHNRYTPEIVMGTPTPMSSAYTVNEDDYLTPTTRRAAVATNAATGAPGVGINALGHRGKFANPYVGEVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDDPQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGIITYLPFLLLQSATYAAIVWFALRLHGQFIYFLVMLYASLLSTNSFVVFISSIVPNFILGYAAVIAFTALFFLFCGYFLSSHSIPVAWKWMNTVSTMKYPYEGLLMNEFNGGRVFSSQPGLVLTGDDILRQLGISTVDDRKWWMVLYLLGWAVFYRVLFYLVLRFASKNKRK >Dexi9A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:9A:6472395:6472703:1 gene:Dexi9A01G0010580 transcript:Dexi9A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLLHPIVQEDQQLRRSASSNFSSSSLLPAAAAAAMVSSPTPRSRNASPSAVAGASRRCRSNTGSYRTLLRVSRKPPPPAAAAVVSSLRRGGAAGWWSWW >Dexi1A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:1A:28353631:28354045:1 gene:Dexi1A01G0021600 transcript:Dexi1A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVVAVLRSALMTQGRPARDAGAGQAARWLLGAGAPAAAVERHERVGGYGGLAHGAPLPRRAPGVGFGVGAQPLRWPQSVTTGSVAHSRQMLQSKQAPPATAADAAGGASPPTILFAGSSPAPAVRV >Dexi7A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:7A:15517983:15523830:1 gene:Dexi7A01G0004570 transcript:Dexi7A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILWFFSLDVAFDINVLREEAMTRWLKPSEVYYILLNHERFPITHEAPKKPPSGSLFLYNRRVNRYFRRDGHTWRRKKDGRTVGEAHERLKFGMIINFVKRLLLSVLCLRYGIFSSSQVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAEVSELIGTDSSTLTKSLYLLPYSGDNQVKQIQLQGTEKGFVRNIAPSWEDVLHSSSGLPTPSIYQCPKLRMNEELWDRLLDELKLGCSFDCREKMVAALLAAGASATAVTDATVQDPVGKTAAFLASARGHTGLAGYLSEVSLTSYLASLTIEESDASKGSAEIEAERAVESITQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDEIAAASRLYHQAHASGGQFYDKAAVSIQRKYKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPMVEAVEDDDEEDDDFEDDEAVKVFRRQKVDQAVKEAVSRVLSMVDSTEARMQYRRMLEEFRQATVRSS >Dexi1B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:1B:3049696:3058215:-1 gene:Dexi1B01G0003860 transcript:Dexi1B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANNKPPRVNWPQHANAIEGSSIKDDLLSSGFLFSLPTQRPNPEANHEGLGMLSLRSSACKIQGPERLQVPWLEKIIQPKRNCLHCSMHYIHFCNYLSSQAWRSLCSTQVASKSYLRPGLSAKVTDCDRGHARTYGEGSYNINKMATVPGNRILSQESTHQPNGSGSVANNSSHRPAGIDSSTRTYQSSHVVQADIMRARNQYNYVRTDAELHQAAPAAENMCTDDKLDTMDDDDVLASIDVDQIVMEHYQAMNTPRGSASQDMSTPPGTKCNFNGMDETNLPKELSEPCNHQFKLAFCPKAMVHLQEMKDEMIAVANELLDDDGELDLQRSEELRKKRLHLKKQIQLLEEYMSRSTQDEERQRSHSMASTPAIQGHLPPMTPGNTFTVDSSRFQSQVHIRNGPGNSDLFYSPAPYSCSDNLSTPLPSVWREYTPKFIDINYTEGSDDKRWSSTSFPWTKELELPALISEGLTLVVCPLVSLIQDQIMHLSQANIPATYLSASMEWPEQQEILRGLMSCHYKLLSNSLTGLLDNLYSQGHLSRIVIDEAHCVSQWGHDFRPDYKNLGVLKKNFPKIPVLALTATATARVKEDVVQALGLANCIVFRQSFNRPNLRYFLQPKTKKCLEEIDNFIRKNHFKECGIIYCLSRMDCEKVAEKLRVIPLNSKLSKPSISYEYSSILLHGVAFICECGHSVSHYHGSMDPVDRTRVQKQWSKDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLPSSCVLFYQYSDYIRVRHMLTQGVAEQAAAPRGGYPNSHEQALKTHKDNLLRMVSYCENDVDCRRLLQLIHFGERFDPSLCAKTCDNCLKDLQWVEKDVTNIARQLVELVTMTGQSHSSSHILEVYRGSVSQNVKKQRHDTLPFHGAGKHLSKGEAARIMQHLVTEGILIEDVKRSDNIYGSISSVLKANHSKASELHSGKHNIVLKFPAPDKASKMGKLDASLFPQINKPVQQQSEVDENLASMLFDALLSLREQIMDECSEGYNAYHIFKKDTLKEMSIRVPRTKEELLEINGIGKTKLKKYGDRVLATIEDFLSKHPNPRRNSSSGGSSGSNEAAKKRRGSTTSYAGGNGDDDFEGRTVQSKKRPAKTRNTKQGVSDAASMVQGARCIDADLDGVEVLDDELCSVQKPVASGRVLPKWAPAKAKSSSVPPSNLFQEFGYVK >Dexi8A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:8A:9675966:9679245:1 gene:Dexi8A01G0008250 transcript:Dexi8A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFANFLGWIFSGARGARAGERNKRPASDQDARRHPATSPPAPADSGEHGGAARHRVIIPADRFSCVNLGVDHTSPPYDDGEGLRDGEDMTEWEREERRRREELDHQQRFGGARPCSLPEAMREEVEEMVRDHGVVSAGLLRRVADRVGRWYHGEGFACAQVVGFGNPSSGELACEVLEGDITRVEYQFLDKLGNAVEGNTRIPVIERELPQQLRPGHIYNTGAVKQAKPDLDSLRLFTNVELNPRPDETKQGGVVVEIKLWEDEPMSAGVNPDWTIVPGDQGQLTLESIKPGGTVSFTHRNISGLNRSLAGSLASRNLLNPEDDLAFNFEYEHPYLDGVENFSRNRTFKTNFFNTRKLSPVFVAGPSMDAAPPIWIDRVGLKANITEKLTPQSKFTYGIVLEQITARDEKNKICTNGSRILPNGALRMDGPPTTFSGTGVDQTAFIQANITQDNTEFVNGATIGDRCIFQVDQGLGIGSKNPFFNRHQLSVTKFINLNEQKKGPGKPPPAVLALHGRYAGCVGDLPSYDAFALGGPYSVRGYGMGELGAARNLLEVATELRVPVTVMGQQALVYAFVEHGNDLGSSKDVEGNPTEFFKRAGQGSSYGVGINFGMMRAEHYRSQCWHWILLLGFWREVLSDVSHPSIRKLGSHINCEGITEKIS >Dexi3B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:3B:4919182:4922297:1 gene:Dexi3B01G0007010 transcript:Dexi3B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLSTASGDLVPAVSKELAKRPQKQRGAANKLGSVGLGPGTATWGAQQAVSVAQHACSSSNRTPVKDFMDRLASVVIVAVALRNDAVAMTCRDGGAFRHFSAPSQIGLGFRSRGLAASVNLRRATGTRNPRWVGLPRSGSETRPGPFAPTHLGSSSVSDTSFVDNASFALDTVSLLSMTFTDDTPDVDKLGHLIQRSSAAQQKWIQKLCDCPRGP >Dexi3B01G0023800.1:cds pep primary_assembly:Fonio_CM05836:3B:18562113:18566680:1 gene:Dexi3B01G0023800 transcript:Dexi3B01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIFESTSTSTSSAGGSGSGSGSSGLGSRTSGSSGSFNLRNLSKVILPPLGGPSGHSPFLAGSDKWVISPLNSRYRCWETFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADMVVDLFFAVDIVLTFFVAYIDPSTQLLVRDRKKITFRYLSTFFIMDVASTIPFQGLAYLITGEVREGAAYSLLGVLRLWRLRKVKQFFTRLEKDIRFSYFWIRCARLVAVTLFLVHCAGCLYYLIADRYPHREKTWIGAAIPNFRQASLRIRYISSIYWSITTMTTVGYGDLHAENTVEMVFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMDFRNSVRAASSFVRRNRLPPRLKQQILAYMCLKFRAENLNQQQLMDQLPKSIYKSICEQLFLPVVKDVYLFKGVSREGLLCLVTKMKPEYIPPREDVVVQGEAPDDVYVVVSGEVEAIKLDGGFEERVEATPLGSRDIFGEVSALSDRPQGFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLKHQVEMHGMKVEDLLGDQNTGDGEHEDSNVLTVAAMGNSGLLEDLLRAGKDADVGDAKGRTALHIAASKGYEDCVLVLVKHACNVNIRDAQGNTALWHAAASGHHKIFNILYHFARASNPRAAGDVLCLAARRGDAALLRELLRLGLDVDSEDHDGATALRVAMAEGHADAARFLILNGASLDKAGLAVDEGSGSGEARLAMSPGELRELLQKRELGHQITIIDDDAPTVVPPVIAGGSSAGNSRQGGRLQSSGSDNARWPRVSIHKGHPLVRNRSSEAGKLINLPGTMGEFRAIIREKLKVDSEKAMIVNDEGAEIDSIDVIRDNDKLFVVNQEDLRRLAAMDTVSAS >Dexi3A01G0032860.1:cds pep primary_assembly:Fonio_CM05836:3A:37722725:37723877:-1 gene:Dexi3A01G0032860 transcript:Dexi3A01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYEYMHGGNLEDRLRDLEAKIADFGLMKAFADEFKTHVTTMPAGTLGYLDPEYYNTSQLSEKSDVYSFGVVLLELITGQPPAVPISNTESIHIALWVRQKLSEGDIASIADPRMRGEYDVNSVWKVAELALKCKEQPSRERPTMTDVVAELKESLELQLSPEQHT >Dexi1B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:1B:15110153:15112016:1 gene:Dexi1B01G0012330 transcript:Dexi1B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDESTAAVEMEAALGLSPQLFVDEVLDMIADISAEVFEYCVQEAATPGVLGASTAAQRAEDLQRANKEI >Dexi3A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:3A:13440377:13443943:1 gene:Dexi3A01G0017630 transcript:Dexi3A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYADGDKWEGVRLFYRRYGRGATKVLLIIGLAGTHDSWGPQIKGLTGSLEPADDEPTRPDEEAGAGAAGAAEAAPADGDDAGGDGGIEVCCFDNRGVGRSSVPPNKSYYSTEIMAKDALSLMDHLGWKKAHVFGHSMGIDLLLRATSVSQRYWNLDILVDGQMLSLAFRFLRAKTPEERALVDLETHYTKEYLDETVGSSTRRMILYQEYVKGISSTGMQSNCGFEGQINACWTHKMTTKELDTIRSAGFLISVIHGRYDIIAQLCHAKRLAERLLPAARMVELHGAHLVSHERPDEVKNALMDLIKASKSAMKPEEWSAQPENVSETGALISTGPITVTMRTDEGANAANAAVAVYNLLGKLQLSFLYLIGVIVMGFEHMRNIVKVMKPVRVAAIES >Dexi9B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:9B:13368270:13368558:-1 gene:Dexi9B01G0018760 transcript:Dexi9B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQTEASALDSKPLVKSNSFLSVLGIGSSVSKQENRCEIEISETKGSSTKHLCNISLPFTVAP >Dexi9B01G0023670.1:cds pep primary_assembly:Fonio_CM05836:9B:19087188:19088823:-1 gene:Dexi9B01G0023670 transcript:Dexi9B01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCQRLLVDLVLTAVLLVVAEAGGDPLDTTSGCRGLTRGRFSRVFAFGNSLTDTGNAAIFPLTAAQLRVTARSFFFVGEMGVNDYFLSLQNNRTVDETASLVPHVVGAIRSALVAMIAAGARTVVVTGMVPLGCAPYFLALFPGAPGDYDRVNGCNKRLNGLAELHNCELKRTLGELSRIHPGRSFVYGEVYRPIASAVASPAAYGFGNTTLAACCGGGGGPYNFSFATFCGTTASTTCADPSKSIAWDGIHFTEAANRLMATAILSGQ >Dexi1B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:1B:12402497:12405349:1 gene:Dexi1B01G0011940 transcript:Dexi1B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLEADGIRGFGSTTALAATATSLSLASEANMETPLLTLTASRKKSGEGESRVVCSEVKRQVYLAGPLVAGLLLQNIVQMISIMFVGHLGKLPLAGASVASSFATVTGFSVLSGMASSLETLCGQAFGAGQHHLLGVYKQRAMLVLSLVSVPAAAVWAYTGEILAWCGLDLEIAAAAGSYIRWLIPALFVYGPLQCHVRFLQTQNVVVPVMLSSAATAVSHVAVCWLLVHRLGLGSNGAALGTAVSYLINLSILALYVRLSTSCKNTWLGFSREAFHGIGDFLKLAVPSALMVCMVWWSFEILVLLSGLLPNPQLETAVFSISMNIAFMAFTVPLGLSAAISTRVSNELGAGRPEAARLATQVTMVVAFLVCATEGLVVLLLRNIWGLAYSSNEEVTKYTAVITPTLAVAMFLDGLQGVLSGNQVIEYTTFTRCFY >Dexi6A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:6A:2820085:2820753:1 gene:Dexi6A01G0003090 transcript:Dexi6A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAAYFVVFAMAVATFLSTAHGTSYTVGAPAGSWGLGTNYTLWSAGVTFRAGDQLVFKYTRGAHDVLEVTKADHDACSTSSPLVSFSSGNDVVTLVSGGVTRYFICGVPGHCAAGMKLAVTVVGAASPSPSPAPVAMAPRAAARPPATTVAAHPSPAPVAMGPRSGRPSMATAPAGGAGGLPLPAVAPPSSAAAEDGVGGSLVGLALGAAVAAIIMALY >Dexi8A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:8A:25034121:25035199:-1 gene:Dexi8A01G0014580 transcript:Dexi8A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPRSWSNIPLELAGLVLGRLHAHVDRVNFAAVCTNWRTAAQKVSLPPPLPLLALKDGSFYSMPGGEPLRFPGCGDDFVTASGNWLVYKHWNCLLLMNPFSRATMTLPAVPGNNDNMDFVVVKLVVCSPHLIAALFRGTCRFSIGVCQPGASSWSVAQDLPKQIFDIAFYQEKLYAVNYDEELFALEINVDDNTGEPHVALGEKVISGGFLRHDQNFFRVLYLVDTQGLLLMVHRMIALGQIPREGQIHTLAEGCEPELAVFEADIEQSNVTIVGDDHMLFLGACSRLLDDYKKDEVDWEPFAGPGGRAVRKFSCPLPKISWRSHYGCAGAMWLFPSN >Dexi9B01G0048250.1:cds pep primary_assembly:Fonio_CM05836:9B:47242630:47245931:1 gene:Dexi9B01G0048250 transcript:Dexi9B01G0048250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGSSSRGLQQRLRLAVVWAVVMAAAVARAQLQVGFYDTLCPAAEIIVQEEVSKAVSGNPGVAASLVRLHFHDCFVRGCDASVLLDSTPGNQAEKDAAPNTSLRGFEVIDSAKARLEQACFQVVSCADVLAFAARDALALVGGNAYQVPSGRRDGNVSSAQETSGNLPPPTASVGQLNQIFGSKGLTQADMVALSGAHTIGNAHCSSFDSRLYSYGPNGAGQDPTMDPTYLATLAQQCPNQSGGPDGTVAMDPVTPATFDTNYYASIVARRGLLASDQALLADPTTAAQIVGYTNSPDTFQADFAAAMVKMGNVGVLTGTAGTIRTNCRVAN >Dexi2B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:2B:7832259:7832805:1 gene:Dexi2B01G0007590 transcript:Dexi2B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTPAAMAGQGLQHRRRRVVVLFSLPFQGHLNPMLKLASLLAARGLGVTVLHTDFNAPDPTRHPAGLAFVPIHETLPGEATSPYSHILTKLLALNAACEAPFREVLASLLMRARHRDDDVAATPRAAAELGVPVLALRTDSAAAFRNMLAIPRLRDAGFIPIKGKQQANQE >DexiUA01G0018050.1:cds pep primary_assembly:Fonio_CM05836:UA:38564503:38564790:-1 gene:DexiUA01G0018050 transcript:DexiUA01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGACSYHARLAGAKQPPHKACSYRARRQHRLIVPRTGRSSPWPWRCSALLVASARLQVEEVVTRAEEVAVGEEPTRVERVVGQNLPSCHRS >Dexi7A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:7A:29538120:29538503:-1 gene:Dexi7A01G0020860 transcript:Dexi7A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPATIRFSEAATGVIFRSNARRSTAVSNAFRFCPDGIMRGTRLRLCRAPRRCAPENDVWWTVPLRPEDLVETTGHGLEELVAIRDALVTDPLRPTWVALQEIVATGGNIFRCRCFHAGLVSGQT >Dexi6A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:6A:22639698:22640895:-1 gene:Dexi6A01G0015250 transcript:Dexi6A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLRSDQLTISRLLRRGRTLTGSLQWRLHRQDDRAPDHVPAVAPRQRPAPHLRAPWLHPLARRHRGLHPHREAQPLPVTPERQLLRLHGHVASLRGTDRRAVHRPSAADVGRPPDEVGPHRPRPSAAGVVRSGNERGGHGRVVEVPGVPGPPRAAAVRLAPRDGADVLLRVVEVAEEVDSPGAMSYTSPGSMARIGSTCPAPKSNAPATAPVDSSTIVPLLLSLTYAVVISADLIAAGLHVGCAALSSAARPDTCGQAMEVPERMLNSVRRVSDGMPAGPTPLGHAARMFRPGAIRSGLRISGDCGFGPRAENAATNGAGCTPRWVPSNTMLLAGGRADRGRRQDVAVSDETLAVERPVGQHHPDGAGAVDDVALGGA >Dexi1A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:1A:4345893:4348920:1 gene:Dexi1A01G0005870 transcript:Dexi1A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAKAEAARPRPESSQEEEEDWKEAEGDVAEVDRAAPNGAGEGEVPTDRPIRVYADGIYDLFHFGHAKSLEQAKKLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVSEEFLDKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETQRTDGISTSDIIMRIIKDYNEYVMRNLARGYSREDLGVSYVKAFAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSKDFGSLLQYDSDDYEEDDEEEHFEDVKE >Dexi3B01G0027900.1:cds pep primary_assembly:Fonio_CM05836:3B:23651303:23651942:1 gene:Dexi3B01G0027900 transcript:Dexi3B01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSGNGSGGRLNPWAEPFVPSGVRYRGLQTVEAEEQQEVEVEDFSPEWWRLVSASPAFRDRWLRDYGALGLLDADVDEEEEAAVDSFLPEDLLSPPPPRQEREREEGAAVKRGGGGLEVAAWGIDKW >DexiUA01G0026370.1:cds pep primary_assembly:Fonio_CM05836:UA:56359374:56360377:1 gene:DexiUA01G0026370 transcript:DexiUA01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEVRMVTEVRMVTEVRMVTEEGMDLVLNGPSRILEAYGGLGLRVHTDDEVSSTDDGGSCTRPIRGSWDVAESDVVEEFTQTIDGGLGRKLELTYVVFPNAVETHVEVRLNLMDLGSRRRLVYGSVKASAIDYGGKSVHLFSRERGRSLSMPCGSVCILPLKPYMIALEDGQHFKLHIEVDLSVITSRDSQEEDKNFKFYVDCSRRNSSEERLEPPFRIRSHKREFNDDQIEVNIMWRLRRS >Dexi3B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:3B:864887:865834:-1 gene:Dexi3B01G0001160 transcript:Dexi3B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLICACLLHLLLLATSSGVAAQSQPLSPARILDAMLQDYAYRAFVRPHTGIVYNATLPTNLTGIAVSAVRLRSGSLRRKGLADYFEFAVPTGVVVQPYVERVVLVYHNLGNESDYYYPIPGYTYLAPVLGLLVYDAANLSAVGLQELNVVASESPISVTFSNVRVVPAGSAAPRCVMFDLNGVPQFRDMEANNVCSTYRQGHISIVVNSSEIAPAPPPRGTISPPIPTEGGHKKGNSKAWKIAVSVVGAAVALGVLAALLLCLVRYKRDKKLEVMERNAAVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >Dexi5A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:5A:1358208:1359232:-1 gene:Dexi5A01G0001910 transcript:Dexi5A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVVAALLLHLVLRSCLLQAAVYRWPTSKKPLFPAIFSFGDSYTDTGNFVRLEGPIPYNYSPYGETLGYPTGRASDGLLPVDFVGVGLPLVPPSLAKGQNFSKGANFAVIGARALDDAYFQQQNITSPAAPANSSLGVQLRWFEQLRPSLCNATKLDGCDDYLGRSLFFMGEIGGNDYLAFLSARTVEETRSYVPVVVNAIAAGAEVLIRHGARRVVVPGNVPMGCLPAILTLYASANASEYDRNGCLRKINALARYHNELLRSSVQAVRSRYPHVAIAFADYYQPVLAFLEAPGLFGE >Dexi8B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:8B:934988:935632:-1 gene:Dexi8B01G0001390 transcript:Dexi8B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHRGLSTYLLPRLRRTHAQRNLFFDSAARTLAAATAALRVRLYGTDDSAPTRAVLALKRRPRLVAGVSRVEEIEEPLDPALALACADDPARLGGVDSPIVRLVADEYGVGGDAAPFVCLGGFRNTRAVYELEEEDGEGKGLVLELDETRFDFGTSYELECETAEPDRVKEVLERLLTVAGVPYEYSRSNKFGCFMAGKLLP >Dexi6B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:6B:13718337:13721849:-1 gene:Dexi6B01G0009410 transcript:Dexi6B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLARISRKGVASAATAGLARRRPEGSSLLGVSALAAAAEPCASIKGLEQFTSTKDSEIEEDFRVYQIIPSLNQPARYSTSAFQRFGFSTSVPQQDDKEPNKHTDDGVNKSAGASAQPSSEANNTPRTEKTQEADSEDLDLSKEDLVKLLLEKDESLKSKDQEVKDMKDKVLRSYAEMENVLARTKRESENTKKYAIQNFSKSLLDVADNLSRASSVVKESFSKIDTSNNSDEAVPLLKTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNRHYALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPVEEEPEEKLTKSE >Dexi3B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:3B:9147364:9161625:-1 gene:Dexi3B01G0012980 transcript:Dexi3B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSVGLRHPLPVSVVVCPKKHRHTAEPQTARPRMPNPKNIVVSCNVTVISPHGSQPLPNSFFPSHRVSRCVPADHRVYPFTPRTAPRISLFVSLFLLPLSSSLLQAKPPVAAPPRPPPPSVAPPSTAAGHHHLPNQRHHQLFPLPQHAAANTPFPLSLSACTPRPRRAAAALRPSTTSTNSFLPRYAPTPPATPCHQQEAKPPLMASSTVPPASTTQGSPNRWEPVWYDRFPTKPPRTGMECDPLVALPLFPFIPASLPSSTTCAPTPMALPPLLWSIWPEELGGDYCEPEPEDPYREQELPEGFNDDNRRRFIFLCSPTMDRRAQVFFGVNQLFEDSGNPLPRHNPHIGNSSPSVLDIIASRNKKSAKSTRSSKQQGTSSSKQPKKKAQDTSSSMTSQEDIKPSESSAVVVSAPDAACGVELGLDPVWVALWFITRRRQNGVAGDGGGTGGWGNDGAGMGGGAGDGGGVGSADSLLLDKVEERNGGVGGRVKYHGSPPGDLAPSLRLTSRVDARKCGAPSTHCKLGAKGPDAESRRMSERGTATGIKGVGIGPATVLIRLPIDEKGQRGGGGGEPEAHPWPQLGGSKGKKRRRAVEEDPKALASLSVKKDLEQQQQQHEGTKMLQTHVSPQQDRQALWFGSLI >Dexi1A01G0030530.1:cds pep primary_assembly:Fonio_CM05836:1A:35795643:35797580:-1 gene:Dexi1A01G0030530 transcript:Dexi1A01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTLPFVPSNPSTSSGSTAAAAFAPRRVHFAAAGRSGGGVLPLACAAPRHRGRATRRRRGGSLVVRASADYYATLGVPRSATNKDIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEV >Dexi3A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:3A:8704420:8705669:-1 gene:Dexi3A01G0012040 transcript:Dexi3A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSAEQQVIGIAAAAAAAEAGRPCCIECRTTTTPMWRGGPTGPRSLCNACGIRYRKKRRQELGLDNHKKPQQNHHHQQPPQQQPQQHQDHGQAPSAVKDNKSSGLQVVKKRRVLMGVEEAAILLMALSSSSRSTLLHG >Dexi3A01G0025820.1:cds pep primary_assembly:Fonio_CM05836:3A:21748638:21753565:-1 gene:Dexi3A01G0025820 transcript:Dexi3A01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSAGDVRGGMEAVGGGGGERSRGAAAQQGGPNDAVDYFFQTRGLRGLYTPIELSFSATKLRNMDAFSKSDPMLVVYTNIKGKLDEIGRTEVILNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHHTPVKMLKLDQQDFLGEACCNLSEIVTKFNQSLTLNLRSNCGHGLHGTMTVHAEESDLSRMAVEMTLRCLNLENKDVLSKSDNPLLVECFDFDSSGNHELIGAFQTTVAQLENLYTSKSGANFYSRKGQRKMKGQLFVDRLEEKVQHTFMDYISSGFELNFMVAVDFTASNGDPRVPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDKRFPSWGFGARTQGHVSHCFNLNTTTNDCEVVGVEGIMSAYTSSFYNVSLAGPTMFGPVINKAAEIASQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFNQMEILDADNGKRLESSTGRIATRDIVQFVPMREVQGGQISVVQSLLEELPGQFLQYMRTRGITPQKQAPGGHASVPVYPPQQ >Dexi3A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:3A:16260598:16264257:-1 gene:Dexi3A01G0020460 transcript:Dexi3A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVKQVCIV >Dexi6B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:6B:25866666:25867018:1 gene:Dexi6B01G0018940 transcript:Dexi6B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKMVVTLIRLMVTVLLRGFDVCGVKAPRGIGKLKALNTLGVINVARGKNVLKEIKKLTQLRKLGITGIKKDHCEELGSTIYSCSHLQTLRPSEP >Dexi8A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:8A:372216:372899:-1 gene:Dexi8A01G0000540 transcript:Dexi8A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVAGATQQKLCYSLSSSPPRADRYPTSPVHVPVSDLWTGSNAADDVGPGRLHQDVVPEHVGHSKLAQGPMGLDSLSDQVLIMDIDGPAAVEVQDEDSARTSFLGSMFTEVPPPALGAQPRSTPAPPAPTPQPPKRQSIRLLARPSSVSVSRRATHRLMRQLDIIGQGQAIGDEAVAQYERTYAGPMPRKTVVALAAVTRVASGVVMAASAALAADAEAAQVEVI >Dexi2A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:2A:8841764:8845609:-1 gene:Dexi2A01G0008800 transcript:Dexi2A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAELREAHRLAGHADRVWALAWNPAPGAGAGPVLASCAGDKTVRIWKRAPDGAWQCTVTPNLLLLPHPDVLEDTHNRTVRSCAWSPDGKLLATASFDATTAVWEYNGGDFECVATLEGHENEVKSVSWSPSGSLLATCSRDKTAWIWELQPGNEYECVSVLQGHTQDVKMVQWHPRLDILVSVSYDNSIRVWADDGDDEWHCVQTLNEENNCEDIIASGGGDDSICLFAEETSSMVEGSSYKLILKKEKAHDMDINCVQWCPQDPRLLASASDDGTVKFWELKGSVLD >Dexi3B01G0032800.1:cds pep primary_assembly:Fonio_CM05836:3B:35257133:35259420:1 gene:Dexi3B01G0032800 transcript:Dexi3B01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSSAGCKGGAGGGGGKRRSSGGGGGVGEEDQRRRVAVLELLLAAVRRSVVACRVERGGAGAGWPGAEEEEDGAAAAELGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEDEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQETLYVQGGLKAEGIFRINPENDQEEHVRDQLNRGVVPEDIDVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSEGEFLELVTLLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDNAATGEEYTPYSSPASSSRQYDAECCYGSERDMDRSCELSDMHSQISKSGRHADYLVRYNTCFDSEQEMGDRLSEVEEGFLRRLENNIEVDRPEESAKEQSEMNLEVMAMEDVELKAEDEAAEKGLQKEEGLEPMA >Dexi3A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:3A:3647927:3648407:-1 gene:Dexi3A01G0005610 transcript:Dexi3A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNLPTVYEVVTGTAKKEPKDKTPKNSNKSNKSGSKAEPNSRAPKMPPPKDEDESEEEEGEPQDDHDTALCGACGQSYDDFWICCDLCEKWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKV >Dexi5B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:5B:16789817:16791326:-1 gene:Dexi5B01G0016700 transcript:Dexi5B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNNALRVFLILTVACAVCAKERAGAKKGNAAAASPTPGDAAAPDGAAEASGGEGAFDITALGATNDGKTDSTKVNKGQVMVWSRNGVNLIGALDITCCSILLMVMMDLVDQALQDAWTSACRATGSATVLIPKGEYLVGPLNFTGPCKAAITIQLDGTLLGSNDLAKYTASWIELSHVENIVITGPGTLDGQGTGVYTKSKTDCKALPNTLVLYYVTNATVSGIRLLNSKFFHINIDTSKDVTVKDVSITAPADVENTDGVHVGGSSKVSITNASIGTGDDCVSIGPGSSGVMVTNIVCGPGQGISVGCLGRYKDEKDVTDVTVRDCVLKHTTNGVRIKSYEDAESVLTASNLTFENIQMEEVANPIIIDQYYCPQKVCPGKRANSSHVSVKDVTFRNITGTSSTPEAVSLLCSETQPCSGVRLLDVNVKYAGKNNKTIAVCTNAKGTSRGSYEALACLV >Dexi9A01G0046990.1:cds pep primary_assembly:Fonio_CM05836:9A:50186415:50187980:1 gene:Dexi9A01G0046990 transcript:Dexi9A01G0046990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQLNVLVSLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPTSSTPGSLPPSVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGHTAKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIVAIIVSAAFRNAYPAPSYAVDPVGSLVPQADYVWRVILMFGTIPAALTYYWRMKMPETARYTALIAKNTKQAAADMSKVLQKEIEDEHEEVQRQVVAGDNWGLFSAQFVRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFTKVGWIPEAKTMNAIEELFRIARAQALIALCGTIPGYWFTVALIDIMGRFWIQLMGFLMMTVFMIALAVPYEHWTHPAHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPKKPDHGYSPGIGIRNALFVLAGTNLLGTVMTLLVPESKGKSLEEISKENVDEEAA >Dexi3B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:3B:13899375:13900743:1 gene:Dexi3B01G0018690 transcript:Dexi3B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESRVSKDGPPPLMYEHDNGTGQGLHPSAHQLRITHRRTHRRIAHRLSVPALPGARAAPGVPPLGARSPSVRALVLDMFCVDARLGVPAPIETNASFGDIGDKPLCLPGVPPFRAPLNRNDEVAPAESRGILIHTLEWLDGGRAQGRRVRLEPPPRRRRCARVRLQGLRWWTTDKVVSFEGWIERGHPLQRAACSTRTAADGCRVFGECDRRSSVNVARADGEITSKCRKRCQ >Dexi9B01G0021680.1:cds pep primary_assembly:Fonio_CM05836:9B:16405061:16412778:-1 gene:Dexi9B01G0021680 transcript:Dexi9B01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRADVGHLVLWLGLGLASYTRRRACVWSRGTSMAARHQLAMYLSFNTFAGMERQHPLFDSWSVPSLPSPTSASPAADDMTNEDMALPIGHHAERVLHPVATVITVDNKLALAEGEELLFHPEQNRFHIRLQINLQQQLRWDHQGQGPLSYLDMTMDLDPDDPTSAAVAVQVAAPAHVATTAPPPTTITSQQLLSNGAASANAALAAALLAAQLEAAATQEALTWECERVSSFRAPPAGFGFRPAATDPMTPPPYPSTPPATSLPDAPTPRPSRGGGRRGRRRRRAGRGGGSGVDRRGTPPPAPSALGVALWPSFSDPWSGCTSMWPFQARGRGGGLALSTRHRPCSLVLPPLALACRSGRHPLRPASLRSGLGDGSFSTLGLTPPTSAEWITDSGASFHTTPDSGILSSVHPPHPSCPSSIMMIHNLLSIRQFTTDNSCSSYHKGFGIPVTGSLYTLRLPASSAPSSSSSIPTAFATTSSTTWHRRLGHPGRDALARLSRTPDEHLCHACQLGRHVRLPFSSSSSHASHAFDLIHFDLFTSPILSISSYKYYLVVVDDFSRYSWTFPLRAKSNAFPTLLHFFAWVSTQFGRTVKVVQCDNGREFDNHLSCLLSLSGHSAAHVLAERMIRTTNDVIRTLLIQASLPARFWAEALHTATYLLNRLPSTAISAPTPHHAPFGTPPCYDHLRVFGCACYPNTSATAPHKLAPRSTRCLDFPYSTSSTPSPDPELESLFPTNSVVQPPVSVYPFSAGSPDTVAPLPVTSVVPWAAPPPPTMPRAAPVPLTAPRAAPEPPVALRAAPESPGAPSAAPEPPTAPRAALTPSVVPRAAPEPLPAPPVPLGFPARSWQPVHVYRRQVVPAPMPAPLPASPPPLTPPASSPPPPPPLEPPVRPRIRSRVDPGVYHPPVVHRDPGHSHPMVTRRTASILRPVTLSATEGEPGISPIPSSVRDALADPNWRRAMEEEYAALLANQTWDLVPRPSGGNVVTSKWIWTHKRRADGSLERYKARWVLRGLTQCPGVDYDETFSPVVKPATVRTLDVKNAFLHGILSENVYCSQPAGFADSGRPEMTAYLLLYVDDIILTASSQTLLQRIIQSLQQEFAMKDLGTLHHFLGVTVEPHSSGLFLHQRQYALDILERAEMTNCKPCSTPVDTQAKLSADGGAPLADPTAYRSLAGALQYLTFTRPDITYAIQQRLLRYVRGTVDYGLVLHRSPSTELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGLLTELYSPLLKSTLVYCDNVSAMYLSTNPVQHQRTKHLLSATFGYFMSQPRPQNQSSKDYYLGKPMHFLPDTSVTVRCSPIWPHARMA >Dexi1B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:1B:970570:970853:-1 gene:Dexi1B01G0001250 transcript:Dexi1B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTVEGNPRVGGVLTVLVENARGRRPRAGAGAGAADTAAVEEPEADMAAVAAERNPAAVAAERNLHMAAAAERKRRRRRTRSG >Dexi1B01G0023490.1:cds pep primary_assembly:Fonio_CM05836:1B:29091325:29094094:1 gene:Dexi1B01G0023490 transcript:Dexi1B01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAISGSGSHLVLSSPRLRQPRTLAPRTARPIASAAASPVARRGVAAAAVSTPAVAAAAGKDAKQVPKDFLHINDFDKATIMNILNRAIEVKAAIKSGDRSYQPFKGKSMAMIFTKPSMRTRVSFETGFFLLGGHAVYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAPVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDAKTVEIARSAGISKIEITNDPREAVKGADVVYTDVWASMGQKEEADYRKQKFQGFTVDEAMMEIAGPQAYLMHCLPAERGVEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >Dexi9B01G0044800.1:cds pep primary_assembly:Fonio_CM05836:9B:44431641:44432807:-1 gene:Dexi9B01G0044800 transcript:Dexi9B01G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRGSRRRSRLKTASPSSSADGATAQRKTAWTLSDRRSVKRRLVGIIHRFYLDAISRLPPADLRATSGLARGLLVGGHCLGPLDPVHNIIVNSVWYAAAFPLRRPANADDDETDGRDEEAHASLLRTDGIARICHRSVDGLVAALLHLCGPSLTTGEALWKLLSAGADLTAAAALAKGTSKSSAVRDIASEGLVSFRVAAEAARHPNPAAFAQFVSSELPAVDVQHNVVRLLIMKQVLATRHINYLSDVLVPSAPHEPSESPPLLSPKVLGRIASEKKQFQDIRKQVISAVNMAMHQYASQSGEQVTLHSVCGVSLLKEEEGLNNCYHINFLAYHVDSGSAAGAPVLFFTEAIVPSCDETDIRLCVPVDLVTDIGMSSDPSFLRV >Dexi1A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:1A:6647073:6647771:-1 gene:Dexi1A01G0008530 transcript:Dexi1A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALALGAAGTWPEAVCLLFLLAAGMLVALHSLPRRVALRLRRSSAGGGSSAAQSRRHFAKGAQLLARARAAAPKPPGPLARAAVAEADLAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPPLARSLEPRERGDALAKRAEIGLALHRRRRLDQVAADLEEAVRLSPENARAHAMLGECYERKGLTAQALDAFKTAASIDPKLVAALDGLRRVNGGDEGNESD >Dexi6A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:6A:3992352:3994624:1 gene:Dexi6A01G0004390 transcript:Dexi6A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREWGDPPALAAWNATSASAAGGARGEARNSIFTIFILGGGQAPARPSLSPPLGGAHCRWPYVRCDSAGRVTSLTLASVNLTGPVPEAIGDLSSLTHLDVYNNSIVGVFPTALCRCRSLQYLDLSVNNITGSIPDAIGSLSNLIHLEVSGNSIVGVFPTALYRCRSLRYLDLSWNSIGGELPDDIGHGLGENLRTLGLSDNELNGTIPTSLSRLRNLRHLTLDYNLFTGTIPIELGDLTHLRTLLLSNNPLNAGMMPMSFKNLTNLTMLWVHECNLIGDFPSSVVWMLELEELTLMNNSLTGSIHPGVWKLKKLQFLDVSMNNLTGDVVIGSFAAMSLMDIDVSDNNLTGVIPEVFGHLENLTDLVLSNNRFTGTLPPELGRHSLGLSIVVADDNELIRVIPEGLCTGGQLTWFSATGNRLNSSVPVGLAKCETLTGLYLDINQLSGEVPKALWTTGLLAFFSGAIPADIGSGMALLHRLNLSNNNLIGRIR >Dexi1A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:1A:19875858:19877150:1 gene:Dexi1A01G0014010 transcript:Dexi1A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Dexi9B01G0024830.1:cds pep primary_assembly:Fonio_CM05836:9B:22840242:22840559:-1 gene:Dexi9B01G0024830 transcript:Dexi9B01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPRRSAYHPSVPFQPHNHMRIRAQATGIGCRRRESSRAKIGQWQRSRGDADLAKCVCFLPCLGIAVQRPLLASNMLDALRRSFPRRPVSEIASSASTSYARR >Dexi4B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:4B:6034709:6034990:-1 gene:Dexi4B01G0008360 transcript:Dexi4B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGADDMVEDVTRIGAEGVGVRGLVEDAGEPREVEGAGEAVAYITDVVANNSSNRWRLHSISCRILTSLKAATMLLTVVVARCSGARRRARD >Dexi3A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:3A:5882569:5888712:-1 gene:Dexi3A01G0008390 transcript:Dexi3A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFFRPQHHKSRSSCHIAATVASRLLRRQQCRSISWRRQQQHHTALPQQCRQLQQDLSAARTRHHLDGADSRLIQNAGILDRSIWRDFFRGYSNPENSSQQQAWIDRADELKEQVAQIMVASSTTSGLHGRLHLIDVLEHLCLDHLFEEEINATLSQIEAADLRDCDLDVFVRFKDGLGGFLVNNPTDLLNLNNAAHLRTHGEIILDEAVLFTRRHLEMILPFAEGSLAREIKSTLEIPQPRRVRIYESKYYTSTYEKDSTVHEKVLQLAKLNSNIMQLHHQQELNILTRWDLKAAHDLPECIRFALRKVLDSYEIIENMLQKEEKYRMLYLRYFIEDLVRGFNMEVKMLQEGYIPNSVEEHLKVSLRTGGCPFLSCASFVGINDVITKDCFDWVASVPKMVQALSIILRLLNDLQSSERLKKMSSSTECAGGKKSWPEVVGLSIEEAKKVILKDKPDADIVVLPVGTPVTRDFRTDRVRIFVNTVAETPHVG >Dexi9A01G0049100.1:cds pep primary_assembly:Fonio_CM05836:9A:51832925:51836078:1 gene:Dexi9A01G0049100 transcript:Dexi9A01G0049100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGEVPASAAAAVANGLGGGSEASPAPFSAEQLDVEAYSSQYSGRTRLARLLFVADRCGIEAMQLEALRMAYDDIKKGEDVQLHRDVALKINGRLGPRYGLDQAWVDAVSRRAEQRKEKLENELNGYRTNLIKESIRMGYNDIGDFFYAHGQLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFTHVTNYVSKAEQTPDSLDPIIVAKLRAAAGLANLAQKKYKVAARKFVETGIELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNINFRNFLELVPEVRELVNDFYASRYGSCLGHLEKLKANLLLDIHLHEHVETLYRDIRHKAIIQYTLPFISVDLNTMATAFMTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNTTFQRVLQTGNEFERDVKSMLLRANLLKHEYIQRTGPRKM >Dexi1A01G0027280.1:cds pep primary_assembly:Fonio_CM05836:1A:33117392:33125016:-1 gene:Dexi1A01G0027280 transcript:Dexi1A01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMSELPQTPRSTAGDDDLSLLYGESDLAAAILERLGGSPREDHQHLCATAAAMAQAVRDRGVDATPVAYFAAAAAALAPLARAGAGGADGHVAGALLAFISAALPALPAAVVRTRGREVADDMVRVLELPSTPDSGVRAGLRCLAHLISAGDRANWETVEPLYTVILRLATDYRPKVRKQAHSCLRDVLLSFQRQTILVPASEGITRCFERFLLLAGGSSAVNTGVVEEGPRGAKEVLYILNGLKCCLPLMASKPSNTILKYFKALLDLHQPILTRSILEILNAVGESQTLLLKSDVLLDLLCSLGLSVSSERKSGDEMASIARLLHVGAKKIYNQNKNICVVKLPLVFTSLADILASEFEEARFASVEAFKGLIDNCIDETMVSQGIAQIKARRQGLKSDPTVIEKICAILEGLLDVRYNDVWDKSFHVISMAFDKLGEFSADLFPEAVKDLADMQNMSDDDFSFRKQLNACLGSAVSAMGPKNVLEILQIRSICAENEWILPILDKHIVGASLQFFLKDILGIVKAIEKSITKLLKDDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSSNFRVLQSILCDTLQNQPELRGIVCSSIQPAISEIASISDKDVVGKFFIDSIRKLLDATKAVNAEPVDDNSMQVETNGTTNSMTRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDPLIQKRAYKVLSMLVKDAEFVEKNLDVLLELMISSMPCQFPSKRYRLDCLYHLIVYILKDSSMVRKREIISSFITEILLALKEANKKTRNRAYDLLIEIARACEDAGNDESKESLHQFFGMVAGGLVSGQTPYAISAVVTGLARLTYEFSELIGVAYRLLPQTFLLMQRNNREIVKANLGFVKALVAKSKADVLHEHLKGVVEGLLSWQSDTKNSFKAKVKSLIEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKSKSSEDGDAMSVVSGATRQSRWNHTQMFSDFGSDDDESDGPFSTHRTVTSRAGSKTSTWSSRRRQSDKSLLEKSIDHSTGEPLDLLDQKTVRLALKSGKKRTAPDDDDEELEMDPEGRIIVRDEREKRRKKPISRDDDGDFDGKSSIRSQSVKKRKTSSSGWAYTGHEYTSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMSSVMKVTKRLEGKSASAVVASKRTGKMKHKMNK >Dexi3A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:3A:5362997:5364499:1 gene:Dexi3A01G0007720 transcript:Dexi3A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAAALPPQQRTGGIGRRLARLLRRKRTPSGTGMAYSVAGDEFDDSLDSSVNSLSKLKLSGNLADAYTLDAFFRTATEKKGVAPPPPQVQPSPSPAAAGPELAAKHAFVASLFAGASAVKAAYAQLQLAQHPYDAEAIQAADAGLVAELTKLSDLKRRYARDPAAAARSAAALSAHADEQRHLLRTYEITARKLEAELRARDAEAARARAVLADELRAARDLEDRAARHGRRRSTLAALDGLHLSGLDATHFLTALRHTVKSVRGFARSMLDGMRAAGWDLEAAAAAVHPGVRLRDPAADARFALESYVALKMFAGFHRKDMGMSSLHGRGGHDRRRFFDEFAAVKSAAPATELLDEEGGGALREFVRDRYLSVVHERMEAAFFFGGGGGQRAAVARGGGTFPRTAWFAEFAEMARRVWLLHCLFWAFDGAASVFQARPGERFSEVFMESVSDGDGAVVVAPELVPGGGKLAVGFTVVPGFKVGRTVIECRVYLSSRP >Dexi3A01G0031010.1:cds pep primary_assembly:Fonio_CM05836:3A:35379156:35380902:-1 gene:Dexi3A01G0031010 transcript:Dexi3A01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPDAAADAPLLGKPGAAAASPPAKRNKYPFFCAVLASMTSVLMGYNVAVMSGAQIFMAEDLGISDAQIEVLSGVINIYSLVGALLAGWTSDRLGRRLTIVLANVFFLVGPLAMSLAGGYAVLMAGRFVAGVGVGYALVIAPVYAAEIAPASSRGLLTSLPEIFINSGVMLSYVSNLVFSGLPVHLSWRVMFAAAAVPTVFLAAGVLTMPESPRWLAMKGRVAEAKAVLDKTSDTAAEAEQRLLEIEDVVFNNSGGGGGAWKEVATKSGVRRVLAIVLTLQFFQQASGIDSVVLYGPRILAMAGVTSNTLLLSLNVLFGVAKAGSILIAMALADRVGRRPLLLASTGGMTASLLILGSLFAAFAGAEDDAAVAAVSVAAVVAFVVAFSVGFGPLAWVYSSEILPLRLRGQGAGLGTAMNRIMSGVVTMTFISFYQGITMAGAFYLYAAIAAASFVFVYACLPETRGRSLEDMEELFHTK >Dexi5A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:5A:2029104:2029406:-1 gene:Dexi5A01G0002810 transcript:Dexi5A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKTQCVKKGDSYGAVVADSHALPAAEDFAGENDGCKCGSNCSCGSSCKCGGH >Dexi1A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:1A:525730:531017:-1 gene:Dexi1A01G0000810 transcript:Dexi1A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLPMSQLRLQRNLAADIKALLERASAVSERRARYRIDPAALPRSPWFAPAVSAGGLRRADDDTADQFVGIKEQADKLAERIKAIHAEDDKKLKVLSIVGFGGLGKTTLAMELCRQLESEFQRQAVVSVSQAFDGGKDMQGLLARLLPQIVKVMKRQDDTTQTTHTPEEEDEATGRNNANEQELIIEHMDVEQLSTRLKDLLKEKRYLIVIDDIWSLPAWEAIRIRLPENNCGSRIIVTTRIQTVAEASSISEDCVHHMKPLAPKSSEELFIKRVFGSMGTCPDGLEDIMRKILKKCGGLPLAIVKIASILASYNSTESVEIWIRVSNSIGSHMENHPTLEGIRKLTTLSYGYLPHHLKACMMYLSIFPEDYVIAKDRLLYRWIAEGLVTEKRGLTLFDVAEEYFNELISRNMIQKDKLSMFLSYGYWGYGMEMVEACRVHDMMLEVMVSISQEANFVSLVGRQYGGGLAHGTVRRLSVHGSNEEEELLKHTAGSPKKSKKERVSHGGIKAMKLHHVRSLSTFQVEGLGKLLDRLGEFRLLRVLDLEGCKAMRNKHMRDVCRLYLLRFLGLRGTSIAVMDGKIGDLGCLESLDVEQTQIHSMPPTVTKLSKLERMRVPEWILPRGLGNTKALREVSVALLEDDGVQVAREIGQLQQLQMLSMKLSGNGNGPNREGFLHALASSLSKTNALRTLLLQAYPTLGEDLLDFLLHVSSPPPLLRRLGMSGRISHFPDWISSLKHLADLSMWWTNLAGDQLLDLLCELPNLQILKLGYESCRDRELVARTRHKFPALRILELLGHQYHQGVKFEEGSMTKLERLVLSPYYNVESSIVGIDNLKELKEVKLVSDTKNNPLMKRALQQLKEENENRSESNQIKVLVE >Dexi9B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:9B:8571310:8577869:-1 gene:Dexi9B01G0012720 transcript:Dexi9B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPESPPSPSGRPSSADGWLYEVLHLQDSPIFDFINSLSPIATPKPLDSAPNVQLFKSPDLVPVSSIFTSPQVHPQKESKPGIRAGYVQLSQGLSPKCQRNEIEISSCIEMSGPSAIASGNSSPSVDAANLSSKWPQSILFGSETLGDAKKEDIDGKADHSANMGQVEFSSKCYDQNGVDKMDLSTSVRNVQENELDKQYNDDLAACSLNHQISYSTNVGGVMSKSGLSLEAHQLSWKLRNDHIIFSKSFIPMDQRTSEDSQTKEFDGPTGCYIQSAADDTHVHCAGAAAGVVMNHDPEMLPGVNQSQLVSNEYLVGTFEVPSDNMKTMSNAAESSQESHKKKRRKVQDGDGDSCRSCSCKKSKCLKLMTLTKLLLWFDIKEAAIAGSLLALKNTASAFRYQLTCHVLMFLFCLEGVLPLATEKMEKGAKAKGTHSKEDKLAFDKQHVVGQSGDLPSTENLFAAPSLEPCRSSIVHPSTYSEPPLSTTRCSSQLHNSQSLIKADILLSPFETCAAEKILKYNSSNIQEVSSSCITSIKVVSPNKKRVSPLHTGIGLSPIGRSGRKLVLKSIPSFPSLTGDADNEPR >Dexi3A01G0023870.1:cds pep primary_assembly:Fonio_CM05836:3A:19511404:19511801:-1 gene:Dexi3A01G0023870 transcript:Dexi3A01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPNPEEVADVKYMNRDQLKELLRKADAGEDGFKLSPWFRLVVDNFLMGWWDHIEQGTLQEAADMKTIHKL >Dexi9B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:9B:11969752:11972483:1 gene:Dexi9B01G0017170 transcript:Dexi9B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGASGEAARDSNLQSPLLGAASRPATTSGDGGGHGEVSGQLESILSDESLPWTRRMAAATAVELRLLVRLAAPAVVVYMINYLMSMSTQILCGHLGTLELAAASLGNTGVQMFAFGLMLGMGSAVETLCGQAYGALKYDMLGIYMQRATIVLMATGVPLAVVYAFSRPILILLGESPEIAGAAAVFVYGLIPQIFAYAAIFPIQKFLQAQSIVLPSAYISAATLVVHLVLSYLTIYQLGLGLLGASLMLSVSNWVIAVAQFVYIVTSRRCRLTWTGFSWQAFSGLPEFFKLSVASAVMLCLEACMTISGWVLMIAVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVFSLIASVIISVIILLCRDYLSYIFTEGEDVSRAVSQLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPLGCLLGFYFDLGAVVQPLSMIFIILIILVLTMRLML >Dexi6A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:6A:9509020:9509446:-1 gene:Dexi6A01G0008750 transcript:Dexi6A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWETTNGKIAATTALFFLLMIYGVEARLCSVRSSTFKGRCSVAVATVAVAAVEVGVGEHNQGSQCLRSQQRQH >Dexi3B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:3B:2974596:2974901:-1 gene:Dexi3B01G0004340 transcript:Dexi3B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRWRPANGGATGDPLGPMAWRHGVVGDEIWSSSPPRTSRPCPLPGRRRSGGAVSSLCCPSPVDSDGGGSSYVVVVSAMLARLPAAGVGCLATAPLLLHL >Dexi3B01G0037040.1:cds pep primary_assembly:Fonio_CM05836:3B:39870664:39873607:-1 gene:Dexi3B01G0037040 transcript:Dexi3B01G0037040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAAELINVNGGPTITTTSLADDLNAVAEILLRLPSPAALVRAALASTRWRQVASSPVFLRRYRSRHPSPPLLGLYAQRSAHAGGLPSFQLADSVRSDRALARFVRAGDFNLTGLDRHPEWRLLDCHNSRLLLSRGESRAVYDPVSGREPLWFLQNSPLQEGTSIISECLLQGCGDDAASFRVVSVEHRGRDQMVRAAEYDSCTRQWRRHQWVKNINRPQDDKAMRAGSRFIFWRYQDTSLLLLDMATVEFSIVGLPFTFFQPSMYAVGDTDNGVCCLVGLVGSINNLHLQVWLLKEDGAAKTWVPEKKVPVTQVLGKDAQLLQVRVVTNGLALLCWDRCHQFAINLKKMCVDAEFECSALGYPLQMPWPPAVLVLTRSETINCAVVAVTQNLSAIEENEMNMMVGVQCDKMVHRSKTIPTSKLDHAGDMINYNQMAIHGSEMTRGIEVTRDNSTAEETPPTISRCSNEMDHIDEMNQCNQMLIRGAEIVQGIETTYGTHTVETAPATSRHGREMVPGSSMDHAHSVIRCNQLAILDKQMVQGIEVPHAEAAPDAPPTRARRRRKNSIIWEHFTSEIDSDGCTRPLTTKQGSEYSISKCLKVLHDMDDVSDEIKHLAFHVLEDATNREIFMSYESRLRGLWLKKEVSKLGT >Dexi3A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:3A:6681555:6682183:-1 gene:Dexi3A01G0009550 transcript:Dexi3A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEYLQPAIGIVLGFIGSKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGNK >Dexi6B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:6B:7074237:7074710:1 gene:Dexi6B01G0006480 transcript:Dexi6B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAWAGETHSLRRASISGHWSNSAVTRSGSCSALVDCVVVAAVECSTTKGMPVSPTTPGFNVAWRMAASSMEASRSNPPLWDGGSRLRWEGPAPGSVDGGRRRHFGAVTWIRPCGSKRRNTRRRSASRGEGRPGGRAGVAPQDGRRHNLIGRSRF >Dexi1B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:1B:17300412:17302111:1 gene:Dexi1B01G0012700 transcript:Dexi1B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIAEMLVRGINFYTTDETVLTLTKSAFTNLPYPPAAKAAPPSGAVLARAPDGVDRISRLPAEILRNIVSRLPVKDAARTILLSTRWRRVWHTTPLVLVDAHLLPRAGATATIRRASTSSARLGAVPREVAPRGLADAVSSALAAHPAPSRQGLQELFFINRIRTFDADVLLPAMIFRCTSLIKLYIGFWRFPETAPLPRTAGFPHLRELGLCSLVMKERDLEFVLDRCPVLEKLLIIGSRWPVCLRVRSHSLLCVEVCECIASEITVVHASHLERLFLWEASGGGSLTNMPSKIKIGHAPKLRFLGFLVPGMHQLEIGNIVIKSENDDIKFCGPRLTATSKHNLKCWNEAGQIQCIQQHIKKVVIREFRGTRSELEFLKFIAEHAQVLEKMPWIFPFKFCGYQSED >Dexi3B01G0035220.1:cds pep primary_assembly:Fonio_CM05836:3B:37937072:37937545:-1 gene:Dexi3B01G0035220 transcript:Dexi3B01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLNCDGGFCAENMTGSTGAVLQSSEGSFLGAVMRGIPSMSSALVAEAEACRDGLPLTLMRGGPEHVILESDSLQLVSLWKDCCKQRSEISTILDEMQEMVTALPSVTFVHVSRSGNGVAHLCAKNASSSSPSPQWYSQPPRFLLATLQSDCTASE >Dexi2B01G0030300.1:cds pep primary_assembly:Fonio_CM05836:2B:38567486:38572563:-1 gene:Dexi2B01G0030300 transcript:Dexi2B01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDFKNCIVPAWLRSLQKYEQSINGTGFGRPVSIKSDYAALLLQTDNTTHPSPSPKFSPRPHSPPPPAAHGEPFRPSSIPSRPALVSPVAPPRALADLCDSTLFSLPRRRIAPSNLIRRMSAQIGTAARPSHQRHSYHCLLAGGRVRAEQPCDARPDPMVSNERSSCRQRPVTASPAPLQLCGMPLSALLPSLRTAGLPMQGCNGVTLCPAAARNARGAIRLASEPAPESSD >Dexi1A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:1A:23767637:23770257:1 gene:Dexi1A01G0016530 transcript:Dexi1A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNNRSRLPPPPPPFGRGRGGAGYSRGHKPLYYAPPPPPPFPSAPTPAPPERKYEVLMEAGRLAAEYLVGQGVLPPAALQRGAGPSGAWVGHPLPPPPQQLQEPLGFYGRRRYEDEYSNNPGARARRANGASSSTSSRDDYSSGSYNGRGKRKYGEYRRGYDSGRDREKERGRSSSNGRRYDEDEDEDGAPGFRRERRGSRGSDETKSSVTEAVREETPLSAKVIVGLDMEDTRSKNAISVDDFRKDTDAVPEEGEMADDNEGLNSESEAVKREIDTDDRNGSPVVLELEHMQLPPDGKIQDEVPDVEAEDDEKVSDELALDHNNSDGEVTNVENDVHGGQKNLIYYCNFARAPTRPRSVRGHRNAAPVPGETAVAETVELVSSGQASEMVIGASANESCLTNIESENKEDQMCQENTNSGAPYAESIERKLLQENGTTIVTDEKVDAQPHVVQEYNEESLLPDDHKESLSQETSLSPITASHKDGLTHEDGLNQETDLSPLAANHRDSLIAETALPPLTASHKDSLTQEIDLSRTISSHEDNLKLQFKDGTQICDIDMLPQDVDLIELSDQRKTVGRDTDAEAVIKMEGKLDQSSSLNLSDLDLVGGIEVSSIHDNPALVQPCAAGSPAEPCNKQQDLQTFTGANTSATDDLCQLPLENKDVQVIDIECGTPVEIGGFDSSKSNMDSMMDPGIYTDVLPGIQDGYSLALSDFLGADIPCYPSMQSDLHAGIGVNSSEGITVMDDPIYGSLTDIGELTTTLRPPPLFVSDAYL >Dexi9B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:9B:10524043:10525443:-1 gene:Dexi9B01G0015450 transcript:Dexi9B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPEDVVANVLSLLAPRTLAVSRAVCKAWRAVADADARCQLRTDLLPVTVGGVFIMTNEPEDPAFFVRPSMAHRIAGNVGWYLRKDGFFDDIPRVVDCCNGLLLLDDADQVVNPATKQWARLPPCPILRRTDGYHYKFLAFDPMVSPHYQVLAMRGPPDRKDELSEQGLEWPPSVYKVCIYSSSTGRWEQRPFILEEGTPTTAAANVLPRLDPARQHAAYWDGKLYVYWVDVITRMSLSNDKYQVINLPTGIDANSSYYHLRLGKSRNGLHFVVVDDQNRLQVWFLAEIGGKTQWVLKHNANLEALTLSRSTDRPWVVQHGNYDRRSNREPVLEKEMDWDSDDENAVDAEERGRKHSCLYIEVFGFHPYRDIVFLFVRDSVLAYYSDSSKVQDLGEVHIRHRYQVIDEAFIYTPCWVGELSGNN >Dexi7B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:7B:8378503:8379051:-1 gene:Dexi7B01G0003480 transcript:Dexi7B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFPRLLAPAPAAPHSAARPSFSSTLRASSLSLSLPIRRCHHRLAAASRDTASNKGQEQDQEPAPSAAAEATDGGAAEGAEAGASPGEKSPEAVAAELKEVLRARKEAEATEGGGGWWAGVAQEMSEIEWPAPGKVVGTTGVVLGVIAGSTVALLSVNALLAELSDRVFAGRGLQDFFSG >Dexi4A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:4A:5710095:5714156:1 gene:Dexi4A01G0007560 transcript:Dexi4A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVMPKQRVLEAEQREEAMEMSGLELWKHEKPPRICPMPPTLPPPAAACDEATLVPPLNFAMVDDGIFRSGFPETANFRFLRSLNLRSIVYLCPEPYPETNTEFLEKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDPRNQPLLIHCKRGKHRTGCLVGCLRKLQKWCLSSVFDEYLRFAAAKARITDQRFMELFDVSSLNHLTPSHH >Dexi8A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:8A:6681855:6685858:1 gene:Dexi8A01G0006440 transcript:Dexi8A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTGAISNLVPKLGELLKEEYNLQKGLRKRVKYLQIELENMSTVLCKVAQLMPDQQDEQVRAWACEVRMVSYDMEDILDTFLVRVDGQEPATSDRFKGLLKKISKLFNRTKARHNIASAIKDIKKQLQEVADRRGRYMLGDIMAKPTVAIVDPRLSALYNDVTKLVGITKACDELISMLSSQGGDGPHTDKVKKISVLGPGGLGKTTLVKTVYENLKMDFRCGAFVPVGRNPDFKKVFKDILIDLDKQRYTTCFNMMILDERQLIDELRRFLEDKRSARRFVKELGNLTGLRVLRAGIAVLDESMERDLVKSLRNLRKLQYLQLEIIFPGIDDTTDASPWTSQVRWEAEGFVLPRQLRHLLLGCIIFSRMPSWINSSCLPNLSHLCLHVVSMDEQDLKVIGKMPELRDLLLPTSSSVTISSIANFGYFQKLRSFSMLCSMVQFRQNEDSSVSLHMWNGEDAMPFGHKKDSEGRVSPTIMPGLEILEFFFFVRTLKDSSADCIDIGLECLTALQKVTVRIGCEGASVSEVKEAEAALRHAANVHPNHVSLELIRHFEDKMVLASDDH >Dexi9A01G0029110.1:cds pep primary_assembly:Fonio_CM05836:9A:33877885:33883367:-1 gene:Dexi9A01G0029110 transcript:Dexi9A01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGGGVTALPAWGRRGSRAGRTTEPKGILSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLKSRSDIPACLSVGRFLAERAKEADVYTCTYTPRERDRFEGKIRAVVQSLIDNGINVKLYLD >Dexi2A01G0031000.1:cds pep primary_assembly:Fonio_CM05836:2A:41824588:41825930:-1 gene:Dexi2A01G0031000 transcript:Dexi2A01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFAALCALLLLLNAGHVESRRHGDSSDSGRQYKLFVFGDEFVDTGNYPVADLAKNTRAWYYPYGSNDKDHGASPSGRFSNGLVLSDFFARILGQKESPPAERKREQDGVDPFGMNFAVGGAGVVEGTREAPKLGRQVDKFTRLVRHGIIDKDLTDSVALIAFSGRRDYERFDDMSSTEVKAMAQQVTDNIADAVDQLMDLGVEKVVVTTLPPIGCTPWLSRSDDGVYDARCDSQKVATIHNSYLEEKVFQEKGVFNLDLEAAFNHNAGPSPRSKHFKYRLEPCCESSEKSGYCGQVEDGEEQYTLGSKPDKFFYWDDINPTHAGWKAVVNEFEESIKNFLDI >Dexi5A01G0030690.1:cds pep primary_assembly:Fonio_CM05836:5A:33565084:33567609:-1 gene:Dexi5A01G0030690 transcript:Dexi5A01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTTKFDKPLAYWLLPFAEFPTVASSGLKRSALAGILVGSIVAAIALSVLSTVFIMKKKQRKRRTVSRGSLLSRFSVKVDGVKCFTFEEMAAATGDFNISAQVGQGGYGKVYKGVLADGTAVAIKRAHQDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSPKTERYLSFVQRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDTEGTLPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVFLELLTGMKPIQYGKNIVREVNLAYQSGEISSIIDSRMPSYPPECVTRFLSLAISCCKDETEARPYMADIVRELETIRSLLPEGEDILSETTGSGLLTKTMSSSSNATGALYVSSHMSGSGSGQVDSGVPSGTVAPR >Dexi1B01G0028850.1:cds pep primary_assembly:Fonio_CM05836:1B:33284070:33284960:1 gene:Dexi1B01G0028850 transcript:Dexi1B01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSRAVAPEILSAMAFRGSDTTSPALATADARKMAFPRRVSSVAPTPRAVHAHRHTWATTAVNVDSFFFLPPPTLSASILALDNGRMADSKGTFAAVRPPRRWREYSAWSKRTTGGVRARRSVRSSHGRGGEGSPPAAPPLAMAAHVFTKSSAARPSAAVWWIAAPTYTPPHLNKVTWNMARMMPARSSAEGTSFRRSSPDGTRPARSSGRGASERAVTKSAPSPVQWISRRPSPSRASRPARGAALRTSGAKKAGSGSELGRQT >Dexi9A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:9A:305337:307659:-1 gene:Dexi9A01G0000520 transcript:Dexi9A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAPTASSAFLFLSPPRRSRLHTKQRPRPTVVCSSSSAPTRSLSDQLEPLSRTLLHDKPTPAAASADRPTPQPTWVNPSRPKPTPTWVNPSRPKPTVLSLRRHRRRSPSAHPSSAPLQPLIRAIRALPEDADLAATLDAFFPDPEVSPPSASDALLLLNYLHPSWRKSLSLLAWLRALPAFPLDTIFFNVALKSLRAARQWPHAERLALDMISAGVPLDNITYSTLITAARRCRQFAKAVEWFERMYAADGGVLPDEVTYSAVLDVYAQLHMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYEGIQFVFNEMREVGLKPNIFVYNALLEALGKTGKPGLARSLFEEMTDQGVEPNARTLTALAKIYGRARWGRDALQLWDRMREMKLPADNILCNTLLSMCADVGLVAEAEQLFNEMKDPGCPDVPNPDKWSYTAMINIYGSNGNTDHALRLFEEMVKDGIEPNIMSYTIVIQCLGKAQRIQQAVEVLEAGLEKGLKPDDRLCGCLLSVVALSSGEEMEMVLSSLEKVNKGLVTLIRVLGQDQLGADDLTKEFKGVLNAAAPEVRRPYCNCLIDICQNHGFPPQRARDVFHLAQTYGLYSKLHSRKDEEWSLDLRSLSVGAAKTAFDDWMQTISERLVQHKALPETFSVYTGSSTHKFAQGLA >Dexi2A01G0030100.1:cds pep primary_assembly:Fonio_CM05836:2A:41098042:41099156:-1 gene:Dexi2A01G0030100 transcript:Dexi2A01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLACFGRRGGATTASAPDEQAEDHHHHQQQEVAPGPVLVELFVSQGCGASPQADAVVSRLAQDSAAAAQEGGGGGGPAMVVLAFHVDYWGHEWKDPFASSSWTVRQKAYVESLRLDTLFTPQVVVQGRAHCVGTEHDAIAQAIHDAPRYPAPAIKATFQRQNPTTLQASFTGTLRSRVEGTGGASVMVALYESGLVTDCGRGENKGKSLLNDHVVRRMEKVAAVKEGASAKKAVSGSVQFALWDGFRAAKCGVVLFVQNAAHQVLGVQHFDLPNNL >Dexi5B01G0024760.1:cds pep primary_assembly:Fonio_CM05836:5B:26787155:26790629:1 gene:Dexi5B01G0024760 transcript:Dexi5B01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVVVANGSGGADTTAAFKEVYSKLKEEMLADPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLSKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVRNILVEMGTYFQVQDDYLDCFGDPEFIGKIGTDIEDYKCSWLVVQALERANGTQKKILFENYGKFDPACVAKVKDLYKELNLEGVFHEYEKESYNKLIADIEAQPSKAVQTVLKSFLHKIYKRDK >Dexi1B01G0021740.1:cds pep primary_assembly:Fonio_CM05836:1B:27588064:27588872:-1 gene:Dexi1B01G0021740 transcript:Dexi1B01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGAMGEPALVLERSQAITLQGRDRKGRAVVRIVGNYFPARALGGRAEEALREHLRERVLPAIGDREFVVVYMHSRVDRGDNFPGVGAIRAAYESLPDGHKGRLRAVYFVHPALQSRLFFATFGRFLFSSGLYEKLRYMSRLEYVWAHMDKGQLEVPDCVREHDEELERRPLMDYGIEATDSRCVYDAASMDTSASLHSLRCVS >Dexi2A01G0026090.1:cds pep primary_assembly:Fonio_CM05836:2A:37664701:37666858:1 gene:Dexi2A01G0026090 transcript:Dexi2A01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLPCRRRFSSSSASNATPTLYSNGTTRFSLLSWGRGASGQLGGGKEERRLYPSPVAHLLLPDSDPRLAPTPGRLPSAGETSGVEVGISSGLFHSALLVEGSAWVWGKGDGGRLGLGDESSAFVPRPNPNLRDLRLLALGGIHSAALTTSGDVFTWGYGGFGALGHYVYHRELLPRRVKGPWEGKITHIATSGAHTAAITHSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTMALTSDGQLWSWGDEGEVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYITCGGSTSAAITGEI >Dexi2B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:2B:4755829:4757121:1 gene:Dexi2B01G0005060 transcript:Dexi2B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLRKDTKVLPAPLRHRSKFAVATARREIHGGPLHRTMAVPPTLQGFFHGNGDLHDGEHQVLPNRKFGRFANLPWTSATPVMNPSFLFLRKALPLTDHIFLLHSCNGLLLFGHLPNAYYNTTELNLSFIVCNPAMEQWVAVPGCGCIDDELSFILLNMQISLLFDPAVSSHFHLVLFWDNVDVGGIGTATIHTYSSKAGAWSHSDTDWSEEEKHGPWEGWRVRQMVSENSILCGRALVNGLLYMILGNNMILQIDEQGKTRRIIPAPKVRVDAANYVVFVGQSQGLLHCIVEQGHEVVPSLLAIDGSRVRRRRWRSRGLSVWVLQDSDTQEWIFKHRMSTLQLFGKRSCRRRDGYHVVTMHPDCNLIYFIRHRDAQMISYDIDRHEVHALQYFEDDYGPITPSNTITLIPYVPYLSELFVGVLGGHK >Dexi7A01G0024300.1:cds pep primary_assembly:Fonio_CM05836:7A:32027382:32027945:1 gene:Dexi7A01G0024300 transcript:Dexi7A01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPHGSHRPLIPTIVAPQPINRPLLNLTPPPTGNKSSTTSAQVVPALSVAIAPDPVLPPLGHPLGPMTCLLWSSSPIASSGSLCRRDPPILANCGCLQRWHLPAPASSPPSSGCTPFFPNCLLAKYASDSKSPSSGCTPFFPYWLLANSHGHVDATTCQHGRF >Dexi7B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:7B:19981691:19982772:1 gene:Dexi7B01G0013510 transcript:Dexi7B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQLKRDYEIGEEIGRGRFGVVHRCASRATGEAFAVKSVDRSALADDLDRALAELEPKLARLACAGGENPGVVQVRAVYEDGAWTHTVMDLCTGPDLLDWLRLRRGAPVPEPDAARVVAQLAEALAGCHRAGVVHRDVKPDNVLLDLDPAGEAPPRVRLADFGSAAWLGVEDGAARGTAEGLVGTPHYVAPEVVAGGEYGEKVDVWSAGVVLYALLSGGALPFGGETPAEVFAAVLRGTLRFPPALFAGISPAAKDLMRRMMCRDVSRRFSAEQVLRHPWIQSGGGTREAVQPT >Dexi2A01G0026470.1:cds pep primary_assembly:Fonio_CM05836:2A:37988520:37996732:-1 gene:Dexi2A01G0026470 transcript:Dexi2A01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPPPSSAAGGGAAGRRRKAEAYAEVLCRIRAGGYGGGRPELEDELWAHFQGLPARYALDVNVERAEDVLLHKKLLDQAREPMNGVVFDVRPSQVVALEESTGIESSTSVKQEQDPQCSEFTSRDQRPLHEIIFACDDKPKLLSQLTLLLGELGLNIQEAHAYSTSDGYSLDIFVVDGWEYEVDILRSALRKGVDKIKVRSNLNKRTPAQLDLVLLMRFIIRKLSVHYGHLLYLFKMLMQYRAWPLVQSMAARMDRQPVEVSPSSNFVQIPADAVDVWEVDPRLLKFEQKLASGSFGDLMLIFSLIYFVTFSNVFNPLFPQKGSSQECRPIYWCVHETSYFVYSYRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDHSADVFSFGIVLWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIAADTHPMLADLLQRCWQKDPALRPTFAEIVDILNSIKEAVRSSGHHKRHSGRSHSGRRRGC >Dexi9B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:9B:2947216:2952908:-1 gene:Dexi9B01G0005030 transcript:Dexi9B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRWAPGIRAAALVLLAVAAAARVAVGDPDPDELERAFPIVEPDYGHTKLRLSEQGLEAIRRIENPIAIVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGVWIWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKTSLLSQQSFSGLSREISSVSFFWNEGKSVQQMVNEALQRVPNDNGDKYIDEPHLQRTKLCDMEDKELEPLYVKRREELKQLVASIIKPKIVQGKTLNGRDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILERCLKAYRDKMDGLGLPVTVEKLQQVHEMANDEARVIFDKQHFGKHHAAQSLLKLEDEIKKMLAKSRALFIKEYNNKLFNWLVTFSLVMVVVGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIVIMLAFGVLYWRCFGGRRKRGRGSLLPLYKNSYNKNATRPRSD >Dexi5A01G0034190.1:cds pep primary_assembly:Fonio_CM05836:5A:36321029:36323065:-1 gene:Dexi5A01G0034190 transcript:Dexi5A01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGAAADDVERGDYEQEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGDRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMAQSDCIRRSGAGAHCDAPGTVLMLAFSVVQVVLSQFPGLEHITWLSVVAAAMSFAYSFIGLGLSVGQWLSHGGGLDGKVAGAAAASSTKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKSPPPENKTMKKAAMYGIGATTVFYISVGCAGYAAFGSNAPGNILTAAGLGPYWLVDIANMCLILHLIGAYQVYAQPIFASVERWAASRWPEAKFINSAYTVSIPLMQRGSVTVAPYKLVLRTVIVVATTLVAMMIPFFNAVLGLLGAFSFWPLTVYFPIRMHIAQCNITRGRKWYLLQGLSMVCLIISVAVGIGSVTDIVDSLKVSSNPFKTVS >Dexi5A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:5A:10000738:10004404:1 gene:Dexi5A01G0013280 transcript:Dexi5A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRYLANCLLLIRVCAQQAVSARGCASLEVPLNADTKDVEEHHQSNCQNACCYRNPKLPAFRLSFPSSPFTLSRSFSSQAGANSGNKDDVLEDGFSDLEVPPEADKKDAGLTSEDSSDEDAADEIGLSDVDADAKPEKEHMYKASDSILLKSMLETPRHEVAKALEKWANDGNELDRSELFFVLLNLRKRRWFDKALQLLQWVEESKLLEFGERDYASRVDLTAKVHGLFQAEKYIEKIPAHHRGEIVYRTLLANCVQEANVNKAERVFNRMKDLGLPITAFSFNQLLLLYKRVDKKKIADVLTMMEKENVKPSLFTYKLLVDAKGSSRDIAGMEKVVEEMKKEGIEPDLTFQATIARHYIFDGLREKAEALLKSMEGDDINTNRAACKILLPLYAFLGSDDDVQRIWKVCKDTARLDESLSAIEAFGRVGYVEEAEKIFDDMLVRWKTLSSKYYNAMLRMYANQNLFDKGKEFVKRMDENGVKFGITSLDALVKLYVDAGEVEKAESLLHKLSLKNKIRPQYSTYIMLLDSYSKKGDIHNSERVFNKIRKSGYTGRIRQYQLLLHAYLHAKAPAYGFKERMKADNIFPNNAVATLIASTDPFVKKKSISDLLD >Dexi8B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:8B:8364611:8365264:1 gene:Dexi8B01G0007130 transcript:Dexi8B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIGIAAATSVMGSVIGKLTALLSEKCQLAGNIKRGTRSLKDELSSMDAALRDLADKDDDQIDRRSKDWCHKVRELSYDIEDCIDRFVLNHSHGGSKANFVRKAIRKVKMLWKDMGTAEEIQELKRLVGAQSERANRYDNQYKVVSIYGTAGQGKTTLAMEVYRNITES >Dexi9B01G0042450.1:cds pep primary_assembly:Fonio_CM05836:9B:42697253:42701098:-1 gene:Dexi9B01G0042450 transcript:Dexi9B01G0042450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLPSSFTAPSKDDRWTSAGMVRFLADTARMKIARPQTNLVSGGGGAEDEPLREAPPREPAFALRIANLQQRHAHDDDKQQQPAAVVSCFKGWDSWRLRRLVGELKRDKKCERKRLPCMAGERIPSSSETQQLYTVSMCEGKTLPCMAGTRELPFGPYDHTRPPLIDKAAAKMSTWHGKHLTQAGRVCLAKTVLTAQPVYFLTSLDIGPASYAGLGTQPNLPPLPPGNEDRMAHDSGLPLRETDLGPDKLMGAIGSPRIRQRDGGRQLPQRKVYHEKPHDP >Dexi7B01G0023440.1:cds pep primary_assembly:Fonio_CM05836:7B:28060593:28061247:-1 gene:Dexi7B01G0023440 transcript:Dexi7B01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANPVSLALLLALLGALCRRADATAFEVGGDDGWVVPPASDGARFNHWASKNRFLVGDTVHFKYENQEDSVMVVTEDDYNSCRAAHPIFFSNNGDTEVELDRPGLFYFISGVEGHCERGQRMAVKVIGDGPSSPPPSPPHPSGAAPGASAIAAAAIALPLIMLLAV >Dexi4A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:4A:3349213:3352059:1 gene:Dexi4A01G0004750 transcript:Dexi4A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAASSPPRSPKRGGGGGGAAAGGNNYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGGERKALNDKGWPECNVIEEEGPYEDLAGDNGLSRRCQIILGFLTFVLLFTVFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNSAPMFGIHVTSGPIHLTYSEISIAAGQLRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFSKKACSVYKA >Dexi5B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:5B:19299218:19299496:-1 gene:Dexi5B01G0017500 transcript:Dexi5B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRQLLPYWAPSPPSGLMSAPMAFREPVRHPQLLPCLPNPPSQTPPNPSENPPKAGVPDLELGVEPRRAAARSPSQYPEGGERKKIAYRQ >Dexi5A01G0029430.1:cds pep primary_assembly:Fonio_CM05836:5A:32502031:32503482:1 gene:Dexi5A01G0029430 transcript:Dexi5A01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSATRVVIDSTRARTRKQASNQPLATMGGSKHIVLVHGATLGGWSWFKVATPLRAAGYRVDTPDLAASGVDPRPLREVPTFRDYTGPLLDLLAALPDGDRVVLVGHSLGGLNIALAAELFPDKVAAAVFLCAFMPDCAETRPSHVLEKFVEGKWLDWMDTEMKPQDAEGKLPMSMMFGPRILREKFIQLCSPEDATLTSSLMRVSSLFMEDLAVKPPFTKERYGSVRKVYVVCKEDYAIVEGFQRWMVENNPVEEVKEIVADHMVMLSRPKELVQCLTDIAEKYA >DexiUA01G0017880.1:cds pep primary_assembly:Fonio_CM05836:UA:38067093:38070476:1 gene:DexiUA01G0017880 transcript:DexiUA01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALTFGVIASPESNVCYDPSGRLLLAAALDRLAAWDLKRGLPSVSFAPSSSSPSLAVSCIASSPSAAVSSSIASGHADGSIRLWDAETGACEATLHGHRSAASAIRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVSCSKDKFIRVWDLETQHCLQIVGGHRSEIWSMDVDPSERFLVSGSADPELRVFRIRQSVEEGEDWSKWDVLKLFGEIPRQSKERVATVRFNRSGSLVACQVAGKTADIYKVLDETEAIRKAKRRMHRKKEKASAKSIIAEGNGTVIDPLPAQDTQNPTVIVTDVFKLLQVLRASKKICSISFSPSNPPRGCLATLSLSLNNNTLETYSVDSEKVGKMYSIEMHGHRSDIRSVSLNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSGYGLCSAFVGNRFALVGTKGGTLEIIDIASGSLTEVIEAHADRAAKADTAVILIVASVRLVIIRGVMVMMMT >Dexi1A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:1A:26811494:26812731:1 gene:Dexi1A01G0019840 transcript:Dexi1A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFEDAEDLWSLVSGRESLVDKKRRWLESMILKPDGRSGSAKRPKFLTDAYLPESYIRSEEFIS >Dexi6B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:6B:20346971:20351225:1 gene:Dexi6B01G0012660 transcript:Dexi6B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNHTEFLCRMKEYMPSRSVFTDGVITALGEQFSVQPTDLETVLSNDEEFVPSTKLLSQQDLEEIVSSKGSSSLGVQEVEA >Dexi9B01G0029170.1:cds pep primary_assembly:Fonio_CM05836:9B:31746379:31749792:-1 gene:Dexi9B01G0029170 transcript:Dexi9B01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLAVEAAGAAASSASASVLNGAVDWWRDVNESPLWQDRIFHALAVLYGIVSAVALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSAVFVLRRNVQLVQPEIIQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINGVVYAIQIILWLVLWWKPVRVMVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLVWMLMTPRNLSILIHFKKTNSFSGLLIEFTYCAQLVEIVPSALVLFILRKLPPKRGITQYHPIH >Dexi2A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:2A:31926896:31927849:1 gene:Dexi2A01G0019760 transcript:Dexi2A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAADEVVFDAPEHFRIYKSGRIDRFHRPVLVSAGDEDDTTSVASKDVVLDAGTGLSVRLFLPKRQEQPPSKKKLPVLVYFHGGGFFIESAKSATYHNYLTSLAAAAGVLAVSVDYRLAPEHPLPASYDDCWAALRWASSAQDTWIAEQADVSRVFVAGDSAGGNIVHDVLMRASSSSAEDAPRIEGAIMLHPFFGGRTAIDGEPERAVAITEKVWKFACPDAADGADDPRINPTAPGAPGLDGLGCERVLVCAAEKDWLVPRNRAYYDAVVASKWPGSAAWVETEGEEHVFFLHKPDCDRAKALMDRVAAFIAGA >Dexi9A01G0027520.1:cds pep primary_assembly:Fonio_CM05836:9A:32171906:32174616:-1 gene:Dexi9A01G0027520 transcript:Dexi9A01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRSPWKLWWTFIFLCCSSSSSSLRHPVSANSSRGVITHLPGFQGRLPFDLQTGHVDDSNGVRLFYYFIRSERSPTDDPLMLWVTGGPGCSVLTALAYEIGPLRFDLNSYTDGVPRLVHKEDSWTKVSNVIFLDSPVGTGFSYSGTEQGYQSSDTKAVNQILFFLKKWFDEHPEFLANPLYIAGDSYSGKIVPTVTSEIARGKEVGSEPNYNLKGYLVGNPVTDSKFDKPSKIPFAHGMGLISDEMYETAGYMMSTTWANNGKVREALGIHKGTVPSWSRCDFDISYAYDIPSTVKYHLDVTTRGYRSLVYSGDHDLVVPFIGTQAWIKSLNFSIVDEWRPWFVGGQVAG >Dexi9B01G0038810.1:cds pep primary_assembly:Fonio_CM05836:9B:39708077:39708449:-1 gene:Dexi9B01G0038810 transcript:Dexi9B01G0038810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKSDGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFTDTERLVGEAAVNQAALNPTNTC >Dexi2B01G0030940.1:cds pep primary_assembly:Fonio_CM05836:2B:39167100:39168566:-1 gene:Dexi2B01G0030940 transcript:Dexi2B01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESSRHDNLEVVFQDQSVKPCDFRLEYLMNITDNFSDKRKLGRGGFGVVYKCRNNIVSDYICQMIFLSYVEESRGLDWEKRYEIIKGICCGLKYLHEECLINGSIVHLDLKPENILLDVNMVPKIADFGLSRLFNDQKTRTCTTHRLGTIGYMAPEYLYQGIITTKADIYSFGVIIIEVVSGRKIIPYATGNFCIDFEL >Dexi9A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:9A:7176484:7188563:1 gene:Dexi9A01G0011450 transcript:Dexi9A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSSPDGSNSPRTPTSAPGSASAASPASSSSSRSDKKKTKSETPRKRDKLFGSAAAAPKSAASSASSSPAAGDGRKGSVAQFRDASGGGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLSRGQVQAEPSAAPAGVVGGRKGVSSWADSSSTGSSSRGKGKTAELPRSAAGTSFGAEGKSAVKAKPSALRNHSGDLRTPTHIPEAACAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAVFAGDLVSVMEKYADSHPEWKETLEDLLLLARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVIDQRDKIIQSADRQILAQPGVDDRTSRASKSDARKSYSQEQHNLKWKRSQEIKPVKLLPPLDTDVKKEADSPTKERISSWKPFPSPVIKPPKESTPTKSESPNKKADPHSPVSSHVELSSPVESIPQQQLPAKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVEDHSRVCAVADRCDQKGVSVDERLIRVAETLEKLVESYSQKDLPNAAGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADNTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPITTPRSNHIDMLLAGKNAINESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIINRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKVWRHAHDWWSVGVILFELIVGIPPFNAEHPQFDSVAVAASQGLLDILKISIPYTIFDNILNRKIPWPHVPEEMSLDARDLIDKLLTEDPHQRLGANGASEVKQHPFFKDISWDTLARQKAAFVPSSDGAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNRQDDMGEDSGGLNEFESSANVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPPRSET >Dexi7A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:7A:17923602:17927208:-1 gene:Dexi7A01G0006550 transcript:Dexi7A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLSNLHVARFVPTTSFRPLAGVDHRNRHAVDCRHGRVVFFDYGELSSFVVWDPISGDVKHKIPDVPDVLTQPAVVSASAAGDGTGACDHRGCGGGHFVVAFAGVENIYEDDYYDAHACYYASDTGEWSVHINIHLDHGKYHLLGRAAALVGGALYFVGESGFLLRYRYGLLRRLGRRSIFSAGVREADVLSVIEPPALGKKRLRDVIVMAAEGGGVGLASMSRNRRVTLWAREETTTTTSGPAGVGDNGGQWMKSRVIDLKKLVPPGSDPKRGPCLSCVTEDGGVIYVGSEDGVFAVEVKSSQVKKVYETGKVDILPFASFYTESLLI >Dexi4B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:4B:2223285:2226113:-1 gene:Dexi4B01G0003250 transcript:Dexi4B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASKRSLPSWVGSSKEGEDDSSKKKQAGTSKKAQKGSDFSKLLDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFSNTPKFRQVQADNGTIISKDWISECHKQRKLVDIEPYRMHAGKPWRKNKEPVVSDEDQKETRKEHKKQVQRSHLKSSTSATIEDGHSDTGNKSFSPSKIKQWAMDDLAQTVSWLESQEEKPGPSEVKEIAAEGVITCLQDVIESLEQGNGIKGVAEQWSFVPHVVNELLKLDESRKDASLPKEQISQLAIKCKTIYQAEFARMDSDEKKGKKRQSDLP >Dexi4B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:4B:22642219:22643179:1 gene:Dexi4B01G0020460 transcript:Dexi4B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSICLQSRRKTREPKEENVTLGPTVREGEFVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Dexi2B01G0035940.1:cds pep primary_assembly:Fonio_CM05836:2B:42872921:42873514:1 gene:Dexi2B01G0035940 transcript:Dexi2B01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYGRGGGGYGSGIVTPGYAPAVPYGMSGVHVEGKGCGKPLPPQPTVKVYCRADPNYAMTVRDGKVVLAPANPRDEYQHWIKDMRWSTAVKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPDFLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALHGDKWHGGVRDGTEIVLWKWCEGDNQRWKIQPYY >Dexi4A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:4A:24217244:24217714:1 gene:Dexi4A01G0020530 transcript:Dexi4A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVARHQAESVQELRVRREEEMVVVRVRLHNRLRHPARRHPAAVVESPPPAAAAATPPKTKTTTSSGASSRDATCLGLAVTDLTEVEANGAGAERVGLRLPAVLLEGGAEAADEGVEAAPSLLERARAWRGG >Dexi3B01G0038220.1:cds pep primary_assembly:Fonio_CM05836:3B:41043531:41044284:1 gene:Dexi3B01G0038220 transcript:Dexi3B01G0038220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAVSMTQTYLLELVI >Dexi9B01G0035100.1:cds pep primary_assembly:Fonio_CM05836:9B:36867067:36869826:-1 gene:Dexi9B01G0035100 transcript:Dexi9B01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLAGVSGSPSAAAAAAALLLRPALTRPISTGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDSTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVMHIAAAVEINSKFIPTCSRWNCSWNWLEH >Dexi9A01G0024000.1:cds pep primary_assembly:Fonio_CM05836:9A:19724467:19726099:1 gene:Dexi9A01G0024000 transcript:Dexi9A01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENGNQELKSDLKDLYINSAFQMDVAGSRKAVVIHVPYRLRKAFKKIHARLVRELEKKFSGKDVVFVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGSKIIKIFLDPKERINTEYKLETFSAVYRRLCGKDVVYEYPVTETA >Dexi5B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:5B:9273927:9275898:1 gene:Dexi5B01G0013060 transcript:Dexi5B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALAFLPRRRPFSSPCFLLCFLLGFVAGLFPFAHHHLLHLDLHHDLPLPGSLPPPALDRVAEAAEEREPATLIVVTPTRARPMQAYYLHRLAHTLRLVPAPLLWLVVERGAATRETAALLRGCGLMYRHLASRRGDAPPDARRPPVRGLRQRNAALDHIEHHRIHGLVYFADEDNVYSLDLFNQLRSIRSFGTWPVAMLGVGKSKTLLEGPVCDSSRVVGWHTNERNKRSRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVREGFQETTFIEQLVEDETHMEGIPAGCSKIMNLNLRLEDKHIVYPKGWQMTENLDVIVPL >Dexi5A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:5A:24697904:24698747:1 gene:Dexi5A01G0020830 transcript:Dexi5A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQWYDVFFICPGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGAASCSSTGGDPHQYAATGRAIAGEDHHHHQEGDDDDHEERGALLEEVQRLRKEQAAIGEELAQMSRRLQATERRPDQLMSFLARLADDPDGVTRHLVEQAAEKKRRRMGLSAQPIVSPLPRPLPPAPPPPPPVVHHHHPMLAALGGGVVGCDEWQWAEQKPAIVLPSFEPTTPSYCGVQQVPDFGGGNGGGGMGLIADDTAVETPFPFCLLGQGFF >Dexi9A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:9A:16272863:16273242:1 gene:Dexi9A01G0021360 transcript:Dexi9A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMRLAALVTNGCADLRAARPLVLASVLGTGAQVLQLLQQRVVRRVTGGRLHHGLTPALEYPSGLRVLAALCRRTCSRHVLDGSHADTLPLNFL >Dexi4A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:4A:8507210:8508300:1 gene:Dexi4A01G0010640 transcript:Dexi4A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGVKKGPWTAEEDQKLVGFLLTHGHCCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEEQLVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIRKKLLRMGIDPVTHLPLHNEPLAPAPPQDQPDHPPPLKEQEPQNDGGEHMQEDAGEVDLPPVTQPHDITTEVPIAAAAASNCGSVSSASGGSASVVSPSCSSSASASAPAASGVEATEWPEPMYLFGMDGIMDAAWDGLFPGAGGGMGVDPFDGYPSGGFDQDDDWM >Dexi8A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:8A:12453382:12454457:1 gene:Dexi8A01G0009420 transcript:Dexi8A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSPFVYGFKCIVIGDTGVGKSCLLLQFTDKRFRLAHDLTIGVEYGVRIIPVDGKPTKIQIWDTAGQEAFRSITRSYYRGAAAAVLVYDITRRETFNHVTSWLEDAKQLASPHLTILLVGNKCDLDNRRVVSYEEGERFAMEHGMAFMEASAKTAQNVEEVSVYVEPLFRNAAFHRIFDPADKDLIIYLEDHDESGGVADTFKGGRCCS >Dexi7A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:7A:7059439:7060797:1 gene:Dexi7A01G0002020 transcript:Dexi7A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDREKEREAELESAMYTNCLLLGLDPAVLGSPSTPATRVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKAISFLLDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPPALTDVSYLHAAALLPVTKARMTNS >Dexi8A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:8A:2850537:2850920:1 gene:Dexi8A01G0003740 transcript:Dexi8A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMEENGRWVVWILQARCVRSEHQCRRLLPPPQPCAVRTQAIKDGHGAVWRWRGYKEEKEGSGGTSRSGGGEGHCRSGGGGETAYGKVEERCQRGGEGRRRPGREAATSEVVRGTPNEVVSCRPEG >Dexi9A01G0031260.1:cds pep primary_assembly:Fonio_CM05836:9A:36149390:36150719:-1 gene:Dexi9A01G0031260 transcript:Dexi9A01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKIVNRDEITDAAMSMFAGNYIDIPESYIRTDEVIADEVIGKDEAYELPVVDMARLFDPDFSAMEIQKLGYACRQWGFFQLTNHGVDEVVAQLIKDNTVEFFSLPLDVKNRVAVLGKGAGLEGYGHHYSRAPGDKKDWAESLILITQPVHERNMKLWPTSPPTFRDALATYAVEMTKLARRLLCFMAADLGDEEGELVEAFTGKRQSMAMHYYPPCRHEGKVLGITPHTDGLGLTLLLHVDDTPGLQIKKDGRWYPVRPLPGAMLVNIGDVLDILTNGAYKSVKHRVIPDAQRGRTTVVFVEEGTVEEGMVTPLPGLLKEQEPRYKSIHLDDYIKGILKAVPEGIRFANTLKIQHDDVST >Dexi7B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:7B:1912707:1914140:1 gene:Dexi7B01G0001030 transcript:Dexi7B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLESENLVANVAAIDQASALIAGGKLPEPVLLRRLIIGLASNMAKPQHENVIKLRVQSTLKAIMVAVMSNQMNMDPSACGILSLLSLVPGFTFAIVRAYCNLLEAFPPQSTPEICRVVLILETLKQISMRMVDHPRFDDMAMDVLGALKIPNLDVRKVVLNTAVSFLTPVNVGDVLRLLMSELDLATADIPIEYRQMLQEAIGECHPVYSESIMQFILDPKYLVFSDCIRYIKDIIDCNPLLRAQLQKSLLRALRHVKSSPVCAAALWGISMCSESLFETRGAMFVISRLFDDLLDRYYVEKLIRGGGEVEFETRDCYGVKEGEHLQQCLMEMEELLFVHIGLRRQPDGSYAIASSSKNSASSEDVPSLNYTDNLTFLVQSGDVLLADFVENMLSKIL >Dexi1B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:1B:26763873:26765016:-1 gene:Dexi1B01G0020680 transcript:Dexi1B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGIYHFLKVLGVTAKSLHERSKLRRDNNRVKDLDNTAAIEDQRRDEVFNRDHIPPWLAYAGYATLTILAAVAIPAMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGKLALFVLASWAGRHGGDGDGVVAGLVGCGLVKQLVLISADLMHDLKTAHLTLTSPRSMLAAQAVGTAMGCAVTPLTFLLFYRAFDVGNPEGYWKAPYALIYRNMALLGVQGFSALPRHCVSMSVGFFALAVLANLVKDVVPSRYGRYVPLPTAMAVPFLVGASFAIDMVVGTVVVFAWQWVDGGEAALLVPAVASGLICGDGIWTFPSSLLSLAKIKPPICMKFTPGS >Dexi7B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:7B:17894159:17899376:-1 gene:Dexi7B01G0010600 transcript:Dexi7B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLLLPFLLIAFASGTCDGDGAGQTPISRRSFPEGFIFGTSSASYQYEGGVMEGGRGPSIWDTFTHQHPGISNGDVAVDSYHLYKFFLIILPARSYYMLFQTTNMNMSPLIHMGLATKTQEDVRLMKDMGMDAYRFSISWTRILPKMTIRTMLKSASKSSVTESNTGSRSMNLGASAQQGMHLAYLHQVGVRHGSRASAALGIQERSLTVYVIISYSPMQKQFAYTERSTRFMDPLIGGDYPLSMKGLVGNRLPRFTKEQSEMIKGAFDFIGLNYYTTYYAASLPPSNGLNISYNTDSRANLSGVRNGVPIGPQGASPFIYIYPRGLHEILLYIKENYGNPAIYITENGIDEANNMSLPLHEALKDDTRVEYHSKHLLALLSAIRDGANVKGYFAWSLLDNFEWVYGYTVRFGLNFVDYKDGLKRYPKKSAHWFKDFLQK >Dexi6B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:6B:167957:168970:1 gene:Dexi6B01G0000270 transcript:Dexi6B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKYFEWRIWASLVPIVGGILLTSITELSFNTFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPAIVLEGSGVVSWLYTYDSVAPALAIIVTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQSAAAPGPRTPRGRMEMLPLTSEKQGDKI >Dexi9B01G0041620.1:cds pep primary_assembly:Fonio_CM05836:9B:42070918:42072598:1 gene:Dexi9B01G0041620 transcript:Dexi9B01G0041620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRRWRWSLVVVSTAALLERADEALLPAVYREVGAALGASPTTLGYLTLCRSLVQAVCYPLAMYAAARYDRARVVAVGAILWAAATLLVGVSGSVLQMALARGSNGVGLALAVPAIYSLVADYSSDATRGSAFGWVLMAQTVGYIVGTCIGLLLAPKSFLGVPGWRLAFFVVALLSVAIAALVWAFASDPRPSVRKDAAARTATLADLLREAKDVARVPTFGITVVQGTIGSIQWSAFSFFAMLMELIGFTHLQTSVVTGVSSLANLLGMVCAGHVGDKMAQRFPRAGRIAVGQVLTAATIPLAAVLLLAFPNDPSFWGAYAVACFAFAFVVCWYPVSTNNPIFAEIVPEKARTTVYALGMFIGSVFSSFGSPLVGILAERVFGYQSDRTPGKSAEADRKNATALSKAMCSEIAVPIGICCLMYTALYWTYPKDKQRAQMAALHDQDGDGEASVVDDASAAHGSDHHPLLPGTEPGNNKV >Dexi2A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:2A:23490119:23494317:1 gene:Dexi2A01G0014310 transcript:Dexi2A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESWVQDWKHTSAPQSVSGNEASTARRSRQRMISFFGQNHSPCTAGVPNIVLFCLLQGPLLQLHSVQMEEYLHPHSSGDHTVKIIDCQTRKCLKVLQGHQRTPWVVRFHPLRSDILASGSLDCEVRLWDAKTSHCTGVLRFYRPIASIAFHANGELLAVASGHKLFILDYNNRDEAVDPPMILKTRRSLRAVQFHPYAAPYLLTAEVHNRDSEDSAMTPALLSNYAFRDIPLLGRSGVDNLITYIHNFEQLKLDTLFAYLKFPTTCTAVKWLHEQYDSTGAGTSPTRHTLPSRQIVYELRVYSLEEATFGTVLSSRAVKAAHCLTSVQFSPTSEHILLAYGRQHNSLLSTVLVDGETSVSLYTVLEVYMVYRVSDMELVRVLPSAGDEVNVACFHPSPGSGLVYGTKEGKLRFLQHSGANMGLNSSTGDNIHEYLE >Dexi8B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:8B:26296798:26304833:1 gene:Dexi8B01G0015520 transcript:Dexi8B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPEEFFAKGLMEQSPPSPPVFLDIPQKPSGSSDSQHQVPDNMMLPHILRVLFEDENIDDKLSDDPALLQGTTYPLLITMTTTPEEFFAKGLVEQSPPSPPISLDIPQNPSGSSEGRHHIPDNMMLPHISRMLFEDDNIDDNLSDDPALLKVQQPFAQILSCPPFGANIRNMEGSNDLLQDGCCEETAHDLVLSKSSEVVQAFLKGMEDANSLLPKDNLVDSIVTKSTCHSGAKKRYNIDDHHEEARTSKAIMTMKEAENNSANDIIDEMMLHAYQTCIRGMDKLCVTMENKNRKCSGRKTSRDDVVDICTLLISCAEAVAGNDHMRARELLKKIKKHASETGNATQRLAQSFTKGLEARLVGARGQIPQSLIIGRPSYVDFLEAYNLYFTACCFNRVAFIFSIMTIMKSMVGKNRLHIVDYGMHFGFQWAGLLRLLATREGGVPEVKITAIGPPKHKSYPAERIEEIGCRLRKCANKFGLPPFKFHTVMKKWEDVSIKDLNIDIDEVLVVNDLFNFSSLMDESVFFDDPSPRDIVLNNIKKMKPDVFIQGILNCSYGSSFLSRFRETMFYHMALFDILDATIPRESKSRLVLEQFVLGSCAVNAIAFEGVDLVEHPEKYRQWQARNQRVGLRQLPLKSRIIEVVKDEVMKHHHKDFFISEDGQWLLQGWMGRVLFAHSTWVAEDSDSR >Dexi8A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:8A:28639368:28642582:-1 gene:Dexi8A01G0016960 transcript:Dexi8A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVVVLALVLAAELGAVRGELRQLRDQAPPGSRPHSVTITEFGAVGDGKTVNTVPFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLYLEKDAVIIGSKDSSQWPIVEPLPSYGRGLDRPGSRHRSLINGYNLTDVVITGNNGVIDGQGLVWWQWLRSHELNHSRPHLVEFLYSEQIVISNLTFLNSPAWSIHPVYCSNVKIHNVTIEISLDAPLTDGIVPDSCSNVCIEDSTVSVSQDAISLKSGWDKYGISFGRPTSDIRINRVDLRSSSGAALAFGSEMSGGISNVHVNHIRIHDSYKGISLKTARGRGGYIKEVAISDVKMENVHIGIEFTGNCSSHPDESFDPSDLPVVNQITMKNLVGTNISFAGALSGIDGSPFTAICLSNLNFSMAADSASDSWSCANISGYSETVSPKPCAELRDPSSSSSICFSLSSYSAIAVA >Dexi9A01G0039570.1:cds pep primary_assembly:Fonio_CM05836:9A:43489175:43490273:1 gene:Dexi9A01G0039570 transcript:Dexi9A01G0039570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASSSALLRRLRRSSTVASSSVFRATFCSSSGSGPSQSAQPPSSIFGDDTEVANVPPLTTPKLFVSGLSKLTTDERLQGAFAPFGRILEAKVITDKVSGRSKGFGFVKYATIEEAEKARQEMNAKFLDGWVIFIDPAKPRQSKPAPQQDTRSSHAGFTTNKTVGWCG >Dexi9B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:9B:13848178:13849104:-1 gene:Dexi9B01G0019240 transcript:Dexi9B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHAATVASLLALAATAGAVTFDATNTASNTPGGQRFDQAVGLDYAKQVLSDASSFIWGTFNQPSDADRKPVDAVTLVVEDIDGTTHVWQWDGQGQANGGLIEGIADYVRLKAGYAPGHWVQPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKSVQQLWQDYKAKYGG >Dexi3A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:3A:9033460:9034999:-1 gene:Dexi3A01G0012440 transcript:Dexi3A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSCLRLATLLAALLSAAASLNVGFYNTTCPSAEVIVNQTVAAAFGNSSEVAPALIRMHFHDCFVRGCDGSVLIDSTPNSTAEKDAIPNNPSLHFFNVIDSAKSALEAQCPGVVSCADILAFAARDSVVLTGGIGYQVPSGRRDGLVSLANNTVDLPPPFFNATQLVQNFANKNLTLEDMVVLSGAHTLGVSHCSSFAQSPLADRLYNFSGTGGADPSLSAAYAFLLKSICPSNSSQFFPNTTTSMDIISPTKFDNKYYVGVQNSLGLFKSDAALMTNATMAALVDSFVRNEMTWKKKFAKAMVKMGNIQVLTGTQGEIRLNCRVVNPTSSAAGVHQVVAGSGSSGFTGVAAS >Dexi8B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:8B:8968893:8969803:-1 gene:Dexi8B01G0007440 transcript:Dexi8B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASQAVVKPTDAELLQAQADLWRHSLYYLTSMALKCAVELGIPTAIHNLGGTSSVEDLVTSLSLPQTKLPFLRRVMRLLVTSGIFASDSSGDKETYRLNPLSWLLVEGVDHEDHTNQKCFVLGTVSKHYVEAALSLADWFKKDLAPPIPSPFEELHGVPLLDEKTPLLDSELHNIVVEGVAAHDNLAIGTIMRECHDLFRGLESLTDCCGGDGTTARAIVKAHPHIKCTVLDLPEVIKTAPADGVVNYVAGDMFKSVPPSQAVLLKAKAY >Dexi4B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:4B:13756882:13758433:1 gene:Dexi4B01G0013740 transcript:Dexi4B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQGQMVLRFLVRRDKRHWTAEEEKVLTDILYEMNGSGWKVDTGHKSGYLSFIKKELAKRLPNAYIKADPHIQSKVKTLKKLLSDVLDIQQYRSGFGWDDERKMVIGDKDQFMDWVKSQPGAAALYGKPFLYFDKLFEIYANDLAKGAKAKGPGDQFEVHEELSSADVTESTQQINSAVGSLTTTMTR >Dexi9A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:9A:1178796:1182001:-1 gene:Dexi9A01G0002220 transcript:Dexi9A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHRTVEANGIAMHVAESGDEGSPRGVVVLLHGFPELWESLAARGYRCVAPDLRGYGATAVPPDVASYSAFHVVALLDALGLHKVFVVGHDWGATIAWYLCLFRPDRVTALVNISVAFMRHVFIRAGVGATIKPSDYLNRLYGPTYYICRFQEPGVAEKEYSPANARNIMRRILSMRFTPESSGGKKPEAADADEDKPLPPWLTEADMDYFVSEFERTGFTGAINYYRNMDRNWELAAPWADAKVQVPTRYIVGDGDLTYHYPGIQDYIHKGGFKVDVPLLEDVVVIPGAGHFIQQEKADEVSDHIYDFISKF >Dexi6B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:6B:15414857:15415125:1 gene:Dexi6B01G0009760 transcript:Dexi6B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIKKKKKKKKKKKKKKKKMMMIQLMKRREEEAQLQVHGLRREKERVKKQAQAALYKEVLEKMMHNKQELEAEKKRDKEEKWKEL >Dexi5A01G0039910.1:cds pep primary_assembly:Fonio_CM05836:5A:40283397:40283967:-1 gene:Dexi5A01G0039910 transcript:Dexi5A01G0039910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVAARGGCCWRAITVASPQERHPPAGGGGAAAALLLPGHGGESVSSMSVKLPPKVSVSRNARSSSSRVVPVITTRFSLLMSSNKWGSIGLKEKELLHMPTRSSTAGITSLSTCTDANT >DexiUA01G0005290.1:cds pep primary_assembly:Fonio_CM05836:UA:9448435:9451843:1 gene:DexiUA01G0005290 transcript:DexiUA01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACACNPGFERDFKRWARQQTKSTGGCPCIVGDMGRRTLTCRAHRARHERHPSSGVRRTHYAFQFHRSSSTWPVMPDAASRQANCARSLRGEASSHGKPRLGANLRAPPKPAASSRADHGRHVRAILTAHVHHPSTPIGSSSISHFARIFPAFNSAEAATPRRRARRYPRLQASFGSIRTSPRPPRQLPFGALVELRFRATSPAARLPDSLHRNPLKLTGPSSPGSITASRAPPLAVAHPSTIHLQPRDRRQSTRGEPLTVLPHFPRLLSLAFGRKTHRQEGEVNILIAEQAPPLEPETRAEQEGKHRSMT >Dexi6B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:6B:25638131:25639695:-1 gene:Dexi6B01G0018690 transcript:Dexi6B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSHHSPLLKMPAALTNGQSPNLSSLLTFYGQSHGQGAPANANAASGTAATMAEDASLESSSAVVDTSPQGSPSPMDRKRKATEDSATLSSAQSKDCKQESKSKRGKRSHKGSEEKSTTDDEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGLDSDGLHDHAQKMGGMFQEGLAMPGPVLSQASPAPSQTGMDTTFTTPYSLQGQQGAISFSQDNGSSYLMQQAVGDRQELLNQLVFNMCSFQ >Dexi2A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:2A:32205243:32209348:-1 gene:Dexi2A01G0020140 transcript:Dexi2A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPAQHRAPLPPQPCKDATCTTHTQAGRGCISASTSRLLRNPVVVINFVLIVFGSGAAPLFLRAYFVHGGARKWLSASLQTAGFPILLVPLCVSFFSRRRKKASGDGDGAPFFFLMTPRLLAASVGIGLMAGVDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRLTASSANAVALLTAGAAMLGINAGGDRPAGVSRAQYGAGFAMTLAAAALFGLMLPAMELSQARHAARRGGGAVTYTLVVEMQLVIGLTATVFTVVGMLVNNDFHAIPGEAQDFGLGRSGYYLLLAGTAATYQCFFLGTIGSIFFGSALLAGVITTTLLPVTEVFAVVLFHEPFSGTKGVALALSLWGFVSYFYGENQTSKANHQSENPPDVEHLDP >Dexi3B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:3B:12483329:12484874:1 gene:Dexi3B01G0017010 transcript:Dexi3B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNSGSGDSMEWGRGRSSGSRKGKKSANSSSDKPRQPQRGLGVAQLEKIRIQSEMAEYLHHPLGQPPPIHRTGSFNLVIQEEPRMMSHSLPSSPSSPFHANTGVSSSYPIHRPNLAVHVLNASVYFNNTSMAYGERSRDIRYGALHTNPIISMDLFPICIFRPPNYHRAIYGSEAQYTHPSNVTLPLFEPEESICLNRPYDLNQTVNSSDLDDQEVDLELKL >Dexi9A01G0031710.1:cds pep primary_assembly:Fonio_CM05836:9A:36673753:36674381:1 gene:Dexi9A01G0031710 transcript:Dexi9A01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVEALLDPTALSLALPPPALKKEDYLAICLAALAGTRKFGLRKEQHHEAAQPQELPFRCAPPQAAYGGGGAAAAAAAQAAASTGGELDETTASTGGSSGGGPHRCTICRKGFATGQALGGHKRCHYWDGMSVSNSVSVSAWASGTGSSAVTVRNFDLNLMPVPETSGMNRWVEEEEVQSPLPIKKRRI >DexiUA01G0001790.1:cds pep primary_assembly:Fonio_CM05836:UA:4716674:4721170:1 gene:DexiUA01G0001790 transcript:DexiUA01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPQPPPSAFSSSASSAAAAAAAAWWRDNMHPAAGYGGGAASWPPPPARWPQTMAPAPQQQHHHHGRTTTTSSGDDLSASNATITSFTNTSTNHSGLSMDSSVVVPGADHHHHAAAAAVAAESHLWSQVLMGAAGGEVGRSMQQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYSSSSHGGGGGGWPDHHQQFTAAAAMEMKHLSSGGGYGATLSHHHHHAAAPERLTANLSDLVSNWSIAPPNPSLAGAAAACDKNEMGGGGHGGAMLHEAAGGGGGQEFLRPTAGYSSMLGLSSSSSRMYGGGAMEVPWGNNAGTARSLSDLISFGGAPPLGKTEQTAAATATKAQSEYNKKQGQEISSPGKTSSGGGSKGSSEGKKKRSEEQQGSDGNTKKSKNEASSPTSSLKASQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKSEAEMDLRSRGLCLVPVSCTPQMYRDNNGPDYWTPPYRSCLYR >Dexi9B01G0027920.1:cds pep primary_assembly:Fonio_CM05836:9B:30461514:30465609:1 gene:Dexi9B01G0027920 transcript:Dexi9B01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSQANSSSTLSPSSPSAEKGVVSWGLRAPRRDGKKDKGAAMQVKQKMYGFYKEAMERVTSARTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKSYLPADKQFLVTRNVPCLRRAISVEEEYDAAGAEVVLDDDDGDGEGWLATHGVQASKADDEEDIPSMDTLDIGKSDGIKSIPTYFTGGKKEEDEEEDIPDMDTYEDTGDNLVAAEPSYFVAEEPEDDNILRTRTYDVSITYDKFYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLLAGKHASVHPCKHAAVMKKIVDVLMSQGVEPEVDKYLFIFLKFIASVIPTIEYDYTMDFDLGSTS >Dexi1B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:1B:17865860:17867521:1 gene:Dexi1B01G0012970 transcript:Dexi1B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRERRTVFVTVGTTCFDALVMAVDSPEVKKALLQKGYTDLLIQMGRGTYVPSKFLNNYVAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLLCARPQTLGETIRAMDLGTLIPYVPGDAKTVVTLINKFLGFPDD >Dexi7B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:7B:1714125:1716630:-1 gene:Dexi7B01G0000900 transcript:Dexi7B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIPVRHRTAVEIRELKARAHDLGERRRRYDVTVPHMAVPVANGEVPVEEDEQEDARRRALANATEFLDGDVREVISWLVKELPSGHPQRRLRVIAIVRRQYQEDEYPLTRKVYEHPSVSSWFHLKAWINGVEKYMKRKETLQCILDQLPAAAAALDDHADKPSESIAAGGGGSYMDEEVQTVQLAKELKDRLKGKRFLIVAANDPYGKVRAEIESAAAHDLFAGGGDYYSPSPSGSAIIVTAWFPPRVPSPDVYRLKNYLHIDTLFHERAVALVGGDQCDSDQQKTIREILKKRGGNFFSMEMFLHALYVNPNRPKEQLEMLLDSMASGSLIATHMIRFCYNDLPKRYKSCLLYLSILFERSYLPSSSPQDFGVKRTSIVRRWVAENLITKRDGLVATVEAENCFAALMARGLVRPLDIGAAGKVKTCTVHHRVLSFITKMARDENLVDTDLAPDLACRLSIRNGISLQQLHLHKMKHVKGAKQSTGCCWSNNIREHPTPIEGSEDSKFSMDMDYTGAFLESLETSPPLRLVEVLDLEGFKGLKKHHLKYICDKVYQLKYLSIRNTDITELPKNIEKLRHLETLDIRQTKIQTLSSKAIVLSKLMHLLAGNVEHQRNNASTRLWHESYIQMRLTFMDEEFQNLKYLVVEGSDINIIHFENGAAPKLEKIAWAFTQLLCLSGVRYLPGLKEIELN >Dexi2B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:2B:12085450:12086662:1 gene:Dexi2B01G0010670 transcript:Dexi2B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCTVLLAAVVLLSLLVSPIDCTRKLSKPKPKPKPKPKHVSHRPASAAVKVTHKPAPGAKPHRNYTTTPSSPSNAYGSGGWLSGAGATYYGAPNGDGGEGGACGYQTAVGKQPFESMIAAGSTPLYRGGEGCGACYEVKCTTNAACSGQPVTIVITDQSPGGLFPGEVAHFDMSGTAMGAMARPGMADKLRAGGVLRIQYRRVQCKYPGVNIAFKVDQGANPYYMNVLIEFEDDDGDLNAVDLMEAGSRVWTPMAHNWGAMWRLNNGKRLNGPFGLRLTSDSGRVLVVNNAIPAGWKPGMTYRSVANYP >Dexi5A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:5A:20143174:20148890:1 gene:Dexi5A01G0017090 transcript:Dexi5A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRLLLLAAAAAFIYIQVRLFVTQSHYADRLAEAEKSENQCTSQLKSLIDQVSMQQEKIVALEEMKMRQDEERAHMKILIQDLEKRSVQKLVNKNVVPVAAVVIMACNRPDYLERTVESILKYQTSVASKFPLFISQDGTNGAVKKKALDYKQITYMQHYGLERRAEGWRHSRLGSVRRSSSAVQLGGGRRSRCVAAGFEVVVSDLRALGVDSKSSVLDSRATMLDLVVRSSPNSLFLLHLRSNSRREDSASMVARRARSHGAAISSPLVAQRAWLRGAVRRAQSRLDLPAWRRNPMGKKDAWRACGGRTERGKVGADPYSPVGEGALDGKHVDLEPVRTERPGELTAYYKIANDMEIAPDFFDYFEAAAKLLDSDKTIMAVSSWNDNGQKQFVNDPNFTYWDDWVRLKEVHGNRQFIRPEICRTYNFGKHFVVGYIKLCLSHRHFHFGIMLSLQGSSLGQFFEQYLEPIKLNDVHIDWNSEDLSYLGEDNFVTKFGKEVASAIPLHGSDAVLKAHNMAADVRIQYSDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYNSSPRRIFLVSPDSLHQLGV >Dexi9A01G0036380.1:cds pep primary_assembly:Fonio_CM05836:9A:40841580:40842300:-1 gene:Dexi9A01G0036380 transcript:Dexi9A01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPSSERGDLRFDTYAALVAIAVAAILAALFWRLYKLTVSARPQDMAHVSPGAGDNKGALRRRDVAALPVFVVHAAAVAGAPALECAVCLAEVRDGERGRLLPRCGHRFHVECIDRCFRAHSTCPICRAAAVGQTGVVEAHKVLGK >Dexi1B01G0030380.1:cds pep primary_assembly:Fonio_CM05836:1B:34379071:34393072:1 gene:Dexi1B01G0030380 transcript:Dexi1B01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVNAWFVIKNPKDHQIAPTSCVPFPFPTSPLHRQQQRGPSPSASTLRHRAAVRIRAATTEGGPHWRSSSSHRRRRRTPGPAWSRDPPGPGPFCCDGKGPPKLVWIPALLRAGSFTAPRNLSLLLLPVRSVAGLVRAVLVLDSGLLRKREREIREQHGAPAAQASIAVGSQVWVEDPDVAWIDGEVIKVNGDTVTVKCSNEKTVTVKASNIHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYVSYNTYTGNILIAVNPFRRLPHLYDTQMMQQYKGADFGELSPHPFAVADVAYRLMLNEGVSQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEERERYKLGDPRTFHYLNQSNCIKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGADGDSSKPKDEKSVFHLRTAAELFMCDEKALEDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINNSIGQDPDSKCLIGVLDIYGFESFKTNRQVYRALFCWCHHHSFISLWFLKEILTLYFCSLQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKHSILLQKPGGIIALLDEACMLPRSTHETFAQKLYSTFKTHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCAFVSGLFPLLSEDSSKSSKFSSIGSRFKLQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEISAVRRLLEKVDLQGYQTRCRQFLVRLHYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQEVQQQYKETKEMLVQEREAAKKAAEIAPVIKEVPVIDTELMDKLRDENDKLKTLVTSLEKKIDDTEKRYQETSKISEERLKQAMDAETKIVDLNMAMLRLQEKISTMESDEKVQRQALLSTPVKSMSEHLSIPIAPKNLENGYHEVEEQKEPQSAPPAIKEYGNGDPKLRKSSADRQLNEDDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNIKKELSSLISLCIQVPPVLAQKIFTQIFSLLLRRECCSFSNGEYVKSGLAELELWCAKATTEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSMQEKDFSDMKPAEELLENPAFQFLQD >Dexi9A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:9A:4846489:4847952:-1 gene:Dexi9A01G0008190 transcript:Dexi9A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPPRPRVMVLPFPAQGHVMPLMELSHRLVDHGFQVDFVNTDYNQARVDAALAAGGESAEAVHAGIHFISLPDGLGPDGDRTDIIKLGQSLPAAMLGGLEELIRAKNIRWVVADVSMSWVLDLAATVGVRVALFLTYSAAVFVLRLHIPKLIEDGIIDESGNARMNERIQLSPKMPAIAATELPWINVGKTPESKRALIQSAIKNNQSFALADAIVCNTFHEIESEALAFFPKEPLLIGPLVASKPTSAAHFWREDLTSLAWLDAQAPSSVVYVAFGSFTVFDTTRLQELADGLVLTGRPFLWVVRPNFANGVDEGWLNDFRRRVGGKGLVVGWAPQQRVLSHTSVACFISHCGWNSTMEGVWHGVPFLCWPYFADQFLNQSYICDMWGTGLRICADDHGIVTKEEIRDKVAWLLGDEEIKVRALSLKSRACTCVVDGGSSHQDLLKFVNLLQEQ >Dexi9A01G0030700.1:cds pep primary_assembly:Fonio_CM05836:9A:35663265:35663492:-1 gene:Dexi9A01G0030700 transcript:Dexi9A01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLLSWLHGTGALCGVEFFDAAQTPLLLAWAERFGALGAAKAVLPDVGKVVDFAKMRRAKQAAAEAAAPGAKN >DexiUA01G0022830.1:cds pep primary_assembly:Fonio_CM05836:UA:46583763:46584605:1 gene:DexiUA01G0022830 transcript:DexiUA01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGAAQMDGAILVVAATDGPMPQTREHILLGRQVGVPYIIVFLNKCDMVDDEELLELVEMEVRELLSQYDFPGDDTPIPFLLPIEDVFSISGRGTVVTGRVERGIIKVGEEVEIVGIKETAKSTCTGVEMFRKLLDEGRAGENVGVLLRGIKREEIERGQVLAKPGSIKPHTKFESEVYILSKDEGGRHTPFFKGYRPQFYFRTTDVTGTIELPEGVEMVMPGDNIKMVVTLIHPIAMDDGLRFAIREGGRTVGAGVVAKVLG >Dexi9A01G0048650.1:cds pep primary_assembly:Fonio_CM05836:9A:51384956:51388990:-1 gene:Dexi9A01G0048650 transcript:Dexi9A01G0048650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAAADATAMEGGPPELYGLHADMEFLGMRGLATMAPGAAHHGHSKAAVLDDDNGGGSTMQFLSAAGHQQQQPSHQAPLSLSLCGHDGGAVTTTLHEHLGGSSARHHQQQQAAAWMMQHEYTATPTQGLHAGAWHLRSSRFLVPTQQLLHDFCSLPVDDSKRAKASATTTKQLSSQQQEEGGEGSSASCAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYGEQMRAVAGGFEAVAGARAAAAYTALASRTISRHFRSLRDGIVAQLQAARRALGDKDVAVPGMTRGDTPRLRVLDQCIRQHKAVAQAGMMETHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKEDGGGGGGQHQGVNPNPSSSHASEAHGEAAPDHGVDNNGGGVDRKPTRAQLMHDAGSLASVVNIGGVSRLENLGIMDHLDFDAYGGGGGQQGFGGVSLTLGLQQHDSHDGGGVNIAFGAPPPPSHHHHHGYGLFAAAPGHQQMDGGGGAHPAGHQHVQFGAAAGIVDGDAPPDAGQEHYRSLQGAGFHLLRDLAG >Dexi8B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:8B:6994045:6995133:-1 gene:Dexi8B01G0006160 transcript:Dexi8B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTLSSSQPQPQPAQCPSSPTTEAKAEPPYVVEDCCGVLQILSDGTVVRFDPPPYPPGDAYDASRVEWKDVVYHPGHDLGVRIYRPRTDDDDDIVAGEEGTSKKRRLLPVLVFFHGGGFCFGSYSWPKIHLCCLRLAGELPAIVLSFDYRVAPEHRLPAAVDDAAAALLWLPGHMSSDPWLADDAPLSSRHVYVCGNSSGAGLVHHVLVRFGTCGNNLPGGQVKITGYILLMPPFLSEEPTQSELDTPETAVLSRKTSDKYFRLAFPVGADKDHPLSNPFGPGSPSMEPVDVSRLLVVAAECDLVRDKNVEYAQRLKAMGKDVELVMFAGQQHGFFVLKPLSPATDELIRVIRSFIAAE >Dexi1B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:1B:4966188:4966766:-1 gene:Dexi1B01G0006050 transcript:Dexi1B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPAELVPAAPEEPHAAAGGGAAGGGHDRDSVAAKRGRPRAGQGAGARILSVGVQAAVMAAAIAIFLLFAAASAVLLLVLVVAARSFRHHRGSRYRVPSLDPSPLRRAGLSPADLRRLPCFAFPSTPRGGSGGGDPTSCAVCLDAARAGERWRAMPACGHAFHAACVDRWLARSPACPVCRAAVAASAS >Dexi9A01G0023980.1:cds pep primary_assembly:Fonio_CM05836:9A:19622033:19622769:-1 gene:Dexi9A01G0023980 transcript:Dexi9A01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGSKTGFSEPIKRVIAEMEAVVAEPAEDGQVPKTPTEAVAQVLPKSKFLQNVGFEPVAPKRNAKSAVSACVQELEAEVELEKQGAAALRDELEILKLKAVESEDARQKQREEIEILKKQGEENRKQAEETNSLLRRLLSLKE >Dexi7A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:7A:21496083:21498223:1 gene:Dexi7A01G0010800 transcript:Dexi7A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWESVFAGDDGEEGGSSVGCFPRIRRKLSSRNSYAYTEDPEKRKGQGGAPAAAAEEEVVTVEVPEVQLRELNEITASFSGERLIGQGSYAKVYKATLRSGRPAVVKRLEKPSRYASNVVFLRQLSVASRLNHDNFVRLLGYTISNDLRVLVYEYATMGTLYDVLHGDREVLGQEAPEQGGGSRPVLSWIHRVNIALDAARGLEYLHEMVKPAVTHKDVRSTNVLLFEGFRAKVADYNMFSQAADMARLNRSEHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQAQRSLVNWLGRIAVQCLQYDPAFRPSMGTVARVINYAVLRDQQGVV >Dexi7B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:7B:21481145:21482911:-1 gene:Dexi7B01G0015420 transcript:Dexi7B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSVRLLVGLLLLRFDWCSAVNSGGPAEVSGAFPLVVSTWPFREAVRAAWEVVSASDGGGSAVDAVVAGCSACEVLRCDGTGGSPDENSETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMDHSFHTLLVGEKATDFAISMGLPGPINLSSPESQPLPT >Dexi6B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:6B:22287780:22289368:-1 gene:Dexi6B01G0014850 transcript:Dexi6B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGGDSWARDAQQRKRRLDDLMLPASSPSMPDSFRRLPNGKLACLVCPHRPVLDSPLMLSMHNKGARHIAAESRLREKELSKKHEINKRLALSSDASLNSGSLHTSIRPTMKEKPLIEETRRAILEAQSGRSSDPNGKKVSHDLNLMTSASSSDPLVAHSDVPMEKLTGNTGSILWNSSKGESFAGNQIRGNSDKLLSDWQTEARKRQEQELRFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLC >Dexi7B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:7B:18844743:18847095:1 gene:Dexi7B01G0011920 transcript:Dexi7B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTSARCLLLLVLVGAAARMASGIADGPLPNGNFEQGPDPSQLNGTRVTGQHAIPNWEISGFVEYIQSGQSQDGMVLAVPEGAHAVRLGNDASIRQQLTGLARRTYYSITFSAARTCAQAEQLNVSVAPESGVLPIQTVYTSSGWDSYSYAFRARHTTAWLTVHNPGLEEDPACGPLIDAFDIKTLDPPHREKGNLLKNGDFEDGPYIPPDSPWGLLVPPQDEDDVSPLPGWMIMSDTKSIKYVDAAHHAVPHGSYAVELVAGSECALLQEARTVSGRAYRLSFSVGDTGKECIQQLAVKAAAGYSSVVVTYDSQGTGGSKRAELDFTATDNDTRVVFQGMNHYMKPDGTLCGPVIDDVSLVTVRKPAARRLFM >Dexi3B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:3B:12003357:12007440:-1 gene:Dexi3B01G0016490 transcript:Dexi3B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRAGGGYESGSDHDGALQKPLLPNSGSWYRMGMGSRQSSLNAGTSSMAVLRESHVSALLCTLIVALGPIQFGFTGGYSSPTQDGIIRDLNLSISEAPALQSLMIAAIPNVIGWLAISFAKVPVYIAEISPQNMRGALGSVNQLSVTLGIMLAYLLGMFVPWRLLAVIGTLPCIVLIPGLFFIPESPRWLVLATGVTTTFLDRAGRRILLIISAAGMTLSLLAVAVVFFIKDNISHDSDLYNILSMVSLVGLVAYIIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLSWSSGGTFTAYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >Dexi6A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:6A:6801932:6804167:-1 gene:Dexi6A01G0006970 transcript:Dexi6A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRGQQVGGYERRRRRELRRAAERDWADGLGVDALLAIFHRLGHVDVLLGAAEQVCRSWRRAVREEPSLWRRIAMVGQEGIARRINRGGMACEAVRRSAGQCESFCGVYAGDDGFLVYLSEQAPCLKSLRLISCCGVTNEGIAEAVKEFPLLEELELSLCDNVAGGFQVFEFVGEVCPQLKHFRLNRNRFDYTEWNRNKDVRGIATMHGLHSLQLFSNHLTDEGLETILNNCPHLEFLDMRHCFNINMDETLLLKCARIKTSRLPNDPTDDYDHEVQSPIRPYIPQEPDWYSDCCYSCHTRHYDSFVCSRKKNYDSDDSGDDSDFYGKTWDEPHFYDQPSRYENDLDKYEKMLPFNMRTFLK >Dexi9A01G0032360.1:cds pep primary_assembly:Fonio_CM05836:9A:37245644:37246622:-1 gene:Dexi9A01G0032360 transcript:Dexi9A01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATMASPASTAARPKPHLLFGTRLRTRPSLSSSAKKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVRAPVGVILNRPSLMSIKEAAGSIFADDADIAGAFSGRPLFFGGPLEECFFILGPRRTAATGGDVVARTGLFEEVMPGVHYGTRETVGCAAELAKRGVVGARDLRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLAGVVKGGLWEEVQGLARLGGRGEEGVVTD >Dexi9A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:9A:15565183:15566798:1 gene:Dexi9A01G0020520 transcript:Dexi9A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAPAPAPPPPPAGLDARTGGRILRRAAGHLLHPASLPPLLLAALLLLFFRSALLAGTLRLASFADRDPALRSLLARLSPPAPPSPPPPPHHLPRRRSPFTSPSSSLSDDDVLVGPLDPAASAPSRRRNASYHRPLDPAASAPSRRRNASYHRVLFTSNSLAPKPYPVPLPNPIPASGSPFFLTVHNETAPPKPAVPRGNELRLLDLTRRDAAAIINLLALLSSAHVLAILGYIAVHSAALGAVFVSVAGRQVQGRRRGFLLAGAARGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASVNPWLAAAVAGPELDGFFVAWAVLDAVISVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLLKCWETVVCGSMGRLIMVTFGGKVLGGFLHAIAEVYFMVVWLLFYFAARCKEVRLGGRQFGLEDVAAAIDGFR >Dexi4B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:4B:15705298:15705810:1 gene:Dexi4B01G0014600 transcript:Dexi4B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNFPTSGSSPPPPPSSPSRTLFISLAACMFLISFAVLLVTVFLLCCRLRRDRSSALPGDRCGGDPFPVEATLPAFSYVVPEDGEGDQQGGSVRECPVCLGVVKEGEMVRQLPACSHLYHVVCIDRWLAEHRTCPIDAFDVGVGSSTGGPGGGRCTHPPEQSPV >Dexi9B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:9B:13885384:13886544:1 gene:Dexi9B01G0019310 transcript:Dexi9B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFHLREALPRILRSPSRLPSSSHAPHPHLVILHRFLHSSSSFAVEDYLVSRLGLTHEQALKAASKISPLRSSARPDALLAYLESTLGIPATDVGRAVVIEPRFFCAKVKTLAPRIADLHDLGLSRDEIARIVHLAPESFYTRLLRRKLEFWLAEFGSFDNLLQVLRWGTRASILCADLDKVVRPNVAFLRQYGLNISDFAGASVYNIRLFTMNPELLKEAVQRVEELGLNCGARLLRQTLPVVALTDKDALAKRIQLLHNIGFSKDDVLTLAKKQPNVLALSEQKIQGNLDFLMKDVGLEVSYIVRRPALLKYSVERRLLPRHILIKVLNEKGLLEGKQDYYVTASLAEKIFVEKFVHRFENHVPGFAEDYASNCLGEATDAKD >Dexi5B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:5B:6245535:6246491:-1 gene:Dexi5B01G0009160 transcript:Dexi5B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAPEVEATGGGSGLVAVGAAAARMELTVRIDMANLHCPRCDHPFKPPIFEFLCDGGHLACSDCHGQLPKNKCYACGQEGAYRRNTTMEDVVGWHKILCPYNIYGCQISVPYHESSSHRLVCPCAPCGCPDPGCTFAGSPPMLHDHLRDAHGWPVEKIRYGQPLVLRLPESQRRRLLVTEKDGRVFLVVAMGAPGECHEVSLACLRANATAGPRYSCKMWAMGNVVGPAGAQSVMMKMMEVPSLAATGEAAAAPLVVHRKLLHGASAEIHLIVRVDEVLP >Dexi3B01G0030020.1:cds pep primary_assembly:Fonio_CM05836:3B:29374046:29375131:1 gene:Dexi3B01G0030020 transcript:Dexi3B01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTTQRARPGATAAAERKKRREMARAASAIAAGEVSSPPPLAVVNNDKTTRYEKLEAIGAGAYGVVYRAHDHLTGDTVAMKCLNADDFDAHRLDSIVSDEVAALDACRGLPCVVQILDSYRRRCGDAVTGDEEDKAFIVMEFVGPSLKDAMRDPHGLRRRHGEDETRRIARQLLTGAAAMHAAELMHRDMKPDNVLIDVHGNLKICDLGRSRAVSDEPPYTNPVVARSYRAPELLVGRTDYDAGADTWAIGCIVAELLAGSLLFNGDTNVEQLGEVLSILGTEDIKEWSCCPERLPSGGCGPTSFLPDLFPSCPEVAMATGRPVLSEAGLEVLSGLLRCNPEKRMTAAGALEHRWFDED >Dexi7B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:7B:15553706:15563718:-1 gene:Dexi7B01G0007800 transcript:Dexi7B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSTRSSISPFRSRRSAPAAAAAAAAPPPPSRTSSGGRPSTPSSTASARPTTPSSTSGGRPATPSAAFARPTTPSSSARPATPSSTASARPNTPSSVSSRAAGRAPLVDAANAKENIMVTVRFRPLSPREISKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGTIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHIGSNNFNLVSSRSHTIFTLTIESSPSGESDAAEEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQYSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIIDEKSLIKKYQKEISCLKEELQQLRRGIMGNGCILPTDQENLVNLKLQLVYLPDRKREYFVDDDDISLDSELSLEGKLDSNNPDESSRFDRRNRKRGMLGWFKLKKSDQLLGLSSSVDGDSNASGSPSCSKSSQQKNLLLDLKDGRRKSMTRKGDDPTLSDSFLERTQAGDLFSAASRARHPLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDVHIQEQIEKLKDGITEKKSHIHLLEQRMVQSLQTTEDPATKTELSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVTENAELQEIVAQLRKENSSLKAAKSEDSFASVQSSDPSTASTDTRDNTNEISNNTNMPSRTTEGNESRLISQVLEQASEIESLKQEKVRLVEEKDGLEIHSQKLAEESTYAKELASAAAVELKNLAEEVTRLSYENARLTADLAAAKEQTTSVSRSNIHSDTKRRDHENGILVEELQKELVASCQREAVLEDTLSQKDRRESELLKIIDDAKCREHELENELASMWVLVSKIKKESSQEDVFEFKAKQNGFHSSKT >Dexi1A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:1A:8924653:8925065:-1 gene:Dexi1A01G0010320 transcript:Dexi1A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLLDFMSLSPSHDGEDDSSVGSCGSSVVQVVPRDVSDELLGKFEDAGEFGFEYGRSSLWSPLVLRPEVIASAQLDRGKRRHRRSWRRKVGTVLCCW >Dexi9A01G0037060.1:cds pep primary_assembly:Fonio_CM05836:9A:41382775:41385019:-1 gene:Dexi9A01G0037060 transcript:Dexi9A01G0037060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQLQSHNHFLSSRQTFPPERHLLLQGGSIPAESGLVLSTDAKPRLKWTPELHERFVDAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNIHAQVNGGHAKNVVGCTMVMEKPPEGNGSPASHLNLGTQSNKSVHIGEALQMQYEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLTKQNAGSVGLETAKMQLSELVSKVSSECLQHAFTGLEEMEGSQMVQGHTMQLGDGSVDSCLTACDGSQKDQDILSISLSAHRGKEIGGMSFEIQAKERGSDDLFLDKLSRTPPSHVERRERDSFIMAAKLDLNINDTNDAPKNCKKFDLNGFNWT >Dexi7B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:7B:25929728:25931279:1 gene:Dexi7B01G0020670 transcript:Dexi7B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNGSRGGQGAAACVAVVAAAVAALLGAGAAAAAKFDDVVQPSWANDHMVYDGDLLKLRLDSNSGGGFVSKNKFLYGRAIADLKLVPGDSAGIVTAFYLSSAGDKHNEFDFEFLGNVTGEPYLLQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFMVDDTPIRVYENRQNATVKGHHRHAGNGTTNGTATTPSPFPGPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFEATFREVRVDGCAWAANATDTDAGEVARCSESSWGKEGRYWWKEKEMSELTVHQSHQLVWARAHHLVYDYCVDTDRIPVQPPECSGR >Dexi1A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:1A:27967003:27967351:-1 gene:Dexi1A01G0021140 transcript:Dexi1A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDLEELEDRLGRQLVRHTAPGRRRAISAPPHAGSGLLLSGCEAPSLRKLAAAAGIREVVLTPTRASPRLAGVADQHALEKAKSRAAWRNLDRPVS >Dexi1B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:1B:3356216:3357553:-1 gene:Dexi1B01G0004230 transcript:Dexi1B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLEVPARQGMAPSRSLPRCASELADPPSPFSSNPAHHPVSMPTTPAGVTSSSSSSFGCMAGKPSATDSPPATPGRSKLGNKPLTPGAAAVAAYYASQWSPRRLMQRAARAFRTSRSRRVRVSSPVAAASSPTTGKASAIGGGVELAAVSDGHVQREEENEERHEHEHPDAVPEKIIHEMKRHSPAVVKEDGDECGVEKTPAVEGKETAALAAGEDEEEVVESPKKGETVMTPPPAAEVVDVVVPGAGEEVVAEEKLVAVVKEAIKKHEAAEHQKGAAVRNKFQSRVKTAMEARPEARGSSNDVIEEARSMLLEKRQLSKVRALVGAFETVMDNTSKDAAAAATPRMLRNLSRRSA >Dexi5A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:5A:22176764:22180259:1 gene:Dexi5A01G0018660 transcript:Dexi5A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFAAGAATASAAGFFLLYKDHLLARATITRQPAELRLGSGPYYQGPYRMAELFTKQAAEYAAAHPAYLKDLFTKLAALTSHHSSPPCLGSAHGSSAVQVAEHYDSVLATDVSVEQLLHAAPHPKVRYLHTPDGTPGEDDLVAALDGEGCVDLTTVAEAVH >Dexi2B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:2B:3952668:3961305:-1 gene:Dexi2B01G0004380 transcript:Dexi2B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGGAHRSAGGEVDRSSAGEGAAWLSSSGEAHRSSRDYDRFQQIRRSRGRDLQSPGGDCSPPFLYSDTVRHAAERHHIHGAATCLAVQSSAAISAAGTSASVGSASPEEAPGLASPTQGYHPHPSSSSPTRPRRRRWPPPWAQPCSRARNPLCLRPEPEKPSFPPPPTTEKPSSSRPPPPTQDNNPSSPPPSASETPSSPQPPPPPRFPTDAAAGNRAPTRKVRKVIPKGTIATRKAAAVGAASAAAGLLQPGEGHGPDEPPTDRHAAGDEMVVEEQNLGGNAVKEPTAGCNAVPVCEALLGKEIVGGGKVADCLVMDCVDAPEVEKLAKRGEFGMSDGQRRRITEAFVGGLNRDTMEKDVVSAYSEAGEITKVRMSEGQRRRMTEVFVGGLNRDATEEDVKAVLAEAGEITEVRMVMDAGTKNRGYCFVRYREAAQARKAVAEFGNVKIRGKLCGVEAVCRNDKIFLGNINKKWQREDVKTVFVEGVPNSWDQAKMKEIFKKYGKIELVLSRDLRMTKRNDVAFITYTTREAAILCLESSDGEQLIENGSKVNIKVALAKSATKGKKNMDKKCCISEKETTKIPKSELIPFPSTF >Dexi6A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:6A:5105203:5107015:-1 gene:Dexi6A01G0005550 transcript:Dexi6A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANGSAAAAAVRVLAVSRVSPAPAPATTDAGGEEGHGRLIKLSFFDTPWIVLPPIQRVFLYDLPTGDDAFPAAVRRLKDALAATLALYLPLAGKLTYVSETGDVVVDCTDVDDLGVAFVEAEASSDGSSAAMDVRRLAGDEAHDIPAFLALVPALDTRELPAHVLSVQATRLTGGLALGVSVHHAVADGQAVWRFVSAWAAAAREGSPVIENLAPPHYDRKVVQIPNGDVFARELLKRIAPNLPVANNGTEFDFSQRFRLGRRTFYLTTDDIQSLKRGINTLASAADEDAIITGDITTTTPRTKKKKPVSTFVALAALGWTAFVNAKSLTAGDDTYLVFLADLRTRLDPPVADGYLGNCIKACLATADAGDLAVAGDDERGLVAACRAIQAAVEEMEEAPMAGSEQWLQKMMTLPYQRLCNVAASPRFRVYEASDFGFGRPARVELVSMNHDGEMVLVGGREEGEVQVSVSLDPARMEVFKKHVLAGRRSGAAGDGRS >Dexi9A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:9A:6785797:6789461:1 gene:Dexi9A01G0010990 transcript:Dexi9A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVTAAELLYGMPLLRSSSPVMNGVDGAGGAEPGVCSALLAELKQLWGEIGKSREERERMVRELEAECMRVYRRKVDEATGQRALLHQSLAAGEAEIAALTAALGADNSPQQKVNKWTMSLTERVSSATSLLEELRAMKAERSRQFADIRSEIEKITAEVAGRSYGYEGSPRASDVEEHDLTIRRLNEHKARLTNLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLGSLAQVVAMLTSEKAKRVAMLRDAVVPLVELWELMDSPEEERRGFRKVTAVLNLDREEVLSSGALSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDEQIAKAREELQSRKDIMDRINKWLLACEEEQWLEEYNMDENRFSTGRIARLNSKRAEKARLIITKIPAIVDNLMSRTLAWENERKKPFLYDGARLLAVLEEHKQARLMQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRSAVGEPSAANRKRVDEGGRSAASSAASVRSGGASSSGSSVGGAAELLRPRSSASGGGQCGEFFKGTRRFSAPPFNYVAVNKGGGGMSSSLALS >Dexi9B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:9B:1431302:1431935:1 gene:Dexi9B01G0002480 transcript:Dexi9B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEFRSECDDIEEAKSPSNTSVAAVAVKNADASVYKIIHGYLKQKNNSIIRVAANVARKAASNKLSRKTSDVFDTLLQQQQSKLENKTGPLISGICYCIASCSMILLNKVVLSSYNFDAELYKRGHSSDA >Dexi9B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:9B:5562613:5564395:-1 gene:Dexi9B01G0009000 transcript:Dexi9B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGTVSPRCAAASAVKSEGPGRLAVALAEGWLWRGGWVACGAVRQVAPCALSPRTCHYAILSSRRIMATEMGRRVLKKKSADLTPCHVMLPDHLVVEVLVRLLARSLARFRCACRSWDAEISSRAFQERHHALAAGQFLLLQSAPPHIAYLQMYVGRARPAQQIAVNCKDCPGVIGSKPCFGLVLVRRPCQEPLSVCNPTTGEVLQLPPLHQKFCVAGIGFHASAGEFKVVKVGVQLGTVKATVLTVGDAQGWRAPAATSLFAFNHGESIDTNLEPVFADGCLHWIFRTEYLDKPHGILSFSLADESFRRVAHPSFSTADLLPFSHNRDQQHVCQRWRAAGGVRSGSGETVTVPVGTTLAELDGRLCMMRDVRHRSDVGGLLFEIWKLQDYEMGSWSLDYRVDMAPGHSTERLKTPWLVVPLRYLDGGGSRQGAKRKLLLATTMREAHVYDPGSGTLETVASIAGGGSGDLEDNSLRLVLYQESLVRFTAMKQGKGEIEFVKI >Dexi9A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:9A:9250437:9250749:-1 gene:Dexi9A01G0014090 transcript:Dexi9A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPAADQIALLPDDALAAVPLLPLKVGGIFINFYDKSFWELFSRPSTGATVSGWFDFLPGGTEDVYPPRLDHCNGLFLFECY >Dexi5B01G0027230.1:cds pep primary_assembly:Fonio_CM05836:5B:28806034:28807189:-1 gene:Dexi5B01G0027230 transcript:Dexi5B01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDGYCSSDSPRAESPEESQAAAAAEAESPRAGVKRERDLRDIPASPSSPLPAAKRSRRSVEKRVVSVPLAECGDRPRGASGEGPPPSDSWTWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYTFEHNHASPQPKSSSCQQQGKSSPRPPAPKPEPVVEQAELGPEHELAEPEAPEPEQEPEQEQEQKVVVSLVGPETATTATVAPVAEEDESFDFGWFDQYPTWHRSSLYAPLLPPEEWERELQGEDALFAGLGELPECAFVFGRRRELSLAATAPCS >Dexi5A01G0033680.1:cds pep primary_assembly:Fonio_CM05836:5A:35976545:35978993:1 gene:Dexi5A01G0033680 transcript:Dexi5A01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSNDPFAYNSTAAYLPGLAIPYWLNKGDNAWQMVSATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWAYQMSFGKKLLPFWGKAGHALDQGILLSQAGLPATQHLFHSGDQETAEITPFYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSIWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANVDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAILMGIVSGSVPWFTMMVVHKRSRLLQHVDDTLGVFHTHAVAGFLGGATTGLFAHPSLCTLFLPVTNSMGAFYGSGMQLVKQVVGALFIISWNVVVTSLVCLVVRLVVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQNV >Dexi3B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:3B:3467335:3468673:-1 gene:Dexi3B01G0005230 transcript:Dexi3B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTTASPAAAAVAVAMAAFVLLLGVICGVARGQLEVGFYSDSCPDAEDMVTAVVQDAAANDPTILPALLRLQFHDCFVKGCDASVLIRSESNDAEENNSKNQGLRGQDVVDAAKAQLEDQCPGVVSCADIITLAARDAVAMTGGPSFDVPTGRRDGLTSNLRDADVLPDAADSINVLRSSFAAAGLTDRDLVLLTAAHTVGTTACFFVKDRLYNYPLGGGNKTGSDPSIPAAFVAELKARCPPGDLNTRLPLDRGSESDFDDSILRNIRSGLAVIASDAALEKSNATRALVDAYLQGPAAAGSFRRDFAAAMVRMGSIGVITGEDAGEVRDVCSAFNTN >Dexi6A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:6A:4554931:4555658:1 gene:Dexi6A01G0004960 transcript:Dexi6A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVATTSASVPSRKSWIPAGLLSGLGGSGRHGATIDIPLEDPKKKERDLLSWEKDLKRREQDIKRREDAMNREY >Dexi8A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:8A:97248:97976:1 gene:Dexi8A01G0000110 transcript:Dexi8A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPPREEVVAVGNVKAKQADGASSGGERKPWRLLEHALNCPRCDSTNTKFCYYNNYSMAQPRYLCKTCRRYWTQGGVLRNVPVGGSCRKNKQQRAASASSSSSDSSKKIRNNNNTPQLMMTSDFPNVLPTLISSNPLLAGTTFFMDVLRGGGVDGNSAPSFGFGVHGGHGGVLIGGSSTSSQQEHLLGLLPAGGGGRAHQWPPTARGEAGDDGNNNHHNWQGGGGGGGGLINDNSSNSLV >Dexi2A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:2A:33566991:33570392:-1 gene:Dexi2A01G0021510 transcript:Dexi2A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVEGEAERVAALLREITGEGGFAFVASAEKAAAGAGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRAGGDRPAALKALDMGLIMGGNLLRADLEAALARISVEPCGGREEGAVAVDEEDRRWREGLDRNRDIDDVGKHYVCSEWKQELITFSQFLERMWSTGCPSNLTYLAQHPLFEQVDLDNMNLKEFPRAENLEFMDCILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRAAVQPSGGS >Dexi1A01G0025110.1:cds pep primary_assembly:Fonio_CM05836:1A:31418242:31419699:1 gene:Dexi1A01G0025110 transcript:Dexi1A01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRSPSARSDLSTGDGDGGDPDYMYFLQHLRVEGDSYVLELPGNGASPPAVLRYEAPPGGSSGGEYISDPSADRLSSTNRRTKERDSSGPASSLDARPAWQDPLDGVDEDYRLFLQHTRLVDGQLVLEFGGVVVNYDNEPVAAGSLGENDEEQGMEIAVASPGKGISVEMVRDKVQPGALVTFVPEQYACDWPADPSPGREVEEKDGGDEELSVASPDAADAGTMEGVYWEASSSDGHRDGGHTVSKR >Dexi7A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:7A:23395078:23398272:1 gene:Dexi7A01G0013290 transcript:Dexi7A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCGRIRRCPLSLPVPARTAPRRPAPLLVVRAKRAGNRAPAAASRHPANPSAVPKREAEEEVEEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTKKKRRVGKNIFLLKSLDELFQKGRDAVDYPALQDLMQKTGFDMDDVVRKYIREDADSLRIHTLSATGDIESIKKMVDDLDIGQGPSASS >Dexi1B01G0030310.1:cds pep primary_assembly:Fonio_CM05836:1B:34337207:34345310:1 gene:Dexi1B01G0030310 transcript:Dexi1B01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVERLRELTPALGADELDAPGAAALAECCAALLRPGGGDGEAAREALEALCAAGGGGAMRRHAEGLAPLVVARLGDADAAVREAARRFFVLLMEMKEMNARTESTQPNSCTSNSDDQHCTTIKMESSATSQLRTSSKEKISSRDISILAGEGDITRTLVEPIKVFSEKDLLREIEKVISILQPDNEWSIRITAMQRVEGLVLGGSFFGLFHMPGAADYSAFPMLLKQLVTPLITQLLDRRSSVVKQACHLLNFLSKELLRDFEPYAELLIPVLLKNVVITILVIAESADNCIKEMLRNCKVARILPRIIESAKNDRSAILRARCCEYAILMLEYWVDTPEIQRSANLYEDLVKCCIADATSEMINDEDAETHQRQLSPIQNVELRQPQPSSCIPAVMDKVVKVDSETSFSSGDLQSSQRLHLLYDDMTSKVQDQGSKDDISAIGSSFEDKITLGMEENTSRGTDKCDSDNNAGVNSSFCDLPSATPVETGAPSEMPLTDAAVVTIVQDKAECISNPEERSSQQVQRPEEFSELTSLTPTINLRESGNLLKQNPVEVISDVGSGGKLGPQQEKKHSFSTPKKSAVSKEPRNTYTPNFRRPLLSKQMTNWFYASTKSDLDEKKLILGEAINNMDVPSSLTEALSLGLNPRSDWMMKGRHTERTEFESSNESYGHKSEMIDKKSSTMRPRNGLPRRIDFSVMADNIVQSASRDSQHMKIFDEPNAVGCVLMSTHFQNLHQMSSSLLEMLDDPDVPTRELALSVLVEILEKHRKVMESCVEILIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLRCLGAISSQLACQDEKILIIIINSLSKLVVRLSQESLMAHLSTFLPALLDAFENHSPYVRKAVMVCVVDTYLKLGPSLLPYLEGLDGAQLQLVTTYASRLSQARFIAADG >Dexi9B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:9B:8102080:8103315:1 gene:Dexi9B01G0012160 transcript:Dexi9B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMEELVEEVLIRVPPDEPAHGAASSPTTASSAATACSRTPPLLGYLHNLYSRGPIPRFVPTTTTSPFAAAAPPPPLGGCERWRALDCRHGRVLARAFFDHDDDPLIVWDPTTGDQRRLAVPPPTTTDPRGAARRYTGAVLCAAADGCDHLGCHGGPFLVAYMVADEHHAVRVSVYSSETDAWGPPSAAVHVGRLFDARSSLLAGGALHFALYGGEGILRYDLRGHRLSVMETPGDFTGMVLVKADGGRLGFATTSSNGGFLYLWTQQQQAWAQHEAIDLKAVLPRGRGYRCHTRQVIGFAEASDTIFINRAAGVFALDLKSRRARMVGAVEAYDAIISPVP >Dexi2B01G0031700.1:cds pep primary_assembly:Fonio_CM05836:2B:39739001:39739954:1 gene:Dexi2B01G0031700 transcript:Dexi2B01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQALIPQPRAGPQPNKERPVRPLRTAPAVVHPPLLLVRRRLPCCDAAPGSQDVPLRARSPRRCLVRPGGPDVPGGSTSPSTLSVPGSRLVFSRDAVLVACRVQVPLQFEGLGYCKSCSRLAPDLGVPGILKMDVIGVGAVPGGRNGVVLHLDAFGAVKLAVECELCAFVLQGLVVWPARRGIVPAGGGNAGNRRETAEEKTRCLDRWSGTLLWTEMGQSACFCGLRGKSEGGALLFAVP >Dexi5A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:5A:1853620:1856540:-1 gene:Dexi5A01G0002700 transcript:Dexi5A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSLVLGRVIGDVVDQFSPTVALQISYNGRRLLNGADFRPSVVAERPRVEIGGTDFRQSYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDSCYGREVITYESPRPTAGIHRVVFVLYQQMARGTVDAVPLLRHNFNTRSFAVGHGLGAPVAAAFYTCQPEGGTGGRRLIVRPPRTTP >Dexi8A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:8A:20058651:20059260:-1 gene:Dexi8A01G0011360 transcript:Dexi8A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFRAATSGLRAAMAQQRHHGRPAAAAVLQSQRSAATAAARLPRGDYVPVYVALGLIAMSVTLGLSTAKQQLAHAPNVRVDKKKRETVPEVAAPDLAVDEGERFVGGSLFRKVAHVQDDRSLAAGVADPVADYPTKKAVTLKDVGVETPGIERSREGIVDKIFKKNHA >Dexi2A01G0026340.1:cds pep primary_assembly:Fonio_CM05836:2A:37842904:37843634:1 gene:Dexi2A01G0026340 transcript:Dexi2A01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKCMFAVLLILATVATTLYPSSAARVQLAEDPAAPATPQPPAFWRPRVPLPSLPCIPGLPRPWFLPPCDASSSAAAPASPSPPATSTPPTPAECHTSLSGMATSCEGFLTANATDVSPPAAACCDAVKSLVQGAPVCLCHVYNGDLAKIMPAAAHVRLLRAVALPRVCRVQMPFGTLRTCIRGPVPPMDAPAPPS >Dexi4B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:4B:4017494:4019368:1 gene:Dexi4B01G0005670 transcript:Dexi4B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPVAAPSSISVPSTRRHRALPASAAPREPPRAWGAAGAEERARRGKEAEVDDEEAERWRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEAFHDRVAALRWDSALKVFELMRDQVWYRPHIGIYIKLITMLGKCKQPEKAHELFQAMIDEGCAPNLESYTALVSAYSRSGRLREAFDLLDRMKGTPGCVPDVQTYSILIKSCLHAYDFEKVKILLADMARAGIRPNTVTYNTLIDAYGKAGKFTEMESTLLKMLSQNCKPDVWTMNSTLRAFGSSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTVVTYNVVIDAFGRAGDIEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRADEVKKIKSVLRIVENSDITLDIVFFNCLVDAYGRVGCLAEMWEILDLMKEHRCKLDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRITDDT >Dexi9A01G0034620.1:cds pep primary_assembly:Fonio_CM05836:9A:39372126:39373200:1 gene:Dexi9A01G0034620 transcript:Dexi9A01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPAAAAASEAASSSPWPGDATTSVSSSSSPTSGPRSFVPDLPPGYHFLPTHDELVVHYLRPRLAGQQLPLAVFFDERVLDYHPDRLIEKYREYGEDRWFFFARRERKHEGGNRPNRATLHNGHWNANGSPRQVRSGGKLVGLVRALVFYEASRRKKTKKQSHGEEAGLPPPEDDQEGSKANKGVKTDWTMYEYESFTSEEEFETTYVNGNAKKKKQKKKEEGETTKRKAKEKPRKKRNETPAVDDQHVPPLR >Dexi1A01G0030110.1:cds pep primary_assembly:Fonio_CM05836:1A:35454314:35455520:-1 gene:Dexi1A01G0030110 transcript:Dexi1A01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKQGGDDGDAPRCPPHPGFLRGLCIVCGVKEEDTEGGAPELAIGDDGEMMVMQRGGDDAAFAFAFAFAFAFAAAAAAALCPPHPGFVLGLCSLCGAKEEDAEGGGAPDLATMADEIEKKLMEQGVDEAPAAPRCPPHPGFVCGLCSLCGAKKEEDAAGGSTSGLAAAHTYGALPPATMMIVMPPDPNSHLRTLLSERKLTLILDLDHTLLNATALNDFSPAEAQNGFTETTRDDLARGLFRLDGHGIPMLAKLRPFAQGFLKQASAMFEMHVYTLAGQAYARAAVSLLGPDYFEGRIVSRVESTRTSKKSLDVIPRAEVGAVVIRGHPRDPGTAKAQWARDNNKFLVNQEWIKAASFRWCWPFEQDFPVLGGD >Dexi5B01G0037320.1:cds pep primary_assembly:Fonio_CM05836:5B:36862072:36862469:1 gene:Dexi5B01G0037320 transcript:Dexi5B01G0037320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATARRTTTMTKPLTMFYNGGVAVFHLPQDKAEDLLKMAAGEDGGAGPPRGVNQGEELLVKLREE >Dexi5A01G0030960.1:cds pep primary_assembly:Fonio_CM05836:5A:33790092:33790962:1 gene:Dexi5A01G0030960 transcript:Dexi5A01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALPLTPSKSSSFGAHQTTPCFRPSGGSPRSVRAYAKGNEEEGNKQSLFGSITEALDFSQVRSEKDAELLYEAREKTKGGGRMTREQYGALRRKIGGTYQDFFKSYVEVDGDYVEDGWVDKTCKVCKKDTRGEPRQVDKFGRYAHVACLENPKPANFFAKLFAR >Dexi2A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:2A:2379348:2380424:-1 gene:Dexi2A01G0002930 transcript:Dexi2A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAKKIQTSQPFGPAGGLKDGVDASGRPAKGKGVYQFASKYGANVDGYRKDRVVALGNNSCWNFAGWCSSCLQY >Dexi7B01G0020920.1:cds pep primary_assembly:Fonio_CM05836:7B:26115131:26115959:-1 gene:Dexi7B01G0020920 transcript:Dexi7B01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGYRVQPLPRIPRHDIVQAVELGNRERLIAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRNPYAVFCQGGTHWTQWALVLTEVLKVI >Dexi3A01G0031390.1:cds pep primary_assembly:Fonio_CM05836:3A:35859691:35862778:-1 gene:Dexi3A01G0031390 transcript:Dexi3A01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVLTDLSNAASTPEENRLAGDSNTSSESAQEPLNSFLEQESNDATPLNYSSRFWKRQLVVAKDARRVDILCSRIYLSHRLLDGTTRFKELHQIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCYLAIEKADEWLRSNIPSETRQIDTLPAACKFKFEDITTSSLVLVLKEAVSSQYHAIKGYKLWYWNSREPPYTGEPSVFPKDQRRILISNLQPCTEYSFRIISFTEEGELGHSESKIFTKSVEIIRKNKENGAEGCSSSAKRAGKRQNGTVSGFQVRHLSNVLQKAQANENGYPSACCKDEIEDSCDQSDSVIPGKDQVPCGASRKLDLNETSVPDLNAEVIMPTEFCRDENGCSSRKNTLTKSNGCGDSETFAEGRVGEAPVMESQSQSHKQTSDLEQETCADDSKLAAVSARLFSRRLGQLDDNYEYCVKIIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLLDDPSSLAGQLLDSFEEIVASKKPRTGFCTKLWH >Dexi4A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:4A:22801507:22802069:1 gene:Dexi4A01G0018950 transcript:Dexi4A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSLARAAPLAVSSAGAGARRLPAASLAFPPKSFFGAPLAATGASVASPLPRKPATSTSFAVVAAGKKGYKMKTHKASAKRFRVTGRGKIVRRCAGKQHLLAKKSTKRKKRLSKMVWFCSSSVAQVIFCSGARVI >Dexi7B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:7B:24511755:24514019:1 gene:Dexi7B01G0018860 transcript:Dexi7B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGPATSPMVMYPFYPPGAFPQQAGDDQAQGPGIYAIQQNQLAAAMGMGCYAPTTLVPLTYEIPTYQTGAITPFVRWLQRAGDPANPDQAAENQEPGAAVGNENPQAAEGEGNQRNWLGGILKEVQLVVVGFVASLLPGFQHND >Dexi5B01G0029560.1:cds pep primary_assembly:Fonio_CM05836:5B:30768415:30769899:-1 gene:Dexi5B01G0029560 transcript:Dexi5B01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAVKMALMKALACVAVALSLIHAVTAADYVVGDPSGGWDGKTDYKSWAAAQTFAPGDTLTFKYNSYHNVLEVTKEAFEACTTTNPITFDNSGTTTVLLTMPGTRYFICGGPGHCLNGMKMEAVESLFPFADVTPMSSPSPPAKSGGHAGARATWGEATAAVVALVGGIMLVIAM >Dexi1B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:1B:6145049:6148933:1 gene:Dexi1B01G0007410 transcript:Dexi1B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGQWGGWIMPPWFSFDSIHDTERRLLPEFFVGEAAAGSGCRGPDAYKYYRNTLIRRFRARPGRRLTLTECRRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKERREEAVSQSSLPSGVAAPRKLCTACRSVCGLAYYACEKADISLCARCFVCNNYRPGLTAAAFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSGHVGSRSEKDCIARFIRLPFGEQFMGAKEDRMGFENDDDITDDPGAGVSKRLRLTPLADASNPIMAQVAFLSAIVGSDAASAAAQAAISAQSRVDLNDGEIDSSINSNMEEESSHTNGLSVNDLLKEAASNARAQLEKERNSIEQSLSEIVDIQMKEIQDKICRFEHKEMLMEKERQQRQCLRDLLFADQLAILHHQRRPQAVATESKNDEKPKPVINIS >Dexi3B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:3B:3095179:3097447:-1 gene:Dexi3B01G0004550 transcript:Dexi3B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAQTGARAKATPTANWCQLNGSSYLGCSTDETSQPNCQCTPCCYPATMHSNFPAHAGLCEISAKSIKGLFAGLLLVAVTDPNTLESPRLLLAAAASAASRTPPKLQKYGGRQTNKNRSGEPRANATRSRISPASHRRVAGSTAELGKPNAGAAEKRRHGSTALFVAVDYAFLLAFAGFLAYLVGSRILPSVAPSA >Dexi9B01G0034650.1:cds pep primary_assembly:Fonio_CM05836:9B:36585876:36587407:1 gene:Dexi9B01G0034650 transcript:Dexi9B01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVAASLSFQRAQRHEEAEFLCSAPTSSRVLQSALPWRQELFRVSIHCHGCKKKVRKVLKSVEGVQIVTVDASQHKVTVTGTMNADALIQRLHKSGKKGVPWQYCQPPANKTEAAPAPAPEAPPAKPAGDGGKDAAAPPPEKAAEEKKPEKAPQTESSEKKQPEKEAEKKKQPEAESKKEEKAEAKKEEKKEEKAESKKEEKAEAKKDGGGDSKAAADEPKPKPKEEKAAEPKKEEAKEAAVAAAAKAAEDEPKKKKADNDKPKDAGGKPEPVAVTTERSLPPIMTERSLPPMIAAPPKHAYEEQQYRYPYYPPQSVMSYHAAQPSASVSYYAPQPQQAYSMQQQQQQQPYSPPPQQPMQQQWSPSYLYLPYPHATADPYHQQHQDYYSPPGMHASPPPMQDSYRIFDDENPNSCSVM >Dexi5B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:5B:2585185:2588086:-1 gene:Dexi5B01G0003740 transcript:Dexi5B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKVTRISEGPVKPASATPEETLPLAWVDRYPTHRGLVESMHIFRSGADEAPAVIREALGKALAYFYPLAGRIVEGDEPGCPAIRCTADGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQLRSIAVACGRGFAGSGSLSAVDLGSRGERSKASGLPGKVAVGMDGLSVNVVGGWSGSVDMAVPWCAFVLQREELARSDADAGQNGLEISRLLTPGVLELDARRVSWVGRQARSPVLNDILVWFQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLTEPKVKPVWHREKFPNPKIKPGPLPELPVLALDYIVLDFPTGYLDDLKKQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEPSAEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLGSSIMEVVDMIRQAKDRMTVEFFQFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPVFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFVRGMKADLP >Dexi2A01G0032340.1:cds pep primary_assembly:Fonio_CM05836:2A:42856514:42856861:-1 gene:Dexi2A01G0032340 transcript:Dexi2A01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVSSSLALLLIALLFSCTVTSSAARRLEEEEAPKEEQPEFPPHLPVPELPVPENELPPFPEVHLPPKPELPPFPEVDLPAKPELPEVELPPKPEIPTIPEFHFPEPEAKP >Dexi7A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:7A:18934768:18935265:-1 gene:Dexi7A01G0007630 transcript:Dexi7A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMVATPRTARLSFSSTPFPRAPRRRFAAVRASAETMATEKLGIKVERNPPESRLSELGVRQWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPEGHGEEFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYNFE >Dexi2A01G0030900.1:cds pep primary_assembly:Fonio_CM05836:2A:41720309:41720663:1 gene:Dexi2A01G0030900 transcript:Dexi2A01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELDITDHEASRKLLTLHTAITVDPMCLHVVRFMHTCHQLVEVANVQSYMGGLRRSHSKPMESSEALMMEAARQNIMTKARIPLI >Dexi5A01G0027180.1:cds pep primary_assembly:Fonio_CM05836:5A:30720746:30722443:1 gene:Dexi5A01G0027180 transcript:Dexi5A01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDVANLRTVSIHIAQLPLRPPSSSSVRNRAEPRVANLLLRPLHLPIDSVGLEFLHAPLDLPRIPTSPRSHLRLLPPPRTSEQISIAESSGGSSAQEPRLRWGSNEICQPFASGLGLGLAIGSSLLGGSASCSGARSNILARSLANSLQPNLLRGFENPMFNLELDICVNRTTTLVLAKGRRQVARNQDDGGCCELLVRTEEFAAAAPCVTPNATTPSPPRPVLRGAVQPADRACRTAVRRPCLLRPTPCVARTRACTPPLPPSSPARGERHWHRRGSGHRLRAMASYGQLKQWSDYAALGCWRRSRGTPDESTSSTAAPSR >Dexi5B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:5B:3863350:3866147:-1 gene:Dexi5B01G0005720 transcript:Dexi5B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGVCAPSSSEQGLHGAHPAVAALGRDILSFGANSQVPDELSRHVVSSKKAQANWYKKLLVAWKKARPPPKTPEEAASFVVQTLKNHQKADVEGFLAFYGLPHPNAAASTPAATAPPPPKPKGAKFELHTLPIDPKSVADGDTINVYVDTADPRESGSVPREVQKAAEERAKARAVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVHGKSLKVYVYDQDRYGRCVGDIYCDGVFVQEQMLKKGFAWHYTAYDQRPELAKWEKQAQTGRKGLWASSRPQKPWEWRKDKRNGAA >Dexi7B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:7B:23477997:23481908:1 gene:Dexi7B01G0017570 transcript:Dexi7B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTKATKILISLACYLLCVTTLPHATSLSFNYNFSTPGDLTSPDLKYISNATTAGDRVDLTKDTTWSTGRLAYEKPVQLWDNGTGKVASFTSNFTFVIRPRNITAQADGMTFFVGTYPPTLPQDSNGGFLGLVNNPNNPANTYFPPTVAVEFDALRNTWDPNNTINHVGVDVNSISSVEYTALPNGCFNGIMSAWVKYDANASTLSATLRFDDLPELGVYNVSAAVDFRAAGLPQQAAVGFSAATGDFVESHQILSWSFESTLTSVAAVNKTGKWLPLFSFCQSSTSKTTNIGLIAGLVSTGIVVLLIISAWLCYREYQKRNVTKEKQDAPLDGDMDDEFEKGTGPRKFTYRQLSQATRGFSEDEKLGEGGFGSVYRGFLQDQGLHVAIKRVSKTSKQGRREYISEVTIIGRLRHRSLVQLLGWCHETDELLLVYELMTNGSLDTHLYSTRNHLTWPMRYNIIFGMGSALLYLHQDSHHHGRRGYIDPECAVTCRASARSDVYSFGVVLLEIACGRKPVVPEEDESRVLLVHWVWDLYGRGELLDAVDARLRDDGGELDEMEAERALVVGLWCVHPDNASRATIRQAMNVLHFEAPLPELPLEMPVAMYGAPAGWVSGSSNTTTSSSGSVSTNSGGQSLASDRTAAHSSASSGKMGSAARETRLDVSSNTITRVTLP >Dexi9A01G0029440.1:cds pep primary_assembly:Fonio_CM05836:9A:34323786:34327558:1 gene:Dexi9A01G0029440 transcript:Dexi9A01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAAVARRAGEALRRGALGGLRPLSSLQPSHAASSDEVLVEGKASARCAVLNRPGYLNALTTTMGSRLNKFYESWEDNPDIGFVMMKGSGRAFCAGGDVVRLRELVCEVTCPRLPYVFIFLPGKMEECQDFFKTLYMFIYFLGTYLKPHVAILDGVTMGGGGGVSIPGTFCIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYVALTGEKLNGTDMIALGLATHYSMSEHLDLVDDRLANLVTDDPSVIDSSLAQYGDMVYPDKNGIVHRLEVIDKCFSHDTVEEIVDALESEAARLNEEWCTLALKRLKEASPLALKVSLQSIREGRYQTLDECLVREYRMSMNGISKQFSHEFCEGVRARLVDKDLAPKWDPPALEYVTNDMVDAYFAPLGEFEAELKLPTETREAFV >Dexi5B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:5B:14886871:14887777:-1 gene:Dexi5B01G0016500 transcript:Dexi5B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQPWESSSIIYFLAFQLSAAVLALVEVLGSRLHQDSCWLNFSFAFEQIGYHLRVACCLLLPATQLVVSISHPSWISLPFFVFSCIGLVDWSLTSNFRGLFRWWRLLEIYSVFSILLLYIYQLPVKFPYVVLAFADFIGLFKVSSKSEWPELSSGISLLVYYFMVCN >Dexi9B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:9B:2414387:2415399:-1 gene:Dexi9B01G0004190 transcript:Dexi9B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISLDDWEILPDHKGSSFLEEECRNGGHGAVGGEDQLLLAAKLVMIDMNHFAPATHSPSHDCILDEETKKPCLLLPSEGAYCHDPVTEFKDIAVVPAEPMREEAMSKVNEIVIYDSEEDDMIEPPATVKEADADQEEVLVAADAPDGQCAREEETFNRSGISVGNLRVNGVGALCSFGVAAATFCIFLLGGGKQQKRQDHKIQLQMYAGDERIQQVVQQASRLNQTMSSVMGGASSARASISFGGYYRGF >Dexi2A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:2A:6847350:6848289:1 gene:Dexi2A01G0007100 transcript:Dexi2A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRISFLLLLFSTTEANHATTETSLTSCEGDERALVAFKTMISHHSGVLTSWNQSISYCSWDGVTCGKKHPWRVVALKLGSQGLTGTISPAIGNLTFLHSLNLSSSGLHGEIPPSIGSLRRLESLDLSQNMLSGVIPSNISYCTSLRVMHISSNKGVQGSIPAEIGGMSSLASLVLYNNSMTGTIPSSLGNLSQLVILSLRMNYLEGSMPADIGRIPYLRFLQLSCNDLSDYMVIYQAPADLGKSFSSITYIGFGAYRFTGPLPLSLTNLRRLKVLDVFEDSFTGVVPS >Dexi8B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:8B:24987480:24989030:-1 gene:Dexi8B01G0014360 transcript:Dexi8B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTVVLIVGAGPAGLATAACLTKLSIPYVIVEREDCSASLWRNRAYDRLKLHLGKEFCELPHMSYPADAPTYIPKDQFINLARNVATTSVARYTSRFLVVASGENSAANIPMIPGLHDFAGEVVHSSRHGIVTPKAGPLLLKAKTGRSAVIDVGTVLGNITKLNGNIVEFEGGKKRPFDAIVFATGYKSTANTWLKNGESMLSNDGLPKKGFPDHWKGANGLYCAGLARRGLAGIAFDAKNIANDILSSYRA >Dexi5A01G0022190.1:cds pep primary_assembly:Fonio_CM05836:5A:26110994:26112153:-1 gene:Dexi5A01G0022190 transcript:Dexi5A01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMPEEKCALVAELMRVLERVRQLEAHMASQHHHQQQGGGGGGGGAAAGGDHRSRALVCTMRESIDRAMRMAMSCCANGRAAIAGQPDSPPSGGDGSPRSGGSDQAGDFRGRGNAAGQCKKRKTLPKCSTQVRVSAVQDVTPLDDGLSWRKYGQKDILGAKYPRSYFRCTHRHTQSCHASKQVQRTDGDPLLFDVVYHGHHTCAQAQGAAAVGNQLAPGAEPSHAAAAAAAGPVLQFSLPSNKPAAVATASPFASPATPECLAARDVPRHDVELVSATNSPMGAMGEMDFMFTLDAADFLENPASYF >Dexi5B01G0034380.1:cds pep primary_assembly:Fonio_CM05836:5B:34637938:34638336:-1 gene:Dexi5B01G0034380 transcript:Dexi5B01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARQRRPNLPRKRSEEQPNRANGGATTTSTGAQKEPPTHHEQIDRLPANKASEPAPRTEEEPTAEGHRTRAALTRKATAVRQTRRRRLVEEQKALLRREPKWREQRAAAASKSRSVGAAEAVGAVRRGVVR >Dexi9A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:9A:5896558:5898066:1 gene:Dexi9A01G0009710 transcript:Dexi9A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKATFAFCHSLLASRLLPSAASPSSPLLPVQALLTTAGLLPCHQDLSLIALNSLLRALSRRAASPAHPRLALGLLRDMLSPATPLPAPDHLSFPFALSAAAALDGSASSDLGAGAQLHALLVRNALFPADHYVTTAMLQLYAARPELARRVFDELPRREAIHYDLVIGAYARAGMPAEGLAVFRAMFEHGVSPDAVVLTTAVAACAQAGALDCGAWVHRYVERAAPGLLGDAFVGSALVTMYAKCGCLDEAVRVFDGMPERNEYVWGTMVGAFAVHGMAVQAVACLERMTREDGVRPDGVAVLGALSACAHAGKVEDGLCLLREMRGRYGVVPGHEHYSCTVDMLCRVGRLEDAVGIIETMPMTPLVSVWGSVLAGCRSYGNVELAEVAARELEKLGGTADEGVYVQLSNIYLDANRKDDARRVRKMIASRGMKKVPAYSAVEVEGEVSSFVADDQAHPRRFEIWEVLRLLASQMAQKPDEEEETFT >DexiUA01G0024840.1:cds pep primary_assembly:Fonio_CM05836:UA:51641097:51641406:-1 gene:DexiUA01G0024840 transcript:DexiUA01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVVDLNLEPPVHWDGIEEFNGPAHELDYDIIWDNGTQDQGVDADGDEDGAADGGTEGGQADVADGLQ >Dexi9B01G0041900.1:cds pep primary_assembly:Fonio_CM05836:9B:42270737:42272319:1 gene:Dexi9B01G0041900 transcript:Dexi9B01G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGVFSGSVNLKYVKLGYQYLVNHFLTLLLVPVMAATALELARLGPGELLTLWRSLELDLVHILCSAFLVVFVGTVYVMSRPRPVYLVDYACYKPPASCRVPFATFMEHTRLISDDEKSVRFQTRILERSGLGEDTCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISIDLARDMLQVHPNSNALVVSTEIITPNFYQGSRRDMLLPNCLFRMGAAAILLSNRRREARRAKYRLVHVVRTHKGADDRAYRCVYQEEDDQGYSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKTWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSARHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRSIKTPTNGPWDDCIDRYPVDIPEIVKL >Dexi1A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:1A:24242171:24245456:-1 gene:Dexi1A01G0017000 transcript:Dexi1A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFLVTFDSRFSTTSPQTLLSGHPLENLALSHHLNLVMEAKPGLTVRVANGDRVPYAGVCRAALIVIDQEEFVRDLFVLPLGGFNIVLGCDWLRILGPILWDLKALTMRMHVGPAPRLALADAQPLLLGLLEEFADLFIAPTGPARAFDYQIHLLPGSPSVVRDGSWRFCVDYRALNLEMVRDKFPIPIDEELLDELKGTVFFTKLDLRSDYHQFLVMSFGLTNAPSTFQALMNVVLQPFLRVTFHPQPITTGAVEGGFLLYPHLTRPKSKCSFEEQCIQYLGHVIANDAVAMDVDKVTMRIGMLPAPALVNCCCLPNRAAEPPSRASPSKPNTTQIFVPEKPSDARGIRQNQRWEVSNRPQSTVGKGKDESVRRAVEAMGGREV >Dexi7B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:7B:8067177:8068229:1 gene:Dexi7B01G0003350 transcript:Dexi7B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAEGVNAVVALDGSGNYSSINAAIAAAPSASSKPHVVYIKAGLYNEFVEINKSNITLVGEGADKTVISGSRCNADGHETADTAVVRVYASDFIAKGLCIENTSGPRVKDGQAVALLNNSDRSALFHCTLRGFQDTLCALAGKQFYRECRISGTVDFIFGNATAVFQNCELICRLPLPVQKNTITAQARQNAIDNTGFVFQHCSVAADNDLAHAQATIKTFLGQAFSRTVIMQSDISGIVEPEGWVQWERDPIPDTLYYAEYMNTGPGADVSRRVNWPGFRVIHDPAEASAFSVENFIRGKEWLPATGVEFTPGV >Dexi5A01G0036840.1:cds pep primary_assembly:Fonio_CM05836:5A:38263423:38268506:-1 gene:Dexi5A01G0036840 transcript:Dexi5A01G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSRTAAGGGGGAGGGGGPVGGGGGGGDPKSPVAAATSPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERGTRLENMCWRIWHLARKKKQLELEGLQRMSARRKEQEQVRREATEDLAEDLSEGEKGDTLSELAPVETAKKKFQRNFSDLTVWSDDNKEKKLYIVLISVHGLVRGENMELGRDSDTGGQVKYVVELARAMSMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLTTGSIDGEGMGESGGAYIVRIPCGPRDKYLKKEALWPYLQEFVDGALAHILNMSKALGEQVGNGRPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKQEIDSTYKIMRRIEGEELALDASELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVVVPEDIDGDGDSKDDIISLEGASPKSMPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSAGNASVLTTVLKLVDKYDLYGSVAFPKHHNQADVPEIYRLAAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALNNGLLVDPHDQNAIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRVAGCRLRNPRWLKDTPADAGADEEELLEDSMDAQDLSLRLSIDGDKSSLNFGELQSSDPQDQVQRIMSKIKQSSPLPPSMSLVGEGAKNAAEATGGASSKYPLLRRRRRLFVIAVDCYQDDGSASKKMLQVIQEVFRAVRSDSQMSKISGFALSTAMSLSDTLQLLKLGKIQATDFDALICGSGSEVYYPGTTLCIDAEGKLRPDQDYLLHISHRWSHDGARQTIGKLMAAQDGSGDVVEQDVASSNAHCVAFLIKDPKKVKTIDEMRERLRMRGLRCHIMYCRNSTRLQVVPLLASRSQALRYLFVRWGLSVGNMYLITGEHGDTDLEEMLSGLHKTVILRGVTEKGSEALLRSSGSYQRSDVVPSESPLIAYTAGDLKADEIMRALKQVSKTSSGM >Dexi3A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:3A:3050697:3054255:-1 gene:Dexi3A01G0004700 transcript:Dexi3A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATYPYRASASAYQVLCGQHLEARSCAHFCNFQAVNNRIIYRGENLLSLTYPFRSLQARNNHSSAVAPKDYCETYIQFLRDKQIVPDSDPPNSKDVDLLYQFIDKSNRLMVVTGAGMSTESGIPDYRSPNGAYSTGFKPLTHQWAQAIDSLEVAQPGSDKSFGMQQRPDGDIEIDEKFWEQDFEIPNCHQCGGVLKPDVVMFGDNVPPERAESAKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAVSIGETRADSILSLKINARCGEILPRILQTGSLAVP >Dexi1B01G0030140.1:cds pep primary_assembly:Fonio_CM05836:1B:34229157:34229857:1 gene:Dexi1B01G0030140 transcript:Dexi1B01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWSLIAGKTHRLSGLGVGAFAGFFSATGVASAASDGGNNTNSACPAYPWPHDGARGGHKAFMQHDCAACHSMLPYAGLAAGAGGGRGAAAQEAKAAEIVVVHEAAQPEPETAATLHAPPDLSVITRTLEGLRHSNLYATDELRKRMTLLPTPVWLHFLQPYMRNSQAAS >DexiUA01G0023780.1:cds pep primary_assembly:Fonio_CM05836:UA:48608322:48611067:-1 gene:DexiUA01G0023780 transcript:DexiUA01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASALFGLPDSLDARPNTFGELMRTIISPSSTVQAAVNWALKGVNPDIVLHMRMMANRPVRARKAAVLCIKRALQICCIKGTPRVALVSDTPAFVKEMKSEISEFAEVIYFDYKLFTKTSGLEIAGNDKPLDFRSRDWGSAPRWVAFVDFFLAAQARYAVVTGAHRRVGTTYAQLIAALAAANRHGQDPSGANFTFLSSIHSNLLVEGLSTQVGWGHIWNRYAGPLSCRRQPHQCSLTPILPPAWWDGKWQSPIPRDVRRLLEYGVRLSNTGEVDGRHLISHCRSRKDHVKRYHVLPPYKTRS >Dexi7B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:7B:12672630:12674023:-1 gene:Dexi7B01G0005300 transcript:Dexi7B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGACYPAAAGEAPEAPRRKRPRRTSAAAGSSAPAAAAGAPAGGLGDMFEELPDDLVVSILRDVASSAGSPADLAGAMLTCKRFRELGQSKVVLSRASPRCLAVRAKSWSDDAHRFLQRCADAGNLEACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSLLDGRRLLIQANARELASLTAAGGGSVKAPRRKHSCLLSDFGCRAAAAGEAHAANRFLVEWFATRPLVAGGTGGGGGEVAGMTEEEGGGGGALRMCSQGTCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMDRWLDAAAGDAQAINVAAVAAPEP >Dexi6B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:6B:173633:174583:1 gene:Dexi6B01G0000280 transcript:Dexi6B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFYSSMAHGLEALHGSPHACLSAAFLQQAAALLRSLHSQLLHLVQRLHLPPGESWLDEYMDETSRLWDACHLARAGAAALDAYCAAAARAADTITAWLRGATTTPHHVQRALNAPRRHAAGLQQDNRALAADRLDPASLLLDDRSPLDFKLNAFNGFRGVLYALRNASSFLLVLLISGTVTCLPDLLGGATATHQQQLRASGYVASMARLRQRVAQEIATDHHSAAGTAGIMMYEFRQARAATDSLKQDFDRVVAMGYCQPAEIGDSLAQRAQIINGWVGMLRSGAESLIVELDDFFDEIVEGRKMLSDLCSHR >Dexi7A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:7A:22103801:22107177:-1 gene:Dexi7A01G0011670 transcript:Dexi7A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPCCLLRSHAYTHSGEAMDKSRQPCDDAQVPAIVELVHGGMVAHFSVEHGGTPAVESGDVRLPESISADAEGLNFGSNFGRRPSSPSGMAPKRKKSHVDGEVESEDGSAANPGDMSTFDFAKPVYLVAEHEGGKTAYSVFKVDAGGGGGGTKPLRVHTVAGLPNTTRGMSFVTAHSKLGSWIVGVGGKSRAGTIILDPSTLETYQGPRFLHPRSNPVLISLAGEVYVLSRRPRVVPGIDFEPWFYSLSFKEEIPIGGGVGCTFWCKLPPPPFFPWSLNPYQFCNPPEVVVTSYAAVGSSHILLSGVSTQQAHQEEPIILGTYAFHVVNKTWEKVHSENLPFVGQAVPLGCGSLFVACPVSKNNGVTTASASLFHISIMATSSIPMSPPSLLIQEFPVLSPSLSFQEFPVRASEGEIPKPLFCPLGNGSFCSIMSGSSLQSCGKPNCPEKDQVILTAFQIENIDAILNACQSVGKDAKTLQVAVQVKEQEHTCKFKGRSHVVDSDMPVVAALSM >Dexi6A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:6A:26461235:26461895:-1 gene:Dexi6A01G0018800 transcript:Dexi6A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSCFIEFKLDYAKTKDAPIGSLVSSDDIAAGGHRWRVICYPRGCRKEDAGEHLSRYLQLVSINSKNVSRGATRSATSTSIYPARCAVHGWRRFVAQSYLESLYVAANGFITVMCSVIVLLGSGDDDHPPPPPDMGAQLGRLLDSGDGSDATFVITGEVFPAHRAVPAARSPVFKAHLLGAMADVTTTPSIITLHDIAAETFRTPCPARK >Dexi4A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:4A:76913:86418:1 gene:Dexi4A01G0000090 transcript:Dexi4A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSRGGGGAAAGSGTPPPSAAASSSTATRTLASARETRSATAAAATVQTPNLRRSTRETKGKNKFREVTSTPSSHRSTARPTRDATPISASSINKPKESTKKSTRVRSASVSSSPPSSIQDSNGTSTLYTTPASAKRKVEHNVTTLSKKQKKCMNTKSYIALFSSQEESPQSPVLDTCVREDEENASKDKSEDDGAVSVDEESNAQEQVNCEPSSLGADKVLEGQSSDLHEMPEVILEGDELKIGPHQSDMDSESCMAVEMCSLNKATENIPILEIGDQATGDSNQSSLPELQDRDCSTAHHEESMFLLCLSRAAMQSKMVIPLGFKRYALQAILKLTNVMKHFTMTTCVLIANVGKSQMFSRANNSKQYFAKYKNLAHVHNRWVSESYIVESTLQGRDLISQFSKRIHKEKTIGWKQEWAELHRLLKKRPLMPSKEAETFFNSLDDKIAYCNVEWLVKWKGLGYEHATWELETSSFLCTPEAEELKRSYENRLEAARRVPDPAKADKVKGGIFQKLQRLPDGCSPGLDDGHLSSLNQLLEFWHNSCGAVFIDDQERVIKTILFVMSILPHICRPLLIVSTSASISLWETKFSRLAASINVVVYNGEKDVRKSIRDLEFCEDGSVMFQVLLSHPDAILEDVEDIGGISWEAVIVDDCQSSRVSKCLQQLKGLPTNFRMVLLSSSLKENIPEYINLLSFLNPEENGTLSVSNGVYFDTAGTLAVLKAKLARFVAFERKADSSKLLEYWVPALLSPVQLEMYCYTLLSNSPALRSHSKTDSVGALRNILISLRKCCDHPYLVDEMLQSSLTRGHPVTDILDIGVRSCGKLLLLDKMLQKIRTEGLRVLILSQENVKSLCEELLEYILKNHQISQEPKGILHAFNIALVREKNP >Dexi7A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:7A:27103903:27105194:-1 gene:Dexi7A01G0017410 transcript:Dexi7A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVGYIQKYGHANWRALPKQAGMQAGRWSKIASCLPGRTDNEIKNVWNTHLKKRVSPGAEDGAKKKKKKKKKKAAGAAGTPAAPSPSLSSSTTTTTTTNCSSGDSGEQQSNASNKVPDELDKEELEIIPMLDDPAAFDFDDMLVVDPVPEAPCCLAVSSGPTSPCASSTSPPGPARASVDELLDLPEIDIDDHELWSIIDGDGTPAPPCQSNATEPNAASTASHGGAEPEGKEWWLEDLEKELGLWGPVEDYQYPVAHPDPLPAMVDDPVSCYFQAGPASAMLQDPGYSAVVTSSNQMCL >Dexi9A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:9A:12160078:12165202:1 gene:Dexi9A01G0017130 transcript:Dexi9A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILHTRKLIFLLLNEFRLLRRDPMGALGYSSSKPPLTKIVESADGRPQDMDVVAAMRNGEVLGRQTVLKSDHCPGCHNLNLPERVEGAPNFREIHGFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVVYINGKPYVLREVERPCKNMLEYTGIDRERVERMEARLKEDILREAERYGGAIMVIHETDKGEIFDTWENVDNEAVLTPLEVYKHLEREGLRIKYARVPITDGKAPKSSDFDTIAFNVASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPSCQNNHEDANDAVYSTGEDAASYNGLLSSESWKPRTLTKLNSGFGIKDILLLRKITRLFDNGIECRQTLDTIIDRCSAMQNIRQAVLKYTKVINQQQLEPRVRRVALSRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGEAKISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPHEQKAVYPPPQGDVTMETVVKARNGSVLGKGSILKMYFFPGQKRSSSVNFQGAPHVFKVDGYPVYSMATPTIDGANDVLSYLGSKDTTGRSIAQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVESIETRLKEDILAEVKQLGGRLLLHQEEIDEATNQCNVVGYWEHIDLEDVMTPAEVYGSLREKGYCIDYKRIPLTREREALSADVDAIQSLIDESARYYLFISHTGYGGVAYAMAITCLALGADVKFVMEKTAETHFVSTSFTKNMSIKTATDIALRQGDYRDILNLTRVLIHGPKSKEEVDTVIDRCSGAGHLREDILQYRKALQDFSHDDDDDDDEEHSYLTDMGTKALRRYFFLITFRSYLYCMTPREATFTSWMKARPELGHLCDNLKLDK >Dexi9A01G0040640.1:cds pep primary_assembly:Fonio_CM05836:9A:44385577:44391232:1 gene:Dexi9A01G0040640 transcript:Dexi9A01G0040640.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHPFRNPMATPPCTPTPAPAELATESPTSPSTITDGTVSGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAISKARSSEPGARLELRFRPEDPYCHPAFGESRASTGLVLRLSRRKGAVAPRAEVVARVRTAYHFEGMADYQHVVPVHAAHSRKRKRSDVKNDYEHLGKKGHQETDDGNVMMLVPPLFSVKDRPTNIALLPSSNAVSKSMHRGVVQERWEMKVGPTLAIPFNVQDIL >Dexi7B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:7B:16512807:16514191:1 gene:Dexi7B01G0008750 transcript:Dexi7B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRAVPEVALRSGSARPMPAVGMGTALPIPVPHEVTKDAVLAAIELGFRHLDTAAMYGTEGPVGEAVADAVRRGLLRSREEVFVTSKLWCTTCHPELVLPSLRETLLKLQMEYVDLYLIHWPVCMKPGPITWPAKREDAVPFDFEGVWLAMEECHRLGLAKAIGVSNFTTRHLERVMSVATITPAVNQVELNPVWQQRTLRAYCAEKGIHVAAYSPLGGQNWNGSGEANAVLESEVLSEIAQARGKTVAQISLRWIHEQGVTWIVKSYNKGRLKQNIDIFGWELTEEDRLKISQIPQRKFVTAIALFSPEGEFTSVDLSEMDIVEE >Dexi3B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:3B:11713167:11714782:-1 gene:Dexi3B01G0016130 transcript:Dexi3B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSMDNAAVEPEATVPEEPREVPETTLPEVQTATPSNPPASVEAQVEETIAEVLADIDELVTQAAIEETEVERRDQNTAEPPSVTETTQIGAEAVPEAECSRGKQAEASTQEQPIEEIPRIPRGTGTEEDIGSFRIESYDPMLNPNPQAFEYILDAEEDEEHIDRGLYHAERAVAYFKAVGEASRKKTEYIHNISLMHAKADRLQKELEREREDRKLQEAEDANMIRTLHLRTKELVAEKEDMKKKLTAAKAELKGVQQQLKTTQSKMTDWSNLANRHEEALKTLSAEHDVIKEELRVAVQQRKDADAQLMQILEQQKKLAKDLEDARKEKSQLSEELVQARKNLADKKALDEKLEQATRRMSELEESLRLMKKSDDDLAEALIRISLLEKAANPVVKALVPEDPVSPQSFLERLKAMPRQLKTYIKRSSKACLVHVLAVIRSRYPEVDIGKLVEGAEPKCTEAAFRDLKQEAEPVAEAIAQSLRL >Dexi6B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:6B:22726244:22728738:1 gene:Dexi6B01G0015370 transcript:Dexi6B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSRPPTAGAPPPRAAQERLPVEGSRSASAQEEGSVAAAASAQEAMAQLPSEHYFSEINTKEHGEKVDKYQGILAARLKAKYFSNKTFEKGGMFEEVVIQSETIRLSRWPFTRVFADPAKFCREKSYTEKDISPSLAGAAMSNN >Dexi5B01G0026660.1:cds pep primary_assembly:Fonio_CM05836:5B:28377929:28380661:1 gene:Dexi5B01G0026660 transcript:Dexi5B01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPAVPRPSSGAGVHPSTSPCFCTLSLQDHPSSLSRTALLPLASSAGHADPVVLCLDAEAVRRLSSRPAELVVSVHAGRQTGTNCGISAARALGRVRVPVDVARAAAGEAVVARDGWVDVGGKPAGSAASSAGVRAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQRQPVFTCRFSAGRRAARSRSLTPQSSMTRSTSRRLRSWLSGTLHGEARDGAHHSSRREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILQATGAGPSSWKPWARLEAWRERGPVDALGYRLELVFDSGPHECTVPIAESSISTKRGGQFVIDPATFPEAAAGAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQHVTCMGDVAVFVALSAAVDLCMDACKLFSQRLRKELCQDQDE >Dexi2A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:2A:5084325:5084967:1 gene:Dexi2A01G0005330 transcript:Dexi2A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding DREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLDTHKETCRQVHDVGNASYSICLHFILIFQ >Dexi6A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:6A:5386803:5387825:-1 gene:Dexi6A01G0005870 transcript:Dexi6A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAGKGGGKEKGPGGVCELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCSRPTPWRAAGPRLGPTASLCDRCVRRGGGGGGPGGVGASGAGVGAGVGGDVEMGGVADGRRGDEDSDGEDDDEVVVEEDEDDEEEEEGEGENQVVPWTEEADATPPPVASSTSSSSREVAANGTNAAECAKEDVPCSTSQPSLCHYSSPARHGGRSDEATSSRNGGRFLSSRHRKRSPSDFLGSGSAQSGSGSPARNCSNAGIGRNE >Dexi3B01G0029170.1:cds pep primary_assembly:Fonio_CM05836:3B:28244750:28250478:-1 gene:Dexi3B01G0029170 transcript:Dexi3B01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSLGRDRLFISLGRTYKQEEFDALCFEFGIELDDVTTEKAIIRKEKHLEDDGEVEGDDEVIYKIEVAANRYDLLCLEGLARALRVFIGTEAIPVFRVSSIPHGSMIQMHVKPQTSQIRPHVVCAVLRGITFDEARYNSFIDLQDKLHQNICRKRTLVAIGIHDLDTLLPPFSYEALPPHEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLRTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVNHDGSKTVYPDLSCYQMEAPLSDIVGPIGISLDEKQDVAIAYGYNNVPKTKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILSSHEENFDMLNRTDDKSKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKYDELMRS >Dexi9B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:9B:7675563:7676105:-1 gene:Dexi9B01G0011760 transcript:Dexi9B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGKRRRSRPPCGHRPYNCNSSGHLVRNRTELLVTINGFYAAALDRLPVGEMPALVPRLLKSGLCVGFSDPVSNIILNTLSSSSSCTRRCVPDRKPAAASEAAADSDEGERDHQRKAAKRRRRRALSRVVADTGDVKYWPSFRRLLRDMPVAARSLEALVAFLTYYFDTPVAVNHSTT >Dexi9B01G0037840.1:cds pep primary_assembly:Fonio_CM05836:9B:39120739:39124214:-1 gene:Dexi9B01G0037840 transcript:Dexi9B01G0037840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAAGETPVDDGAPPPGVLYSFGTPWPEFNQGLSYSDTFRCADADATTTLIEFYSTNYQSSAPLPGWIKRIRNGQITVDGEVVTNPDMTLRHGSKLVYHRLPWKEPSAPYLLEVLYEDDDMLLFDLLLLLGLLLCAKTKVVITQPIGLVHYPGVAEGLYAACSSGITICIGTLCGMFHRVSRYLIGKPAMSKVCVLERLAHQNHTLVQVECVNYDPLYGIGGHPKFEPESTGTDSSFAYDGGYERPLQPVPGDCGYHLHAHWLVIFHPTTNKVIKYLSHLGQCSTGNEFT >Dexi2B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:2B:3141676:3149113:-1 gene:Dexi2B01G0003570 transcript:Dexi2B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPSSAAVVFAVNGERVELREGDVDPGATLLEFLRTRTRFTGPKLGCGEGTRPFAAISLRGCGACVVLISTYDAASGEASHAAASSCLTLVHGLHHRAVTTTEGLGGGGGRAGLHAVHERVAGFHASQCGFCTPGVCMSLAAALAAADAKKGKGGRPAAPAEGYAGLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEAHASKLPRYDEGSIGVFPEFLKAEIRASSGIEECMPPALVGSASIWHRPRSVEEYYKLVGSELFGKSRTKVVVGNTASGVNREAEVYDRYVDLRCIPELNSVSKEAKGVEIGAAVSISKVIEALREDGGCNDVVFCKIADHMEKVASPFVRNTASLGGNLIMAQRDQFASDIATILLAAGSSICIQIIEINKDHLPVGIPAKKVGAELQASGEAVFVDDIPSPKDCLYGAFIYSTKPLAHVRSIGLDPSFKQLNTLGVVTAKDIPEGGSNVGANTIFGPEPLFGDLVTQCAGEPLGLVIAETQKIANIAAKRALIDYSTENLGAPILSIEEAVRRCSYFETPPFLLPQKIGDFSKGMAEADQKIYSAEVHFLCQFDQWIQCTFLQVNLNSQYYFYMETQTALAIPDEDNSIVVYSSSQCPETTQNVIAKCLGLPCHSVRVITRRVGGGFGGKAVRSLPVAAACALAAFKLHRPVRIYLDRKTDMIMTGGMTKDISLIIPHNFIEALKKYNWGAFSYEAKANMVGVDLSAREYYIPGPSGSYLNYGAAISEVEIDLLTGATMILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEEYVTNSDGLVISDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKASGEPPLLLAASVHCATRAAIRAAKEEPHCSGSGSSSPSHFDLEVPAIMPVVKELCGLENVERYLESLLNSK >Dexi1A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:1A:3820452:3821223:-1 gene:Dexi1A01G0005230 transcript:Dexi1A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKLVLVALLVAAMASPLALAYDPSPLQDFCVADKASNVFVNGQVCKDPAMVSAGDFSFSGLQNAGNTANPFGSKVTLVDVKALPGLNTLGVAMARLDLAAGGLNPPHTHPRATEILTVVEGEMYVGYLDTTGKLFAKVLHKGDVFVFPKGLVHFEFNFGAKHAFGIAGLSSQNPGLIRVADSLFGASPAISDDVLAKAFRIDAATVQRIKAQFATKK >Dexi3B01G0024710.1:cds pep primary_assembly:Fonio_CM05836:3B:19367484:19369612:-1 gene:Dexi3B01G0024710 transcript:Dexi3B01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPADEAGSPKKTKQGGFKTMPFIFANEICDRFATAGFNANLISYLTQQLHLPLVEASNLLTNLNGTAAFTPVLGAIVADSFAGRFSTIAGGGALYQLGMLGLVLSALSPSLRPAPCSATAATAPSLCQRANAGQLAMFYLSLLLTALGGGGIRPCVVAFGADQFGRRKQRPGGEQKWSYFNLYFFAMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFLSVMSFVAGYPLYVKVLVAAFRKRMEDVPEDAGLLYHNKELDNPIAADGRLLHTNQLRFLDRAAVVTTGDVTDSGEPYLWRVSTVHRVEELKSVVRMLPLWAASFTAIAAASHNFTFAIQQARTMDRHVTPNFQIPPATMIIFTTLTMLVTLALYDRAFVPLARRLTGRRSGITYFQRMGAGFAVSVLGVMAGAFVEAKRRGVAAEHGLTDTPSAVVPISVFWLVPQYALHGVSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASRGVWLQDNINRGRLDYYYWLVTFLLLLNLVYYIVCFHFYTLKTFEVDVAGDDSQRPRREGGGEQGGERQAETCHGQVVGASRNGVGLEPFCDGEKHTRTSDHS >Dexi4B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:4B:19657762:19661252:1 gene:Dexi4B01G0017360 transcript:Dexi4B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAGARVSGEVPVTPEPQQPEEDQAVSDRRLLRSQYHAVKSLISDDKDVMASVDSDKFCSIIDKVESLHLRVQKPREQIADAETLLDLATSLVTSVRSHSALGITPSDFVAGLLKKFGKPGGPDDEHAWLDWARVGCAASHVFMAAPGCATMVGPMKTEVKARRIFNRRKRTAKPLGSSCPQQLADPTEKTKSDTDKNMSSIFNLLRRKKNARLEHLVLNRNSFAQTVENVFALSFLVKDGRVEINVNDEGHHIVYPRNAPAASAIASGEVVYNHFVFRFDFKDWKLMKGVVPDGEELMPHRSSQDAPGTAGNRHPEPELPMPTQAPPIRKRCRNRGLVLQDETVSTGEHQVMAGQTVASDTQQVVEDEMAAATGVKEVVEDEEGMATGAKGVIDDNIVAKHRKEINLTYKRRRLFQDN >Dexi4B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:4B:22598485:22602062:-1 gene:Dexi4B01G0020390 transcript:Dexi4B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDTSKVTPQEPPPWHAAAVGAPEQPRHPLLHCAAPRRRLRFREVVLCVAATRHAPARRRRRLLADKDDAERTLARTAEVGDIDVDEANPQRVTEIYLCRSVISRTHELRIMWLMLKNGAGNFQGNIRDLTPVHRAVAKHTDYNALQDCVAGKKDQQSSRSSLTAHITVASAEDIDIQQLDACSKSGAQIQQNLFKDMFEQAKYEDSNIGFFTLMKDMVLHVHDCDPKAKTDNKFPNVENFALMIPVHMLDFLPKVIENLIKKNIDITAEYGYKT >Dexi2A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:2A:5008522:5010760:-1 gene:Dexi2A01G0005240 transcript:Dexi2A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALKVQSNGDGGEGLFTDDPGYVEVDPTGRYGRYNEILGKGSSKTVYRAFDELRGMEVAWNRVQLHDFLRSSGELDRLYGEIHLLKTLRHRSIMHLHASWVDAPRRAICFVTELFTSGTLRQYRHRHPRVSAAAVRHWCRQILDGLAYLHAHGIIHRDLKCDNIFVNGSQGQVKIGDLGLAAVRRRRAAYAAHCVGTPEFMAPEVYAEDYDELADVYSFGMCVLEMVTLEYPYSECSHPVQIYKKGIKPAALYKVKDPLARRFIEKCLSPASRRPAAIELLNDPFLKVEDDVFCVPGNEDYSSMYNYLHQPAYLDHHHRRGGSSGSTASNGGGDGRWDDTEDEDDDGSMFHGIDQLFNEHEDDEHVAGVDITIKGKRMEDGSIFLRLRIADKDGTGRVRNIYFPFDAEADTALSVATEMVAELDITDHEVTHIAEMIDGEVGALLPHWRPGPGMEDDGDLAADDAPDASSTTPRCKNCRRRSRDDGASSGGSSLDDYLSAAARHGCRCVELHGRFEEITFQADDEEEQVQFQSSGWSSDDGDKKLGDINGKGDKS >Dexi5A01G0034600.1:cds pep primary_assembly:Fonio_CM05836:5A:36628847:36630416:1 gene:Dexi5A01G0034600 transcript:Dexi5A01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVLYFVVVSLYHRRVPPLHARALTAGGVISRPIAERFASFFRTQESLDTLCKRYDVPEETATLLTTYATPDSTPARDSVVLGWYKFCTWRDDYRRRPYGTVQAAGALTTDAQPFRSISVSHDDDEEEDGIAHLGRQQRRQGLEERDRAVADGGRRPDGEMANDDRVKGRIPRAGSRWPIDCNGSFPFLEEHRLLFTSDEGLDTTKLLDEKGRTNLTMMLYIFVHPMRDTVLCFVVVSQAARSSGGNKSWSTGPHVTRRRASAMPLLSKPFVSPHCRSLLAATLFFLLPCDRADQSRAHSKPPSTDWPPETLRTCAAFLDGSPQLTGHDHEVGCLT >Dexi3A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:3A:1541201:1543711:1 gene:Dexi3A01G0002320 transcript:Dexi3A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSTPAVGEAAAKMKKCLRSPRVLVLGGTGRVGWSTATALSKLHPDLNILIGGRNQEKGKFLASEFVQVDIHDASMLKEALYGVDLVVHAAGPFQREDNFFYYIAGSGGVGPTTLASSFYLLGEDVITYNKGEEIKLKPYSGVLNIDFGKDVGKKNVYLLNLPEVKSAFKILDVPTVSARFGSDPFFWNWGMHTFANFLPTESLRDKKKVSKLVEVIDPIVRTIDGIAGECVSMRVDLESVGYAAAAFVLAILEGNTQPGVWFPEEPEGLATKARKLLLKRATQGATNFMMNK >Dexi3B01G0036330.1:cds pep primary_assembly:Fonio_CM05836:3B:39152925:39156470:1 gene:Dexi3B01G0036330 transcript:Dexi3B01G0036330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLSSVASTAAAQVQARLLRRPSCCRFGPGSAAGFVACRSYAARSKMAPRAVPPIRTGFQPVYPIFQDANWKERNVPCYQRQKAPQIEAKSMEEVYDALAEHLLSVLKNTEHLDSKYIVGLAGPPGAGKSTVASEVVRRVNMLWSDKHSKHSRALLPNEEIAAMLPMDGFHLYRAQLDAMEGSVYAPSFDHGVGDPVEKDIFVKPQHKIVIVEGNYLLLEEDIWRDIKDLFDEKWFIDIDIDVSMQRVLKRHIATGKEPDVAAWRISYNDRPNAELIMESKKNADLVIRSVNFSS >Dexi5A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:5A:23487128:23491387:1 gene:Dexi5A01G0019510 transcript:Dexi5A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAWSTHQFFIAAPSPCLSTADPPPRATSMAAGGSGGGDIGADSERRLKKAMDKLYYFPKPKLNGPGGSKPSSSSSAPAPSSGRPVGKAAAEAARRFGLVRGSLLPPQLAAMSAISPPPPCRPWDRADLMRRLGSFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACDARLLFSTPSSWTTRQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLLALPRISCSSLEIMKKRSPQLEQFLSEPFSSSVVLKGRFMLTEDSTVKDLDDAFQDADTYYQLISDSDRQDDQENGQANIVSGVGHSKDANIGFNFTIAGGSMKRKRSTDQPHLLESNIGDVDTATTGAKHDQPGDYSEKSIPYSEVSIEQKQGDSQSDTDKDTNMDAASNEKQPEIEKQGLYDRMNEFDPIKQHRTFCPWISPDYGESLPGWRLTLMALLAQDKRSDGDSRVEVQTGLLDEDDDPLTSVRKLFMTPPPKRRRIQQSDKS >Dexi9A01G0037550.1:cds pep primary_assembly:Fonio_CM05836:9A:41813410:41818968:1 gene:Dexi9A01G0037550 transcript:Dexi9A01G0037550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLAVAGSGARKAEVQTLAPVLLMGPPPPPPIPPTTGMYLPGPPPPGVLLPRPIPLPLTREAIAHMDECRSRSLLKFISDAGIVPSPEDEQRRERVVRELGKIVMDWAKRVAYEQGRQHWITSAAVLTFGSYALGAYGPESDIDVLCVGPYIASLQHHFFVVLRHMLEGRPEVSELHSIEGAKVPLMRFKFNGISVDFPYVQLPVINAAEAIHAFDPRVLENVDGASWRCLSGVRVNRQIIQLVPNMKVHNNLTRFIHTLIFIYISFIPLCAYFRPNVDTRTTDFDWSWLFAPFPYSARYKSFLRIVLSAPTAEELRDWVGWVKSRFRNLLLKLESLGAYCDPDPSEQLDRTIPEPNVVFFWDVGACSCLWHKEYGSIFWELDVKGWIFLQASSANSHSERRFPVLRLVQV >Dexi4A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:4A:20232617:20239477:-1 gene:Dexi4A01G0016610 transcript:Dexi4A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTSLSPSPAQADPGGGASSPGSRWKETAMLDLAGSGSTSNSRQSADGFRARARRKTATTADKGCRGVPMGPWEKGLGRGKMHNKSTSRVATYSSGHALPVPNKVPSLGFGSANKEWDNIRMRKVQLMNFLSSLECHSENSVIAGKMAGGKLSNHSMGPPELKEKTDIILIDSDDEDGNNSGYSKLAPKINKELITSELAITKWVASNGMSQPFETMYAEGDKTSQIVPYGQSAALANQFPLQTSWQPSIQFERVLLQKKPEEQRMQDLAAVNIAEKRAETQVFPSLPVEKKRRRSDPSLHVSEDATTGPRKRKSNTDSVATDLPLDLRETDISSEPDLPIEEEDKPKNESDGLEEYWKDFALAVESSKLDTVDEVAKEKEEDNEKMEDIDCNHDIRIHEDLGHVCRICGMIVRRAESIIDYQWKKVCNIFKRKAHVIRGLRELTKDVLHYYKGDILDELPGLFDFSVFLKLSPMQKEIIQKKMEGNAEDRANTLTDKSIDSKIDSINVSDGVKARPGQKKKVFVYRLVAADSAEEKHHETAFKKEVIPKLWFEWSEHCTTEDFKLGQVDIDDSGDELLDTKAMRQDIKALYK >Dexi6A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:6A:17268167:17271922:1 gene:Dexi6A01G0011650 transcript:Dexi6A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGSIASFATYRPPLPVDMFICPVHPSLGNGEQRCLTDGDDFYNYNGRPIPAAALRLLLEKRPELASECGATVEDVKKGLATGLVFVSEREKGLETLHVALRYNGKVKVLSLADIYGTDTFGGMRMEDSGCFGGGFAPDADLSIIYVSTKKQVEKRRAPWTVVYRTSLRTGETERLTPEGQYDLSPAVSPSGKRVAVANFRWNRWTGEIEHLKTDIVVMNVDRKAQGGKLLRRRLIKDGGWPSWGSDNVIFFHRGIERMDPNSGKVTTTWRVFRYDLNTDQAVAVTPEGINAMTPAAISETKVVVATIRERTNQMSEQRTEAQYRHIEMFDVGRPDQPSVIQITRNVGSKADYYNPFVLDGGRSIGYHRTRTEKILMKDGKSDVPRTFSKLHSPHNDVAQFRVSGVFPTFSTDGSKLAFVDNEFKAVWLADKQGLRVVWERRGGNSVFSTVWNQNSEKDILYICVGPPFSANSPLEIYAIFNVSGSQGRPQEKRLTDGGFNNSFPSSNPDGTKIVFRSTRDHTHGPTPDTKHKNLYIMQDAYAGETLGDGSVTRLTEGEWTDTHCQWSPRGDWIVFSSTRDKPANAPLMDHGVDIGYFAVYLLMAADPSVVVRVVTSAAPSAGPGSVAGHVNHPVFSPDGRSIAFTADLSAVSAEPISMPTFLHSVRPYGDIFSVDIDPDDIHKNKDIKKFHRLTHSRYEYSTPAWTQFATNDPNAQWNMLVTTDDNAANFVPLCPYTHADGGESWHMTGHMILPRKCC >Dexi8B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:8B:22472335:22476161:1 gene:Dexi8B01G0012890 transcript:Dexi8B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAPIGGGGSFGSRPRGGARWDREPDPFANSDPAPTADSSDTPFDEHQNTGINFDAYEDIPVETSGREVPPPVATFAEIDLGAALNENIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAGRPQRGGMGMRTAYPSALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGVRQTMLFSATFPKEIQRMASDFLDNYIFLAVGRVGSSTELIVQRIEFVQEADKRSHLMDLLHAQRDTGKEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSLAKSLAELMQESNQEVPAWLSRYAARPSYGGGGRNRRSGGGSRFGGRDFRNDSSSFGKGGSRGGGDYYGGGSGGGYGGSSSYGGGGYGGAGAPSAWD >Dexi2B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:2B:20606695:20607420:-1 gene:Dexi2B01G0013170 transcript:Dexi2B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLQDWPAKPDAFRGSLAAYAVAVQQLGARVLRLIAEGLGLDQSCFLGELIGGGTLMNVNWYPPCPNPSLTMGLVPHCDRPLLTVLSQGDVSGLQAKHRDRWINVHPVPNAFVINLGHLMEIMTNGLLHSVEHRAVTNSRAARLSVVSVIMPEMDSHIEPLPALVTEEEPPRFRPFLFREFNEAYTAASANREVVLHRFRIHSAH >Dexi2A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:2A:12149157:12149922:-1 gene:Dexi2A01G0010820 transcript:Dexi2A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTWIKGTFLKLIGDMISFHIRVQAALLKEYPNKMLVTSLVVAAVAERDMSRWKLGLDVSLLAIIYTGFLVTGVSNYLQVWCLEVKGPVFVAAWFPLCFVFTLFCSSSFLGEIVRLGR >Dexi6B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:6B:7755324:7755652:1 gene:Dexi6B01G0006820 transcript:Dexi6B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQTSDVKDLERVVTDTRPEPISISYATIKYITKDFAQVIGVGGFGEVYLGGHGNWMVAVKKLKSSTDVSNEKFLIDRL >Dexi5B01G0034390.1:cds pep primary_assembly:Fonio_CM05836:5B:34641274:34643384:1 gene:Dexi5B01G0034390 transcript:Dexi5B01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNGWIWDKKSSDPRYVSSASIQWEDVYKSIQNLNGGEQKLKVGLLNFNRTEFGAWTNMLPESDFSIIRLEHANESITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRETHFDVIAVKLPCTRVAGWSRDIARLHLQLSAAKLAAATARGNGGAHVLFVTDCFPIPNLFSCKHLVKREGNAWLYKPDVKALKDKLRLPVGSCELAVPLNAKARLYTVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDTISDHHRKGLESAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFAMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEILREFASDVAHARWWKVHNKMPKKLQGYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKTCFEKFCFWESMLWHWGENKTNSTKNTAVPAPPTARLSSS >Dexi9A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:9A:967172:969833:1 gene:Dexi9A01G0001850 transcript:Dexi9A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGKAKAKPSGGKRAAAPKDPAVALLPDKRRRERGGMDDSDHEFDSDMKEIVTLLRNIKDKAHKDGQKKTEQAISSVATEIQTMVQDTKTKFEKERQNFLKALSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHIQNFKDLFSKFEDDKEKLLMHYELQRKKEKTTLSELEKTFSEKIGNAEESLKKMKQDDKSIDILRKSIGSFLGGDADDQFGQDDD >Dexi9A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:9A:5910642:5914174:-1 gene:Dexi9A01G0009740 transcript:Dexi9A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAADDHDSRPPPADGPAVAGEGDASASAAADALMSAASEQLTLVYQGDVFVFDPVPPQKVAPFAPSRIPSPPSGSPRWSRDAALTCYGWSQFLLPLDDLRWQRIVPRVQAVLLVLGGYEVPPGLVNMAVPTANDEKSTTVAARRVASLMRFREKRKERCFDKRIRYSVRKEGTLRSPLNAPKMTLQHPANPSKMGDTDGKSLIVLPVDHNQAAVKTDSGMMPEQEQKLDICPPTEKDIKSVS >Dexi2B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:2B:26083369:26084815:-1 gene:Dexi2B01G0015950 transcript:Dexi2B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGKAALGWAARDASGHLSPYSFSRRVLRDGDVTIKVLFCGICHADLHVIKNEWHNAMYPVVPGHEIVGVVTDIGPSVTKFKAGDTVGVGYYVDSCRSCDSCSNGYESYCPKLVPTSNGVDSSDGSITKGGFSDVILVSQDYVVRVPESLSPDRAAPLLCAGITVFSPMVRFGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVISTSPGKREEALERLGTDAFLVSRDPEQMKAAASTMDGIFDTVSAEHPVAPLLELLKPMGQMVILGVPVKPLEVPAFSLVAGGKRMAGSPGGGIGECQAMLDFAGEHGITADVEVVAMDYVNTAMQRLERNDVRYRFVVDVAGSNLGAAA >Dexi4B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:4B:1558078:1558896:1 gene:Dexi4B01G0002440 transcript:Dexi4B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLHQQHHQGRGCWCPPGPLAAGVAVPEHGALSGGCTVVLVEPPQSTVLWYCHAGGEGSAWSKHEYDLGGSSIPVPGGPCWCKRVISRLASCRGRFYYSHSATEYGVIDFPSKPAFSTVPMRKVPVRHPAGQFMAVASMYPVEIDGELYTASVVHRGCHDANSVDDVGVYRMGFARKKPVRSLGDRAILAGGGSGCFGGWCKATEFGLRPNTLYWMSPEDKRLHVCDVGTGAVEEVKEPCKGVAQPSRQPFWMIPEHS >Dexi9A01G0029460.1:cds pep primary_assembly:Fonio_CM05836:9A:34341048:34345777:1 gene:Dexi9A01G0029460 transcript:Dexi9A01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLALFLAVSLLFAAAAHGCEPYCPGPVVPTPPVVPTPSHSYGRCPIDALKLKVCANVLGLVKVGLPQYEECCPLLQGLVDLDAAVCLCTAIKADVLGIHLNVPVSLNLILNNCGKICPADFTCPHYKNHAKHPEKTTPKPKAHEHPVAQGLHSFAPCSRTRSPMAAKLALFLALSLLFAAAAHGCAPYCPGPVVPTPPVVPTPSHSHGRCPIDALKLRVCANVLGLVKVGLPQYEECCPLLQGLVDLDAAVCLCTAIKADVLGVHLNVPVSLNLILNKCGKICPADFTCPH >Dexi1B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:1B:23450715:23466654:-1 gene:Dexi1B01G0017070 transcript:Dexi1B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPPPRPPEQAAIRSHGRAAAVAGLRTFPWSFRCCSRPARLPLAALPLEHASTTSHGRAAAAAGLRAFPWSFRCCSRPERLPRAALPLEQASATSPGRAAASVYVNGQRGGARLGKSEAHDWVTAVLPGAHLEHMATTATKSRSPPEESNIASVKSSRKRATSLEPWERAPIVARLSTSLAAAALQWLRLTGPNCRYRTHCCLHAVASRSCRCCSLPEPPLLLRADGGASRPQPPNLCSRSSTPAWPCLTAPIVDPESSSLLSHADADADGSLLVAAETSSPRLLCDWRRLAYVIFSGGVDRFDEVNLLSLDNGVNACPCTDLEARDAGVDNARQPSVIFPRNPSQNSAAAMPARTRLPPPAPAPHIATGGGRGVEFRRKLHFLSSELRLDPFPLLAANPALRSAPLPLLRDSLDLLASHGLSARDAARVFSAFPYLLTTPPDEPLRFLSADAPLPPPLLRAAVLRSPRLLAASVPDTLRPALHFLRRRVALLREPLPLAAALLLAFSVERTLLPKLLFLRNATGLPDPAVCAVLRRAPAILSYGIETNLTPKLQFLAECMGMDPAVELAEFPHYFAFSLEGRIRPRYEALRERGIEMSLKDMLTSNDDEFRERLINAALSGPKGEVAAEHSICLLPAEGKTGEKYESSEPSGLVQPAGRFPPLKKTVNKIRVWSVAPSWSVVPWDLFYCIGLLFWSSPRPPPSAACGEAFRARMVKPPADTAPSTRDAIPSAYDPCLPSIIVAQSGRIQCVATRVVVSFQSPTRIVAARNRAELWYAASPLHGTEPPPPHPRCPLISPATSTTSRTPYDGTLTAQIAEGHRRGADLSNSWWRLVRRTPAANPDSKPRVSTRVSERIEKRPASAPSCHHWSVRRRVAKFWSEGSFWFSPDSCANGRLANILAEDFTARNSLSPDPTASLDGGHLLWAVSKYHPPRPDGESEIPFSTERPTIYAWHTVTRAPFPWEPQVSWLIRTTVGGCVWPHSQEKTTSSRQADGSVTAIGRAVTRRTIKKRAARPPRETNAKEGENKKQAFFFSRDISQEEGGLIRRGKKAPPFLSHFPSLPLRFVGFPEARASIRPQESSKSAWI >Dexi5B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:5B:251134:253094:1 gene:Dexi5B01G0000370 transcript:Dexi5B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRILNNALRTMVNADRRGKVTTLLQPISGVIVSFLNIMKHRGYIKNFEVIDPHRVGKINVELHGRINDCKALTYRQDLRAKEIEQYRVRMLPTRQVLYPLISMDI >Dexi6A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:6A:820753:821431:1 gene:Dexi6A01G0000970 transcript:Dexi6A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLETLFLHTNAEVDTPMLPTKFLNLKHLTIQIWSSSYDYFSLVSFLDASPYLVNWNLDVAQEDMEHESIFEGSSHLRQLPEIHHHRLKSVEIIEFSSAKSLVELTCCILKSAVSLEQLTLDTLHGDAKLFSRKPLEGWRL >Dexi4A01G0022070.1:cds pep primary_assembly:Fonio_CM05836:4A:25420151:25421509:-1 gene:Dexi4A01G0022070 transcript:Dexi4A01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRHLLPHFLRRGGPAVRALSTAAAPAAAVSSAEEDEESVTVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFNLSRYGNPHSRTHLYGWESDAAVEAARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRNDGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKDVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRRVSALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVRQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >Dexi9B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:9B:6118389:6123616:1 gene:Dexi9B01G0009940 transcript:Dexi9B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTAISRLGARLRPRPRPDSRAHHPSPASPHLRALSTRRGKRSSPTPSPADMDDEGPLRGLFVLPRDPECPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYEGEFGAKGAPPHLVVQNPASRGRSHADTYFGPGTVDNVKCYLRASDSEEDVDAVFVNAILTGIQQRNLEASAHVAWGKPVLDRVGLIIEIFHAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGLSGEAEVVSARGRGSGGRGFMSGAGETELQLQRRRIQERRVSLLAQIEDVRRTRAIQRSSRKRHGGSYGQELVTVAIVGYTNAGKSTLVSALSEADLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPIQLVEAFHATLEEVVEADMLVHVLDSSAPNLEEHRSTVLQVLQQIGVSQEKITNMIEVWNKIDLVDENAASDGVEDEIFLTEGEEEDDIFSEDDVPSEQSSFDSLDNGAESEYLSEENFEDNKDEVVSKESSAEPSEMKAMNPEDRTARVVGTDR >Dexi2A01G0023320.1:cds pep primary_assembly:Fonio_CM05836:2A:35038950:35047592:-1 gene:Dexi2A01G0023320 transcript:Dexi2A01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNSNDQTSINVLLVPFPAQGHINPLLQFGKRLASYGGVRCTLAATRFVVSSTKPTPSSVHVAVFSDGCDERGPDELGGVGAPYFARLESSGSDTLDALLVSESELGRPVHVVVYDAFVPWALGVARRRGAACAAFLTQTCAVDILYAHAWNGKIPPANALRPEDIRGLEGLSCQLEMSDMPTFLTDTSYPPSFLELLVNQFLGLDTADHVLVNSFYDLEPQEADYMASTWRAKMVGPTVPSAFLDNRVPDDMSYGIHLHTPMTAECKAWLDAQQPQSVLYVSFGSMASLGPDQMSEVAEGLYNSGKPFLWVVRATETAKLPEGFAGKAKGRALIVPWCPQLDVLAHPHRLHILVLPYPSQGHINPLFQFAKRLAGHSGVRCTVALTRFVASSARPATGSVHVAVFSDGCDDVGPEGVGGHRRPYFDRLSSAGPESVDWLLRSESELGRPVHVVVYDAFLPWAQGVARRHGAACAAFLTQACAVDVLYTHLQAGRIPHPPVREELPELSGLSSRLELTDLPTFMVDKNRPPGLLELLMNQFAGLDTVDHVLVNSFYDLEQQEADYLASTWGAKTVGPTMPSVYLGNGLLDDDDASSGIHLQTPMTSECKAWLDDHPVLSVVYVSFGSIASLTSEQMAEVAEGLYRSGKPFLWVVRSTETTKLPANFTKKAKGRGLIVPWCPQLEVLAHPSVGCFVTHCGWNSTLEAISSGVPIVAMPHWSDQPTNAKYAQDVWRVGVRARPDSEGVMRREEIERCVRHVMEGKMCKEFKARALEWSKKAKKAMSKGGSSDVNMLDFLSKFGHHK >Dexi5A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:5A:8092207:8092603:1 gene:Dexi5A01G0010800 transcript:Dexi5A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAADRLHSITCALLLIGVVLLAGLGREGMEGVAVAAACPDYCLEVDYMTCPSSGAEQLPASCNCCLAPKGCTLHLSDGTQQTC >Dexi2A01G0033160.1:cds pep primary_assembly:Fonio_CM05836:2A:43456480:43462737:1 gene:Dexi2A01G0033160 transcript:Dexi2A01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAPLDFALFQLSPRRQRCELVVSGNGRTEKIASGSVKPFVAHLRAAEEQASAQPPLPAIRLQLERRAPWFSKGTLERFVRFVSTPEVLELANTYDLEMSQLEGARRIYAQGVTGDATSGAAAGNVTTSAAAAAADVTKKELLRAIDVRLNALKQDLAAACSRASSAGFNPNSVSELLLFANHFGANRLSEACTKFMSLCQRRPDISPQNALPAVSSHWKGFDDGNIRGSSSSDMSIDEPQVDLGQSNNKSIAGGSDSQTHRLNNSQGSVHVASEPVAEQQPKPTVQQAADKQETETDVSPAPAVGVSRRLSVKDRISMFESQKKEQTPSSGNSTSAGTGRVVSAKGEHRRVPSGASMEKLVRRWSSVSDMSIDLSNNDSGNLNDKKENGTPVATPTSTDLEANSKSRVEEALLKDKDILTSPSSEEHFRMVDKEIAGVPHEVPVASGQIPQNDIRGPRLHTKDIRTEAEVTGRKDRPSRTFEKTSGGVKSKASSHTRAHVRGSSGRDEVASTETEVHDASLQRNRLARKAEDVGRKVTVGSDSDCSGHRGTNLSRQSSITDQELNLQARGVDSFMVEEELNSDYLSGDGSSRSADSRKNFSNKVASTQKASVASIHKRSSRTVGSGYANRRNPTENPLAQSVPNFSDLRKENTRPSPGLSRATTRAQQKSFARSKSIIEDSKSVLKEDQSRRSQSMRKSRIPDDLKDIPSANEDAYNWAPSRISNNRSEGAFAYNTRRTGPPKAFLRKGNGTHPVVGISGFQAAATMMASALQRNESGDFEDQQEDSPDDAKEEEEYESIEENLRESDFPADSDSENPIVSHEFGNSDDPGSENGDVNFPSEASGLGGTEFTAFTGNMHNPTGDLPVPWSSRLPQLFPYANDNSDGDAFADSPSGSPSPWNSHSLDEITDADVSRMWKKWGSAQMPFAGVNASQQPRKDVSKGLKKLWKFGRKNRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDFRKSRMGYLASYDGFVENEVFAEQEVFI >Dexi5A01G0027140.1:cds pep primary_assembly:Fonio_CM05836:5A:30685867:30694622:1 gene:Dexi5A01G0027140 transcript:Dexi5A01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLRGLRSSASRAYTHSLSHASSPSALGSSLLRRLYSSVAASTAASPAFAPGGVMDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKDNELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKSPPDNAKNMSSLLDSIIQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVTVEAAGAGDIISMAGLAAPAIGHTVANTEVLAALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTERGERLEPIEEVTVEVDEEHVGFVLETLTHRKGEVVDMGPVPGAAGTGRTRIFMTCPSRGLVGVKGIFSSFTRGTGFMHRAFQAYAKYRGPLGSVRKGVLISVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRESDLEVNPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVAADELIEASIVF >Dexi3A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:3A:1955855:1960142:1 gene:Dexi3A01G0002960 transcript:Dexi3A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGEAAAELAADELQSLSFGSSSDRSRSRSASTVSTATASCSTSSSGPLHLPLPPRSTGNPSAAASAQAAVVPRLGSVSLSDIRFLRRLGAGDIGSVYLAEVRPKDKHPPSGGAAVLVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPLSLAGRSATSTSCTISSCIVPTVSCFQLFPGRGRSRRRRRWRIKKPSSGGGGGSNSFPSSNSSSSGLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFIFELLYGVTPFKGYDNEMTLANIVARALEFPKEPSVSSAAKDLVTALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCATPPYVPPPFTVANANKAGGNNGNDEDVSDDDSCPGTPVEYY >Dexi3A01G0027350.1:cds pep primary_assembly:Fonio_CM05836:3A:24416611:24418290:1 gene:Dexi3A01G0027350 transcript:Dexi3A01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIIPGASSGEHAAATKVGRLNAAVERSWVGRRFRLAARGTTFTTELRAGTTTFLTMAYILAVNASILSDSGATCSVDDCDTPSPGCKFPPVDPGYAACLARVRRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLLISVVGLRSKLAQLIPKPVRISASAGIGLFLAFIGLQSNEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLALVGFLIIAFCLIKNVKGAMIYGILFVTFVSWPRHTAVTAFPDTPAGDDSFHYFKKVLDVHRIRSTAGALDFRGIGHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGEFEGQYFAFMSDASAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFTAALFITPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWDDMRQAVPAFLTLALMPLTYSIAYGLIAGIGSYMLLHSWDWACEAAGKLGCRRKVGGGAERSSGGEAEQGKEMESA >Dexi5A01G0032160.1:cds pep primary_assembly:Fonio_CM05836:5A:34749974:34755361:1 gene:Dexi5A01G0032160 transcript:Dexi5A01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLGDEEVMGAMAEVVQEGCVENRQPLAAPSSSVSDGSSCGGGGPAGTSPPMSSSGNSIPGLRRTSGPIRRAKGGWTPEEDETLRNAVETYNGRNWKKIAHIRYLYLFIAAESFPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIDLVRKFGPTKWSVIAKSLPGRIGKQCRERWHNHLNPEIRKDAWTPEEERALINAHRVYGNKWAEIAKALPGRTDNSIKNHWNSSLRKKLDVYGTNSVVAPPNLLAHDDVKDHMRPVAIGSHLDLNKSPNIGSKGVPGRAHHSIMGSLPQGYSKDSRFISISVPTVQNSYEMSPLVDGSPVTSAMQGLGSNSVRDSVHEKGIEVSSPSDPVGEGCAIRLESAPARSGTKSFLKNELHTLGPLCYQIPKLEEVPPISSSVHSEHHGAQEKTQHSRDGLMSPDGYTSPSPTIRNVSSPLTVDSILKTAADSFPCTPSILRKRKRDKSTPTSDNELKMVGVSADSFYTPNGKGATTNTQQSFKTASFFSLGPLDGLLTSVRSSDASPPYQIRSKRMAALKSVEKQLDFSTDGLDTSGSEILNSPCHNSQSANSNRT >Dexi5A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:5A:3512723:3514536:-1 gene:Dexi5A01G0004660 transcript:Dexi5A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQTLTDSLIASDRTHFVLIPLMAQGHTIPMTDMACLLAEHGAQVSFVTTPVNASRMEGFINHVEATGLRIQFIKLHFPAVEFGLPDGCENADMMQSRDQFKNFMEACAALQEPLTAYLHQQRPSPSCIISDMAHWWTADIARGFGIPRLTFNGFCGYIIVRDNLLEQVEDENELINFPGFPTPLQLTKERCPGSLSVYGMDQIRKNIYEEERKSAGVVMNSFQELESLYIETFEQITGKKVWTVGPMCLCNQDINTMAARGNKDSMDEAQCLRWLDSMKPGSVTFVSFGSLACTAPQQLVELRLGLEASKKPFVWVIKAGDKFPQVEEWLAEGFEERVKDRGLIIRGWAPQVLILWHKAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFVNERLVVDVLKTGVEVGVKGVTKWGHKQNEVTVTRDAVETAVSKLMDEGEAGQEMRRRAKEFGVKARKALDEGGSSYNNIRLLIQEMGNRANASG >Dexi9B01G0035940.1:cds pep primary_assembly:Fonio_CM05836:9B:37526235:37527436:1 gene:Dexi9B01G0035940 transcript:Dexi9B01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQKKIDLIQESSAMNQHEAIQKFIQGTIAEGGPVVPISAQLKYNTDGVLRVNQKIEVRPGIVMKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTQKAGKVSKLTKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCTSKGEKVALSRHVEKYWRLIGWGQIQAGTTLEVPPCPL >Dexi2B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:2B:20730878:20732221:-1 gene:Dexi2B01G0013190 transcript:Dexi2B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVLCLLLVFSSTVAATGGARPPAIFVFGDSTLDVGNNNYLPGSGVPRANMRHYGIDFPGSVATGRFSNGYNIADFLAKNMGFSASPPAYLSLVPSNGRLVQAAVAGGVSYASGGAGILDSTNAGNNIPLSKQLQYFRSTRSQMVTKLGSRATSLLLAKSVFLFSVGSNDMFVFAVAEAKQNNKSTSDQQRDVATLYANLLSGYSAAINELHALGARKLAIINVGLLGCVPSARLRHAAGACSGELNQLASGFDGALASTLAGLASTLRSPASGGGFAYSLADYYAFSAATFDDPAASGYTDVEDACCGGGRLGAEVGCGTANATVCGDRDRHAFWDAVHPSQRSAMLTAQNFYDSRPGRYTAPINFKELAQTGL >DexiUA01G0013890.1:cds pep primary_assembly:Fonio_CM05836:UA:29233992:29251451:1 gene:DexiUA01G0013890 transcript:DexiUA01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMGLSLLLLASLTALLVVAPRLSPPPQAAAAAAAGEEAPPAAGASGAKALASGGGVWGAVLVEEEADDLRLFRRAALEEAGGGAAAAAGEARGPPKVAFLFLTNSDLTFAPLWERFFAGNEARLTVYVHADPSSRLRLPPTPSFRGRFVAAKPTRRADASLIAAARRLLAAALLDDPSNAYFALLSQHCVPLHSFNRLYTTLFPPHPSAAAAPRRLPSYIEAELDHGRVRRAALPERAEARTALDDDGEGEAVRERAGGEHGAVGRERVAREARVREASDHDVVEERVGPPDGREGACGIVHAARRDVGQDEGLGTRPGAGTGASAGGTPTPAALGLGADAGRGLLGGGRGEVLGGAGGGGGGMGCAGGEQEQRGHGCGDAVEGKRDGIFGRERREPTCRGGNEEFTVPACTFSRLHQNHS >Dexi5A01G0025780.1:cds pep primary_assembly:Fonio_CM05836:5A:29553385:29553772:-1 gene:Dexi5A01G0025780 transcript:Dexi5A01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQAVDAAECYPFGGSGCQVEGEPPPPLPPMEPTPRYRWWKHELAAVRARLAAAGARGGEAATAGGEGGAPRKGTKRKESRSSSAAEKAKKRRRVLQFRVGQ >Dexi5B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:5B:21108029:21108262:1 gene:Dexi5B01G0018720 transcript:Dexi5B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPRALQSWCYDSGEVVVSCSGVALAWGHVPHFCVHKGAPTEFTVRPLGRAVGLSDDLRRAHGHRSDNGGDEIVLR >Dexi9B01G0044120.1:cds pep primary_assembly:Fonio_CM05836:9B:43965993:43970685:1 gene:Dexi9B01G0044120 transcript:Dexi9B01G0044120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITASASPPPATMQEISSKASSTTSPAPTTNPAPLPARYKPSLLVIFSACLVLVSATGPLLLRVYFVHGGTRLFLSAFLQISGWPLLLPPICVSLFRRRRSHGVSNLLIPARLAGAAAVLGAFYAISCFVYALGSQALPLSTSSLLQATQLAFTAVFAFLFVGLRFTPFSANAVMLLTIGPAVLGIGPGSGKPAGEASRTYWTGFIESIAAAALAGLVLPLVEVAMARYGGRRTRTGPAAARVTPPYVTVMQMQAVMGAAGTVVCLLGVAIKSDFQALPSETDTFGLGKNSYYLVLIWDAVAWQLMNLGIMGLITCASSLLAGIMIAVLLPLSEVLAVIFLHEKFDGPKGIALVLSLWGFASYLYGERAQKKQEAQKNEKELAKKIGDVESAAPFEDRS >Dexi9A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:9A:10500065:10502182:-1 gene:Dexi9A01G0015680 transcript:Dexi9A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDTSRPLGEDDDLIELLWCNGHVVMQSQNNRKLPPPRPEKATCPAPPAPASVAPPDDEAGLWFPFALADSLDKDIFSEFFCEPPAAAAAAAPVPATTTGVDAGKLCRDVTMPEDDRHGGARAVSEAPCDLMPPPKSTHVSAASRQQTMSLAADAGDINAGDLSELVRAGNSVAGKTKAGKAEAGSSSSMLSAIGSSICGSNQVLVQRAVSAAATGRGGGGGGGGSGGAVPSAMGSANANAGGRGNNEATAASSSGRSNYCFGNTTTTTTEPTSTSNRSSKRKRLDTEDSGSPSEDAESESAGMLARKPPQKMTTARRSRAAEVHNLSERTDKASMLDEAIEYLKSLQLQVQVSATTDDLRAKPRNHTDHTLLSMQMMWMGGGIAAAPPVMFPGVHQYLPRMGVGMGPSMPRMPFMPPNATVNPVPLQPGYRGHHMPAVGITEPYAHYLGVNHLQPTPSQHYAQGVGYYPLETKAAVQQNPALHHVPGGGGMPAAAAPGVPLPPESAPSRGPGMHH >Dexi5A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:5A:24761906:24765241:-1 gene:Dexi5A01G0020900 transcript:Dexi5A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRALLLLREAPPWTLAGAAAAVVLLWLVASTLEWAWWSPRRLDRALRAQGLKGTRYSLFTGNLRENARLNREARARPLPLGCHDIIPRVLPMLHKAVTMILYEVLRLYPPVVLLSRRTYKEMELGGIKYPAGVSLLLPIVFIHHDPNIWGKDARNFNPERFVDGISNAAKHQAAFLPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPQHGAQIRLKTL >Dexi5B01G0039240.1:cds pep primary_assembly:Fonio_CM05836:5B:38211074:38212089:1 gene:Dexi5B01G0039240 transcript:Dexi5B01G0039240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAWGCFFLLAACARALRRVFQLPALLCCEAMVWAISFLAFPLRMLTAVDRERKLGGLIGEMQAQMDHLVWANRDLEDKLQAALRERGAMEALLDEMEDEQDDAFAKIDALQTQVKALRQENMRLNEHKGKSMWDKHGDDGSTKAAAAPESSGATTKQAARSPRVASGRERGEEEEEAMKKAAMEDQGQARAVARRRSVFSVGMSVAVGGVAWSADAACLPLLAGLFAVVGVSMRSVSRLRRGADAVALLSLNWFLLGLLTSPMLPALAHALLPRALVAPALTPFAATPPVCVTATEAAYTLPKL >Dexi3A01G0033120.1:cds pep primary_assembly:Fonio_CM05836:3A:37926064:37927083:-1 gene:Dexi3A01G0033120 transcript:Dexi3A01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEARTKSSTTDIISSPELLTTMTSGTIKLTSFDRVFVKVSFTALLVFEHMGHEAIDIIKRALSKALVHYYPFVGRIVSSGAIDGDEFSIYCTGDGVEFLTVSVDSTLKEAKIFDESSGAKTKALLDDLAVTKFSCGGLVLGVTWNHAIADGIGIAQFLAAVGELACGSPSPSVIPARWDDALSKLHPWSDPVLQATLVCPESHDMELIVPLDITIPSALINRVKAEYRSCFNGQPCMAFAVVLAILWRRRIRATMSNNPGVPVYVTSATNMRKFMGAKDGYYYNCVANHLLIVATRGTVAEAGVVDLIRMIKQAKDLTR >Dexi3A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:3A:2773382:2774852:-1 gene:Dexi3A01G0004200 transcript:Dexi3A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATVLKSSFLPKKSEWGATRQAAAAKPATVSMVVRASAYADELVKTANTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGRKIVDVLVEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVPEILLDGEHGIERTFEVAQKVWAETFYAMAENNVMFEGILLKPSMVTPGAEAKDRATPEQVAEYTLKLLHRRIPPSVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGVPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Dexi9B01G0047420.1:cds pep primary_assembly:Fonio_CM05836:9B:46519068:46520672:1 gene:Dexi9B01G0047420 transcript:Dexi9B01G0047420.1 gene_biotype:protein_coding transcript_biotype:protein_coding HESKQARTPVAMASMEVEQDLPGFRFHPTEEELLDFYLARMVHGKKLQFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAIRSSADAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYATARGAPPPTKEDMVLCKIYRKATPLKELEQRASAMEEMQRRGNAHYAAAGAPMAQAVPSAVDEYLSPEDAHDSFMFPSSSSSAPSGESYSAPMEAKQEAVDATTVTVASSSSLLQAASTAIMPPPAVRHGELPTLQVPTNHGALDWMQDFSQLRSPWQDQLFLSPLVQAHLLY >Dexi5A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:5A:193936:197296:1 gene:Dexi5A01G0000260 transcript:Dexi5A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDEPLDFEKEEDPLLPTPPPAKRKKVIGLDDLLLDFFETGKDERRRKAKGAKSNTHDSRGYGSDDDDSRVKENEISFCKIFEVCQEETKGLDARDDVPPWGQQIFGCQKPPSNLSDTGIENCRLLQSFCSSEHLGFDLEIQQGEGFLEGMLMDGWLLKLVHMGGSVEDSVASWALTKLLFSSSKKLQVSATDFWDSILSLDEDDKLLVNLGYFPSYSVLKHAILSYGYLFETPGTKASTFESGTEDSSDVGPPHNIIAWLRIVSACCKIRKARSIFSPSEAEELLVIVISLFLDRGLEGLMLVLGDCLNSLVLYFNTREWESNCVMVAESIAQRIVDCITGTNKRSKFLRSQLALQLLKISFGLKVGNVEKMLKLVTSINVKEKECDFFRLYVYLVLMDNLLFSSDAFRDKTMIIDTWRNYLRNCSTQIPFTNWGFYAPKVRNKASYLLQGAIFKKSGGDGSVSAR >Dexi9A01G0022130.1:cds pep primary_assembly:Fonio_CM05836:9A:17136430:17159687:-1 gene:Dexi9A01G0022130 transcript:Dexi9A01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREACSVRGGFHSAMQQRLCSAHAKGQRHRNESGMDIFRPSDQPKALEILSHDLTGHRRRRSTRKQLLLQSTSRLQLSAALPCIHPFRDGYREKRPAAVSFYRPAMRPPATTPRWRRPTLAPFSPQPPRNLSSSSSAMAITAATAEEPLELVVGRGHHRRRMRPLRAGMERGTTRPTGGRGSRGERAQRESTNRPQIHEIPNQIKHKSTIRSTNRLEAQIEAQIDSRITNRGGRESFYTHRKGREEAPPPDLASSMASQAARRARGRARRRHGNATQEEEGGWRRQRGLELPLAQEEAAFLAQARAASSRRRHRSCPGEAAWGVREVSREVSGGPEYDVSCTQYALTCLKLKLPLHPASLLASTHPTLQGADAAGPGRPPTQRIRARRRRISPARLECSPLAAVVAGVSEERPYTVHAPFRLQGLADTHAEYVDVPIPPCLAYPTPLPRLGSNCPLVPCQNRRRAEKQDERPLSTDIPCAFDASGQYRAHLLHSQQQQQQLLQLPLALLHGSVPGCVQRQSVAFVLGKDTIYTHHSALQRLIGVARAKGCHAITVFPSLLPCCLPGDAVQKDALFPCLQHFDNILCTNPPARPQSAAAVLTGASSPILAAAAPTATATFAAWLGPSRDGCSVQADCAFCPVQRLIGASPAVAASPQRETFPGKESPFRAARREEKDDGTKEVEPTLSRVAAEEREARPTSWLVDCYLVKNGENRIAEAATVYTEYVREETAWTSAQGRRRPPMSHACISPARAPPLEMWRYTQLNLMVAPPSRLACRWSPPANTVDMGPSSRSLVMEGAMGLTSFFQFRQGSVATSFYPVSPNPCNWLAHSRERQLSSLFKVSKWLLLYSSISPFLPVVNEHSGYGVAVGTTRVLPFHYFPLEQRSTASRVPRNAAICHPRRAPAQFPAAVLLASPPQSEGDPYPRLDGGKALRQPTGWPVALPRASPPPRTGLLISDLLPLETWKFSSTQSLRTVSSCWLAKSRRSLSPSAPNLPLVLQQPPALPAHWSVLPSATKVEEMLRRRQPPKRLVTWRSRSMALNRSDVDHEWDERRSVDAAAAGPCDYRFIPRGSFKPLAVATTDPMPVQYSETETSIHHACPLRQDMPMATPFHIGKCAYNNGVALDLQ >Dexi5B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:5B:21258321:21258939:1 gene:Dexi5B01G0018900 transcript:Dexi5B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQSSSRQDAGNMRPAAIPKYSGDNREPREPAYIFPARADVRRAAAARAACIPNLTLSSSAPSPSAVAAAGEVCHVCRRNVPAGVAFLYRCAPCGFAMHPRCSRLPREVHSELHPEHSLDAVGGMGTCAACAKPCYVWLYRCGVCNVDLHIDCLHGAKPPLSNGGTGAAGGAAAAGEQPGSAKLVGSIVELGTSVVNMIGNN >Dexi1B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:1B:4588879:4591452:1 gene:Dexi1B01G0005610 transcript:Dexi1B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRLLLLKGPMSNISDQKKRTLEALQQQYTAAKAKKLRDEQLKSHKKSNFDAPKPKFDTPRKGKAPELTPRQTSTQSSSHKGVAFSGSGRQQKPSASSGEEINPVYAELSFPLHDNLFQNSISDFDSTEVVHSVIYDIIQKGGDSGKVTKGGKKLKLEKGILLDNYVQRDSPFRKYDLYKPMHEMWKAYILELTKITPYGVTLSFCPYSAFYSKKQLSENLLSADLHGALLIVAECKAASYQGVSGIMIRDTAETFGIISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSHKEKLKEDQLQQRAQSQIR >Dexi3A01G0030380.1:cds pep primary_assembly:Fonio_CM05836:3A:34360129:34364750:1 gene:Dexi3A01G0030380 transcript:Dexi3A01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGAPSASASTPASESTVADGLDLQADDATPSSQPATSLMEILIYSFSVCEKVESKGRTTYNEVADELVAEFSDPNINIDSPNPDNPSAQQYDEKNIRRRVYDALNVLMAVGIISKDKKEIQWIGLPKTSMNDIEELKTEAVALKGRIDKKSAYLQDLQDQYASLQNVVQRNQQLYGAGDPPYGRVALPFTLVKTRPRATVEVEISDDMQLVHFNYKRSNIQFLELIFEVKDI >Dexi3B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:3B:4997296:4998359:-1 gene:Dexi3B01G0007100 transcript:Dexi3B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYLKNDGMGEEEEEEEEDRTVKPNDFIIASLHVQEKTSGNYLKESHRNSVLGIAWNKEYM >Dexi1A01G0025400.1:cds pep primary_assembly:Fonio_CM05836:1A:31646165:31647729:1 gene:Dexi1A01G0025400 transcript:Dexi1A01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVETGDGVKLSVRVFTPPASGDEPVAAEDVAVVMVHPYTILGGVQGLLRGMAEGVARQGYTAVTFDMRGAGRSTGRASLTGSTEVGDVVAVCRWVAENIKPRGILLVGSSAGAPIAGSAVDKVDEVIGYVSIGYPFGIMASILFGRHHDAILKSQKPKLFIMGTKDGFTSVKQLQNKLKSAAGPVDTHLIEGAGHFQMEGPAFDAQMVDLIVKFINSLPK >Dexi3A01G0025960.1:cds pep primary_assembly:Fonio_CM05836:3A:21980717:21984191:1 gene:Dexi3A01G0025960 transcript:Dexi3A01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNNGGGRAKKNKHHFVLVHGLCHGAWCWYKAATALRRAGHRVTAPDMAGCGAHPARLAEVRTFEEYSRPLLDAVAALPPGERAVLVGHSHGGCGVALAAERFPEKVAAAVFVAASMPAVGRSMGAATTDEFLKFVAAEPDFFLDTKVLDQENPDIPGHPVIFGPKFVAQRLYQLSPPEDLTLALSLIRPANRFNEDPLMKDEKLLTEAGYGSARRVFVVVEDDLGIPAEFQRRMIALSPAGVEVEAMDAGGADHMAMLSRPEELVERLIRIADRCVDG >Dexi2A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:2A:30594455:30599897:1 gene:Dexi2A01G0018470 transcript:Dexi2A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYYILLLALDIADGKILLSCTGTIVDHVGSATWILTSASLVRKPGSDYDVYQASDVKIEVLLHNKRAIDGRIAMCNLQYNIAIVTVDSLDLPMIALKDPPGCYSMLACPVIAVGRDSKSLRVKHGDMTRERSKLDCSELLVCTCPVTKIPEVLSMNCGGIEVGDIICSIDGVVLHSVAQFTAILLDGMMVAMSSKNKGILQATIRRPRDDTKLVVMLNMRENSSVDFNNFFCNRFSF >Dexi9A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:9A:3512471:3512771:-1 gene:Dexi9A01G0006220 transcript:Dexi9A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTDWGDKNHIIILPSQPSRARAREELVRGPACRWLAGRRGRRRAAEVFISGQLEN >Dexi2B01G0031170.1:cds pep primary_assembly:Fonio_CM05836:2B:39339336:39344486:-1 gene:Dexi2B01G0031170 transcript:Dexi2B01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTILQQCERSKTVKRVIHTGSVVAASPLKEDGHGFKPFVDESCWTPLNLSYGYGNEILDAYVSSKTLSEKELLRYNDSPSKASFEVVTLLCGLVAGDTVLPDAPGSIRSVVSPLTGDEVWHGGLKFMQALLGAVPVVHVDDACEAHVFFMECPAPVTGRFLCAAGHPNMRDIVDHYGRKHPELKLRIEEVVEEGVSVQPAGTSKLMDKGFKYSPAGHGASLFKPTATGCGHRRTRAGLCALEQEIEGRKQRMAEGMGDDEGKKMSGGPVVCVTGGGGYIGSWLVMKLLARGFVVHATLRSLGDEKTGMLRALPGAAERLRLFQADMYDADTFEPAIAGCEFVFLVATPLVHDPTSTKYKNTTEVAVDAARIILRQCERSGTVKRVIHTASVTAASPLRDDGTGYKDFIDESCWTPLSLSYGFSNAQLEDYVRSKSLSEKELLSYNEREDRAFEVVTLACGLVGGDTIQPYLGLLSSISMIVAPLTGHAVYHNTLLFLQSLMGSVPLSHVEDVCEAHVFCMDQPSMAGRFLCAAGYSNVRDFLDRFAAKPAPALQEQFGFAPPPSPWRPAAGVADGYHLTRIHRIAVSGFSERLTPDQPRSILQHRSCPHLKTPVWPRGHPSNSRS >Dexi9B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:9B:13533101:13547739:1 gene:Dexi9B01G0018990 transcript:Dexi9B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRKDSASPGGAKEGDYSALRELFRPHVESFDYFLDAGLDEMLRGIRPMEIKDPNSSTILKNILHASNSFHSYLFLQFQSKLCHLRDADPHKLVFHGEEATEMGGYFICGGMERLIRILILQKRNYPMCLVRGSFLKRGAGFTDKAVVIRCVHSDQSSVTIKLYYLQNGSARLGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDKYDRGKGVVSTQLIGERAQIILDEVRNLSLLTRMQCLAHIGKYFRSVMEGFENYDYETVANAVLKDFVFVHLENNHDKFNLLIFMLQKLYALVDQTASPDNADALQFQEALLPGHLITVFLKDRLQDWLRKSKRIILEEATKNKSFDLNNALEVRKFLTKHTTSVGRAIESMIKIGKVNSQSGLDLPQRDGMTIHAERLNFHRYISHFRSVHRGAAFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRISSFYNSEGVVKDFGKIKKSLSAELVRVGMNPVLPKVERTGPPEVVHVHLDGCILGTIASARIEDVVHYLRTLKLLAHSGIPEDLEVGYVPLSHGGAYPGLYLFTNPARFVRPVKNLFSPPDGKQSIELIGPFEQAFMEISCPDGGDGGRKEMFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIYQTECIDLSTKNRENVPEIFAKSALSRDTSSAIDSDGLPRLGQEVHPNEQYYSVYNRLTGVIRPVKLKGTEPAFIDYVAVNGASSKGDFQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLHGKFRDATPFASSVKKEGKDHSKPDSIVDELGPMLTSYGFNYHGTEILYSGFFGTEMKCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQVTRQPIGGRKHGGGIRFGEMERDALLAHGSSYLLHDRLHSCSDYHIADVCSLCGSLLTATVIKSDTQKKTKREMLGLPTVRPPKNFSCQACKSSKGMETVAMPYVFRYLAAELAAMNIKLELRLSNKSEWPPSQ >Dexi5B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:5B:5415889:5420795:1 gene:Dexi5B01G0008070 transcript:Dexi5B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLLLLLHLLATFFLLQPAAAQPSSSCWPRPKACGDLNITYPFWLEESGKPACGPPGFQVKCNSSGAFLSRTPYQAYRVVAIFAENKSLHVVDINLPLDTGCPAPTFNVSIVPPPLVFSANNSDLLVLGKCTGASPKVPAGFRSLSCDNSSFVRLGDGRNFTRDHIDGGIPLGCLFSVVPILGVGALDGNGDDYLRSMRNGFLLEWTDAPAGDCPECIERGGEMSIRMVVGIVFGAIGGLFVAGVLFLVWHKRRRKKQVGAPNGFMRSESSMQSFSKDLELGGSPHIFTYEELEEATDGFSDSKELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFINEVDILSRLLHQNLVILYGCTSRSSRDLMLVYEYIPNGTVADHLHGPRASERGLMWPVRMNIAIETAGALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLYPLEVTHISTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSEINLANMALNRIQNHEVEQLVDPELGYGTDEETKRMIDLVAELAFQCLQLERDLRPSIKEVVEALNCIKNGESPGKKVDVNSSPKEDTHLLRNGIQYSPDSV >Dexi2A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:2A:8490005:8490838:1 gene:Dexi2A01G0008310 transcript:Dexi2A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEMVPDHEPLRARDLIRVDGSDADTLAGFITGVTDAARESSSGVLVNTFEGIEAPAFAVGPLHLLSSSPSPSPEPAADRSCLAWLDARPPRSVLYVSLGSVATVDRDVFEEMAWGLAGSGVPFLWVVRPGLVVTGSGEAPPPPLPEGFMEETESRGKVVAWAPQREVLAHAAVGAFWTHCGWNSTLESVCEGVPMLVQPCFADQMANARYVTHNWGVGMEVGEVVERGRVAEAVAKMMVGEEGAQMRERACHLKMEASAATSSSMDSLIEYISSL >Dexi3B01G0036700.1:cds pep primary_assembly:Fonio_CM05836:3B:39567879:39568455:1 gene:Dexi3B01G0036700 transcript:Dexi3B01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDASFTAKLGDFGIARLIDDRRRSHTTGIAGTMGYMDPECMVACRGNVESDVYSFGVLLLEVTCGNRPAVRVAIGDEDEEEECFVHLVQWVWELVGLWCAHPDRSLRPTIRQAVQGRTHPLGEPFLLPLGALHGAEEENSRKEKTEEVWAVGGLQGPVG >Dexi2A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:2A:8380000:8380305:1 gene:Dexi2A01G0008170 transcript:Dexi2A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADSALQVLVPQQQEEAVDRVDGQVVLEERDPGEDPGPRVKVEEASIGVAWVEAVALGEHHPAARVEARVDDAKAARADRAGAPAGADSPEADVV >Dexi1B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:1B:24648237:24648770:1 gene:Dexi1B01G0018430 transcript:Dexi1B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYACCVRCTPSQLMRSSGLAHTDVPGAPHILSTSTLYAPWPPAPQHASNTAPSSNPASPASLSILTSRMPCCSSTLCIWANRFSGARQPLASCSAGSVSFTADIGKFPGITSRVAPMSRSSLAVLVMVRTTSGTSAIASTTPVAMRPKL >Dexi2A01G0037420.1:cds pep primary_assembly:Fonio_CM05836:2A:46996761:46999390:1 gene:Dexi2A01G0037420 transcript:Dexi2A01G0037420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSIGGHYMTSSSSSPPATATLGRHLARRLVQVGVSDVFGVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGAVVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQPVTCHQAVVNNLDDAHEQIDRAISTALRESKPVYISVSCNLPGMPHPTFTAEPVPYFLAPRYEFQMQYGSIGWSVGALLGYAQGAGHKRVIACIGDGSFQVTAQDVSTMLRCHHRSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAMHNGEGSCWTVKVTCEEELAAAIHTATAGDHKDCLCFIEVVAHKDDTSKELLEWGSRTRTG >Dexi7B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:7B:19264507:19264866:-1 gene:Dexi7B01G0012510 transcript:Dexi7B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANHFSLLESNDPGDTRLADLGNTNQQQQPAANLTQELFGTAYPSAWKVIRKRERQQGGAGPANARDGATTGGARTDKKKGAGRQDAVTRKQAAAKDEAPAPRLYDPAQFPALNSLK >Dexi8A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:8A:27195409:27196126:-1 gene:Dexi8A01G0016040 transcript:Dexi8A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHHDMEREQMRMAILKQEQIFRQQVHELHRLYHVQKQLMEQIPTTALSNRARAPVIIDAKPKLQQDIWQSEMATKPQQLISFSSYKAHAHAHPVKPSPAPAPAIGEDCNLELTLATGPSCSGSGDRQQGKRLKSSSNSDSGTTAVSSTSTDSELAQFREADMSVSASAARFPGERRRMDQMGQGPWMYQCLSLKTA >Dexi2A01G0033720.1:cds pep primary_assembly:Fonio_CM05836:2A:43892485:43893705:1 gene:Dexi2A01G0033720 transcript:Dexi2A01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTALIAPLPLLTTRSRSKSLSPASFTRASRPASISLSSGSGRVVLFSRRGREYAGVGSSVSAAAATGEAAGGGSDSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEANAVVWTTAEARASDDWQKQCGEKLAKHLGTCGFESRLQGN >Dexi4A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:4A:10350280:10351497:-1 gene:Dexi4A01G0012030 transcript:Dexi4A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGALYAISGCFHLLRFLALSLRRPKDLRRCYGSWAVVTGPTSGLGRSMAMELARVGLNLVLVGRDPAKLQDVSDKIARCHGVQTKTVVFDLSFVSTPEWAEAMRRLREAIEGLDVGVLVNNAAVAKPGAMYFHEADVESLVRVVRVNLLALTEVTAAVLPGMVARGKGAVAPGLVDTNMMSSAVKNSFSPLFVVTADACARAVVRRIGHGTLWVPNFVHQIQWWSAGFAPETILDVYRLGKHLQQREAFRMVRSSRPPAQEGKVY >Dexi2A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:2A:27094903:27095106:1 gene:Dexi2A01G0015720 transcript:Dexi2A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRLTGWDVNHGSGCGRAGSAAGARGGVSGLQQESCPGDHLQMWFLENKLNYCPPCRPTGPRYDM >Dexi2A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:2A:10816255:10826832:1 gene:Dexi2A01G0009760 transcript:Dexi2A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPATRVNDPCVADRAGPGRAGVWPDPIRANKAPGVTQPIGNTSTSYVYKALPFFSPPLPTYTTTVKVTSMAAAAAMPVERVVFALNGRRYEVAGADPSTRLLEFIRTQTPFKGTKLGCGEALCSCWGLCMLRCSSVVQLSIRLLGQAIEGSNSRVWGGVVAAPTVMDLLIGVVVGQQGALARAMPNLVLVRMTATFMSLETSFVFPSFQCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDVSELPSYTIGGGVCTFPDFLKSEIKSSHDTLVLSKLRLAFGAYGTEHAIRAKKVEKFLTEPGKALTSGSADSADRDDVRNLPVSSRRETIPNDDYKPVGEPLKKYAVELQTSGEAVYVDDIPAPKNCLFGEFIYSTQALAFVKSIKFKSSLASEKIIDVVSAKDIPSGGENIGSTFTYGDEPLFGDPIAEYAGQALGVVIAETQRYADMAAKQVIIEYDTEDLGPPILTVEEAVEKSSYFEVPPDLYPKEVGDVSKGMSEADDRIPSTEVKLASEYYFYMETQTALAIPDEDNTMVVYSSSQYPELAQSVIARLKPVSDKLQQQSKNVSWDTLIAQAIKENINLSASAYSVPGQDSSKYLNYGAGISEVEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYITNSDGLMISNSTWDYKIPSVDIIPKKFNAEILNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKEFANSNGSGSSPLEFQMDVPAPMTLVKELCGFDIVEKYLRSLSTYERSAEE >Dexi7B01G0024610.1:cds pep primary_assembly:Fonio_CM05836:7B:28903748:28905365:1 gene:Dexi7B01G0024610 transcript:Dexi7B01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSRIRGRDPPSGGGGGPAGGGGRYRRRSPPPPSPRHQRRPPQTQRRSPDRPPPPPPSRYDDSPLPDISAAGDRRSRAGILLEAGRLAAHYLVAQGVLPVHLLRAREDPNHKPAPRHEPPAPPPPATYGRKLDDQDDPRSRRNAGDWGRGGREDHDRQPRRSNWDRRSQSFDGRRKYNDAAGDVDRGARRTRDYEEPKRPPMSRSYSHNDRRPSTDSRVDRRRRSRSRSRSRSRTRSYHASSKRDSDWRAAGRDFDQAKVLVDSATVPAAAGDGNVEEMPRQQRVPSSLLVAEADGSADPAMAIEDGEMESEVIPLDHTQDVSEDEEGEFAEDISEDEDGEFAATHSSDGYAGEMDVAQPKPSDVESVEEPVEEPVCSHSQLTNVVEEMEAGSAPLDASMMDPMGEGNGCSEVRGKMEDPPPLSEAETIVGDLNRDEQDLPAWYRIFDLSVVEAPEGCEITEIPGDPPTEHKSKVKASMKGIITR >Dexi8A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:8A:20562634:20565581:1 gene:Dexi8A01G0011610 transcript:Dexi8A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGGGGGRRAWVVEVEKTLDSADATAESTRWQRHSIYRVPACIKDLNRKAYKPQVVSLGPFHHGDAELQPMEEHKQRALRHLLRRANRPLEEFAAAVEEVADELESAYLDLSDRWRGEEGRERFLEMMIVDGCFLLEVMRAAELDGRRTGDYAPNDPIFSHHGVLYVVPYIRRDMLMLENQVPLLLLQKLVAVESAKTPNDEVINKMVLRFMSPSSRMPQTSTGLCLHPLDVRRRSMLSGTYQDSPQSRDIVPETDIIRSALELYEAGIRFKKGHSESLHDIRFRHGVLSMPAVTVDDSTEFMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSTSGIIQNAVGSDKAVAQLFNSISKDVVLEADSALDIVHQRVNAYCRKPWNLWRANLIHTYFRSPWAFMSLAAAVFLLVMTIMQTVYTVLPYYQQDQANNSSPEAPAPM >Dexi1B01G0021310.1:cds pep primary_assembly:Fonio_CM05836:1B:27231059:27232721:-1 gene:Dexi1B01G0021310 transcript:Dexi1B01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLMAMVATALAAVLLPCLFAALVHLAWRPYAVARAFAAQGVRGPAYQFLVGNTRETGAMQSAARREVLDRSCHDITTRVRPHERAWTSLYGKVFLWWSGPKPVLNVGDHDMVRRVLSDKSGLYVKPYPGPAIMAMMGTGGLVFTEGEVWARHHGVVHPAFAMDKLKMMTGTFEACAREVIGAWEARAAETKEVTVEVGRQFMELTADVISHTAFGSSYRQGKEVFLAQKELLLMALASMNKVQLPGLQYVPTKNNVRRWQLERKVRGTLMAIIEERMSAAREARGYGTDLLGLMLEANNAGDGGERVMSMDEIIDECKTFFFAGHDTTAHLLTWAMFLLGTYPEWQQRLREEVLRECGGAGTPLHGDALNKLKLVTMVLYETLRLYGAVNGIARETTADVDICGVKVPKGTSLSIPFVMLHRDVEVWGPDANEFNPLRFQDGVGRAAAHPNALLAFSLGPRSCIGQDFAMLEAKTTLVLILRRFEFEVAPEYVHAPANFLTLQPQHGLPIVLKLL >Dexi2B01G0024870.1:cds pep primary_assembly:Fonio_CM05836:2B:34136997:34138095:-1 gene:Dexi2B01G0024870 transcript:Dexi2B01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTFAETVLDTLVLLPNFIEFFQDGSMRSSSPGDIAILFLAFVLNLAFALSLLCFIGMHTSLVASNTTSIEVHERRKSVSWKYDVGWKRNLEQVFGTKKLFWFVPLYSTEDLHNIPALRGLEFPTRSDTIV >Dexi6B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:6B:21812719:21813075:-1 gene:Dexi6B01G0014220 transcript:Dexi6B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPSSAAAGTTAGLNATTPGLFAVSAAASPPCHLPSSHSSSRPPSPSTAEGRARQSTAWKKMTPAAAALGRRSHGWEAPWAVGAQHPAWEEVPAQHQLAVDDAA >Dexi3B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:3B:12983060:12986102:1 gene:Dexi3B01G0017640 transcript:Dexi3B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLFHVLLLLLAVSLAAAPAAYAGEGTVAQASYSYDDEPRMCQKPLPCGGHVDVHYPFFLVANATLPVDDEQPAQYRPYCGYPGMAVVCDGACAIMKLSGHNYTILDISYDDHTVTLADREVLNGGECPRVTHNVTVSPSSSLSFTANNDNISFFFDCVFTAGTTPARPTSISPINCSSSFLQAERDSSRVSYVAAQADVASQEEWPRACRTAVVVPVLKDWVQNPEYQQNLNSDGYGEVLKRGFELSWETSEGPCNVCEQTKGKCSHNHSGEFVGCLCPDGRVRTADCGKPGLWTQKLKEEILNM >Dexi5A01G0038990.1:cds pep primary_assembly:Fonio_CM05836:5A:39737281:39743752:1 gene:Dexi5A01G0038990 transcript:Dexi5A01G0038990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQKGSFQMSTAKVVDPAFQGAGQKVGSEIWRIEDFKPVALPKSDYGKFYCGDSYIVLQTTCAKGGAYLYDIHFWIGKDSSQDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICRGKRAIRVKEVPFARSSLNHDDVFVLDTENKIYQFNGANSNIQERAKALEVIQHLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTVNDDDIVLETTAPKLYSINDGQLKLEETALTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEQFLVNQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNSAGSPGAEEGRGKVAALLKQQGVDVKGAPKSTTPVNEEVPPLLEGSGKLEVWCVDGNAKTALPKEDIGKFYSGDCYIILYTYHSGDKKEEYYLNYWIGKDSLADDQVMASQLTNAMWNSLKGRPLLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGVIGETYSSEGIALIRVSGASVHNNKTLQVDAVATSLSSTECFVLQSGNAMFTWFGNSSTYEQQQWAAKVAEFLKVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYIEHANSIEDLSPHVPLYKVMEGNEPCFFKTYFSWDNTKSVVHGNSFQKKLSLLFGLRSEGAPRSSGNGGPTQRASALAALSSAFNPSSQQKLSNERPKSTGDGPTQRASALAALSNAFNPSSKPKTPTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTTDKTDVDRVVITPSGASSPQSEAGESSVFHQEKDVAVDEAPSEADGAEAEAPVEETTENVGEATFSYDRLISKSTDPVRGIDYKRREVCLPLHPYICDSLQGILIR >Dexi1A01G0027190.1:cds pep primary_assembly:Fonio_CM05836:1A:33031735:33032783:1 gene:Dexi1A01G0027190 transcript:Dexi1A01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIMGKKIVKVAVSLLLVLALLLQPCAAARPIAETPTIDGSRSLHLPLRGSLLRGPESVVFDGHSAGPYSGVSDGRVLKWNGPPRGWTTYAYGPGYDAKACTASRTRPAEVTESRCGRPLGLRFHDRSGNLYIADAYKGLMRVAPGGGKATVLVNKVDGVPLRFTNGVDVDQVTGEVFFTDSSMTYRRSQHERVTATGDSTGRLMKYDPKTNNVTVLQSGITYPNGVAVSVDRTHLVVALTGPCKLMRYWIKGTKAGTSEPFADLPGYPDNVRADLKGGFWVALHHSHLLAVRINANGKVVEVMRGPKSVRPTEVMEREGGKLYVGSVELPYVAVVSE >Dexi1B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:1B:25022111:25023313:1 gene:Dexi1B01G0018870 transcript:Dexi1B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQGAAAAPPQVSAIGFEGYEKRLEISFSEASVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFVYPHKVVIKTCGTTKLLLAIPRILELAEELSLPLVAVKYSRGTFIFPDAQPSPHKNFADEVAFLNCYFGGLKSGGNAYVIGDPAKPGQKWHIYYATENPEEPVITLEMCMTGLDKKKASVFFKTSADGHTSCAKEMTELSGISDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGINLASFAYGDLVKRVLRCFSPSEFSVAVTIFGGRDNAKTWGKKLDADAYACSNMVEQVLPSGGLLIYQSFTAAGKSSVGSPRSVLHGFVGDSVENVSENDELDAPLCWETDAVDETEEREVKKMKC >Dexi1B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:1B:9267571:9269103:-1 gene:Dexi1B01G0009900 transcript:Dexi1B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTGSTDTSSPELLPPPLCTEELPPHHQVLLFPSPGAGHLIPLVELARRLAVDHALAVTIVTLPGMSDPATDAAVLSSLPVAVVTASLPPVSLDDLSPDIGFGTLMFELIRRSLPHLRALIMATTTTAALVCDFFGTAALPLAAELGVQGYVFFPNSFAMISIMRHIVKLHGDGDVVDTPPGPGKEYHDLPDPLPFPGGLLLRHADLPDGFRDSTDPVYAYLVEEARRYGHADGFLVNSFEEMETAMAEEFKRDAKDGAFPPVYPVGPFVRSSSSGKESDESACLEWLDRQPEDSVVYVSFGTGGALSVEQTAELAAGLETSGHRFLWVVRMPSLDGNPCALGKVPGDEDDPLAWLPEGFLERTKGRGLAVAAWAPQVRVLGHPATAAFVSHCGWNSTLESVAAGVPMVAWPLYAEQKMNAAVLTEVTGVALRPAARGDGMVASEEIAAAVREVMDWEKGSAVRRRARELRDAAAWAWSPDGSSRRALGEVAGKWKAALGNRKGRIA >Dexi1B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:1B:21572997:21576902:-1 gene:Dexi1B01G0015190 transcript:Dexi1B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPVGPQINMRDDSLFTQSCRFPSGDPFYGEPPCWLDDLLADSGRVPNLPPLRRACSDSDAILDALSSFQSPIHSIEEGDLSPGGEAEDLLDAIKGGERGSVVEASCVYGPNSPRQKSRLTTSESSMVNAVLENVPSNPLQYLTIDTSCVMNGNLANGTADANDAFVHPDQDKSFKRRSGQRSRVRKLQYIAELERTVDSLQNMGADLSIRVASLFQLHNALSMENEQLRIRISSLQHAKLLKDGQTQALKNEAERLKQMSARHRRSRSVTSCYSTSPYGDASAVNWQMPDMAKLNLNGRSVSPRGSYGL >Dexi9A01G0040010.1:cds pep primary_assembly:Fonio_CM05836:9A:43879244:43879746:1 gene:Dexi9A01G0040010 transcript:Dexi9A01G0040010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKSSAIWSSPARANPDTTAFHAPAPHLDDQRQRALREAVARVAREQRVPGDEVVAARHFVEHPTGAGDKPALGVHVEERRGGDGIGGEGGEPGEDERVDEAAGEGVPEACAGAERRGDGRGVRVQRAAVAGLEVGEEEVEDAAVMALPGSTRQLLHP >Dexi5A01G0038250.1:cds pep primary_assembly:Fonio_CM05836:5A:39293451:39293869:-1 gene:Dexi5A01G0038250 transcript:Dexi5A01G0038250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAGEAQQQPPDGAGGGGGGGAGGRGGSGCLRCCCGGGRGTRAVRLQCVAALVLGVAVLLSAVFWLPPFKGRGRGAEGPDPGDEFGALDLDIHGE >Dexi3B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:3B:5333426:5334742:-1 gene:Dexi3B01G0007510 transcript:Dexi3B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRRAWLGFAVRSRHPRLRAEKGTTRVILYLQPLQAVRWRGTIVTCWQYSIGTICFHMLGATTAKEGK >Dexi5B01G0035450.1:cds pep primary_assembly:Fonio_CM05836:5B:35457719:35459776:1 gene:Dexi5B01G0035450 transcript:Dexi5B01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSKGKYLFTSAMENNCTCPPPSKGKLITVLSIDGGGVKGIIPATFLAFLESKLQARSKTLMDARLADVCIGTSAAPTVLPAHYFETVDYQTGASRSFNIIDGGLVTNNPTLVALGEITKQIRLRSKEFPETKPLDYHRFLVISLGTGLPEQDIKFDASHVAKNRVLRPTVTHPGRLSMCLQANVNTPVLILRMYAEVYIASTVLQDHNIPIAAVSADLSTEKNLQGLIKIGENLLHKPLSKDDYEISHMESMPKDNQHTLTYADMLTQFAKLLSDERKLRLQNIELDGSHSKL >Dexi7A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:7A:371576:371938:1 gene:Dexi7A01G0000250 transcript:Dexi7A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKHSTATRAVSIYLLALACSGFECENKQRGKAEGGGGGYRADLDEGDDLEEFRLPMSHRPNENLDTEGLEQASVHTQLTASNVGFRLLQKMGWKTGKGLGKNEQGID >Dexi5B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:5B:14185456:14190611:1 gene:Dexi5B01G0016250 transcript:Dexi5B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGGCSGGGAGLEEEAAQREREWEEAAEAVAYDSCTWPPPIVAVCGPGNCGKSAFSRLLLNTLIARYKKVGYLDIDVGQPEFTPPGFVSLHVLEQQAKDLTILYLRNPKRCFFFGDVCAQRNPKLLLAYIFELYDYFLKEFYCFREIGNPKKSAIPLVINTSGWVKGTGLHVLTEMLKSVSPTHVIRVSTTVERKNLPSGTFWMNQSDEGPPVNLVEIRAAQNSPRHLLVKKEERIIRDLRMIAYFRQCLPRDFNVSDTDEHRFLNGTIVGLATSVSPLSVESSNPCCIGLGFIKAVDVSEGCIHLITPVSQELMEKVDIIFQSYIAVPSCLLKVIVNTVLLQLFRKYIERIVNKFRGCSRCDSY >Dexi1A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:1A:18721721:18724745:1 gene:Dexi1A01G0013540 transcript:Dexi1A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAEEVEAARRVATHALAELWRHDISCPTSPPGADLPALLRRCLQLLPLLNAGDPSLATRFYRRLHGSLGAILSRDPSPALLPLLEVLAECLCFSERFRSCLAMADYESDIDRLIEDNQHILHDKIKQKSTLDMKNILSDILCCAKQKEVLESDTEVSDGIVCLAAVLRVPARTKAIALQYETIHKIHKRRHIDGDGSSLSIYQSKSRKANGQAFLECHPQYSPEWNDIIDFVECEEGKDYTNTLKQQSKFRMFKYKKWDIKRQSIMESTKDALGLNSRRLFG >Dexi1A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:1A:23138184:23138443:1 gene:Dexi1A01G0016160 transcript:Dexi1A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTWELLNQCQGKRLDLRNKRDVKEAMDTAKELVRNCEHRFAKAKVPSPVSAHNWYALQIATICMAITNLIK >Dexi9A01G0025640.1:cds pep primary_assembly:Fonio_CM05836:9A:26340223:26341277:-1 gene:Dexi9A01G0025640 transcript:Dexi9A01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAIYCGGAGNGSASFAAKICNNMAMAISMLGVLEAFALSQNLGIKASALTDIFNCSSAHCWSSDTYNPVPGVMEGVPSSRNYDGGFTSKLMDKDLDLAMASASGVGFKCPMGSEAFEIYRKLCEDGCELKDFSCAFRHYYAGKDEE >DexiUA01G0017220.1:cds pep primary_assembly:Fonio_CM05836:UA:36341289:36342812:-1 gene:DexiUA01G0017220 transcript:DexiUA01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAVGRTGGGDDEWRGSSFTRREPSTVKKSRTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNAPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNAPFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENTCRWGGSSDDENNTGESPSTVYSPMSYGYGNASSLEDSQRRAGHTR >Dexi9A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:9A:8711049:8711528:1 gene:Dexi9A01G0013550 transcript:Dexi9A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIAVEAHGINGVAVPIPGDSSSGDLGRLSVFEASDPLFLGDAMVLTIFFRRVIQRPKCMSIRCATQDGNKKIVNLAREEVGFRRCAPAADGEEDRE >Dexi5A01G0032550.1:cds pep primary_assembly:Fonio_CM05836:5A:35045982:35048866:-1 gene:Dexi5A01G0032550 transcript:Dexi5A01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAGEEEGREARSEAAFTDSSADGSSSSSDAASTDEWPPAPRKPGSCCASDSEVAAKQQQQHKHIKRRAPSEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQSFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVEQGIAAPDCDGSASFRSAFHRRDEKWWLPVPRVPPGGLRDKTRKQLQHKRDCANQILKAAMAINSNTLAEMEVPESYLDSLPKNGRATLGDIIYRYITSDQFSPECLLDCIDLSTEYQALEIANRVEASVYVWRRRAATKPVNGLGRSSSARSSWGMVKDMMVDTEKMELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIMTRIDDLLNIDELNRHAEHFSATGDEDCKIACSQAAVPSFPVPASGTPFMTAYATPSFSPAQLASPSKKDRASLTPGRRSQHGRSTGAKKSLTDRVGTELKGMIISSGMMIDVSTTTEL >Dexi9B01G0023640.1:cds pep primary_assembly:Fonio_CM05836:9B:19016900:19017259:-1 gene:Dexi9B01G0023640 transcript:Dexi9B01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLLMLFALLVCAAAGADNIVDDGDHRSQTRFPRVFSFGDSLTDTGNALRILGDRATISRPPYGETFFGHPSGRASDGRIMIDFIGD >Dexi8B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:8B:1609319:1609877:1 gene:Dexi8B01G0002370 transcript:Dexi8B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLRIHHDSSTPISLQEDQLESSSLRDIPVVIMSSENIPSRINR >DexiUA01G0027510.1:cds pep primary_assembly:Fonio_CM05836:UA:58765743:58766072:-1 gene:DexiUA01G0027510 transcript:DexiUA01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRTILNSAFTAAFEQGFAAMAMAAVWNRVRGLGSARHCRGGRRRGVSKKPTQHARPRCAATASMRALEDGRKSSEIAGEACIETNSTRWRLRSMPWRK >Dexi1B01G0029890.1:cds pep primary_assembly:Fonio_CM05836:1B:34018419:34020711:1 gene:Dexi1B01G0029890 transcript:Dexi1B01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVRKVSSVYQRTSEEGEGRSWWSFRHREPRREPPREPMPQIQLFDEDEYVEEEDTDFRPYRQLDSEDESVEAEEEEEDEEEEEEDEDEEEEEDAREGEEVEGEYEPEEEDEEDDEGVEDVPKVWLRGPSTLPPRPPPHLRPEHFPGMVQHAGKYEPAFYFEHYYSTPDQPDTLGRAYNNKAERVKTELWDFYRCEEGTEVRAERNTHRACQKLLHDIHYEARLQAIVHYHAHYEHRKVTKRQAVTMTLEREDFLKKMVDKWCSPEWQEKHNIHRDRRLKMAGPSHHQGSRDLMGYAKAWSAAHGNRDCPQFKAWCLAHMGKATDDIDYSEDTPDSAFTNPTIPPRVSSYTSRSREVHGPDYAPSTQNFDGRVVMEVGGGKKHGRYWMGDSVMDSTTTPRLPEIRQRAPSGSDTIRPRMTQEQLQISEDRTGDRTGEPTGQLDPDLQFQPPPRQPTPGLSAGSNDPAGMVNMSPGVSPAPRPRLSDWSPWGTQQDGQGSQDHDLSNTSQAHNPWEWRHHH >Dexi5B01G0032270.1:cds pep primary_assembly:Fonio_CM05836:5B:32882589:32886654:1 gene:Dexi5B01G0032270 transcript:Dexi5B01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFGINDITSTEFNYRSSIIQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKTAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEVKKKVDAKNSLENYAYNMRNTIKDDKIASRLSAEDKKKIEDAVDGAISWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGAGMGA >Dexi6A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:6A:28655908:28656795:-1 gene:Dexi6A01G0021410 transcript:Dexi6A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQSMMLRRVASSTPVPEWLEALLTTRFFLGCGAHPASPRNECNMFCLDCTTTTTFCYYCRAHRHASHRVIQIRRSSYHDVVRVSEVEDVLDITAVQTYVINSARVLFLNERPQPRGAGAAAGKAAGSPYNCEICGRALLDPFRFCSLGCKLVDTKRTNGGHAAAASDADGIEAADAGRSKNGEARPLGRRRKGIPHRAPFWS >Dexi5B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:5B:3751709:3756277:1 gene:Dexi5B01G0005550 transcript:Dexi5B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVNSIRRRFIDGFYEEASRRLPLKEIPGLEGCICAGGLCVGLADPVANIILNAVGLLLHDRQEQEDLPPPQRQFRVRRGSGGGWADIAYRSLDGLRGFMTAYFRYLDYDQAARYLYVASHNLPLAIALARRDSTTSKSQQQKLQQLRDDGGNLRDALRVAAVQAKHPAPVVMVRLMTAQYPSGLLAAVVAKLQGTEEEPLTARDVSEVMGLLANPWPPATPPLSMDFWCRPNNGSTTCTRGDDGTLTIATCVGDDGRVATLTIPPPAPPVRHRHAAAVRSTLRRLAVHAPTTAQAACLLDAIQATYIRALAVLPSCSPRLLRALLVAGHCYGPMDPVSNIVVSTAWYDMAFPLAEPDQQLPQGILDTKPIYRLASRSLQGLVAMASTHESLELLHSLDCDLSRCHGSSSSISYAAAAKAAKHPQHAAFGSFLASLTNDKLARLSCVVPAGGGVISEAQWRQLNTILDEQSYSEPVPHHLTAPICLLSPSGSVHVSNKKFYFKAKLDFVHSELKKLLHRYCYQHPWLAKAINDYHHYGYERNDTPVMAWTQRVKPSFAWDHVAIIHALHTTPPPAAADANKAAAPERCSHRSLLPSRTADIAALPPCLAGAHATKCEIAGRRRRRTDAEVLDEVRVSRGARSVATAGTDAWRKLMHGETSNSKTCSKRATGRPAVHVHVPYWMRRCGREGQGSFPAEDTGREGERRGRERTPSGERTPEERDDRRTEKVD >Dexi3B01G0028500.1:cds pep primary_assembly:Fonio_CM05836:3B:24999480:25000890:-1 gene:Dexi3B01G0028500 transcript:Dexi3B01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFASRGVRCTILTTPVNAAVIRSVVDQANDASRGTGAPVIDISTVPFPDVGLPPGVESVADVSSEADILKSLEAPKPPPSTASRPDAAIVNSFYSWAAEAAAEHGIPRLRFLGTSMFARACNDSLLRNNPLEGLVAPDDPVSLPGLPHRVALRRSQVMDPRKDELQWNFDKLVEHYRTVLGRRVWLIGPVALAANKVLQAASGGVGGHAPDANRCLRWLDEKPGSSVMYISFGTLAHFMAAELREVACGLQRSGRDFLWVVTGEETDASRWMPEGFAELVDPDERGIIFRGWAPQRLILSHSAVGAFVTHCGWNSVLALSAGVPLVTWPRHADQFYNEKLLVEVLKVGVGVGSGAYASKIEDRGEVISGQKIAKAIDGVMGDGEQAEEIRKKAIELRAKAWNAIEKGGSSYDDVQ >Dexi6A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:6A:20868788:20869452:1 gene:Dexi6A01G0013630 transcript:Dexi6A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVGDVAAAAPAPVAERGCLLLFCVGAVCVLNTWLFSAGVAALVVARAACGERCPDVLLVAAMSLVVAALAMAVACSVAMLLLSHYVLDADAREQMAAATQEALAGGSTVLALGKLASAAFICAGLVALFVKVCPPMKRWERDSSVALDAGALCMSALFCFVVIPTFAIRMWRRI >Dexi9A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:9A:16076115:16079417:-1 gene:Dexi9A01G0021090 transcript:Dexi9A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETAPAAVAATTPEVAATEGGAAVEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEEFWSLYDQIFRPSKLAGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFETMWLETLMALIGEQFDETEDICGIVASVRQRGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRREKPSRGGRYTV >Dexi3A01G0028250.1:cds pep primary_assembly:Fonio_CM05836:3A:28776715:28780371:-1 gene:Dexi3A01G0028250 transcript:Dexi3A01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLPSSSHLSRRATATATGAAAVPSPLQPRHQLLQHACSARRPQRVRCGSAGGRAAAARTRAPGVCFVVSPSQPGLAAIDVPAATIPNATTIPERISVSSLLEVVAEDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTTICEQMYGYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPELREIIDSEFSDTGSLAAAIDLVHRSGGIRRAHELAREKGDLAMQNLQCLPRSDFRSTLEKMVKYNLERIE >Dexi9B01G0039320.1:cds pep primary_assembly:Fonio_CM05836:9B:40057402:40059680:-1 gene:Dexi9B01G0039320 transcript:Dexi9B01G0039320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATIDGGSAAAAAAARRYTTQQQQPPPPQLQRHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHADVATMRNTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKSLLQMLPGLEKNGGFGADVGVRLLGGGLSGVTAASMTYPLDLVRTRLAAQTNTAYYRGISHALFAICRDEGFRGLYKGLGATLLGVGPSIAVSFSVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAGGRARVYHTGLFGTFGHIVHTEGFRGLYRGILPEYCKVVPGVGIVFMTYEMLKAILTGLESDD >Dexi9A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:9A:5126179:5126631:-1 gene:Dexi9A01G0008660 transcript:Dexi9A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGHEEEDEHEPQPGCGEGAGQAAANCAAVCCCCPLALLDVLLLVTVKLPAGVMRRVRRRRRRHRDGIGRKKRSVAAEPASPSGSSSGKAMIGAGSSASSPRLEVEEEDADSAASELERQIMSSRFYGAGFWRSVSSGSSSSASMRYQ >Dexi4B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:4B:16648254:16652834:1 gene:Dexi4B01G0015250 transcript:Dexi4B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASITFVLTRLADQAVKEAALLRGVDKDIRLLRDKLEWLQAFIQHADQERRKGANGYVALWVRQTRDVAHEVEDVLDEFLRKADLDRLAARGRSACGGRWLKLAASFTTQVAVRHDLRERMDGIKDRLKEISDNVDKYRSKQLRSNASSSSAAPNASISAAPAWDEEIKVLGFEVCQDLENHLLSSDSRRSVISIIGESGIGKSTLAWKVYDSSNIMKKFDVRVWINVPPQIKENDILYFIYKRLCPEMDEDKLKHSTSTTESIHKNLSEYLRDKRYLVMVDGLANFTNWNSILQSVPDKGNGSRVMIITRLEENEARYADPKIKPFKIDRLEKVDSENLFCNRVLIRSNSQNHNKGSSSESLEPDQMVKVSKNIYEITQGVPLAIVLLAGLLRTKANKEWDKVFKQLKSSEEPKHVKRILALCFDDLPSRLKSCFLYFAGMPENLIYNARRIVRLWAAEGFLKPKKGKTMEDIGQSYLKELISRGMIQLVKKDINGGVWLVAIHDRLHAFAQLEAQEASFLEVHDNADVLAPASIRRLYLQNYMQSYIPMETPFPKLRSILCDFAEERSENLATAPGSSRTQAASSNDLRHHALRSLQASKFLRVIDLRGLRIKKVPHAIGDLIHVRYLGLRSRNLATLPPSIGRLINLQTLDIKRTEVVEIAEAFWEIPTLRHVVANKLKLPEFVGALNNMQTLTGLVCCHPWSNNKRRPLNNMVFLRNLEISGLNGNHWEGLEDAFKKLESLLYLHLAGKGIPSKLFTNFTLRRLQILELYGEINASGDKLDEQYTLPNVTRIVLKESEVDKKFMDKIGELPSLKELVMSDRSYVGEKLVFSDSGFNSITNLVVTGLPELQEWEIRPHSIPKVRKITVGNCPMMKIKLCIEGGQGLKDLMPDLKEVAFWNMPDQEISIEPENEDFREKINNVTMNTKSDDITSAMQRTGRWRAGMIAGDIFQN >Dexi7B01G0016320.1:cds pep primary_assembly:Fonio_CM05836:7B:22292870:22296977:-1 gene:Dexi7B01G0016320 transcript:Dexi7B01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESVAVDPTYTDTIHASPRRTHHRSRAHRHRRRRRVSPGSERVRRPADPVSSSSYRLASGIMAGRVADENRRPAVGKPVPGVARGGLASGIMAGRVADENRRPAVGKPVPGVAREMGNRRALKDIKNLVEAAPNPCAVAKKPMLQKNGRDEKKPALESSRPMTRKFAASLASKGQQPERKASTTDPAPGPDQNKEPVGYGDIDLEQYELVPDVDIDMDETDNKDSVNEDESFMDIDSADSGNPLAATEYVEELYKFYRENEAKSCVKPDYMSSQQDINAKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVIPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYRPSVLAAAAVYTAQCAINRCVEWTTVCESHSRYTGDQLIECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKVEPALFLLESGDTSSPSSGTI >Dexi4A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:4A:23777800:23779009:-1 gene:Dexi4A01G0020010 transcript:Dexi4A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNYFNFVLALVMFMQHDWAYGASRTREYSFPNLVYSPSLPPYKDVIGGGGAPSSPTSDGGVPPPPSPYCLYPPPPAKPALPAPLPPEASPPGEAPQPGGSPSISPGIITSPPSGASPPAGSPPPSTAQGSSSPPQPAFLPPVAFPTPPPPVQQAAARPGMWCVANPKAASAAVMQTAMDYACGSGADCGAAAPDGPCYLPDTLTSHASYAFNSYWQRTKDAGGTCDFAGTAMVVTRDPSYDGCRYVSM >DexiUA01G0015070.1:cds pep primary_assembly:Fonio_CM05836:UA:31553610:31562293:-1 gene:DexiUA01G0015070 transcript:DexiUA01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDYRVMGVRGLRVVDSSTFKYSPGTNPQATVMMLGRYMGLRILKERWIRKGAEDKH >Dexi2A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:2A:14331196:14331843:-1 gene:Dexi2A01G0012340 transcript:Dexi2A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNNGVSSFVLVLLALSAAPLAVVAGDPDILTDYIIPANFNPANITGDFFAYGGLRAALTGPAPVNFTVTKASMAEFPALNGQSVSFASLFYPPGSVNPTHTHPRASELLLVVDGELSVGFIDTAGKIFTKDLATGDMFIFPKGTVHWQYNAAVSQNASALSAFGSAAAGLVSVPVTVFGTGIDDDVLVKSFKTDVDTVHKLKTALTPPPKKP >Dexi3B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:3B:14540454:14541198:1 gene:Dexi3B01G0019490 transcript:Dexi3B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPCLPACLPAHRASVLLEITGGHQEPHAPVNLNLCFLNLYNNPAPKPDHRIATSLHSLGRGSDTPTV >Dexi2B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:2B:10235298:10236973:-1 gene:Dexi2B01G0009470 transcript:Dexi2B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGTKPEGDHGAPNKAAAAGEEVVIRVPVHCDGCARKLRRSLQRLLDGDGEVSVDSAANTVVVRGQKAAGNAAEAVRIVEKRTGRKAVLLSPAPEKLPPPPPAKGTEGTKKDGGKKKGVMDDLPEINMKMVVVLRMNLHCDACCEEIKRRILRIKGVEDAVPHLKSSQMMVKGLFEPATLVGFIHNCTGRKAAIFRAEPLDHPPPPPPPKSPPPATESEAKKPENTGGKTDEQENNAKKEEEGNKAGGGEEDSNKEPNKTEKKPESGGAAAGEEEEEAHGDGDEAAKKEDAGGDEEVVLESNKKGDHLFAAVPLPAGVVAVAPPPPSPETMAAVVDGGVATYYYSYPSSSYYPYYAHAPCTWHQYQCPPPVYPSYSYAYGGGAGMYGYPSFATEAFSEENPNTCTIV >Dexi7A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:7A:20230122:20233995:1 gene:Dexi7A01G0009120 transcript:Dexi7A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSRHQVTITLGRSASSPLFSSPQVVKRRAISDTINDDEVQFSGKKRPLRERLGSNVTDSDFHGSRHRSKRQQTESSSPHEDDDTDRQVGKDDLRLKLMRKGLLQRGNGGTEQNGVDLREKLSRNHKNSLRYDARGHAPEPRARLCNPSLMLVLVMAFLYSILQTEVQQTVPGLLDSLGLEKYLVLFQAEEVDMAALKQMGESDLKDMGVPMVGGKWCCLLVYLPC >Dexi2B01G0034570.1:cds pep primary_assembly:Fonio_CM05836:2B:41810441:41813500:1 gene:Dexi2B01G0034570 transcript:Dexi2B01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEMERKALAEKDMREWCVALPKVELHAHLNGSVRNSTLLSVFLTAPISPLISSELAKQLADKGLIVFEDVKDVIMKNGRSLPECFKLFDLFHILTTDHDTVTRIAKEVVEDFAAENVVYLEIRTTPKNNGAKGMTKRSYMNAVIKGVKAVEAVDVVLFDSNSRTNDTLTCTPTIELDGDTKKKRIYVRLLLSIDRRETTSAALDTVNLALEMKDQGVIGIDLSGNPVVGEWETYLPALQHAKELGIPITIHCGEVPNRKEIQAVLDFCPQRLGHVCCLNDVEWKMLKSLIIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVAATFGLSKPQLFRLAQEAVQFIFADGNVKKSLKEVFRHAEKRLMMPSAIGAPN >Dexi6A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:6A:7483153:7485839:1 gene:Dexi6A01G0007570 transcript:Dexi6A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPRARLPLPVRWWVLALLLLLLLPATPVAGAAAATGSEASSSGCECGGAAAEMREEDARGALRLKLIAVASILASGAAGVLVPILGRSASALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALAAPPCSATAGGRSEGGASFPFAGLVAMCSAMVTMMVDSVAAGYYQRSHFRKARPVDDPAAAVAGEDEEGAGEHAGHVHVHTHATHGHAHGHAHDHGGHGHGGPAAGASPDDASSVAESIRHRVISQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQAKFKARATVIMATFFSFTAPVGIALGIAITSSYSKHSATALVVEGVFNAAAAGILIYMSLVDLLAADFNNPRLQTNMKLQLVTYLALFLGAGLMSLLAKWA >Dexi2B01G0035580.1:cds pep primary_assembly:Fonio_CM05836:2B:42525670:42527185:1 gene:Dexi2B01G0035580 transcript:Dexi2B01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSAPSCVSLVLVVALASLAAAQLSPTFYDRSCPRAMATIKSAVNVAVAQEARMGASLLRLHFHDCFGCDASVLLAGNEQNAGPNLPLRGFNVIANIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSATAASLTTVSNSAHTIGQAHCSSYRAHIYSDTNINQAFAASLKASCPAGSGGATVLAPLDTTTPTRFDNAYFTNLLNQKGLLHSDQQLFNGGSTDSTVRNFASSPAVFSSAFATAMVKMGNIRPLTGTQGQIRTTCSAANS >Dexi2A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:2A:6826553:6827550:1 gene:Dexi2A01G0007080 transcript:Dexi2A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMVFYFSTTLLLFILSSVIADKDISAIPLRGRSLESKKVFDVFGYGAHGDGHHDDTKAIARTWAAACSAPQPAAMLIPRGKGYLIKHVTLSGPCKSSIMLMIEGTSMAPPKGPHWSNKTNRHWIMFSDVNELTITGGGTGTIDGNGKTWWQNSCKINSRFPCKQAPRALTFYSCKNLRLENVKIVNSQQIHISIEDCRHVKIARLSITAPGTRPNTDGIHVTRSKHVQVTDCRIKTGDDCMSIEDGTENLHVRNIVCRPGMGSALEA >Dexi7B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:7B:11447350:11452680:1 gene:Dexi7B01G0004530 transcript:Dexi7B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYGSWASPISAAAASVAGRTVEGLAVAGDGRLVWVEKRPEQGGASVLVREAAEPGGGAVDMTPEGFAVRTLAQEYGGGSGAFAVQGDTVVFSNYSDQRLYKQTIGGGTSPLPVTPDYAGSTVRYADGVFDPHFCRYVTIMEDHRDKSSSPITTIAALNIHDRALSDCLPRFTYLFVLIIFYREVQKKICIAGGDPTLVESPTEPKWSSKGELFFITDRQSGFWNIYKWFRNASLRFSLHHNYYLGGPTDEARGILDLNVQYWTSRGWALVDVNYGGSSGYGRKYRERLLGQWGIVDVNDTCSCATFLIADLSSLRAGSHKFEAYYTDNLVGNKQAYFERSPINFVDKFTCPLILFHGLKDTAENIKFTMEQEMVFFARLVGKFNVADDITPIKIENFD >Dexi3B01G0022730.1:cds pep primary_assembly:Fonio_CM05836:3B:17416770:17420896:-1 gene:Dexi3B01G0022730 transcript:Dexi3B01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGKKNECKRSFNPKTGIDMLLLVHAGMGFLWKPVAIVAAFMTGLSIAFLNDSFAVTFNEKVTRTSCSSRSSKLKEINSYLWATSMGVCPVLFCSLLTVLWALLIALFATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >Dexi2A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:2A:1067756:1068079:-1 gene:Dexi2A01G0001530 transcript:Dexi2A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNFRTEQRKINAEQNSFQQLEDLSSESGCGVVGVEAEGAAGPLRGDGEEGRCRASSVQGCGRSPAWDPFSLRPPHSPRGSCGGRKQRVDLSEASRERAPLGRGPC >Dexi7B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:7B:20222365:20223029:1 gene:Dexi7B01G0013780 transcript:Dexi7B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAVQASSSAATPSRDVARRNSGQQPQQTKPSSATTGRRRLAATAAAALLMAPAASSAAGTFDLRITLPEESSEEAEAVVRAHARNLVRVKRLADAGAWRELQAELRASASNLKQDLYAIIQAKPAAQRPELRRLYSNLFNSVTSLDYAARDKNEVQVQEYYGNMVNALQEIFSKIM >Dexi9A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:9A:9580267:9582470:-1 gene:Dexi9A01G0014500 transcript:Dexi9A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVTVAMPGGGRVAGGGGGGGASSAPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPVYGCVGAISSLQQQVEALQAQLALAQAEMVRLKMSNDYIVHRLKAARGGGGGGSSYTGSPSSMSSPKTAEPEAHCKATPELLDMVVDQPASMDDAQFWSY >Dexi5A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:5A:6972397:6974280:1 gene:Dexi5A01G0009250 transcript:Dexi5A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAGDGIMFALRSPAVSFATYHIPSVGKSYELVCTATSATIRIGSGTYNVLSIDYTDSYFWVVDANLGMQSSCPLPRWDHGDAAYYAPTAQGKPVSIEFATPSNGTMDSFIYVSTKSWYSVEAYEFVPSCGYLAATPLGGPGTTIHHVNVVRLVGFCSEETTRALIYEFMPRGSLDKYIFSLEKSFSWDKLNEIALDGRRAQEC >Dexi4A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:4A:8254299:8254865:1 gene:Dexi4A01G0010350 transcript:Dexi4A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDPTARLSRRRPRLAGRGKLDFTVHGELDAAGHGELDPAARLTHRWPCLAVLRVGRGKLNFTGHEELDLVDVGACHEQGDRQSNETLGPTTTSIFLFASRPHETQLCLSLRWRRKMAARYASAVGDRFGYAKQLCAMQNVFGSPFASAVGDSLRSNKKCGKCYIDISSNRIGASKQMEKNFELII >Dexi3B01G0036020.1:cds pep primary_assembly:Fonio_CM05836:3B:38951391:38957286:1 gene:Dexi3B01G0036020 transcript:Dexi3B01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMLLGLVEISNMESPTSPLDGAISKVPGMLDRLLLHSCTLPKGVADEIPLIKRDLKKIIAIISSDLEDDHALMVRCWRKEVRELSYDMEDFINQYEHAVAVICARSIRGREITQRHRSKTTLPWIREKLRQRLYMANKIREFSARVQEALQRHSLYNLDAIASSASARCTEAYHTSWNSTPYGEESAYVGIDAAIEKIEELLMMKHDHGHQELRVVSIVGFGGIGKTTLAMELYRKLGQQFECQAFVRTSQKPDMRRIFISMLSQVRPHQPPENWTIHSLISTIRTHLQDKRYLIVIEDLCATLTWDIVKRALPDSNCCSRILTTTEIEDLALQSCDRDPKLSKLQSLILVPRISSYAKSIDCTGAMGMTIYCGLSSLSSAPALLQSFEVSPRVCIFLCTPKWIGQLHKLCVLKFGVRKIDSDGVDVLRGLPALAVLCLYVQTKPATRIVIGNTGFAVIKYFRFKCCDPLLKFEEGAMPNLRKLKLAFNACNADQRSTIPVGIEFLSELKEVSAKIGGVGPDESYIKAAQLAFRDAIQVHARSQRVNVQCVKQIIEGKDDNHSSVTTVKDSPAPRRSREDRIPIKQTVTLTPHYDGYQWRKYAQKRITKSQHYYRCSFHPERHCRATKQVQQCSNGDPPQYLVIYFNEHTCHAAAAGDPEAAADPAARHVGRSGARQAPQMIEPACVQEEEHVRQTIVSSLVCLLGAQRSPVGSGAGDADVAQHPCATRLRLRPRARPFGAGRDGSRCHQRNAAVLDHGDEHGRKEMKRQRILLSAISTGSDL >Dexi9B01G0047180.1:cds pep primary_assembly:Fonio_CM05836:9B:46366634:46369492:1 gene:Dexi9B01G0047180 transcript:Dexi9B01G0047180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSVGGGGGRGGCGGTPLLRGYTAAAGRCCAFPRSRWRPPRLAASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNAVLASCKDDQHAVAQVEAAYDMLLMQSLSQRRAGKVADNSIRYADVKPVKSTGAGTVPQWMQATMKNAPITFEAPSSNSLGIQSCVYGALMVFTYASGSSTSLPSTYSSPDVPGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >Dexi9B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:9B:6523919:6527905:1 gene:Dexi9B01G0010440 transcript:Dexi9B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSRSDVQWIRLLSQKMSTSRPTQSSSSSNRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRTAPPEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLATVSHAVPNVDEPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKIIEDEGLSIDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEPEPEQPPQQQKKKRLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIQEKTILRMQTMLSDMLFREASPLSIISGSPNIMDLVRCDGAALLYGDKVWRLQTAPTESQIRDIAFWLSEVHRDSTGLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDILFWFRSHTAAEIKWGGAKHDPSDKDDNRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDAMKPARTSGLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELTGLRVDEAIGRHILTLVEDSSVSTVQRMLYLALQGKEEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIVHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDDVIDKMLLGEVFDSSNASCLLKNKDAFVRLCIIINSALAGDEAEKAPFGFFDRNGKYIECLLSVNRKINTDGVVTGVFCFIHVPSDELQHALHVQQASEQTAERRLKAFSYMRHAINKPLSGMLYSRETLKNTGLNEEQMKQVHVADSCHRQLNKILTDLDQDNITDKSSCLDLDMTEFVLQDVMVAAVSQVLVGCQGKGIRVSCNLPERFMEQKVYGDGIRLQQILSDFLYVSVKFSPVGGSVDISSKLTKNSIGENLHLIDLELRIKHQGTGVPAEIISQMYEEDNKEQSEEGFSLLVSRNLLRLMNGDIRHMREAGMSTFILTAELASAPAASG >Dexi5A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:5A:8163012:8163867:-1 gene:Dexi5A01G0010880 transcript:Dexi5A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTILLLLLSLCAARLDGAMADQYWTPATATFYGGSDASGTMGGSCGYGNLYSAGYGTQTTALSTALYGDGAMCGACFLVTCDASRTQYCKPGSPSVTVTATNFCPPNYGDPNGWCNSPRQHFDMSQPAWETIGVYQAGVVPVNYRRVSCSRSGGVRFGISGHDYFELVLITNVAGAGAVAAAWIKGGDGTEWLAMSRNWGQNWQSGVYLDGQSLSFKVQADDGNYVTAYDVAPSNWQFGCTYQSSVNFY >Dexi3B01G0035880.1:cds pep primary_assembly:Fonio_CM05836:3B:38852627:38854926:-1 gene:Dexi3B01G0035880 transcript:Dexi3B01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKARQLVARLAATPDAAIRDLPVVHRALTLPLLSAASAALRLSLLISRLRPRRALPVPVVSVGNLTWGGNGKTPMVDYLARGFHRLGISPLLLTRGYAGGDEPKMLQRRLADTSAKIGVGANRAALASSMLQKYGYIHHSETFCTDKMLSVTSEVEPGKIGVAILDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASEVQLKEIRSTIEDNAATCSVFYSRLAPSHIFEVKQPLLRLPLNTLNDKVVLCVSAIGCPDAFIHTVTELQMGPPKIHRLDFSDHHFFNVYDLEIIQETVRNLMDQHGKHTIILVTEKDYDRDPEALKTLDAKVWVLCSSLEIIPHKEQGGDDFMRKVKEIIAVTGHAKSHVVDQATS >Dexi1A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:1A:12110809:12122418:1 gene:Dexi1A01G0012340 transcript:Dexi1A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAYIDAKEEAPVGHGEETGNSNFLSNRLSIPKRVKFCYFCNRHRPGETWPQSKTFEVLNDENVATEGKKTSKYRTIELCSIVEDLKTDTFTVRGLPQASGNALRSLAGKIPTRYIYTMDDIQEDNEDTSDSENPDGDSSYGTAYEAFGGGNNGKEACHAIAALWQIRSIGSLISNFIRPLQNQPALEKDRYKILQAFVAAPGNSLIVADYGQLELRMLAHLANCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHDNKVLLEWHPQPGQEKPPVPLLKVLQVSLEEARDTLKLWYRDRKEVLAWQISQKKLAHEKCEVYTLLGRSRHFPNLTQSDPGQRGHIEHLIERAAINAPVQGSAADVVMCAMLEIERNARLKELGWRLLLQVHAEVILEGPSESAEVAKAIVVECMSKPFYGTNILKVDLTVDAKCSKSWYAAK >Dexi5A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:5A:5738453:5739288:1 gene:Dexi5A01G0007730 transcript:Dexi5A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAFLQEFKIFKDKSLAINVDTGLSKQFSDFIVKHHRPGQKIAVGKLEYRNIIETKLVNEDVIIMAATLYECDYCVNKFAKYLLRGGEHLQNVSGICPKGWDLQKIAAALKLVCYPEEKIETGISV >Dexi1A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:1A:603762:607006:-1 gene:Dexi1A01G0000960 transcript:Dexi1A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAPLLVFLAVTLSLILATSVGAAGGDERAALLALKAGFVDSLGALADWKASSSHCTWSGVGCNAGGLVDRLDLSGKNLSGKVTDDVLRLESLTVLNLSSNAFAVALPKSLAPLSKLQVFDVSQNSFEGAFPAGLGSCADLVTVNASGNNFAGDLPADLANATSLETIDLRGSFFGGDIPAAYRSLTKLKFLGLSGNNITGKIPPELGEVESLESLIIGYNVLEGAIPPELGNLANLQYLDLAVGNLDGPIPSELGRLPALTALYLYKNNLEGKIPPELGNITTLVFLDLSDNLLTGPIPEELAQLSHLRLLNLMCNHLDGTVPAAIGDMPRLEVFELWNNSLTGQLPASLGKSSPLQWVDVSSNSFTGPVPAGICDGKSLAKLIMFNNGFTGGIPSGLASCASLVRVRMQSNRLTGTIPVGFGKLPSLQRLELAGNDLSGEIPGDLASSTSLSFIDVSRNHLQYSIPSSLFTIPTLQSFLASDNIISGELPDQFQDCPALAALDLSNNRLAGTIPSSLASCQRLVKLNLKHNRLSGEIPKALAMMPAMAILDLSSNSLTGSIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNAGLCGGVLAPCSGSRDTGTLAGRPRGSSGARLRRIAMGWLAGMLAVVAAFAAALAGRYAYRRWFCDYEEDLAGDHGAWPWRLTAFQRLAFTSADVLACVKEANVVGMGATGVVYRAELPRARAVIAVKKLWRPAARDAGGEAPASELTEEVLKEVGLLGRLRHRNIVRMLGYMHNDMDAMMLYEFMPNGSLWEALHGSPERRTLVDWVSRYDVAAGVAQGLAYLHHDCRPPVIHRDIKSNNILLDANMEARIADFGLARALARSDQSVSVVAGSYGYIAPEYGYTLKVDQKSDIYSYGVVLMELITGRRAVEAEFGEGKDIVGWVRDKIRSNTVEEHLDANVGGGCAHVREEMLLVLRIAVLCTARAPRDRPSMRDVITMLGEAKPRRKSGTSGAAAAPAKDPAAVVVDKDKPVFSTTPDSDYA >Dexi9A01G0042620.1:cds pep primary_assembly:Fonio_CM05836:9A:46196434:46198257:1 gene:Dexi9A01G0042620 transcript:Dexi9A01G0042620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLRLLTLEDLNLTARTESLPEHSLRSQGTPAPAREDREMGADAAAAAWKSRRRNTAQLLRRLEEGKISLMPSKDHRSRRQETGQKRWLRRTPDPGGWCSGACRRRGPAPVAGCCTGAPTPALRRLAPASRERAEWDPAPLRSGDLCCLDPAVASFSCARARSINKSKSILRVK >Dexi1A01G0022100.1:cds pep primary_assembly:Fonio_CM05836:1A:28796660:28801145:1 gene:Dexi1A01G0022100 transcript:Dexi1A01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRTKPRETVIIELDDDDEEEEDGGGGGQAGRRSLGEGAAAIGGGGEHLRPVKPEPVEDVRLNAAGPRAPQGFAVVPIPPRKENPRALPPVAAVRPASRPRPEIVDISDEDDEDSAFRGVLPLRMIDGSGGRGVRPIKDEPFLESSSDWARSAEAERSLVPVAPPGSLSAERKRKREGTSTSRGKPDARGDSQSLNRNSSASRAGPGTMGMADKTRSSRKGNNVKNKEASRGSASDRSLVAKKAFAPSEESRGPQVKARRGGRARSGERSSTAAPASWVGTTVGSRVRSRSRQQSRRQHATYSARVASEDTEEGDDEEVEQDQEQKTGEDVKVMEVEDYDDSGNEVAQEREQEKTVEEIGQDGHGDSEDEYREGRDSAAVADNDEEVGEKELLVEEDEYGNQEDSHSIYDDEEEEEDGESEDNGQELGETGEVQPFTTSNATAGGSVLSGCDGKRVFRWKIFEGIYLPENPRQTDGKGVHGRTRSKRKCKDKKLLRRGTFSKPYNIDVPDSTSDSEEDIPPAPQPGPMSWSSSDDNTRIFGKRKRRRRNKRRGKRLSTSSDESEEYRAHARDAGGPYRRLKKGLSSPQIGKDGSNLGRAKYNGPNGGNPMEMGNAQDDISFKRKTRMIRVRGRIAKAAYDELLNSLFAGWENHVDVPGHAETGNSLALVFSFGDEDEPCEKTENDKYHEDLWRECDIAFESINISSHGCEEDGQEVPQVEQTSCKNGKHEFIIDEQVGVRCKHCHIVDLEIRHVLPAMGKFSAERESAVEPELDSMLKEMLNLFEENDVLVSNGHEVPCSFGGHKAGVVWDLIPGVKENMFPHQQDAFEFMWTKLAGGKTRLAITFVQAYLEVFPQCSPVIIAPRGMLATWEKEFKKWKKEVIASMEKTIAMGLEAEYKISLASIHPSLVASAKLSEKEESIVDRPKLESLRSNPSEGVKTKFVLEIVSLCEVLNERVLVFSQYLEPLSLIMEQLKARFSWAEGKEILLMSGNVLVKNRQTMMEAFNNMKSKAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLIAEGTTEKSKYDRQAEKEEMSKLLFSKEPDHGGCNLPSEGTINDRILEEMTAREDLKKLFVKIV >Dexi1B01G0028610.1:cds pep primary_assembly:Fonio_CM05836:1B:33089505:33094670:-1 gene:Dexi1B01G0028610 transcript:Dexi1B01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAIPIQQKQAGLAASKFGVGISKKHRAFVAGDEQWFNRIFDPSSDFILTWNRVFLVSCFAALFIDPLYFYVPKISYGTTVSCVGTDTHLAVIVTFLRSIADLLYVLHIVIKFRTAYINPSARVFGRGDLVTNPKEIAWKYLRSDFAVDVVAALPLPQVPFFSQMDDQLLDAICERLVSSLCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPKPTTNLPSSTRTVRALIEVEAFSLQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAKDLRRNNRPSCWPPGFAPRLRYEAGVEEAALEGRSAPGTKGGESQRGVAGDWMESHVPRERAAEADSAARRRGDPASADDT >Dexi6A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:6A:28067429:28069396:-1 gene:Dexi6A01G0020610 transcript:Dexi6A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPTTHQPLANYKAATSQSTDTSESAKSSDIREELSVKEGSRRDMALPTNSSEQSSWLESGNNAANGKDQEQLVKWLLETDLPMDEPWLNFASSNDDVLGIVEGSLPWDGATDWLLDYHDVGMCSSNLNNPTLHNSDGSRL >Dexi2B01G0029580.1:cds pep primary_assembly:Fonio_CM05836:2B:37954107:37956913:-1 gene:Dexi2B01G0029580 transcript:Dexi2B01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIFLPFLLYLPLLLLLPQLSDPSAIPEPKQNLTLNMKPEPSSTYIVHVHHLAKPSHFATLGHWYTSMVATHSPRPVADHSSRILYTYDTVMHGFAVKLNGDEAQRMSDAVGVIGLYEDKPPLRLLTTRSPGFLGLDPQFGAWRNTDSGDGVIIGFVDSGIWPESPSFNDSGLGPVRPSWRGKCVDAGNFNASLCNNKLVGTKAFDIAGKGGSGFVLSPRDYDGHGTHVAFDSRWLGGPESSTVTNAAPWVTTVGASTVDRLFPEKLNLGDGTVLTGQSLYTIKANGTNKVPLVINSCLKQTLTPDHIMGKIVVCISSILDEGEGEGEVEDDQGVDIVEIVQSAGGAGIVSVLMSSWSPDETLADTSTFPCLGLGYAAGKKLRAYMASEPHPVASLSFTCETVISETRAPMVASFSSRGPNLVAPELLKPDVIAPGVNILAAWRGNASLSNPMNSSYQLNYGTSMATPHVAGVAALIKKKHSDWTPAMIRSALITTAATLDNTGRGILDNALVDPSDNAKVSAATPFAAGAGHVRPQLAMDPGLVYDAGARDFVDFLCALNYTTEQIRQFAPDMATCTRELPGGAAGLNYPSFVVVFDGRTDVRTLTRTVTKVSQEAERYQVNVSAPEHVNVTVTPATLEFKEQYEKRSYTVEFGSKATSPEWEFGHIIWENEKHRVRSPVAFTWKTNN >Dexi1A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:1A:19449690:19455463:1 gene:Dexi1A01G0013830 transcript:Dexi1A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAMAGGGVGGDGGLAVVINVVSSSDTESDDLGAGGRKRPRSGRCSADDREEKKARILAAGSAVPAGFLEPLPPVRLLPPPAPERTVTKQFWKAGDYDGNPQLLAVEAAQHSDSGMEHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVANGATFVKIDMIKNKKDGTRMLLVEDDGGGMNPDKMRHCMSLGYSAKSKLKNTIGQYGNGFKTSTMRLGADVLVFSRSRGIEGTRPTQSVGMLSYTFLRSTSKEDIIVPMIDYEKEQEWVRKVRTTWTDWHMSLETIIQWSPYSTEAELIQEVWRMLFDHLFGSIGEQGTRIIIYNLWEDDEGELELDFDADSNDIQIRGVNRDQKNIQKANQFPNSKHFFTYRHSLRSYASILYLRLPDNFQMILRGIEIERHNIVNDLMLKKHLNYKPTIVNGFPKDKHMATIVTIGFVKDAKHHIDIQGFNVYHKNRLIKPFWRVWTAAGSGGRGIIGVLEVNFVEPAHDKQDFERTNCLARLEARLNRMQKQYWFVLLSLGSQLIAIELAITSIVIAQVLGLEKEVG >DexiUA01G0000380.1:cds pep primary_assembly:Fonio_CM05836:UA:1915725:1919471:-1 gene:DexiUA01G0000380 transcript:DexiUA01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFIAPISRVFYPEGRVGVDDDEEEEVITTGRLCGVEGSAWCGLDGMWMRREEGSGTGGGGVSVAAAADSGEEAAAAGRWWWCI >Dexi9A01G0044010.1:cds pep primary_assembly:Fonio_CM05836:9A:47642472:47644551:-1 gene:Dexi9A01G0044010 transcript:Dexi9A01G0044010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSIRAAAKAAMVGGYRSAAYMRRAVTPSSSSAADTRKASTVAVDDWVITDHEVFGPVPTHEEALAATVDLSDAFEIAKVGSQTAQFKPKTHLSSTDQENHAKVAQQIALPELVDSEAPQVVVHSETSEKEDNYENLLAAAGTPGRVVQAFTLLHQSPEAQDVVASLASDKNVWDAVMKNEKVMNFYKTYETKLSECSNSASSVSGDEVEDGSSYLRSSAGELKDYLEKMKALVSEMMTNLSNMMQDLVATSDEGRCKGKLKTLIISSSKDFPNAPSAFVLLAIASIMVVLLKRA >Dexi3B01G0033300.1:cds pep primary_assembly:Fonio_CM05836:3B:35926184:35927053:-1 gene:Dexi3B01G0033300 transcript:Dexi3B01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSSRRLPWSGDGAGAGGELSLPRRRWQSVVLQVRGKGKKTTEGLFGFRRIMDAEFMSLFLPVFGFRRRLRKLCSDSSVHLQHLHDY >Dexi4B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:4B:17570906:17574640:1 gene:Dexi4B01G0015710 transcript:Dexi4B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVLLLAMAVTAAVVIGGSSPANAAVSYDHKAVVINGQRRVLISGSIHYPRSTPEMWPDLLQKAKDGGLDVVQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLAKQAGLFVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGGGAKPYANWAAKMAVATGAGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNSNSKPNMWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALISGDPTVQSIGNYEKAYVFKSSSGACAAFLSNYKTNAAASVVFNGRRYNLPAWSISVLPDCKTAVFNTATVQEPSAPATMSPAIGGFSWQSYSEATNALDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSNEQFLKSGKWPQLTIYSAGHALQVFVNGQSYGTAYGGYDSPKLTYSGYVKMWQGSNKISILSAAVGLPNQGTHYEAWNVGVLGPVTLSGLNEGKRDLSNQKWTYQIGLHGESLGVHSAAGTSSVEWGSAAGNQPVTWHKAYFNAPAGSAPVALDMSSMGKGQAWVNGHHIGRYWSYKASGGGCGGCGYAGTYSETKCQTSCGDISQRYYHVPRSWLNPSGNLLVVFEEFGGDLSGVKLLTRTT >Dexi3A01G0028410.1:cds pep primary_assembly:Fonio_CM05836:3A:29108032:29110897:1 gene:Dexi3A01G0028410 transcript:Dexi3A01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSRGASSSLPLHLIVDDTLALVSPLQQSFQRSQRLCFGDSAPGEFPLAANPSIVLHVLTSCDLNPEDLAHLEASEACCRREKSQAIAGPGHSIAVTASGAVYTFGSNNSGQLGHGNLEEEWRPRVVRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGGQGSRVVTTPQMVESLKDIYVVQAAIGNFFTAVLSREGRVYTFSWGNDTRLGHQTEPNDMQPHLLTGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQNLNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESIPKVVEALSGVKAVHVATGDYTTFIVSDKGDVYSFGCGESSSLGHNTINEVRNPSVGNNRHTNVLSPELVTSLKRVNERMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLN >Dexi2B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:2B:472966:474303:-1 gene:Dexi2B01G0000910 transcript:Dexi2B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEARKSSPAPAVIIRPPEALTVTTTTSGGTIKLTSFDRGFVKVPFTVLLVFEHMDHHAIDSIKRALSQALVHYYPFAGRIIISSEAVDGDEFSIRCTSDGVEFLTASMDCSLKEAKILHESPMGKINPLLNELAVLYPAGSYGFDDPLLSVQVTEFSCGGLVLAVTSNHAIADGVGIAQFLSTVGELARGSPSPSVVPVRWDDAVSRHEPWPNPIVQAMLACPESQGMEFIAPLDITIPSALINRVKAEYLSGGFDEGQAPCTVFEVVIAVLWRCHIRATMSNKNPLNPVYLSFATDMRKYVGAKDGYYGNCSADRLLSVPTRSAAAEATILDLIRMIKRAKDQLPDTVKKGNHDQLMMQQGLHDRYDMMHVTTWRNVGFEHVDFGGGAPARVMFHGREGGTPPVPICIMYPPCKGMDGVNLLLLSVKEEHVDAFLGELAKHT >Dexi8A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:8A:22210062:22210326:1 gene:Dexi8A01G0012600 transcript:Dexi8A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETPNSTAGGGGGVVREAPVAAVKEEAAREALMASDQLEPVPCGIYAWAWLDLARRRSIVPGMVSSSAGHSPAAC >Dexi2A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:2A:11080734:11082190:1 gene:Dexi2A01G0009960 transcript:Dexi2A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIFYLQLGSIPAIVVSSPETAKEVLKTLDAYCCNRPSSPASRVSLWFDKLIGLEARYKRIFRDLDAFFETVLRQHSDQERVLPEKDNLVDVLISIWKGQGCIYRRHSDRLSDIALGNV >Dexi9B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:9B:11465592:11466034:-1 gene:Dexi9B01G0016660 transcript:Dexi9B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCIQRGHQNSLEVMPLFFAMLLLGGLRHPAVTAVLGALYTVARFFYFTGYATGDPRNRMKIGYVVV >Dexi5A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:5A:1265910:1268591:1 gene:Dexi5A01G0001780 transcript:Dexi5A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQDQEQRRRLLDVASRFPLPAGCRFSYGTAGFRADGATMAPAICRAGILVALRSVKLAGATVGIVITASHNPIGDNGAKIADPDGGMMDQHWEPFADALANAPDPDALLQLVLQFAKDEGIPLGSHYTAQVLLGRDTRPTGEYLLDAALQGINAIVGAHAIDMGILTTPQLHWMVRSKNKGVKASESAYFKQLINSFRHMLELVPKDKSGDELANKLIVDGANGIGGVKLEQIKAELSGLDIIVRNSGKEGEGILNHMCGADFVQKERVTPHGFSPEDVGVRCASLDGDADRLVYFRVSSASDNKVDLVDGDKILSLFALFIREQLDIINNDGSKVNKLLPAKLGIVQTAYANGASTQFLKDLGLEVVFTPTGVKYLHKKALEYDIGVYFEANGHGTVVFSENFISQLESLSKELSSQADNGSAQYHAVMRLMAASQLINQAVGDALSGLLFVEAILQYRGWSFQNWCELYSDLPSRQLKVCTFRLPRNLLLSVKVIDRSSIVTTDAETKVSQPSSLQELIDKETVNYSHGRCFVRPSGTEDVVRVYAEASTQVEADSLAKSVAQHVERLLG >Dexi1B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:1B:10987085:10989620:-1 gene:Dexi1B01G0011000 transcript:Dexi1B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLNLLLCKKILQPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGAVPRPRLPILPTPGMPHGFPQAPGAPLMPGVRPPILPAPGVPGYPGGPPNMPQPGGPPGSMPQPGGPPGSMPMQIAPLPRPPTLPPPTSGVPGAPIPNSTAPPSMYQTNPPPPAGPTSGAPPAPPSAPQPAFSYAQPSEGNH >Dexi3A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:3A:6977210:6977743:-1 gene:Dexi3A01G0009930 transcript:Dexi3A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQMDMEEMEKKDASSALERSLSTVTYWSVLSPLLLISAAAPTADKQCARRTWVVGSCGACGYDLRLRSSDRNTAWIVGGGYGRAARRGVVAFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGASVGFGYDDHAGAARSPRYDIKIRALQPLAADDDASSPPAKAMDS >Dexi2A01G0030270.1:cds pep primary_assembly:Fonio_CM05836:2A:41259206:41263642:-1 gene:Dexi2A01G0030270 transcript:Dexi2A01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNAKHKKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVPRNGTLRPSPGARDEKSQESPANANRTGYGHRSSFEQNRASPSPSKIAPVASRMPSQASPPKVEPPVPKVVSPPQPQKTPAKVEATPPKVEKPSVAPPPKVDYATDLFNMLSMDGKTEKESESSSNDDNAWDGFQSAQPVPSSEKKDSAKPAENKPQSTSGIEDLFKDSPAVSLSSAPAVSQVNAKNDIMSLFEKSNMVSPFAVHQQQLAFMSQQQALLMAALKAGNAPQMVPGNANQLNANGSNPPLGNMPFQNWTNLGYQNPGLTPAAAPPPPNGAAKVTNNNQDFSSGNFGFGTPGLYSVSSAVPANGGTAAGASNNGTTSTGSSTLPSQSGKDYDFSSLTQGFFSKR >Dexi5B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:5B:8200159:8200422:1 gene:Dexi5B01G0011540 transcript:Dexi5B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARESKTNEDADAYRLRLRASGMNSLGGREGCSSSGREGGAWAGSGGVLGSWEETTGLERWSSTGGGGAPRRLGWKGITPRAIRSS >Dexi4B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:4B:784730:786885:1 gene:Dexi4B01G0001250 transcript:Dexi4B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRLLSRRASSSSSSLSALLRRGPAAAAPEQPLLRPAVVAAASRLGFPRGMARRPGGDGYSPMRSGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEDEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQR >Dexi1B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:1B:24990282:24991739:-1 gene:Dexi1B01G0018810 transcript:Dexi1B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLRFQQKLVVDVAGSGWVVEGVVAGVLDIAVVAEVAAEAQDIEEAQAMAEGGEVTVGRRRLVHGVSAGHLHQLVHGATAGHHHRLLQETTAELSRSPPPPPARRSYSRSPPAARELSRSPPPPPARRSYSGSPEQQPQRDESPYGNDA >Dexi1A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:1A:3823274:3824073:1 gene:Dexi1A01G0005240 transcript:Dexi1A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQLIKVAMARPCKLVLVSLLVAAMVSPLALAYDPSPLQDFCVADKASNVFVNGQVCKDPAMVSAGDFAFSGLQNAGNTANPFGSKVTLVDVKALPGLNTLGIAMARLDLAAGGLNPPHTHPRATEVLTVVEGEMYVGYLDTNGTLFAKVLHKGDVFVFPKGLVHFEFNFGATPAFGIAGLSSQNPGLVRVADSLFGASPAITNEVLAKAFRIDAATVQRIKAQFTTKK >Dexi5B01G0032700.1:cds pep primary_assembly:Fonio_CM05836:5B:33284760:33285278:1 gene:Dexi5B01G0032700 transcript:Dexi5B01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCCCLAATSESEAQQPGFLGGAGAGGHSSVDAASELELLPSAEESVNAASLLASLPSPSPAALLPIPLPSSGLSRSKYQAPNTCPVRARAPVASSCTALRPLLQCCVPRPVPRARDEASERERACVGVRFEQRAGSGAEWLVRGSGLVLAFRSRVAVRREQSRAGERTGA >Dexi9B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:9B:15480865:15481593:1 gene:Dexi9B01G0020820 transcript:Dexi9B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAESSLPRPRRVGSVDREELVRVIEQSLYSLGYRRAAAELEAESGVPLYPPEHDRLLLDVMAGRWDKCAETVRAVAGVGDADRAVAEFLLWRGHFLELLGTGGDAGLRRAREVLRRRIAPLGVDRRCVHWLACAMVSCEGAVAPEAVVGWRVAVFLDLVEVLPPWFHVPRGRLEHLVESAVTKQVASCIYHNLPDEITLFEDHKCHEQHIPFECTQFLDNHPSLSYQ >DexiUA01G0028170.1:cds pep primary_assembly:Fonio_CM05836:UA:60704633:60706129:-1 gene:DexiUA01G0028170 transcript:DexiUA01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKAAGTRLLRHGAVAALLLVLLILVGGEAAHPRRKGVTSKYRRSHEASEDMPLDADVFAVPPGRNAPQQVHITLGDQTGTAMTVSWVTVDAEGSSTVLYGRSIDTLDLAADGVATRYTYYNYTSGFIHHCTLTGLDHATKYYYSVGVGHGDDGDTDTDTDTDTSAARTFWFTTPPKPSPDVALRLGLIGDLGQTPDSNRTLAHYEAHAGDAVLFVGDLSYADKHPLHDNNRWDTWGRFAERSAAYQPWIWTTGNHEIDYAPELGETTPFKPFAHRYPTPYLAAGSSEPYWYSVKLGPAHIIVLSSYSAFGKYTPQYKWLDKELKRVDRRVTPWLFVSTHVPWYNSNNFHYMEGEPMRVQFEKMVVDARVDAVFAGHVHAYERTHRYSNVAYNVTDGRCTPVADRRAPVYVVVGDGGNVEGLADELTWPQPAYSAFREYSYGHAVLDIKNRTHAYYAWYRNHDGNKVTADSTWFTNRYHMPNHDDSVYSSSNIAYA >Dexi8A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:8A:11195894:11197653:1 gene:Dexi8A01G0008960 transcript:Dexi8A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVLIVGAGPAGLATAACLARRSIPYLLVERDGCSASLWRHRAYDRLKLHLAKEFCALPYMPYPEDTPTYVPKKVFVDYLDAYAEHFGIQPRYHTSVESAEYDEGEGRWVVATRDTAAGTEIRYAARFLVVATGENGVGWIPEIPGLDSFPGEVLHSSTYMSGGRHAGKRVLVVGSGNSGMEIAYDLACHGADASIVVRSPIHILTKELIRFGMTLVQHVPVAIVDSLIVTVANFIFGDLSRYGIVRPKPGPLRQKSKTGRSSVIDVGTVGLIKKGIIKVFQEVTNVIGKKVEFKGGEERYFDTIVFATGYKTTVNLWLKDGLPEKDYPNHWKGDNGLYCVGFAKRGLAGISMDAKNIANDIVSAEDLLSG >Dexi6B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:6B:298160:299167:-1 gene:Dexi6B01G0000410 transcript:Dexi6B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGFAGIYASSAQILRGPHRPLFARIAAAFVLPLSALFLLHIAISHALFAHIDSDDTALDSSSPGTDAQRRLLSRLASDWLALLLFKAAYLLALLLFSLLSTAAAVFSVASVYSAKHDALSFPRVLSVVPRVWRRLAATFLSAFAILFAYNAVFVVVFLGLLFAADSGSGLAGLLAFLLVVAYLVGIVYLSVVWHLASVVSVLEDYKGLAAMRKSKDLIRGKLPTAAAIFVTLNLVFAVVELAFRAWVVKGGAAPGFRLLVGLLALAALCCVVMLALVAQTLVYLVCKSYHHESIDKAGISDHLEVYLGDYVPLKASDVQMEQFQV >Dexi8A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:8A:26762615:26763362:1 gene:Dexi8A01G0015650 transcript:Dexi8A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVASGAVGGSTSSNDGEALSASAIVVEAVTGSHVLKIEGYSLCKGHGNGKFIKSGTLSVGGHRCFSVLNEIGEPVPSFSRYDDGAFDTFNFKKWEIRTEATTQFVTVPPSNMPSHFSRLLQDGHGVDVTFEVAGETFAAHRYVLAERSSVFMAELFGPMKEKAMDYIRIDDMEA >Dexi8A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:8A:22148027:22149164:-1 gene:Dexi8A01G0012580 transcript:Dexi8A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAGRERRRKRGSIRWGKTPRKAPPPPGPGSSSSPRGGVDTPAASATTLPAGALVEVRVDGAGFRGVWFEATVVSYAPARGPRTPARYAVTYAHLLDDADGGELKEHFAPTHVRPRPPPHPPSDGDGDGDGSFPPRFRLHDVVEAFHHDGWWSGIVVSAPDSPDPRASVTVAFPLTREVIPFPPRLVRPRRDYVDGGWVPSRSVVVVRPTHAVRVYKAGDKVEVGRERDVYGYSWFPATIAKAVDDLSYIVEYFDLEEEGDGGGGPGKATEYLHWSFIRPAVEHLPRESEFQLGPGAAVEAYCDGAWSPGVVSRVIGDGEFEVSVAGKKAEQLVAKVVELLKPQYKWNGKHWKIVIPKLI >Dexi9A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:9A:3081277:3081669:-1 gene:Dexi9A01G0005540 transcript:Dexi9A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYWPFAAACEHNENIGEAASGSKMPISNPVATNDEEENIGKVAYDSILNLESPDPTTLLRIMMGNKFSTDKVSKQFRNVARLQAPEFATLLTVMNNAGYGEAADDGQYDVDKVFTKLEGW >Dexi5B01G0038510.1:cds pep primary_assembly:Fonio_CM05836:5B:37719176:37719961:1 gene:Dexi5B01G0038510 transcript:Dexi5B01G0038510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAPAVKLIGGYGSPYVHRIEMALRLKRVPYELILEDLRNKSELLLKHNPIHKLVPVLLHGDRTICESLVILEYIDEAFDGPPLLPTDPYERSEARFWAQFIDQKFARPFWFSFWTGDDVDKRAFLKEAKENLTLLEAQLKGRSFFGGDTIGFLDIAACALAHWLGVTEEGTGVTLVNKEELPAFCRWADGYVNDETVKESLPVREELVAYFSARKEMYMARARATLHK >Dexi5B01G0030460.1:cds pep primary_assembly:Fonio_CM05836:5B:31397706:31398776:-1 gene:Dexi5B01G0030460 transcript:Dexi5B01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGALIQTLFQKLSEVALDQFKSYKGIHGKLDTLSSTLSQLQAFLDDAEAKQLADASVRGIRGWLTKLKEVAYDIDDLLDSYSAKSMHLKQRQRKLPAKASISSPTFLHRNLHQFRIKQKVSRILERLDKIAKERDTIGLQILGGMRRLETPERPQSNSLVDGSAVFGREADKEEMVRLMLSDSGHNSCNVCVIPVVGMGGLGKTTLMQMAYHDDRVKEHFQLRIWVYVSESFDERKITKETLEAAAYDQSLASTNMNMLQETLSRALRGKRYLLVLDDVWNEDSDKWLSYRAALLSGGFGSKIVVTSRNENVGRIMGGIEPYRLQQLSDDDSWSVFKSHAFRDSDCSAHP >Dexi4B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:4B:1287598:1287903:-1 gene:Dexi4B01G0002090 transcript:Dexi4B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAEGLARTMAFCEAPFDGTLDGTSAARKGGARPRASPPGGGSPEERRHAQLLEVARHFPLKATPPREWSRRMLEMKAEAVDGLGLTIFETVALQ >Dexi5B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:5B:566087:569083:1 gene:Dexi5B01G0000870 transcript:Dexi5B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLMLHPFNAYLEQELDRRFRLHRFWEAPPGVPRDEFLRAHAGSIRAVVGNANYGADAALIDALPALEIVASFSVGIDRVDLAKCRDRGIRVTNTPDVLTDDVADLAVGLAIAVLRRIPQSDRYVRAGQWKAKGDYALTTRFSGKRVGIIGLGRIGLAIAKRVEGFDCPVNYYQRTKQAYPNYTYYPSVVELAANSYVLVVACPLNAQTRHIVNREVMDALGPKGVLINIGRGPHVDEPELVKALAEGRLGGAGLDVFEDEPNVPEALFGMDNVVLVPHVGSATHETRTAMADLVLGNLEAHVLKKPLLTPVV >Dexi2A01G0021980.1:cds pep primary_assembly:Fonio_CM05836:2A:33900032:33901251:-1 gene:Dexi2A01G0021980 transcript:Dexi2A01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPVLKRKGAEAAAETPWVDVDGLPIPAAKIRRLDADVPPVGPGVGIPQQQQQGFGLEEARVSGGVAARTAVDASQLLKRKGAEAPQPWLGVDGFPLPATKIRRLDAEVPPVEHAVGVPRAEPGAVVAPQPFVAEAAPAVAVSVAAPAVNDERAIVVYQPAEAARNLLEGPLRPAPSLRVNPNWIHGLRSTMLQEASNHRTLFEELAARDENLILAVVPWAPTQIYGHAASSSAAAAATEMMDADQEGDGASMEVEHQPAPPAGGVLQGAVFQQQQWLTQHCVAPQQLQLPAASYQPSPVTWSW >Dexi1B01G0030050.1:cds pep primary_assembly:Fonio_CM05836:1B:34150410:34156834:1 gene:Dexi1B01G0030050 transcript:Dexi1B01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRSRGGGGAAAAGDDHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVDEAFVFCCAHSNQVKEYLEKSGWAGRAGAGSMAVTAVESHDAISAGDALRVIFERGMIHGDFVLISGDTISNMSLKDALREHLDRKKKDPLAVMTMIIKHSKPSVLTHQTRLGTDEIVMAIDPETKELLYYEDRADNSNLYVTIDKDILSNNPTLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDIIQRWTYPMVPDVISNRNCSESRLHRQGIYKASDVTLSHSAQIGANSVVGSATSIGDHCRILNSVIGEGCTIGKNVVINGSYVWDNVVIEDGCQVSNSLVSDGVHLRAGAIVEPGCVLSFNVEVGKNALVPAHSKVSLLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSNGDQATVPSEEDESGTSETGTCGVVGYIWTSGDAGILEEWRQSIAPISKEKLEELQHAVSENDGSEDESNNPTLPDKDDSSDSAVEDDDLISKFEKEVEETFQRAMGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALQAAQSTNDTLLKTTAEALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSPLFSKILPFLYDTEVISEDAILRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDDEEE >Dexi1B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:1B:6964635:6968873:1 gene:Dexi1B01G0008290 transcript:Dexi1B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDGSAASPLRSFSSVFVHADAVDVALMALGLFGAIGDGMSTPVMLLVATRILNDVGAGPDLIHNFTSRMNQHARILLFLATWNWVTGFLEAYCWTRTAERQASRMRARYLRAVLRQDVEYFDLNAGGVSTTPSEVITGVSDDSLAVQDAVGEKLPNLITNATTFVGSYAVAFVLLWRFALVVSLPSTLLLVVPGFLYGRVLIDLSRQVREQHARPCAIAEQAVSSVRTVYSFVAERSTMAKFSAALEESARLGIKQGLAKGLAVGSNGVTFAIYAFSVWYGSRLVMYHGAKGGTVYVVSAGIVTGGMALGSALSNVKYLCEASSAAERMLKVIRRVPKIDSDQHTGEELANVTGEVEFRNIEFCYPSRPESHALVSFNLRVPAGRTMALVGPSGSGKSTVIALLQRFYDPSAGEVSLDGVDIRRLRLKWLRAQMGLVSQEPALFAMSIRENILFGKEDATEEEVVAAAKAANAHDFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTNSEHVVQEALDQASMGRTTIVIAHRLSTIRNANMIAVVQSGEVKELGSHDELIANETGLYSSLVRLQHTKDSREADGVHMIGSTLVMGQSRSHSMSRRFSAANRSSSARSMDDAGVPSFRRLLLLNAPEWKHALIGSFCSILFGGIQPVYAYTKGSMFSIYFVKDHGEIKKNTRTYALIFVSLAVVSFLLNIVQHYNFGVMGEYLTKRIREQMLEKILTFEIGWFDRDENSSGAICSQLAKDANIVRSLVGDRMSLVVQTVSAVIIACIMGLAIAWRLALVMIAVQPLIIVCFYARRVVLKGISKKSIQAQSECSKLASEAVSNLRTITAFSSQDRIMRLFEQAQDNPRKEGIQQSWFAGLGLGTSMCLLRCTWALAFWYGGMLMSHHQITGKALFQTFMILVSTGRVIADAGTMTTDISKGADAVASVFSVLDRKTKIEPDNPEGYEPEKIIGEVDIKEVDFSYPSRPNVLIFRGFSLNIRPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVEIDGRDMKIYNLRAIRRHIGLVSQEPTLFAGTIRENIGYGTKTASEEEIETAARSANAHDFICNLKYGYDTWCGERGVRLSGGQKQRIAIARAILNNPTILLLDEATSALDSQSEKVVQEALERLMVGRTSVVVAHRLSTVQDCDLIVVLEKGIVVEKGTHASLMAKGPSGTYFGLVSLQHEATGT >Dexi2A01G0036860.1:cds pep primary_assembly:Fonio_CM05836:2A:46335841:46337278:1 gene:Dexi2A01G0036860 transcript:Dexi2A01G0036860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDPSQDLAPPTAAAGGLEVEARAEVDTSAPFKSVREAVDHFGGSAAWSSHLVKRMFAPPKPKKQGEIELLTNLQEQTSRLEKELSVKERETLYVLKELESTKKVIADLKLKIQNEEAALFSISEETTGQAGAPTAEPDQKQPENAETDVVMIGLADGRLQKNPAPSVLKKLEQAKANLHRTTSDLAAIRASVASLRNDIAKEEVLVQRSREKVCTNATLISSLEDELGQTTQKLQTLRDLQRRREDPSNIFIEIKKMTSELEQLRNTAKASKSEAVMLTAEIEQTRASIATAEVRCLAANKIQEAARAAEALALAEIKILLSNQASSAEDLHGADGVNLSLGEYSALAAKAQEADECSRMKIESAIAQVVRPTGQNLTRLENWKKLNYKLRNARRHSKRPRRGWMLPTRGRLQ >Dexi8B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:8B:10483811:10485282:1 gene:Dexi8B01G0008100 transcript:Dexi8B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKAKRYLSSMRRKRPIPFTQKFPNADPLALHLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPVTKLEFEFERRRVTKEDIRELIYREILEYHPNMLREFLEGTESAGFMYPRY >Dexi5A01G0024930.1:cds pep primary_assembly:Fonio_CM05836:5A:28786826:28788207:-1 gene:Dexi5A01G0024930 transcript:Dexi5A01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELVRELYTSWNGCYKASGLTILLAPRPVHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGIIWSRYSLVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYLSDEKDAAPQLEA >Dexi7A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:7A:19286820:19287892:1 gene:Dexi7A01G0008120 transcript:Dexi7A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAYEDSLAALKWVLSAADPWLAAHGDIARVFLAGDSAGGKICHHLAMHSDVRGIGLKGIVLIQPWFWGKEPVGGEKEHRRSLEKHTADVRGRKKHLWEFVCPDAVDGVDDFRMNPIAPGAPGLERLACEKVMVCVAEGDHLKWRGKAYAEAVARAKKCPEAVELFESDGVGHKPEA >Dexi6A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:6A:22908282:22911716:-1 gene:Dexi6A01G0015430 transcript:Dexi6A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSESMKLLALGLVLLGYLQDFVTCDYQVTALYEIKMNLSDDGGALKDWKDNQMTPCNWGNVICQDNKVTEIKLSSKGLVGVLSPSIAKLTTLQQLLLDGNTISGTIPDVLGDLSSLTTLNLGRNNFNGPIPDSLGRLQKLQNLDLSENLLTGGIPTSLSNLSSLNEINLSDNNLKGEIPEQLLQVVQYNYTGNHLNCSRDTTTPCEKGINKAGTSGYMAPEYASEGLFSTKSDVFSFGVLVLEIITGKRNSGFRKHGGFLNLLGYVRMSYIL >Dexi2B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:2B:7537113:7540293:-1 gene:Dexi2B01G0007180 transcript:Dexi2B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATGAMNTILPKLVDLVVGEYKLQKGVSKEIKELEKELESMNAALHHLAEMPADQLDELTKIWASDVDDCSGLDNNHLKDLGKLCLLRFLRLQGLTVTELPMSIGELESLETLDIRGTSLDFMAQQVPSSLQRFMSDGVFENEFPRWINSSLSCLTVLSIKLGASVLVLPEHLEKLAELPSLRFLRLLFNFCEKVQRLCLKFGVYQFFRNVNFGFNVNSEAFETINNFDYGLENLPSLRHVVCH >Dexi7A01G0022500.1:cds pep primary_assembly:Fonio_CM05836:7A:30733587:30736729:-1 gene:Dexi7A01G0022500 transcript:Dexi7A01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKKKAAAPAKLRKQPKRNAEKKLGKKADMTEFRAQLDSLGLKIVEVIADGNCFFRAMGDQLEGSEEEYMKYRTMVVDYIVKHREDFEPFIEDEVPFEDYCDSMLKDGTWAGHMELQAASLLTRKNICIHMLNSPRWYINNFSGREATNMIHLSYHHGEHYNSVRLREDPCQGPAMPVLIKTDANISSTDNNAQTKAKDPKKSSHRSTYDQTSVKLVMAGTGCSDAAIAEHVLGEMDGDVEAAIEYMIVERFAMGTNDAERDPYTDYALDELSELHDENQAIENKDGASCSSKHETVEKPKNLHSAHSKEKSKTNGCSCGSAKKHKASCSLATASPREPARAKGGQGKGQKGKKQKKKEQAAAVPVKVKESVVVPDLGALCI >DexiUA01G0025000.1:cds pep primary_assembly:Fonio_CM05836:UA:52438872:52441549:-1 gene:DexiUA01G0025000 transcript:DexiUA01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDLDEVLQSHTVYSNVSKGVLAKSKDLTKAFGTDDQTKICIEILEKGELQVSGKEREAQLSTQFRDIATIVMEKTINPDTRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLMENFPIKRAPPRVRFTAPKPSFAGLMEKVAEWNATFISKDESGTQPSIVCEIEPSILHSCEERLKDVQGRVEVLSVSMHAEGGPSVEQHDNVEVPQAMPAKESDVVAEISERMQKQNLSSESQDNAQGKQLRRCKECDVLVDDKLYREHCKSAWHKHNYTRHKNGLPPLSQEECMVEMELADSKKDLKDYDF >Dexi9B01G0025740.1:cds pep primary_assembly:Fonio_CM05836:9B:27121973:27124084:1 gene:Dexi9B01G0025740 transcript:Dexi9B01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRSEPQLVLPARPTPRETKPLSDIDDQHQLRYYETVVGFFRTCPGQTDRPADLMRAIKAALAEALVYYYPVSGRIREEAGGKLVVDCTAEGVVFVEAEADVRLEEFGEPLLPPYPCLEELLCDPGEINAVIGRPLLFMQVTELKCGGFVAGFHMCHNIADGFGMIQFMIAVAELTVGEASPSIIPVWKRELLSMEHSSSPITYPNPAYEPLLNSLGFTSDDVMLSTPPHGMVAEYFVFGQRELTSLRNHLPGDLAGSATSFELITAVMWRCRTIALGYQSSEQVRLMITMNARGRWNHHTLIPWGYYGNAHFSPIAELTVGELCRQPFADTVELVRKTKLSVTKECMRSIVDTTAYIRQWPSLVTMDKTYEVSDTRWIAAGNGFQLGWAEYVGGGIPLAGDLTSKLGSHHMMCKNEDGEDSTVVSLLMPRLAMERFKKEMDVWLNKTEKNLIIPSSL >DexiUA01G0011520.1:cds pep primary_assembly:Fonio_CM05836:UA:23049464:23049738:-1 gene:DexiUA01G0011520 transcript:DexiUA01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLSKLVKEKRFWVASFLVAWAAALQGHMMWMQRQDAFKHKFGLDQEDADAAAPSSSDSS >Dexi2B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:2B:10405429:10408280:-1 gene:Dexi2B01G0009690 transcript:Dexi2B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESAEDALAAGAGADAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRYSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVVNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPVFNIEEDEHSSKSKGPLKFYNSEIHSASFCLPSFAKRVIESKAN >Dexi3A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:3A:1708210:1708992:-1 gene:Dexi3A01G0002530 transcript:Dexi3A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPVLSDDGDGAAVSSSSSPGRSICHSGCGRPSRVCLCPNLPPSPIPTSTTGKGEEIEEAMLGVLRAMVAFQAEHLQNRTVKPRVKMRRKKELKREELQSSAS >Dexi5A01G0027240.1:cds pep primary_assembly:Fonio_CM05836:5A:30736484:30736704:1 gene:Dexi5A01G0027240 transcript:Dexi5A01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVASWAAAAASLWLACDAHVFMTLLVLKPRRRGRGEVGVRYAGERKGRRESRAASHWVEVR >Dexi6B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:6B:15701267:15701686:1 gene:Dexi6B01G0009800 transcript:Dexi6B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREPVLRVEKGRRGHRSRGEEARRRGAVAVTARRISRRGISWSGRRSCGEEARRSGAVKPRGVAAGISPHGGGRRVLRRRQREEGVAAAGSHRTAEGGGCCGGSRLQRGGEMDRRGWEEHAVICGREEREGERRGAW >Dexi8B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:8B:18197573:18199603:1 gene:Dexi8B01G0009900 transcript:Dexi8B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKTPRPHGGKARPRVASVVKARGGGSQGELAFERAFVPAGECLPQLLPLRPLPRRRSRWAHALPMLPPPLPHLQQPPPPQATWMDADKNMENDCCILSSDYLWFVLRALTLLSTLGLWHHVTVWSWSQLGCSNSAAGEAVLITGALLTDCCCVSSTRRYTREVMQVDGLTKDEVKRSVGVLKALRPKADVAVIDPIQSVKGKVVIDAFHLMMLGQEPPQTTSNVGHLNKPSIWALIHWLNRHYSSIAINYRKNELEEKMLLNLHKRKWTDTLILKRFDTHSKTNEQTQTIHPNLYVKYEAVQEENELPPEKLVIANMGRHDANKYLEEHVSNLMSSNIVQALETMLDTVVLDS >Dexi4A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:4A:6487491:6490248:-1 gene:Dexi4A01G0008670 transcript:Dexi4A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDVVLPDMGIVTAAAAAALPSGPGRALFPCRGAAGTVSSPLHWAAYAAGGEPFLGGGARGGASPAAASGACATTSQVVEVFRASSPTRCPAADEYEAWTRKHPSALGCFEKVAAAAKGRRVIMFVDYDGTLSPIVTDPDMAFMTAEMRAALRDVAKHFPTAIVTGRCVEKVRSFVGLTELYYAGSHGMDIKGPSSKDDQTVLLQPAREFLPVINKAFRALEEKTRATPGARVENNKFCLSVHFRCVDEKSWTPLAEQVKAVLRDFPELKLTEGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDRSDVLPVYIGDDRTDEDAFKVLKKRGQGLGILVSKCPKETDASYSLQDPTEVMEFLVRLVEWKRLRSPSAAAARPKAQR >Dexi9B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:9B:14006415:14008087:1 gene:Dexi9B01G0019410 transcript:Dexi9B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Dexi1A01G0026150.1:cds pep primary_assembly:Fonio_CM05836:1A:32178233:32178829:1 gene:Dexi1A01G0026150 transcript:Dexi1A01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSNGVLATLNFVTLVASVALIGAGAYVLAQPATECQRMVRVPAMALGAAFLLLSLMAIAGACCRATPLLWAYVVAMFLILTGMFVATAFAFAVTNRSAAAAAAGYRVGDYSDWLRDRVRDYETWSRIESCMADAGVCSAAGAGWWVAGVQGGINAGELYQRYLPLVQVRTRACTDPLVSLHGMHGAVGPIEIAAA >Dexi5B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:5B:248115:250680:1 gene:Dexi5B01G0000360 transcript:Dexi5B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRILVIGGTGIMGQHLAKASLAAGHPTALLVRPANAADPSKLKLLEALKESGATIVNGDMNDHESLVAAIKQADVVISAVGHHGSEELPGIYIQRFVPSEYGMDVEQGLETVVEPARSILVGKVRVRQAVRAAGIPHTFICSYWPHGFLLPRLGNPEAPLVTATDDRNGARHHGGRWRRTVDATSGVEATELYPDVKLAGS >Dexi3A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:3A:11450847:11458681:1 gene:Dexi3A01G0015400 transcript:Dexi3A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRQRQRSMFVIVGDKSRDQIVNLNYMLSKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYRDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKACVVMDDELNILPISSHMKFIPPVTNNEDSEGLSKRERELKDIKDQLREDFPVGPLIAKCCTLDQGNAVINFLDSILDKSLRNTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSSDPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSMLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSHSSAQSNGSNSSRLFKKIELNESIRYASGDPIETWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVRIHMLEFVLMYSISKFAPDSSSHWYYEGQMTLFADDDEETEEPEVKITEAVEKASLLEENIKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQISSAVTGEHTCMVLKPLNSDDIEVSESNKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDYSNHEPSDYDSNASSKLLRDVLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVSLHGAQAAVLFCMGLQDKDVDAVKEELGIEREQVLSNFIKTMKKLYSYLHNIAGKEIEATLPRLKEIEMLPLNKSMDEDLAEAAKEVEEKRRAADEAPVDPKFLQKYAIDDGDNEIEKALKSAKVSASGVISIKSNKTKADKKEKHKETGKSKRKGTDGGRSESKKKRS >Dexi9A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:9A:1431124:1431863:-1 gene:Dexi9A01G0002660 transcript:Dexi9A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDKKAHARRNRSLTKEEVEAFWKQRRRPEDAGGELTSPLASPATESPFGSLEKARSSPAARGASSSPRVRVDGFLPVIGGDGGAAADDSPSKSRDWWTRSNWAFLNEPPLEEPSGRAQSYMPQFHVARIATGDA >Dexi7A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:7A:29075627:29075881:1 gene:Dexi7A01G0020240 transcript:Dexi7A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTAAPCCSHRWGGDEEVDGRPGEAAPIALHGHRPKPRGGGDGSGKEEAAAGGEAKAESVASPCGFPNERLERNSGRTGEV >Dexi9A01G0033390.1:cds pep primary_assembly:Fonio_CM05836:9A:38282087:38285383:1 gene:Dexi9A01G0033390 transcript:Dexi9A01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKGEEKPGGADDWCYQFGNKARILARYKPRLNTTSLVWQLKQADEYTRDALENLKSTRHITLYYEDLILNRTKLFDVLDFLNVPRRKLVSRHVKIHTKPLSEQIENWDEVYSALNGTQYESFLNAADYII >Dexi9A01G0030580.1:cds pep primary_assembly:Fonio_CM05836:9A:35581965:35590033:-1 gene:Dexi9A01G0030580 transcript:Dexi9A01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAQRRRALTLPFSLSAQDFPPTFLRFSTAVAGCFSLPVRGKKLKKRKKGKDDNDFYAVAECGSEVQILVQQEDVQGGVHTSDGVADEKNNLNALELEDVEVAGDGAQRLDDLGLEDSLSTLFTRSGRKSRQVPEKDAEGVEVSCSHDDEVVDKGSALAPDTASKGPKRRRRRTKEEMKNAAMQDRKASLPRKAKANGSKSTGHYNVRPHQTLRGLSSISPDLENKFVGKEKAADDGLCRRSLGEALLQDVEASKVLKDGSRNPSNGFKPCSGKLTENISCTAANMMNVGVSYAHTCSQTLGKDSSDDVDCSQGKSPTSIIRRKTGLKPKQVPQKHVQQKDALSSGDADSKPAETTENIEPNANMLTEGNLDQLSVLGAKDSFSLHDMAAPANDVNMADLAAPLDYEGMDNASKVKRITRSSKKRKHVDMAYEGDVDWETLMQEQGLFSNPSAGFVDQSVKSKDKMKTSEVYEGRGDNGVAAVRAGLKAKAVTPIEKIKFKEVLKQKGGLLEYLECRNMILSRWSKDVKHLLNLAEFGVSVVPLKDELPRQALIRDVYLFLDQNGYINSGIASDKVATEHDAPEVVEVPELNESHQMESVSIQGIIVSVPLQNGDFEYGSGIECSRTVCVKNTECAFAESSNDKDCPTVECDALELLPHLKSEEQPAEEMNIGMSTEGRDALLLSNNLDIQCRSYLDSSVGKVEASHLPEAPEIGTCGNNCESGRIESGVYRKRIIIVGAGPAGLTAARHLQRQGFSITVLEARNRIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTLLNSACPLYDVVTGDKVPDALDEDLEAEYNGLLDEMALLFAQNGDSAIGLSLEDGLEYALRKHRAPQHMDSIEQDDHLKSMTNAEAMDNSRSASTEKEIAHCGRDDKVDVLSPLERRLMNWHFAHLEYGCAAPLKSVSLPYWNQDDVYGGFGGPHCMIKGGYDTVLRSLAKGLDIRLNHVVTDILYGPEELGASCKDGKRVKVSTSNGNEFIGDAVLITIPLGCLKAQTIKFSPSLPDWKLSSINRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGRCFMFWNLRKTVGAPVLIALLVGKAAIDGQSISSDVHVNNAMVVLRKLFRDASVPDPVASVVTNWGLDPFSRGAYTYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYIAEVEALQTYQMQSDSERNEVRDMSNRLEACELSTALSKNSSDATYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLRSFAGSKEGLSTLNSWILDSLGKNATQLLRHCLRLLMLVSTDLVAVRLSGIGRTVKEKAYASVEAEISVPPELPKIPSFHTFAMRDHHADESDTRKKTLTDNFGRLECISENGSKDDKAKNSPDNANCADVDSMKMSGDNGTQRSHSSEKACLANIRDHNTDLGILDGRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRIRIPDEEDSTDPKQACRSSASQGVDSRPASERQSRGVEHIKQGLVNFIASLLMPLYRGKKIDRDGYKTIMRKTVNKIIETCSEGEKLMTTHEFLDVKRKNKVQKWAIQCLNTIESFVDKMVDRHLHVASKPAKP >Dexi9A01G0031090.1:cds pep primary_assembly:Fonio_CM05836:9A:35986438:35987034:-1 gene:Dexi9A01G0031090 transcript:Dexi9A01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAASTPGDGKHEKRTGTAAAMHACVGVLRLICVACAIDWVSGTGSTPPALVVLMAAALAVGWFVKAVRPPPPTPCGTPGGPPVTAPRARMRDGRYLAYAESGVSRDRARFKVVYSHGFSGGRMDSPRASQSTGTGAEHVGGHW >Dexi9A01G0028070.1:cds pep primary_assembly:Fonio_CM05836:9A:32686997:32690548:-1 gene:Dexi9A01G0028070 transcript:Dexi9A01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELEAAMQGWTPRRHRRNGGFWLRAEMEVDQASSVPRGPEFGFSAAVREPLVKLRRPEHDFERWDWDYFVWPHDRVDANLEMRDSDPEATLEADRKVSERFLNQTTLQLESYEMYQHTQQQQDAAAWPYNHFKSNLEMRDSDPETSEEDQKASESFLNQCTLQLESYEKKQHTAALPCDHLQANLETRNTNAEATLQTDLKTKERSRRKQKRSGMDLRTQEQHNEKENEGAELFRTPMPVNKKAVGPRSARRRRVFAEANRSLDDDGASWSWTPG >Dexi1A01G0031510.1:cds pep primary_assembly:Fonio_CM05836:1A:36460408:36461478:1 gene:Dexi1A01G0031510 transcript:Dexi1A01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSIARGAKINSRTRGSAGKGSPTFQCRASTFVDTNLRLEFDENPEAIISRVWPENCSLLSYNDLRAYLESQETVQEGDQHVALLSETMSTPVIVATAEQTLEEVECHFEAVSGLPVVDSSLRCIGVIVKNDRARASHGSKTKIEAVMTSPAITLLSDKTVIDAAVLMLKKKIHRLPIVNQDKQVIGIVTRADVLRELEGLLKI >DexiUA01G0003610.1:cds pep primary_assembly:Fonio_CM05836:UA:7351201:7356263:1 gene:DexiUA01G0003610 transcript:DexiUA01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVATARDVEEVIEKLHSDRARVRDEGVKLLGTWLQGHRAASFCRLLGRNTARGKPGHGHLTGAATWPFLILALLNCVKEDISGKKRGATKSTAARMLRIAIQCAEDVKLSGHSLLLISVARQLFGHVWEVVKDSPSFQLEYSMILRQLLAVKEYRYQMKPRTYSSFVAFYMKKVAAVFDAKFSNHASSKDESFRCTLTLHVLLENPPGDYPDIMREDVINGFIAIFSHIRQSLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNANTGPGFLWCEAPRDEKAGSSRCFQEELMDLSATVFYLAHKITSNTPNKEKRLKKEHIMDLIIDGLSKGSLFWSGSVCFLIQKYGYRVDKPLLISWFEASCKALRSVMSHANAIRFQDSILWLLRAFKEFLMVLTFNPREEAEFCLTKSE >Dexi9B01G0030200.1:cds pep primary_assembly:Fonio_CM05836:9B:32666633:32667097:1 gene:Dexi9B01G0030200 transcript:Dexi9B01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQPPVGVPPPQGYPGKDAYPPPGYPPQGYPPPAQGYPPQGYPPQGYPPQQPYPQQGYPPPYAQPPPPQRPQQSSGPSFMEGWYI >Dexi9A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:9A:3891548:3892625:-1 gene:Dexi9A01G0006870 transcript:Dexi9A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEINALVDELHRRHISTFLVTNAQFPEKIKTLKPITQVTSGKPFKSQDYMSMTPSWAVYGAEEGGFDPDQSRFRKERRHGTAALQA >Dexi1B01G0022840.1:cds pep primary_assembly:Fonio_CM05836:1B:28523804:28524360:1 gene:Dexi1B01G0022840 transcript:Dexi1B01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRHRCTLRHCQGKRFFLLTGSPALNPRSRACKSFSACAREIHLMARSWAPFCLLLFPWFIHLPSPPPPSKQSHQKSPFSALDGGANGAAIARCSKAHVSPTAESTTPLLFIRGPEHACSSKDGCRTSGSISIAGTSTIGHSRFNPPYYDMAASCLCAQQFFPNGP >Dexi3B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:3B:2963658:2966399:1 gene:Dexi3B01G0004310 transcript:Dexi3B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKRINYVAPMLASAAILLLLLSGYFELPSISSSLSTPAPLLPGAGATRFPTALDSVGSRDRDPFTSLLEAFNTWDVAVGCPRIRAKLAAAEGLPSANATAQASASAAITGGAAWRGARCEDLATRHVGVLVKGWTWIPDALDGVYTCRCGVSCIWSKSAAAVDRPDALLFEGATPPSQRLKGLPLRVYLDLEASRKPTGFEDIFIGYHANDDVQITYAGKSFHTSRSYHISTEKRSDALIYWSSSRCLPHRDKLAKDFLSMVPHHSFGRCLNNVGGPDMALSMYPVCSTNGNRAPHWSDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLKELASYVKALANDPVAYAEYHAWRRCGVLGNFGRAREMSLDTLPCRLCELVSKRGGKSADAL >Dexi9B01G0037770.1:cds pep primary_assembly:Fonio_CM05836:9B:39084699:39085495:-1 gene:Dexi9B01G0037770 transcript:Dexi9B01G0037770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAAAASTTCCIRCAASPGLSRSRVRAQATSWAGGAEALVRSGAVKAVRPKDAAEAMGAEGFRLLDVRPEWERARASVRGSAHVPLFVADDDMGPVTLLKKWVHLGYIGLWTGQAFTKMNDHFVDDVASAVAGDGGKDAKLLVACGEGLRSLIAVRMLHDDGYRNLAWLAGGFSKCADGDFAGVEGESKLQYATIGGVSYIFLQILLLLGVVK >Dexi9A01G0024500.1:cds pep primary_assembly:Fonio_CM05836:9A:20906826:20908550:1 gene:Dexi9A01G0024500 transcript:Dexi9A01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPVSMALFHPRLPTTILVVLLVAAGAAGHTATGDCRRLVRYSRVFCFGNSLTDTGNAAIFPLTAGGSFTRPPYGETHFGHPSGRASDGRLVIDFLVEELKLPQPTPYLAGSTAAEFLNGTNFAVGSATALDPEFLASKGIASLVPISLSNQTTWFQDVVQLLNSSGGYGRDEITASSVFFIGEIGFNDYQYALVNHSVDVAASLLPHIVDAIHSALTTMVAAGARAVVVTGMLPIGCEPLLLAAFPDNPPGGYDPESGCITRLNELAQRHNRALRRMLGELRRAHPGRSVLYADAYRPIVNAVASPARYGFGDKPLAACCGSGGDPYNVDVTVFCGTPGSTACADPSKFVSWDGIHFTEAANRLVARAMLRGLLNRVGGPAIAVDAASCGSPSARVVSLVTAE >Dexi3B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:3B:1301222:1306061:-1 gene:Dexi3B01G0001820 transcript:Dexi3B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRAASPSDAPYAGAPPLVYRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKAFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGNYDHFMHKEIHEQPHSLTTTMRGRLKDGEVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRNAIISGLTSLPSFVSEVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRRGRLIVMCSKGDASAVCFNGSCRFIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >Dexi6B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:6B:21811471:21811677:1 gene:Dexi6B01G0014200 transcript:Dexi6B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIVPADMGPSRGRYGPYLPDFHMGLFGYCLPQALTGQAAPSDLMPPKSNACCLD >Dexi9B01G0026830.1:cds pep primary_assembly:Fonio_CM05836:9B:29233734:29237213:-1 gene:Dexi9B01G0026830 transcript:Dexi9B01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKPSPSGGVSEGSNHKQPSGRRATSAPRVSRLAKPATKPADRAPSPLHHGAAGILLDKSSASIDLQQSKPSPAAAASERRSFKSPAAASSRIAAAADKQQKTAKASELQAQLSQVQEELRAAREHVAAIESDKAQILEDLALARRLAAEATGKLEEAAAAQRSAEEVLELERFKSTEREQSAIDLAHRTDEEWRRKYDNVKRRHAEDVASLIAATRELDAVRDELASMAEAKSSAVEQADEMQRMANENAKKVEVLIAEVARVKSHLDAELEAKEKEAAEIIGKLESEASALRSELEKAKAFEEKLAEAEEMLEGLKVDIAYAKGAEVDASKAAHEWKTKTESLETRLEEVSQMNKRNEESLASLTKSFEDCTSMLQDKQSQVIQLKDKVTSLEKEASEHKEGFLDTSTRLGVAAKEAAELKAAIDKLRSEHELLLEAHQKVVIDEKTASGQVGHLTDDKNRLVKELEDTREEKDKAKKAVEDLAAALREVSSEAREAKERVLAKQAELDNAQLQVTELKAAMKNAEDRYQLMLDESKYETACLRKAVEKMGSEAKSSKDEWISKEAGFVDMLKRSDDGISSIQTEMDRLNESLRVAEKEVQELKADKVQLLNKVQEFESQAMSSSSSAEEAKAESSHLKDLLSFKDKELLALNHEVTDLRLRERTASEKASELSKVLAEVTSRKAEEQNTDKSNAQTTKLEMDKVLESLKAAEAEAKAAKDDKVQLQNKLRLLESKITEANLTSEEAKISSLRLKETLEEKEHELSIIAQENTEMRAREAAAQARIDELAALVAESTARKGGESLNGAIARSSEKQPSTLMKLICSPMHHSVVRDDDDVSNGEGIIQMEDIKHVEVETVRQVKHEKEIISVSAVDANSLENSKIIEDDLSKEREDESESDEDDDDVESPGDDGLVDQMNGLLIHGPTSSFNQDQHAVTKKKKALLRKFGSLLKKKAHFTKLSSHS >Dexi9B01G0024660.1:cds pep primary_assembly:Fonio_CM05836:9B:21191757:21192289:-1 gene:Dexi9B01G0024660 transcript:Dexi9B01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATLSLRPCAPPAPPRIALPCARAWFAPAARASPAVAASHPPRRLCGPRRAVAIESDQQGSSELPKQAPFPFPLFTPPAGALNSEEKPKTYHFLVANAKFMLDEEEHFQEQLAEKLRNYAERDKERDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWIT >Dexi9A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:9A:13947329:13950155:1 gene:Dexi9A01G0018930 transcript:Dexi9A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAAKVARGLAVSAAVLVLLWCVHFRGGLSLGSPTNKGLIFNVHPVLMLIGFIILGSEAIMSYKILPWSHDTNKMVHMLLHSVALFLGFVGIYAAFKFHNESGIDNLYSLHSWVGLGTICLYGIQWLLGITTFFFPGASPTVRRRMLPWHVRSGLVVYVLALLAAELGFLEKLTFLQASGLGRYSSEALVVNFTALLVILLGASVVLYVTAPTMHNEHTHGYSAVHKP >Dexi7A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:7A:21959417:21961562:1 gene:Dexi7A01G0011510 transcript:Dexi7A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVNLARQFMREMTAPQAWLLLLLFPLALLIHARYSLARWFRSHGGERRRKQPLDRLPPSPPALPVLGHLHLIGSLPHVSLRSLARKHGMDDLMFLRLGAMPVLVVSSPRAAEAVLRTHDHVFASRPHSLVAEVVMYGPSDVGFAPYGDYWRQARKLVTTHLLSVRKVQSFRHAREEEVSRVMAKIGEAAAEGTVVDVGEMLSSFTNDLACRAVMGESFRSNGQNKLFRELVADTSPLLGGFNVEEFFPFLARFGVLSKVVRAKSERLRRRWDELLEPLIDDHERQYDAATPSDPNSFDDFIHVLLSVRQEYGLTREQMKALLLDVFFAGIESSAAVLEFTIIELIRRPHVMKKLQAEVRSSVPKEEAFVTEPNLTGMTYLKAVVKESLRLHNVTPLLAPRVSMASCNIDGYTIPAGVQVLINTWAIGRDARFWEDDPEEFVPERFIGDGSAANVSFRGNDFQFLPFGSGRRMCAGQNFGMATVELMLANLVFCFDWALPPGMEGHDIDMAQVFGLVVKRKDKLLLAPKFRKC >Dexi2A01G0037470.1:cds pep primary_assembly:Fonio_CM05836:2A:47019150:47019687:-1 gene:Dexi2A01G0037470 transcript:Dexi2A01G0037470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRKPASSAAPSAAKTPPSRRPTAPLSLAGLLVAVFLVATFLYNEDVTKSTSADVAVAGSVATSRSPELSRRQQQEEAQEEAQEGGDHHEKDHQQQQPPPQRAAACDLYQGRWTFDAAGELSPLYRESECEFLTEQVTCMRNGRRDDSSGGGSPPDATCPGIRPGRHFLPYLLL >Dexi9B01G0044100.1:cds pep primary_assembly:Fonio_CM05836:9B:43959686:43960780:1 gene:Dexi9B01G0044100 transcript:Dexi9B01G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIGNNAPTALRSWLSPPVVFSAFFVLLGAPGTLLLRLYFVHGGRRLWLSTLIQVSGWPLLLPPLCLSVLLRGRAADEHLLPRRLTSAVAILGVAFVVACFAYSLGSQAVPLSTSSLLQAIQLTSTAFSAFLFAGLRFTPFSVNAIVLLTVGSAVLGVGPSSERTAGEGSSAYWTGFFECMASAALLGFVLPLVEVAMSKYGRRSGGGGAAAREAPPSYATVMQIQVVMGVTGTAVCLIGMAIAEDFQAMPREAAMFGLGETSYYVVLIFGAVSFQLFNLGTMGLIICSSSLLAGIMVALVLPLSEVLAVIFLREKFDGVKGIALVLSLWGFVSYLYGEREHKKVAEGNADMKSLTCPLVATC >Dexi9B01G0020890.1:cds pep primary_assembly:Fonio_CM05836:9B:15548415:15551376:1 gene:Dexi9B01G0020890 transcript:Dexi9B01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCLPSLAALNSSVLGMAAPKPISRLISHVILDLDGTLLNTDSVVSKVVKPFLVKNGKSWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMINPMFSEQWCNIKPLPGANRLLKHLRSNGVPTALASNSPRSNIESKISCHQGWKESFSAIVGGDEMEKGKPSPDMPGVTAGKAAGMHVIAVPSVPKKTAEFSTADEVINSLLDIRPENWGLPPFNDWVEGTLPIEPYFIGGPVIKGFGRGSKVLGIPTENFSDVVSEHTSGVYFGWLDFQRVEPWLLHDFDEDFYGEELRLAIVGYIRPEANFPSLESLIERIHEDGRIAEKALDLPMYAKYKDTPYLRDPLQQGSTTDGSQAEWNSK >Dexi9A01G0036430.1:cds pep primary_assembly:Fonio_CM05836:9A:40869785:40872536:-1 gene:Dexi9A01G0036430 transcript:Dexi9A01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGARNFVRRFSRLASAAETAAAAAAVPRMPEFDHVPLPYDGPSAAEIARKRAEYLSPSIFHFYSKPLNIVEGKRQYLYDEQGRRYLDAFAGIATVCCGHCHPDVVDAITAQASRLQHSTVLYLNHAIADFAEALASKLPGDLKVVFFTNSGTEANELAILMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFNVVQSGVHHAVNPDPYRGVFGSDAEKYVRDVQEIIEFGTTGQVAGFISEAIQGVGGIVEVAPGYLPLAYEKVRNAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLNVLEKERLQENAFIVGAYLKDHLRGLQEKHDIIGDVRGTGFMLGVELLKTPAKEEICHAMEHMKDMGVLVGKGGFYGNTSSLK >Dexi6A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:6A:3851527:3852615:-1 gene:Dexi6A01G0004170 transcript:Dexi6A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEELDYVLVPLGMAVMAGYHAWLLVRVRRQPATTVIGVNAINRRIWVRHVMEEPSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAVLMSSGGGASSSSSNELLPGEPLVVGATGAPALSAKFFAILVCFLVAFLLNVQSIRYYSHASVLVNVPPAAWGRRRRAGRAAAVGYVTEVLNRGSYFWSLGARAFYFACPVFLWLFGPIPMLVACVAMVCALYFLDVCKDWEEEDGEEEDDDEERG >Dexi5A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:5A:25545544:25547086:1 gene:Dexi5A01G0021730 transcript:Dexi5A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHPLAGERHPSPASSAATALGPLLLLPSELLHEILLRLAVPELLRVRSVARPLSSLISSPDFRRLYHLTSASSGPGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSSILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLTARAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSNRFRFLFAELVNNTPFLFEYRSETDTWRQSEAVLADDGASPPVSPDGTYLCAAHAGPDCVMVYSGPDDHHPVFFRPRFPNAAAGQGGDRLHVYGDGTAAVVRSAAVDDPATAATSRTRVKVVTGVDLYGFGAAVGGDWELVASVPGELLEGFRRKPYAVMTGLLAEREGVVRLVLISNCRGAWDLVWLSYDRARREWRWVPVPDWGGSKGLNMAGIAVSSTFSRLWPPATTSTPSSSSSHQ >Dexi9B01G0042000.1:cds pep primary_assembly:Fonio_CM05836:9B:42348815:42349258:1 gene:Dexi9B01G0042000 transcript:Dexi9B01G0042000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGMLTINATPHVSRGSRPRPDIAMSRPRSSFLCQSRLPSKPPASGGGGGGKKGPWWAATAERLRGDVVKAGMAARESLSPKRKGDWKDVTLMSFSFAVYVYISQKLVCTL >Dexi8A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:8A:6900344:6900570:-1 gene:Dexi8A01G0006630 transcript:Dexi8A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQLSSVVPLRRRNRWSTNSRSSPEDTSGAHGGSGALAHHPLPEPAPPPRQDARPHGWLRREEGEHVL >Dexi2A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:2A:1233857:1247422:-1 gene:Dexi2A01G0001740 transcript:Dexi2A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIAEQLPKPACPWTFPAQNLARPSLPDCPTLRGPLVIPFLRPRRRFRFRFRACARVAPSPRAGLTSLKARSASVSSPSAGVSLRIQAVGAMALRHASRSIAVPALWRASVPRVPPPMRVLLCRVKPPPLCAAAQPVLCRRPSSLASPLSFFFSSAAQLSFPAQLPAVAFFLPLSLCLLLPPRVATEQDSARVRARVQLRAAVLLRVAHAKVGTRTPIKPEPRCLSDLLPCLPPCFQLRAEPPPPPSIRCVGTSPDQIGSSTSFETPRRIQLAMDLAAVKVEAGAATPLNPVATVDLRLGEHLCKFPSFSSSPRTPNRRRAVRPSRSSAMARRQPQPPAVSRLEPPKRIPRFPLSLPSQARRETEHSSPAFTRRRRSSGRAPPSPATQSSDLTLTARSKSDGPDRTRVESTQRAPKERLTRAHLECAYAREGWAAPHLLPAMGHLRSSASHASGPNHLVAGSLHIPPESLGDAARPTAATSCARLMRLPSVPDELPSTRWEPTVASLGHEVSHSQTCRTPTL >Dexi9A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:9A:4670155:4671810:-1 gene:Dexi9A01G0007930 transcript:Dexi9A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAYLVLLSFFFILATHRLLRHHRHGKRSTQKLPPGPLAVPVLGHLHLLKKPLHHKLARLAARYGPVFSLRLGSRHAVVVSSADLARECFTEHDVTFASCPRFPTLSLVTYGGTTIGNCVYGPYWRHLRRVATVHLLSARRVSSMLPAIGTELRAMVRRMHRAAADATGAGARVDLRRRLFELILSALMETIAQSKTSRGVNDADTDMSPEAQEFKESLDALVPLVGVGAANAMDFLPVLQRFDVFGVKNRIAAAVSTRDALFQRLIDTERRRLLQIKDGDESEHDERAAVFAESTFTGGAETTSGTTEWAMSLLLNHPEVIKKAQSEIDTIVGNSRLLDADDLPRLGYLQGILNETLRLYPSFPLLVPHESTADCTVGGHHIPSGTMLLVNAYAIHRDPTVWEDPTAFRPERFVDGSAEGRLLIPFGMGRRRCPGETLALRTLGLVLGTLIQCFDAFDWDTVGDAAGVDMTEGSGLTLPRVVPLEAMCKPRQVMLDVIRKL >Dexi7A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:7A:9855218:9856283:-1 gene:Dexi7A01G0002340 transcript:Dexi7A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMPSARYFANMLMPWRGETWRNEAVDLRRRRPRRREQTTTREERGKKKGNWRGGAPVSGGEQWVKDIPHTAVQHVGIAEAAGPSGFSPSSTAEEVTAGIDGSGLVAIVTGASHGIGTETCRVLALRGVQVVMGVRNTSSGACVREEIVRQIPTAKIEVLELDLSSMSSVRRFVKNFNAVNMPLNILM >Dexi1B01G0025310.1:cds pep primary_assembly:Fonio_CM05836:1B:30399147:30408331:-1 gene:Dexi1B01G0025310 transcript:Dexi1B01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHGPRQPMSPAISASAVVPQQRQMQLHHHPARAAIADLFTLYLGMNSKQRAADPSRESSNKLQKRVTALNRDQPRPDEQFISDYEQLRMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLGWDSLLPSLLNTVSSMEAPMGQGVPFLENIPDDEALVSIIMEIHRRRDMVETTRKIISFHKDDKSLEANNIGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFMNLDERATGMFWVLSFTMAQPACEAVMNWFTSAGMQDLIQGPNMQPNERIMMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRHFPPLIRDFLMGRMDKRGQAIQTWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHIEINSANLARVLRELSPEEVTANIYTMVDVLLHHIQFEVQRGHLAQDLLSKAITNLSFFIWTHELLPLDILLLALIDRDDDPYALRLVISLLEKPELQQRVKAFCSSRSSEHWLKNQHPKRVELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSSLLAFHPLRFTFVRDILAYFYGYLPIKLIGRILNLLGVSTKVNLSALLVGAFIPIAC >Dexi2B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:2B:30562519:30576909:-1 gene:Dexi2B01G0020510 transcript:Dexi2B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRAAVRRLELAERWRGIQEDEEDDDGGEPSAAKHSRLIRAKEEWYVRLRPGQVSPPLLTHFASFLMRRGGRFSHCYTFLVNLPKEEHIWCGYADIMGPFLETFHGFFSDEDDNSYLRIIWRRVSQEMGICTQCVCEHHQAQGFFDTEYRSDTVDPLLKVLLFLDEERVTEHLKQINTKIQLKKYDPSCHGAEVVSIMFEVLMYPVLLDDQSLANQFQLFIETIDESYELSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRRAVELEPLQPLMQKYISFLEAEVLPSTSEHSRPRVQLKRTDIWLGFKSFLGFLEAPAFEDGVLEKYPVFLNIVLNHVSDDTSDLSCAVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGHCFHTRDEKSHKEIFDLFLPFLQACFSFLLAIHVMTQIEQCGLQKQWTWEPMMGESLILALVDNNDDVRQVGRAILEHVSQARGLTSGLQFLCSSASSLSAIFLGLRYAFQLISCVRLLDLLPLVYERVNINCRTQSCGTIVFQDPMDIAWFLHLIHWGKSPLLVITRHWKQCMLSLLKGLKGSYSGAIQRCIEDLDNIVSHDAVDIDELEERISNLKLALSKEASSTAKKGGSIDAPLFKEPIVSVPSPVQETHTGLDNVLNVERTKPSHSPDIHEIILLSDSEDNLPVADVSSEEVLSSVMDNDAPTASNILKEAMPPEQRMLTDDGHMPIKPQTCSSASNIGASSRPVQKDIRGNIAASKGLDGMKKTRLPMNANNNSLLPKSVKSSVTGTSQPQRPNFSLDTEKFKSIFRDVSDDEDDPLDHALDNYRRPQIPSAKPSILVPKRQVVQLPVPVGRRQGSGDRVTSTRRLQPPKLGSWFKNILEMNYFAVVGLSSSEIVKKPALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPPDDMTCGCISILSVERVDEFLILRARPENSQSIKFKGCMENDLILLTKDPLKNQEQQVHVLGKVERRESDKNKALIFVIKFFLSSDNVRLHKVKRLLVERSTGKTRTIVAIVSALLSLHADDPYKLSRNESMNCTDSTKPRAKISQSAAVTRAWQDAALAKQLERDSQTERPGSTERFTKGRALICAQSNAAVDELVSRLSEGLYSADGKLYRPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDELKAKNDDKNSSDSESSSSLRAKLEKVVDRIRHYESRRKLLESDKSEDGSLVPDEGEVDEVSDEALGGKLNFLYAQKRKVSAELTIAHAREKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQVICYYLLSHFAILPQSRGQLITCPLFLLTFLQYRMHPEISRFPSSHFYENKLLDGAAMAEKSASFHDNDCLGPYMFFDVADGREHCGRNAATQSLCNEFEASAALEILTYLKNRYPLEFSSRKIGIITPYRSQLSLLRSKFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDDRHHTGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLRSNSHWDSLVQNAQERNLFISIKRPYGLMFEKVQPHSRDTNGTTRSYHTGHLKHVNNGKAVMRPKRIDAQLQKEQSTHAARNVDEEDKSLPKGQSKWASCWDQKVPRAPEPVVKSIEDSSQNQNGNMRSTKCSWQKNIDQDSVSRKQMEGKRSTVHNDNNLQLSKGLVKGSSHEISSVRRRMELDVRVEQNVCKETNKALSNQDLFQKSTVRKHNNYKKSDSQNNDTGTIKDSLKHDLNLKSASKKDDVSPPAVPHLQKLIQKAKGARKLSEKPRHDNSNQVDLLIEHDEILDPANKNDGACPPTNPDIKMANKAKGTRKFSDQPRPASSNQVDSSHPSHFNEESSHGPELKKNRSTSKKDLIAERKRQREDVDSLLSSALMPSLTHRTKKKK >Dexi5A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:5A:8442578:8444505:-1 gene:Dexi5A01G0011250 transcript:Dexi5A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMTAPFLLLLTSVLLAARHISAAAVFAGVSFESQGEAEAFEDALLRQACFNVSASGARRGEEACVSRLDTARGGAGSGPVPVLRAALRDTLGEAVGAVVSVRALASLSNHARDEMAVRDCVELLGYSVDELGWALDAMAETDDEQDDASGSRRAEDDLHAWLSAAMGNQDTCVEGFHGTDGQLLRRVEAAVAQLTQLVSNLLAMHKRLRSITPLLHHGDPARNNGTGLGSELPPWVTDIEDGDDKGDEELLQRRGRGRAGGGKPRTRVDVVVAQDGSGRYRTVGEAVARAPSHSKRKYVIYVKRGVYHENVEVRKKKTNLVIVGEGMGETVISGSRSFSGGWTTFRSATFAVSGTGFVARDLTIRNTAGPAAHQAVALRVDSDRSAFFRVAVEGYQDTLYAHSLRQFYRDCHVSGTVDFVFGNGAVVLQRALVATLPMAPGQVGSVTAQGRRDPNQGTGFAFHGCVVEARHPTFLGRPWKPFSRVVVMESYLGPGVQARGWLEWAATGAGEHAVALGTLFYGEYRNYGPGAGVAGRVKWPGYHVIMDAAVASRFTVRRFLDGLAWLPGTGVTFTADLFRK >Dexi2A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:2A:13141359:13143362:-1 gene:Dexi2A01G0011290 transcript:Dexi2A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Dexi8B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:8B:21063457:21063864:1 gene:Dexi8B01G0011820 transcript:Dexi8B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSADLVAVHGRCRYLFVAVDSVRSVVGSGGDLFWWIWLVGAQLVEFCPFVSMSLHLLRFNFLVPLPSSGLVAGALGGGRGLVLDVGGRPWQALLGGVTASCCSVESTANNCSCSQLLQVLVAVLQQRIEKVRQ >Dexi9B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:9B:8848386:8851140:-1 gene:Dexi9B01G0013100 transcript:Dexi9B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPATACPTGSTTTAGASPPPSSSSLIFLGTGCSGALPDARCLIQPSAPPCAVCSTALSLRPDRNPNYGCALCFYGFQESGHLYSVILAANFYSVAARFPNLVKQSLQEYDDFAKPSQLDWTIIEDDVDKPFIASELEFSPLPVGISLCEMLHFYSHACFSEMSNSVLYAQVMHGEDYVCLGFLFGRKARVAYLSDVSRILPRTEHGTPFQSSTIIYSNSHPRIMLTYGQEDARSCHLTLSQSLDAIKKICPKKALLIGMNHEFEHHRENQMLAGWSHRCA >Dexi8B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:8B:26330736:26331251:-1 gene:Dexi8B01G0015570 transcript:Dexi8B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVATVEKIVKVGLKIKDAVDTVRHNKEECDEIRRRVLRFSAILSQLQQTGLVNDSPAMSGALEDLEESLQHALELVMACPEKSTIRHLIRAGDMSKQLRRVKDDILNKVMLASFAINAHTTIVLLTIQAGGHLPPRLQLQDIQSFGMWIHPA >DexiUA01G0001670.1:cds pep primary_assembly:Fonio_CM05836:UA:4611201:4612287:1 gene:DexiUA01G0001670 transcript:DexiUA01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLFLLAFALASAATLQPSSAAAAIRANDESSSAAASFPCFPGQQRPPWLPPCPAPPPQPSECYTSVSGLTPCADFLTNARVHAPAAACCDGLKAIVTGAPICLCHVYNGDFGKLLPAPVLRLRLMALPRVCRVRYPPGMLGQCMRGPVPPMNPPPTPTPDATPPAASPPEPTPPTSSPPEASSPSSAPEASLPEAASSAPDTSSPPEPPTSSPEASPPEAPSSAPEASSPPAPPTSAPEAPSSAPEASPPAPSTPAASPPESSSTPAASPPEPETPATPPPESPSSPAPESPSTPAAAPPESPPPPTAVATPPAESP >Dexi2A01G0029290.1:cds pep primary_assembly:Fonio_CM05836:2A:40345041:40346753:1 gene:Dexi2A01G0029290 transcript:Dexi2A01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQLLKYRKTGANVFYEFPTENNLANTPWPATPLKSEFSNSPYTPLSNQLECDNLSALSNTPDNQSSTETISAQPISPLEVDSSYRQVAILRENTQVRPDPLYTTSRHNMQHALREIETVLMAPDAEDAATSTKHEFEEHKPAQLMRQRSRTWSHESRQPSPGAVRTQFTSGYPATSYEFRPEKRQRELREDPQNMVKQLLTKCAEALSEERIEEFLKLVQQARGVVSITGEPMQRLGAYLLEGLVARHGNSGTNIYRALKCREPESNELLSYMKILYNICPYFKFGYMAANGAIAEALRNEDKIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLDLVGKMLKSMSEEFRIPLEFTPLPGVYATQVTKEMLDIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFSETMDYYSAMFESIDTNLPRDSKERINVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFKPYPLSSYVNSVIRKLLVCYSDKYTLEEKDGAMLLGWKNRKLISASAWH >Dexi7B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:7B:5272436:5274455:-1 gene:Dexi7B01G0002730 transcript:Dexi7B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVKPDRTGVETANVKMSMNPFCEIAVEEALRLREAGAAAEVVAATIGPAQSADTLRTALAMGADRAVHVLHDPDPARPLLPLAVAKILRAVALQEKPGLVILGKQNGSETEPAKICNLAEHNEGKI >Dexi5A01G0021960.1:cds pep primary_assembly:Fonio_CM05836:5A:25804912:25808806:-1 gene:Dexi5A01G0021960 transcript:Dexi5A01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPRQRRMRLLLPLLAAAVACSLLRVSGAATTTGTLGLRHRRPARQHSSARHGGGGRPRGGGGGEAGSPGAGMASCNLFQGSWVYDDTLPMYDTAGCPFVEPEFDCQKYGRPDKQYLKYRWRPASCELPRFNGQDFLSRWKGKKILFVGDSISLNQWESLACMLHAAAPASKVAYTRGNPVSTVTFQDYGVSVAYYRSTYLVDIVEESVGRVLKLDSITGDAWLGADVLVFNTWHWWTHTGRDQPWDYVQDGAQVMKDMDRLTAFSKGMTTWARWVDSNVDTSKTKVYFQGISPTHYKSCAQQTQPVAGSAYPAGPVPAQGAVRAALSGMSKPVYLLDVTLLSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDTWNQILYATLLA >Dexi3B01G0034330.1:cds pep primary_assembly:Fonio_CM05836:3B:37109034:37114526:-1 gene:Dexi3B01G0034330 transcript:Dexi3B01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFLVLVCLVASSELLFQIHGQVDYLGFISIDCGIAANSSYSEQSSRGLLYVSDAGYVDAGDGLNAFVRPPYDDPDMAERYRTVRFFPGGGGGERSCYTLGPVSPGGKYLVRTAYCYGNYDGLNELPVFDLHLGVNLWATINVTAAADKYIHEAVTVAPADFLQVCLVNRGLGTPFISGLDLRPLRSTMYPEATANQSLLMLSLYRPSTTFVFNRYQFWTSAYQVYRYPFDPYDRLWQTYGDIAGWTNITTSATVEVSNITSFDKPTIILESASIPVNATRMDFTWSTDPSIDSDDTPYLLMLYFAELQRVPSSALRRFDILVDNATWNGSQHYTPKYLSAEVVKKVVQGSGQHDFSLVATPDATLPPILNAFEIYSVTTMNGIMTNDADAKAMMTIRTNYGLKKNWMGDPCAPKAFAWDGLKCSYPSSGPAWVTALRLSSSGLTGAVDSSFGDLKSLQYLDLSNNSLYGPIPDFLAQMPSLAFLIGNNVHMCADAASTCGTKKERNRILVIATVVPIAVATLLFVIAFLIIHKMRKNQGDTVGATALTWHHRLKIAIDSAHGLEYLHKSCQPPLIHRDVKTKNILLSADLVAKIADFGLMKAFADEFRTHVTTQPAGTLGYLDPEYYNTSQLSEKSDVYSFGVVLLELITGQPSSVPISNTESIHVAQWVRQKLSEGDITGIAGPRMGGYYDVNSVWKVTELALQCKEQPSRKRPTMTDVVLELKECLELEVSHATSYESSVPSSANNISATSVDLKSDVQASYPLGQQTVLDLEQVGEASASDIGPAPR >Dexi5A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:5A:19915413:19920108:-1 gene:Dexi5A01G0016970 transcript:Dexi5A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLLVMASIDAGHPTAVLVRPGTTSDAGKAKIMETFKSCGARLVYGDINDHKGLVAAIKQAEVVISALGHSSPEEATRATASDRAEGGKRLLRALAGLDPDGAAWPRAASERAEAHDAGSTDGAVRSQTSASSGCKGSSVLFNFVLLHSNDVRESAAEFVPSEYGCDVELAEHMLEPAKSILGAKVRVREAVRAAGIPHTIISSNWLQRFLLPRAGNPEANGPPNNSVTIFGDGKPQVSPFPLNFQLAVLHATLVAGEAKLTAMAAATVASTGVGVEAIELYPDMSYVTVEDYLDGLQ >Dexi3A01G0023900.1:cds pep primary_assembly:Fonio_CM05836:3A:19521707:19522009:1 gene:Dexi3A01G0023900 transcript:Dexi3A01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTCPLMMSPRSLLSSLASSCKPPTVSTRSSTTTRRFGVEPGSSMFPLVFATVSKLTASTAARRFGVEPGSSMFPHVLATVSKLTAYTVAVGILSATTV >Dexi3B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:3B:6180394:6181534:-1 gene:Dexi3B01G0008980 transcript:Dexi3B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGIAKRWRELHGAQSWNGLLDPLDIDLRKSIISYGELAEATYDGFNNERRSPHAGACMYGHDELLSKSGVAAAGHYRVTKFIYATSGVPLPDAFLLLPLPALKDVWSRDSNFMGYVAWVNNLGFTPVPAAGILGHAASAHPFAVVHGGFLSLYTSSHGESKFTQTSARDQVLEEVRRLMEMFKDEERSITVTGHSLGGALSTLNAVDMVAAGVNASCPVTAVVFASPHVGDRFFRAAFGSFEGLRAPHVKNLGDVVHAYPPLGYVDAAVALHINTSRSPYLAWPGTVLTMHNLECYLHGVAGEQGSAGGFRLEVERDMALVNKGADALKDEYPVPASWWVAQHKGMVKNDQGKWELRDFVHV >Dexi5A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:5A:6449005:6456444:1 gene:Dexi5A01G0008630 transcript:Dexi5A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVEVHAGTNDTIRAYALRIREDYRKNSLPNAYFPPSVAVPSISVPSFRCIVHPQFTAEEEKMAEHQKNKLPLTPIGERIGSSNSKKNKPPLAYGGVELRMLHCTVADCSRPLEPPFFKAAHRLCRGDDGRCLRCGLDDITFVHRGPDYVGAFTARCRFVAYGCASAFLYDDDDDAAAHRDACAYAPCPCAVAGCAFTASPPVLRGHLAAEHSWPVHTLPGYETPVDLLVPAPGSGTAHHLLAVDGDELRACGVSGWAITVACVRASGAAEAGPRYGCDLLAAHPTRKNRCQMLVKDVTSCAALDERMPLAMPHLMLRGPSEEIHLEVVIAVVNPAPSLADPGAGGALMRRPVRMEAAEAAPTSEPPPPPPPTEGARRSGKRARDEGPLLFGVRLIEHDGTQDELVQAEAAQEVAEAIVPSGSPAAAPPAAVQVDKARLYCSLCSSLLKPPIYQVRIKPPPPLRRRCAVGHVACCRCRVKLPDSGCRTCGAAASAYKHCLGLDLFFGDLRVPCRYAEYGCESFVPYFRSDEHRDACCHAPCHCPEPGCYLVSSPRELAAHLAGDHSWPADEIAYGTPRMLPIPMPPPPPVSSSSPAPARHLRLLRGDDDDASVFVVAVGTLGDGAAMSVVLVRANSPAHPRFVCTFCVKPPTAAEGLEGDGDCCFFGSVPVRSSALADDDGVAPEKEVYFAVPGEMLCEGGGGGGRELLVSVRIDRSRLADGCEAGARPDRVDGWGGQATGWLISMLPRNQAQGIDSLRLSLPSPPASVACRTGPKRMVMAHAQDLRWPRNTATDAQGPHRQDLPASLGF >Dexi4B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:4B:5124278:5127106:-1 gene:Dexi4B01G0007250 transcript:Dexi4B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPATAARRGGGETTDHLWAKAAELERDFAGYKRRIAERRALSAAGEEAEEESHGGDADADAAGGRGRRYEEYVRKRDERLRHEWRARMERKEAEMQALWTRLDRSASRGGRHAGDDDGELAAASHAKEDHGDLRKKTGKLAEVKVKPPTVPATPRCGAPATTKLSRPRTSVSSSSPATASPSPRLSTPDTRRRPPPAEPPATPRKENRLPPSSSTAAMAPSPGPATPRPRTTMLSRSRSLFKDRGCSSIAAGRESPRPPRLQPPRSSFDSVSNIRELPPALPMHADATAVMTKSRSCSSGKAVVADVKKASAVAPEPFHPRRSGNVVELEPALRSPVIPRDEHDSSSEITPAGDGKNADSKSNQEHVEQSSNKFGSVVITGDSDTDPSYVYIKKDSDEQSPRPCQAPAGHQTCPGGEPRLSENEDIDNVDDTMESTGSNDVSGETPVTDTEQASRRESSESLYSNVQSSFSPRSELDTSATDSPLPSATEESPESMASPRPRKKPEAEDAEDSIPIPITPRSSITVQSPMDAVAGLKRLLTFGKKNGKGSEAAAYIVERTPCFMAPVTPAGDGCMSGDWPAGDSVKARLDSSDAASADGLDSSYVMSPHVRSLQSFVPPELKGSVLHAKSPR >Dexi1A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:1A:4531506:4535052:-1 gene:Dexi1A01G0006060 transcript:Dexi1A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTKVGLAKVNSGFKELDIAIVKATNHVECPPKERHVRTWDCSAWVRTYALFLEERLECFRVLNYDIETERLMRSPQRSSKGHSKTRSLPCPDLLEQLPAQQQLLFRVVGVQPEGSACSNYLIQYALALVVKESFKIYCAINDGIINLVDMFFDMPKYDAIKALTVYKRAGLQAENLAEFYDFCKYLELARTFQFPTLRQPPPSFLVTMEEYIREAPRPSIKSVESEEPKLLTYDQEAPAEPEKPAEEEKGEPEQEPEPEPEPEPQPQQTTGDLLNLDAEVNPLISELEESNALALAIIAPGDQNKTPASQYRFDCNSSGWELALVTAPSTHTSQAVETNFAGGFNKLLLDSLYEDETRRQQIASVTYTGSLGAANPFEANANDPFAMSSSFAPPSNVQLALMTQQQQQYYQAHQQQYFQPHQHQYFQMQQQMLAMPTSNIYHHQYQYQYAVPPSGAPNPFGNPFGDLVAVAAPGKKGNSSFL >Dexi3A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:3A:13868881:13869210:-1 gene:Dexi3A01G0018190 transcript:Dexi3A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLDGALAGDFLREVCYLEACRGHPCLVELRVAHLDPTGDSTCSSLTGASRRPRGTTVRCPLAKDDASPLVPAPHAAWVVAAAAGSRGRWAAPQLLDLTNAKRRRSY >Dexi1A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:1A:7628404:7628769:-1 gene:Dexi1A01G0009400 transcript:Dexi1A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVATVMQQVAAVMTMADDVLERLLGGWDKKGTSERGVRGPRHRGVVAGVATPARHTVSERPLYHSGAVEGYRCRAFFPVATPEGMDTGSAADSLSVVAKGEEAHAAVTVNMPIINRILF >Dexi5B01G0029670.1:cds pep primary_assembly:Fonio_CM05836:5B:30838774:30840792:-1 gene:Dexi5B01G0029670 transcript:Dexi5B01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIRLRRRLPLLLTARSSSSSSSEPHEIPTVYSFLQPSIFAPRPRPQPPPPPPPPAHDTAPRKTLPVADAEALESSLLTAVSEGRSDNAWLAFKSLAASSRAPSPHAAAALVSHLAGAAGAHHRLGLKRAFAAAVFLLEKSPHAAPVPEPALGALFSALAAAGSTAPALALARAMLRCGRLLPAFSVWGHPLIEITRDDAGAFAAFLNVFDEACKLVVEEKAPAEAAAMRPDLAACNAVLAGCCRRLGSMADAERILETMSAVGVSPDLESFGSLAFLYAWRGVSNRVDELNTLLDALGFSKKGFFKNLISGYLKSSSFELVSLFILRAVKEHRVGDGNGFDVDTYREVSQCFVDHARIRELAQLIIQAQEIELTQQPMSVEESVGFGIVNACVELGLLDKAHSILDEMTAQGASVGLAVYSSILKAYCKEQKTAEAAQLVAEISAAGLQLDAGSYDALIDASMTAHDFQSAFALFKDMREARLPELRSSYLTIMTGLTENNRPGLMASFLDSVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMLFLRFEPNNQTYLSLINGYVSAEKYFNVLILWTEVRRKGTDFNHELIDAFLYALVKGGFFDMAMQVIDKAQEFKIFIDKWRYKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNT >Dexi2A01G0025510.1:cds pep primary_assembly:Fonio_CM05836:2A:37125363:37128390:-1 gene:Dexi2A01G0025510 transcript:Dexi2A01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEAAAARAGRAGGAAAGMRVFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQHKSLVKDVKTEHTVDFLYKKVIQKEKENCSTIQQLGATCLAGYISGAVGTVVSNPADNIVSALYNKKADNIIHAVKSIGFRNLLTRSLPIRITLVGPVITMQWFFYDTIKILTGLPTTGGLPRELEEVNI >Dexi9B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:9B:1144409:1144837:-1 gene:Dexi9B01G0001990 transcript:Dexi9B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPAKPSAGEWSSGLCDCFSDCGVCCLTCWCPCVTFGRVAEIVDRGGTSCCASGAIYTLLASLTGCQWIYSCTYRSKMRAHFGLPESPCCDCCVHFCCEPCALCQQYRELKARGFEPELGWELNAQRGAAMHPPAAQGMGR >Dexi9B01G0038320.1:cds pep primary_assembly:Fonio_CM05836:9B:39406060:39407511:1 gene:Dexi9B01G0038320 transcript:Dexi9B01G0038320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLPSLAVDWFRWYLDATPGTSCDNPSCSCNCRACSRHQSTSPMAGRSAPYSARHFSAVSANFLSESGRIVPAILWSTMLSSSPFLSRFVAHSARFTMSGGSVGSMTGRAQSTSSNTTPKDVPLYTFPNPPTPITLPSSNPHVASCIAANGNRYPAPALCPSLTTTVLFRVPLLLLLPKLPREREREPSAVAEKGAQVLDDGFFFFLRRRTLTTRSARAITAVTPAMAEPATIAAMTFFERIRDEGSPAPASVLPTSLMISRPLRRPSGSTVPSVDGPTLTVILLLVTSLALSTKSLYSGVASAPKLSL >Dexi7B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:7B:3228352:3228567:1 gene:Dexi7B01G0001840 transcript:Dexi7B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERDGAGSKKSWPEVVGLSVEEAKKVILKDMPDANIVVLPVGFKEEMDTDSKPNCVRIFVDTVAETPRIG >Dexi4A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:4A:15792958:15794769:1 gene:Dexi4A01G0014150 transcript:Dexi4A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Dexi3A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:3A:1849266:1850241:-1 gene:Dexi3A01G0002750 transcript:Dexi3A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWSLYARMGLDNREQGARGAPPQQQQQQASPALGACATALPYPTLPYPSKQPDSQLLPPPRRRIAPYVLRDAAVEWNTLTRFSQLARAGTTPRRALLAPPEPAPKPTYRLPLPPGGVVRARPEPAPHPGKGDASQAGAMRLGGAARSPLPCALASPPCSSSPFPFLSPSSSSHHLHLFPPSPPAAAAIAIGLRALRPGVPNTPVPFTRAGISLPPPPHKGEVGGFRGSQIRIRLPHPSPNPRRRPLSPILKESVLEVRRFAWMMVL >Dexi1B01G0023520.1:cds pep primary_assembly:Fonio_CM05836:1B:29106626:29108442:-1 gene:Dexi1B01G0023520 transcript:Dexi1B01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAAGTRSRVLVVGATGRLGSSLARASLAAGHPTFALVRPHHLARPDSPVLQPLVAAGATLLEGSLEDYQSLLRAVRQVDIVICSVPTKQALEQKPLIRAIKEAGCVKPGLDAPPRDEIKIFGEGNTKVTII >Dexi1B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:1B:1807009:1807716:1 gene:Dexi1B01G0002190 transcript:Dexi1B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAHGNGTYGYGGYGYDAGAYASATASAGGSSYYSSVYYPPPPAPAGYEEAGRRRAHEFPAPVVDGVELQSSEACPKNHVIFDQTSTSSWVTFHPSLAHRLTTTGGSSSSETTAGHATGNEDDDLRSPVLQKEDSAEIDALLISSSEDGGDDDDVTSTGRAPGGGGGFSPDSTCSSSGGGGKPRRKKKERIKKMMRTLKGIIPGGGQMDTTAALDEAVFYLKSLSVEANKRRGG >Dexi5A01G0038590.1:cds pep primary_assembly:Fonio_CM05836:5A:39537010:39539464:1 gene:Dexi5A01G0038590 transcript:Dexi5A01G0038590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSHTISVADGSSSSVTSSPLHNFSAMPLHPDAAASPTPPWLVRELRSDERGLCLIHLLLNCAAAAGAGRLDAANAALEHIATLASPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRGGAAPAAAELAAARRHFLDLCPFLRLAGAAANQSILEAMESEKIVHVIDLGGADATQWVELLHLLAARPEGPPHLRLTAVHEHKDVLAHTAMALTKEAERLDVPFQFNPVVVSRLEALDVESLRVKTGEAVAITSNLQLHCLLAADDPDDSKGSGNKDTKDRRRSSPEESSGVSASTSRAEAFLGALWGLSPKVMVVTEQEASHNAAALTERFVEALNYYAALFDCLESVAARGSVERARVERWLLGEEIKNIVACDGGERRERHERLEKWAARMEAAGFGRVPLSYYALLQARRAAQGLLGCDGFKVREEKGSFFLCWQDRAIFSVSAWRGRRFD >Dexi5A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:5A:2082869:2088764:-1 gene:Dexi5A01G0002900 transcript:Dexi5A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSPASTAAASSSSSSSPAGARRPRRASWKPRGGPASAPPLSLRARASISMKPAAALPEGQRQGGGIPPVRGVSETVVGVLGGGQLGKMLCQAASQMGIEIVILDPLQGCPASSVCHEHVVGSFSDGDAVREFSKRCGVLTVEIEHVDAATLEKLEKQGDKYRQKKHFSKFGIPLPDFMEVDSLQSIEKAGEMFGYPLMVKSKRNAYDGRGNAVAQKKEELSSVVSSLGGFEHGLYVERWTPFVKELSVIVARSRDGSTVCYPVVETVHKDNICHVVEAPAQVSDKIKKSATGVAEKAIKSLEGAGVFAVELFLTEDDQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGIPLGDPSMKVPAAIMYNILGEDEDEAGFLLAHQLIERALNIPGASVHWYAKPEMRKQRKMGHITIVGPSKTTVKSRLDKLLQRDTSDPKKASPRVSIIMGSDSDLHVMKDAEEILKKFDIPVETTIVSAHRTPERMYDFAKSARDRGVEVIIAGAGGAAHLPGMVASLTCLPVIGVPIKTQALSGLDSLLSIVQMPKGIPVATIAIGNAENAGLVAVRMLAANDAEIWDKLTKYQDSLRDMVLEKAERLENIGWEEYLK >Dexi8B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:8B:823744:828114:-1 gene:Dexi8B01G0001220 transcript:Dexi8B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLQRAVPACAPPEEESKAREEKPPCFLHLNAQVITTPLPAPPPPSSLQLVELRRQRRGEERREEESIKGHQQQQQHSSPLLLPPKRRCTTLAAAVPALVVCSVLLPLVFLLGLQRPRYGSEERAAVVITTELAGVGARNKQHLENGGAMKHKLLKDVSKKITSRLNGVSPGKPSREKSKNLAVKSKAKLKGFSSLIDLNNDTFNVVFQDCIRPKNINGRTCPGDQGSILIDEIDQQKLERPTLQHYVIFSRNILAVSTTINSTVLNSQDSGSIVFHLFTNAQNFYAMKQWFDRNSYLEATVHVTNIEDHQKLPKDADSLEMEQIWPSEEFRVTIRNYSEPPQRQMRTEYISVFGHSQYMLPDLLPSLNRVVVLDDDVVVQKDLSYLWNLDMDDKVIGAIQFCGVTLGQLRSYIAEHSFNSDACVWLSGLNVIELEKWRDLRLTSMYDQSLQKLQMERLASKRLKALPVSIVAFQDLIYPLEESWVQSGLGHNYGISRHDIEKAATLHYNGVMKPWLDLGIHDYKSYWMKYMATGEKFMTECNIH >Dexi3B01G0033170.1:cds pep primary_assembly:Fonio_CM05836:3B:35522094:35522416:1 gene:Dexi3B01G0033170 transcript:Dexi3B01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSVAQVSEGIKPFAHRKFQDQPRNSNKKIPGLSEVFQSSITDISKTSAATGSISLDQYLQKKNLVLCPEVKDLVCSNCRD >Dexi1B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:1B:5333852:5335772:-1 gene:Dexi1B01G0006490 transcript:Dexi1B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAFYYLCLLLALLLPLLLLLKLLKNHDAAGGGGGVRLPPGPWRLPVIGSLHHLIGKPLVHRALTDLARRLDAPLMYLKLGEVPVVVATSRDAAREVMRTHDVTFSTRPWSPTIRIMMEEGLGLAWAPYGDLWRQLRRISVVELLSARRVQSFRHVREDEVARLVADVAATPAGEAVNVSRRIAVLIADSAVRSMIGDRFTRRDEFLVSLEEGFKLVSGFNLGDLFPSSPFVGFVSGMARKAQANHRKSFELMDCAIKQHEEWRAKKVSNCTDDQEMEEDLVDVLLRDLFSAGSETSATTLQWALAELMRHPEVMKKAQAELRDTLNGMPMVTEDDLAQMKYLKLIVKETLRLHPPAPLLLPREASESCKILGYDVPKGTTVFVNAWAIGRDPKYWDDPEDFKPERFECVTIDSKGMDFEYIPFGAGRRICPGMAFAQSNIELTLAALLYHFDWELVDGLKPSELDMAEGTGLTVRKKNDLLLHPIIRVPPQPTQ >Dexi2B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:2B:25340785:25343704:-1 gene:Dexi2B01G0015340 transcript:Dexi2B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQPASDASPSRRHNAHVSEDGTYAMKKVLIQSKEQLDLVREEIRVSSLFNHPNLLPLLDHAIIAVKGDWSHEAYLLFPVHLDGTLFDNANIMLSRKEFYSTADVLQIFRQMCEGLKHMHSFDPPYAHNDVKPGNVLITRRKGKAPVATLMDFGSASPARKQIRSRSEALQLQEWAAEHCSAPYRAPELWDCLSHADIDERTDIWSLGCTLYAIMFNVSPFEYTLGESGGSLQLAIVNGQLKWPAGPNPPYPDELRQFVIWMLQPQPAMRPHIGDVTLHVDKLIAKYLS >Dexi5B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:5B:4167580:4168295:-1 gene:Dexi5B01G0006190 transcript:Dexi5B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRRRGRSPPPAPSVRDLVAATPSPPNFPPDLLREIASRVTSLEEFFALRAVCRAALPLASPNLASQAPLLLVPDAAAASHALLHIIRGGFHRFRLTRTHLTGEAADIHSLGCRVAVDLRGRCQLRIVHVLTAERTRLPSPPSPFSGLLLSGDLVVAWYWNRPSLQYCRLGNPKWRVADF >Dexi6B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:6B:25896679:25900932:-1 gene:Dexi6B01G0019030 transcript:Dexi6B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATDGQLQATEQEVSVHGSQQAGQPNLNTTDQFPKPELVAEGSKSEQPVKVEQQNPQLQQSQPESQLQQVESNSFQLAEKETGSFGQQNFSGSKVDVAQPSAVQQNAKQSVGQQAPSGAQDTKKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQMQAQAQRNNQANPSQHSLFSQVSAQQMSSGGSAQLHDQKESSGACLHAIHILLIFLSCQGPPNSSTQNASGGAKSNKKSGGQKKSSEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEEKLFLLKGPLLKKLVEIG >Dexi9B01G0035490.1:cds pep primary_assembly:Fonio_CM05836:9B:37131699:37135483:-1 gene:Dexi9B01G0035490 transcript:Dexi9B01G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHIRALLLVCASLLINSVLGEAPYRFFVWDTTYGVINALGVSQQGILINGQFPGPTIECQTNDNLIINIRNSLPDPFLLPWDGLQQRKNSWQDGVSGTSCPIPPGQNFTYHMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIHSRPLIPVPFPTPEDEYTVLIGDWYTAGHKALQDLLDSGKELPSPDGILINGKRNSNGADFTVEQGKTYRLRISNVGIQNTLNILIQGHNMTLVEVEGTHTVQNSYSSIDVHVGQSLSVLFTANQPARDYHIVVSTRFTNTTLHSTAVIRYAGSSGPTFEALPSGPDDVEFSLNQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSMGLVGGKPRYAVNGVSFAEADTPLKLADYYNISGVFQLGGIPDAPPPAYNGAMEEVQSKTAAMDSDHRSFVEIVLENSEDGVQSWHLDGHSVFVVGMDVGTWSEQSRGSYNLVDAVSRCTVQVYPRGWTAVLIALDNVGTWNMRSEVWARRYLGQQFYLRVYTPTHSFRDELPIPDNVLLCGRAAATNSSRTHV >Dexi3B01G0031090.1:cds pep primary_assembly:Fonio_CM05836:3B:31517893:31522657:-1 gene:Dexi3B01G0031090 transcript:Dexi3B01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIGIGAARWVVGKALSAVTDGLLESWAASSELGPNVGALKMELLFAQAMLDNARGQNVHSPALGQLLLELWGLAYKADDVLDVLEYFRIQDELDGTYETADSDDRGLIGGFILNARHTTKAIAGKINFSNCSGAAAVHTDSDDEDNDDARKGCLLSIGSCWPSMTQQTEPCVLAPKLKFDRVALSERIAGIIEELKPVCAKVGTILEMELLSSAIHKLHLFGSDGTATATRSVNLSRPRTTTPQIVQSMLYGREQVKRDIVHAMTRDKHQAGNLTVHSIVGPGGIGKTTLTQHIYQEVSAEFQIKIWVCVSRDFDATRLIKEIVTKMPSIDTEKGNASATDEELIQTRLQSKRLLLVLDDLWSCPEDEWQRLLSTLKKGGTRGFGLLGTTNQYKRVEGIGTDHINELVDHGFLEKVEELIVTLQYFGVIGC >Dexi8A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:8A:28361128:28370306:-1 gene:Dexi8A01G0016790 transcript:Dexi8A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGKSRQERWSLAGATALVTGGSKGIGHAIVEELAGLGARVHTYNSRTSERRKKKMVASAVRTRAERWSLAGTTALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEEARRRWAEKGLFVTVSVCDVAVRSDREELMATVAATFGGKLDVLVNNAGQSLFKPAAECSGEDFSRVMATNLESCFHLCQLAHPLLQAGGNGGSIVHISSIAGFIGLPALAVYSMTKGAMNQLTRSLAAEWARDGIRVNCVAPGGVKTDISSDQKTIDPELVKKEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQVICIDGGRTIT >Dexi9B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:9B:5216981:5226443:-1 gene:Dexi9B01G0008540 transcript:Dexi9B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCATVHVFVHSAGDPGEHIVGSHVWLEDPGEAWVDGVVTEIRGRDATIATTNGKTVVASLGSIYPKDTEAPPSGVDDMTKLAYLHEPGVLHNLACRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRALINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSRWTLEPSLRIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCHFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNTVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPELVDSSDEKAACAAICDRMGLKGYQTRWRQHKAYVAYKQQKRATLILQCLWRARIARKELRKLKMEARETGALKEAKDKLEKRVEELTWRLDVEKRLRTDLEEAKGQEIAKLQSALQEMQSKIEEANTAIAKEREAAKLAIEQAPPKIVEVPVVDTAKVEELTSKNKELEDELSIFKQKAEDLEERFLELQKRSDELSQETQERESKVIQLQEIIERLETSLSNMESENQVLRQQSLVVASADEDKSKQIERLESKIAILESDIQLLHSNSACAVQAVAAPEMNQTSVMENLIHKEIDNGHQIEEVKIVNEQVVVPPVKNLSKQKSLTDRQQENHDALIKSLVEDRRFDDKKSAAACIVYKSLLHWHSFEAEKTNISDRIIQTIRSSVEGADSSGELAYWLSTTSTLLCLLQNTLKASSSSSKGSNRSRTATGSLFGRMVQSARVSSSGLGVTCGYSGMVGRPDTASMVEAKYPALRFKQQLTAYVEKIYGIIRDNLKKEISPFLTLCIQAPRANRVRTSRGSLKSIHSNVLSRQASSVHWQSIVKCLDHTLETMKNNHVPPMIIKKTISQVYAYLNVLQQYAGASWDELQHIRQAVGFLALHQKSHKNLEEITNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVIGKMRTMTTDDSITPPNSSFLLDNDSSIPISLDDISRLMLDIDPSDVEPPPLLRQNSQFHFLLQQHTD >Dexi7A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:7A:27190390:27193369:1 gene:Dexi7A01G0017530 transcript:Dexi7A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMDGDQAAGAGDLTDIVRAGGGAMPGNAELPSTATEWQLHHGEQTTLFPPPPPLSSSDGCTGAGADVFGDPFSGLGGDPFNSDYASVGGGVDFLDAMPDAMAKVAFDTAITGGGGGGGQLMMDMSRKQPILPRGVQTTMASSVGMLAPASRVMPSPLSPRAIRPYPAMAMAGDMVKLGITAGQVAGCAIDAAVVGMQMSSSSPRGAGGIKRRKNQARKVVCIPAPTAAGGRPTGEVVPSDLWAWRKYGQKPIKGSPYPSSSSGSKSSQNEKQQQTNVKEEPKDPATTATTSTVTTTTTTSTSPAAAAVKEETLPGSSEGLGRAMDAAVVDHNNIELMDQVFSESYKPMIPEAGQSDDFFSDLAELESDPMSLIFSKEYMEAKPSGGDRAAQEKAITKDLDPFDILDWSTTSSAGSSFEQGKRG >Dexi5B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:5B:19758120:19759831:1 gene:Dexi5B01G0017870 transcript:Dexi5B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPPADVLETEESRDLGQLPNNKRKRNTQIEAAKTALDGGSSDAGAEGTTQQRTVPGAVGEGTSSASPAAPVSTSQRLRFPPYPKSSNSKDVRKWCKECKECRSICEVLAKDGSRRSQCTGIIMGQWESSGRQRTLIVTCSKIVCREGALLDPIPKISVGLPNKTVLDGQFLFFNDHYGIALLEIDADFQTQRPSF >Dexi5B01G0033360.1:cds pep primary_assembly:Fonio_CM05836:5B:33789567:33791400:1 gene:Dexi5B01G0033360 transcript:Dexi5B01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLQSEALREAIASITNDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAERIGLDSMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKDLTFPSVIWAFVVMVSSGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >Dexi1B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:1B:1158179:1163400:1 gene:Dexi1B01G0001490 transcript:Dexi1B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGNHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNVFPLWFPPNMITLTGFMFLLTSAFLGFLYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGRATFWFWVISAVPFYFATWEHFFTNTLILPIVNGPTEGLMLIYLCHIFTFFTGAEWWAQDFQKSMPLLGWVPFIPEIPVYDIVLGLMIAFAVIPTIGSNIHNVYKVVEARKGSMMLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEQLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFRITRKKA >Dexi3B01G0022040.1:cds pep primary_assembly:Fonio_CM05836:3B:16895548:16899164:1 gene:Dexi3B01G0022040 transcript:Dexi3B01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGADGAMAGSRGEQSFARAASSAFGAAEFSSGFDGPQLPRLRLRASCDGSAIASPCSSSSDTFFSMSSTPSGTLKHCGLWSPPRAPSEASSSEMEFGTARQYDTTDPFFYDNHLLGNKPDSDGGEGEDKFIVGPDISLQKSEIRELGGGCGRSHVHRDCIEDSDGCAELNACSSPPCSCCHGEKKNDELVRDSCSAVYGRYQIIDDDTEVLDECVAEAFRFRLNVFVAAGEPLADFKKGECNGLDLSALEKELQILSPYLTEGDALENTRLEHDFRGNEKLNVCMVTNEETTDAEEFLKDSYSIHPFPESSDPLDIYGVEDFLTADTDVQNSNTHKFEEDSKVDPALFKFHKEYEVFDLKIFHRKNRTGFEENKEFPIVMDSVIAGRYRVTEYLGSAAFSKVVRACDLQTGVDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDDHHILRLYDYFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQSIARQCLEALVYLHHLNIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLNLYVQSRSYRSPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVPIILARMIGTIGPIDMEMLTLGQETQKYFTDDYDLFHKNEVISGLYAKVISIA >Dexi5A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:5A:7961654:7963267:1 gene:Dexi5A01G0010570 transcript:Dexi5A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSFGLKAAAMDDAAAIKQNKRRWALAIQFAVALAVLTLCVLLYAPRFFSAAPSPYGSIPGFFSPASTSAASSSSSSSSVVAQHLDGLEHAAGEGGADASPEDQPVVLDNQVGSPCSSLLNNTICCDRSDYHSDVCFMSGDVRTDAASLSLLLFPPPASASGEASSFAAVEERIRPYTRKWDSYVTKSIHEVTLRTARPEEVSGDHHRCDVRHDAPAFVATAGGYSHNMFHVFNDGFLPMWLTSQHLRRRVVLVALSYNHRWAGTYGDLISTLTGHRRHVIDLLADKRTHCFPGAIVGTRYHDYLSVNSTRLRDNKTIVDFHNFLADAYSDDIVTGEKPVTKTKTSTTPQKRPRLGIVSRKGRRVIENQEAVARLASSVGFEVDIMETATGLPMSAVYETVSSYDALVGVHGADLTSFLFLRPGAAAFVQVAPLGITLLSRNLFGVPAARMGVHYEQYDMGAGESSLSRRYPAGHVVVADPARARREQGKGEWELVEHVYLRGQNVSLDLGRFRETLVRIHSWLKEQQLRNPGV >Dexi4B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:4B:14843802:14845979:-1 gene:Dexi4B01G0014160 transcript:Dexi4B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLKRKLSNLQWMAIVLLAVGTTTSQVYSTSMAMLLTMVISIYLFSVKATIQLFLGIVICIISLQMYFMPVHMLVELPQTLPVTSK >Dexi8B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:8B:26407107:26407928:-1 gene:Dexi8B01G0015660 transcript:Dexi8B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNRRNPPCRYGRFVRRRISSASARRASYSRSCADLRRPPLAAPELLVAGDGDGDGLLFGAADGDGEVAGTGAAVGRWWVLPPSDLLRWRRSLRNPRAQRREPPREEEEDEVVEAEDAVEEDDDERNAAGVTAGSSADSVSGAGDGDAAAAAAGDLRQWERNGDKDLAFRRTERPRKRPRSSRSRPPSSSSAAAAEAAVVLEPGEDGGWRRAAESRTGRIWPLQKSSSAEDMAAAEGDGKREEKSKSKSRTPPKSLPAPPPRVGLAGAL >Dexi4B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:4B:9574868:9577238:1 gene:Dexi4B01G0011940 transcript:Dexi4B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEAAAAHHLDSGHGGGDVVEDATVGQTSHSNGGGGATWEIEEMEPEETTTTGQAGSGSGGGVSSAVHQGQGGGGGGGVEDVYVAVGKGGSSMAALSWALRRLTRPRSFVYLVHVFPVVNSIPTPLGMMPKSRASPVQIETYLNQERSKRREMLQKFLDQCRKFQVTVDVYLIESDQIANAIIELVPVLHIKQLVLGISKSNVRKHKRGSTIAGQVQKSAPVYCEVKIVCDGKEVTTETTADPTPPLSPSPVHNSSGSNNTTPPSSTPNHDKAAANGEGKNNEYRERKKITKFLRCFSF >Dexi9B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:9B:3501324:3503137:-1 gene:Dexi9B01G0005900 transcript:Dexi9B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVPLLNYRVNHVGFAVYHRFTQQNLPAWKPSMTPGCVAEINRRYDVECVPNAYRGNKQAYIKDSAISKNCTLEVKVVAITESLFLSYLLSASYVLDHMRAPIYVYYELENFYQNHRRYVKSRSDKQLRFGAKYTGESCSPVQWDHNGFPIVPCGLIAWSLFNDTYDFTQGSKEIKVNRKNISWKSDREHKFGKNVFPSNFQNGSFIGGGKLDPTVPVSTLLSEQEDLIVWMRPSALPKFRKLYGVIEEDLEVDETINILIRNNYNTYTFGGKKSIVLSTASWLGGKNDFLGYAYIVTGSMSIFMSILFALIHVKYPRPQGDTNRLSWNRKNSNN >Dexi4B01G0022150.1:cds pep primary_assembly:Fonio_CM05836:4B:23855267:23859789:1 gene:Dexi4B01G0022150 transcript:Dexi4B01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQQQLQQQPASSAGPQAAVAAAAAGGCEVCPPVFSAEFRGKSHPFSSVTDAGEKKPPAINSDLWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDGHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSDFSMQPPAQEIQARDLHDATWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQIKIQE >Dexi5A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:5A:12460052:12468427:-1 gene:Dexi5A01G0015110 transcript:Dexi5A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRACTVTSADHGAASRLGHACAFTAHVRLLKLVLSHHRLWSTGGAPRRVARAWRTRRRREGPWISGEAAAERAEVTGNRIWALTCRRRGREWRWWLCAGSGVKERKRRGKEGARRERRLEALPSPSAVTAPHSSDTGGEGKRGGRGDGGPGCSSVGYGAASELGPLLVNANGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTTSDLDNTDDNFVGKDTYTFLVNWLNRFPQYKRHDFYISGESYAGMEADSSLSSSKEIPMLNEATTKGYHLFAFLTSSVGNAETNDYYDYTGIVEFAWSHSVISDQFYQRVKNVFNFRLSPTTNECRHVMNLLFKMYREIDIYNVYAPKCDTDGSASSSSSDNSLEKVSAFSKSG >Dexi7A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:7A:18727473:18729385:-1 gene:Dexi7A01G0007340 transcript:Dexi7A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSPRPLVLITGLVVSLLSGGSHVVADGHPDYAEALGKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSAANVDLTGGYYDAGDNVKFGFPMAFSMTMLSWSVLEHGGKMKARVHDARAAVRWGADYLLKAATQTPGTLYVGVGDPDADHRCWERPEDMDTPRTVYSVSASAPGSDVAGETAAALAAASMVFRAADPAYSRRLLAAARDVMELACARVRTYVATCTGSAAKLNGVMSLVQDELLWASAWLLWASKDSSYLGCFYSLGGNHSVDMFSWDNKLAGARVLLSRRALVNGDTAMEPFRQQAEEFFCRILPGSPSSTTQYTPGPYLLHRHIRALMISFLSGKLLASVSTIKSICCMAMVVDQVDYILGANPQGMSYMVKFGARWPQRIHHRASSLPSLASHLEHIGCQEGYQSYYYSGAANPNVHTGAVVGGPDENDSFPDDRGDFARSEPTTYTNAPLVGCLAYLAGAYKSGYN >DexiUA01G0006140.1:cds pep primary_assembly:Fonio_CM05836:UA:11567125:11571370:1 gene:DexiUA01G0006140 transcript:DexiUA01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRREHDSELSGGGLGWPVEFPISTFFVEAPPSSLLSASSFPVLHRTLSVNLATVTHQNGRETMVQLLYGAASGKSIGESSPLETYNGGGSFQTLLQSFTYPQPGYYHIGQDGYTSKGIDSVNRNGRDDFVIHEAADEPIGDDTYMNNNADNNEMNADSPYSIGFDESGYISSDSSAYDVDSDDEDYNHVVDPFGVQGKWKSVVRPAGGIRYEDIPSDGEEYNSDIDVFGDQGTDDTDPKFRSQFRSRFLLIHSPATRPCPSSEKLNLKNAMEYDGKDEGPKCDCLLFDLDDTLYPLTSGIAADIMKNIQDYMVLKLGVEEAISLEMCILLYKQYGTTMAGLRAVGYQFDYDDYHRCSQMVIESMLQGP >Dexi8A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:8A:12235625:12239599:1 gene:Dexi8A01G0009350 transcript:Dexi8A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGLVDWRGRPVNTKKHGGVRASIFIHAIDLSPNDPHAESFSVCNSDFFNGLFTAALVLLSNAANIANILNLVSYLRNDMHMDVARASTMASNFFAALQMFSIPAAFLADSYIKRFYTVLIFGPIEILVLVASFKKRKVKLPENLIDLKQINQDEGSGVEILRRTEGLHFLDKAAVDTGKAGAWSLCSISQVEETKIILRMVPIFLSAVLGYIPVPLILNFTVQQGNTMDTRLGAIHIAPATLFVIPTVFQMVILVIYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSATIATGIAALVEIKRRKVAEEHGLMDATTGIPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASTGMKSMGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYIFFARRYVYRNDQKVVVEGDNNNSSDDAINTI >Dexi6A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:6A:1624057:1628963:-1 gene:Dexi6A01G0001720 transcript:Dexi6A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAAAADHATAPRQEEAPGSGSDAGEREMRDLEELLSKLNPMAEEFVPPSLASPVAAAAVAPAPVPAPLSPAAFGYYPANAGFAVASPGHRGVVGFPAVADGPAGRGRKKGGAGGFGGHGHPGGRRRTNSRTSMAQRDEVIRRTVYVSDIDHQVTEENLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVTQSDLKLFFESICGEVFRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLIH >DexiUA01G0002030.1:cds pep primary_assembly:Fonio_CM05836:UA:4904673:4905128:1 gene:DexiUA01G0002030 transcript:DexiUA01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHIFQNPALPLLLLPQVVLLRRAAPRRTTPHHTTPQPLSPSSLRSFPPPPSSSARHSRLCAPAPSFRTRASLRTSLPHPRAPAPHSFGSMASFVVLLRAAVVLMAVAGFLPSTGADSVATCDAVGHGSLISLLCGHPGAGSPSQGRTQY >Dexi5B01G0037450.1:cds pep primary_assembly:Fonio_CM05836:5B:36952233:36953488:1 gene:Dexi5B01G0037450 transcript:Dexi5B01G0037450.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDIKLRVQWGRAESQAQRLERDKIMSSSSSVVSGISASPSAAGARHRHGAVKQLKIKVAQPQQHGRCRQSRGRGSAVVVRAGPGALSEIEPDLNEEGVDRWATPGISPDDFEYGIYDGHHTYHEGHDKKGFWEDVSEWYQEAEPPQGFQAFISWAFPPAIILGMAFNVPGEYLYIGAAIWIVVFCVIEMGKPDKPHNFEPEIYLMERSARDKLIADYNSMDIWDFNEKYGELWDFTVNTREDIVRSS >Dexi3B01G0020920.1:cds pep primary_assembly:Fonio_CM05836:3B:15836292:15840535:-1 gene:Dexi3B01G0020920 transcript:Dexi3B01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLQKLKRLDAYPKVNEDFYKRTLSGGVVTLVAAIVMLLLFISETSKCPSGGARRFNDAGLFDVTFPSIPCTLLSIDTKDISGEQHHDIRHDIEKRRLDSHGNIIEARKEGIGGAKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNIDVPELSVLEGGFNITHKINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTIYTDIRGNKIHSNQFSVTEHFTDGYVRPKPQPGVFFFYDFSPIKFQFVCHCAAGYIRCTFM >Dexi9A01G0043310.1:cds pep primary_assembly:Fonio_CM05836:9A:46804367:46806571:-1 gene:Dexi9A01G0043310 transcript:Dexi9A01G0043310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAEVDRKYEHGGSRLHFLEERDEETLSRRLIKLGQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRSSLADAMRMFKFMKGERMATGHTYTLILKAVASNEGYASALEMFNEIEDEEDSKENVDVIEVAPDSSIYNTVIHGLCLRGKIGLANKVYAKMRSIGLVPDGKTRAFMLQHIATED >Dexi8A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:8A:6273420:6274849:1 gene:Dexi8A01G0006190 transcript:Dexi8A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRGQHAAAAATASPALQRKSPAPPPPAAAVALVPPPPPQTRDAGASCLTSDVARHQVVFVHAWPGTGKSTQIPRVLHATSRHGRVLCSQTYRLAAESAAAHVASYMRAGEHHALLRAFASDPLLTPYGAVVVDEADDGMLLTGAVLTCVRAAAARRPDLRVVICTHGTLCFGEGAVRGFFPGAEHLRFDTNNGWGAWEYLAEPATGYVAAAVDTVRRVHATEPPGDVLAFLPSYTDVEAAGRLLAAHALPDLVTRYIHDGLAIDLIGDVLRPTPDDQRKVVLATDVADSVVFVEGINYIVDSGYRCTDNAPPSLTKGPSPSSPPQMIRALKAAVLSWYHIRRRESRGKCFCLYTMEEWDEMIRGCSPLRSRTDDVDSLAAMVLVLKDLGITSGDVENFGFVLPPRPETLQKALAALVAAGMVGAHGI >Dexi7B01G0021890.1:cds pep primary_assembly:Fonio_CM05836:7B:26872067:26873535:-1 gene:Dexi7B01G0021890 transcript:Dexi7B01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHGLAWYSMAMLLAAAVVSAQLSTDFYDETCPNALDIIESAVRAAISKESRMGASLLRLHFHDCFVNATCRNFQTSLVTLVSEYNHGVPFRGLTVDCNAKWTLQGCDGSVLLDDATGFTGEKTAAPNKNSIRGFEVVDDIKSQLEDACAQTVSCADILAVAARDSVVALGGPTWDVELGRRDGTTASLDDANSDLPKPTMDLSDLINAFSKKGLSETDMIVLSGGHTIGQARCVNFRGRLYNETTTLDASLASSLKPRCPSATGNGDNNTSPLDPSTSYVFDNFYYKNLLRNKGLLHSDQQLFNSGSADDQTKAYASDMAGFFDDFRDAMVKMGAIGVVTGSGGQVRVNCRKTN >Dexi6B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:6B:18164599:18167642:1 gene:Dexi6B01G0011140 transcript:Dexi6B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRIRVHPQESCPNGTVPIRRTLKQDLVKASLSSPRFQPAKDYSEIPGQHFAQLIINSEEGSKFQVAGAVLEVDAIAVPPSQVSSAQILLVDGSSSVIQSGWSADDHKTTGCLNMLCPGYVLVSQTASPGIVLPTGTAGISLSKDGQTGNWQVFLNQQMVGYFPKEIINGMSAATEVQMGGITYSPSGQKSPPMGSGVAPVPGKNTLASKFTQLGVQGGKVAKSRVTKDVSDPAIYNVVMTSYSNGPEGASFQYGGPGGA >Dexi5B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:5B:6707559:6717878:-1 gene:Dexi5B01G0009670 transcript:Dexi5B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFIDRIYVFDSCFSTEVLPQGMYPVYLNEILTELHEENVESSFLAINFRDGDKRSQFADILREYNIPVIDYPRHFEGCPEDCDVIKIDIQCLVQGDIVLECVHLDLDPAKEVMMFRIMFNTAFIRSNVLMLNSDDVDILWGSKERYPKNFRAEVLFCEIGGMSPTRAPTATLNGDMKGGLPIEAFSAVQELFNGVDWIESSDDAAYWLLKEFSANSLQEKFQKLILNDMKELSKMQAKVGLQMPLMSPLDSDEEKYSVASDSVCSADHEKIQHGGNSSDSENIDRDFTTEDSESSATLSINSSSPPHQPQPHPPPHGAPSSLLDSSVPSTGTSGSQTKTKPPQELPSNRLPFSAPAPPPPPPPPPPSGSKHAVSSAPPPPPPPPRISSVGPPQPPPPPPPPPPSGSKHILSPPPPXXXXQHLLLHRHRHPHHQVEVNMLYHQHRRRHHHHLELAVAPDIDLSELESLFSTASVTSASEKGATKRGSAISKPEIIHLSAILSLDTSVLDNDQVENLIKFCPTKEEIEMLKGYNGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSTQVNDLRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKIQLKLLAEEMQAINKGLQKVEQELAASENDGEISIGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARNAEAEKKKLEKEKASCLCECQDFGALCGYLL >Dexi3A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:3A:5224553:5226977:-1 gene:Dexi3A01G0007560 transcript:Dexi3A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASCGLPGSTTCSDPDAHVSWDGIHLTEAPYRFIANTWLKGPYAHPPLATVVREDMPQWQVSRAIHLAFSCILWLRRKKVRKYTNIRLKQFSLLRHRHGPLVPFMPAMLSLKVRSVPATEELNRPIVNCLVEHDRHRHPLTNGRFALERIAGISERLPWAREAMYAEPQCHDDDPHACGSILHEKRTRATAVKKHRGVAVSLSQYCAYLVVFHPELLPDRRAMAELVLEDARSERRIELGFWA >Dexi9A01G0026780.1:cds pep primary_assembly:Fonio_CM05836:9A:30758596:30760858:-1 gene:Dexi9A01G0026780 transcript:Dexi9A01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPRLEDEQENEPNNIMKQSLLSRFWEESKLLWQLAFPALLTEVFQFSIGFVTTAFVGHIGEVELAAVSVVENILDSSAYGILFGMGSALETLSGQAVGAGQLDRLGTYTQRSWIICGATAVALTPAYVFATPLLRSFLHQPGHVARVAGPYARWAIPRLFAHAMNIPLLMFFQAQSKVWAVTAISGTALATHVALTYLAVKHYRLGLRGAAVVGDISHWLIVVAQFAYMAGGRFPEAWKGFTARAFRDLGAFVKLSIGAAVMICLEFWYYTTLLVLVGLLKHAKVQLDIMSVCLNYEFMTIMVALGFSTAVGIRVSNELGANRPKETKFAVAVAGIWMGMLTGTFLQMVILLTIIFRTKWEKQAAMAETRMSQWGGKNENLPLMKSPETEDQMAPADEKTLAHGCQKTIEIVPLD >Dexi9B01G0049300.1:cds pep primary_assembly:Fonio_CM05836:9B:48014661:48017110:1 gene:Dexi9B01G0049300 transcript:Dexi9B01G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPSLAVTRRPSDARRRGRVLCFLIVAVAVFAAAALAYLSFPSAAPTPPPADADCCRGIEGLELWGPAVKWGSNHRQPSAAACCASCKAMCPHPEDGACRCDSWVFCGDERRCKDRLGECWLKKQKDVMVPAVIARGADVMWTSGLVFGKGEGIVGLETNLGILHIQLLPGCAPRSVDYFIELLGLRNCVGCRFYRAEGRGNVWDPKGNHDKNAAFGPPYALLQGTLEVDGVPFKDIAREACPAVKRGSIAWVGSGPEFLISLANHEEWKDAYTVFGHVLPEDMAIAEEMALLPASTDVWSNVTVRVLRDPVYFKVKKRSSHASV >Dexi9A01G0022540.1:cds pep primary_assembly:Fonio_CM05836:9A:17723261:17725153:1 gene:Dexi9A01G0022540 transcript:Dexi9A01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSPSNPASPQPPPLLLPPPESAPPPPEVRPRPTVADGVRGLLRSGEALIRAVFRGNHSAHPRPHLQHQQHHLHQHHQQHRPHDIMKRLQRETFSDVMKLKDKHDQIEHILSLYRSGKGLEFLHLPIQVKIALDAVGALFLVDGNEFEQAKATLDKAGKRTGLSSRFIFESKTRGKDTIAAELSTKLGAGAHIGDATGRPVELTRLQYNARINKWLSMILVPFGAQCNNFAHSSTMIQNLQSQASFDGPPSFLEHHNCAAGLRIKGSKFTASFAELIFGSGGLDSGGEGTRMTTFGQLSYKPSNDVKISLSGLWQICSLSPRFNNLGTLAIPLGSLKGADKPTAGATEEQRELSVKFHRNAGATSHTVESSVAVHGASDPAAHLAQSVALMVDCELYETLKTEGWFQMERSNHGPVRWGFSLSDIPENELGWGVRVGGTAQGEAQQLQHLDLEGYLNFNLGKGARLQPGLVYAKMGEKMTPALFLRSSWFM >Dexi7B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:7B:11985895:11987956:-1 gene:Dexi7B01G0004940 transcript:Dexi7B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYIIAIIIQVIYTGMFVVNKAALDHGMNSFVFIFYRQGAASLLLLPIAVILERITISLNLYNVGLKLTTATVASATTNSLPVITFCLALLLRMEDLKLRSRSGIAKLAGVALCLAGVLVISFYSGPFLSPVNHHRAFSAGTHASTTTADSASRSTWIKGTFMAVLAVIAWSVWIVLQAAVLKEFPNKMLVTVTQCVFSVVQSFVVAVVAERDFSKWKLRPDIGLLAIAYSGLLVFGVSYYLQAWCVEMKGPVFLAAWAPLGFILTIFCSSFFLGEMVHLGSVLWGKSKEKTKNIEVIVSNGASQDELVHMGVEEKEKKNSEEERDVSSAFVAGQV >Dexi1B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:1B:15790070:15791596:1 gene:Dexi1B01G0012540 transcript:Dexi1B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRRLLALPPLRHRSLLALPPLRHRSLLALPLLRCCLLPAPSPAPSPLASPLPPPPLPPSPLPARGLRLYSEHPLTVPVPPRLPSPRHQLLRAPADRVVPLAGEQGSEARPQQFTEKLARSFLLLAPERGGGERMPRRLIAARPRSSAVNGALPPIPHRQRRIDPKSTFFFLESSSIADESRCLAAGSRSSAPSSSSFPRLRPSSSLPRATQLPCPAEEGAGAAPRSPLPQQQQEDLAVALTVEE >DexiUA01G0017000.1:cds pep primary_assembly:Fonio_CM05836:UA:36169270:36170726:-1 gene:DexiUA01G0017000 transcript:DexiUA01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVLLAPLLVLLSVSGALESAAGATNGTSPADGMIRGRSLGSSSQSVFSLDRYGGHGDGRHDDTQALAKAWKAACASPRPAVVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLMASPNMADWSDKDRRHWIVFRSINKLTVNGGGAIDGNGEIWWKHSCKINKAMPCKEAPTALSFHYCTSLRVEDLKIVNSQQIHMSVEDCINVQLARLSITASGTSPNTDGIHITRSRDVKVTNCKIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQDYCDKKKPCKEQRSAVDVSNVVFKNIRGTTITKDAIKMKCSKNVPCRGITLQNIDLKMQGGKGATESTCRNAKWKKSGTVHPQPCTAMN >Dexi9A01G0029790.1:cds pep primary_assembly:Fonio_CM05836:9A:34701835:34702602:-1 gene:Dexi9A01G0029790 transcript:Dexi9A01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLLPSHSRLLTALLHTPGPIPNPQPAAASTPLAPFLRSFASATRRAGPSRPRAVDIGARARQLQNRRLWSYGLAFACAAGFVVAVLATFQDQLVFYVTPTDALAKFAADPSKSRVRLGGLVLEGSVAHPSPSSPEIEFVVTDLITDVLVRYDGALPDLFREGHSVVVEGFLKPFTDDLRRDDGRKVADKARECACFLRGTEVLAKHDEKYMPKEVAEALERNKKQLEADAEAAAAQATTVAAVAEGAKASS >Dexi7A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:7A:24007710:24010601:-1 gene:Dexi7A01G0014030 transcript:Dexi7A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGKPYYTRSGAAGLSAPDAGGVDPDYKCFLDCVRLDGNSYALYIPSKDGVSPPEVIRYEEPFHDCNVGAPVAGSHAAGWRGAPPPLDEDSYGVAVPPRAGVKRKAPPHGNPRSEARRGAVPVEEEDPPAAQVPEPAWHDSHPDIDEDYGFFLRNVRVENDGKVVLKMGNSTVPIGHEPSVDNRGAEEEDDAEEEDEDESIPASGQSGENGVGTEKNVGADKEAGLYSELQVVHEIDLEVKEEKVSEEEEGDDEDTEEEEVDEDDVEWMSEEEMDSEVGPGCDLQIVNVMEFETKEEVEEERETPLSVPVKGMTESQPLNREASSSKGHPTIPLNDSELQGVVWPPHITERPDSDFKGKLMKILIKPFEQEEYNRYVAVATKRSPVVKERRTRHNVVYYPWKHEMGKSYFDSYPGLFV >Dexi3A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:3A:1476351:1476965:-1 gene:Dexi3A01G0002220 transcript:Dexi3A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITYPKVVEEDPIDYEMICPGQSFTNEQVEEVMQKWRDRRSRLMAEFAELYNNILSQGDGIVSYPPKPLKLDQPLDFAGRSK >Dexi2B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:2B:28271849:28273178:-1 gene:Dexi2B01G0017930 transcript:Dexi2B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGETMADAGRDLVLGLGMGAVPRRDEEAERRDLEFGAGRCGRSSPEPAAVRLTLLPGLMPSLGVPWPPSSEPTRHLEARGFDVNRAPSMSVAGAAGAEDDEEQDDAGAGAAAVSSSPNNSAGSFPNDFSAQGRAGGPGGGGGGGSRASDEDDGGSARKKLRLSKEQSAFLEESFKEHATLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPTGPAAAAPAQATSSPSTAGGGIAEASPEQRPSSFAALFSSPLNRPLAAQPQPPASS >DexiUA01G0002230.1:cds pep primary_assembly:Fonio_CM05836:UA:5043719:5045803:-1 gene:DexiUA01G0002230 transcript:DexiUA01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHAAGGSQAPPTATAGGGETQRTQYPYVTGTSVIALKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGASGEYSDFQEILRYLDELTLSDYMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHVATGFGNHLAIPILRAEWREDMSFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYSLKTYWGFSHFENPAQGAVGSW >DexiUA01G0022950.1:cds pep primary_assembly:Fonio_CM05836:UA:46771767:46772510:-1 gene:DexiUA01G0022950 transcript:DexiUA01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDLVLTGPYRMLEAYGAFGLKVFTHDDEGTSTDEDGSRTGTISDGWGVSEPDEVEEFTQTIYGGLGRKLEVTYVVIPDGVETNVEVRLNLVDLGMGSRSVYGCVKASAIDYGSKSVHLFSRERGRSLSLPCGSACILPLEPCVIALEEDNHFKLHIEVDLSVITGCDSQEEDKNFKFCLDCSRRISSEERLEPPCRIRSQKREFNGDQVEVNVIWRLERC >Dexi1A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:1A:28188693:28189537:-1 gene:Dexi1A01G0021420 transcript:Dexi1A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSWSWSSSLSCTSSFGSLDDDAVCVLKPNGVGAAAAEGGSIKFLCSYGGRILPRHTDGALRYVGGDNRVLSVDRPLRFHELQRKLRDMCGWEEVSLRCQLPTEDLDALVSVTSDDDLANLLEEYDAAIISKEGPLLQPLKIRAFLFPIRAPSSLQPCRSSPSTPASSVSRPSTSNAHFRRQNTFPLAAAAARLPSPTMCAPRWWAGSRPAGGHQPRRYDGRDSHGEAWPVRYLVHNGSHWQ >DexiUA01G0024980.1:cds pep primary_assembly:Fonio_CM05836:UA:52345642:52346794:1 gene:DexiUA01G0024980 transcript:DexiUA01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding EADWFYTPVYTTCDLTQQGFPLPFRAPRIMRSAIKYIATTWPYWNRTEGANHFFLTPHDFGACFHYQEERAMERGILPLLRRSTLVQTFGQRNHVCLQDGSITIPPYANPHKMQAHLINPGTPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPSTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEQISVFVAERDVPRLDSILTSIPLEDILRKQRLLAGESVKQALLFHQPARPGDAFDQVLNGLARKLPHGKDVFLEPGEKVLDWNAGLESDLKPW >Dexi9A01G0041350.1:cds pep primary_assembly:Fonio_CM05836:9A:44996306:44998043:1 gene:Dexi9A01G0041350 transcript:Dexi9A01G0041350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAAFLSFLSSSPTHHTASSSFVSLRATPVLPVSLRAAATGGPRLSSPLRGRRIGAVVAQLPTTHPEVASGDKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGIFCSTYEFP >Dexi5A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:5A:23009407:23011111:-1 gene:Dexi5A01G0019340 transcript:Dexi5A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASPRRNDEAMRDDNVAPPEAPSFVLDREPQETESVAAGDDMMSRAIKRKAVEEADSGDSSNTLVRDLNLRVKTLEAEIERIKEKHRLLQSTERARSQRRDPCAAAGDAGRHISSLGDDLLLEIFLRLPSLTTLVRATCTCPAWRRAVASSPDFRRRFRALHPPLLLGFFFIACESSPTRDVPDFSAFVPARTRDRDQAAAIRGGDFFFISLLVTRHTKGIFNIVSVDTATVPTSGLLLWEDEESMSFRVVLTVHEDKKVRLIVFTSDTGLWSVATSVDFPTRPDGVENHWLSESIKHANGILYWVSEDHRYMTSLNVATMEFSVTELPQCLMGRSFDIGHTKDGKTCIVYADKFSIGVCLMQTGVDRWVQDRVVPMDVELHRILPIQFDVNIVLDFWYV >Dexi9A01G0028510.1:cds pep primary_assembly:Fonio_CM05836:9A:33214777:33219649:-1 gene:Dexi9A01G0028510 transcript:Dexi9A01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESECGGSDGGYRDLGGVRVELDPVTARGGGGGGFAISFWLYLSSSARPSSVILHQVTVGDANKLPFLALGEGNKLLLFPLTSLHREAPAPATSSYPWTGTTNLSSTSECPLEKWFHIGCEASCRRNFILEVVLINAFGEPVKDKENKHTRKMVASKEAQNFMGTDSSTSTCDSFDSGSSWSGSDVDDVESFSDAVVFRYCLDSTYDRSKFLRGAAPTFSKDDLVKLADKVSLYSGCSHHRNQILMSKRLISEGAAAWSMISKNNERALWSSAIPVIIKKFMDIGRSVNRGLSEQDLEILRGIAGCGEDIGRDEFDRLWYWLYPVAASLSRDKIKKLWDCTSPRWIEGLITIQEADNALRNSRELLKEPGTFVLRFPTTRSWPHPDAGNLVVTYTGSDNSIHHRLLSLDSSAARAENLQDLLLQEPELSQLGRVDRLPTAILR >Dexi4A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:4A:23188525:23189691:-1 gene:Dexi4A01G0019410 transcript:Dexi4A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGAPHASSNTNDGTTSFLADKSAKIFLAGHNGMLGSAIHRRLTSLGFTNVVGRTRAELDLACEPSVRKFFDAERPRYVILAAGKVGGLHASSAAPVDFMTENLRITTNVLTAARLCGSVRKLLFLASSAVYPAYRLELGMDAIVAAPNNLYGPRDPFPSESSHVIPALIRRFHNAKVTGAGEVAVWGSGYQLREFTHADDAAEAVVLLMDRYSGDEHVNVGSGREVTVRELAEMVREVVGYEGRIVWDTNRPDGVMRRLVDSSKMRAMGWEPKVELRDGLVKLYRGYLRGRVTNFKA >DexiUA01G0014810.1:cds pep primary_assembly:Fonio_CM05836:UA:30994250:31000083:-1 gene:DexiUA01G0014810 transcript:DexiUA01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYSIEWEPTSPEDREESHEPVDPLRIRAPCALPRSPVRHSRHRFSPPPSPTYSSPYHCPIARASRCRPLPRHAPLSRHRPAGPYLTMSTRHHHPSTPAVDKSDPSTGYHPSKPLHLSNTTLPFSFPSGSLPGARVIHPHHQATPPSLREAIRKCPLRNLPCRFTKIHNPNLIEGFEVDKQGSIQPSPPSMSFPFFPPIGPGVQWTRRDAPFTTNLATAFVPLDDPRAVISVTLEAPQPSSRGAFTLELRSQARGGHSPSSGVSVTLEAPQPSSRGAFTLEWRFRHPRGSASKLEGGIHPRARKARACDLSSKRMVEAPSPSSKVVAALAAGKRLPPDMAGCPRSRCTPLASADSALAVASPPRTAGFCLRLLRPRVLLFPRPVSSRLAHARLPPAARLRARVDAAHGANTAATSRCRRETSPSCPGLHLVIPEHPSTPLSLLLKAPNSSPSLPRRNRSPEFTELPPPPAHVHSPLHSSSGRTEGTFSFTVPRWCSKTCSPTFCDLDAAAATAVADRDSGHPRPRDLAQTNHGEPLSISPHFPGPVSPPFGRRNHAGELEDTVVSSTSFQGAERKMQGLLVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi1B01G0021140.1:cds pep primary_assembly:Fonio_CM05836:1B:27069990:27072030:1 gene:Dexi1B01G0021140 transcript:Dexi1B01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMEGSLGKSNAVNQELVALERELSTHRRTGSIDSFGLYLYGLVLRDKGCEDLARTILVESVNSYPWNWSAWSELQSLCTSSDVLNNLNLKNHWMKDFFLASTYLELKMHEEALKRYERLMGIFRSSGYIQAQIATVQYSMRDLDEAEMIFEELLRTDPFRVDSMDIYSNLLYAKESLTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPKDYRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANSNDTEGIALHQLAKLHDVLGQSEEAAYYYKKDLERMEAEERQGQNFVEALLFLAKHYKNIGNFEEAGHYCTRLLDYTGPEKETAKNMLQGIKSLAMRLHTAGDDDLVRMMLASTCWSLATRRTRRLHGAKMSVIGMIQIPNANISIFAFWFL >Dexi5B01G0037780.1:cds pep primary_assembly:Fonio_CM05836:5B:37159356:37162940:1 gene:Dexi5B01G0037780 transcript:Dexi5B01G0037780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAPAPPNPNPVPDDPPPPPPQQEEQQEEEEQGEASEPEASPPAPPATSIEPTFSGSEESESDDSSSISSVAPSAPAPTAERPPPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLVAEGDGGGGGGIGVVEMLFRCNILALVGGGDNPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSDQP >Dexi2A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:2A:1122492:1124016:-1 gene:Dexi2A01G0001640 transcript:Dexi2A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAAASPALGASLPSSSSTAVLQVLMLIVMLVYLVIKTLKTRRKSTCNNTTPPLPPGPTPWPVVGNIPEMLLSDKPAFRWIHHVMKEMGIDITCVKLGGVHVIPITCPKIAPEATWTPSCPPLGDHSRKMRRVLASEVVCPSRHTWLHDKRSGEADNLTRYVYNLTAGAGEETGGVVNIRHVARHYCGNVVRRLVFNIRYFGEPQPDGGHGLPEVQHVDAVFACMGLLYSFHVSDYLPWLLGLDLDGHEKMVKEANETVSRLHDTYVDERWRQWKSREKSELEDLLDVLIRLKDVEDRPVLSIEEVKARVQDITLAAMDNPSSAVEWALAEMVNSLEMMKKAVEEIDNVVGWARLVQESDIPRLNYLKACIREAFRLHPVAPFNVPHVALEDATVAVYHIPKGSHVILSRIGLGRNLGVWDDPLRFNPDRHLPTVDPKMEVTLTENDLRFISFSTGRRGCIAASLGTAMCIMLFARLLTWIL >Dexi9B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:9B:5808051:5808335:-1 gene:Dexi9B01G0009430 transcript:Dexi9B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRVAARFHSHCPQTARMYYKPPQTQAATSSSSSSAAADDDAKAKSFGLHAAAPALRPFAATLDLGHHQPHDFDTARVVVYEVI >Dexi7B01G0021070.1:cds pep primary_assembly:Fonio_CM05836:7B:26233493:26235459:-1 gene:Dexi7B01G0021070 transcript:Dexi7B01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVDIMPNLQSPKWRPISIFVRREYEVVRCSGQLSSQFEAFVYQNQKPTLNPWHHLLLPPPPFVCEPILDTVRHTWSRLGEECALPFHGKVEYVPELKLWFGISSKHCTLAAADLSAMASSQMTPLVVSAGMEFDPPEEWQRRGSRARAGDGVAGGDNGLHGGRVEATHGQMAQWAVAAWRAATAATCLAAVWRRGDRARQGAATAAGLTGEQRSSSHEMADGAIVGGDDLAGEAQPDSHGERRRGRDWRKFGAGMRQRQRQRRVRQRRGLKLNAATGAERDGCVRRRLFLRKQSAAHKHRGIRDSEAHTTAPPMPTRPHP >Dexi1A01G0022850.1:cds pep primary_assembly:Fonio_CM05836:1A:29448837:29452428:-1 gene:Dexi1A01G0022850 transcript:Dexi1A01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHANTDSDVTSLAASSPPRSPRGRPAYYVLSPAASHPDVHLGASGRGAAAAEKMSLAGSTPAESPLHYHFRHHHHSSGAGGGVHHSRESSTGRLLFSDNLRSGGDVAAVVSAPWRRLAHSSGAGSDDDEEGSSLHGGGLMPPQWRCYVFGVLAFFVVFAFFMLVLWGASRSYKPHVVVKMKEFYQPRKSGRVVTVSVVGKQVPLYGAGVSLHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLVKSKFYRRVRCGLDIREAHLGKPLRGVAADCQYHDGPR >Dexi9B01G0037210.1:cds pep primary_assembly:Fonio_CM05836:9B:38708415:38714377:1 gene:Dexi9B01G0037210 transcript:Dexi9B01G0037210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHTVGNEYALGGRELYRTANQHDSEAVLDGVAMAGLVGVLRQLGDLAEFASQVFHGLYDEVMSTSARGQGLMLRVQQLEAELPLLEKQSCQRDYLYVASNRDDTPRFIMASIKQCRGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSTVLQEGIQNERRPLKAMEIRPNLQNGDIFQPPNAADIHSKVEVDLSGEARGEVLTNRRRLKHRQVNVSVFQSFRPHMQDLYGNASSEEKPPTLDQSEVCISMIDPLDSNTEERDIMVDTSINMDKGKVTVHKHRSVSEEAPSRCSDVRSAGSSKGYNSEVDIYVDALTTMDSEAETDSEHRDRGHHTFARMYSDNTCSDAQNDTASRSSGFETKDLSVVASAKRDMSNQHEEGDIVSTPHIKPVGEHERTSSLEELFEQEKPASWDHARSSSLEELLTEDFHASESGIREQATEETGCNGSATNAASNGTQDINKKSKEAKENSSIATISFKKIASKRSKYVGGMELIASKVGILPRKLSKKHDPFSDSLRSMAKQLLELKYDGTQDSDLYEFEANGEGCNVKYLEMYDPPVEIMEHAVHRIPSDQPHDDVGSGKCQQEELNHESEHDVPPTDSPHDSVPDDRNVFQDSNIVYLTGTITSPSSKEEVGCASTTPDEHSSTGVLNHILEHAQEKFEEHSDREVSEDTDTEITSENASDTGEDLKEVSIYRELMNAEDIEESNKSDAYALDDETVEDMEEQAVSDVTTSSPDSSKQSDDPCLITPFIPTNEDDSVTCKANDSYTPEVEHMTLSETVTDTELSKLVTESVIESEDAMPNDKQYYLHPEPTFGQGAVLSSSEIVVQSSQGPLCSSSMVSVTPTVNSEEKHELHPVVHQEQPNSCNNSTEDFGSAPAPDFRDVSPPVISSFDWMLNGAMQQSLNVLPPQPSYGNAQENGSSEDVPPLPPLPPMQWRTNKLQMGSSPISAKIGRPPRPKPPVKHQDSEGTSSLDKRNENAEIIQEDSLHIGSSLQNEMVQAAVADHETNQFLNRDSQENHCEGGDNECDVEVSNPLSSSEAECVAEVASVKSENLHTSQLPELIVIPEEAWSEFGNINFIPEQDGKHQLSNEVHDCSGLYTAGLSAQKTKEKHEIFSDYKDKEFSAAGSNKVADSEENKSNGPPKQDNILNPDLLAQKKDDEHGDYDGKATEFSSVVEDKLKKSPTHPVPKPPRYPLLPVTSHDRSMLRKAPTLVQPSSKLSDEKNTILEEIKNKSFNLKPVLAKRPSVMGGPRTNLQVVAIIERAHAIRQVVADDDDEDSWSE >Dexi6A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:6A:24202194:24204153:1 gene:Dexi6A01G0016330 transcript:Dexi6A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLAPTGPAGHGTNGSGGLTLDPVAQRALSRGASMLSTPMSPPPKFGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGRPDARIADYFDVISGTSTGGLVTAMLTAPNKEGRPLFAAKDINDFYHKHCPNIFPARSGGPLDLLRSVLLGPKYDGKYLHSVVRDLLGDTKVSQVLQNIVIPTFDIKLLQPTIFSRYEARDDVSKDALLSDVCISTSAAPTYLPGHQFETNDKDGKPRAFNLIDGGVAANNPALLAMTDVSKQILLGNQDFFPIKPADYARFMVLSLGTGSAKVEEKFDAVQCSNWGILGWLYKKGATPIIDSFSQASSDLVDIHASVLFQALHCEKSYLRIQDDELKGDTSSVDVSTEENLNRLVDVGKALLKKPACKVNIETGKNEPDGTRGTNEKELIHFAKMLVDERRARLKKKGNNIL >Dexi9A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:9A:15046853:15057851:-1 gene:Dexi9A01G0020090 transcript:Dexi9A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMSEDDADPPPPPPPVQLPPPRRPHKQLQPRGYQLDVFAAALRGNTIAVLDTGSGKTMVAVMLAREHARRARAGEAPHRIVVFLAPTVHLVHQQFEVIREYSDLDAVECHGASGVTDWSACQWKEAIGTKEEFYDVSEWKPAVFGMTASPVATKGAYTIDDCEAQIVQLEHILDAKVYIVEDRNELESFSPSATIVNKYYDPYLFDLDDLESKLQTLFEEFDGLLVSLQESSPDKFEDTDSILEMSRKSLSRYHGKILYGLNTLGPIVTLEVLKIYNESINAVDDSEGCLFSRASLNLLVSYFKETFRLIEDILPQGYEELMKSESGSAELAKRGYISSKVDTLINVFKSFGYKYVCFLHSSSEEVLCLIFVERIMTAKAVERFMRGIVNFSRFSISYLTGGSTSKDALSPAVQRFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRSNSSYVLMIERGNLVQQEHIFRIIRTEYHVKNFALHKPPNTPSHGLPLQEKYTYHVDSTGATITADCCVNLIYKYCEKLPKDRYYIPKPSFGMGVEDGSYQCTLTLPPSAAFRSIVGPPSRTCNLAKQLVSLEACKKLHQLGELNDHLVPLTEEPANIDTMVTDGKCLSGPGTTKRKELHGTINVHGLSGDWINESETVTLNTYRFDFVCDQEGEIYAGFVLLMESVLDDDVAHSKIDLFLIPNKMVYTTGYVRDSAFDPRRWVAPGHISLRPFPCTCGVETAFVPFSGRYIRDDPSFVVGKPCDRGHRWMCSKTVSDCVEALVGAYYVGGGIAAALWVMRWFGIDIICDIKQVQKVKSIASHRCYLSKLKDIEELEAKLQYNFSVKSLLLEAITHPSLQELRVDYCYQVLGDIMESITGAIFIDVNFNVDLVWKIVEPLLSPMITPDKLALPPYRELLELCSHLGCFMNTKCTSIGEELIIEMTVQLRDELLIAQGHDRNRKSAKAKAASRILVDLKKRGLSLKQCLSKTKQLDTVSSVPQSQLTSLESRHDYPDVNDSLSLEGLSSVRETVLRTQIPCPFICSQLYFLSKWIKVDLGLHFLGYARFCSGQRRNLNLWNRGLGMTPIIVDGVTTTNFNSFVSTITLHIPDVTVITLEGEQRTDKRSAQDSASLILLEKLQKLKVCICKMQQSGMDH >Dexi4B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:4B:582640:585135:-1 gene:Dexi4B01G0000900 transcript:Dexi4B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGTLLFIFDPPRRRAAVGVRAVLEEQQQWGNGGDDAAEDLGEALARTRQLVECAMFAAVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTVVATVLLLFTLSGPVKASTYLLMHGVVGLIMGTVWRLETNWIVSIILCSIVRALGACGYVLVSSFLIRENILALITVNIHASLTYILGAAGVNIIPSMDAIYVLFGTLLLLNCAFFVFLLHVLYTVFLTKIGIKPLLRPPRWLERAM >Dexi2B01G0017920.1:cds pep primary_assembly:Fonio_CM05836:2B:28259703:28263044:1 gene:Dexi2B01G0017920 transcript:Dexi2B01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAPPTNYPKTFSSIILRRRRPDARAARRHDANAINNNFASQRLPRPITSPPSLHYNHSLLKLAPPEPGRDRVVARSSAVVTPPPLLLPEMPNRTIRRLDVASPVPADIDIANSVEPLPIVDIAYELGLGPEHYDLYGSYKAKVLLSVLDDLKEQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLMAAAIDTRIFHENSQSDKALFNRLCPANKEGKRRFADVMLRRLTKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLVPQCAIIVATIRALKMHGGGPEVVAGKPLDHAYVSENVALVEAGCVNLAKHISNTRSYGVNVVVAINKFATDTEAEMNAVRNASMAAGAYDAVVCTHHAHGGKGAVELGLAVQRACESQTEPLKFLYPLESSIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTTTGKVMGLS >Dexi8A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:8A:25403585:25407694:-1 gene:Dexi8A01G0014840 transcript:Dexi8A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGWLQSSAAAEFQVQALVDMKMDLNDNNDVLSDWKDNQMSPCYWDHVYCEDNKVITISLSSSGLTGVLSPSIAKLTTLQQLILEDNSITGGIPQEFGQDDHRLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLSGPDSIKIAVKRLLNVESRDGELAFLTEVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVTLLEIVTGERAIGFYPEAGEIMLIDRVKLLMERGQLQDIVDCNLGDLYNFEELEKITQIALLCTQMEPDHRPAMSEVVQMLEGELVPAERWEEWQLAELSRRQQYEMRKQRQPFSFSEESLNIQEAIELSTGR >Dexi3A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:3A:12869696:12872987:-1 gene:Dexi3A01G0016970 transcript:Dexi3A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRAPLLLPRYTLRDGDVRETKCGGGGGVGWWRDLARREAGKVGCVALPMAAVSVSQYAVQVASNMMVGHLPGVLPLSASAVATSLATVSGFSLLVRYSNSCKETRSPPTIEAFKGVGVFLRLALPSALMLCFEWWSFEILILLSGILPNPELQTSVLSICLTTITLMYTIPYGLGAAASSTRVANELGGGNPEGARSAVRVVMCIAVMEAAIVTIILLASQHILGYAYSSDKEVVAYVNAMVPFVCVSVAADSLQGVLSGIARGSGWQHLGAYVNLGSFYLVGIPTALLLGFVLKMEGKGLWMGISCGSIVQFLLLAVITFFSNWQKMSDKARERVFSDDPSDKEHLESDGSDLPPST >Dexi1A01G0025700.1:cds pep primary_assembly:Fonio_CM05836:1A:31858387:31862003:-1 gene:Dexi1A01G0025700 transcript:Dexi1A01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGLLCSAAEGNVDGIEISISNDERRDRADVEISEDEPRHTKMRSLRRKALHASTRLTHSLKKRGKRKVDGRGPRISIEDVRDADEEQAVSSFRELLFVRGLLPVRHDDYHMMLRFLKARKFDPEKAAQMWADMLQWRKEFGTDTIFEVLGTKYQSRLLEAIDASLILLNKPFCYPILTLDVISCFFQLPERISDTSNAESGSDFDDLGSPVAPEDVEYPSLAPVREEVRESGSATYSGSDGTSHMADKVVGSNQRYSTAGNEARQFNTEQRSLVNGALPVPGRHVPNGVGNADDGILKHLSRKVIAVFLKVLSLLRFFIRRRQHLENVHPQTATVPSNQADLQIIREDRVNPCLERLDRLESMFNQLSRKPPELPQDKDRAIQDSFDRIKSIEFDLEKTKKVNLCLMDLTILTVASGF >Dexi5A01G0038100.1:cds pep primary_assembly:Fonio_CM05836:5A:39194972:39195178:1 gene:Dexi5A01G0038100 transcript:Dexi5A01G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVDDKTACFAFVEMKQRGARTPAVVLGGFQMENNLLSFDLEKKQLGFAVLPWYATSFTKMG >Dexi7B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:7B:19190103:19196240:1 gene:Dexi7B01G0012400 transcript:Dexi7B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLSIESGSAIRVAVLPVGGPIPPPRLREYAALVARHARVDLASLRTYYSEHQKSPFMHQPWETGCLRLKFVLGGCVPSPWEDFQSSRKVLAVIGICHLPSSPDLDRVAADFIDAVRSYPSALANRCFAFCPTDAQMAGKKRDDIIMFPPSDQQSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTIKINHDSSKEPRASNIDSGKVHPLSIVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRSFYPLITPAGQSGLASSLSNSADKLPTGTRCADPCLPFIRCCGSNKFKSVNFPSISVVPPLPLLVANFVGGDGSILLYEGEIRDVLITLTNAGTIPVGEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSSADLDADGSKSPANSRRIAREGINPFLDIHYAGPAANAENGDVSLPPGRRLVVPLNICVVQGMRLVRARLLSMEIPARFTEAHLRPVSGKDDISTGNDREHTNINLLKIDPYKGSWGLRLLELELFNPTDVVFDVDVAVHLDDANVDQRVISDGNAADAACHKTRIDRDYSARVLIPLENFKLPVLDASFFVKESGGDEPLGSRAAAIAERNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFKLSKNGTVTNVDSGNPSSHSSDNNVGPSTSNVLKCEDPISAHEMTHMEVQIRNNTKEIIQMNLSISCKDVAGENCFDENSATVLWAGVLSDIHLEVPPLQEVVHPFSVYFLVPGDYSLQASSVIIDATDILRARAKAESPDEPILCRGSPFHIRVVGTA >Dexi6B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:6B:18495875:18497299:-1 gene:Dexi6B01G0011290 transcript:Dexi6B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALKSFWNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAAAKLLASGMPCFQ >Dexi9B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:9B:1561074:1562886:-1 gene:Dexi9B01G0002800 transcript:Dexi9B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQGDEASAAAAAGVGEEEVVQIGAQKHDPAWKHCLMVRSDGRVRIKCAYCGKHFLGGGIHRFKEHLARRPGNACCCPSVPRDVQDTMLRSLEAVAAKKMQRKLAHALPPGDMRRFAAADASPASAAAATESPIHMIPLNEVLDFNAVPLQEQRPQIQDTMRGSATNKKKRKLMGNNASTPPPLTPQYRQQPHAPPTPQTNPLHQVVMAVDAVTPSSGYIGHPAPAMDKEQVSMAVGRFLYDVGVPLDAVNSVYFQPMLEAIASAGGRPEALSYHDFRGDILKSTKRPAMGYVYAGLYRAKAAIKKELVRKNDYMAYWNIIDWRWDSQTPRPLHSAVGPSRGC >Dexi7A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:7A:16576643:16577308:-1 gene:Dexi7A01G0005340 transcript:Dexi7A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIDLGRHELEESKLQKCHQMSLTHFFGEGYAEFTALKHLRLEHCPRLEGIMPLSPALPSLETLDIIFCYNLKAIFYDSDYYPSRDYHYELPRLRRIHLQELPLLEDLHVDNAILTAPAWEELHVRGCWSLRHLPRLKQQPDKQAVKVSGERAWWTKLQWEDEDNDGRASSSHRGSYEPRLPPASASIRERVIIRTYLR >Dexi1A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:1A:776608:779870:-1 gene:Dexi1A01G0001240 transcript:Dexi1A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTMTEICDQFIEFFMNKKPETKDWRKVLVFREEWQRYQEHFYKRCQVRIDTETDSSLKQKLVVLARKVKKIDNEIEKHMKLFNELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGGLFTNCSKVKDIMYHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEREAKDADALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKQYIHPDEAESMDDEESDD >Dexi7A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:7A:18531272:18533115:-1 gene:Dexi7A01G0007170 transcript:Dexi7A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVSKLLMVHKASCLDAFNLTASYADHDSLRHIHAWMRHAIRGNPKVIEVRTMCFYGYDLLYQLPHLGSSNPTGRRLTSLKLTGVSLDNSFTELFHSAAWPHLEDVVLVQCQLAFPRIESERLKILGFQYCTTPAAEVFVIRAPRLASLSMSLHSNSCEKGVVLDVGSPLVRASVSLKRDEFSARNEAMLLGSLSNVTSLEIRDFQAMAILDTELFDKLPVFNNLRNLALNPFSVDDTISDEHNSFKALGRFLQKTPNMETLTLEKFWVAPTVELVEIPALQNLRTLILDTCDLDDNFGLLQYYLLNSPNLEKLIVQYCKVSDGSKEAESPKMVSLHYPKLKSELKFYGRNASIP >Dexi1B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:1B:1330892:1331746:1 gene:Dexi1B01G0001680 transcript:Dexi1B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWLQPEKYQLRSAFSNLTGLSVAGIFVGFGLLWIIALLKVASSLEILHIEVYDHICRDEMQRLETFGERTNGPWEVCESTSHFPLKELLIEGFNATEGHIAFIGTIMGRAPNLRSVILKEQYCKKCAAIRKFSKECKYPKNEDEQVAVVNNIRNRFSSHAEIIFSD >Dexi6A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:6A:27408560:27410065:1 gene:Dexi6A01G0019760 transcript:Dexi6A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSGNKMKMSPLLVLLIALLSAATTTPPSEALNVRGHLLKSKTFLSPAISLSPGSVSNKWYFDIPFPRGHLALKSFNGEVVDEHGVPVPLHETYLHHWVVEPYYAPKNDVAGHNRSKVIPARNSGVCTTTLGQYYGLGSETRHTATWVPDPYGIEIGDPEAPPEGYVERWLLNVHAIDTRGVADKLACTECRCDLYNVTVDEHGRDIQKDYTGGLRCCYDETQCKLKEGFVADGEKRKLFLRYTVMWLEWSDAVLPVKIYIFDVTDRALMEGKSEPACKVEYQVEECSSENRAKNDCVHVEATKQILPRGGDIVFGVAHQHSGGIGSSLHGEDGRLLCESMATYGEGKEAGDEEGYIVGMSTCYPKPGSVTVRDGEALTVVSNYSSERPHTGVMGLFYILVAEHKQQQQLLPVAAGKPGLCFSFPVPCELAKFHSESPGSS >DexiUA01G0001100.1:cds pep primary_assembly:Fonio_CM05836:UA:3480781:3482700:1 gene:DexiUA01G0001100 transcript:DexiUA01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLLLSKISPVLVGDPIKEERLYDAVDCLLSFMVLNPSETFLNIVLDHPSVECTSSALQTLVMFSDLHPGYRGEEIGKCIKGASLFIQNKQRKDGSWFGTWGVCFTYGTLFAIQGLVAAGRTYENSCSIRKACSFLLSKQLRTGGWGEIYPSSETEVINS >Dexi5A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:5A:9239596:9240193:-1 gene:Dexi5A01G0012290 transcript:Dexi5A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLTCEEPSGERTAMAPRVSGSTPIAHPLGAAASAPELSSAGSSERDASQGSRGSASARSFARSLALAPGLGVRVAAAGAGARRQRQRRGRKGGEKKDAAEAADQCGAERRRAAARSAFMGSWLADGAAVDAAPAAAAAAAIIRMAAAGWEGEGFACFAAGES >Dexi2B01G0032550.1:cds pep primary_assembly:Fonio_CM05836:2B:40450478:40450906:-1 gene:Dexi2B01G0032550 transcript:Dexi2B01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLLGLLAAAAAAAVLALASGAAAVAPAPAPTTADCSSAVVGLSGCLTYVMDGSAQDKPTKECCVGVKGALKSPATVACLCAALGGNYGVKVNFTRAAGLPEACGENPAALRKCNRGRE >Dexi9B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:9B:7679938:7680744:-1 gene:Dexi9B01G0011770 transcript:Dexi9B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHRDKRKQKKQARSTLNLPNISDGVVGYGLRVRERRHSTWLLCDSSDGEYLPMVPKTECQLCFKVFASCHALSMHMRVHARHEKKMVAKEALRESNEYCDHNVAVSAPVMLAYGVEEVNAARVLLKISGHSGMDSTTGHCNDDYEMDGNLAYSVQKNEMELDYSGQTGGADSMMESPSPDVKLKFSSLSQVLKATESHDCKLCGKVFTSSKGLASHKKFHKVDNRLPCFNLPGFIDRKYRSRGPKSAQTPWWTTRGFRTEGMLDVI >Dexi1B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:1B:25203195:25211480:-1 gene:Dexi1B01G0019070 transcript:Dexi1B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTSAAAATTTAAVTTDDPSPSPSESTSATFTVDRRGDSSASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPVSSSSSTTTTTSSKWDCFLSYRLSVVHPTDPAKSLGRDSWHRFSSKKRSHGWCDFAPSSSAAFLFQPNDALVIAADISVLSETASFADADGRFTWKVLNFSLFREMIRTQKIMSPAFFPAAASAGGSDCGLRISIYQSNVSGADHLSVCLESKEPVVQVASGSSASALPQSGAGSGVPDGDRGCWCLFRISVLNQRSGGSHVHKDSYGRFGADNASLGWGDYIKMDEFLAADSGYVVDGAVVFSASVHVIKESNSFTRSLPMVLGIGGSGGGRAGARKSDGHFGKFVWRIESFTKLKELLKKRKITGQSQPPCHLSVFLEVTDPRNTTSEWSCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETVTMQELSDADSEMCSSTSGLQIEALPKRPSFTWKVENFLSFKEIMETRKIFSKFFHAGGCELRIGVYESFDTICIYLESDQSSGYDPDKNFWVHYKMAIVNQKNSAKTVCKESSICTKTWNNSVLQFMKIPDMLDTDAGFLVRDTVIFTCEIIDCCPWFDFSDLEVWASDDDQDELSTDPDELVDSEDSEDMSGNEEDMFQNLLSRAGFSLTYGDNNTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLLTKVSTKSGGKKDASKCDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEESGSSVSTKASPDSNGASCPPELSGEGEQTECACSNVYVIGEPNSNDIRGSLAIRDADLATSEVTANNIEHSYFPPETSATDLQADEGPDQASRVFGALSELEFESDIWKQASVHALELLSDSNDEALVAAITYVLKVASHCQHLALAVRAVRWRLRDLGTEVPHCVLDLLSKIIQSQPDVAEAILKDIDSDCEPDNNCLSSTSSCSTCSTDGLSAEGMYSWQEQPVPGSNNLTDVFALIEMLSIPGLFVEVAQVFERALLRGAFGLQLVAMVLERRHSYRSSSKSRSVVNDSQSKQVLLDGQPESLSVQENDFTSALALGEVLSLSTETKVQDFVRMLYAIMFKIYCEDHYRYRILKGLVERATNTSENCRAVDIDMDVLVFLVKEEYGIARPVLNMLREVAEVAQADRSNLWHQICATEDENVRLREDMEMEQMNFSNKKIALNQRLTESEATIGHLRSELKAERDRFTREKKVLSDQMREFENQLEWVRSEKDEQIAKLSAEKRNLQVRLNDAESQLSMVKAQKREELKKVTKEKSTLAERLKNAEASRKRFEDELKRYSAETQTREEIRKSLENEQYIRTLETSLQEEMARHAPLYGVGVESLSLDELEALANIHEQSLRQIKAIQQRKGGSHLLGGPALSHIPGLFSSPPPPSPVGTPSSRIPTSPIAPNGAGIHRNGHVNGATGRWFSPS >Dexi5A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:5A:20422368:20424063:1 gene:Dexi5A01G0017210 transcript:Dexi5A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSKASTAMVQMSLQRIWMRVPQLQEVDLTVDLVINQGCSVPFLHVGDCVVKELKATDLKPVEYGGNVRIGVLATNDTLATECYIDKLESQGFEVLCPDKASMERTVIPSVDAFMKGDMEGLA >Dexi7A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:7A:19042911:19045678:1 gene:Dexi7A01G0007750 transcript:Dexi7A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAERSSTCHAKIPDFLVGPVGQPMPAVGLGTASHPFVEEEVRASVLTVLELGYRHIDTAALYASERVVGEAMSEAVCCGIVASREELFVTSKVWCTQCHPELVLPSLKKSLRQDTYLSICRNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLSGTWKAMEECHRLGLAKTIGVSNFTTKKLQELLAIANIPPAVNQISLRWIYEQGASMVVKSLNRERLKENIEIFDWELSDGDRLKIGRQIPQRKLITVQNLLCPEGISSVDISDVDVLEI >Dexi6A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:6A:8251626:8252380:-1 gene:Dexi6A01G0008130 transcript:Dexi6A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSAVSSLLGQLSGLLVDEAKLLGGVRRDVLFIKGEMESMQGFLLDADGSGPSNQVMAWRRQVREVAYDSQKCIDLYVQTVGASRPSAGLLGSVGRLPQLFRTMPARHRIAVEIKELKDRAREVGERRRRYGVKAPKGLIGGTAISAGPLLLPQQQQRQEAVEQARRRRAIAEATDWINTDAKHVMNWIAPGSGESLLQEERHTTFMEDGRCHILPEGPCHAVG >Dexi9A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:9A:15333802:15337529:1 gene:Dexi9A01G0020370 transcript:Dexi9A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVSLYLGCLGTVPEKLQALANECSHIKGLRKQLVFLKDELVAMRAFLLKVAAMEQEGPLDIQLKVWAQDVRETSYDVEDCVDDFTRSLHLAGEGKKQQGSNMITDFFRKCSQTLLTLRTWHEFADTFEELKARVVDAGDRWERYKLDGLGCCSSNLTMDPWLSALFTDENHLAGIERPSDELVRWLVGPEGGLATHTRVVSIVGFGGLGKTTLARQVYLKLALGNQISGDTEAWDEVKIIAEIRDYLADKRGMGYIVFEDGVLPKLEGLSIPLSVSMAKGYDFYVGIVHLRSLTHAKVILGKDGATPSEVKAAAESIEKEVSANPNNPKVSIEESQRPGDNSEE >Dexi4A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:4A:281547:286038:1 gene:Dexi4A01G0000410 transcript:Dexi4A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVGGAAGDEGATAAASDNAPLLMMAARCGDSNELKRLIVQPLEDQVAVVVDHDDGPPPAAALLIYATGGDSLLHVVAAASGGDGEGFVECARIICGVKGGRRLLLARNNNGDTPLHCAAAAGNAAMIDCLLDLAGDDHHSAALVRVQNQCGETALHHAVRRACIHRRRRRSTSGVDCIDKLMAVDPELACIPLQDDADASSPLYVAISLALCMILEWLNDDHRLLKRLTSQGDKKNGSTPLHLAASLGGFPSTLLIPDWSWMHSAGRRRVLISELLGANKSTAYQADEEGLYPIHVAAGAGNILAVEMLLEACKECASLRDANGRTFLHVAVDNGMLNVVEYVCITLDMPWMLNAQDDNGNTPLHRAVHAGNGAIFRRLFSNTHVRLDVANKEGMRPVDVAWTRMPLEAYYAWDPRMKIRINLINAAGDEGATAAASDSAPLLMMAARCGDSNELKRLIVQPLDDQVAVVVDHDDGPPPAAALLLYATGGDSLLHVVAAASGGDGEGFVECAKIICGVKGGRRLLLARNKNGDTPLHCAAAAGNAAMIDCLLDLAGDDHHRAALVRVQNQCGETALHHAVKRACIMKRCRSHRRRSTSGVDCIDKLMAVDPELACIPVQDDADASSPLYVAISLALCMILEWLKKGGADDLKRLTSQGDKKNGSTPLHLAASLGGFPSTLLIPCWSWMFPRQCRMVIQKLLGANKSTAYQADDDGLYPIHVAAGAGNILAVEMLLEACKECASLRDANGRTFLHVAVDKGELRVVEYVCRPIRSKMLSWMLNAQDDNGDTPLHRAVHAGNGAIFGRLFRKPQVRLDVANKEGMRPIDVAWSRMPLEVYYAWDPRIHIRSSLLKAAAPYGESTRGDLFKENHKHLFRRGDAASVKHDDANDDTQTQQQQQQQQHLFEGGEDAISATLTSAAQVMGILSVLVTTVAFASAFTLPGGYRADGDAAGTPVLAGTYAFEAFILADAMAFICSLVATSLLLYAGVPAFRLSSRFASVNQAYALMMHSARSLVAAFGLGLYVALHPVARTIAIVVTVLMVMLVRIFTKESEGIDYIVCRPVVARRRKPSAEWILRLTIYVLERSSSYILIFGIPAIHIFVIPAIRKWARARR >Dexi7B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:7B:16276309:16276637:-1 gene:Dexi7B01G0008470 transcript:Dexi7B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETSLEGTPTWVVAAVCSVIVLISLVFERALHHLGKALEHRKKETLYEALLKLKEGWHLGHRDLCSSAAHV >Dexi5B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:5B:20484711:20486664:-1 gene:Dexi5B01G0018350 transcript:Dexi5B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESTMNWNLLPARALRYVSDRLDDPQDFVSFRAVSPAWRQAVPEDSHLRFRPWIVETEPNDDSGSGNVLFYSPISGEYYVIHVAALEGMRTAGYGAGLLLGIDTEDDLSAVLVNPLTGVSTRPPRLPQIFHGTATYGFATDPHIITGENNNNEVVVVVYNRPAGGVPASVAIWRRRGGGGGWAVMPSKTFWMRMPQIRARLLTHGPQVVEAEEAAIAGMNGHAHQGHVEWLPGMHGAYVIEHEGHVRVLT >Dexi2B01G0032190.1:cds pep primary_assembly:Fonio_CM05836:2B:40142885:40144069:-1 gene:Dexi2B01G0032190 transcript:Dexi2B01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYLRAALSRIVRSQSRLPTSSHAHPLVSPHRILGSSAAAAAFCSRSFAVEDYLVSRCGLTKAQALKAAAKLPHLRFRAKPEAVLAYLEGTLGVPPAGIARAIVMDPTFLCCNVEKTLVPRVAELHEVGLSRDEIARLVPLAPKSLRSSFLRSNLEFWLGELGSFAKLLLVLRRCSSLLTADLDKIARPNVALLRECGMDISKVAATDRYSSMLFIINPRYLKDSVQRVEEMGIHRAAGVFRYALALFAFTDKEVIAMRIQLLHTLGFSKDDVLAIVRKQPAVLGLLEKKVRANLNFLMKDVGLEASYIVQRPVLLLYSVERRLLPRHCLLKVLKEKGLLKGELSYYFTASMAEKDFVKKFVLPFKNHVPGIIDDYASKCLKKATDGIGLPK >Dexi2A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:2A:9905603:9906720:-1 gene:Dexi2A01G0009400 transcript:Dexi2A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLCGSAGGPEEMMTRQGRVGEEGHSGAAGRDSSQYNLKSRKARELWPWMALLLWLLSCLLFSIWIFSSLSSQSVEMRRAALASICEERARILEDQVKVSMNHLQALGIVVSTFHHSRYPSAIDQMTFARYVERTAFDRPLTRGLAYAARVTHEERELFEQEQEWSIKMMRSSSSNIMNITKQSPAEEYAPVIFANDAFKHTISFDMLSGAADRENVLRARQSGKVVLTAPFKLLNYGGMAVILTYAVYKSELRPNATEQERIQSTAGYLGGIFDIETHVDELLQKLAGKQSVMVQAKATMAMDGNSIIVSNSCGFSTCWMHISY >Dexi4B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:4B:8788130:8789440:1 gene:Dexi4B01G0011380 transcript:Dexi4B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPVIPSLRGLLTGARRSRHAPPNINYVPALVGFLVLTAATFSVVFLGPFQWSPVSSSLFSGAGAGCDMTRGEWVRDPSARPYYTNATCAFIEGYQNCMKHGKPSLEFLQWRWRPDGGCGGELGHRFDAARFFRLVRGKSILFVGDSLASSHVRSLVCTLSQAAESPPERSPRSGEGFEHWRFPAHAFTVAFFWTPFQVTWRLTRGPPEAVGPDRQGEVFAGPTDIHLDEPDQRWVPAAMDHDYIVVSASHWFARPAVYYLGGRVAGCHGHHDGCGAMANATKLKPEHAQRAAFRTVLEKLAGMEGLKKTTVILRTVAPTHYENGGWFDGGECTATQPADPEDPVEMAEVEGEFYRAQVEEFAAAEGIARRKNGVRMRMMDVTKMMLRRPDGHPDRYGHGAGEHEGFEIDCLHWCLPGPIDVWNDKLLHIIAGR >Dexi6A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:6A:6158266:6158688:-1 gene:Dexi6A01G0006410 transcript:Dexi6A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSHSLALVGASLDAALVSASAAGAAASSSVVVAMAVVAAVTSMVVVASPSLVTASATTTLVVGKEGYRLHQAQASSLDLHDRQHRHQAAPPVPPPSALARRRHRTPTTLLSLDREPGHCLVFQCRLHQRQCLSAVL >Dexi3A01G0023940.1:cds pep primary_assembly:Fonio_CM05836:3A:19567590:19570543:-1 gene:Dexi3A01G0023940 transcript:Dexi3A01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAAAASSPPPPPATADDGARGAAEPVAVDERVASHVDPFLVEALDNPRHRLMVLRMELDIQKFMQNPQLQEFEFQNFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSRIVARKTAESRFPPIALSEVPSKQARNDHEAAEKLKFVIYQRPKAFQNGAADAGNKNGAPKTVEERIEEYNKARARIFNGSISADTDAASVLGTFSSGRDEPANVEPSADEVRVSTMNSRSRVAVFKDTQKDRSDPDYDRNYKRYVRSPVPDFNMNPGAFNFVVPQFMQYGVGYVQTPGMSANQPTVYFGQPDLSMGSSSGAVYPHWPTPAMMYLHCYDNTGPMISQVPLYQSFNHG >Dexi7B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:7B:19935321:19937627:1 gene:Dexi7B01G0013450 transcript:Dexi7B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAPRRPLALACFVFLCSSFWAANGYGRVGAAAAEGESRRMRLHTDGSRGDAHGWPGYLYTRAVGRCTPQFWSSGAEPWPNIVPQEAAVSKVFGSRSVEKYGPRLTLLEATMRTDDIGGSPFVKLVKQGSAALLNAYTRRGFPLDSWEVKALLLEALVSEEAAAAQAERFEQANESCV >Dexi5A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:5A:7051336:7052304:1 gene:Dexi5A01G0009360 transcript:Dexi5A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKDKSGGTPGASWTSPTKKAKPSTEQPTEHQEERIWMDPNALSVDCGICFMPFEAEVFMHAPTCCPIAGCAYIGELQHLHRHLLDGHADFVVPVAGLKATAKVTLRKADPFRVLVMAMAKGSTPSVFLLVNGGGVLAGRSLSLVCLGPCRPEGDEGETRYKMEVYGGEPGVIWMKGTAPCVRRLEGFEAKKFLFVPDADWGPSGSVSVSIRIG >Dexi4A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:4A:23292174:23294097:-1 gene:Dexi4A01G0019490 transcript:Dexi4A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVWDSNMHGTANDDGGGGEDNAKAILLDDCGDGPKDGSSSGSDHHDDHAMVWDNGYDEIESCARAVQLLAIRANFHVTAINAYNWNQCRSIYRELEGEDQEEGMDLVLTGPYRILEAYGSLGIKVFTPDCDGSSTDDEGYSDDEAYSTDDVRSLTDPLFEMWDVTEPELVEECTNTIFGSFGRMVEITYLVIPDAVETHVEVRLNLEDFGSRSRDVFGSVKASAVAYGSKSVHLFSCERRRSLSVPCGSTFILPLSPSMIALPCGRHFKLQIEVDLRVITTSENQEEDKNLKFCLDCSHRSRSEERLEFPLRIRSQKREFAGDQVEVNVTWRLER >Dexi3B01G0023080.1:cds pep primary_assembly:Fonio_CM05836:3B:17846961:17853949:1 gene:Dexi3B01G0023080 transcript:Dexi3B01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASEPDGTDVEKERWWQQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSRTAGTTLSSAKTSTELPERIQNFLNRLVRDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKNLENCIKESNKDLQSDDIAKALVAVSTEAASIPVPKLKNVHRLRTEHYVYELPDSHPLLQKLGLDQREPDDPTPYLLAIWTQGMHQNHVVIHKWKAAYAIVPCRTAMRGSFPLNGTYFQVNEPQPYTRRKAASMELAKAHGLLRDFSTYHIQRPNNRRNTTLLLERYEQ >Dexi5A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:5A:14753115:14753642:1 gene:Dexi5A01G0016240 transcript:Dexi5A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRAHNIILPASHRRPSSGNPGATRRSPAAPAAGRRHHGXXXXXXXXXXXPPSPRPLSPFPSDPHPAEVPMATEVLRAHNIILPASHRRPSSGNPGATRRSPAAPAAGRRHHGRKAARPVATEVYAGPAFSTSPEPSSLPLPQFPVRKTVDAAAVVDAAATRNLRRILRLE >Dexi7B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:7B:20221249:20221741:-1 gene:Dexi7B01G0013770 transcript:Dexi7B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADCLVLFSRPGSKHGWRGGPCRVHLEHQAYTTHRIFGWMMGHGTEASLCLLGRHDASDVIAHSRQRGHVKPCPIHVARPTKTPELLDPRFRLLIGSIGGTRSRRRH >Dexi8B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:8B:16010446:16011870:1 gene:Dexi8B01G0009210 transcript:Dexi8B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKLRSSSGLAKVTGVVLCLAGVLVLALYIGPGISPINHHRIFAAQVLTAPSRATWVKGTFLIVLANMSWSLWIVKQAAVLKEYPNKMLMMLSQCIFSTVQSFIVAVVAERDFSKWKLRLDISLLAIIYTVITIDLFGLLLSFHWVYCEWAVILSASMVCGDERPCLLELTVWTPLCLIFTILCSSILGETVHLGSILGGILLVGGLYCMLWGKSKETKTTAPCNKVNAVDIVIQDEQEHVKSKDDKKGTEEQKETTITIMVEQIKIVTL >Dexi5B01G0039720.1:cds pep primary_assembly:Fonio_CM05836:5B:38450452:38451435:1 gene:Dexi5B01G0039720 transcript:Dexi5B01G0039720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTRVLVVAALVAVCAAAASVAAQSSAPPPVPLPSNYHVISPGRFKRDQQLACNDDKTNKTACMAKCDRRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCILSDVNLHINAHFIGKRNAAMSRDFTWIQALGIRFADHRLYMGAKKTSKWSNDVDRLDLAFDGAPVDIPTEAGAVWESATVPGLTITRIAATNGIRVRLAGMLDIMANVVPISEEDSRIHNYGVTEDDSLAHFDLGFKFLDLTDDVHGVLGQTYRSDYVNQLDVTSKMPVMGGAPNYVSSDIFATDCAVARFRAAGISMVTARAY >Dexi6B01G0015880.2:cds pep primary_assembly:Fonio_CM05836:6B:23175257:23178729:-1 gene:Dexi6B01G0015880 transcript:Dexi6B01G0015880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAGITACLAPVGAPTTAARRLPGPPPPRGSVRIHGARKVERSTAAMAAATTGGEVKAIHSSESTKTLLKSEALYEYMLNLMVYPRENEHLRELRLITEKHSLGFMGSPPEEAQMLSLLLKVMGAKNTIEVGVFTGCSVLTTALAIPDDGKIVAIDVSREFFDLGLPVIQKAGVAHKVDFRQGPALPILSNLLAEEGNEGKFDFAFVDADKGNYGNYHEQLLRLVRVGGVLAYDNTLWGGSVAMPDDAPLTEHDREVRAFIREFNAKIAADARVEAVQLPLADGITLCRRVYCYEYFELGLPFFHKAGVAHKVAFRRGPALEQLDELLAADDERHVDAFDFAFVDADKTNYVRHHERLLRLVPVGGAIVYDNTLWDGTVALPPEDAPGLSEYDRMISAAIRDLNARLAADERVEVCQLTVGDGVTICRRVV >Dexi6B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:6B:23175257:23176897:-1 gene:Dexi6B01G0015880 transcript:Dexi6B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTGGEVKAIHSSESTKTLLKSEALYEYMLNLMVYPRENEHLRELRLITEKHSLGFMGSPPEEAQMLSLLLKVMGAKNTIEVGVFTGCSVLTTALAIPDDGKIVAIDVSREFFDLGLPVIQKAGVAHKVDFRQGPALPILSNLLAEEGNEGKFDFAFVDADKGNYGNYHEQLLRLVRVGGVLAYDNTLWGGSVAMPDDAPLTEHDREVRAFIREFNAKIAADARVEAVQLPLADGITLCRRVYCYEYFELGLPFFHKAGVAHKVAFRRGPALEQLDELLAADDERHVDAFDFAFVDADKTNYVRHHERLLRLVPVGGAIVYDNTLWDGTVALPPEDAPGLSEYDRMISAAIRDLNARLAADERVEVCQLTVGDGVTICRRVV >Dexi3B01G0037140.1:cds pep primary_assembly:Fonio_CM05836:3B:39952948:39953187:-1 gene:Dexi3B01G0037140 transcript:Dexi3B01G0037140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSRAPGAELEAAAVAVPADLQSEKRVRGRAVPLPMFLFRARGLPGGAAHHTTPRVDDSGTTACSVVVQIVYQLSGQR >DexiUA01G0019890.1:cds pep primary_assembly:Fonio_CM05836:UA:41307220:41307624:-1 gene:DexiUA01G0019890 transcript:DexiUA01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKLAVFLTVLSFVAFVVHSCEPNCPTPAPPVSPSPPAVPTPPSGSGPCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAALCLCTAIKANILGINVNADIDVSILLNHCGKTCPADFTCPSN >Dexi1B01G0030620.1:cds pep primary_assembly:Fonio_CM05836:1B:34531534:34534401:-1 gene:Dexi1B01G0030620 transcript:Dexi1B01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGEDKEEDPVTISASVRSISTTSTERDVRSGSGFTSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFPELKSATRNFSRSLMGQKEWLTEMNVLGIVDHPNLVKLVGYCADDDERGVQRLLVYEYMPNGSVDDHLSNRSTSTLSWPMRLKVALDSARGLKYLHEEMEFQVVGTLGYAAPEYMQTGRLTAKSDIWSYGVLLYELITGRRPIDRNRPKSEQKLLDWVKPYIGDKKRFPIIIDPRLEGHYNPKSITKLASVANRCLVRMPKSRPKMSEVYEMVQKIVDSVETGPPQPPLHYHGSVSAPGAKRTKKGSLKRRLQEFKFGCRNIVWRGRKPEVVKTF >Dexi9A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:9A:15070916:15076120:-1 gene:Dexi9A01G0020120 transcript:Dexi9A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTAPRAPLTAASPSARQLDPNPSGGRFLSAGARRLRAVRRLAGPPPSRRAPAVRCSARSPDADAGGRRGWDAMLRDAFQGAVRRWSEYVSNYWPPPPAGKEAGTGKRVGSYHEEKAMSGDEEDEEEGKWSWETWQRHFALIEESERLVDELQLQLRAAVYREDYRSAHKLKLAIAATAKNDTVGRVVSDLNRAIEEERYNDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYDTRQLASDGPGFPIFEIYYAEANGEYKLQAVHLKPDDSDSDQLPNMLREKLGIDSINISGSSVGSKQEEFDGSINVDDQDSDDSNITAGGPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDPDDPDVENESSHDLGDGDNNEGAETVSAEENGDESGDENDIEALISIDFVSESNSNYASHSSAEAFERMPARLERTDRFSFSFYTERYNKKLDVGKAQQTSKETVGLHTSQQDEDGFVQLDRVKLSGSNKKLSVKYFIDIILQLGIKQHNNNVPQKLHGVTHFNRIQMPISSDPLTGIF >Dexi2B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:2B:13056984:13057431:1 gene:Dexi2B01G0011430 transcript:Dexi2B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSCLVSPGRLTPAVRSGRRCWHRRRVAALKCAVAADRRKTTVESKAGDALEVCRVVNGMWQVSGTSWGRAEPSAAVDAMLRYADGGLATFDMADICH >Dexi2B01G0033590.1:cds pep primary_assembly:Fonio_CM05836:2B:41116596:41120812:-1 gene:Dexi2B01G0033590 transcript:Dexi2B01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQENQGSKFSDGMDDDGSVMNNIGRDSGQRYPMSQEPVRRPAPMGQRYDPDPYWRQEQSVTRQSRPQELSNGQTKEQFVAEMLARPSNAESGPGRPQARPRQHQDASPAQGRPQSASFEKPAAPHDANSVRAKLELAKNAKLEATKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQGNNRNFQPSGKPRNNNINGNRNWSR >Dexi1A01G0024800.1:cds pep primary_assembly:Fonio_CM05836:1A:31243785:31247917:1 gene:Dexi1A01G0024800 transcript:Dexi1A01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSTASAPSRCLSGAKPRCAAHRGHSSFAFAVPPGGLRPSGTRALSARAKVASGVQGASVVDGGVERPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGINPDDEESSQCFLGGLIIRNLSICTSNWRCKETLEEYLIKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLEMAQKWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKDQKSGTFRVVAYDFGIKHNILRRLTSYGCKITVVPANWPASEVLKLKPDGVLFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPETLPEGVKVTHINLNDNSCAGLQYPKMKLMSLQYHPESSPGPHDSDTAFGEFIELMKSNRS >Dexi6A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:6A:1391917:1393511:1 gene:Dexi6A01G0001560 transcript:Dexi6A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTGVLAAAALLHLILLAPRLAAAFNYADALSKSILFFEGQRSGKLPPNNRMPWRSDSGLTDGSQHNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVADLGKFMGSELPHALAAVRWGADYLLKASTSAPNTLYVQVGDPNQDHHCWERPEDMDTPRTVYAVTATRPGSDVAGETAAALAASSVAFRRADPAYSSRLLAAARRVFELADNHRGAYGGACPFYCSYSGYDDELLWAAAWLHRATKNDTFFMSYVRVNGLQLGAGDDDFSFSWDDKRAGTKVLLAKGFLRRKLQGLELYKSHSDRYICSLIPGTASFQSSQFTPGGLIYKGEGGSNMQYVTTATFLLVVYARYLRSAGGAAVACGGKEVSPEEMVATARRQVDYILGKNPAGVSYMVGFGERFPRRLHHRGASMPSVRTHPGRIGCDEGFRYLHGGAPDANVLAGAVVGGPDERDGFVDDRDGYGQTEPATYINAPLVGALAYFAGTARF >Dexi5A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:5A:22339484:22339983:1 gene:Dexi5A01G0018830 transcript:Dexi5A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKNQSGVVAQISHLASSPRRAEGERSSMAASQIHGARGRCQGPVRSDLLLAVAELEVEASGRISSSPSSSSKQAARERRQAELLLASSEAVAGWEDLSPEQGELVRGSKEQGNQREGAARGWAGGDRAARGCSLPEIERRRDRETE >Dexi9A01G0040000.1:cds pep primary_assembly:Fonio_CM05836:9A:43875074:43877924:1 gene:Dexi9A01G0040000 transcript:Dexi9A01G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLSWPGLLKWSLSYVDGAGPSRAISEEERRWLAEAVEHHMMVDVVSRMREIALLMSTPPAVLEAQGITHDDIEGLLSELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFRSDPDLTARIKALGALSSLIRNNKPGVAAFRLANGYTGLRDALNSENARFQRKALSLIHYLLSESHSDCSVFAQLGFPHLMMRLASSDDSGVREAALGGLLELARDTTMGNRSLLAEHDRLRRLLWGRMESIRMMTPEDLDAAREERQLVDSLWITFYHEPSMLRSEGLLVLPGEESFEQPPDVAGRSFEPMRQASARRAPPVGRSDPGDETGGGMILLLGPAPDRSNSQGH >Dexi2B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:2B:26238135:26244552:-1 gene:Dexi2B01G0016100 transcript:Dexi2B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEFAVEKARSLAAAADHDRCHVQKGELARIETRRRQEADHGVSTPRAQGAASPLGPPTPPRRPPEARSGGEGDAGPRCHPPCCGIRRVEEEEAADAPGAQFLAPGTDFLHDFSDTDSSVSVRNSMYRSMTPSPAESPTCMVRLDDTSDHDVTTTSESDDAREQVTAGISDEGEDVNTLSRIVDFGDDIWCPPSPEDERDDVESRIFGIDDEDDDISSEPSCLSANKIAGVSGVFGGSHKDGVQNDLLKHFKALVAQLLTAEGICLASDDDSNSWLEIVSSLAWQAASYVKPDTKKGGSMDPSDYVKIKCIASGNLTDSNFVRGIVCSKNVRHKRMVSEHQNARLLILGGALEYQKVSNKLASIGAILEQEKEYLRTIVGKIESRQPNVLLVEKSASSFAQELLAKDISLVLNVKRSLLDRISRCTGGQVASSIDNIVSARLGQCDLFKVEKVSESTLSEYTEKGGSTKTLMFFEGCLKRLGCTVLLRGSCREELKKIKRAMQLAVFAAYHLSLETSFLADEGATVPRIPSSVIDAPHRDYVSARPVDRSIPDNPRDAEEKYLHNATIGEIFENISASSTPLPFDGVRQETVPECRASEFPVDHINSQDLPNSRHPNASCIEHLVSPCSLSDGLETSWSMANCRSHPSIDNLLSGNTDEKDKLSAGYLSGSDCNQSILVSLSSTCIPKSLACERSHLLRIKFYGSFDKPLGRYLREDLFDQAYCCPSCREPSESHVRCYMHQHGSLTISVRRLLSKKLPGEHDGRIWMWHRCMRCKPKDGMPPATHRVIMSDAAWGLSFGKFLELSFSNHMTANRIANCGHSLQRDCLRFYGYGNMVAAFQYSPMVTISVNLPPPVLDFSFHATQEWVKREAVEVFGKMESLHTEVSDLLDNIEKNVITEDDSVKTSMQRQIMEMKDLLNMERNEYEVGIKSLKGGREVKMDLMVMENIFFQRTISKVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEALHTKPMFLGSKAKRKLERAVWNDTSFLASLDVMDYSLLVGIDDEKKELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNESPTVISPIQYKKRFRKAMSRYFLAVPDQWSS >Dexi6A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:6A:12138268:12144185:1 gene:Dexi6A01G0010010 transcript:Dexi6A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKAANSFKLAKNWSRAASVYIKIANCHLKGDSKHEAASAYVEASNCYKKFSPQEAAQALNQGVNLFLEIGRLNMAARYSKDIGEIYQQEQDLEKATDYLERAADLFDSEGQSSQANTIKQKVAEIAAQLEQYPKATEIFEGIARQSINNNLLKYGVRGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLADLAASMDEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >Dexi9B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:9B:3511292:3512260:1 gene:Dexi9B01G0005920 transcript:Dexi9B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSFYASTSLPVAKPQQSVPSSKPQPTAAASVATTLPTRTVMTTSMSTSTATAALSLHLPELPSQMKDKILSLELMGVDYGRALELNPSLRDASPESIHAVVSFLQSRGIQFKDLGRVLGMCPSVLTASVRGDLRPVFAFLSDDLGVPESAHRRVVIKCPRVLACSVRDQLRPALIYLRRLGFRDTRALALQDPILLVSSVERTLAPKLEFLASGLGMSRDDAIAMVRRCPALFTFSVERNYKPKFEYLVDAMGGGVEDVKAFPQYFAFSLEKRIAPRHRAAQDAGVALPLPDMLKATDEEFAEMIGKEKRMQGRAAATD >Dexi3A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:3A:961103:962882:1 gene:Dexi3A01G0001380 transcript:Dexi3A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAAATASSLVLFSTTPSRPLTSLKTPPSLLRRPCSSCSLSSSKQQHLVCLAVPRLHNQRRRSSLRCSSSLADGESTLGSSSSVRWVLDPAGDGDWRHIGYKIERPGAIEIVSDAMTVGRDADKADIVLPVVTGNQKLLVTDLGSTNGTYINERRLNPGFPIPVDPGALLIFGDIHLAMFRVRKMIVQVPSDTDDAAQQGANTEVVVSAAAEAEDTTTS >Dexi6B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:6B:1796762:1799079:-1 gene:Dexi6B01G0002060 transcript:Dexi6B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAKERKLSRLGSCKGGAGVGGSGNGGGSPAARGHRSPAAAGPQRRLFAALFVLLCAGVVVLGGVHVIGASFRPVLRTAWPSATLNAISSDAGTQQAGGGADTVLPSVQIRHAVALPDRVLLILKAGSSLPAPEKFECLYSPANSSELRHRALLAVSLPDGSSLVHCPAEPSGLDVSLSLSLSPPVVPLQWDRLVYTALIDGRDNSTVVFAKGMNLRPGRLGVASRYQCVFGRDLSKPKHVLTSPVISAAQEIFRCVTPVRIRRYMRMSIDDKGNEDSDEKPMLVSIRTKGQRDSTLPSIAEPEPLPRYNRHRRQKAHSMCVCTMLRNQARFLREWIIYHSHIGVERWFIYDNNSDDDIEQVLKTMDPSRYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLPDILRNYSNRPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGVRYVNIGQGVMLINHYKYQVWEVFKDKFAGRVATYVADWQDEEKVGSRDRAPGLGTKPVEPEDWPHRFCEVYDTGLKDFVHEVFTDPETGSLPW >Dexi9A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:9A:13955235:13958857:1 gene:Dexi9A01G0018940 transcript:Dexi9A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPRARYPPGYGSGGGGGRGGGGGNGGGGGNHNYYGRNPQPHHQQPYQHQQPQHQQQQQQHQQQNAHRNSSHQHQQWLRRDQAAASAAGSGDAGGRTASQLDAVDSSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEKPCVYRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKTIPPQIDLAVYCQ >Dexi2B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:2B:1381289:1381895:1 gene:Dexi2B01G0001780 transcript:Dexi2B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGQEPVMLHLLLPIQLVVALLCSLLPSSTATHTTAAHPPPVHCLPDQESVLLRFKRSFVPTDYSAVAFRSWRIGTDCCRWMGVSCGDDDGRVICLDLGDRSLYSGHIDASVFELSSLRYLNLGGNNFNLSAIPSVGFERLTKLTNLNLSSCNLGVGDSLLTSPPDAELITHLASVLITGLVVKMGGK >Dexi3A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:3A:2612578:2613891:-1 gene:Dexi3A01G0003930 transcript:Dexi3A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRYLRLAGSDLLVAARIVASDVGIKRFDEFASRPAATESLNMALKCAALAAGADDLLVDGWHEISTHADEVLRLLGLLRGTFRRSSSIGKLARLVLMLRRRPQAHADVDLWWAWQLAAGRSIRPSGDGVPFRHTPLLIRTLQDAIHGFYLQALARLPSGELRSRFHRSLLKGGHCYGPLDPVSNIIVNTVWYDAAFPRTHELDMDVIGTRSMHRLENQSLYGMASFLCTRYHSIDFHEAVCFLLEADANLLLADPNLDPEGTMASVYGMWGGVLRHGEACLFNDQDHLEPDTGVQEAFLAAAMAAQHCNPDAQVKLLTSCKEMLGPALSLLQGGGKLSSEDVHRLAMLLCPESPRETKPLLPLPLTGYPRAEVADAHTRITKKVRAVLNSYEQMPNGVGSHI >Dexi5A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:5A:7283413:7288074:-1 gene:Dexi5A01G0009730 transcript:Dexi5A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKYAFVDYCLLKKDLKRVQHALLLAAADDRCCEAGMAGTQQQMAPTATDPHAERAASPLSQWLCHNLPAGRLLFGSTNDHRVIHVRRKMAAGVAGRGGGGGGGGEEYETEVVVGELAGGEADAAAREFFARLDAQLNKVNQFYKGKEKEFLERGESLRKQMDILADLKAAACSREDSSSSVSSSTSGGCSEDDSTRCAMTSAAGTDQLIEQETVTPTKENPGGAAQEDRQLDLEGSGTFGRSAPVPEPTSLGRSVSGFGRKSLKISIPLTTPSRTISALADILRDELAAGQSPSSRNKCSLDGGAAGRQQGVISKTKLRHAEKMIRGAFVELYRGLGYLATYRSLNMMAFVKILKKFDKVTGSQALSVYLKLALKLMDEVEEIFVRHFAGDNRRKAMKYLKPAAQRKESHAVTFFTGLMTGCFAALFLGYCVMAHMAGLYLHAATWRRAGAGGDSVAASYMETAYPVLSMFALLFLHLLLYGCNMVAWRRCRVNYGFIFESSSPPVAGGGGGGELRPRDVFLFCAASMAVVAGVMFAHLAVVLRAHHHVSPHVQAIPGFLLLVFLLLLFCPLNVVYRSSRFRFLRILRNIILSPLYKVVMVDFFMADQLCSQVPMLRSLEYLACYYISGSYMTQDYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDEGDTSHLVNLGKYVSAMLAAGAKVAYEKDESLASLTLLVAVSSTATVYQLYWDFVMDWGLLQPNSKNPWLRNDLILKRKSIYYLSMALNLVLRLAWLQTVIHPNFGSLDARVTSFLLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDDD >Dexi9A01G0030780.1:cds pep primary_assembly:Fonio_CM05836:9A:35696755:35697665:-1 gene:Dexi9A01G0030780 transcript:Dexi9A01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELKLLGTRASPFAMRVKLALNFKGLSYENIEEDLRNKSDLLISSNPVHKKVPVLIHNGKPICESRIIVEYIDETDEEKAEAVKQTLAAAEHVEAAFKECSKGKPFFGGDNIGYLDITLGSLVALVRTSETYGFKPFDATRSPLLNAWVERFSALDAVKAIVPDTDRLVDYAKMRLAEAAAAVSND >Dexi1B01G0021840.1:cds pep primary_assembly:Fonio_CM05836:1B:27626375:27627423:-1 gene:Dexi1B01G0021840 transcript:Dexi1B01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCDTDELPALCSSTILHEITSLLLRNNAANEPVTVITTNEPKNILAVAAAKEDAVTGVGRAAQACGGGESLTRVRRAPGGSPNRPPVPDQTAPDRFRFEAAQRRPNPKPPARVPPNGQSKRSSAAAAARRRLAGPQGRLAGLVWIQPLPTGSRFVRWLAGLQGRRTGLNPAAPHRFPLRAVSRAVAAANRPADASNR >Dexi1A01G0031020.1:cds pep primary_assembly:Fonio_CM05836:1A:36198665:36205132:1 gene:Dexi1A01G0031020 transcript:Dexi1A01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRSRGGGGAAAAGDDHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVDEAFVFCCAHSNQVKEYLEKSGWAGRAGAGSMAVTAVESHDAISAGDALRVIFERGLIHGDFVLISGDTISNMSLKDALREHMDRKKKDPLAVMTMIIKHSKPSVLTHQTRLGTDEIVMAIDPETKELLYYEDRADNSNLYVTIDKDILSNNPTLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDIIQRWTYPMVPDVISNRNCSESRLHRQAIYKASDVTLSHSAQIGANSVVGSATSIGDHCRILNSVIGEGCTIGKNVVINGSYVWDNVVIEDGCQVSNSLVSDGVHLRAGAIVEPGCVLSFNVEVGKNALVLAHSKVSLLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSNGDQATVPSEEDESGTSETGTCGVVGYIWTSGDAGILEEWRQSIAPISKEKLEELQHAVSENDGSEDESNNPTLPDKDDSSDSAVEDDDLISKFEKEVEETFQRAMGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALQAAQSTNDSLLKTTAEALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSPLFSKILPFLYDTEVISEDAILRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDDEEE >Dexi5A01G0037040.1:cds pep primary_assembly:Fonio_CM05836:5A:38389432:38390272:-1 gene:Dexi5A01G0037040 transcript:Dexi5A01G0037040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMSLRAPTGEISSSDTEFLPPPDSSPPSPEWLAKEGQSRSTAEELKEGTVRESRRRSDACRSSAASGEALRMSRWRNSSSSRAIAACSAASARGPGAPARVAAAARYSSASSRDPNTIRSPAAGDSQRSITSAAASHSASARAAASSSSPSSCEVPSSASRMESTASAAPGGGGKRGCGSCLDYYD >Dexi7A01G0023080.1:cds pep primary_assembly:Fonio_CM05836:7A:31215561:31216047:1 gene:Dexi7A01G0023080 transcript:Dexi7A01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGTTEDCHGDDENAAPPCRSSCGRKLPSLRNGKAAETSGGSSRQDASSAPVVCSGEAEAEAGGSPETLRRLAERREAPPTGTSRSVPPWVQ >Dexi2A01G0035780.1:cds pep primary_assembly:Fonio_CM05836:2A:45322772:45323147:1 gene:Dexi2A01G0035780 transcript:Dexi2A01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding APKYGILDECTNATSVDVEEHLYMLVTNMGITVITSSQRLALIPFHSLELKNIVVQGKWELCVIHQFGR >Dexi3B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:3B:640667:642357:1 gene:Dexi3B01G0000740 transcript:Dexi3B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVSAAARCIALLLLLALAGSSSAQLSTSFYSSSCPGLYGAVKSVVKSAIAREKRMGASILRLFFHDCFVQGCDASLLLDDTASFQGEKMAKPNNGSVRGFEVIDAIKSAVEKACPGVVSCADILAIAARDSVVILGGPSWDVKVGRRDSTTASFSGANNNIPPPTSGLSNLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHIYNETNIDGAFARTRQSGCPSTSGTGDNNLAPLDLQTPTVFENNYYKNLVGKKGLLHSDQELFNGGATDAQVQSYVSSQSTFFTDFVAGMIKMGDITPLTGSNGEIRKNCRRIN >Dexi3B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:3B:14643587:14646062:1 gene:Dexi3B01G0019640 transcript:Dexi3B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVESAPASPAQAPPSSASSLPKEQSQVELELRLLQALEFYPPSKLKGFTKPFSPYVLILACFFFVGIHRHFVLFGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFSQGEEFSLPESFFNKEE >Dexi3A01G0036010.1:cds pep primary_assembly:Fonio_CM05836:3A:41409423:41414141:1 gene:Dexi3A01G0036010 transcript:Dexi3A01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAKSTIAKDVTGIIGNTPLVYLNKVTDGCVARVAAKLESMEPCSSVKDRIAYSMITDAERKGLITPGKTVLIEATSGNTGIGLAFMAAAKGYKLILTMPASMSMERRTMMKAFGAELVLTDPLQGMKGAVKKAEEIKAKALPDSSYILDQFENPANAKIHYETTGPEIWKATAGKIDGLVSGIGTGGTITGTGRYLKEQNPNVKLPPDLDDLEGSDDDEHLDDAGTEELAPPCGEAVDVYSWRRPHKIQGIGAGFIPGVLDVGLIDETLQVSSDEAIETAKVGISSGAAAAAAVRLAKRPENAGKLFVVVFPSFGERYLSSVLFESIKKEAENMVVEP >Dexi5A01G0034840.1:cds pep primary_assembly:Fonio_CM05836:5A:36820009:36823907:1 gene:Dexi5A01G0034840 transcript:Dexi5A01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGESESTALEFTPTWIVAAVCSLIVVVSLAAERCLHHLGKAFKGKNQKALFEALLKVKEELMLLGFISLLLTVSQGMIQRICIPKEWTIYMLPCHSAKEQAELSPSETHGLAAGILGLSRRRLLAEGVPGAQHCQKKEVQGFVNGNTGRMNFRRMLRKMTHCRANPKFDFHRYMLRALEADFKKVVGISWYLWIFVVVFMLLNVNGWHTYFWISFIPLLHLLAVGTKLEHVITQLAIEVAEKHSAVEGDLVVNPSDEHFWFGRPKIVLYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFIVPRLVVGAIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQSLVGWAQKAKKRKALKNNGGSSGAAASANPSTRLELMRRPPLLKETAPVAMAEKASAIHCP >Dexi1A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:1A:1292865:1294281:1 gene:Dexi1A01G0001940 transcript:Dexi1A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding PARAPKPPSISIYSPPPVHLPPYSTPCPAAAAHLTSPHHPSEPSLTGSRAPTPMAATGQLRRRTRARTPPRADDGDDDVSCEACGSGHAAAELMLCDGCDRGFHIFCLRPILPRVPAGDWFCPSCRSPAAKSTPAVANKPKQFPLVQTKIVDFFKIQRNPLNAAAAAETKKRKRKPAGALVVSKKKRKLLPFNPSEDPAQRLRQMASLATALTATGAVFSNHLTYLPGMAPRSANRAALESGGMQVLPKEDVEALSQCQRMMERGECPPLLVVYDPVEGFTVEADRFIKDLTIITEYVGDVDYLRNRENDDGDSMMTLLSASAPSRSLVICPDRRSNIARFINGINNHTPEGRKKQNLKCVRFDVAGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >Dexi5B01G0026100.1:cds pep primary_assembly:Fonio_CM05836:5B:27938395:27942766:1 gene:Dexi5B01G0026100 transcript:Dexi5B01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAGDDEDDGPPAAYATQRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEDAAFAGLIGSLKTLRGLLFQPRHGAWRCSDPSTYLTPFLDVVQSEEAPPAATGVALSSVLKILRIDVFDECSPGARDAVHAILAALTNCRMERISDAGAEEAVLLRVLQVLAALLRTRAAPLLSDTSVCTAVNTCFQIVQHAASSGRGSELLQRTARHCMHEILQAVFARLPDIRDDGDGDGDAAAVSSGAGFGARCMVDVFNFLCSLLANAPDMVVTADGQGAFTSEEDVMLFSLVLVNSAVELGGEAIGKHAKLLRLIQDDLFYHLINYATEYSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMFVLLRVCGGANGPQLQEVAVEALISFIRQPTFVIEMYVNYDCDPLLRNVFEEVGKLLCKAAFPAPSSGPMTAVQLQAFEGLVNMITTIADNVEVDKAPDHDAYAVDVSEFRLFWTERWDPSTAASLAGGERETWVDFVRKRKVRKKKVAIAANHYNRDQKKGVEYLRLCHLVPTPPEPRSMAFFLRYSPGLDKNKIGEFLGDPDEFNLRVLKEFTETFDFTGAILDTALRTYLETFRLPGESQKIQRILEAFSERFFEQQTTGVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDKKDLPREYLSELFHSISTNAITVFSSSTSATVEMTPSRWADLVKRSRGMEPFTPCDFKHKLSREVFIAVSGPTVATLAAIFDGADDEETLSQCVEGLVSVARVARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGESVRGAWKNVVDCLLKLKRLKLLPPSVIDGGGGGSEQRPPGHRHRASSASESSGSGVIYNTTDRGAGTSRHVSGMIGRFSQFLSLDGVGGESLLSVGSEFENNLKIIQQCQAGRIFTESGKLPDEALQNLGRALIFAAGGKGQKFSTPIEEEETVGFCWDLVAMLASANLHRFATFWPPLLDCFSAVSQLPLFSPCPFAEKAIVALFRVAVRMLSSPPSSTTTTQRPGDSRVAEELMFKSINMMWKLDKEILDTCCEGISESVVRLLTEHAAGVQTPLGWKTLLHLLTVTGRHPETFDQSVAAMIKIMSSDSAHVTRFNYAAVIEAAFGFAALKISPLDVSTRILELMAESVNWLVQWHKSGYSDPGSGGGFSGGSSSSSSVDDAARMGNLAANMFIKLAEALRKTSLVRREEIRCQAVFELGRAFNLATAGDLDFGPAGCLACFNLVIFAMVDDLTEKTLEYSRREGAERETRSMEGTLAAAAELLADVFVLLLPTLAQAPGFRTFWLGVLRRMDTCIKCDLAAGGGAGVMQELVPRMLKRMIMEMKLKEVLVPREGDELWEITHIQIQWIAPAVKDELFPD >Dexi1A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:1A:2659911:2664656:-1 gene:Dexi1A01G0003640 transcript:Dexi1A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGSKKAQPRPREQELGHWWLTLISGLLLRSASYSSSPRGKAWKEWKPTVPSSRQPLAVLDPTHHDNYLPPSLGAFTPSNLLGFSSDQLQVQDWRISPPFFMMEKEESVSC >Dexi5A01G0036120.1:cds pep primary_assembly:Fonio_CM05836:5A:37784437:37788196:-1 gene:Dexi5A01G0036120 transcript:Dexi5A01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSRSSPKEGSGHGRGYGHSNSFPQPSVAPPQYGGYYGQDPSAGYYGAPQQGGGGYAAPYAAPAYQPPAAAPAPQPAKPRRQLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGKSLHHISSTPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDASTHDQDVFSFYPDERPCNGFSEALHRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVKASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQSRKEASFALSALMEIPQQYKATVELGILGSRRSFKSPDRVALPPPAGVDDAYPYSSNKSFSKPTTYPQSSSSSSPYPHYENPHSATPAAPTSSYDNQVCPICLVNPKDMAFGCGHQVNLLSYVSFIALPNKAFSLQTCCDCGPSLELCPICRTPITTRIKLY >Dexi6B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:6B:25061155:25065043:-1 gene:Dexi6B01G0018000 transcript:Dexi6B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHKSGGGSAAAGAGAGGGDGVLCHACGYQYPNGHPSAKQRRAHRKHCAKPASSPAAGGGGEEHDGSEPLPGEGHGGVGPGGEIGASAAECGGGSPGSVPEGTTAVEGGDSAEHYPGNGTEHQVTGDKCAEDSLNSSSTDGATLTTVATQYLEKGSPIEDTDPSEAAVGSEQLEAVPTSVLSPEPEDGAKSSSGISGHEIQSSLPEYETQNSAVVPLESNATGGETSEKVDDVVSQVDGGIVVTEGDGLINTTSNNKFSEEKSVEGDEVDLSCQDNLQTEIGEGLISTAMEEDSSDKNPNAIHTEEIPSDEAETHKQIKHVLTDSFEKIPNIEVPVESSTEKSGVTDDVLPGLGTGSSQLETPDDVKPQLEPDSTSETSGHLEVSKGADNVDGQQYPISEGSISAISSAFGPAVGGTANITENVCSSGSTMDDNMHKNVIGGTVVTSQVDLAELSTSTTALEIDMVDSTNDADEKRQNEKGGTDVTSYGGNEMYIIENIEEKQQNKEVMVDSIPYEANTVSSADIHGGNEQNKEFTADASSCKISVVQSMTSAEEKEQIEEFIANLAPEETTITSSSNIVEEKQGEIDVKTNGDIDGAHVIETAAENNAATCEINAGTTTDDTEDVVQNEKLTTGPISHGINMVCSSVDEAKMHKEELTEGLGSHENIVVHGTDNVEEKTIEETKADATSPKFSFVTSTFHGRSAVHSADNNDEKKNEDPILDQATTSATICSRGAVEEKESEETAEDPSSGESNKLPGTNDEENKQQLGETAPKAPASEEVTQTQTTTVVEAGNPEDTASKEISTIESTDDLKAIADQNEEIADKEMVIDSDKNHVSLKVLLADKNVETKEKEKKTTTKDRVLSFRRRASKDSVSPVKPGSPKAGSGQQDWNSPARLPVEKKPKGRKQQWVPFICCSSVQ >Dexi3A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:3A:3394166:3395895:-1 gene:Dexi3A01G0005260 transcript:Dexi3A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAKKFVESALYHSKDLVIGNGPQGPFDHLFSLKSPLYKMGSLHKFNPNDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGDTETREFLEAAKACVEICRSGGVPLLINDRIDVALACNADGVHVGQTDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGADYIGCGGVFPTTTKANNPTLGFEGLRTVCSTSKLPVVAIGGINAGNAGSVMELGLPNLKGAAVVSALFDRECVTTETRSLRSILMNACSKS >Dexi7A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:7A:20515369:20519232:-1 gene:Dexi7A01G0009540 transcript:Dexi7A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEHPSGPSCSSKTAGPGVSADPATSVAEEPASQDPRDLVQPYPKFSIRDYVFASRSKGVKRSWPFNPRSLQLCVRRGVTDPLPPFEPPDLIRSQPLSNFTDAEQSAGCSEAITSVRLVKTRDASSSNEDTSNINFQPCQPVDDSLGPSPYTSPEDGKSGIDHVGSTNESDHTNEEIPIDLQDNSCTKASQRTEVVVPSWRSRNLDSSCEPSERKSKFSAKVVGTSTDIRRTEDIASNSSSVSDPMASKTCPVCKVFASTSNTTLNAHIDQCLSAESNTEFVETVLVKPKVKPRKKRLMVDIYKTALPCTLEDLDRRNGTNWAVELAMSTVNKDVCTENRSPEVVPFDRRDDEREGDVYVDSNGIKIRILSKRSDAPLVLKDDVGSSKAAKNETEKGILMSKKIPKSKISKNKKPKMHTKKYNKTNHLNSQVPEYSHDDINEETSDEEQHAQNPSDSTSNGSGTMRQWVCSKRSDIGKNFSRKFSDKVTSRAQKSDRSSMIGFNDSQIPESPGGVFSSESPEDMATTSEAIGVDKSNGRFLRSIPTWSSKTPLQSGVVRKVPRSAAAVAKRKIKEIGRREANKLDNYDIVRNSTSAKRYEARSLSFTAGPSNGPNRLVPTSKKIRKHRSVLRTSKCALSPSVNRLVHGFDQDHEPDTRHVNKKFRVSDNEGPKKFVNHTEEDTADTDFSFGSDMPDSRQQDGQYDIPQETEGTEMEYEGEEPGTDVPFDSVSRSYPADCQISDGSLSPENNTAADDVLVEGYSVAVEDPSSSEQLARHGHESSSAVNNETEEWQIDPASTKESSDCLTNNRDMGPGAPQDNSSITSNREDSSQEHGLPLGRDSLDSPISTASTFFPPDAKKDPRINQSAPGPSTAEAVEELATGSLNQETKSIPTARE >Dexi4A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:4A:17287797:17290316:1 gene:Dexi4A01G0014820 transcript:Dexi4A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHVHHLLLVVTLAASLLASATSSSSSSSSCAGRDDAATIAAAFRHVRNFRPPRVRACRPVRDLRLPSRNLTGAVSWSALANLSALAALDLSGNALQGAIPGGFWRAPSLRAVDLSGNQLGGALRVEAPNTRLLYLNISRNRFTGVDGLEALSGLVALDVSANRIRAVPPGLRRLARVKQLDLSGNAMQGRFPGDLPPLAGVRSLNVSYNNFSGVVDSGSAKKFGNSAFVHAGNASLVFSDSEHSAVAPPLPRRPSPSPPPPPRGKSKKGGSDGTTTGTKKTKRSKARRGHLSVVALAVIIGVASLAMLICLVGCVACGVTRSRKNGGKDEERKKPQWSEKEDEEEEDVVVAATKGASAAPVVLFERPLMQLTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGAMAGLGGEDDDPAAAATAFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDVSTGGDIWESSAAEDKRAISDWPTRHRIALGVARGLAFLHQGWTGGGGGGASAVVHGHLVPTNVLLGDDLEPRISDFGHPPLAGGEHHTSSATPEGDVYAFGVVVLELVTGQAGWDEASVSWARGIIRDGKALDIVDPRVRDVEATGPEADKEMVEVLRVGYLCTAQSPDKRPTMQQVVGVLKDIRAAPATPGASQSQPA >Dexi5B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:5B:2816111:2818567:-1 gene:Dexi5B01G0004170 transcript:Dexi5B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRSICRAKLSRSRASSNRPPRRRSRLWLRTLPDMGHAPEDYGDGADEHGVPPGTYQALERHLPRRLRAAPRDRKLAVMRRILQGLYPLRDVDMVRNTHAASASKFLKQNPLFYDRLYRESISSYQVDHFQHHLRYARNQRMMVTTTIGENKYGVDLSDFGLETKLDNLLKDFLSPIATVLFPELGASSLDSHQSFVTAFAKDQGEGFHIDDSEVTLNVCINEEYIGGDMYFCGVRCMNHINSSEPRNQVFGVFHITYIHM >Dexi9B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:9B:9639681:9642382:1 gene:Dexi9B01G0014420 transcript:Dexi9B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIRITPPPPNTHTPSTSLSSPPYGERHHLFRAPCTCIGHRLSRGPCRRYLPDPDDSCRFTFSRLLSLPLVAMARGGERRRQQG >Dexi7A01G0021930.1:cds pep primary_assembly:Fonio_CM05836:7A:30401440:30403253:1 gene:Dexi7A01G0021930 transcript:Dexi7A01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASGKPEEVAAYQSSEAKQARLQSMLAALLDDPILADVPRKPSLADVDALINLELGSAMRVTVIKLDNTSFGSMYGTTTA >Dexi9B01G0021420.1:cds pep primary_assembly:Fonio_CM05836:9B:16154724:16156226:-1 gene:Dexi9B01G0021420 transcript:Dexi9B01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKIELGLIKKASSRAKSLKQRKEGLQKKAYELEELTGVDVAVVCANPGGGAAKLEYGSAAVIDRYFRLPADKRAKHTHHNYLNVELGKEKARLAKERQEGPKALASPRNQELSGVDLEELLASIDAALLATAQRRKALGMPDVVDGGQPPVDAVVPLGEGVQLVGDDGGFDDDLEAWVDELTWHDVEPHPLNASMMQPAAPADDGAQFYINGVEPLPFNSSMTQPASGVQYISGASLGMGGNPTLPLQQMGGNGGENDHGHLAWGAYPPHNNTTVSFPDHSLQYTGSNSSYRDMDGSDHSFQYTSSNNSYADMDGSFQYNGSNYSYADMDGCPQMTMPSNANVYDGFCNPMYMQPEHSSMGTDGDCFSGVSAIGLDGSSFMDASGHEYETQCLADYFQCPDDSQHLGAEPLHYYLSDVAEGICYYEHEAGSCSSGGSQSFVQSHSSSGSLQFYSEQSQSDARDQAPGVQKFWAMEEAARFNQAVLEDVRHHDSGVQF >Dexi9B01G0024100.1:cds pep primary_assembly:Fonio_CM05836:9B:19776655:19778217:1 gene:Dexi9B01G0024100 transcript:Dexi9B01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAAAGAGSGADFPDALPSPTSSAAAPSHPSPGRHYYLAVDRNQFKMRTLLELLGVVSDRRGGLPIAICVSSRDELDAVCAAIANLPFVSMSPLQYSDQAEAERASILEKFRQETILWNQTTKATAIAESSTTENGIVINMVVGGEVATLKALEENSGLLIAEMPIHVSEIL >Dexi9B01G0035360.1:cds pep primary_assembly:Fonio_CM05836:9B:37062845:37064041:-1 gene:Dexi9B01G0035360 transcript:Dexi9B01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTAGSLSPAQFSFSFPRPNSSSAQRGPRSLLSFSFRVADRWAPLVGRRLPRAEFEQDSVESMATPSPSLVSPALISRRLDSFDPQPKTRALRVAIAANPRFDSPPSIRALETAFVAFDSSSRLPFSPLSRALSCSCDLAVVPEPLFAVVSLQSFSQANHDEKPSSLASDSLVSGEVPAERRRAPPRKLPL >Dexi5A01G0031260.1:cds pep primary_assembly:Fonio_CM05836:5A:34033198:34034291:1 gene:Dexi5A01G0031260 transcript:Dexi5A01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPHDSGLADGVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi1B01G0024270.1:cds pep primary_assembly:Fonio_CM05836:1B:29645627:29647208:1 gene:Dexi1B01G0024270 transcript:Dexi1B01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVETGDGAKLSVRVFKPPASGDEPEAAEDVAVVMVHPYTILGGVQGLLRGMAEGVARQGYTAVTFDMRGAGRSTGRASLTGSTEVGDVVAVCRWVAENIKPRGILLVGSSAGAPIAGSAVDKVDEVIGYVSIGYPFGLMASVLFGRHHDAILKSQKPKLFIMGTKDGFTSVKQLQNKLKSAAGPVDTHLIEGAGHFQMEGPAFDAQMVDLIVKFINSLPK >Dexi5B01G0035690.1:cds pep primary_assembly:Fonio_CM05836:5B:35615082:35615960:-1 gene:Dexi5B01G0035690 transcript:Dexi5B01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLTGKTMCPTSHGLLLVRDPASMATSLLDPASGKEIHLPPLAGVEDATLMHSHCLVSGEPAAPGGCVVFLVAPGDDTFIWYCRPGDDDWVKHDYDIGTQILDIEEDLYEKSVICPIAQCRGKFYFNPGDTEMGVLEFVPAGPVFGSVAIDDTIAADGSYGYEEEDDGGNGCAVYLVESDGELYMVTFLFADSTRKEIDRGSVHRMDFAERRWRKVSDLGGRVFLVSVFYFGASCSVQGDGHELRQDCVYMPGKKALLGTIEVQKLDGAPTSDKVFWVLPPTCK >Dexi9B01G0023870.1:cds pep primary_assembly:Fonio_CM05836:9B:19308918:19310189:1 gene:Dexi9B01G0023870 transcript:Dexi9B01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISIEPFNRLVRLAARAFYDGNPLKGDNQTKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKMHNAAAAAAADSQSVTKEGEEKVKMHTHSYCCLDYAQVC >Dexi5A01G0035720.1:cds pep primary_assembly:Fonio_CM05836:5A:37438866:37439432:1 gene:Dexi5A01G0035720 transcript:Dexi5A01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTRTYVICTVATWCGKFYFNSPSTELGVLEFCPGPVFSFIKIDNTIATDGSYGYSTEDNQATRCKPLWSPTTDGELFMVILLSNNTSRDKIFGSSDHRMNFAERQWPKVKDLGGRVSLLSLFYFGASCAGGGDDDHGLKDHVHMVYPVRKTLLVFDVREGTIEMQNFDREVPASDKGFRVLPTNL >Dexi4B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:4B:152369:155440:1 gene:Dexi4B01G0000230 transcript:Dexi4B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAVIWKDLREGLATDERAQGTAAAAANLDIRMGNIREVAKEKGTVHLSTNSFSFLLALRSIHPPSLPTGLHPPWCLQGSPNSRPNRPGPAIFAADCRNRRACRLAAPEIPPFRLRGNGRRPGSRLQTPANRSVAAVSRPAAAVSRPTEVVHCEKVSKEVREYFQRELERDKKVTAQRAQEKLRKEKAAAEGNYPGGDEAYDEEAELQRALNQSRAEEEEEVHTSMEAVVVLGERVGNFIEADSWEKRKYHIAPSEVNPKRQRGQATGKGKQKEVEVLSDEETDDVMMVMIAMMVVAVVAAVAVLLAVAGAEACHLQTHLLENGWTDGLRLFTVLF >Dexi9A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:9A:15756054:15757995:1 gene:Dexi9A01G0020690 transcript:Dexi9A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSVASLLLSYGGSSKLNFAMATEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDDYGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >Dexi9B01G0030710.1:cds pep primary_assembly:Fonio_CM05836:9B:33169268:33175019:1 gene:Dexi9B01G0030710 transcript:Dexi9B01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAAFSAALAAEDFPWVESPEEMGMAPDKYREVFDLAQCGTRAFRDRRFDELEHYHEAREALLAGLQVDPLSHVLQTCLSDLDRNTNIAAGARRARLDRTDDFECTLCFKLLYEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRNFPEEYAERRSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGSVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEVEWLQDIPLREGSQERREVKSSCLFILLFNADNNYVQNASSILLLIEMANGASELARAYISRARETMRTSRRTRQLDLESMPGPQDPEKFSFWLVNLISLRPSDRLDLLRLRDTRERISTSIRLLSDAEQGCRVQ >Dexi5A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:5A:7938481:7939764:1 gene:Dexi5A01G0010520 transcript:Dexi5A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPHVGHPSTRTEKTTMASPSRSVSQVVGKALLLGRRSPSLDSQVSGCRACCVPGRASSQLRRHSLKAAAGLPAAGISILRPRRRPDGRRLRAAPASAASSPQLSSIRSVVLDIEGTTSPISFVTDVLFPYARDNARKHLHATYGTVEANDDIALLRAQIEQDLAEGVAGAVPVPPEDAGKDEVIDALIANVEAMIKADRKITSLKQLQGHIWRTGFEGQEIKGVVFDDVPPALEKWHASGIKTYIYSSGSREAQRLIFGNTTFGDLRKYLCGFFDTTVG >Dexi6A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:6A:7709855:7711042:-1 gene:Dexi6A01G0007780 transcript:Dexi6A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGYPTWRGASHSHRHLPPRVAPPPRASHPSPPIVTGRGPGPRGRCRGDEMPMIVGGEAHQGWLSSGGVAGEMPDPTLSHPSSLAGAPGQETSTVGREASDCGRGGNKGRPGEEVEPERCRGLWGRRMSRQR >Dexi5B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:5B:3022503:3024836:-1 gene:Dexi5B01G0004460 transcript:Dexi5B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGGAADEVKLNTGNVFAALESLKKKKKGDKGKAAGSSSSRKKHGDGAAQQQEPPQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGASDHGDEAGKDEEDVEDAVRAALQELSKKELKKKELAELDAVLAELGLSGNSSNAAQDAEKKGANQIGDGEKKEDAPAPSESKTSKKKKKKDKSSKEAKETQEAADGSEETASAELDEEAASADVKERLKKMASMKKKKSSKEMDTAAKIAAAEAAARSARLAAAKKKEKSHYNQQPVR >Dexi5B01G0002200.1:cds pep primary_assembly:Fonio_CM05836:5B:1430504:1431160:-1 gene:Dexi5B01G0002200 transcript:Dexi5B01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFRRAINYLRLDRVFCNQSWDLHFENHALHALSSSHSDHCPLLLCKQEGPRRPTPFRFENIWTKLPNFMDVVSEAWNKPTTHTEPFHRLGHKLFMTGRALKRWSKTIVSDARLKLHMAQEVILRPDEAQDNRDLTTAESDLRKRLKHRIMGWAVLEKARRKQCARINHLREGDANTKFFHLRANARRRKNFI >DexiUA01G0014270.1:cds pep primary_assembly:Fonio_CM05836:UA:30292187:30292480:-1 gene:DexiUA01G0014270 transcript:DexiUA01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAERLLMTPSSSRWETPREEAKERESGTSPVELRLASTSAAGDFSAMAQGGGRLAAAAAARSDVTQGQGGRWLVVLGCWGPAGLLFILIVG >Dexi2B01G0023710.1:cds pep primary_assembly:Fonio_CM05836:2B:33258757:33263085:1 gene:Dexi2B01G0023710 transcript:Dexi2B01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGGGGDPQPSVSLQARTPSEGAAAVDLDLLEQLLSGDNGWFEVVSRSPNSLASPPPAAFFSSADVTTTATTTQAANTSWWIQTGGASPSSVRDRFSQALSYIRETQSDGDVLVQLWVPINRGDGKLVLSTSGQPFTLDQRSDSLIRFREVSTKYQFSADVKSGDSPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDVHGTMGLPVFEKGSYNCLGVIELIMTRQKLNFTSELNTICSALQFSAASYKDALPEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPKMKDFHDACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLAHHAKIFDLKGAVAIRLRCTRTGIADFVLEFFLPTDCEALEDQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFTPQGKNKAEELSFGGNSADRSRGGASWTSLAETSQQESELAALRMHGMFSPGGQGTSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGDS >Dexi9A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:9A:8462268:8463790:1 gene:Dexi9A01G0013210 transcript:Dexi9A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECNMSYTLLPALRINDHHAAICVRVTRKWEYRGPTDNGPIQHIDIVLADHQANAMYAEIPQDIVEEFNSQIEEGNIYAISRFRTTNAKNFYKPRMIYFTVHTRIVVPKNPSTTFPRHVYKLTPFDELPMLVGNCRNFIGQDQLSGNSACKWYFNPDIPEAEEFYRR >Dexi9A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:9A:5103544:5108121:-1 gene:Dexi9A01G0008610 transcript:Dexi9A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRMIMTTSTYKREYRGDAEDVDLQAYNDMENIAFYQVPANLTSGFSIENERSLRVQVCMDSSGVNFLHRFLRHLVENKEKYRNLTDLLFHAIRWQTEGLQLLCSVLGPDLSVKQVEFQKNVFSTKSALGLAPLSELLQKNSTIKAVVFSECRIGSTGATLLASALADNKSVEEFQIWEDSIGSKGAEELSKMIEVNYMLKKLIILDNSSITVAPLISAVLARNRRVEVHIWEHSRGTKGGSDNCKIVEFLPETGGLRIYNNINSTGLQRVACALAWNTTVTVLDMSSVPLRSKWTKELRGVLEWNKSLKTVKLSKCSLGNKAVVYVAAGLFKNTYVEKLSLDGNRFDGVGLEHLLCPLSTFSPLQKQANTTLKVLSFGGEQANIGRHGVTAILQMLETNQSLIQLAICNDASLKTTDVVKIFTSLERNSTLRNVLGQLATLKSLKTERSGFVRKQEMERILQEKLRNQLQGSDHARDMLSDDDFHEVLHRRYYDLQHLATELAVTPDNQQEPEMIPETDVVDPSILGIAKGVEMVLQRLKRVEQGIRDLKEEIASLRYYEYHLVTELHRKMDYMMNYNIQLEERKVPQMFYLVSLDNRSKQLVTRILPGMRSLRVHMLCEFRREMHVVEDQLGCDLIQVDNQTVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGAATSAASLGALGAAALYGKGRNNSNQGGASDMGEHMKAARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHVAWICRKHIAAREDEIFELPL >Dexi4A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:4A:18151823:18153068:1 gene:Dexi4A01G0015290 transcript:Dexi4A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSNSKLLTAPAAATTATAAFRFGDRDMEEEDACEAAAVAALRGPRRQGLVVRDSVDAGGGGGGGGGWVSVCTASKATGTTMSSGSSSPMSSFFSSTSSGLTDQEDEEDADDGATSRSRPDRDVSSSSLSSLTSTGSETVQMGGAAGPLDALSTMLEDLPALRTGLSKHYQGRSQSFTSLADVSCVEDLAKKTTPYIRRKKAPRRYAEVLGATNRLSKTIAKKAPRGKPPAYQGKREMYRC >Dexi1B01G0031560.1:cds pep primary_assembly:Fonio_CM05836:1B:35063357:35064508:1 gene:Dexi1B01G0031560 transcript:Dexi1B01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGRRMAPGGDASPAGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQSILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKEHDKKPDSDLLGWLQAMFGFQVSTLG >Dexi6A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:6A:15599192:15607806:-1 gene:Dexi6A01G0010940 transcript:Dexi6A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPSPLVSMWGPPRAAAGSPATEAAAAAHAARRGGAAVSSALSGLRGRHSLSSVQFMDGLRSNIQAEVNLILSPKASMTNGNGNCFPVHFLTEDSTITKGFSTISWNLKADVEDGYLIFITGDPVTLGCWESNMAVQLAPSVESDNIWTAEITVPYGVHFKYNYFIREEEGSYSNIIWRPGPDYSLSIPSVSREKKVIVVKDLWMKTSMAGLPAPSWGSWLMEAGSLEGDFFEGGDHRSIVEAHSTRDTTDLDLSVGEHIILQIGNGTPLHGKLLSENLSTGMHNYITDKSNANNISQHGRYQIVEEPWIIESITASKKPVARVKDKKGKKKFVNSKRDSSGASENMPKEDQSIEEPWLFESMVQANGIIVHADEKIEAKDIIRKLRKIEKPPAPLDENKPAAGEPSRVILINSSVCTMQRIAVLEDEKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGITRPSLMSIKQNRDPFVYPHVVKNSRAHPVNDSCYNEENLTTFHEDDDMSDDEFVDEETHDGPSSFPVENITDTEEGMSLISDPKTNIVDSAEFEGISGYDEDKYDENDHMEDEYSEDILQGDHLEMSNDLKTLSSIQHALRESNDDTNGSRWSQVRKGTKIMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQRDLEGLLSTWKGINEHAQSAALAAEEGVDGAVPVMLHRAKGQALSVVQDDFNEKAS >Dexi6B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:6B:3900115:3901833:-1 gene:Dexi6B01G0004620 transcript:Dexi6B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDAKKEAEAKFKKISEAYEVLSDPQKRVIYDQYGEEGLKASADGGGPSSMNGSANQRFNPRNAEDVFAEFFGSSKPFENTGRAKSMRFQTEGAGTFGGFGGNENKFRSYADSVGTSSNQARKPPPVETKLPCTLEELYAGSTRKMKISRNIVKPNGHIGTESEILTIDIKPGWKKGTKITFPDKGNENPNQLAADLVFVIDEKPHDLYTRDGNDLLLHRKIALVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDIDFPKRLSSEQRHNIRKVLGGQPQQQ >Dexi3A01G0036620.1:cds pep primary_assembly:Fonio_CM05836:3A:42215434:42222000:1 gene:Dexi3A01G0036620 transcript:Dexi3A01G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSLSTARRAEHASTSLPFPRSRSTHPLRRGCCATALPPLRSSSTPQEASAHLRSPWYIFMGSKSVDQVLDAAAAGVHYSALRLDDLNLGSVSAEEQPTTSGLENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQLFVTFTSIDFSDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQESNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPLFCDDKNRVR >Dexi6A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:6A:19089134:19094705:1 gene:Dexi6A01G0012510 transcript:Dexi6A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATYRESLSRLAVEVDDAAADEVPAPSAPDARGGDLSATPPSSGRRRRYSRPGPASGSDAAEPEEISKLREDIQKLQASEAEIKALSFNYAAMLKEKEEQLGKLREENGSLKRNLESCKAVSASSNGILERSPRAQRNSVQENSLNVTKQNGYSSGSSHGIQPNGLHSVTDHHKLNVLEEERASFAIKQTSLENEIKQLKQQLSNKSKKETEIERRLEDENKLNGFLQQELNELKMNKERISTSMEEVHKELNEKKSELRRVQDELSRRDKENVSDGSFQSLRSMLMALQKENSDLKIERGRLEADLKTMKSTSQKTADSTSDNKIPDLEKVKEEMDSLKRALQDASRERDKAVQDLARLKQHLLDKDIEDQEKMDEDSKLIEELRVICEQQRAHIMQLERALKVEIAKQEESKRIINEEHKRSNEQMEDLKYKLASCMSALESKNVELLNLQTALGQYYAESEAKERLGGDLAVAREELSKLSESLKVANQTIEVSRREKEDIATKLSQTERMLADGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQNNAGKGVVRGVLGLPGRLVGGIVGGGSSGKSNQASQDSQSFADLWVDFLLKETEEREKREASEAARQLQDESQPATSTSSSASVQQPSRQPSNLASCPSTTTRPHLFGRPDTEFSTVPLASSSYSSMPTASSSYSSVPPPFSRPPPR >Dexi3B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:3B:3403982:3405550:-1 gene:Dexi3B01G0005120 transcript:Dexi3B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQLRLAMAVMAVLLVVIIVCRRLMLSYYASTKPKLNLPPGPWTLPVIGSLHHIVFGNGNPLLFRVLRRLAQKHGALMTIHLGEVAAVVASSTEAAQAILKTHDAAFADRFTPTTFAAAEYDGAADIILSPYGDRWRRLRKVVVQEMLTAARVRSFTRVREEEVARFLREVAASAAAGDEVDFSMGVSKLVNDAFVRECVGSRCEYQDEYLDAIHTAIRLSSGVTLADLFPSWRIVQVLATAPRKVLACRRRIDRILEKIIHEAKEAMDDKKQTAASHESFVAVLLRLQKEGTMPVELTNETIMALMFDMFVAGSDTSSTTLNWAMTELIRSPAAMAKAQAEVREALKGKTSITEEDIVGLSYLKLVFKETLRLHPTSPLLIPRRCRETTQVMGYDIPKGTAVFVNVWAIGRDPTYWDDDAEEFRPERFETNGLDFRGTNFEFLPFGAGRRMCPGINLGLANIELALASLLYHFDWKLPKGMEPGD >DexiUA01G0019590.1:cds pep primary_assembly:Fonio_CM05836:UA:40826205:40828822:-1 gene:DexiUA01G0019590 transcript:DexiUA01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYTLSCFLLGTLALALAARPDTGSLDAATIAVQELDRVLSLPGQPSYSPAFKQYSGYVTTDEYLGKALFYWFFEATDRPDEKPLRFPQHKAKEFYIAGESYAEENKKASEENYINFKGILIGNAYMDGDTDLWGIVDSAWHHAIISDNLYSDFQKNCNFSLVDLSPECSADIAQYTALYNIIDIYSLYTDRCEHGYPDFNTSFSAQIGRTGNGRTYATEYFNRKDVQKALHADHAGASHPFSLCRIPTTSTRYTLKKLGLPIKEDWSPWFHHEQVGGWTVVYDGLTFVTVRGAGHMVPSTQPEQALELFKHFLANTNLPSKPF >DexiUA01G0009350.1:cds pep primary_assembly:Fonio_CM05836:UA:18279014:18281028:-1 gene:DexiUA01G0009350 transcript:DexiUA01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNTSSSSEGGGGTGSEQQGLGPVEVQAAAAALGRSEVFHVVKELVGFVLYMHHQIPSVLQSLENEFASLKEEMTEMTLQPAELKPSDQRKYNTRKREVRRRIKKHEKLMNGISALLCALQQALDEVSNIEGVVLILGGSLVRPLFVYDITISHGAFDSGSAKDHALTKLAQSVSRK >Dexi5B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:5B:5946565:5947893:-1 gene:Dexi5B01G0008790 transcript:Dexi5B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPEDEEKVGFLWELEGAGERLQLLRADLMVEGSFDEAVSGVDGVFHAASPVVVVAGAGGKDDDVQETLVDPIVRGAANVLRSCARAPERPRRVVFTSSCSCVRYCHANTLNESHWSDADYCKSYNLWYAYAKTVAEKEAWRLAKEHGIDLVVVNPSFVIGPALGPRPTSTILIVLAMLKGELGKYPNTTIGFVHVDDVVLCHVLAMEDARASGRLICSCDVAHWSEILGSLRERYPQYPIPTECSAQKGDDRPHRMDTSKIRALGFPPFLSVQQMFDDCIKSFQDKGLLP >Dexi2B01G0022190.1:cds pep primary_assembly:Fonio_CM05836:2B:31855972:31856853:-1 gene:Dexi2B01G0022190 transcript:Dexi2B01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIMLSPSSSSFSPPSPPSFFSRSGHPIIEFTSCDVPEQWLLGDVVVAAKNEDYDDVVDDLWPVGSSLSPDSDLSVQPPPPPPQPAPPPPQPEPSVVTVPSIPAAAQRPAGKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLEAESRRAAAAAIARPWEPVVPASGGAPAGDESVEVRMVGPDAAAVRATSAAPHAAARLMGALRALELHVQHACVTRVHGLTVQDVVVDVPAQLQDDDGLRAALLQTLQDSG >Dexi4A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:4A:21846214:21846614:-1 gene:Dexi4A01G0017920 transcript:Dexi4A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSEIVGLIRSSHPDLKLDYPRKFVKVEDEKGVSSRRLLELGWKFRTVEETLRDTIHSYKAAGILNRLIQGKDI >Dexi5B01G0038690.1:cds pep primary_assembly:Fonio_CM05836:5B:37774809:37782901:1 gene:Dexi5B01G0038690 transcript:Dexi5B01G0038690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNPAHRFVDSPKPYNRRNVFGKPTSRLDSSEQNNRQGLRVCGIPTRMDSSDHGDRQGLKACELAAKMDADGYDDRQGLKACGLGTRIVDANDRADCQGLKACGLGTRIVDANDRADCQGLKACGLGTRMDANDHGDCQGLKACGFLTTVDANEYGNRQGLKACGIMATKMDANDNGDHQGLKVCGMPTKPDANDHCSNQGLSYLLANQPPLCYSTAPFVNCYSFRSSWRRKEHEPKAVKKTMEMGMVEFVGLIKVDIIKGTNLAIRDVMSSDPYVIINLGHQSMKTKVIKSSLNPVWNERLMLSIPEPIPLLKLQVYDKDTFTTDDRMGEAEINIEPLVAAARAYEASTVTDTAQLNKWMARDGIWIPRDSAISIINGKVKQLVTVRLQNVERGQLEMELDPQTPRPAAAGKTLAMDTAALETLILDLHAIEAVKLGSFVLKSGITSPIYLDLRALVSHPRLLSAIASLLHALPATRPYGLVCGVPYTALPIAAVLSVDRNIPMLMRRKEVKAHGTAKSIEGSYRAGDTVLIIEDLVTSGASVLETAAPLRAEGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTQEKAEEVKQFLDANRKVAVPGVPVKPTVVRKSFGERAKLATNPMGRRLFEVMEAKQSNLCVAADVGTAKELLELADTIAERHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVPGPGIVDGLKQKGLPKGKGLLLLAEMSSAGNLAHGDYTAAAVKIAEQHPDFVMGFISVNPASWSVAPSPALIHATPGVQFGAGGDALGQQYNTPQAVISDRGSDILIVGRGIIKASDPAKTALEYRLQGWQAYQMSFL >Dexi1A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:1A:21894406:21897091:1 gene:Dexi1A01G0015010 transcript:Dexi1A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRPAAGGGGGGGNWRRGGSSAAKEQRLRLGAEELLESRLGFAPYTDAERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDKMELEVEAYIRRLRWGRH >Dexi4A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:4A:1033793:1034667:1 gene:Dexi4A01G0001590 transcript:Dexi4A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHHQLDEHGDDDDRHGSQITPLLQPSAPRSSPEEEWENSPVEQVALTVPVDDDPGTPEPLTITAVSAQITVLPVGRLMAAALPERAFFRGRAWEFTLNPGPFNVKEHVLITIFANAGAGAVFGMNAITSVRIFYAQHMSFFVALLIIITSQVMGFGWAGIFRRYLVEPPAMWWPSNLVQVSLFRYHKPARVILDQ >DexiUA01G0018870.1:cds pep primary_assembly:Fonio_CM05836:UA:39549506:39550564:-1 gene:DexiUA01G0018870 transcript:DexiUA01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTPNPKREPLCPRSSNAPAAPAHTPARRGPPASVEKENLGPKSLGKGKEENGKPAAEVSTPAQVAPPPALKPSSLQHRMKDESTEAAAAAGAPAVFVGPRGRELLPPPSSSYEAWDLSDSESAPASSWATLPNRPLPQDVGRCTCVIAREAATGARGVAIYSLYTNEGQGRQDRKLAVARHRRRKGRSEFIVAQNQDGIFCTSDKNFLGTGNRVDELKSNSKRLLGVVA >Dexi2B01G0029610.1:cds pep primary_assembly:Fonio_CM05836:2B:37983774:37984159:-1 gene:Dexi2B01G0029610 transcript:Dexi2B01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVFTYNKQFHNVLAVSKADYKNCDATKPIATWSTGNDSVVLNTTGHHYFLCGFTGHCALGQKVDIRVASSSSAAPSEAPTPESSHPNAAPKALVAGGSVAATVAASLLSLAAAVLAGD >Dexi5A01G0023130.1:cds pep primary_assembly:Fonio_CM05836:5A:27199724:27200854:-1 gene:Dexi5A01G0023130 transcript:Dexi5A01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPSAANKSPSAAFQSHPTDLELVNSYLRPWVETGLKAGPFIHEADVYAADPADLTRRFAPAVAQDGEKAWYFFTPLRHKSVRGKRKARTVATGGGCWHNEAKSKPVCTRLNGKVQIGHRQSFSFVNKEGGQRVRTGWLMMELRLLREGAGERAQAEDAVGNLVLCKVYRSPRNPEPVDRDPGLKVEAADGDDESSGATEEDDDSSDEPQATAAASGLKKKSEDEESSEATVAAPSRHSKSGDEISGAAAAPGRKEKAAGDEDSAETSAAAPARKRKAPEDENSGAAAAEAATPAPKRTASGSSSPGAAPAPASTEMQCPNCGIHLAVTLKRPETKSETEIAKGEPAPGASDALPQGGDSRGSSEKDVRFHQFL >Dexi6A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:6A:12416985:12417266:-1 gene:Dexi6A01G0010120 transcript:Dexi6A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKCSMYPRCSSVRRRASHLVTFSVASPRFSAFSTSASTAATGSWPDTESMASRTGSRPRGRFGRFSRPPMRSL >Dexi2A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:2A:24300086:24300765:-1 gene:Dexi2A01G0014580 transcript:Dexi2A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLGLAAGAAARAPRLAVLASASAAGEPARRIIRRRGSPGQQSSAPASTPAAQPSVADVRRAIGVADDAASAASSREAKNTAFMDLIANTPIGQPESGPERRLREAAEWVVDTTETRACEGQKSFLVLCMTTFPVWFVLLFIALGVIKLPFDIPGLDNLLM >Dexi9B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:9B:9035494:9038812:-1 gene:Dexi9B01G0013440 transcript:Dexi9B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWPRPPAARKFRVRLVVRRAEGLPPPAPAAEPGSPERDAASPRVVAAEVRWKGPRASALGSLRRAAVRRNRTRGEAAAAWEEEFESVVTLAAASQREGAAFHPWELAFCVFSDINLGPKNKPSILGTACLNLADYASAAEEVIEIIVPLSVPGGEPDSAPSLHLTLSMVELRAVETSDASQRPAATLPLSPSSGDSLPGGKDDVSVIKAGLRKVKILTDLVSTRRSKKPCQDDEGGEDKFCVNSDGAEYPCDTESLDDDLDDRRQEDEIGDSTIRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDVGYQIEKPSTTEETVMPTAKRSILPWRKRKLSLRSLKAKGEPLLKKTYGEEGGDDIDYDRRLLTSSDESVSEGSRGEDGSANGMVSEFGDDNFVVGNWEFKEIISRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQANQNMMPIQSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLHAKIRPLTVSPSKSFIGFFQPEGDDDMSGFDFLNGAMSFDSIWDEITQAAELSSSDSPNLYIVSWNDHFFVLKVEHDAYYIIDTLGERLHEGCSQAYILKFDNSTTIHKVLAEKKPSSPESSGPLKDSSGPESSSTDQDSGNDIEENVLVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTQSSPKEITSAPQFLASDDPFEFSWPEPLQAMEVALTSAVSVV >Dexi5B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:5B:19509647:19516471:1 gene:Dexi5B01G0017670 transcript:Dexi5B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKEVFPGIEIDTSQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALLGTLNSYLIIAMGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDIARGNGVDQASHNLTLATRNTFGDLDQSVRPVAPLQFLQDAEECWTQLVYTLSQTLTSDASESATLSMKQLFGIDLVSRFSLSPFVIFFVKVHCAESGEESMETESVYSLKCHISQDVNHLHEGLKHGLKTELEKVSPALGRTAIYTRESRINELPRQKVDYPLELDVYEFCSDELKQKLQAPRQMLRDAENAKFGLKAQGKASSSKENEVGFLLSAIADPSVPKKQLTGVYDLVAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPNIRKEEDILKLSGGGDWHMAYICLYKARVAESKS >Dexi1B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:1B:23984347:23989436:-1 gene:Dexi1B01G0017640 transcript:Dexi1B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFLLLLLPVVVLVLFPSARSARDADAVVSRIAFGSCANQSAPQPIWDAVTGFDPQVFVWLGDNIYGDNKRPFRVFGKERTVGPWKNVPRFYPSTEEELRRRYQLARAQPGYARLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLMLDFLDEAEDSKRYALRITGVDLEFLHLPISSIINFELKDNNTVLQVILLDTRYHRDPILSDGTILGDPQWQWLERELHGPRSEITIIGSSIQVVSNLSATTGPLFYVESWSRFPRERERLFRLIDSSKRNGVIFISGDVHFGEITRFDCQPNFGAIEIDWNAVPPRMKLELRDVEGHSVHSVEFPISELQPSAFSIAVVLLAVICLSSIKMCSKKEKKE >Dexi6B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:6B:24785461:24786051:-1 gene:Dexi6B01G0017680 transcript:Dexi6B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNNPSIPVPPSDIAEHLGALLDSTDGADVSFIIDDETFQAHQAVVAARSPVFRVELLGSMAEATASSIALHDIAPATFKLMLRFMYTDTFPGDGELGDYPSEMIRCLLAAADRYALDRLKLMCAQKLCDNLSVDSVAVTLACAEMYNCQVLKIKCIDFFALEKNFRRAVLTDGFVQLVQQFPSIIAELRERPAT >Dexi9A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:9A:7712452:7720815:-1 gene:Dexi9A01G0012210 transcript:Dexi9A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAQADAAAVLGADPAALTALLADLTSPANEARSRAEQQFHALRGSHPDALALSLAHLLLSPAHPSAPMAAVLLRRLIAPSSQAFVYPAMSPATQSSLRALLLSAASAPALPRCVSRKLSDAVAELATFLLPANAWPDLLSFLYKSIDSQSSPPALQESALNILARLASHLAAVFPNLHGLLLAALSHPSSADVRVAGLNAAISLIQSLPSAGARDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGSEPRFLRRQLPDVIASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRIAIAVGGNTILPVAAELLPSFFSSEDWKRRHAALVTIAQIAEGSAKVMIKNLEQVVRMVLNSFQDPHPRAHAASAILNFSENCRPDILTPYLDGIVGKLLLLLQTANQMVQEAALTALASAADSSQEHFQKYYDAVMPYLKAILMNATDKSSRMLRAKSMECISLVGMAVGKQKFKDDAKHVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVSVTSAGPEDGNGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDKVTTTLVPLLKFYFHEEVRKAAISAMPELLRSAKLAIEKGQAQGRDKSYLKQLSDYIVPALVEVMHKEPEPQICSSILESLNESVQLSGTLLEENQVRSIVEGVKEVIVASANRRIERTERARAEDFDSEEEELLREENEQEDEILDQVGDCLGTLAKTFKTYFIPFFDELSMYLTPMLGKDKTSEERRIAICIFDDVAEHCREAAVRYYDAYLPSLLDACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICRFHRDSIDASQV >Dexi3A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:3A:866256:867112:-1 gene:Dexi3A01G0001200 transcript:Dexi3A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHTGRSWCASNSSKCVDDTPCCFGINCNLPGKPYGTCAFQPLTCGCGSCPSTCAFTPQTCGCASASNCTQPS >Dexi3B01G0021880.1:cds pep primary_assembly:Fonio_CM05836:3B:16760993:16763943:-1 gene:Dexi3B01G0021880 transcript:Dexi3B01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGAYTADLPAVPEWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFHMAFGERLLPFWGKAGVALSQGYLVRRAALSATAHGDTPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFSKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGVFAGSVPWFTMMILHKKSELLMRVDDTLAVFHTHAVAGLLGGVLTGLLATPELLRIESPVPGLRGAFYGGGARQVGKQLAGAAFVVVWNVVVTSLILLAIGLVTPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDAARVGGGGGGGVERDGTVEQRLSRMGAAGVTIQL >Dexi4B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:4B:1089440:1091283:1 gene:Dexi4B01G0001770 transcript:Dexi4B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRALGRRLFSSAAAASESAAAASTSAVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLNAENMRFPNPERISKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINTL >Dexi9B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:9B:402795:404923:1 gene:Dexi9B01G0000620 transcript:Dexi9B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPSKRAKRAEASSDEERSSGESEEESFSASESDGDDEGEQSSEELETVQADFAFFDPKPSDFHGVRLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKLADDEEEGEGVGGDNTDDDLFGLISVLNLGRYAEQRCIKDLKDYLLSVCSDKDTKKQLKYLLEEKASSVGLLVCRRFPTKELRDSFRFKHYLLVVRILEMKNYKEMGLVMAVKSEAIPKFRKKLEALLSE >Dexi2B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:2B:4508068:4508283:-1 gene:Dexi2B01G0004820 transcript:Dexi2B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARLYYHPPAGAPAAAAGDGGRKGAEAAVKRQQMGYEPAEIILYTVV >Dexi7A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:7A:21683598:21684232:-1 gene:Dexi7A01G0010990 transcript:Dexi7A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTAAADGRPSPERGEPAGAHHLAELVEVHPPVAVAVDASDHAPALLRRAPLLQPQRRQHGAELVDGDVPVAVGVEHPERLPHVLVLHLLLLAAAGRLLPFSGGALAGAGHDGAVELPKLLHVHAPVAVGVDARDHGRELVAGHGHPQLPQRVLQLLPPRTRAPAPAAASAAEQRKTTTTTTTSSWEEKAEPAREAAWR >Dexi2A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:2A:4823560:4829167:1 gene:Dexi2A01G0005140 transcript:Dexi2A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYNFLPFIDMLSNEFSGTRRCRAKPQMKGEEPHENSKLENGSQDTTKEAHHGVENGDAHVTRKRPRRAAACSDFKEKSIRLSEKTSVVKVKKNRMEEEEIDAVNLTKLGPEDPPPCRKLIDFILHDAEGNMQPFEMSEIDDFFITALIMPMDDDLEKERERGVRCEGFGRIEDWNISGYDEGTAVVWVSTDVADYECVKPAANYKSYFDHFYEKAQVCVEVFKKLTRSAGGNPNQGLDELLASVVRSINAMKGYSGTMSKDLVISIGEFVYNQLVGLDQTSGNDDEKFATLPVLLALRDQCKSRVELTKLPSNVSNASLKIKDTESEKEIIEDDDAKLARLLQQEEEWKMMKKQRGRRGTPSQKNVYIKISEAEIANDYPLPAYYKPASQEMDEYIFDSDDSIFSDDVPVRILNNWALYNADSRLISLELVPMKSGAENDVVVFGSGFMREDDGSCCSTAEAMKSSSSSSKADQSDAGVPIYLSPIKEWLIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAISIMTLLKEQSRASKLSFADVIKKVAEFDKGNPAFISSNIALVERYIVVHGQIILQQFADFPDETIRRSAFVSGLLLKMEQRRHTKLVMKKKTQVMRGENLNPSAAMGPASRRKVMRATTTRLINRFWSDYYAHNFPEDSKEEDGNETKEIDDEQEENEDDDAEDEVQIEEENISKTPPSTKSRKLLSQTCKEIRWEGETSGKTSSGETLYKCAYVRELRIAVGGTVALEDDSGETVMCFVEYMFQKIGGAKMVHGRMLQKGLQTILGNAANDREVFLTTDCLEFKLDDIKELVTVDIQSRPWGHKYRKENSEAARVEQAKAEERKKKGLPMEYFCKSLYWPEKGAFFALARDKMGLGSGVCSSCDNTEPDSDELKVLSKTSFIYGKVTYNVDDFLYIRPEFFSQDEDRATFKAGRNVGLKPYAVCRILAIPEGAGSKKLNPASTKISARRFYRPDDISSTKAYASDIREVYYSEDIIDVPVDMIEGKCEVRKKNDIPSSDLPVMFEHVFFCELIYDRATGALKQLPPNVRFMQKTAGALKKNKGKQICETDQVDSGKWFDVPKENRLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFSKNHPEAVVFIDNCNVILKAIMDKCGDTDDCISTSEAAEQAAKLAEENISNLPVPGEVEFINGGPPCQVRFGILEAGAFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGQYYAAARSTAGGAPFRAITVRDTIGDLPKVENGANKLTLEYGGEPVSWFQKKIRGNMMVLNDHISKEMNELNLIRCQHIPKRPGCDWHDLPDEKVKLSNGQMADLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYEFAGSIQSKHRQIGNAVPPPLAYALGRKLKEAVDAKRQEAGVAAPET >Dexi8B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:8B:23066376:23067554:-1 gene:Dexi8B01G0013220 transcript:Dexi8B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTGPSWSDIPVDLAGRILRHLPAYVDRLRFAAVCPQWRAAARLGPLPPPLPLLLLSDSTVYSLPGSKPLHLLVPCCCTGYTDYEPDRCKLLFCSLDLVVAIVSLWSGRRLAVCKPGAATSWWYVSADGQYSDFVGMTFHQGKLYALTSMDELFAIEVSLDHSTGDPWVTQMRPVINNPPLFIPAEFFMETKVTYLVEARGALLMVLRKMQPCYAQGVTGIAIFETTAGVQNEFEVFQANFRKSQWTKVTTLGDDQILFLRQQCCESVCVSHDEVPGDCIFFLENEDEDRLWSKASSSSCSVYSMRDGKISTPLPTVSWKRGTVFATWLFPEN >Dexi7A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:7A:14624446:14625217:1 gene:Dexi7A01G0004260 transcript:Dexi7A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSVYAYLLDILVPGFDVKSSAMQKVGYNRADFVLKIAKGIGCKVFLSPDHIVHGVPTMNLAFVAQIFHRSNGLSNKGIPPPVNQTLHEIEVSREKRFYQLWINSLGISTCIYTFFEDLKDGWVLLQLFDKVSPKSVDWSIANRGPIAQPSKMMENCNQVLQIARKLKFYLPGISGMHIFQGRKTAVLS >Dexi4A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:4A:4239527:4241791:-1 gene:Dexi4A01G0005930 transcript:Dexi4A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCGRGALPLVFVAISPALLTYNALLSSHSGDLLPLASSSFPTAVTASGSVYNTWQCRVMYHWFKEARRAPGGGDMGGFTRILHSGKPDEFVDEIPTFVADPLAQGDQGYIVLNRPWAFVQWLQKADIKEEYILMAEPDHIIVKPIPNLSRDGRPAAFLFFYIEPKKNENVLRKFFPEDMGPITNIDPIGNSPVIIDKESLARITPAWMNVSIAMKKDPETDKTFGWVLEMYGYAVASALHGVSHSLRKDFMIQPPWDLEVGDAFIIHYTYGCDFDREVHDFIF >Dexi4A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:4A:1129765:1132787:1 gene:Dexi4A01G0001720 transcript:Dexi4A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKDVARRSTKKYVEEALYRRLFRKGSTPQAVREEVDGFLDSRKRAFKWEVGVCVNRMRRSALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKAHLTYGALLNCYCKELMTEKAESLMEKMKELNFAFTAMSFNSLMTLYTKVGQPEKVPSIIQDMKGDDVLPDVFTYNVWMRALAALKDIPGVERVIEEMKRDGRVTPDWTTYSNLASIYVNAGLFEKAEAALKELEKRNTSNDIEAYQFLITLYGRTQNLVEVHRVWRSLKRNCPRKANMSYLNMIQVLANLKDLPAAEACFKEWEAQYIHPPKTNTKDSGASITESESSTNTPSNQSDVKGTKGVEELELKHPKYDIRVANAMIKAYVAEGMLDKAIALKKRAKMRGGRLNAKTWEIFMEHYLKAGDLKMAHWCADRAMKKGHSSGRIWVPPRDVTETLMNYFEKNKDVDGAEQYVEVLKKVQKDLGTVVFEPLVRTYAAAGKKFPGMRQRLKIENVEVGEETAELLDSICVDQ >Dexi6B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:6B:7636003:7640579:1 gene:Dexi6B01G0006790 transcript:Dexi6B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSPSQLAADSSVDWRGRPCHPRRHGGMRAAVFVLVFQSAQTMALAAVGSNLITFVFGELHFPLSEAANVVTNFVGTVFILSPLGGFLSDSYTGCFWTLLAFAAVELAGVILLSVQAHLPQLKSAPCNMLTMVGSCERASGFKATIFFVALYLVALGSGCVMPNMTAYGGDQFTGATDKRLSTYFNLSYFGFCAGELVALTAIVWAQTRYGMDVGFGLAAAAVMLGIISLVSGVLFYRNKPPRGSIFTPIARVFVAAFTKRKQICPSGSSNPANGGAGDPAAHVEDNFRHANKFRFLDKACIRVAPEPDTAPESKWRLCTAAEVRQAKTLLAVMPIVACTIVFNTVLAQLQTFSVQQGSVMDTRLSSSSSSFAIPPASLQAIPYAMLILLVPAYELLVPLARRLTGTRSGITPLQRIGVGLAVVALSMASAALVERRRRDAAVSGGGERLSVLWLVPQFLIFGVSELFTNVGLMEFFYKQASAAGTTMQAFFMALFYCSFSFGFFLSSVLVSLVNKATARGAGRHAWLGDNDLNKDRLDLFYWVLAVLSVLNFFCYLLCARWYNSGAGGSDDEASSGEVVSEDDDDGKGLI >DexiUA01G0014030.1:cds pep primary_assembly:Fonio_CM05836:UA:29841729:29842355:-1 gene:DexiUA01G0014030 transcript:DexiUA01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDGDGGEVTAPPQHLVFAYYITGHGFGHATRALEVRLSFPVVRHLVAAGHDVHVVTAAPEFVFTTEISSTCLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILKTEVDWLNSIKADLVD >Dexi8B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:8B:21143902:21144273:-1 gene:Dexi8B01G0011890 transcript:Dexi8B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDGREAGSHQRCGGSPGPPCAHLASPRAHSIARRLATVTPRGVHSTLLSYQSHRIHSFDLAAAPPCSASTACGAPSSFFSARRTSLPPLLLHCRCNGEVLLLLRKRAPWRGSCSVSGEA >Dexi5B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:5B:8765203:8767804:-1 gene:Dexi5B01G0012380 transcript:Dexi5B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPETQPKQAAAEDAAMDTEAGAGEERSAAAVAGLLRGFLAVQQRRAEAYSTLRRGFSVYMANGGELAYQQLCGNITAEFNDCSKQVLEMISLLSMPEFCRNDLADILKDVQAHEKEKLHLTAKIQVLKKAGPPSERLVNHADCRSRSATQHVCVHVKEITEAAGTEDAEADAEFEAALKEAIQGVQEAVTSINEHMEELRYEIEALEAETVGSRLTEVEEAFPSTLSIK >Dexi3B01G0023970.1:cds pep primary_assembly:Fonio_CM05836:3B:18706729:18707243:-1 gene:Dexi3B01G0023970 transcript:Dexi3B01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSSPFKCQFTQANCAAGQADDTLENLDDVTPKVFDNKYYGNLLHGRAKLPSDQVMLSDPAAAATTAPVVHRFAGNQKDFFRNFAASMVKMGNIGPLTGNDGEIRKICRRINSKGY >Dexi4B01G0024030.1:cds pep primary_assembly:Fonio_CM05836:4B:25235536:25238954:-1 gene:Dexi4B01G0024030 transcript:Dexi4B01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLSLLLVSALAGAAAGGDIVHHDDEAPKIPGCNNDFILVKVQSWVNGKEGDEFVGVGARFGPKIVSKEKHANRTKLTLADPIECCSPPKDKVSGDILLVQRGKCKFTKKAKFAEAAELYKMVCEKNETDLDIHIPAVLLPKDAGSALHTLLTSGKAVSVQLYSPDRPVVDTAEGLQTCLVALLSRWFKPAAESFVKVPFIGAVSHLTLAVCPFCIAFAVLWAVFRQLPYAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPIYDFVAKKSLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLIALGWKRGELQNLWMRGEPERVCTHMHIPLLPATPN >Dexi4A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:4A:3941455:3942113:-1 gene:Dexi4A01G0005420 transcript:Dexi4A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIWISITSSPSRLPRSHAQHGCRLRDGSRVRPPPIPCPAAALRARRPPSIPRVAGHLDNLDRISWSGSSSPPSSSIGLLASVATVEGRLVPSVFEDDQPSKRFGNRVQIGLDLFHQACGLVHFKNMCQSLTMLVTPPRQVLLASMHVAAAKAMEAKAPVDEYVARTHATGRW >Dexi9A01G0044940.1:cds pep primary_assembly:Fonio_CM05836:9A:48568034:48570084:1 gene:Dexi9A01G0044940 transcript:Dexi9A01G0044940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGRAAAAASSGSEDEEDEAGYSRSYFLAKEKEPSSSKKRARASAGKLSDLNLVDEQVLRASLAEIPPKHEEEVEALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKQLLEDFASTTLTDFTVIVINGYLPSINLKQVIVTIAEMFWDKAKSKRKRQPGTRSQLSQPFPSQSFNDIISFLKSQTSDDVDDQVCLLIHNIDGPALRDAEAQQWLAQVSCCPQVHIVASIDHVNAPLLWDKKMVHTQYKWSWYHVPTFAPYKVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKVRKHSDGQDCLRIPLVSDALEKLLQELA >Dexi4B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:4B:6342191:6342940:1 gene:Dexi4B01G0008830 transcript:Dexi4B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDLGGHVTWVARDVGAEAEEPSATRFASAEHAVRELCQPTSHARRANDAGEQAVVPEMGGLVRTAEGYGLMYRPIVDQLVVAKVAFDRHGARAGDFEFAGLPKKHGPERWSAVWVRAQHVVEGRRGSRVEERVALRGMRVSSCALHPYEVARGVDLEEVVLLRVAGADSDDHEAWQA >Dexi2A01G0034490.1:cds pep primary_assembly:Fonio_CM05836:2A:44437890:44439995:-1 gene:Dexi2A01G0034490 transcript:Dexi2A01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCGAEAPQQAKKRRARVLELSRRLKHRGPDWSGLRQVGDDCYLSHQRLAIIDPASGDQPLYNEDHSSFMAARDAIGVTPLYVGWGIDGTVWISSEMKALHDECEHFDIFPPGHLYSSTFGFTFRRWYNPPWYDETTTIPSTPYNPAALREAFEKAVTKRLMADVPFGVLLSGGLDSSLVAAVAARHLSATTTKNAGGNDHGWGTKLHSFCVGLSGSPDLKAAREVADYLGTHHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMDIDPEWKMVRPDLGRIEKWVLRKAFDVEEHPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVTDKMLSNAKFIFPHNTPTTKEAYYYRMIFERLFPEKPAILTVPGGPSVACSTAKAIEWDAQWSANPDPSGRAALGVHLSAYQSESGGSESGEQRVLPDTIAAGAKKARTIKVTTTTPPGVAIEG >Dexi1B01G0028080.1:cds pep primary_assembly:Fonio_CM05836:1B:32700379:32702129:1 gene:Dexi1B01G0028080 transcript:Dexi1B01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLHTERLNQEGECGSSSRDVGARGGPGSPSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQVGTDTLLGHLSVASIYAGNDSVELKGPEVIADLKYLLNLLTLCWHFSKKPFPLFLEATGYSAEDVLMQEPKAGIVGHSLGGGTAALLTYTLREQKEFASSTCVAFAPGFHITCG >Dexi1A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:1A:8097534:8099306:-1 gene:Dexi1A01G0009760 transcript:Dexi1A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVVGPEHIAQVVSKWTGIPATRLGQDERRRLLELPERLRQRVVGQDEAVSAVADAVVRSRSGLGNPKQPAGSFLFVGATGVGKTELAKALAEQLFGDERHLVRIDMSEYVDHTSVARLIGAPPGTVGYEKGGQLTDQVMQRPYSVVLLDEVEKGDDAVTNLFLQILDDGRLTDSKGRTVDFTNTIIIMTSNLGAHHLTGGGGDDDDDADAQHQRVIADVQRHFRPELINRLDETVVFRPLSGDTMREVVKLQVAGIAARLADSRGIGLDVTDAAAGVVLSTSSGEVAMYGARPIKLCLQSMVMTRISRMMVQGEVEDGCHISIDAADDDMEEEELVFKVKKPEKEAPPPPSSPASVKDAEISSLDTNNEPVKDCNISAFDANEPVLDKTGQVKPASS >Dexi7A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:7A:2653670:2654914:-1 gene:Dexi7A01G0000980 transcript:Dexi7A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALESLTIAAVFIFDRPIDQPAETIRRALSRALVPYHPIAGRLTVGEHGLQRFSCTCEGVAFVGASARCTLHDARLTDRRPVIPVEDLTVTEFSCGGFTVGVTWNHVITDGVGMAQFLQAVGGFACGLSSPSSVEALRVDSALTELPPPIITLTKEMVSRKHNEFPNTYITVPMSFINRIKDKFHRSNGESCTAFDVFTAAIWKCRARATIGAGAANQDAPTAFVFTANVRKQAGAKDGYYGNVFTFGLAACTVGAVANGDILDLVRLIRDAKARVPYTFTDGAANIADEMGGRLKGLDGYNTLYVTSWWNLGLDDVDFGSGGPARIMGNMERKVVPACILCGRKDKADGVAAMAFCVKPEHAEAFHAELGMLRASPAGPVNLSPYLSFPGRPV >Dexi9B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:9B:9628985:9629307:-1 gene:Dexi9B01G0014380 transcript:Dexi9B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSEGRDRAGTARSRAGRASVETAREREREQEDEGEFGSTEPPPWTALDWTRLAGSRPAWAVLVALRAGCGGAGSAGDLGR >Dexi3A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:3A:5830938:5831897:1 gene:Dexi3A01G0008320 transcript:Dexi3A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHKSAYIHRSGEHQLQAAPSTCDLAAAVDDVVPANGHHHKPGKAVTASVYRAKIAGHSRVVTVSWSRDLLSHAFTIAISGADGASAECRVELRPWQFWRRAGSRRVELSGGASTAPATVRVLWDLRRARFAGAGIPEPRFGYFVALEAAGEVVLVHGDLRRDALRRAAPCAAADAEAVPVARREHVFGRRRFAAKARFHDHGDVHDIAIECGGERGEGDGDMEMSIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGTRPALFIFRPIVLSSSSAPAGMAAGMLAGTVSTTGGFCLYLYAWKLD >Dexi5A01G0036720.1:cds pep primary_assembly:Fonio_CM05836:5A:38139410:38141911:1 gene:Dexi5A01G0036720 transcript:Dexi5A01G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCAGGGDDGGRSERVKAAIFSARASALAAVNGQGRGTGLLIHRNLLLTTHGNLPSAAAAEDGEAVLGHGRLVARLEPHRFFITSSILDLTIVGLDYTEGDSTLQSQQPHYLKTSCKPSLDHGSAVYVLGHTGKKELVIGEGKVVIGTDNLIKLATDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTVRSSSASSSSSHSWKKDYPMQFGIPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPEDENDDASVCSKPKYQQASGSSTTARISHEANPLVDLRTSSEQGTATPEICESPRRSSCQAQKDAAPIQLLDINFPPRAPKTIFLPLPLKQMLSDENNVETSEPRNRSKDNGFPSGLIWHRNSEAECRDPPVAPQHDDCSSEGQSSSSPVEMMEYGGQDHYSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYSRWSSPRTSSMQNGTLRKQHTLIPVRKTHSQNTALPQRSHDYLSPTVSSAMKKRNSTEQQQPTKPRRSAVQSSPKWMF >Dexi5A01G0038280.1:cds pep primary_assembly:Fonio_CM05836:5A:39303952:39308985:1 gene:Dexi5A01G0038280 transcript:Dexi5A01G0038280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRNKSMEFLKRFEMPAKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSQVETQRRNIQEKLRVALYVQKAALQFIDAARKTEHPLPELARQCGFSISAEELATIVRNHDAKSLRHHKGVDGIARKINVSLADGIKSDDTGVRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCALISVVIGLATEGWPGSMYDGLGIVLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDMQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHLSNANPFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVNKAQAPGGLLRWQGMDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMEERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWASGAAQTVSTAKGFDELKSSVSENFTKVLLEGVFHCSGSEVVTNKDGKTTIMGTPTETAILEFGLEVEKYTKVEHAIAKKLKVEPFNSVKKMMAVVVASPYAAGHPRAFLKGASEVVLRRCSSVIDGIGCVEKLTEAKAKRVASAIDAFACEALRTLCLAYQDVSRGGEVPNDGYTLIAVFGIKDPLRPGVREAVKTCHDAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRVMARSLPLDKHTLVTNLRGMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNGAMMQRSPVGRGDNFITKVMWRNIIGQSIYQLIVLGVLIFKGKSLLQLNGDRSDIQLNTFIFNTFVFCQVFNEVNSREMEKINVFKGIFSSWIFTAVAGATAAFQVIIVELLGTFASTVHLSGRLWLTSILIGSVSLVIGAILKLIPVDSSTDSSDRHDGYQPIPTGPNAV >Dexi5B01G0039890.1:cds pep primary_assembly:Fonio_CM05836:5B:38541258:38542046:-1 gene:Dexi5B01G0039890 transcript:Dexi5B01G0039890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVDDYDLDLLGGGDDERVMEWETGLPAADELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRAGSTSSSGRSFQAFAPPAAAAVASAAAATAASRDEAAADGNTNGGKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKGMQGLSNEGPSASDHIFASTPVPHSLVNDPGPQVPTAPAPYYHHHHAPAMQHMLAGHGHYHQQQQQQQQQAYHYHHRGHADK >Dexi9B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:9B:5332515:5333585:1 gene:Dexi9B01G0008750 transcript:Dexi9B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWKGNDFPKEKARHLYLVFDDWSWGYSIRKIDLLAGDGDCEGSRPSSSSMSDVDYNLPPPIFRFQAPRGHPNYFAGAFDSKILAMHPMDPQFSLNPNAGVPIFDVRKRCLMVGPRQRPDLLHPIYVPVGGRLFSLAAGSFQLLYPPPDANSYSEGFVWTWQTLPDPPFDHKQVTSYAVHRDGRTMFVSTRDSPAIFSFDTAESARNACMWKQHAQCQLPFNGRGYFVAELGALDVKFCNVNISIPDLLLGTTLNGGLTTGDSCRCRSYINFPGNVSELMHENPVAFWM >Dexi6B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:6B:22615617:22618870:1 gene:Dexi6B01G0015280 transcript:Dexi6B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLHPNPLAPLRASFSSSLATRLRLPFPTCASSAPLLSRSTRRRWPPLLRVQASGEPIRRSGASGLDALLSAAELLCLAPPAICSVVCAARLVFSPSSVSASAGPPPLGGGKLLVLQYLLLVGAVAIGSLIRRRQSGWLRPAGGAAEGLGVGSVERLEKVEDSVRGLVAAVSVLSRIVEKLGVRFRVLRRTLRDSISETATLSQKNSEATRILAAQEHLLEKEIGAIQKVLYAMQEQQQKQLDLILAIGEASTILGGEQDLLEGDRARSPSTDPTAEIETKQAKINSGAVTGGNNIP >Dexi3A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:3A:4501975:4505035:1 gene:Dexi3A01G0006740 transcript:Dexi3A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVQLHSLLFKLLLRRRLSTLSAPDAPAAPPFGVSSRPAEQRPSPPSNPSFSPNSPDGVATKDLHPDPLSSLHLRLFLPNPNHHSAATAAASPVPHPLRRNSFPQRDPAPGQDHLSRRASASFHAVGVSPAPAPSPSPRDYGGYLPTAGAARSAARRRLPVIVQFHGGAFVTGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVAVLRWIAKQANLAACGRTMMGKGTGADSFGAAMVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVETGKLLDPVRVVAQVLMYPFFMGSVPTRSELKLANSYFYDKSSCLLAWKIFLPEGEFNLDHPAANPLVPGRGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPQAQACAEDIAIWIKKYISLRGHELSY >Dexi4B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:4B:5515280:5515825:-1 gene:Dexi4B01G0007650 transcript:Dexi4B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGVCYCYASLKIRDSLPEPAPPPAHRPRLSSTPAANRAPFSALPEAPRPPEPPPPLRAPAPAPPPGPGRVRNRAGMGFIGAHGVETLKRYKYSGEDRSVVAKYVLQPFWSRCVTLFPLWMP >Dexi2A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:2A:11726476:11727569:1 gene:Dexi2A01G0010570 transcript:Dexi2A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFAFRNNCHVPIYPGVLTNPDRPAFPTSGFMLLPKTATRYHSVPPNWAGRIWPRHRCVPSGEGGLTCDSGDCAGRLQCSGAGGQAPSTLAEFTLSAHDGKDFYDISNVDGFNVPLQIVPAGAGCATVTCGADIVRECPPDLEVKAPDGARVGCKSGT >Dexi5A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:5A:4867356:4868012:-1 gene:Dexi5A01G0006620 transcript:Dexi5A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAAFCGLARKRLMAGNVKCPGQWCRPTEYGGLGIHDMKIAAYSLRLRWLWLKRTDRNRPWKDLELAFGNDPVVAAMFQNSVDIQLGDGALALFWTDRWHGASSPCIAAADLCKLIKPATAKKRTVAQALANRTWISDIKGRLTIPALEQFIYLWHATNQCHLSPGTEDTFRWRWTPSGTYSAQSAYRQFFVGATRMAAAQPLWKAWAPLKVKFTI >Dexi1B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:1B:25860567:25860983:1 gene:Dexi1B01G0019620 transcript:Dexi1B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPSTQMALSRRMAAPVLVFLLLLVATEMGPARVAEARHCLSQSHHFKGLCLSSSNCANVCHGERFPGGECHTEGGTRKCFCKRVC >Dexi4B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:4B:511372:513325:1 gene:Dexi4B01G0000780 transcript:Dexi4B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGRRPATPLQVLLLTLLLFAASAAALPAMDRVRWQLNRVNQRGASLGLVMSYVDEATALQASGYFKPWSVLPFVDLYGRRFHIGSIRGVNVVYALTAQRRLNAAVTVQTLIDVFSVSGIVHYGTAGSSNDSMSFGDVSVPKLVAYTGAWTWKKFRSPKESSAELSFAQYNTPNGGENLLGSLKYRNEELYSVGKPMQEVFWLPVDSKWFKIAEQLKVKLERCNDTFCLPTTPQVVYGLKGSSADMFLDNAEYRKFLFKEFGVSTVDEESAAVVMTTTSPGVPVIVFRGVSDLAAGNQHGHQQA >Dexi5B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:5B:3113648:3113900:1 gene:Dexi5B01G0004610 transcript:Dexi5B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKMYNPPATQEMSYYGHVQKRHHDRGCLYACLFTACCCFCCYETCECCLDCLCCCG >Dexi3B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:3B:2656378:2658420:-1 gene:Dexi3B01G0003900 transcript:Dexi3B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSQAPYKSSSFSQATTRDDTARTREVDRNFSLGALRDKRDHHPPHHHHSREHHAIISEEDEQADQDAEATAGHGDGGAGEDRGDPDLAALSAEVDAFVAGQDNAVTVTEATLDKFASAVELLVARSEGSSDHKWASDGDPPALLAAIARIAALASALGKSPEAGGGKHTAAVHRVTGVLHRAMAFLEDEFHELLDDPYANHKASAGGEHEPDRCVLPGAAAPASSSETKEAALPYPPETVDRLRAMADAMIAAGYATECTQMFLVARRNAFDAALQGLGYEKSNIDDVVKMTWESLEAEVVTWTKAFRHAINVGLSTEHDLCTRVFAGAHAAVGRGIFADLARCVMLHMLSFTEAVAMTKRAAEKLFKVLDMYEAVRDASPVIEAFLSAADDEPSSALTELKSELASVRSRLSESAAAIFHELEGSIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYNATLEQVFREHHRRGSHGDGGDGNPFSAQLMEVMELLHGNLEAKSRLYKDPSLSNIFLMNNGRYMLQKIRGSAEINAMLGEAWARKQSTNLRQYHKNYQRETWSRVLSMLRDDGVITVKGHVQKPVLKERFKQFNAAMDEIHRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQHLSAGRQTEKYVKLSAEDVETIIDELFDGNATSMVRRRT >Dexi3B01G0035200.1:cds pep primary_assembly:Fonio_CM05836:3B:37839336:37840180:1 gene:Dexi3B01G0035200 transcript:Dexi3B01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPKKLARVDTHELKAQIVKRLGHQRAELYFRSLSRFLGCQLGKGEFEKICVAAFGKENIKLHNLLVRSILGNACLSDGPPPSKQAPTGNSQTSTVSNGTLTNGLLTARRVRPLGKRFGDKPSPIGKSPLGHPGAGEFVSAGSKALQEVISVEDGEEVDQARGSPVCVQSQSPIRAPLGVQKAQNSQPSTSCSSDVCYNNGELPDSQSLSKLLEDKLKAQGCFGCCKSKGKQLEDAPSKRTQCCCCCIYWAE >Dexi3A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:3A:9886006:9888759:-1 gene:Dexi3A01G0013590 transcript:Dexi3A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYTLLRDPRHNKSLAFSEAERDAHYLRGLLPPTIASQELQEKKMMHNLRQYTVPLHQYVAMMDLQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQSLLDDEFYIGLRQKRATGEEYHELLEEFMTAVKKNYGEKVLTQFEDFANHNAFDLLAKYSKSHLVFNDDIQAGTGIAELIALEMSKHTETPIDDCRKKIWLVDSKGLIVESRKDLLQAFKKPWAHEHEPLKTLLEAVESIKPTVLIGTSGVGQTFTKEVVEAMASFNDKPVIFALSNPTSHSECTAQEAYTWSDGRAVFASGSPFAAVEHDGKTHVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVTDEHFAKGLIFPPFTNIRTISARIAAKVAAKAYELGLASRLPRPDDLVKYAQSCMYTPTYRSYR >DexiUA01G0018900.1:cds pep primary_assembly:Fonio_CM05836:UA:39572277:39579575:1 gene:DexiUA01G0018900 transcript:DexiUA01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKTDPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKESEKKKEEERPKEKEKGKPRAIDKFMEELKFEQEQREKRNQDRDHRREGRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRVDGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVVLSGPGGPAVASVTPQTSELVLTPNVPDIVVTPPDDGRLRHVIDTMALHVLDGGCGFEQAIMERGRGNPLFNFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSFNRSPEREKESTFAAGRSRANLPFLVQRVEVERTLTESQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLSESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSKDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVDMKYAQGEQHRTGREDSSLNARSALRFGETPNGDELDVSRNSMGAGKGRSRESASGDLESFPSKKPKHDPVLPASKWSREDEISDDEDRKGGRGLGLSYSSGSDAGDLGKADTSEVSTDHAIHHQDTIIDEEHRQKLRQIEISVMQYRESLEEQGLRNMDEIERKVASHRRRLQSEYGLSTSTDGANSRKSSERTSLERKEKHDDAHDYARKRRRSQSRSRSPPRKSQERDRERNCSRDRSHGNDVGRDRAREKSTSRGRDDHYDRSRDREKDRRKGR >Dexi5A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:5A:5899339:5899674:-1 gene:Dexi5A01G0007920 transcript:Dexi5A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSATEARVLATGRASPAKPPTAAPTPVGTISTDGLLKQGSRTSADGEMKRARMRRRGAAACDGVKRAVMNGGARGSAIASPGAPPACGRKSRERAERKDKARAAALG >Dexi3B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:3B:9712493:9713895:1 gene:Dexi3B01G0013590 transcript:Dexi3B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSRAAAPSTGDEAFTDAGAEDVGDSRLSALLFDVSQQVQGGLQTMLKISSEIERCDSEIEAEVVRAREAVAEKSRALDDDRERVQKAALAALDILSGGRGAI >Dexi4A01G0024520.1:cds pep primary_assembly:Fonio_CM05836:4A:27264419:27266247:1 gene:Dexi4A01G0024520 transcript:Dexi4A01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVHEPLVRRKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIYLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYTPVKGNLKEIAQAYADSFAPREGHPTEVPDFVEGMVYTESEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLFKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >Dexi9B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:9B:8986184:8987269:-1 gene:Dexi9B01G0013330 transcript:Dexi9B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPYTSPFVLSLLLLLSIPVVFFLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSATPTPPTSAASYFFRRRPSPKIAFLFLTNSDLVFSPLWEKFFRGHKNLFNLYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPNNQFFALLSQSCIPLHPFPTLYNALLSETAGPHSRHRSFIEIMDNMDNDTTLLHDRYYARGDEVMLPEVPYNQFRAGSQFFVLTRRHAIMVVRDMRLWKKFKQPCLIERRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDSVAGHPHMYGPREVSASLIRELRKSNNSHSYMFARKFSPECLEPLMEIADSVILRD >Dexi6A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:6A:22373839:22377295:1 gene:Dexi6A01G0015010 transcript:Dexi6A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMGRSRFWSRQGDIDSDEDEVESEGNLSDEVPVPITAHEEQRVGRYYLDNSSDSDELNTHRVVRPLKVKLKEEMWSTVEQIRNAIKINDWVSLQGCFENLNKQLEKVIRVNESNKVPNTYILALTLLEDFLTQSLANKETKNKMNSSNSKALVSMKQNLKKNNKQYADLIMKCRDNPECFHKEDANDDGKDDGDNEYDNQSEITWEIVDKKLKEIVASRGKKAQFDINPSLLGHMPISVWQSCANSILLVLDILQQYPNIVIDNSVDPAVKETCAGADYDGTIHVSGDLAAFLERLDSEYFKSLQCTDPHTKDYVERLRDEPLFMVVAQNVQSYLERVGNFRASAKERLEKRRQIPYHMHINHELLEAAYFISAMLIEVPCMAAGTYSNRKPVNKTFRGLLEFSEKLTFVGPPENVRGHIMAAARTLKTGDYQKAFDVISSLEIWNLWRSREHVLDMLKVKVKEAALKTYLVSYSSCYGSLSLGQLSVMFDLTESHIHSIVSMMMMQEELQERWDQPTRSIIFQNAEQTRLQRLLSQIADNLSVIVERNEMAYSGKSLVEEAPRHRAENQDPSKMGSWQGNLVSSQAKHSGRRPGYTGGATGFAQADKMHQKNRNDKALHGSYGRVQAYPSATRMVNLKRAIGV >Dexi6A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:6A:9627946:9628322:-1 gene:Dexi6A01G0008870 transcript:Dexi6A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSKNPSAAVAIVLLLIVMTAKMYCVEGNYCKHLSGSYHGWCITDGDCDSKCIDEDKRNVSGDCGDFPPRCYCYTFCSP >DexiUA01G0011120.1:cds pep primary_assembly:Fonio_CM05836:UA:22363402:22364177:-1 gene:DexiUA01G0011120 transcript:DexiUA01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALVILFGGSKAHRQVDGNHKKPIQKFRPYNIAHRGSNGELPKETEAAYLRAIQEGADFIETDVLASKDGHLICSHDVMLDTTTDVANRSEFANRKISYVVQGFNS >Dexi3B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:3B:8035789:8037628:1 gene:Dexi3B01G0011400 transcript:Dexi3B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGAAEARAAIFGHALNPTGKRAATKLLRKKIIGDQVAQWYPYDIKRDDPLVMAREEKERLSKLEMLKRRGKGPPKKGQGRRAVKRSK >Dexi9B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:9B:9599046:9600947:1 gene:Dexi9B01G0014340 transcript:Dexi9B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESRNPYRGYRPAYRGHNRSAAHARGVYGMELQEQGDAPRAAAEPTDEIATLREFTASDADAEAFMSWASDPLVARFQSRDAFEHVGQARRYIADHVLPHPWYRAICVGSGSGSGAAVVVGSISVNPAPAEADGRLFRASVGYHVARAQWGRGVATRAVRTAAKDVFAAWPWLRRLEAVADVENPASQRVLEKAGFVREGVLRKYIVLKGRPRDMVMFSLRHWALDGLRCSALLCSASPPLEQTMEPSADGDVQDRQQRLEEVEGDAAATPVPVVSLRPLGLEDTDAFMAWASDDRVMRFLKRPLCATREQAVAQIRDTVLGHPWFRAICVGGGDLPVGQVSVWPYADEGSRRANLGYALARDHWGRGIVTAAIKMVVARVFDDLPGLERLEAVTDVENVRSQRVLEKAGFQREGVLRRYIAGRGGGQAKDAVIYSFLSSDRP >Dexi1A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:1A:2387323:2389865:1 gene:Dexi1A01G0003320 transcript:Dexi1A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAPPSRGGPGGDLDEPSLARLSLRPIRTAPSPPIHNAFQFPVSYASVVRRGLPTCAHLLYRLPTFGSQDSGKWHSSDNEDDSYFESLVNNFIIAANDACKPPKDNIDKKASTQRQSDRYAESALKYYNNDKDNKVKYDLISAITSCGIVDRARCYGHVNFIAKGNQENSKEELFFAELRLGSHVPTCVVSLEGAKIVGGLRGSKYDGLGESKYDRLDVKCIYIDAQHCYGCGSKVKHPKNGALYQSGHVACSGFYHG >DexiUA01G0017540.1:cds pep primary_assembly:Fonio_CM05836:UA:36857466:36858118:-1 gene:DexiUA01G0017540 transcript:DexiUA01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPTAGHRLPTHTHTVLVWHIATALCDVVEDDDPTATTTSDDDRRHRLIATSLSGYCAYLLAFIPEMLPDHSYAAKQILDAVVLEARHHLGRAKDMPERCKEMLLRLGAAAGGARVEDTPILQLGARLGSLLVAVDKARRWKLLAEFWAELVLFLSPSDNADVHAENLARGGQFMTHLWALLTHAGILERDDIPAPVV >Dexi8B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:8B:24050069:24053569:1 gene:Dexi8B01G0013650 transcript:Dexi8B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGDRRPGEAAGGGVVVMFAWLSSQERHVRAYVELYAARGWACLVCHSEFPTLFFPEKAAMLADKVLGELVKELKIRPVPVVFASFSGGPKGCTYKVLQDEYQLVRDCLCGQIYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMAKGVASGLDALFINKFEEQRKDYWETLYSSAHIGPILILCSEDDQLAPYSVVEKFCQRLLELGGDVNLVKWHSSPHVGHYKHHPEEYRTAVTELLMKASALYMSRREQNGYEVDTSEHRDMPPSTSNHQRTAASSNNRLRRAPIDPTDEFLLPSSMEYHESSERPTPGLFNMPSVESLSLHGVLGHVLYDVCVPKNVEGWDLKPSASRHTHAVANRHGSFNPMKCVRRSRL >Dexi6B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:6B:26490003:26499056:1 gene:Dexi6B01G0019890 transcript:Dexi6B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSAPSSPRSNKANIEEELQTLKATISSPSVTIETIVDGLNKIVSIYNCIDELTCLPSNQRQQRKAVEEELERSLALLDLCNAMQENYGELKVSVQEMQMVLKRGDVAPLSVKVQSYVRLAKKAQKQFKKINSKAASDIEGCRVVMLLAEAREIAVSMLESTSHLLAKKIAMPSASKWSLVSKAFQKKKVVCEEEQLQALELDIRKRFHSFHYISNMACHLRSVSVPSSPRSNETDVEGQLQSLKATISSPSATIGTIVDGLSKLGSIYSCIDELICFPSRQRQQTKAVEEELECSLILLDLFSAMQESFTELRASIQDMQLALKRGDDVAVQGNAKCYARSAKKVQKQFKKINNKVALDTESCRMIKLLSEAREITLSILESTLHLLSQEIVVPSSKWSLVSKAFQKKRVACQEGQLQSSSVLAMASILRSESLPSSLRSDKINIEEQLESLKATISSATIETMVDCIQSLGGVYNNIEEMMCSPSGQLSLCQPQQKKAVEQELEKSLILLDLCNAIQENISELKTSIQDMQLVIKRGDDSALQAKIQSYIRLAKKAQKQFKKISKKPTTVDQDNCRVVKQLAEAREIAISMLESLPFLLSKLIATPSSSRWPASKVEEELQILEACTSSPSMTIETTCDGLRRLGDIYSSIEEVMCLPSNQVCSSQQRKMLDEEMECSLELLDLCNAMHEDFSELKAIVQDLQVSLRKGDEAAVQAKIQSYFRLVKKAKKHFKKAAKKVTSDKEDCRLLRLLSEAREITSSLLKSTVELLAKQIAMPKSSIVLKAFQKRTSVVCKEEQLQMSLLPALGTCQLQKLIFRDAEMPHAPIKQTKSINLS >Dexi4A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:4A:431449:433544:1 gene:Dexi4A01G0000680 transcript:Dexi4A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGRRPATPLQVLLLTLLLFAASAAALPAMDRVRWQLDRVNRRGASLGLVMSYVDEATALQASGYFKPWSVLPFVDLYGRRFHIGSIRGVNVVYALTGQRRLNAAVTVQTLIDVFSVSGIVHYGTAGSSNDSMSFGDVSVPKLVAYTGAWTWKKFRSPKESSAELSFAQYNTPNGGENLLGSLKYRNEELYSVGKPMQEVFWLPVDSKWFKIAEQLKVKLERCNDTFCLPTTPQVVYGLKGSSADMFLDNAEYRKFLFKEFGVSTVDEESAAVVMTTTSPGVPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIARVGKHDSTVSVQRSIN >Dexi5A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:5A:12748947:12756279:1 gene:Dexi5A01G0015210 transcript:Dexi5A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTSMAMSLSAPSAAAAAAVASGAASSRPNAIRPAAGLRFCGLRREALGLRSSPQAVAARRSVAAAATAGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSLPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGIGTIVGKQKVRYGKVGFPDNEITARNIIIATGSIPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDDRMQVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVSVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVKAAGVSHSVNEPVAA >Dexi9A01G0049250.1:cds pep primary_assembly:Fonio_CM05836:9A:51912080:51912655:1 gene:Dexi9A01G0049250 transcript:Dexi9A01G0049250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFPSGSDDRRDDSGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEEAIAQRRSWGENLTYYTGIGYLGGAVAGAALGLRDAARGAEPGEPAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESGMVAARDSDDWINSVVAGLGTGALFRAANGPRSAVVAGAVGGVLAGAAAAAKQVGKRYVPAL >Dexi9A01G0026720.1:cds pep primary_assembly:Fonio_CM05836:9A:30193124:30195504:1 gene:Dexi9A01G0026720 transcript:Dexi9A01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVASSRRSIRDAMLGAVLGSAGRQLYQSLRCGFYDGMVAGDGLVAALPEEASADAAVRVVSAAGKVPKNVLILMSDTGGGHRASAEALRDAFRIEFGDAYQVFVRDLGKEYSGWPLSNMEQSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMKYKPDIIISVHPLMQHIPLWVLKWQSLHPKVPFFTVITDLNTCHPTWFHNDVTRCYCPSAEVAKRALLRGLQPSQVRVLGLPIRPSFCRAVLEKVLNSLNFLSITYVLASPVMPAGLPLLGLQNEIREELELEPELPAVLLMGGGEGMGPVEETARALGEELYDNRRQRPIGQIVVICGRNQVLRSTLQSLRWKVPVQIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFLPGQEVGNVPYVVDNGAGMFFEDPREAARQVARWFSTHMDELKRYSLKALQLANPEAVFEIVKDIHKLQQQSVTVTQIYSLTSSFSYHI >Dexi2A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:2A:911066:924626:-1 gene:Dexi2A01G0001380 transcript:Dexi2A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSRSKKCILGEEDHISRLPEAVLGDIVILLPTRDGGRTQVLSSRWRLLWRSAPLNIDIHERPLIRRIISAGEISSILSSHLGPDRRFCIPTRYLEDDGDPTATLDGWLRSPALDGLQELEFHYGSLRHSSGMPPLPPSARRLWPTLCVASFGACSFLDGDALHLPLLEQLSLMNVGISETSLHAFLAGCPSLQSLMLVESIDSCSCIRIVSRTLRSIGVRTFWREDSRLPDAILGDIVSLLPTRDGGRTRILSSRWRHIWRSAPLNVDTRGRPPLWRVIPAGEISGILSSHLDPGRRFCIPMCSLVENGADPTATLDGCRMPAVPPSARRFWPTLRVASFGACAFPDGDAGGAPLNLPLLEQLSLMNVGISESSLHSMLAGCPALKSLVVTESIGSCSRIRIASRTLRSVAVQNSWGEIRFMLITQGSCVVNSTMVVPSVKVLALTHVELSLDVVIDFMKCFPCLENLYIQIKKTLQLQPAKSSGREESSGSSRRPSPLPFPKPSPAAAHPPSRGAMETISRGKKSNHGEDDRISRLPDAILEDIISLLPTKDGGRTQVLSSRWRPLWRSAPLNVDIHDWYLIPKQRLIRAGEISGILSSHLGPAGRRFSIPTAYLEENKSDPAATLDGWLRSPALDGLQELEFHYGFWSVHRKPPLPPSARRFSPTLRVASFGACSFQGSALQMPLLEQLSLKNVGISESSLHAMLAGCPALKSLMLTQSVGSCSRIRIVSRTLRSIGVHLQSFWGQIRLQQLIIEDAPCLERLLLFGEGFGEQMVILVVSAPKLKIFGQLPVQYPRLEFGATIFQGSSVVNSTMVMPSVKVLALTHANLSLDVVIDFMKCFPCLENLYIKINKEDSNNNNQQSTKPRVSNAWRRKYHNLIGTLDIRLKKIVVATYRANKAHVNFASFFVLNARVLQSMVLDVDASHNNDKAWIERQHQLLQVENRASKAAKLDFMILVGLPMTLILPDQVHDLSTADPFVGFGKPVGYSGTQVVPNWDKFCRRKQQQRERKQLPPKTFPLPLPKPSPAAALPVGPPWRRSPEARRVTLEKTIASAASLTPSSKTSPPSSPPRTAAARRPSPPGGVLSGAPPLSTSTSTNTPPFRRLIPAREISGILSSHLGPGRRFCIPASYLEHDANRAATLDGWLRSPALDGLQELEFDLGIRVLYTSTRIPPLPPSARRFSPTLRVASFGACDFPVGGALQMPVLEQLSLKNVGISESSLHSMLAGCPALRSLMVNESIGSCSRIRNVSRTLRSIGVQNSWGNIRLQQLIIEDAPCLERLLLFGESFGRKMVISVVSAQKSEIFGQLPVQCYPRLKFGATVFQLASRVGAGEARVPQILLNEKVLGGLLVLTSLRNSGEFERRVGELAMQWWPESTPWVPVYGFNDGEAGGEHVKDAMVSIVRMLRHRLPIQNGFVKVKLVKNCFSDADMVDAVANHRRRDQNQQRSRRRAQA >Dexi1B01G0028050.1:cds pep primary_assembly:Fonio_CM05836:1B:32674486:32676843:-1 gene:Dexi1B01G0028050 transcript:Dexi1B01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRAPRLAVLLLALAALAAAAAAASGEARAQSTYIIHLAPDHPALSAAARSNGGGAAVLARLLPRRLRAPRPHVLYTYAHAATGVAARLTAEQAEHAAAGEGVLAVYPDRTRQLHTTHTPSFLHLTQAAGLLPAATRGGASSAVVGVLDTGLYPIGRASFAAPAGLGPPPASFAGGCVSTKSFNASAYCNSKLIGAKFFYQGYEAALGHPIDEKKESKSPLDTEGHGTHTASTAAGSPVDGAGLFDYAKGQAVGMDSGARIAAYKICWTSGCYDSDILAAMDEAVADGVDVISLSVGANGYAPSFFTDSIAIGAFHAVSKGIVVSCSAGNSGPGEYTAVNIAPWILTVGASTIDREFPADVVLGDGRVFGGVSLYAGEALPSTQLPLVFAGDCGSRLCLMGQLDPKKVAGKIVVCERGNNARVEKGAAVKLAGGAGMILANTEESGEELIADSHLVPATMVGQNYGDKIKAYVKTAPSPTATILFRGTVIGKSPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGAASPTDLDIDTRRVEFNIISGTSMSCPHVSGLAALLRQAHRDWSPAAIKSALMTTAYNLDNSGETIKDLATGIESTPFVRGAGHVDPNSALDPGLVYDAGTEDYVAFLCTLGYTPSLITVFTKDAAAANCSRKLARSGDLNYPAFAAVFSSYKDSITYHRVVRNVGSNSGAIYEAKIVAPTGVDVTVSPSKLAFDAKTKSLAYEIKIAPSGNPVIVDTKYSFGSITWSDGVHNVTSPIAVTWPSNGGAAAM >Dexi3A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:3A:14225503:14231041:1 gene:Dexi3A01G0018670 transcript:Dexi3A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLRLGPALGFPRPEPTADDAAAMAEPEASSAAANGGGRLRNAFGGVLSAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAYASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVISVGLAVCFAVVAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEVFGVLTRSLKFQLSKLFDDSSSAVPAVRLLLSLLFLSISLRIGVPFLSLVDNLLFLELKSGDSSSESSTNSTKSENRNEKSETAPKEKPSKKNRKKEKEMAESVPVKPNKKEKRLLVLPFELSVMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGAKSSSTLAAGRKNPWQ >Dexi1A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:1A:2660770:2664311:1 gene:Dexi1A01G0003650 transcript:Dexi1A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKGSVFWDWENLPLVDANGNENIKMIVPQPEAKFAGVEVTRHGSVYSPCGTFSSSSEMGYGSSKSSISASIDSSPKAGNNMELNFAPVRVPDKNTDLGKVDDARTSPSSVIGVSSGEPVLSLKLGKRTYFEDPCGGQSVKSSPSDMSEVTPPAAPVKKAKVAQNSYCQVEGCKIDLSSAKDYHRKHKVCEAHAKAPKVVVAGLERRFCQQCSRFHGLDLFDQKKRSCRRRLSDHNARRRKQQPETMSFGSSRLSTMFYALVLKLLLLLFLTDARQPTSFLFGQAPYGQMRSCVSSSWDNSGGTFKFAETKAPWLKPASAAGIDGLHLSSQQVWNNIMPPGAHQDFDGFMAFKGTSAKVLNQGVEASVVVSDSNGTPDLQRALSLLSNNSAGAGNNQPTTQLHPGLSTLASAANTAMQASSPGFWQDSAALDLHARFQALDPLGNNAIATAHQLQLPKPSTYDGSDSHYDQMH >Dexi1B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:1B:24979189:24984288:-1 gene:Dexi1B01G0018790 transcript:Dexi1B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPLDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQSDDGLVSLALICSFSRMKSHLGLDATVKPETVPEETVLAVAEVLRRSQVNSVRLPRHIASKKNFCGTALVEFSEEEEAKKVLENSLVFAGANLEIKPKKEFDAEQESKREEYEKVHLVKDSQDEGYPKGLIVAFKLKIIADPVVQNNEDKGNDSVAKLDTSDSMENPSGETSEERIPGSTDSKGEEAPDNMAKEKEVREATESEKCTSDALVESENQGNSGSLGRDVKNAISREDIKEVLKKFGTVRYVDFSIGDDSGYLRFEDSKAAEKARMASVLADEGGLIIKDHIITLEPVKRRRIIGAGFVAFKKITRTIEATKEGKQFNGKRGRNPDAEKNSNKAQKVEAAA >Dexi1B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:1B:3677819:3678960:-1 gene:Dexi1B01G0004580 transcript:Dexi1B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKLLVVHTSSSNKAAMNGMAWSRCLCLVLSLSLLTFVSLLTVFSAARASTAAYQAATLLTSSGAATTTAAAAADTTGLPRHVFDALVQYAAAAGNTTGCMPEHDVRAIAAVLRRRSPCNLLVFGLGAETPLWRALNHGGRTVFLDENPYYVAHLEGKHPGLEAYDVAYATAVRELPDLLDAARAARAAECRPVQNLLFSECRLAINDLPNQLYDVAWDVILVDGPRGFAEGSPGRMSAIYSAAVMARTKGEETEVLVHDYEREVERAFGREFLCDDNKMAATSTPSLAHFVVRGGAATNREAFCGPPAKKSN >Dexi1B01G0031520.1:cds pep primary_assembly:Fonio_CM05836:1B:35043975:35045006:1 gene:Dexi1B01G0031520 transcript:Dexi1B01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRLPLPRRHRHRHPRPHPATRHRLHRLRHLPVPPPPRRPXXXLGDTVTATLDPTLPPATVSIASVTSPSRPHLAARLSKDPLRNCAGVAALAALRALGVRSHAVAIHLTKGLPLGSGLGSSAASAAAAAKAVDALFGSRLARDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLVPLASPPGLRLHFVLVTPDFEAPTSKMRAALPKEVAVQQHVRNSSQAAALVAAVLQGDAGLIGAAMSSDGIVEPTRAPLIPGMAAVKAAALRAGALGCTISGAGPTAVAVIDGEEQGEEVARRMVDAFWSAGKLKATATVAQLDRQGARVIATSAL >Dexi2B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:2B:12532910:12535609:1 gene:Dexi2B01G0011000 transcript:Dexi2B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPRRSSGGDPSSPLLPSPTSPASGGPLGRLTGLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFIAVAVAVLAASLGEQPAVPLRVWLAGYVVQCLLHVLCVTIEYRRRRRDADQQGAGDGDFKLSIVKHLESANTMFSFIWWIIGFYWVSAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQQEGASEEDINNLSKFKFRTMGDQDKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAVSFSSLF >Dexi9B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:9B:7186065:7191003:-1 gene:Dexi9B01G0011290 transcript:Dexi9B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKATVPSATAVWPVAGATTTRQSRQQHKQRCSLAAAETPDPWQPWRRTANYQPSSWDYDALLTPKSGGRDDLVWQFNHDKLKRSVKDMLLKRAGSSCKQLHLIDTMQRLGISYHFEEEIQSIISSISLEPANFQHSMGGDDDVASMALKFRLLRENGFSADTGDIGLHPLLLQFAKVDFNNVQGVHQQELSRLTKWWRHIGLCDKLTFSRDRLMECFHYANGIVWEAKHGACREMLARVANLIIHLDDVYDVYGTLDELMLFTDAIGRIVENGLLSSIPYPTYKNRSLEENGFLPFRFQKDRRAALRPPAAGTSLPSSNLSRAMPPPSPPPAGVPALPGHGALAPPRPPPPANPPPPPGLRRPKPSL >Dexi2B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:2B:14335250:14335716:-1 gene:Dexi2B01G0012140 transcript:Dexi2B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPLLFPLLLLLLPAAAVASDGDLPAHPGYAHGGEEACGVPEEEAASAAVPAPERREEFDGGRIVDISHYYREDMPAWESRRGAASSCGWSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGTTIRLCCFQ >Dexi5B01G0026400.1:cds pep primary_assembly:Fonio_CM05836:5B:28192042:28193217:1 gene:Dexi5B01G0026400 transcript:Dexi5B01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQYNEKLESFLCSIPRERLHSLVIDMFCTQAIDVARKLGVPIYTFFASGAGVLAVLTQLPALLAGRQTGLKELGDTPLEFLGVPPMPASHLIKELLQDPEDELCKTMMKIWQRNTDTDGVLVNTFYSLESRAVEAFKDPMCVPGRVLPPVYPIGPVVGKGGTDKEEEAERPECLSWLDAQPERSVVFLCWGSKGTLSGEQIKEIAAGLENSGQRFLWVVRTPPSAGIDDVKRYLEQRPEPDLDVLLPEGFLERTKGHGFVVKSWAPQVEVLKHPATGAFVTHCGWNSTLEAIAAGVPMLCWPLGAEQLMNKVLMTEDMGIGVELEGYKAGFVKAEEIEAKVKLVLESEEGRKIRERAAELKTEAAEAVREDGGSSHAAFLQFLSDVKHL >Dexi3A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:3A:8370136:8371224:1 gene:Dexi3A01G0011590 transcript:Dexi3A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHDTAALLLASILLPLAALATMVPSAPAPQDPRCRATPPRRGALAVYPSDMEQLQFLLNAKFVEAEWFLHGALGRGVDFLDRNLSAGGPRPSGARKAALDFRTTEVAAELGYQEVGHIRAIRQAVGGFPRPPIDLAADRFAMVMDDAMGARLDPPFDPYASTVNFLLASYLFPHITASATMGISSSLMGFVSKRLQSSILAVEAGHDAVIRLLLYQRADEAVPPYQGHTVADFTRRMSDWRNRMSGCGAKDEGVKVLDRQQGAERRTISNILGAGEDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQLA >Dexi9A01G0034220.1:cds pep primary_assembly:Fonio_CM05836:9A:38982512:38985882:1 gene:Dexi9A01G0034220 transcript:Dexi9A01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEAAGALLFVLAAAAAVAAAVAVNFIYLPTVASGGPSPSSSSGVERWVSAWALVRSRAVAPALQAAVWACMVMSVMLVVEATYNCAVSVGVKLLGWKPEHRFKWEPLAGDGDDEEKGDAAGAAYYPTVMVQIPMYNELEVYKLSIGAACELKWPKERLIVQVLDDSTDPYIKNFTVNDRASLLTRIQKTFLDYHFKVEQEAGSATFSFFSFNGTAGVWRREAINDAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVQVKSELPSTYEAYCRQQFRWACGSANLFRKMTWEVLVSKQVSPLKKFYMIYSFFLVRRVVAPTVAFVLYNIIIPVSVMIPEVFLPIWGVAYIPTALTVVTAIRNPENLHIMPLWILFESVMSMHRIKAAVAGLLELPEFNQWIVTQKVGHNVAEENCEVPLLQKARQGLRNR >Dexi2B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:2B:28081828:28084827:1 gene:Dexi2B01G0017690 transcript:Dexi2B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSAQFATGLRPAPAGVRSYRIGSAACFSPVCLRGLLSHPSASRVPSVSFRQRPPASPFAGRSRLPSAERSSGDHGEIDGDNVEGNIQPLGSSTGSGKDGKESTKSFSSKEYSQLTNDLLLRFYFAPAPGKMGYGAAVERFVKLMAMVWAGSQVTKILRAGGALALAPFVDRGLRWFTVKFNFKSEGRAFGTIVGLCFAIAAILFFGLTILWA >Dexi2A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:2A:5511690:5515889:1 gene:Dexi2A01G0005750 transcript:Dexi2A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGGSELAVPSIPGRGKKRPPSPAAPPSDDVEDLPMSTNSDDGWKFGDSDSEEDEEENQVGCQSHRVFLVPQSAVRPHPSPVFIFVGAHTSETGRYVRRFPVLFIPPAFMASPIRTTGALPLPPVDPTAVARTHGAIPGCGKKRPPSPEAPPSDDGEDSPMSTSDDDWNFGDSGSEEDEEENQGAS >Dexi2B01G0025500.1:cds pep primary_assembly:Fonio_CM05836:2B:34725978:34726190:-1 gene:Dexi2B01G0025500 transcript:Dexi2B01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPSLPPTTPPPSSASDTIATTAAARKKEMRPAPRPTTAGKSLRLIRSLWPELQRQAQAAFPGY >Dexi9A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:9A:6153044:6162451:-1 gene:Dexi9A01G0010120 transcript:Dexi9A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVFVDSPAGSGFPYARDSRGYDVGDVSSSMQIVAFLRKWFHDHPGYLSNPFYIGGDSYAGKVVPLIAQYVSEGIEEMQHPLINLKARDKIDDNSQIPHSHSFGIISDQLYELMSQVNRGGLLDPVCSFASPKARTDAMRRKSLTEESYRLSGPPAEPPFGIGCVPPKLLFNLKPRRTATTFLTSGLMTFPRELLLGSRRFTITYANNLTFATVKTHMYCFHYGCRVAAMLLQKTVPKNAFQWLKDGWTMSLFDRDTLSIRMPIESIEIEVGRRRGLPLLPHLVACLLLLLLPRPPLAGAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPRTDAVLLWLTGGPRCSVFSGLAFEVGCQVIGLPSPIKFVLAPFTPHNGTSPKLSYNPYSWTQMASVLFLDSPVGSGFSYARDPKGYDVGDYSSSLQIWFKDHPQYLSNPFYIGGDSYAGKGYLVGNPITDPKYDQNYVIPASHGFGIISDQLYEAAMRNCKGDYVNPANKLCAEVLRTINNTYGYYLAYFWMNDNATRDALGIKEGTIGEWIRCIKGLPYTEDLPSSIEYHFKLTTRGYRALVYSGDHDLMVPFLGTHAWIRSLNFSIVDDWRAWHLDGQAAGFTITYANNLTFATVKGGGHTAPEYQPKESFAMALRWLDNEPL >Dexi5B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:5B:13379527:13381630:-1 gene:Dexi5B01G0015910 transcript:Dexi5B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSMLVSSSRVAASNWNGEGSFLFTSSTAVYDCSDNGFCSEPYYVTIGRTDAIFLDFQHKSDRGPHVYWLSKGTLDARPDHILNLIHYEDAASLAIAIMKKRLRSRIFVGCDNEPLSRQEIMDRVNRSGKFETEFQGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSNL >Dexi9A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:9A:15690315:15691087:-1 gene:Dexi9A01G0020610 transcript:Dexi9A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDSDVVERCLAAVNALASYHFKERLGGRGGLNSQFMESEGSNSKVQESISSHFLRLLLQILLFEDFRLELAGHAADALLPLLFCEQELYQRLVHELLEKQQNPIVKSRLATAFHNLTSSNNLSSSLDRPNRQRFRKNILSFLVDVSSFMQIK >Dexi5B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:5B:439288:441189:-1 gene:Dexi5B01G0000690 transcript:Dexi5B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALGHRGEASEPDFFRGVLGELVLTFLFVFIGVAAAMTAGAGKSDAGGDLTAVALGQALVVAVIATSGFHISGGHVNPAVTLSLAVGGHVTLFRSALYMVAQMLGSSAACLLLRWLTGGLPTPVHALAAGVGPVQGVVAEVVFTFSLLFVIHATILDQRKVLLPGAGPLLTGLLVGANSIAGAVLSGASMNPARSFGPAVATGVWTHHWVYWVGPLVGGPLAVLVYECFFMAPERTTHQLLPQEA >Dexi2A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:2A:8834316:8837020:-1 gene:Dexi2A01G0008780 transcript:Dexi2A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKRPPAVRRPLLAASALLRSHRHLSSPSPPPPPHHPNPLTSELLRLLSAAPSWTPDLAGAISSSLSAAPSSAADAVIPVLRSLKNPSVAAPFFLLASSAATPHPLPADAYNAVLPFLSHDLAALEKVLEEMSALGYGVPNPACADLVATLVRARRLDDAERAVGVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGRFEDAYKLLDQLKERGCIPSVVSFNSILTCLGKKRKVDEALTLFEAMKKDAEPNSSTYNIIIDMLCIAGKVEEAYKIRDEMEHSGLFPNLLTVNIMVDRLCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGMVDDAYRLFENMLDAGHNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCRPDLTLLNTYMDCVFKAGDVERGRAIFDDIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQAFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVPPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGNITDACSLFEKFKANGGTPDAASFNALIEGMSHANRAIEAYQL >Dexi3A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:3A:14131820:14132791:-1 gene:Dexi3A01G0018560 transcript:Dexi3A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKTASTSSPYRHAQSARDPCSLPSLASLPVISSKRTTPKLYTSTFSFTFLVYPHSAQHRGAISKTITIETRTGSHVAERSGDSGHRVGLAGLHKHPREAEVGDLGVELRVEQDVAGLDVPHSPRAAPTATLILVGQPSGARRTTDGTLVTVPLPATHLWPCSHLSRLPFSMNSYTRSLVSARERQHSSFTMLLCRMWPSTSTSDANARSSPLSTFPPVQMRFTATVPPSAPLQLG >Dexi3A01G0028340.1:cds pep primary_assembly:Fonio_CM05836:3A:28936028:28939843:-1 gene:Dexi3A01G0028340 transcript:Dexi3A01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVLLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHDKYGDKEMIPVAANDVAFSLHAVALTAFTVFQVFIYERGIQKVSKVCISITAIVWTAAIVCLIIAWPKSDWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLETVFFDVLFIIQHYVLYPVKKDENGKAIISERVAPLIRPSDKPEEDNV >Dexi9A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:9A:9329899:9332243:1 gene:Dexi9A01G0014230 transcript:Dexi9A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPVASPTAAPVFPSPRPFMAMALPQAGLLDGSSEGPSSAMSPTSILETKQFCCSAFPPFLSERSLRRAAHQVDAAAAPEPAGVGLADVLRDHGEGKLGGGKVVFGSQLRIQVPSVRAFELVSSPIEFGAKNREAQLAVLSPARRFLPEVVSSPSATRVFAGAVTPGREMAMSEDYTCVISRGPNPRTRHIFDDCIVESRGDDALVEKMDKGACAADDSGVAVASGFLSSCHACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >Dexi9A01G0022050.1:cds pep primary_assembly:Fonio_CM05836:9A:16986456:16987838:1 gene:Dexi9A01G0022050 transcript:Dexi9A01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHPHGHPATASATPRHQRRSSTATPTSYRRRASHIAASAILLPGGGSTGGSGDRKLPFTPPPTPPPGSLYQPFHPPPSPLPAKFRSLDLAQRLEVLRDRLGQWHEYAPLISALSRDGFTPSSIEEATGITGVEQNCLVVASQVRDSLLTDAAAFPPEMLPHFDSHGGPDLLYELRFLNARQRADAAAHAIEHRLDPKGVRELARSMKDFPRRRGVDEGWEDFDGASPADCLAFARFRQSREAIDVDDRVAELERAMQVVATEPARKRVEAELERARRKAAGEEVDEDGEVDISARPGINVVRLKYGEVAEASTVLLLPVVRETDGVAAMESAPRRTRSDVDLGIVEVDKAWARWAVVPGWGPVAEAAEEAVVIELADGRRLPWRTADEEPVLVIANRGQKEVVEEGIYVLEKGGRLVVERGRKLIEQGIAAAAAEVVIVVRPPRDEDDMISDDEWD >Dexi9B01G0042990.1:cds pep primary_assembly:Fonio_CM05836:9B:43084457:43090832:1 gene:Dexi9B01G0042990 transcript:Dexi9B01G0042990.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGVSASTFLDPAMSSAPPAHQSRASQHRRQHHNPGPRQQPPQQQRYIPKSAAPAAPKPSPPSQPSLTTALRSSTASSSASGSDSGAGRSTSGSAGGGAADGFVAYLPHDEAAAAGLGGLDAHEAQAVVDLLNDALSALLRAKPREFWRQVAQNTFLHDFLDSYLQFRHRWYDLPHRAPKGAVASLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSIKEHTVFTIPQCSIVALLLEKKLLDLPKLLDICAIYEHDNNKLTSSLVTNAINVQPNALDGINIVIPQFLGIFHTMHDRCMTSLQVLTSTGSFDNGYVQLHKDFLEALDFVNDAIVTLDSFVGAYQPAALLFCANFEMSYGVEELLNTLSRLHDSLLPSLLQGFKVMSKSQSNGEASPDSMLSDTALGIRMLSKRAVRFGWRLLHYCYLKDQLKEHDAQTSTKMFPANVEDPMIRGDILVQTLKDINREATYSSQLNLGNTFLQSIESEFQLMSRIDDIRNKGWIYMDDEQFQFISRLCGSTRTWNSVPDLPVSSHGGELQQKDEETAMVESKISQIRDLFPDYGKGFLAACLEAYNLNPEEVIQRILEGTLHQDLLALDTSLEEMPRKNLAPTAVKDKGKGILVESAPQITVKPHKVPEARYIVQDGPSSATSSASHGPSSATSASQGPSSAVSSEFQGSSISSVSSVPHGRFTRKANDDFPDTAILDSKNAKDAVRSVILDSQYEYEDEYDDSFDDLGFSVVESSYEEADGANDTDASSHGPRWSSQKKPQFYVKDGKNYSYKVAGSVAVSNAREAAAMRQTQKDTIYGLGRGGNVPFGVPNRQHIDVEEEEVDVANNYGRGVSNPRGRGRRGGRGQGNPLEENENSSGRGYGHSGRRGGWNQGNLAEENANPNGQQGFGRGGRRGGSNQGNPVEEDANSNGRQGFGRGARRGGRNHDRSAEDNEDHDPAQGFARGGPAPRGGGPGRGGGRNHHRRDRAMKKHMQGLTGL >Dexi2B01G0028800.1:cds pep primary_assembly:Fonio_CM05836:2B:37348200:37353071:1 gene:Dexi2B01G0028800 transcript:Dexi2B01G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHFFSDEPVPPSPSPPVSPLLSFLPRVSLRRRRLLHFALSPSTFIRWRLVTDPKPTGAVMTGTTQLMALPAAQPNTELIDASPPLILRLNEDLLAAIFLLLPVLADVGRTAVTCPAFHRVITDGSFLRRLRRTHPTPFLGLLFCRFHPAEVPHPSAPFARALERGADMSFSFLHSPLAFTRWFPMDARDGRVLLRHQSSRHFVVSDPISRRCLLLPQLMVVGSQHPFLFPSAAGDDKAAEMSFKVGCMVGMEGDEHEPDVKMLFVFSSETGQWRGLGRGAMVPDPKPFYACGRFYWKMADDTLFVYNPRAMPMPMELDCYLVKMPWRYGERDFVIAEVGEGRIGIFCLRHNDARAASSLICAIQRGHGEEEMNQWQFKRRIALPPQCRYSFAGATERYLLMHGAPTPWNRREGPPPDENSGVGIGYFTVETASMKIEKLMLPSTRLHPTLEMKEAAFLDLVILTLLHPGRLDPIWPFPSQAPTGHPYPPFHHHRKAEQAAGVAMASPEHHGAKRIEAPVATPPLLLLSDDLLAEIFLRLPALADVGRAATACSAFRRVVADRSFLRRLRSVHPLSLLGLLLFSSIHPTEPPHSNAPFARALERAADLSFSFFPSGGRWIPVDARGGRILLEIYAMSCDFAVCDPLSRRYLFLPKIPRRPAAQRRGRLEPFLLPANEEEADTSFRVVCVVECKPGQLVAFVFSSATGQWGSLTVDAILLHLYSVWSWPAGFCHCGGRGR >Dexi7B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:7B:23128319:23128549:1 gene:Dexi7B01G0017150 transcript:Dexi7B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKLLLRVSLALPLHGCRPMLPLHDFCGLPPPWTMPGTPPPRLTSPRSSRRRRNRSPVTPSRATARAGRKEQA >Dexi4A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:4A:12365708:12370145:1 gene:Dexi4A01G0013230 transcript:Dexi4A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSNSLRPPLNHDTKANDERVRHLAAVRRPELLRAASSGDLQLLVEFLSKEDGGPAAAAALARDVAILLEEAQPPALYPSPTTEGSSALHVVAASGDHKGYLQVAEEICKKARQLLLACDGNGDTPLHRAVRAGNAEMAFLLIRQANCCGEGKAMLRMQNKRGETALHEAVRASHRIGMRMVEELMSQDKELARVVARDGTSALYLATSLHHTDIAQVLISHDRELPSTGPNGQNALHPAVLHSKKMTTALLKLNKDLTKQQDLTGSTPTHFAASADDPSLEFFLYVFMERTFSFYSLGIYFAPQNCLIKFYRCLKLPLYQLVEADPSSAFQPDNDGLFPVHVAASAGNLVSVIILLIMCPGCAGLRDSQGRTFLHTAVEKRSHSIVKFVRMRPQFNSILNIQDNQGNTALHLAVLEGHLCIFQTLIQNPHVRVNLPNHEGKTPMDLAESKAPPGFYFGMHAQRRILGTLTFVNAQNGNSRRDHFKEKFLPKLDKDEESKKITEFAQIVGICTVLVATATFAAVFTMPGGFRTDDSTGNSNKAPAAAPSPGGLIGTPIMAGKYAFDGFVLANTLAFSCSSIATFSLVYCGMAAVDIEKRIKLVSISLALLNGAARSFCAAFAFALYLLLSPVALGTAIATATMTALVLLDAVRFLWLLFIDTIIVLNRRGGAAPLLKLTTAFIVNMVYLFWPYIVIFSLLGGKNKTLHSHQ >Dexi6B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:6B:20345415:20346607:1 gene:Dexi6B01G0012650 transcript:Dexi6B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPPRPARPAQPYPSGLRTPGEIQFILAPAPPAVEAWKLVRDEARATCSTECVTENRWPVGVGNPTMSVTGSAGARGAARPLMRLVTMSGAPILRQLHLEERLLRRTADNWCVINDGTAPPTIVMGVSGRVSELVEIEPVLRDRVPVVRRYSGGGTVIVDQGTVFVTIICDKSAVAGLQPFPRDIMSWSGQLYGKVFDRFGEFHLRENDYAFSHRKFGGNAQSITKNRWVHHTSFLWDYDVENMDYLKIPKRAPEYRLVRF >Dexi4A01G0001200.1:cds pep primary_assembly:Fonio_CM05836:4A:780687:782185:1 gene:Dexi4A01G0001200 transcript:Dexi4A01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIPPQHGSWVPLYRHHGPCSPPSSSTGAVKPSLEDLLRHDQLRVDHIHWRLSSESDQGAFAVSKASVKQRVGFEAAYFHDQPVIRVILGSESKSSEQQQQQGVPPGVFQTVVLDTAADVPWVQCAPCPVPPCHPQADDSYDPSRSPTYAPVKCGDEACDQLGRRYSGGCGVGGECQYRVPFPTKSNGSSSTGTYVADLLAVAPNTSITFHFGCSHAAAAAGDFDDNTTAGVMALGGGPESLASQSAETYGRAFSYCIPAATERRLGFFFLGGGAPGANVGVDPTTVSYVATTPMIRYTQTPTFYIVRLVGINVNGQRLNVTPSVFAAGAVMDSRTAVTRLPKTAYAALREAFRGAMAMYTAAPPKGSLDTCYDFSGDFFVVPPRIELVFEGGGGGGVPVEVDRSGVLFNDCLAFVNNSDDRMLGILGNLQQQTMQVIYDVGNKAVGFRRGAC >Dexi3A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:3A:13548094:13549931:-1 gene:Dexi3A01G0017760 transcript:Dexi3A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSPPLLPLPSHALPASGVQRVARLPRHRRLATPPSRNGSSSYPETGWCPVPPEQRPVNEYEALASSLTFSWAAGDLRVYCSRLALTGAAFALLVGLPVAAFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAGSLILCILLYINIENPKEPYENSGGRAIPGVYSDAAARSFEPDAFCGEPDLS >Dexi8A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:8A:8836:9372:-1 gene:Dexi8A01G0000030 transcript:Dexi8A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSPSPEQPLLRAPSPAPNPRAGASSGGPTSPSPSAARRPSRLAALIGRAAGRRGPSMLVRETAALQLQRRRADWAHSRPVVALDIAWNVAFAAAAATVLASSAQERPVKPLRLWLIGYAAQCLVHVALVCADTRRGPSRARGSASDIESGGAGTDSSDTDGEDDEGVVEERSR >Dexi5A01G0034740.1:cds pep primary_assembly:Fonio_CM05836:5A:36741754:36742812:1 gene:Dexi5A01G0034740 transcript:Dexi5A01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKELKSIETRLERGIGRIRSKKEADLQSENLFLRAKVAEVERAAQEAAEDQIAAPPPPVAAGGVTTAELQALPASSFDPRGYYLQMQASMLAASAASSSSQYPEEHPQGQEQHLQTALHLGYHIKVDSAVASKGFL >DexiUA01G0004550.1:cds pep primary_assembly:Fonio_CM05836:UA:8650321:8651421:-1 gene:DexiUA01G0004550 transcript:DexiUA01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDALAPYLKKLIADMAQEEVSMLLGVSGEITKLEENVESIKAFLADAERRRITDKIVQRWVSKLNSAVYDATDILDLCQLEADKRTGPTDDEEGSSSVKKKKASVGCFQPLLFCLKNPVFAHKIGSQIKELNQRLENIHKEADRFKFNINLGSNPEPRKLTDAERSVQKTTSEFNESAIVGEKIEQDTRELVQLLITSDNHDIKMLSVVGTGGMGKTTLAQKIFNDSTVREHFKVKVWLSITQHFDETELLRTSIEHAGGVHGGAQDKTLLTRTLTETLSTGRFLLVLDDMWSDQAWSHILSVPIRNASLKKPGNWVLITTRFRDLAQRMGASFYQHHVKPLNDEDAWSLLNKQLPPYPLIR >Dexi4B01G0022440.1:cds pep primary_assembly:Fonio_CM05836:4B:24032675:24033818:-1 gene:Dexi4B01G0022440 transcript:Dexi4B01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVSVLVGSAHNAATTESITPRVDADIREVYPSRLWKQVKEAAAPKIGTYSFTSGTYIDGTKSLWDDYEKKLQKQLAASNRSWQQKGLSSSKRQLPVKNNVVVISVESSGSSSLSTSLVDQDGENTRTEAAGNNDNVGNINTFKDGGISNESPKAAGEIGYGAPVESFGLSSLPTSLVAQIGDNNRTEAAGNNDNVGNVNMLEGTMNKEHTAARDPDDVWGFLQSNELDLTLPSDATEEAISNLMDELQGLQDKQNLDLEDLLQVDNAWNTGLASTRPINIDGSMAQEASAHNAPVDKPMMPTAQDDGYWDDFDMLF >Dexi2A01G0022690.1:cds pep primary_assembly:Fonio_CM05836:2A:34503976:34504385:1 gene:Dexi2A01G0022690 transcript:Dexi2A01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPAASSGVAPGEAAPPCQGLAGGGALLLLLDLLEHPHEGVGVARQRERARRRVPERLLGLLQQRPEQRVVDALRPDHEALPPRAHAHREAPLPLLLLAPPRAPAPVQRLHDQPWLPPLLHGHTGHVKARS >Dexi6A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:6A:8099944:8102407:1 gene:Dexi6A01G0008040 transcript:Dexi6A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLKKGMVAVKKLFAAKDFSDDQFLDEVTCLKKVNHRNIARFLGYCADTQGEVMEIEGKHRIVEVQQRLLCFEYVPNGNLHHYLKGIKLCTDSGRCLQRTFGNMPGTQK >Dexi4B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:4B:18289585:18289947:-1 gene:Dexi4B01G0016240 transcript:Dexi4B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPSNPTRNRVTHSSPTTNSRHGMRADRDGGSQREATNLPRAAATTGKVGCGGSLASVRLTTRPLAPMGWGERGGFWRMRRCALAASVARARGGGGEARGAGRSTSLSPLAALATATF >Dexi3A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:3A:14239267:14240164:-1 gene:Dexi3A01G0018700 transcript:Dexi3A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRYSAWMEPPAPTPLYELDWRPECGSYMHVVCRASGKTVASVEVPPFLTFNYINAYEETDPDGRTTAVVVDCCEHNADASILDRVRLDLLRSTSPGNDALPESSMEAVLTWLSIPAWIPLDGGLAGELEAALDPSEHGRAVDICSINPAYRGRPYRYAYACGARHFPNTLTKVDLVERKATAWHEDGMVPSEPLFVPRPGETREDDGVVISLVIAKGGSGYAVLLDRTTFQEVARKFPYGLPLGHGCWIPRA >Dexi2A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:2A:8753384:8755958:1 gene:Dexi2A01G0008720 transcript:Dexi2A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCGSELEEESRRRRGGGESRRERVRPHPTSPALLPDDDDILAEILLRLPPHPSSLPIAGAVCKRWRRIVTDPSFLRRFRHQTLPPLHGFFLRSGRFFPTQHPPDRVPPWRFSLDQLCHEPHAHRRWSILCSRHGLVLLCCSKAAGEFLVVHPMTGHRRRVAIADEHKQHSLIAAAVVGVGVAGDDDDDAGCRPFTLVALLAHDGSGETTRLTASVYSSDSGIWSESVSTVFLQCRVRVVPIIQPSSLVGHGLYWLLFDGSILRFDVVTQSLVRIEEQPSRLTTTAVDAEHDEFIFRHWNNCRRWIMPASGDDGHRFCLAILSGVSITFWEREEVVEEVRGASSSEWVLCRTVELDKALPVQLKEKGHPLPLGIMGFDEESRVIFICTRRDGVFMSDVKSMRFKKVSETAYFGNAHPYSSF >DexiUA01G0005460.1:cds pep primary_assembly:Fonio_CM05836:UA:9727117:9729468:-1 gene:DexiUA01G0005460 transcript:DexiUA01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding CALAAIVISAVTGLVDYEEAIFLWGIDKKDFFLWAVTFITTLIFGIEIGVLVGVSFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVVRVYFVILEMSPVTYVDSSAVQALKDLHQEYRARHIQIAIANPNRQVHLLLSRSGIIDLIGAGWCFVRVHDAVQVCLQHVQNSSTALNLASQASGNSAHNISTPIAKHQRLKQDSFFKNLWKAQDGNLDSGGEVQPLLRQNIV >Dexi1A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:1A:4823675:4826824:1 gene:Dexi1A01G0006380 transcript:Dexi1A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIYRLQDLVKWNAGVGPKAGFTRAISSIVQEGVIRFCGPFDHIIEVDMKQAAALSFKDMLTIKVAETLGLLDIQEYNKLKEQDDDVRYFSYGRSNAIDSLWGLTRSVALLSEIFEKLSKKRYLLVVHNLQQPIKPIMLDAFTEDACLPPPMWKRSFWLVSTTSKDVYDRSKVSYVDSYIDSYSYQYPRDIFGGLDWEKILMLTLYSLHQAAKYILNTTRYKDETYWHIVALKCFHYAAMLLIPYCSPPHGEDGDQQNFDARAEITSDELIHRWGAQGILPVINQSCQERMEQVTDSYDQEKVNDDDIYQIGNVILQAFQEYSLLQLPFCPATKADAPTDTAAHFLVYSGLIAEHHMIDELYDDSHPGLEHMQWISHIKCFGMEIFAQKKKLRSLKLIGKILLFLPMLISEAFNLINVHIEGWHSSIEEEVKLEGHPTMRSFRLVNSPHIKRLSLCGCKNLEYVDIKELDALEELNLSATPIKELPSDIPSLPRLRQLLLVGVPSLRRFPWHEVRRLPDVFCLDQYSDGNNTNLSVPQVTQVSIIDSRFFYSFDSDSRNLVRDGKLFRYFYVRVASRKARCRKMQDEEDKSFIKKLQESMPAAYVDVNHCCLTEGLSMVPMDDVPPFQETERHVEISAVERYPHGLKHLLGVTKSISMMDDTHVSILTELFSDWGDLEECMLRRCHRMVQVFAGVPSGLKNACASHLNSLIHFSISPFFSFDALKHLRLEHCPRLEGVIPRGSGLPCLLTLDVQFCYNLKAILYDVGYAGPSSRYQLPCLRRMHLQELPLLKHLHVDDAIVTAPAWEELHVRGCWSLHRLPRLHQRPDKKAAVKVSGERAWWQNLDWEQEDGSTSLHCSSYQPVLPPASACFHEHVVITSYLR >Dexi2B01G0033890.1:cds pep primary_assembly:Fonio_CM05836:2B:41227051:41230872:-1 gene:Dexi2B01G0033890 transcript:Dexi2B01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKETAEEVWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANNAANNLSDGSARASNHAGGNMTSDNQAHHSSQSVGATGSHDGGNVQGQEQDRSAAEVGTHNSHDQSQQSSVGAEGSQVPLRRNSGLGWVASAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKHDFERDLDPESATTFPSTLAELTERLKHWKNVLQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLSIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARYNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPGILHGTYDANGMVEFNELVPFRLTRNLQAFFSNFGVEGLIVSAMCSAAQSVVSPKQNQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMTSPLDFQQKVINNVEHVIGRIKSISPHYLADEEENAMEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >Dexi7A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:7A:29549321:29562863:1 gene:Dexi7A01G0020880 transcript:Dexi7A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGGKGDKRKKEEKVVPSVVDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVVRGQRLEDGVEIVALKPCTLRIVEEEYATEEQAVAHVRRLLDIVACTTAFAKPRDGAARHKSSKHGRPATPPSPPAPASTGAHGGGEGAPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPVHFIRRKEANVTSQEGDYFEIEVKVCNGKLLHVVASVKGFYLVGKPHNVSRSLVDLLQQLSNAFANAYEALMKAFVDHNKFGNLPYGFRANTWLIPPIYVDPATKCPALPVEDENWGGDGGGSGRDGKYDRRRWSKDFSILARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRHIERSMNSTGPHGSNMNMFEERIGDMHITVKKDEADASLKLEDKVDGVAFCQTGAMDITQRNLLKGLTSDESVVVKDSSTLGVVIVKHCGYTATVKVSGRTKDSNGVKQTCDISDNFDDTLNIDVHDHPDGGSNALNVNSLRIPLPRIINPETVVCNQYPSPKSHASNPARKLARTVLEDSLRKLDSMPSKNPRVIRWELGSSWLQHLQKKDSPTSENGKGNAIKADKEPAVKGLGKHFEQLRKIKKKECNIQGSISEKEESNNSCSPMNGMQESDKIAVDEMIKGSDISKLISDDAFFRLKSLGAGLHEKSLEELTKMAHSFYDDTALPKLALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLSVSDLLDFISPDQERKERDMQRKCRRAKDVISQTTDEVKAETPALGKAGPVEKAFSGKGKENRGSTGAEPDRKPSGSDEASNEQSSNVSKNSSGRQDSDKQQKAMEVPKDLKDTEGFTVVSKRRRRPQPFMNPINGLYSQQSICTSVS >Dexi7B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:7B:10423624:10425505:1 gene:Dexi7B01G0004260 transcript:Dexi7B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGTQLCSSRVLSLPRDENGDEELSVLPQHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDIDGNNSFCSSSDMGEKDMDYSSIEYHKPTKPRVRHTKPWSSCAKPSNRGNVHSTSKLRAKVNLRKLGTPTLWRYWKHFNLVSMNPNPSKEELFHGVQQHFQSQVILDFIQTAKRLKILYNSS >Dexi8A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:8A:12984711:12985044:1 gene:Dexi8A01G0009600 transcript:Dexi8A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLPRAPCLASLSKPAPSLLRQPPPAMSSSSVAAPAAAWANATGRPRKLPVLLFDVMDTLVRDPFYHHIPAFFQFRTPLSPPGLYGLGCDPRALKL >Dexi7B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:7B:16360152:16360857:-1 gene:Dexi7B01G0008550 transcript:Dexi7B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEYELNEIDANDDTLHGSVGSRLSLFARELKSRKSSWHGSSALRLPRGCYGSFVIHPNGRWYRIWSNAMFVWSIYSTFFTPFEFGFFRGLPEHMLDLECVQLVFLADVAVHFFLAYRDAHTFRMVYDKRKIALR >Dexi6A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:6A:12760574:12762850:1 gene:Dexi6A01G0010340 transcript:Dexi6A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTNKQSSSSSFWYARRRASSAPATPRPEPAEDSPPPVGCMSMVHFLIFAPGAGCVGRPPTGSSNVAIVTPHDSIIHSPRPRDGDQKSSGFDAPRNSLDLDTDNPNDIQVRSSLVTCSSFLSYTCRDHIYIYTYIYIYIYIYIYIHIHIYMYIYVLPNIVHSIAYKSKNSLCQLLAWQIGVQIEPVFDALARTSMRRHKPTAPSSEAETPRTPSLVARLMGIDGLPDQPSPSPAARHKKPSSTKKHHHIDDDKENHHCSSAPSPASNKEKKKRVIPESMNRREPLRSLSCNVGTTGELARSSSLPETPRASTSARASWDGARLSLQALKENVLDRAAQYMSMPSSPTSAGGKNRSFTSRRRRDEKEREKVAKEHAREILRQAKENVASRKKASSKQQTSSNKSSSPAADKENVAPAASPAASVEDKLVVVVQPAKSQGTENPVSSQKSLRVPLAPRQQQQQQHPPPQRAKPSRPPPPPPPLDPPPPPTRARRPDGCERFATRVKKPAVAGGQGQPLAPASSSSPAMAAPPPAPASSSPSVQIGNKQSPISPATVPLEENPEYSYVRAVLERGGYMRRSPPAPPPPRRPCHSVSSPVDPIVFHLLELDLPADEASAEAVRLGPLRHRWNRKLLFHLTQELLTDLAESDTPAAMAAAPAMTGVPLLRSIWKKVRSFPAADCKVVGDIDALVAADFESRRRRMVSHPAAVVEEAAAVAEEVAARLMEALIGECVAESFSLSTVPGGLTRSSSSSSRAQAR >Dexi4A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:4A:5826707:5830021:1 gene:Dexi4A01G0007750 transcript:Dexi4A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDEFFSNFMVLRPDKGGFRSLFHLLYSCKVADNDAVDCPIGTEVAERWRRWAIFVSLVAQMLLMSIKRPLAAFGRAIEYWMNLLTDNGGGVLGLVRNAIQGKVRTPDRKSPNYRSFIGLIDTRVELDKKIKPGDSNYHAALGIMAAKLAYENELVIKTVVENHWQMTFLEFFNCWNEFSSDYTTQAFMLADKPTDAELAVVAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPENLTTTSPPASNADEKSPHQYAYYAIREKLRSFLASNPRAKFVVTGHSLGGALAVLFPTVLALHKEDAMLARLAGVYTYGQPRVGDVALGRFMAPHLEKRYFRFVYCNDVVPRVPYDDAALLFKHFGLCVYFDSLYRPAAMAEEPNRNFFSPVWVVPKYANAAWELVRGFLIGYAVGPEYAEGWVMRAARAVGLVIPGLPPHAPQDYVNSTRLRLQPLLADL >Dexi2A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:2A:31962308:31962571:1 gene:Dexi2A01G0019870 transcript:Dexi2A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWRRQMRRTPRWGGGRKEGRRWRKGKAAAITEDVAAHAAAMVPHRGISQRGQASRGFNLAEGERAQEEAATVRRKGDNGGRARPR >Dexi9A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:9A:180714:182962:1 gene:Dexi9A01G0000250 transcript:Dexi9A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSVAVESMIAKLTEKEGPYLKSKGRASDATRIVVLTWRSLLIMSRDWKYYWSRLALYMFIALSIGTIFSDIGHSLSSVVVRVSAIFAFVSFVILLSVSGVPAHIDEVKIYRHEETNQHSGAMVFLLGHFLSSIPFLFLVSISSSLVFYYLIGLRNEFSFPMYFVITIFMCLLANEALMMIVAYIWLETYKCTLTLTFLYVIMMLVAGYFRVRATLPYAVWTYPLSFISFHTYAVQGLVENEYVGTSFAVGQIRSIPGVQAVRGSYDISSSANAKWVNLLALLVMAIGYRIALYILLRLNVRKHARRLGNWRSCWSSMHSSAV >Dexi3A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:3A:10470669:10475233:1 gene:Dexi3A01G0014360 transcript:Dexi3A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRARPAPLSRLLLLLLLLLLLHTAVATTTAVPQADDDDDAHNISLASQAAALLAIRDAFTPPLPPPLRAWTLATRATLCTSWPGVACDPGGRGVVVSLNVSGYNLSGTLSPAIGDLTGLCFLSAAGNSLSGALPPTVTSLRHLRHLNLSNNQLNGTLAAGDLDFSAMRELEVLDLYDNNLAGALPDPEATGLVSLPSLRHLDLGGNFFSGAIPAAFGRLPAVEFLSLAGNSLTGAIPPELANLTTLRRLFLGYFNHFDGGIPPELGRLVNLGHLDLASCGLQGPIPASLGDLVKLDTLYLQTNQLNGTIPASLGNLTGLRFLDVSNNALTGEIPPELAALRSLTLLNMFINRFVGGVPEFLADLESLQVLKLWQNNFTGAIPAALGRNAPLREVDLSTNRLTGEVPRWLCARGRLEILILLNNFLFGTVPEGLGDCPTLTRVRLGQNYLTGPLPRGFLYLPALTTVELQGNYLTGRLEEDDAGVPGKLSLLNLSSNRFNGSLPASIGNFTALQTLLVGGNQLSGEIPRQVGRLKRLLKLDLSGNNLTGEVPGEVGECASLTYLDLSGNQLSGAIPGSQLARIRILNYLNVSWNMLTGGIPPELGGMKSLTAADFSHNDLSGRVPENGQFAYFNASSFAANPRLVMTSPRQWSGTPEQQQQRQGSSSSSSVVVGRLKLLAALGLLGCSVAFAAAAVATTRSAMLRRRRSSSSSSSSGRWRMTAFQKVSFGCEDVVRCVKENHVVGRGGAGVVYRGTMPGGEVVAVKRIVAAGDGGFQAEVETLGRIRHRHIVRLLAVCSSSSSSSGEAQRLLVYEYMVNGSLGEALHGGGGGEEEGRPTMEWAARLRVATEAAKGLCYLHHDCSPAILHRDVKSNNILLDARMEAHVADFGLAKFLRVGGNGAASECMSAVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGLRPVGEHLGEDGVDLVQWARARASATGGVHALLDPRLGGDVPAGEAAQLLFVAMLCVQEHSVERPTMREVVQMLQQAKQQQQPLAPAAPDAC >Dexi3B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:3B:4741384:4742494:1 gene:Dexi3B01G0006810 transcript:Dexi3B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWSWTRRVWEKWAAKYIAPSGKQVQAALLLNYDPSGPSRLLPVIFNIEFPVTEGILFWMTPFSLRRAEQEGARLTAVDMQPFLDFVKRGNLQTEFFSIRPNQCMMALLLQLHKQWWLLISLQCSLTGEPTDVSLV >Dexi6A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:6A:22247272:22248956:1 gene:Dexi6A01G0014850 transcript:Dexi6A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGHRRRHPAPAISCSSIAAARGPAEMGSTSSMLTQYDIEEVQDHCDHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRASLQQKIEFIFRVYDTDCNGKVAFEGILSILRDLSGSFMTEQQRQKVLTHVLEEAGYTKDSHFTLPDFMKVCSSSFSRSIYSSHKSNQLS >DexiUA01G0021570.1:cds pep primary_assembly:Fonio_CM05836:UA:44761224:44763563:1 gene:DexiUA01G0021570 transcript:DexiUA01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGDTDDGTGLHHMVFEVVDNAIDEALAGHCKDIVVTIHADNSVSVTDDGRGIPTGIHPEEGVSAAEVIMTVLHAGGKFDDNSYKVSGGLHGVGVSVVNALSQKLELVIQREGKIHRQLYTHGVPQAPLAVTGDTDKTGTMVRFWPSLETFTNVTEFEYEILAKRLRELSFLNSGVSIRLRDKRDNREDHFHYEGGIRAFVEYLNKNKTPIHPNIFYFSTEKDGIGVEVALQWNDGFQENIYCFTNNIPQRDGGTHLAGFRAAMTRTLNAYMDKEGYSKKAKVSATGDDAREGLIAVVSVKVPDPKFSSQTKDKLVSSEVKSAVEQQMNELLSEYLLENPSDAKIVVGKIIDAARAREAARKAREMTRRKGALDLAGLPGKLADCQERDPALSELYLVEGDSAGGSAKQGRNRKNQAILPLKGKILNVEKARFDKMLSSQEVATLITALGCGIGRDEYNPDKLRYHSIIIMTDADVDGSHIRTLLLTFFYRQMPEIVERGHVYIAQPPLYKVKKGKQEQYIKDDEAMDQYQIAIALDGATLHANSHAPALAGEPLERLVSEFNAAQKMIGRMERRYPKSLLKALIYQPTLTEADLSDEQVVTRWVNTLVTELNEKEQHGSQWKFDVQQNAQQQFEPIVRVRTHGVDTDYPLEHEFVTGPEYRRICTLGEKLRGLIEDDAFIERGERRQPVASFEQALEWLVKESRRGLSIQRYKGLGEMNPDQLWETTMDPESRRMLRVTVKDAIAADQLFTTLMGDAVEPRRAFIEENALKAANIDI >Dexi7B01G0024420.1:cds pep primary_assembly:Fonio_CM05836:7B:28757760:28759844:-1 gene:Dexi7B01G0024420 transcript:Dexi7B01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKMIGDLLRASAKSSALRGGAQLHAALTKLGFGSDTMLSNNLIDMYAKCGKLAMAADVFDGMPERNEVSWTALMVGFLQQGEARECLRLLGEMRASSEAAPNEYTLSASLKACCVAGDTSAGVRIHGVCVRTGYEEHHVVANSLVLLYSKGGRIGDARRVFDGTGFRNVATWNAMISGYAHAGHGRDALLVFREMLQRHNGEQQPDEFTFASLLKACSGLGAARQGAQVHAAMTARGCFPNGILAGALVDLYAKCRCLPVAMQVLEGLERKNAIQWTTVIVGHAQEGLVTEAMELFRRFWSSGVQADAHVLSSVVGVFADFALVEQGRQVHCYTVKNPAGGLDVSVGNSMADMYLKCGLIDEAKQLFQEMPARNVVSWTAMINGLGKHGLGREAIHMFEQMRTDGRAEPDEVAYLALLSACSHAGLVEECRLYFSRMLQERRVRPKAEHYACMVDLLGRAGELGEARDLIRTMPMEPTVGIWQTLLSACRVHKDVAVGREAGDVLLAVDGDNPVNYVMLANIFAEAGEWRECHKVRDAMRRKGLKKQGGCSWVELHKEVHFFYGGGDDTHPQAGDIRRVLRDVERRMREQLGYTADTQFALHDVNDESRADSLRAHSERLAVGLWLVHNSGSDGGGQETKVIRVYKNLRVCGDCHEFFKGLSAVLKVVLVVRDANRFHRFERGACSCRDYW >Dexi2A01G0028190.1:cds pep primary_assembly:Fonio_CM05836:2A:39493582:39496800:-1 gene:Dexi2A01G0028190 transcript:Dexi2A01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQRRGAAKQTRLREVDEIRLLNEWIEAGKPPPGTKPPPPSKSSGAAPAPPAAGEYTEYGACTRFDELPLSQKTKDALRKSPFKEMSDIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPVIEKLYRERWGPEDGVGCIVLCPTTDLASQIFDVFNLVGKFHNFSAGNIVGKRKGIEQEKERVNSLNILVCTPGRLVQHFDETVNFDCSQLQMLVLDEADQILDHGFKKQVDAILSQIPKVRQTLLFSATQTKSVKDLARVSLRDPEYISVHEEARTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLNSKTIVFLSSVKQVKFVFEIFKKLRPGIPLKCMHGRMTHVVQQAIVADFNESISVLFSTDLTARGLDIKNVDWVVQVDCPESTDIYIHRVGRTARYNKKGKSLILGKPLKKKKLKINVHRPLGTRVKYDDEGNAIPPLASIAEEVASEPVVHMDKISQRYAEMLREMREHDKEDKLEHKKSLREKKLQKKLKLKRKRQETDAGSDEDSGSESDRVRDSANKGKKRYLNSDDEGGNTVKDGDVLAQQEALALKLLSKMHS >Dexi2B01G0034360.1:cds pep primary_assembly:Fonio_CM05836:2B:41623285:41623824:-1 gene:Dexi2B01G0034360 transcript:Dexi2B01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVSFVAIAVVAAAILQQAAVSSSPPPPPSPPAMGRPTSCAYTLLQLKDCEGFLSTATGLSGAPESCCGPLRALLGTPESICLCHVYGGGINAELGINIDPFRLVLLPIVCLAIIPPQLAYMCFVGPVPPIRQAPTPPAPAAIRP >Dexi1B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:1B:21242749:21244014:1 gene:Dexi1B01G0014810 transcript:Dexi1B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIDVVSKFKQVAVAAAESTSLSIGVNYGQIANNLPSPARVSWLLRSMRISKVKLYDADPNVLRAFLGTDVEFVVGIGNEAVPSMVNPAAAQAWLQQHLVPHLRAGAHITCITVGNEVFKGNDTALQAAVLPAMQSVHRALGTLGLQGRVNVTTAHSLDIMGVTYPPSTGTFHPAALGHLQQFLSFLSATRSPFLINCYPYFAYKAEPSRVPLEYVLFQPNAGVADPHTGLRYDNMLYAQVDAVYAAIQRLGYTDVEVKVSETGWPSRGDADEPGATPEYAGIYIRNLLQRIEMKQGTPLRPATPVDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLHGYLPPMLLSSDAAPQV >Dexi7A01G0008190.1:cds pep primary_assembly:Fonio_CM05836:7A:19349451:19361771:1 gene:Dexi7A01G0008190 transcript:Dexi7A01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLFLTHLSPAARGTASPARSNHPASVFRSDRPLAGVARGWVQEPRLRHFSVPIPSPRASIGGGGGTMAAAVSWYGPLIDLSAAASHVGGFVQLLVAVRRVLPHQEQNAATGRTYQKTIVEVGDDTRSSFCVSLWSSKHSSCIIAGDVLLMQNIKIVEFRNGLEGRASQLSAVQVLLNSKDLTSAEGLGELVTRCKVGDATKSKLRRVAEWTLCTKRALGESNQQVQAMTKNWTESKEKESADLLCVSGLFSQKKLCNMSVYACIAKMVVVSSPASHLGQLSVVDKLSLKANNDIVRDFITTGCKLCGSPLYHKNLHGENTFAIDCPNNPKYLHVPGQIYKPFMIYVYDQSGQVPLLVRNKAAEMLFANIIADDVSKCYKSHMLSETYESVSCSSDWTTALCIKFLLFWLRIPAVGPTPSGGNLPIPSMPPWAKWVVGAVIVAIPVYRRFRTLEDKIEKTAEVAIEVVDTVAEATEKIAGEVADAFPGNENLKEAASKIKTVTDEIEEDAEKAEALIKKKLGAARRPAVEVEETAERRRRGRRRSRAVEDLRWAVEATGRLVLAVSVGRRKRAAEAAGAGREVDEIKKEVDSIVDPIIDKVVKEDSGKAA >Dexi2A01G0037140.1:cds pep primary_assembly:Fonio_CM05836:2A:46775708:46776347:-1 gene:Dexi2A01G0037140 transcript:Dexi2A01G0037140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQASIFFADNLRQQTLHQMQRILTIRQAS >Dexi7B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:7B:900083:904247:1 gene:Dexi7B01G0000280 transcript:Dexi7B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGDWLAGEGPASDDLGLASGTPSDVHSTNPLAPLHLWAAAPMAMTAAAGVHQSAVASLEEASRRRGARWRRRSGGAGQAVKMLCCSWSGRRHCISSEKASKELGVGKMSSGGTSSANAAASSASASTGRGRPKRKNAPGNRSDPGWEHGTEIDSKLKTVKLKVHMQLDRFKSAKGLFGDAIAVLTRSKKTPVGGGEDDNEHDDHEDNEDNHDDDYQPFDEDAPSRHEDSTRNPNASCVGSSSGPSDDIIPDAAVNVDHFW >Dexi9A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:9A:645249:645892:-1 gene:Dexi9A01G0001270 transcript:Dexi9A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRGSSSGGGDRFVVFPFSMGCMSQSAVSVADPRDKKQQSDPSSSSSSAAATTTTAQTAGSEDGAGETVKTAAAAGTPASPGLVAAGVSRLMKGIKSLSLMFAGEEDDDGEEDEPEMVIGYPTDVQHVGHIGWDGHNKVGAAMGMVNAFSLPSSLSLRHLEMAMDQAAHA >Dexi3B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:3B:4734438:4735608:1 gene:Dexi3B01G0006790 transcript:Dexi3B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTATISDGYLYELDPHLDRLLASASKAKIHPPFPRETLRDVLIQTAAASRCRDGSIKYWLSSGPGDFHLTPKGCTGPTFYAVVIPAGAAATPGPHRQHIGGVRAITSTVPMKHPFFAGIKSVGYLANALAAMEAEARGAQASVWVDGDGCVAEGPTMNVALVTAAGEDGGGELLVPAFDRVLGGCTAKKVLALAPRLVEAGLVRGAREARVPADEARRCAEMMLLGSSLPVMPVVEWDGKPVGDGRVGKVALALSEMLRDEMKSGSDRIAVPYS >Dexi3B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:3B:4330017:4330265:1 gene:Dexi3B01G0006250 transcript:Dexi3B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSSRPQHWVERDTPAWLRSAHPPARARGGEFKRRAAVARAQIRRYLSVGGTPRTGAGSAGGGGRSMGAGPRDLGAGGEA >DexiUA01G0013990.1:cds pep primary_assembly:Fonio_CM05836:UA:29722148:29723905:1 gene:DexiUA01G0013990 transcript:DexiUA01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSAASRLLLLCLCLCLCLCLALVSGLAAASPRDLRRFSAGDIAAVEATLPRHRRSSGTTFFEVDRPLHPPKGSSAPCSTLLLSHSFAFTLTKPPVTAAYSPPPCLLGAGASVSLAVLEWRAECRGVQYDRIFGVWLAGVELLRGSTAEPRPGGVSWKVSKDVTRYAPLLAAAGNNATLAVYLGNLIDDTYNGVYHANLTLHLYFRRRAAARPSSPAPADVVVPISRSLPLNDGLWFVVQNSTDVQSTPVSVPANAYRAVLEVYVSSHYADEFWYMNTPEQNGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPTYDIELTPFLGKLLDGGEHHEVGFAVTNAQSSWYVDANLHLWLDAKSTKTTAGLIAYDAPKLSGSIVSRSADGIDGEYDATASRNITATGWVSSPSRGNVTTTFTQQMSFANTNVVSSHGSAQAINQTTDAVTTVVFAGEQKQEVHQSFPLYIFLGGDGSGTSSQRLMRRVEIGFDESRSGGAETSTLRNRQAAAAEVMVKDDAVVGASWRMHQTYAYSDSDGGGCYVRNVSSVGYDVLFDHRDASCAAATLLGR >Dexi1A01G0030130.1:cds pep primary_assembly:Fonio_CM05836:1A:35469862:35474232:-1 gene:Dexi1A01G0030130 transcript:Dexi1A01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAGVGAAVPIVAASSSFRWKPIAPVGFVCRNAALQIAATVKGRRALPSARAAARHQCSSPASASPRICHSFLRRVGSLMVAMATKGEEEVPADRVPHLPWMRYPVDIDAFSGCPVAKLPRLDPRLAEALQRMGIESFFPVQEAAWMETIGPGAFERDVCINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLVRKSKQDLYPTIDEEYVKMEPQTKVDILVATPGRLMDHINMTTGFSLEHLQYLVGLLVTLLHNSWYISLVISLLTLLIMFFLPESAICKSNLKPLSLIVLLQELQGNKCLVFTSSVESSHRLSTLLGFFENLPFKFSEYSRLQRESTRRKTLEAFKEGGIDVLIGTDRMARGIHIDGLRYVKTFDKMLKKADNASCSLHSLPEESIETLRPVFSSALKKLEESLESEASKKSNSGDKIPSGSKRKRTNQK >Dexi5B01G0039030.1:cds pep primary_assembly:Fonio_CM05836:5B:38064021:38066534:1 gene:Dexi5B01G0039030 transcript:Dexi5B01G0039030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSRQSLRKLASFTLQNISQRQLISPFPPALRSNALFPSKCFSPLYLFGHSCAVRWATYGSVNLVLSDDGKPKFQIEEVEPSTKRRYLTKKRLKVQRKRVKKKRKEANKNDPRRIRPKGKKMKQKFPTAEARLKYKIEKARLKEAMLVEKLKKYEVARAQGPIVKPDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIREYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVSGDDREASLLKMGGLDQGKGQLPHLVTINHFSDLHTSDISESDEEGTSGSEYDVNDNDTEDMISVSGDTISDLGGLVNRK >Dexi5B01G0029770.1:cds pep primary_assembly:Fonio_CM05836:5B:30894627:30895384:1 gene:Dexi5B01G0029770 transcript:Dexi5B01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPAAPFHFTLAPLPGVESGAPAVAAPTNTGKMSDALRSARSAAMATPRGRRGSTSAGLNGTAGRMPPPAPHGGSTRPRYPSSGELVEVKQRWRRSADAASSSSREAATDLLGLAMVAAWAPGLPTGLVYALYGAPDAGVEGFLIGRRFWRGSVSKRSSTVNGDRGSGVRMLKK >Dexi6A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:6A:745362:755156:1 gene:Dexi6A01G0000890 transcript:Dexi6A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEDPSLAATLEKFRLYETRARFYVVGSSREKRWFRVLKIDRSEASELNVSEDPVWYSLQEVKSLLQRIDEGNRATGGLTFVTKAYGIAGCIKFLESYYLILVTRRRQIGCICGHAIYCIDESQMITIPHTSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGIKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVRLSIFGREINVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEASRLSPKPDIFGHFTPVQRYDPTYEATKKHFDDLAQRYGHPIIILNLIKTVEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGKVAGEALDLTGFYYSGKPKVQKKRSTQLSRTSTARDGSLDIRASSGDLSRLSSNADALSSAGVQDMRNEANKQESHGDTPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTHVSKIHPDSSIASALMEMYQGMGDALAHQYGGSAAHNTVFTERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDTSDSYHLSSTPGNNAFLGSGAALGPGTTLSPVPACKEDFSRMKLTSFDKLIERTCSLIRDVRLHCDADLKRNGGVGTSGMAPDAAEIQLKSPNWLFGQRKHTETTPTARVIPVENTNETNKDELNVPLCDELNWLSSSTESCEEDIFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSELCQGAVMDPFEHDPEKERHYAEALSVDLDISNDARVEAEMQAALDDYQLIGADLSIIPSCGALAEDPSQLTRWIIGDEKLRVV >Dexi9A01G0044710.1:cds pep primary_assembly:Fonio_CM05836:9A:48298988:48306306:1 gene:Dexi9A01G0044710 transcript:Dexi9A01G0044710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSPKPIRCKAAVCRAAGEPLTVEEIVVDPPKAHEIRIKIVCASLCHTDITFWQAKVAPVFPRILGHEGYGVVESVGEHVEGFAAGDTVAPTLLGQCDDCPSCLSEDNNLCTAVPVSLAPGMRRDGTTRFHDAQGNPVHDLFAVSSFSEYTVVDVNQVVKLDPSVPPMIACLLSCGAGTGVGAARKLAKVEPGSSVAIFGLGSVGLSVAQGAKLCGASKIIGVDLNPDKEKIGKTFGVTDFLNPSQLGTRSINEVIGEMTGGGVECSFECVGVPSLVTDAFRSTKMGNGKTIVLGLGKDTDELRVPALELLFGKRIIGSALGGIKPKTDIPVLAAKCMSKELELDGLVTHEVGLQEINTAFDLLLQGKSLSHFCLLASTARAPLERINMAPPPTPSESSMESQGPKPIRCKAAAVCRAAGEPLAIEEIVVDPPKAHEIRIRIICTSLCHSDVTFWQMKDFPGIFPRIFGHEAFGVVESVGEHVEEFAAGDAVVPTFLGQCSECVDCSSPRSNMCSRYRFAVRPGMPRDGATRFSDAQGRPIHHFLGVSSFAEYTVVDVNHSVKVNPAMPPALACLLSCGASTGKKFGVTHFINPKELGEKPVSQAIVEMTDGGADYCFECIGLAALMSDAFLSSREGWGKTIILGVEMHGAPLSIPSREILHGKSVIGSMFGGVKPKQDIPIIADKYLNKELELDKFITHEVGFKDINKAFDLLQQGKSLRCTIWMDK >Dexi1A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:1A:13337942:13343138:-1 gene:Dexi1A01G0012710 transcript:Dexi1A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDTKFDASQYAFFGNNNVEEVELGGLDDDDGDTAFAEHGDEEYPLYGRDNILEDEGIDSFTDADDLAGTFSKLTRIVNEPKQPGVVGYRGSVSRQSSNSDWPQETGSSYWPTQPVLGTDRGLDKKNWWSQQPHSVDFIDSRLHRTSSSPHQDVQYNPVEPILGAKPSPLHRTSSYPLQEPQYNNTEPIPVPKSSFISYPPSGAASHSSPSQPHHMNMPSPPTAFQMPMSAQNDVPLPQFHHGGTPPGPPFGREMARMGPMGMATKNVQQNHVLNSGQMHGNGARFMPGLMQHQLQRPNGLMQSHMQPPHQQHGMLPIQQSPPHFSQLHVQMLGPRHSPQNMQMFGPQHPPMSRFDANFGMPDLSDPRARSMLQHGRPGQRYPHQGYEFNNMRMDNGWPRFRSRYMSTEEIENIARMQQAATHINDPYIDDYYHQACLAKKSAGAQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQASAPSENTEKSVSKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLAPNKNAPLSSYDDFVFLRIVTLPKGRKLLSRYLELVTSGSELARIACMAVFRHLRTIFGNMPSDISAAEAITRLARAISTCVVQMELGDLSACLAAIVCSSLQPPLRPLGSPAGDWASVIIKSVLDRATVLLTDQHVGSAYSMQNRALWQASFDAFFGLLTQYCMSKFDSVVHTAHVQPAAAAVISREMPVELLRASLPHTNADQRKQLLSFAQRTVPVGTHSSHGSGSGPMTSDSVPC >Dexi3A01G0030170.1:cds pep primary_assembly:Fonio_CM05836:3A:33780776:33786504:1 gene:Dexi3A01G0030170 transcript:Dexi3A01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNPSRSSRRCLPLLWPRSSCASPPPPPWLLLADPRATTSPEGGDEEAMPVEEGEEEGLAARKARRMHAAGRRLLLARVVSRAPHLLTSAPPHLLHPADLVPPPSTVPASRSRVGGTSSPHEHQTRGRVGAVAWVRERGGERGE >Dexi1A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:1A:22657105:22665485:1 gene:Dexi1A01G0015570 transcript:Dexi1A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERERQEQVAMVRAVLGDGLAEMDIIRALHMAGDDPTKAINILLDFDHKPPTPPLLPSPSPSPSPPPGKPAKTLAGATPPSKPPARPKPTAEKPKAAPAPAATNGDSTGEHWWLVGSAVIAGLSTCKGRRIAPGDAVIFSFPNTAAAAVPGKNRPGRSSIASCSSEIMRFSTPNHGEVGRIPNEWARCLLPLLKENKIKVQGSCKSAPEALSIMDTVLLSVSVYINISMFRDQKQSSPKAARVAPEDSTFYPLPALFKLIGLAPFKKAACTPEDLYSRKRPMETKSSIGAPATKLRSENLRSSSGGNEDDCGEATVSDSDLDDLIGTSDSSALEERSPPDSLLCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKYILADAMGLGKTIMTIALLLSDSSKGCVTTQNTAQISGEASGLGESQDAVKKLASPFSFSKHKTLKAPLIGGCNLIICPMTLISQWKAEIEAHTKPGAVNIYVHYGQNRPKEASLIGQSDIVLTTYGVVSSEFSIDGSTEHGALYSVHWFRVVLDEAHMIKSSKSLISLAASALTADRRWCLTGTPIQNNLEDLYSLFRFLRVEPWRNWALWNKLVQKPYEEGDERGLKLVQSILKPIMLRRTKNSTDNEGRPILNLPPANIEVKYCDLSEAEKDFYEALFRRSKVKFDQFVAQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLARRFLRGGNGVVNGDSSCLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSTTAGLCPVCRKSMSKQDLITAPTDSRFQIDVDKNWVESSKISALLQELEVLRSSGAKSIVFSQWTAFLDLLQIPLSRNNFSFARLDGTLNLQQREKVIKDFSEDRGTLVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKTVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFS >Dexi1B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:1B:2365031:2365549:1 gene:Dexi1B01G0002840 transcript:Dexi1B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQWFLLARSNLLEETQLTNELDRLRATLPKARMLIFRSEWGMFKDRELAKLLSHLKDTTYDAEDLLRQLLSYSLNIAKSLFHRTKTRIQETQDKLDKVVAEIEGALNLMGLMRVEPDLDEIPGVDPPRPSPLAPAGRAASAGAAGLISP >Dexi2A01G0030070.1:cds pep primary_assembly:Fonio_CM05836:2A:41073773:41077893:-1 gene:Dexi2A01G0030070 transcript:Dexi2A01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPYAALRGASEWRPSSTAAVDSGAVVLTARHRRGSRSVARCVATAFLHPLPPRPSAKLDRGRRPLLSPPAPDVPPTVAETKQNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFGLGFVTVYDQLMEGYPSNEDRDSIFKAYITALNEDPDQYRADAQKMEEWARSQNGNSLVDFSSRDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVDREKKKREERSETPKPNEAVTKFDGNLYSMRH >Dexi7B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:7B:12048530:12051361:-1 gene:Dexi7B01G0005010 transcript:Dexi7B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASPVSHVAAPLPPCGRKKRASGVVVAMASTINRVKTAKEPYTPPREVHRQVTHSLPAQKKEIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDEFYDEVKELRERAKEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDNNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQGPVIPFSWIYDRKVQL >Dexi8A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:8A:135326:136531:-1 gene:Dexi8A01G0000170 transcript:Dexi8A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWLRRFPHDVIQRRKSSNNAGGGGGGRRAASSTSWRNKNNSSFTARIIRCASSVVDRRRQYQDEEEEDELKLPSSPPPPGDEEADEVSALQAFSFRELAEAAGSFRQEQLIGEGGFGRVYKARLRLRLGEEEEEVAVKQLDREGAQGNGEFVVEVVMLSMLHHPNLVNLVGYCAEGDQRLLVYEYMALGSLEAHLHHDQGLLPWGRRMQIAGGAARGLQYLHDRGVIFRDLKPSNILLHADYTPRLSDFGLARLLPPPSSSSSSSSSSNNGNGKVMGTYGYCAPEYLRTGKLSAKSDVYSFGVVLLELITGRRAIDVGRPDGEQSLVGWAAPMFGDPGRLPDPRLVMAMQGPPPSELKQAVGVAAMCLQERHALRPVMADVVTALAFLATDHAASPPTC >Dexi6A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:6A:9175590:9176496:1 gene:Dexi6A01G0008640 transcript:Dexi6A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFKGPAALLSTLPTFFTGKARSLGALQPLLLLPLPLHPAVRRAAAFSTFPSSSSSTNTTASKATLLASAAATSAATHQGPAVTTTRSRSTPETARGRHVFEIAGYSLSKGIGVGKFVRSGKFAVGGYDWCIHYYPGGDGRGGSVGVFVALLTKGVEVRALFDIRLVNLVTGGLSPSIGTGKPSMFDDVGWSWGYQNFQNREFLEASEYLRDDSLVIQCDVTVIMGTTPEPQSEALPDIVQQQHLVSKNHPLTCKQHPAMTYKCRPLSIWIAFKIFLGE >Dexi2B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:2B:19704276:19704694:-1 gene:Dexi2B01G0012770 transcript:Dexi2B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKDFPPPQNGVGKRSSDDIDILHTESLIKEVEKVFSVNNPDPQEVEKAKKALKEQEQSLIDAIARLAEASDGESGKY >Dexi8B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:8B:28165412:28165971:1 gene:Dexi8B01G0016980 transcript:Dexi8B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHVLILSRGSQRFGSQCGTLHAKILQKSESYHIYGTLALCYLMYMQAVMVMIPQGGLARKIYLRNNAW >Dexi9A01G0023310.1:cds pep primary_assembly:Fonio_CM05836:9A:18575545:18576699:1 gene:Dexi9A01G0023310 transcript:Dexi9A01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAREPSRSASSIIASTTTGCHFVKIDGYSLTTGTPTGEYLKSHPFTLGGHRWIIHYYPSGSKPEVKDYISVFLRLDETAANNVVKARHQFRLWEDRVGEQPFTLEAVSSFSSNYGWGKDRLIKREELEESRYLKDDSFTVRCDIVVVSEFLAVEETAVEVLPRFVFVPPSSLDQHLGDLLLSEKGADVVFDVGGQTFAAHRCVLAARSPVFSAELFGTMKESDNAGVIHVEDMESEVFKALLNFVYTDSLPKKTKKTEESEDDEDSLQKTKKKKTEEDEKEGEDDEDDVMSQHLLVAADRYNLERLKLICEENLCRYINVDTVATILTLAEQHHCEGLKKACFYFLNTPANLRAAMATDSFKHLSRRCPGIMEELVAMLVT >Dexi8B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:8B:25913581:25920148:-1 gene:Dexi8B01G0015130 transcript:Dexi8B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASAVRKKAKGKATAKVPAAASAMAVARDDSYLESVTDKRIRMFEEIQTRQALQRLNIGGEAIKVTILPDGAIKEGKKWITTPMDIAKEISSGLAASCLVAQVDESLWDMGRPLEGDCKLWLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNGLTLNEEHFGIIESQARKAVAVEIINELPEDKTITVYRFGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSRRLKEYKHHLEEAKKRDHSPGSCFFLPHGARIYNKLMDFMRQQYRDRGYQEVISPNIYNMQLWETSGHAANYKENMFIFEIEKQEFGLKPMSCPGHCLMFNNRVRSYRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRENQIKDEVNSVLEFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWKINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLYYSAEDEAKTERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSVSSGSVEYAKQVLARLHEASFDVDIDISDRTIQKKVQGAQAAQFNYILVVGAREAETGNVCIRARDSAALATMSVDGIITRFREEIAAFK >Dexi6B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:6B:13543566:13546965:1 gene:Dexi6B01G0009300 transcript:Dexi6B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHRPPPIRGPAAGDAAAAVGDGCRPSRLCSTSASASSPPRGSVLGGGAAAAVGRRPLIAALLCLVSVCRSRPPSALLVLDLPTADPAAASLIRQWGFMSVSSAVRCCSSLLGESFISPPTTNHSHNGQHASSIAIPKGLSSLATEYRRLAIDCVRVLRLEMQLEAIYHMQEMTKREYIEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAASASIKALAQMKSINLLGVQQICRNSIALEQVLERSKGQCAR >Dexi8B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:8B:26527714:26528686:1 gene:Dexi8B01G0015790 transcript:Dexi8B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTTMDRYKMEEKLDDPTASPISLPFEFLKDITDNFSNEQELGKGGYGVVYKGVLPSGKIIAVKKLFEMHLVDEEQFHKEVTNLVGIKHENIVRLVDECSGLHWKKRYEIIKGVCSGLHFLHQECHIVHLDLKPENILMDTTMMPKIADFGLSRILDPLKSQTRIHGTVAGSL >DexiUA01G0021490.1:cds pep primary_assembly:Fonio_CM05836:UA:44673609:44674812:-1 gene:DexiUA01G0021490 transcript:DexiUA01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNGGNSYAANSRLPKKALIKTRPVLEKATVELYNSLSPGDETTMVFADLGCSSRPNTLLVVSEVMSTLRACTLQEIAQRRTMAVQFFLNDLPGNDFNLVFRSLEQHLPSFASEENKDDSAGLPCYVAGLPGSFYTRLFPNHSVHVPDDLSNGSHQNEENIYIGKTTTPTVVALFKEQFQKDFKLFLTLRCKELVSGGRILTFLGREGEEMLMHGEVSSMWELLAKALQSLVQM >Dexi4B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:4B:5286900:5291336:1 gene:Dexi4B01G0007410 transcript:Dexi4B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSARSQIRPCWPSQASRHLLSPLARVSVPRRAAAGALSVRASADGGDAAVTVRRFPAEGAPTRPGRLEGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPGLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLAESGALDSLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECFVIAEDIGEFPLIVRPAFTLGGTGGGIAYNRDEFEDICRAGLAASHTQQVLIEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCGPIKELDWDWEKIKYSLRVPNPDRIHAIYAAFKKGMGVESIHEISFIDKWFLTELKDLVDVERFLISRSLDQLSKDDFYQVKRRGFSDKQIAFATSSSESDVRSRRLALGVTPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGFETIMMNSNPETVSTDYDTSDRLYFEPLTIEDVSNVLDLERPDGIIVQFGGQTPLKLALPIQQYIEKNKMVSASGMGNVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCMEVIRSWTTKLAKRLNVCGLMNCQYAISTFGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGMTLPELGFTKEVIPKHISVKEAVLPFEKFQGCDILLGPEMRSTGEVMGMDYEFSGAFAKAQIAAGQKLPLSGTVFLSLNDLTKRHLGEIGRGFRDLGFNIIATSGTTKVLQLEGIPVESVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATVDAIKSLKEKPIETLALQDYFPAADVSPDLQAVAQTTP >Dexi5B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:5B:22039534:22039872:-1 gene:Dexi5B01G0019810 transcript:Dexi5B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLTKVSIAVAACARRLLRARRLRRGKQQLVPAGGGVQQEQGGALWRRAILMGRRCEPLDFPGAIHYDSSGRRVSGALFCRSSDAVEDAITAAKRANQAH >Dexi2A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:2A:13760565:13761829:-1 gene:Dexi2A01G0011840 transcript:Dexi2A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFTKRSLPCVLLLLAVFLTGFSAATTTTHGGGPITMEMFRRWQAEYNKSYATAAEENHRFLVYARNLAFIKITNAEAADAGLTYELGETAFTDLTNQEFRAMYTAAAPLTAADDDDDDVAFITTRAGPVDAGGAVNLSAGDAPASVDWRAGGAVTPVKNQGRCGSCWAFSTVAVVESIYQIRTGKLVSLSEQELVDCDTLDHGCNGGISYRALKWIATNGGITTEADYPYTGADGDCDDTKLFHNAVTIAGLRRVPTRSEAALAAAVAAQPVAVSIEAGGDNFQHYKKGIYNGPCGTRLNHGVTVVGYGEEETDAGGVKYWIVKNSWGEGWGDGGYIKMRKDVDEPEGLCGIAIRPSYPLM >Dexi9A01G0046390.1:cds pep primary_assembly:Fonio_CM05836:9A:49833066:49833368:1 gene:Dexi9A01G0046390 transcript:Dexi9A01G0046390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVECAKCECCGLVEDCTRDYILGVRAAFGGRWLCGLCSEAVRDEAARTTTKTKTTTAAAGMEAALRDHMAFCGKCRRSPAFRVADGMRQMLRRCSK >Dexi4B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:4B:5563598:5563955:1 gene:Dexi4B01G0007720 transcript:Dexi4B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGIIRLLAEDQVMGLLDVSLAGEIELGVGANGGGEAESNEVAQQHGPDKDQILGDGELAVLVGEEGQLHICREAQGRPAQATSTGIPVARRARERCKGLPAAVRD >Dexi1A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:1A:25995384:26001277:1 gene:Dexi1A01G0018800 transcript:Dexi1A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRSPSAPPRPFVKLMQAERKTGGNAVHAAATKATSKPEDEILSEILGGGVQIQNSRKASLDSELLGRTASSASASSSSSKRTSTNFSMDANGDDSLATESHNYELNNTASIFTPEELRQQAVEEKNRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSRRLATKTPNIVALGTAPTTDSSEEAETKRFSAGRRVKKENDLASELRELGWSDADLRDETKTAPVSVEGELSQLLREVAPKSSEGKKTGGIDKSQVNALKRQALMLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKHDDVLMDDPKFPSLNFEQILGASNDLAVDGHFDVTDDDMNDPDMAAALKSFGWSEDDDNELENHESVSSLSNQEAIKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKNIETEEPDLKVPSPGQRTTNAEDIAFAGMNARPVAASKSKLAIQRELLALKKKALALRREGKVDESEEELKKGSVLEKQLEELENSSKPSVAKETRSFASNPPYKVEPPSLDLADEGLEPEVTDNDMQDPALLSVLKNMGWEDVDTDSAKRTDKPSISSHVVPQKSSKTKGQLQKELLGIKRKALALRREGKNIEAEEELEKAKVLEQQLAEIEESSGLTASQQGVATVGHQTAENRYDVQHVPSVDATVPPSSVRKEMKGEDILPVFAFEPGKSVDTLGGSPSKLQTETTGSKQGHIAKESSGETSSALPRQEFTDPLGSEKGSHSPSEILDHKEPLKTHGDDALKDEILLHKRKAVAFKREGKMAEAREELKLAKLLEKRLEGAQQDSVDGGYESTTNVQQSSMIQQPASSPNYTDAVASAPPPQVSKSAQPQKAMTSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQGSSSGGKSGEPNDGLVEDLLDPQIMSALKSIGWSDMDLSIQSSSSQPPKPAQSSFIQPPKKVEVKPAVAATSKPQSERSQLEEEIKTEKLKALNLKREGKQTEALEALRSAKRLEKKLASLG >Dexi9A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:9A:13406916:13413618:-1 gene:Dexi9A01G0018360 transcript:Dexi9A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAIRAAAAAAGRALSSPARGASPRSSGAPAWGAIRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNQAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGNYLENAGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYAIGIKEIWEIEEGKHEPGSVIHTVGWPLDTKTYGGSFLYHFDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPSVRKLLEGGTAVQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFQALIEGSSMELYWENIKKSWIWEELHKARNYRPAFEYGFIPGMSLSALERYVFKGKSPYTLKHGKPDHEATDAANRHTPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTVPERINLPVYAGPESRYCPARVYEYVTDEKGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >Dexi9A01G0031810.1:cds pep primary_assembly:Fonio_CM05836:9A:36738322:36739836:1 gene:Dexi9A01G0031810 transcript:Dexi9A01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVIVEETVKQIILGCLAADSRGEKRDGVEHVERLEMAQIRLEAALETSVRWPIRDASLLRWRRKLKRAAEECDDALHRCKQRIVEDQEASSSSSFPRRLARATKSYFSSLVAGGGDAVDARRFEWFADSASDFVRYVELGGTARRYMFFDPLIGQLLAGNELRYRLVRGTQYHLLCMRPASFEGRGLEAKMFYVYEDDDAPEKNFCLGLMLRLSESMDVVGVTIKCLQLVTPHFRSTAEAAMREFAQLPTQDFSWVPYVESSDKEHWDNVHGTMSQLLRPNPRCCRRGRHEAKPFGSSNANTTTAVLDVFLEPVIEVYFQRIIPLSKQHERRRTVVVQGEAVSTTKLDFPHLKLGIVFSPHRIPEDMTPAVTGTSTVEFIDGKQQDVMHKNISLEQLDEIMLPKAIDCLYKKAEAMLYQLFWKSNHETAYLLLEKKGVEKMPRGIIDDDLRDRIIQRRKDPKLERWLQVFTDFLKTWVALPLAPDSVHGQILEWIKKSDEM >Dexi2B01G0029980.1:cds pep primary_assembly:Fonio_CM05836:2B:38283820:38286215:-1 gene:Dexi2B01G0029980 transcript:Dexi2B01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHLEAAVGQHQDSGGRPAAMSPLLCIAFSEPTIQKNYGDVGAMPLHHFHLATLLLLLPILTGRCADAIAGGDGENFVYNGFKGANLTLDGAATVTANGLLMLTNGTIQMKGHAFHPSPVPFRDPNARNATAARSFSTTFVFAIFGPYADLSSHGLAFFVASGRSVLSTALPGQFLGLLNDTDNGNRSSHVLAVELDTLFNADFHDMNSNHVGVDVDSLDSTVAANAGYYDDGTGKFQNLTLISRKAMQVWVDYDAAATQITVTMAPLGLARPKKPLLQTTIDLSGVLQQDTAFAGFTSATGILFSRHFVLGWSFALDGPAPALNISALPALPRAWPKPRSKVLEIVLPMASATLVLAVGVAIYAVVRRRIKYAELREDWEVPFGPHRFSYKDLFHATRGFSDKQLLGAGGFGSVYRGVLLKSNMEVAVKKVSHESKQGMKEFIAEVVSMGRLRHRNLVQLLGYCRRKGELLCLDRYLYDRSKGTLCWPQRFHIIRGVASGLLYLHEDWEQVVIHRDVKASNVLLDSEMNGRLGDLGLARLYDHGTDAHTTHVVGTMGYLAPELGHTGKATPATDVFSFGAFLLEVTCGRRPVEQDEHGNHSVLADWVTQRWRGGSIVDAADTMIPDGFNPDEVSLLLKLGLFCSHPLPNARPTMREVMQYLDGDMVLPDLSPEYFGFTVVERMCSGEFIKSTTMPCVSTTTMGAISDLSGGR >Dexi9B01G0035370.1:cds pep primary_assembly:Fonio_CM05836:9B:37066659:37070760:-1 gene:Dexi9B01G0035370 transcript:Dexi9B01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCACARLPHPPFRPTPSPKPRLASPQGPGPARSRALVARAGAAARDDAHSPPSSFDFLALKRELELEEEEAVAVEADKGGGAVGEGDGERSAGGTRRRRRRQMARRSALLAKQVISVSSARSLGFVSQLWVHAASWVVALVEVRPSLLSGEAENFLFEDISQVGDVLLVEDESVLENEYNLVGLHSLVGYNVVTSRRRNVGKVRGFTFDINSGAMESLELDSFGFSIGILGTQNIHGPGGEIDGYRRSGRRRANSQGGQKLRRKARDPEDEWELPMDY >Dexi3B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:3B:6585612:6586549:1 gene:Dexi3B01G0009530 transcript:Dexi3B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNVPAGPRIYHLTLSRSCLSTRRRLVDRTRKSWSVRLHGGTGCWPRFDSFVALLSSSWLLTSVPFLSTTPRRARLSVSRAATEDVGVARKVKAYPSTHSPPSGKDHGCTDPANNCARGAHARYAFASPNPEPFRRPGGGEKDEAKTIARRPQERHLGGRDHRLLLLLLLLIAGVPVVFGYADSPGSVDFVLFRSTISGSRVWVVGLRVVLCFAIDCLLLVGDVEICRSAESGVVVMILQTDPCLVELEVDRWWGILSVVGSDDQDGEF >Dexi2B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:2B:7678470:7682726:-1 gene:Dexi2B01G0007350 transcript:Dexi2B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVAKMQPETTKKAADGLTSPVDRLSALPDTLLHTILSFLPAPQVVQTCLLSQRWRHLWRSAPCIKINQQDFGISMRSLMTHTALDEKWARFEDFATNLLLFHDNTSSLDEFLVFAHVYNQRHVDRWIRRGIEYCPAVLRILMPGTDLAFKLPPMASSSFHRLKRLCLQNVDLDGQFTHLLSTFPVMEYLELTLCEFTGDCSQGITLSTLKKLVMLNEDSDEFPIFHNMQTLDLHSCFRDQYELYDKLEALGSFLQSTPCLEKLVLQYCMFCSYPDSPWEIERENITLHHQYGKIFQCQKLKLIEVIYDHDHDHQLIELAWRLGRRLPDASIKLKKIEMVST >Dexi6A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:6A:18363174:18364643:1 gene:Dexi6A01G0012140 transcript:Dexi6A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAADSSNRAGREPICSGREPVKRLSEMSSCDRFVAMAMAGPTVPDSLFPESSRRYRCGRPHMSGGTAPESWLFGSRTRVSVRHCPSSAGMGPTSSLFSIRTCSSFPALQSAAGIRPDIRLSDRSRNSSGHSICTAFSNLATVAGISPVRLFVERSRLTRLLRLPTDAGISPTRPHPASQRTRSRGRAEKDAGVSDDGAKGLYARRSSSSVVTLSRCPRNAPESSFPARPRVASEGNPAPYAAGTSPENALPERSRRLSDGSAARHGGRGPVREFSERERREQRGDGKSPARERLERRSDATAAGASGTEQRRCGQRHGDGERCGSQAASAEVGSERLVFAAMSAAKSAGSVAAAAAAVARGRRQRRRRRSRGVMGEVWSGVGREWRVWALGGA >Dexi8B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:8B:20553104:20558872:1 gene:Dexi8B01G0011490 transcript:Dexi8B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLLMAAASPTSSNRQALGHHHGSCLPHERDALLAFKQGITSDPSGRLASWDEGKEQDCCKWRGIRCSNQTNRVIAIQLRNTPPDGDLKRHPAWETALAGQISPSLISLQHLERLDLSENDISGPAGRVPEFLGLLKNLRYLNLSGMTFTGEVPPQLGNLSKLHYLDLSRPNLFAPVLLGTSLYSTDISWLSNLPLHYIDMSSVDLSRIVDWAHVVNMLDTLYAQNPLMYDGNIGLCGYPLQKNCNGSGEPKHSDLKRDGQDSKVLTLSFGLGLGCYMGEMDKNDTAAAAAAALSSSSSPTGHGVSLLQGGRANNGTAGTISCWPHERDALLEFKRGITSDPAEILTSWQPGEHQDCCRWRGIRCSSKNSHVISVHLRNVGANDAINWDAGDTALVGQISPSLLSLQHLKHLDLSLNQLEVP >Dexi9A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:9A:9348317:9350282:1 gene:Dexi9A01G0014280 transcript:Dexi9A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSDMSASPWASSLPGDLVRLIASRLLAGDLLDYVRFRAVCHPWRSGTASPRGRGVVDPRFHPHRWMMLPEGYGLYPGHPKLHGYVRFFNLDTGVFVRVQIPLFEDHCVLDSYQGLLVLQRDHDTAIRLLHPFTGDILDLPPLSTLLPQMHEELKELPEQRKLPYLRAVSTVATFADDGVVTVMLAFGYLYRVAVATSQDQQWTLSTWYYDIGCPPFQYRGKAYVVYDLGVDNSSKIFQIDIPLPGTKDSCPNGKDTVVANGFSGRWLESSVKGSQI >Dexi5B01G0038120.1:cds pep primary_assembly:Fonio_CM05836:5B:37388648:37389073:1 gene:Dexi5B01G0038120 transcript:Dexi5B01G0038120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQCRPASRPPRPCNSPRSSPVQVVMMAKPAIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFEQPSVFDSSAELGDITGFYMIDEEGTLQSVDVSAKFVNGKPARIEAKYVMRTPRDWDRFMRFMERYSQANGLQFVKN >Dexi9A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:9A:6135016:6150278:1 gene:Dexi9A01G0010110 transcript:Dexi9A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYAKSVAEVLAAFGVDQTKGLSDSQVEQHARLYGKNVLPQEESKLSLEIPSFVSCNIYCLSYPGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLSAFLEPSVIFMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVGGESCSVAKELESTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRALPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPEGFIYDAGGLQLEFPPQSPCLLHLAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGYDSMPSALNMLTKHERASYCNHYWENQFRKMSVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESIMAKCTHILCNDDGSSVPLTIDIRNELEARFQSFAGKDTLRCLALALKRMPAGKQNICYDDEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKVGDVLAFFEVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLIPVQLLWVNLVTDGLPATAIGFNKPDGNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFVWWFVYSENGPGLPYSELVNFDSCSARQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIRPWSNMWLVGSIILTMLLHIAVLYIEPLSALFSVSPLSWAEWKIVLYLSFPVILIDEVLKFFSRSPRGRRFPLRLWRREILPKESRDN >Dexi2B01G0036220.1:cds pep primary_assembly:Fonio_CM05836:2B:43084529:43088871:1 gene:Dexi2B01G0036220 transcript:Dexi2B01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSAPNAGEENGTPDNGARTKHKKTLEADEGKESSASCSTRLSRSRSRRDKRNKEEPANPKQLRRSMSFSSPARNSCLDERCFSFSGDVPCTFYDESEVSHHAKDVLYDLKDAPFIAALCFYDASHFRDDLDFTSGEQETDDMLLQRAKEVESKFIVPCGDEYEFSMARDKQMSPNDMIQLIQQLTEDRKQLAHELSSQIKVRVAERFASKEQYKQLKKELDTRTRRLEKEKIEIQTTLEREMDRRSQDWSVKLSRFQSEEERLHERVRELAEQNVSFQREVTFLEASKSEASTKATSLEMKNSKLNDDLEKLRNEHEKLHNSSLDLHARFAEVVKERDHIQEYLKDKERENKALHKVIARLQTECNEQERTITGLRQGCKAELDKKFVECGSDKMRKLQMELLRLTGVEQKLRGETRSCHLEVESLRQENIALLNRLKGAGNGATFSSIRLDQELQARVDNLQMQGLSLLDKISQLCTKLLDLMKHKKLESESFSGNDVLTVSDYTFEYQSIKGGIESLKRSLKTINSVLNEKQNVNQKSGEIAAVDSPSREQTDDFGLKLKEEAMLSRVLKEAVLSKELDIEQLESDLASSLRIQDVMRNEIQRVQDELSCITHKAKHLELQVSKKDEAINELQQDFQESAKELAALRGTLKTVTEERDLSWKEGNQLRRNISIMQNEVVSLKKKIEALDEDILLKEGQITILQDSIEKPFDIICSPRSLREFDME >Dexi6A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:6A:9019978:9021072:-1 gene:Dexi6A01G0008490 transcript:Dexi6A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPRPPWRKTASRLIVEIDRCTHTFEVASYSLHKGTTAGTSLPSATFAAGGHDWCLAFFPNGDDTTTEEGKDHVSVHLKLVSNATGKVTVAMELKLVNQITGVSSTGVTYRSTVVFESKTLTSGAKFNKSELEVMPYLKDDRVVIECNLRVPIGMVQGSSTVCEIQVPPSDLSGDLGKLLDCEEGKDVAFKVAGEIIRAHRIVLAVRLPVFKAELYGPMSDTSEGIIITVDDMQPVVFRALLRFIYTDSLPAMDDLDGEEVSEMIKHLLVAADRYAMEWMKLLCESILCKRLDVESAATTLALADQHNCAKLKDVCIEFINSLGRNRSVEKFIASQGYRHLKRACPALFVDMWEKVAKSRRI >Dexi9B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:9B:12336384:12340427:-1 gene:Dexi9B01G0017570 transcript:Dexi9B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVPLLPLATTLLLLLLIVHAPAATSAPVATTDTVTPATPLVGNRTLVSAGRGKFVLGFFSPDPDDPERTYLGIWFNNIPSRTVVWVANRGSPLLGSASDAALHILANGSLAIVDTTTNDAMVVWSTPPPPATTTTTSTSSNVTAQLMDNGNLVLLVPGAGVVWQSFDYPTDTLLPGMKLGIDYHTGLDRHMTSWLSTGDPSPGEYTFRLDPRGSPELFLYRWSSRIYGSGPWNGYQFTGVPNLKSSSLLSFRFVSDPGSEAYYTYDLDSDTVLTRFVLNASGQIQRLMWIDMTQSWSLFWSYPLDECDGYRACGPYGVCSVERSPICGCAPGFDPRFPAEWALRDGSGGCRRRTELNCTGGDGFAALANMKLPESANATVDMSLGLDECRQACLKNCACRAYASANVSSPGGIGCFMWTGDLLDMRQFGSNGGQNLFIRLAASDLPLGSSAEAHSRTARLVEIIVPSAVGLLLLLVGLYICVMKQVKKRRKEAVPLPLRRNAQSTPFGRRNQIAASSDAQDDSLHNGQQGNNSKDCDLPSFDVEKIQAATDNFSIHNKIGQGGFGPVYMSMLCWEKRFNIINGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGTDQTAGYTKKVVGTYGYMSPEYAMDGVFSTKSDVFSFGVLVLEIVSGKKNRGFYHTELDLNLLRYAWRLWKDGESLEFMDQSIADTSNAAEVLKCIQIGLLCVQEQPKRRPPMSAVTTMLASEYPTLPEPCEPAFSTGRSRGDDDDDENEDTAAKAYRSDSASSWTVTVVEGR >Dexi9A01G0043550.1:cds pep primary_assembly:Fonio_CM05836:9A:46964608:46966299:1 gene:Dexi9A01G0043550 transcript:Dexi9A01G0043550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEEILLQASRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTDLKM >Dexi3B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:3B:7037772:7038815:1 gene:Dexi3B01G0010170 transcript:Dexi3B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNTSFSNPYHPLLSPSPPHHPHFPPLPSPPPPLIPQQAPAEAAPQLLQPSASLERERLPQWSHAETAAFLAVRAELDHSFLTTKRNKALWEAVSARLQGQGFARTPDQCKSKWKNLVTRFKGTEAAAAASAHPTPDAADPASAAAQQQARQFPFHDEMRRIFDARVERAQALEMKRAKGKDVQTEDDEGGAGGVGEDEDDEEEELEVDQMGEEEAGSRVPAEARGGGGAKKRRRKQAAAAAAARARSADQGEVEAMLREFMRRQVEIEERWLEAAEAREAERRVREEEWRNAMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKLGGDTS >Dexi4B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:4B:1555563:1555865:-1 gene:Dexi4B01G0002430 transcript:Dexi4B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRIDCNPCSLHGYFPIVTSERHNQYHRDLEREQAQEQQRRLEDAQRQRALRDKHAAQRAKRADQQEKLNAKRAKQTKGQQDKIKENKGQQDKAKKSKK >Dexi1B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:1B:8091150:8095841:1 gene:Dexi1B01G0009150 transcript:Dexi1B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKDGAELEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLENSGKHKEGGDEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREACIEFTLLSSHSDELISVLVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQVRLHVLILLYFIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDATH >Dexi4A01G0023400.1:cds pep primary_assembly:Fonio_CM05836:4A:26502952:26503454:-1 gene:Dexi4A01G0023400 transcript:Dexi4A01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGASRARARRVGGGRELAAALGGLAQRTALRLASGDWNHPPFFKSQPAMQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRKSTATSEPDPDSKP >Dexi9A01G0045150.1:cds pep primary_assembly:Fonio_CM05836:9A:48737402:48738288:1 gene:Dexi9A01G0045150 transcript:Dexi9A01G0045150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDPRRIHPRAGTPSADGRHALAAATGGRARDHHHLRQAEGGDVVDDELEATTDDDQFEAEFQLFKDDDGNEAGSSWASKSKAAPSLASSGAGSPAPRVTEGPAATSSCKKYRGVRYRRSGRWTAEIRDPAQGRRAWLGTYCTAEEAARAYDREARWIRGKSARLNFPLLHDEDSRRRQTTPPVAIDLNLPAVSDDLNATAGDDTIDDAADVRRAGSY >Dexi5A01G0022710.1:cds pep primary_assembly:Fonio_CM05836:5A:26833135:26834053:-1 gene:Dexi5A01G0022710 transcript:Dexi5A01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRKARGSASASVAAVVTRRAAGAPEPRLTPLHATQPKIGASETRVRAAAVSPREPVESYPPRRGAGPTGDVTTPTSPLASRWGEVIMYQAIPYSSARPWLMPPAEAAGVVVAVKPEAAVTVAKGAEEEPARADDAGGDDGGKAEVERAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAALAGVVPGGGAEAAAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >Dexi9A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:9A:16521359:16523400:1 gene:Dexi9A01G0021680 transcript:Dexi9A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPESTGIALFPLLLLAATASALPLLNSSVPDPAAVVADFHSKVATSRRRMQQAATGGGGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDAVNPVPGTLRHAAIQEGPLWIVFAADMTIRLSQELLVNSYKTIDGRGANVHIGAGGACITLQYVSNVIVHNVHVHDCVPTGNANVRSSPTHYGWRTRSDGDGISLFGARDVWVDHCALWRCADGLVDAIEGSTAITVSNSYFAHHDEVMLLGASDAYLPDSAMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWQMYAIGGSASPTINSQGNRYIAPGDPNAKEVTKRVDTAEGQWSGWNWRTEGDMMVNGAFFVPSGEGMEEIYERASSTDPKSAALVDTLTQNAGVLGDPR >Dexi2A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:2A:9801845:9802193:-1 gene:Dexi2A01G0009310 transcript:Dexi2A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLVFALEGNCDLNSPRVGQHLTVRNDWHRDKNVFESTDFSRLRSFTVFGECRPFMFDPEKIKMTYVRVLDLENASGVTDKDLKHIVEIHWVA >Dexi9B01G0033920.1:cds pep primary_assembly:Fonio_CM05836:9B:35974443:35986881:1 gene:Dexi9B01G0033920 transcript:Dexi9B01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKRKRAAAAAAAAAAAAAKWKVGDLVLAKMKGFPAWPAMVSASSRFLFLLCSLICNLMVAWYPISEPEQWGQSSAKKKPLVYFYGTKQIAFCNYADLEAFTEEKKRSLLAKRHGKGADFLRAVDEIIEVYDSLKEKDNCKGDLASDEVKPGVENLVENNGCMDTENLVSSSYMHSDKKMEEHSITTRNRNVVNSNGPSTVIGDEPCVVNSAPDEPAENVSILDEMRDIPLCTNSFSNKLRDAQPQNCYTRSRVPSLRKSRSSISVESRKAQVSGELLDCHKNAEYDRPNSVSTSDNVWLQSNVGTSNQSVGLGISNSNRKLSPPAKVDSTCNSESSDNGASETELKSNGTSNLPMNSAIIFKRKRKADRKPVPNNKDFTAPNKDEEFQAEYSEIVPDSPNSKNEVNKSDGDEHLPLVKRARVRMGRAQLEDSSVDEIDVSNKKPELATTADQCDTHGRPAIPGNDYPADQFPTVVNTVSNPSPKFDMPILSGEGQPSWKNKEYHPKILSVDVEAALPPSKRLHRALEAMSANVAETNNSIPEVTRPGDIVLNGSRSTGNSDSSTSADAVVTASNKSGVVQSPGPSLEKQFVHSPSGKYTSDSILQTKTVSDSASDPSKANDHEKHIMIKGDNIEETDMDGKTANCSLVCNELDNEVCRNTSALCMESNEPALDVTQTTSVPDRLSSSLEKASENAVALDVKETKPFGLAVSNVETRTEPVNHASNNVTTSEICHGETVVVESVNNVGDTASNSSLATKSSSIQSDADTRTSEVHTFSSLALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPENFLNAKYIPETSVNTPPMEGSQRQLSPSNRITRSTSANDNVHSRSPFDSIQHKKLAGHDEANAARRSFKDFLCTMTRTKESIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGKCGAGDVFPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYIIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEEEDGNSSEDKSFEAVTPEHEAPDNDDNEESQTPLEKHRRILEEVDGELEMEDVAPPSDVEVTTKCEPEQNGTNIMASDQRSSVVGPPLPVDGPPSPPPLPSSPPPVPPPPPAPIPPSAQMQQKLQMASDPNGPHPPRAAYDMEGNRIRFHLPRLWHHSTLLVPMATSLHLLHHTMEITIIDLQVHQFLTRDIICNHHPLHHLQINSLLCHQNTNKGHITGVITVHRILRDIGTMDMIEVITDMIEGIMGMRDIILMIEDTTMTIEDITMMTEAITLMIEDIILTIEDITLMRELLGGQCTMRLLTGEDILFRQGLLQFQTILKLHQPQCTMGDHRSLHQGLVQAGLGPLGYLTILPPDILWSLQFQMQLEVCYMFLWTWWLETTITSFCGIAMLLSGVDAFLSLGIFTWLTWKTEVTPPQDGGTREILQEVGADSCFLCIYVSQDCSPEAEV >Dexi6B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:6B:4416125:4417350:-1 gene:Dexi6B01G0005110 transcript:Dexi6B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEIFQIRKGQPERILQDVVGGRELRLVSSVVAEFHMSLLSVIQEGKGKNKLRNWIDMQNDVAAEPMNAARGKARAAKEKEKELKERLKGNMDKAMFSPNEAAALSNEENKDLIAQIEEAKKVKHSAING >Dexi3B01G0025540.1:cds pep primary_assembly:Fonio_CM05836:3B:20305282:20308535:1 gene:Dexi3B01G0025540 transcript:Dexi3B01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCLLNSNMLYLQVDFSTLIEVSIQFKDDIDSAADYVIQNVLPNIVPDPRHSSTNEDSYIHGHQHTFDDATTQLRPDPVLNNTNSSSIHFDQNNNEKEDSPMVQQFKLLSTGFSPKAFDIPSTSGQNCISVVCSSDCLPADSQLHASSESNPEISASEGEIPLHNDGSPHVTLRSSYSVNLESLDNVIADEYYKKNALMSNVAAISEMLQEVELNEENTKRAISEACQAGKDILVKVEELKEMSTLAVEDNNKVAGEVFAEKSILATEAQELQTRLFSISEETKGFVSTIDEMHNTLQRRLAAAEAERGAAEKANLEREAAAQESLKEQELALDAAKNHSKRLEQEAQENAKLRELLTERGHVVDALHGEMLGIFDSITRLKLRVDMKLRVDMQLPVDEQWQHVSSSLTSSAVDEPVHQVPVDLSRSAADIPPQVPLVLPNSAVDEPLQQVSPMLAIDEPPQQVPPMLAFDENLDQISSLLCSSSFDGPLQLVSSRLSSSVRSATSDGTLADSLATRNSWSSAESNASLMDYERMARISVGDFALDESWDVVDDEDTMEAPVRVKSTPMLL >DexiUA01G0003010.1:cds pep primary_assembly:Fonio_CM05836:UA:6351760:6359700:1 gene:DexiUA01G0003010 transcript:DexiUA01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMACRRRGRDESPEEDLHIILKAEGKLEGRDESPEDEQLGGQVQQQRAHTLHSLPTPLHTSPMTPLLLLRLLCLLPLATAAAAAATLPADFAALIAAKTSLSDPTSALAAWDPHLSPSPCRWPHLLCSVPDAPAAVASLLLSNLSLAGDFPSPLCSLRSLSELDLSYNSLTGPLPPCLAALPSLRRLDLSGNAFSGEVPAAYGAGFPSLATLGLAGNELSGAFLGHLLNVTTLEELLLAYNPFAPSSLTPASFSALPRLRVLWLAGCGLVGEIPASVGSLKSLVNLDLSTNNLTGEIPATVARLENAVQIELYSNHLTGRVPDGLGELKRLRFFDASMNRLTGEIPADLLLAPRLESLHIYQNELSGRVPATLAQAAALADLRLFSNRLTGELPPEFGKNCPLEFLDLSDNRISGRIPAALCSAGKLEQVLILNNELVGPIPAELGQCRTLTRVRLPNNQLSGAVPPDMWGLPHLYLLELSGNRLSGTVGPAIAMATNLSQLLISDNRFTGSLPEQIGSLPALFELSAANNMFSGPLPASLAGASTLGRLDLRNNSLSGELPQGVRRWQKLTQLDLADNHLTGAIPSELGELPVLNSLDLSSNELTGDVPVQLEDLKLSLFNLSNNRLAGALPPLFAGSMYRDSFVGNPALCRGPCPPSSSQSRASRRGLVGSVTSILAVAGVVLLLGVAWFCYTYRSQHNHGRSTAELSNGGGGSRPRWALTSFHKIEFDEEDILGCLDEDNVVGMGAAGKVYKAVVLGRGAGEDAITVAVKKLWGGAGGKGKAISAAAAKDSFDAEVATLGRIRHKNIVRLWCCFRSGDDCRLLVYEFMPNGSLGDLLHGGGKGVLDWPARHRIMAGAAEGLAYLHHDCVPPIVHRDVKSNNILLDADLGAKVADFGVARVITGDGPSAVSAIAGSCGYIAPEYSYTLRVTEKSDVYSFGVVMLELVTGKRPVAPELGDKDLVRWVHGSIERGGVDSVLDPRLAGKSRDDMVRALHIALLCTSSLPINRPSMRAVVKLLAEAAPPPPSPPPPAKVAGQKPPDV >Dexi7A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:7A:18883701:18885122:-1 gene:Dexi7A01G0007540 transcript:Dexi7A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAKHRGHSSQHHQQHIDEAAVMGGAGVPNGHGHRPPTAPRPAPRHAKLKILLVVIATNLVSVYLFSGASLSVHLPASAPRAIHLWDSSALLRDLNATRGALAGARAELAALRSQCNASSLLLESVLSALGAAHGDAPVAGDFGGWPEEPRGELKLAVEPHRLPLGYHANLGTDELFPGLGFACRNFQDELSRYMSYAPGGECPDDDALALELTLKGCEPLPRRRCRARSPAGRYVEPSPLPGSLWAIPPDTTVRWTPYTCKNYTCLVRRARARGGGPYFCKDCFDLDGKERRRWMTDNGGVGFSIDSVLRSRKPGTVRIGLDIGGGTGTFAARMAERNVTVVTTTMDMDAPFSRFVASRGLLPMQLTVMQRLPFAEGVLDLVHSMNALGNWVPDAVLEAALFDIYRVLRPGGVFWLDHFFCLGPQLNATYVPIFDRVGFRRLRWKADRKLDLGAERNEWYVSALLERPMT >Dexi3A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:3A:10617693:10617941:1 gene:Dexi3A01G0014600 transcript:Dexi3A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSARLAARIRSRREERGEHGAVGGETGGGPGIGTGAEEIRKYPERSSRRGPRGWGGGGGRGKEADEKGDTEEGEETTTVW >Dexi8B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:8B:23721522:23722296:1 gene:Dexi8B01G0013450 transcript:Dexi8B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVESTKAVKPKYGNGSAPSMAADTVKLTVLDRVNFDQYISTLYFFSPQTAKAPPNAILEQGLSKTLAMHREWAGRFGLDANGDPAILLNDEGVRFVEATADVALGTNMPVEPTPELLTLYPSDDGADELMLVQVTWFACGSLVVAVNGNHLLLTAWAIATCGVGIPLHNRTTSSIFMLRNPARIEFEHRGVEFKQPPHCDALDDMEQHANASGGGDKVVGALQRGHGIRAEGPSVATRCTAAM >Dexi1A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:1A:18828848:18830179:-1 gene:Dexi1A01G0013580 transcript:Dexi1A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGATGAPGICPQGSNPVSLIKGYDVQGLSQPLQIADYHHPQHQAHPTPVDPHRRSMLAPPHPGPHLVQALLLLTERHCTLLLPWPSSLAGAKQANTMRRKVEKPGATSVWPAALATPNTSSKDITRTAKSLSTVQKSNLSTGPGTEKMATSSVKLKTQKSKVGPLGAGKAQAVSSTRDPGSIAKRRTGVENSLSIQRTKSVPARQIETPKIEEQDVELLMEFDETESISTSSIEEHLQERLPDPVDLQSGDINSKPSSSHAGGILEVKREGKDNEDLNAGDNSDVGINSDINILKEATGKTELKEAVEETELKEEVSATEPREYVDETKLNEAFNEAELNETIDETKLKEADCETASKEAACETELKDDVAEPKLIVQEEDKSKDEKITLPAKTMELAQRWRKDDGKKNEATEDSRSKSIQERKNKVMALVGRFETAMSGRE >Dexi7A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:7A:27549644:27550868:1 gene:Dexi7A01G0018090 transcript:Dexi7A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLPIRSPSPVAATLPNAAFLLPALTRVPLRRPPERATMSAASSAAPESAAPNPGAGGGEEGGKEAADVLVQYVVLRRDLADTWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKACSTVTLEVKGETQLKNLAEKLQTAGVRHKLWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >Dexi6A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:6A:12692451:12693751:-1 gene:Dexi6A01G0010290 transcript:Dexi6A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTQDPAASGRHDGAGKPLVLLADPLIPEFHPTLSTHYHLLPLASADASTAATARTLLTVDLPAVTSDTIAALPALELVVASSVGVDHIDLAACRRRRIRVSNAGDAYATDAADYAVGLLVAALRRVPAADAYVRRRGWVNHGDYPITTKVSGKRVGIVGLGKIGTLVARRLAAFGCTIAYTSRSPKPSSPYAFFPTALALAADSDVLVLTCALTEETRHVVNRDVMEALGKDGVLVNVGRGGLVDEPEMVRCLKEGVIGGAGLDVYENEPDVPEELFEMENVVLSGHRAVLTPESMREVVETVHGNLQAFFDGRPLLSPVKL >Dexi7A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:7A:15913222:15915755:-1 gene:Dexi7A01G0004910 transcript:Dexi7A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSRVKKETGGGPPPRKGGLKFVPKVPVKKAAKVVPKKEPVEDSKDETIDRDLLMKLKASQITDPLARRVKTEDKYEAKLPKEYAEPWDYTHTDYPVTLPLRRPYSGNPEILDDEEFGESSAGRARDAELTAAEELGLMDRSDESQLLFVQLPASLPLPMQPESVAEPTKGSEGRREGVRTPSHIGSKLRELPGGYMGKILVYKSGKVKMKIGDTLFDVSSGSNCKFVQEVAAMNTREKHCCTVGEISKRAVITPNIDSLLGSVDKMQE >Dexi9B01G0045060.1:cds pep primary_assembly:Fonio_CM05836:9B:44714756:44717101:1 gene:Dexi9B01G0045060 transcript:Dexi9B01G0045060.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSHSYFPLLLVEISDPSKVTSKTSLSSVPSTIKSNSTRSTLTLPSMRDRSELPTPRTEGEILSSSNLKAFTFNDLKTATKNFRPDSLLGEGGFGHVYKGWIDENTLAPSRPGTGLVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHKNLVRLIGYCSDGDNRLLAYEFMPKGSLENHLFRRRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWARPHLGDKRRLYRIMDTKLGGHKIDECCIVAPTQ >Dexi2A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:2A:5490610:5492179:1 gene:Dexi2A01G0005700 transcript:Dexi2A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKADGIRNLLLKIVFPLAFPLAGAFICDLITNRADRHSGYTGSSESSFQLDQSSCSIHKREEEEEMEATRRRSSRRLARSESVCSTTGRLLINELARQASNAEEVMVVEAAENSSEAAANKQLQEDQRMSTDQIASLKLMVSALEDRACSMEAQFHDYCDMKEQESAYQKMQIMCLGMKLELLESQNQRLEAAAVEIRAAAEEFAAMKGKLDNLQSKLKKITKRSKQDSDALGVKILALDAKQSQMARRCEEFEQCMEEMKQLTLQLQEQKAAANNENVEVVVERSLRNLSSGRDLVDGLEALRDRWAAGMEEMIYLGWITAWLQHDLMLIDGDDDGSAVLVGSTYGDDDDGRRKGGHPAEEEEEGRKKKGETMVAAAAPSNEVELCKAGSVSSSVSAGPRRSVEVEPPPASCLGFAAAGRRSGREGGGGGWSIGRPRLLRKLRGWAAAGGNAGDRGKARCRIAGPCCQK >Dexi7A01G0002970.1:cds pep primary_assembly:Fonio_CM05836:7A:11843777:11844309:1 gene:Dexi7A01G0002970 transcript:Dexi7A01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPISVVQLEWSIWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSGGAKLIDSLSEQDSRKLSLV >Dexi7A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:7A:21560051:21563237:-1 gene:Dexi7A01G0010880 transcript:Dexi7A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGSSGLLNAVGKRNMKFFSNGYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAMLGAAGGGWINDAYGRKKSTLFADLMFALGSLVMCAAGGPYILILGRLLVGLGIGVASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAIVQFVLMLFLPESPRWLYWKDDKAQAIAVLEKIYDSDRLEEEVELLASSSMHEFQSDNTGSYLDVFRSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFPSNKLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLFGVVISLVILAMAFILQSSSSICMAAANGNCQGMLGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLIVAQTFLSIVGLLGTGVTFLIIAGIGVLAFIFVALYVPETKGLSFEQVEQLWKERAWGSSDNSQSLLGAAP >Dexi7A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:7A:24597638:24600091:-1 gene:Dexi7A01G0014630 transcript:Dexi7A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSFGDSFSAASLRAYVAEFIATLLFVFAGVGSAIAYSQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLQFVTHGKAIPTHAVSGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGSFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQSNTLRNLCEHNHNTTLHCKKFATANNSCGPAMASSSNLLVHLRRCVSPPSLRAYCAEFMATFLFVFTAVGSTISARMVTPDVSSDAASLVATAVAQAFGLFAAVLISADVSGGHANPAVTFAFAIGGHIGAASAIFYWASQMLGSVFACLITGEELTRINIMQAVPTTRIAVAMTGFGAAIMEAVLTFMVVYTAHVAGDLRGGGGGKRRGFAATALGAVAVGSVTGACVLSAGSLTGASMNPARSFGPAVVSGDYKNQAVYWAGPMIGAAVAALAHQILACPTTATDAAATEPSSRHGNVETVVV >Dexi4A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:4A:9154090:9155280:-1 gene:Dexi4A01G0011280 transcript:Dexi4A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWWHRGRSSLRCACGEQQPTFKGRRLDEGRCLAVRDGALVLAPADPADEHQHWFKDARLSLWVKGIEGKPVFFLVNKATGLAVQHSLGPYRPVRLVKFNPDDFDESVLWTESGHMGREFGSIRSMHNVGFGLDAISGDGEDGNDGSVTFLLSEWARGNTQSRKILYWNDAANTTLAGLESEPTCRIYYKADESFSVTVRDGAVCLAPTDSGDAYQHWIQDKRPGKHDQGPFAIVNKITGDAIRGSEGFRRGTVELAPYNPFYMYLSVLWATSWDKGHGFRCIHLVDNMPMNLDAFHGDGTNIVLSLCCEPDNNLQWKI >Dexi8B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:8B:20600009:20602862:1 gene:Dexi8B01G0011540 transcript:Dexi8B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAREALEWRTTVPEGASSVLVERHEGGLAARGREWLLACVAAAWGGVGGFAREVWRIGEDDPRKVVHGLKVGLSLALISVFCYPRPLYDFLGGAAMWSIMTVVFEDTVGGSVYKSFNKAMATASGVILALGVHWVACKSGPYILTGSLFLLGAAANFSRSLPAVNARFDYGVTNLVLTYSLLAMSGYHVDHLASLVQERVSTMAIGILMCLAVSVLISLVWAGQELHLLTTRNMDKLATSLEACVDDYFVAEEWCTTKKSSDSDGYKCVLNSKASEDKQASMARWEPPHGRFGFLHPYGHYCHVGAAMRACAYCVEALAAATCTRQAPEERRLLRGACTRVGARCARVLREASRSVSDMAAFGRELDMAVADMNTAAHELQGELRSMLPSVNLRAAEASRTMDTMPAVFTVASLMVEIAARVEAVVDAIEMMAILTNFKQVDDDNDGDGCQQK >Dexi7A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:7A:16308727:16311161:1 gene:Dexi7A01G0005150 transcript:Dexi7A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSARRLKIGDAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGEKLEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMGETEALDMKAALESKGEVEFKVCTLGKDVTIKKSMVSINIEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKLEKFEVVAKKISKALTAAGVSHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVMDGQSTWADIMWRYPAHTASAADEEEAEP >Dexi3B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:3B:11035450:11045246:1 gene:Dexi3B01G0015230 transcript:Dexi3B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKVIIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNTDSRIPVDKEEVRLRRTVASKKDEYYLDGKHVRHVTVLFKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQDTAANKRKQIDQVVRYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRKISESMSVADNEVVDVREMIKSFDKDIKISTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIRDRIVTEKRAKDEAAKDLQSVRRESEKSKSELAEISKAHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDNWLQKEIEDLEPVLLSNRKQEGLLQEEIQKLKDEISNLTDYIESRKSESSKLEATLAKRHNDYNDLRKQRDMLQEERKSFWKEESDVTAEIDRLREDLIKAQKSLDHATPGDIRRGLNSVSRIIKDHGITGVFGPVLELVDCEEKFFTAVEVTAANNLFHVVVENDDISTRIIQILTREKGGRVTFIPLNRVFGRTVICRDLETATKVARSNGLDCITLDGNHELLLLSSHYYFNSEIITCLYKKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQKTSLEKALVKKEKSLDNIRNQIEQIQSSIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKERFLLCKNSRIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPLEAESKEQELKSSKRNLDELTSLLKANVDAINNFTKKMDDLKRRRDDLKTRETILEQTVQDGAKDLEQLMNSRNTHLAKQEECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYDCNEQLKQFSHVNQKALDQYVNFTEQREQLQRRRAELDAGDQKIMELISVLDQRKDESIERTFKGVARHFREVFAELVQGGHGYLVMMKKKEGDAGDDDNDEDGSRDPDPEGRIEKYTGVKVKASLIFFYYNSFCFVPDMIRRLADDADTQFIATTFRPEIVKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >Dexi2A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:2A:281597:282294:-1 gene:Dexi2A01G0000530 transcript:Dexi2A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVGVLKVRVVRGINLAYRDARGSDPYVVLQLGKKKLKTSVKKRSVNPIWHEELTLTVTDPNQPLKLEVFDKDTFSRDDPMGDAEIDVAPLMEVVNMHPDDIKNGAIIKSVRPSTRNCLADESHVCWRNGRFVQDIILRLKNVESGEIQLQLQWVNIPGTK >Dexi3B01G0029300.1:cds pep primary_assembly:Fonio_CM05836:3B:28492591:28493083:1 gene:Dexi3B01G0029300 transcript:Dexi3B01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHRSPLGAPAISLLLLLAALASADAAADPSPKKAGMRMRYANKEEAQWLDRYAETHQPLGAGPLRMRPATEEEARWLNRMSESDTTAGRAGDHSHDGEDGGGGGNYIEFDEDNPLGLPG >Dexi7B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:7B:2328754:2329035:-1 gene:Dexi7B01G0001410 transcript:Dexi7B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAQTTAREGMASRGTTTAMREGTTAVALGAAVQGPRSRRQWHTATLRATGRARPWGGNATGETLLKNDFIGQYEIELKGGHNFCTCVNV >Dexi9B01G0045470.1:cds pep primary_assembly:Fonio_CM05836:9B:44960702:44962825:1 gene:Dexi9B01G0045470 transcript:Dexi9B01G0045470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSASTDQRDLAAAAGDMSFHHYTTSNPYSDSATTGGLMPFPATIVSEGHVVHHGGDGRDDFVNARDGPTSDAAEMGLQTQLLMASSSVAAQHHQGLSLSLGTQGVPVSLYQYRQAAGMAAASSLLSPSQTTATSRNAQSIYVQNSKYLRAARELLDEVVNVRDAIKQRKGDKTNQSNKDSGEGSKDAADKSEEKADEHEGNSSAELTPSERQDLQNKVSALMALLDQVDRKYRHYKHQMQMVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGAQVQSLRRSLGEKDTSPQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENKQGKDEAAISSEDHDEFQSPSSAAAKQHGHGAAAGHHLVSAFKSEAIGAMDAGVLDGGAIGSYTTSLNLGAVGNGGSSLLQDAFAHHQHGDARFAAAAYGDMSGLGGYDGGSVSLTLGLQHCNDAGGAVQGEQQGLLYGNAGDFEFISSSEDRQRFGSSQLLHDFVA >Dexi4A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:4A:2561190:2562925:-1 gene:Dexi4A01G0003560 transcript:Dexi4A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPPVAAPPRYSLPPVRRPEEDILFCVDVDLEALTEMKPAASASGPSSGSASTGSPQQPAGAGAGGAARPALRRLDAVKQALLLFVHGKRKICPGHRFAFASLGETVSMVKKDFSSDPGSAMEAVHSLAASGSRYETADLTPLFKIAYQEGKRAESQGRLLRVILIYCRSSTKPHHQWPVKPKNFTLDIFYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETSQGLARVIFREMCILLSHPMQRCIQDDLDIPKQVAKKTLATEAAQNEDGTPVSSQQ >Dexi6B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:6B:5752960:5754984:-1 gene:Dexi6B01G0006130 transcript:Dexi6B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTEEHAVQMISASRDWSGLPIDVLLLVFRKLGAVEILMGSGLVCHSWLEAAMVPDLWRSVDMANDNVEKVDEDILCAMAKVAVDRSKGRLEVFLGKFFVTDEILKYIGDRSTSLKSLSLMSCHDVSNEGFTDLVTKSPLLEDLSLELCPRVGGRKVYEAAGKSCSKLKHFSLHRELFRFSFRYPDRYREARGFRAMRELRSLSLVGSSISNKELEAILDRCPHLETLFLRDCYAIKVAAGSNLRAKCARIKTWRGASKLAKK >Dexi3A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:3A:15074172:15080407:-1 gene:Dexi3A01G0019250 transcript:Dexi3A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRYTEQEEALEIKSLRRIIAAYANYQDAAERDVKRYEWSFKMLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEDEEDQMAGCSQPVGSNLGTSQGVDVSCNGDTNARAAAYYQDKDVSSSSAVDNVTPRHCTGSSFKLNVPPIDVDKVRCIVRNIVRDWAEEGQKERDECYKPILEELNRLFPNRSNQRPPSCLVPGAGLGRLALEISSLAPKRPMNGRYILGYTVTAILFQTMINFGLFHFLIFIPQGITEGFSMCAGDFVEVYSEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGDMSIELSLEDVKKVAYHYGFVMEVEKMIETTYTANLRSMMQFGKIEVKAVLNSVNLYLAFQSLPIDCECPIDTVQPSGQ >Dexi1B01G0026030.1:cds pep primary_assembly:Fonio_CM05836:1B:30982434:30983160:1 gene:Dexi1B01G0026030 transcript:Dexi1B01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFWGGGRADEVADFDEYDPTPYGGGYDIALTFGRPLPPSEEICYPISTTSTTASSYDRPQQHGGRRLGTEESHGGPAASSYGGGGGYARGPTKPHVEETHGSVAAGYGYGRKGHDGDDEQQAYRKPKPAAAYGGDDDEQAAYRMPKPAAYGDERPSYGRKKNDDDDDSDDDDRRKPRYKKKDDDDDDDSDDDKKKRYDKNNRRRRDYDD >Dexi2A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:2A:2706881:2708224:1 gene:Dexi2A01G0003150 transcript:Dexi2A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLTTSPASLLSAAADDPPSSTAFRHATFIAATLSLTFLPSSPPPIISLTARSSSAASTKFPLFLSTSILTATPMASTIANALRCCSAYIGHGAMGTPHHKLSITEFHPQCVTNPPTAACAKISFCGAVVGHTIPLPPSSPATASRNPSGRSSPPARSASAGLLVPGAGGPRSTQRNWWPLRCKPWATSCACAAVRNPALPKQRNTTDAGGCFSSHRTHGCAGDVGGGNEITGPMGRRSVAMRAPAGGNGGEDAGLELGGGVDDDAIGVGESPAVAYHAHSGFVFSITGVGRESGGTGGSPGMSTAATPSMSSNSPATSSQSAGSRRKKESAAALQTYAGTARSRATSMSGVQKRSSTTAATGLAGGKPEMAALTLGAWSSTTREMNSTGPWWSVGGSTAGRRWNRTSRPPPPAATSSSARRMWASTSDACCSVGAAA >Dexi7A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:7A:27170458:27170643:1 gene:Dexi7A01G0017490 transcript:Dexi7A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAPAAVGEGGARACLGPHGWFGRLLCGSAGVEEDDLGAWGRSTLFSVSFQLCFRLIVGP >Dexi5B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:5B:7865530:7866657:1 gene:Dexi5B01G0011130 transcript:Dexi5B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGYMDKLASCFVHHGAPSQTFKLHNLQRRSTSPLSPGRRSVPTVRCAAAHAATATKLSTVDVAVVNGSNDTSSFDFERYLSSKARAVNHALDLALQGLRCPDSLTESMRYSLLAGGKRLRPALAIAACELVGGPPAAALPVACAVEMIHAASLIHDDMPCMDDDDLRRGRPSNHVAFGEPTALLAGDALLALAFDHVAAGCSASSVVPADRALRAVVELAGAAGVSGVAAGQVADMEGEGAVSVGLVGLEYIHVHKTARLVEAAAAAAAGAIVGGGREEEVERVRWYGHVLGMLLQVVDDVLDLTATSEQLGKTAGKDAAAGKATYPRLLGLDGARAYAGELLAKAEAELDGFDGVRAAPLRHLARFMAYRQH >Dexi9B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:9B:10048898:10052705:1 gene:Dexi9B01G0014970 transcript:Dexi9B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWTQVERKNEWWSPMGPLYKCTRLHPSSPPSNPTATHRARRRPRAEPSPREGEERRGRRLIGAMCYQVKCGTCGKPTWAGCGRHIPAGQHCACRDWPGVAPPAEKKATDAAAADATKISGPAAEARRCCCCPVRCARFPGERCLRFVWVWPSISLCRFGSRKRCPAIEPLLGRSNWINPWKGSIAASSIVVWKKRQNNGDGRSCHREHGWNWLSDSRGGEATASARRSTELYTRRLAVTCEKPVASQNKKNSDPSPFPQVARSAARLRYVSDEFRGRILYGFACATHGAKGTAKIAYKRSAPPFAFQPSPTSIQISVFGRQQQRRGEGVLRAVKCGACGKSTWAGCGRHIPEGQHCACRDWPGVAPAGDKAAAAGDAAPAAEGSSSTSEAAPRRAGEAGVSREIASPSLPNSVAYALLTHGDFLRLPLFRYLPNRTQGSHHIAQLTNRESVQCWVR >Dexi4B01G0023420.1:cds pep primary_assembly:Fonio_CM05836:4B:24740726:24741649:1 gene:Dexi4B01G0023420 transcript:Dexi4B01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLSPARSSSKPRAAAAAAAARHVRSISLPSCRAHPLLTHLQSTIHAARSWAAAAAEPTTSPSSGLAHLDALHAALAELLLLPEARAALLHSATAECLLDGFLVLADAHGAFQEALLDLKRHAADVQAALRRRRRLDHQATTTRLAATVRAQRHAEKDLARLASSVRAAAKFPAQLVAGSSGASVAEIEVAAVLAEAVAAVASASAAVFSAVESVSSAASTAVIASSSKKPAATTLISQLVTRSSKTAASSDEDKEMAALDRLERLDECIAKMEAGSDRVFRSILHTRVALLNIHTC >Dexi4A01G0022030.1:cds pep primary_assembly:Fonio_CM05836:4A:25400791:25402580:1 gene:Dexi4A01G0022030 transcript:Dexi4A01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATCNACNVRFADDDHKRLHYRSDWHRFNLKRKVAGVPGVTGALFLSYVQAALGEGMTSDLTVAPIRYGCALCGKEYRSSRAHAQHLSSRSHLIRASDEGSPIDGVAVVKLKPLAERRGPSTAVEEEEEELADEATASSDMQVDEDSSSRCDEEPEELDPLSCFMCDLEHDTVEGCMVHMHRKHGFFIPDSEFLKDPNGLLTYVGQKVKRDFICLYCDDRRQPFQSLEAVRKHMVAKGHCRLRYGDGGDDEDANLEDFYDYSSSYVDVEGRQLIAAADANSNIELGIGGSELVITTKNGKGTRVRTLGSREFTRYYRQKPRPSAVTNCASALSLASSHNSMDLVTVQSKKQILSLQSQEHGFGDNSV >Dexi6B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:6B:10536869:10538788:1 gene:Dexi6B01G0008380 transcript:Dexi6B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAAVSVTPLPSPPLRRLLLSPSRTAAARRLARVSAAMAATAVQPAVVVGGGRVGQALLSMGPPAGDVLVGRGEKVPDDAPGPILVCTRNDDLDAVLEATPKSRWRDLVFFQNGMLGPWFESKGLLDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGSWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKDYRSEVASLIAELASAAAAERGLTFDEGIEERLCAYSRAVAHFPTAVKEASTNTDYILSS >Dexi7A01G0022560.1:cds pep primary_assembly:Fonio_CM05836:7A:30768687:30773083:1 gene:Dexi7A01G0022560 transcript:Dexi7A01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPTAADKEALVSCFLEIAAGQTRETATQFLQMTSWHLEEALQLFYIDGESALAARPPAPPSPSAAAAVAAAAARAEEAMRFAPPPAAALGDPMLHGLGVGDEDDVRAPLPVKRETLYGDGPVSILRPSATVAFRNFEQEARQSAVWDSDQNATSSSRDNLASLYRPPFSLMFNGPFDKAKLEASSLNKWLLINLQSTEEFSSHMLNRDTWGNEAVAQLVRSNFIFWQVYHDTSEGRKVCTYYNLLSIPAILLIDPITGQKMRGWNGMVHPDRLLEDLLPYLDKAPKEQHAAQPQKRPRNVDQETKMGKQGKSIVEDEDEELARAVAASLEESKEVAEGSDATDDMAEAEPEEEDEPSINMKPDYPPLPEEPTGSRDLLCRVAIRLPDNRRIQRNFLKTDSIKVEGGDKRAFHFVHAIPGAAKKLEFTNDLTFKEAGLANSMINLLWD >Dexi2B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:2B:7598946:7600223:-1 gene:Dexi2B01G0007260 transcript:Dexi2B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYYPYGAPPPPQMPAAPMPVAVVSPQFCAPYAVPMTVTKKAVSLSGGDFVVTDANGAEMLRVKGAFFSMHDRRVLRDAAGQPLVSMRGKVLSMHNRWEVYRGDSTNASDLLFTVKKTAVFQLRTEITRKYTAANLLLGKDTFVVTVFPHVDYVFIAALVVILDEMHRERFDWDF >Dexi3A01G0021410.1:cds pep primary_assembly:Fonio_CM05836:3A:17030475:17031544:-1 gene:Dexi3A01G0021410 transcript:Dexi3A01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLRRLLGFSAAVSGGLRRRLLSTAAAHQPWAMIYLTMLVDSPAPRASLQLAAPPCASNILVPAHLVDLPPLPDPDSGTVRMSFDGIVRAASADGLLLLQFTDFCGTAPVVARCGCGSHGIRALVGVHDPDTTRFVLNPLSGQMVRLPDIDGTKKTTYFDDIGILTQSESPRRPPDRYAVAVFYEDDDDDGGQQRFVMRRFLSETGKRDKLAALPSPLPLGRQMSINQETVAFAGRLWWVDLTWGAVSADPFSDRPDLRFVELPKGSVTEPVEEEHDLGRYRRIGVSEGRLRYAEVSQQEPFMLIYP >Dexi5A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:5A:1829792:1831359:1 gene:Dexi5A01G0002670 transcript:Dexi5A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARRLSSTSTSSAAPVKLSEIFRVPKNRSPPAHPSSPFGHRKPPSRPPGSGGEQPPRRPKPREPWEGEAGALLRRLHEGRYLPGHDLSSAPHAISPDAVKAAAERFGNDNQVVAKWLSGSDLKKLALFGCPTVERRTVFASKRLRAFFNIQEEKICSSCKLRSSCKFVYQEVPRHNKVILSDTMRIISLFVLDAFPKELQVTAELKASICKLLKDTISLSS >Dexi5B01G0039670.1:cds pep primary_assembly:Fonio_CM05836:5B:38395661:38396577:-1 gene:Dexi5B01G0039670 transcript:Dexi5B01G0039670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGEEDDGEQQQQGPAVMEIGWPTDVRHVAHVTFDRFHGFRGVPEELVLEGPPPPSASTRVFGVSPESMHCSPDGVPTILLHLQRRLYDHGGLAAEGIFRITADAAQERRARDHLTTSGEVPLDVEDVHCLAGLIKAWFRELPGGLLDSLPPDEVARCLTDDDCARLCAASLPPSKAALLHWALSLMADVARHHEANKMGTRNLAVVFAPNMLTQAVDPLTALKHTVQVMNFLNMLIERALKQQQPEDDHGSNSSPSSSLSAAPTTN >Dexi3A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:3A:15302884:15305414:-1 gene:Dexi3A01G0019480 transcript:Dexi3A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIKAINGKSPIVVGPWGGTGGYPWDDGVYSTIRQIVITHGAAIDSIRIEYDLKGRSVWSETHGGTDGGSETDKVKLDFPDEALVSVSGHYGSVCGTPVIIRSLTFQSNTSKYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHFPNPLNSPATPRSLPSAQSRNSFTGGDTGADMVLAVRDRGDSYAVYATNQPKQQYANPWPGYSDGTMVSSPSYYGDRGAAAALSSPQTYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSMKVLYDRNGQAVWEVLTHITGFYDSAIIMGPTVVRSLTFHTNKRTYGPYGDECGTYFSTSFTNGRIVGFHGREGWYIDGIGVHVQEGKVAPQRFVSRPTTTTSPSLHYSMLSQAQADTYTDDGVAYGMVKDPVPMGPGPWGGEGGRPWDDGVYTGVKQIYIMRGAFIGSIQVEYDQSGYSVWSSRHGNSGHITHRVKLDYPHEVLTCVYGYYNTNREDGPRVLRSLTFITNRSKYGPFGDEAGAYFSSAMTEGKVVGFHGRSGQHLDAIGVHMQHWLVDRRPAPKYMLSKYLF >Dexi9A01G0049770.1:cds pep primary_assembly:Fonio_CM05836:9A:52321688:52335482:1 gene:Dexi9A01G0049770 transcript:Dexi9A01G0049770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSEHAVAALKSSFRALPADAVPAVVDCVLASSASTSPAQFFHALLGSPTPSEQQQREQSDADRHASISHATALRHLLARFDTPREAKDALRLLLWRVFLPLLRDTIEPNHHQVTSLMCDAISDTGSWNLLGATIVPFCVRSSAAAMGLPVGDDSMIYHSIIMEADFAGDNLPRLLTLSKANSVLASLLEEILERRRTVLSMGTLDSQEGAVDLDALVQNLTWDLSTLVLKMFAHDQEYRSCATRTLLQPLLISLADIPYVTVMLGDGQHKLSRILSLYFSTLKLGHQVAVFGADKLQEFDLRNVSEFWNQLRKGLVDKDSFVRKQALYILTVSLSIFTVSSRSDGIQHCSSKSSAALSSQNKSNTATTKRERWADKEAKSLGVREMEQSDEFCSNGQDRWKVFLLLYEMLQEYGTHLVEAAWTHQVSWFPHAFLVSEAVNILLCLIKVLLNYNWANMLKNYVQVMLLFESTPQTGYLNHTTHGAFQAQMESWEGILLWMTVLWERGFTHDNPQVRCLVMQSFLDIAWERYKFCAQIIPRGFVLGSLIHGLNDVVHHKDFGIGGVYDSKTIKGAESFFSTYARNLTRRDRIHLVWRLASAAKHDSFSRAGLMTLASCVASCTCQSDINDISCATPGKEASKCGDVPTEVSSADLLDALWILGERSKQHFNPKYRLKVCEQVIRVATSLISATEVPLNQLLHFISTIPREFTDYFGPLRAIVQEWLIQKKDYSPVNTLLSKLIDFPCTFVKNNKQDDGSNLFDDEDVNAWEAEARRWARTLLLVTSDQQHLERILGFIEAYGYKLSEQLPVGECVPVKFFIIVLSFIEELEAGQKKLVCQNNTILKGGSDRENGLELHDFNEKLAESDRANGLELHDLKEKLTESLSLVLIWSMRCICSVASWCNHYNHGDSLPSTFSFLWDFYWKVTKHSTDATGWLCVDSLLSISCCFSDNESHLKRLDPLFSDSTLRCIFLDVIESLENAGENSVLSILRCVRSVLGLLHSNMGNGNFTSIGISYEVAVGSFFSSVFYAQLEGTKITVVMLSTLGPASPPRRFIGNLLNEGSKSPRTIRLAALHLSGLWLMYPKTIRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPNREFTEVFINTELYARVSVAVLFHQLWKQIKENNISETEDALQSGKQFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDIVGEVLSSTHTCLYRNNLPAVRQYLETFAILLYLKFPTLAEEQLIPIFHDKGMRQQALSSYVFIAANVILHSRLRSVQINHLNKLLPPILPFLTSHHHSLRGFTQLLVHSVLSRLWPNLGLETSEDVIFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPDASGTPLGIFSARREVFEFECVPVSVMERVIEFLNDVREDLRHAIAKDTVTIKNEGLAVEEDQRSVSEPGCQDALDFQKKITPRTRSKIAEMEEDDQLFNLALEARLHAVETIKQSQQELIVVASFVDRIPNLAGLTRTCEVFRAAGLVVADKSILQDKQFRLISVTAEKWLPIEEVPVDSVKAYLERKRAQGYSVMGLEQTARSRPLGHQFEFPRRTVLVLGREKEGIPVDIIHVLDGCVEIPQLGVVRSLNVHVSGAIAVWEYTRQHTTVQELK >Dexi5B01G0037110.1:cds pep primary_assembly:Fonio_CM05836:5B:36645648:36648657:1 gene:Dexi5B01G0037110 transcript:Dexi5B01G0037110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVMVLRSLTGERTVKRLRLSKALTIPDHTTVREACRRMASRRVDAVLLTDSNALLCGILTDKDITQRVIARELKMEETPVSKVMTRNPVFVLADTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTAAAVVTVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHDGKVLHLPVLDRDGNVVTVVDVLHITHAAIATVGNTGAVGSEATSTMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTDLITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLIAAVDHARQIGWKSLRLHLDYVGVGRRKRGGGSTDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRTG >Dexi9A01G0036750.1:cds pep primary_assembly:Fonio_CM05836:9A:41106997:41110646:-1 gene:Dexi9A01G0036750 transcript:Dexi9A01G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKEEMEIRKQMNMSELNDLEEDTRVEIEGFRTGTYVRLEVHGVPFELVEHFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQNLSNNQAAKIEPGDVLKRKGEYTEGIARCTFEDRILMSDIVFLRAWVNVEVPTYCNLVTTALQPRKETWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKPRKFNPVEIPAKLQQLLPFKSKPKDRPKQRQPTVENRVPVIMEHNERKTHEAIRQLMLLRHEKAKKKKIKEQQKKKAYEAEKAKTEQVTKKRQREERRERYREEDKQKKRARR >Dexi1B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:1B:4493087:4493344:1 gene:Dexi1B01G0005470 transcript:Dexi1B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLGEAKGEADDTTGDGFTTEAQRLETSLACLGSSARSPLSLARMALGGSMASLRVPPPSPSSPCLRRLLAAHATSDLSFRLDP >Dexi5A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:5A:7982958:7987531:-1 gene:Dexi5A01G0010610 transcript:Dexi5A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKVAIVIGSVSHPLCCPFPGIVGTVLTGGESSLPDFKDVISGAFKFMTKGAKKAKDVPSTSSPHTAHLLTQVNYLREELQMLSKSNHVAIVTVDGRPGPGAYGITAVVIGAIGYLFIRWKGWKLSDMMFVTKRGFSDACNAVGKQVDQVSESVNAAKRHLAGRIDRVDCSLDECQEITEATRNEVTIIHGDLSAFQKEMETVHLVVRSLETKLGRLAYTQVTSSTPRPAIESSERTARAALPSALEPESPSAQSPRAEAPKPQVLRSTTMSASGLSMLAGTTMPPKRDHQSSFSRASSMKAGSSELPSGAPSSAEPSPRRSGSSTLFGGFGFLRNYTS >Dexi3A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:3A:5514788:5515867:1 gene:Dexi3A01G0007910 transcript:Dexi3A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRHRSSDGESVDHRSCRRSLPLRRHLCVVLDDWSKGYSIYKLDVDGFNPDDKQADDDLDLNAADRLPEPPVFRLEIPEDERGRFARFAAVGSRIFAMHYSDEDRDAPVLVYDLATGGLAVGPGTPLELQNRPKLVAAGDRLYAVDRIRDGRREDHSFKVLAPHGRRGWVWSSLRAAPLDLMVAACHAAHPDGRTVLFSAHGKGTFSVDTETEEWAWLGQWMLPFRGQAYYDGELDAWVGLRSGGVAEGAVCSCQVVVVADHHGPEEEPAWKVVAPQVIKTDDERTMAVELAHMGDGSFCLVECRQQIEDDDGRWLLRTATFGLRYDKDGALRPTASRTRCYAVHKKSNTFQWRAFGI >Dexi3B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:3B:8271035:8271799:1 gene:Dexi3B01G0011830 transcript:Dexi3B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKWGHVVCSRCRDKLRTTGRCHTCGVAYVGNSQCDAMERLENSIRFPCPNAVHGCTTRTTYYDRHYHHQTCQHLPCHCPGEACGFVGSLDMLVDHFEAAHGWPCATMARAAATDDVDEEGETYTFDVSLQDGFNFLLAECPTDGILYLLLLNVVRQPHGCTISVLCIYPDNDESKEMEESTFTVDCTDLSDGKPRPDECFQFVVPKSFLPDGDTVKVEGQIIITY >Dexi3A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:3A:16555220:16556326:1 gene:Dexi3A01G0020920 transcript:Dexi3A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKTRVAMESGASRMRFGRCPYCRAMIYQDPEAAIYYCSKCRTPIRGKNPEPTEEMDHALSRLEILSADTAAVFSDDLDACPEQVSVLNQPPLFSNNPKPCSNSTANRRDNARSYSNGQEQDERRPLSRRTRRPACSDSIVLRYGVFTSTHLEAAEEGFSPPPRNACGRRRQRSLAGLQELETSIGCWSSRPAPPRVAPSPLVDPAFHRDLLRSLDSLRSLIAAIEPASTGGATAAAARRPLLPPAGVAHLAGASRRGPRARPAHGVVVGIGVVHRRARRAAEEAPPLPPGLWRHAVRGLPRLLRAAAGAGDDDAAVTEKGRKVPVRRL >Dexi1A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:1A:24799787:24800700:-1 gene:Dexi1A01G0017470 transcript:Dexi1A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTEVKLDYTPTWIVASVCSVIVIISLLFERLLHRLGKKLSKSHRKPLYEALLKGALTQRICVSESVLHHFLPCPLPPPLPSAAETTAHYGVTVFAGVMGSTRRLLAGGAAANSDYCEKKVIFCK >Dexi1A01G0028440.1:cds pep primary_assembly:Fonio_CM05836:1A:34069963:34071558:1 gene:Dexi1A01G0028440 transcript:Dexi1A01G0028440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKQILRAGTGPKPRKGQKVTVHCTGYGFTKDPGQQPFTFSIGQGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGASGFPAWGIQPDSVLAFEIEVLSAQ >Dexi5B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:5B:22732288:22736986:1 gene:Dexi5B01G0020520 transcript:Dexi5B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADPLGSISTPTRLLPRTIGPASSSAASPSKAREVLREAISRAQPLKGSKDLVEHARMVLKGHGDIRMLYQDDGVKAGALAKGMKDQQGRTGLDRKRARFTIKAPERQAAYCFKLPSVDQSDLLKIKNPREFFKKLNDLEEAEKEIRQLNGEVIDMQLNFDPVVEPRRRSTLPGRKSVHTFKLIDDADTQDPVEVPASQTGTMPEFQLLQDDANAPVPERYEQTIPSKSGHCAVSDVSQKEDSLPEKDYGDDLTYLLTSLKNLDEPEEEDLLRKTLGIKKIRMDNSIPGVSLRSNPIRKSSMVPPPESPLRQSCQSRIAELEKHLFPGDAANDKYADLQEDDESEGSPDIVMGEQSLGHDSSDVLMIDETLTASVIDKVTPDQGAKVDPEPNMPDLADERQAAGSSLGLYAASEYDEETPNLGVQAAEHVLDPEPSIPDHADERQAEGSLLDLHSDAEAAEEKAVGSRSNIYMEDNVPIDYPIDMSNNETEVSSSCHLEGSLTEVLVKTPVKNMVSDGIDQTSYAPEENIQHLASARTKYYYESNHPLETSQANSDSENRPHMDENIEMPALHGSLE >Dexi6A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:6A:26106244:26107090:-1 gene:Dexi6A01G0018390 transcript:Dexi6A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRDSYMDVVLIPLAVLFPALYHLWLYHTVRRTPLTSTVGISAAARRLWVFSMMKNNEKQAIIVVQSVRNVVMGSTLVATTSILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYVVILLVFLLAFLCHSLAICTLNQASFLVNALSPSPAVHNLPLDRDYVADVMERGFMLNLAGNRLFFAGAPLLLWIFGPVLPCLCSMAMLPILYNIDMIEYAKKGSSNGEANAKVEMDTESDQSTEV >Dexi1A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:1A:27797058:27799876:1 gene:Dexi1A01G0020960 transcript:Dexi1A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRNVRFQNDVDVHHFRASPLENFSRKHGSKATHDPRKCRLGFRGGCLEKACRNRTLLKDRVLSRAFSEELESLMHAGGGRRVFFDPRGHLIHLWNKIFLSACLLSLFVDPLFLYLTGTRRDMCIQFEGSLALTLSMIRSLLDLFYAAHILFRFRTAFIAPSSRVFGRGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVIPRLNESPTANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRLESCWNEACRLESPACQTMFFDCKTVSGNRTIWYELANITSVCTTGSGFYPFGIYAEALNAKLTSSSFTQKYFYCFWWGLKNLSCLGQNLATSLFIGEIAFAIVIGVLGLVLFGLLIGNMQATMVRLEEWRSKRTDMERWMHHRQIPQHLKQCVRRYHQYKWVATRGVDEEALLRDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRPGFFNSCRIGAGEFCGEELLTWALDPRPAAASRLPLSTRTVRAVSEVEAFALVADDLRFVASRFRRMHSARMRHRFRFYSHQWRTWAACFIQAAWRRHKRRRRGSVELRVSEGGDVWGGGSLRRTRRHSIDGKVAIKKPMEPDFTAEEED >Dexi1B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:1B:25303104:25303379:1 gene:Dexi1B01G0019180 transcript:Dexi1B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLGVRVHLLAAAEAVADEDGDRAARHSGTRFSTSSPCLVWRLYWNDERHQSSPCPIWRLYWNSTTSGTRLSSGTAVRSQNIRRAKGSF >Dexi1B01G0030800.1:cds pep primary_assembly:Fonio_CM05836:1B:34641356:34641658:-1 gene:Dexi1B01G0030800 transcript:Dexi1B01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRMAVCSAVPHSIPAQIPRTPIRRRLMYATVACHPPPMATPEITGCTLLSSMVAASRYATFPTAACISRSPVKTAAKLFR >Dexi9B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:9B:3738483:3742231:1 gene:Dexi9B01G0006310 transcript:Dexi9B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLLAAGALLCLVALLPRPGAAAASAQQGQQPAALARQDVAALYGLRASLGVRATDWPARADPCALWLGVTCRAGRVAELRLTGLRRTRAGSRRAGFDVGPLRGLTALRTLNASGFPLPGWIPPWFGRGLPPSLGVVDLRSARVNGELPADLGASGNLTTLVLSGNSLTGPIPASLFSIPGLRVLDLSSNNLTGPLPNVSFSGSNGAGVSFNASGNSLYGDIGQAIGSLRKRFWVVDVSANYFDQAVGTGFENITDGVVHLGTNCLSGAADQRSHGDCEAFYMRNGVRLAEAPQPSSPLSEPLPPQVLPAASTDKRGNRWKTILAGVLSGAAIVLILGFTVLVFCLTRTSGRRRPRARGLEQTEEGIRSGRRSSSVNPVTMSPIASPGASGSPKSIPVIIDDVTYEQLHHATGGFGDDNLVNHGHSGNIYHGVLESGFEVVVKKVDLKSSKRCQGELSFLSKHNHRRIVPLLGHLAKDEEQFLVYKYMAKGDLTTALHKKSLEVEGMRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRSLDKNTSGPPASCTYDVYCFGKVLLELITGNFGLSGSNEADSDEWLARTLGYIDAYDKEGVSGIVDPSLVVDEDHLEEVWAVAIVAKTCLNPKPSRRPLARYILKALENPLRVVREREDLHSHSSQLKSTSTRSSWRFAFHGNKYESWDVMPSGKTLAARKSKAKSQGTERSDEDRGEFILV >Dexi6B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:6B:25012657:25031990:1 gene:Dexi6B01G0017940 transcript:Dexi6B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSACRICSILGHLRLPRILTYLPSYFSSRTTMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMTATCERTVAEKNAEKKHKTQKVKPKAVAAATAPATTSTVESKKHLTGVRVLEHREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYITYAKEEEAIRCIQAVHNFVLEGKVIRTRVPRMASSVSQRRAGTVLPPPADDFSYSAVVSAKHTVKNGTVNTTSQSRFSPPNSSSGRSTLPPAASWGHRDLNARATANGVTSSHSHLKSKSEPQSNSFLSSSTISSTIIPSSWNDDTSTAPKVSEGRLVSEQDSASKTIEPYKPGIVKETHALSSLDMDFSTIPSAWNDDDIVVSDGMSKGSQEIQVAKENGKLNHPASKLSISPRKDITVNITRSPSDSVSSLAISESNVKTGDGDHSIANITSKTPTSNDENCQSCPTDEIILEDIGGKEVDTDKLSVQISSVMLDDNNDANCMAGNHQLDAMPCTSVTVPIGQNFDADNQSHMKLDELPSENRDTVLSCKYSSDKHLDWTSQQQTSSTTPLNDTVNSTAITDKLHSRMMDGSDQSSYSSFARSPVTLDTLLRNDAERNPTFMIGSRTSSEVQTGFSSVNKTCGGQDGLGTVYTLGNVSGHPGMVSHESGTMGSVRTDSLGSFDKTISVNKDESRIISDMFSSEFNLWDDSYSAANDFVRMLRESENDDVRFTVPSWKSGSSSKESRFSFARQDNQGNLDSSLRNCGSDTNFSLLPQTSRGNFYQNGHAFQSMENDVSNSSPLAVPDMATTGFPFLLLESALPSDFSSISAAMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTRYDKDRIVKMAATCERTVAEKNTERKHKTQKVKPKAAAAASATTTTSTVEAKKHLASVRVIQRNLVYIIGLPAHLCNESVLERREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYITYAKEEEAIRCIQSVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDIGSQEDSFTKDEIISAYTRTRVPQMASSVSQRRAGTILPPPADDFSYSAVVSAKHTVKNGAPNATNQTRLSPPNSSSGRSTLPPAASWGHRDLNARTTAPGVTLSESHTKTKSEPQSNSFSSSSTISSTRIPSSWNDDTNTAPTMSGGWQVSEQDSASKTLQPYKPGIAKEAQAVSSLESSLDIDFSTIPSAWNDDDIAVSDGMSKGSEDQVVNENVNLTHLVSESPISPKKDMAVNMASVSPSDFVSNAAIPKSDAKASDGDCPITKITPNSPTSNDVSCQSSHAVSEKILEDIGPQDTGMEKLSVQMSSVTLDGKDEDHSMAGNNQPDAMPCTSVVVVPMDQIVDKDQSHLNLDGLLPSDNKDTVLSCRYGVGSHLDWSSELQSCSVTPLNDIVNSTTIAGNLNNMLMDGSAQPSYSSFARFPNTLDTSLWNDTETSPALAIGTRTSSQMQIGFSSISNHHSLLSGGQELGSVCGHPGMGNHQSGAMGSVRMDSIGNFDTAVSYFLSSGTSWSKISAPPGFSSAARVPPPGFSSGFPSQDGINPPPGFSGGISSHDGSILPPRFHSGVLSQEMSKPPPRLPSPFSSGFSSQDGANSPSRFPSSFSSGFSSHGGSNQVYGSKHPETLIQDNVLGSNRNHYHTSFGRHTSDIEFNDPAILAVGKGRMPGIGDSGLEMNNAPAFPSQMQTSNNELRFQLGMQSNVQSHQNMRFTDHMQDAFNPVSDNHLASRFLSQNHGLVSPYTQRPQQPGNSQIINGHWDGWSDLRQGSNNPLPDMSRTLYPSELNNSHMLGSNDIFNRAFGM >Dexi2A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:2A:1533169:1535104:-1 gene:Dexi2A01G0002030 transcript:Dexi2A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASDLRSRISARAAAAAQPGEEDAARRLGAAGGGEEEEDEEEAEVGSLAGISDALESLERQLASLQDLQHQQRYERETILSQIDRSRRSLLSKLKEYKGQDCEVIHEAAAFAGEKIEHDDGLFLPPYSNHVTNSFVLDDVYPLSYVSKPKCLHNGLDSNGATQDGTRRNGIENKNANASSRGLRGGILSFLGWMAKTTVMVVGAMSIVKAAGYEPVIGTNSIKLDIAGLFGKAAATGGAEQGTRRCPPGKVMVVEDGRAHCVVKERVEIPFDTNLASPDASYGLG >Dexi3A01G0026440.1:cds pep primary_assembly:Fonio_CM05836:3A:22670964:22674704:1 gene:Dexi3A01G0026440 transcript:Dexi3A01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRAAGDNRREGLTAGASGFLIVSRWHGAVLVLRMGRDDGLVDPMEIDGLQQLQVEGPTAVPEGFNADYLRVYYGKLFPYGDFFKWLCYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFIPVERELIFDVVRNFLLFPLLMILVSITYCGYIVAVVVYIAGSVIVEQGSMLSNEQRAAIADYFRVYKGGENAVKKVSLAGPVLHPFLARSYTDVLESFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNAARWKQLKLTLQSGKHKGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCIPIDPSNCDDFDPTAVPTLSQLLGELNVAGFQADCENRGTGKCI >Dexi9B01G0024790.1:cds pep primary_assembly:Fonio_CM05836:9B:22582458:22582706:-1 gene:Dexi9B01G0024790 transcript:Dexi9B01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNVPSPTDEVISPVTLLLVLILSSCSGPAFLRVHGPQRHINRVLETSPVVSPSTSTMAGPTDEPPIRNDTPVVVGESPAT >Dexi1B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:1B:11975737:11976903:1 gene:Dexi1B01G0011630 transcript:Dexi1B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEGRGEGEEGGGAKAVPMHRLFAFADRRDAAMMAVGGAAAVVNGLAMPFLTFLIGDLVDAFGAADRARVVHVVSKQ >Dexi3A01G0029390.1:cds pep primary_assembly:Fonio_CM05836:3A:30902193:30907031:1 gene:Dexi3A01G0029390 transcript:Dexi3A01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSTGSGGRKGSSPAKQSEGRTSSLLAGLPSRGNFTGSDIASSMARRPQGLSLPARHSSSRRTEAITYHLDLVHWLLELHIVNGEKAFLNAARNLDGKNPSKRPNIGSAAGSSAHESSPGFSELTLQSFTDELIARLREASG >Dexi9A01G0038660.1:cds pep primary_assembly:Fonio_CM05836:9A:42824179:42826009:1 gene:Dexi9A01G0038660 transcript:Dexi9A01G0038660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHRPAEEEEEAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEEEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKETSKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADGELKEELFAIRFGSVENCKKFKDTVEEIAEQQGKNEEKESEEASSAAELVEKLTVTEATQEETTEKGEAPAADDKDAKA >Dexi2A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:2A:2305595:2305855:-1 gene:Dexi2A01G0002890 transcript:Dexi2A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSSIAVLVLLKMTASSTMLARPSSVAPYLNIETIQGIATGYLQIQPGAVSAAALLELDEEDIDPNV >Dexi8A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:8A:26681600:26682181:1 gene:Dexi8A01G0015560 transcript:Dexi8A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLCHLLSSGEGADVAFEVGGETVPAHRTILAARSPVFKAELFGPARPSGEVCVWVDGIEVDVFKAMLHFVYTDSLPEVVEEDGAGSEAKKATTMMTEAMAQGLLAAADRYGLERLKLMCEDKLCDGIQTSSVGTLLALAEKHGCQGLKKACLDFLMSGSNLKAAILSGGLEELTSSYPSVLNELLAKMAL >Dexi2B01G0026270.1:cds pep primary_assembly:Fonio_CM05836:2B:35386247:35388610:-1 gene:Dexi2B01G0026270 transcript:Dexi2B01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLLNVVANVSFNEEPKSLYFSGTMSLMSPFPGMDLSKMDAPTLTLLGAAGCVMLSMHFTVQLVSQHLFYWKNPKEQKAILVIVLMAPLYAITSFVGLLDIQGSKTFFTFLEAVKECYEALVIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLGIALDILTQVGVIQSHHFWLDVEHIQEAIQNVLIILEMVVFSVIQQYAYHVAPYSGADRAKFEKKNE >Dexi2B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:2B:24831733:24841059:1 gene:Dexi2B01G0014870 transcript:Dexi2B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVASSMASRAAGSGAVLLLLVFVSVLPGASGGGGGRKMGFGGEARGVVNKGANVVEAAAPPPPRRAPAPAPPVAAAGSLNQFRGKAMLPRFAAPRRYDLRLRPDLVACTFTGAAAVTVAVSAPTRFLVLNAADLSVDRASIRFRVPNSLRFFSFRMLFSSLGARPLNVISDAGPGRWLLQDLAPKEVVFFADDEILVLGFSNDLPLGEGVLSMKFNGTLNDQMRGFYRSKYQYKGKMKNMAVTQFESVDARRCFPCWDEPAFKAKFKITLEVSSGMVALSNMPIANQTVTGTIKTISYQESPLMSTYLVAIVVGLLEYIEGVTPEGTKVRVYTQVGKSSQGKFALDVGVKSLHLYKDYFGTPYPLPKLDMVAIPDFAAGAMENYGLVTFREVALLFDEESSAESSKQSIAITVAHELAHQWFGNLVTLEWWTHLWLNEGFATWMSHLAVDSFFPQWNIWTQFLDDTTAGLKLDSLEESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQNYLGAERFQKALASYIRKFAYSNAKTEDLWAVLEEKSGEPVKNLMTTWTKQQGYPVINAKLNGNYLELEQVSLNVAKVSVDATPGLVGDIKQLLIKLLLPPAIKLGWDPKNSESHLDALLRPVLLVALVKLGHDKTISEGVRRFGIFVHDRNTSLLPPDTRKAAYLAAMQNVTSSYRSAYNDLLKVYRESDEAEEKGRVLSTLCYCKDKNIVLESLNLLFTNEVRSQDAYYVLQGLSVETRETAWLWLKANWDRITKKYGNTQEGGFIRYVTTLFSSNEKAAEFSSFFATRKKPEFERTLKQSLENVRISARWIQGIRSEPRLAQTVQELLRMP >Dexi9B01G0044040.1:cds pep primary_assembly:Fonio_CM05836:9B:43923071:43924925:-1 gene:Dexi9B01G0044040 transcript:Dexi9B01G0044040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSQAAAGNFGYGCGGDSPYALLPWCDQLGGAGDDGYCCWDHDMVDAWAPDDWEAASTMDQSSEDAASTDHHHQQQGRGNKDDDAAAPPPEPTPAAVRRKRRRAKVVKNKEEIETQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIKKNNRGGSTSTEDASPFAGFFSFPQYSTSAHHGCCSSTTTSLGSSSPSNNNNVTSSDDAPAAGGLAESFRPAAAVADIEVTMVEGHASLKVLARRRPKQLLKLVAGLHQLRIPPLHLNMTSTVDAMVLYTFSLKVEDDSKMGSVEDIATAVHEILGSIQEETAVM >Dexi8A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:8A:4808517:4808803:-1 gene:Dexi8A01G0005310 transcript:Dexi8A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAAPASPEARVEETKFGVVPGLGTRDVDRGEGQRPSALARELGHGHHEVDLEEAADGRRGGTRARHGARADEERDTTGAEGGMRG >Dexi1B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:1B:9524207:9525588:1 gene:Dexi1B01G0010150 transcript:Dexi1B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILVFLQIALLLPSLSFSIQTNYTSIFSFGDSYTDTGNLVTLYGGLATTTPNVWIAKPPYGMTFFGHPNGRASDGRLAIDFIAEALGLPLLPPYLAANQSFRRGANFAVGGATALERAFFVDKGFKAIIPFNVSLSVQLGWFDTLKPSLCSSPQECKEYFAEALFVVGALGWNDYVVMLLAGKSVDEAASHVREIIGKICAATEKLIGEGAKTVVVSGIAPLRCAAGNLVLMANQTGGELEPDTGCNKDLNRLSKYHNAQLRRAVARLAGGAGVRITYADFYSPIIDFVVSPEFDGGLRACCGGGGGRYNFDLAALCGMTGVRACTDPSAYVNWDGVHLTEAANRRIADGWLSGRYAYPPIRRTAD >Dexi9B01G0047820.1:cds pep primary_assembly:Fonio_CM05836:9B:46829693:46830759:1 gene:Dexi9B01G0047820 transcript:Dexi9B01G0047820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQETERKPGWVPADTAVEAEPHQLSSAVVSSGPEVSRVVLQANASAFVLWYSRSLMEFMGEISILVLSGGLQR >Dexi2A01G0028170.1:cds pep primary_assembly:Fonio_CM05836:2A:39474187:39476658:-1 gene:Dexi2A01G0028170 transcript:Dexi2A01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRSYGKEIDVWSAGVMLYILLSGVPPFWAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLTRDPKKRLTSGQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHRLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKEIISEVDTDNDGRINYEEFCAMMRGGMQQPMKLK >Dexi3A01G0027240.1:cds pep primary_assembly:Fonio_CM05836:3A:24078143:24081767:-1 gene:Dexi3A01G0027240 transcript:Dexi3A01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGSQEPLPRRNLSADHESLQPRPSDDGMNNRGGWVTFPFLAMLGLGVARGGATSNFVVYLVKKYNVPRVDAAQISSIALGCLSLAPVGGAIVADAFFGCYPVVAVSMAFSVLAMVMFTLTASLPGLRPVACQPGASPCEPASTAQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFVFFYASSVVGSTAVVYVQDNVSWALGYAISGGSPFTALARVAVATARKWKVNLATSEELLRVYHGRRSADKDGDVSDASSLAAPSDSFSFLNRAALITDGDVPPADGSMVRPWRICTVQEVEDFKTVVRILPLWTTSIVLSVAFGTQINFTVLQGLAMDRALGRFTVPAGSMSVVILMSIVISLVLLDRALLPLWRRLTGGHRTPTPLQRIGAGHVVAILSLAASAYVERHRMATVRAHGEEGRHPSWVSPLSAMWLVLPLALAGAWEALYFPGGVTLYYEEFPPSLKNTSTGMVAVVIALGFYLSTALVGVVRRTTAWLPNNMNASRLENLYWLLTVMAAVNFVYYLLCAKLYKYQNVGR >Dexi3B01G0023760.1:cds pep primary_assembly:Fonio_CM05836:3B:18495869:18499740:-1 gene:Dexi3B01G0023760 transcript:Dexi3B01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSLTVIEAAAAMPAGLRLGGSPALRLAAASRPGPRPPPLLRFGGPGAGGARRGLLCSAEAARRGEDAEAEEAPRGGGSRAAAVERRMRGGSAAAAAVGTSVELLAIPGVGPRNLRKLVDNGFEGVAQLKQLYRDKFFGKSTEQMVEFLQSSVGIVHKNHAESITSFIKESVDEELKDTDSSKPTQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVNKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDAFIYLRASPDTCHKRMMHRRRSEEGGVTLDYLQGLHEKHESWLLPSKGSGPGVLSVPALVLDCEPDIDFNKDIEAKQQYARQVAEFFEFVKKKKEEAPSDQTSADKDRMNPQVMLPNRGRLWVPEGNPFTGSPMNLDFRRAMSSYIST >Dexi1B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:1B:24648849:24649300:1 gene:Dexi1B01G0018440 transcript:Dexi1B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSECASFSRISCGDDPLDGEGGVCVGDGDPSLGERRRVEGRRTGNGEVDAVGEVGAHVEVRVEQRQVEVLGEEVYHEVAVGAPAGGAADGGAVGRARGVLQHAGGGALAEKVPGVGKGVAEVVDGRDAVHHGLLFFR >Dexi1A01G0030310.1:cds pep primary_assembly:Fonio_CM05836:1A:35637761:35653018:1 gene:Dexi1A01G0030310 transcript:Dexi1A01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIASSLGFESTINYGPDLQMWPQIKFVMACDSGNLRRIKALVESLDEDDRESLESARMEGLGALHAAAMKGNVDVCRYLVEVLKFDINSVSSPELGMTPLISAASEGQIAVVRYLLEKDANPNKQDHEGYAPLHDAAKGGFDEISRLLLSGGAIVDISSAEGTPLHVAAAFGKIGVMQILLEHHADVNKLSPRDWTPLAETLLAAPERVNESTCLKCMKLLAKAGADLNSRHPQTPLVIATLRGLTECAENLLKAGADANIPANDVGSRPIEIAAESGSRKLVEILFPFTSPIPDVSNWSIEGIIAHAKSIVSKGKANQSENKDSKVDLKLHDEKVNKQDAVSSKPCPEDISDKDRKAQLKLQGAEAVEGKDYTGELKFYSEYSTRSENEYWTKILYQYYKGTLVNGYKEWELMKGIRMYEFKLKGCQEDKVLCKVIIDELSHDDSNRQKSPARKNKRLKLKADEKGKVTDCQSPQEIEKLHTLQENLKRVEEGCKAKVEKISELEKTVKALVVEILERQQSISKKLQLDKYCKRKEAQISKLEKLQGNIRVFCRVKPPLVEGEPLFTISYPESVPYLGRGISIAIDEKRLKESFTFDKVFDQCATQTDVFQELQLLVESAITGNEHYVRRRFKKRKPQAASPAAE >Dexi6A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:6A:20975517:20982541:1 gene:Dexi6A01G0013710 transcript:Dexi6A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQMRGLEGRKDGVAPVPWSRHSRRRWGVAIVVVKMGGLDVTEGWGGSSGAGTPGEGEASPSWSSRLQANKATHGKAYFRELPACEDQYIIHPSSCSIPSSLWKEQHCMEQTWVIAGLGWGVAVIGWLLPPTVSLLLNRFFPRPAYDDTSRKLRDLEIYTIPKLKLTLREVEEQRMLRAAKDKGSESDLMTLGQLTKDLKSALYEAEDILDLVDYHRVERNFSRGAEPHGSSCQPGAPAPPPQVITRALVLQCARRWIRGWSRQLVVNITNSFRPVHMWLTDAVVANQKDGSAIDSFLLTIDKRSLRERIEQIEYIANEARKSHLLNQQNGSSKLTVKHNDKESTSKQKDIDDLHRSIEQKVFGREKELKHICGILRSGKSTLAQYICDYEEAEGNHFHPVMFIHVSKTFRLDDVFRDMLEKITQSRPSYTKGLKSLYKELKDKLKGKCFLLVLDDVWVNCGNQKEWHILLDAVNAGQRGSRILVTAQTKDAATALGAQEHIPIPDLGKDHYLSLFLHHALQGTVGDDGEYERIGRRIVEKLHRSPIAAVTVAKRLQRNSSIDFWETTANLDVLNETMGALWWSYQQLGADIRRCFEYCSMFPRGYMLKREMLVHLWIAQGFVKTSDAAEEMEDLGKRYFDELLTFSFLQVQRTIIGTEQFKIHDLLHELAERVAGTDFLRIDANGLPNDIPTEVRHLFIETYDKAEITEKLLGLGNLHTLILEELGGSVAKMVAAPLKYNENDIIKDEAFGSMLMRLRKLRVLIVKVRGHNKLVFSIPASIDQLKHLRYIRFRFTNILKLIIPSTVSKLYHLQILDTPDLRLSCAEDVADLIHLRHCYSSLNFPNIGRLTSLQTVPHFRVGKEQGYELKQLKHLNKLRGTLRISSLGTVGSKEEALEAQLARKIWLRVLVLDFNEKIRSPDVETEIIEGLCPPVHLVQLCIWYYCGSRYPSWMLSRQHPDAPKHLDKLELCECRRLVSIPEDCEFFARLRLLRIVSCIWDALPDNMEGLRSLQKLVIRSCKNIELLPTLPRSLEEITFVDVGKLKASCKERGHRNWLNIQHIPNKKLYD >Dexi1A01G0028180.1:cds pep primary_assembly:Fonio_CM05836:1A:33901509:33904621:-1 gene:Dexi1A01G0028180 transcript:Dexi1A01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLRLAVAHRPPLPVPAPGHLRRRRHLHHHLPAPLSLSRTSLSLSFPHHHRLSSTALRRNILRPLLASQTPDSNPEPEPPAGGAKLVPLVVSVAVGLAVRFLAPRPAEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIQSLSLSAESKPNHPSSRKLGSYLVMTQFQASGNSSALFLTAAAQNLLCLKLAEELGVIITNPWVSWFKAASLPAIVSLLATPYLLYKIFPPETKDTPEAPALAAEKLKRMGPF >Dexi3B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:3B:8135270:8140476:-1 gene:Dexi3B01G0011600 transcript:Dexi3B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSHAAPAAASPPWHALPDEVWEHAFSFLPADSDRGAAAAACRSWLRAERRSRRRLVIANCYAASPQDAVDRFPSVRAVEVKGKPHFADFGLVPPGWGAAAAPWVAAAADGWPLLEELSFKRMYVTDDCLEMIAASFRNFQVLRLVSCEGFSTSGLAAIAAGCRNVRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVDITVLERLVTRCRNLKTLKLNNAIPLDKLASLLRKAPQIVELGTGRFSADYHLDLFSKLAAAFAGCKSLRRLSGAWDAVPEYLPAFYCVCEGLTSLNLSYATVRGPELVKFISRCKNLQLLWFNFKELLYELLPFVLEQHTYSQTFSSELQSYNFQVMDLIEDHGLAAVASSCNKLQELRVFPSAPFDAAEQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIEPHTPDYITHQPLDAGFSAIVESCKGLRRLSVSGLLTDRVFQSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKSLLANAAKLETMRSLWMSSCSLTLGACRQLARKMPRLSVEVMNDPRRACPLDSLTDESHVETLYVYRTIAGPRSDTPACVQIV >DexiUA01G0012060.1:cds pep primary_assembly:Fonio_CM05836:UA:24155633:24157130:1 gene:DexiUA01G0012060 transcript:DexiUA01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAPPKLRSRRDAMPEDALYEILLRLPAKDLCRLRSVCRPWRSLLSDPHFIAAHAARHPDQPPLVVVGYAARDRNGRVLCNIVDLSGRVVKRVHAAAGDEDEDDDDDDDGLGRTTKWVMSTQGDLVCVTKGTGMRCCQLLDPATGAAHDLPDGLAEEHSDQYSSDCWGSTVFGLVPSTGEYKVFRMIEGRYDCHNTMKLYEVLTLNGSGSPDETRWRKAQAPPYGLELLCLDNGVVINSIVYFLLKPDECDDTRQGLFGSYDLEMEKWRPSIQGPLSCQYETWSKPSGGGSRFWSREVRPWIGEADW >Dexi1A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:1A:25891280:25891864:-1 gene:Dexi1A01G0018670 transcript:Dexi1A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVEMKCDRCRSKALALVAAARGVHSVALAGDARDQLVVAGEDVDSVKLAGALRRKVGPAQILQVDTEAAKKKEGGDKKPPAAAAAPAAAVVQYVPSALCYQYTPPQAPVSFVYKPPATGYAVGYHKPRYEDPCSIM >Dexi9B01G0035140.1:cds pep primary_assembly:Fonio_CM05836:9B:36903610:36904896:1 gene:Dexi9B01G0035140 transcript:Dexi9B01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSALAQDGVTRASSYISAKLEDKASSAHSLARLEMALSQLEFALERIARAPITYLSLLRRVRMLKSAYAEGTALLNKHRGRQGEAGQAATPFRFLEWIGRARSISSSLLGLSKDHLSSGAVQMFEWYAECAEKLVADVETGCPLRRDTFRYPFVRHLVEDKTLWYERIRGSQRMRFHMMPLRLGDRGVEAELFYCHHDLETPQKNFCVWLMLRLSESTDVIGIAIECMQLLTSQFKLAIESAVGELTLLPGLQDVSHSYAPPLDCVQDTYASRDTKYWRPDPTCCNADGHSNNTVSQNGVPEQVIAIGFSCWISAPEYTPRDARATPPLYVEAFFTPHLSCALNPEIMWKYGGKEEESTSGSMQQMEEVIRSNALDYLVHEPEPTEYAMFWFSAHGGAFITLRKTSNEKAWSKLRARGRSGGKRKR >Dexi2A01G0032200.1:cds pep primary_assembly:Fonio_CM05836:2A:42798410:42799681:1 gene:Dexi2A01G0032200 transcript:Dexi2A01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAMELAAARHGCKVCGKSFSSGRSLGGHMRSHLSLGDAAAAAEGDAAADEVTRASGNGGRSSSNGGVQLGYGLRENPRKTRRLSDFAGDEEEETDVGDGDGDGEHNKACRECGKLFPSWKSLSGHMRSHASGGGRDRDDEEEYMDDVEEEFVPEEVEAAEEAAEIVEMAMEASVLAPALAAAPRRRRRTMRVAAPPPGSPAPVLSGFEKEPEDVALCLLMLSRDTGGLWSTPPPVKEAKPFNSARKRAGLPRSGFAYNSDDYSGKGRIRKVRKRSPPKQQQLDGVAPKKTRYECPGCGKVFTSYQALGGHRAGHKRINTSCSAPKVAAAASEPSTETYTASFSTMSPSASPDSVAIGFGNNPNAHQAAAVEKFERSSAQALGGHKRTHLIPADDGELYAREAADDGFLDLNFPAVSLDEA >Dexi3B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:3B:1466323:1468884:1 gene:Dexi3B01G0002060 transcript:Dexi3B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNTYPRGVADDAPGGGTDHGRLRELGYKQELKRHLSALSNFSISFSVISVLTGVTTLYNTGLAFGGPATMTLGWFVAGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGDRWAPFASWITGWFNIVGQWAGTASIDFSLAQLIQTEETKHADRNGPIGIISAIGISLVVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLTFKSRVLVGWIAVLWVVTITVLFSLPVSYPVTKDTLNYTPVAVGGLFTLILLSWIIRARYRFKGPVTNLGG >Dexi8A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:8A:30760037:30760598:1 gene:Dexi8A01G0018480 transcript:Dexi8A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFGGTSHAAPDLHLAEERVPPLGDDLAGGGVGDEDVRAGVGALVAQRPGDDAEGVAGRGGGEVDEGDGHVGGDLEVLAVGHGGGGADLVHQGHHGAGGQVDASAGGGGEARGHGEGAEDPGWMRSTRIPCSANPSKKLGLGSVAAAAARRAARRFSASTRVPSSSLTRCNAASKSEAPFAIPD >Dexi3B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:3B:7689414:7690918:-1 gene:Dexi3B01G0010920 transcript:Dexi3B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTPLTFAVRRQDPELVGPAGPTPRETKRLSDIDNQGRRMHDSAAFFYRGGLREGDDPAAVIRRALGEALVPYYPLAGRLREVAGMKLVVDCTGEGVLFVEADADVRLAELEAATGGLRELLPFREQLLSDVQGSTGFVNCPLILIQVTRLLCGGFVLALRADHAMCDATGIGQFISAVAELARGLPSPTVAPAAWSRELLDARSPPRPALDNGLYHDAVPLITATAPTPPVAGDDMVSRSFTLTNADVAAIKDGLPPHLREKTTTFEVVAAAIWRSRTVALDLIPAGDHMRLGIVANTRRMRELELPAGYYGNACVLAMATATAGALRDGTIGDAVELVREAKALVTAEFVRSTADLFALRGRPNVSPVNLLVVSDCRHAGFHTVDFGWGQPVYGGPIHKHELVSALLSTVKNGDGEDVMVVPLTLTRPAMDRFASEIEVLIKRGSHGFKSSDKAFSGGATITCGRAAITMP >Dexi2A01G0023060.1:cds pep primary_assembly:Fonio_CM05836:2A:34865318:34865571:1 gene:Dexi2A01G0023060 transcript:Dexi2A01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHIPAQALDCSVQSQRDEDCCRPSAFCIPDIGGSAADEDARSSANQPPGAKMPARSPH >Dexi1B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:1B:14391005:14396024:1 gene:Dexi1B01G0012190 transcript:Dexi1B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVLQKAVREVLKSLSPGSTMVAADLGCSSGPNTLLVISEVMSTIGAYVQETADDSRAMEVQFFLNDLPGNDFNLVFRSLEPQLRSSLFAAEEEKAVPAPCYITGLPGSMYTRIFPCQSVHLFDSSHCLIWRSKGRIEKKKLRSFNMPYYAPSLDEVTQLIKHNDLFDLEDIKLFESIWDAHDDSDGDVVIDCSSSAESIAKIIRAGIEPLIVNHFGKDILDELFMVYVSILAKDLEKGKAMCPVIVVMLKKSKH >Dexi9B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:9B:13301275:13304070:-1 gene:Dexi9B01G0018640 transcript:Dexi9B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRRAVAQRFASQSPAAFGLRRFLQEQPAFRPAVPPDRFMPLADRIRDLGVGFAFPRINLDGLVPPAAPPAPPRREADAARELPAASLTVEEARKVLRATQMEAARERIRASGAGAVPYAEFLRLCCDAAGPDAGPSVARALDESGSVIVLGKTVFLRPDMVVKAIEKAIPVHETLPIAENDPAREELKAMEVQKTDIDRAAVAQVHRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFRAKQKRLMQARGFDLCRYDELRRACGLKPVLQAQPPCATAQESGQCHSYCHCH >Dexi8B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:8B:24177491:24178957:-1 gene:Dexi8B01G0013790 transcript:Dexi8B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGFSPPPPSSSRPLHIVICPWLAFGHMLPYLELADRLASRGHHVTYITTPRNLTRLPPPHHHHHTGGAIDLVALPLPPVDGLPAGAESTNDVPADELPHLWDAFDRLAAPFSDYLAAACSAAGERPDWILADTFHHWAAAAAVDHGVPCALLQPTAAMIAAIACGASDSTELTAGATVYEQMAVVGKPPATMPRYEWEGDAALFVPLGSSELSAAQRCSMALNKSTIAAIRSCPEWELDALATAAKLLGKPLVPLGLLPPSNDGGRGAGAHRDDASVRWLDAQPAKSVVYVALGSEVPLSLELVHELALGLELAGTRFLWALRKPAGVPDDGEVLPAGFRERTKSSGHVAMGWVPQVAVLSHTAVGAFLTHCGRNSLIEGLMYGHPLIMLPIFGDQGPNARLMEGRKVGVQVERDEGDGSFDRHGVARAVKAVMVEEDTKRVFVENAKKMQEIVADTELQERYIDEFVQRLRCYTTADANSSSSV >DexiUA01G0007000.1:cds pep primary_assembly:Fonio_CM05836:UA:13436294:13436728:1 gene:DexiUA01G0007000 transcript:DexiUA01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDASTGRRANQQSRGVAARHGTRQATTSRGSSNECTGQQEREAGNAPGHMGLISENHPGMTAEIPACSPAPAAASAPAPAATASSAVSRALLAAASASIPRKPERAEEETEERRRAPASSWAAGGGWVRSRGEEGERSQPRP >Dexi3A01G0024740.1:cds pep primary_assembly:Fonio_CM05836:3A:20348211:20352049:-1 gene:Dexi3A01G0024740 transcript:Dexi3A01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFSPPAPSPLAADDLADARLAPWPSSPSPWPAPSRGGGRGARRANPLFTILPVSALAIGLVLLVAVAVILLVTRRARPRKKKMDAAADDDDKPGAATTSSSCGSNNDGRCGGYANAGAAGCIYAGRLGFSTSAPGPKRMSRGAQVFTYRELERATEGFNEGNVVGKGAYGAVFRGRLADGTPAAIKRLRLDHRRQGEREFRIEVDLLSRMDSPYLVGLLGYCADQSHRLLVFEFMPNGSLKSHLHPPSATASPPLDWQTRLGIALDCARALEFLHEHTSPAVIHRDFNCSNVLLDHNLRARVSDFGMAKVGSNKADGQVVTRVLGTTGYLAPEYVTYTYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTNREKLVQMVDPALKGQFALKDLIQVAAIAAMCIQTKAEYRPLMTDVVQSLIPIAKPNPGMSCSSTPLTPALQHVIFMSSQCGDKAAA >Dexi9A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:9A:9307531:9308221:1 gene:Dexi9A01G0014200 transcript:Dexi9A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREALQELALGPGLVDDAEAGVDGGADVLIPERRGGGRAEAGEERLQHPHEHGLLGGWLGPGLEAAAGDGASAAWSAPSAWEERPWWGSSAIGSMPPAPSVLGRPIPAGGGRVGWARDASG >Dexi3B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:3B:8216577:8226691:-1 gene:Dexi3B01G0011740 transcript:Dexi3B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNTARGAPMEEENPAPKIEEKDPLNKSEVAMTTTTGQAFVMPLEDKKSTMLVEEVPMIVKVAEKGTEAAKKGVASGKYKEEDEELERKDRGHVGLEDKNGEEEGGRGGDDHMKVEEAKTDGKVRILGVVEAMKNDDSGEIAKDIEFFGSMVEALVMLGVEKKKDNSELGDGTNFLASHDEVEGEDKSELLKEEQQKEQSGVIVEAKVEGDVESIVVEEEKPEPKGQKGEDVGFGGRDGGNFIEEKVTKASSVRIEAVELDVNVAPFPKANDALGYDKEATNDAMVVGGEEITKESIGNDTNIEYETTKSKQGNKTGTMAMNDGSVEEVAQEKTNSMLDDCPTRKDVDGQVIASEVEDEVGVASEEEVAYKVLDDVESTMIDKEKQKQEGEKNEEVGSLGGNDGELKGKDVEVLVLSFEVIEMEDKLASLAETSEKFSYAMQGSNNIVDVNEGSVPEVTLGSAYHMPKDISKEYNAEGQATASETEEEVHIVLEMSVVEKVENDERYLLDKDVKPKLESAKGKDIGSGGSDGGKLDEEKEAKVSVASMFVESQVGAMVVNGRSIDGVDMACEDSMLMGSSEKNRNTEDQTTENELKEEVHVILESNVTYKVSDDTEFDMAEDEKGEPEGEKSEEMEYVGGNGGKLDRGKDIKVFTDSAEVIELEDKLVPLAETNEKFGYVKEGSDDAVLVNEGNAYEVTPASAYSMLEDISKKEHSVDSQATSSEADKVGVVVEMYVADKLPIDAKSLLAKGEEGGSGGRDGSELDEEKEAEVSVVTTVFVEPEADAMVVNDRIIGEFSLASEDTMLVGSPKKKQNFEGRTTSHEIKEEVHVVGDSSMDGEKKAKAEGKNSEDIGFVSGNGSKLGEGKDVEVFLVTIEDTELEQKLAHLGETNENFGYAEERRDDLVDVNKGSVEVVIPASTCSLLEGISKKKHNVEGQATTSEAEEELGVVMDMYVPDKMSDDAKTLLAKEEKPILKGMENEEVGSGAWDGVEFDEKEAKVSISSIVFVEPEASAMVVINKSIDEIDFPCEDSVSVGSLENKQSAKGQITKIEVKEEVHVVVEPEVIDKVSDDIESNMDDEEKPKPECDKGEKIGSICGNVGDLDEEKDIEVFSVSVEAIELKDKLDPVAGTNENFGYEKEGSDDIVDVNEGSVEEVTPSSVFSALEDIYKKEYNAKGRTTMSDAKEDVRAIAETSVVENMPHYAKSLLPMEDKSLLECVEGKKIGSQGRDGAELDEEGEVKVSVVSIAVVEPEAGAMVENDRSTEEVALANEDDVLVDCPEMKQGDESQITKIEVHEEVNVVVESEVINMVHNDTYSYMADEEIPEPQGDKSEEIGSIGGNGGELDERKDIEAISVSVEAIELNDKLGPLAEANEKIGYEKEGSNDILEEEPKQEGVKGEKGDSRVWDGVELGEEKEAEVSVGRFVFVEQETCAMVVNDRNKKEVDLACEDIVLMGRPEKKQNTESHMVEEEKAKAEGEKGKEIGFVGGNGGELDEEKDVEVFTVSDEVTELEDNLAHLSGTNEEVGYVKEESDDIVVMDEGSVERVTPKSSYYVLEDISKKENSVEGQATTSEAEDIGVVVEMYVASNVPDDVKSLIAKEENPNLEGLKVEEVMDDETGDEVASSSAYRIVESSSNKEHNVEGQENASEAREEVCVVMEAEVMDKVSDDMEHVVVDEENTILEGEKGKEVGSLGGDGGELDEEKEIEVLNVRVDTPIQCVNDGSIEEIIPASANSVLKYSSMKEHNTKGHATSSEMEEEVCLVVQGKVIDKELNDMESVETEQKVELEGDKSEEVGSICGDGDESDKEKKDKVSVVNIEAIQFEHKLASIAKTNFKLGYEKKIRDDIVVVGDEEVPKEPTKKDIDIEKETSNPEPSSEASTMVVNDGSLDELAPTSADSVLECSPIKELNFEPQSIASEAMKDGVEKPSKVENIATAVQDLGVEKSIEVENIVGAVKGIDVEKLIKDENVNADNSDGTLSLELPPKSIKENNDAEEIKGATDVVHREREFDDDIITEIVIGYEDGFGNEGNEDDDESNADTSPTPVAIFESNEATKQVMKENVEGSSIGMSSSRNFTNSMGGKIMLDASKDDGDEKGVDSTTHATVLNSENCASSDENVIISSQDGSKILAMDRPAGMGSLAPSLRPTTPNLLVSSDLAAIIANPTEEMTEEERKLHDKVELIRVKFMRLVYSLGATPNEMVVTQVLYRLGLVEGIMHGRQAKQASDLNNAWKKALSLEGEGENDLNFSCNILVLGKTGVGKSATINSIFGKEKSKTDAFNSATTSVQEIVGDVHGVKIRIIDTPGLQPSVMDQGSNRKVLAAIKKYTKKCPPDIVLYVDRLDCLSRDLNDLPLLKTITSVLGPSIWLNAIVALTHAASAPEDLNGAHMTYEVLMAQTSHIIQKSIRQATGDMNLMNPVAFAENHSSCQRNHEDQKVLPNGERWRHQILLLCYSAKILSEANSLVKLNDPNDGNLFGLCFCSVPLPFLMSSLLEPRAYPRLSTKLGGDSDIKLEDYSDLKQGDDEQEYDQLPKQQKNAYFSEEVKIPPSFDCDNPTYRYPFLEPTSTFTARPVLDAHGWDHDCGYDGVSMEETLAILNMFPANVAVHVTKDKKEFSIHLDSSVAAKHGENASTFACLDIQTSGHQLAYILRGETKIKSIKKNKTTGGFLVTFLGDTVATGVKLEDKISLGKRLSLVASTGAIRAQGDTGYGANLEACLKGKANPIGQSLSTLGISLMRWRQDLALGANLQSQFSTGRGSKMELRLGLNNKLSGQIGVKTSTSEQIQIALLGLVPIAVSIYKRLQPSEPSLA >Dexi5A01G0023460.1:cds pep primary_assembly:Fonio_CM05836:5A:27460298:27462059:-1 gene:Dexi5A01G0023460 transcript:Dexi5A01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARASAVGALLVALSVVLAPAVTAQTCDDELPSQLAGNYSGLACAPVWNSFVLRYAQGKDNVLRVVLSTMYSTGWVGMGFSKDGSMVGSSAMVGWMGKTGVAHIKQFSLQGKTPSQVVVDKGSLVSNDHDHTVVVQQAKIYLAFELRFTAPLKRQNVLLAFGSAIPVNDRLSEHQDKTSITFDFTTGSSSRASSPTEGLKRTHGALNLFAWGVLLPIGAIVARYCRRWDPLWFYLHAGIQFVGFILGLAGIVAGISLYNKIQADVPAHRGLGIFVLVLGILQILAIFLRPKKDAKYRKFWNWYHHWIGRLTLFFAAINIVIGIKVGGAGNSWKIGYGFNLAVLLITIITLEVLLWTKWRNNSSSTTAY >Dexi9B01G0046400.1:cds pep primary_assembly:Fonio_CM05836:9B:45618486:45626073:1 gene:Dexi9B01G0046400 transcript:Dexi9B01G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPNCGTEAVWTRYRPHALMTLVQLFFTIMYFITEAAFNKGLNTYVYVTYRHLLASVLLWPFAYFYEKKLRPKMTIMLFLEIFVLSLLGVSLTLNMFFTSLKYTSPAFVTSTGNAVPSITFFMAIILRMEIVDIRSLRGLAKIAGTVVSFAGVTTMTLYKGTAIKSPWKAPIHTHGSSRVLANENWMKGSFLAVASCITWSIWCILQVSSMKRYPANLSLTAWISMVGGIQSAVFAVFMQPKLEDWLIGFSLKFWCIVYTAIACSGFSTFIQLYCTKKKGPVFVTMFNPLLTIMVAILAYFIFGENLYFGSIIGGVLAILGLYMLLWGKDKDQEQKAGNEQESELDREKQVKQSIWEKKERRNSVCPLPLAVAVSSRCQENIFTVTAPCMGCSGSGDDWIACSSSAQMALGEVAAETRGGVLRRYAPPVLMTLAQLCYTLMYFITEAAFNRGLNPYVYITYRHLLVAILIWPFAYYQEKGLRPKMTLMLFMEIFVLSLLGVSLTLNMYFASLKYTSPTFVTSMVNTIASMTFVIAIILSSADVVRLESRMEIVNVKSLRGLAKIAGTVVSFAGVTTMTMYKGAAISSLWMSPIHINGGGGGGVAHDSWVKGSVLAVASCICWSIWYIMQASSLKRYPAQLSLTAWMCTVGGIQSTIFTVFMQHKPEDWLIGFGLKFWCIVYSGIACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKENDQEQDASKENELELDCEKQAKKMRDVYAAQDGTEAARTIK >Dexi1A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:1A:26244797:26245290:-1 gene:Dexi1A01G0019060 transcript:Dexi1A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETTHKSHSGKPAAQIISKATLVMVHGPKQKHKQVSSFLQRCFLCHRELAEGRDIYMYRGDRAFCSEECRRRQIFMDENAGSSSNCVANGGATARGNRRAAGGVAF >Dexi2A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:2A:28004781:28006965:-1 gene:Dexi2A01G0016420 transcript:Dexi2A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSGVYKPAELWQAKEQSPPPKKRNCTMVFTLKEMEEATNMFSDRNLIGKGGFGRVYRGVLKDGQRDSNRSEFRLDAKIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVKMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRRVVDRDMAKGSYTVESVSMFAALAARCVCFDGAARPSMPDCVKELQFIINANMKI >Dexi9B01G0036510.1:cds pep primary_assembly:Fonio_CM05836:9B:37999248:37999902:1 gene:Dexi9B01G0036510 transcript:Dexi9B01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAGTPTSHVAAGVRDGTDPPPRNRSTAVRSSLMSARTGEKTHGGANLLLPLFGRGSKWGKKRRRESPGHGRSMARWRMHVDAAALEGELAANDRGKEEMILGLGFRRRRPLIYPAKSTRSRWIARTAEIEAGSTAAPRWAEIAAQAQRSEPSRAKRAENEAERAENEAERAEPRNARARCWAAARFGPYGRPE >Dexi1A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:1A:8412794:8415057:1 gene:Dexi1A01G0009950 transcript:Dexi1A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPAPAPNPRRTILEVEVFVAGATTLLVLQLIFGLCKRRSSSIWIQGAVWLAYTLSFPLVTYTFGLMQSSPAKSQLYPSPGAPAVTACDLDETKQWKKQLFELGQQYVYSGIIFLLLHPSQLSILNELIWNPKKLDPILEYCYMLLAAITITNLFRVVACWMATFTYPSKVVADYMRDQNISGGRQVVQQQGSDQSDRAIRMLGGRYLIAASFRSTTQGHLINGQASLRRNGVSIGIQTLMRNREDRDLAETMLIWHIATNYCEIAEPPNNSDPDELKQHYRKVATSLSRYSAYLMASVPELLPGYPADTSYTFDKVMQEATEALYPGQMDDIRGREKADKDMLDKIMVDGRPRSPDEENHSDTIFVKGLKLGKELKDKIQDGVLRWKVMAEFWAEMILYVAPSDNVRGHVEQLAKGGEFITHVWALLSHAGILTRHPRQ >Dexi2A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:2A:5880160:5880509:-1 gene:Dexi2A01G0006240 transcript:Dexi2A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAATAVVPVLLLGAAEGGGGGVVAPAPPFNSSRVKAVSWSPRIFVYKGFLSDAECDHLVRLGKDKVQRSMVADNQSGKSVMSEVRTSSGTFLDKRQLT >Dexi6A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:6A:27649099:27653265:-1 gene:Dexi6A01G0020120 transcript:Dexi6A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFELGLGGCQPSKGVEPPPPPPRTKRGDLIAAAPLIRRRQFFSPGGRFEPTLEIYSMAAVHTATKEMEALHVGQNEETKENMIKENKASNSNGAVPAAQSSPPEDDDEAQADGASQDGAPEAAKKKKKKNKSKKKKDPLQQTDPPSMPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNNIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Dexi5B01G0025700.1:cds pep primary_assembly:Fonio_CM05836:5B:27678721:27680247:1 gene:Dexi5B01G0025700 transcript:Dexi5B01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSAIVSDLLSRALSMAIDKYCKGSKAEEAEAKLQRLERVLLRINSTIEAAEGRHITNQAMLRMLEMLRQGMYEGHYMLDTFRYRRDLGGDEVVSGGAAVALPRLNSVRHLLSFPINGNTKNTGLDAESVKKLDKMLGSLERMISDTVEFVIFLEGYPRVCRQPYDTHLILDKIMFCRQAEMETVINFLLRPEITAGNGNLGVLPVVGAARVGKSTLVEHVCLDERVRGHFSSIVLFTGDDLGAGNFSALNVVSGVIKHRDITAQSSGTGRSLAIIELSGDMEEETWRRLYSSASSMGHGSKIIVTSRSDKIVAFGTVQALRLKFLTTESYWYFFKALAFGSTNPDDQPDLASVGMEIAMLLKGVFTAANIVSRLLRDNLNTKSWRRVLRCLRDFSNRHLRMFGEDPVDRIQKGKPVFIWMMARAQHAVMLRNIYQQDSSTQNVPGVPKLTAQDILAGHATRQGKFTAVMWRSSIPPYYTYMVSCESHTDEGSTINKKRPREDRI >Dexi6A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:6A:12954841:12956386:-1 gene:Dexi6A01G0010500 transcript:Dexi6A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEVEAPAPPSEAPPPSRRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVSNATADNANTALYACFAVFGVLGGAAHNLLGPRLTLLVGALTYPLYAGSFLYYNHHPRQAFPVTAGALLGAGAGFLWAAQGAIMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFAFNYHKDPSKPASVSDGTYIAFMAFMLAGAALTVVILPPARIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNSALFSLRTRGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGIVVVAVLGTAIWGGGLANQLKYGQAVKNGDGKLANPIDFKDAHRYPGPVAD >Dexi9B01G0026350.1:cds pep primary_assembly:Fonio_CM05836:9B:28359812:28370206:1 gene:Dexi9B01G0026350 transcript:Dexi9B01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPQSAAPTRASGRKRAAKAEEIRHDHEEEEVGADASSAKRRRGAASSRRNAKAPPKQAKKAKVGRKKKAEAESAEPVEDDVCAEEPDEEEIAMGEEEEEEEAEAEAEAEEQEAAAAAAAGSPGKKRVAQPRKRGAVAAGDHVPEFVGEPVPAAEARSNWPKRYDRTPFRRPEEDEDLKARCHYRSAKVDNVVYSLGDDVYVKAGENEADYIGRITEFFVGTDRCQYFTCRWFFRPEDTVINSLVCIDVDGHKHDPRRVFLSEERNDNVLDCIISKVKIVHVDPNMDPKAKAQLIEHCDLYYDMSYSVAYSTFANIPSENGTSGSETASGISSDDADLETSSGAPGRKATLLDLYSGCGGMSTGLCLGAALAGLKLETRWAVDLNSFACQSLKHNHPQTEVRNEKADEFLALLREWAVLCDKYVHGDVQWEGYGPDDDTWEPIDNLSECPLKIKEFVQEGYHRKILPLPGDVDVICGGPPCQGISGFNRFRNRNEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALSSMALPKYPLPTHDVVVRGGAPNAFSVENYQPREVMEYSSSPKTEFQRYIRLSRKDMLDWSFGEGSGPDEGKLLDHQPLRLNNDDYERVQQIPVKKGANFRDLKGVKVGANNIVEWDPEIERVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYKMYIQVGNAVAVPVARALGYCLGQAFLGESEGNHPLYELPASFTSVTRPVAQARTSPVSTPAGEVVEQ >Dexi7B01G0023610.1:cds pep primary_assembly:Fonio_CM05836:7B:28162954:28163810:1 gene:Dexi7B01G0023610 transcript:Dexi7B01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVQILAIRANFRISAIVADDWYQSRSIYTALEGEVQEQGMDLVLTGPYRMLEAYGALVLKVFAADDEGFSTDDDDGSPIYGGWDVTEPDEFEEFTGTIYGGLGRKLEVTYLVIPDGIETHVEVRLNLIDLGSRNRAVYGSVKARAIDYGSKSIHLFSRERGRSLSLPCDSTCILPLRPYMIALRNWQHFKLHIEVDLRVITSNDNQEEDKNFKFCLDCSRRIRSEERLEPPFRIRSQKREFNGDQVEVSVMWRLERS >Dexi4A01G0023930.1:cds pep primary_assembly:Fonio_CM05836:4A:26855490:26856395:-1 gene:Dexi4A01G0023930 transcript:Dexi4A01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYTHAGNNIPLSQQVRLFESTKAEMKAKMGPNAVSYLISKSFFLLGAGSNDLFVFATSMAKQNKTATQTDVTAFYGSLLSNYSATITELYKLGARKVAIVNVGPVGCVPGVRVLDPTGACSDGLNKLAAGFDAALKSLLAELAPKLPGMAYSIADSFRLTQAALANPVALGFSSSDSACCGSGRLGAQGDCTPAATLCPDRDRFVFWDSVHPSQRSAMIAVKAYFDGPTHYTTPITFKQLATAS >Dexi4B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:4B:18447796:18455051:-1 gene:Dexi4B01G0016370 transcript:Dexi4B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDPEQAALARPGEGRRWRGDAGSGAREGRERARGQAAGGAREGRVRPSGEPRPSGGPVRRSSAAVHPPPWSRLGTQSRQRLSLAPVLHRPFPLRTSLSFFSSIREMEQGRGRGAVRRGRGWRSPMSRLTSLSPSPAQADPGGGASSPGSRWKETAMLDLAGSGSTSSSRQSADGFRVRARRKTATTADKGCRGRPYGSEGEGAREGKGLKKGALLEMLLEECLAAAVGTAVLSPEEADDNRWEGPTAGASSFLGICYRMHNKSTRRVATYSSGHALPVPNKVPSLGFGSANKEWDNIRMRKVQLMNFLSSLECHSENSVIAGKMAGGKLSNHSMEPPELKEKTEIILIDSDDEDGNNSGYSKLAPKINKELITSELAITKWVASNGMSQPFETMYAEGDKTSQIVPYGQSAALANQFPLQTSWQPSIQFERVLLQKKPEEQRMQDLAAVNIAEKRAETQVFPSLPVEKKRRRSDPSLHVSEDATTGPRKRKFNTDSVATDLPLDLRETDISSEPDLPIEEEDKPKNESDGLEEYWKDFALAVESSKLDTVDEVAKEKEEDNEKMEDIDCNHDIRIHEDLGHASRRRTSNYGGYSKDADDIDCGNVKLSEDFLIGDIAIHPRHAKQMRPHQLEGFNFLVKNLTGDKPGGCILAHAPEISEGNAEDRANTLTDKSIDSMIDSINVSDGVKARPGQKKKVFVYRLVAADSAEEKHHETAFKKEVIPKLWFEWSEHCTTEDFKLGQVDIDDSGDELLDTKAMRQDIKALYK >Dexi2B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:2B:26453957:26456083:-1 gene:Dexi2B01G0016290 transcript:Dexi2B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQAQHHHLLLRHRPFSLLRPSPHLRLPTRPSRPHRLLAIARAVSAAASTAPPEAAALEDFRRWLSSHSVGEGKALPAAVPEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRPWVAVALLLLREVAHGADSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFESVESEIINANKDLFPATITFDDFLWAFGILRSRVFPELRGDKLALVPFADLVNHSPDITSEGSSWEIKGKGLFGREVMFSLRTPVDVKSGEQIYIQYDLDKSNAELALDYGFIESNPSRDSYTVTLEISESDPFYGDKLDIAELNGLGETAYFDIVADEPLPSQMLTYLRLLCIGGTDAFLLEALFRNSVWGHLEMPVSPDNEESICQVMRDACKSALGAYHTTIQEDEELMETENLPSRLKIAIGVRIGEKKVLQQIDDIFKQREEELDGIEYYQERRLKDLGLVGDNGEIIFWET >Dexi2A01G0032580.1:cds pep primary_assembly:Fonio_CM05836:2A:43031163:43031454:1 gene:Dexi2A01G0032580 transcript:Dexi2A01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLETKRLQSVREGEPLSIVWQLPQYFIIAGAECFAIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITFIARAQDGYRMI >DexiUA01G0017680.1:cds pep primary_assembly:Fonio_CM05836:UA:37350658:37351413:-1 gene:DexiUA01G0017680 transcript:DexiUA01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCGEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Dexi4B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:4B:7445323:7445719:1 gene:Dexi4B01G0010190 transcript:Dexi4B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSGSMKLTPFQVMTLLAAAAVLIPTTPVRYVTRFDPTPLLANLSTPAITATVQHQLLALPITRTHGRLSVAFAADRSSGMIVAPPPDRRDKTVNIKASLGFFC >Dexi4B01G0022670.1:cds pep primary_assembly:Fonio_CM05836:4B:24207100:24210941:1 gene:Dexi4B01G0022670 transcript:Dexi4B01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSLAHLLVAAVLAAMAVTPSDAQGTAGAGAMPSCAAKLVPCAAYLNSTSGTTPPPAACCDPLKEAAANETACVCAMLLNKAALQAFGVAPEQGIGLAKRCGVATDASVCDKAAASGAGTVPGTKTLLNLFLELSDGDCTLDDDAAPAVEGSPPPPRVVRAVRVATIRIRNRRGARLVEAGQLMSDGTVRRRGARPLSEKVRPGESPESAAVRAVREELGAAARVRIDGARGAPRVEERESVSYPGLPARYVLHAVDAEVVGGVPEDGAFETEEEGEDHGDAAGAITVKRHYWEWVDDDEGDGEHEEEEEVAAVAGGGARGH >Dexi5A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:5A:2358498:2359430:1 gene:Dexi5A01G0003190 transcript:Dexi5A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPTPQPPAAAGNGNGAGGPPKMYQRPIYRPQQGGAKRRRGGRSCPFSCCCCFFWTVLVILLVAFIAAVVGGAFYLLYRPHRPAFTLSVANVTKLSLSSSAATAPALTDAIDVTLTARNPNKKLVYFYDDFTVTAATAANAVPLGEASVPGFAHEAGNITVIKATVSASALAIDPTASSDIKKSGEFPITLDLETKAGVKVGSLKTKKIGIQVHCEGIKVAAPAPPPAPVKKRKLATKKADAPASGKKPKAEAPAKAVADAPAKGVVADAPAPDVVDDAPSPPAPAATVARVCEVRIRVKIWKWTF >Dexi7B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:7B:9696922:9699026:-1 gene:Dexi7B01G0003930 transcript:Dexi7B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTGLFFNLNLFSGISDVSAMLEPKVRLFLSLVLSLFLPVMSYLFSEAKNIGNLGVGESAMPDDLSLKARVILGWMLLVELLRRKVDEILVQGYSGMVQRAGRVVWLGSLVFFNISLVGQKELFSIIWVLCVTRLVQRIAFAEVQKRSYAHGKNPGLISSYMAQLQHDDQVDDDQVDDIKRCRYIVMGKEKLVKKVTAYGYELNDVASTAGIITVGKVWELVDSDQMLAIFDQNQRLRRLCLSFALFKLLRPKFEQHHLAVKCAGEARDSRYLILRCLQSNGGESTAEAVFQVMNDEVNFLCEYNHSVAPVVLASPFFLLVNYVLIYIVVFGFCLMVVLICGYGDVKFALNSIFTDNFSFNSYYPAGFLDVAFCILIKAFNYPPAFFLTLDFSITVILIIIFFYEEIREFLIALLSNWFMVSLLCSYMTKPKWHGSPIFKSAFCFLMFLQRKMSNADLSFKQFSFLDLCWQPITALPTTPILSLKVKTATVPNSLKQSIIEYIAEHIRGTDHYTPLINGKRALQRNNLSHQLSWACNSNSVSEVILTWHIATSLLEVECPPRSIQEGPSFNVTTRLSKYCAYLVLFHPNLLPDNQENVELFFEDISQELKNMIGIE >DexiUA01G0023510.1:cds pep primary_assembly:Fonio_CM05836:UA:48027531:48028404:1 gene:DexiUA01G0023510 transcript:DexiUA01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSLLVQKMAKNTRQPRKEKDGGGARSGAQQQRSPWYQRAVEVLLLIWKQPASSSSTTTTKAAAASGVTAAASGKGGAKLRKSSSLNVAASFTRVCLCAPISSYNTESLYCFQAGDAAAPRRSYSYPRASSASASGCGVSPLVAPPPAAEHANAGARGRASGGDKIGVVGERRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAASKRRRLGPSPLRRMALPESESEADEEPEATGNSVAAAVA >Dexi4A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:4A:979326:983619:1 gene:Dexi4A01G0001540 transcript:Dexi4A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNIAEGEDWFASENQQYLLWFHRVARTRLRPTAMEYNMEDVDTDAEDDYDVGTRWGNQPERAPLHDHMRVRRTCRRMALKLNYVTANPVDPARAIGGTSDSQPTPVYSAPGGSSAMARPSSSHRAGKVPASPQASDEDVPGDDSEDSPAPGFADQFIVTQHMDDAPPYTQTQGESSQMNMTQTQRESSQVGSTLVVHGGISDQQANSDIS >Dexi4A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:4A:2102238:2104905:1 gene:Dexi4A01G0002980 transcript:Dexi4A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLGAALAALRRATSSVARRRMSGVCDAAIASSNRLMGWHLRAGRPDAAREVFDGMPRRDVVSWNSLMAAHARSGEHAGVAAAFFEMRRRGIRADGASFSTLLSACARMEALELGRCVHGLAIKTGAFRNVFVGASLTTMYANCGVFGCLERVVDAVDRPNVALWNALVSGLVMNRRVEDARRVFDQMMERNVVTWTAMIKGYVVADEVGRAFEMFNLMPAKNSVSWCVMMGGFVNHGLFREAVDLFKLLVSSGEVITSSVLVKIVSASSSLKSIGGGRCIHCFAVKHGFILDQIIEASLVMMYCNCLDVDEAWLEFDRMERKHVGSWNAIISAYIHANRIDEARQLFDSLIDRDKISWNLMVSGYIKDGRVADATELYSKMHEKNVEAATALMSCFIDNGMLDKARHLFYNMPQVDVMSCTTLLFGYVKGGYIDDALDLFHRMRKRTVVTYNVMIAGLLQQGKGA >Dexi8A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:8A:12295546:12297003:-1 gene:Dexi8A01G0009370 transcript:Dexi8A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKLPPDVSPRIGSAHEDGRATIMGIGKAVPPHEFQQKSFSDYYFEITNSNHMVDLKAKFANICEKTMIEKRHLYMSSEILRANPSIMAYNSPSLTRRQELADVGVPELGAEAARKAISDWGKQASDITHLVASTSSSGCMPGADCELARLLGLKPSTKRFMLYQTGCHGGCTALSLSKDLAENNPGARVLVVCSEVCTLSLRGPSESNIGDLVGQAILGDAAGAVVVGSNPTVDEHAMFELVLTCQETIPGTEDALVSKLREGGILYTLHRDIPLHVSNSVERLVKLLLQEITMAPEPDLSEEVFWVVHPGGRGILDRIESKLELRDGKLAASRAVMRQYGNTRCSSVILVMEEMRRRSEKHGLRTAGEGLDWGVLVGYGPGITVEAILLRALSDKTATKLNTQVGTLVT >Dexi1B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:1B:4514152:4517385:1 gene:Dexi1B01G0005500 transcript:Dexi1B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVAGLVAESFFVACPAHESRKKNERNIFCLACCASICPHCAAAHRHHPLLQVRRYVYHDVVRLDDLEKLIDCSYVQTYTINSAKVIFLKPRPQSRPFKGSGNVCLTCERMLQEPFHFCSLSCKVDHVMTQGGDLSNILQYYGAAGGGDPDHLSFPRFENLRVDGSDLDDDTDGGQITPNSILEDPTQHYGNGGGGGSSNNGDTRIVAVPRRGEAAKRKKGGGFFPQMLLSLGNRRKGAPHRSPLA >Dexi4A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:4A:525500:528629:1 gene:Dexi4A01G0000760 transcript:Dexi4A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIHRATQLGLQVNGKVLAALLLVDMPTWHQIRSLALRIREHELSRDLQDGAPTVVGLEQAAASQRRRLSHQKESRITSEVFPLRLLLFHLPAAAAGRHRSTATLSLRSPTASSARRRFFNMPRATSDAKLLIQSLTKAYAATPTNLKIIDLYVICAVATALIQVAYMGLVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >DexiUA01G0010560.1:cds pep primary_assembly:Fonio_CM05836:UA:21033156:21034934:-1 gene:DexiUA01G0010560 transcript:DexiUA01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLHASTDSPDQIKPNRTLQNRKRTRNQQTRSEAAVAPPIQPHRQETNGTAPTARTETPTQPIRSNRDEIIKQQARKGGDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi5B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:5B:4331932:4336413:-1 gene:Dexi5B01G0006410 transcript:Dexi5B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNLLCHLPRSVNRNPTHSRVLRNHRPQAFFWCDLRWAHCEQLASKWTQTSSTGSRAHTPSWRRLRRNRTPPGLLRAPAYKSIPSPPTPPFLKPINSPHQPELASPPPRKTIRFRSDGAGAVRCRAGAPIGGARFHSRPNQLAPPTRTRLSPAAQNHPPCGAARARPSVALASIPVVYGADDAGGEATAPGTADVLELRDPPRASDLVLPERLAPGARRCEFDDLTYIVAVGPAHLLVPGTQGPNLLHPEYLDVRARTAARVPAVPRELADTLSPRHATGLIADPRCPAHDVVALAQLHPTAGTSMTRHDDGTVLQPPPGGRWVILNARVRVSNVPENISFHWEAPPRVSTLFIDAALIPEAHEHLDATVRINSVDPSGWLLLEATHARGITLILCNALARSAAPLPVAGRPPTVNTRSLGLIPVPDARGGGGHCMVAHLKPFCGTNRASLAWYDTRTEVWEEKNVTCTTILPELWSSSVVISNAGKLWWFDLNNGGILSCDPFTQNPCSRLMYIPWCTARLTSSDVRRHSCLGLADGRIRLVRVIGRPDSPTIIMSALNSAEGPSCGRLGAATYTSWSREAFFYYYQHQLHPSLLRSRSTYIDLPRTEREDPRVVSMEHLKQPCFLELLDLAVQEFGYEQQGILQIPCTVQAFRSIIGAIRKPKS >Dexi1B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:1B:4802305:4803119:-1 gene:Dexi1B01G0005910 transcript:Dexi1B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPPCSVAGCRGVRREWRATIDGRRLLRADLLPLRLDGFFCRATLLDSQTYFFAPPSAAQGIDPRLDFLGEEGRDYANIVDLCNGLLLLWDRVVNPATRQWIPLTPFPRPCAGMEGFFYRLILAYDPLGLMPPPPHCSVVRFPIVPIYNDIDFTDDSEWPPSTFTIHIFSSAQRVWQERSFNSIVR >Dexi7A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:7A:22442764:22446841:1 gene:Dexi7A01G0012170 transcript:Dexi7A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGAALLILLVVLGQLGTSSSTSIATYLFGFLSRTHQHRFAALAPGPAPSPQPQAFFIQIIVAFDSQAPLVLTQYIGITEKGIMLSHHLHRHHQKDKLDLGLAPYQLFPRIDELEIEVAAGLPSLVPMPGSLGPISSNEDPFSANIHNRSRHKINSKMIAIIALSAVVFVLTCFAIGIIWRFKGLKESHATGPISSSSVTRKGGMRSSFSGTSSSTASFISTIGTCPSTVKTFTITELEKATEHFSFSKIIGEGGYGRVYRGIIEDGAEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKVALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTREGLQRLVDPSLPAGYDFEKVAKAAAIASMCVHVEASQRPFMGEVVQALKLIHTGGAGDETCSGSFAGGATEEESPWNNNNDGGSRSTWNDGPATPGPAAFPLGYGGSDPTGAVDERRPRSASSAVLDKIESLAMYDWSGPLRAKERLSFYRLRGSMSEHGRPSDDGSVEGDWI >Dexi3A01G0023770.1:cds pep primary_assembly:Fonio_CM05836:3A:19405885:19408038:1 gene:Dexi3A01G0023770 transcript:Dexi3A01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDQHVNDTSSWEGNVRRGRFFSPYPATSAPYPNGQSSTPASSFVRGVGALDLNSTAEDNLDDMAFMDLLGASSRDLVHGIEDDGGSTVSPLLAVALVVALVVACLLLAKLCLLAVLPLPAVVVVPLPQPFLLKPNHIGLLGQLDRVAVQVHLMHMPPAQKKKDSFDKANWTSFNNNVVFSELCVEQVRAGNRNNGTMTNRGYENIADPFYERTSLRHSVKQLRNRWDQLKSLYTFWTYCNKQSELVKNGTGGIIASDAFWDQHCKKQPERKKLKYGPPECLEDLEVMFEGINVSSEDETSPSEDDTSSSENNSLGSDSDDGLVKVVEQ >Dexi2A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:2A:4935976:4937964:-1 gene:Dexi2A01G0005200 transcript:Dexi2A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVEPEVAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Dexi9A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:9A:3684515:3685175:-1 gene:Dexi9A01G0006460 transcript:Dexi9A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPSANRKSDSQANEYKQSMTLSPEKDRYYSTRGSPFPFEGNGFDFGCLTEEKGTTALPRFFIALSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKSSKKRARGLKALSLESDSE >Dexi5A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:5A:21488162:21497759:1 gene:Dexi5A01G0017990 transcript:Dexi5A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKACFCGPVAIELCSMGGIAECGVSVDTKASPRRAAIERAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVAPLSVQSTSVTDQIAEQNVISEAKGSFAFAASPHGDSVESCGKPGNLLCREGNTADNLMLLDGDTSNVGEEKTAKRGIKRANATQAEQFLHCDGQNNAKEGEDSGLSRHGPKSQAYARRRSKSVRENANSALVRYPPVLPLNSQQKDVTGLIPEAKTEDNGVSSIGDSKPTSPKCQNMLKNESLNDEVAMETNSVQAIHEGNQTSNNELSNINNGIQAMEISPNSATDNSHLTVGDQVATETASAVSPDTISKEAASRMVCSLPSISNEILKEAQTPEKAGNSPSIVIAVDIHADSMDNKSVASHSAVECTSLNENELDPARAYATNKAANEHPGQNEDLLPVKASEMVDEGLDSILPEDKHDKKDGQLEISSQPVVLDDSPARQPELSCPVSVKDETEICNNAVDAVKNTEQHATSNQEKENKEECSDSHKNNTGESSVAHKLGSATVPPASLTSDVTNPVENDVEKCSGDQEKIAKKECEDSIVAKKDHEDAILRRARYIEANIKRAGERSLCNISLEKKRKSHWDFVLEEMVWMANDFMQERLWKSAAAAQMSHCISSCGRAVFEEANIQRKQKSVARVLANVITNFWRSVDTSQGGMSKPMQIEQSNELEKKKLAGAKAGKQESEENLEQDKSRQSHQSPIRSYALRLLEYNRNESECRLLAEAPQTPDRLNDFGILKLPDQLSEVNLFYGVAPGAMQAYRESMERLFVYNKKIGNSVLKDDYELSTYASTGDVPMENAYGDDEGEARNHQFMSNGKRTTDFLSIPIKRIRTAARQRAGPYDPRSQVDLIAQYDQMAAGHSGPGSPWSSFEDQALVVLVHDMGENWELVSDALNSIIQLKGSARQLFQRLQGPFEEETLKTHFEKIIFLGQKLHQTRRKGEIQELRQINPLHTSHIFALSQACPGNLSGVLLTPLDLCDGPSNTDTLSIGYPGSHTSGLALPNNHGSIGSTLPTSNVNSRLPGSPGMVLGSNSPLPLNAPSRDAQRFIVPRPTSLQGDEQSRMHYGQMVNGRNLQQPGVPVPGVLPSGVDRSARMIPPAHGVGIMTGINRGTPVPRPGFPRVGSPGIANVVSHGNMSPNNGQGLQSTVNVHPGVIPGPGNTMLRPRDPMQMLRVSNQFHMH >Dexi6B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:6B:3177532:3178617:-1 gene:Dexi6B01G0003870 transcript:Dexi6B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEELDYVLVPLGMAVMAGYHAWLLVRVRRQPATTVIGVNAINRRIWVRHVMEEPSGKHAVQTIRNNIMASTLLASTAITLSSLIAVLMSSGGGASSSSSNELLPGEPLVVGATGAPALSAKFFAILVCFLVAFLLNVQSIRYYSHASVLVNVPPAAWGRRRRAGRAAAVGYVTEVLNRGSYFWSLGARAFYFACPVFLWLFGPIPMLVACAAMVCALYFLDVCRDWEEEEEEDDEERG >Dexi1A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:1A:10248664:10249199:-1 gene:Dexi1A01G0011290 transcript:Dexi1A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEALQLLKKKEKTDNIIKKTKEQRQKKKQENADPNKPKKPASSFILFRQVLSFSSVIFSKEARKQLLEERPGVNNSTLNALISVKWKELSGEERQAWNDKAAPAMAAYKKEMEEYTKAHSSSA >Dexi4A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:4A:22237123:22238943:1 gene:Dexi4A01G0018240 transcript:Dexi4A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKAALTSGGSFMHLLETDPGLRDLFSWVQSYFRSIPDTIKPCSFYLPIFHTNQIIRRGRLVRRWVAEGYTMDTNDTTAEKIAEEYFSKLLKLSMVMVPEGDLGEIDFVEFSVKASACHVNGFLREYIISRPMEDNLVTQLEGHCSMNLRGTGRHLAVSRSWERKKNEGVFKAMDFSRLRSLTVFGTWESFFLDDTIRMRLLRVLDLEDASGDVKDDDVALMVKRLPRLKFLSLRGCSLVKCLPDSLGSLSQLQTLDVRGTSIVALPSTITKLHNLRHIRAGTTSAPQSSAGEDDVTEEGTILSPAAPTESPMPLVSKPRTGAPLKSSCWSGFRRRQGLANVGVKVPGRLGILTAMQTLGIVNISAAGSEAAIEERFSGLTKLHKLEVSGINDNQTGCLDGTLQPPERLENLMLCGLQENLHNLNELWLSGSYDVALKKDLEGQIAEAARQPGRRQQPVLKEGRSLPRSRTSSAT >Dexi6A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:6A:19752821:19760068:1 gene:Dexi6A01G0012930 transcript:Dexi6A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILQSIQELMEDQGENNAFGDANHNELFASLVNYDHENMLPDVSAADVAAGKDMQGIPWEKMLFGRDQYREMKMKNYRNYQNLSYAREDAVQRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGEVICKRLDDDGVVFSTRVTDDENAITNSLEIYQDPSGSRRLVAANNDCSIRIFDTEYFDLLNHYGFPWSVNSVSVSPDGKLFAVLGDHEDGLVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRKLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDSYADYSKAHEIDLFGEIGGLSFSPDTEAFYVGLADQTYGGLIEFRKRHQHHYLNSLW >Dexi5A01G0027420.1:cds pep primary_assembly:Fonio_CM05836:5A:30922825:30924426:1 gene:Dexi5A01G0027420 transcript:Dexi5A01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANPSVVDQIPLLPSPGHRDTFAAVPVVDLSRPGAARAIVDACERFGFFKVINHGVATATMDRAESEAVKFFAQAQAVKDRAGPAYPPFGYGSKRIGLNGDMGWLEFLLLAVDSASLSDACTMAEGLGIAPVDALSAMVTEQGSDQVFRVNHYPPCPAFQGLGCSATGFGEHTDPQLISVLRSNGTSGLQIALRDGAQWVSVPSDRDAFFVNVGDSLQVLTNGRFKSVKHRVVTNSLKSRVSFIYFAGPSLAQRIAPLPELLGEGEEILYKEFTWGEYKKAAYKTRLGDNRLAQFEK >Dexi9A01G0032000.1:cds pep primary_assembly:Fonio_CM05836:9A:36813283:36815056:1 gene:Dexi9A01G0032000 transcript:Dexi9A01G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFAGYSTWSQLSVVISVVAVCWSMVWHNLEHIRLQQFFARNFNRRARRLAAIVDPFLSVTFEEYEGGRIKSSDAYKEVRSYLTTATGVSGVRHLRAEAGGERDNAADKDRLVLSMAQGEEVADAFRGATVWWSAYSVQPSRDAIPWFRASRSERRFYRLEFHESDRELVLGSYLPHVRRQGREIMVQNRQRRLYTNINREGYDDGWYEDVWTHVPFNHPKTFDKLAMDPAKKKEIIDDLNMFRKGKDYYNRIGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDFELTSVKTNTELRKLLIETKSKSIMVFEDIDCSLDLTGKRKTKEEEDKEDEAEEEAKSGAGDPRQPKKDAKSKVTLSGLLNFIDGLWSACGEERLIVFTTNHVEKLDPALIRTGRMDKKIEMSYCDIESLRFLAKMHLDEDVEGHEMFGVAKGLLEEVDMVPVDVGEHLTRRSKDDDAGTCLARLVTALEKEKEEAAKAKAAKAEAEAAKAEAAKAEEAAKAEAAKTEAAAKTEAAKAEEDGKGVSVKAKGDN >Dexi4B01G0017330.1:cds pep primary_assembly:Fonio_CM05836:4B:19592879:19602835:-1 gene:Dexi4B01G0017330 transcript:Dexi4B01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEKRSDLHAQSIDSNRIRSTDRSSAPPIPTQPPDPMDLKTLDPDAAAGPMDRAGGAREQMEAEQVNPTHDTHQKVPYMAPEKGGQEGAHRETGAEEAPEMRWRRKRAAREREGVWEGLINRPRGTCFPSMRARRSCKPLDRGVVGINSGKILRKPEVPVLSGFAGKPKITTPGGTLSAIATQNVFGRRRDDERKQKEGLTWPCPGEATVTTRRKWHARQLAMVQQYRPPASLLFMHGTLVLLLPPQQPLMGHLTSPYLSILCNRNTCSNLVCLLRPIAKVTVLGASFSPTACTCDDGGGLYRRQHGRWEGEACPESMPLRVTPITRKAPTHRGYTR >Dexi4B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:4B:1730440:1734573:-1 gene:Dexi4B01G0002690 transcript:Dexi4B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRGVAPYGKGATRLLSIRKRTQNLSRKAKASNRIETGASEAAADGGGAAARGPIVRDRELGPFRRRAMAMASSSSGGGDGGDPMAEFLQGYTPEELAIAGEFLTTWLPFLSAGLCSSCVSSLRTRVDSLLPRDEESPPTPTLRLDQIEASGWDSDPAPQQHPPVEPTGWDSDPPPPPPPPQEQRPAPAPVEKPKMSWADMAQEDELAAAAEEDAAASAADDGEEGGEVGRPKVHLTREQREQRRFKNVVRTKDYICLERVHGRLVNILAGLELHTGVFSSAEQRRIVECVYDLQERGRRGELGGESHSLILLFDHCALLRKFGGLSWQDKNGNPPGIIRTIVSDPMPGLFKTMIKRLVRWQVLPTTCVPDSCIVNIYEPADCIPPHIDSHDFVRPFCTVSFLSECNILFGTNLKSSAPGEFTGSFEIPLPVGSVLVLNGNGADIAKHCVPAVPTKRISITFRKMDPAKRPFNFKDDPDLLNLAPLGSVVQEAGQSSDEGKSRTPDVQITNLSKVSRAKRSKGRTSAGKIESGILGEQPPGHEQAPAVEVLSRQSLHGQRPVSASSSERERSAGGWSREPRYQSNNAHGMQPQVDDLREWPRRLAQERRHVNGMTSSEDGAESGERRPRVEHRQISLINRTINDDVDSLSVSSLESGDQSRARVRTVYNNKPRRRVILDD >Dexi2A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:2A:22800159:22800428:-1 gene:Dexi2A01G0013960 transcript:Dexi2A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAEQLNSQHQAYGKGSTAKLGQQRDSTDYHIGTRPCLAGREQISSSSISVERTRVDLGVVDLCGVDAPQWLRDGEDKEGAREESRG >Dexi9B01G0041560.1:cds pep primary_assembly:Fonio_CM05836:9B:42024507:42029312:1 gene:Dexi9B01G0041560 transcript:Dexi9B01G0041560.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPRRRRYLSRCGARIRRQPCPERRHRCMIGRSGSMSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTDRSTKKRKSGSGAKDTSTLFQILQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARKKKGLGDGTVGMLSWLGIAAVSGSINVLLTNPIWVLVTRMQTHTQAERKILDSKRDLLLKDISRANSLEIAILKDRLVKLESEKPHPYGTLQAIREVYHESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKRLQSKHDGKQLPKRNLTAMEVQSFRFRSCVLVGCNSETGSYCCHLSTASSQGTIDAIIKMVRYEGFHGFYRGMGTKIVQSVFAASVLFMVKEELVKLAVLLVARSRSMFITTSKKR >Dexi6A01G0018670.1:cds pep primary_assembly:Fonio_CM05836:6A:26403965:26404824:-1 gene:Dexi6A01G0018670 transcript:Dexi6A01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSLSSYSSESKNVRALFEAFLMCVDGTPSKSHTKSSVQVYPPEGFDAWGFSPFVKRADLESSSSNYVKDGHVTFMFGVIVLRSGHDGPIAVPSSDMGDHLGHLLDSADGSDVSFDVAGEEFHAHRAVLAARSPVFKAQLLGSMAEAKMSRVTLHNIKPSTFQVLLRFMYTDKLPTDKELEETSSSAANEVFEDLLADMFQLDRLNLMCAQKLWERVSAENVAAALGCAETHSCPELKNRCLDFFVVEKNFKVAVLTEAYYRLMHSFPSVIDEIRERVQSKR >Dexi3B01G0033530.1:cds pep primary_assembly:Fonio_CM05836:3B:36277328:36277743:1 gene:Dexi3B01G0033530 transcript:Dexi3B01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVVALALAVAVAVGVLSLAGAEAELRSAPPRPHSVTITEFGAVGDGVTLNTVSFQNAVFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLESGAVILGTQQSA >Dexi5B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:5B:22355843:22360747:-1 gene:Dexi5B01G0020100 transcript:Dexi5B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASPTACCRICLASGGARDRQVFIASLTCSFRGEIHTVLLLIDLSSLGFGTGDGLISPCMCKGTQQFVHRACLDRWRAVKTRNEMSLALNYSVYNALQEGTAFSHCTTCKAQFHLRVEFLEDGCFRRMKFQLFVARDVFLVFLAVQAVYIVEDLQGGYATPPKMDPEHEQRLKTLQLM >DexiUA01G0012210.1:cds pep primary_assembly:Fonio_CM05836:UA:24511771:24513640:-1 gene:DexiUA01G0012210 transcript:DexiUA01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKPKVCAAEGAAQEMEIPVSRAPEAEWAPPEHSPVSPKKRLALDKKRWCTVFNVAFVMAAAALVVVISAGDGATMAQLRRGSGGRSFPYARSPPDKLLGGLLPDGLDERSCRSRYESSMYRRSTARRPSPQLIAKLRRHEELQKRCGPNTNAYRLAVEQLRSGKSVVGSSPECKYVVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMDELFCEPFPGTTWLLPRQDFPLASYTNFSIDTAESYGNMLKNKVVSADAPMTAAEKLPAFVYLHLDHDYAHEDKMFFCDDDQRLLSGVQWLVMRTDLYTVPGLFLVTAFQEELDALFPERDAVFHHLARYLFHPSNHVWGLVTRYYRAYLARAELLVGIQVRNFDPRHAQSPHVLRQITSCVWREKLLPEVLATEEHTTTTPGAKSTTAVLITSLRPWYYERIKGMYWDQATVTGEDVSVHQPSNEGQQQFGKKSHDGRAWAEMYLLSLCDVLVTSGWSTFGYVAQGIGGLTPWSSARKR >Dexi9A01G0024620.1:cds pep primary_assembly:Fonio_CM05836:9A:21060855:21062387:-1 gene:Dexi9A01G0024620 transcript:Dexi9A01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYTLLMSRNQKTFRPKKNAPSGNKANKYFILIVECGVLKEFLLFLLMYLIQGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGTSFPQNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTRVCCEFKLIDKAELAPLIDLIESIVSVC >Dexi2B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:2B:13233340:13233705:-1 gene:Dexi2B01G0011650 transcript:Dexi2B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAGLRAYREVLRLVRRLPAETQPYYAKYARENFVNYRDLSADEDLASLLRRAHTHSSWVLSKYSIDAEKAAARLKALGDGQAGH >Dexi2B01G0030950.1:cds pep primary_assembly:Fonio_CM05836:2B:39168992:39177742:-1 gene:Dexi2B01G0030950 transcript:Dexi2B01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQLQLNDDSQSVSEFDISKLESLFPAVVRKSERTKSLGSKPEKVRLCKVSRMQLFYNLSLYLLYYDISSEQIELRRANNAEIMLTKVKLQLSDLVSTALSLFQSSLDVVQVENLIKFCPTKEEMELLKNYTGDKDNLGKCEQVLAARSPQLLNFYVDLVSLDAASMIQVKMLAEEMYAVSKGLEKVQMEYEASERDGPVSEIFREKLKEFTGSVGAEVQSLSSLFSEVSKKIDALIKYFGEDPVRCCFEQVISTLLTFVTNFRKAHAENLRQVEFEKKKTEKEEAEKYVSLTYKH >Dexi7B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:7B:3847713:3850831:-1 gene:Dexi7B01G0002280 transcript:Dexi7B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTSTAPVLLVFLATISHSVICSTFGNDTDRLSLLEFKNAVILDPQKSLISWNESTHFCRWEGVSCSSKNPPRVTAIDLSNRGLVGLISPSLGNLTFLRNLSLATNRFTGQIPESLGHLRRLQSLYLSNNSLQGIIPSFANCSDLRVIWLDSNELTGGLPRDLPLGLEELILSLNNLVGTIPSTLGNITLLNKFICMFNSLDGASQAILNMSSLVRLDIDTNHFRGKMPSGIGSSLPNLRRFFIGGNFFQGSIPSSLANASNLDKFPGTITTSYNNLHGSLPNEIFRIPTINQVEFAFNNLNGELPTEVGNAKQLRILQLSSNNLSGDIPNTLVSLGDLELLQELDLAFNNLSAHVGDFGLARLRTDSTSVGNSDSTTSVAINGTIGYVAPECAVGGQVSTASDVYSFGVILLEIFIRRRPTDEMFKDGLSIAMYTEMNIPDKILQIIDPQLVQELGLSQEDLVTADENAARCLLSVMSIGLCCTKSAPSDRISMQEVSAKLHAVKDSYLRWY >Dexi2B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:2B:4144813:4146718:1 gene:Dexi2B01G0004560 transcript:Dexi2B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVWIGEGFVQCKKGEDNLFQAGERYFKELVNRSMIQPMEDNFDKFEQWFRVHDIVFDLICELSRDENFATTLGSREQQASLACSTRAKKIGMHHLDSKVRRLAVHNLHVQNLPEETMDKPEVLRSLNIVGSEIGNMIPLDSFKVCRVVYIHGCQVPIHLKHMGRLLHLKYLEIQDTLVPELPKEIGHLKSLQALLLNNIGLDELPPAVCSLTQLMCLIAEGFQRFPADRMGNLTSLEELRLKSVAGRSSTKDLVVELGKLTRLRMVTINFSEELEESLQKALVQSLCNMQELQELALYSKMLAPPGDSAWEGWVPPRKLRRLLISGIIFTRLPGWINNYRLPLLYFLSMAVYVVQVQDLDNLARLPVLSYLMLDGYSWPPGYTVGTGGFKNMKFCFVGTALKFHVGAMPRLEQLEFGVNAGHGSFEANGVPFKQIPTKDAIVDLDLGLDNLLSLEIVTANVNCLGATAAEVEEVEAVVRGQMEGHPNRPTIRLNRVYENCMLPDEDLEAQLQQRAEELIINISVLGWNDESDATFISVLRSFQRLQKAVISMDCAGASLCEVDKVEAALRHAADVHPGHPTIELIKINTEVTASI >Dexi7A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:7A:22373229:22374226:1 gene:Dexi7A01G0012050 transcript:Dexi7A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFLTCHHSFVAMDLSGSSTSTRGRGKNKRKWTVAEDDELVKVLYEISLDPRWKGDGGFKNGYCSLLETRLAEKLPASGLSAVPHIESRVRHFRTKYGAIEVMLNKSGFSWNDNTNMLQCEKTQYDTHCKYHPEAKGVYGIAFPYYNTLAAIYGSDIATGEGAEGLTEAVGNLGQELANEHGNHEEIEEDRMYRDTPVQSTDSASSSFKKRKINRKGKDYGSVSSDPLLDMLTEVQGDLKGVAKNVGKMAEAMEREAAIQEKSK >Dexi1A01G0022690.1:cds pep primary_assembly:Fonio_CM05836:1A:29301505:29309799:1 gene:Dexi1A01G0022690 transcript:Dexi1A01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRGSSAMVEGQPAQGSGATGLAAPFLLARQPRGPLSLAISSPLANAALPSPMGPQQQHASPLLPVTMACQQQHARWRADWRPAKEHAGGQRRSLRKRNSDIQPSKEECWLAAEPSLLAHDHALASPHRRHRPRGSPAIAAASSIICPDWIVFCDPREMYSTDGGGFHAVVGVGLRRAPHHRHHVAAIHQSLSSTRSRNAGDSCVWWIATAGCSDSRSSHPCRRATYASDAQRRRCAMAEAGAAAPLALARQRRPCQHCAGGPSTMAELPARQCFLAAPPCWAASSLAAEQAKGRAGLESHLAYALGKGLFMNSYGGGLSGFAGQARYHVGILTRAAPTPPTLSLYFLFSPSRTPSLPGRRLLPSMESSFVGLQSSPNKPKSATTDLESSLEFLAQPPWIEFPVLLPQVEVVRLLPEVEVVAPLQALATPARSSASRAAYSLLRQPRRLLAPPHAVPLDVAAHPHRPAPSRDAQAPHPHQISGDSPAREEEEEWRDKSSASSARCSGRSKTKKRGEREREGERENGWAPPGFLCHLGLSFEETHRRKPTAAAKMEDGEDSSFTGGFYTTNHDAMPSFIGTTTVTTARPLSPSDPRTSQTGNAPKFHH >Dexi1B01G0023210.1:cds pep primary_assembly:Fonio_CM05836:1B:28875641:28878172:1 gene:Dexi1B01G0023210 transcript:Dexi1B01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDIYVVDSLDRERIGKAKSEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRTWHIQGSCAVKGDGLYEGLDWLASTLKELQASGRLPSGGTSLF >Dexi9B01G0036800.1:cds pep primary_assembly:Fonio_CM05836:9B:38297557:38308403:1 gene:Dexi9B01G0036800 transcript:Dexi9B01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAGFPARLAATRPWAATAAATRVGVAGAEARSPLFRAVRCGRVPVPVKRVCFCSDDASGSGSEAEAAEGAEAEGGEAGEGENASSAIAPASLRPEDCHTVIALPLLQRPLFPGFYMPVYVKDPKLLQALVENSKRSGPYAGAFLVKDDEGTDPNIVSNSESESSIHDLKGKELLKRLHDVGTLAQITRIQGDLVVLVGRHRVRITDMVAEDPLTVKIDHLKEMPYDKDDDVIKATSFEVISTLRDVLKSNSLWKDQVQTYTQHMGDFNYPRLADFGAAISGANKLLCQEVLEELDVCKRLKLTLELVKKELEISKLQESIAKTIEEKITGEQRRYLLNELLKAIKKELGLETDDKTALSEKFRERIEAKKDKCPPHVLQVIEEELTKIQLLEASSSEFSVTRNYLDWLTVLPWGDYSDENFDVHHAQRILDEDHYGLADVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKLGRGHSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIETIPSPLLDRMEIISIAGYITDEKIHIARDYLEKNTREASGIKPEQVEVTDDALLALIENYCREAGVRNLQKHIEKIYRKVTHAYASLENNGSYYLIVQFIM >Dexi3A01G0023310.1:cds pep primary_assembly:Fonio_CM05836:3A:18990582:18991866:-1 gene:Dexi3A01G0023310 transcript:Dexi3A01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSSPLVLLLAAHCALLLALAHGYPPPSAAGSALSSTFYDASCPGAHNVVRRVVQNARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIQTEKTVPANAKSARGFPVVDDIKAALENACPGIVSCADILGLAAEISVELAGGPRWSVLLGRRDGTTTNVEGAKNLPSPFDPLDKLQEKFRNFNLDDTDLVALQGAHTFGRVQCQFTRENCTAGQPKGALENLDQTTPNLFDNKYYGNLVEGRAQLPSDQVMLSDPAAAASTAPIVHRFAGNQQDFFRNFGASMIKMGNISPLTGNNGEIRNNCRRVNSKGY >Dexi3B01G0033880.1:cds pep primary_assembly:Fonio_CM05836:3B:36663504:36663801:1 gene:Dexi3B01G0033880 transcript:Dexi3B01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGGRGVGFGGAGAAGVVGFGFGFVAGDPGLLCGPEFFGTQTATADGGATAVARRRMRRQARSGGGGVMACMAKGVGRNGRGDVVN >Dexi1A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:1A:26577282:26579400:1 gene:Dexi1A01G0019470 transcript:Dexi1A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAGLAIILWPLAVIGAFLASIICSIPFGAYAAVIVYQESSFFFGLSYVLSSVSIFDEYTNDVLDMAPGSCFPRFKYRKDEASSHGGSLSRHTSFKGKHDGKKAPQRVTSFKSSFDEYNPFKALLRSAKANSDGLILSDGFEITSDNRPKSKIFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRIKENLIRLPSLSEQKQAEIEAFARRLQGITKSMSRYPTAKRRFDVLVKSLSEELARTMGSQTANGSQVRKTKSGIVRMLSQRSVGKTTGIQGDDPEAQLTSDVYTE >Dexi5A01G0029210.1:cds pep primary_assembly:Fonio_CM05836:5A:32340174:32340512:-1 gene:Dexi5A01G0029210 transcript:Dexi5A01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKGSSVQFQDFLPVMARKLGVKALIQELCKGFQLLMEPSQGKITFQSLKRNASRLGLGDLRDDELLEMMREGDLDGDGALDQMEFCILMVRLSPELMEEEAHRAFDFEH >Dexi9A01G0036390.1:cds pep primary_assembly:Fonio_CM05836:9A:40844628:40845083:-1 gene:Dexi9A01G0036390 transcript:Dexi9A01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSASNAHSFDTYAAIAAGGVVFALARMYKLTVSACPQPQDMAHVLPSFSVAGDGPAVDVAALPVFVVHADEVAGDDATEVRDGERGRLLPRCGHRFHVECIDRWFGANSTCPVCRAVAVVGQPDDVEACKGGGPAPVGVVAQS >Dexi7A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:7A:29418390:29418829:-1 gene:Dexi7A01G0020680 transcript:Dexi7A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAIPMVDLAPFLTGDEAGIAHATEAVREACQTHGFFRAVNHGVPAELLARALELSAAFFALPQEEKAKVRPAAGSKAALPAGYGQQPAHAADKSEYLVMYDPKLGSNVYPAKPPGFRGTSEP >Dexi7B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:7B:13692555:13695364:1 gene:Dexi7B01G0006070 transcript:Dexi7B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSARRLKIGDAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGEKLEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMGETEALDMKAALESKGEVEFKVCTLGKDVTIKKSMVSINIEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKLEKFEVVAKKISKALTAAGVSHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVDVDEVASVVKEVMDGQSTWADIMWRYPAHTASAADEEDAEP >Dexi2A01G0024730.1:cds pep primary_assembly:Fonio_CM05836:2A:36460654:36461707:-1 gene:Dexi2A01G0024730 transcript:Dexi2A01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFPDGGHVRLRSRVRRKYLHAATDGIGVFLSPERASFNAAWRVHRVVRNGVPLVLLHGAAYGRYLAASEDPAPSDDRRRVVQGVYDDLEANGVMWTAVEAGDGDHHVVLLRHVSGRFLRANGRRKTCVTIDHRDNPSIMMHWEVETLKLQLDWPDILPPVPQVRCFLGCFHRRRIAAFPERTIRCIRADHLGNFNDDHGWTEFQFEGRSVSLLRWDVMSHVGYTTMCTRAGRYGRLTPLVTDLPRILLPMDIIVLTPYSPGENFA >Dexi9B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:9B:1288642:1290096:1 gene:Dexi9B01G0002270 transcript:Dexi9B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLITMEATLKGPKYECLLFDLDDTLYPSSVGINLSCRKNIQDYMRHHLQIEENQIAEMCVGLYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYHNLRPDPVLRSLLLSIQQRKIVFTNSDKAHAEEALHRLGLQGCFDGVICFETLNPCNGLSESQNCTLYKDETSANLIDLNEPVRLGSKSPILCKPSIEAMEAAIRIANIDPKKTIFFDDSTRNIASAKDAGFHTVIVGRSSLVPGADHALESIHNIKQALPEIWDGQDRSESDVVLASSAVGTAVVA >Dexi2A01G0031740.1:cds pep primary_assembly:Fonio_CM05836:2A:42466427:42466926:1 gene:Dexi2A01G0031740 transcript:Dexi2A01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKARGGGDDLLDSSDADSMGSSSTALSDLSISYGTEHVNSQEFVLDKYIDDLYEKRLCVSV >Dexi6A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:6A:28845073:28846986:-1 gene:Dexi6A01G0021640 transcript:Dexi6A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPPPPRLLIPKPDPDGPLSPQTPIPGALLPVGAPPPMLAELFEALHQELEPSPEDDASFSSCLRLSQRRLVELAAKLRANSAAAPPLSAPSPIPPPLPHRHEMDQSSAASGSNPSAAKKRARPAAEMVRVTSLGPADQLYYRALVRRARLTFEALRIAYQRQEPSAGARNRADLRASNRMLRAGHCLHREVRIVGGIPGVLVGDAFYYRAELCVVGLHAAPQAGICYIPGSLVNEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHYADQTLQRGNLALHNSYLYGVEVRVIRGHILEDQSPSTHRKVYVYDGLYRVVSSTFGPGKSGHDVCKFKLLRLPGQDELGSKSWHTAKQLKHTMDSRIRPPRYISLDLSKGSEVLRVPVCNELDDDRSPLLFEYTICPEFPMAAAKRQRGCHCATGCGSSCRCLRKNASGAPVYNEDGTLVLGRPVVYECSALCGCPMTCVNRVTQWGMKHRLEVFRSNETGWGVRALDLIPPGAFVCEYSGDVVVMDDNSGQDLMEDRSIIDPKRFPERWMEWGDASAADPSNMRPQFTKFAGPGYVLDVSKKRNVACYISHSCTPNFVVRGNEDERYPHLMVFAMETIPPMRELSIDYGIDG >Dexi9A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:9A:13224131:13226889:-1 gene:Dexi9A01G0018200 transcript:Dexi9A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFYYLVFGALAAVVAALELGKSGKDRVSTSPAFNSFKNNYILVYSLMMSGDWLQGPYVYFLYRQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCICYILSCFTKHSPQYRILMIGRVLGGIATSLLFSAFESWLVAEHNKKGFDPQWLSITFSKAIFLGNGLVAIIAGLFANFLADNMGFGPVAPFDAAACFLAIGMAIILSSWGENYGDSSDSKDLMTQFKVAAKAIASGNEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSIASRLLARKLKVEGYMQIVFSVSAFTLFLPVVTNVSFLVPPSSEKGGNISLGGCLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVSNKYTDETSFISRFVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSTKAVEMTTEDEPLNP >Dexi5A01G0029090.1:cds pep primary_assembly:Fonio_CM05836:5A:32266597:32266821:1 gene:Dexi5A01G0029090 transcript:Dexi5A01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLLRAYAAELIYMAIVTAWTKALGACWTADVRRAPCLLTPSLVHGVDGFITGPWRRRSARACLALASWPGH >Dexi2B01G0026940.1:cds pep primary_assembly:Fonio_CM05836:2B:35977633:35979487:-1 gene:Dexi2B01G0026940 transcript:Dexi2B01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCRVVQLLLSDASVILYIKKARVPLSDSDGVTISAEDIVAGDKELILSLLWNAKCTCNVSGTHPARWEKYSQMFFR >Dexi4B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:4B:3561690:3563884:1 gene:Dexi4B01G0004960 transcript:Dexi4B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAPHGDPRAAAGGGAPARGLGRHEYAVLALTFASYASFHASRKPPSIVKGVLSAEWPPFSGARGTHRLGELDVAFLSAYALAMFAAGHLADRADLRRLLGAAMLASGAACASLGAAYFLDVHALAFFVAAQVASGVVQSAGWPCVVAVVGNWFGHASKRGTIMGVWNSHTSVGNIAGSVLAAAVLELGWGWSFLVPAFVISALGVVVLVFLVTHPSEAGLEVQAMEVEMNEDGGEEVELLGEDKKEAQGDGDDDLVMEMGSQLPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIGGVLGGISAGFLSDAMGARAVTSVLFLFLSIPALIVYRTYGSISMHHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFLMLIVSISLAILFLIRLAKDEIVTKLSTRS >Dexi2B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:2B:29584835:29585134:-1 gene:Dexi2B01G0019440 transcript:Dexi2B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLRRGGATARLVAWESGASPRDLLRQRVAERERARRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMVAIRLLRLGAFPGFS >Dexi9A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:9A:2179051:2183681:1 gene:Dexi9A01G0004170 transcript:Dexi9A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding REREEEEVSGGAVAAASTHADAVGPSCQVKAKLAEARTAAAAAGEEEVRSESGARVRRGVWSLRAGDGGREAAARRSAAGGRTEGRHVDRRAARGPGVRVGGAIRRAVHTTFLLSPKNVPRILGASCSILKPRTLNLPFLCNHTLTTSPCIRSKKLFGFSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFMMNFLKSIASPLAGVLALHYDRPAVLALGTAFWALSTGAVGVSQYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGGDYYGLPGWRLAFISVAFVSFIIRLLVYLYAVDPRKTSPSHYGGDEDNERSHLVSNGILPPHSIWKDSWMAARSVMKVRTFQIIVLQGIVGSLPWAAIVFFTMWFELIGFDNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWLAYAITLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTEKIYGYNAKTIDLAHGSVDGAYALSRGLLTMMIVPFALCCMFYTPLYTEFKRDRENARLASIKEQELI >Dexi9B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:9B:1590229:1592844:1 gene:Dexi9B01G0002880 transcript:Dexi9B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPSSSLLLLVVASAAALSFTPATARIPGVYGGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGQSCGACFEIKCVNQQGWRYCHPGSPSILVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGSGNWMPMSRNWGQNWQSNAILVGDALSFRVTGSDRRTSTSWNVAPRNWQFGQTFEGKNFRV >Dexi6B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:6B:23437060:23437203:-1 gene:Dexi6B01G0016160 transcript:Dexi6B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTQIGEGASATPAPPRLLPVEEEEDQEEEEGTAAS >Dexi2A01G0033710.1:cds pep primary_assembly:Fonio_CM05836:2A:43889640:43892062:1 gene:Dexi2A01G0033710 transcript:Dexi2A01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVSNRQSFLNTSKWIDEVRTERGGDVIIVLVGNKTDLVDKRQVSTEEGENRSKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSASKEDMVDVNLKPTSSQSNSQQQAGSGCAC >DexiUA01G0025450.1:cds pep primary_assembly:Fonio_CM05836:UA:53687347:53689944:-1 gene:DexiUA01G0025450 transcript:DexiUA01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALVIVESPAKAKTINKYLGNDYVVKSSVGHIRDLPTSGSASKKSADSTSTKGAKKPKKDERSALVNRMGVNPWHNWDAQYEVLPGKEKVVNELKQLAEKADHIYLATDLDREGEAIAWHLREVIGGDDKRYSRVVFNEITKNAIRQAFEKPGELNIDRVNAQQARRFMDRVVGYMVSPLLWKKIARGLSAGRVQSVAVRLVVEREREIKAFVPEEFWEVDANVTTPGGDALPLQVSHQNDKPFRPENRDQTMAAVALLEKARYQVLEREDKPTSSKPGAPFITSTLQQAASTRLGYGVKKTMMMAQRLYEAGYITYMRTDSTNLSQDAVNMVRGYIGDNFGKKYLPESANQYASKENSQEAHEAIRPSDVSVLAESLKDMEADAQKLYQLIWRQFVACQMTPAQYDSTTLTVGAGDFRLKARGRILRFDGWTKVMPALRKGDEDRTLPAVNKGDELSLVDLVPAQHFTKPPARFSEASLVKELEKRGIGRPSTYASIISTIQDRGYVRVENRRFYAEKMGEIVTDRLEANFRELMNYDFTAQMEDSLDQVASHQAEWKKVLDSFFSDFTNQLEKAEKDPEEGGMLPNQMVLTSIDCPTCGRKMGIRTATTGVFLGCSGYALSPKERCKTTINLVPENEVLNVLEGDDAETNALRAKRRCKKCGTAMDSYLIDPKRKLHVCGNNPTCDGYEIEEGEFRIKGYDGPIVECEKCGSEMHLKMGRFGKYMACTNDECKNTRKILRNGEVAPPKEDPVPLPELPCEKSDAYFVLRDGAAGVFLAANTFPKSRETRAPLVEELHRFRDRLPEKLRYLADAPQQDPEGNKTVVRFSRKTKQQYVAAEKEGKATGWSAFFVDGKWVEGKK >Dexi2B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:2B:1399349:1404564:-1 gene:Dexi2B01G0001800 transcript:Dexi2B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSRQRPGHPSRQLACVPPICAPPPPLEPPASLEPPLHQPVSPRCQRAYPSPERRPRVAHEYEGDVPELIEEFLSHAGCSNGSCVIWDFETKGLAREFHDKDFTASITSVSWSKYGHRLLASATDKSLTLWDVSSGEKLARITLQKTPLCASLQPSSPTPSICLACPLSSAPLLVDLDTGSTTVLPISVSENSNPRAPNPRNKFVDGTPPFTPTAATFDKHGDLIYVGNSKGEVLIVDARSIQVHAVIPIPGGAVVKDIVFSRDGQYLLTNSNDRVIRVYKNILLVKGSGEEIRNISKNSSGYGSHYEKLKANGASCLTLSCELLDSITRTQWRAPCFSGNGQWVVGASANKGEHRLQIWEQEGPLVKILDGPKEAIIDLAWHPAEPTIAAVSVTGIVYIWAKEHVENWTAFAPDFEQLEENEEYVEREDEFDLNPREDEAEEVAEVAIDEDAEVDIETSEKDTVFSDVEDSVDEIVYLPAIPCPDVPDEQPEKCLESSSKLKDSNHSGSSSSMDAVQDGQAIPLASSPMEVNKSAAEDPVEGASSKRKRRLSLKGLELQQSEGKKAAPKSKPNNKSTKSSAKQMESGNNGNSFSSDNNATETDQVNIDI >Dexi8B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:8B:10886639:10887196:-1 gene:Dexi8B01G0008270 transcript:Dexi8B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSDDASSEEYVRPPPRPLVPVAHDKPASHPQQVHISAVGPHAMGITWITDDQSAPSVVEYGTSPEEYSASETGYHSTYQFLSYTSGAIHRVNIGLLVPGTTYYYRCGMAGDELSFRTPPAALPIEFVIIGMVTQKLFLLHFVEFSMAGVLLPLH >Dexi3B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:3B:936720:938775:1 gene:Dexi3B01G0001260 transcript:Dexi3B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFTSSVLCSRAVLPLRPTPLFLRRLPHPRIVSSSSSPLQPPPGMEVSYKFGPYKIDAREVFHATPLSYAMVNLRPLLPVGVRLEQYHKASSLTFAIQDGPEAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKEKELKEKLDLDIERKDRSMEEMAHEANEYRALFS >Dexi5A01G0023830.1:cds pep primary_assembly:Fonio_CM05836:5A:27813586:27814011:-1 gene:Dexi5A01G0023830 transcript:Dexi5A01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGTEEWRRNADTHKMSPEEVRAAGVEASMRPPGRGPGEVLHQRGRLPYGPGTMAVVGFGIVGVIGYLVLYQKARPGTPATEVAKVAVGHGDPAAGREQPEKHHTEGGREGK >Dexi3B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:3B:5059814:5062329:1 gene:Dexi3B01G0007180 transcript:Dexi3B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPAPSSSRTFSKPLPSPSPTLSSLSRRHRVSFSAAARRDLLRCGMKRSDLVAELEIAKDKQPHSRRANGIFWILLLNFGIYMADHMFQIREIKALYLYHASPTWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKCFT >Dexi4B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:4B:1632408:1633436:-1 gene:Dexi4B01G0002560 transcript:Dexi4B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGGSSLDLGLSLGGLTSQGSLTSTTSSSAALSPWAAALSSVVGDAMATRDAHPHPHHHHAAASAAALVDLDRAAMRASTSPDSAAALSSGASGGDNNSNKRDHRELERTGSGGVRSDEEDGGDGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAAPSPAAQPASSSPAATLTMCPSCRRVAAANHHQQQQCHPKSNAANVVPPSHCQFFPAAVDRKGQSSWNAAAAPPLVTRELF >Dexi9A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:9A:5809223:5809852:-1 gene:Dexi9A01G0009580 transcript:Dexi9A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPINPGGHPVFPAAKESGHPMPASSVRFDGFPAQPSTTPRWQAQTLRRASSYVGIEHDGTTMAAPAMGPVPALFKPPTPTLDFLRSLLDRSSSALAGDEEATAPPPAQLLALRVVVTSALELDARQTELIARKMRRLTGFVNLTVENVVDTSLIAGFVICYGTDESNVIDLSVKGQLAALKNRVDSIDQTTAHAHGHGHPHLHH >Dexi4B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:4B:1254671:1255552:-1 gene:Dexi4B01G0002000 transcript:Dexi4B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLALMNEKDSAIRERDHALAEKKAAIAERDMAFVQRDAAMAERNSAVVERDNALAALELARTNGFNVNSVNGFQQGSLNGTKNIHHHDQLSHVQTSPLQLADSPYDHAREMHISEAYPIATAPGSIGKGKKPRKNNFQASPLKRPSGVLRKTKKPTVGWKNGGMSGGGEDSGRASVMKNEWKDQDLGLNQVAFDESTMPAPACSCTGELRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNRRHARMGGRKMSGSAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >Dexi7B01G0009830.1:cds pep primary_assembly:Fonio_CM05836:7B:17305505:17306086:-1 gene:Dexi7B01G0009830 transcript:Dexi7B01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGALGVQGNAPAGAWSSGLCDCFDDVGGCCLTIFCPCVTFGRIAGIVDQGATSCCASGTLYLLLQAVTGMACFYSCCYRSKLRTQYGLTETPCADCCVHFCCEACALCQEYRELKARGFDMSEGMYTRASSYSCYHPCRSSD >Dexi1B01G0029110.1:cds pep primary_assembly:Fonio_CM05836:1B:33495697:33496273:-1 gene:Dexi1B01G0029110 transcript:Dexi1B01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPAADASTSFLSAALVEKLHRFNLASVQAAQRQREAAAASSAAVGGSAAMPIGDAWAAAAAAYDSGTPSAGEWGEGRFLEEQHVEQMIEELLDSNLSMEICY >DexiUA01G0014880.1:cds pep primary_assembly:Fonio_CM05836:UA:31106490:31108458:1 gene:DexiUA01G0014880 transcript:DexiUA01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAPAPDFSEVVIRARNLRTNAQFIPTKAFKARKVYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQFDPW >Dexi5B01G0027170.1:cds pep primary_assembly:Fonio_CM05836:5B:28767592:28768454:-1 gene:Dexi5B01G0027170 transcript:Dexi5B01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDKPVPTPASAVGGGGAPPGQPTTVASKVLDMGAAAVQSLLPVKQVKQHVCTFALYAHDPKRQLETHHYVSRLNQDFLQCAVYDSDASDARLIGVEYIVSRKVFDTLPAEEQRLWHSHAHEIKAGLWTCPRVPGLLEKPELDPLATTFGKFWCTWQVDRGDRLPLGAPALMVSPQADPAATVHPKLVRKRDDRYGFSTEELRAARADVEAPAEEHPGQADYWLRHRKGFAVDVVPHEMKCHAPFP >Dexi1A01G0022720.1:cds pep primary_assembly:Fonio_CM05836:1A:29354828:29355242:-1 gene:Dexi1A01G0022720 transcript:Dexi1A01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPTEVSTFIAVDVSMPSSYGVAIERRGIPHPNPMRLGYGLAMSIYYTNTAVKVFGCTQSGCMTEQ >Dexi6A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:6A:25671379:25673482:1 gene:Dexi6A01G0017810 transcript:Dexi6A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVARALAVALAVMAVLAASAAALNTDGLALLALKFAVSDDPGGALATWRDADADPCGWYGVSCSGGGGGRVAGVELANASLDGYLPSELSLLSELQTLSLPYNRLSGQIPVAIAALQRLTTLDLAHNLLSGQVPAGIGRLVSLARLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEYGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGGVKDEPRIPEANSGMSDPGAAAEVGRRPAKRRSSSPTVPILAVIVVVAIVAGVVLQWQCRRRCAAAAARDEEKASSSAKEKSGGAVTLAGSEDRRSGGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDGDSDGSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLPNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELHAHVSGFGLARLVAGAHKAAGGSSKKLGAAACALRGGGAVSYVAPELRAPGGAPMSAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMDLEASVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVAESLDRIG >Dexi5A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:5A:11279787:11280029:-1 gene:Dexi5A01G0014320 transcript:Dexi5A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCRRWRSGSGVTVRSATRPTPTSIRGAPYRPLNSVPRLLPCVRRVVLLIVGIASLAATPLPVSGDETVVVAPEYRRR >Dexi5B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:5B:6191738:6196764:-1 gene:Dexi5B01G0009120 transcript:Dexi5B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRATRPALSALFLDFSVANGMMDFGGRGEDMSSSLGLEPASIGATTFLSMAGEREPSPSAMEKENCERSSSKNNKNARVQPPPGFRVKKEVPSVDEVQEEEASGGRAAMAVDAAAAAKAEVVVRFDKDMLHCPLCTLPLKPPIFQCGVGHLACGSCHGQLSGNQCHSCDGGGPHCSWCWWYIALYLVLGQFLLQQPELPQVLRRRHGHGRSPHHRQFCFGRRHLADPRLLPPLGADSAPIWGRDARRWPADGSERRREAGARVGAAYGCGASVSYYQAAEHGAACPYAPCDCAEPGCGFVGSPPSLLAHLTAAPHSWPVDQVQYGETLRLRVPEHVSRHLLFAEEEDGLRVVVLAVGDHGARAVPVTVACVRARGAAGPQYTCKMWTNGAKAPATGKVESVLVDMEVPSAAAAGGAVVADDDDEEAMFLAVPRKLRRGASRQMLLSVRIDRVSGGRAAVLND >Dexi5A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:5A:4365846:4366437:1 gene:Dexi5A01G0005890 transcript:Dexi5A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAATPATSTIKLLISKDAQVVLYAEAGKEVIDFLLGLLAMPAAAMIKHTTTSNDKDSPLGALAILYDSVERMEPEFMHSPEARDALLNPSPAHPALAAGAAGWFPFLIQPLAPPPPAVKVYECSQGGHNDCYNYVAAVENTPCRKCSGKMNSPKELHVSSGGGHGEALEAIGLGQAPAAAVADTGGSRRT >Dexi2B01G0035290.1:cds pep primary_assembly:Fonio_CM05836:2B:42380970:42382379:-1 gene:Dexi2B01G0035290 transcript:Dexi2B01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKKYARYMRGMEAAELPVVGLKRLKKMLKKCPSHPTAVDAAGRCPGHCSVCDGSFFPCLLDEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTNKGGKSHGTLIQQGKDLVTYAIINAIAMRKILKKYDKA >Dexi1B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:1B:4809136:4810036:-1 gene:Dexi1B01G0005920 transcript:Dexi1B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHRLLLLLALLLAGATAALSEKVQIASPTTLVAIAPSSTTTTSRVINKEDKGTAGKVAGAAATEASTGSIKGAAATPSFTTTTARAGAGPTTTRVDNPMKAAPPGTSSSIYITTGARAGPTTTRVNKEEKGAAGEGTSSAISTTAGAGAGTATGNFGDDSKLVVGFNSSRVAAEVALFCRRGLHPGAPCCVAVVSYVDVAGIRRISNEPQLILARLNSTRVLALYNACGGQRALVAAACQVD >Dexi7B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:7B:18041937:18046361:1 gene:Dexi7B01G0010820 transcript:Dexi7B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMDISFSAAPPPPDAACAVVPAPSLSLVPTASVSSSPPQPQQAAAPAPAAVVAPSPADDKVLVSVEVLLHASSAARHGDVQAAVESVAHAYRFLIRMLEARSLSYVDGPVPIPADNSFLLENVKRIQICDTEFDGLWESLLYEVGLKQRLLRYAASALLFTERGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIGIRFSAISLIAIGL >Dexi6B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:6B:3069428:3070157:-1 gene:Dexi6B01G0003760 transcript:Dexi6B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLELPAEAAADELGFPHLEQLTLKGVIIRESTLHGIMSRCPSLQSLVLKCNAGYSHLRISSRTLRSLGVSVIDGHKFKGEIVIEDAPLLERLFQDAAAGIEG >Dexi6B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:6B:25991527:25994252:-1 gene:Dexi6B01G0019170 transcript:Dexi6B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAFIATKHSFFLQENLIKENKAKNSNGAVPAAQSSPPEDDDEGQADGASQDGAPEAAKKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENRLQAGIAFPTGCSLNWVAAHWTPNAGDKTLLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNSFGTLAFCRRYLDRLGETKYLMALKNLCDNNIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Dexi5A01G0034000.1:cds pep primary_assembly:Fonio_CM05836:5A:36184018:36186179:1 gene:Dexi5A01G0034000 transcript:Dexi5A01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDRFLRQLSSSNGGGGGGCGLQDQQDCGGGGRRGSRRWSKKRAAAAARGYGTKLQGEARKRVMVVVDESSGAKHAMMWALTHVANKGDFLTLLHVLPHSGGRGEEASSLANSLGTLCKASRPEILVVSLLRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >Dexi4B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:4B:21069759:21070314:1 gene:Dexi4B01G0018760 transcript:Dexi4B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLELLLGLISRSLSFCFGFGGGGGLLLTIINCSNPMRPSNPSHSPHEACGGQFGLMPTGIRGIGPGGKKGPPGPNNVSGGSGSGGRGILKGPSGPTNGTGPRTPRNRARAMASSRRTSSSMRHTSSRSRRSTSPRMIRSRHAAASNSNGWARGGGSPWKGPAAREPIVP >Dexi1A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:1A:24084900:24087360:-1 gene:Dexi1A01G0016790 transcript:Dexi1A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLPRSPPLVAAVTGAAMPPRRRGVAKSSPLPPSPPPLSSTPPLVRPLEAPKPETADHDDPSPSARRRLPLAAAAVEAQEEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPDADDGRLAFLLHNDGGCAASSSFVPAARAALCDYLNTAVPLADLWRQFAAADERFAEVAVRIGGGGARVLRQDPVECVFQFLCSSNNNIKRIEKMVWTLAGYGERLGEVGGFVFHRFPTIEQLACVSEQELRQAGFGYRAKYIVGTAKELQAKPDGGEKWLASLRKKELPEVATQYLLPELAGKSLTPKLSVVVADAFVTRFGSYAGWAQNVLFIGQLPAQKVVVAEVTNDNGTSTPMAEVTNDNGTSTPIKRKRGGK >Dexi3B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:3B:7216711:7219662:-1 gene:Dexi3B01G0010350 transcript:Dexi3B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMSKLLITPLPPSHATAADTSRRFLHPRASPAPSFPRNSARRRVAVAPYSLVSGRLFASTAFCAAQDHAGATGLAAAAEEEELDDLPFVQLSSDILHTELSLLKDDAPSASSSLLAALRRGDGEGDRRLGGEAAAYPAAMNALYAACLAGNVTEQLWNFTWPAAMATLHPSLLPVAVLGFFTKLVVFAAGPLVGDLMSSLPPILAYRSLTVIQTVAHWVSAAMIAYAFTLPRASTAAALLLKPWFAVLVASTAVDRLSCVSLGVIAERDFVVQLAGEGRPVALARANATLSRVDLLCETAGASIFAVLLSRNDPLTCIRLSCAISLSALPLLLFLGGAMNRLADGVFDHPTTPGSLERSEHGSTNAASTFSIKKKVEEAWATIRHGWVEYIRQPVLPASLAYVLVCFNVALAPGALMTTFLIHHGVSASVIGAFGGSSAAMGILATFMAPILVKELGILKAGAAGLIAQSALLGAAVLVFLAGPVSRQGRLLVFLGLIVASRLGHMAYSVVSLQVVQTGNPMGKAKLIGATEIGVASLAELGMMAVAVVARDAAHFGWLVVLSAGSVAAAACLFCAWLANPTDEVKRPFQG >Dexi2B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:2B:27233624:27240302:-1 gene:Dexi2B01G0016920 transcript:Dexi2B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEATISRRSALTLSLLLLCISLLASPSSHAQQQQPQPGAPVPVFVFGWQDYRSTFKAGDTAAITVRPLDFPDAASRRSSLSFWVSVNGKRGNSSYVTDVAAHLGDDPNAWSITFVPLRAGDFVALVAEERYSVAESYLEFGVLPAATVHPSASRASWMFDDGACVARGVEGVRGRTVELLYFHYNGWVADGRIGLEFVPNVAGDLLVHVHGDNRELRDSPLMLTVKPGVLNIEKSTCSWKHGINTLQIFSKLEIFIYQKDSFGNIVPEIHPFDAQVVETARNLSIPVNLKMEAVADGTQLLSFDVVQPGEFVLTVFDPQLNKRISDTVHKFDVFVGYCDGEKSFANGSGLAHSVAGSTSSFAVFLEDRYSNPSPVDTPRLHVKILAKNGTSYADPIISPGAISMSLSSVVKFEQRVKMSVENEVGVRLVDSFMNPLVSFESKLGFQLKSESTATPVSTTTFVAKEFFDNRNGSYTARYVARNLASYGICVLFEEKQLSPCPFEVNVLPDEYFSDVKDDSVSVWEGESVSFDVLSNDRIAGSKADIANSSSPLHGFVQQFNHVYRYTPFEGFFGNDSFSYTVSDEHNNVVTATVSISVICRPPQFVSLPIKLHVTEDTIGPQFGGFPGIKILYSDITENISVTVTAQSGNVSLALMLMKLQQLSDYVLSISRGGQTGKDLILEGKIEAINGALQFLQYLGNEDFYGDDVIALHVMNRYGVEVAQFPISVEPVNDPPVILAPTSVFFGRNESRKGYQIYNKSRDTFQFSIFDPDLQNFPGNKSHFSLVLSLEVCEGTLTLSLPASIIPTVEVKTEGFNYWRPIQTYVNIANHFVLEGTAIRFRGTVQDCNDAMQQLYYQEHVVSSFHEGPNHSTTLSITVDDLGSYGCYPDCSKMMGTPLSAAKTVRLVKTKHKSLRIDHSVVPSGANRASFRQRSLRSRKQELELQPVSRIRNNGNQDGIITTEKEE >Dexi2A01G0031490.1:cds pep primary_assembly:Fonio_CM05836:2A:42217802:42220132:1 gene:Dexi2A01G0031490 transcript:Dexi2A01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLMGVDLETEVELSPVAKAEAAVFSSSYSSPSTALLLQRKVVAWAKETGSPVTIRVRVAERSFDLHKDPLVSKCGYFSEASLESGDIELPESFPGGCEAFEVIALFCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQRRDQPGAAGARALVGRRWDAELVKELAARDLWIKDLIALPFEFFERIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFWATTDDEAVDGETDANRRAAAIMEGVIALLPVEAAAVAASGAIPVAFYFALLSRSLTLELSDQSQKRLREQVASNLQFARVDDLPLPEKETDRWSIAHSREVRAVESIVSNHVSAQRKGAEAVAELWDRYLLQIATDPKLRPERLSELIGIVPAGDRKNHNHLYEAINTYLVEHRGLSGEEKATLCGHLDCRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTVCSDSFRCMHSGELVPGAGAYTPSPGCPTTIPTSQPLSSSSPYENHHHAPRDAKLRARDDASSDYETASFRIQALEKEIISLKQTLQRHNTLKGSARRDGCGKEPSFRVATEANAAAAIKRRATVSGSCIGSMRWGSQRRCASRIMRVFTRLAVLGRGRSSRGKQSSKCKAATEQLNCM >Dexi7B01G0022780.1:cds pep primary_assembly:Fonio_CM05836:7B:27605476:27607667:-1 gene:Dexi7B01G0022780 transcript:Dexi7B01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMALEALEKLEKSVDTLIVIPNDKLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQRSLLADPKGARMVEAKEKAASLAHKAAGAVVQPAPASTWSRRLFT >Dexi8A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:8A:30663044:30665401:1 gene:Dexi8A01G0018360 transcript:Dexi8A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGEPFSPSAFLDLPPTPSVDDVDGEDPAALPDDLVLPYISRMLMEEDIADDFFYQYPDHPALLQAQEPYAQILSDSTSDLSSDAAAGASFSSGSSDAATSNTSDGSGTFTLSPSSSDIPVFANATWPYDPLELTKLLAAGAASAAVCLSDAGEASRPEQAAGSEGEEHGVSSVLFSGQNRVMFSGQNRVNMDMVNQAFLKGMEEASKFLPDLPTSKSVLMDNVNAAQAKKKETPRMFQDEKSASNGKGRKNRNRWEEDEDETVTRSSKLMAPEPEETGDLVDKMVYEGYLMSLENMKSLRITMGTEAKNTNTTNTKKGRKGSTTEGEAVDLRTLLIHCAQAVSMDDHRSATELLQQIKRHSSPTGDANQRLSYCFAEGLEARLAGTGSQLYRTLMAKRTSVVEFLRAYQLYLAVTCFRMTAYRFSNMTITKAIAGKKKLHIVDYGVRYGCQWPNLLDYLANRKGGPPEVRMTCIELPQPGFRPTARVEETGRRLSDFARQRGLPFKFLSVTAKWETVSVDDLNIDTDEVLIVNSITHFQNIMDEGVDIYSPSPRDLVLNNIQKMRPDPFILSVVNGSYNTAFFVTRFREALFYYSAIFDMLDATAPRDNEQRLVVERDMIGRCALNVITCEGSDRVERPETYRQWQARNRQAGLRQLPLCPDIVNVLTDKVRSQYHKDFVIDTDRHWLLQGWKGRILYAMED >DexiUA01G0011480.1:cds pep primary_assembly:Fonio_CM05836:UA:23033186:23036619:-1 gene:DexiUA01G0011480 transcript:DexiUA01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMVELEGRPRRPLMLKEWMELESSAELSRDGFGCYPRHLAAELRRSASVRRGNADVIARFSAAVRAALSRPPLPPAGRERESAAALSRSLSRRLRVGFWKKRRGEAEETDRPVASCSATAASSGRRDPTASSSPAMSPRRVSWEGRQVGGGGAGASQQLLQRIRRFEQLAEVDSSDVDDATTTTEDASCHVEELGSNSAGGDEDESEGARGLLGLQEATSPSPCDAGQRFRGLLRDFFREGLSSSCHDGDDPDVERSLLETARAWLDGRHGALRPDGKAEVEEIERLGRWRCFREDEQELLGCDVESDIFLTLMEELIVDLC >DexiUA01G0014350.1:cds pep primary_assembly:Fonio_CM05836:UA:30481490:30481997:-1 gene:DexiUA01G0014350 transcript:DexiUA01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFIRAQSEIHLQDINETQAAYEDLHINVSADSYDKVDAAVALIELLLAPVSVKSAATTVSSTVTSDVVNPGQSTTSVPACLHIQVSLLT >Dexi5B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:5B:5286210:5286963:-1 gene:Dexi5B01G0007780 transcript:Dexi5B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSETSEPEHPPLCSPKPCGNLNITYPFWLEDPEHPPCGSPPFQLKCNTSGAFLTHSMYQAYRVIAIFPQNKSLHVVDENLPLAAGCPAPCFNLSLATIGLGAFAVSKANSELRFLSKCDDETLPEVLPGFRRLNCTGDDSFVGFGRRFGSSGVRYRAIPPGCLVSVVPVLPVLVADRHDYVASMRRGFLLEWTAVSGDCSRCTASGGECMYPDNGVGFSCNCPDGIHYPTSCGRIILN >Dexi5A01G0038140.1:cds pep primary_assembly:Fonio_CM05836:5A:39211824:39226544:-1 gene:Dexi5A01G0038140 transcript:Dexi5A01G0038140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRRKPENRLALSSSPSSCCFNQCTQQQQQCAAYPYNPVTWRCAAARLAHTRLVANTTDGANPLRQVSVRAVAACAPRKLLARLPRDAAGVAGLAASGLALPAQVAASQRVAGKFLLCLPRNAGGEGIAIFGGGPLFLMTSTAPPVEVASDFTSSLTYTPLVSRRGSSSYYLAVTAIAVDKAPVGLPKDALAGGGGVVLGTTAAYTELRPDVYWPVVGAFDRALRRSWNNTKRVAAVAPFELCYDSKTLPGPTRIGWLVPEIVLMLEGGRKTNWTFGGLNTMVDVNGFTAACFGFLKMKPEKDGGYGGKPAVVLGGFQMEDHVLQFDLEKRRLGHALVLAPWRTLEGTSSALGVTLDKTTTTKCKAYPYNPITGKCAAANLVHTRLVANTTDGKTPLQQVSVRAVAACAPPSILASMPKDVTGVAGLSAAGLALPAQVAASQGVARKFLLCLPRRGEGVAIFGGGPLFLLPESSMGDLTTALAFTRLVTRKDNPLYYIPVEAIAVNNAPVTLPANVLAGGGGVVLCSRVSYTMLRPDVYRPVVDAFERALARSDAKVSAAAPFELCYKSSMLGNTRLGYAVPDVALVLEGGKSWTFTGSSSMVDVDGQTACFAFVEMKGVKSGDPSAAGAVVGGFQMEDHLLQFDIEKMQLGFAKNHIGHEAVKNASYHPPGCPRTGYGVADEEDRFRCKCTAHPYNPFAGDKSATGDLTRVTLSANATDGHNPLRPVSFTAVAACAPPSLLANLPAGAAGVAGLAASRVALPAQVAREEKVPDKFLLCLPRSSGDGVAIFGGGPLFLMTVTAPPVEVETDFASSLTYTPLLSRRGSSSYYLPVNAIAVDKEQVKLPAADTLAAGGVVLSTRAPYTALRPDVYRPFVDAFDKALKSQSNSKRVAAVAPFELCYDSKTLPGPTRIGWLVPDIVLVLDGGKTNWTFGGLNTMVDVNGFTAACFGFVEMKVKPEKKGGYGGAPAVEIGGFQMEDHVLQFDLEKRQLGFASYHPPDCPRTGHGVADEDDPFRCKCTAHPYNPVARRSASGDLTRVTVTANDTVGHNPLHPVSFPAVAACAPPSLLANLPAGAAGVAGLARSRVSLPVQAARAQKVANKFALCLPSGGNGVGVAIFGGGPLFLLPPGSPDVTARLAGTTPLVRKAANPGYYVSAKGGVAVNQEGVQRGPLVVGLSSRVPYTELRSDVYGAVVKAFDKATAGRKRVTPAVAPFELCYDSRELGSTRLGYAVPQVDLMMDGGANWTVFGGNSLVQMDDNTACFGFVKMPPEEEEKAAAAVVIGGFQMENNLLVFDEEKQQLGFSGLLFGPPRIRIFRRFEINGSHPAPGFSYLLHAYFLIRLTLTRLHHFCRRPPPPVSAQLPRRSLPSSVIPHHHRLPIGSTRAPAAAAIANQLKIDAHVTSTGSTRGPPLPAVPPPLCCHLDNLHHRPASYPKLPLCHCYDDAGGATKCAAHPYNPVAGGSCASGDLTRVTLSANATDGKNPLYPVTFPAVASCARESLLANLPGGAAGVAGLARSELSLPAQVAATQSVARKLALCLPSGGNGVAVFGGGPLYLLPPWLIEITSSMGSTPLRRYQDQPGYYIWINGININQIPVPLLGHNSNYNVNGGEIVVGFSTTVA >Dexi5A01G0033400.1:cds pep primary_assembly:Fonio_CM05836:5A:35794277:35794594:-1 gene:Dexi5A01G0033400 transcript:Dexi5A01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQRQRGSLFIRACLVGLVCTLQARWPYAVAAGAQRRWEAAMSRHGMTAALASAGGDRASQMASGLAGAGSTEAQRPPDGINHRGRAGGDGRCAELSVHVHVG >Dexi6A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:6A:7961544:7964729:-1 gene:Dexi6A01G0007880 transcript:Dexi6A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRAIAAALLLVAVLCGGASIRRSEAIGVNWGTQLSHPLPASTVVRLLHDNGFDKVKLFDAEEAILDALKGSGIQVMVGIPNDMLADLAAGGKAAEDWVAKNVSGHVHDGVDIRYVAVGNEPFLDAFNGTYLNTTFPAMQNIQAALVKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYSDPNFPLDYAFFQGSSAPVVDGDVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPTDGDMNANLDYARRFNQGFLTHIASGNGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFNYDGTPKYALSLAGGNGTTTLRPARGVKYLDKKWCVLKPSADLADQKVGDSVSYACGLADCTALGYKTSCGGLDAKGNVSYAFNSYYQTMDQDDRACDFKGLATTSSVDPTVGTCRFIIEIDVGAAAASGARGGVAGGVASVLAALALMVMVL >Dexi7A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:7A:20437550:20438127:-1 gene:Dexi7A01G0009420 transcript:Dexi7A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMSSEKSRSKAMELVAKADGVSSMGVTGGDKDQLEVVGVDVDTVCLVKCLRKKLGHADILKVEEVKDKKAEEKKPEEPKIIVDPPPYYNYYPGYGYYYHQPAPWWYQC >Dexi1B01G0031390.1:cds pep primary_assembly:Fonio_CM05836:1B:34990787:34993406:-1 gene:Dexi1B01G0031390 transcript:Dexi1B01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSRRGPGGARNMDDDNLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDYLNVQVHACIGGKSISEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Dexi1A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:1A:1001886:1003046:-1 gene:Dexi1A01G0001520 transcript:Dexi1A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQHAMRAATKLPSVAIVHKAKPHAASTSTPPPPPARRPANPAKPHRKPHTGPKPPGALAPASASGSDDAAAPPATQEERRPIRTPADLAAAIRAAADADIDAAMALALNAPAAIPLPPFSLALLLRRLATRRSVAAARDFLQKVHPDPSTAPAPKGALLALADAVCHHGDPREAARLLPVLADHGVRADAHLYNALVKAHAAASDPAGALAVLRRMERDGVVPDLVTYNTLVYTLARAGMVAKARTFLDKMAAQGQFPDVITYTSLMNGMCIKGEALAALKLLEEMEAKGCQPNDRTYNTLLMGLCKNKKLDKAVEVHKSMVKAGMKMEAPAYATFVRALCRSGSVADAYEVFDYAIETKSFTQVTAYSELENSLKWLRKMKS >Dexi1B01G0027310.1:cds pep primary_assembly:Fonio_CM05836:1B:32055727:32060345:-1 gene:Dexi1B01G0027310 transcript:Dexi1B01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKDKLLARLQVGTTATSTASAPSFDCSRPSRIASSRSAKATEICQIRQPWSHQHPYLYHHRDTSELKIDFTCYDHPVVLTVEEQAKYVGHLGGALSKNLLLKDKKHRLYVVSALAGTKVDMKILSQRLGLGKGGLRMAPEENLLQVLQVPLGCVTPFALINESASAVSLLLDEALSSSNLDKFLNSIGKQPAYVNLELAPAVGKDSPPDLAHLVPSGVPNSSEQSVDKVTSPNVPHPNNIPKETEVKAKPKVQNKGAQMSQNKVDKPTDGTSVDKFVNDVFDIISPFLSEALQKLDVKKEELPSIIDSLRGRTAPDLESRTTILKNASYTSGFHAGFETMLNRGLNGRASRN >Dexi4A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:4A:4961881:4970516:-1 gene:Dexi4A01G0006840 transcript:Dexi4A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDGCLQSFELYEAESVSSLTPDHNAPLRRAGVAVALKFYILGTNTDKTLWRLLKIDRMEPSELNVDEDSTVHSQSDYIDRLKILDEDHRSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRKIGDIFGHPVYQVTKTAMIELSNSKTRPKLTNSKDENRYKKLLQTIDLRKDFFFSHSYHIMRSLQKNFSDPQEGWGLYDTMFVWNEFLTRGIRSILKSTLWTVALVYGFFKQDKLAICGKDIMLTLIARRSRHYAGTRYLKRGVNDEGRVANDVETEQIVYEDVLGARQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRKRYGNPIIILNLIKVSTLKSTNALQVLLKVAFEALNLTEYFYYQASPAQITENFLNLTATLKNGFGPHVCDDNNNCGNADYVDDFDDISQDDTCGSSDPGNGIAGDNSEIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFEPQVGKPALWEPESGDEHVLDDETRSKAISTPDVNISTVNALSDGDVSSGTMDNQTIEFQAQGFSKDFVQWVKQGEAFWY >Dexi5A01G0033200.1:cds pep primary_assembly:Fonio_CM05836:5A:35572622:35573655:1 gene:Dexi5A01G0033200 transcript:Dexi5A01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPFQLIAALLVAFLVRCHGGGIAVYWGQNDGEASLSDTCASGNYEYVILAFVYKFGKGQTPQLDLASHCDPSSGGCTGLSDDIRSCQSSNVKVLLSIGGGDGSYGLTSQGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGAKYWDSLARDLKNMGKNQLGGKGVLLSAAPQCPFPDEWDNGAINTGLFDFVWVQFYNNPPCQVSAGRGAFMDAWKQWESMPAGKIFLGLPASKDAAGTGFVPADDLNNNVLPLIRDSPKYGGVMLWSKYYDDRTGYSSDIKSHV >Dexi2B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:2B:24129816:24131761:-1 gene:Dexi2B01G0014450 transcript:Dexi2B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQATSSTVLIIVLILNTLPAPTPQPWAPVANTQETAYQINDISSDYDPFAGHRSSWLPPMDIRSGHFGIANTTEPSVLLLLLVSLPSRHDQPAMDFLHYGSNNTKVNDRLLFWCTRSSFDSERPIQPADLCPCGPRWFSHRELAAATSGFSDERKIGRGGFGPVYRGFLRDQGCDAAIKLHQYPIILNVYALIPRYKIALGVGSAILYLHTECDHLVLHGDIKPANILLDGSCNAKLGDFGLARLIDHGSDSTSPTTQVVAGTPGYMDPELVTNQRPCPESDVFSFGVVLLEIACGRRPTTAQPTGTPVLLLNWVRDMYCKNSLLGVADRRLDGEFDGWQMRRVLVVGLWCTHQEQSERPSIAQAMALLRREDAELPVLDLVAHDPDAVRSLEEIAYGDLSEGGSASEGSSTDTAYHTSTDSTCLLE >Dexi8B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:8B:17162122:17166990:-1 gene:Dexi8B01G0009630 transcript:Dexi8B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPEEVVEQILGYVTSHRDRNSASLVCRAWYHIDCRSRRSVLVSNCYAVYPERIHVRFPSMRSLSVKGKPCSDDFNLVLAGWGAAADPWVDSCARMCPGLEELRLKRMVVTDECLKLIAGSLTNLKSLVLVSCQGLTTAGLASIATNCRPKLEDLGTGSSLVDRIGDEGLKVVAISCPDLQELRVFRAKNCPQLTSFRLRIHELRSADAITGQPLDEGFGAIVQSCKLLKRLSMCGLLTDCVFLYIGMYAEKLEMLSVRSAEGTDDSMVYVLNGCKNLKKLEIRDSPCFGDTALLAGVGKYEAMRSLWMSSCNITLGACKTLAASLPSFNVEVINRRGAIIDGSNDTATASMAKVENLYLYRTFSGPRADAPGFVST >Dexi6A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:6A:22307916:22308780:-1 gene:Dexi6A01G0014960 transcript:Dexi6A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPRYAAAGRIHSTSFVCTIRRLIEDGDLAISGSSAEEVARALRNHRPDLRRKKLKPFTAAVRRVLSTIPSAPSDSDDDSASSRRRHQGAHSTVSSTTTSLSDESAAHPPTPPHDFNAMLRSQYASQTSKQNPGTNHQQLEIEMARRLITSPEAPAVSEGGVGNNEDKWPSFDDLGGMEQVIEQLLVEVVVPLCSPELPHYLGVRPVSGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPDIVSGVSVLY >Dexi3A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:3A:8458806:8460035:-1 gene:Dexi3A01G0011680 transcript:Dexi3A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAETGESEMLTTPGGGCADALCEDCLASILVRLPSASDVLRCRAVCRSWRRVATNLLFLANHAAHRPIQIITISDSREVSATPLSVVDHPRPRRLLFHRTQYGPSVSRSSAVLFSLDGLLVLTQRPGIYVICNPATRQWTRLPVLGPDETRPRRFDAAACGFYWHASSGEHRLLCQVRRNWAHDYNILSAGGGGARRHGRRAPRPANTSRTIRYGAPVSCRGVLHWLDHPEAEYTGMMLAFDTASEAFRLMPLPPEQLAGNNTARTLVELDGELAMAVMQHGVSSMAVWDLRDYGEAAEVWTLRYRVEVPMSSLHFGDITDITGASSRTFLMFTESLVPQAFFLGPPSPRVAHIKFSN >Dexi9B01G0040780.1:cds pep primary_assembly:Fonio_CM05836:9B:41298389:41301037:-1 gene:Dexi9B01G0040780 transcript:Dexi9B01G0040780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRKPPVAVAEAETTAKLQKEREKAEKKKEKRSDKKALQSGETSKHSKHSHKKRKHDDASTAGQEPKKVSKESVEQLEKSGLSEEHGVPCFSQTVRDSPESSQDSRNVVRIKIKSNQDSQAAALEKPRVLEQPPAQQMGSGSLLSKQNSIQHHSRVNARSAGAQQKISSVSQEVQNRVIAEPPAKTMQGVVPNPAVKVTQPVDPQLSVKAPVGRSDLPPKFLASMEPSPARAMGRSGPHPVKTTQRVQHPPAKISQKDNQLPSEDILRKSPAVCTKVEQNEFRSPAVRPLEALQPPLPKKTEELSALKQQQEPSVSVPKEEACFSGRNAEAAPVKEVKLSRSDRKKIRKAEKKEKKYSDLFVTWNPSSIDMQGSDLGEQDWLLGSTRNTDASMTCRASDCSVPCQSMVQQPLLQPRATFLPDLQIYQLPYVIPF >Dexi9B01G0044710.1:cds pep primary_assembly:Fonio_CM05836:9B:44387294:44390821:1 gene:Dexi9B01G0044710 transcript:Dexi9B01G0044710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVIESMGSSCSRPHSLNEAEAAENAKSADIDRRILQETKAEQHIHKLLLLGNANLLELRGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKVLYDGAKELAQVEPDSSKYVLSPDNQEIGEKLSEVGAKLDYPLLNKETVEDVRKLWQDPAIQEDVLHARVRTNGVVEIQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPTAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGA >Dexi2A01G0035820.1:cds pep primary_assembly:Fonio_CM05836:2A:45343266:45343945:-1 gene:Dexi2A01G0035820 transcript:Dexi2A01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSGVAAGIVGGGKKPFQLARSLTYHHHQGHRPAAARWRRQQLADEPRAQRPQAVVLYTTSLRGVRRTFTDCSAVRAILRGFRVAVDERDVSMDAAFRRDLQALLAVRGRAFALPQLLIGGRLVGGADEVRQLHESGQLRRLLDGAAGQDPAFVCDACGGVRFVPCTGCGGSRKVFVEEEDRVVRCGECNENGLV >Dexi6A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:6A:5413366:5413698:-1 gene:Dexi6A01G0005900 transcript:Dexi6A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQETTIHPATAATTGGGTGHGHGGDWWSTPVSCSPDHQLLPGFAGWSRSGANAASEESLGSNSLATGGSSITFQEPAAGVMPQPATGLAAGWNHPYYL >Dexi2A01G0035640.1:cds pep primary_assembly:Fonio_CM05836:2A:45207287:45207796:-1 gene:Dexi2A01G0035640 transcript:Dexi2A01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAAILQQAAVSSSPPPPPSPPAMGRPTSCAYTLLQLKDCEGFLSTATGLSGAPESCCGPLRALLGTPESICLCHVYGGGINAELGINIDPVRLVLIPIVCLAIIPPQLAYMCFVGPVPPIHQAPTPPAPAAIRP >Dexi9A01G0043000.1:cds pep primary_assembly:Fonio_CM05836:9A:46546123:46549794:1 gene:Dexi9A01G0043000 transcript:Dexi9A01G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSSQSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPPMVQPLSPTDHPLGPFQCQGPCNDCRRNQPMPLASPTSADATPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTVSTATSNSAPEPRAVPAPQERAKEQVIESFDIFKQIERSI >Dexi9A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:9A:1599094:1601208:1 gene:Dexi9A01G0003030 transcript:Dexi9A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTIFAVTVAILLALARSRSSSAAAAATEFVFTGFTRDNVTANGAAVVTPSGVLQLTNQTNEVFGHGFFPTPLSFKDASNGAPLSFSTTFVFAITPRYPDAHGHGLAFALAPSPTMPRAIAGKNLGLFNTSNHLGDGRSEVVAVELDTAMDEEFSDIDANHVGVDVNSLKSVCSKSAAYVEAGNPTSISLVSGDLLQVWIEYDGASTRLDVTISPAGVPRPAVPLVSCIVNLSTVIADDTYVGFSGANGAASSSHYVLGWSFRLGGRSSPDLDVSKLPRPPSSRSKTAMHPQLLVSLILLAVVALVLISAGATLFVTWRRRFAEEQEDWEIEYGPHRISYKDLHDATRGFRDVIGSGGFGTVYHGVLRRSSGGAAAVEIAVKKVAHNSRQGLREFVSEIASMSRLRHRNLVHLLGYCRRRGELLLVYDYMVNGSLDKHLFVVDGDNNNYKPALSWERRAKIIRDVAAGLLYLHEGWEQVVVHRDIKAGNVLLDADMNGKLSDFGLARLYDHGGDSTSTTHVIGTFGYLAPEMVKTGKATPSADVFAFGAFLLEVACAKRPMESLSSNENNHNNNGDTAAGLVDDVLDCWKAGRIRDAMDPRIGKCDEEDVELVLRLGLLCSHPDPRCRPTMRQVVQILEGAAPVPETPPEDLGSSGRIFGHCETFDEFVDVFSTTMTIEMATATPEPPSSRSSGEHQQLISG >Dexi2A01G0033830.1:cds pep primary_assembly:Fonio_CM05836:2A:44023171:44026655:1 gene:Dexi2A01G0033830 transcript:Dexi2A01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFLLSPLAKLFAVTMSTYPMFNDRPIDQWMVTELKDELQRRNLPDSGLKDDLVKRLFEAMHDNILDGGEKTNDGTPSEQLKSIETLVSVDASVNQASMGEHIDEGASEVTKQGTDLVISVTEACDESMLATSEVTQEAIVYTAEATQTSLDAVAEVDTTTTDEASGNGLESASSGNARVEEANPRSEDHSDTTEKTPEDDTNKKMGVDDVPSDLNGGGIKLGLNMHRKILEMKDVPAPLDDVILHGDPEDVDAVAAAEPEDGISKKMAIDEVPSDVAHATAKLGVKVDCKTEQDELQALPDAIELLADPKDADVVAAAENMIAKDNFSVNTLMYGNGHRDPMFSNGDTKPFLCREKDQVSEVNPDLDSQVKCVSIFNDNVSTNEKNDVKGNLNADDYDLELMAKQEVFKPSSTIPSPGDHLQVLDVSKELHKNGTSLLELGSTSNTDLDREKESPDGTSSEKLNFDRCSGDESVDADVAESRHTNSNSNINSVDLGGKTEVTSEHVLKEVSLLDTAAEEKPPSPAEKRKLEAPPAQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDHIKTHCYVTYSSVEEAVATRNAVYNLQWPPNNHSYLIAEFVDPQEVKLKLEAPQPSQVPMSLNTATTPEAAAFQQSNANQALPPPHHAASLLPTPAHLGMLPPTSGPGTSREMLPPPRKLEPARTLDLFKKTQAYPRIYYMPLSEEEVSAKLSARNNDATVVVMVRLSSLLMADAISCWSSMKY >Dexi2A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:2A:31251783:31253348:1 gene:Dexi2A01G0019080 transcript:Dexi2A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVHLYLHRSLLLSSSSSPPPPRLTLSLPRSAAASSAAAPAPPSVSLSPNHSVADVFNGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAALLPAYLAHLAAAADHLERSSRARRLHTNAASPRGAGAAAWASVPFCHPATFDTLALDPALKARLLADLTAFADGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVTTNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRSERLHKRRKLHAAAYDDDGDSDSDSDSDDDNNGADSHRGNKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVEGIDPALLRPGRMDVHVRLDACGAHAMRELVQRYVGVGGDHEMLDAAEDSIRGGAEMTPAEVGEVLLRNRDEPETAVTELAAELKARRNAADDLQWEDSAAELSDGSPTKKGRKGLGWEGKVRILGRLRSLTKSDSGRR >Dexi7B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:7B:778495:785465:-1 gene:Dexi7B01G0000210 transcript:Dexi7B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWISGSRCWIPLLDPRLPPLDPTALATWTPDQAEEARSGGAERSCEQGVREAVKMLCCSWSGRRHCISSEKASKELGVGKMSSGGTSSANAAASSASASTGRGRPKRKNAPGNRSDPGWEHGTEIDSKLKTVKLKVHMQLDRFKSAKGLFGDAIAVLTRSKKTPADWWDSYGDECPELKRFAIRVLSLTCSSSGCERNWSTFEMVHSKRRNRLHQEKMNDLVFVMYNLKLKERQLRRDSKISYDPDDVPSDDEWITKKENPALPQDKHWLRNLDRIAQRTANLTLEDDDAEAEVETMVRDIDESFGGGEDDNEHDDHEDNEDNHDDDYQPFDEDAPSRHEDSTRNPNASCVGSSSGPSDDIIPDAAVNVDHFW >Dexi4A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:4A:2336091:2336546:1 gene:Dexi4A01G0003220 transcript:Dexi4A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRNHLTPTCWWVTMAGFALLTVVNSGLAIYRASGDLASVLFVVAASYAALLLRGFERAPPGRAVWLLTTLLTVTFSPRAGDGHPMERQMVAALTMVAFGVMTCDTALAIHDARGGLGSAAFVLVAYAALLALTFRFLRGSTLIL >Dexi9A01G0035440.1:cds pep primary_assembly:Fonio_CM05836:9A:40104796:40111150:-1 gene:Dexi9A01G0035440 transcript:Dexi9A01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPQNPSSGDPSDASSLTAAALDAPARAWRSLVARLPPLPDSSGLLAAVANLQRRYFGVRPRRRRRRAALPLPLRPAAAHSARFTYVLSYIFYFRIAGDMPKAFAILEEVVQHTLTNLHSIHKSLLFWQAKAEVHSEVERCREGLTANSDKSLHTLFIVLNTTFSKLEVSLRNAGEVYHTYGQDELFTLDGNSYELFEKLPEVDVESQEWTEELSTDGISLIYQNLQKLDSFLSSQIISIRDELFETFKQRDKGVMENQEVQLTEDSLRRMLVAFCEKAEARKLPEDSSVQAMLEILAKRYEKELIHPIQNLFTGELARAMLIQIQKLKLDLESGLLEMDQILRANAINFAVLAALPAFGLSLLLLMLLRTWIQRDHGAEGRGNIARCQRRLLLVDVERRLMEFQYYRDNGMEEEALCKFGLVLYTLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMGTTDKMVVVSRLKWMYNCLLPFSSSRLPRL >Dexi3B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:3B:2467006:2472237:-1 gene:Dexi3B01G0003650 transcript:Dexi3B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQQARALRDALERSEENTRLLRPPRLRDRRLRPPRSGILNQVNNLLTMSALNIEEEFRQLMGTYSYRSKLKILFQQSETGTETKSQLADVITKIMQSLQNNLNEKSKQYKDPALSHIFLMNNLHYMVMSVRRSQTKDILGDDWIQRHRKIVQQNASQYKRVAWAGVSVSSAMTCIYATSLFYYLILHTLTIQTTGGPGSPTPPVVITSGVSRNRIKERSLVQRGKNPHKYIKHSPEALDQLLGQFFQGLQVGEQKR >Dexi9A01G0046840.1:cds pep primary_assembly:Fonio_CM05836:9A:50068655:50078629:-1 gene:Dexi9A01G0046840 transcript:Dexi9A01G0046840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGWAPARSVERHGAPRGGGMRSKSVAPVPRRPSPSPARSRPAPENGGSTDSCRVRVAVRVRPKNSEELAHDADFDSCVELQPESKRLKLKKNNWSCESYKFDEVFSENASQKRVYEVVAKPVAMKVVNTIKLKEEVDYENLYKKMEREVDQLTSEMERQQKLIKSEKILVDKKLKETERSFHDLRVTSSMQIEEVIYEELKSTQEKVQQEIWQRQGLEDQILRLKQTTSDNYAEESKTSCGMVRSGSGLGNAAFVSKSGKLRETGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEGPEFAPYINQERIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGLNQGVIMSKGGARLLANVASKTDDPQTLRMVADGNTCDIIASGGIKELLRISRESPREDARNLAKKALDSNPAFLKEVQ >Dexi9A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:9A:5011127:5013519:1 gene:Dexi9A01G0008480 transcript:Dexi9A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRSVACFAAVLLAAALLLSAPTATEAYDSLDPNGNITIKWDIMQWTPDGYVAVVTMFNYQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPQNAAASFQISVGLAGTTNKTVKVPKNFTLKTPGPGEDSPNLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVAQHPNFDNITQLFSFNYKPLTPYGGGISK >Dexi9B01G0026980.1:cds pep primary_assembly:Fonio_CM05836:9B:29400907:29416129:1 gene:Dexi9B01G0026980 transcript:Dexi9B01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAAAEAATSAAASAAAPAPAGAPEEPEYLARYFVVKHSWRGRYRRILCIASSGVVTLDPTTLNLTNSYDAGAEFDHAEALTATDEFTLAVRTDARGKFKPMRFSSPLRPGILTELHRLRPVQPALDFPVLHLRRRTHEWAPFKLKVTSVGVELLEASGDMRWCLDFRDMNSPAIVLLGDTYGRKTTEGGGFVICPLYGRKSKAFMAASGTTNTAIISHLTKTAKLRIGLSLSVDNSQSMKTADFISKRACDFDLQIFNCLWTSFSAMEAVGAAESRHGEWSVTRLRSAAHGTASIESLGLGVGPRGGLGEQGDSVSRLLVLTDTSLVERRPENYEAVIVRPLSAVNALVRFAEEPQMFAFEFNDGCPIHVYASTSRDNLLATVLDVLQTQRQRAIPVLPRLTMPGHRIDPPCGVAHHQIPHHGTVDMEAATMHIKHLAAAAKEAVASSDTVPGAKIRLWRKIREFNACIPYTGVPVNIEVPEVVLMALISLLPNPGAPTDASSLPPPSPKAAATIMGFVACLRRLLTSRSVASHVMAFPAAVTRIMGLLRNGSEGVAAEASGLVAMLIGGGPGDASMLMDTRGESHATYMHAKSVLFSQPMYVPILVNRLKPISVSPLLSLSVVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQSQYDEAPLSRRQRRILQQRRARGSKSMAGQEQGISSNGVDDGELFRHTSAGTYGGAEVHQMHVGQYSTHSPLAGVNINPSHAVSTPLGSVPEALYENNQQIGAPQLDSHVYSVDSNANGNLVSSSHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELKEALQAEVHNLDVEKERTDDIVPGGSATEDAGGSDNLPRISWNYAEFSVRYPSLFKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCSRAMSIVYDQHYKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDLLTVAHETSERTAIPLQSNLIAATAFMEPSKEWMYIDKDGTQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALSVRVPVLTPTQIGEAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQVMLTGEPSIVEGAASLLKAIVTRNPKAMVRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAGNLIHQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLEDLVLGENGSSKQSSELSSNSSVNKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLKAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTLANEPAARIVTNIMHTFSVVSQFESGRVEILKFGGLVEDIVHCTELEFVSSAVDSALLTAANISVSSELQDALLRAGFLWYVLPLLLQYDSTAEENETSEAHGVGARVQIAKNLHAVHAIEALSRLCGVSSNEISCPSNKSAYNALRALLTPKLADMLRNYPPKELLSNLNSNLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESQSFTYESLSKELNVGNVYLRVYNNQPDFEISDQEEFCIALLKFIAELVQQWNSFNSEDSTMHEHVSLVDTSTSENYEVGDSTDEGKMDDSSEKQSTGTDEDSKVITNLQSGLTSLQNLLTSNPGLAAVFTSKERLIPLFECLALHVPPESNIPQICLNVLSLLTKHAACLEAMVAERMSLILLFQILHYNPPCRDGALAVLYSLAITPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIKDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLATMASDLYQEQMKGRVVDWDIPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQATSGSQAEPSDGSSGQSEAIVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVQVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCARVREVLNSSDVWGAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSSAPSPPSAPANPSARHS >Dexi2A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:2A:32913531:32916184:-1 gene:Dexi2A01G0020740 transcript:Dexi2A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLSLMAYNYPPENLNVYLSDDGGSILTFYALWETATFAKHWLPFCRRYSIEPRSPAAYFTLSDRPIDSQALEEWSFVKGLYEEMTERIDSVVKSGEVPEQIRVNHKGFSEWNTGCTSKDHQPIVQILIDGKDIGAVDNEGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNSPIILNVDCDMYSNNNNSIREALCFFLDEEMGHKIAFVQYPQNYNNMTKNNIYGNSLNVINQVELRGLDSWGGPLYIGTGCFHRREALCGRSFNKDYKEDWNRGIKTQQHIDQTEEKAKSLPHQMGYCIYGLWAPNSLPTLYYVLIPSLGLLKGTLLFPEIMSPWIIPFIYVSAVKNIYSLYEALLCGDTLKGWWNGQRMWMIKRITSYLYGVIDNIRKLLGLSKMGFAVSPKVSDEDESKRYEQEIMEFGTSSPEYVIIATVALLNLVCLVGGLGQILISGKNVLLNGFFLQIFLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASVGFVMLALVVPIF >Dexi9B01G0037060.1:cds pep primary_assembly:Fonio_CM05836:9B:38541473:38543485:-1 gene:Dexi9B01G0037060 transcript:Dexi9B01G0037060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAATQAVLLGLLLLAGLAAAQRGTTPAAAAPAPDPGCNGIQLTYSFQDRKQIRPTVSDKNKQPYAFHANATVLNSGTRPLKSWAILVQFAHDEILVGVDGAVLTGGGDLPYNTTEDAGNATSFSGYPQTDLLTPIATAGDLSQIQATIGIVGTVFTRPKPFVPLPTKLTLDDPDYACPAATVSSFNNNTLSTCCVLTPEAEANATVIDANTTDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWDWRRGEFIYSMKGAHPTEVDTSGCIYGAAGQYYKDLDFSQVLNCERKPVILDLPLSRYNDTQIGKIDNCCRNGTILPKSMDEKQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTAFPDPSGLDSTTLAVATWQVVCNITTAKGAKPKCCVTFSAYYNDSVIPCNTCACGCPANKPGPTCSSTAQSMLLPPEALLVPFDNRTQKAVAWAELKHYNVPRPMPCGDFCGVSINWHVSTDYNKGWSARVTLFNWEDVDMANWFAAIVMDKAYDGFEKAYSFNGTAVGNNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKQQTPGINVVAGDGFPKKVFFNGDECAMPQRIPMSSGFRTHLSSAFALVLVLAASAFVLLQQ >Dexi1B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:1B:4753178:4766912:-1 gene:Dexi1B01G0005850 transcript:Dexi1B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQQDPEASPVRYQRHRAEIDDECAEVLGIDVRGPDADPFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQNGDRELPAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGVVISSHSLAIDESSMTGESKIVMKDHKTPFLMAGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLFARYFSGHTTNSDGSVQFVKGHTSVKSAIFGSIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVQSIVCGVKREAPANVDNLSPTVVSLLLEGIAQNTSGSVFEAQDGSVEITGSPTEKAILAWGLEANQLKKFIEDMAEQSLRCIAFAYRNLDPEDVPNEDQRINWQLPDNDLTLIGIAGMKDPCRPEVREAVELCKKAGVKVRMVTGDNLKTARAIALECGILEDSDASAQAIIEGRVFRAYNDAEREDVAEKISVMARSSPNDKLLLVKALKKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFSSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGNVPLNAVQVFNEFNSRKPEELNIFSGVSRNRLFLGVVTITVVMQVIIIEFLGKFTSTVRLNWKLWLVSVVIAFVSWPLAFVGKFIPVPKTELKDLILRCWPKRKDLTFSYLVMTEQHNRGRMREDQNHRSEAAWAK >Dexi8B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:8B:5556341:5557977:1 gene:Dexi8B01G0005430 transcript:Dexi8B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMEAPVASAVLKLAGGKLVSLISSEFASITGVKKDLCELQGKHQEISSWLSEVRDKATGSGAQLPWVKELRNVAYDIDDLPYEVHFEAEKHKKHSDSGKQLIADCICAKPKSFLFRCRVARKVKSIKVKYDKIVKQASDANTLRRNLQMDHPAQSSNGTSAGEPSILCNVEDSKIPRRDEEKGEIVNMILEPNEVQDGHPMVVSIVGLGGSGKTTLAKHVCHDKKIKEHFKDTFWVHVTEEFDLKKLIGKLFQAITLQKSDLHAEEYMLSEISNKLRGNKFLLVLDDSWHNEKDGWDKFMVHLNGGAPGSKIMLTTRDRKVSEIVRSRHIFELGLLSNAESWSLFLESSEWVEDDLGSEYIEVGKVILNRCGGVPLAIKILGGRSGDLSAEQYDNNLHSEVQILIFNFMPREG >Dexi3B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:3B:11605082:11608301:1 gene:Dexi3B01G0016000 transcript:Dexi3B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGVGGGGGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEASAGANQRSGGGGRQHSLRPRREDWEIDHAKLVVKGVIARGTFGTVHRGIYDGHDVAVKLLDWGEDGHRSEQDIAAVRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPTNICCVVVEYLAGGTLKSFLIKNRRRKLAFKVVVQIALDLARGLNYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEAANPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPDIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRPGCLSCFRQYRGP >DexiUA01G0019210.1:cds pep primary_assembly:Fonio_CM05836:UA:40153953:40154318:-1 gene:DexiUA01G0019210 transcript:DexiUA01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSSGEDTEMSASSPSSGSWYLTAKCGPVAPPYASARRTRRSGSDTPRGTDTIRVSPPAYRSAPSTGRGRMRPPRLHSSFILSPPPTLPPSSATPAVAAIPAGRAHHPSPPQPPACRWI >Dexi1B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:1B:2699138:2699979:-1 gene:Dexi1B01G0003440 transcript:Dexi1B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYLAPEGFYGKISFKSDIFSLGVTIIEILTSNEDYPDVLRQQEGVNYPDVKDVLKCWEHRVEKSQKDQELEQISVCAKVAQSCLEFYPAKRPSAQDIIDILKQKEGNEWPIETGGSSSTV >Dexi6B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:6B:18747377:18748536:-1 gene:Dexi6B01G0011560 transcript:Dexi6B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTVDLRGVAPGAPGWEAARAAVTASMVAHGCVVVANGDGTLGPDLRRALFGRALPELFALPLESKQRAPTTTGVTYGGYGRPIHGLACERISIYEPANDGCSVRAFADILWPEGNPEFCETMVSFAKKMLKLDEMVEALVLEGLGVRAESVRAHLDQLGHGIQMSHYGAPPDAEASMSLPAHYDYMMNNVIVQHEVEGLEVRLLEDDGRWVAVPPEPGTFTFVAGEQLRVATNGRVPACFHRVRTPSNRERFAVQFGLLQKPGMEVRALDELVDEEHPLVFNPLKHEEYVQWRYSEEGLKVDDALKAFCGVEKDQSHGLNDAAHVSP >Dexi1B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:1B:2921159:2921945:-1 gene:Dexi1B01G0003700 transcript:Dexi1B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLNIADGGGDPWLRTKNGHVGRQVWEFDAAAEPDPAVDAARRAFVERRHDLKHSADLLMRIQML >Dexi6B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:6B:20647709:20651275:-1 gene:Dexi6B01G0012920 transcript:Dexi6B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTGDENVDMWNGYVNNDDRALLKVIKYSSPTSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRGLVENINLNGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEIGVLRHLEHLLKTKGFCVVCVAEAAGQIRMGINYTTSPLLLQDLLQKSGATDASGNVILSDIGVHMQQKIKTHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVIKAPKRVNPNSRMWHRCLTSTGQPDFH >Dexi7A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:7A:1215452:1215739:-1 gene:Dexi7A01G0000440 transcript:Dexi7A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESTVGEVMSSPAITLTYEKIVLEAAALMLKEKVHRIPVVNQQQHVIGKISENDYFQNYGDKADI >Dexi8B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:8B:18678023:18678313:-1 gene:Dexi8B01G0010240 transcript:Dexi8B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPGGQLELLTGGTTFCSARSSTTGGTKLHQIFNDRLHQVLRHQPIHGRRLHHLRPHLIHGRRHHHLRREALDLLRLLLPGGRNPLLRHFKGIQ >Dexi8A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:8A:29164110:29164733:1 gene:Dexi8A01G0017460 transcript:Dexi8A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWDIVGKAANLLQLFGGLLDVITLITSFLRFRETRKECSKLEERVRMLRVLHLSPAGRWIMQQQQSVELLEGLVVMANALEDAHGLVESYKGSTLLERVRSGRDMARQFRDLRSTIDCYCGLILSFNAFLLAVQANQLPLPPSHVRSYRLSR >Dexi8B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:8B:9908812:9910290:-1 gene:Dexi8B01G0007870 transcript:Dexi8B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSAVEPKKKSNVKYTSTCAILASMASMIVGYDMGVLSGAALYIKKDLKISDVQLEIFMGILNLYSVLGSFAAGRTSDWIGRRYAVILTAAIFFAGALLMAFATSYVMLMAGQFVAGIGVGYAIMIPPVYTAEISPASARGLLSSLPEVFTHVGMLLGYLSNYAFSGLSLYLGWRVMLGINALPSVLLAIMVLGMPESPRWLVLNGRLRDATVVLEKITDTSQEAAASLADIKAAAGIPDDVDGDVVAVPKSSHDVSSNEWQVWRELVLSPSHAMRRILLVALGIHFFQQATGIDSFVLYSPRIFMGAGVTDDRRLLGITCGLGATRTLVTLVATFLLDRVGRRPLLLCSTGGMIFSLAGLGAGLTIIGRHKDTQIPWANGLAIASTVTHNAFYCIGLGSITWVYTSEIFPLRVRALGSAVGVAFNRAIVRGTPATVITGNGTHTNTR >DexiUA01G0019670.1:cds pep primary_assembly:Fonio_CM05836:UA:40901556:40906143:1 gene:DexiUA01G0019670 transcript:DexiUA01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAGAGPHHAAEKPPRNRPPPPPTNRTPAFSLNSHQAAAAPSARAAGGAGGGDAPEFAEFCLAELRAATGGFAAGNIVSESGEKAPNVVYKGQLKGPGAAPPRAIAVKKFAKLAWPDPKQFAEEAKGVGSLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYISQALEYCSIKGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHVPSYVMLGIPKPVEVPQAPPTPQRPLSAMGEACSRMDLTAIHQILFTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFKDKDFKAAIDCYSQFVDVGTMVSPTIFARRSLCYLMCDQPDAALRDAMQAQIVYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQKSTKGP >Dexi9B01G0033510.1:cds pep primary_assembly:Fonio_CM05836:9B:35663986:35665526:-1 gene:Dexi9B01G0033510 transcript:Dexi9B01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSGAGSVPSLCSSDHAEVVTDDGAAAAAPRNNSDDAVVAEAFRVKAALSNAHEKSDADLLELLQRLRQLEFTVDAIRATEIGMAVKPLRKHASKQIRQLEEWGLPSPPMDEAALFAAPCTSIQLSED >Dexi7A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:7A:24317736:24318467:-1 gene:Dexi7A01G0014380 transcript:Dexi7A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLPLAILLITAVVPASAKDYTVGDSSGWKPGVDYTAWAKGKSFNVGDTLSFQYAAAHSVLEVSAADHSACSASNPLRTHEGGQSTTVPLTKPGTRYFICGAAGHCAAGMKLAVSVSGGGDSAATSSSGPTMRSTNATPATGTTPAAATESSSDSSAAAAGGARLAMGLLLGAVGLAALVG >Dexi1A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:1A:20065777:20069279:1 gene:Dexi1A01G0014070 transcript:Dexi1A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVREMKDAKAQPRATSRNRECVEGGWRPGRLHASRRGAREGAHVGIADAGGERGQRRMDDPFDSYSKFYIFVHLRLDKKLSKDNNENLDNRKLQPENNLSAGVRPLISEGLDGPPSSSQPSSSGQGFKSPETPDSTISVKPSVMEPMTSSRRPGSSTSSTSERIAANSVASTPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPSVSLRPPNPPASDASYYYPNNMPAAPLGPGLPVGMTFPPAYGGQPVMYNPQPGTPPQGYMHPAGPQYGQQQMMGQTRPVYYFAPEMQQYRGRNF >Dexi7A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:7A:17304080:17306606:1 gene:Dexi7A01G0006030 transcript:Dexi7A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPHHFPLAPRKKKAMAMAALRGASRCLASVGSAAAARSMLRSPSRGITYKLFIGGLSQFATEDSLAEAFSRYGQVIEATIVTDKVTNRPKGFGFVKFASQEEANSAREEMNGKVLNGRVIYVDIAKAKLDRDTDARPVARGPPKPIGND >DexiUA01G0009980.1:cds pep primary_assembly:Fonio_CM05836:UA:19526303:19527303:-1 gene:DexiUA01G0009980 transcript:DexiUA01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEELCKPDKRRLIPSANEHFDMTHGLHNENNRAAIKEFIAHYGDVFPGNIDMYDDEDVCKRTMDVTDGKQDARLAAKEVADVEDASDQRASDVSDDGASYEEFADCEDNPCDYVNYWRTLRRSSHRDGSIYCTKGTFRSRWQNDYRIADRDEMVSTSAK >Dexi2A01G0035240.1:cds pep primary_assembly:Fonio_CM05836:2A:44935654:44939282:1 gene:Dexi2A01G0035240 transcript:Dexi2A01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRGGSRQRSSSEIVRSIKDSLVALDTKTGAKALEDAEKNILTLRHTLSGDGEVEPNQEQVLQIALEICKEGVLSLFVQNLPSLGWEGRKDLVHCWCILLGQKVDESYCCVQYIENHVDLLDFLVV >Dexi5B01G0032380.1:cds pep primary_assembly:Fonio_CM05836:5B:32999594:33007108:-1 gene:Dexi5B01G0032380 transcript:Dexi5B01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETGVFILVPATGPYVQQWCRAPVSVLCCPCRARCNRESRLPTPADDMAVVDDFEDGNLSKAPGSKLRSFLRGLPSRMIVHPRQAKSKEWLAQCLSDPNPPRLVDLTDVLSAAWAVAWHSESFFLAAGGSFMIGVDGRRVSGAVSGVAGSSLVRKLELTGPRTVNVSLEPRCRASNVMESASSRVNVPLELGWARSVFKRGERRGGVCRDGPLLGHGARPTSHRLSLARSISSIVLAIFSRLNSTMAMAISSSSLPCSLLMAALMLLAFITEVHGITRHYDFNVRH >Dexi9B01G0027420.1:cds pep primary_assembly:Fonio_CM05836:9B:29865827:29866942:-1 gene:Dexi9B01G0027420 transcript:Dexi9B01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARNGGAATALMVTVAVLALAATGNAQLQHGFYKGKCNGADVEAVVQSIVKARFARENPIAAYLLRMQFHECVINGCDGGLLIDGPGTEKTAAPNLSVKGYDVIADIKAELERRCPGVVSCADIQILAARDAVALAGGAAYSVRTGRRDRRRSSASDVKLPAPEYTAQQTTAYYGRLGLSAFDTVLLLGAHTVGVTHCSVIKPRLYSYGGKAGATDPTMDPSYASVYKKYVCPNVASSDSNVVFLDDQWSALKVDNHYYRNLQLRRGVLACDQNLYNDGSTRWIVDLLANNTGLFTSLFPQALIKLSEVNVLTGTQGEIRKVCNKFN >Dexi4B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:4B:2935860:2937338:-1 gene:Dexi4B01G0004050 transcript:Dexi4B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELQILDTLRLSPRTPPQHASLPLSGLDADRNMLDVTFRTLRFFPPPPPSIDPFAVLPRAFASALALFPALAGRVVVVPGDGSHVVVVDAEDTVPFVLAKSELSVADIDADAPASELLDRLAPPSGDDGDVVGGRAPALALRATRFACGGVALGMRVAHALCDGAGATKFLAAAARFARGEGPPDVAPVWDRRELLGPRQPPRVATQVFDRVLALDHDVARRGPYGAAGEQQVTRQCFHVSDANVEALRARLADEVGLRLTTFEVIASFIWRAKVKANGTSSGEVVKMVYSMNISKIINPTLPDGYWGNVCVPVYVAVAAGDLIAQPLATTAAMIKRSKQAVDDEYVRSYIDFLELHRGEGVTAGAAVSAFTDWRRLGHGEVDFGWGEPDVVMPLSWRILGSTEPCFMLPYGAGDERRRRGFKVFVALPRTAVDGFRVEMQELLFQQQKNTVGKL >Dexi3A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:3A:1113564:1114098:1 gene:Dexi3A01G0001650 transcript:Dexi3A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTAAALRMMDSAHGHSSSTSPPPWNAHDELLICDLLAPSSAPSPSPATATAPPLNHQQHHSLRPARRVANKRLSRKLPTTYIAADPASFRHMVHHVTGAHDDHLPVPPPPPPVCRPVPSRAAGGFGPGALPTLDTSAFLFPVEAAASSAGFAAAPALDQQQEVGGRDYSSNSGR >Dexi2A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:2A:4671558:4672605:1 gene:Dexi2A01G0005010 transcript:Dexi2A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSRSGGRREEGREERKASAWSGSAAARMGRSGREESDESPGWEEAYQFRRSREVDGESGSARRRARRRGVSGWEVSVARRRERSNGASSSGHDVAAAPAAELSAGGGGGSSTMPAQRVASRGQPPASTGPRASGVQGQFEWQEKWVSRREDLKELDGDLARRRLGGRGPWAAAAACSCGAEQNWYGGNR >Dexi3B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:3B:330576:333725:1 gene:Dexi3B01G0000460 transcript:Dexi3B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRSSPAPTRLLSLFLFLVLVVASAQQGHHHHPAGDGVVISQADYQGLQAIRHDLADPYGFLRTWNDTGLTACSGAWLGIKCVLGNVVAITLPWRGLGGSLSQRGLGQLTRLRRLSLHDNDIAGPIPASLGFLPDLRGLYLFNNRFSGAIPASIGGCVALQAFDASGNHLTGAIPPAVANSTKLIRLNLSRNALSDQIPAEVVASGSLLYLDLSYNNLSGQIPDAFAGFPSSSKLLNNNNNKEAITGSYQLVFLSLAHNSLDGPIPESLTRLAKLQQLDLSANNLNGSIPPSLDNLTATLQSFNVSYNNLSGAVPPSLARKFGEPSFTGNIQLCGYSASTPCPASPSPAPSVPASPAEGESHGRRKFSTKELILIIAGIVIGVLVLLLLCCLLLCFLTRKKSSGSSTTTAAARRGKQQAATATKDAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSAFLHARPPNTPVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEQCNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDAAAGTVGDELMDTLKLALHCVDPAPSVRPEAREVVRQLEQIRPGSDVGAGAGPSEEEGGGAHVPAGDDE >Dexi1A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:1A:277042:277524:-1 gene:Dexi1A01G0000460 transcript:Dexi1A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSESSSEFDIVADTCDRCSKSDPKVNYTLCVSSLSQSGGQEDLHGLAMVSAKLVSSGAVAMEAKMTELTRRARPWSPTRSCLEACVGVYHNSLYDLDACIAAIDDRRYGDAKTSMSAAIDAPVTCEDEFKEQGLEPPLKEESKGLFQQAVISLAIISLL >Dexi8A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:8A:10338004:10343010:-1 gene:Dexi8A01G0008540 transcript:Dexi8A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVSFDTPPLPMKERANSAITTTVATRANEASSNNTCFHSHLDLSLGISLSHGEISCDATACNGIKTSTSDRQGSRGDKKFSSMTCDTTTTTTTTNVLSAGHCHVSDLTAAGSWAAAFMPSPTGFMHPWSLAARQQKAAAEQDRAPPAAYVPSSDARVISMPSAVGWPPVHTSRRNIITAMHVTKTGNARVAADEPKGSKTTHAGGEKNTAAPKDSTVVVTRPPANMFAKVHMEGCTIGRKINLRAHGSYDSLSRVLTKMTRNFFCRKCQGQTIFFIIQKSWSADCSNANTGEDLSNTDKFIFLYEDFEGDRMLVGDVPWE >Dexi4A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:4A:18135914:18142528:-1 gene:Dexi4A01G0015280 transcript:Dexi4A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEGPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESQEESAKREEVLGKLDQIVKDWVRQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILTQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPAVSSLLDFSHDLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIQNFRMALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPIWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQVIFFITFEVTTCINIYSDHVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDTEDGMANRSPDSQLKRKHDSAGTDDADHVRSAKRSSVSPVHPKTSSPQSGNGGDDSQIKRGSSDASVGSQASPQAYERSPDPVVSGPRCSGDATSKHGIPLVENCTTPPSVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKEVLAESVHFGGTGVTQGGLPEELEVMLLVPPAPFFPWLVWHQLHGIGKKWCRDGHVLPPAGGNGKLLNSQVSFWTQICKHGGFSSEDVESPVSCRPGCDGDDAELPTLGRPGVLPPCDVPTPLPRLGELQTTSPARRRRLEHRDAGRRLGSPLSNLDPAVGEYLVATLFLSSPSSADLWLDWMQIDDMHAPFSTSWTNPSPATARGSRSRWRARFHADEVAHTCLCTVCLDLLVFTSSSARAGGQRGAPLLLASRPRGPCLHQQQTRLQPQVHKDKSAILM >Dexi3A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:3A:8506765:8508684:1 gene:Dexi3A01G0011770 transcript:Dexi3A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPVGGTTMEVADGDVDDSDDLVVTVDDVEALECSICYLPLKPPIFQCVNGHVVCSPCRDKLKATGRGSCHVCRGAIGGYNSRCHAMERVVESVHVPCPHAVHGCVAKVAYHDRRRHQEACPHAPRGCPCGGLVAGSAGALLRHVAGAHIDGEKTRRFWTEVVADRLMAKLKLHVAAAEHGLEARDIEFVMMHEASVSMDEAVRAMKRRDGDIVKAIKDLRGWIC >Dexi3A01G0027210.1:cds pep primary_assembly:Fonio_CM05836:3A:23991959:23993680:-1 gene:Dexi3A01G0027210 transcript:Dexi3A01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAACAPPAASTPVPVAWSVVPVDFTVFKKGPEMAMHDATGRLAFRVAACGGKALFDAAGGVLVTVRTNGQGEWQAFSGNSLEQRHIIFTAKVISASSSRKEVHVFIPPTNSFEDSKASYRLLGSTFRRACTIIKGDSIIAQTNLLYKLKKTIYSRRKFRVTIYPGNDNMLVMAMVMTFFVEK >Dexi9A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:9A:2567952:2570296:1 gene:Dexi9A01G0004700 transcript:Dexi9A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLALLLLLLAALALCSSSALSDAHGGGGFYDPARVTQLSWRPRAFLYTGFLSDAECDHLVNLAKGSMEKSMVADNDSGKSVMSQVRTSSGTFLSKREDEIVSRIEKRVAAWTFLPEENAESMQVLRYEIGQKYDAHFDYFHDKNNLKRGGHRIATVLMYLTDVKKGGETIFPNAEGGHLQYKDETWSDCARSGLAGEADP >Dexi1B01G0030630.1:cds pep primary_assembly:Fonio_CM05836:1B:34538196:34542617:1 gene:Dexi1B01G0030630 transcript:Dexi1B01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAVAAMHLLLLCSAAAAARASIAFAAVPLNSLPRMAEEDDDAAGGEGEKGAQAIAGSPIVAGAMNSRLRALTTSFANSIGKQLDYCIKDTETEWNAAFDFSKDTTFLTNCLKETKGDVQQRICTAAEMRFYFESLLEGDEGEKNYVRPNLNCNLSSWIDGCEPGWACKAGDDQKIDLQNAKDIPYRALKCQSCCPGFFCPHGLTCMIPCPLGAYCPRSDLNVSTGICDPYNYQPPPGNPNHTCGAADIWADVVTTDDIFCPPGFYCPSTIQKLPCSSGFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARHARETAQARERWKSAKDVAKKAGVGLQSSLSRTFSRNKKAGQAQPGPSKVGDATGGKKSNLTDMMRSLEDNPDNPEGFNVEVGDKALKKPTGKQMHTRSQIFKYAYGQIEKEKAMQQENHNMTFSGVISMAKDHDVSSRPAIEVAFKDLTLTLKGSKKKLLWSVSGKLSPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGLVLINGKIEPIRGYKKIIGFVPQDDIVHGNLTVEENLWFNARCRLSADMSKADKVLVVERVIESLGLQAIRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFAGLGIVVPERVNPPDYYIDILEGIVKPNLNPGVSVKDLPIRWMVHNGYDVPRDMLQSSSDSESSSRSIDRTSSQGDAGPSFVSILWGNVKDILGQKKDEYDYNKTSEDLSKRNTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFTLDKINYWRERASGMSSLAYFLSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTILADLCYTKWALEAFVIANAQNYSGVWLITRCGSLVRSGYNIEHEILCIVVLIANGIVFRCVAFFCMVTFQKH >Dexi8A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:8A:1724090:1724698:-1 gene:Dexi8A01G0002620 transcript:Dexi8A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLLLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi5A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:5A:20411730:20412618:1 gene:Dexi5A01G0017190 transcript:Dexi5A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHGSRRTKADQWFFGGKWRGTSKETRHPVVPEAKPPNPTVVQKDEGICLEKSRVHVSGLERRQSIDIMPGRRSMPEMEINMKEVVAVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVLAS >Dexi3A01G0035620.1:cds pep primary_assembly:Fonio_CM05836:3A:40830491:40834376:1 gene:Dexi3A01G0035620 transcript:Dexi3A01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPLLHHLLLPSPPHRSPSLPPPPPALPASPATTRRGAAAALFLLAAAAAAPPPRPARAAADEDVDEARVVRLFQEASPSVVFIKDLVVAGQQGRGGAGEEEAEYDEEEAGAKVEGTGSGFVWDSAGHIVTNYHVVAKLVGEGSAFHRCKVFLEDSNGKSYSKEGRLIGCDPAYDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPSGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >Dexi4B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:4B:7120005:7124907:1 gene:Dexi4B01G0009790 transcript:Dexi4B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGNASGGKRKRGRKPKPRAPDSLDNNHNHHHHHHAAPSSSPLANAAGATASDSPDPEPVSSSPAPRRRVRKSRRVRNEPPSEADAAHSPSPPPRRGGPKGAPNGGAEASEPSRRELVVKMMDVPASVEPLRWDEVVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELVGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVINHFIKDYQKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKVLRNSKIHEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFTVVSVPYLRSEVCYISSRIDLCYYVVDNLILLTNYSAIFQYGKDYEYDAPVKLLDKHMHAMAQSPDEQLVVVSQVLVSDINIGYEDIVNIQVLAFNGTPVKNLKHLATMVEECDEAFLKFDLDYDQLVVLETKTAKAATQDILTTHCIPSAMSEDLKS >Dexi4A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:4A:17312837:17316038:-1 gene:Dexi4A01G0014850 transcript:Dexi4A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPCSSSSSSAAAAAVQGCRGGGEVGIRVGATNIGRLRGAAAAAARQQGKQHGARGAGVTSWHLRVFAAGVGVMGCVLLAASLAMSALHQVQFRNAAISRNFRGLQELKQNIVRREQPEQIMHGRLLQMATSAVTKNGSESEDFALWEEPYKQARKWTPCAAKHSLADEEPDEINNGFILISANGGLNQQRVGVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKNDVRIVKELPPHLQSLDLEAIGSQVTDMDISKEAEPSEFVKSVLPILQQNKVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPELQRAGSLLVQRLRKVSAMQTEMDKQLFGSNMLDPGLAENHAAAGGPNRYLALHMRFEEDMVAYSLCEFGGGEEERRELQAYRETHFPMLASRLRNATVSPEEQRSLGRCPLTPEESGLILSALGYDRRTFIYVAGSQIYGGAPRLRPLTRLYPNLVTKEDILTADELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGFRIYHGRGRAPTLHPNRKRYAQVLSEEGSIAWGGFQRRVRQMVEEYKR >Dexi5A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:5A:18172976:18180134:1 gene:Dexi5A01G0016460 transcript:Dexi5A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVLAVAKDIHYLTSDTSVGRSVKTFTYESLNNIARFINGISALLLTLLPGKGNILEGISGWELKPALRGPRLPRWMESGVSSFNEFIHELSVDSDTESVADSVTGDDENEEFDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWQKLFCLIHGSGRGSSPVVHVPTANVGSDDPVPTERKTVYRHSLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSRKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKNISSYKYWKYSVNEHGTKDMPAIIEEIHKIKTSELGKCQPLAGKETGDQNDRIKNLEVQASQEDSAEDQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPLIPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKKFQMYDYGSPAANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKSGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKGRIKQTSVRLRKPKKIQSEIEDSMECRAKEESDELAGRTA >Dexi8B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:8B:4732571:4734010:-1 gene:Dexi8B01G0005000 transcript:Dexi8B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGPERAETMAAIAAGFEDVGFFQLVNTGIPVELLERVKKVCSECYKLREQDFKECNPAVKALAELVEKEGEGIVPKKIENMDWEDVFTLQDDLPWPSNPPAFKETMMEYRKELKKLAEKLLGVMEELLGLEEGHIKKAFTNDGDFEAFYGTKVSHYPPCPRPDLIDGLRAHTDAGGLILLFQDDRFGGLQVKLPDGSWVDVQPLENAIVINTGDQIEVLSNGRYKSAWHRILASRDGNRRSIASFYNPARLANIAPATPAAAGEGATADYPSFVFGDYMEVYVKQKFTDKEPRFAAMAATTTK >Dexi4A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:4A:1570300:1571041:-1 gene:Dexi4A01G0002290 transcript:Dexi4A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLARRLAAGLLNLRPRGSGRGLHTGPDVLACRLGSRAVVRFAGPEAVSFLHSLLTNDLLSAFAAAGASAPQRYAPTPNAPARGPAAPAYAALLTPQGRFLYDLFLYRPPPPSQMLDHIIGI >Dexi6B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:6B:10153823:10154964:-1 gene:Dexi6B01G0008200 transcript:Dexi6B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRDAQHRQSAAVTSEMSTENMNDGERALSASAMERIQLCMQLQELQNPLGAHHNPLVWPGSGCRTTTLSNNNSFNSNSSSVTVAEPGQSSSINEHLMSGQLEGAAMDGLGSPSSAENSNMISMEAELEELLYGEGNQGMASTVDGGVQQGDVDWWSYEGKSPVVCRDFTPETTTVFQDYTSVYDI >Dexi2B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:2B:12179529:12184105:1 gene:Dexi2B01G0010740 transcript:Dexi2B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPAPPPPFAVHLVTGSGSSPEFALLLRSLAAASIVALDAEWKPRRRGSPAAAAPGNGGSPAAAPAPAPPQFPTVTLLQVACRSGKGDRGEVFVVDLLAVPLADLWAPLRDLFERPDVLKLGFRFKQDLVYLSATFAAALGGDAGFDTAEPFLDVTNVYYYLKGHDRQKKLPKETKSLATICEELLSVSLSKELQCSDWSCRPLSEGQIQYAASDAFYLLYIFDLFQQKITTEGNCLSTSELTSDSHCSPTVIECSSSGYDISSGGNLMSLVTKYSEKILLTESDTKPRSSRRKEKLKIPTNAKCKDKVDCSTEWQGPPPWDPSIGGDGYPKFLCDVMIEGLAKHLRCVGIDAAIPSSRKPEPRELLNQTYKEGRVLLTRDVKLLRYQYLASNQVIETFQLKISEDQLMSRCTKCNGSFIQKPLTLEEAVEASKGFQVIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >Dexi3B01G0034150.1:cds pep primary_assembly:Fonio_CM05836:3B:36908925:36916046:1 gene:Dexi3B01G0034150 transcript:Dexi3B01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRPPHPRVSAARASLFAATGGGPRDLDLHVPGAPGHAPPVGSARFATGKLERSVSPRGSSLAGRRGHGLDAHRWAAPARKSGMTVLGKVPKPINLPSQRSENHGLDPNLEIVPKGTLTWGSKAPSATPNAWEPASSKEFKKQQKSTDSSSTAVTGVARASDQVQRLPNVPSEGTVVGQAHSPLTEFGQAGRLSDSINDRVHRRGDSRNSHHGPSKDRPANRFANHGRGESSTNDSLPVADIRNNIPHGQPPESASQLQPEIVPDDMLDSVDYESQRAKMKELAAERAKKLKAEEEERIKNQKAKALAKLEELNRRSSVLQKPNDTKVETDNKQKAELDMTAKVAKSTAEPRNVAASDNLTVLPPPNEPKKTVDHVQPRTTPPSLASGSGKDPAAHAALSSVRNTQSKMDHVGQKSISQSHEISVPKPKQGYRKRHVASEEKITCEKPIVSVSTGNAKNSSEVSADTATAIVSPHDDPPAQNKKGARHLRNKKKVDDAPASSKHPPVVFSEQNTVKPSEPRTHTGGVIISSSIVPTEGTIVTVGSITFGGISLAPLNQDHVKSPEGTHNTENSRPRPQQAKRSGKHQHAVRPVEKPHGNEGVQWAPVKPPVQNEQSDRAMRNAAVADPNAGKSSNDVENVTRTKRAEMERYVPKPLSKELQQQNPCHDKEAIDKSSAAKLDAAGEPKKWDDKKTNKGHGKSSPSWRRRNIDESSLVVPNSIEQADNCHELKEVHKSDIQNQPAEPGKHEDKQSKSKADAAAENSSARAQTVPFSVNAAKEHSAANRQRRQHVKAQRNEANSYSNESKDREARSDCVYQSVTLAVDSNSSDHRSMPRSDVKSSGAVSHSRTHWKPKSNSHPQNNADGNIAVEGQVDSHGGTLEMNGSKGSDSTTFEDSSSNKPTKRSDGIDEKIAHSGQESLTREDDGNQKVETEHETVNPPPRRQGQHNARYHRGGGAHRGRGGYDAGRPSHGTNAERRRGGSHLEYQPVGSHTKATDFQQNQSVEERAEVPPPSGPAFRERGHNRGPRPAGHFVRRNPAPAPAANAYQDE >Dexi7A01G0023870.1:cds pep primary_assembly:Fonio_CM05836:7A:31757081:31757338:1 gene:Dexi7A01G0023870 transcript:Dexi7A01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPPRPHAHAALVIELPVVAWSSPVRQVAQGFEEDEGRRGDRTEQSYHEFADDLMERRWIRRSYVRQRSTIEALGLPCGKDESN >Dexi7B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:7B:15235598:15236871:-1 gene:Dexi7B01G0007320 transcript:Dexi7B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTAAALAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRQAALHSDPFRSIWILGSCVRIPFRPRRMTGGASAQKRSPSGKFGGLGGGGGGESAQWRRMSLPSMLLGETVLEIVQASQFARDIVAVAGSNHEPPRTPKPAPRVRKPVAAEQTPLRARRPREKQSHRGGAAARGADAATPPSRGRVRSRIQFKPPSPVSLGRPSVSANRVSPKNRPWAKKAVMFPNPTFHASTSATADACATPSPSKKQKRSYKARSPVATLQTPHKFLVKTPPNALGSKLRTHRKALTPTAVSPPPRAQASPAKARRCSFSPSRLATRIVLPIKARLSLGRSRDSGVGAGGGPISGLKQRPGVSMTVQTVSSKISSR >Dexi7A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:7A:15233711:15234440:1 gene:Dexi7A01G0004440 transcript:Dexi7A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISLAVAPRFDPDHGLERQPTATLGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPIATTIYLLTISHLAFPPLTAATGTASSSSHHDQQEIFRGSLLFQACMVGTTLGLFLPLAHVLGRFAHGDDAAVRSATPHLFLLSCQILTENMVGSLGAFSLPVRALVPLLYMVRRVFVIIDRVYDVWATRTLTRSSTTQEAAWLWCVRQDDRG >Dexi9B01G0027800.1:cds pep primary_assembly:Fonio_CM05836:9B:30301888:30309531:-1 gene:Dexi9B01G0027800 transcript:Dexi9B01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEESVGTESSPSSDQSFTIGLAVSSSKSSKHAVKWALKNFGVRERTRFMLIHVRQKVTLVPTPLGNYVPVDQVRADIASAYEKEVECEAQNMLLMYKNMCNGKVEAEVLVVKGDDVAETISGVVSACQIHKLVVGVSSEGNFMRKSKGNRTSTRICKSVPSFCMVYAISKGGLSMVYSPGSEGDSSSEVFQASTPCASGHISAPTNLPVSDKASTVKSALQELMLSEDKGNVNFEREKLRIKLGHMKGVCKLVHDESTIASQQMIDLIAKRAQDEARLVEVHSRINTAIEAARKEREQRCAVEAQARHVRDLANEEALKKNNLQLRVSREADNIQKLEKLLELGGKPYITFTWEEMESATSSFSEALKIGSGANGTVYKGKIHQKTVAIKVLKSDDSRITKHFKQELEILGKTRHRHLLLLLGACLDRACLVYEYMENGSLEDRSRPKPIIHRDLKPANILLDSNFTSKIGDAGIATLLPARDASSTHTIRKETDLVGTLFYMDPEYQRSGQVSAKSDVYALGMVFLQLLTGKSPMGLANTVERAVEERRLVDILDQRAGKWPVKAAYELAQLGLGCLEMRSKDRPDLKSNVLVGLERLNNIASTACDSAQPVPTAPPSHFICPILKRVMQDPCIASDGYSYERVAIEMWLHENDVSPLTKIKLPDKNLVPNHALLCAINSWKGETGAKWAD >Dexi5B01G0037630.1:cds pep primary_assembly:Fonio_CM05836:5B:37083581:37085634:-1 gene:Dexi5B01G0037630 transcript:Dexi5B01G0037630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASPSPFSADECQSLHLQGEQLYTVWMKSLVFNGNGCTVYGADGGVAFRVDNYGCRGGREVFFMDRAGKTLIKIQRKSFGMFRRWEACRCFDAGEGFGEETRPWFTVDKAGKDGSAAVRMHGGGRAYTIDGCSRKSDYKITGAGGGVVAAIRRKQTASGVVLGEDVLTLTVGSQVDHLLVLGMVVICGLMNRCL >Dexi8B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:8B:26446591:26447074:-1 gene:Dexi8B01G0015720 transcript:Dexi8B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPSWLGGNKKIVDELQVSKKKQQPPEPRPRPRLPKPVLGKDNIMVLSIDGGGIRGLIPASVLTNLEDLLQEAEKNLDREQKEKKEQDGRLFRCDCGYKHGRAHRGHVGGTDQGGGGQAIKV >Dexi1A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:1A:24028662:24030024:1 gene:Dexi1A01G0016740 transcript:Dexi1A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNRLWSRAVRGVGRPPPPTLPPLPPPPPQLPWLIFPNAETPTFYSPITRRHHRLFNLPADVRRARLCGSGDGGWLVLALDSRHGYALYNLGSGERFPLPPGYMTARNAPFPLVVRAATLSAAPSRGTDYMVAAIVNAGSGGTNAAFWCEGNDTWFSPPGMRAFRPQDVIFYQGSFFFLGADERVVAFGQMPGPDGTVAFGRGDYDMEQREGYAEDVGYGGRMRRYLVESRGGLLMVIRYIHDGTTLTIRVFELVPTEEELVDGALPRVTWEHIGTELEGRMLFLERGCSRCFEVADYNGFEESMIYFLDDGMVAVPSADDRTLYSFTDMGRYDMDEIEAAPLPEGLFQRTSDNAPPTWTCN >Dexi9A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:9A:237219:237494:1 gene:Dexi9A01G0000340 transcript:Dexi9A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVPVPPAAADKLCRRCKVSYDPSANTRLSCRFHPSLFVCRRHDDQKRYYELRDGDPPYAAKFYDCCGAEDPQAPGCTTDFHRSYDDAQE >Dexi5A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:5A:8449243:8449806:-1 gene:Dexi5A01G0011260 transcript:Dexi5A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGNGVIHVQMAADLDLDQPPQGRDNHQQNTGRHKPDFATNSRDTLLVVATLISALSYQLGTNIPGGYWQDDTGGHVAGDPIMRDKHRRRYWLFMAGSWAAFGISMLLTVGLLTGVPPKSRLVRGAFQVAYASLVLTFLASQPRTSLTLDLAIWVGVMAALAFATTKVRVDKLPSWAKEKVCGWA >DexiUA01G0021310.1:cds pep primary_assembly:Fonio_CM05836:UA:44228254:44230906:1 gene:DexiUA01G0021310 transcript:DexiUA01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIATDGASEDDDSRNLDLDPGAVSARQQQLRHELDETQQGWLLGPPAAKKKNRHVDLGCVIVKRKVLWWAFWGLVAGFVLVGLPIIISKSIPRKIPRPPPPDQYAEALRKALLFFNAQKSGRLPRNNGVPWRGNSGLKDGSDATDVKGRLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLRTFNSSASSVGHVYAQVGAAKIKGKTPDDHYCWNRPEDMAYPRPTLSVTTAPDLGAEIAAALAAASIAFRDDAAYSTKLAHGAATVYEFATRDSSSNHHQATYSSNRPEIEPFYNSTGYFDEHIWSSTWMYYATGNTSYIATATSRELAARASAFDSDILDFSVFSWDNKLPGASLLLSRLRKFLNPGYPYEETLAGYHKATELDMCKCFRRFAAFNFTSGGLALFNHGRGQPLQYVVANSFLAALYADYMEAVNVPGWYCGPNFMTTNDLREFAKSQLNYILGDNPRKMSYVVGFGSRYPRHVHHRGASTPRNGVRYSCTGGYRWRDSKRADPNVITGAMVGGPGRRDRFNDSRMAFGQTEPTLVGNAGLVAALVAITSSGRGVGVGAVDKNSMFSAVPPLFPATPTPPPPPPPAWKP >Dexi9B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:9B:2437208:2437635:1 gene:Dexi9B01G0004250 transcript:Dexi9B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSESGARRCGHASSNTRHSPAARSYQATTLSPSTVLPCGAPGSRSHTGANGYHWSSQSNAPSSPGDDGDGVSSALRGVVSVAAADAEGRPPQRRRRRPGGRTAVVHFGRPKLNGATGKGGEWRPARGSRRSMAWWLRG >Dexi2A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:2A:22366846:22372531:-1 gene:Dexi2A01G0013650 transcript:Dexi2A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding HMMTQTPLEVLMSQSRNICPPNWSGSTYSSNGHRMIQTPNDLMMSESRNVSLTNSTGSAVRGEPMNIPSSQVYGYLMSKSGNVGLPNCTGSAVCGEPTNVPFSQVHGTAYSSSGYKMIQTPLETLMSRSRNVCPPNLSSSTYGVEVASVANPQVYSTAYPSRGHRMIQTPMDPIMFESLNVGLRNSMGSAVGGEPTNISVHDNVYSSNGHKMMETPLEMLMVQSRNVLPPNLSRSTCGVELARSANQQVHNTAYSSRGHRMIQTPMNLLMSESRSVGLSNSTVSAGDREPTYGSQAHGTAYSSFRTYDDHDLRLPSMNSRDMRGSGDEWANVSFSSHVRARTAMEAEIHQLEHGTDDDGDLPSSSMNDRDMRGSGHTSGNGRATIPASSHARAQPDLEAKIHQLEHETDGYDYLPSPYLNSTGIRGSGNVGGDEKAIVLASSHVRTQKDMQAQIHQLEQQAYCLVLRAFKARSDTITWEKEGLITELREELRVSDEAHRQLLNGINNDDLIHSIREWRTTTGLEESLPDNPIHEPVSDHTTSARKRQKTSKSVTASLAPPSAMHSEQVVTLTQPSSSTARKTVPIGTKGKKTKPIQVFGGPAVKPMSSSEGRNARGPLMNRYFPGGPAAEFSQAQNVNPLIGRKAMNRWPDDNSFYEVVISDYNPETGLYALVNDINTSNETWEWVDLKKAIKMGPEDIRWLEDDSGVDPVMYLQNQGSSSSGATSDGGLMPAHERGRNFLKNLSKKGFPPPQSTVEKVFSVSNPDPLEVEEAMKALNKQEQSLVDALSMLAEASNDESGKY >Dexi4A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:4A:22516727:22518509:1 gene:Dexi4A01G0018510 transcript:Dexi4A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHYLYLCLALVSFLLVIATKRRRAPAQHGLRPPPGPWQLPIIGSMHHIAGQLPHRAMRDLSRRHGPVMLLRIGEVPTLVISSREAAREVMKTHDAAFASRPLSATVAVLTSGGRDIIFAPYGEHWRQLRKVAITELLSARRVLSFRAIREEEVAAMLRACASAAAAAAGVEMREMVSALVADVTSRAVLGDRCKDRDVFLRELNLSIELVAGFNPADLWPSSRLVGRLSGAVRRAEVCRDTVYGILDGIIKEHLEMIDAGGGDGEAEDLLDVLLKIQKQGTLQIPLDMDVLKAVIFDIFGAGSETSATTLEWAIAELIRNPKAMRRATAEVRDAFGSLGTVPEHALGELKYLHLVIRETFRLHTPLPLLLPRQSQEPCTVLGYDVPKGATVLVNVWALGRDERYWPGDPEAFRPERFEEGEEAGGVEFKGVDFELLPFGAGRRMCPGMSFGLANVELALASLLFHFDWEAPGVADPAKFDMTEAFGITARRKANLLLRPILRVPVPGV >Dexi2B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:2B:18947393:18948161:1 gene:Dexi2B01G0012620 transcript:Dexi2B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKAGFENEFYLRRKLERDGIVQWIPYENTNYCSATAFDCASSMLQEVYSTLKALDIVAEQLHAEVGKGQFEVALKYTLCTLAADKLIYARQIIKSVARKNGLLATFLPK >Dexi4A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:4A:15518656:15518874:1 gene:Dexi4A01G0014090 transcript:Dexi4A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPKLDTTPAARTVVLEAVVRLMADLLERMNLLPRTTGLTAQIANNYAPLKEYLPHHSELSVTSHVRCA >Dexi3A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:3A:2100230:2100928:-1 gene:Dexi3A01G0003230 transcript:Dexi3A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDPPESTRMRIGDDIAWSEINGVYDRDDSLKENTNPKCILKNHPHHNGSSQRFSGNLKPTAAPIIGLSGKLGAQGGGARRHHQHPPAIFPKKAKTGGGGRAPKTAVPEPESPKVSCIGKVLSDRERVRLGRPPRTRGSSRPPGCCGGFGFLMRRSRSRNSAVECVDDQSPPPLAAAASRRETKEAEEAPAPAVPGLGDMRRLASGRRAAEWAAEMEDDGRVARSGPL >Dexi6A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:6A:10079672:10080050:-1 gene:Dexi6A01G0009070 transcript:Dexi6A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLMHHVVMGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSKCLVFEDAPSGVAAAKNAGISEA >DexiUA01G0003370.1:cds pep primary_assembly:Fonio_CM05836:UA:6772037:6775130:1 gene:DexiUA01G0003370 transcript:DexiUA01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWWGRGGAVHDHVVGLRLVVPAEEGDGWARVLSLRRGDELFPAALVSLGLLGVVTKITLSLEPRFKRSITYEYRDDSTIQDDFAAHASRHEFADITWYPSQHTAVYRVDDRAPLDASGDGVNDFIGFQATPIAATAALRALETSLERSKNVKGECAMASAEAAAKRLVGNGLKNNGVLFTGYPVVGYQGKMQTSGSCAHSPAYDLLSACGWDPRFHGLFFYESTAIFSPPARFREFVLHVKRLRDVAGADALCGVDVYNGFLVRFVKASVAHLGQPEDSVVVDFNYYRASDPATPRLSEDVWEEVEQLAFVKHGARPHWAKNRLVAFDGVRGKYPRWAQFAAAKRRLDPRGMFDNKWSDEVVGGEVGRSKGDGCALDGRCVCSEDRHCSPGQGYYCRPGLVFSEARVCRYSVSQNQ >Dexi5A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:5A:286374:287399:1 gene:Dexi5A01G0000420 transcript:Dexi5A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGGGEILYVSPPPPPSAPLGAPVYVTLPADAVGPGGRVARRRAMGASLAALAAAGVAGVAVELWWGVVERQGPGEYDWAGYLDLAAMARRHGLRVRAILAFHQCGSGPQDPLWYFVSSTSP >Dexi2A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:2A:4376487:4381274:1 gene:Dexi2A01G0004840 transcript:Dexi2A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFANVVPRTVENFRALCTGERGLGATTYKPLYYKGTNIHRIVKGFVAQGGDFSRGDGRGGESIYGGKFPDENFRLMHDQPGLLSMANAGPDTNGKHVVFGKVASGIALLKKLEAVGTEEGNPSRQVKIVDCGEVSNINSEDQLQGEKEKKLRKADGNSNAEGRTKSKKASSDDKHRKKRKHYSSDSYSSDTSDSQSYSSYSGSESESYSSSSLDSSSSSDHRRKRRKGSKKDKRKPTKRKGKHTKSKKKSRGSKRRSRRSYGSSSDDSISSKTDSSSSDSESGGHHTKRSSRKGKGSTKTTISEQGRAVEDVDRGKQMVTAVQISHDGNKPSNKDENGADGRSGNYNSEDRPPRFMSPSRQIWMPMPFVSKCRKDPVASSRISPVQTDVNLTKPVGAYGNSGVDTAEAGMSRSGTERHPPSVEPVATNGKDLAVGSAGNGQPQRIRKGRGFTQKYGYARRYRTPSPERPPVRPRYDGGRDDRWNTFNRYGRNGPYSRRSPVRRYHGSPRASSPSRYPRRDRSRSRSRSQVRRRDRGGYRRPSPRRSRSPAEQTRRDASDRPSRSGHGGSGAERRGSSPPVNRGRSRSRSKSKSRDPSRSRSPEAAPAKRESSRYNRRRSSSSRSSSPDGNKGLVSY >Dexi6B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:6B:1392689:1408400:-1 gene:Dexi6B01G0001560 transcript:Dexi6B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVLAGLLGRYVKGIQKEQLKIGFWNEEILLENVELILEAFDYLQLPFALKNGRIGKLSIRIPWKKLGWDPIIIVIEDVYVCACPREDSEWSSDSLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSFLSYISAKILDSIQVSMRNFHIVYMDTHNDQGNFVFGLEFSSLSIQTDTQKQSFTMSLMAMSRQDEVNKIIEISDVGIYCHQLEKQQDLCNFDALGNGHSRDDYLVNPFSVTVSVLANKAAKLDGAPQYDLTVELTALALSVDEIQLQQILNLCDYFTICALRTKYGRYRPPQNSLSKRSKGWQRMWWQYAQNSVLADVRRRLKKTSWRYLKQRLNYRLGYVKLYQMKLELLQKGQIVSKDILQQLENMDKECDIDDILNYRTIAEQQLQESLVKSTKDTSSPGSPRTDELSTGASRGWLNWLSLGMLGAGGTADSSSFAGVISEDIIKVILYSPSFVMRFGMKLVDAMFAGLGMECKIWDNSATILAWLDSLQVINPLNDRKILLAEKCSTGDGLGVPGISIQVDFPKSNQGSEASTRVAVQEFSVIYEPEFFINVLHIYDLFSSFQFQHDRVLSSLNRFDNLGARLVSKLKYLSANRKKLIWDLRVHNFAIRLPSQNRERKELTMVVEAGDVFIQSKDIVDDVSRTLLAHISKSLSSYFSDDLLGIQLYELYNHFEVGLTGFQVKVLLPDGHNASKLIKLDASIAIRLCIFQDEPVLKQLEVGFTVPFIDIYLSQTMYSAIVNVPRVKEAKLVRNSTFDNAKTHGPNKLALNMSVSLKLAKLGLQIDLGNCEESLSIIVGIEGVDIRYAICDLPDLSLTMTTVNITSNNWKDESDSHVPCKEISCSEQSTLPTSIMSKISQCDLVAEDLYFVNGLEMVIGVVDQLISIGKESKMYNGNALQFLEHRSFYEGNPDPNECVNITISIKDLSILLGHLKYNDLARERIATVNLEFDVSAVIISEKPERLNFEIVSLALQSTGGYTLISIVSDGSLAPVFIKFTKHHAGQDEILLSVPLFEWSSHGPVIETLLKNLTVQFNQRKDQTEVSAFVDLLVNYNNIDKVMWEPFIEPSRFQLNMLRKCADCALDISPSTEVCLNSSKQLNLNISEPFIEAMIRLSQMITDSLDPSNGDDLREDPGILRLSPDDVRTRRYAPYILSNDTSLPFRFKVYRGAVNSDDIDSFSVIDENSVPAGCAVPIYVEETLDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDGTSGPSKPMSMDLVGIYFFEVNFSSSKKPILGEESLGAFSSNRKGNNGLIVPVVLDVSLQNYSKRIRVYSTVILYNATSMPLELRFDIPFGVSSKVQNF >DexiUA01G0006900.1:cds pep primary_assembly:Fonio_CM05836:UA:13255573:13257500:1 gene:DexiUA01G0006900 transcript:DexiUA01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYVLARAFYELEERLPKMQEKVRNELKNKGYVEVDDEYHKRKAEGLLRPLATASEAAAALSTGEVRTMKPWPTRVPGDHQPCSLKECAAAGRDDEGGGEDASAAGSAPMEVAGTEASAAGEPDVDAGDPIYPEPKPPTQWPSPYHELRESNPSLVPLTGEEMDDERRELYATAKEFQRMEEDDAKLREWVRNEMETKRYVEMDDDWVRRRAEVSAIAEEAMKKARALLINDTEEEDSDDENDCGGGDNDDDDDGEFYF >Dexi8B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:8B:202619:204927:1 gene:Dexi8B01G0000250 transcript:Dexi8B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQRSCASWTNTPTVAVLWYIANSREAQCLRVS >Dexi3B01G0022580.1:cds pep primary_assembly:Fonio_CM05836:3B:17355490:17355756:1 gene:Dexi3B01G0022580 transcript:Dexi3B01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAAEEEQSYGHVSKWTSLSVRRRTPPAPPSSPFDSVDLTTHLVLHEKTPARPA >Dexi8B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:8B:767026:767597:-1 gene:Dexi8B01G0001120 transcript:Dexi8B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVPPPRSSTNIVVAAATTRVHPSDAVHVSCPAAADDHLRQPPPAVYTVWKRSSMGFQGTDGFSVYDADGALAFRVDNYSRRRKLFAGELLLMDGHGAPLLALRPQSH >Dexi1A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:1A:27692303:27693320:1 gene:Dexi1A01G0020850 transcript:Dexi1A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELKRDYEIGEELGRGRFGVVHRCTSRATGEAFAVKSVDRSQLGDDLDRELAQVEPKLAQLAGAGNPGVVQVHAVYEDEAWTHTVMDLCTGPDLLEWVGLRRGEPVPEPVAAAIVAQIAQALALCHRRGVAHRDVKPDNILIDPAAKEEEEEDDEEEENGGEAAPRARLADFGSAAWVGVGGLGRAEGLVGTPHYVAPEVVAGGEYGAKADVWSVGVVMYALLSGGALPFGGETPAEVLASVMRGTLRFPPRLFDGVSHAAKDLMRRMICRYEWRRLTAEQVLAHPWIVSGGGARAV >Dexi3A01G0028700.1:cds pep primary_assembly:Fonio_CM05836:3A:29583992:29587481:-1 gene:Dexi3A01G0028700 transcript:Dexi3A01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSSSSSSSEETTTTSSNGSAVPSLKYSRRASLRSVVGRPDGGLGLAGERAVVGGWVKSSRAVKAKLDGPMSPPRMTPATETTRLTCTEVLMARVPLVRCFAKLIGVGGSAAVDRVASVSVSYKLAVETALVRINDGSCLQDLQMASVARVRSEMVHAAHAFFQANEFFHVNTPIIIASAAADRRKMFRVMRITSKSDNRAITPEVVRASIKTKTKQIEALKRSESNKEALEAAELDLQRANDLATQLEQQGNADFSDDFFRHPIYLSPDHTLHLETYACALSSVYTFSPAFQAENLEPHKHLAEKWTIDAELAFAELEDAISCAKDCLIWILSRVSKNCSDELKFLSTRINNGHVFHIESAVSSPWERVTYNEAVNVLLQVKDKSFEAKVELGMPLSLEHMSYLVDDYYKKPVVICEYPKELKPFYARLMEDGTKVSAFDIVMPKVGIIACGTQKEERMGNLTARIDDSRLPRDQWEWYLDIRRHGTVKHSGLSVDIEQLLLLVTCLNDIRHIKTFQRTKGDAKC >Dexi4B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:4B:9831172:9833377:-1 gene:Dexi4B01G0012140 transcript:Dexi4B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAFWFVSLACLGALYVATICARPLAYLALCLRRPKDLHSYGSWAIVTGPTSGLGRSMAMELARRGFNLVLLDLDAGNLLETTDAIKALHSAMGRLREAMDGLDVGLLVNNAAVNTPGAVYLHEADIERFVRMIRVNLWGLTEGDGGGAAADAGAGEGRHRERRLGVHGGRPVPFYVHTRMLSSAAKAKLRPWFVATADEYTRTAARWIGNGPLCVPGAAQKLQWCLTGFVPDWVHDWYRIRLHLQHRAVTRAARSGSRNRGHAVATRNGNSVGPKIRG >Dexi6A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:6A:28619426:28620133:1 gene:Dexi6A01G0021350 transcript:Dexi6A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGHGAGGGLLARSSLQESGFAPLGGGSVVCCCGWWTSAAVVRGRCHERRWRPPWCGAEAAAASSLPLEPLRAAAPIPPSSATSDLHCVGSCARGPSWPQHCWPQGPLRPWAPLWKTVVAARVLPSFGGTSSSLFGAYLSVSYRQRLPVWLMNVVQRKYHARRGEVPYLRTIWPRLVAAGDTASMAVDAARVLGGSEGELWVERLACCAVIGSVAICVVSPLGVSSGCPSPAS >Dexi3A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:3A:10334275:10335567:1 gene:Dexi3A01G0014150 transcript:Dexi3A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMEQSAAVVRMNSSSEGKASYANNSDFQRVIASVTRKARRDMAAALYRAMGRPASLSIADLGCASGPNALLMVSDAVDAVLAETTKAKAPPPQLHVFLNDLPANDFNAVFRLLPSSPLASTGCCFVSAWPGSFYGSLFPDASLDYVVSSSSLHFLSKAPTMATEHLNRGRVYVSEAGPAAVLDAYRAQFNADFLAFLSCRAAETRPRGVLLLTFVARRGARPTAHDCYLWDLLADALMDMAAAGLVDEGKIHSFDAPYYAPCPDDLARVVAKEGSFAVRTMQLFDTTRRRLLRRRPSSTANNKHDDGDGDGDDELPRWLAAQTAGTVRAVVEPMMRTHFGWAAMDGLFCRYGLLLEAYYRSKTTRNKDDITNVFLVLEKKQSY >Dexi1A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:1A:11181248:11183355:-1 gene:Dexi1A01G0011930 transcript:Dexi1A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHADASLLAAYSIGFDGHNAANSQSKSHNPIYRSPLANTIHLGEVNDLVTQLVTACRMAAATLAENKVDETAVDKESHVIGGLSNGYCKQQGLKETSQMDILRRDCSDPPNINEMDSHWSDVAKDSRPASPTTQALMCDEQDTSFGNDYRSSFPSVSCDQDISEVNAAQENLVLTGLREYLRVIITRGKIN >Dexi3B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:3B:8122254:8125843:-1 gene:Dexi3B01G0011580 transcript:Dexi3B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAEGAAAALRSVLSRAQQAAARAGRAPGSVRVVAVSKTKPVPVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKAKALLAGVPNLDIVESVDDEKVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >Dexi2A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:2A:12316858:12317218:-1 gene:Dexi2A01G0010910 transcript:Dexi2A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFQATPRLSEDKVKQCVDPRLKGEYPPKGVAKVTLLPSLIHITLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPAASEPAPESAS >Dexi1A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:1A:27051573:27052775:1 gene:Dexi1A01G0020160 transcript:Dexi1A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQGAAATPPQVSAIGFEGYEKRLEISFSEASVFADPNGRGLRALSRAQIDSFLDLARCTIVSELSNKDFDSYVLSESSLFVYPHKVVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFVDEVTFLNRYFGSLKSGGNAYVIGDPAKPGQKWHIYYATENPEEPVITLEMCMTGLEKKKASIFFKTSADGHTSCAKEMTELSGISDINPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGINPASFAYGDLVKKVLRCFRPSEFSVAVTIFGGRDNAKTWGKKLDADAYACSNMVEQELPSGGLLIYQSFTAAGKSSVGSPTSVLHGFVGDSVENVSENGELDAPLCWETDAVDETEEREVKKMKC >Dexi3A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:3A:1079142:1081261:1 gene:Dexi3A01G0001600 transcript:Dexi3A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTWRHHTLLQALLTRGPLSEREFHDVFAAVSGKKPATHQQLFNDTLLKINKDLAYLQFELRACINQYDGMVYYGIVNNIADEESKLGTKYSVAQIAFYKGLLEAILHETGNDGSITSIDALNVRLDNQVIIVDGSQDSQSRLPTSIKNFSFSQKEKTLNELIQDRWLSNTSTGKIGLGTRSFLDLRSWFRSNDIPSCVVCNEACIKASGCPNEGCNVRIHEYCLRKKFSQRKASRACPGCGTEWPRQDGEADGDDNVNEPGEDEAPSANRSSKKRRKRVKAELVEENNNAGPSTAVLRRTLRSAKAEAVEAAQEASSAGASQATRASKRRKE >Dexi4A01G0023170.1:cds pep primary_assembly:Fonio_CM05836:4A:26291692:26295544:1 gene:Dexi4A01G0023170 transcript:Dexi4A01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGKGDRGGDHHSIGADSLFLYARGAAAAAANTAASGGGGRGAYRVRRRPWGKWAAEIHKAARVWLGTFDTARAYDEAALGFHGSRAKVNFPESATLRPPTSTTPAAAAAAPTPPLPPPERPEALLESHVSFGGAATSQQRWLQQGSRSEGDGAAGYPPATWSESGWWPFSSLAPPAWHDDDGVVGDGGGGRFPPELSVEAVFRCVRMGAVDEAEAELAYQTAVSIGGHTFKGILRDHGPADEAAVGQLMVPSSGEGSSPAGSSEAAATVATSANSAMVTTTTSSGEGGGGRFPPELSVEAVFRCVRIGAVDEPEELAYQTAVSIGGHTFKGILRDHGPADDAAVGQLMPPSSAEYHQLTGREEGSSPAGSSEAAATTSAAVLMDPNRTPIAAFAAGNLQWMLLEAKAKMARLGFSSACCRRFSLPLVLLFFLLLAGRAGAGDRDTLLAVSKDWSSPPQLTNSWNTTAVPDHCSWPGVTCAAASAGVVTGLVLPRLSLTGTVPASVCALKNLTHLDLSYNNLTGAFPAAALYACAELRFLDLSNNHFTGPLPRDINRLSPETLEHLNLSTNSFSGEVPSAFARRVAALMSLVLSNNRFSGEFPGMIWSSLPKLTVVMIQKNSFTGTLPEQISSSIRRIEMGHNKFSGSFPTSAPGLKIFHAESNRLGGELPSDMSKLANLTDLSVPGNRITGSIPASIKLLHKLTVLDLSDNLLTGSIPSDISSLLVMKKLNLSSNQLTGEVPALLLQNAAYNKSFLGNPGLCARAAGSTITNPLPTCRDEGGGGARDDARGKRLIILLAAGLVVCCSIGIIVFFFRRRKETDSEMHDEMAGWKMESFTGKLSFTLSDVVSNIHEENVIGSGGSGNVYRVHLGIRDEEKMVVVAVKRLRMPRNRRDGEHLEKQFEAEAKVLGNMHRHNNIVKLLCCISGPDAKLLVYEYMDKGSLDWWLHHRDGAPAPPLDWPARLGIAIDAAKGLSYLHHDLEEPIVHCDVKSSNILLAPDFKAKIADFGLATTTLCGGSGVPGVVGGTTGYMAPEILMGAQVNVKLDVFSFGVVLLELTTGKKATDEADNMGLAAWARKRYYQQEGGSPLDDIVDEAIRRNPSDLQAIHSVFTLGVMCTSENPLERPSMEEVLHQLTRQRQQIIFAEPTETTFPVDYEEDEAGEDGGSSLMQSRRRTITAPNGWIRRQFSSLLRRSTSTMITSSTTRGSFRGLELLQRRASFARIQGTRTHTN >Dexi5B01G0038340.1:cds pep primary_assembly:Fonio_CM05836:5B:37562584:37564210:-1 gene:Dexi5B01G0038340 transcript:Dexi5B01G0038340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPWAGTASIDFALAQLIQVIVLLGTGGLNGGGYMASKYVVLAIYAGILVIHGLINSLRIQWLAWASAHFIFTHFNTDNGMGIQDKAYILAMGLLMSQYSLLGYDASAHMTEETKKADWSGPMGLITAVGLSSVFGWIFLVALTSIMTDIPYLLDPGNDSGGYAVAQALYDAFHQSVGAWVLHARFWFKGPIANVDM >Dexi2B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:2B:3003812:3005057:-1 gene:Dexi2B01G0003370 transcript:Dexi2B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSTLLPFLYTPPSSAYVAAMSVVSLVSLANAGLAELRGNHMAYSKFWHVVSGAGAGAGAGATTTTNRGGGGALLKSRDGMLVAYAPALVAAAASFAVPGAVDGARAEILSAALAIHFLKRVLEVLFVHRYSGSMPLDTAVTIGTSYLLSTVTLIYAQHLSHGLPDPPVDLLYPGVAVFAVGIAGNFYHHYLLSRLRAAAAGGGGGGDKGYKIPSGGLFELVVCPHYLFEIVGFFGFAMISQTLYALALATGTAAYLAGRSCATRRWYESKFEEFPARIKALVPFVL >Dexi2B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:2B:3044849:3045859:-1 gene:Dexi2B01G0003460 transcript:Dexi2B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAAADPAPHVVDECRGVLFVYSDGTVVRRASPAFSPPVRDDGSVEWKDATFDPNHGLGLRLYRPRDDDHLPAGGERRKLPVFFYYHGGGFCIGSRTWPNCQNYCLRLAADLDALVVAPDYRLAPEHRLPAAIDDAAASLLWVAAAADPWLAESADLTRIFISGDSAGGTLAHHLAVRFGSAAGREELGPAAAVVGYVQLMPFFGGVERTPSEAECPDEAFLNRDLNDRYWRLSLPEGATADHPVANPFGAGAPELEEVEFAPTMVVVGGRDLLHDRAVDYAKRLKAMGKPVEVRDFEGQQHGFFTIDPWSDAADELMRVIKRFVDSDGRFD >Dexi4A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:4A:12107008:12112010:-1 gene:Dexi4A01G0013090 transcript:Dexi4A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVTNLGSSGRPGLLPAAVARRSHLVTRISFTGFDGIRRWHYEPGRLCRCMVITNLIGEKSVQFSSRGSVSVKADDDNDMLLKPPQKPVPPQKPILPNGPPEGMKTASLPDRKPTGATLDDREKVRESLDAVLEKAEKLEASSSGNGNGGNAMSRQNDVSMRNGPGVTAADEGGNSRKTKTLKSVWRKGNPVPTVRKVIREQPRTESRNQSEPAAKPPVSSPSKPVPPLLSKPSVAPPPRRPVKSDTSKDKKGPILIDKFASKRATIDPIVPEELLDPLKPVRGPPAKVRVDRRKKPETQAGSRRRLSSDDGLVDEDTADVPISGVPVRKGRRWSKAKRRAARLEAMKAEEPVRVEILEVGEEGMLIEDLAYELAVSESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPMKVEDMAKKKDFLDDEDLDKLEVRPPIVTIMGHVDHGKTTLLDWIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIIVVAADDGVRPQTSEAIAHARAAGVPIIIAINKIDKEGANPERVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETVMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAFGKIRAMYDDRGELVDKAGPSNAVQVIGLNNVPLAGDEFEVVDNLDVARERANERAEALRLERISAKAGEGKVTLSSIAASVSSKQAGMDTHELNVILKVDFQGTIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYANKKGVEIRLYKVIYDLIDDLRNAMEGLLEPAEEEVPIGTAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGKEVYVGSLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKARTLEEASATVTAALKDAGVQL >Dexi9B01G0038640.1:cds pep primary_assembly:Fonio_CM05836:9B:39611204:39613320:-1 gene:Dexi9B01G0038640 transcript:Dexi9B01G0038640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSNLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTTNPDYWGDVTGALLPHPRMLMTPGVDERHNWTEEFKSLASSPVEASTTAPASSAQNTTDAPQKAGYKFLIYGRTGWIGGLLGNICDKQGIPYEYGKGRLEERSQLLEDIRTVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKDYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKAEFPELLCIKDSLIKYVFEPNRKVPAN >Dexi9A01G0041380.1:cds pep primary_assembly:Fonio_CM05836:9A:45032959:45041994:-1 gene:Dexi9A01G0041380 transcript:Dexi9A01G0041380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTQMVTNGLGAQRGNTGTKKSLQQIQMLEKFYSDVQYPKPEEMGQYATCVGLTYNQVRIWFKERRRKERREMGTIGSHMERQLSARSSGSRPSSSSSSCDQTPMYGISCSRPEFDSRSTSTLGEESTVRTQVPLPKDYILRKIFRKDGPPLGNEFDTLPQGERGRIKELEIYKFVVALHMQNQRMSRKKVEKRSEPPSNKRKVPCKRVIEPKEHPLMDCCLSVDKSESSELLTEQVALVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLAKFPPQSVKMKQPFSAKPWVSSPEMVKKLFQVVRFVYAHFGSIDVHPFTFDEFAQAFHDKDSLLLGEVHIGLLKLLLSNAEMGSGVVFAPRSSKDCRFLSFLNFVREQEFDVNFWMRSLNSLTWVEILRQVLVGSGFGSKQHMLNRDFFNKEKNQMVRYGLRPRTLKGELFSLLSKAGSGGLKVSVLAKSSEIIELNLSGTLELEQLICLTLSSDITLFEKIAPSAYRLRVDPQIKGKEDAISDSEDSGSVDDEEDASCSDDESNGSPEINLAEHDNVIVRRKKQQNAHRSLNKCSEIDESYPGERWLLGLMEGEYSDLSIDEKLDCLVALIDIVSGVASVPRLEEPQRVLHNMPRAQPHQSGGKIKKSTKNIYESSDEFLNGPQNSYSLDCSQQGWSASRSNQNYIIDSGRNDLSGVVHEPHVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSDDGHWEVVDSPQVAHLLNLLELEPEDAHDVPNHKVLPSQLQALKAAIHAIEASMPELAFTGSWMKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKSSASVSFSSYLDDIIVYFQTMPQTTSAVALWVVKLDALITPYLDKADTSKALASKGQAQISMFLFTITVDNALEV >Dexi5B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:5B:12245803:12249920:-1 gene:Dexi5B01G0015240 transcript:Dexi5B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 2-oxidase2, GA metabolis [Source: Projected from Oryza sativa (Os01g0332200)] RGFFKAVNHGVPMGVSASLDAASSAFFAGPARAKQAAGPLDPLGYGSRNIGGNGDVGELEYLILHTDPTAVASKARVIDAEDPSRFSVAVNEYVDAVRRLACQVLDLLGEGLGLRDPTSLSRLISAADSDSLLRINHYPTTTATLQGPAGNRSCTVEDAKSGSNGAKGSIGFGEHTDPQILSLLRANDVDGLQVLLPDGHGGGEQWVQVPADPAAFFINVGDLLQALTNGRLVSIRHRVMASTSRSRLSTIYFAAPPLHARISALPETVTAVTPRRYRPFTWSEYKKAMYAHRLSHNRLDHFRAPDNDATDDDTNS >Dexi2B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:2B:24886440:24890276:-1 gene:Dexi2B01G0014960 transcript:Dexi2B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKKQFTLKNLPPLRKASSYPTGSPATYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYMENFHIGLMNMAPDSSSQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVHKIMFDSRQGRLKPRAIGVQFTDENGRHHQAFLNNNKNSEIIVSAGAIGTPQLLLLSGIGPKDHLKSHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYAHNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVRNNPAVTFNYFSHPQDLDRCVFGIKTIERILKTNRFSELAAEGTGFSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGISGLRVVDGSIFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGV >Dexi5B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:5B:185268:188729:-1 gene:Dexi5B01G0000270 transcript:Dexi5B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLSSSPDETPSPSWVILGAIPRVSAADDHLSFDLVAPPRVSLLTIPKRIFPAEVTRSNCPGLVAADPSGLLLLHADQGRATGPTVIDRPNHHSFCWKEFVAGYFVITAAHADAQCLSALALPEPELIMNAGHVGIIASPTGDGYMVAELQPFLGDDRATLLCFSSDVGEWVDKTVTYPLPSRPLSPDAVLSLHGRLWWVDLSLCVVACDPFADDPHLAVVPLPPRTALDYGKATAVLDRYRVVGVSGDKLRFVDMYRNRDPTGALQVTVWTLLDPDSTGWELEHQASFHDIWAHHTYGAAGLPTKIPVLALIHPQHPAVVYFFLEDYLFAVDLRDCAVVDCDRYDLVDPPRDLVSTTFVHAWQLPRPLLSPSSPASAGFAREDTECGSNDVVAPASAHI >Dexi2A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:2A:31881435:31882305:1 gene:Dexi2A01G0019720 transcript:Dexi2A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEFQPKPPTATTNHYCKSLSSLIRETYAHCHVPCVRVPAGSGWSSGEDDSDDDDELLDTKQVVLNEMRNRQMKKRSRCSMDSPTLPLSSAAFAWSYTPLDPRTVLEKVSSPRTCVVVDATDEKEKEKVEEAGDDDGDFCDADDESEAFFSVKSFFTRSTSRAATVASSAAADVAMDPPPPPMLLRSAEALERFRDCEGWPFGLCRRPTGVLPLPPLPSTPADSWKWRKSVSSLAASPAHPAAYTHKLTSK >Dexi2B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:2B:7886747:7889742:-1 gene:Dexi2B01G0007640 transcript:Dexi2B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAAGEGDFLEVRCAGCGETLEVERGLTEFACPDCATAQALPPEVIPPPRRRRALPLPPTPAPGPGPARCHPAPAPPARLPCGACGSMLAVPPGLARCGCPVCGAELAVDPVRLRQYLLATSTAPLVPVSPPPVFRALEARRQHTQALLEFLDADSDDADTEMSNGITEMPCHKNRFSVASRTVGAKRRHLETLNHVMDQAHVQQSDNSVLAEHPSTHRVHVEEVQNESVDHAVHRLVGNIELIKEKNAVRYTNHPTVTTIGCKSVIAEKRQVQTINQTTQDEPGETMPSKPLSPIEHDSEHSNDNIHVEQDEAEISQLTARLVHKSIKRNLKSPNEGFEHRRSGKEKRKCGGRGPNLCLKVWTMPEGVRIRVSFNDLGQPIGDEARTLSNFLGQIARDGTLAPLTYTDWRFFPEKNKQAMMCLVNLKFILPPIGQIWSLSALGKKWKDWKKVLKRERYDAHEIDEERLADRDFRVPEEQWKLVVAYWGTEKAKAASARCKASQEQHPRPVHPRTGSKSLARIHEEE >Dexi8B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:8B:27119253:27121657:-1 gene:Dexi8B01G0016150 transcript:Dexi8B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLVVVIAKTQQFHLLWLLLFSPALVLSRELVPVASNATTPGQRPDCPTMCGNTDIPYPFGIGDGCSQSKGFTITCSNSYNPARAFTAGAYQVINITLETGEVRIFTGVAHICFDPPASNETKWYNFTGSPFLISSEKNEFTGLGCSTVALLGGKHLEDDGSYLSGCITTCNSLDDAAGDVNCTGNGCCRTAIRDALSTVKVGWSKAAMTKGCSYAFLAEKGWYYNFSRIYHLNGTGEMSFHRQAVNKNVVPLVLNWAMSTDGDCLSANSKRDDNITNGRGYLCRCSNGYDGNPYVVGVGGCKNIDECKLRESDPARYKEQYHIPSGSRCIDTDGSFYPKCNFGRSGQNAGPYSRQQRLQCQVPTLVAGLLMVLLLKEHKRRMRRGFFDKNGGEIMKSMDITTFTESELEKITNHYDTLIGRGAFGKVFRGTTHQNLRVAVKRSVIEGMKPSHSHDDGHDLVNEIAIQFQVSDFGSSKLESIARHGRVMADMSYIDPVYMKTGCFTRESDVYSFGVVLLELITRKTARYRNNNSLPVDFVKCCKEEGNARKMYDTAIVSCDGDDAQQYHRYVECLDRIGMLAVRCLKEDKDERPSMAE >Dexi5B01G0037160.1:cds pep primary_assembly:Fonio_CM05836:5B:36744982:36748892:1 gene:Dexi5B01G0037160 transcript:Dexi5B01G0037160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTGGAGGGKGGAVDPSLPRFRCQECRRALVVVGVDSYADRLPAHAAAGSHASSVQGSVMGASRMDNSYVVLSRQNRSHGPGIPPRPPSAAAPHTEANHSARAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGVNSSSPLPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLEQEPCNILSETDFQNEKQKIEEEEKKLKAAIEEAEKLYSEVSSEMKDLEIKSKQFVELEERYWHEFNSFQFQLTSHQEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSNVEVDWDEINAAWGQAALLLHTMAQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGPLQAQSLKNKS >Dexi2A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:2A:586752:588132:1 gene:Dexi2A01G0000970 transcript:Dexi2A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAPAADDDHGGAAVVVVREFHEGRDRAAVERLEGACEVGPSGGKLCLFTDLLGDALCRVRHSPPYLMLVAETASSEEIVGVVRGCVKTVSCGRDNLFSKVAYLLGLRVAPSHRRRGIGRRLVSRMEEWFKQSGAEYAYVATDVSNSPSIRLFTGRCGYSKFRTPSVLVHPVFRHDLSPSSRRRVTTFNLPPRDAELLYRARFASGGVEFFPNDIDAVLNNPLSLGTFLAVPSSFVSAAGGELELDIEAFMASPPESWAMASVWNSKDAFRLEVRGAPRLLRAAARATRIVDAALSPWRVRVPSVPNLFEPFGMHFVYGLAGDGDDAPAMARALCRHAHNVARRGGARVVVTEVAAGDPLRAGVPHWPRLGAEDLWCIKRLADGYGDGELGDWTKAPPGNSIFVDPREF >Dexi5B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:5B:12444799:12445620:-1 gene:Dexi5B01G0015310 transcript:Dexi5B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAASSSLKFSVRRRPAVLVTPAAPTPRELKLLSKSDIDDHVHESVPVHTALVHYYPLAGRLREVEGGKLAVDCTGEGVLFIEADADIHLEQLGEPLLPPFPCLHELLFDVPGSSAIIDAPLMLFQFGVAVAELARGARAPTVKPVWNRELLMARDPPRPSFAHREYDDTVTSLDDLAHRCFFFTPP >Dexi1B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:1B:2082689:2082984:1 gene:Dexi1B01G0002590 transcript:Dexi1B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLRRVAGIFGAPRDDADHSDSSSSSSAAAAAEFPLDRAAAAAAAHGARRGFSVQLPVPVERQGPGPVLVPCPQGDGGVQL >Dexi2A01G0032960.1:cds pep primary_assembly:Fonio_CM05836:2A:43257677:43258429:1 gene:Dexi2A01G0032960 transcript:Dexi2A01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHRRHFFAHPEHPLLRTQYGGDSDHACDICRSQLAGYRCGACYIDVHEACADYFNDSIVFFAHPWHRLTLSRIPGGAAAEWTCDLCEEECAPGSFVYRCVRCMFDVHPLCTMLPQTVRSPLHPGHDLFMVPSAGQCSACRGHLPVWQYVCGGACFFRLHIACVAGAPGGSDNMLAGQCSAGAAQPGCYAGQAGSGGYSSYSIGEKPSRGARVAKFLLKTSFRVAIDAANDGGGLAAPVLSVLEADFN >Dexi9B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:9B:8431224:8432078:1 gene:Dexi9B01G0012540 transcript:Dexi9B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVGDLMATELRLGLPGTVDDMKAAAPSTPRGKKRTTAADPVDDSAAADDEATKQRDAEAAPPAAKAPVVGWPPVRSYRKSCFQASSKPQSKPATKEEVSTTAAAAPSAAANATAGSSFVKVSMEGAPYLRKVDLRMYKGYRELREALEAMFVSSNNGGGANLSEFAVTYEDKDGDLMLVGDVPFEMFTSTCKKLRIMKRSEATGLGSARQ >Dexi1B01G0023720.1:cds pep primary_assembly:Fonio_CM05836:1B:29224389:29225827:-1 gene:Dexi1B01G0023720 transcript:Dexi1B01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSAAAVNRPHRRHPWPQPDTPEAKQRPSGDSFIRRHLRTLSPYQPILPFEVLSARLGRRPEDTIKLDANENPYGPPPETWTVMLKW >Dexi1A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:1A:6334464:6335399:1 gene:Dexi1A01G0008250 transcript:Dexi1A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLCYLHSTYSKFREKIKTQKSSGQHSSIKRDEEESNCESTKPRKVGSTPSYSFTFKCDERSEKRREFYSKLEEKIHAKELEISSLQAKSKENEEAELKMLRKSLNFKATPMPSFYKEPTPAKVEVKKEMFWFLSS >Dexi9B01G0023600.1:cds pep primary_assembly:Fonio_CM05836:9B:18962402:18965194:1 gene:Dexi9B01G0023600 transcript:Dexi9B01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVVLSLRLGFVAPPYPRTSSGRLATSFRAVACAGGGTPSPITVAGDPPTVVSAPGRRIVAIGDVHGDLSQTRAALVLAGVLSAESEGHVWTGGRTVLVQVGDILDRGEDEIAILSLFSSLNVQAKSQGGAVFQVNGNHETMNVEGDFRYVVNPGGFEECIRFVEYLDECDGNWDNAFLSWVNVAERRKKEYRASPNGDHTRWNFVKKYSGFAARSSLFKRGGLLACELARHPVVLKINDWIFCHGGLLPHHVEYGIERMNREVSMWMKCQSEDSGDETDIPFIATRGYDSVVWSRLYSQDPAERTRRALMLSSIIAEQTLKSVEAKGMVVGHTPQIHGVNCKCDGKVWCVDVGMSYGVLYSRPEVLEIVNDRPRVLKKQRDSYDEMEVLDYL >Dexi1A01G0023270.1:cds pep primary_assembly:Fonio_CM05836:1A:29909367:29910274:1 gene:Dexi1A01G0023270 transcript:Dexi1A01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKETDSAQPPAKLPRLSSADTSTGEVTMAASSPLVLGLGLGLGAGGSGGGERDAEASAATAAPKRPSALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPQRFPSLMGLGSMCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEAAAAPPTAVAAAPVSSRAAGSGPVHHGGGAPHAHGLGFSPTSVLLAHSAARAT >Dexi4A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:4A:21230114:21236436:-1 gene:Dexi4A01G0017550 transcript:Dexi4A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSPPQQELPTKGGEIQAALIPAGAAAAAGPAASASSAAGRGGGGGSGGSFTALLGLPTSQAMELLLPRATGAPPPPAPAPAPAPAPTFPSDPQLVDRAARFSAFASASPSPPSPPPPPAPPAGKRKPDPADRASKVERALPFPSHLFTSPRRSLITFPIALVAAAQGKSAKKGKTAEEKPAGGDGEDDKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVVELKCSAFQNVAVPDYSGTVWATRPYGCVARWGWEWFGFLVDHKGERHLDPPHLTGALAVVVWAECGSRFKKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTERGRIAGLNCKNGIDLEQVTLSEMGVHGARHLMQLQQQFWHGDLAHPHQAASQWEKRVDGHPPVFSSSSPSLFGYDLTSSGAQQPPASKLKTEL >Dexi6A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:6A:18082727:18083569:-1 gene:Dexi6A01G0011940 transcript:Dexi6A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDELYARGFLNEDNIYITNTTTPLVVKYYKEQFDKDFSLFLRLRHQELVSRGKIVLIFCGKKDENVYNGDLNKLFGLLSRSLQSLVSKGLVEKQKLESFNLPLYGPSIAEVKTIVMQIQLFRIVHVKLFESNWDPWDDTEGDDIHNNALSGSNVSKMVRAVMEPLIASHFGETILDALFTEYACLVSMHLEKEKTKFAMIAMSLEKI >Dexi5A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:5A:13816583:13816897:1 gene:Dexi5A01G0015890 transcript:Dexi5A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSVVQGSPAPSDVCELAQKVVPTPLKKHDPGREPRLCTMQSYGAGSSVVTRRIMAGRRVVNSGGSAASLFLASLADYIENSRKAARPQDYETISGRPPSPVE >Dexi1B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:1B:22805295:22806526:-1 gene:Dexi1B01G0016290 transcript:Dexi1B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVAPFHLPGLTGVVAPLAAAPFLHPRSAAATYAYVGAPAAADGTDALLRRDAHAAVRARTPAAGDDQPMSSAEFLGLSRQDYHNDADFDLEAILRGIRSIRVTPPAPRSYGGDDEPFTTTVDKQKPSEKQGETYDAGIDATYRSMEKDPLERPSPDYLETTQAGGKILMADRAELVAWMHTFAESYGLAAGALHRAVSYVDRYLSARKITGGDRQLRVLVGAAVFAAAKYEDRSTTDALDADAVARHAGGEYARRDVLDAERDLVAALGYRLGGPTAYTFVEHFTRRMGEDDDGEATRSLAHRLANLALLDYRCLGILPSAVAASAIIMAKLTLNPAAAWREDLAAMGFVLEDLAECMDAIKEMHGLGLQGVWPGCAQMMGDFVLS >Dexi9B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:9B:2333324:2334406:-1 gene:Dexi9B01G0004030 transcript:Dexi9B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQMMPAAVDVAGLRKAGGSGVTTTTSLFAGDWRRRRAPRRPSCSVRALRQGRRSSRSGLGIACNLAGQYEDSFEDVQLQLMNYFTYKAVRTVLTQLYEMNPPSYRWFYNFVAVNKPTDGKMFLRALSKERQELAERVMITRLHLYGKWIKKCDHGKMYDKISDENLALMRERLMETVIWPTDDTNTEKIG >Dexi2B01G0030780.1:cds pep primary_assembly:Fonio_CM05836:2B:39033497:39033873:1 gene:Dexi2B01G0030780 transcript:Dexi2B01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIPVALLLLALVAAASLPDLAVAADNGAAAAPVPDAVCDGKCRSRCSLKVAGRCMGLCMMCCRDCKGCVPSGPYASKDECPCYRDKKSPKTQRPKCP >Dexi9A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:9A:1671622:1676635:-1 gene:Dexi9A01G0003220 transcript:Dexi9A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVAEQRRSLCETILECYKSARDRLPTSLIPHIHEAGFCFGFLDPVSNIIANTLLYEGSSSDVIVADQDEQRGRGKKRNRSQPGTSSEEGLSRSNADLLVAVCLIEEHRCASCTFTANHSTSKIALSCAALSANHPQPDAFVSSSLVLAYRLEEVHTLLQAPVVNLSKICSEGMRFGADPGEPVRNAAKRFCGLGVTVSPPVGIDHELSMKRLLLDKIHGFYLEAISRLPATLLRSRLHQALLKAGYCYGPFDPVSNILLNTIWYDTTFPAQHELKMDVILMESLGIVESRSLRGLVASMLSVFPALAMTDILRHLLKYGSVYGMIKNVGENFPFPVCASPCDYYTAATSACHPYPDAQASFLSGLPKIEETVMQLLHAKHTLSVDDVNVISKSVLGNRPHVGSVSQLEWSKLDSQSISDSYAVEPKPLMYLDVAESRHQLAVSSVEASEKYLITNKYLLKWQETLKEAAADGDEVLFTFRWRALNEASRNNDNQMGTSGPLTYTKIAMFRMAEYFHDAAKILLSLDEDVEKLNSTVNKLEKLSADTDEFIKLVEFEALAEENTLTKGKKPVICNSSALHLHQDEYQPLNRKRPLDSILDSTKMVESVKRAMRIRFFSFWIV >Dexi5A01G0035950.1:cds pep primary_assembly:Fonio_CM05836:5A:37621940:37626076:-1 gene:Dexi5A01G0035950 transcript:Dexi5A01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLISEYKDNLEAKVIVAGFSTTCSQKIHNQLVGVEKMHKDTKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMVLKIIILQPCSMGFATGGKTFFLWGGCMMMHADDFRQDRYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFTSHCYLSWGFVWPYIMALVHVLTALRAPYSKIVKEASDSSWGLYLVGLLFICTLIELISMWNLTKVEIQLCNMLSPEGPKVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENSSKYTDLGGKHLYGKRTYPSNKSLLGYLSRTLAQWHQPKKYDV >Dexi5B01G0033330.1:cds pep primary_assembly:Fonio_CM05836:5B:33759201:33759560:-1 gene:Dexi5B01G0033330 transcript:Dexi5B01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRLDVLVTIFAFAAGALLLATTSCAAASAMGFPGDAAGRMLSSLDARRRVEEDDASSLVEAEELAAAYPRRRALYSGGYISYGALAASKAACYGPCPARGQAYSRGCEAIYQCRG >Dexi5A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:5A:4881622:4882014:-1 gene:Dexi5A01G0006660 transcript:Dexi5A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQQLDLETGDMAGEFVDAAAGGSDDAAASRQLARLLVWVGFITMLLDLFCLFYRAPSGVVFQSHKLAYYLTLAAIFAFGVTEVITAFALTRYRSNGRVLSFARVVLYISVVPLVGAIAVGGFTVFIKS >Dexi1B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:1B:6532631:6536732:-1 gene:Dexi1B01G0007900 transcript:Dexi1B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAATRRRTAITDYRKKLLNCRELESRVGTVRESLKNAKKDFAKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Dexi1A01G0013340.1:cds pep primary_assembly:Fonio_CM05836:1A:16580382:16588712:-1 gene:Dexi1A01G0013340 transcript:Dexi1A01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFLAHLHRHSHIHLAPPPRKLSPTTTTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTLPFLLPRRLLSDDTSTPAAGPPPPLPPAADVPNAAELKKRLETYYAVDEEAELPSVAHAVLERKLAGVHSETDDDIIEELRSKPLPEVHDRDFESDFEQMHNTDEELNDLYNASEYVEKKIKSDEFFNMDDTKWDEMIKQAIYKGHLTNMKECEDILEDMLHWDKLLPDEIKQKVGAKFNELEDMCERGEMEPQQAYELFKEFEDKMVAECSELMEEEIPTDADELTETGSKKVELDNPPGEGPVLRWESRIVFAPSGDAYHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTITSERFEHREENRKECFRTLYTLIKDAMKADKLVEDARNAYVKGRLKVDPQFMESLKKKTEKLRAAA >Dexi2B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:2B:9866607:9870136:1 gene:Dexi2B01G0009060 transcript:Dexi2B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTVVSLATTVDSFSFSSAMAGSAMTAKGLGGWAVLAAWKQVGVGVAAAGKASEENVNLSASAYWVPGQDSNKYLNYGAGISEVEIDLLTGAITLLRGDLVYDCGKSLNTAVDLGQIEGSFIQGIGFFIYEEYITNSDGLMISNSTWDYKIPSVDIIPKQFNAEILNTGYHKNRVLSSKASGEPALVLASSVHCALREAIRAARKEFSKSGSGRSPLEFQMDVPAPMTLVKELCGFDIVENYLETLSTYELADGA >Dexi6B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:6B:3452769:3453327:-1 gene:Dexi6B01G0004240 transcript:Dexi6B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKDKFLVQSVVAPAAIAVKDVTADMFTKESGNRMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRASESENGDGPAGGFTRS >Dexi3B01G0036980.1:cds pep primary_assembly:Fonio_CM05836:3B:39762143:39765611:-1 gene:Dexi3B01G0036980 transcript:Dexi3B01G0036980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALRRAAPLRRRAVSALAAALLQQQPAAALAAPRLPAPAAAIAAGWFHSSPAWLGFRETGTAAARAEFAAAAEEGAFYEEDKRAPGAGGAAAAEGLEIAKLGISSEIVSRLANKGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPIMDAIIRHNAKYKPGKFPLAIALAPTRELAKQVEREFLESSPLETLCVYGGSPIGQQIRKLDYGVDVVIGTPGRVIDLLKRGALRLTDVKFVVLDEADQMLSVGFDQDVETILQQVPQKRQTLMFSATMPTWIRKLTQKYLNNPVTVDLVGEDDQKLAEGISLFSIPSENREKPAVLGELIKVVHYELPNSSEIFVHRSGRTGRAGKKGTAIVMYSYRQNRDVQVIERDVGCKFKELPKINVEGSDLLGGDFDSFGGGGSNFGRSRGFGGRGGGFGNSSGRGGGFGRSGGGGGFGDSGFGRSGGGGGFGDSGFGRSGGGGFGRSGGGGGFGDSGFGRSSGGFGDSGFGRSSGGGSGFGRSGGFGDSGSGRFGGSFGSSGSGGFGDRNSGGFGNSS >Dexi5B01G0028580.1:cds pep primary_assembly:Fonio_CM05836:5B:29958001:29962529:1 gene:Dexi5B01G0028580 transcript:Dexi5B01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLDPASLLVPSPVTKAKPHPRGRFLCRLLSTILVASISLLLFFTLHPAPDPPPDYGALFLSLGSNDTAAAHLRALTLHPHVAGTKANSLAARYVLHALSSLSFPAHVTPYSVLLSYPAHRSLSLTAPGRGTPTTWFSLKQETYPNDPYAAAAAEAIPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVGVAGKVVLARYGRIHCESIAYNARAAGAAAAVVYPDPLQYGGAPGEAPFPDSRWLPPSGVQLGSLFRGMGDPTTPMWASSEGSERLSVEEAMNTDDMPLIPALPVSARDAIEIHRALGGAMAPPGWQGRQDAPVYHLGPGPAVLNLTYIGNDTMAMIENVFAIIEGAEEPDRYVILGNHRDAWTFGAVDPSSGTASMIELAQRFSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENLEMLSLRAVAYLNIDVSVVGPLFYPSATPQLDDLLQETIKLVRYEVHHVQDPDNSSQTVYDSWINSKISPMIGRLGSGGSDYAGFVQHAGIPTTNMHFGEGPGYPVYHSLYDDYVWMEKFADPGFHRHVAAASIWGMVAFRLATEEILPFNYVSYAVELEAYTKVVDNAVKGTAVSCSPLHKSIRDLRKAAVKANIERKELQRQLSSKQLDNHSLKIRDLNDRLMQAERAFTSREGILKQEWFKHLVYGPSEYNDWETASYPGIANAISRAKRSNTSESWKFVQHEIFRVARAVAQASAVLGGSLT >Dexi9B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:9B:4569953:4570339:1 gene:Dexi9B01G0007460 transcript:Dexi9B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTTATLALLLFLLLAPPSLRVSMAGSEFCDGKCSTRCSKASRHDDCLKYCGICCATCKCVPSGTAGNKDECPCYRDMTTGHGNRTRPKCP >Dexi8B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:8B:1422144:1423451:-1 gene:Dexi8B01G0002010 transcript:Dexi8B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVQRTFTIPTPPSEPPSSEVAPTIFDLVAPPYHVTVLFAYAPPNPTNTTLLAALAATLPRFHLLTARRILDRHTNRPFFLTGAGALVVEATVPSPLSDHLPLTPSPDLERLHTPVDKATPHVLVLQLNRFACGGLVLASSAHHQAADGYSMSLFFHAWAAAARSGDLELNHGAVVPYGPSAITPRCPPRCEFEHRSVEFLPLDAAPRRAPTRVHPSEITNLMLHYTADHVSHLKARAQNKYTTFETLSAHLWRKITVARGRAGDDAYRTALNVTVNGRARLGTDSVPKGFFGNAILTASSGKTSAQALVEGGTLADAAAMVRAGVRARDRRYFQSFIDFGELHGDEEMEPMVGDEDNVLLPDVAVDSWLHLELHRMDFGCGGRLVGILPAHSPLDGVVVLIPGLGKEGGVDVFVALYDKHADVLRDIAYTID >Dexi5A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:5A:6496595:6497505:-1 gene:Dexi5A01G0008690 transcript:Dexi5A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQLNLMIGVSLLHCQACFLPLKPPTFTCEAGLVVCCTCRGKLSHAQACPELDAFVRDAKVPCQNEEFGCKSLVVYYLAADHRGACQWAPCFCPVPGCKFVASPARLGEHLNTHHRWPTTNVRYGEPCKLPVPTPEQGCHVLVGEGDRSVFLVSPFALGAATAVSLVCVRANAAGGQFKSTLWVELPGNKDKLVLMMPAVRSGDLSGGSPEAGTNLVLTVPPVPLHDASGEAPNLFVCIDKANAAAPNSTPAMVE >Dexi9B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:9B:2610551:2610895:1 gene:Dexi9B01G0004560 transcript:Dexi9B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDKAVGFLLTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVIAGVVLLSFLSVFVGLVMLRSKKKKKTT >Dexi3A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:3A:4543071:4543638:1 gene:Dexi3A01G0006790 transcript:Dexi3A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSRLITAVLRLAVAGAAAAAAIIMVTSHETTSFFGIEMEAKYSYTPSFIFFVVAFAVGFAYSLLVILVRPGSTASRLVLLSDVVVGMLLAGAVAATGAIADVGKNGNEHAGWLPICPQVRAYCVHVEGALIAGFVSLLIHFLSIMYSLHAVAEPLCCSH >Dexi4A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:4A:4661430:4662960:-1 gene:Dexi4A01G0006480 transcript:Dexi4A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAEAGGSLPVPNVQELAQTYNNRSDEQIPENYIRVEEAAEEVILDGGISSAIPIIDVHKLLEPQSSKEECAKLGSACRQWGFFQPMEAKKVYSMIPGNLQGYGQHFVVSEDQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRSSVERYSSEAAKLVSCLLKFLAMDMGVEPESFLEIFRGQPQSMRMTYYPPCRQAHKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAINALDGALIVNIGDTLEILSNGRYKSIEHRAMVHPTRERMSVALFHAVCREATVGPLPELVKNDGEARYGSMSYMEFVKRFFAAKLGGRGHVESIKTS >Dexi9A01G0040870.1:cds pep primary_assembly:Fonio_CM05836:9A:44569420:44570275:1 gene:Dexi9A01G0040870 transcript:Dexi9A01G0040870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNRKTSKQTSRVKKLLKLALSRLTIARRPRLARKSISLSDVGQLLILGHFDRAIRRVEQVIEEDNMLLALDIIELYCKRLIEHVAKFDKPKDSSEDIRKAVAGIMFAARWCGDLPELLVARDLLADKFGSVFTTNAKKGTGNVDPMLVWKLSGDKTNMELKKKVTKDIAIENNILMDFLELQ >Dexi9B01G0032710.1:cds pep primary_assembly:Fonio_CM05836:9B:34892403:34905688:-1 gene:Dexi9B01G0032710 transcript:Dexi9B01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHLQLRNLLWATSKHDVYLVQNYSVMHWSPLFQRGREVLNVAGQLAPTENVKGARPLSRVQISTMALKDNLIVAGGFHGELICKYVDKPGVAFCTNLTGDNNSITNAVDIYEAPNGGTRVTAANNDCVVRTFDAERYSLLTQFTFPWSVNNTSVSPDGKLMAILGDSSECLLADAHSGKEVSTLRGHLDYSFASAWHPDGHVVATGNQDATCRLWDVRNPSEAFAVLRGRIGAVRGLRFSPDGRFLAAAEAADFVHVYDAAAGYAGAEQEVGLFGEVAGAAFSPDGEVLFVGVADRTYGGVLEFRRRRARYECGGVVA >Dexi2A01G0030640.1:cds pep primary_assembly:Fonio_CM05836:2A:41519808:41520632:1 gene:Dexi2A01G0030640 transcript:Dexi2A01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGANSWLYDRISDLNCPCTRPAGMGPVSWFRWRSSDRRFGRSAKDAGIRPLSLLLERSTESRLRSLARDSGMGPDTPVWEMVRNWSLESAVRQGGMAPVRPGRRKRDRDMSSESPATEGGICPRTSEAPSMKTLLTRPASSQRRWSHAQQLGDDAVHVDSVAGLPSDCFMLSSACRSLGAQDIAGVAAYAATSRRTARKEVVAVDAIVGMVVVAQLVRWGSWLARERVGRVCGSEAHPRVL >Dexi3A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:3A:652578:652992:-1 gene:Dexi3A01G0000910 transcript:Dexi3A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYLSDFGSSHRAARNQQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPSYY >Dexi4B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:4B:6905353:6928564:1 gene:Dexi4B01G0009540 transcript:Dexi4B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWLGSPALDGLEELEFDMGGLYDLSPDPQLPPSALRFSATLRLATFAKSRFECIRINSRSLVSLGLRVYTDELVIEDAPLLERLLQVESRVAMNLSVVSAPKLETVGCLCDLDFYSKLLAPEATVLQELSAVSFGTVVSSVKTLAINIPSLSIGVFVDLMRCFPCLETLYIQRTRICGRMMLSKALTSV >Dexi1A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:1A:3395184:3396947:1 gene:Dexi1A01G0004650 transcript:Dexi1A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVSASPQCAAAQGRATVSATDMAPLFSAQRRHLDHFFDRLDMSQAAAFAQALLDAPGAVFFTGVGKSGIVARKIAQTLASLGFARAGYLAPVDALHGDIGAIFPGDVLVLLSKSGASDELLALVPCARAKGAYLISLTSAASGAECPLAAACDLNVHLPLQGEVCPFGLAPVTSSAIQMVFGDTVVAAIMEARRLSRDQYASSHPAGKIGKSLIFKVKDVMKKKNELPLCKEGDMIMDQLTELTSKGCGCLLVIDEEHHLIGTFTDGDLRRTLKASGPAIFNLTVGEMCNRCNAMK >Dexi7B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:7B:409850:411421:1 gene:Dexi7B01G0000060 transcript:Dexi7B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRRSRRRSSEDSEELGLERAKKPAQTAPLPPEDEGSDDEEESGKPSDARPVRHAPANAAPKPKGTPDLAKKPSPLPTEDAGAQGSVEASPARGAPSDGRDAAAPPPRKKSKTKKTKKRAKRRLPPSQEEDEVDESGDPSLVSKAPADETTAVSPRRDIAAAPRLKSGAAPARKRAKRGATPKQAEEEQAEKRAAGADGDNVNQKTEKNKKLQKVLAEVPQPDSAGLELTGNREDVPDACNISCPREKDNAQEEEQQGEMVEQGHAGNTSPPQMEDGAEEEEQEIEEVAEHAENTSLPQLKDGAHEDGDMGVEVSVEALLERNTSSPKISTSEGDKKLAVERSWSQDDELKILRALVEHAKSHEGALPYSSDLVDNLTFDKTDANAGNLNDKIRKLRARYRRLCLKGHPTDDIGRRLFDLSAVLWGQGDNDVQVDTTFVSGDRDFTQLSSLYPYLAEEVKVYAEKHSSGNLILAAFPTIGDATARQLDAMCKKQRHDTFNLELSQANLTKALLSAVSSQIN >Dexi2A01G0024760.1:cds pep primary_assembly:Fonio_CM05836:2A:36500463:36500803:1 gene:Dexi2A01G0024760 transcript:Dexi2A01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPRRMLLVWLEEDWSELGFIVAEAREQLRMLVEWRVVQIKRDSNLVVDELAMFARRLKHDAIWVDEAPACVAHLLENNCTHTV >Dexi2B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:2B:2133308:2134443:1 gene:Dexi2B01G0002560 transcript:Dexi2B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSENAAGNMMNIMEAIAENLPNKKSVRFDDGEGSISDQARKMFAAATAGSGQGRKKSVHHVLGGGKSADVLLWRNKKISSSVLGVATLVWIFFEWLDYHLLTIASFVLVLGMAAQFACSLFASSPPRVELPEEVFANAGRAVGAQVNKALGMLQDISCGRDLKRFLIVIAGFFAASIIGSWCNFLTVIYIGFVCAHTLPVLYEKYQDQVDEFLYNMLGLVQNQYQKLDKGVLSKGKFRKSD >Dexi3B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:3B:5997410:5999159:1 gene:Dexi3B01G0008600 transcript:Dexi3B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAACVRVASPLVGAPLRPPCHVISARRGPRRAGLAVSAAAAAGGASPPTVLVTGAGGRTGQIVYKKLKERAGQFVGRGLVRTEEGKGKIGGGDDVFVGDIRDPESIASAIEGIDAFIILTSAVPQMKPGFDPSKGGRPEFYFEEGSYPEQVDWIGQKNQIDAAKSIGVKHIVLVGSMGGTDINHPLNKIGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGLRELIVGKDDEILKTETRTIARADVAEVCIQALLFDEAKFKAFDLASKPEGEGTPTTDFRALFAQVNSRF >Dexi3B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:3B:12844647:12846047:1 gene:Dexi3B01G0017470 transcript:Dexi3B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAATQQPHVLLVSFPLQGHVNPLLRLGARLAAKGLLVTFTTFRHAGLRALPPDDDGACVGSGHLRFEYLLWPADAALSPDDLQDDPSDLLRHVTDVGPSALADLIRRQADAGRPVACVVNNPFVPWALDVAAGEGMDIPCAMLWIQSCAVLSLYYHFYASPDAFPSEADPDAAVTVPGLPTVAADELPLMVRPEYAHNLWGDMLRAQLGGIGNKTVSWVLVNTFQGLERHVIDALRRHAPVTPVGPLLEEHDDNSHGDVEDDDGGCMAWLDARPPRSVVYVAFGSLVSVGRGETVAVAEGLVATGRPFLWVVRDDSRGFVPEDALVAACGETGKIVAWCPQGRVLGHGAVGCFVTHCGWNSVAEALAAGVPMVGYPWWSDQFTNAKLMVEEYRVGVRLPAPVARDALRACVDEVMCGPEAPAFRRRATAWKEEAAADLADGGTSDRNLQAFVEDIKRSLEKKI >Dexi9A01G0027970.1:cds pep primary_assembly:Fonio_CM05836:9A:32584549:32585976:1 gene:Dexi9A01G0027970 transcript:Dexi9A01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAPGLAVAATDPIRSFLASAAASGDLDADLRELASALSSEDAVPYRSLRAVWCAASPDARPPLRGLLRGAEFVLPSPKPREKSDELKARLEKLREMQERKEYAELVRDVAPPSRDDGPEPFSSYKDQIGFGLHVVVIMFTGYLVGFATFKAVFNNSPVLNAAGGILGLVCGMLVETVLFIIRSSSKELTSSVPRSKKVQ >Dexi2B01G0021960.1:cds pep primary_assembly:Fonio_CM05836:2B:31617208:31622328:-1 gene:Dexi2B01G0021960 transcript:Dexi2B01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSLARSVLDGVLSSAGSAVADEVARLIGVPKEVDFIRNELEMMRAFLKVSSIHPVPSDTVRTWVKQVRDLAYDVEDCLLDFALYADRASSSRFSSWLPGAVAERHRIAERIRDLKASVVELNQRNQRYHIVVVDGTTPARVGEQQAVVPYQDMLSSELAFQVSDVIGRNHEKTELIELISSSTTTTASSAGLRVVSVWGMGGMGKSSLVRMVHNDAALLDEFDYGAWSPCGTRSTAQTPEEWEHVCKVFDQFGDKEGSLIIVTTRREDVARHCARFIVSSYDGLPYHLKPIFLYLGIFPENHEIRRTRLLRRWMAEGYIAKNRDMPMEDVAELFYSELINRSMIQPSKNLRYLETLDIQDTKVKQLPRGVSNLKKLRYLLAGVNFTKELMQKMGQDSGRNNHIASIFGRGCCKVFNSDWFSVRAPKGIEKLDNLHMLGVIDVGKGHGVAGRNKKLTNLTKLRRLDMTQKVTISKGAMPQLEQLWLDKCPSWQDDKDGLSGVPDLQNLKELLLKHCGDKENLINILQEQVNMHSKQAMKEVVAKLREKGKHVDK >DexiUA01G0012630.1:cds pep primary_assembly:Fonio_CM05836:UA:25858636:25860197:-1 gene:DexiUA01G0012630 transcript:DexiUA01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINRGMMSCSGVRDVVCIDGVIKFVEIASRKRLVVREQSDDISHNNGILHDLDLEELANNTVVEDTKDVYACDGWAMVTWNRKASSDRWLRDCQVDVNDVTVSKPRHLDLLPQLSISSHSGKLILNKNLVMSAPSFGVQDDGDVVFLMCKLENTDKDAWVVAVNIREKTLKDLAFYTGRTCYHFQNYSPYALSKHMDMAALNHSGRRVKKDDARTVPIGTAILVHGLDACVSEKLLVYVFTPFGELSDVSFRGNQQYALVRYVNRACAEEAMRRMNGNNLDRQKLAISWGSDTRDKQ >Dexi6B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:6B:22582166:22582988:-1 gene:Dexi6B01G0015220 transcript:Dexi6B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQINHRNVVKLYGCCLEVEVPMLVYEFIPNGTLYQLIHSSLHHHGHVPRVSFATRLKIAHETAEALAYLHSWASPPIIHGDVKSPNILIDESYTAKVSDFGASTLAPTDEAQFVTFVQGTYDYLDPEYMQTSKLTSKSDVYSFGVVLLELLTCRKAMNLQAPLEEEINLSAHFLLAMGEKRLGEILDEQIKGEQSIELIEQVAELARECLEMASEKRPSMREVAEELDRARKLSQHPWGQETSDEELKGLLVRSPVMGTLA >Dexi2A01G0037400.1:cds pep primary_assembly:Fonio_CM05836:2A:46987858:46992403:1 gene:Dexi2A01G0037400 transcript:Dexi2A01G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRLLRRGLLSMLPSKRGSAGADAGQPKRPKTASPNGAPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIEQDIGKNRAVACVSKLQDLNNAVQVSALAEELTKEHLSKFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKAQVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMAELNDGKPRKVKNARPFSFSIDEDTSSYGVYTKGGIVTQVKEPKVLRFKALRDAMRDPGDFLLSDFSKFERSPVLHLAFEALDNFRKEHGRYPTAGCEQDAQKFMKFAAVINEASVESKLEKIDDKLLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTRQLDPQDLKPSNSRYDAQISVFGSKLQKKLQDANIFVVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINPSLHIDALQNRACPDTENVFHDTFWDGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLDKERCNTFEDCITWARLRFEDYFSNRVKQLTFTFPEDASTSTGTPFWSAPKRFPRPLQFSAADSSHIHFIMSASILRAETFGIAIPDWAKNTSKLADAVNKVAVPEFKPKKGVNIVTDEKATNLSSASVDDVAVIDDLLSKLEEYAKNLPPEFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPAKVMKHQDLSWTVWDRWSIKGNLTVAQLLQWFSDKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKLEVPEYRRHIDIVVACEDDDGNDIDIPLVSVYFR >DexiUA01G0018800.1:cds pep primary_assembly:Fonio_CM05836:UA:39520197:39520680:1 gene:DexiUA01G0018800 transcript:DexiUA01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQFSPDGFRLAGTSNGSRPLARIGRSSFVAGFITELLLIPD >Dexi5A01G0025460.1:cds pep primary_assembly:Fonio_CM05836:5A:29318587:29321165:-1 gene:Dexi5A01G0025460 transcript:Dexi5A01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFDLLGVDDGDDPTKLLAAAAAAAQKAEAKKAAAAPAGKAAQPAAAAKFPTKPAPPSQAVRDARGGGAPARGGFGRGERGRGRGGRGYGQSRDFGGDNANGFQGGYGGGGFGDGAVTGGAEGERERGPRPPYRAGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEVEEAAPIAEKQGENNDAPAADENKDNKDAAADEEEKEEDKEMTLEEFEKIREEKRKALLALKAEERKVEVDKDLQSLQPLSNKKENDEVFIKLGSDKDKKKENAERDERAKKSVSINEFLKPVEGERYYGGRGRGRGRGERGGYRGGYGGGYNRGPAAAPAIEDQAQFPSLGGK >Dexi3A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:3A:9664763:9666097:1 gene:Dexi3A01G0013270 transcript:Dexi3A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETPAKKPKPCSAGDTSGGDTAAALVDRLSALPDAMLHHVMSFLRAWEVASTCVLARRWRHLWASTPCVDLRVWRLGRHSVPPEDFARFVYRFLLERDESAPVDTLRLLSEPPCREPYGRRQSPSPEEGVADYNGRDVDMWSHAAIKRKARVIQFTRHPKAEDISDFEHVNMVSCHLKVLVLAECLLRDRMLRQLPFQCPSLEVLDLKDYLLEGHEISSASLKSLTIIQCRITEDEDLTMAAPNLLSLHCVTPPHRAPLFENMGSLATATVVLDDCFLHDGYEYKYKDIHHDVVDECNDSNSDCSPAEDLNYCSDSCSDASTCEYCEILTDSEDEQCASRH >Dexi3A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:3A:2499097:2500076:1 gene:Dexi3A01G0003730 transcript:Dexi3A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSLSDLLIAGVRAVEAQDSTSASAILSRLDGLIHGVPYRSSHHAAVSSSSDHLAYYFARGLRSRISGELTECHPAAAPPAPASRTPAYRMLQELSPFIKFAHFTANQAILEATSDDPCVHVVDLNVGEGVQWAPLMSDLARHGGKTFHLTAADDAGSDAAGAHQTTAAERWLSEFAESLGLPFQYSSLRLSSEDLHGFTASCNGGGSVIVSCDTTEKSYRSLIRLQRQLLGTVKILQPKLVILIEDELFRIDRNLAPFAEFCGELWQHFAAMLESLERCLCDGGYGAWLGLVEKETLGPSIEDAVGQYEPLTGA >Dexi9B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:9B:9283322:9286652:1 gene:Dexi9B01G0013860 transcript:Dexi9B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVTLWQLKLANGSYGPTGSGVCKMDPEASVSSPMELERMLTDERTEPKQLQLTLLKSITNNFSVDLEIGTGGFAVVYKGLLQNGTVAVKKLTQTLEVHETKFHQELDSLMRVKHKNIVRFLGYCADTQGKVWKLGSKNVMAEERQRFLCFEFLPQGSLDKYISGKGYMAPEFYGGLITYKSDIYSLGVIIIEILTGQKGYPEIENVREQSIPG >Dexi9B01G0035480.1:cds pep primary_assembly:Fonio_CM05836:9B:37111826:37113448:-1 gene:Dexi9B01G0035480 transcript:Dexi9B01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMCFSKKEKKDEVGYNGKVTNGVRIEKRKEDVSSGVQMAHKNKLVFLEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANVAPIRAYYYSKDEKLVVYEYIATGSFSALLHGIKGVCDRTPLDWNTRMKIILGTARGIKHIHTEGGSKLAHGNIKSTNVLLDQGYNPYVSDYGLSALMSLPINTPRVVAGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVQLMKYPNIEDELVQMLHIAMACTSWSPDRRPTMAEVIRMMEELRESASESRTPSNGNPRQSNPPSA >Dexi9B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:9B:4289959:4292763:-1 gene:Dexi9B01G0007120 transcript:Dexi9B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHLSLWKPLSHCAALLLDKKHRPPRPPPGGSGAGSGSGRRLQESKLREALEEASEDGCLAKSRDEALFDGGDGAEEGSVGRSRSLARLHAQREFLRATAVAAERAFQSPDALPVLEEALATFLAMYPKFASAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSINPADSSAAFTLSEITANLNNHALYGSAEKGTAEHDIKNRIMDYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQAARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMASLQSPSGGTGAGMVRIVPVFPQYLSDSVDGLDGVLDGLEDDTIIPIEEGSTSNSHHASQLPAFSGAYSSAQVREVIESEMDQDSSDRDGASTIYEESESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEHSSKGKLGSPLPASWFSGRKNVKKASPKGTSKLSKSPIHDNHVMSFDAAVRSVSHEPGPVKVVQDEDHSHNDIKNVVPVSEIEEDKDAKGNKRFVKFSCANGPAEGSATSVFGSYTARVNGSTSEICSESQAETKDSAIRRETEGDFRLLGRREAHNGRFNGGRFFGVEESERVSSMGRKVSFTVDDSRLYRNSDAGETSGYAMADDDDDDAYSDYDEPLDGRKEPEIICRHLDHVNMLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYDRGAAVAFNIKDCNTGTSLINPEIVQKLAEKEGLSLGVGFLSHIRLTDNQKHGAADVGLSSSSSAANGHREKKSSKNAIVGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSTFLEQERLSSIPEDAER >Dexi4A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:4A:17277008:17278409:1 gene:Dexi4A01G0014810 transcript:Dexi4A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGVSSKILVETSIALLFRFPSMLTWYSLVRAVRLTCPAISSSFEMILDAGAVDTNRICSPGSSKSPVRLRLGEDAMMSNAFRLLGRRMRGGPWTTVLPVLSSVVNEGAETEEATDETDEAGAEPWRTPVVAAADHVVQAGVLVVEGESASREREEDNAAGPDVGLGAVVAAAQEDLGRDEHGRPADGVEQQRVTSGRVDQRGEPEIGDLDVARVVDEDVVGLDVAVVHPEGVAVVERRHQLLEHTPRGGLTDATAGDEVGVEGAAGDELHDHEDGALGGHHLVDAHDVGVAHAAHDVDLAHHLPLHLHVAGLGQVVLVHDLDGHMVTGLQVHRTVHFGEAALAEQFAQLLPPCPAVVALAAPAAARALSYQDVLLCCGGG >DexiUA01G0005380.1:cds pep primary_assembly:Fonio_CM05836:UA:9559137:9561708:1 gene:DexiUA01G0005380 transcript:DexiUA01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVILAPQVSSQPAEKLTAQASPNEYAVVPAALNPDQSTKVGTTCASTPITGTTPRQKSLDMGGTNAGSKRRKKEGAGVELAAESNKRKKPKPGGLDEAESAAVKSERLWTNMQEKLQKLKLEDVSYSSFHSSAATYQNTQGTDSDNDPSNTTVFVGGLDPSVTDELLRQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQSIRLSWGRSPTNKQPQQEQNQWSSGYYGYPQGYDPYGYARPPQDPAMYAYTAYPGYGNYQQQPPQQPPPQQVKLENQVLSTSFIN >Dexi3B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:3B:1285860:1287206:1 gene:Dexi3B01G0001790 transcript:Dexi3B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIVEEDAVIRENEIDTVQRLKKLLMLSTNGTLNMHALWLVRRELGLPDDYRSSILPRCQHDLYLESPDTLSLVSRDEKLAIAKIEQWRKKEYTEKWLAESETKYAFPINFPTGFKIQKGFREKLKNWQRLPYTKPYEKNDSHPIHNVERLEKRIVGILHELLSLTVEKMIPLERLSHFGRVFAMEIENFNAVRLTISAFGL >Dexi8A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:8A:29190524:29193434:-1 gene:Dexi8A01G0017500 transcript:Dexi8A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALRLSGDGRAAAAARLVHLLAFATAWGAGLWVTFIGGIVMFKHLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTVERYQLGFLLSALGCNLSNVLVFTPMTVEMMMKRHRMEKDLGIGTEVGYSKNAETAKRSPALAAMNRKFGMIHGLSSLANIISFGSLAMHSWYLSSKLTCD >Dexi2A01G0024360.1:cds pep primary_assembly:Fonio_CM05836:2A:36072222:36073112:1 gene:Dexi2A01G0024360 transcript:Dexi2A01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIRTVSGVTFTFTNRCGGTVWPGVLANSGSSPLETTGFALGSGEARSLTAPSGWSGRFWARTGCTFDPSGKGSCATGDCGSGEVECHGKGAAPPATLVEFTLDGSGGKDYYDVSLVDGYNLPMVVEASDQPGCPVTGCVVDLNERCPQELRAGEGQAMACRSACEAFGTPEYCCSGQYGNPDTCRPSVYSQMFKTACPRSYSYAYDDATSTFTCTGTDYSITFCPPRAGTPSR >Dexi2B01G0033310.1:cds pep primary_assembly:Fonio_CM05836:2B:40941946:40943165:1 gene:Dexi2B01G0033310 transcript:Dexi2B01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLAVVAVVLALLGSASCQSDYGGYAGGSPSPSPPSPATYPPAPSPPTSPPPTPTPPASGLKVGYYDDKCPGAEAVVRDAVRVADAGIKAGLVRLFFHDCFVRGCDASVLLKPTDANPQPEMLGIPNLSLRGFEVIDAAKSSLEARCPGVVSCAEIVAFAGRDASYFLSNGAITFAMPSGRYDGNVSLAGETIPNLPPPFADVARLKAMFAAKGLDTVDMVALSGAHSIGRSHCSSFSDRLPPSATSDMDPTLAAELKANCTSATGADNTVPQDYRTPDQLDSQYYMNVVNRKVLFASDAALLRSNDTRLLVYTAAMAPKAWQNKFGEAMVMMGNTEVKTAANGEIRKVCGFVNKPY >Dexi1B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:1B:23280817:23281054:1 gene:Dexi1B01G0016830 transcript:Dexi1B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHRSNHPDAALARERRPDPAAEPERCFSAAAGGEASAGSGGLAKRVDAAEVKRRRRGGLTRRKPDG >Dexi9B01G0027880.1:cds pep primary_assembly:Fonio_CM05836:9B:30423181:30424086:-1 gene:Dexi9B01G0027880 transcript:Dexi9B01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNEVVCVLDVLNARDTARKVYELLLARPTGLEVAKNVICLLLWLETIMGIEVLSNIAAMAPGDILPTQIVAEASAVYDYIFHGSYSMQAPLELEGIPAIMNLCNGGRLVDIRFFKFHKDLVARGVAVIRDNIGALIFNENLHVMLRRFNDDANSSLIPAPLPAPELMAPFVALSRTPPEDSRMAFLAFPECHCHRPNSEDIVNHFEKTLRFGPCIERVETEQPPAGQAPKHGIIVFLSPELRDEAMFDETAIFFRVDDHDTWVQLYMPLL >Dexi4B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:4B:6454760:6455750:1 gene:Dexi4B01G0009050 transcript:Dexi4B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRHLFPVLRAGSSFPSASYHRACLLSNSTSASAAPFSLEDYLIAACGLAPAQARKTAKKAFDESSCSRGRLHSTSNPDAVLALLYGVGLSRADIAAVVVADPLLLRSSPKKIGPRLVALRDCHGLSTPQIARFLLVGSRILRSCDIGQRLEFFMPLFSSFEQVLMFMKNNSRSITSDLEKSKSNIALLRQCGLSVRVIAKLCLHHLWILNFEAGRLKEVLLHAEELGVLRSSPIFSQAVYVAASNTKETVAARLEFLKTTLGVCKSEVSTAVSKMPTILYPGIVS >Dexi2B01G0028420.1:cds pep primary_assembly:Fonio_CM05836:2B:37070206:37070893:-1 gene:Dexi2B01G0028420 transcript:Dexi2B01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGRILPCLEATEPERATPALTSDLHEEIFLRVASPGDLARASAACVAFHRLVTDPAFLRRHRSIHPPLLLGFISTAGFEPAEPPHPSAAVAAAVARAADFSFDFVPRPTRLNHWHPCHVLVDCRRFLDRGDGEERLCLDFAVSDPLSRRYLLLPSMTDELLASVGLQNHDVFNSGASFVTSGEIEDEASFSVMCWMHSEAKLVTLPTGLSVRLSVGMI >Dexi1A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:1A:26471042:26473010:1 gene:Dexi1A01G0019340 transcript:Dexi1A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPASRRVVPLSFLLLALLAGRHGERAAAVTLSTSSRWIVDQEGRRVKLACVNWPSHLEPVVAEGLGRQPVGAIAGMVVSLGFNCVRLTYPIALATNASLSSLTVRQSLLAHGLAETAGGVEAVVNALGEKGVMVILDNHVSKPGWCCSNDDGNGFFGDRDFDPDLWVDGLAYMATTFADVPNVVGMSLRNELRGPRQNPEDWYTYMERGAEAVHAASPRALVIIGGLSYDYDLSFLAARQGSVSFAAEGKLVPEVHWYSFSDARAWEAENANEVCARASREFARRAGFLLARGLPLFLSEFGADLRGGDPRDNRFFPCAASVAAEHDLDWAYWALQGSYALRQGVAGMDEVYGVLDWSWSKPRNVTVLPRIQSLQRPNQGPGYADTLPYTVLFHPVTGLCVVRSAAAATLELGPCNETDAWGYAPPSSTLVLRDAAGLPCLRAGGRGQPARLRTKACGDGASTWRLASDSGMHVAAVEMGGGDGGMVCLDVGEDGRSIVTNPCACLRGDGACDPERQWFKMVMSTRRVTPRRPADTIA >Dexi3A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:3A:7446457:7451286:-1 gene:Dexi3A01G0010460 transcript:Dexi3A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGAGDGEGRGGALLGAYELGRTVGEGNFGKVKLARHRGTGAHFAVKILDRARVLSLRIDDQIRREIATLKLLRHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRIAIKGKLSEQEGRRLFQQLIDGVSYCHEKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEIFKGDTQIPKCLSTGAQNLLKRILEPDPMKRITMAEIKAHEWFQTDYVPVVPFDNDDEDSQLDVILPVKEEINQSPGDKTTHQINAFQLIGMASSLDLSGFFEEEDVSQRKIRFITTHPPKDLFDKIESSASEMGFQVQRGNGKLKVTRNCTGTKNPKNPTSFLVCTEVFELGPSLYVVELKKSHGDPALYGQLCERICSELGVLKMKQILSTRPVADGLASLDNRSATPLVAL >DexiUA01G0020930.1:cds pep primary_assembly:Fonio_CM05836:UA:43172722:43174357:-1 gene:DexiUA01G0020930 transcript:DexiUA01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEITEGVKNLSVAGDAAAAASGAAGEGSKRGGGGNSNRIQVSNTKKPLFFYVNLAKQHDDVELSALGMVLGKSEKFDELMAAAAEERGEVEDGEEQA >Dexi7B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:7B:1720229:1723259:-1 gene:Dexi7B01G0000910 transcript:Dexi7B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLLTPPGLHHPASLSPSSSAARLRATASLAHPLLPSRLRLAAAPPSPSPRHRRRSGMSVVRSSLIDPDGGALVELVAPPERLPALRAEAEALPRVRLAPVDLQWAHVLAEGWASPLRGFMREAEYLQSLHFNCIRLPDGGLVNMSLPIVLAIGDAEKEQIGDKPDVALEGPDGGVVAILRRVEIYAHNKEERIARIWGTTAPGLPYVDEAIASAGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSQDFLFISGTKVGSLVLTAFIT >Dexi7A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:7A:21772374:21774570:1 gene:Dexi7A01G0011140 transcript:Dexi7A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSGASSSARLLLLSLLGFCVALSHQQSSSSDSCSKDKLAVSTLVPFDSTGFRCTTAWKQEDFILRYKNTGPSEWSFVLSAPDKGTYVAVGFSGKGAMVGSSAVVGWASNGKGMVKQYYLGGKNPDDCTPNKGLLKLIKNKSVVVSHSGRLYVAFQLSTDYPQPYLIYAVGPDGNLPPSNTLQLPMHQNMASRAFNYTSGMSSNAGSGDEAFPPERKHGLLGMMGWGVLMPIGMLTARYFRQLDPCWFYTHMAIQVAGFAVGIAAIVLGFRLNGDGIKNVDVHKALGIAILAMASLQVLAILARPDKTSKVRRFWNWYHHNIGRAAILLAIGNVFLGLSIAQEISAYIVSYGVFVAVWVVAVAAFEMKRCYADDD >Dexi6B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:6B:20470948:20471217:-1 gene:Dexi6B01G0012750 transcript:Dexi6B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSLAALLFVLAAVAGRAAAQDYDFFFLVLQWPGSYCDTKQSCCYPKSGKPAADKS >Dexi5B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:5B:356056:358155:1 gene:Dexi5B01G0000550 transcript:Dexi5B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSVDCVSLPDAAAPADVEDAGLGLGLGTLLAAARAYPKGSANAGGVHELLECPVCTNSMFPPIHQCQNGHTLCYTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYTLGCQEILPYYSKIKHEAQCSFRPYNCPYAGSECAAAGDIPHLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEAKNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >Dexi5A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:5A:21335672:21338178:-1 gene:Dexi5A01G0017910 transcript:Dexi5A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFLGASRAAPALLLPSRGLPRRLLVSAASSSSSSGEGAGGVVGFLGLGIMGAPMASNLIKAGCDVTVWNRTKSKCDPLLSLGAKYEPSPAGVASSCDVTFAMLADPQSAAEVACGANGAAEGLAPGKGYVDVSTVDGATSKLIGEHITSTGASFLEAPVSGSKKPAEDGLLIFLTAGNGAAMKLVDLRLALALAESVSQPIPTVAAANELYKAAKSLGLADHDFKAVIEALKAKVENSQK >Dexi7A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:7A:29957122:29957692:-1 gene:Dexi7A01G0021320 transcript:Dexi7A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPTAAALPAAATAVAGAAGCFALGYLLALTRLPRHAAAPGPGGLSDDDSEDDSEEDDDENAGRSRAAKRAGGQKRTGLRLLYWARNVVTKSDSAREAERAQAQDAPSPLEIENLAEIIEDFKMVLVARNDLKMGKGKIAAQCR >Dexi2A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:2A:8325994:8326566:-1 gene:Dexi2A01G0008080 transcript:Dexi2A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTKASSPDVVAAVDRLSNLPDGLIHTVMSFLPAPEVVRTCVLSQRWRSLWRSAPYINIDAQDFGISTINRRDDALEKWARFEDFATNLLLFRDNTSPVGEFRLQCHSLAYNQRHVNRWVLRGIKYCPSVLDISVLKFPRFKLPPVVASNLPALFCLPCHGRFGASTLRV >Dexi9A01G0040050.1:cds pep primary_assembly:Fonio_CM05836:9A:43926997:43929004:1 gene:Dexi9A01G0040050 transcript:Dexi9A01G0040050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELDHLVDMSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWNLLLSAQQNASGAEDDRIAQEIQKKREKEGRDSELEKQKILVTYSFQPIFCIVFTDGDAGHSTNANGVEEMELDFKRSSRTKNRLVVLREF >Dexi3B01G0028580.1:cds pep primary_assembly:Fonio_CM05836:3B:26498848:26501683:-1 gene:Dexi3B01G0028580 transcript:Dexi3B01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRKPQPHPPPSFEHHHPPSVGPASPDSLAAQAMRASAAHRDASSIASAYSSSASAATRRGHHEPSVSAPSPDSSGYEYTSMKSLNEAKYGFWGALARKAKSLLDEDGSPGQHESPTGQQSPRDGASASVQVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKMLRESYDKGDNPEDDDLVSLF >Dexi5B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:5B:1233886:1234622:-1 gene:Dexi5B01G0001930 transcript:Dexi5B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFGGSDYVFLLAANKTVEQAKSYVATIVNAITQGVERLIHLGARRIVVPGILPSGCTPIMLTLYASPNKEDYDRYGCLTKYDGLSRYHDDHLRSQIQALRNKHPYTNIAFAEYNRPVLAFMHRPDTFGFDGRSTLQACCGAGAGDYNYNPIAACGFPGATSCEDPSRVVNWDGIHLTEAAY >Dexi4B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:4B:29368:30581:-1 gene:Dexi4B01G0000030 transcript:Dexi4B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNLANHIQAFRQLNITVVASSPNSRHTDPKALVSKNYQGTCFAGPCIEDGKKLSWWMVDIGQDRQLMCNYYTVRQDGSTIFMRSWVLQGSMDGRNWTSLRVHGDDTTICHPGQFASWPIVGPPALLPFRFFRVALTAPAAGSVTNAWNLCICFLELYGYFR >Dexi3B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:3B:11171549:11174507:1 gene:Dexi3B01G0015390 transcript:Dexi3B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVYARRHAWKHQGSHSHLDSFAPLDGAAPQGHHPAGGGAEMVDYMLGQQGPPPPPPPQSQVSFDKLSFSDVLQFADFGPKLALNQPAASAGAREDVVDDDDDDGYFFRFQSLPSLPGAAPPPRGVSEQSHHADHEGSKQTMADDGGTHDGGGGGGGVSESTTLVQQTGGGGRAEKGGEQGKSGRRKRPRTVKTNEEVESQRMTHIAVERNRRRQMNEYLRILRSLMPGFYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDTPRPVVDAAGGGAPISTQQHHQPPQVPPPPPPPFFPPSLPFPVASAGDGDGAAAAKILDLEAGGPDAGGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIKTIAALEDMQMSILHTNITTIEQTVLYSFNVKILGEARYSAEDIAGAVHQILSFIDVNYTL >Dexi7A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:7A:20656804:20657325:-1 gene:Dexi7A01G0009670 transcript:Dexi7A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGTMTLGVTYTLDRVLCAAATPASEACHASENASSAWVPTQTASMTSPPVTTILPPPPVASRRYHCGASGLSGGTRTGASAMSNATLPAMASSPSLTNTSSVVAWTHSLEKANTWSHSGLALATFPSKRRVAPRWLPTRASTEHTPLTGNPRALTTR >Dexi5A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:5A:12794448:12797951:1 gene:Dexi5A01G0015260 transcript:Dexi5A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQPARGGIISIEACARPIAVDHRISLPYYFRIAGSLLRQANIYRNERNLLDLYVILLRYSSLLCETIPKHRDYHAFRLREKAFYDKLGDVIKELESLKPVVQRQVTEHNRGGTVESNTNGLNGTNATTRRIEQHKPSLYTPQPFVGSANGALQKSFSVGRQVPLLPSVQPDRQIQKPFMNLPCPSEETLARHSILGPNGLHGRWNGPVTGIKVQYPSNFELTKSDVTSLVPSTLNENGSHGPSTEPPDSSTNESEDMKSVLSLDDEKKNFLCDNLNYSKAEIDIEYV >Dexi9A01G0033570.1:cds pep primary_assembly:Fonio_CM05836:9A:38475918:38480168:-1 gene:Dexi9A01G0033570 transcript:Dexi9A01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGACAGRRGGPRRRLFPAVRSAGRTRLATSPAFSGRPIGRPDDPDPVTHPGSTKSSGPYSDVAASHVLNKTKLLTPQPRVTARSHGPMLSRPIRTQRSTLAIRAKRPKTLLAPIRAAHPSRTGYTTRMPPYK >Dexi2A01G0022150.1:cds pep primary_assembly:Fonio_CM05836:2A:34009149:34010668:1 gene:Dexi2A01G0022150 transcript:Dexi2A01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNRAYYKLWLLVLVLLARWHHVSMAMTFTISNYCPHPIWPGTLAGAGTSQLSTTGFKLDPGQTTQLAAPAGWSGRIWARTGCLFDADGAGVCQTGDCGGRMECRGAGATPPATLFEVTLDGSGGLDFYDVSLVDGYNLPVVAIPRARQGGACNATGCMADLNRSCPKELQVDCGGGAIACRSAIKKSDAVFLGAQIDGQSTDGGNNAQPVYSGGNAPPVVYNSGGHAPPVVYSSGGFEPPIYNYGSGGARRPAMAASSASTICKNPGLLLLLVLVFFF >Dexi9B01G0036070.1:cds pep primary_assembly:Fonio_CM05836:9B:37648551:37649624:-1 gene:Dexi9B01G0036070 transcript:Dexi9B01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPSSHQSQINSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFPRYYYRCTYKDNMNCPATKQVQQKDHSDPPLYAVTYYNEHSCNSAFLPLSPSEFQLQTSSGKAVSICFDSSAGTAAQEPPSAAAAAAAATNASGGSPSSSAAPRRGTPPEINHAPVLRRSETYPWGAGAVEQKQASCSTECHDAFSASAGAVPEEVVDAGRFGSIRFFHFL >Dexi8B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:8B:27028323:27034896:1 gene:Dexi8B01G0016100 transcript:Dexi8B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEAAGAAAADTSGPRFAPDDPTLPAPWKALIDGATLYYWNRETNVTQYEKPAAAAAAPPLPAGPLPPTPAQVPELAPGAFSQPGMQFGQVGQAAHQERPGQTAYPQPGQLGQQQQQTQHPAQQQQQYQHAPQRQASFQQVPYQQQQPHMPNQPTQYPNPHPQHMPYQQGPYMQQQQGPPYPYQADQQPPMPQAAYNQGQGQQPPMPQAAYNQGQGQQPPMPQAAYSQGQGQQPPMPKTAYNQGQGQQPPMPQAAYNQGQGQQPPMLQPSYNQGQPPVMPQSGYNQAQQPQMPHGAYNQSQQPQGMRIPQSQVQHPQPQQSLGFHHPAQAPQLPQASHSQGLQMPPQQGQLQHGLQFSQHGKQPLSHGQQSSLLKDDDAGGHEGKRTGFPLPLSHQRGQASPSNQQLPSSHQHPGAGNQPNVPGVGGPLYPAKHLHGGTSPAETNNMGFMSSPAQMHQGGVDTNYRQQPVSNHSVPNHVVPSPVRPPMGFEVGNNDGHFERGDPHSYGRFDGANTVQQQPKLAFIPPAQNPLQGMRNGPPYPRPDNFGGYNMGPPHSMPIAHNHGPLPIGTSMRPPSGMFAPPDFPGLPSADAYRQHHEVTAMGENVPAPFMTFEATGFPPEILRECLYGGASKGPQLREIERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPRTRQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSINELVANKSITQAERENVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKLLEGANQHVPPQLLDMASRSGTGGPRNQAAGMSRWDGPGGSRFEPSVGGPVGYGGVKEGPGSFGGREGPGSFGSHEGPGGFGGRGSPGRFGGRDGPDGFGGREGPGGFGGRDGPGGFGGRDGPGGFGGRDGPGGFGGREGPGSGDFRGRGGRGSGGFGGRGGASPGGFGGRGGRGDSPGFGGRGRGDFSGFGGGRGRGDSPGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRYVSDGRGRYDNRRGFGDKGRDRSYSRSPDRGRSRGYDRRSDSRSRSRSRSRSRSWSRSRSRSSSRSRSRSRDHGAAPERRPRARSGFDVLPPATGAAGPSLTGPTPGHAVSPVPSATHAQSLTDASAMSPMSPGGLVQPLNGMNDGSLGGPQAGQPFNGTDAAIPSFPAAETFPASAVQQAAPDV >Dexi1A01G0021920.1:cds pep primary_assembly:Fonio_CM05836:1A:28640144:28651956:-1 gene:Dexi1A01G0021920 transcript:Dexi1A01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDWPPPDDSANTTGAGRRGHMTKKLVPSAPLPPASHAHVSSSPGTGGPPAMVTVRRCDPKFIRVIRLFRPKPIFRVPHPRAHYFLIATASHPVAHTIRNSPCSRWCRRFQGCEVHMICHANCGKPKQQKERRLSLATHAPPLPFWLPTCGAFDSWPQEFGVGSEPSVVSRVTTTPSVLFLFRQKLKPVAATKMELHGVVKREDHNPRPENLPRVVNAAAAPPALAVEAGDAAAPPAASAAPPTAVTLSPSHIPQAALAGQMSLAPRRPRQGATPRPPLHDASPPHDFPSASFRRRRSKIMRQRRSPRRSLDLLQSSLPWGRKGTEEGAAVGGEVLDHGSGIEGDRGSAMGDWRLPWTCADGDDRQCADREGDGVAFCSTPPGAQTTAPAAARHAGTSSTSTPWIRKREQASRRLLTSHHQLISLLFLSRRPARPSSISTTTTTSNREKEHKAASSSAAAVPVLALGCRGHLEQHQLLLPPEGEKGREATSGARCVDPPPRRRGSRPERERGGIGGGVNEVERDQQGERVRGDGPPAHDEAPASSQRCCPHCCGAREPRHLTRMAESRGPAPCLSYTRRSSKATQRTPSTLLASTLSITTRQSVPCSAPRHA >Dexi1A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:1A:12481304:12482682:-1 gene:Dexi1A01G0012480 transcript:Dexi1A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLSRPLLLVVIAAATASLVAGDFREDCIVEWEPQNSRLTNDGKGVSLYLVSRSSGSRLRTRRTFIYGSVSSLVRLQTSSVGGSHDEIDFEFLGNRAGEPYTIHTNVFVGDTGGREVQFKAWFDPAADYHNYTISWRPWYVDGVPVRVFRNYKASSHGAAFPTSRPMYGYCSIWASESDWATQGGRVRTDWSGAPFVARYRDIDLDVCNCSSSNGGCVTSGPAYGGACRLSDSELGQMQSVQRDYVIYNYCLDDRRWKNGKKPVECGLPQY >Dexi5A01G0038310.1:cds pep primary_assembly:Fonio_CM05836:5A:39344236:39347056:1 gene:Dexi5A01G0038310 transcript:Dexi5A01G0038310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHMNGSVQHGANGTEERLDELRRLLGKSEGDLLKIVSVGAGAWGSVFAALLQDAYGHFREKVQIRIWRRPGRTVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREMFEEISKYWKERISVPKYNAAGVPTENVLYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGKTNLSVFISCQQQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELPVRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >Dexi4B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:4B:9671339:9674188:1 gene:Dexi4B01G0012020 transcript:Dexi4B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEQPSNCLVPGNPPAEQHAPHEGQSDQNPDADEAEFLWNLRKYLVLLAILAAAITYQEGLAPPGGFWQDNQNGHTAGDIVLRVSYPKRYQVFFYCNTTAFAASLIVLILLLVRELNRNTVWLRALQFAMVLGLLGLMGAYAAGSCREVRTSVYIWVLLVSIFAYVTLHVIFFRHLAPKWLQDIFISIRRLWKDFLGRIFKNAQGTTDEPEPFDKMEELEQNRSFLLVLATLAASVTYVAGISPPGGFWSDDNKPRYLAGDPVLQDHHPRRFKAFLVCNATSFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYGAGSCREVHTSIYVFLLVGAVLLYLVLQWIPPIVIKPECVEKLIEWMIEKKKKVLQKMSSIDMRIEIPNNDKHATLSDPNTQYPSNNSMSIISADAKDDLQKLRTYLLLLGILAATVTYQAGLNPPGGFWADSVDGHIAGDPILEAMHPRRYKAFFYCNATAFVASLVIITLLQSKLITVGAMKRHILQTVMTLDLFGLMGAYAAGSSRKFSTSSYVFVLVLLVFTYVLLHVLLSAALRTRLNRIIDRFSTNDTIDSDDEDKDLEKRRKFLMLLAILAASVTYQAGISPPGGFWSDNSGGHQAGDPVFLDEFPLRYKVFFYFNATAFVASLAVIMLLVSKRLCHKGLQSYALRTCVLVDLISLMGAFAAGSCRKVSTSMYVILVVLVVSVYVMIQVLVLTFAKDKVNNFIERMLSIRPFERQCTSISHRRSIRVRKRTEHKWRKDLMLIGTLAVTVTYQAALAPPGGTWPDDQIDHFAGDPVLHDTHPTRYKVFFYCNATAFMASIVMVILLLNNTISKYKRSLRAMKTAMVLDLLGLLCAYAAGSCRELETSAYIFALVVAVFIYIFIHVLLSFDKVALLVKKKGEKWMPCLTKMWELIETEPSNHELSVRQDEEVVSVSPPV >Dexi8A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:8A:28879079:28879494:1 gene:Dexi8A01G0017120 transcript:Dexi8A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVRYLMASSLVILVMISFNSPSCRACIWPWCNPSPPCFQTSDKLCNEVACKSVCEVKGVLTDHAYCKLPKKSRQNVYLCCCPAEFSD >DexiUA01G0020500.1:cds pep primary_assembly:Fonio_CM05836:UA:42806848:42807280:-1 gene:DexiUA01G0020500 transcript:DexiUA01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILLRQRHPLVGILGTGYPGLSREHKQPNCSIPSPPLLLAHNLLPQFCRAELQCWPAEPRCARLGTLCPCTLCHPIDRLALMIEHCQQPPHSASSRNADPRLTVNTSAYSLAGTLRYSKMRSLISFSTVPGSAPP >Dexi4B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:4B:21515229:21516726:1 gene:Dexi4B01G0019220 transcript:Dexi4B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNENLPPNVIRQLAKELKNLDESPPEGIDVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVDKSSTASSENTPSMPPAVSTSTASRAFGTNLQDQNPTVSDPAVAAGSRKKDGPMASKAPLDKKKMDARKKSLKRL >Dexi2B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:2B:23241210:23242083:-1 gene:Dexi2B01G0013990 transcript:Dexi2B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSYREWSASEMKLVKSLIAEHNTDNMNKEHTNIVEELQERFPWKEKRQVTNLYNSIMLETMQTSNENEVASRNYVSCNLTMSVGDSSRRNMDTLCGHHTEEIGDMRQSEGVLQRQPTPDKQESQPRFWTPDEHRRGARFEFPSHQSIMNPIFFFCRRFLQGLREYGRGNWKNISKFCVRTRTPLQVSSHAQKYFLRLENSDRKQRYSVNDIGLDDAEPWALKNSSSRDEGPAFTAGTYNPNYHV >Dexi9A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:9A:518643:519597:1 gene:Dexi9A01G0001020 transcript:Dexi9A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSSAPDLAVLSASRPRPPPDRKLARPTPPPPQQQHRGSLAPHGASRPRPPSTPVKNGGAPPQPRGQSDPSLRRPSALAARNGKPASFMAPRGAQTVRPARRLAPGTAVYVRTAFRPRNINCRILLWLPARVVSTYDAYHLTVKYAADLNDMFAGKIVSKPVEDVRVAPQRAAAKAEPGKVDTKALSTSLNASKSNAPAG >Dexi4A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:4A:24380895:24381269:-1 gene:Dexi4A01G0020640 transcript:Dexi4A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPAAIRPRLAPAGFAQQQQQQLRAIAPRRARLLMINSGTLLMSAAWSAIISIIMHNSSGTDDPVPTAGGAPACAAVVAFILFVAGVSLVLLALVADQSPRAAMVGVAIATALRRYLLGLGY >Dexi5A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:5A:25382527:25386112:-1 gene:Dexi5A01G0021580 transcript:Dexi5A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGLSSSSGSEGLGCNGGHGNNMRDLDMNQPASGGEEEEFPMGSVEEDEEERGAVASGPHRPKKLRLSKEQSRLMEESFRLHHTLTPALAVKLNLRPRQVEVWFQNRRARTKLKQTELECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVITPHTRQPLPASALTMCPRCERITAATGAPALRPAAAANPFHPRRPSAAF >Dexi7A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:7A:16208857:16210877:1 gene:Dexi7A01G0005090 transcript:Dexi7A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGGGGQEAFDARVRRLFGSPLFDDVPDSSFPAGSWSVASGDVERRRWAKPSEARDAEEEAAGEAARGDTPCASAFYDANGCLRGRRRRSRQEEFEGDLDDLDEDDEEEDGEMGRKAAEDDEEEGVRVNIGLDPTLDREEEEDKYDREAFGREDASDRVYMNDIMDDGINMSINSIVPDLLGDSIEEVYRFSKDPRADIRAASARLREEDSSAIDGNSHYSAHAKELPALGMQTMKAAEDVNVKPILKRKEEQADLKPRKRVRFDASVKDPESDMFEHNEDSPMVPQSMDVVTEKEQTSAPSESPGVPDYVQNPSKYTRYTLDLPESNDASNRRALADLHDLLGKSDPKKIHSETPIEIPSSVTFIPRKKSVDAMAVDEGPKTSNSSSSVIGMVARVSDEPDQCEMDEDDCKASSTPQMHTNSKAGSRRYRSSRTDDDE >Dexi8A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:8A:2032583:2032846:1 gene:Dexi8A01G0002960 transcript:Dexi8A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESTTSPACPICLEDLEFGNMLSVMPCSHRFHVGCLAKWLALSHRCPCCRHALPVINRDTRPFLRRSSRNRQANVRQFGREWNRG >Dexi6B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:6B:13631809:13633492:1 gene:Dexi6B01G0009350 transcript:Dexi6B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMGLNPPFGLKATLANKFIDKALTFKPKLIILIVPKETERLDQKRQPYDLVWEDTGSLSGKSFYLPGSLDVTDKQMDQWNVSPPPLYLWSRPDWTQKHRRIAEEHGHSTFKTARSGSRNETYISENTNFIVEKQEQVNGFPPEKLLEVVCEEKKITFNKNYACQANQNGADHEDAHSGFKIRHHYSERREEISADTSRRLRESEKTGDATTPDSDMSISPSESRNSQYKSRNDSSIHSEYPSERMARQDNYFSNSVQDSCTSLERVPHEDFIRDVAEYGVASVEKHLAFSADNVGAGLRMHSSDLKELNGVYAGGTNSYLYGLASGGTGRSYYRSQNIENCLMDYSMENAGIAQRNTVAGSDVEDARMYEGYIQDNHTLSVANTNDIRAQIRMYGRHTGNDHHKTTTNPPAMDIRAQIRMYGRQSTQTNGYPGFAETQSTLHVFQTVEAV >DexiUA01G0027220.1:cds pep primary_assembly:Fonio_CM05836:UA:58268257:58270273:1 gene:DexiUA01G0027220 transcript:DexiUA01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVFTSHRSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi1B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:1B:10333760:10334932:1 gene:Dexi1B01G0010640 transcript:Dexi1B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLGGSGAASRNRWEYINAGVYVFAAILLVGGFLGQLVTPWGPGWSTRGALVVAAIGLAVVVAVNLHDLLAHVAGVDYRLGMAAGLDSQLAFVEIAVPIVQIVGTLLMLVAFIFFEIQMERGYSHGLARHGLNLLIAGPALWCLGSVHNICQVYERASGHVQLLQKSVQIPLLLGSTLFLVAGIVNRHDRRSRGTAFMLLGRSWAWFCLFGSLLFLAGGVLNLLKVFKTQQMGNRGMEKLRGGAQERLAMEREGKVPLILEHGGGGRRGNREPPVMVPPPPPPGSYKDALVSSAS >Dexi4A01G0022830.1:cds pep primary_assembly:Fonio_CM05836:4A:26107758:26111131:-1 gene:Dexi4A01G0022830 transcript:Dexi4A01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQARSGHGHAAFGSCSAVPRAGSRLRSTAVRVSSEQEAAVRAPSGRTIEECEADAVAGKFPAPPPLVRPKAPEGTPQIRPLDMTKRPRRNRKSPALRAAFQETSISPANFEKMMLLSELCRGAIGLGGGMGFLMRFTRHSPTGDEAYNDNGLVPRTIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGALGMIDEQKVMMESLMCLRRAGADIILTYFARQAAGVLCGMGSK >Dexi4A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:4A:4298656:4301328:1 gene:Dexi4A01G0006000 transcript:Dexi4A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVSTALTITLSPGSVSTMSEALRAASVASSTAMPMSAFFNAGASLTPSPVMPQMWPRSWRRLTISYLCSGNTPANPSAFSMSSSMGMPFTVPFLSPRRVVEGYMLTPAGLLADGELVAGDHLDVDAEVESSPDGLGAVVSRWVEQRQEADELPRVAGALLVFFRHLLVRDAERPEATVGLMMSSETIPTKSCQSGGFPPPLARTMAMMAAISMTHDSGFHMKPRNLSSLLSFFSSSLLGPNALSRPSPSALVRPLRSHFSVLNTSSTGILDWVGGWVRRRLGGGDGGLRGGRREGGGACTASAPLSPWMDEDGVARGVGEGVL >Dexi9A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:9A:15263812:15265431:-1 gene:Dexi9A01G0020320 transcript:Dexi9A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYRIASPSEYLAITGYNISDVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDEECLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKEREGTTRQNAAKVDAETRVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAAANSELAMKKAGWEQQARVAEVEAAKAAEHLSKAIVDYEMKVQEANWELYNRQKAAEAKLFEMEREAEARRASAEAAFFARQREAEAELYAKRKEAEGLAAMGQAQSEYLSAMLGALGGSYGALRDYLMISSGVYQEMARINADAIRGLEPKISVWSGAGEGGDGGGGGGAMKEMAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGPSSTSS >Dexi2B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:2B:831330:832425:-1 gene:Dexi2B01G0001320 transcript:Dexi2B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGGDGEVSGKRAKPSSDNAGGGEEDRISALPDDVLVHILLRLLTPEAARTSALSRRWRRVWTLLPELRFLYTQDCRQIRAALEAHEASLRCLFVGIRDAAPDSVAAWLPAAAAARRISGHLVFHNIERGNNAQEEAEERGAFALPCLERATTASLHLGLLGLAVPPAGVFARLTELSLTCVGIHGPGKLGHAVSSPRCPCLRKLTVSDAWGLDNLVIHSDSLQQVKLEDLHGLRQLTIVASALKDLDVTRCFMNNRKQLVASISAPQLVTLVWDDLYDPSFVHLVGQ >Dexi3B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:3B:6825777:6826893:-1 gene:Dexi3B01G0009860 transcript:Dexi3B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFQQQQHHHQEDMSSGTSSASAPCAECFKKMGLAMRFECRCGKAYCLNHRNSEAHHCSFDYQRAGIISIIRNNPLVEADKLQDRI >Dexi1A01G0022510.1:cds pep primary_assembly:Fonio_CM05836:1A:29174530:29175578:-1 gene:Dexi1A01G0022510 transcript:Dexi1A01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDGGGAAKGKTRLGSNMDASMGDEAVAEAKKKEVASSGDSCRGSLGKRFKAVKVRSSLQEQSGAKPKSEQVGSNTTTAYPAETLVAMDYLDANPAPAVHNR >Dexi8A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:8A:21760238:21761375:-1 gene:Dexi8A01G0012430 transcript:Dexi8A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAGRERRRKRGSIRWGKTPRKAPPPPGPGSSSSPRGGVDTPAASATTLPAGALVEVRVDGAGFRGVWFEATVVSYAPARGPRTPARYAVTYAHLLDDADGGELKEHFAPTHVRPRPPPHPPSDGDGDGDGSFPPRFRLHDVVEAFHHDGWWSGIVVSAPDSPDPRASVTVAFPLTREVIPFPPRLVRPRRDYVDGGWVPSRSVVVVRPTHAVRVYKAGDKVEVGRERDVYGYSWFPATIAKAVDDLSYIVEYFDLEEEGDGGGGPGKATEYLHWSFIRPAVEHLPRESEFQLGPGAAVEAYCDGAWSPGVVSRVIGDGEFEVSVAGKKAEQLVAKVVELLKPQYKWNGKHWKIVIPKLI >Dexi7A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:7A:28073190:28075469:-1 gene:Dexi7A01G0018780 transcript:Dexi7A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEASTSAAGVNFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADGARLRRDLADRDRTEADLRARLADSDARLAAALEENAKLAKERDSLAATAKKLARNLAKGGLA >Dexi8B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:8B:5844501:5846239:-1 gene:Dexi8B01G0005600 transcript:Dexi8B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESPPPAVMSPDEEEIMSAPQNTLNIEDPKMKGPLIVDAVPLRVVPYGGKEPSPFDKVKLDLLRSQSVPVKALCIRDRKKEVGFPVPLKSHQAYKEGDWKAFIDRGVHGRRKDRSYRHREYKKNFRSKRLVKEFLDTNGPVTGMFRGKRLHKK >Dexi5A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:5A:22649389:22650360:-1 gene:Dexi5A01G0019150 transcript:Dexi5A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEIQIAKQLSQRQQQEELPLAPEDGATVQQDHTASQDQQQQREQGVVDAGGATGRHHRSKQQLTVLPLVFLIYFEEAGGPYGSEQAVRAAGPLITLLGFLVFPFAWGVPESLATAELSAALPGNGGFVRWADRAFGPLAGSLLGTWKYLGRAIVTGGRARTGTVVGMTVLLSLVSFTGLSTVGWGAVALGLVSLAPFVIMTGMAVPKVGGGAGGGGGGSKDWWLFFNTLFWNLNYWDSASTMAGEVDRPERTFPQALAVAVVLIAASYLLPLMAAIGATDAPPAKWTNGYLADVAGKRTCM >Dexi4B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:4B:7277480:7281447:-1 gene:Dexi4B01G0010000 transcript:Dexi4B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPAQGAAAEEERDPDAAVSAPSSSPTACSPAVSRFATLLSLGVLQACDYAFWGVRLMQVWKQRLAQMGGRVVEKLDKGGAAAGVNHVLAMDAKALLRELDAPWLHRFRGSTVSFEWLEECLKSGERLPEHKFAINYEEEFKPKKAVGNGDSGTSQPSKRSRTSSEDPKYQQRTGGEDREEHRDASVDKSPGVETRPTQYASSQSSSGDSKDTVGSHGKFDIEEASSGGPSIYAPADLNRNITKIFGRLIDIYRALGDDRRSFSYYKAIPVIEKLPFKIESADQVKDLPTIGKSLKDHINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGVGPATALKLYEKGHRTLDDLRKDDSLTNAQRIGLQFFDDIKQRIPRHEVSEMEKLLQDVGKDILPGVIIVCGGSYRRGKASCGDMDIVMTHPDGESHVGFLPKFVQRLKEINFLREDLIFSIHSVDVSCKTPPPVFYELRNGSNPMQGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRNRYACGLLAWTGNDVLNRRTPIITCRLRLIADSKGYVLDDTGLYLATQGSGGKHAGRSDAIVSCHTEKDVFDTLGFPWLEPHERNL >Dexi5A01G0029780.1:cds pep primary_assembly:Fonio_CM05836:5A:32835516:32843440:1 gene:Dexi5A01G0029780 transcript:Dexi5A01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGSGVALLVLLGLCAAVLCSTPPPPEMLHESFAGKSEFRTVNRKMLGSCLNPSPYLSISVSTGDAPLPDEAFVQITVAGVLRPSDDDWVAMITPSNSSVSGCPFGGVNYVQTGDLAHLPLLCHYPVKAQYLTRDPGYLGCKNATCQKKKRGASRGCRVRTCAATLTFHVVNFRTDVEFVLFSGGFRTPCLLKRSGVLRFANPASPLYGHLSSTDSTATSMRLTWVSGDGRPQQVQYGAGKFVASQVSTFTQKDMCGIPGLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYTYRYGRDYAESGSVYVTLDSGGECGVAYESYFRMPAVTKDNPWYSIEQGSVHFTVMSTEHDWSEKSEQYKWMNQDLSSVNRSRTPWVVFIGTCAVYQGNCNGMPTKDANGIDVYDSSNYTAPIHAIVGAGGFSLDNFPKIVLHKWSSSRVSEFGYARVHATRDDILVQFVNSSTMEIRDQFRIVKRAPARKL >Dexi9B01G0021880.1:cds pep primary_assembly:Fonio_CM05836:9B:16571327:16572627:-1 gene:Dexi9B01G0021880 transcript:Dexi9B01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPAGRLPVPNREVLEHVSSILKDRPYDCKMSDRKSCRKGLTDANSALMETPSLHAAEEK >Dexi3A01G0031660.1:cds pep primary_assembly:Fonio_CM05836:3A:36033095:36037181:1 gene:Dexi3A01G0031660 transcript:Dexi3A01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDAGHRAAADMDGSAASALPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYAAFISASEALSFVRAQLEGFDSHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVVQGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFGESELRLQFLRCREAWLSGILEDLDQRNVYDYLKGMVTCHRVHLFDVVNQYRAIFNNDKSGNEENYDGGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSNILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKDVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESALFLSLCQAFIEVVYPYCAACFGRCYPNGATLITECQSTFNAVSQLLTVPARSNSSIIDRKQSGGIERRQSGGIERKQSGGIERKQSGNIKRRQSGGIERKQSIESAGSAAADNGLQADGPGPEVNSDAATPAPTVQDGDQTSPP >Dexi4B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:4B:5779865:5780839:-1 gene:Dexi4B01G0007970 transcript:Dexi4B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRLPSPHAMDEAASAAAAAARLRTSTPRSKKRTSRSKSRARSRSRSRARSPNPNPSSRRERVPEPAAPTPAPSRKSDRRPKPRYIPDSATLATAIAASAAAAAPASSGGGGGRGSAGNSSKLWREADEVALLTGAAAFKDRTGIAPRRPDMPELFDSIRDSLAPHLDQAKMYYKLKRLKSKFQRSAYSNPSTAHERRVRGLCVALWGGELARPVQNDVVDAEEAEEAAASDRGLVNRDREGAERLPMVKEVLGEYWKMNGQGLSGVSLEKGLALVESQEARVAEVKWKRQLEEDMRMQMRRHDLRKEVYGLLIDAIKGLGP >Dexi5B01G0035440.1:cds pep primary_assembly:Fonio_CM05836:5B:35447716:35453640:1 gene:Dexi5B01G0035440 transcript:Dexi5B01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIISEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEQRFYKDLRHENFTLAKVVPCIYRKLLCSCKEHRPLLATSSLSTIRALLDQKAHDDLQVDSTHMFNLEGLIPKLCKIGQELREDDKGLRLRSAALQALASMVQYMGDHSHISMELDEVDPHLGMMDDCQLVNTSADSSNSEMVYGSEEDESNARAFLSAINHDDAELIEIVMSHFKKKFENLPEDDVPSELDDDDDIIFEHSGSQSDRKTSGSMASSDVLTVHQLIESVHETARQVANVPVSANPVPYDQMKSQCEALSSRRSEPELQSSRKGRMRRSDSASSESDCSFRLPPASPYDKFLKAAGR >Dexi9B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:9B:9775201:9776057:-1 gene:Dexi9B01G0014640 transcript:Dexi9B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKFGAICSRAAASVFSRRHRRILFPSTPTPPRFLPIPQRLLPRSLSTIAMAASNGSAAVDAVQEPPQKITKIAPLLKVKKLSEKAVLPSRGSALAAGYDLSSAVEMVVPARGNALVPTDLSIAIPEGTYARIAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDNEFAVKPGDRIAQMIIEVIVTPDVAEVEDLDATVRGEGGFGSTGI >Dexi4B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:4B:6745407:6749933:1 gene:Dexi4B01G0009390 transcript:Dexi4B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDLSPPIPTRYTIVSCLDVLPLSPLLSYAPSSLALFFLRSSSSLQRTSYRLHLALVASLISLLLLSLERRRRRRRRCNASTAPHHHTKSAHQPPQELFVVVDTTGGGRRPNFPLQLLEKKEEHHPCSTSPSSSALVPVAGANGSSAPGESLQVRKVAPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSIPAHLRAAACLPGAPRFGAGARGDPWGLGFGAGEGPPSATSSASSPLLLSFHSGSVGLDVSPSSTSSSPAASSDLSRKRRWEQEMQQQQQQYQQQMAGYTQSQMPGGTVWMVPSSNAQAAAAAAGEQSIWTFPQGASGGGGGAATVYRGVPSGLHFMNFPAAPMALLPGGGQQLGLGQAGGGGNDNDGGGGGGEGHMGMLAALNAYRAQQAASEAAAAAAQNGAGGGGGSSQQQHHQHGGGERQESMSPSDDSTELIISAQYISSSRRTNPVGFQPCRRHRRRCRRRHYEQAGATQVMHDDPVRSQRLGTNHAWPRPRPKLPTQLPGGDSARVARWGHERGGKRIPVAGAGAVRRRTDPTGPRAGAVAVLGDSCSSRRPSRRRDGSGPAGLCAALQGCPYCLPLRPGPPCVAGRSLSDFISIHVRPFPTTTQRLTPVAETSELRRSTFHCNPRAQRIGPAPRSARSVVELAGRIGSTESKEKGCGPELLRSAKFVADYLATRKGARARGRDDEANNVLELSVVLCTVVTLVQRRPAAAAAPPAP >Dexi9B01G0036940.1:cds pep primary_assembly:Fonio_CM05836:9B:38411201:38413547:1 gene:Dexi9B01G0036940 transcript:Dexi9B01G0036940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSSAPEKKRKWLLSNRKVIDKYLREARAILAAAAPEAGGGDAVAALGLVDAALELSPRMEAALELRARALLSLRRYREVAEMLRDYIPSCGKSCSGDDTSSSSAAAASLLSSGSGDLGTISRAKLLSPDRHRSDDADTDAARPVRSFRCFDISELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSEDSFSSSSAAAAVAPVHSGKTSKSGSAFIIPAMESEAVSQLLAHVKLLLRRRAAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRARVAAGEACNIDYYLLLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFVMSAVLDEEAAERQRSKDAAAAAALAAAAALVAKQEAAKQEPPPLQPVPEVSNNVATARPRSPPGGAPNAKPKPKAAAVPAMAKAPVAVTSTAPVYQGVFCRDMAVVGTLLSRGGGFDRALPVKCEAMSC >Dexi3A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:3A:16097783:16098774:-1 gene:Dexi3A01G0020270 transcript:Dexi3A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHYLLFILLCASTLILTTAATTNDNLRFFLFNYCPDKTNYTRGSAFQANLNALLSSLPDSAAASSGFATNTTGAAPDQAFGLAQCRGDISASDCSACLSDSASEMASKCPGKKSAVLMLPAPLLQRELEPTGAAGYVCNPYNATQPGLFAASLDTLMHGLAEEAYGSPRMFAAGSVNLTAYEKIYGMAQCTRDLRREDCSFCLANAVRMLPRYCPGRKGGRFFYWSCSVRFEMGPFYDDDHAAEPSMTSAPAPGGVPLNGSDHNVPGTMPN >Dexi3A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:3A:13006264:13006871:1 gene:Dexi3A01G0017150 transcript:Dexi3A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGASAPSAGGSSLAIAERQKPAPSCVAALFQMFARRKLFSSSSKKSKLLPPVRAPKYSPGRPAGSAEKTAAAKMRPLLKQN >Dexi2B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:2B:5932833:5938052:1 gene:Dexi2B01G0006350 transcript:Dexi2B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAATSTLAPAALAARRRRARPGAAPLPTTRRASVVRCSLDSNVSDMGVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDKQGNHIEMGLHVFFGCYSNLFRLLKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKLYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFMSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYEKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWREWEMFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTADADFSCFSDLALSSPANYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVVELFPSARGLEVTWSSVVKIGQSLYREAPGNDPFRPDQRTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLVIGDSEKALGNVQVLQTS >Dexi8A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:8A:11506639:11512662:-1 gene:Dexi8A01G0009060 transcript:Dexi8A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPPEPAAGLENMEGLVLDTVISKAGARPAAALACASTRLRAAVADESLWRRFCAEDLGLDAPIDPDGRPLPSFQAFWIPHKQTPKKPFTYSLCSDMLLPAEIGEWYDQDPYADEVKVRASGVFVPEYPQSPGPQRSFMHTYSIRMSVPEAIMLGGVYYSSCQLHSRHWTIRSRDIVVSDVGGEGVIGQYPVLSPGQDEFVYESCTPLPEAPGSVEGSFSFVPGKLSRPEGKPFEVKVAPFPLEVPEYIF >Dexi8B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:8B:24429489:24430298:-1 gene:Dexi8B01G0014080 transcript:Dexi8B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAGALPSLLPKLAQLLAGEYNLQKEVKGGIIFLQAELESMQGALEKISKTPVEKLDDQDKIWARKVKEMSYDIEDTIDKFMVRCKGREPTEQDGFKEAIDRALKWLRQPKIRHKIAKEIREIKSRVEEVAKRRDRYKINSEVAKPVTIDPRLFAQCEKVTELVGIDEAREEVTKILMEGNEVCKKRGKIVSVVGFGGLGKTTLAKVLYEKLRPQYMCSAFISVSQTPDMEKLLKDMFYQVAMKCNESTNVISELSKFLEKKRYGSI >Dexi3A01G0024840.1:cds pep primary_assembly:Fonio_CM05836:3A:20435767:20436371:1 gene:Dexi3A01G0024840 transcript:Dexi3A01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRCPVANLPPSSSSSASPSTDASLESAPLGSCSSPRPRPGRPPPPGRLLPAAAPTAKPLPLLPAAATAAPSXXXPPHHRGAPPPPPPSSSYYYHPHPPPPHAYHGPWHPAPAPPPPQQPPALTGPPPEYVEHQQAQKVKNYVNLHKDTIRLEPDGADTDRRLVAFTFDAITDGR >Dexi3A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:3A:12806398:12806880:-1 gene:Dexi3A01G0016900 transcript:Dexi3A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPCPCVRHHEADESSEGTAAAGAKRWRRKPKRQASRRNARAARPGHVVPVMDMPAEDDGGEAWRLPECPAGFGRDGGEVRRVKIVMRRKDVAELVARLEKQRVHAGAGRNAGGAMADELNVVGHSNANVVNDGGRVTMSPCRDAWRPRLSIIPENY >Dexi4A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:4A:2581428:2582798:1 gene:Dexi4A01G0003610 transcript:Dexi4A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPFHLSIASRTLVRASRPPPGHPAVLAATNLDLVLGHFPIFLVSVYAAPAAGLDAVLAAVRGALPAYLSHFFPFAGRVVLDADTKIPEVHCSNAGAEIVVADAAVPLAAVDFTEVDASLGLIKIPFDASIPMSLQLVRFACGGFALTIGTTHLLADGRAFAVLQAALAEMVRGGALSGEPVFDRSLFKPRSPPRYGASMDAEFAWFTPRNLINTVLAAAIRRRMYRIDAADLAALQAAASSPPRRASRFVALCAHVWRLLARAVGDADPSCRMAWIVDGRKQVEPSEGALDRYIGNVVTYTSREASVAEILRAPLPEVAAMVRAAIAGVLTAARFQELTDWVEERKAAFREGGKWTEEVNLGLGSPALVISGLLPFPIDGDLGFGKPRLVMPWLRHHRLGSANVTVVPSPSGDGSWFFAATRLWPRLMEVVESDPFFKPAASLGLTAPAGSRL >Dexi7B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:7B:13744987:13746836:-1 gene:Dexi7B01G0006140 transcript:Dexi7B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVGKKWKSIRLNIQNCYHAGYFRIIINFVAFAVCYSNRPLFAILYFFSFVLDGVDGWFARKFNQGKSSHKDVKHTSNWLLKFYYGYRPFMAFCCVSCEVLYIILFLFANEKSTSLLSVCRVVLKQGPLIVLVFISTLVGWAVKQVTNIIQVSTLCIFLQLNCYPHLHIKTAADTCVEFDPKRSK >Dexi8A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:8A:8520180:8521824:-1 gene:Dexi8A01G0007520 transcript:Dexi8A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKQGGAGGGGGQKGLLWKLPEITSNELGKIGPGLGIGVGCGAGAGVGFFGGAGLGFGVPGLTLGFGVGAGCGVGIGFGYGMGKGIAYDEHKRYSNVGKMFQEAPRLPT >Dexi9B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:9B:378648:379577:-1 gene:Dexi9B01G0000560 transcript:Dexi9B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAVNKKRRLPKQDDSLDSESASATLDGQLIKYQPMINEAAKAMLRKILKLDAPHRYESVGNSDNFLLENYIPATQGFDSSSSTRNSGVTLAEVPANSGLPYVPASSGLSAICSSSVGPEIQCSVALDNHSSNQVPNMSVVPPVSKPLTPAPSDITIPEFPDLADIVPEGSVDIPVGPFGMPGPEFPLAEEGDDSVPIETDEIMYNDDTQKLPGIVDSFWEQFLVGSPLSVDNDEVDSGVLDARETPQENGWNKIEHMANLTEQMGLLSPNHRV >Dexi7A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:7A:1203468:1203773:-1 gene:Dexi7A01G0000410 transcript:Dexi7A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSRGMQSGGSSKGTREAQRKEDGRGGRRTRISVRISSLSIVGGDVVRVERRRLRLTET >Dexi7B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:7B:2276666:2276971:-1 gene:Dexi7B01G0001320 transcript:Dexi7B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEKVLVRHQMDLEELQRRDPETVERRQRGPATVAQRQKDPETTARRQRGPETTARRQRGPETTARRQRGPETTARRQRGPETTARRQRGPETAARR >Dexi2B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:2B:8267652:8271296:1 gene:Dexi2B01G0008140 transcript:Dexi2B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATAAASGPHLLLLPLLLLCLSSLASPAEPLAGNILGDARAPAFAAWLRGVRRRIHQRPELAFQEHRTSELVRAELEAIGVPYTWPVAHTGVVATVSGGGGGPVIALRADMDALPLQGTVKLVFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPVLPVGVVSGRPGPFAATSCRFLATVAGKGGHAALPHQAFDPTVAASSAILSLQQIVAREIDPLQGAVVSVTFVKGGDAYNVIPESMTFGGTLRSMTNEGLSYLMMRVKESHCIVYAFHTIDESCMLDCRRESVVHRCTSTVDFMEDKMKIYPAVINDEGMYAQANSVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGSAFHASVAIEYLKKHAFA >Dexi1A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:1A:4446391:4448432:-1 gene:Dexi1A01G0005960 transcript:Dexi1A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARFNGMIGGGGGGKRMQDNEINGFYNMSYYQKFGEGSHMSVDSADGFNLANCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILNNYEQWTIDLGRLDMGDPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSLRQFLARRQSKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGLLPFTNMTAVQAAFAVVNKGARPGIPPDCLPSLTHIMTRCWDANPEVRPPFTEIVCMLENAEMEIVSNVRKARFRCCMSQPMTTD >Dexi5B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:5B:7537688:7538990:-1 gene:Dexi5B01G0010680 transcript:Dexi5B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDPSAAAVAEALPPSPAPSPPPPLLTWPSPAPAPALEASTSPTGWDAVWALEDQQRRRLNRIWERGVAWKPSPPEGGDDAAPAPVVFRLDHGGEVESDGNCLFTAARTAAAAKADARELRHRAVRRFAEVYAAAGEDDKAAVDAAVRHLYAPDLNAGWGVHVVQEIKVLAPKAQRDSLDAAIQELGNCG >Dexi6B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:6B:14122115:14125801:1 gene:Dexi6B01G0009640 transcript:Dexi6B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPPKKAIRNPGAAGARPGGSSAGGQRGPAGNTVKFARRTASGRYLSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMMDGAEPASVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVSHPQMAGSKGSSRCAMPACDGKVMRDERGQDIDPCECRFKICRDCYLDAQKDGCLCPGCKEHYKIGEYAEGDPNDASAGKHYLPGPGGGMNTSKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDEGGGGGGGGGGGDLPEQKPFKPLTRKIPMPTSIISPYRIFIVIRMFVLLFYLTWRIQNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHNIEPRQPDSYFSIKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINSLPDSIRRRSDAFNAREDMKMLKHLRETGADPAEQPKVKKATWMADGTHWPGTWAVMLKPPSPDPLYGMHDEEQLIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREAMCFVMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRATEYTGLLFNKKKKVSSTSFDPETDTLSLKARDFDADLTSMLVPRRFGNSSALMASIPVAEFQARPLADHPAVQHGRPPGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINMTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLCYLLTITITLIALGILEVKWSGIALEDWWRNEQFWLISGTFITNFTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADENEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEATAGGRGAGFQFP >Dexi5B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:5B:8237886:8239714:1 gene:Dexi5B01G0011610 transcript:Dexi5B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAQAKATKGQQSDSEESPPRRGREARGPDLISLLPDEVLGSVISLLPTKEGARTQILSSRWRPLWRSAPLNLDTLHTRGRSITKAVVSRILSEHRGVARCFNVSRSILGDDSDTLDGWLRSPALDNLSCQFPEDTAHQLHFPNLEHLELQSVTISEDSLLSMLAGCPVLDKFELIYGYEGPPRPQMPPSALRFSSTLRVAEFVRCQFPNAAACQAHFRNLQHLNLEMVTISEGSLHAMLSSCPVLNSLILNYCSGFRCLMINSPKLKHVERYFGRSETEITLEELTVVNAPCLEKLHHRAPYEDSMYISIISAPKLKILGRITEGIFRLELGTTVFNGLHDVRVATIMRTVKVLSLRIEYLDLDVIINFMKCFSCMEKLYIKTYLMDMNTESMRLHNSKDRLECLDLHLKKLRISYYHGTRSHVEFAKFFVLNARVLESMVLDVEHRKIGYDWWIENQRRQLQLKKRASIGAQLSFTSDDCFNYLNDIHEFRSM >Dexi9B01G0048920.1:cds pep primary_assembly:Fonio_CM05836:9B:47784834:47793399:-1 gene:Dexi9B01G0048920 transcript:Dexi9B01G0048920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPPPTSSNAGLRILLSKDRPAPSTAVSTLSSHADRDRIIGVFRSALSRNEPPEAFALQTVQEAIKPQKETVLVLEENQSLENALRKLLQELVLSAVQSGKKIMQYGNSLDSGESNCLITRLLVLISVLRNQCSILLDIVLYLCERGHVEGGMVFQLLEDLTEMSTVKDCKDIFGYIESQQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDATDGISVDFNFYKTLWSLQEHFSNPALTSTNPAKWQRFSSNLAVVLSTFEAQPLSDDEGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPAGGMKEEIVSCEERVKKLLEVIPPKGKEFLKSIEHILEREKNWVWWKRDGCPAFEKPPLEKKSVQPGGRKRKPRWRLGNKELTQLWKWAEQNPNVLTDPDRVRMPSITEYWKPLAEDMDPSAGIEEEYHHKSNRVSLNPSFTNCWIAAFVCQVYCWKGLRFSARQDLDGFARFSDYGIEGVVPSELLPPEVNAKFSSKPAEKVAATPETDGGSAGDPEEGAVPMDSDNGVAEDGQKQSPGEVSGPESGQCEPEADGDDNMKTETSSKDARAGEK >Dexi4B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:4B:3884145:3888387:-1 gene:Dexi4B01G0005480 transcript:Dexi4B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSSVHCSTSIRSSRPDRMHNSSHDAWGPGPLADQSSRRTVVLLLRLDAEARLAFCALLSSRLYAYMMRSKSTAQWQASSSNPGRPGPARPGPARPQQHDE >Dexi5B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:5B:20285978:20288884:1 gene:Dexi5B01G0018220 transcript:Dexi5B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKTLVTRAGSSLLGRLLASPSPSLLRAGLPLARLQAHVPPPPSARLDAYDAEAVARLTSVSGGISFPCGLPSLRFFIDDVEDPVANEPLLLIKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Dexi9A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:9A:12129923:12130640:-1 gene:Dexi9A01G0017070 transcript:Dexi9A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAELTVLSSKQIAQLLDCTTWTGAGPGLMDAAIKGALEADKPIGGFKIAKEAGEWTTSNFHPYLPPETYLTCRFFSARKHALVDAVVRSSPADKTAVIALPGRIGTLDELFEIMALIQLERIGSALPVPFLLLNYDSYYSKLLDFLNDCQDWGTVAPGEVASLWKVCDGNYEALEYLAEFYNVPAAKRNYQISPQLKEHRTSYSTS >Dexi3A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:3A:11364811:11365803:1 gene:Dexi3A01G0015300 transcript:Dexi3A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWCRGEFNDRYHTRSSASASAPRRRLPATISTIELRKSAYGLCDRFTTAVLPPPATAAPEPPPSFRSSTTGFFFFSFSCSLLPGAADAAVRRNAPPAGLRAPPWRGKSTVESEEKLRDEKSVDLVSVVVVVVVWPFSPSLLNGASSPSSVSVEDDDDDQLQNRRGADDGGRGGAVDGDVNERPRAAAAAVAVATLASSMDDLGLWLAALLVAVGGGAAALMVVMATPTKAVAEVVAQEEWRRNCASRCLSRPPTPWPPALSWAWWRLLSMKDF >Dexi4A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:4A:7526020:7527405:-1 gene:Dexi4A01G0009530 transcript:Dexi4A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVYQPLDPHYHKPSSKSHGCFLSKPITAWLACGFLSLAILHLFCCSPADTPQAMFSPLRQYINNTYSFVSSVPGGGRSCNYSVGNWVKAPGYGRRYNATKCNAKESHDCIRNGRPDTGYLDWRWQPRGCPLPAFDAGAFLSAMHGKHVAFIGDSMARNQAQSLICLLTASFPYRLLYRDEGPRSFNFWRYAFPTHDVKVSYYWYPFLVKATGKSLDDAIRDNHVHLDQPGDRWAADADTFDVAVLAAAHWLLNGAIYYNNSEVIGAHNAPPELNYTGVGYAWPLKMAYRTSVERLRSSSSSPERPRTVVMATFSMSHFDGKPTDDPTACLRTEPYMDGEVDNEWVFREVRDIVHDEAAAARARGGENSTLRVEVLDVSKLASLRPDGHPSLYMRPNPLANGMPERMYSDCLHFCLPGPVDTFNEILLQILRKKR >Dexi7B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:7B:24012572:24016293:-1 gene:Dexi7B01G0018160 transcript:Dexi7B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWAEAALVLASPSPAAASSSSSSCGGVRPRAALESGRLFCKVLPRPPPLRSVHFLTYYGVLVAAGLVVKRGPYRCFLQGIGVVPGQSADVSMLTCWRQCCSPCLGSRTGRAAGASSPRQPCRRERPTPEVKKAPHRRSRPVLAPLSRACRHPVLVRAVAAAPNPPSSRRPRALGRRSRPEPPSSRLPLALGHHSRPLLNGGGARPHSSPAEEQVGGSTATMLVQGFPCSMRAKAGFQINNYRTRTFKIKAKTESGDGYTRLAPLRFESPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQKEEALKVPQDLLYKRIAEVKEKERQNALEEIIYCWIIYKFMDNDISMTPALSPGGGPVRDISSLPNQKDKLQSIHSPDAFEMIQNHLNLIMGEKIAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKSMKTLPPNPKQQIVFENLKPNPFWDMESLVQITPDGEEISLDDEESNPNKLRSYVSQLDPDTLQRYATIRSKEAVSLIEKQTQSLFGRPDIKVLDDGSVNARDGKMITITFIELNHLVLEAVAFGSFLWQAESYVESKYHFVNS >Dexi5B01G0037710.1:cds pep primary_assembly:Fonio_CM05836:5B:37120549:37121574:1 gene:Dexi5B01G0037710 transcript:Dexi5B01G0037710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNGSNKLRHHIVLVHGLCHGAWCWYKVATALESAGHRVTALDLPGCGASAASADEVPSFEEYSRPLLRAMDAAPPGEKAVLVGHSFGGHNLALAMEAHPEKIAVAVFVSAPMPVAGHPMSATMEQDLTLARMMVRPAQMFLGDETMTGEEVLTEERYGAVSRVFVVAEEDKTWTAEEQRRVAVSGGPGVEVRAIAGADHMLMFSKPAELAKLIMEIAEQYS >Dexi2B01G0027530.1:cds pep primary_assembly:Fonio_CM05836:2B:36431315:36432691:-1 gene:Dexi2B01G0027530 transcript:Dexi2B01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIAQSPMASKRWLLLCLLLPLPFFIVPSNPGRGIRLELTHVDARGPLAGADRVRRAAERSHRRVNGLLAAPPASTLRSDGGGAGAATAAASVHASTATYLVDLAIGTPPLALTAVLDTGSYLIWTQCDAPCRRCFPQPTPLYAPATSATYANVSCTSNLCDALPRPRPSSRCSTPTTCAYYFSYGDGSSTDGVLATEAFAFGSGAGATVHTLSFGCGTDNLGGTDNSSGLVGMGRGPLSLVFSYCFTPFNDTTASSPLFLGTSANLSPAAKSTPFVANPAGAPRRSSSYYYLSLEGITVGDTLLPVDPSVFEITASGRGGVIIDSGTTFTALEERAFVALARAVAASVALPLASGAHLGLGLCFAAPEGKGPEAVAVPRLVLHFDGADMELPRGSLVVEDRVAGVACLGMVSARGMSVLGSMQQQNMHILYDIERGVLSFEPTNCGEL >Dexi9A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:9A:10260546:10261937:-1 gene:Dexi9A01G0015420 transcript:Dexi9A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSSTTAAPSSSSSSPPKPPNNSAMLEPPQSSPYADAAASSVSSSSSSSSQADRSSTFSVDSPSTAATPSSSPPRPHRASDVAWGPIRGRDLGPRDFTLLRRVGAGDIGTVYLCHLESSSHAHQASSCAYAMKVVDRRALAKKGKLCRAAAEKRVLRRLDHPFLPTMFADFDAGADFSCVVMEFCPGGDLHSLRHRMPGRCFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTASPALEEEEDDASPAPAPTCLPIPELQILRLRRWKRRAAVPPPPPPRTFVAEPVDAQSSSFVGTHEYVAPEVARGGGHGAAVDWWAYGVFLYELIYGRTPFVGETNEATLRNIVRRPLEFPAAAASHGDDAAARDLIARLLDKDPRTRLGSKRGAADVKAHSFFKGLNMALLRSSPPPVVPPPAAPLHRCDKAADVQQLFEHF >Dexi6A01G0003010.2:cds pep primary_assembly:Fonio_CM05836:6A:2769421:2770525:-1 gene:Dexi6A01G0003010 transcript:Dexi6A01G0003010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPKNTRINHPPKPSRERPADRNPSAAIRHAEPMPRVLRRRLRSRPDVPRLTVVVLVENPEQVRDHERRVVVREHQPPRGRPEGGVSVVVVFLGVEGGDELAGDAGDADGGGEAAGVGVGEAGGVGGDAEVDPVWERPRHRRRRWCVEEDEAVWGGGGWGGGVEPEAEEREAEREAERELPAGGGDAEHHVAEGGWWRWHGWRGGGGGGGIGVRRRVRGRRRRGWVEDVGEERWGERGGGAVEEVVGDGDEEGEEGERDEDRAERDAVGWGRHGRRRRGFAGWDGKWEAAKWRGGKETNRPEGKGREIVVE >Dexi6A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:6A:2769421:2770525:-1 gene:Dexi6A01G0003010 transcript:Dexi6A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPKNTRINHPPKPSRERPADRNPSAAIRHAEPMPRVLRRRLRSRPDVPRLTVVVLVENPEQVRDHERRVVVREHQPPRGRPEGGVSVVVVFLGVEGGDELAGDAGDADGGGEAAGVGVGEAGGVGGDAEVDPVWERPRHRRRRWCVEEDEAVWGGGGWGGGVEPEAEEREAEREAERELPAGGGDAEHHVAEGGWWRWHGWRGGGGGGGIGVRRRVRGRRRRGWVEDVGEERGRRRRGWVEDVGEERWGERGGGAVEEVVGDGDEEGEEGERDEDRAERDAVGWGRHGRRRRGFAGWDGKWEAAKWRGGKETNRPEGKGREIVVE >Dexi9A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:9A:8212403:8218592:1 gene:Dexi9A01G0012880 transcript:Dexi9A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLDEMASKLTGILVSMAKKEVEMLLGVPGEITKLETTLGDLSSILADAERKRIRDSTTQRWVRVLKDVMYDADDVLDLCQIMEGGEDPTAPTSSPKTTSMCWDIPKMLFCFRNPIVAHDIGRKIQAVNQQLEDLAKRSSRFGFITQAINSSSDSIVNKASNFFSNKTGSGIIKSDVVGEKIEANTKTLVDILIKKVDGAPVGLIGNDLIVDVAITGAGGIGKTTLARMIFSDGRVEEKFENKIWLSVNQDVNEISLLQSLLASFGAKHESFAGDRGLLERALKDTVHQKKFLLVMDDVWSEKVWDELLSVPLSYGASGSCVLVTTRNDGVARGMKAQYLHRVDKLEIEDAWILLKSQVVLDESDEADVDGLKDVGMKILERCDGLPLAVKVIDLFGCKNISKLPSSIMKLRKLSLEELGPLSKLKVLEISNVEKAPSGSMASKAMLSSKHHLRDLDLIFTSRLGENGVVEDDISEEEHEAIEDVLANLCPPTCTEELDIIGYFGRGLPQWMKTMSGFRGLRRLLLKDYACCTQLPNALGQLPHLDFFWIKRAPSVRFIGHQLILPSLGTEADGNGEAPVLTGTRNRRMQSHSRVAFPKLVSLGFEGMLGWTEWEWEQSVPAMPVLEELDIHNCKLQRLPAGLEHHARRLRKLNLRNVQSLVSVENFPSLVELSSHDNARLERIRNNPNLQWIGISRCPALKELDGSPSLRSLEWWDLDAEALPEYLLEANLKKLRVDCSQNLLRLIVLQDESSESEWSKIQHVQQLKAYGHKTEEEVEVADESHSQEDERAEWYIYYTKEPYSFDAYLGESTGDFIFYLE >Dexi5A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:5A:25320795:25322940:1 gene:Dexi5A01G0021510 transcript:Dexi5A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPANGALERRKTAITAATSLVGAAMLLRRLVADVLPAGTPPPPLLGALLLLPPPGARRHAVVIEEFDGAFYNRVFLAARAYISTLLAASRTTTAAPAVIKASLPRGAGAAEQITFAMRPGTAVADVFRGAELTWRLRSHGGGGRRRPDGAGGEAFRLSFDARHKDLVLGAYLPFVMARVEAMAREQRQAKLYSNEWGKWRPVRLRNAATFATLAMDASLREDVVGDLAMFLGRKEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLHFDVYDLDLGAVRSNTELRKLLIRMKNRSILLVEDVDCALPAAPRREADGGADGSNPASKHSKVTLSGLLNMVDGLWSSSGHERILVFTTNHADRLDTALLRPGRMDKHIYMGYCGFGAFKELAATYHGVDDHPLFPEIEALLNEVDAAPAELAERMLATDDAGAALESAAKLLMDRKAGTEEDGGAGYVKQKLHVGPRRPRSRPVPAPRRGGASAMATRRLVFDEEFVLGASRRQGRGSSGRRGGMRARGRGRR >Dexi1B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:1B:8443517:8444209:1 gene:Dexi1B01G0009420 transcript:Dexi1B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDCMTRAWALAIATAACVGLPAALVYVVVGVASAHRLGVTISLAAFLAVWVAVSAAYYPRAFADILRWFALSRYLRGGHRGSRAQRHTTTTTTTRRRRRALLPRFVALGQRTSEQRQGSNNGALVPPPFVVARRSWQEEEEEGHGGDDMGALSREPPAAAWRRDGGEACKMQRCVVCLCDVEKVETAAWLPACMHVFHRHCIERWLHDHSTCPICRALVAAVQSETL >Dexi9A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:9A:2015135:2016195:-1 gene:Dexi9A01G0003830 transcript:Dexi9A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAAKKGSLRLDDWVLCRLYNKKNEWEKMQLGKETSAAATTKEEAMDMTTSHSHSQSHSHSWGETRTPESEIVDNDPFPELDSFPAFQDPAAGIMVPKKEQVDDGHAAAKNSDLFVDLSYDDIQSMYSGLDILPPTGEDFYSSLFASPRVKGNQPAGAAGLAPF >Dexi3B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:3B:3494580:3495128:1 gene:Dexi3B01G0005270 transcript:Dexi3B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQDGDIDEDVKHRIAAGWMKWRQASGVLCDRRVPQKLKGKFYRTAVRPAMLYGAECWPTKRRHFQQLSVAEMRMLRWSCGYTRRDRVRNEDIREKVGVAPFEEKLTQHRLRWFGHVQRRPSEAPVRIGVLGRANNIKRGRGRPRLTWDEAINRDLKEWNISKEVAFDRSAWRLAINVPEP >Dexi8B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:8B:8444613:8447760:1 gene:Dexi8B01G0007190 transcript:Dexi8B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLGAMGSLLGKLRSLLVSPGDQLPHPLKPHIDKLELLTHDLEEIYTLLKDLSRVEAPKTMAKLWMKEVRDLSYDIEDCIDNIMMQPSSNAGEEIPFEIQEFSSLVKQASDALKRYHRYDVGRWASNPTFRVVDGQVWVPVPTTDLVGIIDSRAKLMKLLSDITEQRMKVVSVLGPVGVGKTTLAKEVYRQMRGQFECRAFVRASKMPDTRRLLRSILSQVQHHQRTLHGLPVQELIDNLRSHLHQKRCGVLRLAVQSDAMPCLRRLKLEFNAHSEEQNGNILAGIEHLLNLQEIIVRIGVAPEAEELDTMSAESLFEDAIRKHSRLTSFNLQIVHLFDEEYGVFFLQFH >Dexi1A01G0024910.1:cds pep primary_assembly:Fonio_CM05836:1A:31301139:31303909:-1 gene:Dexi1A01G0024910 transcript:Dexi1A01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTQFRIRNPSPAAASHFAGDRRRPNGRASFRPMSSTAPVEEPAAPEAKQRPSGDSFIRRHLRTLSPYQPILPFEVLSARLGRRPEDIIKLDANENPYGPPPEEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTEALMKGLNALQL >Dexi6B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:6B:4614078:4614872:1 gene:Dexi6B01G0005340 transcript:Dexi6B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSVSTGVMKSVLSKLTKLLEAEYVKLKGMRKQIKFLRDELSAMAAALQILADSEQLNPLIREWRDKVRELAYDIEDCIDAFTAHFDHDRTTSFRGFFRKLKKLKARREIANEIEELKARAIEASERHKRYNFMELVSNSRSFHIDPRLPALYEEVDRLVGIDRPRKHVIEWLNKEKGNQNLKVLSIVGTGGLGKTTLAIQAYSQLKDQFQYTNFVSVSRNPCIKRILRLVLNEVGISNEILCDEQQLIDKIRDFLKDKR >Dexi1A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:1A:6398917:6399139:-1 gene:Dexi1A01G0008330 transcript:Dexi1A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNATYESLLGSTKQFPQPIPLSEMVDFLVVSWEQEGLYD >Dexi9B01G0028720.1:cds pep primary_assembly:Fonio_CM05836:9B:31318564:31321013:1 gene:Dexi9B01G0028720 transcript:Dexi9B01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWSLEFRGKKEDLVMSSRSPPPKDRRMRTERTSYRDAPYRRDSRHGPSRYRNDLCNNCKRPGHFARECPSVAVCHTCGLPGHIAAECSSKDICWNCKEPGHMANSCPNEGICRNCGKSGHIARDCTAPPLPPGEVILCSNCYKPGHFREECTNEKACNNCRQSGHLARNCTNDPICNLCNVAGHLARQCPKSDTLGERGGPPPFRGAGGPFRGGGPPPFRGGFSDVVCRACNQAGHMSRDCMAGAFMICNNCGGRGHMAYECPSVSLMDRFHPRRF >Dexi7B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:7B:13670981:13674013:1 gene:Dexi7B01G0006050 transcript:Dexi7B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSYEEWCSSAMAIMVKASMFFISGDDGRRRQIDDRKLTKVDPRRHGKRPLPADEEEEDQPPPPPPPPPPKHEPQQLVESPSLEEQYRHVSPQLQQGATFSGGGGSSSSSVAGAPPPEASYAQYYYSARADHDATAVASALAHVIRASPDQQQLTPQQASFYGGAPAGHQQAPPHAGASAGEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGLPHRHGHQPSMAPPPSMPPPPHRQHHQTVVPYPDLMQYAQLLHGGGEHGEAIAAAQAQAQLMMMARGAAGGVNLPFGGAAFSPSSSSSSAPPQLLMDFSTQQLIRPGGTPSSPAAAAVAPSTPPGSSTTTAAAASPGGGGGGAWPYGGEHQRRNKKDA >Dexi9B01G0041490.1:cds pep primary_assembly:Fonio_CM05836:9B:41950943:41951901:-1 gene:Dexi9B01G0041490 transcript:Dexi9B01G0041490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGIATLLLLAGLIWSQGATRGAEAASGTTTVFTLRNNCTHTIWPATLSGNTAVAVGGGGGFEMAPNATVSFPTPAGWSGRLWARTGCAAPPGGGATSRLSCATGDCSGAASCALGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVEATGERVNYSTCGYAGCVGDVNALCPPELQVASGEKATGGDVAAPVPSMSTTTTVACRSACEAFGTAEYCCTGAHGGASTCGPTKYSRLFKAACPAAYSYAYDDPTSTFTCGAGAQYLITFCPGHQ >Dexi8A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:8A:23444256:23445084:-1 gene:Dexi8A01G0013460 transcript:Dexi8A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGPGRRRRRRRQHRRSGEDFISSLPDEILRSILLRLGSVRAAASTSVLSRRWRHVWAHLPELVSVNNTGHDHDVRPLLDTVDAALAAYADPTLEALVVVLSTAYADGLGGVIVTARRVAPWLRFAAERVAGELVVFEPPPMMPPSYVPELELPACPRAKTIALRIDTFWRLPVVKPPSGVFVFTALTSLTMFMAGGEVTDLVSTRCPCLRNLRLCLTIVNDHDVSIRSVSLHTMSLRLWGTRRLEVVAASLENLYLCNTIDER >Dexi5A01G0033480.1:cds pep primary_assembly:Fonio_CM05836:5A:35829029:35833982:1 gene:Dexi5A01G0033480 transcript:Dexi5A01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLAPRSLRKASVPPALLSDPTPGSLQPTRLAVHVNGDGSTCSAYLASGCRVYKIEVISSSVVDRCPHRSEIQSVALAEGKGDNCLILGTIDSYGHLIVSRLDVVADGPPIQYRHVIVVLGKAVGLGLWYPSSFSFVQCSAQVNESSSLLAIAEGSQLSIWDLRMNSNGGCVQRISGSIGDIICSVCSSPSGTIAIGGTDRAVTIYDPRRYYN >Dexi6A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:6A:22277781:22278146:1 gene:Dexi6A01G0014900 transcript:Dexi6A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLRWGGGRGGCYCYCLLLRTRRVAPPETARPAGRRVLRRHGRRTHAAITCVTPGEWQLRRRQIHALLPRLVARGTGARPVYQPAQIRFGLCFVSLCRGGSDGMAYWHAPRPAARGIQG >Dexi9B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:9B:14345491:14346199:1 gene:Dexi9B01G0019740 transcript:Dexi9B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLIAFLAMLVLLLQASSGALARRRHDHHDPHPCRDAGSLLRRRDDHRCSSPAVSPHGGGGTRAVMTVNGFRKGEDGGGPSECDGRFHDDGEMVVALSTGWYAGGRRCHRPIRITSARTGRSVL >Dexi5A01G0033100.1:cds pep primary_assembly:Fonio_CM05836:5A:35467253:35478594:-1 gene:Dexi5A01G0033100 transcript:Dexi5A01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEAPPPPEDGEGATKDGIEVCLFDESADGFSRTVRAILELTDLEAEPDLPDAAVEPLASSITFLREWRDFSYEPKDVSFNNGIGSASSRDGMHSITLPQFSSASVPQYLAVAAHPPGSSYHKIGMPLTGRGIIQVWCLLAPFEDAHSRRPLDECKSTSRRGRPRKIPDGTNSVGCSSNPPKPRGRPRKRPYNDQLEPVPKRPRGRPRKNPLPDAKLEDSSQNNGSLEIVLYDPLATSTGIPDDLPLAYAMPPMKSEKSTPKRGRGRPRKNPSDKVTNSSGTVSKEDVCTEPSPTTAICAKPKGPRGRPRKYPVPINDKSVSGTDVELEQETTCQPVSFSGSLGHIVCAEFNAVHRKNPSGNLIGSSGTVSKEGVCTEPSPTTAIYAKPNKPRGRPRKHSVPINDKSVSGADVDLGKEKTCQSVSFNCSLDHPVCTEFNANLSIVAVDAPLPIVHELPSSPGTVVNENTCIESSTTTATRKQPKRTRMRPRKYSDTQIELDEDATGQPVSSGCSLDHTACTESNSNLSIVAVHSSSLFTSSSTATCEKSEGQRSRAQNKKKTISSAPCSPVVSVVESRSMCPNDPVVSAENDLISAQNMASVTTDLCSASMLNSEDNVGKGVLSGDSVQPILSSGRGGGGRGRGRGRGRGRGRPKNNPRSVGATSLVASGVSSTSVLTTSDNLTSLGKSDGEFITSNLGSISSSGCGIEKSSVHLGVVSSDTASRGRGSRKKPVSTEHNHLTDFDGNKQKTQSKPMVLVENCMEGPCPKKGVGQPQRTPASNESSGTSVGGEIHKMERLSTSMTTRPPRSEGMDDEAGLIQSNNEIVGCEGMKVNESSSANVTSHCNENAPAHQVAPHFKNSDRVIDEVKSAELIPLKEPIEGDNMFNCMENSNSSPIPKDITLPRVVLCLAHNGKVAWDIKWKPPLLSQPEQKSRLGFLAVLLGNGSLEVWEVPSPFMIQKIYSSSKVEGSDPRFLKLQPAFRCVKVKCGNRQSIPLTVDWSPSPPHDMILAGCHDGTVYFPLVQNCLLSLNPVALWNFSTNQPSQAVFFSAECADSKPFMCVTAESVPIRALSWAPYISEDISTFVTAGEDGLKFWDLRDPYRPLWELSTAPRAVLSLQWLKDGRGIVISMEDGILKFLSLPRIANDVAATGRPFGGTKTQGVATYQLSEYLIWSVHTSDTTGCAAYCGADGTAVCFQLTPRFWEKEPGRNRVPYFLCGSLSEDGQNIKIGSRPRTSPLPNVPMGNKKGPKPCQNIVQALPANDGTGPLAYQLNSPTGNSDILNPEFGDDQDDRHSDEQGAGTVNPELGDDQDNGHYEEQREGAVNPELGDDQDGHIEEQDAGAIVLAGPTEHEDDGTLNSKDGESPKDFEVFPPKSVALHRVRWNMNRGSERWLCYGGAAGIVRCQRI >Dexi2B01G0030070.1:cds pep primary_assembly:Fonio_CM05836:2B:38335208:38336194:1 gene:Dexi2B01G0030070 transcript:Dexi2B01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELDVSKSRRFDIAMSRRTRRSTSLAACFQDQDVPSQQRHQELKAFLEGQDAEVKALQQCDYADQLIPCPDEDEELKIPRAPLQCEDDGQKTPKQYQDEQENKSEQYSGGEQKKPEHYQGEKEKKPEQHTDEEKNMPEQYQDEDEETPQYQEEVLRTQNQHEDVEEAAAERYQEPEQKTPQQHQETDEKASEQSEEDEREEEQKAGQKCCNTEQKAPEQFQGEKLATPPRAIDNVPRFSLQELIQQKQLQTGDTKHTNKLGGHGESVLPDHKVSGSGGAAAGGTTLAMVIKRPEGGKKSMGMIRRCVKALNQMIKTKHGSKKNLHL >Dexi3A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:3A:12924429:12925826:-1 gene:Dexi3A01G0017040 transcript:Dexi3A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLGRAEMANHFEVMAGRLLTESTLQSAMNEASAVPLPSSTTSASAVQDGRPKSGVLVECRICQEDDDEAYMEVPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFTPNYTTSSKFFQHGRNTIFFSAPGYIQERQMLSAGQTSATSTSYGYDHQTSTPAGVICCRIIAITLMVLLVLHDALSVLLDDQGAYTVAMLTVVHDEILEPTGAAESTQPQQHVITIV >Dexi5A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:5A:4810014:4812091:1 gene:Dexi5A01G0006540 transcript:Dexi5A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGDGGAEEGGGRPLRRGIGRSTDAAAEAGQDLEAAFGSRKRKAAVMSRHTGCLVGNGAHLNATCEDSQRISNEIKDLRAQLDEDVKELRYCEANKKLRVELALKEKEIQCLRKHREEMQAKYEGMRKPSGDLQAKNEGLAKHNEELQANNDRLVKENEREKGDVFARMLQLEKELLEKQQSELEVARLNGRLQVMKHLEGYDDGDIHENMEKLSERLEHEKKRLEDLSGDLVRKERASNDEGLEDELNGQTAIGVKRMGELDDKPFKNACRRKYGNDDYLTKAAELVSSWQEELKKPSWHPFKVVQVHGEAKEVLDDDDAKLKYLWIEYGDDVCNAVKTALMEINKYNPSGRCGA >Dexi9B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:9B:477801:483375:1 gene:Dexi9B01G0000780 transcript:Dexi9B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSANDKLRIRLFLGPSKARLHHGGPKHFTTKSWPVKSPSSVRGEEGGRVTSSKAAPHRSYYDDDRDGTERNPPPHRPPRRSTPATAMILRRLHLHARVSHRSLAPAAALCGTGPRAWPSAGLLRRLHDAAGGGASSEDGRKPGPLTLYRNLVSQGKLTHDSYQENVASELENLLRKLQQYEMEMEDYHEKLYLWENSREKERRRLLVEEAEDKQRDGVWIDEKRGFLDKLVARRRRASIEPGVGKWVSYLNREKKLDKLVGHRPIAPVAPKGLYLYGNVGSVLNDYHISLIQISRNYLYIGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDNKSVQSSAFSWISSLPFDSKIKEWLIGEEKYKQETQQKHILLAVADKFLVDRQENKTGASILCFDEIQDGMQRDIFLELLSKLDENCNKILVGTEKDYRRLIPTEGSTQVHYFWPVTSDTCRMYEAMWHDITNQIGGDIVSVTIPVMFGRSIEIPQSCNGVARFDFEYLCGRPARRFITLIDELYNHHCRLVCLAASSIDDLFQGTEEGPLFDLESFQFETESEGTKLRRDVLAEGSVGLRPSTSGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVPHAHPSFQLQSPAVAIAS >Dexi7A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:7A:19217824:19219085:1 gene:Dexi7A01G0008030 transcript:Dexi7A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEHRRQRGAKNWELIHQGYVNAWDARAGNIVHGGALHREGGYARYLDWLKENTRLKLKVAMVGHQIEDLPSDPEDVFDEYDEMTRKGTQPERGPLQDYIRFHRGCRKIAFKLNCMASRDPHEHGAPSSSGTRAASTSRSSGGRGQRGRGITIGTPRRRGPEVAVPPEVETEDEDEEEDADEEIEEEDEEDADDSDDSEEADPTYGQEEIGISQLPDAPSPTQASPPAKRQARARDRAYVLSANKLPTNPGRPRRQKKPFTPNPSGRR >Dexi1A01G0027910.1:cds pep primary_assembly:Fonio_CM05836:1A:33616207:33616760:1 gene:Dexi1A01G0027910 transcript:Dexi1A01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEGAKPPCTILRCTFAGCFTAEPQLIRYPCKLRRHICARCGMHRGEHINVVPNAGVVDRDHLLPPRKRSAFRRPGGEFQPPPGLAGGGEKKREAQVVEEEGGAASAAVLPEEEEEGQSWSGSAIAKGKRGPRRKRADLLLLLATGE >Dexi9A01G0026540.1:cds pep primary_assembly:Fonio_CM05836:9A:29755493:29758119:-1 gene:Dexi9A01G0026540 transcript:Dexi9A01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQNLEEHEPLSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPDNPGLEKSDIKSKTDDQQESINDQEPLKVDKSCKKGVPEDEPDEEDVCPICLEGCVSVDH >Dexi9B01G0044490.1:cds pep primary_assembly:Fonio_CM05836:9B:44231516:44238499:1 gene:Dexi9B01G0044490 transcript:Dexi9B01G0044490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEISLLNRHTEDPRRQLGRHRVSWRGPDGAPAPASRASSLRLRFVLRRQPFVLLTVADSTGAGNTRPSAAARGAASRLVKLQISDVNQTIPVLAAQSGERLPYSMFEEKSIVVRNGTIEHVHTNIEIFKLPASANISRQRTHQGVVDQRKGWNLRSGFHTYSRFKKVSLVRFPMLGGMEPPRRSNRAVPAVVPTRAALAPPAFLFFASTALHHHLLSSDCCGHWVEATRPEQEPGLDLPPFAPDLLEERAIRGGEEPPLLESTSVVGDRAEAAGVGGGRWRGRRAPRFPVGEAGVDSSWGVLAVASCSPAE >DexiUA01G0010130.1:cds pep primary_assembly:Fonio_CM05836:UA:19968930:19972362:-1 gene:DexiUA01G0010130 transcript:DexiUA01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKRLPPAAVAAIHSPLPTKADDALDPTGADGSGGGDRIGSLPDGVLGDIISLLPTPDGARTQILSRRWRPLWRSAPLNLDLHAAGGVDALCRRVAAVLAAHPGPCRYARSREGEVERELDGAEPRQQPVEVVEVRPVEVVGEPAVGGAALGGEGVDEGDEEAAGEAADAHDAEVEREAEAAQASSCRPTTASTSATPMSAYCGTSQAQVMGTVAAGSSTRPCSRAVASRRASTSAATDTAASDVAMPAPTRCSMLSPDGWPVAHRRAGTSARSYRGTKSRMLAGPRACRAAGGSSRRMPPPMRRSRRHDLGVHHAVGKAGGPDGEEAEHAPGVLLLLLLLQLLLVAFVEEEPPPEAAREADQGRLLLLVLLHHYQAVGVPSRKTANAQSTMAQSTPKPTPQPTYSWMYPTTETATAMPMAMQKNHQLKREAREARSPGCSASNWSAAKARPQGLSAPWARAIRYRDT >Dexi8A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:8A:7866918:7868218:1 gene:Dexi8A01G0007140 transcript:Dexi8A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding RITGGGISKLAMAGGVENAAVARNKKVVLRRHVTGFPSEEDMEVVDDTVALRVPAGLKAVLIKNLYLSCDPWMCGRMSKHEDEASRPAPDFILGETLVNYGVGKVIDSTHPDFKAGELVWGMSGWEEYTLITHSHLFKINHTELPLSYYTGVLGMTGLTAYAGFLEVGRPKKGDFVFVSAASGAVGQVVGQLAKIAGCHVVGSAGTDEKVNLLKTKFGYDDAFNYKSETDIPAALKRRFPEGIDIYFDNVGGATLDAALLQMRRGGRVVVCGMISQYTLEKPDGLRNLFCIIPKAVRVEGFNVSGYFDVYGKFEEEMVRYIKEGKVAVVEDVAEGIEKAPAALVGLFSGRNVGKQLVELARE >Dexi1A01G0022080.1:cds pep primary_assembly:Fonio_CM05836:1A:28784696:28785049:-1 gene:Dexi1A01G0022080 transcript:Dexi1A01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGPRAATSGAGATGNAQASCVAGGAHRREAALDAHAGTRQHGVYASGGAGCAREREAARHAGEMRSGAQAGSGEQASGGAGRRGQRRQQATICERLEEIHAYDFTRGAATAPTPT >DexiUA01G0002260.1:cds pep primary_assembly:Fonio_CM05836:UA:5112293:5114971:-1 gene:DexiUA01G0002260 transcript:DexiUA01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVLNMLRSLILQYQPTHAAVVFDAKGKTFRDELFEHYKSHRPPMPDDLRAQIEPLHAMVKAMGLPLLAVSGVEADDVIGTLAREAEKMGRPVLISTGDKDMAQLVTPGITLINTMTNTILGPEEVVTKYGVPPELIIDFLALMGDSSDNIPGVPGVGEKTAQALLQGLGGLDTLYAEPDKIAGLSFRGAKTMAGKLEENKEVAYLSYKLATIKTDVELELGCEQLEVQQPSADELLSLFKKYEFKRWTTDVEAGKWLQAKGAKPAAKPKETIVVDAEELAEEEAIALSFDNYETLLEESQLVAWIEKLKKAPFFAFDTETDSLDNISANMVGLSFATEPGMAAYVPVAHDYLDAPDQIPRERVLELLKPILEDDKALKVGQNLKYDRGILQNYGIELRGIAFDTMLESYILDSVAGRHDMDSLSDRWLKHKTITFEEIAGKGKNQLTFNQIALEEAGRYAAEDADVTLQLHLKMWPKLQKHEGPLNVFQHIEMPLVPVLSRIERNGVKIDPTVLHNHSGELAQRLTELEQKAHELAGEPFNLSSPKQLQTILFEKQGIKPLKKTPGGAPSTSEEVLEELALDYPLPKVILQYRGLAKLKSTYTDKLPLMINPKTGRVHTSYHQAVAATGRLSSTDPNLQNIPVRNEEGRRIRQAFIAPDDYLIVSADYSQIELRIMAHLSRDKGLLTAFAEGKDIHRATAAEVFGLPLDSVTHEQRRSAKAINFGLIYGMSAFGLSRQLNIPRKESQKYMDLYFERYPGVLEYMERTRAQAKEKGYVETLDGRRLYLPDIKSSNAARRAGAERAAINAPMQGTAADIIKRAMIAVDAWLEKEKPRVKMIMQVHDELVFEVHKDDLDTVSKKIHELMESSMTLDVPLLVEVGSGENWDQAH >Dexi7A01G0022230.1:cds pep primary_assembly:Fonio_CM05836:7A:30600670:30604562:1 gene:Dexi7A01G0022230 transcript:Dexi7A01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRKVWGSVLARAAAAAPGSPRGRAEQYYCGGALSLGALDALPTDVLAQILRLLGPVDAARSATVCRAWRILASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPDWHPWLYYNNLPQLSFKQIYTDRAMVPGTVIVDGGSGYCKYGWSKYAAPSGRCATFLKLCYVAADYEAELRKDTQASCEVDGEGWFTLSEERFKTAEVLFQPHMGGMRAMGLHKAVSLCMDHCYNSDVVGDDSWYKTVVLAGGSSCLPGLPERLEKELRQLLPPYISEGIRVLPPSFGTDSAWFGAKMVSTFTEAWCVKKKQFRHKTRRNGPLFVNAW >Dexi5A01G0035710.1:cds pep primary_assembly:Fonio_CM05836:5A:37437074:37437290:1 gene:Dexi5A01G0035710 transcript:Dexi5A01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLAIVMTVKADRVIFFECRFLLFLDTLLDKIGCRYYHKCYIKEGTDFIYGNGKALFEIS >Dexi7B01G0024550.1:cds pep primary_assembly:Fonio_CM05836:7B:28875211:28881442:1 gene:Dexi7B01G0024550 transcript:Dexi7B01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPPRRSDFSSADCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISIARYANATGRLGVPPAFAEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVIGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVALSVCRNAIFVTLATQGGILSYDDIVTCFFGVQGITTFPGHLILPVFDPTALFTCSGQSPMFQKYSYKETMKATDNFSTVIGKGGFGTVCKAQFSDGSVAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIEKKERFLVYEYMVNGSLKDHLHSSGRKPLSWQTRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDEHFVAKVADFGLAHASRTGTISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDNKNLVEWAQMHLSSGVISPEIVDSRIRSAVDMDQLHLVIGIVQWCTQREGRQRPSIRQVLRMLAERLDPGNGSFGEGMEDTEGGFYPRSSKSGGQHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLESGLTQSPPETL >Dexi9A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:9A:3332555:3335694:1 gene:Dexi9A01G0005940 transcript:Dexi9A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSAAGRNGFLANVTLWRPRGEAAPAPALPPPSSPATSDKQAPDPVTIPESEHSSHHSSRSSDQPPPAAAATTQTQPQDNPPAKKPVPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGKEFACKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKAIDFGLSIFFKPGEMFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCIDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDADNSGHITLEELKTGLQRVGATLMDSEINALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGHQSNANFGLGEALKLR >DexiUA01G0013490.1:cds pep primary_assembly:Fonio_CM05836:UA:28264525:28265077:-1 gene:DexiUA01G0013490 transcript:DexiUA01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQDLIMNLLSSLQQNEKVDGSETGISSQIRSLESDKAAETASSEKERSLLVKISELQSRYAHFTEVLLQKITLLNKTARITYICNSI >Dexi3A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:3A:9447686:9448225:1 gene:Dexi3A01G0013000 transcript:Dexi3A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAAAAPRRGHSPLLLAAALLLALAPSPTTAAGRGVARECTYTLRVKTSCASPAARTSDAVAVAFGDAYRNEAHAARLPTTSGSRALERCGTDTFRLSGPCGYGVCYLYLRRDGRDGWTPEWVQVVEPGPRSGNAPPATATFYFGDPLPDGVWYGHDRCTSSAAASESSAAASPRG >Dexi7B01G0023750.1:cds pep primary_assembly:Fonio_CM05836:7B:28281855:28289483:-1 gene:Dexi7B01G0023750 transcript:Dexi7B01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVTRLLLVVVGLLLAALLAVAAVAANKPGGTVIGIDLATTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTDTERLIGDAAKNQAAANPDRTIYDAKRLIGRQFDDAEVQMDTKLLPYKIVNKDGKPHVEVRVKHGDVRVFSPEEISAMVLAKMKETAEAYLGEVTHAVLTVRATSGDTHLGGEGFDHRVMEHFIGVVKRKHGKDIAGDGRALGKLRRECERAKRALSNQHQVRVEVESLVDGVDLSETLTRARFEELNSDLFRKTMAPVKKAMSDAGLAKSDIDEIVLVGGSTRIPKVRQLLKDYFDGKEPSRGVNPDEAVAYGAAVQGNILFGDVDDNTKYKVVLDVTPLTLGIESAGGVMTTLIPRNTVVPTKRTQVFITYQDKQTTVSIKVFEGLRTMTKDNRLLGKFDLTGIAPAPRGKPEIEVTFEVGVNGILHVQEADKSTGKSEKITITTDDSRLSQDEIDRMVREAEDLAEEYMRVDARNHLETYTYSIKSTIDSELGDKMDSDDKERVEEALREVNEWLDANPDADKDDYVEKLKELDDVCNPVFSAAYQRSGGEDGTEENDHDEL >Dexi5A01G0024990.1:cds pep primary_assembly:Fonio_CM05836:5A:28871359:28872653:-1 gene:Dexi5A01G0024990 transcript:Dexi5A01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLARRAICLLAVSIVLHTVDLLSDASSRSAAVLSLDDYEEDSGGNDSSSFSFTFPETPPRGLVFGFYDETCPDAEELVSSTVRKLYHADPNVAAALVRLFFHDCFIHGCDDSVLLDSVGGRKSERDAGPNQSLRGFDAVEAIKQRVERPCPRTVSCADILALAARDSLVLVGGPTYPVLTGRRDSAQSLYTDVAENIPAPNATYAMTLDAFARRGFTERETVALLGAHSIGKVRCRFFADRIYNFAGTGEPDGSIDPDMVGEMRAVCAGDDAAPMEMGYYRQGREVGFGAHYYAELLEGRGILRADQQLTAGSTVRWVRVYASGARGEEVFREDFAHAMVKLSGLAPLTGSDGQVRITCSKPVEDN >Dexi7B01G0021020.1:cds pep primary_assembly:Fonio_CM05836:7B:26172398:26178209:1 gene:Dexi7B01G0021020 transcript:Dexi7B01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVLIVRQEFSHSSGVGIQDNKPLKFGVYVNFNVPSSGAQEWAAESKRLSALRTSNSASSHLGPAILHHEHLEDFKSSNLSSTHSVRQRMAPNSLANRHANMESAKHHVTNRAAAAASALASVVKDETKPVNRPNESKVETHWPNGPKFHGFLPKISEVETSLPFDDKAADGHTKDENECSPMETVQPSPARAPLSKDSIDARKALGTIYEKVMVVDNVKSARRVVQLLTTKYRNFIHACDTEVADIDVKQETPVGHGKVTCFSIYSGASGAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDSSIRKVWHNYSFDSHVIENYGIKVSGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMGVVSEELQKIGKRSMKTIFGRKKIKKDGSEGKITAIDPVETLQREDRELWICYSSLDSMSTLRLYESLKNKLERKPWTFDGVPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRAYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGMENRCKPGEFLPKSKAIKVPNDETAVEEGKKVPKYRTIELFSIVEDLKTDIFTASGWPSVSGDALRNLAGKVPSDLVYMTDDVNADECSSDSEISDCDIEDASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARTPNLQVGIYNFRENQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEERVILEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKHYCITLQYQLELLDSYQSARNQKTCILIGHIHKKCSLHGSAADVAMCAMLEIERNTRLKELGWTLLLQVHDEVILEGPAESAELAKSIVVECMSKPFYGTNILKVDLAVDAKCAQNWYAAK >Dexi8B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:8B:2672949:2675130:1 gene:Dexi8B01G0003510 transcript:Dexi8B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRVRLLLGVLPANHGILLLPRDLTPHASSPWHGGAARCYHHQLQQQQEEWEESKAVRVTVWWDIHSCRLPPRVIPGRLGPRVTEALRRAGIRGPVEITAFGDVTSIPRSEQEALNDTGVALSHLPSGCVLCRKDECNQLHISDVVSWIAQNPPPAHFLLISGDEHFASVLHRLRMSNYNVLLSCPSDGSKILHSAATLMWPWEPLVNGVSLVPKYLNQPPDGLSSWYGQYKGSVDDLLLKPKNPMVLPRNTMEPEVPKSVVNGIKQVLHFYPEGVRVSTLRKELRRINVCMDGFHSLRKFSALLRAMPDVVKFLDPLPGDNRPTVVQVFKGSVESFEQSNFNRMDSAQSSIEEKHHNVSESEELSESEELPSLNDQPSSSQSPLPTGKKTQETEVRLPPLEQLSRDQRTVPGLAQQAEPPSLHVEADVTLAGDVPSPPSHAPSIDQRNATAVDLIKKTERPVNHVEAEKVDTAGNPSSSRAQGDISNKKGLFERISSLWNWSGRRA >Dexi5B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:5B:6286130:6286645:1 gene:Dexi5B01G0009260 transcript:Dexi5B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIASSEFAAAARRSGPATTTRRPSLTTSAAPALIAIKHKHGASSSLAVRCHCWSSRRDDDAEFVSGGGGGGMVDEGMVVLRRRIHEMEMAERSWEPPEEWSAWEKEWYGTYDADVCGLVGALQGFLLSSRPAVGVGILAVLALAVPASAFVLASHLLDASRAIVSSLHH >Dexi7A01G0023660.1:cds pep primary_assembly:Fonio_CM05836:7A:31604000:31605460:1 gene:Dexi7A01G0023660 transcript:Dexi7A01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLLPFVLLPLVAAAAVLAPTTASTTLPLYRHLPVVGESAQQHPLSRLAAASLARASHLRRPAHQKQKQQPPPASTAALYPHSYGGYAFTASLGTPAQALPVLLDTGSHLTWVPCTSNYQCRDCPPAAVTAAAVPTFHPNNSSTSRLIGCRNPSCLWVHSAAHLATNCTSGATTVCPPYAVVYGSGSTAGLLVADTLRAPGGRAVNNFVVGCSIVSVHQPPTGLAGFGRGAPSVPAQLGVHKFSYCLLSRRYDDNAAVSGSLVLGDTKATGDRNRMQYIPLVKSAAGDKQPYAVYYYLALTGVEVGGKAVALPERAFTANAAGSGGAIVDSGTTFTYLDPTVFKPVADAVVAAVGGRYKRSKEAEGGLGLRPCFALPQDAKSMALPELSLHFKGGAQMRLPLENYFVVAGRAPGPGADAAPSANAEAICLAVVTDMGGGSGGDVGRGPAIILGSFQQQNYLIEYDLGKERLGFRRQPCAASS >DexiUA01G0002290.1:cds pep primary_assembly:Fonio_CM05836:UA:5205645:5206255:-1 gene:DexiUA01G0002290 transcript:DexiUA01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTSRGGGPSLFGAKITGGGSGGSVCVIGKNSLRSSEEIFEIQRRYKAATGYLPIVFEGSSPGAGTFGYLKIRRRSAPTVV >Dexi6A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:6A:7775077:7775977:1 gene:Dexi6A01G0007800 transcript:Dexi6A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTSSTILRNVMAVRPAVSSGKQLQSGRPAAVPSLSSQWRSRSRPLSMCCTINPKEEDNPKTDLQPFNIPAFVLARQVSTRGERYWQVEEEPGRVNLLFEVPGQSKEDLAVEIDEDVLVIKKKINVAGQDVGQRNTGSVAAADYYRPKGRKGAAATGKAGKETARDGEVIYARLLLPAGYNREGVEAELKDGVLRIIIAKNKEQPRRKINVNIK >Dexi3A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:3A:1106446:1109471:-1 gene:Dexi3A01G0001640 transcript:Dexi3A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGPSSPGPEPPPPARPEEGDGWVLLPPSEVEGVEDPKVIHWEDLQQELARLWSLSAALQAARDRKTHLATRIESALEARRAFLRQDNELAEIRQRLQDHTDHLGDLKLCAKKSADDAEDRRERLCINIRTLSVASKTLGAARNNLEEANKLLIGENGHGRLKNMEQKLRMRQQYMITQVAQIYPVRPLDEQSPGHKPIFTPSIIKISNAESILPNGYQKRPLAISGLQLSKPTAKKTGYFSDKTDFQKSSTVLGYAGHAVSLIASYLNVPLRYPLRFGGSRSYILDPAPSVEPSSIVSVATSIPPSTSMRILEFPLYFDGQETTKSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLRQLTAIIQSQQYISVD >Dexi3B01G0037520.1:cds pep primary_assembly:Fonio_CM05836:3B:40256704:40258007:-1 gene:Dexi3B01G0037520 transcript:Dexi3B01G0037520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFTTKSSDVPAFSSRTSERMYPSSSPRAGAPSVGTKQGSPPNLPSPYSNLTSSPVTRSTLANPDTLGHGSATARYAYLVFLPSKLRFTIPTSRFTFPVAGESTARRVDSPVRVLRRISVSTLSRRSSESLKMESAGVMQDPTTTTSPVTSSSHAFHKWKQKQSGTSTHTISDESPAYLGSTPNLDTFSLSSTTGEPPFSISPSLNTTWWSGTTTKFSVMAKSWIILDLDVVGLRPVGKEVEVLEVGPLDDVVAQREELAGDGVKLGVRDHGGPKLAVEIEPPHRLEIAVVGDADMVRKVIFRHGEEAAIKVHKSGVSNAGAGGGVDESDEPTRVEEGEPRDAGVAMELADGLGEDGFPHGALLLEAGGFREAAGVGLCAAVADADGVHHPVAVEQVVAGGGREAWVRAVAGVDSVDERRDPAGHRE >Dexi2A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:2A:33232018:33232834:-1 gene:Dexi2A01G0021040 transcript:Dexi2A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDQVQLFGLLLEMLGARKAIEVGVFTGYSLLATALALPDDGKIVAIDVTREFYDSVGSPVIEKSGVARKIDFRVGLALPVLDQMVAEERNKGAFDFAFVDADKESLLSYHERLLQLVRVGGLIAYDNTLLRGHVAAAPDAPISDRHRAFAAAIREFSAAVAVDRRVHICQLAIADGLTLCRRVA >DexiUA01G0009360.1:cds pep primary_assembly:Fonio_CM05836:UA:18315080:18318004:1 gene:DexiUA01G0009360 transcript:DexiUA01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSLGGGGRGGCGGTPLLRGYAASAAGRCCAFPRSRWRPPRLAASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNAVLASCKDDQHAVAQVEAAYDMLLMQSLSQRRAGKVADNSIRYADVKPVKSTGAGTIPQWMQATMKNVPITFEAPSSNSLGIQSCVYGALMVFTYASGSSTSLPSTYTSPDVPGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >Dexi6A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:6A:21641514:21644300:-1 gene:Dexi6A01G0014260 transcript:Dexi6A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPSRVALSAASRFPNRHAVAGDRSSIYKGRCQSLAIPMALSAAAPGKGGVLDRPVEKTTPGRQSEFDVKKKRKMTPPYRVMLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC >Dexi3A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:3A:1881453:1884143:1 gene:Dexi3A01G0002840 transcript:Dexi3A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNRVRRKRMRSSENAVSARVAAIIANKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKGEDSADEAEDAADVAKDGNPKKKDANTKKQPPAKAGAKTKSKAICERAAETEEGGVDFDRPSKFLVVCLNAIRDAVAPEDGGGDSIHGKGDWGVELWRSCSAPAPSDVLDTSGSCSTMEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASVEHQISGLKTCEPEFLIATPERLLELVSLKAIDISSVSMLVKEILEQILRSHAKKSSKVLLVAASNKKAQLLSSSLKLENCTVTDDPQGNSFTICSSVGLMNVLVKDRENLAMSDVEEFETVLVVDLPPSVDEYVEILTGVARQTIGGEVHSIFSNTDAPITKPLAELLANCSQVVPEFLKKL >Dexi8B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:8B:8027257:8027463:-1 gene:Dexi8B01G0006900 transcript:Dexi8B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIFGPPSRTSSSTTNHDRSSATCRSRRWRPAHAREGTCPPSRSTPPPPQVPASPLSPAGTTACPR >Dexi3A01G0032030.1:cds pep primary_assembly:Fonio_CM05836:3A:36676572:36677400:-1 gene:Dexi3A01G0032030 transcript:Dexi3A01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASSAAAAAPVAAEGKMTMVVGVDESDHSFYALHWALQHFFAPGQAQQYRLVVVTAKPSAASAVGLAGPGAADVLPFVEADLKRSALRVIEKAKELCTQVSDAEFEALEGDARNVLCDAVERHHAEMLVVGSHGYGAIKSMWQRIVPHFH >Dexi3A01G0032580.1:cds pep primary_assembly:Fonio_CM05836:3A:37445037:37448834:1 gene:Dexi3A01G0032580 transcript:Dexi3A01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLACYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTGKWASQNLYLVLVSVQLVRGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRNLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVPSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMTQIPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMSQNASLSQLYGSMQDAYQQMQTPLVYQQQSVRRGLNELKDSTVQLASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRVSNLLQASMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIALFTLFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFSLAAQDIDVALGRAQSAEILDDMVTRSRGEIKRLNSPKITSSGGTPVAELKNIRSPSISEKAYSPRLTELRQERSPLGGRGSPRTPSKLGAGSTPK >Dexi7B01G0023170.1:cds pep primary_assembly:Fonio_CM05836:7B:27832682:27833742:-1 gene:Dexi7B01G0023170 transcript:Dexi7B01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQGFRPLDEASLVAYIKATPALASHLGGAAGLGSIEIKEVGDGNLNFVYIVKSTSGAIIIKQALPYVRCVGDSWPMTRERAYFEASTLREHGRLCPEHTPEVYHFDRAMSLMGMRYIEPPHIILRKGLIAGVEYPLLADHMSDYMAKTLFFTSLIYNNTTDHKQRGLSRELKL >Dexi9A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:9A:13523398:13534503:1 gene:Dexi9A01G0018430 transcript:Dexi9A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSSSRRSSSPFSAGHRRPPTASSSSSSYFSSGRLIPRSSPSSVSSSYYGGGGGGSSRSTTPGRRRSSVAPAPEPAPALAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPATAYGYDKVFGPSTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSMIQDSPGREFLLRVSYLEIYNELVQYYYIDRFNLDISWQVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRREGAYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRIIDEKSLIKKYQREISSLKNELDQLRRGMIGGASQEEIMSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDSHQRHDSASEQDKLSTSQDSSTAVQNEGTTKDSTSSHSPDSLDEINQLRSAHGEHSSVTGSASDSMQIENLECEIRQKRRHMRALEQQIMESGEASVANASMVDMQQTISKLTAQCSEKAFELELKSADNRVLQEQLLQKNAEINDLQEKVLRLEQQLSVKADIPPEQEIDYTPQETNYMQQETIDLKSKLQSKDAEIEKLKYEHRKISEDHRDLISQNRKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHARKGRTVSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKLDKNFALVEKQISDDTVKSLTTEEHRSPEFEPLLVRLKAKIQEMKEKDSDPLSDKDGNSHVCKCVSPARLPVQSVLCAALESQTG >Dexi9A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:9A:7696174:7698927:1 gene:Dexi9A01G0012180 transcript:Dexi9A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTLKHHGLMALLFETPSGFAFFRFSEVYFHLPDTVKVAVPLEFRAFKDKTSVINATGVNEELVSMITHWLDPEMLLGVGKPEYKTILESQLGIACVHNEIVMEIVKTTSALFGCDAVEEKISTYLRYASKSLKKISGINCENWGLLKLATALKVIFCPEGEKGDKFRQVLSKDELFKLKDEAPKYTDILSEEKCLRAYNNIWTAYRVRIQKKILLESLIKKAKESCEAEQVQLREKDKGLKRSWDSAFEPIVRNKSTFCDGSLLGETGGSSTYGAQPSTSKAIVPYKPFPHAVLLNSCAENQSQNIDVDNMDGHNINLGISTLPPPLTESVGSAGDSKDEAELIFAEHSEQTEVKIIENVQQSALQKVQDLF >Dexi8A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:8A:20958881:20959179:-1 gene:Dexi8A01G0011960 transcript:Dexi8A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGDRGVVAVKRMRLWEEEVEGGEEGMEVDGEEEQNEEEEGWAWGAPAAAGIGEQRAAAIVVADAAEADFPVIYVNAEFEAATGYRAHEVLGRNCI >Dexi4B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:4B:5130464:5136160:1 gene:Dexi4B01G0007260 transcript:Dexi4B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVIGSKEEDKGQMSRKDKAQKKRKKNKSKDHGGDGDRSIQSDERNHILDMEKAEVSAEMAENSCLEHAEGSNEEDKGQMSKKDKAQKKRKKDKSKGHGGDGDRSIQSGERNHIFDMEKAEVSAEMAEKPCLEHAEGIITKSDVKKDRKKKRKDKEADTIIQKQIHDANDDSIGSESVERNKGEGEQDIKSKKRKQKHQGGETSSNASSGDQIVSGGDKKMKRKDLSVTMVTMEEGNGVDISQLGQNTKGKKKKRKERDNVGVDLSQNTPAVDGKNSNKDKKPSKDENDGGKRKKVNSPHRKGKGKQVSFTDDVEVFNIDGGDDGKGDGSSDDGLVHGRRFSCEEDAKLMEAIVQYAELRDNISWEAISEKLTTRSNKDCCLKWYQQLASPLVKEGTWADTDDYLLMEALQKVDAVCVEDVDWERLLDHRSGELCRRRWNQTVRMLGGYREKPFIEQVEVLAKRYSPEMLDYRKPEASNLFPDELAEESD >Dexi4A01G0023790.1:cds pep primary_assembly:Fonio_CM05836:4A:26765884:26767148:1 gene:Dexi4A01G0023790 transcript:Dexi4A01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEEILSLRRDAWDCNAMGDFFSPAAACTAAMDCSFQDRQQPPPTVSVLPTFTASYEQPQPHPPHPAASGFDCLSEVYGTAAAFGVPNAGDYGAEMGFLDVIEPKAPPLAEGGLGVCKVEPGLAEGGGAFGTGAAPPAPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKLLQEEIDEQKQQQEEEMTPGLLSVFRELNPNEMVARNTPKFDVERKESGETRVEIYCASKPGLLLSTVSTLENLGLDIQQCVVSSFNDFGMHASCSEMQRERFSADAIKQELFKNAGFGGGCL >Dexi9B01G0022970.1:cds pep primary_assembly:Fonio_CM05836:9B:17876585:17879199:1 gene:Dexi9B01G0022970 transcript:Dexi9B01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIEWPADGKLAGSLDVADVVPIVMCPKDNCFPGLYCLGLLRDPWLTDGRTMILSSFWGSREVILSVNVASCEVLRVSPQDSDYSWNVLALDKDNILAVSSSLITLPQIYYGIKVSQTENPWEWQEVSTPFPKPSDEISSILAEHKFSILKIPINNPTDKLANGAKLPFEAMFVSHKGSASYPTVVVLHGGPHSVYPSSFSKSLAFLFSQGYNLLVVNYRGSLGFGEEALQSLPGSVGSQDVNDVLTALELVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQVL >Dexi7B01G0024200.1:cds pep primary_assembly:Fonio_CM05836:7B:28589626:28591883:-1 gene:Dexi7B01G0024200 transcript:Dexi7B01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELISPSDLQSFYHQQQQQHHHQQQASSLAAAAGGSQQHSPSSLATGMHSIIRPMPNMPNMNMSATAILNSIGAMHQFPMDPPPLLHNNNATMAVSATVPPPAPPPPPAEQQQQQPVKRKRGRPRKYGPDGTMKAAQHQQHHLVTAPPRMGSSTLSRGADMLSQGMDKKRRGRPPGTGKKHHTSPSSSLGNAFAGSAGTSFTPHIITASPSEDVAAKIADFAKQSSRAVCVLSAMGSVSRVVLRHPGDASPMSRVHASQPYKNPAIYEGFYEILSLTGSYNLAEGSQGQQIGGLSVTLCSPERNVIGGVLGGSLVAASTVQVVLGSFHEAGSRSKSKKAAKQANFSPDSLTGGGGGGQEASPSSGQHNQQNLTPPSVTGGWSTSGIFDTRSSSIDINSSRG >Dexi3B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:3B:5737193:5740941:1 gene:Dexi3B01G0008130 transcript:Dexi3B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRIRPTFACRFVASLHCPIQILSLPSLSEILVDGDGEEEDRLSALPDDVLLGILERLPLLPAVRTSVLSRRWRRLPLLLSRLTIDIDEFLRHRPSGPRRSVQSLMPSYTEATAKLLLARAGGDRAGVKVLRLAFFLCSDLSLLHSIAHAVAMAAAAAVDLTLWTVVMSNRCTEEHMALYARRFMAFMDACPGAFGCLTSLTVRNLRLDEADVPTILTTCGKLRELCLKFCGHGFVLRIDAPGSLLVTLTVVECYFNRVDLVCAPRLERLFMETWLEDDSPPVRFGHVPQLHHEPFALSAFLANATNLSVLVLNFRDEMDFKHCNLNFVELQGFHPEETLIGYTRLVMERAVNLKRIRLRGQDECKRCDRFREQAGAPMRSASNDEMKNIANGSRSPPLEFTATPRPEAKLLDPVLGLGWPGKNRVGPGLTLPPTGPDRAGLRAEATAQARASCPISSGPGRAGLQAEGMAQAWHQVTGRASLDPQKTGPGLGRAKNPGF >Dexi8A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:8A:2835142:2839372:1 gene:Dexi8A01G0003700 transcript:Dexi8A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEVMRERAYAFDLVLTEVVMPTLSGIQLLARIVAADECKNIPVIMMSSQDSIGTVLKCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNGSENNAASNHISANVANGSKAGENSDEESDAQSFGSKREAEIQSFQKLPDIRADEGAGPSRTMNKSFDGVNTKLHASKDADVAPSGSEKNVRSKGLNGITSAKVAEQIMDNALRIADAIYYPQAPLMHCDSAAIQHAYAQSNYHENPTKPSQVDEHKQLEENQQLHHSRQILRESGEPIDLVRAHVEHINQSASCSQDIRKGSGCTGSGETGINTNTVVALESGNESGVQNCYNNGLDSDRSRREVALMKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKMQSATTTDAETDS >Dexi9A01G0024490.1:cds pep primary_assembly:Fonio_CM05836:9A:20814348:20815803:-1 gene:Dexi9A01G0024490 transcript:Dexi9A01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHISSLTARKHCCCSSRCCIVPAAVSLLLLAAALATSNAYFALPDRHRLSLFPRPFFSYHTRRGEPCDIFTGDWVPDPDAPHYTNATCKGIHEHYDCMRYGKPDLGFVRWRWQPDGCDLPRLDPWRFLDLMRGKSIAFVGDSLARNHKDSLICLLTRAAEPTPSWPSSKHTVYHYGQYNFTVSNFWAPYLVRHEQIDEDGPAHTGLWNLYLDEPDDVWAAHVAGFDYVVVSASSWFYRPSMLYETGRLVGCHYCLLPNVSDLTPRYALRMATRAALRALSGADGRFRGTAVLRTVDPSQYEGGEWNKDGNCVRTRPYRRGEKRVQGIELHFRELQLEEFAAAKKAAAATEGGKVRMMPMDTTEAMILRADAHPSKFRGWTPEKHFTLHNDCVHWCLPGAIDTWNDMLLHMLSST >Dexi2B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:2B:2578763:2579308:-1 gene:Dexi2B01G0002990 transcript:Dexi2B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPSSPAPLHLTLALSPAAAIAVRRDDEEMDDTAAAPTAYFDGKWVRLFPCLFCNKKFLKSQALGGHQNAHKKERAAGSWNPYVYDGEHGGGAAMEEEPSAAAGVKVKLETPDGGSTRFFAEHSKLLPVSPAAAAVGGSGGAVEMLNWRRTSRMVMVAPSESGGGGGNSDEELDLELRL >Dexi9A01G0032380.1:cds pep primary_assembly:Fonio_CM05836:9A:37259786:37260546:1 gene:Dexi9A01G0032380 transcript:Dexi9A01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVLVAAVLLLSSTLAASEFCVCRSDQPTTKAMDFACGQGADCTSILQGGGCYNPNTVAAHCSWAANSYYQNNKAKGATCDFDGAATISTTDPSFSGCTFPTSARYDITL >Dexi6B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:6B:7895335:7897429:-1 gene:Dexi6B01G0006900 transcript:Dexi6B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTTQSAASELDRPLVVNGSIADDPAAALASARHDFGEHGGVNMSIEASATFRLMEPGTMRRMLSGQLVPGDRDDDIMYMYSRPFNPTVQALGRQMAALEGTEAAYCTASGMSAISAVFMELAGAGGHVVAPRCLYSETHALLARFLPRTAGVRATFVDTDDEAAVRAAVVPGETRVVFVETMSNPTLAVADIPMLARVAHDAGAKLVVDNTLTPLVVSPARLGADVVVHSITKFISGGADIVAGAICGPATLLDAMTDVVDGAMILLGPTMNARVAFELSERLPHLPLRMQEHSRRALAFAERMQERHGLRVLYPGLPDHPHHARLAAMANPGYGSGGLLCLDMGTEERANRLMHHLQNTTRFAIMAVSLGYYETLLSVPGSTTTARVGISPGLIRMSVGYNGTLEQRWAQIERALALDPLQGP >Dexi2B01G0027240.1:cds pep primary_assembly:Fonio_CM05836:2B:36222393:36222936:1 gene:Dexi2B01G0027240 transcript:Dexi2B01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSSPSFSRSVLSCSEGQAQSGESSWTDYFVDFMLSEEEKKRQYAESYCATEGEGEGEDDDEEEEDSMISDAASHAPVAALLPDKYKGLKKLKKAFKALDHDDSLEDTASSPVNSPKVSVVSQLELSPKRRCNIRDLTKV >Dexi4A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:4A:2079131:2081158:-1 gene:Dexi4A01G0002930 transcript:Dexi4A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAFLRCPLARSLAYDEFEGFHSKSCRFHPVRYLRRNPTDWKPYQKLSASPSRGWTQRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVVRVILFWASFLIAIFESYFLSGMAVARDINGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSCHSLLPKADGRPKMSVNAVSSALSKIQSSKGFRRFREAAKVFFYIPLNGLAKWFGKGMHEFAAKVDEYARGMVGPHGATLFEELGLYYIGPIDGHNVDDLICVLKEVATLDSTGPVLVHVITGTENDTGGNIGSEIISNEEGL >Dexi8A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:8A:3088670:3090643:-1 gene:Dexi8A01G0003980 transcript:Dexi8A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQPIEIDRRPLRARCSLHPRGANLAARNADSPSPAGREASGRDLVGWGKHGKPPPEAPSPTAMRRRPDPLLFRSLATATASPAAPPPPPLPASKPPRHAAPFLAVLLRRGAKAAARVLNLRLREAPASEALSLLSALPTVRDTVSYNTVMRGLCAARRAGEAVSLLREMQACGVRPDAVTYGTLIHRLCDAAEVDAAVELLHEMCESGIEPTVVVYTCLLRGYCKCGRWKDVDKVFEEMSRRRIEPDAITFRGLIDSLCKEGNTEKAAKVKDMMLERGFKPNAVTYNFLINALCNEGLMREAMTLKKEMLENGVAPDVVTYNILIKGCSGVLEMDEAMRLLEEMIQGDIAPKPNLITFTSVIHGLCKIGRMFKAAKVLEMMAQRGCMCDMVTYNCLIGGFLRVRKVEMAMKLMDELAGSGLEPDSFTYSILINGFTMCQQGMMERATVLFNEMDKDCGLDVVAYSTMIHGACNSGDTKMAKQMLKDMLDEGLAPDAVTYSILIDMLAKSGDLEKAKMVFKQMKASHIVPDAGVFVSLIKGYSTEGQINKVLNLIHEVRVKNVALYSKHIRAIVSSLLENNEGKKLLEGLPNFSEELLHGNTTSSQEFMNSLYKARPAPSVTG >Dexi3B01G0038360.1:cds pep primary_assembly:Fonio_CM05836:3B:41159067:41162136:1 gene:Dexi3B01G0038360 transcript:Dexi3B01G0038360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTLCSLIQPLLCCWFILFVVFLAAGDDGGVAAALDTQAAYLAKMKEQFPGPGMSRWDFSSSPAPDYCTFQGVACDRTGNVTGIDVTSWRLAGKLPPGICAALPSLRELRLAYNDIRGGFPATLLNCTALEVLNVSYSGVSGAVPDLSSMPSLRVLDMSNNQITGAFPTSIANVTTLEVVNFNENPGFDIWRPPETFMALRRLRVLILSTTSMRSDIPPWLGNMTSLTDLELSGNFLVGRIPLSLARLSKLQFLELYYNELEGVVPDELGNLTELTDIDLSENKLTGGIPESLCSLRNLRVLQMYTNRLTGGIPAVLGNSTQLRILSIYRNLLTGEIPGDLGRYSPELNVIEVSENQLTGPLPPYACTGGKLQYILVLSNLLTGPIPPAYAACTPLLRFRVSNNHLEGDVPPGIFALPHASIVDLSYNHFTGPVPATVAAAANLTSLFASNNKISGELPPEIAAVSGLVKIDLSNNIIAGPIPDVLGKLSRLNQLSLQGNRLTGPIPATLAGIWSLNVLNLSDNALSGEIPESLCDLLPNSLDFSNNNLSGPVPVPLIKEGLLESVAGNPGLCVAFRLNLTSPALPLCPRPRLRRGLAGDVWVVGVCALVCVAAALAVARRCVVRARMDAEGQDGALTSPASSSSYDVTSFHKLTFDQHEIVEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWARRRPANKLKEHDDSGGGGWLGDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWEALHGGGHGYFLLLDWPTRHRVAMGVAQGLAYLHHDLMFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQQVAGGDHRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGETRDIVHWVSGKVAAGGEADALDKRLATWGPNRYKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPRATTPKDKQDNQQQQQHTNKLPPSP >Dexi4B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:4B:21651763:21655567:1 gene:Dexi4B01G0019470 transcript:Dexi4B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLMEFFLRGPAEAGEEERLLKDIPGSSDKEGEDQDDTKRDSDEITKVDCENGGSVIEATVSGEDLNDRHGNDSNSQYAESDGACKPGPEMGSKGSNGECADEVLEMGSKSSNDDCSESSEEMPRIGTKSNINDNIECVDWSSPRAVLDISVSGSVDSDDSASVEQSAESNHNLHWRNLISGLILRRKKSMGRAVTFPQRSKSKGLRGYLERMRSGRHQMDCSAIAPEILPEIGKWRPSWRSFDYEELCNATDRFSSEKLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKEPLKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDQLTHHVVYPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDANNIKELVDPFLGNEYDPEEMVYILAVASLCIHHSSTSRPSMKSVVCFLKGDRKSLELVRRPKIVKPLMFDSCDSEDYTRSSYLNDLNRHKQLALEQ >DexiUA01G0020350.1:cds pep primary_assembly:Fonio_CM05836:UA:42616301:42617931:-1 gene:DexiUA01G0020350 transcript:DexiUA01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHLELDHLFYRYGRIERIDMKSGFAFVYFEDERDGDDAIRELDGYPFGPGRRKLSVEWSRGGRAARRDGGIKPAPNTKPTKTLFVINFDPINTRAGDIERHFSPFGNISNVRIRRNFAFVQFETLEEARKALEATHAT >Dexi2B01G0021430.1:cds pep primary_assembly:Fonio_CM05836:2B:31230928:31231556:-1 gene:Dexi2B01G0021430 transcript:Dexi2B01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSAAAAVTTFVAPRPRRPLLLSPCRRAFAAHGETTRTRRPRAVASASASSPGAAPGRRGVTEYVVAAMEMARRKDGGPPRWFSPLECGAAGGERDITFRTH >Dexi3A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:3A:5998694:5998990:1 gene:Dexi3A01G0008560 transcript:Dexi3A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLVADLSHRIVGTLCPQTRWRPVLQVADVRHVPDGSGLSPAPEQRYSLTLSDGVHSQPGKLAASLNHLARDGALRRGSVVRLLDFVRDDYHRR >Dexi5B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:5B:19584066:19584871:1 gene:Dexi5B01G0017720 transcript:Dexi5B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAAPDVRVVGGLASPFVTRVCVALRLKGVAYEFLQEELGKKSGLLLASNPVQKKMPVLLHGGRPVCESLVIVQYVDEAFAGAGRRILPADPYDRAVHRFWAEYADTKLPTALRTLRGMIGGDKAEAAEKVASALGQLEEAFAACSKGQGFFAGDDVGFLDIVLGSYVGWFRAAEQITGKPVLDEARTPRLAAWAARFCAHEAVGDLMPDAGRLVEFGEALRAALAANAS >Dexi3A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:3A:15095347:15099929:1 gene:Dexi3A01G0019270 transcript:Dexi3A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARADGGGGGGGGASASSSSTAENSRFDAAQYSFFGKAPMEGLELGEVLEDGGINGDGGGFGGHDDGGYQFSSMGEEVLLSFGFVGACYCWMNSENDLSSIFYGSLTTDWVQDADFPSWVDPEIMGNFDHIPNVPSPRDKRSRSGRGKRSTRLSQQPSDASSQQSESAGIKFRSKYMSSEEIESILKMQHSTNHSNDPYIDDYYHQACKAKRSVNSQKSNFCPTSIKDLPSKSRSGSDQRSYLQVDANGGVSFSAIRRPRPLLEADLPGSGDGVYDHKSSTRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQFSQSQDNSFQLRRRRQVLLEGLAALLQLVDPFGPNKPGHSSGLAPKDDLIFLRVVSLPKGRKLLARYLRLIVPGSELTRIVCMAVFRHLRSLFGGLPSDSGAAETTISLAKTVSSCVHHMELSALSACLAAVVCSAQQPPLRPLGSTAGDGASLIIKSVLDRATELLADPHSAANYSRSTRSLWQASFDSFFGLLTKYCDSKYESIVQRFAMQGSNSLGGPEATKAVSREMPVELLRASLPHTNEQHRQTLLDFARKSTHVSGFSPNASRAHINSESVPG >Dexi9A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:9A:1772845:1773891:1 gene:Dexi9A01G0003360 transcript:Dexi9A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRPRARVPILSQLPRPAPHTSISFVGFVASAHAARVRSATCLLCAAMAVSEVAVEGVVFPPVTRPPGSGRSHFLAGAGVRGMEIGGNFIKFTAIGVYLDEGAAVPALAKKWAGKSADELAADVAFFRDVVTGDFEKFTQVTMILPLTGEQYSEKVTENCVAYWKATGVYTDAEGAAVEKFKEAFKPETFPPGSSILFTHSPAGVLTVAFSKDSSVPESGGVAIENRPLCEAVLESIIGERGVSPAAKQSIAARVSELLVLKEAVPVSA >Dexi2B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:2B:29876735:29877320:1 gene:Dexi2B01G0019670 transcript:Dexi2B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILASAPGAAGASGAAASAALGSSWFIGLCVVVFSVLVVSFAVFICGRHSHDMDDELPRTKKPAAAATKAAKPATASRGTARSSGTVCDTTSMYAAAYFAATAAEADAAEAIMAAMAEARAAEGMAAMAEARAAAGDVVVVVHAVVAEGDAAAADAVVAADAAAVVL >Dexi3A01G0031250.1:cds pep primary_assembly:Fonio_CM05836:3A:35690381:35694335:1 gene:Dexi3A01G0031250 transcript:Dexi3A01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPPAVDPAAVEEMAREAAAWCALHGLVVGDRANPRSATVPGVGLVHAPFSLLPARLPEHFWRQASELAPIFNELVDRVSLDGDFLQYSLSKTRQVDDFTSRLLEIHRKMMETNKKENIRLGLHRSDYMLDSETRSLLQIELNTISASFPGLGSLVSELHRTLINQYGHLLSLEPKRVPGNAASSKFAEALAKAWAEFNVDSAVVMMIIQPEERNMYDQYWLTKHLKESYPFMFCFCFLISHMASHQLGKHCHRWRPKGRFYQMEPFWCTDSKQVAVVYYRAGYTPNDYPSEAEWSARLLIEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFFENKEDIAKLRKCFAGLWGLDDEEIIKTAIEKPELFVLKPQREGGGNNIYGLDLRETLTILQKEGGDARAAYILMQRIFPEASLAYLVRDGICHEGLVISELGIYGAYLRNKDKVVINDQSGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKVILN >Dexi5A01G0039180.1:cds pep primary_assembly:Fonio_CM05836:5A:39886561:39887367:1 gene:Dexi5A01G0039180 transcript:Dexi5A01G0039180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDNAEAKQVGDERQSSQKAEPESPKLSDTKKLIEFMERHYDEFVAGAQTFDDFYHAMFELIEKFCEERGQFQYRIPKKRDLEEAYSKHHKSEGDVSKEEFVEMGKEVLLKVESFTFGKATVELGMFLFGLPACAWMAKRVLPGLGWLSDDIVIPLATSGSVAYLIKSKRL >Dexi9A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:9A:1422085:1425308:-1 gene:Dexi9A01G0002630 transcript:Dexi9A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRNHLEETLPAWRDKYLAYKALKKLIKNLRPPEPAAAAALPLPPPPAAEGAGDGAAAQGNVAALGNWFASILDMELHKLNDFYMEREEWYVIRLQVLKERIERVKAKKNDAFTSKSEFTEEMLEIRKDFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRAPEVLEPSSSSNLEQHDVSRRDPTSCDVETSDVYRSTLAAMKAIQGLRRASSTYNPLSLSRFFNGEDGEPCSGAITSESSLSDSSTDSQIQDADKDDKEVQSNSREQNAAQRGHNAEGEPRDE >Dexi5B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:5B:725407:725752:-1 gene:Dexi5B01G0001110 transcript:Dexi5B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGGSLTSVAPEVTPSPAAPPGTGTGANAQVLYVFNRNGVCLLYREWHRPLHTLDPTQDHKLMFGLLFSLRSFTSKIDPTTAEAVANRK >Dexi9B01G0036130.1:cds pep primary_assembly:Fonio_CM05836:9B:37694208:37698292:-1 gene:Dexi9B01G0036130 transcript:Dexi9B01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVILCLSIWAMFSAAAAGAGSDTEALLEFGRGIRQDPSLREATGSPWNPTSELDADGCPVDWHGVQCSGGQILSIALDGIGLVGNASLSALARMPMLRNLSLSNNKLEGFLPRELGSMASLQLLDLSNNRFSGPIPSELTKLAGLGYLNLSSNGFHGALPLGLGNLRKLKYLDLRGNGFIGKLDDIFVQLQSPVHVDLSCNQFSGSLTSISDNSSMASTLQYLNISHNVLSGTLFGSDPMPLLDSLEVFDASFNMLSGNIPQFNFVISLKVLRLQNNNFSGSIPEALFRETSMVLTELDLSCNQLTGNSFAVVFTAEELSRAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFAKSKKEFSREIKKLGSVKHPNLVPLRGYYWGPKEHERIIISDYVDATSLSTYLSEFEERNLPPLSVGQRLNIATDIARCLDYLHNERVIPHGNIKSSNVLIQSSASALVTDYSLHRLMTPTGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICMNDGVVDLTDWVSMLALEERVSECYDRHIAEVGSSDGAPKALDDMLHIAIRCIRSASERPEIRTVFEDLSSLSS >Dexi1A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:1A:25207793:25208150:1 gene:Dexi1A01G0017910 transcript:Dexi1A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWAEEEEEMGTPWREQLAWRVVGARQQQVSNAGDWRRQPGSLVGSLGGGVRDLRLRATRPGLQPHAER >Dexi2A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:2A:4785580:4792390:-1 gene:Dexi2A01G0005110 transcript:Dexi2A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELGGGKEIPRRSLGEHRVLTARCNIKALRGDSAGAHGEGASKKESVESLRKWRIKAGKAMLVLKTTIEEDLLEHIRDVETPKEAWETLGILFSKKNEARLQLLEKERSSCRIHIILRRFQKKFKKKRSKHQLHMKIKRLLLLLLLLPPKVSTEALLLASLLKRPAAKAFMVKAAEYTTRANELMAKTHHEFFVSMQKLLVDAGAERRAANKHRRKEQQDHEKLQKNH >Dexi6A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:6A:28869172:28872895:1 gene:Dexi6A01G0021700 transcript:Dexi6A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNTDRARKAVDAMKLLGFSRKQSVPVLKKLLSIFNDNWEPIEDECYRTLADAILDAQDNNQAPASQQGTQAAHVDLESHGTTRDASADEEDNETPLVKRPRMGAAQFGQQLQPGPRQCSVSTQGALPASPQTSRRQTRSLTANYGDPSAIGDALILKEPKPDPDVNASQGKACQAVPKAIHLNAGSSGAGVRRSTVNQPQNLDSSLQAVPLGNNGVGSTVENTKEASFVEVDVASSTNGEVKMTLKCNLDSSELSYSMEEVLKMVEEKCFHSYKMLPPDFSIGKLMTEVFFTSEGKGWGLRTLEDLPKGAFVCEYAGEVLTNTELYARAIENARNGKHMHQVLLDADWGSEGELRDEEALGLDGTFYGNVGRFINHRCYDANLVQIPVEVETPDHHYYHLAFFTTKKVEPFEELTWDYGIDFGDVDGPRRMSRAAARQN >Dexi9A01G0048350.1:cds pep primary_assembly:Fonio_CM05836:9A:51132257:51132845:1 gene:Dexi9A01G0048350 transcript:Dexi9A01G0048350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPRKQPPPPQQQQQGAVSVQRVAKASSDELLRKFADPDAHHASTPPRRSLALRRKRSSRRVASGLSARDSDATAAGDAALVPPKRRRSIGGSTDWRAGLLLPTTTAASSARKGQARRARLDDAAGIGLILAALERTWRKTVAGASKMFVERHRTNHVMLISDMV >Dexi6A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:6A:4866864:4868019:1 gene:Dexi6A01G0005400 transcript:Dexi6A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLPLHGDEETTFFFSRPFLFLPSRSRGPISFPGPFRRRGPVVRFPLSFSLFASLTGGPHLSVVVFFPEPIHGDAVSVSLLGAQALVSPALISRHLDSFEPQPKARVSTVRAAAAIRPFEVVFAASNSSSRLVTSFFSLSRSLESPSRRRRNRTAARRREPPPLPNPSLDPQKRIRRGLSSLPSQTRRVSELPSPSYANSGEFPGEAPPRVVVSAAASMPVRSEPFDRDLTVEDRADSSQTEPYRSAVPLLQKSP >Dexi9B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:9B:207364:208788:1 gene:Dexi9B01G0000300 transcript:Dexi9B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSRKQPNPVGEEVRLQRGIAVPLVGMNLTWFAKLAVTTAFLGRLGDLELAAGTLGYSFANVTGFAVLTGLCGAMEPICGQAHGAGNVALLRRTLVMATLMLLAASVPIALLWTRVDAVLLRFGQQPDIAATGRAYVVCLLPDLAVTSLLAPLKAYLSSQEVTLPTLFAAALGLAVHIPLTMRLSATMGVRGVAAAVWISDLAVAAMLAAYLVAHELRRPPSSSSSSSSSSSSCCWLGLLRLAVPCCLNTCLEWWSYEILVLLTGRLPDARRMVAVVAVTLNLDYLLFAGMLSLSVSASVRVSNELGAGDAAMARRAARVSVAGGALAGVAGGLAMLAARHPWARLYTRSPEVRDGAARAMRVMALLELLNFPLNVCGGIVRGTARPLLGMYAVVAGFYVVALPVGVALGFKARLGLEGLLAGFLVGAAASLAVLLTVIVRMDWEAEADKATRRAGKSNGNQPMTMDPSIC >DexiUA01G0020670.1:cds pep primary_assembly:Fonio_CM05836:UA:42898279:42901239:-1 gene:DexiUA01G0020670 transcript:DexiUA01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPSNRRQGRTHNNQLALEPQNQRPGNQQGKGKQQQRVQVRQGRLNYTTLVDLPEGAPDMTGTFPIHTQLVVILFDSGATHSFISTRFHAKCGLKSYHTKSSYVISTAGGKIASNQVSRRVPIRLGSKIFPTDLILLGMEGIDIVLRANWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQGNCVNACAYTMVKTQLKDIPVVCEYADVFPDDLPRMPPDRDIEFVIELQPGTTPISKRPYRMPTNELAELKIQLQELLDKGFVRPSVSPWGCPAIFAKKKDHSLRLCIDYRPLNTVTIKNKYPLPRIDVLFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPAKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTALLKKGPYDVYCDASGTGLGCVLMQENRQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQQRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVEPYAHTLCHEMAKLNLEIVPHGYFNHNSVEPTLHDQIVEAQLKDAKIKILKRKLSKEKVKEKYKCFRLDGLGVMWFGHRILVPRNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTIYTAKRYAEIYLERIVCLHGVPKTIISDRRTQFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFS >Dexi7A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:7A:25423597:25425645:-1 gene:Dexi7A01G0015560 transcript:Dexi7A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding GASGIIVCAAILLAGLSLAAGGELVFDVVAHGARGDGITDDTKAFEAAWTAACGAKGPSASMVVPAKRSFLVGPVNFQGPCASGRIAVQIQGKIVAPPSSALSAWSNGTNDSWIMFSRVDGLTVTGNGVLDGNGQSWWVKKCSDPLMMCNNLELNQLSSKDSPQMHIAILNSIGVNVSGLTITAPGTSPNTDGIHIGGSQNVHITSSSIGTGDDCISIISGSRFVTVDGVACGPGHGVSIGSLGKDGDTATVEFIDVRNVNFTNTMYGARIKTWEGGQGYAKSISFSNIDFDNVDHPVLIDQFYQDHRASFQPPAVAISNVTYSNLTGTSSLPTAVAFDCSGGGGCTDIHVNSLMITGSGGRQTVARCRNAQVAISGQVNPEIPCGR >Dexi4B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:4B:21303376:21305991:1 gene:Dexi4B01G0019030 transcript:Dexi4B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISAPIHFLLAILLLLPSLAAAQPRALGGAPPPAYARYLVDAAAMPAVELYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRARVLGGGTSINAGFYSRAHPEWFRGHAEDAEVTNWDMRLVNASYEWVERQMTFQPTVHGFQAAVRAALLEANVTPWNGFTVDHVAGTKVGATTFDASGRRHSAADLLAFARPSRLRVAIRATVTRIITNPVDPATRHGRSPQPTIAAVGVVYQDRLLDQHQALLRPGGEVILSAGALGSPQLLLLSGIGPANDLSYLGIPVSADIPDVGKHMFDNPRNGISIIPSVPIDHSLIQVVGIPSANGGASYLEAASYIVPLAPALRSSSPFIGSSTPLYVTVATIMEKVPGPLSEGSLWLSSANPMESPPLRFNYLSRPEDLARCVLGVRRVAEVLEGRALDGFRSQVGSTNRRGAVRRDFRIVGAALPVDWRTNDRALASYCQETVATLWHYHGGCVAGKVVDRDFRVIGARALRVVDASTFNETPGTNPQATVLMMGR >Dexi8B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:8B:21959315:21960275:1 gene:Dexi8B01G0012580 transcript:Dexi8B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAFATVVGGEEEDATGQRRYYGSGRVTAFVALSCITAAMGGAIFGYDIGTAGGVSSMDPFLRAFFPDVYRRMRGATHVSNYCKFDSQLLTLFTSSLYIAGLLTAVLLASWLTARHGRRPSMVLGGLAYLAGAAVSGGAVNVSMAIIGRALLGVGLGFANQAVPLYLSEMAPARYRGMFSNGFQFSLCLGALLATVVNYGAEKITAGWGWRLSLGLAGVPAARLTAGAIFLPETPNSLIQQGRDLSDVRALLQKIRGTTTVDDELADIVAVRGMSPATVACGCS >Dexi7A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:7A:17681509:17684516:-1 gene:Dexi7A01G0006300 transcript:Dexi7A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQWSACVIDWSDQLTRAEADLRTAVFVTLIGSASGPGTNDLEIAKAAVSGTFNLNRDTLVLRRSHVPGTFILLSGDEATASRLVQAGPASGPGGLRLHCRHWSRQAYAEGGALPSLVEVKLHGVPAHAWEMSTAESLLSPYGWPHLLHPATRNREDYSAFKVSAWCFKANELPRARDLHVVEPPIGDILSPPGKPTLIYPVSLAVLEVLLSAHSDAPSDPSEGDADADGRRRRRQRRSSAPQSAVPMASNEQQLAAIVEAVGVGVSTGSTDALISNVQQEAAVVEASVDVAAGFSPFGAPPPVFTVSLLRSLLEQFAPATALLLSSIRRYQFGDRFALPFMPT >DexiUA01G0013590.1:cds pep primary_assembly:Fonio_CM05836:UA:28543624:28544907:1 gene:DexiUA01G0013590 transcript:DexiUA01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPHWLKAHYDKLNYQDYSYYSAPSCGSCDDTCASQPGAVQQTGADNSFLSKEVEEAFNQLGVPVREGKEVAVDAIFDSVSVATTYREKLAEQGIIFCSFGEAIHEHPELVKKYIGTVVPSNDNFFAALNAAVASDGTFIYVPKGVRCPMELSTYFRINAEKTGQFERTILVADEGSYVSYIEGCSAPVRDSYQLHAAVVEVIIHKDAEVKYSTVQNWFPGDGNTGGILNFVTKRALCEGENSKMSWTQSETGSAITWKYPSCILRGDNSIGEFYSVALTSGHQQADTGTKMIHIGKNTRSTIISKGISAGHSQNSYRGLVKIMPTATNARNFTQYCGAHTFPYVECRNNTAQLEHEATTSRIGEDQLFYCLQRGISEEDAISMIVNGFCKDVFSELPLEFAVEAQKLLAISLEHSVG >Dexi4A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:4A:6956928:6958075:1 gene:Dexi4A01G0008990 transcript:Dexi4A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPEKLRVLRHLAEIDNRRPPLFFIPKIAHPPALIHVMSRTLHDAGIRHALHDTGVRREAFVTPSTTRSFALAIRVPAPGDAFPVTIRHARAGGGQITTPMAPVAPLPLFASDRDIQSRLAIVDAYKEYPGNPRYAFRHLLFSLTDPSQRVKPVAASDIMWAEAMGKLECMDSADRKRLWPHLVHGCKDLSNGLKVY >Dexi7A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:7A:16610936:16624050:1 gene:Dexi7A01G0005380 transcript:Dexi7A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEMTHMFPREGASSSSTSMSSQRSETDDDKMIAMVLSEEYAKLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHYRLLDRLNAYGLFEVRVAGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQVCESLAILEILSCSVVKVDELVFVTVVPLNTIFSRSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQTPQREIWLSFWSEVHYNSLYDARALRAKSAECRCPAGLPEDCRSACSRRSEEAYAGEDCRSAEQLKCRWPAGGLQVARQQVDDSSSTGSMAGRPDGDQAQASIQVSNLGFHPGLQANREQQRPDDPDPGAMSVQCLTSSSVVATAAARHPQFPTSPVLPPPPSPSVPLASPPPDVLLPHIPAGGSVDDGDNGLRRPGAVCGYGVRQAATFDGGARWRSAADVAGVGLRRSTTGRSRRRGRGLAVAGRGRAWLAMAVYGWPWDGRRLPSPSVLLVGVTMAVGQGLAGASRGAVVFEDDSPMVEELRGAVGACSGLRLGVAQRW >Dexi7B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:7B:24138278:24150914:1 gene:Dexi7B01G0018320 transcript:Dexi7B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYSLSSGLAKHLLTATDGTEIEIPFELTAEEEVIIRFPLTSFILGRSGTGKTTVLTMKLYQVEQQSLIASQGIDLDEVDLSVVDHKNCLTTKDSSKRDSFVKQVLITVSPKLCSAIKNHICRLKRFGSGDVSDEPNTLHMHDVIDDLEEFTDVPDNFTDLEHGHYPLTITYHFVNSLHSSLVSEGYNGDLVDFIYIDEVQDLTMSQIALLKYVCRNFKEGFIFAGDTAQTIARGIDFRFEDVRSLFYTSFLSETETCNQGTKYGKQARLTDMFQLTQNFRTHCGVLRMAQSIMSLLYNYFPSCVDKLNPETGLVYGEAPVLLESDNDENAIMTIFGESRNEHSDQLGFGAEQVILVRDDDMKKQIVNLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMESKYIVASSEVISHPGFDRNKHYLLCSELKQLYVAITRTRQRLWICENADDYCRPMFDYWKKLCIVEVRLLDSSLIQAMQTGSSADDWRLRGTKELPMHETKACNVTTGNFSMTRVRDNNMESRIEMYLTDNNISFWEKFESIQVFMHGQKIISFMRWEKTSMEEPERRNEAAPVVLFHTDKDDETNGYSDNEPDIGGRNVLELTKEEATSACCSTSKKAQKQKNKKSRKSKRSGRK >Dexi1A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:1A:8109773:8110218:1 gene:Dexi1A01G0009770 transcript:Dexi1A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQL >Dexi9B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:9B:3414161:3414928:1 gene:Dexi9B01G0005790 transcript:Dexi9B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAGAAAAAAAAAAAARYQFMKEDVGAVVEEELEAADLSRTCRRDSYCAVCAKAFCSHCCGFHHSWTGFHVVIPIALDAATGQPSLPTHYPGSTDPIPAFIADRMAAADYATPLAVDAYCVACMAPFCERAACYHHRRGCGGGDAVLRLEVRGGRHYVRCRGDEDWFSYLERILGDPVADDGGEMMLVPVLKREQPGTCVQCGGPVPCPILLRCSPSCAARHNQEVARRRERRDAMRASLQLAKLHIHGSASS >Dexi8A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:8A:25394899:25399180:1 gene:Dexi8A01G0014820 transcript:Dexi8A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGASESATSSLLGKLGSLLAQEYTLISGVRSEIQYMNDELASMHAFVRKLGQAASARAAHDEQTKNWIEQVRDVAYDIEDCVDDFAHRLGRQPRGEGLLVDLRRAWYAMTTLWSRRDIATKIMDLKSRAQDVGERRVRYGVQDPKHDPKDKSGGRGTQHMDMADLLQPPNPRLVGMAKPVGQEEAINGYGKWLTEQRAHQRILTIVGFGGLGKTTMALELQRRFGEKFELRASVQASQKLDLTSLLRDILKQVVPQQQQELEHKGDAGGTASKGPANGIQGWRVKQLKEKLKTQLEHKRRRLTRRWIAEGFIVEKDGRTVEEVADGTFHELISRNIVRPVEHSSNGKTLYGIEISKNSTGALGNMHNLTRLRKLSIYNLKDFDADIHKYEDLLSAIEYLSGYSLKSLAIDDGFTGFIDSVASLSTPPKYILSLELSGRLLRVPEWLKDLETLEKLTLSMTSLQTDVLVVLSKLPKLFSLTFSIRAKGQDIRVVEILQTNTVATGGLIFVPGGGFGRLKLLRFTTPMMPLLSFLEGAMPELQRLELQFRILEGLYGLENLRYIQQVHLRVSQQASEATMVKVSDVRSSVSTHKNKPTVVVDEYHE >Dexi1B01G0028480.1:cds pep primary_assembly:Fonio_CM05836:1B:33001331:33004584:1 gene:Dexi1B01G0028480 transcript:Dexi1B01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSRFYPSATCSLAFASSSSSSSRSPPRRRRRRRRRRSHASLMGQSPSAPPGRSRSRSSLRWPQPGLGFGFVRGFLLGRNKDERMDLANWMRCFLSQRLPAPSAEEEADAEGKAAGRCEGEEVGDQEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHHRDDGKSPLLVRMVDDCDDGKFMSALRSFKRRVAYANVTYDHIVGWSTSSIRLQHELPKLKLKASDEKYPHVINVEKGNSEDHLPEDSEEASPVDSLEG >Dexi8B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:8B:20904609:20908106:-1 gene:Dexi8B01G0011730 transcript:Dexi8B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAHKHQRLKTPRKLRALARPGWRAPFGAPGRSSQPASNLLPTLPDAASPSALVAMAGEDPTTPPHDSPAAAADDPCPLCGATATAPTRVALAVRRAAPTGDSLAVTTALVSPGDEASALREALARQRRHAGDLQAELEAERAAAAGAACEAMSMILRLQRDKSEAMMEARQYRRYAEERFAHDAAERAALRDALERRDAAARALAARLWACQERLLLLGFPSPTPPSASLPSSPTAGSARRRSIRHSFSDDDVDEDDHVYHSADHCLPDDAAADVGTPRTHHLLNRMPSPPDADKGVVLFGTLRPSSRHTRTLSDDGVPFSCWIALADEFPLFADDHHRDAPDEDGDRVYTVDAVHGVPVMAPEDCCYFGTGGGWAEEEIQKLKARLQALEADRESMRHAIMSMSDEKAQVVLLREIAQQLCKDAAPFPGVPLKVQPRPQPVVVAQRKVVKKKTSFVKIFIMTVIKVPHGYVWEQCRSDAGTGQVPKTEAKEDS >DexiUA01G0020270.1:cds pep primary_assembly:Fonio_CM05836:UA:42249535:42250117:1 gene:DexiUA01G0020270 transcript:DexiUA01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRKPHLLRKVQDEVRAAVGGNGASSRVQPSDLPKLKYLKMVLKETLRLHPPVPLLRRERPCSPSASAATTLFVNAWAIGRDPASWSSPEEFDPDRFDGNDVDFNRAHFELLPFGAGRRMCPGMAMGAATMEFTLANLLYCFDWELPEGMTVEDVVMEETGGLTINKKVPLVLVPTRYNVTAYSS >Dexi2A01G0037270.1:cds pep primary_assembly:Fonio_CM05836:2A:46860772:46862289:-1 gene:Dexi2A01G0037270 transcript:Dexi2A01G0037270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIRNLRQEIEEFCVVTEFAQVKALYYLHSNRIIHRDMKRQNILIGKGSIVKLCDFGFARAMSANTVLLRSIKAYWKI >Dexi5A01G0037480.1:cds pep primary_assembly:Fonio_CM05836:5A:38829653:38834227:-1 gene:Dexi5A01G0037480 transcript:Dexi5A01G0037480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGSSVSSAARMEEVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIHVSEIEEWNTIKDLHMKTVDELGLPRTVIQDMLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFATYLNKLGVKARQYDAFEIGFITTAILVMRMSWKQLILLLLRDFMGWKSGAVTTLGRGGSDLTATTIGKALGLREVQVWKDVDGVLTCDPNIYPHAKTVPHLTFEEATELAYFGAEVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLARVFAIFEDLGISVDCVATSEVSISVSLDPSKIWCREHIQQELDNVVKELEKIAIVHLLQQRVIISLIGNVRRSSLILEKAFHVLRKSGVNVQMISQGASKRPPPVRSSPACVQPPSKDAAALTVVDLERSPRPQDAAAGRSWLAGVPSVHGSGLQPDYSL >Dexi2A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:2A:26160164:26162763:1 gene:Dexi2A01G0015290 transcript:Dexi2A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATMSARRRLALPLISILLVAGHGARASLSFDFDFSNASTFILTDLKTEGDAAFHGSLFDLTANSYSAGITFSVGRVAYAHPVQLRDNATGKANSFTTAFSFAISVTDANNKGDGMAFFLGNYPSALPPNSQGGALGLCTAGFCANKTAGAERFVAVEFDTFNNTWDPNMTYDHLGIDVNSIVSVSNVSLPSFSLNGNMSARVDYNGTTGVLNAELQFGPRPMFYGATPTYNVTAKVDLASVLPEQVAIGFSAATGSSIELHQLLSWSFSLITPGNSSTTSTVAASSSSRTGLKVALGITSAVSLLLCIAILGLLRALRRQHLAFGEIQLESEARSKLMDEEFEKGSGPKRFDYGQLAAATRDFSDEEKLGEGGFGAIVLGLGSALLYLHQEWEQCVVHRDIKPSNIMLDASFAAKLGDFGLARLSDHGLGGSHTTNLAVGYLDPACVVTGRAGPESDVYSFGASCSSRSPAASRPWCSVA >Dexi5B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:5B:7418939:7421889:1 gene:Dexi5B01G0010470 transcript:Dexi5B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGRAMEKEAAAVPELEIPGECQYSGEPAVVRPSKPTPRHTLYLSNLDDQRFLRFSIKYLYVFPAGAAVPSGDLRAALARVLVDYYPLAGRLRPSEDDEWKLVVDCNAEGALFAEGFLPGLTAGEFLRGRARPHKSWRKLLYRVEAQSFVAVPPLVVQVTRLGCGGMILCTAINHCLCDGIGTSQFLHAWARAARATGDGDDTGSFTDDVSSLPASPPPPPFHDRRALRPRCPPRVVFTHPEYNTCRGGAAVANGNGNGGESPPTSLLARLLGQPLSPVSLTFTAAHLLRLKRQCSPPSSLKCCTSFEALAAHVWRAWVRALDPPPALRVKLLFSVNVRRRVKPELPAGYYGNGFVLGCAESTAAQLTSSPARHGVRLVQEAKECVDDDYVRSMVDLLEDRRGGGARPDLAASLVISAWTRLGLEDLDFGKGKAAHMGPLTSEIYCVFVPVAGDPHGVTVLVSVPQTAADRFQQYCLGFLKDTDMDARLTS >Dexi3B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:3B:3278493:3279017:1 gene:Dexi3B01G0004920 transcript:Dexi3B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPSIPFEQCNAAKKVPFPHFASPLPWLVVPGGAVDTTVHEHDHRRSFSAVEQQAAVSDHHHQLGGYDGGHHHGSARFAVEDKMDMLWEDFNEELARAAQPCPLTKGTPSWAARKEPWFAGDGFEGAAETRKHAVIRRRRMGLLMMLRLLKKLFLAHKSGAAPSRKTPPI >Dexi9A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:9A:2645211:2646600:1 gene:Dexi9A01G0004820 transcript:Dexi9A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAEAGPAPAAAAWWSWFNSVLVLSLIPWLSAVAWRNLQRLQLHHLVGRQVSRRLRWLAAAFVDPYLTVTVDEHDTTGRMMRQDDAYYEEVKAYLGASCSRTARHLRAQGASRDVTPAAAAAAGDRIVLSMIEGEEVADHFGGATVWWSAHFVRPASRNGGGAGSEERSFKLHYHERHRELVLDTYLAFVQQRGRDIMVNSRQRLLYTNVPDHRPTWSQVTYKHPMRFDKLAMDPAKKKEVMDDLDTFKNGKEHYERSWKPWKRGYLRTARRGRASRPWSPPWPTTSSTTSTSSSSRRLLMKVKTKAVIVIEDIDCSLDLTGAREKKKKKKKAAAAEDDDKKNGGASSTSSSAAAEADTGSKVTLSGLLNVVDGLLSACGEEQIFVFTTNHLLGEVDMTPADVIEELTPKSKDDDVDSCLAALLKALEKAKEDKKNRGSSA >Dexi4A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:4A:23175091:23179243:1 gene:Dexi4A01G0019380 transcript:Dexi4A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRAVSSNLVGPPVGFLRAAAAHPAGPPAPPTPTKPAPVKRLTENASPTFASSGDPCLDFFFHVVPGTPAARVASLLADAWAAEPTTALRLACNLLGVRGTGKSDRKGFYAAALWIHGHHPATLALNAPSIVEFGYLKVLPEILHRIVRDDAVSKPGKKAEARLTGAVQGLLRLDTKECAAAFMEFERKIERRRRAEAAARAVERYGRDPNYRFLHDCTAGMFADLLAGDLQKLADGKLNEISLAGKWCPSLNCRYDRSTLMCEAIARRLFPKGSAPDLAADMAEEHYVYQARNRLRKALASLRRSLQLPEIFITAQAWGHVVYSRVASRAMRKYRELFFEHDQERFKLYLADVEAGKEKIAAGALLPHEILASIDGDGVAGLQWERMVSDLRELGKLSNCIAVCDVSGSMHGLPMDVCVALGLLVSELSDEPWHHRLITFSERPELHQITGKSLWEKTDFIRQMHWMMNTDFQAVFDKLLGVAVAGNLPPERMVRKVFVFSDMEFDEASSNPWETDYEAITRKFMEAGYGAVVPEIVFWNLRDSMSVPVTAGQKGVAMVVA >Dexi3A01G0028330.1:cds pep primary_assembly:Fonio_CM05836:3A:28899846:28903203:-1 gene:Dexi3A01G0028330 transcript:Dexi3A01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQDGHYDSMSQSTDSLRVEPIYESFLCPLTKQVMRDPVTIDSGVTFEREAILKWFNDCRSSGRRLVCPVTKKELSSTDLNPSIALRNTIDEWMNRNEAAKLDVARKSLTSDCTESDILQALQYVDEICQRTRSSRQAVRRDGLISMIADLLKNSSAKVRQKALETLRTIAKDDNENKVEIAAGDNIRTIVKFLNHGQTQEKEKAVSLLYELSGNKALSERIGNVSGAILILVGLSSSKVENLLIVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSPDIQLSMAAYLGELVLSNDIKVLVAETAGSTLVNIMKKGNREAREAALKALNQISSYDASAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSANILANVVASGARFESIPLDHNRQTLVSEDIVHNLLHLISNTGPAIECKLLQVLVGLTDSSTSVQSIVDAIKSSGAIVSLIQFVEAPQREVRMSSIKLLNNISPYMGEELADAFRGNFSQLSSLIRIIGDNNGISEEQAAAASLVGDLPMRDSVLTRRLLQEGAFATIISKVVRIRQGEIRGGRFVNPFLEGLVRIVSRITFVLDDDPDFIAVACEYNLTTLFTELLQMNGLDTVQIVSATALEKLSHQSKHLTKILPAPNPGLCFSIFPCLSQKAVATGVCRVHCGICSARESFCLLEGKAVEKLVACLDNNNEKVVEAALAALSTLLDDGVDIEQGVMVLCDAEGINPILEVLCENRNEGLRQKAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDYRTRQVAERALKHVDKLPNFSGIFSKMGAQ >Dexi4A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:4A:2312430:2314327:-1 gene:Dexi4A01G0003180 transcript:Dexi4A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSSTLLGRPAGTARPHLAVSSSSASSIRFPRGGCGGRAVSLRVSSPPAAATSGSIAPAISVTEKALKHLNRMRAERNEDLCLRIGVKQGGCSGMSYTMEFETRSNANPDDSILDYSDALIGGGFSFQNPNATKTCGCGKSFATSKEMGSTATACNN >Dexi9A01G0044780.1:cds pep primary_assembly:Fonio_CM05836:9A:48396190:48397408:1 gene:Dexi9A01G0044780 transcript:Dexi9A01G0044780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETSSKAASTTSPAPTTNPSPSPARYKPSLLVIFSACLVLVGATGPLLLRVYFVHGGTRLFLSALLQISGWPLLLPPICVSLFRRRRSHGVSNLLIPARLAGAAAVLGAFYAISCFVYALGSQALPLSTSSLLQATQLAFTAVFALLFVGLRFTPFSANAVMLLTIGPAVLGVGPGSGKPAGEASRTYWTGFCESIAAAALAGLVLPLVEVAMARSGGRRRTGPTTAARVTPPYVTVMQMQAVMGATGTVVCLLGMAIKSDFQALPSEAATFGLGDNNYYLVLIWDAVAWQMMNLGIMGLITCASSLLAGIMVAVLPPLSEVLAVIFLHEKFDGPKGIALVLSLWGFASYLYGERAQKKQHCARALPLGLCILPLRAQKKQEAQKNEQELAKKIGDVESAAP >Dexi2A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:2A:2737074:2739081:1 gene:Dexi2A01G0003180 transcript:Dexi2A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPKPWERSGGEGTSGPAPFKPPSGSSTSDVVEASGTTKPGENVATAERNASANVNSTVTRPMPQRPWQQTGYGNTYGGYSGSNMYSSYGGYGNTYGTGGLYGNSMYSSYGGGYGGGMYGGGMGGYGGGMYGGMGGYGGYGMGGMGGMGIGPYGNQDPNAMGPPASPPGFWMSFLRVMHGVVNFFGRISFLVEQNTQASYFFMTAMLQLFDRSGMLYGELARFVLRLLGFKRKPKKGSLQGPGAPAFDGPSQQFMEAPKAGNNWDNVWGN >Dexi6A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:6A:23688512:23688923:1 gene:Dexi6A01G0015790 transcript:Dexi6A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLHPHNNMELNLEFSLKKTAAGTGTPASEGAPGENMSRRQAKLEAAAAAASLYGRSFAYTPANTLNRYVNVAV >Dexi3A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:3A:17224276:17225542:1 gene:Dexi3A01G0021620 transcript:Dexi3A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >Dexi6A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:6A:2100026:2100471:1 gene:Dexi6A01G0002240 transcript:Dexi6A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSRSAAAAAVRSAALRSRSPAERLFQAARSPLVPPPIRRPVVAAALASLETLMPLHSAVAAARLRSCIAADSACWSSLSQDCR >Dexi2A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:2A:75425:76390:1 gene:Dexi2A01G0000100 transcript:Dexi2A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQMAKKYYYCWGMSSALSARTGGAAATTTNIRSWPSPAVHGGEQQPSWEEVAFARDAAGQLGGCVWPPRSYTCTFCQREFRSAQALGGHMNVHRRDRARLRHCTSPDDLVDDDEAPPPPPPHKQQLPPPPAAAHNNLLVQDDDASTLFISRANKQPLLISGSADGTPISLSAACDHHQQQHAAASSHIAETIMRMRESKNKLVISIPAAAAAAAATAGMSKDALLAIADYQKEEEEEIIVAERTKRRRLVVHHHQQQPDLEAPLFFLRPPPLAASKKGVGHDDAKVPNKVIITSPASPNSLHLVGRQEVDLELRLGTS >Dexi4B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:4B:9647825:9650545:1 gene:Dexi4B01G0012000 transcript:Dexi4B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAINPTEPSDQIQMAPNDQPAATPGSTKPSGGGSTEFQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTPDGLHHAGDPILQFAHHRRYLAFYYCNATAFAASLVVSLLLLVLNGKNTGWEALLRVVMVLDLLGLMGAYAAGSCRDTFTTIYSAVLVCAVFAYIVVAFSTYVVSNKSVLAMVLPTKKDGEEDTERQAGGKHGEDGADSKQEHEEELREVLMLLATFAIAITYGAGLSPPGGFWGDTSDGHNMSDPVLQEHNRSRYQAFFVCNTTAFIASLLIVVLLLDKKLSSNKSVRFVALHGLIVTALFGLMGAYAAGSCREVDDTTYVVCLIGAVLAYIFLQVAVTKASLTGPDQQITSSSGNTQHDDAVEKARSLVMLLATLVVSITYQAGLDPPGGLWPADGPDYKNGDPILLTTHPTRYTVFFYSNSAAFVASLIVIIMVQSRFLLKRHTLEAAMILDLFGLISAYAAGSCRDEITSIYVVALAGIVLVYVVIHIVFFTLDHKDNHRDAEKLENRREVLLLLAILAATLTYQAGLTPPGGFWSDNEAGHRAGYPVLGDNYRPRYHAFFYCNAASFMASVALIVLLVNPNLYRPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLTLVVAVFAFVALEVFIFWVYPYLKKILSKSGDKEKESSGSITEEQKTGPRNAATPKTPEAQKEKNMREYLMLLGVLAASVTYQSGLKPPGGLWQDSNGHSSGNPILHDINKGRYYAFFYSNSTSFMASIVVVILLLPWTLHEHKLPLWPMQTAILLDMLGLLAAYAAGSTRDWGTSRNVIYLVIPVLAYIAAYAAVSIYRKKRCHKSQEEA >Dexi2A01G0024950.1:cds pep primary_assembly:Fonio_CM05836:2A:36759631:36760527:1 gene:Dexi2A01G0024950 transcript:Dexi2A01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHMRSISLPTRPHCLVLKAEHELRRLRSHVSPPSPSPSAQALCALLQELGDLHEYVEEVLRLPTNWDALRLPRHRRLVDVELEGSVALLDLCGEARDGLAATREKIRDLRSELRRRRLLPRSAANPELPPRGLMEACAAPLKKASRAIRRECGGKRSAAAEVRDDSGGGAPRPVAMLAEVRELTVSLLQSSVEALLRQAVVIRPSTTAASKWSLVSRALMYSRGMASGEDQEGVRAGDDEPAFGDVKDVGNGDGLLKAQEQLESLEGCIEGLEDGLESLFRNLIKTRVSLLNCVSL >Dexi1A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:1A:18850100:18850508:1 gene:Dexi1A01G0013610 transcript:Dexi1A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRAKGGLSRNADIPPDDYSWRNKASTSSLHLPTLRSCDATDGYYKCSTVRGCPARKHVERDPGEPAMLIVTYDGDHRHDDQQDRRRGTGADGSAQPEHTTTST >Dexi7B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:7B:20963733:20970161:1 gene:Dexi7B01G0014860 transcript:Dexi7B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAAAAAAAGAEPVFRGRRIGKRLGTGGWRSERVVGRCMGQRSYVRLRVLHGRDEEKETSGFKCDFNV >Dexi3B01G0022600.1:cds pep primary_assembly:Fonio_CM05836:3B:17363055:17364978:-1 gene:Dexi3B01G0022600 transcript:Dexi3B01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTAILSFAASTSAAGVVILFQKDVLFCRRYPQLPCGKYELATACAFLSWALSATSALIMFWLLAA >Dexi1B01G0030660.1:cds pep primary_assembly:Fonio_CM05836:1B:34555998:34557269:1 gene:Dexi1B01G0030660 transcript:Dexi1B01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSNGAAYQSVPESYVFPANKRPGSSSPSCAAAAAIPVVDIGGDDHERIVKQIMDAGREFGLFQVINHGVAEQVVSEMMSSAEEFFRLPTEEKMVHYSTDSRKLPRFHTSIGNDEEKLLYWRDCLKLGCYPFEQFRHQWPEKPAKLRASLEAYTTAVRAVALRLLRLTAAGLGLDECHFEDGELTAGPVIMNVNHYVACPEPSLTLGLAPHCDPNVVTVLADNGVAGLQTRRRDGGGGGWVDVEPMPGAFVRSANGAVRAGEHLVVTNARAARTSLAAFVMPAMGCNVAAAPGLLGVPDGEPPLYMPYTYGGSLACTRRPPGIETPSSHASGTHEK >Dexi3A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:3A:2817730:2818352:1 gene:Dexi3A01G0004270 transcript:Dexi3A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKRINYVAPMLASAAILLLLLSGYFELPSISSSLSTPAPLLPGAGSTRFPTALDSVGSRDRDPFTSLLEAFNAWDVAVGCPRIRAKLTAAEELPGANATAQVSASAAITGGAAWRGARCEDLATRHVGVLVKGWTWIPDALDGVYTCRCGVSCIWSKSAAAVDRPDALLFEGATPPPQA >Dexi3A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:3A:3350476:3352784:-1 gene:Dexi3A01G0005180 transcript:Dexi3A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFSACSNVTGVMTDTRQLARVLHEHGAFACFDFAASGPYVKIDMKSGELDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLNSQPPSTCGGGTVAYVNGFNENDTVYYDDIEEREDAGTPQIIQKIRASLAFWVKEYIGYDMMSLRERVYSETAMKRLVSNPNVRVLGNTTVERLPIFSFLIYPPVSNSGDVKHKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLEIDNDLSLRIRSMILEGYSGLKPGWTRLSFSYYLSKEEFKFILAAIEFIASYGHRFLSLYKFNWITGDWTFRKQAIKYQIMKEELDLTSGIEPLAENAQAKVTNKLEKHGVSGKKFESYLESAKMIALSLPDSSHQVVSVPKRVDLDLVLFHI >Dexi6A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:6A:3974524:3974952:1 gene:Dexi6A01G0004340 transcript:Dexi6A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLGRRHALPLAVRAVLTLVNANITGRIPKAIGNLSDLVHLNLYNNNIVGAFPTVLYHCRSLQYLNLSNNYIGGELPGDIGHGLASNLSTLDLSANDFNGIIPASLSRLENLWSLTHRRHTGRAG >Dexi4B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:4B:15338430:15339876:-1 gene:Dexi4B01G0014420 transcript:Dexi4B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSGPLSVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGSARRIGLRKTLVFYIGRAPHGKKTDWIMHEYRLDEENVEIQEDGWVVCRVFKKKNYQRGFNPAEMATLDDDELQPFPAVPVPGSLPTDHKHNPHLMQYEFPTFDPTMQLPQLMNADQQPVQTLLSSQPGVPIAMSSLDVECSQNLMKLTSNGSDGMLNGGGGVVGGVDRFTGTTDWSILDKLLASHQNLDQLFQGKVSTAPAPPMAPYHQQLMELGGSSSLQRLPLQYLGGEAADLLRFPK >Dexi5B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:5B:19526061:19530393:-1 gene:Dexi5B01G0017690 transcript:Dexi5B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCGATGRVGVGVTSPTRPTLPQGKRRPLRLWMPATASYGQYEHCDPSNVFRVQLESSNCWSNPIIFLLGLPSYTDTWRYLGGQAHSSPDDDPELSKAEKRHILGGGTFKEPVTSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVVQSYPVLKFNLTESGLLCVLPWLTMAVFANVGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLSLLSKVRTPVMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGEKVLE >Dexi9A01G0048790.1:cds pep primary_assembly:Fonio_CM05836:9A:51527776:51540003:1 gene:Dexi9A01G0048790 transcript:Dexi9A01G0048790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGDAGGGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDCGADDGFVAEIDRILESINAESAPAPPPPPPPAPAPAPAPVAPPQPQLQEAVVAVAHNAVAVVDAPQRTQAVEVRKEPRRESPLAGVNGGAEWRDGKRQRTIAGATGGSRHDRRRRPMPLPPPPSRAWEDRRGRREYDRPRKRDRDGHYAHDHHRREARGFWERDRGGKMVFRHGMWEAEADRQGKRARTQDGSPAETKVEVEQTAASQKEKPVTEEQARQYQLEVLEQAKRRNTIAFLETGAGKTLIAVLLIKSICDKMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDSRKWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPVVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDCVVSTIKDRKELEKHVPMPSEVIVHYDKAATLLSFHEQIKQMEVTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSENNDIEMHSAESQKPNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLGFIRCASLIGHNNNQEMRSGQMQDAIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLKHARSSEETLRKEAIERTDLSHLDGTSVICPVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKHEKEGVSTEYSCKLQLPCNAPFEKLEGPTCSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKTEQNDEGDPLPGTARHREFYPEGVAEILRGEWILSGRDGCQNSQFIKLYMYSVNCVNIGNSKDPFVTQLSNFALIFGSELDAEVLSTTMDLFVARTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDALREIDWTLVNSIVNSDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIADFDVVKASGLVPVRDRGHSNDYQNQGKLFMADSCWDAKDLDGMVVTAAHSGKRFYVDNICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEAANGEFSENLDKTYHVYLPPELCLVHPLPGSLIRGAQRLPSIMRRVESMLLAIQLKDIIGYPVPANKILEALTAASCQETFCYERAELLGDAYLKWVIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYDTSVVWKVFQPLLDPMVTPDTLPMHPVRELQERCQQQAEGLEYKASRTGNVATVEVFVDGIQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDAEKDSEKKNGSQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAILLLELLNRNYRDKPDGK >Dexi9A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:9A:7088635:7091497:1 gene:Dexi9A01G0011370 transcript:Dexi9A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTDLINLDLSDCTDKIIAEYICPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNNRHRAAKIFSHPDVKVEEPWFGIEQEYTLLQKDTNWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLYAGIDISGINGEVMPGQWEFQVGPAVGISAGDQIWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSDGGYEVIKKAIHKLGLRHRDHIAAYGDGNERRLTGRHETADINTFIWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWEPSHSNGNGVAAP >Dexi3A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:3A:16281651:16284556:-1 gene:Dexi3A01G0020510 transcript:Dexi3A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPWAGARPPALTQLLLVVFFAVLAGRGGAAGGGGKGSSVYPAAVVYPHHSRQISWKPRVFLYQHFLSDDEANHLISLARAELKRSAVADNMSGKSTLSEVRTSSGTFLRKGQDPIVAGIEDKIAAWTFLPKVKPRKGDALLFFNLKPDGATDSVSLHGGCPVIKGEKWSATKWIRVASFDKVHHTPGNCTDEFESCAKWAALGECIKNPEYMVGTAASPGYCRRSCNVC >Dexi5B01G0028950.1:cds pep primary_assembly:Fonio_CM05836:5B:30218290:30228791:-1 gene:Dexi5B01G0028950 transcript:Dexi5B01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFRDAVAILAILSCDFRQLLEHQDLMADAVIIDDSAASSTGSGNEDHGVSCDSLSGRRSYGQVDNGVPSTSPPFWDIDDDDDDPGPRPFDLFGQYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVADHDKLLPGWGHFAQFTIAVGNLDPKEVKYSDTLHRFWKKEHDWGWKKFMELSKIHDGFLVDDVLEIIAQVQVISFRAFWSSMDPTTKHRMSREKSEIILKTAVKHFFLEKEVTSTLVMDALYTGLKALEGHSNGKKGTVTSMDLEELPAPMVHLDMDMFVLAGDFIALVKRAASESLSCQSLSPKDDKCSQTRAKVGIYNFNFLIHNLDSSLFLVKFNGILQCLDICFHVSGIDVAYKEAVALKRQEELIREEELLENEKGKRGSAIEKDKRAKKKQAKQKKNSRKVKDKEREEKSNSNFLEKGRDGSTTYEWEHEGLLHAKLENSGDCASDVSDNIDGSTETCEKETVDIESLVTSVSATVSSIRGKINNLLDSTSHITRLVSISYKKEIEGRELLKAHLEKKEKKAAAEAATGSSSSDSLEKTNPQVRKGPEKSSVTINDGNVNAPPPKSLPVATNGDNNGAVPTTATSTINTKSVPIVTPTPSKLDPILCEEHVSSSSQQIDTLVPSRSPLVDKAISTPPESPLPLIDTVGKATPALPKSPLPQVDTDDKATTPATTETSASQLDKVAKAISAAPKSP >Dexi5A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:5A:5244307:5245071:-1 gene:Dexi5A01G0007060 transcript:Dexi5A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEFVWPASSCDPPPFQESSVSVSTVSHHQHPSLDFMSSDDVPEQWLLGDDDVLDHRRAVQPPPPLLIQTTTTTTRGTRKQPGARTDGHVTTTSHVEAERQRRDRLNRRFCDLRAAVPRVTRMDKASILADAVAYIAELRGRVDHLEKAAVASLPPSFGSSHDDHHERFALEARMIGPEAAALRLRMAAERHSPARLMAALRALDLPVQHACVCRVGGVTVQDVVVDVPAAGLRGDGRLRAALHGLLLQESC >Dexi6A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:6A:25047634:25048422:1 gene:Dexi6A01G0017190 transcript:Dexi6A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQRGLYKIRSWETYTFGYDATTGLYKILHLPCREDVSRGFNVLQAFTLGHDASWRDVAVPGASCCPDAGIVSVGGAAYWVTNGVERFVCFDLKLERVAFDAPLPVGPGKIHLAEVNGRLGLVVRADTGTTPVTTEEVWVLGDGGDRLGWTRRYRVCAHGVGVEQQRLAAPYFAAHGGEYVVAMRTDERWRKHLHAHRLREAGIWLPRGEVRSVRISETGTVVASGVSGSNLRTFAYVETTEPLRSTGK >Dexi6A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:6A:6364795:6365493:1 gene:Dexi6A01G0006580 transcript:Dexi6A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMPPPPPPPPPQHQEEGIHDVVVLRIRDHLRQTGQHGDDLDSPSFADRLARHLRRLPCGYFIGYLDLDAGSLHEFLRHWRILDDCADPDKRPIFHARFMTAVHMHVHRSAPYYVGGDKDDDDHQELDTVTMFLHEIVFSGVDRPRILARLTALVSEVGLNVREAHVFTTVDGFLLAIFLVDGWETEVINV >Dexi2A01G0033140.1:cds pep primary_assembly:Fonio_CM05836:2A:43427874:43430228:1 gene:Dexi2A01G0033140 transcript:Dexi2A01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARTGERATSFAVACSLLSRFVRQNGPAVAELGLGIKGEAESQRAPATMSLLPAAEAEEAERRKETMELFPQSAGFGVQDAARETEKKDKSQQLTIFYGGKVLVFDDFPADKAKDLMQLASKSGPVVQNVGLPQPPAPATVTDNTKVHKAMPAPVSNLPVAPAAAAQKPARTNASDMPIARKASLHRFLEKRKDRLTAKTPYQNSPADATPVKKEPESQPWLGLGPNAVKSNLG >Dexi2B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:2B:424422:425113:1 gene:Dexi2B01G0000870 transcript:Dexi2B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATRVTRLGWRTAAPSPAGSEGGPSAPDPTLDRGYAAQLQSECPASVDPRIAVAMDPVTPVSFDNQYFRNLQAGKGLLASDQVLYTDPRSRPTVDAWAAAARRLTGPLSPPSPSWAGSGSRWGPMGTYDATAQC >Dexi3A01G0031480.1:cds pep primary_assembly:Fonio_CM05836:3A:35937768:35938063:-1 gene:Dexi3A01G0031480 transcript:Dexi3A01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAATPPDWKGKSSTLVHLGHSRFCLARFFRIIQPGGLPCIKFVVFTGLEVEQGDGEELRMVKHRSHRYSLVNKLIHW >Dexi8A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:8A:458207:459870:1 gene:Dexi8A01G0000690 transcript:Dexi8A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVSAAARCIALLLLLALAGSSSAQLSTSFYSSSCPGLYGAVKSVVKSAIAREKRMGASILRLFFHDCFVQGCDASLLLDDTASFQGEKMAKPNNGSVRGFEVMDAIKSAVEKACPGVVSCADILAIAARDSVVILGGPSWDVKVGRRDSTTASFSGANNNIPPPTSGLSNLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHIYNETNIDGAFARTRQSGCPSTSGTGDNNLAPLDLQTPTVFENNYYKNLVGKKGLLHSDQELFNGGATDAQVQSYVSSQSTFFTDFVTGMIKMGDITPLTGSNGEIRKNCRRIN >Dexi5A01G0039340.1:cds pep primary_assembly:Fonio_CM05836:5A:40011962:40015407:1 gene:Dexi5A01G0039340 transcript:Dexi5A01G0039340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDEAPSWGSRSVDCFEKLEQIGEGTYGQVYMAKETGTNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGHLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDVIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQVCYIHTSFYKKYYLLLFVRLALPFLRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLNHPPPHSRLPPIQQSGQAHPQIRPGQGMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGPRGAGGSGYGAGGTNYQQGGPYGSSGPGRGPNYPPQGGSRNQQQYGNWQ >Dexi2B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:2B:66729:68775:-1 gene:Dexi2B01G0000150 transcript:Dexi2B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRRLLAVSVVLVLLLPAPGVVGQAPNICGTKGNGPDCSATSASASASNRGAAFEANLLRFQDSLRGMAASNASFLNATFAGGEEQGQTVYGLATCRTAPPAWQALPSTRCDGRRDMVLLYARCLVRYDDASFFGVADTSPAHRFVVPNPNNFSDAESLGGAREWLAGRMPAAAAQSPARFAFDDEAVVTGNTTTTLYGLAQCTEDLPVEECTRCLASHTSWLGVCCADMDGVRLVGPSCYLRYELMAFQPSVEPLLLQPPPSSAPPQPSAPQSSGKKTYCQRASRSVGWGVLPSCWVLPGDNTVVEEEEEEGIVCLYHDLDGFCASAACGPDEEDIVRKMVIVGLWCIRMSPSDRPSMSRVVDMLEKTTAAELQLPSDPSPAPRS >Dexi9A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:9A:3455957:3456790:1 gene:Dexi9A01G0006120 transcript:Dexi9A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSSSSQAAADLSLTLAPAPGSGGGGMVGEGGGGGVSPGGVGGGAATACVDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMAPQPNAAAPPSPTMAGYAAYSGAAAVGPGALPPHAYAAGHVYAPVPAPFPITSHSTSVVGSGGLQYYAPHEGSSPATASAAASVAAGEGGGVLAPRTRFATLQPAASKSDPRGAGGRDDLIDMLNWRRGSHGPTASAAATTTSPASTTTTLTNSGAGAAEGSSSNNNYDDGGEELDLNLSL >Dexi7A01G0021970.1:cds pep primary_assembly:Fonio_CM05836:7A:30426236:30427120:-1 gene:Dexi7A01G0021970 transcript:Dexi7A01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKATSGVLRNAAALLDEMQLMGDTQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQADKETDEIYAQMTLQPVHSL >Dexi2A01G0035900.1:cds pep primary_assembly:Fonio_CM05836:2A:45407279:45407695:-1 gene:Dexi2A01G0035900 transcript:Dexi2A01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRRRPAATATADPPTRPPPADAAAAPDEPVLRPPNLLVAAAAAVLFLAPFSYLAFVHYPLDADLRGSILKCGAMSLGGFFIVLKLIPVAARYHLRRRMFGYDINKKGLPTGEIKLD >Dexi9A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:9A:8443:12570:-1 gene:Dexi9A01G0000030 transcript:Dexi9A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWGSSGQAADSFYQVRPDCSQNFPSTKYKIKGVHPAIRGEVWEFLLGCYDPGSTFDERDQIRHRRRMQYSRWKEECREMDSHVGSGKIITAPIITEDGFPIKDPLVLLEAASETQGTPTSSSGIEVSDSTNRVMDGQIIDWKLTLHQIETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPGIFCTYEETGAATDRIEGLKPKVKSVRQFGKYERQNMKNGASDGDGPVPISVFLVASVLKENSPKLLQEARGIDDVIRILNNVNGDLDAKRTCAVALKLHRKYLKKVISCG >Dexi8B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:8B:25504747:25509366:-1 gene:Dexi8B01G0014810 transcript:Dexi8B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAQAAARRAEEKGGKAVVSNGGGGGGKAAAAARCHPALGEQKVSIRGVVGELLSSAGKGRSLISLGVGDASVHACFRRGGEFAAEAVAEAAKSGAVDCYAPSYGFPAARRAVAEYLSASARHHTGDTDVFMTVGGTGAITAITTVLGGAPGASILLPRPGFAPYQAACEIAGAEPRFYDLLPQNGWEADLTSIRALADGSTAAVVVINPNNPCGAVYSANHLLQIAETARDMGIPVIADEVYGHMVFGGSKFIPMASFAHIAPVISIGALSKRFMLPGWRLGWLAFCDPNGALKHVKTATEMLLNVTSGPASIIQAAVPKILSNEHHEFHWNVVHQLESAADVLYRRVSQIEALQCYSKPEGSMFMMVEINTSILYGVANDIDFARELIKEECVLVLPGSVIGLKNWVRIFFGAPVSLILEACDRIESFCRRRTLKKNN >Dexi2A01G0034820.1:cds pep primary_assembly:Fonio_CM05836:2A:44656252:44657353:1 gene:Dexi2A01G0034820 transcript:Dexi2A01G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLPSLNRVLVEKLVQPKKTAGGILLPETFKQLNAAKVVAVGPGERDREGKLIPVALKEGDTVLLPEYGGTEVKLAADKE >Dexi7A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:7A:2617693:2624543:-1 gene:Dexi7A01G0000940 transcript:Dexi7A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGKPSLSESWTDKVIWHQGNLLEPSSLKDAMDDVSAVRAAEAELLSKFTYGDFQDDLTMIFSSLGVILRPGFIHGTRRVGSVKIPLSLVGSPLQMVLQNAKSFTKLPFVGPLLTPPVSVTAVAKVAVRAATDPVFPPGIVDVYGIMRYSEQK >Dexi3A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:3A:6230063:6230521:1 gene:Dexi3A01G0008970 transcript:Dexi3A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGKVKKASAGRKPGGGPKKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGRLLAGVTIAHGGVLPNINPVLLPKKAAEKAEKAAKSPKKSPAKSPKK >Dexi6B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:6B:2352422:2354918:1 gene:Dexi6B01G0002790 transcript:Dexi6B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFGALFGGHRRSSTGGGRAAAAVRHRGLISQPSSAHHDVGRRKAMLSKKYSYIPDTFTSLEQVAAALRQQGLESSNLILGIDFTKSNEWTGKQSFGGQSLHRLGETPNPYELAISIIGKTLAPFDEDNLIPCFGFGDATTHDSNVFSFHHNNSPCHGFEEVLACYRRIVPHLKLSGPTSFAPIVEAAVDIVEQSGGQYHVLVIVADGQVTRSVDTGDSDLSPQEKRTVDSIVMASAYPLSIVLVGVGDGPWEDMQKFDDKLPARDFDNFQFVNFTSIMARSITAEQKESAFALAALMEVPIQYKATVELGILGRTTGNAKRVQPAPPPLPQRQTSLRRGSSNVSAVSAPSPRQDQVCPICLTNAKDLAFGCGHMCCRECGESLNRCPICRQPIRSKLRLYSG >Dexi3B01G0034930.1:cds pep primary_assembly:Fonio_CM05836:3B:37576686:37577963:1 gene:Dexi3B01G0034930 transcript:Dexi3B01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANRAAVESGHRVLALLSNPRGQLVPNKDLVAATGEAVVKFSSLTAKLFNGNGLKGHARVRKIKKPLPIFDSNLFLESSAAAAASSAKAPSASPITSLQLSSFSRYHQMEGSSSKDPVRIPAQFPKRLLLENLAPTGLEGPSSQAPPVHMVQPVSVAPPAGTPTPALPAAHLHFIQQQQSYQRFQLMQQMKIQNEMMKRSNLGDQGGSLSGGGGGGARGVNLKFDSSNCTASSSRSFLSSLSMEGSLASLDGSRASRPFQLLSGSQTSSTPELGLVQRRRCTGREDGSGRCATGSRCHCSKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPSL >Dexi3B01G0027460.1:cds pep primary_assembly:Fonio_CM05836:3B:22991051:22991696:-1 gene:Dexi3B01G0027460 transcript:Dexi3B01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGPCFGQMMLMMNCVNGILGNIQGYSPGLMQGVQAVFQMSCGNVGNGQQGAGGGAGGSGGAGGIAGGGGAGGIAGGAGGGGVGGGTAGGGGAGGAGGGGGNTAAGSAVAAGGTGSPNGVSNQDEPDEPTSSAGGPTDSLTRGFPTVLMTWTCIWLLRLF >Dexi7B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:7B:1017314:1019537:1 gene:Dexi7B01G0000410 transcript:Dexi7B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRLGALLLRYPPSSSSTAASSCQRHHLLPSEEPLALNRLARLFTSQAGNGGGHSQKPFIAFVLGGPGSGKGTQCTKIASDFGFAHLSAGDILRHEIASGSEKGEMILDIIKEGRIVPSEITVELIRKAMEESNANKVLIDGFPRCEENRIAFERIVGTEPDIVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFENLNIPVVDYYSSRGKVHKASKLFFSAHNYQNMTTSVSANLFCCKVQ >Dexi9B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:9B:3689476:3693052:-1 gene:Dexi9B01G0006230 transcript:Dexi9B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSADFADDGFAAARLFSQGFSYTYDDVIFLPGYIDFPADAVDLSTRLSRRLPLSIPCVASPMDTVSEARMAAAMASLGAAAVVHCNTEPDAQAAIVRAAKSRRLPFVSSVPFFSPSSAPTLNDFDGNEYALVTERGDSISRLVGVAVAADAASREVPAPVSEYMRPVPRSASASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLITAKDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVIDSSQGNSIYQLDMIKYAKKMYPEVDLIGGNVVTIAQTQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHDIPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSHEAPGTYEYKVRTGAAQVEGGIHGLVSYEKKAF >Dexi7B01G0023950.1:cds pep primary_assembly:Fonio_CM05836:7B:28413534:28414969:-1 gene:Dexi7B01G0023950 transcript:Dexi7B01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNADGDTWEEWYLHPVKSPGIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFEIPAEKVEEIKPNRPSKPTPPPPEVKRTTTSPDSTRSREDVPCTSA >Dexi6A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:6A:18135122:18135564:-1 gene:Dexi6A01G0011970 transcript:Dexi6A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLVFPLLACLCFFLASCTSSEHGETSPGLYPVVLVPGYTCSNLDARLTDEYQPPPGMPWCGAMKGKGWFRLWKNQTALQDPRLMPCYAHQLRLVYDHATDDYRNAPGVLTRVVDFGSTRGFGSDDPAMKW >Dexi8A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:8A:6832:7641:-1 gene:Dexi8A01G0000020 transcript:Dexi8A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAGALPSLLPKLAQLLAGEYNLQKEVKGGIIFLQAELESMQGALEKISKTPVEKLDDQDKIWARKVKEMSYDIEDTIDKFMVRCKGREPTEQDGFKEAIDRALKWLRQPKIRHKIAKEIREIKSRVEEVAKRRDRYKINSEVAKPVTIDPRLFAQCEKVTELVGIDEAREEVTKILMEGNEVCKKRGKIVSVVGFGGLGKTTLAKVLYEKLRPQYMCSAFISVSQTPDMEKLLKDMFYQVAMKCNESTNVISELSKFLEKKRYGSI >Dexi9A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:9A:3166621:3167275:-1 gene:Dexi9A01G0005660 transcript:Dexi9A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLVLAMAALAVAFLPAFTAATEHWVGDNTGWTLGFNYSAWAETKQFKVGDTLVFKYNEPSHTVVEVSGADFAACNIPGSSKVLTTGNDQVTLDKAGRRWFICGVGAHCKNGMKVKITVLTAEEAAAPAPSPPPSPAVKVQAGLVQAVLAVTAVIAAALVF >Dexi9B01G0026000.1:cds pep primary_assembly:Fonio_CM05836:9B:27662983:27663300:1 gene:Dexi9B01G0026000 transcript:Dexi9B01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAALLETRRLAIAETKGLTDRNVPVPMSILWQAPLYLVHGAADVFGGIGMAEFFNDQSPETMKSLCAALGQLALASGSYINSLMLSIVAAQHVMGQPGGFHTT >Dexi9B01G0031670.1:cds pep primary_assembly:Fonio_CM05836:9B:34007385:34007563:-1 gene:Dexi9B01G0031670 transcript:Dexi9B01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEETASFFPAHERVEVFGSYRSVPFPLPAGSDDMSPR >Dexi8A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:8A:24352470:24353882:1 gene:Dexi8A01G0014030 transcript:Dexi8A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVEANGSTRTMILNRPKQLNALSSAMIKGLLRCFRTYQKDDGVKLLIMKGKGRAFCAGGDVTVCIQSIHNEGCQWGYDFFRNQYLLDYIIATYVKPQVSLLTGIVMGGGAGVSLHGRFRVVTDKTVHCL >Dexi5A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:5A:6353171:6353855:-1 gene:Dexi5A01G0008530 transcript:Dexi5A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGENRRPVLSPRREAPAATEGADRRSHGKKARRERERDLRSPSYLALRNSWGFSDGQDGGRRRSPSPAPPAVVPSPGAGVPAQTATAASTTTTKTNQNAVAPAAALATGVVAGVRVLTAIATETSQLAAAAALAPGVVAGVRVRTVTLTTTRSQIVAAPAPGVVVSRPGAAGAGAEREAKSARTGGAARRRTASATCLLKTTTITLTFA >Dexi5B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:5B:6339708:6341761:1 gene:Dexi5B01G0009280 transcript:Dexi5B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVRAGPMGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPETVAVEYCHRTVGDGGGGSACKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPDYTAPQQLFHYGSIPMNFRGNWEIARQDLDDARIITMVPTDRDFMDSYEDYMPIRTRSTTLCCRTVAIIFMSLLVLRHTLPLMIGANGEYSLALFSDSGNSIPNPGHGQSHGKLPPSTAAAVSDPVPA >Dexi7A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:7A:27203822:27204143:-1 gene:Dexi7A01G0017580 transcript:Dexi7A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPGTCRCSSSPPAPLHGRGEHESCEGERDAGCGDAEAEAPRVMFCWNHTMSKPETIMAKSTQM >Dexi6A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:6A:3074187:3074656:-1 gene:Dexi6A01G0003470 transcript:Dexi6A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLFVTANACAGVPSMPIDAACRAASTCPAMYDLCTSILQSSPPNSDLATYAVSAAGAAALSCNSAADAGVRMLQDGSVTGDMRDACSACVDDYRAAHQGIAATADQLGQCAFQNVRQGYMDALSAIEDCTAKLVAAGGTTTTLYAMAG >Dexi2A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:2A:32670900:32674662:-1 gene:Dexi2A01G0020490 transcript:Dexi2A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILQEVQRVKEPGDLYWHTNQGIWKDLGEEVALELSKSVVSLALFDGDYGDIVLFSSSGIAIECTMNATKFLTSASLVRALKKNNQGKQHNNLKIEVCHEGKVASGFLERYDLRLEIAIVKINSSFGVRAVFLHHWTQFMPYCDVVSLGCDTSGKLMVTTGKLTRGPSRSKESEYLMFSSCKLSEYFRTSQERDVFAAWVKDLKTVRVGEKLIDGTHYSQPEGAPSKDHQGVFGYPSPTGRGTRIFACSGIFIDWDGKCQDNECQTILTSASLVRNPEYPYDGEDDIIEGLKIEVLLPRNERREGTLIHYNLHYNVALVSVQEFCTDPQAIFKHGSALWSSKLVAAVGRCFTSSDLMASRGKLVPWSGPFDCERLHYSTCQITK >Dexi6B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:6B:26538136:26538477:1 gene:Dexi6B01G0019980 transcript:Dexi6B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLATGRSMRPGPLSVSPLLEHGRGKEDYRRKEEVSGAQLSSMGPAGINDSDMDGGARACPVWDHLKMLIT >Dexi4B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:4B:275706:276346:1 gene:Dexi4B01G0000440 transcript:Dexi4B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQLQRLEELVVLGVGPALTRLGDGVGLAHPPGPLIVFVPYRHGRWRGGLPQAHPARLLLLAASDARDGRIEGVRRCGHGHHRPPQRAADAAAAAAKVSLELELLRCRPSRWWRAGLFSWPQQQQQQEAAMVAAAATTSASPDSH >DexiUA01G0016090.1:cds pep primary_assembly:Fonio_CM05836:UA:34260724:34268230:-1 gene:DexiUA01G0016090 transcript:DexiUA01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREYTHEDYDYKTSSKILTIKDDSSMVSQHALDVVNRRVRYITKVRSQLRGDDDYIYAPQYMPIGPCHLDISSREIEKEKMRSVRLLKSLSDEAGLRALMEKLEPLARKCYADNAGDMMSEQFMSMLLNDGCYLLLFFVDYVSNDNRAPPCDDDEAPVAAVSRNTLVRDTVFLLENQIPLVVLQRLHEHVTGGTTSVVDCIAEPVQELLQKMFFISKKPRHAPPHTCSHLLHLVHTYFEPTLPPVPGKKKKTNKASGHRRPTGRWRRAAEYMRYGNVCFRVREFADDVASSILDVQLRRGGTVWVPRLRVDSNTWTILRNLMALEEQEEKRPVTAYCLFMSHVACTAEDVELLRRAGIVDHFLSNDEQAAQGFAELCRGVVMEVDDGEKNYLRSMWHELEERCDSRAQRLMGWFRHGQNVWVAVAVLVALILLTCQVTQTLNADGAGSSNGGPDFDLEAQHIEMVRQTGSTGPQQLRHAAEVVGAPGSSELGSHGGQGPNSQTQQTVAIIPKIHYYSFPWMEEKRKAVDHLKRLMGDARFEDLKTMLAQLWPQQVRRFYTHLPIYQEDDPAAAVFGNMLLHDGCYLLSLFFEFEEQRLQGNNESGGGDNDDPIIVDAIDSTLVRDILYLLENQIPLFVLQEILNYITPAGHEETVLNRIASNVTTLLQTQLYISNRAWEVPSESSDLLHLVHSYFRHRPPRAAPATQPGCWPLDQGRPRVAQRQKRLLTGKWRRATDYSRYANLRFRPRTFSEDGAWTVLDIDLQGGTLWMPRLRVDSNTWTMLRNLMAMEEQEDQQRPVTAYCLFMSQVACTPEDIELLQGSKVLEHFLGTDEQVAKGFAELCDGVAFDIDKPERNYLRKMWHDLDERCRKPGNNFQGFFRQRYCGNVFYRMVFFMALILNICQMIQAIYAVVGYHKPSK >Dexi5A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:5A:22445300:22445981:-1 gene:Dexi5A01G0018970 transcript:Dexi5A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKAVGVVLLVVAALLAAAARAKDDDDHMHHWRCFKSCTRNCHDEDALDDSGDGGGVSRRCKTGCLGECFQELPVLCYQQCVVSTCLCLPPYSKEKITCMKNCCDKCFHHGPKPPGPGPRPKPPSPGPPKPKPPPGPPTPTPKPPMPPPKAPSPPTKPPPAPKKPPCPPGSETMNADGN >Dexi3A01G0029230.1:cds pep primary_assembly:Fonio_CM05836:3A:30659909:30664702:1 gene:Dexi3A01G0029230 transcript:Dexi3A01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRSGVNQVWLETPLGQPWADDGQQIGLMEKDVNELMPAAMDPPKPGCPFVELLGMPGCIRSKTAPLENEEQLGIMFDATNCTNEGPVAPGVDGQGYPTTANEYGTAEGDTLDKDGNVTPNLLPLVDRREKRRKIAEVTPSKNKKTFKDQLTKRLVDANEKKAQRSTNSATSWSVDHVRQEIAQLLEVVIQDGAEEGTDEHYYATQLLMEKKYRDMFLTLRTPNGRLKWLRRAWDDRNKH >Dexi9A01G0023540.1:cds pep primary_assembly:Fonio_CM05836:9A:18932067:18933724:-1 gene:Dexi9A01G0023540 transcript:Dexi9A01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEATAPHALLLPYPAQGHVIPFMELAHRLLDAGFAVTFVNTEFNHRRVVTAAAGSPPASSERLRLVGVADGMDDGEDRDNLVRLNSTMKEAMPPQLEKLLDGEWRSAAGDGDGDGGGLGKVTCVVVDAGMSWALDAAKRRGLPTAALWPASAAVFAVIVGAKKLIRDGVIDDDGQTTHHLLCILFRHATACDDAKLSYLFLPSPKSTEILLLHAGAPVKLENNTFRLAESMAPMDATFLAWNYMGNADAERMVFHYLTTTAWAAVANADVLLCNTFADLEPDIFSTTHHHSSPVPTILPIGPLRTWRRSTTASDDVPVVGHFWRADDEACLSFLDAQPHGSVVYVAFGSLTVMSPAQLQELALALVASGRPFLWVFRPGLTCGELPTAVRDMVRHHGKGKLVEWAPQESVLAHKAIGCFVTHCGWNSTLEGIRNGAPLLCWPYFTDQFTNQTYICDVWKVGLRVASVAVGREGVVAKEEIVERLESLLGDGGIKERVNRLKDLAESSMSGEGRSLKNLNAFMESIRK >DexiUA01G0010710.1:cds pep primary_assembly:Fonio_CM05836:UA:21257385:21258799:1 gene:DexiUA01G0010710 transcript:DexiUA01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPSPMSSTIRTLFILCSAYSGQHSNGSPAATPSSVEFHPQCVTNAPAARWRSTASCGAHCRSTSPLPSVLSRNPTGRTASRSPPPSRGSPAPGGAVLLLRMTQRNLSPEFSNPAAISASWVLVNAPMLPKQRNTTERSGCTLVFLLAAAGAGEDEGADAVDRRRGAVRRQAEAVAERAHGVGLERVEGVDEDASGVGHPVCDGEHGVEALGEVGDLERRRGVEREDGGGGGGEGGGARGGEGEEDGEPRGAGGEEEVGRDGELRRDVERVGAEHVEHQRLCRRQRAEEVAERRVGGAEEAEHTAEGGTGGLVVSKRLVILAWMRPGASEDK >Dexi8A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:8A:8597898:8598566:-1 gene:Dexi8A01G0007560 transcript:Dexi8A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCLAAMTIAASFFFIVGFVTYLLAVAVQHHRNVGIAAFSIILTGIVVVSAYIYINFYFRDSFLYCELRRRLAPLLRALRLCHRGATWLLTLPLRHVRKSLLLRRRRCLPQFVAPGGETQQGTMAVLEREPPVRGGGATQVVAADGIPVWEERDMARLEGSSAAECSVCLCEVEDGEMVKRLPACLHMFHQECIDLWLRDNSTCPVCRGDVFTQQMPVQIK >Dexi5A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:5A:1782383:1788616:1 gene:Dexi5A01G0002590 transcript:Dexi5A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADGGPDALVLQLRPRAGYAHLTLVAIDPTQCLAINLPFNRKIFDDGKAKPATDDPKKKGKMPYQPKHSIDEVATNLTQLHRAYPVWFEPSQELTPHEQRRTEVLLFTWLAIADVEAYIAMTEEEVEEEYCREGKLHMYNPDKEWQQRLARLTRKWPMLDGFILNIDE >Dexi1B01G0029720.1:cds pep primary_assembly:Fonio_CM05836:1B:33943707:33944110:1 gene:Dexi1B01G0029720 transcript:Dexi1B01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQSSTNLWRASDWSGFWGTRESSPLISSKGWKTTPLSTSFPLDAALHAVPEAGETRGAATTLMDAGAAEAAASWLAEAAAAAGGGETLSIISEEAGGRGEMGGALRVAEEKDR >Dexi4A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:4A:23970523:23971605:-1 gene:Dexi4A01G0020250 transcript:Dexi4A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSNLTDAACAVHLFKINGYSATRATAKTDSLPSKRLAVGGYEWEIHYTPSLVVNDGNHWIAFKLVLLTAPRRKDVKASLKCRLMDVPISSVHQQRNANGQLVVNAVEHHLSHAFKRADESSAWLPLRRRSVLEASGCITEDSFTVECTVTVIREQLPDTAHVLPQRHTGGLSQSSLRHDLGELLRKGTGSDVTLVVSGESFTAHKAILASRSPVFMAQLFGHMKETRSQRVEIKAMKAKVFRAMLGFIYTDMVPELDSHDGIVIAVDLLAAADMYGIDRLKSICEDWVCDDATVETAAMFLALAEQHGCSKLKARCVELIAANLDAVMETEGYKHLMATSPLVLNDLLRAVHGRKN >Dexi1A01G0030990.1:cds pep primary_assembly:Fonio_CM05836:1A:36152047:36155332:-1 gene:Dexi1A01G0030990 transcript:Dexi1A01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNGHSSSKSIEKVLSRKVMQAGSSAPCKIWVTGFLCGICVVYLFSVALPPLRVLQSQSVYPLPRRAIQWNFTLTGHDWERLPGFGIGAQLAGMAGLLAIAIKERRILVTNYYNRADHNGCNGASRSSWSCYFFVETSSDCRKRAFELMQSKASWAEGPVKVKENYTSKQIWLGSIPRMWGEPWKYLQPTTEINGILITNHRKMDRRWWIAQATRYLMRFPTEYMCGLLNVARHSAFGLEAAKLVLKSIQNDSPKVGTTTTKSDIQRLVWSNHRPYIPRPLLSMHVRMGDKACEMAVVGFEEYMKLAGNLRKQFPSLKNIWLSTEMQASQFAHPA >Dexi2A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:2A:131542:135075:1 gene:Dexi2A01G0000210 transcript:Dexi2A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLLRVLCLCSALAVALPARPPSVTIGALFTFDSVIGSSAATAIQLAVDDINRDSTVLRGTNLSVLMQDTMCSGFVGTIQALELMEKQVVAVVGPQSSGIAHVISHVVNELRVPLVSFAATDPALTSSQYPFFVRAAHDDAFQMAAVADIVAHFGWREVTAVYVDNDYGRGGVDALGDALEALRSRISYKAAFPPGADRAALADVLVRANMMESRVLVVHVSPDTGLDLFAAAQTLNMMGSGYVWIATEWLAAAIDSSSSSTSRNKMMGLIQGVVTLRQYTPESDAKRSLERRFAAARPSSSTMNAYGLFAYDSVWAVARGIDAFLGDGGNISFSPDPSIRDANGSALRLSTLRVMDQGDQLLRKVMLANFTGVTGRVQFDAGTRALVGPAYEVLNVGGTGVRRIGYWSNTTRLSVSAPSTAIGATNGTPPSSQQQQLYSVIWPGDTTAPPRGWVFPNNGRPLRIGVPYRTTYKQFVSKDASAPDGVSGYCVDVFKAAVALLPYPVPASFVLFGDGVKNPSYGELVQRVADGFFDAAVGDISIVTNRTRVVDFTQPYVESGLVIVSAVKETSSNEWAFLKPFTPGMWAIIGAFFLFVGAVVWILEHRFNPEFRGSPRKQIVTIFWFSFSTMFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLLSSNQPIGFQVGSFARSYMMEELGVPASRLRELAITDYADSLQRGPGNGGVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSETGDLQRIHDKWLNPGTCSSQSTDAVSADRLNLNSFWGLFLISGVACFIALIIYFARILCQFCKYHGGGGGSDEAAFPDPERSLRRPARLSSIRDLMSFVDMKEAEARRAIRSRSGDRRLDRSIGGSSTSEGPSLSRPSSMSPV >Dexi9B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:9B:13929488:13930063:-1 gene:Dexi9B01G0019340 transcript:Dexi9B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAVATMAIFLLIALSASQMAFSLRPGAALGVCRASGYLPGRSGNCEKSNDPDCCEDGKMYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDQEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQNVGMVDITWSEE >Dexi5B01G0037180.1:cds pep primary_assembly:Fonio_CM05836:5B:36760721:36763594:-1 gene:Dexi5B01G0037180 transcript:Dexi5B01G0037180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRARLILQLLLPAAYFMAMSLPAAAALSFSYNFSKPGDLEAAKLTYINVSSSSGDRVSLTANTTGSTGRVAYPRPVRLWDDRTGRRASFTTNFTFFIGNGSVNSSNEINGRGDGMAFFVGPFPATLPVDSDGAFLGLFSNNTKDPSSSAAAAVAVEFDTYKNHGLDPPNVTADHIGIDVDNITSANYTALPNLALSGVMSASIRYDARSKMMSVSLWLADGSLYTVQARVDLKDALAGPDAAVGFSAATGIRVESHQLLSWSFSSTDPSKIEPSTTLDPRTDHIQSSMVLLPPRETSVARKFGYKQLSRATKHFSKDNLLGAGSFGEVYQGELRVEQAERSFVAKLCNTKRNVLLDEGFEAQLGDFGLVRRVNPGQSSLGGTRMIGTLAYIDPMCATTSTVSTASDMYSFGVMLLEIATGKKLVETSDARDLIEDVQKCYHNDTVLDMADKRLSLDDLDERRQVERILAVGLLCAQHERQFRPEITIVVKLLSDLSHPLPAPGVRNDSSAELQI >Dexi7B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:7B:17217116:17218486:-1 gene:Dexi7B01G0009690 transcript:Dexi7B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAIPPTLPECEGYDGAVLLDMRCYIADLPNSTTAQAFTSTGLPIHVSFHAARPPLLSHFSVHCPGLDFLDASPKVVATDAHLVLLSVPVDPASLPYRAPDYFVYTPRAHQLRLLPNRQAYTGGYRSNAFDDCAAALISRDGGAWFAVAGMGACFAVRERGGREPIRWGFDLHLYRSSSDSDGWVTKRVSLGEGELVRDAVVPLPRDSDRLYHETEKTITVGGERGTVAWVDLWRGILFCDVLADDDPRALRDVPLPAPARANWGLQELEPYYLRDVALGSSLNRDSIKYVEMEIRDRKVLTTIPDSYVEWVRHGPRRSGVVPGTWKATTWSMAALPGGSWTGCWRRECEVQAKDVTVDAAAGAMLSRLSGRATFQELQLSFPTVSIDDDTVIPKGKDNLELVVAVDVRNKALRGAAKLDIQRLFVFMPKYFASDICRYLSNSTGGTIDELNN >Dexi4B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:4B:5996014:5999243:1 gene:Dexi4B01G0008310 transcript:Dexi4B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLPPATALRGRAAAAVRWCTCRRVAFAVCLGNLVAALLVARALYAPGTFASAPRRGEVKYSKEQMRWVEESIRIRRAAEPVELIEAVKELRKAFEREAKRRKELPLELKQKVSHEILQRLRDLGENRNTTQQRVLRLECRAALVRHGLFFISTNIKSNLPEAIESWRVEMLKSIRSSSTHNLSNFGLTSEESIPVIQNLGILKRALEFNWRMLLEHIGLWIPPTVYHIEHDDKLENELEGSFLYTVHDASLVDNVLWKDNLTVTKLCEEEIIPGPPLPPECNAELHTDYGGTAVRWGLTHHKESAADCCQACIDQAKRAKPGALRCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSEPYRDSHPTAPVVVPWMSGVISP >Dexi2B01G0035690.1:cds pep primary_assembly:Fonio_CM05836:2B:42672367:42676136:1 gene:Dexi2B01G0035690 transcript:Dexi2B01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAGEAEMEAAVPIVSALLLLLSTPPPAAAAARVPPLATAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETLIRETADALVSTGLAELGYNYVNIDDCWSYVKRGNKCAMVADLVTLFVLWQIWDGSMLPYSLSASDNCSCNLQEQLLPDPKSFPSGIKALADYVHGKGLKLGIYSDAGKFTCQVRPGSLYHENDDATLFASWGVDYLKYDNCYNLGIKPQKRYPPMRDALNSTGRQIFYSLCEWGEDDPALWAGKVGNSWRTTDDITDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRSHFSIWALMKAPLLIGCDVRNMSSETLEILSNKEVIQVNQDTLGVQGRRILGEGKYGCREVWAGPLSGNRLAVALWNRCSETANITLKLPAVGLDGSASYSVRDLWKRETLSENVVGTFGAQVDVHDTKMYIFSPAVSAVASI >Dexi7B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:7B:2455267:2456817:1 gene:Dexi7B01G0001500 transcript:Dexi7B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARARVMAGGDSITVHVEWLARRLKQQQEEAAATEQPPSAYRVSRVPGHVRLLNPSAYTPGLVAIGPLHAKDGEVRLRAGNRLKMAYLRSLISRGHPDPADHLAVIKEYVHVMAAREREARAMYAAADVDGIGAEDLVHMLVLDGCFLIEHLVNVFTGKEEPSLHATPFGPAQLSVDVVLAENQIPFFVLLDLIESTRLPELELDSTAAYKPALLMKLALYYLGGEKGRDMMSGHYALPPAEGVCHILHLLHVMVAAARTRWEPPPRIQDDVATREMMQEAARLLRWLPLLLLVPLLYPILSKDKERRRQASYGREDLPSASDLKRMWVTFKKASGGGGKNAAAGIASLLGPVPLAVKLAHEDRLRLPQLRIEFRTAPLLLNLMAFEQQEQQRAGDVSAYVWLMAKLVQTEKDARVLVAAEVVKSGASASDNQEHVVRFFRQVGGAASEAPAEMDKTYLGETLRKLRERSQHPLFMMWADIQRSLSFSPSAAVIAEFVTLVSFFASVLQIYTTFK >Dexi7A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:7A:13120996:13122985:1 gene:Dexi7A01G0003550 transcript:Dexi7A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPDVEAAPAGIGKQSVDTSSAAADESSSKGTWWQAGFHMTTATLGPASLSLPYALRGLGWALGLAALTAVAAVTFYAYFLVSRVLDRCEAAGRRHVRFRELATDVLGSRWATCLVVTVQVAINVGISIGSILLAADCLELTYSRHAPNGSLKLYHFVIMVALVLAVLSQLPSLHSLRHINVGSLIISIGYTILVSAACICAGLSSNAPPKDYSLSTSRTERTFTAFLSISILTSVFGNSILPEIQATLAPPAGGKMTKALVLSYSVFFLAFYSPAIAGFWAFGNKVRSNVMQSLLATETGSSLAPPWMLGLAVVLILLQLIAIALVYLQVTYEMIERSSGDAMRRLFSSKGSLAPRVAVRAAYVAALAFVAAMLPFFGEIQGVVGSVGYIPLDVVIPVVMYNMAIAPRRRSPAYVANVAIMVAFIGLGLIGTVASVRKLVLNADKFKLFSNGRS >Dexi5B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:5B:9696844:9700356:-1 gene:Dexi5B01G0013590 transcript:Dexi5B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQAAPGGDVPAQEQKAGDAARLIRRRHTVPLQAVARSRRALADVGNLINGRPALANRQKQVVVAAENCRKAVPQVAARSRRALADVGNLINGRPAPANSQKPPFVASGRNGKAIKLKEEKKLKPEVITISSDSEKKKTEVPGGQNIARRDSDGLISIPKKVKAYDIDAPDAHNELAVVEYVEDIYRFYKSAEGTCLLLSSYMSSQAEITERMRAILIDWIIEVHHKLTLMPETLYLTVYIIDQYLSMESVLKKELQLVGVSAMLIACKYEEIWAPLVKDLMCLCDNAYTREQILTKEKAILDKLHWNLTVPTMYMFIVRYLKAAMGNKELEHMAFFYSELALVQYTMLIYPPSVMAAAAVYAARSTLKMNPLWTDILEHHTGLTETQLLDCARRMMTFHALVPGSKQKAVYTKYSNPKLGAVSLYSPCKKLLSV >Dexi7A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:7A:23865276:23869545:-1 gene:Dexi7A01G0013930 transcript:Dexi7A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVWLCGLFSLLAVAAAAAAAADGADGEYWEPLIRMPTEEGVDAAAAAAPASGEDEVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGVIINHPKGENVYTGVPKDYTGDQVTTENFFAVLLGNKTAITGGSMPNLPYLYAGDFIKVIYVEACESGSIFEGIMPQDLNIYVTTAANAVENSWGTYCPGMDPSPPPEYITCLGDLYSVSWMEDSQAHNLMKETIKDQYEVYEQLNGGSEEKLRALREIKETVRHRKHLDSSMDFIGRLVFGFEDGPKMLEAVRASGEPLVDDWDCLKRMVRIFESQCGSLTQYGMKCMRAFANICNSGISEAEMRESSISACGGYNTARWSPMAVGHSA >DexiUA01G0005930.1:cds pep primary_assembly:Fonio_CM05836:UA:10833355:10834344:-1 gene:DexiUA01G0005930 transcript:DexiUA01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHAYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHEGKQFSIFDADYVVFHSPYNKLVQKSFARLYYNDFLRNC >Dexi6B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:6B:5388153:5389838:-1 gene:Dexi6B01G0005820 transcript:Dexi6B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Dexi6A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:6A:85317:85664:1 gene:Dexi6A01G0000120 transcript:Dexi6A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSRCRLAAATLLLLILLAAGASASSSSSSSSPESSSPSSSPSPGAAGGGEKKESSSSSNGGNKDKKKPSKCVTTKDCHLKRLVCAKKCTMAAHKKCAAKCSRSCTGGLPICT >Dexi1A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:1A:21995883:21999927:1 gene:Dexi1A01G0015080 transcript:Dexi1A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPADEQLNPKLGGAGGYGGSSSAATAYNGGSGGGRHGDRGYPDLQQAPMAAPRVEKLSAAAEKARVKSNALAREASAPKDANGNVISAQTFTFRELATATRNFRPECFLDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAALASRKPPGGDQRSKPGENGRVISRNDETSSSGHKSPGKDREDSPRDLPGILNKDFERERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAENGQGSLDSPTGNS >Dexi3B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:3B:14011188:14015398:-1 gene:Dexi3B01G0018870 transcript:Dexi3B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAASLAVVVTAAGILQARAQPDSIGFISIDCGLPGTASYVDDTTKLVYVPDAAFIDDAGSNHNISTEFITPTLSKRYHNLRSFPDGVRNCYTLRSIVPGLKYILRGTFKYGNYDGLGQPPIFDLYIGVNFWTVVNITDADSAVLLEAIVLVCLVNTGSGTPFISGLDLRPLKSTLYPQVNATQGLVLFSRKNFGPTDIKEIVRAWFPWVDTASWNSVSTTLRVEGTEDDGVFEVPIKVMQTAITPRNVSGNNINFFWDAEPQPKDPTPGYIANMHFAELQLLPGNAVRQFYINLNGRPWFPDPFTPTYLVADSTYNTNPARGFPRYNISINAAASSTLPPMINAIEVFSVIPTTNVATDSQDVSAITAIKEKYGGKKNWMGDPCSSLQLENRCFTYKDLEMITNNFQRVLGRGGFGDVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCKDGDYMALVYEYMSEGTLQEHISGLEYLHKGCYPPLIHRDVKASNILLNAKLEAKIADFGLTKAYLNNDSHLSTKSDVYSFGVVLLELVTGRPAIVSDPETMSIVQWARQRLARGNIEGVVDPPMRGDHDVNSVWKVADVALKCTAQSAAQRPTMAEVVAQLHECLELEDARAAGGGDANGGYYTGGSSSDPYHSYNAYAGDGQSTTDVSQTSTGFEVEHNFGRVPTMPTGPAAR >Dexi3A01G0026220.1:cds pep primary_assembly:Fonio_CM05836:3A:22305196:22309437:-1 gene:Dexi3A01G0026220 transcript:Dexi3A01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHVRNPRGVQLFTCRWLPASSSPKALVFLCHGYGAECSESMRECGIKMATAGYGVFGIDYEGHGKSMGIRCYIQKLDNLVADCDQFFKSICADMEGYRNRSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKIRKNKLIYQDKPRLKSALELLRTSRDVEDNLQEVRMPFLVLHGEDDKVTDPEVSRALYQRSASTDKTIKLYQGMWHGLTAGEPDENVELVFSDILVWLDQRNI >Dexi9B01G0044850.1:cds pep primary_assembly:Fonio_CM05836:9B:44539717:44541049:1 gene:Dexi9B01G0044850 transcript:Dexi9B01G0044850.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHDGYSKSDENKMMSALSLGNPETAYAHPKPDRSQSFAISYPYDSYYGGATAAYWSHAIMHPQLVGMVSSSRVPLPTEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHLHAMKRARGTGGRFLNTKQQSESAGTSDAQRLNGGLFTKHEHSLPPGDRHYHARGGGA >Dexi8B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:8B:7262532:7264307:-1 gene:Dexi8B01G0006290 transcript:Dexi8B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSEVVSGNVRSGFVGGSGAGGQVAGSTGDGDDVPARAAPPHPTQNGHNNGYIKSVWNHGTKLSGQGFKCGYCRCTNKGGGATRLRDHLGCIVGEVKSCPSVPRDVRDAMRALRQEKMANKKEKEQRRLRLERDLMQGTLGDDGVIDLASDEEDQARMEIRKKWREGEGMATLFVFQLGMATLSYDKLHKLVYVHYNLKERIQEKGGQQQKEVDLCAMMLDAAQLDEANPIWDWLDKAMSDVGPSLDDLYPSMLDKSACGRSEKRARVEEEDEIEFLDSETGEEEEYEDAFSSDESARANSDDDGNSGEPAETSPLVEENREAPNGRRSSRLRKKKRVDTLY >Dexi6B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:6B:18797526:18798693:-1 gene:Dexi6B01G0011590 transcript:Dexi6B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKVDLRGVAPGSPGWEAARAAVTASMVAHGCVVVIAHGDDALGPDLRRELFARALPELFALPLESKKRTAPTNGRFKEGYNQINGMPWESFRFNEPTDAAKIRGVADLIWPEGNPEFCETMVSFTKNMLKLDETLEALLLEGLGVQGQSVRAHLDLLGHFVWLSHYGLPPVTEASETMQAHYDNTMNTMIFQHEVEGLEVRLADGRWVAVPPEPGTFTFMAGEQLRVATNGRVPACYHRVRTPSNRERFAVLFGLVQNPGVEVRALDELVDEDHPLVFNPLKHEEYVEWRYSEEGFKVDDALKAFCGVEKVTAMV >Dexi4B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:4B:9635407:9636741:-1 gene:Dexi4B01G0011970 transcript:Dexi4B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRRAQGGRPDSPGKGPNEVYKAFFYYNSTAFVASLAAIVLVQSDAVLKRNALEAAMILDLCRDAITSVAVAAIAGAVPIYVVIHVVFCTMDHKVVKAATARDASMEKRRKRRLLFAIFVATITYQAGLTPPSGLWPKDGDGGRRAGDPILLHNYPRRYVAFFYCNTVSFMSSVAVAVLLMNPNLYRPAIRSYALSVCTVASMHHLRTSIYVFALVAALVIFLPVAFLLHHRQQSSSSNNGGGRSDQGQSPQGVGNENGTKPPHTKRNKYLMMLGILAASWHEAGDPVLHDNRRGWYNAFFYCNSTSFVASVVVIVLLLLEEPDNNPESSLTKAINMTIMLDLLGLLVAYGAGSGRDWAAIGSVAAVVLASYIGVYDALSSLRRQGRHNEDNHEAAHQVVVP >Dexi9A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:9A:1337010:1342556:1 gene:Dexi9A01G0002500 transcript:Dexi9A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEAGNYELGIRRRPDAFWSAFLAAGHRHRHMGIKGLTKLLAEHAPRAAVQRRVEDYRGRVIAVDASLSIYQFLGMLNRTVRMLEAGIKPVFVFDGEPPEMKKKELAKRSLKRDDATKDLNRAIEAGDEDSIEKFGKRTVKVTKKHNDDCKRLLRLMGVPVVEAPGEAEAQCASLCENHQVYAVASEDMDSLTFGARRFLRHLTDLGYKKSPVTEFEVSKVLEELGLTMDQFVDLCILSGCDYCENIKGVLFTPFFVFLQSIGGQRALKLIRQHGCIEEVLQNLKQTRYVLMR >Dexi5A01G0028630.1:cds pep primary_assembly:Fonio_CM05836:5A:31842013:31846961:-1 gene:Dexi5A01G0028630 transcript:Dexi5A01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAMTGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRDTSDPKKTLHLSALDGARDRLHFFQASLLEEGSFDAAVDGCETVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIKKVVVTSSIAAVAYNGKPRTPEVIVDETWFSDPQVCEKNQQWYVVSKTLAEEAAWKFSRDSGFEIVTINPAMVIGPLLQPTLNTSAEAILKLINGSSSTYPNFSFGWVNVKDVALAHILAYEVPSSNGRYCIVERVAHYSEVVNIIRKLYPTIPLPAKCADDKPFVPTYQVSKEKIRSLGMELIPLETSIKETIESLKEKGFVSFDSSNL >Dexi2A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:2A:29137830:29138737:1 gene:Dexi2A01G0017310 transcript:Dexi2A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASSLTRAFLIPKNSSTAFAALPEFAQPPITVVHATAHLVAKEPQRSIEFATPHIRGEHAVPSGHRLAQHFVEHLPGVPELAGRSVHVHEAGHHMPVLAGRGEHPARERARVECAAVGERPGARGGERREEGGEGEPVWAKPVAAHEAGEEREQRRRRGARPGGGAEEEVGEGRGRGAVRRGGEEEGLGAGEGEELGEEEALVGEAVEEELRVELVEAAAGGGRGGGGGARAAAEMLRDEVEALLERARVRDVRGKVGWEEGDGSAARGIAWHVSPAAGAPGCFWPGG >Dexi5B01G0033280.1:cds pep primary_assembly:Fonio_CM05836:5B:33730643:33735016:-1 gene:Dexi5B01G0033280 transcript:Dexi5B01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPSQPDAAAASAAAPSSTSASAPSPAPPPNPPTSAASTATTSDSTITTPTPNPGTAANPAQTLGAPGPSPAAARPPQPRMRPPYTHLASPITMSSSSSGATAAASSSASVPASSSGVPPIPRGAVVMGIPAPRPAQTPAGYTGFVPPPPLAHQFGSMHRGPDQPPPSSSQFRQPSPGIQNIGMVGSLSTSQMRPGIISGPQQPRPGLPSSATPIPSGSQMPGSQRTTSHILMRPVSVSSSSASPASQQTPQNSSSAFRPQQRPQVPQPRPQQSAPATPQQQNVISAQQQQQLPQNQHLQQKQQQQSSAHQIQQNTTPKNQPQHSQQQAARTPVSMAQKPDSPAIPNAIVLQSVDTAATDADASETGTRLLSKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVTTFACSLAKHRKSNTLEAKDVLLHAALVFSIAERSWNITLPGFSGDEIKLYKKQHTNDIHRERLALIKKSMATDTRNSAAQAAANQKNQAPKPPAPASP >Dexi9B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:9B:4633719:4639652:-1 gene:Dexi9B01G0007580 transcript:Dexi9B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREHYTHSMAQLAMAEAVTGLRFAAPALPQPQRYRGRFLPTVRFAPFWRGRLYTARAAVAGPPEVDEDEAMSIDNLHRFFDLNVGKWDGSFYQFDAHGRILQEISTRLSGLCSLHCAKPCNAAAHTISRLVSLVGGRPCHCYRPARKVRPMDDRRGRGDAMRQLPLASAAQGQERVFDGGGGGGAGPGPAFGSDFDQGSSSSLMALLGAGGVSSSQTSLPTWGVEEVTAAPAINLANYAQPPVPSYQQQHTSFAPSPLGGRMDPYQPYLLSDPAPQQWPPPRSTATAGASSFLPAAQNFAVLLPRYDHHQDMQLRATAALFGGAGSSSQSSYSLLPPPPPAIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDGCPVKKVVERSFDGFITEITYKGRHNHPRPQERGHAGAGNDALAAAAAEAMEGPSDDDDDALLEDDDADGAPGMCVMRSGQCELTGVADGEAAGQRVVKKPKIIIQTPSDVELLDDGYRWRKYGQKVVKGNPRPRSYYKCTADNCNVRKQIERATTDPRCVLTTYTGRHNHDPPGRAGEAGGAAGSSGGQAGPSAGGSGAFQHTGGARQLKEES >Dexi9A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:9A:4498245:4499621:-1 gene:Dexi9A01G0007690 transcript:Dexi9A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSVLVLAVVAAVASGLAQPGAADLKTNYYASTCPNVEAIVRGAVQQRKQATIRTIGSTIRLFFHDCFVEGCDGSVLIDSTPGNQAEKDASDNKSLASEGFVTVQSAKAAVEAACPGTVSCADVLALATRDAIALSGGPFFPIELGRLDGLSSSASSVPGQLPEPNQTMDQLLAVFTAHGLNISDFVALSAAHSVGLAHCSKFSNRLYNYQPGQPTDPTLNPKYARFLETKCPNGGSDSLVLMDQATPAQLDNQYYRNLQDGGGLLGSDELLYTDNRTRPMVDTLANSTNAFYKAFADAIVRLGRVGVKSGSQGNIRKQCNVFN >Dexi4A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:4A:108551:109363:-1 gene:Dexi4A01G0000140 transcript:Dexi4A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADEELRYLSGLGNTLSSEAVSGSLPVGQNSPLVCPLGLYAEQLSGTSFTTPRAHNQRTWLYRIKPSVTHEPFHPLQEQGKGARLVGEFDRATTVATPTQLRWRPAEVPLDPPLDFIHGLYTICGAGSSFLRHGYAIHM >Dexi9A01G0039410.1:cds pep primary_assembly:Fonio_CM05836:9A:43387430:43389891:1 gene:Dexi9A01G0039410 transcript:Dexi9A01G0039410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPHRQSSMSKQHRPHHHRTSLSRTLASYLLREQRLLFVLLGFLLASSFFLFYPSLIPHPAGGSSSSASATNIAAAAVSRKIPRAVSGARRLPVGVRKPSLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKDNVAHHLGNPRFELIRHDVVEPVLLETNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVDGLVTLMESDHIGPFNLGNPGEFTMLELAEVVKETIDPGASVEFKPNTADDPHMRKPDISKAKALLNWEPKISLKQGLPRMVSDFQKRIMDEM >Dexi4B01G0023390.1:cds pep primary_assembly:Fonio_CM05836:4B:24714634:24715989:-1 gene:Dexi4B01G0023390 transcript:Dexi4B01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVLSGLLVVVVLFMQVLLFPVVTGLRPQAIYVFGDSTLDVGNNNYLPGKDVARANRSYYGIDFPGIPTGRFSNGYNTADYVAKSMGFVSSPPPYLSLLAPSSSSLLVLTALTTGVSYASGDAGILDSTNPGKTISLSRQVHYFKATKAKMASTLGSHAVEAILSKSIFLIGIGSNDMFVFSAAGAEQQQGDVAAFYASLVSNYSATIHELYKLGARKFAVINVGLVGCVPRVRVLDAAAGACADAMNELAAGFDAALEPMLAGLAGKLPGMAYSLADSFGLTQDAFAQPEASGYTDIAGACCGGGRLGAEADCQLGATLVHPSQRAAMLSAKAFYDGPAQFTSPITFKQLLAHKIF >Dexi7B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:7B:11811207:11813312:1 gene:Dexi7B01G0004830 transcript:Dexi7B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMAAATKKVGEAAQAIALPGCPDKCGNISIPYPFGTRDGCYFDPGFYISCNLSDTPPSAAVSGVIMKNGTGYYLANSNQAGEPPGVSNNDSHGYWILGLIDLDVAHGVARVDGPVSYDCGVNDTYHSIGVSTVSVSLSTAFALSSTRNALFGVGTNVEALLSGTISTDDIGGGGSGANYSAACAAMADTPPAARNGSCLGLGCCSAELSPGIDVFSVSMRRQSSSSGWKKTTPCTYAMVVDKSWYNFSAQDLYGHAFFDRMLAGDGVPLVLDFAIRNESCPAEGRPPPLGCRSSNSVCVNASQGPGYLCKCSDGYEGNPYLADGCQDIDECMLRDVHPDLRNTYPCFGICRNNIGGYDCQCELGTKGDAKNGTCKIVFPLIAMVATFGK >Dexi9A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:9A:12142797:12148206:1 gene:Dexi9A01G0017110 transcript:Dexi9A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGLAEQLAGLSAGGGGGGGDDKQNPAPAGEGGEEPQLSKNAKKREEKRKKQEEERRIKEEEKKNKAAAAAASGKTQKASAADDEDMDPTQYFQNRLAILDSLKATGVNPYPHKFPVGISVADYIEKYKSLNDGEKKTNVECLAGRIMNKRTSSSKLFFYDLYGSGVKVQVMADAGTSELGDAEFTKYHSGVKRGDIAGICGYPGGDSNDEHDCWWSSCKAFYYNDLMELTETMLSGMVKELTGGYKIKYHANGVTNPPIEIDFTPPFRRIDMIQDLEAMANLNIPKDLSSDEANQYLIEACAKYDVKCPPPQTTSRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQE >Dexi9A01G0046750.1:cds pep primary_assembly:Fonio_CM05836:9A:50021946:50023255:-1 gene:Dexi9A01G0046750 transcript:Dexi9A01G0046750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETTTQQLFLLLVAAVAAAAPRFAPAAAGDDGGGWSKATATFYGGGDASGTMGGACGYGNLYWSGYGTDTAALSSPLFDDGRSCGQCFVVTCDDAASEWCLKGKSVTVTATNLCPPNHALSGDDGGWCNPPRRHFDMSQPAWLQIGQYKGGIVPVLYQRTPCVKQGGVRFTMAGSEYFVLVLISNVGGSGSVKAVWVKGNATDRMPMSRNWGANWQSLTALAGQSLTFGVTNTDGKTVVVPGVVPSWWKFGQSFTSSVQF >Dexi4A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:4A:16291375:16291956:1 gene:Dexi4A01G0014310 transcript:Dexi4A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSTSSLPSSTRTVKTISEVEAFSLRAEDLRFVATQFRRLHSKQLQHTFRFYSQHWRTWAACFIQAAWRRYCRKKIEDSLREKEKRLKFAIANEGSTSLSFMAALYASRFAGNMIRILRRNATRKARLQERVPARLLQKPAEPNFSAEEQ >Dexi1B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:1B:20219476:20225390:1 gene:Dexi1B01G0014080 transcript:Dexi1B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIADWPEMAAPPGVAGIVWQTPANPPEQHDYVIRNGNIPPLQRCWFIFRNIKFLIHSLCDLQVKNRWAGKTIVDLFTDEFKGRSREYYVRAVKCGRLQVDGQMVHTDYVVKSSQKISHFLHRHEPPVLAGDISILQNEVDVVTICKPASVPVNDTNLGGMYTCASCELSEVHPCVQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNADRAECFRQQIEAGLLQKEYVAKVVGVFPDGEISDGADKGASKHTGYPIANDELYLSGDFCPRSTKGTSDSSAITPLDPENSASDHGNQNADTDEGFHIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAVLDSVSTKKMKS >Dexi5B01G0022470.1:cds pep primary_assembly:Fonio_CM05836:5B:24803090:24804048:-1 gene:Dexi5B01G0022470 transcript:Dexi5B01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSAMSACARARRETSSTASMVVFATAGEAETHPSFERRSSRNQALDVARRWRPWLLMNRTENRPHLSSSMPPTETPLGSCSWMAAQSTRDMSAAALFVSSATSAVYRAVSGSFIESYCGRHSPGHEDYTPHVVGVPRHNGGHDEHDGVARDDGDALRGVHGGEERPAFALAGVLRHDGRAQGVSASGSDAEPEAEEAQRRHDGLRRVAEGEPRRGGARGDERQREAVGAAAADRVAEPAEEEVAHQGAAVHGGVQRRSRRGVGRPNSGISEVDAAEEAVDERHGVEVVGAGEESCAGDRGC >Dexi5B01G0022470.2:cds pep primary_assembly:Fonio_CM05836:5B:24804055:24804306:-1 gene:Dexi5B01G0022470 transcript:Dexi5B01G0022470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHVHRSRAGKSSAGATNVVVLGPALAKNAMNPATSCEAQCSYSVARASMDTVIAMKPATWRRRRPTTSMRKTDTT >Dexi5A01G0035960.1:cds pep primary_assembly:Fonio_CM05836:5A:37631467:37639511:-1 gene:Dexi5A01G0035960 transcript:Dexi5A01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKLLKSHVFSDFSSLGTGKEAEDPMLLQQLSDACFVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRSQLIDILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTVTTRNKESASDDEDEGGEHNKIVSDIRKKYEKKLAAPNDGVEQDKDKQKDLSVPGAGFNFHGIISSCFEPYMTVYIELEEKSLVDQLEKLVQEERWEIEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDMSEVQDEFSAVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSEYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTGAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQAILEDFNKHAPAPAPAIKHPAVAPTVAPPVATASVQMAPSVVTPAVSITPSMAALTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >DexiUA01G0019930.1:cds pep primary_assembly:Fonio_CM05836:UA:41365140:41366043:-1 gene:DexiUA01G0019930 transcript:DexiUA01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQNAYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAESAPLRNDWIVDSTYNLRAVELSGPR >Dexi9B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:9B:12515553:12518973:-1 gene:Dexi9B01G0017790 transcript:Dexi9B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVLFPWLACLLVSLVTVVYLLRHDRRRRHDLPPGPRPLPIIGSLHLLGTQPHRSLARLAKTHGPLISLRLGTVTTVVASSPSAAREILQRHDAVFSNRSVPDAPGDHSRNSSVWLPNAPRWRALRKIMGTELFAPHRLDALRHLRRDKVQELVEHVGRLAREGVPVSVGRVAFTTSLNLVSSTIFSRNLASLDDDGECREFQEVVTDIMEAVGSPNVSDYFPALAKADLQGWRRHLAWLFARLHRIFDEEIDARLRGRREAGGGEAKKNDDFLDLLLDAAEDGDNTAGLDRDTLRSLFTDLFSAGSDTSSSTVEWAMTELLQSPTSMAKACDELATVIGSGKSIEESDIGQLPYLQAVVKETFRLHPPAPLLLPRHTQADVKIMGYTIPQGSRVFINVWAMGRDKDTWLEPEKFMPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLNHFTWKLPVEVERNGLDMAEKFGLTLTKAVPLCAIATPI >Dexi2B01G0034980.1:cds pep primary_assembly:Fonio_CM05836:2B:42122063:42126102:-1 gene:Dexi2B01G0034980 transcript:Dexi2B01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPATSSGGGGGAHPPSDPTTWLAWAHHGHRLWAGMPEAFWAYVYKVHRCPQLSSHDWTACPYAHKGERARRRDPRCFSYLAVPCPAFRESRQRQQLARTGAAPTCVHGLRCRYAHGVFELWLHPARFRTVLCEAGEGCRRRICFFAHSLAELRDEDDPRPLAGMPPPLPLYVPASPAAAPLTFPRRADLAMPGKVGLLYNGGAGTSASSSSYPAVVAAPVPALPQGSPDDEEDPGEGKSSSRGASEDGDYPHFDLIRDMAQCMASMRALGCFGAAPGGGCSGRASPSNHPKTTNNKLESFPELTSRVLAGHEIVTEDDLGELSYLPLVIKEALRLHPPAPLLLPRECQSPCRVLGFDVPAGAMVLVNAWAIGRDPSYWDAPEEFMPERFENSDVDFKGTDFEFIPFGAGRRMCPGIGRASLEST >Dexi8A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:8A:11752848:11753081:-1 gene:Dexi8A01G0009150 transcript:Dexi8A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCWATRAHHQAPPARLARGAGLVPRLDPVPLASLHTCSLAYLQSHLNLALLGVEAEDTLLHCKSTVQSTVEAWTP >Dexi9B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:9B:11127716:11132531:1 gene:Dexi9B01G0016270 transcript:Dexi9B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGETSMETIQRGSTLPNGDIYVGNFAGLVPHGFGKYTVGKITPVPLREVRSNDFGPRARIRMYFPCEGSQYTPPHCSVNFFWKDYCPMVFREYIAIEKLVAYLLNYFLPQPDSDLVQYEEKSSWKGFLLAAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGTGRFRVQLGVNMPARALKVREDMNTELENPDSIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNSYSKRFVKFLESVFPEQY >DexiUA01G0007280.1:cds pep primary_assembly:Fonio_CM05836:UA:13855635:13863434:1 gene:DexiUA01G0007280 transcript:DexiUA01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAGASYQRFPRVKIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFNLSARATDSDQTLEVTSNDLRSADPKVCPVDQARAYQQALSSGDYDPNAGDQRQDGRSVGMGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMDTLTLDEKRSWVESSPTKVFELDPVTQQVTVIDAEAYTYDEEVIKAAEAMGKPGLVEINAKEDSFIFTVETTGAIAAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGP >Dexi9B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:9B:9090147:9090350:-1 gene:Dexi9B01G0013540 transcript:Dexi9B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAAAAVASRRLDIFGRDQPECLVDSGSHSRTRTHAFVLFVGTVVAPSIWDLGAPRATATAYHIY >Dexi3B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:3B:9313371:9315041:1 gene:Dexi3B01G0013090 transcript:Dexi3B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHAAVAAEPGSSAVVVDAEDADDFRLLSVSWNQDGSCFAAATTADFRVFNCSPFHERLRRRVLPSSAASGGYTYTLVEMLFRSNLFALVSSSSSGEKHSVSLWDDDMNKIAYAIPARSAVHAVRVSKDLLAVVLDRTVRVYETLHPGRLLLTIPTALNARGLCCLSCHVASSVLACPGTETGQVRVETNLGKRKAAATRFVDAHASDLACMAMTTDGAVLATASVKGTLVRVFSTMDGTCLQEVRRGRHQAEIYSIALSPNLKWLAVCSDKGTLHVFSLRILYGKKDAAGGKKSAAAGSVMQTDTASNARSSLSFMKGVLPDYFSSEWSFAQFRLPETTRYIAAFGEQNTVMIVGMDGSFDPVNGKQIVRKEYFRFLKEKNSPPIRTLTT >DexiUA01G0010580.1:cds pep primary_assembly:Fonio_CM05836:UA:21034959:21036153:-1 gene:DexiUA01G0010580 transcript:DexiUA01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVLDEVDILFGEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPGVHRTSSRLEEILVDCSGDDNEDKNPETAFLNKKSALVKIIEESPVRKTIIFCNKIETCRKVENVLRRLDRKASQIKVLPFHAALDQAQRITNIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPCV >Dexi4A01G0023960.1:cds pep primary_assembly:Fonio_CM05836:4A:26862790:26863699:-1 gene:Dexi4A01G0023960 transcript:Dexi4A01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAGKCIPLSRQVEYFNTTRAKMVAAVGSAAVEALLAKSIVLMGVGSNDLFVFAASEAARNRSAAEQQSDAAALFADLISNYSATITELYAMGARKFAIINVGLVGCVPAVRVLDKAGACADGLNQLAAGFDAELRSLLAGLAPKLSGFVYSLADSFGLTQSTFADPQASGYTDIAGACCGSGRLLAEADCFPNATLCSAVSEVRDQHVFWDRYHPAQRACLLTAEAFYDGPAQYTTPINFKQLAQST >Dexi5B01G0039730.1:cds pep primary_assembly:Fonio_CM05836:5B:38453309:38454919:1 gene:Dexi5B01G0039730 transcript:Dexi5B01G0039730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGMAAAVLVAALLMVAAGVASAAPGLPRKKAPLKPGQKPSPRSKFVTLKPNRFGHKRNYQVSCSDEGGPACYVGCPKECPNKCLVFCAYCLSFCMCDIFPGTSCGDPRFTGGDGNTFYFHGKKDKDFCIVSDADLHINAHFIGNHNPEVKRDFTWVQALGVTFGGGHRLYVGARRAAEWDEDEDHIQITLDGEPVEVEPAKNARWVSSRGLSVKRMDAVNTVVVELDGVFSISANAVPISDRDNRVHQYGRKEGDSLVHLDLGFQFHNLTKDVDGVLGQTYRPGYVTKVDIKAKMPIMGGAPKYLSSGLFSTDCAK >Dexi2A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:2A:2283313:2285148:-1 gene:Dexi2A01G0002870 transcript:Dexi2A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGGLSGAKDDDAASRLTYEIFSLLEAKFLFGAGDVARRHLPGLTSSVPSGKVCVLSIDGGARASDGLLAAAALVRLEASLRRRSGNPAGRLPDFFDVAAGSGAGGVLAALLFARGPLGRPLYSADDALAFLLRRLRHRRSSTWSSAVVLRRGGGSSSAAAFSKAFGELTLRDTVRPLLVPCYDMATRSPFVFSRADAGVSAAHDFRLRDVCAATTASGAAVEVVSVDGGGTTRIRAMGGGGVALGNPTAAAITHVLNNRREFPDAVTIDDLLVLSIGAGEVGGGGRRGDKAPEVARIAAEGVSDMVDQAVAMAFGHSRTSNYIRIQGTGSRRGSGGGRAPRACGGETREQAVWKAEAMLLQKSVESVLFQGRKLAGETNADKLERFARELAKEHARRNQQSTPPLPHGGGQGRRSPADAAVVVSSPAVIPSSSLPAPVTNAKQTSTPTPAGSTDAAAPTEDAPPRRRRRAAAKWAPPPEDDGRSCGGAR >Dexi1A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:1A:3758260:3758565:-1 gene:Dexi1A01G0005140 transcript:Dexi1A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVFSLLALDREHFPDLLLEAIRSPVCGRNLRRGDGEEEEGDGGDESEIVEQRAVTVGSEVWDGGGEEVPAAQATTRRRTPRRRRPSSRRRAARPSMAS >Dexi6A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:6A:8024608:8025675:-1 gene:Dexi6A01G0007960 transcript:Dexi6A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKKTASSHTTETETGTHSFKIVGYTLNKGIGVGKSIRSGTFSVGDYDWALRFYPDGVNEVTKDFAAVYLLLVCKNAEVRASYSLRLVNKNTGLQETLCSETTIRVFKTCNTACFSPCTWIARSSELLAGYIVDDCLTIECTVAVVKDSWVENTGSFEIEVPPSDLSEHFGKLFLAEEGSDVTFSVGGKNIPAHKIVLATRSPVFKAQLYGQMKERRARRVTVEDIQPDVFKALLQFIYTDSLPEWDGLDAEEYCEISRHLLAAADRYAMDRLKLLCASNLVDYLDTENVATTLALADQHNCDRLKDVCIEFMGSSDEMDAVVKTEGYANLRRTCPAILVDVLEKKSRKPRT >DexiUA01G0007650.1:cds pep primary_assembly:Fonio_CM05836:UA:14335044:14335503:-1 gene:DexiUA01G0007650 transcript:DexiUA01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRARGRRGGRVGAGPPTTAVAAPWGLRRERGRLPRLVSPRSGRPERRGGCGARAGMRSPPGGRCRGGHGESGGERGGGYGEVGSVCEACVAGGRFIGSGRDPTGHSPASVDLGARGSSSSMTCGTRNRGDGQAGLRGPRLQ >Dexi3A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:3A:1964521:1965888:-1 gene:Dexi3A01G0002980 transcript:Dexi3A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGAARLRANSIKKQRQRTMNNIKITLLCGFITVLVLRGTAGFNLLINSGDPDGAAADAKIVEDVERVLAEIRSDSDPDDVVVLVGSGSSSSSPNNATKATLLANFSSSAMVIQVKEYSLGPKVSDWDEQRREWQSRHPEFPSRDPRGNPRVILVTGSPPGPCDNPAGDHYLLKAIKNKIDYCRIHGIDIVHNMAHLDPELTGYWSKIPLVRRLMLAHPEVEWVWWVDSDAIFTDMAFELPFSRYEGRNLVIHGYPELLEKRSWISLNAGIFLLRNCQWSLDLLDAWVPMGPRGPSRNEAGKLLTASLTGRPPFDADDQSALIHLLIVDKERWMDKVYIEREFYLHGFWTGLVDRYEQMMEESHPGLGDDRWPFITHFVGCKTCGRYEDYPLDRCLKGMERAFNFADNQVLRLYGFQHRSLVSAKVRRVTDPRTPPLEAKEAALKMDAKFERV >Dexi1B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:1B:683830:684210:1 gene:Dexi1B01G0000790 transcript:Dexi1B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSARLRPWEAAAGEEWGLASVDESVGSSKRMDMTLARRPAPDLGGEGRGLAALLLRREDGTGRRVPLRRGRCARRKNEHCSDGPRDRLLRCEDQGSRDWLRRRSPPAGSTREVDRQVVGGAVA >Dexi1A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:1A:22204805:22211789:1 gene:Dexi1A01G0015240 transcript:Dexi1A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRGLAVVVVVAAGVACEGLVLTRNMVCCRMPSQVMDQRRHLSQFSNPTVAASSFSEDFRLPTERHVGFWRPESLPRHIGSKSVASSPIEKPQPIGTKTVVRLGSKNVLVRQPVEKLDPTHVDDEPFELTEEIEAQIIGNILPDDDDLLSGVIGEVGYTAHANNSDDIDDDIFYTGGGMELETDEGKKIAEPNGGASEGLGLLNGTLNGEHPYGEHPSRTLFVRNINSNVEDSELKLLFEHYGEISNLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDHPSEKDINQGMLVVFNVDPSVTNDDIRQIFCDYGEIKEIRDAPQKGCHKIIEFYDVRAAEAAVRALNRSDPAVKKINLETSRLGGTRRLTQHTSPEVGQEEFGVCKLGSPSTNSPPMPSLGMFLSIFFVCYDSSCISDMEDHSAREASFPGLSSTIPQSLSSPIGIASAATHNNQSSLVHNGATNGAPYNLSTMAPVGVNSNSRTAEAVDSRHLHKVGSGNLNGHSFDRAGDGALGFSRSGSGPLRGHQLMWNNSNNFPRHPNSPVLWQNPGSYVNNVPSRPPAQMHGVPRAPSHMLDSVLPVHHHHVGSAPAINPSIWDRRHGYAGELTEASSFHPGSVGSMGFPGSPQLHGVELNNIFSHTGGNRMDPAVSPAQIGAPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSGANQSDNKRQYELDVDRILRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHKGTYDFIYLPIDFKNKCNVGYAFINMTNPRHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGDENHHDVQAASTNGDTSFNGVDPSGPTKDSE >Dexi3B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:3B:14113125:14114057:1 gene:Dexi3B01G0019040 transcript:Dexi3B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKERRTLGRISRAGIRLGDRGDWRPPVTVSLLCAIASGSIDSSSKEEDNTWAHAGAIGPLNQGQTWQNTAHKYPADGTVYKYCR >Dexi9B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:9B:988478:989037:-1 gene:Dexi9B01G0001710 transcript:Dexi9B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGRVVQGWAALVMGVLAGSIPWYTMMILHKRSRLLKHVDDTLGVIHTHGVAGLLGGLLTGLLADPTLCNLFLPVTNSQGAFYGGVGGAQFGKQLAGALFIIGWNVVVTSIICVAINFIVPLRMPEDKLEVGDDAVHGEEAYALWGDGELYDVTRHGDDTEHGGRGAVAPVTTTPNREN >Dexi8B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:8B:4207756:4208958:1 gene:Dexi8B01G0004600 transcript:Dexi8B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKFHELFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLTAAMTGGQTQQQQHYQLDSQKLHAATPGHHHQQDHFEVISNSPEACSSRSSDDERQSSTPSPATAPPPPWRHLDIDLNLSISLAAYQPPEESSKPLIKQEAAATTTGGNHNATAAVCLCLNSLGYRPGVDCVCGGGGARQEQWARSFLQAAPCYRGQ >Dexi7A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:7A:23862838:23864753:1 gene:Dexi7A01G0013920 transcript:Dexi7A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRNNNQLCLGVVVLMCAAAVVAEAGTGTFIYAGCSPSKYQPGTPFEANLQSILTSISTAASNGAGYNSFTAGANGTGGAAVYGLYQCRGDMDSGDCAACVRDAVGQLGQVCPGAYAASLQLEGCYVRYDSSSFVGTPDTAMVYRKCSTSTSSDAGFLSSRDAVLGDLQQGGVGVDEDGYKVSRNGGVQGMAQCLRDIAVADCTACLAQAVGQLKGTCGTALAADVYLAQCYVRYWANGYYFQPTQDYSQDDVGRTLAIVIGILAGLAIFVVFISFLRKTCN >Dexi1B01G0022190.1:cds pep primary_assembly:Fonio_CM05836:1B:27919868:27925614:1 gene:Dexi1B01G0022190 transcript:Dexi1B01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEAMAASIGVSVPVLRFLLCFVATIPTGLLWPAVPGATGRHLYAGLTGAALSYISFGATSNLLFVVPMTLGYLAMLLFRRHAGLITFLGAFGFLIACGPASSALMVLTLKVISCAINYSDGILKEEGLRDAQKKYRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWASPTPSPLLPTLRALVQAGICMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFTGWSESSPPKAKWDRAKNVDVLGVELAGSAVQLPLVWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYIIFFVQSALMINGSRVIYRWQQAASNSVLRSILAFLNFAYTLLVLNYSCIGFQVLSFKETLASYQSVYYVGTIVPIVCILLGNVIKPARKPKAKKAE >Dexi7B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:7B:24823830:24827652:1 gene:Dexi7B01G0019250 transcript:Dexi7B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTEGEQKHPRRAVALAAHDASGRVSPICISRRDTGDDDVAIKILYCGICHSDLHTIKNEWRTAIYPVVPGHEIAGVVTEVGKNVKKFNVGDRVGVGCMANTCRSCESCEEGLENYCSKITFTYNSHDKDGTVTYGGYSDMVVVNQHFVIRFPENMPLDKGAPLLCAGITVYTPMKYHGLNEPGKHIGVIGLGGLGHVAVKFAKAFGMRVTVISTATEKREEAMEKLASASMSMYPYLALLKPQGKMILLGLPEKPLQISAFSLVAGGKTMAGSCMGSIRDTQEMMDFAAEHGLTADIELIGADDVNDAMERLAKGEVRYRFVIDVGNTLKAA >Dexi5B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:5B:4161787:4162419:1 gene:Dexi5B01G0006180 transcript:Dexi5B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGMEMESTWKSLFQRRLVIAATLCDRVHGLLSEAIEVVDVDVRSRRRHEGPCAEETQRALEGATAELGLAIASMGAARHLALRGGAPCPSAPLDTVDDHAGDPAVWCALERLEKAAELAIRVHDGLERARGHLRTAALLAVLDMDGVAGGGGGGEGGDCTAPWEQSPCFSEQLSGAMELGEAMLKAGDLVAATAGAREAAFGDIGGVN >Dexi5A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:5A:7722754:7725980:1 gene:Dexi5A01G0010150 transcript:Dexi5A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGRAMEKEAAAAPELEIPGECQYSGEPAVVRPSKPTPRHTLYLSNLDDQRFLRFSIKYLYVFPAGAAVPSGDLRAALARVLVDYYPLAGRLRPSDEDEGKLVVDCNAEGALFAEGFLPGLTAGEFLRGRARPHKSWRKLLYRVEAQSFVAVPPLVVQVTRLGCGGMVLCTAINHCLCDGIGTSQFLHAWARAARATIDGDDTGVLDDDPSAFPAAAPPFHDRRALRPRCPPRVAFTHPEYNTCRGGAAVANGNGNGGDSPPTSLLARLLGQPLSPVSLTFTAAHLLRLKRQCSPPSSLKCCTSFEALAAHVWRAWVRALDPPPALRVKLLFSVNVRRRVKPELPAGYYGNGFVLGCAESTAAQLTSSPARHGVRLVQEAKECVDDDYVRSMVDLLEDRRGGGARPDLAASLVISAWTRLGLEDLDFGKGKAAHMGPLTSEIYCVFVPVAGDPHGVTVLVSVPQAAADRFQQYCLGFLKDTDMDARLTS >Dexi6A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:6A:21747446:21748537:-1 gene:Dexi6A01G0014420 transcript:Dexi6A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTSPAPTWLLRVATTAPDQASSSTSSKCGGRVLTAGTTTMDTAAIAAADPQESSSSGQSRLAARGHWRPAEDAKLRDLVALHGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDSAAVAAMPTAAGDAAAAGGGGGGGHHHHLLAAAVAAHDAAAYGFADPYGFSFRHYCTFPAEVDPPPPPPFCLFPVPV >Dexi2A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:2A:32335907:32336518:1 gene:Dexi2A01G0020250 transcript:Dexi2A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSARVALLFVAGYTAAALASATTLVVGDDRGWTMGVDYVAWVRGKTFKVGDKLVFNYPGTEHTVTEVGKNDYFACAGGDALSNDRSGSTNVTLAGPGTRYFICDIPGHCTIGMRLAVTVAGGEPPPVASSTSAAAASAPAAQHTMGAIVAAAAGVMIKLTLS >DexiUA01G0011110.1:cds pep primary_assembly:Fonio_CM05836:UA:22348055:22348813:-1 gene:DexiUA01G0011110 transcript:DexiUA01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKPLPPAQQERVLTVLSIDGGGIRGLVPATILACLEAQLQEKDGPDARIADYFDVIAGTSTGGLIASMLSAPGKDNRPLFAAKDISQFYLDNGPKIFPQRRVGCCVPSMVQFVVDSLRPGPKYDGKFLHEKIETLLKDTKVGDTLSNVILPTFDVKRMQPILFNTFEAEREAHKNARLVD >Dexi5B01G0034020.1:cds pep primary_assembly:Fonio_CM05836:5B:34273927:34283128:-1 gene:Dexi5B01G0034020 transcript:Dexi5B01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPGRVVDHHDRLVVKEETKAVVGEVAAKRMQEEHHHQQQQQLQPPPTVSMSQTVVPGGSADASAPPWDGVPHLARLPSQRSGMGVAKATAKAKGVQNLISKDMDELLKISSADKSYLSFVWFNREELKVFSTEVVRFGNRCKDPQWHQLDRYFDKLASERTPQHHLKEEAESLMQQLLTCVQFTAELYHEMHALDRFEQDYQRKHQEEDGSGVVQRGDNLHILKQEVKSQRKHVKSLRKKSLWSKNLEELTASQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSDVNCKPTGQMDLTRIETLYHADKDKTEAYILELVLWLHHLISQSKTANGERSPIKSPVRSPTQRGVSITLSPNKTSSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKARHNKQSRLSKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDVIDRVDNLRIQ >Dexi4B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:4B:3745750:3747250:1 gene:Dexi4B01G0005310 transcript:Dexi4B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAERFASSIRTQESLDAIHICKKYTIPKELTAILPAGDHRASSPPPPPGAICVRWRPGCASRSTPSSAWRWPTSAFVVLSHFAGVPPSLPLFRHLFSLSCAHKRTGFRGKDSSGALLVCLVSSRGGRRSSDAVAVRREVGPTVVQELYLRADSHQAGGAEEAVAAKLLGAHGAAVDLKTYLSEKNLAAAVQGALAHCRRRCSRSRSRSRSLLELLVPANGKTPVPGSRRLNQMIRSLLAAKPKQASGKKVTVKSKPGSDTQPLSGKKRKLMEDATKQGMSRAEPNAPLDNGHGACSQAPLGFSAQKTASPPTGSSPPRLADQHDGDIGDWEAARKMLQSIVAPPRLLEFSAAKPSDVIRSSDVTMFQAANRVSFSLGYALELEEKVAAREREAEALRRELAQAKAELAGAKEASAEGARSAAAEAVQEFLGSPEHELRLAEKALAGYKRGMDGMKRAALRRYPHLDPDQLVVPRR >Dexi7A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:7A:28055943:28056963:1 gene:Dexi7A01G0018740 transcript:Dexi7A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRIDLKVNVSCCDGCRRKVMKAMSLKGVLRTEIQPSHDRVTVVGDVDPKVLIKKLSKVGKIAEVVPAPSPSENAKKGGAKDGSDKPAQTAEEKGTKSKDGGINTAAPACKEEGCKKCTQKAAARSRATDGESGDDHASGKALIKDAGTNAKSGDGDGFSAKALAPAPPQVQVQMQHHYHRPEPAMVVPAAYYPPAPVAYYGGYYAMPPPPPHMAAMAMPVGVRRQLRPQPSRFDEDYFNDDNTVGCRVM >Dexi3A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:3A:12258982:12260733:1 gene:Dexi3A01G0016340 transcript:Dexi3A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEADAVAVARAASISETAPMSPASEAAAGPARQRGGWLRKLIPRDYLPRSRRWRLASPSAGGASRLASSLSRSLRWKRIPGLSSLSLRSGSASAVVDAVAFRVMYVVEAVGIMEEGRKMDAAAFGFPAPVRPGATRVGWIGIGVMGGAMAKHLLAAGYAVTAYARSPAKAESLVAAGASLADSPAAVAAASDVVFTMVGNPGDVRAVVLDPATGVLVGLRPGGVLVDCTSSSPSLAREIAAAASAAGCYAVDAPVSGGDVGARDGTLAIFAGGDEAVIAWLGPLFVHLGKATHMGAPGSGQSSKIANQIAVAGAVVGLGESVAFANAAELDAPLFLDAISKGAAGSRVMDIFSDRVLRREFASGGSVRYIVKDLGMALEVGDGGEEANVLPGAALYRQMFSAMVANGDGDMSLQGLITVVERLNGIGK >Dexi2B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:2B:25268009:25269867:1 gene:Dexi2B01G0015230 transcript:Dexi2B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQERDRRRTLLLVSLASIMERADEALLPALYREVGAALHATPAGLGALTLCRSVVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSILSMVADSSDDGTRGSAFGWLQLASSLGHVSGGLVGLLLAQTTVLGVAGWRVAFHLVAAISVAVGALNWLLAVDPHFSTSHGKIANPRQVVREMIREAKSVARIPTFQIFVAQGVSGSFPWSALSFASMWLELTGFSHGDTAALMTVFWVGRSLGGLLGGKMGDVLASWYPNAGRIVLSQISSGSAVPLAAVLLRGLPADPSTGVAYGAVLFVMGMFISWNGPATNFPIFAEIVPERSRTSIYALDKSFEAVLSSFAPPIVGILAQGVYGYTPDDKGESVQQDRRNADSLAKALYMAIAVPFIVCASMYSFMYFSYPRDRERARMQSLVESDLGQMELGGSWLEDDIRRLEVNDDEGGMIGVGHDSEELLEAEKDTVKLLA >Dexi9A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:9A:971345:971728:1 gene:Dexi9A01G0001870 transcript:Dexi9A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEIPAAFFSPPTLPEAARPPEWVLMDKLGYIAKRENATTAWGISNFGDLVEVSFCLADPPVISYMCVHLPGNVGHVNSGFGSIPTVVAAAGAFVLLELSLCFGCHGGPYYASFGFGRAGPRLRL >Dexi1B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:1B:24454090:24456660:1 gene:Dexi1B01G0018170 transcript:Dexi1B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGEDGKQERHLVLAHKLFLLSHPAVDDLSKVDLRAEVLDAVKSDDMAPLFESLVSAGVLEADAALLAKMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQATIKQGDFLLNRIQKLSRVIDL >DexiUA01G0010450.1:cds pep primary_assembly:Fonio_CM05836:UA:20801504:20804987:-1 gene:DexiUA01G0010450 transcript:DexiUA01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGAIEGGQLAPLLGSRSGSGSPDASLLRRLYAGHALARWGARMWEFSVGLYMIRIWPGSLLFTAIYGVVESASVAVFGPMVGTLVDKLTYLQVLGPWLLVQSLSFIIAGVSVTALLVYDDLRATSFPVFMTLVVITNVSGALAALSTLAGTILIEREWVVVISYGHPPTVLTGINSVIRRIDLSCKLLAPVFSGLVFSFVSAQASAAALAMWNIASVGLEYWLFVSVYNGVPALAAENGRLRAVDVLPSSPEIAVPAERAVDWRARMTEQLSIIPCWESWVVYVRQDVALPGVALAFLYFTVLSFGTLMTATLDWKGIPAYVISLARGFSAIVGIGATLLYPAVHSWVSTLRTGLWSIWMQWCCLLVCVGSIWASSSVASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPEHERCVVGGVQNSLQSVFDLLTYIMGIIISDPRDFSELIVLSFFLVTCAAAIYTMHVHRVRKHLFHFDKILAKISW >Dexi9A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:9A:4556170:4557983:1 gene:Dexi9A01G0007780 transcript:Dexi9A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVIRRAFGEAEEEFHKQVRQEWTKRPRLAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVAGGGLVVAERLSSEHNAASEEVRRELAAHNPDDAQIVVHVRGAWRVKGIIQVSRSIGDFYLKKPEYSLDPLFRQVGPHVALKRPALSAEPSIQVHKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIERGVRRHFHDDISVVVVYLDRHRERRHTRVIDSSSNCTSAPVDIYSSNNHQFAEPLQAYKS >Dexi4A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:4A:21023904:21026700:1 gene:Dexi4A01G0017290 transcript:Dexi4A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCRRSHGSFCLLIVLLLAAAASALGAAAGSSISHVQLSAEFLLPVDSSSCPTPQEQQHGPPTGTRMPIVHQHGPCSPLAAAGKHAGKAPSHTEILAADQRRVEYIHRRVSETTVRGARRPKRTAAPVELQPGSPSPASASSYAKPSSSSPANLPASSGSALGTGNYVVTIALGTPPARSTVVFDTGSDTTWVQCQPCVAYCYRQKEPIFNPSKSYTYANISCSSSYCDDLYTSGCSGGHCLYAVQYGDGSYTKNRGLFGKAAGLMGLGRGKTSLPVQAYNKYNGVFAYCLPASASGTGFLDFGPGAPAANARLTPMLTDNGPTFYYVAITGIKVGGHLLSIPESIFSGAGALVDSGTVITRLPPSAYEPLSSAFARGMERLGYKKAPAFSILDTCYDLTGYQGSISLPAVALVFDGGACLDVDASGILYVADVSQACLAFAANEDDTDVAIVGNTQQKTYSVLYDLGKKVVGFAPGAC >Dexi9A01G0049790.1:cds pep primary_assembly:Fonio_CM05836:9A:52336901:52343075:1 gene:Dexi9A01G0049790 transcript:Dexi9A01G0049790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGLGMDAISNETVDLEHIPVEEVFEHLKCTRQGLTADAAQQRINIFGYNKLEEKQAIPSPPPSYYFIEENNAGNAAAALMARLAPKAKALRDGTWSELDASLLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTSHVGHFQKVLTSIGNFCICSIAVGMIIELIVMYAVHAREYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFARGMEKDDIVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYIDAVGKMHRVSKGAPEQILNLASNKSEIERKVHHAIGNYAERGLRSLAVAYQVEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETISRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDKKGDIAVLPVDELIEQADGFAGVFPGTIMTISKDKVKPSPHPDSWKLAEIFATGVVIGAYLAVTTVLFFWAAYRTEFFVRLFHVTTLNIDKLTSEDKRGGSHLDVIADNTERLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMGAFVIAQLIASVIAAMVSWDVAGIKGIGWRWTGAIWAYNLAVYLLLDPIKFAVRYGLSGKAWGLVIDRKVAFSARKDFGKEAREAAWAHEQRTMHGLVSSAAAAAGGASSSGGGVDHHHHHQVGQMAEDARRRAEIARLRELRTLKAKVESVVKRKGLDLDDINNQHYTV >Dexi3A01G0029250.1:cds pep primary_assembly:Fonio_CM05836:3A:30696692:30706935:1 gene:Dexi3A01G0029250 transcript:Dexi3A01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMFKSENLSKECAGIENRTNQNGNGHPAYLEIEEDVKPLGLLKNAKRKHTGFRSPICEVSNSPSSNDEADAPANEFMTARTKMTDSNHELLIANGILSFDESIEMLCGPDGELPEKLRNLEPRLIEHRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGTSSKTDK >Dexi1A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:1A:8882306:8884510:1 gene:Dexi1A01G0010270 transcript:Dexi1A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAASASSPVEFLLRRPAPRQRRRPPLAGAFFAPTGLSGGPLLRALASLAADLLGTPRTPSQPRNLDALMRRLALLSSILDSLLLVLGDEEEGAFSDATNLCFRELYVVLFRADLLVSYVASAGRAWALLRGPHLAASFRDLDAELAVVLDVLPAASLRLPRDAAEHLDLLRARCRRRAPCQYHDPAQAALRDRLLATLRHFELGQPPDPSKLKSLLAQIGISDAASCRAEIEYLEEQILSQDEDTDLPLIGGVVALLRYCLFSLFDPGNTKTLRVWPSPGDRQRLLSWSSSDDSSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIEEGHSTCPNSGQALADNRLVPNRALRSLISQWCGVHGFQFDSPESNEGMIECVAASCSSKAAIEANKATARILVRMLVEGSDSEKPVAAREIRLLAKTGKQNRAFIAELGAIPLLCRLLLSSDRMAQENAVTALLNLSIYEPNKTRIMEHEGCLWLIVSVLKNGWTTEAKENAAATLFSLSVVHDYKKKIMNEPGAVEELASMLTRGTPRGKKDAVMALFNLSTHPESSGRMLESSAVLALIESLRNDTVSEEAAGALALLMKQPTIVNLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSTLAQRVARIPGLNTVIQNITLTGTKRAKKKASLIVKMCQRSQMPSALALGNTLTVVDHSLVGNSTLRRAASFGSGELSNPVSISVPVP >Dexi1A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:1A:22021852:22025016:1 gene:Dexi1A01G0015100 transcript:Dexi1A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKAARVADVPNLDVVAPGLVVEADAAVAVRKGGAGGRFSVIGHRGKGMNALASADRRLQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFILTEEYGNISQKRVTDLQLEDFIQYGPQNEQEKIGKPLLRKMKDGRMLNWNVQSEDALCTLQEAFEKVNPRLGFNVELKFDDNLEYQEEELTRILHAILKACVIFEYAKDRPILFSSFQPDAAQLMRKLQSTYPVYFLTNGGTEIYTDVRRNSLEEAIKLCLGSGLQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITEAVSELIAPPEPEPEVEKLNNGQATKGAATPNFSQREISFLLRLIPELVQ >Dexi7A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:7A:17765201:17766515:1 gene:Dexi7A01G0006380 transcript:Dexi7A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQYSTAGVAVAAAMLAVLSVLCSGHPVPGGFVPLQPHFYDHTCPQLQSIVGAIVAKAHAEDPRMAASLLRLHFHDCFVQGCDASVLLDADGSGRFTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTIIGKFANQGLDVVDLVALSGGHTIGDSRCVSFRQRLYGQNNNGQVDSTLNPAYAAELRGRCPRSGGDQNLFALDPASQFRFDNQYYHNILAMNGLLSSDEILLTQGRETMELVHRFAANQGLFFEQFAKSMVKMGNITPLTGHAGEIRNNCRRVNHF >Dexi5A01G0029490.1:cds pep primary_assembly:Fonio_CM05836:5A:32544487:32546371:1 gene:Dexi5A01G0029490 transcript:Dexi5A01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQSQLIAAPSTTFSLCSPRTSSGLTIRPRRSTVQQRVSCASSRGERSSEPDTSRQHDRRDVLLGLGALGAGATLMPARRARADPVATPNVSTCGQADLPPGANVSTCCPPPSNALPVDFAASLTSSSTPLRTRPAAHSVTADYVAKFNAGIAAMKALPASDPRSFAAQAAVHCAYCDGSYSPEGFPGLELQVHNSWLFFPFHRCYLYFFERILGSLVGDPSFAIPFWNWDAPDGMRLPAMYADQSSQLFDPRRDARHAPSKLIDLDYNGTEPRFTDSQQIDHNLRVMYRQMVSLSPTPSLFFGSPYRAGDDPNQGPSPVENIPHGPVHIWCGDPKQPSFEDMGNFYSAGNDPLFYAHHANIDRMWSVWKGLDARRHTDLTDPDWLNASFLFYDETPKLVRIRVRDVLDTGALRYQYQDLPLPWTAARPTVTRRAAGSLLTPAAKAAGGATKKAGKFPITLDEATSVTVRRPVGSKRSKAEKESKVEVLVIDGIEVGRDVAAKFDVFVNAEDHGAVGSGGRELAGSFVNVPHRHAHGGHGKERGIKTTLRLALNEQLEDLKAEGDESVEVILVPRQGKGNVKIGGVRIELMH >Dexi4B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:4B:18882099:18887452:1 gene:Dexi4B01G0016720 transcript:Dexi4B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSRRWQSLAAAAAAAEAAEEDAGGTGGPSRRPARRGMNRASPYGGGPRRWLPRLPVASRIFPTVPREGAPAGNNQEVHHESLDVIPERQSTEPNNNAAAAAPPMHVSNKFNLLLEDASVLFYVYRDETEHLIEIIRSRTPDLSVEDQGASGSTVKGFETTLFSTPARLIDPQSSWSTDTLPSSNVHGVGSSPIEIAKAFMEAQTSASVHESQKRKFRALSHGVEVENSTPKIPKVATDSSLCWPGSVVRGYSNYLTPQSNKGRTRLQPLSRTPYSGSVFPRSIKNSGHGDTYNNSSGHSQLSTPFSVGNKTILEDKLASANGMVQPSSSSRGKVDVFGRASAVDDMSRGPSVSVHPKSSETAFKILQHLERTIPSPTLKPLELRKTLAKRNISSVATSSKGKGPDFSIGGHRQSGISESGTANLEIADAKKVPPSSPSADESSQKIQSSGANSEVPETRASQQPLKSDLTSTSAAEVTDKNTSKGFTFTFPIPKAPSSLLEPPPTPTLASPPRTLPVTTEDIPKFSFGSSSTANKLVFSFDSRGSSLGDDGSVPTFKFRSDKKRELCFDVAVKNAVC >Dexi8B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:8B:5067180:5071616:-1 gene:Dexi8B01G0005140 transcript:Dexi8B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLSAAAAPPRPPAPPIGLDGCNTTCGDVNVPYPFGFGHSGNTTCYWPGLNLTCDTSQGGQPRLLLGDGTLRVIGINISDSDPTVRVVRVGSLINSTAGTGWNAPFGDGFTEHGYLLSSDNELIVVGCNVVATLFADGIGGPNSNNTAGRIAGCASLCTKKFDSGGDFYIDTIDAYVPVDDCSDGTSGCCRSPVTMPAPPSEVQAMRLQRWSDTAEEKQLPVNVFVAEKGWIGELRSKTVPTEEVSEVPFVLKWSVTRGLPRGPQLDDFTECAEAVRRKLCKSKNSLCWNANPGPGYTCQCEGGYDGNPYLTGAGSCKAGGGLRGSEATCLDGRKAVGLGKCGGNDGTRWQLRGPVVVAVVGSVSRLGRAAYGLAGAPRHPSGVGSDGDRMRKKMRPFSRSQIPKYNFDSHDINECDSSEEYGCFGECINTIGSMYCRCPNGTYGNPGVKGGCAKINPTTDDEALPTVAPAPIALGSDCNDSCGDVRVPYPFGFGPTHCSLPGLNLTCDTSHGGTPRLLLDGNGTLQVVGISLSDSTMRVIHHTRITSDDIFSNYSIDGREVITRAVSFQLPDIGESYMLSARNEFVFFGNGVEATLYGHKYRNGSGGANSNITGCVSSFSSSPLEEYRNCSRGDSCCHAHIFPGSTPKKMEFRGLVNTDLDNDMPLAFISEQGLTAHWWDTILNRTVTLNDWSNRYFSSPLVLQWAVKQGFPPPAGNSSGQCPSDVARQLCKSKLGSCRQENGGYTCYCEKGYQGNPYIIDGCKDINECKITPQICFGVCHNMPGKYKCRCKLGTFGNARKLNGCVSLSVVLHKFIKKNKIVLAAASGPVLLLLGLGITLVPRKIDQHKMKVLKQKYFKQNRGQLLQQLMSQRADIAERMIISLDELAKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKITVQKEINEFINEVAILSQVNHKNVVKLFGCCLETEVPLLVYEFISNGTLSQHLHVEGPRSLSWVNRLRIATEIATSLAYLHSEVSIPIVHRDIKSTNILLDDTLTAKVSDFGASRYIPMDKTGLTTRVQGTIGYLDPLYFQTNRLTEKSDVYSFGVILVELLTRKKPFLYLSPEGDGLVYHFIDLHAEGNLVQIIDPQVIEEGGEEVQEVATLAASCINLLRSDERPTMRQVEHTLEGLGGSTMQKNNGMDIKDLENASADCSSSTKQGQGIEESSRRYSFEQEMIMSSRYPR >Dexi7A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:7A:9919067:9920785:1 gene:Dexi7A01G0002360 transcript:Dexi7A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARMAFPSCARLLPSSPSTLTLVRARAGAVAFLAPTPSPSAAPRSSRNLALFCSSSTPAPQAAVEETKQPAPGGDEKTEPTVEELAGLLDIRVGRVVKAWRHPEADTLYVEEVDVGEAEPRTICSGLVNFLPIEELQDSSVVVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGSEEEKDRQLDPASPNQVQKKKIWESVQPHLKTMDNCIAVLGEQPMRTSAGPVFCKSLHGARVS >Dexi9B01G0045890.1:cds pep primary_assembly:Fonio_CM05836:9B:45322677:45325828:1 gene:Dexi9B01G0045890 transcript:Dexi9B01G0045890.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFCAQHCRQSEAKQAILYSYSCGFSGFAALLNSTQASTLSGVWPESESFRDDPHYGPIPSSWRGTCVEGDGSFDPATACNRKLIGARYYLAGIESELGPLNTTGGEEYRSPRDRVGHGTHTASTAVGSVAPNASYFGQLGLGVARGGAPRARLAVYKVCWYRDFTGRCSDADILAAFDDALCDGVHVVSASLGSSPPLMPLFATSTEIGAFHAMQRGVVTVFSAGNDGPDASMVQNVSPWGLTVAASTIDRRFPTVITLGNNASIVGESFLVDEMTRRLVESSSVFTDGTCTFEQLMNRTAAAGKIVLCFGTTGGVSSEGAALAVYAGKGDGVIFADSISRKTSQDNFWPTVHVDLNQGTQILCYIRASRKATVHISRSKTVVGKTPAPAVAYFSSRGPSSITPHILKPDVTAPGVNILAAWPPKSSPTMIPLDKRSTQWNFDSGTSMSCPHVSGIAAVIKSVHPTWSPAAVKSALMTTAYMYDDTSDVMLAGGTLKAADTFDVGAGHVDPLRALDPGLVYDAGPRDHVLFLCALGYTAAQIRQLVLPVPSLDTSCPHGGAAMAGAADLNYPAIVLPDLGTTVTVKRTVTNVGPNRAAVYGVAVTCPPGAHAVVWPPELAFFPDHGGDMASYYVSVTPTKLSRGRFDFGEIVWSDGFHRVRTPLVVRVTNLPDDGVRAAADDGYYSEAAV >Dexi5A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:5A:4877440:4881035:-1 gene:Dexi5A01G0006650 transcript:Dexi5A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFFDEDGEALMDPDARDPSPEPQPYDDLNDDLGDADDWARERSPTPVHGDDGGAGSSSKPRKRLLKKGGGGGGGGVPGDDGLEDFGLEDADADPAAEAKKRKGSSALRDLARGGAGKEKKEKKRRREDDGRGRDSGMAREKRGSGGRGSGGGEVQDDGEREIQELWDTIAGGESEDDQEGVRTVDDDNFIDDTGVDPADRYGSDNERHSPGHYEQVFDIAEEDDEIERLFKGNKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLVKLPLLIDVLSKKKLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLTDVGIFISFYLFALLNFVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDVDADFSQRKSGQSSSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >Dexi9B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:9B:8591628:8592637:-1 gene:Dexi9B01G0012740 transcript:Dexi9B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSAVPAPPSPPPPWADMETDCLAHVFRRLDLEDLAASAPLVCRGWRRAAADPSLWRALDLRRDHLARFMPWSPLAAAFALRYGVATRFSLAGFLRLCLARAKGSADHLALPPLLASPADDLQHISLQCPRLRRLALPALPAGDEARLVDLIPRWPLLEHLELDAKPSATFFPALAEQLGRHCPKFASLKTSGAVKPEDAAALAKWLPGLRSLCLDRSYLPKQELLAILAGCRNLRELSARCCVGFDEGDEEIARRGARIERFDVAGSRMVDEVELEDDMAGGHDFCDSSYVDVM >Dexi4A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:4A:3254061:3254410:-1 gene:Dexi4A01G0004620 transcript:Dexi4A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGAAESSSPTGRTREHIIFGSAARVADEHGVGVAPPRARPPLGVVHRPVLRVYDAPEQDDVLWVAVGSGDEGGDVLLRHDKQDTSFLGVTADSNDSRRTH >Dexi1B01G0023920.2:cds pep primary_assembly:Fonio_CM05836:1B:29373579:29389115:1 gene:Dexi1B01G0023920 transcript:Dexi1B01G0023920.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPVAAETHLLRLAEPPQVTHLALRVSWPPGSALRGFPQQFSFVSSADRNLLVLCVGNAPVCFNASRGFYLVHDAMANSVAVVPWLPPRSVPTMFSHRGIGTGVAVLRRGDEMTGEPFLLAELFLRRDRGRRGHTSNKATLFIWFGSGPASGGWTEKEVTLPFPSGYRSSEVSEYSFTADTVLAVGGKSLCWVDRRWNGWRREWGMDGDGKKMGAGGVNMSGALTVYPLLDLRPPLLPSSGSSIPSAKAKRTAVDVRGIAVGFAGLVRNRTVETKPAPALDGSEVGLPCPSNSMIASSSLQSWLKCGSDNNLARVISLEFRRGRSKRLGSKMSCRVRNALGSRLFQWCSRENHTSVRKLLELDGTSERSKLLNKVSVLMGYSNAQDLVEQQRARRESATELISVFKEIDFPEISAKFPCIKIGDASPIELYEDSTDMKCKEAVLSKNLTNFIRDSGGNLEIAYEFPNGSHLLSQTPTTADDISISEESSLIAEHISQEPSVDMQARPESSSDAVTSDSSILDKSIRCLPGTTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEDGQYIMLFGTVISSRGIKVKSTLGFLEVVVGCSIVEPESSDNSSHSGAEQKTIHLHLKKFFSGTRFSSQYFLNCMSAKHKEGDLVYVSGKIKKALANGHYELKEYTIDGLEGEGEQSSMLDRKPHPIYPSKAGLKPSLLGLSISRALKLLTPDVDPMPPDVLTEFNLPNLFDVSKPLGCIQCGSKKGGAGAMHSPQEGSEVYGEAYLGIHKPKDRDEADFARRRLIFDDFFYLQGLKTGEIAMVIGTHSLIADKTDFLALRISVIDEQQRFGVVQRGRFNSKLYTSSSKLSDESTGADEASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDEGFKTVFQMMRDELIDGGKVYLVYPIIDESEHLPQLRAATTEFDSIKQKFKGYPCGLLHGRMRSDEKDEALSSFRSGETRILLSTQVIEIGVDVPDASMMIVMNAERFGIAQLHQLRGRVGRGERKSRCIFLASTPSTLPRLKVLEKSADGFYLANADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGGILQEAHHAALKVLAASNDLSLYPGLKVELSMRQPLCILGD >Dexi1B01G0023920.1:cds pep primary_assembly:Fonio_CM05836:1B:29373374:29374857:1 gene:Dexi1B01G0023920 transcript:Dexi1B01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAVHPPDEVLVDRFVPFIDELTEIIKEKGWPSLWTTTTKALDARPGEREAGCDVARSSTVTHLALRVSWPPGSALRGFPQQFSFVSSADRNLLVLCVGNAPVCFNASRGFYLVHDAMANSVAVVPWLPPRSVPTMFSHRGIGTGVAVLRRGDEMTGEPFLLAELFLRRDRGRRGHTSNKATLFIWFGSGPASGGWTEKEVTLPFPSGYRSSEVSEYSFTADTVLAVGGKSLCWVDRRWNGWRREWGMDGDGKKMGAGGVNMSGALTVYPLLDLRPPLLPSSGSSIPSAKAKRTAVDVRGIAVGFAGLVRNRTVETKPAPALDGSEVVQALSRACPHILHALDRHDYS >Dexi6B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:6B:25928002:25929689:1 gene:Dexi6B01G0019080 transcript:Dexi6B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPTPTPPPPLTTTPRRRHAAAAAVAAAEWAAVSSSSAASGDFRVEALGKHQLMRRTGLPARDLRALDPALSHPSSVMGRDRAVVVNLDRVRAVITASEVLVPGPRDPAVAPLVAELHARLSAAAAATASPREEDGKALPFEFRAIEVCIEFACKSLDHETSLLEKEAYPALDALTSKVSTLNLEHVRQIKSRLVAISGGVHKVRDELEHLLDDDADMAAMHLSEKLAFQAAAGQSSRFDIEEEPGEFDQERNNDDDESAEREEDDDEAEGSLSFSPKIDELENLLEAYFVQIDGTLNKLSTLREYVEDTEDYINIMLDDKQNQLLQMGVVLSTATLMISAAIVVTAVFGMNVHIALFLTNNTNIFWVANAGMLGGAAALFVVAIAYYRKNGILR >Dexi3B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:3B:7283366:7284737:-1 gene:Dexi3B01G0010410 transcript:Dexi3B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGMGALDELEVLAMSPGGSAASAAAAAGSEDEGDLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITASEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLRCDVNSRHFRHVVRHLWIPRLLERIQADAGGSVAAGAQPPPAAAPPVVAPATTTASAPPAYHHRHGAASGDGLQSAADNGAVVTTSKEAHAGGCYDHGDYYYYSYSEPAAADAMSPADDTSSALRSTVTDASHGAAKQHHYGTSAATPTNEGCGVVGGAAAGPTTTEEEEDVFAGTWSELLANAGREEDESKIGLPDLELGDFEDNLWSLEDLCLQQLC >Dexi2B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:2B:18922624:18926173:-1 gene:Dexi2B01G0012590 transcript:Dexi2B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDVCAAPLLVVELPERSTKRRLAKDAWEESKKLWDVVGPAVFMRMVLYSLGIVSQSFVGHLGDRDLAAFSIAYTVIDGLNFGFMFGMSSALETLCGQAYGAKHYSMMGVHLQRSWLVLLAFATLLAPTYIFSGKLLAAAGQSAELARPAGLASAYLVPLVFMYALLLPVVTFLQCQLKSWVTAAAAAAVFPVHVAATWLLVQRAGLGVLGAAMALNLSWAVYAGLQLAYALGGGCPDTWTGFSAAAFAFKDVKEFVKLSASSGVMVCLENWYYRILIFLTGYMKDAEIAVDALSICMSLAGLEMMIHLGFLAGTGVRVANELGAANGKGARFAMFVSTTTSFLISLFISILTLGFHDKLAMIYTTSEAVINAVDHISVLLAFTIILNGVQPVLSGVAIGSGWQALVAYVNIGSYYLIGVPFGVLLGWGFRYGVYALKASNRMEQWSNTK >Dexi4B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:4B:20383687:20385055:1 gene:Dexi4B01G0017960 transcript:Dexi4B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMLSCFRLPRTGGGPDQPGAASPRRPALPFAASLFAASPSTSGRGKTPWPPSEAVDDMEKKRWDSMESWSMLLDTVMGPTGEGGSSSSSKDTTTGRREEWMADLSQLFIGNKFASGANSRIYRGIYRQRAVAVKMVRIPERDEARRAVLEDQFNSEVSFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETKCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTTCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQDLRLWRSFAKIFRMGCIANNLSIPVHA >Dexi1A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:1A:5920253:5920798:-1 gene:Dexi1A01G0007680 transcript:Dexi1A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHGFVPRIIHRDMKSSNILLDENMEPRISDFGLARIISAYDTHVSTMVAGTLGYIPPEYALTMKCTAKGDVFSFGVVMLEVLTGRPPTGQELEEGGGNLIDWVRWMIAKGPEGELFDPSLPDSGLLREQMVRVLATALECTADEPWKRPTMPDVVKGLRMAQLMETEPHDHQGCVVLT >Dexi7B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:7B:18238719:18239081:-1 gene:Dexi7B01G0011120 transcript:Dexi7B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGIVRLVALVLLVVFSSSLHQQAGVGAIRLHDQRKHAQQWTEERNRLRSYMTMDYHPWSRRVPKHN >Dexi4B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:4B:1081807:1088613:1 gene:Dexi4B01G0001760 transcript:Dexi4B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGAGTLKSSSINGVKLYSLTGNRYVAPWVLAKKKRALRKDKEYQRRLDLIHDLRFETATTKIKLTPDDQFVIASGIYPPQVKVYELKELSMKFERHMISEIIDFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINAVTRSKIHGLVACGGEDGAVECFDMRKKSSVGRINIPALSSEDYAQEVTSLQFDEDQGYLMAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKSDDVVYDEYKFLTKEQMERLNLTQYIGTSAQWREVAPVEQKALIEEMKKKKIDAARGSRITKGAKIPKVNRQILDSLIEEEMNADTENADKSSKKKKERKLKGYRDLLEDERFKEMFENKDFQIDEESREYLALHPQAATKEPRLIEEHFKSVSEDEQQSDASVSDGSGMSDSDNDMHKRIRLYEVKDERHAEAFLNSTSLSNEDVVPLEDRIAALERQRNSKALDSVKYGPGGSREISFISRSGRRRKEESPSDDEGPKDFKRRGVQSLGLKQGKAEFYMFGGDRGRGRGGARGRGGRGRGGGRGRGRGRG >Dexi3B01G0022550.1:cds pep primary_assembly:Fonio_CM05836:3B:17346612:17349448:1 gene:Dexi3B01G0022550 transcript:Dexi3B01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSIRSALARALVAPKLQGPRGFSATAAAGETQPERVAAEMVRYALGGAVHRSSPDEAMRILEQGALNLQGGGEGSAEAVGLLMLAMSTLLYRSGRRQDAMEKLKATQQVAPSAAFRVAAWEALMGLHMEAGQEISSSTSPNDSVDLSIKDDSKWSDQDHLKFRVNAIKGLIALLNGETDSAAQLFVDWSKGVFGGKNQTENAALSYGEYLHCVGDYPMATQVYERVLQEDVSGNLLAAGNMVPEEVSLGATCLYGQLLSHSGKFGDAEDYLTRALQKAEEQFGANHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEGTSKQMDWRDIISLARGHI >Dexi2B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:2B:4978101:4979858:1 gene:Dexi2B01G0005330 transcript:Dexi2B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPXXXXLRCRRSNPTTMPSLAASTSSLPAAPPRSSSDASSPPATATATATARSSLNLLRSLARARRTDLSHRALLLFRSIHSTSSSTAPSPHFSLPAALSAAAFLAALPEGRQLHALAAKIGLAPAHAVVSNSLVHLYASCGLPDEALALFRRIPAPTLVSWNTAVDALAGNGDHLAALALFREMQRDRPDLSPDAYTVQSVLGACAGAGALSLGLYAHALLLRELGGGAAVGDAAAVSRDVLINNSLVDLYGKCGAVELARQVFDRMPARDLASWNAMVLALANHGRVRESLNLFDSMTRTENVVPNAITFVAVLSACNHGGLVAEGRRYFAAMVSEYGIRPRIEHYGCMVDILARAGLIEEALDVVAGMKCRPDSIIWRSLLDACCKRNAGLELSEAMAKLALDVPDDAVSVSGVYVLLSRVYASAQRWNDVGMIRRLMSEEGFKKEPGFSSIEMAGSVHQFVAGDTSHPQSEEIYEKLDEIQQRLTAAGYKPDLSEAPMVADMDRTKGATLRLHSERLAISFGLLNVAPGAPIRILKNLRVCKDCHTISKLISKLYDVEIIVRDRIRFHHFKDGSCSCKDYW >Dexi2A01G0035940.1:cds pep primary_assembly:Fonio_CM05836:2A:45628022:45636259:-1 gene:Dexi2A01G0035940 transcript:Dexi2A01G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVGRAVRKAFPGFGTYAGVVESYDADAGYFRVLYEDGDSEEVDADEMAGILVGPAMPPALQQTPPRDVSSPRRPKKRRRGDGESPTPAPHASPGDGVVLAVPAADGGSDGETEPATPAEESTEPAVAEKKRRLSPGPVSSARPVRRSARQAKAAERAAEMEAAAAVAAAAEAAEAEAAAASTPQQSGRKRPRANGSGRYRSVSRDLEEAAVKKTPPKPVLPPKPELPPSSQGLDLGGLPVLDVFQVYSCLRSFSRQLFLSPFPLDTFVAALHSTFVNPLIDCVHFALLRALKSHLEDFANEGDPSAVHCIRNLNWELLDLATWPIYLAEYLLTRGTELRYGMKLTDLKLLSTEYYRQPAVVKLELLRSLSDDVLEIGAIRSRMSEADGNDEGFRRTGLRRKKRGSYAKGAVDSSQSPEGSDEMDDGRLNGLLTGPWQNPQYHIYWRKAVLKASDVSSLKQPLLMLESSLRRVAISGEWQKPADAVEVVGSAAHILVRSSNKSSSHGTARKPGRKPSSNGELKVDSRDVGVYWWRGGKLSRQVFHWKRLPQSLVYKAARQAGRRKIPTILYTDGSQFARRFKYIAWQAAVEMAENVAQLILQIKELEWNIKWTEILGTLPSSLMTKEMQKIARLFKKVIIRRKRIEGTNVEYLLDFGKRENIPPVISKHGKKFEEPSSERNREAVNCQYCADWFHGDIYSVTIENVNNLIGFKCHRCLMRSLPVCPYAQTKSDKDHGIKSVPDEVHKIDKFVVDEDHNCQKDVGARGSQKDLHDHSSLKKVDIESRLNGHITEKELSDNNCLEELDDHNNLKEVGTRSTEEELDENKSLKELDAHNELKELDSPGSEKELVNDYCPKELDNPINLKDLDSCRADRELKNHNYLNELDGRYYWKGLNGHNSQEELYGTPSSKFAAEGRQCPTELNGFNGLKIDNHDNLEELNSHNCPVEIDNKNSSKEPDNNRSPHDSCDFLAEHFNNIRISGKEALIVTPETGPVKESPALQSKDDSEKTVPAEHDIDFQVVVT >Dexi7A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:7A:19625469:19629383:1 gene:Dexi7A01G0008330 transcript:Dexi7A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSPSMCGGGETGMRIVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWSPEEDGPYYPGRVSRWWISQSLQHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATHVYFNHLYGLFLPVAALPCGIYPISLVRDHRLKEMLTTEGIVVQSFNADLLYEPWEVVDDEGHPFTMFAPFWNRCLSMPYDPPAPILPPKKINSGNLSMCPSDDLIFEDESERGSNALLARAWKPGWQNADKALTAFLNGPLADYSVNRKKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYLSFNHPSSHERPLLAHLRFFPWVVNECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWIPELARLPTEWIHHPWDAPVSVLQAAGIELGSNYPLPIVELDAAKARLQEALSEMWQLEAASRATMNNGMEEGLGDSSEIPPIEFPQELQMEVDRQPAQAANVPMTARRREDQMVPTMTSSLNRAETEVSADLGNSEDTRAQDNRLGSGKFRATKLNRLGFSEDGICM >Dexi7A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:7A:21705956:21706939:-1 gene:Dexi7A01G0011050 transcript:Dexi7A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPTTILKAATLDIWLLLHCAAIIAPAAAQSCNCAPGLCCSRYGYCGTTGAFCGQGCQSGPCTGSSGGGGAGSGSGGVASVASVVTDAFFGGITAQAQSWCAGKSFYTRSAFLDAVGSFPNFARGGSQAAGTREIAAFFAHVTHETGYFCYIREVGGASMDYCDAGDSAWPCVQGQGYYGRGPLQISWNYNYGPAGRYIGFDGLADPDMVARDPVVSFKSALWFWMDNVHLVMPQGFGATIRAINGALECDGRNAAEMNARVRLYLHYCQQLGVEPGSNLAC >Dexi3A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:3A:12109346:12114390:-1 gene:Dexi3A01G0016200 transcript:Dexi3A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDANGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEATKPTASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPEQNKPRDILDPKKKRHRDGYEEGNTTLRKVGLASDFIWSDAQTPLEFLGSYSVISFDNPESLPIKNHELTTDDIKNFCEDLLLLDKNSFKHILKWRIRLRKALSSSSQVTPKVDDDAETTKVKDDDQLLQEMEELTSVIDRKKKREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELQAVESAELDVEDDIEDSGNEETQGREVSDEEIDSDEEQQRYDAQLEEMLDEAYERFITKKGGEVKQERKRAKRINPDADADLLEGDEDDGDDVEMDQGFDEGQDPETNPLLLSLDEDKPTKEQIVQQWYSQDVFTEAGTGVTEQSDSEDEREDFQRNMKKKMDTGKKEKVTKAQRLQQDDFEMVPAEPVRNEEDSSSSSDESDGSEEELDDYRKAEVLAYAKKMLRKKQREQILDDAYNKYMFDDEGLPNWFVEDEKRHRQPMKPITREEVAAMRAQFKEIDARPSKKVAEAKARKKRVAMKKLDKARQKADAVADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRTGKGKVLVDPRMKKDKRASGTGKKGKKGGKGAKGKGGQKSMRGKAGKKAGR >Dexi8A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:8A:1519338:1520365:-1 gene:Dexi8A01G0002310 transcript:Dexi8A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGSGVGARADADQYAADVIALKNIIDSTYQGNPSKPLVVAPGGFFDTAWFTELVSKTKPGQMDVITHHIYNLGAGVDDHLIEKILDPSYLDGEASTFSNLQGILKSSGIAWVGDTGGAYNSGHHLVFGSARDVIKV >Dexi1A01G0026960.1:cds pep primary_assembly:Fonio_CM05836:1A:32829905:32831241:-1 gene:Dexi1A01G0026960 transcript:Dexi1A01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSIASLNKPVIMVLVVLVLVCVGLLGSPAAAAAGGEEVHLVPAVYVFGDSTVDVGNNQYLPGNFALQLPYGIDFPHSRPTGRFSNGYNVADFVAKLLGFKRSPPAYLSLTPQTSRQIFRGLRGANYASGGSGILDTTGNTITLTKQIEYFADTKAKMVANVDKQGGSPSVDELLSKSLFLISDGGNDMFAFLKKNRSAMEAPSFYADLLSNYTRHLLALHRLGARRFGIVDVPPLGCVPSVRVLSPDGASRCVDGANALAGGFNDLLRSLMANLTASGALPGATYSVGSSYAVVSYLTAHPAAAGFREVAAACCGGGRLNAETGCTPNATYCSDRDEYMFWDGVHGTQATSRKGAVAIFSAPVKMGFAAPINFKQLVSSP >Dexi2B01G0024250.1:cds pep primary_assembly:Fonio_CM05836:2B:33713034:33716630:1 gene:Dexi2B01G0024250 transcript:Dexi2B01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLLSSPAAAAKLAASLRGAPLRRCHSFAPAPRHPDRASLATSLLAAAAARSAGTAVAAAQTKLSVLSNHLFPNSQLQIIFGLLTDGEILSVSGKKQVLISLSDKTDLAYLGNGLQGLGYSIVSTGGTASSLEAAGVNVTKVEEITHFPEVLDGRVKTLHPSIHGGILARRDQEHHLKALKDHGIGTFDVVVVNLYPFYDKVTSGTISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHSDYPSLLEYLKGNQEDQQFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGEMFPPSFTVPLELKSTLRYGENPHQNAAFYADKSLSLVGAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGAASRQDILEAYRLAVRGDPVSAFGGIVAFNTIVDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDRTPEDITFTTKSERAPQENELADAKFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFRKAGEEAKGAALASDAFFPFAWKDAVEEACQNGIGIIAQPGGSMRDDDAVACCDKYGVALVFTGVRHFKH >Dexi5B01G0037720.1:cds pep primary_assembly:Fonio_CM05836:5B:37125189:37126200:1 gene:Dexi5B01G0037720 transcript:Dexi5B01G0037720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGKHFILVHGLCHGAWSWYKVATLLRAAGHRVTALDLAAAGAHPARLNEVRSFEEYSRPLLDAVAAAGDGEGLILVGHSHGGLSLALAMERFPRKVAAAVFVDAAMPWVGKHMGVTTEGFMRRAASKGLLMDCQMVPIKSSSDKDNSGVAMVMGPRFMEQKYNQSAAEDLTLAKLLVRPGNQFLDDPVMKDEALLTAGNYGSVRKVFVAAKADGSSTEEMTRWIMGTNPGTELEEIAGADHFVMNSKPRELCDALLRIANRYD >Dexi7A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:7A:22368621:22370684:1 gene:Dexi7A01G0012040 transcript:Dexi7A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSSNPESRAMALAKAKEIVASAPVVVFSKSYCPFCVRVKQLFEKLGATFKAIEMDVESDGAELQDALKEWTGQRTVPNVFINGKHIGGCDDTMALHNTGKLVPLLTEAGAIAGPASKETTTTA >Dexi9B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:9B:8147590:8149975:1 gene:Dexi9B01G0012230 transcript:Dexi9B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGSQMKKSCDCCKRYLGHLDEKNQTMSCFLRRMTSNPKHRMSITKEYAFAHFPHGNANVTLQIPGNIKKWHPIFYVRKDRRMHMLRGQWLDFVRDNHVQEGDICLFLPTNGVRRFMFTVYLLRATAATHSRRGVGFQRVGPCPVRPSAKMASEVHIEEPTNGEHFSSESDMNKISHEYLESEDSGD >Dexi1B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:1B:6626167:6626588:1 gene:Dexi1B01G0007990 transcript:Dexi1B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSLAINLETGLSNKLVKMIRSHYSSGQKLAVGRSDYKTIIEAKLKIHCLFDETVMELMWGLKHIMKSLVPTETCELTTEDRQHMSKGMQSILNSYDFEVEPEMVSSFLLFPYFR >DexiUA01G0004860.1:cds pep primary_assembly:Fonio_CM05836:UA:8999833:9004684:1 gene:DexiUA01G0004860 transcript:DexiUA01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCATIPSAPRATTLASRSQHGSGNGGNLLRVLCDTRAVVLLCWRSPQRTDARGAANLAHGGATGNANSTSQRDIIRRLSIHSSSNTNASINENKDLSKVEDCTDLDKNHLKDLGNLHLLRSSSTGSYIGTNTGSYIRTDTGSSTGSWIRTYTGSCICPDTSSNTCADICTKTGPCIRTDADSCICTDTGSSTSSWICTNTSSCIYTNSSSNTDAVICTKNSPCVRTYAGSSTSTDTCTNSGFGGTGTRTSSPRCVPQRLQESS >Dexi3A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:3A:16044026:16044656:-1 gene:Dexi3A01G0020210 transcript:Dexi3A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSDPLQYDLSTLRAATNNFSEENKLGKGGFGPVYKGTLQNGQEIAVKRLSTISQQGLAEMKNEIVLVAKLQHKNLVRLMGFCIEEEEKLLVYEFLSNKSLNKILFGTTSSKGSAEDFCIFMRIQG >Dexi2A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:2A:13459387:13459836:1 gene:Dexi2A01G0011560 transcript:Dexi2A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHAGTIGKSFHVFVKDHKITSTEKHNLGEVIARPLVDAGVLDQGALPNTCAANDRQDAQMLGARIIRAPKQEADDALHLHLAAEHAVVHAVGLPGETRRVSAGEQDELGRALNRGLKARYNAPEIHLVASAAADADALRGVES >Dexi6A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:6A:206029:208484:1 gene:Dexi6A01G0000300 transcript:Dexi6A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRESFGSLSRRSFEVKISGLAGLGHHRGKSVGSVTNLHDRPIVADQSRWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAEVCGTWREICKDIVPSPEFCGKLTFPVSLKQEKIFSANRSNFLGTKFVVYDTQPPYNAGSLVSCGRGSRRISSRKVSPKVPTASYPIARVNYELNVLGTRGPRRMNCTMHSIPASALDPEGTVPGQPKKLFLPGSSSCEESFRSANTSSSSRFSVTERSLDFSSCRFSEVSGVVQPDEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPHLDATPSESSQQVQQQTQAPSSSSAPDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFTICLTSFDSKLACE >Dexi6B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:6B:27124299:27129098:1 gene:Dexi6B01G0020750 transcript:Dexi6B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNTDRARKAVDAMKLLGFSRKQSVPVLKKLLSIFNDNWEPIEDECYRTLADAILDAQDNNQAPASQQGTQAAHVDLESHGTTRDASADEEDNETPLVKRPRMGAAQFGQQLQPGPRQCSVSTQGALPASPQTSRRQTRSLTANYGDPSAIGDALILKEPKPDPDVNAAQGKACQAVPKAIHVNAGSSGAGVRRSTVNQPQNLDSSLQAVPLGNNGVGSTVENTKEASFVEVDVASSTNGEVKMTLKCNLDSSELSYSMEEVLKMVEEKCFHSYKMLPPDFSIGKLMTEVFFTSEGKGWGLRTLEDLPKGAFVCEYAGEVLTNTELYARAIENARNGKHMHQVLLDADWGSEGELRDEEALGLDGTFYGNVGRFINHRCYDANLVQIPVEVETPDHHYYHLAFFTTKKVEPFEELTWDYGIDFGDVDGPSKTFRCMCGSRYCRDPRSPSTEDGQSSCKAKLGGWRTRRKTKKQQGGMAASVSFSRVRALPTWSSSVSGSGSGDDHLSCSVVAMSRPRSGVRAPARMMGNVNAGKGVFAPLVVVARNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADSKQRQGLIRLAKKNGEKLGFLA >Dexi7A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:7A:24735050:24735795:-1 gene:Dexi7A01G0014780 transcript:Dexi7A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDRFFEIEMVVGDGDLDEYGVVNNAIYASYLHSGRDVLLEKMGISVDYWTSTGNAMAVSELNLKYFAPLKSGDRFVVKVKPVQIKGVRIVVEHMIETLPDRKLVLEGRATAVCLNKDFRPTRVFPELSASLAEVFSCKVA >Dexi9A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:9A:6120089:6121231:-1 gene:Dexi9A01G0010070 transcript:Dexi9A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQGKLMGPITSPAVAASSFHRSAAKHLQQAVTLAQRNGISRRCLLTLLTSTAAMPDGSESRKALLQEYLKKSKDNKEKNDKERLDDYYKRNYKDYFGLIEGQVREKNEEERTESEKRILEWLDKNK >Dexi9A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:9A:728372:729469:1 gene:Dexi9A01G0001360 transcript:Dexi9A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDDGGSISQQPSCTTVPLGKSVRRALRAAMGIPKPKKRKDGEPKPKKKKKKKPPPAGKDKDGSSTPELMWMSLSKEEAAARKESVVRVVLSSGVVEVYPGVVLACTVIRKHPPGLCLALPDVFRNPHGALLRPLEPLFPGQKFLLIPWSTVEKLKQRIPESSIGAFADDDDDAGDGEEEDTTTGSDDEPTSSTETEVSEDHSIGGAGEEEEEAAAVGDGVSSSFMPACSARDYFEARDRWSACRFRRLVEQGIAVEPSTEDDDQPAADLHRNKGDIDKAHKKGKTTKKKKGKKGPHRKTMKRGERRPAAATPPAGLLRGFAMLRRTWEPSLPSVEEEENVVVSPIQSPARRPPEEEGANARGQ >Dexi9A01G0044750.1:cds pep primary_assembly:Fonio_CM05836:9A:48341013:48342382:-1 gene:Dexi9A01G0044750 transcript:Dexi9A01G0044750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSQAAAGHFGYGCGGDSPYALLPWCDQLGGLGDLVGAGGDGYCCWDNDMVDAWAPDDWEAASTMDQSSEDAASTDHHHQRRGNKDDETAPPPEPPAAAVRRKRRRAKVVKNKEEIETQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIKNSRSGSTSTDASPFAGFFSFPQYSTSTSAHHGCCSSTTTSLGSSSPSNNDAITSDDAAVGGLAESCRPAAVADIEVTMVEGHASLKVLARRRPKQLLKLVAGLHQLRIPPLHLNMTSTVHAMVLYTFSLKVEDDSKMGSVEDIATAVHEILGSIQEETAVM >Dexi7A01G0022620.1:cds pep primary_assembly:Fonio_CM05836:7A:30796114:30796326:1 gene:Dexi7A01G0022620 transcript:Dexi7A01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSMNPQGTLVVGAAWITPIIPKPARRRRGPSPPPTSPNRRLPPPEPRRLAARASGGERRMGHGEEDN >Dexi3B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:3B:3780241:3781991:1 gene:Dexi3B01G0005580 transcript:Dexi3B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKATIERYKKAHAVGSSSGPPLLELNAQQYYQQESAKLRNQIQMLQNTNRHLVGDSVENLSLKELKQLESRLEKGISKIRARKSELLSAEINYMVKRETELQNDHMNLRTKIEEGEQQLQQVSVARSAAAAAASVELNPFLQMDTKCFFPAASPFAALDMKCFFPGGLQMLEAHRQMLTTELNLGYQLAPQGPDAVVNNPHQF >Dexi7B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:7B:5967720:5969792:1 gene:Dexi7B01G0002860 transcript:Dexi7B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPAIMSGGKSSSAVVSLTILLCIEAAVLAVAARPILDAPRAAANGTCQSRVAPFGYNCEEHTVTTADGYILSLQRIPGGRGDSGQSAAGKIPVLLQHGLLMDGVTWLVNSPAESLGYILADSGYDVWIANSRGTVYSRGHTTLSSSDPEYWDWSWDELASDDLSAVVQYVYARSGQQKMHYVGHSLGTLIAFAALSERWQLGMLRSAGLLSPIAYLERVSSPLARAAADVFLGEALYWLGLDEFDPTGETVHKLVTDVCSLPGINCYNLMSVFTGDNCCLDNSSVQVFLAHEPQASATKNMIHLAQMIRRGTIAKYDYGNAADNTKHYGQATPPPYDVSAIPDDFPLFLSYGGKDSLSDPQDVAHLIRSLQSHDSDKLTVQYLDDYAHADFVMAGNANERVYAPLMAFFKLQEK >Dexi6A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:6A:27872016:27872971:1 gene:Dexi6A01G0020340 transcript:Dexi6A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLIKGGVIQKDQSQLQDAGIILSQIGFCSVVCDFFAMRVFNSFEDEFEVDIWSTLSKELGSTLSECVVALASFNEGARHFACTGVFIDCYPARILTSASLVRRSDDKSKVYDNLRIEVRLQNKSRVTAALKHYDLRYNIAVVDIICFRSARAIELEKDIPFAPNTDVVAVGFCFKDCKLMATKGC >Dexi3A01G0027820.1:cds pep primary_assembly:Fonio_CM05836:3A:27609357:27622225:-1 gene:Dexi3A01G0027820 transcript:Dexi3A01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNEEIEQNIQRTREIESEIVKPAEIGLLKVTEANLEFQKVALNGIQKRSSDKMERFIDKSQEFQANMFGGSNEDLVLLLKEKGSLEDESENLKMKINTIHSSSKEYIAEILEEEALKLINKYRETPGDKIKHSFTAATYYEEELAKQQRKLFKIEQCGPDMLSLQDCRLADLTPADLGALLATLDQTLLKAQQRIVALGGHAVDDEDDGDVPAATAMVTAAPTMPLAEYNSFDLAFTTPDSGSMVTQYYYPTPLEMLPQPVPLQQPCLAYHQMPLQSYTFQMPPLTLMSPLDHLGINGTGTTMDFTPFATNFANGAATVPGFYDDFMPGIDAIGGGVYVDDYVAGGQDFATGHGSSGYQHEHHMSASVWPMSTMNNDPGRMDDAAALQERNDLAGLPGSSSTSSSSTTGFEGGFQKK >Dexi3B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:3B:1141089:1141733:-1 gene:Dexi3B01G0001600 transcript:Dexi3B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAVHRRLSTYLLPRLRRTHAQRNLFFDSAARTLAAATAALRVRLYGTDDSAPTRAVLALKRRPRLVGGVSRVEEIEEPLDPALALACADDPARLGGVDSPIVRLVADEYGVGGDAAPFVCLGGFRNTRAVYELEEEDGEGKGLVLELDETRFDFGTSYELECETAEPDRVKEVLERLLTVAGVPYEYSRSNKFGCFMAGKLLP >Dexi9B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:9B:15334097:15334365:1 gene:Dexi9B01G0020620 transcript:Dexi9B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSSRMCEPAARPPVTDPLAVGSEVRVVATGEVRRALRRAGKKVAGWRPAPTVVVGESGVD >Dexi3A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:3A:11533753:11537677:-1 gene:Dexi3A01G0015510 transcript:Dexi3A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCPQRVRFSTARSGWAAFLKENTPPVTSSSVQPITIIPPLGKNLASSLCGGDRQATPRQVLRYPWMAHVTGGGDKVSEEEDEQSTMKIGFGSVPWMLEEPLEGPFSELKLIPRERKMKLKSAQKMRKHSTTRPYELLLESKYVDSVPEFEEDWDCVFDQDQECGVNLDQEEECGSDPELDPDWNCCQFDPDLDPDWNADYVVSWDEHVVEGLHVVRLYEITEDDPVNGLPVRTRFCKFNMALFDFEKESKASRGPPILELTSRDHIKLAGSVNIVSFKILKSDVDYPISVFGTVLVRDQFDYKCMYLFKRGKDNAQVIHSKKDTLALTDPCRGLAVTNGSYEIQVKVEWTAILDRREKGVLSNSSALEAPDPTVACDDDVRFARTKTCGAVTHAGSLACLLTAAAPERTRMHAHGSPRLQLATCTSNHASVTYVLTAVVAVPCPQRWNWWRVPASQVHYAPSNKRRFGYRIQNR >Dexi5B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:5B:2649329:2655705:1 gene:Dexi5B01G0003870 transcript:Dexi5B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAAAAAGRCLLLSRPYPLRLRLLRAALSTTAPTLTPTSTPPPPPRHELLLERLRLRHLKDASSSSPSPPSLPPRGKARGGERTPQQGKKVEAAESFEELGLGEEVMAALGEMGISTPTEIQCVGVPAVLGGTSVVLGSHTGSGKTLAYLLPLVQAHRTAHATDHDLNATDAFQRHATDLLSLAWTSRLLNAFLLCLKEFCALPFGPGAVNSVRRPLDRLVANSFDCNAVRDVLDLVHQWHKHVAIATVLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVSGGTRLRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRASKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVATARHDFIKLTGSENKLEALLQVLEPSLAKGNKIMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVQNLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVALATRIEEAIKKNESLEALTTNNVRRPTNPQSASTKGRTSRSVKTSSALKVVNQKGRRGVVLSGKSSRTPKDTTSTRRRSPPKIQPKATKSAAPRKAKVSKPTNSVKVSKGKAKPQGRKAKGDILNKLGTKLSVVGFRGRSSGKSAQSS >Dexi1B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:1B:26416388:26419086:1 gene:Dexi1B01G0020320 transcript:Dexi1B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACDKPKPSYRKGLWSPEEDQKLRDYIIRHGHGCWSALPAKAGLHRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHATLGNKTDNEVKNYWNSYLKKRVEGKEKPSTPAPAATSSGADSEDSQCAKPRAGSAQEAADRPADSGSSEPRESSSADSSCLTDPPAAASACRAHAPVAPKVMFADWLDMDMDCLTGGGPLAAAPGLDVVGAGDQQQVMSQQGSVQVDGPSGVDVSLHGFGDSGASCWEFQEHFDDGIDHMNTTGFCDLLSMSEYFGLN >Dexi5B01G0023960.1:cds pep primary_assembly:Fonio_CM05836:5B:26052717:26056579:-1 gene:Dexi5B01G0023960 transcript:Dexi5B01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSHSLCIAGLPSIALFCHLLGRLLQLLSVQMGKHLHPHSFEWTSTHSLGDRPIASIAFHARGEILAVASGHKLYIWNYNKRDESSVPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLATSSGYSNYPSALFGDILASRAIRAAHCLTSIQVYRVSDMELVSVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHSGADTTSMGMGCFIEENMLEAVSAPPPSPLLLLSYCHLLA >Dexi4B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:4B:17890612:17891211:1 gene:Dexi4B01G0015900 transcript:Dexi4B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTASPSRRLGVGARFKLWRLLRHGLLAYGVDQRQGVGRTPHKRGGHARGKKDAPARRGKPELCARGEGMRAARAGNRAPIHPRGMLQRGKGEREEEEESEEREEENRGPTWNTVAPLASICSSSSVVRLSAVLPSAVLPSACPNRCSASGLCSFRPWIEARDPEHGLRPALRPASVPSSAPTPHLHGLRPALRQAAD >Dexi8B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:8B:26289901:26290653:1 gene:Dexi8B01G0015510 transcript:Dexi8B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPEEFFAKGLIEQSPPSPPVFLDIPPKPSGSTESQHHIPDNMMLPHISRVLFEDDNIDNKLNDDPALLQAFLKGMEDANRFLPKDNHFRRDNLVNQIVTQSSSHSGTKKKYNKDDHQEEARTSETVMTMKEAEDSSANDILDEKMMQAYQTCIWGMNKLRVTMENKHRKGSGGE >Dexi8A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:8A:11835975:11836733:-1 gene:Dexi8A01G0009200 transcript:Dexi8A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVWKVRRRIQQCVSKKENLFEGMKTVDVLERLGVGYHFEEEIAIYLDVLNRNPVAVDDLYAASLQFQLLRQHHYDVPCEILEGFMDENGNLKDTLKPNVDALLSLYEAAHLSKCHENILKRAIVFTTNRLSSLANGDHLPQPVRDKVLHALASPTHRRIKRLEAKNYISIYDNDKESNQDILELAKLDFHILLQMHRDEVMSLSTQRTYII >Dexi9B01G0043960.1:cds pep primary_assembly:Fonio_CM05836:9B:43856214:43856438:1 gene:Dexi9B01G0043960 transcript:Dexi9B01G0043960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYQTLLLICLLVSCYAAHAKQTKAPTPWGIQAPKPGSAIKSLSLERIPLPGVTGAESLAFDRRGLRWPRPQV >Dexi8B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:8B:10463702:10466243:-1 gene:Dexi8B01G0008060 transcript:Dexi8B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRPLLRRILRLAAAFAVASCACFPAPVSGIRQCRKSPVDEHVGLEEERKDIGFIEPIVCRSTVQGRHLISDDNGNTSSFVMYVLQFQSIHGHIAAQQKGTAFPASVGCKLDSQCCNSYEYCVSCCLNPSKTKKEDVLKLKVAKPVTAGTYTDVFDFCMGRCRHSSASVVLPFLPPLGVHENAYASDFHHCFSQKQNASGSTESSSVSKLLGINVLVGRPGESCSMVCKVRGQSCVPSRLSVLNKCEM >Dexi4B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:4B:10653315:10654824:-1 gene:Dexi4B01G0012720 transcript:Dexi4B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVLCAANHVPLTPISFLQRAALVYPDRPAIVASSGSGPGSSPRTWRETWARCIRLAAALAGLGVSRHDVVAVFAQNIPAMCELHFGIPMAGAVICALNSRLDAAMASVLLQHSDAKVIFVDLVLLKVAEEALSLVACHHDEYEDLIGSGGGAPDLRIQWPGDENEPIALNYTSGTTSRPKGVVYSHRGAYLNSIATVLMTGMVGTPPVVHLWTVPMFHCNGWCLVWGVAATCGTNVCLRKVTAAAIFDCVARHGVTHMGGAPTVLSMIADAAAEDRRPLPGGRPPVTVKAGRAPPPPRVLLRMEALGFHVIHGYGMTETYGPATACAWKPEWDALPPEQRASVRARQGLHHLGLQEVDVRVLGFKIEKNSEPTELGEFWKFEKIRTGIQVN >Dexi4A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:4A:25137221:25143251:1 gene:Dexi4A01G0021720 transcript:Dexi4A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFRLRVLRQALRLSLRGEGSVGERTLREHPHFVIAEVGALRVGGSFRGEEVRSWSSSVSSPSIVLFDLPGCSEVKSEAAGASAEGAPARALLPPFRSLIRIDAHSFLLPIPQQPQVILLKEGTDTSQGKAQMVSNINTCTAVVDTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIARSQDSEVGDGTTTVVLLAGEFLKEAKPYIEDGVHPHSLIRSYRTAGHLATEKVKELAVSIEGKGLEENKSLLAKCAATTLSSKLIGGEKEFFASMAVDAVLAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEISGAKLVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVINEFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEVSKYLRQQARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHESGRFPLRLFQYLSLRAHKAMLLLVLWVVGARVVERCAAVVEGV >Dexi5B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:5B:2633927:2635006:-1 gene:Dexi5B01G0003810 transcript:Dexi5B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLTVAALLVLCIGSMLGSSSAALPSDMSIVGYSPEDLSSHGKLMELFERWLSRHGKAYASLEEKLRRFEVFRDNLHHIDETNRKVSSYWLGLNEFADLTHDEFKATHLGVVISMADEQIETPNRAYEQVDASSLPKSVDWRKKGAVTAVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTALSEQQLIDCATDGNHGCNGGIMDNAFSYIAHNGGLRTEEAYPYLMEEGSCHHRRRSEEEDDDEQVVVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRSFQFYAGGVFDGPCGTMLDHGVAAVGYDRDSIIVKNSWGPTWGEKGYIRMRRGTGKRHGLCGINKMASYPTKN >Dexi2B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:2B:23770014:23772566:-1 gene:Dexi2B01G0014220 transcript:Dexi2B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRPPPGAGDGSFSGKPDADVIRRNKAITVHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDTFSYNTLLHALAVSSSLADARSLFDEMPVKDPVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRIQEARGLFDSRSEWDAISWNALMAGYVQSGQMAEAQEMFNRMSQREVVSWNIMVSGYARRGDMMEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPKKNAVSWNAMMAAYVQRRMMEEAKELFDAMPCRNVASWNTMLTGYAQAGKLEEARAIFDIMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNVEEAHNAFEEMEERDVVSWNTMIAGYARHGFGKQALEVFDTMRKTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHCDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWSDVDKMRVMMYERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKEDIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPTGRPIRVIKNLRVCEDCHTALKYISSIEGRLIILRDSNRFHHFRDGSCSCGDYW >Dexi9B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:9B:2801503:2803870:1 gene:Dexi9B01G0004890 transcript:Dexi9B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNGHYELHPVDHPFEAIGDYISAAPMVPSATFNDLGHQYFAEREIKKPIFDHASSIGHRVGSQLPLLTPKTEVPHLIESGLGSYKAYEMNGRLAPRKKASSNSLKKANVVKGQWTPEEDRKLVKLVEQFGLRKWSYIAQMLPGRVGKQCRERWHNHLRPNIKKDIWSDEEDVVLIEAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRSSSKGPKSGTLLQNYIKGLGIGPSKNVVAAPVTQPTLPPPSSPPATPGADSPKFDEMLDHSPSNILDPQMILGVVHEYNCSEARSCEELLAPIMCEEFSVADMCDCLFDTKEDAFHQVYSVEDDDIDMSYIFSHLDHAIKADPEIDMEMMWDDDALGCVVEPPAGSAALSETVLVKEEMDLVE >Dexi5A01G0030910.1:cds pep primary_assembly:Fonio_CM05836:5A:33763131:33763778:-1 gene:Dexi5A01G0030910 transcript:Dexi5A01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRDRMLLGCEGSGAARRATYRPTVSAPGSWNREKRDKRDGGKREGERGGEPHYTAADHERRGTRRRLAHTNQAHMRRGTPDTNCSLASLLAHMVSAYLANGDWRTEEERNNENVWRTGTYIHLGTLLMNCSRDQITALPAGAPGCIVACLVWIHWDKLMRRMVFT >Dexi2B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:2B:873376:874778:1 gene:Dexi2B01G0001390 transcript:Dexi2B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPNGPHLAVAGGGAGRVEGGERGGDEVGRVVVCAAVVVAGEGGGDLAGGEVADEAQRVVERAAVALGVPAAEGGGGDEAVPALADEGGAVETRWVVGRAADEHLLHGVVHQLRHAMHRRHGACLLASSKP >Dexi5A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:5A:22520450:22523763:1 gene:Dexi5A01G0019030 transcript:Dexi5A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNPRIVGHGERTVVLSHGYGGSQAIWDIVLPHLSRRNKVLLFDWDFSSAPDAGEEHYTFSRFADELVALMDAMKLSGAVYVGHSMAGMVGCIASIKRPDLFSHLVLVGASPRYMNSEDYEGGFDESDIEAMLSTISSDFLAWAEGFVPLAVGTTDDHPSAALERVARSFFAMDPRVAHGLARMIFLGDQRAVLDDVAVPCTLVHVSRDFAAPPCVGRYMEARLLAAGCAAAMVTIDSVGHFPQLVAPDEMLGILDLVLGADCDEE >Dexi9B01G0035270.1:cds pep primary_assembly:Fonio_CM05836:9B:36986420:36987594:1 gene:Dexi9B01G0035270 transcript:Dexi9B01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKMAVASSVRPTLQNPSSTMVERLLEPADVAETADEAVVGADGGLAPELPHCVEHLHDLGDAAALAEAGHDGRERGDAGAEPAGQHLVEGELGLVGRALAAEALHGGVEGVGVGGDGHGAEQRDGRGVVARACQRREDVVVVGDGERRRVGVVTLQEVEHLHPLLHGQLHDPPREVPGVEARRPPAPPVAVAGRSAGARVEDLRRWCRISVEGAVPVGVEGAEELGFVVVPGRRHAVARQSGGSRGWSREGAAMVGQGLQGGGGAIGEGRHARGGLGGLELVGRGQWEGGVVVEGSHGGWEACAGSEPRSRPEQMWLGSERTRERHRERLSSATRHGGVGDGEERDFCMACFLGARVLLSVDVTFGSVE >Dexi7B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:7B:15774302:15776443:1 gene:Dexi7B01G0007960 transcript:Dexi7B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVFIKELELLTKTMIQQGRFFSAQPLAGFDKAWCAYLYRFVAWKVKDAKVLEEDLVRAACKLELSMMQTCKLTTADGQPPSDLNLTHDMKAIRQQVADDQKLLREKVQQLSGDAGVERMDSAISDARSHFFQAKKKNGSPAATPPPVANVSTPLMSTDSSSAAQQQTTENEQMVNEMLHMDDTAFGASKSDGAGTAEKDFKKKVTEAMENAFWDMVTDSTEGDKPDYSQLVSLIKEVKDSLQELAPKQWKEEIADKIDLEILSQVLGSGSQDAQYLGQILQYSLDMVRKRSAAAKEDEMKKSHEKLLNELAASSQGSDNGGSSAFVIAVIKGLRFILEEIKELRAEVSKARIQIAMQPIVKGTTGVEYLQKAFTDRYGPPAKASESLPLTLQWISTLKSVAEQEWSEHVASLSTVPSAVQAAPAIVHVLRAGHGASSAGQPSSLPAAAASGQPECKGERLDKLVRVGLLQLVSSMEGLQMQSTPECFHINLMRLRAVQSHFQQVIVIATSMLILRQVLMAESPKATPAELENATSELFKNLMKILDGSPDAGTEEIVEAMVAASASVGGSPSVEKVQARRQMIGRVLLKSLQPGDAVFKMVSRAVHCAFRGVVLGGSSTKGQKLADAALRRVGAVKLVDREVEAAEVVIKVATVSEKVHGPWYTALM >Dexi2B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:2B:2649286:2649543:1 gene:Dexi2B01G0003040 transcript:Dexi2B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGREWLRYHRPHRCAMLLPATTPSRAAAPNRFRPACPAAPRLATLLWTAVPGQWPLRLATCRVLCSLGGALQGSSSLRSHWS >Dexi8A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:8A:29362703:29370206:1 gene:Dexi8A01G0017650 transcript:Dexi8A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEELLRKIRELEDGQAELKREISKLVPESQSAQPNSARRPPTPQPSPARRALAALPSSSSRLQRVGRVGLTDRQHIRALHALGQAVHIIAPGGKLLYCSLDSQQPVQSSIASKITTLCTVFLIFRITPQATKVTSRVRSRIKTSQNCNEQYSGSSESHCSEGDSKEESTSSGTNTPAGDILHGGSVKGENSPGKSSKSSSDDSGEGNERLYKISSKAEELLAKKGISWPWKVHEHNGPSKSHMNSSQSLEKQENDQLHQVGPESIVIPDYQDLESVQESKYEVTGSWWSFNNDSFSSMGSSNSTNSSAIERVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHGQWYASDVAVKVFSKQEYSEDMIDTFRQEVSLMKKLRHPNIILFMGAVASPERLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVHMAIDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLRTKSGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSDTDPQWASLIENCWDSDPRKRPSFLELLDRLRDLQKQYNLQAQMQRASADAPTKGGAKISVEDC >Dexi8A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:8A:24194584:24196598:-1 gene:Dexi8A01G0013900 transcript:Dexi8A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFVSGKKRNTEHIITMIHPVNKKSHAFIQHSMMRNSCGTKDETISSGVAQEAFHHVLSKLMESYKHSSDAKDHMERMEMAQIRLEAALEESQRWSVTSVPLLRWQNKLKRAAQECDHTLRRCRRRVQEEEEERSSLPSRVARFAMSFVTSIMGGGGDDELEGSVVCRFERFADGASEFLRYVELGGGTPRQFMFSDGALVRHLLEGKGTKNCFVDGGQSLSFILQPFILPGHGMEAALLLLLEDDNSPENNFLLTLSLRLSESTDIVGSVVRCLELFTPYLSSTTEAAKTKLTQLPTQDLLWVPYAHSVYGHDEPGDNLDIIFAKQARPNPLCCQQVYQSDSMQRHVPSSSTALREPLLSDI >Dexi9A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:9A:15886481:15889625:1 gene:Dexi9A01G0020860 transcript:Dexi9A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLILIQDPDFPVEGMSSTTSIQPSTSSDGASTSAGETARPPDSDTNFAGPARSRLSLQLDQRSLHFSINAWVLTVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAALYRLSRAGSLQAIQAWRHHVTSSKDFIPFMYCLMLVTSKLHLKLALVPVICWVLEHVVRFLRRHFTNSSLYRTYLDQPCIWVEANTTAVHFLRSNAEILLGFLLIISLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKLGRTINPYIHRYTPFLHDPINAGMRWWFR >Dexi9B01G0034990.1:cds pep primary_assembly:Fonio_CM05836:9B:36760997:36763241:-1 gene:Dexi9B01G0034990 transcript:Dexi9B01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFVIGIIGNVISILVFASPIKTFRRIVRNKSTEDFRWLPYATTLLSTSLWTFYGLLKPGGLLIVTVNGAGAALEATYVTLFLIYAPKETKAKIVKLVLAVNVGFLAAVVLVTLVALHGGIRLVVVGVLCAALTIGMYAAPLGAMRTVIKTRSVEYMPFSLSFFLFLNGSVWSAYSVLVKDLYIGIPNAIGFVLGTAQLVLYMAYRKAEPASRKDGDSEEEEADEEEGLAHLMTGQVELAHRRAPLHKGLSLPKPSGASPRNGLGSIIKSISATPVELHSVLHQHGHGHGRFEPVKKEDAEAN >Dexi5A01G0029290.1:cds pep primary_assembly:Fonio_CM05836:5A:32405636:32406015:-1 gene:Dexi5A01G0029290 transcript:Dexi5A01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRAHAMKWGLHPLVACPSRRPVQSGWPFAAKVRPMAGNGVTTGSPSLPPAGCPSIHHTCSNPWEPSCVPMELLASVLFSAVPSVRRARVNKPPPP >Dexi9A01G0039920.1:cds pep primary_assembly:Fonio_CM05836:9A:43754673:43758784:-1 gene:Dexi9A01G0039920 transcript:Dexi9A01G0039920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQGGGAASSGGGFFKSFAAGMRSWGTAVHKSVNGLLGYEGLEVINPEGGTDDAEEEALRGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLEKADQCEDPYMRMAYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKIWRIAPAPQNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRTREAKGEQFTPRWFNLTDVVAPTPWGELDVYEYNGKYTEHRAAIDSSSAADETDVTSIEFNPWQYSSSSS >DexiUA01G0010260.1:cds pep primary_assembly:Fonio_CM05836:UA:20442926:20443806:-1 gene:DexiUA01G0010260 transcript:DexiUA01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALSCGLGSLGLSPVLNQRQACRGPDVLSGLDFPSDDACFAGLSPTEQVQNSPLQSMASLESLENRLASEVTSCGGPGVDAWAWDGGLSK >Dexi1A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:1A:3398563:3401415:-1 gene:Dexi1A01G0004660 transcript:Dexi1A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSRFLPSASRRGFDLKEACWSGSLTFQQAVSTSTANLDEGSSQKKFASYTVFKGKAALSIHPILPSFSKLESGGSRLSRNGSVMLTFFPAVGQRKYDYTKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSITPLGSDSGYFVNITVLNNMQKTTDRLSVPITKAEFAVMRTTLSFALPHIIGWDQVLTHHPAPPASSKPRVERPHPESEWER >Dexi9A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:9A:8695708:8696063:1 gene:Dexi9A01G0013510 transcript:Dexi9A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGERRSSAQGATAAVALRRRHRRPRVEPRDFRQLVQRLTGAAPGPAAAQMAAQQQQQRVMAAPAMAAESMRLEEAASAAPEQFDYASWYSAPLLSPAYGASGYGGHHGSGPLL >Dexi8A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:8A:22445003:22446376:-1 gene:Dexi8A01G0012720 transcript:Dexi8A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPMQALITLLLFVTPLIGSAAPPPSGYRFTLTHIDSKGGFTRSELMRRAANRSRRRHRAMTMTPSYSTASSSSDTRPTRLFSVEAEYLMELAIGTPPVPFIALADTGSDLTWTQCQPCKLCFAQDTPIYDPTMSSTFLPATCASDTCLGLPVWSTNCSATGATSPCRYRYGYGDGAYSAGVMGTEALTFGAGGGANSSSSDVVSVAGIAFGCGVDNGGLSYNSTGTVGLGRGSLSLVSQLGVGKFSYCLTDFFDTNISSPFLFGSLAELTATGGAAVQSTPLIQNLERPARYFVSLEGISLGDTLLPIPNGTFDLRADGSGGMFIDSGTTFTILAEPGFGVVVEHVAGVLGQPATAVNASSLGIRPCFPAPAGARQLPEMPDMVFHFAGGADMRLRRENYMSFDEEVLAFCLNIVGTKPTWISVLGNFQQQNIQMLYDITVGQLSFVPTDCSML >Dexi8B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:8B:3957334:3957889:1 gene:Dexi8B01G0004440 transcript:Dexi8B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLITTTLPLFLTLLAALTSSTPHVVTAGDNHDGDLTHIHLYLRLGPDRRSSPLVGRYQGVFFGTSLEVGAGYVTAITLVFTAGEHAGSTLSVQGPVLGFTGTIERAVVGGTGKFRLARGYMLFKMVSKPTPETDVNEIHVFVLTHPAGKY >Dexi9A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:9A:13637775:13641170:-1 gene:Dexi9A01G0018580 transcript:Dexi9A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRIISLAFCSTILLPSSASVSRLPPNKPLTVGETLVSDDGTFALGFFSPSNSTRNHYYVGIWHNSIPKDNVVWVANRATPITDPSSATFALTTRRSNLVLSNTDGQLLWMARNLSASESNITGEATLDDTGNFILRTSEGVVLWQSFDYPTDTLLPGMNLRITHNRHALQRLVSWKDPQDPSPGSFSYGADPDEFLQRFVWNDSRPCRRSAVWSNYLVVGPYMESVKTTIYMTVSRIDGEIYMSFGMPGGSSTVKIKMDYSGKIEILVWNSNMLDWCLNSFEPANSKDWIGGKFSEGCRRKEPLRCGRENWFLTLTEMKIPDKFVYVKNRSLDECSAECTSNCSCTAYAYANMSTTAINGDDTRGLLYLHQDSRPKIIHRDLKANNILLDDEMSPKISDFGMARIFDGNQQQGNTNRVVGTYGYMSPEYALEGVFSVKSDVYSFGVLILEVVNGTKISSMHITEDFPNLIAYAWSLWKDGNTRDFVDSAIVETCSLDETSRCIHVGLLCVQDNPSDRPLMSSILSILENGDISLPPPKQPIYFAERNYGTFGEVEAIMNSANTVSTTVLEGR >Dexi6A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:6A:21569543:21571862:-1 gene:Dexi6A01G0014210 transcript:Dexi6A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPFSLSASTVPARLRAAAVPAGARGRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWAASEGDRVNKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVPLALAKAKELSNGQPQQAAAPAPAEDALATPPPPPTPAAAPAPVSAGTKGIASPQAKNLAKQHRVDLAKVTGTGPYGRITPEDVEAAAGMQPKPKPAAASPAVAAPSAAAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >Dexi4A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:4A:24227284:24228559:-1 gene:Dexi4A01G0020550 transcript:Dexi4A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVADPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDGTTGDLFRKPSVVAVDLRKEMVDYIVQRSETFIADALIESEANQAPENEMPDDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTKFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLADHKEQCSFRPVTCPNDGCRAKVSVRCMDDHDAACPFKVLQCEQNCEKRLLRRDMDRHCVTVCSMRPMKCPFSCDSSFPERDLEKHCSEFLQAHLLKVLKVIHKKVHSEEELKELAQKIEKYDEHGKLAKALDARPLTNVVKDLEAKMKGEPLS >Dexi3B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:3B:11966640:11967299:-1 gene:Dexi3B01G0016430 transcript:Dexi3B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDDFTFAAAVPAILVAGGVGAFHGGSVGPLLYPVFGRPRSPPRPAPPPETATARVPLGRLLLVDREPTPAAPPLPDDGLDSVPAEMYCPWSPGWSPAAAASPARCKKSGSTGSVLRWRPRLVGRSQSDGKEKFVFLNTTTTSAAASGRNGGPRSGADGGVAAAAAGLGGHATWSHYAKGGGVGNGGARRRSFLPYKKDLVGLFANAAVFRRSYHPF >Dexi1A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:1A:1711796:1712239:-1 gene:Dexi1A01G0002570 transcript:Dexi1A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVSHLHSPFLLIPSPPRPHPPLHLRFLLPSPPPLGLRRRFPLLAAAAISTGGGGKEEAARKAEKARQLQKRVLVGVAIGVGAGGVVVAGGWVFATAVAAAVLAGSREYFELVRSTASGGVTPTPRYVSRVCSAICAVMPILTL >Dexi4A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:4A:11013793:11015055:1 gene:Dexi4A01G0012470 transcript:Dexi4A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTATPEPSRATVPLVHRHGPCAPWQTSEEPSLTERLRRSHARANYIWSRMFKGMVNTLDEANVTIPANLGGSVDSLEYVVTVSLGTPAVSQVLLMDTGSDLTWVQCLPCNSTACYPQNDPLFDPSKSSTYATIPCNTDACRNLTTDGYGDGCTNADTLCAFAIEYGDGSYTRGVYSKETLTLAPEVTVKDFHFGCAHDQGGPNDKYDGLIGLGGAPESLVVQTSPVYGGAFSYCLPAQNSEAGFLALGAPSVTNTSGFVFTPMTVEQETFYTVNLTGISVAGKQLDIAPGVVSKSMGMIIDSGTVITELPQTAYEALRTAFRSAMSAYPLLPPNQGLDTCYNFTGLSNVTVPTVSLTFDGGATIDLDVPSGILLQDCLAFVGDGGDDSGILGNVNQRTFEVLYDSARGQVGFRPGAC >Dexi2B01G0025130.1:cds pep primary_assembly:Fonio_CM05836:2B:34457302:34459189:1 gene:Dexi2B01G0025130 transcript:Dexi2B01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLVGIFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGSTGGMSRDLIDRIPKMRFSAANNRDQETDSSCCSVCLQDFGAQQFVRALPHCQHVFHVRCIDNWLLRHASCPLCRAGVHIDHIHM >Dexi5B01G0031940.1:cds pep primary_assembly:Fonio_CM05836:5B:32599639:32603365:1 gene:Dexi5B01G0031940 transcript:Dexi5B01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVKKVFSSSDPDGKEAKAGKADKPKSRRRWPFGKSRHSDPSTSTVSGIAPVAPLPPPPLQPTQPHSQEIKDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTTSAQKMPVNSGEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMARVQTQIYSRRVKLEEEKQALQRQLQLKHQRELEKMKDENLDLDIPAF >Dexi3B01G0034870.1:cds pep primary_assembly:Fonio_CM05836:3B:37525909:37526431:1 gene:Dexi3B01G0034870 transcript:Dexi3B01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALVYGTRDSGFDPQRTHLNKEGSRPRAQEESSSRRTQPPALRESRRAHQQKRNPRRSLRRINGGYGGGGVGGVNDEKKWANNYVSAPPLPLSSRNQSNRGGFYRFAVASRK >Dexi3A01G0023290.1:cds pep primary_assembly:Fonio_CM05836:3A:18984462:18985151:-1 gene:Dexi3A01G0023290 transcript:Dexi3A01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTITRSSRKGLVLVALVTLITIVELSANLLIVLLESSKVLTGLRELTLLHALTDVPVNEGTLGVHEVELVVNAGEHLHHAGGVGDHAHGALHLGKITTRHHAGGLVVDTALEPSRAPVHELDGALGLDGGHSGVDVLGDNITTVHEAAGHVLAVAGVALSHHGGRLKGAVCDLCH >Dexi5B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:5B:768330:772131:1 gene:Dexi5B01G0001200 transcript:Dexi5B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPTAASASAADELPADLTAATLSKKQQKKDARKAEKAEKAAQRQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWTKIGDLDDAAEGRSVLIRGATQTIRPVSKKMAFVVLRQSMGTVQCVLVANPDTGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEVQVRKIYCINRAIPTLPINLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGYERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLQYLEKTLKLTYEEGIQMLKEAGIEIEPMGDLNTEAEKKLGRLVKEKYGTDFFILYRYPLAVRPFYTMPCYDNPAYTNSFDVFIRGEEIISGAQRIHTPELLAKRATECGIDVSTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >Dexi7A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:7A:3840110:3841399:1 gene:Dexi7A01G0001530 transcript:Dexi7A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINFVVTKSSPPELVPPSKPTPTGDLPLTSTDKSRLFVPFTSLKVFERPIHEPAETIRCALSKALVYYYPFAGRLAARTGYDVHIACTGEGVAFASATASCSLQDVRFLHAPPVTEFSCGGYVVAVTWNHGIADACGLAQFVQAVGELARGLPLPSTIPIRHDESLPDIPQLVSVIPRRSPGFEFTHTDYAYTDVTIPWSFVNRVKEEFRRHAGVESSCTTFEVVTAAIWQCRTRAINAKPNVPAPLMFAVNVRKHVGSKDGYYGNCLYSQLVEATSGAVANGDIVDVVRWIKETKMRIPEYLRRSEDEMELSKEVIATLCGGYSMLSVSGWSGIGLDAVDFGGGRAVRVLPNMERTVVPSCFPCLPYSRNENGGYGGANVVAFCVFKDHVEQFHTELANLR >DexiUA01G0002820.1:cds pep primary_assembly:Fonio_CM05836:UA:6075603:6077920:-1 gene:DexiUA01G0002820 transcript:DexiUA01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFYAGASPQKQQHYQRRRQQIRQERKSLPIASVEKRLVDEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQDGKVIGITQPRRVAAITVARRVAEECNDQLGKKVGYSIRFDDSTSSATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANGNKNGKTLPDVRGHSQNLTQKACQGTRCAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLISERARLLPPESSKIWTTPIYSSLPSEQQMNAFKSAPAGNRKVNNNGY >Dexi1B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:1B:18815988:18821770:-1 gene:Dexi1B01G0013280 transcript:Dexi1B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHQQHMAPPSRGSVNGFPHRKLEKESSGRHDNKTNLVRSSSGGLSGAGVVLKMAQVIKDGSTKGQRYAADIIKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKSFTNVPSSTHHVDSFNRTVNIDPKDSLACSSTMGEESSSYTFDNTDSSAIIQANNVSQPKFDDPSNKPFSVGENRLDKKLSKDNNESLDNRKLQPENNLSAGVRPLISEGLDGPPSSSQPSSSGQGFKSPETPDSTVSVKPSVMEPMTSSQRPGSSTSSTSERIAANSVASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPSVSLRPPNPPASDASYYYPNNMPAAPLGPGLPVGMTFPPAYGGQPVMYNPQPGTPPQGYMHPAGPQ >Dexi3B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:3B:14022810:14027311:-1 gene:Dexi3B01G0018890 transcript:Dexi3B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPPDDADESHRQAEGEELGNDDDGEHGASSEQAPPKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLTDYIPDIPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQRVYFGPDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNSIFGIQNGYKGFYSSNYLPLTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMCATLASRDVDCCLIPESPFYMDGEGGLLQYIEKRLKENNHMVIVVAEGAGQDLIAQSIATSDQQDASGNKLLLDIGLWLTHKIKDYFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTYIPFYRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDEASEADRLANRPPLPTVFNHRVASCFEQSASNSSNGEI >Dexi7A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:7A:25141740:25145113:1 gene:Dexi7A01G0015310 transcript:Dexi7A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDHRCNFVGSCGSSPNHASSHRGDEASCPGLLPKGCWRHSPPGNGAYGDDDAKGVQKPWRQKTLPQPVARHIMACHGLAENQAPHDVQRNHDLCARRDEERSRAHVASMLEDMVCSEPTQEVSSSKPWRRWMVQCSGTLKALSWQQRHIATTT >Dexi6A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:6A:2570607:2571210:-1 gene:Dexi6A01G0002750 transcript:Dexi6A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIGIVRYGERARALWRANLDNIKPQDSSGSADTEETIKMKDDIVASRIRRRLERKDLNDDGEALLLAQDLIMCKVVEMELSLMYELLYIYQGEHLVRLGTLLLHTYLIRFTSPLATAAAASLFWLHREQGGGRPTRGSFVGITYALLGVNFLLDMTWLLRALGSTWTYANLV >Dexi4B01G0021970.1:cds pep primary_assembly:Fonio_CM05836:4B:23776809:23777629:1 gene:Dexi4B01G0021970 transcript:Dexi4B01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACQIAEHTRNYLFAAFVAGQPWTEEASVKGRRPHLPDSNEVNGGLAAATSSKVSSVCECDSLARLLERFGSRIISMDTKNKGGLGQIWLGASTWYHIVDACEGRLASTATTQKGIVGAEVERIDHQAALEHALIKIMARNSIKGSKCHGPRDMRRAPVDTSPSSSQLPSRSNDRQLLDWVRR >Dexi7A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:7A:25303949:25307701:-1 gene:Dexi7A01G0015470 transcript:Dexi7A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNASAEAEARSILERAAESSFPPLHAVHHLLSVGACVRCILRLLGAYSSACSCASLTASVLHSFLEEHDDSIKGGSCSCLSADDSYCSVCLGVLLPAWHRDECLETPHTDISSMISGVVQKEGYQVDDFSLEISLPPVIAANERAVRLYMKQKFGNENWFKDKMFPQQIISVKEALRLLMVPTLEKQMNAKHGNGSFRIRLTYTHDDASQKLYSLLPNEHSRKRKTDVRNGSDTSSEAHKRNSADGNNKQISESDSFIYKTLEGIKDQEFCNLIQLPPEKVSKPCHLMISCMRSPIYIGGRYLKVFLFPNYTILHCQLSRNVSQSCWIIDDERMGEASVEVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINSSEKKYVRVRNLKLVGNEIWTMMREGEAEKQKQYAALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMEIEKVEGSSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDFLQ >Dexi1A01G0022790.1:cds pep primary_assembly:Fonio_CM05836:1A:29392311:29393662:1 gene:Dexi1A01G0022790 transcript:Dexi1A01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWNDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Dexi3B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:3B:6098598:6099316:1 gene:Dexi3B01G0008830 transcript:Dexi3B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRWASSWASSWMKKVDSRKKKLDSASTARNQQRSSLLAVRVLPCGEGRPKLLLTVTDRGGGTRPRWPRRETGQETHARARRCCWRLELAVCSTRWQRLVQVAAVGAGAPADMAELEQQGTTARRGEARRRSSSLSPTSSGDSELLPPAGEGLLLLEPAMGAAAGRAWCGPTSV >Dexi3B01G0003250.1:cds pep primary_assembly:Fonio_CM05836:3B:2125502:2125822:-1 gene:Dexi3B01G0003250 transcript:Dexi3B01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKIMSVEGSEFLDMPEPLPGVLPVKTPAAVVDPGAVRVKLVISKQELRKMLDKEGMSLDDMVSLMRKEASDREQEECCGGWRPALESIPEGSDL >Dexi3B01G0034730.1:cds pep primary_assembly:Fonio_CM05836:3B:37414667:37422307:-1 gene:Dexi3B01G0034730 transcript:Dexi3B01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHHHTAPNRRGAFRAASPRVPRVAMNYLMNLQSSSDETSRAGTGGSMDRVKHENFCRAVVEDNTALLLSAVGSFRKEALCRIRKGSDASRVLDQEMSTRLLHLACKHDAVECARMLLEGGSGITAATVDARDQLTRTPLHVAAETHSARCIELLLSKNARTDLRVIDGRPLLPLEIALTSKRVQTNWSLDNPIEDLLSFLQKRDLNAVRLLAEKTRVVGELAYRYAMEGRVPALAILLLVVEEKISAQVSVVIEGVRTKRSIYNAIVDEALSMGDASTRDGNQRRKSLLCEIQLLNQFGSASWREHSDRRTLPPLLRAAKVGDMNVIKMLLMGKVDVNEADSEGNTALHWCLSGGSSTQEPRIVWLLLKSGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPTKTKETPLFFAVKNGSVDCVKLLLRFGADTKARNLRKQRPIDVATSQDMRFVLSSANVAPCKKSSPQKNHVMRKEICKEILGDEFDDLYYDDYGESYTGLNKSLGQRDLRSNNRSAQGPTKSKSQYFPKQGQGSKPRDNHWPKHDYTRKIFVGGLPPSVDSEYLTEFFNAEFGPVEEAVVIGIPIGNRVQSRGFGFVKFEREEDMISAKEAHHVYMLGKRVEVKDAVTRAYLPFEERATSLKKFLKERPKETHSMLDGELTEELNLQKRRPLPEKCLPSWFFIFRKWLPGFLEDATERLGEERYPLSSLKGDFRATCRMELDHTVLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPLSRPKYVPLLEPYSFDLDELPESVSDNHSPRSTLNTNITNDSNSETNVQIQQSDDACSKSNGQSQQGDQRSRSSAESLLDGSSSDNGSLLDDIPVSTTKLDLAEPVPAGKPDVIDSVPTRKPGMIESIPTRKPDLIESIPTRKPDLIESKPTRKPDLIESLPIRKPALIESIPTRKPELTECMPTRKPELIQYGSLPRNNESGPIRQPNLLEFGPTRKLEFIQSKPSTCFIDCPVEKPAVPPSSREAEMRFSFFQSQWDKYLTPYPKSGSCVICRSCEAAMQLVPCQHKICVACMMRCNVRACMACGTSGFMEHVPDQRCQLMVVCRGAEASVRCSPCMHTIACRGCLLASVTLLKTCTTCGCMIQHFMFG >Dexi4A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:4A:532056:533453:-1 gene:Dexi4A01G0000790 transcript:Dexi4A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPAASTTSGEAAEPESETLLALAESELAAGRISAARKHARRAALLDPASPRVALLATAANVLAADRSSHHAVLQLTDEESAAPLPSSTLRRHFKSLAKSLRAYPDASPAVAAAAEEALGRAAEAFEALIAPPPTQDTFWTACAGCRLLHEFERKYVGYRLICPSCRRSFIAAEAPPPPEAAPPVPAPAHVLPKPPSAKKPKTEKLEMTLAEMQLQLAKKRKAHKKLSRGQVEEEDDEEVEVENNNSDLMAVVDSDFYNFDADRGERCFKRGQLWALYGDEDGMPRHYALVDEVLRGSRFRVRIRWLDGEEGKPCGQFKVGKADTLDSVNVFSHLLACERVARELYRVYPRKASVWAFHGGQEGNARRGKYEIVVFLSGYSDQYGASFGYLEKVKGYRSIFTRRDVGAHAVHCFQKGDLGAISHQIPTRKVSKGEGSALPPGDCWELDPASLPPELLHVDPRN >Dexi3A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:3A:310788:312292:-1 gene:Dexi3A01G0000320 transcript:Dexi3A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRTTGRAIQRRLLRPQDHQAMESPYGDHSPKSTTDLEPERWRLPQWAIYTIAISGSVLFLVVAATTMYLLVSRGKKVHTVMPWSTGLSGPLSKAFVAGVPSLGRAELQAACEDFINVIGSSSGCTLYKGTLSSGVEIAVVSASTNSAKDWLDRSEEQFKNKISVLSRVNHKNLMNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHIREAGDLDWPTRLRIIMGVAYCLEHMSQLEPPVMPASLSSSSIYLTEDYAAKISDVELWKDDDKDAALRDEESLVYRLGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLHAMADPMLRSSVPDKQLAALCDVVRLCIHSDRKEETGHGRGCEAHERRHRLVAGAGDPEE >Dexi2B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:2B:25186263:25186667:1 gene:Dexi2B01G0015150 transcript:Dexi2B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKLVVFLAVLCFAAGVVLSCEPSCPTPTPPVAPSPPAVPTPPSSSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAAICLRTAIKANVLGINLNADIDVSILLNHCGKTCPEDFKCPSN >Dexi8B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:8B:8504070:8504330:1 gene:Dexi8B01G0007250 transcript:Dexi8B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARARARWAREKLVAARQALESGYGMSTARDRDQAFDGGAVCEEDTRERGSEGQSDRWVRVATRVRGGVGALRPEARGRSALQEY >Dexi4A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:4A:2674214:2676319:1 gene:Dexi4A01G0003760 transcript:Dexi4A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTAAAARQAAAAADEACCIQHGVHHAHLAALLNPSPRAPPLPLPLRRRHLPLSLPAASRLAASFPPLPLLVCLLRALRLLPSPPPPRPFDALIRSYASLPFRASLAAAALAFARSAGYAPSVPTYNAVLLALSDASLPSARRFLDSMLRDGVAPNVYTYNILVRALCGRGHREEALGIVRDMGGSGCAPNAVTYNTLVAAFCRAGEVDGAERLVRMMREGGLTPNLVTFNSVVNGLCKAGRMEDARKMFDEMIKEGLVPDGVSYNTLVAGYCKEGCLQEAFSVFAEMMQKGVSPDVVTFTSLIHAMCRSGNLERAVALLKQMRERGVRMNEITFTALIDGFCKKGFLDDALLAVKEMRECGIHPSLVCYNALINGYCIVGRMDEARELICEMDAKGVKPDVVTYSTILSAYCKSGDTDSAFRVNQKMLEKGVSPDAITYSSLIRGLCEEKRLNDAHVLFENMIKLGLLPDEFTYTSLIDGHCKEGNVEKALSLHDEMIKMGVLPDVVTYSVLINGLSKSARTKEAQRLLFKLYHEDPVPADIKYDALMHCCRKSEFKSVLALLKGFCMKGLMDEADKVYESMLGRNWKLDGSVYSVLIHGHCRGGNVMKALNFHKQMLQSGFIPNSTSTISLIRGLFEKGMVVEADQVIQQLLDCCSLADAEASKALIDLSLKEGNVDEVVDVLHDMARDGLLPSQR >Dexi8A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:8A:5840584:5843393:1 gene:Dexi8A01G0005950 transcript:Dexi8A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRNKARGGILSEVLSSDPWNALATPDRPESTSFLPRFSNASMQPSMAATLSSSTGSVELLSSSEYAAVGLNLRNITGHWTDPQQLGQTPPVSSSGGAPQLPIRETKDDARAATPWRTAAAGRHHNLAATRAPARDAAGAAGNIAGPIKPSAANPVGAGGGECTGPRKAGALGGESYELSANEGARGGGVAVEADVFPASPPMASTDRRKLEMRIDWIGGEDEAEGNCGGRPANVARGISGPDV >Dexi9A01G0029160.1:cds pep primary_assembly:Fonio_CM05836:9A:33953537:33954819:1 gene:Dexi9A01G0029160 transcript:Dexi9A01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGVELGMGMGTYHGHHNASSITTAPMSSHHSGGASYSTAHHHHYYGMPPPMGDAMRVDELLDLSTGVGNGAHDFFPTAAAAAATDNGHHHSGAMGEPSPTANSSDHQTSLLSFADEFYIPSEEAAELEWLSKFVDDSYSDMPNYSSAAHAAMAAAANAAANGGGNSAGQNSCITAAPGRGARSKRSSRATAAAAAAWHSLVPRPASQPSPSSSCSSSDFPSSNKTGRPNGGSRGKKSPGPGGPGGVAPAAASDVGMEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELILIRGSHRDAAAAAAGSAGPRPELMFRDYGVC >Dexi9A01G0038270.1:cds pep primary_assembly:Fonio_CM05836:9A:42591953:42593649:1 gene:Dexi9A01G0038270 transcript:Dexi9A01G0038270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLPAAAVAAAAAVRSYSRSLRSPSSSAAAGKAVKTPQSPLDTARNSAAASAAAAASPGRSEVRDLAAACGLQEDERVPLAEVVSDCTRRWFQDTLKDARAGDVTMQVLVGQMYRSGYGVNKNEHKARVWMEKASRYRSTVWKVSNKRPGYNASDSDSDDAKETYK >Dexi1A01G0026090.1:cds pep primary_assembly:Fonio_CM05836:1A:32128140:32128573:-1 gene:Dexi1A01G0026090 transcript:Dexi1A01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLQFHISSSIAQFWHLFCRLYPPGGFMSYLQMPQTSPPQPYPFVNYPSASYLPENSHFVGAPSCRGTPSPNGNGLAMDAADTQEEESIDIDDDDTLEPARTEKRLNYSHEEDIRL >Dexi3A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:3A:15657013:15657249:1 gene:Dexi3A01G0019760 transcript:Dexi3A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRLLADNWPSPIRRRRRLPASAIRSSCRLARPPAARRAKPSPGTAPRVVPPRPCSRPADGSGRARPRPRSGDRR >DexiUA01G0022340.1:cds pep primary_assembly:Fonio_CM05836:UA:45480015:45481259:-1 gene:DexiUA01G0022340 transcript:DexiUA01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPLFVFLCRPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWSSRAEWLCLILAQFCRLPFWSYAKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKDESDRPDDVISAAQKYIKQNGSEAFENLVNKFKASNPRRSILEEVEVERRARIQRESEAREVNPFFSPDYRY >Dexi4B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:4B:7145200:7146896:-1 gene:Dexi4B01G0009820 transcript:Dexi4B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPTSPSSSSSSASPRLLHSNSSEWNAVVQRNIKSSLLLLLVLSTIFVFSVLHTSHSFGSTAAEEGLTQQSTLVVSGRLVPEEEEADEPIGPAENNVASEQSSPAAISLPSANSSSATTASTAERTRDQSVVEVQVVERCDMSMGKWVREPRGPIYTNLTCPTLPDFKNCQKYGKDPGHLFWRWQPDGCDLPRFSPERFLDVVRGKRLAFIGDSLARNQMDSLLCLLSQAETPTDVYRDAYDKFRTWHFPAHNFTLMAMWTEFYAHAVPVLDAEGKPTASFDIHLDRLNANWTSRLPGLDFAVISGGNWFFRVNYLWENGRRIGCVNCREANLTDLGIAYAVRRVVRPALEAIAKCHGCSGSNNLVTFLRTYTPDHFEHGSWFSGGYCNRTQPLEEGEISLQSIGWELRRVQSEEVARVRERTGSKRFGVLDVTKAMMLRADGHPGGHYDKRWVRNASDCLHWCLPGPVDMWNDVLLQRLAQISTTPLAR >Dexi5B01G0026080.1:cds pep primary_assembly:Fonio_CM05836:5B:27926297:27927157:-1 gene:Dexi5B01G0026080 transcript:Dexi5B01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPDPSPASGTTGGEPSPPGRPTAVSSQVLDMGAQMAQALKPVRQMKLHACSFALYAHDLQRQMEVHHFLSRLNQDVVQCAVYDSDKPSARLIGVEYIVSDTIFEGLPPEEQKLWHSHAYEVKAGLWTAVGVPEALQSSEMASLAKTYGKFWCTWQVDRGDALPLGAPALMVSPQAAEPGRARGELVRSRDERYEVDTSAGGIKAARVEMDEPECINPNADYWRQHGKGLAVDVVPAEMKRHAPFP >Dexi2B01G0035210.1:cds pep primary_assembly:Fonio_CM05836:2B:42340798:42341166:1 gene:Dexi2B01G0035210 transcript:Dexi2B01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGAWHLGKEAESAARQATTPASSSSRRLWMARQCAGPTPRRPCGAPAWRVNLRSSPSPVVVPCSSGAGSSQPWRERRRRRRRAPLLAARSELVCGPAQCIGSLLADPGNSGSESEADR >Dexi3A01G0027090.1:cds pep primary_assembly:Fonio_CM05836:3A:23746086:23749480:1 gene:Dexi3A01G0027090 transcript:Dexi3A01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEKAVPVAAASAEGSDAAAGRGTGAVGAVLRRWRMQDLLDRSGSALRAGAWALSLLAFLVMACNEHGDWKQFDRYEEYRYIVAIGLLAFVYTTLQLLRQVVVLTGGQDLQLRTGLLVDFAGDQVTAYLMMSALSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALISGFKLAKQTYI >Dexi3B01G0032790.1:cds pep primary_assembly:Fonio_CM05836:3B:35244650:35248574:-1 gene:Dexi3B01G0032790 transcript:Dexi3B01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCIIMRSSSVPTLWWWAATLLLLFAAGCHGAPLKAHFYRHSCPAAEAVVRDIVVARDAAPNGSLGGYDVIDTAKAVLEAVCPGVVSCADVVALAARDAVSSQFGRDLWDVQLGRRDGTVSRASEALAEIPSPSDNFTTLEAHFAAKGLDVKDLVILSGAHTIGVAHCNTFAARLSSSSSVSGAGDPSLNAAYAAQLRARCGPTPSAAASNNATAVPLDPGSPARFDAHYFVNLKLGRGLFASDAALLTDRRAAGMIHRLTRQPHFLEEFRNAVRKMGRVGVLTGERGEIRRNCRAVNR >Dexi7B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:7B:22432468:22437889:1 gene:Dexi7B01G0016450 transcript:Dexi7B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASNSSAAAAFFGISGGDQQDQIKPLISPQQQQLAAALPGVAGAAPAPGSGGQGAPAAAAQPPPKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQPQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTGHLYGATNAGNMALSLSQVGSHLTSTLHDGHHHHHPSPELLRLGATGGGGGSSIAARLDHLLSPSGASAFRSTQGAPPSSAAFFLNAGAGAGPQDFGDEAGNGPHSFLQQAKPFHGLMQLPDLQGNGAGGPGGGSGPSLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSSGAGGGGGGSEASAGGIFGGNFVGGGDNVPTPQGLYGGDQSTTTMLPQMSATALLQKAAQMGATSSPNGAASMFRGFVGSSPHHGGRQGTTPHQMEQSEANLNDLMNSLAAGGGGMFGNGGGGGGGGGAGMFDPRQLCVDMEREVKFGGQGGGGGDMTRDFLGVGGGGIVRGISTTPRGGGGGGDHQSSSDMSSLEAEMKSASSFNGGGRMA >Dexi2B01G0028240.1:cds pep primary_assembly:Fonio_CM05836:2B:36914189:36917562:1 gene:Dexi2B01G0028240 transcript:Dexi2B01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVLEVDVNGEELFIVDKDILAHFCGKIRRLVVAGAASAKRPSRVALHSFPGGAEAFELVARFCYGGGGGGAVTAANACVLRCAAEFLDMAAADEAPEAAASTAAPSLVRMTEKALEEMPHWPWHAVVDAVKQCQRLLPLADATGAFDAAVSALASHVAVPPPAGDTTPTSSSPESSAFRFSVDTKSSSLGLRGSCISRTWWFEDLVSLRPGTVERVAAALVARGTDHAVVARFVFYYLKCRVAGAGAAEKKAMLESSVAVMSGLDRSAVSCKGLFGILRIAAPLKLSCACQERLVAMIGRKLDHATLDNLLVPAPAGTGSLYDVSLVLRFLEAFLRGGGGAHDEPARLKKVGRLIDLYLAEVAPDPSLRPAMFLDLATALPAPARDSHDALYRAIDVYFQLHGRLTEEEKMKICRGLSYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLVLRDPAQLKPVTPSPPPSTGKRREACYDDGGGGGGGVVGSENDGQVILYAGRLDLSLENQNLRSLLDGMHWRVMELEKVCSRMKTQMTKMKARRGGGRTARSLPRMCS >Dexi8A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:8A:25399789:25401578:1 gene:Dexi8A01G0014830 transcript:Dexi8A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRHHRHAAGHRHLLLLLRHPKLPPFSTTTHPTPPPTPSSSSDAASPAFPDPASLPPDDAIAALPSVADNAGSASALALFRRLSTRPDLRVLMRLYATAATTFVGRGNLPMAHEAMRTMVAAFAEAGRLREAADMVLEMRSHGLPLCVETANWVLRVGLAHPGCFPHAREVFDGMTRGGGVCPDERSFRALVLGCCREGRFEEVDALLEEMRRRGFGLDNATCTVVVGAFCQQGRFKDVSEVFRRMSGMGTPPNLVNYTAWIDGLCKRGYVKQAFNVLEEMVVKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVEQGLVPNTNTYTTLIDGHCKGGSFDRAFELMNRMKLEGFLPNIYSYNAIIGGFCRKGQIQEAYRVLRLATSQGLQFDKEGEDKHCCIDVG >Dexi1A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:1A:26785385:26790075:1 gene:Dexi1A01G0019790 transcript:Dexi1A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRSPSLEFPAANPRTPARSSERERGEAMEKLDESKFEQRLELWALRIPRELASAITRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSERIQKPDLSDMPQQMHDSLKQLCNVDVVPYALTLGYSYWSAGHVAHLNISDDLLAYKYVIAKVIYDVHFRYQLLRKDVLYVREDGQNGANDSSVASTTAKKRQQTSKEEGEPDCQDGDASQTKKRNNKRVRGSGPPPTKPWEHIDHVLMNLPASALEFLDCFDGLVQKKQWTGSLPWIHCYCFIRSTESEESILSVSSSLIIYYSDRAQNKLNAKISDPIFHRVRDVAPNKAMFCLSFKLPMECLKDDTESVA >Dexi9A01G0027130.1:cds pep primary_assembly:Fonio_CM05836:9A:31548492:31548830:-1 gene:Dexi9A01G0027130 transcript:Dexi9A01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFIPAVLMGTVAAARSRRKAEKAWTVAMKGLGAARRVASPESKIQRPGVGGGAVQSTRRRGAVAWRRRRKSSVTLRHALGSPRRLDPVLAEIPMAGIRWSRERNTGSAG >Dexi9A01G0024270.1:cds pep primary_assembly:Fonio_CM05836:9A:20540990:20546239:-1 gene:Dexi9A01G0024270 transcript:Dexi9A01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTEPFNRLVRLAARAFYDGNPLKGDNQTKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKELQLPLIVNQSLRREKRKYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNVRKRSLQAYLCLIDHISISPFRLKDVKESAESGNNGSELKVLPPWMIKDGMNLKKEQRGETSKAPKLDENTEAKEDKKQYSKDDQSIQEEYIMAYYEAFRKKQEEEETKRKMQQEGETFVSDSLSERQVGMKYKREDEDDDDDEGIEWEEQQPTGIFLFSFF >Dexi4A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:4A:11397566:11398275:1 gene:Dexi4A01G0012680 transcript:Dexi4A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYLTASPDVIIILLLTVSSGYDAMLAPLVIIHPSPKLAKKLSCSLPRLAVHVEEPVELPLASALAGLVVVGEAGARVVEGVDEELRRGAGGAAGGDVPGEPAPVAVAVAAEGEEGLEVVLEGEVEGLGGEVADDVGVVAAPEGEEAFVADGAAEAVGDASVGLGEASGPEELVLVLEEHLHALDRGGDGFGHR >Dexi9A01G0029770.1:cds pep primary_assembly:Fonio_CM05836:9A:34682040:34682792:-1 gene:Dexi9A01G0029770 transcript:Dexi9A01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRSTLALALAVAIAAASLADARLPDYHPSTFTVTGKGVFIIEVPSKVNGRDLAPSRCIVRLASSGDAGCAVLTDFNGGKTGQTPSRLTHVSPGKSTYAVGPYYCTLPRCDVKEDDEAACAGY >Dexi9B01G0028830.1:cds pep primary_assembly:Fonio_CM05836:9B:31379030:31381055:-1 gene:Dexi9B01G0028830 transcript:Dexi9B01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSLSPSSPPPSVAAPEPCQWSGAGLGSAACGLAGRVLCAVATCVFAAVGSLVGAVTGSMIGMATESGVLRGAGIGAISGAVFTIEVAEASRDLWHSADSGVWTLLYMVDIISSLLSGRLVREKVGPAVQSAVQSQMSAISSPFTETTSDLFETGSGSSSRRGLPADALRRLPEMEIAADTAVDAAGEAVGCSVCLQDFRVGEQARRLPGCRHVFHVPCIDSWLVRHGSCPLCRRDI >Dexi2A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:2A:12277383:12278198:-1 gene:Dexi2A01G0010900 transcript:Dexi2A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGELIKSCRWIVGGHTWEIHLRPKDHWAGRHRSVTLKLVHLSEPRGGGGNVKAKLGCRLVDPAGKLDRPSEEKTVAHKFHRPGDYSGPAVLTSREELEASGHLVDDSYTVQCTIAVLREAPPPPEAEVVADDRRPEAGLPSPDLLRDLRELLREGTGADVKFRVSGKSFAAHKAILASRSPIFRAELFAYTDTVPELDTYGLERLELICEWKLSDGITVGTAATTLALAEQLGCSQLKAKCVEFIAGYLDAVLETEG >Dexi6A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:6A:4655389:4656819:1 gene:Dexi6A01G0005100 transcript:Dexi6A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVAVKYNSADEGEDRKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFALIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVLLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGSVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAVPVVRDNWSDGYFSDIARILGGIWLHSWIQAAAALSNMGNFLTEMSSDSYQLLGMAERGMLPDFFAKRSRYGTPLIGILFSAFGVILLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVTHPNAARPYKIPLGTIGAVLMIIPPALLIVVVMALASFKVMVVSVMAMIVGFMLQPCLVYVEKKRWLRFSISADLPDLPDSQEAAEDDTVPLVF >Dexi9A01G0036570.1:cds pep primary_assembly:Fonio_CM05836:9A:40964752:40976182:-1 gene:Dexi9A01G0036570 transcript:Dexi9A01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSRDDELVIKSPNDQRSYRVLRLANGLCALLVHDPEIYAGGYPPQASKAHEDEEDEEMEEEEGEDGEESDEDDEEYSDEGDDDDGDDDEEEDGSEPKRRKEKGGAEPIAAAAMCVGMGSFADPLEAQGLAHFLEHMLFMGSSEFPDENEYDSFLSKHGGASNAFTQTEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQNDTCRLSQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGVNLREEILQMYMNNYHGGAMKLVIIGGEPLDILEGWTMELFSNVKSGPLLDMAPKTDMPFWKPGKLYKLEAVRDVHILYLSWTLPCLHKEYMKKPEDYLSHLLGHAHFLVNIFCLNIDNLFAICFYNIGYLQVFEVIGVVYQYIDMLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLFYSEKHVVCGEYLYEAWDPELVKHALCFFNPDNMRVDVLSRSFDKQSQAIQREPWFGSQYIEEDIPSSLIESWRNPVQIDANLHLPRKNEFIPGDFTLRNANSPRSSSEDNPRCIVDEQFIKLWYKMDMTFNVPRANTYFLISIKDGCSSLKNSVLTELFANLLKDELNEVLYQAYVAKLETAISVVGSSLEIKLYGYNDKLPILLSNILSTFQSFSPKTDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMNLTFTDLVKSLPMILSQLHIEGLCHGNLSEVEAINISKIFRNTLSAQTLPEEARHGERVICIPNGTNFVRSVRVKNDLEENSVVEVYFPIEQDTGREATRLRAITDLFSSIIEEPCFDQLRTKEQLGYTVDSSPRMTYRMLGYCFRVMSSKYSPVYLQSRIDNFINGLSTLLDGLDNETFEHHRSGLIADKLEKEPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTMQKADVIAWYNTYIRSSAPKRRRLAIHVYGCNSDFAELAKLQEQSWTVIDDVKSLKGSSQFYSSLC >Dexi9A01G0029420.1:cds pep primary_assembly:Fonio_CM05836:9A:34307597:34310333:1 gene:Dexi9A01G0029420 transcript:Dexi9A01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYTPPAATSSDPLGAAATHSSLYASLRTNLPRETMGFLDFPFSAAVPGSADPRRFPGHEEVLRYLQAFARRFDLLRLIRFETEVLRVRRVDRGGWAVTSRKLGDKGSGEEEVYDAVVVCNGHYTEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIIIGASASAVDISRDIASTAKEVHIADRSALAHTCVKQHGYNNLWLHSMIDRAREDGSVVFQDGSSIKADVIMHCTGYLYDFPFLGDDSTITVDDNRVHPLYKHVFPPEVAPQLSFIGLPWKVIPFPMFQLQSKWVAGVLSGRIVLPSKEQMMEDVETFYSKLEARGWPKRYTHNFANYQFEYDDWLAEQCGHPAIEEWRKQMYHINGINKAIRPERYRDEWDDDHLVAEANEDFKKYL >Dexi9A01G0049200.1:cds pep primary_assembly:Fonio_CM05836:9A:51895314:51897473:1 gene:Dexi9A01G0049200 transcript:Dexi9A01G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFDYETRQYDLEGLFSKYGPITRIDMKQDIEKHFEPYGKITNIRVRRNFAFVRYETQEEASAAVKNTDKSTILDRVVTVEYAFRDDDNERDDRYGSPKRVAHDRHRGSPYMRPPSPRFRRDYSPEYDRRGCYPGYDRRDGAMYDRRSPVYDRYDRGRSPGYDRYDRRRSPVYDRYDRRSPVYSQY >Dexi2A01G0023490.1:cds pep primary_assembly:Fonio_CM05836:2A:35197289:35198883:-1 gene:Dexi2A01G0023490 transcript:Dexi2A01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKRFTGRANGASVIPVRKDEDESLVLFGELYKHEKERDTNLLEPMFSVEFEAVQATPLFPSLEMEANSSQMIFQKELPILQAFSSKSDATTASTTSGSPTSSSTKSVTPTARPSSSSSKKNFNRGAAAPSKEQDPTYRIDKRSSYTPLTNRQHNSIPAAPTTTTAATKASKKTSGNKSRPSNAVKNVARPDKAPKNVTETSTKSRSKDSSDGAKDQKVNARRLSGLPAAKRDNVQVTATLKGRNKTVTGVAPATRKDAGTTDSVLNGRREGGKEQRAKLGSLSKK >Dexi2A01G0035160.1:cds pep primary_assembly:Fonio_CM05836:2A:44839079:44839597:1 gene:Dexi2A01G0035160 transcript:Dexi2A01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVMMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >Dexi3A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:3A:5605205:5607073:1 gene:Dexi3A01G0008050 transcript:Dexi3A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFTPPDVLFPATFGCHAHDGTTRSIPDALLLLLHSVPLFLPISRSAPPPASSADSAGGQGNRGCPELNRMGSFLTRTGILPWLQSKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGGVAVAMLGSRCNAVTVMVLNLAATPLELSLIVPFLRLGEALTGSGHFPLTADALKNVLTGHASKEVLMSIVHAMLGWLIAAPFVLGVLYIVSVPCFKVLVNRFGGVPSSPRTPIKAV >Dexi6A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:6A:274702:276891:-1 gene:Dexi6A01G0000460 transcript:Dexi6A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGTPAAADHRPQDSSTAIILIDNKAHERDWPGSVPCKGPSQQTPPRRSSRLETLERGTKLEEDVHPRAGVSVTIEAQRLGSRETFTLERAFSVTLRAKLEPATPGTAPETLRPSSLIINDLSVVKKKDSLHHATAHAAEKTSVKSTWRGDPRRKLRSFNTGPRARVATLEPELEGAIPARIVRGMGSTIHLVASAPRTHVLSRPPPYVYKRGREAHAKGRKKEVDIQQELGSSPPSPTLLVTPYYEQHETGAPHHCWTYGPMAGTMIKTPVSPPAIGATSG >Dexi3A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:3A:6951426:6952600:1 gene:Dexi3A01G0009890 transcript:Dexi3A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNLVVGYLNLGTLLASIPIIGAGLWLAKGSTSTCSSILQTPLLVIGFVVLLISLAGFVGACFHVAWALWLYLLAIMLLIAFLLGITAFGFAVTAGGGGTQIPGRPYREYHISDYSSWLQNHMQDMKYWRPALACVVGSKACAKIENWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPNVLCYQCNSCKAGVMEQIRQDWHKISVLNVIVLGALICICACGCCAFRNARHSLSQYPYGVNRMSKINPRWDYYWQK >Dexi2B01G0022920.1:cds pep primary_assembly:Fonio_CM05836:2B:32526518:32530482:-1 gene:Dexi2B01G0022920 transcript:Dexi2B01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGWFKRRSRSGGSSGKRGASAPPSGPATMTATTTTVSGVSTSRSDDSGAVRPVSKSAGSAGSSQSQRSISSLYEERGHGQLRVFDYEELQGATGEFSRAQKLGEGGFGSVYKGFVRAPDGKGDRIPVAVKKLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGPQRLLVYEFMPNKSLEDHLFRRANPPLSWNKRLQVILGAAEGLAYLHEGVEVQVIYRDFKTSNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTQGYAAPEYISSGHLTAKSDVWGFGVVLYEILTGRRSLDRNKPAAEQKLLEWVAQFPPDSRNFRMIMDPRLRGEYSVKAAREIAKLADSCLLKNAKERPTMSEVVEVLRRAVQAAEPDSRSPGASALKGKRAVAAAPSRR >Dexi1A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:1A:952883:957028:1 gene:Dexi1A01G0001460 transcript:Dexi1A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPRRLLLLSLVLSLAVAAAAKISGPRTPISRDIYHSSDSLLREIEVLVSRHSDKLSMDTVRASNRGYSAELSVVTFNPVKESVDNGSKVHILLSFGQHGRELITSEVAVRLLYILTEKRKIAGVDLSSFEKVLENLVIKVVPMENLNGRKRVEEGELCDRRNGREVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPEAQIMRELSRSFKPHIWVNVHSGMEALFMPYDHKNTTPNGASAHMMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTTTDYMYDIAKVPITFTFEIYGDEKASTDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLRDAQIVSQGALDNWVPIGGDIVERNVERKSTRERRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCTRISKNRNRDSGNVFDP >Dexi2A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:2A:1364753:1370930:1 gene:Dexi2A01G0001870 transcript:Dexi2A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPRTRAYVVPPLLRLSSNRVPPPRLLLLFSAAGAPSPGGARARRVGVVGAGDIMGLEADVPPNSTSASDFYMVSEPRRFEISSNGVLKLGKLTRQNHGMWSAQVLATLRGAKLERYVNGKAVAPAEEIDAKAADGTIVKAPNPAYEDWFAVDQQVLGFILMSLSRDILAQVAFSKTSAEAWKAIGDINVLLALATTKKDNMTVAQYYGKMKGLADEMAAAGKPLDDDDLQTQQEGAAAVVAASRCAAQTVVVVVGKTLAVALVDLVGVALVLGVAVDSNRCHDRTNNAKVSQLVQQQPTTTSAVQFVRFDENYVPEERHVAAAMSAGAEEAMLPTPPAAFGHQERTASPTRQQPASPSEQAPDLPVPELADVPPNSTSASDFYSPHTSSTRPSSAGDGGASLRAFHRSPPMLGFLCNIQNTYMTHLARFVPTTASSCSPHAGDHHGGWRADDARHGRVLLSRRMTKHLVLMVWDPITDHRQELPPIRPRPLYSYKAAIVCASIATCDHLGCHRGGPFLVVYIGVGYDGASFSCVYSSDAASWSEPVFVQLPLVNCINLVQSVLVGKTLYFTFHKNNQDGGLGFATTIEHKLYLWSRKDDKSH >Dexi9A01G0039380.1:cds pep primary_assembly:Fonio_CM05836:9A:43363309:43369029:1 gene:Dexi9A01G0039380 transcript:Dexi9A01G0039380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVHRAVEASGGRSSLLTRTVRTSLDTVVHHAGQAVAGGARLITGHRNYKSVKVTAKRLEEAALSYKGEERVQLLRRWLVALKETQRAATAARRPPQLGGDLDQAAPLLDLYVDYESGAEPMNFFHVFLYSQGLECAVLSMVSWAKRSELLQFAQGAVSGLKINAEIARLDIEISQLQQQINSMDALRGTSNSNRNRTSQMATEVGKLKVLSESLANSSAKTEKRIVENRLQKEESLIFRVTKTNEVSGIEKEDELSRSIASCKVEGSTVIAWISFLEDTWKLQSLFEELKEKQANEELNRCGVFFVKLIKHHVSACLEELGTSIDRIKTFVDNLNIFSDRSVSAEDGANGSSKQSNPRKYLEEEYLQTEKKVVAAFSLIDSIRAIYSSNQEYYKTRKDEPEIKNLFDTVDKLRVEFESVPRPVLEIEIKEQEEKAKRSRSLKVSASPRHSRSDSTIAPQLMTRLPSESESELAKFEPEYKADEISGWEFDDLEDEPRPDSL >Dexi6A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:6A:4756584:4759700:1 gene:Dexi6A01G0005200 transcript:Dexi6A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPSGSGKTTLLTALGGRHSSRAHLSGKITYNGLPFSGTIKRRTGFVTQHDVLYPHLTVSETLWYTAVLRLPRSLTAGEKRSQAEAVARELGLAKVANAMVGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAADGGRTVVVTIHQPSSRLYHMFDKVLLLSADGRPIYYGRAADALGYFADVGFASPLSVNPADLMLDLANGIAPQTTTASNDGEAPMVAVTGAGNESEHKEVRAKLSAAYERHIAPSVKLDICARETSAAAAPSSPASSRRRSSEWTTGWWTQFLVLLQRGLKERRHESFNKLRIFQVLSVATLAGLLWWRTPASHLQDRTALVFFFSVFWGFFPLYNAVFTFPLERPMLLKERSSGMYRLSSYFASRAAADLPMELGLPTAFVLILYWMGGLDPRPWPFVLSLAVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVAWLRWLNYSFYCYRLLLGIQFPNGGGYYDCGHGVMCPVAEFPAIKAVGLNNHWVDVCVMALLLVGYRVVAYIALDRLKPR >Dexi5A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:5A:2552990:2553996:1 gene:Dexi5A01G0003410 transcript:Dexi5A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKAAVKRGPWSPEEDEALRSYVQRHGSGGNWISMPKKAGLKRCGKSCRLRWLNYLRPDIRHGGFTDEEDAVIISLYSQLGSKWSLIASQMKGRTDNDVKNYWNTKLKKRLLAAAAATTGDLVSSPTRPRVPRLPAALAPTPASTAAAHASLFPSLLAIPTVKTETYTCDDFLAPAAWLQDDDPFAADGSTSASAASSASNWSADNGGAVGGGEGTFFLDFGAAGSDLGAADDHLQLPGGYYYPLDPSLSLV >Dexi4A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:4A:698773:699104:1 gene:Dexi4A01G0001010 transcript:Dexi4A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMTAGYIVGSLLGSFAIAYLCDTIVSDKKIFGGTIPHTVSDKEWLKATDAKFQAWPRTAGPPVVMNPISRQNFIVKSTE >Dexi2B01G0027430.1:cds pep primary_assembly:Fonio_CM05836:2B:36363427:36365783:1 gene:Dexi2B01G0027430 transcript:Dexi2B01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGANPLASPLLGAPSPAGRRLATTASCASGTTSPALTPAAEPPAQSDSAPPPPRPDAPASPSPSSSRPLRASSQLSQWSRKRALRSGRRLGLASSASPVTKSSPPPKPTLPTAPPLLYDGAPPAWEDNDDDLCVAVGDASAGKVIYMVSDGTGWTAEHAVNAALGQFEHCLVDRDCTVNTHLFSGIDDEDRLLEVIKQAAKEGALVLYTLADPSMAESAKKACDFWSVPYTDLLGPTVDAIASHIGVAPSGIPRSSPSRQGGHLTEDYFRRIDAIDFTIKLDDGAQPQNLNRADIVLIGVSRTGKTPLSIYLAQKGYKVANVPIVMGVDLPKHLFEVDHEKIFGLTINPVILQSIRKARAKALGFDGHQTNYAEIEHVKQELAHAKKIFAQIPNLPRSLEKL >Dexi1B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:1B:3391963:3395555:-1 gene:Dexi1B01G0004280 transcript:Dexi1B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSVRFVVATAAAVLAVAVSLATASSSPSPAAAAAAHDYRDALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTLLSWGLIEYGDGVVAAGELRHALQAIKWGTDYFIKAHTSPNELWAQVGDGDSDHYCWQRPEDMTTSRRAYKVDAEHPGSEVAAETAAAMAAASIVFLGAGDAHYAHLLLHHAQQLFEFADTYRGRYDESVDVVKNFYPSSSGYNDELLWAALWLHRATGRRDYLEYALTNAEAFGGTGWAVSEFSWDIKYAGLQVLASELLVEAKERRLRLSADELTVVEQLRSKAEYYVCSCMNRNPGGAEHNAGRTPAGLLFIRPWNNLQYASGAALLLTVYSDVLSALGEPLRCGGAAGEEGSAAGLAGDVLAFAKSQADYILGTNPMRTSYLVGYGAAFPRRVHHRAASTASIRHDRDFIGCLQGFDSWYSARRRNPHDLVGAVVGGPNGEDVFTDQRGWYMQTEACTYNTAPMVGVFSKLMMLEGQGQPPPPRRPEAEGEAEEAADEAAPAEDL >Dexi9B01G0048260.1:cds pep primary_assembly:Fonio_CM05836:9B:47257869:47258771:-1 gene:Dexi9B01G0048260 transcript:Dexi9B01G0048260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAGGAGRAAGSGGEEQHVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANDKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRLFIDWRRRPDPPLALLHHHQYHHRLPLPSIPSYAPWPHAPPTTTVLHLPPPYDSRRRQHLDAYGGGSRQQLLFYRPHQQATTMVLDSVPVRIPTTPGKHAEAPPPVASSASKRVRLFGVNLDCAAGSSEEEDSGGGWNKAAPTTMPLQQQQQQLMPSPPSSSSSSSGKARCSLNLDL >Dexi3B01G0014600.1:cds pep primary_assembly:Fonio_CM05836:3B:10509203:10510584:1 gene:Dexi3B01G0014600 transcript:Dexi3B01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQYFVPSKEAMESPDGLSTSKNNLDTSPSSQGRSWTPKRAKGAAAIFRLLSIPRLRWSTSNEDDDKIELSRAEVESLRTEIAEAEERKSHLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLRDIVEVGQLPNFVPEDEKTRYAFYMLTHQGLKFECSTTSKIQVDSWVGALTSDCKLRDSADMAEAKTMGSQVEDGSW >Dexi1B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:1B:5247797:5248126:-1 gene:Dexi1B01G0006370 transcript:Dexi1B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSPLSALRDRLRATLGGGGLGERMRWRRRAGVSGEFRYDALSYALNFDEGGDLDDADEEEDMDAMEGMEFHAGRRDGLLLYQSFSSRLSTPVEVIEIA >Dexi9B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:9B:5077498:5085335:-1 gene:Dexi9B01G0008280 transcript:Dexi9B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSTPRQRPASTPCSRSSTAAAPAMLSDGVDDELGVGSAAPSPARFELQEDPAFWKDNNVQVVIRIRPLSASEISLHGQKRCVRQNSSQSLTWTGHPESRFTFDLVADEHVAQEDMFKVAGVPMVENCIAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNANCGMTPRVFEHLFARIQKEKEIRRDEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEISNAREALQQLIEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSNCCAAETLSTLKFAQRAKYIRNNAVINEDASGDVLSMRLQIQNLKKEVSRLQGLVGSDKTQGVGSHGFICESPSTFKWDQGHGTFGSLIFDKRATQRDDCDAALVAAFRREQEKEAQLKATIAAKQIAEHLAAQKTEEVRSFKMRLRFREEQIKRLEQVASGKLSAEAHLLQEKENLAKELEVLRSQLDHNPEITKFAMENLQLKEELRRLQSFVDESEREMMHEQIIALQDKLLEALDWKLMHEKDPANKGISLFGESAGDEENEFLRLQAIQNEREIESFRKKLAFCLEAKENLERRVDELASELELTKKHDDTNNECKAVQLQEQGEAGLHNLSDAQIELKTLVDAIASASQREAEAHETAIGLAKENEELKMQLKVLIEENTRLVERYEHAMVNAEANQDGNHPTNPRIECASDQQGSHPCEDLPNGLPVGTSDLHAQNSSNMEEESKIVDEKCINEDKLSRNTSAELRLQLEEMHEENDRLMGLYEKAMEERDEFKRKILEQSNSETVEESDDKDVEMSEAADPENLEVKHVHDSTILALKELMQLVRIKLELVQDKVVSAQDAVKYFKILERVSSKADELSASIQLHRLDVERGQKDINVLKSALSESQDNKGTFEGKYFLPAALCWNLDLKTKAIVSSKIGSNSALMRQKKEQLNLLQTRKNQLSATRARAHESETELRSKIDGLKLKLRSYEAQRKEEEKVLFAIDNLDTSTALTHKPKNFGKATDLLKSEEERIKLSCELLNSREQLRMVQKELKSLQKRDYVDCEIALLETEIEDCYLSLLEADTEKFVRDNTLMEIWEGWAKDMEALLIDYQDCVFHVNLKEEEIKVCEESLQHQVRGLDELHSKLNQAMRDLGELLQDRRSLTSCSLDESMLPVGEKVATDLETVRTYVAEAKQLLLLDSQVNL >Dexi7A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:7A:28816272:28816646:-1 gene:Dexi7A01G0019760 transcript:Dexi7A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCIVAALLVAGLVLGFGLARRTWGANRAQPDCRWPDCQQQGPAAYGDPLLPAVTAGGDAAAATSPPANPLTQPAVAAFPGVASSSSSSSAAPPTNFGPPGPLVVGLGPAAHA >Dexi1B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:1B:1962716:1964966:1 gene:Dexi1B01G0002400 transcript:Dexi1B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVARAPTLTEPKAPPPRLRLRLCASGKPRTPGRSPCHSASAPPHHTNLLFISRPSRAHGPPPLPPSLAWPASKAEPDPRRHATPRRRGMEPRKGGARVPKAVAVLAGLLERAAERGDAEGVAAPAPSSAFRGRAPPEIPVRRYAERIYRYAGCSPACFVVAYVYLDRLARGPHDEEDGDEAKAAVVGVDSCSVHRLLITSVMVAAKFMDDMHYNNAYFARVGGVEVAEMNALELELLFALRFRLNVTPDAFARYCAALECQMLVVAMDAAAAVLPPPASAVSEEEEERSRRDQATRLIRQKDAATAVRHVTAGSRVAGGSSVPIAVPRTAVEMIAR >Dexi4B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:4B:7294361:7295724:-1 gene:Dexi4B01G0010020 transcript:Dexi4B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKASPWLLLLLLVAVAGSAPVGAEEAAFDENYAVQWGADGYHLVIRDTEVNITMDQNSGAGFRSKSMYGSGFFHMRMKLPSAGYTAGVVTTFYLISQPEDGSRDEVDFEFLGDKAGVPITLQTNVFVNGRGNREQRLRLWFDPAADFHDYKILWNPYQLVMFVDDTPIRVLRNLTGTVPGYPFPAKQTMLIRASVWDGSGWATDGGKTKVDWSKGPFMAGYKGFDVNGCANGSATPCNSPELWWNAGGYRNITAEQRAAYEGVRKKYMNYDYCADKARFNNSVPVECNYV >Dexi5A01G0023480.1:cds pep primary_assembly:Fonio_CM05836:5A:27471659:27472293:-1 gene:Dexi5A01G0023480 transcript:Dexi5A01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHVPREHREQHSKDAPPGFLAPMAAEDVAAVEAVLGYDFADKSLVELALTHGSFYHPYGPGDNYERLEYLGDAVLTCLMSREVFRTYRTLPPGPLTKLRAANVDKEKLARVAVGRGLHRFLRHKAPLLEGQGRSAGLVTKIGFS >Dexi5B01G0036350.1:cds pep primary_assembly:Fonio_CM05836:5B:36095584:36096246:-1 gene:Dexi5B01G0036350 transcript:Dexi5B01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALWTLVVVAAAAAALAAAEGYGAGTGATAAANAVLRAHQLPGGLLPAGITAFRHDAATGRFEADLPAPCVARFEVDLRYNATVAGVIGSAQIASISGVDAKDLFMWFPVQDIRIDDEAAGVIIFNVGVVKKHFPRAVFDAPPPCTPDPLLLRIAPPQFLEDVDVDGLLIDGVASQ >Dexi4B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:4B:19851956:19860806:-1 gene:Dexi4B01G0017470 transcript:Dexi4B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQECASHPRCSSLARSRPPRSPPRAAMETLMVDRIHSSLRLFMHRNAIFLCERLCAQFPSEVSAAAPRPFFAPLLNMASETNLQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEDTLCPVNEPNIEVLVSEKIKAITFFVYSSIQVPSGATGNYLLGVIYRCTGRMSAAAEQFTQALTVDPLLWAAYEELCILGVAEDADECFSEATALRLQQEHASTSALEKSNFANENRILSSSLPSSLGDIIPKQMKQLHANITAEVPGYHHVRGTALHVQNSTTSNIVQFDTPSPATAQTSSIVPPPLFRNVHAYQNTISVDAPAKQKANGANQPLRRKYLDEARLKKVGIEDVFQVSGRLFNQSSESLPRRSARLSRDTTINSNSNISQFGGNGTDHSSGSRNEVIDEMWTDNEPATSSSVTTDGRFFEQDKAERIMSQDSKLAVGIRELLGLLRLLGEGFRLSCLFKCQEALEVFRKLPEPQFNTGWVLCQVGKAYFELVDYLEADHYFELAHRLSPCTLDGMDIYSTVLYHLNAEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRFAYAHTLCGHEYSALEDYENSLKFYQCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHSLKVDIMD >Dexi5B01G0026550.1:cds pep primary_assembly:Fonio_CM05836:5B:28306415:28310233:1 gene:Dexi5B01G0026550 transcript:Dexi5B01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEPWILAAAGLREGAAGSVARGPLSCRLPRGGLEIWPTAPVCRSPACVRGHESLLLWRLLPAVAAMGYGQVDPFLPPQQQAAAYVAPQGDYGQPQAQPAPRPPGCPYSSSASAPPVSSSYHSSPPDTSPPPVSSPPPASPPMEPLPSSPPPPAPLPPSPPPPALSPPPPDAPPPSLPPSPSPSTTPSPPQAPPPPMATDQPRVQPRVYPSPPPPSLPPPPPPTVSPPSPAPSTSPSPSPSPASQAPAPAHVAAYSPPPPRIAPPPPPHYHVKPHSPPRSPVKSHNSTHAASGGGKNIEISREAATTIVALAGLAMLSFIGATIWFVKKKRRRIEPPAALPTQQPAPPPPPNNIPSSAGSSLASDGFYLRSPGYPFMRYSTGSHGFPYSPADSGIGYSHMLFTPENLAAITDDFAEENLLGEGGFGCVFKGILPDGRPVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQPMLVYDFVPNNTLYYHLHGEDIFLLVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRYDENEMFHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDELSQASWRSRRDL >Dexi4B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:4B:603886:607940:1 gene:Dexi4B01G0000950 transcript:Dexi4B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGADWEDLVRRMFPPGTAIPDPPANLDYSIALEYDGPPVSYELPRIDPVHIPTADPVSGPLGLATAAVPVAPVVGHARRANPPPQPPRVAPVQRPQQPSAPQANSATPARDEEEEYSDDDGSSRSAKSSHSQGQHKAAARSAAPGGRRAQVVTFGVAEDSNKYDSKEFDEVSEQYVAVTRTEKKGKTCHRCKKSKWESKEACIVCDARYCSHCLLRAMGSMPEGRKCVTCIGQPIDESKRSKLGKGSRILSRLLSPLEVKQILKVEKECQANQLRPEQLIVNGFPLHDEEMEDLLGCQRPPGNLKPGRYWYDKESGLWGKEGEKPDRIISTNLNFNGKLQPDASNGTAQVFINGREITKIELRILKIAKVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPLTRLACALVSLPVPPLNFDKPKEENYYSSRSVPNYLDHKRIQRLLILGSPGSGTSTIFKQAKLLYGSRFTPEELESIKLMIQSNLFKYLGILLEGREHFEEEALAAVPNHASSEDEDLHQDENKPTTSNSCVYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVDELWNDPAIKATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLSFIDFTLDDRSPMSESFGDSHEAYSQPVNKYQLIRVSAKGMNEGCKWVEMFEDVRMVIFSVALSDYDQLALPGSDGSRSLLNKMIQSRDLFEATIRQPCFRDTPFVLVLNKYDLFEEKIGRSPLSTCEWFGDFCPLRTHHNNQSLAQQAFYYVAMKFKDLYAASTGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDGAGYCPEESFYSTTELSSSRLIAAAE >Dexi7A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:7A:18349790:18351510:1 gene:Dexi7A01G0006970 transcript:Dexi7A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGFGPGSPKSFRYPRGGDFDLESGISRKGRKPKNPHLEASVLMRIRNFYEAHPVAVALILLSFGLSVLILLSVYETRFRTMTRSGEVGEYPFPNLQNLVMVAGHSIYTSASCGKTDREDSWFLEPYQKHHGQAATFLEHIREGVDIAARDERALLLFSGGETRKDAGPRSEAQSYWAIAESKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGRYPQNITVVSYDFKEERFAQLHRTALGFPEGRFFFSGTPATPSAREAALKGEAAVRAQFLEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELRSLFSYCGPVPFSGHLPWTE >Dexi2B01G0030870.1:cds pep primary_assembly:Fonio_CM05836:2B:39117640:39118851:-1 gene:Dexi2B01G0030870 transcript:Dexi2B01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSRSLALALLCAILLLAGGAAAASGGDMRRMMDRFHAWRATHNRTYATAGERQRRFEVYRRNVEHVEATNRRGDLSYQLGENQFTDLTSDEFLAAHTMPPGQALAAREAFMRRLNATTRAAGGLVVAEHDGNGHDSSYSDDAFFGQVPYSVDWRTSGAVTPVKHQMSCGSCWAFAAVASIESLYKLRTGRLVSLSEQELVDCDHTPTDSGCAGGDPASAMWWVARNGGLATACEYPYESKQGQCRRGRVRVGWIRGGAAVESNSEAALELAVARQPVVVCINADTLQHYKSGVLSGPCAAGINHAVTVVGYGADAGASRYWIVKNSWGDGWGEGGYVRMERRVAAREGLCSIASMPYYPVM >Dexi8A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:8A:4648398:4649144:1 gene:Dexi8A01G0005190 transcript:Dexi8A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGQTRPPQPPPPPPTPPATTTDPSSPASTSTTHRRRHRHRTFSSSSSSSSSSLSTVSSAASSPSPSPRRRAAGAATSVPFSWEHHPGIPKTTTHLPTAATNTSMSSSSPPTPPLPLPPPPSRPRHHHHHSQPTRRRRATAINSQAPAGGDPFAAALAECTRERADNDRRLMDSLFPSPSAVAAVSSSSACVPSRRWCGGGGVAGLLDLYGCKTAMGVAESAFVVRRPVAVVRAGRGRVGLGWAGLR >Dexi7A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:7A:12208941:12211319:-1 gene:Dexi7A01G0003160 transcript:Dexi7A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSGSHSAAAAAGKHLRVLLPFSCDRLRIPGELAVEVGDGEAVVVVPFGKGKVMRVEVGADGGGAFLGRGWPELAAACGVGAGWTVVLRHRGSGVLTVKAFEASCCLRELGTLPAGTNPTFSSRGVGAQRKLKVDFSEFQTAMSSKDISRRPQFISVLSKSEGSMVKMVGLESVLLCQLHVKHLPLLSYDASTLTYIQNQQETPVTKKDWSNSDGNIRSKGSMTFLKEPKTTCVFEIGRPSWIRKEMNTFTIKNRLVSISIEMSNLQIG >Dexi9A01G0038500.1:cds pep primary_assembly:Fonio_CM05836:9A:42717711:42721445:1 gene:Dexi9A01G0038500 transcript:Dexi9A01G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLPTCADSTYSGEPTSAPVPPKKWQRLGRNFAGAIAAFKNTLNMDGGGLPRDPSPRAEKPPPLLLRGLAQLYSRGASNQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVNIDEIHGRGGGDEGTTFQLTFACIAPLSWQSMSGSLDSPLFCCKKIQIFEKRGLTLGVVTILVQSGNEEVFKNRVESALKSVVKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEQIRRPQLPTPLPQSSVFVSVDEWQTIRSGGEELGRWMLRSEEIEFIDWVGTNSFKGVFRGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTVRIALDVADGLAFMNSYGIAYRDLNSRKILLDRQGNACLGDMGIVTPCNNVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPKDCPPFLRSLMNRCWDNSPLKRPQFSEIISILQKQNVR >DexiUA01G0000470.1:cds pep primary_assembly:Fonio_CM05836:UA:2079452:2082543:-1 gene:DexiUA01G0000470 transcript:DexiUA01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWAGAPPEGRWYEGARRLASGNGAELVPTSKTWHQNGKCPDNTIPIRRFKDEDILRASSIRKYGKKRPSNIPNLTTADPDTPSVLIGHQHAVASARNANNHYGTKASFNLWQPEIERKDDFSLTQLWVTSGSYTDEDLNTVEAGWQVYPSMYGDNNTRLFIYWTRDAYSETGCYNLVCPGFVQINNQIAIGGSLSPVSIYGGSQYDIDILVCKDPKGGNWWLQVGGSDVGYWPSSIFSGFTNGSSSIQWGGEVFSPDAGQTSTQMGSGHFPHEGFGKASHIKNIQVVDSSNSLQPPSGVDLITEQSNCYNVQVGGANSNWGTYIYYGGPGKNPNCQ >Dexi5B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:5B:749069:753412:-1 gene:Dexi5B01G0001170 transcript:Dexi5B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSNPFHSLASTFPFLSSPSPAAATSSPASPAPHLAVPLLLPVSSSASSESRRATEPLPGARMAGGKPGGGGVKVAGGGGGPTFVGQVFTMLDPSGNGLMAVTTRFELPRFLTNRTPAWFKKILSPLKKGENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKVQELMNEIGPTGIPLNVVTKAAEIQLFDVVDRVLLGNKWLRKAAGIQPKFPYVVDSFEERTAISIANIATTSSFTASNDDNCCQNDQQSQSSEPSIDNNFRRHDRKFKEQESDGKFSRYAEMNANLQANPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQTGEKNTFGDEKDPLFVANVGDYSRITKISST >Dexi1B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:1B:23343609:23344210:1 gene:Dexi1B01G0016940 transcript:Dexi1B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDEVTTTRRTPPASMAARSTFSVPSTAGTISCLCDHERSLVGNGTWGSLTASTMQGELKRGGRHPRAAPSKRLQRKMRRRGAGGECEEVDVVAAVEEAADERRADEAAGAGDHHRLPLTFLLPHSPSHLPPVWPAVSHLALSSSFH >Dexi1B01G0027630.1:cds pep primary_assembly:Fonio_CM05836:1B:32301882:32304858:1 gene:Dexi1B01G0027630 transcript:Dexi1B01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKECPHPDENQRMQLSRELGLEPRQIKFWFQNRRTQMKAQHERQDNCFLRAENDKIRCENIAMREALRNVICPTCGGPPVADDYFDEQKLRMENARLKEEASSPHPQHSLLLHCTLLDRVSSLTSKYLGRPITQLPPVQPLSMSSSLDLSVGGLCSPALGPSLDLDLLSGGSSCYHHPGAAAFHLPTAVSELERPMMAEMATRAMDELIRMAQAGEQLWARTRGPDTREVLNVDAYDSIFSKPGGGSSFRGPDVHVEGSRDSGLVFMNAIGLVDMFMDSSKWTEFFPSIVSKARTIDVLVNGMAGRSESLVLVGQLSTVLMYEELHVMSPVVPTREFCFLRYCRQIEHGLWAIADVSVDLQPRDARFGGAPPPRSCRLPSGCLIADMANGYSKVTWVEHMEVEDRVPIHLLYRDIILSGAAFGAHRWVAALQRACERCACLATAGMPSSSRDVAGGNKRKRSMMKLSQRMVSSFSASLSASQVHRWMTLSGPNNDVGVRVMVQRSTDPGQPSGVVLSAATSIWLPVPCDRVFTFVRDENTRSQVKTRERALALIWDVLSHGNPVQEVSRVPNGSHPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYAPIDLPAANVVMSGEDPSAIPLLPSGFTILPDGRPGSSSSGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTPLMDQWMDRRLHLPRRPAALLCWLLREE >Dexi5B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:5B:4622662:4625748:-1 gene:Dexi5B01G0006900 transcript:Dexi5B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGGGGLQGPLLGETTGTASPQQRRSRRSKPWAALSIAAALLVVAGVLFFLSSGDAAAGAGRGSEGEAVVVGERRRGRSPHEVESEVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGLGGGAFVVVRDGASGEAVAFDARETAPAAATPVRASSSSYSDVLARNHQPARGGMGNARHSMTCGGEGHLASTSWGLGPIVLGLLVACVSLSGGATRDRADPKKEKKKITKNGDNLLPVLTANFVLVLAGNTKQDMYAADPSKKYKGALAMGIPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEIVAYVARALKSSEADVLADPGLRAVFAPAGRVLAAGETCRNPALAAALELIADEGSAALYGGAVGAALVRDVTAAGGIVTVEDLRNYRVEVSDAMRVDSMGFTFLGMPPPSSGTVGMAMILNVLGGYKSLEFLKGFLGIHRLIEAIKHMLATRMDLGDPDFVNVTGDVAEMLSLPFADRIRGRIVDNTTFPAGYYFPKWRQLDDHGTSHLCVVDGDRNVVAMTTTVNYYFGAKVLSPSTGIVLNNEMDDFSVPAKRTPDHLPPAPANFIAPGKRPLSSMTPLIILKVVEFLLPGAGPFPMTTGVRRGSARTDMTDGVQDGQLAGVVGGSGGTNIIATVTQVFLNHFVVGMDPLAAVQQPRVYHKLIPNVVTYEDETVADGEVIALSAEAKEFLEQRGHWLVSTASGAVCQFIVHEVAEPAAAGGGVVRGMLTAVSDPRKDGRPAGL >DexiUA01G0006520.1:cds pep primary_assembly:Fonio_CM05836:UA:12532873:12535339:1 gene:DexiUA01G0006520 transcript:DexiUA01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGAGGHVVASRCLYGGTHALLSRFLPRTSGVRATFVDDADDEAAVRAAVVPGETKVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNEMMDLHEGALMLLGPTMNAKVAFELSERLPHLPLRIQEHSRRALAFASRMQRLGLRVVYPGLPDHPHHARLAAMGNPGYGYGGMLCVDMGTEERANRLMHLLQNTTQFGLMAVSLGYYETL >Dexi1B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:1B:1818254:1826610:1 gene:Dexi1B01G0002210 transcript:Dexi1B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKAPEILHRHRFIWVGTSHPQDRGGLPEVAPSPGEHACAYGLLRRQEAKDVLEDAIRQGFYASTAPSAVSPGGISSNTPPPGSPSPQASTVSRNESFHREPEPSAKRGDPAPRGCAASQASSCSATVLRHHGWKTPWRPEQRPPPPPARRSPHGHLQLAVSPRPLVGALSGHLSSPFYFPPPRTAPLRSSTWLGSATTPVEHARPAWFRSGASSAGDGGG >DexiUA01G0006030.1:cds pep primary_assembly:Fonio_CM05836:UA:11106923:11111797:-1 gene:DexiUA01G0006030 transcript:DexiUA01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSTESNGAASRVPMPPARPFLDTFRGNLKETFFPDDPFRSVVRERGAARRTVAALRYFFPFLEWAPAYTLGSFKSDLIAGVTIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLSSQVSPTENPALYLHLALTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVRFSTSTDIVSVLQSVFSQTHQWRWESVVLGCGFLFFLLVTRFISKRRPKLFWISAAAPLTSVILGSVLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGIIALAEGIAVGRSFAMYKNYNIDGNKEMIAIGTMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIVSAMLGLIDYQGAIHLWRVDKVDFCVCMGAYLGVVFGCVEVGLIVAVTISILRVLLFVARPRTTVLGNIPNSMIYRRMDQYTTAQTVPGVLVLRVDAPIYFANAGYLRERISRWIDDEEERTKGKGEMAVQYVVLDMGAVGSIDTSGTSMLDELKKTLERRGIQIVLANPGSEIMKKLASSKVLELIGHEWIFPTVGEAVASCNYVLHSHKPGAVMDSAAPHENMV >Dexi5B01G0031100.1:cds pep primary_assembly:Fonio_CM05836:5B:31920738:31921809:1 gene:Dexi5B01G0031100 transcript:Dexi5B01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDTPATVVLELMTMGQQSAAHLGDLLRAASPAASPHQELASEILRCCGRVIDALRHAAASSSTGRKRKAAEYLDFAPPAAAAPEAWSPPPPPPPPPPPPPKRRARGSEAVKEVTSGTTVDGYIWRKYGQKDINGYEHPRLYYRCAHKQQQGCNATRRVQRTREHPAAYEIAYYGEHTCGGAAGDDAFRLQGGGGAAPPPAVVDFGSTSWGSAADATTSRGGGSPAASVMSLGGSSSPSSVSPSSETEFEAHAHEWLDDAAALDDMLMGAAPCFASDPVTEFLDGCRGWESVLDDPLDFAGLHHQIALFQ >Dexi8B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:8B:4528137:4528640:1 gene:Dexi8B01G0004870 transcript:Dexi8B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIFDTGSTYTYLPTDLHAHLVAALKATLSKSLKEVHDPSRLQPCWKRPGGFKSLDDLKKEFKECMLWYSWDAH >Dexi5B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:5B:19391901:19393729:-1 gene:Dexi5B01G0017600 transcript:Dexi5B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGSFGSRGVGVAGMSSTLEEVQMQETLIFSDTIKDLKTLRSQLYSAAEYFELAYMQEDGKQAVMSNLKEYAVKALVNTVDHLGSISFKVTSLIDQRFGEVADANLRVSSIQQRTQVSQACMDREGLSQQSLVITAPKYHKRYILPAGDQSMPSAVPNFREMNKVTNRTAQMHQLFSAAQPKAKDKQPSFRLINWDFYCLQQVAINCPCTFTACTFSITSTTFTFHTTVSEYYTHQQRYILDQLTHAWVSICLWKADRRSDSPIPTATPLTRSGSLSMKASLLKTSSVRVQNTSQPTKLAPLRSQVDRSNDTKDGEHTPKKSKKFLKSILSRRKSKKDEPLPSYFDDY >Dexi4A01G0015560.1:cds pep primary_assembly:Fonio_CM05836:4A:18624801:18629340:-1 gene:Dexi4A01G0015560 transcript:Dexi4A01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAAAPSPAPSGAARDPPAPPRVAMACVVASEVAAVLAVMRRNVRWGGVRYDGGDGGADEHLDHPLIAGLKSLRRRAAGWGGGGRWRDDVDPLLYLRPFLDVVRSDETGAPITGAALSSLHKMLSLDLVGPAAPNVAEAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALSNRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLLDLDATMVADEQIANCKGQGLGAGELDNGRSEYVCLNSSGDEVGSGTAVAQDKAMMELFGVPCMVEILQFLCSLLNVAEDIEQKGIKKKLMVGVEHFNRDKKKGFEFLQGAHLLPEKLDPHNVALFFRYTPGLDKNLLGEYLGNHDEFSIQVLHEFARTFDFKEMNLDAALRLFLETFRLPVATISVVFDNVEDVEVLTGCIDGFLSVAKLAAFYRLDDVLNDLLVALCKFTTLLSSTDIDEPVTAFGEDTKARMATVAVFTIATTYGDHIRSGWRSIVDCILKLHKIGLLPSRLTGDTADDQNSSDLLPSKLASSAAASPVLTVSASKKSYGLMGRFSQLLYYDSEEPRSQPTEQQLAAQRNASETAKKCQVGTIFTESKFLQADSLSNLARALILAAGRPQKITGSFDGEGTSVFCLELLITITLNNRDRIVLLWQGVYEHIAHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVARLVKASATHINSQMGWRTIISLICITARHPDASDSGFETLVFIMSEGSHLSPTNFVFTVEASRQFAESRLGSTERSIHALNLMADSVNCLARWTHEVKEAGGDANRILEGIAEMWLRLVQALRKLLDELLEIAQNHSPKDFRNMEMSLLHAVKLLCKVFLQSLKDLSAQSSFGKLWLEVLDMIERFVKVKLRGRRSEKLQEAIPELVKNVLMVMKASGILSKTKAGENSLWEATWLRVNNISPVLQSEVFPDNDDNNTTPGEQNKLYTPTQSDRNAEQ >Dexi8A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:8A:21531045:21531284:1 gene:Dexi8A01G0012310 transcript:Dexi8A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRHRGSERALAMVMVSVCEGVPICRCCATLRLRRPDADESNRCWAAGDGGDSCSASGFTGARRPIAVVPWLTMHSHR >Dexi1A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:1A:25469433:25471775:-1 gene:Dexi1A01G0018140 transcript:Dexi1A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGELVASGAGEPTGLVPGGKEEAEALIGMVECRICQEEDLAKNLESPCACSGSLKSYKPGYIAPPQVHHDETTIEISGGDWTISGTHLDLHDPRILAMAAAQHSLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQVGTDGNVLPFLSL >Dexi9A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:9A:2983339:2984639:1 gene:Dexi9A01G0005370 transcript:Dexi9A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVGPAMSKMSSMAAAVLLVLAVAWPLLCSAQPAASMPPPSPPAAATNNSRLEKAYVALQALKRAITDDPKKLTKNWCGPDVCSYFGVYCAPAPDDSCQRTVAGVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFSGSLPQSLRSLHLLHEIDVSNNQLSGPFPEQLLCLPKVQYVDIRFNNFCGEVPAAIFDKKIDALFINNNHFEFTLPESFTKSTASVIVLANLPRVGGCLPSSIGDMAGTLNELILLNSGISSCIPPEIGKLNQLTVLDLSFNSIAGTLPDTIGNMRALEQLDVAHNQLAGEIPESICELPHLKNFTYSYNFFCGEPHRCLEVPRIDDRQNCIAGRPDQRPGEQCLSFLHQPKVHCDAQGCIAPPSPPPPVHAYPPPPY >Dexi5A01G0031650.1:cds pep primary_assembly:Fonio_CM05836:5A:34250930:34260346:-1 gene:Dexi5A01G0031650 transcript:Dexi5A01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVKKVFSSSDPDGKEAKAEKADKPKSRRRWPFGKSRHSDPSTSTVAGIAPVASLPPPPLQPTQPQSQEIKDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTTSAQKMPVNSGEELAAIKIQTAFRGYLVVLAASIVSKSGKALVSRQFVDMSRSRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCRAAFDLASAFDEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDHMRRRVTEIEKSKIDRGKTEKAFAPLRPPIDFSDMKTRGTGLGGDPIFADSFAQKPKGLPSAPTPVTSKADGGMKLGKLKKTNQFLESLKAEGELISEDTQLTGIKSRLSSAAPSDPVTVAIEEKISATVKRDGGVHNLDIQGTLALQVLDDTNGFIQLQIENQDLPGLIFKTHPYINKELFNSQQTVMARDPNRPFPSGQNDTPLVKWRIQELDESSLPLSVNCWPSVSGNETHVNIEYEASEMFDLNNVVISIPLPALRKAPSVRQIDGEWKYDSRNSVLEWSIILIDQSNRRLPLFFR >Dexi9A01G0032670.1:cds pep primary_assembly:Fonio_CM05836:9A:37585582:37591381:1 gene:Dexi9A01G0032670 transcript:Dexi9A01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDHIHSAYAYIRSCLTAPLAHLELALLPGADAGGSLPLATLGPAGSRSSAPPPPPLLAGSTRSTLNPGAPRRIRAAPASCTHEPTSWIPLTRRRRWIPLTRRRRYPVRPRDRATPPSRSLRERWGGGAWRGLEEALDGREAPRSVATEGEREAALDGGDARRRRESMGRREALAVLGLALFRRRATTASEN >Dexi3A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:3A:6341620:6341985:1 gene:Dexi3A01G0009110 transcript:Dexi3A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRWIQDAHRPTAIACPLLGATAAARGFILAAGGGDDDAPPPASASCRTLASPSSPPPPGSPQRPAAVKSPPSPRIRRDDRPGHLQIPAVSSALDGEEAVNGCSLARKWAPETYAGPPCQ >Dexi2A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:2A:31432755:31435115:1 gene:Dexi2A01G0019210 transcript:Dexi2A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPDEATPEPFRSLHISTTAAAATTKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCNKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGKARPDASPACAGGGGVAAASAGSQKQLAPPAMSLSRTASSTSPSSDVVISPVPWPGPPMPQASPTTTAAAFHMFDRVPSPPPSELHHHRGGHHNLELQLMPPSEHHHLVGAAPPRSPAVSSRSDAAAPMPLHLFIGVSGGGGGEALAAARAKEEQEEAAREQLRLAMAEKAAADEARAEARRHAEVAEQELASAKRMRRQAQVELSRAHELREHAVRQVNATLLQITCLGCRQKFRARPVALADCSYVSSVVTEGGDAEVEELMPPQLDADGNMRRRQHAMAMDIVL >Dexi6A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:6A:9060878:9061955:1 gene:Dexi6A01G0008530 transcript:Dexi6A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMISKDTFYIPGPAGPSAYGPASSAFVATGGHEWSITYYPDSLYDHDSFDLCLRLVSEGVRVTISAVGLVDPTGTLLPLNLVPLSPPFDFDSLNDGGTRRLTHWVPKKFITQTLHQTYGQGCGGLMFEWTITVLPHTMPMQMPEPVLSVPVPVPMPILEQLIPKPKFKIVRDVASTTNNAPATTDVAPSLRNVPATTTADVAPPLAKVLPSTDVTFSVGGELFHAHKAILCMRSPVVREDLSGSPRWATIGVDGMAPDVFEALLHYVYTDTLPGDTEEGESDYDDASQTMTATRSLLVEADRYGMDELKRLGLIVC >Dexi2A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:2A:30295228:30295539:1 gene:Dexi2A01G0018230 transcript:Dexi2A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi9B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:9B:13006190:13012897:-1 gene:Dexi9B01G0018310 transcript:Dexi9B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAFGCAAAFSALALFVAAAHLRLRNLTHFGGFGVSAAVGREGVGAKIEEGSEGINNPIGLSCAVHDAKEKEEKKLKARQKEVARLQAQAASDGGGAKKSDKKLRKKGATDESPEDFIDPETSAGQKKLLASQMAKQYSPAAVEKSWYAWWESSRYFEADAASSKPPFVIVQPPPNVTGVLHIGHAITAAIEDALIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMREKNLSRHDVGREKFLCEVLKWKDQHGGVILKQLRMLGASLDWSRECFTMDEQRSKAVTEAFVRMYKDGLIYRAHRLVNWDCTLRTAISDIEVDHMDLTGVTPIDVPGYRSPVQFGVLISFAYPLEEGLDEIIVATTRIETMLGDTAIAVHPQDGRYKHLHGKYAVHPFNGRKLKIICDSELVDPSFGTGAVKITPAHDPNDFKVGERHNLEFINIFTDDGKINEMGGPQFEGMPRFAARTAVIDALKNKGLYRDTKNNVMSLSLCSRSKEIVEPMMKPQWYVSCNSMAKGALDAVKSKKIEIIPSQYEQDWYRWLENIRDWCVSRQLWWGHRIPAWYVTFEDDKEKDMGSYNDHWIIARDENDAILEAKQRYPGKKYRLDQDPDVLDTWFSSGLFPLSVLGWPDDKPDLSTFYPSSVLETGSDILFFWVAKMVMMGMQLGGDVPFQKVYLHPIIRDAHGRKMAKSLGNVIDPIDVINGISLENLQKKLEDGNLDPKEVKKAKEGQRKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAIRFAMNKLGDQYVPPATIAMCSLPPVCKWILSVLNKAVGKTESSLEAYKFSEATSSIYSWWQYQLCDVYIEAIKPYFNESQEFDSARGAFRDTLWVCLDTGLRLLHPFMPYITEELWQRLPQPKEACTKDSIMISEYPAVVQEWTNDQIENETETVLDTVNKLRSLRPSADTYERRPAFVLCQGLETAATIRSYQSYITTLASVSSLQILTEDDPTPLDCATHIVSKDLSVYLQLRGALDTKAEREKLRRKREEILRQHDALSQKMNASGYREKAPQSKQDEDTRKLSALLGELEIVDGAESKLDANN >Dexi1A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:1A:4694421:4694748:1 gene:Dexi1A01G0006260 transcript:Dexi1A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNHHLLPQHLMLLPVIVTWFAFSSSHYISHSVTTAGPHPPPTYPTAAQIQRPS >Dexi9B01G0021110.1:cds pep primary_assembly:Fonio_CM05836:9B:15775437:15786491:1 gene:Dexi9B01G0021110 transcript:Dexi9B01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARVLPKAVTFVTGNAKKLEEVRAILGSSVPFQSLKIDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGLNNILKAYEDKSAFAMCIFSLALGPGEEPLTFVGKTAGKIVPARGPNDFGWDPVFQPDGFEQTYAEMPKSVKNEISHRGKALALVKEHFASANYTIQSDGSA >Dexi5A01G0028050.1:cds pep primary_assembly:Fonio_CM05836:5A:31387064:31387585:1 gene:Dexi5A01G0028050 transcript:Dexi5A01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQPQESAAPKLCAAGCGFFGNPATHGMCSVCYKKHRSAVESGSGATAATTTSEPVARSACAASVAISGAVAAKPAAPAISVSEAVVASSSSSPSSSSASEAETKAEPNRCPACYKKVGLTGFLCRCGKTFCGKHRYAEEHGCTFDFKGAGRDKIARANPLITGEKLIGKI >Dexi1B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:1B:23777293:23782263:1 gene:Dexi1B01G0017440 transcript:Dexi1B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASWVVDASHCQGCSVQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYEMRYGHKNRGARAATKATSKPEDEILSEILGGGVQIQNSRKASLDSELLGRTASSASASSSSSKRTSTNFSMDAIGDDSLATESHNYELNNTASIFTPEELRQQAVEEKNRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSRRLATKTPNIVALGTAPTTDSSEEAETKRSSAGKRVKKENDLASELRELGWSDADLRDETKTAPVSVEGELSQLLREVAPKSSEGKKTGGIDKSQVNALKRQALMLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKHDDVLMDDPKFPSLNFEQILGASNDLAVDGHFDVTDDDMNDPDMAAALKSFGWSEDDDNELENHESVSSLSNQEAIKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKNIETEEPDLKVPSPEGQRTTNAEDITFAGMNARPVAASKSKLAIQRELLALKKKALALRREGKVDESEEELKKGSVLEKQLEELENSSKPSVAKETRSFASNPPYKVEPPSLDLADEGLEPEVTDNDMQDPALLSVLKNMGWEDVDTDSAKRTDKPSISSHAVPQKSSKTKGQLQKELLGIKRKALALRREGKNIEAEEELEKAKVLEQQLAEIEESSGLTASQQGVATVGHQTAENRYDVQHVPSIDATVPPSSVRKEMKGDDILPVFAFEPGKSVDTLGGSPSKLQTETTGSKQGHIAKESSGETSSALPRQEFTDPLGSEKGSHSPSEILDHKEPLKTHGDDALKDEILLHKRKAVAFKREGKMAEAREELKLAKLLEKRLEGAQQDSVDGGYESTSNVQQSSMVQQPASSPNYTDAVASAPPPQVSKSAQAQKAMTSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQGSSSGGKSGEPNDGLVEDLLDPQIMSALKSIGWSDMDLSMQSSSSQPPKPAQSSFIQPPKKVEVKPAVAATSKPQSERSQLEEEIKAEKLKALNLKREGKQAEALEALRSAKRLEKKLASLG >Dexi2A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:2A:13626691:13638138:1 gene:Dexi2A01G0011730 transcript:Dexi2A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWSARLLLTPASSRATPAPPRPAARHRPSSPISRRGLHLARPSPPRAYISAPAPGPEAAYAPPSLDAAAAAADVAAAISSSDAVTWAGVWALLSRHRARIAVCLAALLACSACTLSMPLFSGRFFETLIGQGNEPLWRLLSKIAVLYTLEPIFTIIFVVNMTVIWEQVMARLRSQIFRRILIQKMVFFDRHKITGTLCILFTLSTELAPVLGLLMISVSVLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISLFDNLARAYQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAVQGAVNTLGDLRGTLASIERINSILSAKDIDDSLAYGLAKELDSKELGDSNGGLYENGSVNKHFMSALKSSSSCSNLAWSGDIHLKDVHFSYPLRSDVEILNGLDLTLECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRIFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNLLMKGRTSLVIAHRLSTVQNAHRIAVCSEGKITELGTHAELVAKGGIYASLVGTQRLAFE >Dexi6B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:6B:26020174:26023991:1 gene:Dexi6B01G0019220 transcript:Dexi6B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDETPEPSASASGSSSSSAAAAAPAARLNAAAPEFTPRSAPQHHGGNPHRRGSHHHQNHHHHQHYQPRHQQHHHQHQGGEDEGSAAAAGEDKEGPAGAQPRLSDGEARKVVKQVEFYFSDINLATTEHLMKFIANDPDGFVPITVVASFRKIREIVSDRSMLVAALRTSSELVVSEDGKKVRRLRPFNIEEVQSRIVVAENLPDQKYQTLMKIFSDVGSVKSIRTCYPQDGTAGAASKTSRIEMLFANRLHAFVEYGAVEDAEKAVAFSSEGKWRDGGIRVRSLLACLKHGLGQGKKGGDEYAVDEDGPDTTGHPHDYATDNSTHNSEAHLDHQAEDGSYDKGGMRQGRGRGRGGRGRGRGQYYGHSRDAHHPIGTPPSEHPAIPKPPPGPRMPDGTRGFTMGRGKRLNPTPDAAPITDAAPVTDAAA >Dexi2A01G0027590.1:cds pep primary_assembly:Fonio_CM05836:2A:38952558:38952946:1 gene:Dexi2A01G0027590 transcript:Dexi2A01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSMIQDKIRQLLAAAKNADFSGMNEAERAAKQAEMKEERLALIHEFARLASVRKDPDYSGMTETERAAEAERRRQQALQEARRLQMEGHPLGQTGARPWLASSTSIPSSGASTTTDALSSSRT >DexiUA01G0019800.1:cds pep primary_assembly:Fonio_CM05836:UA:41039577:41040239:-1 gene:DexiUA01G0019800 transcript:DexiUA01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSSTYAYDTGVVMDDGTVIRTTVTNSGDAVKQFLQEVSKHGQRLIVGLDTEWRVKYRRDGHIDYRTAILQLCVGHRCLVFQIARADHVPAALRAFLACPDHSFVGVGVDNDVERLYDDCGLYVANAADLTYVAAEALSRPELRRAGLKALTREVMGVHIDKDKAVTMSEWGRPRLSVEQVRYACIDAFVSFEVGRMLLGGDAETGETTVRYVPFELP >Dexi5B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:5B:10713434:10716182:-1 gene:Dexi5B01G0014330 transcript:Dexi5B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQEEASSSSSSSSVALMRQCRICHDEEDDRRSTMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQDFEPGYTVPPRKLPPADVAVTIRESLEVPRLNYEPEEQEDAAALASAGDPEYAECARTAGRSASWCRSVAVTLTIVLLLRHLVTVATVGAANQFAFSLLTIYLLRASGILLPFYVVMKLISVIQQGQRQYRLQLLQEQRRDALRLARLQGREQLQQQHVILVR >Dexi5B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:5B:4446813:4448025:-1 gene:Dexi5B01G0006630 transcript:Dexi5B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNASTLQMKLLIDTSANRVVFAEASKEVVDFLFSLLALPVATVVKMLDAGSMPGSFGNLYGSVVNLDAAYVLPGADKRAILHPEVVPSAATSLLRPAPPTSGESRCFFRCSRRIYSACYEYVTETSGARCPRCFNEMKTALKFVADGSGSNMHMAFLMAMATGAAAKGGLVQGVVTYTVRDDLTVTPMSTISGIAMLNAAGVVNFAALQEKTVRIGYAEALA >Dexi1B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:1B:19790382:19791890:1 gene:Dexi1B01G0013780 transcript:Dexi1B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAAATVLDLAEVSFSDLALLQSPETPADDRRRRRVLDTVATELGRGGSGLLAIAQVPRVGALRRRLLPLSRRLALMDHPSRSQILKKHGLGSDVPLKNPDRSVSSFAKLLRHSGKFALVELVNETESISNGFDCLEKVHDSDGSEEANGDDDMENVGELVEELGLYMMELGILIARACDIVIGGGQLEQSITDFGTAKARLIHYHSELDNIIMREKESSTKRKCSSKKVPVKPYQLGSQRRSESLSPCCIKSDDRTPVMVGKDNDSRDTSVQGKASEISLLNLWQEWHYDFGILTILTPPLFLGGSEGEKCLVNQEYHHPIGHTHLQLCNGRKIFSVRCSPESFIVQVGEAADILSRGKLKSTLHSVSRPLSFTDVSRETFVVFLQPSWDKTLSYSGYTSDTEEQLSHKNGTSILGNGSAGYCDEDMRMQEILEKIPPLSSRLTEGMTFAEFSRQTTKQYYGGGGIQHNN >Dexi9A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:9A:6528048:6529702:-1 gene:Dexi9A01G0010670 transcript:Dexi9A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRKHASHFNSNDAGQREAKINELKSAIGPLSARTEKYCSEACLTRYLEARNWNVIKSKKMLEESLKWRATYRPEDIRWNTKSHDGQVRFLVYALENAILSLPEGQEKMAIKYFLDPRSIEKLNFVYLKDEESMKVLYKCIDPEVLPVEFGGRNSVAYNHEDYSKLMMEDDIKTSTFWADDAKTVKHGPLIADVMPQSSQIAAKAS >Dexi1B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:1B:6029319:6031069:1 gene:Dexi1B01G0007300 transcript:Dexi1B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQANAAASWTGPSSLFSREASAFFGSLDSGHRCWCDRYLYEPVKGKGGQFQQKYFEFSAIHLGRFLPYLLQSFGRLRLLNRLGECFK >Dexi6B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:6B:3365802:3366595:-1 gene:Dexi6B01G0004130 transcript:Dexi6B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWDYKFSLLDASGNAAYELPAETGLVTSPTHRTGFADVSRAEEEDDDVKARVGLAEFVTKEELERPRETLLKDDCLAIRCDVGVTEMGVHAVAPKESHHNARQYDDDYSDWEDDGGAHESTSRRRRQPKPLDDKEYVRRSLAKNRGA >Dexi4B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:4B:8300593:8300977:1 gene:Dexi4B01G0010930 transcript:Dexi4B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSPRERAAGAASSAGGPAAAEAPAPPQPARAAERGGSEGAGGAGELPVRGGAGTTTEPPAACAICLDELRQGQLCSEAPACRHIFHEGCIRVWAKSKNTCPLCSCFPGAAYGVAAADDMV >Dexi5B01G0022070.1:cds pep primary_assembly:Fonio_CM05836:5B:24293762:24297798:-1 gene:Dexi5B01G0022070 transcript:Dexi5B01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNLFQGSWVYDDTLPMYDTAGCPFVEPEFDCQKYGRPDKQYLKYRWRPASCELPRFNGQDFLSRWKGKKILFVGDSISLNQWESLACMLHAAAPASKVAYSRGNPVSTVTFQAFGQYRWHGALVSHSHGHGMEAAGTYLVDIVEESVGRVLKLDSITGDAWLGADVLVFNTWHWWTHTGRDQPWDYVQDGAQVMKDMDRLTAFSKGMTTWARWVDSNVDTSKTKVYLQGISPTHYNGAEWGEGSRSCAQQTQPVAGSAYPAGPVPAQGAVRAALSGMSKPVYLLDVTLLSQLRRDGHPSAYSGGHPGNDCTSPACQTHGTRSSTRRSLRRN >Dexi3A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:3A:10294013:10295068:1 gene:Dexi3A01G0014100 transcript:Dexi3A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGASNARSKRIRRLKSKPREPRREGKRKKKTKQKKRKASAPPWTPAGEPPHRTAPPSAQRKQAERGNDSEPRGAEQLARPRCVRRQGGALPAAARLQDLSLLAEGGKQIYLLPLWGMLASAGHLLICLRGGYAAYPTFLSPCWLHCLGDSFSTPPIHRCLTPREKQPPT >Dexi2B01G0035630.1:cds pep primary_assembly:Fonio_CM05836:2B:42553057:42554747:1 gene:Dexi2B01G0035630 transcript:Dexi2B01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKPTMASSPFLCRLKDVHHPPHELRCGPGLVLRSGHRPPHLIRHHPPHQLQLVLRPHQSLADSFSNTGTGSESSTDLRSNTGTNPHSYPDTGIYAGNNPSCPNASTDICTNDTHSRPDASTTTTIILPFCLLEQYILIFYGGLPVLPDIQTLCSCNGDFTPQLHLLAADGSSSLPSSPTTAKRPMAEFTKAPSPNNMLARFTRAKLPPHHPSQLRRPSLPSREGAKDGGNNLTTMLLETTISLLADKDTSGGTSGHRIPPSNAL >DexiUA01G0010570.1:cds pep primary_assembly:Fonio_CM05836:UA:21033941:21034549:1 gene:DexiUA01G0010570 transcript:DexiUA01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNEEDTTSQGSAGGGVPASSGVAAATTEAEGDEMAVVAAEEEEQMMVSVETEEHIQRILVAIDNYTRQVSEMLDAGRALFKDLAADFEERLCTVHKERVERWEEEIRELRARDAANEQTRALLHNAQLHLLHTVRD >Dexi3B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:3B:7758052:7758309:-1 gene:Dexi3B01G0011020 transcript:Dexi3B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVEGEVRIQKVERIDLVYNLLTKPSIYGNKPMAATGKYPTSGHDVAASWNKAGNPSKGIVSVEDINKRSERYITEMKKRFLG >Dexi2B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:2B:14111696:14115735:1 gene:Dexi2B01G0012100 transcript:Dexi2B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKMALVKHGTTFLNSSSESDKDGNFQPTDDDLSVVSSPQHCGETDVNDEDEIIPIKVYIVRANEFATTDVNLNLLKLDARKKGRQPKGESSEGVISDDDAKIATHNREVPASDGSSAYGIGLDSDIDFDDVTSFDNVNVILDSLAADCEFHDHLRWSYYELCLTQRSLLHKNLLKQLHPTLVMGVIMDTVSIAEGIRACKAQSSFHEDFLVWKKTLESFELLGMNVAFLLKRVNALLGLTTRSRESSEWQQKYKELKLERACAGKKMKILELQLSSVKDVLHKVDAEMEELESSLMKSNKALQELASAPW >Dexi5A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:5A:10350411:10350659:-1 gene:Dexi5A01G0013720 transcript:Dexi5A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRKSFFASLFGSKKQQGSGGRQEEDAPARHYYPGTRVRPSDDDEYYGHYWYAERDINRKATEYIERVKRGMMSSSEQDG >Dexi9B01G0033750.1:cds pep primary_assembly:Fonio_CM05836:9B:35864725:35866392:1 gene:Dexi9B01G0033750 transcript:Dexi9B01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLLSWSSFVGVLLFLLAVLLLRRRRCSTRKQYNLPPGPRPWPVIGNLNLIGPLPHRSLHELSARYGPLMSLQLGSYPTVVGSSVAMARFFLKTHDAAFLDRPRLSTGRHIFYGCSDILWAPYGAYWRQARKLCQAELLSARQLRSTEHVRDEEVHAAVRGLRAAAAAASSAGGAVVLKDHLAMVSVGVISRVALGRKYVVEGGSSPVAPDEFRWMVDELFLVGGLIGIGDFIPWMEWMDLQGYVKRMKKLRKMLDRLLDHVVDEHGERRRREGEGFVPRDMVDLLLQLADDPSLEVPIERDGVKAFTLDLIAAGTDTSAVTVEWALSELLRKPEAIAKATEELDSVVGSGRLVTEADIPRLPYLEAIVKETMRLHPAAPLLAPRLSREDTSVGGHYDIPAGTRVLFNVWAIGRDPAVWGDAAEEFRPERFVGSDVDVKGHDFELLPFGAGRRMCPGYALGLKMVQLTLASLLHAFEWRLPDGVVAEELSMEEKYGMSMPRMVPLAAVIQPRLPAHLYDDDGP >Dexi3B01G0038400.1:cds pep primary_assembly:Fonio_CM05836:3B:41181639:41182224:-1 gene:Dexi3B01G0038400 transcript:Dexi3B01G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRPLLLSLALLLMITTCTHDTSSHAQAAAAAVTQQGMMGAGTSMRSMVGSRPPSCAGRCWWCGDRRCEAVQVPITPQENRGHATRGGLGGGGGGRRAGASSSSLKQQQQQLSLVSSYNDHSNYKPLSWRCKCAGLKP >Dexi5B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:5B:12725339:12727325:1 gene:Dexi5B01G0015480 transcript:Dexi5B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGENKPEIFKIWAALDRKPKPNVSTANAPEPARPNPPRSLFRPFRAQTARSSSLSFGRASALPQSGRAPAQRLLTLGFRREAMSLRPSERAELRRSSPLIEEVVSSGLVPGFIQLLAKEHCPELQAVWALGNMASNTAICCNVVRAHGALFPVLQQLCGHAKLSMLHKASWSLSNICHGLSQSNSEQVKLAHPVLRQLIHSQDDEVLIVAWPQLVEFLRNFW >Dexi6A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:6A:28464583:28466192:1 gene:Dexi6A01G0021110 transcript:Dexi6A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLISFLLLLLLMQHGLWSSGASDKNKSMVEQLQRYGVIRSSKVAEVMEAIDRGLFVPSGGSPYFDSPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSDGREGWPELAPYDAIHVGAAAPQIPEALIEQLKPGGRMVIPVGTIFQELKVVDKKLDGTVSIRDETSVRYVPLTSKESQLHAN >Dexi9B01G0044390.1:cds pep primary_assembly:Fonio_CM05836:9B:44168205:44169320:1 gene:Dexi9B01G0044390 transcript:Dexi9B01G0044390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPPQRRAASSKPVTTGHLDPKKAGSARNKWRHHADIDDFEAAFEDFADDFDVEEDEDEVKKDHGRFVFSAKSAFSPAHGGRAGAKKKRRDFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFDTAEDAARPYDVEARRLRGTKAKFNFPAAGGRQRRGNARKPQHHAVAQPTKIAVKPEITESFDMDSLFDMSFPDPAFPAATPAMESSFTGSTEPESGSPAKKIRYEGSSMSSGGGGSALELADELAAFDPFMLLQLPYSGGYESLDSLFAVDEHVQDVSVVNNNDMDGGSLWSFDNFDFPADSSVF >Dexi9A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:9A:12753673:12756114:1 gene:Dexi9A01G0017720 transcript:Dexi9A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGCEGSSKTSASSSGCSTPFRLNVHAPEFVPVSPAAGSPMAAAGYYSPFFQLPNGGGVGPLGVDWSFLAEPDPTTFFLPEFIGHAEIAGATGIARHPTGASPADIAHKIVKQVEYQFSNANLVANDFLTKIMNKDPEGYVPLSVISSWKKIKAMGVTNQLLVRALRTSEKLVLSDDGRKVRRAQPFTERHKEEVQSRMVIAENLPDDSTRNSLEKIFGVIGSVKNIRICHPQDPTSARSSRSDANALVSNKLHALIEYETSQQADRAVDKLNDGRNWRKGLRVRAVLRRSARSATRLKRPDLDHLAATDEDRSPRSPAASHLPDHNQEDQHAGAKKPWGRGRGRAHAVAVAAAQASTHSAGAAGHLVDSLAASNVHAPQGPRMPDGTRGFTMGRGRPSLAVAASTAVRVV >Dexi9A01G0023160.1:cds pep primary_assembly:Fonio_CM05836:9A:18351499:18352011:1 gene:Dexi9A01G0023160 transcript:Dexi9A01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASKHARPVCWYSCRSPTRALRSRSSSLSASHAGSSPAAPGAERSTSLSRELRILAAPACCPPSAATRAAAMSSVAARRTRSRSTSMAGGGMCVSSSGLLITAAGAAAVAACPVAAILWVQLTLTRRVVSSVARALGTYTRTRKRLPSSPASMVAERPSVSMWLA >Dexi9A01G0030690.1:cds pep primary_assembly:Fonio_CM05836:9A:35660183:35661024:-1 gene:Dexi9A01G0030690 transcript:Dexi9A01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGDDLKLLSTWFSPFGSRVKLALQLKGLSYEYVEEDLLNKSQLLLESNPVHKKVPVLFHNGKALCESMVIVNYIDEAFPDAGPSLLPSDPYERAIARFWVAFIENKFVTPWCQLFEGAKTRAEKAEGLKQILEARMTMEGALEERSKGKPFFGGDSVGCVDIALGGLLVWVRASEVLFGVKFFDADRTPLLSAWAESFAALDAAKAALPDFGRVLQYAMAVRGPAAGGVAANN >Dexi2B01G0022310.1:cds pep primary_assembly:Fonio_CM05836:2B:31938796:31940111:-1 gene:Dexi2B01G0022310 transcript:Dexi2B01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGGGGTEPRRATPPANDAPARKQRFVGLHGRWARSVAVPISLPNLIGNPISSAVDAGGGGDLDLNIDGVVDLGIGGRREHLLKWPDEGTTLSSPNSCVQQHQLLLYSLPGDKHTVQCPFLFYSSLPLVLESGGKQAIFYSYLLLAL >Dexi5A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:5A:4289462:4291222:1 gene:Dexi5A01G0005740 transcript:Dexi5A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLNAMFRRMFTSAGLRPSSATVDVGDDTAIHFWAHPSLLQPPPPPSSENHHQPPRPVVVLIHGFGPDPTWQWAAQAGPLSRHFDLVVPTLLFFGASSTRSPARSDAFQASAIAALLTGGHLPGLAAGGRKVHVVGTSYGGLVAYHLARELDERRHGEGGGVRVGKVALCDSNACKGAEDDRALAARGGLAEVTELLAPADTSALRRLMAVCAHRPVKFLPECLLHDMLRKYFADKREEKIALIKGITTGEGFQLTPLPQEVLIIWGEFDQIFPVEKARKMKDKLGEKATVKVIPNTGHLPQQEDSKLFNQILLDFLLHPSTSNGSAADK >Dexi2B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:2B:13073497:13077959:1 gene:Dexi2B01G0011460 transcript:Dexi2B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRIPGYFKNKGILVTGSTGFLGKILVEKILRVQPDVKRVYLPVRAPDAESAKKRVETEVIGKELFGLLRETHGKGFQSFIEEKVVPLAGDIIHENFGVEGAQLAEMTREVNVIVNGAATTNFYERYDVALDVNVMGRQGVIQERPFKLGETLREDEPELRLDIDAELRLAMDYQRQLAGDDAEQKNERKAMKELGLARARGFGWPNTYVFTKALGEMALAQQQQEQPELAGVPVVIVRPSIITSIQRDPLPGWIEGTRTIDAILIGYAKQSLSCFLADLDLTMDVIPGDMVVNAMMAAAAAHASSPPEKPQPPPPQPQPPTVYHATSSLRNPAPYAVLYRTGLRYFSDFPRVGPDGRPVRARRVHFFATVAAFTAYMVLRYRLPLELLRLLNVLCCGLLSGLCADLGRKYDFVMRLVDLYGPFALFRGIFDDANVERLRLAMAAGDRAEFNFDPKTVDWDDYFYGVHIPGVMKYVLK >Dexi1B01G0024660.1:cds pep primary_assembly:Fonio_CM05836:1B:29918168:29925138:-1 gene:Dexi1B01G0024660 transcript:Dexi1B01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTGNPNPNPNPPFELGKLFRPPNPMPTATAAPIFPGAAGGPAGPPPPSGPYSYPPVTPPFHRGPYLHYPQDPHAMPRPVVSFPMPNPNLNPNPNANPNAAVPGPNAGVRLMQLLGNSGPTQLETAVSMPPPTSDFAQPLPAMPSAPPARMLSSTSSKVPRGRLLGGGERAVHDIDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHSQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGDVQAYHPRICWHSHKQEILYVGIGNCVLRIDTTKVGRGRDFAVEEPVKCHLEKLIDGVRLVGKHDGDVTDLSISQWMSTRLASGSKDGTVKIWDDRKSAPLSILKPHDGQAVYSVAFLTAPERPNHINLITAGPLNREIKIWASTNDDGWLLPSDSESWNCTQTLELVSSLESRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLDLSLCSPPTADTIGFGRDPAISRVYEAPPEVAGTESSTTTSFTDSVSASSKPSTVDQSADFDPKPSAPPLAYSEGDSSMHPPSAPLASKMEFPVSGPAPGARDIDQSAFDYTANRNMEREALKRQDTPMPIRKDELRDGRSDAAMLPNPRLMFQVGGNATHLVTPSEIISGTLSSADNNDVSKSDGGKIQDVSIRSSGVAEGEPKHVDDSRPDQNSGLEAIKETQIVCENLEKTRSSEQTVEMISERSVTTDKYSVEESQSSSDKPTLDHTGVADANVLKKSVESPERSDHSASREQSSSYTKEEKVLHPQTSGQPSPPVSAFNSTESHEPLSSAYPPISSFPEVSATQGMLQQLIGMQKDMEKQLGTMIPASVAKESKRLETSLGRTMEKSIKAHIDAFGVRLQEENTKREKAEKERMQQLANLITSSLNKDFPSNLEKSLKKEISSLGPVVARAITPIIEKCLASAVSDSVQKGVGDKVCNQLDKSISGKLEATLARQIQMQFHTSVKQALQDAFRTSFESLLVPAFEQSCKMMFEQVDGAFQKGMSEHTVAIQQQVETAHTPLALTLKETINSASSITQSFSSELLDGQRKLLALVASGNATAHNTNALQPINCPMGGPQEVNAADFPTSITLLITIYLFNFLCMPSPFHVVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSRLCSQVDLRALLAMSPVPLNQGVLLALLQQLAVDVNNETSRKVQWMTDVAMAINPADPMIAVHVRPIFEQVYNQLAHQRALPTTSTSDGTSIRVIMHVINSVLLSYK >Dexi6A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:6A:27009926:27012293:1 gene:Dexi6A01G0019390 transcript:Dexi6A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEKIALEGLYSIVCDLSNNESIDRLRRVKGIGDSKARLSFIRAINLSASFVAHYVISIPTQQDFLEYTFILPATKQFPKQLIKHGSSTSVKYLSEDEWILDPVIIADLYEPLGLDFIVDGGPRIADPSTVVDMTGTNPTIIRQGKGPKLDWMVAKDEEEEQSMFTFKAA >Dexi7A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:7A:20170586:20171422:-1 gene:Dexi7A01G0009010 transcript:Dexi7A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISTLNGLASGGTPASSSCSGKPVHEAGPRGHWDADAAAEREEEEGAGVGQEALISISFGEFRYERCRVAGRGGRDEQREEPWWGVAAQAGDEETEEGVCEVGQAVAEEEAGHGEERLRGVSEEALRGGGDEEDAEEGQERERRDGERVAAEEGEEVRGGEVREEAEERRRRGGRERRGVDGECERDLGRGDEERVGLAWGRRRESRRRRGVPWGRNRWWRGVSGRRPALEGTVAEGSAHCDRLIGGKVERQI >Dexi2A01G0032440.1:cds pep primary_assembly:Fonio_CM05836:2A:42915940:42917106:-1 gene:Dexi2A01G0032440 transcript:Dexi2A01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANGLAIVGPVPFTDVCGARPDLVATTPNELDGEEDDSAAASELTTAAKRPGLRLYQGAWLAQVWVPGVVAIQQGSFVPRRGDVVLASQPKCGTTWLKALAFATMARVAYPPAHAGHPLLRLNPHDCVPFMEMLFADGALGNRKMDALPSPRLMEPKDMLISLWHFTRRFVPDRAFSDMFEDACQGASFAGPIWDHVLGYWNASKDSPERVLFLRYEEILRDPVENVKKLARFVQQPFSPAEEAAGVIKDIVRLCSFDRMKGLEVNKKAGSNYVFPNSSYFRRGEAGDWTNHMTPEMAQRLDAIMEEKLQGSGLSI >Dexi4A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:4A:20184877:20185206:-1 gene:Dexi4A01G0016550 transcript:Dexi4A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLARYLRRLTVHAARNGRGPAIGRHQQLLIDYGRVAMLLGAITLTHQLGRSAPAFSNVEPLVVGLILWLLGAALAMLGLVAPRFPRLAAACAEIAAALRYYLLGGL >Dexi5A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:5A:107973:110041:1 gene:Dexi5A01G0000110 transcript:Dexi5A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSAAQQPKPTRVSLSYEEISKLFSLPIAEAASILGMSPCLPPSFSSLARSECALLASHPVVDDSPCLLASSAGVCTSVLKRICRTHGIVRWPYRKLVSAKAGDDPKGPEREKAKELHELSKTSKQKAFSPSGSSAVSSSNSQGAAKSHQSTSKAGQVSVSPPAGKQNASPSMSHGSQAKAIPSYMDDFKYGFPSSGLSCETMKWWGRSSDTDCELTKDGSREAHGEASKGMTDDDELDWGADEAEVDGAVTAEASAQLCSLRRKAVDDGRKLLNGDTRRAQEFSRLNKRQKLALAQVFGASLPDVVLLVFSE >Dexi9A01G0029390.1:cds pep primary_assembly:Fonio_CM05836:9A:34243478:34247258:-1 gene:Dexi9A01G0029390 transcript:Dexi9A01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPETASDGKALTDAWDYKGRLASRASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEAHDGERGKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGICAVGILCGLAVFLVGTRRYRFKKLVGSPLTQVAAVTAAAWSKRALPVPSDPDMLYDIDDAAAAGADVKGKQKLPHSKECRFLDHAAVVNTESPSTASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMNRSIGGSGFLIPAGSLTVFLIGSILLTVPIYDRVVAPVVKRVTGNPHGLSPLQRVFVGLFLSVAGMAAAALIERHRQTTSEHGVTLTVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVDKVTGHNGHGGWLADNLNEGRLDYFYWLLAVISTVNLVLFTLAARGYVYKDKRLADAGIELADEEAIAVGH >Dexi5A01G0035330.1:cds pep primary_assembly:Fonio_CM05836:5A:37141005:37144923:-1 gene:Dexi5A01G0035330 transcript:Dexi5A01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLLLVSQVTASHVAARVARRVEVGAALRSYADPLVAQVPADRPPLADSSILSPYPAAPDDIVRGFAGGASELPSAEAAADALCCAAPDQTAVATVVTSAPTDAAERALSDAPFPTTFPSDASEVEDSVARLIDKLGKQVFQAEDALTEAYDKLRLSAYDVLGTWRKTVREAVGGLKASVDASKEQAAGGVTDASGALQQKVAGASAVAIDVLRKAIVAAEDSLGNAATFVVYSYGSAKESLPPNVRDLLNSSEEKASLVLRPIGNALQQVYVIVEGVEKNVGLDPSDPVIQLAVVVGGSVAIGSTFWLFIYGGYSGDLSPESALKLLKNDGKADLREKDGVPDLRRGARSKYASVVSPEIKGPIKNMLKGGRDVDDALLAVVIRNLKLVKRPYLVKGGFQAWSKNLRIKELKPETALTAINEDVEEIIEQIKPTPTLVFGSLLGLSALSYAVLEWETTLQYIAVLSIGLTIYSRFSTYESSKDLEQDLKLLLSPVQVGTEALSWAAKKIEPSKVGLPTSPSTTAVKDRVLQAAAKHESQPSDVEDSPAQAAEA >Dexi5B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:5B:7440394:7440948:-1 gene:Dexi5B01G0010490 transcript:Dexi5B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHDRWCILKEATRLYGASWVRDIGPDLRPNDYKKNAEDEEEPSNGKPGSDNGKKGNSSEPSVLEDLAVAARGGAETLKPALRRIYMTRASTYTEAMKNFVETYQEGLKDQLQEKAADGNGGRQQPQQGEEAASKPPPPPPPPSS >Dexi1B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:1B:2517935:2520498:-1 gene:Dexi1B01G0003100 transcript:Dexi1B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSAANLLALLRRNAASPAVALRLFLHLSSAASPAPPRSTSFLARLLAAQPAAAADALLPRLLRHVLSFPDPSPHLLALLSCSNVLPLRLSLPAFRSLRALASAPPPPTPVYNRLILAALRESRLDLVEALYKDLLLAGAEPDVFTRNLLLQALCDAGRMELAQRVFDAMPARNEFSFGILARGYCRAGRSFDALKVLDRMPSINLVVCNTVVAGFCREGHVEEAERLVERMRAQGLSPNVVTFNARISALCKAGRVLDAYSIFKDMQEEWQQGLPRPDQVTFDVMLSGFCDAGFVDEARVLVDIMRCGGFLRRVESYNRWLAGLVRNGRIGEAQELLREMTHEGIQPNSYTYNIIVSGLCKEGKAFDVRRVEDFIRSGVMTPDVVTYTSLLHAYCSKGNITAAYRVLDEMAQKGYFPSAQIVFDAALRTCGQKEVLFCLMCTEVSTYGRWIEAKNILEAALEMRISIQSFPYKQIIAGLCEVGEADHAHSLLKLLIAKRYTFDPAAFMPVIDALSNKGKKQDADMLSEKMMEMADYNDDLTTDSGKTIPGSRKHDHAKNGQSDWRALLHSL >Dexi9B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:9B:6094651:6101564:-1 gene:Dexi9B01G0009900 transcript:Dexi9B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKPTREMEEAAEEVRQEVEGAGGAEVIPDAGDTGSGGEEEGKEEEEKEVTFDELGLDEQLKRALRKKGIAKATPIQQEAIPLILEGKDVVAKAKTGSGKTFAYLLPLLHELLKLSSEGRIRKPAPNAFILVPTRELCQQIYNEASSLLEFCTSKLKVVQVTASMSDKDITVALSGPPNILVSTPACVATCISKGIMRGASIKESLSMMILDEVHFICLLKCFLFMHIFFSQADLLLSYRCEDDLKALIPHIPRSCQSILMSATSSSDVDKLTKLLLHNPFILTLTEVGRAKDDVIPKNVQQFWISCDAKDKMLHILALLKFELIQKKVLIFVNSIDAAFRLRLFLEKAFNARLFDYLIATDDTKTKEEKQTDKENKKESKVSRKHLQQTLDSEFGVVRGIDFKNVFTVVNFDMPPDPAGYVHRIGRTGRANKTGASISLVSPEEDSTFEEIEHMLQDVEKKDRDCISPFPLLTKDAVESLRYRAQDVARSVTTRDIQEARRQDIKNEILNSEKLKSHFEENPRDLDLLKHDKVLSNKEIPAHLRDVPDYLIDPKTKEASNVVKLSRAAMGIDRPQRRKRQGFKGGSGRSRDPLRTFSAEGKSRRRGRKDREREPDRRKKSKKTES >Dexi9B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:9B:9480434:9482014:-1 gene:Dexi9B01G0014200 transcript:Dexi9B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGFMARGANGGRAGELVTRDFLGGCATADDARDAAVRHDAVPGKLSLQKHACPATPRDLNLFPVASGAATKPCAVTTAPAPTSSSGPTTTYHSVCTIEKVKTALERFERGKQGSHNHQQQHSGAGASPSSSSVTTSSVKRRGGDSSSAVEQGDGCDSPSGGGGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPAPPVAPPAVSKKPRIDLNVGFLGT >Dexi3A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:3A:11034768:11055337:-1 gene:Dexi3A01G0014980 transcript:Dexi3A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDLKISRLRWLQLALHYSMIGDLEQSLHMLAEVDLAEEGVLQLLLASIHRLSSRSGSDNEVAISSKYVLFPGNIFGLSFEIQFMEKILQCENRLMILAVRFATQMIKCYGLQRQNMDIPDNSVKLQEMASLLMVIRNIQTRISAKNQNSVRMGDDNNTLKIDTEALQNDSSLPVVVVDGVSSGPGGLDAHDRQESAPDSHSLLALTPVESSLSASNFHDIDTNKGSAQVGRQIIQGNIKEMINRWETNNFDLKTVVREALQSDRLPLAVLQLQLLRQRELGSNVDSEDAFSEVHEIGRSIVYDLLMKGETELAVATLKRLGDDVESDLRELMQGTVRRSLRLQIADEMKKRGYIRSNEWKMLETITLIERFYPSSSFWDTYFGRENVIRDAAKIVTLPGEDKPVLALHIRNRPLIECGDVDGAVLGSWVNINDYTDSKEFHGSNISDGYWACAAVWSDAWDQRTVDRILLDQPYNIHDHIPWESQFEYSVSHNDAGKVFPDYNMYICDSEELEPVCMEIPHAKVAPLAITNFEDSTLVASCIFLLELCGLCANILRLDIAALRRISSYYKSVQQNKHYDLSSPTASELHVQSHGADIIPALARALAEDYVQSDHLHVLEQTQTSMTPKREQPPQPLVSILQHLEKASLPSLDEGKTCGFWLLTGIGDASLYRSQQNEASQHWNLVTEFCQAHRLPLSTKYLALLANDNDWVGFLTEAQIAGFPIEVVVEVAAKEIRDSRLRTHILTVLKNMTSLRKKSSANTPSGSSDSSFSAIDGNNAVELFCILGVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLEITAAREMSSIKVDDISSKIAKNVGSAVEATNKLPGTSRNVEFRYNRKNPKRRRFLEASPEKSTSCFSLDNSCGPNSAATSNPVDTVSHQERGKTISGETIISVDIDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLSFIRSLQAFSQMRLPEASAHLASFSLRIKDEVSHTQINSSKEVSVIAGWVAATAVKAADAVLSTCPSIYEKRCLLQLLSDVDFADGGASSSYFSRRYWKINLSEPSLDKESDIYNWNDLMDDASLLTSLEKDGQWEQARTWARQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMKYSFPPQKAGQFFLKHAEGVGKEIPAPELHEILLLSLQWLSGTMTKSSPVYPLNVLREIETRVWLLAVESESQSKTDGEFATSAVAHNIAVGNNTSIIEQTADVITKIDNNMSPPCMKATERNVTKDNLSCQHAQLSESSEASSTTTNNTRAKRRVKTNLPFKRGVNDNSESRTNDMDNNYNNFHSSKLGEQARNLLSEEESAKMEASLSGWEQNVRPVDMEKAVLSLLEFGQITAAKQLQQKLSPSCVPEELVLADVALRIANNSSNGEISSPCFDTETLSIIQSLGVASSSDMIDPLQVELLILSHHFYLSSSCLDGVDVLVTFAANRVDSYVLEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDTATGTPASVRGFRMAVITSLKQFNPNDDDALLMVYRHFDMKHEAASLLELRAEQYMNSWLSRYDKERRNDELLEAMHHLVETAEVLSTIDAGQRTRRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPIEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRVQLATLATGFIDVLDICNRVLDKVPENAGPLILRKGHGGAYLPLM >Dexi1A01G0022330.1:cds pep primary_assembly:Fonio_CM05836:1A:29005356:29009116:1 gene:Dexi1A01G0022330 transcript:Dexi1A01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKETYRAELRAAARQLGERCLYSAAKWAAELLVGVDLDTSPAPSSAMDSPSSSSAVSAGRLLHLHRSGGSSFRHRFRPGGASSSEAGTPLGGVSYVSTPIPDDDAFDSGGDKYLLAKTYFDCREYRRAAHVLQNQVGRKAVFLRCYALYMNHWMKDFFLASTYLELKMHEEALKRYERLMGIFRSSGYIQAQVATVQYSMKDLDEAEMIFEELLRTDPFHKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPKDYRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWIAMAQCYESDPLQMVEEAIKCYERAANSNDTEGIALHQLAKLHDVLGQSEEAAYYYKKDLERMEAEERQGQNFVEALLFLAKHYKNIGNFEEAEHYCTRLLDYTGPEKETAKNMLKRLQSGFPSMDIDNFAL >Dexi9A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:9A:2365556:2368753:-1 gene:Dexi9A01G0004420 transcript:Dexi9A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANVQAITGYRHLNNRNLSVFNEFSKQLKGEVKSNPEFQKSIKEFGEKLGVVKEDLKVRTKKTTESIYKSVDDVWSEAEETSKKVTANIKEKVFAAKEEVKENFGIGKEETTSFKDGSPGASEHEKTEASSHSDGTSEDATSTQALFTKLKSTISSASPVVSGAFAKLKDTRVSTLAKQGYEIFKDELSSTSSRKKKHQARHAYDKVEKSTRTDLVIMPTKKSVLGEKWEAFKNKIRSHPVYKRVDEYTRPVVTKGQEVAEDVRERWETSDNPVVQKIQEYDVLHFFVLFAPSMAIARSFSLSDFVADVQEMIKPVLTAYSKGDVEALKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADVSEIKMFGSSPMIILRFQTQQIYCVRDREGQVTEGGQDTIQTVFYYWAMQLMDSDQVPEDESYYPVWRLREMQQAGVKALI >Dexi2A01G0028180.1:cds pep primary_assembly:Fonio_CM05836:2A:39488587:39490282:1 gene:Dexi2A01G0028180 transcript:Dexi2A01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPMNGQQGQGPPPQQGSGAPAPPQHQQAPPYYQQQPPQQYYQPGPPPQPWGQQQQYPPPPQQYAPPPPQQYAPPPQQYAPPPPQQYAPPPQQYAPPPQQYAPPPQQYAPPPAQYGTAPGSGEIRTLWIGDLQYWMDESYLNYQAFAPVAQQIASVKIIRNKQTRQSEGYGFIEFHTRAAAEYTLMNFNGQMMPNVDMAFKLNWASAGAGDKHGDNGPDHTIFVGDLAADVTDSMLEEAFRSNYPSVRGAKVVIDRITGRPKGYGFVRFGDLNEQARAMTEMNGMMLSSRPMRIGAAANKKNMDTQQTYATNGMYLWNIFP >Dexi3A01G0022600.1:cds pep primary_assembly:Fonio_CM05836:3A:18194600:18196734:-1 gene:Dexi3A01G0022600 transcript:Dexi3A01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHELLRAAATGDMALLEQVLGLRIDDTGGIGKLEADAQQEMSRRCLKGVTSEGNTALHTAAGRGYMELVRAMCVVDASLVRTRNNLRNTPLICAARAGHVDVVCYLIERAGLEAASVVVSSSDEGSMLRARNSEGATAMHEAIRNGHGAVLEKLMLADCGLAAVVDGKGFSPLYLAAALGRADMVDVLIGGPALDHGVKSPAYYAGPDGQTALHAAVLVSEEMSKSLWRWEPTLAKKVDNYGNTALHHAASAGKVGAVKLFLQGDSSLAYIPDVDGLFPVHTAAKMGKIDVIELLMEACPNSDELLDNRGRNVLHCAIEHKKEKVVHHMCKNPRFGRMMNARDGAGNTPLHLAAKHGCDRMAMLLMQDARVANLSVMNNDGATPLDVAINELDHGYTYPMNPEVLIAQCLVWCGAHRSPRRRDEDLNKRTGVRGSDRELSKYDNLTQNRAIGSVLIATVTFAAPFTMPGTSTAERPAFWAFILSNALAFMCSTVATCLLMYAGLTTVHPRYRSRYHVWSSNLLHVGVLLVIATFAFGVHLTLSPPGTAGIPVAVGNLNAAVCAMACVSVVFAHPGTTWWPVVLARPIWARLGLKGLLGVLLGPRPIPCQKLLLSRTPWLNLFKMLATLLILALILATFLWDMAYLQRAATRHGSPSTLMEVQCQLYTFPT >Dexi9A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:9A:9806413:9808665:1 gene:Dexi9A01G0014910 transcript:Dexi9A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKPTALKKTMEAMGVMGLTIQNIKSHLQRYREKCELGAEAPYEVPGPTSLSKAALNQVSKILMDADTVMLEMEIVNNFLMEDVIETVDNSICLDQLQNNLPIAKSIGGCRGIRIDVHVVANARLHLVLALLLAFLLLLLASKLERSGSQHLYMVGTSAAATSGAVIGAARPLCLRLHVAVKLDGVDVLEINGGRGGLSHKNGLQPMGGGDDGSA >DexiUA01G0027040.1:cds pep primary_assembly:Fonio_CM05836:UA:57577475:57578931:1 gene:DexiUA01G0027040 transcript:DexiUA01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKDTNCQGGSEEELRMEGHMLARMKRRDHLLLTDRDSAGAAGPLAVLAPQTPMEPMEFLSRSWSVSASEISKVLAGGVGARRSSNFVVDRLSGMLMPETLALAAASGTTTISPRKRTLRSRSAISAHQVHHTVHHTVHTIGKWFHHWDSSNSSSRVDKARAERARLHAAVSVASVAAAVAAVATAAGGPDVVDVEGARMETALASAAQLLASHCIEVAELAGADHDQVASAVEAAVDVRSPGDLLTLTAAAATALRGATAMRHRAQREARSRAAVAPYDKAGSYRADVWCKEGALLKRSRKGKHPYPSLIFLCALHWKQVAVYINRKSQVMVKLKSKHIGGAFSKKKKGVVYGVYDDIPAYEGGGVPAGSAAETCHFGLRTAQGLLEFQCESRAQRQEWVEAVKNLIRQVAGGTAQLEHSFESLRLSSS >Dexi2B01G0023300.1:cds pep primary_assembly:Fonio_CM05836:2B:32833508:32834670:1 gene:Dexi2B01G0023300 transcript:Dexi2B01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGAGIESAEAAAEAKAPYWDPPPAPLLDTSELTKWSLYRALIAEFMATLIFLYVSIATVIGYKTQSANDACTGLSLVRTVLYIIAQCLGAICGAGIVRGLYGSVYDTLQGGTNSVDHVGSALGAEILGTFVLVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGPAVIYNNAMGWKHHWVFWVGPLIGAAAAALYHKLVLRGEAAKALGSFRGTSATV >Dexi3A01G0035540.1:cds pep primary_assembly:Fonio_CM05836:3A:40769463:40770820:1 gene:Dexi3A01G0035540 transcript:Dexi3A01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGSYERFIWGFSLKTLTSPAATTASSSETLGLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPTAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGALHVYDADGFALLTTLRAFPRHEAAEGLAVHPSGRVALAVGRAGALAMVNLVRGRRSFSCRLERPASVVAYAEDRDGGDRFVMAAEEKVTLHDSVDARIIHEMVCGKRVLAMAPAKNGVLYTGGEDRGITAWDLSSGKVSSRIEGAHATRVKGVVVFDSRKDGSELSNLIASASSDGIIRIWDVRTIGNAKPTPLAEANTKARLTCLAGTSLKCKY >Dexi3A01G0023400.1:cds pep primary_assembly:Fonio_CM05836:3A:19077678:19079771:-1 gene:Dexi3A01G0023400 transcript:Dexi3A01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRQALGAVKDQTSIGLAKVGSAGTVEADLDVAIVRATSHSESSPAEERHVREILALTRLSRVYVGACVASLSRRLGRTRSWAVALKSLVIVHRLLSSSSVSDDGGDTAAFEREVFYATRRGTRMLNMFDFCDRSRGAAAWDFSAFVRTFAAYLDDGLEYRMQGRGSRRGGRPLLEEMYASSSSSSGSRDTCDIVTSFNGRREGDGEADGDRSMVLVNARDPPTSEMTVDQLLIKANQLHHLLDRFIACRPVGAAKANRVVAVSLYPVVKESAQLYCELTEVMAALIEQFAEMETADCERVHALFCGLAKQMEELDAFYAWCKVACVCRHSDVPEVELVTHKKLELMDDFIRDRHAAASQPRLPLPELVASPEPLLVEEEEDINATKALPAPDEPPAAAREERAAEPGPEALLVVAAAHPADEEEEADAMAAEEHGQRLALALFDGDPAAGSSAPRAGAFDHSAADWETALVNSASALANQLAELGGGLNMLVLDGMYSHATVAASAQAQTTFSGSASSMASRPPGAATLALPAPPGSAVAAGADPFAASALVPPPTYVQMSDMQTKQQLLTQEQIR >Dexi3A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:3A:16702464:16704943:-1 gene:Dexi3A01G0021080 transcript:Dexi3A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSSNMQGSILHVTSPLVLSIATLSIAVLCNLVRHLINASTWPSITKESFLRLLGIRLGDIPTTVILDSAVAVDALVRRADDFSDRPPGGGATAIISNGKLQIITTVPYGPHWVALRRNLSSEAFHPVRGLARAAPHRARALAGLVDDIATRSAAVPVRECLYAALFALNAATCFGDGVVDGERVEAMRAAQQEFFRLLPSFSVFATFRKVARLLYRDRWKQLVHCRRRQEEMYLPLIRACQERRSTARCTTTVSYVDTLLDLEVPDEEATNPQGRRKLSDGEMVGLVSEYLGASTGTVLALLEWTLANLVLRPDIQRRLRGEVEAAAGEACAYLRAVVMESLRRHPPIPSVQRHMRRDVVVGSTPVTRGTLVNFSLEEIGRDDKIWTSPEEFIPDRFMPGGEGEGVRLTIGSKEAAKVMMMPFGAGRRICPGMGYAILHIEFFLANLVTAFEWHQVKGQAVDLKPHHGFFTTMSNPLHARVVRRAPVS >Dexi9A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:9A:3446680:3450425:1 gene:Dexi9A01G0006110 transcript:Dexi9A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTGGDDDEAFLLALDEAEAAAFDSSKRRRLSTTSSPTPATPPAASEGSYLAALKGSHSSAWQQQQAQTFAHKRPDGSKTLAAGSGGTQVASGSCFKCGDPGHWARECPQSAPTIGGGGVIGGGAGGGYANAGGEVAEKACPCGAGSCLVLTSNTARNPGRKFYKCPMRGGGSCGFFKWCDDQQPRVGAPLQASPQYQNDAMSSIRNSSQRSSSSCFKCGQENHWARDCPNQSSDPYPDKGGKFGELA >Dexi1B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:1B:2186325:2189793:-1 gene:Dexi1B01G0002690 transcript:Dexi1B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGGVAVPPALAAAAVARSRGGERSGSIVAAVRCRVGSGSPPWRGVRLSKPSRTRG >Dexi1B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:1B:4957969:4960277:-1 gene:Dexi1B01G0006040 transcript:Dexi1B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLSDSDSEDAESRASDNSSDYGTPPPASASSKASGGVRGAATPASIDAIDRHLRSLHLKYNDPISPNPSPGLAPAANPAALNAVKLYLHIGGSSPSAKWIVSDRLAAASFVRTGDDEDDDAPAAGPWCLVVGSKIRARVAPELQLKTFPAQRRVDFVADGVWALKFIHADGFGDFCAKYNSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFSPGPKGSPMPPRTPTLKPLMEDLTEFEEPVEEGSGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGMSVKFSGGSRNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFEKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLNPLDSHLAGENNRFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDKYAISDSPEAPLVVATPMKVTSFSISSRH >Dexi9B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:9B:10433227:10435045:1 gene:Dexi9B01G0015330 transcript:Dexi9B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAAMKIYGSQLAYSTEAPPSEGSSAAHMLFGIRFQRVWLQGVLLSVKYEETGEGRLLLDDGTSVVELFVHKVVDLSAQPDREAMWYLEVAEAYNIFYAPFAAANPTP >Dexi8A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:8A:27285930:27289425:-1 gene:Dexi8A01G0016130 transcript:Dexi8A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVMGAIGSLVPKLLKLLKEEYKLQGEAEKRVRSLTVELEAAQAALRKVAQVPWDQLDEQVKLWAREVRESSLDMEDVLDTFFVHVEQQGGCRNSKRLSDTMATLIAKCKARRKISVDVKGIMSHLDEVAARCRRYKVDDIVARPAAAAVDPRLAAMYNKANNLVGIHESSSDLISMLQLHHPQRQKMKIVSVVGVGGLGKTTLAKAVHDKVKGDFACWAFVPVGRNPDLTKVIQAILIDLDRQRYMKFNFGLFHQINQFIDELRKFLHDNKRYFIVIDDIWEVQSWKTLKLAFDDDNSCGDKVPEEIGALKVLQTLDLWRSYIEELPSSMSSLTQLMCLSITFSPAYDSSKAVWLESLTSLEELQIEVFSPTAGRWLVKDLGSLKELRVLKANFWYDEESERELVESLRHIPKLEHLSIVGVVGGFVCYRGAMWEAAGFVLPQHLRVLAAVRGVEFVKLPSCINPWCLPNLSHLELKLEKVDEEDLKILGGFPELRYLHLLLRSSATVSNIVTDSDDAVYFPKLRYWRLENVMVVLFRGNKGDKGVSFHLWDGEDDIAMPAVIAADGDGLLALAYYCLLAFIQHALAFVRKWWLLGYPLISTRGSGPGNGEDAIAMNSASVDENKSSICTKDDTATPRFMPRLQVLHFCAHRYRGKLGLEYLPSVQEIRVDIKLRHDASFAEVRKMEAALSRVANVHPNRPTLHIEKSWVLR >Dexi7A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:7A:21805387:21806263:1 gene:Dexi7A01G0011210 transcript:Dexi7A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSLLVQKMAKNTRQPRKEKDGGGARSGAQQQRSPWYQRAVEVLLLIWKQPASTATTTTKAAAASGVTSSASGKGGAKLRKSSSLNVAASFTRVCLCAPISSYNTESLYCFQAGDAAAPRRSYSYPRASSASASGCGVSPLVAPPPAAEHANACARGRASGGGKSGVVGERRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAASKRRRLGPSPLRRMALPESELEADDEPEATGNSVAAAVA >Dexi5B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:5B:8398664:8398987:-1 gene:Dexi5B01G0011840 transcript:Dexi5B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENGAAAGGEAVRRRKALVHTPSGQVVRSYAELESELRALGWERYYEDPTLYQFHKRGSLDLISLPADFARFSSVHMYDIVIKNREAFRVVDI >Dexi1A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:1A:26613056:26619412:1 gene:Dexi1A01G0019530 transcript:Dexi1A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRNSSAPSPGGVDGETGLEALALAKVAEAADAIANAAIAGEVVRALHAVAALFFPVDSAAVAGTVEEPFRIQVSLCDSLKPMEFIHLTEILRYSRHFAILVIFGILIILSLLLDVALKWLRKIHASARNEIYDSFFVKGPPTEVIQALVPALSEKENSKEDHQTFCLNLERLLILCLLDNKGVSQIVAEFAHFNKHGNDVLNPDKTIFISRVAQLLASVPDKARLGASAKLTSLSFFKDVISQLLAGAEAATIELTADKDDNEHCALSSGFLFVGEVLSRVSRRGSTGRLESPIDLIRKMASAIALTFSKVVDPKQPLYLDDNCCENVDWEFGILCPKEIRAPLHAVESKNKLKPRENKRNAGEKKAKAIKHDVPDVRAKIVEINSIDHDQMSDTVTNGQFEEEECYEESMNIDASSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRVLVHVRCSDLAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDISQRILIIDVMTEAAQELAETKITKTEQRRGNLITNNSPSWLVPSNRGPPGAGPWREVSEPGGTLLSWSQRYEREVPSRSGQVKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCVAMHPEASALAPALLDMIRSRDVSQHAEAYVRRSVLFAASCILISLHPSYVASSLIEGNQDISTGFEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHSKTGRALPNKLDSIIIPFGNM >Dexi8B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:8B:1165252:1166412:-1 gene:Dexi8B01G0001750 transcript:Dexi8B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPVYRRVFLAVQKHVDGGASKQHFRDFVAAEFRAAAGMETDARARLRLAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >Dexi5B01G0026230.1:cds pep primary_assembly:Fonio_CM05836:5B:28021641:28027226:-1 gene:Dexi5B01G0026230 transcript:Dexi5B01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDRAAAGGGAGGHLRGHAHLTSCIHLRHHHAHGHAAAGAGAGGGGASSSGRRRSPASVASAALMRDLLALQRSRSLRDPSTRRSVESSRVAADPDADTDADTDGDVNLPAKSRRGTTSSTTTGALKTLLDQLAENPHPKPGRRPPRRFKRRAGRRATAVSKPPDRAAALSVNSSSQEAVCGNKYLFHGGGEGDDYDGEELRHHVSQDARNVCGIPWNWSRLHHRGKSILDIAGRSLSCGLSDSKSAAGRKSEATAPSGGRVSVSRPLFPVKSERLASSTSSDSDALPLLVDAAATSGARNRIGGISGSYSGELGIFSNQTSEMDSDLLSESQSGQKSQASLHGRGRHRSLTQKFAPKTFKDIVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCARVFAKALNCLSPEHPRPCDSCTSCIAHNLGKSRSLMEIGPVGNIDMDGIVDVLDNVMLSPAPSQYRVFIFDDCDTLPADTWSVISKVVDRAPRRVVFILVSPNLELPHIILSRCQKFFFPKLKECDVVNTLQWICTSESLDVDKDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYVFTRERPRRKFFKRPSLSKEDMEKLRQALKTLSEAEKQLRVSSDKTTWLTAALLQLAPDKQYLLPSSSTSTSLNHGGLVGSFPDRDMMRTSAIEQKGEWSLNQGAYYVGELQELDGRILSN >Dexi5A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:5A:13641275:13642135:1 gene:Dexi5A01G0015830 transcript:Dexi5A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLVSANDLKKVTLFSRMRVYAVASISGGDPRLPIHSTLTDHGNGCNPAWNATIHFPILEAADTRGLALHVRLRAERAFLGDRDVGEVFVPVDDLLAGADKGGDPRPVSYQVRRPHSHRAHGVLYFCYKFTDVPAADDIISEANSYKQGQYVKYVKDSELAMEKNVPPATAYPPLPQPMSSPGYPPAAQYASPYGAYPPQQPYVYAAPPPYGYSAAPPMYGYAAPPAMAPAARNGGGMGMGLGLGLLGGAVGGMMLGEMVGDYEADAAYDAGFNAALAF >Dexi7A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:7A:11857412:11858534:1 gene:Dexi7A01G0002980 transcript:Dexi7A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGELKKLVEEGKIKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLIDSLSEQDVRKNMPRFQPENLDKNVQVFERVNEMARRKGCTPSQLALAWVHHQGNDVCPIPGTTKIANFNQNIRALSVKLTPDDISELESYAAADNVQGDRHFHIAYTWKNSETPPLSSWKDE >Dexi5B01G0026830.1:cds pep primary_assembly:Fonio_CM05836:5B:28498059:28503544:-1 gene:Dexi5B01G0026830 transcript:Dexi5B01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLAHVLHRPPLLASRSGAGARGLLASRIHPLRRLNCSAVEAAVGPTEEVPAPPARKKRVVSGVQPTGMVHLGNYLGAIKNWVALQDLYETYFFIVDLHAITLPYDAAELSKSTRSTAAIYLACGVDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKLGGRGGSLFKVPEALIPPAGARVMSLTDGLSKVIVNKIKRCKTDSLPGLDFDNPERPECRNLLSIYQIITGKTKEEVVIECQNMNWGTFKTTLTDALIEHLQPIQARYEEIMSDQSYLDNVLLEGAGKASEIANITLNNVYQAMGFLRR >Dexi2B01G0035560.1:cds pep primary_assembly:Fonio_CM05836:2B:42515592:42517326:-1 gene:Dexi2B01G0035560 transcript:Dexi2B01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTEEAKVAFREYKAEFVSWYRKLATTRPEDVVLNYKPPSDTKEEHLTAHRIETEADLSGWLLCPSGKAFFYTCIGSLQVHQGGGCHEMVRDIKGPVRNDMDYDRCHACSESIKHPRGDQFIAGHNRTRMPYFSVFRTEN >Dexi1A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:1A:8394191:8396949:-1 gene:Dexi1A01G0009940 transcript:Dexi1A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEGSKTLHNWMGEPRPGDQDDEDKALQLSLGLPGGGGGGAGGGGGGAWRTLGRVKGNHSVAGSSMLSLGYSNAAPFSPCSQGKAKGSPAASTGHALASTHNASQTRSPNAPVIGWPPVRTFRRNLATSSRASLDHQKGKMAAKPEEATKRAPFVKINMDAQQDPLAAGTRECPQESVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWG >Dexi4A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:4A:22326448:22327521:1 gene:Dexi4A01G0018340 transcript:Dexi4A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTCVQNRPTVPKFGTWDSDNAGYTVYFEKVRENKGATAPPLHRPFNPNDPDEGPMMTVPPPSSSSRPATSGGHRERHHHQQQRRPTGPHGQGQGHHRRAGSSSSAAAEQSKFAPPPQFQPRPSPQPVAQHYGDDHHHHRTGGHHQHQSPAAHGGGGGHRASQQRQQHHHAAPAARARPTTVPKFGVWDEQTAASAAQGFTVQFENVKRHREVARTAVPRAPSPPEGAPARRAHHHQKTPFVSKMFGCFLPPTTAKD >Dexi3A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:3A:4414172:4418270:1 gene:Dexi3A01G0006680 transcript:Dexi3A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINNKKRSVAIIGSGASGLAACKHAQARGFRPVVFDAGDGVGGVWRHTLATTRLQTSAQAYRFSDFPWPEEVTAGEEFPRHDQVVAYLAAYARRFCVLECLRLGAKVLAVEYVGVAEEEVAAWERWSGNGEAFGDGRGEWLLTVQNRGSDATQSYKFDFLILCVGRFGTPNTPTFPLNEGPEVFHGKVLHSMEYSRMHEADATKLIQDKRVVVVGSGKSAFDTVTYCADTNGCKYPCTMIYRSTQWMVDPGPVWGVKLGSLCGTRFAELSRWLVSKLVEAWYKMRIPMKKHGMVPSYSFWRSIMACRIGILPDGFFNRVDRGKIVLKACKSFRFCEDGVLLDGGGCEQVDADVVILATGYQADSHLSGLFVSSPWFSKIVAGSSSDDNIAAPLYRQCVHPRIPQMAVIGHPESPAIIQTYEMMAKWVAHLLDGEVRLPSVAEMERSVAEWDDWGRRSTTARGGGGCRKSCIGTVMWYKDQLCRDMGHNPRRKKGALLAEWLQPYGPADYADIQ >Dexi4B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:4B:20915657:20916913:-1 gene:Dexi4B01G0018580 transcript:Dexi4B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWIGGGQPSLSLDLNVGLSTARPVTAATTKVLVEENFLSSVKKERPTAVKKDREVEELEAELRRVGEENKRLSEMLRAVVAKYTELQSHVSDMVAAAGNHSATAAAAANRQSSTSEGGSAASPSRKRIRSGDSLDRKASPPFAVPVSDQMECSSAVVFHEPGRRIREECKPKVSRRYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCQVKKKVQRSAEDRTILVATYEGEHNHAQPPQHDGKGAKAAPPPATASDAAVRPVAAPLPLQQQHIRHEAVAAAGPSEVVRKNLAEHMAATLTRDPGFKAALASALSGRILELSPSRD >Dexi1B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:1B:3119061:3122738:1 gene:Dexi1B01G0003910 transcript:Dexi1B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKGSVFWDWENLPLVDANGNENIKMIVPQPEAKFAGVEVTRHGSVYSPCGTFSSSSEMGYGSSKSSISASIDSSPKAGNNMELNFAPVRMPDKNTDLGKVDDARTSPSSVIGVSSGEPVLSLKLGKRNYFEDLCGGQSVKSSPSDMSEVTPPAALVKKAKVPQNSYCQVEGCKIDLSSAKDYHRKHKVCEAHAKAPKVVVAGLERRFCQQCSRFHGLDLFDQKKRSCRRRLSDHNARRRKQQPETMSFGSSRLSTMFYGTDKQARQPTSFLFGQAPYGQMRSCVSSSWDNSGGTFKFAETKAPWLKPARAVGIDGLHLSSQQVWNNIMPPGAHQDFDGFMAFKGTSAEVLNQGVEASVVVSDSNGSPDLQRALSLLSNNSAGAGNTQPTTQLHPGLSTLASASNTAMQASSPGFWQDGAALDLHARFQALDPLGNNAIATAHQLQLPKPSSYDGSDSHYDQMH >Dexi3A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:3A:10171621:10172487:-1 gene:Dexi3A01G0013920 transcript:Dexi3A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAAANGNGAPPASFPAPKSQMYQRPIYRPQAPAKRRRSCRCSFCCCFCWALLVVILLALLAAVAGGAFYLLYRPHRPSFTVSSVRLSSLNLTSSATAPVLTDAISLTVTARNPNKKVVYLYDDFTLSAATAANALPLGSATVPGFTHAAGNTTVLTATIASNAVTVDPSASGDVKKSGVFSVVLDADTSAGVRVGSIKTNKIGIQVHCEGIKVTPPPPPPPAPKKVKGKNGTVSLAPAPAPSEADATTTKGATVSTAAHSCTVRVRVKIWRWTF >Dexi2A01G0034440.1:cds pep primary_assembly:Fonio_CM05836:2A:44396562:44398576:1 gene:Dexi2A01G0034440 transcript:Dexi2A01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWLVWAALTAALVSLAFDAVVRLVWRPRAMERRLWAQGLRGPGYGFFHGNIRDMRRLRAGGAAVKLNVGDHDYIPIVLPEFREWIPRYAGRVFLYWLGTTPNICVADYAVAKKVLADRTGMLPKNRMNASVLRLFGDGLVLSNGDDWQRHKRVVHPAFNMDKLKMMTASMADCARSMVTGWEADLAAQQKKGYQQVTIELSDQFEELTADVISHTAFGSSYKEGKQVFQCLKELQSIAFSSFFVVQIPGFRYLPIKENRRVWKLDKEVRTTLMKIIKNRLATKENAGYGNDLLGLMLEAWAPEHGGDQLLSMDEIVNECKTFFLAGQEAPSRLLTWAMFLLCTHPEWQDKLREEVRRECGDDRDRPPTHDMLNKLKLMNLFILETLRLYSPVPFIRRRTRSPVELGGVVVPKDSLLTIPFATLHRDREVWGDDAGEFNPLRFDAGAPKNLSALLAFSSGPRSCIGQNFAMIEIRAVVAAILQRFKLKLSPEYVHAPTDVLTVRPKYGLPMIVTSADA >Dexi8B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:8B:20396689:20397927:-1 gene:Dexi8B01G0011350 transcript:Dexi8B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQPVESFQTVPSNAITSPNYYSPTSSNLQGMQPMNSYPNTTCTSVPSNNETPTVSNLFQTWMRPSPAATTNCKITMQEFQEEQDHAAPASIVCKDQIVTGDVSKSSALEMVVAPVMGASTATFSLLEDWLLDDMPGQAMDGLMGISGGCCADPIMF >Dexi6B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:6B:17068816:17069223:-1 gene:Dexi6B01G0010630 transcript:Dexi6B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSGGEEVRGGGGEVAGARHGDGTVGEGDDLVDEAAAEVDGGRVRGGRVQLLLEPPHQVLHAPQLRHEARTPAALPAFRHCCCKNRGRLGWRRRRRRSSIGRHERWITSGLSTRRRSGDGGDWW >Dexi9B01G0047590.1:cds pep primary_assembly:Fonio_CM05836:9B:46667149:46668121:1 gene:Dexi9B01G0047590 transcript:Dexi9B01G0047590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFHPTTAPLFGGHLPPAADMSSSFLHDAHPEVTDALLGFVYDPLDPSNAALDELLSLPLPDDGAFLNPAAHNDGEVEAHCAKKQRAYADDAWRGHSAIGAGQKCIGGDKQEAPVLPELIINEFVLPLPLPPLPRQQQLPEAVAEAKKGTANVSQSQSAQSAAARQRRKRISEKTAELSRLIPGGHKFNTAEMLEAAARHVKLLQAQVGMLALMRNSTENQEEERMMHALLSCGGVQERLAAEGRCLVPTKLVDAMAKDSSVKSNARVNRDLGRFVASLQKGQ >Dexi1B01G0021700.1:cds pep primary_assembly:Fonio_CM05836:1B:27570785:27574479:1 gene:Dexi1B01G0021700 transcript:Dexi1B01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAWRRSGLRTQGSEKSLSRPGSGALDPVEEVVVKIDGNGNGHAPFSFHGAETAAGGGGGGGREGNATPSTNSTATTPRTASRPRSSETNSPRSPAKVWREGSYEFWNNDGGGAACAGADGRPAAATEAFSFKNRPPPPGSQATSPSLTPQQQQQPPPAKDATAEGGGVDPPTRLIGNFLRKQAASGCEKSLDLDLEMEEFGRTAQLREQPSFSSSLERDAPRVSFHEPQKRRSTSSYSSDSDTDDGSGKRGGGGDGEVVRCTSSSTAAGAGPLLRAKTRSRLMDPPPQPAAAAPPAPAAPPVIEEERKSSGLRTPTKSGRLFSGLMPGNKSGPMGGKSGPMDEEEDDPFADEDIPDDFKRGKLDALTILQWLGLFLVIAALVCSLTIKVLAEKKVVGLHLWKWELLVFVLICGRLVSGWVIRIAVFGVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHFLFDKNVQQETNSPVLPYVTKILFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDENHVLQEVHELQRAGATIPKELRDAVPTKNVSGQRNIQLSGVMPKGEGSKQLSKEKVGDGISIDMLHKLNQKNVSAWNMKRLMRIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAKIAAKRIFHNVARPGSKYIYLSDLMRFMRQEEATKAMNLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVVVGVIVFALWLLILGIATTHFFVFLSSQLLLAVFVFGNTLKTVFEAIIFLFVMHPFDVGDRCEIEGVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNYYRSPDMGEGIDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLEIEYRMLPLDVNIRNAPPIQSTRMPTTWSYS >Dexi3A01G0025970.1:cds pep primary_assembly:Fonio_CM05836:3A:21986697:21987970:-1 gene:Dexi3A01G0025970 transcript:Dexi3A01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETTKQQRRHHFVLVHGMCHGAWCWYKAATALRRAGHRATALDMAGCGAHPARLADVRTFEEYSRPLLDAMAALPPRERVVVVAHSHGGYSIALAVERFPDRVAAAVFVAASMPAVGRPMAATSDELLAYVGPDFFLDSKELQQENPEIKGKPFIFRPNFMAQRLYQLSPPEDLTLGQMLIKPANAFTTANPDEVLMRDAKLLTEERYGSARRVFVVPVDDHGIPAEFQRRMVAQSPSVEVEEVAGADHMAMLSLPEKLAELLIRIANN >Dexi2B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:2B:29927854:29931477:1 gene:Dexi2B01G0019760 transcript:Dexi2B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSGTQLTNLPDAETLRIPAVALVFAGGATVELDFSGVLVGMGSDEPSVACLAFTSTGDDKPVGILGSQQQKTFAVVYDVANQRMGFGAKGCVLKY >Dexi3B01G0033110.1:cds pep primary_assembly:Fonio_CM05836:3B:35477042:35483144:-1 gene:Dexi3B01G0033110 transcript:Dexi3B01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSINFRGIAGRAGNVMQGMGKFVFGNEGLESKEDSYVERYLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPILLNVLKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALNTVELLLVGGPSSESGKDANKNANQAALAQKNILDHLLLLGVESQWAPVALRCMKNPNGQALLASTIAPHPNQGDTHGTASNMPFGRLVCLLISSFMNCTINLHCYLMTVYFCKLWFQVMLMEIWRASSVLSHIIKDNLQSKDRLQQMEKMTKAATQKNLTFNLSFFGYSFREKGRDAFAVADAISQKVGLTTYFLRFDELRKSLAHPSSEQQHRKELSRSSANSMSDFQEIEEEETNKEDQHPVLSEIFDLQFINFLSKVEADIRENIMDIFSRTKTATAVLPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEDLVKTGGSNTADTSQKPSSGRERVQIEALRQELEGAKRQIEALKTEKSQIEAEANNQRNLAVKLESDLKSLSDAYNSLEQANYRLDAEVKTLQQGGSVPYPDVEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSARLAELGEDVDTLLQGIGDDTAIPDDDDEDEEDE >Dexi4A01G0023780.1:cds pep primary_assembly:Fonio_CM05836:4A:26763487:26763873:1 gene:Dexi4A01G0023780 transcript:Dexi4A01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASTQHNEQHPVASTGTESQVYPHLKKAHGHITHMNEREDTWGSHGGSVGHPLQANAGDEQAEADTAAAARAPHEPSSFDSDAFVGQTSPQPSGAHTTQLLSKDLLRRIRFHLFQQASNLLHSHSFI >Dexi9B01G0041370.1:cds pep primary_assembly:Fonio_CM05836:9B:41858678:41859229:-1 gene:Dexi9B01G0041370 transcript:Dexi9B01G0041370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFVDIFSHADLQALHGIRSQQGIRSDECVFVEIVSLESVRIAHESYVIIRQLMDLGCLNPHLELLSVVGCLSLVLHSVEHDLLTLQDTEAKLEGRVVQALLSLKNSANAILLLVKSFHEFEVLEDLISSRAKEVGTALGDAADQVLSGTQGIVWLKECRVRFLVELVSTLMATPVRFPQCE >Dexi5A01G0029850.1:cds pep primary_assembly:Fonio_CM05836:5A:32897962:32898180:-1 gene:Dexi5A01G0029850 transcript:Dexi5A01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIARRLEPKGCGSMDDRCLAERWRRIKLTVLGNVIQRCRGQQLAGGGDFWGARGAGRQGTVEVGSRFLA >Dexi9A01G0040570.1:cds pep primary_assembly:Fonio_CM05836:9A:44282670:44291955:1 gene:Dexi9A01G0040570 transcript:Dexi9A01G0040570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSADPEALTPSESPSPANPSPPSADGKRLRRSVQSKLSWGLVKPAGGGEGGGGGAGASARGGEAEAVPPAPAAEAEKEVKEEPEKGKKKKRKPRKSEGGGKPSSNGEKTVQDPSSKDEVILVDESPQKKQRKGRKQDAALKVPNANRKPCKALESPDGHAKDNIDVLTNQDKSPIKVNLRSEAKMAAEASILKHWSNWIVTDLSSLDTDTVSPLQKSVSFFEGLVKPLTIETSCKRHSNQLSEPILADCTNSGMAFPGFSDKQNEHNCPLDVINLEDHLLVSSANYQTSLLDPHGRPEVPQNGFQPAYYLWTDKYRPETAAQVCGNSEHVKFLSDWLKGWDERGHKTGAATRDTNDSSYQDESDTDYSDDTSDCDNVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEVINPLLDDSLDPDSGTPDRTEYQQLMSCAATKRVVIDGDQQKSPVGYYSGSKVSDEAPKQVVNKTLILFEDVDTIFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLALNFKYPSASELLSHVTTDMLDPSSTPCAEPDDFSWYSNLVEMGSVYAQHALCIFSRKSQDIGGGSVDLSRELLFASTAAVSLGKTISSGLTKDCGSVNAAHMKNPTTSMSKRR >Dexi9B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:9B:3114262:3115019:-1 gene:Dexi9B01G0005310 transcript:Dexi9B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAKMAPAHYAAAVRVLALAMAAVLLACRSAAAGSGCMPELVSLSPCMDYLSGNATTPDGPCCSALSGMLRSSPGCLCTVVLGATPASLGVVVDGARVTQLPAACKVQATPASQCNATGVATPSPATPGTTAPGGPAAAAPSYADATPAGSGSKAIPASTLPSSDSDGSTTGRPGTAFVLAAAALTFLQRF >Dexi2B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:2B:29380027:29380690:1 gene:Dexi2B01G0019210 transcript:Dexi2B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKPKAAASVALAMVLGLAMVSLVAGTSGFATFYTPSYLPSACYGFQDQGTMIAAASDVFWNGGGACGDTYTVTCTGATNEGVPHPCTGRSVTIKIVDYCPAGCRGTIDLSQEAFAIIANPDAGKVQIEYRRI >Dexi5A01G0023380.1:cds pep primary_assembly:Fonio_CM05836:5A:27400687:27401353:-1 gene:Dexi5A01G0023380 transcript:Dexi5A01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGQQLPQPHVAQRQDQGGSSREARAVALREGRRAECGAAGKKGLEEGGSAGVWREEG >Dexi2A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:2A:14030707:14035795:-1 gene:Dexi2A01G0012070 transcript:Dexi2A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASASAAPMEASASARRSAPGPDPGAKKPRLAQPPPRDPRSSSYAAASNGAASAAEQALVDELLGQYRTALGELTFNSKPIITNLTIIAGENLQAAKPIAALICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEGILGSGAKAPIIADVGDDVERPNRLGTDRSAGRRLDAPNTRTSIQRTQRDPFGNAVNEKQAGKDARGLGFSNISQQAAVGAGQVRSKPKGQDGLGAPYYATGVGFSEEQFDRRSNFYSSKDIRPSGPVRLDGALLPTPSINSDRTGRPSSNKSWKHSEEEEYVWDDVHSQGADYVGNSTVRKGEWMADDDNAKFANVQRAKWPEVGAVEHLDTNIHKLDSLPRFGIATGQDRRLAAYMDHEEYIHGKHEVEPRIDREFRPDGQQFPAPRSSSPWMSQEKTHPDIGLDPRISRFSKQPVERSTIYPGTMSAGISSSVPVGLSGSYVGRSSLDSANSVSTRSTEMFGQQKHRSYSPNPAEPDFYPSRSFSELGQNPQEEYSQRAAALAKDPHFMAHNAGLTKGQPSLQTTQLAQKYSTLQSKPHIKPTDQVQASFSRENSPSLFRPSMQLGEVSLPSDSNPINLDLTSASNLLAGLLKSGFKPNNPSDLASSRAQPLVPSGPLPHTLPSLQNAGRENTTLQMQTPNTAHPPLPPGLPPPPTQSVEKAAPLSSLLSSLVAKGLISTPATDSSTSVPSQPSKSSSANATDVATSAMPLPAQKPSVGKETSNSDSSAPKKALLPKAVRIKAGGLIGLEFKPEKLREYHEHVITSLFDEHSCQCKTCGERFSLEEELRAHTTCCEPRESETAGIAPKKWYPNKNSYIDGSREMEDSAEASGEDLGSSEEVCEFMVPADESQIICALCGEPFDDIYSVEKGEWMYKDTVFLESNKGEGSCGSNVEGEGHVPIVHVRCMPKGSNDGMEVD >Dexi9A01G0029620.1:cds pep primary_assembly:Fonio_CM05836:9A:34536650:34541742:1 gene:Dexi9A01G0029620 transcript:Dexi9A01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWGAARSRNGGAGSFSSSTGGEPTAEIFEEQVAGVDEEDEEEEGLEKVFVAVPGQHKSGRSLLAWTLRHVAAVAGAAVVVAHVHAPAQMIPMNTSFVYYYVCKHINHHHINTYHLSVGSKFHASKLRPEQVSAYRQYEREKVEKQMDDYIHQCSKMKVKCEKLVIENDDVAKGITEIVSLHGVRKLIIGAAADKHYSRKMKMPKSKTALTVLQKADTSCKIWFVCKEYLIYIREAGAPLSHIAATPPASRSSISTLSERGGQPSGYASNMVDGHIQRSISEKVVAASVRTSLRLRSRLSVRTTLSRLSIKDNSVNSWDSVPIGSFPSTLQASSTVTDEGFSDSSSFSTPSHDASEILPSVHEERDRQNPASYHEQDTTNSDIDIFDQLEEAITEAEKHQKQAFDKSVRRQRAEEEPILFRPKANHFEDTSLNEAKQRTEVMEALAKANGFIELTKREMDALKQDRDDMIDKLVKMSEEKATLEQRVDEYGGTVRDLEDKLAASKSLIHSQQLEYEKLKHERDNALKDADDLRKEKDKTVSCSSLAWNTEFSLSELQLATQNFSDAVKIGEGGFGRVYRGFLRNATVAIKMLRSHNLQGQSQFRQEVVVLSRVRHPNLVTLMGSCSEASVEDALDNDELEVLVDPSAGEWPFVQAKKLMLLGLQCAELSRRRRPYRVRDVWCVIEPLVKSASLSAAPQSFGHRFVESHTPSCFLCPISQEVMRNPHIAADGYTYESEVIKGWLHSGRNSSPMTKLPLAHHQLIPNRALHSVIQEHLKQHQKPPS >Dexi2B01G0032940.1:cds pep primary_assembly:Fonio_CM05836:2B:40682943:40688660:-1 gene:Dexi2B01G0032940 transcript:Dexi2B01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRRRRGPCWFRIRQARRRENPRAAGWIADWSPSPPSGAGRASHRAAADRMRGRCLLNPLPHLLLTPKPPSRPLAVAARFRARAAPRPPAPLPRRAPLDEPDVGISRFASSVPGFRGALKQRYSDFVVHEVALDGALVRLTSFDLPHVDEGGGDDAEDSDADADHSRALESFRLLCGEADYDALRGLLERALEGGDEDLSPIILSADADKAHRSEVHEFIKRNFKFLVTDTVEHSDGIQKCIRVRLGSGPHGGRGRNRRGMGSSGWRDDRPFDSRGSTSWPYHLGKYLRFHLYKENRDTQEALGVIGKMLGVQVTLFKVHASRLGALNSNLTGIRVGNFSYVNEGLALGQLRGNRFAITLRNVVAESDDVIKSAIDGLSKNGFINYYGLQVHYKGHGDIDAAISGIPRHLTVERAMLLRLKKFPGNYLQALMAIPRTMRLMYVNSYQSYLWNHAASMRVKKYGISQIVEGDLVYKKGCSPGEAAKEHTLDDDVSHTDSPEVEVSFDTLPEELIQPVKIVDSEDLLKAAYTFEDVVLPLPGSETLLPGNEVAGIYHEIAKKDGISLVKSAHGIKDLMTYTDDNVPLVKTDLAIVSKTQPSEANKLLSDATSSCPSCDSGLDASLEASGLTTEASPLKTKSDLLPEKLAVKLEFTRPASSYATMAIRELTKTSTSDHMVL >Dexi9B01G0024770.1:cds pep primary_assembly:Fonio_CM05836:9B:22037287:22037591:1 gene:Dexi9B01G0024770 transcript:Dexi9B01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGVKPLHLSEMANLFMSLHLAVSPGLDNNPNNLVACTTFFSEATHVMLCLVSMMIDSPLYSYFLYHINIPSVKK >Dexi1A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:1A:22626980:22629930:-1 gene:Dexi1A01G0015550 transcript:Dexi1A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGKGSAVALKASPSAAAMSRGLRGGANPLEEWRARAKGIEAGFRAWMAKQPIHIEAAVTTAVGAVQGAALGGLMGSLAPDGGSPFPMPPPNANPQAMASLKQAQALAGGPLVQARNFAVMTGANAGLSCVMRRIRGQEDIQGSMAAAFGSGALFSIVSGMGTPNPVTNAVTTGMAFAVFQGGFFMIGQKFSKPSSEDTYYSRTRSMLHKLGLEKYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDQIKRDPELVQTK >Dexi2B01G0030690.1:cds pep primary_assembly:Fonio_CM05836:2B:38938149:38939581:-1 gene:Dexi2B01G0030690 transcript:Dexi2B01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATCTAAPYKYLFSYTSSSPSMETDMMAQFLGADDHCFAYEHVDESMEALVALFLPNLDSNSNSSSSCLNYDIPPHCWPQQGHSSTVTSLLDPPQNFESFEFPVMDPLLLADFKSTSDIPYFNEDLSPLQGNHSSSIEEVAADDAPVTKKRKSSATVKASKRTKKAGKKDSVSNEDGSNAYVDAQSSSSCTSEDGNLEGNENSSLKKTGTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAAQYVKFLQLQIKLLSSDDMWMYAPIAYNGINISNVDFNISSLQK >Dexi1A01G0031210.1:cds pep primary_assembly:Fonio_CM05836:1A:36282689:36284441:-1 gene:Dexi1A01G0031210 transcript:Dexi1A01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGVEVPLPREKLSLGPNRDGGNRGDVVLVANGSFNPPTYMHLRMFELAKDELQQRGYCVLGGYMSPVNDAYKKKDLLPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCKDGLVDQGSLKVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDAGKLIANSDVLQECRDNIISVDEIVPNQISSSRVS >DexiUA01G0019920.1:cds pep primary_assembly:Fonio_CM05836:UA:41363377:41364021:-1 gene:DexiUA01G0019920 transcript:DexiUA01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAARKTTSSRVTRATSEVRNAMRAARSASARTRRRARSLASPQMSWRAASTRELSGSGPEPTKATRPGGPDVPEAASRPCSSSLSIMCSSGLSNPARSRPSASPHSRISRARISCSSGDSRSTSMELRGMHAVTSSVNRAFTSGDGLSDGSFEMDAASPAILCTSAASSASSSSFSSGTTT >Dexi5B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:5B:14307669:14310396:1 gene:Dexi5B01G0016340 transcript:Dexi5B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNTSSSSEGGGGTGSEQRGLGPVEVQAAAAALGRSEVFHVVKELVGFVLYMHHQIPSVLQSLENEFASLKEEMTEMTLQPAELKQSDQRKYNTRKREVRRRIKKHEKLMNGISALLCALQQALDEVSNIEGVVLILGGSLVRPLFVYDITISHGAFDSGSAKDHALTKLAQSVSRKAIRALVSCGAGSVSYTGPSKLFLLVRCSSTLNLPLDFLSKRDFRYSKKVVPLQVHIKCSKAGGQGNNQHHVSIADAPASTSGSSLPDAIW >Dexi2A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:2A:2979160:2981499:1 gene:Dexi2A01G0003390 transcript:Dexi2A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQSILVLAAAVACLLLLPAATAATSVEYCNKGKQYPVKVSGVEISPDPVQPGKAATFKISASTGMVDKSIEKGKLTIDVKYFFFYVHSETRDICGETTCPASGEFVLSHEQTLPSFTPPGSYTITMKILGDNNEELSCISFGFSIGFVASS >DexiUA01G0025370.1:cds pep primary_assembly:Fonio_CM05836:UA:53497297:53499619:-1 gene:DexiUA01G0025370 transcript:DexiUA01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAYSLRAPPSAAQQGLRLPPPPPPFAAAAQPDAGAARRRRLVGVAAASASPFDELHARGRPVRGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYAF >Dexi2A01G0035450.1:cds pep primary_assembly:Fonio_CM05836:2A:45086888:45088492:1 gene:Dexi2A01G0035450 transcript:Dexi2A01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAASPTSASKRVVYVLLAALASAPVFLLLLYGGASRFALCPDSFRAPRRVPYPNVLWSRVPPIPALPTSPHPALRASRWIVFSASPHAPRHRPLRAVPGWQLPAVADEKTPEDWSHPDAAFLTLADQARLGFRSVAFLPARGPARKTAAYLFAVQRGARVIYDADARNAVLGGNLTKHFDVDLDQRQGGAVLLQYSHADPNRTVVNPFVHFGQPSVWPRGLPLEKAGEVDAEEFYTEVYSGGQFIQQGMCNGLPDVDAVFYFTRKSLEMEAFDFRFDADAPKVALPQGMMAPASSVNTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEMGGYLVVYPPTVHRIDNVHAHSFADENDIHVNIGRLIKFLMEWRSSKRTLFERILDLSYVMTEEGFWGEKDLQFMAAWLQDLVAIGYRQPRLMSLEIDRPRATIGHGDKKDFVPKKLPSVHLRVEEIGEVSTEIGNLIKWRKHFGDIVLIVQCTEPVDRTALEWRLLYGRIFQAVVILSEQRNSDLAVEFSNLAQAYK >Dexi3A01G0022400.1:cds pep primary_assembly:Fonio_CM05836:3A:18051081:18053503:-1 gene:Dexi3A01G0022400 transcript:Dexi3A01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRKDVWGLLLVLFLGQLVAFSMAVSSFASSLIANLVVKAYQYSYITSGQGHVWQGLPLCSFQMRKHHRSKEYCVKKKDRVEVVAMLGLFGLIINWLYYLAFTVVAIGLIIYSLK >Dexi9A01G0028680.1:cds pep primary_assembly:Fonio_CM05836:9A:33459299:33463250:1 gene:Dexi9A01G0028680 transcript:Dexi9A01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPAQPAPAHAPRPLFPRTSPRRGRTTAARAAATAAGAGEWAPGSWRARSARQIPEYPDPAALEAAERTLEAFPPLVFAGEARKLEERLGEAAMGRAFLLQGGDCAESFREFSADNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMGGQFAKPRSSPTETRNGIALPSYRGDIINGDAFDEKSRVPDPERLIKAYGQSASTMNLLRGFAHGGFADLQRVTQWNLDFLRHSTQGDRYLTRVSDKLDPSELVKLCEILNPHNRPGRLTIITRMGAENMLVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRPFDAIRAELRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGAKAVTFDDLGDRYHTHCDPRLNASQSLEMAFAIAERLRNKRDRTWTNLMSRVVA >Dexi6B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:6B:1992083:1992355:-1 gene:Dexi6B01G0002250 transcript:Dexi6B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSRCTVTARTAASAYAWASTPRNLLPGVRRLLRTPALGTPLRPAPARAAPRPSPLLLAADALAGSRPASRTSSAAPSSGAAMTTAP >Dexi2B01G0021420.1:cds pep primary_assembly:Fonio_CM05836:2B:31226923:31228356:-1 gene:Dexi2B01G0021420 transcript:Dexi2B01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRLSTTLVTNPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPIVTGVLSSTGVHIRGLAHPFMFDKNTEQLMPDSAQFDLHRIMGAVPVTGANFYKLLADKEFVLLYPGGAREALHRKEERRS >Dexi3B01G0007910.1:cds pep primary_assembly:Fonio_CM05836:3B:5594942:5597262:-1 gene:Dexi3B01G0007910 transcript:Dexi3B01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFGVAQQAELRRIEGNACFKKARLGAAIDCYTEASIPHFPSPRPSFIPPWLYKANRLDATSLAGHYLLGCSLLEKEEFSLAIKEFEKVPNYLCCQITFEIFRDPVITPSGVTYERAVLLEHLDKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHCWAYKLNC >Dexi7B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:7B:15293928:15297442:-1 gene:Dexi7B01G0007410 transcript:Dexi7B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSATKLPLQERVPLRRTAWKLADLAVLSLLLALLARRAALLLAAPGTGVNAAAPSSCTWCWLAALVCEAWFTVVWLINVNAKWNPVRFDTHPERLAERTDVELPAVDMFVTTADPKLEPPIVTVNTVLSLLALDYPTAGKKLACYVSDDGCSPVTCFALREAAEFAKLWVPFCKKHGVSVRAPFVYFSSGAPERGDGEFQRDWTAMKNEYEKLASRIENADEESLLRRGDGEFAEFVGAERRDHPTIIKVLWDNGKGKAGDGDGIPGLIYISREKSPRHHHHFKAGAMNVLTRVSAVLTNAPIMLNVDCDMFANNAQVALHAMCLLLGFDDEVHSGFVQAPQKFYGALKDDPFGNQLEVIYEKLGFGIAGLQGMFYGGTGCFHRRKVIYGVPPESTTDVQPTRMRGSPSYKELQKMFGSSTEFIESARSIISGEMFATAPAVDLSSRVEEAKQVSNCSYEAGTNWGQEVGWVYGSMTEDILTGQRVHAAGWRSALLNPNPPAFLGAAPTGGPASLTQYKRWATGLLEIILSRNNPILLSISKHLEFRQCLGYLVIDVWPLRAPLELCYALLGPYCLLSNQSFLPKASEPGFIIALALFLIYNAYNFLEYKECRLSARAWWNNLRMQRIVSSSAWLLAFLTVVLKTLGLSETVFEVTRKDADGDAAGADEDPGRFTFDASPVFVPPTALTILNLVAIAVGVWRAVVAGAGGGAGVGEFVCCGWLVLCFWPFVRGLVGGKGSYGIPWSVKIKAGLLVAAFVHFSIRN >Dexi9A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:9A:14157913:14159208:1 gene:Dexi9A01G0019240 transcript:Dexi9A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGKWSKEEDNLIKSHIEKYGVGRSWQALSNTLGLQRCGRSCRSRWLNYLRPGLKHGNFTPAEETIICEMYSKRGSCWSVIAARLPGRTDLAVKNYWNSTLKKKFPAARASAAHRRRNLAACSTSTDAGTPARDLQLISYSSQESSTPGSSPASPPWPACCRCKQCSRRLCSSPASKNRSRRSPRRSRRQHRLRHRPVIRPAWRSSARPCLLSL >Dexi9B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:9B:6193218:6194523:1 gene:Dexi9B01G0010010 transcript:Dexi9B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGVDEERQSRKQPRRRRWLWAACLPRPGCFTVSAAGEDEGTSAAGADEGGTRPTPSHLVVTVNGIVGRQWV >Dexi5A01G0030310.1:cds pep primary_assembly:Fonio_CM05836:5A:33312358:33315427:-1 gene:Dexi5A01G0030310 transcript:Dexi5A01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSTQNRASGGKGDGRRGIGGGSGDEPEQQRPTSFGDRLAVIASHLSHSCLGRPATHMAGEKEAALAAEPIYGPTIFDKIIRKEIPSQVVYEDQKAEERHVEALASLLYAAKVVAKQEGLDDGFRIVINDGPKGCESICVSPSRSSSRGTADELATRVS >Dexi2B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:2B:2969906:2974714:-1 gene:Dexi2B01G0003310 transcript:Dexi2B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKDARMGAMSEILQNMRILKLQADLILVMSDGKIMQSGDYTEILKSGEELMELVGSHKDALSTLDILERPSSDFDSTSHPGGNGRTLFIVEDKCDDNEEERIVQNGQLVQEEEREKGRVGLTIYWKYITMAYNGALVPFILLAQIIFQVLQIGSNLWMAWAAPISKDVNPPVSSLLMVNVYVALAIVSSLCIFVRSHLLVMAGCKTATILFEKMHECIFRAPMSFFDSTPSGRILNRASTDQGTVDTRIFDLMGYLLFPAIEILGTIVLMSQVAWPVFIIFIPIIIASLWYQVRYASQLPFVLKGLMCTFPGGKKTGIVGRTGGGKSTLIQALFRIVDPCIGQVLIDDIDICTIGLHDLRTKLSIIPQDPVMFEGTLRSNIDPVGEYSDEQIWEALDACHLEDEVRKNEHKLDSKVTESGKNWSAGQRQLVCLGRVILKRRKILVLDEATSYVDPITDNLIQKTLKHQFAECTMITIAHRITSVLDSDRVLLLDKG >Dexi9B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:9B:2096366:2099533:1 gene:Dexi9B01G0003660 transcript:Dexi9B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGNPPLTSTEAVIKKPRSVASRKPRSTEQLASEYNGMCAPSRNIFHDDDAGVEAGGHRRKELYLNSPEMKGSVAHKNDVSRKLRKEDRSGGDYDGHSRSRKSKEAAQHGGGGVLALECTTGGPGSPDNPRLVPRDASVPGFLLASIPGVTCESTASPADLPCFNLQDSGGYTTKGTHGSHVEEKPGNRHDISPSSDTVRKSKRIPKKKTLDGDSDDEDGELRYLEKLRGAKVAPDPMTTGLGVYDDSTDDALKKKKLSKVSKNKSTPYEVDEDFTMSRFGKDGRKKLQLGDDNESVEEEESEMDENNGLKELDSPSDAKIETPGLTTRQRALQGRGGHGENLIEFPDGLPTASSRKQKEKLSEVEIQAKKAEAAQRRKMQLEKAEKEQQAEAMRKILGIDSEKKKEEKKLKEREEKEKQAKLEEYRKNCIQTVMGPTGTVITFPESMGLPSIFNSKPVSYPPPREKCAGPNCTNPFKYRHSKTMVPLCSLACYRAVQGHPVQESGTGQGSSSAKGSAAPQGDVAAKGSEAAQGSAAAQGSDAA >Dexi1A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:1A:6538097:6538714:-1 gene:Dexi1A01G0008420 transcript:Dexi1A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFEAAFGIGDTGGQEAGLGTCGGRGGIGRRRRRRESGDLGEAVLARAEVGGGDGDTTGEGGDGEREEGGGEGKAMRKEEGRGRRRREGEATEEGDGDGDAEGEVGDGDDDLGEETGAALENRGRFTGCRCSCFFWEKPV >Dexi7B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:7B:24168240:24173066:-1 gene:Dexi7B01G0018370 transcript:Dexi7B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHGERIAEAVRDTAADAASRIPVYCVPLEIKSGLLLHGEVPGAGGGGRAREVEEEGGEGEREKEKR >Dexi4B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:4B:1503174:1505240:-1 gene:Dexi4B01G0002370 transcript:Dexi4B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPRPQPAAAASSLPISRPSVVQRRQERSEKLVAAVAESASALTLREEESITAIPGTIPHITIDCSSSASPFNQDKFVAGAAESSSSQTLQERDVLSSDELHDAASMEETIIVGPQTVPHDTIDGSSSTSRSRFQKLVEGFAETAQTSQEHSSYLEELLDAVLEGSMGARLRSVLQDTTCSSPTRASSIRSSILSRSPPNWYEVFYISMDHEGSFYMYPDLGGPFPCIDEADIAIKRYLSELQSGARCKDQGNLNIVDRMVDNCKYYLDGTARRGPNSPRKNYDEKRYLVQALLDQYSDDHNLVGNLELEDILMRRWFCEDLRWFFHFNFTTKKREADDDPAAGRLFFAEVSHMQEDVWEVNCCCMIEDEDNGVPYSSYLI >Dexi7B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:7B:24255251:24256054:-1 gene:Dexi7B01G0018520 transcript:Dexi7B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRRAKEEAEREIAEYRSQMEAEFQRKVAESSGDSGANVKRLEQETEAKIEQLNQQAASISPEVIQMLLGHVTTVKN >Dexi7B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:7B:19819037:19823318:-1 gene:Dexi7B01G0013280 transcript:Dexi7B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKFKLGKKIGSGSFGELYLAVNVQNGEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFTAYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYVFDWTIMKYPQFRDKSKVQSSGRISGLAGPAAERTERTAAQALFRRTGSGSGHNREHTKHKSLLESLIPSKVTVDSDKIRPTSSSRNGSTSRRAILSSSKPSSGDPSDPNRTGRLVSSGSSRPSITVQRAHHSGGAEIRSSSLSKITRSPHDDAIRNFELLSIGADRRK >Dexi7B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:7B:15417731:15418412:-1 gene:Dexi7B01G0007610 transcript:Dexi7B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGAGERKVEAPLPETERIVWREDAGRFETPDGEAFLQYRLPSPAVMDMVHTYVPRSKRGQGVAARLCDAAFAHARDRGMRVVPTCSYISDTYLPRNQVFKELVHKGQDPDPKSSSM >Dexi9A01G0048760.1:cds pep primary_assembly:Fonio_CM05836:9A:51472002:51476425:-1 gene:Dexi9A01G0048760 transcript:Dexi9A01G0048760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDAAVVEAAEKQEPAPRLASTFAELGICKELVEACDLMGWKEPTRIQAEAIPHALQGKDLIALAQTGSGKTAAFALPILQELLDNREVQHSFFACVLSPTRELAIQIAEQFEALGSAIGLRCSVLVGGVDRMQQVLSLGKRPHIVVGTPGRLLDHLTDTKGFSLKKIKYLVLDEADKLLNVEFEKALDDILKEIPKDRRTFLFSATMTKKVNKLQRACLRNPAKVEAASKYSTVDSLKQEFYFVPADYKDKRLGALNRFKSKDCNILICTDVASRGLDIQGVDMVINYDIPMNSKDYVHRVGRTARAGRSGYAVSLVNQYEAQWFVLIEELLGKKIDQCKVDRDEILLLKEHVSDAKRIALTKMKDSGGHKKRRKVGDDDDEVEDHAHSKRSNSFKRSNRR >Dexi9B01G0023190.1:cds pep primary_assembly:Fonio_CM05836:9B:18253483:18256914:-1 gene:Dexi9B01G0023190 transcript:Dexi9B01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDPSSASADPAAAAATAPPDGSAPALPGAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVEVAAYATLVRPADPASAISAASVRCNGITRDAVSGAPPFRDVADAVYDLLNGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGLIDTLPLLTQRFGRRAGDMKMATLANYFGLGKQRHRSLDDVRMNLEVLKYCATVLFLEASLPEVLTVENLVERAITRSRVSGSASPEAPKPEANSSPDSSKRQRTVCPADGALPEGGDQGTSDPSTSKESVELVSHIEEMKLDTTIQMDASSSGSGFLEPDDISTEHIKISIAPLHQFGRRSSIQHKDSPLQLCSSGLNVQFGVSTKFLDNAGRPKLNIVVDIPENLSKVLEFCDDLARKSSQESGSTSEWRPLVKKYGYVNRPTVRLNIPTIVSGNSAIYSTEICQKEPSGSIRKLAFSNVDTTELDSLFVRGSKVDAFFSLEIYDYQQNAGIRLVANRLVVHSK >DexiUA01G0025640.1:cds pep primary_assembly:Fonio_CM05836:UA:54020351:54022818:-1 gene:DexiUA01G0025640 transcript:DexiUA01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVADTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWTAHFILINTAYAARRYAEIYLERIVCLHGVPRTIISDCGTQFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWENYLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVKEAEEKVRIIRENLETAQSRQKSYSDRRRKSLQFEAGDHVYLKVSLTKGVQRFGLKGKLASRYIGPYEITQQCGPVGYRVKLPEKLSTMHNVFHLSQLKKCHRVPTEVVQAELELSCREPNPPQIPPPFPNSPCTELDHFLSFLFPHFSQAPLNSPARNRDFPQNPHFRPPEHLHVKLPLRAIPDANRASQPPPTPVKATDLAGVEAAAAAPPLLRRRRPPSVLRPPNRHHSTRGELLVLFPHLSDLLPPSFGRRNAADEPRAYLHLLPFRRRTEPTRRAIQGEPG >DexiUA01G0014210.1:cds pep primary_assembly:Fonio_CM05836:UA:30130619:30131839:1 gene:DexiUA01G0014210 transcript:DexiUA01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRVSSHHAAVHKLGHPQMALTPKFRLAPAAAAAATEEDDDAPPLLIPGLPDDAALSIVLRLPITAHAACRLVCRRWRRLLSDRPGFFSHRRALGLRTPWLFALAFHRCTGKIQWKVLDLVHLAWHGIPAMPCRDRACPRGFGCVAVPDAGALLVCGGLVSDMDCPLHLVLKYDIYSNRWTVMTRMLAARSFFAGGLIDGKVYVAGGYSTNQFELNSAEVLDCDKGVWQPIAGMGMNMASSDSAVIGGRLYVTEGCAWPFFSSPRGQIYDPKIDRWEAMPVGLREGWTGQSVVIDEHLFVISEYERMKVKIYDPETDSWDSVSGNPMPERIMKPFSVSCLDSRIVVVGRGLHVAIGHVEKQTSSTGGGNSGSRSSSSYSICWQDVDVPKEFSDLTPSSSQILYA >Dexi5A01G0030410.1:cds pep primary_assembly:Fonio_CM05836:5A:33370456:33373291:-1 gene:Dexi5A01G0030410 transcript:Dexi5A01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVKSMRLSHFLAQSSNNTTTEPIRIFVSTWNVGGKTPTAALNLDDFLPPDDNSDIYVLGKVIWLGDLNYRIALSYADTKKLLMENNWDALFEKDQLKIERDAGRVFKGWSEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGIIQLSYYRGESRFSDHRPVCGTFVVEVDVLNKKTKRRSSNADMRIGAEELLPMGKGKGNSIPTACTVIPSSIRYLDSSSNSDFTTL >Dexi7B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:7B:23855085:23857583:1 gene:Dexi7B01G0017960 transcript:Dexi7B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGSAEAKSNGKPEKEKKGGTGTPPTPKDSKPRKPAVPKASAAHGTPRAADKSPGSADRKAPSPKATSRPEVQRFAITPEICVKTGKAAKPPQEQQAVKPDLQAQLAAVQEELVKAKEQLVEKDKERGKVLEELEHAKKVADEANAKLQEALEVQRKATEASGAEGSPASIEFVQRKLESMQNQQEADAAALRSTVEQLEKARYELADAIDAKNEALNQVDDAIRASEAKAGEVMLLTAEVKRLKELVDSKMDGKAKKTAERIQKLEKQNSALKLELEKAKAAEEKAAELERVVEELKVAIAHAEKERSKSGELADEWQKKAQLLEIRLEEADQSNILKGESLNSAMEELDATSSLLRDKESKLAALQDKVRFLEDEVARQKGDIDVSGERLAAAEKEAADLWAEVEGLRLKLRAAEEEKMDALNSDKNASSEIETLTKQKNQLAEELEASKDEVEKVKKAMEGLASALQEMSAESREAQEKYLLKQDEIERAQAQVEELNMSLKNTKENYEVMLDEANYEKVCLTKSVERLEAEAKNAHEEWQSKELSFVNSIKNSEEEIVAMRVQMDRTLEVVKGKENENAELQEKMQHLESQLMEANRIKEEAKAETIQWKDKLLDKENELQNIKQENDDLQAKESASSEKIKELSSQLANAKDGTINGSTKEEDNEKVGSEEDDEPVVVVAKMWENSKYADYDSSKEKENDGDSQVDLESNKGDAALDSNGLHSTKENSGSTSPTKHQQQQKKKPLLKRFGGLLKKKSEN >Dexi9A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:9A:3526703:3529197:1 gene:Dexi9A01G0006260 transcript:Dexi9A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGVTLIQPHADNGAYSFLDTTRPCATRFSSGSVTSEDSPALTPRLLSIKSSSSPDNYSQWPDRAAQSNRYLFDANAQARYAEYLDMMRVELDAQLGKLKGGVTGLESYALPGNGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGAVFRQAREMLGSVNASASDLQWENELQLHVFGSVIGECISGLQEELERKLYEQINITNTMGLNWKESITQFAAMREDLGALCKLLLPSVPEAHISNSKNESPGNRSNRWKYNIFAKKTKEDRSPRAEDSKSFRKQKSFGSKDVISEKSDFRHLNGRTREDVISYFKSEISKLKRVHESALQEKTEELFRFKREKGSHSLRNDVEFEPLRKKIPEIISRMDDLISKNLKVPAICMTHDELDERCRLTSRIDALYYENQNLRGLLADKMRDVKALSSQLSEASTELSLQLSSEEELLKQIDKIREEYEDLRIESDVRDGLYQAVTRQLLDDSKDNMDGAAMNLSAKLSSLESIISEKDKALCLYNEENHRLKEKLAKLEKGRLFQNHQEDPEVIKQESNEIILRNIEVEPHISPRKSNGHDLQYDELVKMNSSLEIEPGVLKKIDKKNVDHDSLTKKDQEKQLECILVSIMKLSKEFVEIEKKISVEGTENSSEDLSDHCSHMVRQAVVLKKIGLWYKQMLETRRSELQKAEAKVVILGDKINSHLSLLQKIYLTLDSYSPTLQQHPGLLDAFLKTCKLVADLRSKHNEGDMT >Dexi4A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:4A:19020884:19025629:1 gene:Dexi4A01G0015720 transcript:Dexi4A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPTSTHTQLLSTLLSLEERAHLHSTKPQLLAMPVKPWSPHHHAVHELLTCCALMLALAHPVTPSSRSAAVTAPAAPTTPPPPPTVQSPASVATPPAPSVPIGTPWADGTASISQCPINPGESFTYKFTVDKAGTFFYHGHFGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHEAFYAQAAGLERKDDHFQWVGEPQTVLINGRGQFGCTLGDVGDFQREIQRDAKKCAEKDGEAVEAACDKPCGPGAAVCEDEKKTNCSMILRSECGPFCRDTQCGPVVFDVQPGKTYRLRIASTTSLSALNVQVEGHDVTVVEADGNLVEPFDVSNLDIYSGESYSVLLKTLKEGESPYWISVGVIGREPKTLPALAVLRYVAGSTAQSSSSSLPTTAPPETPTWNDVERSRDFAKKLKARAGAEKPMPTNRRIDMLNIQDMVDGQIKWAINHVSLELPATPYLGAYLYNIQDQVFNTTVLPDTFKSGYDIDKPPQEQPEGVPTNATVVSSQVYTFKHGEVVDVVLQNADMRKDDTSESHPWHLHGHDFWVLGYGKGAYSVDATDPPLNVEDPPLRNTVVLFPHGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVVLAEGMEDGKKLEELRKKVPKEAMMCGKTADDAALAARPITPATPPPPSP >Dexi6B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:6B:1070875:1071366:1 gene:Dexi6B01G0001300 transcript:Dexi6B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKHHRTSASPRLAPMCDGGHSSAHMNKPYNGTNTVMTSSAPARAATMVPHQTTSMAFLSTASLSSTTSTEEDGTSLYHLKGANPPILPSSTHALLNANSTTMATIPIDELSRAIGSYNNQGNPNQPLPSQGPPMLPFPSMEKIWDWNPLLESPKVCTSFK >Dexi3A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:3A:5837485:5839414:1 gene:Dexi3A01G0008330 transcript:Dexi3A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFLVLSTDKMVNAIKGLFISWCSLLSFRSETMVNAIKGLFISCDETMAQFIVDLNASMPASERFIVRMLDPTHMYVLPHVAEMIKSKIEAFRKQNSFKPQ >Dexi7A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:7A:18232511:18233406:-1 gene:Dexi7A01G0006860 transcript:Dexi7A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQKVRMALEEKGMDYTSYHINPLTGKNMNVDFFRMNPSAKLPVFQNGAHVIYRAIDIIQYIDRLAVHLSGEIPPVNTEVHQWMQKVDAWNPKMFTLTHTPVKYRVFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKVKDPEIMKQSEEELRKLLDDVEAQLGKTKYLAGDEFSPADSMFIPILARITLLDLEEEYISCRPKIQEYYNLVKHRPSYQIAIGKYFNGWKKYRTLFKTSFFLCVRTLFRRY >Dexi2B01G0025200.1:cds pep primary_assembly:Fonio_CM05836:2B:34501417:34502819:-1 gene:Dexi2B01G0025200 transcript:Dexi2B01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCPVNPDALAQAARADAQCSASHSGGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVESGWSARGGQTLNPYVLSVTPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSSNSVVGIKPTVGLTSRSGVIPITPRQDTIG >DexiUA01G0026630.1:cds pep primary_assembly:Fonio_CM05836:UA:56941461:56945943:1 gene:DexiUA01G0026630 transcript:DexiUA01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGGGGTVKQLNVGGKLFSLEASSLSLSSLSLDSPLPTPTFVDRDPALLSAVLAAIRAPSSAAPAFPARVLLDEAHFYGLHAQLLAALSPPPLRGFSVFLASTLSPASEPFPTALAPHHDGSLCLAHGAGQFLMPGKALSIDSLPAAHPTSAQHSAVEKLPLQASSVAKSLFKPLQPQLHSSPCTPQQSHDMPYQDQPAAYPTQRQPLPVDAKAHTQQDIASSQCSIVSSKTLIVSPLKGGAYYAAVERSYHVSSPLKSATHKSTKREHVKGKLNFDTTDSRPGPNDQQIGDKASTSSDEDKQDDFDIDFTNLDIFDGEFSFSELLLDLDLDTEGVLCQNPSTGTEGQRLEPVAHTTADPGLPESVKPMAADSTEDFSSQGATSVTSVRAITKRIKIVSPGVEVQRVVLGAQDGRHHLRSTLAVRQRVPGAGGVAVHQSVCLPDPAGKPLLDAAVPVNGHAVDLGASNFCLFDHAASTIAHCFPSCAATPVRPPAHCPAASPTPQGRRPASLPPRACNPPPPAPNLAAPSPIARKPDIRYGTATPAPCPSRLRRRCPHAPVAPYVRGSNNAVVRASNDITSGSSTMTPSCQDKRSASAPRSCSPCRRTSSTEPHDRFTAANVFDVWLKLAEPMLPRPKCSTYCPYRHDATTNMNNSSWPGDCKDEDGAV >Dexi3A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:3A:596835:597896:-1 gene:Dexi3A01G0000790 transcript:Dexi3A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKNAVSWNALAGALGVAGDLQGADAVSQAAPARNISWWNTEIMKNVRLQDMAEAARIFREMPERDAVSWSSRIGTYAKLGMYDRALDVFQEMQENGIELTELAVVSALGACAETGELELGRRIHNYLASKGIAADGYIGNALVDMYAKCGRLELARKVFDSMSIRDVTCWNAMIIGLSIHGQSRDALKLFDSMKTEPDHVTFLGVLTACSHGGLVNEGRTYFNSMIEDYQILPSMKHYGCMIDMLCRYGEVHEAYQMIKDMPISNLNSVLWKMVMAACTVHGHFDLANKAFHELHQLMPMDDGDVITVSNGYAQAELWDDVEHLRTKVIQCSASKHAAHSQVHAATNYMEQ >Dexi9B01G0026820.1:cds pep primary_assembly:Fonio_CM05836:9B:29229085:29230252:1 gene:Dexi9B01G0026820 transcript:Dexi9B01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTSTSSSSGTTTAASQNPHLGVNKLGRNIRKATPPPPPPQQQQPAARPPQPQPQVYNISKNQFRDIVQQLTAGTPSPSPPPPQIRPPPIATPVARPPPPVHPNHHHAVPPNPSHNNPVFHRPPPQQQQPPPQHMPAPGPAWADSPVSAYMRILENSLFSATPPGAAAAAAAQAAPAASAAAAAQGHPPPHHHPQPPHPHPPPPPPMPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPPPLTPNFPALSPLPGTGILGPGPMPPPPSPGFWFPQSPSGLLSPSGFLPILSPRWRDM >Dexi5B01G0040030.1:cds pep primary_assembly:Fonio_CM05836:5B:38665390:38669910:-1 gene:Dexi5B01G0040030 transcript:Dexi5B01G0040030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPNPNPNPADSQPQAEAGARLAALSLRGGRDLPPDFHSSEIHEHHLDDDDEEEEGYLTAASRGGSSICAWKEAPDGLHDDDKEGDGDDVSPPSPSSSGYAGERGSSLDDDPEPDPDPEPAQDWPRDKKHLDEDDASSSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQLLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLVQATRQAASAVLQDVADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKESRDRIQNVLLKSNVLIEVQRSLHESTLHVEDLPTDTNSQSASQHHSSQDRSSQSLSPDMAIGGPAGLWHFIYKSVYLDQYVSSEFPSSISNQKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDDDYVLFCWITQDFELYAAFNPLADKAVPSLLGRYMRDCVSQSLTFSQK >Dexi5A01G0031110.1:cds pep primary_assembly:Fonio_CM05836:5A:33950408:33955961:-1 gene:Dexi5A01G0031110 transcript:Dexi5A01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSSGWTGGGGWMAEGSRGYDTGRRDGDTGGWRGGGASGSMSRTAAFAALRTSKSLLSPTTTNCSVSPRPSISSPSSITGHRATGGAGAGALRASGVVSVGENAAARAFSSPGDLQVQAPPPRQAAAPHLHPRLRLLAGSLCVTAAATRRGLLVLVPSLVAASTVLQSLPLASSAAEGDDKPAPPPPAPAPPTPAPPPPPADEPALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAKLVPDRDDRVLELEIVRKLAAGCAEAGRSISLAFEAFPCDLQEQLNRFMDGRIDGNTLKLYTSHWAPERWQEYEPLLNYCRDNGIKLVACGTPLEVVRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSTRGSPFGPSSYLSAQARVVDDYTMSQTIMKEITIGDPSGMLVVVTGASHVMYGPRGIGVPARISKKMQKKKQVVILLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEQYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGESVELLKGLLGTLPDNAFQKGIVGQNWSINQRFASVLMGGIKLAGVGFISSIGAGVASDVLYGARRILKPSASMEVGRKRTPIWKAATVYSCFLGTSANLRYQVVFQEHRSVIAGLIEHRLGEHLIAYYNLPLLANLLSFVSRIINSYWGTKQWIDLARATGVQSTKKELPSPEVSNSTEMPLLECGTTDVQNVDDSSNNQSNDLT >Dexi2B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:2B:1457417:1458161:-1 gene:Dexi2B01G0001910 transcript:Dexi2B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAVVQEAVSGAISLVLGKRKEKVSQGEYLERLRKAVNVVEFVLERTAKIPITEVSLLREKIELKREFSDTAASLLTSSRKKRLDTSQVVALSSSPHGLLPTSSRAMFSVSSSIATTKDELWLSCDDIDRFERLAVSAQSIPTRVESGCSLRRWMHFSNPLVRHLFEWKTIHYRTVQADQERRFKIRSALLEDHGVEAVVIYCQWHLSSIL >Dexi5A01G0025770.1:cds pep primary_assembly:Fonio_CM05836:5A:29547277:29548173:-1 gene:Dexi5A01G0025770 transcript:Dexi5A01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGALARNLDALVGYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYEAHVRRYFKIGNYVSPNYNERQRRVLQMMSLDARKSVERFIETHGPDALDKIIRAAEEEAKRT >Dexi1A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:1A:5758534:5758971:-1 gene:Dexi1A01G0007450 transcript:Dexi1A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKEMGCLDTERQPPAWFLPSAPGDELLRAVPQAPGHDLRPLDKESRTTGCNYFCADCAGDALCSGSCPADHAGHWLIQLR >Dexi2B01G0023960.1:cds pep primary_assembly:Fonio_CM05836:2B:33434914:33439491:1 gene:Dexi2B01G0023960 transcript:Dexi2B01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRKAKRGGGDLTGSAQNRIVCKDEWASGHLLGDSNKDMWTGLSDELKLYLSRSVASITLCDALLDIPTKSQQKKTGLAESKVLKVCRSGSRPFGEKSNSHPKVHGDFLNQEQLDLESLGYPKLPSNVSGDGMILVNTFEETFGDMHGEGVWTKFGEKACNLNDNVVALASFND >Dexi8B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:8B:5192054:5194514:-1 gene:Dexi8B01G0005200 transcript:Dexi8B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKYYDLVTSFTEYHWGQSFHFAPRWNGETLRESIKRFEHFIALQLGLKKGMKHDIVLVALQVLDVGCGIGGPLREISRFSSTEIIGLNNNAYQISRGRVGILEFLRIAPKGCNTLFTILQTASHGLLMGSREQIFTATFFVLGRKPLKESDF >DexiUA01G0013100.1:cds pep primary_assembly:Fonio_CM05836:UA:27263128:27268017:-1 gene:DexiUA01G0013100 transcript:DexiUA01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGINMPGGGGGDGNPSPFSSTPECRMRRRRRLAPPPMAAAAGSGDAAAGPSREKRVQLASPSSSSSPPPSDGSAEDSDDDGEPGEEEGVDVEAAAPPAGPPPPQQQQLIPAAAWPVAFGFLSVAGRSRDMEDTVSIHPRFHTWVDGSPMHFFGVFDGHGGSHVSELCRDQMHVFLADELTAEEATFSERLRRRKLQAAAGEGTSTSSSSTSGGASTTSPLQEEAEEERAWRAALERAFGRIDAMASLACACGRIVSPPCRCPLAGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPNRADELARIQAAGGRVVYINGHRVRGILAMSRALGDRMLRPEVIAEPEITITNRTPEDECLILASDGMWDAVPNEIACSVARQCLQDGSPAADVDDAAAVAVGPEPDARCTNAASLLVRLAYGRDSWDNISVVVIDLKQRE >Dexi2A01G0021890.1:cds pep primary_assembly:Fonio_CM05836:2A:33838869:33842290:1 gene:Dexi2A01G0021890 transcript:Dexi2A01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAEPANEDNQATDLTAHPSEAQAPAPDKMNFSDLRVQPRRPGHNEDSLPHRSSDFGSVQARLGLVFHGPMLARPVTGCQASAPGPARWEEDGPIGAYFIQPDTVFVPRLSRLVWPENGHRPRLGPSPQPVGQLDPARWEFAGPLWARPGPDRPFCAVRTYALPLMWRNPVKEEEDQRADDNEDDLAEVTRPFRWRDRSPGMVMRLERMPADWCVRALHWWCLCGGVMKRSFTIDKRCHGQIREDTPMTTKPISPRPGDPPQLDRLRQQRGLSPSDGGVRRPVHARVRVAAVGPSVRVTAEKSSLAPV >Dexi3B01G0022260.1:cds pep primary_assembly:Fonio_CM05836:3B:17127873:17128150:1 gene:Dexi3B01G0022260 transcript:Dexi3B01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWNEPKYDERTGHRYFNLSLLLRIAMVYYARVDCSKNLSDTNVFEALGICRYSDD >Dexi6A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:6A:8959439:8960456:1 gene:Dexi6A01G0008460 transcript:Dexi6A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMSCGSGSSGGAYEHLAFQEAAAAGIRSLELLASSLSPRAAGRPESPPLGQIADQAVSRFRRVINLLDRTGHARFRRAPPAFPVAAETTPPASPRPAPISAPAATTPEKKTMTLDFTKPFQAAAVSPAAKQAPALSGTSTSFLSSVTAGGEGSVSKGNSLAVSSGKPPLPKRKLPATSGAPAAGAHPHHHHHHGESGAAGRCHCSRKPKRSRHGVG >Dexi5A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:5A:24464663:24468991:-1 gene:Dexi5A01G0020650 transcript:Dexi5A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGVEESPQCSGSRGGGADWAALQQDPVELLRKLDELRDQITRSCVVGQPREHRRPGRRAVSMLPEHLEPPPMPGYHRSRYGGRYGHGLPPPSPYTPLRPEHGERYARQSSGQYRQYPGRQWENGGMGPGSYHHFGCACPHCLHGQRAAPQEENIPMARYFAAQHESYRLDRSPSVSSDYDRRSVASSLYSHRSVSKKRAEFFRKKAEHICRPVDGAAPFAIICLKRDEGKGIPLTASSSVYVPEMEQSSNDQMMQDSTYQRREDFNSVFYNANEHSSMQFNMDFADDNSLSSATSHGRTDKEYGSNRSIQSKAEGLSFSPSRSLDVGSPKDILCERDAGCEAEPSVDGPVTPRSPVLEDKLVDPLCSQEKGNDEDEQAMAYGSDLTCKGEYGVDDDYDEIISTRSKQKGNEDDRDAAEDESSCSSYEQKSKEDNRCNLEDDSKMFKQSNAKDDTSSLEDGSEKYECTDTKDDNSNPVGENTSNKCEPKAEGDEKCVLAAENISNNFDENNKDDVIEAGSTSERHDELKAEEDYGKLQQSFTEDANSPAESGSSVNGRTNSGFSRGSSEAGLDEDQSSTGMTTVLVFGVSWAENVLALSLIEKLKRGFGMHVPEEFG >DexiUA01G0010610.1:cds pep primary_assembly:Fonio_CM05836:UA:21048512:21049568:-1 gene:DexiUA01G0010610 transcript:DexiUA01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSVLYFFSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGKDDVSGLFC >Dexi1B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:1B:1507466:1508739:-1 gene:Dexi1B01G0001890 transcript:Dexi1B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVADPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDGTTGDLFRKPSVVAVDLRKEMVDYIVQRSETFIADALIESEASQAPENEMPDDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTKFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLAYHKEQCSFRPVTCPNDGCRAKVSVRCMDDHDAACPFKVLQCEQNCEKRLLRRDMDRHCVTVCSMRPMKCPFSCDSSFPERDLEKHCSEFLQAHLLKVLKVIHKKVHSEEELKELAHKIEKYDEHGKLAKALDARPLTNVVKDLEAKMKGEPSS >Dexi2A01G0024270.1:cds pep primary_assembly:Fonio_CM05836:2A:36010719:36013961:1 gene:Dexi2A01G0024270 transcript:Dexi2A01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRPLGAVTSWVRQQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLIATLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQANGIETLIIGSNKFWNAEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >Dexi2B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:2B:26036688:26037254:1 gene:Dexi2B01G0015920 transcript:Dexi2B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAPASSSNLSAGTTKWAFPRMEQSEQLHTHAATLAGASTRHRTRRQWQPPLWTTTSSSGTVVLLILSAKVADGMMPPDAMPRAGLRVSGGDEPATGWKTTTEAGGWD >Dexi1B01G0025000.1:cds pep primary_assembly:Fonio_CM05836:1B:30196010:30197612:1 gene:Dexi1B01G0025000 transcript:Dexi1B01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQKNTNPSLRKLAVATPILVLLALAVVSLYDFNFANDYLQYMRRASSSPSSSPPNATSSSPSTAPDTSSSSPATNSSSSASSPATTAEVESCDLTRGQWVPDDGAPYYTNLTCPFIDDLQNCMKFGKPSLEFMRWRWQPDGCDLPRFDAARFLESMRGKSMAFVGDSLARNHIKSLLCILSQVAQPVEVVTTAEIDVTGRAVRRDFHYGSHGFNVSLFWSPFLVKANLSADSEPGLGLWDMHLDTPDARWASHIADFDFIILSGTNWFFRPSLYREGGRVVGRNGGAAGVHGSAPEMPVSGAVRAAFRTALGAIAAREGFSGKALVRTVTPAHFENGEWNTGGDCVRSRPFRRGERSRDAVVAEFRAAQVDALRETAATSQRRNGTELRLLDITEAMEMRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWNELLFQMLAGQQ >Dexi6A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:6A:5664850:5665821:1 gene:Dexi6A01G0006070 transcript:Dexi6A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLVALVIGAFPATNITTNYYNIQWLSARATWYGRPTGAGPDDNGGACGIKDVNLPPYAGMTSCGNLPLFKDGKGCGSCYQIKCMAPECSGQPVVVFITDMNYMALGTAFGSMARPGLDEHLRHRGIIDLHFRRVPCKYGVGQKIVFHVEAVSNPFYLAVLVKFVAMDGNIVQMELKEMAWPWWQPMRHSSDMSRPLRGPLSIRLTSESGRKLVATDVIPANWQPNTVYYSNIQF >Dexi6A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:6A:5792254:5798507:-1 gene:Dexi6A01G0006130 transcript:Dexi6A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVNTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFTEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEEERLRAEFEREGRKLPAKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVVYTPGQQDKCFICGQVGHLAANCEGKAKRKAGEFDDKGEPIVPKKPYQFLNIWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVANFRGSRLASGAVPSPYEQNGAHRERTGKARKAARVSSSDSSISAAIVEAENDLEEQERENKEDLKSRLKDALREKSDVFNSENPEEDKIKLGEPGWRDRYYEEKFGARTSDQMEEIRRDVALKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRSLNQLNITFELGSPFKPFDQLMGVFPAASSHALPLQYRQLMTDPNSPIIDFYPTDFEVDMNGKRFAWQGIAKLPFIDEGRLLAEIKKVEHTLTPEEARRNSTMNNMLFVNGSHPLSPYIYSLNSKFGNLPDKDCIGIKEKLDPSPSGGMNGYIALCAGDPSPPVFRSPVDGLEDIMDNQVICSVYKLPDPHKHIARPPAGVIIPKKTVEAGDLKPPPVLWHEDNGRRPHDNNQRRPHGNNHQGPYNISNRYCTTSPDFVIFCRHIEIHAYL >Dexi2B01G0032210.1:cds pep primary_assembly:Fonio_CM05836:2B:40150221:40151836:1 gene:Dexi2B01G0032210 transcript:Dexi2B01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding STSKGQTRRERPRTRPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDYEEFEHMMTAKIGERDSKEELTKAFRIIDQDRNGKISNIDIQRIAKELGVNLTLEEIQDMVQEADRNGDGEIDFDEFTRMMRRTSYGY >Dexi3A01G0029060.1:cds pep primary_assembly:Fonio_CM05836:3A:30395666:30397372:1 gene:Dexi3A01G0029060 transcript:Dexi3A01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTETSSWRAALESSVNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPRVLNSGHPYSL >Dexi2B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:2B:2004981:2008383:-1 gene:Dexi2B01G0002430 transcript:Dexi2B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALPHSHLRLHLPLRTPNHPSRHRLPSIVSASRLQNPTTAADPVLPAPSPVPSAALLAAEGASLAPRREHRFPGSVSTPTSSATGGGGISEAEDAVLRRALEVRRAVAAEVLVAALAGGKVGGMTYIKNLTARMGPFVDRVVVEAAAMRRDRPDLAHMSFNARAKVYIQESDLIELVNCGVRRDWIGYVVIRCPNLLNLSMDELETRVRLYTDMGMDEKDFGTMVYDYPRVLGYLSLEEMNSKIQYLKEFGLSTEELGRLLAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLLVQPTIFCLDLETVIAPKVQFLLDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVIFLLTKAGVKQEDIGKVIALDPQLLGCSIAHKLEVSVKYFRSLGIYHFVLGQMVADFPTLLRYNTDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHRTLVANRINMKLRYMLTGSDDEFAQRVRDAVERRARFEAGKGDVETFSDDSETTGEEEATEAAACQNSMQVD >Dexi3A01G0009660.1:cds pep primary_assembly:Fonio_CM05836:3A:6778808:6782020:1 gene:Dexi3A01G0009660 transcript:Dexi3A01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSALGIINFISFLISIPILGGGIWLASRANSTDCIRFLQWPIIIVGLVLMVVSLMGFAGACYRQTWLLRLYLFAMFFIVLALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYSGWLRERVADPQYWATISACLRDGHACAGMRRFARDPNTGMLVPEPAEMFYSRNLSPIQSGCCKPPSSCAFTYNNETYWTPNPGVPTVVTDPDCSRWSNDQQMLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKSHPSRFQF >Dexi7A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:7A:25162976:25164546:1 gene:Dexi7A01G0015330 transcript:Dexi7A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYAERQPIGTAAQGTDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYISILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRSHAWADHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Dexi5B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:5B:10817980:10818789:1 gene:Dexi5B01G0014410 transcript:Dexi5B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQEQQPPHPRRWPPEGRLLRPPPPPMAFSSDDMEAFYEAWVGREEQLVADLTSAMALQRHATTDAVAPLVDAAVGHVAAYYEHKSGLADRDVVAALDPRWLNPLERTFLWAWGYKPALLFRFVFLDDGGGVAMGSSVAQRRALEELRAATAAAEREVEREVAAVQESLAGPRVLAALRRQAPRRRNSNGEAAEEEDAVAAVGRSLRVLLGAADALRERTLRGVVGLLAPDQAAAFVVAMMRFHLGVRRAGRGWSSGSGHGGRRGV >Dexi3B01G0037170.1:cds pep primary_assembly:Fonio_CM05836:3B:39969825:39972886:1 gene:Dexi3B01G0037170 transcript:Dexi3B01G0037170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVIIFLIVAYLLTFLWNPIAILAAESTNRSELDRRGLLYFKQGLNDPLGVLSAWVSLDFCSWKGVTCGRTLPPRVVSLELNSVQLAGQLSQSLANLTSIVRLDLANNQLSGGIPDELGTLPKLQKREHIFPCYKETMKKVSYGDILKATNWLSPVNKISTSRTGSVYIGRFQFDTDLVVIKVFHLDEYGSLNSFLMECQVLRNTRHRNLMKAVTLCSTVDLENNEFKAIVFDFMANGSLDMWVHPKLHQNCPKRCLSLGQRIRIAADVASALDYMHNQLVPPLVHCDLKPSNVLLDYDMTARVGDYGSAKFISSDPSSPKEFVGVGGTIGYIAPEYGMGYKISTGCDVYSFGVLLLEMFTGMKPTDAMFTNGISLHKLVSSAYPHELCKVLDPYMSQEGNNVFATLTLQSYLMPLVEVALLCSMDLPKDRPGTRDVCAKILEISEAFLES >Dexi4B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:4B:1252935:1253648:1 gene:Dexi4B01G0001990 transcript:Dexi4B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEVFCDQVPRTAENFMALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTIFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAG >Dexi6A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:6A:15797086:15798003:1 gene:Dexi6A01G0011080 transcript:Dexi6A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHSIEVPDMENKEEDPRVAATKLDVATLGKGGIGTFIGTCIISASFGVGNGHVQGGMTGDLSLMCPEFIQSFYAGLAASGALTSILRFITKAAFENSQDGLRKGASMSYTT >Dexi9B01G0024360.1:cds pep primary_assembly:Fonio_CM05836:9B:20300893:20301642:-1 gene:Dexi9B01G0024360 transcript:Dexi9B01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVVPPFMVDNVEQSSAMAVSASSSRYLITPAQAAYYYRIGERMGGPAPCVMKRYYYAAPVAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEQAALAYDGAAFSLRGDAARLNFPELRRAGRHLAPPLHPSVDAKLQAAATASASTRPESPDVKSEPAAGCCSGSEASTMTTAADVAADLSPSSTGSSPPLAKAVAAAAPLPEPEMQHLDFTEAPWDEADGTALRKYPSLEIDWDAILS >Dexi3B01G0027400.1:cds pep primary_assembly:Fonio_CM05836:3B:22939794:22941466:1 gene:Dexi3B01G0027400 transcript:Dexi3B01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLSCTAFCSSSIAKKARFSPLLVALTLFLLCFSFLYGEDLKDLLGLQSQVASHLTTINSNTTIRNNNGGDEQPAAPPPRPGKRRWQGRLAFALSDEDEDEGCDVFSGSWVRDDTATRPLYREEDCPYIHPQLTCQAHGRPDTAYQRWRWQPHGCTLPAFDATRVLEALRGRRMLFVGDSLGRGQFASMVCLLQSAISGEASFRMSPDQQHTVFAAAEYNATVEFYWAPFLLESNSDNAVAHRVSERMVRRGSIEYHGRHWRGADVVVFNTYIWWCKGPSFRILNGPWESAGTKEAVSWVPTEEAYGMAFRDMLRWVRDNMDLNTTRVFFTSMSPTHQKSQDWGDAPGRNCYNETAMISDPGYWGSDGRRSVMRVIREILDGDGADVPLTFLNVTQLSMYRKDAHTSIYKRQWSEPTPEQLADPKTNTGIPSGQLTPMI >Dexi6B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:6B:8195502:8196157:-1 gene:Dexi6B01G0007100 transcript:Dexi6B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQGPVAVTASRCATVTARGRHAFEIASYSVLKGFRQGDFVRSATFAVGGIDWCINYLPNGNTVHMEGDTDDDDYDYYTDHSDLERERLEEEEENLEVVNPVTGLSSPAQSYSLLFDHRNTFWGSARFIKKSDVEASYVGDDRLVIECSVTVIIQAPVSQPKIDWEIKVPPSDMLDNLGELLDSGKRGCDIQG >Dexi7B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:7B:12562910:12566738:1 gene:Dexi7B01G0005260 transcript:Dexi7B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGRGGGSSAAVPAEIAGHVTCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSALFLQQLKQKNVLVTVGDDDQSSSQSSAICLKVFDLDKVQEEGSSTTSPFCVQILRVFTDQFPQAKITSFMVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEAASDGSTSLPITGLGFRIEGQAHQLFAVTPSSVSLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIDDQRTQKGTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNDFIKDEDGIGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTRRGSNSMHLLTVPSPMDFVNIFVHSPQYLMEFLENYIKAVKDSPAQTEIHDTLLELYISNDLSFPSISRENGFENHSIKETKGKETANGYKSGTRDKANLGKEGTKIAKDIADRRNKGLALLKSAWTPEMEDPLYDVDLALILCNTHAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQE >Dexi3A01G0025000.1:cds pep primary_assembly:Fonio_CM05836:3A:20630067:20633204:1 gene:Dexi3A01G0025000 transcript:Dexi3A01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGSGVDWESLAEATSGAIGSLVSTTVLYPLDTCKSKFQAELQTHHGAHKYRNLSDVFWEAIRKRQLLSLYQGLNTKNVQSFISSFFYFYGYSYFKRLYLEKSGAKSIGTTANLLVAAAAGACTVIVTQPLDTAASRMQTSAFGKSKGLRETLAEGTWMEAFDGLGISLILTCNPSIQVLNLEGFLYNEYCRTSCKVMIQAADPDEDDDNEPQRPSKPRAPKTMLGALHAIWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSQKKIKAA >Dexi1A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:1A:2000624:2002357:-1 gene:Dexi1A01G0002800 transcript:Dexi1A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAFRLGAHLGSSHPSTTMEDPMQPQNQSQFMFNANSAPLQLQLFGGPAVPAVGPSGYINYIGSNHLPVMNQARNTEVETGNDKQLKLQMSLNSYQQNDAGQLVHVGNPSAVSTGLRLSYEDDERNSSITSGSGSMSSLPATAPLVDDLMAEIDKENKEISYYLRLQAEQIGKQMKEVNQRRMISFLANLERAVGKKLREKELEAEAMNRKSKELNEQIRQVAMEVQTWQSAALYNQSVANSLKSRLMQVVAQNTNLNREGTGD >Dexi3A01G0024750.1:cds pep primary_assembly:Fonio_CM05836:3A:20366356:20374294:1 gene:Dexi3A01G0024750 transcript:Dexi3A01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQQKQQPQRPRRKGQKRKLEEEAAATAAVAAAAASSLGSAGADDDNEEEEDGSAASSEIYSRHSHAAIAREVRAQVDVLLRCASSWRHADRAAAKRATHVLAELAKNEEVVNAIVEGGAVPALVRHLEEPAAAAQEDQQLRPFEHEVEKGAAFALGLLAVKEIHLTVIPNLLACILILIHLIGVSLQQPEHQQLIIDAGALPLLVNLLRRHKSTINSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGSLRTLAFKNDENKTQIVQCNALPTLILMLRSEDAAIHFEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSSCTESQREAALLLGQFASADSDCKDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLISMSSKHQQDVSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVQVTSEIAQDVLRAADQYLLEGLKRLCEYTIAKDVNLDNVSDMYDLSEAFHAVSLRHTCILYILEHFNKIFTRAGSAQLIQRVIPELRNFLTKALSSQSPSEKNVQT >Dexi4A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:4A:6060577:6070850:-1 gene:Dexi4A01G0008090 transcript:Dexi4A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLASIFSTACGPASTPAQLLHRALPSFRHRRACPSPSRMSSSADSPAPPATAAAGGDKPTAAPYGSWRSPITADVVSGADRRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEEDEPVDVIPQEFAARTLAQEYGGGAFAVDKSVVVFSNYKDQRLYKQAIGNGSPPVPLTPDYGAPDVEQTNEIVPVYALDAEFTRPLWVFGISSYDFLGNSNHIIFSYRQQGRSYLGVLDCDSGSVSLLDIPFSDLSNVVAADDYFYIEGASANIPMSIAKVVPPDQARKIYNALKERGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFEVADNITPIKIENFD >Dexi7B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:7B:14529861:14531296:-1 gene:Dexi7B01G0006800 transcript:Dexi7B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEQIGHRTRPFSLHSSHLLAAAIAVAAHDEAHAGATGAPYPRTGQAFQQRLELAFFLQLRHLGGAADVPATDEHGGHAHLTPAQQQPQLLAVARVHGHVPLDHLHVLGLDRRAHRVALLEGPADAAEGGGRTTASAPPRGHLPSATIAGGAAFGCLGFSDPTIRLMWAPSSDSSRSMDASSSGSNSGLRLRLDDDMPPPPPVSAALPGLPRTIRALQGENFLDAIESLELSMELYGRSTLSLSTSPGFSLLLALLFPEQRWSGLFSFPGVFFRLAADRLGDGRAMAMTLAGDQRPGLDGFFTGDPAAEASASSSSPAMISQAFITSRPESGLLLPAPAAGFLSAVGTTSGGGRMLARFHSLLIGLSCGGGGCSFSGWCGGGAVLGVDVEDAVGGGGEGDDVVDAAAHRGAPAAGGAAAGPRREELRGDAPHRLGLSHSAPLFSSLVTAAVAAAFAFS >Dexi9B01G0033210.1:cds pep primary_assembly:Fonio_CM05836:9B:35415322:35415966:1 gene:Dexi9B01G0033210 transcript:Dexi9B01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKKIVLKLDIVGDEGKATRAMSTVAKFCGVKSMAVDGEKGTLTVVGAVDVVRVAKALRKAGFEARVLSVGPEKEETKKPDEPAKKPADDEPNKPPPPPCCAGCSDCCPQPGVPVAPFPGGAVVCYEEPGPGCVII >DexiUA01G0007920.1:cds pep primary_assembly:Fonio_CM05836:UA:14810659:14814816:1 gene:DexiUA01G0007920 transcript:DexiUA01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNIDNVYQLIICFICAEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKTLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAH >Dexi2B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:2B:2946005:2948591:1 gene:Dexi2B01G0003260 transcript:Dexi2B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLLYVYMQVNVPNNCSYNIVTVKCGHCTMVLSMDLSPFHQARTVPDSNQVVQNRGFQYNNFGSYEPVSRNLRTQSMYPMSNNQPQVPPIRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNGE >Dexi9A01G0049400.1:cds pep primary_assembly:Fonio_CM05836:9A:52050749:52051039:1 gene:Dexi9A01G0049400 transcript:Dexi9A01G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKQQQQVVVAVALLLLVASAGCASAASCSVGQLAVCAPAIESGSKPSSGCCSNLKAQQSCFCQYIKNPSLGRYVNSPNARKTLTSCGITPPRC >Dexi8A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:8A:5254361:5257362:-1 gene:Dexi8A01G0005570 transcript:Dexi8A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSLSPPLFSDTPPSHSSYSFLSPQLLPGIIQHAQCDILEVSAERNTVLHVAAEQGHDKLIRELYLRFKEQVLLSRQNSALDTPLHCTARRGHVRAITVLIQLAQDCGESILGCKNEAGDTALHLAARHGHAVAVKVLVSAAAEPTAEVNNAGMSLLYLAVMSGSVQAVRAITTCRDASSVGPSLQNALHVAVFKSSKMVDLLMEWDPALADQVDSDGSSPLHFASSDGDRTIVKAILRAWRTYWPPDTAELRDDDGATFVHAAAREKQFKVVSLAIKSHTLRGLLDAQDRHGNTPLHLAVATGAPGVAEALLRKGKVRADVLNNDGHTAFDLAGGTTSFFTMVKLVVILVAFGAQLGPRRHDRLTPWCDRSTVENIHKTSDSLAVVAVLVATAAFTAGFNMPGGYRDTGEASLAGKAAFEDFVFLDAMAVATSVTAAILFVYGKASRSGGGSWKSFAWALQCMWVSLLSLLLAFHAALDSVVTSTTVHYGFLVVYVCMSLLLYRIQTWIGTLSSSPRRCTILRFLWQRCHSNGRHDGIFKRLYPLVGASVFHFFVFTIPSSIAFFCLARTIEIKPADWGLGTSSPAPAPSPL >Dexi4A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:4A:24368193:24368534:-1 gene:Dexi4A01G0020630 transcript:Dexi4A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSAIHPRQVVFVQQQQVIAARRAQLLMINSGTFLISAAWSIIIIIIDSSSDDAFPIAGGAPACALAAFFIFLVGVSLVMLALVADRFPRAARVGVAIATAFHRYFLSPGW >Dexi3A01G0032550.1:cds pep primary_assembly:Fonio_CM05836:3A:37400227:37400565:-1 gene:Dexi3A01G0032550 transcript:Dexi3A01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISPFLDGRTIRFNVLFANLLLRRVPVVFMTGADGRLVMALVGIALINGDRETNDMPSRNAIIAAIAAAVDGLASCSGEHAAWSGVFRATMHSCGGRVERRGGLPTGTRN >Dexi9B01G0045370.1:cds pep primary_assembly:Fonio_CM05836:9B:44868390:44868587:-1 gene:Dexi9B01G0045370 transcript:Dexi9B01G0045370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Dexi1B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:1B:7234268:7235949:-1 gene:Dexi1B01G0008600 transcript:Dexi1B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPASPPRATTKRPRHGHEAAPSLPDEVIVEHILTRVPAADVVRFRAVCRNWRAALTSDHFVRAHQTARAAAAHHPLDIVFFAPGAAAGSTTFYSSRLYNSTTPHNDGSSPASATELVTVSDLRASDLVMSGGCHRLTLLFQPGESAYHVINLSTGEHVSLPPCAWANRAIPYGPYVLSSAGLGFHPASNEHKVAFRGRVDEAATLRVSSGEGWRPIAGEEEVPGHAAKGLTGRPPVFVDGCFYWHMNTSFNFPGVEEEIFRTPEPILSLSVDTERFEWVSPPTRYEFLLAQVDGHLYELWVRTPASWSLRCRISFGSLLPRPTRDAMGRAIRMLPIGSSGGKILLATSRHEVYAYDTERNSVDRHKSQ >Dexi4A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:4A:6740294:6740910:-1 gene:Dexi4A01G0008770 transcript:Dexi4A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi5B01G0035510.1:cds pep primary_assembly:Fonio_CM05836:5B:35484564:35488091:-1 gene:Dexi5B01G0035510 transcript:Dexi5B01G0035510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATINWVKTPFDSRRFHDLSSLSFRCRNPFGSIQHCWLSADQDTLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNQPHGHVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGERSVAISDFSDYMDGENFVDVPDEHYSKIDTEITDILIEWGMPATLRAIHPIYFAKCLTKAVRDDHGEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNSDGYSSDSKGICIENSFMNIHLFFSHIIPQSNAISDLVNETDEYNTETRPVSGTDRLIDDDKSRFDFSNSGINTDSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILATSASAIIERIKENSDQCAVALRSLCRRKKSITVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNARALSERSAEKKIRRMLFPRYQRKTVKTSTEDES >Dexi5B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:5B:22604688:22612312:1 gene:Dexi5B01G0020410 transcript:Dexi5B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVSTTVQEVTGPRPLQDYELLDQAGSGGPGLAWRIYTARPRDGAPSAPYPVVSVWVLDKRALAEARARAGLSKAAEDAFLDLVRADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVSNALGSLDNVGKVPKELKGMEMGLLEIKHGLLQLAETLNFLHNNAHLAHRAISPEDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDMFSFGCLAYHLIARRPLLDCHSNVKMYMNALTYLTSEAFSNIPSDLVSDLQRMLSMDAVSRPSAMAFTGSSFFRDDTRLRALRFLDHLLVNMWKDFDSRVLRYKDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIINKATQEHLISHILPMLVRAFDDNDPRLQEEVLRRTVPLSRQLDIKLVKQAVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILGILETVRRCTAVDHSAPTLMCTLGVANAMYKQCGVEFAAEYVIPLIFPLLTAHQLNVQQFAKYMLFVKDITSKIEEKRGVTVTDNGHAEVKASLSLANGTHSEPKSAGVGQPAQIPAAKSTSAWDEDWGPTKKTSAPSLSLDSSAQKKQPSVDPFDFSTQTKQSTTLPFDFSTQTKQAAVVSQVTTATIPPAQPLPSLQSLAPTSGPQTSGSCVPVDIEWPPRRSSSSDFNAPLSLENDSGRLSSDKLDDIDPFADWPPKPSIATSISATDHRPSTNRNISGFSSGSVGFGGSGNSLGQMKSNQMSWSNSSNLMGMNSTGSYLNQGNTSLGFENPIGGLDTGLSNPSSSSAGQSMMRQPSNFGSLSMSTNNAAHGPPRLAPPPSASVGRGRGRNQGQSALSRASRPPHSNSSSGQQPILDLL >Dexi5A01G0034320.1:cds pep primary_assembly:Fonio_CM05836:5A:36411899:36413536:-1 gene:Dexi5A01G0034320 transcript:Dexi5A01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRARQLHAIYLTSGSHDADKWAHLLKEYASLSSLREAALVYARNLPRRTHHQRLLPVLLKAAAAEASRAAHGLGRSLHAEALKSSFSGDLLVGTTLVSMYCKCGALEDARRAFDETPDRNVVTCNALLAGYAAAGDMDAALALFDGMPSWTSVTWATLIRGFAEKGDMAGARRWFDATPPGMRNVVTWTVVVQGYVAAGDMETAREVFDRMPVRNAFVWSSMVTGYFKAGDTEAAQEVFDRIPRRNLVNWNALIAGYAQIGCSEKALQAFHSMMEERIKPDEFTMASVLSACAQFGSLEQGKKVHDFINRKHIRKNHFVMNGLIDMYAKCGDLAYARGIFDSMRWKNTECWNTMISALASHGRSEEALQLFFIMERSEQKPNVITLLAVLGACTHGGFVDEGLRVFNNLEAYDIEAGVELYGCLVDLLGRTGKVKEAYEIVKNIPEEPNEVIWGSLLGACRVHGDAEMSRLVSDDIRRLHSRRPSSNDAEYIMLSNIMAASERWEQAEQLRRKMARHGIEKTPGCSSLELGIPESQVYGDSRQ >Dexi2B01G0034120.1:cds pep primary_assembly:Fonio_CM05836:2B:41400750:41401679:-1 gene:Dexi2B01G0034120 transcript:Dexi2B01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCFSSRSSSSASSLLVLHLLLLLLRLSSPSLAWAPVSRTITVDRQGRGDFSTVQSAVDSVPDGNRDWVKVHVNAGSYWEKVTIAKQKGYILLEGDGSSTTDISFNTHAHAGIDQIMRRPERRTRRILADVPQRHLHRPRRQLRRPGHLLQGTYLEDYIVPCVPGRPAS >Dexi5A01G0038430.1:cds pep primary_assembly:Fonio_CM05836:5A:39436934:39439364:-1 gene:Dexi5A01G0038430 transcript:Dexi5A01G0038430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSSEEPAVDVDSGGDRDRARLHQLGYKQELKRGLSVVSNFAFSFSIISVPTGVTTTYNTGLRYGGPASMTLGWFVVAAFNACVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWAGTTSADFSLAQLIQVIILLSTGGANGGGYMASKYVVLAIYGAILVVHGLINSLPIQWLAFFGQLGAFWNVAGVFALVIVIPAVAKERASLEFIFTHFNTDNGMGIHDKAYILAVGLLMSQYCVVGYDASAHMTEETKNADWSGPMGIITSVALSTLFGWVYVVALTSVVTDIPYLLDANNNAGGNAIAQALYDTFHRRYAFVTVLFSLPVAYPVAQENFNYTPVAVGGVLLLSLASWVLHARYWFQGPVPNIDS >Dexi6B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:6B:4512209:4513729:1 gene:Dexi6B01G0005250 transcript:Dexi6B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEPPRPSWRGGVKLQMTLSEGQRLCVTALSLTNPAAAAAASQPMVRVWAQVGDQELTIGMVSPEQPAVAVPAPVEIADGEFLLCHDSASSGVRLYCYYLDPSDLGGDGELMIRRRFVQDIEALGMDDEDDEEARDEFESLTDEDLAERYDSDNGDDEEDEAPQEFEPLTEEDLAEIYDSDKGEYDDEDEEYHQPRKLRNGEAAGEETSSKRRKSIPLVAATAAIVVPDGKLLGPARFAAVKNTAGFMRIAAAEDGTSTAASQVGSKVIVVLYRYTRFSRTWSGRRGVEACRRTKLHRLRFAVPAAGDMASSLAWAGASLSPLIYPGLFRRELRDLWSSLASAIVDAAAIAPEVTRLQVVVDVAILRRQDCTAERMGYMHAALEDVMGEAWPEYYYHVAMEELHLTEPVRRREDDDDGGAGGGDEDDGSRPAKRRRVAAAAEEVEEECSLCLEPMESGLAAWPGCGHEFHGECVEKTLARSDACPLCRRKLSDALIAGKAIQSS >Dexi5B01G0019420.1:cds pep primary_assembly:Fonio_CM05836:5B:21718704:21722195:1 gene:Dexi5B01G0019420 transcript:Dexi5B01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEIQITKQLSQQQQELPPLAPEDGATAQEQDHKSQDQEQQGVDAGAADRHHLNKKKLTFLQLVFLIYFEVAGGPYGSEQAVRAAGPLITLLGFLVFPFAWGVPESLVTAELSAALPGNGGFVRWADLAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGRAIPGGRARTGTVAGMTVLLAFVSCTGLSTVGWGAVALGLVSLAPFVLMTGIAAPKVRPRRWAAVQVEGSKDWWLFFNTLFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIAASYLLPLMAAIGVQARSNQCLAGIIGGPWLKYWMEAGAVLASVGMFEAQLSSGAFQLLGMAELGLLPSVLARRDTRFRTPCVAIAASSAVTLAVVSFLGFDDVVATANFLYSLGTLLEFAAFLCLRARLPELKRPYRVPLRLPALAAMCAAPSAFLVYVCAVAGWRVLALAGALTALAVGLHAAMRLCRSKNWSPSTPPPSMKMGFARLDNGFDLLEVLNCHEQNKQNE >Dexi3A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:3A:1024375:1024605:1 gene:Dexi3A01G0001490 transcript:Dexi3A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKAGSSLADSFGLSSSRAHGCSTNAFISTSDGPLLSSSSLVWTAFGGGLGWDRARKRRRSGLRRCGVGAGYDGL >Dexi6A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:6A:8031293:8032543:1 gene:Dexi6A01G0007970 transcript:Dexi6A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAFRKKKTASRCTTEAARDEHVFEIVGYSLKRGLGVGKFVRSRVFSVGGYDWSIRFFPDGLNESCKEYVSVYLELMSNNSEVRACYSLGLIHQASKTPGIEWSRKLPRLFKSSDNTRRFGPRNPKFLLRTELEMDYICDDCLTIECNIVVAMKPQLSDVRVNYEIDVPTCDIPEHFAELLDQKKRVVTIKDVEPDIFKALLRFVDTGSMHGMGDDLDGDDYKDMIWHLIAAADKYAIDRLKLMCQSILSKNLSVENVATTLALADQHNCDKLKEVCIEFITMEDMNALVSTQGFLFCQSSSELESIDGHYCLESSF >Dexi5B01G0036320.1:cds pep primary_assembly:Fonio_CM05836:5B:36084017:36086569:1 gene:Dexi5B01G0036320 transcript:Dexi5B01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAAALSCSCRPSPSCSSSAALRRLSTASASGAPPPPRRRLRLSPLQVADDSKEVPEERSQTDKMVDGMDFGELCNEFECISSPYVESTARQLARDILELREDNRAFSCYAVSVKYKDPLRTFVGRQKYMRPLWITKALENPVVTVQEMSMQSTSNLTIRWAVRGKPKNPFFAAIGGDVVVRVKSQFVLNQISGQVLEQVDSWDLSASSLPAQVYFWLSRRVYSTVESGKDTIEAAKSTASGLSTKEDQNLETYPDPSGDPTKFFQTPDDGFNQDVYQIGLLLAVIYFIVQFLKTTL >Dexi7B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:7B:2074360:2080151:-1 gene:Dexi7B01G0001160 transcript:Dexi7B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEELGEASCLGKMTTWWWCSSPASSSGDPPAKLRRARNSEGLSVAIFAEQARITSEDRALRGRHKLSISGDGSVMLRHGRAQGRPLHGRGILSADLSVADSPAAKMRPVFVGNLDYDTRHSELDHLFYRYGRIERIDMKSGFAFVYFEDERDGDDAIRELDGYPFGPGRRKLSVEWSRGDRAARRDGNNKPAPNTKPTKTLFVINFDPINTRAGDIERHFSPFGNISNVRIRRNFAFVQFETLEEARKALEATHATTLLDRVISVEYAFRDDDERSDWYDSPRRGGGYDRRGDSSYRRSVSPVYRSRPSPDYGRPPSPVYGSYGRSRSPVRDRYRR >Dexi3A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:3A:10986717:10987364:-1 gene:Dexi3A01G0014930 transcript:Dexi3A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCFSFLSMASVSKAPVLVLHGLGRGDDVREVGFERLVLVLLVEADLVRLDLETAPSLGAAAGGARGPRGRVVPGDEAPGVLDTVVDVDHLLALLHAHHDALRLVDAADAEARHVGGDPGGEVGARGVVDLVGREALGLVDDHRVARREDAGAEVAPGGVEQGTSSFTTLRTTMAVAATDAAMVMYFS >Dexi9A01G0036440.1:cds pep primary_assembly:Fonio_CM05836:9A:40890442:40891571:1 gene:Dexi9A01G0036440 transcript:Dexi9A01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKQAAALQEKLKILRSITHSHALSNTSIIMDASAYIKELKQKVVRLNQEISCAQDALRHNSSYPTVTVETLVGGHGGFLINVFSDKSCPGLLVSVLEAFDELGLSVLQATASCADSFRLEAVGGENVTQNVDEHVVKEAVLQAIRSCSESGSEHDDE >Dexi8A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:8A:4214366:4219275:-1 gene:Dexi8A01G0004790 transcript:Dexi8A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARRRWTAESDWWRQTLGGSCGDVLSVKLGVYIPRTEEPALERKVAEWSQGRAVIWNAGIGSAASFVPTLSRLLYERTNDLHGPYDLITRVSLTPAQVAGGGSSRAPHRLAFEVLMEMARSQNISLPDDILQLNEDERYFGYDAISDDLLLKAFGPYYAQTLDLPLWHEANFDSVLYDMFSPVQDRITRALQRMDMFSPVLERIIRALQGKKHLLVIENLDAPMSLDALVLLGGRLPSQFNNRWIISTTSKYVFDKSRLLDAHGFQHFEEHRALPFDDAREEDWAALIKEALHDAAVSIHDKLQHQQGERDQEFWLHVAHHCLCYGILYHPLQGRQATSNACSVTSDELVRCWVAEDLLSSSTLPTCLYYATGRKQSNDYRSAYEAGKVVIEALQKYSLLPAYSVFVPTPTSSTGSLTATPSASNSQDEVTGVSKLAEGVPQLEQDELVDRHESGQLKWVSFMNDDGRHVLKHIHIEHCPRMKKMFPCSLSLPALETLVILFCSNLKQIFYRDGKYDVAPSPLPGIVKIYLQELPQLQRIHDDVMFQFEAPKWEKLFVRGCPSFHHLPLLKKEYRKSKVQVSGERDWWGKLKWSLPEQSDCYLHVPPPEFAWRKKYIIRSYLR >Dexi7B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:7B:25041947:25046932:-1 gene:Dexi7B01G0019610 transcript:Dexi7B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNTLILRNCRISDNLASVNFSQFANLNLLYYGFGLENGNYTVILQFAEFDFEDSQTWKSGERKEQNFDIRKAAGGKSYTAVKKQYIVPVTRNFLEIHLFWAGKGTCCIPNQGYYGPAISALSVAPSTPLSYKSSFNTFETISLGYHAHFEIKAIHFEPTVRSAAQKNSSNKIGIVVGVVVGAVVLALIALAGLCMWRQKRRKLALEKQELYSIVGRPNVLSYGELRSATENFSSNNLLGEGGYGSVYKGKLTDGRVVAVKQLSETSHQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDKALFGTSSSKFIQLYGGYLAPEYAMRGHMTEKVDVFAFGVVVLETLAGRPNFDNTLDEDKIYILEWVWQLYEENHPLDMLDPKLADFNSDEVLRAIHVALLCTQGSPHQRPSMSRAVSMLAGDVEVGEVVNKPSYITEWQIKGGNNSSFMSSNVSGQSSLAPRAASSHASSSFMTSVIEEGR >Dexi9A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:9A:1604435:1606534:1 gene:Dexi9A01G0003050 transcript:Dexi9A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAVLVATAQLLLLVRGGSPAATTVEFVFPGFSRDNVTTSGAAVVTSASGGLLQLTNDTDEVFGHGFYPAPLRFKNASTGLPLSFSTTFVFAIAPRYPDAHGHGIAFALAPSPAVPRAMAGKNLGLFNTSDDMGQRTSSVVAVELDTAQDAEFSDINDNHVGIDVNALESKNSTPAAYFDVTTGRFVDFSLVNGNPQQVWIEYDGESMRMEVTISPAGVPRPPIPLVSCVVNLSSALAGDETYAGFSGANGAAPSSHYVLGWSFRLGGGRAPDLDLSKLPSLPSSRSKKTVPSLLQIFMVLIVAVLVFILVSVAVVVVVARRRRFAEEEEDWEVEYGPHRISYKELHDATRGFRDVVGAGGFGTVYHGVLRQSPGGAAGEVAVKKVAHDSRQGLREFVSEIASMSRLRHRNLVRLLGYCRRRGELMLVYEYMVNGSLDKHLFVVDDAGDKKAAALSWERRGKIVRDVAAGLLYLHEGSEQVVVHRDIKSANVLLDADMNGKLSDFGLARLYDHGSNPQTTHVIGTLGYIAPEMSKTGKATTSTDVFAFGAFLLEVACGRRPMNRNDDLDSPGLVDLVLDRWKAGRIMDAKDPKIGECDEADLELVLKLGLLCSHPDPRSRPSMRQVVQMLEGTAPVPDTPPKDLLGSSSNRLFYGYRESFDEFATVFLASETTSVTTQPCSSHSNDEKHELTAG >Dexi1B01G0026400.1:cds pep primary_assembly:Fonio_CM05836:1B:31293074:31296002:-1 gene:Dexi1B01G0026400 transcript:Dexi1B01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKVPAMRWLLLLGVVLTFGVGVSPAQASRANHYDFFIKETKITRLCHEKTALTVNGQFPGPTIYARKDDVVVVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGANYTYTIIFTEEEGTLWWHAHSDFDRATVHGAIVIHPKRGSTYPYPKPHKEIPIILGEWWNVDVEQLVKEVQRTGGDVNISNANTINGQPGDLFPCSKDGTFRVAVEHGKTYMLRVINAGLTNEMFFGVAGHNLTVVGTDASYLKPFTVESIMIAPGQTMDVLLEADRATDGSCNSRYYMAARTFATNTNIDFDNTTATAILEYVDAPPSAGPPEFPNLPAITDIAAATAYTAQLRSLATVEHPVDVPTHVDEHMLVTIAINVLPCGPGNETCQGPNGERLAASLNNVSFGNPSIDILDAYYYSIRGVYEPDFPNRPPFVFNFTDSNLTNVPNLWPTKRATEVKVLEYGTVVEVVFQDTVILGAESHPMHLHGFSFYVVGTGFGNFDENKDPKTYNLVDPPYQNTVAVPKAGWVAIRFRAANPGVWFMHCHFDRHLLWGMDTVFIVKDGKTPDAQVMRPPPNRPRC >Dexi7B01G0022470.1:cds pep primary_assembly:Fonio_CM05836:7B:27383941:27387649:1 gene:Dexi7B01G0022470 transcript:Dexi7B01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVEEALAAVLSAAASARAAPRDVPLHEALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGNDALGVVVAPGTVAYVTTGGPIPDGADAVVQVEDTEQVPAGADGSKRVRILVRAVEGQDIRNVGCDIEKDSIVLKSGEHIGPAEIGLLATVGVTTVKVYPRPTIAVFSTGDELVQPTTATLSRGQIRDSNRAMLLAAAVQQKCKVVDLGIAEDTEGSLKEHMDAALRSDADIILTSGGVSMGDRDLVKPCLAKMGKIHFEKIRMKPGKPLTFAEITTQDTSKPSKTVLAFGLPGNPVSCMVCFNLFVVPAIRLLSGWSNPHLQRVHVRLSHPLRADPHRTEFHRAVIRWVLDDGSGRPGYVAESTGHQASSRLLSMKSANALLEVPSNGQILAAGTSIQAIVISDIVSYPSVKPPAASNPPPSRFGPSGKNISTDVSQLAASQDVEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGATVVATAVVPDEVDKIKGILVQWSDVDHVNLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTFVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHTPHAAAAPVDQWERSFRAASVGSGGGCSCDP >DexiUA01G0021920.1:cds pep primary_assembly:Fonio_CM05836:UA:45114922:45116684:-1 gene:DexiUA01G0021920 transcript:DexiUA01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFPLISDHSLLLQGHAMFGAEGRLGILSPSGVLSSEGKTVPTQDGRKNKGKELFSCDWPELLDFEPSLRNFDSSFEIGSKYFDDTLWSSLFSPEVQHVPSSYFDDIDFSIDQNESIVLKTNPTKTKQQTRNGASDTPLYCDAHASSSSGLPDAELFRHLDDIELANQIGGCDGLEAIFSSSQETRTPTPSSSMCSDETLASSAFSGPVSVATHIPPPSEKPHDPFRGAPDMVLEEMAKNPLDMYFPPLPMYEQPEMLMSMSDTTSAAQFPGSYALNCAESQFCSKEMAPAGGLHGQPGSAVVLEAVPVKDLGFQKLQEGVNQLDLATRARIRESLYRLANRVEQRHCAAASSGAGSSVSKRFRSGGWTEAQTNPMDQSVAQLLLQKASYRKTVRPHRVT >Dexi2A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:2A:5646297:5646669:-1 gene:Dexi2A01G0005920 transcript:Dexi2A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPHASGATAYVKSFHRDWSPAMIMSVLITTAIPMNTPGNAGMNDLKYGAGQLNPVKAHYPGLMYEASEGDYIPEHGDACGAWEELHRGLPA >Dexi1A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:1A:5090020:5090600:-1 gene:Dexi1A01G0006620 transcript:Dexi1A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAGALLDANRCRMMRPDWAEACNRQAAAHMLLKDYKQARDALLDAKKLDPENDEIESELRKAMELMETSGVEEAQ >Dexi8B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:8B:7557913:7558712:-1 gene:Dexi8B01G0006490 transcript:Dexi8B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNFIFGGENPKYAPFKPPRTMQAVQSEEEFSTPTSAQDNKTYVSVDSGEEARRTEKRIFWSQEENVWMMSSWLLNSTDPSCGADRKNEQYWSDVEATYNETTPSHRARNAKQIKDRFHKVNRWTDLFHSAWLKARMIYTSGYNDQTWIEKAHVLYIEDNKKLGLGPFMLLEVWNTVKTEAKWITYNNGLKAARKRKGSCNENEGEDVAPIDIDETDDQPRPMGQKQARKLKYANSK >Dexi5B01G0036160.1:cds pep primary_assembly:Fonio_CM05836:5B:35962258:35970490:-1 gene:Dexi5B01G0036160 transcript:Dexi5B01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGAGKTLIAVMLIKHFGKISKANNDRKIIVFLAPTVQLVTQVQCEVIKSYTDFDVEYYHGAKGRCPGCVLDSHHEKLQVVVMTPQVLLDALRQAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHRSEHKPNVFGVSSDLDCENQLSELESILDSKIHTVVDRDEIEHCVPSAKEVRIFYGPRTVSFEDLSKELGILCTKYDGLIEQLHTRMTNHYKDADGITKESRRRLTNSLAKVCYCLEDIGLLCASEATKICIERGRRKGWLKGSEGTIDQQSDANGSGLSVENSILHMKFFEEVLHIIDKRLQHQQGIDVLLNSESGSVEATTMGYISPKLYELIQVFLSFSDVDNVRCLIFVDRKITARVIERTMKKIGRLSCLRVSFLTGGSSVDALTPKMQKETLDLFRSGKVNLLFTTDVAEEGIHVPDCSCVIRFDLPRTTRSYVQSRGRARQKDSQYILMIEQGNVKQNDLISAIIRSKNLMTEIASNREPEDSPPSFFPTEEINEYYISTTGAKITTDSSISVLNQYCDKLPKDKYYTPKPKSQCTPCGDGYECTVTLPSSAMFQLLVGPKARNMQKAKQLVCLDACKRLHQLGALDDHLCPSVEELPLEISSKAGICTSGAGLGTTKRKELHGTTKVLSMSGSWASNRSVTKLQGYKLSFICDQVGQKYSDFVLLIDASIAKEAAMLDTDLYLHDKMVKASVSPCGPFELDAHQVWSNPFMEKAKLFQALLFNGNRVENKNRTNSLVHMPPELLVPLDLPVDVLRVFYLFPSLIYRIESLMLASQLRTEIAYTDSDISSFLILEALTTLRCCEDFSMERLELLGDSVLKYAVSSYLFLTFPDKHEGQLSSRRQEIICNATLHRLGIERKIQGYIRDAAFDPRRWLAPGQLSIRPSPCECPVKTEVVTEDIHRIDDKSIIIGKACDKGHRWICSKTISDCVEALIGAYYVGGGLRAAFSVLKWLQIDIKIEEDLIMKAMSSACLRNYLPKVDDLELLETKLGYAFSVKGFLLEALTHPSQQESGATYCYQVLGDIVESIAGAILIDTKLDLDVVWGVFKPLLSPIVTPENLELPPFRELREWCDKSGYFLGIKCENRGDNIVAILNLQLKDLLLVRQGNGKNKVDAKAHAASLLLRDLEEKGLVIPKNASRTEPSEKKSGNPKHHNKLLDAMGTQNPSSVVDKPCMCSSLMAVKVKLSKGGPRISLYESCKKLQWPMPTFEYVKVEQSVCPSSGGSSQEVVPQKFAFASTITLHIPNGDVISLTGDGRADKKSSQDSAALLMLYELQRQGRFQVQEV >Dexi9A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:9A:3329000:3329488:-1 gene:Dexi9A01G0005930 transcript:Dexi9A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMASSDASSSSPSKRGLRGPRPLPLKVSASSRGSSPTASASSKPPSGSSKKPVIVYEHTPKVVHARPQEFMTVVQRLTGKPATSSSSSSLPPYVPSFSPAVAEEGGDPLLLTLGQRQAAPAQAPTTTVASPMAAGLLLSPGFIFSPNTMQAIQELSPLL >Dexi1B01G0027260.1:cds pep primary_assembly:Fonio_CM05836:1B:32025129:32028127:-1 gene:Dexi1B01G0027260 transcript:Dexi1B01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRPDKGGFYDMFHLLWSCKVAENAAVDCPAGTEIADRRRRWAVFVSLVAQVLLLWVKKPVTLLGKVTEYWMNLLDENGGGVLMVLVRALQGKLKFPDRSSPSYRSCIGLFDTRVELDKEIKHGDSNYHAALSIMAAKIAYENELVIKNVVERHWKMKLLACYNCWNDFQGDYTTQAFVLADKAVDATLAVVAFGGTRPFDTEQWCIDVDISWYEIPGIGKIHGGFMKALGLQRHGGWPKDLDDGDHRKPPFAYYAIRETLRSFLSENAGARFAVAGHSLGGALAVLFPTILALHGEEDVLARLEGVYTFGQPRVGDEEVGRFMGRYLDRPSRYFRFVYCNDIVPRVPYDDSALQFKHFGTCLYFDSLYQGRVVHEEPNKNYFSLLTVAPKVVNAAWELARSFLIVYVAGPEYTEGWLMRLARVAGLVLPGLPPHSPRDYVNSTRLGAGSLGPLS >Dexi6A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:6A:12716776:12718513:-1 gene:Dexi6A01G0010320 transcript:Dexi6A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGYIFKEESEEANSYDEDDGQEVDSHDEDAMDGQEVDSDGVEKIDDQEINSAEEEDEAECETGDQYANTDEEEEEDEVESETSDQYANTDEEEKDEVECDTSVQYVNTDEEEEEDEVESEKSDQYANTDEEEVDSQDISCDDEDEVEGAHEWNSDDDDILDINKCKWEEEWTIGTMNILGLNPYKDVAFLSQGRGSAIDIEAKGVPER >Dexi5A01G0037670.1:cds pep primary_assembly:Fonio_CM05836:5A:38961772:38962706:1 gene:Dexi5A01G0037670 transcript:Dexi5A01G0037670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRSREERWSLAGKTALVTGGSKGIGRAIVEELAGLGVRVHTCARGDGELQECLRRWGADDRLARVTGSTCDVAVRADRERLFAAAAEELGGRLDILVNNAGQTMFRAATETTPEDYARLMATNLESSFHLSQLAHPLLAAGGGTGGGAVVNVSSIGGQVSYPLLSVYSATKGAMNQLTRSLAVEWAKDNVRVNCVAPGGVRTDIASSSGLKLDPEVARKLGEAEMARVPMRRIGEPEEIAPLVAFLCMPAASYITGQVICADGGRTIAA >Dexi4A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:4A:19926882:19928291:-1 gene:Dexi4A01G0016350 transcript:Dexi4A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPHFLVLTFPLQGHITPALRLARRLLSVAPDVLPHVPGQRRADHGAEEEDDGRLELLPFSDGTDSWEFRSSDVGAFDAYMSTFHAAVARSVAEIVDALAARGRPVTRVVYTLMLPWAADVARDRGVPSALYWIQPASVLAIYHHYFHGHDAGVVAEHRHDPAFVVGLPGLAPLTIRDLPSFLTESTEPSDTFHSIFTTVRDLIETLDKERPRATVLVNTCRELEVGALAALGGQHDVLPVGPVLPSGSETGIFKHDDAKYMEWLDAKPENSVAYVSFGSLATMGREQLDELLLGLEESERPYLCVIRKDIKAALAGAEADEMPQHERVKNGMVVEWCDQWGASVLESVATGVPMVCVPRLSDQRMNAQLVAREWRVGVRAQVDEGGVLRAPELRRCIDAVMDNSEAAAEVRRMAKKWKLVVTEALCQGGSSEHNLVAFVDGARSNF >Dexi3B01G0032590.1:cds pep primary_assembly:Fonio_CM05836:3B:34946958:34949653:-1 gene:Dexi3B01G0032590 transcript:Dexi3B01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEGGPAASSGCINAAGVAAKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSSASSPATTTDCSSPPEPSSNKVTGGIDISGAASCPDLAALDHDGDHGHHHAMTMTTTGLWMVDSSSSTSSSTSPMQSRPPSSAAMAAAVARRSYGGLLPFPDQLRGVAGGGTPTSAGFFHGHVAPFKHQAIASLHGGYYGSSAPHHHGMMAMEGGGGCFMRGEGLFGVPPQLDAMSAQDQDQAGHQTVMASSGGNNNPKNNSSNDTTTTTVSNNESNITDNATTKDNINKMSLVNSSSNVAGVYWDGAHQQYMSRNGMHGEWDLEELMKDALFMPFK >Dexi8A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:8A:28537042:28539623:1 gene:Dexi8A01G0016880 transcript:Dexi8A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRMKHGNGGYPYNHTLAHILVEYASAGFEVIEIIVDVENCLQAFVGVSPDPRSIIVAFRGTQQHSVSNWIEDLFWKQLDVTYPGMPDAMVHHGFYSAYYNTTLRREILKSIQWAWKKHGKLPINVVGHSMGGALASFCALDLSVKFGSQVVELMTFGQPRVWLHEIIKENVVTRNETICDDSGEDPTCSRSVYGISVADHLEYYGVTLHADSRGTCQYVMGAANSNKKYWVVLELS >Dexi4B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:4B:3090250:3091914:-1 gene:Dexi4B01G0004280 transcript:Dexi4B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDAAPPAAAGYGRDHIVVFPLMAKGHMLPLFHFATALTSHHGLRVTVVTTPGNLSFVRRHLLPSDSVTLAALPFPSHPELPPGVESKDALPSLALFPAFLRATALLADPFAAYLSSLPSPPLAVVSDFFLAFTQRVAADAGVRRVTLHGMFMVDEIFEWEYKSWGILVNSFDELDGEYSAVLESLYVPGTRAWLVGPLFFLAACAGESSEDEEDTEGCLPWLDKQSPGSVVYVSVGTEYHVTAAQLDELGHGLIDSGNAFLWNVPSSTSDDAPWSLPASPQGKVGQRRVLDHPAVGGYVSYCGWDSILESLAGAGKPMLAWPGIAEQDANAKQVAEIVGAGVRVGVKAGSGEVVGRKHVAEKVREVIDAGEVGRRMRARAEQVKQAARAAVGQGGTSRLALLRLVDELQRSYDEDGQRNGEQRR >Dexi5B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:5B:254599:256549:1 gene:Dexi5B01G0000380 transcript:Dexi5B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEKETGVAAIVCRAAPIVCPLVAALEGEMGSSSLLMRSSPTTVFSPPLPTPGRARPPRLCGRATGKPRDFSIRATHSGLSDVSVQSRPGNEFPVTGAAYSFTGSTTSLTNKILSSSKKITLVRHGLSSWNAESRVQGSSNLSVLTETGAKQAEKCRHALANMKFDVCFSSPISRAKSTAEIIWQGKEEPLIFLDSLKEAHLFFLEGMTNADAKKQYPELYTKWREDPANFHVNGIYPIRELWGTARQAWEQILLTPGENFLVVTHKSILRALICTALGLPPERQVSFFAFRAIDVNNGGMCVFTVNKQGEAMLQGLNMTAHMYSDHTYQY >Dexi6B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:6B:17421921:17424224:1 gene:Dexi6B01G0010760 transcript:Dexi6B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMELRGCQQPLHPHSSQKPSSSSPSTSSTNPAGYLLPRTTSAWLACAFLSLALLHLLCCSPDPTQWALVFSPPRHYFNGTYSSSVSAAAGRQISCDYSEGQWVWSPGHARRYNATTCGVVKDSQDCVRNGRPDTGYLDWRWRPTAAACPLPPFDAAAFLGAVRGKHVAFVGDSMARNQAESLLCLLAASPSFPSRLVYHQSDPPGLSQNFLVRRWAFPTHGVTVSVYWAPFLVRATGRVDDYHLPYSSVHLDALAERWSAEADTMDVAVLSAGHWFLKWAMFYNGSGVVGVHMLPESNNHTEIGFASPFREVIRKALERLVLGVGGGGGGRTVVLATLSPSHFEKAWDDPTTCARKRPYEDGEKEVDAEAAELRRVVKEEAASAAARNGGAAATTIKVLDVTKLATMRPDGHPGAYMHMDPFGPGKPDKMLNDCLHSCLPGPTLRDRHK >Dexi2A01G0004340.1:cds pep primary_assembly:Fonio_CM05836:2A:3828377:3828999:-1 gene:Dexi2A01G0004340 transcript:Dexi2A01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSPVTPAYDMNHQCSLNFEVLLAPNHRCSINFEDLGFGGGDVGGHLVDLTGNLKWHPLPPPPLFAGDSLVSSALLDAGRTICVSSSMPDGTAGTFCYDTASQEWRRAGDWKLPFYGRGEYVPELQTWVGFTPSHPKYLCSADLAAIAAASRHGPTVQHVWEDFNPPPTVVTARFPGNVVHRTWLEWTVEKLHMALHC >Dexi8A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:8A:29099186:29104970:-1 gene:Dexi8A01G0017380 transcript:Dexi8A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPELEETVAAAVVEDVMRLHEGCGGGGGVGVGEMVGSWRNIDIAWRKAEEAAIRRYEAANWLRRIVGVVCARDLAEEPSKEEFRLGLRNGIILCNALNKVQPGAVPKVVEVPSDSSVPADGAALCAYQYFENVRNFGLGLQDLGLPTFEASDLEKGGQGVRVVDCVLALKSLSDAKQVGKQSPFKYGGIVKPLSGKYVIRKNSEPFMKTMMRSHSAELLRDGISLEQIGLDFSQEPTETTTSDSIQMLVQTVLSDKKPEEIPLVVESLLSKVINDFERRIASQNELVKDTTDTNDNKSLLRMDSPFDTNGSKSLSTTDSLQMECTTSTSHLEQATYNVKMDGDDRDSLNVKDDVNTVVTGPDLKGGIFTIKSGMEQLKSLYFQDLMKLGDHLRIVSHAASAYHKVLEENRKLYNQIQDLRGGQAKTLMFIHIAPEPDALSESISTLKFAERVATVELGAAKSNKEGGEVRELKEQIACLKAALARKDGDHESIRSTQSSPDIYKMRIGNGSSASRHPTEDGNLEKESASGGWADHSQFGSSNSLPELGPDGSQDLSFYQRSSPEQQWSWSGSVATEDSDDFEVATSCSSEQDCMRPSSAPKGSGFANGSVTAARKTQPKGAKSSDNRGVNPTKRASPLQKKLNGASPMPIKKSGVDVRKTPNGKVNVKK >Dexi5A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:5A:2927244:2930874:1 gene:Dexi5A01G0003900 transcript:Dexi5A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDTTRLIAKRTDNIVDEVSNYIQKEKLVEAAILLLAAQKQLRGCLNKSTSQVGFDIVKSHIDEALDTLHLEVLAMVQEGKNGTKLKKLKDKKEALLTERVLIGTAHKTGEAGAFLFKEHEVSLNGLDIVKSRIDDALNGLHLEGLTMIKEGRNGKALRMLKNKKEALLTAHALVRIIQKAGEALDGFMFFYTMYTDSGNATAKRIGPKGSEKYVLPILEIGAEFWEPGEDVSNLSAKHKRLATCASKTGTKKSIRNHKLIGNLGSIGWSQESNYESRRKLCAVASLSRKVFFKRP >Dexi1B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:1B:22674759:22679474:-1 gene:Dexi1B01G0016090 transcript:Dexi1B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSQASTRGVVEMGRVEAGPSHFPKRPAPHPARVNYLSRAHAVKPCSAGDRLGISVKCNLGWSSQPSPDSRQQFRGHSSASEAVLTSQSDLRKLFVGNEKIGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALREALCKKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFPEPEKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRGKTNPCILAYQSRVGPVEWLKPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSKRDELPPPVIVWEWGWTKSAETWNGRAAMLAVLTLLVLEVTTGEGFLHQWGILPLFR >Dexi2B01G0036590.1:cds pep primary_assembly:Fonio_CM05836:2B:43348111:43350023:-1 gene:Dexi2B01G0036590 transcript:Dexi2B01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRSATGDRSGVPMPASRDPISLPRPLTSADLMEPTGDGLKVAYQVSVGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVERFVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKVPLKFSFLNTLMFGFLFFQENLSIFCKLPVQISPPLISPCFTLLSRHFDYLFYVDLEASMADPNAQNALGNLKEFATFLRVLGSYPTDVSEA >Dexi5B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:5B:353474:354592:-1 gene:Dexi5B01G0000540 transcript:Dexi5B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVWLLQQQLPPSRLSNHSSSACPPAYKKNLPWRWKKNLLLCSSSGTSSSVVTKEQEEGPPSEPALVSYKDDPNFRGCRGCGRDELERGCNGEGRIQGGIAAVPGLGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKLSPANNKSAK >Dexi9A01G0040960.1:cds pep primary_assembly:Fonio_CM05836:9A:44633860:44638401:1 gene:Dexi9A01G0040960 transcript:Dexi9A01G0040960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGLARIETHGKKKHENGVCHDDSAAPVRAQTIDELHALQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPATPQKHHQHHHPAAPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKAAYKETLLRLAGLFKKNFEVFASYKIGNDSSLTDEILAAGPNF >Dexi7A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:7A:28527959:28530059:1 gene:Dexi7A01G0019290 transcript:Dexi7A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYERSTEKGTVWVTMKRSSLKGKAQLQKMEKNGKEVEYRCLVRASDGKKSISTSVSLKEYAKFQASYATVLKAHMHALKKRERKDRKKAADAEKVPETVPKKQKKKSSKKSSGSKS >Dexi2A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:2A:22224546:22224880:1 gene:Dexi2A01G0013620 transcript:Dexi2A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVRRVEAAKHDAMRRNAAAKERASAADHHPTPLGVGPAAEGPGGVHVLSRSAAATDAPLLIVTGAAARPAAAAGIAGNDGVARRRGGRPVVCTSPEGIKG >Dexi3B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:3B:3054353:3056862:-1 gene:Dexi3B01G0004500 transcript:Dexi3B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHHDSASIAATGNALASRASGGGGLRAKVRAAASLSRRSPPQREPGSSSRATAPGAAFDPFDVDADPLRRLELTPEQVGRCSDALAHFEEKKTRLDELSEEFGSLSRSD >Dexi1B01G0023840.1:cds pep primary_assembly:Fonio_CM05836:1B:29309770:29315035:-1 gene:Dexi1B01G0023840 transcript:Dexi1B01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKAWTGWSTPTPVNQRSGGGAPAASAPLGKGKGRVAELETELHEYQYNMGLLLLEKKEWAEKLEEVSERLQQKEEILKREQAAHLNAISEYQRREENMRKALGVEKQCVADLEKALREIRAEIAEVKFTSEKKITDAQSLEASLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYFETEYGGFAMRKAREKQLKEQEESLQGWEKKLKESQNRLNDLQRSINDREERANKNDQLFKIKYDELEEARKSMDLMKLTLKTKEDDINKRLNELRSQEKDAEPKRKELEERENKLIEREEKASIRENEGLQKLLEDHQAEFESKRRDFELELERERKSFDEKIVQKQADVVKREKDVKSLEAKLSKSEQALNDKKKAMEGWQHDLDAKSKALKKWEESLKNDEKKLLEEKQHMDQEKQQIEISRSELETIRSTLESERERILEEQNNLKLTEEERQEHSILTEKLKKEIEEYRMRSNSLSEEIEDLRMQRQKFEEEWEQLDEKRAHLVEEDKKVNIERMNLERWRDSEEKRLNDAKLEIEEKYKEQLENLERKEKALNDDLKHKQMESDEYLKGERADLQRKLQLYRHELEMEMEQKQAIKEKELEDKENELNKKMDFVENELRHAIELNESKIQKIISEKQQLQAERKILLEERQKLETDKTDIKRDIDSLHVLSQSLKQRRELYNRDRNNLIDLFEKYKLCKNCGTSLFEGLDSLSLKDSIEIEHPNLAVEKDDHSLNADTSAPDTGTLVNSGGRFSLLQKCSRLFRFSPIKKGEQSSEQQTENIPFGARLEEASQSDKDYEPTPVYEIAHDSFDAEDDLPSESGARENDESERHAPADYVQMESSLGVADNDMALDATIASAEQNGKGSAAPAEADLLPETSKQGQRLPNRKGRGKGGVKRTRSVRAVVEDAKAILGESFEENDDGQGDPVAVEVGDAHAPAEGAGEEDGDVVDGKNALPDVPMTPSGSELGEEQDDEDDDEERRNQSISKKLWSFFTT >Dexi1A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:1A:3978778:3979544:-1 gene:Dexi1A01G0005440 transcript:Dexi1A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASPHSPLASASASTRAFPFHNRLPRSPHLPPRRGPRLRTGSVKEWREFEDEAGAVKEWREFEDAVRRRDLSRALRFLQSVEPAAAGTAATQVAAAPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGFWKDQEK >Dexi5A01G0039830.1:cds pep primary_assembly:Fonio_CM05836:5A:40243663:40247255:1 gene:Dexi5A01G0039830 transcript:Dexi5A01G0039830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYVWGEVISDNAVRVGPDTVIRSTGKIDVLLPKPLESKLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDSVHPRLVESLAICNVDIVACGEFHTCAVTTAGELYTWGDGTHNIGLLGNGTDVSHWIPKRISGALDGLQIAYVSCGTWHTALITSRGQLFTFGDGTFGVLGHGNRESISCPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEARLKPTCVASLIDYDFYRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDVYQNKRKEAVPRHSGESNPDTKLAKAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMSSLLQLRDIALSGGIDLNKSVPRAVRTSAVRSLNSSRAVSPFSRKPSPPRSTTPVPTTHGLSIAKTAADSLAKTNEMLNQEVERLRAQVDNLRHRCELQEIELQKSAKKVQEAMKLVSEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYGGSEANQALVPNGIEMYASIYTSMNGQHRSSGSISENSEASAHSHRLSGPPDAENLNRRGHSCSDEMLSASSRADDSGSKDVRSLVNGEDGYKSRSAISLPGNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAESWWNENRDKVYEKYNVRSSERVSSASSIRSAR >Dexi4A01G0022780.1:cds pep primary_assembly:Fonio_CM05836:4A:26078463:26079005:1 gene:Dexi4A01G0022780 transcript:Dexi4A01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYLRKSGAFDSDSAIEEAAGTPQDLWWVKHGTGTPALQSFAALILGQTCFGASRYNLDKSLSERLHTERRACTEQERLRRIEYVYYNRRLAQSAPRVAGHPAAQHCRLTIHLGDWVSA >Dexi6B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:6B:22259958:22260514:-1 gene:Dexi6B01G0014810 transcript:Dexi6B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLAPTGPAGHGTNGSGGLTLDPVAQRALSRGASMLSTPMSPPPKFGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGRPDARIADYFDVISGTSTGGLVTAMLTAPNKEGRPLFAAKDINDFYLKHWPNIFPARR >Dexi5B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:5B:1957264:1957867:1 gene:Dexi5B01G0003010 transcript:Dexi5B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEAVSEDYKRRRTSLYHNEYLKQSSALCKVEDKEERNSGFRELFRYFRREFEASPRSKLDLFSDACAIYQVVYEHAAPRNEVSKCGFAWKVAGRMLCELYFLKHGGETVTCLRSVLEDAFKKYRA >Dexi2A01G0029710.1:cds pep primary_assembly:Fonio_CM05836:2A:40736624:40742416:-1 gene:Dexi2A01G0029710 transcript:Dexi2A01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRLLVLLCAWLCCVAAEATDTLRQGESLSGSATLVSSPDGVFEVGFFPPDTKQPSRLYLGIWYRGITPKTVVWVANRAAPATSAAPSLALTDAGELQVLDGTAANGTAAPPLLWSSNTSRAAPGAGYSAVIQDSGSLQVSSADGAALWDSFWHPTDTILSGMQISVQSPGPPGRSTNERMLFTSWASETDPAPGRYALGLDPSGSGQAYIWRDGNEIYWRSGQWTGVNFIGIPWRPLYLSGYTYSNDRDLGPHYTYTATNTSLQRFVVVPNGTDICYMLKKSTQEWETVWFQPSNVCEYYAPCGPNAKCTVGQDGKAQCTCLKDAVHRSWRSRHSSTWSQQNSAMLDISQSIRFDDDMEDGKSHELKVYTLDRIKAATSNFSDSNKLGEGGFGPVYMGILPGGEEVAVKRLCRNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCLQREEKILVYEYMPNKSLDAFLFNPEKQRLLDWSKRFAIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDADMNPKISDFGMARMFGGDQNQINTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLVLEIITGKRAVSFHYHQDSLNIAGYAWRQWNEDKAVELIDPLIRTTCSVRQVLRCVHIALLCVQDHADERPDIPTVIIMLSNDSSSLPNPRPPTLMLRGREIESTKSSDNDQSHSIGTVSMTQLHGR >Dexi2B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:2B:30782720:30784416:-1 gene:Dexi2B01G0020790 transcript:Dexi2B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVASLLMGHSAAGLDFAALDGGFLDTLCGAGAGLFGVLPGVAAGSGGGSPEGSSVSDPAWAGASDGGNARKRKAPPAGAANGKEVCLGKTGEPKGLDGKKCKVGAGVGAGGSPVKPKVEEATTSDVSVEDKGQKKGKGKSSKPPVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQACGSSVNPVFPLESAGAAFPFCDQADLFQSFDESYHNTIVPGFVFQQRDFWEDGLQNTLPIASEQSQENGVPAPNFDGQLQADQAKLEF >Dexi4A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:4A:11213078:11213505:-1 gene:Dexi4A01G0012560 transcript:Dexi4A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRKRRRGGGELCRAAEIVMVLAAAGQARGGRAPTAAERALTAEARGALAAVVAGQVSLRPRELFATEAIRALVEDLGLAWARDPAAVGFCKRRASIADKVLLTKRKVCL >Dexi3B01G0030650.1:cds pep primary_assembly:Fonio_CM05836:3B:30778096:30778392:-1 gene:Dexi3B01G0030650 transcript:Dexi3B01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPHRGDAGATTQRTSSDTSDDAEDAERRGKAFKNLRSATPLCRAASSPTPAVNWWPRPDLRLPASYPGVLQPRAGSNPGVCALRRPTSHHEVLQP >Dexi6B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:6B:22771788:22772324:-1 gene:Dexi6B01G0015410 transcript:Dexi6B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYEAFSLLATASAVYYAFSSRDQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAHKRVEYIETTPSKREASVSIFFSFE >Dexi5A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:5A:19431752:19444009:-1 gene:Dexi5A01G0016800 transcript:Dexi5A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASTSAGGAPPRRRRDALALTLASRRLPVGAGDTGERVPGAVAPEVMPFVRAADEIEPLNPRVAFLLILLETSDANWHWDVSKSNGTALDVHFVNLWLQDDTQVLGNDANEVQHFYKKYCASMSQISEKRNFEEMARHYQVASALYEVLRDVTDNKIDPQVIRCKEIIEENGFHFKNYKYNIIPLNFPGPSEAIVELPEIRGAIDALSIIDGLPMPQLSSVHRQGDKSVRDLLDWLSLAFGFQKSNVENQRENMVLLLANVSTRTSAQEGHPLVDTVNELWKKIFGNYKSWCRYLHVSYNIMYMAKQLHDMVDEDHFQPPPGFEEEGSFLKNIIEPIFKVLQKEAQKSRGGTAGHSAWRNYDDLNELFWSEKCFTKLTWPWDLTSDFFYPGGHTARKPKTNFVEVRTFLHIFRSFNRMWMFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSIFITAALLNFIKVTLDILFTVQAWGSMEWTNIVRYLLKFIVAIAWMIIFPVTYSSSIKYPSGVGKILNSWIGNWYNQSVYNVAIVIYMIPNILAALFFLLPQIQNLMERSNSRVVVFLMWWIQPRLYVGRAMHEDILSILKYVFFWALLLVAKLAFSFYVEISPLIDPTKFILDQRVGNYEWHQIFPFLPHNLGVVITIWAPIVLVYFMDIQIWYAIFSTFFGGVSGALSHVGEIRTLGMFRARFKSMPEAFSKCIAAKQREQALKQRSFFSVWNSFINSLREEDLISDRERDILMAPSSSSNLSVIQWPPFLLASKVPAALHMAMNSKEGDVHELIEKVKLDRDRYNAVIECYESLVIILSSLLLDSNDRNIVSEIDKKVTYSMSNKTFLEDFEMMEIGKKSELINDVEERKIVNALQDFMEVTTRDFMKGGHSVLTPYYNEEVLYSSYELNRKNEDGISILFYLQKIYPDEWSNFLERIGVDPDDEAALKGHMDDIRLWASYRGQTLARTVRGMMYYRRALELQCYRDMINDKADLAGEEDARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVQLPDGKIEKQYYSVLVKGDDEVLNLHGT >Dexi3A01G0034490.1:cds pep primary_assembly:Fonio_CM05836:3A:39639020:39640073:1 gene:Dexi3A01G0034490 transcript:Dexi3A01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVSLREAKDIDGSVAVSPVLLAAAGGDSGVLEYLLGHGGDPRMADARGSTPLHDAAERDSL >Dexi8B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:8B:7277079:7280336:-1 gene:Dexi8B01G0006300 transcript:Dexi8B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERKKNSQIGSKGKEVQSKNLRREEQESEVQSKNPKLEEPLASSEVDVDEPAITEVGDAVDDANNGSSKESTLPAKDSKNSKGGKKSRSVSSDFEEELDLELGYGDKESGRQQERKLSRQDRVELCQLFQHAVSSHDWESAEGLVGKADAHGLNDVLCVAVDAIWFLSNRNELQAVVGLIKRIVSEGAKDFTRAALRTSFLASCVSACRGRSTSLADAVSFMGQKLHERLQESQGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGKGNQDTVIEVQLQLSAFKMFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNAVGFLGPLMRAAERGCLQVVEWFVNHGCREMELCLALTAATSSSQIPVAAYLLPLVPQHVLAPLSIEIIKAAGERTTGSLHGVDFLLRSDFLNDPAATYAVADSITCSADEAVDAKLRSFMNEHWSEAAFSAGFESAQQHFVNFMRIMERGESPICLRDLPVELVIAMAYLPLYKECINSSGRLLPQRLRGQLVEAASRLEGRQLDRGSQSKELLAILEHHIPRFMTQT >DexiUA01G0004800.1:cds pep primary_assembly:Fonio_CM05836:UA:8882528:8884390:-1 gene:DexiUA01G0004800 transcript:DexiUA01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLEEIFVECLTDPSPQSPPAFLELPTMPDGDGQGLLPPDDMVLSYAARMLMEDTDNKFLYKYYDSAALLQVQQPFAEILSSPSFSANNGNDINMCNTRGGMDLLQGGSGDQNTFSSAFSKGTDVVGAFLKGMEEASRFLPTDNVYKRNMQANQIFGESSTPGRLNKRYNRDEHPEERVGRASKAIMVMGELEEMRDEMMVRGYEICIKDMYNLRIAKTNEVEKNRLGGSKAKKDMVDLCTLLIHCAQAVAVNNHMGAHELLKQIKGHASKTGDAAQRLAYCFAKGLEVRLSGTGNQLCPSLMVEGPSTLEFLKVYNLYMAACYFIKATFIFNAMAIEHSMAGKNKLHIVDYGLQHGLQWAGLLHRMANREGSLPKVKVTAISHLQPRPCPAERVEEIGHQLSKCASKFGVPFKFHAITAKWEEVCIDNLDMDADEVLVVSDLFSLGVLMDESIYFDDPSPRDTVLNNIRRMQPDIFIQSIVNYSYGTSFLTRFREALFYYRALFDMMDATMLRESKLRTVLEQGMLGRSVFNVIACEGMDLLNHPERYKQWQVRNQRAGLRQLPLKPNIVNLLKDKVKKDYHKDFLLSEDGHWLVQGWMGRILYAHSTWVADKGASR >Dexi1B01G0023320.1:cds pep primary_assembly:Fonio_CM05836:1B:28942894:28944231:-1 gene:Dexi1B01G0023320 transcript:Dexi1B01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAAGSVLLRHLGPRVFGPVSSAAAATPRSLHAIAGGGERGAAAVWVRLLSTSAAEAKEEAAASKGNAGSTAAAKAEAAEAAKEGEGKKSPVVSSYWGIEPSKLVNKEGVEWKWSCFRPWETYKADTSIDLTRHHKPKVLLDKIAYWTVKSLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRVLMEEAENERMHLMTFMEVAKPKWYERALVLAVQGVFFNAYFFGYLISPKFAHRVVGYLEEEAIHSYTEFLKDIEAGKIENVPAPAIAIDYWQLPADATLKDVVTVVRADEAHHRDVNHFASDIHFQGLELKEAPAPLGYH >Dexi6B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:6B:26351974:26352237:1 gene:Dexi6B01G0019710 transcript:Dexi6B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSTLMVVSQVAGVASPSAIKFAIMTMTDMLDNNDKPILNEQYDEATTFAMGASHINASRATDLGLVYALGASDT >Dexi1B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:1B:26759877:26760221:1 gene:Dexi1B01G0020660 transcript:Dexi1B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLATACVRGAGSAMEARLVLPGHLQQCACSYGCVKLRPGCGAEVARACEATTSGHDDDDKLRWWGSCRRVCTAPARSARAWWRRRVRQWGGSRWHSAWGASETTLWFGCLW >Dexi1A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:1A:3134054:3137771:1 gene:Dexi1A01G0004250 transcript:Dexi1A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPGPVGPIAGPTCGTRISGTHDCSSRSKPSTWSDGRDNTGDVAWRGCTVWRNQAVSLPRRRALGRRSILRLPRPTPRSLMQILRHSILRLLRRRNIATSGGPMHRLVSTSRPLSFMASAPPRWWGVQFMAASDPPRVWTLQLRRPYKSSGRPKEIQLFNGTISEPRTGLKRYVVAVEHREKMYLKFKKHGHVDRLVETDFASISVKTERKKLAVKSGGISHH >DexiUA01G0009660.1:cds pep primary_assembly:Fonio_CM05836:UA:19072910:19074322:1 gene:DexiUA01G0009660 transcript:DexiUA01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAWRPRRRKASPSAADGDAADNGAEGGRAPPKGQAAAAEGGGGFFCCYLLRSLCPRSKSRTYIGFTVNPRRRIRQHNGEIASGAWRTRRGRPWEMVLCIYGFPTNVAALQFEWAWQHPIESLAVRKAAVEFKSLGGIGNKVKLAYTMLNLPSWENLNLTVNFFSSKNTKFTAGCPALPSQMKTVVCAMEDLQCSTEGPSSEEDDFSQEEPQEQQELSDSPLRDEHSEHYWQRPSSDEDDHSEQQRLSSVEAQPMGGLTGIAGSDVGEDSTDEFAPRKWSEILDTSTELDEPRTSPRCSLSLSGEDCGTAMEDELRGLSPMLTFGAGSDDSDHGYILHGTDVVDLITPTPVGRLRRRGCVDSICPKIIDLTSSPVVIQL >Dexi1A01G0030930.1:cds pep primary_assembly:Fonio_CM05836:1A:36109455:36112726:-1 gene:Dexi1A01G0030930 transcript:Dexi1A01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEENGDEGWRRSGIEVSALQFGYDGQPPLFARFNLRIAPGSRCLLVGANGSAMALFGWLRCSWWWGADGKQLSQGDVPLQGDFSAEHMIFGGTFGESYPHGYLWVTIYHNTKFVMASRLLKPSQVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSVKYSDVEELKSAKNLLSVVESWLRSETKLPKKDPPCSETQPRRSSPFDNSPFRSSRHMAYYR >Dexi7A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:7A:18266654:18269290:-1 gene:Dexi7A01G0006890 transcript:Dexi7A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRKSLQPTASAEEITGSTPARLHFYDPFVLSGVSVESAEHGRLLCSFVVAPRHASPAGYLRSGVTATLADQIGSAVFYCSGLPSSGVSVEISVSFVDAAAVGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLMAQARHTKYLAASSRL >Dexi4A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:4A:703848:705454:-1 gene:Dexi4A01G0001030 transcript:Dexi4A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEHAEKAKTLPDSTTNENKLILYGLYKQATVGDVNTGKSKEEAMTDYITKVKQLLEEAAAASTS >Dexi7A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:7A:25332043:25333685:-1 gene:Dexi7A01G0015500 transcript:Dexi7A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRALSLVALCLLLELALQGAAEHLVPAVFVFGDSMVDVGNNNFIEKCDIGCQANYPPFGIDYLNHKPTGRFSNGYNMADQLAQLLQFEESPPPFLSLSNESLSTRMSTGINFASGGSGLLDVTGNGPVVRSFRSLARMWGRADLISKSLIFINTGSNDLFEYTDCKGHRCKHNDTEFLHSLMASYTRFLKDLYGAGAKKFSVVSPSLVGCCPSQRALAHNPKNHRGVDNHGCLASANDLSRQLYPMIASMLHDLSLELPGMNYSLVDSIKMAEWVFNNTATPSYNFTVLDRACCGSGVFGADGCNSSAPLCQNRSNYLFWDDYHPSDAASGIAAKLIFGDTTGLFVHPINVQQLVEL >DexiUA01G0003460.1:cds pep primary_assembly:Fonio_CM05836:UA:7131205:7131498:1 gene:DexiUA01G0003460 transcript:DexiUA01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLHTLELYGCDLTCEGLEGILDKCPLLETLHIHGHFDKREMDKELKLKCSRVNNLTLDTKKPYDYGYGSAGSSYLSEEDSSQISSEEEDNDSGDE >Dexi3A01G0022970.1:cds pep primary_assembly:Fonio_CM05836:3A:18573973:18578306:1 gene:Dexi3A01G0022970 transcript:Dexi3A01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGHGSSDPLLPRRFARVPPKGGWKSALFIIWVEVAERFAYYGISSNLISYLTGPLGQTTAAAAAAVNAWLGAASMLPLLGAAVADSWLGRYRTIVASSVLYIMGLGMLTLSSMLPSPQQCGAAVDSRGACPPSSIQTAFFYISLYLVAIAQSGHKPCVQAFGADQFDATNPNESSSRASFFNWWYFGICASATVTIAIMSYVQDNVSWALGFGVPSMVMLLALAIFLLGTRTYRFYGSGGGNGGGTGTFSLVGKAFASPVEPEHGDGELAEDAVLAGEVNGLARLFPIWATCLLYGVVFTQPATLFTKQAGTLDRRVGRSFQVPPAALQCFLGVSIMTCIALYDRVLVPVARRVSGAASGITMLQRIGTGIALSLVTLVVAALVEMKRLRAARDAGLVADGGSGTVGVPMSLWWIVPQYLLLGAADVFTMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLITVIDGLTKRNGGTSWFADDLNQGHLDYFYVLLAALTALELLAFTYFSTSYVYRRKAGNDS >Dexi9A01G0042510.1:cds pep primary_assembly:Fonio_CM05836:9A:46111509:46113191:1 gene:Dexi9A01G0042510 transcript:Dexi9A01G0042510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRRWRWSLVVVSKAALLERADEALLPAVYREVGAALGASPTTLGYLTLCRSFVQAVCYPLAMYAAARYDRARVVAVGAILWAAATLLVGVSGSVLQMALARGSNGVGLALAVPAIYSLVADYSSDATRGSAFGASSASLAGASRSSWVALLSVAIAAMVWAFASDPRPSVRKDAAARTATLADLLREAKDVARVPTFGITVVQGTIGSIQWSAFSFFAMLMELIGFTHLQTSVVTGMSSLANLLGMVLTAATIPLAAVLLLAFPNDPSFWGAYAVACFAFAFVVCWYPVSTNNPIFAEIVPEKARTTVYALGMFIGSVFSSFGSPLVGILAERVFGYQSDRTPGKSAEADRKNATALSKAMCSEIAVPIGICCLMYTALYWTYPKDKQRAQMAALQDQDGDGEASVVDDASAAHGSDHHPLLPGTEPGNNKV >DexiUA01G0019040.1:cds pep primary_assembly:Fonio_CM05836:UA:39781946:39789586:-1 gene:DexiUA01G0019040 transcript:DexiUA01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDARGSNANQQGPEGQQQPPPPPPPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLATHPPIFSRADEPLEADTWIRAIESKFTILATPCTSNRKVVFPAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYSQAFNGLCPYAGHHADSDEKKLERFRRGLNTKLKAQLATTRAATYGDLVNLAITQEDANVVHKAEKKRKTPAGPSSAQPQRFRLVPPAVPQGQSRAPQGGGWVARPPQPNAPRFPPPPQQQQAPRQNAQQPARPGAGYQCFKCGSKDHFIKDCPQNKQQNQRPGNQQGKGKQQQRVQVRQGRLNYTTLADLPEGAPDMTGTFPICTQPAVILFDSGATHSFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTHKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMTQHKIVLDVAERVVEINSPTQGPSVLYLPQRTRVDACAYTMVETQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLRKGVKFEWNDKCEEAFHTLRRHLTSAPVLAQPDCTKPYDVNCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHDIKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKARCYCLSVESYADTLCHEMAKLNLEIVPHGYFSHIAVEPTLHDQIVVAQLNDASIKILKRKLSKEKVKEKYKCFRLDGQGVMWFGHRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTFYNAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYAYGPDLVKEAEEKVRIIRENLRTAQSRQKSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLASRYIGPYEITQQYGPVAYQVRLPEKLSAVHDVFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKVQWTLRDNLATAFVPLDGPRAGMSVTIELSPDPGCPSRSSLAVYPSRSSYPVVSVTLEAPQPSSRGHLPSSDVPSPSRLRSQARGLTLERRFGTLEASQPSSRGHLPSSDVPSRSRLRSQARGGALTLEWRFRPFEARQARARGSSSKRRSAWSKCLVQARKLCPTPQTPTFNFTRFEVQFEFLEKIRIWKSELHGHATVPLARAALAGARPPRGAELAKPRRPLSPRLASRHGAPSYGRAPPWPHARSRPAERTAGGRVHSPRAPSMATATATHALARSRGRTVPPLRAQSLHRALTPLLSLAHLAISTSPRSLGLLPPRDAQAEPKLFAKFLVHAPPFPNPSRTELVHSTSSKTPNFPQDIPSPRSTEHAIGFLELHWCSRTPRTSANDPELTGVEAAAAAPPPPRRRHNSDLPQPPNRPQTTRGEPKIISPHFPVPSSPPQGPFFIVYLCLGASVQKCRTEGAIPDGDYTLIPADEEGVPEHGAGVEVTNPEANPQSEQEGKPRSIT >Dexi4B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:4B:2645005:2648610:-1 gene:Dexi4B01G0003780 transcript:Dexi4B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRLPPGAAAVDPYYVYAPRPDPQRQGVLTLFVAGLPDDVKPREIHNLFSHRPGFDHCLLEYTGRGNQAVAFVTFFTHESALSAMTSLNGTVFDPETGDRLHIELAKSTSRRPRGGGDIYRVIDKRANRTEGNADHENIGDEEAWGEDEDGDSDNNGGGSDEPSGTENENSSDKNEFPAEQREPGFHVLKMRRRSGMHVAFADFTDIESSTAAMNSLQGTVLASSDNDGLHIEYARSKMRKS >Dexi3A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:3A:895774:898590:1 gene:Dexi3A01G0001240 transcript:Dexi3A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAAPNASSHSLLPPLPSLPSSSSQDYHLLHPYPHHLGPQHQESVAAMVRKRPPPPDMDLPPPRRHVTGDLSDVTASAAAAAGGGQSAPASAQLPALPTQLHLPAFQQQQQHHHAAAEVDVSHAPLPAAGDASTTAWVDGIIRDIIGSSGGAGVSIAQLIHNVREIIHPCNPALASLLELRLRSLLNAADPAMPPPPHPPAPLLHNPPPVAAPPPQLISADKRHQQHEPPHQQSNPSPPSPPKEPTAEETAAAAAAAAAAAAAAAKERKQEQRRKQRDEEGLHLLTLLLQCAESVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPASSPAAARLQHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVKLTGLGTSMDALQATGKRLSDFADTLGLPFEFCAVADKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGNDSNTLCLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDIKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTPCR >Dexi7A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:7A:14214349:14215989:-1 gene:Dexi7A01G0004000 transcript:Dexi7A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVINKAALDHGMNSYIFIFYRQALASLFLLPIAVLLERITATMNLYNVGLMLTSPTVSSATGNSIIVFTFCLAWLLRMEEVKLRSRSGIAKLAGVALSIAGVLVIALYTGPLLSPLNHHSAFRGATISSTAVHHPSRAVWIKGVFLAVLGVLSWSLWIVLQAAVLKEFPNKLLVTVTQSVFSVGQSFVVAVIAERDFSKWKLRPDIGLLAIGYSGLVVFGVSYYLQAWCVEMKGPVFLAAWAPVGLILTIFCSSFFLGDMRALGQKQGH >Dexi4A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:4A:5981923:5982261:1 gene:Dexi4A01G0007960 transcript:Dexi4A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSGDGFGGRRPPGLLANAAKRKEGFVQLFLMAGIFMMSLRSLGQKHRLRDLADDAADLRRERDGLSLRMRDLQDALRREADADASGALASHLRRIFTAHPAPATAAEDQ >Dexi7A01G0004670.1:cds pep primary_assembly:Fonio_CM05836:7A:15600801:15604761:-1 gene:Dexi7A01G0004670 transcript:Dexi7A01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGAEKPGSGAGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >Dexi6A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:6A:4117610:4120698:-1 gene:Dexi6A01G0004530 transcript:Dexi6A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSRRWPLLLQLGLALAVLHAAVPAAEAWTGEIRGRVVCDVCGDAAIGPEDHPLEGAEVAVLCITKSGEVINYQAFTDSKGTYTVAETMPETDRWESCLARPISSFHEHCTRRGDAHSGVKFTYNKPSGNSHAVKTFLYKPVSAPLYCS >Dexi7B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:7B:25726852:25728263:-1 gene:Dexi7B01G0020400 transcript:Dexi7B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKAKGFFKIISRIFVVKEHEMEIGYPTDVKHVAHIGWDSASGNASPSWMKNIMASPDFSSLGNFAASAETSWASQDFDRQPPGTPASGSDRQDSVACPEVPRPPRKTTGKRPKDSATTAPSSSTDELASPPPAAAEDEAAVGVAANGTR >Dexi8B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:8B:2151956:2152733:-1 gene:Dexi8B01G0003010 transcript:Dexi8B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSQEHPQAWPWGVAMYTNLHYHHYYEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFETMRGFGMGDRLFISYKRRGESATAAPVRPPAVRVAAPAQSAGGEQQPWSPMCYSTSGSYPTSPANSHAYRYSENHSGTETTGVAVQHQHRLGSSVYSV >Dexi9A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:9A:1007772:1010035:-1 gene:Dexi9A01G0001940 transcript:Dexi9A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRIARELLDAARAPGFAEWQLGVRRRIHQHPELAFQEHRTSKLVRDELDALGVPYVWPVARTGVVATIAGPDAAGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDAHVAMLLGAARLLQARKNELKGTVKLVFQPAEEGHAGGYHVLREGVLDDVQAIFALHVETSLPVGTVGSRPGPFLAGAARFTATITGKGGHAAGPHLTVDPIVAASSAVLSLQQLVARETDPLQGAVVSVSSINAGGEAFNVIPESVTLRGTFRSMTTEGLSYLMTRTREVIEGQAAVGRCTAAVDFMEEELRPYPATVNDEAMYVHAKKVAEGLLGEANVKLSPQIMAAEDFGFFAQRIPAAFFAIGVCNEELGKVHHVHSPHMVIDEGALPIGAAFHAAVAIEYLNKHASS >Dexi3A01G0036250.1:cds pep primary_assembly:Fonio_CM05836:3A:41652783:41653401:-1 gene:Dexi3A01G0036250 transcript:Dexi3A01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPTSESATESGGVVEEDDLVFPGFRFHPTDQELVGFYLARKVEKKGFSIDIIKEIDIYKHDPWDIPNEARHVVQGAGEKDWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIHGGAGECLGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSTAPELQDAVSANTTIQSNL >Dexi9A01G0043440.1:cds pep primary_assembly:Fonio_CM05836:9A:46897334:46900154:1 gene:Dexi9A01G0043440 transcript:Dexi9A01G0043440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVERFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGIPFRENVENLDLVIILETMRIIAIALCPIAPSLSLRIYSQLGFTEDQFRLLRWEDTKWGGLKAGQVMMEPKPVFARIETETEETAQTNSKAVKGGKKKAQSKGLVEA >Dexi2B01G0022590.1:cds pep primary_assembly:Fonio_CM05836:2B:32214183:32214641:-1 gene:Dexi2B01G0022590 transcript:Dexi2B01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATDGPGTEGTITSNSNRIAFAQRQRQLDQIQAPAGIIVGAGHAECEGTSTTGQRADGKALFVCSHPAIDSGEPATCLSGAPRLVRFRPSRRPHRVPAFLLAIAMIRCHAAAPRLSIGGFRVGAIGPRGRTCRQQRPHGVARLAHSSITM >Dexi9A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:9A:311755:312214:-1 gene:Dexi9A01G0000560 transcript:Dexi9A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDDWSSSENERFERALATYDADTPGRWERVAAAVGGGRTADEVRRHYDRLAVDVDLIIQEGRRHAAASAAANGHHAAANSNNNNATSNSTSNNNSSNRRGNNSRAN >Dexi6A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:6A:4116693:4116956:1 gene:Dexi6A01G0004520 transcript:Dexi6A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFMAGAAVGVYAAQNYKVPNVRGLVDRGVDAARRYEETYRKKPPSSSGDAAVAGGGGGMSSGGKKKSVVQVDIDDDEQ >Dexi3B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:3B:6065524:6067908:1 gene:Dexi3B01G0008750 transcript:Dexi3B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARMDGGGGVLSRGAVAAMSRQLGIDGLLRPVLQLADEPRQLPAATPAEAAAAPRYQLTLSDGAHLQLAVLAISLNGLVTRGALRRGTVIRVLEHISGVIQNRRFIIVIQLEILHAEFALIGNPTIYEDNATRHFGVSCSGGLGSNEPCFMPGAQQVASESKCLPSHGLLYSSSTKSVEPAVSSLPFSECLSSMSARSTVDAKMQQLSLSDHKNERFAVNATGYAFVPSGNTSGNPILPSNLHSTPMYMDRFHIARNESPLRITPINALSPYQARRKIKARVTAKTDLRHFTNPKGPGKVFSFDLLDAEDGEVHATCFNLQAEQYFDLIEVDKVYLISDGSLKPAQKKFNPLNNEYEIFVHHKTSIEICSSDDGSIPKLQYDFQQISDLDNMESGSTVDLIGIVVSMGLRPE >Dexi5A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:5A:20562216:20563925:1 gene:Dexi5A01G0017340 transcript:Dexi5A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFWQFSDQLRLQTAAFSGLSLGDSIWSPAGAAAAAADRRNSQPDAAGLFAEEPAKNNGGGPGLIGSGKLAFGASKADRYNNLPTSKAAYNNNTNDINGGYGAKNNNSSFTSNKMGSYGGYNSNTNNSGDVKSYFNKSAVRPASNNNNGHHAGGGKKHGGEYGKKKHAKNEGNNNSNGSSGTAADKRFKTLPASEALPRGEAVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVTEALALLDIFADKDDA >Dexi2A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:2A:11740295:11740840:1 gene:Dexi2A01G0010600 transcript:Dexi2A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYVLSTILGRNALVMDYIDEESFPVVDPPPPPATAAGVHPPDAAAEPSSAAVPAPPAVRAPAGVAGTVCSVCTEEIAVADAVVRLPCAHWYHHGCISPWLGIRGTCPLCRAELPPRVAAGDEESDLAGQAKAAAPAPDSAAAGTSDFAGASARAQQREGGYVYLAGGVLSG >Dexi3B01G0030040.1:cds pep primary_assembly:Fonio_CM05836:3B:29462288:29462523:1 gene:Dexi3B01G0030040 transcript:Dexi3B01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPGPVQRCRDPPQGHQPPEGPATTADTDTNAITTTADTDTNAITTTASDSGGGANISTTVAGLL >Dexi8B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:8B:27631211:27633989:-1 gene:Dexi8B01G0016450 transcript:Dexi8B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVRSFAEFMAGGESFVVQGNMQVPEGSGNDTKLPVRRMSLGPSDLRLKRISGLPRLSKIRIVRCPNVVVLEGVPSLNSLVLEDVTMESLPGYLRDVTPRYLKLDCNMKLYESISESSCERDKIRHIGKHDIYWIE >Dexi3B01G0024650.1:cds pep primary_assembly:Fonio_CM05836:3B:19305054:19306165:-1 gene:Dexi3B01G0024650 transcript:Dexi3B01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHVFQVTVAKLTDPGAAAPTSRKAINDTKITVPVYHYDAFKKDRVDASKEEAASEGIVVQAFALSSTNLPPNNHHITSLEQRPPKHTNQPPPQQGRRCPAKLPCAPHPPLRRVAQATTATRRRALVACTAAATYAHAAAPTLKNPRRHRSTRADLAPLRRSSRAPLVGEAHEVEEAASRRPPPTRTSTPPRAVASRAVAGRRRPSSSQIRAGQCIPRRKGPAAAFLAGARAFEALLRRRRGADRGGLGRCGGGARVLPVSPLGGEGDAGAARL >Dexi5A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:5A:2911826:2914720:-1 gene:Dexi5A01G0003870 transcript:Dexi5A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFAVAQEPHVPPPPHQLGRQTQVQSGGMGWRGPVRCALAAVLFLAVTFNFAFAAYRARHSGRDLAFVLVTYALLALLVCFVSRLEWLRRRDLAAGGRVTERKWLRIAVWCVSAALANTFASRVADAMPRLELKLVVWGLTAVLLALGFYFIFFSKDAECGGDAEVARGRDDAAAGPYRPATAVHGLSPEEKTNKAGKFLRSEFVDLQQIDGHGRRLQAAGTWADANSAITKSSRLRVLLPYVAYLVPAIAHLARAARGERRREDVAFVLAAHGALALLLCLGRHEAAPTAAARGRIRARVWALSTALTGLFASRVAPAMPPPLGVLVYAMALLVAAGGFALLFLCDDAGDEDGAASLGIREKSP >Dexi3A01G0036690.1:cds pep primary_assembly:Fonio_CM05836:3A:42261669:42263174:1 gene:Dexi3A01G0036690 transcript:Dexi3A01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGGAITTLLLLSVGSLLLPASLAFPLCTDASKFPTAFFDLLPSSSLLISYLHTGAPVLLNTTLKFCAGNGNGSSSCCDAAADAALGAQFDAMNVSDTACAAVLNPYSADLFDAGPKIRTIPILCNSTSSATSAQSKDTTQDYCKHVWETCKDVKINNSPFQPSLQGSAPPPSSPSKLSDAWQSVSDFCSSFGGAPNDQSVCFSGNTVSFNTTQPSPSPKGICLERIGDGSYLNMAPHPDGSNRIFLGSQAGKIWLATVPEQGSGGTLQFEEASPFVDLTDQVHYDSAFGLMGMAFHPEFATNGRFFASYNCDRTKSSSCTGRCSCNSDVGCDPTKLGTDNGALPCQIFSQRFIG >Dexi3B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:3B:8888596:8889012:-1 gene:Dexi3B01G0012670 transcript:Dexi3B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGHRRRRITATLVVKSCHQEAPNTVPTPGGTSLQGNKLNAMVHITVGAPGEVKLGGRTSDPEPETLRL >Dexi8B01G0012550.1:cds pep primary_assembly:Fonio_CM05836:8B:21936839:21937109:1 gene:Dexi8B01G0012550 transcript:Dexi8B01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWRSHMGRPRPQLLATFSISAPPATAAPPVVVAIEPARDDDDGPGSGLLLHRDWSKLPEDLLVSVLRALHVADAIRSGAVCVS >Dexi6A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:6A:25184605:25187296:-1 gene:Dexi6A01G0017340 transcript:Dexi6A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLSKEFSSGQFSSVFSDNGSNRPQDGIEKSKTFVGSSIEETVQDTKAPLQLESSIFSADKRSTSPRCLAERMAARAGFGVLKIDTSRVSSSVPIRSPVTIPPGVSPRELLESPVFLPNAIVQPSPTTGKLPFLMPNNFKSMMSSVPEKAEEHSHDDLAFSFQPMLSSKPPSFSTADKGCTGLAVSRGEVNPEEQPRLQVANGTAIAAYHQFIGRLPQGPQM >Dexi3B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:3B:8277956:8282611:-1 gene:Dexi3B01G0011860 transcript:Dexi3B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPCHDKLKGIGKCHVCGVAMAGGYRRCHGMERLVDSLRAACPNAPYGCAETPPYHGRDEHIRTCPHAPCHCPGGEACWLRRRLHGSAPGALPRGARMAVRRRRGQELRRNLYGAFIQVYPLTCFLRRADRRPSAAAFCPHRASQCAEACPSEHRSAPPVAPHRPPAALPCPSPLRRAPADGLNSTPCGGEADGESRLQMDRSRGSHPPGPVGREAFVVDDKDMDSDEALMALYERWCKVVFGEERSREEMQRRFTQVKHSVLLVDRYNKKADRDGNSSRLEVNMFADGKLAEKRRVMRLPMEFLVS >Dexi8B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:8B:1089531:1090121:-1 gene:Dexi8B01G0001660 transcript:Dexi8B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAMAAAGTAIAILLYALLFSGTQAQAQAETTEVVTTSKVPSILPACKTVGGGSVFFDVQFCVEALGSDDRSADAGMNYGAYSAIAADLLTANATTTAAKIDALLLRRGNDATARCLRSCQGLYGGVVRRQPGCAAAVRGRRKGEATSCLERAASAAMECEDGFGKSKVASPVTMENDDAFKLAKLAVALLTMAH >DexiUA01G0027860.1:cds pep primary_assembly:Fonio_CM05836:UA:59676683:59677620:1 gene:DexiUA01G0027860 transcript:DexiUA01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGRGEISGRCGASSGRRQPWKPFPRGTGRRGFGSSLLALDKKTYELAGENTPGNAPGSYKEPAIGWMTGFLLAISFVGLLNLLPLRKKSQKPII >Dexi1A01G0025660.1:cds pep primary_assembly:Fonio_CM05836:1A:31816765:31821192:-1 gene:Dexi1A01G0025660 transcript:Dexi1A01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDDDHASLLRSHAAAGAPSPSCPSPRGAAGQHADVEADEATVTASPRRAGGVRGLLRHLERRMSARGSGAGRRQSQQHYQQLDRAVVVEQPSQRQRERQEAAEEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLVKSGQRQGINFLGAIFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGARPAFIVPAYELKSAAELPLYLILGMLCGAVSVAFDRLVAWFSRLFGRLKEKFDFPIIVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQTNDSEPSGFRTPRRGYSSISSEDRNGSSKRADGLDDLELSIIQSDANNFGTYNEEMLLDDLKVSQAMSKIYVKVLQSATVTEAFKLLHDNQQNCALVVDPEDFLEGIITLGDIRRMGFELHEESFISGDYPKTDGSSSISLCVTRGFLYRGNERGLLTCFPDTDLTTAKNLMEARGIKQLPVVKRGVGHRTAGKRKLIAVLHYDSIDCCLRLVITLYAFSRSLPFLCFMNIA >Dexi2A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:2A:10772640:10784744:1 gene:Dexi2A01G0009750 transcript:Dexi2A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTAGKKTAVVERVVFALNGRRYEVAGADPSTRLLEFIRTQTPFKGTKLGCGEGYKDEDLYNKYIDIGGIPELSNIVKIESGFEIGASTTISRTIEILKQECESVSSPNGNTLVLSNLHLAFGAYGTEHAIRAKKVEKFLTGEAVYVDDIPAPKNCLYGEFIYSTKPFAYVKSIKFKSSLASEKIINVVSAKDIPSGGENIGSSFIFGDEQLFGYPIAEYAGQALGIVIAETQRYAVMAAKQVIVEYDTEGLSPPILTVEQAVENSSYFSVPSEYYPNEVGDVSKGMAEADHKIPAAEVKFASEYYFYMEPQTALAIPDEDNTLIVYSSSQYPEFAQSVIARCLGIPFSNASEENVNLSASAYWVPGQDSNKYLNYGAGISEVEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYITNSDGLMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKNRVLSSKASGEPALVLASSVHCALREAIKAARKEFSNSGSGRSPLEFQMDVPAPMTLVKELCGFDIVENYLETLSTYELADGA >Dexi7A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:7A:18061416:18062343:-1 gene:Dexi7A01G0006750 transcript:Dexi7A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQDVHPEVIDALLGFVYDPLDPSNAALDDLLNIPPFHDHHHDHADADADRHCAKKQRAWHHGLAAVGADQQWNNGGGNLQQQVPALPGLLNQFALPPLPSPPPPRQLPEPKKPQQPAAGNASQQSVQSAAARQRRKRISEKTAELSRLIPGGHKLNTAEMLQAAARHVKLLQTQVGMLALMHNSVDVGCSTEKEETMSFMAAQEEEQMQALLACGGVQERLAAEGRCLVPTKLVDAMAKDSSLKSNALVNRDLGRFVASLQAGQ >Dexi4A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:4A:22408327:22410512:1 gene:Dexi4A01G0018420 transcript:Dexi4A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVALAPAPAVFSSASVRSRRGAAPATISSASGRSRRGARGAVRCEVVSSSAPSAAAPQAAKWAQRTVVLPPQRRGCHLITSKVPACPPHSRPPSPLFVRTQLELSCLFDAVMVLFLQLVVYHPSQIVNEIRDDLAEFNCGMAHLFLQHTSASLTINENYDSDVQADTETFLSRIVPEGPSAPWRHTMEGYNYQVPFAGPDDMPAHIKSSMFGCSLTYDSNHQWAFQHGNLAGA >Dexi4B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:4B:10209640:10210355:1 gene:Dexi4B01G0012420 transcript:Dexi4B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTISTRAISGLSPTQTFAEHGAVFSHCLPPTGGDTGFLALGAPENTTSGFVFTPLLTMDDQPWAYQLMLTGISVGGEQLDIPTAVFREGMVMDSGTIVTALQDTAYAALRAAFRSAMAEYPPAPPTAQLDTCYDFTGYENVTVPTVSLTFRGGATVELDASSGLLLDGCLAFWTTDGDEYTGVIGNVNQRTIEVLYDLPGARIGFRTGAC >Dexi8A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:8A:3875988:3876441:1 gene:Dexi8A01G0004430 transcript:Dexi8A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEELHKLLGASSEIDKMYTKLGDLKNYLADADRRNITDQSVQVWVRELKGGMYEATDILDLCHLKSMESQPGCFNPLLFCMRNPLHAHHISSRIKNLNKRLDVIEDRGTTFNFINLGSYEDPNQMGSSMDQA >Dexi9A01G0043370.1:cds pep primary_assembly:Fonio_CM05836:9A:46855762:46856508:-1 gene:Dexi9A01G0043370 transcript:Dexi9A01G0043370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRGLVVGPAPAASPPPSGRASATTPPGKAGRFSLAIPAAATLSLVLWSSPVNAGILSGFSGLESRPGPDMPRLEFLEKWNAENQKKYAEFDDRFKKSKVLQDLLEKSKKNKEK >Dexi5A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:5A:10640049:10641163:-1 gene:Dexi5A01G0013850 transcript:Dexi5A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRGGAGVALLLAALSVLLSWNVAVSSVASAEARLQVGYYNRTCPRAEDLIRNIVHAAIRRDPGNGPGLVRLFFHDCFVRGCDASVLLDTAPGSNATSVEKASQANNPSLRGFGVINRAKRVLERRCRLTVSCADIVAFAARDACRIMGGVDFAVPAGRRDGRVSNKSEVLNNLPGPFVNVSGLVATFAAKNLTAGEMVTLSGAHSFGRSHCSAFSFRLYPQLAGDLNVTYGKYLRTRCPAATGGRRDRVVDLDPRTELVLDNQYYRNVQTGEVLFTSDVTLLSQNDTAALVDLYARNRTLWAARFAAAMVKMGHLDVITGGQGEIRKFCNRVN >Dexi1A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:1A:7770837:7773912:-1 gene:Dexi1A01G0009490 transcript:Dexi1A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLAGGAPAGGGGGTGAAPDHHRPRHRRSGHPAAAAATTPPPPPVVVAAAAPETAATPAVAVVAPVTGEPRGLEAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAELISLGGAAAGAAHDRSPAEALAARYWNHSVVNYDEKLPDGFYDLCGAQLHPGFQAKFPSLDYLRAVQLVRDGAFLAVLVDRERDPALKRLEDRAAAVAVQTRAQHGAAAAAQLAQKIAGLVVNAMGGVVEDADGMNREWSFKSRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDYVSTEYIIDLMGAPGTLIPSDISGSQFQDSNNNQLGSDAIEESVAELCLALEQINGGYENRNDVGGSSSDHSSILALNSNLADLSQAVLKQNLISEKDLEGDISEHIKVNDVSKYVLPEVVDQQFAQNLHDLLLESGALLPTDLLTDQNSHNIHDKESGGWLLVAQTRQNLPNGLVAKDSSSPHENAQHPAENAEETFRDLDLHDHTSSAISNEDQRAAEDSLMNMSGSSNGNLDKLSWSSTKTISSVIDDIAEYEIPWEDLEIGERIGLGMCLVPSPLPVGQ >Dexi6A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:6A:2250773:2254499:1 gene:Dexi6A01G0002350 transcript:Dexi6A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFCPSRCGNLIFDYPFGIGSRCYRHPNFSLTCDDTVQPPRLYLQDTTIEVIDDIDTSNYGSTSSTNFSVQIPIHAIPVKPGVAVYNMFWKAPIFTLDFAVLNITGCDFDAYWVDQETNVTWKLCEITCPDATITGNVARQYCNGTGCCSIQFNTYLSAIQLKFVLNGSRELTNHSALWDNINVTYASASISWSIMDQPTCASAIDKSRPTYACEKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYEFIPNGTLYQLIHGQHLGPRVSFATRLKIVHEAAEALAYLHSWASPPIIHGDVKSANILIDEGYTVKVSDFGASTLAPTDEAQFVIQGTYGYLDPEYMQTSKLTGKSDVYSFGVVILELLTCRKAMNLEAIDEEINLSAHFLLAVSENRLGEILDEQIKGEESMELIEQVAELAKLCLEMASEKRPAMREVADELERFRNLSQHHPWGQETSEDELRALLVGSPNSPFEIEISNGAYLSMTDSAYLGVQSPR >Dexi7B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:7B:23793912:23796134:-1 gene:Dexi7B01G0017890 transcript:Dexi7B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTESSVFRHWKTGSFQYSLVVHTLGDGDDVDEQIKHKSLYMDGTIYLLHLEKSAVLAFDVDAETEDDSPLHGGKSELIEMSGRPCMVTIDGCCLALWLLTADHQWDRKCVILDESNRYCDSISGVWDYGGVLLLYNELSCELWLYDVAKKTIYKADLPGELTVQRSIVGEINQDLERRRNSSAHIAEVINPLREEATLNTVCLMEFLVRIMQKLPNGMRDVCQTWARSSCQGRDRPDGAVASVSTTARLFMDQVAPQRLVSPTWAGHSMWRVGILAA >Dexi9B01G0021270.1:cds pep primary_assembly:Fonio_CM05836:9B:15940736:15941317:1 gene:Dexi9B01G0021270 transcript:Dexi9B01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLIALGFIVLMSMGIANAVRVARYSSADGTGTGGGYGGGYVNGAGSGAGGGTGSGESGSDGAHASAGGGGGGGGVSQYNGSGYGGGAGSGSGSSDHSYYDGGSGYEKSSSAGGTGGGGGGGQGGGNWGSSGYGEGSGTGSGSSYGNTYWDGTNYANANANGNGDGKGNGENGGSGGGKGAGSGYGDANP >Dexi3B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:3B:5467772:5469847:1 gene:Dexi3B01G0007750 transcript:Dexi3B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELANGLNESTVAMEEGRGGGDEACPEISERDKGASSRLMLSIPFVQKILAEILGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSLAFATCGRFPWRQVPAYAAAQLMGAAAASLTLRLLFGGAKEHFFGTVPAGSDLQSLVIEFIISFNLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTLGPAIVVGRYAGIWVYFAGPIAGTVAGAWAYNLIRFTDKPLREITQTSSFLRSARRN >Dexi2B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:2B:4942449:4944469:-1 gene:Dexi2B01G0005290 transcript:Dexi2B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPSVPSAGGRDPDAPNAASDTILAVHDDGGAGPYGSLDSIAAAGPGREAAVVRYRGWKTMPFVIGNETFEKLGSIGTAANLMVYLTSVFHMSNVRAAVSLNAFSGTTNLATVFGAFASDLFLGRFSTVCLGSISTLIGMIILTLTAGVPSLHPPECVAGEECVGATRGQFAVLVVSFLFIVVGAGGIRPCSLPFGADQFDPNTESGRRGINSFFNWYYFTLTIAVCGSSTAIIYVQSNVSWWIGFAIPAALMSVSCVLFFSGAGLYVRVRPEGIPLAGVLRVTVAAFRKRHVAAPEIPATSLFRTRHASKLVSRLPYTHQFTFLDKAAIVESSSKGELDESGNAKDPWRLCSVQEVEEAKCILRILPVWATCIVYYVSFAQTNTYVILQAAQSDRHVGSFDVPPASFTIFPMLALTVWIPFYDRLILPWLRRLTGREEGITLLQRMGVGMVLSVVAMLISGVAEQHRRELHAAATSDVSTMAAAGAGHVSPMSAFWLVPQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFSGLALSSYLSGLLVTVVHRSTGDDGWLAEDLNRGKLDRFYFLIGFIGAVNFFVFLACAKWYRYKGMDGDDDERDADGVARWQPRSGEGDNCPEEGRVAAT >Dexi9B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:9B:7138759:7141261:-1 gene:Dexi9B01G0011210 transcript:Dexi9B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDEEARGLLLPDDVLAAILRRLSPWDLAACRCVCKQWRDVVNGNGMLLPRSLAGLVLSHGNIRYARLFARPGVDHGDQCMPGGAVLDCCNGLLLLDGYWVRNPATGASASVPEPPYASPRVEGMGCLIDQDAFLAFDPAASPHYEVFLIPPIPCIGNSVVLAPAMLRLEWPPSPFVLSVFSSRTGAWEERAFGRQGEAAGTVADMQQDTRDFLDLYRHAEYWQGILYVHCQTNYLMRISPSDSTYRVIKPPVDVNQEYLLGKSEKGIYYALLEDDCFRLRVWILDDCSCDQIEWRLRHDSGQGLAFPTPNSDHGNWVFAPLYSDDESSDDDESEEEYEDEEQVEEEPEWDSDDDSIIPTTNDMVEQSSAFTQMLAFHPYKEIVFFGAVAPLLAFLAAVVAFAFAAPLVAFVADAALENCAADVYLT >Dexi5B01G0033560.1:cds pep primary_assembly:Fonio_CM05836:5B:33944699:33945182:-1 gene:Dexi5B01G0033560 transcript:Dexi5B01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHCPRSALCTACCCHRRRRREAKARRSTTTIGSQGGTAATSDAECEAGGAASVARDGASASRDGATSPALDGEASSGDEAEIKEVIYEVAVKVEDPVVAGEEVAAEHAEAARAAGRSPPASCRRSPAAATGGGGGGGAAVRYCLVHGE >Dexi2A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:2A:22596168:22597149:-1 gene:Dexi2A01G0013800 transcript:Dexi2A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTASIAAYSKKRGLSLEEKREQMLQIFYESQDFFLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSKRN >Dexi7B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:7B:20632181:20634262:1 gene:Dexi7B01G0014380 transcript:Dexi7B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLLLLLPLPLLLLAAACSDHAAVLAAEEFTYNGFAGANLTLDGMSVVAPNGLLMLSNGTSQMAGHAFHPTPVRLRDVPGGAARSFSASFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGVLNVSDNGNDGNRVLFVELDTMLNPEFQDMNSNHVGVNVNSMRSLQNHSAGYYDDATGAFSNLSLISRQPMQVWVDYDGPTTRLEVSMAPMAVPKPKKPLISAPVNLSAVGTDTDAYVGFSAATGVIFTRHYVLGWSFAMDGGAAPALDTSKLPKLPRFGPKPRSKLLEILLPIATAAFVLALLVGVFLFVRRRIRYAEVREDWEVEFGPHRFSYKELYHATKGFKNKQLLGTGGFGRVYKGVLPKSKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHDKTKPVLDWEQRFQIIKGVASGLLYLHEDWEMVVIHRDIKASNVLLDADMGGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGRGLVAGDEDDDQSNVLLDWVQEHERRGAALDTVDPRLCGKYDADEARMVIRLGLACAHPVPDARPGMRQVAQYLEGDAPMPEVAPTCVSYTTLALMQNDVGFDSFAMSFPSTVSSSVSPVSGAFSSAVSGLSGGR >DexiUA01G0016730.1:cds pep primary_assembly:Fonio_CM05836:UA:35773655:35774159:-1 gene:DexiUA01G0016730 transcript:DexiUA01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETLSRRDLEKEAANLKQKLEKCELDLENTRKSSELSLIPLTSVAAGSTDLVDTTMQELYDF >Dexi7B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:7B:9626722:9628990:1 gene:Dexi7B01G0003900 transcript:Dexi7B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRALLTKFLLLALLVLQTGEVRADSRLESFVQDELQFGQGLGLPSITSFHGYSVDDIMNGVDAVVQAWYMDDTTEEDQRLPHHRQPDEPVPLAKLLDLGIVAMRLDADNHENDENLTIIRGQRGYMHMDIVTLTPEKMANYEAMIKRFFEEHLHTDEEVRYCLEGSGYFDVRDEEDRWIRVSVRKGGLIVVPAGIYHRFTLDTNNYIKCDWVLGILLGYYRRCDSFPEAQTGQHIIVLMIISLQGTLAQ >Dexi9A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:9A:5841713:5844287:1 gene:Dexi9A01G0009600 transcript:Dexi9A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRLWTATTLAGACGSLQSAAYFCGIKRRPARAALRMLASTAKSSAKQENITGQAGNQGKKRRRTGSVSTVQQDAPQETNAHQNHELARDDDASTDLPDRIEGKEKSRHSPEPIGGGESEERERPCQASASSLLGGRAADCRGKSERQVAAEGNRPAEAEGEQPAVAGEWPAARWTATPWPLSPIRRKPLPRSPFVLCFTEDAAPPGPAIAGFDGLAVRVCLAGSRTNPRRLHP >Dexi7A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:7A:576924:579817:1 gene:Dexi7A01G0000340 transcript:Dexi7A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMVDAALNGQEMTKATCGVGDGGEKFAPSAWGDFFITYTSEEWMRQRSELLKKQVRQDFNASIDNMGVADLVTYVDTLERLGIDNHFSDLIEAALNRIRTEESESDEVSKSLHIVALRFRLLRQHNVWVSADVFEKFRDGGSFSTSLCSDPRGLLSLYNAAHMAIPGEVALDNAIAFARGHLEAIKGKVKSPIAEQISRALDITLPRFTRRLETMHYIAEYEHEEAHDSLLLELSRLNFNLVRALHLKELKALSLWDENEVSILPEYLRTLYIKTLGNFKEFEDSLEQNKKYRMTYAKNAYKLSSQNYLREAIWSSQKYQPSFTEHEEVSIMSSGLPMLTIITLMGYGDVATQEVFEWVSGVPEMVRAGSQVTRFLNDLSSYKLGKHKKDMPSAVETYMIEKGLTGEEAVAEIAALLENRWRILNQTNMDIDRSLFPAAQVVVNMARTNEIIYLHGRDAYTFGDDLKDLVTTLFLRPVPL >Dexi3B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:3B:4351355:4355236:1 gene:Dexi3B01G0006270 transcript:Dexi3B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGPSGFAGIGVRPNSSAACLVQKAGARPSPLPGAATVQAHRRQNKAHNGQAIRCAMATGKEQGAATTASSGDKNKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEVLLSLLPVLEGDGGAEEVGGG >Dexi9B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:9B:125890:130848:-1 gene:Dexi9B01G0000130 transcript:Dexi9B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLGNNLCCLTDQRTSQHSGAPHEFFHGPYQSAYPPWAMHPPYSMQGIPYYPGINPYYPPPYPPMDDTRYHHSERRISKKRSSNSTDSETSDDGSAQSGSERETSYGHRSHGKDKRTGKKKPNVVVIRNINVTSKRHGSSESESQTGSDVSEYNDDPHTKSRKKKNKSSSSKKKDARKIILESADEFNKNEMSCEQDGDQGNWNVFQSFLLRADGKTRDNDADLFASEKEPPQARRKEITSIDDSILLAERDSAGSSEHDTIGFNMENGRIKPRQMLSGDELMMSGEVSGIDSDVIKEIEAGDARYRRGASDDFMIYGQEKSADRRSSLDPLAEVQYKNPTMVEKNTHSMADESFMIPLRSTSEDNLGPESRTAIDIDVELPSTVQKISDAKAGGQLFYEPDELMPERGCEDISFGYDPAMDYNSQMQSQPATMVEEAHVEEAELSNVNEVKKSENDKRLRSSQGSLDRRKKEASVRRFASSKGPMTDAQKRAHDLRAYKAGLQKAKKEQEEEQIKRLERLKLERQKRISARSSSSSAIPQQPKVKPSPKVSPSTYKSSKFSDTEPASSSPLRKLTAKTTSGTDRQKTAKASKLNDNTNAVSKSTSSLKDMKEKYGRAESSSERLNKLAEPKINSLTGHPSNSKSASMEHPRRRSMPLDTQTKKISAIMQLDQSKSATLPELKVKSSPPAVVKNGVAAKEKKDVPHEALAPTTETASVNKINSNISRMNSSDDSMVVEKTVVMLENEAVSTPTVILHSGRNAAKETSNDDKTEKPSPELEYTPSSLILPDAESPVTNGPDDHGNSYEVTECPKDESEKPTLAAVEKPYQAPFARVTSLENASEDSPLPVRESESLVHADSIKARVPEPVYAVVGNEVTEKPRSKEPKGLRKLLKFGRKSHTSSSTEGVMDSDASSVDEAPARDASRSFSLLSPFRGKHKNDIHLKRKAQGQGLHEPVLAPLLIKFRVFMEQPACCWPPSGTRSRPWEDQPWCTTD >Dexi1A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:1A:9798755:9799574:1 gene:Dexi1A01G0011020 transcript:Dexi1A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETSQAPESTIQQKPGPEVNQNPVENDVNPEKETDVNPEKETYELPGSEANPEPVEKCQETVTIPDKQADPEAGFEVNQKPSETCQETSAESDVNTEKQTYDPGVIYRCKKCRRMLATQEFVVTHEVGAGGKCFNRGKHADDDDKSPQCPAIFVEPMKWMQTVEEGYVANKLFCMGCKARLGQFNWAGMQCSCGAWVIPAFQLTKSKIDECSM >Dexi5B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:5B:7788208:7790705:-1 gene:Dexi5B01G0011030 transcript:Dexi5B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMESGDYGAFMEKFELLPPQSQQQLPLHGLTFAIKDIFDISGRVTGFGNPDWARTHAPAAATSPVVLVTLAAGATSVGKTVMDEMAYSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGLVSTENVIPMSQMFDTVGWFARDLSTLSRVGNVLLPLPAENSINQPTHFTIPQDCFEILGSLKDQTYQILNASVSKRFGSDAVDNRNLGEFVSNNVPSIGKFISDFSKSEAPSVPHLSVISYVMRCLQRSEFKANHAEWVSTVKPNLGPGIRERVYEAITSEDGPMEDFHALRTEFKSALADLVKDDGILAIPTVPGSPPKLRMEAAALENFRARAFSLLSIAGLSGFCQLTIPLGVREGVPVSVSLVARHGADRFLISVAQELYETLKEETKKAWSPSNSSL >Dexi2A01G0035230.1:cds pep primary_assembly:Fonio_CM05836:2A:44922667:44926654:1 gene:Dexi2A01G0035230 transcript:Dexi2A01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEKIMVSALTGVMRPVLGKLTNLIEKKYTELKNVRKKLELLREELMAIDILLEKYATIERPDVQVKAWVTEMREMAYDMEDIIDRFSYQVDHEPADTTIVKRFFRKKIRRLKKLHYRYRFAEEIKELLSNVKAAKERRERHKIEENGPSILHTEIDPRLEALYVEVEKLVGIENPRQEVIGRLVGKNPEERRRVVSIVGPGGSGKTTLAKQVYEKIKGQFSHVAFVFVSQKPNMNNLLRELQYQTGVPGSMVMGPCSDSLLIDQLRSYLENKRYLRFGGAIGLMLPAQIGNLQYLQTLDLSGAKATQLPKSIVQLKRLVCLVGYQLIMPDGFGNMESLQELGNLDGCKCSISFGEDLALLSKLRVLRVTFMWGSTACTDAMRSLASKAISCLVLRVPKFRMLSVEEEQS >Dexi3A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:3A:2028000:2034757:1 gene:Dexi3A01G0003110 transcript:Dexi3A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLVSACLRNPFPSGCSENPPPRETRFPLFHRPPPPARTAPMEPPPAAYDAADWSKLPADILTSVLWCLEFPDFFSSAAVCTSWRATALDLRRRGRVYTRPQTPCLFYVSPAGAEIYSLAAGRSYRLPDLPGTPVADCYIWGSSHGWLVTADARSDLHLLNPATGEQIGLPPVATMEHVTPVFDEAGELSRYHLSFYNATLPRKETCPPQPYEVGELREVLYLKAVLSCDPSRGDCIAMLIHNPERQISFARSVVRHGNARHVHKMSGGGHGDQDVGWQAGPVDGVPKQGKVRSFTGWIRRARVWNMADHVELTSNPWVGHVSFPVSNKTDKSSTQRASVPPAAASMEPPAAASDWSKLPDDILTSVLCCLEFPDLFSSAAVCTSWRATARDLRRRGRIYSRPQTPCLFYISPTGAELYSLAAGRSYTLPDLPGTAAVDRYIWGSSHGWLVTADVRSELQLLNPATGQQIDLPAVATMEHVTPVLDDAGELSRYDLSFYNATLPREETQPPQPYEVAELREVLYLKAVLSGDPSLGDCTVMLIHNPDRQLSFARVGGEQWHWVTTSPLYAEYSDCMYQDFKFYAMTRQGGIHRYTIAGSCASCDSTHTASARSRGPQTNQITGFAGIGHLRG >Dexi6B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:6B:8491665:8492795:-1 gene:Dexi6B01G0007300 transcript:Dexi6B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTATRVFYVSGHASSDGFHFVAGGSSRRSAVFNVGGYDWSIHYYRTPQDWEKTLLCLQFETKEASKVVTASFGVSILDPTGTLPPWKVQEVTSVVFDPDRIDNTGKEAAVTVSMPRRFQDMAPDTRYFTQGSLLFGCTITVFTDDDDTTPATAAVAMSSSLATKAPDSDMVEQLGKIYATKDGSDVTYSVKGRLFHMHKIILAMRSPVFKAQLYGGMMESTTQIIEVEDMQPEVFDALLHYMYTDTLPCALDDDDDDDEVDEDVTLLISHLLVASDRYGIERLKILCQCKLCDLVSPHNWVKMLVFAEEQRCDRLKDACIQFMATSGRAGKVVVSEEYAQLRRTHPLILIDVLEKINEFRENMLNPCFREMKV >Dexi4B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:4B:4472806:4474497:-1 gene:Dexi4B01G0006370 transcript:Dexi4B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRDGIHVRLRSRGRGMYLHADEDGAGVSLSPRRDSLNAAWRVHLVQVGGMGFVLLCGAAYGRYLALAPPGMVAPPPGHRGCRAVQRDYDEADLSAVMWRATRAGGDGGGGDDVVLRHRALVRILRANGRYRRWHTGVTVDLEDNHGESTMMHWMVEEIPPRPVPPPPPTPSTVNLGGPGMISLFRRRAEPRVEPLRTIRYVRVNDQGQFNQNGWVTFQFYGRSLYVLICRVLYLLDEPIFIGDEGNFTITLCVRAGIYGQLTPLVIDLPRCEEPMDIFVLTTGSPVYR >Dexi1A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:1A:5788744:5789709:-1 gene:Dexi1A01G0007490 transcript:Dexi1A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADAARVPPAWLRPMLDTEYFGGCLDHPQARGSRGAGACNYFCTGCPDRAICASCLPSHLGHKTIQIRRSSNSNVVRVADVEDLLQVSDVQPYLLNGHAAVFLKKRPMAGKGRAGEVRCEECERTLLNAAYRFCSIGCKLDALPNDLDFTVSFVVPPKSDDETESDSSSHDDDQPSAPTSAQDGE >Dexi1B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:1B:1992306:1993133:-1 gene:Dexi1B01G0002450 transcript:Dexi1B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHSKLYSDDVSLVVVVVDTNPFFWATAALPFADFFANLVHFVNSILLLNHLNRVVVIASGVSSCAYIFDSSDASPAGGVGVAATFDKASRRVEEFIAQDARATAANSSAASANAAALLSGALGFSGLGLGIHNLG >Dexi8B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:8B:421011:421727:1 gene:Dexi8B01G0000640 transcript:Dexi8B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVQLMDSYATLLFTKITEIKPEEFCKQYGLCRDTTLFSGVRSDSTCVFCHHLLDEVMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALVQACPGSQKTTFSSFLDGGLVSDA >Dexi8A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:8A:2389347:2390453:-1 gene:Dexi8A01G0003350 transcript:Dexi8A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASGGGSSRSSDPFLPPGYRFYPTEEELLGFYLRHRLAGTKPQVEHLIPVVDIYSYHPSMLQAMAGAANVGDREQWFFFCPRAEREVHGGRPARTTPSGYWKATGSPSYVYSAASANPRVIGEKRTMVFYEGRAPTGNKTQWKMNEYKAVAADDGDAPPPPPGTPVRLRNEFSVCRVYISTGTLRSFDRRPLNHAGGRDQAAVNGNRQQQVLPPPPPLAAATASQMPAVDVAADGQAAENSHDSSSSGSRGGVVVDGGEDGGGAAIDWDSLIAPVDRFSFDDLSRVIWPPN >Dexi9A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:9A:14345576:14359878:1 gene:Dexi9A01G0019430 transcript:Dexi9A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRKDSSSPGGAKEGDYSALRELFRPHVESFDYFLDAGLDEMLRGIRPMEIKDPNSSTILKNILHASNSLFFLLFSLTIVYTNLGEGSCAAANEGWSVGCTPLPSGVHPYLFLQFQSKLCHLRDADPHKLVFHGEEATEMGGYFICGGMERLIRILILQKRNYPMGLVRGSFLKRGAGFTDKAVVIRCVHSDQSSVTIKLYYLQNGSARLGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDKYDRGKGVVSTQLIGERAQIILDEVRNLSLLTCMQCLAHIGKYFRSVMEGFENYDYETVANAVMKDYVFVHLENNHDKFNLLIFMLQKLYALVDQTASPDNADALQFQEALLPGHLITVFLKDRLQDWLRKSKRIIIEEATKNKSFDLNNALEVRKFLTKHTTSVGRAIESMIKIGKVNSQSGLDLPQRDGMTIHAERLNFHRYISHFRSVHRGAAFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRISSFYNSEGVVKDFGKIKKSLSAELVRVGMNPVLPKVERTGPPEVVHVHLDGCILGTIASARIEDVVHYLRTLKLLAHSGIPEDLEVGYVPLSHGGAYPGLYLFTNPARFVRPVKNLFSPPDGKQSIELIGPFEQAFMEISCPDGGDGGRKEMFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIYQTECIDLSTKNRENVPEIFAKSALSRDTSSAIDSDGLPRLGQEVHPNEQYYSVYNRLTGAIRPVKLKGTEPAFIDYVAVNGASSKGDFQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLHGKFRDATPFASSVKKEGKDPSKPDSIVDELGPMLTSYGFNYHGTEILYSGFFGTEMKCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQVTRQPIGGRKHGGGIRFGEMERDALLAHGSSYLLHDRLHSCSDYHIADVCSLCGSLLTATVIKSDTQKKTKREMLGLPTVRPPKNFSCQACKSSKGMETVAMPYVFRYLAAELAAMNIKLELRLSNKSECPPSQ >Dexi5B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:5B:3323784:3324489:1 gene:Dexi5B01G0004940 transcript:Dexi5B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHKAGNIKPRGAQLLRFGANLAQTSAETRNPTTRSPSSVIPAAPRAAAAKDEDTAALGRGGGEPAMIGANKNPGRERRGDDRVPSPPRIVDLVVARLRLSSSTSRHATPRPALPPPYAPLTRSPPSSSQSFAAAASRSAVSQSPSIVCFCARRGDLNGGRLGGTPGGEQDTWVTGLPLPRGRLFLDSLVFVPNRQVTTAKRVAL >Dexi8B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:8B:5499030:5503943:-1 gene:Dexi8B01G0005420 transcript:Dexi8B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRKKQQGSSASAAAAPEVSGKGKEVTEDGKEKQRQKGKEKEVREADRKDPAKERQKQKGKETVARSEEKQKGKSAKEKPAAEQQGEEEEELAAAKSAGEQFFKIFFPNQSRERLKIPAAFHQHLKEQPTGPVSLKGPSGNTWEAVLTSDSEGLCFVQGWKEFVTDHSVKQGHFLVFTYDGFSQFSVTVFCSLGIVDPLALVAKPTNDVVIKIEDDEEDQEDMDAGGTSETSMLPPEDSNGITGKRTSGVNDHIPDGKAAKKYSSVANKAEKKQPEAIDHTSKDVSTVVNTKKGKRNSSETSILPPEDGNGTTGKKTRGVNGPIPDGKASKKHSSVAKKSEKKQPEGNDHTSKDASTVVNTEKGKRNSSEISILPPEDGNGITGKRTRGVNDPIPDGKASKKQSSVAKKSEKKQPEAIDRTSKDASTVVNTEKDSSFSLLNEATAFNKTQVREKNVPKLGRFIVKRTRQPVVISQRRPVTEEEKDLALTRAKEFKSNNPFAVQIMMESYVYVGFFMNITCEFVRESLPRKSKKMTLWDPLGKPWEVNYVYYRDRSVASFSGGWGKFALGNNLEKFDVCIFELFKEDNIKVHIYRVVPEITPLLRASGR >Dexi1A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:1A:20554241:20554630:1 gene:Dexi1A01G0014150 transcript:Dexi1A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTAYELRLTTGSGGRSPASAKPPVQRRTSASASAAICLPAVRSALASSGGGGRVAEARRASISLTAPPALLRPTLAATLASPAMSAPGLSRCLLSSDTQKS >Dexi1A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:1A:3538838:3543020:1 gene:Dexi1A01G0004890 transcript:Dexi1A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRFALLFLVAAALIKLSGGLRVEDDWEHFLLQWGQHTSLPSPLLNGDLVSGSEQRDDHPLLHMQLANVPGSSPDARCPASPLHKDDHRVRPSKAGVSMGQCFSCCFKGSSGTTPASQVMGGTLENNATSDAPKPTPPPPPPPPLPPPIKKAPPPPPGPPKGSKARLDQLSPVESSRSEGSSAGDQTSESSEAEVNAPRAKLRPFFWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYNAGSKNNLKDKELPSTDPASQNISILNVKKSCNLAVVFKAMNVRVQEIHDALIEGNELPRALLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFRRINALLFMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGTSSSPSTSDDNFNLSLEEDGDYHSHRGLKIVSGLSTEMDNVKRVAAIDAEALSASVVNLRHELLKSKDILSEISTIEEKSGFWRTLECFLEYAENETNFLMNEEKRLRSLVKKTIRYFHGNDSKDDDFRLFVIVRDFLVMLDKACKEVGASQKKGTNKSRSNDSGNPTSQSILHGRQFPAVIDDHSDGSDSND >Dexi6B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:6B:4619916:4625122:-1 gene:Dexi6B01G0005360 transcript:Dexi6B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGFSATPNPNKSFEILPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASISHDHPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQANPVHIQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVMLNYLHVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPAAAKTSIYLHSPQESEVKGKPRIATGRK >Dexi5A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:5A:14534646:14535912:1 gene:Dexi5A01G0016200 transcript:Dexi5A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKANFGSFAAALKEKDLWVMNVVPHDGPSTLKIIYDRGLIGSNHDCAEDLLLEMDRILRPTGFIIVRDKSSVIEFIKKYLHALHWEAITVVDAEPSPESEENEMIMIIRKKLWLPEAVSQDSST >Dexi7B01G0021370.1:cds pep primary_assembly:Fonio_CM05836:7B:26436464:26437068:-1 gene:Dexi7B01G0021370 transcript:Dexi7B01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPGFLRGYNDDRSFDLMTAKRYFPATPEGNVGISEHEDGNCITFIFQDGVGGLEVLKDGHWIPAEPVDGSIVVNIGDVIKVLSNDKLKSATHRVVRKAVARYSFSFFFSLPGDKWVEPLPEFTAEIGEAPRYRRFLYKEYRELLVRNKTHPPARPEDIVRVTHYAI >Dexi1A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:1A:10779346:10780519:1 gene:Dexi1A01G0011650 transcript:Dexi1A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYYYARAALALALGCALAAAAAAQSQWVRAHATFYGGADASGTMGGACGYGNLFAQGYGTRTTALSTVLFANGASCGQCYKLVCDRKFERTWCKPGVSVTVTATNFCPPNWELPDGGWCNTVRAHFDMAQPAWEKIGVASRGIIPVIYKRVSCVKKGGVRFTVNGHDYFNLVLVTNVAGPGSIRAMDVRGSADWMAMARNWGANWHSLTYLNGQGLSFRVTVTDGQSIVFANVVPPSWRFGQSFASNLQFKL >Dexi5B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:5B:13734436:13738415:-1 gene:Dexi5B01G0016170 transcript:Dexi5B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRSRSADCASMYSAAALDASIHSGLFHAAAEGDLRFFKGLVQVLDNGRGRVREAVEAMSAHGGLGALHVAATCGRLELCKYLVEDLRVDVDAADDFGFTPLIFAMNNENLAVFKYLLDHGADKDKVYRNCEMIELLFAKGAYIDPVADRGTPIHIAAMEGQAGAMKLSLDHNADCNKTDKFGATPLLAAINAGSLKCVKLLVEAGADVKADCIFTALIDAANDGSSECLDYLLRIGANRNVPDDISSEDPNGHLT >Dexi2B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:2B:316976:318391:-1 gene:Dexi2B01G0000730 transcript:Dexi2B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKICCIGAGYVGGPAMAVMAQKCPSIEVAVVDVSRPRIDAWNSDRLPVHEPGLYAVVRACRGRNLSFSSDVDRHVADADIVFVSVNTPTKSRGLGAGLAADLAYWESAARMVASAASRSATNGESKSKIVVEKSSVPVRTAEAMERILLAHGGGEGDDAAFHVVSNPEFFSEGTAMRDLLCPDRVLIGGRDRDAVRALVDVYAHWVPEDRIVTTTSLCSAELSKLAANAFLAQRVTSANAISALCEAVGADVSDVSRAVAMDRRVGGGAFLNAGVGFGGPGFHRDVLRLAYACDCNGLPEAAEYWRQVVAVNEYQKSRFVRRVVSSMFGTVAGKKVAVLGFAFKKGVADTRESPAVDVCRGLLGDRAHVSMYDPAVSEKQILRDTAGKVRVARDAYEAAEGAHGLCVLTEWEEFRTLDYRRIFDGMQRPAFVFDGRNVVDVGKLREIGFVVYSVGKPLDPWLKGLPAVA >Dexi6B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:6B:20705367:20706704:1 gene:Dexi6B01G0012970 transcript:Dexi6B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRILCLRPHRVALPLPSPIPPRLLPSRTYISDMRRSAFIDRLLRSVRSEISLLANSNPPPSPPAPAPFAVEDRPGEQWARLRRVFPSADGEEEEVKVDASLVDGALPPSRSGADTGGPPRLHITVKVEVSKAARPGVALNFECSAWPDEIEVQRIFPVRRGGPVPVQQYVGRQFSELDEEMQTAVRDYLEKRGVDDDLATFLHAYMENKEHTELIRWLKNIESHIKK >Dexi6B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:6B:23992577:23993065:-1 gene:Dexi6B01G0016690 transcript:Dexi6B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSEEKLPVTTAAGASAGGGGGLRRRMSSVSAQLGPSLSAAASASIRRAQSMPSVKALAAAGALRRWWEWGIGWVMARRPPPLFMEMSDEEADEIGGCHCRGTWRHVLYKVRAGARRLLGRDGRPLKPAATPVFRYDSVSYAQNFDDGEA >Dexi6B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:6B:2229103:2230429:-1 gene:Dexi6B01G0002580 transcript:Dexi6B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLICSGCKRLLQYRRGSATGVCCPSCNTFTSPSEMSELVCSGCFTMLVYNRGANNIRCPHCSRINSTRSAANQIGHLSCGHCRTTLAYPPGASTDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >Dexi5B01G0036680.1:cds pep primary_assembly:Fonio_CM05836:5B:36337106:36337951:1 gene:Dexi5B01G0036680 transcript:Dexi5B01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPRTVHLRRSSSSSPGDTVAIAVDGSAGVDLARVGLALGLDPASVRPNGYFLSRGGPGHVCTAVTWRALLAFFAARGLATGADAAAPIAVDGKPAAPPAPTADPTTIVCSKRKYGLEVERHPKKSKPQENRSSLSKQRDDILSEEIVLGLKRRLRLDDTIPAKKMKQVERGSGE >DexiUA01G0003110.1:cds pep primary_assembly:Fonio_CM05836:UA:6421872:6429887:1 gene:DexiUA01G0003110 transcript:DexiUA01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRLRPASPVTLPDKDNDDLLSEILLRLPPQPSSLPRASLVCKRWRRLRFRPPLGGEGGWEPNKWRIFGCRHGHVLLYNRKQKEIVLWNPPTGDHRHVAVPPEYDREERMIWNGAVLCTAAGDRSHVHGSFSSCPIKAALKSHKLRKIFGQRLWHKVILGYADDINVVLLWIDSSIYVLQLDSLQFTKLWKTNVISRNHPYATIYDSDPPPPPAAAVLAPRASLVCKRWCRLVAEPHFRRRLRARHQSPPVIGIFEDHTGYPFFRSVMDPPDHIPTERFYPRFRDGNADWDKWRIYGCRHGRVLLHNKKQNDIVVWDPLTGDHRVVAIPLEFDSEEKRIWNGAVLCAAADNPSHMHGGFSSCPFKVVLVGVTSDHTQMFVCSYSSETGNWSDLVSATIPFTVYCVSDPGTLMGNALYWKPGGVGLAILKFNLDTLGLDVIEWPSSVTVLGRGSSQILLAEDVSLGLAILSDGSLQIWKRKVCSECVTEWVLQKTHSLDKIHGMYPGTEIIIGYAEDANVMLLWTRFGVQMVQLDSMQSTKLWETNIVYYGHHPYTTIYDSTA >Dexi9A01G0039440.1:cds pep primary_assembly:Fonio_CM05836:9A:43406512:43406955:-1 gene:Dexi9A01G0039440 transcript:Dexi9A01G0039440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWELQGCCDRNQRIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDSG >Dexi4A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:4A:649584:652986:-1 gene:Dexi4A01G0000910 transcript:Dexi4A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQPLPQPRSSMREALEKEDKEKAAAAAATKDKAAVPKNGGGNGGGKNGGNGGGNNGGAPPQSGEETAREIQVVREAYRRETAAPAYVIPEEPPAMVESVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPSSDFTPDPKYVVKGATCSIHEMSMLTRHSIAIDGSRMSPLGWSGLSWAIGILIVAPILTQTAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIAGSIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLSLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLLRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGILYSFGRILLLDASPPGKEGAFAVWYAFVRCTGAMIGYAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMDDEKRMGGLGMEKGEGMGSAVADSGEGRGRV >Dexi2A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:2A:5894002:5897652:-1 gene:Dexi2A01G0006260 transcript:Dexi2A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPRKKRLLRAGLLAAAAGYFAFVLLFEFPLLPFLSAPSSSSSSSLPIPHPRRRELEAAAAAFASPFSPARPAKPAFPDAAPAPGGARRGLPIFSSLLLLRRPNATATPFDNTAADAFAAAKPHLAHLQAAAPASTPSSSSPLPAAPACPASVSVHREQLPYDGVRVVELPCGLAVGSHVTVVARPRPARPEYDPKIAERKDGEDAVMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSRRPVIEMNSCYRMQWGQSQRCEGFASRAADDTVDGQLKCEKWIRDDDNKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVMTLTAGLEGYHVNVDGRHVASFPYRTGYSLEDATALSINGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSSNIVARFFVALNGKKEVNAELKKEAEFFGDIVIVPFIDSYDLVVLKTVAIAEYGVRVVPAKYVMKCDDDTFVRIDSVLDLVKKVRSDRSVYVGSVNYFHRPLRSGKWAVTYEEWPEEVYPNYANGPGYVISSDIARYIVSEFDNQTLRLFKMEDVSMGMWVEKFNKTRRPVEIRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSARCCNVR >DexiUA01G0014230.1:cds pep primary_assembly:Fonio_CM05836:UA:30158145:30160567:1 gene:DexiUA01G0014230 transcript:DexiUA01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWELTEIPGNPTPSLQDSTVDVVAAKIEPKLANALIRQLSQICPLENLRHVKRIRRLTECALMLSVDFEMRKYR >Dexi6A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:6A:26398731:26399777:-1 gene:Dexi6A01G0018660 transcript:Dexi6A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGFVELKLDWAATKTLSIGDVLLSDEFSAGGHVWQVRCYPHGHKQHGIDGLHVSVFLRLVSESKNVRAIFDAFLMCSDGSPSTSHGRRCVQVYPPKGFQSQAWGYFRFVKRTDLDESSSYIKDGHVRFMFAVIVLPGGGDESPIAVPSSDIIAVPRSDIGDHLGHLLDSGDGSDVSFDVAGETFHAHRAVLVARSPVFKAQLLGSMADAGTERITLHGIHPETFKILLRFIYTDALPAMEDPEGSSSSSTAMERLEDLLVAADMYHLDRMKLVCAQKLWERVSPDNVAAMLGFAETHGCPELKKRCIDFFVVEKNFRRVALTEGYMRLMQSFPSVIDEIRTRIQA >Dexi7B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:7B:24272039:24275361:-1 gene:Dexi7B01G0018570 transcript:Dexi7B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAPALRLPLRPAAPFPRARAPALAAGSSLALSLSPSSRASRLLKPLAAFGAPAPHHRPPLLLHQRRRHGPPTVAATLTSKPVLKDPKKYQEWDSVTAKFAGAANIPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETEAVIVQTLGVISTYAVLVQLAMAESMPVPQFVATSVVVAAGLILNFLNYCGWIPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIISGSLAIAAVAMARMGKLSDAGAKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMIPRAVFIRDLMWYCSLVLLGHLSYRVGVTWHACTGTFDSISREFFFATTSGLLLWIGFTLWRDTIAYGNSSPLTSLKELFWGK >Dexi6B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:6B:2404036:2405128:1 gene:Dexi6B01G0002870 transcript:Dexi6B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGEDLYSSTANHSFSGDGTAAGSDESGWTSYIDYFMETQRRQKAKGESSLSAEALSTDDVGGCRSTSENSGDCGVGASTRLPALIEPSAVSKRLSLKEGWRRRKKKVLYDESLEDTATSPISSPKLIELRDSDATHQKKDNSRDEILHSKKNTTGDVNGANTTTDTTIKEDGAYDNNELRKKGLCLVPVSAFRV >DexiUA01G0011730.1:cds pep primary_assembly:Fonio_CM05836:UA:23432739:23435364:1 gene:DexiUA01G0011730 transcript:DexiUA01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLVRYQRSLNPHIINKAWTKEEDLQLQAAVETFGEKWQLVSASLDGRTGSQCSNRWRKTLHPTRTRVGRWDLDEDKRLMISVKLIGSGSWSRIAPFIPGRTQPQCHERWKNILDPNIDLGEWRPEEDLKLLASVSEFGKCWSKIASIIPGRNDSMCFRRWKKLRDHEVPAVKAVTQLKKSVFQTNFVDREKERPAIAPKDLILLMQSKGDGTDENTRSRIKLSYDTKRNLCFLTCLSRIYLCRDGSRKQTKENLAVSNIVNSSTVPGSVSADTVSNTISRRSRKSTGQRSKKQNEQNVAVTDGLDNLSSGRSRSRKRKATTGRNAAVQKAMMVPITVGNEAVPIETGGAFSVHSEVGTNRIMDPVSAGEEGVVKKRTRRSKPGGRNAAAQKRIRRGSIPVGNEVAPTELISPVSANEFGTNRIIGPVSDGEEGVVKKRKRHLKPAGSDGADRKRRCSVSADNDAGTDVMRDSVAGEEVVAKKRARRSKPVGNEGVAGKRKRGSVPVGDEGVVKKRADSVATENHGGVTKRKRAPPRRKSAEDNVENADPELGLPSGPSEERVADAGNMDKVRRKSTPRPKQINMSEGDADKHSTFTRLTNCLSYARMKGVDRSKR >Dexi7A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:7A:29193333:29194391:-1 gene:Dexi7A01G0020370 transcript:Dexi7A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKASVLGEVCGSQQSTRESTNPVARAGGDHGHGIGLTQRSGERRLSEAMNEVDLALPAGTEGEEAGGCGGGGPNGEEEKATGGKFCKFPKVFQARRAFGDVALPPAASASPASRHPAPASIFRRCGSGAGGPEETKTLSAARGCRGGVRSSSFHL >Dexi2B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:2B:4130508:4136059:1 gene:Dexi2B01G0004540 transcript:Dexi2B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLADLAVGEYKLQKGVMGEIKELEQEMRCITAALHKVSEVPVDQLDEQVKIWAADVRQLSYDIEDVVDTFIPDIKKIFKNILLQLDEKEYSHMDEAWEIKLLIDKIIGFLKNKRLSAEEGFVTTSLSVHQRDIIRRLSLHTANASTNENNELSKLEDCSDLDTNHLKDFSNLYLLKFLRLKGLRVTDLPESIGNLESLETLDIRVDAA >Dexi4B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:4B:1418017:1418292:1 gene:Dexi4B01G0002260 transcript:Dexi4B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPADISATAFSTRLSWRASAGSGSHSQKQRQQQEKKAPIRQQEEKKATDQQGRNPETTRARFAPEFDGINCFESIVSYF >Dexi9A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:9A:13972251:13973373:-1 gene:Dexi9A01G0018990 transcript:Dexi9A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIIKSSAAGTTGWAACIGRAQMCRPGGRRVSRRPEEACHRHPRASSSSAGRHHPVLHALEPIVGHELQQVADVDDERSGHRRHVGPVAPHPTPGLTVEEHLEPTHPVLQEHRQEAGVRALLRARRVVVAAHHRPAVADVVPKAAVVREVPGVEAQGLRQEAQQAFAQPTNPPGVLRHGGAARRRDLLLRRLEEERVAPVGSRRLEGVVPDAPGRVTGEVGPPGLRGLLVEAPHRRQCLGEEVAGHAVVHHLEEADGLRGGPDLGDEPLLVVVLEVDDRDGGDCTSCYVVGIRRVVEDGWDGGDARVDEALDAGLGVVEGLELAEAVGGGHE >Dexi6B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:6B:22873658:22877775:1 gene:Dexi6B01G0015590 transcript:Dexi6B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTEKQASDRIHSRSPLQHISTQQPTPPPMARAMFLVVLLLAAVAVAPFAGATRDVADAEGRSLSSDAPARRRLVTGLFRCTIQQQLFRLAAPAEPQPEGTAEEPGAAMKWPTGGPEFVDMVIKNPFFGTPPPSSGDGLPIDPTPEVKTPATPNPPLPHRGPSNDNVTDPRLSRSVPTCIPPVPQNATNQPCPSNPSTSAIRSTHLPPITSHLPTSIKPHPRPPLSSPNPQASKSTTLFPHLSACPKQAHQIPMAPKAEKKPAAKKPAEEESVIEKAPAGKKPKAEKRLPVGKSIGKDDEKKGKKKAKKSAETYKLYIFKVLKQVHPEIGISSKAMSIMNSFINDMFEKLAAEAAKLARYSKKPTITSREIQTSVCLLLPGELAKHAVSEGTKAVTKFTSS >Dexi9B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:9B:5595947:5596447:-1 gene:Dexi9B01G0009060 transcript:Dexi9B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCNSCEATAVAAAPGTTTSAEARVVLADGALRRFPGGTRASQALKASSATTSATGAWFLCSADGLELGGAVAGVGPEEALQPGQLYFVLPAAMRRRPLLAEEMAALAIRASAALVGDHDGPLVFPEAVTAGGGGGGGAGKACRRSRRGSSRGRDFVTDLGAIAE >Dexi9B01G0045710.1:cds pep primary_assembly:Fonio_CM05836:9B:45158368:45158954:-1 gene:Dexi9B01G0045710 transcript:Dexi9B01G0045710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSTDKDKDKGKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >Dexi3B01G0021760.1:cds pep primary_assembly:Fonio_CM05836:3B:16653356:16655329:-1 gene:Dexi3B01G0021760 transcript:Dexi3B01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPRLTAALCYLLLSVSHLPGLAAAAAGCDCSGDAAGRDKAWALRLKVVAIFCILAGGALGAAVPTLGRRFPALRPGTDLFLAAKAFAGGVILATGLVHILPDAFDALGSPCLAGPWKEFPFAGLVAMLAAIATLVVDTVATGYFRRTVARKASAVVDEPTELGRCEADGDLEEATDGDGHHHGHAHGMSVIAPAPAPGDELVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTIRPLVPALTFHQFFEGIGLGGCIVQAKFRLKSVVAMALFFSLTTPVGVAIGIAISSVYDETSPTALIVQGLLEAAAAGILIYMALVDILAEDFMSTRVQSRARLQLALNASLLLGASLMSLLAIWA >Dexi7B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:7B:8288694:8299618:-1 gene:Dexi7B01G0003410 transcript:Dexi7B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRQAAETVDKWMAFPSGSDDAGGGSFTFRPESGGGKEIMEEISSSPSGTGNSNRLPSFQRGRDSGGTRGSGDSSSTSSLPRVSQELKDALSSLQQTFVVSDATRPDIPIIYASAGFYTMTGYSPKEVIGRNCRFLQGPDTDMDEVAKIRDAVKAGRSFCGRLLNYRKDGTPFWNMLTVTPIRDDDGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIHYDDRQKETAMSSITEVVQTVKHPRARSEGEEEPMEPPPPVTPARPGTTATASPPAVGPGTPSGGASIKSPLWDLKKEDSRMSRKLSGRSSLMGFKVGRRSSVESKKALHAVVAQVEAPNPPEPAPAEKERKNSWEQEGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMSTVDKIREAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTELQSAKLRPEDLWAIYSKPVSAKPHKRYNPSWIAIEKLTKSGATIGLKHFKPVKPLGCGDTGRMSTIPIFVFISSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRVCIEREIYSLLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFALLDRQPMKLFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQEDGHIVLTDFDLSFLTSSKPHEVITGSPHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSVAAKQLIHGLLQRDPSSRLGSSAGANDIKQHPFFEDIYWPLIRCMEPPELDVPLKLTRKEPELRVKPGEDSLTRSIDTF >Dexi6B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:6B:20843083:20846178:-1 gene:Dexi6B01G0013150 transcript:Dexi6B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVETTLVSPSEDTPRRELWLSNLDLAVPKKHTPLLYYYPAPAASGALAKVLVPFYPLAGRLGVGEGDRLQIDCKAEGALFAVARADFAGDDVFLDYQPSPEIMRMFVPACAQVTFLKCGGVVLGTGIHHVTMDAMSAFHFIRTWTGLARGLDLAEASDPPPSQDRTLLRARSPPSPTFDHPVYSSMSPSPTPGAPLNSHPKPFMARLYSISPKHLADIKSTCAPAVSTYGAATAHLCRAMCVARGLSPCSETRLRGPVNVRHRLRPPLPKGYFGNAIVRNQVTVRVEDVLERPLGFVAEAIKDGVDRLDDGYVRSVVDYLYDADFGWGMPRFVAPALLFNSGLACVTPRASMDDGIAMHFGLEPEV >Dexi9B01G0043030.1:cds pep primary_assembly:Fonio_CM05836:9B:43130569:43131637:-1 gene:Dexi9B01G0043030 transcript:Dexi9B01G0043030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHPPSPTSGSSSSASSSDATPTMVGGGGGAAAGSGAPSGAKLLQILNVRVVGTGDRVVVLSHGFGTDQSAWSRVLPYLIRDNRVVLYDLVCAGSVSPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPELFAKLVLIGASPRFLNDNEYHGGFELPEIQQVFDAMAANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARF >Dexi7A01G0021940.1:cds pep primary_assembly:Fonio_CM05836:7A:30405096:30406766:-1 gene:Dexi7A01G0021940 transcript:Dexi7A01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVGGGRSVVGGGAGSGPAGTGTAACRASGSRWTPTPEQIRILKELYYGCGIRSPNSEQIQRITAMLRQHGKIEGKNVFYWFQNHKARERQKRRLTNLDVNVVPAADIGALALSSPSSGAPPPSSPLGSYVGNGGGVSALQLDTTSSDWGSSTAMATETCFLQDYMGVTRNTGVQHGINTGAAASPWAPCFSSWAAVEVTPTTTTRAPETLPLFPTGDSIHPTRPRHGAPVGADDAIRGGHLPFWGAAAAATAAATTTTTTSVTIQQQHHQLLQLQEQYSFYHTTTSSQPPTISSQDAAAAATASLELSLSSWCSPYPAGTM >Dexi2A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:2A:31089544:31090298:-1 gene:Dexi2A01G0018980 transcript:Dexi2A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRLRKKPPSPDATATPAPAVASYPLLSLPPEVVNEILTRLSLRDAVRTSALSRAWRRRWESLPSLDIDIGYGKQALWTVDCVLSRCSGRVRRFHTCLDELSARRLDDWLLVLSRRGGVEDLDLRPDQPYKFLSLHSTIFSWRRLISIDLFACHIPPLPQDFVGFPDLKVLSLGKVKLQRNGEYQLQEIIETSPLLEKLTLSELYIGEDGDDFIEWEIKAPNLRAAMSALCCH >Dexi1B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:1B:11578598:11580566:-1 gene:Dexi1B01G0011440 transcript:Dexi1B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVVLILVTLLLTVHIALADEKQSGDKSRNSGDGNSQGGDGAQSSNKGDKKDEGGDGEKSSNKDNGDNGVGPVKNPHCPKPGRGPDPHGDGHGPPKNTECDNQQESPPPSSTPSYPAGIGNNYFLVFKMADEVKKVGKYHAFVWGDDQFYDYVSRQVLAFGVTLLL >Dexi4B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:4B:3200365:3203489:-1 gene:Dexi4B01G0004490 transcript:Dexi4B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGSSSPNLLEVPMMPRFLALVAITISVLSCIHASAIDLPLLRATDRAALLSFKSSVWGNLSDWGSPEMCNWTGVTCDSRGRVIRLLLSNSNLTGVVSPGIGNLSVLEALELHSNQLSGNIPPQLGMLSQLVNLSLDNNMLNGPIPETLGLLKSITYLSLSDNNLNGSIPEAVVCNCSSLSSIDLSSNFLTGEIILSTQCQPLSLNKLILYNNGLTGIIPSSMSNFTSLEWLLLQSNFLGSVLPSKMFNTMSSLKFLYLSNNNFSGDGRGANLEPFLASLVNCTCLEELGLDSNSMRDKIPPIIGNLSSTNLSKLYLYDNKITGTIPHTIGNISSLTDLCIEKNMLEGTIPSEIFQPRQLARLVLANNQINGEIPKSIGLAQQLITIHMSYNGLQGTIPDTLSNLTNLAYLVLHHNQLSGAIPPGVSCSMILDLCYNKLTGRIPTDLAGPSTLQIYLNLSNNLLEGPLPSEFGNMDKIKALDLSLNKLSAAIPAQIKGCTALEYVNLSRNFLQGPLPSSIGSFPTSLHVLDVSLNRLTGTIPQSLQTSPVLWFANFSYNNFTGEVSSEGSFANLTADSFLDNPGLCGSILGMAPCRGKHGHFLYIAIIVIIAIAAILLAMVCIFYHDLMKICLRLTPPSFQLSSIPTGLVNPIGEKEGDHPRISYRELVDATDGFSEANLIGKGGYGHVYRGVLHGGTVIAVKALHHDYVGEVIAGSFERECHVLRSIRHRNLIRVITACSTPEFKAIVLPFMPNGSLDSLIHGPPGGGKPEGPQHKLDLDMLLSIASNIAEGMAYLHHHAPVKVVHCDLKPSNVLLDGDMTAIVSDFGISKLVINGARGPEMGDASTSVSSSITRLLQGSVGYIAPEYGLGGRPSTQGDVYSFGVMLLEMISGKRPTDVISEEGHGLHDWAKKRCLEHDFDAIAKCLLLRDSPSSVQPFGLPGCEMEAVAVVMELLELGVACSQLAPSMRPDMDDVAQEIACVRDGTWRKYRATDLNAIGQKKSSISRLV >Dexi7B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:7B:24019801:24022724:1 gene:Dexi7B01G0018180 transcript:Dexi7B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEFLEAQGATRPELAEWYAALADLYQRKLWHQLTLKLDQFLALAVVQAGDALIQLYNHFISDFETKINLLKFAHFAVVVSRQYLDKDAGINYLEGVISKLLDTREARVEEPILYVKMQIATFLLEKGNKKECKKLLEEGKTTLDTMVDVDPSVHGAYYWVCSQYHKAHQDYSEFYKSSLLYLAYTTVESLSEPFQQNLAFDLSLAALLGENIYNFGELLAHPIVEWIFHMLQAFNSGNLPMYQELCKAHNAALSAQPALVQNERELLEKINILCLMEIIFNRSTENRTIPLSAIAERTRLSVEDVEYLLMKSLSARLIEGIIDQVDGTVHVSWVQPRILGIDQARLIEGIIDQVDGTVHVSWVQPRILGIDQVKSLRDRLDTWVGKVHTTLLSVEAETPDLVSS >Dexi6B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:6B:3214097:3216429:-1 gene:Dexi6B01G0003940 transcript:Dexi6B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLNPPVPQQDSNWEIRVAIQLSLFLQILLIFIGPMRKRSSSPIPRFTVWSCYLVADWVADLTLGLLLNNMGFGTDTSSSSSSSSSTFGHHHISHTTAGGPSSGGGSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLIFELFSAFVVFLSSLHGNPMIPATYGERTFSLYSGSVDGFRSRILDPPSPGPNYAKLMTEFDAKEKAGLDVAIVIANGEATEAQRNMEKLETQRLLSEKCVEARAYELYHVFRRLFVNLILSFKERRRSQAFFVDHANANEAFEVVEVELNFIYDMVYTKAPIAHTKLGWILRSVCSGCLVAALVIFFNLDKRRHQIERVDVAVTYALLIGGLALDTVALLMLLFSNRARVFLEEESSGGRRLRWLAPVVDSIKRWRGTRRRRWSGKTSQMNLIDYCLGKPERNSRRGWRLLMVADKVGLEEVVDDFFFIRRVPLRDLTTKESSVLHFVFDGLKNAAMELEEHNKEEDEMKDNNKEEEKDKKAKIMEVCNRRGNGVIDRLSEKIKAALKNDDDEKQFNLIRASVVESNFDESLLLWHIATNMCRCRRLDDGEKRTPATTVVTESEARWRGVGETLSEYMLYLLIKQPEMLSATAGIGLLRYRDTCAEARRFLGSMEEWISGHDDAVEALLKVNTSESPAAVKGDKSKSALFDGVILAKALRGLADGGEEELMWEVIAGVWVEMLTYAAGKCRGSSHVRQLSRGGELITLVWFLMAHMGLGDMYQIQDGDAKAKLIVTGQ >Dexi2A01G0023170.1:cds pep primary_assembly:Fonio_CM05836:2A:34947111:34950625:1 gene:Dexi2A01G0023170 transcript:Dexi2A01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLARSLARLLPSGSALPASQSPATSTVPLAIVKETSTCNHVNNKRGPYNGGDDGRPLVQVKHKKGSQTPLAETTKGGMGTGTGISPVSINYVRPDCHGPSSSEPGADHNLQSQRDVGIGTKSANAGLVSIHHHPPDNIIDQICPPIIQKHPEASTPFRHMEDTTNKGCGPSDKMPANAPAVQFSVMSDEGIDLVVDLNSTPASWAKNFMAEMCISPPSEPGNFSTFISSLACKDDNSTGSPSGNIIVDIHSKGAENIVPSTNSSLASDVGENSRSVPYPADTVTVNSVSSTSTLAGTPVELSGHQEGALVVSSSCLTADVQNNVTSGMMMPGALDNEVLPSESVDVSMQSEGIAVPLNDASIQPTGNKITTSPGGVVRSVSNEDPCLKSLEKQTADVPARAQLPHSSNDIHETLMENEPVEALAVEEDMPNHMLALQITALLGVLTLHIQHHRLLLRC >Dexi9A01G0013440.1:cds pep primary_assembly:Fonio_CM05836:9A:8656806:8657864:-1 gene:Dexi9A01G0013440 transcript:Dexi9A01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSALQLLLLVFAAGAAASSAALPRYREAPHFTNSAAAQCPPPLPPSCADAACSPHAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESIFFHFISSSAPSSTATAEELRATVRASFPSLAFRVYPFADEARVAGLISTSIRGALDRPLNYARSYLASTLPPCVRRVVYLDSDVVLTDDIASLAATPLLPETAVAAPEYCGANFTAYFTPGFWASPELSSAFAGRRACYFNTGVMVLDLARWRRAGYTARIEEWMELQKRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGRPCPLDAVWAKYDLLRPAAGIESS >Dexi9B01G0032180.1:cds pep primary_assembly:Fonio_CM05836:9B:34431324:34440004:-1 gene:Dexi9B01G0032180 transcript:Dexi9B01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSKFVSVNLNRSYGQPAPSSHHGGGGRPSRPAGSSSGGGGGGGGGGGMVVLSRPRGGGSSIAKPQPPKLSVPRPLNLPSLRKEHERFDGAAATAGGGVSSAPPRSGAPAAGWTKPASASEKPPGSAALPGGATRPPSYGFAERAVVLRGEDFPSLKAAVAPPTPPQPPQRQKDADGARVATPEAQPGPLGMRPQVTPSRATEPLASAGGRASAERTQKPDLGPLPMVRLRYDSDWADDERDTELSLPERDSRERGFGRTEAMVPGRDLYGAVREPLKKEPFGRDVAAANKEAAQDGLWRSPMSNQHDRDRTDGRPYSGGRGSSGQLYRESIATGVSKDPWSNSRDPPMRQSGVEQYGATRVGETASDRYGDSSNNWPRLNSLQNNVGSKAQPFAGNKGPLINDSVAKFGREKRLTASPVKPLIEDGGFDSISSVNLTAIKKKKEAAKPADFHDPVRESFEAELDRILRVQEQERQRVMEEQARAREIARKQEEERERLIREEEERQRLVEEQARQAAWQAEQERLEAARRAEEQRIAREEEKKRIALEEERRREAAHQKLLELEAKIARRHAESNIGNARGGQPIPAVNDELTGDFKDRNLPRSVNFGDRKDIDERINTSAPVESSNRYNEAFPRVHRDGHSSLIDIENAYYGSRAAFPEQENVHHTPQRDPFAPRRGSFPKKDLNDGFGNVSVSPSSRSRTTDTPWAMEDFHHEKVPRWDAPRETDRFNKQSDFDTELFNSDRFGDAAWLPSSSRGSPNAQQGDRMFQNSDFSDFSAFTRPRYSMRQPRVPPPPTVTSVHRSSIGVCAQRLSSSFMDGGVGENSGRDGDQIIQGQYESAYQEASHQRGIRADHINEHQIEDRKSPVLGSQSSLSVSSPPSSPPLVSHDEMDVSGDSPALPTSADGERTVLSDNDHAALTVDAATTSRTAASGVSQLEDDEWLSENNDDGRKQDEYDEDDESYQEDEINEADGDNLDLDDEFLEEQNTPVELEPVILGFDQGVQVEIPSNSELELASLRSTERAIGVHLNSGVAEQENISCSVVHSDPITEAEKALQALTLDRVNALTEDSNGERSSSLVTPASSSQLPQTSSAAPITSSASAVVGQNEVPVSLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQINPSLAHMHPSTAPLYQFGQLRYVRPIAPSAHSQAIPAAHASVPAHHTLNQNASSILPELMDRETHQNVPSQAVSSTFINKTAAPTAKLPLAMGNSNSQYLNTPENNQTAVVEGFHGHVDRHPIGNTTPSEKDQDLSLKRNCRPTSNNIESSQFGLEGRALNGAKAPGAVSAGRGRRYGYAVKDINMRSTGSVAEPSHKDSRGGFQRRTRRNVRRTEFRVRENVEKNQNEGSESFAQGEQDERSYSNGTSRDFPGRNANRRELDISKSSRINEGNDQSASFRSTHKAPYERSHGGNKKSKTGAIPEGDTTMLQAGAVRVVKQQGIEVPVDADGFIEVRSKRQIMSVRREQREKENRSKMRMAKAPRKQHNVSLQSPVAPSVNKRTATLSGEVANKVSSGSAITVEGRIADYAEPSVALKGDAASMNPIGTPAINIETHTNCYANQTIQIQASSDLVTSSPANLVSGISDDKNKGTSINTPFNMVSWDNSQINQQVGAVTSPTMLPPVSRTVSSGLGAPGSSRPDMKIDRSLPSDNSGPDKANSKELCSNAEDVEAEAEAAASAVAVAAISTDEGSPADATTASAPDNKSFSSKDLSELTSGAGAITGQAGQSSMEEPLTASTDIQMQTCWPHMPVPPRHSVPLSVPLPQHPVEGTATQQFVHNVPVDNKASTNNRFQEPSASAMPSDGNKTFSNAQGSQFTDGLRLVEQPTSSSSSSQTVQPSSFAQAGMISNEVSTSAKVMVRATPTKVNPGAAAGVAGNPNGGQITNMPPKTHQSTSPSDQQCQHPANSQDRRARATQKTGTANEWQRRSGYQGRNQGSGSDRGSGTGRMKQIYVAKSSATSGHAPSG >Dexi6B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:6B:2501525:2502190:1 gene:Dexi6B01G0002960 transcript:Dexi6B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLILAMAMATFLSTAHAASYTVGAPAGSWGLGTNYTLWSAGVTFRAGDQLVFKYAGGAHDVLEVTKADHDACSTSNPLATFATGDDVVTLVPGGVTRYFICGVPGHCAAGMKLAVTVVVGAASPSPSPAPVAMAPRAAARPPVTTVAAHPSPAPVAMAPRAGRPAMATPAGARAQAAGGLPAAVSPSSSAAAEDGVGRSLVGLALGAAVAALMALY >Dexi7B01G0023250.1:cds pep primary_assembly:Fonio_CM05836:7B:27871957:27874320:-1 gene:Dexi7B01G0023250 transcript:Dexi7B01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVTKIWPAAAMKRLAVLAVSVLLLLFSISFFLLRPTFPPLLNSRQAFEPDRRLRVYIADLPRALNYGLLDRYWSLPAADSRIPASSDPDHPAPHGHPPYPENPLIKQYSAEYWLLASLRTAATPAVRVVADWREADVVFVPFFATLSAEMELGWGTKGAFRKKDGNEDYRRQREVVDRVTAHPAWRRSGGRDHIFVLTGILLTNPVAMWHVRTEIAPAILLVVDFGGWYKLDSKTANRNSTHMIQHTQVSLLKDVIVPYAHLLPTLLLSENKYRPTLLYFKGAKHRHRGGLVREKLWDLLVNEPDIVMEEGFPNATGREQSIKGMRASEFCLHPAGDTPTSCRLFDAIASLCIPVIVSDEIELPFEGMIDYTEFSVFVSISNAMKPNWLTNYLRNISKQQKDVFRRNLAHVQPIFEYNTSYSSSRAPDGAVNYIWKKIHQKLPMIQEAVIREKRKPDGTSIQLRCHCT >Dexi4B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:4B:6365031:6366005:1 gene:Dexi4B01G0008880 transcript:Dexi4B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLIDTRTTLLTVALVLLTSLPSFSLAARRLPDHLSDTPLRDGISYHGGAVLRGESPVSILWYGQFKPAQKAIVVDFLLSLTSIPINATPSAAQWWATIDTAYLSNSTATSNNGTRVVVAGQVTDEHYSLGKSLALADLSQLAAAGMVAVPKRDGALVLVLTDPDVVVESFCTARCGLHGSGSDAGYAYAWVGNAERQCPGQCAWPFAEPAYGPRDQPPLVPPNGDVGVDGMVVTLATMVAGAVTNPMGDGYYEGDRDDALEACTACAGKFGSGAYPGYPGKVLVDETTGGGSYNAVGANGRKYLLPAVYDPATSACSTLV >Dexi5B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:5B:365236:366555:-1 gene:Dexi5B01G0000580 transcript:Dexi5B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYGLPTRFCSKHLPKNDTGIVLEDENGQGYQTLYLGAKQGLSAGWRGFALKHKIKVGDVVVFHLVRSTKFKVQKKRKLSKECSNEAKTEKASAVDHKIPANNVLVGETIDGLRISDSDIDFGDVTSFSNFKIVVDSLVIDCKFHDRLRRTYYELCCSEKSFLHKNLLRQLNLTLVVGVIVETISIAEGIRVCKTQASSRNDLLIWKKTLESLEQLGMNVAFMLKRVNVLLGLPAESRDLSECQKYKELKSERAHAGEKVKALELMMSNVKGVLQKMDAEMEEMESSVKRSGLRLQQLATAPW >Dexi5B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:5B:3629499:3634360:-1 gene:Dexi5B01G0005410 transcript:Dexi5B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVAPAPLPHVRMRDGGIRFTRSVDFAKVLSIPGDGTMRTGSSRGRVLVSKSSSTGSDTMELEPSSEGSPLLGTIRALVSLHLYICVMRIADKGADIVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTDDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCQRLANLGTQAANLQIGVAPFEEKHRHYFDFQRRSGQLPLQKEGEEVDYRNVLHRDGSVLMSVSLDQLKAPELFYRSLAAKLLVGMPFKDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLAPLSEQLTKPLPHAIALVNLDELSSGAHKLLPEGTRLAVTLHGDESYEQLDILKGVNDITMLLHNIPYREEKTGRVHASRRLFEYLETNGLNFPVIHHIEFPKTIDRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDNGRWVDPPAEE >Dexi2B01G0031830.1:cds pep primary_assembly:Fonio_CM05836:2B:39843500:39843820:-1 gene:Dexi2B01G0031830 transcript:Dexi2B01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIPTLAPSGRHDATRPRPRVTYRVAQSPLVQREAPRGSRLTLPLRPAASRHPSPLCPVAALRKSHRKSSLLLTGDTVVNSVRCRNRGGAPYWSGWAGQRMRGA >Dexi2A01G0031150.1:cds pep primary_assembly:Fonio_CM05836:2A:41908259:41911808:1 gene:Dexi2A01G0031150 transcript:Dexi2A01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAARPLPVASLSARRSAAAAPHLLGFPSHLNLKPSTGGAPRSSLRVVASSSKVEPVEERIPGAPPTDVSVPADASPPGPSLGLLPQVSTGTWKWRSYNIRYQQAGTSGPALVLIHGFGANSDHWRKNIPVLAKANRVYAIDLIGYGYSDKPNPREIGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGEYLKSPRCLYYPVGLVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTVVGKLFFNAVATPESVKNILCQCYYDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVDLGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVESFVQRHN >Dexi3A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:3A:1830999:1831986:-1 gene:Dexi3A01G0002700 transcript:Dexi3A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVGTLGPKSRSVDTISTCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKKETPISLEENGTVVLTPHQGQEASSSLLPINFSGLAKVNPL >Dexi2B01G0027590.1:cds pep primary_assembly:Fonio_CM05836:2B:36465214:36466796:-1 gene:Dexi2B01G0027590 transcript:Dexi2B01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCVVHNAQVPKLESIEEEGSPVDKWPPTLVRPPEDPNGPQRRRWSSAEISNSKVLLPSAAALVDAANTIGASHDHILAAVHSAVNVQTCEEQRSGDIVLVRDLRGGWDRAGLPAWEPGADAGRRGVLQISGAGAGRPHPPPAISLCNATMDPVLSSGVHPPRSSSSDGSPAAVAPCAEMARDVWLGEAVPELLRWAFALKIQRSCELQAFFSGSPRHHGVILQGCNPPTIAEFRQNSELNNDWYSAQHEPPDELHGVFPDGAGPLPEASRGYEQLSPRPPPPPQKQSSLEEQPLDISIGSRDLSHTPSDEANS >Dexi7A01G0022880.1:cds pep primary_assembly:Fonio_CM05836:7A:31033337:31033694:1 gene:Dexi7A01G0022880 transcript:Dexi7A01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRVRSEWNLALLEDVVAPAYGHLLAAIAEELGVEPWSSMARELYSLDFMFCIQRLEVATGSQQGKLFFQISVFRRQWSWQRFSLRLVYPWCLYPNQ >Dexi1A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:1A:5133289:5133867:-1 gene:Dexi1A01G0006660 transcript:Dexi1A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVDKHRGEAWLNGGGGHGHRDDHSGGGAAPVELNLLEGMVGVPGTRQNAASEMPVVVLPDDTAAVAAESEPPQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRADAEPLVVLGHGHGAPPFAVHGAVAFLRQAAPAMGWMHTPIGSRTPPVAAVATGEWRFTGGYVDGTGAGQEELPKLDLTLKL >Dexi3A01G0029320.1:cds pep primary_assembly:Fonio_CM05836:3A:30758681:30761009:-1 gene:Dexi3A01G0029320 transcript:Dexi3A01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHQAVVTAAVGYFNPIFTMRTEKVYAQTIDEAAEKIIKLLNRETNASRSSSSRNNVFYFDGWDGLGASAVLRDIAHRLTPTSPDGKTALAKLEFDQLIHIDCSMWESRRALHKVVAGQLELPDHVMELFDRQDEEDDFKGVRQGSRDWVEEVIKVMYQHIQKLNRRFLLIFHNGSNEEIDLARCCGFPLSGYSTNMILWTFQGRFRLKPRSKVDKAVMSTGTTDVLISAVPQYNEPQVLWSYLVQQEASEVAVVYKINASTRSTTIDHTAQVADCFLYMLEMCFSGRHSNDYDVATHAASYWACDGIVQLQNQHGKRPDGNDDDDDSLWRAAYALQREIQLDVDYHQYLPSHLSRCAERKPYWTSPTYGVILIPTGAIPNGDMFQHFDKLNVLKLSRCTFDFQSPPFICCHSLRFLWLDHCQGTGTSMDGAEKEEDVRRCFQRLWLLHVCYTECSQILSAQMLDLMTQLRELTVIRANDWDICQLQGRLPNIQKLRVKNSRLLCSSEKDLFSEMNKMVFLDFSGSSVESPMGIH >Dexi3A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:3A:4869749:4870580:1 gene:Dexi3A01G0007160 transcript:Dexi3A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAGSSVAKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKFALWK >Dexi3A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:3A:197883:201406:-1 gene:Dexi3A01G0000190 transcript:Dexi3A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRTKPGKEILYTEESTKLISYISDAARNAEQVSSYSCTKYLILDNRSEIKHKSTFRVPGLPPKSKINSVTSGSAIAKDVVPTITTIRDEANGSVKSKNFLPHPQSSFGGPASTFAKPSALRMPSPSVGFFAQENAHVPHGNAAKRNVGRNTKALDRSRTSTILKDHLANLIPFSEEWLAVMESRGEEVLEQKTGAVQNSPPDKIAPEPNAWSPVKRKAQNIGPFECTKYPKSVRTSGAP >Dexi3B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:3B:11092841:11097159:1 gene:Dexi3B01G0015300 transcript:Dexi3B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESAANTASASAAVSEASGDSPAASEAAEVSARPSSRPSHDKRLGVRHPLKHRRFRAGGKMMVEPGGVPPARAPVEGEEEEASDVEEEEEASSAETEMQAADVEVSSAPAAVVQEMEVEGGEMEASPEPAVTVEDTELEAQPDEEDEVSSMVVAQGETKEAAPAASTVLAVEAPRPKEKDQDKEREEKEKRDKERERQKERERVDEVGYMSGGWKSVDGSLNCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTDTKLAISETYRKTDSEFLDAERNSHRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIAEPEIQELEIDDELEFLIIASDGLWDVVPNEDAVSLVKMEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKPSGESPSPSGDKS >Dexi5A01G0022370.1:cds pep primary_assembly:Fonio_CM05836:5A:26393179:26393928:-1 gene:Dexi5A01G0022370 transcript:Dexi5A01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQQRRKHEELTAGGRRRRRGSGDDGAAMAASRRGRFSHDDEHRGGGGGGGCAATVPVVDQADCTAQSCRSCVAVTLADAIALGCCPCAVVSLLGLAFVKAPLAVARRCLRRLRRRRGELRHKKRVRDMDPAAAKAKCRAGGGHGALDGDVAAKGAARGLEMMDARGGGEAAAVRAVRTSNSSASGRLDAEKVWMEMYRVGQWGFGRLSISTTPSPPMRPGCVATGRCGDFGGGGGGRKDVDLRCES >Dexi5A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:5A:4733825:4734181:-1 gene:Dexi5A01G0006440 transcript:Dexi5A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSAVRRLYLSVYNWVVFFGWAQVLYYAILTLLQSGHEGVYDAVERPLQFAQTAAVMEDLSV >Dexi6A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:6A:3428646:3431085:1 gene:Dexi6A01G0003670 transcript:Dexi6A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAGMETFLERQQWVKLRLPKEERVKTAKQRIQDARMKKSTGDRSTGQGTSPVKEGENAATPSASEVRVLPLKEEEDAATMSASEGDEGEEKMWEVIKGVWIEMLCFSGRCRGYLHAKSLGSGGEYLTIVSLCMSHAGLETFAERQQRVQLRLPKEERVKIAKQRIQDAARNKALGDWTRQGMVPAKEGENAATTSASEVYVVPLKEDKDAAAMSASQGDEDVVSAPEIKIDVSP >Dexi3A01G0021780.1:cds pep primary_assembly:Fonio_CM05836:3A:17355576:17356641:-1 gene:Dexi3A01G0021780 transcript:Dexi3A01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQPKSGLFVGINKGHVVTKRELPPRPSHRKGKATKRVSMVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRCVDLRYYLIY >Dexi2A01G0033860.1:cds pep primary_assembly:Fonio_CM05836:2A:44041154:44041797:-1 gene:Dexi2A01G0033860 transcript:Dexi2A01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVVNLQASTDTTTSTLQETTAIDVGSEDDGTGSENLCVVCKEPLVWVAIGRCGHRVVCRRCTVRLRFFHRDKRCRVCRTRCPTVVVAKTSASADILSTLPLFSLREGRVGRFWYHRLTAAYYEDEHEYQAARAACQGILSPFFQPWQTTPSTGQGK >Dexi2A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:2A:608509:609846:-1 gene:Dexi2A01G0001000 transcript:Dexi2A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEARKSSPAPAVIIRPPEALTVTTTTSGGTIKLTSFDRGFVKVPFTVLLVFEHMDHEAIDSIKRALSQALVHYYPFAGRIIISSEAVGGDEFSIRCTSDGVEFLTASMDCSLKEAKILDESPIGKINPLLNELAVLYPAGSYGFDDPLLSVTEFSCGGLVLAVTSNHAIADGVGIAQFLATVGELARGFPSPSVVPVRWDDAVSRHEPWPNPIVQAMLACPESQGMELIVPLDITIPSALINRVKAEYLSCGGFDDGQAPCTVFEVVIAVLWRCHIRATMSNKNPVNPVYLSFATDMRKYVGAKDGYYGNCSADCLLSMPTRSTAAEAAILDLIRMIKRAKDQLPDMVKKGNDDQLMMQQGLRDRYDMIHVTTWRNVGFEHVDFGGGAPARVMFHGRGGTPPVPICIMYPPCKGMDGVNLLVLSVKEEHVDAFLGELANHT >Dexi4B01G0024070.1:cds pep primary_assembly:Fonio_CM05836:4B:25249200:25254430:-1 gene:Dexi4B01G0024070 transcript:Dexi4B01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLDQFCFTSFACTATAMASPAVPPDLVGDDEHQAAAAGTSTQTTTKQKQASASDDLHSDASSAGVIGSRVIGELILSNGDIYRGTLLGNMPHGSGFYIWSSDGCIYAGEWKGGLRHGQGKTLWPTGATYEGDYSGGYIYGQGTFCVGGLNSSSSTYKGGWKLNLKHGLGLQTFPNGDTFQGSWVQGEIQGHGTYTWADGNTYVGTMKNGKMSGKGTFTWKNGDSFQGNWLDGQMHGHGVYTWKDCGYYVGTWTKGVKDGKGTFYPRSSPIDASLLLTKRGVSSSTRSLTFDKTRSKKNMLLQRRWSLGAAIEKSIGRETTAIQSSETKQQVDSNFPILETEYMQGVLISEVVVDRTISHSFRRVSRRQKKMVKEDINKPGQTIIKGHRSYDLMLSLQLGIRNLREMFKIDAADYMVSICGSDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQFHFVVMGNMFCTELRIHRRFDLKGALEDSMNCSEGLVLVQRASDQNDVIIGPHIRGARLRSSTSFEEVDLLLPGTARLQIQLGVNMPARAEQTTKGDDNESFGQVYDVVIYLGIIDILQEYNLRKKIEHTYKSIQYSSLSISVVEPNFYSERFLKFIHTVFPESS >DexiUA01G0020680.1:cds pep primary_assembly:Fonio_CM05836:UA:42959925:42963367:-1 gene:DexiUA01G0020680 transcript:DexiUA01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDPGTGLTNRSDQVFGVYARISAWGHEHIGSDSYSAAVIQVSKDQGSEFKALRAGLHTFPALYNDTKVHMFAQWTRDRDWKTGCYDTDCPGFVPFKTIFDGLPQPGMVVDELSSYNQTDKSIILQIVKDERKGIGGDWWLYRVSGPARFPLGFWPATLFGSGVGTAQHATEAAWYGAVGFGARGIEPAMVNRHGPSVTGHARAAYFADISLMGRDAQPVDPSPRGLLPMLNAKGCYEIAMDAAGNNTFFYGGPKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi3A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:3A:4544818:4546329:1 gene:Dexi3A01G0006800 transcript:Dexi3A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEDRHQVPVYASGAEVLEKLQEKCQSTQQRYPAMYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTATISDGYLYELDPHLDRLLASASKAKIHPPFPRETLRDVLIQTAAASRCKDGSIKYWLSSGPGDFHLTPKGCTGPTFYAVVIPAGAAATPGSHCQHIGGVRAITSTVPMKHPFFAGIKSVGYLANALAAMEAEARGAQASVWVDADGCVAEGPTMNVALVTAAEEGGGLPGGELLVPAFDRVLGGCTAKKVLALAPRLVEAGLVRGAREARVPADEARRCAEMMLLGSSLPVMPVVEWDGKPVGDGRVGKVALALSEMLRDEMKSGSDRIPVPYS >Dexi8B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:8B:18622321:18623148:1 gene:Dexi8B01G0010180 transcript:Dexi8B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRWKPFLGAFQHIDAAIEASSGDGASRDEFRRARARIVELLRDASTGDGDGEAAAAEELGLLLDEAMAGALATLRAVPAGRIPALLAAPGGVVGAVGALMKGHAAERVRGLARDVVREWKLSVGAELARARTAMDVLNGISDDTNNAKRPSANTEAPAAVSAQPPRKPTTNTAVVSVGEEQRKMEATKRKLQERYQETEDAKRRRTIQVIKPPRPETKAGQRQSIAHPAMTRARRPAASGTVERRVVMKPSSLE >Dexi7A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:7A:17816366:17820915:1 gene:Dexi7A01G0006470 transcript:Dexi7A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGANLRHEVEQSHDHTTTLDGSKPTIVGSVIMGPTSTNSSCVSKLAIETSNVGSNQAIPMVLHAGEDNNAGSNIVDTGFELTNPSVLHVGEDKDRNTVSRLIDPTMLHAGKDKYNNVLSMLTSPVVVHADEENKSRCNLDIHAILQASEDNKTGSKLTSPVMLCAGEDNNIESKIESPTVLTVSMENNTNTGFKINNPLVVYAGKINTESKIATQTMLQAIESKDNYTESSLSSPVVIHGGDENKIGPKLAIEAVPLVGEDNNTKSKLTRHDSEVVLHACEGNNTTHKLSIQVMPHDGEENNARSKLTKPTGLHAGEGSNTLSKLTTQAVRYASEDKNIKSNLASSVVIHSGGGNNIGSNLTIKEMPDADEDNNVGSKLSSPVALHASDGNNTTSKLTIQRMPHASDENNNLSKHAKRGRLHVGKGNNTVSNLVIQAVPRTKKDKKTRSNLARLARLHASEENNVVSKITIQMVPNTGEDNNTGSKLMMSAGIHTRNHNNVASNVAIQAVPHVDDDNNNTMSKITRPIVLPASKGNNNESKLSIQAMPHADEHNNTGSKLPRPVVLHADEDTNTTPMLTIQAVPHADEDNNDGSKANNPLVSNTSDNNVKEGKNDVDREEGSNNVATAGIDGRLAASKTYYKNPTSDSGSIHDIIKDSPSTITHGGRGSRKGKGNSKGKGKGADTMNVEVEHALHIWTERERRKKMKNMFSSLHSLLPQLPKKADKATVVGEAVTYIKTLEGTIQRLEKLKKDRKRLLAEQQLAVGAGGSSSTAPASSSSPAPMPSPATREAMLADMVQSWNTQDALMGELRAAASAVVTAGAGGISSSSAPRGMAPAPRGPAGPTPVLARAPAMQTWSGRNIVMSVTGKDAVINLSTSARPGMMSRLLYVMEKHRVSVVSASVASDQSQRMFCVHARITAPAPPQLPANVTVEDRYKMAVAEMLHVAAI >Dexi8B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:8B:17505127:17508223:1 gene:Dexi8B01G0009760 transcript:Dexi8B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHTLSGPLSLHGTGAMAMAAPASSSRRPLSLVLVLAATALCCHLSSCDGAAADRIRRLPGQPEVSFGQYSGYVSVDDAGKRALFYYFVEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSSDSAYYQGVDDKMTAMDNMVFLQRWLQKFPQYKGRDLYIAGESYAGHYIPQLAEVMVEHNKKDKIFNLKGLALGNPVLEFKTDFNSRAEYFWSHGLISDATYRVFTSVCNYSRYVTEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSLALTPRQQAGQRIDVCVEDETVRYLNRRDVQAALHARLVGVDKWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHDMGLKTTTPYRVWFEGQQVGGWTQVYGGGTLSFATIRGASHEAPFSQPGRSLVLFRAFLQGQPLPETFS >Dexi1B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:1B:3206347:3215810:-1 gene:Dexi1B01G0004020 transcript:Dexi1B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNSNLLTQGKLVDLKMGKDKLWILKESGSMLYEILQYQYDTKTANICSYVLQEDTISEQLFQSSDNALDDLVWTADSMFSLQEQAFTFISSMFLRRLLQPGVNHCSALRETLLEHKRFLSDSEFQSLTANGLRKEILSIIEQEGSPQTASATAYHWKRFCARYLHNWCWNNKPYGLLLDTNNEVFGLIRKGSFSLFRCLESVEMLIYGSSDELHNIGDLGMSLLDDKSDSELLNEVLRCMGHIHHLVGRSSAALYYESLISSVISSDEIASQIVKTLETGFSPQSSSSLITLLGRDAYVERRQAAHKSQRKFSVEMLLSFHKLQSRSTSWSVVFDVVEKFMKCLNTNINTQDYESKRVCNVNSVLLVQATSQVARTMFECTFDLFLFLSYLVGVGGQVSLLQSDVARIKLKLFPMIQDILGQWVVLHFVGISPTSPPTIEDFSYQLSSLQLVLIRHGQYEAAQNLLGILETYLNNEKVSSVGKDADTVCSAYLHLNGFCLLMLAHDEANTVLRESKVHDAIRCFYRYYAFTMNCYTEPH >Dexi7B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:7B:24185037:24186222:-1 gene:Dexi7B01G0018420 transcript:Dexi7B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGAALLRHLAPRLFAAEPVTGLAGRGLLVPAVTRILPARMSSTAAEAAREAAAPEQQHAEKPKAADAGHEKKGIISYWGIEPKKLVKEDGTEWRWFCFRPWDTYKADTSIDTKKHHKPRGVPDKLAYWLVRTLVVPKQLFFQRRHASHALLLETLAAVPGMVGGMLLHLSSLRRFEHSGGWVRALLEEAENERMHLMTFLEVAQPNWWERALVLAAQGVYFNAYFVAYLASPKFAHRFVGYLEEEAVHSYTEYLKDLEAGLIENTPAPAIAIDYWRLPADATLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLGYH >Dexi2A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:2A:29403816:29407722:1 gene:Dexi2A01G0017520 transcript:Dexi2A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAALGVKTERAALYKGRMTLAVAMTCLVAAVGGAIFGYDIGISGGVTSMDPFLAKFFPAVFHKKNSGSQNNYCKYDNQGLAAFTSSLYLAGLVASLVASPVTRNYGRKASIVCGGVSFLIGATLNVAAVNLPMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPALLMTLGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMVEASELANTIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGNASLYSSVLTGAVLFSSTLISIGTVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGADKQLSRSYSIVVVVVICLFVLAFGYSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFAIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYIFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLEDGWGAAEAPVDKDQK >Dexi5A01G0035870.1:cds pep primary_assembly:Fonio_CM05836:5A:37539185:37540823:1 gene:Dexi5A01G0035870 transcript:Dexi5A01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAVIRRLHAAAAQPPRMTKLALQAPKSVEVEFADGKSFHLSAEFLRVYSPAADSKIRSVGGEKDTLR >Dexi8A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:8A:1157079:1158727:1 gene:Dexi8A01G0001650 transcript:Dexi8A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAADRRRPDESSDDDDDESGMPAWSWEGVNCGLLDCSKHGDGSIYRGTHFWHRFYRVADNRETLLEPMMLSAPTDCQPNMWACKAHRGCAMMQIFSLKLAHCGAAIDGPVHLYGFLAVRDRLNPLRNYIFNRSREDPFVVGKHGGDSGLFIQMAGPKRGIEMRASVLIEYDMKIKRRGKQEDDLQLIDGAACFSELASLDRTVYTQRIGGDCGAVDICFALLRNAVEATIQVGVTQMCHSSGLDFSLSCSVSRLPPKIELFQGVIAEPGDVNRFVVAAVRGSALIVYLTVGQIGGSEIARQCYAFRAKAHGYDVQQFKFDFATILVKVSWSTLVPFRIAQGFM >Dexi1B01G0022630.1:cds pep primary_assembly:Fonio_CM05836:1B:28324901:28325418:-1 gene:Dexi1B01G0022630 transcript:Dexi1B01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIAVATAARFAVGSSSLINETCEALKAAQQPYAYCVGVLSADPAAVAATDIHGMAAAAVNITATRAASTLRVITDLVQDLTTCRGHYSNMLQSLADVRVDLGAGRFRNASFKMIAKVAASPTGCDILLFEGNAHKDPFTQENGDNNLVAELAGGIIKLLTKAMVRKI >Dexi3A01G0032260.1:cds pep primary_assembly:Fonio_CM05836:3A:37017056:37018872:-1 gene:Dexi3A01G0032260 transcript:Dexi3A01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSKQQDATLGKYLMVHRWSTASNGGDLDHQFLGIFLDAAYPSMVRMWLSVTSRLPMVDAERENRRAAADSMAAVVAMASAMASAAASMLSAAGRRLSRLSASTILWREVRGQHKLTIDGCVPSTKIPKDWSATSRTFEAGGYDWQIKYEPYGYGNSWSDKYISVELVYGGKKHTDPLHFTFSLLNNAGKPVPRYSRSTPEVCYFDDGYNHKQGFQDFIRWKDLEESGCLKDDRFAIQCDITVIKDWSLNTNGDDDVNGDDVTAPASVVVVPPPDLHEHLGYLLRKKQATDVTIDLGGETTYDVHGWLLAARSPAFEAELVAATKAKSGGRRRVEIKGIEPVVFEAMLHFVYTDELPEMVKEGDAVEMAKGLLAAAHRFDLERLKMMSEEMLCGRIDVNNVAGILVVAEECGCRELKEACVEFIAAPGNLKAVMETEGYEKMKAKCPTVLVEYFMKQLP >Dexi9B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:9B:10712186:10714749:1 gene:Dexi9B01G0015670 transcript:Dexi9B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAASPSRAFAGVDLRWLLSVAAGGLCALLLILATSPSFRIPSASSSSRLFLSPSSSSSPQRPLPPLFVEETLSRPSPPPARTLPRFAYLISGSAGDAGMMRRCVLALYHPSNRYILHLDAEAPDSDRAGLAAFVAAHPVLSAAGNVRVIEKANLVTYRGPTMVTTTLHAAAAFLWGDGHGRGADWDWFINLSASDYPLVTQDDLMHVFDKLPRDLNFIDHTSNISWKAFARAMPVIIDPALYMKTKGDLFWVSERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAAAFRNATVNSDLHFISWDNPPMQHPHLLTLADWDRMLASGAPFARKFPRNDPVLDRIDVEILARRGGPAAVAPGGWCAAGGGGGGVNGSDPCAAVGNAAFLRPGPGAERLQGLVTSLLSEENFRPNQCVVVEEQN >Dexi1B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:1B:19290667:19296754:-1 gene:Dexi1B01G0013510 transcript:Dexi1B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTSGTSSPQLRRRLWQAAADGDLQLFKRTATALDAGKGCLKDAVETVKSRGAGVLHAAAGHGRMSVCVYVVEELLVDVNASDDSGDTPLAYAVRSGSLDTCNKVFNTVCTPLFVALTAGSLKCVKLLIKAGADVKGVGTVTPLITAVNNGLTDFYNCLLEAGADPDVRDDDDPMRNMKPDDLKLEGNKAYKRNDFATSAKLYSMEVIDATS >Dexi7B01G0023160.1:cds pep primary_assembly:Fonio_CM05836:7B:27823700:27827049:-1 gene:Dexi7B01G0023160 transcript:Dexi7B01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSSAPAPAAAFRRSSASSSPSFVTRRSQSAFVEKLASPLAEYASLPVSHYSVHITLHPWRGEGSSGGRRRHVGRRASGGAAEPSSPPDAAPSGAQQTSASAGKAVVPDDEFSLAKVSFGVIGLGVGISLLSYGFGSYFNLLPGSEWSALLLTYGFPLTIIGMALKARIFSLCTCTRHYWMTSLGTILLFPYAELKPVPCTTYADAFALREKCATPILKQVRSDVTRYRYGDEQHLDEALQRIFQYGLGGGIPRRSAPILQNIREEVGSLSVTEDGKYSLALVFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKGGDNLYEVRLISETT >Dexi1B01G0027410.1:cds pep primary_assembly:Fonio_CM05836:1B:32138166:32138846:-1 gene:Dexi1B01G0027410 transcript:Dexi1B01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHVFVTAAAVVLVVAVTVVSAKTTIEPCSGSDSCQALLGYTLYADMKVSEVAALFATDPAALLAANALDFSAPGAAHRILPMGLFLRVPTRCACADGVRKSVAVRYAARPGDTLATVADVVFAGLASADQIRDENALASADPDAPLDAGRKLVVPLPCVCFNSSDNNLPAVYLSYVVGDTVPAIAASYETTVTDVMNVNAMGSPVAAPGDILAIPLPGKAT >Dexi8B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:8B:25413816:25417773:-1 gene:Dexi8B01G0014770 transcript:Dexi8B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCVSHHHQAVRPRRGGRFPDPGRRPAASASFAPPAPRRRRAVPAAMSAEASPGVEPAEAAPGAAGQQAVLGEMAEEAAVWCAVNGLVVGDRADPRSGTVPGVGLVHAPISLLPARFPASFWKQACELASIFNELVDRVSLDGEFLQSALTKQVDEFTARLLEIHEKMTALNKKEDIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLGSLVSELHRFLDNKEDISKLRRSFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNIYGHDLRDTLIKLQKEQESLAAYILMQRIFPKTSLTPLVRGGDWFEDLTISELGIYGAYLRNKDKVILNNHCGYLMRTKVSSSNEGGVAAGFAVLDSIYLTDEHKVS >Dexi9B01G0026230.1:cds pep primary_assembly:Fonio_CM05836:9B:28036723:28037392:-1 gene:Dexi9B01G0026230 transcript:Dexi9B01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASHRRLRRSRSPRELEAAAGGESVDLERDLDRLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDFDNIVELELGAPWPLPPLEVTATLAHKFEIVGTSGVKINFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIA >Dexi9A01G0025580.1:cds pep primary_assembly:Fonio_CM05836:9A:26199323:26199823:-1 gene:Dexi9A01G0025580 transcript:Dexi9A01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCKLSSMLLAVLMVLAVSPAAPRPVAAATSAHLHVYMHDVTGGPSATAVRVVNGPRGSFGNMEVIDDVLTEGTSQSSATVGRAQGYYMVASVANLEFMVNMNVVLTSGPYAGSSLAILGRDDVSVPVRELSVVGGTGMFRMARGYVLWKTITPEILDLEIFVNP >Dexi9A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:9A:4848806:4850321:-1 gene:Dexi9A01G0008200 transcript:Dexi9A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPPRPRVMVLPFPAQGHVMPLMELSHLLVEHGFDVVFVNTDFNHARILEAMAGGEAAPPASGIELVSFPDGMGPDGDRTDVGKLLEGLPAAMLGGLEETIRSRKIRWVVADVSMSFVLELVPTVGVRVALFSTFSAAIFALRVHIPKMVKDGIIDESGNVRKNEKIQLSPKMPAIGAAELPWISISKCSESRRAMIQSVIKTMPTLKLAETIVCNTFQEIESGALALLPIPALAIGPLEAPKSTSTGAHFWAQDESCIPWLDAQAPGSVVYVAFGSLTVFDAERLQELADGLVLTRRPFLWVVRPNFAAGVDDGWLDEFRRHTAGKGLVVGWAPQQRVLSHPSVGCFVSHCGWNSTMEGTRHGVPFLCWPYFADQFLNQSYICDLWGIGLRICADERGVVTKEEIRGKVARLLEDEEIKARALSLKSVACASVAEGGSSYQDLLKLVNLLREV >Dexi5B01G0036200.1:cds pep primary_assembly:Fonio_CM05836:5B:36021548:36021820:-1 gene:Dexi5B01G0036200 transcript:Dexi5B01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQEVRSMASPAGFGRHGGVQQKFVKEKFEEVDKVSRTGGGLRGRGSGGHFEARESKFEEDVNTRTSEFHERKENFAVRAD >Dexi1A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:1A:19085901:19088173:1 gene:Dexi1A01G0013710 transcript:Dexi1A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQSLTAEAATALKLSLGLARRRGHAQVTPLHVAYTLLGSSSSPSPSPAYGLLRRACARSHRPVGACAPPHYYSHQPPQCRALELCFNVALNRLPTANAVASGSPSSSSSSASSTSFAASILHQTSPTLSNALVAALKRAQANQRRGCPYACLPTGYGGDTSWPAPFFNYQPQADVEFELPCKEKEEDVRAILDVMMTSRKPGRSRTNPVVVADSVSVAEASVAGLMRRLERGLDIPDELRGARVLRLHLSHAHVRLMTRADVDASVADLRRTIAAATDAEASGLVIYVGDMRWAVVVDDDDVARVQATPSGFSQAEYMVAELARLLGELRAGLHGQRAWLVAVASYGTYMRCQRLSMEETWALQPVSVPTGGSLGLGLGLALGPRASTREEDGTPVMCTECTRNYEIEALAVRAKAEGTSLALSFFPGWPQADEPQTMI >Dexi1B01G0028620.1:cds pep primary_assembly:Fonio_CM05836:1B:33098768:33100548:-1 gene:Dexi1B01G0028620 transcript:Dexi1B01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDEATALATALQGAAVLLQLVDAAIAGRRSTAATDMCANGDNDASTGDMKLSDSCSV >Dexi7A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:7A:11945761:11946769:1 gene:Dexi7A01G0003030 transcript:Dexi7A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEEDIIPTCRELGIGIVAYSPLGRGFLSSGTKLVDSLSEQDFRKHMPRFQPENLDKNAQIFERVNSMASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTPDEMAELESYAAAGEVVGDRYAQMTNTWKDSETPPLSSWKAE >Dexi5A01G0029470.1:cds pep primary_assembly:Fonio_CM05836:5A:32523735:32524379:1 gene:Dexi5A01G0029470 transcript:Dexi5A01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNRPVAASLLLLLAAAALLLHLCSTSSPEDGYLGRVLPAWGAGGGILPAVPFSPADLLPLLPRGVAMAALRAIRGASDIFPVFVGSATAGAPDSAPGSGARVKWKGACFYENEAWLVFHNESGSKYGGGTIHIKHSRC >Dexi5A01G0037860.1:cds pep primary_assembly:Fonio_CM05836:5A:39042161:39043158:1 gene:Dexi5A01G0037860 transcript:Dexi5A01G0037860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMCKTMRRHHFVLVHGVCHGAWCWYRVATLLSAAGHRVTALDMAACGASPPGRAEEVASFEEYSRPLLAAVAALPPAEQAVLVGHSFGGQSLALAMERHPDKVSVAVFASAAMPAAGKPMAFVLQEFSRETGPDFYMDCTYRGSSDPLYPVETLLLGPEYLAKRLYQLSPPEDLTLAMAMVRPSQCFADDEVVKGMNVLTAERYGAVRRVCVVAEDDASWSAEFQRRMASWNPGAEVIGLQGADHMLMLSKPMELSELLVEIADKYG >Dexi4B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:4B:17339694:17340134:-1 gene:Dexi4B01G0015520 transcript:Dexi4B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWWSAAVGGDDDDDEVLERLERPLPPPLKMKLGTYAMYRVFTGPAGDKLGCAYVGLHFALYLARVLSLEPVPGRDLVWGPVHFVLQCICYTATTLVACIFIRSYMNVDGVYAEEFDPQVAAPPPSAAAAEEHQLPLPPPEMDMC >Dexi3B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:3B:15355681:15358759:1 gene:Dexi3B01G0020360 transcript:Dexi3B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRPPPVARSPARAQGPEAAAAAATPRQASPARPRRGRRLRVQSPCLASARRGPAPAHAPPPATPPLRWPGDAVAPRENVSAGAGASVRRIAAALWRAHPPPREPGEVRRRPEVGAYMSSPRIEMEVATKWDRRYSSTSGGAGYDFCERHTAAADEEVSALKEELVLAHNRIHELEAESRSAKKKLDHLVRNLAEEKASWRCREHDKVRSILDAVKGDLNRERKNRQRAEFMNSKLMNELSELKLLAKRYLQDYEKERKARELMEEVCDELAKEIADDKAEVEALKRESMKVRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDNKYSQLSELQANLEAFLTFHRGSSVDRETVRDGEKLRDAICSMQLHGKEFSYKPPPPSEDIFAVFEELRQREDINEKEIGQCNGDTPMSHTTKIHTVSPETDIFLEKTANKYSTQPCARNEDEDDSGWETISHAEEHGSSNSPAGSEPSVNGFCGGNDASASGTDWEEDNCENCRSNSGISGVCSTTGEKYRKKGSSFSRLWRSSNGDSRRKTGSELPNGKLSSSRMTNAALSPDPKNSEVCQVSPSVGDWSPDLLNPHVVRAMKGRIEPQRTQKHSMKSKLLDARTNGRKVQLRQALDQKI >Dexi6A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:6A:10121972:10124573:-1 gene:Dexi6A01G0009090 transcript:Dexi6A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAIKKMDMQASHEFLAELKVLTHVHHLNLVRLIGYCIESSLFIVYEYIENGNLSQHLRGTGGYEPLSWARRVQIALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNYRSKVADFGMTKLIQVGNTSLPTRGIVGTFGYMSPEYGQYGDVSPKVDVYAFGVVLYELISAKEAIVRSAESCSDSKGLIYLFEVALSTPDPKEGLQRLLDPALGEDCPIDSVLEMAVLARACTQLDPKSRPTMRSVVVALMTLIVKMSDRVL >Dexi9B01G0049040.1:cds pep primary_assembly:Fonio_CM05836:9B:47846735:47847742:1 gene:Dexi9B01G0049040 transcript:Dexi9B01G0049040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTVPKEWNRFTSRLDSTPPSLGRCAGSHPRHLKRYGRIAREAELNLPLPARTNDLTRSPIGEEKAYPKTMDDRDVNTALSETEVTAIRIDLKTLQSFTLTLAPRAVKTFPAGQTGNSSPIGGVRTHPVPLTQIPHCGRQQLAGTPPTKASWCVRYSDNEVGQQGLSVSTAVVRNRYHLRHNTGLTQKLAPGSPKA >Dexi5B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:5B:6991731:6991878:1 gene:Dexi5B01G0010060 transcript:Dexi5B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQPAILGPGVALILVAREKTRPARPGPAREKPGGPAAR >Dexi3A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:3A:9721068:9722645:1 gene:Dexi3A01G0013350 transcript:Dexi3A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRSFLLLSYPEILLAALSFLSLAALRLAVRSRRRRFAPVSWPVVGMLPFVLANLGRLLDATTDALRECRCTFVFRGPWLSRADFLLTCDPAAVQHCLATNHGGYDKGRDFAEMFDVVGDGLLVADAASWARQRHVAAAVFGNPAFRSFVLSTMARQTARLLVPFLDHVAAAVDADSPEGVEMEDVFMRYSLDVAYASAFNVDLNALSVAAASAPVPAIGQATRVASEAALLRHIVPAWWWRLMRWLNVGAERRLAEAKAVLDEFVYREIAQRKSLTTGSQGSDLLSLYMAWPRDPGVTDRERDQFLRDSAVGYMFAAKDLIVAALTWLFYILCTHPDVEAKILDELRSLRPTATVAATGSGEHAVFDSDALQPASYLHAAVLETLRLFPPAPFEEKEAVRDDVLPHGRKVAKGTRVIFCIYAMGRMEEIWGSDCHEFRPERWLSDVGRVRHEPSHKFAVFNCGPRSCLGKNLGLSNIKIAAAAILYNFQVELVDGAVVKPQNSVVLHTKNGMRVRIKRRKTA >Dexi3A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:3A:12431690:12433444:1 gene:Dexi3A01G0016520 transcript:Dexi3A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEERRGAATTAPPQPQEEESGPIYPPRAEPMDSEHWISRLAAAKRFYAAQLGHSDRAGMDELDMDEEVRPEFACPYCYEDHDAASLCAHLEEEHPFEPHPAVSVDTHARTPADLIGGSELYFFIDFYFRPVLFALRWLQGIWLTILLCNMDICSSFEHLQNRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNNTTSISADPLLSSFGLSFRTSEAEQTSKSTVSCPDNATMVKETPAQARKLRYGVTFMLKNTA >Dexi5B01G0035250.1:cds pep primary_assembly:Fonio_CM05836:5B:35291504:35292179:1 gene:Dexi5B01G0035250 transcript:Dexi5B01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLVMDLMRAAGRRRSSSTYDDDYEELLVPTMVTIKPAYRWALRGIAVAATTVVAIGNVTAEEHISVKIVSVITMILMVWFAFVATADGLAYKTPQELGISRDSIV >Dexi7A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:7A:27015850:27016265:-1 gene:Dexi7A01G0017280 transcript:Dexi7A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGARGRRRARVGTAGQLAPPLPHPHIAYLATAPIKRMPGQEEPSSAFLGFHVAGDGLGGGTTPMRSLAGLRYHDLKYVNTCNGVVLLARNSTYNSASCWCVLWNPAVADALGEE >Dexi3B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:3B:3028091:3030069:-1 gene:Dexi3B01G0004420 transcript:Dexi3B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKLGGNDDHGHKRTDPGQVSHGGDVKRPRDGKVVILGMEMGDGGEDDAEEEQGPYHNFHVYYKNWLSTYGSYGLSFDAGTEYGPMRYTDGPILPMSAGPCDTMEVFFVKVAQISGGLHWPLDVYGAVAVRDSLDRKRNYLFRRDRNKCQTLTSPQACFRKQDSLLELTGPSRAILLRDEPVFEIELKVKGEGNSSSQDDVLCLDIFGYNNISYKGKVSYAMTEVLSSIHSRMQVRFAHVKRSIEATIFARITEGSGNFSLCLTAYSTSIREDVVLLDSRGQNVPVNEDGKVELQRRVVVVEEQGKLILRVKAVQLGDTSDSSHCIERELKLPARSAHRSESYFQIGSSRLHFMVAWSYLP >Dexi9B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:9B:898499:901648:1 gene:Dexi9B01G0001560 transcript:Dexi9B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPRSMLPQRQRRPPDHRLPRRQPAHPDQVPPPSRARPPEASPRSRLPSLVPSAYAGILRCASRARSLALARLAHSHMVRAGYRPGLFLGNNLLAAYARCGDMRHARLLLDGMPRRDAVSWNTLIAGYSSQGSARLALGAFRDARRDGGVTVDRFTYAAVLAACGGARDGRSGRAAHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLVFDRAEERDEVSWNLLLSAYVQMGWPEVAVNVLVWMHRSGVKLDAFALGGILKASSELEDSEDVRMMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPDQNVVIYNAMIAGFARLGNDPCPETRIEAVRLYVNLLQRRIRPSKFTFKSVLEVCNLTNAVQCGRQIHAHVILSGFEEDEFIGNALINLYAKARLVDHSLRCFHRTPKREIFTWTSMITAFVHDEHSEKALDLFRELRYLEKELDQFTMSSVMNACAALSVPITCEQIHCYAVKSGLCQFTLCGNSQIEMYRCMGDLKAAKKTFEKISCLDTFSWSQMILSYALHGHEREALLLFKKMRDCGVMINEFTFHVVLIACSRQGLVDEGFRHYESMLSEYGIVPNVKHIACMVDLLGHVGKVADAEDFIMSSGLENDTVLWHALLRACRIHGDKDRGIKIGEKLMTLEPYAASSYVMLYNLYMDAGKISLAMRTRGQMRERGMTKEAGISWVEFGGSIHRFVDGDHSCSLKAEAFTRLEELLVRVKQKTEHGGVNVWELGFQARKVGNTISKHGELLAVSLGLSIVPDTAPVRVMKNQNMSWESHETLKLLSEGENREIIIRDPACFHHFSQGSCSCRDYW >Dexi5B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:5B:4344784:4345977:-1 gene:Dexi5B01G0006430 transcript:Dexi5B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAGGSGVDALCDNVLASILARLPSTSVLRCRAVCRSWRRITTARSFLANHATRRPLQILTGSVYGYGGREVSATPLSVAGDAQPSRLFHRTRHEPSVARSSDVLYSLDGLLVVSQLPGLFAICNPSTRQWATLPALAPEPRPFDVVTCGFYSPHASSGEYRLLCQVRHKYERYYCILSTAGDALPRRCHGRRRPPRLTTSASLPYSVPVSRRGVLHWLAHPEAAFTGRMLAFDTDSEAFRLMPRPPEWARDGTKRKLLELDGELAVAVVKGVSTLAVWELRDYEAEVWTLRYRVEVAPPSSLFGGITTTDNHTSVEWSISWIFSAGDGAILIGQGYHGFAARLYDLREKRIRGEVSLLPRGQQPTFLVFRESLVSHGFFDLPPNSEVGHIKFN >Dexi1B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:1B:25639882:25642049:1 gene:Dexi1B01G0019440 transcript:Dexi1B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEMAETVFTPSLEGMKHVKAENGVILTKPFLEVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSTDPSKYEQLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHPDWTMSLACTDSYAKTLKKFHGWLASSSFTVAMKLAPNRDKFMEVISGTGDINADIEKFCATFSPFLKENHDFLASVGLDDMKAS >Dexi8A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:8A:7978258:7978623:1 gene:Dexi8A01G0007200 transcript:Dexi8A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKWGGGTGGLPQRSAVALLRRGESCLRYAAAGLAASRPAAVTADQAAARGRALARLAVDPRRSRSGGGVGSGGGAWGGGVIRGFGSGSGPWTGLGKWGVWRPEAEGQFRHTVCVASLI >Dexi9A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:9A:3821991:3829564:-1 gene:Dexi9A01G0006740 transcript:Dexi9A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGDCENADPNRCSNPDEAPPPPDGTEDDTEEISLWQEDPMDPLALIAPNAEEVVILKGIEKGDITNSSGREDQAGSSPGEITIRTRGIPEGADLSERLKSDKSPCNATQESECIGGHIHGSSTEFGQQYNVLDPGTKVGEMVGVDDIVSPENLSLYYKDPKGRIQGPFSASDIIGWFEGGFYGIDLPVRVASAPCDSPFLLLGDVMPHLRAKVRVPPGFSNAKPRSMPETSHLGAAYLEKSDYGSINKNGSVTEAENHFVESPMSSYTQNPRAETSPVTGGIDEWSCSTFGNLFVFGGESEILELAQKLPGHGKESIVDSPSKKNPSSKSIEESKGTVYEDKDTVIIDSADDTAFPPLAPYGTQRNAHYLDDGDFIEVKDTRKKKNKTEKSKGSSVKAPPPIDSLNPSVISVPIEKGKSGKQAQQEKDELAPEPRAPSLGDFVSRKSDEENAVPGPRWLTDPQQARKPLSLRDIQMEEERKSDSLQELVPASSHAKQPMDRQCHGNDSSWLGSGSPPSGVTDTLLMTSHVSSQSYTSSDEDFFWAPHEHAKQDKYVLSYDTLLEFQSPSQRGASVINTTSAALDIPMKGKKGKKLSSSLLGFKVHSNRIMMGEILSVDD >Dexi3A01G0027320.1:cds pep primary_assembly:Fonio_CM05836:3A:24273634:24274753:1 gene:Dexi3A01G0027320 transcript:Dexi3A01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKKGALHEKLQILRSVTHSHAEDNMTIIADASSYIKDLKQKIAKLNQEIASAKHANVCQPFVSVEVLKNGFLINVFMDNCSSRLLASILEAFDEIGLSVLEARATCAGSFCFQAVGEEEGESLIGAHAVEQAVVQAIKNCPSN >Dexi9B01G0024620.1:cds pep primary_assembly:Fonio_CM05836:9B:21118762:21120388:1 gene:Dexi9B01G0024620 transcript:Dexi9B01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAMGEDKQRDHGHAGKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQILAGILNVCALVGSLTAGRVSDWIGRRLTISLAACIFLAGSALMGLAPNFGTLLAGRCVAGVGVGYALMIAPVYAAEISSTRIRGSVTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAVLAVGVLAMPESPRWLVLQGRVEKALAVLRQVCDTAGEADARLAEIKVAARLADGALDEPSSVGKGVWREMFLHPTPPVRRILIAAFGIHFFQHLTGIEAVVLYSPRIFKAAGIATRSEILAATIGVGVTKTVLILTAILLVDRVGRRPLYLSSLAGIVASLTCLGVGLTAVERSSPHHSPTWAVVLSISTVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAVTIGGAFFLFAGLAVLAATFFYFLCPETQGRPLEEMEEVFSRGWRARLVGSPAATVELPVRNVSADKALP >Dexi5B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:5B:6450629:6453125:1 gene:Dexi5B01G0009420 transcript:Dexi5B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGRDETFVGDSGGGASSTAANPGAEGGNKDVVPAKDDDATTAAVLPPVSSEEAANSTQESGVLEDEELQVQEAVAKAPSRKSNESAAAAAAAAASSSSNGSSTSVVHSDPAILPAPVQQIPPTTQDVKTLADQQIPAVPKTPAQEWKPLCDLTSNRRIDWCELDGDVRVLGANASVTLVAPPGADERTFREESWRIKPYPRKADPNAMRKIRVVTVQSVSGEAPACTDQHDVPALVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVLLLVTDFQMWWLGKFMPVFKSISNYEVIDLDHDPRVHCFRHVQVGLTSHADFSIDPRRAPNGYSMLDFTKFMRTTYGLPRDLAWPAANPGANTTQSRRPRLLVIARARTRRFVNMEEIVRGAEKVGFEVVVSEGEHEVAPFAEIANSCDAILGVHGAGLTNMVFVPTGGVVIQVVPLGGLEFVASYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHIIFTDPEGVKSKGWDSLKAAYLDKQDVRLDMKRFRPTLKKAISHLRKAKANAGGN >Dexi9B01G0047080.1:cds pep primary_assembly:Fonio_CM05836:9B:46290885:46294334:1 gene:Dexi9B01G0047080 transcript:Dexi9B01G0047080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEKKAASAGAGSNKLAMESGGGTAAEEYAQDGSVDLRGNPVLRSERGGWRACSFIVVYELFERMAYYGIASNLIIYLTDKLHQGTVEASNNVTNWSGAVFLTPLLGAYVADAYLGRYWTFVVGSAIYFMGMVLLVLSVSLPALKPPPCQGKVCPKASALQLGVYFGGLYIVAFGNGGTKPNISTIGADQFDEFDHREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSIAIFLAGTKLYRHRVPQGSPFTSMGRVLAAALWKWNVPVPADAKELHELDLDVYARKHKFRMDSTNAMRFLNKAAVKDDGGSPARWSLCTVTQVEETKQIVKLVPLLVTMFVPCTLIAQTNTLFVKQGATMNRHMGPHFQIPPASLGAFVTLTMLVAVVVYDRVFVKAVRRYTKNPRGITILKRMGIGMFLQVVTMAIASATENRRLAYAQSHGLDKTGGELGLTIFVLLPQFVLMGLADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGIGNILSSFLLSTVTRITSERGNAWVTNNLNASNLDYYYAFLTVLSGINFVAFVALSVMYRYKAESSETIDIDMGLEVEKAKLQAEPLA >Dexi8A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:8A:8722078:8724166:-1 gene:Dexi8A01G0007670 transcript:Dexi8A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTVRFFFSVAAAFARLVQNLRKALAAAAMAAAVSSSPARSPVGLIATRPLPLRRRKASLAVPVAMSEKLLLVTTPPPVVAAAEVCDVIVNGGGVGVVVEGQQQQPESKRKKTTTSSWSARRRPSRLVIPVADDAGELAAGWGAAAVAGKEDDVEVEGEGFWVASRAGPWHAMEDAYSVITGKHCGDSQLAFYGVFDGHGGRAAVDFVSERLGENVVAAVLAADNEASSAADDGNDAISAAIRSAYLATDSELLAQHQDVSGGACAATAVVKGSDLYIAHLGDCRAVLSHGGGTAAALTADHTCAAEDERARIERGGGYVCRSGSCVWRVQGSLAVSRSFGDAGLKRWIVAEPAVTKVALGAGCEFLIVASDGLWDKVSNQEAVDVVSRCRAESVGRSCVELVEMARSRGGRDDVTVMVVDLERFVR >Dexi3B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:3B:7505902:7510086:-1 gene:Dexi3B01G0010710 transcript:Dexi3B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPKQEQHHKEEEEEGKEGMILAEHGDRRAAFGHGGGGRRSEIKEVDFFSTGGARRRNDDDDDGGDGSSRDAVGALLGRGNNNTTVNTALDLLTTAAAAMSVNGGERAAAGAVSDHKELRQAGEENRRLRRMLDELTRSYTALYHQLIQAQQQQQASGAASSMLPVTMPAGLQFMDPCMAPAIRAAPPATLDGDKGDSDGGSGSDADQNNGRSPVQQDGAGTPERGENAERAAAEAPLRRARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDKAVLITTYEGTHNHQLPPAAAAMAKTTSAAAAMLLSGPAASRDAALFAGHHFASPAAPLFHHGQYPYASTAMGAATLSASAPFPTITLDLTHSPSTSAAAGLLLPHRPPLAMPFPMYGGGFPAAAHRPVLTSQQPPTMEGVRSRSALETMTAAITSDPNFTAALAAALSTIIGGGAESAARQGGAAGDVADGNTSGGGGGAEAGTAAAAGARETALHALLQRLHDSRQ >DexiUA01G0026680.1:cds pep primary_assembly:Fonio_CM05836:UA:57041365:57041850:-1 gene:DexiUA01G0026680 transcript:DexiUA01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQLDFEQSQPTASTFPPSHQPPSPASPEADAAAAAADFSDERRLRRRISNRESARRSRARKQRRLDELRGSVAAMEHRRRELAAHAQAARGRLALARLANAGLRAEAAALSRRLAAARRALELGRLYHAAAAAAVGSGACCSGLGFLDIEQTIASLIA >Dexi3A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:3A:6656635:6660630:1 gene:Dexi3A01G0009520 transcript:Dexi3A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTGTRLPRLDGGEEGSPEATEDEEEESRATPSQESGADGFSGGEDEQEEEDGGGGEEPDEVEELGDDEELVLEEEEEEEGDSGMGSDELEVTDLGEPGAEMCQVGDQSVAVPLELYDLAGLREVLSLDAWNNLLSEEERLRLAALMPDMDQETFARTLVELLGGQNFHFGSPLAALFEQLKGGLCDPRVNLYRRGTRFAERRKHYYWLQSYHNSMVRGLWEIKDCWKGREAYSLDERLRMVDNLKAQRKQREALASSRRAASDTDSESRESGKQVLNQLKLDNIGQKKAGKSLKERSRGLLRVGMLKGVDEECVGGSGRDAPGSRSGLSRQDNAYGYDSGTHRGKLHRSIDGLYSEELGYERDLPMTRFPRLLPKPAKKELTMGYDGNLYGNNYRDNNTASPYYYGRNPNANQGVTLAAAYDPPYFDTRRNARYSERDWVQGGKGAQSTAVTGDEMHWTAGTHTGHVDDWQKGKFSGDHRSRKDQPGYGMKVKSYKSIEQQPNDARIGSDARSKISQVKTAGKSSSQFDRIGQKHSRGNALYSQSEETESDSSEPYEDAGDVHHLERKPEHHHSGLHRPAHAKKSTKKSKKLAKVGKMNYPAADEDLEPSRSKGLKEKASEASYLRDVDVKMTEQIMKPPAASGERKRKGMANSETHVHDNSELQEINENANDPFRSTESEKLASRSVHAVQDSNGDFGVTERVSGSSGSKKTKGKVEVTSLDEHSEHAPSGPKMVENIGGSKKKSKKKTESTTDAATVAEPVADVPENNIVAVEPEKIEKPEKIEKPKKKYVPISPTIHTGFSFSVVHLLTAVKKAMVSPAEDTTAAAKQPDGEEGKKWFNNEEHSKMPQEQSSTEQAQQVPEGADTNAAEQTVPSNSPALTVQEIVNRIKSNPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKGWKALVAYEKENKSWFWVGPIPSVSSYDDPDEETSAEAWCIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDLKERFKELRAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPARTGTRADVCTLLRDSQYLNHEEANKEAAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRRDHSDPGDPGAANEDFDDDGTGTPSTKNAKKQKTDHGDPTVSGEANDEGDHAAQDPSCGGLVGDPDLNTAPSSKKYEESGGVVYIDAKPDDGGSNSVDAKPGSRDDENPASLQSFPEQNKNMEFPDNTSMDASLP >Dexi1A01G0028390.1:cds pep primary_assembly:Fonio_CM05836:1A:34043942:34047389:-1 gene:Dexi1A01G0028390 transcript:Dexi1A01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGKGPAAAATAEAVAAVAVRNPRCYLDVSIGGELEGRIVVELYASVVPRTAENFRALCTGEKGVGADNGAPLHYKGSYFHRIVKGFMVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANSGSDTNGSQFFITTTRTPHLDGKHVVFGRAVKGMGVVRAMEHISVDEADCPTDDIVIVDCGELPEGANDGVVNFFKDGDMYPDWPIDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKSMRYLDLCWEKEDINEGSLFLFSSLWLCLFHILVCKLKLGDMEGALLDADFALREREDNAKAFFRQGQVRMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDRRDQERKAFSRLFQPSGGTEKSDK >Dexi2B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:2B:3294950:3297863:1 gene:Dexi2B01G0003770 transcript:Dexi2B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAAAVVVAAFLAAAAPVPGAEALGMNWGTQASHPLPPKAVVQVLKDNGIKKVKLFDTDFAAMSALAGSGIEVMVAIPNNMLADLAADAGKAKDWVKSNVKRYDFDGGVTIKYVAVGNEPFLESYNGSFINVTFPALQNIQNALNDAGIGDRIKATVPLNADVYNSPPSHPVPSAGRFRTDIAELMTDIVKFLAKNNAPFTVNIYPFLSLYLNDNFPLDYAFFDGGATPVNDNGVLYTNVFDANFDTLVAALKAVGNGDMPVVVGEVGWPTDGDKHAKASYAERFYAGLLKRLAANTGTPARPNQYIEVYLFGLVDEDKKSVAPGNFERHWGILRYDGQPKFPMDLTGQGRNTMLVPAKGIKYLGKTWCALNPNAKDLSKLGANIDYACTFADCTPLGYGSTCNGMDTAGNASYAFNAYYQVENQKDEACDFQGLALPTETDPSTQTCNFTIQIQTGGAAATAFGGRSATVAVAVVLWALLQLLGPW >Dexi7B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:7B:19009620:19010606:-1 gene:Dexi7B01G0012180 transcript:Dexi7B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRPATSRTSPQATGATAALPKLIAVRHRDGSGITHIEYEHRPDECTAIHSWAFYRSYLSFTDALRFAVHAPPDDSPAAAAAGMTILMYHFMHERTGMLFCRPGDAAWTKVEKPNRFGFGYFDFVYHDGRMFGMDTNGKMAVYDAATLDVLHLVGAPPVPSELVTKMYGITTMMDDVVVNLEDYDYVNLVPLPSKLILVMTTVKSSLPVAFSIFELGSTPGGLAWHKVINAAVL >Dexi1A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:1A:27905009:27908232:-1 gene:Dexi1A01G0021080 transcript:Dexi1A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSTDPNHYGVFPHSFSNQHVVSFQTSSIASESGAMPVCLDTSSGMNGNMATLNTTSSTIVSTGSPNMISDSSGQSLKYSAPMAVEWSYPELQMLNDGLIKYANEPGIMKYIKIAAMLPDKTRKEAARRRKPEERYLGKKIKDRKDKMAEPSPWVTNAPVQTDMRASPFMPHNTRHNNGFLSGDSQIDREMLNILEENARLLNQIEVNILTSQVGLLFFLCNASVNLSGNLIHGISVILKMLRTTLVFSITQEGTSTVFYKA >Dexi9A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:9A:637822:639453:1 gene:Dexi9A01G0001250 transcript:Dexi9A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSFLPPLLLLAAALVAAAEPASTLSGPARPVTVPLGERGHAVDLPDTDPRVQRRVTGWAPEQVAVALSADPTSAWVSWITGDFQMGAAVQPLDPTTVASVVRYGLAADSLLHEASSGESLVYSQLYPFEGLQNYTSGIIHHVRLRGLDPGTKYYYQCGDPAIPDATSGVHAFRTMPAVGPRSYPERIAVVGDLGLTYNTTSTVEHMVRNQPDLILLLGDVCYANLYLTNGTGTDCYSCDFAKSTPIHETYQPRWDYWGRYMEPVTSTIPMMVVEGNHEIEEQIHNRTFAAYSSRFAFPSEESGSSSPFYYSFDAGGIHFVMLASYADYSRSGEQFRWLERDLAKVDRSVTPWLIAGWHAPWYTTYKAHYREADCMRVEMEELLYSHGVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATGHADDAGGCPDPASTPDPFMGGVLCAANFTAGPAAGRFCWDRQPEYSAYRESSFGHGVLEVKNDTHALWRWHRNQDRYNAVGDEVYIVREPHKCLRPGNNKLTRLSSSY >Dexi3A01G0036140.1:cds pep primary_assembly:Fonio_CM05836:3A:41552686:41553728:-1 gene:Dexi3A01G0036140 transcript:Dexi3A01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSSLLPKLAKLLEDEYKLHEGARKGIKFLHNERETMHAVLRKVGDVPREHLDELKRIWARDVRELSYDMDDIVDTFMVTVEVPDRPSKKIINKLRRKVTKFLARREVAQHTNDIKERAKELAERRDRYKVDDIAPVRKIPVDPRLKALYTDATEIVGIEEAKKEVMTMLTKGGDDQKKNIVSVAGFGGLGKTTLAKAVYDEIKNDFVCTAFVSVSRNPDTKKLLKDMLYELHKEGHPGENLDDIKHLIDLVRELLRNKSRDTA >Dexi2A01G0031140.1:cds pep primary_assembly:Fonio_CM05836:2A:41903926:41905833:-1 gene:Dexi2A01G0031140 transcript:Dexi2A01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFPCIPMGTAALPIEKQFVPPAAPPSWPAAVSDGGFAKGSIDLGGLEVRQITTFTKVWSTTQGGQDGVGATFFKPSPVPAGFSVLGHYAQPNNRPLFGHVLVARDTSGTGTLLAAPVDYILVWSSPDGAGHFWLPKAPEGYWAVGMVVTATSDKPSPDEVRCVRTDFTDGCETEESVLSSHKDAFSASTLRPAVRGIDARGVRVGTFVAQSSRTPSGAAIMACLKNDAASHTSSMPDLAQVNSLLAAYAPHVYLHPNEAYLPSTVTWFFENGALLYQKGNQTPTPVAADRSNLPRGGGNDGSYWLDLPVDSNQRERVKKGDLASAKVYVQAKPMLGGTVTDLAVWIFYPLNGAARAKIGFFTIPLGQIGEHIGDWEHVTLRVSSFSGELLRMYFSQHSAGTWVEASQLEYLVDGDGGNSRPVAYASQNGHAMYPKAGLVLQGDGTLGVGIRNDTAKGSRLDAGAAGRSEVVSAEYLGVAEPAWLGFERGWGPREEYDIGREINSVARFLPRSLKERLEKLVEKVLVGEGPTGPKMHGNWRNDEKEAH >DexiUA01G0016300.1:cds pep primary_assembly:Fonio_CM05836:UA:34694830:34695628:1 gene:DexiUA01G0016300 transcript:DexiUA01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLFATAFVMVLLLAGTTTSHAARHLADTTPAAAPAAIPAIPAVPKPPVPTVPTVPAVALPPMPAVPAVPQVPAIPAATLPPMPATPAIPAASLPPMPAIPAIPAATTLPPMPAIPAIPAGTGGEHLGDEVDVPAGDVDPGGVELHYVAVLERLE >Dexi2B01G0026070.1:cds pep primary_assembly:Fonio_CM05836:2B:35233765:35235093:1 gene:Dexi2B01G0026070 transcript:Dexi2B01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPANVRELWRTPRADGPAAVLAIGTANPANCVLQDEFPDLHFRITKSEHLVDLKEKFKTLCKKTPCFGIILPNSVSCQTINLAQVSITGQKMGVSKRYLHCTEELLGAHPEFIDPHSPSLDARLDIVKTAVPELAAEASRRAIAEWGRPATDITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAGALRVAKDLAENNRGARVLVVCAEINVLLVTKPEEGSFHSLVHQGVFGDGAGAVIVGAADDPAMTAGERPLFEIFSAAQAIIPESENIITMQITKSGYGGDISTGQIHVLIGDNIERCLLDALEPLGIGGATWNDLFWVMHPGTSVIMNQVSAVLQLEPEKLAASRRVLSEYGNMLGVTVMFVLDEVRRRMEKGEEEGAPEWGLMVACGPGLTVETMVLRRCVAQGTGAPAEDKPLGLRMRG >Dexi7A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:7A:22351157:22351569:1 gene:Dexi7A01G0012010 transcript:Dexi7A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQEQEQDRAGTISLFSVDAGAEDESQRLRLLHTVDTAGVFDMKWSPTSPMLAQADAHGRLALRRLEQEDVSDTDYV >Dexi5A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:5A:21526716:21529471:1 gene:Dexi5A01G0018030 transcript:Dexi5A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSSSQDAPNPPAPEQRVLVTNTHGENLVGLLHHTGSKKVVVLCHGFTASKDDVIIVDLADAITKQGISVFRFDFSGDVVVLYASIYNDVPMVVNLSGRFDLEKGIEERLGKEFIDRVNKEGYIDVTNKSGKFWYRVTKESLMERLNTDMRAASLSISKECRFFTIHGSADEVIPVEDAYEFAKLIPNHKLHVIEGANHCYTAHRKELCDAVVESITSIEAGGTPP >Dexi8A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:8A:27478554:27480077:1 gene:Dexi8A01G0016210 transcript:Dexi8A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAEQVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSTHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGIITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYVMGRANILNGYTQGYSPSPVGGYGMRMDPRFGLLSGGRSGYPSFGGSYGIGMNLDPGMSPGIGGGSSFNNSLQYGRQLNPYYSGNSGRYNSSISYGGVNDNNGSVFNSLARNLWGNSGLNYSSNSASSNSFVSSGNGGLSGIGNNNVNWGNPPVPAQGASGGSGYGTGNFGYGSSENNFGLGSSAYGRNAGSGGVNNFNQSTNGFARNFGDSSAGGGTIYGDTTWRSGSSELDGTSPFGYGLGNSASDVTAKSSAGYMGH >Dexi8B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:8B:1916851:1931993:-1 gene:Dexi8B01G0002750 transcript:Dexi8B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGGRWMSAVAVRPLLHLLVGLVLYEVAEEMIVPALVDKVTAALCPSDARSCPEAIYLTGLQDSVGAIFKIIGFPLMGQLADEYGRKPLLLLTASTSIIPFAVLALKNSRTVVYVYLILRTFSFLIGQGTLFIISLAYTADVVEPSKRAAAFGFITGIASASHALGDIFTRFLPTGWIFQVSVIFLICSVLYMKTFLVETLQRAPSSPRHSSLSSLVIRVPQQRWESIKENIKIFKNSESLRRIAYVDFFYKLGMTAIIDVRLYYLKSVFGFDKNQFSEILMVVDIGSIFSQILVLPLMSHVIGEKGILCVSILALIACSFLYGVAWAWWVPYFSSLFGIIFVMARPAVSQLFIHAIISREVPSSDQGKAQGFIATVQSVAIMLAPLFMNPLTSYFISQEAPFNCKGFSFLVASAVLVASLYFAWMLNPNGRDKCTEVAVFGFDKDQFSEILMVVGVGSIFSQILILPFLSHIIGEKGVLCISILASIAYALLFGVAWDSWVPYFSSLLGVIYILAKPAIYAIVSGEVLSTDQGKAQGLIATVQSVALLLAPLFMNPLTSYFISPEAPFDCKGFSFIVASFFLAISFCLAWRLNPESKDDKCRKLVNSDEEAVQVQAPLLVHRPKP >Dexi9B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:9B:3809243:3809515:1 gene:Dexi9B01G0006350 transcript:Dexi9B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPPRRRRRPSSAPGSCSPGDALTPLRPGPRRAATPATRRLSAPASYSPGDVQALRLGELLLWRRVDSPPPRRAACPATRSLSSAASS >Dexi1B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:1B:15645314:15654862:-1 gene:Dexi1B01G0012510 transcript:Dexi1B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLAALWVAASAGWAHPALFLVALLYIFAVNERYTMRLRRRLQYEERKCSNQRKLYGLLLHPFILFLQKKAVIQSLYLGRNPPMFTDIRVVRQSTDDDHLVLEIGMNFLSADDMDARMAVQLRKRLGFGITANMHITGMHVEGKDRMLDVAFGQTLVEPNMLVIDLEKFASESTENWFSVDEKPPIAHARVEILEGANMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWLEEFKIPITSWESLNVLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWISLKNIKTGRMHIAVTVLEEQNEKVPNDEEEQGGTPKKMGKASTPRSSFSSRTNNESESSDEFRKMSDEFEPVDIEGSEKPDVWVHRPGSDVTSTWEPRKGRPRCQDSKIQRENDACSDSPRSSVSESHRSDSSTEEPTSGKSHRHLHKVKKGLGKLAGAVFHRSPKKETDDEASPCVTPHINIHPVGESRVSVKYVVDQDPESNTNGTRTDEQQHSSPEREELNSPTKRHLRKKAAHMVKHAGKTAHNLKSMFSKKGLDKSKEECQSDEEGDVVAMKIDGVGVNPPVPSNNAVDPPESVADSKGDVQ >Dexi2A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:2A:8662190:8662396:1 gene:Dexi2A01G0008660 transcript:Dexi2A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVKVVYGAKAEVAAAAGFNASIPDAFVEYYKKSGIEVRQAEGDTVRIAEEVFDKAKEKFRIK >Dexi3B01G0023640.1:cds pep primary_assembly:Fonio_CM05836:3B:18425982:18426553:-1 gene:Dexi3B01G0023640 transcript:Dexi3B01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARLLFALSLVVVLLLVETTAPHGQAHAIDCGASCSYRCSKSGRPKMCLRACNTCCQRCGCVPPGTSGNENVCPCYANMTTKNGKHKCP >Dexi9A01G0030750.1:cds pep primary_assembly:Fonio_CM05836:9A:35681432:35682280:-1 gene:Dexi9A01G0030750 transcript:Dexi9A01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGQLKLLAMWASPFVMRVKLALGFKGLSYEDVEEDLFGGKSELLLQSNPVHKKVPVLLHNGKPVCESQIIVQYIEEAFPSCPGPSLLPTNPYERAIARFWGAYIDDKSARGKTEEEKAEGQKLTAVAVENMEAAFKEISKGKPFFGGDTVGYLDVTLGALVSWVQAAEKLYGLRLFDATRSPLLNAWAERFAALDTAKAVLADVDKLVEYAKHRQAAAAAASSN >Dexi4A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:4A:8237974:8240632:-1 gene:Dexi4A01G0010340 transcript:Dexi4A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQKHVSFFDRNKDGIITPLETFQALLPRRSMPGALPPYVNIYVKYIHKAIHGSDTGAYDSKGRYGFLTKERARGIYDGTIFVELEERWKSQGSDALSDLSAAAF >Dexi6B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:6B:22555922:22556659:1 gene:Dexi6B01G0015170 transcript:Dexi6B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLQRPAALAARRLVRDNQRIGELARAGDVAAARRVFDAMSQRDVVSWNALLTALWRGGRGHLPAARRLFDAMPARNVISWNSIVAGCLAHGDLAAASAYFARAPTRNVATWNAMLAGLFRLGLVEDADRLFAEMPVRNVVSYTAMVDGLARRGEVARAREVFDSMPQRNLVSWAAMISGYVENAMFAEARELFEAMPEKNVVACTAMITGYCKEGDVESARILFDGIRNL >Dexi3A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:3A:2524557:2530081:1 gene:Dexi3A01G0003770 transcript:Dexi3A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFSTATLPVLIHLLRGASDLASVAATHAKLFKAGIASTLASSNHLLAAYCRCGAMTSARGLFDGMQDPDVVFWTTLMSGYAASGRSREALSLLRAMELSGVQPNVVTLSTAASACARLADAGLGRQVHARTEVAGWSGNAVCATALVDMYGKAGRVEDARAVFDGMDAPARNAVSWGAMLAAYTQNALGNEAIQLFAELRTNGRGLAPNQFMLSSVVSACAGVARLGIGKCVHGAALRLGQGNDEVIAVALVDMYSKCMRRRGIRKDPGCSWIEVKDTPYVFYAGAISCAGARTDEVLTLLDELECKMRERGYKGRLGRARVSDGHEDDGDEGKGVMVGVHSEILALGFDSDQLSDFQFGEHGKANHHHHPHTKNSMSDDEEHGVNEDATDSQTGKGKKGSAWHRMKWTDSMVKLLITAASYTGEDPGADSGGGRRNCAMMQKKGKWKAISKVMGERGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCRIVANPELLDGMTNLSEKTKDDARKILSSKHLFYEEMCSYHNNNRYSLPEDPALQRSLQLALKCKDEHDARRRASGDADEDDQSVDTDYEEENDDEHPVVHVNKGSLPIHKRMRYMMDQEDAGFGNSSSSHDLSRRSDPNSITVDINKVFPDGTNLALLQKDLATQSAEIEKQRLEIEVEALELAKQRHKWERFCKKKDRELEKMRLENEQMKIENRRLELEVRHKELDLELRLKGNGNQA >Dexi5A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:5A:7106845:7107975:1 gene:Dexi5A01G0009450 transcript:Dexi5A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPTAAAGTGAGAQPIVLTPGVAPPAATSSALPTPIPPSAWSLAPADLTLPKAASFLAASLTSCSSLPRFRAVVASFFSALSQSLSLPPPPQVVPKAIHALAPYFPATVASLVASKAASLADHEVLFALVESRLLPHPPPDLISSLSDNDRADLVCAVLRQAADLRSSEILAALRLFLSPPSEKAYDAMMEVKRRWKDAAVVAVNKSREKGAGRKKMVDATARQAALLLMMGHDGFSSPEVCLHYLFASGNVDSVVLGAAVAELDGGEVVRLMRYLKEWIVKYGRFPEAQPFPEAVAMFGLEQCDSVPSFGAVVRALGVLLDNHFSHLVLNADVREALMAAEVIVRELAAEAESSGPILDLLRRLQQDK >Dexi1B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:1B:21386729:21390907:-1 gene:Dexi1B01G0014940 transcript:Dexi1B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSPRKNPIYRKTRDYGVNSFIDCIGTGKRVHLALISIEMSLHIGRLSQDVRQSYLEHLFQRFGRCSVNLKDGYGFAVYNSDNDASRAMRALHGKYVCGERITVNWSKQQPRFSQGFRSSRFIESSRGRNFRDAQDNVRLRDPVARKNHLANHDQGHNPDAVPAKESDKFTDVVNDAVENFGDDLEEVKRNEGGTIDEDPGEVKRDVSGTIDEDPGEVKRVVSGAIDEDPGLVKMDEGATIDANAIEHDRWAETGKVSASPQLKSSPDVENRNLDDLMNSPLEDNLEVRARCEVNNIDDNKQERKGSVLNSNALNGEVLIADKDANAADYTAVSFDKNFIDANAANGVQNQNANFEDSSSMKSKQNVRAENGKNKSLNLTTNEVIAALKRYGMEAPEDSSDQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWECH >Dexi5B01G0022350.1:cds pep primary_assembly:Fonio_CM05836:5B:24640049:24641844:-1 gene:Dexi5B01G0022350 transcript:Dexi5B01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPGPGPNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYPTDNMNYNDLKKSKPGTLPVSINNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGITLVLMAACAIASGLSFGSSPRAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSGIFLHYNPAPTFNEAIQNSANHDPRPASQAPGADYMWRIVLMIGAFPALATFYWRMKMPETARYTALIEGNAKQAASDMEKVMDVEIQAEQEKLARYKAANDYPLWSAEFARRHGMHLIGTATTWFLLDIAFYSQNLTQKDIFPAMKLTSAASDVNALTEVFQISKAMFLVALLGTFPGYWVTVALIDRMGRYMIQLLGFFMMSVFMLIMGVMYENLKEHNHALFALFYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAYGVQSLTLKGEAKDNKKALIILSVTNMLGFFFTFLVPETMGRSLEEISGEDNNAGTGPAGGVPAGPGMGVSDVSRDKIPVSSTEWQSSMHA >Dexi4B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:4B:4460346:4461834:-1 gene:Dexi4B01G0006340 transcript:Dexi4B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVHAVQGWIRYAFQHGVKSLALDMHLPERAQLMGGKNVDDEEDHKNHLMVCLDGLPSPVRLETMRLALGGARLRPSADVKFASLKDLSLERIKVPDGDARLFACLVSSRSCPNLQKLRIRRLQFRSWSQEMRLEADMLSELWVEDTDLMSLDLRTPCLRVLHIDKCNIEVLGLSAPRLEQVALLCRPTGSPVRLLRVHGDLPCVQSLKLWMWSHHHYRFHGGEQNDSSVLLLKSCSLLKCLEVTLGGSMPGMRYEAYVDLIKDAVPHIPQITSLTVKVSEAFTRHNFGAGVANLLTRFTNLRHLNVHLPSLFYEIHDGLLGDLDCDDHPDHWTSYEISMVHLQEVELTGLTGVDCELWFMEEVLASAKILGKMTIRFHKECCQHKGSMDAFQRLLLDEGMWTSRREEHMITCLK >Dexi4B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:4B:6231659:6235631:-1 gene:Dexi4B01G0008670 transcript:Dexi4B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVGPRFLLLSWVLFSPCLCSAFTFFSAVNGMSKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLRSVVLNKYVSAANGGGSNVTVDRDVASTWETFRLWRVSENEFQLRCLGGEFLTANSEDGFITATAREPLSTETFYIERNDRRVHIKLLNGGYVQATNDHLLISTYQLQPGWDNNLATFELVIVANNLHGDYQLANGYGYQKAKMVLEEHRTSFITARDFDFLSRHGINAVRIPVGWWISQDPYPPSPFVGGSLAALDLAFTWAKSYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPEYVSQTLEVIDFLATRYGGHPSLLGIELLNEPSAATVPLDVLVSYYMRGYQIVRNHSSTAYVILCQRIGNADPIELFQAGIGLSNVVVDLHYYNLFDPYFASLNSTQNIEIIYKIRAPQLQALKDANGPLVFIGEWVNEWDVQNASQWSIRAEVSKLPSPASIWMGNILCHHAKITWNILEDIPRALLFLL >Dexi2B01G0022680.1:cds pep primary_assembly:Fonio_CM05836:2B:32284959:32287742:1 gene:Dexi2B01G0022680 transcript:Dexi2B01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVASRPSPISVHRLCVRILPVYLLLAAVAAPWLVPPCSASFFGFPFPAPATTKRHDYRDALAKSILFFEAQRSGRLPPGQRASWRGDSGVSDGAAAGVDLEGGYYDAGDNVKFGFPMAFTTTMLAWSVLEFGDSMPRDERRHAVAAVRWATDYLLKTLAQPGVIFVQASLAALHAHRHLCCSLFMSPGTGGNAGSLKKKRGCCARTGNVMQVGDPWKDHDCWERPEDMDTERTVYNVSAGRPGSEIAGETAAALAAASMVFREADPEYAETLLAHAREAFEFADTYKGAYSDDPDLRAGGCPFYCDFNGYQEFIEGEVLALQSYKEFADSFICTLIPESSSPHITYTPGGMLYKPGGSNMQHVTSISFLLLTYAKYLSKSSHTVNCGDISVGPVTLQQQAKKQVDYLLGDNPMKMSYMIGYGDRYPQRIHHRASSLPSIKDHPQRIACKEGTPYYNSSGSNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAFLVDNPNPGHIWH >Dexi5B01G0024950.1:cds pep primary_assembly:Fonio_CM05836:5B:27036054:27036737:-1 gene:Dexi5B01G0024950 transcript:Dexi5B01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPAPPRSPETGGVEQGSGHGEAARGSCPWDDGPHRGVRSIAVTHKRFLESMRVEYADRNGRPLLGEKHGGGTHWPEPLLHTVELVFPYEFVMTGGRCTARSAGTRAPMTGSRAGSGWHLDALGLYVAALLPETLCDVVHERGLVAYLSFDLRLRRRWRHHRHQSKKKPF >Dexi2A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:2A:29324998:29325831:1 gene:Dexi2A01G0017470 transcript:Dexi2A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSFPEMLPAVITEALHTFGIAPTSNLRAEDVANPQPELLPNVLSLLLADIVGDDFDDQELGFDLLQAVDNPEHHMRAIGIRRLYRKARDFLESIYLGDLTLRDLLRPHPRRVVDILSAIVNFLHFRREKLALLQPIVNEYSGWEDRLTELRARIAEHEKKKADHVSKEQMQEPVIKLLEAEINALKQKIQEYNTQQLP >Dexi3A01G0028790.1:cds pep primary_assembly:Fonio_CM05836:3A:29711338:29715689:1 gene:Dexi3A01G0028790 transcript:Dexi3A01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGHGSMVPTRSRSRSVIKQHVQAEIALRSSSTKAGDEHKVFYLDNLDFGVINTSHRLVPRAREYTYPVLKKLVAADKNNNIGTGISSFGRTKVLRADDEVMYKRKFAVATEVEGLDTKENDTMIKSCMRVEDVASSSNAVTTPPASGSQPAIINHETPAYSNKEFSPEIDGSDVSEDYSISPEICAPNVRDSQGLTDSDDTRLRYGIEGYPDERRTDMSYWSWSTNREIEGEETMRLELAMFDHFGDYVCDDDIGCDDVCMEDNKHLETENTVVLRNRDAVMNVGGKPEWHYTTVGRSDKGKPCGSHEVVKVSGSPDALAFATPVEDDGCPEDSCNYIVKVNGIEFNAALLQPTTTIGMSPFAVDLTHPDAPFSSVLTLYKCLMETSGEDKDRTWFLHYDPIEVRLEGRAIQMMFASDVDFNKQVVTAITRLYHTMDDDIYACYAEKRCRHFLPPGFADVMLQSDQFNESTRLKDMFVGYHVTYDVGECKLILAPVCVDLDWSLYVWNFERRTIVVIDPVTMANGVEAVMNKHNGIVEKMHKGVSICKEQLFQHPQVHMADWEIEYLTVEGGHGVSSSSGLYTMFYARFFDGKTLTRILTVESKQLHLCNLLHQMLSMPGNKANLPAAIQSALDMAT >Dexi6B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:6B:19168823:19169907:-1 gene:Dexi6B01G0011830 transcript:Dexi6B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIKVNTSKVSFGDKYPYGVWGESKFFECTGFFIDNADKCPTILTSASLVRIPDGTDKIFEDLRVDCGALPNNQCIEGKLERDSFNYNVALVGIGGPLVDVNGNFVGMNYYDPKMGTSFLRCDHLCGILNYFKTKE >Dexi1B01G0023970.1:cds pep primary_assembly:Fonio_CM05836:1B:29409013:29411618:1 gene:Dexi1B01G0023970 transcript:Dexi1B01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVVSARHTHAVTNRRGRRRRMVVASSGGAGLPPKLVTFLGKGGSGKTTAAAVAAQMLLEPLDRLKKVDAQVNFTQGVLEGIVGEELGVLPGMDSISSVLALQKLLNFFSAGRSSSQPEFDVVVYDCNNTEEILRLIGATDRARLEQSTKLIEPKHKGTIDEYIHGYRGGSELLIEAGDQRRVIKLPPTMQGKVGGAKFVDRNLIVSIR >Dexi2A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:2A:23446736:23447403:-1 gene:Dexi2A01G0014270 transcript:Dexi2A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNASALQMATVAGCILLFLAASMAPRPVMADCAGACADACEKYAQALCSGFNADKCDKPLPAGTASACHEVNDG >Dexi2A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:2A:1709161:1710720:1 gene:Dexi2A01G0002220 transcript:Dexi2A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAQGPPVAEEEAGETRKLFVGGVPSSAQEAELRAHFARFGEVRSVVVMRDRETGHGRGFGFVEFEDEAAAAAALGDGDKPRHFICGRMVDVKRARTRAPRNQGGEHDSHPQLPEHGQGHQDNQPPTGNGVADSGGSNMTYDSKKVFVGGLRDNITEEEFKAYFETFGTVTDVVVIYDSMTSRSRGFGFVTFDSEEAVGKVMRQSFHILNGTKVEAKIAIPKDEQYYRNGRGRGARPFGGRGPAGYEGSGYQPYNPRYGLYNGYMPQPVPAQPFFPAPYFAVGGYPYGSGYPGQGAMPNVPGMMSRRAPPAYGAYPQMYPGFNYVYRAGFGDAAASFQHGMNGGSDNKKDQMNVDMQQVDSTASVASMLEHMKLGSQ >Dexi1A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:1A:24612220:24612651:1 gene:Dexi1A01G0017260 transcript:Dexi1A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDPKDAAPAEEEERPAAPAAKVKVLFFARARDLTGVAESSVEVPAGGTAGECLARVLAQFPKLEEIRGSMVLALNEEYAPDSAKVADGDELAVIPPISGG >Dexi1A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:1A:26711592:26713137:1 gene:Dexi1A01G0019680 transcript:Dexi1A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACTLVSRQLPICNAQSYADELWVPAPQSPASVQSRLLAAEREEAKAVLSLFLRQKGLRNTLAARIVNKSDGFIEHLVSKLQIAYRSRYAEGRELSTPEIRDALLPYLEALSREHGDSLVEVVENFADPFSMERETLSSMVLTPTSSNKQKAVARISAPTSGGALPELVVYLLDLGMDHEEIKNIVRKFPAFAYYNVDRKIKPLVQLLLDLGVSRSSIPGIIRKRPQLCGISMSDNLKPMMAYLENIGVNKAQWSKVITRFPAFLTYSRNKVETTVSFLTELGVSKKNIGKILIRCPHIMSYSVDDNLRPTAKYFRSIGADAASLIQKSPQAFGLNVEAKLKPITEFFLAREFSIEEIGIMANRFGIIHTLSLDENLLPKYEFFLTMKYPRGELVKFPQYFGYSLEQRIKPRYARMTSSGVRLILNQMLSVSDVRFEEILEKKKTRVRRYDSFSKQS >Dexi2A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:2A:30298613:30298924:1 gene:Dexi2A01G0018240 transcript:Dexi2A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi9A01G0045690.1:cds pep primary_assembly:Fonio_CM05836:9A:49223174:49223605:1 gene:Dexi9A01G0045690 transcript:Dexi9A01G0045690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLVLAPLLLATFLLAATVEAQNSTAPPPPPPAASTPPPSPPVVSPPPPPPATNWTPVADVNDPTIQQVAQFAVRIYALSTTQLRMQLLNVISGETQPYNGGYNYRLVTTVTGGKKTQYEAFVWGILGTMSWKLWSFTAIY >Dexi1B01G0028490.1:cds pep primary_assembly:Fonio_CM05836:1B:33005252:33008163:1 gene:Dexi1B01G0028490 transcript:Dexi1B01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDGKDGETGGSYPLVAVCIDKDKNSQNALNKFLSRRRAPATFVCVLTAAGVRARGRAGGVEDVAGYKQPTDPQMKDLFLPFRCFCTRKDIQCKDVVLDDHDVAKSIVEFAAHAAIDKLVVGATSRGGFVRFKAEICSSISKTAPDFCTVYVVTKGGKVTSVRQAIRQAPAVSPLRTMIQGPKPDHAHAQKWTPPPPSRGDAALAPPMVQDNPMPIMSPFARTGMGAGSTRKAFPEFSLPESSDISFIGAAPRRSTERYPPRLSSGSDGLDQHSFESRTPSRWGDSFGNDSTSHSQTSTSSWCSQPTDDMEAEMKRLRLELKQTMDMYSTACKEALRAKQKAMELQRWKVEEEQRSQETRLTEESAMALIEQEKAKARAAIEAAEAAQRLADLEAQKRMGAEMKALKEAEERLRSMGGNGGAARESARYRRYTIEEIEVGTDHFNDARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGAGMPVIPWQHRFRIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARSPMGLTHHVGRALERGTFADLLDPAVHDWPLEEAHRFAEVSLRCCELRRKDRPDLATVVLPELNRLRALGEDNMDMCNPMSGRGGMYSSSGFHYSQTRHDAASDPMVVGRPHHSSNGSQAAMPTRRSNYN >Dexi3B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:3B:15452576:15456642:1 gene:Dexi3B01G0020480 transcript:Dexi3B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGAGATDEIPEVEMEVVERPPEEPGRVGSKRVWDSPSALGSYGFYGVTTAEKKKLNKAGNVNHVHMNSDDASKVRSRYLHGTHGLESPDSDALRFSSSSPGENPENKTKRATAICTSYAQGRCNKGNSCTFLHAREGPGSAKAGLLAPAGSEIHRGSEEASQVHHQSNLKVPQFKDAEGSSKDELYRSLIHVYGEDNERLTHLADRHSTIPGVLQGLPSSVDDSLTRRSTAPINGLVQSLVHEQNHKSFMGRYIGLPAETYVDGRGIVQPEIRDSKCRITAPLRLELALDVDGSLSLMTHQSG >Dexi9A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:9A:15603776:15607842:1 gene:Dexi9A01G0020560 transcript:Dexi9A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGAAAVATATVSTMPAIMAAAPFPSRPGNLILSSSSSPRWAFLPARVPRLAMRSKRIAMGRKLCVTPGRRPEGVVSAASAAGSQQFSVGENENPYEVELISLHTAYDLGISSLDGFDQVKIAYKRKRKDADSSCNWEYLVKLERAYDMVMMDHLLNRKKGVAYGSIQVSKDIRFADYQPIVPWGPRYSRSTVKDLQINMAISAAFIMCISTLGHADWKPLQFLCFAYFYRILEKLKSTESPIAPIYIEYGEVEGRGVHMAKRVLRSLGLVIRSILAATLV >Dexi3A01G0033860.1:cds pep primary_assembly:Fonio_CM05836:3A:38877199:38880553:1 gene:Dexi3A01G0033860 transcript:Dexi3A01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGFANFVGSHFWNFQDELLGLADDPGADPVFRNAALDMDALYRAGETQQGVATYCPRLVSVGSRGSLGSLSSSGTLGSSSAAAGHPNILTWQVSIACVMSYPNYCRSGNVTKSVAKPHDRNLFLQSLSEEEQNTSSSNDRSNAKKSVEDKDLVESLENGVKFWTDYSKVQYHPQSLYELYGSWTNFDKFDNYGTAREVVSEWSQMEELNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQYLENIADDYTNTPFPFNLAFAGYLSPLLSIKDEKYFYSSAIFAAAIHSLSVPFRLQHVGPSSELAHSSGNLDIGELMHMLSDQGRQNMVTALDVAMPAPSLTDRTDLRNIQRSLLCLTPEISDEDEDPYAVESLVFHGALGAEAKLLNLHFVDTGGQRASISQVKDTVCSALEGRATKPKFSHLSVSPCPLPVPLPFPSIFSSSIGQHGEILSNDHAEGTRRKGSLDVVSVPMAARLRSSNAIVPFIERRSTSLQRLGMARGALGSQVLRDWGFGREEVEDMGEHLAKMLRPFYPEMDLTSDSD >DexiUA01G0009000.1:cds pep primary_assembly:Fonio_CM05836:UA:17103511:17104133:-1 gene:DexiUA01G0009000 transcript:DexiUA01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTHINFNRHYSVPFAANLCASAAFFALLSAAPISVAVPVTNAVTFAATAVAAAVLGERVRVGPAALGTALIVIGVWVCIS >Dexi1B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:1B:24922166:24925831:1 gene:Dexi1B01G0018740 transcript:Dexi1B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQRRNAERNQKSKGSQLEARAEGLKVVCPICKTEFYFRENNLPHDGFLRRTVEESEDGCI >Dexi3B01G0038280.1:cds pep primary_assembly:Fonio_CM05836:3B:41065023:41069204:1 gene:Dexi3B01G0038280 transcript:Dexi3B01G0038280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSVGSLALRSAASYLRRHCPPPPPPLPLAATAPTPIRRPLDPPCRHFAAPPGTQVNKKGGKEDDDDKAGLRINNAITSPFVRLVTDEGHDVVPRHEALQRAARMDMDLVEVDRKANPPVCKIMDFHKEKYKKETKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLSVKADAITRLMERGYRVKCMAMPSGNEGEDLGAPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHIKFATKKGGKKASKAMEDAGKGTHGTAPVAGNDNEDETIESAGEADDRRAISEHKTASHSSVQKEGQDRGFKRELKPNPGANREKLHNANAGGGRMNPGQWAPQGSERRLGDINPGMERQDNSTQDQGPGETNRYAARRQPMRGDNSRGFNQGRPLQDGRSENVGRYDNQRPPLEQQPNRAMPKFNKGGLPQDPRNDRRGQFTSNNNNQRQPAGGDSNQTSKSFGIFSSSTPKPASSEQGKTGGGGTTSKPGNADSPKSFGIFSSRK >Dexi5B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:5B:10314058:10315269:1 gene:Dexi5B01G0013990 transcript:Dexi5B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFEFLPMEDDNADATASQQQLVELAGMEAGREYELEVSNYAGFRRYRISDVLRVTGFHNVAPQFRYVCRRNVVLSVGVKKTGEAELQRVGATPANGCTAPSCWTSPRRRAERAEDGAPHARTACGGGGGAATGRPPRSRRRQNLLISPSSPVSTSNSPILRMASMNFAAHCA >Dexi9B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:9B:14983798:14985857:1 gene:Dexi9B01G0020220 transcript:Dexi9B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSVGIERDIASLQQEEKKLVAEIKRTAKTGNEAATKILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVATGLQSASKAMGALNKQMEPAKQMKIMQEFQKQSAQMDMTNQMMSDSIDDALDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKVQVDES >Dexi5A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:5A:2802822:2803100:1 gene:Dexi5A01G0003660 transcript:Dexi5A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGLVGHEMYSGASSYFFPPGGLVPADGNNGAVLEFPPAAVAEADFFLPEIMGARGDRPHDYYCSPPAQVFAANNVGGGAPENDMTMNM >Dexi9A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:9A:3680777:3682355:1 gene:Dexi9A01G0006440 transcript:Dexi9A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVARASAATSAQLRPCPRPRRNRSLPSSSVLRRPGRRRLACSASAADADVVDLFDAAKLTVDKFVKSGMVVGLGSGPASALAVQYLGTRLRRGSLTDIVAVTSSVLSASEADKAGIRANSYQEGIPIDFAFTDAEVIEEGTLAAVIGRRKIESGEPSFMLEKAMVKSADKLAFITGNDKYLTGVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSFGTAGPLGGDHPLVTKEGHHVLDVIFTTPIPDLGQVAEKLDKIAGVVDHGIICSDQ >Dexi3A01G0035300.1:cds pep primary_assembly:Fonio_CM05836:3A:40643053:40643809:1 gene:Dexi3A01G0035300 transcript:Dexi3A01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHPTEPPPPSGRRITAAATQEPTSILDASDDLLRLILLRLDSPLWLVRAACACRQFRRAVVSGGRAFLRLAASLHPPAVVGYYDSDRRGHERLEFVPWSPTTPPLAPAHFSLDFLTQHLTTTISDWEVADCHGGLVLLLRSRSDSTLDLIVCDPLMRRHKRIRRPPHEKLPASHYYVDTFLLDGDGGDDITVSNFRVLYRGRQTMTTTIQGMSSAGSTELSTSA >Dexi3A01G0032590.1:cds pep primary_assembly:Fonio_CM05836:3A:37453338:37454130:-1 gene:Dexi3A01G0032590 transcript:Dexi3A01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFPVITTAKKKMPSLQKALPPELADNVLRLYRECLRRAKFIGHQKHNTELLVTMVRQQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKITGRKFSG >Dexi9B01G0020760.1:cds pep primary_assembly:Fonio_CM05836:9B:15458191:15459175:1 gene:Dexi9B01G0020760 transcript:Dexi9B01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGVVDISSDEEDFLIGDPLDPAGWTADLFDVDDNANGEDLDDLMIMSEISAPPLLQQIAKRDDLVVMSELSSPPVLQKKASANADDGCDEDDDDCVVLDGDPDKVVTVAGEEGSAGDGSSDELQIVAEKGPIACRDFPHSRHLCSNLPFSTTSHVKHCIMVMPLFCM >Dexi6A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:6A:21061974:21062478:1 gene:Dexi6A01G0013770 transcript:Dexi6A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGVSQGCHMSSSSPPGTFYSRARGSTARWIGASMVVGSPNLQFPTKKRWSLASMGCGGSCGVRKKDEREREEVADHRPEAARHRPEAASAVARWGPEEPTGASSAWDSPTVAYPSRGRPPSPRPALAGEVWET >Dexi5B01G0026130.1:cds pep primary_assembly:Fonio_CM05836:5B:27961008:27963148:-1 gene:Dexi5B01G0026130 transcript:Dexi5B01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVHSVQPVSAATACSCAALPSPTAAAEHEHADGLLFDDLVLGSGEDVKNNADADNNEASAQKLEWLRSQIIGAEAEFASPFGARRITYADHTASGRCLRFAEEFVLRNVLPYYGNTHTTDSYVVLHTSKLAGDAARYVKRSLGTGPRDMLLFCGTGCTAAIKRLQEVTGMAVPPTLRAAALAALPPPDRWVVFVGPYEHHSNLLTWRESLAEVVEVGLRPGDGLLDLAALEAALETHALSGRPMLGAFSACSNVNGLRTDTRAVARLLHAHGAYACFDFACSAPYVRIDMRSGEDDGYDAVFLSPHKFLGGPGSPGVLAMASRLYRLRRTAPSTSGGGTVLYVSAYGDAVYSDDAEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEASEARMLALALRRVRADANPNLRLLLGAADDDHHASAPRLPVLSFVVYTPTHRQEDAELDDGGRRGGSTEQRLQLHCRFVTKLLNDLFGVQARAGCACAGPYGHRLLGISPERAKAIRSAVEQGYYGVRPGWTRVSLAYYTSMEEAEFVLDAVDFVASFGHRFLPLYTFDWKTGDWCYDPSRARGLVPNNVGNGSVAPSGRVKAENGYRSYMAFAHRLADSLAAPCSDLDSTSARGSIPKSVEPQLVYFLV >Dexi9B01G0034100.1:cds pep primary_assembly:Fonio_CM05836:9B:36161981:36163448:1 gene:Dexi9B01G0034100 transcript:Dexi9B01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQVPAQASSHSSPPATPQPPPPRLRQATRKQQEKDTQHREREPAAPPPPLAMAAADENEDLIRAFAGMGGLGVDEAAQVSAMGRWRMQPERRAQFRRSFHGFFFSASGGASAGIERCEDEYLRHLEGEFARLKDAAVLWAMHPWERDARWAHHALHHGGAPAGVALVEVACTRAADDLLGARRAYQALHHRSLEENVAYLHKDPAAALLVGLVTAYRYEGERVSEELAAEEAKALAAAVVKASPAAKLVQNEQVVRILATRSKPQLRATFKIYKDLHGKPLEDDFAGEPCLQGAVKCLDSPPKYFAEVIGRAFRDDADRQAKAALTRVVVSRADVDMEEIKDAYAKQHGAKLVDAVASNTHGHYKDALLAMIGR >Dexi3A01G0030450.1:cds pep primary_assembly:Fonio_CM05836:3A:34458549:34458952:-1 gene:Dexi3A01G0030450 transcript:Dexi3A01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRERGVGAAAASGSASPSSSSGAPVAAAASGERWSAAIGNLGELGTNVDALQKLLSRKAVFVDEDIFSKASLAADQARTIKS >Dexi9A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:9A:7057087:7057752:1 gene:Dexi9A01G0011320 transcript:Dexi9A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQLHCSTPTISPPAARPRRLRIACGALPHPAAAPARALGHGHPPRLVGVGDARADRAVWRVRRRLRYDDEDEEDDEEWGHNEDVARMERYTEDARDQALLVKARVDDEVELVLVFKGFSSSLSGGTAADPARSILPERAIIQSVDVVKGPFDPNNIEYLEKGLKWDDFKSRLQ >Dexi3B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:3B:5820589:5820891:-1 gene:Dexi3B01G0008260 transcript:Dexi3B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAFCLALLLRMEVVKLRSISGMAKLAGVALCLAGVFVLAFYTGPALSPVNPHRAFAVAHSSNKIHSRMTWIKGTFFMVHAYLC >Dexi9B01G0027990.1:cds pep primary_assembly:Fonio_CM05836:9B:30556016:30568151:1 gene:Dexi9B01G0027990 transcript:Dexi9B01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPHRSSAQAGRDFQAKEWRELAVAQRVIGLKQSSLGHWAWCITERLLLQGNQEKMAEIFDKMKVEFVDQDESVQAVADNIRDTGVVPERETLDKYSLELVNLSVQLFKFMANNLGVNQEALLGTFKGLPQSMRINHYPSCSQADKVLGLSPHTDGVGMTFLLQVNDVEGLQIKKDDKWFSVKAIPGAFVVNIGDVLEILTNGKYKSIEHRAVINPTKERITIATFLSVQLGCMIGPLQELLKAGEARYKTLDSIEFTKGYFAAKLEGRSLPLTLLYSSMFEEKASEFYKLINHGVPDEVISNLKKDIAEFFKLPLETKKAYSQLPNNIEGYGQVFVVSEKQKLDWADMFYLVARPNEARDMRFWPAHPPSFRYSSETAEVARCLLEFMAKDMGAHPASLLHMFQGQPQGLRMNYYPPCRQANKVLGMSPHTDAAGLTLLLQVNDMPGLQIRRDGKWFTVDAVEGAFIVNVGDVLEVMIVSNGKYRSVEHRAVVHPDRERITAAVFHRPCQDAVVGPLPELLKDGNRKAKYRSVGYMEFMRRYYSAKLDGRNHLESTKN >Dexi6B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:6B:21932541:21933881:1 gene:Dexi6B01G0014380 transcript:Dexi6B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHHLLLLHLLYLAVVLTATHGSIDFRADLNHPYAGSSLSKDEFITIAARASNARAARINARLARILGQGLPAVDVPLTPLSDQGHSLTVGIGTPPQPRTLIVDTGSDLIWTQCQLLFRRTAARQRGEPPLYDPRRSSSFAFLPCSSRLCQEGQCLYDDVYGSAEADGVLASETFTFGVHTKVVSLHLGFGCGALSAGSLVGASGIMGLSPGTMSLISQLSVPRFSYCLTPFADRAPSPMFFGAMADLHKYKTTGAIQTTSILSNPAMETAYYYVPLVGLSLGAKRLAVSAAALAIKPDGSGGTIVDSGSTISYIVEKAFKVLKKAVLKTVRLPVVNGTVEDYELCFALPRGVAMSSVKTPPLVLHFEGGAAMVLTKDNYFQEVRAGLMCLAVGSSPDDFGVSIIGNVQQQNMHVLFDVRNQKFSFAPTQCDSI >Dexi9A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:9A:5399419:5400634:1 gene:Dexi9A01G0009120 transcript:Dexi9A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHGIGFRKVDPDRWEFANEGFLRGQRDLLKTIRRRRPPSSPSAQQGQAQVTAPAACLEVGQFGREGEVDRLQRDKGILLAEVVKLRQEQQATRAQMQAMEERITTADQKQQQMTVFLARAMKNPGFLRMLVDRQGRRQRELEYELSKKRRRPIEYFPRDGEGSTSSSAAAEVAVGDYISGLPVGVDAVTEHVDGEGRRVQSGGGGEDTESFWVELLSLGLEEKRREGGGGEEEVAGADVDNDVDDDVDVLVQSIYHLNPNPGSPSGK >Dexi6B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:6B:26837388:26843940:1 gene:Dexi6B01G0020380 transcript:Dexi6B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNGFPIVEAFGSFTMDLFTPKSDLDLSVNFNNDTKDLNGHTMHAIEKRFLYFISKGTLEEIGGFSPDGAMAALALDGKKSQGVQWELRRLRTEAEERALAILRERKAKAAASGGDAVRKLPVVDSDWYDADYLREILEGIELCIEEVAPPSVASLTLNLSWPPNHPLWSRPVAAFVTNCHQRLILLCFGEYCPCNFYVVYDTSANSVAIVPPLPHMPRYYSDIGGSNGGVAVLCLDDGGFLLTELFRHRDGNTLLLTDKATLFTWVSYKWEQREVALPLPLLAAEDDDEPFCADTVFPVGSHNSLAWVDLLKGILIYCHSDKRFEFIELPSDADAKLTRKRNCPERYRSMCCFNGGDTFKFAAMDGFNKGDSPLAEVVLKIWTLSLVYDKDGIRQWRPSTSVRIGDFWCNQLYKDVSFIPTFPVLSTVDHGIIYVTVTDYKYSREHAMWEPTKFYVLSLGMRLCKVVSSFRIPDRGGFVADQRIITSHFTNYLNQARRPRGDEYEQCRPRGEEVADEEEARSNPDAWTSLWNSSGKQHSIRSFLVCNAGRMRTVN >Dexi5A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:5A:926536:927648:-1 gene:Dexi5A01G0001360 transcript:Dexi5A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEHAAGTSDEFKKSASSSSLPLLVFQHWSSDNHDGEHEHEHEMLMFSLSQKRLLPAADMEPALVAAGHGGHMCWTTPQGWVLVKSPPDSSSSSSSATSPTWLWNPRTGGKISLPDVEEEHDGIPISCKCLLTYNDVTHPDCFVVLFDYMEPTMWYCKVTAGDGRRRGWRRYTYDVGEYEVPPRTPTKDVVSSIAAVQGELFFIDSAREMCAITLSSACDDDPEFLYFDVSMVRFPGGMCSGRTWLVESDDELFLVCVCFVGFDADNIGAVNVHRMDFSTEAWCRVHDVGDVVFLLEDANMGASCPASPLGLKPNQVYFIKNFMADDGDLCVFDIGLEIQEITQVHQHDDLPLYRKPFRILPPSMLAE >Dexi5B01G0031380.1:cds pep primary_assembly:Fonio_CM05836:5B:32155884:32158079:1 gene:Dexi5B01G0031380 transcript:Dexi5B01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSRLLFLLSCLALALIAGAEEHHHEFIIQETPVKRLCKTHNIVTVNGQFPGPTLEVREGDTLVINVVNKAQYDITIHWHGIRQLRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPREGKEYPFAKPSREVPLILGEWWNANPVDVIREAQRTGGAPNVSDALTINGQPGDFFKCSEKGTTTAVPVKPGETALLRFINGALNHELFVTIAQHKMTVVGVDASYTKPFTTEVLMIAPGQTTDVLVTMDQAPTRYYIAARAYVSGQNVGFDNTTTTAVIEYDCGCASDFGPKIQPAFPALPAFNDTATATAFSAGIKSPDKVKVHENVDEYLFFTVGLGLFNCKPGELCAGPNNNTRFTASMNNVSFVFPKTDSLLHAHYYKIPGVFTTDFPARPPVQFDYTAKNVSQALWQPVPATKLYPLRFGSVVQLVLQDTSIVTPENHPIHLHGYDFLILAQGFGNFDPKKDVEKFNYVDPPQRNTVAVPVNGWAVIQFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGELQSLEAPPVDLPMCS >Dexi6B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:6B:2729240:2731081:1 gene:Dexi6B01G0003320 transcript:Dexi6B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGRRAKKDFENYCQTPGDDVEEKLKIVMMEIGMMFDLLYTKAMVLQRLTGRIFRCAAQISMVVAFVLFLIAERQQVHNQNRANIAVSYTLFVGAIFMEVCSIATEITSPWTRAHLKENSFLHQLFSSSSNKAFCDMKAIIQCKNNNVQWLSSCQYCSMGQFNFTDFSISHASTSTVTCKVVSALGLRKQWGNIWFMKRVEAHGIARYFVEWFDSKSPEERFRPLRLRRRLNYTLCLPFEHALYRLHIYTDLHISRNFDNVPDKSSSHADDVMLLKEECEKLSNYMCYLKSEYPSMLPISSEVRDGTVEPEAQRWKKNCGRLRIVEHQAGEWYNPSEPDSACPFEPVGESEADLKQSLEEIREMWMRLLIYAAGKCNGEQHARQLSKGGELLTFVWLLMLHHGLGDAATEVKLLTSDDPNIAELGSVVAAEGANFARRPEEPRYAFDFRQRQRSTWEADQRQQLVQLASTLQHVVPQVLAQGMMENGQELISELIQQLLSGDSKDHSNEAPAGASPERDQLQLARQIEHTLPQLLAKVIQVNATEVIDQINKQFLSGERQDSTSSEAEKEDDTPQMSDKEEETREGTPLEIVDEEVVSSEVEREQHQPVED >Dexi3B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:3B:3261298:3262850:-1 gene:Dexi3B01G0004860 transcript:Dexi3B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSGKGSAGRILLQHRALAAAARRVDRISSLPDDLLHLVLRRLDTRSALGTGVLSRRWAHLPRELDALDLRLADMLPPRYHRWVHLYNDACSKGTLRHAVRLQIVHSIRRYERRAMRAFASSTVTSFLEGPRRNVKKLRLEFVVTGNTGCVNAFVAEAMDAWGVEDLEVVAKSTFTRRDGIHLFPSHGLCEQPRVSCLRSLKLGGCTLPSLHEYSRLSVLILKGIPKSTPAAAYEGIFTLCLQLRVFHLISCGCIALTLVADAPNSEIRELVLEDCIFGRLWLRALPCLERLASGCGVVLESSSSFPCLKQWNLTMHLGIEGQGQHLKLELDTFLEWTPDNITDLVLRLTGPYRWVVPSSSPSALLPHLRRLLVADWARAWGRKDWPPTKLGRHRHLKEFVVAGYGGTTRQVYLAKLVVGACTALQLVAMFKNGYAREDKGRWGWEMASQQRHLWTSEEKEKVLKQVVDTVPSSTTPVQVVLG >Dexi6A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:6A:28561394:28562657:1 gene:Dexi6A01G0021240 transcript:Dexi6A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKPYRVF >Dexi5B01G0031960.1:cds pep primary_assembly:Fonio_CM05836:5B:32609934:32610809:1 gene:Dexi5B01G0031960 transcript:Dexi5B01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCRAAACAALAFPSTAAAAATTTPLPPTVSMNPRARHRTKTTTGAVVAAPHASGGAVLERPEFDQSQLDTLPATQEGGDPGKLKDGRRSGSGDSYKVLLVDDVRHTEKHVEKALPQVVPSITAEAARKLFHESRLRGVAVVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAI >Dexi4B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:4B:10195805:10197200:1 gene:Dexi4B01G0012390 transcript:Dexi4B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQHGMGIIMTLLLLATLFFSGARAAAHDQATPVLHPVILVPGYSCSQFDARLIGEYEPPTPACGVPKQGREWFRLWENYTELQENPTLLPCYQDQLRLVYDHAAGDYCNVPGVETRVVSFGTTRSFVFDDPVQKNVCMARLVEALEGVGYKEGSNLFGAPYDFRYAPAAPNVAARAFAGFRSRLTRLIERASEMNGKKPVIIVTHSFGGDYAMEFLNRSPLPWRRSYVKHFVMLCGVIGGTPLMMEVVASTMGSSPAPTTLLGSVLSLGNRSFESMYNFLPSPVVYGDTPLVITRAKNYSAENMPEFLTAVGFSGEEIARYRTRALPLTLNFRAPLVPMTCINGIGVPTTYGLVYWDGNFTEKPQVVNGDGDGGVTLENVLAMKRLVGGDPAQPYFKSVLVPNTTHSGMISDDSALRVVVNEILKANQATS >Dexi2B01G0022270.1:cds pep primary_assembly:Fonio_CM05836:2B:31915026:31916033:1 gene:Dexi2B01G0022270 transcript:Dexi2B01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRTRAGPALPGVATRFTTTAADTQRLAGKVAVITGAASGIGAATAREFVRNGAKVVLADVQDDLGHALAAELGASDADAACYTRCDVTDEAQVAAAVDLAVARHGRLDVVLNNAGTVGSSSSSLSCPSLRALDLADLDRVMAVNARGVAAGVKHAARAMVPRRRGSIICMASVAGVLGGGGTPHAYSVSKAAVLGLVRAAAGELARSGVRVNAISPSYIATPLVMRMMEEWYPETSADERRRAVEEGANEMEGAVLEVEDVARAALYLASDESRYVNGHNLVVDGGYTVWKAPNMPASAT >Dexi9B01G0027410.1:cds pep primary_assembly:Fonio_CM05836:9B:29861899:29864106:-1 gene:Dexi9B01G0027410 transcript:Dexi9B01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRVRKVQEEMDLWDNFNSGLLTNFNRATLVIGRLKTKFVSQLMFELLKPCALHLTQIHHSAYEYGAASQDLSEYSGGVWQTCCSEAAIEI >Dexi3B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:3B:15430345:15432464:-1 gene:Dexi3B01G0020450 transcript:Dexi3B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLVRASHAPLRRLISSFSFSSPRSLSPRLPYVISSGGAGSLPPLILPLCAFSSPSRDSACGSSRGAQRGVGFCARAVDVSDEAPSTSAAAGYDLSAPYLSVRIRCLKQDAEVLSEALLCFGATSVTVDDIADAGNLDEISITSIYADGEDVNSSVSSAASSAGLNYSPVYEISVGKQCDWVATMQETYKPTEVADGLWVVPKWGTPPDPQATNIIINPGLAFGAGEHPTTKLCLHFLLEVIKGGEHVLDYGTGTGVLGIAALKMGAAVATGIDIDPQAIISASENLLLNGLHPNQMPVYLVSTSAQPSSLPNPVDESGENKLINNLDLKSSRGTYDVVAANILLNPLLELVEDIVGYAKAGGIVAVSGILVEQVPKVKQIYSMYLDSILVSEMDGWACLQGTRRVAANSFSASQRAFDHTIF >Dexi5B01G0027630.1:cds pep primary_assembly:Fonio_CM05836:5B:29190930:29193342:-1 gene:Dexi5B01G0027630 transcript:Dexi5B01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEELRLELDELRQLEGLAKRPRVQSLLANEIRNVEAKLAKATAPAPEPQAAASAPPARPGLNYVTLGSFSWDQDTDKIRIYVFLEGVEQEKVETDFKPMSVDIKLHDVKGKNYRCAIPKLNKEIVPEKCKVLVKPSKVVITLCKASKGNWVDLYYKEDKFKPSMDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTTDSLTGLR >Dexi2B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:2B:9427358:9435585:-1 gene:Dexi2B01G0008700 transcript:Dexi2B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPASWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNSFALDSKSTIGVEFATRTLQVENKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVVMLIGNKIDLRHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRVISKKPLSSEESRSGSSNIREGQSIQVSASNSSTLTSRCCSS >Dexi5A01G0035320.1:cds pep primary_assembly:Fonio_CM05836:5A:37134056:37138916:1 gene:Dexi5A01G0035320 transcript:Dexi5A01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGIVICAARAEETPDRNGAGPRDDLGQSQMQVDGPVVLNRSAELESCDSMAIDDAPAQAPSSQPTAAATQQSPATLTDIVVEVQKQLKRKRASNGPAIATADKDALVAGCRQELEGLFQYYREVSDRKMQFDGGNLSGNALVGCLLEESSLGLTKLVDEIYEKMKGLEGVSTASVRSSVLLVGQRMMYGNSSPDADVLEDESESALWCWEIRDLKLMPVKARSILSTRRSVRKKIHERITAIYSTLSVLENPGIETQVNDLRKASLKLNKSLNLEGIRSMLERVTQKNNIERGVRDAGSTAQELVQDTEKNEQNVSRLDDARVTELQNGNLPANEKEIQKVQKQLEKETKRQEKEEAQMRKLQKKQQEEALREQKRREKEEAEAKKQQKKQEEEALKEQKRREKEEAELKKQQKKQQEEAEKEQKRCEKEAAQLKKQQAIQKQASMMERFFKSKKDSGKPQSPVDNVSVDDPIGNKGAVSATTSKIDSSLSQQGNWVLDDLRRLQVTGWKKLSSYNRSSRWGIRRKPKVEAFKELKLQKSSDDMVDEILSTPNEDNCQNSSQENEHDKLESDIDMLPASEMQCHGTSNAKPLQTRLIRRKLLQFDKSNRPAYYGTWRKKSSVVGPRRPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDADEVMEEDSKITDEEDEDSFVVPDGYLSDNEGIQIENILDDKDEEASSSPTGQCTEVEEFRSLLRQQKVLNTLTEQALRKSQPLVISNLNHEKAELLSAEDLKGTAKVEQLCLQVLSMRICPGGGAVDVPTIDNTFASADEINQSNVKNGSPTSASGIPETELPEIVQVIRSCRDGIHKVVELLQQKFPNVSKSQLNRKVRDISDFVDNHWKVKKDILDKLGLDSSPVKSKKNKNIAMYFSKRCLPPEEAVNALASSPELRLKSKTIQNGATEVPQINLFPSPK >Dexi5B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:5B:11632107:11636911:1 gene:Dexi5B01G0014780 transcript:Dexi5B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEQEYVRNSRGVQLFTCGWLPAAAAPKALVFLCHGYGMECSGFMRECGLRLAAAGYGVFGIDYEGHGKSMGARCYIRSFRRLVDDCYHFFKSICELEEYRSKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVITLLTQVEDVIPKWKIVPTKDVIDAAFKDPAKREKIRRNKLIYQDKPRLKTALEMLRTSMYIEDSLSQVKLPFFVLHGEADTVTDPDVSRALYESAASSDKTIKLYPGMWHGLTAGEPDENVEAIFSDIVSWLNERSRSWTMEDRLRKLMPVTGDRQVRRRRERR >Dexi5A01G0023430.1:cds pep primary_assembly:Fonio_CM05836:5A:27442023:27445199:-1 gene:Dexi5A01G0023430 transcript:Dexi5A01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSSDATSFPSKPAASSSFLSGFVRAVGAPSPAPAQAQAEPPKRPPPPLERPSTSRHRSRSPPPSRPRARSRSRSPSRSSRRRSRTRSRSRERRRRSRSRERDRRASRRRSRSRSRSPSRRSGRSSHSEGRRDRHGDRRHDDGRESSKSRGGRDGGKVDCSRLIEGYDRMTPAERVKAKMKLQLSDTSAKDSTLGTATVRWERFEFNKDAPLDEDSDDVEVANDDASLVKNIGKSFRLSAVESKHGDKVRDAHEEAIFGVPTTSFVNTEATEDELKANDEEEKAEDIEAQPSSSLISDKVLAMQKGSWRERAQKLRQDSNT >Dexi4B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:4B:9392939:9393178:-1 gene:Dexi4B01G0011860 transcript:Dexi4B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPHQIWEEEGPRWRRRASHRKLHLQEPLRAESSTCTRSCRRAHTISGRRRGRGGGAVARRLGLRTPLRLGLRTPTR >Dexi7B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:7B:1701921:1704349:-1 gene:Dexi7B01G0000890 transcript:Dexi7B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGGAGAGSSSGGSGSGGGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALQMAGMAMPAYAAYIARLQSLAASASAYKMNFGMAANSPLQQQLLANANWPYGLAAGRYGMQSSGWPFGNSNANQFPGVPKDWRNGDWLCSCGFHNYSSRTQCKECNAPVPSGIPSTTMKATSDASSTLGTKRLASEELANDWDNKRLNPGNDNYPLSTGGSNNLFLGIEQGPGSSNGQAAFSKFDNGTSMGLPSGQAMSGLMGKGYKTTTSS >Dexi9A01G0038490.1:cds pep primary_assembly:Fonio_CM05836:9A:42694933:42701129:-1 gene:Dexi9A01G0038490 transcript:Dexi9A01G0038490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSRYSKHRRIGEDEEEEEAEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAESVSSFENLYMIKRELKHGKRVYSMEYHFMKSAKGKNTYWEDDTHSMQLSFGVDEFLMIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPARVKVHLSMKLTYRTPEYDYDNETLDSEATESLVENEVANHPKKQWDDDCPWAEWYSAEDPVKGFELTAIWGERTFEETLEMAEVENASSFDADSWFLYPVVSQYMVDDSIGKFVGFASQLHLLVNAYESSAEAQFLEDFVADTSGQDNSKSTTTVPPPSVIDRVMKDLFSDEAGNSNFMESENKYSRAMRGAPADSLFGQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKISSSIDLSSCLIHQKLQMLAICIERKKSLNREKSVGHKAETSNAAAVIKTRKGSAGVVPKMMLLNTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAIGLSGQLERDILSSGKMFWEQHDITFITQ >Dexi1B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:1B:22741163:22743144:-1 gene:Dexi1B01G0016200 transcript:Dexi1B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVHGIVIVGGGICGLATALALHRKGIPSLVLEKSENLRAAGGSIGVHVNGWRALDQLGITGELRETADNVTEFHDVWQHENNKSVVVPVRGELRWVKRKDLIETMAKNIPSGAIRFGCHIADIKPANPGSHGAILTTLDGSIIRAKALIGCDGGNSVVAKYLGLSPPKSFPRLIVRGFTRYPHGHPFGPHFLRLRCNGLFVGRSPMTENLVNFFVGVWHPGGSVTKDPIAVRGLVLEKVKEQCSDEIVEMVHDVDTESLIVLTKIWYRPPWQVMFSSFRRGTATIAGDAMHVMGSYIGQGGSAAMEDAIVLARSLSRAAGSGGGGGELCEKKIGAAMAEYVRERRLRVVRLSLESFAMGMIWATKSMLAKLACQAIVAVLGTHSLGHTNYDCGRL >Dexi5A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:5A:23431100:23431718:-1 gene:Dexi5A01G0019460 transcript:Dexi5A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVSVHLTKVAQAHAHVAAADCVAALKRQQEILKKASEGSTHKARQEMLKGVAHDLVKAAADIALKLLQLPGLKLAAVGLEGKLKRARAPVSVQAAMPVVALVQMPTLGLEAMQVFLEA >Dexi2B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:2B:23957523:23965717:-1 gene:Dexi2B01G0014360 transcript:Dexi2B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGSLRRERSAWSSASNNAFSLSSRGDGGAEDDEEALRSDMFVTIIKQGIANALHITPSRKKKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLEVTGSITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETVNFSARCQGIGHRYDLLVELSRREKEASIVPDPETDIYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGSRENVLEFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWKHGDETYRYVPVKEFAEAFQSFHIGEAIRNELAVPFDKSTSHPAALKTSKYGASMKELLKANIDREILLLKRNSFVHIFKAVQLTLMAIIAMTVFLRTNMHRDSMENGRIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSVPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGLARHQVVASTLGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKVLPNSTEPLGKQILESRGLFPEAKWYWIGVGALFGYVLLFNILYTVCLTFLKREYKTTFHIKRELKICAVDESNDEAGSNNATLNSIPVNKGMVLPFVPLSISFEDIRYSVDMPEEIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNITVYESLVFSAWLRLPADVDSSTRKMFIDEVMELVELIPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIKYFEAIEGVSKIKDGYNPSTWMLEVTSTMQEQITGVNFTEVYKNSELHRCVAIELPYILVQALIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMMVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTVSKLKIPVWWRWFYWICPIAWTLNGLVTSQFGDVTENFRNGGGRISDFVEGYFGYHHDLLWLVALVVVAFPALFALLFGLSLKIFNFQKR >Dexi5A01G0022290.1:cds pep primary_assembly:Fonio_CM05836:5A:26347777:26348979:-1 gene:Dexi5A01G0022290 transcript:Dexi5A01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWSELPSELLGVVFLHLRCLADRVYFAAICRSWRSAAAAARARASSASPPPQLPWLLLLPSSGAPCFVSLLAGSSLRRLSLPYSAHGARLCGSHPGGWVAAAANGWRAYALVHVFSRAWVPLPDRMRLPRHGITTCLILRAVALSAPPTSRGGCVAAALVSGVSNLAFVRPGMDRHWVASDAVNGLQDILYHHGEVAQGFHAVTNDEAVTVFVQEGGLPNAHDLRMVSRRYRMQRRPNAPAAWNPSSPAGAGVSRYLVESRGKLLLVVRHFPSVVQHTSGARGGFEVLELAVEAPLPSGGEGAASWVELEDGGLDGRVIFLARGCSRAFEASQFGGFKEGIYFLDDTSFDVSLALSCGGNFPCGDIGWHSGREIVSGIKGFPSEFQSTFSSPTWFYP >Dexi8A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:8A:21573608:21574933:-1 gene:Dexi8A01G0012350 transcript:Dexi8A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKPLLSPQQERVLTVLSIDGGGIHGLVPATILTSLEAFLQGKDGPDARIADYFDVIAGTSTGGLIAAMLSAPGKDNRPLFAAKDINKFYLDNGPKIFPQKGGWVPSMVQSAWDKLRGGPKYDGKFLHETINNLLKDTKVADTLSNVILPMFDVKRMQPILFNSLETKREAHKNAHLADAHGFKTKGIGGEHHQFELIDGGVAANNPTMAAMSLLTREMLRLRKQLLGDGRNVNLVNGGAVRSLKTTSDNPTMAAMAAMIAAEEQNPHLRMNKQDGQASIYKNVLVLSVGTGVTQKAHMYTAEECNRWNLLNWLAKDGFNPLIDFFFDASADMVDIHAEVLFELLGVEDHYLRI >Dexi9A01G0024450.1:cds pep primary_assembly:Fonio_CM05836:9A:20780475:20782044:1 gene:Dexi9A01G0024450 transcript:Dexi9A01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKRLVRLVPADPSSPRRRAAEAAFVRLLDGEVDRFNAFFLEQEEDFVIRHRARLVELREAAKKVAEDDGEPAAEMRRVRKEVVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEKVLGQPFFATELISRLVRDCEATMEAVFEAAGGRSTIAGTTTVAAAASEQVIFRNTVAALVTMGELRSGSSTYGHFSLPPLASPESDLLRCIQLADPVPI >Dexi7A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:7A:18115355:18117165:1 gene:Dexi7A01G0006790 transcript:Dexi7A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHPMRPRNLAAAMMNVVAIGLVLSTLAAAGVWSPSPSPAAHQQQHAGDHVVREGRRVVIVEYEREVPLSGEDGATVKVKETRVLPPDALDGLDVGEGVFDGARGVASDVAGEAAGAAEEGKEKLYDAKEGAKGGVLGAVKRCKDRLCGAGRKVEEGAMDAASRVKHGAEDAAETVSGAKDSAENKAFDAARRGKEAVEGAKDRASDVAQETLRSARDKASDVAQQGKETVKGAKDKVADAAGMVKEKASDVEHGAAEAARSARDQVSGAARHAKETVRSARDRVSDMAERAEEYAEDAAERAADKAAEAEEAARAKVGEVRKNLTGIARRARQVASGAATYLLGAPREAARTATAVMHLLGFAVAYGAGVWVTFVSSYVLAAALPRQQLGMLQSKMYPVYFRAMAWGVGLALAAHLLERERSSLPARAQSLNLLTALALVLANMLLLEPKATKVMFERMKVEKEEGRGRDVADIVDPPAVTVSTPATNAARAARAEAAAAHATHVDGAAKVKAAPDAEMSKGRVGRLSKRLKQLNGYSSLCNVLSLMSLTWHLVHLARRLQAGDAC >Dexi9A01G0027780.1:cds pep primary_assembly:Fonio_CM05836:9A:32426381:32428509:-1 gene:Dexi9A01G0027780 transcript:Dexi9A01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTFVNAHAPVIVTGGGVCIEQVIGARSNKEQLRVGTDAVECLLIDTRARRRPVAIMSTAGFPFPGTFHPIPITQTHWKAWKPEFLPSQASPVGVQKSLKERLRYKPDVALNTDSNTASPAFTVAMDNTTAGIKAGSDSIYFRIRSKLDNSPRIVRYQASAGAKAVSWSHTSATIINVRGVPLEKRGRRGTRTTRNESVFHSIPGTGYRGERERREAAAPEEEKRAVQGIRRQRGASSPGPARQP >Dexi7B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:7B:23938766:23939037:-1 gene:Dexi7B01G0018120 transcript:Dexi7B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEEELTIDVKLFVDREKKKVLFAESDKEFVDVLFSFLTMPLGTIVRLLDKQSQMGCLDELYKSVEDLSSSH >Dexi3B01G0032860.1:cds pep primary_assembly:Fonio_CM05836:3B:35299922:35300965:-1 gene:Dexi3B01G0032860 transcript:Dexi3B01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRFVYLVMNDTKRRDFPLRRIDASRLFFPAGERPPVPPPLEDARLPPAAIRFSPPITDTSNGDMEFMLLGGRGRKRSKVVAADHTGRCVLYDPALRTVRTLPELTAPKFMAASLTIGESLFIIDKHFNLTFSRNDCFDALYFDEDDADWECYSLPPPPYMDTYDPDSQGLRYRAHITSYAEVTNRGSGGGSSIWVSKEGLGTHSFDVQSGKWKKTGDWVLPFWGPGRYIREHKLWFGLSADEDDGVVCASDLTQTQPAAPRILYRDAATPPDWKGKSSTLVHLGHSRFCLARFFRIIQPGGLPCIKFVVFTGLKVEQGDGEELRMVKHRSHRYSLVNKLIHWVL >Dexi9A01G0039060.1:cds pep primary_assembly:Fonio_CM05836:9A:43183002:43184150:-1 gene:Dexi9A01G0039060 transcript:Dexi9A01G0039060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRLDDFHELRHSSLLQQHPAGARDGVGGVGVEHLGCGDAHALGVSEELEHVGQPVVADRGCDAAREEAGADARREAGVVARGRAESLGSEEEVAEAAGPGEVGGDVGVVLDCGGVAADADVGEEGKGLGPGFGFGFGARIGCGGSGLRAVTTTVGGGRWLRGRGGHGQRHGGEWCSGSGDRGDASPNA >Dexi4A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:4A:22283377:22284348:1 gene:Dexi4A01G0018310 transcript:Dexi4A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDATMNFRNRWLMSLSCLRMSRCSRRTLSTPERPSSTSSSRDPANSSSLSPWRWQMRRNRTQVRRYTALRWTTAEKGRRVARKARRKWAAAGMRMVRTRKRSHESAAWAGEEWSMHTQRRQERNHWSSSSGRDAHRSITPRFSADGSSGSAAAAAAADAVLDRRPMVGAWGVRSPHRRRSRWSIERPGCAAVELCFCLCVARRRGAGGGGGRVQVES >Dexi2B01G0035320.1:cds pep primary_assembly:Fonio_CM05836:2B:42390427:42391551:1 gene:Dexi2B01G0035320 transcript:Dexi2B01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPTSPPPPPQLIHGSLIPALPDDLAIHCIALLPRAAHPTLALVSRALHALLCHHPEPLLAARRALRLSDSHIILSLRPPSSASLLFFLLLPHPGWPPLPLPSPPVAVSPSSSVATDGSRLFLIGGSVAGVPAASVQILDPRARSWSIGPRLSSTREFAAGVAHSGVLYVAGGCVPSSPFWAEALDLSTSDAKWKTVASPIHLREKWMHGCASLAGKVLAVADRGGLAYDPATPPAEAWAPVSPVLDMGWKGRAAVVQGILYSYDYLGQVKGYDPDTDSWSTVEGLERELPRFLCGATLANVGGLLYLVWEGKWKGKVKEEMRSMVVIEWAGIEVTTAEEGRLRGKVISRDTVLFPGVPKGSTITHCIALDL >Dexi7A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:7A:16211466:16213568:-1 gene:Dexi7A01G0005100 transcript:Dexi7A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGEARGVGTGRGSRLPRWTRQEILVLIEGKRVVERSGRGRGRGRARGAGGEGAAEPTKWAAVAEYCRRHGVDRGPVQCRKRWSNLAGDYKKIREWERGKEGGEASFWAMRNDARRERRLPGFFDREVFDILEGRPGNAAAAAAVGVETKLEVTAAATLDVGRGGAGLFSSSEDEDDQEDDAATPSPTPTPTPTRTMAPVAFPISEKTTDVPRQESSEQGTSKRKQPEQIVEDSPAQCGQKRPRSDDDASARAAATNLQGQLVEILDRSSQMVAAQLEAQNINSRLDREQRKDQVSSLLGVLSKVADALYRIADKL >DexiUA01G0019270.1:cds pep primary_assembly:Fonio_CM05836:UA:40234233:40235009:-1 gene:DexiUA01G0019270 transcript:DexiUA01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKLKLQWITDRETRKAAYKRRCRSLMKKTSELVTLTGTKACVVVYGESDDVAGAMAPVPEVWPSSAEATTLLTKFKEIPDDSRLKWTRNHEQHLEERVLKVREEAKRLEAKNSEFATSKLLHQSLAGLRPGLEGTTSMELVKLNDMVVEKMGKLWARMLELGLVGEGVHDAHPPRLPAIGGELDAVVGGALGVAGGGGHPPMAMNDNGGELAAVVGGALTAGDSSGGDGGPSGGGSDAVEAFSQGCAMGFPWARE >Dexi2A01G0029930.1:cds pep primary_assembly:Fonio_CM05836:2A:40930533:40932361:-1 gene:Dexi2A01G0029930 transcript:Dexi2A01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNIPVQPPMTSSGLLRYRSAPSTVLGELCEDFLPAGARAGSPDAGGADNVFARFLADHHIRDNKPSPPPAAAAGHFHSEADMASQQQHQQQMMFHSQHQQQQQEMVGAKSGLYRTVSSGMEPAAAAGTSLTRQSSSPAGFLDHLNMDNGYGAMLRAGMSMGFRDGSSAATADSLAGGGSRLKGQLSFSSRQGSLMSQISEMDSEEVGGSSPEAAGGSRGYIPGYSMGSGWEDSSSLMSENLSGMKRPRDSLEPGQNGLTHQFSLPKTSSEMATIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLKESHANCICSASKNQQHSE >Dexi8A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:8A:7170122:7170672:-1 gene:Dexi8A01G0006840 transcript:Dexi8A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMKTTSGMVNPRSDGPGELRAALPRLVAALHIVAGTSVLAIGIVAEDLAAGDLELVERGAAAEEEEAVHEGVECPWPPVGFQWTSPAVVWAAGDAEGTRGTPEVAPALAKHACPDGIVGGEEEEDVVEDLIGERADVILAAAGHRNGLIRHGAERDES >Dexi6A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:6A:936848:937705:-1 gene:Dexi6A01G0001100 transcript:Dexi6A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSKSVKLVSARTNKPLEVDIAEEEDARMSSSADNTVYCCIAKGRKVIYCYNSKDGGDPDPQVEATAALCLENSPPHHRHYIHTSGSRSYGYLMADGHTFFAIIDPSVGNAGALQFLERVRDVFRSNAASRNGLHDSLVPAVRRLVASLEKMPHATFVLEENTERGGSNEGSGCTSSKVPLLGKSGSRKEKKKSSKDKLASAGDGEHEHHGTRGVRIDVPAEDVGGMSLERSSSQSRLRRQQPSRSLWMRHVKIIIIVDAVICLVLFAAWLAVCKGFQCVSG >Dexi4A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:4A:19480153:19480556:1 gene:Dexi4A01G0016030 transcript:Dexi4A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIFHILYHLFIPHKTLEDKIKDIIKMETEDAYDMVLNAGIKWVERNMNPKTSRVFFVTMSPTHIMSKDWGEDIDGNCYNQTTPIKDLSYWGPGTSN >Dexi7A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:7A:21065030:21066592:1 gene:Dexi7A01G0010270 transcript:Dexi7A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYADLDALRASAADVRIVTSDGQSIAAHSYVLASASPVLERMIDRAWRGGGGGCAIRILGVPSDAVLAFLRLLYASSRVEEEVAAAHGPQLLALAHAYRVGWLKRAAEAAVAARLTPDRAVDMLKLAGLLDAPRLRAACARLAAKDLAAVEASDGWRFARRHDPALEMELLQMVEDAAWRRARWAKERASQEAYGQLAEAMDSLDRIFAADDTAAEAPSSTSTTECEQGLRLLMRHFATCARRAAPGGCARCRRLLQLFRLHASVCDRPEHEHDQPCRVPLCSNFKARMQAEKADKTWRLLVKKVTRARAMAGLADRRVPEIVAMSWARYNSGSKWAKLG >Dexi1A01G0027040.1:cds pep primary_assembly:Fonio_CM05836:1A:32918631:32929024:-1 gene:Dexi1A01G0027040 transcript:Dexi1A01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRSPDSLAAHGQNAHAVWSPDAKTVAVLTSSFYLYIYRVQLSGKPLIVGGKQLPGLCLASISQIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLRCSACSSEKPTAVVDALVFDPPSLQEKSNARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIAICTVGKKGLKQPGSIRVERWLDTDDAMCTSVASEQQILAVGCSRGVVELYDLAENTRHIRTISLYDWGYELLFFPRYHLDYSSLLYRKSLLERPIVMDVFQDYILVTYSPFDVHIFHVMISGELSPVSNPVLQLSTVRELSIMSPKGPPVSMRFIPEQNDKGVLEQDTNGSSDLLSQQPSRCLILRANGELSVLDMDDGHERALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSQGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKLEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSSSKNQNLQKVDSPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEKCFQRRWYRTAACYILVCNCFNLSWSLLSWRALQSATLDECLYELAGELLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVKLVLLVLVDLFRHDLRLWKAYSITLQSHDLFSEYLDLLNMLEEQLSSVPDLTLQNGPLS >Dexi8B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:8B:167078:171354:1 gene:Dexi8B01G0000200 transcript:Dexi8B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPAAVTALDAAVASARRRAHAQTTSLHLISSLLAPTAAPLLRDALARARSAAYSPRLQLKALELCFAVSLDRLPSSSSSSSSSSSQSQDGNENLEPPVANSLMAAIKRSQANQRRNPDTFHFYHQPSATSPNATVKVDLSHLVLAILDDPLVSRVFADAGFRSGNIKLAILRPAPPMPLLGRLPARARPPPLFLCSFAAADDAQVPSPAAALASAVPGEDNRRRIAEILSRGRNPMLVGGGAASAAADFANTSPYRIIPVGPTPINQTDLAPNNSGSGIILSIGDLKDLVADDDADLQERGRRVVSEVTRLLEMHRAGQTLWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITALRDAAPAAAGVMHPATTATALSKPPTTSMVESFVPFGGFMCDTNEANIVTANSCPLALRCQQCNDRYEQEVATIIRGSGISAEAHQEGLPSLLQNDSMMGPSTGFDAIKVRGDQMVLNAKILNLQKKWNEYCLRLHQGCQRINRDHHQLFPHYIGVPADRERAPNPSQGSEAVVLQREVIKPSAVSASHSNTTTKSVSSPSISNQRNADLALNLQVRQSKSDEPLHNKVVQSLHSNPSNCDNRDDHVSPSSAAPVATDLVLGTPRGSSSKDSRNALCKHVEDAEGSVQLTPKKVDDLNLKPLQSSVQPYSCSRSSSNGGQKSTSALHSAVSGGTSAFGQWQRPSPLTGQNFDLSNYKLLMERLFKAVGRQEEALSAICASIVQCRSMERRRGANKKNDIWFSFHGPDSIAKRRVGVALAELMHGSSDNLIYLDLNLQDWGNSNFRAKLATDCIFEELRKKQRSVLFLENIDKADCLVQESLTHAIETGGYKDLHGGRVADLNDSIVVLSTRMIRGCQDGSRGMEQGHAFSEEKVLAARGHRLKIIVEPGTTNIGGYPGSKVVVSSRHSLGDIQASLHSSSFSKRKLSISDGREKVEEASGSSKRLHRPSSVPFDLNLPVDEAEADDGDDDSSSSHENSCGNTDGSIEKLLSSVDKSIDFKPVDFGKLWGELLQEFGNTMSNVVGSGCRLEIDAGAMEQILAAACASDSEEKRGVRTWVEQVFGRSLEQLKVKCKDVSLRLVACEEVLLKDEGFTFGGLLLPSRIILEDDVPV >Dexi4B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:4B:460267:460646:1 gene:Dexi4B01G0000700 transcript:Dexi4B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVKRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNNAEEEGVNLS >Dexi5A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:5A:13601134:13601445:1 gene:Dexi5A01G0015790 transcript:Dexi5A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTKLAAQRAVVIFSMSSCCMCHTVTRLFRELGVNPTVVELDEDPRGKEMEKALARLLGRNPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >Dexi3A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:3A:2131757:2133316:1 gene:Dexi3A01G0003290 transcript:Dexi3A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFAGYSSLSQLWMVTSVVAVCWTIVWKNLEHIRLQRFFARNFRRHARRLEAIEYEGGRIKSSDAYKEVRSYLTTASGTRGVRHLRAETGGERDNAADRDKLVLSMVHGEEVADDFHGATVWWSAYSVAPPRDAIPWYPSSRSDRRSYKLEFHESDRDLVLGSYLQHVRRQGRAVMVQNRQRRLYTNISRSGYDDGWYQDVWTHVPFTHPKTFDKLAMDPAKKKDYYNRIGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDLELTSVKTNTDLRKLLIETKSKSIMVFEDIDCSLDLTGKRKTKEEEEDDEEEPRRRPNKQDTKKSKVTLSGLLNFIDGLWSACGEERLVVFTTNHVGKLDPALIRTGRMDKKIDMSYCDLDSLSFLAKLHLEEDVQGHQLFGVAKALLQEVDMVPVDVGEHLTRKTVHDDAGSCLARLVTALEKAKEEAAAKTTEAAKAEDDGKGDN >Dexi5A01G0038240.1:cds pep primary_assembly:Fonio_CM05836:5A:39289107:39289995:-1 gene:Dexi5A01G0038240 transcript:Dexi5A01G0038240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLPRSAPGAGGQSTSKLADPCLGALRYYTLVRGGGGAPWSKPQGWQALVQRVRGRLGSPRVLRLLLNELPCLAFGCQRAPKLALLSGMRPRAVTTDALVYGMVWYGMVWYGMVWYGMAPVPGAAITGKVRNHHQYRRRARGNYDLGS >Dexi2A01G0029060.1:cds pep primary_assembly:Fonio_CM05836:2A:40160552:40163150:-1 gene:Dexi2A01G0029060 transcript:Dexi2A01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLLLPVAAILAAAAALAVVPAAGYPWPVCDQSSNFSANSTYQAHLDLVAATLPKNASTSPDLFATAAVGTIPNQLWAMALCRGDVNATVCLSCLTQAFIDLPNDCSYDRDGTIYYDPCILHYSDVLTVPGNDTDLTTGVYTFNDNANVTSDPGRFMSLVAALVNATVEHAASNSTARLFATGEADFDDQEFPKVYSLAQCRPDQTAVQCRKCLDSVVAANQAGFGSNIGGRVLGLKCNYRYETEPFFNGPAMVTMASPISPSPAPAPEPVLAPAVPPTVGTPPDAGLDPMYSAEAEDMEAVDSMMIDVSTLRAATGDFDESNKLGEGGFGAVYKALDEHAVGVLPDGEEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQKERLLVYEFVPNRSLDLVLFASTAIISDTESEKREQLNWGQRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAITSRVVGTYGYMAPEYLMRGNYSVKSDAFSFGVMVLEIVTGRKNNNDGNEPDQQSGYLLTTVWEHWEAGTVPELVDPSMSGSFSVGDMLRCVHVGLLCVQGDPAARPVMSSVVMMLGSDTVTLQGPSKPAFLARNNGSTTTLPTASVQG >Dexi7A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:7A:29882686:29883503:1 gene:Dexi7A01G0021180 transcript:Dexi7A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGQAFDESSTYFQAAYIVDQDWFSRNEYAMMNYSHNPTVYYGDGEWKKAPTPSVPTVLEWSYR >Dexi3A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:3A:5281088:5285891:-1 gene:Dexi3A01G0007610 transcript:Dexi3A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLTRLFTSTHIAMASSSSSSPSRRLIHLTRHLAAASSSSGELSSVGAPAAAAAAADAVPAKTPRPASSKVHAAVLVCLFEDPSGGPRVLLTKRASTLNSHSGEVSLPGGKVEEGDEDVETTALREAKEEIGLDPAIVSVVTVLEPFLSKNGLSVVPVIGMLPDKASFKPVLNKDEVEDIFDAPLEMFLKDDHRRTKQMNWMGLDIPVQFFDYEADGKKFVIWGLTAHILTRAAAVIFQRQPSFVELPRPKYAPNAGTDETKP >Dexi9A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:9A:6689457:6689762:-1 gene:Dexi9A01G0010880 transcript:Dexi9A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQSFSR >Dexi5A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:5A:20592419:20596576:-1 gene:Dexi5A01G0017380 transcript:Dexi5A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKENEVYEEDLVDYDDEVENGADGAATNGSADVVKKYVLRGVDFFFSHWGAAPTLFGSTSVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSKYLPELRVAVFYGGVHIKNHKDLLKNDCPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHEKQVMMFSATLSKEIRPVCKKFMQDVMSCGPTHFNCTSSCLCPSLLLELCMYINMEMPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRASELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKQLPDQIETSTYSKYCALLAC >Dexi5A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:5A:162850:164446:-1 gene:Dexi5A01G0000240 transcript:Dexi5A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQINHRITTKIQRRIYGHATDVEIRPLDEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREEMLAKELEDLKLKLSEIEQLAKGRGLTGILNFKGVHGADGGKAATPA >Dexi2B01G0021540.1:cds pep primary_assembly:Fonio_CM05836:2B:31313891:31314415:1 gene:Dexi2B01G0021540 transcript:Dexi2B01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMRMYKAPELLKKAFKSKTDTLIRTKTLVLGSLRRKVAMVRAISQRIHALVSLDREKNARLEYSDKALVLSKATDQGQGGVIGLSEFFVEDDHGYPDSTRLLFNDDNCYNVYGDDEGNHDHGVLDVLDERSVIDVIRSNREVEGLEFNMDDEIDQACDMFIRRFRKRMNMSF >Dexi1B01G0023300.1:cds pep primary_assembly:Fonio_CM05836:1B:28933277:28935155:1 gene:Dexi1B01G0023300 transcript:Dexi1B01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAGVAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSFADAASSNLQAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHVVQTYEGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASHTGMFNSFNHIR >Dexi1B01G0031450.1:cds pep primary_assembly:Fonio_CM05836:1B:35018512:35021034:1 gene:Dexi1B01G0031450 transcript:Dexi1B01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAARPDDEEARRRRSTDCIYFLASPLTCKKPLDSLPGAPPSTPRPPQQPTPQLPVPTQPHGSVPAKQPVPCYYFQKGMCAKGNRCAFSHGPQSPQSAAKVFTPALQPNTQLKNSWTKPNSSSGQQNAPAGIPNKSKVSVYNAKPVQQQDVTNRVDHSSRTYQNHINSYAQSGATKHYQPQSSVKDGLTGNDTEVGEFVREPSAGSVVPVGGDDDDDDGSKQSFMGNQSSYHHRTYGTGMTRQAHGGYEPERSYRSSADRLSSEKRISHREPMPAVGAGISDLRHRLLKQRRLNNSSRSTEAPDMNDKYLEGECNDQHQWQGEEHDAPLSRSRLRDRIRLPGETCFDRLGSRSPRGRLSPPKHSDLRGKLHERLKARSAEEMLPDNSAKSFVAKASSGEDTGSLNFAGPKSLAELKAKKGVGSSSGEDAKVIGSARLTSGITSPFEGPKPLSAILKRKREVASENTAAQSVSIQEDDAAEGVGEESQILASDTVGENMEVGRDLEEGEEEAFYPEDDVAYDDIIDEEQQEDVEAAVEDYDYEAAAGQEVEEHQDVEAAAQDYDYEVADADAAAGPEAEEHQDVEAAAEDYDYEAADVNADGYDEDDLEDDDDDFARKVGVMIS >DexiUA01G0026240.1:cds pep primary_assembly:Fonio_CM05836:UA:55649321:55650639:1 gene:DexiUA01G0026240 transcript:DexiUA01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEADRDDGRLARCPLGNKEKEIHAHRMLLLHLDDEIQGYFTAIEHLEIDNNYASRSMHPFRKEMAKDLLVGEFRVLQSARAWVENYCDNLSIS >Dexi3A01G0025790.1:cds pep primary_assembly:Fonio_CM05836:3A:21685800:21689723:-1 gene:Dexi3A01G0025790 transcript:Dexi3A01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYDEVIQLCQESVNPAESNSILSNSNGEPKNSRVSEKTQFSGRYWRPYLICKSYFLSGKLDEALDLLKKHDQVTPVKESDESSYQERFSSLSATIRQLLYLKAAGNESFQAGRYSDAVERYSAALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAIVLDANYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKANVPGVSPKVLNKHSDLKQARARLSSIEDEVKKDTPLDLYLVLGVEPSCSAADIKKAYRKAALRHHPDKAAQLLVRNENTDDGFWRDVVKEVYADADHLFKTIGEAYNVLSDPDKRQEYDFEEDLRKARKRVSKSRGMHKTPEHNYNNRGFNPRQWQSSRASRSRWYGHSDDYW >Dexi8A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:8A:23623808:23624071:1 gene:Dexi8A01G0013540 transcript:Dexi8A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEGGGPWLRSANNFLGRQVWEFDPEAGTQDERAEVERIRREFTECRFEKRVAQDLLLRIQDIYD >Dexi3A01G0029110.1:cds pep primary_assembly:Fonio_CM05836:3A:30474995:30477308:1 gene:Dexi3A01G0029110 transcript:Dexi3A01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANQSLRPPLSPCPRLPFSPYLHVPPAFRRARSLFASWRPPPLAPPLSPAPPLPKKGASGANREAAEEDGAVVDEGAEAEEQLEYGDGEYVSSVDTNFSLPARLRAARAAPGGDPVFFILAAVAVTTCVAFTGMVVVAIPTMLAIRRAANSFSMLADAALEELPSTMAAMRLSGMEISDLTLELSDLSHEIADGVNKSAKVAQAVEAGIGQMQSIARLQAKSMIDERSNLRTIPTVGKDKESNKSSSRH >Dexi5B01G0036260.1:cds pep primary_assembly:Fonio_CM05836:5B:36045563:36049793:-1 gene:Dexi5B01G0036260 transcript:Dexi5B01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAMLLLGAAPGSPSLSLALAPRRAPPLRAPRRRTLALRPTRIRAAAAIGGEFGGLGRRRVVVGEFVERLRNVLPGGSWWRLEDGEEAGDGGGRAEGSGSTALSALSRMWSLVAADRWVIYAGFASLIGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDISFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGAVFYRYAKWLDKLYDVSFRQTVAYGGWSLSLNYLYHCTQVLGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGARLKLEKLEGRIQYADVSFSYPSRPTVPILGRLNLTLNPNEVVAIVGLSGSGKSTIINLLLRLYEPTNGQILVDGVPLTELDTRWFRERIGFVGQEPRLFRMDISCNIKYGCPREVSHDEVVWAAKQAYAHDFIMALPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILLLDEATSALDAESEHYVKSVITKVSRDSSTKRTVVIIAHRLSTIQTADRIIVMENGNIVEDGKHSELIDKNGLYSRLARRQNDDLE >Dexi5A01G0036070.1:cds pep primary_assembly:Fonio_CM05836:5A:37732882:37735574:-1 gene:Dexi5A01G0036070 transcript:Dexi5A01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPPAPAQEFEISRQSRIFAALSKKVIDLDELRMMAAQGVPDAAGVRSTVWKLLLDYLPNDRSLWEQELAKKRSQYAAFKDEFLSNPVEVARQVGKQGHGNANAERVDNGLLHRSEVTQEEHPLSLGKTSVWNQFFEYSEIVEQIDRDVKRTHPDMHFFCGDSSFAKSNQKFAEADSFFCFVELLSGFRDNFCQKLDNSAVGIRGTLAKLSQLVAKYDADLQHHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRVCCAMLILVRKRLLAGDFTSNLKLLQNYPPTDIRHLLYVANKLH >Dexi8B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:8B:1737262:1740478:-1 gene:Dexi8B01G0002500 transcript:Dexi8B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGEVVEVPAELVAAGSRTPSFAAKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKATNSLLDPEGKVPLFWGITADGSVAFSDDINMLKGSCGKSLAPFPQGCFYSNALGGLKSYENPKNKVTAVPANEEEICGATFKVEGSTVLTAPPLH >Dexi7A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:7A:23131561:23131912:1 gene:Dexi7A01G0012940 transcript:Dexi7A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSRRMVASALFVVLLLLVASEMGPTRVAEARHCVSQSHKFVGSCMSFRNCEGVCKTEGFPWGECRWHGLARKCYCKRLC >DexiUA01G0005850.1:cds pep primary_assembly:Fonio_CM05836:UA:10530387:10535534:1 gene:DexiUA01G0005850 transcript:DexiUA01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRIARELLDAARATGFAEWQLGVRRRIHQHPELAFQEQRTSKLVRDELDALGVPYAWPVAWTGVVATIAGADAAGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDAHVAMLLGAARLLQARKNEGNATLSENLNIGTVKLVFQPAEEGHAGGYHVLKEGVLDDVQAIFALHVETSLPVGTVGSRPGPFLAGAARFTATITGKGGHAAGPHLTVDPIVAASSAVLSLQQLVARETDPLQGAVVSVTSINAGGEAFNVIPESVTLRGTFRSMTTEGLSYLKTRIREVIEGQAAVGRCTAAVDFMEEELRPYPATVNDEAMYVHAKKVAEGLLGEANVKLSPQIMAAEDFGFYAQRIPAAFFGIGVRNEEMGKVHHVHSPHMVIDEGALPIGAAFHAALLDAARAPEFAEWQLGVRRRSHQHPELAFQEHRTSALGVAYTWPVAQTGVVATIAGPEGAGAGPVFALRADMDALPIQVRRRLWMIHPCPLADFAIAMCSIRWQEMVEWEFKSKEDGKMHACGHDAHVAMLLGAARLLQSRRNDIKGTVKLVFQPAEEGHAGGYHVLKEGVLDDVQAIFGVHVDVALPVGTVGSRPGPFLAGSARFTATITGKGGHAAGPHLTVDPIIAASTAVLSLQQLVARETDPLQGAVIQGQAAVSRCTAAVDFMEEKLRPYPATVNDDAMYAHARSVAEGMLGEANVRLCPQFMAAEDFGFYAEKIPAAFFDVGVSNAETTGHLHSPHVVIDEAALPIGAAFHAAVAIEYLNKHASSS >Dexi5B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:5B:18953647:18958410:1 gene:Dexi5B01G0017380 transcript:Dexi5B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGSPTPSASSPDGRPSSPLPVTNSSPPQSTRRTGGRRRRGSASPYASSPSLGGFETPPHPSRRTPSGAGAGAARQQRQNWTGRFPPTPSTPMSTDDIPPSSEAGDDETDGVGAGIDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGAETLDVDAHDVFDHDPDLYSKMVRYPLEVLAIFDIVLMDLVGRFEPWFEKHIQTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSDPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDTMDIDSSDANKSTEEDFLNDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNPLRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLAPTLLSRQLEELEVLDLPTLVAYISYARKYIKPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRENLVAATRNLIMEKMQLGGPSMRMSELLEEMRKQSSMEILLHDLRGALGTLMTEGAVVIQGDNVKRV >Dexi2B01G0021200.1:cds pep primary_assembly:Fonio_CM05836:2B:31091742:31100599:1 gene:Dexi2B01G0021200 transcript:Dexi2B01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELARSGGGVRVTVYEKEDCLGGHARTVAVEDAGGGTVHLDLGFMVFNRVTYPNMLEWFEELGVEMEISDMSFSVSTQLGTSSSRCEWGSRNGISGLLAQKSNAISPSFWRMIHEILKFKNDALKYLEDCENNPDIDRNETLGQFIQSHGYSQFFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHTYVQKNIESTDRPFLVTLNPPHVPDHVLQKWYTSHPVPSVAAAKASLELHHIQGNRGIWFCGAYQGKLLDQLILVMVSMKMDSSLLEEGGTMFSFGEVGKKCHVKSVLRVHDPMFYWKVATEADLGLADAYINGYFSFVDKREGLLNLFLSNEFFSLFLDPSMTYSCAIFKTEDKSLEAAQLRKVCLLIDKAKVERDHHVLEIGCGWGSLAVQLVKQTGCKYTGVTLSVEQLKYAQRKVKEAGLEDHISFMLCDYRQIPTHRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSTASRLCIEHLENIGYHYYPTLIRWRDNFMANKDAILALGFDEKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLGDNDPYASFPAANNQAS >Dexi5B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:5B:13773771:13774695:1 gene:Dexi5B01G0016190 transcript:Dexi5B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPVVSPNVARVVLCLEEVGVEYEIVNVEFATGEHKSPEHLQRNPFGQLPALQDGDLMLFESRAISRHVLRKYKSSEVNLLGESNLEEAALVDVWLDVEANQYDPAIAPIIYQQILVPMQGGSPNQKLIDDSVEKMKKLLDVYEAQLSKFKYLAGDFVSLADLSHLPYTIYFMATPYASVLDSYPKVKAWFQDLMARPAVQRVVAQLPTF >Dexi2A01G0030880.1:cds pep primary_assembly:Fonio_CM05836:2A:41713347:41714664:1 gene:Dexi2A01G0030880 transcript:Dexi2A01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFAALCALSCSTSRRHGDSDSGRQYKLFVFGDEFVDTGNYPVADLATNTRAWYYPYGSNDKDHGASPSGRFSNGLKESPPAEGKREQDGVDPLGMNFAVASAGVVEGTREAPKLGRQVDKFRRLVRHGIIDNDLTDSVALIAFSGRRDYERFNDMSSTEVKAMAQQVTDNIADAVDQLMDLGVEKVVVTALPPIGCTPWLSRSDDGVYDAKCDSQKVVTIHNSYLEEKVFQEKGVFNLDLEAAFNHNAGPSPRSKHFKYRLEPCCESSEKSGYCGQVEDGEEQYTLGSKPDKFFYWDDINPTHAGWKAVVKEFEESIKNFLDI >Dexi9A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:9A:3492844:3494001:-1 gene:Dexi9A01G0006180 transcript:Dexi9A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGDGAAAGDGAPGRSQQGAKRVRRGGVKGSEAAEAAWGQPPALLPPPQGLGAGSRIYRVRASGGKDRHSKVYTAKGIRDRRVRLSVPTAIQFYDLQDRLGVDQPSKAIEWLINNASDAIDKLPPLDPAALVAALPAPGDADVKQQKQGSSSGRSSPSETSKGSELSLSRSDGRGGAAANAARDREVTVASTSAQAASFTELLTGVASAGAISAAEHKQSWQQPNVSAAATADCVGIAHPGKGAHGLSTHAFSAVKFGNNAPPFGMVPAQSFNFTNSVEMPHFSLGHEALAASSAAAGDYSLDFSMSSGFLGANRGTLQSNSQSNFSGHHHQQLQRLDGSFLFGHAAAVAHPASENQLTASAALQLWDGFRHSGMKEEKSKN >Dexi9B01G0028090.1:cds pep primary_assembly:Fonio_CM05836:9B:30708068:30709460:1 gene:Dexi9B01G0028090 transcript:Dexi9B01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSKAAALLGALMILSLLLTYGSCARPVNFNESAFTADPDWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNHPACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMALPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNPVYLAVLVEFEDGDGDVVQVDLMEANSGYWTPMRESWGSIWRLDSNHRLQAPFSLRITNESGKQLVANQVIPANWVPNTYYRSIVQF >Dexi5A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:5A:10588604:10589273:1 gene:Dexi5A01G0013820 transcript:Dexi5A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGKNKWWRWRRRQQQQQQISARPVLLTFYVIIQPRRVADGGTRATASSHQHQREHHHRHLNAVVGSDDERRRWNGGKSQSNRREELLEYSRQMRALAQQATVTSTAAAAPTPPRSRRQRQNTKNTAAAVGGDEGRPADVNRQDLERAMSQQQIRQRCFGSDGWSWKKVLVHISSKTWLALVGR >Dexi9B01G0028420.1:cds pep primary_assembly:Fonio_CM05836:9B:31055169:31055438:-1 gene:Dexi9B01G0028420 transcript:Dexi9B01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSQDHIDLYSSAGIPSRLVSGHWQSLLFLSFAATAATVTFAVNALGDYGGGFGSSCWHGCVSWWSFYVAMSWGGDGSAKAKAVLLQS >Dexi4B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:4B:5325085:5325453:1 gene:Dexi4B01G0007450 transcript:Dexi4B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATWVCFRDGTRRPPRRIHPDKRQRLEPVSDCPEPRAQAHSDDPIHAKESPITQLTRMDRLWSRLSQGGRTCSPGLDRLDVDGKAGPGRNARKKNREHGRWLQLRLMTSPRRRRVKVNPSA >Dexi2A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:2A:1460453:1461495:-1 gene:Dexi2A01G0001950 transcript:Dexi2A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRPPPELVADIVGEILLLLPPTDPATLVRASVVCKLWRRLLADPSFHRRYRAIHDTPLLGFLHDHYTYGAVSVPRFVSTLPRRSSPFPQPKLGHRDCSGK >Dexi2B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:2B:10655834:10656370:1 gene:Dexi2B01G0009940 transcript:Dexi2B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYVLSTILGRNALVMDYIDEESFPVVDPPPPPATAAGVHPPDAAAEPSSAAVPAPPPVRAPAGVAGMVCSVCTEEIAVADAVVRLPCAHWYHHGCISPWLGIRGTCPLCRAELPPRVAAGDEEGDLAGQAKAAAPAAAAGTRLVAGASARAQQREGGYVYLAGGVLSG >Dexi6A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:6A:4086553:4087134:-1 gene:Dexi6A01G0004490 transcript:Dexi6A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVPPSLLSAAGAATASRSAVAVVFHIDGYSLTTSLLDFTGNAAYELPAERGVFVAPPRQIYGHVVGEGDDGGKNPATCGYVAFITKEDLGRRRESLVRENSLAIRCDVGVVVVETIAVGPKQQGNRRQRMYGGGGGYSSDDGYEFDDEDDGGGSRGDRRGQPPPNDKEFIRRCLVAHRRK >Dexi3B01G0036210.1:cds pep primary_assembly:Fonio_CM05836:3B:39081492:39085344:1 gene:Dexi3B01G0036210 transcript:Dexi3B01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDLREAKDDIGRNALHLAALKGHLAGDAKRPVHFAAAGGNKRVLGYLLDHGGDAEVPDSKGSTPLHDAAEQGHCEAIRLLLSKGVDVDPVNYRGTPLHLAASMGKDQALKILLEHGADPNKVVNHVFSPLMVACCEHSLKCMKLLVQAGADVNFRSPYILMEAVDDGLTDIVKFLLEAGADPNIADEYGKIPIMDAAVNGQRELVEILFPMTRPIPSVPDWSVDGIIRSIKDPHFEAQVSLVGERIADAKSQGKEAFAKGEYISAIFFYSRLYDPRDQFDEPKYPTYPKKLSSFQLLMQAMEKDPFNATLLANRSLCWLRQGEGDRALLDAQQCTTLCPQWSKAWYREGAALSLLKDYKGAVDAFMRALKLDPESDEIRKALRQMLFVPFYFLFLLPDFFSLN >Dexi9B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:9B:13183809:13189581:-1 gene:Dexi9B01G0018420 transcript:Dexi9B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFGAVLVVRAGLFVGFWVLRVAAARCYGIAAAGRDVEGRGIQRALRVVESEEAGGRDGFRCETVPLGNHESMAYCEMCGVFRETFVKSAKDGSVKDAVSTVSSEPRASASPKIDSSKTPMKTSAVASDGDSARKHASTLCANATHLPSAGSSLGAEKKNKTPVLSEEIPVERTARLAPDHFQLKTDQSGGPSSSSQNDYMTQKLSSDISQLNLDKNNANVTKPCLPEDYKPEKWMLADQESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKDMHKNEKESKEKGKGSFAFAWAMDESSEERERGVTMTVGVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASNGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLVVAVNKMDAVAYSKERFEFIKLQLGSFLRSCNFKDSAITWIPLSAVENQNLIKPPSDARLTCWYQGSCLLDAIDSLQLPSRDVTKPLILPICDVIKSQSTGQLAAFGKLETGAIQNGSKVLVLPCGLEATVKTIERDTISCSIARAGDNVAVNLQGIDGNQLIPGGVLCHPGFPVAVANHLELKILVLDITTPILFGSQVEFHIHHVKEAARITKIIALLDKTGKPSKSAPRFLKSKQNAVVQVSLDGAVCVQEFSKSRALGRAYLRSSGRTIAVGVVNRVIGQDEN >Dexi5A01G0039120.1:cds pep primary_assembly:Fonio_CM05836:5A:39842435:39844204:1 gene:Dexi5A01G0039120 transcript:Dexi5A01G0039120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSEGFVGAPAALPLAKEAAAAAAAASATGTGDRVADCGLCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPLCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEAHEEAPEEDEFYHQYQYDYDEDDLDEEAYYMSRSPSIRIGNRRWGDSGYIRGGRREARPVNTDASGPSRIPKKKEPMASTSGSGSGSGSVSKDVAGRRAKRAQKREAADKAAAEKHLKHLQRLGLMKTPAPEVPVEVGPQVNE >Dexi4B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:4B:17345913:17346317:-1 gene:Dexi4B01G0015550 transcript:Dexi4B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAFLASITLATQSPLISAVPAEARVAFPPTAPTPSLRRSGRLASQPLNLTVRPSKKGEILAMKRLGFLEATTNNNGNIDDARKEFDRFFKEIVDTKNFPALRDLLPAARELNDDEPMTAARQACILGGGQ >Dexi5A01G0034920.1:cds pep primary_assembly:Fonio_CM05836:5A:36859797:36860015:1 gene:Dexi5A01G0034920 transcript:Dexi5A01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAPELAGGASRRLGGTRKRQVDRFHGTAEWGRYGPTVQWVVSPGTGSASDSRGIRRRIVCGHVYREEK >Dexi9A01G0039490.1:cds pep primary_assembly:Fonio_CM05836:9A:43444453:43446000:-1 gene:Dexi9A01G0039490 transcript:Dexi9A01G0039490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNLICSVSKRNCICKISSAYIVVTKRRLALLGAGALATGLLKTSSAIAEEVAENFKSYVDAKDGYSYLYPAEWRDFDFLGHDSAFKDRNLALQCVRVGFTPTDKTDIRDLGPMDEAIFNLVNNVYAAPNQIPSIYDMQEVWHPFIVHAISISYLSRTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKISDLTA >Dexi2B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:2B:26115727:26116020:1 gene:Dexi2B01G0016000 transcript:Dexi2B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGRGVRIDIRGMALPSRLEQWSSSKPQTLLPQLQASSSRGQASRATSTCGSSAEVDTRRAEHEDEQEQLRQAEHAAGLQAVIEILSSSELPDSD >Dexi1B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:1B:21827837:21832571:-1 gene:Dexi1B01G0015320 transcript:Dexi1B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRGSDPRDGWEWVLIGWYWLAVDSRAHRSVCHGGFWFLYEAARHRWVCHEPQGLFLFSPEFVAPMADEEVSDPKALLEDRSKAKCVSQWYEYQKCVKRIENDESGHKHCTGQYFDYWKCVDKNVAEKLFDLLK >Dexi1A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:1A:21424024:21424376:1 gene:Dexi1A01G0014760 transcript:Dexi1A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDKLFWLRSRYRRRCRLPRAGGIGPAGVGEVEDEEEGEVTKARGKFTLEQHVGRDAPRVAPAAARHANPAAHGGAAAVGPVVAEHAERVGEPGLEGQQRSAVVGGGRRE >Dexi9B01G0043860.1:cds pep primary_assembly:Fonio_CM05836:9B:43783890:43787602:1 gene:Dexi9B01G0043860 transcript:Dexi9B01G0043860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPISVVFQVTANGVGLLDPGAESNSVEKGAKVDLPFWLAHGLLSHEQAVTRKEIQADAACVDLRVRCPYFYELGCKIVPLVSDKSIGLFLRYAFTSRYKEVLSKSHSSSTMSVPKFVPLLTKEETRVFESARESMAAFKKWRAGGVRLQKASILGRKRKTMLPDGPSTP >Dexi2B01G0035780.1:cds pep primary_assembly:Fonio_CM05836:2B:42751520:42753424:1 gene:Dexi2B01G0035780 transcript:Dexi2B01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQPSSLTAALAQGPNLCPVKILLPMGPPDVVSSESVEYDFSDVFGSTPIQTPTILCGHGPDSPAYTVESSEEIYNDPVVIIKRSHSLVGPTSLISRSLCLSKLSLGKSEGSSELVKCLSEEKEQEQGQLSDEEFDNATTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKHTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFIVQLRYSFQAMLTDFGLAKEFCENTRSNSLCGTLEYMAPEIILGRGHDKAADWWSVAILLFEMLTGKAPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEPNKRLGSGPGGSDEIKNHKWFKPINWRKLEARQIQPSFRPNVAGLTCIANFDECWTKTPVLDSPVLTPAGGGHNNFAGFTYVRPAPILEEMKPSSSRLKD >Dexi7B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:7B:1781147:1784493:1 gene:Dexi7B01G0000980 transcript:Dexi7B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLLPSSTATKAAAAAGDHSDSRRHDHHHGSKRKKKPPPSPQPSLSSAPRTPQQGARSQRGSMAMAAKSPKVAGAAAAAKSRSQQYQQHRGVQSTKKASAAASSSSSSSSSWEQVKSLLSCRSATAAARVHDPAAPSALARLRGSRAASLCAMRDVVVDAASSAPSSAATGDTAPLNRRRRAAGSSSSSVAGGGGSSSHHSSSSLRGLSGCYECRAINVEPMSRRYPRPRELCACPQCGEVFTKPDSLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICHIDRILKVHNPPRTVARFEAYRDAVRSRCGRATAARAAADGNELLRFHSAPLACSLGLAGATSLCSSAAAGGDTAASSSSPAATPAASCGVCTAIRHGFAPWVGAHPLGVRTTASSGRAHDCGGGGGSPAASGGCRAMLVCRVIAGRVRRGGGDAAEEEGTFDSVAGEDAASSSVYGNLEELFVANPRAILPCFVVIYRVLE >Dexi2B01G0021390.1:cds pep primary_assembly:Fonio_CM05836:2B:31189396:31190812:1 gene:Dexi2B01G0021390 transcript:Dexi2B01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAQTLRQLPPGFRFHPTDEELVVQYLRRKALSRPLPAAVIPVVDDVARLDPWDLPGASEGEVYFFSLQRAPATRRGGGRRRRAGSGYWKAMGKEKPVFLQCGGRRQLLVGVKTTLTFHSSDSSLSSSSGTGWVMHEYRLAVPEQRKNASHQDRVVEPGEWVVCRVFLKNRPRSRPNRDVDSKTPGNCFSVAHRVAPVQHREDAERQQRLLLMSPQPSSSSCVTGVTDIADQDEVSSSSIRDVPAASQREA >Dexi3A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:3A:12086528:12091493:-1 gene:Dexi3A01G0016180 transcript:Dexi3A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSNRSGAGAGEEDDGGMRKPLLVVNTGSWYRMSSRQSSVAPGASSVAVLRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAMVRDLKLSVSEFSAFGSLSNVGAMVGAIASGQMAEHIGRKGVPVYIAEISPQNMRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVMGALPCTILIPGLFFIPESPRWLAKMNLMEDCETSLQVLRGFETDITAEVSTSGMTLCLLAVSVVFFLKDNVSHDSDTYYILSMISLVALVAFVIAFSFGMGAIPWLMMSELWH >Dexi3A01G0027880.1:cds pep primary_assembly:Fonio_CM05836:3A:27871218:27885727:1 gene:Dexi3A01G0027880 transcript:Dexi3A01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLDDELVRGPDLFHASRILVCVERSFRLLHLFESSAGDCLVYMVVDKAITMKACLDVLFLPASLLPLIYGFWQIKDDYNERTQDALCKPLTTETAADMDDSEGQIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLLKCSLVKDLELLPYGDQTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNVLDKCQLLEAVQEKEHGIGFTGHGRRFQLEHGPKAALLLGTCTSKKMSYLGKVVEYDKPMKLMDTEGSLFRELVKEYWSYASSGNM >Dexi6A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:6A:24619239:24620741:-1 gene:Dexi6A01G0016730 transcript:Dexi6A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAAGNAAPVSGTEASDGEAGDVRYSRRRRRNSPSPIPSRSPSRSRSRSRTPPPNLRPNAAALSSTPTSAGADFAAASDSDADAGAGGGRRGSLPRRRDRKGPPRDRLDSDADADARAPSPRRRAAERSPSFQSDSDADAAGRVRSPRRNRERTPRRRSDSDSDNSAAAAGSEDDGAGAGDASPLPRARRSSRIETSNIKPVSTRPMEVTRRDAAGSSQRRSKRRHTSPQRASPEHQKRPPRVWSPEDEVTILSALVEYRAKEGRLPASIQDTGKVHSQIIGQLSANASTTQLSDKIRRLKHKYKVLFTRAKNGRDPDFATERERDAYELSKKVWGFKRGGILGGSRAYEDAADAESNEDQEIEESDEDMENGWTRHEHTSKKPKAFRFENGNGNALAIVGKASHGIGSGTDDAEKGKQVYPYLWEAVEELSKEHPSGPIFRKAFGVLEKSKARAMEEKLRKFRMSEIRQQLRRMDLMKETVAMVLDALEGSD >Dexi4B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:4B:5670825:5674160:-1 gene:Dexi4B01G0007840 transcript:Dexi4B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSESQRQRKRYHRHTPRQIQQLEAMFKECPHPDENQRAALSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENITMREALKNVICPNCGGPPVAEDYFDEQKLRMENARLKEELDRMSSITSKFLGRPFTQMPPVPPMSVSSLDLSMGGMPGLVGGGGPSLDLDLLGGCCSSAGMPPPPPPSSYFQMPAPVTDMERPMMVEMAARAMDELMRLAQAGDQLWVKGGMAMMPGGDDERETLNVANYDSLFANPGAAFRAPDINVEGSRDSGLVFMSAVALVDVFMDTNKWMEFFPGIVSKAHTVDVLVNGLGGRSESLIMVMPVMYEELHIMTPVVPTREFSFLRYCKQIEQGLWAVADVSLDGQRDAHYGMPSRSRRLPSGCLIADMSNGYSKVTWVEHMEMEKMLPINVLYRNLVLSGAAFGAHRWLAALQRACERFASLAVLGASHHDLTGVTPEGKRSMMKLSQRMVSSFCASLSSSPMQRWTLLSGTTDVSVRVSTHRSADPGQPNGVVLSAATSIWLPVAGDHVFAFVRDENSRSQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRGLNANQNSMLILQESCTDASGWSLVVYSPIDIPAANVVMSGEDPSGIPLLPSGFAILPDGRPGSSGGGGGASSSSSAAAGAMAAAAPGCVVTVAFQILVSNLPSSRLNAESVATVNSLIGTTVQQIKAALNCAGP >DexiUA01G0012990.1:cds pep primary_assembly:Fonio_CM05836:UA:26985406:26985720:1 gene:DexiUA01G0012990 transcript:DexiUA01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGIVRARVTVLQEKIDAKIKLAPAKKQASWPAPSDSAGRVVVYVMSLRGIQKMYRDCWSMIAILRSYSARVDGRDLSMHAGFKDELRAALGGGDGRWLPPLP >Dexi6A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:6A:12461113:12461562:1 gene:Dexi6A01G0010190 transcript:Dexi6A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHLLIVLLVACIVNATTTSAANLTADSTATVYDVLKQNNLPPGLLPLGVQSYVLHPGGALEVTLPSECNFFVTVAGKEFKFRYGSSVSGIIKSGSISRVSGVRMQVEFAWLGFNEVSRAGDMLNIQLEKSTQSFPVSAFSQSARCN >Dexi1A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:1A:9774466:9776210:-1 gene:Dexi1A01G0011000 transcript:Dexi1A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQQPRKQEATKGQEEGGQGQGQALSLEEIGKYRAEAQQRSADAIRAAEERFNKANAQPRGTTVVTYQETSKQPSAAHQQQQQQQGGSHGGAGHGSEEDAQRWLADTAADARERCNKAMGTTSPAAAHQQSNKEQEEGGGQQGRPHLTRQEEMGKHGGEEHKRSSAEAARAAVEKHDASEEETGAAAGQGVKDKATRVAQAAAEKSQEATATAAEYTKQAATQARDATSGAAGTAMEYAKQAAAKAKDATVGTGGAAAEYAKEAAAKGKDATVSTGGTAAEYARAAAEKAKEAAVATARTTAGYTQQAAVKAKDVTVSTGAQVAQKATEVTAKVAEYAKEKAEQGKERAARVADQAEEPAGEATLDEAKDSASKTMDKARDMTPGQQGDTTGDVARRAGDTVEQAKGKVKDVTGSVAHKASDMVWRAKDAAGGVAQRSRDTAGEARDKTKDTASYAEDKAGEAKDRASLGTDKAGGATATAKAKGQGGGEEDTRIVGDVLEAVGAAVVGLAQHTKGLVAGEQELVPVEGEEGKVVAGKAKEEKRKVA >Dexi5B01G0037900.1:cds pep primary_assembly:Fonio_CM05836:5B:37253331:37255845:1 gene:Dexi5B01G0037900 transcript:Dexi5B01G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGSAATPVPERGNKWPPSPHTLGDDEDLSKPSDDDDGGSKHSNDDGDGSKPSDDDGDGSNPSDDDEAGEWLVSGSEDEDEDDDDQGIHTPYTVDDIPRPGCDHEKQSYVMFRDPEAKLRGPLPMRLFPAFKGGKHYFGSDYNLADKSQIRVDSVEDCTNECFCFPMSLLQFVDMKIAGYRHTHPGRAKVYGFVAARERFDPLRNYVYRREIENCESVSVKRKTARVEVTVLRLGSVSPGGVNMKLYAKTSGFSEVIQLFRGAAPEPGDMMSFAVAVKRHSGFDLYIEGSRRDDLMLGQKS >Dexi5B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:5B:19915540:19918525:1 gene:Dexi5B01G0018010 transcript:Dexi5B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEASASLTRKCTAAAALQGEKLKQKAAEIKSGIDGAEALIRKMDLEARNLQPSIRAGLLAKIREYKSDLNNLKGALKRITSGNAQQGAREELLESGMADALGLDDVDDNIGKSRRIIGGMVRRMDRNKWIIGLIIAVLVLAILVILYFKFVH >Dexi2B01G0021230.1:cds pep primary_assembly:Fonio_CM05836:2B:31107003:31108282:-1 gene:Dexi2B01G0021230 transcript:Dexi2B01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRRSLGSGVFLLLCLVPLLRGATAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTQDGVDLVVKDPRGDQIHDCRDKISDKFEFVVQKRGVHRFCFTNKSPYHETVDFDVHIGHFSYFEQHAKDEHFGPLFEQIAKLDEALYDIQFEQHWLEAQTDRQAILNQNMSRRAVHKALLESAGLVAASVVQVYLMHRLFERKLRSSRV >Dexi5B01G0037680.1:cds pep primary_assembly:Fonio_CM05836:5B:37115226:37116440:1 gene:Dexi5B01G0037680 transcript:Dexi5B01G0037680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKHFILVHGLAHGAWCWYKVVARLRAAGHRATALDMAVSGVHPASLHEVSSFEDYSRPLLDAVAAAADGERLVLVGHSLGGLNIALAMERFPEKVAAGVFLTASMPRVGSHMGITIEEDLELAKLLLRPGSQFMEDPMMKDETLLTDGNYGSVKRVFVIAKADSSSTEEMQRRMVDLSPGAEVEEIAEADHMAMLSKPTELCDVLVKNVSGCHYICR >Dexi3B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:3B:7029819:7030247:-1 gene:Dexi3B01G0010150 transcript:Dexi3B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRQHGLRIQWCQHWIWHAGQVWDKGRGGGGGEGESHGCHGTNADALACSTAAAADIKGRGGEGRGGGGGEGETAQRGEEXXXXGRGGGGGEGESHGCHGTNADALACSTAAAADIKGRGGEGRGGGGGEGETAQRGEED >DexiUA01G0009370.1:cds pep primary_assembly:Fonio_CM05836:UA:18319580:18326147:-1 gene:DexiUA01G0009370 transcript:DexiUA01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSDTTYAVIYDLHSHTQASDGLLTPEALVHRAVEMRVGTLAITDHDTTDAIPAARAEIARSGLALNLVPGVEISTVWENHEIHIVGLNIDIEHPAMRAFLQEQKSRRNERAEMIGERLEKAHIPGALEGAQKLANGGAVTRGHFARFLVEAGKATTMADVFKKYLARGKTGYVPPQWCTIKQAIDVIHHSGGKAVLAHPGRYNLSAKWLKRLLAHFAECGGEAMEVAQCQQAPNERAQLATYARQFGLLGSQGSDFHQPCAWIELGRKLWLPAGVEPVWQLWEQPQKIEEREPRLINQAVEIVRKGGVIVYPTDSGYALGCKIEDKGAMERICRIRQLPDGHNFTLMCRDLSELSTYAYVDNVAFRLIKNNTPGNYTFILKGTKEEKRKTIGMRALLETLGEPMLSTSLMLPGSEFTESDPEEIKDRLEKVVELIIHGGYLGQQPTTVVDLTEDAPEVIREGVGDVKPFFRAEPSFDLIRRLSMSEKLQKVLARAGHGSRREIEAIIEAGRVSVDGKIATLGDRVEIVPGLKIRIDGHLISVKESAEQICRVLAYYKPEGELCTRNDPEGRPTVFDRLPKLRGARWIAVGRLDVNTCGLLLFTTDGELANRLMHPSLKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVGEVSPFRRNPLRPSQLRHS >Dexi8A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:8A:30382276:30382527:-1 gene:Dexi8A01G0018060 transcript:Dexi8A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQARKEAAAAAATRYLLKVDAARMRKAGAAQSRKNAAAPPMRKVPAPARAAPAPEATPPRSFSEGGGFFNGNNSDLFSSPG >Dexi4A01G0023050.1:cds pep primary_assembly:Fonio_CM05836:4A:26219083:26221343:-1 gene:Dexi4A01G0023050 transcript:Dexi4A01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAGDTKAPLLQRWSSSVWGFTGSGRLLWAQNEAWRAHAGMAFVQVAYGLYHVLTKSVLNVGMNQIVFCVYRDLLALAILAPVAFFRERNVRVRHPVTRRLLASFALLGFTGIFGNQLLFLLGLSFTNATYAAAFQPAIPVFTFLLAAIVGVEVIKIFTKDGIVKVLGITVCVSGAVLMAVYRGPTLVGMGGTIASDGAVLAGTWSGNAYSAQWLTTTMLRFGVEMETWHLGVVCLIGNCFLMGAYLVIQAPVLIEYPAGLSLTAYSYSFATLFMVLTGVFTTSGLHEWALTTTEVIAIIYAVISLYNPLQPACSTLLSTVFLGTPIFLGSAIGGVFIIAGLYLVTWARYIEAQRVLTARYHTLVEDPPMTKTGRGSFSGYIDP >Dexi2A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:2A:5493071:5494130:-1 gene:Dexi2A01G0005710 transcript:Dexi2A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGYALVRGEKAAILAMARKEGMSVRAAFADADGDGGKSFAMTHHLGLVLPQGLRRVREARCGRARGCQGRRSVDTSTRERSYDDPAFAAPEAGGSAWERTTRGVAANLAGCRRGAAPGLSRQKPTAVPISPSKARRDARTDDDAAMVV >Dexi3A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:3A:8658259:8658458:1 gene:Dexi3A01G0011950 transcript:Dexi3A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTLVRWEDLLTLGTHTMPAMADFLLLAVMLPIARLEETRGARHGSRDFRILEKSGGCGAE >Dexi9B01G0033770.1:cds pep primary_assembly:Fonio_CM05836:9B:35872697:35872948:1 gene:Dexi9B01G0033770 transcript:Dexi9B01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEKKTFRATTGGCGGGSGTECSTCLEGFEDGEEVSVIPCSHRHGFHPACITKWLGRSNID >Dexi4B01G0021620.1:cds pep primary_assembly:Fonio_CM05836:4B:23571500:23577974:1 gene:Dexi4B01G0021620 transcript:Dexi4B01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMANVSAAAARSLYDTTPQKGKGQPRCRTWVACTPLPSLSSGVQFSSPRCRPYPYGWTRGEQSEYGWHPSALHVTMSPAALASATLQSKPTLPTDNLGCPDDTVRHRRGINRAAARFCRRFPCPPHRAADHLTGDHSGKPPPPLRTRLAAASDAEPRKRSHAFGVPVPRPCFFSPPSVPFSNLNPSPPPPLPRRHARAFPAPPTYRLPVAPPPVSPTPNATAAGFQPPAGLSALTRRARSRHRGAGMAAAVEHRHHHQDEEDGEERRMARLLAARQALRAGVDRSRALGRALARSTPRLEEIQARLPAMEASVRPIRAPAEALAVAGGNIDRALGPAAAVLKVFDAVHGLEPTLLARESVANDLTGYLAVLAQLEAALRLLSDNCGLAAQWLQDIVSYLGERSLADPRFVSDLAGQLDGLKKGSSAADLDAGLLAAALGMLEAEFRRLLADHSAPLAMREHDSATPASIVPSRIPPSVVHKLGLILDRLAANGRLDSCSAAYADARGDTVGVSLRALGLDYLKETSEDAQVLSPSVERWGRHLEFAVHHLLEAERKLCVAVFERRPEAMPSCFAEIAARAGILDFLKFGRALADTRKDPIKLLRLLDVFDSLNKLRLDFNRLFGGKACAEIQSRTRELVKMVVDGAVEIFEELLVQVELQRNMPPPVDGGVPRLVSFVAKYCNQLLGEPYRSVLTQVITIHRSWRKEAFNDKMLVEAVLNIVKTLEINFETWSKAYGDATLSSLFMMNVHWHFFKHLKGTKLGELLGDAWLREHEQYKDYYSALLLRESWATLTPLLSREGLIMFSKGQATARDLVKQRLKAFNSNFDEMFQKQSTWVISDRDLQQKTCHLVVQAIVPIYRSFMQNYGPLVEQDISASRYVKYSAEDLDKMLNTLFLPKPGRPRRTGTARGPNNEWARSSRSPQAESLKKAQAPALRGVWGRDVCAQPYLHKVQRLGLKPWTFRLQTVRHYRCTRAALH >Dexi9B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:9B:5190945:5191722:1 gene:Dexi9B01G0008470 transcript:Dexi9B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGQQHPEAAEPPGKDGASGPPTPPPPPFLEVTCRSSGKVRRFAAGTTARYAMHAINRKLEPGGPPALHVEAVRDGEEPVSFGPSAALADYGRGWRLQTVTAQDAPGIHHADMKQAEKYSVATETPRSTYAYIAKILLAFVFIFLLGGLFTYMLEVLPDMLQTPPMSQSL >Dexi7A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:7A:8967356:8967982:1 gene:Dexi7A01G0002240 transcript:Dexi7A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASIIKDAIEYIQQLQEEERQVLQEVREMDPTAGAEARADKKPKRARSTPSFVVDGGAPPPPPVEVLELRVSEVGERVLVVSVTCSKRRDAMARVCRAIEGLRLHVITATITSVAGCLMHTVFVEFKE >Dexi1B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:1B:26210861:26211279:1 gene:Dexi1B01G0020010 transcript:Dexi1B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESCGMSPSPHPSPSMAAVAVVEARKAAAPAARRWARRVRKVRMGFSRTHASTNPIAAGRRWSAASQQRRCASRACGFESDCRGGVGGGQRRSRFAALGSAPDEVKPPASRC >Dexi3A01G0026970.1:cds pep primary_assembly:Fonio_CM05836:3A:23598498:23604418:1 gene:Dexi3A01G0026970 transcript:Dexi3A01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPRRRLRRLARRIRAMLPSATAPAGDAAASASPGDGRRAWEPPFDASAPSPPMSYPITDLAALASRSYLSAAANFHLPFNRASTPGSGEPLPARRRVLVCHDMEGGYRDDAAPQGGANPDAYALWHWHLVDIFVYFSHYLVTLPPPCWTNAAHLHGVKVLGTFITEWDDGAEVCKEMLATEASAQMYAERLTELAAALGFDGWLINIEVNLDVQFIDNLKEFVNHLTKTMRTAVPGSLVIWYDAITVKGELDWQDKLNEYNKPFFDLCDGLFANYTWKENYPQDSAAVAGNRKYDVYMGIDIFGRNTFGGGQWTTNVALDLLTKVDISTAIFAPGWWGLVEKSWGVLRGYPKQLPFYTDFDQGRGYQVSVNGQQVSSDPWNNISCQSFQPTLKYTGDQAQLQAFINFKDEPYGGGNCLTVKGSLRPNIIFSEQLFNGGLGMEDGSVHLFYSVRADASSVVGLSLDLSSNKHSTSILIAEDIATFIRKKQNHKYSSYVKADKVQPLAPDNQDWIVYKATAQSSASYILTGINIVCTLKIAGKISPETKEDRVSDANVDASSPYHVSLGHISIQKTDVNTEFPPAGSWVTEGEHISWSNSSNTTKHVNLKLSWKLKTPDQPSFRKYNIYVEKSTADPNSKPSRSYLGVATVDTFYVSGLEVASLVTSLKFIIQAFAHDGSWQELKECPEFFLDIAHSAV >Dexi7B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:7B:23735331:23735960:1 gene:Dexi7B01G0017780 transcript:Dexi7B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSEEPAPVKHVHITHQFWKRHQLLQKQQQQLLLHHQRLKPAVIWGWPASTVGGHAPVDVGDEDDDGLGGAWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRHGGGGAMAAQAQLGGAAAPATAEEAAPPHAAAGTTKYAVLYPILNSNAGGAVLIPGGDVLLSAPMALAPARERCHVSSDDDDDEEDKDVDLELRLWWP >Dexi5B01G0025090.1:cds pep primary_assembly:Fonio_CM05836:5B:27190386:27198507:-1 gene:Dexi5B01G0025090 transcript:Dexi5B01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGGGGGGRGGLGGCSCGGCALLAAALLVSALLVIHGCGGPGVPPVSMASLPLLIGQQMQRSAASPETAVHPGNHGKDHGIPVAAPSKDLLCRPCIHLKVLHLRNPRLWHQPLVWSIHLLSGFVDCTASCEDPLTNSPPGTTCLCVLPIKVELQFAIALYTFFTLVAELAQDIASGVLMNQSQVRVMGANAAPNDPEKTIVFIDLVPLGPKFDNAIALSVFERFWQKKVIINRMHFGKYDVLDVQYVGPDYVMTGNRLESRPISASPSFSSSLVAYKGSAKAFSLFEMERATQVFDECRIIGEGGFGRVYEGIFEDGERVAIKVLKRDDQQGSDKGAARLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGSNIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNGSTSFSQDLHIQDVEIMSRANIQVQVLYE >Dexi6A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:6A:22472650:22475310:-1 gene:Dexi6A01G0015090 transcript:Dexi6A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLGRLEAAVSRLEALSAGAHPSIAPRGLCDDAVSAKDPAILAFDDLVAGALGRVSMAAGKIGAEVVDMTRLVEKAFLVGKDLLIRTKQTQKPTMESMAAFLGPLNETILEANALAEGTRSSHANHLKAAAGSLAALAWIGYTGKGCGMPLPMAHVEESWQTAEFYSNKVLVEYKNKEPDHVEWAKALKELYVPNLRDYIKRFYPLGPVWQPPGNATNKAPSAPSPPASLAISSASSSQPKSGMSAVFAEIGSGKPVTQGLRKVTDDMKSKNRTDRTGVVTAEGKETRNAPSFGSTRGPAKFELQMGRKWVVENHIGNKSLIIEDCDTKQSVYAYGCKDSVLQIKGKVNNITIDKCTKVGVLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSEESLQTSITTAKSSEINALVPDASSDGDWVSSLLTSFIYLPRHSNFSSN >Dexi1A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:1A:6248446:6252936:1 gene:Dexi1A01G0008100 transcript:Dexi1A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTADEQILSLDVDPDESVENLKALLEVETRVPLQQQQLHFNGKVMQNSEKLSSIGVQDGDLVMMIPSNDRASQDVLKVNPDGSAANPQAFQQHVRSDSQLMAQILQNDRQLAQAILGDDISELQNILRSRHQQRMELKRKQEEEEALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDKRYRGVAVGVGQSEILGRIHVAPIKIGHLFYPCSFTVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVSVPFLQEKDIPAHIRDEEKLSKLASTLGQATGESSKAREGTPDLPQQTPTAGPPVANPSQGGDFEAKVTKLVELGFDRAPVVQALKLFNGNEEQAAAFLFGG >Dexi7A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:7A:23010088:23013315:1 gene:Dexi7A01G0012830 transcript:Dexi7A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKEEEEEAVEVMDLSMVPSRFRRICVFCGSSQGKKKSYQDAAVELGEELVSRNIDLVYGGGSVGLMGLVSRAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHERKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPTARHIIVLAPTPKELLDKLEEYSPRHEKVVPKMQWEMEQLSYCKSFEIPGLKEGTKPIIQAQRGTML >Dexi9B01G0040990.1:cds pep primary_assembly:Fonio_CM05836:9B:41471758:41472761:-1 gene:Dexi9B01G0040990 transcript:Dexi9B01G0040990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFEAAINYYHK >DexiUA01G0021460.1:cds pep primary_assembly:Fonio_CM05836:UA:44591917:44595575:1 gene:DexiUA01G0021460 transcript:DexiUA01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGPKLWRYYDFGPKVVPPLVCIPGIAGTADVYYKQIMSLCMKVHIYGTSLGGFLAQIFAQQRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLMLNVNVSSVGSLMLPDSLITIMDTNDYSAVPQQLKDQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTGNGSAGNSMDQKDGGDSFNDSPGDSGHRRSAGSDHDARYWGSESHDSDEPIPTSTMY >Dexi9A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:9A:2759183:2760782:-1 gene:Dexi9A01G0005050 transcript:Dexi9A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEVNYVEYSELKTSDTESEPWQPGSNVGSLLKYDNDNLKEGFALGHPLTKIADDVLPCDDSQEKNPERSENSEELGDIQHDGKAKLHSIGLSIKDGQQITEDSNTKDKSEYDVWHDTLSSTEELSVAAKSAETDTIADEKKPEFTHATRMKDSFKVHEDLRSLLSQLSTVSQAPGCSPTVQKQHEQAILHNITRAISLDRNYSGISESMVSEAEGECTIDELKQQIELDRKSISRLWKELEEERNASAVAANQTMAMITRLQEEKAALQMESLQYQRMMEEQSEYDREGLQKMTETVQNLLVEIEGYKIKLKDQLLADEIRDHMRLSCLKEHGSSISRTKSLSSFEDEKTYISKRLRRLRQKLHEFSNNSKHSPLFPELTGDEEDSVDDRNSEDAFEDADEDDNTDDSIFSKHLGGNGNRFRSSKQGKGNDPKGQYHAMVSENDLASFEDEISEISGRLLALEADRSFLEHSVNSLRNGEAGEELVRDIAISLRELRKMGITWKQYE >Dexi5A01G0031030.1:cds pep primary_assembly:Fonio_CM05836:5A:33920551:33920955:-1 gene:Dexi5A01G0031030 transcript:Dexi5A01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIWGHLTIPESAAIGRESGASPAAGREAAESADDTAGARRRIRRAAEDRRAAAAIAVVGEIAGEVVEALAKLWGR >Dexi4B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:4B:19147246:19148118:-1 gene:Dexi4B01G0017000 transcript:Dexi4B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVQGILSEQRRLTASGVEEVPVTFLKETSLTVTLDAPLAVQILLGQYFMSMMIGILHLDVLVVDLGGREHGLGILSFTVMSVTSFSSLYLPRLPMLMPWPGPQVTPVMLILVLPGPMEMQSSPQAMLVLMMLTPVESPMWMPSVLGLSPGADTVTSLITMSLHWNTFMWKNLELSSVMPDTSPLFTTSELGRILQSYFLAHEFLFHTAGPWPSSLPLPVITRLSTRRISIQLLLYWLRSVDARRLPSTWMVTSPLQGPVKLSGPVR >Dexi9B01G0039860.1:cds pep primary_assembly:Fonio_CM05836:9B:40543949:40549167:1 gene:Dexi9B01G0039860 transcript:Dexi9B01G0039860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGPPDCYVGMSDYHGGDVEEMEDEYDMNEPVDDMDEEEEEEEDEEEYQEPMVRDSDVEEEDEDQSHKVPDTSAADARNGKDIQGIPWERMTITRETYRQARLEQYKNYENIPNSGEAAMKACKSTEKDGAYYEFRRNTRSVKSTISHFQVSASILAGALHFIASNNDCGVREYDMERYQQYKHFRFDWPVNVSTIHTSLSPDGKLVIIVGDDTDALLIDANSGKQTIHSMKGHLDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSQSVHVLRGNVGAIRSVRFTSDGQFLSMAEAADFVHIFDIKSDYNKRQELDFFGDVSGMSFSPDTDTLYVGVSDRIYGSLLQFGRRYSYTYLDSLL >Dexi6A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:6A:4630967:4636437:1 gene:Dexi6A01G0005060 transcript:Dexi6A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAPGRFHTLQEAFKSSSHCILTSCSRELVRRSFPSFTDAERERLYRMLIRVASALDKIDEFEEEQNLDVLASEKTSIEEVEEKVSRAKKDEIEHLKGLLKKAEESNNALKARVELLKKGEDSTASRDLLNKRLLVPSWQPNGSHLLPPAPHHHQLPPSSQLHAMAATLSSVAAPSLTNRSSIVRAQLQAPPSVISASTKTGFHGVSLVDTRWAAGHRRSSGRRRLLQVNARSTAAKNIEVEVDKPLGLALGQKPGGGVVITSVESGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKSDSVYFVERATHICLDCGYIYFLPKPFEEQPDDYGCPQCNAAKKRFARYDVATGKPIGGTLPPITVINLS >Dexi5B01G0035740.1:cds pep primary_assembly:Fonio_CM05836:5B:35640251:35646854:1 gene:Dexi5B01G0035740 transcript:Dexi5B01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRRERRVIAVAGAAALVAVGLNIAFSAVAAHRRRKRRELPGFTAQVNLSAAEIKRLADRIIAKAKETYDSVAAVPLDKVSFANVVAPLAELDALQFPLVQACVLPRMVSPSEDVRKASAEAEKQLDSYFALCRQREDVYRALKAFAGKGERIGPEATRFLQGLVQNSFATCEIGLVKDFERNGVKLSQSKRKEMEKLKSYIAELNLKYLQNLNDFTKFLLLSEDELAGMPFEFLKDLEKAEGKLKVLLTSYHVTPILEHCRVGSTRKQIAVAYGQKGGKDNLGILENLVQQRHKFARLLGYANYADFAIEPRMPRTSRKVLEFLEEVSEQLSDVANRELSILKDLKMKEEGDAQLGMEDLLYYMKRAEEFKIHLDIGEIKQYFPVSLVLSGMLKMFQDLFALRFDEIKDAEVWHDTVSVFSVWDASSSDLLGYFYLDIFAREGKYAHTCVVTLQNGYLCSNGTRKVPAAVLLSQCPKEFDGNSALLRFPEVVRLFHEFSHVVHHISNRATFSRFSALRLEGDFAEIPSLLLENWCYESISLKMMSGFHQVVRINLAYCVLCLVDQIIHSSENVDIDSLIKELHPKVMLGIPLLEGTSPASCFPRIAIGYDAVCYSYIWSEVFAADLFVSKFKDDLLNQHAGLRFRNKVLAPGGSKDSLEIITDYLGREPTA >Dexi3A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:3A:5484683:5487979:1 gene:Dexi3A01G0007860 transcript:Dexi3A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRAYLLLSLLLLLASAICSTAQTTEPLPPPPPPGAPRPPHRHRHVAPPGSPLTPPPAGLPPPPPPPPRRRHAPATPTPVAVQPAQAPPPTPTPTPAPPVVTSPTPKYPSSSANPSTDPYPFTNNPFFPTVTPPPPPPAAETQPSSSGDGGVPTFPANISTLVAPTRASGSHRFPVLQALLLSLLSLCLLLLSALLSIHLVRRLRHHGRSRAAASTAAAGNRRAATVASSDDGDSDDKDVRNMKDEVIQLYLNNSAAVAAPREVCLLGAARCHGIGMVVGALGVSREQLRDALLEGNAHGLGVEALRMLTQMVLSNEEELKLKYFKDDSLTRLCPVEAFVKAMLDVPFAFKRVDAMLYIASFYLEVNQLRLSYATVEGACQEMRSSRLFHKVVEAVMNFGNFMSINAGSPSSHCLEPNTVLKIVDVKGADGKAALVQFVVQEILKPEGHDAKCSMVQGDAGCRKHGLEVVSKLAAELSNTKKAASIDIVMLSRSVSDLGMGLGKVHDVMRLNSMVTSADSARRFHNSMSTFLKQAEEEILKLQSQESLCLSSVKEMAEYFHGDSVNDEARMLRVFAGVREFLAMLDRICKEAGEISDNSWVGSTTASWTAAPMGMTP >Dexi5A01G0038050.1:cds pep primary_assembly:Fonio_CM05836:5A:39166953:39169105:-1 gene:Dexi5A01G0038050 transcript:Dexi5A01G0038050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSRALAHALARADAAASSPIAGVRSLRVLSSLPQDPSAAGSSPRQPWVRSPLDLPKEGASTKVKAFSPLEATIAKPRIGPLRLESLKVKGNEIVTGSTYYMIPAILLTSRNSVSTSFLVMSVFHQIYMFHKEILLDYVHNEVTRKWALIYFKVLLLIMAKDTIVYFSLV >Dexi5B01G0024460.1:cds pep primary_assembly:Fonio_CM05836:5B:26492309:26493055:-1 gene:Dexi5B01G0024460 transcript:Dexi5B01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSMMLPWKKFKRAQQPEPRRATAKALFVIRNDGRPSKPEALVFHPHNYGRPGLQKPGRMEDIKNHDKCRYEYDCMDVLGMRLRAPKPPRLPCGSTKSVAVEPMEEGDMTRPPVFRFRPTTTDGGRAAATAAAATDGCKTPMTPRRTPLWQRRILMGSRCELPRFSGVILYDEQGRPMRSSSQNRAAGHLTSRASKNESTDCQDNHNT >Dexi5B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:5B:20750469:20750807:1 gene:Dexi5B01G0018540 transcript:Dexi5B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDQTYLVVERVLGLMSEDAEGKEAVDSKDSWTYATEREREREVPTPLRLPRRRRERSLQARACEVTSPTQQDEEEPNESPTAISPPRAARMIHQRRRSAALALDLLSPLT >Dexi9B01G0028210.1:cds pep primary_assembly:Fonio_CM05836:9B:30812147:30816224:1 gene:Dexi9B01G0028210 transcript:Dexi9B01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPETASDGKALTDAWDYKGRPASRASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGAGADCVPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEAHDGERGKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGICAVGILCGLAVFLVGTRRYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPDMLYDIDDAAAAGADVKGKQKLPHSKECRFLDHAAVVNTESPATASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMNRAIGGSGFLIPAGSLTVFLIGSILLTVPIYDRLVAPVVKRVTGNPHGLSPLQRVFVGLFLSVAGMAAAALIERHRQATSEHGVTLTVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVDKVTGHNGHGGWLADNLNEGRLDYFYWLLAVISTVNLVLFTLAAKGYVYKEKRLADAGIELADEEAIAVGH >Dexi4B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:4B:6544933:6547227:-1 gene:Dexi4B01G0009120 transcript:Dexi4B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFAMKGRDLNGSGKTPTNRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVVEDNEPASRWLALIYQALNEPQEQTDEDDDPPPPDPPPDARRHYHHHHRRRDSSSLFFQTPSLKVLSNSYRVDSALVKTCNCSGEQSSMRRRASEIRASVYRAEAESDAAAASAGEASTSGCIDAEADGADGSPTAAAQCDPGSGGGGGMSYCLIASKQMVGLFLSVWVKKELVEHIGHLRVDCVGRGIMGWLGNKGCIAISMTLHRTSLCFVCSHLASGEKEGDELRRNADVAEILSYEETRTLLEENDWDALLEKDQLMIEREAGRVFRGWKEGKICFAPTYKYTQNSDAYAGETAKSKKKRRTPAWCDRIMWHGDGIEQLQYLRGESRFSDHRPVCGVFAVQVDADDGSKIRRSYYSVNARIGQDRPA >Dexi3B01G0021740.1:cds pep primary_assembly:Fonio_CM05836:3B:16603020:16603673:-1 gene:Dexi3B01G0021740 transcript:Dexi3B01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTPPPLLPVTNPTAGSAPATAGGSDAPIAQSAFRLFLSRLSDSARRSLSDRRPWGELVDRSAFAKPDSVSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLILLVLLAAWCFLYLFRASDQPVVLFGRTFSDRETLLGLVGTSFVLLFFTSVASLIISGLLVGGALVAAHGAFRVPEDLFLDEPSAASGNSAAQGLLSFLGGSGSGV >Dexi3A01G0027280.1:cds pep primary_assembly:Fonio_CM05836:3A:24161542:24161881:-1 gene:Dexi3A01G0027280 transcript:Dexi3A01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVKEKEEEKEKQDGTDIEEEEEDKDGNKRNVALGPQVPLKEELELDKDHESLRTWKEQLLGKLTRNNLEEI >Dexi4B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:4B:15478487:15479171:1 gene:Dexi4B01G0014480 transcript:Dexi4B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKSEKARKVAHGERDEVEFGVGDVGEERVGGELDAPRRRDGGGARLDGGDGDVGARAPEHVDCDDRLHRLLSQGGIAGAKGAIKAAGREMRD >Dexi2B01G0030670.1:cds pep primary_assembly:Fonio_CM05836:2B:38931645:38931974:1 gene:Dexi2B01G0030670 transcript:Dexi2B01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHEVLLRVPAKELCRLRLICRSWRSLTSDPHFARAHSACHPIELEEVHIIDMYSGSIVKRIRDIGDLCKHMSTQAGLVCVSTRTSDVDEQDIVINISGH >Dexi7A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:7A:15556930:15557280:1 gene:Dexi7A01G0004620 transcript:Dexi7A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASTSQDKEDQPEVILSEVEEDKTKGINLLPPSQSRKWIVELQAKLGQAAARGKQHQGENFTLMQALANGSGLSVMDNLGQMSQAVGFKDAHIFVSLTRC >Dexi9A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:9A:16448259:16448969:1 gene:Dexi9A01G0021600 transcript:Dexi9A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAEAVVAMVQAQQMEAAVGIPRCNEDTAVESGPGVRRRTPPRRAASVSDPTEDAAVDSGPAVDNPASYADVWDPL >DexiUA01G0019620.1:cds pep primary_assembly:Fonio_CM05836:UA:40851779:40852042:1 gene:DexiUA01G0019620 transcript:DexiUA01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVDGAVGASGGVVDVEGTRKGTRSGERSCCCTYHHARSRVSAHLSARSREAGRVRARSRLAALELALGAPLYRYARATAPLLTP >Dexi4A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:4A:20436474:20436881:-1 gene:Dexi4A01G0016720 transcript:Dexi4A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPPSGTNLFLYLLLLFVLAAAVAASAAAPEEEFTEELLLRPLPDRKALAHFYFRSSAPPAASVGRHHHLFPKAISQLIN >Dexi2A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:2A:4198901:4199233:-1 gene:Dexi2A01G0004610 transcript:Dexi2A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Dexi1A01G0030080.1:cds pep primary_assembly:Fonio_CM05836:1A:35428612:35431016:1 gene:Dexi1A01G0030080 transcript:Dexi1A01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAEGLLHRRIEFHAATKPPPHPTPATAVAMPGGFAMERRLFAGAEKRAAAASGREGRGFENGESSAAAAGFDPEPSAARIYLRRIGAGLHNLGNTCYLNSVLQGLTYTEPFVAYLQSSKHKYSCKFAATCNQTIDIPRQANGFCALCALQKHVRSALRSTGKILTPMLFVKNLRYCPVLDCKAGISRSFCYSRQEDAHELMVSLLESMHKCCLPSGIPSESPSAYEKSLVHRIFGGRLRSQVRCTRCSHCSNKVDPFLDLSLEIGNATTLMKALYNFTEEELLDGGEKHYNCQQCKQKVAAKKRFLIDKAPSVLTIHLKRFSPFNPLQKIDKKVDFQTTLNLKPFVSNSEGTDLKYSLYGVLVHAGWNTQSGHYYCFVRTSSGLWHNLDDNQVGNLILLKLTYLTCC >Dexi2B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:2B:2636086:2636289:1 gene:Dexi2B01G0003030 transcript:Dexi2B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSVVGVCRTGKKDYSTAILERKKATNRLVVDEATNDDKNPCAAPGHHGKAAAGCSSSVAIQSSR >Dexi1B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:1B:8228452:8231161:1 gene:Dexi1B01G0009210 transcript:Dexi1B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding DREFDTTMDITQILLAAQSPDANQRTVAESNLTQFQEQNLPNFLLSLSVELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLLQQWVTVDPSIKLRIKDSLLLTLGSSVHDARHTSSQVIAKVASIEIPRREWQDLIAKLLGNMTTPGASAPLKQATLEALGYVCEEVSPQHLEQDQVNAVLTAVVQGMSQTEPSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVVCETATSKEVDIRQAAFECLVAIASTYYVHLEPYMQTIFNLTANAVKGDEEQVALQAVEFWSTICDEEIELQDECDGADDANSSAHFRFIEKALPSLVPMLLETLLKQEEDQDQDDTVWNISMSGGTCLGLVARTVGDSVVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQSGLDFLLNTMNDPNSQVKDTTAWTLGRVFELLHSPISANPIINNANLPRIMAVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESMSSVLTPFLPNAIAALLSAADRADTTHFRLRASAYEALNEIVRVSNIPETAGIIGQLLQEIMRRLNLTFDIQILSSGDKEKQSDLQALLCGVLQVIIQKLSNSDAKSIIAQAADQLMHLFLRVFACHSSTVHEEAMLAIGALAYATGPEFVKYMPNFFSYLEAGLQNYEEYQVCSISVGVVGDICRALEDKVLPFCDRIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAELLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYGTHLLQFTEAVFRDRSRDESVTKAAVAVLGDLADTLGPSAKDLLGSNLFHIEFLRECMDSDDEVSETAAWAQGMISQAMA >Dexi2B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:2B:3318703:3320103:-1 gene:Dexi2B01G0003810 transcript:Dexi2B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAPVFPPTTTTRHFVPTKQSAPATTRRFVPKQQPAPASVEVMEVASPAPSTPSGSSSPAASAPPPAFTTSASRKQQQLMAEVASGLAAARPVPQRLRFSKDFVPPPIKVLSASMPPAPDVMDAGSSAPSTPSDSSSSMEMTPSPRGPLPSFSSTSAAPPRVVMMPTPTSAPPRPFPAPAMAPPRVEVRQVWVHNFEAEARLIESLLPKFRYVAVDTEFPGTVYRPAGAAYTLTPARRYELLKLNVDSLDLIQLGLTLFDSGGRLPTAHLPSGELTATTTVSYVWEFNFREFDVRRHRHAPESIAMLRSKGVDFDRNHRHGVGASSEFGPRLRKWLRSGGLGRGGVVTFSGGYDVAYLVKAMFGEGYRMPATAAEFEGVAAAALARRKVFDVKEMARLCPGDLRGGLDAVAAKLGVGRAVGEAHQAGSDSLLTCHAFVKMRERYFDDDDDKLARVAGVLTDITAY >Dexi2A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:2A:22081191:22081616:1 gene:Dexi2A01G0013510 transcript:Dexi2A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSASTTVTMPIMQSLPKDCTSCCGMRDARGGNSQTNSERVEEADDGVDVTLQEEALEGIEDVLVLVLEIRDAIPDEGSGGGRRGVGGGGDSWWRILGTRASAVKGGVHDIIDVVGRGGNEGVDAWLVVVSLRRACRRST >Dexi7B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:7B:20821827:20822109:-1 gene:Dexi7B01G0014680 transcript:Dexi7B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFCFGGSRVAKRMSERAPMVDGCVFCDIARRAPTSTTALLYSDDKVVAFRDINPSAFR >Dexi9A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:9A:3841403:3846318:1 gene:Dexi9A01G0006770 transcript:Dexi9A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLRKAKAAVSASRLLSTSSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDSEGTCQGVIALNMEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVLHIKGDDPDAVVPGLMAAGEAACASVHGEKQKPLDKGVGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWASFHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFSTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEIESFPPKARVY >Dexi7A01G0021780.1:cds pep primary_assembly:Fonio_CM05836:7A:30302217:30302763:-1 gene:Dexi7A01G0021780 transcript:Dexi7A01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTVLKVDTSCAKCKRKVLQAVSGLQGVDKLEVDSEKGTMTVTGTVDPVDVVKQARKAGRRASVLTIGPPPKPAEEKKPEQEKKIEEKKASGGEEKKTTASAAAAAEKKAPEQPATVFVHHVPSWPPACHRYQERVVYEQDPPPCSIM >Dexi4B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:4B:21351171:21356495:1 gene:Dexi4B01G0019100 transcript:Dexi4B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAGGHTPPRPSVSGGATPPPPPPPPPPRGWLAGLVSGAGRILASVLGPESSASGSGSVTASDGGSPSVSCSPAPCRLPGPSGEGHNGGIDNGDSPLFPVRNDQLNQGVKETALKDYAGSLAIVSEIEPKDALMQLLMQETYSRSECSKFIKIIQERVMDSDSGDIDANGFALMSAQKTGRQAVDGYSLFSPNESSPTSSSLQMHRCDNSVAVGTIPKLTHTDQSPFIQNSNIAQPVLKRNYSIRDDAYEEIRRVRPKINGNPLNISKFKQVDIIRNHPAANLGEELAARDPNASRDEKKLLTDPNANNLVYPNIVSKVESADEILNVTDKPSAVTPQLFDSSFSQAGRDQKGFGATTLNQCSSEDLKKGFPVKVEPLNVFIPFEQQMMNLSHHKQEHTICDDSCSLSKLMLKEDIEASHSFPMGVQLQNGSKNRRRRQSSSLKTAPTPRSPAKGSRRKNNDIVVKSEMDLLEQSKLVLTEQEQQLGEIPVKRPVGRPRKARIGTSAVDPSTPAS >Dexi5B01G0029860.1:cds pep primary_assembly:Fonio_CM05836:5B:30983794:30984191:-1 gene:Dexi5B01G0029860 transcript:Dexi5B01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASIEDPTHTVQLPPLSPRGPPLRWLPPRPPLPPPPPPLASARLAAQQRSTTSRSAHSGRTRLVVAFILISRSQAIATLFLTELGAPCCGRGEQLSDHLGVFIWVIPRS >Dexi3B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:3B:5642937:5644651:1 gene:Dexi3B01G0008000 transcript:Dexi3B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENVSRWLKELRDHADSNIRIMLVGNKTDLRHLRAVATEDAQNFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVVSDDSGAGATGGVKEGKTINVAAGDAGAEKKQCCST >Dexi1B01G0024000.1:cds pep primary_assembly:Fonio_CM05836:1B:29472404:29473622:-1 gene:Dexi1B01G0024000 transcript:Dexi1B01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPPLEKFVSKLISKCNGRDRWRKERLDYSLAYPPGAPTEIHYVQPVARTVTFASNNSVYVIPPSPPPQPEPQPKQQQSPPQPEQPQTPPQHEPEPEQHHDAPQSQPQPQPEQPAAEPPAEMQDAPPPPAEPKPPKGQKRGKKKHSGRVRFGPEPPPPQQEEQPPQQQEEEEHAQGPGDSGGNAPDHQQQKEPHGAAPAPPPAHQGHGYLLRYTPSPLPRWEATPRRQEYFSGEYRRYYPTPVREGIYRIATDANRLTTIFSEENPNACTIV >Dexi6A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:6A:21352305:21355032:-1 gene:Dexi6A01G0014010 transcript:Dexi6A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTERGAHVSWRATVEAVGRGAPMWDSHVGRFLGRVAAEGISLATFQCFASMERDFLGAIGRAAKGGAAADAVKEQSRTEPDNPPATQWQFPAKSGSAPAFMSFRTAREEGSKEFSISGFRPAAANGDAFDGIKKQASLPLLPQQRQFGVSNQVTAQQYPGAPHGQRVQGMDYSMAAHHHLPGGSRMVQPVSVRHPAPFNQANPMLRSQSFHSGAGMPVKNQPFTMSNGFGGSTVGVYGSRNPRNQTSTQLTIFYNGSVNVFDNVPVEKAKEIMMLASRASIPSPPSVSHKPDSPISAPATVSVPEVLPVRQIVIQKPEPEVLPARQIVNQKPEPEVLPARQIVTQKPEPSAPYLSRTSSPIPVMPQVVTLSRNTSNCTTESTGSKPAVQMPVTAPNSQASSSQLLQLTATSNAAAAVPRAVPQARKASLARFLEKRKERVTTVEPYPTSKSPLQSSDTIGSPNAPTKSSSTDIASTSKNSEEPLCFGQPRNISFSSEACPSTKLHI >Dexi2B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:2B:2152803:2153888:1 gene:Dexi2B01G0002620 transcript:Dexi2B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRYLGGPGSKKWILAMDGGERPSAGQKTPFPPEGELVELLWQDGAVVAHSQAQRAFGGDTGASGITDEAPAPWLPAGGGGGMGGDVYSQLWQSIAQADGRVGADVRVRPPAMSRNSGAGSSRTAGGEVGSSFCGSNLVAAALHLDDDIDDVAALPPPPEEPGPSTSSGWNSNALLKRSRDEFDSRSEDADFDTVDETPPSRRQASKRRTRAAEVHNMSERYC >Dexi3A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:3A:11162482:11163534:-1 gene:Dexi3A01G0015100 transcript:Dexi3A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARLYGPALAVRRWEYINAGVYAFAALLLASGLGALAAGGGARPALAVAAVALAAVAAVNVHDLAAHLAGVDWRVGLARYDAQLGLVEFLVPALHAAGCVLAVAGLALLVSSQGEGAGYIISYRREKHAANMLLAAALLWLLGSVLNSCQVYERADGRAQLLQSSVQVPLLLGSLLFLVAAVLNRRRVSGSWRHEPAVLVDRSWAWLCMLGSLLWLAAALLNGLKVFMMHQSDAMRLEKLRGGAQEWLSRDREGRVPLNWEEAARRRALPAELR >Dexi9B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:9B:892999:894558:-1 gene:Dexi9B01G0001550 transcript:Dexi9B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPENAAPIPAPPAPEPAPAPAPAPATSSPPPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFTGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWLPKV >Dexi8B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:8B:25966525:25968040:-1 gene:Dexi8B01G0015180 transcript:Dexi8B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDYGDDSICRAALREAFGDSLDSDSDELAGVSPGAGHGRWRWEAVAGIRGLWLCATFLTADEQARLLAAIQREGWFMDANNQAMRFGDLPSWAIELSALIREAICVGHVDVDAEMTNEDEDVCPLPSDLLWREPFFDQMIANRYNPGEGICAHVDLMRFDDGIAIVSLESACVMHFSQAEPTGTTLDTLKLGDGEPTKVPVYLNPGSLVVMSGDARYLWKHEINRKPGAQLWDGRELEQHRRTSVTLRKLLASPS >Dexi2B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:2B:6497113:6499717:1 gene:Dexi2B01G0006570 transcript:Dexi2B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRFRIRSLLHLLLLFVMATNPKTTETSPVGHAGDEGALLAFKAKVSGHSGILDSWNQSSSYCSWEGITCSQRHQQRVVALDLNSQGLTGTISPAIGNLTFLHSLNLSFNSLQGDIPLSIGSLGRLRSLDLTQNMLTGIIPSNISHCTSLLVMCIGSNKGIHGRIPPEIGNMPLQNLEVLVLEDNKLILSGNKLTGEIPDTIGNCRDLEILLIDKNSFEGSIAATLKNVAEYGEGLAVSTNGDVFSLVLDAIANKEVVNE >Dexi8A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:8A:12821221:12822032:-1 gene:Dexi8A01G0009560 transcript:Dexi8A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLPTLRQKREVDAAIRDTLDKVFVLRFGRAEDAACLHLDDILAKSSWDISKFASVALVDMDSEEIQVYIDYFDITLVPATIFFFNAHHMKMDSG >Dexi2B01G0023790.1:cds pep primary_assembly:Fonio_CM05836:2B:33294124:33299103:-1 gene:Dexi2B01G0023790 transcript:Dexi2B01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASMISMSMWMAALLLLLPALCISNDRLAPGKNLSPGNTIISDGGEFALGFFSPSNSTLEKLYLGIWYNNIPSLTVVWVANRETPAISSSAPSLALTNNSDLVFFIRNGSLPEWRGSVWTGYTVSSQYFANTSIVIYVAYEDNFDQMSAIFSVSDGAPPVRSVMSYSGRVEVSVWNRNSSEWAMLVASPDVQCSRYGYCGLSGYCDYTDATPTCKCLDGFEPVDNKEWSNAKFSRGCQRKEELRCSDGFLALPDMKVPDNFVRIGRKTLEECAAECSANCSCVAYAYATLNGSTANGDSTRCLVWIGDHQLVDTQKMGVLPYSTAGADTQDTLHLRVASLSGKRLSPGTTIISDGGAFALGFFSPSNSTPEKLYVGIWYNNIPSLTVVWVANWEIPAVSSSAPSLALTNNSELVLSDANGHATPTCKCLDRFEPVDKQEWSNARFSQGCKRKEAPQCSDRFLALPDMKVPDNFVRVGRKALEECVAECSANCSCVAYAYANLNGSTADGDATRCLVWIGDQQLVDTQKMGASPYSNAGAETQETLYLRVAGLSD >Dexi4B01G0021660.1:cds pep primary_assembly:Fonio_CM05836:4B:23597278:23605127:-1 gene:Dexi4B01G0021660 transcript:Dexi4B01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALFVVLRKVAISLGEGALAKIGTEVVEAAPILMDFEHSMKQIEGELSVLQAFIGQVRAQKAGDKAFDAWLDIVRDVAHEVEDIIDEYAYLTTQAADTESFFKRKFHQVKNFAAWQKLPIQISQVEARIQRLAEMRNRYGISVGQIDNSNKLLIPNLPSDSAYLSDNSEMVGHAVEIGRLTEWLLEEKQDRTLIAILGWFPNLKSLQLADMEHLSRIGIEDGTMTEACSGIYLYGKVVSDIADALFVVVPKKVALSLGERALERIGTVVVEAAPLLTDFEHSMKHIEAELLILQAFIGQVGAQKVGDKAFSAWLDQARDVAHEVEDIIDEYAYLSAQAVDTGLFFKRKFRQIKNFVPVKIGFTTRELDADEKFVYNESAAKLHGRVMELPDRDD >Dexi9B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:9B:3473223:3475453:-1 gene:Dexi9B01G0005860 transcript:Dexi9B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLKQNREAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMIAPTAFQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFLQLYVHKDRKVVEQLVRRAEKAGFKAISLTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDIGKMDKANDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHAGASGIIVSNHGARQLDYVPATISALEEVVTAAQGRIPVYLDGGIRRGTDVFKALALGASGIFIGRPVVFALAAEGEA >Dexi3B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:3B:7309598:7311834:-1 gene:Dexi3B01G0010440 transcript:Dexi3B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDDKDIEDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPKLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHAEEFANGLPGEVDP >Dexi5B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:5B:8410221:8411630:-1 gene:Dexi5B01G0011850 transcript:Dexi5B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAGKGGGGGGGADGSTGLEESMRRMGLGGDGEAGDAKLPERPGEVDCAYYLRTGACGYGEQCRYNHPRDRDRAAPVNGVERTAGTVEYPERPGQPLCEYYAKNGTCKFGSNCKFNHPKEGGFVPVALNSSGVKRNVPIT >Dexi7A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:7A:18956384:18959945:1 gene:Dexi7A01G0007670 transcript:Dexi7A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPDEILLSTSLAGFLDNVFYGLLCLRFCLATYFVDFFHILIAEKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEKEARDLKGTMRKRMEFLDFD >Dexi5A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:5A:1463390:1464474:1 gene:Dexi5A01G0002070 transcript:Dexi5A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAVGTAPPVFDGGAPPFGRQQQQPDYSFSGRVLLTAVVILAILTVIFILIRLILYQFVARARGGGGGGLTAGVRRTFGSFGRSARHGLDAAALASLPVTAYRRKLQADDASTSEAAAAAADCAVCLSELADGEKVRALPSCGHVFHVDCVDAWLRTRVTCPVCRAEVRPNKQVAGNARPSAPAPAAPVFRERGTLVVTLEGGAAETTTSRGARAAVSSGAAAIS >Dexi5A01G0025040.1:cds pep primary_assembly:Fonio_CM05836:5A:28906096:28909309:1 gene:Dexi5A01G0025040 transcript:Dexi5A01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEANAAAVARGEDAEAPEGKNWRRKGKHDKPKPWDEDPNIDHWKIEKFDPSWNEGGMLERIGEMLLVCGAEKYLQESWPIVKGALKEHGISCELNLVEGSMTVSTTRKTRDPFIIIKARELMKLLSRSVPAPQAVKILDDEMNCDIIKIGGLVRNKERFVKRRERLLGPNLSTLKVKIVLIRMDNHLAPNIFVVAYTLFCVLQAIEILTGCYILVQGNTVAAMGSYKGRGLKQVRRIVEDCMKNVKHPVYHIKELLIKRELAKNPALATESWDRFLPKFKKKNVKQKKPQTKEKKPYTPFPPPQQPSKIDVQLETGEYFMSEKRKSDKKWQEKLEKQSGKAEENKRKRDAAFVPPKENTAGPSEPDKTANDNSEIADRAKSLKKKAKEFRKSEAQENFRAESYLASNEESRLKKMKSTKSK >Dexi7B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:7B:20180781:20183041:-1 gene:Dexi7B01G0013740 transcript:Dexi7B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPAFTEAAAAEPPLAESYLDLLRRGGGIAPPMTGGAAVQVRELPLIDLGCLMKTTASGGAREARACSDAMARAASEWGFFQVTGHGVGRGLLERLRAEQARLFRLPFETKATAGLLNGSYRWGAPTATSLRHLSWSEAFHVPLASISGRGACDFGDLASLRGVMQEVADAMSRVAKTVAVALAGSLLQKGHESSAAAAFPPGCDETTCFLRLNRYPACPFAPDTFGLVPHTDSDFLTVLCQDQVGGLQLMKDSRWVAVKPHPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKAERFSAAYFLCPSYDSPVGTCGEPSPYRSFTFGEYRDKVQEDVKRTGRKIGLPNFLKHQPRLH >Dexi2B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:2B:20521582:20523886:-1 gene:Dexi2B01G0013130 transcript:Dexi2B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAPPLPAALPSGSCSAPPASAPRLLLSSSRAPASLRPPRAVARSVSVSVEAPAAAAEPALAGAPSTTPRRRLILLRHGESTAGGRSTKVITSSAKAACLIFGLMSDHDRPLSKAGRADAISVSNKLQQMGWIPELILCSIVIQYGIHVILIILFPHSDAMRTKETLKILQEHVQGLSQAVVHFIPSFYSIAAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEASGKSWVEAFSMAGLGGWKLHGIVKP >Dexi6A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:6A:4164549:4164941:-1 gene:Dexi6A01G0004610 transcript:Dexi6A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLDVLTICGLFAVQCIFGLYMMFLDGLLAAGVPSLFIIVIACAASSIVVLPFAFALERKKWPKVWSPMLVLQLVIISLGG >Dexi3B01G0032980.1:cds pep primary_assembly:Fonio_CM05836:3B:35369268:35369615:-1 gene:Dexi3B01G0032980 transcript:Dexi3B01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEQEGTRRDPARGTREEMRRRGGRERGPPALLPGDHRRRGGAAIGAGGVRDGGAERAPSVADLGTGPPSGLGLARFLYRPNRPVRVSNRTRSDSTRPGLVRPGPPASLGRRC >Dexi9B01G0044320.1:cds pep primary_assembly:Fonio_CM05836:9B:44098847:44102402:-1 gene:Dexi9B01G0044320 transcript:Dexi9B01G0044320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTAGEAAKSPWRASVIVKLNAAFLAFFLFAYMALLLHPKYSYLLDSGATSLVRCTFRDDASCPPSTQLSRKLGGVPANKKVVSERVVNPGRAPVMFDELRGRLRMGLVNIGHDDELLLELGVEGEAVTVDMERVSDVFKWSDLFPEWIDEEEDDEGPSCPELPMPDWPRYASGGDVDVVVASLPCNRTAALDGGGGSSWNNRDVFRLQVHLAAAQMAARMGRRDGEGAVRVALRSECGEPMMDVFRCDEVVGREGNWWMYKVDVERLEEKLRLPVGSCNLALPLWGSGAGIHEVLNVSAAPSPVTSRRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLILLHDHTVSKPALRALSAAGWTPRKIKRIRNPRAARGTYNEYNYSKFRLWQLTDYDRVVFVDADILVLRSLDALFAFPQLAAVGNDGSLFNSGVMVIEPSACTFDALIRRRRTVRSYNGGDQGFLNEVFVWWHRLPRRANYLKNFWANTTGERALKERLFGADPAEVWSIHYLGMKPWTCYRDYDCNWNVADQRVYASDEAHRRWWQVYDQMGEEVMSGPWCGLSERRKVEIAWARHVAEEAGFADQHWRINITDPRKWE >Dexi2B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:2B:11514485:11515304:1 gene:Dexi2B01G0010320 transcript:Dexi2B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAGVPVTTGSGSGRVSFASVPSSRLGRRLVARAEEEAAAPAEAAPEGEGAVATKPKAEKPPQIGPKRGSKVKILRRESYWYNGIGNVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEVK >Dexi2A01G0030410.1:cds pep primary_assembly:Fonio_CM05836:2A:41358188:41358789:1 gene:Dexi2A01G0030410 transcript:Dexi2A01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRALPGMEQDTALAAAYLPDELVVEILARLPAKSLCRFKCVSRRWCRLISDPAHRARLAQTLSGFFFVSRDPAWRFTTLPSSVTPLDLTGDDGLPLVDTALSFLPPSCGRIKVYIGLMQWPPPPALL >Dexi8A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:8A:23001614:23002612:1 gene:Dexi8A01G0013030 transcript:Dexi8A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQGTIDLKQELEQLLTLISARCLLGKEVREKMFDEVFSAFRKLSDNSLHLTSFFFPYAPFPSSWRRDKAHAKLKNIFTEIVRSRKSSNRCEEDVLQSLIDSKYKDGQPTTEAEVTGLIMVILFAGKHTSSASSTWTGARLLSHPQCLEAAIKEQQEILKKYKGEIDYNTLLEMSVLHRCIKEALRMHPPAPTFFRTVHKNFTVRTREGHEFEIPRGHTILSPLVINNNIPYIYKDPGVYDPDRFGPGREEDKVKVIWSHLLRNFELQLVSPFPETDWLKIALEPKERVMVRYKRRPPCGLYTEN >Dexi8B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:8B:1021370:1024498:-1 gene:Dexi8B01G0001550 transcript:Dexi8B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGPSSPAPEPPPTAPPEEGDGWVLLPPSEVEGVEDPKVIHWEDLQQELARLWSLSAALQASRDRKAHLAARLESALEARRAFLQQDNELAEMRQGLQDHTDYLGDLKVRTKKSSEDVEGQRAQLCISIRTLSLASKNLDAARRNLEEANKLLSTENGCGRLKNLEQKLRMRQQYMIAQVDKIYPVRPLDVQSPDHKLGFTSNIIKTRNAESVFPNGSQNRPLAILGLQLSKLSVKKAGYFSDKTEFQKSSTVLGYTAHAVSLIASYLNVPLRYPLLFGGSQSYVLDPAPAVEPPSITSVDIEQLLNYIGAESLGPRHVLANLKQLTTIIQSQQYIPI >Dexi7B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:7B:19321529:19322974:1 gene:Dexi7B01G0012590 transcript:Dexi7B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPSPFAMAAVDEKVSPHVVLFPFLAHGHVPAFLRLASLLRARRPGLDVTLVSTPRILGSLSLPPASPPLRLHALPFSPADHGLPLGADTLADIHLHQFIAFFQASESLRPAFEEFVSGIGSPVCIVADAFFGWTADVARARGASHAVFLPGGAFGNAVFFSVWEHLPHAHTAADEFPLPDFPDVVLHRTQIPRYMLAATGADPWTAFFRRVIAFCRRTDALLVNTVKELEPAGLDMLGRSLGAQTWPIGPVLAAPTPSGSRDDVGIIQWLNTHPPRSVLYISFGSQNSINADQMRELALGLEASGCPFLWALRPPLGFDAKDGFKPEWLPAGFEERAARASTGLLVRGWAPQVRILAHPSTGAFLSHCGWNSILESLSHGVPLIGWPLGAEQFFNAKLVVEWGVCVEVARGNMESSALEKEKVAEAVRTVIGDTATGEETRRKAVAIARTLAAAWETPGGSAAESLKGFMISVETSVR >DexiUA01G0019730.1:cds pep primary_assembly:Fonio_CM05836:UA:40959692:40960662:-1 gene:DexiUA01G0019730 transcript:DexiUA01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVQVFGQPASTDVARVMACLLERNLEFQLVRTDTFRRGHKIPEFVKMRARSSINNSRHTNLSATLMTHADHVHSRDICRYVCTEFPRWCTKDLYGTGALERACIEKWLQAETQSFDAPSAALAFHLAFAPRPVRVSSPATSPHDDNEEDEEEEERHAATVAESERWLARVLDVYDEALGRSAYLAGDEFTLADLSHLPNAHYVACADARGRALLASRGNVARWYAAISARPAWRQVVSAQARSAHYPCAFQATDSPR >Dexi5B01G0021710.1:cds pep primary_assembly:Fonio_CM05836:5B:23960901:23961989:-1 gene:Dexi5B01G0021710 transcript:Dexi5B01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLSPANAGSLRSALELKPFALGDQRLASPHHLNLAYGDDALFRCSSPFSPPSFGFSSSPSPLATASSSVSLSPSSSASLADDFDSDDAATGHRLQLARLALQYQEVADRYELCLTRLAEAADEAAALRRENAELRVANGDLTRRLALLSGVGKQAAAAAIADEVRRLRLGEHKERAPEKLAVMPKSISVRSNDYLKINMPNNQAPTTPAANNRKPRASNPTKPSSRVYMGDGGGKKGEEPKEETELEVYNQGMFKTELCNKWEETGACPYGEQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTSSERLLLPRP >Dexi3A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:3A:14749818:14752627:1 gene:Dexi3A01G0018900 transcript:Dexi3A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPYLLPLLLLVFLAAMAPSPATPSLIRLPSTGRDRAEACAAQHDPAVYDRPVIGVVTHPGDGTYEMRTHGSGSYIAASYVKFVESAGARVVPLVYDEPEERLLEKLSLVNGVLFTGGSQKNGSYFETIKRIFQYVLDRNDAGDPFTLHAECLGFELVSMIVSKDNNILEPFHALNQPSTLQFPNYKILQGSVFERFSPDLIKKLSTNCLVMQNHRYGISPKRLQENDALSSFFKILTTSPDENGKVYVSTVQADRYPITCTQWHPEKAIFEWGEPMTPHSEDAVQVSQHVASYFISQARKSLNRPTGDKVRNNLIDNYSPKFRTSISLRKGLYTC >Dexi9B01G0027500.1:cds pep primary_assembly:Fonio_CM05836:9B:29985406:29988147:1 gene:Dexi9B01G0027500 transcript:Dexi9B01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSTTSFLSPVDPISKLLHKPGAEDAPPSCAAVPSPSHAAAPSRRLRLIRAAAPAPAMDRAGPAAELLHAAGAGQDHGRSRGGVPVYVMLPLDTVGPGGQLSRQRAVAASLMALRGAGVEGVMVDVWWGVVEREGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCNIPLPPWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYADYMRSFRERFRDYLGNVIAEIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLEAAAAAAGHEEWGRGGPHDAGHYKQMPEETGFFRHDGTWSTEYGQFFLEWYSSMLLEHGDRVLAAAEAVFGGTGATLSAKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYAPIARMLAKRGAVLNFTCMEMKDEQQPGHASCSPEQLVRQVKAAARDAGVELAGENALERYDQAAFSQVVSTARGAGLAAFTYLRMNKTLFDGDNWRQFVSFVRDMAEGGTRPALPRCDTGHSDLYVGL >Dexi4A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:4A:23792894:23794349:-1 gene:Dexi4A01G0020040 transcript:Dexi4A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPTSHLLSLLPTLLVSVLLASAAGNGLPELGGDDGLHREILRDETVLRLAELGKISDGEGYLERTFLSPASIRATAVIISWMKDAGLTTG >Dexi3A01G0033410.1:cds pep primary_assembly:Fonio_CM05836:3A:38197577:38198266:-1 gene:Dexi3A01G0033410 transcript:Dexi3A01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLAGVAATTAKSSSSGERGRRLRITSMATQKGPKPTPNKTISGTRRSVSKHKHNHRSPFPTPRSPCRKQRPVTYEKPSSMVQGTTVFPLGEPGPRPATASAKSPPVKLLTNVEKLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAATDPATPGALLALAVPLLAAGPAVVYLVPEEQAWQVAIQAVAALVCVVGGAGAVAASTFVSRLQGSSG >Dexi7B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:7B:1506164:1512390:1 gene:Dexi7B01G0000740 transcript:Dexi7B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHRPLTCSICSGSAVGHGNFLEIGPLDVNLKPRQWTWLKVADLLFVDAPVGVGFSYPKSPGMLVTTDDQAVEDLAALVEALLEDELPTLRHSPLYIVGESYGGKFAAMLGVILSRGIRKGTLKLTLGGVVLGDAWISPADYSASYGKLLHYVSRLNDNTVADVNKMGVMVKEQMMAEKYAKARQTFTDQLDLIESQFADMCMILQSMDNFLLDTGMYPVLTISNLKANSSSQRSNQKSGLAPNKTIVQGMNGPIKKKFRLIPKGLM >Dexi5B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:5B:22588306:22589373:-1 gene:Dexi5B01G0020400 transcript:Dexi5B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILHKFVDAEQWQAEDTIGRLGILMHASFLLAGFHTYGARPPSSHLLVRRACEPPGSLCLWRLYTAPQLAHRHGADAAVLVVSAQGSEIALLMFLTAGRSDWASGAYLELLHASTVAPLLSRARLGDAEPRASRVCRALADGVCSGLLTELCRWNGLALASLAPLPDDMVVEILRRLADAEDVARVESTCRDMRRVVAERGGELWKPRYEAVRAQRRERRRRWLPSWLFLFGSESSESEEEEEEGVEVLRSWKEKFVEARPRRWDEDGFFRLRFRREFDFDLEAYFALRSSVRLRDLLQDSPEKETVLTKGESSGDRRRRNVARTRDNHRNKWRGAGTGAIHSPSSRYRWKHR >Dexi9A01G0033000.1:cds pep primary_assembly:Fonio_CM05836:9A:37831924:37836673:-1 gene:Dexi9A01G0033000 transcript:Dexi9A01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTNGVHSGTPRTPGIANSPATDRIAVQTAAPCLVVSASNESKMEASAAAGGGSGRWCVVTGGRGFAARHLVAMLLRSGNWRVRVADLPPAIALDPDEEEGLLGAALRDGQATYVSADLRDKAQVARAFEGAEVVFHMAAPDSSINNFQLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAEKLVMRANGREGLLTCCIRPSSIFGPGDKLLVPSLIAAARQGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSEDGAKIAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSIKIPVSVVMPVAHVVEWTYKKFAKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPLVSLKVFGHKVEKLEASNFHVTQVEAHHVAHSVSSTWNSLVGALRSLCRGNDWPLFFKVALSLLIVSILSSMSSQAAFKIGTALVFTGFKAYEKWEDTIDSMVGD >Dexi9A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:9A:13096003:13102876:1 gene:Dexi9A01G0018030 transcript:Dexi9A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRLKETLHSCSSSSSQPQSQPRSPPPPDLLPRRPPKTSLSQQLLRLEAAAASSSSFSSRIAQLPPVPRPPIEKPRKDDEPPSSSSEEDERRTRPISRPRPPPLPAAALEHRGPFEPLVLSPPGERPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDIDNPDGCPMCPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAAAVFDTDIDLVGGSAKSENFMGLSDAEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIELHGEHSKSSATEATGIREIVDTDLFGSQENRKSSTATTDTDNEKLVDFGVVYAHRNEDVVNMGTNGRVKDRTDETVESSSEELQSNKHEIKHTVKAKCSSLEQKIKVEQKMKVTNSYSLEQKRKEFSQIASFMGMDDLEFSKWLLAASPLQQSEVLQKYKRKKKRKCNYKK >Dexi1B01G0030550.1:cds pep primary_assembly:Fonio_CM05836:1B:34484338:34486638:1 gene:Dexi1B01G0030550 transcript:Dexi1B01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAGPAAAVPSPALLGTLALMALVYYSTLFVFLDHWLGLATPAGAAHAAAISLAVAACFLAFVCAAAADPGSVPSSFAPDAEAAQGQQGLKSRYCDKCCVFKPPRSHHCKVIFLCDLLLKEHEFDILYVKLVYILGPNILCWLCPTATGHLKNGTEFQITNN >Dexi7B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:7B:21682547:21683853:1 gene:Dexi7B01G0015610 transcript:Dexi7B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHDASPCTHVLLLKQLCLLDAIHATYIRALAVLPSCSPRLLRALLVAGHCYGPMDLVPNIVVSTAWYDMAFPLAEPDQQLPQGILDTRPVYRLASRSLQGLVAMASATCGCRSTHESLELLHSLDCDLSRCHGTTCSSSSSISYAATAKTAKHPQHAAFGSFLASLTNDKLARLSCVLPADGGVISEAQWRQLNTILDEQSFSEPLPHHLTAPICLLSPSGSVHVSNKKFYFKAKLDFEPSYQVDIVCGVWQSNASFGPNVYHANFLANTDAAAGNAMTLPHERTLFFAEFWKAPSPEDV >Dexi7A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:7A:22689061:22692880:-1 gene:Dexi7A01G0012530 transcript:Dexi7A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSCVEPLYECLESTGMIDAAAREVASFVRVKWNWGDLAKARDSLHAVEATVRAGVAAEEDKLNVCDPQVQLWLKRVEEVQLDTIDEDYGKLAKFSCLGQCTVHASRRASIGKRVVEALDEVNKLIEEGKGFRKFGFKPAPEIVDLLPQVETFGLESMLNQLHDLLENDDSNIIGVWGQGGIGKTTLLHAFNNDLEKKARNYQVVIFIEVSNSETLNAVEIQQTISERLNLPWNESETVEKRARFLVKALARKRFVVLLDDVRKKFRLEDVGIPTPATNGKSKLILTSRYQDVCFQMGAHRSLIKMHVLDSGAAWKLFLNKLSPEACAAVNSNSVVREHAEAIFLSCEGLPLALNVIGTAVAGLEGPRYLNLSHTLITRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLLKLRVLNLFRSHYGIRDVDDLNLDSLKALTFLGITIYAEDVLTKLNKTSPLAKSTYRLNLKYCGEMQSIKISDLNHLVHLEELYVESCYDLNTLVADTELTTSRLELLTLSVLPSLENVIVAPMPHHFQHIRKLLISNCPKLQNITWVLKLEMLERLVVTHCDGMLKIVEEDKSDEADTMPDHPSGEQEYNALAEHPGDGWGADSKSVCRSNLNDGKRRTDFPNLRSIVLTDVKKLRSICKPRDFPSLETIRVEDCPNLRSIPLSSTYNCGKLKQVCGSVEWWEKLEWEDKEGMETKFFIPI >Dexi1A01G0031860.1:cds pep primary_assembly:Fonio_CM05836:1A:36665651:36670910:-1 gene:Dexi1A01G0031860 transcript:Dexi1A01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLDGRDWVWLGFGCGGELGGGEGDAMKVAAAGSFGGEKQGRRRRPGQARPPRKEKKKVLPAGPASHAFPCPGLDTLDGLLEASRQTGSGPSPPSNRAITYDLSELADTLRMIMDSALESPASATDWSQISASERETEAQISRIQARHVRARALRCKARTSAPVPRG >Dexi6B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:6B:8860128:8864733:1 gene:Dexi6B01G0007590 transcript:Dexi6B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVRWRVETFCVRTFCLSAMDSAALLFVCLAAAVHVAAAAGLNDHSQCLDNPPDLSLRGIEAGKVVNDLPGGYTAYVTGPSSSKHAVVLASDVYGFEAPILRKIADKVGATGYYVVVPDFFHGDPYNDSKNLTEWVKYHSPVTAAQDAKPLFTSLRNEGKSIGVGGYCWGGKFATEMAKTDDIEVVVLSHPAYVTVDDMKEIKWPIEILGAQNDTVTPPEKVRQLEQALHQRMEIEYFVKIFPRVAHGFACRYNTADLFAVKSAEQALAYMLDWFHKYLK >Dexi9B01G0037400.1:cds pep primary_assembly:Fonio_CM05836:9B:38828737:38829212:1 gene:Dexi9B01G0037400 transcript:Dexi9B01G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPRRLILRLPAVVPFLLLLAAGVLLQQSPAAAEEAKVSLELYYESLCPYCSRFMVNHLAGIFEDGLIDAVDLRLVPYGNAHVGSNGDISCQR >Dexi1B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:1B:4186756:4189647:1 gene:Dexi1B01G0005120 transcript:Dexi1B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCTTAHHSLLGSPTCLARPRRRACPVVRAAVAVEAGAQAKVVNFRGNVQTRLKKLKGGDVHATLLALAGLKRLNMAENATSLLSVDEMLPAVAQGAIGIACRSNDDKMMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSKVYETRRSGSYSFEDMVAMGKDAGHELKAKAGPGFFDSLQ >Dexi2A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:2A:5499442:5499892:-1 gene:Dexi2A01G0005730 transcript:Dexi2A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELGLPVGYYGNALVLPMAVAAAGALRGGSLGHAVELELVREAKKTATASAEYVRSTADLLAVRGRPPPAMGNLLCLSDNRRVGFHGVDFGPVYGGPASPMFGVSFLVDVRNDGDGGEDAIAVPVMLPQRAMDRFASELKILLSV >Dexi3B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:3B:9398875:9399614:1 gene:Dexi3B01G0013170 transcript:Dexi3B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRNIGVAVDFSPCSKNALRWAAANLASPGDRLILIQVKASYQYEQGVAHLWERDGSPLIPMLELSDPRVSKIYGLAVDRETLEILTRAAGQRGVQVFAKVLWGDPARKLTEAVHKLPLHWLVVGNRGLGTVKRVLMGSVSTYVVNHAACPVTVVRENMLPSAQPASY >Dexi9B01G0024700.1:cds pep primary_assembly:Fonio_CM05836:9B:21265648:21267535:1 gene:Dexi9B01G0024700 transcript:Dexi9B01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYNGGVIQNGEFNSGLMGWSTRQNFKAGVRSSPSGNKFAVVQGAGGTLSSRGNVMPSHSVYQRVRMQRDTHYSLSAWLQVPVGSAHVKAVVKAPQGERVIAGAVIAQSGCWSMLKGGMTAYSSGHGEIFFESDAPVGIWVDSVSLQPFTFDEWDAHALRSANTTRRSTIRLVAKDAHKKPMANATVIIELLRSGFPFGNTMTKEILNMPAYEKWFTSRFSVATFENEMKWYSTEWNQNQEDYRIPDAMLKLANKYGIRVRGHNVFWDDQNSQIRWVRPMNTDQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKLGPSASAQIYNQVGQIDHNAILFMNEFNTLEQPGDPNPVPSKYVAKMNQIRSYPGNGGLKLGVGLESHFSTPNFPYMRSALDTLAKMKLPMWLTEVDVVKGPNQVKFLEQVLREGYGHPSVNGMIMWAAWHANGCYVMCLTDNSFKNLPVGNVVDKLIAEWKTHRTAATTDENGMVELHLPHGEYNFTVTHPSVKGAAAIHTMMVDAASSASDHTITVKA >Dexi7B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:7B:23880147:23881341:-1 gene:Dexi7B01G0018000 transcript:Dexi7B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRTLVGHIERHGGHVSSWRNLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIIALHAKLGNKWSTIATHLDGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQRLPPDDVLGLGGALLASPGAGGLPEAILAAAASLGGLNSVLVQAQALQLLLQAVNGGGATAAAGLMGANLVTSPATDNNNAMVNNAGSVVPTNFHDQINMTNLLAHASYPPADDYLNNMARFAEHDVVQQQQQLNASASAPAPAATVALASAPFPQEEGVAAAATDRRPVQGFADLLLSEPIEMPGMCSLEDDRFWKDMLAESNSLPL >Dexi1B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:1B:6612988:6613764:-1 gene:Dexi1B01G0007970 transcript:Dexi1B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLCLNRALAGGRALALARPAYVASPASGVTPRFLSSTSAPVDSAAGGDSNHREVAVSGQSVPARSGGRWPWRDLRDFTPFRFVDGLGSALSHVAETLSRPLERLAPTRLLTGKVREDDARYRLRFEVPGLGKDDVRVAVEDGVLVIEGEKREHGEEGDDGEWWAASGYHASLMLPDDARAEGITAEVKDGVLYVTVPRTGERKRNVTEVKVQ >Dexi9A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:9A:12180470:12185139:1 gene:Dexi9A01G0017170 transcript:Dexi9A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSSLVLPVLAFAAAAGSSSHDAAALLAFKAAAVGTGATALASWNGSAAGLCTTWEGVTCGPHGRVVSLRLPSLGLSGTLSPAVGDLPRLQTLDLSSNWLHGGIPASLGRLRHLQTLDLRVNTFSGELPGNLTACKNLKSLLLSSNRLAGRVPAELADALPLRLQVLYLNNNSFTGPLPASLANLTSLRQLSLGINGFEGPIPHELGRDMPSLEYIDLCDNKLYGELPASLYNLSSLLSLDVAQNSLRGSIPADIHVRLPRLQYLGFFENLFTGTIPSSISNLTDLQELELSDNGFSGFVPRDLGRLKSLWNLQLDGNSLQAGRTEGWEFMDSLANCSWLKVLALGGNNFTGDLPASVASLSTTLEELYLVDIGISGSIPSDIGNLIGLKVLYLVNTSISGVIPESIGKLENLTKLYLDNNRLSGFIPSSVGNLTKLIMLSAHNNNLGGLIPRRIGDIKGLRTVNLTMNKFSGAIPDSLGSIHNLQHLYIAYNNLSGPIPTDLQNLTSLLDLDLSFNDLQGEVPKEEYGEACAVSTLGDVYSLGILLLEMFTGRSPTDDVFRDSLDLHRFCEDAFPDRVLEIADPKLWAHYDTNDGITRSRVQECLISVIGLGLSCSKHQPKERKAVADAAVEMHAIRDEAYLMFAAATSIDVLLPPSRQDCRTGAEPAERPAAAAESSSIRSIHPVTGRGSNAPAAAGRINGAAGRAGLCWPRPAGPMNRANLCRSIDIERGNLPPLAMDLPPARGRVSEVSWRRSAAPVSARFASCRGAAPGFAALTIDGTLIDLSTSRTLGTAPSCCLQEVGYAITNYELPLRLFRSYARSSSSLNELTS >Dexi3A01G0036530.1:cds pep primary_assembly:Fonio_CM05836:3A:42072042:42073189:1 gene:Dexi3A01G0036530 transcript:Dexi3A01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRGPLPLAPLWDKFFSGAGGRDLFSVYVHATPGYRHDFPPASAFHRRQVPSQVARWGDTSMCDAERRLLANALLDPSNERFVLVSESCIPLYSFPVVYHYLTRSRQSFVGAFDDPGPHGRGRYRAGLAPEVRPEQWRKGAQWFELRRDLAVDVVADERYYPKFREHCRAPCYMDEHYLPTVMSVESPARIANRSVTWVDWSRGGAHPATFGEADVDEAFLRRLTAAPAPGKKGHQGKCTYNGQPAEVCFLFARKFAPNTLQRLLTLAPKMLGYG >Dexi4A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:4A:3207692:3209318:1 gene:Dexi4A01G0004530 transcript:Dexi4A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAEAEEQAPPPPMELFDGMHVRLRSRWDNPYLYAAEDGRDVSLSTDRASLNVVWRAHGLVREGNIYALFHGAAYGRYLSVAAEQNVDDPRNPFPVVGFVQRAYADPGQLDVLWTVFDEQDGTGEFLLIHRVYGVWRNPDGTNTRWTMEVVPPRENPPELPPAPPVIPPLAAPMGGGQIRRAALPPPEFQQTIQYVRANHQGDYNADGLQWRTLELYGLSVFHLRSDLARQLGEANALNITLCVRAGSQGRLTPLVIDLAADNQEMQIVVFSTGSQAAQELVYPDVDAD >Dexi3B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:3B:57416:58240:-1 gene:Dexi3B01G0000050 transcript:Dexi3B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYAMECSISMSGTGTRLAQAGFAVHGMDYEGHGKSSGLQGYVTSFNDIVVDCSKYFESVCG >Dexi2B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:2B:3307678:3309113:1 gene:Dexi2B01G0003800 transcript:Dexi2B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERIPPQFVWPTEERPAPAGEVEEIDIPVVDLAAFLRGGGGVLPGGLVEACERHWFFQVVGHGVDAGLLAEAYHCCDAFYARPLAQKQRARRRPGESYGYASSFTGRFDDRLPWKETLSFHCPGGDTPGMGKMAAGGGGRAVADYFVAALGDEYRHMGEVYQEYCDVMTRLALDVTEVLAAALGLPDRGELRGFFTGGDSTMRLNYYPPCRQPHLTLGTGPHRDPTSLTLLHQDGVGGLQVLAGGDVEWRAVRPRPDAFVVNIGDTFAALTGGRHASCLHRAVVSGAAARRSLTFFLNPPLDRVVRSPPALVAGGGCPRAYPDFTWREFLEFTQKRYRSDASTMDAFVSWIEGGRRRDGHGEPEEKK >Dexi2A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:2A:33227634:33231251:-1 gene:Dexi2A01G0021020 transcript:Dexi2A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANSVGESVGESPAPVPEQELEPETKPAEQVTKGRGLRRWRRIPREQQHHEGSPVSPVAAGAGAGADDDLAAQLHKRRLGPGADAPKGKEDAVVEEVESSVASVESSFVPLEASPPPAPTRLDPNLGHLIATAGFSLGAGGTDSDNSDDRTSKFSTAASAPRHDFSSGGFGRDRERTRSRVPGGAAHGKNLRAARGRGATARAAASPVEAENSRSSVESNLRSSNVAHARRSSAGINSNGVHKVLFPDDHQSDDEPPSEVRYTTGGFYKENGSVVGTLGNCDSDANNHHIFDEASHGKFQNGGTRAGLDPYVESIALLQSAQEALENEIQKFVEIRTETDDNSTTHQSETEWSSSPHPDESAEELSEKIKMLESKLEEATMLISERDSKIHELDALNQIKPQDTVTSLQSDLESLLVEKMEAEIQCFILTRASLDWKTLTNNQFALYETQKSLTGDQKSLETKLRHTENRAMMLEEMVDKLESQCKELSETSEVLKLQARASRASLFCSIQFVLLCVAMGTLLVRFLPSSPEIVPT >Dexi7A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:7A:667127:668204:1 gene:Dexi7A01G0000370 transcript:Dexi7A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWDESEVSILPEYLHTLYIKTLRNFNEFEDSLEPNKKYRMSYVKQAYKLSSQNYLREAIWSTEKYQPSFKEHEEVSIMSSGLPMLTNITLLGYGDAATQEVFEWLGKHKKDMPSAVETYMIENGLTGEEAVAEIAALLENRWRILNQTSMDIDRSLLPGAQVVVNMARTNEIIYLHGRDAYTFGDDLKDLCHHSVPQVSSSLDYILIDEMIVQCTASIFVFTML >Dexi2A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:2A:21961235:21967236:-1 gene:Dexi2A01G0013420 transcript:Dexi2A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPDPPCGLLTADSARSLVDSVDAFLFDCDGVIWKGDKLIEGVSQTMELLRKLVYVVGEDGILEELKLAGFECFGGPEDGKKNIKLEADFYFEHDKSGLELWLLQ >Dexi1B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:1B:22360663:22361450:1 gene:Dexi1B01G0015840 transcript:Dexi1B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEDLVVHFLRRKVANLPCRPDIIPTVLLPHYNPWELNGTALQAGNQWYFFSHAAQSKNSRNGYWNPIGADETVTSSGCIVGLKKTFIFCNGEPSKGFKTNWIMHEYHLQDGGYNVSGSSTSDSSSSSRKSQRKRVHSSTESNNWVICRVFESSCGSQVSFHDEGTELSCLDEVFLSLDDYDEVSLPNN >Dexi4B01G0023410.1:cds pep primary_assembly:Fonio_CM05836:4B:24731284:24732144:1 gene:Dexi4B01G0023410 transcript:Dexi4B01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLSPSKQQQQARSRMAGGYHARSVSLPCRSHPILAHLHTHIRAVRAWAHESPATSPSSSGLAHLDALHAALADLLHLPEAQAALSAAASTTDRLLDAFLRLADAHGSFQESLLDLKRDVADALAAVRRRDASRLASALRSHRRAAKDMARLAAAAKDCKPSSRLGSSSAAEVEVAGLLAEAAAATASASAALFNTVAAMSASASAAACTCKRTAALVCLVSKKVAAEEEKEIMGLMERLEELEECIDDLETGTDKVFRSLVQTRVALLNVHTNIF >Dexi4B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:4B:1184492:1188984:1 gene:Dexi4B01G0001930 transcript:Dexi4B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRALADTFSSLIFSSGGGSASMDAAAGAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSFSERGQVRVYQEKIAKWQTQVEERLRVLGQRGGEGAAAAVVPKKVIASNPINRTARPAIQKSTLQNSPTFNRGGQASTHQRVGSGGSKPVQKAGGNYDEKLVEMINTTIVDRSPAVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIERQPSVIFMDEIDSVMSTRLANENDSSRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNYDFERLAVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFRNAMTVIRPSLQKSKWDELEKWNEEFGSS >Dexi9B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:9B:15132923:15138934:-1 gene:Dexi9B01G0020390 transcript:Dexi9B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLACVHAMALTLGCNGEHPCFFCVNSVYHIVHMPFTPISLVTWMLNMSFAAEDEQVLMTEEDFPEVKVDFQETFLGHNSSISQCRFSASGSNIASSSIDGTVRIWTYDSSTPSSKNATIYCGAEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLNTSRDFPSCVKTLIDIFRRGSTIFERTGFANLTVWHMKTWKPLTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFAHHLPELQIDMSAGMQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCNPESFNKQMHEIALDSNGKRLLVTSGLVRAPIYQVYKAMKVD >Dexi2B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:2B:30289528:30291222:-1 gene:Dexi2B01G0020150 transcript:Dexi2B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALLVLLAWQLIWGAAAAGLGLSGCNTTCGDVQVPYPFGIGPPRCHYPATGFNLTCEPSRHGRPPRLLLGDGARLLQVAEISLCNSTVRVVISSSGASVNTTTSAPVAWPGPDAWFGGNASDTPFVLAPGRANELVVTVCGMQATLRGADDVGLVSSCSSSCSDDGDVAATTTTTSYYPAAAGRSDKYCSGVGCCQAPIPVGRTSFHVRLRRLLFDGDGGARSAVRDKRARVFVAEAGWFDQESVGPEMLRHEHGDDTAVAVPVVLAWAIWPSGAEREKRAAATVNATVLSECTDDDAAWRICRSWESSCHDTGRGYTCACRPGFEGNPYIQYGCQDIAERMIIPLEELEKATNNFDPARVLGGGGHGMP >Dexi9A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:9A:9121754:9122909:1 gene:Dexi9A01G0013880 transcript:Dexi9A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLLHTGGGAGPPQRQQLLAHAGWAWAAGDAAACYEAPPARQHQGLLFQHSCAAPPSSSAAAAATARQLVAMPGQYAAGASESGVTFGGAQQHHQDAVAATAMAPASRKRKHAADSQAAVPTRLVTADVAAHFQQQLVDVDRLVLQHTNKMRAEMTEQRRRHAREVVAAVEAAAAKRLRAKDEEIDRISRLNWALEERVRSLYVEAQVWRDFAQSNEAAAAALRGELQHALDAQAHQPRLAAGVTIAGAADDDDAESCCCGENDVAAGAGAGNSDEDDDEAGTSLGHGRRRACTMCGEGEAEVLMLPCRHLCACAACAGAALACPACGCDKNGSVRVNFS >Dexi7A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:7A:26132885:26134796:1 gene:Dexi7A01G0016250 transcript:Dexi7A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSSSSTGQHAFPPENSRQTATMSGPLNPRDERRPPPMQRAFNRQVSLGSGVTVLGMDRGGRNGGGRGQQRALPRSGRSLGVLNHSGALGQAAGDGGARRGDFSMFRTKSTLSKQNSLLPTRIRESDLDLPTHVEDQPVGRPAPAEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQSILWGALAASPAMRFLRVTPMINLALWVLAVAVLVATSVTYALKCVFYFEAIRREYFHPVRVNFFFAPWIAAMFVTIGLPRAYAPERPHPAVWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYLSLVVRINFFRGFRFSLAWWSYTFPMTTASLATVKYAEAVPCFASRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDKQNGAVRPNARGKRASKRVHDIKRWAKQAPLSLVSSITKSHSADKEEEEKTE >Dexi4B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:4B:16901798:16907821:1 gene:Dexi4B01G0015350 transcript:Dexi4B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQLRVVRAVAVLGGLLQIMLFMFLCGILATVCGGKTKEGVFVGVLLSMSSTAVVSICIFFLYMKVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWVSLYYSMNCLFFLQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKNDVLRIDSGKRINLIVQGSHDS >Dexi9B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:9B:5051235:5055850:-1 gene:Dexi9B01G0008200 transcript:Dexi9B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRMIMTTSTYKREYTGDAEDVDLQAYNDMENIAFYQVPANLTSGFSIENERSLRVHVCKDSSGVNFLHRYYDLQHLATELAVTSDNQQEPEMIPETDVVDPSILGIAKGVEMVLQRLKRVEQGIRDLKEEIASLRYYEYHLVTELHRKMDYMMNYNIQLEERKVPQMFYLVSLDNRSKQLVTRILPGMRSLRVHMLCEFRREMHVVEDQLGCDLIQVDNQTVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSLMYGAATSAASLGALGAAALYGKGRNNSNQGGASDMVGEDMKAARQWLVDFLKGQGVLTGMDIAQRFGLWRVRYRDDGHVAWVCRKHIAAREDEIFELPL >Dexi9B01G0030830.1:cds pep primary_assembly:Fonio_CM05836:9B:33237070:33239242:-1 gene:Dexi9B01G0030830 transcript:Dexi9B01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLVSCLSLAAILLLSLHVNRTSATKETGARLPPGPWNLPVIGSLHHLVGAPPHRALLRLARLHGPVMLLRLGEVPAVVVSSPEAAAEVMKANDPVFASRPRGATADVVGFGGKGLIFAPYGEHWRQMRKVCVVELLSARQVRRMERIRQAEVARLVESVSLAATAMAASPSADAVINLSQGLTALTNNVIARAAFGGECRQQQAYLLEIEVVATLAAGFNLPDLFPSSRLARWLSGAVRDLRRSHARVERITAGIVQERMEKRSTSHGIVGADVDDEDLLDVLLRLQEEGTIEWAMAELIRNPQAMSRATYEVRQKLGQGRVSITNADLGDLHYLRMVIKETLRLRPPAPLILRASQENCQIMGYDIPRGSSVFINTFAVAQDPRYWDNPEEFLPERFESSTPDYRWTHFEFIPFGAGRRQCPGALFATTTIEITLANLLYHFDWALPTGGDPKVLDMSELFGITVRRRANLCMQASLHSGH >Dexi6A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:6A:7171064:7173449:-1 gene:Dexi6A01G0007210 transcript:Dexi6A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDAAAQGAPAAAAGDRLSGLPDKILFRVMAHFKTWEVVRTSALSKRWSKLWVSATARRLDIRQPHGAAEIELSGKHHDEYPSPEYMSFIVGNVHTVKTRLKILKLIHVRLDGTTLTELCSRCHCLEELELKDCVIPHKTKIQPILLARLTMIRCQINEGLSIHAPNLVVLQFSRNRCHVPWIQNLGLLAASNIYQQAPHMYPEGSSLGSCSLKILKLSHVMLDDTTLSQLCSRCTFLEELGLNDCPVVGKEIRSNSLRCLSMINCKFATGSEREDSDDESNQDEENESDHDMESYEQSGAEDSSDNSDSEIESNQYEKYESDHDGDLSAHSRAEESDVHSDNESDLDEEVESHTGGAHSSSDESDHNIDVCIGKFDDNSDDESFHNDNISAHSGAQDSDDNQSSSGPADEVDGCTVCYQEIAEKYNDACGGKIGGDGLLCILSNVRTLDLSAHSGEVQFNNLLLYAFAAQLVIFVLLMRESKLCSNFKNLKILSLGEWCITPDLDVLASMLQSSPNLEKLFLHLDMANKGRVGFDPEASSFTCTNLKKVEITCCKHDDMVPILAKLFHVNGITHKKIFVRRTTCACDVNRNIGSQAKRKAQTESEKRPEKQIKLGY >Dexi4A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:4A:9871526:9872035:1 gene:Dexi4A01G0011690 transcript:Dexi4A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVSRSGVSSRARHTARHVCASRACNDEMVAATLPPAISAFITLSGEKWKKHSRTGGYTGRRTMANGRRMGTGQPSRNHLSSTGGGVESSTPASLQLLRRLISDQVLMKCQNVVPSPTAWLSDTARKTPSASSVTCAPNTGRDTSAPNTPFSGKSDHTTGGM >Dexi6A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:6A:2186610:2188763:1 gene:Dexi6A01G0002290 transcript:Dexi6A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALREQQVCPTGGVRGKPSSSSSSMSGATGQGAPSSSAGAWASSPPSTTAPARRRRVESSPPAKAAAGNGVLVVTTHCEYLATTQDEDDEACKHDTFAAMVHVKAPTVVGDEEARRTPLDLVAVLDVSASMSSKLEEAKRAMELVVDGLGPRDRLSVVAFSDVVRRVLPLTRMSEDGKATAKLAVESLVAGEGSTTEMTKTNIRAGLDEAAKVVKECRRRNNVDGSGVYKNDVNDDEGVSVSVGELYADEERRFLFFLDAPRDEDDEDDGGDVGDYVGSRLIAVRCSYRHVATEQDISVEAHDEYMDKLTSTEVKKERHRVDAADDVALAHAAAERGDFAEAARILAARREKVTSSAAAVAGDVACEALAAELDELRRRTAEEGEYRRTGRASLLASMRIDDHQQQG >Dexi6A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:6A:2546239:2548939:-1 gene:Dexi6A01G0002710 transcript:Dexi6A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLRSQLEMAETLDVSPDDANGSAAVQNEPSFNEDALFHDIDDMDSIIAELQISMFVTDTTFMGVLVDVEQEVARKMASKDAEIASLKQKLQQLESSSLILHEGRDRRYDEFYSLREQLDTISKSLLSSEWGFLGSHSESSEDVSKQRSKEKSRNGVAKKIWSEEEVFADPKLLKHMGNDALIAYLNKSMNEMKRQHDSVVHEQTEEIFKLKRELLRKEGSNPSHLRNNKELEHMRKKIEEVLSKLDVLLLENKRTFVRSKADTFPGQHDKSNAVDSDVIQLQSGANDNEEPWCIPTQASHFASVKADLKKHIVKLESDIEDASIAATIREDVEKIVIKEYFSEMEIRLHGYEMELDMKHEVCSVVQNEAIARAMFDSMLSKCKEKKDCAEEESKQKQKIERLKRIVDSFTEVVREKEEFVSQIGLRAMEARVGSLCHEIDFLRDKVGKQDSYISEKNTEFDIIVGRLEQAQQHVQNNDSILSELNGRFRTVSASLKKLEKQNQVLHTIIEEKEKRLTSAVSKDKEFKEFMDSIVKPLRDFEKFMMDQQTIVADKIQHSESRLCVLKEQCKHLVKEGNLLRKKALRYKEISDTRGTNLQKAELEVMETLAMIKKHISMAK >Dexi4B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:4B:1488870:1491372:-1 gene:Dexi4B01G0002340 transcript:Dexi4B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPSGYESESEDAAMASPKPHGGRGRRRRGDGAADGSPPPVSSSLGSKILRRRPPNWYQTYFIRMNRAGSFCMYPDLVDRSRASMKLKVDAHEFESLVKHEWIYEDNMWFYHLNFTTKTKEANNSMSSSNLFFAEVSHMQGEDAWKVDCCCIINPKDDGHCYGCRNNGSPDMQHPNDTNAYIGGHLDGYLPFGDDDLSGSDSEDEEAMESRLRRMYKGLDDPSFWEKFNSYFD >Dexi8B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:8B:5359853:5360569:-1 gene:Dexi8B01G0005330 transcript:Dexi8B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHVHATEPPGDVLVFLPHCADVEAAERLLVSRSLPGVVTRCLHDGVPVDVVIGDVLRPTLDGERKVVLATDVADSAVFVDGIKYIVDSGYRCADNAPPSMVMTKASSLSPPARLVRGERKDSVVFGYHMKGWENRGKCFCLYTFDEAQEMLRTVVLVLKDLGIARGHAVESFDFVLAPRPETFDRALAALVEAGALGLDGEVTEEGTSMARDILERCYF >Dexi9A01G0027580.1:cds pep primary_assembly:Fonio_CM05836:9A:32270840:32280282:-1 gene:Dexi9A01G0027580 transcript:Dexi9A01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVVYEGWMVRYGRRKIGRSFVHTRYFVLEPRMLSYYKRKPQNRADKVGWKLPIKSLPIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHNRITMAAFNIQEALIWKEKIEMVIDQQQGATQTDGNRVFSSSQQKANLENGRKSSSSDHESQYSHEEEEEEDDNQRSLLRRTTIGNGPPESLYDWTRENDLGISNQGSPDQASAFLRSSKMLITLWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPIEHPNCGPQPGFVRAHIERLREWFSQSDESQVLPRIPVMVNMTPSVPSKKGRKAEENTMQTSLPMDPSRPSTVLEEESDEDDEFLIPECEQEEQESSTHEDAADVKQPGALGRDEEGSDQIDLSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFVYDKSKVPAGKPLMELVAVDWFKDTKRMDHVARRKGCAVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRMSHIELSSAIVPVLED >Dexi1A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:1A:10880437:10883575:-1 gene:Dexi1A01G0011730 transcript:Dexi1A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVAVLDVRMRSGDGLKAAAQQVVAFPSSGCVTKVFDGGAAAPSLLSSGGTAKAQHDEGVRQRLSLAMAALHPSSLAIAALHPLHSSDGGVTPPPLQRRQRYTPPL >Dexi7B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:7B:6740492:6741064:-1 gene:Dexi7B01G0002960 transcript:Dexi7B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPNHPEVLKKAQTEIDVAVGTSRLISPDDLPRLGYLQCIINETLRLYPAAPLLMPHESSAHCMVGGYDVLRGTMVLVNAYAIHRDPDVWEHPAEFKPERFEGGDADADGRRLLIPFVMGRRKCPGEALALRTVGMVLGTLVQCFDWDRVDGVEVDMADRGGLTIARAVPLEAMCKPRESMRDVLREP >Dexi1B01G0024200.1:cds pep primary_assembly:Fonio_CM05836:1B:29595992:29598931:1 gene:Dexi1B01G0024200 transcript:Dexi1B01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQAEAQNGTKPRGGTIGAPWPSSSSEMHCRSANEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRASSTWSQQLLDAGVRLFQGDIRKKDDVRRAFRGVDCVFHLASYGMSGKEMVQAARADDVNIHGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGNEALPYFPIENHVDAYGRSKSVAEQLVLKSNGRPAKNGTSTRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGGPDVKTDWVYIDNLVLALILASMGLLDDIPDRKGTPVAAGQAYFICDGSPVNTFEFIISPLFRSLNYTVPRVTLDTSVALAISRIFLFISTLFYPWLDSKLIPQPLILPAEVYKVGVTHYFSFLKAREELGYVPMVSPQEGLAATIAYWQERKRRELDGPTIFTWLASDLGMLAIFSASCLPPVGPLKWILDIHLFLFRSLLVTRIIFAVAVALHVGEAVYAWFLAKRVDPRNASGWFWQTLALGIFSLRYLLKRARK >Dexi3A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:3A:4758922:4761201:-1 gene:Dexi3A01G0007040 transcript:Dexi3A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLAQKFVDSYDDSDSDDDHQDAGCVRAVLAELVLTFLFVFTGVSASMAAGSGMKPGEAMPMATLAAVAIAHALAAGVLVTAGFHASGGHLNPAVTVAMMVRGHLSKLRTVLYVAAQLLASSLACILLRYLTGGMVTPVHALGAGIRPMQGLVMEVILTFSLLFVTYAMILDPRSQARTIGPLLTGLIVGANSLAGGNFTGASMNPARSFGPALATGDWTHHWVYWLGPLLGGSLAAVVYESVFVVNKTHEPLLSGEC >DexiUA01G0008230.1:cds pep primary_assembly:Fonio_CM05836:UA:15399535:15400097:1 gene:DexiUA01G0008230 transcript:DexiUA01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHLQTKTNEPFRPPATAGLPICDSAFAIGRPLIFAARAAGLGECGDEAYRSLRAGAYGIDLTAGRGSERRGIMQAQKAMDRAGSACISLFRHVTDPRSTHVLDRIRPA >Dexi4A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:4A:9047780:9051071:1 gene:Dexi4A01G0011190 transcript:Dexi4A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPTLLLLLLLLLSSPSTLMALLSPQGVNYEVQALMTIKNLLKDPRGVLKNWDKDSVDPCSWTTVTCSPDKLVTGLEAPSQSLSGILSPSIGNLTNLQTVLLQNNDITGPIPAEIGKLAKLTTLDLSSNHLTGGIPITVGHLESLQYLIVGNPLICGANTEKYCYGTAPMPMTYNLSSSQGALPPAKSKSHKFAIAFGTATGCLSLLFLAAGFMFWWRHRRNRQILFDVDDQHMENVSLGNVKRYQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFKTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKGKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANVLLDDHCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTAIEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLRGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFSFSRCYSDLTDDSSLLVQAVELSGPR >Dexi2B01G0030850.1:cds pep primary_assembly:Fonio_CM05836:2B:39114924:39115899:1 gene:Dexi2B01G0030850 transcript:Dexi2B01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAEARARQEKVKKFEEFVDRRLKPDLAKAVAQRDKVFEQQKTFLDLKRNIENLERNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLAR >Dexi5A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:5A:23886198:23889340:1 gene:Dexi5A01G0020000 transcript:Dexi5A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVALLLLVCAVARAAAVVTDGLLPNGNFEDGPPKSALVNGTVVSGSNAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAITFSAARTCAQAERLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKLDAVTLVLHNPGVEEDPACGPLIDGVAIRALYPPTLARGDMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPGWMIVSSKAVKYVDASHFAVPQGGRAVELVGGRESALVQEVRTVPGWSYRLAFAVGDAGDGCVGPMSAEAYAARASVKVPYESKGTGGYKRAVLDFTAVANRTRVVFQSTFYHMKGDGTLCGPLVDDASLVGLRKKPATVGRRLLLQKFL >Dexi2A01G0035600.1:cds pep primary_assembly:Fonio_CM05836:2A:45187399:45189848:1 gene:Dexi2A01G0035600 transcript:Dexi2A01G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNKADSIQSADGDDDLQRAAAKAFSLRGLESNRDWRLLDCYNGRLLLARGHDSLEVYNPLSCEHISVPLPQDDMLLDYFSMCLLQGRCNNDASSFRVVSVQHLRHGRGQKLHAIEYDSQRQSWNHHVDWDTLKNIEIEGNEQGKVMHAGNLIFWKYNSVDSVGELNTLHLRVWKLEKLEWKMEKEMQVRKVLGKHAPRGHSYYRVRKVTNGLALLGWSNGNLYFIIDLKTFCVMEKFEFSRHLMVMTINLN >Dexi1A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:1A:28453592:28453810:1 gene:Dexi1A01G0021720 transcript:Dexi1A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDRSKGLKKGPWTPEEDKLLVDYIQTNGHGSWRLLPKLAGQEISDQSIQTLMFLSGVLLLCRARVA >Dexi4B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:4B:639982:640237:-1 gene:Dexi4B01G0001020 transcript:Dexi4B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVAEAEAAAVLVVGDGRGGGRPWESRGDLCVVRPAGGGEAKSPAGEEIDVAGGRSAR >Dexi4A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:4A:4430126:4432854:-1 gene:Dexi4A01G0006170 transcript:Dexi4A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGREMAGGGEGGAQQADDFFDQMLSTLPSAWADLGAGGGNNKSPWELPAGAEDAAVQAAFGDESALLASRLRQHQIGGGGDASKSSSPVMLHLSDLHRQAGAGEDSGGFSPLPLFTDRSAPAREDMDGGFKSPNSALALSPTRVEQGGDHSMFNGFGMHGAAAVQPQFGQGGSTSPQSLGGPAASGGGTTPPAGGAASSAGGGGAAPPRQQRQRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSSSTGGAKGSAAAAATKGSGENGGGGSGGLRVTENQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSTSLLSRPPSLLRHAAVNNNNPAAPPGQLHDANNGGAAAGAAASPASGSSAGGGDDSRSSIKDVVGGGGKQ >Dexi8A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:8A:3337152:3339255:-1 gene:Dexi8A01G0004270 transcript:Dexi8A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSSLWYFAPPDAETPDHIIFQCPTARAFWEHIGLPAQNSPACRELWRLPRPPSVPEEHFTEFIFLCCWRLWKHRNKVVFEAETPSLSRLLRGCREEARVWGACLPDGSKHIVDSWCMIWSPICIVSHRNAVTVVVGPRQVVGLVRRDRFAAARGGSAAEQASDSANDGEALSREYATPSLDSGEAYQLTLASRKDNQISASSSPDFTPHGTTQRGEACQGPAGLPGQLRILGKAPIHATAPAAVDDAELDRLKAKLRHICGTLLAVKDWVHVVEDATGAAAEARLIHGGSVDDGEAGPWWRSLFRSHHHAGQLGEKTASAWKRAGRSVGKRSGEDKICDAGAMSRGKHDTAGRLHHNAQARPPLAGPKACPHLQDPRLPTLSSSTVGEKLHAEGGEGQKGNSGSEELDTDGGRCWVSATGMASEW >Dexi2A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:2A:10914050:10923350:1 gene:Dexi2A01G0009890 transcript:Dexi2A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPRPSLRAAALFIVVVAFLLAALLGGAGGTEVLSKSRLERCERDSDAGGALSCKQKLVLNLAVPAGSSGGEASLVTKVVDAENGTEAATRSIQDPPIITVEKSAVSAVYAINYLMDVAYRPEERVVETRKCEPDAGANVVGGCERLWNGNGAVIEHTELADIVAESDHNCPWGQNHTRVVEASAMATLVELLLNKGCNGRNGGGVRGMRASKLVAVVRVADGHREFHVWEIDQRRSSLGFSIKVQVKKRSSVSEVVVTPENKTVVSSDNFLRVNLIGEFGGYDHIPAFDNMYLVTPRKGAGSGQPQDLGDEKSRWMLLERIRFGPECNKIGVGYEAFQNQPSFCYAPLSSCVNDQLWNYLEITLLIHPQQNAEKMSNVPHFHIRSPGKIISIDVPPFEALSQIGNAAVTTKNIGKLESSYTLTFKCLTGISNMEEQYYVMKPGEVTIRSFDLRSSTDRAETYQCTAILKASDFTEVDRGQCQFSTTATVFNNGSQIGSTNDLKETGIWEAIKAFCVNFWDFVINFLTGRSCSWTKCSSLLDFACHFQYICIGWVIIICLLLTMVPTGAVTLWLLHQKGFFDPLCDWWEDLWGLDTHDDRDHHHRRHKKGHHHHHHHHRHSHHHHRHHHHARKSEHGHHHVLHRHGEQQPEAAAEDEGRHWRHGHETALSVQHRGAAEHKYRHGKVVAQYFDGPSRPRGAEEALEFRERRPDEVRHAQHGLHDGERRHSRAPGYERF >Dexi8A01G0001240.1:cds pep primary_assembly:Fonio_CM05836:8A:854328:861852:-1 gene:Dexi8A01G0001240 transcript:Dexi8A01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSGSSACSARTLAACVIGGIVLDASVLALHHTGSAAIPSLPPLDAVRRRLRRRRRRPVRVYMDGCFDMMHYGHCNALRQERALGDELIVGVITDDEIKANKGPPVTLLHESFLLLSVVDLISHVPFDVAIDPTSIGPGPDSRIVYIDGAFDLFHAGHVEITTFNISLVVHGTIAENMDYAKITTFNISLVVHGTIAENMDYAKEDSMAMGIYHRLESPLDITTSSIIRRIVANHEAYQVTNVIRRL >Dexi8A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:8A:18418471:18418939:-1 gene:Dexi8A01G0010710 transcript:Dexi8A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWERERSKLYMKSREGVSRYKEKIEFATYFAEEISRGILFEMADHIASLSDLIKFGSLLNFHDTAVGFLMKSKNLQLFPEDEDFLKSWVLGGVTWGRTYQRRGKRPREEASAPDDVIIL >Dexi9A01G0033240.1:cds pep primary_assembly:Fonio_CM05836:9A:38147270:38148076:-1 gene:Dexi9A01G0033240 transcript:Dexi9A01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAEYSSQELLEAQVQLWNQSLGLFKSVALAIAIDLHIPDTVHRLGGAATLRQILAEAGGSPCRLRDLRRVMRVLTVAGIFTVQRQPSSSEDVVYKLTAASHLLVRDNSSAMSLLPHVQLMLGLCRECPLSRGMHAWFHQQHDEQEEKTSPFAMAYSGNTVWERADRDAVVFPFDDAMASDTAFLMPIVLKECGEVFRGLDSLVDVAGGLGGAAAAIAAALPELRCTVLDLPQVVAKAPSGTNVQYVAGDMFESIPQANAVLLKVN >Dexi5A01G0038530.1:cds pep primary_assembly:Fonio_CM05836:5A:39488945:39489445:-1 gene:Dexi5A01G0038530 transcript:Dexi5A01G0038530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSGAGELRVADDPDRARLQQLGYKQELKRGLSLLSNFAFSFSIISVLAGVTTTYNTGLRYGGPASMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWITGW >Dexi3A01G0030740.1:cds pep primary_assembly:Fonio_CM05836:3A:35093010:35093330:1 gene:Dexi3A01G0030740 transcript:Dexi3A01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRIPSQSMPAVTLPPVSVYGRITLRWNSASTAAAARLAGSDAATAVAASPARSSMRTALSMASAPPFPSAAATTVARLHTADAFASATE >DexiUA01G0000180.1:cds pep primary_assembly:Fonio_CM05836:UA:1510371:1510856:1 gene:DexiUA01G0000180 transcript:DexiUA01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPERGSLGWACSPTPSPWTTWRGTNTDQRTSPQRRSQSRGSGNDGWAGRGGQCGKGRGRTATTTDTERRKEEETAAVLEEDDNEVHGTTARPKSGMCVGGCSWEARVTWERGPEEVANGETAVSPVRARRKGRETTYDEKSSGREQTDDVPNFCPLRAF >Dexi2B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:2B:30075082:30076990:1 gene:Dexi2B01G0019920 transcript:Dexi2B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGSIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSANKMIEPGYQEIQSKDIACTSADGVTVRVIAGNAMGVRSPVRTRTPTLYLDFTVRPRAAAVRQPVDASWNAFAYVLEGEGVFGAERCAPVGAHHLLLLGQGDGLEVWNKSPDKTLRFLLVAGEPIGEPVAQLGPFVMNTEEEIDMTVDDFERYANGFEKARHWKSQAMVALGVE >Dexi5B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:5B:6267657:6269190:1 gene:Dexi5B01G0009220 transcript:Dexi5B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQVFAPRGHAETSAAASQHRAEKITVSVDADLLQCCVCSGPLTTPLFQCTKGHISCSECCTDGGMNDECECLMCREPETATRCRAMERVLAGLSMPCAFRQHGCAETIAYASKQLHEASCGYAPCHCPIPGCVGYAGNARSSLSDHVMVDHHDVHHTRVRPGCLTPLRMRAFEQARLLLLGHGHRLAEFLLVVGRDVPSGRSLSLVGLMGEEFEDCKYRIEVVGKNGVLALSGQATRVQRLARPYQAGAFLFVPDAVWESFQLDTPVFIHLK >Dexi9B01G0046220.1:cds pep primary_assembly:Fonio_CM05836:9B:45524673:45529580:-1 gene:Dexi9B01G0046220 transcript:Dexi9B01G0046220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALTFGVIASPESNVCYDPSGRLLLAAALDRLAAWDLKRGLPSVSFAPSSSSPSLAVSCIASSPSAAVSSSIASGHADGSIRLWDAETGACEATLHGHRSAASAIRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVSCSKDKFIRVWDLETQHCLQIVGGHRSEIWSMDVDPSERFLVSGSADPELRVFRIRQSVEEGEDWSKWDVLKLFGEIPRQSKERVATVRFNRSGSLVACQVAGKTADIYRVLDETEAIRKAKRRMHRKKEKASAKSIIADGNGTVIDPFPAQDTQNPTVIVTDVFKLLQVLRASKKICSISFSPSNPPRGCLATLSLSLNNNTLETYSVDSEKAAKMYSIEMHGHRSDVRSVSLNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSGYGLCSAFVGNRFALVGTKGGTLEIIDIASGSLTEVIEAHAGSIRSIVPIPDEDGTVGARGFVTGSADHDIKFWEYLQKSDTDSKQLTVSNVRTLKMTEDVLAVSISPQGKHIAVALLDCNVKVFHMDTLKLFLNLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHRSIFAHSDSVMDVKFVYRTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLTISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEKEKRLEETFEADLDNDNDYRYGQKEDAPDEGSVGVPGRKTKETVTSADAIMDALDTAEEELKRLNQHKLEEQNNGRAAKFQPNVIMQGRSPSDYVLNVISSIRPNDLEQALLALPFSDALKLMSYLKEWSLVPSKVELVCRVCLVLLQTHHNQLTTTPAARSLLTELKDILYCRVKECKDTIGFNLAAMDHLKELLAMRSDAPFRDAKAKLMEIRQEQSKRSGMPDGNERRKKKRKKALEEKVEA >DexiUA01G0000910.1:cds pep primary_assembly:Fonio_CM05836:UA:2922471:2924423:-1 gene:DexiUA01G0000910 transcript:DexiUA01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPPEGKPWELAAHPRLAKGKVVGVVVLDGWGEAPPDPFNCIHVADTPTLDALKKGAPERWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALSSGKIYEGGGFKYIQQSFEHGTLHLIGLLSDGGVHSRFDQLQLLLKGASEHGAKRIRVHVLTDGRDVLDGSSVRFVEMLEEDLSMLRDKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKNALEAVKKLREDPKANDQYLPPFVIVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVRTYACSRK >Dexi9A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:9A:13222419:13222945:-1 gene:Dexi9A01G0018190 transcript:Dexi9A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTPPRAPATTADRGPLAARKSAPPGTDAVDPIVTVASGNHGSLNPGVHEAVDAQRVPDAATGAAAGVEHAVGANLGGLPRLGAPGGVTEAQDGAAHGAREVSNARVEAALCQGLLREEEFRRGGEVIEGPVVVGHLHIEVLVRVVHPAAGVGCFGAVFGS >Dexi7B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:7B:24543876:24548654:-1 gene:Dexi7B01G0018920 transcript:Dexi7B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFAVHFRWVLHLRPPVPWLRPDPTLHIKLHRMPASSNAGKRGIHIPVASFNTINWKPTSPQPRECIQPLLAPFPDSSQSERSSQTSGAAVATPPTELAI >Dexi5A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:5A:1257200:1263812:-1 gene:Dexi5A01G0001770 transcript:Dexi5A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGKMKYVVVTGGVVSGLGNGVAASSIAVVLKACGFRVTFIKIGKFFSMSGSSLIHKQYVIDKERRGDYLGKTVQVVPHITDAIQEWIERIAMMPVDGREGPPDVCIVELGGTIGDIELTPFIEALSQFSYRAEENSFCLVHVSLVPVIDIVGEQKTKPTQSSVQSLREHGLTPNLLACRSAAPLNKTVKDKLSQFCHIPVDCIVSLSNVPNIWHVPSLLIVCSTFHICLDQKAHEAILGIIGLARLSVEPKLDTWMNIARTYDQLHVPVRIAVVGKDTNVSNAYHSILEVVLQSSPDRHEKAWSLLKVAVIEFARSVLGLKDANSTEFDGGTRYPCLIQMPEDSTMQMCVGSRRTFFRTMECKSAKLYGNIKYVDERHRHRYEVNPEMVGELESGGARFVGTDETGDRMEILELPWHRYFVGVQFHPEFKSRPGKPSPLFTGLIAAASGQASGGGGMTQRSPAEMEKRPALMKKKVVAAGGAAAASGGLEDGLLAGLHASGAALAH >Dexi9B01G0046980.1:cds pep primary_assembly:Fonio_CM05836:9B:46191613:46194330:-1 gene:Dexi9B01G0046980 transcript:Dexi9B01G0046980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMVRSCVQTALKAVNSVVGLAGMAVILYALWMLRAWYREVAHLDQRLQDFPPDPSGKFNEFKDFVRSNFEICEWIGLSVVAAQVLSIILGMVLRTLGPDLETDYDSDDDAVVPARLPLLRNQSQHGYAEPNTSRRSDSWKLRILDKVNN >Dexi3B01G0034470.1:cds pep primary_assembly:Fonio_CM05836:3B:37202964:37203241:1 gene:Dexi3B01G0034470 transcript:Dexi3B01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNANVAEKERTGPPGVLRNCSGVGCTAWPLRFNEPLVSSSRPDGVIGERRAGEARVDELVVVTGAEDGGDAHKTDEQGTGEKSGS >Dexi3A01G0031690.1:cds pep primary_assembly:Fonio_CM05836:3A:36055366:36059432:1 gene:Dexi3A01G0031690 transcript:Dexi3A01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVVFTLSSCCMCHTVTKLMEDLSVNALVHELDRDPRGKEMERALLKMLGGRGPAVPAVFIGGKLVGGTNRVMSLHLSGELLDLGINKTAMAERVSRLSTEKAAVIFTRSQCPMCHTVSSLFSELGVCAAVHELDRDPRGREMEKELARRLGRAPPVPAVFVGGNLIGSTDKVMALHLAGKLVPMLKAAGAIWL >Dexi6A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:6A:6617905:6618750:-1 gene:Dexi6A01G0006780 transcript:Dexi6A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDVFLHLGPLEVMLGAEQACKPWRQVALEEPTLWRRIGLDERGCGDLRGRRYLCDVTGNMWRVAVDRAKGQWHHL >Dexi4B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:4B:6521973:6523040:-1 gene:Dexi4B01G0009070 transcript:Dexi4B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYTNQLSSSSSSSSDQELMKALEPFIRSASSPTSSTSTTTSPFSYPYPYYPSASPQDSHYYYPATAFSYTSLPPPPPAPTATSFSQLPPLPSSSSSSSYASPAAAPYQTSSSMDAGAGLALNHLGPAQIQQIQAQFLLHQQQQRGLAASLLGPRAQLMKHAGAAAPSLAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAALAYDKAAFRLRGDAARLNFPSLRRGGAHLAGPLDASVDAKLTAICRSLAAAPDSKAAAAHPDSPKANSASTTTTEGDESSVHSAGSPPPSQPAFSQQQQQEESPLPEMASLDFTEAPWDESAALHLNKLPSWEIDWDSILS >Dexi5A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:5A:558052:562378:1 gene:Dexi5A01G0000790 transcript:Dexi5A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSTLPSSKPRQRADDVRLSSPDTASRFEYSSPARGDGQPLATPKDFVCPITSQVFDDPVTLETGQTYERRAIQDRFEYSSPARGDGQPLATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNSTCPITRQRLNGGQLPKTNYVLKRLIAAWREHQPPPSPVPETTTTTMDDSPAPPAPPFIKAATASSSPSPDTSASHASAPSPTSVIAQATLETAVGELRAAVSCLCTSEDLAESEKSVLKIERLWREAVVGADHAVVASLARPAVINGFVEILFNSVSAQVLKVAVFLLAELASRDDAVVQTLNRVDSDVDCLVALFKKGLVEAVVLICLLSPTPEQLVEMDMAEALVSTIRRGDEDPLKMFIKPKTASVILLSQILVESAAGTGTDSSTSPVPRTALLSERFVRSVAASLEAEQVEERMAAVRILLRCIWEDGHCRSSIADKASSLGAILDAFHAVGDADKFDIVRFLYELLKLKKRSAAERVLRMIKDGGSFSMMHTLLVHLQSAPPEHSPVVAGLLLQLDLLVEPRKISMYREEAVDCLIQCLKNTDFPRSQLLAAETIMCLPGKFSSSGRPLARSTLLRLARVKERSYRQSQDLSAVRADGGGGEDEMEEEKAASEWERKTAYALVSHEFGLVFEALSECLRSKNAELFTTSLVCATWLVYMLSLLPDTGVLGAARVCMLRQFVIVLRSAKHGSDRVLAMVALRSFMNDREGMHDITTYIKDVLKTLRDLKKSSGLAFEMLKLLSDGQESSVVMLSFLSNAFACAIFRLLKQQSLQDMWNHREINQADCSSNGEVTSIVYLKNYIFSGHSDGTLKVWEGSENILRLVHDAQEHTKAITSLSVMHSEDKIYSGSLDRTIRVWQFRDGVLRCVEVHDTRDPVQHLAVANAIACFVPQGAGVKLLSWNGSSKVLNPNKYVRSMALVHGKLFCGCNDSSIQEIDLASGTLGVIQSGNKRILGKANPIYSLQVHDGLLYTGSTPSSVDGASVKVWNCANYNLVGSLPSSMEARSLVVSADLVYVGSRNGAVEIWSRDKLTRIGTLQAGGPSCRVQCMAVDGDGDVLVVGTSDGRIQAWGLT >Dexi8A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:8A:1382721:1383464:-1 gene:Dexi8A01G0002040 transcript:Dexi8A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPCDAHRRLSLEALLALGPGAALQSPTPSSRHDQSSSDDATITDAAAADDLSPASSVSFHHHQPVHHQPAAAAPPPPPSPQQRPRREYTCKECGKSFPTNQALGGHAAGHRNRQREAEAMAAAAAAAGIMGANHHDGGAFLAELRRERAVAAPHVCRKCHKEFATGVALGGHMRVHYTGEPIVPKRRKTNTKRALALLPLVEHHGGIATPSSSPGLSLALSINTAEDAPSPSPAGADCVSLALT >Dexi3B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:3B:5779924:5782420:-1 gene:Dexi3B01G0008170 transcript:Dexi3B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAEATMNASANVASKLRHGCVVDGPAVKQHDLKSRPTWIAGSLMRGRPWRHDLPWQTPRKDAMEFLREERSLESKYRKMNQPLSASAASDEGTAFTMGLQLGKQLEEIECAGRWKVLADLWTEMILYSAPSSNARDHIQHLANGGEFLTHLWALLSHAGILAREEQGFDKENTPL >Dexi5B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:5B:21543986:21544552:-1 gene:Dexi5B01G0019190 transcript:Dexi5B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLVAAAAAVAVAVAALLATGAYADCFETCFKNCISNDKSMADYCNYACSLTCGGGGGGGSPNDAIRRPIDCQFSCVRDSCRPHRADGKGMEACYGQCYDGCKTKASLPRPLGAGVAGDVVWPAALPDHPFHKTLEAVEPTSEPDPDDVSRRASGPLLP >Dexi4A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:4A:1362807:1367638:-1 gene:Dexi4A01G0002020 transcript:Dexi4A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMRPPYMWLWILLDSKRFFPLGAQVTWPRRRTEWPASTPPPLRRGHGYVRKKVLDSRGSILSLASRGAEIIWKLGFYWSSLVYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQVAFAIIEEELGQPLERVFSKISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYKQLSGSRALVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLARGTDVSPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALQKNSSQAVRSRQLQSKLDLTETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVARDFMLSWSDSILSDRQY >Dexi1A01G0024100.1:cds pep primary_assembly:Fonio_CM05836:1A:30742499:30744367:-1 gene:Dexi1A01G0024100 transcript:Dexi1A01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVLSQAQPHQISTPRTTTAPAPAPEKKPRDQAQPCPCATPAAAAVSARSVDEVRKAQARHIKLGLDRSPRHARPLLSACALSGWPGGMELAASIFDSLDEPEAFDYNTLMRGHVSDRCRDPAAALRLYVEMLQAGVEPDHYTFPFVLKACAQLVASRQGRQLHAHVVKLGLQQDEYVHNSLISFYGKCGEPAMARKEFDVMASEGRTTAASWSALLAAYTMAGMWGECLDTFVAMVREGWRPDETSMVSALSACAHLGAYDVGRGIHAALLRNAARVNTIMSTSLVDMYAKCGSIENAAAVFDATADDNKNAWTYSAMVSGLALHGDGRKALQVFDEMLRDGHAPDAAAYVAVLNACSRAGLLEDGLRCFDRMRLEHKLSPNAQHYGCMVDLMARAGRLDDARALIGSMPMGPTDTAWRSLLNACRIHGDMDLAELALEELRRLGVANAGDYVIVAGMHAMAKNWDAAAALRTEAVDSGLVQSAGYSAVEVRGEVHRFVSQDMAHPRRRDIYEMLHQMEWQLRFEGYVADTSEVALDVGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSRECHAYSAMISEIFGREIVVRDRNRFHRFSRGSCTCRDYW >Dexi5A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:5A:22341439:22349583:-1 gene:Dexi5A01G0018840 transcript:Dexi5A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYSIRGVDVDFPFDAYDCQVTYMDRVIESLQQGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLRGGRGGGGGGSGRGSQLPHYGSQQSEEPASQQQHSQYPVIIYASRTHSQLRQVIKELKATSYRLEISSVAAVVDGLMSKPPMVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQGIPSNKSTKEKNGISNKQQGLLIRLLDVLSDIAMTMELLFIWMKDCRAPVASKCMPQENLSDLAAGAQNECPQVTLSVNSTTRRSNFMKLAQITPANRSTLATKHNSTSTSQLFSEDQLSQDAKVVDMTDVAAHGNMKEHTFKPLGLKKAKLMDRSKDAGGSGCISSKLPQNIESRALARYQGEGCTPQSKRSTTEKACGKNEAICEKSEGQECNSGTAFLKLAREKLSGAEYGEFVGFMKALKSKTMHIKDSLEAIAKLFSSPGRLPLLEG >Dexi3B01G0030890.1:cds pep primary_assembly:Fonio_CM05836:3B:31111937:31112859:-1 gene:Dexi3B01G0030890 transcript:Dexi3B01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRADNKFQNEGKAANENQKKLKKDKKNKGVCSKESTANKAAIGGSDKDHSTPPSCCQDGGNVCAADEDGDDDDVQWQADTSLEAVEQRMQEQLTTVTAELVTLSTDESEKKKESSHNDAAVYGSSNHLDNSDDKQIVGKVLQ >Dexi8A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:8A:17902619:17904752:1 gene:Dexi8A01G0010490 transcript:Dexi8A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRERRQRPPMPATSPYQTLARPQSPASSSPRQDLAQPTSPASSPRQEGAAVSGGSRERGRGVRD >Dexi2A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:2A:28534631:28537814:-1 gene:Dexi2A01G0016800 transcript:Dexi2A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDTTASQPPQRAASLSDDDRLLAAHCAELSFPSSSRTPPAASACSFQVHHASHPYPCAAFAFPPSWSAADWVAPSSAEGARQPFGDAEVDPALFPSLRAVGSGVPARANAAFLAAFRGLLDGSPLQSESKEAILHLSAAAQWEKQRQRNQTKIDESCQKIQEALRSLNDYKRNCGLRGVSYYDSFKLQREVHDFDANVRRLELAGLWDEIIEMLRRRELPDGFEAREEWVSLGTLFRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQLQRAPVGSSLESCFWAVVEELQAEMVDGRPFEDLRDRVVKLESDAHGWYNSGSLGNDVFLESSSFVAWWRTLPEQHKSASCIAKLVSL >Dexi2B01G0035300.1:cds pep primary_assembly:Fonio_CM05836:2B:42385618:42386392:1 gene:Dexi2B01G0035300 transcript:Dexi2B01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMAAMPAAAPAAAAAAEGGPGKETENQPQQKKKTVVVVGVDDSEHSYYALEWAVRHVAAGMGDAVDLVIVHAKPSASSVVNFAAGPAVGEAMMYVEADLSKMAEAVVDRARSVCIANSVHALIEVFQGDPRFVLCNAAEKHHADLLVVGSHGYGAIKRFGSSGER >Dexi4A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:4A:4496374:4507310:1 gene:Dexi4A01G0006240 transcript:Dexi4A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding NITRGLSEKLFVPGVPGFAACLSVVGLTMLVKKRYDGEDVEDYELFFMCSQFIAWISVSIVCVSGAWFEILYNPIMCFCWILKTLLEIPHLQYKLTVQKATSSFMEIISFCTAITFGLFVIVATVIMGQSGNRRKANSIEAPLILNDEKAEYEITNMAKDHNLWELLTFKFVNPMMDIGITRQLDFTDLPELPIELRATSCYDRLLSSWTAEYQNYHENSSLLRAMSCSYGWAYLRLGLLKVLNDSINFISPLLLNKFIRFLQQGSGGMNGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLAERSRFSEGEIQTFMSVDADRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITILLIPAYCLLQYCSFACNRVHMHSEQMDFYKDCLRNRKNDEAKRSEYFPKHHYIRWFHWISCAGELLAHIRTVKMYSWEKLFTERLMERRESEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHTLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSNYLSTPEHHSPELTASDVILKYQFKRYTEAMHNPMAFVLQNVCCSWSSSSVSDPSMVLRDISLQLQKGLFIAIVGEVGSGKSSLLNSVIGETHVVSGSISSCGSIAYVPQVPWILSGSLQDNILLGKEFDPRRYKEVIHACALDVDISAMARGDMSHIGEKGTNLSGGQRARVALARALYQNSDVYLFDDILSAVDSQVASWILENAIMGPQLMQKTRLLSTHNLQAISAADMIVVMANGLVKWFGTLESFLATPYSRISKSDSSSPTLFAVSVKEKAQIVSCELRTDVIVEDDSVVAYEEQKDQVEAEARKEGVVELSVYKLSSDLYAVDDSLPFILNIFVANFFSLLGTLVILSYSQVSFLLVLLPLWLIYRKLQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLAKFIQHVTLYQKTSYSELTASLWLSLRLQLLAGFIILFIAMMATVTFHSSSLVNLGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVAEYVGIPKEELKESESAPRNWPTEGKIEFVNVTLRYKPELPPALNDVSFLVESGMQVGIIGRTGAGKSSVLNALFRLVPICNGRILVDGIDVAKVAVRELRAHFAVVPQSPFLFDGSLRENLDPFHKTTDLRVWEVLEKCHMKAEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTASLLQDTISAECKGITVLTIAHRISTVMKMDNILVLDRGELVEEGNPEILMNHKSSRFARFAKASQM >Dexi5A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:5A:24067197:24070142:-1 gene:Dexi5A01G0020280 transcript:Dexi5A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPTVTKSPPSLVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPASGAGDQAASIATMREGFAKALVPYYPVAGRIAEPTQGEPEIECTGEGVWFVEAEASCSLEEARNLERPLCIPKEELLPRPPAGVRVEDTVLLAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGIPEPSIKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSLKRVKDQVASETNQKCSTFDVVTAIIFKCRALAVGFAPDAEVRLGFAASTRHLLSNVLPSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVSAIREAKEALSSRFLDWLSGGAKENHYNVSLDYGTLVVTDWSHVGLNEVDYGFGEPSYVFTMNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEGQHSAVFSEELQKHA >Dexi5B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:5B:5092391:5093029:1 gene:Dexi5B01G0007550 transcript:Dexi5B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEGTLPFKVLPLEGEQDCRLGRAAGPLSTEEDLELGAPGLPRVAEVGLSGSDVLRFGGASREKPGISDGFHGLDLTVGELPLEGVGAVVFAGEEPLGIVEPGLEIEEDLTGAAKLPCWTDGAEGIVDLEVGVDDLLAGADALPGGAGFLLAGPTALLEGVVDLAEGSVDLEVGVDDLGA >Dexi1A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:1A:8043724:8050312:1 gene:Dexi1A01G0009690 transcript:Dexi1A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIYNDGALECGSRPLSSPGFPAMAASSPSSLAPPAVPMELHAGNRDRLVAALRDHLCASGRPPRGLVLLQGGDEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKALSYFRDTYKVDMVFYVDEITHVVQDSFGDHGKPFLFLLYGKNTDSGNFSKPASFEGIDKFDTDLSTLHPILTECRVIKSDLELAVIQYANDISSEAHIQVMRQARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLNDGDIALMDMGAEYHFYGSDITCSYPINGKFNSSQIIIYNAVLKAHNAVISHMRPGVNYMDMHKLAERAILESLRKEQILQGDVDDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKDPGLSSLRTTRELKEGMVITVEPGCYFIDTLLTKARNDPSSSKFFNWQEVEKYRSFGGVRIESDVYVTAQGCRNLTNCPRETWEIEAVMAGAPWPLPASSSTLAASENGVSKALS >Dexi9B01G0040750.1:cds pep primary_assembly:Fonio_CM05836:9B:41260857:41262904:-1 gene:Dexi9B01G0040750 transcript:Dexi9B01G0040750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPVVLLSSSSGEDDGGGRRGPSARRSRTPASAPARAQSQAASGSRKKPRRESSVGRGRRRASATAPSNSLKVEDVKKWLEEKLTAPKVIVL >Dexi9B01G0036220.1:cds pep primary_assembly:Fonio_CM05836:9B:37757591:37758407:-1 gene:Dexi9B01G0036220 transcript:Dexi9B01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADFVPESVESVAEFVPPPSPDSSYSFQAPEDKDFAKEPPALPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >Dexi4B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:4B:22320050:22322971:-1 gene:Dexi4B01G0020100 transcript:Dexi4B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGRSNRSNGPGTPLIESIDVDQIVIPELRQTYRLEHSTNTRHNLFLHSALVLSRKVPRSVHGIKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQVY >DexiUA01G0015180.1:cds pep primary_assembly:Fonio_CM05836:UA:31866225:31867043:1 gene:DexiUA01G0015180 transcript:DexiUA01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRQQTEARKGKKPQLNKPQGALTHQRAETNLVDGGFHMTQCPDQKQLTCSKAACLLNTERYASLSPLPLSPMAFSTKAVGKKRTSWEDETVGRTEEPSARVTSTGTATALGNVGSTENAGRRQHAAAGTRCNSTVQSRRELHKIRFSRADRDAPVQPRIGRKTRPTSGAKRPGETGPARPGEQL >Dexi1B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:1B:24463796:24468367:1 gene:Dexi1B01G0018190 transcript:Dexi1B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSYQSSPLSGQNSFSSLSSFKDLELSPESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNLSDDGLRQRIRGYLKGASDEHLSQFLQLIKYVSGSYDSGEGFELLNKAISEYETSKNYDSGSYRRLFYLALPPSVYPSVCKMIRSYCMNPSSHAGWTRVIVEKPFGKDLDSAEELSAELGKLFDEEQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQVRLFSSIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVKPIKHEEVVIGQYDGYKDDPTVPDDSNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDAGKLKSVSYEPGSRGPQEADEMSKRMGYVQTHGYIWVPPTLSKV >Dexi5A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:5A:14379951:14381458:1 gene:Dexi5A01G0016130 transcript:Dexi5A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding AXXXXKREVRRRIKKHEKLMNGISALLCALQQALDEVSNIEGVVLILGGSLVRPLFVYDITISHGAFDSGSAKDHALTKLAQSVSRKAIRALVSCGAGSVSYTGPSKLFLLVRCSSTLNLPLDFLPKRGFRYSKKVVPLQVHIKCSKAGGQGNNQHSVSIPDAPASTSGSSLPDAIWFQCKHTVRGLPGKASLEG >DexiUA01G0025590.1:cds pep primary_assembly:Fonio_CM05836:UA:53999589:53999852:-1 gene:DexiUA01G0025590 transcript:DexiUA01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHRRSSAAPTRYGAVTTPFQSDAAGGGRSGRWRRQEPAEEEAGAGDEGKQMAATAEAGRGSRRRRTTDSVAAEAAPSGEEKEGRG >Dexi2A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:2A:30893954:30895843:-1 gene:Dexi2A01G0018710 transcript:Dexi2A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVANKIGGKQEPRRSAATSTAPYRSNVSECRNDEFSDWPQSLLAIGTFGNKQIEEEAQSSSGNVQSVQDSVKFTEEEVDNIRKEFEVLLEGNDQAKVQSSNADEQVASQKRVDEDDKEKHQDQLMNKEIIISKAKEIVGKKGGKIKPRSIASLLRFFLCKGGFTTPIPEPRNSFPQSRMEKLLKAILQKKIHPQNSSTVATRRHLDWKLDEKEINECLEDVLRDLDDDGAKWVKTDSDCK >DexiUA01G0012450.1:cds pep primary_assembly:Fonio_CM05836:UA:25186714:25187457:-1 gene:DexiUA01G0012450 transcript:DexiUA01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGVIPICSSTGGLVDTVKEGFTGFHMGSFNVECETVDPADVTAVATTVTRALKQYDTPAFHEMVQNCMGQDLSWKGPAKKWEEVLLGLGVEGSQAGIEGEEIAPLAKENVATP >Dexi9B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:9B:10911773:10913240:1 gene:Dexi9B01G0015940 transcript:Dexi9B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQGDEYYSDTDSDDDVDRYVFLARHPPPPAARHAEDDDRGASSENHDDDDDDGDATEEDEKEPERGRGVKRTKVNPLREISDDDDVPPPPPKKKARVVELTAPPFVRTQLMSNSGSESDSAPSPFGVRAEGESGSEGSHHEKAHQEHRRDPGNRKRGGGVCGKRRRRGPGCEEGGDRESHVAAAAAAKIVANSGALPATVTSGRFLCPECERCFDNHRALGGHVLGHRKKEKIAIAAAAAATNLYLDDAGGGAGDYREETTAVAKVNGEETANGTAQADMMGVVVAARRGKGIGRHGKIKIVDWIAENRDEDVDDDHVNDGNPGFGNKQGNAKAVSGGRDFTNGSYRSNDKVGIGAAIPSKVVVVGACHEGANGGDGNVSCRTTLYKCKVCGAECTTGRALGGHMRKHRKRPPPPGGEEGRSPSPPTDDDYQMTLARLFGAENKIGLM >Dexi2A01G0024460.1:cds pep primary_assembly:Fonio_CM05836:2A:36197881:36198738:1 gene:Dexi2A01G0024460 transcript:Dexi2A01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRALLRLALARNLHKSTEGTPRRNPNRRKLHETLVGVEPPFRAGTAAAAKFFRRNRMSLVEGRGVGGCSSLPAAAASAHDAGHARGGRDPRSLNKCVCVAKIFSTGLCALWAVAVGKLKRPTLLYPRPRRADGWGDRVHETDSERKRTLLQEANSLAEFT >Dexi5B01G0030610.1:cds pep primary_assembly:Fonio_CM05836:5B:31545288:31555696:-1 gene:Dexi5B01G0030610 transcript:Dexi5B01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSHSPCSQTVTSTRFQTPDETTSMSPADRRTRQQPVLARGVQSSHTAKHTAVPGAAGSSSPSRLRRNSPSVLVIPPWNGCLLAKGGRGKTRKLQRFRAESKAGFFFTRFYNRAGAVWSGTSQTGPVPNGYVNPDSKVQDKPAFGAFRRRGRCQMNFAARSYLFGPAGRRAPLKPCHAMTRWTVDTQRACTARRGAIASSRGSNAAMLGVISRAGVFHAAAAVANKTPGAQVSENLKKQTPSRLVVFVATRHDLFHRRPRGPSKFDDVGQCLCCVLKTFFGGIGCCCLGALEFACSICGLFIGVVLICVLVPAYGVVYPVRATVRDASVAHLALAGANGTALAYDLSLTVALRNRNWAMRAEPSAPLVAELLFAGRRLEGATLAEDVSGASSIDPHREEEFRMRAVSSTKGLEFARESVDGMVELELRLTGAFRYRPVHVGGSRKMDVRCPLKLPVAPPPAAATPGLHWMSTVFDKLQVDVNEFGAAALFEEEGLGPQALEARGDGFAATARRRSSSWRYRAFKGDDAALLIAHDFKEAALAYVLAGNSAGASSPVASLPVHQSLGHLYISRRRPFVHQAVAPDGRIHVPFTTGSRLSETQRAGSMQPPQHRWRVETEACSGIKSGTAAMADAPSPDPAAAAVGRVTRLTKMPPVAGMPRRDPDTGTQLARPLHAAAGHPPPDPPKLMARAAAAGRPLVPMPLVRRLLGSARLTGETVWTRNHRLKPAVGSPSPELTGASAFGNQAVQILKVCPDPDREIAFEKAISLYIEGKATLKAAKSCRELAEFYEEQEEWHSALRYFEEAADYYGDTVEPGLCWADHIRLEFYSESDPSIDLARAYILCGMQPFLGRVFCAHSHRRRVVMGLAASRRPEQQQQLGGRARSGRDDKRRRVPSGMDAMEAKGDKLVAQARRQLHGFRTHIEPAKDRHGYAARYFADATEAFTLAKSCEFLAPASCGEKNGSTRHTHCGQLPNATRKLLTPFMACTDDKEIVNRMEWVLKTLVRILVLENEMPELAELYAARKRKDEAIRKGRGILRHDD >Dexi3A01G0030910.1:cds pep primary_assembly:Fonio_CM05836:3A:35217298:35218984:1 gene:Dexi3A01G0030910 transcript:Dexi3A01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQGTTTKCTACAKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVENENAIKVSSAFAGTREKCVGCGKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKTSQAGSLEDEEAEY >Dexi4B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:4B:421371:421820:1 gene:Dexi4B01G0000590 transcript:Dexi4B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHPNLLDLPPNTVVAMEPPHQQQLSFDHGQLLALHATDGGMSSASVSLSLYNLDDTTPSLMFGHIQQQLMPAAWSMMSSKYLDPAQELLTDLPEGDLLHDKQRRPPPSSSSSSGGHPSSLMSSMDLLELERRKTRLLSMVEEV >Dexi9A01G0026520.1:cds pep primary_assembly:Fonio_CM05836:9A:29610579:29612418:1 gene:Dexi9A01G0026520 transcript:Dexi9A01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRSMAVLVLLFLVMWRREGEAATFTFVNHCTDMVWPGILSNAGSARLEPTGFELAPGAARAVAAPSGWSGRMWARTGCTHDGATGRLVCATGDCGSGAAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGGPSGGPTAACAAAGCAADLNAMCPAELRAGGGAACRSACDAFAQPQYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGRPDYTITFCPGATPSQKSTTMPGTTPTTTPGTTTTTVPGATPTMVPGTTSMPGATPAMPTGTMMPGTTFTDATPDSAMPMGSGGLGIEGGAGEQGSVLLGSSSSSSEGGVSWLANMATGDAAAAAAPPVASAAQLVAAALATLLCLYLRQFLL >Dexi6B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:6B:5106152:5107057:1 gene:Dexi6B01G0005620 transcript:Dexi6B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLTPRCHLPPLRRSPPPPCAAASTTSPPAPPSLSSSISIPSRADPDELRSTWPHRLWTLAGSAAILSSLSTSASLVFSGSSSPAEPLAAVVAAYSLADLATGIYHWLVDNYGDATSPFFGAQIAAFQGHHRYPSTITRREPCNNLHSLARAAALALLPVDGALAVGDAPAAAHAFAGAFAACVVLSQQFHAWAHEKRGRLPPGVEALQDAGVLVSRAQHAAHHRRPYDSNYCIVSGMWNGVLDRYKVFEALEMVVFFRTGVRPRSWDETDASWMEVVAGDEVDAGDDGLLQTASSISSD >Dexi3B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:3B:11299681:11301015:1 gene:Dexi3B01G0015580 transcript:Dexi3B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSPEKTRNEDDASCRVPVDHASSASCFVTGNGCTDNQELPAGLPNLMDIGSPDDSADLFGSSVNTKNIVLVLDLDETLVHSKLQPCDNYDFTLQVFQLQVDNGIPIKSWFDDPADIELMELLRFLATLVDAKDVRPMISKNFSNNP >Dexi1B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:1B:14218846:14225842:-1 gene:Dexi1B01G0012130 transcript:Dexi1B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALRCCFPGSAIVVASSAPTRGGADAQRPWELRPLRWGTVPASTSPSSTRSLRPPIFVSFVSKLTGVFGVFPYPLCLHNTFFDTLCFNGISAKATDAYEGARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVIHHVSNVLGSMLPIEEIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFMHSTFEMLSTMEPFLGGGEMIADVFNDKSTYAEPPSRLLSVPNVRIYGPAPSQTDHRAPLCSFNVDNVHATDIAEILDLQHSVAIRSGHHCAQILHRNLGINASARASLYFYNTKEEVDVFIHALKDTIDFLTSQH >Dexi1B01G0026000.1:cds pep primary_assembly:Fonio_CM05836:1B:30963118:30963511:1 gene:Dexi1B01G0026000 transcript:Dexi1B01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSVPRRPTAKDAAAITAGPVRKRTAMANVATLFPHAMIRGCSAMNSPAHTTGGWYRPRLNMAIPSPASDRMEMDFRTIAGISKFHLQDDPTNPTAE >Dexi7A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:7A:2661587:2662870:1 gene:Dexi7A01G0000990 transcript:Dexi7A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTKSLPFLVAPSEATPAGTVRLTSMDSALASLPMAAVFVFEHPIDQPAETIRRALSRALVPYYPIAGRLTVGEHGPRIACTCEGVAFVAASARCTLHDARLTDRRPAIPAEDLTVTYAGQYNQKDSPLLLMQVTEFSCGGFVVGVTWNHVITDGVGMAQFLQAVGGFARGFSSPSTVEPVRVDCALPEFPPPIIAMTKEMVSRKHNEFPNSYITIPMSFINRIKDEFRRSSGQVGGDHNASCTAFDVFTAAIWKCRARATVACGTANKDAPTAFVFTANVRKQAGAKDGYYGNVFTFGLAVSTVGAVANGDILDLVRLIRDAKARVPYTFADGAANIADEMGGRLQGLDGYNTLYVTSWWNLGLDDVDFGSGGPACIMGNMERKVVPACILCGRKDKADGVAAMAFCVKQEHAEAFHSELGMLR >Dexi9A01G0045960.1:cds pep primary_assembly:Fonio_CM05836:9A:49408337:49409927:1 gene:Dexi9A01G0045960 transcript:Dexi9A01G0045960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVHLPSCHLAAHQVYRVAGSGGSTSLRSSGDQRSGSRSRKGLSLSLNCPSPRSLVTCALKTPSYGGRSREKINPRDLYTFSYKFNTDIPMRETQGASIDEYLQNSPRIVGAVFPDQRKRRKLNDEEWSVQLVPIQFLFLSASPVIVMRFVRKSGGKEYPPNVPIHATSLLLMEVQTDYKLNGLDSNSMPSHLALTVRGLMYPQREGRKSLRGHVEMTVGFNLPPVLALVPDSIIRGVGETVLRRLAEQMKQDFDTGLAADFKKYSREKLTDRRSSP >Dexi3A01G0032620.1:cds pep primary_assembly:Fonio_CM05836:3A:37466710:37470027:-1 gene:Dexi3A01G0032620 transcript:Dexi3A01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWQRAPSSPCDATWHTAAAGVAARHARSQSPVRGRSATPSRWSSTIDDDDDLVYSAAAVVTAPARGSETPARMLPGASGRSPSHDRRGGWPCGFTRASAADRAFRDAVVESPADGGGGGDAVELELTGMACLLKDGFVGYLRELAKITPPVPKQAHPLPLSSRNS >Dexi3A01G0028550.1:cds pep primary_assembly:Fonio_CM05836:3A:29375238:29383417:1 gene:Dexi3A01G0028550 transcript:Dexi3A01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNAPLHLRHPCSPAALHPKPSVSSPTLAPLPRGLLARRACAHSPLRHVASPAEEEGTPEGEGGGGNDGGEDEEDENLGPASAAAVAAAIRRASNASPVRFRRVRPGETGEGQRGEEGGLAEPSADFRRLCAEQLEMFRAVVSRDAVLSVSPTCAQFNKLVEKEILVYVRPAGSYIMDQLELRRVALYPGINNAPERDIVVVVGDFSISAGLRAAEAFLVKQQMEVVTEFGAIVLPMVKHPFVVGFLVAELPELHGGRAMNSHISDIELPSSTFMDMSSEIAPHTKFKAWDDQTSGDQANNYSQLVNEWKNTALIISRTLAMAYVMDQKAYLLQQTSWQNNVRMSGLVEQIRAPLSNIRALAKMLSVHMKRTEIPFDIIEDILIQGDHLKAALQQIQDAVYLTKVAFNVYFYYQISFIVIFLLSGRPCDLCDVLKDLVAGALPLAYKQQRTLDVTGISHPIPVAVEESALRQALSNLIEGALLRTQHGGRVQIYAGEAPAGGTLVVIDDDGPDMQYMTQMRSLAPFGSDLLADNMLEDNMTWNFIAGLTVAREILENYGCVLRVISPRRPDAVIGAGGSRIEIWLPSFQTDIADIAEEA >Dexi8A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:8A:26557354:26561223:1 gene:Dexi8A01G0015460 transcript:Dexi8A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKSAVEGTLTIAKSAIEEEKKLKKNMQRDLMLISDEFEMMHSFLNVSKERASDEMVKTVVRQVRNMALDVEDCIESVVLMDTKSHWWRRMLPSCILAMVPGLPAPALDQAVVAIELLKSRVEAMGQRNERYMNIGSGSSDSGPAGPTDKAQRQAVADATAVGLLIEARNVLTKHRSPGDLIELINKIDQALPLQVISVWGAEGDLGVASIVKKTCADPKISKKFGFRAWVKLTHPFNSHEFTRSLLAQFYANYFPQEGSSVNFLKPLDVMIATEGVLVEEFVKLVSDRRYLVFLEDVYQAVDLEAVRLYLPDKKNGSCIVVHTQQLEVASLCVGESHRVSELEQFSAGHSVFVFYNEAKKKRRSPGSLIDLLKEDAAEPQLRIINVREEVGHHVVAPITMKTCDKYEDICKSFKYRASLKLMHPFNGEEFVKNLLTELCTNYCLKHGSAKDFLQLKGVIMVITEGVLITEFVKQVMRNKKYLIFLEDVSSVDDLNAVREFLPDNNNGSCIVMHTQQLEVARLCTYNSISIFPSEWRELVSHGVILCPILMVKAGHVNQGASSFYFLEGDKSLCVALFFLLPLMSLLQAWP >Dexi3B01G0027990.1:cds pep primary_assembly:Fonio_CM05836:3B:23823767:23824436:-1 gene:Dexi3B01G0027990 transcript:Dexi3B01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSQPGLQPARKPNLKNKKQNRALVALVSWRPLRSPPGTVCAPTVRAAGAAAVPCGCCPVHRCRTPLGVALSNLALGPPSPRAGTAAASVDLVLPLQSLCCRVLALVAKLAPASPRPLLSLVAELAPPLLQSLRHRVLTLVVELAPPLQLQGSCRQLAPPPLLLSPRHSSPHPCCRAHTTVVVSEPAPPAISAAACYFGETEI >Dexi4B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:4B:18915513:18921074:1 gene:Dexi4B01G0016770 transcript:Dexi4B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLNQEKKLKVDDRRRRGGVAMTKTARSASVLSEAAAENAARRVTPMKLLVRVVEARGLPAVHLNGSSDPFVKLKLGKRRAKTAVVKRSLAPAWEEEFSFLVGDVAEELVVSVLNEDKYFSNDLLGLVRVPLAQVMETDELSLGTQWYQLQPKNKKSKKKCRGEVCLYISLSTRSHVSDESQCVLHPASDDLASSSDSPTEHKAATLSTASSYIDLSAISSIDRASYSSFERLPYSIPELPARSSTEQVTSEPGPVADNDAIANPSSVVEVLSRYFFRKPVDTPVHSITSDNEPVDQLQEPKVSSSEDCENPEKATTSESSLDELLKIMESKDQGSEMPANLPVSLGLNILILFSVLGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLYVVFTCNAKKKTSSIKFQTSDPKWNEVFEFDAMDDPPSRMDIAIYDSSGQCIIGHAEVNFLKNNLSDLTDIWLPLDGKCDQASHPKLHLRIFLNNSRGTEVVINYLSKMGKEVGKKINLRSAQTNAAFRKLFALPPEEFLIDDFTCHLKRKMPLQDRGLEAKHGAKGTDHNGRLKFHFQSFVSFNDAYRIITAIWKMRALGPEPKGELVEKDEPKELQPEEGGSLFTHADVKMSEILSSVLSVDVESLMEMFAGGPLEHKVMQKLQLKYHMTDVPSKPNTCSVQVSLGIAWLKSTKQQKKVTKNIISNSSNRLKELFAEVEKELTSRNGSLLNAATGSSTA >Dexi4B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:4B:20098969:20099256:1 gene:Dexi4B01G0017750 transcript:Dexi4B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGCGVVGGEEAGKQEQRIEGSAGRGPRVARGCLVDGAGGQVVAGVRCEEAEEREEGVEVAAAVEVDTVAAVPAARARCRQRWGLLATTSAMGK >Dexi6B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:6B:7469467:7470169:1 gene:Dexi6B01G0006770 transcript:Dexi6B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHNGRWQYPPGVWGEFFITHKPCTPEELLSMEEKASAKKEEVRQIVLDAASMDLARKLDLVDVVQRLGVDYHFKEETDELLKSVFDDKDGGSDDLYLTSLRFYLHRKHGYAVSSGVFEKFRDEQGNISSDDVNILIMLYDAGHMRIRGEEILDHIVTVNKSRLQSLLKTDLEPSLAEEVRGHLGDN >Dexi4B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:4B:1614305:1617740:1 gene:Dexi4B01G0002520 transcript:Dexi4B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLRAHAFASNPLRGVSASTTAISPSAAAESLRTLLDPASADAASPPHQPHLSKILPFRRGRPLARSPDPPAAAASPPAAPAWRLAWLPPSRVPGVAPDAFVFLGAHAEGGDGKEAAAYWAVDVSEGEEGPRIDGGSGDEDGSAFVDLRTLMVATDWSDKDAMGDLAIAGHARALLEWHSTAKFCGACGAKAVPTEAGRRKQCSNEACKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFLEPGESLEEAVRRETWEETVFISPYNIVLSVGPNTMPCQLMVGFFAYAKSLEIHVDKQELEDAQWHSREDIKKALTFAEYEKAQRTNALKVNQICKGAGKGQSLSDDLKVGNDQPAPMFVPGPFAIAHHLISSWAFEGTPKLPSSFSNL >Dexi8B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:8B:18507839:18509331:-1 gene:Dexi8B01G0010060 transcript:Dexi8B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPNGAGRRVVFFPFPYQGHFNPLLRLAAALHAGGLAITVFHTNLRSPPDPADYPSDYRFVSVPVHVPMEVVASEDIARLVTELNVSCQEPFKQRLAALLSEPEGKAGGVRCVITDVIWYSAQAAARELGVPAMAFMTSSAASFRNFMVYPTLIDKGYLPVQEEHKDDPVDVLPPFRVRDLQRIETSSLADFATLLGHTVDGARQSRGLIINTFEGIEAVDLDKIREDMSIPVYAVGPLNKFTPPVKSSLYELQQDRRCLDWLDTQAPGSVVYVSFGSLAAMDPHEFVELAWGLADSKRPFIWVVRPSLIRGFESGDLPDGFREEVGDRGRIVDWAPQDEVLAHPAVCAFLTHNGWNSTIEAISEGVPMISRPFLGDQYGNAMFACEVWRVGMEVQVENQLERGKIQASIEELMGNKEGMEVRERMKRLKDVAMKSIQESGSSHTSFLKLADHLLSF >Dexi8A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:8A:9089750:9090880:-1 gene:Dexi8A01G0007910 transcript:Dexi8A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSQDHPPTRQGNAGESSGIIGALLHQDALYEILLRVPAKPLCRFRAVCHSWRSLLSSPSPFITSHAARHRDQPPLVAVCGMVPGRDHRAAEIRLLDATSGDVVRRFGVGPPWLLRRVWTTPAHLDGLALIVRLVARGRDVDDEHHRLSVLDPATAAVSDLPVGYCDDDYDDDNRSSFVFWRATASSSIGGLNTRRRYYGAGTQTFCKILTVDGSGGSRGTWRDAPAPPVAIKTFHGSETVVASGVVYYLVDSSNGWTIAAFDLEAEQWLPELLHGPTVVPVLLPSVATNNSREHRRSLAEVNKRLAAVHSTCSTMDVWLLMGSQWCKGCRVVASSTEWQFWPVMHPVPLWVMDDGRIIFCLRSHMTANRTLWM >Dexi5A01G0028560.1:cds pep primary_assembly:Fonio_CM05836:5A:31788267:31805149:1 gene:Dexi5A01G0028560 transcript:Dexi5A01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPAVKDAAEHAILKLRSLSGPSEIAQNEDILRMFLMACSVKAVKLSVIGLSCLQKLISHGAVASSALKEILATLKDHAEMADEIVQLKTLQTIATFFSVDHLCFFQESMSQALGICLYLLESSRSSDSVRNSLVSNSGEPIMRENLSDVGKLGLRLLEDLTALAAGGSAMWLRVHSLHRTFALDILEFVLSTYVAIFRVLLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPSFRRLVLRLVSHVIRLYSSSLVTESEVFLNMLVKVTRQDLPLWHQILVLEILRGFCVEACTLRLLFQTFDMNPANTNVVENIVRALALVVATIQVASDSRIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGELESPKCESNSVECSGQLALLCMAMVNSTWLTILDSLSLILMRSAQSLNSHVPSYKLYDIFPCSHGCGNLINRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKKSMLLSPGSKKSETSMDQRDSIILTPKNVQAITLLSILNQALRTLFNVAHRLHNVLGPSWVLVLETLSALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQVRKETFLLFESSALMNIAAVKSLLSALHQLSSQHMSGSSPLSGQQIGSISFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNPQLRSMALDSLDQSICSVVGSEKFQGISSAPHQFQESQMVNESETVSFEYAVLSPLVILYSSNKNVDVQMGALKILLHVLERHGEKLSYSWLSILHMLRAVTDSSEKDLISLGFQSIRVIMNEGLATIPVQCLEECILVTGAYGTQKTEINISLTAVGLLWTATDFVVKGLISKSFEQANHMNEEDQSGATIEEANIKQVPLKQVVDYSKLFFSVFSVLQKLGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWSYVFPMLEHVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLFLDFIKNSILNGSKEVALAAINCLQTFVGANCPKGNLESSYVKSVLDIYELVLQTSPNFKNDSTDKVKQEVLRGLGDLYVQAQSLFNDDMYLRLMAIMHLMIKATMTPTDYDNELGSIPAVQRGILEIIPMLRPTSLLSSMWAPLLLELLCYLNGQEGPLQKNSKQIHDQSSDAPVDGAKRALVERSKLNGSSTKLESPVSCGWGILFVEKLVPIIVNLFLEAPPNERFSASPEVIRGLGRCMNTRRDNPRGTLWRISAECFNRVVTDEVRQDNADCKSDVNSYKLSRARFWKEVADVYETFLAGSCGRVLSSDVPSADSVTADETLEMSVLTVFGDDILKLQKDAPVEVSWSIQRIKYSFTSRSCTQRLLVLQRLVNCLDRCASRTGSLPVQTVGLLPLHCSRFSLSCLQMMFSLCRYKHCASLCKIICIAKASSPAAASETSKVSISILLKRCEVILGQFLADENDLGDHPLPTVRVEETICVLQELARLIIDIDAANALNIPTYLKEAMGENKSHGRAHLLSLLPTFSELVVSR >Dexi9B01G0047410.1:cds pep primary_assembly:Fonio_CM05836:9B:46513256:46513630:-1 gene:Dexi9B01G0047410 transcript:Dexi9B01G0047410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAPNTSREKAMEEREDKPKVPSSDPDLADLVASSQPQLQREHQPPNISEMKPLTREAYGGGMYAAEDGRSRRDPARPRASATQSADGLEEAKAAGEKPRHHPPPPSTGDRDLDITGQSYIQ >Dexi7A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:7A:16983073:16984679:1 gene:Dexi7A01G0005740 transcript:Dexi7A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEAIRRRRLRKGQLQYLVKWRGWPESANTWEPFENLKACSDIVDAFDERSRSPRSSRKRKRKTATNLTSDPNPSRGKRGRPPRSEARSITEPHAPEPKKLPCRTSSRRTNNNGNKTLFGELEASVDVLRQRVAQEGSSGVVSVGFPSQGAPLSVSLTDQQDEHHPVNGSSKVEIRVPTPPSLGGQITGAKKRKSGCIRRFKQDEAAAQEQGGIRDRTSDKPGNEYVDSTEGETGDKNKGEDSASHIQNPKIIKIIKPVRYFATVLDGVQQVAITFKALR >Dexi2B01G0029180.1:cds pep primary_assembly:Fonio_CM05836:2B:37660330:37660835:-1 gene:Dexi2B01G0029180 transcript:Dexi2B01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDMVKLHRLNDDMKQTWDELERLVLDIFCCPSWWERVKEALRFCRPGARVALEDDHRSLLMWERVKEAIRFCRLGARVALEDDHRILLTVETMSPFLGNSD >Dexi4B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:4B:228825:230222:-1 gene:Dexi4B01G0000360 transcript:Dexi4B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRHLDDPFFPFPPPPPSSSCPFLDLDFSSTSPFPPLDDLFLPPSSDPFLPAPSPYPFLLRDLTDRVAALEIAVAGRRRPEPTTRRCTYVTEAHGRKVKWTSVEKPRSGDRTLKWEAEVKSPSEDGFDRKWKWEAKGGGPSASAAPRKLKWGAALKGKGCLEPWSQAYTWEEDFTASDTDDSDDDVKIHKNKTLANKVVTDKKNKDKKKEDKAVVNKEKKCPVATIKIEEIPDDNQAGCVAIRKAFAKGNGKGKKRKELSPQDAALLIQMTYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRIAHDHEERQRFSEKIIVLLITVDALEESRTTSY >Dexi3B01G0028790.1:cds pep primary_assembly:Fonio_CM05836:3B:27364120:27367283:1 gene:Dexi3B01G0028790 transcript:Dexi3B01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGCLRELCSSCCPWSEPSSPPPKPTTSTMAPAPATGDDVSAAAAISHRTVEVGAGVRLHVAEAGPAGAPAVLLLHGFPELWYTWRHQMRALAAAGYRAVAPDLRGYGGSSGAASAEDPGQFTALHVVGDLVALLDALGEKKVFVAAHDWGALTAWSLCLFRADRVRALVALSVAYTPRSAARRPVDGLRALFGDEYYICRIQEPGAIEAEFARLGTELVLRKFLSYRSTGPLFIPKSGWGSPDDQVPLPSWLTEEDLKYYTSEFEKTGFTGGLNYYRALNK >Dexi2A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:2A:23696738:23697187:-1 gene:Dexi2A01G0014380 transcript:Dexi2A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSGWKADTGHKCGYMTYIEKELAKRFPNANIKVDPHIQSEVKKLKKMLSYVLDIQQHGSGFGWDDERKMVVGDLELFNGWAKSRNGAANLYMKPFVNYDKLCEIYANDLAKGSKAKGP >DexiUA01G0025860.1:cds pep primary_assembly:Fonio_CM05836:UA:54436369:54436967:-1 gene:DexiUA01G0025860 transcript:DexiUA01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSGRMGATKQQQQQQANPSSPAASCWSTSPHALLASVTTSRWWSLLWWPWPSRSSSFSAKRALPAVCVTAAMATTAVFVLTSGGWWWGQSPDGMPASLFFDDPRGQKRKKQPMQTTVKSVVP >Dexi2A01G0033110.1:cds pep primary_assembly:Fonio_CM05836:2A:43404216:43404566:-1 gene:Dexi2A01G0033110 transcript:Dexi2A01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEAVRSVKMEGLLWAKLVPVGYGIKKLQIMLTIVDDLVSVDTLIEEHLCEEPVNEYVQSCDIVAFNKICKCSIT >Dexi2B01G0031110.1:cds pep primary_assembly:Fonio_CM05836:2B:39308267:39308845:-1 gene:Dexi2B01G0031110 transcript:Dexi2B01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAFLVALLLSCSSMSSAARHLEEKKPEYPPHLTVPEIPKPEYPPHPTVPEIPKPELPPHPTVPEHPKPELPPHPTLPELPKPELPPHPTVPEHPKPEIPHPVPELPHPAVPELPHPEIPEVPKELPHPEVPEVPKPELPKPELPPHPEVPELPKPEAPHVPEVPKHEMPPKQESHYPVPEAKP >Dexi3B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:3B:9527568:9527912:-1 gene:Dexi3B01G0013370 transcript:Dexi3B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSARASTSVSFPARPAAASTARPSAGAGVVRAAGGVEGGKWWAPLLGWSGKADYIEAPAPAAKEENRGTAFVGGLTEEKARELRARMAQTESFHDAMYHSAIASRLARSA >Dexi8A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:8A:26196022:26197377:1 gene:Dexi8A01G0015170 transcript:Dexi8A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVQSSKAIKPTYGDGCAPSVAADTVKLTVLDKVNFDQYISTLYFFSPQAAKAPPNVILEQGLSKTLAVHRVWAGRFGLDANGDRAILLNDEGVQFVEATADVTLSTIMPVEPTAELLKLYPSNNGADELMLVQVTRFACGSLVVAIAGNHLVSDGYGRCSFMMAWGNATRCVAVPPHDRTSSSIVFTPRNPARVEFEHRGVEFKQPRCCGDTLDNMEQHASASGGGDKVIVRRVHFSAEMVSELKALASSPSSPRSCSTVKCMVSHLWRCISRARGLDRETPTTLKIAVNGRRRMHHPEVPEGYTGNVVLWACPTTSVGELLAKPLWHVTELVSREVAKVDDSYFRSFIDFASSGVVEEEGLVPAADPTKMVHCPDVEVYSQVGIPIYDVDFGAGRPFLYMPGYLPEEGLVFIVPSSSGDGSIDAQVCLFSGAMDIFKNCCYSISGSS >Dexi8B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:8B:5300827:5302420:1 gene:Dexi8B01G0005250 transcript:Dexi8B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHAGHLHGVEEESVEASMEKGTNYHNSSPWLDDDGRPRRTGTMWTASAHIITAVIGAGVLSLAWAMAQLGWGPGLVAMVVFAAISYYTSTLLAECYRSGDPVSGKRNYTYTEAVRAILGGAKVKLCGVIQAVKRADCFHEKGHTNPCRSSSKPYMILFGAVEVIFSQIPDFDEIWWLSIVAAIMSFTYATIGLSLGIAKTISNGGFKGSLTGVTAVAGVTPLQKVWRSLQAFGDISFAYSFAYILIEIQDTIKSPPSETKVMKKATMVSVATTTIFYMLCGCMGYAAFGDEAPDNLLTGFGFYEPFWLVDVANVAIVVHLVGAYQVFVQPLFAVVESMVAATWPDSRILSREVKVGPFVLSVFRLTWRTAFVCLTTVVAMVLPFFGDVVGLLGAVSFWPLSVYFPVEMYIKQRGVRRWSTRWVCLQMLSAACFVVSVAGAVGSTAGVIDAVKLHRPFSGY >Dexi5B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:5B:3091653:3094202:1 gene:Dexi5B01G0004580 transcript:Dexi5B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKSMKSSSGGGGGAGVGSPPAKPPPPLPSPRENGATGKPSASPTSPAAGAGAEVRSREDAFVQKVNICCAVYDFSDRGKDSPEKERKRQMLMSLVDCISASEEPLTETMIAACVRMFAANLFRVFPPKVRSGTTASETEEDEPFFDPSWYHLQVVYEFLLRFVTSPLVDAKVARKYVDSSFVSKLLDLFDSDDPRERDSLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLVGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELIDMTEFQKCMVPLFRRIASCLNSSHFQVAERALFLWNNEHLFDMISKNREVILPIIYPALERNTRWHWNQNVLNVTMNVRKMFHDMDERLLLACQNNFEEEEEKRAATEERRRHMWEQLELSAARGYQQPVISADVSFPPPPSSARLVAPTVT >Dexi6A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:6A:1970174:1971142:-1 gene:Dexi6A01G0002110 transcript:Dexi6A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGKRRKPRAKARGKGKKTKYLSLSDLMVKVEAGTPPPPSPEDEAEPAWEEAEVKEEEKDGGDGGGQQQQMEPFPLHNEAASTLFAALPAPSLSDILGASAGGDGSPSPSASPGGGDSGFLGAEEEDLARRALRGRERWVYCSSASSPTGTTSSSSPCSSSAASTGASARSLLLKLDHKKILAAWADRGSLYISGGRADSDAAPELELDAVLVEVEPSEPAAGVSPPAASFSWSAPEASPTGRAEKVRRYKEKRRNRLFAKRIRYEVRRVNAVKRPRFKVTMTSLFPLIRHY >Dexi5B01G0000180.1:cds pep primary_assembly:Fonio_CM05836:5B:101260:103632:1 gene:Dexi5B01G0000180 transcript:Dexi5B01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKPLINHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMIVINVEKFASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKARLGPFKFQTQIQRKTLSPKWFEEFKIPITSWEASNELVMEVRDKDPMFDDSLGECTIDLHELHGGQRHDKWISLNNVKKGRIHLAITVEDVSEDKNVSCLDESLKKSDAELPVSTSTDSTLDAGELPEEKKVLMDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARSPDTQIYQEVDKSKETPTPKSSSGQGGLFNLGSFFRKSSRKGSFKDLDPGLPTTPGSQSVTEIDPKLPKTPRPNLKELGEKRTSIKFVVNEEASPASKVDNSADDVAKVIEKNAGEPGRSLTSTLSRTVSRKRAEDRLSDIPEQVEAHVSESLSAEPVPVEGKPIEIEGHQTTEHGNGAEEDAA >Dexi9B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:9B:12481377:12484366:-1 gene:Dexi9B01G0017740 transcript:Dexi9B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLLKGTNIRRLLKWPLRRESALSTHLLAEIPPEVELSNYQRLPSSYCESPTGPLHGEDLKAELIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVSFMVCAIGFFFLFVDWDALGHLKCGVEALEIGEKPCDLMNVIKNDPLIPFTYVKMITVASMVILTTYGIIVFVKFFVKLRSTLNVRDFYYNSLKVTDLEIQTISWPKVVEKVVLLQKSQQLCVVKDLSEHDIIMRLMRKENYLIGMVNKGVIAFPIACWLPGVGPTVSSRFHGRKSYLMLPKTLEWTLNWCIFQSMFDSKSYDMKKNCYAMEYVEHFFRHRMNNCTLHSLNYLKQFPTPLISIVAKFVSFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTVVFGTVATVSRKVVSDELQVIDPEGAMTLVVQHTHYMPKRWRGKEGSELVRKEFETLFQYTITMLLEEMASIFITPYLLIFVLPKRVNDILCFISEFTVYVDGVGDVCSLSLFDFQRHGNRNYGSPLDSGKDMRSSQGKMEKSLLRYTSF >Dexi5A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:5A:10646681:10647793:-1 gene:Dexi5A01G0013870 transcript:Dexi5A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCVDATLILVVAVYALLPAITDAALQEGFYKSNTNCTVDVEATVASVVQRYISADRGVGAGLIRLHFHDCFVKGCDGSVLIDPSPINPDPEKGSPSNGGLRGLETIHEAKQQLESTCPGTVSCADILAFAARDASNILSAGAINYGVPSGRRDGLTSAASDATQSLPPPFAQLARLTELFAAKGFSQDELVTLSGAHSVGRAHCGAFSERIRPNVSDTMDADYGARLQQQCGDDGDGVAVDQDQGTPVDLDNVYYRNVLAGKVLFNSDWALVSDNATRQMVEENAADQAQWAAKFIDAMRKMGELEVLTGDEGEIRRFCNVTNSG >Dexi3A01G0029210.1:cds pep primary_assembly:Fonio_CM05836:3A:30638120:30639496:1 gene:Dexi3A01G0029210 transcript:Dexi3A01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDPEAGLLPPHQRDLSFRLSTSNSTFRSAQHRHSRPLIIQKVPSSVRGGLDEHYFLPDVVSIGPYHHRAAPHLVEMEQVKEAVAHEFCRSAVKGTKLASLSTSAVDLFLEAVRPVLPEARLCYAADVFGGRAIADNDFANMMVVDGCFLLAVVAILTKDYPDDLEHWSWTHGRMLRIMKDILLFENQIPWAVVRALMSLRPVRVDVFVAKVLAYLDVHSREPRFDQTPWHKLRPVHLLDLVHQRHLGGPPPAADGHVRYCDYARPFAHFTSAVELAEAGIRIHGSGTCRVTDVRVEPTPAAAAAALRIGRLALPQLALSWLPRCWLINMVALECVTDRSDQSGVSSYLAILGSLIRTERDVEELRKRRILFSTMSDQRTAEFFEVLMDPLPRQELYLRTLEGIVQLRATRRTRSRLHAVYYRNRRIILAAAPLLSLLVAIIGIALTNSLKRKSSA >Dexi1B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:1B:4343659:4345950:1 gene:Dexi1B01G0005330 transcript:Dexi1B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVCMSSLLLILLSSYLHQILQRTRSRLPPGPTPLPIIGNLLDVASKLPHRSLWRLAERYGPLVSVRIGTAAVVVVSSPAVAREVLKTHNSSITGRRAPDAWNGAGHAANSLITLPAGRRWRELRRIGAEHLLSPRRLDGHGLRAAMRAALLDMRRRVVEESSSSAPVEVAGVAFATMADLIWRAMFSCTLDAATMWDMHGVAKEAVRLALTPNISDFFPAVAAMDLQGVRRGMAKQMRKVYELIDQEIDKRRRGREETGGGGGSGSGDEHKAADLLEVMLDMWEVDEVVMNRDTIRTFLTDVFLAAVDSIPSTIEWAMAELLQNREAMKKLKEQLNSVLGSKECVECSDVDGVPYLQAVIKETLRLHSLVPLVPNNAEDTVEIQGHVIPKGCNVIVNLWAIHHNAEVWTNPCKFIPERFLQCNKEFNYQGTDDFDFVPFSAGRRRCLGLPLATRMLPAVLGSMLHHFEWTLPQEAMKNGLDMSEKFGLTLCMATPLQAMVKGM >Dexi3B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:3B:5028446:5029192:1 gene:Dexi3B01G0007130 transcript:Dexi3B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCHGDPALPSCLLVGEGRESGEMSAAAVGSSVAKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKVPSIPPDGKFNLNYYS >Dexi3A01G0028030.1:cds pep primary_assembly:Fonio_CM05836:3A:28205092:28205286:1 gene:Dexi3A01G0028030 transcript:Dexi3A01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVEGLRLAAQFLQEPVIVESDCARVVQAVQAGGDRSELSFLVAEAIEQAQLLRDWKIVRR >Dexi5B01G0038870.1:cds pep primary_assembly:Fonio_CM05836:5B:37936299:37941270:-1 gene:Dexi5B01G0038870 transcript:Dexi5B01G0038870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSAALLVALLLLGSPARLHAAAGSSSPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGTEWNPANVSDPSGERVYFGEGAQYVDPDPGHSFQEIRQQIFGSDDASGPAKMDGFVQQARSLGPNMTDAVMHGFTPDSVAVYRELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPQLLAKGYPQRTIFDNIHDAGLSFGVYFQDVPAVLFYRNLRKLKYLLDFHPFHPTFRDHASRGSLPNYAVIEQHYLDSKLFPANDDHPSHDVYQGQMFVKEIYETLRASPQWNQTLMVITYDEHGGFFDHVPTPVDGVPSPDGIVGPPPYNFTFDRLGVRVPAILISPWIEKGTVIHGPNGPTPTSQYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQTRTEPRTDCPEQLPMPTRIRQTEANEEAKLSEFQQEIIQLASVLNGDHELTSLQDRIRDKMNVREGIDYMRAAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRIERP >Dexi9A01G0032940.1:cds pep primary_assembly:Fonio_CM05836:9A:37783637:37784383:-1 gene:Dexi9A01G0032940 transcript:Dexi9A01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGGRHTASASRLLSGRPTSSAACRGVTAEGEGVNAESSACSVASTPEVTDKKSSALLDVKPWDDETDMRKLEDAVRGVKMKGLLWGAYM >Dexi2B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:2B:4479179:4480875:1 gene:Dexi2B01G0004800 transcript:Dexi2B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLDTHKETCRQVHDVGNASYSICLHFILILQCWRNLRI >Dexi9A01G0037300.1:cds pep primary_assembly:Fonio_CM05836:9A:41568841:41572524:1 gene:Dexi9A01G0037300 transcript:Dexi9A01G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGSSVTTVKEKLLEPVRATLRTSSPVRRQAIHDLDDSSTFEAPPGTPPWDYFGLFQPVENHISFHDEKELGHEFENADDIRLLREKEGIPELEEEVEKSPAHPDFITRRRLGEEKAPELKDVEKSPMNGGEDDLALSEDDFDNPTSESLVRMFKNRNDTPVGYTATGQSPVQHPMDELTLETIDSQTAKPTDVMKVDSQTESPKDGMMVDSQTEIPEDNMSVDSQTERLKDGTRVDSQAKRSKDDTGVDSHIVRPKDDTGVDSQIVRPKDDKKGLDISMYESDETPVASPVKEVSSSTAALPISRKSKEPFRDVRNVARDLSSCMKEIEILFIKASDSGKEVPRMLEADKVNFRPLLPEEKAPPQADVKYLTWHRSMSSLSSSSRIPLETTAKDDIDGLTGNIFSGVYMNAGSHASTLDRLYAWERKLYDEVKASGAICRQYDEKCRQLRHQESRGESQMSIDKTRAVVKDLHARILVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWTTMLECHRNQHEIIKLVCNNGSMRISVRSESQLQATLLLQVELSTLCSNFQKWISSHREYLNSLNSWLLKCVKSLQRRRKGSRKKKVEADPITKYAVAPIFKTCESWIKLLDNLPAKDLEDAIKGLATDINRSMPHQEKRRGSSKLAFSLSHSGRLNGEMGESHRSDHPMDLQTSLEIFLGKLEIFSEVSLQKYMVLKEEINEAKMKYEEWK >Dexi4A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:4A:21590287:21590562:-1 gene:Dexi4A01G0017720 transcript:Dexi4A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSLESCNCLHACCSPKTCGWQRLRHATSATTANRPSCVAAGAPAARLRPVGRRLAAPLMRHCTAAEPTLELLHEDKRNGTVDLWARLV >Dexi1B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:1B:11086330:11087422:1 gene:Dexi1B01G0011110 transcript:Dexi1B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARALALVSLLAVAWLLAVAAADDTATSTSSSSPAPAGWLKANATFYGGADASGTMGGACGYGNLYTQGYGTRTAALSTALFNDGASCGQCYKIACDRKRADPMFCKPGVTVTVTATNFCPPNYALPGDNGGWCNPPRPHFDMAQPAWEKIGVYRGGIIPVMYKRVPCVKRGGVRFAINGHDYFNLVLVTNVAAAGSIKSMDVKSSGSDNWIPMSRNWGANWHSLAYLTGQMLSFRVTDTDGQTLEFTNVVSKGWKFGQTFASKLQFK >Dexi9B01G0028520.1:cds pep primary_assembly:Fonio_CM05836:9B:31135394:31136497:1 gene:Dexi9B01G0028520 transcript:Dexi9B01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRYRRDNDGDEGGRGGSRDQRRRHHLCVVLDDWSKGYSLYNLDVDDLDGDPTDADLHAERLPDPPLFRLEIPADERGRFASFAAAGSSVFAIDYIDENKEAPVLVHDMATGALAVGPATPPDLGHSPDLVSAAGSLFAFDGWKDARGQRHQSFKALKRHGRRGWVSRGLPDAPFDVRRVRCHAAHPDGRTVLFSAGGSGTFAFDAEAEAWARHGEWVLPFKGQAFYDGEAEAWVGFSWAHVGEGRVCACDIADPAPASDGDGGGARRAPPEWKVVEEGMTHGSERSLAVRLAHLGDGRFCLVEYMKRRGVPEEDVWDEHCLLRATKFRLRYDKNGTLRAASRRARCYAVRKKSNTFSFRAFGISE >DexiUA01G0004020.1:cds pep primary_assembly:Fonio_CM05836:UA:8036012:8040131:1 gene:DexiUA01G0004020 transcript:DexiUA01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLSAALRKGGARRKAIPLAPPAIWPGKRTLPSNWEDRMASAGGKDWSDGDRTTITMAAEKKLDMRATHSIALRRLGPPLPRAGSPAPQDAVQARDGWRPRMDGMEHARLPNLNWIAPGSPGSGAEASRNPCEARRGKWGNRTEQQDAEAGGGTHQPTAARRQAISPFGLVPFLSFSWPPLGFSPSRRAGVRDGGGCCGAAACCGWRLLIVSAAPKGAGERSGEETRGRKAAGLAQLGWAAAEEDGEPGILSFPHHLLPDFQGIIRLQRAEQRQPEICPSGRAAPCLRAESKDAAKWGGTMQQRVRRWRRSTPRLPRSPRFSRWRPHRVAGRGAPPPPDTSRPAMTRAGARLASDDPEPHAPRRGLVSPSVVASVRPRRDVLDCLRPGVCRCNLMCAAAIFACDSTAVYLSPRSCNRDTYAPRVRTSIHVMPRSRRVYFAYLARMIELFSSERRA >Dexi9B01G0023500.1:cds pep primary_assembly:Fonio_CM05836:9B:18865098:18865298:1 gene:Dexi9B01G0023500 transcript:Dexi9B01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHRRTHQAAASALSPTISASTAVTCWCFPSSCLASLPSLPQQQAQMRELRTTVVHHKPSHRLWP >Dexi5A01G0037490.1:cds pep primary_assembly:Fonio_CM05836:5A:38834121:38836010:1 gene:Dexi5A01G0037490 transcript:Dexi5A01G0037490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTTTGRSSGNARMRPATSSILAADDTEDPPNFMTTLPRSADPPIQPRGLQERDPRGRRPRRPLPWPDVGWIRWPPLTILGYWEARPRTCPALAGSLGRTRRRGRHFLELLHCICMPGEVGEWRTGDCRERDNYHTYVVDDG >Dexi1A01G0022120.1:cds pep primary_assembly:Fonio_CM05836:1A:28831763:28832265:-1 gene:Dexi1A01G0022120 transcript:Dexi1A01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAYMDGGQLGACLPSFLVPDHYAFPLLPLPLQLPSQPNKLLQMPFDQQEEGEHHGLMLSSDHCGLYPLPSLPLGCSGAASAACGGKPTTGFMPTTLGADEVCTSVTKGCNESASTTWYALC >Dexi9A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:9A:5373799:5376607:1 gene:Dexi9A01G0009070 transcript:Dexi9A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRPAARTARSPVRLVVAAPPSSDPAIDGFAGMPPEMEGENQEKERRRRGRPNRCRWDPPNRAAAGGIHQIKLAAAGIRQPGLAAPSSRRAGAACSPFHTVVVKVAAIVDDLPLGLYDKYLFCISPVDLRDDISVQGLIEEYLERSGWQPQGRKKFLVGPQKLLREYDASQMYAIFNL >Dexi3A01G0026960.1:cds pep primary_assembly:Fonio_CM05836:3A:23590262:23591031:1 gene:Dexi3A01G0026960 transcript:Dexi3A01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQHRRGDGGPDDVDEVPPPSMLAAGGAEHHHQTIMAMHQQQHQMVAPDDVDAFLMQNAGAGAIVPPHHQLMGYGAASAGAMAMGEPLKRESLWT >Dexi8B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:8B:2045912:2046606:-1 gene:Dexi8B01G0002900 transcript:Dexi8B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAALPGLLPTPPKCTMLPLLPAPPPCAAAAVLPSSAPKPSRADAAGRWDAHKNKINKQTGSPASTSSSSSSDALDGKNHLTTRTSSTSSSNSRADSDERWDAHKKPPAASLASSSSSSASSSSKTKRCRHISKRLPNNGRASTSSAAERWDAHKKPPVAELDDGESSSTGSNDVELDMLMPQQPTPRSLYYAGPGFIAAPEPSMLPLPSDASKCSKKV >Dexi4A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:4A:4236696:4238378:-1 gene:Dexi4A01G0005920 transcript:Dexi4A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAPHGDPRAAAGGGAPARGLGRHEYAVLALTFASYASFHASRKPPSIVKGVLSAEWPPFSGARGTHRLGELDVAFLSAYALAMFAAGHLADRADLRRLLGAAMLASGAACASLGAAYFLDVHALAFFVAAQVASGVVQSAGWPCVVAVVGNWFGHASKRGTIMGVWNSHTSVGNIAGSVLAAAVLELGWGWSFLVPAFVISALGVVVLVFLVTHPSEAGLEVQAMEVEMNEDGGEEVELLGEDKKEAQGDGDDDLVMEMGSQLPRAIGFLEAWRLPGVAPFAFCLFFSKLP >Dexi6B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:6B:1620106:1622544:1 gene:Dexi6B01G0001910 transcript:Dexi6B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRLAARSLLSRRTVAPPAHRGLAASAALAEDYWSDWEDDEEEAARRARASAPAPGTDPAGGEPRGVQWFVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELNPASQLYRKIANSVNEGRLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTRMQAEILDEIVDIDLVLNFKCADNCFMKKRSRGDICSHCGQLFDVSNSSSLNRNPSVGSYTWHSQVEPASILGLEDSRLERMRTYAKQTKLLEDYYNEQRKIVELKTSARPGETWQGLVAALHLQHLDAPPTPHKLTV >Dexi5A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:5A:23510944:23511646:1 gene:Dexi5A01G0019570 transcript:Dexi5A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAGFYSFATLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKVALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYLAHLLSHGQLDGSG >Dexi8A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:8A:1050863:1053563:1 gene:Dexi8A01G0001470 transcript:Dexi8A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding IILIFLQKSFRYLPISSCSIASKSRSLKFSGFSSPATSSTHIEAFSCCSDFHRVFAATWNVAGKTPDRGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPPSEAYPSTITEAAASFSFSQSADTTASASPASGLQTPSSSPLDPSLFHKSSNREIRRAVITRGRRLKTCTCQVERPRSRRSYRSPCMMGCGKNTDVVESDTTTSDEEDDEVRTSSFVVSDMKSPAAMVVSRREKYCLVACKQMVGLFATVWVRRELVPHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRICRRSGRRIPERILDHDRVIWLGDLNYRIGLSYSEAKKLVEANDWGTLFEKDQLKTERERGVFRGWNEGKIFFAPTYKYSWNSDSYAGEDSTSKKKRRTPAWCDRILWRGEGIVQLSYIRGESKFSDHRPVCSVFIVEVAVLQNRLIKAASGPNMKVGAEELLILPN >Dexi6B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:6B:16818286:16818693:-1 gene:Dexi6B01G0010430 transcript:Dexi6B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTCFSYFTVLKETINDSYTSFFGHRPKQVNCPVTLLLLAKALEKNRVGDHIGHAPKLRHPAEQDVESLIRPVSIAQTSEEASACDDIDAQACSEEASEECKRKVRDVRAAAAVNEYVVGARRG >Dexi3A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:3A:5391711:5392667:1 gene:Dexi3A01G0007760 transcript:Dexi3A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKPPPSPRLGAVAGTVTPSKPSPSPVARRHHVLRRRRHSPATTKRRGSPLKSLATEPAAVAATFDRSLRSCRRRLLKLFARLAVLGSPRKRRAVAAGFQRLRPTSAPATPPPPPSRSFAVRAQSAALPPPPSPGRRTLFLDLDETLIHSQTDPPPPQFDFIVRPVIGGQAVTFYVAKRPGVEEFLRKAAESFEVVVFTAGLQEYASLVLDRLDPDGEVFAHRLYRGACRDAGDGKLIKDLAATGRALDRAVIVDDNPNAYALQPENAIPVTPFVDDDNDQELQRVLAFLAVAAEYEDTREAIRYYKDLVTAS >Dexi5B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:5B:1646015:1646734:-1 gene:Dexi5B01G0002590 transcript:Dexi5B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDSLCTVERRGRVHLITITGADDHRLNPTRLAAIRSAVAASTGAGALVIAGEGKYFSNGFDTEWVRTAPSHLHATMDGTFRALVADLFSLPMPTVAAVTGHAAAAGCALALAHDAVVMRGSRGFLYMSEVDAGIKIVDFFAELVREKVPDAVARRDQLLRGDKMTAAEAVRRGIVDAAVDGGVEDVVEAAVAEAERLAARGWDGEVVAEIRKALWPKVWSKVKDHGAGPAAPRPRL >Dexi9A01G0040280.1:cds pep primary_assembly:Fonio_CM05836:9A:44097771:44100860:-1 gene:Dexi9A01G0040280 transcript:Dexi9A01G0040280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKKLAEDCCKYGTDNQHFGFALARASVEFGNSHKQIEKEREDLLKILGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNTDNSVKLQHAESKLSELRTTLAALGREATAAMEAVEAQQQQITYERLLAMVDAERTYHQNAADILNKLHDEMLLAKNHNESINHYDEQSSEPGSETAPAQVHSDSTSEDPVSTKPSESTGNSQEVQFLGEVIHPFDSQADGELSLSVGEYVVVRQVSANGWSEGECKGKAGWFPSAYVERRDKAPASKVIEPGLLTT >Dexi3A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:3A:1789645:1790587:-1 gene:Dexi3A01G0002640 transcript:Dexi3A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFAAKDEIFCLFEGVLDNLGRLSQQYGLSKAANEVLLVIEAYKTLRDRAPYPASFMISQLTGSYAFVLFDKSTNSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPANEEEICGATFKVESTTVLTAPLH >Dexi8A01G0000700.1:cds pep primary_assembly:Fonio_CM05836:8A:464808:465923:1 gene:Dexi8A01G0000700 transcript:Dexi8A01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLLFTAACFVLLLLNGAHHVVEGRPHRERRNDYMLFVLGDSYADVGNRPQTSEKTVLSRAWFYPYGISDSAHGNRPTGRVSDGMSDILAKILGGDESPPPSRRHWRDSDKVDPSGVNFAVGGAGVLSDDRAAPSLGQQVDQLASLISSGAVETADLDRSVALVAISTGADYNGRITHESSSRDMTALTGQVTDELVAAVRRLRDLGVTKVLVNLLPALGCMPWQSVASNYATCDSHSNALASMHNAALRRRLHDSYDDVLLLDLYSIFSNSVQPNVGPCCRNPDPNGYCGQDDSSGRPHYS >Dexi8B01G0010710.2:cds pep primary_assembly:Fonio_CM05836:8B:19270844:19271362:1 gene:Dexi8B01G0010710 transcript:Dexi8B01G0010710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERWAEGDPVEAAVVELVLEEAEEEVVAGGGSAGLRLRPGHHHHAPGHRGRVEAAGAQPCRALGVPAGDAVGDGVGEGWVVNVRGSLAAAAEVEEVVEEEERGIAETMFGAGAGERMEVEQRRRSADATAPAAKASNSRARPGGSTAGRRPCVGRGAAPPLAGVA >Dexi8B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:8B:19269882:19270837:1 gene:Dexi8B01G0010710 transcript:Dexi8B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLRINFPPPPPPPPTPPTAINTPPPSSPSTRGGVVERQRHDAVAERRGVAEPVVPKHLVVGERERAADPLAAPPPRCVTNGLLHPFCPPPAGPGTPLPPLTAASRAAAYLRCSLSACLRRSPEEDDDRRRSTSSYLLATPSRRPTISAQYPWKFPHLAGFFARRYTSADWSSDSSSGFPWSSACTVFPHRAYSGEAGPMAAHASMRSSDHWQFRMRNTPALSPTHDLSSYTSPSHPYTTSGREKSMSVKTASASTHQSHSASGWASMAARRMGILAQ >Dexi4B01G0023180.1:cds pep primary_assembly:Fonio_CM05836:4B:24621082:24621468:1 gene:Dexi4B01G0023180 transcript:Dexi4B01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASTQHSEQHPVASTGTESQVYPHLKKVYGHITHMKEREDTWGSHGGSVGHPLQANAGDEQAEADTAAAARAPHEPSSFDSDAFVGQTSPQPSGAHTTQLLSKDLLRRIRFHLFQQASNLLHSHSFI >Dexi6A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:6A:4125236:4125523:1 gene:Dexi6A01G0004560 transcript:Dexi6A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRLFPFLLGTAVGAYVAQNYRVPNLRCLAQRGVEDARRYEEAYRNETSADNNYGDAAAGSKTTTGGSSYCRKKKMNRAVPQPHVGAMDDDDE >Dexi2B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:2B:26308404:26317008:1 gene:Dexi2B01G0016140 transcript:Dexi2B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRASNGRSPLVRKQSQITAFFSSPTPSPSPSTRNNGASKPSPSPLNPSVVRRPKLAAASPSPPKQTPPTAAAQEEKRDRKERDAAPAAVAAAPAAEVVGRRLRVYWPLDDAWYEGRVEAYDAGSHRHRVKYDDGDEEQVDLVKERFEWAAAGEEFTPPPARKLRRLRRMSDTAVAKSPAAVEDKEDGIGVSTVDEDRKRDIVAEDDLEVVELDDDEEEIVSVRSGKEKNRNSLGLSGSAPSTLGSGLTSASGSTISKKRKKLDVGLLDCAKKFSFEAFNTTRKVDPEVPVSGGRELHTTKNANTALTGEAAERFGHRDVAKFKFLGEGRKDAKGRRPGSPGYDPRTLFLPSHFLNNLTGGQRQWWEFKSQHMDKVLFFKGDQPHCGFPEKNLSVNLEKLAKKGYRVLVVEQTETPEQLELRRKAMGIKDKVVRREICAVVTKGTLTEGEHLLANPDPSYLLSVTESPQQSSERSEDTCTIGVCIVDVSTNKFIVGQFQDDPERHGLCSILSEMRPVEIIKPGNILSPETEKALKNNTREPLINELLPSMEFWDAEKTIHEIKQYYSSADKQNNVDDVKDSADHLPNLLSELIGAGDKAYALSALGGSLFYLRQTLLDEKILPCAKFEPLPCSGLMNTIQKNMILDAAALENLELLENIRGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYDRRAILRRQSSIATFKGVGHDYAIQFRKDLSRLPDMERLLARLFSSCDESKRSSSVVLYEDASKRLLQQFTTALRGCQQMFHACSSISTLICTEESHLNDLFSPGKGLPDVSSILDRFRDAFDWSEADRNGRIIPLEGCDPEYDAACNAIQEIEPTLKEYLKEQQKLLRCPSVNYVNVGKDMYLLEVPESLRGSVPANYQLQSTKKVINVFSFLDLNVNKCITINGFYRYWTPELKELISELSKAEAEKESRLKGILQNLIQLFVEHHCEWRQLVSVVAELDVLISLAIASDYFEGPSCRPTIKESNGPDDAPTFHARNLGHPILRSDSLGKGSFVPNDVKIGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGADVPAENLELSLVDRIFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSFVALDELGRGTSTSDGQAIAASVLEYLVHQVQCLGLFSTHYHKLAMEQKDTKVSLCHMACEVRKGEGGLEEVTFLYRLTSGACPKSYGVNVARLAGIPASVLQRANEKSSDFEANYGKRHSMNKDKDACEQSEDRFSAIRDLFRIVKAWPRHDDQAASISMLREVQKLAKVQAV >Dexi1A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:1A:1273438:1273806:1 gene:Dexi1A01G0001900 transcript:Dexi1A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSAADAADPARHEKPPRLAGAATPPRRRTSAVAASPQRGLAEAATASEGSSGRSDAIVGRWFRREGGGGRGGLRAPREEGGVERKRGGAARRGEAIGAGRWRGRERVDVVYWFP >Dexi4B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:4B:4445797:4446405:1 gene:Dexi4B01G0006300 transcript:Dexi4B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPDGAHRASLMNTAWALHRLARAAAERRPLPRPARLRRPLAGDEGGEVLLRHGRQDTSFLGVTADSNDSQRAHWVVEAIAPRQKPPILPAPVPASSPFPLSV >Dexi3A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:3A:14099021:14099614:-1 gene:Dexi3A01G0018470 transcript:Dexi3A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLGGEEGDEELFEAASYVSGGESDADEGDHFPDGGGAAGEGSGGCDQDRMFVPQPLRRMNSDSIYDMTSMMLQLPAKKGLSRYYEGKSQSFACMSEVRCLEDLRKKDDPYKKKIKSCKSYAALGGMPKKPSSTSSCANLNLVAASGFRTTPIQNGYHQ >Dexi9B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:9B:12955759:12959016:-1 gene:Dexi9B01G0018230 transcript:Dexi9B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLALLVVATTLVALRPAVATDPYAFFDWDVSYVTAAPLGVIGINGKFPGPVVNVTTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGIGSFFYFPSTGLQRAAGGFGGIVVNNRDVIAVPFGRPDGDITILIGDWYNKNHTDLRKMLDEGKDLGRPDGVLINGKGPYRYNDSLVPDGIEYETFNVHPGRTHRIRVHNVGTSTSLNFRIQGHNMLLVETEGSYTTQQNYTNLDIHVGQSYSFLVTTDQNASSDYYVVASARQVANESLWRHVTGVAVLRYSNSCGPASGPLPDPPQDHDDRSFSMNQARSVRWNLSAGAARPNPQGSFRYSAINVTQAYLLRSTAPVTIGNRRRATLNGLSFSPPATPLRLADAYGVKGVYRLDFPDRPPSSPSPPRVGRSIINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGIWNVRSENLDSWYLGQEVYVRVVNPEDTSNKTEASIPDNALYCGQLHKYQKEQTPHHKMGISGAAVRSTPAARRLILTAVLLLFGSFVLAP >Dexi5A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:5A:23584593:23585150:-1 gene:Dexi5A01G0019680 transcript:Dexi5A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARAHGVLLRAMEGAADVDSSATLDSMAPLFDSTMVLLDLTTDRASVELRWLRFCSLPSGCCSVTSAPPIRVDTHLHLCELRDLCSLSRGAGAKDEDDGGKHRRRGCSSPSSAKEAMARTWREGSALEMGSCGEETQLCVAPRRRRKMGLVDGSFVGAGFGDKKNTVDDAKWVWVAVWGSYWR >Dexi8A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:8A:22980961:22981329:1 gene:Dexi8A01G0013010 transcript:Dexi8A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRKELEQVLMLISGRCLLGKEVRDNMFGDFFTLSSDQTKDDVLQYLIDSKYGDGRPTTESEVTSTSKTDKLNH >Dexi7A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:7A:4158560:4160235:-1 gene:Dexi7A01G0001540 transcript:Dexi7A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAMFYRMLNVVTSARYETRRVRKQINVLQALDVAKTQLYHFTTIGMGFFTDAYDLFSISLITDLLGCIYYSDGKLPTDAALALNCIAFVGTVIGQIFFGWLGDRMGRKYIYGVTLKLMVLCSLASGLSFSHKPKDVIATLCFFRFWLGIGIGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGLGNLAAGAVVLIISAKFKNTPAYKADEFGQADYVWRIVLMFGAVPALLTYYWRMKMPETARYTALIAKNLKQAASDMTSVLDIEIPIEKEEMDAIGRQDEFGLFSIEFFHRHGRELLGTTMCWLVLDIVFYSLNLFMKDIFNGIGWFDDGTNMDPLEQTYKIARTQAIITLSGTLPGYFFTVAFIDKLGRIRIQLVGFTMMTIFIIGLAGPYNYWHDNKKAHIGFAIMYASIFFFANFGPNSTTFILPTEIFPTRLRSTCHGISGAGGKIGAIIGVVWFVLYGQGRTQKSLLMLAGCNLIGVMFTLALPESKGMSLEDITGEIDEVQEVPIGSPGIDEGAEFIHSVVF >Dexi9B01G0033720.1:cds pep primary_assembly:Fonio_CM05836:9B:35856003:35856725:1 gene:Dexi9B01G0033720 transcript:Dexi9B01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAKPVLRVAGISGSIREASWHRGLIRAAAEICEDSIPGLRVDDLDISELPMLNTDLETDGGRGFPPAVEAFRAKVRDADCFLFASPEYNYSITGPLKNALDWASRGVNCWADKPGAIVCAGGNFGGGRSSYHLRQVGVFLDIHFINKPELFVFSFYEPDKFFDGEGNLIHAETRERLKKVLLALEAFTLRLQKKD >Dexi3B01G0013680.1:cds pep primary_assembly:Fonio_CM05836:3B:9825304:9831761:1 gene:Dexi3B01G0013680 transcript:Dexi3B01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPGQFKLYSGGLAWKKQGGGKIIEVDKADITSITWMRIPKAYQLNVGTKEGLFYRFFGFHQQDVSNLTNFIQRSTGISPEEKHLSISGHNWGGVEIDGTRLCFNVGEKEAFEVSLADVSKAQLQGKTDIVLEFQVDGTNGANEKDSLMDLSFHVPTSNAQFIGDEDRTSAQMLCHQILHRIDGGSLSEMPVVTFDGIAILTPRGRYSVELHQSFLRLQGQANDFKIQYNSIVRLFILPKSQNSLTFVVVTLDPPIRKGQTLYPHIVIHFVTEEVVERELALSEQVLTEKYKDLRGSYRGQIHAVFSSILCGLSGAKVTRPSTFRSGNGYAVKSALKAEDGLLYPLEKAFFFLPKPPTLILHEEIEYVLFEHHGSAANMSSQYFGLLVKMKNDQELLFSNIQKSEYQNLFSFISSKHLKILNLGDGQRRASGVTAVLESTDDNSVDPHLERIKNQACNQESDEEDEDFVADSGSPNDDSEDEGSDGSMSRGEVENSSKMEASSSKTHVKRKQNSGSGEGSQNKELKRKKDQKSGSNEGSQKKKAKRKKDPNAPKRAIVPFMYFSKTERANIKNSNPELTTTEIAKKLGEKWQKMTAEVMEYNTMAPRPSYPHPLPPPPPPPPPPPPPIQPAGLTPPPIRILRRGSTEPPPPRTIPTWESFFSTPSPLVTPPLHSANPAPNAPPPRTGGTDSEPATTDLPPTSAARSSPTRMRSGMESMPPNDQRAEGKEAFRSAVTPAEWPLPGRQLHALRLLLPPRLDRSGCLFAVVGESHPLRCRDMTADMTGSAGPIHGALQAPQLPQSCKTSSRPQREAKPSTSRTLPQRAAQPPRSATPPRSAPPPPSVAAQEMAKGDPHTRPETETVFIPNSFALMQDAREWEDCALVPWAMHLPRGAGGRNIAELLVKELELQRNQVSVTVHQPEPYLIRFQWHQGARFEVFIHMPQLEDYSAARKYLKDGIDIPVGFAPTTRRYEWRYGVLDGAPQGTRPRFPARLPKPPHKMQQEPSNRGRNL >Dexi1B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:1B:6895069:6896640:-1 gene:Dexi1B01G0008240 transcript:Dexi1B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPAPPTNAAPAAAAPQPSPSPSRRLPDFQQSVRLKYVKLGYHYLITHGMYLLLTPLMVLVAVHLSTLSPRDVADLWAHLRLNLISVLACSTLLVFLATAYFLTRPRPVYLVDFACYKPGPELKCTRDTFMRCSKLTGSFTDASLEFQRKILERSGLGEDTYLPPAVTRVPPNPSMDAARDEAREVMFGAVDQLLAKTGVKPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLLSVDLAKDLLQTHPGSYALVISTENITLNWYSGNDRSKLVSNCLFRMGAAAVLLSNRRSDRRRAKYELVHTVRTHKGADDRCFGCVTQEEDGEGILGVSLSRDLMAVAGDALKTNITTLGPLVLPMSEQLLFLGTLFAKKVLKMKKVKPYIPDFKLAFEHFCIHAGGRAVLDELESNLALTDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRRRDRVWQIAFGSGFKCNSAVWRALRSVNPAEETNPWMDEIDRFPVDVPKVSKVSSG >DexiUA01G0016050.1:cds pep primary_assembly:Fonio_CM05836:UA:34198614:34199287:1 gene:DexiUA01G0016050 transcript:DexiUA01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSELELCFERRMRWKAEALSEALAAELANERRRCETAEAECRAIRENDAAAREEVESTMEDVEEERRMLRVVELWREECVQIKLADARAAMEEKLREIDDAIADIHATTAASNNHKSSCCCSSSSPNGKTSSPTRVRCSGARPAAVRTRTSGGGWGVKGFVEFPMTVRVRPHIQRRPFGVGDDARLGAAVGDIATGTGMR >Dexi3A01G0022110.1:cds pep primary_assembly:Fonio_CM05836:3A:17673216:17675720:1 gene:Dexi3A01G0022110 transcript:Dexi3A01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLCVISERLFKMAGDDAGQGPSADGQIPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGVDCCDGIADLERLCRTTSIWTVDLAYLLNKFAVSFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGKALDAGISIQCRSISAYDIAFLLLSGHCIAIALVDKSKLNSSWMNDVHDVQQLNEDSDYMGHYIVICGYDADDCEFEIRDPASSRKRERVTMKSLDEARKSFGTDEDILLVSLTGKSGMKLTRKFLAGSM >Dexi5A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:5A:6103809:6105060:1 gene:Dexi5A01G0008150 transcript:Dexi5A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCASSPSALALSSTTTRVGLPLALALRQRPEARVPAALFRPSTVCSGPPRPLLPELAAGAFPRAGGARGTARGTPLFRPRALMTTSQIANAAFTWGTVAVLPFYTLMVVAPNASITKRTVESSAPYVFLGLLYAYLLYMSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGLKNNIETRHSVSLCLLFCPVGILVHVATKVLAGAVGRSH >Dexi4B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:4B:4212502:4213767:-1 gene:Dexi4B01G0006000 transcript:Dexi4B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATEAAKAADQQPAAAQANGNGEQKTRHSEVGHKSLLKSDELYQYILDTSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNMLIKLIGAKKTMEIGVYTGYSLLATALALPEDGTILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPLLDDLIADEKNHGTYDFVFVDADKDNYLNYHERLLKLVKIGGLIGYDNTLWNGSVVLPDDAPMRKYIRYYRDFVLVLNKALAADERVEICQLPVGDGVTLCRRVK >Dexi7B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:7B:23405211:23417456:1 gene:Dexi7B01G0017460 transcript:Dexi7B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAADGDQRWLVDCLTATLDTARDVRAYAEESLRQASLLPGTLPHNYFFPLSVMVVIRQLLLTSLDDSHGKIRTAISMAVAAIGQQDWPEEWPELLPLLLKLISDQNNGNGVRGALRCLALLSDDLDNTCVPKLVPELFPSLYRIISSPHLYENSLRAKALAIVHSCISMLGSMSGVYKRDTISLMTLILDPLIEQFSIILNSPVQSKNPDDWSMQMEVYTYRLLFRTFLDCLKLKFLATILPSLWQTFVSSFKIYHLSSIQGSEDLDSVDYDSDGSERSLESFAIQLFELWTTIVGNSRLAKVIGGNLKDLAYYTIAFQQTTEEQVQSWSRDANQYVADEDDLTYSCRVSGSQLLEEIVTAYEDYGLDSILEASKMRFNESQELKQAGSADWWRLHEASLFALGSLSEQLCEAQDSGFAKYNVRDLLQQMLTDIMVTGAHQYPFLHARAFSIVAKFSSVISKEVSEQYLCYAARAIASDVALAQLLPESNQDLIQPNVMGILSSLVDLLRQASDETLHLVLETLQSAIRSGGEQSTLIEPIISPIILDVWAQHIADPFISIDAIEVLEAIKNAPGCLQPLVSRILPTIGSILGKPKIQPDGLVAGSLDLLTMVLKNAPAIVVKAVFDTCFVSTVHIVLESDDHGEMQNATECLAAFISGGRQELLVWGGEQGHTLKMLLDAASRLLDPALESSVHLSVPNVDQFIHLLLSIPAQGYDNSLVYIMSVWSQLQGKWSHGSEIQGAYQIKVTTTALALLVSTRHPELSKIEVQGHLIKTSAGITTRSKARVAPDQWTKIPLPAKIFSLLADTLAEIQEQMGDDSDDDCEEDSDWEEVQNGDPRIPDDIIYSASVPNANPSVEHLNAMAKVFDKDEDESYDDDLTKSDPLNEVKLADYLTNIFTNLWENDRPLFEYLCQSLSDSQRSAVEKVLRK >Dexi2A01G0035340.2:cds pep primary_assembly:Fonio_CM05836:2A:45010699:45011524:1 gene:Dexi2A01G0035340 transcript:Dexi2A01G0035340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEHQDVGVLPQGSSGIHGAQLERAGDVPRRAARRSASPDKVGRPSLNRKERKPLCLVMGLPFSSYMAEPSSSRSSSSATATAEASMHSMDSHWFRPIESPTNTRRRFRSVSSSLAASKVGSSHRRGRHLHMAYSPSGRPFSTHRNPSSTNGHFPGSYSARRRRCSSPASSRTHSPSTDMVRSRSSSSLQYLLVTSPSTPPATGTAAALVAAVVALTSAVTSRAVSLAAPRPEEAAARRSDREERSTQAPHSAICWSLAAAPAA >Dexi2A01G0035340.1:cds pep primary_assembly:Fonio_CM05836:2A:45007877:45011009:1 gene:Dexi2A01G0035340 transcript:Dexi2A01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGTPGRHQWLQSALCPTGNLADSATLYTDGCPSLLCAVIRVTFSTYNSGAACSFFFFFGASKVGFSIPGHRCNAIFDVFSSGNVSMAHPPPLSATLLIGYISWIDICHV >Dexi9A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:9A:7554725:7555972:1 gene:Dexi9A01G0011910 transcript:Dexi9A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPCLPTDCLLHVFLRLDPISIVRCGAVSRHWRRGVTDNASQIRRHATGHADHRCLLLGFHCREMYPGELAFSHRSSWLPSPGRHWSDALPVPSYTPETKKGATKPKLHAPLACSDGLLLICRGHPTEISVVNPLTGFDATMPRPTIALATYCSYLLHSCHGAKPNSFQVLAVDRHFLAIQNYCSETGAWGLVLHPKTDGHGLIRLPRLSEYRTAPLLCQGAIHWLCSYVSVSDYYSLGVRKLTHIVAVDIATGRTRMTRIPSQCSMYTEDVSNRKMLMLATSEDGRPSLLRREEASLKVSFWLYVGDHGGGSDDDPEKSWLLQRSVDVRELIEDAGLSRFRLGCRDWEVLEIRLEWFCPRSRSRKRVQRAADDSYGHIWPYEIDLTLCLCTMKSF >Dexi1B01G0029840.1:cds pep primary_assembly:Fonio_CM05836:1B:33988893:33989095:1 gene:Dexi1B01G0029840 transcript:Dexi1B01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLSLSLSLSLSLSLGRDVDPRRPGLAAGKPELFDRFGCVIDVLYHPSISQGPAPQQYS >Dexi2B01G0023850.1:cds pep primary_assembly:Fonio_CM05836:2B:33322601:33325889:-1 gene:Dexi2B01G0023850 transcript:Dexi2B01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSTCITAAVLLLLPGLCSPAGEKLAHGESLSPGETLVSDGGAFVLGFFSPSDATPSRQYLGIWYSNATVPVRTVVWVANRDAPVIVVDDERSGNSSSPSLAFVNDTSTDLVLTDASGRVVWSTTANITGATSSSATAVLQNDGDLVIRRSPDGATLWRSFDYPTDTFIPGMRVGRRYRTNEEWRILLLWNGTRVYWRTSAWTGYLTAGMYYHGATTGTVIYVSVVDNDEEIYMTFSVSDGAPPTRYVVTDDGKFQLLSWSRNASAWATLQSWPAGQCSPYGSCGAYGYCDHTNTTQQAVSSTSTCKCLDGFEPASQEEWSRGVFSRGCRRSQPLAPCGGGGGEGDAFLAMANMKVPDKFVLLGNMSSGDECAAACRTNCSCVAYAYANLSSSSAKGNIARCLVWNGDLIDTQMIGAIWGVTAETLNLRVPAGFTGKRRSSEIEKKLVPGSVRTSSELGEGSHTEDLEFPSIQFSDIVAATDNFSRACMIGRGGFGKVYKGTFLGGREVAVKRLSKDSEQGIEEFKNEAILISKLQHRNLVRLLGCCTDGAERLLIYEYLPNKGLDAILFDNERKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKISDFGMAKIFGENQQKANTKRVVGTYGYIAPEYQIEGVFSVKSDVYSFGILLLEIVSGVKISSTDDIMGSPGLVAYAWKLWKEGNASGLVDSSIVDSCVLDEALLCIHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPDEPAYFAERNYKVDAENSRNSMTMTVLQGR >Dexi9A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:9A:11698389:11700859:-1 gene:Dexi9A01G0016660 transcript:Dexi9A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMANKPTVRLLDRTVNAASRTSQDAEEGSGDEDSGSETSTKKKRAPRRGRKKAITEKLEGEGEESQISSEQASTEEAKQVKRRGRKKGATTASSGEGMDKAKEPKKRGRRKIKTTEESSDDEGDDRGKDLMPYNDRDQTVIENLANVLESKIELALHEDVGEVDSLMPLVCCFGPAKYSFIPSGRPANRLIDHEIHDGMKDMFWSPDKFVRAPGGPSSNVALALAAIGGRVEFMGKLGDDEYGQSFLYHLNINGVQTRAVCMDPSAPTAVSLMKVTNRGSLKTNCVKPCAEDCFLQSDINPTVLKEAKMLYYNSSALLEPTTQSSLFEAIDVSKKFGGIMFFDLNLPLPLWSSSKETKALIKEAWEAADVIEVTKQELEFLCGIKPSEKFDTNDNDKSKFTHYSPEVIMKLWHDNLKVLFVTNGTSKIHYYTEKHNGWVRGTEDAPITPFTSEMSQSGDAIVAALMRMLAVNPHLITDKVYLHKAVKHAIKCGVIDQWVLARERGFLPKERGDPTSEEYGVRSITEREYRTLPDALQSENSSTSELAYVE >Dexi1A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:1A:7398866:7403900:1 gene:Dexi1A01G0009150 transcript:Dexi1A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAADAVLVRTARRCGGCDAREDAAVEALLQWQKVGDLLIAASLLSIPLELLYFSTVAALAPLRRALLQLGTFVVMCGVTHLLNALAYDRPGNRRVLLLLTSAKALGALATTAAAVSLPIFFPRLLRIKARESLLRAKARQLDRDLAAVRRRDDAVSRVARAVTRHVRDSAVDARAVLDNTLRQLAAALDVHNCAVWTPVVAAPASGGGGMLQLTHQLLPSDDADKVLDGRSGTRAISVRHPDVDVVMASKDARVLKPGSVLEAVSGGGGGMPPAGSPTTAAIRIRDFHGGAAGNSSSEPTSYAILVLVRRANDEHRSPPARWSRHDLEIVEAVAEHVAVALAHAAALEESELLRRMLSEQRAALVHAKGELDAATAARNAARGAVRDAVARPAHAVVGLVSVMQQPAPAEADAPGLCLELRLAVDAIARTSALLVSSNLADSVMETLSTMDAGGDQPPPPGPAMAARRPFELRSLVRDAASVGGCLAGCHGLGFSHWLEANSLPEWVVGDDKRVFHLLLHMVGVVLSRGHRHVAGGVLSFSASSCNSIAGDDQDRILVGERAKISGGNHVFVKFQVGITRTGGSDPAGSLLPSSCLPSSSGYTPDFGGPDMWLSTAVCKRIAKMMNGNMWCASESKGETTMTLLLRLQQPLNPHAPGSSGTYRIVPSPRTLAQHHHFNGLRIILADTDATSMEVTRKLLERLGCEVVPAPSPADCLSLLQGSAGEPPFQLVVLDLDGGGHGGAAAMDGLEVAVRIRELSDACWLLVLVAVATSDGVDDRVRDMCRRAGVNGLIQKPVTLTALGAQLQRILENN >Dexi9A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:9A:6175283:6176763:1 gene:Dexi9A01G0010170 transcript:Dexi9A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASMERRHSLALAPALAMVIMLSLSCCVSAARVVAATGAGAERHPVILIPGAGGNQLEARLTEDYKPSSLVCRVWPLVRGRGGWFRLWFDPSVLLAPLTRCFAERMMLHYDADADDFRNAPGVETRVSDFGSTSTLRYLDPRLKFLTGYMNTLASTLEKEAGYEEGHDLFGAPNDFRYGLAGPGHPSRVGSEYLHRLRLLVESACAANGGRPAILVAHSLGGLFALQLLARRPPQWRASHVARLVTLSAPWGGSVQEMLTFASGNTLGVPLVDASLIRDEQRASESNLWLLPAPRVFGNTTLVTSRGHNTSYSAKNMTRFLRDIGFEEGVEPYRSRIRPLVEVLPEPGVPVTCLVGTGVDTVESLVYGDGGFDEGPEEVVYGDGDGTVNLASLVGPIKAWADSPAQVVEVVELPGVSHSGILNDKSALRQIIRVVDSINLNATSYQTS >Dexi2A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:2A:26258636:26261399:1 gene:Dexi2A01G0015330 transcript:Dexi2A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPTQTQRTIMFVPFPAQGHVTPMLRLAHTLVNHANISVTVAVPDFIHRRMGQHSVPGVTLVSIPTGVHDDGGEEPPGPPAFMHAMEHHMPAQLEAMLLRAEQGTGLPRVSCMVIDLLASWAIPVAARRGLPVFGFWVGMVATYRTIMVIPELMEKGLISESGGDSDLYDRPHGHEILPIGPLLFNDDPKMSSAMWQADQTCIDWLDKQSVGSVIYVSFGSWAAPIEPEKIRGFAQGLEASGRPFLWALKNTPSWRAGLPDRYIEKVAGRGKIVSWAPQDDILRHQAVGCFMMHCGWNSVLETVRQGVRMICYPISSDHFINCAYIVNMWEVGVLLVSSDQSNVKDCIGRVMEGEEGRHLQQRVNKLRENITVGDALRVAKTNLNLFMERIKY >Dexi3A01G0023460.1:cds pep primary_assembly:Fonio_CM05836:3A:19145732:19149792:1 gene:Dexi3A01G0023460 transcript:Dexi3A01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAAEVSPAAALCRERRDLLRAAADHRAQLAAAHAAYFRALPRVADALARFASHHHAATPPGSPVLTLPPASDGHGEDDGQPKKRRSGSGSATPHTDSGHSHIHFNSNDASDSDPDSSADPCAAPGGCGGGHGEIPQPAPVRRSGVPLPDHGHAERQERQIHQPGPEMPREYASYNPYPSFPSTAFQNGTYSSFPSTAFENAAYPSSFPSTGFQNGTYPSSFPNTTTEFQNDTYQSFPDTEFQNGTFPSYYYMKASSTPANTVYQEPYGDGNFATTSSYMGYNYGYNNPMYGVPLPPEGDRPVQDRGREPQPPAEPPPMPVPETSPWDFFNPFESYEQELPQYKVKGYDSNGSFTSSPNSSEVRAREGIPELEEETEMESMRESLKARKAVESTASNRIDNVDISAKVKVSMEHKEGEIESVDSASVLESGEESVCSCDCDHANARTGEPMPLGNDQGKVKKVSSEDHSSMVVGEDVQPPHESIGTRDVSDVVQEIKEQFNSVAACGDDVARILEVGRMRYRSRNRVLRFIFSRMMGTFALLFSPLSEAPAKNLEQSAIDSSKRIQNSSKRFDLSSDVELNTLSATMDRFLNSGIFGKRKHDNNVALRLVRMWKFILECHRKQFHTILETKSHILIPKNGPERSSSKEKEETPDGFAPFSPGRLGAPAVFIAANDWCQAMKRIPEGTVVETMEAFAVNVHILWERQDEEQQQKLKADYLSRDFAKRLKSLQKEHGLQGHFEADKPVLPVAEYGKAVDNRMVALDTLHKRLDEQRAKHEETVKQIREASATNLKAGLAPIFEALESFTQETLRGYENVRITVGSG >Dexi9A01G0032570.1:cds pep primary_assembly:Fonio_CM05836:9A:37508193:37508405:1 gene:Dexi9A01G0032570 transcript:Dexi9A01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTGHGGGDARRRALEHRRSSRRRLPGWIWWLLGIFLLVGLMLFVLHHKQKEQFRPPVVVSVANLDFV >Dexi1A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:1A:674430:675905:-1 gene:Dexi1A01G0001050 transcript:Dexi1A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGDAHGAAVPERVRLHVAMLALQFGYAGFHVVSRLALNMGVSKLVFPVYRNLIALCLLAPFAYFLEKKDRPAMTPSFLLQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALGIERVRLRRRDGLAKVSGTALCVAGATVITLFKGPAIFGPPALTNHHHSPSPAAASGGAGEKSWALGCVYLMGHCLSWSGWLVFQAPVLRRYPARLSVTSYTCFFGLLQFLAIAAFFERAAAAWELGSGAELLTILYAGLVASGVAFAVQTWCIDRGGPVFVAVYQPVQTLLVAVMASVLLGERFYLGGIIGAALIIAGLYLVLWGKSEERVLAAKEAAAAAAAAGTICDDETVAASCLKQPLLPPANSEAV >Dexi9A01G0024610.1:cds pep primary_assembly:Fonio_CM05836:9A:21024303:21024940:-1 gene:Dexi9A01G0024610 transcript:Dexi9A01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLSSSPRFRLATAAGNGVPRLSVTSARPAARASRRNARPKALSVRCEQGAKGGGGGLDVWLSRGAMLGFVGVVAVEVTTGKGVLQNVGLTAPLPTVALALTGVVGVFTAFLIFQSGSQD >Dexi7B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:7B:18481619:18491366:1 gene:Dexi7B01G0011460 transcript:Dexi7B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVGFRGLRRRSVALAAVNLAAAAVGVAAEVAPAGGVWARCGGPREKGAVAAAAALAVARIAVMVGMARAQEVTALAVVASDAHRGGGVTGPTQDFAKRETRVGRRGSATGFNFLNLGDILKPGRLVQPSSDSDRIDPPSPSSRFLFPSPTTRALRFPCAWPPRGRIHLRRGRMPRKALLPIRGPTTPPPLGLLLRRLLPRRRSPSSPPPPPPARRRTAAMAPRAGDPAAAAAAVASFRVGMVRVVSFLVGGINLAVLLLGLYLIDAVLPSGCAGGLAFAAAPALAGVRVLAMIGAARAQHATADAIARRHLHEDDASVAADAVARHEIRELLLTYEIATFILPNRTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKHGRLLELQNDLVEAPHDLMQEAASLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWARRRRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSRREAAYFVVVLHDKQTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLIKYPLNSLFGKYPDVHVYAYGPLPCVDFVIAEACSDFVTTIICNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGTHGPGDDTIEGYSDTTGTAGMPVPNGREISHQDPLCNTEPELRNIQNGFAGCHLAMQKILEAQTPKRSTFSGSTMQHLV >Dexi1B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:1B:24760643:24762839:1 gene:Dexi1B01G0018590 transcript:Dexi1B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAPAAAAPKKAKK >Dexi8A01G0017430.1:cds pep primary_assembly:Fonio_CM05836:8A:29131998:29135377:-1 gene:Dexi8A01G0017430 transcript:Dexi8A01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNESKPTTTPSPKLAASVAAAADTSKRGPPSSSSTPCIFSLHDGDLTVGVDNKAAVALLTGVPANVTLTPFAEAFVPDTPGGAPPELAEKAAANSHRGAFLGFTSPAATDRASCTVGHLAGDRRFQSVFRFKTWWSTAWSGRRGRDLQMETQWVLLDVPELAGAGAGYVLVLPLVQGSFRSAIFPTGDGDDDGVVICAESGSTAATATDFRRIAYLHAGDDPYKLMHDAYLAARVHLGTFRLIEEKSLPAMADKFGWCTWDAFYLAVDPAGIWQGMSELAGAGIPPRFLIIDDGWQSVNGDADDPHDDAPGLVLGGDQMTSRLYRFDECKRFRSYNSGALLRRSPETFYDKALPKAIISKAAEIESIGKAKKKKAATHGDAAMDLSSFDAKISKLRRELDELLVKRDAMLSNLCSDDGVFSGDGEATGLKAFLKDMRRRFPELDDVYTWQALCGGWGGVRPGATHLDARVVLARPSPGLAGTMDDLAVDRIMEGGIGLVRPEQAGELYESMHTYLAGAGVTGVKVDVIHTLEYVCENHGGRVELAKAYYDGLSKSIAKNFNGNGIIASMQQCNDFFFLGTWQVAMGRAGDDFWFEDPNGDPMGVYWLQGAHMVNCAYNSLWMGQFIRPDWDMFQSDHACAAFHAASRAICGGPVYVSDSLGGHDFDLLRRLVFPDGTVPRCVHYALPTRDCLFKNPLFDQQTVLKIWNLNKFGGVVGAFNCQGAGWDPAEHRVRGYSHCYKPVSGEVRPADVEWRQREDTAAMAKAGTYAVYRCQTEELVLMTQHSEPIQFTLQPSSFELFTFAPVTNIAGATQVRFAPIGLVNLLNCGGAIVDVEYPSGGEVRMKVKGSGKLLVHSDVKPRRSLVDDCEAEFEWGNGGKLMVDVTWKQEKDGVSDVVFCY >Dexi2B01G0036230.1:cds pep primary_assembly:Fonio_CM05836:2B:43094062:43094776:-1 gene:Dexi2B01G0036230 transcript:Dexi2B01G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGDDGRRGLSRQQGSVYSLTLTEVETQLGEPLRSMNLDDLLRTVLPAAEPPAAKKTVDEVWRDIQSAGGARQPTAMGEMTLEDFLSRAGVAVDARPQWLLRQYPPPPQQQLVVPQHGSVHHDGVGVFLSSQVAGRKRAAAVAVAADGVVERTVERRHKRMIKNRESAARSRARKQAYTNELENKIARLEEENERLRKLKVK >Dexi3A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:3A:6854550:6854804:-1 gene:Dexi3A01G0009720 transcript:Dexi3A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTMTDLAAVAVGDEVGAWDRAGALVGDGEDDKDGSVIYLDLDGEGGGEGKDKGVPHQCRRRPLQGKIVAEAFRRWLVCADE >Dexi8A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:8A:278341:281755:1 gene:Dexi8A01G0000370 transcript:Dexi8A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRRREEEGEAWRRWSVLVAAVWVQALTGTNFDFSAYSSALKSSLSISQEALNYLATASDLGKAFGWSSGLALLHMPLHGVLLVAAAMGFAAYALQYCCLAFLNTSSAGAPMAIPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSTSNRPLALSLSISFNGLSAAFYTLFANALSPLSPSIYLLLNAILPLAVSILALPVIILCHTNDSHLHSIPNHDRRVFLGLYILAFITGIYLVAFGSFTTTSSTAWVILTGAMVLLALPLIIPACSHGPDPAQPLSHDDPHKPFLVSNNNQTESDAMMEKAMEHQLQGSCCGTILDKGRLVVLGEEHSAKKLIGCVDFWLYYTAYFCGATVGLEYSNNLGQIAQSLRQQSRLTMLLAVYSSFSFFGRLLSALPEFLHRKVSLARTGWLAAALLPMPMAFFLMWKQQDGSTLVVGTALVGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSFLYGQIAALVYDANGQRMTVVDNCTGITDTMIMCMGVKCYSTTFFF >Dexi3A01G0023440.1:cds pep primary_assembly:Fonio_CM05836:3A:19097191:19099176:-1 gene:Dexi3A01G0023440 transcript:Dexi3A01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIRQADPSTSCAENPYMHKFMPPGSVFPAQRFVSGTELLHHGPQPYNAEGYSQSGFSGTTSHPFQKSFYNADSHVESHFSDATFSPAISNISQQNSQSLSDNQASDLEVEFDEDEIKLKLQELEHALLDDDEIFSDLSGSINDEWNDNMKNNNDWTNTMKSIMSPDSPKASSPESSLCCPDISNGEARHPKQLLYDCAEAISEYSVDEAQSIITELRQKVAIQGDPSQRIAAYLVEGLAATIQSSGKGIYRALRCKEAPTLYQLSAMQILFEICPCFRLGFMAANYAILEACKVEEVVHIIDFDINQGSQYITLIQFLRNNSNKPRLLRITGVDDPESVHRAVGGLKVVGQRLEKLAEDCEVPFEFRAVASNIEDVTPGMLDCRPGEALIVNFAFLLHHLPDESVSIVNERDQLLRMVKGLRPKLVTLVEQDANTNTTPFLARFREVYEYYSALFDSLDATLPRESPDRLNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMAMAGFAPSPFNSTVIDGIQSLLKSYCDKYRFEKVHDGLHFGWGDKTLVVSSAWQ >Dexi3B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:3B:11781607:11786607:1 gene:Dexi3B01G0016240 transcript:Dexi3B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKGCGLRITSIKIGKPIAAAAAATVHPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDATGEPADVCVIELGGTIGDKIFLPHNNSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCAGKIPRKPKLVEWTDRASKCDNLKIPVRIAMVGKYTGLSDSYLSVLKALLHASVALGRKLVVDWVPSCDLEDSTEEETPDAYEKAWETLKGADGVLVPGVIEFARSIMKLHGANSTEFDPTTKTPCVIFMPEGSKTHMGATMRLGSRRTIFKVNNCKAAKLYGNVSYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDETGRRMEV >Dexi3A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:3A:13347136:13350916:1 gene:Dexi3A01G0017520 transcript:Dexi3A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAHVGNLLGEATSSARIFEALELPAARSEIQELPAMVKDSAYYDVLGVSVDASPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPGKKEAYDKYGKEGIPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASVASVEIEENANSQEARAKVQEKIKELQKEREQKLTQSLKDRLQPYVDGRKDEFVSWASAEARRLSQAAFGEAMLHTIGYIYARQAARELGKSRIYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQDGMKKMEGSENNEEQLMKSFEEKKEAMLGSLWKINVVDIESTLSRVCQAVLRDNTVSKDVLKLRAKALKKLGTIFQGAKSVYHRENSLRVETGTDQQAAPSH >Dexi8A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:8A:3294706:3296721:1 gene:Dexi8A01G0004200 transcript:Dexi8A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPVAPLKSSSFSAATNREEKLARNLSLGPIKLNEHIKEARVEKLAADDAAGETPAVPEEASEPDFATLSAEIDAFLAAHGAGEPLPAVSEVTLDRFATAVEQEIAPSDGSDDKWVPEVAGETPPLLAAIKRIAALASALTSGESKYTIGVHRVTGVLHRVMTFVEDEFHSLLEDPRVAKTAVVSVSGDTPVKSMKRPPSFNHSSAAAEPDRCVVVTSSDGTGEPFPPETVEKLRAMAEAMLAAGYETECREVFVVARRNALDASLQSMGYERSSIDDVVKMPGEALESEIATWIKAFRHAVEVDLPAERDLSRRVFSSVSGDHLGRAIFADLAHSAMLQMLNFTEAVVLTKRAAEKLFKVLDMYESIRDVTPVINSFAAGDDEDDAMLADVKHELASVRSRLGELAAATFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKKTLEQVFMEYRRPDDDAGEHGGGCPFAAQLMEVMELLHGNLEAKSRLYKDPSLSSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLNLLRDDGVITVKGHVQKQVLKDRFKQFNAAMDEIQRTQGSWVVSDEQLQSELRVSIAAVIVPAYRSFLGRFSQHFSAGRQTEKYIKLSGEDLEAIIEELFDGNAVSMPRRRT >Dexi5B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:5B:2677194:2677658:-1 gene:Dexi5B01G0003950 transcript:Dexi5B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDGYKQQSAGPPQRMTKSSRLRALLPYVASLVPAIAHLARAARGERRREDVAFVVAAHGALALLLLCLGRHEAAPTAAARGRIRAWVWALSTALTGLFACRVAPAMTPPLGVLVYDMALLVAAGGFALLFLCDDAGDGAGAASLGIREKSP >Dexi3A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:3A:4331149:4332111:-1 gene:Dexi3A01G0006540 transcript:Dexi3A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGGCCVAPRYGAAAGAQQQTGAAWQMGRIMLKFRPIAPKPASMAPVPTPAPVPAAGAGRGKRKAAAAGGGRRGRKPKRAATVAPVAAAAAAPPAAVQAVAEIRRKDKDCEKEKSLSSRSSSSSGMTSVDSSPPPPPKNHQQPATLPLMPVSPAEDKPAAPAVAGTEKAAPASSMVTAAVHPSVSPRRLPPAAWVTVEDVTATWRDGEDAPSSPAAAAEDDAPKFVSDQWGRVTWTNAAFARAVYTGCDEGARAVALAGALPAWGTCAGFTCRARVVRGATTTTPSVVAPCDVWRLDAGGCYLWRLDLQAALTLGGLP >Dexi2B01G0029520.1:cds pep primary_assembly:Fonio_CM05836:2B:37893710:37894730:-1 gene:Dexi2B01G0029520 transcript:Dexi2B01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQTAASSSSGGGIEHHQDRSIVVVEERRPQPVVEDDDVEEEDEPIFRDIHPLSSPPPSQPPSYRRGRGESWGSAAGGTSRHTSIRSVGSDTAPSEPFPTMSREFSAMVAAANANDAERDLDAMGRIGEGEEEMEETNPLAIVPDSNPIPSPRRGPPTPGGADLALASNGAHGHGHGGEGGVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSTKRSFF >Dexi8B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:8B:7692317:7693261:1 gene:Dexi8B01G0006550 transcript:Dexi8B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVLARKAKLKNHLVSAKAKLKHHVTPRRVVLLAASSFSVLLILLTLRTLHSASRSATAASSSTPTAVAGAQQQQKTCTSLPASVAEALVHYATSNETPPRQTAAEAGAAARVLSRRAPCNLLVFGVGPDAALWAALNHGGRTLFLDSDADRIAAALVSGLDLDAHPVTYQQQTAISDELLLAVRNSSDCASPPKALSPEHLENSACAVAPRGMPAAFYEAEWDVIMAVGGRGASSPAVVVPGAVYTAGVAARARRPGMGETDVLVHGVDGAAEESFTRAFLCEGYLKEEAGRLRHFVIPSHRDKEAMAFCP >Dexi5A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:5A:5442230:5442606:-1 gene:Dexi5A01G0007290 transcript:Dexi5A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCVKQTVLSLYIAAVIADCFSSLTLFYLVVLGTMTLPALYERYDSEVDHLVARGVHDLRTHFSDIDSGVLRKIPRGTGASAPK >Dexi7B01G0010000.1:cds pep primary_assembly:Fonio_CM05836:7B:17411484:17412460:1 gene:Dexi7B01G0010000 transcript:Dexi7B01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPLRITGAKKSHDPVPVTNASVSAVATGRGGRHNPTPRRAAAAHHHRSATAHAPCSAKNARDVVEHDLVHDPRHEERRENARGGAAGAGAHGAVHAGPEHAGDHEVPPASPEVAEGGGEVGSVELGLQIRAQQRAGERRGGREEELEHQVHVRAVEHGHGVRWHPRPVAPDGDERGEERRLGRVGQEESGRERAAGRLVVVLVLVPDLDGQRGAGDEAQEREGGVERRVAGGEEEELEAAEPDEEEGGDGAEVDGGEGEGEDGEEEEHREERREAGDSGAQARGGESRVRQGPGRGRRDHGEACYLGRHGCLL >Dexi5A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:5A:7863872:7866011:1 gene:Dexi5A01G0010380 transcript:Dexi5A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPRQPCALPVPSPPFLTRTSTRRLQRDATQPSHHSTPFRRTRAMVRRRAPRPLLLLFTFLLLVLFSGDGKPANAAATGPRADGGGARPSPIKNVVVLALENRSFDHMLGWMRRLLGLPIDGLTGAECNPNSTTTSTSSTICVSADADLVVPDDPGHSFEDVLEQVFGTINTSSQQPSMSGFVRSALSINTLLPSFVMRAFRPSLLPSFATLAESFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKRDLLLGYPQRTIFDSLAADGHGFAVYFKTIPTVLFYRRLRSLRYASRSFHRYDASFKDHSRRGVLPALSVIEPRYFDLAGAPADDDHPAHDVANGQRLVKEVYEALRAGPQWNQTLLVVTYDEHGGFYDHVATPTAGVPSPDGIRGPPPFFFRFDRLGVRVPTIMVSPWIKKSTVVGRPVGPTDTSEFEHSSIPATIKKIFNLSSDFLTERDAWAGTFEHIFTELDQPRTDCPETLPEVPFERPTPPKEHGWLSDFQRELVELASFLNGDYMLTSLAQEARRKKMTVKQADAYVRRAITGFLQASKQAVRLGANESAIVTMRSSLTSKSTAGSGP >Dexi9A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:9A:5001862:5002902:-1 gene:Dexi9A01G0008450 transcript:Dexi9A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTRAAKIPSFRQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVVKTRMQVASGDALGRNALATFKNILKVDGVPGLYRGFPTVIIGAVPTRIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLAGLSASTCSQAIFVPIDVISQKLMVQGYSGHARYKGGIDVARKVIEADGIRGLYRGFGLSVMTYAPSSAVWWASYGSSQRIIWR >Dexi3B01G0022950.1:cds pep primary_assembly:Fonio_CM05836:3B:17658657:17659951:1 gene:Dexi3B01G0022950 transcript:Dexi3B01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRAIEVRLLQPGAGDATAAPKWRMSLLENTFAGFLQGADAAARAVFAEGSLFSPFLLGKFFDPGDAFPLWDLDPDVLLANLRRGAARITVDWGETDAEYYLRADIPGGRKCEVEVSSGDAMKVIDITGLWRAPPADGRDWRAGRWWEHGFVRRLELPDDADWRKVEAYLDDGEGSLEIKVPKTRDAAPKATS >Dexi2B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:2B:7013380:7014227:-1 gene:Dexi2B01G0007010 transcript:Dexi2B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGSAELLQAEAELWCHAFGYMALRCTIKLGIPTAIHRCGGAASLSELQAALPSVATSKQPCLSRIMTFLAASGIFTMETPANGEVAGVLYCLTTASRLLVNDDEGRSSSDHSSSACLSKLMLLFTMPLHFMASQSLPEWFQREEEDDDATSSAAAKTTATTPFSMAHGESLYGLVGRDAEFGACFHEAMGPTAILIGECGGVFAGVTSMVDAGGGDGTTAMAIARAFPHVRCTVLELPHVVAAAALAVVDSRVEFVAGDMMEFIPPADAVLLKV >Dexi6B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:6B:17069538:17070028:-1 gene:Dexi6B01G0010640 transcript:Dexi6B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGLCAPDDTAANADTTSCRSPSVATQSLYPVTGHGDTDTPCWHPLESEPTGDASDHGDDAPRAAGQLSGVIGGSAAAAPPPITESAAAVATVSLAASPCSALCACGLCCGLCGALLAAEVAEEGRQVWSPW >Dexi6B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:6B:21808514:21809460:1 gene:Dexi6B01G0014190 transcript:Dexi6B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDFFGGGVIPAEETKTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFDSFEELRPF >Dexi1A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:1A:2847506:2850992:-1 gene:Dexi1A01G0003880 transcript:Dexi1A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGDCSSSSNEKQKAKKSHGNFDLDDSSHKEFNSLDAEIHATLQNGGMTRGYLLSVDFDYNIVCIGLGPFHVFKQASLDEPGEVGHNISVTSLCCNSTGKIVSSTGVVTEKSTELYGRKLIMSTCIIPEFGIGGPLVDSDGNFVGMNISHVDNEGSLFLPRETILESIVPYWLPGTSVVAEEIILRAIMKDKQQRDNQIERSTSEMCKSSTPVVLNSEENVLIKLMSPLTIKKKKVEEVTSPEMNQKSSISPASDSESESSQDTSKLSDEPLPDNEFVKAFTNDLPSHGYPLPKKLDGGIELRKIFEEEFARDVWDRLTEEVALDTSLSVVSLASFHGETRFFACTGVLIGSHKTITRILTSASLVRFANKNEIDGSLKAGIGAPLIDFCGNFIGMNFNGAKRTPYLPRHIILEQLKDFDRKGYAFY >Dexi3A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:3A:10168282:10168725:-1 gene:Dexi3A01G0013910 transcript:Dexi3A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEVAALVGKVAERLYAEEDDGGHEGITEASVLEVMNWLELEIKLAAGATSLPLPPATAPPLYATVCGGNESCGSSLSGPASTVMASVDGRPGAPPPPAVPWPWPFPDPGAAIKQEEYDDADDEWVLELLTDGPALEGPWGGNQ >Dexi9A01G0048780.1:cds pep primary_assembly:Fonio_CM05836:9A:51519206:51524156:-1 gene:Dexi9A01G0048780 transcript:Dexi9A01G0048780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVEVGDYVLQTLVGKGSFAKVFRAAHRGTGARVAVKAIDRRRVEKRVHDGILKEREILRSIDHPNVLRLLDTIDTADVMYLVLEYCDGGDLDEFLLKHGRLPVATAKDFMRQLAEGLKALRERNIVHRDLKPQSDLWSVGVILFQLVTGKLPFTGSTCFQLHQNILASVELNFPSKVEADICPDWIDLCRRLLIRDPSRSTVPLYFFLVKYAFAFAGKRITFDEFFNHKTCEHILESHRAVDLKDICQTITTPVVPKTKSESVESKQSKVFDSWEWIEREYVLVPVNCTSMEMLSSLEKSTKDDTGTRSAGYDRSTGKGSVQNQNRDFIHRVIGVQNPGCTLVPVSQESSNAEDKRGKPPDCFTRLQILNQNVLVLTELAREKLSKGMYLESLSVELVLLAIWKEALDACSLFMDASESDDGNFSKSSPEHFLPKSDPLFLNVVRGLDFSRPVSVRNTEMPDAIDVIFQTALEYGKSGAANEVLGHQSRSTALYSKSIILLTFMLQEAPTLPLNPPFSLPPPDQQRIHNYIANLKSHLCNAQVVGQQQRSVHS >Dexi4B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:4B:2417398:2417787:-1 gene:Dexi4B01G0003440 transcript:Dexi4B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHDHHHHNLAPTWVTRAGFGFLTLNSGLAIYRAKGDLASIVFVAASYSALLLLFRCLRDYERAPPGSPERDRARRLVWPLTSLLTLAFAWKVAGAMPSAAAAAVVWGLAVATTVGGFFAIFVPGGG >Dexi3A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:3A:16505550:16506798:-1 gene:Dexi3A01G0020830 transcript:Dexi3A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQVQQMVRFILQEADEKASEITVAAEEEFNIEKLQLVESEKRKVRQEYERKEKQVDVRRKIEYSTELNAARIKLLQAQDDVVTGMRESSGEALIRITKDANTYKRILKGLIVQGLLRLREPALVLRCREADRSLVEAVLEVAKKEYAEKAKVNLPKIIIDGKVYLPPQRSNRDAHGPSCSGGVVLASQDGKIVYENTMDARLSVSFRQKLPEIRKKLFSKQVT >Dexi5B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:5B:4803716:4804147:-1 gene:Dexi5B01G0007170 transcript:Dexi5B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETAVVPIDVTSGGRGGGDGEDQERPKSERHRSRGPGHHGRHGPNQRGRPPPPPPPVFRPFRRWFPFLVPLFIVANVALFVLTMYVNDCPAHAQATGAAIGGSVGESATAQGCWLAPELGRFAFQSFKENPLVGPSSAT >Dexi4B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:4B:21101145:21101766:1 gene:Dexi4B01G0018820 transcript:Dexi4B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGACTEEALRLVGDGGRSQAAAMVTPGWGGRRLAGAVCPSWPPAWRRLWRRRFGVAPAGGEAWWPSGAGGSVRRDQGHLGPLRVFPWKEQAVVGLLRSTESWPAQGGLWATVASTGVAFQRADFSRGLSAAEVRRRVARWRLAAAAGCSHPRRPRVKRSRGA >Dexi8A01G0014910.1:cds pep primary_assembly:Fonio_CM05836:8A:25631894:25632319:1 gene:Dexi8A01G0014910 transcript:Dexi8A01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANGSAMEVAGALLLKPPQRAQLRWGCAADARDSLLVVARSSNFRESSSSCRELSSSASTPAASPSLEKLSTKVRTSGTLGCLALISAATAASFSVLQPTKTSPSPAVAKRNTWRNSTSPLGSWPSAKPGAEVTPGAEGF >Dexi3B01G0022700.1:cds pep primary_assembly:Fonio_CM05836:3B:17400847:17401568:1 gene:Dexi3B01G0022700 transcript:Dexi3B01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLPAAAPFPRSPCHRAPQLSPSARPFRPSRVVLVRWTPPPPGWFKINFDASVYNDGSGRASIGGAIRDCVGRIVLAFAERTEHSTVGIVEARAMIRGLRLALELGLHRVVVEGDDLVLAQLLRGEETQTRIPVALQEEIVGLLRCFSGSDVRHVYREGNQVAHTLCRQAYHGGGPAVWVGGRTPLPPAVYQKAEDDRHGVAHERVVDGCG >Dexi4A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:4A:24779379:24781941:1 gene:Dexi4A01G0021080 transcript:Dexi4A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQHDSASAPGSLEGVDGSTSSINKWTKMMSTDSWRWCLGLIYIVAVASIWIAASYIVQSVVDAGVSPFLITYICNSLFVVYIPIIEVARYFEDSVSNFWTKLKCKDAESQEHPADLESVNLLRSGGHEINAASDQDILVPGADIPSQMELSVADYSKGLDAKGRWTRARVAKVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLFSVLLCMGGTIIVSLADSSSTVNAIATNPLLGDFLAIVSAWFYAIYITLIRKKLPDEKEGEGKVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHKLTWEQVGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLNYIGAAAVLIGFAGINIPAGESPQAAQQEQETPIVGMADDPLHLPASRNATDASS >Dexi2A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:2A:31477668:31478427:1 gene:Dexi2A01G0019240 transcript:Dexi2A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWALITHLHALAGPTLTLIYPLYASICAMETTSKLDDEQWLAYWIIYSFITLFEMAAQNVLYWIPLWYEAKLLLVAWLVLPQFRGASFIYDKLVREQLRKHGVRLHGHGAEHEPHVVNLKVCNLCSRRATP >Dexi3B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:3B:13950273:13951508:1 gene:Dexi3B01G0018740 transcript:Dexi3B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSVLDHLASFNFKLFKNSSKATRDGPPSASASAAFSFAIASLSLSFSPSSDSITRLTFFSTSSAVTISSSYPSTTTPTFISSTTNTLFSLCSAYRGQHSIGTPADDASSTEFHPQCVTNAPVDACRSTSTCGAHIFTTIPLSLVRSRNPSGSSASRSGSGRERKNLLGSSGGVRTTHRKRCPELSSPRAISFSCAADKAPKLPKQRNTTLRSGCVSSHARHACRSPSTAAASWPLTINGPMGYTGGVRRPGTQTPSLRARTARASSHSAGSISAVENRVRHVGRRDRRDAGEAKGRLAHVLEANAVRRGGEVVGEVEERGEVAGAGGVYAGTPADAAASSASTQKRSSTSAAATEGRERRKAARAGTVRRSASSIWRKGSGSGAG >Dexi4B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:4B:21585395:21591024:-1 gene:Dexi4B01G0019330 transcript:Dexi4B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGERITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPKKLGVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISYIAPAGQYSIQDTVLELEFQGIKKQFTMLQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRNGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSQALESFYEKVDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAAGADGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEDALVAKFKKLYDDLAAGFRNLEDEVR >Dexi8A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:8A:5835944:5841776:-1 gene:Dexi8A01G0005940 transcript:Dexi8A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVCAPHGPAMFPAAPAASLAGARVAARLWWRPAAAVRHGVAARASSFVSRIGLDSQNFHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAMLGCMLALLNRGRNEVLSGRSGVASAFQGSEDSTSDRIPPLALFRGDMKRCCESMQVALASYLAPSEPRGVDVWRKLQRLKNACYDAGFPRADGSPCPTLFANWFPVYYSTASDDSGTEELEVAFWRGGKVSEEGLAWLLEKGFKTIVDLREEDVKDDLYLSAVQEAVSCGKIEVVNLPVEIGTAPSAEQFEGFKQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVDSAHLELKIPDDARSNAWVSFDGKRRQQLSRGDSVHISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >Dexi3B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:3B:6536215:6536910:-1 gene:Dexi3B01G0009450 transcript:Dexi3B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCKSAIDCVDPRAPVRASYVSLYKWPESDAEFVKSVAMARRQGVGSGSGQENPGAASASYYYSNYNGSASMRHRGGGGGGYSGELAAGYCSPRVVDSYSCRQMYLRSYTFSKKKETMPERTMACLGRVRERAAVFPFLPQRGGGGGSAAASDVGSVGSASRDREDVELRDRKASRRSRSRRKKKKQRKRCAMVRRLQEASCGAVRAIFRPLLACTTTVDVADGAQTAR >Dexi2A01G0023300.1:cds pep primary_assembly:Fonio_CM05836:2A:35033511:35033960:-1 gene:Dexi2A01G0023300 transcript:Dexi2A01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTVAVPCLVGVFGARGIAADKRNVYMPNSPTASGTYRPAYAAGARKRPCITGHAPLEQGEAVGVGRIPGDFVLLAGCFPAEQPGCLTRVLRLMAIEIK >Dexi6A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:6A:13081847:13085259:1 gene:Dexi6A01G0010630 transcript:Dexi6A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLWSAYLLADSTAIYTLGHLSVDSRSDEHELVAFWAPFLLMHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYISRSGTLLLLASISMFVAGLLKYGERIWALKGRGWEWSLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGNRLATKLGFQDWWNKMHFSGTFSHSDILSIQDIKKLVLQALEDKDRALRSKSTDSNSRGSFILKSMEAYEDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRASFGDKDNPVAPSPISWNPCRMFRELFHHDSPSCSRIPRREKLAQMAWSFSQFALGSVRAPDPHGDSIRDSANMYAILLANELLSIELRWKEQRDPLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVSRRTEDAAHLNIAQPILFHPLLISQKKIPPLFFLRGRLTLLSSSTDPTSPSSGFKWRATAPPPAASVLALPDEIWEDIFLRLDAAADLVRASVACTQFLL >Dexi9A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:9A:10790090:10790733:-1 gene:Dexi9A01G0015870 transcript:Dexi9A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELRLGLPGTVDDMKATPPSTPRGKKRTTAADPVDDSAAAADEATKQRDAEAAPPAAKAPVVGWPPVRSYRKSCFQSSSSKPRSKPATKEEAAPISSTAAAPSPAANATAGGSFVKVSMDGAPYLRKVDLRMYKGYRELREALEAMFVSSNNGANLSEFAVTYEDKDGDLMLVGDVPFE >Dexi3A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:3A:3871102:3873590:1 gene:Dexi3A01G0005970 transcript:Dexi3A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEEVPNKRVVLKRYVTGFPTEDAMEVVTGTARLAVPPGSSAMVVKNLYVSCDPYMRGRMTKHDRPSYVPDFVVGEALVNFGVCKVVASGHPDFKVGDLVWGMTGWEEYTLVPKPESFSKINHPELPLSYYTGVLGMPGLTAWAGFFEVGKPKKGDYVFVSAASGAVGQIVGQLAKLTGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEQDLDAALRRYFPEGIDIYFENVGGPTLEAVLNNMRNHGRIPVCGMISQYNLEQPEGVHNLFQVVAKRVRMEGFMVFDYFSKYYQFEEEMAGYLKEGKISYVEDIADGLEKAPAALIGLFTGRNIGKQLVAVARE >Dexi1B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:1B:19669000:19669329:-1 gene:Dexi1B01G0013660 transcript:Dexi1B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVSVSKGVMDALLGKLTNLMAGNGANLIGVPKEIFFLRDELRTINALLEKLENTDELDPLAKDWRNQVNEVGYDIEDCMDDFMHTVGSVDSTVGFVNKVSLTFSRL >Dexi7B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:7B:23359359:23363008:-1 gene:Dexi7B01G0017390 transcript:Dexi7B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLLRDLLVADGFKNRRSRPPDGSAPPTTRSSTMLHRRPGKPVRSQSDVVTRSRLREMNGERDNSGGGGRDAGDERRAATATRMSSASQTSARTFSNKAGSDSSGGLGARKGAASASGVPALDESALTALISLAAGAMKRFVKDEAFRASLRAGCATCLDGGGVSDSDSDQRAVLDLRVHAQTVERAAREGLDPRDLKRASLKLHELASALDADAKDVPYRRGVVAACAHVYMSAVSKLQKRDHSAAVHALEAFCLAPREARTLLLPSLWDRLFRSSLSHLRAWRELELSAASSGDERAKEVERTFVDVVDRGTRALACYYRDWLLGRTDAMALPDVPAPPSTVVRAGAARGSASTSYEISSDVVFSSGSGSSSPAKFLYDETMQKSEEEDEVDAMAADGDSVFRECDAGGARSYNPALQEEESASKPGSKLSNGIIEPQAEDEQNKESYASTSYPAISDVSANDIVTVEFREAPLQSDTDANHFPIFDNVPSDFLCPLTRQIFNNPVTIETGQTFERHAIVQWLDRGFRTCPVTGQELLSSTIPDTNRVLKRLIDGWKSEHCKNLVSGSNGLEHKLTTKVIEKVFNSAEDMSEKLDKARHLMAIGGIDFLLHKFQEGGGDEQQRVAEHLLFCIKAEGSCRNYVEPNRNYTYREEAAKIITHSLRCSMSDDNVVPSTRRALLLLAGYFTFSGDLLAEDWMLKQAGFVDASRTSPISSDIAVQDKEVAETEARLRHATGALLGSSGVRRPFLEALSRCLGSPDADLVGACLTTAGWLSRSLAASLDGATDTDADTSLAAFSALVPRLKQCLAPGRPARHRVLATVSLHNFSKIPDCRELLVLLADGLRDHLAELAGLTWTAGRLSAELHERH >Dexi8A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:8A:30635632:30638509:-1 gene:Dexi8A01G0018310 transcript:Dexi8A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSPAYHSPPRRGHGGRGRSPPPRRGYGGGGGGGGGGRGGRGDQGSVSLLVRNIPLRCRPEELRAPFERFGPVRDVYLPRDYHTGEPRGFGFVEFVDAYDASEAQYHMNRHMFSGREITVVLAADTRKRPEEMRRRTGPRGYSDHEGRRSSRRGNLRRSRSRSYSRSRSPRPRGRGRSRSYSPAPRRRDDYSASPPRAKEEHRRSSKQPKEIDGDKKRRSYTPEDMNDRRGADNGHDERRKSPAAAEEDEEPRRGRRRSPHPASVSPPGSRSRSASRASSG >Dexi1B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:1B:6907007:6910090:-1 gene:Dexi1B01G0008260 transcript:Dexi1B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNVAILFLLVLVTHLAAGDPTSTTTLTATPVTLTKSDHAITLQWSNLPSPSPLDYVAIYSPPSSGDLDYLGFLFLNASASWATGSGSLTLQHLPDLRAPYQFRLFRWPPGEPSKNPRVDQDGDPLPDASRRAAVSANVAYRGSGARPAQLHLAFTDEADKMRVLFVCGDDGRRLVRYGIAGLREEQWEESPTETRTYERRHMCGYPANDTVGWRHPGFIFDGIMKGLQPGRRYSYKVGSDSEGWSETYSFISRDTEANETVAFLFGDLGTYVPYNTYFRTPHESLSTVKWILRDLEALGDKPALISHIGDISYAKGYAWLWDHFFEQIEPIAANNPYHVCIGNHEYDWPSQPWKPSWAANIYNGMDGGGECGIPYSIKFKMPGNSSFPTGTGAPDTRNLYYSFDAGVVHFVYMSTETDFTQDSDQHNFLKADLERVNRSRTPFIVFQGHRPMYTSSNETKDTVHREQMIQHLEPLFVKHNVTLALWGHIHRYERFCPMKNYQCLNTSSRFVYPGAPAHVVIGMAGQDYQPSWEPRTDHPNVPIFPQPQRSMYRGGEFGYTKLVATREKLTLTYIGNHDGQVHDKVEIFSMQVDNDSSAPVKLVSAVPRGTKYVEIAGCAVLALLLGFVAGFGVRKKTDSARWTPVKNEES >Dexi5A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:5A:10970101:10971180:-1 gene:Dexi5A01G0014140 transcript:Dexi5A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQLRRHPNPQCLLPRLCRPAPARLLIRLSSEPLRFSSTSASSSQAPPPLHGPSLRRGRAPPDHPDPFARAFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVARLPNDHGLLPPGLSPQSPTPHHPAVGVPGAPAPPLTAVARREKLAREFNARGFLRFPNLARLSRPSPAARKRKEMKGDGGDEEATREHDRDKTYVVEVIGEGTNEEEDDKWKALVGEEGFGRGLWRMGPTRLLLLDESYAKRSVHGLPEAVKPNGH >Dexi9B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:9B:4497450:4498362:1 gene:Dexi9B01G0007360 transcript:Dexi9B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVLWFQFRDFHSYSFIVLTSLFKFTSAHLQVGGDREEAQDFTMPMEQVFRHCDKDTLKMAMLRHEETFRQQVHELHRLYRIQKLLMRDLNRELKSQRNLSTSPNGGSTEHSRGGALAMRAAYEHRYAAARCPGGYIAVATPTPRTALNFDVVAPAAVEYVRSAAEEEEDDEAEEETDDDAELELTLAVGGGGAKKRYGGEYPSGGESLSSSSTESDVLTASGREWCQARGTPYQKRRPGAGMEVVQVEDGVGMQAQPPLLFHWLSLKMA >Dexi3B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:3B:214983:217046:1 gene:Dexi3B01G0000260 transcript:Dexi3B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKNLPQLVDFTPSTEEGRLCKDSRNCLHKRNTRKQLSWLQNLLKAS >Dexi9A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:9A:470639:471049:1 gene:Dexi9A01G0000900 transcript:Dexi9A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLRRRRGGRYLAYVARAPHAFQTTALRSGSRGERKQQQLPELHRRARARGREEVVAEEIAGLPRARRGNPLLLRGESPAPAPRQGPPSLAPYSRGGRDLLPPSEPYFPCRQSREEAAREPRSPAEGGGSIEL >Dexi9B01G0035880.1:cds pep primary_assembly:Fonio_CM05836:9B:37473186:37473844:1 gene:Dexi9B01G0035880 transcript:Dexi9B01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEARSRSTSRINDWGGAVTGSRAPAAGSRAESTIGEALSRLPPSPIVSERRLEDAALAAGHRPDEARRRGAERRGAEVRSLRASANGGGGEERRGGAPERALGGGSLQVPRRWAADGRRRDAGLADCVRRPGRWEAARRVGVRREKL >Dexi3A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:3A:2491515:2494100:1 gene:Dexi3A01G0003720 transcript:Dexi3A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPLPAAPAPSSGGSGGAPRGHHRRAHSETFLRFPDADLLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPPPPQATPSPAPAPRPPGGSHTRSLSLDAAFFEGLALQGPACSGGGGGGHKRSGSMDGATSPFEGESALSTGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQENMNGNLFNGGLQQQIPSYFVQQQPQQQQQMSYFGSHQTQHHNQNHHHQSPSNGGQSLSGQSLNDSMDFV >Dexi5B01G0032030.1:cds pep primary_assembly:Fonio_CM05836:5B:32664157:32667197:-1 gene:Dexi5B01G0032030 transcript:Dexi5B01G0032030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRSTQSKWLDGNIQEMETRVQAMIKLIEIDADTFAKKAELYFKNRPELVKLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPKGITGINIGRGTSPAPKRAQTHRRISSQMSKDKAQEAIERLQKEILVLQTEKEFFKSSYESSLNKYLDIERKATEMQEEVWSLQETFSTSAVIEDNEARALMAARALISCEDTLVNLQGQQKRSSQQARTEFERVIEAKKKLKSFKNECGHPDNQKELIDHQYILTSSAHPSTEDNDHIPQDRRLELQEISQKVKQRFESCSEASVVHLAGKVDEFVDKVIALEIAASSQNAQINRMRAEADELHKRLDSLEEEKAALVGDSSKLSERLKQVEEVLHTIQRIEKSVHSENGNIHKQLTEACSSLTDFVEKLDAPLSEEILDPSEDSEGVASQEDADKPGTLSESFHAGSGTTGKSVDEVSLDSFDISNDTQEEEADGTLEWQRLVLNGLEGKDKILLKDYASILQNYKDTKKQISEIEKKNREYHLEAMSEMKELKSANATKDDEIRSLRRMLRSLQTKLNTSQLQCAEKSGESSKADTNSSLEDKEIAAIEEYMTNCEDEEPDVSSLEGKFREEISRVLEESLDFWLRFSTSYRYIQKFQKTFDKAKAELDRLTDTNTQEGLDPGSTSQTARKQESAALEKIFRDLSTDLQVWLEKNVLLQGELESRLSVLCNIQEEISKVTTLDQTNGAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLEHVRGLQLEIGRALLKLRDNIELSIGRSNRAQHNLRSLSVKAGVPLRTFLFGSKPKKPSIFSCMPAMPRPVSDMRPGLFR >Dexi5A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:5A:2048828:2049616:1 gene:Dexi5A01G0002830 transcript:Dexi5A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLTSDTSSTSSYVSSPSTSSTTSSHGGGGGASNSKKRPRAARDEPAGGGADTVPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPHLAAALPRPASLAPRDVQAAAARAALMPQQHQSASHGTGGAASSSSSAEAAPAAGAAAAAAAAEEEDAQRGLGDHHHDEPETETTEASGDQEEREPAAQGVEGAELVFDELAPLWVEDVVEFGPCDHTWTPYDGLDAVAFQSPLLWEY >Dexi3B01G0033870.1:cds pep primary_assembly:Fonio_CM05836:3B:36663019:36663476:1 gene:Dexi3B01G0033870 transcript:Dexi3B01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAQAGGGGDGEAVAGELSELGILLGHHLGELRAIIKAIVAGVDVGAHGVEHGAGGSPVAGGEGLLGLVEAAMSSNDSTQSWSGPLAIGCVLGSFANAAAEAFASPAFPLTAPIAIRSTPAPSRRFPPGSCGCGCFASEEEQRSG >Dexi3A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:3A:8527118:8527931:1 gene:Dexi3A01G0011820 transcript:Dexi3A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVRGMGSLLKQEQEDALPSAALAALAAGGPDVPVVLALLLADVALLLANVALLLANIATVPGNVAVRRRHADEDVAGEEPSSDGEGEGEEQRRSGRERGYSDSDGSDGYGGEEDDEQQAREEEEQSGSEREEDEPERPQRRDLPEEEEDEELPLPLPQPHGEVEEEQRSEREREQQQRDEPPQADDSVAAAVDGNLAPPPPPPRPSSPAPPSSRSESSVAVGEMTVDRTDALDCGICFLPLKPPIFQVMPSLKSEL >Dexi1B01G0029180.1:cds pep primary_assembly:Fonio_CM05836:1B:33545816:33546238:-1 gene:Dexi1B01G0029180 transcript:Dexi1B01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGYGASFLDARGKLVARSYDILGFYSSFVFQKPDPDAIPPIVTPDLHDAGNAHEEEWLRQQQVSADDGS >Dexi9B01G0044020.1:cds pep primary_assembly:Fonio_CM05836:9B:43878947:43881629:1 gene:Dexi9B01G0044020 transcript:Dexi9B01G0044020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRDLVVASLSAAAGAVAAAAALRFLSSSCRTSSVRSENQSLATNGSAAERRPRQSPFDPTKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKESANGDPLETKFPYVVHAEVNAILNTNHASVSGQKLYVTMFPCNECAKIIIQSGISEVIYFIEKRTGKSAHAYAASHRLLSMAGVKVRKHQPQIAQIPIRFQES >Dexi3B01G0036300.1:cds pep primary_assembly:Fonio_CM05836:3B:39129589:39134654:1 gene:Dexi3B01G0036300 transcript:Dexi3B01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAVAALHSPPTAAAAAAPPSRMLRVGAAGPAPRSSSFSFPREAFGWASRLQRRRGRRRAAAAAAQPKKKMARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGKQLWDLIEGLEENELLHYTHLLTVCDPVLGDEGKLYVPQELISLYQQKVVITSALIEGKLLLIGSHKKTKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQALLKRTVEDYKKAGFDPSTSSLEIRLIQSQDNIRNPVVTCKAVKYSN >Dexi3A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:3A:6619893:6621885:-1 gene:Dexi3A01G0009480 transcript:Dexi3A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARRNRHRPVSLGITSLPHHRESRKGQAKAKANDFATATASSVRPCPYTVSHLTSLHLHRAAACLTCPLPSATSSSKPPPLRRRPACSRSADKATTGVSHRAQAEEEEEASAASSTGGGAVRPFPAGLAQLTDPAPARAEMEHAAAFMEMVGKQVDPGAPGFWRDFLVGMLKPLAATAVVAMAVALSFSQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKNALWILLAYLFMVTIAGYTAGQRAKQVPRGKYIACVSILIGTSITMFLLVVLNVFPFTPRYIIPVAGMLVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDSAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMQMGASTVSSILSTYLCWPAFFTKAYQLEEKVFAD >Dexi3A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:3A:15570559:15571531:1 gene:Dexi3A01G0019680 transcript:Dexi3A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVDGVCIHHKSSKNCTGLFNRFYSTYGHVAKLAEEIKKGAASVEGVEVKIWQVPETLPEEVLGKMGAPPKPDAPIITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTALTAVTQLSHHGMVFVPVGYTFGAKMFDMESVHGGSPYGAGTFAGDGSRWPTEVELEHAFHQGKYFAGIAKKLKGGSA >Dexi9B01G0032650.1:cds pep primary_assembly:Fonio_CM05836:9B:34821558:34822639:-1 gene:Dexi9B01G0032650 transcript:Dexi9B01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSDSLPSSPSLPTTAAALDGGADQDLFLPSSSSSPASLYLDSSFHGLLPTSSSAAMSSSPSPPQPPPPLPPAPPAAAKPAKKRPRASRRRPTTVLTTDTSNFRAMVQEFTGFPAPPFAPAPPPAVRPRLLGLGGASPPSFLMRPSPLKYPGVLLPPTTTTTLANINNTSGSSNNNNNFTGSTTSSLVDALALFAKSNAMPGGAAAATAAATSGGSGAATDHHYHGIGMGGFNPFDDFDAPAAAAEGDSGSSGGGGHGFFSSFAGGDKYGRH >Dexi6A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:6A:2187229:2188732:-1 gene:Dexi6A01G0002300 transcript:Dexi6A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLARPVRLYSPSSAVRRRSSSSSAASASHATSPATAAADEVTFSRRAARILAASAKSPRSAAAWASATSSAASTRWRSFLTSVDLVHVLVMSFHGNILLGRHVSVAAANGDETAADVVADVTAIVFVVLVPRRVEEEEEPPLLVGVELADADADALIVVDVDDSVDVVAAPAFFDDLGSLIETGTDVGLGHLRRRAFAGDEGFHGELGGGLAVLRHAREGEDTADDVGEGDDAEAVAGAEAVDDELHGALRLLQLRRHARADVEHRDEVERRAASLLVADHRGCLDCWAARRPMPPPKTKGRLALMLIVNAAME >Dexi3A01G0032740.1:cds pep primary_assembly:Fonio_CM05836:3A:37592376:37593395:-1 gene:Dexi3A01G0032740 transcript:Dexi3A01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVRKDMATAVTVADLIMEQTRVWDVDKLKENMSVMDVEDVLKIKLSSQPMEDLNAWAFERNGVYLVCSAYKLLKDIQMSEADHVEGGTTSSEGLGQWWRHLWKMKIPPKKEERTLGRETPRWKPPDAGYLKLNTDGAFDGTTFKGRTRSVLRNPTGGLICTMARWYENLEDVLMVEALAIRDGLMMAKDTGARRIVVESDNISV >Dexi2B01G0031390.1:cds pep primary_assembly:Fonio_CM05836:2B:39571023:39571473:-1 gene:Dexi2B01G0031390 transcript:Dexi2B01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASAPSDGWSERRRTRKRKRSAAAREEAGGDSASASARSAAHIGEVEREVGVRGGGGRWGRGERAERRSEGGCEGVGRSGSGCGGEVAQEWRWGCGGGAGGRRREEAAEEVVAAPHFGAAQIVGV >Dexi3B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:3B:11447298:11451797:-1 gene:Dexi3B01G0015800 transcript:Dexi3B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHNHFKDHKFSPISFSPDTENQQFVMSYNKTTERKPDDRIEMTTCTVEPSMDFVIDSRNMSHGPATNHVSRIDNDTIASDDNDRNPSSASVSARSPENDFLADQKQQSPSWTPISGSVSANENHKNYDSHSTRNTVTPDYAFLQVSDIDAQAQSIKVQPLLRQQPKLLNKKESAAKESINLVNHCHTPTSVAHTTSSSNIPHADKKADVNPTSASAAVAMKEAMDFAEARLKAAKELLERKGDSFKLQKKSSHHRSSRSTEIKAPVCVEEDTSKQKLSVKKSSEEEKNPDGSLSDKHTKLSAVRFDHSDGNGKRELPLEKPQQMMPHSAESCQTSSKLEKLRKWKSGNDFFELTGDDQKCKTDGTIREDDKRERMDHITSVINDHSETVLSTADSDLERYEKLWEVNDGRNLGVKHVDLREGKTAPVDKDRVSVILEASTENMAPQKTHNSVGIKASCDTECLELPSVSDASAKLDVLKDMPDSLPEACSSGNACDLRDCGDSIPKVSPVAGASQDSKLELEVPCSGGMQCASGGNEKLQEPSDANVDIFQGSNIKSLILEELQESDVCDAFVRPRLSRIDQQAETYGREKFSFIDGSLLHNKGAKINEVLSEEVERVEIEEKVSPCTHPEETVVDLDAECLEDENDVILQNNNLADREESNMLNVFEVASKLIKRELDQELHGSLGHQVDHMEEGTDGLISDVSDKETDETPLENSDMTCSEEGPAPCNQDDQKSPESTNRGHSDVHAKFDRNSNEFSFSGDEGIIKAASDSSSRATINLKDDPASSSEMYTHMQHSVRKDDSATSQTSCSAPGLGEIREVSNRREGELPTERSTHEETSRANKMKEKDATTNISKAKQRPYPLETTHGPTKSAEAPMPVSADTWKKEALGVQRAKERENMTRVDSATDKDKASSRKAQETEENEKRLEKQRELAEEKERQKLEEERERERKKDRLAVERATREAHERAFAEAREKAEKMALERITAARQRASAEAREKEERASAEAAAERATREARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYRSSFKEGLKSNQDIRQESQFQRATSSNFTRNPDSGNRAVVEVESALRHKARLERHQRTTERVSKALAEKNRRDMLAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAVAVKKAYRKAALCVHPDKVQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >Dexi1B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:1B:24664288:24666560:-1 gene:Dexi1B01G0018470 transcript:Dexi1B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAAASAVDLLRSQIPFQTDGELVLPPRGVGLVLVDLCNGFCTVGAGNLAPVAPNKQVEKMVAEASRLSKLFCDRNWPIFAFLDTHYPDKPEPPYPPHCIIGTGEENFVPDLEWLEKEPNVTIKRKSCIDGYISCIEKDGSSIFSDWVGKYQIKTVLVLGICTDICVLDFASSTLAARNIDRVPPLQDVVIYSEGCATYDLPVEVATNIKGALAHPQDLMHHIGLYMAKGRGAKVVDRVVVEP >Dexi2B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:2B:29145955:29149219:-1 gene:Dexi2B01G0018920 transcript:Dexi2B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPRKRKGARGACSLAAGSLHEAPPAAAARKRTCREPKPRPEKKNKKPCSAAAAAAAASSASAGRGGGVVMTAPPASGRAAPDSPGRGLKRKLGCIDSATRMGRKKRLESEYELGEEIGQGKFGSVRICRAKAGGEEVACKALPKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDADKFYLVMELCAGGRLLDEIAREGNFSEQRAAIVIKDLMAVLKYCHEMGVVHRDIKPENILLTKAGKMKLADFGLAARVTNGQKLSGVAGSPAYVAPEVLSGNYSENVDIWGAGVLLHVLLLGSLPFQGGSLDAVFESIKTVELDFSGSQWASISGLGKDLIGRMLNRDVSSRITADEVLSHPWVLFYTECPLKVVTANLCLTNKIVAPRIAWDKLRPECESVSDSSQRSEDQDECGIVDALTAAITHVRISEPKRSRLCSPAIIIQQECSSNLKSNLCTAF >Dexi3B01G0036570.1:cds pep primary_assembly:Fonio_CM05836:3B:39442078:39442981:-1 gene:Dexi3B01G0036570 transcript:Dexi3B01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMRLTLPTAACQPTSTSSCGRHVGGPMLQIFSVKLAKLPARAPASTAGPIKLYGFMAIRDLMDPRRNYVFNRSRDDPFVIPDRHSDPFVYLPGPKRGVNMQARVLFEYHVSMIQGDEDDLPVIDGVPERTCIDEPVAHRVGGNGGGGGGAMDISWARLTRAMEATVEVQIQELQPWHGRGIDLTVTGFLPKITGGEEIKLFRGLVDKPCALNRAWVCH >Dexi5B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:5B:5009947:5017939:1 gene:Dexi5B01G0007440 transcript:Dexi5B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPARAHILLACAPLQGHVNPLLVLGRRLASRGLLVTFSTIPHAGLKFTHADGETTTDIAGRGMLHFEHLQGGGLWPPHDPRYVASGGDTLLRHLEDAAPAALAGLIRRQSDAGRPVAVVVASAFVPWARRVAAGEGVRHALLWTESCSVLSLFYHFFHSLARTFPSDTAAPVVGVPGMPAMAAGDLPVLIHAPEQFIWRQVLVAELNSLRDDATASSWLLWLDVRPPRSVVFVAFGSLVKLEPDEVTELVAGLAATGRPFLLVVRDDDDNRAAIAGDSGSKGKVVAWCSQGRALASGVPVVTFPAWADQPTNAKFLESVYGVGVRLPRPMARGAVSRCVEEVMSGPEAAVEGGG >Dexi2B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:2B:30173114:30176576:1 gene:Dexi2B01G0020020 transcript:Dexi2B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLFFLHDSSFLHNLQSLNRVEAKAAVVTMQSSRFWGQGDSESEEEEEEVESEQGSDSEDDGGRGAGGRGTQNRYLKTQEDDSDESDSGHRVIRSLRDKRNDEMRSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVVRVNESTEIPKMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQIQKCREHPESFEDEAADDIDDDTDDDEDSGAEIEDPLEVGSDSEADDKEDPDNDNTGPWTTVNKKDKMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVKVWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYNGTIHVTGDLVAFLERLDTEFFKTLQCTDPYTKDYVQRLREEPQFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQPEDSVEEGDAETGDEPQAMDDNRGPPPFVVIPEIVPRKPTFPDSGRTLMDGLMSLIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLINEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQVTLISLCTLIVCNLNHNTEHSVGKNERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLEVSERQTFVGPPENVRDHVMAATRALNKGDHQKAFSVVSSLEIWKLLRNREHVLEMLKLKIKEEALRTYLFSYSSCYESLSLNQLTTMFDLSEQHAHSIVSKMMMHEELHASWDQPTKCIVFHSVDQTRLQGLLFHMADKLSVLVESNERAYEAKTGGTLEGLPPRRRGEGQDSSNLGRWQENFVQGRQGGGNRSGYAGRGGGSGQGGGYQRDRGNQGSRGGYSGGGSRFQDVRGRNQSGSSARGGDGGARMVSLNRTGRV >Dexi6A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:6A:3763222:3765243:1 gene:Dexi6A01G0004080 transcript:Dexi6A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFCVLIQVLNGLLGRDDFEVAIRLPIGIIPTGSDNSLVWTILGIKDPVSAAIALAKGGFTPIDVFAVKWIQAGVTHFGLTASYYGFVADVLQLSENFRLQLGPFRYVAAGILKFLSLPQYKFEVEYLPLSPGTNPELKQQTEKCYEQLSDGGKVSWVTRKGEFLGIFVCNHFCKPARGLLSPVIAPKAQHDDGSLDLILVHGSGRLKLFCFFIAYQFCWHLLLPYVEYVKVKHVKIRPAGNTHNGCGVDGELLCGEGKAEWQCSLLPAQGRLLGRHPDALE >Dexi8B01G0014500.1:cds pep primary_assembly:Fonio_CM05836:8B:25111914:25112216:-1 gene:Dexi8B01G0014500 transcript:Dexi8B01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCQDINECENPEESGCFGKCTNTPGHFDCRCPHGTHGNHTIPNGCRTNTGQLIIFHAVSNTSPS >Dexi2A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:2A:14663603:14663860:1 gene:Dexi2A01G0012630 transcript:Dexi2A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAAEVELQNSTRGGRRRRGGGQTMEREAAMADRAMGGGGARGPAEMGWSCSRPSASTGRERAGDCWAAERNPGGEIRRQRRL >Dexi9B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:9B:5133521:5133895:1 gene:Dexi9B01G0008370 transcript:Dexi9B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKASTSSEGSEILRRKKEMMGAISNTVKKLLAATGKTKRMKVVKRRVPQYLIDYMIRTPNPVLDEIPEHELAKRSQAFRDTYAGDKDINDKIRAYFLALLDQYKAQGYAEDESEVTDDDEEN >Dexi6A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:6A:4871498:4876824:1 gene:Dexi6A01G0005420 transcript:Dexi6A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRTGGGRDVPSQRRDHVVNQQNQAPPPPPPQPAMDPAMQQFLATQMQLIQQLTQTVANLQAQQNQQPPPPPEPVQPPRDHHRQFLSQHPPTYCHSADPLDADDWLKNVAKKLNIAQCTDREKVLYASGRLEGPAADWWDAYINAHNAPDTITWQQFQDVFRTHHIPAGVDRQATLVLATILHKVTRIAQEVMVDITNRDRRYNILVNSIRIVLAMAPRQCGSTIQLRQYHPMVALNAVMWTPQTQRSDGQRSAQPSSQQGNKGQQNFARGRVNHVTAESAQEANDVVLGTFLLSPSIRAGGAIAASPHCPPLAPPPLSRHCNSNSQPQIPYPKNTGALPPFRTWPRSSLTQHQLPSILLLPVHTPQRRQGTRTVQTPSQPAATTSLQLRTNLLGQATITDSTTHLGPFPHGHHRRLRFAVSSTSTANQ >Dexi3A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:3A:1773188:1775104:1 gene:Dexi3A01G0002630 transcript:Dexi3A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDTLKVQTCVLKVNIHCDGCEKKVRKILHKIDGVYQSSVDAEQGKVTVYGEMDPNTVIKKLNRAGKPAAMWGAKPGVLSQVQKQKQKLDGGGGKGKQPPKDGGGKRQQPPKDGGGKSQPKGGGGAGGGNGAGGGGGSAKDAKMAMPMPQGMTPQQLQQLQQLQQQMKGMKLDPRFMAMGGGKMPFPAAAAAPPAKDPKTVKFDVPEDDEFGDDGSELDDDFDDFDDEDYEDDGLDDDMYDDPRMMMKPVAMAPPAGGGDKKGNANGGKKGAGGGGNEIPVQIKGNANNGGKDSGAKQNHGGGGKNGGGAQPPQNGKGGGAHGGGNHPPQAKKGGGGAGGLPAGGVGGPMMGGMPPQQQAGMMMRPPPNMMGGAGFPGMGQMGGGPMGGMPMGHPHMGAHGMQPGGGSAAVHGLPATGGMMPGFYPGGGAGSGGMPSGAEIMQAAGNPMAAHQQQQQQQFMSMMPPQQQPQQMMMMNGHHGHHGHHVHGGGGGYPAMGYGYGYGRPAMPYPGTYYPQPHPHDNMFSDENPNSSCSVM >Dexi7B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:7B:17380905:17381826:1 gene:Dexi7B01G0009950 transcript:Dexi7B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRATDEIWDEIIEEMPRARKYQNKSFPLLESLEVLFDGPIPEGQNPPPNMIENVDEGGNNMSTTPDMSARPIGAINANFDETWNNIGLLQRTSLVPQGIDDLNGLHNHTEEVLERQQRGADRRPQRADEQAQSSSCVEPQRDRRRKRKAPDKATDIQQIIEGYLNFKMKQARMKEQKAKEADQFTISNCIKAMNTMTDVSDEIKVLASDVFKDAENREIFISYEPRVRALWLKREVGRLLT >Dexi7B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:7B:11011503:11011795:-1 gene:Dexi7B01G0004510 transcript:Dexi7B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIRAIFPFLVLALHGIQLAVASGLLRSQESNGTVVPSVANLAGCKTACGDLTFNYPFGIGPRCSRGPDFELTCNESTQPPTLLLRCL >Dexi5A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:5A:943168:946677:1 gene:Dexi5A01G0001390 transcript:Dexi5A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKAHRPTQQQRDLDLAQAQKVLQEHSAQLERLGPTGGGFGGGRRASRLPPLPFQFQLERRSPIPHPPGHRTPAAFAGAAAAAAIHVAGIVHLAIIQRRASSGSINLGKPRPLCRFHFLNGSVPCSRNAMLCHPATDCPRVPRPQPTPRPNGLLSMFRPPNAFGAPPRPPQPPPWQWQQQPPPPQPPPAAFSFWHRDNVRDHVKKLQETIEVSTALINELEEIAASKNPSDATAQESDSSSAKLPSGSGASSEDRQFHFVELARSMGVSQDAHEAMAIDAANYICHQLHHLLAPISSAISQSGPWEERSAMVRLTQKLQKAKRNKLWRKRKRKHVAELFQKGHADYEKIDQEADDWRAKQIAKDIAKRKVENMKQIARKKANEERKRLESELELALMVEKLQELRSIRVQRMKKQGHFLPEEDDKYLERVKAAVEEEERQAATAARTDAVKDAILTAEESRKAPQYENPLEDGSEQFRSKPTEDNIQGDVGISEKNDQASQKTEHKVHKVEGKGHEHHDPISNLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRPGGSRIPGHWVQAPPPSDEVWASYLVQPK >Dexi3A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:3A:13371885:13374505:-1 gene:Dexi3A01G0017550 transcript:Dexi3A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSQLDDKIVHGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILKLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFMVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLEPSPNVTNEAAFTPDGQYDLVMEPCMLGTSIQYKRLLVGTVTLVL >Dexi5B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:5B:806639:806851:-1 gene:Dexi5B01G0001270 transcript:Dexi5B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTTTPQPVCAQESLALLNCVAAVPYDRDRCLALVDALRDCITQKVLLTSLLFASPSLLKELSVLDTT >Dexi1B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:1B:25003202:25003783:-1 gene:Dexi1B01G0018860 transcript:Dexi1B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVGHQSLLLPRRENQDSSNSSPRNSSVMDGGGVVVHPHTFVLCVCSAHADAGAAASHAGVGNWGLVPIQEPADGCTDAEEGAAASSPGRTTPAAGQEDESVDPFFQGKLAPGRARWEDVWIAVRMSDVDLVRTLIERKLNSRVYGCRVIG >Dexi9A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:9A:5483786:5486623:1 gene:Dexi9A01G0009180 transcript:Dexi9A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGAAAAAAGGVGALMKGFSAAHDGAVTVSLGPSGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLSGKFAFILYDTLSKSTFVATDADGSIPFFWGVDSEDHLVFSDDAGLLKTGCGNSFAPFPKGCFYTTSGGLQSFEHPLHEVKPVPRVDSQGQMCGSTFKVDSEAKKKQDASIPRVGSAADWSNQF >Dexi9A01G0023550.1:cds pep primary_assembly:Fonio_CM05836:9A:18952621:18960078:1 gene:Dexi9A01G0023550 transcript:Dexi9A01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHAAAVVLLCALTFLLLARASPTAVPSAAEAGALLRWKSTLTPPPPSSTTNPRPLSSWSSASSVCASWAGVTCGADGRVAEVALPGAGLAGALAALDLAAFPALRELNLSGNSLAGAIPATLSVLASLVSLDLSNNVLTGGVPGDALAALPALRVLALRNNSLGGAVPASVGRMRTLERLDLQAAGLVSTLPPEMGGMASLTFLDLSSNNISGGLPPSFVGMRNMREIYLSRNKLSGTIPPEIFKNWPDLTLFYLHYNSFTGAIPPEIGEAKKLRKLSLLNNNLTGVIPAEIGGLGSLQMLHLGQNCLTGQIPHSLGNLTQLVILVLSFNNLTGNIPAEIGSLTALQDLDLNNNQLEGELPATLSLLKDLIYLSLSSNNFVGAVPEFASQKLVSAGLSGRQDRIQPCLPSLPSSLSRRVTASNHGGGPYRLSATVTLHAPPPPPMASLLRLQALSPALNFPARRGFLSPLRLASGASAPLGRRLSTAASTSSPDPPASEADLESGLYLVATPIGNLEDITLRALRVLKCANVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILRRLHEGEAVALISDAGTPGISDPGMELHARSRRDRLEISAREAATQIFYVPPHGIHQFLVDAASSFGDSRSCVIAREITKLHEEFWRGTLGEANEAFATRQPKGEVTVLIEGQLISVDETPSEDFLEHELRELIAKGHTLSAR >Dexi4B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:4B:22414979:22415365:1 gene:Dexi4B01G0020200 transcript:Dexi4B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVKICNMAMMISMLGVSEAFALVLNLGIEGGTLTDIFNCTSTRCWSSDTYNPVPGVMEGVLSSRNYNVVVLPPN >Dexi7B01G0021140.1:cds pep primary_assembly:Fonio_CM05836:7B:26282242:26288831:1 gene:Dexi7B01G0021140 transcript:Dexi7B01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHPRAVAAPALRGRPPASPRPRPRPRRRRVAPPLAAASGSVAVSSDEDAFTRCSGYLFEEGAATESELPTAYDIAGIAAVYRRRPLLVLRRSLQIGTSFGRWFALRYLDRVNERADDMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTQDAFNIIEKELGLPLDMIFSEISPEPVAAASLGQVYQARLRANGKVVAVKVQRPGVQAAISLDIYILRFLASLARKAAKLNTDLPAVLDEWASSLFRVTFPYIGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKGEVTKALTGVFENAVNRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTGSSPKLRSTLEALLYKSVRARTEQSLVRNQQEDVDSTRYAIKQVLSFTLTDQGAFVKDLLLQEIAKGIDALGVATLSSATSAAASRLPFAGGPSSLTSLDDEDVTNLRNLYRLLLLLSKVSQKDNSSPIPGNNSAIENEGDSTDELSLALYEMVSLPEFLPVLSVIPELPPESQQQLLLLPTDLANRILSRVVARTIRRMFI >Dexi9B01G0035650.1:cds pep primary_assembly:Fonio_CM05836:9B:37242847:37247493:1 gene:Dexi9B01G0035650 transcript:Dexi9B01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAGESSALSQPRPEETPDSGNAGGGADRIGAVPRGSVPGSAVRFLIGSIVFCCAVYVTDERPQQEINLPSLNHARSESGDNHEAQMYIEVPYPSHHVFQVSSDKISGRIKDSSDGIHSDPSNTKPIELVLYAVLPPPKIEQPVLPPPKVEQPKPEVKPVQVQHRIVTFFSLNIYCFQDDGKPLRRPRVLNADEVEKAKACQLEFGSYCLWSIEHKEVMRDAIVKKLKDQLFVARSYYPSIAKLKGKEALTRELKQNIQEHERVLSESIVDADLPPFIKKKIERMDQSISKAKSCTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKTSVVINSTVSSCEDTENVVFHVLTDAQNFYAMKHWFARNSYRESFVDVINYEQFGKNDDEASLRAAALPISLLSFQHLLYPLDERLTLSGLGYDYDIKEEVAQSSTSLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNVSP >Dexi7B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:7B:24177689:24178976:-1 gene:Dexi7B01G0018400 transcript:Dexi7B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLHLQHPAVTLQPSALCARVIPPSKPAKLPCRCRFSPTSLLAAESRFPRGLRASAADSPRSDPEGEPEPEPEPEPKRGFWTKWMVGSAEARARVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPVIDKGLKGMQEKLNLPTQMYAFALVVGSVAAVCFTIVGILILSKWGK >Dexi3A01G0032050.1:cds pep primary_assembly:Fonio_CM05836:3A:36711522:36713800:1 gene:Dexi3A01G0032050 transcript:Dexi3A01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLGAARERREQLQRREEEEAKGAGGGGAADRKGPGAGAGGGGGGGMKFRVSARAPHGVGALLLIGGAAVVGAAVLAWRSSRRGKKGAERQQGRRQPEKDAVLDGGVVEDGKAEDGTLMQKLDQSNEKLSTVNTDIGSGRLDGKATEESHQIHKDNEIAAAQLDSKLEEKTDQNSAKNLIEANMEDTDKEHVENIGHNSNTNHVEITTHDILSCQDNEHTDNFGHVERIDHNSSRDPVKIVTPEVITVCLVPGKVEKVDEDSSKNNIENEIAQKDNKDESKLSISRPGIIFSKNNDENDGVQEAESMENTPTAQLMMHQELLDDMVTDTVAETEEGKQDEGTRTITDESQLEQDEKKALAGLVELVSSPAVSSLVKPAEKKATELQGLNERGMKIEQDYTNGELRNHGLVNKGVQGGAIATMDRRSPALAILALIFAMTIGITIIVRLYAPTRATKLQMDL >Dexi7A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:7A:3398746:3398972:-1 gene:Dexi7A01G0001310 transcript:Dexi7A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMPQWADQPTTAKYVESAWGTGLRMRKGLVKREEVERCIREEAGVQVECCSMDGEGQRSHAGRRELR >Dexi6A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:6A:27066509:27067959:1 gene:Dexi6A01G0019420 transcript:Dexi6A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLLPCSFEEIFDRYWDLANTVNDLNIEARDSRIDCNIQKEQSPGGSLPDQLNIIAQWAMEANVDEMSMAEIRSLEDTLTDALAAIRVIVILHCRYFF >Dexi5B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:5B:4616018:4617836:-1 gene:Dexi5B01G0006890 transcript:Dexi5B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTMQLFEAPLDGKEVSLRYSSALVQGATNVFWIDIQTNTRHFLSLYHYLLQDVALVPDRLTIISSQAGRDLFLLLSRFMFFYDQDHLLSSFLEHFPTFPNYFLVGGPADYFVIELTDQLQKLKIEPVLLHYLSRMSVLQGLELRLSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFVMTCAMTIYYYILNLLVSSWENLRRPSHRRAHRE >Dexi3A01G0033200.1:cds pep primary_assembly:Fonio_CM05836:3A:37983034:37989714:1 gene:Dexi3A01G0033200 transcript:Dexi3A01G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWRTLMFKKKTPEKDQKKISSPASTPSSSRLLRSRSIHHSKCFDYEVPDDLATHYHAMNNSSSNEMGSCHSEPPPLSHESPQHSSNQETFRSCGSIGDKNTTDVESHFVTAPETPTAESEPPSVQKNRDAATHHSKEFMDFLELFNAHRELFLKILHDPSLLQTSEQQGQEASSSSDVPLNRLESFPRPGGSSGKRNPIFDRSDSEKNKRLELQSSLSRPNADQEAAKVISTKMPSGVDGSAVSLAESRSLKKAGTTSNRFKAISRKIKDVVKENRKELARITKDGVFHRLPYGQRMSGLTRSHSTEKFVQEEKQIRRSYSIAETVDKYSTLYESISRDSKVSPERLRITMGNNASIKHKKPPLGFKRITSLPEMRLCSAHQGGLTEVSDAGIGPKTCNVESDCFSSRRTDMINIYEEGNFYPDDATERSRNIHSEANYGEVDFVGSLEENFRSILRSPSLSSLSQSFTHRRINSLPSFDRSFFQDHTASFTEHSVADSEPPLENLQLEDEDWLVKPPESGAYAADFKDDEWLVTPLKHSGVLNGIGHADQEWLVKASQLSGANGADLEDEEWLVKPGQPITTDALDSDFRYIHEFAEQDAAEPLHIYVSDKNEADFQYVKDILKKSGFSCGDVDWYASNQPVSPVVFEEAECSCQELSMASDEPQSIVRRMLLFDLINEILLDIYDSSLVIGPWHSRFDLRTRPIPMGSHVLEEVWMKVSCFLSLHWREGQTVEDIVAHDLTRKDNWMNLVYDAECTALDMEDLMVEDLLDDVVIQIVLESIDE >Dexi5A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:5A:291081:296787:-1 gene:Dexi5A01G0000430 transcript:Dexi5A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPPTSAPGDACAVSADAWPFDSLTTSLLFSSVSASPPLPSLPANSSSWLTPPSPLWLFEDRHLLPLEAPQAPEAAVAAAVVEEVQRARSNAPEAAVAAAVVEEVQRARSNGNSDTTSKRVEQINHKWHFNLSLDEDGTDNTSLFKERLTQALRYFKDSTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSIDGENVGELGLPGRVYKLKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSAQSCIAVVELIMTSKKINYACEVDKAVNLRSTEILDHPNVQICNEGRQTALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGERGQGVSGKAFITRKPCFSKDIRKFCPLPIPVGPSSDSLNVEKVAQSKVKEHLNLTVDGDRDSSLQKSLDNDGHFGVLMAQQGFIDNNNDRQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANGTFVCKPIASTFTEPQLNPEEFHKEPFQEPQLPLSRMLIEDSGSSKDLKNLFTPAADQPFLAPPSNLASVKHSGTVTIKASFKDDIVRFRFPCSGSVTVLKDEVAKRLRMDVGTFDIKYLDDDHEWVKLACNADLEECMEISRNSGSHVIRLLVSDIAAHLGSSCGSSG >DexiUA01G0025090.1:cds pep primary_assembly:Fonio_CM05836:UA:52612336:52613361:1 gene:DexiUA01G0025090 transcript:DexiUA01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQPIKEWKPKSTKKSPTTDADNSVADAVSPSASNTENANAPDVHALSDELSHANLHEVEHVIIPEHLRVPEYEQTKLRFGSFMSGFDSEQVPASTSLDSEEPEHVQDPVQQVSEDDSLGAGHDDVDEQARSSQHLSTSTAEISLPPSEDSDRMSGQVENDDGLGLVQSDTPIGAADEESTQMTPNLTAFSVS >Dexi9B01G0032800.1:cds pep primary_assembly:Fonio_CM05836:9B:34991829:34992709:1 gene:Dexi9B01G0032800 transcript:Dexi9B01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPEIILICSRHPITKWAQRSDRVFLTIELPDAKDVKLNLKPEGQFNFSAKGSDDLSYEFDLELFDSVNVEESKAAVAPRTICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGFNDFNDMDFSSNCIQRHSEGYSAE >Dexi2B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:2B:4917684:4918971:1 gene:Dexi2B01G0005270 transcript:Dexi2B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSPLRLLPLLLLLAAGSFAAANAKVTLSSSTAAAGGGGGPAPVPTPWPEQFHAVVFTNLTESGGKLQLIDLYYDWPKGRNLNLIQNQLSGDPTYDVEWTNGTSYYFDSGTCRTVRFPQHVMSFEVGGVLEDSKWQAPAYCFNGDNADTANVASDGVDVMNSSLIRFAGAPAAAIAASFDGP >Dexi9A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:9A:2322046:2323149:-1 gene:Dexi9A01G0004330 transcript:Dexi9A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQMMPAAVDVAGLRKARGSGVGTTTSLFAGDWRRWKAPCRTSCSVRALRQGRRSSRGGFGIACNLAGQYEDSFEDVQLQLMNYFTYKAVRTVLTQLYEMNPPSYRWFYNFVAVNKPTDGKMFLRALSKERQELAERVMITRLHLYGKWIKKCDHGKMYDKISDENLALMRERLMETVIWPTDDTNTEKIG >Dexi9B01G0025960.1:cds pep primary_assembly:Fonio_CM05836:9B:27578926:27579354:-1 gene:Dexi9B01G0025960 transcript:Dexi9B01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASVPDLNLYRSLHLTAEDKKKSGTRGENSENKNGDGRCRAQDAPACSEQRRRSSRRRHARSRKRDPATEQHRAAPAWRGRERMGNDDDDRLQLPGGGVVEEGHGELQLPGSGVLEEGHEEPRLTSLAAAWWRKVATI >Dexi9B01G0043990.1:cds pep primary_assembly:Fonio_CM05836:9B:43862939:43865055:1 gene:Dexi9B01G0043990 transcript:Dexi9B01G0043990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSPKPIRCKAAVCRAAGEPLTIEEIVVDPPKAYEVRVKIVCTSLCHTDITVWQAKVAPVFPRILGHEAYGVVESVGEHVEGLAVGEAVVPALLGQCDQCPSCVSEDNNLCTAVPVSLAPVMRRDGTTTRFRDAQGNPVHDLFAVSSFSEYTVVDVNQVVKLDPSVPPQIACLLSCGAGTGVGAAWKLAKVEPGSSVAIFGLGSVGLAVAQGAKMCGASKIIGVDLNPDKEKISKTFGVTDFLNPSQLGTRSINEVIGEMTCGGVDCSFECVGVPSLVTDAFRSTKMGNGKTIVLGLGNDTDELRVPALELLFGKRIIGSALGGIKPKTDIPILAAKCMSKELELEGLVTHELGLQEINTAFELLLQGKSLRCIIWMGK >Dexi1B01G0028120.1:cds pep primary_assembly:Fonio_CM05836:1B:32720322:32721114:-1 gene:Dexi1B01G0028120 transcript:Dexi1B01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPETEKSGGGKKFKGVRKRKWGKWVSEIRLPNSREHIWLGSYDAPEKAARAFDAAFVCLRGPGAAGADLNFPDSPPPCRAGGRCSSDPQEVQAAALSHANRAAVVITAQQAAAALMDADEGSSELLLPWGGPAADDAMAAGADEVVAPVRADGSIDWRPVMAHPPPLFSPTGWGSSNAYDFLQVPPPPDEDMEDYAADCGSFKRWAMAVAAH >Dexi6B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:6B:25376381:25376844:1 gene:Dexi6B01G0018410 transcript:Dexi6B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDPAAGASYSRLSFRRAVCPSPLRLPLSRAPPPGRLRVSSTVVALHKRNPKRLKYAAERQFTRGDAGMLRVKVEPTGEDFWKLDPVIDLINQGAVGVIPTDTVFV >Dexi5A01G0038260.1:cds pep primary_assembly:Fonio_CM05836:5A:39295008:39295385:-1 gene:Dexi5A01G0038260 transcript:Dexi5A01G0038260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAPKWRRLLPTAPRLQPPTAHAAGRRRVGPPRVPPGPRRSWSHLHARRPAGVCFMALFRSAASLSASAAATDDASLEPFCSTCAARPRRGAQRSEAEGPRAPLLARRWQGFVVSAAARGAT >Dexi5B01G0028830.1:cds pep primary_assembly:Fonio_CM05836:5B:30122386:30124443:-1 gene:Dexi5B01G0028830 transcript:Dexi5B01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGGSGEDAAAALRGFLREATGGCAVVDGGLATELEAHGADLQDALWSAKCLATAPHLIRKVHLDYLEAGANIITSASYQATIEGFQSRGFTEDESKALLRRSEARAVFVEGDRRWQSRPPVVLVAASVGSYGACLADGSDGDYGKSITKEALKNFHRRRLQVLADAGPDLIAFETIPNKLEARAYTELLEENDIRIPAWFSFTSKDGVNAASGDPIT >Dexi4B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:4B:256950:265275:-1 gene:Dexi4B01G0000420 transcript:Dexi4B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFDDTTEDFFDNLVNSDDDDDRPVAAAAKAEAEAEAEAGDLAALTLSDPKPDDHPTPAPLPEDPKNHPTTEAVPDPGPASAPPGPAPLPVSHPSPRQPHPATDAVAEPEPSSAPPPPPAAAAPALKQAQWNDFGADPFGDLPPGEAFFGDTSAEDQGAHASILGASSASEPDRSFSAGADANAAGAAGLPDYSFYGGTDSNANSHLDSITAAAAGYGDHQSTDAQFESADPRYLESLYPGWKYNDATQQWYQVDTLSVQQITAETSAVALLGTDHVQQQQQQLSASYLQNNSLAEAADEPTETEATPQPKPVTEDDDQPVPAPHEEPAHPEVGPAVAHPEAGTQAVGSAVLHPQTKTQVQETATNAAAGLPDYSFYGGTDSNANSQLDSTTGAVGYGDYQSTDTQLESADPRYLESLYPGWKYDDATQQWYQVDTVNVQQITTETNDAVAVLGSDNVEHHHQHQKLSASGLQNNSHAEAVDGPTETEVAPQPKPVTEANDQPAPAPHKEVEHEPMPAHPQVGPVVVHPEPSTEVAGSAVLHAQTKSEVQETTTDADAAAMVPEGGSPGSEKGTHTAVKQVQWNDFGASTSAGEADLFGDLLPDGAEDGFFGAPVPGNQGVQASVLGTNNVTALDRSFSAGVGNSAAVSAGVVDYSFYGRADNNANSHFDSSATAAGYGDQSANAQLDSTDPKYLESLYPGWKYDAATQQWYQVDTPSAQSYAAADSTGAVAVMGSDSVQQHQQQFNSLYLQNTSHTALETIAEESSANATSWGAAPTEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQSIVQAATSPSALDGFTGAGHSAAHHAEDSYASTYSQSQWQPNSLANTMQPNVSGGNSLLGSSYSSNQQAENHIGQQVNAESSQPSINYKPHIDTFVPSTGQYTVKEGNHASYEGFKGNQSWYNGSEHSTNQEVGHRGFATSTDFQTGHKEFQPPNGHQVGHMAYEPSTRVGYGNSNGPQDFIPNESMYKTQIHADSSAHTHVLNNYWSNQTAMDFGQQQSQQFGFTPHEQRSSAGRPPHAVVTFGFGGKLVVLKEISSMTANFDSGNQGIDGPDMAVTNLFSSCKSSANVNGYGVHCMRNLPSESQIQATAQEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNPQYILLPFQPYKLVYAYMLAEVGKVSDSLRYCQASLKVLKASGRTPELEAWKQLFSTLEERIRTHQQGGYATNLAAGKIVGKIFTSLDKSLSRMMGTQSAPMPPLPQGTANERDVNSPPDTKVVNSQSVMSMSPLMSSASEQSMSKMAGNSGPGREVAHNRSISEPDFGRTPQKQAAGSSKAQSTSGSGSSRFGWFVQKTVGLVSKSRRQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPLPPPPTKPSFQNSIPESNLNGPPVSGGYTANGFAEARTLNPSEPSSGMPPMPPTQNQFSARGRMGVRSRYVDTFNKGGGGGGSANAFGAATMYSKQAAPSVNPLSGAKFFVPTPAAAAAEQMSDAAADAHSDTAQQDEPSSSSGLEAAFSSSAPPVPMQSTIQRYPSGDNIQRYPSMDNIMGPSDSAGNSMSRSRASSWSGTYPEQQLGSAAVSRSPAGRSPMMPGAKRAPHSRSSSNSSLHQLNGLGEDLHEVEL >Dexi2A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:2A:8565810:8566526:-1 gene:Dexi2A01G0008400 transcript:Dexi2A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPPGLTPGDDSTADRITALPVELRARIASLLHYWQVVQLSVLSQAWRHIHHHTPVVKINLYDFYLGEPDPVLGRSRRERGARCWRNWTSPAPGSSMLVSTSAFRSSDGRCQVPPFGEITIDAPELLELDVNCGAPGSTADYKSFTLRAPRLHFLFWCNQFAERVAIDVGRPGSVKVGAIVLMTVYTRELKDYQEQMMRMLEGLLPDVPPESIADVSK >Dexi2B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:2B:5086981:5087862:1 gene:Dexi2B01G0005520 transcript:Dexi2B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPLSPLPDELVESIILLRIPPDDPRGLIRAAVTCKHWHRVVSSPSFRRGFRDLHRTPPMLGVLRNYLVRGIPTVMFCPFCISSRGAFVEKENSLYFVLETTPRILFYNLSTLELTVIDSPPMMNDRAWPMRNDRLALMTGEGGGLGCATVDGSNINSQLYLSARNVDPDGH >Dexi9A01G0025170.1:cds pep primary_assembly:Fonio_CM05836:9A:23053723:23064394:-1 gene:Dexi9A01G0025170 transcript:Dexi9A01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDQINGILNGMPNLRHLSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFIEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALNEKGIIFLGPPSTAMSALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPESCHSIPEEIYKDACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVATPETVKELEQAARRLAKCVQYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLYNIPEIRRFYGMDHGGGYHDWRKISAVATKFDLDKAQSARPKGHCVAVRVTSEDPDDGFKPTSGRVESGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRMNGSEIETEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLVPASGVIHFVMPEGQAMQANDLIARLDLDDPSSVRRAEPFHGSFPKLGPPTAISGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNPDFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFNDNLQSDVIERLRLQHAKDLEKVVHIVFSHQLALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERLSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVSGSIRMQWHRAGLIALWEFSEEHLKQRNGHDVLVQQVENPIEKRWGVMVVIKSLQFLATAIDAALKETSQYRAGVVSVSNGNHVNSNQSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDNTITSQLNGAAVKVVSCIIQRDEGRPPMRHSFLWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYTEVKYTPSRDRQWHIYTVIKNKKDQRLNDQRMFLRTIVRQPSATNGFLSGNIDNEVGRTQASSFTSNSILRSLMGALEEIELHAHSETVRSGYSHMYLCLLREQQLHELIPFSRSMADEIDQDEATACTFLKHMALHLYEHVGVRMHRLSVCQWEVKLWLVCDGQASSAWRIVVTNVTGHTCTVDIYREVEDPSTHQLIYHSATATAGPLHGIALNEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKRSWRSSNYGVTEANEHNQLYAQVKELIFADSVGAWGTPLVLAERPPGINDIGIVAWNMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLAATAGARLGVAEEIKACFHVGWSDDESPERGFHYIYLTEEDYSRLSSSVIAHELKLENGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHQTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPERPVTYFPENACDARAAICGIQDGEGKWLGGMFDKESFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTVMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPMGGELRGGAWVVVDSKINPDHIEMYAERTAKGNVLEPEGLVEIKFRPKELEDCMLRLDPELIGLNARLKELKKQNASNSETDTIRKSMTVRMKQLMPIYTQVATRFAELHDTSSRMAAKGVIGKVVDWEESRAFFYRRLRRRVAEDALAKEVKEAAGDQLSHKSALESIKKWYLASKGTEGDNEKWNDDESFFAWKNDPKNYENYLDELKAERVSKWFSHLAESSDVKALPNGLSLLLNKMNPSKREQVIDGLRQLLG >Dexi3A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:3A:13931826:13932191:-1 gene:Dexi3A01G0018240 transcript:Dexi3A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPVPQIVVCRWASETHTSSPRLPRSAAARRSSKPAGHGLRTHLVAGRLPLPTTPPDAAVDPTQRITEGRKVVGKLPSPSRKVSCTDGNRSQPRLDDESGGGGWRTDEQRRSSRSIPGL >Dexi4B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:4B:963515:964539:-1 gene:Dexi4B01G0001620 transcript:Dexi4B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSDSPPAINASADMADPSIPPFPLLDDDDEGTRHEQQEEEEDPVPHGGVLELPTVDLESPGEALPAACRRLGVFRLANHGVPGDLTAKLFARARNLLGRTPFSDKQAQPGYFWATPALAGLRVKDVSWVEGFHVPLARPIIAGPPPSDELAALEDLARECSTPCRRSLDADDTAAYLAEHDGFLRVYRYTPCPEEGHLGMEAHTDSSVLSVIGQEDLVGGLQVLHDGAWRDVAPGAPGTLLVNLGDMARAISGDAWRSVRHRVAASRGAGARLSLCYFAFPRDVAVIACDGSRYRPFTHAEFREQVKADIKATGSKIGLERFLRH >Dexi3A01G0022710.1:cds pep primary_assembly:Fonio_CM05836:3A:18321592:18325522:-1 gene:Dexi3A01G0022710 transcript:Dexi3A01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRVYEAWKGNNKFLFGGRLIFGPDAKSLILSVSLIVVPVLVFCAFVARHLRHHFAAYNAGYAIPVVALLFMIYVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGMLVKVKSDSRPNIYNQGCLNNFLEVFCSKTKPSKHKFRAYAQGEVRPPTVSFGRDVEEEPVGGPRSKVEDDLEIGSDLLKISQRRNYADVEMGGRLSNEMEVIGGAKFVTGSEPHIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFAAEAAHPSQAETQ >Dexi3B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:3B:14441743:14444012:1 gene:Dexi3B01G0019430 transcript:Dexi3B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKELNSSADQQLDAQVQLWHHTFGYVRSMALKAALDLQIPDAIHQHGGSATLPQIVTKVTLHPSKIPCLRRLMRVLTVTGVFNVQHSVDGGELVYVLTQASRLLVGSSLNVSPFLTLMLSTRFVSTFLGLREWFQHEMPDPSPFKMTHGRELWDLNDHDASFGNLFDKGMDADSGFIMDFVVDKCGDVFQGISSLVDVAGGLGGATQVIAKAFPHVECSVLDLSHVVANAPTGTDVKYIVGDMFESIPPANAVFLKKDLRWIV >Dexi8B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:8B:26835858:26840970:1 gene:Dexi8B01G0015980 transcript:Dexi8B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGPSVEEPTQEFVVDVDNVEIRIHTMLEEPATEFKADSRRLKKKIHRYPASFEALGDWYTIPTMVAIGPYHHGRDHLKPAEMVKHVAAYHCIRESGHSIQEVYGAVVSAAHDARQLYDGCDGSVIDQSLASFFVINGHNIFHDIMLLENQIPWGVVQAILRFRPVPLKRIIASFKGFLQDRKDPDDKPLVFYSYYEPPHLLALLRFYVVGRSNSELPTIPKTKSISFSVSAIELAEIGIRLTPSKSTELINMGMTKKGPLFAALSLVPLTLDSTRTSCLVNMAAFEICSVQSFSGAPDEESAVCSYLLLFSMIVDREEDVHELRTKHLLQGGRELTNEEALHFFTKLEKLRIGSIYYRIMKEIENYKVNRPMHTKFNVFVHKNKKAIITVVPIIAALIGHSLQEVYDAVVSAAHVARRLYDNDVMAGIGDHDFLPMMFYDACFLVQYMLWCTPGISETEGSLRSFFDFNRKVLRHDLMMLENQLPWLVVETVMRFRPVQLVDFIADWRDYLQDRKILEEKHVVLDDSYDPPHLLGLLRFYMVGTSNTKVHNRAKLELNSISVSVSAIELAEIGITLTAKEETRELIHMGISKKGILSAKLSLAPLSLDDERASFHINMAALELCTTSNFQEAGDEDSAVCSYLLLLSMLVHREEDVQELRKKHLLQGGAGLINKEALGFFTSLQSLPLRGLCYGCVMVEIERYRLERRMRIMVHTFLYRNKRTILGAFSVIGVLVSILGTLMSLKSKSKI >Dexi9A01G0026660.1:cds pep primary_assembly:Fonio_CM05836:9A:30011719:30012827:1 gene:Dexi9A01G0026660 transcript:Dexi9A01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTINISTASALGLKETLPPYLNKNLTLEELKTGVTFASAGSGYNNATCKTSSTMTIERQLELFTEYKAKVGTIPERALYIVCSGSNDIVEHFTLADGMSSPEYADMMAHRAIALVERLIGEGARQIALTGTPPVGCLPSQRRMAGGVKMQCATDRNQLALMFNRKLSQEAAKLSGRYRGVNIFYVDLYSILADVVQRYQHLGFKNGKDSCCGYVGLAVGPLCNMGSRLCPDPSQYVFWDSYHPTERAYKIMIDEFMRRYLRYIH >Dexi2B01G0035150.1:cds pep primary_assembly:Fonio_CM05836:2B:42241797:42242980:-1 gene:Dexi2B01G0035150 transcript:Dexi2B01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLAVPDPELADEIKAICSSGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYSDGRIPLVCTMYASSESYFGVNLRPLCSPKDVSYTILPNMAYFEFIPLEDGLKLTEEDEVVENDKLVSLVDVKVGCYYELVITTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSVDTDKTNEEDLHNSVTSAKKILEKRNCLLLEYTSCTDTSTLPGHYVLFWEIKSTCEGTTPRAPLDAQLLESCCIAVEQSLDYVYRRCRTHDKSVGPLEIRLVETGAFDALMDLLINQGSSINQYKTPRCIESGPALKLLNSRVTSSFFSPRDPEWTV >Dexi5A01G0027350.1:cds pep primary_assembly:Fonio_CM05836:5A:30833678:30834443:-1 gene:Dexi5A01G0027350 transcript:Dexi5A01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLKHAGDGGGGGDPRGAEALAAVGLGAFAQLALPEHPPEGLGLAAAYDDAAGHISVSLAGATISASRADLAAALELPPGPVGLAAGVSAAAATFSSAEAIAAVSAFVRDRMIRGGGGDVGPAWRDVAAALQLVEEGKGFEVDWGGLVWAVVKEEVVAGTLQRCTPYLLRLMQNQRPELFVEFDERLSPQKRWKGCQWTDGMLLGSEYLDLDLEQEDASLVYGGSQNVGDLEDMPIFGEVKDMRYIR >Dexi9B01G0046570.1:cds pep primary_assembly:Fonio_CM05836:9B:45809788:45814724:-1 gene:Dexi9B01G0046570 transcript:Dexi9B01G0046570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKVLLIGDSAVGKSSLLVSFVSAAHLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAHGIILVYDVTKRESFTNLADVWTKEIELHSTNKDCVKMLVGNKVDKDEDRVVTREEGIAFAQEYGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKQENANQSGSCCQ >Dexi9B01G0025360.1:cds pep primary_assembly:Fonio_CM05836:9B:25567800:25568144:-1 gene:Dexi9B01G0025360 transcript:Dexi9B01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSSTGLLAVSLLLLLAAAAAVSGPPSPQYEALECQNVIHALWRNCKQYVQKKGPKQKPSSHCCRTVQVADAHPSCVCDYLGSPDAKEKISMEKVFYVTNQCGVTVPAGCGE >Dexi5A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:5A:13018575:13025220:-1 gene:Dexi5A01G0015450 transcript:Dexi5A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRVEELRAELQRRGLDDSGNKPALVRRLDAAIRKEEEAAVAAAAANAADGDAIIAADGLVLDGEGNGGGNKKRKRSGDREEEGNGNGSLEVAKLEGMSYRELQGLAKSRGLAANGSKKDVVERLLLAPANSADGVQDDKRAPKGGAEKVEEEVKKEKMVTATKKGAAVLDHHIPDHIKVNYHVLQVGDDIYDATLNQTNVGDNNNKFYIIQVLESDAGGTFMVYNRWGRVGARGQDKLHGPFSTRDQAVYEFEGKFKDKTNNLWSDRKNFKCYAKKYTWLEMDYGENEKETKKTEKKGSITDQIKETKLETRIAQFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISKADRRQLEQLTGEFYTVIPHDFGFRKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLDDDSGDQDDPLYARYKQLHCDFTPLEADSKEYSMIKTYLMNTHARTHSGYTVDIVQIFKVYRHGETERFQKFASTGNRMLLWHGSRLSNWTGILSQGLRIAPPEAPVSGYMFGKGVYFADMFSKSANYCYASEASRSGVLLLCEVALGDMNELLNADYDANNLPKGKLSTKGVGQTAPDLSESKTTDDGMVVPLGKPKQEPSKRGSLLYNEYIVYNVDQIRMRYILHVSFNFKRR >Dexi2A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:2A:8838316:8840141:1 gene:Dexi2A01G0008790 transcript:Dexi2A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAPGGKEAGEGGGGKAEWLIYAFVARGTAVLAEYTEFTGNFPAIATQCLQRLPAGSSAGPGTGGAPARFSYACDRHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGRADTALAKSLNKEYGYVLPIIKQHIQYVLDHSEELDKTLKVQAQVSEVKNIMLDNIEKTLDRGEKLTELQDKTSDLHNQAQVFKKQGVKIRRKTWLQNMKIKLVILGILLLLVLIVWVSVCQGFDCTKHET >DexiUA01G0023360.1:cds pep primary_assembly:Fonio_CM05836:UA:47806834:47813885:1 gene:DexiUA01G0023360 transcript:DexiUA01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAVVGGSKVSTKIGVIESLLAKVDILILGGGMTYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVIADKIVPATAIPDDWMGLDVGPDSSKTFNEVLDTTQTIIWNGPMGVFEFEKFTTGTDAIAKKLAELTTSKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Dexi5A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:5A:22150912:22154460:1 gene:Dexi5A01G0018630 transcript:Dexi5A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGPAAALLRRLAPHVSGGGCGGAIHRRVPPPIASSLLSRFSSAPTTFSSSPPSSSSVAGHDEAAKEEETTEISNGDSGDRLSISVDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGEGGDFITSPEVSQMFGEMIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALNINLVECSPTLQKIQYNTLKCEDQPVGDGKTTVSKLCGAPVCWHASLEQVPSGLPTIVIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSFRFVLSPHPTASLLYLSKRCGWASSEELEKVEQIEVCPKAMELTEQIADRISSDGGGALIIDYGKNGIVSDSLQAIRKHKFVHILDDPGSADLSAYVDFASIRHSALEASEDVSVHGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPEDQTPIGMGTRYLTMAIVNKKQGSPVPFE >Dexi3B01G0031810.1:cds pep primary_assembly:Fonio_CM05836:3B:33855744:33856039:-1 gene:Dexi3B01G0031810 transcript:Dexi3B01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRERGVGAAAASGSASPSSSSGAPVAAASGERWSAAIGNLGELGANVDALQKLLSRKAVFVDEDIFSNASLAADQARTIKN >Dexi1A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:1A:10408395:10409010:-1 gene:Dexi1A01G0011420 transcript:Dexi1A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALDIIDLYCKRLIEHVVKLDKPQESGEELRKAVAGIMFAARWCGDLPELLLDPDLLADKFGNNFATNAKEGTGIVDPMLVWKLSGNRTNIDLKKKVTKDIATENNIWVDFSELQEAVKPNDNGCATNFVMNEDGRS >Dexi5B01G0039040.1:cds pep primary_assembly:Fonio_CM05836:5B:38069791:38074017:1 gene:Dexi5B01G0039040 transcript:Dexi5B01G0039040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGAGQFGDTTFTKVFVGGLAWETHKEGMRAYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAALKACIDPYPVIDGRKANCNLAYLGVNKSKTAPVPPYLQPYAHVYGGGNMRAMKSIIQTDGAGAVGGASLMSFVPADHGIQQGIPTTYNVYAGYSPYFSDYGYPLSYYQAYGGLQGAQQQYAVFGGGATAAGLTMAAANSSAGLYPYFQYSGPASVAAAATGYSMAQYPQLYQYAAAAGVGATTATATLTTVPGGLQQYAGAVAFTPNSIGQAGMTMSMTAPTLPAPTTAQYQFSRLIPSHLAAAPDQKPSLA >Dexi8A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:8A:18266371:18267665:-1 gene:Dexi8A01G0010650 transcript:Dexi8A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFISFMTYLAPLPTFYRVYKSKSTEGFQSVPYVVALFSAMLWIYYALLKSDEFLLITVNSAGCVIETLYVVMYLVYAPKKAKLFTAKILLLLNVGVFGLILLLTMLLSGGDKRVVLVGWVCVGFAVSVFVAPLSIIRQVLRTRSVEFMPFFLSLSLTVSAVVWFLYGLLIKDKYVALPNVIGFTFGVIQMCLYALYRNATPKVIAKDVSEDKEVTVANTVEVPEHVVTISKLGAQAMEVKTTQEVHPVESPSTEEANNKQDDDVPQAEELDTVVMTKGGSNNTEQV >Dexi9A01G0025950.1:cds pep primary_assembly:Fonio_CM05836:9A:27482528:27482758:1 gene:Dexi9A01G0025950 transcript:Dexi9A01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCVFVPPELEEAEADGEELRVRPLIRPGGSGGGRGAGEAAAAVAAAGQDRDRKVGGGTGAGVADGFGLGVKLP >Dexi1A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:1A:7051476:7053449:-1 gene:Dexi1A01G0008940 transcript:Dexi1A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAVLHVWKEWGIQLLILLSFTIQVVLLILADLRRSIDSGVLRACVWSAYLLADSTAIYVLGHLSVTSRSPEHELMGFWAPFLLLHLGGQDNITAYAIEDNRLWLRHLQTLAVQVAAAAYALYESPFLGSGSSLLRAASVLMFGVGVAKYGERVWALKRAGKSPGGNNYRSTERPQALSIHPVREYLKEITGALDTETFLQVAQYLLLVPKDLLLDGAPSTEGLQTDLTDEEVFKVVEMQLSLMHDVFYTKIGVIHGWYGFCIRIISLLATTIALVLFHIFGDQKEVVYSKLDVAVTYVLLIGALVMELTSIFRFVFSSWTCALLITCGTRWHLPARAVLSLRRLVPWKARYWSGSMGQHNMLQLCARSRTSRGSKVARWIGAEDPWNMLVCSWSVPVSEFIEQLMVKQAGKNSHWRVEHPLAARIQELVNKGGMYGDLDWTVEESILVWHIATDIYLYWCRENEQEKATGETEQTRRRADLAKAAEALSNYMLFLLASRPYMLPPPNGRNAYVQMCYALLLSSKKDHLDSLEYSSAQDLAKSLQRLGSELNTGTIELTSTTPVIGRRDSATSMKGAQLGEKLIGQDLQVVGSSSGESDTLELIVEVWLEMLFYVGYRCSAHSHSKQLSSGGELVTIAALLCKYIRAPPELGFK >Dexi9A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:9A:3500953:3503367:-1 gene:Dexi9A01G0006190 transcript:Dexi9A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASTSASGGAATRRASSPARSGVYHRFTQQNLPAWKPAMTPGCVAEINRRYDVECVPNAYRGNKQAYIKDSAISKNCTLEVKLSEQEDLIVWMRTSALPKFRKLYGVIEEDLEVDETINILIRNNYNTYTIDEHLHVNSLCSDPCEVPKAAR >Dexi7B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:7B:2499195:2503440:1 gene:Dexi7B01G0001510 transcript:Dexi7B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSAVDGSQAQCYGGGVTVTVVASCLMGASCGLVDGYVLGIVGCWFGSVEQVDQPNFKRVNARGMAESPLDLWNRWSIQILLLFSLSLHVLLLPLAGIRRRRAPMLLRVPLWLAYHLADTIGIYAIGVLSLSSAPRDHRLMPFWAPFLLLHRGGPDSIAAYALHDNQLWMRHLQVFLVKVLAATYVLYKYLPRDDTFLVLASFLMWVVGIGKYAEKVVAMRSGNMSSIRRSLKNQPLATHHHFHHWDQGLLKKKTIDDEEAHLRRAHFMFHICKRATVDSWLEKDPEHNTLEMLKALRKEDSKGMWAFAEMELSLLYDILYTKAAVIHTWPGYFIRVGSSIAIGASFLLFHFSDKDDDKVDVGITYTLLAGAFLMEVASLLNAIGSSWAYAFLCTTRWSWLRYAALCTGRWDRLRQIAKNINGSIASNRSGRVSAMLGFEEWWNRKHYSAIVGISDGLKQRLFDYVHRLTETGLNSQGVIRKSWGQDALEREDKGLYERIKNGRNLGVEFQEGVIIWHIGTDIFLATRSRDTDDVADLVKDIKTLSNYMMFLLVDHPKMLPGLAQNMLYRRTCQNLSDRCKNHDHPTTGNVWKMLKEILRLRDGPSITEVNHINELATTR >Dexi2A01G0035850.1:cds pep primary_assembly:Fonio_CM05836:2A:45367577:45370481:-1 gene:Dexi2A01G0035850 transcript:Dexi2A01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPAASVASLLPQLWHRRFLPTSLVPRALSSSSPLLTTHQAPRRRSPLSPSTHLAAAAAVSTATAVEAPTTTQYPVYDRLLPCPLQDDPPRIEHLVAREEEVAADFISRSLGLPPLYVEDLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTDPSVLRRRASIKGKTVREAQKTFRVTDPNQLLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVADDYVVLDKPAATSVGGATDNIEESCAVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKVYLALTTAPVSTGIITHYMRPVNRAPRLVSEDHIGKWYLCQMEVLDCKKVPWPSSLIRKAYNVNDCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIIGDSAYMTAAMAAIANPSINPFGRESLSYSSEEEKEAAVEAWIAAHGKEPKSVIGLQASEISWNYEGEHHSYKAGVPWWRQDSVESDLV >Dexi9B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:9B:9783717:9785767:-1 gene:Dexi9B01G0014660 transcript:Dexi9B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAARKKPVSALLPLWLFCCLVCASSAQPYIGVNYGEVADNLPPPEETARLLKSTAISKVRLYGVDAGLIRALAGSNISVVVGVANGDIPSLAADPAAASRWLAANVLPFVPATSISAVAVGNEVLESGDASLAAALLPAMQNLRAAAVAAGGAAAGIRFSTVNTMGVMAQSDPPSTGAFHPDIAPQLQGILGFLSKTSAPFMINPYPWFAYQSDPRPDTLAFCLFQPNAGRVDAGSKIKYTNMFDAQLDAVKSAMVRSGYGNVDVVVAETGWPTKGDAGEPGATVENARAYVSNLVAHLRSGVGTPLMPGKSVDTYLFALYDEDLKPGPTSERSFGLYHTDLTMAYDAGLTSSAPASGGGGAAAPKASGGWCVARDGATDAELQVDLDYACSQVGVDCSAIQPGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRASATLTSDNPKSL >Dexi1A01G0029600.1:cds pep primary_assembly:Fonio_CM05836:1A:35067746:35070800:-1 gene:Dexi1A01G0029600 transcript:Dexi1A01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKFKGEKGDSAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADGQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVEISQLQLGKSVEKQTAHFYSVDITDEEAKMGLVCRVHSSAKSKFKLLYFEPEDNGGLSLALQEDSSKTGKVTSAGMFFLGFPVYRFEQNNSAAAAAKDPDSAFFKRLDGFQPCEVNELKEGTHYFAVYGDNFFKSASYTIEVVCAEPFSAEKERLRSVEAKIIAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNDIHASYTNNPTLQRSSSSSKGKSPPKGSKSEDDPQTVKKEKKSKSPPVDESKSDDEATKNKKEKKPKDRIRRKKWFNIHLKVDKKRPC >Dexi9B01G0036340.1:cds pep primary_assembly:Fonio_CM05836:9B:37879788:37885171:1 gene:Dexi9B01G0036340 transcript:Dexi9B01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAISHLRRGAQRHALLCLSLSRRRFSATAASPIAAAARRLLSTTADTGTSSSGEQYKPPPFDPFRAATLSPSAPPLESPPIGDEPPSSPPPPEEAVASEAAHEQATLACQEVELEGLKAGVEAVKSREESPEEKEAWWLLGRAVVNYCGSAVGTVAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGESDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERALFYSALRCAREMIGVNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPVKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLARRAVEVAEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPEMASILICDEDFELLEGCACSLNKSARTKCSRRAAKSQVLV >Dexi6B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:6B:7776278:7781589:-1 gene:Dexi6B01G0006830 transcript:Dexi6B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGVERSRASMAVSSRGPVWFHKISSRLIALFAVAASYDHQLRFDLLHNILFLPNPNTISSFPHPDHDIFFFLSDPIPIRSVSHGIHRGRRRFDSPLPRVRSPVMATKTQVKSSSTCHPPETTQGARIFDILGYSKHRGMGPTAFIRSAVFNVAGYNWVIFFYPDGFTDESAGGGFDFVSAYLRLLTTNRGKVRASCDLRLVNPTTSKATSVHPTLVTTMREYDPDGDKNKVFNCMCIGRAQLEGTYVKNDRLTMECVTVAAHWVVLAMRSPVFKADLYGPMREVVKEAIVIEDMQPDIFRVMLCFIYTNSMDRNDDLGRDYHCRNCDMVQHLLVAADRVILAARSPVFEVELYGPMTMEMDTICVEVDDMRPGVFRALLHFVYTNSLPVMDDLGDDEMKGLVAAAER >Dexi5B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:5B:19925795:19928980:-1 gene:Dexi5B01G0018030 transcript:Dexi5B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALLVGAELDGLTNLQPSRGCDDPNFPYYLKLKCENCGEITAKSTYVTLSEQVDLPKGHGSAHLVQKGTPLTIEQSQKEEKTCLMVKKLERGGKTRFA >Dexi5A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:5A:11018886:11021650:-1 gene:Dexi5A01G0014170 transcript:Dexi5A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEREEATSSSSSSVALMRQCRICHDEEDDRRSTMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQDFEPGYTVPPKKLPPADVAVTIRESLEVPRLNYEPEEQEDAAALASAGDPEYAECARTAGRSASWCRSVAVTFTIVLLLRHLVTVATVGAANQFAFSLLTVYLLRASGILLPFYVVMRLISVIQQGQRQYRLQLLQEQRRDALRLARLQGREQLQQQHVILVR >Dexi7A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:7A:26470953:26472394:-1 gene:Dexi7A01G0016600 transcript:Dexi7A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSRFLKEEDEEEEQEPEEEEDEDEEASPSEIPFMTAAATGGAAAASPSSSSPPAASAALRSSDGAGASGSGGGDVEVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAGNEKGLLLSFEDRAGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAGDAAARNRLFIDWKRRADSRDPHGRMPRLPLPMAPLASPQLLFFGSAGMPPARASMPPPPPPPLPPMHNIMMVQQPNPAMATAALPPMVLDSVPLVNSPTAAAKRVRLFGVNLDNPQPTGGGGGESSQDTNALSLRMPGWQRLRFLESPQHGAASAAGAESSAASSPSSSSSSKREAHSALDLDL >Dexi4B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:4B:1465010:1468517:-1 gene:Dexi4B01G0002300 transcript:Dexi4B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGPAPGATASAPAERLGFRRLSSVAGRGLRSPAQPRRAPSAAASRRHRVVRAAAVEALEGKAATGELLDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGVEGIANAVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVTTRFEALGWHTIWVKNGNNGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGSKEVEATRQNLGWPYEPFFVPEDVKSRHTAEGAALEAEWNAKFASYEKKYAEDAATLKSIITGEFPAGWADALPKYTPESPGDATRNLSQQCLNALAKVVPGLIGGSADLASSNMTLLKMYGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKVAVENRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDIIVMSTGSELEIAAKAADELRKEGKTVRVVSLVSWELFEDQSDEYKESVLPAAVSARISIEAGSTLGWQKYVGAQGKAIGIDKFGASAPAGRIYQEYGITVESVIAAAKSF >Dexi1A01G0025340.1:cds pep primary_assembly:Fonio_CM05836:1A:31607092:31607667:1 gene:Dexi1A01G0025340 transcript:Dexi1A01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKTRFLPTRPLKDELPTFQGGGPGGGGGMESHLMGLRKRLSSFSGKIQPISSASAEWAFRRSKSAPSLGAAFAASGGSLKQWWQWGVGWLLSKKPGFAGDLEMNEEEIVALGRQSKGSWGHILYKMRSGVRRLVMSTHSLPTTQKQSLPSAAVHHSAAQCKPAAAFAYAQRQSFHHNGHALAH >Dexi2A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:2A:7180319:7185903:-1 gene:Dexi2A01G0007360 transcript:Dexi2A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAPPPPPPSPNPDAPAPPPRVVRAPPQRRAPGPRPWAERRPAVSVDLDRGRCVARAEVDGVRAASLPARHRLRVEGTRWQRDWKVSEAAARVLALPRDDARAVDAVLNCWAGRLARRNFPLLIREITISGSLKHAVHVFRWMKNQENYRARTDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADTYNSLIHVHARAGQWRWAINIMENMQRAAIPPNRITYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIILSAFKNGAQYSKAMAYFEIMKSSNVAPDTFTLNIVIHCLVKAEQLREWKIASEIIEHLDSSLSTISVGTLNHLLNFLGKCGKTESMMKLFYKMVTSCSTVGLSTYTVLLRNLLIVGKWRKYIEVLQWMEDAGVRPTLYMYQSVLPYIWRDNGMDYVILMQEKISMTLL >Dexi4A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:4A:18656853:18658392:1 gene:Dexi4A01G0015610 transcript:Dexi4A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRANGGREISKQNIQRTPASPSFQAKHPTHSCSPVPSFSNELAAAPAILRRMMEHPAVATVKQEEEEVVVVLDADGDTGGRAPPPPPPEPWQTSAALAIPPFLAKTFELVEDPATDGVVSWGAARNSFVVWDPHAFAAGLLPRRFKHANFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQRHLLTNIRRRRGAAGGSTASATSSSATKTGGASGIVGDRESELQRLRRDREALARELTRLRRGQQEACAQLLDMERRVLGSERRQEQCTAFLARAVGNPGFLEALLARRGRAAVEAAGGRKRRLLDAAPDAAAEDVLAFEEMALAAGAEVDALAAPPLIGAAAASESSGCAATATDMIWYELLGEEQVEIDAEVEELVAAAAAADVVAEPWEEMGNEEVEELVQQIGSCLGSPSP >Dexi3A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:3A:5197164:5199251:-1 gene:Dexi3A01G0007510 transcript:Dexi3A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVDLGSVEKEFRGSCNLSNTVGKLPVRGHVTPTARTTAPCRPSLTPELSDRGASVAGGQSFLSPREVGAIRCGEGTWHPAVLEITLQDAGQRPARARGNAWAPPEFLTVRVGAENAGHHLRGGAHQCNACARAGAHQCIARTYGLGGQQHLPPVSAAAACTSRAPATKLRSTDTEALRRRWSVSPSVLLRLPRWTSTPRRDGEPPMAGADAEEVSRTERAMVEYKKDDASRRRPGAPAAAGCTTACMLAVSPDSGGRAYRADLSSRRSGPGSGSEDTRRTAARVPAHRVFR >Dexi6B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:6B:19540161:19542696:1 gene:Dexi6B01G0011980 transcript:Dexi6B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWMEVGLGLGLGLGLGLGLGLGRWSSSGPKHHRLNFLLSHTVSAVRVLSGCAPSARPGSPAAGEAPSAHPVRTPTPGHPLLLLSLALVFAVCAFLRCNAARNPSSPVRLSVGRGGVGFPGVGQQGREYFNGPACEAIHLTDIHSSIECDNFIPATDFSVFQPFSRALRATLGIHLPPLFVLENN >Dexi2B01G0024420.1:cds pep primary_assembly:Fonio_CM05836:2B:33868872:33873126:-1 gene:Dexi2B01G0024420 transcript:Dexi2B01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKASADGENGRVRFGLSSMQGWRTTMEDAGQRGWRELTELGDKGNKISGMLEGIIWSPKGGDSDDLGDGWNTEEAYNLSTDHKPDLEGEKERILSAGGFVVAGRFKKPLSSVATASTKQSSATAEEMRPK >Dexi7B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:7B:12761937:12764739:-1 gene:Dexi7B01G0005330 transcript:Dexi7B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCPYFAAEETTRGIRPGESPAAALRRILASPGAHQAPGCFDALGARLVEQAGFPIAFMSGFCVSAARLGLPDVGLISYGEMVDQGRKINESVSIPVLGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQMSPKACGHTEGRKVVSREEAVMHIKAAVDARNESGSDLVIVARTDARQGISFDEALWRAKAFADAGADVLLADALASVEEMKAFCKICPHLPKMVNMFEGGKTPLLSPAELEKIGYSLVIYSISLVGVSMRAMKFSINEYNRLSDMQDALISIKDGGVPPPSIMPSFQEIKDTLGFNRYYKEEKQYQLVSYDIHFPLLSLQ >Dexi3A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:3A:12418981:12426722:1 gene:Dexi3A01G0016500 transcript:Dexi3A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHDEDTYRVDRKRKYNEEAKIAREVEAHEKRIRKELEKQDLLNRKREEQMRRETERHDRERRKEEERLMRERQKEEERFQREQRREHKRMEKYMQKQSIRAEKLRQKEELRREKEAARQKAANERATARRIARESMELMEDERLELLELAFRTKGLPSMLSLDSDTLQQLDSFRGMLGQFPPDSVKLKVPFSVKPWAASEDNIGNLLMVWKFFITFADVLGLPSFTLDEFVQALHDYDSRFLGELHVALLKSIIKDIEDVARTPSVALGVSSSNPGGGHPQIVEGAYAWGFNILSWQRHLNFLTWPEILRQFGLCAGFGPQLKKRNAETVHYRDENEGRNGADVISTLRNGSAAVNAAALMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKTPYRKDPADSEALLSAAREKIRVFQNALSECEEVEKDVDEAERDEDSECDDAEDDADGDDMNIEDKDSKSPLVAQDGSPITVVGDIKKESNSVVNTLVPQSIQTKSSGSDSLHTLDSKASTSTDPAVGDDGKDTEIDESNQGSSWVQGLAEGDYSDLSVDERINALVALIGVATEGNSIRAILEERLEAASALKKQMWAEAQLDKRRIKEDYTNKTHCLYDLLHCVLQASVPAEALQAFWTDGYRKSWGVKLYSASSAEEVLQMLTMMEGAIKRDYLSSNFETTTELLNSNTQDTNQSSVAHSGSATVLPWVPDTTAAVALRLLDLDSSISYSFRPKAASNREREAGDFTNLPPRYPAIKNKQEIEQFGAIGFDQQDGVLLTNNNGRRGRGRGSRGGGRGGRSRSRGGRVPRGIGSSSRIQFRDDNVSYEKGPRKIANNTRGRGRGRGRGRGRGLRTVRPRQPAELGTRSIPKANLLGSFSMLSKTSRCGAVHSPESSGAEEWALERREYVEDDVNNSVSQYDESDENEEIGEPMNEEYDDEHVSGYPRENSESSPLQMMDEGSEDNDEDAEGDDGEDGEDYEAEDPVGDEDDDVEMAVDDEIGDDDVDDDDGGDGAANDDEDEGGTSYSSDYSE >Dexi9A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:9A:398712:399215:1 gene:Dexi9A01G0000720 transcript:Dexi9A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKPGTLERACGVEAGTTEKLRRRTPRREIADRSLAVAMVSDRSKGTTLGFCGGEKWRRCGVGNWMKTTRSQTGPSLSGPISVGFMGITQIFPTPPPALRTAISLAGTLDAAIAAAAGTIPLRSFSSFKSKPSVYSPVNPVP >Dexi9B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:9B:8314549:8316443:1 gene:Dexi9B01G0012400 transcript:Dexi9B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSRALPKWRSQLQNKSLVSIDDGGTHDGIKTIPIQKVCEFTTNAVLCVCIITWNMNGKMSVEDITKLVRSNRKFDLLVVGLQEAPNCDVSEVMQETMADTHILLGQKNMQSLQMLLFGSKSSEKYIRGFGGMIGRKKGAVAMYINFSGIRMVFVSCHLAAHEHKVEKRNSEFQHISRSLFSKYGKPYAQSADITVWLGDLNYRLEGISSAPARRMIEENRQSKLRGKDQLLQEAEKGEVFNGYYEGTLSFKPTYKYDIGSSIYDTSHKIRVPSWTDRILFKVDHSSGLDAVLSSYESLDCVRSSDHKPVKAHLCLKVRSGDD >Dexi5A01G0029600.1:cds pep primary_assembly:Fonio_CM05836:5A:32647437:32647796:-1 gene:Dexi5A01G0029600 transcript:Dexi5A01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSAPQRAVESWADDGEWEEEASSEEGDHHHHDHERREEHASEVTIRITKRQLHELMEKTGSGHGLQLPAALGGGRRSTEQLLADIMNSGEVHVHDHREEHWHWRPALQSIPEAVES >Dexi4B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:4B:20977149:20981001:-1 gene:Dexi4B01G0018620 transcript:Dexi4B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPHRRRLLLLPLLVAAAALWGPLPAGAGEATCKAWLVQSIPTDMPQLRRVPGVLSTGDVLQWLSGNATKSLDILAQYWQFLAQPNNPKSGDYGFTDSDMKRFGADEGRRVYKALENAADRRPNVQNATLLFENWWGSGVVHAKVWISDKKDVSPIPLSVDIPYTVGYPELANPEMIDVPFETPGSKKSTQEHYLSYLSFAPPEVTFDKFQADEQGWVETIKSVKVGGIVRMNTMDWLGQSQYATETVYWPALSSAISEVVFSKNATVRLLVAYWTHFIPNTEKYLKSLLYSNILCASSKYNHCGGKVEIKYYIVPGYNNTGPALSQGGAATGNLYPDFTRVNHGKYAVSDVRANISTSNLIWDYFYTTAGVSFGTYNPSIVSQLQDIFDADWYSPYTVPVKPLESSV >DexiUA01G0000330.1:cds pep primary_assembly:Fonio_CM05836:UA:1833405:1833797:1 gene:DexiUA01G0000330 transcript:DexiUA01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASKAKATTIILFLAAVICCQAQKDRPGACSLSDLQVSVVKTGKVVEGQPEYRVTITNQCSCPQMSVRVRCDGLPSVEPVNEGMIRTEDGGVCLLNDGMPIPTGSPVVFTFAWKTAPDFQPTMAVPRC >Dexi5B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:5B:7493308:7494351:1 gene:Dexi5B01G0010580 transcript:Dexi5B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFLCSSSACSPALEKNSRQLRLTSQINHHEHEALGGYGRSPAELELPGFVPQRLSQVEVVAPFVGTSLFVVFSIWLISGRCSRGRVSKADRLAMCWWAFIGVTHIVFEGPLVFTPDFVSKENPNYFDDLFKEFSKGDSRYAARNTSVLAYEAILVGLIGPAALFAVYATSSRKSYSHILQFAIGLVQVYGILFYFVTAYFAGFKFSASSFYFWVYFIGANSPWVVMPLFIVTRSWKKTSEAFGAKKVKPR >Dexi1A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:1A:12150561:12151693:1 gene:Dexi1A01G0012360 transcript:Dexi1A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWKSKVLPKIKLIFAKSTGKKAAAAAELVKAFDESKEGIKGEFEEKKADLQPKVVEIYESAPAPLKVLIKERSKVSGIKKNSAAITKFFEDLAKIEFPGAKQVSEGIPKVGPTLLSGPIFATFEKVSKLLPVAAEETPKDAAAAEEAAEKKDAAAAAAVKEKKEEAVTGEKKEEAPAAVEEKKEEAPAAAEETTNPASATETTAEAAPAEAPAAEAAAAAAATAAEPEAVPAKAEEETPKA >Dexi5A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:5A:20408248:20409421:1 gene:Dexi5A01G0017180 transcript:Dexi5A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWPSLKKMVTLLRPFSAAGAAAAAATALRAPAAPLVASALAASAVSGRRWRSVRANSGGGWLSGLLGGKGGGGPTAMTVTPGTVKAGDPVLHEPSQEVAPGDVPSEKVQGVIDRMIDVMRRAPGVGLAAPQIGVPLRIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIINPRLKNTSKRKALFFEGCLSVDGYRAVVERYLDVEVSGLDRNGSPIKVQASGWQARILQHECDHLEGTLYVDKMVPRTFRIVDNLDLPLPIGCPPLGAR >Dexi1B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:1B:22664517:22669317:-1 gene:Dexi1B01G0016080 transcript:Dexi1B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGSPRPPRRPEREGSYNYDIESMDGSGAGGVPPWRSQQNGSSEALLRFDDGGSTGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEYLKAFFVYGGCNVVLAASAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASCGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIVLGIIGGIFGGLFNFLLDKILRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTKCPVDAVEQCPTVGRSGNYKNFQCPPGYYNDLASLFFNTNDDAIRNLFSNGTVNEFRMSSLFIFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVIDEPPITETPELVGLVTRSHLLVLLNSKNFMKGQVKTSGSFVLRRFGAFDFAKPGSGKGLKIQNLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLLVVPKTPDIITSVVRLKKYNFRGLP >Dexi1A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:1A:7949027:7956015:-1 gene:Dexi1A01G0009640 transcript:Dexi1A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVACGRSATYGVVARWGMIGSAAATVVRAKPSSKVYERPQRKPTFGWLPVHERLIEGVRDGPAARDTTRTAVTVATDREAGTDEHTYSAPYGSDRIRTCILRGRPGAALVNATRPSLFSCRPAGITFVRVGATRTCPVGSGSISHELYVVPGTRACVFPPQILIQHRSLLRFGSSFPSSALMATRVMYHWRHRRPISTVHSKLLNHPNVVRLQDNVAISPARSHPKTFQAGQGFGTAQQQTSAPSGSFRCDQQQTSAPSGSFRCDQRARLVMRSKGNYRLDLNSSLYDEMALKDRDKKGVM >Dexi1A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:1A:1335604:1336266:-1 gene:Dexi1A01G0002010 transcript:Dexi1A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPLTTLRPSFVSSTPSSPCCMAALPRRRRRWCTRIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNAAKRENTQPCFPCSGSGARMR >Dexi1A01G0024030.1:cds pep primary_assembly:Fonio_CM05836:1A:30676128:30676899:1 gene:Dexi1A01G0024030 transcript:Dexi1A01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNGYVDLEEDPRIVQRRLWQPDWSNSVTIPRPYGTYHVPGKPQTPVATVHKPKILVQRSKKGKQRDDDSTDAAKDRALFSRLADKHSTISNSPRFGEEATMAQLDWSVLRAY >Dexi2B01G0026280.1:cds pep primary_assembly:Fonio_CM05836:2B:35404277:35404516:-1 gene:Dexi2B01G0026280 transcript:Dexi2B01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRARPTRTREPGCPARPAPFCSVSLSSPPPRRSSTSFSARHPKSDAYAMGHVSTAAVGLPLRVERDSVRHTAARCSF >Dexi3A01G0023380.1:cds pep primary_assembly:Fonio_CM05836:3A:19066081:19076976:1 gene:Dexi3A01G0023380 transcript:Dexi3A01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGWWRPRRSRIHLGDMVRAPRPAWMAGKAAQMGEGTGVWGSGEERRASCRGKPARFAVGQRRSSHAILPPPHKPHLTSIDQTAALTSSSPVLPIGLLASLRLPRKTGIRVMAQTSLPPGFRFHPTDVELVSYYLKRKIMGKKLIVDAISEVDLYKFPPWDLPDKSSLRSKDLEWFFFCPRDKKYPNGSRTNRATPNGYWKTSGKDREIMLNSRIVGMKKTLIFHEGKAPKGDRTDWVMYEYKMQDENLVSAGFSKDAFVLCKIFKKSGLGPRIGEQYGAPFNEEEWDNAEAETSMFPLMTSSEVVNPTQGPQTQLAIPSGGAHEPPLQTTSAACTVEESSFDYATATTCVEDITFGAPVAGSAILNVPAQLSGDGVVSANNISNGVNDIYGTPECDGFLLEELSRFLNDSPLGNTTFGESSGLPPMSEAEANAFEVNTFGLYDELSGLVGLEGGVPNRFSASNVGTTHHTILPPDRELSTDDYMELNDLLSSDPSYPSEFSAQNNQFMQYPQAQSTYDGHYDVAALSGPMEPTMPCTFDDFPPNNGVFTADEANNYLGPTMQYPFP >Dexi5B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:5B:9433989:9435089:1 gene:Dexi5B01G0013300 transcript:Dexi5B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAGAGSYWCHMCAVAVSPAEGEPEMKCPHCHSGFLEEIETARSDAATDGSGGALTEVYPGGADRPSSIWAHAILNTVDNSVRRRRSRRQPEHGHGGGGDVHDWDEHDFTRRRRRVTAFLRLLHEIRERQLQRLEAAAGVALEGGDQLTPFGRSLFIGAAGVPGAGAEHGVALGDYFFGPDLDALVQHLTEGDAGRHGTPPAKKEAVEAMPTVEVAGGGDGDDASSCPVCLEDYAPGERAREMPCRHRFHANCIVPWLEMHSSCPICRFQLPADDGNKSSCGGGGGGGGDSGGYVSVDGDHEGNDNGGEDGRAGSARNAEAERVRGAEAEESSRRLPASIQWLNSLFPPSGGGGSSSSSQHWED >Dexi4A01G0023640.1:cds pep primary_assembly:Fonio_CM05836:4A:26672162:26673076:1 gene:Dexi4A01G0023640 transcript:Dexi4A01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVYKHLITPVAVLVVAAFALSTAAVAFQPSGWTKGSATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFNDGASCGQCFKLTCDWRSDPQWCRRGASVTITATNFCPPNYALPSDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKRHGGVRFTVSGRDYFELVLVTNVAAAGAVRAMDVRGSGGGWMPMSRNWGANWQSLAYLNGQGLSFRVTTEDGETIEFVDVVPAWWTFGQTYASKLQFK >Dexi9A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:9A:1157387:1158069:-1 gene:Dexi9A01G0002180 transcript:Dexi9A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSADKGSGSTDPDERPASGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREAMGLDANKAAGGAEEQQHQRKKKASAAAAAAASKREREKEAEADEVTLELRAVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSGVVYA >Dexi9B01G0027270.1:cds pep primary_assembly:Fonio_CM05836:9B:29742251:29744463:-1 gene:Dexi9B01G0027270 transcript:Dexi9B01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFECLLRLLNFVLTVAGLAMVGYGIYLLVEWTKISKGLSGGSPAEVLVLGRPLLGAVALGDSFLDNLPKAWFIYVFIGVGAIVFLVSLFGCIGAGTRNTCCLCFVIPKDKTHNFDVMYDFLKKNWEIAKWVALGVVAFEAVLFLLALIVRAMNKPAEYDSDDEIIAIGRSPTMRQPLIHAPQVVPATGVPVPTLDQRATRQDAWSQRMREKYGLDTNQFTYNPSDPSRYQQNGVPQAEERSRCTIM >Dexi3B01G0029370.1:cds pep primary_assembly:Fonio_CM05836:3B:28592620:28600244:-1 gene:Dexi3B01G0029370 transcript:Dexi3B01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAKDDSWEYSLRKYLLLLATLVATVTYSAAFNPPGGVWQDSDPAENLIAGDPIILNTNHARYLAFFYGNATAFASSLVVIVLVLILSLLHDRGVRSPAPVRILRVVMVLDLVSLMVAYGAGTFRDVLTAVYSLLLLAGVVAYLVVHLVLASLPETVTEETKARPDDSALLRLRKVLMLLATFAVSVTYLSGLSAPGGFWGDGEGGHKPGSAVLKGGPHDKRLKAFFVCNTTAFVASLLILVMLLEKKLCFGQTVRSLELYGLIAVTLAGLVAAYAAGSGRKIDTTIYVTALVGAVAMCILVQVGFFLYFKAQRTRNDNGSSEEQQRNQGPKNVNGSSAEEQRQAEGLNGNGSDELRQQTEGPQTHNGNASDEQQQQTEGLQTHNGNASHEQQQQTEDPQTRNGNGRCVAVCGGCLVSLALPHWVGRVGADPMRSSWTSPIPIVGVIIPWGQEDFAPVVVEDEIYLCQQNQALEKARSLVLLLATLAAAITYQAGLNPPGALWQDDGDGYKAGDPILLTENPRRYKAFYYCNSTAFVASLVAIILARMNTLHHHNALEVAMVLDLFGLIGAYATGSCRDVSTSIYAVSLAGAVLVYVVIHVVLFTLDPKDGSSNRRGSDEASIRKEKEAEKLMEKRRKRLLLFAILAATITYQAGLTPPGGFLDSDDTSGHHAGDPVLLSNYPRRYTAFFYCNSVSFMLSIALIIILVNPNLYRPAIQSNALSVCTAAGMVGILGGYAAGSTQHIKTSIYIFALAAVVLCSVALLVALFIVLHRLRKQEERRHNPAGNSSRHIGVENIEIATGALQQHPAPVSGQARRDKKLHAKRKYLMLLGILAASVTYQAGLAPPGGFWQSNDGGHIAGSPVMHDNWRPRYLAFFYSNSTSFVASVVVIVLLLPPSLHEKPWWLWVMNITIVLDLLGLLIAYAAGSSRRWKTSVIVSALVIAVLAYFAVHVLLSYFVRRGKKTAPAASAPDTSEVGNGEHQAQMAPGLELTEAI >Dexi7B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:7B:20826657:20831218:1 gene:Dexi7B01G0014690 transcript:Dexi7B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPVADPTIMDDAATRAPLLPETDPRSGAPTAAGTQRRRPSSTVIPAVVSAVLLLAVAAVAVLSLQPHVKGDVVAAVAAGGAVEVASSRGAAEGVSEKSTAPLLGAGYAWLREYSWTNAMLAWQRTAFHFQPTKNWMNGPLYHKGWYHLFYQWNPDSAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDANGVWSGSATRLPDGRIVMLYTGSTKDSVQVQNLAEPADPSDPLLREWVKSPSNPVLVPPPGIGLKDFRDPTTAWQVPNDTATWRVAIGSKDRAHAGLALVYRTKDFVRYDPTPAVMHVVPGTGMWECVDFYPVAAAAGEHQNGLDTSAPPGPGVKHVVKASLDDDKHDYYAIGTYDAATDTWTPDDAAKDVGIGLRYDYGKFYASKTFYEPVLRRRVLWGWVGETDSERADILKGWASVQSVPRTVLLDTKTGTNLLQWPVVEVENLRMSGKSFDTIKLDSGSVVPLDVGKATQLDIEAVFEVDAAAVEAVKEAEVGFNCSTSAGAAGRGMLGPFGLLVLADEHLSEQTAVYFYLAKGTDGSLKTFFCQDDLRASKANDLVKRVYGSLVPVLHGESLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYDAARVFLFNNATNARVTAKSVKIWQLNSAYIRPYSANSL >Dexi5B01G0022620.1:cds pep primary_assembly:Fonio_CM05836:5B:24886646:24889382:-1 gene:Dexi5B01G0022620 transcript:Dexi5B01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGRRLLAPGLRRLGFGAACEAGPAAAAAAGVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEDSGKIVDACFKTFGCGSAIAEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKVGSKGEDSPSEKAAEA >Dexi2B01G0036130.1:cds pep primary_assembly:Fonio_CM05836:2B:43040607:43041755:-1 gene:Dexi2B01G0036130 transcript:Dexi2B01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDIDLFFLCVCVLKPLNLRCLPFIWLKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDIEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQASIFFVSFYATFQHSTTIMVLGDHLSCAAYLVFYCFDL >Dexi9B01G0040260.1:cds pep primary_assembly:Fonio_CM05836:9B:40836558:40842007:1 gene:Dexi9B01G0040260 transcript:Dexi9B01G0040260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACSARAAARRRCRQAGGRGSEERGGRGRSGEELRHAAALTSSSSRRRYASSRQQLVERRETDQDMAASGGPARGGGRARRGGRQGEVRRLEARRAGRWSFLRGEEETAACWARRRRRPTGRERMCDYARGIEKAQAPAGRRLVFNERGLVQLNGHLGCNSWRLGDGDPAWHPLHCHVAALSSPSVPPHPDASPAHTAQPSPRSRERPTALALSPLPAKLQRRRSTLTGEDSKTMEVVASAPGKVLIAGGYLVLERPNAGLVLSTTARFYAVVRPLCDTLPADSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAIQFSVAAAKATITDKETKDALDKLLLQGLSITILGCNDFYSYRKQIEASGLPLTPEVLLSLPPFSSITFNSEVANGSMTGEKCKPEVAKTGLGSSAAMTTSVVAALLHYLGAVDLSRPGQSSSDSATGRDLDLVHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYIRFSPEILSSAQATGGACLPDVVSDIVTRKWDHENTQFSLPPLMTLWTEVATSQHQEAIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQRQLLDATMNMEGVLLAGVPGAGGFDAVFSVTLGDASIAVASAWSSAGVLPLLVREDHHGVSLEDADPRTREVSAAVSSIQIH >Dexi2B01G0032140.1:cds pep primary_assembly:Fonio_CM05836:2B:40082676:40086642:-1 gene:Dexi2B01G0032140 transcript:Dexi2B01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVADRARRAVAASLRGHAASSSSRSAAPSPLAPARGHPVAPVGAAAMAAAMARAMSTAAAGTPPVTVDTLNPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTYFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARTLGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNVSGQILASLVMNPPKAGDESFESYMEERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGAAQAAGTAPDAYYAKRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEDKIPAIISRFKEFHEKFMDEFRD >Dexi5A01G0034020.1:cds pep primary_assembly:Fonio_CM05836:5A:36193503:36195880:1 gene:Dexi5A01G0034020 transcript:Dexi5A01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPTMAVVVVLAALAVVAAAGRAEEDKGNGTAGRGEVTYDGRALILDGARRMLFSGDMHYPRSTPEMWPGLIAKAKKGGLDVIQTYVFWNAHEPVQGQRHMQKFVTKIVNLMKDERLFYPQGGPIIISQIENEYKLVEAAFHSKGPPYVQWAAAMAVNLQTGVPWMMLQH >Dexi7B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:7B:12900626:12900835:-1 gene:Dexi7B01G0005440 transcript:Dexi7B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIVATALFVLLTPGLLCTLPGRGRVAEFGSMHTSPIAIIVHAILYFALITIFLIAIGIHIYAG >Dexi7B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:7B:18816842:18817819:-1 gene:Dexi7B01G0011850 transcript:Dexi7B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPRTTLTVLALGLALLCAAAGPAAAQNCGCQPTDCCSKFGYCGLGDPYCGDGCQSGPCYSGGGGGGGGGGDVGSIVTDDFFNGIVSQAGSGCEGGSGFYTRDAFLNAVGAYPDFATGGSSDDSKREIAAFFAHAAHETGHFCYISEVNKDNDYCDTTNTQWPCAAGQKYYGRGPLQISWNYNYGPAGQAIGFDGLGNPDAVAQDATIAFKAALWFWMNNVHNVMPQGFGATIMAINGALECNGNNQAEMNDRVANYQQYCQQLGVDPGSNLTC >Dexi3B01G0026340.1:cds pep primary_assembly:Fonio_CM05836:3B:21339633:21339944:1 gene:Dexi3B01G0026340 transcript:Dexi3B01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNGIFVMKFLELWDPYADMNSRFQAANVNDARIKDVREMVFTPHNRLNSAKDLLDNHIAMYGGRIE >Dexi1B01G0028900.1:cds pep primary_assembly:Fonio_CM05836:1B:33327814:33334243:-1 gene:Dexi1B01G0028900 transcript:Dexi1B01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQSEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKMFERANAENVKQMEMEKKKAQTEAEKEKAKLAAHKKGESLEPRISDL >Dexi6A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:6A:25707789:25712579:-1 gene:Dexi6A01G0017870 transcript:Dexi6A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGEKRAALLRRITEEGGFAFVASAEKAAAGDVRAAEAAREMAWEQLHSAPRDEVGAAWRDAYALACLHVAALRAAAAGAFDGGGGDDERRAALRALDMGLIMGGGLLRAELEDAISRVVADRRGANGGEAEGVADGNVERWKEGIAGDRDLADVGKHHPCTESKQELITFSQFLERMWSSDSPNLTYVAQHPLFDQVLGRKYFRLYPASVSVDLYPHTETMLSNASQVDFDSIDEKEFPKTGGLEFMDGILEEGDLLYIPPKWWHYVRSLSISFSVSFWWTTSHLPSGSS >Dexi5B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:5B:2559848:2560135:1 gene:Dexi5B01G0003700 transcript:Dexi5B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGLVGHEMYSAATGASSYFFPPGGLVPAVGNNGAVLEFPPAAVAEADFFLPEIMGAGGDRAHDYYCCSPPAPVFPANGGAAAAQKEMMMSM >Dexi3B01G0030110.1:cds pep primary_assembly:Fonio_CM05836:3B:29550859:29552169:1 gene:Dexi3B01G0030110 transcript:Dexi3B01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEGAGGAKKPKPSPGAAAKKPKPSPAPPIAALAVDLLGDILLLLPDMASLASAALACKVWHRVASHPAIFRRFDALRRPPLVGFILTDRGDMYFPRRSPNLRFVRATRNPNLAAAAADGDFFFEDLPAVNSDAEEEGYDWHEWRLRGCDGGRLLLSRGRDGIDLAVYDPIARTAVFLRPENVFGAWTHSARYAIVVDESDGSFLVIGFGFSFRAAVFSSRTGTWVNINVEKIKMEKSDVKHEEEDEDVYLEEYEDETFVNIHSFYSDGMTAGRFAYWRSDTKKHRYCHPVEMILVLDTTTMEWSIITAPFPVGESYCVADMPEHGGLCLFSSKEQCLQLWVRNSIGGWVLKKDFSLLNEWMKKIRRAEWMKRIRVLTARAGYVYMEFWSIRKANSYFLVLNLRTMKMAVFPNKAEDPHRGPAFPFFMRLEPLL >Dexi9A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:9A:16380956:16381712:-1 gene:Dexi9A01G0021490 transcript:Dexi9A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDLGRGGGSGRGGAATTTKPRLVMIVADPGRESTVAMEWALSHAIVEGDNILLLHVNMPLHPHHHNGGASGPSRSSSGGSLGSPIAAFLIGGGGAGADGAADFMEAMRGACRARYPRARVHGERVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSTSGSSGRGHDSTAEFLIEHSKCLCVSVQKKGQNAGYLLNTKTHKNFWLLA >Dexi1A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:1A:23174457:23175878:-1 gene:Dexi1A01G0016200 transcript:Dexi1A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMAMQSSAAKTATMMADAWDYKGRAAVRSSSGGWSSAAMILGVELNERLTTLGIAVNLVSYLTGTMHLSSAASANAVTNFLDASFMLCLLGGFVADTYLGRYLTIAIFTAVEAAGMAVLTVSTAAPGLRPPPCAAAGCVPPNGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDGGERRRMARFFSWFFFFISIGSLLAVTALVYAQDHLGRRWGYGACVAAILLGLAVFLAGTTRYRFKKLVGSPLTQIAAVTAAAWRKRALPLPPDPAQLYDVDGAGEDVKQGKKKMPHTKQCRFLERAAIVEAPPSPAPCTLTDVEEVKQVLRMLPTWATTIPFWTVYAQMTTFSVTQAEAMDRRLGGFAFEIPAGSLTVFLVGSILLTVPVYDRLVVPLASPSSLSTHDHDA >Dexi4A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:4A:3919050:3919487:-1 gene:Dexi4A01G0005380 transcript:Dexi4A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDAQVLADLTDVAGLLVTGLSYFESRSQEGRGDPKLGGRGLSAHVVARGRHVGGIASATSHRARWRQRPGTGRALGSCSPTARCSRCRFLADDRALWPGRRIEVLRRLGIRHAAGFCERKREGERSGGVRQEEGRWWRAGRE >Dexi2B01G0034320.1:cds pep primary_assembly:Fonio_CM05836:2B:41569874:41573977:1 gene:Dexi2B01G0034320 transcript:Dexi2B01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRIAVAAALSVAPPSSRRRRASFCRPLPAARASKALHATAAPAAGAVDEEAPAAGSPPSDSSKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQAGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRKQAERWGAELHQEDVEFVNVKTSPFVIRSSDREVDYGECFRVLNNPNITVHFNTEAVDVVSNKKGQMSGVQLKRVDTGEESVLEVKGLFYGIGHTPNSQILRGQIELDSTGYILVKEGSAKTSVDGVFAAGDVQDREWRQAITAAGSGCIAALSVERYLVSNDLLIEFHQPVQEEPKKDLTEKDVEMGFDISRTKHKGQYALRKLYHESPRLICVIYTSPTCGPCRTLKPILGKVIDEYAKYVHYVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTVPGVKMKKEYRDLIESHK >Dexi7A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:7A:2800926:2804394:1 gene:Dexi7A01G0001080 transcript:Dexi7A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSELQAQPTYVHPTAASSGVQSMEETRWLCRVQETLEQASAEALGAPPKVFDVPRTLRDTKPDAYTPRHFAVGPYHYGRPELSDMERYKLAAAKRSEARFAGGPQDRPPRGALQWPPGRDTVLELSDETLAWMMAIDTCFLLDFLLGYYHRGEGEDDTVVSTAPNWISATVRDAMMLENQLPLVLFARNLELRHGSELAATEVLHAVLDRFIKDVCPIKICAEMPIAEFTKQAHLLELLYHFLVPNASVFEENSEGATGSDHPPPHADQEEDEEDPEKQIEKVKQACLQVSQLRIVKDNLISRPKNLIEDSIRKVPALAGLVPVIDKMVTSMDVEAKLKSVNLGNLVSSPLANDVKIPSASELARCGVRFAPAPEGIAGIAFDEKTATLSLSVVTLDCNTETILRNIVAYESVAVRGPLVVARYTEVMSGIIAGGTGKKDVKLLERSGVVVNRMKSKAEAVGMWSGMCVATTRVSEVPRLDAVIRAVNAHRDRTASVRARKLFKKI >Dexi5B01G0038580.1:cds pep primary_assembly:Fonio_CM05836:5B:37733391:37734209:-1 gene:Dexi5B01G0038580 transcript:Dexi5B01G0038580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVKLIGAFGSPFVHRTEAALRLKGVPYEFINEDLEKKSELLLKHNPIYKKVPVLLHGDRTICESLVIVEYIDEAFDGPALLPSDPSDRAAARFWAHFLDQKVVKGISCGRPLWISLWTEGELQKGFVKETKENLALLEAQLDGNKFFGGDSVGYLDIALSLLAHWVGVFEEVAGVSLVGDEYPALRRWAKDYTSNEAVKLCLPSREDIASYATAMKDKYKLMAMAMLQQ >Dexi2B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:2B:11598202:11599950:-1 gene:Dexi2B01G0010350 transcript:Dexi2B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKSRVVWVNGPIVVGAGPAGLSVAACLRARGVPSVVLDRADCIASLWQRRTYDRLRLHLPRHFCELPGMPFPSHYPEYPTKRQFVDYLEAYASAAGVEPRFNQSVTSARYDAAAGLWRVSAEDYITGDGDVTEYIGRWLVVATGENAERVVPEFEGADEFGGPVSHVSEYKSGEAYRGKRVLVVGCGNSGMEVCLDLCDHNALPSMVVRDSVHVLPREMFGVATFSVAVFLLRFLPQWLVDRILVLMARLILGNLEKLGIRRPSGGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIKRFFRGGAELEDGRHIAADAVILATGYHSNVPQWLKGSDFFTQEGYPRVPFPHGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWEQQTSTL >Dexi7B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:7B:9793604:9794553:-1 gene:Dexi7B01G0003980 transcript:Dexi7B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKARFQKKSYYEIGPTSWIKKMISTSTLQRYLVSIIISPFISLSKVFCNAIGLREPCMITLKTSMDSTESWQVYSNTIKDCRYLLSQGWKRFCQDNSLKEGDLCTFYVVETTLWHVVITRCKEKVYRTRCIFEIGPPAWMKKEMNTSTIERVFLSMHIDN >Dexi3A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:3A:1130775:1132825:1 gene:Dexi3A01G0001690 transcript:Dexi3A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCAASGLRSRLGYIHCTHALSTSLGIPNAIHSHGGAASLSDIVTRLSPRVPQHRRPCLPRLMRFLAGTGILAHDHHDDAATGIRSSGAAGDDGVYRLTPMSRLLVDDATVNGCTGLSPLVLSQTTSYHVAVAQHLSEWFTTITDVGLNLTDETPFQMAYGGMGPWEAARSDARFNEVFNAGMETDSPLFGGISSLVDVAGGTGGAAKAIARAFPHVKCSVLDLPHVISSILQPPDDDATLYVMHDWSDEVCVKILTQCKKAICSHKPTTAGGGGGKVIIMDVIVGSPCKKAMFEAQVSLDLLMMAVTSGKERDEDEWRKIFMDAGFRRYEARPLLGILSIIDLYPLPHCAGTLRRSLPPGLADAEDHPQDHLKVQALLAKEQKPKGQYKHGLHVAQDLKGYSREPPDADELAQINTNRDEA >DexiUA01G0023490.1:cds pep primary_assembly:Fonio_CM05836:UA:47962295:47964854:-1 gene:DexiUA01G0023490 transcript:DexiUA01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQRPTHHAVLLYCCKVSGKTSLLFQFAVNRAAESGRGAVFICNKGRLESNPPFLSQGVDPSTTLLQRIQIKYIEDGDEIRKYFAAFHLLDNFPAAVIVDDFGDFFSERSCQQRYGTSRARDVAMIRALALCHNAIAHANAKLGTLGSCNLLLSDVQQADGTGSYILKTIGSSESETKKARKAKYSVALQYLVLEEMST >Dexi9A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:9A:14612274:14612834:1 gene:Dexi9A01G0019700 transcript:Dexi9A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDARVLVVLALLQIMCFFFHEVSAAACHASGFIHGNGQNCNGADSADSCCITGKRYPQFRCSPPVSAKTPAILTVNRFENGEDVTRITSCDMRFHHDKEKVVILSSGWMKLDGTNRCNKKIRISAKGRSVLAKVVDECDSVHGCDEEHDFQPPCRNNVLNASPGVWKALKLNESIGELKVTWSDV >Dexi5B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:5B:7215812:7216141:-1 gene:Dexi5B01G0010290 transcript:Dexi5B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAAGPSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCEFWGPSVPC >Dexi8A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:8A:1152005:1154139:-1 gene:Dexi8A01G0001640 transcript:Dexi8A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISGSIWHGTRYDAENGIPLPTDTNTVICAESSEVVKSNANVVREEHAKEICENDQVQKFEDKNVTSVSADTVLCAETSGFAKNEVIVEREESVKEICEEKFELQHFENTNCQRCHYEVNNQYQFGELMRSCWQPVIKHEPCSDSESDLSESSSDASITDIIPMLNELNPSANMGSDNPSSNFRDNLNSSSDDDEDDSEDGNISSNEDGAEEQKGGGNKNNWKEFVDSTSSDMENNENLENLMERRKAKNILKFELDRRLMNMQAADAIQKMEEASRFRVQVPSISTPRPNLSDPSNGSEEVVELSQIPDSAPSVLLPWRKPFDIPFDQIVGRDSRLQETWTPRSCFPSTQRRSHENLYLKQSSYLRHHNGIKLEKPEVSEKDAGDNNSDSNSEQAWDHGKLFGSLEPHVGDEIKILSAAISDVCVLEVNHGINEGDKITDHINGTDSFYIQKSISSTSEANDSVSAGCEQLLLHSPSEEYNNEKHTIESDSISEVNSLFKCRMEEVLVQSISESGIDQPFAGKLENGLNDTLFTESALSLIKARSVDELNSQCAQLNGEALECTASDSSCDNESIQYRSSESLPVENGHTPMAVKVECISKELLTEDSELPVLEASSVEQMNLTFKQLEDEAPKEMPQSSELTVGENNGETDSGVLVPDASSRESISSAFVHLCTNDDK >Dexi3B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:3B:11639411:11639914:1 gene:Dexi3B01G0016060 transcript:Dexi3B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIRFTRGVRAYWRRRKYHRLEATDGGKARGATQQLGTARRGGGAMRRLRVRVRVVLAAPRRALARARDAYVGAMLALAKRASALALPGGADGLWAKRVPRRKQLPASGGVRTTEFEQRLIFEIYKSIVASKELTTMLHSSAAHLPAAATGAPMPVRHLLDM >Dexi8A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:8A:1295392:1310612:-1 gene:Dexi8A01G0001920 transcript:Dexi8A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding TERTPPATSQRRPRNCACPEPLAPHFCPHLVGAPAVLVHHPDAQALHDGLDLERRVPPSVSCPPQTRPSRDAGARCPDLSKPAEICLSCSVECKIDVFVLMPNDKTRRRKERLPAAAVCRWEEAWRIAKPTTTTYPRALGCRAPSTTYEANAGALAATPASSQGTHITNLPEIAFNYSWNEKYHISWQTKEETSQFCHGGLQRLRMVTITGFCSAQSLAELTCHILSYAASSLQCIHLDTTPGYETKHSSTDRCWKMHAEALRESKRALSNVRQYIEPKVPHGVELKQMKQMAAPRPALRIILTMLLLLLPREQQLTSPTFQSKTIRVLENHNGNGVKTLKLNLSICSKEDIDTDLLDNWLQAFVKPGITELGLLLPKCYAHEYNFPYLLLSWDEKSGATIQSLHLASCGFHPVEGPILLGFSRSLSKVCLRNVAITDDELGFFLSSCFALERLDLSNCNMITSLKIPRVLHKLRIVRIHACIALKTIVSNALNISTINYDRGPPLLSFSLGDRLETKKLLMHSMCMENMIRYAGSDLPCIAPNLETLVLSTFCEIAFRNPGYQNYHIDWQSWAEMNRFYHGGLGRLRTVTITGFYSAKGLAELTRHILKSAASSLQCISQDTTPGYDRKHSSTDRCQNMCMGPLRESERALSNVRQYVEPEVPHGVELKVLGPCRQCHYIDAKAMEEAQRASWLSAQRAPWRSTRRGRIMFIS >Dexi9A01G0025010.1:cds pep primary_assembly:Fonio_CM05836:9A:22106295:22113552:1 gene:Dexi9A01G0025010 transcript:Dexi9A01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLGARLLDLRRCKLSPKPPPPPPLPLPARRRTHATSTASADPSPRRAVPELHSATELADGSIVFRFGQPKPEVLEPEADPASRGPGAAAETSPDSRASPASGAVRSGGRGPEPDSEHEVIGVGLDAQAAVEQPRLDAAAEVSPAPPPPDSGAQTGAASAAGEKAPEQVAGSNVGVEEAEARRGSVEAIAVAESEYEPESDGAAVGVGAPTSGAAVETTGTGLEPREGSEDASSADASDGREEATAMAGLEDPEVASEGSTAQDFDTDVETESSGSSGDEQGAEFGVPLPTVEQVSKEVDWKKGTSEVKDSERMVEIAQSTLVLSSGAAMLPHPSKVATGGEDACFIAGNGWFGVADGVGQWSFQGINAGLYARELMDGCKKFITENQEASALRPEQILSKAADEARSPGSSTVLVAHFDGQVLQASNIGDSGFLVIRNGEVYKKSKPMLYGFNFPLQIEKGDDPLKLVQEIAEHLAAKAQEVGRSGAGRSPFSDAALSVGYLGYSGGKLDDIAVVVSIVRTSEI >Dexi5A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:5A:10097824:10098222:1 gene:Dexi5A01G0013480 transcript:Dexi5A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVASLSTPATFAAAADVLPRRRVVAAAAGRVWFPAVAARRGGGGFAVVRSSGPATPPGISDQVSDSIKKAEETCAEEKDTGDCAAAWDEVEELSAAASHARDKLKGSSDPLEEYCKENPETDECRVYDN >Dexi6B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:6B:5570848:5572230:1 gene:Dexi6B01G0005990 transcript:Dexi6B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPSSNPLSFTAQAAIHQAYCDKHYMYDPSSSSKSNKPTTFDVHNSWLFAPWHRMYIYFYEKALGDLIGDDTFGLPYWSWDTPAGMVVPAIFKDDDSDDNPLHDGNRNPDHLDTLVHLDRGDTSELIPFDATSQDPEYKAGVFRNLCVVYQQQVRLGTDAPAFLGSEFCTQSTGTQGTLESMAHTAMHVWAGRSGPPPGSSCSADTGGFLDHDGEYSCKNDMGNLGSAGRDPLFYSHHSNVDRMWHLWSTVLGNKGFDDDTWLDASFDFYDNYKNPQLVRIKFRDVLDTRNLGYTYDAESEKDLPWMKCQLTSLVPRGSARKPSSPEKKPAFPVTLRKNQVVVVLAVAVPPPEKKKKRLLVIEGIEYDPMAENEFDVAINVPRADALRVGSEYTEYAGNFAVVPSSKVGGGTVKGKIALCIDGVLKDIGAAGASTVDVVIVPRTEAQIKLNLEPTIQA >Dexi3B01G0032950.1:cds pep primary_assembly:Fonio_CM05836:3B:35356363:35357475:-1 gene:Dexi3B01G0032950 transcript:Dexi3B01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSAVLFHGRLASPRPPAKAQPFLAPPSNPYRFPSSTSARPSPARPPLLASAAAAASGGERDNRVQELRVPDSWLTPEGAAQESEWLRETLHRWLDDEYCPEPANVDISRTAARSYGESLAAGRSDLGEILLKMAGDLESLSYRESFHGAFSAANAAVRLITQRMEEVEESGDGGP >Dexi1A01G0025190.1:cds pep primary_assembly:Fonio_CM05836:1A:31491369:31498437:1 gene:Dexi1A01G0025190 transcript:Dexi1A01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIPSIYEINIVEANKTLTNRGPLSIDEFRGAPSLSSSTLLHQAPRLLFFPSHHRRKQAEQAAMEGSTTTPLRTRVCIIGSGPAAHTAAIYASRAELKPVLFEGAMANGIAPGGQLTTTTDVENFPGFPKGILGDDLMKLCREQSVHFGTKILTETVTSVDFSARPFRVAFASTTVLADAVIVATGAVAKRLHFPGSDTYWNHGISACAVCDGGAPIFHDKPIAVIGGGDSAMEEACYLTKYGSHVYIIHRRNTFKASKIMQDRALKNPKISVVWDSEVAEAYGGAGGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSGGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAKRNFRIQRHVPSSLLDRRRPPPQQANLAKQATMEGSAATPLRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPEGIMGAELMDRCRAQSLRFGTNILTETVTSVDFSARPFRVVSDSTTVLADAVVVATGAVARRLHFPGSDTYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSHVYIIHRRNTFRASKIMQARALENPKISVVWDSEVVEAYGGAGGGPLAGVKVKNLVSGEVSDLQVAGLFFAIGHEPATKFLGGQLDLDSDGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKSD >Dexi7A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:7A:29926798:29928405:1 gene:Dexi7A01G0021260 transcript:Dexi7A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLLHVLLVAVVARAPAAHAWGKDGHYMVCKIAESFLTEEASTAVKDLLPGWAGGDLAETCSWADSLRSQYPWSSPLHYADTPGDCKFSYTRDCHNENGEKNMCVVGAINNYTTALKDSSSPFDPTESLMFLAHFVGDIHQPLHCGNVNDLGGNTIKLNWYEKQSNLHKVWDVNVIETALNEFYNDDRNTMIEAIKLNITDEWCHEERQWQACRSRTKTCADKLTAENEQCRYAMESAQLACEVYEGVEQNTTLEDDYFFAALPVVQKRIAQGGVRLAAILNKIFSANRRLQRS >Dexi3B01G0035190.1:cds pep primary_assembly:Fonio_CM05836:3B:37834137:37835723:1 gene:Dexi3B01G0035190 transcript:Dexi3B01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSPPAPAPARPRLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPILGFLLLPILWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFTKWISGSLDNALYPVLFLDYLHLSLPPPSRSLAVVALTAGLSYLNYRGLHLVGLSALVLTAFSLSPFVALTVLAAPQIRPSRWFAMDAAAIDLRGYFNSMFWNLNYWDKASTLAGEVDEPRKTFPKAVFGAVGLVVGAYLVPLLAGTGALPSETAAEWTDGFFSEVGLRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSNHGTPTFGILCSAAGVVVLSFMSFQEILEFLNFLYGLGMLVVFAAFVKLRVKNPDLTRPYRIPVGTLGAAVMCVPPVVLITTVMCLASARTIVVNAAVVVAGVVLYYGVEHAKRHAWVEFMAPVPPPSDSSDGPAMSSDADDVEDVRAGLLADEPSDEEASAMSHSA >Dexi7A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:7A:26195093:26195353:-1 gene:Dexi7A01G0016320 transcript:Dexi7A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSTTTYAWHGRSIRQCQTSVRHMVPCSPGTDASPNHQIRTPNGYFRHGQQHHDLLSSSLAWREMVPGTTGQFDRRREHAGRLS >Dexi7A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:7A:24806247:24806793:-1 gene:Dexi7A01G0014930 transcript:Dexi7A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATPLAPKGQLMKHTTAILLVLSVVLFAADSCEARGLGVHGENRGSSKSHLQGEDEPTTRLKAHGWGTRQTEARSTVHAAIDYDMAQANEKAEEGASMAPSTAAVTVGATPAVRVSQRLFQREDTGFHLDYAGPRTHTPSHN >Dexi9B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:9B:5814273:5819009:1 gene:Dexi9B01G0009460 transcript:Dexi9B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNRVPSLALSDRCAVSGGRGWSEMPPYRNTAHAVYTISRSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLEGKDDQLHPVKRLISAAEAGALVHALRTILKEEGFLALYRGIGPGLLLNSIDFAALGAGSKVAAILLTYPYQVIRARLQV >Dexi3B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:3B:2920863:2924415:-1 gene:Dexi3B01G0004250 transcript:Dexi3B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPQGPGRGKAGGANAPRLPPPVTAGSAGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHLVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFADVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAESEAGIFRQILRGKLDLESEPWPSISDSAKDLVRKMLTRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREESLMSAFAFFDKDGSGFITIDELSQACQQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGAGRRTMRNSLHVDLGELLKPAES >Dexi1B01G0031200.1:cds pep primary_assembly:Fonio_CM05836:1B:34928187:34928876:-1 gene:Dexi1B01G0031200 transcript:Dexi1B01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSNGSSTDLNTQVLNIFREPANIESEHGVHINEIAKRLKLPEAKIREAIAFHEDAGHIYSTIDEFHYKSAFAD >Dexi3B01G0018350.1:cds pep primary_assembly:Fonio_CM05836:3B:13627147:13627949:-1 gene:Dexi3B01G0018350 transcript:Dexi3B01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSGKSEQEAQLVLKGTFAKDKNELLAQQFQINYDDEPAMFRKGSSVYREKKFGIGRMLPPAVP >Dexi3B01G0026900.1:cds pep primary_assembly:Fonio_CM05836:3B:22326742:22334151:1 gene:Dexi3B01G0026900 transcript:Dexi3B01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMAPIMAVPPRASIISLVSFIQHHLRALLADPSSLHATRRRCLALLAPPRHRRHVVDADDEAVLAALHGAIDALLPASGDSSSSAAACLASVEESLQAPALVPEHGETAGLDNRRVATCAYFCLALARCAQGDAWQMAMDLLRAVAVCPAAVRAAGDEVGDNGGGGLAPRALWEGLFDEGVLARAGGSEGDAAARRAARRYKDWLMYYKVVAAAPNATAGEENGGCPQLGRTGSPVVSRWLSSSEVSKASYPVCISLYNAVFVNNSWFDLKLGPDGEPGHLALLQDRRTLDHEGQIYPRDGIAELKDFLSIADQDFQEDTKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEESDNEKQAAPYDKGRSAKIMPIDADFVAAKLHERSSHKNLTWCTSPENAMIYAPESPIYHVDDGEMKSNCLQSNRSHGSLNHLSNSVLELKNADSYSAFNYSTKDGLFPQCSPRCDLRCFSSFSTKFIKKSSLSDLVSRGSMSRKFKTSTTSEDWSDVSSRWGKDSQVDFLERFEKAVSKLLVSDGLENCLDAGSEVTTIWQLLNNTSEVRHKSSTRQDILDQLLDSISTSKKDKVIRVSAYVLLLMISEDRNVMRGIKRKDFHLSNLATALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHVACNSDTEKWPAMLPLTPTSASIALIEILVTAFDYVTNNVHLASLSSPPILSKLVDVAKNNNLEEVVALAVILIRCVHLNGNCKKFLSQATPVDPFLHLLRRKEYRAKCAALEYFHEILQIPRSSANSLLQEIRRQGGIAIMHTLMASLHQTEPEHRVLAASLLLQLDMMTYYFLTTGKQLLMSLSEGSRESLRRLSSFTWMAEELLQVTDYFLSNKPVMQRVSCVHTQILEIGQPGNGAATAIAFFRGQLFAGYSNGTIRAWDIKGQRAVIIREVKEHKKAVTCFAISETGENLLSGSADKSIRVWEMAQRKLECVEVIQTREAVLKLDICGDKMLVLTQNNVLKFSCASRSSQTLYRGKHVKSLAVCQGKAYLGCTDLSIQELDMSVESKTEIRAPKRSWGVRKQSITSILVYKDWMYCAGAQVEGSALKDWKKRSKPNMTMPIPKGTSVQAMAVVEDFIYLNCSKSPSIIQVNLFLLSMSTTLSCIWLREKQQKVGRLSAGSKIVSLFTANDMIFCGTETGLIKAWIPL >Dexi8B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:8B:21238125:21242254:-1 gene:Dexi8B01G0011990 transcript:Dexi8B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQSSQDTSRFRAFSFSNGATQESAGDRELHNVGIQSNQEPSRFRAQNEVSGAVQESAGDRDLHNVGIASQSAGVYLHGSHQHLQGFSRTVNLYDEQYLSSALDDMTLDLNTHTAARQDDSLPLQISAAHAKQKSNLEHQEQGHGFPPHLGKFSRTSGQQSFNTNFGVPYNPSTAFAPPFQQHCYVDGQSQMYRPHDQNVSSNFIWQHDIQPYSVVQPQYICPQMQQISSFDVYQHGSNEHAAVYTSANVPSSRIGTPDSHGLETGYPCFSVAAFQKRNNRLNNMFTDSFCSTSCADSSCGSVDFHHFQQAEKFFHPGQGFSRHQQVDNLAHSNGLGFSHHPHQTCGRFNTVSYPERILMSPDVGNSVRAIKFAPSVNGYADMDHRINDYSHEHLGIQRNNSMLQLLPSTEHLTVDNAVGRVCILAKDQTYCHFLTKILTEGTQEDADKVFNEMIDHIGELMMDPVANNLVQKILGTNDQRMHIIRKITKSPAELIKVCCNTHGTRVMQKVIETINTIEASMVVTALSHGTMRLMTDANGNHVLFRCLEKLLPEHKAFIIETAASRYLQLARDRHGCCVLQKCMEHSNDEQRNDLLSKITSSALRLSEDQYGNYVIQFILGLKIEWATTRIVDGLAGHFGNLSMQKCGSHVVEHCLKLAPQLMCDRIIDELIHDPKLPHIIIDQYGNFVIQTALKQCQGEQHVAFVEAIRPYTGALQSNMYGKRVLSKTYLKNNHHRFGFF >Dexi7B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:7B:14583400:14587095:1 gene:Dexi7B01G0006840 transcript:Dexi7B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGRVAWALLLLLQLAGASHVVLESLEAEAATAAVPPSIVNPLLRTGYHFQPRKNWINAPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWMALKPAIEPSIASDKYGCWSGSATMMADGTPAIMYTGVNRPDVNYQVQNVAYPRNKSDPLLRDWDKPGHNPIIVPKAGINATQFRDPTTAWRAGGQWRLLIGSLAGSTHGVAYVYKSRDFKKWTRVQQPLHSAATGMWECPDFYPVEAAGRRKGLDTSVSGPKVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDERHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTSADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKSVTLKDKVIKPGQHVELTGLQTAQADVEVSFEVGSLAGAERLDPALAGDAQRLCGARGADVEGGVGPFGLWVLASADGHERTAVFFRVFRPASGGGGDKPVVLMCTDPTKSSLNPNMYQPTFAGFVDTDISDGKISLRTLIDRSVVESFGAGGKTCILSRVYPSLAIGKNARLYVFNGGKADVKVSRLTAWQMKKPLMNGA >Dexi2B01G0023150.1:cds pep primary_assembly:Fonio_CM05836:2B:32714993:32716916:1 gene:Dexi2B01G0023150 transcript:Dexi2B01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQEHWNAAAVGLLRPTKSAPCSPIKPAAAGMLRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWSAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLRHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLEAKLVGRPRADQIDTNWLFDALLLGSSSSIWQPQPCIDHMLPPSAPAPAPAPVPAPAQARREHFADENAVMSKKLAALQANMLSVDAQPFYSLRMPPLATKPQNTLPQQQQPLQPQQKTAPIHDPLGNLKRTRSGNCMDKAGAVVDKEQSTDENSGRRKSLSAEDRWPELPDHSAFDEALFAAVLGPVLDDEPATTRGNGHGKLPASCDTSPVVKEKIGKRLRIFQDITQTVNNF >Dexi3B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:3B:8186230:8189947:-1 gene:Dexi3B01G0011670 transcript:Dexi3B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAVTLGGKGAALSPAAVYALSLGFSSPSIDASALKALSTRAPSPQEAPASLGTALVALAPAESRAAAAVLLNKLLLTASDSASALVTAATATRLAESLDVAAALPLGSRDEAAVAAASAPVAVALAALIDCCATPLARVADAVAALSCEAVRGDAAAFDVPASGDGLSAKDEADVAADIKMLVFGSKLVGSTGGAPAPATFAKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDARETGEGKEEALVVLATQLGRAVQALCKLSVARAKLCTETIADAELREKLTGGFSIDDLKGMLDRVLIDSDVVSVLKGVYNHLLKFRDFLAWEAAVAMAVIEGDSSIEKPQADVQNEVGSSAEKAQAGGDKGKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGTAITSLNSALVAEWATSLSLMFDPKCARLESLVEKVKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQVFFQYLSWFHGGELCSLRYDLTVPFARYVAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVIKVLTELLNQLDIGTYEIKLNHRKMLDGMLEICGVPPQKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADEIGTLVKTRGPPLEVLLELRKEGSKFMQNEGSVVALNELEILFKALEKANALDRIVFDLSLARGLDYYTGVIYEAVFKGATQVGSIAAGGRYDNLVGMFSGKQIPAVGVSLGIERVFAIMEQQEKECNELIAKVALLVLGLISSTVLILMIRATETEVLVSILGKDLTLAAELVSELWSAGIKAEFKLTTRVQNHIKYALQSGIPWMVLVGESELQKGSVKLKDVKANQEEEVDRKVFVQELKKRLS >Dexi9A01G0023230.1:cds pep primary_assembly:Fonio_CM05836:9A:18473570:18474678:1 gene:Dexi9A01G0023230 transcript:Dexi9A01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPGLDADYSVARSSRSAIVVGTATGYHLLNIEGYTNTKELPTGHRIRSRPFTLTAGGSSWRIYYYPNGDQSDAAEFISVYLELDLDQGVAESVEAQAKFSLLDQTGGLEESGHLKDDCFTIRFDVFVPKELRTEDRAVGPVLVDVPPYDLHLHRERLLAAKTGADVTFEVAGETIRAHRCILAARSTVFEAELFGQMKESADTTVIRVDDMEAEVFRALLGFIYTDTLQDCPAVEEAAMAQHLLVAADRYNLDRLKLICEDNLCMHIDTGSVVTILALAKQHHCHGLKQACFHFLSSPLNLNAVMATDGFDHLTRSCPSVLKELMSNIAARVPLY >Dexi3B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:3B:6951217:6955159:-1 gene:Dexi3B01G0010060 transcript:Dexi3B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIRGVFGSPPQSAKPNLWRHHNFRGGEFSVLLHATGCGVRIHRLTFGDAAAGAAAEAGKQQKFDVAAAAASKALGDWCTVPKVVAIGPYHRYDGEHLKQAEEVKLVAVWHCMEKAGLEFRELYHAVVPVVAEIDARGLYDKDVMAGITEDAFLSMMFYCACFLVMYMVKKSGLPCNAELYDFFESTADSIAHDIMLLENQIPWPVVDVVMDKLKCFPLQKKFIARWKESCLQDRAGDEWHRVVWDEGYQPPHLLGLLRFYMVGGISSSSGDFALSELPDMGLARKWIILAKLSMPPLSLNDLRASQLVNMAALELCTTPNFFDDAAGIEDSAVCSYLLLLRMLMHREADVHELRKKGILQGAGLTDNQTLDLFTSLHSLRQGRCYAHVMVQIAAYKMVMPINFYAFIHKKKKTFLAWREGSLLTGTHPAALLSPPLSYVLMAMSGGARAQLKIQTELRSTQNSDRDELE >Dexi3B01G0034970.1:cds pep primary_assembly:Fonio_CM05836:3B:37611170:37612195:1 gene:Dexi3B01G0034970 transcript:Dexi3B01G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGMARAGHGAAAATAVMRAAVSAFFGGYHRFTSVAALLALPYSAAVLASEAMAPSSEMLRSTATRLRSVFAAAGFPPSPFFALLEAKLSQTIFTFVATLPFALTFLLLAKTCVAAMLRDDVDGDGASPPRRRRRLTAAAAALPPCASVVRAYPAVVATHLLNAFLMLSANAAVFSLLLLAFGGADMAGLTSHFWTLVLSAAGAIVYSLAIGVATVVCNLAVIVAATEPGCAGHAAILRACVAIRGRVSTALAVALPTNLALAAAEALFGLRVVAQRRMAGGKLAPGVAGEAFSIAYIHAICVVLEIIVSCMFYRSCKRSEADELRELEPEEKGDLQA >Dexi6B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:6B:1587186:1587807:-1 gene:Dexi6B01G0001810 transcript:Dexi6B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLPSSPRSNEASIEEQLQGLKSIICSPSVTIETMVDGLSKIGSIYSRINELTCLASSQRGQRKAVEEELERSLLLLDLCSAMQESFEELKAIVQEMQLALKRGDDVSVQAKVQSYTRLAKKAQKQSKKISTKASSDIEGCQVIKLLAEAREIAMTMVESTLYLLSKQLVMPSSSKWSLVSKAFQKKRVVSWS >Dexi4A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:4A:10213077:10215793:-1 gene:Dexi4A01G0011930 transcript:Dexi4A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGGGASSAIDESIEARERRREHAAAAATIADTDLEDEVNTNDPAWQLRKYLLLLAILVATVTYVAGLDPPGGVWLETNDGHRTGDPILPATRRVRYTLFYYFNATAFAASLVVILLILFMRRHVMLRPGLLTTIYASALCAVVLAVVLLAIRLAPRHGASSSKTTDQNHAEAADTYREEHGKLKAKEWRKVSMLLAIFVTTVTYTAALNPPGGFWEHEASAGEEGGHRAGDPVLLERHPARFVAFLLFNTAAFAASLVSITLLLSSRLSGKGVHLKPLYGCVAAALVTLVGAYISGSCRETSTTIYVLALVGAVLVCVSVLAVVEIVYRSKSTQPKIFEEDKDPLDKARALILLLATLAATVTYQASIDPPGGVWRENGDGLQGHAGGDLILLGTHARRYKVFFYCNSAAFVASVVVVIMVQARDLSGRYLVGGHALLAAVILELFGLVGAYAAGSCRDVRTSAYVFALATVIFIGVVIIYFVLGKVKSRETSSAVGSTNEGQKQNGKQKGRENRDTVGKDKERNEEMEDPERLAIEAMRKNQAKKIKKEQRDREKRRKLLLLLAILAVTITYQAGLTPPGRFWLEHGDAEHHVGDPVLADNYPRRYKAFFYSNATSFMASVAVIVILVGRDLSDANKKYLWPLYICMAAGMVGLMGAYAVGTTRRVRTSIYVFALVAAVLSFAVLHIRFFHDKIESWMLKRWPDTPKNNAKKEEDEDPSYTRRYKMRKYLMLLGILAASVTYQAGLDPPGGVWPADGEGHAAGDPTLRDSDGRRYHAFFYSNTASFVASVVVIVLLLMLQGAPLRENGNARMPFRSMHVVVVLDLLGLLVAYAAGGTRDWGTAGYVLAMAATVLGYVAIYVVLSTRGEGSSGKDGKVVET >Dexi6B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:6B:893990:894373:1 gene:Dexi6B01G0001080 transcript:Dexi6B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVTDTPDISAFAFSFSFLTLSDEKWKKHSRTGEYTGRRTKSSSLAKGRRMGTGEPSTNHLSCTGDGVESSTPAAPPADLRPGSVTLLQQFMLQLQHSGTCSTIIAHKRTQRPRSRRRHGAASLG >Dexi3B01G0025510.1:cds pep primary_assembly:Fonio_CM05836:3B:20233688:20236426:1 gene:Dexi3B01G0025510 transcript:Dexi3B01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYVRTPAPPVRQFQLPSTTPLLFRGASSAVAIAGNARTNSETQTPFHSGLPLPCAQQGADLLLSLAVLAATVRGSCASRAALGETHPETETTAGAQEHSSRESQSESCSSSPSASAPPCSPPRPRPGRVAAPWAPHRAAAAGKTTTRRRPHRQTTTPPTRRLRRRRTITTTTTRRLRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHHHHHHHPPPPPPHHRGAPPPPPPSSSYYYHPHPPPPHAYHGPWHPPPAPPPQQQPPALTGPPPEYVEHQQAQKVKNYVNLHKDTIRLEPDGADTDRRLVTFTFDAITDGSVTIYYFGKEGKGCSFSSVYPELQTPTKIPFQKGLAQRFIQPSGSGVDLGFFSHDELSNASGEVFPLVVYAEAYPSPEEGGPSVNSTRAQITLAVLEKHNNDLQVKVIKQILWIDGVRYELQEIFGLVNSTEEDVADADDTGKECVICLTEPRDTAVIPCRHLVSA >DexiUA01G0022350.1:cds pep primary_assembly:Fonio_CM05836:UA:45502719:45506999:-1 gene:DexiUA01G0022350 transcript:DexiUA01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRKGDAAAAPTPKAAATGSYKPVVKFKRKAVKADPEKLKAAAAESADPAGASASAPAPASKPVEASPAVAAAAGNGVVAQSKTEDSKATGKESMKGREEEKVEGKGKAKGEDSNTSRTEITKARGEERVRREEKRRTMGKEEEEEEGDDEKGFIFMCSGRTKPECYRSGVFGLPRGKMDVVEKIRPGAKLFLYDFDLKLMYGVYKADTRGGLDLVRHAFEGKFPAQVKFSVDIDCLPVPERSFKHAIKENYNAKGRFTQELSSKQVRRLLAIFKPIGLSQPAPQHIEETRLPRIVEDRQEPTAAVATVMDPLLARDYRALPGEHARTTAVATVMDPLLARDYRALPGEHGRTTAEAIVRDPLLARDYGPGELAARSEHVDELYRSYKLSTGAMDLHPGPSYATTSYDNPSSLYSGSLQRPVVTRVRGSSVPVSTRYSFDGPPAYR >Dexi2B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:2B:28560750:28561976:-1 gene:Dexi2B01G0018240 transcript:Dexi2B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGYRRKDGFPIGFRFKPKDVELVEHYLLPRLQGRRTVPNDSVVEANVYACHPETLANEYKSGGQDEWYFLSPRARMYGNGVRPARKTLDGRGRWKASTATKEVAQEVVCNGIRFCKNVLNYFEGIPKKEVRTKWIMLELTVPEYEIKLDKPGPKKMLDEYVMCKIYVSPLHKRKGDADEEGASSACEEEEVACSSTQHSQVTAESSKEAGKRPMSDQPLLLGHARPAPKQARHGSQSIGPTQASCGNASRTEVYHGVPCRPTGGGMQAPPRMQQQRPAGAYYNGQAPPRPTPTPPGVQYQPTRSTNPMA >Dexi3A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:3A:7581810:7582830:1 gene:Dexi3A01G0010590 transcript:Dexi3A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLLLAPILLATLLIVSNAGVAEASRRPWWSSWWRWDCWFPRSSSGGGDYGEESHSHVSSIITEDVYKSFFLHKDDAACPAHGFYDYASFVRAADAFPAFGGDGDDATRKREVAAFLAQISHETTGGWATAPDGPYAWGLCFKEEINPPSNYCDPTVTQWPCAANKSYHGRGPIQLSWNYNYGPAGKALGFDGLGNPEIVAGDSLVAFKTALWFWMTARAPKPSCHDVMVGRYRPSYNDTVANRVPGFGLTTNIINGGIECGSPGVPAAVNDRIGFFRRYCGLLGVDVGNNLDCAQQTPY >Dexi5A01G0023370.1:cds pep primary_assembly:Fonio_CM05836:5A:27393068:27395428:1 gene:Dexi5A01G0023370 transcript:Dexi5A01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPAPQEEETGAAGPADDPEDYDGGGPRAPPPRQQSAPASLALAAAKAKGRVQREQQEDEDDEEDQMEVDLEKLPTSTGDPDKLAKMKIVMTERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >Dexi4A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:4A:2834013:2835512:1 gene:Dexi4A01G0003950 transcript:Dexi4A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGQVPNGGNQTNQKSNGPSSSSDHEDNAALEEWAATRIQNAFRKYKARKTLRCLRGVKRLRVVGQANPVKKQTAATLSYIQSWNKLQSELRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMDEILARIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFSYEVGKGGWGWSWMDRWIAARPWEPRSLVQPENPKKVQAKKENSNTNPSALKLQGSISLSNNVNDRKVPKKKPLPSPNDQKKQSPSPTDQKKQSLSPPDQKKPSPSPTDQKKPSPSPTDQKKASPSPTSDQKKAAPKEQRAKAAGTPPKPKAKEMKGRQEKQQQVVPSVSA >Dexi9B01G0047240.1:cds pep primary_assembly:Fonio_CM05836:9B:46427250:46428915:1 gene:Dexi9B01G0047240 transcript:Dexi9B01G0047240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEETFMDELMSLRREAAAVAPLQWQVYPAGSGVMMTDLLFYGGEAGADTRTAAGGGMDMSPFQELSPMAAPPQQRPHEEFNFDCLSEVCNPYRSCVTVAGDDAAPGQTLVVAPLGHDAMVEEETMSGDKQGHHHYGGLGGSPTFVFGGGGAGGEGSEMAIRGVSGGPHHRSKLHGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKALEEEIGASPEDLNLLNTLKDSSSNSNIEMMVRNSTKFDVEKRGDGNTRIEMCCPTNPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMKASCLQEDGKRQVISTDEIKQALFRSAGYGGRCL >Dexi6A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:6A:28611627:28612989:-1 gene:Dexi6A01G0021340 transcript:Dexi6A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSTDGGTPEVTLETSMGGITFEMYYKHAPKTCRNFVELARRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKSELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDV >Dexi5A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:5A:7879999:7883572:-1 gene:Dexi5A01G0010430 transcript:Dexi5A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHRRPAAKHLGSITSRRHAELLLHSGAGGVSVKDLRLHRVVPPAAGSLDTSPECAPPVKPGSVESTPPSAASAAAAAEDLDRKPLLPRSKLVRDPGSFGYRRLLPFLNEMAKNGSSISKEVPSDIAAAHSKNELSKSDSRFVNEPLGESLRESDPMDSVEPTVLKTGGDPEVKDVSNNVTEETNTVPNDLASSKPWLYRCTRSRLVHHSSSFSYKRMLPYLMENEISSQECERVKIRKVTEERLLALDENTVSASEQQHLAVSVNSSQEYNRAQVERMEEEEPPKADEKCVLDDRQPQLAVTKASPSEFNAAEAQNVLQQEALPSNQNPLTSSEGDLTSDGDDVQESSQHQTVVSVDSPEECNGDEVKRSIQVETVKPDGRHVLDGREFQCCVSEVSPKSSTTEVQMVTQEPLPLDGDEETSNKGGQLAHEQLQPCVAHESLSAELQDNTEFTEVPQCQNKDSGCHDVGFVSPTKTVIPLLHRHCAQAPKDSVASHDDQFLDSDIQMICRPSDPCAVDRSLSVEEMSGCKLTEPGCKGGTSQPRGTHSMEKRGLSPQKPSPQKLSPKKLSPKKGILKRHTRGCKGICMCLDCCTFRLRADRAFEFSRKQMQEADDVINNLLKEVANLRSLVENPAGQVSTITCTSPFVSFPYHEVTYLEISVLYSQNFKVCKILQFLYLFGIAGVDTNSLQTGLSGGRCGQRTLPADVHGPKFPLQNPWTEGKLHPICGGKEDGTISTPQQPEVTFHPKVRRGPVAS >Dexi5A01G0030300.1:cds pep primary_assembly:Fonio_CM05836:5A:33307262:33307522:-1 gene:Dexi5A01G0030300 transcript:Dexi5A01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISVCASFLSALLLLHCVLTPSGAMSVFVSSEKARRQVFHAAAAVANKTPGAQVSENLKKQTPSRSNPIQN >Dexi4A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:4A:22127846:22128241:-1 gene:Dexi4A01G0018160 transcript:Dexi4A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKKTGGVDFSALSRHGYRGGPSVLTVRPQEESNWSWSTGKDCDGKEDAHESYEERERTRAAVTEGEKLIGKEKRKRDRGQTSRGKNYVEEEKRLLRGSGVYSGFDT >Dexi2A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:2A:8238202:8239473:-1 gene:Dexi2A01G0007960 transcript:Dexi2A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYYPYGAPPPPQMPPAPMPVAVVSPQFCAPYAVPMTVVKKAVSLSGGDFVVTDANGAEMLRVKGAVFSMHDRRVLRDAAGQPLVSMREKVLSMHNRWEVYRGDSTNSSDLLFTVKKTAVFQLRTEVDVFLAGNTAQQSCNFKIRGSYFERSCAFYLGYSDTMIAQITRKYTAANLLLGKDTFVVTVFPHVDYVFIAALVVILDEMHRERFDWDF >Dexi6B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:6B:9958467:9959716:1 gene:Dexi6B01G0008040 transcript:Dexi6B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILVCFGVSTYGNPLVTSSYIGENLFAIGLTLLSIGLFAQLIGGMMGVEGDAILKQLPVDLRRDIKLWLCLDLVQRVPLFSAMDHQLLDAICEHMNYFLCTEGTYIIHEGDPLKVMTFIFRGQLESCTTDGGRVDFFNSIILKPGDFCGEELLTWALLPSSGDRYPMSTRTVRTITEFEGFSLQADDLKFVASTFKMMHSKCLQHIFRFHSHQWRAWAARFIQSAWRRHKSQETAERGLSSRWKTFFSLIDDNANNRCQNIEGTSSSVSKAAEFPFSKIATILKAQNGRPEEPDFFVVDHLN >Dexi2A01G0025590.1:cds pep primary_assembly:Fonio_CM05836:2A:37181067:37189043:-1 gene:Dexi2A01G0025590 transcript:Dexi2A01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVRGLPLPPSLSYSRTSPRGLAPSARGRSSRSGRRVHGVRAAALEAAEGASRATEPVEVVGVGSRKDAVLDFCLGSRTLSSTPIRFWTVNVMNNSRVQLIQKGHGTGTVSSLMQFSETWNRPYIFIPVHLLLFLFVHFSSFFLKPCIVLVLFGIRPLNNVNVSSAGQDADHISAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLQTCSNFHIVIEADSLLETEVETLAEALDSANNAVLSTISMISIMMSGYNKMFSSSLDVQIKEIDPEEVAKDLNNVVFLSLTTARVLSETDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDENVATVQEGFLSSLALHFPFISSLKRGDIPEQKQAGQKHSLNQLPDNGSNTVEQELSQLSNGSSDATVSKMFPEENEDLESERENNDSNRSMKPESLESDFVVAEGMRKGGNREHLGSEQEHSFLSNSPGFRIAQLWANERTMASGSSKNDELDIITLPVGVKLSKVQSHHSPNTQPETTHSGTTVLALEYHFLMFVWRKEPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRFGKRSGLGTLFYSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFGHFQNGWRHGESLLVDANGTRWIEVWDEGVLVGRAKMEK >Dexi6B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:6B:3140675:3153636:1 gene:Dexi6B01G0003840 transcript:Dexi6B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYYEDHSISSSHADDMDERRSTVSVSPEGSADEGTFPFFGLLCYADTVDWLLMALGTLGSIIHGIAFPVGYLLLGKALDAFGTNIHDQEGMVHALYKVVPYVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLSQEIGAFDTDLTTAAIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIIIAFISCWEVAMLSFLVIPLILVIGATYTKKMNVISLARNAIVSEAISVVEQTLSHIKTVFSFVGESWAMMSFVQCMENQFNLSKKEAMIKGIGLGLFQAVTFCSWALMVWIGAVAVTKNKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYDKGGAVLEKIHGEIKFRRVHFAYPSRQDKPILQGFSLSIPAGKVIALVGSSGCGKSTVISLLQRFYDPTSGDVFIDGHSIKKLDLKSLRKNIASVSQEPALFSGNIKNNLRIGKMDANDKEIIEAATTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALERAMRGRTVILIAHRMSTIVNADTIVVVENGKVAQTGTHHELLEKSTFYSNVCSMQNIEKEAEKKVASTSDNVIEEQVSEAHVRQSSTKQGTKNKLERVESKQHKKEIVREIHPFFRLWYGLHKEEILKILFGSSAAAISGISKPLFGYFIMTIGVAYYDPDAKRKVSKYSLIFFTAGMITLVSNILQHYIYGIIGEKAMKNLREALFSAVLRNELGWFEKPKNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATTVSMYVNWRMGLVSWAVMPCHFIGGLIQAKSAKGFYGDSAIAHQELVSLASEAAGNIRTVASFVYEDEIIKKAELSLREPLKKTKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFDTLDRETQIVPDKPENPSKGWLVGRIEFQDVHFNYPSRPEVTILDGFNLIIEPGQRVALVGPSGAGKSSVLALILRFYDPYRGRVLIDNKNIRDYNLRWLRKQIGLVQQEPILFNISIRDNISYGSESTSETEIIQAAMKANIHEFISGLPEGYDTVVGDKGSQLSGGQKQRIAIARTILKRPAILLLDEATSALDGESERVVMNSLGAKEWITEDDQATKAMPLVQEKDRPTESSESSIADGKKSSGPDAMAPETEAEDKPFPFLGLLCYADALDWLLMVSGTMGSFIHGMGPSMSYYILGKAVDVIGNNIRNKEAMVHELNKLIPYMWALAIVTLPGGMIEIACWMYTSQRQMARTRMAYLRSVLSQDIGAFDTDLTTANIIAGATNHMSVIQDAIGEKMGHFISNFSTFLVAIIVAFACCWEVGMLSLLVVPMLLMVGATYAKMMTDMSLARTSFISEATTVVEQTLANIKTVFSFVGENSAIKSFNKCMNNQYTLSKKEAMAKGLGLGMLQIATFCSYSLVIWVGAAAVTGGKAKPGETIAAVINVLSGAIYLSNAAPDLQVFSQAKAAGKQIFEVIKRNPAISYESSGKILEKITGDIEMREVYFTYPSREDEPVLQGFSLAIQAGNIVALVGSSGCGKSTVISLVQRFYDPTSGVVLIDNQNIKELDLKYLRRNIGSVSQEPSLFSGTIMDNLRIGKMDATDEEIIEAAKTANVHSFITKLPNQYSTEAFAMTISSITELWSLIPMVLSAIAILEPALDILDRETQIVPDVPKVHSEERLAGDVEFQDVGFSYPSRPEVIILDGFNLAIEAGQRVALVGSSGSGKSTVLALLLRFYDPYDGQVLVDGKDIRHYNLRYLRKHIGLVQQEPILFNMSIRENISYGNEGVSESEIIEAAKEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPTILLLDEATSALDSESERAVMTSLGAKEWENKGALSSKITSITIAHRISTVTNADVIVVMDKGQVIEMGSHESLLSASTGVYSKLYHMQSKGVKE >Dexi9B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:9B:10436404:10441170:1 gene:Dexi9B01G0015340 transcript:Dexi9B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLSASSQAGLLLLSLPLLQPPGAGACLRYRLPRLLPALSSVRKGGLLPLPLLAPPRAAEGRDGRAVTKEEVLEEEEEEVEVRKEGGEGGGSGDGGVTREEAARGSGSFSADYISLGVREPVYEVIEVRSSGRISTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILINLGSLRAIAMYERVLIFNYNSRGGKVFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSKILRLEQRLMRIEPSVAALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLNDPHEIRRICIMGRNCTLDRLSDAMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSISVNLSSRRLEVSRVELLLQVGTFCIAVGALVAGIFGMNLKSYLENNAV >Dexi3B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:3B:88757:92057:-1 gene:Dexi3B01G0000090 transcript:Dexi3B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSRYSQASWAQEGGDPCLPASWSWVQCSSEYAPRVFSITLSGKNITGSIPVELTKLSGLVELIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIIDPSLDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSATIQQQLLVSNSNRSMGDSSSVNNHQDLEQNGASFDELLMRPGLR >Dexi7A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:7A:26503148:26503620:-1 gene:Dexi7A01G0016610 transcript:Dexi7A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQIVLSRRKIEAQYVEMKVPLYSYGCEKKIKKALSHLKGIHSVQVDYHQQKVTVWGICNREDVLGAIRKKRRAAQFWDSDELGHGEHVTMPGDAPKQYLAAFTAYRLRKSWKKLFPLIRL >Dexi5A01G0029000.1:cds pep primary_assembly:Fonio_CM05836:5A:32176517:32180699:1 gene:Dexi5A01G0029000 transcript:Dexi5A01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAWPFNEFLNIVLFIFQMHPILQGGSVPPASNSRMPPLPHEPAGFYNDRGATVDIPLDSTKDLSKKEKELQAKEAELNKRERELKRKEEAAARAGIVIEDKNWPPFMPLIHHDISNEIPVHLQRMQYLAFSSLLGLTACLFWNVIATTAAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRLTESALKFGWFFLFYLLHILFCVWSAVAPPFPFKGKSLAGILPAIDVIGRSVIVGIFYFIGFGMFCLESLLSIAVIQQVYMYFRGSGKEAEMKREAARGALRNAF >Dexi5B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:5B:13699321:13700475:-1 gene:Dexi5B01G0016120 transcript:Dexi5B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSQLAVLCSLVLLLAAACHGALEVGYYNNTCPTAEQIVRAEVKKAVSANAGTGAGLIRLLFHDCFVEGCDASVLLDATEDNPQPEKQGMPNRGSLRGFEVIDAAKDAIEATCPGTVSCADILAFAARDASYFLSGYAIDFDMPAGRLDGRNSSADETLAYLPKPTADLGDLVAKFADKGLDVGDMVVLSGAHSVGRAHCTSVQDRLGNDTDMDPSFNRWLRNKCLITGPSDPANNATVRQDTVTGKVLDSQYYTNVLRQRVLFKSDAALLGSPETATMVGDYANGDGQWESMFEAAMVKMAAIGVKDATNGEIRINCRSVN >Dexi5B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:5B:4392494:4393301:1 gene:Dexi5B01G0006580 transcript:Dexi5B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDTKTQRVLYGEAGKDVVDFLLSLLTLPVGTVVKILSKDSMAGSISNLYRSVETLDETYVRSATTKAARAAGAYNQQAGGKLLQLPEPASMELFRCNKYAECVYLSKARGARCRNCDQQMNTRMVLDDGDTSGVSAGGAGDAAAPALADTGLRVMTYAVMDDLKVAPMSTISGITLLNTFGVTDIGSLQEKTVQLGYDEILKSSLQSKTVLTDVFLVKK >DexiUA01G0002880.1:cds pep primary_assembly:Fonio_CM05836:UA:6113704:6115016:-1 gene:DexiUA01G0002880 transcript:DexiUA01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVCVCAGMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAIRSSADAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYATARGAPPPTKEDMVLCKIYRKATPLKELEQRASAMEEMQRRGNAHYAAAGAPMAQAVPSAVDEYLSPEDAQDSFMFPSSSSSAPSGDSYTAPMEAKQEAVDATTVTVASSSSSLMQAANMTIMPPPAVRHGELPTLQVPMNHGALDWWMQDLSQLRSPWQDQLFLSPLVQANLLY >Dexi8A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:8A:2300984:2301340:-1 gene:Dexi8A01G0003190 transcript:Dexi8A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKIMSVVDGGEDALAEPLPSVLPVKAPVAAVVDPGAVRVKLVISKQELKKMLDKEGMSLDDMVSLMHKEAIDREQEECCGGWRPALESIPEGSDL >Dexi7B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:7B:3269919:3272160:1 gene:Dexi7B01G0001870 transcript:Dexi7B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPVVLAASTNDSDEDTCLHALDLISAFAVSMTVKAAIELGLIDALSTAGGRAMTAAELSAPLPAAHKAEAAASVDRLLRLLASYNVVKCETETSPNGEAVRRYTAAPVCRWLTGNSGQHLGAAVAAGGPVAFERAHGVPMFRYMGTNNRLNKLFNKAMAQQTMIVMGKLMESFKGFEGVSVLVDVGGGTGATLEMITSRYKHIRGINFDLPHCLSDAPAIPGVEHVPGNMFEQVPYGDAIFLKSILHLQNDDDCIKILRNCHRALPEQGKVIAVEIVLPAIPEATPVAQNPFRHDVIMLNNFRGGKERTEQEFAKLAKDSGFDGEFRSTYIFASYWALEFSK >Dexi4A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:4A:22695004:22695822:1 gene:Dexi4A01G0018730 transcript:Dexi4A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYGGEGAGWTTFAYSPSYTKNNCDAFTELPAVATKSSCGRPLGLRFHKESGNLYIADAYMGLMRVGPKGGEAMVLATEAGGAPLRFTNGVDIDQVTGDVYFTDSSKTYTRAQHQMVTTSGDSTGRVMKYDPRTNQVTVLQSGVTYPNGIAISADRTHLIVALTGPCKLMKYWIRGPKANTSEPFADLPGYPDNVRPDGKGGYWVALHREKYELPFGFDRHLLAIRIGAGGEKLQEMKGPKNVRPTEAVERDGGNIYLGSVELSYVGIVSM >Dexi1A01G0024440.1:cds pep primary_assembly:Fonio_CM05836:1A:30998697:30999309:-1 gene:Dexi1A01G0024440 transcript:Dexi1A01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSRALAAGMTTCFPFDSGDASIQLKEKNLYTGLRYLSFPPADPMPDPMMPVPSDGDRRPAADAQTRQRFPHT >Dexi2B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:2B:27069836:27071250:1 gene:Dexi2B01G0016730 transcript:Dexi2B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIGGEPGRKGDALLTPPPPPRGPGLRSPSSPSPSGSGLLPSPSSSSAAAVLALPASRDPAATSPGAGFLATPRLAGEMDGGGEALLVRRSKGKKRAGERDSGAGGSRFRSLWRDYNDLLAETEAKKKRLVSANRTKHALLAEIKFLRMKYKCFVKVNSQKTHYKLKKQARYIPSPLGRGPGFTGHDTAGAEGPSSSKTPNFDLNQGSAMNDEGNDCQGPRGHLEPDNFDQVGVDEEMIAADVKLSVCRDAGNSPASDDKRTIPWQDRLALKA >DexiUA01G0027910.1:cds pep primary_assembly:Fonio_CM05836:UA:59686448:59691688:-1 gene:DexiUA01G0027910 transcript:DexiUA01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAETLASFPIASPSRSFLRPLPRRPAAAGGGARSIRISAVPPRGLGMSLVHRRVRRCPPAARANVERNGDEADASGNGEPSSPGDGDRDAATEPGGDSTGTSTTSAAATPPPQPSSKRGENKWRRKVIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYLSVPYSDFLASIDKDQVRKVEVDGVHIMFRLRPEVEARAVEQIPAQRGADAAIDNAGGSRRIVFTTTRPVDIKTPYEKMVENMVEFGSPDKRSGGMLNSALVGLIYVVLIAVVLQRLPISFSQQSPGQLRSRKNSNSNGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYLRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRRELPLGKDVDLADIAAMTTGFTGADLANLVNEAALLAGRLNKEIVEKIDFIRAVERSIAGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLAGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKALLQSALEVALSVIRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGQQEQVLQLELEAGS >Dexi8B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:8B:7870989:7872002:-1 gene:Dexi8B01G0006730 transcript:Dexi8B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSALLRSFSSRLSTRLSPSPAVVAPWPPVRSAYDSWLAAELDDLRADDPLTPCTTAAWLSRALALAVSAQSRLVSSSETSTAAAITERKIIDECVDDAAELLDAIAGIRDRLEMLRSYATSTRVALHWLELDGHHGARRAAAAFAECDAVERRCGGGAELAKCVSNLRKLGERALLQDAGDEELSGARAMALLAVGALGASLAFRPRRAVFSGVVSNRSGGKAVAQWESDLHEVQRQVREEYDRRRKDGVPCMAELDAMAATVRAVRCAVAGGRRCPEAVAAVARMRCDELEETVEVFEEKIGELHRELIAVRMVLLERAQRARGHELLRLPRI >Dexi2A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:2A:26036962:26038131:-1 gene:Dexi2A01G0015190 transcript:Dexi2A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTTTTETLSALRLASASLVSSAAASAHHFSLPLRRHARATSQAASLLTTSHSPSLARMRHSSPSARSVTDTSGTDDTYGLRYPSPMARDMARTPSTRAPSQKMTRPPADSIRSCSSGRSGLWSDESATGGAPSPRQSTARESPQWPTTTRRSSTTATTAVDPTWSHLCASQRQLALAAEAARPPEEVTSASIRAKHRSIAEVHAAGSRGEEDEALSPSPATSSSASSSCIRSRHASATCEQPWPSNTP >Dexi5A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:5A:8529921:8539476:1 gene:Dexi5A01G0011420 transcript:Dexi5A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPRTTSRGAPARGPSKSKRTDDLAAMSDSDDDEIDAFHKQSDRIPFDVKRAEKAVKQIAGGDDSMDEQDEDGKNKNVWGRSKGVYYDRREQSGDDEVDYEEAQRIHKERVDKLSMKDFGFEDGESDEENVATKQASNHETKMKEDFSALPGNEKMDGTYSANIFIRGGGVVDTGDGVGLAHAGGGNPGDSDRLSQAALVHGSSGVGAWIDVGAGSGGGVVDTGDEVAADLDNSNGKMQPLVVKKACLLAYSQAITFYLLLKAEGLSVEDHPVMSRLVETKDMVEKMKQVTMNLAGQKGSIDDHNMDSSTIQADKVVSLDKEENKHSNVIALGKAKQGVELSESRKGESSNHDRLELNKEKEEHMGLQSLEMLKVRANLEERLKKRGLYNFARSKPEKLSKTRSTSNQSDLQTLDDFDDEVQKNNQMTKPNKLVMAATKSNKSKFVSGDDDLPKRDNIGERRRKHELRVLSRVGANSLDHELPEDGDDSEDEFYQDVKRQRIEKLSKKNEKYLLTPGIQPVEEDMEGDGKRKISYQDKHKNKLVKRKGQVRDIKKPSGPYGGEMSGINPNVSRSVRFKS >Dexi9A01G0031720.1:cds pep primary_assembly:Fonio_CM05836:9A:36681497:36682052:1 gene:Dexi9A01G0031720 transcript:Dexi9A01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTRRVGGWAEASKTKNPKLLFECLPPLWDGAAAPDRPLLDPTALSLALPPPALKKEDYLAICLAALAGTRKFGLWKKEQHHEAAQPQELPFRCAVCGKAFASYQALGGHKSSHRKPPTEEAIAAAARAAADSEETTTSSGGGGPHRCSICRRVFATGQALGGHKR >Dexi9A01G0047950.1:cds pep primary_assembly:Fonio_CM05836:9A:50868507:50869789:-1 gene:Dexi9A01G0047950 transcript:Dexi9A01G0047950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDVPTGPCIVLDPETSEEGRTILLDTKGNHHTCNIKPFRGNMSFSRTWKGWILSWDRNDFHTFLYDPWTREEIGLPRFTHDLPRVFDCALSDKPTNPGCIVVILHPDEPYFWYCRIGGVSEWIKYDYDVGMDPADPKGLTWKNSVLHTLRSCNGRFYFPIASVKHGIIEFKPNPVIRIVTMHGFRGGYYANVCNFELDEELYKFYVFYDNKDNVTDFSLYKVDLVQQRFIKTLVAPFAAVAHTMHRPFQWNMSTVQRYTGMSLTSAVASELRNAPRCPLGLDVVPDV >Dexi9A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:9A:8018661:8019585:1 gene:Dexi9A01G0012540 transcript:Dexi9A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLVALVVLGALPWITATAAVNPDDAAAMKSIANTTGAARSLGWGMKSANPCDGTWTGVSCDDAGRVTSIRASRAGLGGGWLRASDLSKLSFLAELDLGNNGLIGETGGDLPLLPAPLHRLRALDLRSNRFLGIRGGFFAAFPALQDVNLDDNPMASPKLQPDDVRACSSGLRSFSANNISLSFFPDYLGSASEFPSLESLSLARNMLHGAIPEGFGQNSNIKFLDVSGQASTLTGRVDQFIAGMKSLVEVRNNLCGVPSFGSAVSVDVSGNPGVGKPC >Dexi2A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:2A:23579087:23580106:-1 gene:Dexi2A01G0014330 transcript:Dexi2A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSCIFLDLQTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMNCVNHETGVVDPKKFSVLANWQREYTMEYILTQLKKEMASPQNRKLVQPPEGTFF >Dexi4A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:4A:5077493:5079895:1 gene:Dexi4A01G0006990 transcript:Dexi4A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAIFVFLLVSALQMLECVLDLARRRGSISDEQVKLRMEITQILKEASTLSTSSTFAQAAKLKRLAAAKEKELAKVQDLTIKGKQSLYEQYGKVLLITKVLIYGLLVLWFWSTPVTTVPKHLLQPFGRLFSWRGIDAATGRVVVGILPWLFLTSRVSKLLSEKLAPIFLHP >Dexi9B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:9B:4584744:4588552:1 gene:Dexi9B01G0007490 transcript:Dexi9B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGRVAAPSQRRRYTACWPGPPHHRAAWSFTRRVGVRFRPCRHQSRSERTLAPGGAAASIGDGSGRWESKEPHARHNVSPAYSPDRAPWGADQARGMADLAQDGRNQRSGDEVAGEPPLLKDSGTRLQEMEAQDPASKDVDPGAGALAGLQSNIRSSITQPREANNGEMASAAAWEGPAAAELKAAGAEAIPGGVRVKGWVIRSHKGPILNAASLQRFEDELQTTHLPEMVFGESFLSLEHTQTGIRLHFNALDALKAWKKEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYCGSDVTALNSGTVWTYSQLNLLNLTFYKENIICPLPLFTGVVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRLHCPFGNGDGAKPVVLRECCWREATFASLSAKGYPSDSAAYADPNLIAQKLPVVMQKIQKLKIPN >Dexi5A01G0038470.1:cds pep primary_assembly:Fonio_CM05836:5A:39470536:39472817:-1 gene:Dexi5A01G0038470 transcript:Dexi5A01G0038470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPWAGTASIDFALAQLIQVIVLLGTGGLNGGGYMASKYVVLAIYAGILVIHGLINSLPIQWLAWFGYLGAFWNAAVVLVILIPSVAKERASAHFIFTHFNTDDGMGIQDKAYILAMGLLMSQYSLLGYDASAHMTEETKKADWSGPMGLITAVGLSSLFGWIFLVALTSIMTDIPYLLDPGNDSGGYAVAQALYDAFHQRYGSGVGGLFHLGNYGVVVGWVAVAWVSLVTVLFSLPVAYPVVADNFNYAPVLVGGVLFLSVGAWQPRCPSPSPPSCSRTIAAAAVVAASRASHLPQAPKGRDKSKELLGEEVNLWVKR >Dexi7B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:7B:20971880:20972310:-1 gene:Dexi7B01G0014870 transcript:Dexi7B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLHPTPGQNRAGSAGCRGGAGDDGDDVGMLGLPFFTLRAMEPKRMRGGKMPAVAGLPPPLEQQIPTPSLFFMHPSSDLHLNRRELRKQCEVLWRRNGGRGREKKKIWRDCARHRCRTGSRWRSR >Dexi9A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:9A:14166933:14171835:-1 gene:Dexi9A01G0019260 transcript:Dexi9A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGHEESLLDRSADADAMEEGRGEHHHHHPLSVFFRDARLAFRWDELGKEIMGIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAMSNNRDQINQENEHAVSDSEMEELISPEADYLLNGSTIFQQDSAMMKPALQYLVLRALGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDAVNIVLDPIFMFVFKYGVRGAAIAHVISQYFIASILLWRLRLHIDLLPPSLKHLQFGRFLKNGFLLLARVIAATFCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRVLQFALVLGLLLSILLGIGLRVGSRLFTGDQDVLHHIYIGIPVLVAIVSIICILTLASYSGFTGIWVALVIYMSLRMFAGFWR >Dexi9A01G0025550.1:cds pep primary_assembly:Fonio_CM05836:9A:26151218:26153545:-1 gene:Dexi9A01G0025550 transcript:Dexi9A01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMAHPLPDRLLPSRPVCTRPPWHLLGDQPHRSLARLAKIHGPLMSLRLGSVTTVVVSSSEVAREFLQKHDVAFASRSVPDAVGDHARNSVPWLPHSARWRALRKIMSAELFAPHRLDALQHIRREKVQELVDHVGRLARDGTPVDTGRVAFTTAFNLLSRTIFSCDLTSLEDHGRSKEFQEMVTETMEAAGSANLSDFFPALAAADPQRLRRRLARLFARLHQVFDVEVDRRLRERDAGETRKNDFLDLLLNATGEPSTPGLDRDTLQSFFTDLFAAGSDTSSSTVEWAMAELLRNPDSMAKLCSEIAGVIGSRRKIEESEIGKLPYLQAVIKETFRLHPPVPINVAAAAS >Dexi1A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:1A:25195266:25198970:1 gene:Dexi1A01G0017880 transcript:Dexi1A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAIRGALRSMRDGDISVSPYDTAWVALVKRVDGGGDGPQFPPCIDWIARNQLPDGSWGDDAFFLVQDRIINTLACIVALKSWNVHSDKFKKAYALMQTGDKKCLKFLDNIVNKFNGGVDRLERLGISSYFRSEIDGCLDYAYSEEGVGFTRDCAVRDIDDTAMGFRLLRLHGYHVSPSKQWYLKDGEFVVYAGQSNQSVSAMHNLYRAADQAAFPGDGGGVLGRARRYSRAFLQERRASGQLNDKWIISKGLPGEVAYALNFSWNASLPRRLCRLEWDGLKRAYFLAAANIFEADRAAERLGWARTAVLAQAFSSR >Dexi1B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:1B:872127:873073:-1 gene:Dexi1B01G0001050 transcript:Dexi1B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAKAAVVPESVLRKSKREEQWAAEKKEKALADRKKALESRKTIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLCRVEPYVAYG >Dexi5A01G0035970.1:cds pep primary_assembly:Fonio_CM05836:5A:37640913:37645599:-1 gene:Dexi5A01G0035970 transcript:Dexi5A01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETHPPPPRPVMIADLNFEPAESDGEDRPPTPKPNPAAAVLVPAASAPAVAADFSTRSGNEEGALAKNTTATKDSDTVECEDADQHCQGASAPREEKVSNLKAALVHVAWKMPKNAHAHFMLGLMYQRLGQAQKAITSYEKSTEILLQDEEEVRRPDLLSSVRIHHAQVHQLKSAKFTFMCLMQCILQASMGDSFDDELETGEVDDILVKLKSSVQSDHMQAAVWNILGLVLLRGGQIQSAISVLSSLTAVAPDYLDSLANLGVAYIQSGDLELSAKCFQELLLKDQNHPAALMNYAALLLCKYGSLSAGAGGNVTAGPYMHQKEALAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKLEPNHMPARYAIAVHRIRDAVRSQFSDDQLLWAANEMATVLKEGDPSAVDAPVAWAGLAMAHRAQHEIAAVYDTEHINLNDTEERALYTLKQAIQEDPDDAVQWHQLGLYNICMTQFSRSVNFLKAALARSPDCSYAWSNLGIALQLSDDPCSETVYKRALVLSSTQQLHAIFSNLGILYRQHRNYEFARKMLLRSLELCPGYAPANNNLGLVFVAEGRWEDARSCFEKALQSDPLLDAAKSNLLKVLTLSKKQ >Dexi5A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:5A:21946708:21948325:-1 gene:Dexi5A01G0018430 transcript:Dexi5A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMDSNSLPSPSCPDGRKRRVCYYYDRDIAGVDYGESHVMVPRRVDMAHALIRSYRLLPDMARLCTTPATAAEIASFHDAGYVDLLRDVTPEAFVSGDGEVARRARGFNVGEASKDGGGGCYIDNPPIADLWDYCRSYAGGSLAAARALAAGAADIAINWSGGMHHACRGCASGFCYVNDIVLAIKELLGSFSRVLYVDIDVHHGDGVETAFVDSNRVMTVSFHQRTGDFFPKDRGLVSHVGEGEGQYHAVNVPMSKGMDDDGYYFGLFKPVMEKVMAMFKPEAIVMQCGGDSLSGDRLGGLNLSVAGHARCVGFMRSFNTPLLLLGGGGYTVNHVAACWCYETAVAIGKEIEDDIPKHCYDSYYESQGYKLHYPVDKKLKNDNTEYYIKTISTKVMRNISRLEAAPSVQFEDPAGGSIIDTKKLFHRKPPREDNDPMVRLHRLGGELETHGFLTELGKRQLELLEAAQDQENGCCHQTHGCQSEPVKKHRRPKKAYFKY >Dexi2A01G0019060.1:cds pep primary_assembly:Fonio_CM05836:2A:31213162:31220214:-1 gene:Dexi2A01G0019060 transcript:Dexi2A01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSTTLLLALPEQVMSGIRGVQLESHLDIKAVVPSVEINVQVDDKTVRQANPEYTTWVAKDQQVPTHKTMAAIWAAVEVIFSSQTKAHAMNTRIALTTTQKGSLTMVEYIAKMKTLVDDMTMAGKPLEDDDVISYVLTGLDSDYNPITTMVVALPRQTMPTAGVVAAAILVVSVANLHDKVKGRGSNNNTRSQNPRGNNNNNNHTAAGTASRKIMFLMRRPPRSHLLPSLSIEDAMGTLGGHVAPGAFFFLIGLWQLFGHTRLFLLQRSSYVAPVWFPVRGRGRGIRHIELVMIIVGSVISISMELFIVQAKHQPLDDDGTIPSVHLHNFEHASISLAWLVFAAATIHMDRARTPMRDAVSQLVAAAAFAQQLLIFHFHSADHTGVQGQYHRLLELVIAVTLATSLLLIPHQRSIVLSLVRSASLVFQGVWFAVMGVMMWTPALVPKGCFINDEEGLEVVRCRSQEALDRAKSLVNLQFYWYMTGTVAFVVVFYLQMAKMYQEQQPQYVPLVVKGGSGRGFSIGEIRDEEDDDFGAAKDGLGHEKRPARQRLARNAARKATKGWTPSELTRRPPNLLKTPHHFPSTVQQTQFKATINSSLSSRPLPISPHRKAMGTLVGHVAPGAGFLLIGLWHLFSHTRLFLLRPRSYTAPVWFPVRGVRHLELILIIIGTAMSILMELVIGPERHQPFDLDGTIPSNHLHNFEHASISLGLLLFAALTIHMDRAVAPNRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTLLGIPCPRSFTVSLVRSGSLVFQGVWFIAMGVMLWTPGLVPKGCFLNREEGHDVVRCRTDEALERAKSLVNLQFSWYMTCTVVFVVISYLLLTKLYPEEPQYVPLVMGGSSGRDSDGHDDEDDDLEAAKRGFGQVRLTRSCLFGVTPSPSLRISLSPAPHASDTLRGVLHEFGAIEHDAASSEKCELHDGKGSEIPLTLLLMHAKEKSRRDFNNSELL >Dexi5B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:5B:3325593:3328373:-1 gene:Dexi5B01G0004950 transcript:Dexi5B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALCAPFGLTTCVLPCCRRSSSREQSPRLHTPSSLQGPPTLQSPQQQQRRRLQQPTSGADKGETRSGWGCLGIGKRRIWIWAAERGAPFWVAVVVACCGVVVRDGNLPEAAAQEGATTTALRCGALHLCGTPHERAWVAVAEQAGTRHRWNSKRRNGFGKARVGRMEFAHLPAVRLSGGGAEEEEVVARRARRPDPSAPYSEPSPRSTEHAIGFLELHWCSRTPRTSANDPELTGVEAAAAAPPPPRRRRNSDHPRPPNRPQTTRGEPRILFPHFPEPSSPPFGRRNSGESRGPFFIVFVCLGSEITSSALTVIHGCEQKKRHAKLGNKDKGAPGTKGQKAQEGSGRDNRRRNGPATTVGSVAGSVHVSMAWNTVCTGVMASRKNYRGVD >Dexi5B01G0024920.1:cds pep primary_assembly:Fonio_CM05836:5B:27019986:27024958:1 gene:Dexi5B01G0024920 transcript:Dexi5B01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTKGKLSGVLNKGFKPDKCKTSLRMAVARIKLLRNRKEVQVRQMRREVAQLLETNQDMTARIRVEHVIREEKFMQAYELIELYCELIVSRLSIIDSQKVCPIDLKEAIASVIFASMRCSDVTELPDIRKQFTSKYGKEFAAAALEVRPDSGVNRLVIEKLSAGAPDVQTKIKTLTSIAEEHNIKWEPKAFEEKLQKSNEDHMYGSATYSGGNISTMGSSTSTMSTPQPTYSGVPAVTKESATSHVPAGSYSPPIDVSANRNSNAFSQESTRDGSSASVPPSSQHGASSYYSGPNNISHGNTEAPPYTQYGTAVPDTVSKNEGMNQHRERKPSVSGANWNMEFKDATSAAQAAAESAEMASIAARAAAQLASRGNYSGDHSTGAYEAAAYSRESTPRKQPAEYFVKDEKRSFHDHSSGINDPRVTQYVRKSSGRAETNRVESQNTSMAHAPAQSQNISTVHAPAQQFHSYSPESHVYEMPTEPPRAHSPEPPHFDDLYERESSIGRSYEMPTESPRAHSPEPPHFDDLYERESNIGRSDVDPFDFPGENLQESGLGGCNIRDVEMRKPSFDQESTNDYYGNFSSSQNTFAYGSSTVWDKQNDKTQNSSSVVFDQYYSDVEEENLLDTFSSKHTEQPPGVQDHMGFTTADWSQHHRSESPNHRTSMLFSRTETQKSDNLGANRRDVPSPVTYDNLPPTFDSDDGSSDEEITTARRTESLKSASSGLNKEANIISGKIVPDVKESIEDYKSSSSQKYVASPGLDLSYKEQHSGGTGGSPSISDYSGTQAQSHLNRLQSRDSNLSDDETERDKIGSASSPGANENQSLPFAIRTSATSDDTEGDLGLNFGRLTPGLRNKPRQPYTKNYRENILSEQSLPRASADAEELVNPEQNTTSFELNRSSPKSSLSTRTSSGGNYTREFDDRNKIVGTRGEARSTMARNFFDSDDDEKLSEKYVNPSPPTTKSSERVNSSQEIYNERAGTGARREMRSRMARNYFDSDDSEQELEQQQTTQPNRSGVKIQSRRTRDLTSETKRGGHVRTGARYADETESLPKDTKAPQFHNSSTEQRRVAPIHPRVAAPRSSPKGEHVESPMAARGNSQEAEMNRSSVTGDVGNTETSAGTAEESTPKTPPAHVHPKLPDYDSFAAHFKSLRTNR >Dexi7A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:7A:21044966:21045988:-1 gene:Dexi7A01G0010210 transcript:Dexi7A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGDLETLAAVVAAVTACMCYSRFAARRLRPGLPRLAALLPVLAVLPLPPLAFRALHPRLISGFFLAWIAEFRLLLLAFGQGPLHPSLSLPAFVVKATFPVTLRDPKAAAGATRRPGLGLVESAAMAALLAAIVSLYQHAERLNGYALLSLYSVHMYLALELILAATAAAARAFLGLDLQPQFDRPYLSASLRDFWGRRWNLSVSALLRRSVFRPVRARLGTSAGVMATFAVSGVMHEAMFSYATLRPPTGEAAAFFTLHGACAVAEGWWPRPPRAVATPLTLAFVGATAFWLFFPPITRPGVDKQVVAECEAMVAFVRDAAGWAADSVRSVFAARS >Dexi5A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:5A:22673606:22676426:1 gene:Dexi5A01G0019170 transcript:Dexi5A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLQTKQASRSLNSLDTGEALALAAETSCEKVDLPDFVNIASGTPSSPIVAVTVSEVEALHDLFRKISNSVVKDNLIHKEEFHRALFRNKMKQNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDAPEEQKVAFAFKLYDLGQTGFIERHEVCIAFLFISSFMIFSYHNGVHSLFLTILRYMFQLKQMVLALVDESDLDITGDAVEVIVNRTFDQADTKGDGRIDEEEWTKFAKDNPYVLRNMTLPYLKDITMVFPSFVIHSEISEADMAV >Dexi7A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:7A:21383622:21385134:1 gene:Dexi7A01G0010650 transcript:Dexi7A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAAVAAAAAPRQLSLEDLKAVSVLGRGAKGVVFHVVPAPGESEGAAAAAAAMALKAVSREAARHKKAASGDGDGHRRIWFERDVLLALRHPLLPSLRGVLATDAVVGFAIDRCCGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSIGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPAPPQEPDAPVDSPKTAPPIAAPSPGRGKPRKPVGAALCFPFRTGAAAKPAAPAADSPSSTSRTASSSSSSSTATTASSSASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFSVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLAKQPELVGEQTPLRDLIARLLEKDPEKRIGARGVKAHPFFRGVDWDRILHVARPPFIPTLPQEEDGDEALDVEKVVREVFASNDTEAAKAGEGEKASPEAEGGRGDGEGRRDPSKDGDFSVFF >Dexi5A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:5A:8239059:8240419:-1 gene:Dexi5A01G0010980 transcript:Dexi5A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVPDDVLLQILLRLPPRPSSLLRASLVCKRWHALVTDPTFLRRLRRAPATTLLGVFINNPPINVCFVPSGDPPDRVPASRFRRPELPWLVRDSRRGRVLLFDDNLHGPRSFLGFLVWDPVTDATRSVPHPPFAKYSLHPHGFGAVLLPDEHDEGFRVAVAFLVAGHASAAVYSSESGEWGNEVTAQIAPVSGSIRWTKTPGVVVGDSVCWLIDGGGVLSLKIPLGGDHAIVAFKPRNAPRVHDHNVKLMRTRNGELGLATVTGNVLRLWTLKADVSGGGGGTVSCTWTLRRKLHLEELFPGPRRRRSAEERFGEVRSLALAVVLLDGDGLYDDDTTPCPRIVGVDEDGVKVFLHRKRTDEVELFMLELEAAPRMKKIRDSRF >Dexi1A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:1A:4873904:4878131:1 gene:Dexi1A01G0006470 transcript:Dexi1A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATATKRSRGPSKKEEEEEAMARPAAAAEELRQRRRPRLVGYEELPEYLKDNEFIRGHYRVEWPIRDALLSAFAWHNETLNVWTHLGGFLLFLALAVAGGARQAADDAAPGIMRFVVRSANASWDSDHSGLPGHDAGAAALSSGVPQWPRMVFLVGAMSCLAISATAHLLACHSRRASVVFWQLDYAGISIMIVASFVPPVYYAFLCDARARSGYLSAITALGVLVVGALLSPSCSSPRYRRLRATLFLAMGLSGVVPALHALWLNWGHPACYLALGLEVAMGLAYATGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLVGAVTHYVAVAVLIHWREMVAAACVAAP >Dexi4B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:4B:741014:743118:-1 gene:Dexi4B01G0001160 transcript:Dexi4B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSSNGAEAPGVNAFDQGQRMRGRNRQIFHCANETNVVTEDDTADGDATDDETELEATAGNTIDADGDSKDELESSLPEDVDWIKQQPLPYPMDALEPYISKETVEQHWGVHQQMHVDRLNGIIGDSEWEGMSLGQMMMSSFNEGREEPHPPFFHAAQVWNHDFYWRSMKPGGGGKPPERLLKFINRDFGSYEHMIQQFMDAALTQFGSGWVWLSYKGIELPYVKSRSPIPPDNYGRLVIAKTPNAINPLVWGHSPLLAIDVWEHAYYLDYEDRRADYVSAVLEKLVSWEVVESRLRKAVQRAIEKDEHLSRRILRKRQLAQANGHNRARPHTQKDRLTRRQGNHEVANSSPVEA >Dexi1B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:1B:1875069:1875580:1 gene:Dexi1B01G0002310 transcript:Dexi1B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASSTASHVYTMCTALTDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >Dexi5B01G0032670.1:cds pep primary_assembly:Fonio_CM05836:5B:33258622:33260921:1 gene:Dexi5B01G0032670 transcript:Dexi5B01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSPQHQPRAMDPKKSSHHGAGAAAAANDAKSPLSSLFYPQEPRVNGKDQDLYNILYKGQSGSTQPGTTHGKPQWNPSKSHSTHAKDSKKSPLHDSVDTSCFGSSVHYGGRDYYGGSTTKQGTESSTDYKVAKKDPAADSHGDWWQGSFYY >Dexi5A01G0035000.1:cds pep primary_assembly:Fonio_CM05836:5A:36915801:36920925:-1 gene:Dexi5A01G0035000 transcript:Dexi5A01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAQGWSSPRIHVEIRAYFLALIRPNPPNTQQQKWWGHRSEVARPKFIPWVSDQPNPLHNPNPSSFLVQLAAGPVSFSRPTAAQPNSLSFSLPLADEWARLVSTVFFPEPSPGRTRSSSALFKRAANPLNPQTQSCSFRRLFACAAAAIRASKRLLAASRTRQASNLLLSRSLVLARARQLELGSSVFHDSRLGRKLGMWGVDEHPAKRRRQRRTATGAVRPVTAKTGPVSTVFLTLAAAVEPSDPEPIDPTRVKPSRTGQPRLFAEKPLENGPFEGDQDQVYEEEQPQYFEEGNAQHRDAWLDGDNGQQLVINLPTISLSRLFTGHNPRHLPVPAISPPQQVNFCNAVVALLLIYLLVAHYCILPCDFSFATISFSLR >Dexi8B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:8B:5884706:5886256:-1 gene:Dexi8B01G0005630 transcript:Dexi8B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPTPKRPGLKRPSAENNKKSSVQDSADGETSARSARSSAVTGEAEHAASIHLPEAAGDLITPLLHTCLHSNDDVDTMEHDLNPSVTEQPMPEADRDAADHAQEQEAELHETQKECIQEAENFNDNFTKLANKIHRFPPSLRGLKSYSIPKAVAIGPYHRRDLMAKEAADPKATEKVKKIAPKLKEMEKVKKVAADHFVKEVDRPFLEIYNEVAQVVAVASDFYPSNYVQGIDKKAFEKMMFLDGCFLLQYMFWCSGDHTKLERSLLYFFDTNQAEISNDIMLLENQIPWVVLETLNKFRKDLPPSLHPQENLWEFVAKMGRTFQVHMRKEEKPFKPDDRYRYTPPHLLGLLWHYKTGSHTGEVAVDIHGLKPMSKTVTDIELAEIDIGLKASKTTKFRDMGIKKLPFFTNIFLAPLLLDQVRACWLVNMVAFEVCMGMARGTFRVRSGSLPPDDHTHEPTSGPINQVVCSYLAILAMLMDREEDVHKLREDRIVQENSPTRRRWTSSRLLPST >Dexi5B01G0026350.1:cds pep primary_assembly:Fonio_CM05836:5B:28133126:28136617:-1 gene:Dexi5B01G0026350 transcript:Dexi5B01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGLPACRCWTLPRAAWRISRVAAGSVPARRLAHLTRRCWPTSCGSRRRSGTAGPVTRALDRRRLESSQSQDTSRSSRFRTLAGATSPSPLAALPRARGSCSQSPVGRVGGLALPPASTNAEGRLGLLVVACPLSSAFRTPRLNHLPPPFSSPRSTSSSSVASNASAYPSHLDVAPVVRGFAHRRSSIASPHRRPRLRNAASPMSSASAARMSSPSSSASNAAVPIVRGATPGTSAAPLSWQDWDK >Dexi5A01G0034230.1:cds pep primary_assembly:Fonio_CM05836:5A:36346777:36347244:-1 gene:Dexi5A01G0034230 transcript:Dexi5A01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQGRAARPKPNARHATEEKGQHQQHQQQHRGGDIARPGGATTGGPAMDTFFLSHGSPTLSIDEAIPARHFFKSWLPARVAGDRTPRAILMVSGHWETATPAVNVRSPFFPTSGDPLALPILLVFGNGNTLV >Dexi7A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:7A:20012166:20015246:-1 gene:Dexi7A01G0008780 transcript:Dexi7A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEEKGKRARTDGAEEDGAEPIDRALLQSIEKLQEIQDEIEKVSRFDSTSELGFSSASLGTLRVVIARALELELDSLGFVNEEASEKVLEVEQKYNEIRKPVYVRRNEIIQKIPDFWLTAFLSHPMLGELLTEDDQKIFKHLESIFVDESEDIKSGCSITLTFASNPYFEDKKLTKTYSMSDDGTITVKATSIKWKSGMDIVNGKTCAEKSNKRLLLDESFFAWFTDTENESLTHGEMDQIADVIKEDLWPNPLKYFNNEQEDEFEEEDDEEGSDDEDAEDEDDEDEEET >Dexi9A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:9A:12015325:12025209:-1 gene:Dexi9A01G0016970 transcript:Dexi9A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQIPFLAVFLVLIILKLITRYITPPSSRTKPSSSSTLRLPPGPWQLPLIGSLHHLLLSRFSDLPHRALLEMSETHGPLMLLRLGSVPTLVVSSAEAAMEVMRTHDLAFCSRHLSATIDIISCGGKDIIFSHYNERWRELRKVCVLELFNQRRVLSFRPVREEEVANLLRSVSGECSAAAGDGGGVVDIGEGIRHAINDITVRTAIGDRCRYQDEYLSELDEAVRLTGGFNLADLYPTSRIVRRFSAAARDMARCQKNMYRIIEMIIQERALAPAPERERDLLDVLLRLQREGGLQFALTNEIISTVIFLIESAAAAAVLPLPFGCLFYPFEPPNHNLTVLGWLHSLVRLLQLQPQQDIFSAGSETSSTVLVWAMSELVKNPRVMHKAQAEVRETVKGQERLTEGDMAKLRYLHLVIKETLRLHAPVPLLLPRECRETCQVMGYDIPKGTKVFVNVWAIARDNKLWHDGEEFRPERFDGSDVDFRGTDFEFTPFGAGRRICPGITLGLANTELLLASLLYHFDWELPCGIKPEELDMAEVFGVLGKASALPNSELQQLLGEMAITTLFLIFIFLILLLKLILTRSRTKQPALHLPPGPWQLPLIGSLHHILLSRFSHLPHQALRELSGTHGPLMLLRFGSVPTLVASSAEAAREVMRTHDLAFCSRHLSATLDIISRGGNDVLFSPYNDQWRELRKVCVLELFNPRRVLSFRPVREEEVARLIRSVSGECGSGGGGGGVDVGEAICRMVNDVVVSTAIGGRCEHRDEFLRELDEAVRLTGGFNLADLYPSSRLARRISAAARDMARCQKSVYRIVESIIHERAATRMPEREEDDLLGVLLRLQREGGLQFDLTNEIVSSVIFDIFAAGSETSSTVLVWAMSELVKNPRVLHKAQSEVRETFKGQGKLTEDDMVKLRYLHLVIKEALRLHAPVPFLLPRECRETCKVMGYDVPKGAKVFVNVWAIARDKKFWGDGEAFRPERFEGCSVDFRGNDFEFTPFGAGRRICPGITHGLANMELVLASLLYHFDWELDGELDMTEAFGITLRRKSKLMLKAKPCIEFVSD >Dexi7B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:7B:20704803:20705502:-1 gene:Dexi7B01G0014490 transcript:Dexi7B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYTKGDSSVRKKNTPGHRNNATGTILRGVFAGVEVDQPLEPLHCALAYELFDAPS >Dexi5A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:5A:1213557:1214948:1 gene:Dexi5A01G0001720 transcript:Dexi5A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFRRSLFIVGEFGGNDYGSTLFSFRPMSEVHDLVPHIVDSIGRGVEKLIAEGAVELVVPGVMPNGCFPMYLSMFPKPPEMYGARSGCIKELNTLSWAHNAALQRKIAELRAKHPGVRIIYADYYTPTIQFVLHAEKYGFLKQKPRACCGAPGVGEYNFNLTSKCGEPGAYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILDNKHLG >Dexi2B01G0031970.1:cds pep primary_assembly:Fonio_CM05836:2B:39950730:39952525:1 gene:Dexi2B01G0031970 transcript:Dexi2B01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARTGERATSFAVACSLLSRFVRQNGPAVAELGLGIKGEAESQRAPATMSLLPAAEAEEAERRKETMELFPQSAGFGVQDAARETEKKDKSQQLTIFYGGKVLVFDDFPADKAKDLMQLASKSGPVVQNVGLPQPPAPATVTDNTKVHKAMPAPVSNLPVAPAAAAQKPARTNASDMPIARKASLHRFLEKRKDRLTSKTPYQNSPADATPVKKEPESQPWLGLGPNAVKSNLG >Dexi2B01G0022080.1:cds pep primary_assembly:Fonio_CM05836:2B:31770726:31771035:1 gene:Dexi2B01G0022080 transcript:Dexi2B01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQWGAKTVGPTVPSAYLDNRLPDDVPYGFHLYTPLTKESKAWLDARPAHSVVYVSFGSLAMPSAGQRAEVAEGLYNRPRRLPKYLKISTTR >Dexi9B01G0034140.1:cds pep primary_assembly:Fonio_CM05836:9B:36190228:36190449:1 gene:Dexi9B01G0034140 transcript:Dexi9B01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMAASAVSAGSCLPIRHLHDRIRTPPSECSRRHCAWSAGGGTFSVLGTWFLPGGAPPPRLIDFGACCLLRL >Dexi2B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:2B:19110704:19111466:-1 gene:Dexi2B01G0012710 transcript:Dexi2B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIVLKLPLDDERKRRKAFKAAVGMNGVTSATMEGDKIIVVGEGVDPITLTTMLRRSLGYAELLSVSSGDDKKKGDGYGYGGGMMYGGAGMGYGGGKEGKEGKEGKESGGGGKGGGGGYGQYQAMAPVSYPAYQQQYNGMPSYPVYSYPAYPQQEQDPGCSIM >Dexi8B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:8B:24294965:24295945:1 gene:Dexi8B01G0013910 transcript:Dexi8B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGASSPLPPTSYTRSTIRAVPDTETGSHILTIDGFSRTRGRGPGKSISSGSFTVGGHSWHIAFYPDGQTVPNGGCDGWVSVFVYLEQLVPKESAFKARFKFSLFQPNGKPATSIYYKKKIECDDTSISGGGARRRWGFPRYVSIRDLRSHVVRHDSFLIKCDVTVIRANRVQTTTPRSVAVPPPDLHRHFGDILVSHVGADITFDVGVEMFMAHKVVLAARSSVFMAVLFGGQMGENAAVSHVKVDDMDPDVFGAMLHFIYTDTLPEVEDGDVMVMAQHLLVAADKYSLGRLKLICEDKLCGYIDSETVVTMLVVADRHGCRCL >Dexi3B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:3B:10517747:10520026:1 gene:Dexi3B01G0014620 transcript:Dexi3B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKVFQAARTLLLSASQRSSAAALLAAEGRTAALASLTNLGRKTLPAAYSYHSQGSHRASSGWGAFAAAVPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGYKLVAIKLIVPSKEFAEKHYHDLKERPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFEPKELVSYTSNAEKWIYGVN >Dexi1B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:1B:26782914:26784152:1 gene:Dexi1B01G0020750 transcript:Dexi1B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGGGDHRGIHFAAGPNSSDDEEHERAAPPSRRWFYAAYARLLRHAGSLDGIEHAGGLPRHAATGSLVAFPHAAAARAAHFDALVAGFVAAAARRGHPLPKMKGTSLSSLTRVCDVLGVSAQRRKSVRLTVCPQVTQHHVWRGALEAVLGDLQADMASLVDGPSPATQMAEQIASACILFLLDTATSSTPSWMRPTPFKKPVKPPPPAKKWQQVLDMFIDLARNLKTDDRLVGHAQKVEAMKEGLFQIRDVVIERDIAFKEARRQDCLVQRKLSKSLGHSSRCLYTLLLFYLYGTVQDIEMHVGKCVSGKGGRDVTVHTAKFLTSGDELAVRSGVKQLSRALGIFRFVWEAANTELDSANDNDKEVIVKKKKEDAKGVLELQGHIWGFGVEERAVTYRGDVFHVHQIQLP >DexiUA01G0005060.1:cds pep primary_assembly:Fonio_CM05836:UA:9205127:9205518:1 gene:DexiUA01G0005060 transcript:DexiUA01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSSNGGKADVGRKTEVEDESLFTKLEKEGMEIDGKIASIIPDGISKIKAEAVRENISEPKRNWTMVFLTMALGFIMGAECYGYALRAANAKESMRAKSRHT >Dexi1B01G0026540.1:cds pep primary_assembly:Fonio_CM05836:1B:31376998:31379530:-1 gene:Dexi1B01G0026540 transcript:Dexi1B01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASGTANGHGKEAALYEEQKSKISKVRSELGQLSRKSALYCSDASIARYLIARNWDVKKATKMLKKTLKWRSEYKPDEIRWDDISDEAETGKIYRSDYFDKSGRSILVMRPGCQNTKNANGQVKYLVYCMENAILNLPQGQDQMVWLIDFAGFNLGNLSIHVTKLTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLEKKTRNKVKFVYSDRPESMKIIEELFNMDELECAFGGKNPATFNINDYAMRMREDDKKMPSFWSPENSALASEPYLMNNQKLEESSSLGVKAEETESEKREETDTTSEKRKESETEYEKEEETLTESSTVEQKSLPGEDSAPAADKNGP >Dexi9B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:9B:10930916:10931975:1 gene:Dexi9B01G0015970 transcript:Dexi9B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTCPPILELDDARGNIYRCKHCRTDLALADDIISKGFLCHNGKAYLFDKSFLSSVNVSVGEREDRMMMTGMHTIADIFCVRCGVILGWKYEAAFDSTSKYKEGKFILDRSQMLGPE >Dexi2A01G0029230.1:cds pep primary_assembly:Fonio_CM05836:2A:40275300:40276194:1 gene:Dexi2A01G0029230 transcript:Dexi2A01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMTGLSQGVLPARRAAGTARASVVVRASAEAQAGRRAVLGLVATGIVGGVLSQAAHAEDVKTIKIGPPPPPSGGLPGTENSDQARDFDLALKERFYLQPLPPAEAAARAKSSAQDILNLKPLIDKKAWPYVMNDLRLRASYLRYDLNTVIASKPKEEKKSLKELTGKLFSTIDDLDHAAKIKSTPEAEKSYAATKSALDDVFAKLG >Dexi4A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:4A:25015368:25017160:-1 gene:Dexi4A01G0021500 transcript:Dexi4A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAGFTNCKLGSQEDLAAGTDSNGALHYRYIYTTLRSQQASVPANAYVHLCVSLFSATEEVGIWLAVPSLSKEQRFQQDDSVDNYSHIQAIRVEYPSAREVIRGGARRAVTTTRVAVAGSGGGGGGGLAGHLRTPCRPPASSGLHGCVGNPDRSPRDPNRITTARSSCLRRASPRDEGNTKSGYQTTMQAQMVNVAGPSNPDR >Dexi9B01G0038350.1:cds pep primary_assembly:Fonio_CM05836:9B:39441607:39443241:-1 gene:Dexi9B01G0038350 transcript:Dexi9B01G0038350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAVPRLPDDALAAILRRLPQRAIAESRRVCKAWRAVVDGRGLLRPHLLPRAVRGIFVNFIDYRCPRFLARPSSSERPGIQGNLDFLPGYTTSFAPIIDHRNGLLLYGHGREFYVVNPATRRWERLPPRMELRDYAAAYLVFDPAASPHYEVVLIPRVPEDPRETPPPFDLDWVLSSLGDNEEEEEAPRSVQEGFFPARFMPVSGDPDPYRSMEWPFSPCTPHVFSSSTRQWEERPFVREGKGAGTVEDARLDSERPRFSGPRWRYGVYWRGTLYAHCHGAFVMRLSLGNGTYQVIKTPIDIEEGKRARTFLGKSENGVYFAAIDDNDLLRVWILNEHCGHADWVMKHHSNLGRSAMWGAERFYNNQQIDGPWILEDDKNDEDDSNMMLQEESCEWDSDNDNVLDDEGGDEDRLRDYTYFLGFHPYKEVVFLMLSFIGIAYHLNTSKVQFLGKMRPKHYHSFAAGVYESFPYTPCMVGELGEANLENQQCV >Dexi9B01G0041220.1:cds pep primary_assembly:Fonio_CM05836:9B:41648995:41649369:-1 gene:Dexi9B01G0041220 transcript:Dexi9B01G0041220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIGKLRLYEPHPDLVAALTGSNISILLGIPNGDVPNLASSPAAAASWAAANIPTTLPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKAPSE >Dexi3B01G0029380.1:cds pep primary_assembly:Fonio_CM05836:3B:28594947:28595527:1 gene:Dexi3B01G0029380 transcript:Dexi3B01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTPLLALPVTEKLTKRNFVLWQAQVMPAIRGAQLEDHLDSFATVPAKQIVTQVADKTVKQPNPEYATWVAKDQQVLSYLLTSMTRDLMAQVASHKTAAAVWTAAEARLMNTLIALVTAQKGDSTMAEYLPKMRSLADDVAAPSSHATSPSL >Dexi3B01G0023730.1:cds pep primary_assembly:Fonio_CM05836:3B:18474601:18477521:1 gene:Dexi3B01G0023730 transcript:Dexi3B01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPNAGGDLFAANLTGALLAVASSAFIGVSFIVKKKGLRRAGAAGVGGYGYLLAPLWWVGMVTMLIGEIANFVAYMFAPAVLVTPLGALSIIVSSAVLAHFTLNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVTQIWHLATQPAFLCYAASALAVSLILMLHCAPRYGQTNIVVYVGICSVIGSLTALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWYGQSISSIASEICGFLTVLTGTVVLHSTREHDPTLSSDLYTPLPPIYWHIRGNSETGGKQKEDDLLSAGDFVTVVRQDYFV >Dexi6A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:6A:10454397:10455925:-1 gene:Dexi6A01G0009240 transcript:Dexi6A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNLLLLLLSLCIYNFFTAYAGDDPTRYTTGSIKTEAICSESKATTSTTSAGATLALHHRHGPCSLDPSKETLTTEETLHSDQLRARNIHRKLSAAMNTAATDAEKLEATVPTTLGTDLQTLQYLINVSIGTPAIAQTVMIDTGSDISWVHCKPCSPCHTQVDSIFDPSQSTTYSPISCSSTACVQLGNDSSAGCSSSKECQYVVNYVDGSNTTGTYSSDTLTLGPNVVSGFQLGCSRVVASDSDDLIAGLIGLGGGVQSLVSQTAAIFGPAFSYCLPGPLSPSGFLTLGVSTTSNSNFTTTKMFRISEIPTFYFVLLRGIRVGGTPVDVSPSVFAAGSVVDSGTIITRLPPTAYAAMSSAFRDGMQDYPRAKPLAILDTCYDFSNLTTVSMPAVELVFDGGAVIDLDYDGIMVFDCLAFAPNEDDSSAGLIGNVQQRTFEVLYDVGRSTMGFRAGAC >Dexi9A01G0045770.1:cds pep primary_assembly:Fonio_CM05836:9A:49304364:49306459:1 gene:Dexi9A01G0045770 transcript:Dexi9A01G0045770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMASNGMASSPSPFFPPNFLLQMQQTAPDHDPQQAEHHHHEHHHLPPHPLHPHHNPFLPSLQDFRGMAPMLGGKRPMYGGADAVGGDEVNGGGSPNEEELSDDGSQLGGEKKRRLNVEQVRTLEKNFELGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQLDAVKAENDALLSHNKKLQAEILSLKGGREAGSELINLNKETEASCSNRSENSSEINLDISRTPPSSEGPMDAPPPPHHHHQHSSGGGGGGGMIPFYPSVGRPAGVDIDQLLHSSSGPKLEQHGNGGVQAAAETASFGNLLCSVGDEPPPFWPWADQQHFH >Dexi9B01G0038220.1:cds pep primary_assembly:Fonio_CM05836:9B:39343790:39346960:1 gene:Dexi9B01G0038220 transcript:Dexi9B01G0038220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPPPISPCRGPHLVLVLLVALYSIPGTLSSRLVTLDTVEIFTTHEWFGKPTVYFRCNGENKTYLPDVKEAHVLYNFKGEESWQPLTELPEKKCKRCGLYEEDAFKPADVFDEWEMCSSDFKDGKYTRFKEDQFNATFLCPNCTASAGDHGNQESSSEVETKKASVAVIIVVSVLASVLVILALFAGYNFA >Dexi9B01G0046310.1:cds pep primary_assembly:Fonio_CM05836:9B:45572260:45573843:1 gene:Dexi9B01G0046310 transcript:Dexi9B01G0046310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQLNVLFTLDHARTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDLSKPDPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGNTPKGYANKKTRGAFIAAVFAMQGFGILFGAIVALVVSAAFRNSFPAPAYSDDRAASLVPEADYVWRIILMFGALPAAITYYWRMKMPETARYTALVARNAKQAAADMSRVLHTDIEEERSESVEKAAAMVLAGGDEWGLFSSEFVRRHGLHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPAARTMNAVEELFRIARAQALIAMCGTIPGYWFTVAFIDVAGRFWIQIMGFAMMTLFMLGLAVPYNHWTTPGHHTGFVVLYGFTFFFANFGSNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPHKPEAGYPRGIGIRNALFVLAGTNLLGTVMTLLVPESKGKSLEVVSQEVADDEEAA >Dexi1A01G0023550.1:cds pep primary_assembly:Fonio_CM05836:1A:30180209:30180749:-1 gene:Dexi1A01G0023550 transcript:Dexi1A01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSSYFHAFGNPDFAAVFSGGGGGSAQLQRPRRSTDGASRASRAAEEGGSPASASSRRAPSMFCVPDTEAEEPNCFLDECTLCQKALCGDIFMYRGDTPFCSDECRREQIETDRVRHRRKKQHSLMAQQQAAAAMAQRTERPQRMLQPQH >Dexi9B01G0047920.1:cds pep primary_assembly:Fonio_CM05836:9B:46932673:46936769:1 gene:Dexi9B01G0047920 transcript:Dexi9B01G0047920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPAPSGGVGQQLQPLVVSLNCLDDPSLEQEVLAGVAEVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAAAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSGPAAVTAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYAANGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNETMHILNMDCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITMLQSFFFDGVLPTSAISDEDEEISEAGNEDDHLDTQAKGSQSLIFDAEVDESHSTLEYEKKRAISHHKRAISHHKEPQVSGKSVNTGSRSEGRRSRSGKKGKKRPAHRRSQQKPDDLSAVESDSNYSSRRDDDTAMSSRDQAVSSSSRFASPEDSKYKQKSLAESPMEITSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHVARQRLVGGGGWILDVVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRKMEFVFASHSFDVWESWMLDGSLLEGCKLINCRNSSAVLDVFIEILAAASEEDGVTRWLD >Dexi3A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:3A:16216582:16218339:-1 gene:Dexi3A01G0020410 transcript:Dexi3A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLVILANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGAQ >Dexi2A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:2A:376930:378422:-1 gene:Dexi2A01G0000730 transcript:Dexi2A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSKKVCVVGAGVAGLASAHELRREGHDVTVMEQSSGVGGQWLYDPATDAGDPLGVAGAQSSIYASLRLNTPREAVGFSDFPFFPANDGTGDARRYPCHGEFLRYIRDFCDAFGLMDVVRLNTKVLNVAPRGGGDDGVMRWTVRCAAEQGDDEATVEEEVFDAVVVAVGQYTQPRLPAINGMDKWRRRQMHSHSYRVPDSFSGEVVVVVGCHESGKDIALELREVAREVHVSVKSMDDVTPGISKALSRHHNLHLHLQANSSFRAIRFGWLALRAAQIDRLCEDGRVVFADGSCIVADAVVYCTGYNYSFPFLDTAGHVTVDDNRVGPLFEHTFPPALAPSLSFVGIPKLVVVPRFFEVQARWVAQVLSGRRSLPAPEEMMRAAEEYHRAREMAGVPKHQTHRIAFDFELCDEFGENCCGFPRMEEWKKELILSSIRSSRDNAESCRDDYHDSELVREALRSHGWLTGRPPQHDRG >Dexi9A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:9A:6540451:6541092:1 gene:Dexi9A01G0010700 transcript:Dexi9A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDHSEDEEEEQGQGRYYATTSSSSGRSRFRARRHKRRGPGGSHQHLLLMDCVGGSGGDGDAASEETVPLPDYERLSQSARLPDDDPPHDDDATNNPPPVAPLAPPEQKKTPAKLQGASPPHPPPPPPPPTQQQQKPAAWRLIEYVRSRHKAGGAGAAGAGCGAGSSDGDSKSSEDGDDGSEEGKKDKAKKKKRSSWLPDPERRWPVQGFY >Dexi1B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:1B:22404956:22409045:-1 gene:Dexi1B01G0015870 transcript:Dexi1B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFWWWPRRRRSRPSSRRKDGPAGAASACCSPRHSVDVVVPPTACASSSASPSPQWERAWHRSLGSPAPPRTAAASTPLCCDGGGGDSGLVRAAAGRGLPLPRPAPVYRSGPQLQMPSSHADAPFAAAARGGSPASSGSSSESDEAADNQSHRYTDRTAYPGSRTMPLDAHKRTMEDKHIVSHSAPREDYKFFEHLVIDLLRSERGRLCAIKEVKVILDDSKAKERLKQLNQEVDILRQLSHQNIVQYYGSELTDEALSIYLEYVSGGSIHRLLRDYGPFKEPVIRNYTRQILSGLAYLHSRNTVHRDIKGANILVSPNGEVKLADFGMAKHKDCVSLQILQVIMNKNGYSLEVDIWSLGCTVIEMGSGRHPWHPHGD >Dexi2B01G0021100.1:cds pep primary_assembly:Fonio_CM05836:2B:31026454:31026817:1 gene:Dexi2B01G0021100 transcript:Dexi2B01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGQRDGSNELAGFQPGTQVTTDRLIKDLSNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYMVARF >Dexi1B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:1B:2310845:2315972:1 gene:Dexi1B01G0002760 transcript:Dexi1B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEHPPRVAHPSGAQVLRDGGVPPDDVAVMTLPRPRRRAEASEWKRGLEGKRLLSPLTVATRTVRYSINGYDRVDTWQIDPVRSWSNFRGNPLHERAAAAEHEAPLARRRRSAPAPARCQRSVRMKLPHPPLGEEEPSPPPQRRPRGFASGPAAPPARRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFSLPARADMNDVLAALARAAGWTVHPDGTTFRSSNQPPLPPPPQLGMSQVASVETPAFIDTLNSYAIGTPLDSQASALQTDDSLSPSSLDSVVAERSIKTENYANSSSVSSLNCMDNDQVNFVLQLLRSSPVLPGDYTKTPYIPVYASLPMGIINRHCQLVDPEGVRAELRHLKSLNVDGVVVDCWWGIVEAWIPLKYEWSGYRDLFGIIKEFKLKVQVYFDFMRSFQMEFRNLSEEGLISAIEIGLGASGELRYPSFPETMGWKYPGIGEFQCYDRYMQKNLRQSALSRGHLFWARGPDNAGYYNSRPNETGFFCDGGDYDSYYGRFFLNWYSGVLIDHVDQVLSLATLAFDGAEIVVKVCNVVSRVIALILKHRSSLPNFPRKHVHFVWLLHNKANLFHQIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVLKMLKKHSVILKLVRYGPEFTFQENDAAFADLEGLTWQVKMF >Dexi4A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:4A:6873021:6873402:1 gene:Dexi4A01G0008940 transcript:Dexi4A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRPMLDSRARTASESKRGLRLEPEVENSSIAFFDAAVASANTFLDALRAWAGARPQAATSGGRGCGEEEAAAAVMDREGELVGGATDEREEVISEAKHIGGGGRSSSIEQR >Dexi4A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:4A:21116278:21116487:-1 gene:Dexi4A01G0017410 transcript:Dexi4A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSARARASSSSGSRGHEQGETSGDGLESGCPRRDRGELESRYGDDAGESGREGGGGRRAEGGAAAAE >Dexi9A01G0033180.1:cds pep primary_assembly:Fonio_CM05836:9A:38029863:38030065:-1 gene:Dexi9A01G0033180 transcript:Dexi9A01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNGGGEGRRLVVLVVGQVRSWWRGAAFGGGEGRRVVALELGQIRRCEVAPANLAGGCSNKTD >Dexi9B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:9B:5185171:5189785:1 gene:Dexi9B01G0008460 transcript:Dexi9B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKFSPALQASDLNDFIAPSQDCIISLNKKSSSSGRLPIKQKEIVVSTKPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFIARINSGKTVIVSVSPQSRASLAAFFGLSQSQVLRKLTALFKSMGVKAVYDTSSSRDLSLIEACNEFVSRYQKNQSSSGQEAGANVPMISSACPGWICYAEKTLGSYILPYISSVKSPQQAIGAAIKHHVVEKLGLKPYDVYHVTVMPCYDKKLEAVRDDFVFSVDGKEVTEVDSVLTTGEVLDLIQSKSIDFKTLEESSLDRLLTNVDEEGNLYGVSGGSGGYAEIVFRHAARAIYKREIEGPVDFRILRNSDFREITLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPVQGQSAKELIQQLESVYTQDVTISNPFDNPITKRLYDEWLGQPGSENAKKYLHTGYHPVVKSVASQLQNW >Dexi4A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:4A:3281857:3283409:1 gene:Dexi4A01G0004650 transcript:Dexi4A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADAAAVAVAGPPDAMQQFPEGAHVRLQSRVHGGWLHADKDAEGVSLRWGRRGSMGAAWRVEHILHDGTTCVLLQSAAYGRYLEASPHPAPPGHLGHRVVQGERGEQDMDPFPWKPVGSGHDGYVFLRHVSYRLLRANGRYQRWHDGVSVDDFDNQSTMMHWKVEAIPPRPTPPALPAPTPIKRGFRGLFLLHEEPVVLQRTIRYVIADDHGYFNPNSNTWATFQFPGRSVFNLRTEVARRVDNALFFFRIIVCVRAGRYGRPIPLLIDLPRNEETVDVVAVVFGTPDAQ >Dexi4B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:4B:3031546:3033000:1 gene:Dexi4B01G0004200 transcript:Dexi4B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAAPPAGSGRDHVVVFPLMAKGHMLPLFHFATALAAHHGLRVTVVTTHGNLAFARHHLLPSESVSLAALPFPSHPELPPGVESKDALPSLSLFPAFLRATAMLAEPFAGYLASLPSPPLAVVSDFFLAFTQRVATDAGVRRITFHGMSTFALALSFYLARTPTAKGVVDGGAPFRVPGFPEGVTITGDDVPDSLSQAEDDPLLRFMFDEILNWEYRSWGILVNSFDALDGEYAAVLESLYLPGTRAWLVGPLFLLAAGVGESSQEEESDEDPEGCLPWLDEQAPGSVVYVSVGTQYHVTAAQLDELWHGLVDSGHAFLWNVPSSTADDARWSPPASPHGKVVVGVGGVPQRRVLDHPAVGGYVSHCGWDAILESLTGSGKPMLAWPGIAEQDANAKQVAEIIGAGARVRAKAGSGEVVGRAHVAEKVRELMDAGEAGRRMRDRAEQVKQAARAAVGEGGTSRLALRRLVDELRRSGGQRQ >Dexi2A01G0024960.1:cds pep primary_assembly:Fonio_CM05836:2A:36761363:36768490:-1 gene:Dexi2A01G0024960 transcript:Dexi2A01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDNIVDLSSDSDDDLEQQPASTSTRFGQNGEGNPIIFEDEDWLRSSPAPSSSKHIHNDNGQYRTLPPSFTNGRHMERARYPFGSGETIRSNPSSYMAVRLDSERGLSASNRVDSVGKKYDSSTADANDNSKRFLPSSFSNGNTSKSTHANVASATRKLPPHFTKRSSQNLGENRMGTNMANGNAHPSSSWMAIGSFNQSSTQKQDDDDVIVYGGTSSHRGLGKTVSTIALIQKERSQQSKFMSVDSDRLKSEALNLDEDDEGEQTVNDEPKKDEGVSSSSTAAGASSVEPCVSQPNNVPDKIAERKRKAKACTSSVSTSQSMTRPAAVYHGGLRTKDPSELAKYDVVVTTYTIVANEVPKQIADDDADRKNSEDPSVGNKRKPPSAKSKSKKKKKKLKDSDFDLDSGPIARDTPEDAVVTICGHVFCYQCIHERITTDENMCPAPNCSKTLSLESLFSSGALRICISGESSSAGASSSADNESPSISQSSYISSKIQAAMDILNSIISTDALTESGTMESNRSRVAPAKAIVFSQWTGMLDLLELSLNADLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVEDLRYLFKV >Dexi2B01G0030240.1:cds pep primary_assembly:Fonio_CM05836:2B:38496853:38498519:1 gene:Dexi2B01G0030240 transcript:Dexi2B01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVEPKKKGNVKFAFACAILASMTSILLGYDIGVMSGASLYIKKDLNITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRYTIVFAAAIFFAGAFLMGFAVNYGMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLRLGWRVMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLGKTSDTPEEAAERLADIKAAAGIPADLDGDVVTVPQRHDSEEARVWKELILSPTPAMRRILLSGLGIHFFQQASGIDAVVLYSPRVFKSAGITDDNKLLGTTVAVGVTKTLFILVATFLLDRVGRRPLLLSSVAGMVLALVGLATGLTVIGHYPDAKIPWAIGVAIASTMAYVAFFSIGLGPITWVYSSEIFPLHVRALGCALGVATNRVTSGVISMTFISLSNAITIGGAFFLYGGIAALAWVFFCTFLPETRGRTLESMSKLFGATDAGDDLKSQDGADKKLEMAATAN >Dexi4B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:4B:17360409:17366182:1 gene:Dexi4B01G0015570 transcript:Dexi4B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGGRRRDRMRWSKLYTFNCFRGHHGDASADGPSSDGAGAVGGPGFSRVVHCNNPGLQKPLKYPTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSPVSMIAPLAFVVGLSMLKEGLEDWRRFIQDMKVNNRKIAVHKGDGEFMYRHWEELCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEATLPLEEDESFKDFQAVIRCEDPNPSLYTFTGNFEYERQVFALDPGQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDLIIYILFTVLVLISLISSIGFAVRIKLDLPHWWYLQPQKSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTVLSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKHMASGDDDHDMPLEDIWEENNEDEIELVEGVTFSVGNNRKPAIKGFSFQDDRLMHGNWTKEPNSSTILLFFRILALCHTAIPETNEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSPNGTTESIIFDRLAKNGRVYEVDTTRHLNDYGEAGLRTLALSYRVLEEAEYSSWNAEFLRAKTSIGPDRELQLERVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQVAQDAKKQAAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALAFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYVFILAYGMTLRSGDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIKGKLHKKGPSLTIHTVS >Dexi3B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:3B:1197022:1203652:-1 gene:Dexi3B01G0001680 transcript:Dexi3B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKVEIDAETADGGGGGGGAGGGGGGSFSEQRLVEKLNKLNNSAASIQSNQPASKLISDLQEQEMNLKQCIGQLESVDVARTTLINQLKEALSEQVARAEAERAIQLRQQLGGALATSGTQSNSSPLMITPLEQTSVGSGVRSIPPQSQSLNPETSHTPTVSAVDEESKRTAAAMADKLASLSKPVLNSIISSLVAEQTASINVGSPSGEISGGPPGFQIEKRPRLEKTMQTGFPGPSGPPPPPPLPPAQNQPQQQQHSPQAPQQSPTSTGFFQSSAGMGFIPPVQAKESDTGLVA >Dexi9B01G0044990.1:cds pep primary_assembly:Fonio_CM05836:9B:44637537:44637964:-1 gene:Dexi9B01G0044990 transcript:Dexi9B01G0044990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGSRISDDEINELISKLQALLPESSRRRSASRSSASKLLKETCSYIKSLHREVDDLSERLSGLMSTMDNDSPQAEIIRSLLR >Dexi8A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:8A:16306367:16309473:1 gene:Dexi8A01G0010060 transcript:Dexi8A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALANGDSQSGPQRNYQVVVAATREMGIGKDGVLPWKLLGDLKFFKELTLSTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMQSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIQSSIGCDTFIPPIDFSVFQPWYSSFPVVESNIRHSFITFVRVRKSVAETHDSNGKESPEVDTKYNKFEIENFSFLPKMIFDRHEEYHYLNLVEDIIRSGAQKSDRTGTGTLSKFGCQMRFNLRKNFPLLTTKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIEKIKNNPDDRQIILSAWNPSDLKKMALPPCHMFAQV >Dexi2B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:2B:6620172:6624906:1 gene:Dexi2B01G0006700 transcript:Dexi2B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQAVAQGTGWTYSLLWRLCPLQGYAPLGSRCFVFLPHLCVLDRRFGSILCRALVWADGHYNGAIKTRKTTVVMPASGGAAEEEAAAAARSRSRQLRELYDSLAVEDDGSGGGGGNNKDDDAPVMAVVVARRRPGAAALAPEDLTETEWFYLMSASYCFPPGLGLPGEAFARRTHVWLCGANKVDSKVFSRAILARTVACIPVNDNGVLEIGTTDKRAASNIKTYLALSKNSSFSRWNPNETNDLQRVLVSEATPQRMLKSILLISAPSSSHQRHRLDVQLPEPSRDVNVEGADRGRSRRRQPQEGPSTSHVVKERLRREKLNERASILGDTIEYVKQLRRRVQDLESSRARQIDGHQTDTHAPVSKQKRAHSHSTSSAAMAAETRRSNKMRAVEASSSCSTTGNGACSEVQVSIIEGEALLELRCPHRDGLLLRVMQALHRELAMEVTSVQASSAGDVLLVELRAKVKEVHGRRSSINEVKRTIHQILSSY >Dexi2A01G0025700.1:cds pep primary_assembly:Fonio_CM05836:2A:37381040:37387320:-1 gene:Dexi2A01G0025700 transcript:Dexi2A01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQPERHLLLLAGGGGGHRSLLRMLPPRAPLPHRRRRRPLDVRASSGDGGGGRGFASAVEKHSVLGAVGKDEGARGEEELEGEVAGALELKWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLEIGVVVWSLATAIIPAVAGFMPGLVLSRILVGIGEGVSPSAATDLIARLLFAPPIIQNLGWESVFYIFGLLGIIWCIGFESLKEQQLGDNEGLLNLGQRSTGPDGLVSSTVSLESSNSSLEDLQNSLKVSVLPPLGSMVITSIAAPFADNLISNGVDTTKGITNTVGAVPGIVGVALTGYLLDATHSWSISLFAPSIFFYLTGTVVWLAFASSKPQDFSKSGSES >Dexi1A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:1A:16301249:16305577:1 gene:Dexi1A01G0013330 transcript:Dexi1A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHEVLASGPIEDLIAHREHRYRISGSQLLAAMEISSSVPHSELVSARAGETSPILDKQEQVSPLFQGKEEALSRSDDRNGRSQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDVETSTHADSLTATLAEHRQHLVSVQGLINQLKEAIPALQLSIEELSEEVNSVSNPMDKLNSRLQLSVQNTGLGRSEESSSEASEITSKLSSTHLDKPGGSPALKLPPLFSLTPSSSGKGTQMQKRNALTRQPSQEVTSEEKTLTFPSTKDQMNGSVHENVGYFAHDIRRSVREAALSKPLMNTESPQDKSSEDGSEHFFIPLSTGAARKEMDAAANRRKHRLHLSSQKRTSDLYYNADSPVSTSAVLLSELNGHDPILDPVSGLTHQSFITDDALDQVFSPPLLMESSLFHDADEDLLGKVFSNSDFALETIGA >Dexi1A01G0030630.1:cds pep primary_assembly:Fonio_CM05836:1A:35867136:35867440:-1 gene:Dexi1A01G0030630 transcript:Dexi1A01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKEDMMLKYTTSVQGTTSLPGHEIKPARQETCMVNSDLFNRGSLRKEVAHDLMPKNTGSVFMPYLHT >Dexi7B01G0023020.1:cds pep primary_assembly:Fonio_CM05836:7B:27755719:27755949:-1 gene:Dexi7B01G0023020 transcript:Dexi7B01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIHDVPDDILELVFLALPCHLSRAAATCKPWRRVITRPGFLHRYRSIHGQRRLRQPHFEILVKRRPLRRTIAS >Dexi3B01G0030530.1:cds pep primary_assembly:Fonio_CM05836:3B:30050690:30060954:1 gene:Dexi3B01G0030530 transcript:Dexi3B01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFGREFADTIIVFLSSQIHVLSGQDGCDLLQHLKTPVSEAVGLDIVLHNLEKADNGSHSMDQILNSVFAQYESNSLIMGHIGREKPEGKVLEEWSQKLHASKLKLYDVSGGISELFSVKDANEIMYVKKAAYLTASAMRKFVVPKLENIVMGEKKVPHSKLMDLTEKIILSPTKIDVKLKAENVDICYPPIFQSGGKYDLRPGALSNDDDLYYDSGSLIVCAMGAKYSGYCSNVARTFLIDCSIEKCNAYKVLLKAHDAAIAALTPGGRASMSYQAAVDAVRGEAPDLLPFLTKSGGTGIGIEFRETWLSLNEKNDLTLKEGMLFNVSLGFQNILVKSSDDKIKEFSLWLADTVLIGKEKPEVLTALISKGEDDAFYSFDEEKIGSPSSKPAPKTEQMAPLKVNPVLKSDMMLSLKDNLRSSSRAPKEDLRKQLQSEILHKRTNETAMRSDGMNNNILEGHGQFRAMGELVAYKNVSEFPRVNRLEIQVDKQNEAILLPIYGFMHAFYQPAERDMITLLHFHLYNEIMVGSKKTRDVQFYIEVMDGVDSVGLKRRSAWDPDEIEEEQHDDGSDNESVVNSGEDDGGSDEDDGGESWDEMERKARDADAEMGSESDSEDERQRRREKAKANGAGQWPCGLAEKSPEVPGALLCSALVLRGQLGEDKAAPPPPAAHAGLLSSAATAAGDLGRIARPRRGKGSGRRLVKFSRRFVYLTTWFAPLARERFAGARRRVPRRFAAGYFAAALQAPGWFRSGPVARIAKEWKQRDSHQHLDEPEVSMSSDDGDHSAYQSLEAFQVEEDRDFSYLLDILICSGIIVADWQLICKSWYSPGCPVGPHVFDRLERKYNKIATWAKPERRLLFDLVNSILSEVLAPCVDVHPWVLPSRQCAPLWGPEGPVEKVWQTIVRQREDCVTGHPDEMVLDANWLQVGNDINMVGKHIAKMLHDDLLEEVVLDFL >Dexi1B01G0022330.1:cds pep primary_assembly:Fonio_CM05836:1B:28048518:28050243:-1 gene:Dexi1B01G0022330 transcript:Dexi1B01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAAGGGGGKESPAATLLRFVLLLLLPLTVLYIFYTLHAILSSTPSCSPDHDLLVITANGGMATNALAVSHLTNNNNSLTQSTPSPLSPPPPVPPPPPAAPSATTLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRGFVWMDRLPVQRRSPTAPEADGLPPIKVSSDTSSFPYTRRRGHRSAIRISRIVSETFRLGLPGARWFVMGDDDTVFLPDNLVSVLGKLDHRQPYYVGSPSESHLQNIYFSYGMAFGGGGFAISLPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPDARSRPAAVRRLFEGPVMLDSAGVMQQSICYDEGKRWTVSVAWGFVVMVARGVISPREMETPARTFLNWYRRADYKSHAFNTRPLARSPCERPALYYLAAARRAVARGGETTVTRYQRWRRRNEARPACRWKIPDPDALLDSVLVVKKPDPALWDRSPRRNCCRVVSSPVAGENGNKTMTIDVGVCEDWEINQL >Dexi5B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:5B:3898240:3900176:1 gene:Dexi5B01G0005770 transcript:Dexi5B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFAPDAWAWITSLPQFSQWRTPAMSLCICTTSSSAQPTMNLSVVKNPPILQPSYVTFSIFANYSVPISLWTSKPIHLKTRTQQTLDEQDMIQLFVDIVNSVLKYSPDKKLPFRFPGAQPHSNFKDMFNLVFLSMAFLVCIYEAPRDLRPGCLDTLRVQLTGSKCRGAAKNLVKILGANLEDQWMQTMNLAVTNWIIELRSSNHSFGVPSPLFSYAISASGLWKVQLYCPVIAMVMKEPAETTQDERLLFSLLYQQVECVIQLAYRTVRRNNWIDVEVKVDNIRCDVDSLVSETLMAERGYGSKEKHFPSRVMLQITPMQQSDVLSVSVGKSNDNPTHEFGVEKGFEGSFDPPKSFGLKASVTESLTLAMRPWKFEQSVHGNTATLNWSLHDGVNGSEVYSSKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFACDEYGDSVWWKICGATLGKTMNWEIRGWIWLTYWPNQQRTFHSETRWLEFRECLQLPLTNFS >Dexi3B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:3B:4544601:4545629:-1 gene:Dexi3B01G0006570 transcript:Dexi3B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRQHHYLYLLVLSSHLLLSRSTIAAASEVSAIIVFGDSTVDAGNNVYNPFVSRGSLPPYGRDFNGGVPTGRFSNGRIIPDFISEGLGLPSTVPAYFDTTNTVDRLSTGANFASSGAGLDDLTSEFFMAIPLRQQIESFREYKERLTLAMGESDAGEIIAEAVYYFGIGNNDIGVNYFFLPERRAQFSPPGYVAFLIDVAGAAVREVFELGGRKIQLTGVLPVGCVPAMRTANTQRPGECVEELNQYAVMFNAELRKAVDRLNAELAGARLVYGDLYGLVSAIVANPSEYGKIELKILLVYVVLE >Dexi9A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:9A:6360919:6367564:1 gene:Dexi9A01G0010440 transcript:Dexi9A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITHHFGVGPSGHAQHHHQHLHPWGSSLSAVVAPPPPPSSAGLPLTLNTSATGNITGNPVLQLANNSGGLLDACVKAKQPSSSSSPYAGDVEAIKAKIISHPHYYSLLAAYLECQKARHDPVGAPPEVSARLAAMGQELEARQRTALGGLGAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRKVESQLNSLSISGRSLRNILSSGSSEEDQEGSGGETELPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLNWWDAHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTAGAFYMDGHFINDGGLYRLG >Dexi7A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:7A:1215920:1216693:-1 gene:Dexi7A01G0000450 transcript:Dexi7A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVPPPPPSSVAVPLARRAPVTPVVLRAAASRARRGAVLVASAALDGHLRPAIDELIDGDLRAYLESQITTTGEMSPTARLIDVMSRPVEVATPEQRLAEVDAFFAAEKYSGLPVVDDEGRCVGLDAAMYC >Dexi9A01G0028770.1:cds pep primary_assembly:Fonio_CM05836:9A:33504799:33505219:1 gene:Dexi9A01G0028770 transcript:Dexi9A01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLITSGHHPHGFAAAAAEKTAAAAAALDCSEAVMEVSQVDAGLLPSGIPSYSVTITNTCLDCTVRDVHVSCGEFASTVLVDPASFRRLAYGDCLVMDGGPIGPGDTVSFEYSNSFAYSMDVASVSCDDV >Dexi3A01G0029590.1:cds pep primary_assembly:Fonio_CM05836:3A:31782455:31786711:-1 gene:Dexi3A01G0029590 transcript:Dexi3A01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKTTAAAAAAAAAASSSSSSTAAAAEKAANDVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSHEIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGEALDRVYERLDAMDAATAEKRAAEILFGLGFTKQMQAKKTKDFSGGWRMRIALARALFMNPTILLLDEPTNHLANSLHSFTDLEACVWLEEMLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKTLVFRFTDVGKLPPPVLQFVEVKFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLTPLDGMVRRHNHLRIAQYHQHLAEKLDMDMSALAYMMKEYPGTEEEKMRSAVGRFGLSGKAQVMPMKNLSDGQRSRVIFAWLAYRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKAHLKSKAGLSD >Dexi7B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:7B:11613220:11613636:-1 gene:Dexi7B01G0004650 transcript:Dexi7B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPRESSMEEPNHHTETRTAMVATQTVFLGCGGRRGRPGRALVAGDATKRWRPGQMRWQPGLARPAAGGARSQPSVTQRGGSVHGVDFSGSGKGSPATARQDLAGEILAAAARSESGSGCERTEERDEEKKRWAHV >Dexi1A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:1A:5900362:5902832:1 gene:Dexi1A01G0007650 transcript:Dexi1A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKRGTGDGANGASAGGKRARDNIVISCSTSGCPFGEGCHFLHCFPGGYQAVSKMTNLGGPAVAPPPGRMMMGSPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGEREIGKPMLMDSSMHSPMGPRPGHFAPPPMASPGMATPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTSLKNIELEGTFDQIKNASAMVRELIVSISGSAPPLAKNPARGPHHGGTGSNFKTKMCEKFAKGSCTFGDRCHFAHGENELHKPAAA >Dexi1A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:1A:9398666:9400036:-1 gene:Dexi1A01G0010830 transcript:Dexi1A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRGGVSAYSPCMAMAIYGDIWTEIGCWKGIHCLYGKHVMEAMWGLQNLMHCLVPGEKSQLTNEDRLPLSLGLKKVLSDNGFDDVQPEMVNEQVIMTASALFDCAYTEKKYGPTLFVLARRIKDVSRLDTDDWNSLKLATALKVIFCPEEVGDHLEMFSEDERSKLVEDAHKYACEI >Dexi2A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:2A:22439729:22441631:-1 gene:Dexi2A01G0013740 transcript:Dexi2A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGAESARRRRWTLWLVIAAGMLECADETLLPSMYKEVGSALGASPAALGSITMWRTLVQALCYPLATCAAARFDRALVVAAGTLVCAVTSTLVGASATFLQMAIARGLNGIGMALVLPPVNSLIADYSDDDTRGFAFGWVYMVISIGAAMGTSISVLLAPTSFLGIPGWRLVFHAFAILGFALALSTWHLRRTDSTRPATPPSTNNTTVVSAADLAREAMGVLGVPSFWIIVAQDAASQVPWSALTFMAMWLELVGLTHWETTVVTTLNCLSNGLGSLLAGVAGDLAARRFPDTGRIAVAQVSNASIVPVAAFVLLLARPGWPLLADAVYAGGFLLLGVAMGWSTVSTSNPIFAEIVPEKSRTTVYALDLCFQNVVGSFGAPIVGILAERVFGYRASGGTSARAAHRENAAALGKAVFVGTAVPAIICSLTYTALYCTYPADRRRVRIMAADLAEVKGGDEKNSASLVDDGLNQALLSLK >Dexi2A01G0027650.1:cds pep primary_assembly:Fonio_CM05836:2A:38988311:38988637:-1 gene:Dexi2A01G0027650 transcript:Dexi2A01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGQPRGVSFGGASGRPRVVRRSRASQSPAYDALVVVVPVPVSDECARARRAPRMKASYYASMPDQPRAPSIHRSLAHAVRCSARPVSHGNRVGYSGECASLQFIR >Dexi9B01G0039960.1:cds pep primary_assembly:Fonio_CM05836:9B:40634235:40636327:1 gene:Dexi9B01G0039960 transcript:Dexi9B01G0039960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFGKSTSKQTAKLKTLVKLTATRIPIVRRPRVGRRSITRSDVGQLLSLGHLDRALLRAEQVIEEDNVLEALNTIESYCTILTQQAAQLDNPKECSDEIKEAAAGLVFASARCGELPELLDARAIVADKFGRDFVAAAKDGALGVVAPTLVRNLSGERASLEQKRRLVKEIAAENDILLEFPENENPVEIRQVGRTTSQSNGQSERHQVGRANSQSNSQREREQSKNAPAREFVEHGAVKTDRREVQRTQKSFDGKVPSLAQLSLDEKVPRESNKYLDARMAAEAAFESASFAAMAARAAVELSRTESQGKGPRGSGFNKVPSVQTTATPPEQRTAPPSWRPPQKSPSPSPSWSDRSTATSVGSDAAYKGKEVVFDQSDEELEDVVWPPSPPQQQRRPSYRRAASTVGTGVGASPWHGNSGTRPFQDGAPENNHPPQHRRHATEFAGGGNLHDAMGGGQRGGQYVAPPYRRNPAANTGRNSDAGAYESSAYVHPPYARIVSALERSNEHIARHEEVRRIGTDGRVLQERVYGASPGQGQARRPLDPDSRANSVRTRR >Dexi3A01G0029420.1:cds pep primary_assembly:Fonio_CM05836:3A:30999157:31002046:1 gene:Dexi3A01G0029420 transcript:Dexi3A01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHNIRIAFSRNTLILLLLVLTPWLPTAAPGVNETAKPTDREAILCVKSHLLLSNSSGALSTWSNGTSLDVCLWQGISCSARRVTALDLEGQGLGGQIPACISNLTHLARIHLPLNQLRGPVPSELGQLRRLEYMNLSSNALSGLIPHELGFCSGLGVISLKNNSFDGGPIPDSIGKLHNMFALNMTKNRVWHGK >Dexi7A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:7A:15361572:15363109:1 gene:Dexi7A01G0004540 transcript:Dexi7A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTRRDRKPQIKTMQDPEELTFKDLREITDGFSKKVGEGGFGTVYKGVAKTGKHVAVKILRDVISDLNYEQFRNEFRNLTKVQHDNIVQGYKPPEYIDKKEISNKFDIYSLGVIIIKIVSGPESYPECLRMPSHKLVDQVRKNWRERWQATCSSDFSLEACCRQVEKCTQMALDCLQNDSKKRPDIVKMIEELNKIEPDINKVIDIIANFYIQNMFLTVHY >Dexi4A01G0024200.1:cds pep primary_assembly:Fonio_CM05836:4A:26996143:26997275:-1 gene:Dexi4A01G0024200 transcript:Dexi4A01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGASSSSTTGGAAELELPGFRFHPTEEELLEFYLKQVAHGKKLKFDIIPTVQLYRHDPWELPGLSRIGEREWYFFVPRDGGRKHHQVAGGGRPSRTTERGFWKATGSDRAVRCASDPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDDPSSSSSSGTSSPPNKEDIVLCKIYRKAVSLKELEQRVAMEELAMASATPSSAASHNTGSPADDSTSMSSSDHHQTTLMMGMKKEAAESSSAAVLMKPATLSLPQLQVAKQQQQQQEWMQDPFLTQLRSPWMESWSPYYGASVLNF >DexiUA01G0018790.1:cds pep primary_assembly:Fonio_CM05836:UA:39517811:39519347:1 gene:DexiUA01G0018790 transcript:DexiUA01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIPDGDYTLIPAEEEQVPEPGTGADVNHPEANSQPEQEGKPRSMT >Dexi4A01G0024690.1:cds pep primary_assembly:Fonio_CM05836:4A:27380428:27385575:-1 gene:Dexi4A01G0024690 transcript:Dexi4A01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVPPDLVGDDEHQAAAAGTSTQTTTKQKQASASDDLHSDASSAGVIGSRVIGELILSNGDIYRGTLLGNMPHGSGSYIWSSDGCIYEGEWKGGLRHGQGKTLWPTGATYEGDYSGGYIYGQGTFCVGGLNSSSSTYKGGWKLNLKHGLGLQTFPNGDTFQGSWVQGEIQGHGTYTWADGNTYVGTMKNGKMSGKGTFTWKNGDSFQGNWLDGQMHGHGVYTWKDCGYYVGTWTKGVKDGKGTFYPRSSPIDASLLLTKRGTLSGQIIIHGRRSPIYYYSFTPSHLTSMAADLMASTHDHNCLCSSETKQQVDSNFPILETEYMQGVLISEVVVDRSISHSFRRVSRRQKKMVKEDINKPEQTIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDYGTRASFWMNFPTNGSRFTPSHHADDFKWKDYCPMVFRNLREMFKIDAADYMVSICGSDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVSNLFNISGNNDIKVLLRMLPEYYYHVQTYENTLITKFFGLHRVKPSSGQKFFPSRNVTITYYLCCGGNVGALEDSMNCSEGLVLVQRASDQNDVIIGPHIRGARLRSSTSFEEVDLLLPGTARLQIQLGVNMPARAEQTTKGDDNESFGQVYDVVIYLGIIDILQEYNLRKKIEHTYKSIQYSSLSISVVEPKFYSERFLKFINTVFPESS >Dexi8B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:8B:777208:777600:-1 gene:Dexi8B01G0001150 transcript:Dexi8B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPKPMICLTLLVLLFSHLQVQGQEERAGGEQKRDMSRRPEEYVPSAAYLRRLVWAAEETPLLVCGGGCRCCAASNSSKCVDDTPCCFGINCNLPGKPYGTCAFQPVTCGCGSCPSQPPSSHRLLF >Dexi9A01G0045450.1:cds pep primary_assembly:Fonio_CM05836:9A:48977323:48980026:-1 gene:Dexi9A01G0045450 transcript:Dexi9A01G0045450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVTPSPLAGARGGVRLRGALHRRLAASPIYVERFKRKFASQVKNDDLRRTVLNSVVEFILEVIHRSLEDQLFIVESISQISRNLGAENIYIHVHKDNLPAWRLYDKIGFKMVDHQDGARHSSDLCLLSFSS >Dexi2B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:2B:260447:262348:-1 gene:Dexi2B01G0000580 transcript:Dexi2B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGAVLPSPTRHSSAGGQHAALTADRAAALLAGCASARRASELHAAAVRSGVDRDKAVDFRLQRAYAASGRLDLAVVLLRRAPDPTAVFYTSAIHAHSSRGLHLAALALLSDMLTRGLLPTSHTLSASLPACNGGRSGVAVGRALHGYAVKLALSGDSYVATALLGMYARAGDAAAARALFDEMQPDPHVVSVTAMLTCYAKMGALDDARSLFDGLPNKDFICWNAMMDGYTQHGRPSEALRLFRGMLRSGVEPDEVSVVLALSAVAQLGTTESGRWLHSFVKNSPRVRLNARVGTALIDMYYKCGSLEDAVSVFDGLNDDKDIVLEEVNGLVKEHGHVPQTELVLHDLDEPTKEKALAVHSEKLAVAFGLISTPPGATIKIFKNLRACADCHAVLKLVSRITGRKIVFRDRNRFHHFVDGACTCGDYW >Dexi9B01G0044760.1:cds pep primary_assembly:Fonio_CM05836:9B:44403592:44406130:-1 gene:Dexi9B01G0044760 transcript:Dexi9B01G0044760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAISGQLRLVASAATAPVADLRSLLPDRGGGGAPRLAAVRGYVRPKPGGTVLRSQLSGEYGVITKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTSFRTAEFVLASSVIGNPTGMVFINIKKEDQPIPLTTVFHKLIPIETTPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRAKDEGNVEISPCPELPFFLSELTKDEMQAQLASRARILFWASIVLGSLSVCLVGHAIYRFLADKISFHRRPFKHEHNCSSSRAFS >Dexi5A01G0036540.1:cds pep primary_assembly:Fonio_CM05836:5A:38001261:38002148:-1 gene:Dexi5A01G0036540 transcript:Dexi5A01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLNDAVRARLRGDAGCPSSGSDHDASACLSGLVQAFLETDAGAAEEGVAGPAPKGAGDYDSDADGVGPGRAAAAAASVRELLDPPAEEDVFRIRLAAAVATAVEAEAALRPHGAAFRRAVARRLRAAGYDAGVCKSRWEASGGITAGTYEYVDVVVASGEARRGSSRYIVDADFRAGLEVARATPEYAAVVAAVPATAVVAKEESVGRAVRVVSDAARRSLRAHGLHVPPWRKTRYMLAKWLGPYKRSTATSPATAAGAMPMSGGAGVDVKCRAVGFFPPPATAPAARIK >Dexi8A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:8A:2859448:2863245:1 gene:Dexi8A01G0003760 transcript:Dexi8A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVDTRPAAAASGGGGGGGGGGGEAGTAGEGALSFLSRGIREDLRLIRARAGELETFLNAPVPEPELFTRLRQAYSSSARTRLDLSAIGKAFETESWRGPKGTARWRWEEEAEEWEPVRMVKARLRELERRSQGQSPNDMLHKVKLSLKSFSFAPEASEFVACALITIDALYTISSALCTSIISNALVQDVPPLDLGELFAYFLKQSVPLFDQLGIKRAVSSFSRAVDVCDKLVESLCSKRKDHHAYDFLSTSESSSLKNDSVWDELDLRIASVLQSTGHHYEDGFWRDRKSVLSDKRHVAIVTTASLPWMTGTAVNPLFRAAYLAQSSKQNVTLVVPWLCKSDQELVYPNSMTFNSPEEQEQYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDRFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPRSMICNVHGVNPKFLEVGERIAAERQSGHQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGIKLDIYGNGEDSHEVQSAARKLNLNLNFHKGRDHADDSIQGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVAKVKEAMTRDPQPLTAEQRYNLSWEAATQRFMEHSELNKVLNSATEGDGSSTGRRMRKSASLPNMSDVVDGGLAFAHYCLTGSELLRLSTGAVPGTRDYNKQHSLDLRLLPPQVQKPIYGW >Dexi2B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:2B:26509324:26510630:1 gene:Dexi2B01G0016350 transcript:Dexi2B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYEHGYTAPPRAHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHILEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAVFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPPHP >Dexi4B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:4B:2650308:2651468:-1 gene:Dexi4B01G0003790 transcript:Dexi4B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAPNMEMLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR >Dexi3A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:3A:1680964:1683376:1 gene:Dexi3A01G0002490 transcript:Dexi3A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVGQRARRNVGAPPRGRTEEWRKQQVAESERMSKEENQRYMAEFEVKYPHEDWSDLLAVGARNYREHWESIWSSVFGSFEATSPTRAVVVCDPVYFEVVLKVKGNVESEDKDLSLLTEPLTNHSSILYTCLMSKDYTSKLSTLELTFGYVVDSVEATISVCITEGSWPDGYIGQVTAHTSSLKNRVLLLRSEFEKMPVSDDGMIKLSRCVASVELEGKLTVSVVAFQHDHDDYDRINVVGKDEEDFSPRKSGKSYGRLDVGFCKMDVTVA >Dexi3B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:3B:6814935:6823959:1 gene:Dexi3B01G0009850 transcript:Dexi3B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCLLKDKLAYFRIKELKDILNQLGLPKQGKKQDLVERVLALLSDEQGQRHHGWGRKNALTREAVAKVVDDTYRLDRKMQVQCAPDLASRSHSGSDFSHFRPKEEANDYYHVETKVRCLCSSTMLNDNMIQCEDAKCQVWQHMTCVLIPDKPTEGVSPEVPPHFYCEMCRLSRADPFLVTTGNPLLPVKFMSSGVGNDGTNVSQSVEKTFQLSRSDRETVQRQEYELQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPMVTTCSREGINKISLSRVDTRTFCFGVRIVRKRTFAQVLNLIPKEGEGESFVDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPLRNCNEDVNELDVKPDGSWRVKGDAAPRELCQWHMPDGTLCDSKEDTSPAVENLNEFKREEDRQQQQQHNAADVIVLSDSDEENDTVIRQPAVYDNTATNGNTFPFATNGAGSGYPERYQEDAGVGTSGLDCCALTFAIIYDRLPLSQARTMTDPTEQI >Dexi7A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:7A:23569040:23571561:-1 gene:Dexi7A01G0013510 transcript:Dexi7A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLFVPVPVASPPLARASASAHPARRACAITPASCSSATFRSSPLRAATGLPGNRGGASVVRAVEGQDTTIEVPDVNKSTWQSLVMESELPVLVEFWAPWCGPCKMIDPVVGKLSKEYEGRLKCYKLNTDENPDIASQYVVRSIPTMMIFKNGEKKDSVIGAVPESTLTTCIEKFVEG >Dexi1B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:1B:16967989:16968362:1 gene:Dexi1B01G0012640 transcript:Dexi1B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQSSSRQDAGNMRPAAIPPLPAPLASLLVAFSRVGVACLRVRSAGMVLREEAADEIVMEARELKTDVSPLFAAAHAGHAGVVRALVVLISAQSL >Dexi8B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:8B:25027900:25029250:-1 gene:Dexi8B01G0014410 transcript:Dexi8B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARRQCSGVFMDGKLFVIGGKGSNNEELTCGEEYDFELGSWRVIENMTEGLNVRVKTAGAPPLVAVVNNDLYGADYAEKNVKKYDKQNSRWITLGRWPESIASSSMNGWGICFKACGAHDWRHKTDSGGANGDDKPPGGWHLAIATLLRLA >Dexi9B01G0048950.1:cds pep primary_assembly:Fonio_CM05836:9B:47800311:47803013:1 gene:Dexi9B01G0048950 transcript:Dexi9B01G0048950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPMSRAAFASVLLGPRAPGASLVAARCVSSPAAASAAVTAYDHASFVKEIAAMDPPEHLNSLLDVLQARGNLTSLLRWPTAPTGMEMPVVEVRKHGLWLMAKNVNQYIHRILVEADINADIADDLWSAVGEAGKNLYSKGDFKESQLADLDVYLLKKVGLFPDVIERKTLRHLEKGDNVSALITGEFYSRDQFPGFGRPFVFNAEILKRVGRTSEAKDAARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLATVDGNWDDVVDRIAECYKEAGLHDIAKFIAYRE >Dexi7B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:7B:16950553:16950798:1 gene:Dexi7B01G0009320 transcript:Dexi7B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSHRPASSFFPAFELQTAATPTNTQALEQYFQFQNACLPECQHVRALRAYLRRGAAVSVSPSPPGLLAPEPGVQIAR >Dexi8B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:8B:20756538:20766499:-1 gene:Dexi8B01G0011650 transcript:Dexi8B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDAVQWWEEWQLRVRVLTSLFIQFFLSYSAPLRKYRIPPWFRFFIWLAYLGGDAMAIYALASLFNRHKTGGAVSKQSHSTGLEALWAPILLVHLGGKDSITAYNVEDNELWRRHVLTALSQPWALKNASINSLVSSSSTNEDDNAGDISSLENIVQAATEYLRAGLENQQPPEQIVGDKPYDIFIDIAPPFSERLNNLKCMVHANGNEAHRLLKSGLSRAFDRLYNKDQVSPGYLARNRKHSWFRKLATLLVCKDYLDQLWCMKPCKSSSDITAIVHDHVRDAWKKHVIVDVSTYRDFHDIRGQWALERWGLLDILGPSLQRPFDESVLLWHLATDFCFYSTDASCTSSSHSQHTIAARDLSRKISNYMMYLLFVNPEMLMAGARRNLFRAAYCELKDLLKDNEGPPLNARKLTGKIVEDLKKVSCPQEQTPSASRQHFVPCAYWEVAKPLLSIKDEEKMWLAIQGVWVEMLCFSARRCRGYLHAKSLGKGGEYLSYVWLLQLYMGMETSAEILQRTRLQQEGTASATPTRPTNTVGDNNV >Dexi3A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:3A:2771717:2772468:-1 gene:Dexi3A01G0004190 transcript:Dexi3A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHLPVCCCGDSGTGQEDPRRTLLDTICGFYVEALDRLPIHDHPNLVHCLFVAGHCYGLLEPVSNVILHTIAQHGRGLKEPYNREDMTTEFLDKIHKSWYWLRPAERSLDGLTTFLMSWYRYLTADQALQYLCLAKADICLAMDLVEQEFHTQCLVEEVLPPSFDDDAWILTVKDSLVYAAQAAEHPKPDGLVALATEKFGPDHVDKIAERTGRPVSLQPAVKLP >Dexi6B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:6B:9607621:9608515:1 gene:Dexi6B01G0007940 transcript:Dexi6B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSTLSHGPFPPEETTIPAADTAVATASMITCASRTILNPFTPPRLYTSSAAGRYSANSSAAPSVAATPTITAGDGEVVAEGEEEGGEGEEDDGVDVGEGERDAHERGGEGEREGKLHGEEEGGGGDGQVGGAARVEEVVEAHHEAEEDAAEDETWGEAGEELGRRRTGEEDDDEEEGREAGGLDQRGEPPVRAAVGEVETGEEARGQRADEEGSVGDGQQQRAAHGAVAEHRRGAAEVMEVWWWLQVVVGQQAGAAAAWWRP >Dexi3B01G0030960.1:cds pep primary_assembly:Fonio_CM05836:3B:31266715:31272765:1 gene:Dexi3B01G0030960 transcript:Dexi3B01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGADARGELGQIGGPLVCPWAQGLLSAGGPRARCWAVNNVNQVASHLNNVQPLNGANFHEWKGKIITCLVWNDLDGDTNLNVAIRDNKVILVPADPKDETQQWFKDYRDAGYVTDDEDQRAYSLVNKATGEVMVNKHTPREDGNIEVRIGKAQAVM >Dexi2A01G0032300.1:cds pep primary_assembly:Fonio_CM05836:2A:42837243:42837431:1 gene:Dexi2A01G0032300 transcript:Dexi2A01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDVVKPVAAMVLVIFAGVNILYKMALSDGMDLRVLVAYRYLFPSAFLTPLAYFVER >Dexi7A01G0018060.1:cds pep primary_assembly:Fonio_CM05836:7A:27532058:27533350:1 gene:Dexi7A01G0018060 transcript:Dexi7A01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSCSPNKPCRSLLVLLAGVTVLLVGSVHLCAATLDEDIELIWGASHTYFFMDGDTETLALSLDEQQGSCFRSKGTYLYATISMDIKLVEGNSAGVVATVYTISEGPWSYHDEIDLEFLGNLTGEPITLHTNIFANGEGGREMQFYLWFDPTADYHTYTIEWNPKYIIIKVDGKAIRAFKNYQDQGVPYPTWQQQRVYGSLWDADQWATQGGAIKTDWSNAPFVAYYRNYNTTWCQPSPGVAWCGDEPRDSTHFDLDPQTMADLQWVDANYKIYDYCTDHKRFNESEFPKECYLQRAGV >Dexi5A01G0027580.1:cds pep primary_assembly:Fonio_CM05836:5A:31047837:31050167:1 gene:Dexi5A01G0027580 transcript:Dexi5A01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGPAGQTAGPRLFDLCPYPSPFLSPSVAGAHLCLSPAQPHTGAFVAGPGRPRATRVVGYTTGHPTPARLTWSTAAAPPRMLSQAAFDDP >Dexi4B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:4B:22817150:22818056:-1 gene:Dexi4B01G0020720 transcript:Dexi4B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLGASSSKKRRAGEEGDVSEAAAAAAEEEDRISALPDELRRSILTRLTFKDAIHTGMLARGWRDLWKTRWPHRVSVEVRLSSPDAPRRELDALAHEPRPHRRLDRFSLVVENCTLNSSELRGFTDYAAECRVEDLYIGVREDTSINGRLNFPACSPLLARLSLSRISVISSMHYKLSRVSITQVGFKNMMALCPSLLTLDLRRCYGNCFFYQPDKRRRLVMPPNLRNVTVADCCGRANLNLVPMPSLRSFCYRGDFINKPFSLPEDAAIIDLYICLIPPD >Dexi3A01G0022150.1:cds pep primary_assembly:Fonio_CM05836:3A:17715880:17718283:-1 gene:Dexi3A01G0022150 transcript:Dexi3A01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGQSSIEPDAEDLERGERRRDVPVVVDGDDGDEEESQYFSDAEDRSWPSHSRHNSTAYEDYISPCASARSSSVDADTDADGEPVGEHCRKSSCVSEGSLDDVDLEAGLGEIIKASPEKSEQNCRICHLGLESAAGESGAGMTLGCSCKGDLSYAHKQCADIWFKIRGNKICEICSSTASNVVVLGDPEFSDQWSETNNVAAVQAPPAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Dexi3A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:3A:15913308:15917169:-1 gene:Dexi3A01G0020010 transcript:Dexi3A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKAIFRAKLRETKEKQQRRIDPSLVRFRFVSLYSDLCLRFGSGLGLGILPAKAAAATKAAADTGSEGRSVRREIAVTQPKAKEASADKPSRLDQMTKKGTQTNTNAKGVLPGNFFDYEDEDEAPAPNELSTSGNIANLNHMQVKGVPEGFFDNNKNGNGMQSSEPSSLPKEAKSSETAQVKASLPEGFFDNKDADLRARGIQPQKVDMNDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQQEYRQQVDMLKKQLIESKAARIAKVNSKPVGMDTESSSDSSSDEEDDNTDFAVDWRAQHLK >Dexi7B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:7B:8675228:8677321:1 gene:Dexi7B01G0003640 transcript:Dexi7B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLRLLAGGVLLLLVALVSAAALCTADPDVSAAEDPLIEQVVGDGAAEDELELNAEAHFASFVSRFGKSYRDDDERAHRMSVFKANLRRARRHQRLDPTAVHGVTKFSDLTPAEFRRQFLGLRRSSARDLLKGSSANKAPILPTDNLPTDFDWRDHGAVGPVKNQGSCGSCWSFSTSGALEGAHYLATGKLEVLSEQQMVDCDHECDPSDPRSCDAGCNGGLMTTAFSYLQKVGGLESEKDYPYTGSDGTCKFDKSKIVAQVKNFSVVSVDEGQMAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGKHLDHGVLLVGYGAAGFAPIRFKEEPYWIIKNSWGENWGESGYYKICRGPRLKNKCGVDSMVSTVTAIHTSKKE >Dexi2A01G0036910.1:cds pep primary_assembly:Fonio_CM05836:2A:46400543:46408065:-1 gene:Dexi2A01G0036910 transcript:Dexi2A01G0036910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAYYGGRGRGGPRWFVPGADRGLPWGRGGGSSETLAAAMTRRAPPPSAIRRDAVRVAEAAAGEVVLRVHPTVEAERRRQDVIGYLERLIGSTVGCEVRAGYGVFLRGGGCTPGKKNCQLDVFAFGSVPLRTYLPDGDADITVLGNTWLNSSFIDDVRSVLESEQENCDAEFKVTGVHFINAEVKLMKCVVENIVVDVSFNQIGGVSTFCFLELAFYRFLEYFSKFDWDRYGISLNGPVDLSSLPNLTLTAEPTTRHDELLLDQEFLQGFSDRLVVIPNESDGCDTQFRQKFLNIVDPLKSNNNLGRSVSKDKKPLLPFLLSNMLDLSGDLDLHLGCLRKVQYHLESLFDELLQAFEEVYLAGGLDEDSFKIPTMIFKSKSNNGTRLSLVSSTDDERRKLSPVYCSHSTGNDSQQSRAEAQVGVVWQKNLSLSSSGSALHNLPLSSSGLALSSSPSVNSDNYHVSWFCASPKSLGTGTYIPKPGFYSYQDRMTLEREILRGKRQRQRAPGRQYSEQGYFGSQDEHTTTQSATNQSPKKQTSLQQNSYSSKSSIPSGDFVDFKKNIAAESGTKQSVGRDFVDKGRQKRPASLSGMVLPHNGQGNPIVLKACQPSPATVGYQQLKEQAMESLEFGSMGPFSLGIISTQFEEAFPALPTRKRAEEVIVPTSKGPAEAPVTPAPTSTKPVETESRSDIGQEKLITFCMETCRSQEVYQLRDEADFPPLQAGYHRD >Dexi5B01G0024960.1:cds pep primary_assembly:Fonio_CM05836:5B:27045703:27049139:-1 gene:Dexi5B01G0024960 transcript:Dexi5B01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPRPWVVAARALVAALAAVAWLVGGASAGGGGDVLRRVQRPEFAGWMAGVRRAIHERPELAFQEHETSALVRRELDAMGVSYRYPVAGTGVVAADGTGSPPFEEVEWEHKSKEAKKMHACGHDAHTAMLLGAARILHERRHALQGTVVLLFQPGEEVGIGAKKMVDAGAVDNVEAIFGFHVTVILPTGVVGSRSGPLLAGCGFFEAVITGVGGHAAIPQSSVDPVVAASSVVLSLQSLVSREADPLDSQVVTVTRFLAGGALNVIPGSVTIGGTFRCFSGEGFTRLKRRIEEVVVAQAAVHRCAASVDFGVGGSPLLPPTVNAASLHDHFEAVAADTVGVGSVRAAMRPCMGSEDFAAFAEAVPESYFYFVGIRNEEAGAVHDAHSPHFLVDDGALPYGAAMHANLAEGYLRRRRVAVSGPGGDSSHDEL >Dexi8A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:8A:374269:374859:1 gene:Dexi8A01G0000560 transcript:Dexi8A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVDAPELEMANGKESKNALVKLIGGERVTIYVYGQDQFGRHVGDIYCGDVFVQEQMLKSGQVWHFKTYDKRPEFAQWESEARAARRGLFAVENPEKPWDWRRDQRNANVPVY >Dexi5A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:5A:5589631:5590038:1 gene:Dexi5A01G0007530 transcript:Dexi5A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLYRPKAKSFWILVRRLLLRRNRKPAAAGGDEDDRKEEKSGLLSRSSLEQLLVTDAGGGPGDSDVVCRSAKKHGQPGVPRPEVAAAVSPAAAGVGGRDGAAGVHRRFVFGGFRRRLLMRRPWRPVLVAIPE >Dexi1B01G0022580.1:cds pep primary_assembly:Fonio_CM05836:1B:28290693:28291079:-1 gene:Dexi1B01G0022580 transcript:Dexi1B01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGHGVHFLDACFLCRRPLAGNRDIFMYRGDMAFCSDECRSAQMAADEAAERKATKAVTHGALLAREAAEGTQQERGKVRAGSVLAL >Dexi9B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:9B:13067791:13069987:-1 gene:Dexi9B01G0018360 transcript:Dexi9B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTRKHVPEDVGLRNAGEDGGQGQLHRDEKEHKPVLKKVKEKVKKIKSTLTGQGQGHGHGGGDEHGGGDERMGDDAAWSNSNEEGEEDVAEREAALEKGGYMEDVEDKPVLTESDPEVHGAPMYESERTPAVQDLVAKYDPAARAPPAVEEREGHGAPGVRLGDLGGPVVEDPAAPRSTTPAAREGEDIGTTPVVKQFENMNLSDDPSHVDAGKEDAKVEEWKDAAADKMGAGGAAGGATYTDKLKNAAMGTTEYSKKLASTLYEKVAGAGTAAGAAKSDGERAETVPEASDMTGVEERKMDAPAAATDATNGTAGGAGYTDKIKSAAAGTTQYGKQLASTAYEKVAGVAPNLRPQVGAAKPEDARSDEAVMPVSDNTTGTEEEEEFKDAPAPATTTDTNTTNASSGPGYTDKIKSAAMGTTEYGKQLASTVYEKVAGVGTAVAGKVPGVGSQQDTNTNAGVGQDKGVTMTGYIAEKLRPGDEHRELSSAISGAVQQRKEDVGTTVAQRVPAPGGVITKAREAVTSLTGGNRVSETVQTDTARVIDHELTREVRCVAGEEVKEGYAAEAPVIHSEEVDAPRLNTNTM >Dexi3B01G0029890.1:cds pep primary_assembly:Fonio_CM05836:3B:29163261:29171821:-1 gene:Dexi3B01G0029890 transcript:Dexi3B01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRPRRLFRPQTPGGGGGGEEEEESGSKMIYDVNSPLFRSFLSQKGGASDKRYLSPHPSASSQNSPFARIPSGAQALNPGRPGCLENKQQELERGLHCAAPAVHLLSASSFHSLLFSTVLLSRLHLLQPPPHPSPAPPCAALRPPPAPVQRVEAVVLLEGGEMEGGRRETSTEAQFMDFSQAGFPMFTGPICGLRLAFRLAYAPNLLAAATIASARKHGCTRRKKLKVAHAAMAPACVTRRRSKRARPNNGVLPTEMLFEILLRLPTIKDLSRLRLVCRAWRDLLTSDPAFARAHPSVRRLVAAGVCKLRGEIKFVDVLSGEVAKRMPVHEARVRYGHNVTTQAGHVCVQAGSVGPCVVAAVATGAATVLPAGVPVKHSAGDRIVSSTSLLGRAPSTGDYKVLRTHLCFGVVGPTSFYYHTCDVTTLGGARGDGRWRVRPDPPMRISGDFERRVVVNGIAYFLSDPYNCSTTDGSSRAIASFDMATEEWRPPMLPGPLSSLQSTHDEWWRVAPSVRDCKPAARGHTRNTGANRRWFGSGACSDDVKA >Dexi7A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:7A:27670402:27670770:1 gene:Dexi7A01G0018210 transcript:Dexi7A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELFPSEQETAGTETRASGLRGGEWNTSAYLERNARHVAPLGRRLVRVLRFIFPPRRRDSARAVAEWGRWRRWGCEVMREQARSAAGGGEADREEELGRGERIKGGRGGERWRREKIMERE >Dexi9A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:9A:4174847:4175994:-1 gene:Dexi9A01G0007200 transcript:Dexi9A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVAFSRRRRRWILLGAAGAAAAFGAYRIYHHPAVAARRRRLVRLAAAVAAFADAAASSADAAALVASDLADFVRSDADEVPRSVRQLAKLAASPEVSATVSSLSEAVASGVLRGAGSSGSPGSAGAVALSDRLVDKLFSDSGERLASAVAGSFARHLVLAFYSAPLTPGGTASSSSPTMWVNVVTTGKGRRAITNWVEVFVGTAVREFIDKTIHINTYEQLFEGLTNPKHDAKVKELLLSVCNGAVETLVKTTHHVLYNTNDKLDGNGNGSGSGNGGVGEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFVLWKLQDGARKGGDTVVDSGLRVVSLMVHGTV >Dexi3B01G0030740.1:cds pep primary_assembly:Fonio_CM05836:3B:30958151:30959332:-1 gene:Dexi3B01G0030740 transcript:Dexi3B01G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGRQRIPFTDVSNTVNRGISASADDEQKRQEKNRKQREWRARRKAEQTIEQREERNKKQREYRARRKAESSTPSVGDITETSIPVTTGASDVEYDPILFEPPHNDTDDEEGHLDEESLGTVDDIIDDDETRQFLNVD >Dexi9B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:9B:6589897:6590259:-1 gene:Dexi9B01G0010520 transcript:Dexi9B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSTALPALLLAATLLMSSVAVVRGQQRGNPCPTNALADLKVCADVLVLLKLKINVPQSQQCCPLLGNLVNLDVAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAVPGSNCS >Dexi8B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:8B:1776994:1777882:-1 gene:Dexi8B01G0002540 transcript:Dexi8B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSRAHRLIRGFHASAQALARAEPHEFSKPSGYLGSWEPAGEPREAWARLDRLRKGYARDVRELRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQTLMKERAEKLESWRKKEKLLEQKKVDQKELLRKKSSLWLAEDKLEDQILEAIRNTTPL >Dexi9B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:9B:14507865:14510011:1 gene:Dexi9B01G0019870 transcript:Dexi9B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLAEADGSPYAYQPGESTSRRSTEFAHVWNKAVDETLGRLVVYLSSHGW >Dexi6B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:6B:16926514:16927579:-1 gene:Dexi6B01G0010540 transcript:Dexi6B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFSVSECKGQKTIDGEQVPLVLAPSGVDAKSSGCEALVEALKANREWVEEKVTANSGVLLRGFDVRDAVEFNAVVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEEFIYYHHEMVLIKEFPGKVILFCEVPPPSGGETPFVPSFRVTERALEEFPDTVEELDAKGLRYTFTALSKNDTKSMRGRGWEDAFATSDRAEAERRARALGMDVEWLPDGGVRTILGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATLADGSEIPAEFVRRCGEIIEEESIQFRWEKGDILILDNLATLHGRRPSTAPRRVLVATCK >Dexi6B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:6B:23767922:23769711:1 gene:Dexi6B01G0016530 transcript:Dexi6B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARTGERATSFAVACSLLSRFVRQNGPAAAELGLGIKGEAESQRAPATMSLLPAAKAEEAERRKGTMELFPQSAGFGVQDAARETEKKDKSQQLTIFYGGKVLVFDDFPADKAKDLMQLASKSGPVVQNVGLPQPPAPATVTDNTKVHKAMPAPVSNLPVAPAAAAQKPARTNASDMPIARKASLHRFLEKRKDRLTAKTSYQNSPADATRVKKEPESQPWLGLGPNAVKSNLH >Dexi7A01G0021840.1:cds pep primary_assembly:Fonio_CM05836:7A:30330309:30331181:-1 gene:Dexi7A01G0021840 transcript:Dexi7A01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVRGPKGARTIAGGERPAAAATGTQKETKKQTQDLRSVSLAMAHGKPASEINEGAPGRYNGIRARTKRRIGLTEEGEAVTAMADNGLQRPVVHEPEEETRNKERNQEQRRESKKPGTRREGWVLTGRRIDNGSAPLRSSPHLEQGRFSSNRGWGWEETAERKVGSGLLARVQPTGRQATGSTDHIAKASGIVANGS >Dexi2B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:2B:12172669:12173267:1 gene:Dexi2B01G0010730 transcript:Dexi2B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRSKTTSFLLFPALRK >Dexi9B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:9B:9375540:9376559:1 gene:Dexi9B01G0014020 transcript:Dexi9B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIARVSTKLCSQVRSSRPTLDLDQRRRSFLIRPDQIQSVKQRIMEQSVAIGKQLDKHQAPTSRCRRSCGRPSCAPRRGGGGDDDDDTCYFLVPVDCRRSLPGVGEGYFGNCLSLSFARAAARDLMRSDAGMAHAAAAIREVARETVASPLRGAERWAEAYAGMPRESFTPSGSSNRSRLD >Dexi9B01G0038690.1:cds pep primary_assembly:Fonio_CM05836:9B:39672775:39676202:1 gene:Dexi9B01G0038690 transcript:Dexi9B01G0038690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTPAHRRRWTRALLLALPLLSLPILYAALGAARSSSPVGSAPRRRPPEPPPRLAYLITGAAPGDGPRIRRLLRALYHPWNCYLVGVAGEDERADLEAFVRGQEALRRYGNVRVAAAAEWGAVSPRGPTELAATLHAASVLLREFEGWSWFINLSASDYPLMPQDDILHIFSYIPRDLNFIEHTSNIGWKEHQRARPIIVDPALQVPNKTEVITTKEKRSLPSAFKIFVGSSWVVLSRSFLEFCLLGWDNLPRTLLMYFTNFLSSSEGYFHTVICNSEYYQNTTINSDLRFMAWDNPPRTHPVNLTTEHFSSMANSGAPFAHSFANDNSVLDMIDSKLLGRAPDRFTPGGWCLGSSVGGKDPCTFFGRSFVLRPTKGSAKLEKLLLKLLEPDNFRPKQCK >Dexi8A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:8A:238355:239079:1 gene:Dexi8A01G0000320 transcript:Dexi8A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSSGSSSPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQHDAHHAAIASSPSSPSQHLKQQQLMEMECEAAAYGSLLMQNGRLMMNSTAAAHHQQMLGTLGSAGNSAMMLQEITCLKKESLWT >Dexi6A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:6A:24819358:24820306:-1 gene:Dexi6A01G0016970 transcript:Dexi6A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQHPGGAAPLREPLEDDDDDEGNAFAGGRGESAATPCTRHAIKSLTAQIKDMALKASGAYRHCKPCAGSSPASASRRHHAYGEYADSEVASASDRFHYAYRRAGSSAASTPRLRSGGGMYSGDVTPSVSARTDFLAGDEEGEDGDETAAGGSEEDESKEWVAQVEPGVLITFLSLPQGGNDLKRIRFR >Dexi2A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:2A:33708314:33712952:1 gene:Dexi2A01G0021640 transcript:Dexi2A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNPIDPERIQEDFEDFYEDIFEELSKHGEIESLHVCDNLADHMIGNVYVQFREEDQAARALQALQGRYYSGRPIISEFSPVTDFREATCRQFEEHNCNRGGYCNFMHVKQIGRDLRRKLFGHLHRSRRSHSRSSRSPSPYRHRRSSSRSRDRDDDYDYYYHYRSGSGSRRSSERHRSHDSDGSRRRRGRSRSRSPVREGSEERRARIEQWNREREAAQVWWCWLGSVGSPGQHNVSKPLRMLPGIGNLISVHQVWTEVGAIGSCKLPKLERASQNARARAAPPHQPPGFPRLLLISCLPAVWLLAAAAAAARSPGCATSCGDIDVPYPFGLDDPQCAINTGFQLNCTTTTTVGGGTTPTLLYKNAEVTNISVPDGKLWLKTIISRQCYNHTTNQTISDNAWINLAGSPYVLSADDNKVIVLGCRSMAYMLTPMNGSCSGAGCCQVDLPRGVRYYQGFFNELYNTTEIWRTYPCNYVTVMETAAFSFSTTYLTSTVFYDADDSRNPVVMEWGITQQTCEQAKTSKTTPYACLSDHSDCVDGDAGYRCRCSSGFEGNPYNIDGCTGFVIFLPVVLHYFS >Dexi5A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:5A:20584843:20589171:-1 gene:Dexi5A01G0017370 transcript:Dexi5A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCGATGRVGVGVTSPTRPTLPQGKRRPLRLWVPATASYEFSWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKAHSSPDDDPELSKAEKRHILGGGTFKEPVTSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVVQSYPVLKFNLTESGLLCVLPWLTMAVFANVGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLSLLSKVRTPAMAVLCMACSQVRCASERPLKWIHTSYLKRLLGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGEKVLE >Dexi2A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:2A:21950449:21950799:-1 gene:Dexi2A01G0013400 transcript:Dexi2A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIVHEDLQIWVCGEGRRSDRAWVMDREMCMTKVLDTMPRLPKDTKWRMACTWLSDIDYARTGNVFVKTWGYGRYSFDTETGKLECLMMTDGKEYGDPMYAYTLSWPPEFLAPRD >Dexi2A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:2A:5878299:5878950:-1 gene:Dexi2A01G0006230 transcript:Dexi2A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFPFFLYLSTVDKGGETVFPNAKGWESQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHVDGVPDPLSLHGSCPVIKGEKWSAPKWIHVRSYENSQVMDQERGLH >Dexi2B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:2B:3009006:3010055:-1 gene:Dexi2B01G0003380 transcript:Dexi2B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSSEPHVVEHIRGLVQLMSDGTVRRSADPASSFPANVDGDAGDGDGDDDSGVEWKDVTWHREHDLNARLYRPGHLGAANDARIPVVAYFHGGGAPAGFFCLGSGRWPGPHAWCLRLASELPAVVVSFDYRLAPEHRLPAAIDDGASAMAWLRAHAAHDPWLADDADFARVFVSGASAGGNIAHHIAARFGKSGLGAPIRIRGALLLTPAIASAARTRAEAASAATRNAAGELTGDMIEKYARLFLPAGATWDYPAINLTGGPEAAALGAVAMAPVLVVAAQRDVLRERQAEYARRMKGEWGKEVEYVEVAGVGHGFSEADDPWTQQADELVRLVRRFVVKHMDQE >Dexi9A01G0037530.1:cds pep primary_assembly:Fonio_CM05836:9A:41798360:41802510:-1 gene:Dexi9A01G0037530 transcript:Dexi9A01G0037530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRPATNGAAAAGGANPTVNRWNGRPYSARYFEILEKRRTLPVWQQKDDFLRVLRENQTLILVGETGSGKTTQIPQFVLETEGLGNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTQLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPRDAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNSEDPQWCYENFINSRALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERATNRG >Dexi4B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:4B:21547751:21548716:1 gene:Dexi4B01G0019250 transcript:Dexi4B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDAESYESEVRIGSKFQADVPEWSGPILSNKDQFTEPTELDPSEITMVGCLQLFKDMKTRVGNWIQCREVLDTGIVCGKWRRAPLFHVQSSDWDCSCSVVWDPIHADCAVPQI >Dexi3A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:3A:8037422:8038043:-1 gene:Dexi3A01G0011280 transcript:Dexi3A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADPPSPASQRRHQCAAATAAAAAACLAPLIVFLAVLVLAPSLVPRLLLRPHYHAVLSVASAELRLMSFDAAASAIAYNLSAVLRFEGPPPSLHARQVIKAAPFYAGQELGAAVALPEFTQRRGVQRVTPGRSARAVAAALARERARGRIVVRVAVRAAQDGGEESDFACLLSFPVPQERSNGSVVFDGGSCADAVRGEF >Dexi2B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:2B:1062291:1063030:-1 gene:Dexi2B01G0001610 transcript:Dexi2B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVYLVIKNLKTRRKSTCNNTTPPLPPGPTPWPVVGNIPEMLLSDKPAFRWIHHVMKEMGTDIACVKLGGVHVVCPSRHTWLHGKRSGEADNLTRYVYNLTAGAGEETSGVINIRHVARHYCGNVVRRLVFNMRYFGEPQPDGGPGLTEVQHVDAVFACMGLLYSFHVSDYLPWLLGLDLDGHEKMVKEANETVHEQAARHVRRRAVEAMEERGEE >Dexi2B01G0035480.1:cds pep primary_assembly:Fonio_CM05836:2B:42475853:42480239:-1 gene:Dexi2B01G0035480 transcript:Dexi2B01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTTTRPKLRAAAAANKLPLTATFLLLLPLGFVATHRAFSGGVVAVSASVAEERHREERVLLDGDNNKDSNADAAAVERHCAGTLHRDVCASTLATIPNLAQKPLRDVISDVVARAAAAVRASSSNCSSYLGRPHGHGLRLRDRLALSDCLDLFGRTLAQLGTAADELSAGNRTAEESIAGVQTVLSAALTNQYTCLDGFVDPSASEDGRVRPYIQGRIYHVAHLVSNSLAMVRRLPQRRRRRRLLEGYGRVRRDGFPSWMSAADRRRLQQQVVKADMVVAKDGSGNFTTVSDAVAAAPNNSEARFVIYIKEGGYFENVEVGAEKTNLMFVGDGMWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDVYGTVDFVFGDAAAVLQGCSLYARRPGPGQKNVFTAQGREDPNQNTGIVVQGGKVAAAADLIPVLANFSSYLGRPWKLYSRTVFMQTKMESLIHPRGWLEWNGTFALDTLYYAEYMNRGPGANTSARVAWPGYHVLTNATDVANFTVLNFVQGDIWLNSTSFPYTLGLLS >Dexi9B01G0046290.1:cds pep primary_assembly:Fonio_CM05836:9B:45568917:45569210:1 gene:Dexi9B01G0046290 transcript:Dexi9B01G0046290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRNYHAGNRQSLSLSLSLSLLEFSRWHMPTLPPDQTVVILQQLQQQDE >Dexi6B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:6B:1650269:1653238:-1 gene:Dexi6B01G0001970 transcript:Dexi6B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRSPAARALLSPALSARLVASKPHSSAPAPPPPSSKPAAAANMKTFSIYRWDPDSPSTKPHLKDYQVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISGASSASTVSPLPHMFVIKDLVVDMTNFYSQYKSVEPWLKRKDPPSQEGKEILQSKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQFTKERLDAINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQIDA >Dexi2B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:2B:4839265:4845280:1 gene:Dexi2B01G0005160 transcript:Dexi2B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRGGATDRCRRRTDAMLRAALSRSASGLRRSPAMAAASPFSTAAAAAWLSNGPASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFKAAVDAARTAFPGWRGTPVTTRQRVMLKFQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNTAGMHIYSRASAAGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEEELVKRASGLVVNSGMVNDADLGPVISRQAKDRICNLVQNGVDSGARLLLDGRHIVVPQYEDGNFVGPTILADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKEAPAQRVSLSMPTSQK >Dexi8A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:8A:21228600:21241070:-1 gene:Dexi8A01G0012220 transcript:Dexi8A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALIKVSEAPIDQPPDSQVKIWTREVRELSYDLEDSIDKFMVRVDGGKQPSKQSFKSFIDRSLKLLTKGKIRHNIATDIKEIRSRIKDVSKRRDRYKLDQVPSKLVERTIDNLRLSALYRKATELVGTEEKSNDLVKRLMGGDNESLSQQVIVSIVGFGGLGKTTLANLVYLKLKGKFDCAAFVYASHNPNMDKIFKNMLYQLDGHKYRNINHETWSEELLISELREFLRHKSYEKAKSAIEKFMEMNPNRPILEEDKEEENEIVRRKIRAHAHTIRAAFAFKMAGSIDVSSQFDEGLKIETRGPLMALKLIFLLCLLCIVSSAERHLVANLPGFNGTLPSQLETGYVSVDEKNGVELFYYFIQSEGDPRHDPVLLWLTGGDRCSVLSGLAFEIGPVRFIIEPYNGTLPRLQYNPYSWTKVASIIFVDSPVGAGFSFSRNLKGYDVGDVSSSLQLKEFLAKWFREHPEFLTNPFYIGGDSYAGKIVPFLAQKISEDLESGVTRPALNLKGYLVGNPATGEAIDLDSRVSYAHGHGIISDQLYETIMEHCQGEDYSKPKNVVCAEALDRSSKTYKSYLSYFWANNNVTRDALGIKMGTKHEWLRCHDGDLPYSRDIKSSIKYHYNVTSKGYRVLVYR >Dexi6A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:6A:25433929:25437496:1 gene:Dexi6A01G0017580 transcript:Dexi6A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQDLAHYVESVVRQTAERGGTGISAEAVVRQLGAQLGFDVSPKAPLIRSVLVALLGPAAAAAPPQAAPEPSASRKDPFDPATGGGGRAEAPAQLPFATSASTASAPAQAQAVPHFFPQQMQSYLSASQQYQHQQHRPGAPPSPFDVSASYRYGHQTYTQADQAQLQRLVQLQQHQQHQQMAAAAAAAAAASAVTTVAPAESPRASVAPAPASSKKDKDSSASGGAKRKGGPGGLNKVCGVSPELQAIVGEPAMARTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLSKHIHPLETTNNPKRESKKLKSDSGEPISPVETDINQLPLVVSDALAAFFGIGEREMDHSEAVKRVWDHIKSNNLEDPENPAVIRCDSKLKQLFGCESFTAHGVSELVSDHLVKQPTKI >Dexi9B01G0031160.1:cds pep primary_assembly:Fonio_CM05836:9B:33593567:33594520:-1 gene:Dexi9B01G0031160 transcript:Dexi9B01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPEIWDFGIFPNPVRPISLFFPLLAQPDLSLSPFLSPTCGPLMSSSLFSLTAFSPLLPPQAFPSRTLLKTEQSPTRRRQASRARHARTPRSPAFISRRPSL >DexiUA01G0001840.1:cds pep primary_assembly:Fonio_CM05836:UA:4752749:4753078:-1 gene:DexiUA01G0001840 transcript:DexiUA01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSLKLTEIVSKKLGGGKVTSPRSSAPCPRGHFAAYTRDGRRFFIPIAYLGSDTFRELLNMAEEEFGAPGGRPIVLPCSGDRLDQILHAFRTGAKKKAAGRIVGKIW >Dexi3A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:3A:13200545:13201852:-1 gene:Dexi3A01G0017290 transcript:Dexi3A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKLTGGGAMPLCGKPKALVTDPHLEDPNRRGIGGMDPSVYLFVRRRYSGFAPEAYCPLYKVSVPDSSLPGASATTREESHRQIAAVEVDLEGMSFVSLPSSGWIIGVGGVPGGTIIIDDTEPPTKVIRGPNLLAAKRYPILAAVGDKVYALCISPSYKTEPDFVPWFEVLDLSKGTVTEAADGSLRLDGCSWEELAWPSCFPRRLSPTDYLHPPIISVRAYVVVPPYVLLSINPQTPSCTTYAFDTNSGEWHTINNSSLPFLGPAVPHYGPRGCCVFLGSSQEDGRVYAYQIRVSTSSSTTKAGVVSAGKGSALGLSITEWSIRNKEHERVGRMAEHSIISLDSGRFSLLTFFLDHRKYKMIASYTYSKKLFVKLTTYHIENNPAFLEETQGQRNLLTVKHEIQVSKQQEQDFEFFSSYGFSPPEIRLALSI >Dexi6A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:6A:6132411:6135545:1 gene:Dexi6A01G0006370 transcript:Dexi6A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASRPAAASSSAPPPLPRAPRRLHRRRAPKATQPAAGVAACRGAGPATPQHRWAARGGEGNAGGEKPRGDPSSSVRRLAAAVWRLRPPEEAPAAGQRDSAARVVGLEHIPRHLQAQLLRKDPLNHRHRLKDDISSPNSVLEPHSGELHKVHLRLASGVEDATKWEPVTIKSIEPDGAYVIASQLNLVEEQHGGSYVASLEMELQQARDRVNKLEAERVSAKKQLAHLFKKLAEEKAAWRNREHKKVRAILEDMKADLEHEKKNRRQLETINFKLVDELKEVKMAAKQLLQEYDNEQKTRELTEEVCNKLVREIEEHKSEIEALKQDSVKLRGELDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEQLSKLQEEVEAFISTFSSSKGDSTVVEEACNIVQTIGAIRDNEVEFTYEPPRASEDILSIVEELRPSDEAGTKETEPCPNQSYANCESEIQEASPTADMFLENRAKLFPDGSQSDESETEDGSSWETMSHGEMQGSNHSPYESEPSVHKIFDRISWTSGNDSEGGQINKLCDEFSNVYQTDTKQHKKKESAISKLWKSSPSKNCEFRTKDTAEIASRRSSSASLPDGVYSTAKCLNLDMADSTPSTAHWSSPESMNSHLDRGFRGCMELVQRQSLKAKLLEARMETQKIQLRHVLNQQT >Dexi5B01G0009350.1:cds pep primary_assembly:Fonio_CM05836:5B:6383718:6385858:-1 gene:Dexi5B01G0009350 transcript:Dexi5B01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCAPRRLHLLRPHHLRLLSAAALASAAPASDPAPPEAPTEWAEAPLASVRPATADASLYHVSLDLSAHGGLLASHAAAGQFLPFRLPAAPYPIFLAIASPPPSPGSSTATAFDFLVKRLPGTPSARLCDLRPGDLVHVGASVVGRGFEVSRIAEARNVLVFATGSGISPIRSLIESDFVESHKTGVSLFYGVRNLQRMAYQERFDDWEAKGVKIVPVLSRPDGRWTGERGYVQNVFSRMKNIVNPSSVGAILCGHKQMTEEIARVLVAE >Dexi8B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:8B:22793338:22795608:1 gene:Dexi8B01G0012980 transcript:Dexi8B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHNAEFNSSSLHEVALRFRLLRQYGFWVSADEFNKFKNEDGSFVSEVVNDPKGLLSLYHAANLLTHNEEQLEEALIFARQHLEVMRCNLKSPLAEQVERALKIPHPRNIKREEAIHYILEYAQDETYNPTIQELAKLEFNRLQRVHQKELKAMSLWWKDLSEDVKLDYARDRVVECYFWSYSCLYEEEYARSRIVLAKLLMLTSLLDDTFDEYATLEECRVLTKALERWDESEVSLLPEYLKKFFLRVIENFRDFDELLEPHEKWRNAYIRGVYQGICKSYLQEAEWSHRGYIPSFHDQVNVSVMSAGGELVAIGLLFGLGDIANKEVFEWAIQNSLTVTACGEVSRFMDDLADFKRGRNKMDVATSVECYMKENNVTSEVALAKVDSLVDHAWKTLNEELFDHRDILSIVNQITNFGRSMMFLYYDKRDGYTNSEQVKDALESHYVNPIPI >Dexi9A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:9A:13537470:13545203:1 gene:Dexi9A01G0018440 transcript:Dexi9A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFGGGYGADGVFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGTENTCTSGSTLSKAALSFAKARSLMEKERSNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAESQAIEVSKRQMKLREASGNGDMISRLEAAETKLQELKSNMGVLGKEAVAAMTAVEAQQQRLTLQRLIALVESERNYHQRVLQILDQLEREMVSERQRIEGAPPPMVESSMPPPPAYEEVNGIFMRNTVAELVETVEYFLAEAIQSYRAESDAELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRERVLASKVAQALQSPAYPSAKSWPLRRLGIPSSTMAAAAAAAVTLLRLPLARLSYHLRSAPSPRLPPPRLRISTSRRFLSSLDHGSISAAAAAVVEAEAVAAPDAEGDLVDAAGESHEESTAEAEPEPEPRSFVLPRLPRPKLSVKERKELASYAHGLGKKLKSQQVGKGGVTPNLVSAFSDNLESNELLKLKIHGNCPGELPDVILQLEESTGAIAVDQIGRSVILYRPSTSKMKKRQEVAENARRFERAKEANARRFVKSEESFEERPRNSTGRKFVKPGSTFRSQQKRRPMTSKGSSYN >Dexi9B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:9B:5074866:5075324:-1 gene:Dexi9B01G0008270 transcript:Dexi9B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGHEEELEEHEPQPGCGEGAGQAAANCAAVCCCCPLALLDVLLLVTVKLPAGVMRRVRRRRRRHRDGIGRKKRSVAAEPASPSGSSSGKAMIGAGSSASASPRLEVEEEDADSAASELERQIMSSRFYGAGFWRSVSSGSSSSASMRYQ >Dexi8B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:8B:21352868:21353650:1 gene:Dexi8B01G0012090 transcript:Dexi8B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRLPLLVLLLLAAASFLATAQAAAEYCGDSLAGLTECRSFMYGGAAAPSPACCAAYEAAFDADPFCLCYVADGTFGRATGYDVTVADALRIPGACGQPEPPVELCSMQGLSTTAQSPAAAPTTQPTSGSSEAPPSSSFTSPPPSQTSKANSSGGVKLLLVAVAFLWWSVTI >Dexi9A01G0023600.1:cds pep primary_assembly:Fonio_CM05836:9A:18984531:18986365:-1 gene:Dexi9A01G0023600 transcript:Dexi9A01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHGCLTKHGFPRGYRFVPTPLELISLLTDHIHGDRLPPPLDAIFHHLTILDYHPSELYERFKGDAEHRYIYFFSWRQFQKPGATGGGGGGGGGGVAVPEDKDQKEPRPVRVARGGGWKPSGGGQVLRWPRRMGGFVAGRMVTMVFYDRTGDGGLAKSNWGMHEFIVPVDSRLTSLPSSKYTRFYDLALYRLYILKSGDMENESSSSSQMMPFGPFSPSTLMSPCPPIRPCGIFPGKQPPLAAGASTSQMPPPPQQQQLPSTGLYYHHHHQQQQQHAFGATAAGAAAAQHQVRTMPLLAAGFPGNSCHFASPPPVAADPAAHQPPAAAAATQGAGQEAACHSGATRSPCSPPAIGSPKAEQDATAATEPAHAHLADCVKPEEEEEAPPPSLEDVAPPAAKDEGVANPDDGLGMLDWSNIDLADLTPLDDSSFLWCTMDEITSVFDESPATEGDQGPPAALSCC >Dexi3B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:3B:11386347:11392649:-1 gene:Dexi3B01G0015730 transcript:Dexi3B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRGGGGRQPYAAPDGADAGTIPAASRRMVQSLKVILADRSEGEIYATLCDCGMDPDIAVERLINQDTFHEVRRKRDKKKETKGPQESRPRSFQKPMYRGYKAGSDRSGRGRLHFIFYGARSVLSPTTNFAFLFRNMPADSTVAFKGPIKKEPDTHAPLNSSALDVNASNPTDTISATGNVAQNDAKNTTQPPSQVQHGWGGIPGRPSMAEIVKMGRPQAKVGSRSVASSTAMPAVGDSVISNTPSHTPKECNTTVFASEVGHGASDKLPNGAVEVHSVPRDASSVDMLPPTEGTDVVVPPSKFEDSSTLDVNEDDIEKDTNLEEGNTESLTMSGQFSASGKDKSEYTEVATHHDDVLIMKTDDLQSNDLSFEHNQNLNGDMSTTTKQFDQLALHEGPKSSDDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDASVMTKPLESHGDISIVPDDHSVDQTDVRIHEDESNTSTTPAANEYVASATNSNVENLDVTSVQQSDATRANFLEATNNTEYNLSSTPDFATSSAALQDSASHNYLQENRQFQNISPLSSFMLFYYWYLQQIQNGLLPPAIPPLREFDPAFSLLLTNPLMMHGTTSSSMNNATVSTQPQESANPVGLPNPQLAQSQPSTSIASGPPLPQHLLHPYAQATLPLGYAGMIGYPSLPPSYAYIQPAAFQQPYMNNMFHQAAAAAVPNSSVKYPLPQYKTLASLPQPASLLSSYVGGFGTANNMPGNFPVNQSTASPTTTLGFDGSVPPHYKDGNQFISLQQQNENPAMWMHGAGSRGMPPLAASTLYGYQGQSHQAGLRQGQLASQFGTAIGQSQPGLGPEHRNPSDGNLSAAAQANPMWPNSY >Dexi5A01G0022450.1:cds pep primary_assembly:Fonio_CM05836:5A:26549136:26555765:-1 gene:Dexi5A01G0022450 transcript:Dexi5A01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGGRGAVGPVPASARKLVQGLKEIVNRPDAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKETPEPRSRGADYMVSRSSILGPAVPASNSTQKQSVLSLPANKDMVPNGSVGAPQPSSAFQHAWCGVPGQMSMADIVKMGRPQDEPPSGTQSRGIEKSSDTSSATPFNSSVLVSDAAYSQENSETEENSSVIVKPAISSETHLEILEENNQFNDGLLSTHQAQVHSYVDNEVEVSNLDAESAAANFQHLSLQNEDLVPTKSAEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSNVPKSSLEEEVPIPDESPSVDQIDVRNQDYYDNSALNPPADEVETRIGTNIETIDGPSVSEPDVLRQSALDVPGLQYNLPSSDNSAMWLHGAAAGSRGAAPPGNFYGFPGQNQQGGFRQTQQSSQYGGLGYPSFYQSQTGLPQEHPQNPTEGSLNNPQAAPSQPSHQLWQHSY >Dexi4B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:4B:11073139:11073681:1 gene:Dexi4B01G0012990 transcript:Dexi4B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAELWPQKASRLAVLRPQKSCLALEPGIAARNDSQPRRLTAAAPRAGSELGAGAQYDGEQEQDPRLLPLAHAGDLQPRVLAAAAACSPTCRVGARGRGGVSDDGRARRSGQGGTGEVGQGGAEAEPVGGGGAERRRSGEVGQGRQREDGDQRRRRGDTGGLSADRGRTRGEESNHVL >Dexi4A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:4A:8619117:8620595:-1 gene:Dexi4A01G0010690 transcript:Dexi4A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAQQLKQLKPLYQHVVNNFVAVLAAPVAVAAAITAARVGPDELLARLHALRAAHVFLAVFVPAAAATLYLMLRPRSVYLVDYACFRTKPNCRVPFSTFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGISPAAIDILVVNCSLFAPIPSFTDMIIHRYGMRRDVRNVHLSGMGCSAGLISVGLARNLLQVAPRGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAALLLSTSRAKARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDALKANITAIGPLVLPASEQLLFAMSFIARRVFGGGKFKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDQDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIAPPRNAEGPWEDSICRYPVDIPEVLKH >Dexi5A01G0031430.1:cds pep primary_assembly:Fonio_CM05836:5A:34091287:34094624:1 gene:Dexi5A01G0031430 transcript:Dexi5A01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLTRHARGGSAHPIHQPWSPFSTTTAAAAAASGARRDEASKGFPGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTGGYDVVCVAPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTGVDPDAHTIDCETVTDGEKDTLEPWKFKVAYDKLVFACGAEASTFGIHGVTEHAIFLREVHHAQEIRRRLLLNLMLSDVPGLSEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLIKSGVRLVQGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGASPFVKSLPFPKSPGGRIGIDEWLRVPSVRDVYAIGDCSGFLESTGKDVLPALAQVAERQGKYLASQLNRVMKAGGGHADSEAEADPGPPFVYKHLGSMATVGRYKALVDLRQSKESKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >Dexi9A01G0033290.1:cds pep primary_assembly:Fonio_CM05836:9A:38172353:38173445:-1 gene:Dexi9A01G0033290 transcript:Dexi9A01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLTLLLCATWAATVLYGEMGAYWASYLACSWPSSPSLMDSTSFGLPSSSIALQAAEFYTDLNMRRSFQSAILPFKPDVVLFLGDHFDGGPYMSDEE >Dexi2B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:2B:12729941:12734345:-1 gene:Dexi2B01G0011160 transcript:Dexi2B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGRLRNMYREKRLIVMTGGTFALYSLLCRHAKINTIPNQHRTDEDLTTYSRHTYDEKSLAAKIKRWLEGHQLRKNAILIIVLFGTCMAVGDGILTPAISGTEALFADLSYFPVQAIQYTGQAAYIAQKRSVSHAFYYSLPDSVLWPSFIVATAAAIVASQATISMTYSIIKQALALGSVANVIVILTGTAVIMVMLVTTFLMVPIMLLVWRSHWILVVLFTALSLVVEIPYFSAVVRKIDQGGWVPLVFAAAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNSLMLFVRLESMMEEYTDSDEYSTRELNQAGNANPRINGISTSSNMELSYTSHDSIIQVESPNHTGNSQVMSSSQTYQTVGDEVAFLNACRDAGVVHILGNTIIRARRDSGFLKKIAINYMYAFLRKICRENSAIFNVPHESLLNVGQVFYV >Dexi4A01G0023140.1:cds pep primary_assembly:Fonio_CM05836:4A:26275357:26276775:-1 gene:Dexi4A01G0023140 transcript:Dexi4A01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHHTRRTPAPHSLSPSPPISASPSRSIPGASTQPPARPPAMAYSGIAGGGKMSAVDAILAEAADMIALEQIAKLNTAHLTDDSSSALPSALESRFRKLKSLPAAPTTPLTRTLGRSVTXXXXRGGGHDRARADRQAQHRPPHRRLLLRPPVRPRVPLPQAQVPPRRPHHTPHEDPRPQRHRAASHHHHSPPPAATHTSAPRRRTXXXXXXXXXXLESRFRKLKSLPAAPTTPLTRTLGRSVTAPHPTTTTLPHPPPPTPPPPAAAPAAQQDHESGGGVHQEDEDEADKKSASSPPSAASSPPPHVRSPATVPAPHGDEDDNDDDLERLFGGSGAPRGRATLRERNRGRDDDDESTSPPPPRQACCFGFSPRKPTPTKEGGKKKKVHGSRDGGGDVLGVDAGEWGDENRRMVADLKEQQRKLKKALEEQVKVSRETAKMATWVKQASARMTHTAAIDDLLSDCEDDDELK >Dexi8A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:8A:25873875:25879396:-1 gene:Dexi8A01G0015070 transcript:Dexi8A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGISKTAVEALVNKVNTAIKEEAELWQIVQHDTVFMKDEFDMMQSFLKTADWEQVKNTVGRTWVKQVRELSYDAEDSIESIVLLDTKRSFWTFCRRLLASFNCDSGALSPLEQAVDEIKLLKARVEEVSSRNMRYNLIDDSGSKLILPQKMVSAPAVMGTSAAVDILTEAWSTEQKLGGSVNLSMLINESCDSLRIITLWGTRGDLGVTSLIKEAFEEEVVRQKFKSRAWLKLAHPFTPNQFIKRLAEQFYVNSCKVVGESITEVAKHKDLVKEFVQHNKHRYLVIIEDLSNMSDWQAVRTCLPDKESVAKYCTERDDSVYRVKALAADDAIKLFEKRCILYESVFSEVKRIGMRPSHFVRRWIAEGYSKCTNSKTVEEYASDLFSELTKETASMGEWRANSFFHEYINSRLMEERAVFFPLVVSILDKSRSLVTTEGLGQHLVISSTWKRSEEFVFEDVDFSHLQSLTVYGVWKSFFIPYKMKSLRVLDLEGTSNICDHELQRMLELLPRLRFLSLRGHREITCLPDSLSGLRHLQTLDIRRTSIVYVELQKLQKLQCIRAGTSLRWMDDRDLPAKEELTPSSFSSGTLAYCLCKFLGCRPDGIGNGIKVPAGIRHLKALSTLGVVNVNTAKGKDILADIRQLKQLKKLELSGIKRKNSKYLSKAVINQTNLESLSLQVVKENRSVRWDGISLPSSIRSLKLYGHLDMLSAMRFNKLRNLRKLSLEMTKLLTEKDLQILGSVQSLITLRLRVDNTQDGKLQFPPHLPANEDQSHGQNQQAPASPPVNNHQADGEHQAPDHLPVNHQADGQQQVPGRLFSKLQVLELVCLSKLQVIFDQGAMEKLEVIKAHCCSDSSLEVTGLEHPVSLKQVCLQGSYGDTLKKTLQEQLASHPKKPALKLQMKTQSPSSQASRQ >DexiUA01G0020380.1:cds pep primary_assembly:Fonio_CM05836:UA:42624518:42626480:-1 gene:DexiUA01G0020380 transcript:DexiUA01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLCFKGFSSTGGNRVKVNVIGTSGSGKSTLAKRIAAELDIPYIEMDRLYWCPDWQGTPDAILLEKLETTLKASAEWVLDGNYNRTRPVKWRDVDRVVWVDYGFARTLYQAVTRAFTRAWHKQELWPVKRERNMSWRISILDKSPIAENETAADALARTLALAQQAENLGYHRFWIAEHHNTPQLASPSPELLIAWILGQTRRIRVGSGGVMLQHYSPYKVAENFNLLAALAPGRVDLGGTDVSFGTFGLKAVGRGRLTARQIEAARRAMTRAVKRQGKIWIRVFPDKPITEKPLEVRMGKGKGNVEYWVALIQPGKVLYEMDGVPEELAREAFGLAAAKLPIKTTFVTKTVM >Dexi4A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:4A:13837406:13839376:1 gene:Dexi4A01G0013700 transcript:Dexi4A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGVLGTRLGLDGGGCGGDLPPGFRFHPTDEELITYYLLRKAVDGSFCGRAIAEIDLNKCEPWELPDKAKMGEKEWYFYSLRDRKYPTGLRTNRATVAGYWKATGKDREIRSGRSGALVGMKKTLVFYRGRAPKGQKTHWVMHEYRLEGTYAYHYLPNSTRDEWVIARVFQKPGEVPPARKHRLGLSSVGGESCFSDSTSASIGGGGGASASSAPRPLLTDASSLFAAAAASADADTGSYCGAASANGKTVVTGREFVPCFSSTTGPLDAALGIGQPYNPTPLAFEPPPPQAAFFSNLRSLQDNLQLPLFLSGGLSAGSSTLSSLGGGNLHWPAGMEVKIEGRAAPQMAVGPGQLDGAFGWGF >Dexi2A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:2A:352120:354194:1 gene:Dexi2A01G0000670 transcript:Dexi2A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVVTNGMRGISGWLLLAPLLAPYAPKNLPRMYFNLHLRRNARRLVPFLDPFVTIDIVSKSGWRSDQSFDSRAYWELKAYLTKECSRDSLEFCAEGAAAGEGRGLLLSLREGQEVTDQFQGVTVWWLLVPSPRGSSNEDPFLRLMFPQRHQRLILDEYLPHAGRIGRDNMLVTIDIVKESRTTRTEELKSNDAFKEVKAYLSAVCSRAPGVLDLRADGAVRDDSFLLSLRKGQEVSDLFRDVTLWWLSVPGSLSSSKGSLRLMFPQCHRGLVLDEYLPHVRREGRKIMLGTRRQRLYTNKRERSFGSDEVWNHVEFEHPATFDTLAMHPAKKRRIMEDLDTFCRNKDYYRRIGKPWKRGYLLYGPPGTGKSTMIAAMANHLKYDIYDIELTSVKSNSALRKLLVETTPKSIIVMEDIDCSLDDFTSQRGNRQGRRVKKIKSSCSDSDSDDYSSKVTLSGLLNFVDDVLSAQSGERIVVLTTNFPGKLDPALIRCGRMDMHVEMSYCSFEAFKMLARNYLDVEAHPLFARVEELLQVVHITPADVAEGLLPSQPNVPGSDLGVEACLGRLIEELNKKGPRAETQGQS >Dexi1B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:1B:11035399:11037431:-1 gene:Dexi1B01G0011060 transcript:Dexi1B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQRRRQALEQEVAELKQQLSNEQTVHNILERALHQPSSAVLSTIPAFIPTKAKELLAELLLVEEEIARLEAQIQDMKAGAGRSRGLLPTTTNTSMPLPPPDASARSPRPSAAVAPPPSPEIKSMFFISQAMDGDYFNKHVKSPSKASSGSPKNSIFALPPTSGSSRHSLDNNNNIKQQQQQQQQTAANKILHELPISKNTKREDHPNKLSERIVKCLVCIFIRLLRSSRAAELDKSSGNIARSGGNLQGSFSFRIDTGLNVITKDKDRGQQDHYGIFAVQDAIVRDIGPYKNLVRFTSSSFDLRGFSSSPLLTKLRQMLEALQQVDLQSLNHQQKLAFWLNVYNICIMHGILQHGLPSNSEKLLALKNKATINVSGQKFNALVIENLILRQPSSVKEEFWKCDVDVEEQQVRGVYGLKNSEPNILFALCCGIRSSPALRIYKADRVAMDLEKAKLEYLQASLVVTSTRRLLIPGLIHTNMHDFAKDMESLVRWICEQLPTSWSLRKSMVDCLSLRGQTTHLKMDEVVDVIPLDYEFQYLLPM >Dexi9A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:9A:8798051:8798275:-1 gene:Dexi9A01G0013650 transcript:Dexi9A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGACGKSTWAGCGRHVASVHRQIPEGQHCACRDWPGVAPAGDKAAAAAAGEAAPAAEGSSSTSVCTIL >Dexi5A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:5A:381691:381997:-1 gene:Dexi5A01G0000540 transcript:Dexi5A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVPLLLVALLLPAVRGAETPQYTTVHTESDFEVRLYRDTVWMSAPTPDIPSFHVATKLGFHRNG >Dexi9A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:9A:4956561:4957490:-1 gene:Dexi9A01G0008400 transcript:Dexi9A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFHGKTSKETSMLKKLLKLALSRLAIVRRPRLTRRSICRNDVGQHLSLGHLHRAMLRAEHVIEEDNMLQAFDIIELHCSRVIEHAKQLDKPRECSEDTMEAAAGIMFAARWCGELPELLLARSILEGKFGSDFAATAKEGTSIVDPMLMWKLSGDKTNMELKKKVTKEIAAENNILMDFSEFREAIDQDDSMILAAFHIVEHPTI >Dexi3A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:3A:14054489:14058987:-1 gene:Dexi3A01G0018400 transcript:Dexi3A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPPDDADENHGQAEGEELGNDDDGEHGASSEQAPPKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLTDYIPEIPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQRVYFGPDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNSIFGIQNGYKGFYSSNYLPLTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMCATLASRDVDCCLIPESPFYMDGEGGLLQYIEKRLKENNHMVIVVAEGAGQDLIAQSIATSDQQDASGNKLLLDIGLWLTHKIKDYFRSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTYIPFYRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDEASEADRLANRPPLPTVFNHRVASSFEQSASNSSNGEI >Dexi5B01G0032430.1:cds pep primary_assembly:Fonio_CM05836:5B:33086895:33092727:1 gene:Dexi5B01G0032430 transcript:Dexi5B01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGRMMSASAVAMRAEMGGGGGEEELEDELDALLSSGAGGQRRRPVDAGERERERELSMYRSGSAPPTIEGSLNAISGLLRGDGEAAVTVAPIPVAEALNGHGGLLSEEELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKTGQDDAGQGTGTAVGRSLFLHHSGSEQEEESRNDEGGAAEWVDGGGDGLIGLSLGRQRSFADILQKSPSSSNESPAGSPAQHQNFDNINSAFMNYGLTGYPLSPGLPSMMPPLFETAAAASAIASLGADSRNVGNNILSDMHNLGRGGNQAPSGLQSLSDPFYVQYLKATQYAAQGAGSYGDHSLERGYMGSSYANLNAVQKAYVEALLQQQKQYEMPLLGKSTASNHGYYGNLPFGMGMTYPGSPLGSPVASPSGPGSPLRLGERNLRFPSNLRNLGGWNPDPSGYMNENFPSSLLDEFKSNKTRSFELAEIAGHVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPHALSLMTDVFGNYVVQKFFEHGSAEQRRELADKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMKCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGHVVPLSTHPYGCRVIQRVLEHCADPKTQQIVMDEILQAVCILAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPTERAVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLNALKKYTYGKHIVARVEKLVAAGGKLLCPTALTLK >Dexi1A01G0022670.1:cds pep primary_assembly:Fonio_CM05836:1A:29285641:29287100:-1 gene:Dexi1A01G0022670 transcript:Dexi1A01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTLPDIFLQAAYPGARVLAPPPPTSSSPKRGPIFIPPPFVVLPDPVTPGATPCLGASTLLSVGGGPPSPMPPLPGAPSPASVAHLQARRALLRAERALPSPARPCPDLQARRHPGPDFFFLTWSTDAISSPTSPLMPIREHEQDFPSSFLLVPICEHTRLRQRGRGQLRHVRLRRRARVAAAASTHGCKSPACAWRESPACLGSPAS >Dexi7B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:7B:3490682:3491401:1 gene:Dexi7B01G0002040 transcript:Dexi7B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLTVREVLYFYSDARNVYERFMAMGSHQEQARNAVALLLWLEPAHHQAIHHLPTLSPAAIGMVTAEANSILDCLRQEGLVLPPIPFISALFQEGGIEVDAAFLAFNQDLVMRGVADILDAVGALIFDDHLYRLLRRYQTGLVGRLLELEASYTCQPVTVPEDCRSMFVTFSKGQPIEREEIFDYFRQKWGD >Dexi9B01G0028870.1:cds pep primary_assembly:Fonio_CM05836:9B:31427414:31429761:-1 gene:Dexi9B01G0028870 transcript:Dexi9B01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWRQPAPAAAAAAPRHGAADLCLREVGDLLPRRFARRAAGSEDLVKRLQIHQKLDKHTGCVNTVAFNEVGDTLISGSDDQMVMLWNWDTGNIKLEFHSGHVNNVFQARFMPCTDDRTIVTCAADGEVRLAKIKDGGDVSTTLLGEHEGRSHNLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSFSKSGFSSCVHLNTIAIDPRNPNLFAVGGHNAYARVYDIRKHTWDGSSDSGHPSDCYCPPHLVDDKRVGITGLAFSHLSELLASYNEENIYLFPKNGGLGSDPKSIKIGVNEWCKSTMGQDFAQPKPTPQWRPRKRRVKFWNFEEMFLRNFVVSGRRQQSDEEDSSEDLLYSSGLLNLVAAADGSVSSADDEETSGSEE >Dexi4B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:4B:6997781:6998977:-1 gene:Dexi4B01G0009670 transcript:Dexi4B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRFFAYDPYDYYYAAPYHYPYPYYQYQHPTPSHGVGEFFTDAAPEAVSVAPRPRVESSRPVSIPVHFVGSDPEPERGTARVPRKRRAPSAEAAAVRVQAAARGFLARRSVRAVRDVEREAEEVGEKIAREAEALRGDARARIAVGEALMRLLLRLDAVRGAREYRRRVTKRVLALQDAVDALEAKSAPAATMAVDEDKSEVTAEMADDDGAAASELPNAVEDHKGEIETMAVAEDRADGEPEEVEEAEEGQDGANRNGQKPEGSDAEGDWEMVTEGGSELATEPPASPPEAPRLQEPAGSDLRRAAEVSAGAGDGDLDARKVMEMVAALCERNAQQCAVIGALAERVDALERAVRRAEEAERRRRRGKKAKKEGKGSNHSKCCSDCPIEGERSEH >Dexi3B01G0034290.1:cds pep primary_assembly:Fonio_CM05836:3B:37037489:37040588:-1 gene:Dexi3B01G0034290 transcript:Dexi3B01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVEIPYPFGIGDDCSYWKGEFTLTCNHSFSPPRPYVFNMEVIDINLESGEGRVYSPVSSICYNSSNTTTEDATSWTLDFTSTPLLISSTRNEFTAIGCSTVAYIAGKEDWSFLTGCMSTCVSLHAAADDGAECTGLGCCQTDIPTNISVIEIDWSIGNGTPAVSHDKHNKRIHGDIKSGNILLDDDLNPKVSDFGSSKLVSTASRYATWLVSGDMNYNDPAYIRTGRFSEKSDVYSFGVVLLELITRKKAMYDGSNSLPINFVKTCRKEGNGRKMYDRDVLSDDAQSHSQMECLDRIGELAVQCLKEDVDDRPSMAEVLEELKQTKLTACGGSDAIV >Dexi9A01G0037620.1:cds pep primary_assembly:Fonio_CM05836:9A:41938729:41939591:-1 gene:Dexi9A01G0037620 transcript:Dexi9A01G0037620.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGAVQAVARETYFMAIEGEGGSVSGATGSRKRSFPELIGSNSGSKSAEAMVKNVSKESLQTRDWCALRADLGLTEVRETHNWRNSAVASAITGVAGRAVRHRRRSALHGRQRALRHILTAEYRARRTKPTCSALRAALHLRRTGC >Dexi7B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:7B:19002128:19002664:-1 gene:Dexi7B01G0012170 transcript:Dexi7B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLSLGKAFAVLLGASSPVIIYYGAYRTGLLAKGWRWLRVMTLGGVTTLERKLSYNCAICQDSMDVLEEVRTLSCNHVFHCRETDKCKNVIDKWLLTQPKMFCPVCRKTPRVVLPWKAPPPASPAPAASTDQEQPESSSAAAGQEQPGSSSSRLEDTAPPQSSRDLEDPLLPQSQ >Dexi2B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:2B:827973:828395:-1 gene:Dexi2B01G0001310 transcript:Dexi2B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQERIAVALGSSQRRALREKSTTSDISCILSLHPGPGRRLSVVGGNSSAAAALDGWLRSPALDGLQELKFHLEENLLRHCRLASAHHFASTLVVASFGCCVFLSSLEKLTLSNAPLE >Dexi2A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:2A:25870099:25870547:1 gene:Dexi2A01G0015160 transcript:Dexi2A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRVLLVLYEHDRARYQFGTPWACVFTPGRVYWGIEDGTVVILDESTLKFSLLAFPAQMRGPYRRTSFRVIGSAVAGEDRVRVVRVHGEDLEVFGQLPDSGEWVMEKSVGLS >Dexi3A01G0025100.1:cds pep primary_assembly:Fonio_CM05836:3A:20724955:20725224:-1 gene:Dexi3A01G0025100 transcript:Dexi3A01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLALAPCPLGYDEEAWVVVVPNARGQKRAPCPAQSGKRQPDQPVPVRDAPVRTCGACRADGTAMSPSLAKGSNHRRAKQSRAGDGSW >Dexi9B01G0022980.1:cds pep primary_assembly:Fonio_CM05836:9B:17879922:17883602:1 gene:Dexi9B01G0022980 transcript:Dexi9B01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIANDLDSRCHGGRGSSSGARVLPSAAVVAAGDPRGTSIPVAPDTFVAAAARNPVCNLSLMVCTTDIPDWCFVEIYGREGKKYFSESPSVDDLCQFHQKSPISHISKVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIVFPEDIHGIDKPQSDFESFLNIGVWFKKHMSK >Dexi4A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:4A:24762353:24765261:-1 gene:Dexi4A01G0021060 transcript:Dexi4A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFSPAPASSPREATRAAAASPPPPPPLPDTPSPRRCWSHGPGSPGPARKAAAGLFPLAGHEHGHVGELGELGQAFHGGSGSSGCWIQGPCDRIRFPRVQIYAGRGWAAWTAPTRRLPPGSASAAVSA >Dexi2B01G0031530.1:cds pep primary_assembly:Fonio_CM05836:2B:39649264:39649497:1 gene:Dexi2B01G0031530 transcript:Dexi2B01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPAVALRAAAGVAVSAACAYFFWPAAAPVAMMKAPGAAGFLISRAAFLANPQLYFQLLRTVGAKAAAAAFAVAA >Dexi5B01G0025730.1:cds pep primary_assembly:Fonio_CM05836:5B:27688672:27692117:1 gene:Dexi5B01G0025730 transcript:Dexi5B01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRHRLHQRLSDSFPKPPTTADVIPGGPLPLSPNTKPSASMSAAAAARATRRLLSCRLQATTARPLHARASQGAARDASGSFIHPAAIVHPDASIGQFSGSGAVIGADIPGQTIIGENNVIGHYAVVGVKCQDLKYKVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSYSFLGGGSVVTQDVPRYMMVAGDRAELRGLNVEGLRRNGFSDQEVRRLRKAYQKVFMPTVTSETCFEDRLAELEREIELSQSPAVSCMVKSIRMSFDQGRRGICKFRSWNSS >Dexi2B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:2B:27329780:27334676:1 gene:Dexi2B01G0017000 transcript:Dexi2B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLYGPLVGKAAASRAWEAASPDRWILLLLVLFGLRALTYQLWSSYSNMLFATRRRRIVRDGVDFDQIDREWDWDNFLILQVLMAATAFYAFPTLRHLPLWDARGLAVAVLVHVVATEPLFYAAHRAFHASGLLYARYHSLHHSSKVPQPFTAGLATPLESIVLGALMALPLAAACAAGCGSVALAFGYVLTFDFLRAMGHCNVEVFPSSLFQAIPVLRYLIYTPTYHTIHHTKREANFCLFMPLFDLLGGTLDDHSREMQKQTSAGVDEVPDFVFLAHVVDVMQSLHVPFVLRTFAATPFSVQLFLLPMWPFAFLVMLAMWVWSKTFVISCYNLRGRLHQMWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFMTGATSKLGRAIALYLCKKRVRVMMMTLSTERFQKIQKEAPAEFQPYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALKHGLRPA >Dexi9A01G0033840.1:cds pep primary_assembly:Fonio_CM05836:9A:38646128:38649178:-1 gene:Dexi9A01G0033840 transcript:Dexi9A01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRSSHTSTADLLAWPQPQGTAPATPSPPRRPGQPSEGIRKVVFGGQVTAEEADNLTKRKPCSAPKWKEMSGSGIFAAGSNGDSAEATAAAKPARTTSRQAISTVSHISFAEDGTDPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHNIFADTPESRANRARNSSNGSSASHTPVKSANVSTFSFGEANIDSAPKTSKKLTGKKANDLSGNDIFKGDAPPASAEKHLSTAKLKEITGSNIFADGKEPTRERVGGNRKPPGGVSSIALV >Dexi2A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:2A:28664624:28670061:-1 gene:Dexi2A01G0016880 transcript:Dexi2A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSAASPDLSLHISLPSSAPGSGPSGARAAVGGGGGGGAGGGDPWRRLNGSTASTELSLSPPAEQVHADALPWRHHRPSSSAPSAATASARAALMPTMPMLHQPLDGGASSSAAAPIRGIPVYNGAGPGGFPFLPPTVAGAGGDGHHQKLGFYGPYHHPATWPSSLGSTSPSPLAPAPLDPASSAFLSPAAAAHHHHRMLSASGRLMNGMLSDTLRGYGGLGGIAGGHHHHHHLHGGQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGPNDGGSGDEDFPGAGQAPSGGDNMCSRPFGEHRSTSEGAASSSSAGNTSTRWSNSSSSQVSNHEMSSPSLEFTLGRPDWQGADHD >Dexi9A01G0049010.1:cds pep primary_assembly:Fonio_CM05836:9A:51745259:51748067:-1 gene:Dexi9A01G0049010 transcript:Dexi9A01G0049010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAALLSASSPSTSAAAASFLSRRPLPHCRAAFPRRAGSRLSIMAALGDDPIKEWILTEGKATQIKGTRSIGGGCINSAQRYDTDAGPFFVKTNSRIGPEMFEGEALGLKAMYETNSIRVPLPYMVGSLPTGGSFIIMEFIEFGRSRGDQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEDYLYLLAA >Dexi9B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:9B:9161490:9164648:-1 gene:Dexi9B01G0013660 transcript:Dexi9B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGARRRVVVEVCNARNLMPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMASETLELNLYNDKKAIAATGSGRRGGTFLGKVKVAGASFAKAGDETLVYYPLEKRSVFSQIKGEIGLKIWFVDDPPPPPPAAPDAEKGADADKKEAPAEGKEEKATDAAAGAAAPVEEKKAEPAPAEEKKAEEAKTEEKKPEDKKDDKGGKKKSPEKGKKDGEKPKEEGKAKEEDKKDAAAPPPSPSKQAPPPSPSKKDLAIAGIAGDLEIRPQSAADKSMAASGASASYDLVDRVPYLFVRLLKAKRHGGGGQPLYAQLAIGTHAVRTRAATAAGEWDLVFAFHKDSLTDTSLEVTVHEEAKKPDKEGEPVPPDANLGFVSFDLQEVPKRSPPDSALAPQWYTLDGHCCDAGGAACDVMLAVWVGTQVDEAFQEAWHSDSGGYLVHTRSKAYLSPKLWYLRLNVIQAQDLRLPSPPDANKAKQHPIFPELYVKAQLGAQVFKTGRVPLGSAAAGTSNPSWNEDLLFVAAEPFDPFLTVVVEDVFSGQPVGQARVPLSTVHRRADDRVEPPSRWLNLAGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGVRGAANLVPMKIAKDGSSGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYKLADAGGDNGKMPPKDSRIGKLRIRLSTLDTNRVYTNTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSARLARSEPPLGPEVVQYLLDTDTHSWSMRRSKANWFRAVSCLSHVATAARWAHRVRVWAHPPTTVLVHALLVAVVLCPEMILPTACLYLFLVLLWRYRARPRTPTGMDPRLSHVDGVSADELDEEFDGVPSSRPADVVRVRYDRLRAVAGRAQTLLGDVAAQGERVEALLSWRDPRATGVFAVVTLVAALVMYAVPFKVMLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSLSDRVL >Dexi2A01G0033280.1:cds pep primary_assembly:Fonio_CM05836:2A:43528401:43531272:1 gene:Dexi2A01G0033280 transcript:Dexi2A01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSNCGAPHACRAAKSSHCRPVGRSSVTVRCVSSPPAVDTSYKTSVPRNANMAKLQAGYLFPEIARRRAAHLLKYPDAKIISLGIGDTTEPIPHVITNAMAERAHALSTIDGYSGYGAEQGEKKVRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQLLFGSNVTIAVQDPSYPVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLACLSPEGLKAMHDVVGFYKENTEIIVDTFTSLGFYVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >Dexi2B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:2B:745796:746549:1 gene:Dexi2B01G0001170 transcript:Dexi2B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDGGGERISSDSSTAAGEDRLSALPDDILVLVLLRLHNAAAAAQTSVLSRRWRRLWALLPELRFPVAPEPGRTRAVLESHEVALRLLVVETLGAAPDSVAAWLPAAARRLSGRLVFHNHAHAKGEAKGGAFELPCFGNATSVYLDLGLLGLAVPPAGVFARLTELSLLRVWFHGPWELGDAISSARCPCLQKLRVHDCRGMNRLAIHSGSLLRVNLNGLGGLRQLIIEAPALEELSHVAPVAPFIA >Dexi9A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:9A:10843873:10849620:-1 gene:Dexi9A01G0015940 transcript:Dexi9A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLCFSAAEGELVRALVLHVAALSTAGAVAAAAVMARRRFKGGMRKKTQKQPQVPAMAEMPRFQVAESGHLKDLEKFSHYVARQMGFEDINECPNLCKLANNFLRSSKNCMEDIYGFFANVKDAESLYVKFIEELDKCILGYFAFHWDHATDLISSALTVESGTTKKLRNMFMEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGIVPANGGDEAAASECTDVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKEIKQEAFWTNAEGNALVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHKSSTDAASSLLVTALNEGRDVILDGTLSWEPYVEQTIAMARSVHRQRYRMGVGYSKADDGTVTERYWEVDDDASAAAPAEEATRKPYRIEIVGVVCDAYLAVARGIRRAIVTRRAVRVRSQLQSHKRFAAAFQRYSRLVDGARLYSTNSLGSAQLIAWKDGINSSLLVEPREFDCLEKVSRLNENATSVHDLYPEGTTTCGARSIWDDMIASPSRAAIQRELREAIRSVEAADNGETPNKATKHEQVDFDLFG >Dexi9B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:9B:13453383:13453804:-1 gene:Dexi9B01G0018870 transcript:Dexi9B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIEHTHLPIRGINIHVAQVGKDELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDWRGYGLSDQPPENEEASWVWDDLVADVLAILDALSVPKVKSEQ >Dexi1A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:1A:4898440:4905996:1 gene:Dexi1A01G0006500 transcript:Dexi1A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPYHKHREAEEARKKKEEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKTDPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRAIDKFMEELKFEQEQREKRNQDRDHRREGRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRVDGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVVLSGPGGPAVASVTPQTSELVLTPNVPDIVVTPPDDGRLRHVIDTMALHVLDGGCGFEQAIMERGRGNPLFNFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRANLPFLVQRVEVERTLTESQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLSESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSMDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVDMKYAQGEQHRTGREDSSLNARSASRFGETPNGDELDLSRNSMVAGKGRSRESASGDLESFPSKKPKHDPVLPASKWSREDEISDDEDRKGGRGLGLGYSSGSDAGDVGKADTSEVSTDHAIHHQDTIVDEEHRQKLRQIEISVMQYRESLEEQGLRNMDEIERKIASHRRRLQSEYGLSTSTDGANSRKSSERTSLERKEKHDDAHDYARKRRRSQSRSRSPPRKSQERDRERNRSRDRSHGNDVGRDRAREKSTSRGRDDHYDRSRDREKDRRKGR >Dexi4A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:4A:21045156:21046041:-1 gene:Dexi4A01G0017350 transcript:Dexi4A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDSDSEPIERDVACIDESSLPVDLYISSTTLGHDTVPFTFNQSQRDKTARSELAVHMATAQVQHAIPVAAAVHDDDGKCFQEDENHEAAVAVAKKMTTSMEVKVEGAALRTEQHHQEEDREDDGRHHEEEEEAMAREVEAKLGIAQKEEIVVVVDDDDSAAAAEAGAKPPAEEERRGGRGVTKKAKAVVVPIDDDDELDADEQAQAAAAAASAAAEEVKLEAPQEGKADE >Dexi3B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:3B:12325198:12326493:-1 gene:Dexi3B01G0016840 transcript:Dexi3B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQPSYSTISSSQRKHHEVAPIYVPSNTVSVPGAEQRQQPQQLHSLGNGSFVPQPSKLGPCGVAPYTIHGSAQAYNTAYGSPSSNPATIVAVLNQQAHGSAPMVFHHLGPQSVQNHPDIAEKAARLGYPKDPEGVALRMVAAGDHDGQRGTEAALSLLLGHGGRRHLAAGPLSQGSGRWLGGQQAAPPGQRC >Dexi2A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:2A:10217092:10222077:-1 gene:Dexi2A01G0009470 transcript:Dexi2A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPSQIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQDYKENDPSTHKGYDLTKLTTKQLISWVPILFLCLMKLYYYYNMQCAVIIQNSYKISSIEDPHFNLFSRKFGLDDNTIDFIGHAVALHRDDSYLSEPALDTVKRMKANGNKDIMLKPKLSLAKSLIEDLSLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDAEGKVCGVTSEGETAKCKKVVCDPSYLPEKSYDATTHFESTVMDVLSLYTKLTGKNVDLSVDLSAASASEDDM >Dexi5A01G0035310.1:cds pep primary_assembly:Fonio_CM05836:5A:37128385:37130798:1 gene:Dexi5A01G0035310 transcript:Dexi5A01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFTALKKAFTSSPKEKPTNVHQLVPQYPPPHGYPREKKRWGGFGRPRPHNAEPASPATGGALINIPLYREPSSIEKILGDAEMDQQRQYFSATTRAQYHITPARPTTTAVAISAAASPLPQPVATTPRERAREEKDKAAAVVLPLPLPPPSSPPPLIRRFDHDREQQHKLQQLQQQSRAETTEWRQQPRRHRAARQRARPPDTARAAAVAIQAAFRGYMASLHLARRNYRSLRGLIRLQGVMRGAGVRRQTAQTMRCMQTLVRVQAQVRASRVDAMERRNRQHHGALLRDAGRWRAGSQDGGIWDDSQLTPEEADARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIDRRHDDMEPSHRPFDAVITNKPRPSLTIAHRDMTTPMMATSTAATPARSVVSSAAVAYSSRPRATRPAVAKPSMTMSHAGSMSIRDDESLTSCPAFSGGVPNYMTPTVSASAKAKARAQLLLRQQEKQQKAAQEKPRFSFGLGQSIGSWAKSPFWKPTGGEQLSSRVATPAASVAGGRHRSTRSISGLSVDSTMSMPAGLGRRAFK >Dexi5A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:5A:26251:27071:-1 gene:Dexi5A01G0000020 transcript:Dexi5A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASARRQPTFTKIDQLGPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETGIIVFTARNDQVDVMKPGTTVELRNAKIDMFKGSMRLTVDKWGIVKAAESPAEFTVKEDNNLSLIEFELVTLVE >Dexi9A01G0032780.1:cds pep primary_assembly:Fonio_CM05836:9A:37643726:37644064:-1 gene:Dexi9A01G0032780 transcript:Dexi9A01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFKWLIIYCLIVSPVKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSVVMIEALEPVAKSQ >Dexi9B01G0025080.1:cds pep primary_assembly:Fonio_CM05836:9B:24714650:24715103:1 gene:Dexi9B01G0025080 transcript:Dexi9B01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLAAAVASAAAVAAASGAELLACDCDAPAPPVVGRCDGLLLSRQHHDDDAHEGRSSASREGPRGGGGNRFAPRFDGLRFIETLVTAHR >Dexi6B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:6B:2150168:2153211:1 gene:Dexi6B01G0002440 transcript:Dexi6B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVSLPQQVYHSLHELLQHHTGSPQAASILLLVIFPLTIILLIRRLAAPPLSRSDQLLSKLPSPPGRLPVIGHLHLVGSLPHIAIRDLAAKHGRDGLLLVHLGAVKAVIVSSPRAAQAITRTHDHIFASRARSAVTDILFYDSSDVAFTPYGEHWRQVRKIATTHLLTAKRVRSYRHAREQETRLALAKIRQAAVTHTSIDMTELINNFSNDIISHAVSGKNFKEEGHNKLFGELTEANSTLLTGFNLEDVFPLLGKIGFVQRMLCAKAWKVNKRWDELLDKLIDGHASRPASERGGEDETDFIDVLLSIQEEYNLTREQIKAQLVVIFQAGTDTSYVALEYVFVELVRNPRVMAKLRAEVMSIVPKGREMVMEEDLNDMAYLKAVVKETLRYHIPAPFLIPHVSMADCEVEGYMIPAGTRVMLNVWALAMDPNVWEKPDEFMPERFMEGGSAAAMDFRGNDFSFLPFGSGRRMCAGINFAMPMIELMIANLVYHFNWELPPERAGKGIDMTAAFGLTVHRSNNLFLIPVLAPQ >Dexi9B01G0025200.1:cds pep primary_assembly:Fonio_CM05836:9B:25070686:25082425:1 gene:Dexi9B01G0025200 transcript:Dexi9B01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRPTTPAPSKAACCSRWPRPSPSSPAFCLRLAVLVRLPCCHAEPATHTHRRLHAAGSAGERLVPSRELMLLPACRASSPDLAATARSAVARSRNRYVNSDLVLYFPVLDFAIQVLRKGAAADDIISPLVIFSVQYIMVNHMNWKHKKYSRWKTTLRHSYRSKYHGLKDIEDVQLVLCDGLDIIYYILSNLLEDFLPNPPFVTMVLSSSLKPFSLITALTSLLSFRNSDIQVAAARALSVLCFVVCKAQPQMTENASFTGDVSEIRRLEASIYCILDEEEKTNDCLVVAVFNLLTSAARYQPAFLTSLIEQSVKSTDHNTSASNQTNGCSGHTSKRNARLVDQILDYVVRSIELMNRYQCQGKIFEIMSYELFLQGKLLPETSNPALEGTKGQKEHSSAPCRSSVVFKWFDTAILDDLINHLSSNAYKKELLYHAKVAACLCTVHLITKLSTGNTGSLSFSVMKKIQIISTKLLQHHAIAALLSQYSLHGYRGEQDLNNLIINDLYYHILGELEGRQISSGPFQELLSFLLEFKLFEHDPSEQLQKTFPVANANFLFNIEHIHDELGVDFWTNSDWKLSKEIAEKMLDIMREANLMKCYADAKLSTLRSFLTFLSVYTGVFLCSQAKEALKRAEELQFLDLAHFPELPMPDILHGLQDQVVSIVTELFEANGSNTLNSETERVCHLLLVTLEMSLYMELCVSQSCGIRPVLGRFEDFCKGTKSMLQAIEKHNSFKPLVRSLTQITTLLYPGLGQTNFVI >Dexi5A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:5A:10488417:10489933:-1 gene:Dexi5A01G0013790 transcript:Dexi5A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVRRTSRSYVRPSAATPSGTLELSIIDRVVGLGHLVRSLHVFAGGERRQGTTTPSSSSPASVIREALGKALVDYYPLAGRIVDGAEGRASAHVECTGEGAWFVEAAAGFSLDDVGRLDLYPFAIPEDDLLPDAAPGVEPLDLPLMMQVTEFSCGGFVVGMIACHTMADGLGAAQFINAIGDYARNLPSPRVRPVWSRDLVPNPPKLSSAPPPFPRVFRFRHLVDELSLDGIAKAKSQFLHATGQRCSAFDVAAAKVWQARTRSLRLPDPSTRVSLCFFANTRHLLGNIAQQGFYGNCFYTVAVSAASGEVEAAELAGVVAMVREAKARLPAEFARWAAGELVEEDPYELTFAYETLFVSDWTRLGFLEADYGWGTPEQVVPFAYHPCMPIAIIGAPPKPKVGARVMTRCVEEENVQEFREEMKAFHK >Dexi1A01G0025800.1:cds pep primary_assembly:Fonio_CM05836:1A:31934168:31934580:1 gene:Dexi1A01G0025800 transcript:Dexi1A01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMGEPPWHPPGPEGSVAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFIKAGEAYDYDPLSQTRSY >Dexi7A01G0023550.1:cds pep primary_assembly:Fonio_CM05836:7A:31482967:31487417:1 gene:Dexi7A01G0023550 transcript:Dexi7A01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRWAAAAPSLLRVLLALQCGVVFLQCSHASDMSADVSALMAFKRAIIDDPHSVLSDWIDADGNACDWHGVICSPQGTVISLKLSNSSLKGFIAPELGRLSLLQELYLDHNLLFGTIPKLTGSLRNLRVLDLSVNRLTGPIPSELGGLSSVGVINFHSNGLTGNIPTELGKLQNLVELRLDRNRLKGSIPGSNTGSFSPTTNIGSVTSPSSSCFPKTSTQSLLNMNLLSVIGGSTGQRGGINGPKHPVHKHEGMRQPIWLLVLEIATGATGVLLVVFVITATVTASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKNLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWISHHELFYQNKVIDLARLNHDNIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFSKHEKAPRHFNSRASFHGNGDSVEDQHADIQGNTYAFGVILLEIISGRLPYCKDKGFLVDWATKYLQQPEEIGKLVDPELSNVRTEDLAVLCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >Dexi5A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:5A:9827545:9833977:1 gene:Dexi5A01G0013130 transcript:Dexi5A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEANKTATGIPYLNFNIWIVCLTSTLPIQSLFPYLYFMIRDLKIAKQEQDIGFYAGFVGASYFLARTFSSVPWGIFADMYGRKPCIVISILAVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYATEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLAQPAEKYPNLFSKESIFGRFPYFLPSFVISILAAGSCIACIWLPETLHFHDDEKVEAIDELEAQVADLNLQGGKSQESSDTSTKNLLKNWQLMSAIIIYCIFCLHDTAYIENITVPLDIAANMHMSDHNTFSKYHLQTFSLWAVSSREFRGLSLTSQDVGTVLAVSAATPNALVVFRTDHLPVPHSSSAASRRDLSVSAGPRRPHCRSISRSISHSTTRSHADIPVVDDSPGSLAHLALDLFSGGAGVSRKGAPARLLLVLLSVELGSDLGCPDAQSACDAVVLMAPGAGAAVQVKAAAAAAAAEPKPVVLFNPAWRIEMVFNVLSIILLIAYPFMVNLQETELKVLINVSSLLKNVFSATITTACNILQNTAVTQEQRGVANGISVTLMSLFKGVAPAAAGILFSWAQKHMTGLLLPGDQILFLMINMVSVIGLLLTFKPFFNMSSALRRS >Dexi5B01G0026960.1:cds pep primary_assembly:Fonio_CM05836:5B:28613278:28616661:1 gene:Dexi5B01G0026960 transcript:Dexi5B01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEEGRVGTNPDKFTHKTNEALASAHDLASEAGHAQITPLHLAAALAADRSGVLRQAIAHASGGNDIGAADSFERVVASALKRLPTQSPPPDTVPASASLVKVIRRAQSLQKARGDSHLAVDQLLVGLLEDPQVSDALKEAGVAASRVKAVVEKLRGDNRRVESASADTSFQALKTYGRDLVEVAGKLDPVIGRDDEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEVIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLQMKYRKEKERIDEIRKLKQRREEMLFSLQEAERRMDLARVADIKYGALQEVDAAIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLVGLADRLHQRVVGQHEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEKHSVSRLIGAPPGYVGHEEGGQLTEQVRRRPYCVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNNSMKAARDLVMLEVRRHFRPELLNRLDEIVIFDPLSHEQLRTVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTELSKMLIKEEIDEDSTVFIDASPNKDELTYKADMNGGLVNAQPGQKSDILIQIPSGATKGGAARAVKKMKIMEDGDDDDDMEE >Dexi8B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:8B:13489893:13501433:1 gene:Dexi8B01G0008710 transcript:Dexi8B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKMWDRETALVALQQIAQPEDVSSEPVGKMLGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKKGILNGYFLWLTVGYAV >DexiUA01G0021600.1:cds pep primary_assembly:Fonio_CM05836:UA:44786826:44788084:1 gene:DexiUA01G0021600 transcript:DexiUA01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPSSVAWWGISTGVTGRRRIASFTTAWRYGSLATSCSVTLSLAPRAPSISARALAMAPGLRSSSAIAHSTNGLTEGDNRRGNNNLSTTHEVFLQILQADLKVKLTSSSNNMLTRFLDCTEDHRIRLCQTLQTFD >DexiUA01G0021600.2:cds pep primary_assembly:Fonio_CM05836:UA:44786979:44787359:1 gene:DexiUA01G0021600 transcript:DexiUA01G0021600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYTDGLVITRGTALRGTAMTLAVVNLSLTSSSSAVTPSGSRHSSACSSDASTCGTTHSASGRPGHCLLPEPKGMNSNRSGMNSSGFSHAAGSRMIAHALKITTLPLGTS >Dexi7B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:7B:10737007:10737591:1 gene:Dexi7B01G0004430 transcript:Dexi7B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSSPSIRSSGCQSGWMVLQADEHHTDKDDDDEDSMASDASSGPRPRPREEDDDENYFGLRRHVGGYFLDDHQRSSYSGGGGQRSSSASGSGCFASSSTWSQSSNGAKGGGGIAARARTTASVVFQAGDAMATAATRHQYREIIVIDDDDELDDTASSSDVLGCPMHTMVHAR >Dexi5A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:5A:14288317:14290113:-1 gene:Dexi5A01G0016090 transcript:Dexi5A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAGSNIVGGVAAAMSRGRVDDTAPVDDLWSLCGLRRLDGSLSPCDPCAWRARTRGRDLRTAEGGDAR >Dexi6B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:6B:4451268:4451843:-1 gene:Dexi6B01G0005150 transcript:Dexi6B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPVAHQVSTAVGEMGAQDLQQPRRRRRPRASSEYLGVRRRPWGRYAAEIRNPVTKERRWLGTFDTAEEAAVAYDLSAISISGAAAARTNFYYHPYSSGGLGVVGAAGAAATTSPQQQLRETNGLVPVAPPPSPMSSEGSVSGSTVEDYQCQHSATEEADDESLMIAAILQSFQHQTAASTSSASLYLL >Dexi2A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:2A:27331597:27335741:1 gene:Dexi2A01G0015910 transcript:Dexi2A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPPFLSPKPPFPSSLRSAHSPRVRCSRITTATASVSSPTPASASSPSTSSPAASDGAVGGKKKKKRRPLKPSFEDQALRRWSVRAPTQRASVPWEESQQQSPPPPHLAGRESGSAGSQRNSGGGSSATLRSIVDYFSGGSSIDDGDEDVREEEGAGNDSTALRDVAPRDKDDVSHFRPSYLVGNMPVSAPWMHGEESSNDQGLSSSVDEGEKGVDMDDISDDELGLMEGDDEELDSGKDLLDGSSEEELYDDYAVPTVNSSYGVDLAVGSGSKRGGFDSSMRRGSVSSIVNTLRSSMAETNPNIAIERSNAEDFVQKMGSVLLPWEREEEEDDDAFDVGKTGRRSNTELAERTIPEHELRRLRDAALRMQERIKVGPAGVTQDIVESIHKKWQVYEVLKMRFEGPPSLNMKRTHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLRCVQSYAESAEVDSDKEVADGRNREHQGLAAAMVKLWEKSTIAKIAIKRGIPNTCNDRMAEEIKVKLYKSSVYVTFIFCVIKHLQKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITRQDEEELARLKASASITTIPNESRGPLVAGTLAETTEAKSRWGDSLNDKQKEEEMKHLALKKHASILRNLKRKMILARTKVAKAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGMSNAFHYTVALKHHISSLQDKIWKLNTQLVQMKAAKENEDVKLLQTVEDNLLSDDDDVEDEGEEAYLQTYSSDEEEDGEDDSNEFL >Dexi4A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:4A:7682011:7682206:-1 gene:Dexi4A01G0009740 transcript:Dexi4A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIRLALALRPPASAARPIVADKPAPSEATATARWLAAQNTWGVLSSAQSRFSYFYLCY >Dexi2B01G0026260.1:cds pep primary_assembly:Fonio_CM05836:2B:35379487:35379987:-1 gene:Dexi2B01G0026260 transcript:Dexi2B01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKQTQASKRRSRAPAPPRQLSGSSSIASSLAGTAMDRQGSSTSAAAPMAMAALAAAAAAGQSSSGQATDVALPLHGHGEDENTPATLAAAVGGGASTITASSPVPARRGAAAGGGPSCQVERCAADLQEAKRYHRRHKVCEPHSKALVVLVAGLRQRFCQQCSR >Dexi3B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:3B:1750222:1752748:1 gene:Dexi3B01G0002600 transcript:Dexi3B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHDQRAPAMSTAYFLWELRKYVLLQATLAASVTYSAGLSPPGGFWSDNDGGARLAGDPVLEVTYPRRYHVFFYFNAIAFVASIITVNLLLVHSLSRRRFWLRALQAAMILNQLGLMGAYAAGSCRDLAMSAYVIALVALVSSYVCAHVLLFALCALRRRQHDAGEIENVTLHQEEAVERSRKYLLSFATLVATVTYQAGLSTPGGFLSDSQDGGEHLAGDPMLGGGHHAERFMGFFYFNTTAFVASLVVIMLLMSRTVTRHGLRSCALWVCTGAALIGLTGAFAVGSSRSVKTSVYVVALVAAVLLYIGLQSLVFFCKPVENWVLNVQETLQKCLKLDQTDEPQDNHQQVRALHAPDQEIVDADQLLQKSRMYLLLLGILAASVTYQAGLNPPGGFWQADAADGLHHYLASDPILHITYPRRYLAFFYCNATAFVASLIILILLLSNVFSTQGIKYCALQIAMILDLLGLIGAYAAGSCRQVSKSVYISVIVIPVFLYVGIHVLVFMLEVFPNHATWREMLKEKLEQSTPEWLNKKLFELPAEEEDEDLKWKLEKSRKLLLLLAILAAGLTYQAGMSPPGGFWQENKTGHVVGNPVLYDNYPRRYLAFFYCNATAFVASLAIIMLLVNRKLSARGIQSHALRVCVILDLIGLMGAFAAGSSRKVSTSIYVFTLVFAVLLCIALQVVLVISESVQNLLQRILSLFGVLKEESSDILPHTATIGRVRDLWGEKLPKYLLLLAALAAAVTYAAAMNPPGGLWDDGQTGHIAGDPVLRSRYPRRYKAFFYCNATSFMASLVIMGAAGE >Dexi4B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:4B:4143801:4153198:1 gene:Dexi4B01G0005870 transcript:Dexi4B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGAPPPLPPHMAAAPPPLPPHMAAAPPPPGTTGAGAGQPPPPPPPAGAPQAAVPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDSEEDSAVCEWFYDHKPLMKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERKAAEEEDDEDFCLPEEVEPLLKSTGLYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLILGLTRASEIAGPPQMPNEFLTYADTKIETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDLFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLNDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPSNLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILEGNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNFNFMGVKHDPQMKYNMKLGMPRDFYHEDHRPTHFLEFSNIEEGEVAEGDREDTFS >Dexi4A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:4A:22404265:22407232:-1 gene:Dexi4A01G0018410 transcript:Dexi4A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLSPAPLRPPLPTPRRRPVSSYPVASAPPPKPRFTAPRRAAATGGTGTSSYAAGRSSLPRHLAATATAAVFVPSDPTPLYEEDEAARVKLAQVSKKLEKTARYFKNLGNLGFWSQLVCTTVSAGILTFTAVATGHVTAPFTFSATAVGITAAFISVFRSFGYIRLSESLRRTANEPAKAPPRANVVQNLRNSIVINVVGMGAAVLGLQATVGALVPKALTTSSVPYYQGIPPGQSPVLALDIFLVQASVNTILSHFLGLSSSLVLLRSVTV >Dexi7B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:7B:1121546:1123607:-1 gene:Dexi7B01G0000460 transcript:Dexi7B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASAMVEGGQEHSYSGGLTASVMAICLMAASCGLIYGYQVGVAVSIAMLIIGQMLLGFGVGFTTQAAPLYIAETSPARWRGAFTMGYHIFVCTGSVVATVVNYLTNPIPDWGWRISLGIAAVPASIVTVGALFVTDSPSSLVFRGKMDKARTSLQSIRGSGVNIEAELKDMVSTVEAASRNEEGAFKRLRSKGYRPCSVMMVAIPVFFQLTGMVVVFVFAPVLFRTVGFNSQKAILGSAIIYLVTLFAVVISTFVVDRFGRRFLFLVGGISMMLFQVLVSWILAEHLGKHNAVTMTRNYAVAVLVLMCLYTFSLGLSWDSLKWVILSEIHPMDTRSVGQAVSMSISFVISFVQAQVFTTLLCHLKFGIFVFFAGWVLAMTAFIAIFLPETKGVPLEAMQVVWAQHWYWRRFVVQDAKNELDSSG >Dexi4A01G0002700.1:cds pep primary_assembly:Fonio_CM05836:4A:1849666:1854735:-1 gene:Dexi4A01G0002700 transcript:Dexi4A01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVAPAVSTVAVAISGSRSSRHALKWALDKFVPEGRVLFRILHVRPAITMLHKLHTQHIEDEIKLKEMEMTDDKLEVLGKIRHPHLLMMLGACPERGCLVYEYMENGSLDDMLQRRNNMPPLTWFDRFRIAWEVATALMFLHSSKPEPVIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMGQYLSTMIKNTAPVGTFCYIDPEYQRTGVLSMKSDVYALGIVLLQLLTARSPMGLAHVVETALDDGCFVDILDAAAGQWPLNETQELAVLALKCSEMRRKDRPDLNQNVLPILERLKDVATKARESVLQGHTAPPSHFICPILQEVMIDPYVASDGYTYDRKAIELWLSTNNTSPMTNLRLPNKSLIPNHSLRSAIMDWRSKSK >Dexi3B01G0033500.1:cds pep primary_assembly:Fonio_CM05836:3B:36229667:36231929:1 gene:Dexi3B01G0033500 transcript:Dexi3B01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLGAARERREQLQQREEEEAKGAGGGAAADRKGPVGGDGGGMKFRVSARAPHGVGALLLIGGAAVVGAAVLAWRSSRRGKKGAERQQGRRQPEKDVVLDDGVVEDGKAEDGTLVQKLDQGQPTEKLSTVNTDIGSGRLDGKATKESHQIHKDNEIVADQLDSKLEEKIDQNSVRNLIEANMEDTHRRRFVFISCEFEFQDKEHVENIGQNSNTNHVEITTHDVSQDNEHTDSFGHVERIDQHSSRDPVEIVTPEVITVCLVPGKVEKVDEDSSKDNIENEIAQKDNKDESKLIISRPGIIFSKNNDESDGVQEAESMENTPTAQLMMHQELLDDMVTDTVTETEEGKQDEDESELEQDEKKALAGLVELLSSPAVSSLVKPTENKGAEFQGLNERGMKMEQDYTNGELRNRGLVNKGVQGGAIATMDRRSPALAILALIFAMTIGITIIVRLYAPTRATKLQMDL >Dexi3A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:3A:3337465:3342344:1 gene:Dexi3A01G0005160 transcript:Dexi3A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRAACGGVADGAIAGGDRRWQSQSGTLGSLNLDCACRTALDINFLIVVDQSLGLCMTAPVALPNELEGISHSARVELFRDSSCNISKELSTSLRNGQDAIAAGANPGFRVGEIRFSNGDVYSGTLLGNTPEGSGRYLWSDGCIYDGEWRKGMRHGQGKTWWPSGATYEGEYSGGYIYGEGTYTGPDNIIYRGRWKLNRKHGLGFQSYPNGDIFEGSWIQGEIQGHGKYTWANECTYIGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYNHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYRRSMAADRLTVVSEEDAQEDDALNYPEGLVLVQSSGDNSVVVGPHIRGSRLRASAAGFGEVDLLLPGTARLQIQLGVNMPARAEQIPKEEESKPFREVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >Dexi3B01G0030560.1:cds pep primary_assembly:Fonio_CM05836:3B:30391424:30393543:-1 gene:Dexi3B01G0030560 transcript:Dexi3B01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHRSFLILLTAIVSSFPIAEVAVAQYYTAYEPYCSTTGNYTTGSPYQVNLVKLMSDLPSGAMANSGSYYGTAGDAPDAVYGLAMCYADLNWTACGNCLRAAAAGVQQACPFSREMKSFYQDTCILRYSSEPFASVADADIAFYVWDDGSYVADPAAFNATRRALMARLAGEASGSPLRLANGSQAYTDSRGAAQVLYGLAQCTRDLNASECTRCLTFFLDDISVSLPNSTYGSAMGYRCYMVCSVGEQLSITVPPPSSAAAAASRAPSGRRTALVAGVSLGCAAFFMFVGISIWVLLCRNRRRVRKDEFDAFDDNEPMEDDFEKGTGPRRFRYRVLAVATRFVSEDEKLGEGGFGSVYHGYLKDMNLHVAIKRVSKGSRQGRKEYVSEVRIISRLRHRNLVQLIGWCHGGGELLLDWEPCVVHRDIKPSNVMLDASFSAKLGDFGLARLVDHNRESHTTALAGTMGYMDPECMLTGNTSAMSDVYSFGVVSLEIACGRRPIVLLQQTEEVTESERETMHLVQWVWDLYGHGRIVEAADPRLNCDFDEKEMERVMVTALCMRPSIRQAVNVLRREAPLPTLPAVMPQQRRWIYYRHEAFQSRVD >Dexi7A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:7A:21694855:21695739:-1 gene:Dexi7A01G0011030 transcript:Dexi7A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAEVANHSKRNHTDSYFSGKAVVTTSSEEFVGSMTSKKPRNTSPRTSPVSPKTDTASVLQEASGYIKFLHQQLEVLSTPYMRAPPAAGSVPEDPEHYSLRNRGLCLVPVDLTLQLTQSNGADLWAPANTTRRR >Dexi3B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:3B:1825641:1827613:1 gene:Dexi3B01G0002730 transcript:Dexi3B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDTLKVQTCVLKVNIHCDGCEKKVRKILHKIDGVYQSSVDPEQGKVTVYGEMDPNTVIKKLNRAGKPAAMWGAKPGVVSQVQKQKQKLDGGGGGGGKGKQPPKDGGGKGQQPPKDGGGKGQQPPKDGGGKGQPKGGGGAGGGNGAGGGGGGAKDAKMAMAMPMPQGMTPQQLQQLQQLQQQMKGMKLDPRFMAMGGGKMPFPAAAAAPPAKDPKTVKFDAPEDDEFGDDGSEFDDEFDDFDDEDYEDDGLGDDMYDDPRMMMKPMAMAPPAGGGDKKGNANGGKKGAGGGGNEIPVQIKGNANNGGKDSGAKQNHGGGAKNGGGAPPPQNGKGGGGNHPAQAKKGGGGPPAGGVGGPMMGGMPPQQQAGMMMRPPNMMGGAGFPGMGQMGGGAMGGMPMGHPHMGGAHGMQPGGGGSAAVHGMPASGMMPPGFYPGGGAGGGMASGAEMMRAAGNPMAAHQQQQQQQFTSMMPPQQQPQQMMMMMNGHHGHHGHHVHGGGGGYPAMGYGYGYGRPAMPYPGTYYPQPHPHDNMFSDENPNSSCSVM >Dexi2A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:2A:33233942:33235226:-1 gene:Dexi2A01G0021050 transcript:Dexi2A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEGKAAGSSSLHSKTLLKSEPLYQPDCLRELRLATASHPMAVMAASPDQVQLFGLLLEMLGARNAIEVGVFTGYSVLATALALPDDGKIIAIDVTRESYDRVGSPVIEKAGMTHKIDFRVGLALPLLDQMVSEEGNKGTFDFAFVDADKVNFLNYHERLLQLVRVGGIIAYDNTLWGGSVAAAPDAPLSDGDRALAAATREFNAAIAADRRVHICQLAIADGLMLCRRVA >Dexi2A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:2A:3312487:3315905:-1 gene:Dexi2A01G0003790 transcript:Dexi2A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSGFLFSAADASSESNPSPSTTSFPTSGGGDQRQRQLHHHLVLATMRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRDSARLITGSADQTAKLWDVSTGRELFSFRFDAPARSVEFAIGDALAVITTDNFMDHVPTVQVKHIAEDLDDQTDESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGKLLKESDKESGHQKTISSLSKSSDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKM >Dexi4A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:4A:6768254:6769055:1 gene:Dexi4A01G0008800 transcript:Dexi4A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLVHDLEAGRLPPRPPPRRRGGALRLNTKVSALRRLADELASAAGDPRDVRERIRRARAEATRLARNTARRLAEPGAAADVGPNLAADFQLALGELQWVQTRIIEADRLKTAASARRAPPPMLRPPSPQLHNADQQLGGIQMQQQQMVESRRMQELTLLDNEIANNEPLVEEREREICKIQQEISEINEIFRDLAVLIHDQQGHVDVVESNIETAAVETRKGKEELSRPVLSQESNASMKCLLLTVVGLVMLIFALVFVA >DexiUA01G0007910.1:cds pep primary_assembly:Fonio_CM05836:UA:14808488:14809723:-1 gene:DexiUA01G0007910 transcript:DexiUA01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRVLPRTLIHAYHLDTTALAENARTDQLQTRGVERGEGLLLERQKGIRSNPHRSTPNQCRPGKAGEGRRNRERRPATWIWIAARRAACAAEVGKERARRRGGKERRGGDEQLMMEKYNQRGCRGQKATQVTTHLLNVGVADAATVNYH >Dexi5A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:5A:9242850:9244727:-1 gene:Dexi5A01G0012310 transcript:Dexi5A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRNIEGTVAPMLEATMSVVPVEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIRTGVDLGKVIAAGEFICKYLGRQSGSKAATALSKVTANASRL >Dexi6B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:6B:4568342:4572055:1 gene:Dexi6B01G0005320 transcript:Dexi6B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDAPAAHGDCCRAKWPPLKRFHSCEVRLAAAASSGRASRVLSLLVDSSSSCRSCHVVPRPLRPPLPPPRRPQAAVAAAIRPREAAFPCRPSVRRRRDTPRRSPPAVLVLISPASGGASRGKSLEGRGPRPPSVAVEATLGSMSNLSDPSKEDASPEGSASLLSIINKWAIMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTVGLLICMSGGVLYQQSTTKPKAPKVEPKQENDEEQQELLQMQGGHESSSTHK >Dexi1A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:1A:2985417:2986231:-1 gene:Dexi1A01G0004060 transcript:Dexi1A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDEGRLAAARLKLRAAVDKSRALGHELARAGPRLEEIQVKLRSLEVPVRAIRAPRAEVAAAGPHIKHTVGPAIAVLKVFDAVHGLELRLLAPGAARRDLPGYLAVLAQLEEARRFLAGNCGLAAQWLADIIQYLGDRDLAGPLFLANLRLTLKDLRAPTGDLDGGLLGAALDILEAEFRRLLADHSAPVRKPKTAAAAALSAPPRVPAVTVQKLSLILDRLVSNGRKDSCVAAYIDARGSAVSVSLGALGFHYLRDPGVGACP >Dexi7B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:7B:8609899:8610233:-1 gene:Dexi7B01G0003600 transcript:Dexi7B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSVKEIRVLFCQSSPASAAAREFVKKNYGDIKARNPSLPFLVRECSGVQPQLWARYGTRPSSSLLLVLWLDF >Dexi1B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:1B:20742872:20746138:1 gene:Dexi1B01G0014440 transcript:Dexi1B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNFQQQAKLEACAAGSSKGDTVMPVVKKRRGHPGNLDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPELTCPHHDASRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNHSLATMVGSLHGHQQDIFSHGVPTFPASPTDVIANLSSNDHNSDSHLRSLSPYALITRNTALFSNQISPKDSGFPLDGSASSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPTNVSTPRDHMDISSGSQGDSLGNSAANSVGIKTADDDGSYMSGRSNILMNSPWVSSYKPTTVPLIGLMNHPFAMRTEKESPGIFPGSQTQHSRQENISGVGDAGLTQDFLGLGGSGNVEMSSETYNADVTALSYSDEQQKTQEHIYSYHQSSLDSTALEKPIWES >Dexi5A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:5A:44738:53461:1 gene:Dexi5A01G0000050 transcript:Dexi5A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLHHSRFLPRPSGARGLLARRAASYRAGVHRPIGWLEREKNHRSSAGAHQLVKKKKNAGARQERPQCLRKVSGSRRWSSASTLRHRRSLPSKEDNLHRVDLIPRPVPLQREREERACSAVPQAVCHTRHIAHWIASSRKNRGREGTEANERKRKRNRQQREQIKVQHGEIDNDRGRIEENKRQIEVLATAAWSAVAHAACRGIAEGEKDPSHVKKGKKREIDCSKTRSRSRTAKSRTTKLELKKKPKSSQRSCCSSRDPPAPSRVAIDMHHRCNHMPPCASRRSSATDHACARSSRHARHLLDLRAGCSSAGKRSGAAAPPGEKERGGGRPLPRPFLMVPAAHEEALCGVRRAHLCVGIEAKELLESGEVDKRGGFAIRVQMSMSCGNTGQRRLKRPPELEKTIGESKSRGESEASSSITPPAIAVVRPVTVRHCPIARTRRRSGGQKEAGRPGLGRPAELSLHSNPFACAASHPTTSNYRSAHHHGVLPLHGLPSLTAAAPRSWVAAPSYAVCTAMASCRPD >Dexi8B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:8B:171743:174649:-1 gene:Dexi8B01G0000210 transcript:Dexi8B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMREEAAAKATEDDDTRFDAGKPPPFRIGDVRAAVPPHCWRKSPWRSLSYVARDVAVVAALAVAAASSSLDAWPVVIWPLYWAAQGTMFWALFVLGHDCGHGSFSDNATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPQITEKLYRKLEPRTKKLRFTVPFPLLAFPVYLLYRSPGKSGSHFLPSSELFSPKERGDVMVSTTCWSIMLASLLAMACTFGPVQVLKLYGMPYIVFVMWLDLVTYLHHHGSKDQLPWYRGEEWSYLRGGLTTVFVMWLDLVTYLHHHGSKDQLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVVHHLFPQIPHYHLVEATKAASPVLGGYYREPQRSGPLPLHLLGVLLRSLRVDHFVSDHGDVVYYQTDHTLSTFLE >Dexi2A01G0023380.1:cds pep primary_assembly:Fonio_CM05836:2A:35105896:35106837:1 gene:Dexi2A01G0023380 transcript:Dexi2A01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVFVCLVQEVRRSIVRICFDWKKKEGYKVLVIPGIILSIDVNGNATIAANATFFIGKKFRCVVNFPNSIGYEDGIELSYDSVINGDNFSTFTLRPSGDGYIKPVKFEVQPVHIGDEVSAFVFPRESFVTPTGYCGGVILERNERIFIHDCDMHEYGYLGSPLFNLRGHLVGITFLDKGHLQAWTVLELQDVVLKNTKSTLVSNGEPMETPPIAEAQVEE >DexiUA01G0016450.1:cds pep primary_assembly:Fonio_CM05836:UA:35054566:35055588:1 gene:DexiUA01G0016450 transcript:DexiUA01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYNKPDLSHVISQNQRAEDLTRQTAGAFAEQFSLRLFPHTWITDIDAAAHVVKAKDKTWQYDKLVLATGASAFVPPVEGRELMITLNSQQAYQASETLLRDAKRVMIVGAGLIGTELAMDFSRAGKTVTLVDHAASILSALMPAEVSSRLQHRLTDMGVHLLLKSQLQSLKKTGSGIHATLDRSRSVEVDVVVAATGLRPETALAHRAGAETGRGVKVDSYLQTTQPDIYALGDCAEINGQVLPFLQPIQLSAMYLAKNLSGGSAPLKLPAMLVKVKTPALPLHLAGETERPDLHWQLTIEPQGMVARGCDSEGQMRAFVVSEDRMKEAFALLKSLPA >Dexi5A01G0039330.1:cds pep primary_assembly:Fonio_CM05836:5A:40009898:40011858:1 gene:Dexi5A01G0039330 transcript:Dexi5A01G0039330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSPQLFLEREPPHPSELREWSHLPDLPLRQVLQLLVPCLRSLSSFAATCRPWRRFLLASVSDLLLPRIPPLHLKQEPGYRGRGAKFLLHAWSPLMIRRPVTSPLDAGAAASASPSLLSSARGHLIFLVRPRGLLVLVDAITGAERLSVALPSPHAPYHYATLTSTHLVLFVTKHDFASLPFPPAGRPAAAAELRHHRLPRAASFVASVADFRGRVLGITDRAQLLEFRCLGAAPDDHQEAVRMLPATGLPDDAATFESWHFGPRLVVAGDRLLLLLLMTDHGAGAPGQPAKVQRVSVHALHETADGGAMRWEEVDNLGGHSVFVDCAGKSAVACAHAAGCGDVTGDCIYFAEMTFYRDNSQRYWRLWPMLYPLN >Dexi5A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:5A:3015767:3017773:1 gene:Dexi5A01G0004050 transcript:Dexi5A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLNWVAVAVYWKTMKAHKQRCDAPDTGATTKSSGNKLPSLKAGAHINKSSGNIAAKRRKGAGFSPESYTESKIASKTGGLVESLSDYSIKISARPPNHSGKIKLQLFPIDETIQKIMQHEKHNPYLELILAPRKKISSVVQHLNTKWGSSQCTKGELMLFPNDARLDTIASSAKWTIQDSCTAADVHIAVGSPSTFRLRYGWFGPNLKESSGPSLASVQSADKIIGTKPGGDVFSEQKLMAGSGEFPSNFVVPSILDNTNAVQQVDNQSKVTPLSWLDSISNISFGALLSEAAPSQDSKQLPSQNNLSLQQIPATCDSFDAAIASLIARQQVTSQPRVSNPSLWEAEETCHAFAFQNHGLRRATSSVPDNNGAAMTSSVLGSIPETGTDDQQCSTNGEGKEARTEPSPSNSDLNAKPEISMHESTGVLGHGSSLSGFLSGTDSLGLSGLLANSLDAFHNFSVS >Dexi5A01G0022440.1:cds pep primary_assembly:Fonio_CM05836:5A:26544967:26548156:1 gene:Dexi5A01G0022440 transcript:Dexi5A01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRPMIRGATVHARLLLSRSYASQAKATSRWPLVSCKPLLLGRGRPFNHMDTRGRDENKVLPARGCHTSTESQELAKKSCAPCNSKDLHPMSEDSAKKLLEQVNGWELTTEGGLLKLHKEWKLKNFVKGLEFFQLVAAIAEEEGHHPDLHLVGWNNVKVDVWTHSVRGLIDNDFILAAKINGLNLEGILSKRASVHK >Dexi4A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:4A:8130462:8134708:1 gene:Dexi4A01G0010190 transcript:Dexi4A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAKEWLLAAGAGAAVGALSVATVMNLLSRSKRREGYVRKLLESNGVAAGSGNARSSRHLGAVGNSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRVLHQRLIEHEELIYGTAEQVLVDAEEVMYVVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADAHESTTLDHVKEEEPEFYSMVSRVLKRAEVEFAL >Dexi9B01G0048780.1:cds pep primary_assembly:Fonio_CM05836:9B:47664996:47665970:1 gene:Dexi9B01G0048780 transcript:Dexi9B01G0048780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQGRGGLLCCGGGGGGGVVGGRGALGGGDGGTKEDARQVSWAEVEAMTGGFTSAVVGEGGFSTVYLARLGGSLAAVKVHRGSSERLHRAFRQELHALLRVGRHPHIVRLLAFSDHPQHQGVLVLEFAPNGNLHHHLHAASPMPWPRRVSVALQVARALDYLHDRHVVHGDVKASNVLLDAAMAARLCDFGSARAGFAAAGGRRRPSAAVLAGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGVQPFRDGRLLTADVAPKISGDDAAKIIVDRRLGCRYDADEAAAVLALAAACVGDNPTLRPSMPDVVRALHRTGRR >Dexi9A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:9A:9037840:9038889:-1 gene:Dexi9A01G0013820 transcript:Dexi9A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSLVFTKWASPNPGAAAADHGSSRATFPSLHALREASHAAEMVQELIADEVVHAPNSWSSGDIAANNWNFGATSAPPGSNTGVTEVIPAIHGLPPDLVYGAPPPPTRRRSAAGIRSVGGSMSASYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATSYVKELQEKVKDMEAGGGGGSGRSSIVETRLVVVKRPCLHLHASAVTDDDGSPSPGTPLTAWKELPEIEVRFSESEKSVMVRVHCENSKGALVKVLTEMEELQLTIIHANVMFFPACTLTMAITAKASFFISF >Dexi2B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:2B:5777895:5782564:-1 gene:Dexi2B01G0006180 transcript:Dexi2B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATGAANGSVANHGNPRPTRSSYVPPHLRGRPAGFDAQAGSVAPAQGGPLPSAAAQPSGQAAAEGGPRWAGIVNGGGSVGAPRQGYGGGGRGGGGGGGAWNSRPGGWDRRDREPDPFAKAEAEEVDFEGQNTGINFDAYEDIPVETSGHDVPAPVNTFAEIDLGDALNENIRRCKYVRPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRPRSSRTACPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPITNQLRELERGVEILVATPGRLMDLLERARVSLQNIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMAADFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALEDWLFRNGFPATSIHGDRSQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNTSLARQLSEVMQEANQEVPQWLERYAARSTYGGGGGGRNRRSGGARFGGRDFRRDRGSGGYGGGGGAYGGGGAYGGSSGYGGGYGGGSSGGGGGGYGGGQSMSAWD >Dexi9B01G0030810.1:cds pep primary_assembly:Fonio_CM05836:9B:33225940:33226182:1 gene:Dexi9B01G0030810 transcript:Dexi9B01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAAASITATLRRASRTDISRKVWSRVHDVLKGRRSGLQYHEVVLIVVADSGVGRWQSRTVVRGRCRRRRQRRRSQQNL >Dexi3B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:3B:6756921:6760578:1 gene:Dexi3B01G0009750 transcript:Dexi3B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCSAEGFGEESHHADFKNKVLTFELCRNGPSDAPQRTSPRTPRASRVAKTGGNETDSTGVTPTRTPTDRSPKVTERWSPRSPITEKKRPSRLSELESKVSQLQDELKKAKEQLSSSEARRRQTQQESEEAKKQEQAATSKLEDLQRQLDESLAAEESRLQELRKISQERDRAWESELEAVQKQQSVDATALSSAMSEIQRLKQQLEAASESETARAKQCEYAETEIEGLKQEMEIRLATIEGLKLNVSESDKAAAEANAMATEVKLQLETAKATIDSLIAESFRVQDCLRSKDMELNESRARIASLEEDLRKTQGMPNTDVSFSDPEPEALKKVVTTDCANGSCESSDQEIERLRTALEGSEIRYQEEQTRMTIDVKTAYEMLENMKAEYTHRVGELELELKNKNDALMEAMAAACAEGKAHHDPHKSEAMQPEIEAKLMRSITDIAELKASLMDKENALQSLAEENETLKMQAGRTEAELQQKYDAAVEELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAAQAASGEMEAELRRLRVQSDQWRKAAEAAAAVLSSGGGGDNNGVGRTVERTGSLDAEYNNSIGGKLMSSPFSDEVDEESPKRRNSGGSVLRRMSGLWKKSPR >Dexi2A01G0025000.1:cds pep primary_assembly:Fonio_CM05836:2A:36801290:36802891:-1 gene:Dexi2A01G0025000 transcript:Dexi2A01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRVGIVGAGVSGLAACKHALDKGFRPVVLEADEGIGGVWAHTLESTKLQATTAAFRFSDMAWPESVTEMYPSHHKVMEYIRLYACKFGPLEYIRFNCQVLGVEYLGATEDEIMSWEQWSGNGTAFGTGKDGGWRITVKDLKVGSTEVVQVDFLILCIGKHSGTPNIPEFPANGPELFRGKILHSLDYSYMDNVAHFVKGKHVTIVGSGKSAFDIAAEVAKVNGAAHPCTIIFRTKHWLVHKSSIWGIDLGYFYLNRISQLLLHKPGEGFLHYLLAAAWSPLRWAMSKVIETYFKWSVPLQKHGMVPDYSFSFAMSSCSIAMLPEGFYDRVDEGSIILKKSKAFNFSNDAIILQDRNESIKSDIVILATGFRGDQKLRDIFTANWCRKIVAGSPDTSAPLYRYSLYHF >Dexi2A01G0028580.1:cds pep primary_assembly:Fonio_CM05836:2A:39846241:39847095:-1 gene:Dexi2A01G0028580 transcript:Dexi2A01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTFSFSVCGAHQNPGSSVQHNQSAESQTARRELQLLEDHRPWEMLDHMALAIIDQTYTALLEILHRSPPPPSEEGDDDGHVVTLSQPLDASGPDSQVLLVEASARHCRIYVMSDVIFHAAGDMGREFTPQLQRRAWPPRHRLTRARIGASLGTLYLARIDGGGRGDYWRCADEVRPDVAGRGLLGVLDTIRSRLDAAARLEATLLAKARSLRCRGSKVREILRVWTALDDIRRAVDLDVIIRRRFQKRRRIMAISWRPEVETHVDQEDEAEEVTKRLKALRV >Dexi9A01G0039560.1:cds pep primary_assembly:Fonio_CM05836:9A:43487863:43488504:1 gene:Dexi9A01G0039560 transcript:Dexi9A01G0039560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALMAAATTSCSPRRAAPLLKPVASASSSPSLARPVRRPLAQQLPRLLATAAAVVAAAPLPALAEQMEKAALFDFNLTLPAIATEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGDVKDASEEVKQLEEQAAAIMKAARAEIAAALNKMKKETTAELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >Dexi9B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:9B:7270499:7270920:1 gene:Dexi9B01G0011430 transcript:Dexi9B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQARKKRRRRRMPNGQDSQALLFLRQKPDVLAVPVRHSIEQLPGIHPAIRVTNSPGPERKFRGLRGQRTGVRGRLQFSLLEGPSAYAPCLVAGVHVSRDP >Dexi2A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:2A:30364166:30369247:-1 gene:Dexi2A01G0018340 transcript:Dexi2A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRNNCVLLAVLCGKHAEKRASAANSVPEAKRLRPSYPFPELSSAGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDADVSDPQAFSTLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINISPPETEMVDEEGSSEVTPAIKIYDEELNMRLLLCGVPCTLDPCLLGSLEDGLNALLNIEILSLAETDFFFSLLSGSASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKSQLVRALVNNDDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQVSLQLEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPK >Dexi2B01G0028840.1:cds pep primary_assembly:Fonio_CM05836:2B:37391051:37391498:1 gene:Dexi2B01G0028840 transcript:Dexi2B01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCTDMNTFIAVDAAANDSSGDASVERRGIPHPATMRWGHRERLVESDGDVSLVQFYTHGLYNSEVIDVDIHRLDTSSSGDRVWRKAESIGDRAIFVAGNCVALSSATRVGIRPGCPAACLLE >Dexi7A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:7A:24258334:24259414:-1 gene:Dexi7A01G0014310 transcript:Dexi7A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYVAPPQKAVAAWFTRSAQSHAWESLVPSRKRSCVTRPKPSPVEKLVKDLNSIMHEQQLCYCSGSSEDDLLYHSETPVGSVEIGSGSVLLRHPNLKSLEEESEASSIPADNQSYIISESYSGSAPFVVGTGNMAAINLNVAVVKPKRSPLHIEDNAKRYYACGVLIIVSKGIMLVVSLLSDKLHYEHQHILENIDSPLVSIDLE >Dexi4B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:4B:8641646:8642190:1 gene:Dexi4B01G0011240 transcript:Dexi4B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDGLRLDTLLKRVCKSLLKKRLGDLILGDLDLDQFDIQLGRGTLQLNDLALNADFVNRKD >Dexi2A01G0033460.1:cds pep primary_assembly:Fonio_CM05836:2A:43635274:43637681:1 gene:Dexi2A01G0033460 transcript:Dexi2A01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTYATEQSNSKDTSANASSSPTVSSDTGPETLSNSGPTESFTSSTNGKADHPSSANAVEVNSMPLNVSNGTGTALRDEMKPKEDHTNYQTGMAIKSRRKEVSEPTPESPYKGLVDTAAPFESVKEAVTKFGGIVDWKAHKAQMIERRKLIQLELEKIRTEIPLCKEELEAAEMAKSQVVDELEHTKRLIEELKHNLEKVQVEEAQAKQDSELAQLRAHEIEHGIAGEASAIARTQMEVAKERHEKAVTELKSVKEELRSIQEQYANLIDERDTAIKRSEEVISAGKEIEKRVEELTLALIASKGSLELAHAAHHEAEERRIGAALVKEQDCLAWERELRQAQQELQQLDSKLASNKDMQLNIDANLRKLISLSSELSAYVENKLTEEAEGASKEHESGYSRQISNPTKEALASKQKELQEVKANIEKAKAEANVLRFAAMTLRSELDNEKASLVSLQQGENMAYIAVSSLEDELNRTKQEIESVRSKEAEAQEKMVELPIVLQQATQEAEDAKVAANLAQEELTKAKEDFKQTKAAAATAETRLSAVMKEAEASIASERLALAAVQALQESEEARDVKDSPRRVTLPLSEYYELSKKTHEAEEQANERVAEALAHVVSAKESESMSLDRLKEAYEKMDEKKENLEIALERAGRANEGKLAAEQELRKWRADHEQRRKALEAAKRAVNPLNGPSRVFVEQKDPYQNEQESKLLMSGSSYDGLVSNQKQQRKKSMFPKMGSVLSRKTRAQT >Dexi5A01G0023950.1:cds pep primary_assembly:Fonio_CM05836:5A:27872093:27875163:-1 gene:Dexi5A01G0023950 transcript:Dexi5A01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVHTVVEAIHSSRSQGVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMVQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRLKHGHHRFYVSTWTHNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADSCRVSATIQPDIQEPEIPEESVKQFDEDQELQQVINGQVCMKVYHFAGPVQKNFNRKVILPGSFNPLHDGHLSLLEVASSMCDDGLPFFEISAINADKPPLSIAEIKRRVEQFRKAGMDGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLDILLECKNTGTTFLVGGRKIEGVFKTLEDLDIPEELRDMFISIPEGKFRMDISSTEIRKSQEL >Dexi7B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:7B:2402101:2402893:-1 gene:Dexi7B01G0001480 transcript:Dexi7B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHHGGHPLLHPPSASATLAYSSWDYTPSGAPFEATSAAGDTQLDSCASSTELRRALVRALAELDACRAAHQAELRRIESEAARLAALLSSAAAERDELRRHCHSLLLLLHHQAAAAEPTASLHAGVLGGSGGAAATAAMDEHAAAAACVDETELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPSAPADIPPFNPGRQSPLNTDGSNSFSC >Dexi9B01G0038440.1:cds pep primary_assembly:Fonio_CM05836:9B:39510054:39510510:-1 gene:Dexi9B01G0038440 transcript:Dexi9B01G0038440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGDDSNPASYIHTVQHLIEKCMTFGMSMEECMEALAKRADVEPVVTSTVWKELEKENKEFFDQYKQWMSEKRSASSS >Dexi5A01G0027330.1:cds pep primary_assembly:Fonio_CM05836:5A:30823644:30824696:-1 gene:Dexi5A01G0027330 transcript:Dexi5A01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPDLPLLHRLLFEEGVVEIKDTRGYDQHGWRCRKQEGKQEPWRRRPAPANGGNAAPKCSYLHQQSLHSEIRQKSRMARAWLGASFCIAGATKQECSHPNRPRRLRDKNTGAFRYDPDMDSAWDLPLFSQQELMNPRAGGNKGNRA >Dexi9B01G0048340.1:cds pep primary_assembly:Fonio_CM05836:9B:47315632:47318417:-1 gene:Dexi9B01G0048340 transcript:Dexi9B01G0048340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVGLSIVLISFLHVQSFPSLKAPHRLVQAASPVSCSPAPASSALPSATSLPARPSPRGPSFPAAATLMPAPTPPLLQMCSSLEADLDRLFPALSHGLVASTLRALTDRGVPAERYFAWASLRRGFSPSAHAHNLLVENAGTLADYPAMSRALALMSERRLSLTDRAFAFLAPSRPSQSSCVEDAARAVLRVLDDVGGPCRASGVFSLVKALASTGEFDAAVMVIEETRRMARYYNVLVAARCKAGDFVGAREVFDEMRKTGCDPDANTWNYLLGCLLKNGRLAEACGLVESMEKSKTGEVPNSLTYEVLTYHACKAGKMDSATQFLDQMFLENLTPRVTMHSAFIKGYFYAGRIEDAHKYVNDMSTRARYSTNQNYSLLAKLLLKSGKTIDAGRVLHELMEKGLRPDHSAYVKQTQCHVIDPPLPPPTNQTRTKHLPRIRSESPPRHRSAPPDSRNSTRPSSIQRAKLHLPRITKPPPRAQTPSSRRYKLAPHQLAPHKSQQILQSPKSQPSSHLAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi8B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:8B:25158745:25158984:1 gene:Dexi8B01G0014550 transcript:Dexi8B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPLPRLLSCSLRAPRSPLRGSAPPRSLRRPPRATSSRHLLGHGSCGWRSSAPSGPCGEETTGEGGIDSIWRGRRKR >Dexi6B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:6B:13929344:13933758:1 gene:Dexi6B01G0009530 transcript:Dexi6B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRSRGARPRPLSDCGVALALAIVSLGGLAGAEAITRSDFPEGFVFGAGTSAYQYLFVLQGYAFDHATGDVAADQYHKYKACFSTLEDVKLMHEMGLDAYRFSIAWTRLISDGRGAVNPKGLEYYNNLIDELVSYGIQPHVTIYHFDLPQALQDEYNGLLSTRFIEDFTAYADVCFRNFGDRVKHWTTLNEPNIEPLGGYDLGNLPPRRCSAPFGKSCAGGNSTTEPYIVAHNLLLAHASAVSLYKDKYQDKQGGQIGITLLAFWYEPATQKLDDVEAATRMSDFTVGWFMHPLVYGHYPPVMKRNAGSRLPLLTPEESARVLGSFDFVGINHYGAVYVASDMGRLKQIPRDYVSDAAVKFITLPFQSSKDKFTWKSYMMLIFKQGGLRMQNHPAPWALNKLLDHLKHKYRNPPIVIYENGAGHEPDPSDRFVYDDDFRSHFLQAYIEATLLSIRNGSRVHGYFVWSFMDVFEVLFGYRFLFGLYGIDFGAKNRTRYARHSVRWYAGFLHGGELRPAAVRAGSGAYSA >Dexi7A01G0024040.1:cds pep primary_assembly:Fonio_CM05836:7A:31875980:31877324:1 gene:Dexi7A01G0024040 transcript:Dexi7A01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKAADDKVEAAEGGGTKKQAAAESPSYRLALRSLFSCRNSHPATDSRSRGCSAAAPSSICKQLKATDSSQQQSEACKRRASVSGGSEPLPLGTKQQQQQQLQRGGSSSSSGGSSFRQLRRLSGCYECHMGVLDPSSSSMRLLCPCPDCGEVFVRQESLQLHQTVSHAVSELGAEDTSRNIIEIIFQSSWLKKQSPACRIERILKVHNTARTLARFEEYRDAVKAKSGGGGGSRCSADGNELLRFHCASLACPLGLDGATHLCDAPGCGACAIIRDGFASGGVRTTATSGRAHDACSCSSQERSSLLAMLVCRVIAGRVKRPPTQQEYEPHGDGDGDGDGDKLFDSVAGSAGVYSNLEELLVFNPRAILPCFVVVYKASSA >Dexi1A01G0029180.1:cds pep primary_assembly:Fonio_CM05836:1A:34715647:34719378:1 gene:Dexi1A01G0029180 transcript:Dexi1A01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPPPPLPLLPDFNPGVRRFLDARFSSAADLATAADVEAEIRGRCAELEALVSDLSVRILEAATAYSSCREAAGSALRGVGDELRALKASISSGAGEEVEGETEQMQFEQLPALASEVARMEMVREYAEMALKLDSLVGDVEDAVSSSVTGKLKSVGNNSERTHHSAIGYLRNIEDLLALVTTTRPQWTHLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPSLSGSKFSSIDSGKQAEIVNPLFSMTGDLKSKYSESFLSLCNLQELQKRRKARQLKGHNLGNQLRQPLWVIEELVNPISAAAQRHFSKWDEKPEFVFALAYKMIRDFVDSMDEILQPLVDKAKLIGYSCREEWISGLVIALSTYLAKEIFPKQIELLQESSSSDAGCTPYQARVSWLSLVDLMISFDKRTQDLMSGTGLLLTVKDDENWQRISVLSVFCDRADWLEVWAEIERQETVDKLKSAMESEKNWSARIEGAMVEYESDDYKSPAITTAVQQSLSLLIDRARPIPSVTLRAEFIRLSASPIISEFLGYMLRRCQEAEGLTALADDSALLKVSQSINAARYFESTLTEWCEDVFFIEMENSSANGEGDCIFQQEINHLKEFRVEWVDKITTVILRAFDSRSRDYLKNKRQWLEKSEGPAVSRAFVECLDYMQGQLSKLEGGLNPRDFVTVWRSVASGVDQLLFAGILAGGTKISCGGVQKLEGDLSVLFAVFSAWCRRPEGFFPRMSEGLRLLKVDKQQLGDVVFTDESWLRQHGIRHLTAAETEKIIKNRVHEA >Dexi9A01G0028120.1:cds pep primary_assembly:Fonio_CM05836:9A:32789753:32794766:1 gene:Dexi9A01G0028120 transcript:Dexi9A01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFVYRRFSGDDSSKPVLTPPPSIREMALLKAALDGDLGRIKGIPVDVDCGHGTPLYHASVNEQDKTVKILLNHNANPNTIFCGFGTPLSAALIYRSLKCMKLLIKASIFLPFLFNYQLVRMLMAKKEEHIKVRKATIRSQADKAFRQKEYDTASKFYTVLIDVGPDATLYSNRSLCKLNLGDGEGALSDAYQCRMLRPDWAKAFYRQATAHMLLKEYKQARDALLDVQKLDPGNDEIERELSTAMELMKNSPDEDEQ >Dexi6B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:6B:12983300:12991905:-1 gene:Dexi6B01G0009070 transcript:Dexi6B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPSPLVSMWGPPRAAAGSPATEAAAAAHAARRGGAAVSSALSGLRGRHSLSSVQFMDGLRSNIQAEVNLILSPKASMTNGNGEAASKAAYDALALSAEEAMEAYPALLMLLRDVFPVHFLTEDSTITYKGFCTISWNLKADVEDGYLIFITGDPVTLGCWESNMAVQLAPSVESDNIWTAEITVPYGVHFKYNYFIREEEGSYSNIIWRPGPDYSLSIPFVSGEKKVIVVKDLWMKTSMAGLPAPSWGSWLMEAGSLEGDFFEGGDHRSIVEAHSTRDTTDLGLSVGEHIILQIGNGTPLHGKLLSENLSTGMHNYITDKSNANNISQHGRYQIVEEPWIIESITASKKPVARVKDKKGKKKFVNSKRDSSGASENMPKEDQSIEEPWLFESMVQANGIIVHADEKIEAKDIIRKLRKIEKPPAPLDENKPAAGEPSRVILINSSVCTMQRIAVLEDEKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGIARPSLMSIKQNRDPFVYPHVVKNSRAHPVNDSCYNEENLTTYHEDDDMSDDEFVDEETHDGPSSFPVENITDTEEGMSLISDPKTNIVDSAEFEGISGYDEDKYDENDHMEDEYSEDILQGDHLEMSNDLKTLSSIQHALRESNDDTNGSRWSQVRKGTKIMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQRDLEGLLSTWKGINEHAQSAALAAEEGVDGAVPVMLHRAKGQALSVVQDDFNEKAS >Dexi1A01G0031410.1:cds pep primary_assembly:Fonio_CM05836:1A:36394181:36397919:1 gene:Dexi1A01G0031410 transcript:Dexi1A01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAWRRHLLYHHLSPSTSAAIAAFRSASQTGLTPQGLAGADGARYISSVRAPAVKGSGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKVMGDLGQATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVNGGSSKIQPVYVIDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYVNVPLPVARAIASPREMLLNKVPFPLPTPSIFNLDQINAFSVDNLVSEDALTFEDLSIMPQKLKGYPVEYLVSYRKGGPSFGSTVSEKMRSSEM >Dexi1A01G0031320.1:cds pep primary_assembly:Fonio_CM05836:1A:36345845:36348168:1 gene:Dexi1A01G0031320 transcript:Dexi1A01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSMTGTVSVPSMSKTTPRSGRLERGAAEDMAARTPATAARRPRARGPRSRRCGPRRSGPGMRSICVVAWRDLGRWMGPFREALCYVF >Dexi4B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:4B:15087503:15089946:1 gene:Dexi4B01G0014310 transcript:Dexi4B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDAGNGSAAAVQTKGSGDDASHKPLPPCCVKAKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSHYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKEFFPNLSIGYKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFIQTIARALKPAGVLCNLAESMWLHTHLIQDMLSICRQTFKGAVHYAWTSVPTYPRHIGIEINA >Dexi1A01G0028570.1:cds pep primary_assembly:Fonio_CM05836:1A:34221804:34227768:-1 gene:Dexi1A01G0028570 transcript:Dexi1A01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSADYSVAAGACLVSEGSAASDGTERGGGQPAAVRRHSRLFWNVPMPQVLPEPSEFFFFLLPKQCRYIKLLHFPTASAPRDKKQQINNQPALAKADQSRERERVVPLVRSLEKLLAAPPVAVAGGLRGYNTGVAQRRYEGGESEDDSVREYESRRGGRDYAAVPSLFSGSRHLRAMPDLRFSIGRLLSLVDDLAAAAPGRAGAMRRGWNAREDDEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEEGEDETVSPPRYSSRIELSPDVYRMDKIKAEMKNGVLKVVVPKVKEEQRKDVFQVNIE >DexiUA01G0016230.1:cds pep primary_assembly:Fonio_CM05836:UA:34568066:34570427:-1 gene:DexiUA01G0016230 transcript:DexiUA01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYFNNAEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPKIADVAVIPFPDREVGQFPMAYVVRKNGSNLSEREVMEFVAKQVAPYKKVRKVAFVTEIPKNASGKILRKDLIKLATSKL >Dexi4B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:4B:20387518:20391125:1 gene:Dexi4B01G0017980 transcript:Dexi4B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSVAPSSYFPRGERTRRRASGRRFPETGSRVSERRRRIRESSASAAASPAFRPPLQRTVVAPARRLRPTPRSLLCLLTPIQLPRALLRDLSLRHALSSLLAGDNPIGSALGQVGGRDNPKVAKFRKKAFPLFSSLEKLYEGSVATDVLGVTQARGFLRDSKA >Dexi2A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:2A:8788866:8796448:1 gene:Dexi2A01G0008740 transcript:Dexi2A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPVVAHLFFLLLVSTAPAVRTTPDATTTTTTTSTTLQASCSKTLFPKVCLQALKDNPECASATPRRLAELSVYVTAEVGMTVAAFAHHELNGITDNALYKCLDTCSEDIEEAVAHLSALTRELTDAKFLELKSWLSSTLGGTSTCEDACKDAPVSDVKNVCVTKSFEFEKLLRVTLDLITEASGSMSAAEVALPPESVASSPAYGAAAPFGGYGSSAGEPAASPDTPPAYGGAAGGPSVQPRPYGQQFDADGAGATPPATLFEVTLDGSGGLDFYDYDVSLQNTTPPTPRTLAHRLHARAIMAMARSLATAPLLLLLLSVLVATASAARAVGGGGDAMQDACSKSQFPKVCADGLATKPESQTATPRELAAMFVGIAVEKGQSMGASIVLLQGRASGDVGSSSKDKAAIITCYDSCSDDVDEALAHLDGLLREPVDAKFLELKSWLSSTLGGTSTCEDACKDIPKTSDREQVVAYSVEFEKLLRVALDLITQASGSMSADIALPPSDASAPAAYGAAAPPLASSSSATAEAPAAASEGPSSSSSAASSRPAAAADSPAADGAAADAPSSGGSASAPAPSGSASAAADAPSSGSSSGADAPSGGETDAPAPDDGASGDDGSDDSDDKA >Dexi1A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:1A:5610557:5613258:1 gene:Dexi1A01G0007310 transcript:Dexi1A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAGDDPFDFDFGFDDDGGGGDDPFCYDPFDDYCCDGDDGEGLIAGPIVDDGDGEEFCISGFSFRECDGDEGDVFIGEDHASPPSDEEPILETLGCSFDSDGGFSQFAPHLVSALEISDDDDDDDEDGLVAGNGRGGGGIELEQGATMEEAAADDDDDGGIGLMLSGFSLDPRPDDGGFQTLVDTDEEATSDDDMGKSGGLMLSGFDDVAPPRVTSQVVQPSWMVMFPVVDATVADGTDTNFLEVFAGQVGEAVRQLPASRAAVEGLQEVVLSEEEASHGCAVCKDAIVAGLRVTRLPCKHYFHTDCIGPWLSIRNTCPVCRFELPTGDAEYDQQRSSTGIASVAQQGAPVQLQMLAVEPEWVEEMQLNAREKIDLSKAQQRTGHWMPSNKLKEIECVTGSS >Dexi7A01G0023400.1:cds pep primary_assembly:Fonio_CM05836:7A:31380668:31384133:-1 gene:Dexi7A01G0023400 transcript:Dexi7A01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPERGEAPLPNSSPEEDAAAAVASSSSSEHKEDISSEHKEDSSSEHKEDSSSKQPKASVLSCVFTPPFAIFEGQQDSTPPCEKKSPRSSSASYGWSRILRRFVGSSSMWRLLGCTRVLTSSDVWFLGKCYKVSPEESTSDADSDSDHAAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALVFHYLGRSWRKPPEKPYNPEYIGVLHLFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLIRTNREQDAAADGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGRPGTSTYIAGVQDDRALYLDPHEVQMVKACPNDFDDFCSRASELVEKANGAPLFTVVQSIEPSKNMYNQDDGVGGSGSSMANDDDLDGSGEAEEWQIL >Dexi9A01G0038050.1:cds pep primary_assembly:Fonio_CM05836:9A:42330534:42335608:1 gene:Dexi9A01G0038050 transcript:Dexi9A01G0038050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDEILANYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLREDVKRGNISKEEEDVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAGPDTTITIDITKLHSAEKRRGDRNPSQSPKSTTTTTSSDKAKPKAKSKPAPEPDKPEPESGKARGASGDPAAAVASPASSPRHSDGGARSAVVDPDQNQADCSSGNTPQGPSSEMDPLEFGGLWEAESEMEALLLSSGGGIGLESLTVGGFDEVGEAQVDDLLDMDWDGFAAHLWGEPAASQQQQNDTALLQPDEPQADAGCNNQEELDSFAMWLLSDTF >Dexi4A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:4A:21926354:21929592:1 gene:Dexi4A01G0018030 transcript:Dexi4A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASPSPMVRSEEDLGPPWLRPLLGTSFFVPCRLHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGGFDLGRFDRAGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >Dexi3B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:3B:13438268:13440769:-1 gene:Dexi3B01G0018100 transcript:Dexi3B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLVALKAPEKADGHKGGRKWTRLWRSSSSGTSRASAGEGSALASQASSASADSFSSVLAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALKALRGIVRLQALVRGRLVRKQLAVTLKCMHALLRVQERARERRARSSADGHGSKDALNGRATTTKGAEEQWCNIQGSVDDVKSKLHMKREGAAKRERAIAYAHFRQHQSSKHSGRPGSPAICVKSHESSRCNHDLSFLEGWMATKPWETRLMEPNPIDSQLAKNCEDLNLAVSKVSDASSVKVRRNNVTTRVAAKPPSVFPAPSSDFVSEEISPSTSSVTPVSATYTIASETRSDTGLVGGPNYMNLTKSAKARLNGYSSHRGSFQRQRSGDMSRVALSSIDTQSNAGSDVSVTSKRLNSMSMNGRSMTRSLGKENDD >Dexi3A01G0031360.1:cds pep primary_assembly:Fonio_CM05836:3A:35831683:35832237:-1 gene:Dexi3A01G0031360 transcript:Dexi3A01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGRGKPKGTKAVTRSTKAGLQFPVGRVARYLKTGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGAVVIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >Dexi1A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:1A:27876366:27880160:-1 gene:Dexi1A01G0021040 transcript:Dexi1A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAGSAAEPPLVDSYHALLRRCGDDGVPIVQEAQTPSLPVVECELPMIDVGCLTSSGGSSEAERAACAAAIVRAAEEWGFFQVRNHGVARELLDAMRREQARLFRLPFEAKATAGLLDGSYRWGTPTATSPRQLSWSEAFHVPLAGVSGDGSCDFGDLTALRDVTRKVAGAMSRLAGTLARVMAEALLPPAGAGDGCCFFPEGCDETTCFLRLNRYPPCPVSPDAFGLVPHTDSDFLTVLSQDHVGGLQLMKGARWVAVKPIPDALIVNVGDLFQAWSNNRYKSVEHKVMTNATTERYSVAYFLCPSYDSPIGTCEEPSPYRAFTFGEYRNK >Dexi1B01G0031240.1:cds pep primary_assembly:Fonio_CM05836:1B:34954339:34955399:-1 gene:Dexi1B01G0031240 transcript:Dexi1B01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHSSTAEAISARMDVISMAAAGVSTCGLSMSRASTFLMSLRLTSEGGAAEAGREQVGGHGERGGRAGHREDGGGGGEPAEAHGVARGGGEEEEVRLRGRGALRGGERVEVAEGVGEEARESGVERAQRVAGEGARGAVGRVVGAVGSDEQRVGQGGEGRGVVVPGDGRGEGGGEGGDDGGEEVVAERVVGGEGEEGSSRSCSHSCGEREAAGGGRSIAGERDVAASPSPLGSLSLLRWGWEVDWAFLSSSWAFEM >Dexi6B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:6B:23709217:23714739:-1 gene:Dexi6B01G0016450 transcript:Dexi6B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQNARLTNALTGGARASLQHSATRHSHSPLPLPELNTKHVMATPSPAARSPAALLALAAVTLIIPLATAAAAAACSAADRDALLSIRAALSESHLGVFSSWKETTDCCSGWYGVSCDPTNGHVADLTLRGEADDAVMAPSGRPASGVMSGYISDAVCRLDHLSSLIIADWKQITGPIPSCVAEDLPYLRILELPGNRLTGEIPSSIGSLSRLTVLNLADNLLTGGIPPSITSLISLKHLDLANNRLTGHLPGDFGELAMLSRALLGRNRLSGPIPASVSKMPRLADLDLSENQLAGGIPDGLGSGGHVLTSVYLGANRLSGRIPASLLRNSGLGIVNLSRNALEGDVPDVFTPESYFMLLDLSRNRLTGGVPRSLAGAAYVGHLDLSHNRLCGAIPAGPPFDHLDAESFGGNSCLCGGPLGKCTSSPHDLTPRRVDDAFPASITGHSLQTIVAGRPVLRGRQRRTSEASSGKRKGAPLRSCWQRAATVALSRRALGEREAMRLPSARLGTAAAAACWCWEQVQTQLAGHDPVHVLELVEEVERSRSREVDRRVIDELRRLLLSSMAASLGSCSPAQIQGLSAKYPVREIAPGDLGRSEEHPGQANQPMTRRPHSWATSAQSSPFLSSSPSSLLSGQRATAQAAQLLHSPKSLRQRIPPATSMRPISTAAGGMLRARLRSASRVRGGGGGEGAGRWTTPGHEEQPKGYLFNRPPPPPGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHQKALERLQQQELAAAGASGDGDAE >Dexi9B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:9B:6447081:6447605:-1 gene:Dexi9B01G0010350 transcript:Dexi9B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGRGKAKPATKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVMPNIHQTLLPKKAGGHKGEIGSASQEF >Dexi8A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:8A:978532:981138:-1 gene:Dexi8A01G0001350 transcript:Dexi8A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASAVLAVLLAAAQVASAAQVVAPAFLWAPKNYVWCSREDVQKNVDVAIVFLGSKLQSSDISKDKQVDPALADTLKLSFTSSEFSMAFPYVSTSDDEKLENSLLSGFAENCDNDFEKTRITYTDTCTVTGQDLKKHHNMDSVHDLVTSRMGNKPSGQTNLIVFCSGGFEDLDPAKSEGDLLSELVAMLKKSGAKYTILYASQPSGLLEKPSNLPLGRYLAEKTNTTAKAARGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >Dexi2A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:2A:31745753:31748375:1 gene:Dexi2A01G0019580 transcript:Dexi2A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFALVVCILVFLAVVSYVHYARRRNAGEGQGGYGGGHEKPSPSSKLPPGSMGWPYLGETLQLYSQNPNVFFASKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVKQAHLFKPTYPRSKERMIGPSALFFHQGEYHLRLRKLVQGALGPDALRALVPEVEAAVRSTLASWDGRVMSTFQAMKKLSFDVGIVMIFGGRLDERRKAELRKNYSIVEKGYNSFPNSLPGTLYHKAMQARRRLQGVLSDIMRERRERGEPGSDLLGCLMQSASRGGDDDGAPLLSDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDNPKLLEAVRAEQAAVREATDGGRRPLTWAHTRSMALTHRVILESLRMASVISFTFREAVADVEYKGFRIPKGWKVMPLFRNIHHNPDYFQDPHKFDPSRFQVAPRPNTFLPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKDGLPVRLWRENRTVDRKGCESDNVEDIIV >Dexi9B01G0042580.1:cds pep primary_assembly:Fonio_CM05836:9B:42812387:42813625:1 gene:Dexi9B01G0042580 transcript:Dexi9B01G0042580.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTSDKLSFQLPAGMSSGGEYDAAFAATVAAAAFVIAAQEEKLATQKKTVPIESVQPALSPVKRGESMKRPGGGSKISRWLSGKEPAEYDDDGPVNISVRRPLKPAPGKPQDITPDHKVTPKMLGSYQSVKKGSGSSNKAADRKGSKKFEQEQGTQKVPSTVRPATSYHSRRNEEGAAGVTAIGTTGSKADEWEKAKLARVKDEYEKMIETIAEWETEKKKLKTELKLQTELDRKRAKALEEYNQEMTRINKIAGGARSMAEERRYNDEKKIKEKARKIQSTGKPPRTCVCF >Dexi3B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:3B:12251453:12258529:1 gene:Dexi3B01G0016770 transcript:Dexi3B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEIHGTLAAVGDLAMLQMLGLRGGVSPSAGDAGAGVEAAGPARPLRLVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVASTHRPCTKGLWMWSAPLKRTGLDGTEYSLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTASELGQFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPLSNFRPEFRSGLDAFTKFVLDRTRPKQLGASTMTGPILAGLTQSFLDAINSGAVPTISSSWQSVEEAECRRAYDSAVETYTSSFDPKKQIEEDSLREAHEDAMRKAISAFNSSAVGAGSARSKFEKLLQSSLRKTFEDYKRNAFLEADLQCSNRVQSMELKVRAACNHPDAKLNDVVRLLDGLLTEYESSAYGPGKWKRLATFVHQCLSGPVLDLFRKQLEHIDAERNALRLKCNSSDDKLALLRKQLEASEGHRAEYLRRYEEVINDKQKISKDYSVRITELQTKSSKLEERCVGLSASLENAKRESNVWKSKYDRSILQQKEDDSKLKSQIASLESRVSISEGRLSATREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELANQLSEKEEETSRLSAKVSQTEIHATTLMSRLEATEAKLKSHESDSLTLKEEIRLLTDNLESIRSEVLSREKEVRILEQEKNHLQEKYLAECKKFDETDIRCKEAEREARRATELADVARAEAAAAQKDKGEAQRLAMERLALIERMERQVETLERDKTKMVEEIARLHQSEKDAVSKVALLEKSVDEREKEIDEMLKRNNQQRSSTVQVLESLLATEREACTEANKRAEALSLQLQATQGKLDMLQQELTSVQLNETALDSKLKTSARRLRGEATESVHDMDIDDDNNGRRRKRSKSTTSPFKNNHTEDGGSVFIGEDSYTGSQQGTETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >Dexi5A01G0036250.1:cds pep primary_assembly:Fonio_CM05836:5A:37873305:37873598:-1 gene:Dexi5A01G0036250 transcript:Dexi5A01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVASPAGFGRHGGVQQHVVREKFEEVDTLSRTGSHGHHHGHHGHHGHGSGRFVVRETKVEEDINTCTGEFHERKETFVVRSD >Dexi5B01G0029720.1:cds pep primary_assembly:Fonio_CM05836:5B:30879751:30880416:1 gene:Dexi5B01G0029720 transcript:Dexi5B01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFFSAAKRCSNGKRLLRSAAGGCCWPPSAAACGVFVRGKETSTSAPSPSSATDSKKKRWRKRRFWRKKKAKKDGAEIADLMNNISATSDVSKNVNAAAELSRGGNQNNMPSRALTFRQLDAATNGFRERNLLGEGGFGRVYKGRLDDTKEVIAVKQLDKDGFQGNREFLVEVLMLSLLHHPNL >Dexi5B01G0026340.1:cds pep primary_assembly:Fonio_CM05836:5B:28122553:28129660:-1 gene:Dexi5B01G0026340 transcript:Dexi5B01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGMAEKVGMTSVMAEDEEGETSSESSSEPDEDLLKTEDEEEMEDEETDEEAAAAAEAKKEAAAARWNDGLGKLNRPEDLLISPHLRRKETYLAVADQLGNGGVILVLFEMPSGFAVFRYDGIKLLLPDARQNIWADFVHDFTAKHVIHLLDIGTFEDKGRAINEDTGVSEALGKMILGCHKPGQKIAVGNMVYKRIIESNMDIVCLCCPAVDELMWGLKISLRNFVPTERSGLTNEDRLPMSQGMRSFLNMHNFDIEPDMMVVKDGSAGGQRYTADFVKKPETFAKNDESSSYIFDDTDTSANMKTNSVSQPTYDDLSNKPFSIGENRLLEKPSKDSGENLDKRKVQLENNEFKLNPNAKSFIPSASLRPLHPPLSYYYPNNMPAAPLGPGLQAGLRYWQQMMVG >Dexi1B01G0029930.1:cds pep primary_assembly:Fonio_CM05836:1B:34043018:34047057:-1 gene:Dexi1B01G0029930 transcript:Dexi1B01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVALVVALANLIPLDDAVDELDSYMYQTVGHQIVVCYAKCMGLPLFRRRIRGSTRQASISVDIAALIRILSRLYNFISSTIAVLRDQGLKYNVTAGDEVEDMFALLSEVKRQIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWQQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLRMNESYGINVCGEGGEYETLTLDCPLFRNARIILDDYEVILHSADSIASVGILHPRAFHLEHKPDSSDRSGDGSVTQEISSCLYEVDEDNTHTVAEEKQTLSPAVDAYTNIDLCISKTGKNLRSIGCWIQDRSIASEGLKTDLIAVLSRIDKQLKEEGLDWVNVLYVHLYISNMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQFGLGKAYVEVLVSNELKKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGPTAELEFALQNCEAVANAFSCSIYSSAIHFLLYCSTQLTSADKEEVEKTLQSSYITRLNCSKTGSYPTVLYVFAPDLPKGAHVEIKPILYVPTNDDGVATREVETGTTQPAPSQAWTAQYSGLHDSCCQIHTIDGRICSAVVSVTNDIASKIFSTAGQLCHTDENLKVMARFCVFQIAKILADNIFSWDCIMMLRFYYSVGHSVAADALSLAFLEAFAELAEDNNFSRIDETPVYNIVPVSGSGGSACMNDIITCELLASKTSLDMHPNSLL >Dexi2B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:2B:30753457:30754852:-1 gene:Dexi2B01G0020740 transcript:Dexi2B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAVLVPGLALLLVLLLAPPQPAHAAGGGRCVGETFSANRAYASCTDLPRLGASVHWTYDRASGDLSVAFVAAPAAPGGWVAWAINPSGDGMAGAQALVAGLFSDAGGWEVRTYNISGYALGEPGPIAFPASDLAAELGADGRARVYGRLKLGNSGANGGVLNQVWQVGASVTGGTPAPHAMGADNLAAKDKLDLLRGTTSAAGADSATQKRNIHGILNVVSWGVLLPMGAIFARYLKTFQSADPAWFYLHVTCQLIGYGVGVSGWATGINLGNESQGVTYTDHRNIGIAVFALGTLQILALFLRPKKEHKYRAYWNMYHHSVGYTVIVLGVVNIFKGMSILGVEQKWRTAYIAAVCVLVVAAAALEAITWGVVVRRRKAEGKAYNGAANGHHLPH >Dexi2A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:2A:2940209:2940466:1 gene:Dexi2A01G0003330 transcript:Dexi2A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRCHEVTSNLKKCMDAHADYYAPVLQAEQVVNERAEAAAAAAAAKGEPASDAEKKEEAVPQQSDSPLPAAGEGKKEEAVAEKV >Dexi2A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:2A:2115648:2116983:-1 gene:Dexi2A01G0002620 transcript:Dexi2A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISSPRTRRTYVERIRSYPKLGKPSAGADGRMFPEVDFVGGNVVTIAQAQNLISAGADGLRVGMGSGSICTTQEVCVQATAVYKVASYAKDHDVPIIADGGISNSGHIVKALTLGASTVMMGSFILGWQS >Dexi5A01G0038610.1:cds pep primary_assembly:Fonio_CM05836:5A:39550575:39551628:1 gene:Dexi5A01G0038610 transcript:Dexi5A01G0038610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHDDAQEGTAVPVMTTPHAHVAGIVSSLPLETRWRPFTLRCYAGFWLVEFMLKAGFPVIHSVFNPRPTDVLLASFPKSGTTWLKALSFAALRCSDHPPLAVDHPLRHRSPHDCVRFIEAEFDTGDEFEALPSPRLLATHLPYTLLPEAITASAGCRVVYICRPGPHPRMPCPAGPQWQHALRYWEESVKRPDKVLFLKYEEMLLDPERHLRKLAEFMGCGFSDEEEGSGVNESFLRKGVAGDWSNHMTPEMAERLDKIVEDALQGSGLTFESSSSSEIPSSW >Dexi3B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:3B:9253152:9255153:-1 gene:Dexi3B01G0013020 transcript:Dexi3B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALTDSFWTSELTSLSCKQQWAVLIKKHAEVVVGHEVVLLESEKHCWRRPSQEFWRDWDRPFLAEAWKAHNCFRMSIMFRHCLPKLA >Dexi9B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:9B:2058471:2058728:1 gene:Dexi9B01G0003600 transcript:Dexi9B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNHQIFTEGLLLAAKGEDHFDHVPDSVVSRRFNALVVDDVCLRIDCVIPAGGADGGSDAPGLGQHHSLMHSPRGAAPHLRLSL >Dexi5B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:5B:9844628:9845941:-1 gene:Dexi5B01G0013670 transcript:Dexi5B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSYIFMLLI >Dexi1A01G0027340.1:cds pep primary_assembly:Fonio_CM05836:1A:33160138:33162359:1 gene:Dexi1A01G0027340 transcript:Dexi1A01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLSGAGVAAAAFAAKGRASIEALRLPATVAVGEARPARRSFRGLVVKAATVVAPKYTTLKPLADRVLVKIKSSEEKTTGGILLPTTAQSKPQGGEVVAVGEGRTIGDKKVDISIQVGAQVVYSKYAGTEVELNNENHLVLKEDDIIGILETDDVKDMKPLNDRVLIKVAEADDETPGGLLLTQTTKEKPSIGTVVAVGPGPLDEEGNRNPLVVSAGSTVLYSKYAGSEFKGTDGTNYIVLRVSDLMAVLS >Dexi2B01G0024660.1:cds pep primary_assembly:Fonio_CM05836:2B:34035228:34038587:1 gene:Dexi2B01G0024660 transcript:Dexi2B01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNARGDSSLLPSQSFPSAPPPHAVAMVTAAATLPLLRPSLPNPATLQLLILPPPTQLHPKTHPHPLPLVFLLPRRRRGGPITAFPSTASLSTSGSASTSYDAREAEAAVAELLRESGASPADAAAIAARAPAYAAMLADGVRELDELGLWASWSSGAGALVGCGGAVEMDIGRLGFRRKVYLMGRSRRDRGVVPLLESVGVRLSSAKLIAPYVAAAGLPVLIDRVKFLKEMLFSSSDYATITGRNAKRMMTHLSIPADDALQSTLSFFEKMEARYGGVGMLGHGDVSFLYLIESFPMLLLCSEDNHLKPLIDFLEYIGIPNPRIASVLLLFPTIILSDIENGIKPTIYEWEKISSTVPGIAVKSWPHILGCSTKSMNSILEMFNDLGISKNMVVPVITSSPQLLLRKPNEFLQVCNHYYSLIRILKLCLIVCFLTEMGFDKETVGKILCRSPEIFASNVDSTLRKKIDFLVDFGVSKHHLPRIIRKYPEILLLDINHTLLPRYVCNFM >Dexi5A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:5A:1793586:1797973:1 gene:Dexi5A01G0002620 transcript:Dexi5A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVDQSLLDLLPRIHALFSDPLRVISYKWLSRNFSVSSNDAKRLLQEFVNKNGADLQVIYSLSGWLKNNPQNYGVKLTSGPKLEAVCYLLSLIIIFMLRRFCGVLNSFVKRTSSGKHVSSLPPKPTNSTAAATMSKPSPKLGAGNKSEKDNSMVVDKASNAPVVKEPSIAVDASKNKAQNGKALPSNGGSLANMWGRASAKPKPPTAPNSTAIASVAATADAQICAKEEAGADSSDDEQGIKYKRGSSNANNRKRRALLDFSDDEEDDNIVSIASPEPPKQHASDPVTETAEDTEANQKNLENKDDIPNNVKDCSRGLDSELTSECKTKSVNTTNHSGITLKEKSSDPPVNKNKLNSTAEPASTSPKRRKVLKTRIDERGREVTEVVWEGEASDKTEKNVTATAASRAAPPSKPQPAANTDKRPAPSKAAGNKKPAKAGAKQGSIMSFFKKTSGVAPQLPEISSSSGSGTRTTTGDSLVR >Dexi4B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:4B:21119748:21129014:1 gene:Dexi4B01G0018850 transcript:Dexi4B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATACRRAAVSSYRLLGPPPEFFHVAAARTATATVGNNADAPPDKYKHLVDATFYTPPPPPPDTTISPPPDTTTSPPATKTRTENNSPTFSSSGDPCLDFFFHVVPDTPASSVASRLAAAWAAEPLTALRLACNLRGVRGTGKSDREGFYAAALWIHGHHPSTLALNARAVAQFGYLKDLPEILHRIIHGGVPTTGPRRLTASSTSSSSSSHVSDSDIDQWSEVDSDDDDESQAKESDGDGEGTKAEEEGGAAAGEEEEEQKRKAEEVSMERQRRRAVAAVRALHRYSHDENYRFLYDSTAEVFAELLADDMKKLADGKLNELSLAAKWCPSIDCSYDRSTLLCEAIAGRLFPKGSSPELPVDLKDRYYAYRVRELLRKEALTPLRAALKLPEVFISNRAWASVVYTRVASVAMQNYRELFLEHDKERFEKYLEDVKAGKAKIAAGALLPHQIIASIDDEGLADLQWERMISDLKELGKLSNCMAICDVSGSMHGEPMDVSVALGLLISELSDEPWRHRLITFSRRPELHLIRGETLMEKTDIIRRMQWNLNTDFQAVFDKLLGVAVEGKLPPEKMVRKLFVFSDMEFDQASSKPWETDYEAITRKFTEAGYGEAIPEIVFWNLRDSKSVPVTAGEKGVALVSGFSKNLVKLFLDNGGIVSPRVIMEKAISGPEYQELVPRETTTSVPRFPVYVFEIPTPLARSSITQNSDLSVIPRATSGLRHDRRLPPRGIAAYHLKTLCLASRGLPSAGGCSTRAAIHPGEPAALPTIRPDAMVNAALSDPPIQSHPLNDCGFQRWAALLPAICPDADPPVQSSIRNHRPPINRGYVKTKSSHLYYMWECSLSGHRLTTPSATGAPPPCSHPSLAPRSQQLQQQVNNRAMAGLLLYCLVTCIHVLAGNVAGARPPAMFVFGSSIVDVGNNNYLLGTGVPRANRPFNGIDFPGSIPTGRFSNGYNTADYIAKNMGFACSPPAYLSLAPTSPYGPLVPTALTNGVNYASGGAGILDPTNAGNNIPLSKQVQYFKATKAKMVAAAGPVAVNAVLSRSVFLLNAGNNDMYVFAAAELARNSDAAALYASLVSNYFAAITELYSMGARRFAIINAWLLGCVPAVRVLSPTGACSGLLNQLAGGFNDALRSLLAGDDLAQRLPGLVYSLADFFGFTQDTLTDPRASGFTDIAGACCGSGRLGGEAECFPNSTLCVDRDRHVFWDLAHPSQRAAFLAARAFYDGPAQYTTPINFMQLAQSSY >Dexi3B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:3B:13383293:13384031:1 gene:Dexi3B01G0018050 transcript:Dexi3B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQADDRTDLGNHGGRWGCIIRRAPPPAADSSPLSSDDGKWRRTEGGEERTAEAASRAAMGVMEKLKIFVVKEPVVAASCLIAGFGTRPCPLPPLWPKP >Dexi4B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:4B:2111268:2114291:-1 gene:Dexi4B01G0003110 transcript:Dexi4B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVAASAFFPGPGASPAASVKTSKNMAGELPENLSVRGIVAKPGTPSGKMQVKAQAQALPKVNGTKVNLKNAGSDIEESIPYSAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPSCVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRTAITDEQSEKLAKPGSTSDGDSAKQFIRKGLTQPKWGDLDVNQHVNNVKYIGWILESAPISILEKHELASITLDYRKECGRDSVLQSLTTVAGECSDGHPDSTIQCDHLLQLESGADIVKAHTEWRPKRAHGGEGNMGFFPAESA >Dexi4A01G0023730.1:cds pep primary_assembly:Fonio_CM05836:4A:26743609:26743955:-1 gene:Dexi4A01G0023730 transcript:Dexi4A01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGAGGGGGEAALKADAAAMRLVWRRGAVRLVLVSAIAWAMLVLLALAFHLWSCSSSVAFLSGQPSKVLAVFPHFSTHNALR >Dexi3A01G0023170.1:cds pep primary_assembly:Fonio_CM05836:3A:18749476:18751837:1 gene:Dexi3A01G0023170 transcript:Dexi3A01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRNAWSVLLILICFWACPLRISGISWNIFSSSTSSSSGTANQRAPVMELEGTVADFAMEGANDPRGLKLLENARSKIAGQKNCWQEAYRKLFASCGEIMADQEMQSRLAWHLSSCFQEDSGRPPFSSCVEGSKMVNCRKRLSDSEDKVFLEFFLQTNTICHQLQAEAFKYTTERLVNDLIRTSKSAEQKLEVIEERSDQIIKESDKVQDTLISIEMQADHLAKMSKNMSERISDVLADSKAIFEQSKEIATAQAALKEGQTEMKEKIDAGMARLKESYESLGNEMDKLTQETGYIQREVKSVGDSISSKMKDLQSTADHIGCVADKSLENQMQLLAGQSRAMEGLNNLHTFQAQALEESREIVQKLAQFGQRQQEELLARQEQIRQAHDHLMQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYSCIVFLIYMLTSAKQTFSIRGQLYFGLCITLVLEIGLIKVGADDFDKQFWVISKVFLVRMVFMGVATVQILHSLFTYRDYEMLNHRLLHTLVDKVHALEENAGERALPCDMEYSEGSLRDYSWVFDELEDEVDSKMDPSYTPPLEGVGENSITTSIGRRTRLKQGDRPIKVQAAQRSPG >Dexi9A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:9A:15488524:15493708:-1 gene:Dexi9A01G0020450 transcript:Dexi9A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPRIPLRRLLPRLQRHTPHPPVPQSRPLSYSPSSVALSATATESEEEAVVGRDAPLAPPRPGASGGVPPERGWAREGDFGENEVEHERKASIATQLRRCHELLWQRKWQEMRSPDSASTLCDILSNGFRDWHSSSILWDALANSYVRSQMIDDALYVLSKMSSLRMQISVSTYDSLMYSLRKTGMALEIFEKMESSGLSPSDYSHSILIDGLCKEDKISEALSFLQKDSNSIFCLMLKYGLTPNKYTYSTIIHGLCKVGSVREAFDIFERVTEEGMELDTVTYNSLINGFRLHGHIREIPKMIDMMKNQGIEPDLVTYTILIAGHCEGGDVKEGMKIRKDIIDQGLELNIVTYSILINALFKKGLFYDVENLLVEICSIGLDLDVVAYSILIHGYCKLGEIGRALQVCNVYDQIVMAGMSPTIVTCNSLLYGYCKIGDLHKAESYFRAIQISDLQPTTVTYTTLMDALSEAGKVHAMLSVFKEMTGKGIKANAITYSVVLKGLCKQLMFHDARNVLDDMYRQGCIMWCDRASSREVDGVHRELAPARSPLLLSSLLSSELQLGGLWSRQMRIQSVSEAG >Dexi5A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:5A:9043339:9043608:-1 gene:Dexi5A01G0012100 transcript:Dexi5A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTAGTLGPAGTVGAPRRVVFEGAAHHECPPGLPGWCWSWVDGHDMYAGLASPRPPLGLGGNKTACRGAEHPGLRRRRGHGGSFASST >Dexi7B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:7B:20162270:20164731:1 gene:Dexi7B01G0013710 transcript:Dexi7B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFGGLDSRLRLSVKAADSIMVGLVNAAMEDAYKKSLWKDGDLDRLFQKLRFAELAIMQLEWCLRFVRGEMEADGVAGADDGHDDNEQLLDDLLETRDRIQERLDEAELAVAETDRDYMRRKREELAGPSRGREAPPPSDRLSAEEEEEEDGGLAFLGLRGSVIRKMSRMRARLEDASSSLAALMEKVSGEASPMARLQEAGHEGEGVKGLSGFYSMAQLLMEFQEMVLDAGTVRDSVASSFDAIERSFTTLRAAMDEQQWLMDAEREMYSTIVEGFVREINVGSNRTSSPWEGSCPLTLHHDSDATDKSPETFLSPKDETRQLQSSRHIVAEKSESRQCYHSQEHCIRLEEAERLTEEQIDSDVRSELQCVLYTAAFSDLVTKLSVQAYDIQKLKEENDEMDVTSKLQREIYGSIFKESLKVTEGRDEVDIRSELQNEIYSIIVEDLLKEVVVHSVDHRIKTFIRDEVHAVSLTKILNAWKSTTEMAYNERFIKEEIDRILFGGLTKDLISGHNFRVTKPYGKIGPRNDLEIFSMIDNIKQFKKVNMQINAGVEGESPDSDQHEVPVKQEVLSLSANHGSQNSKGSNQKAETSADKDDVSDPVKNNIEEGLKDQRKVQMGEMDTSFSMLPDRTNKDMFILTNKFQAMLMYFEAFTCEKSGTAVLRLRDLDKQLENLAGQVASLKKSEHIYRTAFTRRCCDLQTAEAEPKALNAKMEILILGCHKPQVDLLGDEVELLLGLLSKTYKALDHYSPVLQHYLGVFF >Dexi2B01G0026410.1:cds pep primary_assembly:Fonio_CM05836:2B:35515800:35516533:-1 gene:Dexi2B01G0026410 transcript:Dexi2B01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRWRRLLEEAVGVTARHARQVTEVIGVVVRGQTRGLTVVIDVVVRREVGVVRVVERRRMVVVASAAAAAGKGGRKWVVVAAGHEDWGIAGRPEPYRWPRPNGQHGHHRRRDASGGESFRQPTWIVCP >Dexi4B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:4B:21886620:21886925:1 gene:Dexi4B01G0019710 transcript:Dexi4B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNLVFKSPVKRDSGFRTSGASRRDASRWPPANPSPNRRGRQGGTKGWPLVGRGREPPLRSPGAGLEEGESREAGVGGGPASGGDPLAEASGRVAVRSR >Dexi3B01G0035030.1:cds pep primary_assembly:Fonio_CM05836:3B:37675528:37677785:1 gene:Dexi3B01G0035030 transcript:Dexi3B01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCQEEAWFDSVSILGSDSDEDFSSVNGDFPAMPNSGGTQLMQCEDASSIADAIQKFERIFDGSSVAQAVGQYLKRDTNKIEAERPKVASPEASDVSSGKVEEARTRNEGIKILTKLRKGEDACNTLKSFKDGEKPHESIFKARTNNMIDVKFLNAGSSRKYLIRPRAGLLVPQAGEKISEGCWSVLEPSAFKLRGESFFKDKKKSPAPGCSPYTPFGVDIFMSPRKIHHIAQHIELPSMKQHEKIPPLLIVNIQMPTYPAAMFLGDSDGEGINLVLYFKLNDNFEKEISAQFHESIKRLVNDEIERVKGFPLDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHSFYVGPNYLEIDLDVHRFSFISRKGLEAFRERLKNGVIDLGLTIQAQKQEELPEHVLCSVRLNKVDFVDNGQIPTLLPCDDD >Dexi6B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:6B:4246391:4251348:-1 gene:Dexi6B01G0004990 transcript:Dexi6B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGQKKRGPRIEPFRHRVETDPKFFDKSWKKLHDAIREIYNHNASGLSFEELYRLTLPAASPRIFGSPVPSDFVPHAIGSVVLYDRGWIGLRRRTAYNMVLHKFGPKLYEKLTENMKEHLEEMRTCVEAAQGGLFLEELERKWNDHNKALTMIRDILMYMDRTYIPTNKKTPVFDLGLELWRDTIVRSPMIHGRLLDTLLELIHRERTGEVINRCLMRTTTKMLMTLGSSVYQDDFERPFLEVSASFYSGESQQFIDCYSCGDYLKLAERRLSEESERVSQYMDVKTNEKITAVVVKEMLSNHMQRLILMENSGLVNMLVEDRYEDLTRMYTLFNYVPDGLTTIRSVMMSHIKDTGKSLVTDPERLKDPVDFVQRLLNEKDKFDNIINVSFNSDKSFLNALNSSFEHFINLNNRSPEFISLFVDDKLRKGVKEANEDVLETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLISGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSQDTTQGFYASASDLADYPTISVQILTTGSWPTQPCNTCNLPPEILAVSERFRSYYLGTHNGRRLTWQTNMGNADIKTTFGNGSKHELNVSTYQMCILMLFNSSDVLTYREIEQATAIPAVDLKRCLQSLALMKGKQVLRKEPMSRDIADDDSFSVNDKFTSKLFKVKIGTVSAQKETDPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIMTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKTDRKMYRYLA >Dexi6A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:6A:20922504:20926863:1 gene:Dexi6A01G0013650 transcript:Dexi6A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEMVGAVSSPPTPEPALKLPDLAAAKAAMYLCLASLWVSCAGMAAVSFGRGLRLPEPSSSPRFSSPSSSCCSCAPWVPGAAASRQPPGPGPALRCPELAAVKALMFPCIAALWIRGATAAAAAMTHMVHPSAVDPIFLLILSSWVCILLDLLLSVVVFVLLLRAALRDSDSILEACGPSLRSLLRETTLVRCAAAQILDVLSVVGCFVAMELSMVPRSLAGWIGALSIDVVVVISAAICCFDILPVSL >Dexi9B01G0047490.1:cds pep primary_assembly:Fonio_CM05836:9B:46552044:46553871:1 gene:Dexi9B01G0047490 transcript:Dexi9B01G0047490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPSSAHNSPHWSTNSGAPVWSNDHSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRSPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGIKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDVGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWRPTCGVKSLLEDEAVTVGGTNHSHATKDLYDAIAAGNFPEWTFYIQTIDPDHEDRFDFDPLDVTKTWPEELVPMQPVGRMVLNRNIDNFFTENEQLAFCPGIVVPGIYYSDDKLLQTRVFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDSAKNAPRYPIPSVPLAGRREKTVIRKENNFKQPGERYRAMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLATRLSAKPSM >Dexi2A01G0024340.1:cds pep primary_assembly:Fonio_CM05836:2A:36056696:36067716:1 gene:Dexi2A01G0024340 transcript:Dexi2A01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSQRGRGSIHVQECQTQPRPASQAVASQPASEPTTPMPPEPKDSVRPSARCAPALTPRAPHRHSTTGAREGNPPQNRREYSGRARRDATAVAPRGLRSLQAAASSRAGKARQARTRARPTRPTPQKNQNQITSPLPSPPPPPIPPISNPAEKAGRKAGHSRPVRPPVHRTAPPRARRRPAIPGSFVVAVGRAEMDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMTNQLDNSIGDLSWLLRVSSSANDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLKLVKEGRLEGQDNAALAIGLLGRDPECVEQMVQAGACLAFAKVLKEGPMKVQAMVAWAVSELAANHPKCQDAFAQHNVIRLLVGHLAFETVQEHSKYAVTSKMSIHSVLMDKKNSTGSADLLDAGEHGVMKYPTGHGSQSKNEMHSLVQSTMAAKSNGGSGKHNIASNAGGVVATKQHNVSLSGTSTRGREYEDPETKAYMKANAAKALWQLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICYVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIMSLGCLSRTFRATETRIIGPLVKLLDEREADVSREAANALTKFACTDNYLHVDHSKAIINAGSSPRRLPAPARQRLRVPGLPGLWPVGRTGGGGEDDGEVSARVVGGRTAEAPGRRRSRGRWRRRGRGCDKEGMRQRLGGELGALTEILHRESRFAARPGKDDEFADRFWLTTDANHVDAPDWGLLHRTLSQPRIKIQGQYARAQRRHETALSNAALQLIWLAPRRSGVQDNLLTASLRPLHAPPSITSSHVQASPFLAFCNGDSSSAALHVSPPHLTLRYGGNALASFMHAPRGDAGALSTTFTLTNSCGYTVWPGLLSSAGSPPLSTTGFELAPGDSRSVDAPAAWSGRIWGRTRCAADPASGSFSCATGECGSGAVECSGGGAAPPTTLAEFTLNGAGGNDFYDVSLVDGSNLPMVVVPQGGSGGGASCGATGCLVDLNGPCPDDLKVVGPDGAGIACKSACGAYGRPQDCCSGDYATPATCQPSASSQFFKNACPRAYSYAYDDATSTFTCASGVASYLITFCPSMSSSTGGGATNPSSGSGAGLPLINDTVSFAGRGDGYSPYPYASASAPSLPAAACPLALAAAAFTWLCAVAPRHRLMRWLVPRTGQRPRRAAFAFARHRPSMRMKGRKAARHGSPSWSRVAAHAPAMLQAPNPGYAVHKLYVRTWRGPTHTDATPLRGEEKGAPARRRSSRAGQREIEKKPSKIEEGPIGKHKSKRKEDDDERCRPPLSAPSSRAPATPAHRRTSHAAGSASRGRKSSSRGSCSPRRVAPPLLAAPPRLRSSPRRAAPSQLAARLAAPEVHLLLHRRRIEEGPSHLLHLGSAASDAEQGGGPFDLPLLASADGGGVGRRNVERREGGRRWPGCSLASSFAEREELLRQLPRRAGCGGTGERRAGGGGAGERRGMRWLVVGLMTSWNEAAGRR >Dexi2A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:2A:31674592:31675407:-1 gene:Dexi2A01G0019510 transcript:Dexi2A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGEVVYDCGYFRLYKDGHAERARAAHDVAPAGFDAETGVTSRDVVIDAAIGVAARLYLPPVVHAAAASSATAAKKLPVLVFFHGGYFIVGSPSHPLFHRYVNSLATTARAIAVSVRYRLAPEDPLPAAYDDSWAALRWVASGEDPWLTDHGDLGRVFLSGVSAGANIAHNMAIAAGTNSLHKHTRIEGVILLHPSFAGEKKMEEEEDEFWRSNKDRWAVIFPGAAANGGLDDPRINPMAAGAPSLANLAGQRMLVSMASEDPRAPRG >Dexi3A01G0034730.1:cds pep primary_assembly:Fonio_CM05836:3A:39956233:39963645:-1 gene:Dexi3A01G0034730 transcript:Dexi3A01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESDAAEGVAVQLIDGEGEFAVEGAERFMAAVGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVLDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFDVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANEKFGCITSDTEWLDLESAVQSGPVTGFGKKLGNIVDVHLQEYDKEAVYFDEAVRKGKRQHLESRIMNVRPVVHLIFLVQPAFQKMLTHLRMKALEKFKTGLNSSLDSGKVYPYAIYESILNCLYYIPHSMKLMHQSDKHSILDAVIKQANWDCSKILEKVRHDIEEHALSIRESKLSELTNHAKEKLRKALAEPVESLFDAADQTTWASIRNTEGKILSLFPVVARFTTVFSHDKDSIPRVWTGKEDVHAIAKDARSAALKLLSVMVGIRWDDEPDGIESILTSSLLGGSVVSKIASAASADPLASTTWEEIPPMQTMITPAQCKSLWKQFKSETEFTITQAVSTQQAHKRGNSKLPPPWAIVAIAILGFNEIMVLLRNPIYLFFLFVGYLIFKALAVQLDVSREFQNGVVPGIISVSAKLLPTIQNLVNKVATEQQVQHQHQHQHPLAVEPPQPQMQPPPLLLSPRSPMSELRRLHMPPSPRKVPSSPSSSSSSAMSSPRHVAEDQKPRTVVAGHENESNRADSIV >Dexi9A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:9A:12362150:12366037:-1 gene:Dexi9A01G0017350 transcript:Dexi9A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIASGIIKWTASKLSSLVSAPIGSSSSSDEEQTSAVRDVQMLQRTMASVQRTLEAADEDSIREVSGRLRLRELQQFAYDAQDAIDEYKFELLRRRMDDPDGHREDRSTRKRKRKGDKKEPETDPVVVPVPDELANRVKRILERFKEMTKAWDDLKLDEADAPLREEEEDFVPGPTTPHVDEPTIIGRGLGRVTNIDDAQTANLISKKYLQILRLDWSAGFCDIECEHIANQNNPTSTPELDEDVFDSLKPHRNIEELESQEPDGASYDQEVLETLRDDSEDDFKVLSEDEDDDDFYDRMFEVGQSSGMAIDYNDDSDDAC >Dexi3A01G0022540.1:cds pep primary_assembly:Fonio_CM05836:3A:18160676:18161392:-1 gene:Dexi3A01G0022540 transcript:Dexi3A01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGGWDRRGGRSGRLAELPQQQPPVVSDVGCNCRGPRLLSSLISTLKAHARGVVGGKPKSSPHASSSSWSSSTTTATAFTSSVVSNTTAATSAASSAADLQSWGPATYATTTNADTLDLYDDDEVRDAEHMKRQRRRRRRRRRNRCRKGQQGRRAEAAAVAVEVEVESAPPYEDFRESMVAMVTEKEMYVWEDLNALLHQFLALNSPRHHPLILTAFADLWAPRGGLFCPPSPCLH >Dexi3A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:3A:17203330:17205766:1 gene:Dexi3A01G0021600 transcript:Dexi3A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVRWFDTVQRILSTSEPDSVETDAKAAKLRDKPSFKKIWQLGKSNPSGASTSASPPPDPNAHQPQPPPSSPRPDEQQAEETTAKAQHEETWCDVLPADVVSKAGADAAAEAAAVAGPTVTTPRAWVARSKEDIAATRIQAACRGYLARRAHLERGMARLMSLVEGFTIKRQTEGALYCMQTMTRIQTQIYSRRLKTEEDKKALKGQIKVKQSLDKIKIGEGWDHSLQSKEQTEAVLMMKQEAASRRQKALSYAFSNQWRNRNPSSARAAPAPMFMDPGNPNWGWSHTERWMAATRPWENQTTSPAPDNGRAAAAKAPGRTPRLSTISIQIPTTTTTPKGSRSFRPPNWPSLPSPSTPPPRSPSLSGKTAASPRMMSGPLHASSGLQRTKSLQPPERRPRSSHELSVSSPRRAVPPASPRRGGSPLRSSSSGGVHRATTTTSVQPERRPRSSQERAVSSSPRLGDANKEAASLRRTTSMRAELPRRLSLGSATATAAVTAGVGDEPVTPSYMQATKSVKAKARCASPSASASADTLEAASPESGGLAPLQIPSSPFSAKKRMSLAFVDKPGGASSPTTPGKAAAERVTRRHSQPPSPRMEQIKRVL >Dexi3B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:3B:3627585:3630730:1 gene:Dexi3B01G0005430 transcript:Dexi3B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDIRTDGRKRLQFRALSVETGVIPQANGSARVRLGATEIIASVKAELGKPSILHPDKGKVSIFVDCSPTAEPTFEGRGSEELSTELAVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSAANDEEPEVDVSDEEFLQFDTSSVLLSLH >Dexi4A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:4A:3204676:3207428:-1 gene:Dexi4A01G0004520 transcript:Dexi4A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALRGPVTTVRMRSPASVPANGETAGNATATMNASAETSDVDAEWRNASRFIFSGVQESKISLLGYSEMMIGSPALVVFFCSESFRKRWIMNNKVTTWVDMVEDHREDANYLNTPLEYYHEMATIFGNSLATGAYAKGANDPLAKEVTETENAPKGTTEASPTHEQETRADDITMSTNNTGESSGTKPPPAKKAKVNMEDPNMVMATMISHSLANLATAMTNVTKAITSEGEIPEGLYDAMMTIPGFDVAHLDHYYSYLCDHPPQARAFYRLPLSSKMIWVARYIKENLSDADL >Dexi9B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:9B:4953974:4956587:1 gene:Dexi9B01G0008050 transcript:Dexi9B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSESSDDASTPGARSALTNAGMRPPFGLWLYEAYDSLDPNGNITIKWDIMQWTPDGYVAVVTMFNYQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPQNAAASFQISVGLAGTTNKTVKVPKNFTLKTPGPGYTCGRAIVGRPTKFFTADGRRATQALSKLPSIELCILLAIYRLLVYLVGMRVLFFYVNVLMILVAFRSEDSPNLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVAQHPNFDNITQLFSFNYKPLTPYGGGINDTAMFWGVKFYNDLLMQAGKLGNVQSELLLRKDSQTFTFDKGWAFPRRVYFNGDNCVMPSPENYPWLPNASPLTRQPLTLPLLVFWVVLATLLAYA >Dexi3A01G0025610.1:cds pep primary_assembly:Fonio_CM05836:3A:21312460:21315519:-1 gene:Dexi3A01G0025610 transcript:Dexi3A01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARRALQEHCVGKRIVSCAAADDTKVIDGVARDRLEAALVGRTIAAARRKGKNLWLALDSPPHPTFQFGMAGAIYIKGVELSKYKRFLLFTDLLFYMDDGLEFSFTDKRRFAKIRLVDNPETVPPISELGPDALFQPMKLDDFMKSLSRKNVPIKSLLLDQVSF >Dexi2B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:2B:8686398:8687215:-1 gene:Dexi2B01G0008500 transcript:Dexi2B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLVFALEGNCGLNSPRVGQHLTVRNDWHRDKNVFESTDFSRLRSFTVFGECRPFMFDPEKIKMTYVRVLDLEDASDVTDKDLKHIVETLDVRDTSIASLPEAIMKLQKLQYVRAGMRPRLQLLHLPFWNQQKELGLLLRLQKHQGDVCHRGLCSNGSNHQLAVQVALPSAQT >Dexi5B01G0027970.1:cds pep primary_assembly:Fonio_CM05836:5B:29479344:29480116:1 gene:Dexi5B01G0027970 transcript:Dexi5B01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSLDGLVTFLTCLFPYLPNAEALAYLDATGADALAAARLIVRRRGLWGFDIRAATTVDAVETALRYAAVAAKHPDPQLFVSRWKSISAGLAIFPSSPLYATRMIKTPKDNLELQESWALATGRLERLCPIGRELPPTRAAMKRMLLATIHGFYIKALGSLPKDELTHRYHRSLLLGGYCFGPLHPVENIIVNTIWGET >Dexi1B01G0028890.1:cds pep primary_assembly:Fonio_CM05836:1B:33325582:33326570:-1 gene:Dexi1B01G0028890 transcript:Dexi1B01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSAAAAVLASRLIRRSPRLLRRLSLSPPAALSSSSRPLAPIARQPLGHRARMGHTSAAAAAAGPALGLTKPNAIEPPQVTFSAKDIEISEWKGDILAVAVTEKDLSKDSDSKFENAVLKKLDSQLGGLLSEAAAEEDFSGKAGQSVVLRLAGQGFKRVGLIGLGQNAPSTAGASRGLGESVASVAKSAQASSVAIVIASPSGIQEEFKLTAAAAIASGT >Dexi2A01G0033420.1:cds pep primary_assembly:Fonio_CM05836:2A:43623471:43624655:-1 gene:Dexi2A01G0033420 transcript:Dexi2A01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISHLRAALSRIVRSQSRIPTSSHAHPLVSPHRILGSSAAAAAFSSSSFAVEDYLVSRCGLTKAQALKAAAKLPHLRFRAKPEAVLAYLENTLGVPHAGIARAIVMDPTLLCCNVEKTLVPRVAELHEVGLSRDEIARLVPLAPKSLRSSFLRSNLEFWLGELGSFDKLLLVLRRCSSLLTADLDKIARPNVALLRECGMNISKVAATDRYSSMLFIINPRYLKDSVQRVEEMGIHRAAGVFRYALALFAFTDKEVIAMRIQLLHTLGFSKDDVLAIVRKQPAVLGLLEKKVRANLNFLMKDVGLEASYIVQRPVLLLYSVEQRLLPRHCLLKVLKEKGLLKGELSYYFTAAMAEKDFVRKFVLPFKNHVPAIIDDYASKCLKKATDGIGLPK >Dexi8A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:8A:24363703:24366537:-1 gene:Dexi8A01G0014050 transcript:Dexi8A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRALQGRDDEAWLPLPGGATTAAHDASDNDLHGHSPGRRHGQGSGGGLNGGTCRAAAAALLSGGRGTWRSGRVTRRLAARGRGPVDAAAAATKATVWGRRWCSSQCLWPLGDEEDGLLPQRSYVVFANKAMAARFFLCLAAALVFCCLALLVPPVQGRLGIGLAGSRTSGGSDEVGGTTVKATPAWSSPWTVAGSVRPELRSVPGGPDPLHHHGSPWRPELDPTTP >Dexi4A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:4A:19870586:19871228:1 gene:Dexi4A01G0016270 transcript:Dexi4A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIIQRLFLFDGPDLPPFPSVVSALQSSLAATLAVYLPLAGVLSFRPDSGDVVIDLSPAAISSSQGVKFVEAEFAGSAEAMRRLARDDEHDTGAFVRLVPELEAARLPAPVLAVGVSIRHAVADGHAVWQFLRAWSTASREGPGSLNEPGFVQPTFDRTGIIRCTRSAEVSRAVLSMVSPALPLCTYVLNHRLVLV >Dexi7A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:7A:29314119:29316002:1 gene:Dexi7A01G0020550 transcript:Dexi7A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAVASVIAAVGVVAVIGTIAAVTASKKGADDGGNGLSAGVKLSTVCSSTLYPEKCEQSLKPVLNDTSNPEDVLRAALNVALDEVAAAFERSAHIGKDAKDNLTKNAMDVCKKLLDDATEDLRDMARVKPVEVVGHVKDLRTWLSGVMTYIYTCADGFEEPKLKEAMDKVLQNSTELSSNALAIITRLGDILKEQEGKSNPGGGHGRRLLSFSLHGDPETHMPDPYHRVLTTDLVGTFDEIADGRNGVPPGDVPEWMPANQRRLLLQAGGAQKPNAVVAQDGSGAFKTINDAINAVPKTYAGRYVIYVKAGTYKEYVTIPKNMPNVFMYGDGPTKTVGHQAVAMHVQGDMSVFFNCRFLGYQDTLYVHANRQFFRNCEVLGTVDYIFGNSAALFQNCLMTVRKPGASQSNMVTAQGRTDPNMPTGIVLQGCRIVPEQALFPDRLTIPSYLGRPWKEYARTVIMETTIGDLIKPEGWSEWMGDIGLKTLYYAEYGNTGPGAGTSKRVNWPGYRGVIGQAEATQFTAGVFIDGMTWLKATGTPNVMGFTH >Dexi4A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:4A:1551735:1554659:-1 gene:Dexi4A01G0002250 transcript:Dexi4A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLRSWSLFPVRSEKLVAAVAESASALTLQEEESITAIPGTIPHITIDCSSSASPFNLDKFVAGTAESSSSQTLQERDVLSSSELHDDASVEETIIAGPQTVPHDTIDGSSSTSPSRFQKLVEGFVGTTQTSQEHCSYLEELLDAVLEGSMGARLRSVLQDTTCSSPTRASLRSSNLSRSPPNWYEVFYIRMDHEGSFYMYPDLGGPFPCIDEADIAIKRYLDELQSRARNNGSPGMKHPKSTDAYTGGHLDGYFPFDFVELSSSEDEEDQDTRLRHMFEGLDDPHVWDYLFPSSRSVEVEDINF >Dexi9A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:9A:16499003:16502203:-1 gene:Dexi9A01G0021640 transcript:Dexi9A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLRHLDPAAAPSPAPSAVSASACAAGDSAAYHRRAAFADDVVIVAIVFSSFLLLLSVTYERNFDKLHTLSVSSAYRTAICKAKRGGFKDTFAEDLLVPVFKALVDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLKTVNRQCSSGLQAVADVAAAIKAGLYDIGIAAGLESMTVNQVRIDGQVNPKVELFSQARDCLLPMGLTSENVAHRFGITRLEQDQAAVESHRKAAAAAAAGKFKEEIVPVHTKIVDPKTGEEKEIVISADDGIRAGTSLAILSKLKPAFSKDGSTTAGNASQVSDGAGAVLLMRRDVAMRKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKAAGLQISDIDLFEINEAFASQYVYCCKKLELDPTKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDSRFGVISMCIGSGMGAAAVFERGDGVDELTNARGIPSHNLLSKDVM >Dexi3B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:3B:14065063:14065930:-1 gene:Dexi3B01G0018960 transcript:Dexi3B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRSLDVGERSGYLRGVVTDIVHDPGRGAPLARVTFRHPYRYRQQKELFLAAEGMYTGQSVYCGRRANLSIGNVLPLGTLPEGTVVCNVESRVGDRGALARCSGDYAIVISHNTDNDTTRVKLPSGAKKLLQSNCRAMVGQIAGGGRTEKPLLKAGNAYHKYRVKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGSKTGQVAARRTGRRRGQAAVAASKSMF >Dexi5B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:5B:5987297:5991468:-1 gene:Dexi5B01G0008860 transcript:Dexi5B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRFACVLAVVAMVLQAAAAVYGGDVQSGGGALLNPRGLEKFVDELPDMPRLRGYGVTDGGALVAGNLTVGMYDTTWKFHRDLPATRVFAYGTSRETATVPGPTIEAMRGVPTHVTWVNHLPRRHFLPWDPTLTTAGEADAGVPTVVHLHGGVQHSTSDGHSLAWFTAGHAATGPAFSSPPYTYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPGEETPLNLPSGEVLDRNLVLFDRDFLAGDGSLFMNRTGNNPDVHPQWQPEYFGSVVVVNGKAWPFLRVRRRRYRLRILNASNARFFRLSLSHGLRFVHVASDSVYLARPVTTKNFLLAPSEIADVVVDFAATAAGEAVMSDDAPAPYPGDPGDKTETIAVMKFLIGEEDDATEEPDTSAVPARLMRHYPRLDVREAATTRRITMYEYTKNGTDEPTHLYLNARSYMDPVTETPREGTSEVWEVINLTDDNHPLHVHLALFAVLEQRSLRRVDEFRDCMVRRNDARACGVGRHLAGGRRHAVPRQERGWKNVFKVRPGAVTRMLVRFKPLMPDDAASTEESRRYPFDVTTGPGYVYHCHILDHEDNEMMRPMKIVR >DexiUA01G0026830.1:cds pep primary_assembly:Fonio_CM05836:UA:57346898:57347964:1 gene:DexiUA01G0026830 transcript:DexiUA01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLRVINVALFSEVYFKISGHNFTVVAADANYVNPYTTDVISIAPGETFDALVVADAPHGSYNMVAVAQQVPKPEQQLPYFVTTGTLQYKQNDCGHGNVQESLASVALVVPDQMPDQHDTMTTYYFHGNLTSLRHRWQQQVPVLTDEIFFITLSDGTICRHGRQSCKRSGSNESLLVVAMNNVSFQLPSSLEAPLLEAHYYHYNDSDGVKLFMLPNSPPREFNYTDFSLVSSSVQLEATEKRMVGRRFRHGAVVDLVFQNTALMQTGSHPMHLHGHDMFVLAQGHGNYDAAKDVARYNLMDPPLKNTVIAPRLGWVAVRFIADNPDPV >Dexi2B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:2B:12824623:12827285:1 gene:Dexi2B01G0011220 transcript:Dexi2B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRRTVRRVAEAALSLRSVPPVGTGHPARFLGGVSEASVDPTAVVEAGAVVHSGAVLAKEVVVGSGAVVGPSVSIGQSTRIGYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVDEDGQVKKKPQMLYARIGDHVEIGANTCVDRGSWRETMIGDHTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIVSKVRLAANSSVTKDIQKSGDYGGFPAVPINEWRRQTANLRLFSKKDGLKR >Dexi7B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:7B:25025938:25033210:-1 gene:Dexi7B01G0019590 transcript:Dexi7B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRLRGFLFLLVLLLLSSWRAAAQPPPPPQTDPVEAAAVNAILSKLGLSAPASWNISGNPCSGAATDDTQLDDNPAFNPAIKCDCSDQNNTICHVTRLPQNGGEAAGRRSPPPSLGAYQCSSTAQSLGTVGINALSGPIPKELGNLTNLLSLALGSNNFNGTLPDELGKLTKLRQIYIDSNDFSGPLPSTLSQLKNLSVLVLRNSKISDTLASVDFSRFGNLSLLDLSFNNITGQIPQSILNLPSLSYLFLGNNSLSGAASFAVDCGGSRTISGADNSMYQADNASLGAASYYVAARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDMESWRSRGRRVFDIYVQGERKEQNFDIRKTAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKELYAIVGRPNVFSYSELRSATENFCSSNLLGEGGYGSVYKGKLSDGRVVAVKQLSQSSNQGKTQFAAEIETISRVQHRNLVRLYGCCLESKTPLLVYEYLENGSLDHALFGKGGLNLDWSTRFEICLGIARGIAYLHEESTIRIVHRDIKASNVLLDSDLNPKISDFGLAKLYDDNKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALEIVAGESNYQNTFEEDTTYIFERVWELYENGRPLDFVDPKLTEYNGDEVLRVIRVALSCTQGAPHKRPSMSKVVSMLTGDADMGEEVAKPSYITEWQVKVVGTGSFTSSQVGSGSTTQPSTSSVDVGGVQANLESGDATPVLPSPLFTSIIDEGR >Dexi1B01G0026180.1:cds pep primary_assembly:Fonio_CM05836:1B:31079754:31081374:1 gene:Dexi1B01G0026180 transcript:Dexi1B01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRSKTKLCYLASGFIQQMKSSSELASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPPKKPLEKTIPPNDFQKDQFNSAESALTLLGITSIIQHK >Dexi4B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:4B:20646810:20648335:1 gene:Dexi4B01G0018300 transcript:Dexi4B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGELLASVVLKMVCGKLAKITLNATLNEISLQLKFSKDLESIKGKLLSIQSVLKDVERQSSKQESVRDWLKKLKAVAYDLEDMLLLSPGPQPTRVRIEELLERLKMPRKIKTMRKTIEEIVDNRKIFNLSEDVSIDDGEMIKKRETISLTSEVTVGRMWLECSPQ >Dexi2A01G0036200.1:cds pep primary_assembly:Fonio_CM05836:2A:45795912:45797621:1 gene:Dexi2A01G0036200 transcript:Dexi2A01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPTVTLRHASAAAWGTVRTRHAVDARRDAPTRVAFRRGCSRGDLVRAAGLGRFFGAGDQGSSKSHEVTRSQPQVDDLAPARLYVGLPIDAVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYKAVADMVRAEGLSLRVSLRAHGTPGAGVPTLPSWVTGVAVDDPDIFFTDRSGGRHDGCLSFAIDELPVLHGKSPLQLYEAFFRGFANEFEDFFDDSTITDVTVGLGVHGVLRYPSYPPGSDARKFTGVGEFQCYDKYMVSQLRRHAEEAGHAMWGLSGPHDAPRYHESPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGMASAVFAGKPVELSAKIPFMHWWHGELSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMIVPGMDVCMNKQLHSAGSSPDQLLAQIKNACRRHGARIAGENASLAMTHSSSFSRIRSNILTTELMRPCHFTYQRMCADFFSPDHFPQFLEFVRSVVCGEWDEDDEEQRGMMAGSNGNAREATKAA >Dexi1B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:1B:3318447:3319267:-1 gene:Dexi1B01G0004170 transcript:Dexi1B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDEGRLAAARLKLRAAVDKSRALGHELARAGPRLEEIQVKLRSLEVPVRAIRAPRAEVAAAGAHINHTVSPAIAVLKVFDAVHGLEPRLLAPGAARRDLPGYLAVLSQLEEARRFLAGNCGLAAQWLADIRQYLGDRDLAGPCFLANLGLTLNGLRAPTATGDLDGGLLSAALDILEAEFRRLLADHSAPVRMPKTAAAAALSAPPRVPAVTVQKLSLILDRLVSNGRKDSCVAAYIDARGTAVSVSLGALSFHYLRDPGVGACP >Dexi8A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:8A:53325:53813:-1 gene:Dexi8A01G0000070 transcript:Dexi8A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSAFSYTCAKLLHSWISDGIGAERRGVYMVIVSRLLSLPCRCQVGQAGRLGHCRWQPRQTEQRQDCILVAAAGHRLEVVGPSRPYRHSRLMPSTRQGQRWPEPEAAKPTRTKGKNGSPLAEGSGAASPEGSGDNEDSMLVGESRGGGGVKWISTEQTAL >Dexi4B01G0016120.1:cds pep primary_assembly:Fonio_CM05836:4B:18122422:18122988:1 gene:Dexi4B01G0016120 transcript:Dexi4B01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTRISRGRTVAEVGVPASSARQRREVRGASGICRVSLSRWRATKGWRGSSEPWVFRMAKISAMEGRRQRGALVMLRRVKPGRGFDDLAAGEVFDDTCEVNPRSEGTMRSGSGRTIGTGRVGVARMRELTCGERYTITRTRALAAPASPSTESPRLRWRRLRKGECGGQRGRWKTGEAGRRGFRCL >Dexi9A01G0044080.1:cds pep primary_assembly:Fonio_CM05836:9A:47697480:47701288:-1 gene:Dexi9A01G0044080 transcript:Dexi9A01G0044080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWAPLTARRCRRVLLHLFLVLAAARVGSSTSSDECPFIYDHVVNAQGAIQIPVFHKNHPCLHLKSNASVDTSAVDVIKDSSINNFGFLMPIKVGTPPIINLVAIDTGSTLSWIQCQPCKPYCHKQDTKAGQIFDPRNSTTFRTARCKSRECLDIKDDLGLDFANCMEKQDTCLYSMTYGSAWTYTAGEVVWDNIIIGSEQDTVINSILLFGCSQDIEYPYYEAGIFGFGTSIFSFFEQISELINYKAFSYCLPSDETVKGYMILGDYNRQGVDGYTPLFASERTPTYSLAMKEIVVNDQSLVGTETYSDMIVDSGSFWTVLFPDTFNRLDEVITQAMAPLNFSRDNTRGPSYICFISQADHLNFNGKHTVFNDWSTLPTMKITFAGGLVTPPLQPKNLFHNDPKYGLCMNFMKTGAITFQILGNRVTGSFGTIFDIPRKRFGFRFGDC >Dexi4A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:4A:7289362:7293561:-1 gene:Dexi4A01G0009250 transcript:Dexi4A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARRLFDGIPPPGPDLFMHNAMLRGYAHAGAPHATFAVYRHMETAGLRPDGFTFCYLLRACCAGMGLLACSSAGWQVHAVVVKIGFLEDAFVRNALINMHAKCGDLGVAGMLLGEASEQDIVPWSAVIAGHAARGNLDVARQMFDQCRHKDIVCWNVMLGAYVKHGEMEKARELFDCAPEKDVVSWNTIITGYSMQGMLDQALEAFDEMRSAGWVPDDATIVSLLSCCASAATPDWSKVVRGLLDEAFAIVSGMRRPSAVVWRTLLGACRTHGNVALGKIAQERLLSLSGDESGDYVLLSGIYSSYGEWSKVETAAAHLDLAPPLILVGAGVAPLGAPPIPNSRRP >Dexi7B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:7B:6726856:6727968:-1 gene:Dexi7B01G0002950 transcript:Dexi7B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLRPSSSESSGYGYGARRARSVPSSPDRKFGTSASSSAASGSPYVQRPSLSSAGRSVSSRTMGSSIHCSRVQAFPGAASKPTLSRVKSDKVSTSSQRPPALSLPPSNSFKDTTKTEGKASPSTLLRSKPSQRPIADSCKAVASPKLSSQRVTSPGTARGDRVQPASTARSPGPAAKKRLDAVNGATASSKAKSVSQKAMGSSASRKEIDKDPSVQFKETESINTPSIEKHLHEELPDPVDLKSMNVAVPDQHEPSSTQPEQVKAVGESKQGSLEEKIDAGANEMHNGGQDANGSVKTICECGLVEKDAADRSVDKAVPRAEGSQVWRKDDPKGNDMIEETKSKLLEERKSRVKALVGAFETVLSFKE >Dexi3B01G0022340.1:cds pep primary_assembly:Fonio_CM05836:3B:17160378:17161297:1 gene:Dexi3B01G0022340 transcript:Dexi3B01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCGGELNQEGEEIGLVTVLPAHLLLLALALVIAAWPRPPADALGRCPCLLLAPPPPQLAARPAATSTCARPPPSPAPALGRRPSLLLARSLACARPPPQPAARPVTHPRLRPAVAGGAGPPPARPVASRCASSAAEDGACDGVEVVAHPSKRGSMWVRPLPTHIDPLSKHMVDDMAVSTTSERSAVHIETRGALGRITRPDVRRRGLASDGVSL >Dexi7A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:7A:20453560:20455351:-1 gene:Dexi7A01G0009450 transcript:Dexi7A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELALAALATLLASLLALVFSHFLPLLLNPKAPRGSFGWPLIGETLKFLTPHASNTLGGFLEDHCSRYGRVFKSHLFCTPTVVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGAWRNQQADGKQEDGGGRVVKVVGFCEEARKVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSPGSCKKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAEDMDLVKI >Dexi9A01G0027120.1:cds pep primary_assembly:Fonio_CM05836:9A:31475172:31481931:1 gene:Dexi9A01G0027120 transcript:Dexi9A01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRKMADKENPCRSKCSGTQALLLSSFTSLPEASNGEEIGDQLEEASKEATPLMSPHYQWDCSKPEIVHPQMFGTCESVTLSEQEMLTGCRLANHALQDCEAYTCNGSVDIRGNPASKNHTGKWRACYSILGGEFCGALAYYGVGTNLGMILLTLSAIVPASFHTVLVTSPQDSLLFLGLFLTALGLGGIWPCVPTFGADQFDDTDACEKAEKEIYYNWYYFAVNGGFFFASTILVWVQDNCGWALGFGIPTLFSVVGIVGFLASMKLYRYQKPGGSALTRICQVVVAATRKITVDAPNDSSLLYELPGKESAIVGSRKLMHTDGLRFLNTMFCPSTEAFFPLFIEQGGVMDNHIANFSIPPASLTTFNCLCILIMAPAYNKVLMPMRDVVLASLAAARRAAVSVRHCAGVQQHIADSEERWSAPLCAGSSALLVPGRREEMAFTGDTSYGFGMEVGDGAPVAECSLAVISKDDTPAESAFHHSVVFPCSQQGMVLLTLSAVIPSNMHTVVIPHEFLPSMGLFLTALGLGGMWPCVPTFGADQFDDTDGTEKLQKQLYYNWYYFAVNGGFFVASTLLVWVQDNCGWGWGFGIPTLFSAAGIAGFLACMKLYRYQKPGGSALTRICQVVVAATRKLHVDVPDDSSLLYEMSGKESAIVGSRKLMHTDGL >Dexi3B01G0028300.1:cds pep primary_assembly:Fonio_CM05836:3B:24386912:24388591:1 gene:Dexi3B01G0028300 transcript:Dexi3B01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIIPGASSGEHAAATKVGRLNAAVERSWVGRRFRLAARGTTFTTELRAGTTTFLTMAYILAVNASILSDSGATCSVDDCDSPSPGCKFPPVDPGYAACLARVRRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLLISVVGLRSKLAQLIPKPVRISASAGIGLFLAFIGLQSNEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLALVGFLIIAFCLIKNVKGAMIYGILFVTFVSWPRHTAVTAFPDTPAGDDGFHYFKKVLDVHRIRSTAGALDFRGIGHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGEFEGQYFAFMSDASAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFTAALFITPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWDDMRQAVPAFLTLALMPLTYSIAYGLIAGIGSYILLHSWDWACEAAGKLGCRRKVGGGAERSSGGEAEQGKEMESA >Dexi7A01G0022970.1:cds pep primary_assembly:Fonio_CM05836:7A:31111933:31115876:-1 gene:Dexi7A01G0022970 transcript:Dexi7A01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAKSSSAGGAGAGASHGDLGRTISHHEQYHAFLTSLHRTSPGAMNVHQYQHQCPAGVIQAPVATMPQAPYSPQIATPPPSPFMEHHAQSQLSTGRYPDFSSYGNGNASSQYTRGFADWGRNNNALVSLAHSTTTFGGGFQQNFSSYNTHTWTATYMPGNPYSTAYGPANMNMMLQTPSFHNNITPSFHNNSNRNHEKDSGIYTHVHLTLKKENLLEFMFMHAAHDTFAVFGSGEIESDNSEEPDPTPASEIEDLNQDNGHIINVQSNAFEYFSFLLCKSVTKVNCQDYRMILRKDLTNSDVGNIGRIVLPKKDAEPNLPILEDKDGLMLEMDDFELPAVWKFKYRYWPNNKSRMYILEITGEFVKRHGLQAKDILIIYKNKKSGRYVARAVKAENIQVPECECIKAGNLSEECGFAVGPSAKKASV >Dexi5A01G0035980.1:cds pep primary_assembly:Fonio_CM05836:5A:37649786:37650404:1 gene:Dexi5A01G0035980 transcript:Dexi5A01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSRSTTSSSSGGSGSGADICAMVAEHERIEWEVRPGGMLVQKRRTPEEDAAAVEFILVRVSTGWQWHDVSIDATATFGDLKVMLSLVTGLWPREQRLLYRGKERDDDDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIQV >Dexi1B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:1B:19641821:19644465:1 gene:Dexi1B01G0013640 transcript:Dexi1B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAISAPPRPPRLALPLHPSHTSSAAPPPMRALPILRGRLRRLTTAVHAVKQDAAVWTPAPVSAVGAATADGSIFHVAVDLSDAADLADSYTSPGQYLQIRVPSGGGEELKPAFMAVASPPGAGARFEFLVKSVPRTTAERLCGLRDGDVVELGAVMGKGFPLERITPADAAQTVLIFAAGTGISTIRSLVEFGFGANERADVRLYYGARSLNTMAYQDRFKNWESAGLKVIPVLSQPDDSWKGERGYAQHAFLRAKNIVNPSSTGAVLCGQRQMQEVRTFVVSGSL >Dexi5A01G0022200.1:cds pep primary_assembly:Fonio_CM05836:5A:26123285:26130000:-1 gene:Dexi5A01G0022200 transcript:Dexi5A01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETKAGSAAQLAPTPRQLGPRPLRAPHGSRSALHLLSCSGLRVAVSRALALEMAGALFQFGGVLFLSDLVFGFKKSWKIVVEQKAAAAAFRRLVKAVFFLTKLRSCNRYEPPQLRNMKKCLPFYLIFVQEHKGANLIRIAASYDLNYDPFVLRKISYVDENVENILRMIGDENKQTENEQDDSGNPVKKSKLSSLVKGFHEDYQYLHKHYKELISKLEDTDHISSGSDSSDSDIEGDRSENDVTTPKVALNEGNGLNHRSAEDQSVEAEIEKLKQTTEVQAKEISDLKQLLDKSIQDKEAIRVELSSEVANLLSENENLKSLVETAKREEGELLNTIKSKESEVTKLSMEKQITERERDNIKMLIVDLEKEKEDLSNQLKDTVNKFDLLSSELKKAQQAEKEVQTLLEENQKLKNDSLMLSVEHDNLKSLHQNLDIECSQLKATIAETSAQNESLIAKNHSAETKLQQLGVDIDGLKVEIAELMKNLDKERLTAAEEKERLISENSMYLNELEEARSSVKDLEKELRSTKSVLNSNIAELQKEKNSATSEIEQLEASLMNIKTELAQQLERISHMQKTNEGLELANSNLHNELVEVQGQKNEAAASVINLESKLEQRVQDISNLLEANKDLKAAKTDMYNEVKALQEEKNAALAKLQQSEANIKTLQSNLEHQQNQISFFQRANEKLQITNSSLQKQLEESRINLQNEIIALKGEKEEVINSLQQSNDSVKTLGVQLELQLEQISVLQLANEDLQNSNSNLKMQLEEVKNSHLAEILGLQNEKNKIISELQQSEASLKNIGIELEQGKEQISIMNLANEDLKDNISIMDKQLEEVRSSLHAEIAQKHAEKDRALSELQTSQASVTNLERVLEKQNEKISTLDQANDQLQKNICTLTEQSEQAKAELQQEVEATREEKDNALTLLNQSETSVQALENEVTQLKEELSLQLENRSALEKQLEEVRSSMHAEIAELSAEKDTSLLELQTSQASVRNLEIVLQTQNEKISTLQQANDELQKNICTFTEQSEQAKAELQQEVKATQEEKDAVLTQLKQSEDSVQNLQNEMTRLKDDLSVQLENNSTLDKKLEEAILKASILHENLEKAQAEAACQIDDMSSKTEDLEKKINILSAEKTNLEKDLKKMIEAFSENMSLLTEFEDRVTEKISDHEVGLSVLHQSLRGIVGSCQKLQYAYDEVSTRASQLEILKRSQIKQIEQLDKKNTETLDKLRLLEEEKLSANKENTKLQKHVQDHEVQLQLAKQKLKVTEAENKCKEDSYARAVETSQAEVHHLEQLVHQFSGRVSLLEETLMQVKGHAESGASKFADKLDELESRFSQSFSFFVDRSSACGEELKVLRKKLHDHLEEQKEFVKENDEMAVKLREKENMVTEMAKNAAEAEAKMVQLEKTVAEKEEELSARVQEKREAIKQLSDAIVYHKNYSDDLVRYIRSNNRPRLPFCL >Dexi7A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:7A:30126583:30129721:-1 gene:Dexi7A01G0021530 transcript:Dexi7A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLLLRGAAAVVVVVLTLGIAGAARAQLRQNYYGSSCPSAESTVRSVMAQHLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVDAINKAKAAVEALPGCAGKVSCADILAMAARDVVNLLGGPSYAVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFAQNGLTQTDMIALSGAHTIGVTHCDKFVRRIYTFKQHLPWNPPMNLDYLRSLRKICPINYSATSFAMLDATTPKVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANSTAFYEAFVAAMAKLGRIGLKTGSDGEIRRVCTAVN >Dexi9B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:9B:8327939:8333047:-1 gene:Dexi9B01G0012420 transcript:Dexi9B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQALVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGSVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVDMLGIYIQRSWIICGATAVVLTPAYLFTSPILRALRQPADIAGVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTFISGAGLAVHVALNYVFVRRHGGGLLAAAVVGNVTWCLIIVAQMVYLVSGCFPEAWRGFSVLAFKNLAAFVKLSLASAVMLCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVIMAVVTSGSIGAVFFVAFLAWRTGLPRFFSEDEDVLREAAKLGYLLAGSIFLNSIQPVLSGVAIGAGWQALVAFVNIGSYYFVGIPLAALFGFKLNMDAMGIWVGMTLGTLLQTAILVFISYRTKWDKQAMRAEERVREWGGRNDTLPSATQVAPAVEDAERLSNGSQNLQLQPQHITG >Dexi2B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:2B:8251356:8251970:-1 gene:Dexi2B01G0008090 transcript:Dexi2B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSGGCVAGWIAGILVVGAAAVLIAAYAVLIHVHIAVDEASLTRFDLMSSSSSSPSLAYNLWLTLTIRNPNWAITIKNKKNLEASYSFDGQVFDRVLVAEKGDKQGPRRTRVYHLAVASASPNGTAVPAFGSAGAEEFRRQNATGFFDVEVKVTGKFQYTGRYTKCELDATCPLKLQLAPPGTQAVVFEKVKCKLDKPKKYC >Dexi2A01G0037130.1:cds pep primary_assembly:Fonio_CM05836:2A:46773572:46774894:1 gene:Dexi2A01G0037130 transcript:Dexi2A01G0037130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLVVSGVAAAVVSIQLILPSLLVVVLPRWPFSANKQPPPKCVVFNFGDSNSDTGNLAAGAGVRLHRPMGRSFFGKPSGRYSDGRLYIDFICERLGLDHLSPYLESSGVSFRHGANFAVAGATTGGAAEGTPFSLATQVRQFRHFRRRTASLRRRGLGSGIAMLEFHNAVYTFDIGQNDLQAAFTAGLSYHRVVDTIPAIVTRINNAVTMVHEAGGRKFLLYNTGPLGCLPSMLARWSRRGGGGGELDGAGCLVELNGAARAFNEQLGRLCGELRGKLANATVVCVDMYTIKYGLVANHTAHGFSDPLMACCGSGGPPYNYRAGETCGSPKVKACADGDRRISWDGLHYTEAANRVVADDILSAEYSDPPLQLQTLCASTS >Dexi9A01G0040810.1:cds pep primary_assembly:Fonio_CM05836:9A:44520958:44523561:1 gene:Dexi9A01G0040810 transcript:Dexi9A01G0040810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMGDGAKAYGSVVLIRLMYSGMHVVSKVALNQGINPLVFVFYRTTTGALVLIPVTFVLERHKAKPVTFNIGWRMFIHALYGSTACSALSTLGLNYASATSSSAMYNVQPVVTFILAVIFGMETLKLTRFHGKVKFAGILFCTAGVTVMSIYKGPLFRSFNHHHLFQSSGGSSSGAAETHSKKQWVLGIFFMTLSSVLAGLWSVLQEPLIEDTSKLMNTTLQVSFASVQAFLVAVAAERDFSKWKLGWNVSLAAIIYSGVIVTALSYYMQMWTLAKRGPVFLAMSTPLTFVFTIIISFSIIGDAIGLGRHAIDELVAILAGVLLVGGLYNVVWGKRIEERGNLAKISAAPCEPGLELPPQHSKADLKLPQVRDDDNDAEAKV >Dexi7B01G0022400.1:cds pep primary_assembly:Fonio_CM05836:7B:27342813:27344848:1 gene:Dexi7B01G0022400 transcript:Dexi7B01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSLLSLTLDSALLRIAHIADLSHLPDHLVIDLFRRTLSAGKLTEKVLKLFLATGCEEIILAMSSGIQDSEVDIVIAALQPNLNTFFEAWRPFFSRFHIIVVKDPDMTEDLKIPTGFDLKVYTKADIDGVLGASSINFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDTAGSTVDAVAQHMTNLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPGLRLRNEGKHRWDTLEDIWNGLCAKVVCDSLGYGMKTGLPYVMRSDAEAGKALESLKEWEGVKVMDDVLPFFQSLKLSRTAVTVEDCVKELASIVREKLGPKNAVFTKAADAMVEWNNLWKSHGAHHA >Dexi9B01G0045690.1:cds pep primary_assembly:Fonio_CM05836:9B:45100857:45108119:-1 gene:Dexi9B01G0045690 transcript:Dexi9B01G0045690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLHAAVSPRLPPPSLRRALPAVTPRAALSRSLLPGLSERSRGGPASITTGAASELAATAVHPATEEESAFPGGLLLGSLGMSMFIIYTSKENIFNLFSLTELRGMKYMDIRCTIHDAVVCGIFRSGKIYFHPSEDELLKETDKLLLIAPVRGRRRPQYTLLSAPRETQTSSQESESREDQGSLSMAATTEKEARLKSIVKRPSKSLSKSSDYILGPKECVLIVGWRPKVTEMIREYDCYLGPGSRVEILSETPVEERSSIINPLLQSQLKNVKVSHRVGCPLNYDTLKDTIINIRKSTKSDKNVPLSIVVISDRDWLIGDATQADKQLAYTLLLAENICEKHDIMVENLVSEIVDTGLGKQISKIRPSLSFIGAEEVMSRVTAQVAETSELNEVWKDILNAEGDEIYIKEIGLYMKEGEKISFAELSERAVLRREVAIGYVKDGKQYQQPQFVRC >Dexi2B01G0022490.1:cds pep primary_assembly:Fonio_CM05836:2B:32133339:32139560:-1 gene:Dexi2B01G0022490 transcript:Dexi2B01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGAAAGAGMHNTGRLIAAPPLPKPGGFGAPPGLSLGLQTNMDGGQLGDMSRMGLMGGGGGGGGGGGGSGSAGEGDSLGRGREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPMCASCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSSSSGGPMMSLQGCSGLELGVGSTNGFGLGPLGSSSALQPLPDLMGPVGSSAAMRLPAGIGAALDGAMHGAGVDGVDRTVLLELGLAAMEELMKVSQMDEPLWVPSPDGSGLETLNFDEYHRAFARVFGPSPAGYVSEASREAGIAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAILRPDGGGNALTHHQNGGAAGYMGCRLLPTGCIMQDMNNGYSKVTWVVHAEYDETSVHQLYRQLLRSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRVGGGEGGGGDAGAGGGEGEEKVRMMARQSVGAPGEPPGVVLSATTSVRLPCTPPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNVKFSQRCMQYSLLLLVIFPLLFYYHSTGGGSSSTGSLVTVAFQILVNNLPTAKLTVESVETVSNLLSCTIQKIKSALQANIVTP >Dexi5B01G0021060.1:cds pep primary_assembly:Fonio_CM05836:5B:23295725:23297922:-1 gene:Dexi5B01G0021060 transcript:Dexi5B01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALSSQVALTPWSFFIFRLLGALLLWQAAQLLNKLWWQPRRLERALRAQGLRGTSYRFLTGDLKEYGRFNKKAWSRPLPLGCHDIAGHVAPFVYGAVREHGKMCFTWFGPVPRLTITDPDLARDVMSNKFGHFEKPKFPALSKLFAEGVANYEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSRWAQSLGPDGSCELDVDPELQTLTGDVISRTAFGSSYLEGTKIFQLQAEQAERLMSIVKMSLPTKNNRRMRQIKNEIETILRGLISKRMQAMKEGEATKDDLLGLLLESNIRDTDQNGQSSLGMTIEDVMEECKLFYFAGMETTSVLLTWTMILLSMHPEWQDRAREEVLGLFGKNKPGYDGLSRLKIVTMILYEVLRLYPPAIAFSRKTYKEMEIGDAKCPAGVMLELPVLFIHHDPDIWGSDVHEFKPERFAEGIAKASKDRLAFFPFGWGPRICIGQNFALLEAKMALSMILQSFEFELTPSYTHAPHTVIMLRPMHGAQIKLRAI >Dexi6A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:6A:3987091:3988602:1 gene:Dexi6A01G0004380 transcript:Dexi6A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRRWSHFLVITLVACVLHHRGAAQPLPTKVNEAEFLLEIKRAWGDPPVLAAWNATSSAAGVHCSWPYVGCNSAGCVTRLTLAHIDVTGPISNAIGSLSDLVHLDLSRNNITGTFPTSLYHCSSLQYLDLSWNQIGGELPGDIGHGLGIHLSTLYLYQNKFTGRIPASLSRLQNLRYLGLNLNSLTGAIPPELGKLTSLEDLWLGFNQFDPGELPTTFKNLTNLVKLGAVNCSLVGKIPSYLGQMTKLEHLDLSFNSLTGTIPPVVWSLKKLQYFIVHRNNLTADLVVDGFAAMSLTTIDIGENKLTGIIPEVFGHLENLVYFILSDNNFTGDIPPELGKHSPGLSYIELDNNELTGVIPEGLCAGGQLQALTASNNSLNGSIPVGLVNCATLQDLELSSNQLSGEVPKALWTTGQLRWVSLRNNQLTGSLPAAMSSNLTTLDIGNNKFVGRVPSVALGLTYFSAENNGFSGKIPANIGYGMPLLQHLNLANNKLSGRIR >Dexi9B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:9B:14128431:14131315:-1 gene:Dexi9B01G0019540 transcript:Dexi9B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMDPTDASRNATTAAAALLGTSTGGGLASLLPEVQTVELLVAVSIFVAIHSLRQRRSQGLPTWPLVGMLPSLLLGLRGDMYEWITGVLKSRGGTFTFRGPWFTNLQCVVTADPRNLEHLLKARFASFPKGPYFRDTVRDLLGDGIFGADDEVWRAQRKAASLEFHSAGFRALTASSLVELVHRRLLPVLADAEAGGDAIDLQDVLLRLTFDNVCMIAFGVDPGCLAKGLPEIPFARAFEDATEATTVRFLTPTAVWRAMRALGVGNERVLQRSLAGVDEFAYDVIRRRKEELGDAGRRGSSRSDLLTVFTKMRGEDGRPAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLGKNRRVEERILEEIEGIVAARKAVDAGGEVEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYTMGRMESIWGDDCREYKPERWLRDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILHRYRVDVVEGHPVAPKIALTMYMKHGLKVTLTKRDKGRL >Dexi7A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:7A:19798677:19803360:-1 gene:Dexi7A01G0008540 transcript:Dexi7A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTKQPNVQGATMGSAPAGSYSSSRGVSGLSPQEVSPGDCRKKRCSYNEDKHCMASKLMQQVSDDYPPMYKVTYMYKHTCNAAPIPAPDVVAEAELPAGMLLRFGPYGSDHRNNAGRMHQEQREYNQPMSWSPFELLGFDSSNSQLQQQPVLSFGVTPSTAGSSSSSFPIVESTPMLPATNDDSSEGDVLSTWSSFSYGVDEGHLHSENHADFAGNTGPASRG >Dexi4A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:4A:10269356:10272669:-1 gene:Dexi4A01G0011970 transcript:Dexi4A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILPGGGRRFIKRKDSDAGEAGAKRQQQRFWGPVVALTFNFVVAVGIIMANKMVMGTVGFNFPVALSLIHYLFALALMAVLKALYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVVAEFVLFQKKVSFRKVITLVVVSFGVAVATVTDLEFNFFGACVALAWIIPSAVNKILWSNLQQSGNWTALAATSALAHVVLGQFKTIVIVLSGYLVFNSDPGFTSLCGAVVALAGIWGKAGNKGPSILYKV >Dexi8B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:8B:7481708:7483632:1 gene:Dexi8B01G0006420 transcript:Dexi8B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRSTFSY >Dexi1A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:1A:11806320:11807320:-1 gene:Dexi1A01G0012310 transcript:Dexi1A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEELCKPDKRRLIPSANEHFDMTHGLHNENNRAAIKEFIAHYGDVFPGNIDMYDDEDVCKRTMDVTDGKQDARLAAKEVADVEDASDQRASDVSDDGASYEEFADCEDNPCDYVNYWRTLRRSSHRDGSIYCTKGTFRSRWQNDYRIADRDEMVSTSAK >Dexi9A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:9A:3510853:3511824:1 gene:Dexi9A01G0006210 transcript:Dexi9A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSFYASTSLPVAKPQQSVPSSKPQPTAAATVATTLPTRSATTTTSMTTSTATAALSLHLPELPSQMKDKILSLELMGVDYGRALELNPSLRDASPESIHAVVSFLQSRGIQFKDLGRVLGMCPSVLTASVRGDLRPVFAFLSDDLGVPESAHRRVVIKCPRVLACSVRDQLRPALIYLRRLGFRDTRALALQDPILLVSSVERTLAPKLEFLASGLGMARDDAIAMVRRCPALFTFSVERNYKPKFEYLVDAMGGGVEDVKAFPQYFAFSLEKRIAPRHRAAQDAGVALPLPDMLKATDEEFAEMIGKEQRMQGRTAATD >Dexi5B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:5B:702704:703621:1 gene:Dexi5B01G0001090 transcript:Dexi5B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALVAAGHGGHMCWTTPQGWVLVKSPPDSSSSSSSATSLWNPRTGGKIALPDVEAEHDGIPIGCKCLLTYKDATHPECFVVLFDYMEPTMWYCKLTAGNGRRGWRRYTYDVGEYEVPPRKPTKDVVSSIAAVQGELFFIASAEEMCAITIPSAYEDDPEFLYFDVSLASFPEGMCSGRTWLVESDDELFLVCVCFVGFDPGNIGAVDVHRMDFSTEAWCRVHDVRDVVFLLEDANMGASCPASPLGLKPNQVYFMNNFMADDGDLCVFDIGLETQEITQVHQHDDLPLYRKPFWIIPPSMLAE >Dexi9A01G0024080.1:cds pep primary_assembly:Fonio_CM05836:9A:20066610:20068839:-1 gene:Dexi9A01G0024080 transcript:Dexi9A01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVTVAMLLLLQMASCYLCVAHADPAVLGRKARVIREPVDNAPTVRGKYAVIFNAGSTGTRVHVFRFDKKMDLVPIGHDIELFAKVNPGLSSYARRPQEAANSILPLLRRAKSVVPTELRETTPVKLGVALNYLWDKLGRDYSQTVGVIEMGGASVQMSYAISANAAAKAPAGPDGKEPYVTKHYLHYGAFAARAEILKAKSGQFSFCMLRGFTGNYTYNGKQYDATATEGGADYQKCREEIIKALKLNAPCKTKNCTFNGVWSGGGGPGQDNLYVASSFYYMATKVGIIDSKAPSARTSPAAFRAIARKVCQLSVKSAKLAYPEIRDNDVPYMSMDLTYQYTLLVDGFGVPPIKKITVVAKVKHGEYYVEAAWPLGTAIEAVSPIHQLEDA >Dexi2B01G0034230.1:cds pep primary_assembly:Fonio_CM05836:2B:41507134:41511613:-1 gene:Dexi2B01G0034230 transcript:Dexi2B01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAVPCLLSRRQAKPVPPPLHHAGPVADHVPPLLFHAFPISWLASPPPVLGRWPPTYLGFHGGAKQTLLVGSRNHWEGREEVEEGEAGRRATVKSTRERRGEERILAVRPDDPTAGVFLMTWLRSQARLPPTTGHSRARAMRTTTTMTLAPLTATLFLAVLLPIAAAGNGGRPRQGDYLNRLRGSPATPSVHVESSLAVASPSPAAADRAPAAVGRKEDDFVSQLPGQPSGVDFAQYAGYVTVDATAGRALFYYLAESTGGAAASASRPLVLWLNGGPGCSSLGYGAMEELGPFRVKSDGRTLYRNPYAWNEAANVLFLESPAGVGFSYSNTTADYGRSGDNKTADDALLFLLNWMEKFPEYKGRDFYLAGESYAGHYVPQLAHVILRHAAATAGKGSSPINLKGIMTDTKGMYDFFWTHALMSDQAAEGINKYCGNFSAAASNSDKCDSATSEADVDLNDIDIYNIYAPNCQSASLVSPPITPSRPP >Dexi9B01G0033500.1:cds pep primary_assembly:Fonio_CM05836:9B:35663116:35663924:-1 gene:Dexi9B01G0033500 transcript:Dexi9B01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWYDPEQNWKLDQSAMKQSQQPNDPFNWQTRQQSSSVAQGKPSNAAFGPGRPQMMHLEPKRSEMRSKQEQDVSVSQRRPKPTMPKSSPRHDENSVRAKLELAKEAKLEATKPKKQRTVQMVDPQDLPKQGNRNLALNGKARNSNNIRNRLGIRR >Dexi1B01G0024600.1:cds pep primary_assembly:Fonio_CM05836:1B:29876885:29880624:-1 gene:Dexi1B01G0024600 transcript:Dexi1B01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGNVDGIEISISNDERRDRADVEISEDEPRHTKMRSLRRKALHASTRLTHSLKKRGKRKVDGRGPRISIEDVRDADEEQAVLGTKYQSRLLEPIDASLLVRVLPERISDTSNAESGSDFDDLGSPVAPEDVEYPSLAPVREEVRESGSATYSGSDGTSHMADKVVGSNQRYNTAGNEARQFNTEQHSLVNGALPVPGRHVPNGVGNADDGILKHLSRKVIAIFLKVLSLLRFFIRRRQQLENVHPQTATVPSNQADLQIIREDRVNPCLERLDRLESMFNQLSRKPPELPQDKDRAIQDSFDRIKSIEFDLEKTKKVNLCLMDLTILTVALGF >Dexi8A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:8A:372971:373957:-1 gene:Dexi8A01G0000550 transcript:Dexi8A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWREDTYARPSNWFFHCKILIEHLPLYAWCEDGIHQAIGDVCSFDYIEPVSFTQENTEILQCWVWMWHPNQLPRSKLTMVFPEGAGRSRPGVTAAAPMGGMVNLIIHLDSYLDWTPAAASRTPSSHASGRPSSTSSRFDGRSFPFFQESVWCPGMLDGRATRAEAPRQRNTCMGASPVRRDQDLEDEDRRGPRRHWGERHGSFGWSAVPERYSGGPANRYRSRSPALYGHRHDLAEEDVYTLETRGRCRRRSPHPRRDYVSRSAETMKVGSVVACCLQGTVGTSWSLRGWPGVARCGRTVAARCMGCWGMEPLTISAHFVSSRILS >Dexi3A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:3A:6080088:6084473:1 gene:Dexi3A01G0008710 transcript:Dexi3A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPPSSASAPISRHLRLWLRRRGRAGAAGATFAVALLAAALLLTLSYYASVASDSSSVRSPALVGLTLVSGAQEKGALCLDGSAPGYHLQRGSGTGSHSWLIHLEGGGWCRNLKSCASRQRSILGSSRYMERQIEFTGILSDDKSQNPDFYNWNKVKIRYCDGASFSGDVRDELQNGTRFFFRGQRIWEAVMNELVFKGMRKAKQAFLTGCSAGGLATYIHCDAFRALLPKDSRVKCLADGGFFLDVEDIAGKRTMRSFYSDVVRLQGLREKFSNCNSNLEPGQCFFPREVVKHIVNPVFILNPAYDAWQVQHALTPESSDPHHSWLDCRLDIAKCSSEQLEILQGFRKELHAAISEVKQKRDWGFYINSCFVHCQSLNSLTWHSPTSPRVNNKSIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLAFVKPFKI >Dexi3B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:3B:15347960:15349328:1 gene:Dexi3B01G0020350 transcript:Dexi3B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSSNRCGCKNLLSCNLLRCACCCSWIRGVCGRKNREATQDASEAKRKKKKRKWLRSFCGGAVRESEEPLTSESKKKKKNPVTNPDAEKCRWTKKIWRKKKRKNEQNGLANLVKEISLTNSPKHRAQAGEILRIGNNSIPSRVFTFRELADATNSFSPENMLGEGGFGRVYKGYIPDTMEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTDCDQRILVYEFMPLGSLQDHLLGNTNSQAIIQYSFC >Dexi9B01G0034520.1:cds pep primary_assembly:Fonio_CM05836:9B:36442352:36443499:-1 gene:Dexi9B01G0034520 transcript:Dexi9B01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEPLEEVPLLAAAPGGAIDSSKSSITAAALTNHPLLSMWARLPEHRQTQRRAPRTSLGSPAGAALPAPRRAIQAPELQEQEGWRNKGERRERKSKLERKLNDYYNYYQRQRKGNPNRKYTHQRHSRRPVYERGTATEPKDGAVAISLPWRQDGWTRHRDRVLPPPPFQDDDWRRRKQRETGAVAVIGPRGAELHY >Dexi7B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:7B:1770644:1776019:1 gene:Dexi7B01G0000970 transcript:Dexi7B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKQRGKAEGGGGGHRADLDEGDDLEEFRLPMSHRPTENLDTEGLEQASVHTQLTASNVGFRLLQKMGWKTGKGLGKNEQGGTEKLKSGGPGGISDGEKGCGRGAVESVRLWQAKKVTGPGGGGAVVAGSEGDGLVEHPSMGGCVSSIYGMGGIGWWGRDDGGMTFDGILEPIRADMRDAKLGVGKQEEDDFFTSEENVQRKKLNIELEETEEHIKKREVIAEREHKIRSEVQEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSSSGNRDDRQKREQQREEKELAKIAQLADAHRKQQQQQKQEKSEAPAESVAPKKIAGPSNQDQRQALKFGFSKMAPSKAPVGNASKKPKVLTKVSSVFGNDSDEDS >Dexi5A01G0039620.1:cds pep primary_assembly:Fonio_CM05836:5A:40166752:40167076:-1 gene:Dexi5A01G0039620 transcript:Dexi5A01G0039620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNGTPSSPRTS >Dexi2A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:2A:29286236:29290214:-1 gene:Dexi2A01G0017440 transcript:Dexi2A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPIMRRFAFNALSLSPSLKSLAAARPQIQVRLPDNTTTDGFLGLYDKDIAIVTPIGYLDDDPVDLDLQESPDCPDGHAALGGSLVGNDKRFHGMIVDLCHHGSENKKCAKFLSLKALRERLELFKILNPRELHFRHYSLPEGVSSVVPSGFMKTIYRIKSLGYPMPPPLVLEYILCKEMEVSPEKAGIGDPLIGFDGSFVGMNFYDGSDVTPFLPRLVVFNLLRGVVNSRLPSESGEYPMRILDDFMVGEKYRWPVPEPYWSHGELDVDMDELPKFIGRILN >Dexi2A01G0031630.1:cds pep primary_assembly:Fonio_CM05836:2A:42365155:42366535:1 gene:Dexi2A01G0031630 transcript:Dexi2A01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGSHRFRHSMLSLFLALAMVVATARAQLSPTFYGSSCPAALVTIKTAVRAAVLLDRRTAASRLHFHDCFGCDASVLLDDTGNFTGEKSAGPNAGSLRGFGVIDTIKALLEALCPRTVSCADILAVAARDSVVAVGGPSWTVQLGRRDSTTASLSTANTDLPSPASSLSTLLAALARKGLSSTDMVALSGAHTIGQAQCQNYRARIYNDTNINAAFAASLRSGCPATGGGGASAPLDASTPNAFDNAYYSNLVAQRGLLHSDQELFNGGSTDSLVRSYAASSAQFSSDFAAAMLRMGGIGVLTGSSGQVRRNCRRVN >Dexi3A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:3A:6602804:6604114:-1 gene:Dexi3A01G0009450 transcript:Dexi3A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATTSLLEQVPRQAGLGEPGFWHDFLLGMLKPLAATAVVAMAVALSFAQRLGLEREMLYATARAFLQLSVVGFVLHFIFAQKSALWILLAYLFMVTVAGYTAGQRAKHVPGGKHIACVSILIGTAITMIALVALKVFPFTPRYIIPVAGMMVGNAMTVTGVAMKKLREDVKIQRDMVETALALGATPRQATLQHVRRSLGIALSPVIDSAKTLGLISLPGSMSGLIMGGASPLEAIQLQIVVTNMLIGANTVSGIVSSYLCWTTFFNKAFQLKDEVFADK >Dexi2A01G0031970.1:cds pep primary_assembly:Fonio_CM05836:2A:42607382:42608443:1 gene:Dexi2A01G0031970 transcript:Dexi2A01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMLRAAHHVFRRDTGRAPAALRALTNGFSTESNSQRLAGKVAVITGAASGIGKATATEFIRNGAKVILTDVADDVGRAVAAGLGSDASYARCDVTDESQVAAAVDLAVARHGRLDVLYSNAGVAGAASPAPLASLDLADFDRVMSANARSAVACLKHAARVMAHQPGGGCVLCTGSTTGMLGGVAALPYSLSKATVVSLVRVAAEELARSGVRVNAISPHAIATPLLVRSLARVMHHPGVADEGEVKRMVETGMSELRGAVLEVEDVARAAVYLASDEAKFMTGHNLVIDGGFTAGKRIGVPAAR >Dexi1A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:1A:4729979:4730560:-1 gene:Dexi1A01G0006330 transcript:Dexi1A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPAELVPAAPEEPHAAAAGGGAGGHDRDGVAAKRGRPRAGQGAGARIVSAGVQAAVMAAAIAIFLLFAAASAVLLLVLVVAARAFRHHRGSRYRVPSLDPSPPAPLRRSGLSPADLRRLPSFAFPSAPRGNGGGDPTSCAVCLEAARAGERWRAMPACRHAFHAACVDRWLARSPACPVCRAAVAASTS >Dexi4A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:4A:7294735:7297032:1 gene:Dexi4A01G0009260 transcript:Dexi4A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQDDEATPRQQAAAGGAEVEEALAALLERCGGALPFLQAAIGVAHRRAGLFRDPSAVSKVTAMASAARAQVEVEERAAREAKRKAEEAERKKAAEAEKAAKAAAAAAAPPAAEQKPESSSGKDSMEVDKKEEGNVRQPNAGNGLDLEKYSWTQQLPEVNISVPLPEGTKSRFVVCDIKKNHLKAGLKGQPPIIDGELYKPVKVDDSFWSIEDGKSLNILLTKHNQMEWWKSVIKGDPEVDTQKVEPENSKLSDLDPETRQTVEKMMMGLPTSDEIQKQEILKKFMAEHPEMDFSGAKIA >Dexi1B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:1B:6698156:6719061:-1 gene:Dexi1B01G0008050 transcript:Dexi1B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRELLAVIEAALLGPTPPSPAQRVELLHAVRDAAPAFRTLLSYPGPKVSDRTQVESKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYSREPLEIYRLAAGLWYMERRDLITSLYILLRSVALDQGLDSDLMSEIEDQMQPLFNDGLRQRIITLVKELNREDPAGIGGPSSERYVLDFRGALVERSAIVSRERLSLSHCLALSALIKQMSPKEVKDVFSILKDCAAEADQNTSVELQITYGVLFSLVATFISDALSTSHEKGSLSSSDSSFRSEFHELVMRTGNNMTVEGFVGVVRLAWSVHLMLTHDRSNSRGTSDIWSCLEIICRQNSFEFLRERVLRTAAYQLSKIVPKNAKCIFSRYDNTLFFFFILISCHLMQNDDDDIVYMYTGYTHKLMMCFISHPTSRDKIKEIKEKAMTALSPYGPPRDHREDPGRNGEQIGQASNEPFVSLLELIREIYQKEPELVNGNEELWTFVIYAGEDHTNTQTLVAFLGLLSILASTEVGAAKVYELLQGKIYRSVGWSTLFDCLSIYEDKFKKSIQSSASILPDFPEGDAQALVAYLAVLQKVVENGNPMERRKWFPDIEPLFKLLSYENVPPYLKVYDMRFELNEVEARRESYPSTISFLRLINALIAEERNINDKGRRFMGIFKFVYEDVFGPFPQRAYADPQEKWELALACLEHFRMVLSMYDINEDDIYASVSTSAPSSIERQLPLLELLKDFMSGKVAFRNIMNIILVGVDSLINERTTQTYGILLEKAVHLSLEIFILVMERDLALADVFRPLYQCASSPICVLTTSLFYDSSRVVGLVQLLLKADVGKTVIEDYAACLEYRFDDFQVIEDTKGDVGVLILQLLVDNICRPAPNITHLLLRFDVNGAIERTVLKPKSHYSCLKVILDNLEKVTKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSSKKYQFFSKHVGTIGISPLPKRNTNQSLRISMLHERAWLLKMLALALHLSDISSSVYREACVAILYHTFGQCADNFQSSSMFHSRDALTGISNEPANRNKVLDLLEVLQFRCPDTSMKYPQLLSNLGVESKACPSNLSVAVSRRMSLLEDRSQLLFELLDASLSATTSPDCSVKMAYVLTNVSLTCMAKLRDERFICPAGADSDAVTCLDIISSKQLPNAACNSLLFKLMMAILRNESSETLRRRQYALLLSYFQYCRSILDSDVPPSVLRFLLLEEQEADDDDFTLQKVLKEHNELADANFSIIRKEAQAIVDLVTKDAVHGSETGKAISFYVLDALISIDHEKYYLNQLQSRGILRSCLSDVTNYLSKVKNKIVREIVDFAKQHQSVFNSILRESIPGANLITLERLSLVVSILSKVWAYEENEECSYIQDLFGLMQSLFSVDFGSLNFMQSPNMIENQKSELVAFGLCFSLISYLYVLATKKNMRFQVPYDHNSDQQQPTLQMVSDFLNSITLALERVAEEKYMLLNKVRDLNELSRKEVDEIIKLCMKQDCISPNDNIRKRRYIAMIDLCCMAGNRDQLITLLLQIAECAITILLVHFQDEACAKDLSPFSDELLPVLERLEHLKEDKVGRSLKVFHRSVTTLKEMTIRSMSL >Dexi9A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:9A:2167501:2171706:1 gene:Dexi9A01G0004140 transcript:Dexi9A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPFDEAELLVLPASPAVSPPRRLKRLKKFSSQTTTTTTVASTASLTAGSPPPHPPSPPQEQALPGGETLAPSPSPPPNPSPRPPTPSHADAPSPLPHSSPAPISSPRPPTPPDADAPTPLHHSSPAPVSSPLPPTDTPGSDDEEDDGLDPLFSESVGGAAGWDPLGLPAEGDDDEEEMLEGGLIEELRRESAAKKRLDMDEADGGMAAGAEGKGKRSKRKRKEEAPKESAREKKRSEKERRAQLDSIHAESQRLLRETRSASFRPTVQPVCKPISSVLEKIRLRKLEILKKSNIAIEDNDDSDSASEPASDSAVGLDVPQVKEVTIDEKGLRIDDVDKELGTNCHDRDQCDVEEDEDDLNCKEKDLHKCDAKVSYEETPDKLQKNQEENTQSTDNHNNSVDQTQVPPSSSPAESTDDSSSEDEEDDDKENMDPSTQNNDVNTREHLQRAIGGDSCPDNAILKDFLDDEAEEEDDSDNDMMRFKDDEEDDGSDENEVFNDLIAAGYEEKEVDHEKRNELHQKWLQQQDAAETNNFMQRLKFGHQVQKESVHEDEDDDEDEDVEDCEVKSEKEMSYDLTPANVARQNSEKAKQMIAKMFADDNDTYEHSDDEEIEEHLARQRISKREVDNNTFISPLDDDSSREVFGLIKKLNIAPQPKKKGKQSTSNHEMLMSGRNSSASKSSFLGRTTSGSLVSSHRSVYRSYVFGRDDSNSSTKSCMSASESISDMCMLK >Dexi7B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:7B:22298961:22315112:-1 gene:Dexi7B01G0016330 transcript:Dexi7B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLCPQKAVPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSTKQISNTTTVDGIDYYITTNYGEELYNSCKDVKFGTLNTRAMDFLGGGAKTYKEWLAFLGRQANPNEPGSPYSITYRSDFSASSGVKPLNSTVYSCGDPSLGCSCGDCPSSSVCAGSLLPQLNTETSCSVKMGSLKVKCLDFSLVIVYLALLCAFLLWGLLYRTRGRTAFSLQTKPLQNSDDKPGSNENGKSPHNSVQVAEAASSTVKPSYPSIVQTYMSIFFSYEFNSFSFVSFGLALEVEVLMRRTILTAILHHFIGSNSEFCYLLLVLMQLVLATSGSDGSAAPSIVNDNNMKLLFQIQKKVDDLRANYSGSTVALGDICLKPLSTDCATQSVLQVMILYYLLYSFCSEINLVPQEYAHYFQLDPKTYDDSGIDHAKFCFQLVLMVVPKYLSCILQHYTSEETCLSTFQSPIDPSTILGGFSGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAFINLVKVSHASSISFVVACAHCSGSIEEILPMVLAQNLTLSFSSESSIQDELNRESTADVITIVISYILMFAYISFTLGDRPSRWLSLFVSSKVGVDNMCILVHAVKRQPDQSNLEERISGALVEVGPSITLASFAEVLAFAVSAINPMPATRVFSMFAALAVFMDFLLQVTAFVALIVFDFRRAQDGRIDCVPCARITSSPTAADGGNGQRLHLLARYMRDIHGPILSYRAVKFVVITVFVGLAFASIALSTRLQPGLEQQIVLPRNSYLQDYFNDLAKYMKVGPPLYFVVKDFNYSSGSVHTNQICSINQCNSNSLLNEIARQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGNYCPPDDQCFLHSDLDNGRPSTTQFKDKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYESGIIQASAFRTYHTPLNKQSDYVNSMRAARDFSSKMSKDLQMTIFPYSVFYIFFEQYLGVWKTAIMNICVCLALVDLKGSQLGYEQFHNVLAEELNRYYICCLLHSHQQGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMIGSGDRETRSRQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPVGI >DexiUA01G0020600.1:cds pep primary_assembly:Fonio_CM05836:UA:42878137:42885161:-1 gene:DexiUA01G0020600 transcript:DexiUA01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGLPRFLLAGMLSGFRPLPRIGRSSTMAGFIMVGSNANQQGPEGQQQPPPPPPPQQPPTWQQLYATLTEILRNLQHQQQMMQQQMQQQRGQRAPQPEVARYEDFLATHPPVFSRAEEPLEADAWIRAIESKFSVLATPCTPNHKVVFAAQQLRGTALLWWENYLALQQENHVIEWEEFKTAFKAHHIPASLVERKLTEFLALTQGTHTVLQYSQAFNGLCPYAGHHADSDVKKMERFRRGLNTKLKAQLTTTRVATYGELVNIAIAQEDANMVHRAEKKRKAPAGPSSAQPQRFRLVPPAAPQQGAPRFPPPPQQQQAPRQNVQQPSRPGAGYQCFKCGSSSHFNKDCPQNKPQTQRPGNQQSKGKQQRVQVRQLKDIPVVCEYADVFPDDLPGMSPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFICPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAAVTIKNKYPLPRIDVLFDQLAGARVFSKIDLHSGYHQIKIRPCDIPKTSFSTRYGLYKFLVISFGLTNAPAYFMYLMNSVFMSELDKFVMVFIDDILIYSKNEEEHAEHLRIVVQRLRDHQLYANVKFLGHTVSTDGISVDPTKVQEVMDWQPPTSVHEIRSFLGLAGYYRHFIPDFSRIAKPMTELLKKGPYDVYCDASGTGLGCVLMQENRVIAYASRALRPLEQNYPTHDLELAAVIHALKIWRHYLMGVPCNVYTDHKSLKYVFTQARLNMRQRRWLELAKDYDLSVHYHPGRKARCHCLSMESYADTLCHEMAKLNLEIVPQGYFNHISVEPTLHDQIVKAQLNDAKIKILKRKLAKEKVKEKYKCFRLDGQGVMWFGHRIVVPKNTELRRKIMDEDHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDMCQRVKASHLKVPGTLQPLPIPSWKWEDISMDFIVELPRTRKGMTPYGRYAEIYLARIVCLHGVPKTIISDRGTQFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDKLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCERYTYGPDLEKEVEEKVRIIRENLRTAQSRQKSYFDQRRRPLQFEAGDHVYLKVSPTKGVQRFGLKGKLAPRYVGPYEITQQCGPVAYCVKLPEKLSTVHNVFHVSQLKKCLRVPTEVVEQEELSVEPDLSYDEHPIKILDEKERQTRRREVKMYKIQWSYHFEDEATWESEDYLKKNFPDILPKTKLALARPTPAADRPPRGRRAAEASPSRPSHGGILLLSSRRRLACRPITSRATARWQPRAAERHDGEWSSATGRGRACAVLSLPAPIASRFVPSLSAEDLSSLTPRSAMPNPLRALMATLTARARARTPPAAPTSSRPFLLLRARAPRLQPLAARTELERRSKFSLVPPPFPNSTHTELDHFLSFLFPPLLSSSPQLSARIGISPKIPISGRRSTSTSSDPFEPSPRPTEHPNVFAKSHWCSRAPPTTVKATDLAEVEAAAAAPHCSVADDLRASSGLPTTTIQLVVSCSFFSPTSPTSSHCRLADATTPASPRAPYRLKNSVVVIAELFFFVAPDPTCLLGRQEGRGPFHSPHASQALRGPFHSPHASQTLRGLGETEFSNQLWVMPLLSVASALLCTCTVNRQGALADGTYNLVPVNEEEVPEGGADVVVIDQEPDSVLAQEGKPRRMT >Dexi1B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:1B:2637574:2638882:-1 gene:Dexi1B01G0003330 transcript:Dexi1B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARRHLLSSKFTATSSSTASSPPLPRSLLDALSAAKESARAGTLRAADAHGLFDELLRQPTGVPERAINGFLAALAHAPLSAAYGRDGPALAVALFNRMSRVAQQLPTACTYSILINCCGRAHLPDLALAFFGRLLRTGLGIDIITCNSLLKSLCDANRTNEALNVLLCRMPELGCLPDVISYSVLLKSFCNQKKSLWAIDLLRTMAKKGGVSAPNVVSYNTVIDGLFKEGKVAEACGLFHEMVQQGTRPCVVTYNSIVHALCKARAMDKAEVFFRQMVDKGVQPNIVTYANIIHGYSSLGQWKAAVRVLNLMVDDGIVPNHHIFNILINAYAIHGMMDDAMLMFQEMKLQEVKPNAVTYGTLVAAFCRMGRLDDAMDIFSNMTDQGVPPNEAAYYCLIKSFFQ >Dexi2A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:2A:12690805:12693455:1 gene:Dexi2A01G0011090 transcript:Dexi2A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPVSPSPGPAAVEQPQIVGDMQGAIFGGLLNSPLRPTEKRKYQGTNQTFVFTTVHGEPRLFRPTGANRFYYLCLNDALAFGGGGSFALCVDEDL >Dexi4A01G0024600.1:cds pep primary_assembly:Fonio_CM05836:4A:27305055:27305341:-1 gene:Dexi4A01G0024600 transcript:Dexi4A01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEPAKFVAKLRERKTDDKLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALGMTPKL >Dexi4A01G0024600.2:cds pep primary_assembly:Fonio_CM05836:4A:27305341:27306187:-1 gene:Dexi4A01G0024600 transcript:Dexi4A01G0024600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNIRG >Dexi7B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:7B:19509917:19510396:-1 gene:Dexi7B01G0012790 transcript:Dexi7B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRTTSHAPPLVGSRRGFGSSRLHHQSEVDSTAEQGGEGDGAAARPHASSASSLVASRGGGGARREQGRRWRGGGHIGSLRPPSTTSSRRWPWRISSGARFRATVSPVAPVFVPAAEESTPRRRIKVAVVLARPRRRIDAAVSPRSRAVGERPGEVG >Dexi9B01G0030540.1:cds pep primary_assembly:Fonio_CM05836:9B:33042447:33045860:1 gene:Dexi9B01G0030540 transcript:Dexi9B01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFARYASLEGYALDLLAILQELGVQSCIYVGHSVSAVIGALASISRPDLFTKLVLLSASPRYLNDVDYYGGFEQDELDELFEAMRSNYKAWCSGFAPLCVGGDMESIAVQEFSRTLFNIRPDIALSVAQTIFQSDVRNLLPLVSVPCHILQSTKDLAVPVVVSEYLHKHIGSDSIVEVMPSEGHLPQLSSPDLVIPVLLRHIQHDIAV >Dexi6A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:6A:6482371:6482880:1 gene:Dexi6A01G0006690 transcript:Dexi6A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASPAQESSAPAAAEQEEAPREPCPPEAATERGAAAAAENKVEVKEEEEEEEGECGLFLFMDAGGCKDAFMAWEECVAAVAAQEEDAKVMAERCRVATSNLIKCMTAHADYYAPVLRAQQAFNQRAEAAAAAAASKGEPAASPKQADSVTGEGKEEETVAEESLSN >Dexi5A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:5A:1188511:1191865:-1 gene:Dexi5A01G0001670 transcript:Dexi5A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLVGGDEEDLPEDSILGDTEDLCSLSPVQRIYAFAACLVAGLALMILSFIVFVRPIKFAVMFTFGNILAIGSTAFVMGPQKQLRMMFDPVRLYATAIYVGCVVLALIFALGVRNIQIVLSPPSFSQPYSLIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTEL >Dexi9B01G0020970.1:cds pep primary_assembly:Fonio_CM05836:9B:15618413:15622391:1 gene:Dexi9B01G0020970 transcript:Dexi9B01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHILVRFVFLVLYLRSYVAQSPAATRELQQPAGLCRPVSGLCPNFHTAAAVDSILKARQGRARYSIPPIPPIAGAGASSLGISTMMDSVVSLGGDPPPFLGGRLLAARKLATTTAEAGISTHSDGVRVAAEEAGASARGGKGDVYCGQQKKKQAVEA >Dexi5A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:5A:18775857:18777305:-1 gene:Dexi5A01G0016610 transcript:Dexi5A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLRRAASRVLGRPSEAPTPHPFSGLFLASASPPAAGHLGDLPQTGRENRALHDVVAPADLAKAEALGNATAAKTQRLYMALLDVEDGRFDDALAWLARESLASRLCLAAFCDLLGRTDLCDHCLACFPEHHHPLLHQQSLYQIGIVSATLGGIQLVKFAGSEGRVAAAGFQIIDESVTKGKMSAFQIFVAAMLKRAAKRTIRDPAALDSDHGTGMFGAFIKALSGGPNTEGPPFFVLKAWQALLSAVVLRAPPLSGERVRAMLQSAERDLAHAVREREDPAFVANLRLLAAFLAARDGRFDDALERYVEMERVDPSDPRPHYLAHLVCRFDERPEESDKWLASYDRLVTGSSVDEQAALISLADDLVIALAIGGSHLAFDCECYPVEVDMVVSAAASKVDATLVSALWDKKMPMVERLEIRAVRALLHAGVWSLLKRLENNGAGSTTD >Dexi9A01G0039550.1:cds pep primary_assembly:Fonio_CM05836:9A:43486819:43487265:-1 gene:Dexi9A01G0039550 transcript:Dexi9A01G0039550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAGEEKAEKTPAGKKPKAEKRIPASKSAGKEGGEKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >Dexi5B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:5B:2593926:2602170:1 gene:Dexi5B01G0003750 transcript:Dexi5B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSHLAETTSGRRSRQGLAARWREIHGANNWEGLLDPMDPVLHTELIRYGELAQATYDSFDYDRFSPYSGSCRFPTKTFFHDVNLAAAGYDVTRYLYATWNDSKLPNFGNQKHHKPTTTNKLWSESGTFIGYVAVSSDEETSRIGRRDIAVAWRGTITRLEWVADLTANQRLLSEMGVRKLVELYHGRGDEVSVTVTGHSLGSALAMVNAFDIAETSANATPAIAGGKTTTAPVCVFSFAGPRVGNLSFKRRFEHDLGVRALRVVNVHDGVPKVPGVFLNESALPEDVLRAVDELGVAGVYAHLGVVLELDHEVSPFLKKTMDVTCYHNLEAYLHLLDGFRGSGEVFELRGRDPALVNKSADFLRDECMVPPVWYQAENKGMVRMEDGRWVLAPRHREIDEHPEDTDHHLQQLGLTA >Dexi8B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:8B:26193650:26194565:1 gene:Dexi8B01G0015380 transcript:Dexi8B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGCGGASSPTQCVASSSTTKTTAGHTSSPGHRRPPPLAPLAPRSTASSALSRGRRDMATTTAWYEVLDHCNGLVVFWDTSDDAMYVCNPTTQRWTRLPPWLDEPSWDHRRAFLVFDPAVSPHYKVLLAPMEPRKESGAEVNDEGDARRFMEWQPSPWTWQEFSSRTGRWEKKVFVREGEAAGTVGDLLFVSLAYGRVEPRWRYAAYWQGELYVHCHGEYVSSTE >Dexi8A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:8A:12793768:12797047:1 gene:Dexi8A01G0009530 transcript:Dexi8A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTNNWLGFASFSAAADDAAILPPLPSRGDEATPAPKLEDFLVGMQESSPAAAVAAGRPFAGTAGAAASSIGLSMIKNWLRSQPAPAVDDSMALVAASPEGIEKVVVHGAESGGAAVVDVAQQRKAAAVDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSSLPVGGTTKRLKDVPDQLDMGMNVNGADSGGHMTVTNLLTDGIGSYGRESYGYSGWPPSSMTPIPLQYSNGHDHSKLWCKPEHDSAVVAAAQNLQHLQHLPAPGGTHNFFQPSPHQEVTGATDVSSPSVDSNSFLYNGGVGYYPGAMGGGYPLPVATLVDGNPMASGYGVEEGTASDIYGGRNLYYLSQGSPGSNIGKADAYDQQGVEYDSCVPSVPVISQKPSSNVTVCSGTPLFSVWK >Dexi3B01G0028870.1:cds pep primary_assembly:Fonio_CM05836:3B:27493342:27493719:1 gene:Dexi3B01G0028870 transcript:Dexi3B01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFAVLLIVVALAAAVVLPVATASQASLPVRKSRFLAANVYDCSKKSASICLAAGSPGPTCCGGQCVDTISSPYHCGGCNKVCKNKHGTCCGGRCVDLDSDKDNCGRCGNQCRKKCNYGFCNYA >Dexi2B01G0033910.1:cds pep primary_assembly:Fonio_CM05836:2B:41256058:41259878:-1 gene:Dexi2B01G0033910 transcript:Dexi2B01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLDGFDCLHPRRRRRHGGPGAAPSSKARVAVRRFGSGSGKPTPSSSSSDGSGGAAAGGGRQVTIRVATFNAAMFSMAPAVAAEPAAATGAAAERGGGAGLPLPGSPGGARRPKGILKAQASMARSPSKARVSINLQDNEISLERSRLWRGRRPQQQQQGESPAPRRRSVEEVLREAGADIIGLQNVRAEEERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRATIEVPEAGEINFHCTHLDHLDEGWRMKQVDAIIRSGDGPHILAGGLNALDGTDYSAERWADIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVRVDVTIPHQVRETDNETRNRKQRVVRMNKKSSRKGIWGAK >Dexi1A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:1A:11106900:11107232:-1 gene:Dexi1A01G0011890 transcript:Dexi1A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAVAARSRALAQAVSSSLLRRSCLPTSRRASCMSRLPLVSGRLLSALPLHSAVASARLRSAIASESRSWCLVPQ >Dexi4A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:4A:21272931:21273838:1 gene:Dexi4A01G0017580 transcript:Dexi4A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPWRAAWVAVAAAALVATAVTAKECTNQVLTSHTEQARLQASSPGRGTSPALLFHGHGLNHDEPTSTSTDHMSPPVAGEEEEEFDWAMLYRSLNGRRGGGAAAGPFLEEVSLHDVRLDLDGDAVYGRAQRTNLEYLLMLDPDRLVWRFRSQSGLPTPGEPYGGWEALNLTGHFVGHYLSATAKMWASTHDATLAGNMEAVVDALHECQRATGSGYLSAFPAVFFDEFEALDYVWAPYYTIHKARRRSSSSSSLSIIDPPCATGC >Dexi1B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:1B:23245344:23246847:1 gene:Dexi1B01G0016780 transcript:Dexi1B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHERSRSTTSTYPPLVSSSSEQNHGDMEEHQATETEGEAARQEQAPADQRQASDGVDAAAGKAVVRRLEREVALAKQTEMKMLESLVQQTKELEQAKIALEEAKLELAALRQHHHHPPPPPPPQQQWSVMDLMFGGVDEEINGLRSRLRAASQGEERSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELESANAEADRLRGLLREAEAELWAATEQVRTLTSGWEDAAAAWRAREKALLARARAAEEDAAAARREDADDLERLRRAMERATEDASAAAEALELAAGENAALKDAVEENERAMEAMRRENEALRTSDAAARERAKELEAQLLAAAAKATAKAEEIPLVEKWRREAAQGKLGAAAFLDTGRVLPGRKDRMFASLSNLADLKSAAAAAAMDDYDYEFDHFDVGHRYGVGGGGATEHAMKHKKRRSILRKFGDLFRRRSMYKSNLAPELHDHY >Dexi8B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:8B:1572608:1575054:1 gene:Dexi8B01G0002290 transcript:Dexi8B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPSPAGGAARATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPITATTSTPRSPFLTHHYLPINGGAPSSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQQCSRDVVKALLELGAADVNSRAGPAGKTALHLAAEMVSPDMVSVLLDHHADPSARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVATRDEGGGAGGEAGGSDGGGNFGRSDADDSLVSLTMNSTLMYQGQEMAAAIAGGEARKGNNGGRGSPSNLYFPSGFP >Dexi1B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:1B:20662126:20664587:1 gene:Dexi1B01G0014380 transcript:Dexi1B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSALAWRPAAAAGARGPLAGGLPARDDDDTRGRRERFSIDSPFFTAARGFSAETLVPRNQDVGLADLPATVAAVKNPSEKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Dexi1B01G0023930.1:cds pep primary_assembly:Fonio_CM05836:1B:29390869:29396787:1 gene:Dexi1B01G0023930 transcript:Dexi1B01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTWGILLAVLVAAAVAVPPATAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPSKVFARARDLLAKPFPYVQSFTESLFLPYDLVPDARGAAAVRGDDGQVYSVEEIVAMVLHYAAGLAEAHVGAPVRDAVIAVPPYFGQAERRALTQAAQLAGINVLALINEHAGAALQYGIDKDFSNASRHVIFYDMGAGSTYAALVYYSAYNAKEYGKTVSANQFQVKDVRWNSKLGGVEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDVDFRSTITREKFEELCEDLWEQALTPVKEVLAHSDMKIDDIYAVELIGGATRVPKLQAKLQEFLGRRELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYAFILEIDGPDYVKDESIDQILVPRMKKMPIKMFRSIRHTKDFDVSLHYDKAYELPPGIPSHKFAEYSVSGLTDASEKYSSRNLSAPIKANIHFSLSRSGIVSLDRAEAVIEITEWVEVPKKILTLESNITNQNSSSEAGAANSTTDSKENLNSDSDTNSSAPIDEGSAQETITEKVLKKRTFRVPLKVVEKTTGAGTILSKEVYSEAKSRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEESTEILTVSTEQERESFTEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPDACENARLYLSELQKIVKNWETSKPWLPKKRVDEVVNEAEKVKGWLEEKETLQKSTPAHSQPAFTSEEVVDKILDLQDKASVASINRIPKPKPKIEKKPAKEEEPAHKEKTASPESASDETESTGTSQESKATEGDQSATEGETGDQSHDEL >Dexi5A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:5A:277810:278361:-1 gene:Dexi5A01G0000410 transcript:Dexi5A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHQTISLLGMQLEAKFQHTPSLVFFVVANVLACAYSLLVLIVPPASPAARFSFGFCALQMAGMVLTGAVAAAGAISDLGKNGNDHAGWLPICGLVHTFCDHVKGGSHLRPVILCFFLLYSTLTSPLLPQ >Dexi7B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:7B:25529243:25530966:1 gene:Dexi7B01G0020100 transcript:Dexi7B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLQPDRFLSELTSMYERSTEKGSVWVTMKRSSLKGKAQLQKMEKKGKEVEYRCLVRASDGKKSISTSVSLKEYAKFQASYATVLKAHMHALKKRERKDRKKAADAEKVPETVPKKQKKKSSKNSSGSKS >Dexi9A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:9A:8154768:8155481:1 gene:Dexi9A01G0012790 transcript:Dexi9A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSHPAGADVPDTMKLLCSHGERLTSRGRSGGETRVLVVPRDVSFRELTRRLQEMTGGGAEVLAIRHRLADYYEGLEDDDVLVSVTCDEELAHMRAEYGRLLATRPAARFRVFVTTAAPAASVVGSGGGGGVVRRGRLAAAGLPPLAPKKMRRVPQVHHRAAYPAAPVRRVQNAQEFTGLLHAQQQQSFHRHHHQQCCCCCQRRDLCAPAPPPASPMNAMPYMSKIISC >Dexi2B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:2B:6372884:6374582:1 gene:Dexi2B01G0006480 transcript:Dexi2B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADVPPPWRRRLILAGWLPPEGKKLVSHRSQKRALVEDFEASLAKFEVESEVESDNEVQIFASKGSVVTRVDVDGFDERKKRKQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNTPEEAAKAYDAEARRIRGKKAKVNFPDEAPVTLEKLIMNNMTNSNPEPFMQNEEMPFSSFVNADASIQETSVNQSSVEGGNFLSSSDTSMQNGIRDEITSIVAHVPTLTEVDEHALLQDNTGAVAALVTGDASVDLHEFNQYMNFQMDRSDESINTFLGSDDELEDVRCNMGLWNFDDMPGDVAI >Dexi3A01G0026770.1:cds pep primary_assembly:Fonio_CM05836:3A:23310181:23310572:-1 gene:Dexi3A01G0026770 transcript:Dexi3A01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQESRKELDRKAREGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRREQLGQEGYREMGKKGGLSSNDESGGERAAREGVDIDESKFTTKS >Dexi6B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:6B:1021275:1024196:1 gene:Dexi6B01G0001250 transcript:Dexi6B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGCSQCFCTGLVTNEDGPMGHARPHAKSACHPVALWIDQPDTAYCFECGGSLDLKLIASAARAYEPYVVRGISNKGMTCFVNVLVQCFLALRELRIWMFGPDAPKGSLGVALKNLFEKTGQVSESLTCKCCLELVAKPPQPFYVLSLTLPPITGHPTKSTARQRSGRRARNNLKDSEEDKHKVDCLPSIKECLEDYFRKELVTRNCDSCTKDRKSSTSQGKDGGQMVASINASTSADWDQTECDHHRKAQEKSDLFSPHDDQNAHQVGENPDEQKGKHAYKALVLSKLPPVLTLHVLRFEGNAAKRLGHVKFEENLDVGKYLDLRSEDKDNTTYRLVGVVEHSGNSLHKGHYVTDVRGSRTGSEQQQSIGSSSWFYATDRIIREVPLDEVLKCDAYLLFYEQTVD >Dexi3B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:3B:6664604:6668596:1 gene:Dexi3B01G0009620 transcript:Dexi3B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTVARLPRLDGEEGSPEATEDEEEESRATPSQESGADGFSGGEDEQEEEDGGGGEEPDEVEELGDDEELVLEEEEEEEGDSGMGSDELEVTDLGEPGAKMCQVGDQSVAVPLELYDLAGLREVLSLDAWNNLLSEEERLRLAALMPDMDQETFARTLVELLGGQNFHFGSPLAALFERLKGGLCDPRVNLYRRGTRFAERRKHYYWLQSYHNSMVRGLWEIKDCWKGREAYSLDERLRMVDNLKAQRKQREALASSRRAALDTDSESRESGKQVLNQLKLDKIGQKKAGKSLKERSRGLLRVGMLKGVDEECVGGSGRDAPGSRSGLSRQDNAYGYDSGTHRGKLHRSIDGLYSEELGYERDLPMTRFPRLLPKPAKKELTMGYDGNLYGNNYRDNNTASPYYYGRNPSANQGVTLAAAYDPPYFDTRRNARYSERDWVQGGEGAQSTAVTGDEMHWTAGTHTGHVDDWQKGKLSGDYRSRKDQPGYGMKVKSYKSIEQQPNDARIGSDARSKISQVKTAGKSSSQFDRISQKHSRGNALYSQSEETESDSSEPYEDAGDVHYLERKPEHHHSGLHRPANAKKSTKKSKKLAKVGKMNYPAADEDLEPSRSKGLKEKASEASYLRDVDVKMTEQIMKPPAASGERKRKGMANSETHVRDNSELQEINENANDPFRSTESEKLASRSVHAVQDSNGDFGVTERVSGSSGSKKTKGKVEVTSLDEHSEHAPSGPKMVENIGGSKKKSKKKTESTTDAATVAEPVADVPENNIVAVEPEKIEKPEKIEKPKKKYVPISPTIHTGFSFSVVHLLTAVKKAMVSPAEDTPAAATQPDGEEGKKWFNNEEHSKMPQEQSSTEQAQQVPEGADTNAAEQTVPSNSPALTVQEIVYRIKSNPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKGWKALVAYEKENKSWFWVGPIPSVSSYDDPDEETSAEAWCIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDLKERFKELRAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPARTGTRADVCTLLRDSQYLNHEEATKEAAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRRDHSDPGDPGTANEDFDDDGTGTPSTNNAKKQKTDHGDPTVSGEANDEGDHAAQNPSCGGLVRDPDLNIAPSSKKYEESGGVVYIDAKPDDGGSNSVDAKPGSRDDENPASLQSFPEQNKNMEFPDNTSMDASLP >Dexi5A01G0031440.1:cds pep primary_assembly:Fonio_CM05836:5A:34095850:34097562:-1 gene:Dexi5A01G0031440 transcript:Dexi5A01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSSSAASVDAPPPPPEALHPPYSPSRADLSPPPPEVYSPYNPEDSPVPDAHLYMPPPEPLIPGLQSLPPPEPLRDELGYAFPPPPPPLVRASELLGWEDRPSSSTASSPFFPDTAARYRRLLEQTGSRWLRPRRFRSDLPPLSSEARTSGRDAAMPVPQHEPATDIAEMNKVAANGSELGASEESTEERGKTAATFECNICFEMANEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSDGEKAVEDGKPQGPSIPPRPHGNRLESFRQQFHHMRPISRRLGEAHGILSSWRRLLDQQIMNTVSRFEGPSESAAQEIDDSAQHATRLSRLALATRMRARRLQREAESRPDGSSTGPDTGLTQTIVSESSRRGSTLNITDRYELLDFARRRQREAEILPDGSSTGPNTGLARTISESLRRGSTLSVSDRYELLERLIGIESTERLASAFSDLRRIASPSQYGGSASSSNPQNNEPAVDGTHVAGAPSADQASNSSTVAVIQGDAGISESAGEPSNAGPSRSLRRRGRTNALGSLDVDGGGPQRNKRRRMN >Dexi5A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:5A:2124741:2130412:1 gene:Dexi5A01G0002950 transcript:Dexi5A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFDSGGRSGDKAAAGAGDDGGAPPLPQTVQIGNSPTYKLERKLGKGGFGQVYVGRRISAPRLSDRNPGSNALEVALKFEHQTSKGCNYGAPYEWQVYKMSVEMVACIGIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATKWKDNGTGQHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCGICPQPFRHFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDVRPINTDGAQKVGQKRGRLIADENDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASSSNLWALIMDAGTGFTSQEWIMEQWERNFYITALAGANNGSSLVIMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFSAQVVELDFLYPSEGIHMRWDNGYRITATAATWDQAAFILSVPRRKPTDETQETLRTSAFPSQHVKDKWSKNLYLASICYGRSVS >Dexi4A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:4A:17845848:17846219:-1 gene:Dexi4A01G0015190 transcript:Dexi4A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPEFSAEPSATLSIYKAARRIKRRASTLYNALRSVAEDAAFVAEVAALWPTLPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAASG >Dexi3B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:3B:8699992:8702109:-1 gene:Dexi3B01G0012420 transcript:Dexi3B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFTVRRRDPELVRPAAPTPRETKRLSDIDGLVGFRTQQPVMFFYRGERRPTADDDDPAGVIRRALGEALVPYYPFAGRLREVEARRLVVDCTGEGVLFVEADADFLSAMTELARGLPAPTVVPSWSRELLEALPHHEPDAAADVPPPLMMTASPPAPGDDMVTLVRTFTFAPAHVRAIRSGLPASLRDTATSFEVLTSALWRAHTAALELPPHEDALLVFICNVRGVPELALPAGYYGNACVPVRVLTTVEALVAGSLEDTVEMVREAKKTVTAEYVRSVVALGRRLRVGRCLDGPSSAAFGTSFLVAARNGDGEDATAFPIVLPRPAMDRFASEMEKLFKG >Dexi1B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:1B:22690544:22693550:-1 gene:Dexi1B01G0016130 transcript:Dexi1B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVGQRFSGWSYSDLPYNEHNAQDYSVQQMVLNHGSVSFGRFASESLSWEKRSVFEHNRRQEELRNLTAPGLVAQKRAFFEEYYKRARHLKAQGAMHQTEATMEEGNDESTHGHSRKADELPEVMSEDPVASAPSSSSEPSIEANSADDRKCQDAHELGYLTFNPLFSQTEGLQNIQEEERSISGQKQYPDQEFPCANLATSDNNTRRVDLRRNSGNRHSEVLKDPFHKRVEMKLRALSDRLDADRTAASCRSSYQNADRFTTSARSSICQKIDRVLAPSKIAAQASHNCLKGVKRSDAVPRGTFFNKGSSASHVASSNITAPGKSAVKTSVIASSSKVSERSSRTAQVTSKRSAGLNCIDNVSQNKRKQLSTPATLDENNRKRVRASAPLTARSSSENCPPAAKAPKISNMANVVAK >Dexi9B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:9B:3859208:3862133:-1 gene:Dexi9B01G0006410 transcript:Dexi9B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDAANAPSRRLARVAGHLNPQMKEEGGAPALRPAACRAKGGAPGFKVAILGAAGGIGQPLSLLMKTNPLVSVLHLYDVVNTPGVTADISHMDTTAVVRGFLGAQQLDAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRMLCEGVARCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYNPKRLLGVTTLDVVRANTFVAEVLGVDPRDVSVPVVGGHAGVTILPLLSQVTPPSSFTQEEIKYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGEAGIVECSYVASEVTELPFFASKVRLGRSGAEEILPLGPLNDFERVGLEAAKKELSESIQKGIAFMNK >Dexi2A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:2A:31967923:31969131:-1 gene:Dexi2A01G0019880 transcript:Dexi2A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCSIEPNHQAPLLICQRLASFSTTLRCLDALRWVASHANATGSAAGEEEPWLADHGDFSRLCVGGESAGANIAHHMAMRAGTEPFLLPHGARISGAVMVHPYFLGSGRVSSEETDPGMAESVVTMWRVVCPGTTGVEDDPWINPLAAAAPGLKGLACGRVLVCLAEKDVVRDRGHAYAEGLRASGWDGEVEVVEVAGQGHCFHLVDFTSADAVAQDDAIAKFVNL >Dexi1A01G0030420.1:cds pep primary_assembly:Fonio_CM05836:1A:35744331:35744813:-1 gene:Dexi1A01G0030420 transcript:Dexi1A01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDTGVIKGVCLCSGCLCSVAESMKEGAAPGVEGLAPGAEGELASPDPRKDSRARRTSSTFFEGAELGRGEFTGVRRGSGGEGGDERMRSRMSAKSVNDESRGAPGLGCGEEEEGEWAASRSLAGGGGGGGGGG >Dexi3A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:3A:5725407:5727847:-1 gene:Dexi3A01G0008180 transcript:Dexi3A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAARRSAAASVASAFHSSAAALSKSTPHIRFAVREKCRDAKSALRNILLNGNPYQESSSKQMRKQKDNGRSKVQRPSPGKNPYGKNKRGQNWKSFDDDDECTDTPCGTFGDKKSFTWYWPGESDDASSPSGFQWRDESQSAKSRKKFLNESDVDEEEELGHDDLRDYRISLGLPILGPLKLEHIKAAFRASALKWHPDKHQGASQAEAEEKFRRCVEAYNALTCAFKSSG >Dexi5A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:5A:12421781:12425117:1 gene:Dexi5A01G0015070 transcript:Dexi5A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTPMGLAAAAAAAVRPCRRRLLSSASVAASKAAPGTATPLFPRLPHPHHPPCGRRLPFLVSAASQSQTGPDAPPAHVPVPGSTSDPRAAVSGNLPFFDRVLFPDSFPLETPPAKEHEDAAAQADEVVEAAPPVREESETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEGFEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYCKITGDSALLERVDVQTGIQLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLSDDKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASVLTCDEDLELLEGCACCLSKKRTRCSRRAAKSHAG >Dexi9A01G0022490.1:cds pep primary_assembly:Fonio_CM05836:9A:17661243:17663765:-1 gene:Dexi9A01G0022490 transcript:Dexi9A01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRAAGPGSNAQQRRPLACARGPSTNAVVSPWPSARPPPSLHHQHGRRRTPWHTLRLLQFHHGGAAAASGLPLLLPLLLLCLSVALPAGSPENILGEARSPGFAARLRGLPRRIHQQPELTFEENRTSELVRPELDAIASPTRGPLPRPASSPPSPAMVAAAMGPSQQRRVRVVHIMSYKKVVSVTFVKGGDAYNVIPESMTFGGTLRSMTNEGLSYLMMRVKEVNYV >Dexi1A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:1A:27727728:27731082:-1 gene:Dexi1A01G0020880 transcript:Dexi1A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGLIVENDPLNWGAAAAELAGSHLDEVKRMVAQARQPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSEVTRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAATVMYDANLLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILDGSAFMKHAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLGNPITNHVQSAEQHNQDVNSLGLVSARKTAEAIDILKLMSSTYIVALCQAIDLRHLEENIKTSVKNTVTQVAKKVLTMNPTGDLSAARFSEKDLITAIDREGVFTYAEDAASASLPLMQKLRAVLVDHALSNGDAEREPSVFSKITKFEEELRAVLPREVEAARVAVAEGNAAVENRIKDSRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFIGISQGKLVDPMLECLKEWDGKPLPINN >Dexi9A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:9A:11741033:11741380:-1 gene:Dexi9A01G0016700 transcript:Dexi9A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLDHTFTPGHRQAWEQQPCAQGGLQLRRLILLACTCARQHFSSAGNLGCVTWIRPRQAATSVQRPGAGASGGQVSTVTAVCRPQLSRAAREPVAQAAQGLAGTAPRGRKGAA >Dexi7B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:7B:22823756:22827804:1 gene:Dexi7B01G0016770 transcript:Dexi7B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLRAFAAAAASASAVPAAAAAAYALSFSSSGPSTSKLRFPLPASFLSASASTSSTSGHAPNAVPPMAAAASTATADLSAPDKESTALPELTTEFMVDMKCEGCVTSVKNKLQTLEGIKNIEVDLPNQVVRVLGSLPVKTMLDALHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVVFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPPDYVSDKAVGDLGTLEAGENGEAHFSGSKEKLRVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >Dexi2A01G0033520.1:cds pep primary_assembly:Fonio_CM05836:2A:43691678:43696231:1 gene:Dexi2A01G0033520 transcript:Dexi2A01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLSEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVANEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSCDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLTLVSTLIRLLFLIPPDGEFAVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRLEWNLKKASTNHGCLIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNASKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >Dexi2A01G0028360.1:cds pep primary_assembly:Fonio_CM05836:2A:39649038:39652827:1 gene:Dexi2A01G0028360 transcript:Dexi2A01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNAILSYWSAVMSSAHFFALLLCACVLVSGTTAANQNDTGDPARPAEVRIGALFTFDSVIGKAVMPAIELAVAHVNADPSILRGTNLSVLMQDTNCSGFVGTIEATDPTLSSLEYPYFVRATQSDYYQMGAIASIISQYQWKQVIAIYVDDDYGRGGITALGDLLAKRKCKIAYKAKLPRGAANTTIEKILMQVNEMESRVYVIHVNPDSGLNVFSAAKSLGMMSSGYGVLVLRQHVADSEIRKATLSKRNNLTRNGSAYYMRAYDSVWFSFSTMFFAHRENTVSALGRFVLPIWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDNLISSSSAIGYQVGSFSRNYLVNELNIAESRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVDIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGTECSADNNGSASNSLSLSSFWGLFLICGLACLLALVIFFLRIFCQYSRYSNQVEVQIPEPQIVNRPARLTTIKSLISFVDKKEEEVKNALKKRPNGSQHPTIGDSQHPNIGSTATEEQSTVPT >Dexi6A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:6A:3803419:3816528:1 gene:Dexi6A01G0004130 transcript:Dexi6A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQRPLAKMATNYYEDHSISSSHADDMDERRSTVSVSPEGSADEETFPFFGLLCYADTVDWLLMALGTLGSIIHGIAFPVGYLLLGKALDAFGTNIHDQEGMVHALYKVVPYVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLSQEIGAFDTDLTTAAIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIIIAFISCWEVAMLSFLVIPLILVIGATYTKKMNVISLARNAIVSEAISVVEQTLSHIKTVFSFVGESWAMMSFAQCMENQFNLSKKEAMIKGIGLGLFQAVTFCSWALMVWIGAVAVTKNKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYDKGGAVLEKIHGEIKFRRVHFAYPSRQDKPILQGFSLSIPAGKVIALVGSSGCGKSTVISLLQRFYDPTSGDVFIDGHSIKKLDLKSLRRNIASVSQEPALFSGNIKDNLRIGKMDANDKEIIEAATTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALERAMHGRTVILIAHRMSTIVNADTIVVVENGKVAQTGTHHELLEKSTFYSNVCSMQNIEKEAEKKVASTSDNVIEEQVSEAHVRQSSTKQGTKNKLERVESKQHKKEIVREIHPFFRLWYGLHKEEILKILFGSSAAAISGISKPLFGYFIMTIGVAYYDPDAKRKVSKYSLIFFTAGMITLVSNILQHYIYGIIGEKAMKNLREALFSAVLRNELGWFEKPKNGVGSLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATTVSMYVNWRMGLVSWAVMPCHFIGGLIQAKSAKGFYGDSAIAHQELISLASEAAGNIRTVASFVYEDEIIKKAELSLHEPLKKTKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFDTLDRETQIVPDKPENPSKGWLVGRIEFQDVHFNYPSRPEVTILDGFNLIIEPGQRVALVGPSGAGKSSVLALILRFYDPYRGRVLIDNKNIRDYNLRWLRKQIGLVQQEPILFNISIRDNISYGSESTSETEIIQAAMKANIHEFISGLPEGYDTVVGDKGSQLSGGQKQRIAIARTILKRPAILLLDEATSALDGESERVVMNSLGAKEWTTEVDQATKAMPLVQEKDRPTESSESNIADSKKSSGPDAMAPETEAEDKPFPFLGLLCYADALDWLLMVSGTMGSFIHGMGPSMSYYILGKAVDVIGNNIRNKEAMVHELNKLIPYMWALAIVTLPGGMIAGATNHMSVIQDAIGEKMGHFISNFSTFLVAIIVAFACCWEVGMLSLLVVPMLLMVGATYAKMMTDMSLARTSFISEATTVVEQTLANIKTVFSFVGENSAIKSFNKCMNNQYTLSKKEAMAKGLGLGMLQIATFCSYSLVIWVGAAAVTGGKAKPGETIAAVINVLSGAIYISNAAPDLQVFSQAKAAGKQIFEVIKRNPAISYESSGKILEKITGDIEMREVYFTYPSREDEPVLQGFSLAIQAGNIVALVGSSGCGKSTVISLVQRFYDPTSGVVLIDNQNIKELDLKYLRRNIGSVSQEPSLFSGTIMDNLRIGKMDATDEEIIEAAKTANVHSFITKLPNQYSTEAFAMTISSITELWSLIPMVLSAIAILEPALDILDRETQIVPDVPKVHSEERLAGDVEFQDVGFSYPSRPEVIILDGFNLAIEAGQRVALVGSSGSGKSTVLALLLRFYDPYEGQVLVDGKDIRHYNLRYLRKHIGLVQQEPILFNMSIRENISYGNEGVSESEIIEAAKEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPTILLLDEATSALDSESERAVMTSLGAKEWENKGALSSKITSITIAHRISTVTNADVIVVMDKGQVIEMGSHESLLSASTGVYCKLYHMQSKGVKE >Dexi4B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:4B:6358828:6359850:1 gene:Dexi4B01G0008860 transcript:Dexi4B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSSSFRRRGHHALLLFMALALLSSARRSVATGVSSPRNSRKLMALYKPPASDMLRYHDGAVLSGDIPVSILWYGRFTPAQKAIVTDFLLSLSSAPQASPSPSVATWWSNIHRLYLSKPAAVRKNGGGAARSSRVVLASQVSDEGCSLGKSLKMSHLPALAAKATRRPATNGIALVLTAQDVAVEGFCSSRCGHHGSYGGSGAAAAYAWVGNPSTQCPGQCAWPFHQPVYGPQSPPLLAPNGDVGMDGAVISLASMVAGAVTNPFGDGFYQGDRGAPLEAATACAGVYGRGAYPGYAGELLVDKATGASYNANGARGRKFLLPALYDPDTGDCATLV >Dexi5A01G0024760.1:cds pep primary_assembly:Fonio_CM05836:5A:28608692:28614684:1 gene:Dexi5A01G0024760 transcript:Dexi5A01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEDALRRGVVKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLRNSKITGPSSSPSSASSHALILPVANKVTEALPEAEKKPQDVKTPPKYGAMILEALSELNEPNGSEIGAIFGYIEVDNKFYRLADSFATRTPAPIKASAPKQKDPSKLSKASKNLGFFASSSPGLDAAMAAAVKVSEAEARAHEAHDQMMEAERILKMAEETESLLTIAAEIYDRCSRGEITTLNPVQREF >Dexi2A01G0023510.1:cds pep primary_assembly:Fonio_CM05836:2A:35208644:35209003:-1 gene:Dexi2A01G0023510 transcript:Dexi2A01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGIIPLVCGAIKRRRRAKKAVDYELLSSAGAPAPTWGQERSTGAAYHSRSQSCRFPARSPDDELCSSRDEGDRALPEGLRDEPFTPAGGDGGA >Dexi2B01G0026220.1:cds pep primary_assembly:Fonio_CM05836:2B:35358698:35367889:1 gene:Dexi2B01G0026220 transcript:Dexi2B01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATILFVPCWESGHFMSMVTAGKRMLDASGGALSLTVLVMRAPTAAKASEVDGHVRREAASGGLAIRFLNLPAVEPPTDYAASEEFNFRYIQRHAPHVEEAIAGLSSPVAAVVVDLFCTALLDVAAGELAVPRYVYFASTGAFLALMLRLPVLREHLNETTGGTVNVPGLPPVPMSYMPKCLTGSKIGNYEWFEHYGRRFMDTNAIIINSSVELEGEVLAAITDGRCVPGRPAPTIHAIGPVLWFAAAREQPQPHVCVQWLDTQPPGSVVFLCFGSKGFVDTAQVGEVAAGLERSGHRFLWVLRGPPVAGSSLPTDADLDAMLPGGFVARTRGRGLVWPSWAPQKEVLAHPAVGGFVTHCGWNSTLESLWFGVPMVPWPLYGEQHLNAFELVRVMGVAVRLKDDMDGGRAEPFVEAAELERAVRGVMGGTEEGRKAREKAAEMKAACRNAVAEGGSSCVALRRLVSEISPSGGGAPLVAVFWSEMHGTPHVFDLPRFRQNETLKSRPLPPPPLSGSSDDGCVLPVAPLPIHQPRRLRVPLPARTPKPLMAAALRSRTPLLQLRIVIEGAWWPPPPKLVSPTLYAVCTSDTARIAQERKTVAFRYAGGLTYNICHPARSCRRVLLGYVHHLGVAVAEDDGLARGGVGGAGDRTCLDHVGRVYSTYVSRAPTAAAMSSVRSVVDICRPGGDEQRASFRRTYTSGRCTREHVTLLQEVMCGCQVSTRERARLGIGLSDLEVVRRRVPLVAPAVLIGVATPISAAAAAGVRVGRALPATLVLRLVSSNSASSWNLQTKTETDQERRGDCVGTARYEVHRRAFKTNRRKLSAAEGFNSLAGIWCRACAPTCACAQKQRHNKSNQSGWVRPLCVLAKAWPAGYSDPPLAGQAQLFPSLAMLTLHRQKANARDPTWSTTHKPFEASKGKGQCYVLRVNARSTSPMWTKTNENGNGDALVQQQSEESPEVKA >Dexi1B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:1B:22458836:22459792:-1 gene:Dexi1B01G0015950 transcript:Dexi1B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQSMLSASGCIFLRSKPQVVRGGAIIGGFSSSKQFPLACNASSSPSPSSPSPAPPQEDPDCNEVECAPDKEVGSLSAEWLAEERTRVVGTFPPRKRGWTGLVAKDTAGQTNIYSVEPTVYVAESAISSGAAGTSAEGAENTAAIAGGLVLITVAAASSILIQVNKSQPQVPPEAVYNGPPLSYYVAKFQPEVAPPPPPAETLEAPAPAEAVVAAQEDTAAVEASAAAPEAEPLSS >Dexi1A01G0029350.1:cds pep primary_assembly:Fonio_CM05836:1A:34873762:34877175:1 gene:Dexi1A01G0029350 transcript:Dexi1A01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHALRAAGEVFLRATGAQLSFSAAIAAAVEWCLRAWGKVRAELVVPLIRAAVVLCMVMSVIVLAEKVFLGTVSSVMKLLRRRPWRMYRCDPIIPRPDEEAAGSEAYPMVLVQIPMYNEKEELVKSECERWAAEGINIKYETRKDRAGYKAGNLKEGMRHAYVRDCEFVAMFDADFQPAPDFLARTVPFLVHNPSLALVQARWKFGEFLVLAADNKAVLVLQPGNPASLGCICSQPITSCPVVNANDCLLTRMQEMSMDYHFKVEQEAGSSVCNFFGYNGTAGVWRTKAIIESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSVWKKLYMIYDFFIARRIVGAFFTLFFFSILIPLIIVFPEAQIPMWELIYIPTAIILLHSVGTPRSIHLIILWFLFENVMALHRFKAILIGFSEADRANEWIVTQKLGNLQKPKSIVSVTRNSRFKHRFYCLEIFIGMFLLFIGLSVPED >Dexi2B01G0028560.1:cds pep primary_assembly:Fonio_CM05836:2B:37146923:37151028:-1 gene:Dexi2B01G0028560 transcript:Dexi2B01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHATITTALLVAFLFSFSFFLHADVAAAASTLSQGQSLGANDTLVSPGGSFALAFFTPAGGDPSRVYLGVMYAQATEQTVPWVANRDAPVSASSAYSAAVTASGDLRVLQGDSVVWSTNTSSTLGNATLTLSDSGNLQLTAGGEQPTVVIWQSFEHPTDTFLPGMRITLDRSNGGVRRTLFTSWRSSGDPATGDFTLGQDPLGSAQLYIWRQTTGGENATYWRSGQWANTNFVGVPWRSLYVYGFKLNGDPSQSNGVIYYTFNAYNTSDYRFVLNPNGTETCYMLLNTGEWETVWSQPTTRCQEYNTCGANAACSDDVSGDGQPVCSCLKGFEPRDPAEYGAGNWTQGCVRSVPLSCVSGGGGGGDGFAEFPGMKLPNFAEWGSTVGDADACKQSCLGNCSCGGYSYSTGTGCLTWGQELVDIYKFPDGEGYDLFVKVPSSILEKLGIVGSRDKKRLPTLLPLREQRQDFSGPKQQSDHQEEAEGGKKCELPLFPLEMVAAATDDFSAANKLGEGGFGHVYKGTLPGGEEVAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVKLLGCCIQGEEKILVYEYMPNKSLDAFLFDPARRGLLDWKTRFHIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQVNTDRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILVLEIVSGQKNSSFHHMEGTINIVGHAWQLWSAGEGERLVDPAVRAAGGSATEVALRCVHMALLCVQDHACDRPDIAYVAMALGSDSSVLPRPKPPTFTLQCTSSDRDGLFEGRQADESYSACDLTVTMLQGR >Dexi9B01G0043450.1:cds pep primary_assembly:Fonio_CM05836:9B:43407614:43408623:1 gene:Dexi9B01G0043450 transcript:Dexi9B01G0043450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEEDERLLFPSFAFPESFAEVATPGSAGGEQKKQARQRRRRKPRPSGDGGEGGDDQAKKRRLSDEQARLLELNFRKERKLETPRKVQLATELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRAAHDAVVVQNCHLEAELLRMKERLEEAEEEKRKLIAGATAVAAGGGAGAGSSSPSSSSFSTVTHNPAALVGQFGMEAEEVAAGDLTYISEYTYNSYMNMMDGYFGGVYDQFNWAYLQEIRKD >Dexi7A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:7A:21876031:21883800:1 gene:Dexi7A01G0011330 transcript:Dexi7A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSFHHLALLLLLAAYGGIAPASAGGSWAFLKNVGVSGMHMQLLHTDRLILFDRTNVGPSNLTFPSGHPCRLNPQDDWFHNTTDCTAHSVEYNVSSNTFRGLSLVTDTWCSSGHVAPDGTLVQNGGWKDGTRKIRLLRACGGADTSCDWAEQSAPVVLAADRWYATNQKLPDGRAIIVGGIGQPSYEFYPKTATNNIAFALPFLGQTDTLYPFVHLNIDGNLFIFAGNRAILFDYTRDVIVRNYTMLGDGSDLRTNPNAGSSVLLPLKPNPTEAEVLICGGTKATANDAAAHGHYPPALTTCGRLKITDENPTWVVEEMPSPRVMGDMLLLPNGEVAIVNGAIDGLGGWESANNSNPTTVIYRPDLPFQGPTSRFEQQSPAGITPRPRMYHSSAALLRDGRVVVGGSNPHEFYVFENTKFPTDVTLEAFSPYYLDVANNGLRPNIFNPSPKAGPVKVTYRGKLKLQFFARDGVPGSVTMVAPAYTTHAFSQNQRQLFLTVDVKPLQAFKVNGPDAMPFPGFYEATVDMPATPILAPPGYYMLFVVNGRIPSQGIWGELQQLSRPAFPARAILADTDAAAARRQPTSCGVEFDVLMESKGGKKSSSSRSMMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCAKKPS >Dexi3A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:3A:15230433:15230950:1 gene:Dexi3A01G0019390 transcript:Dexi3A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLRLRAVPLALLLLAAAAAVGVSARAAGEERQEEEGGVDPEVAACRQQCARQRQFDEAERRHCLWQCDEYGRAKRRHEEEEREEGPEREREIERCLHECRVGPPKPGCERRCREAYERATRRPRWQGYTGHRGAKLALTTRSRSVLFASHPGPCVAKNE >Dexi8B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:8B:104579:105295:1 gene:Dexi8B01G0000110 transcript:Dexi8B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPPREEVVAAGNVKAKQADGASSGGERKPRRQLEHARNCPRCDSTNTKFCYYNNYSTTQPRYLCKTCRRYWTQGGVLRNVPVGGSCRKNKQQRAASASSSSSDSSKKIRNNNNTPQLMMTSDFPNVLPTLMSSNPLLAGTTFFMDVLRGGVDGNSAPSFGFGMHGGSSTASQQEHLVGPLPQGHLPAGGGGRAHQWPPTARGEAGDDGNNNHHNWQGGGGGRGGLINDNSRNSLV >Dexi7A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:7A:28067541:28068608:-1 gene:Dexi7A01G0018760 transcript:Dexi7A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSDQFLAEVDGVLRSTLVDKSSRHSFTDQLTQMAQNDYLLNSRHEALGSTGKKKCEVTRRRNDLETNKRKKARKGQSDETEGGPGGELNVTPGSIQTESRNTSNQFIPDQLMQGHYVLGHNFGLGISQNLHDNLNQFGQEGSVSTLPQQPFPGNAQLTQVSSTDYCRLNIRLICMHCNSWRQLPKSIIRMATRVSRQYQCGIFFDAPPRIA >Dexi7B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:7B:10671060:10671278:-1 gene:Dexi7B01G0004410 transcript:Dexi7B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMARKALLLVILVQVLGVLAAAAAARPLPLEEGGAGGNGSGGWMAGSIGTMVTQLLLGSKSGSNPKSHCC >Dexi9A01G0033880.1:cds pep primary_assembly:Fonio_CM05836:9A:38701844:38703750:-1 gene:Dexi9A01G0033880 transcript:Dexi9A01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGVVLGTEDAVVAAAAAARHFSFPPPRTGGDSCRKLAAQVDLGAAAVTGSWLDSMKASSPRHKLMAPLAAADAEHDDWMERHPSALDRFDALAAAAKGKQVAVFLDYDGTLSPIVEDPDSAIMTDEMREAVRGVAARFPTAIVSGRGRDKLSAVPCSGGDGDVRGDGDADVLLAARSQVFDFVGLEELYYAGSHGMDIRGPTADPNNPNGKEARSVLCQPASEFLPVISEVYAALVNTVETSIPGAKVENNKFCLSVHFRCVEESAWGALFEQVRSVLKDYPGLRLTQGRKVLEVRPMIKWDKGKALEFLLGALGYGDDGRGDEVFPIYVGDDRTDEDAFKVLRARGQGAGILVSKFPKETCATFSLREPGEVRDFLRRLVLLDGTNT >Dexi2A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:2A:32757515:32757917:-1 gene:Dexi2A01G0020560 transcript:Dexi2A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVRPRPIQAACCLLPAVSCSEDHDEGREEEAAAGGDGGLSEPPPGGELLGGPRQGLSRARKGSPQPPSPRSGCCNARRVVARALEHELRS >Dexi1A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:1A:15185326:15187630:-1 gene:Dexi1A01G0013030 transcript:Dexi1A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRTIPGAVAAPTVILILLCASGVLAAAQNYSAIFNFGDSITDTGNLCINGRMSQITFTQPPYGETYFGTPTCRCSDGRVIVDFLSDQFGLPFLQPSKSMNADFKQGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQIATSVCGQSCKSYLANSLFVFGEFGGNDYNAMIFGGYTTEQARKYTPKIVNTISRGIDSLIAMGATDIVVPGVLPIGCFPIYLTIYQSSNSSDYDDLGCLNKFNDLSTYHNTLLQKRVDIIQSRHRKTARIMYADFYSAVYDMVRNPQNYGFSSVFETCCGSGGGKYNYQNSARCGMQGASACASPASHLSWDGIHLTEAAYKQITDRWLKGPYCRPPILHS >Dexi2A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:2A:31957857:31958984:1 gene:Dexi2A01G0019860 transcript:Dexi2A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSRRRLAKLALLLLALLLLLAANTLLNAASGPEPWLRDRGNLSRLFLAGDSAGANIAHNMAMRAGTEEVDGGAAITGLLLLDPYFWGKKPVAGETTDNSTRRQYEATWSFICGGRYGIDDPLVNPLSLPAAELRKLACSRVAVTSSGLDDFRPRDLAYAAALRDSGWGGEIEQYETDGERHVYFLDRPKDPNSVKELAFVTGFLSRE >Dexi6A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:6A:25948517:25950318:1 gene:Dexi6A01G0018150 transcript:Dexi6A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHHTHGWNNKISPRKNPASQPNHQPLLHRLACAMAAVTSAASLCPATGGLSPSSPFGRKASSSSNHRRLQLQAATVCHCRPARVEVLDRREALLSIALAAAAPALVPANALAAEATELQEGFSKYEDEANKFSIDVPQGTIKNPKSVYQLAEIGGQQEKSSVGNRSPVCLCAGWLIGAGESSGIKSVTAFYPEQTPDSNVSVAITGIGPDFTSLKSFGDVDSFAEGLVNGLDRSWQRPPGLAAKLIDSRAANGLYYVEYTLQNPGERRRHILSAIGMAFNGWYNRLYTVTGQYIDDDESEKYRAQIEKAVRSFRLT >Dexi6A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:6A:12098489:12106932:-1 gene:Dexi6A01G0009970 transcript:Dexi6A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTENNSATYASSGNPCLDLFFQVVPDTPPERVRQLVTLAWAHDPLTALKLVANLRGVRGTGKSDKEGFYAAALWMHERHPKTLACNLPELAEFGYLKDFPELLYRLIHGADVRKLAKAKVGNEKIRRKVAEARAARLAGSKRARGESSAPQPTAALSKSKTKSKRSNKSGAAGMETEEEASEVVEQKSEEMEVDHKEAAAAKKIPMTKEVRKVAKLAVQSIETYDGDCGYRFLFDCVAQFFADLLASDLEQLAPGGKKRKIGLAAKWCPTPGSSFDRTTMLCEAIARLLFPRDSNPDYALLSEEHYAYHVLRRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKDLFKKHDEARFDKYLEDVEAGKAKTAAGALLPHEIAAAAFRGEEDDVSELQWRRMVEDLRKKGSLSNCIAVCDVSGSMSGTPMEVCVALGLLISELSEKPWAGRDKMIRTVFVFSDMEFDQASVRPWETDYQAICLKFKDAGFGDAVPQIVFWNLRDSQSIPVTSTQPGVAMVSGFSKNLVKLFLENDGVVSPEAVMAAAISGAEYQKLAVFD >Dexi8A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:8A:28889848:28890182:-1 gene:Dexi8A01G0017140 transcript:Dexi8A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLEVVGTGLDFICLVQCLRKKIGYADLLKVEEVKARDTRAVVVNTQGAQPAYYEPANNDPTTVVTAASTCRAYGQPAAA >Dexi7A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:7A:27925913:27926615:-1 gene:Dexi7A01G0018550 transcript:Dexi7A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDEHSNGNGGHHQQQHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLRSLAGDPSCDAGPGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDAMRAHIDARVLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCATNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >Dexi9B01G0022810.1:cds pep primary_assembly:Fonio_CM05836:9B:17664065:17664985:-1 gene:Dexi9B01G0022810 transcript:Dexi9B01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLLAAALLALALLVPHAQMTTAANSNLFVEYIGAIFNGVQFSDVPINPNVQFDFTVAFVIDYTTTTTPPSPTNGQFEIYWQSSVLTPSAVAAIKQSNPNVRVAVSLGGATVSGSPVLFSITDVDSWVANAISSLTGIIQQYNLDGIDIDYEQFQADPATFAECIGRLVTTLKNNGVIKFASIAPFDNSDVQSHYQALWSSYSSVIDYINFQFYAYDSSTTADQYVSHFNDQIANYPGGNILASFTTDTSSNSVPIATALSACQTLQSQGHLYGIFIWAADYSSSEGFQYETQAQALVANANS >Dexi4B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:4B:947575:953187:-1 gene:Dexi4B01G0001590 transcript:Dexi4B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAHPWTFMSGGACSNLGLVAREMNGSVPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQQSTSMNGDSIMRVPASPMSFSSNNISGSSVIDGSIMQQSPPQEQVQKRRSSSVTSQPAIDAAGALHAQKKSRMDIGQGDVVQQQLIHQLVHGQNSLHFQQNPQFQALIQQRKLAQLQQRQQQHLLQPFSQIQQPQVGIPRQPQLRPPLAQPGMQLGGPVRTPIEGGICARRLQQYLFHKRHRPENNSITYWRKLVEEYFAPRAKERWCVSSYENRGNSSAAAPQKVLGATLVELPCYIVNNLGDHNVLSWSLLSSQVNNLLQVAQKYQAAVSESGTAGISNNDAHTICNMLVFLFHLQFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNNLGPKESLNSYSKTIAKFQNVHDSRQLMAAASLANNQSNTKVMGVQQETSAPGNSRTPGVGAIGNNTLQNATALNSYQNLLRSSSANQILLQQEASSIFKGPAAMHNAMQLGAARSFYGPSQAQLAQFQQQASFQQPMPQQNNLHGFGASPQYQQHVFNQLLQEVKKNNNRAFAQQPPPDASNASGGLASGASTPNLTATGEQAQRINNSNSNSNSAARGAAPAGTGPSNVLNNNTASVVPSRNNSFKSVSSNPVAAATGGNATNLKVDDSFHELEDLDHLIANELVESGLFNSGQGGSASPWEHMSMSH >Dexi5A01G0029340.1:cds pep primary_assembly:Fonio_CM05836:5A:32444368:32445723:1 gene:Dexi5A01G0029340 transcript:Dexi5A01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAAASPARRLASIFSSATPRAQPLKTAPAPGPVPAPKAKAAAGDAEAKSNGGRGNLGKPLTKIVRNIFRERDPDKLVSQFIAASSASQRFRHKHRVYEVSVARLVSFGRHDAITTIIDSQKPFLEASGEGFAACLVRLYGRAGMPSHAAATFHDLPPKLKSVKTFDALLAAYVDAGELDALDTAFRQIPASHPTIVPNIYSYNILISALCQKPDLSAALDVIPLMEKCGIKPDVISFNILLNGFYNNGRFDDAEKVWEMMKERNVEPYTRSYNAKVRGLVSEGRMQDAAALVEKMQKDGPKPDTVSYNELIRGYCKEGRLDAAKKAGELDRALSCCHEIFSRKLKAECSVLQGVVTALVSASRVEEAKRIVDLGRMNYYPRKDLKMPPGNGEDNDAKTENDLEDHEPDGEGREEEEESKNAC >Dexi9B01G0036770.1:cds pep primary_assembly:Fonio_CM05836:9B:38229750:38236376:-1 gene:Dexi9B01G0036770 transcript:Dexi9B01G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSILHRACLRLALLPLPPLRARATALRPPPPLRRHLHHLPRRSAMSSVASRLSHIAAATGAAGESSEPPAAGSSAAREDDGLSSGDMGYRLPPKEIQDIVDAPPLPALSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGLRIDANSNTRSRMSFYTGIGIYNLLDDGTLGPEKEVHGYPVGARINFVTWSQDGRHISFTVRVDEEDNKSGKLRVWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPATRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEYDAALFDYYATSQLVLASLDGTVKPIGPPAVYTSIDPSPDDKYLMVSTIHRPYSYIVPCGRFPKKVELWTVDGKFIRELCDLPLAEDIPITMSSVRKGKRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPINGESPQILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVLSPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTDMGTYVIAKINKQDGSTYVLLNGMGATPEGNVPFLDLFDINTGSKERIWESDKEKYYETVVALMSDKTDGELSLDRLKILTSKESKTENTQYYLQTWPEKKQVKITNFPHPYPQLASLYKEMIRYQRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVKRGVAHPDKIAVGGHSYGAFMTANLLAHASHLFCCGIARSGAYNRTLTPFGFQVDRCWMLPICGIVYGVFINNFFLFLQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQNYCVNAANKTDSDSVADSENKTLSASGGSAAREDLSPEESSYLPRSLLW >Dexi7B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:7B:17467968:17472997:1 gene:Dexi7B01G0010080 transcript:Dexi7B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAPPGATATVRVSNIPFSAVAAELLAFFDSAVVAGAAFACEIAASRRGWLSRGHGSVQFDSAAVAARAVDLASSGRLPPFLGSRLSISAAHVDLLPRAPEFTLRAHGSSLLVGNRVAERELEVGRAWDDVRAEVIPGKRRVDLYLEHDSRRYKLEVLFEDIRECFGCRADGVAAILLQVSCLYLAIRCRKFQKFDAPLTYAPRIHTAISGPTIKSKFTEERFHACKEDAKPHPNECSGGDLDGDLFFITWDDKLIPEKVDTPMDYAATRPHILDHFVTLEEIQKHFVSYMINDALGAISTAHLIHADRNPLKARSPECIQLAALHSMAVDFAKTGAPAEMPWVLRPREFPDFMERWEKPMYVSDGVLGKLYRAAVRHAENAKALLPGATPSCAYDPDLECPGFPDFLDAAEEHYEAYAEKLGTLMTYYSAEREDEILTGNIQNKLVYLRRDNKRYFEMKDRIIAAVDALHAEVRGWLRSRRDEDASRLASAWYHVTYHPDRRGEKRFWSFPWIACDTLLAIKAARRCRKRVEDAAAAAVPMDCDA >Dexi6B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:6B:4008757:4009607:1 gene:Dexi6B01G0004670 transcript:Dexi6B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAAAAAATGFNSPWTLAIRAAADHGRPRRAVALYLSSLRASHRPCPFVLAAVLKSVPRLPPHAALPAAASLHAHLLRVGLLSHPYPHAALSHVYSRLLPAAAAAPDLLDDAPALRRHSLLVSSNSLLASRLRGGDVLAARALFDAMPARDVVSWNTMVAGLAKAGHLDEAIELFDQMPERNAASWNALVSGFIAQGQLARAREMFERMPVRNNVSWITMISGYAKAGDVQAAAALFERMENAEATNLGAAQ >Dexi9A01G0033610.1:cds pep primary_assembly:Fonio_CM05836:9A:38501451:38505993:-1 gene:Dexi9A01G0033610 transcript:Dexi9A01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGDGRREAALGALAVLPDEVLCAVVDLLPPADIGRLACVSRWALYPPGRVPGGVTGACLAINVDKWYSCLEEICACHSLPGPTEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLVYSVHGLGTELEFYDLLQKNGSPLINHIPEIIASGFLEYKDDIYRTVPWDGKGIPDVLAKHYPLEP >Dexi1A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:1A:4666595:4668602:-1 gene:Dexi1A01G0006220 transcript:Dexi1A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVNPKPFLNNLTGKAVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAD >Dexi6A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:6A:18735911:18737468:1 gene:Dexi6A01G0012400 transcript:Dexi6A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKGCAADVVVLITKPRAHLHRLSTTPSSSTLHTHYINVRAPAIEQLWSLVHCVTTTTTTTATTTAIANLVIMIQQAPWFVYIDEAYMVQAAAMSQHQLPSLPALMQSSSTTRLKELEKGLLLLENIAGEEIFYRRISKEPITTPLSQKGAIPIKGPQKVSLKGPKGPVSLKGAPKGTVTLKGPKGTVSLKGPPEGTLALKGPQEGAFTFKRLSEKAWRSFQVTCRHWEP >Dexi4A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:4A:5841530:5843017:1 gene:Dexi4A01G0007790 transcript:Dexi4A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGQAATSDHGEAVAHFVFIPLMAQGHLIPAVDTALLLATHGAICTIAGTPATAARVRPTIDTARASGLPVRLVEFPLDYAKSGLPEGADNMDNVPAQHMQSYFDAVALLRDPIESYLRAHAPYPTCVVADFCHPWTTVLASNLGVPRLTFFSMCAFCLLCHHNVERFNAFEGVAGDDEPVVVPGLEGKRIMVTRTQAPGFFRGIPVPFWEEFGDYVERARAEADGVVMNTFEAMEPEYLAGYSEARKMKVWSVGPVSLYHETATLAARGNAMAIDAGECLRWLDGKEAGSVVYVSFGSIAYSNPKQVMELGLGLEASGHPFIWVVRNAHEYDDEPVRVFFDELEARVSGRGMLIRGWAPQVLFLSHPAVGGFVTHCGWNSTLEAVTAGLPVVTWPHFTDQFLNEKLAVEVLGIGVSVGITEPLMYAPATKEVAVGRDVVEEAVRSVMGDGEEAEERRRRARALAEKARAAMKEGGSSHGNILDLVTRFKPAS >Dexi2A01G0033310.1:cds pep primary_assembly:Fonio_CM05836:2A:43554518:43555355:-1 gene:Dexi2A01G0033310 transcript:Dexi2A01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRLPNPSFTFQASCSHGLSNRWSVSCFPLEERMVLCTDLGGRSFLYDGDKHEVVIMPNLHNPNKSTPISLFVPSEDSSGGGSLFLMESIPRPVNKCKIGHPSDDFEVLVYHKDRMTWHCHSQLFPPPPYVHEPSYWDKRSKISSYSVVSGGSLICVSFKDRGTYVLDTTRYLWDKVGDWALPFHGKVEYVPELKLWFGLSADAQHLVAADLSTMDSQPVLVGHWKKELCPPEEWIEFRDAQLVNLGSGRWFHAY >Dexi5A01G0019760.1:cds pep primary_assembly:Fonio_CM05836:5A:23709741:23711503:-1 gene:Dexi5A01G0019760 transcript:Dexi5A01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEMLRGISGGQRKRVTTANALFMDEISTGLDSSTTFQIIKSLRQAIHILGRTALISLLQPAPETYELFDDIILLSDGQIVYQGPREGVLEFFLSLGFKCPERKGVADFLQEVTSRKDQKQYWMRHDKPYKYVSVKKFASAFQSFHAGRALSRELAVPFDKNKNHPAALTTSRYGVSAMELLKANIDREILLMKRNSFVYIFRTLQLMTVSIVAMTLFFRTNMHRDSVTDGGIYMGALFFVVMMIMFNGFSELALTIIKLPVFFKQRDLLFFPAWAYTIPTWILRIPISFVEVGGFVFMAYYVIGFDPNIK >Dexi3A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:3A:11099245:11101404:1 gene:Dexi3A01G0015040 transcript:Dexi3A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVGAGKLRAQPLASLRASHAATRRGGRLGTAASRNQPTDGGEAAQVEAACSGRAPERCWTTYGTVLLTTLLSTQICRPSCTLGQTDLEMKSKVNGSIQKAGKANDAQGGPNWVFVAGVVLISTLSVGLGSKLKQLFVTKQEDTTTKGPGHADGGADVKQAPPSPISKSTEPTNLLVKIPALESSKENSGVMWSSSPDRLEDPYQPFQYSNCSGSPSVSESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQIADLKNSLTIQVTQNTNLQSQLDGTNRDLFESEREVQHLRKIIADHCVAESLSHDKPFQAGQWQSNGTNGHDKPCQAGQWPSNGTNGHVNGYSDSSVDDPELHCSGIEKRNGEAERVELLKREVSELKEVIEGKDFLLQSYKEQKGELCSKIRELEEKLSAQVPNIL >Dexi2A01G0032830.1:cds pep primary_assembly:Fonio_CM05836:2A:43181522:43182124:-1 gene:Dexi2A01G0032830 transcript:Dexi2A01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSLLHRALHLPRPSPRRGHGFTAAAAAAAVIRARLSSPDPAPQQHPVRLAITAPHQESVKASVTTSMESNNNVAPREAAADGDGRTCELPTWALIGGMTAGVAAALLLSAGAGPAQALGPPEGPLVEEFWDNMRRYALYVVTVSTGVAYTVLQPIVELLKNPVTALLIVAVLAGSGFLVSQVLNAMVGNSDFIYRYE >Dexi7B01G0023270.1:cds pep primary_assembly:Fonio_CM05836:7B:27877203:27881789:1 gene:Dexi7B01G0023270 transcript:Dexi7B01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPTAADKEALVSCFLEIAAGQTRETATQFLQMTSWHLEEALQLFYIDGESALAARPPAPPSPSAAAAVAAAAAAAARAEEAMRFAPPPAAALGDPMLHGLGVGDEDDVRAPLPVKRETLYGDGPVSIEGRKVCTYYNLLSIPAILLIDPITGQKMRGWNGMVHPDRLLEDLLPYLDKAPKEQHAAQPQKRPRNVDQETKMGKQGKSTVEDEDEELARAVAASLEESKEVAEGSDATDDMAEAEPEEEDEPSINIKPDYPPLPEEPTGSRDLLCRVAIRLPDNRRIQRNFLKTDSIKVEDGDKRAFHFVHAIPGAAKKLEFTNDLTFKEAGLANSMINLLWD >Dexi1B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:1B:26747661:26752901:1 gene:Dexi1B01G0020620 transcript:Dexi1B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAETLASFPIASPSRSFLRPLPRRPAAAGGGARSIRISAVPPRGLGMSLVHRRVRRCPPAARANVERNGDEADASGNGEPSSPGDGDRDAATEPGGDSTGTSTTSAAATPPPQPSSKRGENKWRRKVIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYLSVPYSDFLASIDKDQVRKVEVDGVHIMFRLRPEVEARAVEQIPAQRGADAAIDNAGGSRRIVFTTTRPVDIKTPYEKMVENMVEFGSPDKRSGGMLNSALVGLIYVVLIAVVLQRLPISFSQQSPGQLRSRKNSNSNGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYLRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLAGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKALLQSALEVALSVIRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGQQEQVLQLELEAGS >Dexi5B01G0036150.1:cds pep primary_assembly:Fonio_CM05836:5B:35958557:35960024:-1 gene:Dexi5B01G0036150 transcript:Dexi5B01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRIELNHTGEEVKPGTTVSCQAGDGYVIHLSQAALGETKKGSENVVVYVKVDDKNSDEELETIPIKNDEIKKSAANVPVKDLKNIKDDADEEMSSGDDDFSSDSDDSGMSVDDSSDEETSSGDELSDDSEDESDDSEEQTPTPKAAGKKRAIEAVTPSGKKAKVEPSGQKAGDKKGTPYPSKQDTKTPADKSVKTPETDKKSKEKSLKSGSHACKPCSKTFGSESALESHKKAKHGA >Dexi3B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:3B:7199646:7199849:1 gene:Dexi3B01G0010310 transcript:Dexi3B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLDIIGQGQAIGDEAVAQYERPMPRKTVAALAAVTRVASGVVMAASAALAADAEAAQVEVI >Dexi1B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:1B:3673053:3676571:-1 gene:Dexi1B01G0004570 transcript:Dexi1B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSRFLPSASRRGFDLKEACWSGSLTFQQAVSTSTANLDESSSQKKFASYTVFKGKAALSIHPILPSFSKLESGGSRLSRNGSVMLTFFPAVGQRKYDYTKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSITPLGSDSGYFVNITVLNNMQKTTDRLSVPITKAEFAVMRTTLSFALPHIIGWDQVLTHHPAPPASSKPRVERPHPDSEWER >Dexi7A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:7A:29112670:29119651:1 gene:Dexi7A01G0020290 transcript:Dexi7A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGTAPAPAPALLRRCPCSAPPWAPFRPRRSGRSMVSPFTGAGRRQEFSHSSGVGIQDSKPLKFGVYVNFNVPSSGAQEWAAESKRLSALRTSNSASSHLGPAILHHEHLEDFKSSNLSSPHSVRQRMAPNSLANRHANMESAKHHVTNRAAAAASALASVVKDETKPVNRPNESQVETHWPNGPKFHGFLPKISEVETSLPFDDKAADGHAKDENECSPMETVQPSPARAPLSKDSIDARKALGTIYEKVMVVDNVKSARRVVQLLTTKYRNFIHACDTEVADIDVKQETPVGHGKVTCFSIYSGASGAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDSSIRKVWHNYSFDSHVIENYGIKVSGFHADTMHLARLWDSSRRTDGGYSLEGLTNDYRVMGVVSEELQKIGKRSMKTIFGRKKIKKDGSEGKITAIDPVETLQREDRELWICYSSLDSMSTLRLYESLKNKLERKPWTFDGVPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRAYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGMENRCKPGEFLPKSKAIKVPNDETAVEEGKKVPKYRTIELFSIVEDLKTDIFTASGWPSVSGDALRNLAGKVPSDLVYMTDDVNADECSSDSEISDCDLEDASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARTPNLQVGIYNFRENQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEERVILEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKVSVKEAKDTLKLWYSDRKEVLAWQMKQKKLAQEKCEVYTLLGRSRRFPNMAYATSGQKGHIERAAINAPVQGSAADVAMCAMLEIERNTRLKELGWTLLLQVHDEVILEGPAESAELAKSIVVECMSKPFYGTNILKVDLAVDAKCAQNC >Dexi7A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:7A:27698792:27701435:-1 gene:Dexi7A01G0018260 transcript:Dexi7A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQFWQLGIKDMKAIPLPRPRTQKRRVWILAIAAFIAIAIVWAYVYPPPHYTSPMRDWLPAEPARELTDEERAARVVFRQILTTPPVRSKSSKIAFMFLTPGTLPFERLWEKFFEEYRNALSLFFAQSLFLHDLLFLQGHEGRYTIYVHASREKPEHVSPIFVGREIHSEKVTWGTVSMVDAERRLLTNALQDIDNQHFVLLSDRHALFCVPLHNFDYVYDYLMGTNLSFIDCFYDPGPHGNFRYSKNMLPEVTEADFRKGSQVSVTYLLFSLQPGMEDGRNCYADEHYLPTLFHMMDPDGIANWSVTHVDWSEGKWHPKAYRAKDVTFELLKNITSIDMNYHVTSDSK >DexiUA01G0006070.1:cds pep primary_assembly:Fonio_CM05836:UA:11307089:11308207:1 gene:DexiUA01G0006070 transcript:DexiUA01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALDRNMDGTKLKKLHEWFLNTINEDGDRGEDGDGGVDGDGGGEDGDGGEDGDRGEDGDGSEDDATFASEDYDDDEVVSCVRAVQLLAIRANFHIRAIVASDWCQCRSIYTADEGGVQEEGMDLVLNGPSRILEAYGGLGLRVHTDDEVSSTDDGGSCTRPIRGSWDVAESDVVEEFTQTIDGGLGRKLELTYVVFPNAVETHVEVRLNLMDLGSRRRLVYGSVKASAIDYGGKSVHLFSRERGRSLSMPCGSVCILPLKPYMIALEDGQHFKLHIEVDLSVITSRDSQEEDKNFKFYVDCSRRNSSEERLEPPFRIRSHKREFNDDQIEVNIMWRLRRS >Dexi6A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:6A:3538508:3539077:1 gene:Dexi6A01G0003830 transcript:Dexi6A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAPPPSPPDPGSWLEVRLFYVRLSPHGGTSPPPRLALELRPAAAGGGEAPAIPLPLRLDRQDAVSGEATYVSTAAARLAPPAAAFEVADHRGTTLLRGSLRGCTDAKADSPAWEIDCVPAAGAAASASAFELYVAGCCASEPVVLTRALRLAIPDEAAGGLVRRRPGALTVSFAQLSRRKLIAYSEM >Dexi7B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:7B:24822934:24823404:-1 gene:Dexi7B01G0019240 transcript:Dexi7B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAATERDTIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKG >Dexi9B01G0029320.1:cds pep primary_assembly:Fonio_CM05836:9B:31907773:31910137:1 gene:Dexi9B01G0029320 transcript:Dexi9B01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAIANRQHHQELPLGGGGGEDGSPDLISRLPDEVLGDIISLLSIRDGARTQAISRRWWPLWRAAPLNLKVYGVLSSERKGVVTKILLSEHTGPVRCFHLHDFELNGCYDYAMLDGWLRSRAFTGLREIYFRYWWAQQPKPMPPSALRFAPTLCVAWIPFCEFPSELSLALGFPHLKKLSLRQVTISEDALHSLLSALCHIKFQELVIEDAARLEGLFLLQYAREMIALSLTTLMRTVKILALESLGPNLDSVVDFLKCFPCVDKLYIMAFDREETLKNERAYNPLDPMECLELHLKKVTFNNYDCLMPYVDFAKFFVLNAKVLKKMDLGVLNSCSDKWMASQYRLLQLDNKASPGARFAFARGYAGHLFVIFCHSIWVCMVEWVTAGRPHQVISSLTDHLPHSILLLVPGGTAGDAARTSVLFRRWHHICGGDTATSTPPWLPGMLYTDRLPPGYHHAVQLGPPHRGSSLIVAALRRLWLSVPHRMSDGDTEELLLPL >Dexi8A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:8A:9788859:9789086:1 gene:Dexi8A01G0008330 transcript:Dexi8A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASEVLDQCCRAVVRAHESNPLHMHPLHPCYGAVVTSSAACPTSSSGPRDVHPGGGRTFILVFVIQGDDHPVR >DexiUA01G0018910.1:cds pep primary_assembly:Fonio_CM05836:UA:39583601:39586248:1 gene:DexiUA01G0018910 transcript:DexiUA01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKMGIYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVSKFCAKYLHSQVLKTEAYSAGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKLSVRAYLFTGDVEFKQNKFLPPDKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVNFIHEHINKESSLSAVCERVLDRCLAPSTITGDGCDNMTMILVQFKKPVNRNKKAEVAEQSTSSADEAEIQ >Dexi3B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:3B:2596435:2599739:-1 gene:Dexi3B01G0003790 transcript:Dexi3B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRCSDKLDRTMDDAEGAPTVRSIDVDPSRQGTWFISARADTEPVDQKNYLEERCKPLCVKSLYDYERCVKRVENDGSGQKHCTGQYFDYWSCVDKCVAPKLFDKLK >Dexi1A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:1A:7666060:7668000:1 gene:Dexi1A01G0009430 transcript:Dexi1A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARFVALALLITSFLLSVVAGQLRPLPSAGLPGDLLDLGIASRLRTDTNTTAKASTDFGQMAKASPEAVLHPRTPADIAALIRFSSSSPAPFPVAPRGQGHSWRGQSLAPGGVVVDMRSMGRAAGHRRINVSAAGAEPPYVDAGGEQLWIDVLRATLQHGLAPRVWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVQELDVVTGTGEMVTCSRDKNSDLFFAALGGLGQFGVITRARIRLEPAPKRVRWVRLAYTDVAMFTKDQEFLISSQVGEEVGFNYVEGQVQLNRSFVEGPKSTPFFSTLDMNRLARLASRTESPAIYYIEAAMYYNEDTTISVDQKMDALLGQLSFHPGFVFTKDVTFVQFLDRVREEERVLRSAGMWEVPHPWLNLFVPRSRILDFDTGVFKGLLRDANPAGIILMYPMNKDRWDNRMTTMIPTGDDDVFYAPNSFG >DexiUA01G0021530.1:cds pep primary_assembly:Fonio_CM05836:UA:44743313:44744639:1 gene:DexiUA01G0021530 transcript:DexiUA01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLYQKHIISINDLSREELELVLETAAKLKANPQPELLKHKVIASCFFEASTRTRLSFETSMHRLGASVVGFSDSSNTSLGKKGETLADTISVISTYVDAIVMRHPQEGAARLATEFSGGIPVLNAGDGANQHPTQTLLDLFTIQETQGRLENLNIAMVGDLKYGRTVHSLTQALAKFNGNHFFFIAPDALAMPQYILDMLDEKGIPWSLHASIEEVMGNVDILYMTRVQKERLDPSEYANVKAQFVLRASDLDGARENMKVLHPLPRIDEITTDVDKTPHAWYFQQAGNGIFARQALLALVEAIKRGTVIDHIPAQIGFKLLTLFKLTETDQRITIGLNLPSGEMGRKDLIKIENTFLTDEQVNQLSLYAPDATVNRIDEYEVVVLQ >Dexi4A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:4A:6018907:6019401:1 gene:Dexi4A01G0008020 transcript:Dexi4A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRASPDTASALHDQGAFRATEERRSGRGAARAGTERRAVELDPAVGGPYSSRLLASAARMETAAADAWSSHGAVPRGRQPSRKVSGGRMEPPPRRPARCSTPSPPSRHRGLRRRWRPRRPHLDSRSRRCGGAKVVSGSPCSLALLLEIEEARDGYQARLEA >Dexi8A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:8A:932000:933337:1 gene:Dexi8A01G0001320 transcript:Dexi8A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLDTSASASASASASAACSRLPCILLNRDPVIGICRNKTTAVGEGRNNLTIEASFCYEQPPLASTLFVYCPGLTYPRRSVLISTAEDLLLFRVPVADEPPTYYFSYDACDYKDCDYMIYRAGRSPTLTRIPNPKANFYDDSVGLLSRAGDLFTVAALVAKSTNEFTLHRFDSEVGNWSLKTVSLDAPPKPYPIRIRTNVLLLNHHFTTTVIALGGKSGTMGWVDLWSGILLYDLCPEDQERPMLRHMRLPLPMHLGKWATELGCTKSISGIASVIKGGKPCLKLVGLQIIEERLPYDDIETNLPCYTVNNWAITTWSNTIVHFDSSDNWQDGFTVWAPDVIISDTVRSKLLASGLLHRKPSEDGEDTVELALQNLVVSEPTPSLNGEEEVVYLMARPKYFHPKGWALAIDIKNHTLLDVAEFGTAKEGVLPDVSYCSSSISKY >Dexi3B01G0036830.1:cds pep primary_assembly:Fonio_CM05836:3B:39669787:39670119:-1 gene:Dexi3B01G0036830 transcript:Dexi3B01G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSSTVPHVVEERKLPTANLAMEDLLLTGGRRGSGQGHGSLRQVGTAGTSACMAGSGTGDATRCGVAGDPSVGCSGQRWSIRPGSIDHVIAIIHRPSLEPCAGCFLT >Dexi4B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:4B:10894927:10899547:-1 gene:Dexi4B01G0012870 transcript:Dexi4B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPTARLLLLTPRRPPLPHLLPSAPLLLTPPPPRSLRLPPPPRPLSRAARRPHLLRGMASAAAAPGPHAAAEATGEASPRRLALEELPWDHSFVRELPGDPRSDTIPREVLHSCYSKVSPSAKVDNPKLVAWSDSVADLLDLDHKEFERPEFPQFFSGATPLVGSSPYAQCYGGHQFGVWAGQLGDGRAITLGEVVNSRAWAVEVAERTAFLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAELISQDEANYVMERYGTKFMDEYQSIMTKKLGLTKYNKQLISKLLNNLAVDKVDYTNFFRLLSNVKADPGIPENELLVPLKAALLDIGKERKEAWISWVQTYVEELVESGIPDEERKAAMNTVNPKYIPRNYLCQSAIDAAEQGDYEEVRRLLKVMQHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >Dexi6B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:6B:1504574:1505725:1 gene:Dexi6B01G0001740 transcript:Dexi6B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNNKQQPGRPSSSVSANAAAAAANDAANASAAAAASGGSGSGDGNAYTSFQGLLALARITGSNSDETRGACKRCGRVGHLTFQCRNFLSVKDLDLDDADAAAAAQAAAQAKFEEIKKKAAAGGDVDEFSDDEEEEEDSDSSDSDVDPEMEKIIAERQRARNGGRRSREEEKSSRHHRSSSSKRKSKHTKSRKSDDSGEEEEEGRRGRDKKKRASRSKRHETSDEDGSDSDSDRKRHRKSRKDRKRRRSHRRSDDSSDEEDVSGGEERRRCRHRRRERRHHRRDESASDTDGSESADDKKRSSKRRRHRGSESNGLDEDERHGHRGAKRSEEKSRDRKKAREEH >Dexi9B01G0040870.1:cds pep primary_assembly:Fonio_CM05836:9B:41368795:41373739:-1 gene:Dexi9B01G0040870 transcript:Dexi9B01G0040870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAVIASPAAPGAAAADHAGWLVDERDGFISWLRGEFAAANAIIDLLVVHLRGVGDPGEYDHVAASVQQRRHHWAPVIHMQQFFPVADVAFALQQAGWRRRAQPAQALGPGTSPAAAPPPPPRRPSFSQSHHTHQHHRHGGHYRSDPARGGAAVAGAGSEKDGREVHNHKEGKVLKEERLDSPIIDGENNSKLQAASEGSSKVVPTPVDHSTSEIIDGSTVNSVEGLKVYEGLVNVIETNKILSFVNQTKASYRRRGPEAGQTVIIGKRPTKGHGREIVQLGVPIIEGPPDDENERETKVEAVPGLLHDLFDRLSQQEIIPFKPDYCVIDFFNEGDYSHPHQPPPWYGRPLCTLCLSDCDMVFGRVISGERGDHRGPLKLSLTTGSLLLTEGKSADFAKRAIPCTRKQRILLNFGKSVARKHLPAESARFTPPLTPPPTWGPSSRPANMARHPQSPKPFGYAPAGGVLPVPAVGPHHVLPSDGMQPLFVATSPVSAAAMPFTPAVPLPTTTAAWIPEVTPRPSPPRFPGPGTGVFLPPGSGHPLPHQMMPATHGHGEPNSPQGSSSAYLQNKIAGKEMTNGNLSPKSSPTKRSYTSEDKAECNGSSNGGSIFANDKQQNGGLKNVGSSKVQQHGHASK >Dexi9B01G0043830.1:cds pep primary_assembly:Fonio_CM05836:9B:43758360:43760726:1 gene:Dexi9B01G0043830 transcript:Dexi9B01G0043830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKSKPSRSRKKPRTSADQALALDYVRAWAYPVPPLPEPSAADADADDFLPAQAARGGGGDVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAVLAASKFGIRIIPGVEISALYNPRPDELYNMLLSIRDGRYLRAENMLAKLNILKVPIKWEHVTKIAGEGVAPGRLHVARAMVEAGYVENVRQAFNKYLGNDGPAYARGSEPFAEAVVQLISRTGGISALAHPWSLKNPDAIVRSLKGAGLNGMEVYRSDGKVDGFSELAEKYGLLKLGGSDFHGRGGKDESDIGTVKLAITTLCGFLKMARPIWCSAMKDILHKFAEEPSSTNLGNILKFGRLTNVDDFSPIDTGIDVVNFCLSSWSSNDEMEDVELEEVRLKLAHYGAQR >Dexi9B01G0022350.1:cds pep primary_assembly:Fonio_CM05836:9B:17032520:17033632:-1 gene:Dexi9B01G0022350 transcript:Dexi9B01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPESAAGGARFLSSASAIVGDIMLGHHELHIDGYSLTKGELPTGKSIMSHPFRAGDSSWRIKYYPNGFRSEYADSISIFLALNESVPVPVKAQAIFSLLDPADKPVQGSIKFMNLREYSGARREFGIAKFIDRQWLETSEYLLNDCLKMFCFVIISEKLRSEDRRAHAPFVVVPPSDLNQHLGNLFVAEDGADVTFQVAGRTFKAHRFLLAARSPVFKAELLGGMKESRAIADHIQIDDILPQVFETLLHFVYNDSLPEMEGEEEAMMAQHLLEAADRYDMQRLKLICEDKLCRHLDVSTVATTLVLAEQHNCQGLKEACIEFLKSSDALEAVMETDGFDHMAKSCPALMKELMLKLVTRPRKRKSTE >DexiUA01G0001900.1:cds pep primary_assembly:Fonio_CM05836:UA:4796768:4800172:-1 gene:DexiUA01G0001900 transcript:DexiUA01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEIKDGDYQMDPIEIKDDEEHPTDMLVDQPRFLEPLCPEEVNEDTRVYPRVGDEYQVEVPDLLTEEEQAHLRYSWSDEEAQTFLLGLYIFGKNLVQVFKIFNEGTFTFEQFILTLRSTVGAQVLVDAVGIGKGKYDLTGFALDPSRNHGISTRPEIPVGKACSALSSGDIIKFLTGDFRLSKARSNDLFWEAVWPRLLSRGWHSEQPKDSSQVGKHALVFLIPGVKKFSRKKLVKGNHYFDSVSDVLSKVASEPRLLEFGAVGGNDDSGIKNENGWIHDSEHDRNTLPNKKPSYSRPTEAGCSPELMKFTVVDTSLVQGEEPSKVRSLRNLPTDSSHGYMSSPRSEDSGSDSSEDPSDSEDSSQSYEHISTDRSTTSVKYASEERKSKPPTIDKMDSNVIRKAVSSGTLTSINGHISTDQGFSTMNNVCSSTTTILPVEIQKVHATTTSTEISFQFDQRVTAESQVFLAPFSKRRRLVSSKTERAGRRNTTTNENYYWKQVDEPLQQDAPGANEAPSSFVWGALPNSSTADAPGPNEAPSSFVWGAMPNSSTNISFDMNNKKPYCRQLDNVPPNAETMVYKETCQNRQAIDLNIPQMPSDYESNVSLVRPPCSSGTEAATDPLPDMDASSDVLYEEFSFNSRRHSSRSRPPTARALEALACGFLGTKQKGRETNFPSSSRSSRSVRRPRRSPDTALPFPSDNRGCTSHFPDPPMGVNGWSMSNPQYQMIHSSPSDKSTDKATPDLFVADKSTDKGVNELFGIP >DexiUA01G0003210.1:cds pep primary_assembly:Fonio_CM05836:UA:6577639:6580624:1 gene:DexiUA01G0003210 transcript:DexiUA01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPSPHAASSSSSRRRPGRARAAVSMPPSSTSPAASEVSAERLEPRVEQREGGYWVLKEKYRTGLNPQEKVKLEKEPMGLFMEDGIKDLAKIPMEEIDAAKLTKDDVDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGEDGCADVTTRQNWQIRGVTLPDVPAILDGLRSVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNSQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAILEAFRDLGNRGNRQKTRMMWLIDELGMEVFRSEIEKRMPNGVLERAAAEDLIDKKWERRDYLGVHPQKQEGLSYVGLHVPVGRLQASDMFELARLADEYGSGELRLTVEQNIVLPNVKNERLDALLAEPLLQERLSPRPSLLLKGLVACTGNQFCGQAIIETKARALQVTRDVEKRVSVPRTVRMHWTGCPNSCGQVQVADIGFMGCLTKDSDGKVVEAADIFVGGRVGSDSHLADVYKKAVPCKDLVPIVADLLVERFGAVPREREEDEE >DexiUA01G0021610.1:cds pep primary_assembly:Fonio_CM05836:UA:44786987:44796357:-1 gene:DexiUA01G0021610 transcript:DexiUA01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLTWLFLVVFLVGSFAAPVRSSREGGRSVWAAGDATTASNDGMTGHGACRGVKKIWNRRSLGTRKTQPPPPVSNKMRHFVGGKVPLGLKRKALRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFGDPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFPMNSFPINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTADSLKNYKGERDGDQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWSMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTVEWAMALLLRNPGAMAKARAEIDGALGARESVTEHDVARLPYLQAVVKEAMRLRPVTPVLIPHQATEDGINIGGYDVPKGSVVIFNAWAIMRDPAAWEKPEEFMPERFLTGRAAEVDFKGKDYEFIPFGSGRRQCPGLPLAECVVPHVLASLLHALEWRLPDGVTAEELDVSERFTTANVMAVPLKAVPLVMTKPSV >Dexi5B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:5B:20636121:20637534:1 gene:Dexi5B01G0018460 transcript:Dexi5B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSLAGTVYGNVEDPRLTYSGNVKLWPGNSSYQSSLQNMGEHFETWNAGILGPVTLDGLNEGRRYLTWQKWTYQVGLRGETLSLHSLSGSSSVEWGEPMQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGTCGVCDYRGEYDDKKCQTNCGDSSQRWYHVPRPWLNPTGNLLVVFEEWGGDPTGISMVKRTTGSICADVSEWQPSMKNWHTKDYEKAKIHLQCDHGRKITEIKFASFGTPQGSCGGYSEGACHAHKSYDIFWKNCIGQERCGVSVVPNVFGGDPCPGTMKRAVVEAMCG >Dexi8B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:8B:23096130:23096546:1 gene:Dexi8B01G0013280 transcript:Dexi8B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRKSDVFSFGIMLLEVFTGKRPTDPMFIEGLSLRQWVSLAFPARLIDVIDATLLQDEEICHICFDHQNGTSLGSSSPTSTNGQRMTMNDVVTRLEDIKKDFHSGLVQAMQRPPHY >Dexi5B01G0034300.1:cds pep primary_assembly:Fonio_CM05836:5B:34544218:34544606:-1 gene:Dexi5B01G0034300 transcript:Dexi5B01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSFLHSSSPSSVITPQHGGQGRLACRTGSAAEADARLCPRNPSKVARGDEQRVPGVPDAHAQRHNFGAYDVASRRPGLQGIRLALRR >Dexi9B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:9B:9419367:9420362:-1 gene:Dexi9B01G0014110 transcript:Dexi9B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSTNLQLSAASAPDDGLQIAKQGDQVNQPPEKSTANVDTLSKDEGNSAVQSAEKAVASAQALKVNDGSEPTSRVSSISGTLHNATRTNQPKKKRKKADTTKNVQPSNVVDYGFNVPFDPYNSFASGYPWVTEPYMYGSMGMPYGGYPMDPYGVNPFNCMPPQALAMHGYPASYQRPETQPTQYRGSETVATRPRQAERPKDSSLQPQSSDRNRQLGSSHGSESRNRTRSSSERRNHGRSDTASNDYHEHHSSKRRVRDPSPVYGDRQSSRRSRHGSRSLTREDSERR >DexiUA01G0014700.1:cds pep primary_assembly:Fonio_CM05836:UA:30700575:30721349:1 gene:DexiUA01G0014700 transcript:DexiUA01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVAVDALTWPTQVPPGWHIEIGRSSTVAGFIMVLLPLEADTWIRAIESKFAILATPCTSSRKVVFAAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYAQAFNGLCPYAGHHVDSDEKKLERFRRGLNTKLKAQLATTRAASYGDLVNLAITQEDANVVHKAEKKRKTPAGPSRAQPQRFRLVPPAAPQGQSRAPQGGGWVARPPQPNAPRIPPPPQQQAPRQSAQQPARPGAGYQCFKCGSKDHFIKDCPQNKQQNQRPGNQQGKGKQQQRVQVRQGRLNYTNLADLPEGAPDMTGIFPICTQPAVILFDSGATHSFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTHKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCVDACAYTMIETQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCEEAFHTLRRHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLDSSSTARGLHSAAGDNCSLPHYMLHSSSKHTSSCVLLIDRDRVRNAMACVAAEWKAAAEVFPDPDAGSDGDALSHDSPQDLDGARIRTPGIPINTSVFKTDSFNRSDIHPCARPPYLSARWQRAWDAEELLSPSCAEANIIMLFAAPRAPDESDRPSFPSTSAAAGLPDPNDEDEGPVVLPDPVPPADPEAIRADLASRIATIYDHAEVAMVEGTSARELVDTVLSEELELGLWFEVDNMRRKFDHAAFDEPDTPDPEAPPVEADSPPVEADSPPVESDSGGDRWSRGAFGEGSVAHAKGDPGEAGPSGSAAPNPNQVEVGPSTPSASFFSGFSGEIPRAPDSPQGEEDHLFAAVPERGTAVSGVEETPATAWITFAG >Dexi9B01G0026990.1:cds pep primary_assembly:Fonio_CM05836:9B:29440083:29443915:1 gene:Dexi9B01G0026990 transcript:Dexi9B01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEPFADKNTIFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMVFGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNNSWPSSPVSASQAPNQTAAFPDLKLAEVSKENASEKTEPEIVRSPRAPTHSFKKPIGAKKPGNKTGGLGARKLTSKPNESLYEQKPEEPAPVEESSEARQKFSNAKSISSSQFFGDQGFEKEAQGSSAISSADLFGHPANNSNVDLSASDLINRISFQATQDLSSLKNMAGETGKKLTSMASNIITDLQDRIL >Dexi6A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:6A:2942997:2944552:1 gene:Dexi6A01G0003310 transcript:Dexi6A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLAGYLRIAGNAGAGRLGLLMATIKPDALPEMNKGEIVIKGGILGYASAGSILERLACDPDNCVEIMKNKNLISKIIGFISYTSNDKGNNDNALIVNSPLGPHPASGNNEIRGRTGNEPPLTSQDSLPVLGMMILEKLTSYPDNCAEIVKNKNLISKITGHISYTSNDDGSNDNALIISSSLGPRPAGDDNEIGGRTRNKPPLTSQDSLPVLMGMKILERLTCDPDNYAEIVKNTNLIPNIIGLISYTSNDGSSNDNALIVSSSLNFLRMIGTTNGKAGATLWQELWESPLLLRNLTCVLQDNRSSLEVWKPAIDVIATLALDEGARHELGSTQVIIHKLLNIFIIGQDGSTNYDQLLRVAAGGALANLAMESPENCLAILGDREGYRYDLVENLEGMLHNDEYRSVAASLLQNLCECSRMRDGLPVLSAHPEI >Dexi5A01G0036450.1:cds pep primary_assembly:Fonio_CM05836:5A:37968807:37970017:-1 gene:Dexi5A01G0036450 transcript:Dexi5A01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHKVQMGLIGEAAARARAFKGRKKGLKKKASELAILCGVDLALIVGAVSGDGGAPDEWEFGSAGVIDRYRRLPADKRAKHTHLGYLGVELGKEKRRLADERPEGPKALASPGKAALKGMDLEELLASIDAALLATARRRKALGVPDEDDTVVDAVPLGEAGVPFAGDGIDNDMEAWIDELTWHGDEPHPLNATMAQPAYGVHYINAGSMDMIGNQCLQKMGGNGESDHYGQQSWASYQQHNTVSYPGYGFQYTDSSSSYSDMDGCPQMPAPSNANVYDGCWFNQAMSGTDESPRDAIVPVDRYHHPSLDITGNHVYIPPEHSSMGMAAGDCFTHASSISLDGSFMSESSRHEYGNQCLADYFQCPDASQQFGEEPLHYLSDVAEGLRLWEN >Dexi9A01G0047980.1:cds pep primary_assembly:Fonio_CM05836:9A:50875720:50877408:-1 gene:Dexi9A01G0047980 transcript:Dexi9A01G0047980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGGRGGVNIMMSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPLERPPGVFPNIDFSSTPPPNKDDPPAMPPSTPLE >Dexi6B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:6B:16281009:16283731:-1 gene:Dexi6B01G0010140 transcript:Dexi6B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPDASAGTAVELPRDSSEYKLRKQLLLLATLVLSVTYVAGLEPPGGVWKDDGAGGGAMAGGSILRSTKKTRYLFFYYCNSTSLVASLVVMSLLLLKNPNRVQLAALRLVMVLDLLALMGAYLSGSCQRRPATVYAASLVLALSAYVGLHILQALAHNTQPPPQRVTETEHEVEDDGEEEEEQEDASVVLRAKERRKVVLLLATFAVAVTYVAGLNPPGGFWDSSAAAQGYRPGDPLVEAHHKGHYRMFFYCNTTAFVASLYIIVVLLVKKLSARTARSIALYVFVLAALLGLVAAYTAGSCRDADCSVYVVSLFGAVLAFVCLVMGVVTVALKCFRSNQSGNADDQQPVEIDQATKKVKSLVLLLANLAATITYQAGLDPPGGFWPDERDGHSAGDAILLSELPARHKAFFYCNSAAFVASVVAIVMVQSVKLVRSQTLLAVMVLDMFALIGAYAAGSSRDLRTTLTVVALAVCVLVYVVLQVLYFAMRAAKQGTSTLPEKKHKNLLLLAILVATITYQVGLIPPGGFWVSNDRRLGRRAGYPVLLDIYPRRYEAFFYCNTASFMASMALILLLVNPNLHRLAIRCYPLYACQVAGLIGLMGAYAAGSARSMRASIFVLVGAAIAFVVLNMTVFNLFNKSDDSDDVREEHGPRNQDEREYRDEVYAKRKYLMLLGILAASVTYQAGLAPPGGVWQDNGGGGGRRHEAGSSVLHDTDVGRYHMFFYSNSTSFIASVAVIALLLQQMLRRRRRSRRRGSAAEESPDLLLVATNTAVVLDLLGLLAAYAAGSTREWESVVALTVVVVLFMVIHAAVWLYRERRRCAGGCGNSVHANSGHTRNQTEELQLQVVTNGDGHAGQRSQGDEEAQVD >Dexi3A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:3A:13922316:13924748:1 gene:Dexi3A01G0018220 transcript:Dexi3A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKSPLSLSPMGSRDRDRELLIPVSGGGSAPGDGDEDGDRASSSSASAALSSSGREAFHKVVRSWASKKFMTGCLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQVCKAL >Dexi9B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:9B:3900930:3901561:-1 gene:Dexi9B01G0006490 transcript:Dexi9B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNPDKEEEQEKESPCMNGGGDVASAGDDDDDDNGGEVVGVGEEEEAEEPTTEREFAAAMAELAPEGVRALHARVEAEWGPVLQSACQTAAARALWGRAVRDPAAAVLAGERYLRGLHDKMRRDERAGAREVHGVMIAVRTLWFDARIEAAVDALGGDPQVVILGAVRCS >Dexi7B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:7B:25105671:25116016:1 gene:Dexi7B01G0019680 transcript:Dexi7B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADGKFRSGTLARQALDALLSSFARPLVSVSRIAAATCAIAAQLCHTVFALPCRDFPSSVLTVSTATSRSTGTKKGGRRRCKALPRRSAILALQYKEPREANMFVDLLLLEFNGVVSEVKQILHDETLQAEDLEVREHSDSSGEGAPVVSCAAGKNCGESSANAATKRLNDEHCYAESVVGAKRLQTTGEFHPSSTGIDPMHVLKKLNKMQEISSAMASVLLKIFAHGSDRIMFIEKMLDLDKEEEQSDWYFFSYKKRKYPADGDGFLPF >Dexi9A01G0031250.1:cds pep primary_assembly:Fonio_CM05836:9A:36138096:36139217:-1 gene:Dexi9A01G0031250 transcript:Dexi9A01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPARRNPAASLTDDLIVEILSRLPVRSVCRFKCVSRSWRNLISHPAHRKKLPHTLAGFFHTSLNSTRFPNRARHFTNVTGKGPPLIFPSFSFLAVPSDDVTLVDSCNGLLLCTFRCLKPCAHEGGGYSPFHYVVCNPATKKWVVLPDSSGEDRTARLGFDPAVSSHFHVVEYVLDEEECVTGVEIYSSKTAAWSFKENKWGDYCMPYHSTSVFLNGFMHMLTVCYGVAVVDMEGELWRTIPVPTESILSDGCIHQSQGRLCFVHVDDTDSSKLSIWILEDHGGHEWTLKHSVRIQFLFRKKNLQFDFDYKVIAFHPECNLIYFVYGSDNTLMAYEMDRKEVRVIRNLGDEWLELCLPYVPWFGEALADGL >Dexi5A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:5A:6901517:6904471:-1 gene:Dexi5A01G0009190 transcript:Dexi5A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKLSSPATGLLAGGRTRRSAPARRATVIRAAAGSYADELVSTAKSVASPGRGILAIDESNATCGKRLSSIGLDNTEANRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIDRTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPETIAKYTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENMEAAQKALLVRAKANSLAQLGRYTGEGESDDAKKGMFQKGYTY >Dexi6B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:6B:22853914:22854486:-1 gene:Dexi6B01G0015550 transcript:Dexi6B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFPDGNSEMPSPSATSGCSRWASESSSSATAAAEGTTRSVVISLAASVVVGVPAVAMVEVVVVAVSFVAVLVGSDTGAALGAAGSGVAATATRRFFLDGFLGLSELAAAAYEEDEEDEDEGFLRVPPPTGTLRRVPPLQNLVSLDSHRGHGSPSTASATAAGGTV >Dexi9B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:9B:1183256:1186392:-1 gene:Dexi9B01G0002100 transcript:Dexi9B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLERSSSPVAVAVAALIALASVAAVAGEVFFQEKFDDGWEDRWVKSDWKKDDNTAGEWNHTSGKWNGDADDKGIQTSEDYRFYAISAQYPEFSNKDKTMVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDATYSILIDNAEKQSGSIYDDWDILPPKKIKDPEAKKPEDWDDKEYIPDPKDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTVPTIPNPEYKGPWKQKKIKNPDYKGKWKAPLIDNPDYKDDHYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKQKDAEKAAFDEAEKKRLEEESASTKDDEEADDEDDADDDKADTPAEAMDSADEKLAEGKVATDEKPAEGSKDASAEEKKHDEL >Dexi3B01G0033320.1:cds pep primary_assembly:Fonio_CM05836:3B:35946733:35948266:-1 gene:Dexi3B01G0033320 transcript:Dexi3B01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSNLAQGLHGCGGFCGNGFPVTFISGRLEAVPAIAAAAAASSGLDASSFATACVLSIHQTHIIPDGDRDYILAAGKLTFPRLVGEASGEADGAGPGRLPLPRWTFPRPLPSPLLPPPPGGFAAAAEPSPVFAMAGGRRLPLRFPEKEME >Dexi1B01G0031460.1:cds pep primary_assembly:Fonio_CM05836:1B:35021508:35022873:-1 gene:Dexi1B01G0031460 transcript:Dexi1B01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYCYPHLAVSLAAHPTASLRRRSPSSSSAPWRTRTAAAPWRTRTGRSDDDDGFFTVDLDDYAADWPESDDDSDSPWEGALVFRRDAAVHHLEYATTLERLGLGDLSSHDSRARAAAMGLGAQAQTPVLVSLDVTRRRGRLRLDGIVRTVITLGCFRCAETAPEGIFANFSLLLTEDPVEEPDVIDLGTIYEEDIAKGATLTGTLEEDDQDVDWDDRLHFPAADKEIDISKHIRDIIHLEITMDAVCSPSCKGLCLSCGANLNTSSCSCSKDKPQERKNVKGQGPLRDLLRPIQKR >Dexi4A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:4A:21308799:21322634:-1 gene:Dexi4A01G0017610 transcript:Dexi4A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPLLRPEEYSLKETRPHLGGHAAGDKLTSTYDLVEQMQYLYVRVVKAKELPNMDITGGCDPYVEVKLGNYKGATRYFEKNNNPEWNQVFAFSKERIQSSVVEIVVKDKDLVKDDFIGRVIFDLNEVPKRVPPDSPLAPQWYRLEDRNGHKVKGELMLAVWMGTQADEAFPDAWHSDAASVPGDGIASIRSKVYLTPKLWYLRVNVIEAQDVIPNDKSRFPEVYVKAILGNQVLRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLVLSIEDRVAPGKDEVIGRAIISLQQVPRRMDHRLLTSQWYPVEKHVMIDGEPKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPGGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLGRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHVLFMILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVVFCFIAAIVLYVTPFRVVVFLGGLYVLRHPRFRYKMPSVPLNFFRRLPARTDSMLSTSAAARHLDEDHLPAPRSPLCRWPNIRATPPRREIDVRRRPRPRRGLPPCATAHYIVGRPPTWAAVHYPAGPSGCRDHTFRTSTVSASGPFRRPCVVDAAVYHSEPLPTNGGRQSLDAQELEMLTDERFRRRDPEGYDMPSDLDQALLLYFDGQQSKQSIQEQQPQTLNIFPSQPMHIEPSPKGPMASSAAAVAQVAGPSKNLQPPSAKPGGGPLNAGKSSKAAIKSEGGAGGKHGGAGASSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEMQIARTHQGALWSTAGTLSPDAALFNLEYERWLGDHSKVVARLRAAAEEHRPDGELRAYSDEAASHYGALMGHKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSDLIKVAVRHVEPLAEQQAAGARDVEQAARRAEEALDAEMEALLRSLSDVVSSDAGGRQPPPGLFGGQLYAGDAAAAYMGMGHVHMALAIDKLATLGTFLRQADELRMQALHALRQILTARQAAGCFVAVDDYFCRLRALSTLWNTTRAAPQHQQMTRGPAG >Dexi2A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:2A:8895513:8897498:-1 gene:Dexi2A01G0008840 transcript:Dexi2A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWRPARSSASILSDTRTRCWRDPASILGRTLIHFAVDLLDSGSRVDMLSGVRLLDALVTARVDVRSYLMPSRPRIQKLVQALGWREEPASREMREPAARVVAHLAGTIHLAQFPGAIECISSLLHLQEEEETTTWPAKKQTHGGGQPNELILRGLAILEGLTFDHHNCRVICSTPGLLHKIMAPLYSADMIDGISSNRDWANVVSRSLMVLYKLIRVPGKTSRRLRREIYSNRQSMSNLGSILDDADQTVHLQMGALEILTQLALDMSIDLARETKEKLVRKLLHIFLADGEESGGRPVLRLNTLYEERAGTILVLLSTKIESNSALIMTIQNGIIGRLTGILLDPTNTTKYRTIAAQVLENLCNQCDLDKEWVKEMLLPKVLAEILLSSTKGTPKDGVPPPNDEESRQNSEPINHEAENHNISSTAEQNHSSDGESKEQTATAKLLWEPFLSLALAIRDKLISADDFDDAVQKIGLGPGAFVAKMKIIIEENCQETAKSLRIVKLCGRIVEPMMQREQYAQHFRNKEFAVSLSKASKIMSNLESCMLFAGTDFGPRKTTRPLLSDLKKSAQHLVS >Dexi5A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:5A:4327522:4328721:-1 gene:Dexi5A01G0005780 transcript:Dexi5A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAGGSGVDALCDNVLASILARLPSTSVLRCRAVCRSWRRITTARSFLVNHVTRRPLQILTRSVYGYGGREVSATPLSVAGDAHPTRLFHRTRHEPSVARSSDVLYSLDGLLVVSKLPGLFGICNPSTRQWATLPALAPEPRPFDVVTCGFYFYSPHTSSGEYRLLCQVRHKYERYYCIFSTAGDALPRRCHGRQRPPRLTTSASLPYSVPVSRRGVLHWLVHPEDAFTGMMLAFDTASEAFRLMPRPPEWAGDDTRKRKLLELDGELAVAVEKGVSTLAVWELRDYEAEVWTLRYRVEVPPPSSIFGGTTTDNHTSVEWYISWIFSAGDGAILIGQGYHGFAARLYDLREKRIRGEVSLLPRGQQPAFLVFRESLVSHGFFDLPPNSEVAHIKFN >Dexi3B01G0037650.1:cds pep primary_assembly:Fonio_CM05836:3B:40401165:40401656:1 gene:Dexi3B01G0037650 transcript:Dexi3B01G0037650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEVAFAVVSVIAVVTIAFVLRTCSRSAAPAMPAAAARTAEHAIVDAGVDVEAGAAGLDEAAIKELPKMVYKAEEEEAGEAGTKTTTTKAAVTGSAAAAGSSPAARCCAVCLGVFAGSEVLRVLPACAHAFHQLCVDRWLRLRPSCPVCRSPPVTPTHQLL >Dexi7A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:7A:27322319:27327716:-1 gene:Dexi7A01G0017780 transcript:Dexi7A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLRHLGPRLFAAEPAVSARGFMPAAARILPARMASTAAEAAANEGAGTKHHGGGTEKHEEAAAGGQSKKAIVSYWGIDAPKLVKEDGTEWKWPCFRPWDTYTSDTSIDVKKHHEPTTLPDKAAYLMVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVTQPKWWERALVLAAQGVFFNAYFVGYLVSPKFAHRVVGYLEEEAVYSYSEYLKDLEAGVIENTPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDVNHFASSLIFVIHLASSRIENPFPVPRWLLAALHLVGQIIVTQRWIELTSAHNHLAARSVENPKRQARASLLPFKTTPRSEEVSPRFSSCAAMSSRMAGAALLRHLGPRLFAAEPLTGLVGRGLLVPTAARILPARMSSTAAEAAREAAVPEQQHAEKPKAADAGHEKKGIISYWGIEPKKLVKEDGTEWRWFCFRPWDTYKADTSIDTAKHHEPRGVPDKLAYWLVRTLVVPKQLFFQRRHASHALLLETLAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVAQPNWWERALVLAAQGVYFNAYFVAYLASPKFAHRFVGYLEEEAVHSYTEYLKDLEAGLIENTPAPAIAIDYWRLPADATLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLGYH >Dexi4B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:4B:10088585:10088881:1 gene:Dexi4B01G0012310 transcript:Dexi4B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERPSSCHPQSEGGGSLWSDAMGESELCVLLPMGMHASVDVGLLCVAAESTAGGAHQRSEAWDAAMRGDAKKPMAVGRIEGVVEDWSCERIKKLTHG >Dexi2B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:2B:25599860:25603495:-1 gene:Dexi2B01G0015540 transcript:Dexi2B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRNMITSSAVPFRAAAGDGGGGQVFLFGGGGGSFLPGAPLVGAGGAADGWRKRPFELTAHEELRLQLELVADDDGYGFDYELQYGGVGAAQERAATKRRLTAEQVRELELSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKAKQLEHDFDRLRAAHDELLAGRDKLLADNDRLRSQVITLTEELQAKESTAPEPDEQTAALQTRAYAYSPFEQGQLRTETGGGGAAAAAGSDTGGATSDSPESYLAGARSPPSSSEDDCCCGCAFFLPDSDVLLDTAAERGLERVAEEDGGPLGNWAWLWNEQQY >Dexi8A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:8A:23234831:23236204:-1 gene:Dexi8A01G0013230 transcript:Dexi8A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVQRSFTIPPPATKSEEVPLTVFSLFMPSYYYTILFAFTSPNPTNAALVHALTTTLSHFPLLTARLTNKPRRRHGAAGGDDRRPCFVIGDQGSGALVVEAAVSASPLSDHLPLVPSPELALLHPPVVDEQGAAATPHLFRVQITRFACGGLVIATSVQHQAADGCSLSTFLHAWAGAVRAGGGVPRLDHPVPYGPGALVPRCPPRCEFEHRGVEFLPLLSSPQPEHPPPPAETETTTTNMLLHYTGEFVAELRASVQDRHTAFEAVSAHLWRKVTAARGCAASGDGDDADTRTTTMIKVAVDGRRRLAGREAVFGNLALTALSRTAVGKLVAKGGLADAAALVRAGVRAVDGRYFQSFIDFGALHGDEELVPIWEKPSETNFLDLEVDSWLRLGFDRLDFGLGGRLVGILPARIPLDGVVVLIPSMREAGGIHVLVALHDKPARVLKDIAYTID >DexiUA01G0023660.1:cds pep primary_assembly:Fonio_CM05836:UA:48388807:48389185:1 gene:DexiUA01G0023660 transcript:DexiUA01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATLCFVVSILLWRRSNNPRRKYNLPPGPRPWPVISNLNLIGPLPHRSVHELSKLHGPLMSLRFGSLPAVVGSSVDAARLILKTHDLSFIDRPRWAVGQYTGYGYSDMLWLAGL >DexiUA01G0025560.1:cds pep primary_assembly:Fonio_CM05836:UA:53849849:53853328:-1 gene:DexiUA01G0025560 transcript:DexiUA01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLSSPLFLAAPSRASDVISYGVASASTSLSTPNVLGKGYMSDRRQQRRLAIVSVIGRKSKTKETVVPDPDYRLPIAILGIAGAFAYADNLLAAAPVGLLGILLLFQTTRVRFVFDNEALEVKVGDQLQESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDVMVERAGPSKTSGPK >DexiUA01G0018510.1:cds pep primary_assembly:Fonio_CM05836:UA:39165155:39166528:1 gene:DexiUA01G0018510 transcript:DexiUA01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVAGGGRTKWTKRLQKEWKILGEDNLPDTIYVRAFEDRMDLFRAVMVGASGTPYHDGLFFFDLQLPPSYPAAPPLVRYRSFGLRLNPNLYESGTVCLSLLDTFGGKGTELWSPEASTVLQVLVSIQGLVLTSLPYYNEAGFVAHSGEPEGRRNELPYSENAYLLSLQTMLHLLRRPPVGFEEFVKGHFRRRGRRILRACEAYMDGCTIGSLDGEACPTELSKERPSSAGFRLALANIIPRLVEAFKEIGADTTTYPSSS >Dexi3B01G0033330.1:cds pep primary_assembly:Fonio_CM05836:3B:35946785:35954294:1 gene:Dexi3B01G0033330 transcript:Dexi3B01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGEGSAAAAKPPGGGGSNGEGSGRGNVHRGSGKRPGPAPSASPEASPTNRGKAVAKDEASKPEEAAAAAAMAGTASRRPEMNVTGKPLPQKPPQPWRPWARLLSQWQETPHVLIFGNEISVGKGSEIYQETLIKALAKHFDARLLILDSLSLGVETSSKDERMDDAPCGSSDIEGISNLNTFREGDRVEYIGNLLKLVPRYGNDLGGLCDTNRGFYCHASELRPDSSGVVEVDIVALGKLLEVISEESKRNSHSDQAPIRGNPRVLNAGQEPLGIGFHGISRCATKSTAHLKNLFPNNICIQLPQNEAQLLNLKKQLEDDAENLKAKTNVLNIRKFLASREIECNDLQELSIKDRLLTNENVDKIVGSAVSHHLQHNKSPNDGKMILPIESLKHGFITLKNADSGTTRSKNALKDVVTENEFEQKVLSNVISPNEIGVTFEDIGALDNVKETMGELVMLPLRRPELFSKGQLRKPVKGILLFGPPGTGKTMLAKAIATEAGANFINVSMSIIASKMLGESEKYVKAVFSLASKLSPAVIFVDEVDSMLSNRGSPGEHEEMRKIKNEFMVNWDGLRTKEQERVLVLGATNRPYDLDGAVVRRFPRRIMVSLPDASNREKILKVLLSKETLAPDVDLELVAKMTEGYSGSDLKNLCVTAAHCPICEMIEEEKKEKSLAIAEGKPEPPLRGRGVIRPLGMGDLKFALSQVCASLSLDSVTMNRIVQWNNEFGDGGSRKKETPPYIM >Dexi9B01G0042470.1:cds pep primary_assembly:Fonio_CM05836:9B:42705058:42709082:-1 gene:Dexi9B01G0042470 transcript:Dexi9B01G0042470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRILTTAVRRRSAIAAAAAGNAREASTAVAAGPGVLTPDATPVRAPVMPYDRIAESVNARLRRLEHPDPRFLRYANPVPTHADHTAILAAPETRVTTLKNGLRVATESSLAARTATIGVWIDTGSRYENEYAAGVAHFVEHMLFKGTSKRSAAQLEKEIEDMGGHLNAYTSREQTIYYAKVLDKDVPRAMEVLADILQNSNLDEERIEREREVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVRSITKEDLENYIATHYTAPRMVITAAGNVKHEDIVALADKMFNKLSTDPTTTSMLVADEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSVALMVMQSMLGSWNKNAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLTYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYMLRY >Dexi9A01G0027630.1:cds pep primary_assembly:Fonio_CM05836:9A:32317025:32321727:1 gene:Dexi9A01G0027630 transcript:Dexi9A01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRAGGANRPAWLQQYELVGKIGEGTYGLVFLARLKPPHPAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNSPINTYTIKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKALCDNGVGNFIRVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKNTPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENPGLHNIVHLAQKSPAFDLLSRMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQPGDKIVQYPVRPVDTSTDFEGTTSLQPNQPVTQLFLMPFVSVFQPSGNAAQGQSVARSVPRQMPQQPMVGMPRVAAGTNMAAFNAAQAGMGGMNPGNIPMQRGAGGQSHPQQLRRKADQGMMQNPGYPQQKRRF >Dexi6B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:6B:20464918:20465331:-1 gene:Dexi6B01G0012730 transcript:Dexi6B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRAKWPTLACPSSDGLTFWGHEWDKHGTCASDIFDAHSYFQAALSLRDQLGVLSALTSAGVNPDGGYYTLGQIKGAIQQGTGFVPFVECNRDESGNSQLYQLYFCVNASGDSFIECPVSPGGRACGNRIEFPAF >Dexi3A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:3A:463055:463785:-1 gene:Dexi3A01G0000550 transcript:Dexi3A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNRGPLYFVKTKAYFSKMGLVVILPKFIVRYFHSIREPIRLQPSPSTGHNLKIKSQVSKSVWSKPSCLDVLREGLLGDCQVEQNHGELEILVSKVLKGDLQALEDLTYEKRKEFLQQHPLPLEVPIVSFHTEASITPSVLAVFSHVAHLELPIAADGNSTRIPVVMPLSAAMAACS >DexiUA01G0011720.1:cds pep primary_assembly:Fonio_CM05836:UA:23397945:23399514:1 gene:DexiUA01G0011720 transcript:DexiUA01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNSEQCSHGSLAKRRKVPKISFCNLPMSSLSYIVTGFPSSSPRVETLTLLSYEREKTMVPVGPFKFTYLQNLRLELVISDYDIRKTDVLDYAYLLKIAPFMETLELAHI >Dexi4A01G0022080.1:cds pep primary_assembly:Fonio_CM05836:4A:25422385:25425716:1 gene:Dexi4A01G0022080 transcript:Dexi4A01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQATATAAAAVARIPRDALLRIVAPLREPLAAAPYKPHAGSSASVKSLLASLLPSPSPSQPQPVVGKGAADLLLFCAAVLASSPEHPALHWVPVSLVGAAAIAVEEMAAAGGWGSVGEMVVAVMPEVVPPLKAVVKDSCVDADNDEIGAVKPPKEHAVVAAHQFRWLVSQVCYPKLGDLCWLVIPCALTTLDHWSPEVKEQGMVSFIHIAKNVKVTELSLYEDAILDACCHNIAADDELWYRVVEVSVILLTCTQRSNPRSPWYDRMLSEMLGHLERQPLNKERRVAWLTLIGPVFDAMGLFLLAHFRRLFSLFFQWMHTDDEKTVLLVLEQMHAIIKLTWIRKSPYTLRLVDELVLLCKESATRSSREVIRTHILEMLVLLQKCKGQQFEEAWKKHELDPDLTMLLSSFSQLCTQNSSPGC >Dexi6A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:6A:1104454:1105011:-1 gene:Dexi6A01G0001260 transcript:Dexi6A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTVKVQVLSRRVVRPEPAPSPDGAVPSEPKTAMHLTSWDLRMLTVDYIQKGHLLPKPHTGAESLSLLNGLASSFARALARFYPLAGRIAVTEAATGIVVSLCCSGEGAEFVHAVAPEVTAIDIAAADYYIPPVVWSLFPLNGALGADVSCPVLAARLEDFVRRPVYPPVRECFFHFS >Dexi2B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:2B:10266913:10269610:-1 gene:Dexi2B01G0009530 transcript:Dexi2B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTANLCFLPSDSEKPPAPGTGCSAMAAASVMPPRVADPPPLAAARDPTAPASDPQGRREASAPSLHALFLRAVDPSRPSSWSAAVADLLSSGDPVAALAAFAAALRANPAALRPALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSGSALLHMYHHCSRPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYPALAIFRSMVASDSARVVDEAAALVALSASARVPDRGITGGIHALVAKIGLDEHAGVANTMLDAYAKGGGRELGTARKLFDMMERDAVSWNTMIALYAQSGLSAEALRLYDKMLNVGGGVRCNAVTLSSVLLACAHAGAIQTGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARRAFQRIKEKNVLSWSAMISGYGMHGYGQEALDVFSEMRRSGLKPNYITFISVLAACSHAGLLNEGRHWYNAMRKEFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAMWGSLLGACRIHKNVELAGIVANRLFELDATNCGYYVLLSNIYAEVGMWKDAERLRVLVKTRGLEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLEKMREAGYAPNTGSVLHDLDEEEKGSMLRMHSEKLAVAFALMNSVKGSVIHVIKNLRVCTDCHTAIKIITKLTGREIVVRDIKRFHHFKDGLCSCGDYW >DexiUA01G0017410.1:cds pep primary_assembly:Fonio_CM05836:UA:36737558:36738978:-1 gene:DexiUA01G0017410 transcript:DexiUA01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVFLRASAADLTAGKPPLRGVPATAPLSLAAAAIPASQEADVAVWRDGASPLAPAAATVIGLLSSFDVVAFLASHPAGTAAALSTPAGDVVAHEPALVREVEPHTRLIEIVELMKQGARRILVRKNITEPCTVDKKPFAPFYKAVLKITGTPRAAAAAKQTLNRSTSSSSPTPTFGCDRYCCLTREDIVRFLINCLGALAPTPLQSISSLGAVNRGYAHVEASSPAIEASWSVHSTEPRAVAVVKTNRDGSHKVLADVSAHRLWRRDYVAAADAMASLSSLNFAAGVDAHGMAAPPPEDDDTSAKLGSSSSRRDEFEASFVGQMMMASHGGNAALRCRSSSSLAAVMAQMLSYRTTHIWVTDGEDDVLVGVVGYMEILNAVTRGVVAPPSA >Dexi2B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:2B:29372074:29376400:1 gene:Dexi2B01G0019200 transcript:Dexi2B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVPAVPRWTPSPPRRQDDRHDDDAADSDLGASSSMRSTGGFPFGSGRSFAPPPPFPLPQPSSSLEITATAVAREKSLRRTDEGVVISWEDLWVSAGGGKGGGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGEILINGRRQKLAYGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPSAMSARAKRERAEETLREMGLEGAADTRIGGWMHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVGRIARLARREGMTVVAAVHQPSTEVYGLFSGLCLLAYGRTIFFGPAAETNQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGEKMTTAQAIDTLVSSYKSSAYMEKVTQQIADIREIGGAVVKKEWQPSFLTQSLVLTKRSFVNMYRDLGYYWLRFAIYIMLCLCVGTIFYDIGHSYGSIQARGSMLNFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFTIANTVSAAPFLLLISVAPGAMAYYMVGLQSSFGHFAYFALVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYISFHKYANQGFYKNEFMGLTFPSNQVAGGTITGGEVLRDYWQVQMGYSKWVDLAILAGMVVLYRVLFLAVVKLTEKVKPMKPMIKGLRFRNAAPSVHVADKGSGSP >Dexi7A01G0021860.1:cds pep primary_assembly:Fonio_CM05836:7A:30355557:30356117:-1 gene:Dexi7A01G0021860 transcript:Dexi7A01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDSPEPLSRTTDAEQQRKTAAAAAAPGEGSNVLPAEPTTTKTQPHRPCRRQRPKPAPKDLPTVVKPKDPDTAESVATSRDKALVRGAARSVMGRSLSDALASSLVRRRPRSAQEY >Dexi2A01G0028890.1:cds pep primary_assembly:Fonio_CM05836:2A:40025974:40028759:1 gene:Dexi2A01G0028890 transcript:Dexi2A01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRELDLVVPPCVLLLLSSTLLFSGAAAYSEYSCNGTTGNFTAGSAFATNLGLLVAALPANASSSPSLFATAAVGDAPDTAYGLALCRGDVTDAGACSSCLADSFSRLLRLCLGNRDATFYADLCTARYSGGDFLSNPGDNSPVIDALDVNASTYYGWDATNATSRTLFLSLVGTLLGEMSMYAAYNSSAARLFASAAMYVNPQLPTVYGFVQCTPDLTRAQCWECLQVIQDLNRRWYDGREGGRILGVRCSFRYEAYHFFAGMPEVRIGLKGDAPSSSAPGSNGSNPRKALIVTLIVSITVFCAILVAGLLIIRAQRQRAVTRKKQQLQAQSRNSSATEEALKLWRIEESSTEFTLYDFADLAAATADFSDDNLLGRGGFGPVYKGKLADGTEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKLLVYEYMPNRSLNWFIFDRQRGPSLDWEKRRRIVEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKELNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNSGHHHHYGDFVNLLGYAWQLWKDGRVYELIDPALGECGDAAAIVRCVKVALLCVQDAAGDRPTMADVTVMLAAGGSPSSALPDPRRPPHFSLRVKGGAGSSDDEDGAGSGLRTHGGSTSCFSTNDLTISSSIREGR >Dexi9B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:9B:3677505:3680025:1 gene:Dexi9B01G0006200 transcript:Dexi9B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVWSPPPEMDSRGFDSAGRVFSNATEMWAEELGSTATATTSAAAEAEAASTAAAAGNGGIEEEASGEGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGTTKRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTGCMDQGEDSHKAANFYCVPLQDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAKVGLKPDGFFVLKENIARNGFVLDKEDNSVTRSDPYFRELFKKCGLYILSVKDQKELPKELFAVKMYALVTSQPRIQNSGKRRRPKNSPRMIRS >Dexi3B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:3B:2879816:2880184:-1 gene:Dexi3B01G0004160 transcript:Dexi3B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAVK >Dexi3A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:3A:4874552:4876234:1 gene:Dexi3A01G0007170 transcript:Dexi3A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADWPQRLTPPNSLHPSAPPPPPDPTSPAPDAGPVAGSSSPGGGAAEGDDAAGEFGAELDLEPLWSLLFGDPAELEPMWEFGAGFAAPEAEPEDGHAEGPWDGAPWRSTGVVAGEGAANTSLLGVPSPVAAAGFLELDPAAAAAPPGDALEATRPLDSAPHSSSPLPPCPQAPVDLEARAPELIPSCEPAAALQPAAVASSEAELEERILECTLNSVPSPPPPQDPDLGAEHGTNNNNDMSSDKLTSQTMVVIALSALAAVPRMSLPEMPHLSGGGKAKVA >Dexi7A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:7A:16850474:16852919:-1 gene:Dexi7A01G0005590 transcript:Dexi7A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKQKQRPLTVMAASSSSQVAAARGMGVGMANPLAEWKDRVKSLEAGLRAWMAKQPVHVEAAVSTAVGAVQGAALGGLMGTLAPDGGAALPVPPPPLGADPKALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSLVSGMGTPNPVANAVTTGVAFAVFQGGFFMIGQKFSQPKSEDTYYSRGRNMLQSLGLQNYEKNFKKGLLTDQTLSLLTDRDPELTRAN >Dexi3B01G0026970.1:cds pep primary_assembly:Fonio_CM05836:3B:22400277:22400710:-1 gene:Dexi3B01G0026970 transcript:Dexi3B01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEEQAIAAAAAAGGRWGQRWGEDWHVSAGEEVAEEGRCPVVLLVAAEEAGVGDEAAPALADEGDPGKGGRLRRHAEEDLAEEVVVVREGYRRRSRPS >Dexi3B01G0026170.1:cds pep primary_assembly:Fonio_CM05836:3B:21145551:21146141:1 gene:Dexi3B01G0026170 transcript:Dexi3B01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGESRPNGAGGGGEEGNALRRRRKPSLASSPGAAASASSSSSGRGEEGGEEHRGGGKQGRRKKQGRREAVARAIRGGLPAAATSCWGGGGGGGGVSVVQETGERRTGRRSSRWERAAADVDAAAGAEDDGPNTAPAAAWCCVCPGGDCSLEPNPSANGKEEPGVRSLLERNDFFSADCNPHADVLPAAAASSS >Dexi5A01G0035820.1:cds pep primary_assembly:Fonio_CM05836:5A:37522010:37522952:1 gene:Dexi5A01G0035820 transcript:Dexi5A01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVETNGPRGRGDHRTSSLKKDRRGFWAGVFIFVNSCFQHIAYFAVSTNLVNFLRDRLHQDSKAAANSVTNWRGTSYVMSLVAAFLADAFLGRYWTISLFLLVSVVAYVVLTVCAAAAAPSAAMFYVGLYLQGLGCAMQPVVTSFGADQFDDSDEEGRRRQSSFFNWFYQSLNVGSLVGGTVLVWVQTNVSWGLGYGIPALCSVLAVAVFLAGTGVYQRHQPPAGSPITRVAQVIVAAARNWRVEAPADVSELHECDGDDGMSVIQGSRRLAHTDQFR >Dexi9B01G0022290.1:cds pep primary_assembly:Fonio_CM05836:9B:16967798:16968367:-1 gene:Dexi9B01G0022290 transcript:Dexi9B01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAGVSFVGDSSLSPTASLTVPMTVGGYHLLVVNGYSRTKNNTPNGKCMRSKSFKIGGHRWFIEYYPNGYRQEEEDAGCIFFYLVLDEINFADPRQESPLLVSPSGTHEFVSNNFWSPGCFMDRQRFEKSIHLKNDSFTITCHVVLTKVVNIGELGATMHFVKLPLTDIHQHL >Dexi2A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:2A:8857659:8858285:1 gene:Dexi2A01G0008820 transcript:Dexi2A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHWAGPTEDLGRGLWRPSLPRLPAKPPPQHNTASSLHISAAHPPAELLQTPPPPPPRRHNTQTTSHLAAAAEMKFVAAYLLAYLGAAESAAAVAEGEEESATTAAVPAAAPTKEDVLRILASVGADAEGAEDRLDMLFAQLEGKDVADLLAAGREQLAYAPSGASAAAFAAGGPAAAAAADEAAAKEQEKEEEGEEDELVFNLFDEE >Dexi9B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:9B:3354402:3357265:1 gene:Dexi9B01G0005690 transcript:Dexi9B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAHGALLLSLLLLAGAAAAQDAAPQEPTLPAAVGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGRHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQTLLLYHVLPARLPSDSWPAASHPTLSGEEVELAAAATGGAAGATMRVGHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAAPVPPGAPPVLPIWDAMAPGPAIAPAPAPGPSTGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLFPPGDKNATETADPHRKPPAITHKKKIKLRRGQYFQIWTWSFLFYSYHYRLLKSNPTHCKLLEASCQMAGLFGQRSRFTSCQD >Dexi8B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:8B:3668513:3668752:-1 gene:Dexi8B01G0004170 transcript:Dexi8B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIQTAAQPPSCSSPAIAYPRWVLLEPYAKIVNVYDSSCGSCLGTADPKTLAATTTSTGHRIHISPSSASQSPRQWRN >Dexi9A01G0026850.1:cds pep primary_assembly:Fonio_CM05836:9A:30888304:30890369:1 gene:Dexi9A01G0026850 transcript:Dexi9A01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGGSNAQRQPAVVVVAVAEPSRPRSRARRRRAIMPVKSSASFRMTAAPMVLVAQLLAAAVLALTLVWVLHFRGGVSWKWTSNHLFVYTAHPLFMVIGFVICTGEAVMAYRIVLGSRTAKKAVHLVLHLVAMAFAAVGLYAAFKYHHDAGLPDIRSLHSWLGIATIALYALQWLVAFVYFVFPGAVMTMGADYAPWHIFFGIVIFLMAILTAETGLAKFVSPLINYASEAYVVNFNGLTILMFGVAVVLVVILPSR >Dexi5A01G0029300.1:cds pep primary_assembly:Fonio_CM05836:5A:32408023:32409939:-1 gene:Dexi5A01G0029300 transcript:Dexi5A01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSSTSHRSHGTSACSAAPPPPLTVADRVACGWATTTSPTAAAESTSSITFQDPYRSSTHQPLSDAASSLGDPPVDWTQAFLSGRSDTSFQAVLQDDMVRAQSAAAADQAPALNHPLINAGGGFFVDQAQLEPSPYGAPPPSQQGLFDTAGMYGDSQSSIRYGGDTASMQFPQLLTKPSSVAAPMQYLSGSYQLPFGGPLPSQLLLQALQTKPSSRSSLTVKDACAPAARKSVTESSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSSLSSPYLKNGMPLQQFQKKGSEDAKDNSETKPDLRSRGLCLVPVASTYTVAAETVPEFWHPTFGGSFR >Dexi9A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:9A:12051499:12052204:-1 gene:Dexi9A01G0017010 transcript:Dexi9A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQSSDGQVMFSSSIALLQQRFRELERIREKREERLLHVLAPRPAATAAPRETPVKWFFHPELLYPCRPLRDTAALFPAVPTTACECKSFKLRGDPIADSHSL >Dexi5B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:5B:21564714:21564935:-1 gene:Dexi5B01G0019230 transcript:Dexi5B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRPAFACSGAAISASTWSHSRAAVSDSAWSHSRTAISDSAWSHPRAAVSAKLSPRRVLDVAMHGWVSRSG >Dexi4B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:4B:7828944:7829658:-1 gene:Dexi4B01G0010500 transcript:Dexi4B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPWRTGTVVVVVAALLLVAVLGAEARPLAGDGWAVSGDGPLPASGGVFIVETLRGLYFQQLGGPGLSCQTNSPNNGCPPPP >Dexi7A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:7A:211051:216343:-1 gene:Dexi7A01G0000130 transcript:Dexi7A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRMEFTFSAARWVVSKALAPVADGLLEAWAASKNLGAETDAITAELLYAQAMLNNTRGREIDNQALNELLLKLRLLAYDADDVLDELDYFSIQDELDGTYHAADAHAGGCAHDLLLNARHTARYVANKFKPSSGWHGASPNMPGPGHLCGVWPSKELETDDVVGVLAAPTCAFLSSLLTELHFWLGKEVERFTKEQEVALQLLTSLEDIRFWVCDKLQCLPVGLHRLPNLKRLGIEYCAAIRFLPKDGLPGSSLQELVINNCPAIRSLPKDCLP >Dexi2A01G0035810.1:cds pep primary_assembly:Fonio_CM05836:2A:45338334:45340111:1 gene:Dexi2A01G0035810 transcript:Dexi2A01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPMASVTYIDDSHAEVIDPPKNEEMLDLDVTELVGEHIQHSPKPNVTSYGNVLAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Dexi9B01G0021460.1:cds pep primary_assembly:Fonio_CM05836:9B:16183306:16188789:1 gene:Dexi9B01G0021460 transcript:Dexi9B01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGLAAPDQSPPLVMPSKMKLDVEDQELADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNVGWEIGDEGQILFTEPLFTPKVMRLFGSSWCSLCCTVDIGHGKIAKAIDQAAVGPVWVLGSRYGMPMMTREVYQGEALAVITIEKERYIVGEALFQPSILGLEDYGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWMGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >Dexi4B01G0023210.1:cds pep primary_assembly:Fonio_CM05836:4B:24626413:24631324:-1 gene:Dexi4B01G0023210 transcript:Dexi4B01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDHLKEAVNGLNHESLNVRYMVACCAEQSRTAVGKRLKLVCADCLGALGAVDPAKIKHLARAFRAAADTTVQDAAALAIQELLKLAGGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQSSYATAGKHGGKLKGGIYPDYEQDQMLVQCSNVAGLLTAIPKVSGLIRGCLKDLPTYQWLTVLSQLISRICHQNADVVRIVKYIITSILREYPQQALWMMAAVSKSTVPARKEAAAEILQSAKKGCRRGSQNSALFIQFPTLIEHLIKLCFHPGQPKARTINISTEFSSLKRMMPLGIILPVQQALTVTLPSYDPNMSDQAGFHPFSVSEHPTIAGIADEAEILSSLQKPKKVVFIGSDGVSRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRHILQDIYITDGKFDKMKTNPQIKKIYDTYHGKMPDDEMLKTKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLQLDKPEVVPFRLTQNMIDGLGITGYEGIFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWNKNTKSSGGEAQNPHAQRAITNINARLQGVVVGVKASPSLPLSVEGQARRLIAEAVNLSNLGKMYIWWMPWF >Dexi2B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:2B:8190548:8192326:-1 gene:Dexi2B01G0007990 transcript:Dexi2B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDTFVLSQDIMIELFFQLIGQGISLFFIHGSEDIIASGAGDDAICLFAEEKNSMVEGPSYKLILKKEKAHDMDINCVRWCPQDPRLLASASDDGTVKLWELKGSVLD >Dexi3B01G0032740.1:cds pep primary_assembly:Fonio_CM05836:3B:35190661:35192822:1 gene:Dexi3B01G0032740 transcript:Dexi3B01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWSGGGRCSPLAIAAATAPVRMVVRGPHFAGAGRALLLAVAFLAAAAWFLPVAEPSCPRDNSLVKDIGQMQQGSFGIGGLSHITVAGALAHGMKEVEVWLETIAPGKRTPIHRHSCEEVFVVLKGKGTLLLGSSTLKYPGQPQEIPFSQNNTFSVPVNDPHQVWNSDEHEDLHILVVISRPPVKIFLYDDWSMPHTAAKLKFPFVWDEDCLPAPKDEL >DexiUA01G0023840.1:cds pep primary_assembly:Fonio_CM05836:UA:48655661:48657000:-1 gene:DexiUA01G0023840 transcript:DexiUA01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSNECLLITINAAGCVIETLYIAVYLAYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQKRIVILGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSFSLTVSAVVWFLYGLLIRDKYVALPNVLGFSFGVIQMGLYAIYRNATPRVPAKEVAEDGKEDIVKMPEHVVTIVKAHDVLPVVPQPKEVAEPEKEKAAAAKKEMEAKVGSKGNGDQV >Dexi6A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:6A:28827109:28828137:1 gene:Dexi6A01G0021600 transcript:Dexi6A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRRAKMLPATRTHLNRRESNGRRKKKKKNAPPHPPPLLGASAAAAGLPIGLLRRRAPLQGSSEEKNAQLATWNASTPLCLWRGLRWSTTAGARANLSLTGDPTILLVSPRPRSPGHSRRSSGPSPRWRPSTYLSANSLTGAVPLELGNAPALTALDLSRNRLSGALPASLCDHGVTELRLHGNALTGAIPEPAAPNTTCDALRGHIPEALAHIQALNLSYNSFSGQLPAASLATSSPDSFVGNVCGPPLRQPCVSSSSGLSSRHAVVLASVSIGQGHHNLVQLRAFYQGRRTREHARCTTSSCTRILI >Dexi3B01G0025450.1:cds pep primary_assembly:Fonio_CM05836:3B:20196740:20201426:-1 gene:Dexi3B01G0025450 transcript:Dexi3B01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPVAVEEYSLPPAASPTQQLQPRGEDAAASCEVEKEQEQQQQEEEESVPGEALRSFMEEFGEGENPLILSPQLKGITTPDRAAALHFLGEKYNIIIERYRKQVAKCADECGPRCRPLSSNEITRGCLSVVEINPSQETELQFVPSEKERKAFKFDHVFGPEDDQDLLDESNDPSKRLDVKQSADGTQEVPGLSEKLRQEEKEKAQLSQSLQLMQLKYTSRDNVFRTLNEKVKDAEQACKNYQRRIRELEIELGNEKKAAKDSARSMRPPLVPMKQRQPQGRNSNYALPSGPSRSRFSKAPTLQNKENIPVTMNKAHPGADPNRAAGKARRVSLTPVIRQIPIQPKRRSSMAILPSLSEQLSVLNEKRAPSRLSNAHVPRRSVAAFGSILGTPLAGHGTVDATPDGAKLRRIDFGSSSKFTSPPPNPMLGQWNKMTTPAGGPGNASRLCFSIQKRVAVSPVRMKPSVMGKFNPAQREQMVVGRAGNALRVVNTKRRQSVI >Dexi1A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:1A:26750352:26750986:1 gene:Dexi1A01G0019730 transcript:Dexi1A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRERSRAASRAGKESLGESGRRRELAERLRRGREAGGDGPGERVAGEVERDERAREGARELTRERVGGEVERAEAAEERERRSRERAGEVEAAERKLGDGGWGWGGAHGGGVERDAEPGRRERAVPGGERGAGGSREGRTEGEQSRAVRGGGGRRAGRRGQEEDDDEDEEGGGGPEEVEGDGVGCGRHRAVVVVVVAGVGLGG >DexiUA01G0028000.1:cds pep primary_assembly:Fonio_CM05836:UA:60127621:60128456:1 gene:DexiUA01G0028000 transcript:DexiUA01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPANQLSSFGHSLLSPTSPHGLLDEIALQAPSILMDQTTSANLSSVTTGRSNNSSCGSNLPAPVPMTAAKPPP >Dexi8B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:8B:11464769:11465916:1 gene:Dexi8B01G0008530 transcript:Dexi8B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHVVYAGNVASLEEIEGDLYADVRVARLAPRHLVPPCQNPSALVEENTGHLGHRVVHHLDPELVAPVLELEVPDARAREGEDGDGDRCRASCTSIGVGVEAELGEVVAEEEREGAPHAVASERDAHLLALVLGHEAGDLREELVAAASGGELSGGVSERNPDSTLTSGRGSVGSKRAGASVLMKLASHSDPVTVPRKETRMSRRPRRAASLSEATATYPIHRLFLLLLLLLLLHDPMGNVTVDVLGDRVLGAVGYSDRLQHLALAVLAVEVALGAWVKVERTVAHGHELAVTDDLGAQERVELRAHKASPVVGALDLPPHARQRRWRGHHRLGTATACYYLLRLRHRRGRRWRRSG >Dexi4A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:4A:22131297:22133179:-1 gene:Dexi4A01G0018170 transcript:Dexi4A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSHHTLQTPVLMDTVYTAAGPQQRTSLLCTPKPRAAASSRRSLSFTARASANSGAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTDERFIDIPEEVIDVYELWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKANTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSPATEAGKKILATDPSSPGSLGIAISEAVEVAATNGDTKYCLGSVLNHVLLHQTVIGEECLEQMAALGVVPDIVIGCTGGGSNFGGLAFPFIREKLAGRMSPEFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAISIQQTECFQAAALQFARTEGIIPAPEPTHAIAAAIREAMECKRTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHSADKLEASLAAVPKV >DexiUA01G0010220.1:cds pep primary_assembly:Fonio_CM05836:UA:20370928:20373789:1 gene:DexiUA01G0010220 transcript:DexiUA01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFQGGRTFRDDVYMKKEADYILTKCGGIPKVIMAVARYLGARQGDTRQRKLSHLKANFIHELETNPEFVSLRDLFAWMHFKFDALPWCLKRCILYESVFSEAKRIRMRPSHFVRRWIAEGYSKCTNSKTMEEYAADLFSKLTKETASMGEWRVNSFFHEYINSRLMEERAVFFPLVVSILDKSRCLVATEGLGQHLVISSNWKRNEEFVFEDVDFSHLQSLTVYGVWKSFFIPYKMKSLRVLDLEGTSNIGDDELQRMLELLPRLRFLSLRGHREITCLPDSVSGLRHLQTLDIRRTSIVYVELQKLQKLQCIRAGTSLRWMDDRGLPAKEESTPSSFSSGTLAYCLCKFLGCRHDGTGNGIKVPAGIRHLKALSTLGVINVNTAKGKDILPDIRQLKQLKKLELSGIKRKNSKYLSKAVINQKNLESLSLQVVKENRSVRWDAIYLPSSIRSLKLYGHLDKLSALRFNNLRNLRKLSLEMTKLLTEKDLHILGSVESLITLRLRVDNAHDGKLQFPPHLPANEDQSHGQNQTPASPPVNNHQANGEHQAPDHLPVIHQADGKQQVPGRLFSKLQVLELVCLSKLQVIFEKGATEKLEVMKAHCCSDSSLEVTGLVHLVSLKQVCLQGSYGDTLQEALQKQLDHHPKKPALKLQVKTQSPSSQASRQCMLNSDMDIAAVVILHEPSRAAQRSALRARPLARNRIAPNAGSPCPATARRPSVDVAV >Dexi4A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:4A:5567660:5567971:1 gene:Dexi4A01G0007480 transcript:Dexi4A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEATLFIVSPELGDALVKVAVFVLVQALVYLILRNSSDVFTPGKMARSLSFRPMRSMSVRRVLAAFSDVPVGVPEDAGAGATSSPSPMDPGDECASWLKY >Dexi5A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:5A:9389086:9389851:-1 gene:Dexi5A01G0012530 transcript:Dexi5A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALAGAFVIVFFCLVTPAVAAEAPPGLEFHVGGARGWTVPVANNSYTWWAMNNRFRVDFRYFNDSVLLVDRPDFDACNATAPLAAFVDGATTFPLDRPGFFCFISGEPGHCEEGQRLIVRVMVHPAALPPAPGPASAPGTSEPPGHGGAGNPGATSGSAATAAIAGGLAAVAAALAVFVTASS >Dexi8A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:8A:4266913:4267551:-1 gene:Dexi8A01G0004870 transcript:Dexi8A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWLTDVAVPVGDRFLCFVDYMRGFLLCDMADADDVAALELRHVALPVKPPVSFDDDGERPTTQMFRNIAAASATAVRFVTVDRRCCCGGLGVSTCERGQFLFKVTMWTLSLTTTVATWVKDGELDCEELWAMPGYHGSLPRTEWPTLPVVSCDDPDVVRFVLHNAYGYNGEDRKVWVLEIDMRKKALRSVVLHSNADEQVEFHVAAQLLF >Dexi7A01G0022010.1:cds pep primary_assembly:Fonio_CM05836:7A:30453706:30454016:-1 gene:Dexi7A01G0022010 transcript:Dexi7A01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSLLPIVPKLGTLLTDEYKLQKGVRGEIKFLEAEMESMQAALKKASELPAHHIDADVKIWARELKELSYDIEDSVDTFMKVLR >DexiUA01G0019080.1:cds pep primary_assembly:Fonio_CM05836:UA:39816690:39821552:1 gene:DexiUA01G0019080 transcript:DexiUA01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRRLFLASALLLLLLVAGPAAAQDATVEGVAPAAEEIAANARAKEAAVLASELVQLRAKISALDCHILYAFFLLSPVTFEPYPSFLDYFLWQVHGAWLPPWLATHAARSMEVMSNHWNEHGKPAVDNLLQKASEKSARAKKWAEPHLETAKTKWMPIAKEKWVTLKTNAEPYVQMVSEKSVEVYQKSSDFIRPHLVNAHQVADPYLQAQTTISDYLHQHEFTKQFVTEELVWYLACALLLMPVFVVYTLLIETFWFVFSFQVLS >DexiUA01G0012010.1:cds pep primary_assembly:Fonio_CM05836:UA:24014892:24016550:1 gene:DexiUA01G0012010 transcript:DexiUA01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQPHVVVVPHPSLGHVNPALQLAQALHRHGIFITFVITEHNHRSAAGGVVASSHRDGSSSFRVETIPDGLLDGNSGGNAVDRDRALSKATTGERGAAQLRELLARLRDDGVPPVTCLLPTALMSFVIDVARELGVPTSATCHFVRTTDPDDFSLWFNDTEANNLTKAGALILNTFDALDPAGLAALRAEFPRVFTVGPLGLLLRRCLDVVDDSSSSTSSSISAAGDTLAGGELMTSMSPWKHDTACLAWLDTQQPGTVVYANFGSLVVLTADQLAEFAWGLAATGRPFLLVVREDLVVSVSGAGDGGGATSLPPDFLSATAAEGRRCYVATWAPQERELEHRAVGALAVGMPVVCWPAFADGYTICKYACEVWGVGLRLDAEVRREQVAELGGTVMESVGIRACAARWKNEAEKAVCPGGSSWDSLLDMVKALEVGSPSLDC >Dexi9B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:9B:242742:243796:1 gene:Dexi9B01G0000390 transcript:Dexi9B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLLLLLLLLASSPAVLADVPPSNKLVFILAGQSNMAGRGGVVANRWDGLVPSDCAPSPALLRLSPDLRWEQAHEPLHQGIDAPNHAVGVGPGMSFANALLRSGRAGAPVVGLVPCAVGGTRMAEWVKGTDLYAEMLRRARVAVETGGRIGALLWYQGESDTVRWSDATEYGRRMTMLLRDLRADLGMPHLLVIQVGLASGLGQYTQVVREAQKGIKLRNVRFVDAMGLPLQDGHLHLSTQAQVQLGHMLAQSYLNYGTSRP >Dexi9B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:9B:13526277:13527270:1 gene:Dexi9B01G0018970 transcript:Dexi9B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSQWHSHRSKRAPFDDAALSFAGDKLPWPSPRRPDNHAVLLSGLCFLFRFGQEYSTVDGLASMMPTGAVVSATTAVAPARQQGGARNAGAGHPPLPRPPPRQCPRCQSGNTKFCYYNNYSRAQPRYLCKACRRHWTEGGTLRDVPVGGGRKNRRGGGNKAGGSAGKAAASASSSAAATATQQGGSIGADMDLLLRQLVQFQPAAGVGVGGGGGGYAIDLSAWQQMAAAATTAPPQGSGDVGALGGAAATAAEANCGALPYWGGWQQDDMPGLDGAC >Dexi4B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:4B:21023628:21027315:-1 gene:Dexi4B01G0018650 transcript:Dexi4B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSAKQPLLHRVYPPSIASASSPALPTAPAGPPAGGRRFPGGLDVPNLKKRGGGTRSWIRVEASTASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFGDQSLLGYNSAGAAGTSVSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKGSDNTTTEHIQELEMLLEAYFVVIDSSLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGVFGMNFETTVFKIQNAFQWVLIITGVVGAFIFCSFLWFFKYKRLMPL >Dexi4B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:4B:673218:676731:-1 gene:Dexi4B01G0001050 transcript:Dexi4B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQLFCHAYVTCSVQVDMASTFGATSTVGLMAAAPTGKNVRLQRRANFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVVAAGANPVQITRGIEKTAKALVEELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFDRGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGGYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPETAAPMANPMDNSGN >Dexi3B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:3B:3596181:3601258:-1 gene:Dexi3B01G0005380 transcript:Dexi3B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDRRGGGPPGSGENLLLLLPSLPVDAGWETIGKKSKKPGPAAGRQWAPWSSTNAAPNTARPAWGGNGPSHPSGTSWAQSSDRGAANKGHPRPPPQTRAIEREPQASRPVVSQPLPNGWQWQSRSRTSCSEGEKDDAPPSGGDPELENVDGNDTSDDDDDLSDDISDDYDSDSSEKSFETRKMNKWFKSFFEVLDTLSVEQINEHTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGATRVKLHRELAALLEEELSRRGTSVVPAGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEQDDDDKWKGMGNQELLDYFGEYAATRARHAYGPAGHRGMSVLIFEGSAVGYMEAERLHRHFITQGKTRLKYEMRSYNEMVVMQMKQMSEDNQQLNYLKNKVVKTEQRSKAVEETLGVVTQRLRETMEEVIFVKDKAKEKHLEYEQEMKYQEEFFHNQIDGIHKITEAKEKEFEKLLQEERSKARRFGVDSGTTEDCKLRKEQVQKFIDGQVKDVAEFEAERDELIKAHEEKKMKLKKEYMEKELELEKELDAELTSLMEKHKPETFQASSS >Dexi9B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:9B:444489:444732:1 gene:Dexi9B01G0000710 transcript:Dexi9B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPLKWLPSERGMQQQSSTQSIPTSTATWRLPRTRRELTYAAGSY >Dexi7B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:7B:1478100:1481144:-1 gene:Dexi7B01G0000710 transcript:Dexi7B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALRKKTASRCTTEAARGEHVFEIVGYNLMRGLGVGNFVRSRTFSIGGYDWFLLRTELEKDYVRDDCLTIECNLAVPMEAHLSDIGVSYEIDVPSCDIPEHFAELLDEKKKVGHVTIEDMEPDVFKALLRFFYTGSIHGMGDDLDGDDYEDILWHLLAAADRYDVDRLNLMCQSILSKNLSMENVATTLALADHRNSMAGVAQEGSQFDAKQYNSKTQELLTEDFFTSYDEVIPEDLLRRIYSYGFEDTTKQAGSQK >Dexi1B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:1B:11628792:11633361:1 gene:Dexi1B01G0011470 transcript:Dexi1B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVVVVTYPGSGNINPALQIAKLLHRHGVYVTFVNTEHNHRRFQDNEGAGPVRGRDGFRFEAIPDGLSEADRGKQDYGRGLAVSTSTRCAAPFRRLIERLNSTPGVPQVTCVLPTMLMSFALVVARELGIPTMAFWTGSAAALTTHMMLRDLQERGYVPLKDESYLTNGYLDKTIIDWIPGMPPISLGDFSSFLRTTDPNDFGLRFNESEANNCTKAGALILNTFDALEADVLAALRAQYPLIYTIGPQGSLLRHTLYDRATDGSSSTGLSLWKQNAECLAWLDTQQQGSVVYVNFGSRTVLTPEQLIEFAWGLAATGHPFLWSIRDNLVSGGGGGGVAMLPPAFTAETAGRCHLTSWCPQEKVLGHPAVGCFLTHINHELILHGVCSKEVPPLSGFSLAFRRHRRGDSVSHVASAEPPILVPPRNLHRDAELAREQLGVKVLVGLHREQHQPAAGDDPLHDGAKPGVVHEAPDAPVPQDVLLLHATHSSGPSGTTSPAAAGGLAALPPAFVPEAAGRCYLTSWCPQEKVLKHPAVGCFLTHSGWNSTCESLADGVPMACWPVFADQYTNCRYACEAWGVGVRLDAEVRREQVAERVREVMGSEEMRRSAARWKKEAEEATGPSGSSEKNLVAMVRALSGSSSNCSSEA >Dexi9A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:9A:102333:107933:-1 gene:Dexi9A01G0000140 transcript:Dexi9A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNWEADKMLDVYIYDYLMKRNLQATAKAFIAEGKVATDPVAIDAPGGFLFEWWSIFWDIFHSSSTAKASSASSSAAPLVDINKSREHQMRLQLLHQHNAQLRTRGAAPPPPPPPASINALSSDVSAVLASKMMEDRIRNPNPADSDASQHLLDANKIALLKSQPNHTGPPQMQQQQQQQQMQPRNQQQFDIKPDVTMPQRTLPADPSSLHASGMMHPKPPLLAAGLSQGGVGSVPLKGWPLTVPGIDQLRSNLGVQRQLVPSSNQFQLLSPQQQLIAQAQTPNDLTRMGSPAPFASPNVQSDDPDYLMKVIEDGPDAAALGLSAYGTATATSTGLSLSEVGNNRASNSKVVCCHFSSDGKLLASAGHEKKVKQGGTGRVRFQPGSGQRLAVAAGSMVNIFDVEKQADLLSPPKTLELWSFLDKQRNPIQAHEGLIAALAHSPLTGMIASASHDRYVKLWK >DexiUA01G0002510.1:cds pep primary_assembly:Fonio_CM05836:UA:5714476:5715073:1 gene:DexiUA01G0002510 transcript:DexiUA01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFNGEGSSLGRRRQLLTAALHAALFL >Dexi1B01G0023860.1:cds pep primary_assembly:Fonio_CM05836:1B:29341324:29344005:1 gene:Dexi1B01G0023860 transcript:Dexi1B01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding SADAMAEDAGDKCSDAVSEGAYFTASIMAITGIMATVLVLSGLFHSALRRLGQPSIISHILAGIVVGPTVLGRAVDLHELGMQDAGRALSGTIYFARIVFMFFIGLELDLRYLRHNLRRSLVMACGGSGMSLVLAVLAGPFSYGLLNPGQGSFRPEKIFASTALFALVLTSTASPVLIRIVTELKLTGSETGQLAIGAAFANDMASLTALSVIMVTHTLYAGTVQPTGKENDDPSPSSSPAIKAATLALMAVTTWMAVTIVAWVARLLNRFKRGRQYLSKYELCGVLFLIVGLSLLEQTIGYSASMTAFLMGLAMPREGPTARTLMDRLAYPVHQLIMPLCFGAIGARLDFAKIGNFSAVQFAVAVAFTTALSAAGKVAGTVLAGRALGIPAREAVVLGALLNVKGYSDILAINFGNKVHVWGEPVQVVLLVSSIINTFMAGPASAAIVRQQRRAFRYRSRCLEDLAVDDELRILVCVHVAGGVHPMLTLANLSKGGALIRPVYLLHLVELATSRKYAITHQLYHARGDDCGEDEDEWGYAREIELVAEAVAAFTVDNAIPVRQMTAISSLASMDTDVRNSVEDARASLLIVPFHKEQRYDGQMVCRSQGRRLLNQRILQRALCTVGILVERRFSHHYQEEQNQLQVMALFMGGGDDREAVAYAARMAIQPMAKVTVCRFLLPSGKGMLGSQETAEEAMLDEEFMADVYGRFVAPGKVAYMERHVSNGAETVNALSAMVGTCSLFVVGKGGGGAAEGGGGGDRSVMTSNMGDWDDECPELGPVGELLASDDMVGCGSVLVVQQHNVHPKRKMRTWNKDNNQQQHTRARNHHPVDVDDPDVVVDILGSSSNTATNRSLKPN >Dexi1A01G0025280.1:cds pep primary_assembly:Fonio_CM05836:1A:31571686:31577377:1 gene:Dexi1A01G0025280 transcript:Dexi1A01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRMTPVSLVSIVGCPELHPSISAALSSQQPPMNTLALPDFSKASILARSGKARDPLAPPQAPAGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSAIQGKNTKLVVVLVQAQASDELSEDVTVALRKRAEIDSRHMIVLVEQDETEWSRSLSKLKNVFAELCSAFYKEEGRRIKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGVRVLREMIGTSTRLPPTQRLVEIKAVAEQFHFKISTLLLHAGKVVEAILWQFLVFGELIETTAATVPDTLSPRFGTADNALTEWEFQPAYYYQLAATYLREKRYAIECSSSVANLTTEASGIPESVMPSVYVGQYVRLFEQGDTVSVLPLSDTEYTSYALSEAESRGMAIEYYAAGDFSNAKQLFDGVAGQSGKLECLSVKATINKHLVICCHAESPASMEDFPLWKFEDHVETLPTKDNVLAFSGQKLIQVEEPDAQVDLVLNSAGSALVGEIFIVPATILSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHDVELLGVSTVDEHIVSHKLVPLGSGSQQLPRITITSVREPQASTLLTRRLAPGRKEVCYWEDQFDVEETRIRFPSARSD >Dexi1B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:1B:4970820:4971438:1 gene:Dexi1B01G0006060 transcript:Dexi1B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNTRAFQQGFLKNLILSLQSCSSKICISSSSSSGSASAMMMTSLHERKSAIKSSADIAMATARGAGARWPRAILAASSSSPSPGKSRRCKRIVRRCLRARRSSRHGGTTAAAAAAAAAMMNSGEIARRMVRKRTKVLRKMIPGGELLLDEVSLLHEAMDYVAHLHAQVDVI >Dexi8A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:8A:29992503:29999518:1 gene:Dexi8A01G0017860 transcript:Dexi8A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNIHIPYPLGIGDGCWKNKNFTITCNYSYNPARAFSHGGFEVIDISLETGEMRVFTGVAHICFNSSNTTSSMNSGWYNFTRSPLLISSERNEFTGVGCNSVAWLRGKDLEDNEDGRYLSGCITTCARLDKAANDVKCTGHGCCQTTIPGGLHNMEVNWSKAATNSAWKYSSCSYAFLAEKGWYHNFSRSHHLNGTDEMSFHSQTVNREVVPLVLNWAMSTAGACLSANSERESDTSSDRRYLCKCLNGYAGNPYLIGGCTTTIIAVLLMVLLWKEHKRQKRRAFFDKNGGEIIKNMNIKTFTESQLEKMTNHYDTLIGRGAFGKVFRGTTHENLRVVVKRSVVEGMKPSPDHHLVNEIAILFQVSHENLVRLVGCCLETEVPMLYVANGSLYNVLHGGSTLRVLPLPARLDIAIGSAKALADMHSHGGRSLVHGDVKPGNILLGDNFTPKISDFGSSKLESIARHGRVMAGMSYIDPV >Dexi4B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:4B:17808737:17815210:-1 gene:Dexi4B01G0015860 transcript:Dexi4B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPPPADPDDFFAVAAAALSWQEDGARDPERWDGYVDWRNRPATRARHGGMLAASFVLENLAFLANASNLVTYLMGFMHYSPSQSATAVTNFMGTAFLLALLGGFLSDAFFTTYATYIVSAFIEFLGLVILTIQARTPSLMPPQCAKGAPGAAAPCEPVSGAKKAMLFAGLYLTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSCGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSKLYRNKVPTGSPLTTIAKVLIAAAFARRGGGRAHQSASNGAVIDRAPSPTGSTDMKEYYCKPGEMEIADAMAANTPAEPSLELAFLNRAAQSCTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGSLIVPPASLPVFPVTFIILLAPVYDHIIIPFARRVTGTEMGISHLQRIGTGLVLSIVAMAVAAIVEVKRKNVAANNGMLDSAKPLPISFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPSRMRSLATSLSWASLALGYYLSSVLVSIVNSATGHGDHRPWLQGASLNHYHLERFYWLMCVLSALNYLFFLFWAIRYKYRNAGIIKG >Dexi3A01G0035700.1:cds pep primary_assembly:Fonio_CM05836:3A:40986666:40989803:-1 gene:Dexi3A01G0035700 transcript:Dexi3A01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRSQDGFGQAAAAAAANGALLPWWAPAPQLLLYGDALGQGKVAPECREVGFQVVPGAQAPLDPPAQPLPPPKAAAERSLPEVLRFSVPQGKGEKGSEHAATVALPSPVAIYNGPFELGLGQSMVSANNPYADQHYGLFSPYPVGAKPYLHESRHLHALRRARGSGGRFLNTKKESNVKDAGVDSKAMASYPLMRQVASPSSEIQQSDMGNLSSVSSLSGSEESSIYNNEDVDHYQSFDHLRTPFFTTPLMDSEHGVGNPFKWATAPEGCCDLLRA >Dexi9A01G0045300.1:cds pep primary_assembly:Fonio_CM05836:9A:48867056:48868854:-1 gene:Dexi9A01G0045300 transcript:Dexi9A01G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVDRQAFLTGNNPLTKDLTLKELYTIQSRLAQDKARETTYRQRFQMPELQGLIQDQNPPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQAIIISSARTPARLNAAVEQYLLEHGLQYTQAQPGLFRVLLQ >Dexi3B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:3B:9847949:9853316:1 gene:Dexi3B01G0013700 transcript:Dexi3B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAEPTDFPLPPPHRPHLVVLSLRPLSLPPDQLNASHGRTSTGPELGLPPPPPPPPPPCDASGAQPMALAQAGMGLTKVVVLVGAGVAGSVVIRNGRLAEILGELQEILDKASKGKGGGRADGGEDELNEQVRRLAMEVRRLANPRPVIINGSSVQSGVSGLLVPAATVGALGYGYMWWKGISFSDLMYVTKRNMANAVSTMTKHLEQVQSSLAVTDARLKLESIGSEIKNIKDLVWGLDGKIDSMEAKQDFSCAGVMYLCQFIEQNGGKLPERLEGPKVTAKRYGGQKVIQVEFHQISQLIILRLTPRAKHLRIKVPCPIGGL >Dexi2B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:2B:1111699:1112732:-1 gene:Dexi2B01G0001670 transcript:Dexi2B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVSSAIVGETVNRIMSSMTGKDEEKSKKKENIERLEMAHIKLEAVLQVSDRWQITEVPLLRWRSKLKRAAQECDDTLLKCKQRALEDEETRQRVSKSSFPKRIAHATKSLISSFIGFSDDSSSCSANVRRFERYANGANEFLKTVECGGTPLRYTFFHPLIRHLFIGKSVRYQAFQEDRLFYISIRPISSAERGVEAMIGFACQDFKEPTKGFYVSCTLRLSESTDIFDVIIKCLKPVAPHFKFAVEGHKQ >Dexi4A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:4A:11646461:11647555:-1 gene:Dexi4A01G0012810 transcript:Dexi4A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGTKFMDEYQSIMTKKLGLTKYNKQLISKLLNNLAVDKVDYTNFFRLLSNVKADPGIPENELLVPLKAALLDIGKERKEAWISWVQTYVEELSKVIMRRFAGC >Dexi2A01G0029600.1:cds pep primary_assembly:Fonio_CM05836:2A:40665101:40667351:1 gene:Dexi2A01G0029600 transcript:Dexi2A01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETGDEHPICETDRISGLPDDLLHNILLRLWSTKAAARTGILSRRWRSLWTRLPDFYLWRLSPILPASCVTIVEGPLAACSAPTLRRLVIQVHNVNLLCGGVTAAHVAPWLRFAADRVAGELFLFLPVESYETGRKEQYLDLPVCPATRSIQIRLRRYFRLRPPVAGGAFAALTCLGIYDGKMDGHELGSLVSSSKCPCLDELSLTVKLTAKSDVAICSDSLKRLRFHVENTRRLVVAAPVLLEIHVSKSVEAHIATPKLAEVELGDVDTDQYKFAEAGRHPQRLVVDLRFPVPAILRRFDTIRELTINRLSVQRL >Dexi7B01G0023140.1:cds pep primary_assembly:Fonio_CM05836:7B:27820505:27821798:-1 gene:Dexi7B01G0023140 transcript:Dexi7B01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSMPAAGSSSGSGRFVVWLHGLGDCGSANEFIADHFSAAAFSAARWAFPTAPTAPKSVRDEEDVLRAVQIVHAMIDMEAAAGTNPEDVFVFGLSQGGALSIASVLLYPKALGGCAVFSGFLPFNSSSFAARVTDDAKKAPILWIHGGADSLIPIQEGQDGVKFLRGLGMSCEFKAYDRLGHTLAPYELDYCERWASENILNDEHGQSLKMKKGGRQGSKFFCGAFSFLSK >Dexi6A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:6A:28416786:28417836:1 gene:Dexi6A01G0021050 transcript:Dexi6A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAAGPSHSQLPIPHMGEAAAAAAMVPSGDKLILRGLQFHGFHGVKQEEQTLGQKFVVDIDAWMDLEAAGESDSIADTVSYTDIYSIAKDVVQGTPHNLLESVAHSIAKATLLKFPQISAVQVKVGKPHVAVQGVLDYLGVEIMRHRKKA >Dexi9A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:9A:4368523:4369638:1 gene:Dexi9A01G0007520 transcript:Dexi9A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKSVNDGNPASLPLLLHPIVSNPSPTSSSSTSSRSSAQHHHHQQQRSTSATSSPQGQEQGAAAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPTPVVKPAAVASCKSPSLGLGVGLGMGMGPGGPVPWASSQQAAAAQLMALLNSARGVHGGGHGGSNMHRLLGLDTTMGHLPLHVLPGTCNPAGGAPASLWPPQAPASRPVHTPPPHMDPHQVGMGSLGQHDVLSSLGLKLPPSSSSPAASYYSDQLHAVVSSAAAGGRPHEYDAPGGGMSLPCTTAATSLPPVTSSVSAALSSATVGLDLPPVSLPGPEMQYWAGPAAMSVAWPDLPTPNGAFP >Dexi1A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:1A:4833056:4833361:1 gene:Dexi1A01G0006400 transcript:Dexi1A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEGKSSNVALPRRHQLLDIIKRARFSLAACEEKTPAQSTATSKRLGGEEKQKLRVFLTVDRASGVPKAALITQKEQPGWAPAHLLPGTRSRWKFQRT >DexiUA01G0027460.1:cds pep primary_assembly:Fonio_CM05836:UA:58741847:58746421:-1 gene:DexiUA01G0027460 transcript:DexiUA01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATKLLLPILGRGRDPFDVPARVSLRVVHVCTGTGLRDSFLVRGDEGHLRIVLQRLRDHRLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRCFIPDFSRIAKPMTELLKKGVKFEWNDKCDEAFHILRRHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRRRWLELAKDYDLSVHYHPGKANVVADALSRKARCHCLSVESYADTLCHEMAKLNLEIVPHGYFSHIAVERTLHDQIVVAQLNDASIKILKRKLSKEKVKEKYKCFWFDGQGVMWFGHRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQDFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDINMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTFYNAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYAYGPDLVPGLPL >Dexi6A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:6A:12619139:12624403:1 gene:Dexi6A01G0010250 transcript:Dexi6A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKRKRVVGACFRILFLLVFVPLLLYILQQVGTGFCSKLLEHGKVRVKEINDAFRLDLDTLQTYDIVMDYSGMSSEGGGGVDRRKLVGMPDARGLLQIPHKVYLSGYWPVGKEVLVSESSFAVSFTMSLYQPSWKDNKTINQSSHPAGLAFIVLPPYQKAADEGNLTEQLGLGADGSLNLSSSIARTAHFPGGGQVSVQTGRLPNRLSSLPLIDPNGPLLVVHVSVMEPTPPAAGTARYTVWIEYARLTQSLSVYVGAGEVKERPDDAVAIKKNISYLDGNYWDASFGLYSSAGQLLQVHSWSSDVDGATYLMKYIMDNYLHAAAIFLALILSLAATVAAAAVSGVAWYFRTKQRRWKKEQKKLAKIMQSLPGVPMQVDFSDIKKATFNFQEASKLGKGGFSSVYRCRLPAAAACGGRSSSYVEVAVKKFTRGVEEQRYEDFLAEVSIINRLRHKNVVPLVEPLLIYEYMTNGSLDQHLFRRDGNNQEQQDDTSSSIRQWGTRYSIATDIATGLHYVHHEHEPMVLHRDIKASNIMFDSNFRARLGDFGIACTVADDRTSVTGVAGTWGYIAPDYAMSNRATRQTDIYAFGVLVLELVTGKKNRDVPADDGHISDWVWRLLGEGRLLDAVDDHQEAIVADEAERLLLLGLACTNPNPSNRPSMAVAVQVITKLAPPPDVPPERPAFVWPPREWRSSLDSEYDCTITTESTVELVQIMQDQRPSEIQNSTITSVSM >Dexi3B01G0035790.1:cds pep primary_assembly:Fonio_CM05836:3B:38781111:38787054:1 gene:Dexi3B01G0035790 transcript:Dexi3B01G0035790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCVVEERTALMRIKSSMTMGPEIFPDAPPNSWGRDDNCCSWEGVTCNNSTRVSALFSLPCSAASPASPSPAQPPPLRRRRRHGRPASSPFLLFPPPFSFLCSRRPGLCARRPWLGRRPVCRRPGRLCRRRRRPGHAPGADARPLPPPPPPPTPAPPLSSPDLGPTGSDLGPMGLDPAGLHPTDLGQAGLGSAGVLHPTAPPPGPSVAQIALAEAFQAVRAEAAAAEERVRTAALTWERERTTAETLARQVAEAERTLVAAGKQPVVQSADSGGSSSHQASPIGSTPRPTVPDPLAAHLHLQAVGVQNIRSLVSVVLDTTSTSYARWREQVLLALTRYALASHVLVDTPEDARDVTWIRLDAIALSWIRGTLSLDLQDLVRTHGDTARHAWWALEGHFYGNAESRSARLDAAFRTFVQGDLTITQYCQHMKKMAEELTALGCPVSDRNLVIQVLRGLNPCYDVLKVWLPRQSPFPSFMKVWEDLLDDKVSRGLTPGSSSSSSPPSALAGTSVLAGVGAVVVVEVVVLEAVAVGVMAVVEAAVVVVVAVVAVLVAAEVGAVVVVTHRHPRLPHHLRLLLWELPLDRTHLHVAPAAMFAAPSPFSWTPPGPPTATPWPSPAQAWAPPAPTWPGGWDATALAQSFSTVGLTPPVTAEWVADTGASHHTTPDAGILSSVHPPHPSLPSSIMVGDGSCLPRGSALYPSPACFHHIKFGSHLFRCPCVDSLLYYLAPQTWPSRPRSKSETFPTLLHFFAWVSTQFGLTIKAVQCYNGREFDNNTSRAFFLSQGVQLRMSCPYTSAQNGKAERMIRTTNDVLRTLLLQASLPARFWAEALHTATYLLNRLPSSACPAPTPHHALFGTPPRYDHLRVFGCACYPNTSSTAPHKLAPRSTLCVFLGYSPDHKGYRCFDLSSRRVIISRHVVFDESVFPYSTSQPSSADPELEALVFTDPVESPPFSVYPLSAGFPAPRAAPASTPAPRAAPVPPPAPRTAPAPPPAPRSARFAQPIRVYQRRPVVAPELPPSDLPAPSPPPVRARALSRLDPDVYHPPVIHRDPDHTHPMVTRRAAGVLRPAALSASEMEPGISPVPSSVREALADPYWRQAMEEEYAALLANQTWDLVPRPSDCNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVYYDETFSPVVKPATVRTVLSIALSQSWPVHQLDVNNAFLHGTLSETVYCSQPAGFVDSTRPDLVCRLNKSLYGLKQAPRAWYSRFASFLVSLGFTECKSDTSLFVYRHGSETSYLLRYVDDIILVASSQALLQRIMQSLQQEFAMKDLGVLHHFLGVTVESRPSGLFLHQRQYALEILERAGMSDCKPCSTPVDTLAKLSAAEGAPVADRTAYQSIAGALLYLTFTRPDITYAVQQVCLHMHDPREPHLNAMKQLLRYLRGTADYGLALHRSPVSELIVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLGELHCPLTKSTLVYCDNVSAVYLSSNPVQHQRTKHVEIDLHFVRDRVAVGQVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNIVSG >Dexi1B01G0025410.1:cds pep primary_assembly:Fonio_CM05836:1B:30453287:30456345:1 gene:Dexi1B01G0025410 transcript:Dexi1B01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGATPATARKTLFTTTATLLSSSLTRSSRGRRSISCSAASAASSPRLAPQPPDLVRWVQREGGFVHPALRVTDHPEHGLGVSAAAADGDISPGDVLITLPGRIPLRLRRPTGAADDVLVQLAQQVPEELWAMKLGLRLLQERAKPDSFWWPYIANLPETFTVPIFFPGDDIKNLQYAPLLHQVNKRCRFLLEFEKEVQQKLDSVPLKDHPFYGQDVNSSSLGWAMSAASSRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGSVNSSDMSVKATNSCFDIYLSFDTLIASLTVVAEMKIEQNAAITLNYGCHPNDFFLLDYGFVITPNPYDQVELSYDGALLDAASMAAGVSSPNFSAPAKWQQDILSQLNLHGEGAILKVSLGGPEIVDGRLLAALRVLLSPDPEAVHKHDLKTLMPLDAQAPLGPTVEASALRTVLALCAIALQHFHTKIMDDEAILKGEPPLTTELAVQFRLQKKFLIVDVMQNISRRIKMLSPQKSTA >Dexi1B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:1B:227813:231006:-1 gene:Dexi1B01G0000120 transcript:Dexi1B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSLAAALLLLFLFAAAASPSARAATTTSSKDEEEDLQYLMDNAGDTDPEEGWLPDPEGGGGGDDDDEEDDLLFKDEDEDQQPEIDETHVVLLTAANFSSFLAATRHVMVEFYAPWCGHCQALAPDYAAAASHLAAQGDVALAKVDATEDTDLAQQYDVQGFPTMLFFIDGVPKDYNGARTKDAIVDWINKKLGPGVENITTIEDAERILTGDDKAVLAFLDSLSGAHSDELAAASRLEDSISFYQTSSPDVARLFHIDPAANRPSIVLLKKEEEKLTFFDGEFKASAITDFVSANKLPLILLFAVANESSKFLPIFKEAAKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDAKKFFLDGEVSLDAIKDFAEGFLEDKLTPFYKSDPVPESNDGDVKIVVGKNLDRIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKVRIAAFYG >Dexi9B01G0031410.1:cds pep primary_assembly:Fonio_CM05836:9B:33798515:33800139:1 gene:Dexi9B01G0031410 transcript:Dexi9B01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTAPLLTGSREAKPAAKELQSIDDVMEVYMGGTGALQLLKAVLVTFAWAFDAQQVFISAFTDAQPQWHCLAAASTGNSSAPSCSPVVGSPCALPAGSWAWDRPADTSVVSEWALSCGGPLLASLPASSFFAGNLAGGFLLATLADSLLGRKKMLLVSLVSMSVAGVLTALAPNVWAYAALRLVSGFVRSMVGTCALVLSTELVGRSRRGTVNVAGFLCFAAGFLSLPALAYAFRDASWRTMYLWTSAPCLFYSLLLYLLVQESPRWLLVRGRKQDAIDALRQIMSLDVDDEERSFSMLDACMLREEDKAGGAGVFAALQDMWERRWALRRLAAIMAASFGVGMVYLGMPLNLGSLGSNLYLTSTYNALAEVPSAALAWLLVATANRRVSVMALTAASGACSLACAAVAIPPAARMAAEVTSFLGAVTAFDVILIYATELFPTSVRNSAVGMVRQALFLWSSFGVFGLAIGCFGLFLPETKGRGACQTARRRSAKRPP >Dexi9B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:9B:3082528:3090624:-1 gene:Dexi9B01G0005230 transcript:Dexi9B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLTVSDAEEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFDYIDHLFGIVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLAQKEKSEAIDSNVITPGTQFMFVLSSALQYYIQLRLNHSLGWQSVKVISMPGQQEKCFLCGQVGHLAAECRGPTQADNAVELPPIHKKKYQFLNIWVLREYLAKELEIVDAPFKINFERLIDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMSIYRAEFKSMGGYLTDGGEVKFVRCKFLYYLKRYDCNIILLSFKNEVTARNILTFSPFQTKVVLDRVEHFIQSVAVNEEQIFQKRARIQKARENNEERNKVQREVQRENSEEDQYVDKVKLGEPGYRERYYAEKFKEEAESKPIDQVRRDVVQKYVEGLCWVMRYYYQGVCSWQCSNALPKYYGDLMTDPNSPLKSLYPKDFEIDMNGKRFAWQGVAKLPFIDEMLLLAETRKLEDTLTEEERFRNRTMFDIIYVRETHPLTAQIAFLYRMYNHLPRTDPYVIPIDPAVSCGTLIEILKPSDFKPFPVLWHEDNSRRRERFLELCQVLSWEKLHTDW >Dexi6B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:6B:20506354:20507758:1 gene:Dexi6B01G0012790 transcript:Dexi6B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNDINMDKSDEILMPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSLNDTSLPKRSIDKTIPLNDSWTICRIFKKTSSMAQRVLSHTWGPPMHGATEAEMFSAFQSVQASEFALESSSCSLQAAPPAPASQFTNRHGLQGQQQQQNKVNNPSLDGSSCKLINFNCSQSLEPQNFPITFPFEVQTSQKSSAAAPMFFSTQPDHQLSEFVVDSSADVNGGIGSRSQDSFTKKPGNGFNMNSSDWEALGRINFPFDLGADSSEEWRCNIPWESFLSPVAVQTELPH >Dexi1A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:1A:1767453:1773861:-1 gene:Dexi1A01G0002660 transcript:Dexi1A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSADDWDDLIFLDELCRIADEASPTSPPHPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYLPAASAATRVSYHPAASASVSYLPPASYDPPQLGFSPPRDLTQRPPLPQPSAASSDAEAMSTAGREFSPPPELSQRPAAPPEESDVAIVAASGPAAGRVGAKKETRELERLKRELSRVSKQMNDLKNECTELRKDKTRKDLQIKAKEVEIQNLKKSNVSFATKDVCSAGMDIDHSFPASANGTLHAGVSSRASPRQTDKTDGKGNDANSLQVDPYLKEGHQTDLPEAMELRQHTMTDNDDSENSSESSSAINEAVSQVYDMFIKINNEKISIQTFLEALLNICAFENAPIVSRTLRIMLSILQHLLNYVTKSIERNNVSVEPYINIDMESTHKDSSGLPSPLDTENLLRQNMSIPFTFWSSVFTVMLQIVVKYSEETIRTDALSIMILILRTADPQEERHRFGFTSVMARLHLLLQKENGLPVKKHSVRLLFLLLNCPMILKLLCSGGKDGPEQMESEGCENDRLKLPCNGAKDGSEQMESEGCRNDRSQVISSVLVDLSECLSCGATCSQEQEIELCRLIIVLLAYIASSGKLGYEVLLGPVSGHGANFLELIMQVLASQMQYETQELLKERYLLCLAFSACWVIPCLLMREALILLNRLASHANFSKPTLEVLTRSKLCATLTIDVVNRLPQTRMANDLAELAQKFRSRVYAFLEEKPLTIEQ >Dexi3A01G0022090.1:cds pep primary_assembly:Fonio_CM05836:3A:17665105:17666746:-1 gene:Dexi3A01G0022090 transcript:Dexi3A01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRASQLLKEIDSSEAGQLAPFNSDVFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFVSQGLMEEFLE >Dexi9A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:9A:2562946:2563494:1 gene:Dexi9A01G0004680 transcript:Dexi9A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSVAVGASEGIGRRPPCGGSHRTDHAAFVKPNLTSLPRWVLPGRRWRVGNERRVRWLGDDPDRCLFSFPCPRRLTWASASHRSAYANNCNCSATQLQLQQPLTLRSLCIVQYRGFLRSSLQIIRRFCLYHPPGFRFAGKLTKPELGPTEPTLRPLRRVEITTAACVPAGSNGPVLLHPR >Dexi5B01G0037260.1:cds pep primary_assembly:Fonio_CM05836:5B:36823700:36824594:1 gene:Dexi5B01G0037260 transcript:Dexi5B01G0037260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRPSGAAWRAQQGPVAGLPIGFRFRPTDEELLLHYLRRKALDCPLPAGVIPDADLARLHPSDLLPHHNPSAAGTGGDADGERFFFHRTATRCWRKGGGAARAAGDGGVWRPSGKERLVASPRCGGRPVGTKRTLVFFHGRGRRAKRTGWAMHEYRLLPAGLHPFHGCAAAGGSPPNAPHVSNHGAATADSDWVVCRIFRRTKPAAAHRDQEDDDETEEMPSTPSSASSCVTDTSETGEQEQDDEEESSSSSNGGRSSCSVASN >DexiUA01G0011670.1:cds pep primary_assembly:Fonio_CM05836:UA:23275224:23276058:-1 gene:DexiUA01G0011670 transcript:DexiUA01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGREVSWVQLFKCITIGDAGVGKSCMLLQFTDQRFRSELDPTIGCDFGVRIIDIDGKSTRLQIWDTAGQELFRSINKSYYRGAAIAILVYDITRRETFDHVEMWLKDAVEVTPANLTTVLIGNKCDLSDRRTVSYEEGESFAKKHGLIFMESSAKTAHNVEEVMFHP >Dexi8A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:8A:23850982:23854358:-1 gene:Dexi8A01G0013680 transcript:Dexi8A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEEMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Dexi9A01G0030720.1:cds pep primary_assembly:Fonio_CM05836:9A:35666705:35667525:-1 gene:Dexi9A01G0030720 transcript:Dexi9A01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANIELKLVGQWASVFVTRVKLALHLKGLNYESIEEDLRNKSELLLSSNPVHKAVPVLIHNGKPICESQIIVEYIDETFAGIGPSFLPADPYERAVARFWAAYIEEKLVAPWDRVFRAKTNEERDEATRKMFAAVDVLEGGFKECSKGKGFFGGDNIGYVDIILGGAISYAKAAEALSGAKLFDAAKTPLLAAWMERFSELDAAKTVLQDVDRVVEHGKMLIAKNAAQPSTTD >Dexi6B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:6B:3371487:3375439:1 gene:Dexi6B01G0004150 transcript:Dexi6B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRQRLTDLADVRPRVSFHVASIEFDFVLKERVRADYKFSLLDAAGNAAYELPAERGIFVAPSGGGGDYGQEGDDEGPTGKNPASCGYSDFITKDNLERRHESLIWEDSLAIRCDVGVVEVEAMAFGPKQQRSRRQRVCGGYSSDEGPVSDDEYDGGGSLGGRRRGQPPPNDKEPPAVYGSTPQIDLRHKTAMSAAAPLLSAGVTRVHDEFPRRVVAQYKFSVLDSATGAAAYELPAETGVYYTSGDGGEEEDYYHYLYGNVYYYDEEEKMEEHLIPPPVELGCGYDGFIAKAELERRREMLLKDDSLAIRCDVDVVDTEYIRRSGGHQQKERVRAEFKFSLLDAAGDAAYELPAETTVFSVAGGGEAMMGFGHAEFIAKEELERQRETLLRGDSLAIRCDVGVTVIGVVAVAPKENHHSTRHQDDDDEDDDWEGGSRRRRHQPPLDDMEYARRCLAKNRRA >Dexi5A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:5A:7248566:7249874:-1 gene:Dexi5A01G0009670 transcript:Dexi5A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITYAPSESLPNSAATLKPNSFSLSFFFPSLPGGSGLSAPSSSSRRPRAGLLRRVRASPGSASLSLGAQALFPAFIRRSSSSLDPQPEIPSFRRLLACAAAANPSFAPLPQFELPSAFSPLQPHRQARFPSLSRSLEAPSRHSSSRASSNPQNRLPTSPASSQALNRPKPSLLALVSPNSGELPVERRRAPPFGLPASPPFDSSLWIKIERSESDLI >Dexi2B01G0021460.1:cds pep primary_assembly:Fonio_CM05836:2B:31251634:31253129:-1 gene:Dexi2B01G0021460 transcript:Dexi2B01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSHLSQHMEHGLANASHGTPSFLFCHGAVASDSASLETSSGVLDTSPRGTASVDYSKIRKPREDCASLSSSQSKDSNSKESTKKRGGKRDRSSKGVDEEEEPKGYIHVRARRGQATDSHSLAERVRRERISERMRVLQALVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDSDAFSDHTQKMEGMLHQEALAMPTSIMNRAPSQVIMDTKTSTSSPSYEVHGDGGSGISFPQDNGRYMVQTVGEPRQELFNQMAFSNHMCSFQ >Dexi5A01G0035440.1:cds pep primary_assembly:Fonio_CM05836:5A:37257688:37263625:1 gene:Dexi5A01G0035440 transcript:Dexi5A01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIISEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEQRFYKDLRHENFTLAKVVPCIYRKLLCSCKEHRPLLATSSLSTIRALLDQKAHDDLQVDSTHMFNLEGLIPKLCKIGQELREDDKGLRLRSAALQALASMVQYMGDHSHISMELDEVDPHLGMMDDCQLVNTSADSSNSEMVYGSEEDESNAQAFLSAINHDDAELIEIVMSHFKKKFENLPEDDVPSELDDDDNIISEHSGSQSDRKTSGSMASSDVLTVHQLIESVHETARQVANVPVSANPVPYDQMKSQCEALSSRRSEPELQSSRKGRMRRSDSASSESDCSFRLPPASPYDKFLKAAGR >Dexi4B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:4B:1607146:1608419:-1 gene:Dexi4B01G0002510 transcript:Dexi4B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEGKGVVIRRYDPKADRDGTEAVERDCELGPPGAMSLHADLLGDPVARIRHSPLYLMLVAVTGGGRIVGVVRGTVKSVATGERRGTGAPGFANVGYILGLRVAASHRRMGIALMLVQQLERWFEHNGAEYAYIATDKSNKPSLRLFTVRCGYSEFRTPYLLVNPVHSHRLRPPLVFFPADIGDVLANDLSRAACSWRSSTAMATPRRRRPGRWPLASAWDCGGVFRLASRRAAASATRALDRVAKWLRVPSVPDLFRPFAGWFVYGAAAVEVAAQDPLRSGAASRTGPACPATMTCPRQRRGRMGLGHVGPWLLHLRGPQGGVKTLLESECV >Dexi1A01G0031430.1:cds pep primary_assembly:Fonio_CM05836:1A:36420673:36422977:1 gene:Dexi1A01G0031430 transcript:Dexi1A01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding VATVRCTGLSTQDERTPEQKNSHIVKSSVQHMVPQIAEGGNQNMTLEDDGTPVSKFTCVQEVTEQDARVGDSSKTSLITVEAPKGIHVLGEGHAIEQTRSVQSPRQNVNVSWLKSNNKSAAEDIPESQGSTKNVQIIVLDDDSDERGKKLENSEALDQDLHNQNKRNSLGMIDLNCSELREEGFLHDSSIQKLPDQDLVGSAQKQMSQPIERMFFTKEKDTIHGKQQQYEGPTMHTSFSNFFDLTRPWNTGSLKGPKSPPSELKFRIMDRAPEFSLDLSLDSLQANSVSALRNDKLFTGGTSSISNKLTERLGTYSYKRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDTRLRFSNSRMPDDLAKQWNKEQKKLLTSGLGPAPPLHVTEDYLGRASCSGCSQSPFLGTQMDLSLGDVYRCHTRASDRGQHNLSSLGILNIHGSDGRARNLSLGGFPGASSSHGRSGSRRRRASKLQKSYYDSKSPWFQEPSERAPQPFPMNQQPINSLPQWLTKHVEIGTSQINQEMWPSLAPAPGHSAADPPRDSLRGNAPPFADDVKPHVLSDASLKRAMRRNADWRSFSKRLFQTGDSLDLNQGTRAAANAGPSNCVTPNDTGASSEETVSDS >Dexi6A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:6A:4080980:4083102:1 gene:Dexi6A01G0004470 transcript:Dexi6A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPATPRTISLQRGHLHGPYLVAAASTRDVATFIVLEEGDDKGPTGKNPASCGYVDFITKEDLGRWRPWPSGPGANLGIWSTRQQCSRRQRMCGGYSSDEGLESDGEDGGGGGSRGGRRDQSPPRDKEFIGQCLAERDDEGWPAPAAVDSSCDAMFDFVLYSHDDGLSKSVVNVVAEASRSAVAVVAKAARGFQLLRIDGYSLTTTLPGGEHISSAAFTIGGRSWCVDYYPNGVDASTDSDSDAIAVYLRLVDGTHTGRKERVRADYKFSLLDAVGNAAYELPAERGMFVAPSGGGGDYGQEGDNEGPTGKNPASCGYADFITKDNLERRRESLIREDSLAIRCDVGVVEVEAMAVGPKQQRNRRQRVCGGGYSSDEGPVSDEEDDGGGSLGGHRRGQPPPNDKEFVCRCLAAHLRKY >DexiUA01G0011930.1:cds pep primary_assembly:Fonio_CM05836:UA:23758767:23767354:-1 gene:DexiUA01G0011930 transcript:DexiUA01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPEPLASLTLRRSARCLNRPVRPNYVDQEPTKQPGGRGRGKRKRDEEKQLEPAAAALGGRSSPGRKASKAEARERNPKEVIAAVPISCAGVAPAAAEDDGTGTGKSAKLRVKETLRAFNSHYLHFVQEEQKRAQAALQEIEAKGGLKRQTKGGKKGSKQDAEGDEKEKRPSKRPDLKAITKMQETNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYEGKKGYENFTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLKRGNLALKNSRDNGNPIRVIRGHVSKTSYTGKIYTYDGLYKVVDDWVQNGVQGHVVFKYKLKRLEGQPSLTTSEIGM >Dexi2B01G0013350.1:cds pep primary_assembly:Fonio_CM05836:2B:21729113:21731388:1 gene:Dexi2B01G0013350 transcript:Dexi2B01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQLPRRCHLRALRAVVLAAAVLFFSPAVGAREEGRNGSSLLGQPRQWATGKDEAEILAEAEARGGGGEPAAGDQGREFASLDSMLQWAIGNSDPDTLREKAAELERLSADELLKRQMEIKELMEKFKMPSDGDLMKIVISDLNNSSVSLEDRQRALQELLVLVEPIDNANDLDKLGGLLPLIEELNNADEGIRTTSAWVLGKASQNNAHVQNQILGYGALERLVKMGYSSSAAEAAKALYAISSLIRDNEHGQELFLSANGYAMLQHILSTASTNIRLQKKVLSLLAYVADFQLSTGKSQAPFLSNQLFIKSVVDMISASDLDLQEKSLLAVRSLMKLTSADASDLQKFLGLDESLDALKVKLDELTTHEERREYALEIEILRREVQIVFQQKFHQVIPSMISKF >Dexi3A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:3A:12314256:12315850:1 gene:Dexi3A01G0016410 transcript:Dexi3A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDIFYPPRTAPACSAPPLASASPSPLPPSKSTIGVEFATRSIHVEDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVPTEEAKAFAERENAFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSS >Dexi3A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:3A:15365944:15367408:1 gene:Dexi3A01G0019570 transcript:Dexi3A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFEKANLVRLRSHLGTYLCAADDGEAVSHGYRRNSRGTVWAVELAGDKYVRLQGQRGLYLGAADPAAALDAATLSCRVVQGLPFTPNDSAFLWTPRREEKEEPGAGCLTLSGPLGRLLRASFGETPRDNAVTLDFEVEPEESTWVVEVVPTERPPPCRAQSCDARLEAAAAATLDTASSAFVRLYSAKESRAKLEEPPSIDEPLHMPAWRTIFHNTAREDGAVDDFDEGTWRYFMFDEQSLAALRRRLQEETQHKDFVICRRSCGATPRLFPVVLDLPPGNNEMEFVQVLVPSRVANDLQWP >Dexi6A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:6A:9494630:9494907:-1 gene:Dexi6A01G0008730 transcript:Dexi6A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCALPFLLTQQNKSQTINLISTMNGKIAATTALCLLLMICGVEAKLCNVRSSTFKGWC >Dexi4A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:4A:8231038:8233501:-1 gene:Dexi4A01G0010330 transcript:Dexi4A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVQQADGNCTAVTNVVPCQKPQPVVSPRVHLNCSTMDYHPQGASCIMVMPASAEAEWQLIYQLAHDRYGFLIKERARGIYDATIFVELEERWKSLGSDALASSNRARSMAALMPSVLLVWIVCCSLRQPHPYGANMTDLMHHVKFFDENKDGIITITESIKAFIAIGCSPAFAVSAATSTHSAFGPLTTPPGKIASTNIHVSHIHQAVHGSDSGAYDKKGNFVPNKFERIFQRFARSEKDALSWLEVETMLTANRELLKPWTWPAAETEWQLIHFLGKDRRGYLHKDTLRGIYDGTVFPKLRDNTIPRSGHSDA >Dexi1A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:1A:22213452:22217035:-1 gene:Dexi1A01G0015250 transcript:Dexi1A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRKNRLMCCARSPAPPPPPPQLRCRLRPLRRPSASKDGPMGNWKPEAGTPPPPPPRQLRSRLSPLRRPNASKNGRMGNRKPEAGIPRRGGGICCGPAEGQGNRVSFLAEPRGWQALSPSPRRLRLESQGAELGEQQAPNPAPPPRLERQEADVRRGGGGERHDSQGLGIHDPNSRIMDPDVSYETIPMPNMITHYRLLEMVAERGVEFHANALNLHRKYSEFRPEQVLDRHDTHEEHRLLVAVKEMARQHARLGWASEFSRSHKAFNKLIKKVMKWKKQDRWKLVPTTSRKEKLLRFFNSSGRRNGSEYKALHPTPSSGFVTAIWMCSHNEDFEPLVPEINNSYTLKDWCFREVIPDKVFTDHVPIVALVTALGVPLRVEYLFQAAGQDLYTGQVSHDNMPTSTSCPSHPHQIPADHKVPRVTVLYTVAHYDIIYPHPDAAVNQHSDEAESPTSESTSQDQGGSCSGEKSGKEQIA >Dexi4B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:4B:6331720:6332889:-1 gene:Dexi4B01G0008810 transcript:Dexi4B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGSSPTSAPSHQDHEHTPLCRSCGAATTAPTPAPWSGTSDSPPPAYRPIRLPAINAPTNTAAIVLSPVPQPLPVPLAAPPHAFQVPAKRITSPDDIARFHASVHGRHFLGFVAALSASVHGRKLSDPLPAPPSPAVSSLLDLISALAAFVASTPPLPHNSRYGNPAFRLWHEKLSDSAGDLIAGIISTAASPADLDGAEVELAPYLLDSFGNATRIDYGTGHETYFAAFLYCLARLGLIAESDYPAVVLRVFAAYLNLMRTLQDTYQLEPAGSHGVWGLDDFHFLPFIFGAAQLIDHKYMKPKSIHNPDILENFSNEYMYLACVAYVKKIKKGPFAEHSPMLDDISGVPNWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWYD >Dexi6A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:6A:10262646:10266805:-1 gene:Dexi6A01G0009170 transcript:Dexi6A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEADTGKLGAGGETLSVHLPPLSEEDPLHQDKKTLKLLDQMVQAARVVHMDKLELYFAGDDDIGPFSARNETESLNLLFKTMNKLLLTSNAVVKEVLQMLKDEIVVRLRSVGKTDGEQMISETQNHDAEDSLLKWGEHHGVKSKLQIAYVLLDNIYLIPEILVFQGAGRGMVASENIGVEDTALEIPESLIISEEILCQTEVFLALKDFHNISSETMLLLWSMRERYNLTSKFKQYFETLPANFNTGLSFGIDALAALEGTLLFDEIMQAKQVISLYYSSDLFLMFSFSYLLKVSPHILNYGRVDEATKSLKFPLSRPCDAGEQCFLSYGKHPGSHLVTFYGFLPRGDNPYDVIHLDLDTSVDEEDGTAQSVSTSQTTHMVRGTWLSRSGGFPTYGLPQPLLSHLRAALGCDLDESTTEADMKEIDRVVLETLLSIFNPMLKELPEPEESERESANWDVKLALDYKDLQRRIISSIVTSCTSALENV >Dexi6A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:6A:8643883:8644742:-1 gene:Dexi6A01G0008360 transcript:Dexi6A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSERPTRKTVSRCVPETDECTHVFEIVGYSLHKDVAPSTFLESPAFAVGGHEWSIMFYPNGDGWEDTEGFKDSVSIYLAIVGEDETTKVRAMFDFRFVNAATGVSTSVFGGDHVFREECPTFGSGNLMEKSELQASYLRDDRLVIECDVTVVMRTAMSEPEAVCDIQVPPSALLDDLGKLLGSEVGADVKFKVKSEAFYAHKIVLAIRSPVLMAELYGPMSDKKMESITIEDMQPAVFKALLHFIYKDSLPAMDDLDTHENEEMVWHRKDEGDV >Dexi1A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:1A:11590181:11590938:1 gene:Dexi1A01G0012070 transcript:Dexi1A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGHLGELALAGAAVATSFAAATGFGLLFGMVSSLDTLCGQAFGAGEHRQLGVHKQRAMLVLSLVSVPVAAVWACAGNVLARCGQDPEIAAAAGSYIRWLIPALFAFGPLQCHVRFLQAQNLVVPVMLSSGAAALGHPAVCWLLVRRLGLGSRGAALANGVSYLANLLFLALYVRLSPSCKTTWQGFCREALRGIPGFLKLAMPSGLMMW >Dexi1B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:1B:22077213:22081432:-1 gene:Dexi1B01G0015490 transcript:Dexi1B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRWRPTVNERKFIEHALQSDLRVDGRHSFDFRKLKISFGRYIHLLAPXXXXXXXXXXXXXXXXXXXXPPRPTCSKLTSAALGRDSSSAVAGRAQFGLVAAAACACFAPARGDFRRLFGEQACIRIAVDVVVVQSXXXXPTCSKLTSAALGRDSSSAVAGRAQFGLVAAAACACFAPARGDFRRLFGEQACIRIAVDVVVVQSREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCIVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVIVHDPEVRDPLPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICAIQKAGGEGVMSGVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPASLPQKINVTDVTMENKGDGELETQTVKASDVQNISKDPATTSKASSHEEAQPMLTESSNAEVKSTSSSGAAGESEEAQEMGSPKSLKDAIKPKHKRKKKRSDRS >Dexi7A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:7A:17951859:17952227:-1 gene:Dexi7A01G0006580 transcript:Dexi7A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLTWPLPKSSGAVVIIADRIAAGVQSRCTDRSSAATPATCGDAMDVPETSAYLVKSFFSPISMISLLGTHAARMSTPGATTSGFKIVGLICPGPRAEK >Dexi3A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:3A:13746649:13752531:-1 gene:Dexi3A01G0018050 transcript:Dexi3A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAAAGAEAAALLFRRPAPSSIAGRSRLAVSRRTRHRNLRYLLVCGLEDAHVCFPFELHVEGAQMFLFSGARTGAQPNYRNRVNIQRDRAGASSDDEHQRKSEDENGLPNIQLEDLVGMIQNTEKSRGFSPYILLLNQARLQALERADKILKEKKALQQKMNILEIKLSETGTRSQLSNEGKSDAEALKFDVLKEENLLLKGDISFLKTKLIEIAETEERLFKLEKERALLDASLRELECAFIAAQSDMLKLGPMQHDAWWEKVETLEELLESTANQVEHAALILDGYNDFQDKVDKLEASLGVASISEFCLYLIDLLKERVKSIEERFQACNLEMHSQIELYEHSIVEFHDTLSKLIKESEKKSMEHYAEGMPSEFWSRISLMIDGWSLEKKISINDANILREMAWKRDNRLREAYLSSRGMAERELIDSFLKMALPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQHNQINNLKVLDAVVQSYFEGNTFANKIWTGTVEGLPVYFIEPQHPGKFFWRAQYYGEHDDFKRFSYFSRVALELLYKSGKKVDIIHCHDWQTAFVVRFKYYAPLYWDVYANLGFNSARICFTCHNFEYQGTAPAQDLAYCGLDVEHLDRPDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEREFEGIVDQFQNNNNIRLLLKYDDALSHMIFAASDMFIVPSLFEPCGLTQMIAMRYGSVPVVRKTGGLNDSVFDFDDESIPMQLRNGFTFLKADEQ >Dexi3A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:3A:8854671:8855078:1 gene:Dexi3A01G0012240 transcript:Dexi3A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHGIAAVGGMVYFEFTGNELGFLKFDDAEPSYKMVDVDMVGIHDGTEYWSSYLVESHGELFLAVVLFDGWNLYKIAEVAVYKMASRRRRGARLTPSATTGCSFSAGIGLGFLVLEHPVLLLDMACLAIAYTF >Dexi6B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:6B:4012491:4016869:1 gene:Dexi6B01G0004680 transcript:Dexi6B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASEGHGLYNFVGAFRSVDFRSDGSGRSKGPVLVLAGCPSAAMLLLRALLRRRRAPLPTPAAGFFTSSGCDNLAPPSPPLPQPPHAPEGPHDEPGQGESLARRVERASSVCAAMRGWMADGRAVHRGHVFHAINRLRRHRLHRTALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAENLFLRVPQEYQKELLYNNLVMAALDLGLIKHSYAYMRKMRELSLPISPYVYNRMIILHSSPGRRKTISKILYQMKADRVTPHTSTYNILLKIQANEHNIDGVARVFNDMKRAKIEPNEITYGILAIAHAVARLYTVCQTYVEAIENSMTGFIEENDSTLVPIVAASLFFL >Dexi2A01G0000540.1:cds pep primary_assembly:Fonio_CM05836:2A:299693:307796:1 gene:Dexi2A01G0000540 transcript:Dexi2A01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAELARLCSTRNWSKAIRLLDSVLARSPSSIHDLCNRAFCYSHLELHKHVVKDCDRALQLDRALLQAYVLKGKALSALDKKEDALLVWKQGYEIAVRDTVDLKQLLELEELVSSVKICETTDSADHIVDASPCDTKVVISEDRVVDTSSTATTMADTKTVVCEEAIGNSKVSSNGDSKLTNHKVDNNKVSSSPVKDSTGTQAPKKAPKLDKKNKAKAVKEMNGRAGVTDRTSSDESETISLDQTLFATKISKSSKSISLDFRLSRGIAQVNEGKYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELNSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLVGIKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQIDSRFAKAYHLRGILYHGMGRHRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEMALYIASKANLEFSQFNIDDDVDPLFKDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRVLETAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSDLYHIVGETYWVATRCDSMAFQGGKTGFDFAIRTPCTPSRWEEYDEEMAAAWEAICEAYCNDTNPTRDPGSLDAVKDAILRMTYYW >Dexi3B01G0037940.1:cds pep primary_assembly:Fonio_CM05836:3B:40787407:40791548:1 gene:Dexi3B01G0037940 transcript:Dexi3B01G0037940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAKSTIAKDVTGIIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIAYSMITDAERKGLITPGKTVLIEATSGNTGIGLAFMAAAKGYRLILTMPASMSMERRTMMKAFGAELVLTDPLLGMKGAVKKAEEIKAKAFPDSSYILDQFENPANPKIHYETTGPEIWKGTSGKIDGLVSGIGTGGTITGTGRYLREQNPNVKLYGVEPTESPVLNGGEAGPHKIQGIGAGFIPGVLDVNLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAVRLAKRPENAGKLFVVVFPSFGERYLSSVLFQSVKQEAESLLVEP >Dexi5B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:5B:7489897:7490989:1 gene:Dexi5B01G0010570 transcript:Dexi5B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGHPYSPAELELPGFVPQQLSQAEVVAPYLGASLLVILAVWLISGRFGSRSLSKTDRLLMCWWAFTGLTHIVLEGPFLFTPDFFRKENPSYFDDLFKEYSRGDSRYVSRDTAILALEVITIGLKGPASLLAIYAIASRKSYSHILQFAVSLVQLYGCLFYFITAYLAGFNFWASPFYFWAYFIGANSSWVVIPTLIAVRSWNKISTAFHSEKVKTR >Dexi5B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:5B:12733755:12735586:1 gene:Dexi5B01G0015490 transcript:Dexi5B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADRSEIDGIVVAEKGARSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDHKQQQQRQQHNGEEKTEVKDSSCNSSSSGSSNLQAVQKRRILMGVEEAALLLMTLSSSPTSTLLHG >Dexi1A01G0024480.1:cds pep primary_assembly:Fonio_CM05836:1A:31025853:31034714:-1 gene:Dexi1A01G0024480 transcript:Dexi1A01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDILSGKFLFSSCAAAKPPSRKMVTFLAADASPSTPSRSKSSNSSPLQPRRVRTVPSSPSKQAPRPAVRSTSGTVKRRARRSKVEERKGVGERGAECVPSREEASIRVGTLHQNGDPLGRKELGRCVVLWLRQGMRSMASKFAVAELEGDLAAAALPLEWGSAEGRLGFVIQAQPFLSAIPMPQGLEALCLKASTHYPTLFDHFQEELLDLLLGYQNQGLITDCRDTQSWRILKEMANSSQHRAAVHTTSPRPKAVHSSIGISLKKVGLMQTRIEEFVGHMSDLLRIERDVELEFTQEELNATPMLYDDSKPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVLFRVEGGHKLPPTTLSPGDMVCVRTCNSRGAGATSCKQGFVYNFGKDGCSITVALESRHGDATFSRLFGKSVRIDRIQELADALTYERNLEALMLLQSNGLQEDNVSIGVVATLFGDSKDVVKMANNNQTDWDESSEPDPSLSERYAYDASQLRALKLGLNKKRPVLIIQGPPGTGKTVLLTELIARAVKQGERVLVSAPSNAAVDNIVESLSSTELNIVRVGNPVRLSPSVASKSLGEIVNGRLRQFRKELERKRTDLRKDLTQCIEDDSLAAGIRQLLKQLGRDLENKEKETIREVLTDAQIVLSTNTGAADPLIRSTGCFDLVIIDEAGQAIEPACWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHDGLLTTRLTVQYRMHDSIAMWACKEMYHGLLKSSRSVASHLLADSPVVKVYSFAFSVSRATWITRCPLLLLDTRMPYGALYIGCKEHLDPAGTGSFYNEGEADIVTQQVLNLVHCGVSPTAIAVQSPYIAQVQLLREKLEEYPELSAVEVSTIDSFQGREADAVIISMVSTVRSNPLGAVGFLGDSRRISVAITRARKHVAVVCDTSTICQSTFLARLLRHIRRYGQVKHVAPGSLDGVSGLGFSQPTLPSIG >Dexi5A01G0032510.1:cds pep primary_assembly:Fonio_CM05836:5A:35028054:35028665:1 gene:Dexi5A01G0032510 transcript:Dexi5A01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFVAQVIAATPSRRRGTASSRQRHPAAAPRHHRSPSIPSATIVSVLLPDIDGTLTAPRKVAASSYDIFCLSATGWDASDAGHVTVGVVGGSDMVNITEQLGKTVIIDYYVFSENGLVAHKNGELIGTQLSPWAQPHVYHPLTH >Dexi9B01G0040660.1:cds pep primary_assembly:Fonio_CM05836:9B:41168101:41169142:-1 gene:Dexi9B01G0040660 transcript:Dexi9B01G0040660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAHVALCSFALLILLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASTTVDTPASWSGRMWARTLCATDATTGKFTCATGDCGSGSLQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLIVPQGGGGGAAAGSSSNGSADSGKCMATGCLVDLNAACPADLRVMATPATTGTGAGGGGGACSL >Dexi8B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:8B:1545159:1546682:-1 gene:Dexi8B01G0002270 transcript:Dexi8B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFPEDTVSSATSSPASSLYTPSPHGYGSWVQELSHDQQGVQLIGLLYQCAAEVGAGAFDRANLSLEQITQLASLDAPHTLQRLAAVFADALARKLLNRVPGLSRALLSTANSPESHLIPAARRHMFDMLPFMKLAYLTINHAIMEAMEGERFVHVVDLSGEASNPVQWIALFHAFRARRGGPPHLRITAVNESKEFLADMAGVLARDAEALDIPFQFAAVEARLDDLDPDALRHVLRVRSGEALAISVVAQLHRLLAAADASRRHVPGSSCLTPVQIMARSSPSSFGELLERELNTRLQLSPDASSIVSSLSPQSPAVQQQQQQQRPAAAKLGSFLQAVRALSPKIMVVAEPEANHNAAAFMERFEEALNYYASLFDCLERASSSSSPAAGQGRWRAERARVERLVLREEVCGVVAREGAERKERHERLAQWGRRMEAAGMEKVGMSYGGMMEARKLLQSLGWGGYDVVHDARGEAFFFCWHRKPLYSVSAWRPAACRHGRLAGA >Dexi3B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:3B:13670353:13671801:-1 gene:Dexi3B01G0018430 transcript:Dexi3B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSSQFGPRAVGHGDPAVLASDTSFTVNEVEALYELYRRMSCSIIKDGLIHKEEFQLAMFRNSKKANFFADRVFDLFDLKRNGVIDFEEFVRSLSVFHPRAPTSEKTACMNRPPLAFKLYDLRGTGYIEKEELREMVLALLDESDLCLSDSVVEAIVDNTFNQADSNGDGKIDPEEWKEFVKKNPAALRNMSLPYLQDITLAFPSFIMRSEAND >Dexi9B01G0037020.1:cds pep primary_assembly:Fonio_CM05836:9B:38508732:38513491:1 gene:Dexi9B01G0037020 transcript:Dexi9B01G0037020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKASAPCVVVNTAADSVLQADGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFGRKNSEPFRRSQSMNESEVPYEEAGFNADAHLDSGDLSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELELKADLITTKAGMEYMQMKYSEDINLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQGSPSTVGSIDEGNITIVTPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEETQGVNYRALGDLFKLAEQRKGTFIYDIAVQMIEIYNEQVRDLLVTDGLNKRYPLVQSYFCQFVCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGKVIVLQFLCTLVLEVEESDAVGETISTLKFAERVSTVELGAARLNKESGEVRELKEQIARLKSALAVKDSGAEQIMSRDSETFNVKMSSLGFSNRRQGSCDLLSSQASFRQPMEDVGNIEVRANPTSRQKKPSFDLQDLLTSNDSPWPDSNLRVNFQMGDERELVGGDWIDKVVVNNNNTVGDWEGDSAALPDFFYQRYHSGMREKQYQRNNTRQKDGHEYEQQRPRFYSTNTDDSDDIDMATSDSSESDALWQLNVQSMNSSISESASKVKKPQAKLRDGSDSR >Dexi2B01G0032050.1:cds pep primary_assembly:Fonio_CM05836:2B:40025188:40026790:-1 gene:Dexi2B01G0032050 transcript:Dexi2B01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGGAARALSQREQDIQMMLAADVHLGTKNCDFQMERYAYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEALVAPDYGAVAEYTAPGADNWGGDWGAGDAAAPPAGVPAPTGADWTAAPGPIVIFS >Dexi6B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:6B:2933793:2937055:1 gene:Dexi6B01G0003560 transcript:Dexi6B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAGMRLFGVTIAPAPEADPPDRDPSPNPPVAVREDVMRKCKSMGNLAALGAAVDGGGAGADGGGAGDGYLSDGGLMQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPGELSPAPNCPILPPSMAKVHDVIAMTKQLQSSNLEGVSSSNAATLAPQIGRDLPPVPSFRATNTDSSFSKLNHMVKLI >Dexi2B01G0033930.1:cds pep primary_assembly:Fonio_CM05836:2B:41283929:41284796:-1 gene:Dexi2B01G0033930 transcript:Dexi2B01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVATSGGSSAWVESGARARETLRAEGTGMGIGGVVAGREGVAAAASWGRWLGKRGAAAARGLVARAEGTEGVAGRAEGKEGVAGRAEGKGTEGVAGRAEGKEGVAGRAEGKVGLAGGTEGKVGVAGRAEGKVGVAGDGAVAAGVATPTPKAGKAEPGPRVEGPASRPKPDAAIGSARVAEHETLAGGARRRRDGEESEEGV >Dexi5B01G0021800.1:cds pep primary_assembly:Fonio_CM05836:5B:24126782:24127045:-1 gene:Dexi5B01G0021800 transcript:Dexi5B01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPLGPYVRQAKCLKTLTCRASNAAERKPPRGSGAAKDLTVTAQKDGAMPHRPQAQQRHRGPWDALADAWARRDDSPKSCRVTCG >Dexi8B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:8B:8642801:8645722:1 gene:Dexi8B01G0007290 transcript:Dexi8B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIFIQKTGASYLSDDLLKAWITEVRMLAYRVEDIMDNFTYHSLQFKQDPKGKKLANGLSYGVVFSGIADDLAHIEKEIEHVAKLKNMWVNSVHELLPAQVSSSEQQFPRYSLPQLINDENLVGFKEYREQLKKWLTTSLPALKVVSLLGMGGMGKTTLLSSVYEQLKDLFDIHAWLTISQTHRAVDALLKELLKIVSASEHTAIVDANNVNRKEVTIPVYVEPGEKRMKPEDIDKMDVLELRRNLKTALKHKKYIVVLDDVWDRRVYDGISDVFEDAVNESCIVITTRKRDVAALATPDYQLKLKPLNIKDALQLFCTKAFPNKNYSDWTSELCECANHIIKISEGFPLEKCPPELQELAAGIVKNCGDSRLAMSPSKLHELANDTAKKYKSLSLSVCPSELQDLAAVIVKRCEDLPLVKCPAELQEIASDIVKKCRGLPLAIVSVGSLLSSRKQIEPVWRQMYNELPCELEKDDQVRGILNLSYYDLPSDLRNCFLYCSLFPEDYNFSKEDLVRLWVAEGFVERKGNSTPEEVAEGYLTELIHRNMLQLVENDELGRVNTCKMHDILRELALSISKAEMFGTVNDFGAMVQMDTGVRRISSYGWKKMKKNKSKMKFPHLRTLMASDTILDYVPSILSESKYLTVLELQNSDFQELPTSIGNMFNLKYIGLRNTRITSLPESIKNLSNLQTLDVKSTNIRALPPGIVNLTKLRHLIADKFADKNQSQFRYFVGVEAPIGLSNLEDLQTLETTVPEGIGSLGSLKKLWLLGLHRNFKADLSGIQKNLHVPEIRV >DexiUA01G0002210.1:cds pep primary_assembly:Fonio_CM05836:UA:5035988:5038376:-1 gene:DexiUA01G0002210 transcript:DexiUA01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKHFKYVVLGGGVAAVSVPSSHLVGFSPFHPHRAWELTQRLLAPVSQGYAAREFANQGLNPGELAIISKEPVAPYERPALSKGYLFPQKAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAAGATFTFEILLIATGSSVIKLTDFGVQGAESNNILYLRDLADADKLVAAMQAKKDGKAVIVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTSGIAHFYEGYYANKGIKIVKGTVAVGFDADANGDVTAVKLKDGRVLETDIVIVGVGGRPLTALFKGQVDEEKGGLKTDAFFETSVPGVYAIGDVATFPLKLYNEQRRVEHVDHARKSAEQAVRAIKAKESGESVAEYDYLPYFYSRSFD >Dexi1B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:1B:24682176:24683164:-1 gene:Dexi1B01G0018500 transcript:Dexi1B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLEALSSRLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGLASASACGAAACDAHDSDHGAPPKATVQPPPPQPAVSAAAQQVPSPPQFMPQSWAVDDLLQFSDYESGDKLQKESPLGFKELEWFADIDLFQAPTKAGRALAEVPELFGSQAANDAAYYRPSKAAAGAGMRQSKKARIEVTDDEDDYLIVPDLG >Dexi4B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:4B:18571137:18571574:-1 gene:Dexi4B01G0016530 transcript:Dexi4B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSRRGGGLIGGCGPATVGGLSHAMRRGVVRLPRALRRWKRREVRASQRWARAGGGRSASRRARDEWVWPRVWVGGLTFALLWCAAARRSVASGWRDVCPLVVVAFVFVSRMEKIDRQTDRKGRARCHGKLDVRSRIFGCPVE >Dexi1A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:1A:5112272:5116260:-1 gene:Dexi1A01G0006650 transcript:Dexi1A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVKLQARANGGHGGKDQFPVGMRVLAVDDDPTCLKVLENLLNHCQYHVTTTGQAATALKLLREKKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANDETQTVMKGITHGACDYLLKPVRIEQLRTIWQHVVRRRSSDAKNHGNDNDDSGKKLQLASAEGDNGGVNRNKRTSRKGRDDNGDDGDDSDDSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSADASRQANLTAAFGGRNPAYVNMGLEAFRHYNAYGRYRPVPNTNHSQSNNLLARMNSPSAFGMHGLLPSQPIQIGHTQNNLSTSLGNVGGMNNSNLIRGAHMPLQDSSKCFPTGPSGNSFANISNGTTLVPTSNNLPLQSLEPNNQQHLGRIHSSADPFSSFVGESPQFPDLGRCNTTWPTAVSSSVPELGQKDSMSQPNLRVSGPKLEPLSSFTEASSQIPLLGNEMQNHGASLASNSLPMPFNQEPVPFAYGSSTNSREMLNNNLALSNSSINSSLPNLCIDSSIVPRQTLDGGNSGSVPPLQDGRIDQQAVSSQLNYNNDLMGTSRLQRGLSGGLDDIVVDMFRPDRTDDVVHFIDGDWELV >Dexi5B01G0027830.1:cds pep primary_assembly:Fonio_CM05836:5B:29375543:29378810:1 gene:Dexi5B01G0027830 transcript:Dexi5B01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILAFQHYILALGTAVMIPAVLVPMMGGDDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIVAIIQDSSLAAIPDGHQRFLATMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPVGMAPVVALLGFGLFERGFPVVGRCVEIGLPMLILFVVLSQYLKSVQIKDIPILERFSLFICIALVWAYAQILTSGGAYKNSTEVTQINCRTDRANLISSAPWIKIPYPLQWGAPAFNVGQSFGMVSAVLVSLIESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYTMAAQRGPAHTKAGWFNDYINTIFSSPPTVGFIVAVFLDNTLETKDAGSDRGLPWWVRFRSFKGDSRNEEFYRLPFNLNRFFPPS >Dexi3B01G0027630.1:cds pep primary_assembly:Fonio_CM05836:3B:23296854:23297222:1 gene:Dexi3B01G0027630 transcript:Dexi3B01G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATPGDGGLVAAPAFNPEVDESLTDGGLDAEVSLSLGKQRRSGVGRRLTLAPAPAKLGVPKVANSDGSLVDLSGARSADPLAGLRPRAYGSKFWPLADELSSEDEEEDGGMVSIPWAVLS >Dexi4B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:4B:14004105:14005008:1 gene:Dexi4B01G0013840 transcript:Dexi4B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSASLSGFSLPLHLLCSSASPSPAPSSHDGALLAAPPLCFSALNRALASEFAVEEGDEIRHGLLLELAVEEGDETRHGRLLELAVEEEVCAVEVVEPCGICNLLTSRAEDRPPSSGGGLELPWCLRGHLLAPRFGGMAARSGGSTCDQRRCRVLRGAELALPVAGATVTARGCRGTVGPVAASSSGQTRRRRRAPRSGGSLSLATTLAPPPRTYGGSVSACSPALSLSCCCGGVRGPRATSALTVRRQERCIWRGALYLALSPRCIPHPLRPA >Dexi7A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:7A:18425705:18429324:-1 gene:Dexi7A01G0007090 transcript:Dexi7A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRSTFSLLEPPENFDPNEDVFQIRFTKEIFRDYQEYLNRLNLYRQKVWSCELSGKSNLTYEEALVCTLSFTDLLEEVYSSLRLDLSEGLELHAKKDGSEAACKILEVIGSGGTKLYEVGWLGQDNEVINTSVVKADDLICKKAPASHSKSIMAHRIMKVCTKGGNDLQMEKMPEKSSRKRKHLENEMEQQSLRPSHLGKDRLYNRYWFFRHEGRLFVESADSKEWGYYGTKEEVILDALLGTLNIKGIRERALKRQLTKSYNKISNALEERSKDVKQKTLLEEADLRRSTRVQAQPKDDDPSMSFLKYINQWKQ >Dexi3A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:3A:7215082:7217598:-1 gene:Dexi3A01G0010160 transcript:Dexi3A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLATRGLLLASRGPPFRSAAAFSSSSSSSSCWLPSSILLGRRPTRRLRSTSPQVDAAPAPGKGGEYRPTFADDVLLAFFRSKMVEEVGWDSEKPGYAGLMEVANRLMVKGKSALETEQAAVRVLQSLFPPLLLVLYKTLLAPIANGQLAAMMLEARATALSCQWLMGPCSVNTVTLPDGKSWSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLYTDKALKEPCLDICTNVRRRRELGRNSSLDGLGCPQV >Dexi4A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:4A:6872156:6873349:-1 gene:Dexi4A01G0008930 transcript:Dexi4A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNHLLPLVRGAAYQLTFPIHHGGCRLLLSTSPSPTPFSLEDYLVAACGLAPAQARSASKKVFADATAASKKAIDEFSTSGSSLNPRFDSDAVLALLSSIGLSRADIADVVAADPLILLCRANRLEPRILALRDRVGLSPPEIARFLPVASSVLRRGKVDANIKFLISFYGSFGRVLVALKRNLCLLTSSIEKMIEPNIALLHQCGLSVRDIAQLCSQTPRLLSYNPKRMKEFLLRAEELGVPRTSPMFKYAVSSVACISKENVAARLQFFKRTLGCSDSEVSTAVSKCPHILGLTEENLLRKIEFLMNEVRLEPQYIVKNPTLFTFNLEKRLISRYHVMKVLQEKGLLSSDKSFYTLAAMGEKTFKLMFIDRHKDSVPGLADAYAAAPAGSMS >Dexi9B01G0048020.1:cds pep primary_assembly:Fonio_CM05836:9B:46979691:46980047:1 gene:Dexi9B01G0048020 transcript:Dexi9B01G0048020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPWGDMRLRTFRGGGRKRGQSGQEKERPPRSEGRRGAPHRAAATWCGAAMCARCPFPLPGWLGCCPHLPTQASLAGWLLALATRRDGTRTPLSNGPRRPADHLTTSAAAYDSDSF >Dexi4A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:4A:24117899:24122834:1 gene:Dexi4A01G0020470 transcript:Dexi4A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDKCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGTGGDSVYKYLYGDQARFFDDEIHPELRHSKTGTVAMASAGDNCNASQFYITLRDEVDYLDDKHTVFGMVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLAELIPENSPTGKPRDEIAEERLEDSWVPLDETVAPAELEEMIRSKEAHTNAVILESLADLPDAEIKPPENVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQYRQSKRNAKKDGCFKCGAPDHLARDCDQDGDQKNKGQNYVLKDENTQRGGNHRRSYDLVFDEEDADYSEDHENGHRRKIQRNDDQRSELPPRGGHDKNSHERTNSDEKGSRRGKDDDRNRGGRKHNDDYHSYSRSGDRRSGRYDDRDYSKRHSRNRSEEDEDDYRRRDRSDGERRHSDGYEKSERHRREEVDHRKRSPERRHREDDRHRERNQHSDDRSYKERRHKGGR >Dexi9A01G0049110.1:cds pep primary_assembly:Fonio_CM05836:9A:51838586:51839234:-1 gene:Dexi9A01G0049110 transcript:Dexi9A01G0049110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHAGVVHLVANMFSLLFIGMRLEQQFGYVRIGIVYLLSGLGGSVLSSLFIRNQISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVLTLLFVIAVNLVLGILPHVNNFAHIGGFIAGFLLGFVVLMRPHFGWMERYSMPAGTACTSKKYLLYQWILMAIALALLIIG >Dexi4B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:4B:2474165:2474506:-1 gene:Dexi4B01G0003560 transcript:Dexi4B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLPRMAEIASSGSAAQSLASSVFRPRLRRRRRLDEEVDGGGIEARAWGSDGLGFGGYRRGAGSSQRAKSAGGGSRGSATGAPARSTAAASGRRSSLREKTAGAGSLSAAA >Dexi6B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:6B:25663142:25666911:-1 gene:Dexi6B01G0018700 transcript:Dexi6B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVLGKAADALVQKVTAMWGLDDHRRELELKLLYVQPLLADAEAKAEADTEAGRAVRAWMRELRSAAYQADDVLDDFHRDLKNVLSKIDRLVKDMEKFGLLQREQPETLQPLYRQTHSAMDEFTEIFGRDDDKEVVVKLLLDQQNQRNVQVLPIIGMGGLGKTTLAKMVYNDRKVQNHFELRMWHCVSENFGATAVVRSIIELATNGRCDLPDNIELLRGKLQEVIGRKRFLLILDDVWNEEQQKWEDDLKQLLCSSIGGLGSMIVVTSRSRRVASIMGTLPAHELACLSDHDSWELFSKKAFSKGLEDQEEFIAIGKLIVNKCKGLPLALKTMGGLMSSKHQIKEWEVIAESNMGGNNEVLKRMPPKLGMLQNLCTLTKFVVDIGDGFGIDELKDLRQLGNRLELYNLKKVKSGSKANLHENKNLTALFLHWGRDYGYYPLHGEVISNGVEEEVLESLVPHAKLKTLELHGLNSCYDLKALPDGLDGLTSLERLDIRSCQGITKFPQDLLLQRIPALKSLRIDYCPDLQRRCREGGEYFDLVSPIPEKDILPAPEPKTNKSAKRFFTSCGGGGSSSS >Dexi2B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:2B:26766188:26767027:1 gene:Dexi2B01G0016570 transcript:Dexi2B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVAEKPYDVEIREVWADNLEAEFAMIRDIVDDYPYVAMDTEFPGVVCRPLGTYKSAAEFNYATLKANVDMLKLIQLGLTFSDEHGGLPALGPGGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFARHGADGADARRFAELLMSSGVVMNSDVRWVTFHSGYDFGYLLKLLTGTNLPDTMSGFFDLIKIYFPVIYDIKHLMRFCNSLHGGLNKLAELLDVARVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGFEGGETTSAH >Dexi1A01G0022590.1:cds pep primary_assembly:Fonio_CM05836:1A:29233890:29236363:1 gene:Dexi1A01G0022590 transcript:Dexi1A01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAPLLSRLRAAAVVTPPVSAAPLRYGRHPRLASPFALTQSCCPRFPRAAAAVSPLVLRPVAGGFALFSMAAAASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGENIKWNFSKFLVDKEGRVVERYAPTTSPLSIEKDIKKLLGSS >Dexi3A01G0031190.1:cds pep primary_assembly:Fonio_CM05836:3A:35600992:35602665:1 gene:Dexi3A01G0031190 transcript:Dexi3A01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRLASPFAFAAAAARLLSTAAPAASSVGGGDRGVGSGDTLGKRLLKLIYPKRSAVIVLRKWAEEGRTVQKYQLNRVVRELRKYGRYKHALEICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLASAEKFFEDMPERAKAPSTCNSLLHAYVQNGAREKAEAMLEEMARAGYLTCALPFNHMMSMYMASGELERVPEIIKELRRYTTPDLVTYNIWLTYCSKKNSVKSAEKVFDLMKGDRVLPDWMTFSLLATIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTQYASLSDRGNLDRVWNKMKQTFRKFSDTEYKCMLTSLTRFDDIAEAMNIYREWESASGTRDSRIPNTILSYYIKNCMIEKAESFLSHIVEKRVKPSYSTWELFVWGYLSSNRTDKVLECLKKALSCLEKWEPNHQLATAIFSQVEKTGDIDAAEKLLVTFRDAGYVTTEMYNSVLHTYAKAELMPLIVEERMAQDKVAMDEETRRLLRLTSKYPIGEVSTLMS >Dexi5A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:5A:6841103:6844091:1 gene:Dexi5A01G0009130 transcript:Dexi5A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGDKAGRGGLRLESPRFRLLSIVVGCFVFCLVFLLSSRPDVTSSFDIVSPRVSLANARRPAAVKTLRTSSATGAGEGGGGDFHVDIRTQQQESKIVEQSGDKTATEFVRDTVIVEERSDAEAIEAEHEEAERENDGNAATAAASNSDDQPAPGTDSAAGGETKTVQDDATKTAGQPAVGTTATSPNRPEEEKTLAAAATGQSKLQEQPARQQPQEDLHEPAQSGGGDHQQQQQQQQPLCDFSDFRSDICDLAGDVRLDANASSFIVVDTTTSGANVPTTHKVRPYPRKGDMTCMNRITEITVRRATTTGGAPPPRCTVTHTSPAVVFSIGGYTGNIFHDFSDVLVPLYNTAHRYAGDVQLVMANVASWWLAKYAKLLRELSRHPPLDLAKAAASGEVHCFNHAVVSLSAHKELIIEKDRSVDGNLSTPDFTRFVRRALSLPRDAPTRLGDATGKRPRLLVISRHRTRLLLNLDAVIRAAEEVGFEAVVNESDVGNDISEVGKLINSCDAMVGVHGAGLTNMMFLPPGATLVQIVPWGGLQWMARADYGDPAEAMGLKYVQYEVGVGESTLKDKFPAGHKIFTEPTSLHKKGFAFIRQVLMDGQDIVVDVGRFRDVLLQVLNNLSP >DexiUA01G0014720.1:cds pep primary_assembly:Fonio_CM05836:UA:30734586:30735144:-1 gene:DexiUA01G0014720 transcript:DexiUA01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLAKLMRRRSLLHQGAAAPISSLFSTHQQQQAAAVNPGVLPELNIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDR >Dexi2A01G0034400.1:cds pep primary_assembly:Fonio_CM05836:2A:44366295:44366642:-1 gene:Dexi2A01G0034400 transcript:Dexi2A01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPTETGGCAGTSSSSAPASRQQPCGGVGNGNKELAADAVGFRTPQRRVASAAAGGDDDELTIRVPVCPPAPRKRRRTPASAPAAVVRRPTEFYAGADLEAFFAAHYV >Dexi2B01G0020900.1:cds pep primary_assembly:Fonio_CM05836:2B:30899753:30902474:1 gene:Dexi2B01G0020900 transcript:Dexi2B01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKKTMRALQYEKYGGGAEGLKHVEVPVPSPKKGEVLLKLEAASINPIDWKIQKGMVRPFLPKKFPFIPVGDISGEVVELGTGVTNLKPGDKVISISFPTGGGLGEYAVAPASLTVSRPPEVSAAEGASLPTAASTALQQLNAAGVSTFDDGGSGDDKNVPKNILITAASGGVGHYAVQLAKLAGLHVTATCGARNLGFVSSLGADEVLDYKTPEGAKLRSPSGRKYDAVAHCATGTPWSTFAPVLAEHATVVDVTPGIAAAARSFLQKVTFAKQRLVPLVLMPKKEEMEWLVEITRQGKLKTVIDSRYPLSRAQEAWAKSMEGHATGKIVVEMGGEE >Dexi3A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:3A:15833851:15835638:1 gene:Dexi3A01G0019900 transcript:Dexi3A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRAVLVGINYPGTKAELKGCHNDVARMRRCLVDRFGFDESGIRVLVDDGSGPQPTGANIRRELARLVGDARPGDLLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITDQDFTELVQKVPRGCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQTQRRELEERSDSGSSFRAFLKETVRDVFESQGIHLPHRSHRQSDDGDEFEESRYIDTADAHVKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNLFGDDASPKIKKFMKVMLNKLQQGQHGGVMGFMGALAQEFLKAKLEGNQEQLEPAMEQEVHSEQEVYAGTTARVPSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQAILAEHGKVTNKELVLKARKMLSKQGYTQQPGLYCSDEHASVAFIC >Dexi5B01G0031920.1:cds pep primary_assembly:Fonio_CM05836:5B:32587538:32587882:-1 gene:Dexi5B01G0031920 transcript:Dexi5B01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRASAATHELKGHCQKSMPAQQQDPLRHRDICFSEAAALPVTGLVVLDLLLPSPRLGHGLSSSRWKGAWPRRRSFLAPSEAPTLRLDGSRELSMNRRRKRCLGRTDGGENK >Dexi5B01G0038740.1:cds pep primary_assembly:Fonio_CM05836:5B:37823602:37829465:1 gene:Dexi5B01G0038740 transcript:Dexi5B01G0038740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAAAARRKLGGYLRAVVSVDAASIAAASASIPRPLTPCTLSACGALPLAPLPDDGGASQRNKLRASGGSVVRLLRTLVANRCLEVEGTLLRVVTRGAGEGDADDDAAVEARAVVLLDVYLPVAAWSGWQFPRSRTAAAAVFKHVRCNVWCRLPVITPCQHLLCLDCVALDSEKCTLPGCGNHYEMESPKSREKATENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLIEKLISLRETGMSYGNNIANSAGQPQAMLDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMHLGTKRSALAKFREDPTCMALVMDGTAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIQVETLAMRGTIEEQMLKLLQDSSACRNIVNKVTSSTENEGGRPHRSLHDFAESSYLAQLRYCVDSS >Dexi9A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:9A:15398477:15405922:-1 gene:Dexi9A01G0020390 transcript:Dexi9A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRATMAAAVAMRSGSSDGGGYDKGGMDTGKYVRYTQEQVEALERVYAECPKPSSARRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNGKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNSSLANDASCESNVTTPANLRDASNPSGLLSIAEETLTDFLSKATGTAIDWVPMPGMKPGPDSFGIVTVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFHDCRSLEVFTMLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTLEDGSLVVCERSLSGSGGGQSNATAHQFVRAEMLPSGYLVRQCEGGGSIVRIVDHLDLDAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNSKKIRSSTNPASDFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSYSALKTSPCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDGGLLSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPYHLGVDLVSHSDEAGESLLRMFWDHQDAVLCCSFKEKPVFTFGNQMGIDMLETTLIALQDLTLDKIFDEAGRKALHAEIPKLMEQGYAYLPAGVCLSGMGRHVSYEQAVAWKVLGEDSNVHCLAFCFVNWSFV >Dexi9B01G0034310.1:cds pep primary_assembly:Fonio_CM05836:9B:36292368:36292661:1 gene:Dexi9B01G0034310 transcript:Dexi9B01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHGTAAAGGGKNGGGATATLRGLGPPGVFFGAPPRTLARCAARSSTCCSAGSTMPMSLRISRGSSLWMSSRSSCMLGR >Dexi4B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:4B:3790487:3791806:1 gene:Dexi4B01G0005370 transcript:Dexi4B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKSNGEWSAFEINMVKSLIARYNANNSYANDMNKKHSDIVNEVRAMFPMKEMHQAIGLYVDLVVEMMQSSGTSTGDSSSHSVVASGDLVELPMEDLAMDNMDMLLGYPTMDKDPLRVAQLVPRRQRAPRMERSNTRFWTKAEHRLFLRGLQVYGRGNWKSISKYFVTTRTPLQVSSHAQKYFRRLENAAHRQRYSINDVGLYDAEPTVESNTSNWEGITFTGGAYYNSSHYGARGQHATMNNLSQVQSPIVYHSSQASPSSQAAAWVGDQQMGATSFYAAQMMEGHGGSQAAWAGDLGELFDGLNMDMF >Dexi2B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:2B:695256:696398:1 gene:Dexi2B01G0001110 transcript:Dexi2B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVSSLPDELVEEFLLHLPSDTPASLVRAGLVCKRWCRLISGAGFRRKFHEFHRSSPMLGFLYYQRDIAGDHVARFIPISASCPPAHHAGDDGSHCLYPSIGLVVPYLNFYTPGISYQCPSNL >Dexi9A01G0030410.1:cds pep primary_assembly:Fonio_CM05836:9A:35434250:35434841:1 gene:Dexi9A01G0030410 transcript:Dexi9A01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAIANRQHHQELPLGGGGGEDGSPDLISRLPDEVLGDIISLLSIRDGARTQAISRRWRPLWRAAPLNLKVYGVLSSESKGVVTKILSEHTGPVRCFHLHDFELHGCYDYAMLDGWLRSRALTGLREQGCRQARLRAPAGHPRRPHATIAFTAGTCETCMHGGRLQEPALSTARRLCSS >Dexi5B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:5B:7321114:7322370:1 gene:Dexi5B01G0010390 transcript:Dexi5B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNGECRILVIGGTGRLGRHIVAASARLGHHTTALVRDTSPSDPTKAALLQRFRDLGVELVHGDLYDHASLVRPMKSSDVVISALGTSQIADQTRLIAAIKEAGCVKRFLPSEFWTDVDRTRAVEPARSAVYGAKAAIRRAVEASGIPHTYVLCGYLAGAALPSVGQVVSPTPPAGEAVVLGDGDTKVVYVAEEDVGVYTAMAAADPRAAGKMVYVKPPANTVSHNELLSMWEKKTGRGFRRAYVAEATVLKMIREAPFELGIALSLAHAAYILGETDFKMEPSRGVAAGELYPDVKYTTVSEYLDRLLMSTGSSERYLQGRKCLFTSVVAACCVFLCIYVWMLSGRLG >Dexi8B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:8B:24687705:24688046:-1 gene:Dexi8B01G0014290 transcript:Dexi8B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWLPGMRGSSAAGSMLRACRALAACRSAAAHAQTAAGRAPQQAHLATRRKPLAARHRPEDARRSAASHAQESARRSPEAARRFPDGRRRLLASPRDPVVVGLADWAAWVTA >Dexi2A01G0029340.1:cds pep primary_assembly:Fonio_CM05836:2A:40374158:40377981:1 gene:Dexi2A01G0029340 transcript:Dexi2A01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTLTTMTLKDFHGGSIPSELPLPSAPGVTPRPADRPVASPSPVAAAVARPRVPVASPNAAAAAAAAMPSFLTTPSRIGRHFDEDERTPFEPAAPRRPAPSPTSFAPAPVVVPARSGPGNAWGPRREAAPAASPVGTAPASAGGQIWSATRIAQASAVEKVISGRFNPSKPSSPPTPASAPVAETLVALPEIERPRSVGVRELDAGVERGAAPVRPASHEGRVGDARIAEAPERPKLKLRPRSKPIEAPEPSPTYAEDKQVHQVQVTTNITKVEVVHDVHQNAMADKTGVLGADTEIRVAERPRLNLKPRSSVTGQSDEIAVKERQQSLFGGARPREQVLKERGVDVLAGDLEKTSPVGRSKSEFAKVEQKIEAMSINPSAERAEGFPAGHRGPRNADKKDYKRDMDRTDAYRTTRREDNRRVARDVEKPLEQPRPEPETWRKPVEPPKPEVTTPRFGKAATALELAQAFSTSMSDAVPQSRLTSVPSPRVPPSPGARDQSGFSRLTDNRSLHTSPSHRKINGY >Dexi7A01G0017630.1:cds pep primary_assembly:Fonio_CM05836:7A:27231281:27231681:-1 gene:Dexi7A01G0017630 transcript:Dexi7A01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTITPTLTQVPRISLTVPAISLAMDLGLMVLAISMTSSRLMLPLCLMFFTFFLSLSGSLRALMTSAAAEGTTETLA >Dexi5A01G0018310.1:cds pep primary_assembly:Fonio_CM05836:5A:21833581:21833793:1 gene:Dexi5A01G0018310 transcript:Dexi5A01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSAATPRETHPEHKLTAAVGKSKRTKQAKDPEQYHGRIRLGSEGTTDPSAPAEETPPTARSGAVEAAN >DexiUA01G0013570.1:cds pep primary_assembly:Fonio_CM05836:UA:28502130:28503566:-1 gene:DexiUA01G0013570 transcript:DexiUA01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVMIVGATRTPVGSFRGSLSSLSAVELGAVAVRRLLENSQLCADQVDELIFGQVLTAGCGQNPARQTALTAGLPVSTPATTVNLVCGAGLKAVQLAAQAIRCGDADVVIAGGQESMSNAPYLLDGARSGLRFGHTGLQDSMITDGLWDAFNDYHMGITAENVARQYGISREQQDAFAARSQQKAASAIEHGLFDAEMAPVTVSEGKKPPRLVSSDEQPRPGTTAEQLAQLRPAFRREDGTVTAGNASTLNDGAAAVLLMSGEKARESGLPILGRVVSYAVTGVDPSVMGIGPVSACQTALARAGWALDEVDVIEANEAFAAQALAVGKLLGWDERKALAVGKLLGWDERKVNVNGGAIAIGHPIGASGCRILVTLLHEMQRRDAKKGLVTLCVGGGQGIALTLAR >DexiUA01G0013570.2:cds pep primary_assembly:Fonio_CM05836:UA:28503576:28504214:-1 gene:DexiUA01G0013570 transcript:DexiUA01G0013570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVARELRDGYYVNLGIGIPTLVANYIPAGMDVMLQSENGLLGMGAFPDEQSLDADMINAGKQTVTARIGAAIFDSAQSFAMIRGGHVDLTVLGAFEVDVEGNIASWMIPGKMVKGMGGAMDLVAGAQNIIVIEDGAFILREYAPGVSIDDIVSKTAGKIIVADDVREMRVN >Dexi9B01G0034450.1:cds pep primary_assembly:Fonio_CM05836:9B:36414222:36417521:1 gene:Dexi9B01G0034450 transcript:Dexi9B01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDSKPSKSLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNTAVMELMRGLRNQLTELITGLGAQELGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIEYAKVVKMMGNRANAVNLDFSEILEDDEVEAQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLYEYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKVCPICVRFSTKLLKIVSLQALFRALKTKHSTPKYGLIYHASLIGKAAQKNKGKISRSLAAKTALAIRYDALGDGEDNSIGIESRLKLETRLQILENKDLGKSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADVVLGQSTEETPKKSELTSKKRKHEAETAPSSEPVEESIKEDGDQERKKKKKKSKETEEIVAVADGQKKKKKKSKDTEEPAVPAAEGEKKKKKKKSDADGEDAAMQTEESGKKDKKKKKKKHADE >Dexi2B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:2B:6527514:6531246:1 gene:Dexi2B01G0006610 transcript:Dexi2B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRPRCLLHLLWLLAAMVTTHTITETSSAGHDGDERALVDFKAKISSHSGVMASWNQSTSYCSWEGGLTGTISPAIGNLTFLRLLNLSLNTLQGEIPPSIGYLRRLQRIDLSTNILAGIIPSNISHCISLSVMYIHSNKGLQGRIPDEIGAMPSLSHIVLYNNSITGTIPSSLGNLSRLVELSLKGNYFEGSIPSSIGNNPYLIFLQLSVNNLSGHIPSSIGNLTESIVIGAYANNLEGPIPSSIGNLSKLLVLDISINKLTGFIPKGIMELPSISSFLDLSYNLLEGPLPAEVARVLDQPMNSNSSLGVRGSIGYIAPEYGDGLEVSTCGDVFSLGITLIEMFTGRSPTNDMFKDGLNLHHYAEVAFPDKIMEIADANIWLHEGANTSNDTRHRTITKECLSSVIELGILCSKQLPVERLSMSDAATEMHAIRDKYISAQQLCDVRLY >Dexi3B01G0036090.1:cds pep primary_assembly:Fonio_CM05836:3B:38982932:38984140:1 gene:Dexi3B01G0036090 transcript:Dexi3B01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVPAVKGKLEERLHFVSMGRLKDVLVRACRPEKLRPWMNLVGLADQSEEDWSFFQYSGRPLILLSPVTYLPKSNSSAREWNSTMEGPALSSAMAEHDTSIPTNAQLVSAMECSVLGGNACLLGEDGHGEPLGVPGLLAR >DexiUA01G0012420.1:cds pep primary_assembly:Fonio_CM05836:UA:25118115:25118320:1 gene:DexiUA01G0012420 transcript:DexiUA01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAQTGENDELTTFRHPIEIHTEVRKHHGRLSLALSLSRACTSSSESSVCRRSPPAASTA >Dexi2A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:2A:14002562:14017002:1 gene:Dexi2A01G0012040 transcript:Dexi2A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPAASAAVAAVVAVAAVLLSASAAANPAGGPHMADLSVLLPPRMTKPVEYRLIGGDGCFSWSWDHHDLISVKPEYNDSSRCSTSARLASIAPYNGRRETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEIATLRVHAFDDEENVFSSLVGLQFLWQLTPRLLDNSRHHLVHIPLKETHLSDCGGFCGDMNIRFELEDKNLGSDFFVVKGIEIGQEVVKAQLFEPQFDHVIDTITLTVAEAMSLEPPSPVLVTVGVLLKFKLKVFRQKVAQEGFDAREIYIAEENELKLESSTMEFWNLSQGKGHLVASLAYLTEASGPAKVLKIVQEVNVCNKVQAFWDDRLENSNVIYLPWVPGVYQEVELKAIGGCGKTLDDYKLISSDEDVASVSDSRIVRAKKPGQAVIRVVSAFDFLNFDEIIVEVSIPSVLSILPVFPVEVPVGTRLHAAAELKTSNGHSFSRCDQFNAFIRWSLLSDNDSFHILNTAEAWSVENIKHSAGSWGQNGNPCAWVSLNASATGRSTLVATFTIDSDSNIETLGPISLKAASKISAYYPLVVLQGGNGNQFGGYWFDLSGIHSRVENMDNNLSKELYLVPGSAMDVFLFGGPEQWDKVVDFVETVDVIGELKNRITSSVAVQKLSSGIYRVTCPSKVNYKLLFSRGNLIGKDHPVPAIAKSEFSVVCDFPSEITLIANENENRLDILEAASKADRGPDRLQASPVVISNGRNIRLAAVGIHRNGRFFANSSSLCLKWEAMGCEGLAVFDEAKSAEMLDDSAWERFLVLQNSTGVCTVRATVVGFSTKLVGQIHKEEYTFHSLTDAIQLQLVSSLRVTPEYVLLVFHPDAQENLIVTGGTCFLDASTNDTRVVQIVKHPGQSLCSQLILGAKGLGKAIITIQDVGLSPRATIHSLARVANVDWIKIIAEDHISLMEGSTKDFQILAGTQDGQTFGDSQFKYMGIELHLGDEILELISPRDTVDEPKFSIKAAKTGITTLYLSVKGGPKTGVYIEYSSLNMEIIEVQNATGKLSAKAVGNSTVRAAVLANGGTLVCEAFGRVEVDIPVAMILNTQSDRLCVGCSMPIYPSLPKGDLFSFYETCQSYSWMITDEKVVIFQSAKSWQYRLGQGLSSEGINNPWFSNGSSTSFINHMIGRSAGETKVSISVTCDFLLPGTTRTVVSYNASKTILVVPDPPLALALPITWLFPPFYTTASLLPRSANSLGETDSLELESSVGYSLLRGSGRSGSVIQDASIIDGSKIRTGESNAVDCIQAKDHSTGRTEIASCLRVAEVAQARVAAAESSVQIAYLSVNDRVELDIKYADELGYIFHEAHGVAPVRIETNYPDVVSILMPRDFNGSHERFVLQARSYGTALIRLHVNYIPNKADFIMVSVGAQMYPRDVTLHSGQHLNFTIIGDSLDARGSGHWLSSNEKIVHVNRVTGEAHARGEGAAEVIFKGSNLKLQTTVTVLKVNKIVVDAPAETVTNAAGSSDGYKFFVRFSDSIEHSTGSSMSPIDVPFECKVDPSYVGYVEPWTDHAAKESYCLFHPYPPAQLLHVKLNQNEGFLHILVRANLKEDPKVTGSAHALFVKGFYIKEPGKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSVDRVDTNENKGAPNRIIYRVEALKGQPFSDKVTIVLPATGQTEEIEISYDTGDKSEPPSSWGLTTFAVILTCIVAPVVTVALFMKSLERPSRQAPPRGAAASTPARAPAASPASMADPASPANSQLSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >Dexi3A01G0030310.1:cds pep primary_assembly:Fonio_CM05836:3A:34248474:34251226:-1 gene:Dexi3A01G0030310 transcript:Dexi3A01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRIASPPPALSALAPATPSSSRPSHSTTPRLRLRSIRSLRAAADGGAAGSEGAAAAAVAEVEDAGTDVAGGAATSTRPPYSLISADNVQKALRGLAITDADHYGRLGVTRLASTDEVKAAFESKCEELNSKELEEEELNKEYDLLKESFTILSTEEERRLYDWSLSRSGLPERYVWPFQVDPLEMAPDPPKEPEDEFPTKLVGYFFLASFILSCVLSVTINR >Dexi3A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:3A:8202500:8208335:-1 gene:Dexi3A01G0011460 transcript:Dexi3A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVQRWSNHKVMVRWLSRFFHYLDRYFISRRSLTPLKEVGLTCFRDLIYQEIKGQVKDAVIALNVLDIFVEIGLGQMECYENDFEDFLLKDTTEYYSVKAQSWILEDSCPDYMIKAEECLKREKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCSALLRDDKVEDLSRMYRLFSKINRGLEPISNMFKMHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVTGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDAKPIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPSNRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >Dexi5A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:5A:2382755:2384359:-1 gene:Dexi5A01G0003220 transcript:Dexi5A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAAIQQHQQQMAADENMSNLTSASGDQTSVSSHPLPPPAKKKRSLPGNPDPDAEVIALSPRTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEAVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVNAAAAAAAAAAAGQHHTPEMLFSGGGGGEGVHMPAVMDSTLGGHGMSLQLQELCGLKREQQQFGASTWLTPHQQQQMEMQAGAGNASVFGPARTMDGQEYNMGSSATPESAAAAGLGFGFPSPSATGCGGTASAHMSATALLQKAAQMGATLSRPSNQGQMASIHSTTTGMATAANTAGNVSSTGAAGVLGFGASSHHFGAEERRTRTDRDAGNGGGGNGMGATGVAGGGNEGLTRDFLGLRQFSHGDILNMAGFDPCMSSASSAAFEQGHHQSSKQQWHV >Dexi2B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:2B:25611737:25613995:-1 gene:Dexi2B01G0015550 transcript:Dexi2B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTKKLGHTFSRLLTSKPLFSSSRPRPTPPAPPPPPPFSIPPQPPELPTFPSSSAAMPHHHGSHRPATQAPGGHVFPRATSTVLPDPSRFFAPPLLAAPLPTNSFFQNFALKNGDQPEYIHPYSIRSPGGSAIDVCYPARNHSPSFVIQTFVADLTVSDAAGSAGGGRHRISAFDDLSVTLDLSPKLRAHLVRGCPYVTVVTTAGPIDVSVSSVHAFVEVAACDDAGTKWRLKMNSGQTFLLYASAPIRLAQASTTQLSAPGFAGAIRVAYLPDDGSMEPVLDRYSGCFPTAGDAALSRPFCVDYSWRKQGHGDLLMLAHPLHLRLLSDDCAVRVLDDFRYRSIDGDLVGVVGDSWALRADPVSPTWHSTRGVSEDGVAEVVAALRADVASLASTPITTTSSYFYGKAIARAARLALIAEEVGCPDVIPAVQSFLKAAVTPWLDGSFQGNGFFYDAKWGGLVTLQGLKDSGADFGFGIFNDHHYHLGYFLYAIAVLAKLDPCWGRKYMPQAYSMVADFMTLSRNRGGGGGGSFTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALVGLSYGDAHLVSTGATLTALEMLAAQTWWHVRAGEGVYEDDFAGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDVAFVKDLVAWTAPALARDGVGEGWKGFVYALEGVYDKEAALAKTRALTGHDDGNSLTNLLWWLHSRGSVVGDGDGRCCWYRQYCH >Dexi3B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:3B:5675133:5675927:1 gene:Dexi3B01G0008070 transcript:Dexi3B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHGHRQRSSLAPGLRCRTTAAAAFTSTATAAAWRTMPPKRGARLMVYAAGCKTCKGKGAIECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGKEGLTPEQRGER >Dexi9B01G0042710.1:cds pep primary_assembly:Fonio_CM05836:9B:42897674:42901792:1 gene:Dexi9B01G0042710 transcript:Dexi9B01G0042710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTKPFQIVAMAIYTPLVRSLTVNVQVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFVLMASAVILATCTILAMVATIPLAQLLCFHILLIKKGISTYDYIIALREQEEQGVPEHQSPQMSIISSVTGFSAASSFGPLHRGSWCTPPRLFLEDQFDVIPPEASMSQNSGSKKMKEEEGAGTKTGGVKISPWTLARLNAEEVSRAAAEARKKSKILKPIAKYDAPENGGKPDHKLSNKRRPDRRGFPAELSLDPLATLSASGSESNFGDAAMEMSGSLAPLQLEARSAFQPSTAGSTRNLASSPESSFDSPDLHPFRISSSTAGEMQGAMPHSAHKGIEFTRSSSDGYEASGGEDSDRIPSRIVHRSSNWDNVILNASQGGPAVDLHML >Dexi1A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:1A:26535771:26539227:1 gene:Dexi1A01G0019410 transcript:Dexi1A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSSSAQPAPRGAPAKGNWLAQHSAGVMADLDFYIGEEALARSRASSTYSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSGIRSIPLTGKDVTQFVQQLMKERGENIPPEESFDVARRVKEMYCYTSSDIVKIFFNPEIYNNDFTTPLQVVIDKCIQSSPIDTRRALYKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >Dexi1A01G0029610.1:cds pep primary_assembly:Fonio_CM05836:1A:35075980:35078645:1 gene:Dexi1A01G0029610 transcript:Dexi1A01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRLRPLVAAATTTVLLLVATVLLAGATEADAEKSLASAFLDPHNAARRAVGVGPLRWDERLAAYARRYAAARSGDCALAHSHGPYGENLFRGSGGAGWTPADVVGAWVGSSAAAV >Dexi5B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:5B:22398114:22402187:-1 gene:Dexi5B01G0020170 transcript:Dexi5B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSYYLQQSSSSTTTASPSPATGAAAASGPSGTAGAMDVDHLPTYDPRSDAAKKEALDASRADLARTLVHLVPVVVLLCGLLLWSLSNTDVPEVGVLLEKGNDHTMVTRVNIMAQNNGVASNWKGSGMMTTTEDSDPIDEAHGTKRRVLIISEM >Dexi5A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:5A:1747535:1750742:-1 gene:Dexi5A01G0002520 transcript:Dexi5A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAAAPSLLLPLLFLALLHPAAAASQHRHLGAYLDAPSPDTSEPPTTFFEVDRPIRPPRGTSACSTLLLSGTFGATYGRPPVTAAYAPPACLWTGGGALALAVLEWTADCRGRQFDRIFGVWLSGAELLRGCTAEPRPNGIHWSVSRDVTRYAALLAEPGEIAVYLGNIVDNTYTGVYHANLTLHLYFHAAPPPPQLQQQERADLIVPISRSLPLTDGQWFAIQNACDVQSKKLTIPSNTYRAVLEVFVSYHSDDEFWYTNPPSDYIQANKLSNIPGNGAFREVVARVDGEVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPSYDIDITPFLGKLLDGKEHDFGFGVTNALDVWYIDANLHLWLDHKSDKTTGSLLRYDASGLDLNVNSEFTGLDGQFVTSAARHVSATGWVKSSYGEVTTTFYQRFSYENSNVFTKNGNVQIVNQTIDAKSGIFAKDTSSVLLSEESHQVFPLYLYTGTSDKVGDEYSMDSLVKFGINEKKTSGGKLGFFNSSLQNAQLARGTMRVKKNLVVSGLGKTHQVYKYVGTDGCYFRDVSSRNYTILYDRSDDS >Dexi7A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:7A:241026:241754:1 gene:Dexi7A01G0000170 transcript:Dexi7A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAARGGYTFVHPVRVTVSDASLARFALNETSLTYDLSPSPADINFAGRCIGGARLVTAGRHKVEPEKSDRIRLKVAEEVEGMAEVLWSDGVAELCH >Dexi9A01G0040400.1:cds pep primary_assembly:Fonio_CM05836:9A:44163857:44165198:1 gene:Dexi9A01G0040400 transcript:Dexi9A01G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAKSEAEQGEAGSGALGSGTDSKVYHERQRLQFCLLHALNNLMQEKECFTRAELDRIAGNLVLSDPNKDQWTPLSFIFRPHHNVLTGNYDVNVLITALEARKKKVVWHDRRKGASSINLDAEALIGLMINVSVRRFRGLWNSRHWVAIRNIDGIWFNLDSDLSEPKQFKDKENMVAFLDSILSQGGELMLVLQDE >Dexi6B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:6B:3503707:3505969:-1 gene:Dexi6B01G0004320 transcript:Dexi6B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPEDLGIPLDLLDMSVYNPPAVQPPIAPEDEELLQDDEVHTPIKQEGIRKKERPTDKGVSWLSLTEKQAKEMRESRVGRNTFLDNLNDRL >Dexi9A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:9A:8107388:8107936:1 gene:Dexi9A01G0012700 transcript:Dexi9A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLPRLLLLLVAVTGAAAGGASLNGAANDLLPKYGLPKGLIPDSVASYTFDEATGAFEIHLGSTCYVHFGSHLVYYERTITGKLSKGAITDLSGVQAKKLFLWVYVTGMVAHPDKGTIEFQAGFVSESLSASMFDKVPTCGSGVGAQLRGAAGVIGELGLLPVAQVCLLTVLPSSFMDGD >Dexi1B01G0030350.1:cds pep primary_assembly:Fonio_CM05836:1B:34363943:34368203:-1 gene:Dexi1B01G0030350 transcript:Dexi1B01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAPHLLHCGGFGRVAQLPALPRRRRRRGQLPRVRAVATEPKPSTSSSSPRPRTRNDLSNTRFGGVSKEIQRVRKQMEQDEQLATLMRGLRGQNLRDEQFADDNVRLRLVEVESADNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISNLISDLINKKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGQPWQAIYSELSPSPIAAASLGQVSVDVVGLVDEWAARFFEELDYVNEGENGTYFAEMMKEDLPQVVVPKTYHKYTSRKVLTTQWIEGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAISHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGNMAELADIGSQPSTSLVPVFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAVSREQFIQIAASFGIGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVMKFLTSGTASPTVNGDVNMVSVVQELLPVLPGISSKILPDVLSRLSSRVFARLIREAFL >Dexi2A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:2A:27840591:27840828:1 gene:Dexi2A01G0016240 transcript:Dexi2A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALPSGLRADPPRAELPVSDWPRASRCGDVPSARRPQPREAASRRTARLAAARRTAAAAWPPASR >Dexi2B01G0035770.1:cds pep primary_assembly:Fonio_CM05836:2B:42747247:42749507:-1 gene:Dexi2B01G0035770 transcript:Dexi2B01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDTSRPVRRVRCPRCHSVLEEPSAPVYQCGGCGTSLRAKNRTGNTGDAATTTGSPSRSGLPPQSRHLDSTDVPSTPTSLGATTSRPHATDATSRHGSGDHVSARRHGSGDVASTSSTPTVTISRHQGNDTRSQGESGDLVSARRHDSGDVASTSSTPAADARSSRCQGIDTTSRRESGDLVSETRHVSGDVASTSSSTPDATNSRRQGSDTTKSGDLVSARNRVSEQVARIEQRGHDQTAANQEVFGNPEGRSRARDAGVSVHFAIGNEDAELQDDKEKRMKRQAESTDAARKKHSGDATVQPEYHHRQQELKPAAAPAVQSAAPIVSRKEEDDAVGARGKALSPSRQELQAQNLGPLRQKILKTVDDLKGDLSELFSKSPELNPTPRARPPRLPKQQATRAVSSRLPATRARHAAAAGDVHRGSAVKAGPRGLPSRRYRQCRADPWCHSASCHHGCCGHHGKPECSSCRGYCCRPRTQEPSAPRPPRNNKPPAANETTRKRRPPPRNHCRPVLKGAPFIICSSCFTLVQVPADFAVATKTVRKLRCGSCSTVLSYSYRDPGRRKKDDQLSTDDGSEMHHTEPDPFAPFVDGFGLSSYSTEDEQPLHVSRNSSFGTIDGARGVGRLHRLMGYGSASELLRHSPDLYESFSERTTPDVGHCYDDRKGKGVCVDDVDDDSDEEDDGVLRRSAARGSAWPIGKGMPAPGAIRIK >Dexi1A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:1A:8094347:8094598:-1 gene:Dexi1A01G0009750 transcript:Dexi1A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWAATSGEHREGSGGYVWGTLHRLLNIDPCRRKGFADDVQMGGEASEVEDDDWRRGEEDDAGDGRGTFDDRRRRTMCEDEG >Dexi2A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:2A:819255:827878:-1 gene:Dexi2A01G0001220 transcript:Dexi2A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKPRPSAAARAGAKSRPKRSRSGKQGAELLEENSQLSEHDGETVDAESVQHEKKSNGRFQGIVQSDEDDTEDEHDASNADAPGDMISKGNGSDETETSCSFHGHVSHVITNEEIKALVKQNSKFKWEMPATDIPRSKWVGTGEKMQGTCDDHLHDVKGKLRDHWQHMLSNHLNSRMSFFSLCNSYRDIMHCNKKPFYLKSNGMDSSTMDAYVMHALHHVHRTRDVVIRNDAKLRNDADRDISDDNTYLDQGFTRPKVLFLLPLKSFARRVVKRLIQLSPLPQKKIDGGEYGKEKDFDFLSSIEIVVVDHADVISMQNWAHLEAVFEQLNHLPSKEHGTNVMRIRPWYLDQHAQYYRQTILLSSYLTPEINALFNGSCFNYEGKIKMVTEYTGVLPKIQLEVQQLYERFDASSIAEAADARFDYFCNKVFLRQAATVSNPLPIRATSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKIRGTKHLLIYSLPERKEFYPELVNMLGESENRKCNVFFSRLDLLKLERIVGTSSARRLISSDKSMFVFC >Dexi1B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:1B:23239092:23241044:-1 gene:Dexi1B01G0016760 transcript:Dexi1B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGATQTIRDRPGNPNLQQAQARAAKRRHQHAVTTKIRRRARLGRATHSPIDSRFAGFLSPKSAENSGGAGAWGGWVGVVGVPVGDREAPKTTKLRRLHPLNGLKKAARLISSQSSRQQRQYGISQGGPLRAWNCGLRMDHGGHRGYVFQLSRPRVSPCGPEFPMATPTAGSQRQPTGQHQRASGKRYSQVSASTSPVGTTRSRWHQQRLPFFFLREDSSSVWLLDRLERFCGPHHRNFATWGGRSNLIWGQWIKSAASSSPLRAAVQDLFARKRPRETATCWAPWVLGLP >Dexi4B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:4B:18571647:18575019:1 gene:Dexi4B01G0016540 transcript:Dexi4B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKPPSPGSGAAGAAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPNAASAEPLFVESKLRQQMRAEDRPPRGAVPRIAYLVSGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELAVAIRADPVYARFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLDDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTEKGGPFVVERVQDLRPGSGVDRLKKLVTGLLTQEGFDDKHCL >Dexi6B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:6B:19840931:19841619:-1 gene:Dexi6B01G0012230 transcript:Dexi6B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRPQQCLNPLGYANLIKKFTDRTKRPYTRDQHKNRWDNLKRTYTHWKTLNIKASGLGRDPITGSIAATDEWWEEQNVAMPGCIMFKTAPLEFEDEMRILFDSICVTNATAFVAGGNVNASAGGAAAASQENNDGQDDGLEMPSNDISSPAVGKRSVEKRPAAHGASPKGKKGKKTYRDGLMKRLVDAYEKKSES >Dexi1A01G0025810.1:cds pep primary_assembly:Fonio_CM05836:1A:31936774:31939732:1 gene:Dexi1A01G0025810 transcript:Dexi1A01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLRWWRADASEVMAVTAMGVWEAVLAGGGRRFIKRKDSDAGETDRAWFFAVGVRAGFPHDPAFSTCFPAMDFILDSRLAGAAIACAWPSASLSFSMRAHNRWWPQAAGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMATLKTFSLLPVAPPSKSTPFSSIFALGAVMSLSTGLANVSLKHNR >Dexi9A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:9A:3006662:3017402:1 gene:Dexi9A01G0005410 transcript:Dexi9A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRGRGRGGRGGGDRDRGDQRLPFGHGGGPGGGRSGSPVSPAPPAAQVTIRAPPASPSPAPSSSAPPPRQQVAPASSSAPSAAALAKEVEKKLFVSETALAPSAAAALAAVAAVQGAPASDAEDAADVDLAPVSKKGLAHPARPGLGTVGKSVMIRANHFLVDVADNNLFHYAVSINPESKSRATNREVLNELIKLHGRTALGGKLPAYDGRKSLYTAGALPFESEEFVVTLVDPEKKEKERAEREYKITIQIAGRTYMYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWKYINDTLVFFLKGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKLVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQPDQIRRYKITGITPIPMSQLIFPIDEMGTRQTVVQYFWDKYNYRLKYGSWPCLQAGSDSRPIYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDKFAQKFGIKVTNDLVSVPARVLPPPMLRYHDSGREKTCAPSVGQWNMINKSVNPRPFVEVKSAAPNHIEKALRDVHRRATQMLAQQGTGNQLQLLIVILPDVSGSYGKIKRVCETEIGIVSQCCLPKHASRPNKQYLENVALKINVKVVASMDWLEITKYRGVVSAQPHRQEITDDLFSINEDPHKGLNANGGMIRGGVSEGQFSHVLLHEMDAIKEASASLEGYQPPVTFVADKKSHHKSGNILPVASSCSRSNVEGQNIDGYCPERVKFKISFEDCFYWSGVKLLKIDDLVTEGINITGPSCLVHVLGRPASPASEKAPDRTQGPIFHRSRGGQNNQRREISRVWPVHEEEEASEWFG >Dexi5A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:5A:24618995:24624278:1 gene:Dexi5A01G0020800 transcript:Dexi5A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLESKAKEAFVDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRLLKECDERIAEEANQAPVKKVEAPVPASVEDKEDVTNMENTQPVVEPPKPKYRHDFYNSATEVVLTIFAKGVPADSVVVDFGEQMIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPAETAPRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKTVEGSPPDGMELKKWEY >Dexi9B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:9B:6034044:6037481:-1 gene:Dexi9B01G0009820 transcript:Dexi9B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGVVMDAGGGAAELGLLGGGSSRLLKHGRGGNAAAAAGGEEHGWGVGSRVAKQVRTTTADDVAEAKAAVAPFLLGSCSPGHGGEQMLSFSSAAAAAASSCASTVAAAAAAADGAMPLYYGTPASCSGLSSVSLSASMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPIPHSLLLPIRRSLASSPYPPSYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAAVAATQPAALAASGAGATAAGLTASQHQQPVKSYTAGAGDPCSLQYNRELVNKQNETDNMQDSDNLSMLTSMNTRNPGSLFPFSKLNNPFEVTSTRPEFGLVSSDSLMSSPHSSLENVNLLSSQSLNENQSSASLHHFVDWPRTPAQGGLSWTDAEDMQAQRSQLSISAPMASSELSSASTSPIHEKLMLSPLKLSREYSPIGLSIAASRDEASQFQANWAMMFRDSSMGGPLGEVLTKNGNTEVKNCLSTPLNLLTDCWDSSPGMESSPVGVLQKTTFGSVSSSTGSSPRMENHGAYDGISNLRDDLGSIVVNHPSIRLV >Dexi1B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:1B:3329146:3332290:1 gene:Dexi1B01G0004190 transcript:Dexi1B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGPWRRPPPPAARLPVLLLSLLLHAGWPASTSSSCAEAAAVHMGGLDGEGPPEAAGNVSNSEKGRFSYGVASSPGKRASMEDFSEARIDDVDGEKVGMFGVYDGHGGVRAAEYVKQHLFSNLIKHPKFITDTKAAIAETYNHTDSEFLKADSSQTRDAGSTASTAIIVGDRLLVANVGDSRAVICKGGQAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIQDPQEAADKLLQEASKRGSSDNITVVIVRFQDGTTTSDKSEDTEKEAANDQNS >Dexi1A01G0030050.1:cds pep primary_assembly:Fonio_CM05836:1A:35418041:35422391:-1 gene:Dexi1A01G0030050 transcript:Dexi1A01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSQQQSDHHHLLHQAPTIRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVHLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKNSLSTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLSKVLSDKLPEVLDFNRDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQSEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKMFERANAENVKQMEMEKKKAQTEAEKEKAKLAAHKKGESLEPGISDLRLASG >Dexi3A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:3A:2173683:2174228:-1 gene:Dexi3A01G0003360 transcript:Dexi3A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDDSAPDAAVRRHPAHVVAAQAQPRRRRGQVGRAQDRRETGGQLSVVVVRIKQTHHGRQEQQQPQSRVVVRGEERWDSNKKSSSGSLASRASSGDKWQSNKRRHVVTVSRASSAERWDAHKKPRTPQQQAADGQSSTGSNDDMGFFAGPGFIASPEASMLPVPTSLMVRVAAPHGAE >Dexi9B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:9B:1631776:1632503:1 gene:Dexi9B01G0002920 transcript:Dexi9B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPPSLPRHLRRRRFSTAPASHHPPPASRLAEQHCLRLLERSSTPAAVLQSLAFLLKSGLNSNPLVLTRLFASSACAAPALLEPLVAALLGPSVPIDAFLVNTLIRAHATSPFASARLCAAAFFPLMLRSAVLPNKFTFPFLLKACAALPGSPGVGLQAHAAALKFGFTTDQYASNTLIHMYSCFGGGFLGDARNVFDRMPKSSAVTWSAMIGGYGDAGQWGAAG >Dexi9B01G0026520.1:cds pep primary_assembly:Fonio_CM05836:9B:28734285:28735932:-1 gene:Dexi9B01G0026520 transcript:Dexi9B01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEDKPERAPVAGAGSRAVPVALLVIVLCAFSFYLGGVYSTGRSLLDSIQPAPTLLTLGTTTVTSHRSSSDKQPQSTAVATVAFPECPADFQDYTPCTDPKRWRRYGNYRLSFMERHCPPPPERQECLVPPPKGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLAKDGDRFRFPGGGTMFPNGVGEYADRMQSLIPGMRDGTVRTALDTGCGVASWGGDLLGRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGLYLLEIHRILRPGGFWVLSGPPINYENRWHGWNTTAQAQKADLDRLKKMLGAMCFKLYNMKGDIAVWQKSPDAGACYDKLTPITTPAKCDDSVDPDAAWYVPMRSCVTAPSARYKKLGLNATPKWPQRLTVAPERINVVPGSSAGAFKQDDARWKVRAKHYKALLPALGTDKIRNVMDMNTVYGGFAASLIKDPVWVMNVVSSYGPNSLGVVYDRGLIGV >Dexi5A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:5A:7812197:7814270:1 gene:Dexi5A01G0010270 transcript:Dexi5A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPAELELPGFVPLQLSQGQILAPFLGTSLFVVVAVWLISGRCRGLSKTDRLLMCWWAFTGLTHIIIEGTFVFAPSFFKKENPNYFDEVWKEYSKGDSRYVARDVATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFAVCLGQLYGCLVYFITAYLDGFNFWISPFYFWAYFIGANSFWVWIPTLIAMRSWKRICSVFRVENVKKTK >Dexi9A01G0037640.1:cds pep primary_assembly:Fonio_CM05836:9A:41953286:41957230:1 gene:Dexi9A01G0037640 transcript:Dexi9A01G0037640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVLVAHHLFLPRVVAGSLPATTPTFVHRRRLGRLDIGSTSILHRPTAAPLQSISPLLPLSWPLSTGPLSKTDEEEAESYDDLSKKDVESLSGRSLSSSNVTGSGLSSAGGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFVLLCAGCTTAQLNPSSDHVLQTPIQGWMMAIIMALLSGFAGVYTEVCLIAIIKKRPSRNINVQNFWLYIFGVVFNLVAICVQDYDAVMNKGFFHGYSFITVLMILNHAMSGIAVSMVMKYADNIVKVYATSFQIF >Dexi4B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:4B:4907779:4911722:-1 gene:Dexi4B01G0007080 transcript:Dexi4B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKRRLAKASLAYNCESKNCPHFKKLFPEYVEKYNQQQQLENTATEPEPRENPAAPTPSAVQQAPVVANKAQPVAEARREKNQKKAVPFWMVLIMFSVFGAVMALPLMQL >Dexi3B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:3B:3898161:3898379:-1 gene:Dexi3B01G0005710 transcript:Dexi3B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRCEQELIQELYRMGFAVAMAAASSSSSISRATELPTVEGEAKLDGLRSKRSPRSLVYSSIFCDSRVVGG >Dexi7A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:7A:4222312:4224360:-1 gene:Dexi7A01G0001580 transcript:Dexi7A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGVISQGQALLRSVFDQFRQGRNARLEELLALGERIGYVNTGLTEEKIMNSLKQWKYAIIASEDPPTGVEPCCICQVSFMLC >Dexi7A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:7A:5081673:5086987:-1 gene:Dexi7A01G0001920 transcript:Dexi7A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSFSRSGTHQRRRQGARTPFTTPENSTSFVAPRGARRRGLDDMSWQSSVSWQPDTSWAQPHGLGAVVGPWAPAGSDSASSRRGPALFRRTARDYYLSTRSRRSYYRDRSSVAQQSRAGGIGKRLELQSVVTDASRAIVMAPNTSFASNDDIIVSTATGRASGDKAMVKYSGTYNNGMSRDVSFSRDNHDKLYVPPRRDAPSFGFDISVVNSDKAQMMKDVKQITCWRIIGERSALRIRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQDVMGEKMAGFVHHVFTFIFGYVVGFIKSWKIALAVFAVTPLMMSCGIAYKAIYGGLTAKDEASYQRAGSVAQQAISSIRTVLSFVMEDRLADKYAEWLNKAAPIGIKLGFAKGAGMGVIYLVTYSQWALALWYGSQLVARTEIKGGDAIACFFGVMVGGRHGTVAAGRVFEIIDRVPVIDAYDGSGRVLSAVRGRIEFKDVEFTYPSRPDALILYNLNLIIPAAKMLALVGVSGGGKSTMFALIERFYDPTRGTITLDGQDLPSLNLRWLRSQIGLLGQEPILFATSIIDNVGDRGTLLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIDRLSAGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHADLVAQGGPYAALVKLASDSVSSDNSEPSKPATAGTDKYNSFVDESGYDMSMVSKSRYGVETIDEEASQSDAGTKKDAKFKVSEIWRLQRPEGLLLILGFLMGINAGAVFSVFPLLLGQAVEVYFDTDTGKMKRQVGYLAVAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGVLVTRLARDTVAFRSMFGDRYAVLLMAVGSAGVGLSICFALDWRLTLVAMGCTPLTLGASYLNLLINVGPKTDDGAYARASSIAAGAVSNVRTVAALCAKGNVVGTFDRALDAPVSKARRTSQVMGIILGLSQGAMYGAYTVTLWAGALFIKRDESKFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSVLKRRPRISEEGTKRRTIKDGKPIDVELKNVTFAYPSRPDVTVLDGFSVRVKAGSTIAVVGPSGSGKSTVVWLVQRFYVPVDGKVMVGGIDVRELDVKWLRGECAMVGQEPALFTGSIRENIGFGNPKASWAEIEEAAKEANIHKFIAELPQSYDTQVGESGVQLSGGQKQRIAIARAIVKQSRILLLDEASSALDLESEKHVKEALRKVSRRATTIMVAHRLSTVRDADRIAVVSSGKVVEFGSHDDLLVNHPAGLYAAMVKAEVEAQAFA >Dexi9A01G0026390.1:cds pep primary_assembly:Fonio_CM05836:9A:29315180:29316082:1 gene:Dexi9A01G0026390 transcript:Dexi9A01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMLLGVCAMLMAIAAVANAADGQAASVVVGLARCADCTRKNMKAATAFKGLHVAIKCKNTNGEYETKAVSELQSSGAFSIPLAADLHGADCHAQLHSAANAPCPGQEPSKIAPMSGGTFVAIPSKTHFHPSAECASAFLCAPIKKHFLDHFHHKKPVPVPEYKPTPEYHPTPEYHPPTPEYHPPTPEYHSPVPVYGQPKPTPIYHPPAQH >Dexi7A01G0012100.1:cds pep primary_assembly:Fonio_CM05836:7A:22400402:22400956:1 gene:Dexi7A01G0012100 transcript:Dexi7A01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWCVVAIAVSVFSGPPKSRDPDYMVAITGVTGLDDLASATGDEPSLSPVFNLTVRIDNSGNTLHRACVYGLSTAVVSYGDAFLGKGSVPQFCAEKEEVQERAATAWGQGVVAPEFLRERLAGEMKRGEAAVDVQVTTPADCYKCSDNVLVCSKVKIGGDPAPCRREDIYRVQRQPLDPMITH >DexiUA01G0023890.1:cds pep primary_assembly:Fonio_CM05836:UA:48820654:48822267:1 gene:DexiUA01G0023890 transcript:DexiUA01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACRAAAISSSSFSPLAADRQKLFSSEEKVLLNKRVPDLDAASSSKWLPLHTLAASGDFYLLDSLLKHSVDINALDKDGLPAIHKAILSKKAAIINYLLRNSANPFIQDKVRNLV >Dexi9B01G0043220.1:cds pep primary_assembly:Fonio_CM05836:9B:43248970:43251093:1 gene:Dexi9B01G0043220 transcript:Dexi9B01G0043220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACARAAGEGLPLVRAPTGRALARSSAGAVNRIYEIKGRIQTRPLAICVADVSDISRFALVDHLPHGLLDSLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPDQDFIRSIARGAGSALALTSANLSGEPSSVSIKDFEDLWPHCSFVFDGGILPSGRAGSTIVDLVTPGIFKILRDGSSRDETTAVLGKFGFVEAS >Dexi7B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:7B:15136498:15138143:-1 gene:Dexi7B01G0007210 transcript:Dexi7B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWCPSSETVDDQNAKTVKYQSSKIAKDVTELIGKTPLVYLNKVVAGCEARVAAKLEIMAPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYKLIVAMPASVSTERRAVLRAFGAEVVLTDPSLAMDAVVRKAEEIAARTPGSYVLQQFANPANPRVHYETTGPEIWTSTAGKVDVLVAGIGTGGTITGAGRYLKEKNPHIKIYGVEPSESAVLSGGKPGPHKIQGLGAGFVPSVLDVELLDEVFQVTNDEAAEMAKQIAMNEGLLVGISSGAATVAAVRVARRTENRGKLIVVIFASYGERYLSSFMYESLKNEAESMVFEP >Dexi1A01G0023070.1:cds pep primary_assembly:Fonio_CM05836:1A:29680610:29684323:1 gene:Dexi1A01G0023070 transcript:Dexi1A01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTDAAPGGGDDLATMREQCRTLEETISSRREAQLELIGSLQHLVPDLGPSLDQSLRLIAAFNGRPFVPTIYPNSSAHAQHQHPTLKPHHRRNLPDQARTTRRKTSPGSSPPSAAAGGAGAGGGIDVVRTMVAVCLLELVPFSEIDAAALARRLQAETSSVSKAERAALADLAAELGGSTPAAVVLALRRIAEDSGAVQIEEAFIGGKQMTMVWAIDRSKLLKELPESASVPQVQPPPTPQVAPPETDANSAIIPRPPTLQQPDMWGHPMPPMFPRPRGMAMPRIPPGLIPLQRPFMAPGGVIPMGGPGPSPTQLKQRTEEDDLKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACEKVHFRRIIAPHTDTNLGDCSFLDTCRHTKLPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDVEVQPPSPPRTSAPMDVEQSSSQKAAAPDGGERPA >Dexi2B01G0020860.1:cds pep primary_assembly:Fonio_CM05836:2B:30840739:30841538:-1 gene:Dexi2B01G0020860 transcript:Dexi2B01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATPGSKKRKNISSAHSRSPPGEAAAAKQPVYFVVEHGVEEPTHSILELSAGAAARPVHHGNRAMSFAAVDTRQGPRIVGLGLDRTPIYDPKTSTELQGPRLVDSMVRPILIPHGSKLYALSRSPSVLPGRDFMPCFFVFDLNHRYSPGWHDLPPPPVFPCRLNPLEYRDPPEVRVASYAVVGSHILLSVQQDKGTCAFDMDCKKWEMVDGKNLPFIGEAVPLGGHRFVARSRAVGGAAAVYHMEVSDQEQESLPGGRS >Dexi7A01G0023010.1:cds pep primary_assembly:Fonio_CM05836:7A:31147323:31151038:-1 gene:Dexi7A01G0023010 transcript:Dexi7A01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMAAILADLERGDTDARLPKTKLVCTLGPASRAVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIKLTKGQEITVTTDYDIKGDESMISMSYKKLPVDVKPGNVILCADGTISLTVLSCDPDAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDHEAVFKAMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLIPLLAEGSAKATDSESTEVILEAALKSAVQKQLCKPGDAVVALHRIGVASVIKICIVK >Dexi1A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:1A:8069178:8070458:-1 gene:Dexi1A01G0009710 transcript:Dexi1A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLQLQPQGTAALLLRPPRLRRGRNHLRARARVRGGGVRPLTVNIDDLRLATSDHAVVNGRTTAAAVAPEGNKTAAEKLRLVADAAADRAEMHDIIGRQRDNWSHLLLHSTNSLTLAASAMAALAPTTATMPALKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERHLRASLAGVVVTDADVQDAMDRVLALDAAYPLPLFPGMLEKFPDTLVPTRWWPKRQHLKNRSPSPAAQPKVINGAARRVAAGNGWTPEVEDEMRGIVRVLKAKDEQEYVKVGNLVLTVNRILAMAGPALAGTAAVAAAFAGGEASWATGAAVLCGALAAAVNTVEHGGQMGMLFELCRNVSGFYRKVQEDIEACLEEVDVERRENGEVFEMKVALLLGRSSSELRQFKEMASPEVRDEDIKNFAGKLF >Dexi7B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:7B:16412602:16413832:1 gene:Dexi7B01G0008640 transcript:Dexi7B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIPVVSKIFCSGTPTMLMIRRRPIVVNGGGFVVTDLSHNVVFVVDGCGILGSKEELMVKDGEGEPILFISKKMGRKEHIGGKDFYHVEVQSGYDQAFIIGVMAILDNIYGESTRC >Dexi9A01G0036790.1:cds pep primary_assembly:Fonio_CM05836:9A:41122564:41124179:-1 gene:Dexi9A01G0036790 transcript:Dexi9A01G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMCFSKKEKKDEVGYNGKVTDGVRIEKCKEDVSSGVQMAHKNKLVFLEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANIAPIRAYYYSKDEKLVVYEYIATGSFSALLHGIKGVCEKTPLDWNTRMKIILGTAHGIEHIHTEGGSKLAHGNIKSTNVLLDQGYNPYVSDYGLSALMSLPINTSRVVAGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDIQLMKYPNIEDELVQMLHIAMACTSWSPDRRPTMADVIRMMEELRESASESRTPSNGNPRESNPPSA >Dexi9A01G0028840.1:cds pep primary_assembly:Fonio_CM05836:9A:33572206:33572976:-1 gene:Dexi9A01G0028840 transcript:Dexi9A01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELELCVARIRDLRAELEFERRMRRKAEALSEALAAELADERRRRETTEAACRALREDTAAAREEAERAMEGVEEERRMLRVAELWREERVQMKLADARAAVEEKLREIDDAVAELHAIATAASDNHKSSCCSSSSPNGKASPTSQRGQQSPKSPSRSQQGHRREVAGGGENPHIRRGIKGFVEFPKAVRVRTREERVDLVSNLECQRAQLRVLMRHRSPAAGMGLVGASENLVV >Dexi3B01G0034250.1:cds pep primary_assembly:Fonio_CM05836:3B:37002028:37002642:-1 gene:Dexi3B01G0034250 transcript:Dexi3B01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGAELAAAGDVEEAAMPLVPGSGARGASATTGATRDVHVLSSAFLFVFLAYHAAQNLQSTVNTDENLGSISLGVLYTSFTAFSAVGSAVVRWMGSKRALVVGTSGYLLFIAANLAPSWYARATGHSPD >Dexi9A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:9A:5905982:5909820:1 gene:Dexi9A01G0009730 transcript:Dexi9A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVAAAAAPGAAGVRVLGGGVVARVPAPRPWALRGRRRLSVRMTVATTEAASAAAADVATSVDKALEARNSKTVVAVILGGGAGTRLFPLTKSRAKPAVSIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSKSYDCTNSVAFGNGFVEDARNKDIEDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVLSFSEKPKGDDLKAMVRWRFPTANDFGSEIIPASAKEISVKAYLFNDYWEDIGTIKSFFEANLALAEEPPKFSFYDADKPMYTSRRNLPPSMVNNSKITDSIISHGCFLDNCRIEHSVVGIRSRIGPNVHLKDTVMLGADYYETDAERGELLAQGKVPTGIGENTTIQKCIIDKNARIGKNVTISNSEGVEEADRTSEGFYIRSGITIVLKNSIIADGTVI >Dexi3B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:3B:7791001:7796493:1 gene:Dexi3B01G0011080 transcript:Dexi3B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGDSSSSELWDREICAVADSPFSYTASEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSTMRRKRPIPFTQKFPNADPLALNLLERMLAFEPKDRPSAEEALADPYFKNIANVDREPSAQTVTKLEFEFERRRVTKDDIRELIYREILEYHPNMLREFLEGTESSGFMYPRNTMLRDLQEFHLRGNITHCHGDAARPSKAAGSVLQYNPCPSSAPMQYEQRRAARHPAMAPNNIPSGSSYPRRNQTCKSETGDAERMDVNQAGQPKPYAANKLPATVDGRGGHW >Dexi4B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:4B:19216587:19219284:1 gene:Dexi4B01G0017110 transcript:Dexi4B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYYSHFPLSNPPSTAASFSTTTTSSSYTAAASSSFLHHPPPPSPPIREALPLLSSLTPSSSSSATNHHHLDDDDVFVRDHNKLIATSCSGEQQDAAAAGEVTVALHIGLPSPSPSDSAAGGGREPAEDGSSGGGLQLHDHDHDHEGEGGGEEEEGEEAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCASGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDCFDDLEDDDRDPSSEVDHATGNGTTTNATATNSSGGNNTNVVSAATAVGIHHHWKPTPPSASSRGSGGGGDRRL >Dexi4B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:4B:4471319:4471597:1 gene:Dexi4B01G0006360 transcript:Dexi4B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRSSKLVARPKKAPRLEKVFTCPFCNHPETVRCVIDLELEIAEASCLVCEEAYCTVPDNLTEPIDVYHEWIDECERANQGAAPPPPP >Dexi1B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:1B:23637494:23638375:-1 gene:Dexi1B01G0017290 transcript:Dexi1B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIIIRLHVKSDKCQAKAMKVSAAVKGVESVTLAGGDKSLLLVIGTAVDSNKLIKKLKKKAGEAEIVELRTHDTFEAAALPLPGTKQEMAALARSSPYNGHHQWQQHNNSYYAVAPTSPYAHHYYPSPVGGYGYGYGGYGGARVSSYSLAAAHSHPGNYSPLVERHDYQPMDKSKNSSASSKQRQSSSSVPRRGGGGDSCVIL >Dexi3A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:3A:7391440:7392414:-1 gene:Dexi3A01G0010370 transcript:Dexi3A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVAFATVAHGSHLKVGFYKHSCPQAEHIVRNAVRRALAREPGLGAGLIRMHFHDCFVRGCDGSILINSTPGNKAEKDSVANNPSMRGFDVIDEAKAALEAHCPRTVSCADVVAFAARDGAYLAGGIGYDVPSGRRDGRVSISDEVLKNNVPSPTNHLRELVESFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQLGKTDPSIEPAYAEHLKQRCPWPSSNGQMDPTVVPLDPVTPASFDNQYYKNVLAHEVVLTSDQTLLASADTAGIVDFYAAAEDAWKAKFAEAMVKMGTVEVLTGDEGEIREKCFVVNSY >Dexi6B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:6B:3292420:3292657:1 gene:Dexi6B01G0004050 transcript:Dexi6B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKNLTNLTMLLVYGCNLIGDFPSSVVWMLELEELILRNNSLTGSIHPGVWKLKKLQVLDMSMNNLTGDVVP >Dexi4A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:4A:5606033:5606317:1 gene:Dexi4A01G0007510 transcript:Dexi4A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAERRKAAPRLVPRRGQVLKRVLASIFACRLLFRRNRAPRGRRGNRVPAGGGHVEPEPDVAARAAATAHHHDNTATNYPPLAERDDATY >Dexi6A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:6A:456416:457880:-1 gene:Dexi6A01G0000690 transcript:Dexi6A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRHVMDSAKQATKSGNLNELSMVLLNNILSLPLGIILVLGFNEVGYLLETLVGSLNKIPLSVAGIVLFNVRTNMQNSLSILFGMLRLLAGVFFARAKLRDNSPS >Dexi3B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:3B:7165537:7171777:-1 gene:Dexi3B01G0010280 transcript:Dexi3B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRAGGGGAPAAASEASGVAGGAGSPPPPPPPHPPPPPAAADLTELVKAQIAGHPRYPSLLSAYIECRKLLIDLASHCTQVGAPPEVATLLEEIGRERCAAAAAGGEVGMDPELDEFMEAYCGVLERYKEELSRPFDEAASFLSSVRTQLTTLCGGASLSGSSEDEPCSGDTDATDLGQEHSSRLADRELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGSSSGTTLYFDTGTIGP >DexiUA01G0006170.1:cds pep primary_assembly:Fonio_CM05836:UA:11640013:11645950:1 gene:DexiUA01G0006170 transcript:DexiUA01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMSLRSLAMAVADAALPPSHKLLPAAFLSSSSTRAAPLLLLRATRRLPLAPLVASSDAVEAGVEWADEEEAAAAEAFDEEVGEAEEEELPPPPSAAQVTRSYQKLTHRTRGHREGKEVEKLAMEYGYPANWCGNNKEKRPPLKRGQLKMQIAKTLMGSLMVPAGAANRERSFGR >Dexi1B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:1B:26643002:26645803:1 gene:Dexi1B01G0020530 transcript:Dexi1B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRLRPAAAMVAECRLRLPGGFRVESTLIAPPHRMPNGSVTTGVLLPRRAQTAQRLEASAPAPAVTAPAEPSFRTNASRNGSSQTQLQLRRSMEPNLHAGLVNSAARRSRPASCR >Dexi4A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:4A:434300:435061:-1 gene:Dexi4A01G0000690 transcript:Dexi4A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYGTMVDLLGRAGKLDEAWSFIKDMPMEPGISVYGAMLGACKLHKNVELAEESAQRIFELEPEEGVYHVLLANIYANASKWKDVARVRTAMEKKGLQKTPGWSIIQLKSEVHTFYSGSTNHQQAKEIYARLSKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIATRNNHERYSTVSPLQGCDFT >Dexi6B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:6B:17399291:17402824:-1 gene:Dexi6B01G0010730 transcript:Dexi6B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFRDSFRSSDPAAITTAPPLPSPIPDLGVALSAADLRATAYELLVAASRATGAKPLTYIPQSAAATSTADGKLRGAFGLGSSASSNGGSGTAAVLELVRARMGITEQADARIRRALLRVAAGQKMEEADSKQSSGNDELKQFTVGLTELTPDTAEVLKEADKLEKDLIHIAIEDSMDIDDRGKSLLNEMPPYEAGTVLSNLLKRWTWNPKDNSSDSFAPSSVEMLHIIEETLDAFFHFSIPMCSTLFADLEAGLDKCLHHYVSRVKSGCGKYTLRTVLAYNNLREFISY >Dexi2B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:2B:8759074:8761965:1 gene:Dexi2B01G0008560 transcript:Dexi2B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGVCGAQSLPEELVSTHAGGRHRSSHGLRSRAMASAGIPEGMMTGQGGAGAEGHGGAAVHDDNQYYYYLKSRKVGERWPWMVWVLCCLVFSVWIFSSMSSQAVEMRRDELTSMCEERARMLEDKVKVSMNHLQALAMVFSTLDPSKYPSGKEHITFAIKMAQRTAFEGLAYAGRLSHHDKHELFEGKKKEWSIMTTRHAKMMNSSKPEECACGPITFAEDALMYAISLNNLSGTTEDRENVLRARQSAGKVVLTAPFKLLNHGGIGVLLTYAAYKPELRPNATEQERRQSTVGYMGGIFDIEALADELLQKLAGKQSIMVNVYDSTNRSLLSMYGSNDHTEASGMCHISILNFGDPSRKHEMHCRFKQRPPWPWMAIASSIATIVVSLHVGYTVFHITGNKQIAQVKYGCKESDMKMPLKDGNESIGHDGELMGAGVIPAIDKRLLNKKEETTTVIQNGTVMHYLLPAPKARITTVRLGLWIASLSVIVLVLGTAVCLLLWCKCNRQKRLQQKELELLGGMGPRGFELHELESATSNFADDNKLGRGGFGPVYRGYLKDLDLDVAIKVLSEKQSSQEESEQGLREFKAEVKVMTQLRHRNIVKLVGWCDSNKRLLLVYELMTQGSLDKHLYNQERILTWQQRFRIVLDLGSGLLYLHRDCEKCIVHGDIKPANIMLDGSHNAKLGDFGLAKLVEHGGEPKTTQVVAGTLGYIDPKFINNRWPRTESDVYSFGVVLLEIACGKRPAWRQPNGASSLLAWVHDLYNQGMTLDAADQRLNGEFDPQQMERVIVTGLWCAHQDPIWRPSIVEAMDVLRSVGAELPVLAPVHDLPHIRCMEEQAFADLTAEDRPVRAFTQSTYFTSKDTVYLFAEE >Dexi6B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:6B:23662879:23667703:1 gene:Dexi6B01G0016380 transcript:Dexi6B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGVSRRLLQFAVRDAVKAVQPTSSSSEPASKRLRSVVSTTSSENMRDRRSERSQDNPSGRRSERSQDNLSDRRSERSQDNPSDRRSARSQDNPSERRSERTRPVLQVQGAALALRASAEAAADSTKVRSTGSVFKRLGQGKVVKQPSHSREEKRDYEDFEPVMTIDEHDSDRYVNNEESEEESGELTMTDRVAEMNVDSSSSEDDMEQDEGITRYKASVSPEGSFSSVEHKKEISAKCSGELETEAIRHSSVVDKGPHVPSSTKNTSKTVAISVDVTTVEPPSYETPKDVHVVEKPYTPMNSNATNVATKVHFAATKDSLSRHFNQCGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMTRLLKVVRRSSHEAAHFYGWPGSGRSSLYGRHGRMAYPRAVLPGGSFRGRAPMKAGARSLQWKREPSGTDSGTKTDMSVPLSSEQVLPPAT >Dexi6B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:6B:24695257:24696848:1 gene:Dexi6B01G0017530 transcript:Dexi6B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHPSEARSFTTGGGAPRARRVLVFPLPFQGHIDPMMHLAGALHARAGLAVTVLHTRFNAMDPTRHPEFRFVEVPDGVPADVAAAGRIIDVILAMNAAMEAAPAVVRAALALSAMIAEEEEDDNEAAPPRAACLVIDAHLLAVQRVAEELKLPTIVLRTVSAACLRCFLAYPMLHDKGYLPPQESQLHMPVPELQPLRVKDLYQSKISSHEMLRVVIARITETVRNSSGVVINTSEALEPAELQKLRGELSHLPLLLAAGPLHKLSSRSTGSSLLDQDYSCIGWLDTQPPGSVLYVSFGSLAAMDSGEFIEVAWGLANSGHPFLWVVRPNLVQHADIVQLPDGFEEAVKGRGMVIRWAPQQEVLAHAAVGGFWTHCGWNSTLEAVGEGVPMICRPDAVDQMMNARYVEEVWGVGFELEGELGRREVEGAVRKLMGRGSEGAEMRERAQDLRRKVAECLMERSGSSHTAIDELLIILKRR >Dexi1A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:1A:183046:186232:-1 gene:Dexi1A01G0000280 transcript:Dexi1A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVSERSLIIESDDEEEEQHPIPRRRRGGGGGGSESDDSGGSDSSSSCATPRAPPTASSYTQQWPQSYRQSIDILSSVQSPNLSFITNSFRGNSFITNSFRGKTPEIISNLVKPLLRPTTTTTDEQHHQEETVQKSSHYLLPASRKPSLQQIPEDQNKPRPAVAAHHETYQTKCSYTQAVVNGINVLCGVGILSTPYAIKQGGWLGLVILCLFALLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTAGRIAISIILYVELYACCIEYLILESDNLSKLFPNAHLTIGSFTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILGVICLFWVGAVDNVGFENKGTVLNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKDRNQFPSILFTCIGFSTILFAGAAVMGYKMFGEATQSQFTLNLPENLVVSKVAVWTTVSQKALILCLEELLPPNQQKYSNIIMVRSALVVSTLLIALSVPFFGLVMALVGSLLTMLVVRDLPISYLARVFWQSLRERRLGTRIQLRHCFDSQVAACSFIIVVGVCCACVGTYSSLSKIIQNYT >Dexi5A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:5A:19541070:19549340:-1 gene:Dexi5A01G0016860 transcript:Dexi5A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKDIGLAAGLNILGAVLFLVAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLVGFIAHLTMAYVFTFWTCYVLLREYEIVAQMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLSKLVEEKTKMQNWLDYYQLKFERNASKRPTTKTGFLGCFGAKVDAIDYYKSEIERIENEEAMKKDTLERAREPGFDLKGYLMNAYVHPVFKGDDDDEKFSIADEPEAEQVLVATKRQSRRNTPVPSKYNGSDSPSLPEIVNDQRL >Dexi6A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:6A:26447468:26447839:-1 gene:Dexi6A01G0018760 transcript:Dexi6A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLLFSEPCSILYTDNLPHLVAAADRYALDRLKLICAQRLWDKVSVDNVAAILACAEMYSCSELKSKCIDFFADEKNFKKSVLTEGFLELGQQFPSIIVELRERVGT >Dexi1A01G0027820.1:cds pep primary_assembly:Fonio_CM05836:1A:33522223:33523086:-1 gene:Dexi1A01G0027820 transcript:Dexi1A01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNSSQPSHEPSTAGSKLAENTPYGTPRRTPNRRNATQIGGCRSSSPVACAAARSAASPAAVAASAAAGTLRAARHSTSSDSRNASSPSRFRRSAHSSHSSSLTDASTTSREPSRNDASDGIHAGTRAPAASPRYPISAGTPAKEKAATAGSFHSTLRSGSPPGRSASTSARRRSSSSAPPKTSDSSTTPASTRFWRKASRTFPSSAPRSSLFPMSPRSPSPSSVAFEPPGLEAERQMRRGGARRRSEERGRVGEKRREEKKGRSGCGGGWE >Dexi9A01G0030220.1:cds pep primary_assembly:Fonio_CM05836:9A:35138338:35142778:1 gene:Dexi9A01G0030220 transcript:Dexi9A01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGAGAAVRGDGTRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQLLSKRRKKAMFDALEKVKSELMTMGFISLLLTVTGRYVSRICIPEAAANIMLPCVQSGHSEAKEPKGQGRRHLSEDPTNLFSCPKVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWHNLFWFSTIPLVVILAVGTKLQAIIAMMAVEITDRHTVIQGMPVVKLSDDHFWLRKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLWSCFHEKFGLIMARVCLGAIVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAVVKKKHNKESLNDPSDTPSTDTATGGGTTEETEWYRPHDVPVRHLHRYKTIAHVGGVRRSPLSDSDYSDTEDAGPLSSSQTRRLIPPAKQRSLDADAGRAEVRVDVVDAGTAAAARRDVLQDSFSFQRLSPRHVQDK >Dexi7A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:7A:28897778:28899044:1 gene:Dexi7A01G0019930 transcript:Dexi7A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERAKGTVKWFSGSKGYGFISPDDGSEDLFVHQSSIKADGYPNIKDGEAVEYTVGADNGGRAKALDVTAPGGGNLAGGERPDSGFGGGRGGGGYGGGGGDRSYGGGGGYGDRGYGGGGGGYGGGGGGGGGRGCYKCGEEGHISRDCTQGGGGYGGGGGGYGGGGGGSRACYKDCTQGGGGYGGGGGGYGGGGGGSRACYKCGEEGHISRDCTQGGGGGGYGGVGRRRRLMEMW >DexiUA01G0015970.1:cds pep primary_assembly:Fonio_CM05836:UA:34146363:34148600:1 gene:DexiUA01G0015970 transcript:DexiUA01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIEQSITPLAAKLGQQKYVIAIRDGFTAALPFMIIGSFMLVFIFPPFSADTTNSFARGWLDFSQTYREQLMLPFNLSMGVMTFFISVGIGASLGRQFNLDPVMSGLLAFMAFLLVAAPYADGKISTQYLSGQGIFTALITAIYSTRVYAWLKQNNVTIRLPKEVPTGVARSFEILIPVMVVIGTLHPLNLFIEAQTGMIIPQAIMHLLEPLVSASDSLPAILLSVLLCQIFWFAGIHGSLIVTGIMNPFWMANLSANQAALAAGAALPHVYLQGFWDHYLLIGGVGSTLPLAFLLLRSRVTHLRTIGKMGVVPSFFNINEPILFGAPIIMNPMLFIPFVFVPLVNACLAYGATKMGWLAQVVSLTPWTTPAPIGASPVVMCLVCMVMSALMYLPFLRAYERTLMKNEEQKAQATTEGTREGETTWDYWFAREPNRFHNGVGPQHTSTFYQHWKTDIQLLKQLNHNSFRTSISWARLIPDGIGEVNPEAVDFYHQVIDELIEQGITPFITLFHFDMPMAMQEIGGWENRNVVDAYARYAQICFDLFGDRVLHWFTFNEPIVPVEGGYLYDFHYPNVVDFRRAATVAYHTVLAHAQAVRAYRAGHYAGEIGIVLNLTPSYPRSQNPADVKAAHIADLMFNRSFLDPVLRGEYPADLVALLKSYDQLPACKPEDSFLIAEGKIDLLGINYYQPLR >Dexi7A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:7A:29840973:29843998:-1 gene:Dexi7A01G0021120 transcript:Dexi7A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLAAAEKEVLVEVVRFTQKNGLKGSDGGWKDFLARNDKKFGDSVSDPRKRSRDVLLAFLHTFSKDFQKYFGKLVKRQKERSDVQQHMIDFPDDVSPEQKLVRLTAEHSEYRKNYCFPSYQEGWKVLRIGEVSSLVSSSAMLAIDCEMVLCHDGTEALVRVCVVDNNLKVKLDTLVKPSKAITDYRTHITGVSKKDLEGVTSSLVDVQKSLKRILSKGKNLIGHSLYRDLCALKIDYSQVIDTAYIFKYANLPTTASASLNSLCKSVLGYSVREEGAPHNCLKDAEAAMNLVLAKLKHGFNDPIEVTVTESDASKLFAHRIPVHLPCQELCKVFSGNPRIDDKIDSRIRGEFYSTCISFNDVDEVEKAFEALDGQMTKDSGGRLQKQVLLKLNNGDVVSFYVRKMVYGSRPNQFEVPKKRPQLTEDAEPKHADVDQQNKKRKSKKHVN >Dexi7B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:7B:24227974:24231372:-1 gene:Dexi7B01G0018470 transcript:Dexi7B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFASLSPAADHHRPSSLLLPFCRAAPLSAVGEADAQHHHNQQQQHAMSGRWAARPAPFTAAQYEELEHQALIYKYLVAGVQVPPDLLLPIRRGFVYHQPALGYGPYFGKKVDPEPGRCRRTDGKKWRCAKEAAPDSKYCERHMHRGRSRSRKPVEPQLVTNAQHQPSTGLAAGFQSHSMYPAVLTGNGGRAGGSGGGGVATGTFGLGSTAQLHMDSAAAYATAAGGGGSKDLRYSAYGVRSLSDEHSQLMPVTMESSMDNAWRLLPSQTSTFQATSYPLFGTLSGLDESTIASLPKTQREPLSFFGSDYVTAKQESQTLRPFFDEWPKARDSWPELGDDNSSLASFSATQLSISIPMATSDFSNTSSRSPSGIPSR >Dexi3A01G0026210.1:cds pep primary_assembly:Fonio_CM05836:3A:22302553:22303127:-1 gene:Dexi3A01G0026210 transcript:Dexi3A01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQYQFEGDQAAITVPVTIAGGAHHGAFVDTSFVEPRRERYPALAAGDGVPHDGLEPRAVDEEGEAVAASEEAQVVSAVAPRQGEARGGDAVGPAQRGHELDVAVLVGEEAEEGRRPVVGAEAAEEDGVGEEVAPAPADEGGAGERGGERREAEKDLPVEVVVALAPAPAPARWYPRSYGRLLCGA >Dexi5B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:5B:1563796:1571019:-1 gene:Dexi5B01G0002420 transcript:Dexi5B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGNPDLYGGGSGGTTAGTGAVAGKKGAAAAAAAGEEEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKQFSSPSADGHSFSEHRSTPDARSNPENISRSSSFSSKTRQSSVDLALDTKPSTVPHDNGHVRSSNTKLHKPSNLPLHIQHNSASVDDGGDDSKQGSLPGDVVSRSPNVIWDEKAAIIMSTSSVCCDDVVMDKAEDAEPTCISSAQKEIDQKGMDTLEQQEALLKKKKAPLVLNHHDEIPGEADDYMDALNTLESETETEADFQTKTQVLSLPSFNAEAPQVGAIDDIVSQHPDSSVADFTDTCQDSNISCMSERAVDFPRLSNADSPDISQLEFPDCTSVGTYKESSVITSVDESKVEGAGGDPYETLEPELQLHAAIPPNERSHVYNQIPESKVEDDLGDFPEISEPEFSASMVIPSNEKSAVANQTLENNVENTSDFTDDVISVPTTSNAVIDEEAFKMAPAAESSPGDIAEDLWVVSESRPQNYPGKKDEDEELGDHGSSEVSDSWSEPLNGPSEGRRATQYVPASNSSACTGASEVSNPWGEPLKEPLEGKLSTEGIPTDTSTSSTGVTPVKLWTNAGLFGLEPSKPPVFGAQGAPREDAPPGFREPQPSHSTEFTELRCSKPIGSALVDVPNGNTSITGSFVGKLVGIRPGSTNLNGAGANQSAARISDKVHSHTDGPSDFSSSYEQNSMIGKQASISELLESEESAENGTEMYSTNMNMVSASSFSSIAQRFLANTLQRRTSAKYTDLPMSSERPNADASGVQKTDGVKEINNPSSTIDVKSSLLQQIRDKSEQLKFNGHEMSKAVGTDIKSLDEREELLQQIRSKTFNLRRTNASKTDTSSQSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >Dexi7B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:7B:7084693:7088156:1 gene:Dexi7B01G0003140 transcript:Dexi7B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSVGEMCSPLKQMSYSGFSASSTAEEVTSCIDGSSLVAIVTGGSHGIGAETCRVLALRGVHVVMAMRNPSAGGHVKEEIERQVPTAKIDIMELDLSSMKSVRRFANNFEALNLPLNILVNNAGIACVPFLLSEDGIELQFATNYLGHFLLTDLLLKKMKATAEESGLEGRIVIVASASHKFSYREGIRFNKINDKSGYYTRH >Dexi4A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:4A:2727860:2730053:-1 gene:Dexi4A01G0003850 transcript:Dexi4A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPRVGEPVRWLVSRARAMGSSAAGGGGRRDPAENPKVGRLRDLFTGDDAADGWEKSWEFGVTPWDLGKPTPVIEHLVRSGTLPKGRALVPGCGMGYDVVTLASPERFVVGLDISDMAIKKAKKVLLCT >Dexi9A01G0033740.1:cds pep primary_assembly:Fonio_CM05836:9A:38605757:38606086:1 gene:Dexi9A01G0033740 transcript:Dexi9A01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDGRKAALLQWCSPSRWNASGRRLLSAAGNTEGRAHAGMVLVMLAYSGYHVLTKSVLNVGMNQVVFCVYRDLLAFAVLAPVAFLCERFFPALFLSSPLLCCSAPN >Dexi8A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:8A:1005710:1008601:1 gene:Dexi8A01G0001410 transcript:Dexi8A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCKGQDSRLAEEQHGLRKIITRSHNLLTQPNIRRKIATDIRDIKSRVMEVHERRQRYEVNNNQVHLRCLGLRSTNIVELPEEIGNLQELQMLDISNNAVPALPFTIVRLRQQLKSLYIGGRDMMSSLTPSGIRHLTFLEELSSLYIEDSVAKIEEMGCLTGLRVLDVACIILSTVRGELLVQSLNKLQNVQNLSIRLYGVSGPLKGLTGPPSLRSLKVTRYMFPKLPAWINPSHVENLSLLEIEVHELQPEDLKTLGKFPVLRYLHMCLDKRRRSDAPAREFVVCACSFPCLLRCRLEGDYGRVVFQQGAMPSLTSLELHIYSTVWRAWEATGIPDWGFENLSSLLDLYVGLREGDCSGSGSEEARAALRNMAEIHSNHPSISAPGYRS >Dexi9A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:9A:1510335:1510784:-1 gene:Dexi9A01G0002810 transcript:Dexi9A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYPLFRLPCRSSLAAAAAALAPSSPLPVSVSLSASASADGGGELTARERRLQRRERRELRATDWKEEVQDRLIHEPARRRKKPQKRSWREELNLDLLAELGPQWWLVRVSMAPGTDYVDLLTKAIARRFPEVTFKVTLHISLSLIR >Dexi9B01G0011230.1:cds pep primary_assembly:Fonio_CM05836:9B:7142272:7145602:-1 gene:Dexi9B01G0011230 transcript:Dexi9B01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYIPERAVRPSPSYPALIVVALCRHQSSLARPAGRRWIEINNRRRGASVVRRVRVEWNGNIHGKRWVWLGARRGVSALHINDDVIGDVVSVLLCAGCGRDLGFRGAGRARTAKLGLLGRYKNYIASDLVRWPLPAPPALPSPSHGTMRLRRRGGPTAHHLPLLRALSSAPSPVSSDADLHKYADAFAAAVRRLLAGVSPGEKLPPLTVKLLHGRILRLDLLADLSPLLLRALSSTGLHLHAVRFHSVIPNPSHLTFPFALKAASRLPDPLYAAVQLHGRSLKLPCHSNPHVLTSLLNLYAKCGLVHDAHKAFDEMACPSTVSWTALITAYMDAGRVQEAIGVARKAFESGMRPDSFTAVRVLTACARATDLVTGEAVWRAAEQQGIAGSVFVATAALDLYVKCGEMEKARAVFDNMQNKDAVAWGAMVGGYASNGHPREALELFFAMQAEGMTPECYTVVGALSACTRLGALDLGRRAVGMLHWDEVLDNPVLGTALIDMYAKCGSTGEAWTVFQQMRKRDIVVWNAMILGLGMTGHGKVAFALVGQMEKTGMTLNDNTFIGLLCSCTHTGLVKDGRQYFSNMTRLHRISPRIEHYGCMVDLLSRAGLLEEAHNLIKDMPMQANAVVWGALLGGCKIHRNTDLAEHVLRQLIRLEPRNSGNYVMLSNIYSNSGRWEDAAKLRMEMKASGVEKVPASSWVELDGKVHEFRVGDKSHALSDKIYEKLDELGMEMKIMGYKPTTEVVMFDIEDEEKEDTLVHHSEKIAIAFSLLTTKPGKTIRVTKNLRVCSDCHTAIKLMSRITNREIIVRDNNRFHCFRDGHCSCNDYW >Dexi7B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:7B:16491389:16497039:1 gene:Dexi7B01G0008730 transcript:Dexi7B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSQVASRLKVPKQFALCIAGDGQTGAAIFGGGSFQLLASPPVELAKAGAFDLDARRGTGGVMLSTVTPYTTLRSDIYRALRDAFDAATSGIPRAAPVAPFTMCYEASAFGSTRLGPGVASIDLMLDGGRVWQLPGAKSLVEVNEQTLCFAVPRDGVGNGWLAGGHRWGLPDGGPPAAVRSGEGDVWDQWIASRIAHELINWFVRLRDRKKQPRPRGRLGRFTDKVVGATARTIRRRELADEALPLAMAWVGGHGSDLQAVRVEDLGSRMSVPPAPPAPGDTGVPDDPGGTQAAASEPASHPPPPPPPVSAYPAYPRLCSRIPATSHPVSPPWALLCRSLFVRAARRLRSGGDGDPCLPKRSLGGPEPLHHRETISLAQAHQSTCFWVGSAQGRHVPSLCEVRNTLSFSFSLPPLQLVQPGVFISAAADANLARLILLKGCCSLGRVTLLLYPSLASAIAASNLGQEGGDITSDDGGTSAKFQTSHSANLVRPSGPPGERHASMSPKVFRTVNCSTLALLPPDTPRAHPCDIFEPDTSTASGMAQPLDFEQTPTRLAPKSYLQALLSPIPAPLKRDGERPLRRARSLPHLELSALGAFALIILLQPAGIRYVAERASAMATGPSPTRWRGLRQARVGRGALDARHPGRSRHRRHDVPRSRRPSVSPPGASLLSTCEAPTPICFHPSDPFLARATGGRGGWSGARPSGSTSASTNVNGHTTRALGALLYPSVPLWSFVAGAFDAHARAMSLAGVPRVEINDLPSPTRPHTPAPAPPTDICEESDEVVQACNSTRSTIPSFLDLLGEEEHEVSVRRRRARRKRAVDSVSKLRRSSRLASKEEPFYMDATTKATRVKAAKLDLSKASSKMKVALIDAGIMARPPSQRIRPVKLHHLGRVCGLANLSEVEDDEVPTEAN >Dexi2A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:2A:11558480:11560431:1 gene:Dexi2A01G0010400 transcript:Dexi2A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGLRKAQIRSAKPSSRITMLSWQQNPGRASDDGAAGTPLYLGIDFGTSGARCALIDGRGAIHSEGKRAYAPVGDAAGWASSWKEALFQLIGDIPPAHRPSISSIAIDGTSATTLIVDSKTGELLAGPFLYNESFPDALPAVASIAPPNHTVCSASSTLCKLVSWWNTTCGGGGSGEDAVLMHQSDWLLWLLHGKYGVSDYNNALKVGFDPEADAYPSWLISQPYARLLPSVRAPGAPIAAVKDDVCSQYGLAKECVVCTGTTDSIAAFLAAGTTEPGRAVTSLGSTLAIKLVSKVRVDDARFGVYSHRLDDTWLVGGASNVGGAVLRRLFTDDQLVALSRDIDPAAASPLDYYPLLKNGERFPVNDPDMAPRLEPRLESDVEYLHGILESIARVEAKGYNLLRELGATAVEEVFTAGGGAQNEKWTAIRERVLGVPVRKAEQTEAAYGAALLALKGADRRHDIARI >Dexi8A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:8A:27525604:27527835:-1 gene:Dexi8A01G0016230 transcript:Dexi8A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGSGELSGGGSCGGVSGGGGDAGAVSGGGGAGGAVSGGGGAAGAVSGGGAVSVGGSGGVSRRVSGGIFDGARKEPTATMPCPTAVGPSQVN >DexiUA01G0002540.1:cds pep primary_assembly:Fonio_CM05836:UA:5770674:5771147:-1 gene:DexiUA01G0002540 transcript:DexiUA01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAQFRGQARLPRFASPLRYDLRLRPDLAACTFTGAAAVTIAVSAPTRFLVFNAAELEVDRASVRFQDLVPSEVAQFKEDEILVLGFDRELPIGEGVLTMDFTGTLNDQMRGFYRRYADFFH >Dexi3B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:3B:5297992:5299004:1 gene:Dexi3B01G0007440 transcript:Dexi3B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASATGGKVRLVDRCIDAAARDAATVEAWRRQRRSLERLPAPLADALFRRLAERRLLFPSLIEVFKCSVEEVDLSGFLAVDAEWLSYLGSFRYLRVLKLADCKNVDNGAVWPLSGIDTTRAS >Dexi7B01G0021990.1:cds pep primary_assembly:Fonio_CM05836:7B:26929021:26931660:1 gene:Dexi7B01G0021990 transcript:Dexi7B01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLMVLHVLLVAAAVRAPAAHAWGKEGHYMVCKIAESFLTKKASTAVKDLLPGWAGGDLAETCSWADRQRFRYRWSSPLHFADTPGDCKFDYARDCHNTNGEKDMCVVGAINNYTAALQDASSPYDPTESLMFLAHFVGDVHQPLHCGHSDDLGGNTIIVHWYRRKSNLHHVWDVNVVETAMKDFYDNDLSTMIQAIQQNITEEWSSEEKQWETCGSRTKTCADKYAEESSELACKAYDGVEQDSILEDDYFFAALPVVQKRIAQGGVRLAAILNKIFSGNSRLQSS >Dexi3B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:3B:15364254:15365202:-1 gene:Dexi3B01G0020390 transcript:Dexi3B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAGLFIGRKLQSNLRRDHLLPGQSGKQGARSSFDGIKARNNLPIRKIMVAAQV >Dexi7A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:7A:28486144:28487712:-1 gene:Dexi7A01G0019230 transcript:Dexi7A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRSGSHHHHGWGEAARAPLAPVPANPSPNHHPLPPREVGPKARAAAAGSYVSFRPAALGHRESRALRDRLAGELGQVRALLSRIDAWQGRGQPPRGEPPAKLRGAMRKRCGQVLAKLRKDKRSMWFNEPVEVDRMGLHDYHAVINSPMDLGTVKENLAAGRYASHGAFAADVRLTFSNALRYNPVGHEVHTFAGALLASFEKMYKSAVDWFEEECKRLEQPSKPVPAELLPPPIVEPPPPTVEPKMKPRSGNVKMRKPKAREPNKREMSLEEKNMLRLGLESLPEDKMHNVLQIVRKRNANQEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMMNGGIADVTSAAVAEDGSAPANGVAALVDNDDAESENPMKNATMAEQVDEYVDIGDEMPTATYQSMEIEKDAEVASGSVGSGSGSSSSSGSESGSSGDSASGAGNAHSLA >Dexi5B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:5B:20936344:20940720:-1 gene:Dexi5B01G0018580 transcript:Dexi5B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMDGSTWEDMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYDLPRVDPVEIPAIPTAEPVSGPQVLGGLPVAPVVEPIRLPVSRITRCADPVSTQAGGSSESVLHNEEFDDDNDDSRSQSHGSAQSSPGPQNRPEMQEGRRAPVVTFGFTPDSKYESKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKESCLVCDARYCSYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPEELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPDKIISSNLNFTGKLQANASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIRGKIWESALTRVACVLFSLPVPPGNSNGTRDEIPYSARNVPDYLDKKRIQKLLLLGPPGAGTSTIFKQAKYLYGTRFTQEELEGIKLMIQSNMFKYLGILLEGRERFEEEALSRLNCTVSEGEETQHDENKANGSYSCIYSINARLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATFKRKEELHFLPDVAEHFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFTLDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFCIALSDYDQLGPPVNGSGRPLVNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSSCEWFNDFCPVRTHHNNQSLAHQAYYYIAMKFKDLYFAHTNRKLFVWQARARDRQTVDEAFKYIREVLKWEDEKDENYYQEESFYSTTEMSSSPFIRAE >Dexi5B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:5B:9353105:9355688:-1 gene:Dexi5B01G0013140 transcript:Dexi5B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPGDQ >Dexi5B01G0038040.1:cds pep primary_assembly:Fonio_CM05836:5B:37321828:37322202:-1 gene:Dexi5B01G0038040 transcript:Dexi5B01G0038040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQHVAGKLSSMVWDRIGMLWNFKDDVEEMESKMGSLQLALSYADKRSQGTEDEWVQHWLKKYKSVAYAIEDALDELEANAMIWKNSTCTD >Dexi9A01G0046910.1:cds pep primary_assembly:Fonio_CM05836:9A:50112264:50116807:-1 gene:Dexi9A01G0046910 transcript:Dexi9A01G0046910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVAPDPNGGGAAQASQHPPPPPGARAAVGTPPVSGGAAHSASTSGGSAGSPSSRSDHHAPEGSGKGAAHGAAASASTPASESTFLRLNNLDINGDDAPSSQAPTSKKKKRGSRAVGPEKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPNNNIEAPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDIEESKTEIMGLKGRIEKKNAYLQELQDQYVGLQNLIQRNEQLYGSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMRLCGREQHDGAQEPISNGGESSSMSNIYWQQVQHPGRPNNAAVSLPSSPPIPGILKGRVKHEH >Dexi8B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:8B:26371426:26373486:-1 gene:Dexi8B01G0015600 transcript:Dexi8B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFNMKPMLLKLLLVGLGLGIAALTAGDEHHRFVYSGFSNASLTLDGTASITQSGLLELTNGTALSMGHAFFPSPLRLRDSPNSTVQSFASSFVFGIISIYDLSSHGLTLLFAPSKNLSAIPVQYLGLFSGSNNGKTTNHIFAIELDTWQNSEFRDINNNHIGIDINGLTSLQSQPAGFFHDQNGTFKNLTLSSQEAMQVWVEYDREKTQINVTLVPLAMVKPRKPTVSAIQNLSDVLTDAVYIGFSSSTGKINTHHYVLGWSFAMNSPAPSIDIDMLPKLPQLHHPKGRRSWVLEVVLPVATAALFLSLGTIVFLLVRRHLRYDEVQDDWESEFGPHRFSYKDLFHATGGFENINLLGVGGFGRVYKGVLLRSRLKIAVKKVSHDSKQGMKEFIAEIVSLGRLQNRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLHSGKNNSMLSWTQRFQIIKGIASGLLYLHEEWEKVVVHRDIKASNVLLDDEMNGRLGDFGLARLYDHGVEPQTTHVVGTIGYLAPELARSGNATPSTYVFAFGMFVLEVTCGQRPVNHQNTKDSQFILVDWVLDKVQKGSFGETVDARLKGKYNVGEAYLALTIGLICSHPFAKARPTMRQVMQYFDGEIQPPDLSFEVLACMQSEGFDPYIISNPLSTIGSIVSASPEEDEHDVFYVTNDTQIYIP >Dexi5B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:5B:4382447:4382787:1 gene:Dexi5B01G0006560 transcript:Dexi5B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDTKSQRVLYAEASKDVVDFLFSLLALPVATAVELLGKESMVGSVGNVYASVESLDDAYVQPGADKDKLLRTTAMLSPATGTKAASLFCLLGK >Dexi9A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:9A:13693667:13694211:-1 gene:Dexi9A01G0018630 transcript:Dexi9A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIFLSAILNTEGSNPSHKCDKRCQNEHIFGNMYRCKLTGTTHICDKNCNQRILYDTHNSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSSEGCSFKRRRGAQLHPSPFERSYSAVSPIPSQDGTIRASFFVDL >Dexi3B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:3B:8276389:8277341:1 gene:Dexi3B01G0011850 transcript:Dexi3B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMTVVDASVLDCGVCFLPLKPPIFQCDVGHVVCSACGDKLMATGKCYVCGVAMAGGYRRSHAMESVVEFVRGPCPNAPYGCSATPAYHGREEHVLACPHAPCHCPGDACAFAGHTAAMLDHIASAHGDWPCTPVRVRRSPFIARLRALLQVRFSARLRTGFNFVVLTDEGVKKYLFLLNVTRHPFRSAMSVVWIRPRGEAEAVKEIRFVVSYRDFWSNDQLISHEQRTVFRVLSSDLSNGLPDSNGCHQLVVPTTTCVHGDDGGDMEVSVEISIYQTLDQ >Dexi1B01G0021570.1:cds pep primary_assembly:Fonio_CM05836:1B:27440770:27443186:-1 gene:Dexi1B01G0021570 transcript:Dexi1B01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPKFGPFGPVPPPPFDEFAIKETRPRLAGRRAGGYDLVERMEYLYVRIVKARDLKWTAAFDPFAEVKLGGYSCATRHIEKSTSPEWNDVFVFSRERIQASFLDVVVRGKGFAKDDVVGQLRFDLCDAPVRVPPDSALAPQWYHVHNKKGERGGEVMLAVWFGTQADECFPLAVHADAPLAVDAKLAAHIRCKQYTVPRLWYVRVNVIEARDVVFADKARVGEVFVRTKIAAQVYKTKTCVSRLPVPSYGWNEDHLFVAAEPFEDHLVLSVEDRVKVDKEEVIGYVHIPFKEFERRWDTRPIRPRWFNLVRPEGAPKIEKFSAKICVRICLEGGYRVLSEPVHYLSDVRPAARELWHKRPPIGLLELGIHNAFGLTSMRARDGRGSCDAYCVAKYGVKWFRTQTVIDSLAPRFHQQCYWDVHDHCTVLTVAVFHNCQIGDKGGLVTGDPVKDVLLGKVRIRLSTLETGRIYTHAYPLVTLHGGGIKKMGELQLAVRFSSTSTLGLLQTYAQPHLPPMHYHHPLSIVHQETLRREAVSLIAHRLGRMDPPLRRECVEHLCEAYSHRWSMRRSKAHFFRLMAALAPMFAALRWFVDVCHWRNPATTVAVHVIYAMLVCCPNLIVPTFFMYKFVVGLWNYRCRPRHPWHVDTKVSHAEMAHKDELEEEFDDFPTTRPLEVVRMRYDRLRSLGARIQEMVGDVAAHAERARCAMTWRDPRATAMYLLACLFLAVTTFLAPFQAVALLTGFYVMRHPALRQRLPDVPANFFRRLPCKVDCLL >Dexi2A01G0037180.1:cds pep primary_assembly:Fonio_CM05836:2A:46793352:46796100:-1 gene:Dexi2A01G0037180 transcript:Dexi2A01G0037180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKRAASLKVKRRVGKYELGRTIGEGTFAKVRFATNMETGEHVAIKIIEKARVQKHKLVEQLENLLLDMAGNLKISDFGLSAISDQVKNDGLLHTTCGTPNYVAPEVIDDKGYDGALADLWSCGVILFVLLAGYLPFEDDNIASLYKKISEAQFSCPSWFSAGSKKLITRILDPNPSTRITVSQVQKDPWFKKGYKPPVFDEKCQASLDDVDAAFGDSEELLVTEEMEGQPASMNAFELISMNEGLNLGNFFDSDKMLIENPRAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQNVRSSKFCL >Dexi2B01G0023200.1:cds pep primary_assembly:Fonio_CM05836:2B:32746057:32751614:1 gene:Dexi2B01G0023200 transcript:Dexi2B01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGRPPPLAAPPFPGVRSSATPREAVPFKAASSSPDPSLASPPPSRPPSRSHPLPPLPSSPQFPAHMNVVQAAAVLAAAAALALLLPGWAAAEWTLTKKGSIVTYDGRSLMIDGKRDLFFSGAIHYPRSPPELWPKLIKRAKEGGLNTIETYVFWNAHEPEPGKYNFEGRLDLIKYLKMIQDHDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKKEMEKFVRFIVQKLKDADLFASQGGPVILAQVHRVHQKIENEYGNIKKDHAVEGDKYLEWAAQMALSTQTGVPWVMCKQSSAPGEVIPTCNGRHCGDTWTLHDKDKPMLWTENWTAQFRAFGDQLAMRSAEDIAYAVLRFFAKGGSMVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIRSYQKAFLTGGHSSEKLGHGYEAHTFELPEENMCLSFLSNNNTGEDGTVVFRGEKHYVPSRSVSILAGCKNVVYNTKRVCFLHLSLNKLRPTSSFRLESDDLPFRGDIRPVLQVKSTAHSMIGFANDAFVGSGRGSKQVKGFMFEKPVDLKVGVNHITLLSSTMGMKDSGGELAEVKGGIQECLVQGLNTGTLDLQVNGWGHKAALEGEDKEIYSEKGMGKVQWKPAENDRAATWYKRYFDEPDGDDPVVLDMSSMSKGMIFVNGEGMGRYWTSFRSLAGTPSQATYYIPRPFLKSKDNLLVVFEEELGKPDGILIQTVTRDDICVMLSEHNPAQIKTWDTDGDKIKLIAEDHSTRGTLSCPPEKTIQEVVFASFGNPEGMCGNFTVGTCHTPDAKQVVEKECLGKPSCLLPVSHTVYGADINCPSTTATLGVQVRCSLQKKDA >Dexi5A01G0037370.1:cds pep primary_assembly:Fonio_CM05836:5A:38755677:38758275:-1 gene:Dexi5A01G0037370 transcript:Dexi5A01G0037370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVSKLAAARPVAAPPLPPASVRSPALAFAPSPCRFRVSIAGRARSPIIAMASAKEGNGAPTKRTSLHDLYDLQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTEGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIREVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTVSRTVDANVSEAEGVYSALEKLGINWDEVGKQLELEGVDSFKKSFDSLLVSLQEKGNSLKTASV >Dexi2A01G0029510.1:cds pep primary_assembly:Fonio_CM05836:2A:40548213:40550511:-1 gene:Dexi2A01G0029510 transcript:Dexi2A01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAHLARLLLHLRRRPRALPLHFSPTSSTHGPLQGPSLWPPPAPQAPLASVWGRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLADFVRRYVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDIYMYKDLIIALAKCKKMEEAMVIWGNMRDENLFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMR >Dexi2B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:2B:30641502:30650750:1 gene:Dexi2B01G0020630 transcript:Dexi2B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPFDRPVRAASRLPSDRCLCAVSQSCFCEEGVRGLRLLLMASGTESSPPPKIGAPVAAAAAAAEEEEEEEELQTVEGAEEEEDAFDIPSKNASHDRLRRWRQAALVLNASRRFRYTLDLEREEEKENLKRMIRAHAQVIRAVFLFKEAGQKNLGAESYTSLKLEALSQRFPVDPKKLMMLNKDHDIIIFQEVGGVRGLSDLLKSNLDEGVCPDEDELLQRRDIFGANTYPRKKRRSIWCFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVIFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQRAPFLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSEDVGEETPLQVRLNGVATLIGIVGMSVAGAVLVVLWIRYFTGHTKNSDGTTQFMAGTTGIKQGFLGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTMNKMTVVEAYLGGTKLDPLDNVRMMCTSVTSLLIEGIAHNTNGTVFLPEDGGAAEVTGSPTEKAILYWGLMIGMDFKGVRSKSSILHVLPFNSEKKRGGVAVQVSDAEVHIHWKGAAEILLASCGSWLSTDGSVQQMSSSKHSEFKKLIDHMAMGSLRCVAFAYCTWESKMVPTESLDKWKLPEDDLTLIGVVGIKDPCRPGVRNAVQLCSIAGVKVRMVTGDNIETAKAIALECGILDTKDVASEPYVIEGKVFREMSEVAREESADKIIVNLHCSLFLTRAHTHADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVEVRIFLLTSQCMLLWVNLIMDTLGALALATEPPTDNLMMRHPVGRRHDPTSR >Dexi3A01G0029870.1:cds pep primary_assembly:Fonio_CM05836:3A:32299494:32299868:-1 gene:Dexi3A01G0029870 transcript:Dexi3A01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWIRTISVTVEDGSTRVGPPTVVSARYRCCKNSDLALCVHSRRPPKRLLLLDFGTEDDAHRHRCGRIVQGHVMLLCGGVGVPCHEFLNTVDLSSKLSAMVIDDNNGCSAHAGPQCTQSRHHR >Dexi6A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:6A:19462899:19463258:1 gene:Dexi6A01G0012750 transcript:Dexi6A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAHNEKDDIIKLLFRQASHLFACNQWLKIIQLENIVLQLKHKEHQIASIIPELPWMTLKEKPTGGQEQKDWTKKKGRRQRKGGSFFDAILFAVGLGWLLPKL >Dexi7A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:7A:21423762:21424522:-1 gene:Dexi7A01G0010710 transcript:Dexi7A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRKKKQPKGSRNSENRTREKPETGTLAEGRRALGLAWGPARREQTSDHVGSTVQTCMKEYGFTVAQANKKLGEIVEEAWMDMVEESLDQKQHPMAILEKMVNLARTMDFINKNEDAYTLPHSLKDIMTSLYLNFA >Dexi8A01G0009930.1:cds pep primary_assembly:Fonio_CM05836:8A:15735051:15736091:1 gene:Dexi8A01G0009930 transcript:Dexi8A01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQPLPSLTEGELLAARRRRWLLRARVPSPAPKSPAPSPPSAAAARNDQGRLTRLDSYKRLKKIGEGAFGTVCKARDRRTGEVVAIKSAVGNGPGGAEALLREAALLAACAANPAVVKLREVARGSEAADLHLVLEYMGMSLHDIVSERRRRGLPLTESETRRVMEQLLTGVGTMHAQGIMHFDLKPGNVLVGDEDRRLRICDFGLAKSVAALPLEGEPEGTPGYIAPEMLLREKDCGAPADVWALGCIMAEIVNGQSLFAEDDLYQQLASIVDLLGIPDDVSLMPLGITATAPSKLREKVPEERLSPAGFDVLQGLLQYDPKDRLTAMAALEMPWFQATMDD >Dexi5B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:5B:6131228:6132146:1 gene:Dexi5B01G0009020 transcript:Dexi5B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNEQRRTLDVTVDDLDALDCPLCFSPFDARIFQSTRRSSATTRRARAPSRPGCGYAGLGLRDHIQGAHAGGDVVSFAGSAAVTLRRGAAFVVLLQETDARVFLLLNGGDVPSGRSLSVVCVGPRLAGNKSLEYELRVVVVGGGGAGMSGSLSLSASGPVACTRLWAGHHPMERFLFVPDAYWSSSGGVSVTVHVRKLNCERQGQNR >Dexi5A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:5A:13313676:13317282:-1 gene:Dexi5A01G0015580 transcript:Dexi5A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVASRHAPAPVPHPSASAPQLGGGTEEMRLVGLTGGIASGKSTVSNLFKDAGVPVVDADVVARNVVQKGTGGWKKIVKAFGDEILLDNGEIDRARLGQIVFSDPSKRQLLNRLLAPHISSGIFWEMAKLWMKGCKVIVLDIPLLFETKMDKWTNPVIVVWVNPETQIERLMSRDGCSEEQAQSRINAQLPLDWKKSEADIVIDNSGSLDDTKQQFQEVLRKISEPLTWKERLRSRDGLISVIMCTAVGVLLAQKNLL >Dexi5A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:5A:3252149:3257516:1 gene:Dexi5A01G0004300 transcript:Dexi5A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLLSPFPPLAFQYPSSGRGRARRGPAAAFVVASSASAPDGGTSPSSSSAAAADPYVLARRVVLLGASAVVPLVRIREAAAAPATTDLRTETKDVSKLDDPQSEGTKGISKPDNPQLEGTQAGTTTTEAHQPESSLQSVQEQPPGNPLLGLLNTVAVAASGVLGGLYATSLQEKKALQSIISSTESKLVENEAALSLMRENYEKRLLEQQAAQKKQSMKFQEQEASLSDQLASAKKSLTSLSEEFRKEKKLAEELRDEIRRLESTITQAGEAKGVLETKLEEKLGEINALQEKISLLTQEIDGKEKHIRDLSESLSSKEVDYQKLSAFANETKISLELAYSKIQQLEEELNRTKNDLASKASLIDTLNAKLEALSSEKEEASQKINELIQECTDLKAASETRASHDSKLLSERDDQIKQLEEKLTVALTDSRKDHETILELNKELDSTKTMLENELVAMRSLKESIQSSEEALTDSRNEVSKLSEELEEANKLNQDLVSQISELQDELNETQESLTNKIAEAESISKTLSDELASVKEMVQKGQEKLETTSNELASVVEARDNLKKELLDVYKNLESTTHELVEERKIVTTLNRELEVLVKQLQVDSEARKTLEADLDEATKSLDAMNNSALSLSKELESTHSRNDTLEAEKEMLSKALAEQMKITTEAQENTEDAQNLITRLQAEKESFEVRSRHLEEELALAKGEILRLRRQISTNRSQKPRTTPARPGAPPEGNETLTEQAVNDHNPKTSGLVAGAPQSVKRTVRRRKGGEST >Dexi1B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:1B:26206549:26211030:-1 gene:Dexi1B01G0020000 transcript:Dexi1B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEAWVREKPIRTFLTRLAQRRAAGAAAFLASTTATAAIDGEGCGEGDIPQLSSIANSVVSRCSRILAISTENLQQSFETDFPHNCNNEPNTYARELLEYCCHKALHGVTTRPDYLADKNLRRLMFDMMLAWETPGSEDASVENGIVRNSLEIEDVDEGSIFYTNSTRLAVQVDDKKTVGLSAFARIAPSCPIIADLVTVHNLFDALTSSSGGRLHFLVYDKYLKSLDRGLVSYALPFVFLIFSVVMLWRKYSGDGKLLEVLEVKPPPSKNAVEQILILQEGISKLEDSLQAANIALLKFRSVLFASVPKATEVVAAVLIFAAAILVFVPSRHLFLMLALELYTREMPLRKQNTEKFRRRIREWWARIPAAPVQLIRPDETKKKR >Dexi5A01G0031560.1:cds pep primary_assembly:Fonio_CM05836:5A:34190838:34193987:1 gene:Dexi5A01G0031560 transcript:Dexi5A01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGPEAPLVAGLANDLVKVGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDAVEAKKYVKHEGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLLEGSFGSAGSRVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKRIVMESIITPTVKGMEAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGNVSLTWSPEMAMVVVMASQGYPGSYKKGTVIKNLNNAEQVSPAVKIFHAGTALDRDGNLVAVGGRVLGVTAKGKDIEEARARAYDAVDAVDWPEGFFRRDIGWRALRHKEVVN >Dexi2A01G0035050.1:cds pep primary_assembly:Fonio_CM05836:2A:44769821:44772339:1 gene:Dexi2A01G0035050 transcript:Dexi2A01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTLLAPAAPDPFADFPFPEFQPPLDGESFSFEDFDLDDLDLDVDFDLGLFASDGQLSQPPTLATSSSSAGSPDGGSSSFGAGGDGGLRNEESSESSSRSASATDGSGKGKGEDDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCITAENAALKQQMGGAAGAVPPPLPLPMYPAMYPLPMPWMHPAYAMRGSQVPLVPIPRLKSQQAAPAAAEPPAKKARKTKKVASVSLLGFLCLAMLCGCLIPAANRMYGSVDAGEGARFGPSHHGRVLAVDGLRDSMPDSIDPKPPQSASETLPALLYLPKNGKHVKINGNLVIKSIVASEKASTRISGYDGKTPQNHGKEETSLAIPGYVAPLEAGEVMKSTTGMKNELMALAPTDGNMYREDDGLLPQWFSEAMSGPLLSSGMCTEVFQFDVSASSAHANGIVPVYSNAMSNSSQNFTENLPSARPHTVKNRRISYSEAIPLRGSTSNDTDHLKTPPKNESFGSTKPVSSVVVSVLADPKEAGDGNGEGRISSKSLSRIFVVVLIDSVKYVTYSCVLPFKNHSPHL >Dexi9B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:9B:2837034:2839859:1 gene:Dexi9B01G0004950 transcript:Dexi9B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTGLLYCPAKTKGAPNPAILSGGEGIPLRRQRGAGPAPNPRSRPSPQPPRSLELVAGAHGPTAAETTGLDLRVVDQREQEEEEEEGELGLHTRGAESWGSRAPEQGGREGAAAPPAGPHRGRNSTTTSCEQEQQQQQPPSSTSNTEVGKARKERQAAWGEKEGEACGGERGGDRTSEAEESRIGRRIATTSERDGERSPDQTARSGSDREGGGPLLVFSSLCLQFIISLCTEHMVTLRHRTVRRARGHQPAAAHAAYSTYLLPSLDLKFFDLAQRPYVGKFVLARPSSTSSELDLTVRL >Dexi1A01G0032490.1:cds pep primary_assembly:Fonio_CM05836:1A:36989842:36990837:1 gene:Dexi1A01G0032490 transcript:Dexi1A01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAAVVRTVMVVVLGLGLGLSVGEALSLDLYEETCPQVEAAVTAAVRQAMAKDSTVAAGLLRMHFHDCFVRGCDASVLLDSTGNVTAEKDGPPNVSLHAFYVVDNAKATLEAQCPGVVSCADILALAARDAVALSGGPSWVVPVGRRDGRVSLASETATLPGPRASFEQLKQAFHARGLSTKDLVVLSGGHTLGFAHCSSFQDRIFSSLEEGQGGIMGMDPSLSPSFAASLRRACPPNNTARAAGSGLDATSAAFDNTYYRMLQAGRGLLSSDEALLTHPKTRAFVALYAASQEAFFRAFAKSMLRMGGLNGGDEVRANCRRVNNNKP >Dexi1A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:1A:18890337:18890741:1 gene:Dexi1A01G0013640 transcript:Dexi1A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASLPLRLRIKQSIHPNGDSDRARAKPPPRIPIQNRPGAPPLPAIKEARKQPEKVKKRQAKQSASRPEMCLVLVCDEPERVVATYQAPGRCPYCGGGVVAADVESAPRLCYVPLCFRIRRRFFCSRRLVSVA >Dexi6B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:6B:16809031:16810557:-1 gene:Dexi6B01G0010420 transcript:Dexi6B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVVLPAWAASPLPRASAPHPRRLLLPIAPSPARRGTSRGCSLASAGASSRRAPTVRPNAAAEAVVPYVPGSGKYVAPDYLVKKVSAKEVEELVRGERKVPLIVDFYATWCGPCVQMAQDIEMLAVEYEDNALFVKVDTDDEYEFAKDMQVRGLPTLYFFSPDQNKDAIRTEGLIPIDMIRNIIDNEL >Dexi2B01G0031780.1:cds pep primary_assembly:Fonio_CM05836:2B:39785613:39787395:1 gene:Dexi2B01G0031780 transcript:Dexi2B01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGRGRGGRGRGGFGGFDQHRAKHTPHENFPQDITLPEITCAKASTEEKALLLSTLRLEEFWRTSCYHLEEVVPKKKNEDKEIERYSDRKRKTQTKREALVAYLKLTPSNFPAELVQGSRRGQVSNKKLRWDKDSGLCFLLGSMNS >Dexi6B01G0006650.1:cds pep primary_assembly:Fonio_CM05836:6B:7352195:7354909:-1 gene:Dexi6B01G0006650 transcript:Dexi6B01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGGDGDSAAAARGSGGGGTGAGRWAVSAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQDMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >Dexi2B01G0027750.1:cds pep primary_assembly:Fonio_CM05836:2B:36558027:36558848:1 gene:Dexi2B01G0027750 transcript:Dexi2B01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARATALFSLALLSVALTTGATTTAAATTGIATAADYCRPPPSPAGHKCGAQGTYAPDSAYEANLRLLAATVPAQANASGCRCSPGDHAGERPDMVATSVYCYWRPDAGWPSDCGACISRAFGEAQRLCPYHRQAMVVVDGGECSVSFHDVQQREQSMGLGRPGVEELKNFTERVVQASTKPECLTM >Dexi3A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:3A:1670279:1671310:1 gene:Dexi3A01G0002460 transcript:Dexi3A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPSMSLTVDVDELLDGEVESDLLGLVEESHGGGRIPPVAHGGEDEAVDVRHERRRGGAAEAGERERVEALVGGPPGEEQRVGAEERGDGGRVAAVDGAALGGEEEAVEGRVGGEDGALAEDVGGEDARAVPRHPVVDEGLRVGRLAEMRRSVSPMSGNPVVPGGRRAERRRDGRRAAKTMSHPSAAASSDAARKRTDGGGALVVSMDGGVARIGV >Dexi3B01G0028130.1:cds pep primary_assembly:Fonio_CM05836:3B:23915110:23918723:1 gene:Dexi3B01G0028130 transcript:Dexi3B01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPGISFKLVLLGDGRVGKTSLVLRYVNNIFSDKQEATVQASYLTKRLAVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDSDTFLRVTKWVKELKQMASKDIVMAIAANKSDLVRLKNIDTQDAVSYAESIGASLFVTSAKAGTGIDDVFSDIAKRLLERRKNGIEGLSPPQPKKGILIVDDEPDKEPPPKCCS >Dexi2B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:2B:25938614:25944000:-1 gene:Dexi2B01G0015850 transcript:Dexi2B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSATSPDLSLHISLPSSAPGSGPSGARAAVGGGGGGGAGAGGGDPWRRLNGSTASTELSLSPPAEQVHADALPWRHHRPSSAATTSAGAALMPTMPMLHQPLDGGASSSAAAPIRGIPIYNGAGPGGFPFLPPTVAGAGGDGHHQKLGFYGPYHLPATWPSSLGSTSPSPLAPPPLDPASSAFLSPAAAAHHHHRMLSASGRLMNGMLSDTLRGYGGGLGGIVGGHHHHHHLHGGQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGPNDGGSGDEDFPGAGQAASGGDNMCSRPFGEHRSTSEGAASSSSAGNTSTRWSNSSSSQVSNHEMSSPSLEFTLGRPDWQGADHD >DexiUA01G0018370.1:cds pep primary_assembly:Fonio_CM05836:UA:39052147:39052971:1 gene:DexiUA01G0018370 transcript:DexiUA01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKVTMATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPPPVDAVYTTFGTERKINVLLPSLESYNVRQLYPDGPNIGVSDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFRNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQ >Dexi3B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:3B:6427899:6428204:1 gene:Dexi3B01G0009340 transcript:Dexi3B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKNVAPAAALLVLLALTMLSSMALAARHPPVTESHLHLIPPYFDGPVARAPHPPATATVRAEDDDDVSPQRKVPRGPNHSPNDPSPPPPSAVADAGRR >Dexi9B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:9B:13872005:13872289:1 gene:Dexi9B01G0019290 transcript:Dexi9B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHHDKEKVVILSSGWMKLDGTNRCNKKIRISAKGRSVLAKVVDECDSVHGCDEEHDFQPPCRNNVLNASPGVWKALKLNESIGELKVTWSDV >Dexi3A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:3A:1218813:1223610:-1 gene:Dexi3A01G0001810 transcript:Dexi3A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRTASPSDAPYAGAPPLVYRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVQNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGVVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKAFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGNYDHFMQKEIHEQPHSLTTTMRGRLKDGEVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTHARRNAIISGLTSLPSFVSEVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFRFIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ >Dexi2A01G0027150.1:cds pep primary_assembly:Fonio_CM05836:2A:38550353:38552468:-1 gene:Dexi2A01G0027150 transcript:Dexi2A01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSISSPQLGYCSSSPPPRRPRCRRLSPAAAMATSATPRRSKEATVIVGAGVAGLATALALRRLGVGATVLEQGETLRAGGTSLTLFKNGWRVLDSIGVADELRAKYLRIQGMRMRSPAAGGRDLREFSFEEEAPGQEVRAVERKVLLETLASKLPAGTISFSSKLKSIAEQGPDGTLLELEDGRQILSKIVIGCDGVNSPIARWMGFSEPRYVGHMAFRGLAEYADGQPFQPKVNYIYGRGVRAGFVPVSPTKVYWFICFNRQDPGPKITDPAALKSEALDLVRGWPSDLLAVMRSTPDGAVVRTPLVDRWLWPGVSPSASRGGRVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLAPAADAVGAGVEAALRAYEAERWARVFPLTARAGLVGALVQWENPAVCAARDGVVIPRLVRLGPFLEHTNFECDLLDPAPQTP >Dexi5A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:5A:7781890:7783129:-1 gene:Dexi5A01G0010240 transcript:Dexi5A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLHRLKQCLLHLANLYAATTGPAQPHAASSADSGALADRLALVYVHSPSSRLSSSCSSSWPDPEIYH >Dexi3A01G0030780.1:cds pep primary_assembly:Fonio_CM05836:3A:35101613:35102111:-1 gene:Dexi3A01G0030780 transcript:Dexi3A01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHALAKSTALFREVKNKQRTNLMRRLESAEVRSAETSLWVPHPRTGIYYPKGFEWVMEDVPSGAASFRQSYWFRTGEAESASSTTSKNDAASLDHPFI >Dexi3A01G0036070.1:cds pep primary_assembly:Fonio_CM05836:3A:41467033:41469070:-1 gene:Dexi3A01G0036070 transcript:Dexi3A01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAICVAACLTIAILFLTTKMILQKRRALHKKGPALPPVVSGVSLIASLPTLVSKGLPAVIQGLHKKLGSVFTISFLGLKKVTFLIGPEVTAHFFQAPESEVSAPDAYRFTVPIFGRGVVYDVDLITRSKQISLCSDAIKPMNLRSHVDSMVHEVEYNNVNLQGYFAQWGQQGVCDLKHEMEHVILLITNRCLLGKHIRENMFEEVATLIDELFKNGLHLIALFFPYLPIPLHRRRDKARARLGEIIHEAVRSRRSSGIAENDVLQGFVDSTYINGRTMSESEIAGLLVSMVFAGQHTSSNASTWTGACLLRQGASSNTNDYLAAAIDEQKRIMERHGDHLDYGILQEMVTLHCCIKEALRLHPPATVLIRHARKGFTVQTRDGNMYGIPKGHTLATCTTVSNKLPYIYKHPDVYDPSRFGPGREEDKVGGKFSYIPFSAGRHVCLGENYAYMQIKVIWSHLLRNFELELVSPFPGEELEKLIPGPRGQVMVSYKRRVV >Dexi6A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:6A:4156757:4159563:1 gene:Dexi6A01G0004600 transcript:Dexi6A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKASKS >Dexi7A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:7A:28345099:28347518:1 gene:Dexi7A01G0019040 transcript:Dexi7A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEARLPPGFRFHPRDDELVLDYLCRKLSGRGGGSAYGGIAMVDVDLNKCEPWELPDEACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPISISGGHRRGGSGAGVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVEGPAVADRPCSPLKEDWVLCRVFYKSRTTIARPAGPEEAASLSGELIGLPLPQMAPTDAYLAFNHGVAAATVAGGYYQQDAGGLTALHHHQQPTLPLDKSLSSFRDLLSSMVEAGGGTIAKTELHQQDWTEAAVAYAQHQQGGAPPPHSQQAWNPFLSSG >Dexi2A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:2A:32462824:32469312:1 gene:Dexi2A01G0020350 transcript:Dexi2A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding IARRTAPPLLNPRREPRSSSSRRQRLLPRRQEVQQQRARVQGGGEMGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAGEGGEGREGNPSWQPFATENPAFEEYYKAQQIIPEEEWDDFMNMLRKPLPSTFRINASCQFFQDICSQLENDFRKSLETEFFGHRQVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKRENEVGNITRQEAVSMVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLSKFCSETCVDEAHLQRLEFDRVLCDVPCSGDGTVRKAPDIWRKWNAGMGNGLHRLQVEIAMRGMGLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSSELPELARRPGLSTWKVRDRGSWFGVHEDVPRYRKSVISPSMFPSGKGSKDSEVNTDVIDADMKDSTDMGEGNQETHTAINDSNNGDNLKTEIDCESGEAPARNKKLNFASSRTEHSDYPLHHCMRIVPHDQNSGAFFIAVLHKLSPLNENHAVDGVKIEQNMSKDKTGKVEKDLGSDKVSSEENIVQQQVVDDTNVLDEQNGDMDSKSAEDKNSEDAKVTVNEAEKGQAGTRDRRRQQNQGRWRGVDPVIFFKDQVTVKSIVSFYGIKDSFPLEGHLVTRNPDTSHVKRIYYVSKSVQDVLELNIKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDANFGEEASTLLPGCCVVVLREGHQDIGSIATDPSAIAIVCWKGKTNLCVMVSPLDGKELLERISLRFGLEIPKLDKEKPNQEITGPDEQPDCAIETADQECLPEIKESDMEIPDAKED >Dexi9A01G0037500.1:cds pep primary_assembly:Fonio_CM05836:9A:41777081:41777925:-1 gene:Dexi9A01G0037500 transcript:Dexi9A01G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTAAAVSLPPPRIRPQISKPSPLPRPRRLQFGFPKIQTSGSRACLAAAAASTPPAPGGGLYSAATYELTAENVDRVLDDVRPYLISDGGNVTVVSVEDGVISLKLEGACGSCPSSTTTMNMGIERVLKEKFGDAFKEIRQVFYGDQPPAETTPEAVNRHLDILRPAIANYGGIVDVLAVDGEDCLVKYDGPESIGSGIKAAIKEKFPNITNVVFTQ >Dexi4A01G0022300.1:cds pep primary_assembly:Fonio_CM05836:4A:25562018:25562981:1 gene:Dexi4A01G0022300 transcript:Dexi4A01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPKLIILRALCAAAVLAAAVAGNFNQDVDITWGDGRGKILNGGNLLTLSMDRSSGSGFQSRSQYLYGRFDMQLKLIPGDSAGTVTTFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTMGFHTYTVVWNPSHIVFYVDGTPIREFRNLAGTVAGVPFPTSQPMRVYASVWDAEEWATQGGRVKTDWSKAPFVASYRGYAAAGCTANDVASCARSNGAWMFQELDAAGKAELKRAQAMYMIYDYCTDKYRFPQGPPPECSAK >Dexi3A01G0035590.1:cds pep primary_assembly:Fonio_CM05836:3A:40789858:40790067:-1 gene:Dexi3A01G0035590 transcript:Dexi3A01G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGVVSCRGIYLLDASQLPPGQVALARSQPGRSSGLTCFSAPPEAPATEEGRGYLDRGRGRGDAGCR >Dexi4A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:4A:23159533:23167977:1 gene:Dexi4A01G0019350 transcript:Dexi4A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQQSLSMMFDDDDGVEPQFKVVDEYYFEHSEVEPVCFSILPLHLDNNEEVVNCDSEKKVYLRGVLDKSLYLVHKRVVAWRVELDCEQPKVLVLSGEGNWITILRPRKCYKEKIARSILITVQMLHFIKKQSGDRLLGRLWDHLYKVFSKLKSKPTVGDLRKHHPLIKLFVERDPSLIKSQPKTLSTKAQFIVSFESHSSSEDDDDKNDCDYSYNEHDGDEESSYSESSTEDDYNYSESNHNGDYTSDGDTDTDDSDNDDCTDAVCAICDDGGKLLSCIGHCKRSFHPRVKDGRESKCRTLGYSSAQLKGISDFLCQNCKYKQQQCFKCGELEPSDGLNAKVFKCNNASCGHFYHPKCVAKLLEPDDGASELAKRIISRMSFTCPVHWCFECGKMEDRTHRALQFAVCRRCPKSYHRECLPRYCTASRNISFESKGKDVKRAWDLSNNILIYCRDHRIYRTTGTTGRNHIKFPCISEEISKTNYPVKKKVKTNGNRKMGIHQRPIESTELLNRLCTDEMEQDPKLTVTNPEHAAKCLKEDLQCEPSVVGPDASPGSLDTVKGHEKHFVTPSFAKGPGNSLVEKDREWVRVTGNKGDGERVITSDCYAGEENGTPNKDSHSNHEHNGVLDNSLEKHSEAEAIELKSGKEETIERSGVRRSTFPKTWPSSTRVYLVRNRLHKEALVPRRKALALPEVFMAARAWGHVAYSRVASVAMRNYREILFHHDAECFGS >Dexi2B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:2B:30770543:30775128:1 gene:Dexi2B01G0020770 transcript:Dexi2B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQQHRRPSALVLAVLLAATAMRAAAAAGGCEGDKFAAGRSYAHCVALPHLGARLHWTYDPKTGSLSVAFVAKPASPSGWVSWAINPTGDEMKGAQALLALRSSAASAYVVSTYNITGYAPLGPKSTAIAFKPTGLAADEAAGEIRLYGKLQLPAGTETVNHIWQVGSAVNGGAPVKHAFDKDNTEAKGKLALSGAAVVAPAPAPDAAGGSSPAGKGGSGGAAPSSGKPSAAEMASTASALLLMVLALAAGFLAIMAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNISELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >Dexi6B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:6B:22226677:22232063:1 gene:Dexi6B01G0014760 transcript:Dexi6B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASGGYTIAILSRDLGTSQKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNAGEPTAAADDAAAAARPAPFLSVTPDAFHRSLAVSAAGAFHCAQQVIPGMVERGRGTIIFTGSSASLTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSTLRGSRAGAGEPAAAGADPDAVAQSYWHVHAQDKSAWTQEMDIRSPSFM >DexiUA01G0013290.1:cds pep primary_assembly:Fonio_CM05836:UA:27622116:27622594:1 gene:DexiUA01G0013290 transcript:DexiUA01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRACDEGAAAALADGESIMQFLTLRGSNVIEVMDGEGVKSLALFPAKFQKSFWIKSGSFVVVDATGRDQALESGSKIACVVSQVLFHEQVRALQKSGDW >Dexi6A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:6A:4258784:4259123:1 gene:Dexi6A01G0004680 transcript:Dexi6A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLVMAMVLSPYPAQGDKDNCIDLRGMPCNEETCVMACKSWGYVDPVVRCEPNDLCCCFVKLPPASSQSQLAPAAVEDVE >DexiUA01G0019500.1:cds pep primary_assembly:Fonio_CM05836:UA:40711567:40713823:-1 gene:DexiUA01G0019500 transcript:DexiUA01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYYGTGVLPPTFFSPGIASGHVPPPYMWGPQNMPPAAFGKPPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKRLKEIDGTAVSTGSGNSEKTSGDYSLEGSSDENNQKVSGTPKKRSLDDRATSGAETCGASAPNNKSGEAGRLATLSNVRIPDTAIKPCVSTGSDFKVSGAPSNEWQAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRGEINRLTESSQKLRMENSALMEKLADGASDEAQEAATDNKAATAPPPVRVVKNFLSMMDGAGASKGNSSSSRRMEHGAPRLRQLLGSGPLAADAVTAN >Dexi6A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:6A:28137155:28142959:1 gene:Dexi6A01G0020740 transcript:Dexi6A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIRGFSLSMGQPGVDSLQGKESNGVVPHRNGGASPAKQQLEGKEALRYANVLRSRNKFADAIQLYNVVLEKEGTNVEALIGKGICLQAQSLPRQAIECFTEAVKIEPENACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKPASEFLAIVLTDLGTSLKLGGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMMQFDFALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPSFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPAYAEAYNNLGVLYRDAGSITLAIQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYDAHREWGKRFMKLYPQHTSWDNSKIADRSLIIGYVSPDYFTHSVSYFIEAPLTHHDYANCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPSTKQKHVEELVRLPESFLCYTPSPEAGPICPTPAISNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVSLALDLASDVNALQELRMGLRELMMKSPVCDGEKFTQGLEAAYRNMWLRYCDGDVPSLRRLELLQEHPVNKQDSDKTTEKLADLKAQKANAAVEEDKQPLIMANGVSPPDSPASAKCEANGHCSQ >Dexi9A01G0042290.1:cds pep primary_assembly:Fonio_CM05836:9A:45906109:45910885:1 gene:Dexi9A01G0042290 transcript:Dexi9A01G0042290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPRPALLRALAPPPLNRASVPARRTLSAAPQAAGTASAGDAAPSAPRKSARKLAPPPREIVRTALFLPPGVERDAAVTPDMVIPGSNIVVGPYAGDARVKEAEFVGSSARARDCPKDDRPEFAVLGRSNVGKSSLINALTRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAVSQSARMDWSSFTKGYFLNRDTLVGVLLLIDASIPPQKIDLDCANWLGRNNIPLTFVFTKCDKAKKGKGGRPDENIKEFQETISGLYPEPPPWIMTSSITGLGRDGLLLHMSQLRNYWDNEAA >Dexi9A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:9A:3808576:3810011:-1 gene:Dexi9A01G0006720 transcript:Dexi9A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASESATPPKRLCLRWPPPQREHLPLDLLLEVVARSDDVGTIIRCAAASKTLRRAMLGTGFRPRSRVGLLAAVHAGYDPASLLGVSYASSSSDDDDIVRASWRLRFDTGLLRSFELVSSRGGLLVLWRHEAESQPELRVCNTFTGDMACLPYMDEEDGKWGNAGIYRPALLIVDDDDAGRSFELLVMDGCLRTCVFSSKDGKWGAIRRVKAPPEHSSWCFVNQSMNTSPAIVGRTVYWICCRARRGIAARVFVLAVDADGTQATAIEPPPQGYHGSMGSCTVATTADGNKLSMFVPEAEVISMWTRSAEEGWSRDVVISKQVWSAMDARRMVCWCVGLKSRSVLFWVERVGLIELDLETMKADVLRGGGEHGATGVAGVC >Dexi8B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:8B:10965926:10971107:-1 gene:Dexi8B01G0008310 transcript:Dexi8B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPARETPRQRLWLSDVDLVMPRLHTASVYFYRRPEGVSPEVFFNGQRMRETLAEALVPFYPMAGRLAHGEGGRVEICCNGEGVLFVEADAPDTAVEDYGNFAPSMDLMRLIPAVQYTDNITAFPLVTYFKCGGVSLGVGMHHYAADGISGVHFINSWSDLCRGTQIPVMPILDRTLLVCRDPPTPSFKHVEYEPSPAMLPLEAQDLTSNNLEPSAPPAGIFKLTPLDLSRLRSQLPKGGGAPHFSTYAILAAHVWRCTSLARGLAPEQTTKWYCATDGRQRLQPPLPDGYLGNVVFTAAATAEAGRVTSGLADAATIVQEALDRMDNEYCRSALDYLGLLPDVSAVSRGDNLYRCPNLGLTSWVRMPIHDADFGWGRPLFMGPSTIEGLGFILPSADGDGSLSIAIALEAAHMEKFQKLILEI >Dexi8A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:8A:3492449:3493033:-1 gene:Dexi8A01G0004290 transcript:Dexi8A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIWSKGLSDDSVISSDHPFGRLQSIHIHNCPRLKFILPLYLDKINLPSLEALHITHCGHLRYVFPWDDIHKPRPTVHRGQAAAGAAVNKFPMLKHIHLHDLPSLQEICEDMRMLAPVLESVELRGCWALRRLPAVGHRSNGPPAVVCIERDCWEKLEWDGWDVGHDPSLYEPRFSSRYYRKERLLRGTVLR >Dexi9A01G0040840.1:cds pep primary_assembly:Fonio_CM05836:9A:44541419:44543323:1 gene:Dexi9A01G0040840 transcript:Dexi9A01G0040840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVMSIPFLLLLVLHKTKHVSSANDEQFAFEGFTSANLTLDGAAKVTPSGLLALTNDKHTQGHAFFPTPIRFHAASNATIAASFSATFVFAIISEHAQLSDHGLAFVVAPSSNLSAATGAQYLGLLNISNNGKASNHILAIELDTVLSPEFHDIDSNHVGVDVNSLQSVKSHTAGYYEDGTGKFLNLTLISRKAMQLWVDYNCLAMELNVTLAPLDVEKPTEPLLSTAVNLSEIVTGTAYVGFSSATGLSIAYHYVLGWSLSLNGAAPVLNSSNLPTLPRLPHQNRSLTEILVIVLPLATAGFIVALVAVVFMFVQRWLRYAELHDDWEVEFGPHRFAYKDLFHATEGFVSKQLLGVGGFGRVYRGILPKSNLEIAVKSVSHDSKQGMKEFIAEIVSMGRLRHKNLVQLLGYCCRKGELLLVYDYMSNGSLDKHLYDGNKPVLSWNIRFHIIKGIASGLLYLHEDWEQIVVHRDIKASNVLLDGEMNGCLGDFGLAKLYDHGTNPRTTHVVGTMGYLSPELLRIGKASPGTDVFAFGMFLLEVTCGRRPLEHDQVGLLDWVLEHWNRGAALETVDPRLCGEYIAEEVCLVLKLGLLCSQPMPNARPNMRQVLQYLDGSVAIPEMTMTNLNIAV >Dexi9A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:9A:13471853:13475704:-1 gene:Dexi9A01G0018380 transcript:Dexi9A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDKNGTGSTMKMMTTVTTMNERPPPRIHPRHAAATLPSPPPPLCLIRPSPKAKHPAIRHPPAPAMDAPPPQQILLGALLFLLPAALLLHLLLRSKRRPRLPPGPPSLPLLGSVSWLTNSPAAIEPLLRRLFDRHGPVVALRVGSRLSVFVADRRLAHAALVEGGAVLADRPALQTANLLGESDNTITRSSYGPTWRLLRRNLVAETLHPSRVRLFTPARAWVRRVLVDKLGEPTAGPVVETFQYAMFCLLVLMCFGERLDEPAVRAIAAAQRESLIYLSRSMSVFAFFPAVTKHVFRARLDKARALRRRVKELFLPLINARREYKKRGGGEPRKETTFEHSYVDTLLDIKLHEDGDRPLTDDEVILLCSEFLNAGTDTTSTGLQWIMAELVKNPAIQDKLYNEIKASTGDEQEEVSEEDVHKMPYLKAVILEGLRKHPPGHFVLPHKAAEDMEIGGYLIPKGTTVNFMVAEMGRDEREWKNPMEFSPERFLPGGDGEGVDVTGTKGIRMMPFGVGRRICAGLGIAMLHLEYFVANMVREFEWQEVPGEEVDFAEKNEFTTVMKKPLRPRLVPRRIQSASAH >Dexi5A01G0022230.1:cds pep primary_assembly:Fonio_CM05836:5A:26140358:26142151:-1 gene:Dexi5A01G0022230 transcript:Dexi5A01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPAAGPNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYPTDNMNYNDLKESKPGTLPVSINNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGITLVLMAACAIASGLSFGSSPRAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSGIFLHYNPAPTFNEAIQNSANHDPRPASQAPGADYMWRIVLMIGAFPALATFYWRMKMPETARYTALIEGNAKQAASDMEKVMDVEIQAEQEKLARYKAANDYPLWSAEFARRHGMHLIGTATTWFLLDIAFYSQNLTQKDIFPAMKLTSAASDVNALTEVFQISKAMFLVALLGTFPGYWVTVALIDRMGRYMIQLLGFFMMSVFMLIMGVMYENLKEHNHALFALFYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAYGVQSLTLKGEAKDNKKALIILSVTNMLGFFFTFLVPETMGRSLEEISGEDNNAGTGPAGVPAGPGMGVSDVSRDKIPVSSTEWQSSMHA >Dexi9A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:9A:1591186:1591473:1 gene:Dexi9A01G0003010 transcript:Dexi9A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGLLPSSVAAPIAIRGIAAPSYASSHRAPPYARRLRSSAAAAPARARRSSPAMDALRVSGAAAEPLLRPAAGGHPRLRVRRPNPSLSPPRGG >Dexi1A01G0029270.1:cds pep primary_assembly:Fonio_CM05836:1A:34812250:34814586:-1 gene:Dexi1A01G0029270 transcript:Dexi1A01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIVSSTIHIIDCSSSSRPAASLVLPPSGAEHQTMSVLSAIPLDLAAGMDITSMVLNASPTTLQNLARGLESESVGLFTGTVRVRGLRRSVPRFPHAIQHRSAQPSPFREEVFSGAFRRTDGAHAQVADSIALVIAGKPSLIRWCQNAIPTGHGAHGLDEMIKEQLAQPLSPTATLSKKYTAEPTKQEVKLRNEERQRRPPCDARDVDAASSNSTSAVNESKVDLSDQYEGTRSSFVLLLSTRGSGVLGDDEDAAGRNHEFHDV >Dexi5A01G0022070.1:cds pep primary_assembly:Fonio_CM05836:5A:25971850:25975529:-1 gene:Dexi5A01G0022070 transcript:Dexi5A01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGLVVEFVYGGFIEGSPLSFGIDILYHFLTTGDEMTRVFWQSIKDKLIFPFVDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKHMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAAASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEADWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLIHGSPSVPRSHYLNTEEFIDAVAAELRSRLAAN >Dexi1B01G0021960.1:cds pep primary_assembly:Fonio_CM05836:1B:27697548:27705825:1 gene:Dexi1B01G0021960 transcript:Dexi1B01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADGSEADLVLWVLSLAKVALVLVSGIHTAADWVEDGREAAGRVDWGSTRGVVVEETDGKMEEEVAASHCSMPNLPPEVDEVHDLFLSLVRPSSPPLGGKFASFRGSSNPWRNRISSGDLTTSIFGLEGSIVPEGSPNPDETFLAYLTNPSSIVAAGWRGIGDEPQLAKPFLNDAIVILRHGSLMSTTSVPDPRLRTVASTERGPANQGHAKSDPLSRMGVDGGRLAPHGSAVVGDELVPWGTLPPHGLCAPPIHRHTYPCGPYAAESPAKPMDQATRERRAPQTQQQHGRPPFPPRCRSGESRKPFSSSSISPRRWITLAYRGPEKRRRGRSYSSDRHLGAVAMGFGMGNNGASSSSSRLDPAPLLPHHGTGSVEIGLSSQPKTFANVFIAVVGAGVLGLPYTFSHTGWAAGSLLLSAVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGAHGRFAVDVMLVLSQVSFCVGYLIFISNTLAHLYPIFAPSSSALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVVVWLAKPPPVVAFGGPGALLYGLGVCVYAFEGIGMVLPLEAEAANKKKFGVTLALSMAFIGVMYGLFGVMGYVAFGDATRDIITTNLGAGWLSAAVQLGLCINLFFTMPVMMNPVYEVAERLLHGKRYCWWLRWLLVVVVGLAAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMGGAGVLSDILLVVLGLALAVFGTYTSLLQIFHSFRFVGRAFVYREDDGTGEEPTALLSFFVDLGVDLRRSIVAFFLFQSRLCFMSFAPHFSTLSASLPDLDGLPRKHSPYLAARYPRTPESAKAPAVMARSVTGAATGTARARGKATEHRPQKRTALSTATGAPSPTDGAAHAMSALRNPQPPIKMWGANWFFRRANAASRKEKKKKEETPIRDRRPIRIAIAMATSGWSDLPPELLTDIAGGITELADIARFRSVCSSWRSAAGDAAAAPPPQQPWLLLPSSPSRLFFSPREDRIYPDIRLPRPAGAPHHHRRRRLYASPHGWTLAVDPTDLSASLVHPFTGATRPLPPLPSFFAETDDLAWDWSPYGVMVSCGEGILFCASDDPPAASWKPIPALAYCNASSINYAGGEFVVFEEDVCRTTIVDAPTLAVASVILAPAVELPCEARLVVAGDELVLLVKSKWMYLFGDDVDFSKAFHVNYRSTDPAVPAWQELAGIGDRALFVDSLHGFALPTAGFGNLESNTIYSVSSKEVNNWRPTMVNYSVSAFSLESPRSFWPSPMSYRRLIGTSNGVETGAAKIN >Dexi5A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:5A:21820534:21832834:-1 gene:Dexi5A01G0018300 transcript:Dexi5A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCRGWPVVAAVGLAAVVGATAAAPPRCRSQAAGDPRWVGPATGARRKRLGRAGKEAATGCGWPSAATGGGGDWRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDIQRYKLSDPRSFHYLNQSSCIEVDGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHLGNIDFAKGTEIDSSVIKDDKSRFHLNNAAELLKCDCQNLEKALITRVIVTPEEIITRTLDPASAVASRDALAKTVYSRLFDWIVEKINISIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTVVHYAGDVSLLHKMICIFLYKNVCILCYQLEDFLSRTQVTYQADQFLDKNKDYVVAEHQDLLNASSCPFVASLFPPLPQETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQARWRCHRDYSHYKNLQDAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQESLHDMQLQVEEAKAMVVKEREAAKKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATEAAKREHAESERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGNTLNGEVKSSPDVTPISLNPKELEAEEKPQKSLNEKQQVYSFFVDIVCVAMYLTSNSFLPKSPDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRAFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIFATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITSDLCPVLSIQQLYRISTMYWDDKYGTHTVSSDVISSMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLIRENSGFTFLHQRKD >Dexi2B01G0031510.1:cds pep primary_assembly:Fonio_CM05836:2B:39643338:39643574:1 gene:Dexi2B01G0031510 transcript:Dexi2B01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEKVAGGAAAVAMFALGAVISYFLWPVAAPAVAMMKAPGAAGFLISRAAFLANPGHYFFLLRTAGAAAAVAAFAV >Dexi1A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:1A:4187972:4189000:-1 gene:Dexi1A01G0005700 transcript:Dexi1A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMIGGLAEIASGRRLFVDTYDRKTKETKVGIMQVAPEVAQWLGRVTTLKIIPICFTGLL >Dexi2A01G0022700.1:cds pep primary_assembly:Fonio_CM05836:2A:34507034:34509187:-1 gene:Dexi2A01G0022700 transcript:Dexi2A01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTALVAVFVALLLLLLMLSGTEAKFLSKANNITVVGSVYCDACSNNTFSKHSFFLKGARVLIKCSFKVNSSSSEELSLEAERTTDKHGVYKLDVPPVDGFECREGHELRSACRATLVRSSSAACNVPGLGGSSSTQQHIALRSCGAATNACFLNLNALNFRPAKRDAALCPGRAAAFGSSLFFWPLLPLFWPPYPSPSGGGAAGGTVSFPWPFPVPSWLVPFLRPPFLPFPLYEPAAPPPPFYRFPPSQEADSRP >Dexi6B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:6B:21095816:21098330:-1 gene:Dexi6B01G0013410 transcript:Dexi6B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNNLPLPSGFGTRPWLIQQTCGGSCKDAQTTLVDMPDRSLHAVTIPEMQGKICLGCVYDGTWLLLLDEATHDCFLLNVVSRRPKISLPPLCPTKEYKGATCGVVGSPANFTVVIASDREAEQMFLLCSRPGDEEWTDLTAEDDGCGVRFSGSIVSHAGKLYAGELVVMDVDDGEIRSQDLSTDTEDHDRAMFGPTVRYLVVSHGDLFDVLIKYRGRPYDGSFIMMAVRRLDLSDLVWRRVESIGSDRVFLLSGDYGFSCSAASAQLQGNCVYLVWSSCDCERLYRFCLDDMTKSFHQILPKPTAPSCRTYWVVPNDIQASEFKGQALLSGPTSSEVTLLPNDFNNHPEEQQKSISLPPWQDLRLELLELIASNLSLVDRIRFPAICKPWSMVTNPIEQAHVWPWLMHISKQDGKCKMLDPLRGEQYVLQVEAFKTEIDHHVFRSSKDGWVVASAGPSDDEIFIINPFTQDIVETSLHVEFYRFRGITFSSAPSSPDSVVFGITSSTNGKYLGEDLVSVFMRNADEPPRVFKLDKMNMAWAQVEDIGGAALFVDYRASFGLLSPGAGNGNRIYFPRYSEDGKHAAFYDMETKAYHPTFYGVKEPMNCVWVVPNLQEDA >Dexi2A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:2A:24876060:24878960:1 gene:Dexi2A01G0014780 transcript:Dexi2A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMELPWMEMAGELPSFEMEEDEEDQARRSRRRERTTSWWTRREDEMKTLLEEELRNPPIGGEEEEEEEDIVDEEEADLDTMRMYRRGWEQSFGGSYGSFQDKTSLGPMRYTEGAIPEDASCESAVQIFSVQVTNLKDGLKWPLHVYGHVAIRDLLDHNRNLLFERKRDNCQILTRQDSYLLLTGPSRAAVIVDPVAFEVDLKVKGKRESEDKVLSLKYFQHSSVTSYERHVPMIRRCCPSKRSMLEVKFAVLYQAVEATVVSTKVVRGSWMDHYRGRVVCRTASASKEDIVLLDSRDGSMPVNSDGAIELSRRVVSVEISGRLIFRVVALRVNDKMGGAASRVNDKMGVIAEDSAIFTPMVGGKSKRTCDLGFCEVEITVAWSLFSTLQDLRLHNIL >Dexi7B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:7B:25313430:25315916:1 gene:Dexi7B01G0019860 transcript:Dexi7B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEARLPPGFRFHPRDDELVLDYLCRKLSGRGGGGAYGGIAMVDVDLNKCEPWELPDEACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPISISGGHRRGGSGAGVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVEGPAVADRPCSPLKEDWVLCRVFYKSRTTTARPAGPDEAASLSGELITLPLPQMAPTDAYLAFDHGAAAAIAGGGYYHQQDADGGLTALQHHHQTTQPLDKSLSSFRDLLSSMVEAGDGKIAKMELHQQDWTEAAAAAVAYAQHQQGGAPPPPHSQQAWNPFLSSG >Dexi3A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:3A:1018253:1021275:-1 gene:Dexi3A01G0001480 transcript:Dexi3A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAEQEKELLSSVVSDIRCYSGSDPLRPWLRGMRKMERALPPATLHEKLPRFLQKCAQEFKDDTRYRDDPRYLRVWIQLMDYVADAKPLLKKMERNGIGLKRASFYMAYALYYEKHKRFSDAEKMYRLGIQKCHMLQERIPRKAESSATAMTQVKGSALVGKSETEDACHHGLVEPTINTKEALDAINSMFLEPLEPETMLKRRSKCEKTNYNQQRGAFDIFVDEDEHNSNDPNMLQNNSMKQGHTKSSEQTRGFEIFVDEDGPDGNSQNVGQDRNSKKANNQETGGFEIFVDEDGPNSSDHNVEQNRHSKKHNMKLNQETSGFEIFVDENEANVAAHNAMCHKNNRRPSRPLCDSSKHQEETDFQKPFVGGFTILPDDEEEQYWKTVDNTNSRTVQPTYNNTNLLHPVQANSGTRYHEGSHPVSSGLQEDTVIRRFVGSTIDDEPKVENACHHGLVDPTINLKEAMDDINNMFGKPLNFKGEKTKRKTNALSNGKAAPVSGFSILADDDIKENTCKASRSNSCKFGDENGLFEPTITTRDVMAEINDMFGMPLDF >Dexi2B01G0030440.1:cds pep primary_assembly:Fonio_CM05836:2B:38734939:38736775:-1 gene:Dexi2B01G0030440 transcript:Dexi2B01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDLPGIRKIIRPLEDAGVLVQRTDAELLEALSSFYVVERDGSIIACAALFPFPEEKSGEVAAIAVSEECRGRGQGDKFVRRGFSECSIDSIPEQRRKRINLSRGSKYYIKQLQPKHAGVITNNFVIR >DexiUA01G0012140.1:cds pep primary_assembly:Fonio_CM05836:UA:24351292:24355927:-1 gene:DexiUA01G0012140 transcript:DexiUA01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLSENGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAIVPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQPS >Dexi7B01G0023940.1:cds pep primary_assembly:Fonio_CM05836:7B:28393474:28396101:1 gene:Dexi7B01G0023940 transcript:Dexi7B01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSGAEKQPKHRLPLGADADAVADASKRRRSGAAKQHQADEEASIPSSLSAKILREARKQQQEEETLAEAPPATAAATRTAAGPSTSASFPIPAADDDEDDDFDEFDGFDALTEYDGGEVEINEEDEKALAAFMSKDKAAELTLGDIILQKIREKDAEVSAEGRPRVKLDSSIIELYKEVGKFLSRYTSGKIPKAFKRIPSLECWAEVLQLTEPENWSPNAVYQATRLFSSNMNTKNAERFYEAILLPRVRNDIRQNKRLHFALYQSLKKSLYKPAAFNKGILLPLCRERNCTLREAVIIGSIIQKVSIPFLHASVALVKLAEMEYCGTTSYFIKLFLDKKYALPYRALDAVLAHFMRFLDDERIMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVVTLVPFDTYACTST >Dexi2B01G0023590.1:cds pep primary_assembly:Fonio_CM05836:2B:33084594:33084912:1 gene:Dexi2B01G0023590 transcript:Dexi2B01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGEDLLQQAVDAMARLGKQAEGAGRRRREQQQQPGSETWASAALRNGDMCVRGGAQGGGCGRRRRRRADVAGVSCLTANALGIVNAAIAKQMP >Dexi2A01G0035150.1:cds pep primary_assembly:Fonio_CM05836:2A:44835198:44835773:1 gene:Dexi2A01G0035150 transcript:Dexi2A01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTFKGIGDVLKLLPTATVIVYEVLNPIVTNTGACHVGHKIATGILLGLCALSCALSTFTDSYVGADGKVKYGLVTPRGLLPFNDDDGSNAAAAGGGTRDLSRYKLRFADFVHATFAVAVFAGVSLLADANTVTCFYPSLKEQQKIVVMALPPLVGAAAGVLFVLWPSTRHGIGYPPPRPETTALASQQ >Dexi9A01G0029530.1:cds pep primary_assembly:Fonio_CM05836:9A:34448074:34451118:1 gene:Dexi9A01G0029530 transcript:Dexi9A01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCGKTTWHAAAVVSAVVTAAAILAAVFPAAEAADVFQERLTVPMTIVADAAFTGAGKQVHTCLSSLLCLDGSSPAYHLHRGSGAGARNWLLQFEGGGWCNDVRSCAERAVTRRGSTRLMTKVEVFSGILSNLQAMNPDFYNWNRVKLRYCDGGSFSGDSAYRNGSSVLYFRGHRIWDAIITDLLQKGLAKAEQVLLSGCSAGGLATFFHCDDLKERLGGATMVKCLSDAGFFLDLSDISGNSNIRQFFSSLVSLQGVQKNLNKDCQNSTDYPYLCFFPQYALPYIRTPYFILNSAYDVYQHWMTNDNGGLKYLGQFHHIFVPPSSDPRGQWSHCKMDPGACSTSQIATLQGLRTAMLTSLKQIEDKPEIGMFINSCFAHCQSELQDTWFAPNSPMIHDKKIAEVIGDWYFERGASKEIDCAYPCDSTCHNLIPSDQVGSL >Dexi1A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:1A:23937389:23941606:1 gene:Dexi1A01G0016670 transcript:Dexi1A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKGTPPREPGPASSSFMSVFAHADAADVALMVLGFVGSVGQGMSTPVRMIIFSHIANDLGNGPDQLLEFTSKIDENVRKLVFLALASWVMAFLEGYCWTRTAERQASRMRAKYLRAVLRQDMEYFDLRPGTTSEVVTTISSDSLAVQDALAEKVPNLVTNAAMFVGSYAVAFALMWRLALAALPSVLLLVVPGLVYGRVLTGLARRVREHYASLPGAVAERAVSSARTVYSFAAEASTVARFSAALEETVRLGLKQGLLKGVAVGSNGVTFAIWAFNVWYGSRLVMYHGYQGGTVFAVSTAIVGGGVALGWGLSNVKYLSEASVAAERIMEVIRRVPKIDSESDAGVELEDVNGELEFKNVKFCYPSRPESPVFTNFSLRVPAGRTVALVGASGSGKSTVIALLERFYDPLAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFAMSIRENILFGKEDATDEEVIAAAKAANAHEFILQLPQGYETQVGERGIKMSGGQKQRIAIARAILKSPKVLLFDEATSALDSRSEHVVQKALELASMGRTTIVIAHCLSTIRNADIIAVMQFGEVKELGSHDELIANEDGIYSSLVHLQWIRDADSCEANKICKIGNKSASVGKPSCHDIMNRKCLDSRSIGNAEGTDDTIDKQKLNAPSFKRLLMLNAPEWKHALIGSFNAVVFGGIQPMYAYVIGSMFSVYFLTDHAEIKNKTRDYTLIFITLAVLSLLLNIGQHYNFGAMGEYLTKRIREQILKKILTFEIGWFDHDENSTAVICSMLAKDANIVRALVGDRMALVIQAVSAVLIAWTMGLVIAWRLALVMIAVQPLIICCFYARSMTTDLAKGAEAVASIFAILDRKTEIEPDNPKGYCPEQLQ >Dexi7B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:7B:18096691:18097494:-1 gene:Dexi7B01G0010890 transcript:Dexi7B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGTAAFSLLTLLVLAAFCAAAEAVRLSALGRTLVVEASPKAGQVLHAGEDTITVSWRLNTSSPSGADAGYSAVRVVLCYAAASQKDRGWRKASDDLSKDKACQRKIAEEPYTGGAGTIEYRLARDVPTASYDVRAYALDASGEPVGYGQTTPGYHFDVAGVTGIHASLQVAAGVLSAFSIAALAFFLAVEKRRKGE >Dexi5B01G0025610.1:cds pep primary_assembly:Fonio_CM05836:5B:27626996:27632616:1 gene:Dexi5B01G0025610 transcript:Dexi5B01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCYTCIDNWAAITNRCPLCKSQFQHITCTPVYGTIGATDEDEYSLTSCDDDWYVQEESSTLSFPSYYIDADAVVCLDDGDCKIRNGLVAAEDDSTLDTSIACDSCDKWYHAICVGFNPEMTSENSWLCPRCVSTEVKHAADVILKKNINEECVMVSDRTSTDASFSGRVSVSVADEGETALVVSMVGVQSRTRSDLSEASLGLKTGHEAFNYSSYPSHSKNDFTRDTVTDSSTLRNTDSFSRSQNKASQMNIVRTLYSETAETSLQFSPIREPATMIVGSEQGNMSNDQLEVPKLVSSCPVIDNSKEAKSTGEDNAVQTSNNERSPVIKSPQPSSQDAVQKMISAQNMQSPLRHDGHESNDMKEGKDMELESEVSHPAKKAKLEVQEQSKSAIRNSGFSSPRSHHTTNSAKDTVDDMSEFVPQQKSVPDIMSIIEGEDYRRDLGREFAKPVGRRAGDKPGLRMKKIFRKEEGKQSSAMVQKLQNEIRDVVKDTGTNILENDGSFDEKLLTAFRAAIGKPVDGTAKSTNQLIRTRSGQLINLSYVSQAQLHRIAEHYLQKANLEVIRRCADTELAIADAVNVEKDIYERSSNKSIYVNLCSQASRQPAKEKSDKDTATVTKKTESGSDLVSEKVASDNNHVSGSDMDEAPHTAVISDLKSEVGNDIASEQTVHKHTVSFSSAEEALRKAGLFDSPSNSPERESTPVEGECKLEEQSNHNCMVNDVSPLKDDKSSLTNNLDAVNCQNLNTMSCQQPKPNSEEQQNLTAQGETEDMTGNEVNAMNLADNDRFSEQCEKSSGPGKGISADSNMPAKVTVNEETSRETEKAASSLPNQSHEDDLPRDEMVSKPKNLEPRKEKSSSEKPSLNSKHPKRDREGGDVPKKQEPDQASKSTPDASSSTYKKVEMFVKEHVRPLCKSGVITVDQYRWAVTKTTDKVMSFHRDSKNASFLIKEGDKVKKLALQYVEAAQQKIN >Dexi9A01G0037680.1:cds pep primary_assembly:Fonio_CM05836:9A:41973259:41973906:-1 gene:Dexi9A01G0037680 transcript:Dexi9A01G0037680.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSLRRAATWAVSGRFGSAGWRAGRRPSTARTGPSTRAPQRRHQRAASSSPVGSPRTSHRSTSTRSTPAPTG >Dexi2A01G0022450.1:cds pep primary_assembly:Fonio_CM05836:2A:34322029:34327306:-1 gene:Dexi2A01G0022450 transcript:Dexi2A01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKAKPRTPRKAQETSDVGPGDSASQDASHSVEEATASASGREHCGHYSRDSAHLDDVLLEILSSKHVASCEHCREDAPRKKGGAGGKEKGGKQKKKGGASKGAAAKAQAKAEKSDIWVCLDCGRHFCGGAVEDTKPYGHARRHAKQDRHWWAARYDDPTVAYCLSCEKEVPIEMPKLETVVTTAVDDSTEGGQIWESKDAAHGPLYPQDDALPKDQILGSEHSGENTDDAASSLQPVILLPYKEFGTGSNETDEVLENSQNSECSVPPPVISRVETSSQPADGGEVEQDDYVGFGDMFNEPEVTSGVNKEAGKAEDIDVMAWSSNSADDEVDDRNAPVSVEGCLALYTEPEVLSEPWLCEECTNAARLKTNKTKNVVEMMNGSNEIKDGEEMMAGGGGRQDGEKLVMSCSKEDIDQVMTTDGSKKDIDDCCDKVHSDMHLKEGGCADPAFSDPEQNCNGNFVDTENTIQRTGAVFTIDKTEQSNSQTDHKEQCVDLRRLELESSSLNKQQHNSDIQYNEGRDVNITAEATSAPLNCDSDSVSCSATKNLEAEHVGGAEEVVLSSLPSGAQKNLQNAKDNEDVITRNQGRRKRMKMVGKAQQVQDNQNKKKEDETKVFRAAMRRILISKAPPVLTINLNRFSQDSHGRYKKLKGHVRFKEMLDIQPFMDPRCKENNNTTYRLVSVVEHMGTMTGGHYVAYVRAAKIGGRQQQNRGSQSWFYASDGHVRETSLEDVLNCEAYILFYERVGD >Dexi2A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:2A:8581964:8583754:-1 gene:Dexi2A01G0008430 transcript:Dexi2A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTLQAILMLLNMALLAPWLCLASSSAPLLLPSDTTAASAALRDYPQVQFSTASATPETYNAFITAVRAALVSKSPSQSNGIPVLLSKDDPSAISTYLNVTLTNKAGYSVSLKMDVTGAFFTAYEAGKASCLLKRSPSGGAFSSATCYVDPWALTSASTSPELIAGDDLGASAAAAATWKAKDLDEAVSSLYLYPTGNATEKKLSAAVSAIDVMIASAATFPYVQRRVSAGMRDGNGVSDDGSLQTLRSRWPTLSSAVQESFQGSLAAPVSIQRSNGAWITVDNVRTAAPLVSFLQHDDCKAATSSSSSSSSHPMVIRSVLEEEEAAAMVAGVDAPAKCSKAEPTVRIVGPEGRCVDVPYNWYYSGSQVQLWSCKSTADVNQLWTFKRDGTIRSNGWCLVTSGSRVVVDDCTNCAAASSVWNVRADGTIALKSTGLVLAVTSSSAFAPVTVRKDDRGTGQSWTPTNVTSPLTAPVVGYGDLCLQVDFAGAVSLAACGDGAAWSLYPDGSLRPPAWLFLQWRCLAADASGKVAVRYCDGSGSACERWVFRSDGTILNTGTGMVLDATPSKSKRGCYDVVVSKATGSATQQWALML >Dexi3B01G0030610.1:cds pep primary_assembly:Fonio_CM05836:3B:30622601:30623901:-1 gene:Dexi3B01G0030610 transcript:Dexi3B01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATCSREASALVGDRLAAACDMIEWFRDYRTPITGRRAAAISAMIDDIAAAATAASDEKHTPSSPIGRRKKKARMGMCMDSSSWYEEVSRLGKGHFGVVSKARHRATGHVVAIKSLRPKRRCGCGGGDDEGDYDLLREACFMAACRGHPSLVGLHAVLRAPVTGDYSLAMDYVGPTTLVDVMSLARHGEADVRRMMRQLLEGADAMHRRGIVHRDIKPRNILVSDGDGGDHLKICDLGVATYVGERDPPYVNTGTLPYMAPEVLVGSSMDHLDHDDTLVDSWSLGCVMAQLLLGGHMLFKGESTSDQLYKIFDVLGVPGKKAWQSLKPSSGGRGSSSNRLRGMFPEKVLSKDGFEVLSGLLTCDPRRRLTAAVALRCQWFAADNVEVVDGGDDPLVPAAPAAVSETPTTVLGVC >Dexi3A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:3A:12678872:12684287:-1 gene:Dexi3A01G0016730 transcript:Dexi3A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVTECLDKVFFSRYGASLLPSYGTFIQAGLLTDSKDIRKLACKAVLHLLDKAEDGSAAVETVVQHNLYPILINCLIEGDEEMSAIILDAVKRLAEIPKGTEIIFPPDGQGSVQLGKVAAQSSSLARIRILSLIAKLFTVSRYTATAIRDSNLLSIFEDEIKDRRDMLKTLSALEVLYELVEHPHSNVFLLKTNLLQLIIDVINDSSADSVIRSRALLISGRLLSSADAFMAIDQSCVTNLIIAIDKILNTEENQNTDEVESALETLGLIGTTTQGAHFLLTSSNVARHVVESSFDRHGRGRQLAALHAFGSICGVDRQEQMKLDVQAEEHLKRLVYATATNSSKLTPSALLLSILQQDPDIRVAAYRVISGLVVREWCLREVCLNSEIIRLVTNPTMETTKLGKELVHLVLVKIEYDAMLKSSYSMEARYNCCVAINKSLSSSHLVHEKSLSELIGKLNDAVRRCPYLSERKRVEAQPVVVPAERF >Dexi7A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:7A:24860610:24866875:1 gene:Dexi7A01G0015000 transcript:Dexi7A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVARTRAAMLREDKQQQQQQHRLPHGPPAGYFTAELVMAFVLMAMSLGRWRSYRWSCRGCCCCKWACSPCSTSAPEPNPPVAWRGSPPSCSLVTFPHSVGSPEMIRLRSSAAALTIVGKLRDVVHASLADSFLADLDELSDNEGYPEEDNAEAAGMDEDGDDDMHDLESLNYDDLDSVSKLQKTQRYKDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARVDSIRGDTTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKRAAVPLQD >Dexi5B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:5B:6973170:6974048:-1 gene:Dexi5B01G0010010 transcript:Dexi5B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLHEASFFRVKYAVLRCDPPVKRRPQLRMSPHLAIPSGVKASALAVPAGLAEVGREILAAECHPLKQPDLGCVRDIEHLALAWLDAIC >Dexi2B01G0032300.1:cds pep primary_assembly:Fonio_CM05836:2B:40240999:40241952:-1 gene:Dexi2B01G0032300 transcript:Dexi2B01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGRKKRGGRQRRNPASELTEDLLVEILSRVPYKSLCRFRCVSRGWSMLISHPDHRRKLPQSLVGFFFASHDGNRYPESARHFVNVSSRDRRPPFIHPSLSFLPNPDCDYLQLLFSCNGLVLCSYYQPGAPVTYIVVNPATDKWVDVPVSPRWSDKPPTIVRLGFDPAISSHFHVFAFDIDSGGAADNVEGIVLGVDIYSSKTRLWSYKPCGSEIWMNSDSISRSVFLDGMLYMVALDHVVVAVDVDGKTWRVIDMPFRSLYSVEIIDLSQGRLHVTIIDNLPTTKLSIWILDHASEEWALKHASFHHNGMYPP >Dexi9B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:9B:8550862:8551486:1 gene:Dexi9B01G0012690 transcript:Dexi9B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVYPTAIRSTGVGVATAIGKIGGVVCPLVAVGMLRSCHQMAAVLVFELVLFLAGVACLLFPVETKGREMD >Dexi5B01G0021190.1:cds pep primary_assembly:Fonio_CM05836:5B:23484007:23487053:-1 gene:Dexi5B01G0021190 transcript:Dexi5B01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQQSSGRIMLQQDGTELQSSVVPSIRSSDVINANELFTLQYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRSTIVHSAPIPVKEQPRIGPSREKPSSDESSRPGRVVGPVMPFENGNTKDPYDARRLAMNSAYSPQQQIPQTYGYYQTPGKPACSELSQAERYTLHQQAHACANSTTVADVALDMRAPPFHHPSAGPKSDSSDRLAADTNLYTRSLNGITATAAGVAASAHRKVGIVPFGMSRMY >Dexi2A01G0031700.1:cds pep primary_assembly:Fonio_CM05836:2A:42435953:42436261:-1 gene:Dexi2A01G0031700 transcript:Dexi2A01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVIDAIRRSNGRSGYRSVSSDGGSSRGGGSSRRHLIDYSELPDSAAAANAGVADRASSGALHRRARSEFVETTAVRRGEELGRPAAVVAGSAYRRK >Dexi3A01G0029260.1:cds pep primary_assembly:Fonio_CM05836:3A:30714007:30714935:1 gene:Dexi3A01G0029260 transcript:Dexi3A01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATPKGASTSGCPDSCGGIAIQYPFGIGTGCFRNGSEIICDRSMDRPVLAGTTKPVPVNHLSIRTAEARVMLPVAWECFNSSDSVYAWSDGDVQFNLEDVYRISNTNNQLVVIGCNTLGYTQSQRSEGNDYGYGYYTGCMSYCNNSHSALAGACAGVGCCWLDIPPGLTDNRMDFDVYTHRARLGFSPCDYAFLVDRDNYTFHTADLKMDNTTMMLACMAGLGHPGQPYIECRDSSNGLGYVCNCSMGYEGNPYVANGGTVLKMEEVKF >Dexi9B01G0023590.1:cds pep primary_assembly:Fonio_CM05836:9B:18941312:18944725:-1 gene:Dexi9B01G0023590 transcript:Dexi9B01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLASLLLATANAVGGNTTTYIVFLDPARMPAVHASPAHWHASHLESLSIDPARHLLYSYSAAAHGFAAALLPHHLPLLRGSPEVLQVVPDEVFQLHTTRTPEFLGLLTPASYQPAIGNLEAATHDVVIGVLDTGVWPESPSFAGGNLPPPPARWKGACEAGVDFPRSACGRKLVGARSFSRGLRAANGVLGKTTFRSARDRDGHGTHTASTAAGAAVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVSCSAGNSGPSAATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSSSSPRPAMLPLVYGGGRDNASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGRTVGDKVREYAARGGRPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGVVGPTGLAKDGRRTNFNIISGTSMSCPHISGVAALLKAAHPNWSPAAIKSALMTTAYTVDNTNSSLRDAADGSLANAFAYGAGHVDPQKALSPGLVYDISTNDYVAFLCSLDYSLPHIQVITKMSNISCPKKFRPGDLNYPSFSVVFKQKSKRILRFRREVTNVGPAASVYNVKVTSPESVSVTVTPAKLTFNKVGQKQRYYVTFASKAAQNHTKPDFGWISWVNQEHVVRSPVAFTWKM >Dexi7B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:7B:25437145:25437456:-1 gene:Dexi7B01G0019970 transcript:Dexi7B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACVFVYATVQDKAGRPLPKFGEWDMKNPATSEGFTVIFQKARDGKKTTGPGHAQSGIPPAFRDHHGSAGGDAGYRSSDSHQYDTPPKHAKVWISLASWPP >Dexi4A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:4A:17453642:17457699:-1 gene:Dexi4A01G0014940 transcript:Dexi4A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRGAVTGQQHRRWGPALARRAMASWFGHVEPAPKDPILGVTEAFLADPSPDKVNVSVGAYRDDNGQPVVLECVREAERRIAGSMNMEYLPMGGSVKMIDESLKLAYGEDSDFIKDKRIAAVQALSGTGACRLFADFQKRFLPDTQIYIPTPTWANHHNIWRDAHVPQRTFTYYHPESRGLDFSGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIHIFLEDGHQVGCAQSYAKNLGLYGQRAGCLSVLCDDEMQAVAVKSQLQQLARPMYSNPPLHGALIVSTILSDPELKTLWLKEVKGMADRIIGMRKALKENLEELGSPLSWEHITSQIGMFCYSGMTPEQVDRLTKEFHIYMTRDGRISMAGVTTGNVAYLANAIHEVTKSN >Dexi5B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:5B:15849865:15859344:-1 gene:Dexi5B01G0016590 transcript:Dexi5B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASHLLSSFRPFSLLLQPPLSDAPSPAASARRVLSSASALRARDEKDAARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVEMKAYKFEGSSGQSVYAYDIPSNMEELVAEKRRELIEVVSEVDDQLAESFLNDEPITANQLKEAIRRATVSRKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPLEVDNYALDQNKSEEKVLLTGTPAEPLVALAFKLEEGRFGQLTYLRIYDGMIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFHVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCG >Dexi4B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:4B:721445:723805:-1 gene:Dexi4B01G0001130 transcript:Dexi4B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVMVVCAVVGFLGVLSAALGFAAEATRVKVSDVKTNAPGECIYPRSPALALGLISAVCLMLAQSVINTVAGCICCKRHPVPSDTNWSVALISFIISWCTFIIAFLLLLTGAALNDQRGAENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSSKSPPPTFATPQNQGIAMGQPVIPQQSSEPVFVHEDTYNRQQFP >Dexi6B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:6B:8528651:8535136:-1 gene:Dexi6B01G0007350 transcript:Dexi6B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEAGPASPPPPPIAQLDFDQLLFVLRLLPPEAVLSFAATCRAFRAWASSDALWEALCRRDWGGRAASALAERRRERERGGGGVPAPWRRVYAEVARLGTLSSRRVPVRGASPRSRASHSLILVAGWLVLFGGGYEGATPGTAHHHCLLIIVGNPRTSVVAATMQLENELLVLKGGMLGHHLDDTWVAYAGTRAGNRPPTVLNWQQLASGTPSGRFSHSCTLFGDTLVLFGGITDQGQRLNDTWIGQVICEEPRRMRISWRLLEVGPLAPPPRGAHAACCVDDKFIVIHGGIGLYGSRLGDAWLLDLSNGLQSGSWHQIGNTWPLPPPRSGHSLTWIGGTRMVLFGGRGSEFEVLNDVWLFDISDHYPKWKEVKYDLSSALGEVPFPRVGHSAILALGGKVLVYGGEDSQRRRKDDFWILDTLALLQYESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDNSGCFVYIFGGMVDGLVHPADALGLRFDGQLYQVELVLHL >Dexi6B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:6B:22315978:22316615:-1 gene:Dexi6B01G0014910 transcript:Dexi6B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQDPARSKKAKQKVVLGGTSWRGGSFNRHQDGHPQRDHTADDLAHTYDEYGDAPYPPAESPSPSESSSLFSNSDAGSHSTVSTDSSDSTRNSTSTEEYEYLFGASDQMYPGCPMGAPTENDYSTYSRSRSSLSTSLSGRVEDNDERFAQHKPHSGGAGSGWVVGDESPNQGRRFIEKIG >Dexi6A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:6A:5866259:5867167:1 gene:Dexi6A01G0006170 transcript:Dexi6A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLTPRCHLPPLRRSPPPPCAAASTTSQPAPPSLSSSISIPSRADPDELRSTWPHRLWTLAGSAAILSSLSTSASLVFSGSSSPAEPLAAALAAYTLADLATGIYHWLVDNYGDATSPLFGAQIAAFQGHHRYPSTITRREPCNNLHSLARAAALSLLLVDGALAAGDAPAAAHAFAGAFAACVVLSQQFHAWAHEKRGRLPPGVEALQDAGVLVSRAQHAAHHRRPYDTNYCIVSGMWNGVLDRYKVFEALEMVVFFRTGVRPRSWDETDASWMEDVVAGDEVDAGDDGLLQTASSISSD >Dexi4A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:4A:8884438:8888325:1 gene:Dexi4A01G0011000 transcript:Dexi4A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSPSSGQPAGDEDWQQDRSSRRPHGAVLITVPDGAGWPLPASKGDGLEAALLDHAGAPLELEEDGERARPRGHAFSPWRAAVAVLAVAALAAAGYVWLYAGGDAGGVAWRLLKAREEEDRDVRRSFLLPLYPKPRRSGGAPENLTAGNVLFATADILNFLPLPCRLYYTTVSIGNPPRDYFLDVDTGSDITWIQCDTPCRSCAKGAHPSYRPAGANIVPPSDPLCERVQRDPDQCNYDINYADRSSSMGVYVRDNMQLISDDGERENMDIVFGCGYDQQGILLDALQNTDGMLGLGSRAISLPTQLASRGIISNVFGHCMTTDPSGGGYLFLGDDYIPRWGMTWVPVRNGPADNIRKAQVQQVNHGDQQLNVQGKLTQVIFDSGSTHVYFPHEAYINLIAALKGASPRFVQDDSDKAMPFCLKADFSVRSVDDVKHLFKPISLQFERHFFFSRTFNIRPEDYLIISDKGNVCLGVFDGAAIGYDSVIIVGDVLLRGKLIAYDNDQNQIGWIDSDCTGPSKQSRIPFFPRRVLHNQVL >DexiUA01G0016370.1:cds pep primary_assembly:Fonio_CM05836:UA:34789219:34789985:1 gene:DexiUA01G0016370 transcript:DexiUA01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELVTGQYAQELARVANNDNARLLDWVKGLLEEKKLDNLVDNNLNGKYIYVEVESLIQIALLCTQSDPKERPNMIEVVRMLEGDVGLAERWEEWKKLQVVHQVVELSSPPREDWIVESAYDPRAVELSGPR >Dexi6A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:6A:7202766:7202975:1 gene:Dexi6A01G0007250 transcript:Dexi6A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPPKLWWPELLGSPENPAVTKIKQDRPDVSVEVLPNGAPVTPEFNPERVRVFVDLNGLVAQIPIIG >Dexi2B01G0022340.1:cds pep primary_assembly:Fonio_CM05836:2B:31974809:31978323:-1 gene:Dexi2B01G0022340 transcript:Dexi2B01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVVDAGDAPEPTVRNLLDQESLKWVFVGGKGGVGKTTCSSILSVLLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPRLVQELAKFEIDSHNIIINQVIFDEEPVESKLLKARVKMQQKYIDQFHMLYDDFNITKLPLLSEEVCGVQALQNFSKHFLTPYKSALKRGTVEELEERISILKSALQEAESELDRVKKGKQTA >Dexi4A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:4A:17030866:17031534:1 gene:Dexi4A01G0014670 transcript:Dexi4A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFPPGFPYPYYDPPPPARSGPSPPPPPPSYWLTPPTPSGGNSSSHGGVIAGVAISVGAFLLVLTFVCSLCQGYRNNRANVVADASAAAAAAALAARPRPPPVLPPSSHYEEQRRLRSARDDIAGGGGCPRRASPTAGLPSFTYNRAVGHNVTGGGEEAATCSVCLGAFQTGETVRLLPVCLHLYHVECIDPWLDAHTTCPICRSGTDPATDGSLLLPPV >Dexi7B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:7B:20958402:20963002:-1 gene:Dexi7B01G0014850 transcript:Dexi7B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDAPVQWDKVDGAEVANGGGGGSAGRLEKILVSVRLRPLSDKEIARGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRTDCNTKEVYEEGAKAVALSVVSGINSSVFAYGQTSSGKTYTMTGITEYTAADIYDYIAKHEGRAFVLKFSAIEIYNEVVRDLLSSETTSLRLWDDAEKGTYVENLTEVILRDSDHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLRQHDVSPITLEEHLENVKKPFANLAMDLGSSTHLEKEDCTPPSRSFMDHPGRPEGCQRRGSALNFDAESETLSRAGSMLSEITTARDGLKANGSVAGDTEFAGIGEFVAELKEMAHVQYQKEHGDQGENGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGSMGRNVVAGNLNTSLVSSAKKLQREREMLCRQMQKRLTIQERESMYTKWGVSLSSKRRRLQVARRLWTETKDLEHVRESASIVARLIGLLEPGKALREMFGLSFAPQQFSRRSHNSWRYGRSPVLP >Dexi3A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:3A:16682154:16682696:1 gene:Dexi3A01G0021050 transcript:Dexi3A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWLLTDDWTGESASAAVDVCPIAGNHSRLYGRVTVRRTHRDPDGSPDGGVRPIAANCNRCGDGRDTERHSQSGLPTIRQEGSGFAGRSRAPCPCGRRLPGMGGSLSRGGEDRPSSTTDRRLMTTSPCGTTVSEGGGKVPRDVAIVGGREARLRGQVMGADARSRGRPRSRTRDANLR >Dexi9B01G0024300.1:cds pep primary_assembly:Fonio_CM05836:9B:20203800:20211873:-1 gene:Dexi9B01G0024300 transcript:Dexi9B01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEARRLAVCWSLTLLMARVGGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRTYWMLEEDFMHIVLVHYLETKGGKSTRARVNNNMVQEAAVDSPISQLPSQTIEGESSLSGQASEYEEAESADIYSGGAGYHSFTRMQQHENGTGPVIDSSVFGSYTPGSSIGNYQGLNAMAQNTTFYPGNQHNFPIVLNGSSTVVAMNERANQIDLPSWNSVIDLDNGPVQMPPLQFPVLTEQGTSTEGIDYFTFDEVYSDGLSLKDIGATGADGASPWQFSSATGDLSAAENSFPQPNDGSLEAAVGYPFLKTQSSNLSDILKDSFKKTDSFTRWMSKELLEVEDSQIQSTSGAYWSTEEADSIIEASSREPLDQFTVSPMLSQDQLFSIVDFAPSWTYVGSKTKILVTGSILNNSQVTERCKWSCMFGEVEVPAKILADGTLICYSPQHKPGRVPFYITCSNRLACSEVREFEFRPTVTQYMDAPSPHGATNKVYFQIRLDKLLSLGPDEYHATVSNPSLEMIELSKKISSLMMNNDEWSNLLKLAVDNEPSTDDQQDQFAENLIKDKLHVWLLNKVRAGGKGPSVLDDEGQGVLHLAAALGYDWAIRPTLAAGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPSPDFPESTPADLASANGQKGISGFLAESSLTSHLQALNLKESNMAEISGLPGIGDVNERDSLQPPSGDSLGPVRNAAQAAARIYQVFRVQSFQRKQAAEYEDDKGAMSDERALSLLSVKTSKPGQLDPLHSAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRGAGLRGFRSTEGSTEGSSGGASSSLIQDKPSGDDYDFLQEGRKQTEERLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQAMQEKMLEESAEVDDGYFMNELQELWDDDTPIPGYF >Dexi9B01G0031480.1:cds pep primary_assembly:Fonio_CM05836:9B:33843212:33844705:1 gene:Dexi9B01G0031480 transcript:Dexi9B01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPVAYESSASVPEWLNKGDNAWQMLSATLVGLQGFPGLALFYAGLLHRKWAVNSSFMALYAMAAAMPCWALWAHNMAFGRRLLPFLGRPGPALAQDYMLSQALLPSTLHLHAHGGGEVETPAVAPLYPSATMVLFQWAFAGVTVGLVAGAVLGRMSAKAWMAFVPLWTTLSYTVGAYTVWGGGFLFHWGVMDYSGGYVVHLAAGVSGYTAAYWVGPRRKADREEDVAPSNLPVMLAGAGILWMGWTGFNGGDPFAANTDSSVAVLNTHICATTSILAWICCDVAGGGGKPSVVGAVQGMITGLVCITPAAGLVQGWAAMAMGVASGTVPWYTMNRCRRLAVAEEVDDALGILHTHAVSGLLGGVLTGVFAHPALCDLFLPVTNSRGLVYGVRAGGAQVMKQVVAACFVIGWNVVVTSAILLVVRVLVPLRMTDEEVLAGDHAVHGEEAYDTCRRCDCGTNGVDEQT >Dexi3B01G0034720.1:cds pep primary_assembly:Fonio_CM05836:3B:37410106:37412440:1 gene:Dexi3B01G0034720 transcript:Dexi3B01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding YMADDTGVSGLLGAMASRVDLKMSLLKDVMDEYREIKHVIEGVAKEKERLQQEKERLQHEKEILQHEQHANGEALAAMKEDLLASKNTLMAARDAIVTSTEEISQKNSDLEFLKKKLQESEAKNNRAEQQCGNVTELIQPRGVQTRSMQKRRRPSEGPSEYGADENEHTSQLDDRSLPPLELLEYSKVGSMEKQIRLSERYLGNDAANLELTEECPRKDLVHNPHVGQTSRVLVMEEDIQTVRDELIKGFLEIDTGGRTIGIKEMGRLNEKAFKVACLEKVPPEEVGAASYELYSSWQQQLGDLSWYPFKTVTVDGNHQEIVDVDDDKLQELKRAWGSGAHNAVVNALLEMKEYGRLSDRSVAYELWNYKEGRKATMRECVNYMSNQVKQLKVTKRRKNLRYCNIALE >Dexi2B01G0032230.1:cds pep primary_assembly:Fonio_CM05836:2B:40170239:40171255:1 gene:Dexi2B01G0032230 transcript:Dexi2B01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPVMAPSAPCSPRTAAIAGGDHLPGYCYFFSSAPTSPSRASYAAGDASPGVGVDDATTFDFTLGFSGQLQEATPTIAAADELFEGGRIRPLNTPHPSILLVDVYDSTSSSSSFSSSFTTGGARSPRRIRTTAAARGGGDHRQSEAAALETTRGRSSGRPTAIAPASAAASSSRTRSRRATRSLSPFRGGVAGVEDDDEFPSSPPSPRTSMMRGCGSGSKKWRLKDFFLFRSASEGRATTGGKDPLFKYTMLKSGGDGGSASMRKGRGSAASASDMPYAMNRAAAGEEMRRRTTATTPLPFHRNSLFGYLRSNPAIHSISRKLGSQSSSNRSRPI >Dexi1B01G0022760.1:cds pep primary_assembly:Fonio_CM05836:1B:28427080:28430045:-1 gene:Dexi1B01G0022760 transcript:Dexi1B01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANDGDMKTRVILVEDEEMATPTPSKQDKCCEYTLDGSVDIKGRPAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLGQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSVSSHLYLITPEGCGMEHAPCGPHTGKELGIFYMALYMIAFGNGGYQPNIATFGSDQFDEADPSEAHSKISFFSYFYLALNLGSLFSNTFLSYIEDEGRWALGFWASTAAAATALLLFLSGTLRYRYFQPGGNPIGRVCQVAFAACRNWKAGTSPGVVTLYEGDEKSDTGGRKLLHTQGFSFLDRAAASVDDTDAKLGVRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTPFAGFSIPPSSMSAFDITTVAATIFLYRRAICPFLARLTGRPAGPTELQRMGLGLVVGAMAMATAGAVEHFRKAEATEAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGQMPDGLKSFGSALCMMSMSLGNYFSDVIVSAVTRVTTTRGRAGWIPADLNDGHLDKFYFLLAVLAVADFAVYLVCASRYGSGKVDGRSSDEEDGTASPSGSISGAEHMT >Dexi6B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:6B:21491109:21493553:1 gene:Dexi6B01G0013720 transcript:Dexi6B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVHAPPEHDASSRGTAPCSAAAASPSAASSHRHAAVAGGLSCLFSSPCAAPRATGHEELGALWHDRSDESVVLPVGAGFGGGGYSYPQSSSSSPSPFKLRDHLHRSPVPLFHSPTTSPASRSPSASWLAGRERDRLFSSFVRNALGSCIDYAPVTSLPLGVPAAAGVDAAELAFELDESFSEAEPSCEPYAHELLAGAQARHRIFRDELVVKAFFEAERAHRGQKRASGDPYLQHCVETAVHLAKIGANATVVSAGLLHDTIDDSFMDYDHIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEQHKELSSKLVMSFDEALLTSSLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVETEQDCYRALDIVHKLWPRVTGRFKDYISHPKMNGYAE >Dexi1A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:1A:1083771:1085325:1 gene:Dexi1A01G0001610 transcript:Dexi1A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAASDGRDAAVPARMTTVSRHYFGGGACESHHDLRIDIIENIEEDYGMFVWPCSIILAEYVWQQRSRFSGSKVVELGAGTSLPGLVAAKVGGDVTLTDIAHNAEVLNNIRRICALNDASCTVSGLTWGDWDERVFDLHPDIILGADVLYDSANFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKEKHKPSPLG >Dexi3B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:3B:10842020:10842688:1 gene:Dexi3B01G0015000 transcript:Dexi3B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHIVLYINSPEEGYFGGFERAGIDGMLDAMASDFDAWVKGFVPNAVGDPASAPPVQESFQAMHPGVALELARMIFLGDQREALAAVTSPCTIVQVEGDFAAPPSVAEYMSRRMTSAAAADVVVIDSVGHFLQLVAPQQLLDVLEGVLRRHGGEDVEEEEQAAEVEVEADGSIDITA >Dexi8A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:8A:13715140:13722830:-1 gene:Dexi8A01G0009750 transcript:Dexi8A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADPSAAAPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAATVSPARVPDRSTAAPPPLPYLPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGAPGAPGAAGAPPPPVFLFVIDTCVIEEELEYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLTGAGRSGFPKIPQQPGGPQVNGMHPAATAGVNRFLLPVSECECTLSTLLDELQSDQWPVEAGNRAMRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTIEINCSKDIKVQGIIGPCTSLEKELVEGFDQETAAVVLARYISLKMEMEVFNNSPDETAYFRMLLNRESVTNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHEQFAQLLQSPHEEAQMIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVAPGSDIIFTDDVSFQVFCEHLQRLAVQS >Dexi1B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:1B:19349460:19350089:-1 gene:Dexi1B01G0013540 transcript:Dexi1B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTGITNATSTSILWRLAREGKERAGRRGITRAKTSGVGYSILPPSWSRQRACRSRGWRRDSRGGKDDGMESWRTTGAGREGGALDDDGREVLDRRLTTANGKDGGWACETVRGEARFWASRQPAGRRGRRLFSASPCERGRRGVWAEGATWIHGEDGDRCAVDRRRGWGRRRRIPPRQEDGASAQVGEGKGVRVNRVLWEADEVGW >Dexi9A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:9A:4282331:4284523:1 gene:Dexi9A01G0007390 transcript:Dexi9A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSRCSGLLPLPNLVVARCCSRPSSSVRIRPRWGRRRPRSVACVAPPDSAEPQTDEQAVKPESTEEEAQPTSTPQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSEASLKDLAANAVPYEQALSNGKPTVVEFYADWCEVCRELAPDIYQGPCDFFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGNPNIPHARVVGQFSSAESRKVHQVADPRSHG >Dexi3A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:3A:9491426:9494222:-1 gene:Dexi3A01G0013060 transcript:Dexi3A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAAAGDGEDPRWRRSSADCVNFLASRFACSKGTKCQFRHCEGARFNPSCWYWFQGNCVNPSCTFRHPQLESLNRTKPVADPLLSRASASVKAASPCFFYYNSYCKKGGNCPFLHEPPTFSDVVGTCPGATTSDTATVNGNSAEDKKIESWKYALANPHEGSPEHIKKLHSKGVTESSSPVFNGATSNAPETSVDTVGYMKSSMLSDLSSGDSAMEHAEQDESRESSPGFDVLVDDGLPNKIGREHQLAQERDADVLNVKHHIGDPVVYGLDYHDSEYQEQGFSDFERGCYPNYLEGVQGHDYVTTFGHIPHSRLDLVKSTSKEHGKNVLDPISLMALRADFDNQNTQTGDISKQRSERRRNAKGKNGRTKRRHVHEARNGSKKIEQRPTQHMQNSLIGDCYGSLVSATLKGQKKKSRRKQHHDCSAGSSNYTNANAMHLDHPGDFIGPKSLAQIKEEKCRSRPSFSHSTVHVAHGRPSSNDFEGPKSLSEILKAKGRTPDG >Dexi8B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:8B:19236163:19236517:1 gene:Dexi8B01G0010640 transcript:Dexi8B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIHTKHLVVGERQRAADPFVAALLRCVMSGRRHPPYPPPAGPGTTPLTAAFLAAAYLRCSLSACLRRSALAAAGDDDRRRSTASYLAAMASSWSTMPSQ >Dexi4B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:4B:20743221:20745602:-1 gene:Dexi4B01G0018410 transcript:Dexi4B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLQETKDQQNSISEAEVFACPVCYEPLIRKGPPGINLPAIYRSGFKCTKCNKSFTSKDIFLDLTVTSGTKEYTEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFKMAQDYFEPVAGGILLDVSCGSGLFTRKFAKSETYSAVIALDFSENMLRQCYEFIKQDNTLLNAYALHPVAEISRVLRPGGVFVGTTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEAELEDLCKSCGLVNYSSKVQRSFIMFSGQKPY >Dexi8A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:8A:19751959:19752955:1 gene:Dexi8A01G0011140 transcript:Dexi8A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSNAPNLSAFFFKGYPIHISLGGALRLRKTVNTPKAFGKFKHLKYLEIVISDPTIRRDSVIEDSSGYSRPQCLQEECHDNLKNVMITGFCSAKSMIDLTICIIEKAKALECLTLDTTRGYDRRFVNIDKCLRLNKEALLEAKKARIAIQRYVEGRVPPAVNLKVIEPCSKCIR >Dexi7A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:7A:11571377:11572852:1 gene:Dexi7A01G0002790 transcript:Dexi7A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAAEKKPVPHVVCVPYPAQGHVTPMLKMAKLLHARGFHVTFVNTEFNHRRLLRSRGAGALDGIPPRFRFATIPDGLPASDADATQDVPALCYSTMTTCLPHLLSLLAKLNHGDSGAPPVTCLVADAVMSFAYDAAREIGVPSAALWTASACGLVAYRHYQHLVEWGLVPFKDDAQVTDDAYLDTVVHGIRGLCDGMRLRDFPSFIRTADRGDIMLNFFIHEAGRLSLPDAVMVNTFDELEEVALDALSATLPATPLYTVGPLVLHERRVHAEGGELDGLGSNLWTEQDGLLEWLDGHTPGSVVYVNYGSITVMSNELLIEFAWGLAGSGFGFIWNIRPDLVKGDSAVLPPEFVSSVEGRAKLTQWCPQEAVLGHEAVGLFLTHSGWNSTIESISSGVPMLSWPFFAEQQTNCRYKCTEWGVGMEIGGEVRRVELAEMIREAMGGDKGREMHRRATEWKETAIRATLSGGTAERNLDKVVNEVLLKKKR >Dexi9B01G0047130.1:cds pep primary_assembly:Fonio_CM05836:9B:46327445:46327762:1 gene:Dexi9B01G0047130 transcript:Dexi9B01G0047130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRRVAGRGWPLRVAGGCGGPWPAAASRWPAVAGRWWPWPAEAGRWPVPAVSGCDWLVAGYGWPAPAEAGCCRLWLARGRSWLAGANARAMGSNVKRLGAPQR >Dexi4B01G0022220.1:cds pep primary_assembly:Fonio_CM05836:4B:23904210:23904653:-1 gene:Dexi4B01G0022220 transcript:Dexi4B01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGYRAEPKNIPPPSGSPTQVRCRCTAKISAASRSASAGRTDSPASRAAAGAARSMGAASGRARRPAPPRPVVVAWPPPPSQAAALGCPRPPALLPPPALTGLFPSLRRQTPSPFQPLPQIEGSRFLHRQRPLTDTGSRRGMAPP >Dexi9B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:9B:3681505:3682878:-1 gene:Dexi9B01G0006210 transcript:Dexi9B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCYLFVKSILMGHTIQEVVLMALFGIFIWTLIEYTLHRFLFHIETKTYWSNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCFPFWNLVACFATPSTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSTLWDTVFGTLPPLMTAGKN >Dexi3A01G0028910.1:cds pep primary_assembly:Fonio_CM05836:3A:29860713:29861798:1 gene:Dexi3A01G0028910 transcript:Dexi3A01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTTQRARPGATAAAERKKRREMARAASAIAAGAVPHPPLAAVVNNKATRRYEKLEAIGAGAYGVVYRAHDHLTGDTVAIKCLNADDFDPHRLDSIFSDEVAALDACRGLPCVVQLLDSYRRRCGDAVTGDEEDEAFIVMEFVGPSLKDAMRDPHGLRRRHGEDETRRIARQLLTGAAAMHAAGLMHRDMKPDNVLIDGHGDLKICDLGRSRAVADKPPYTNPVVARSYRAPELLLGRTDYDAGVDTWAIGCIVAELLAGSLLFNGDTNVEQLGEVLSILGTEDIKDWSCCPERLPSGGCGPTSFLPDLFPSCPEVAMATGRPLLSEAGLEVLSGLLRCNPEKRMTAAAALEHRWFDED >Dexi7A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:7A:20990457:20994149:-1 gene:Dexi7A01G0010150 transcript:Dexi7A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRLVTMAPRAPCYTLPLPSPPSYKNISGCYGRRLARRAMSVEAASQHHLGTATPTAQQQQQQMTARLEGGSLLVGGRELLAGAPPNVTLRPAEAEAEAAPGAAFLGARAAAPSSRHVFSVGTLASGWRWLSLFRFKIWWMVPATGAGAAAVPAETQMLLLEFRDEAGSAAAEGSAVYALMLPVLDGNFRASLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDNPFNLMKESIRLVSKIKGTFRHIDDKEIPANLDWFGWCTWDAFYKAVNPTGIEEGLQRFAQRLTDLKENHKFRGETCKNLGDLVKKIKEKHGVKYVYMWHALLGYWGGVQATTDLMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIVDPDKIYEFYNDQHSYLSTAGVDGVKVDVQNVLETLGHGFGGRVAVTQKFQQALEESIAQNFKRNNLICCMSHNSDSIFSALKSAVARASEDFMPRELALQTLHVASVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGIYVSDKPGVHDFNVLKKLVLPDGSILRARYAGRPTRDCLFKDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGQWVWPVKDIACVPTSINITGHLSPSDVEFIEEIAGHNWNGESAVYAYSSCSLSRLQKHQNLEVSLSTMAYAEDQGDSGRIQPPGRSFAEFTGSKWSSVIQRMAC >Dexi4B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:4B:6705241:6705776:1 gene:Dexi4B01G0009360 transcript:Dexi4B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRASVSEEEINELISRLQTLLPNARRRGGSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSLLR >Dexi9B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:9B:2074475:2076219:-1 gene:Dexi9B01G0003620 transcript:Dexi9B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVSNF >Dexi8B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:8B:7234821:7235517:1 gene:Dexi8B01G0006250 transcript:Dexi8B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLITLIKPQFEARRSQVGSGGIVRDPLVHKEVLDRIISGVEEFGFCNKGWIESPIKGAEGNKEFLACFHRIPVSESQPEVETKADAEGMTGNAA >Dexi6B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:6B:847769:849199:-1 gene:Dexi6B01G0001020 transcript:Dexi6B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGATNGRVHVISRRMVRPSTPPPATKEDEVEVINLTPLDLRLIRTDYLQKGILLPNPPVIAGGATTLVDAMEASFARALARFYPFAGRLAADERDDGTVTVSLRCTGEGAEFVHAVAPGIAAADIVSSIYTPAFVRDELHSFDPAHGGEVAADEGLPLVSVQVTELADGVFVGITLNHSVGDGTALWHFLNTWSEINRRGVAGVGDDDDGDLSTPPPVLRRWFEATWPVPIPLPFAKLEDIARQVEQTKVTECFLTFSGESVINLTSRANNEITGTATITALQATMAHLWRAVCRARRLPRQQVTSYTVVIDCRGHVEGIPRGYVGNAEAFGKAEATAGEVEEKGLGWTAWQLSRAMSSFDEASFREEVDTWVRRPEFLFITSLTFAGTAVATGSSSWSEVFGNNDFGWGMAVAVRSGAGNKTDGKAAVFEEPPELGGGMAVELCLATDALERLVADEEFMDAVSLPPGGLSG >Dexi3B01G0026720.1:cds pep primary_assembly:Fonio_CM05836:3B:22160901:22161625:-1 gene:Dexi3B01G0026720 transcript:Dexi3B01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPRPRLSNRYSTSNGCCDLVERITRPLGRRILVCGRVTREVLWSSSNPRTLGAVLQSESSVCNAPLRVIHAIIPEYNKSAHVSIV >Dexi7A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:7A:4538304:4540060:-1 gene:Dexi7A01G0001740 transcript:Dexi7A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLQTTTVTMSVDSSYDRTAELHALDATLAGVRGLVASGVKHVPRIFHLPHPEEQLGGGDQQPPSATVPVIDLGGDRAAVVDAVGRAAAEWGFLQVTGPGVPEEAMASAMAAVRAFHEAESGEGSDKARLYSREPGKAIKYHCNFDLYQSPVANWRDTLYLRMAPDPPASDELPESCRDVLFEYAKQVKNLGVRLFEVLSEALGLEPSYLTDIECNQRQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLMQLISNDKFSSVEHRVVAKNAEPRVSIACFFSTHFHPASTRMYGPIKELLSKENPPLYKETLVRDYIARYYSVGLDGGQKTALTDFWL >Dexi5A01G0024280.1:cds pep primary_assembly:Fonio_CM05836:5A:28144994:28145374:1 gene:Dexi5A01G0024280 transcript:Dexi5A01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAATLDTADQNPVECAPTAVVTCLHSATSPASVASDSPPSRRASCACRIAASVRSRSTLPPSDSMSHLTLAATSSAATMPSSLSYPSTATASRISSSKNTRFILCSA >Dexi3A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:3A:14021918:14023284:-1 gene:Dexi3A01G0018340 transcript:Dexi3A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFQGDGDGQGLATDAFDGRGVEELIVDSTYPIRFFSSPPLRSVELINCNWLPTEPPVLPPVFAKLKEVSLRAVNFSTAAVYALLEQCVELESLLLSSLYRSQAGMESILQVRSQSLRCLFLEVLGLKEVVIVDAPKLERLLGEVLYKHSHCKVTLGNAPKLQIVGFLTMELLPQPPVPPEMMGMSLEPSSRIHSVKILGLCVNLCEIDQVKRMLQMLSYFPCVETLNIKIFTSLSIIRTLVRHKIPYSTDLLELAGRADCLRDRVKTIVVGDLWLHTDTLGLDFAKILLESAKKLQLMKIFHIPVGKRKESRSYRKKLGLKSNPSIKARVVFPRDYISSRQVSDVLMDASSLAIPDPMFYQRTFRSWYIRKEWNCYIQAMEG >Dexi5B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:5B:10653617:10661188:1 gene:Dexi5B01G0014280 transcript:Dexi5B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAPSEASVVAGVVVGGGPPPSDLTELMKAQIASHPRYPSLLSAYIECRKVGAPPQVASLLEEVSRPESRAGPGAGEVGVDPELDEFMDSYCRVLVRYKEELSRPFDEAASFLSSIQAQLSNLCSGGSSPAATTATHSDDMMGSSEDEQCSGDTDVPDVGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARTVLLEWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVAGGSSGTTLYFDTGTIGP >Dexi4A01G0023880.1:cds pep primary_assembly:Fonio_CM05836:4A:26826656:26827193:-1 gene:Dexi4A01G0023880 transcript:Dexi4A01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVSFVVGRLAEFAVKEAGVLKEVGSDVVLLKDKLQWLHTFVQQEDHRRRRRAIPYMEVWVKQTREVALDLEDVLDEFMLRVDLQQGLPIWTKCLKLLSACTTQISVRHQLSARISMIKARLDQISSHRHEYIPNANANANANYASADGTH >Dexi9A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:9A:3966095:3971288:-1 gene:Dexi9A01G0007000 transcript:Dexi9A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPASKQTATLQVAVKCRPLTDTEQRRARHIIQTVVVLDPDLSKDYLDLIQNRTKERRYTFDHVYAPACSNSDVYKNISSTIAGVVQGLNATVFAYGSTGRSSGHLELREDPEQGIIVAGLRSIKKNIGTLDTHVEDYQKMIDNLQVEVSQLKKELAEKEHQLSAKPTEKAADSVNSRI >Dexi1A01G0025390.1:cds pep primary_assembly:Fonio_CM05836:1A:31645050:31645761:1 gene:Dexi1A01G0025390 transcript:Dexi1A01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQDPAEVVTVGLGVEGSVEALEEGIDGVDPTSGKVAHDAEDEDADHKRVGLVAAAPDIDEAERVGRGVGPALGAQQAAETVAPEEGDDAAEANPRQCRTVAAETPRRLRHRNFSQAASIRWGNED >Dexi4B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:4B:18300809:18305498:-1 gene:Dexi4B01G0016260 transcript:Dexi4B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRLLLLAAAAAFIYIQVRLFTTQSHYADRLAAAEKSENQCTSQLKSLIDQVSMQQEKIVALEEMKIKQDEERAQLKVLIHDLEKRSVQKLLNKNVVPVAAVVIMACNRPDYLERTVESILKYQTSVASKFPLFISQDGTNGAVKKKALDYKQITYMQHVDLEPVRTERPGELTAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDSDKTIMAVSSWNDNGQKQFVNDPNLTYWDDWVRLKEVHGNRQFIRPEICRTYNFGKHGSSLGQFFEQYLEPIKLNDVHIHWNSEDLSYLGEDNFVTKFGKEVASATPLHGSDAVLKAHNMAADVRIQYNDQEDFERIAHQFGIFEEWKDGIPRTAYKGVVVFRYNSSPRRVFLVSPDSLHQLGV >Dexi4A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:4A:24033999:24034241:-1 gene:Dexi4A01G0020380 transcript:Dexi4A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLAEDIDSAVKRLSDEAYEIALSHIRNNREAIDKIVEVLIEKETLNGDEFRAILSEFVEIPVENRVPPATPAAALPA >Dexi1B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:1B:23582457:23583414:-1 gene:Dexi1B01G0017200 transcript:Dexi1B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKAEEDDAPLHGKAPPATGFPMSGGGGGGGGGYYQAGGATAAFAVQAQQAPVAAWSTGLCDCFDDCGNCCVTCLCPCITFGQVAEIVDRGSTSCGTSGALYTLIMLLTGCQCVFSCFYRAKMRSQYGLQESPCADCCVHWCCECCALCQEYRELKKRGFDMKLGWHANMERQGRTAATMPPQMHPGMTR >DexiUA01G0006700.1:cds pep primary_assembly:Fonio_CM05836:UA:12997731:12998774:-1 gene:DexiUA01G0006700 transcript:DexiUA01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLTVFLQLVEMDKKESGIEELESVFTYESSQTIRISYSAIKFITKKFCQVIGRGGYGTVYLGSLRNNVMVAVKKLDTSRNFSDEKFLGELKCLKTVNHKNIVRFLGYCACTDGEVMEIQGKDTVADELRRFLCFEYAPNGNLHDYLKGICYITPLLYPVMVMTAPLVLSAEKPPGYEWSIRYKIIKGICQGLDYLHGKGIKHLDLKPENVLLGAEMEPKITDFGLSRNDGTQSTIVINNTLGTPGYIAPEMIDGHKISFKSDIYSLGIIMKRLLMGTHEYIPQN >Dexi3B01G0033410.1:cds pep primary_assembly:Fonio_CM05836:3B:36077062:36081156:-1 gene:Dexi3B01G0033410 transcript:Dexi3B01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKPFDDSEWAEERFINGYAVFMGYLLMGVRGLGVLIVTWTTVVLLGGFVSVLGNKDFWCLTVITLHDLGNANGGANLKPALKVLYCLAAAQGFLFVYKTIYSFTATIGLSKFVADLCSVDKYLVSDYLEYTVAWCEKDPSFATGRNLVTYAMGLVTEAKLDDRGFIAGVRILGRVVYGCETRLVAVRHLLTRSASFSHVVRALLEALGPRSSYSVELRVHAAKIVAHVACSIHLEDRTFPGEMVIQGISSLLDTFEEHTWRPDGYDELPKTKASDNSNNSPLVDHKRLVVQGLRILRNLAADEGNCRVIVSSKEGLLSKALAPLISCSLLHGDDDHDGWSFIASVSTELLWRLTMASSEVSRHDQEILAALRSIIECRKCSVLLKRQAVQTLLLLSADTSSITSGGGRSGTIFTWTLLSIFLLPDYCFDVMSVCVHKAKKSSCIGKLAGEKLKAMVPSVGDVFGSLAGALIDGSQDITYRLDAASILESLKMATYKKKATSFGKP >Dexi6B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:6B:15906217:15907465:1 gene:Dexi6B01G0009860 transcript:Dexi6B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRPRRDEAAGMAQGKAPAATPQEPIKYGDAFAVKGELAGQPIAPQDAAAMRSAEASVPGVQVPQESGGGFSAGAFMESAAQYNEAVGAVRPGQASEAAAKHGVNITQDAVPGGRIVTEFVAGQVVGQYAVAEVPAQQDAAAGKVAGTEGGAGHGGDAGAGGRPAGATAAKRD >Dexi9A01G0028640.1:cds pep primary_assembly:Fonio_CM05836:9A:33417175:33424959:-1 gene:Dexi9A01G0028640 transcript:Dexi9A01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSKASMARVSSGAPRAHAPACGRSPGSAAGRTTAAPRRAPRPLRARGSAVSGSGSAPSPPPRTLLSALAARHRRVRPAPLLAVVALQLELLHKNRKSRSNGALRESGLFGGGGDGAAMDRKRIKDTLEMRSSTSTSRGVSAKERERLAAGKPLSSLGKVQIVSDGESESDSEDSDISGSEGEDTSWISWFCSLRGNEFYCEIDDDYIQDDFNLCGLSNQVPYYEYALDLILDIESSNGEILLTSLIPT >Dexi3B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:3B:12690537:12692862:-1 gene:Dexi3B01G0017230 transcript:Dexi3B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMGSVARAVAAALVVVVALASSVATAQMESCNAELPPVLVANYSGLGCQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWIGKKGLPHIRQFALRGKTSSKVVVDRGFLVSNDHDHTVVVQQAKIYLAFQLKFSYRLTHQHIIMAFGNSIPVKNKLTRHQDKTSFTFDFTTGRASVDGSFPYGLRRAHGALNVFAWGVLMPIGAIVARYFRRVDPLWFYLHVGLQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQIVAFFLRPNADSKYRKFWNWYHHWAGRLALFFAAVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >Dexi9A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:9A:8078630:8079205:1 gene:Dexi9A01G0012650 transcript:Dexi9A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLALLLLLPLLLVAGAAAAQAEAEEFVTATTTSPPAPTPPSPPHKSATLAELLPRYGLPPGIFPSTVTAFSLAANGSLIVDLAGPCYTHFEYLTYFAPRVTGVLRYGSLSDLQGIQVRRFLIWLNVIRIKVDLPPPPHYVYLDIGWITRKLPVADFQSVHSCEDSNRCRLSSALAVAARWFQVGTIPLL >Dexi5B01G0029840.1:cds pep primary_assembly:Fonio_CM05836:5B:30949467:30951044:1 gene:Dexi5B01G0029840 transcript:Dexi5B01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYIVYLGDVKHGHPVDVVASHHDLLSNVLGSNPLRQQCLLCSLVNSIDFPEVLSVQPSRRYTAKTTRSWDFLGLNLNHQMPSELLHSSKYGEDIIIGVIDTGIWPESRSFNDEGYGHVPARWKGECQVGQGWDRSNCSRKIIGARFYSAGIDEEILKDEYLSARDSDGHGTHTASTAAGSVVEAASFHGLAAGAARGGAPRARIAVYKSLWGPGGHGSSATVLAAIDDAIHDGVDVLSLSLAAPGENSFGALHAVQKGITVVYAAGNDGPIPXXXIESPAGVKVEVEPSVLIFNAANKVHTFKVKLSPVWRLQGSFTFGSLTWYNGQKSVRIPIAARITVHDLFAEVA >Dexi3B01G0022030.1:cds pep primary_assembly:Fonio_CM05836:3B:16888900:16893021:1 gene:Dexi3B01G0022030 transcript:Dexi3B01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGKKKPHQARNGAAGGGGGAGAGEKKRLSVLGEEGFDVGAGIEEKYALDRELGRGEFGVTYLCVDRGTREQLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVRNISSYENNLVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKNGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALVEDGGADSMDVVNDILNEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSLKLGNE >Dexi3A01G0023630.1:cds pep primary_assembly:Fonio_CM05836:3A:19312634:19318614:-1 gene:Dexi3A01G0023630 transcript:Dexi3A01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAGAHYSARTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMDEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARPIAQHRQFATCLRIVTACRSPEPSRPESHSKATKVAAPPKDDDDESGEEYEEEEERDNTLCGSCGTNDGKDEFWICCDNCERWYHGKCVKITPARAEHIKHYKCPDCTNKRARA >Dexi1B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:1B:8059862:8064445:1 gene:Dexi1B01G0009120 transcript:Dexi1B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTFSSAAARRMASGSGAPSATEALRRRRILSSRLYLDDVPSSKAPVVYSPAYDISFNGIEKQHPFDSSKWGRVRNFLEDAGILQSDRIVEPLEALEDDLLVVHSESYLNSLKNSEKVARIVEVLLQSELHIFCLVGGSVLSAKLALERGWAINIGGGFHHCSAEEGGGFCTYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEKDFGSDGRVYTLDMYNSGIYPFDHVAKKYIDHKVELDVAQSRFQPQLIVYNAGTDILDGDPLGRLKVSPEGVAIRDEKVFRFAKDQNIPLLMLTSGGNFVRHSTADLYSLNIYLLMILVLTSSESIYLEVLSGEKSPWIEAAESYSYPGLVFGM >Dexi3A01G0032970.1:cds pep primary_assembly:Fonio_CM05836:3A:37773961:37776853:1 gene:Dexi3A01G0032970 transcript:Dexi3A01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAAPAAAAATGFFSSPTTVSPRRFSFSAPPSSLSAGRCVRLRRLRAFPSSELPLEELNPSVDLLRKTAEAVGDFRKTPIYIVGTDCTAKRNIGKLLANSIIYRYLCSEELLEDVLGGKDALRAFKESDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAANDMLKSTGTQAATDPDSFSQAMSKLRQQYDDLKERYGISDITVSVKNVASRLGYSSVDSVTLEDMVLEIVRQIERLIRTKAMMEAAGKPF >Dexi2B01G0034330.1:cds pep primary_assembly:Fonio_CM05836:2B:41574861:41575783:1 gene:Dexi2B01G0034330 transcript:Dexi2B01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASSSSSSSPSSSAPPPSKSALRLNPAAVLLRRLPTPTPSTATPVTASAPAPARANQLVAFLSSLIPWREQQGSPKKQPDPTASAASSSAAAERRAARAAAEAEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKEEGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLEGSRKTPARDGVAAAVIYRAMCIFGLKTILIPYRTNLVRLQTGPNYADFFADFVKRIASSSGRPTGGEKQRL >Dexi3A01G0033380.1:cds pep primary_assembly:Fonio_CM05836:3A:38166388:38168099:1 gene:Dexi3A01G0033380 transcript:Dexi3A01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVDLIDSGEKGNVATDVWVPASHPPPAAAARSNDDDGYEWTKSSNPTSPEHAGGIGGGGGGSGTTSPPFSPPPSRYLTTSLIVGLIAGDGWEHHSPTFTAAATSAASYPPSPETTSRGSTTFSTSPAAYLSHAHSASTSSSGGARGSIGRRPATTSSTTAPNANTSTAVVAFPVLVSSGASVPTTLVVLGLAPRSWSFANPKSPSLADMSASRRTLLALTSRTTLSHPSCKYSSADATSRRIRRRSGHPGPLEVVVAEEVAVEASVGHVVVDEEEVAAAATPALELDEVPVAEPADGGDLGDELAVALAGLVADALDGDGLAGAREDAAVDLAEAAGAEELALAEATGGSPELAAPYAAAPASTTAATNAENAAARMRDRRERRDVERRGGAAAEAEAPAADVVGACEETRRRREANVHLVPEDPRQIDGERDEWLRRLRRRIGGGVGDGDVEELSDAVVIDPGNGVAGLEVDGVSGGGFVGDEAVEVDADVVAIDVPKLEVLDGVELDGEHVVGGVAVVGGAEES >Dexi7A01G0024240.1:cds pep primary_assembly:Fonio_CM05836:7A:31999224:31999805:-1 gene:Dexi7A01G0024240 transcript:Dexi7A01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGLVRSSCATQAEEEEDRRINRACRSAVVAGFCSFFRVVLLGAAVAGFCSFFRVVLLGAAVAGFCSFFRVVLLEGDTDRSRTRLALSMVLGMGVASLTLPGGGWTRSRSRGEQSADDDVVVMVVVGGGEGEGEASPAVDNGSAGAGGGLLVESGGEG >Dexi4A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:4A:6447310:6447742:-1 gene:Dexi4A01G0008610 transcript:Dexi4A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDNTVELDARTGHKGRRPTEDVAVDRRLAVSAPPGARSAMLLLHDHGDVVPGKLVAAAAIKEVVMSPTRSSPRLAGVSDQLILERAKIRAAWKNLDREDKI >Dexi2A01G0031180.1:cds pep primary_assembly:Fonio_CM05836:2A:41941573:41947005:1 gene:Dexi2A01G0031180 transcript:Dexi2A01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAPQTSHGPSPARMAPVASPPLPSRAPGFLAAPAIRSSRRPPCLLGPSRSASPLLSAAPAGGFPRAFRAPSSTTSERRRDTVRTFSQADAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENSHLINWWLLSKAFYGLLALICGNGYIVGINQIYDVAIDKVNKPYLPIAAGDLSVESAWFLVILFAAAGFSIVVSTFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLVANYIAAIVVAFIMPQVILLLLLTSLAFFPLLTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >Dexi7A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:7A:6750048:6750467:-1 gene:Dexi7A01G0001990 transcript:Dexi7A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDHNKSKVSPPPLADNVAAIFQQVQIRFDSLAQDVAAIPTMTARLEGRQPPPPPSVTLPKGFLYGKPGFGSTAAGGSSSSAGSSTAAVAMTTAVGVTTAHHQHRISAVTISTVAPRQRRQRPSQRPLFPPATAYQQH >Dexi5A01G0032890.1:cds pep primary_assembly:Fonio_CM05836:5A:35283781:35284514:-1 gene:Dexi5A01G0032890 transcript:Dexi5A01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAYGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSASGSNDEDAASLEATVEAPDLVLHPPESKREARSYMTHHHHRLLTCYVRDVVEQQAAEALWERPTREVETLELFPLKSYVELEAEKVRYVSEQCREYSFFDVAGGRDPPLELRLCSFGP >Dexi3A01G0024130.1:cds pep primary_assembly:Fonio_CM05836:3A:19721342:19722883:-1 gene:Dexi3A01G0024130 transcript:Dexi3A01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNLSTESIFSRKYGLLGKEEAHENAKRIEQLCFAWADEHFKEEPDGDGSSAVQLYAKETSKLMLEVLKKGPRTTGEPEATVADAPFEPVDTILDISGGKRSFIEAEEAKELLSPLTKAGNSYRRICFSNRSFSIHAANVVGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQENLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAMYIAEMVKRSPNLESFRCSATRIGSDGGIALSEALGTCTHLKKLDLRDNLFGVEAGLALSKTLPKLSDLVELYLSDLNLANKATVAIVNALKQSAPQLEVLELAGNEINAKTAPALAECLTAMQSLKKLTLAENELKDDGAVVIARSLEDGHADLRELDVSTNMLQRVGARCVARAVANKPGFVQLNIDGNFISDEGIDEVKDILKAGKNSLDVLGSLDENDPEGEPDDGDNDEDVEDDEDGLNSKLQNVKVEHDD >Dexi4B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:4B:22705418:22706930:1 gene:Dexi4B01G0020570 transcript:Dexi4B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLWWTALKKTLSCKSKDSCDVIKRDDPRGGSTRGLKRSFLPPPPAMRSGCSRSISNLRDVIAAGGNRRHPPTAVASRCCDSPRSIESSDVLNAATMTQDVLVVAAGDRSPIGPGLAAWAIGGAAPLSPLLTRCSTARFSSQRKNSPRQLSPLRRSAGVAAGNNGGVASPIPAWASSGVGVRCDRCGGLFSSNDALESHHLVYHAVTELEGGDTASKVVELIYRVGWPNPEDAMDRVERVVKVHNMDKSVDRFKEYMEDVKARAAKLPNKHPRCIADGNELLQFHGTTVSCSLGSGGSHSVCASGTCNVCRIIRHGFVSATKETMNAGGVFTTSTSKRALERLRVTIAGGGEDGGAGEVVKHALIVCRVIAGRIRRPLENPQVDVAGKVGFDSVAGHVGADSSIEELYLLNPSALLPCFVVICKA >Dexi2A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:2A:14211401:14214088:1 gene:Dexi2A01G0012200 transcript:Dexi2A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARAISPAAAAPPPLPRRLRPARCTGGAAVTETATAGPARVATVSNRGDSLAICRVLNGMWQTSGGWGRIDRDDAVEAMLAYADAGLSTFDMADHYGPAEDLYGMFINKVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWDYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLKKVSLKHGVPIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRA >Dexi9B01G0028230.1:cds pep primary_assembly:Fonio_CM05836:9B:30839188:30842722:-1 gene:Dexi9B01G0028230 transcript:Dexi9B01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPETASDGKALTDAWDYKGRPASRASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGAGADCVPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEAHDGERGKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGICAVGILCGLAVFLVGTRRYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPDMLYDIDDAAAAGADVKGKQKLPHSKECRFLDHAAVVNTESPATASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMNRAIGGSGFLIPAGSLTVFLIGSILLTVPIYDRLVAPVVKRVTGNPHGLSPLQRVFVGLFLSVAGMAAAALIERHRQATSEHGVTLTVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVDKVTGHNGHGGWLADNLNEGRLDYFYWLLAVISTVNLVLFTLAAKGYVYKDKRLADAGIELADEEAIAVGH >Dexi5B01G0035350.1:cds pep primary_assembly:Fonio_CM05836:5B:35364622:35367100:-1 gene:Dexi5B01G0035350 transcript:Dexi5B01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAARKAAWVLVVWALAAAAGLGSASARAPLVGSSSKPQREFDYFALSLQWPGTICASTRHCCAINGCCRSEPLQTFTIHGLWPDYDDGTWPSCCRRTQFDLDKILPLMETLQKYWPSLYCSSSSTCFSGKGLFWAHECFAIQLEIS >Dexi9B01G0041600.1:cds pep primary_assembly:Fonio_CM05836:9B:42061120:42063702:1 gene:Dexi9B01G0041600 transcript:Dexi9B01G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPAPAALGALRRRLPRVVTTSGFIDDSPASPSSPPRPRTIVVANQLPIRSHRPASPDEPWTFDWDEDSLLRHLHHSSPPSMEFIYIGCLRDDIPPADQDAVAQALLETHKCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPELGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLVVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLALPETEAKVTELMEMYIGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVVEVQTETYAMVRRINEVYGAPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPENEKRLRHDKHFRYVSTHDVGYWANSFLVDLDRTCKDHAQRRCWGIGFGLRFRVVSLDLSFRKLSLENILIAYRRAKTRAILMDYDGTLMPQAINKSPSTESVRILNSLCRDKNNLVYLCSGYDRRTLHDWFPCENLGIAAEHGYFLRA >Dexi9B01G0030240.1:cds pep primary_assembly:Fonio_CM05836:9B:32752853:32759303:-1 gene:Dexi9B01G0030240 transcript:Dexi9B01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPPPPRFQPSLHLQDTKPNPSPSRSTPRPAATTETLRRRLLRRGVSPTPKILHALRKKEALKALRRARKDTAAAAAAAQHPCEEALAVAEEDEEETRFRAAAAEYRALVGRPWDGAARGVALPRGGGREEEGLEGLKEMLVARRGDGFRWLLDDDIETEAAERKQQKRPGTGWDSEAEDEDMKIQLLVTRMMKKADLIYNEDNLLRILDGLEAQGNWRQALAVTEWVYNENSYRHRKSRFVYTKLLSILGKSLRATEALRVFTIMRGDAQIYPDMPAYHSIAVTLGRAGLLKELIKIIEYMRQKPSKKVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRISGVPPTGATFGLAMEVMLKAKKYDFVQKFYEKMQKNGVTPRAITYKGNIMFFFQVHKLKQLPLTKPLEYTFTGMILASFNGGHFYECISIFESMKDYCSPNIGAINAMLKVYGRCDMFGKAKDLFETTRACFSNSQTFGHEHSSLTPDAYTYSCMLEASASAQQWEYFEYVYREMALSHHYLDQSKYSWLLIKACRAGKSYLLEHALDSILERAEIPDAQLIVELICQSTAQRDYGRVLQLLNIMTEASIKINEVEWANILQQNVHQFSVDALQDIIKYLSSSGSINADPALSFVKALGSQCETTSMKSTCLLVDGSSTRQCECSLLVNEGKTLRNNLTEQDQLTDTCKNLCTNEHLDVPHSDRDDIPQLDVAAVMSRDISLSRPRLENINGQCDLGHWGPQVSAIDEVLDSMSSYGDSSYREMPSASEILELWEQERMNDVFDPKAESKTTLIRGL >Dexi5A01G0026480.1:cds pep primary_assembly:Fonio_CM05836:5A:30115411:30116035:1 gene:Dexi5A01G0026480 transcript:Dexi5A01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVKPLPPKPLPTRSSIPPHNLPKNFSAELSQEDERLALVLAAGAPLLPLSAASQGSALPPPAGANPSGTVENETLPPSPTREGSAREVTALALARLSARRRPARRVRRGEDAAARSGKGWYLERPEWSMASARRGIGFWGFGLG >Dexi2A01G0027370.1:cds pep primary_assembly:Fonio_CM05836:2A:38792798:38794093:-1 gene:Dexi2A01G0027370 transcript:Dexi2A01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATSRTISGGEALGMFTRQGLEQFKNEVQSLVKLQHSNLVRLVGCCVHEQEYLLVYEYMPNGSLDQFIFGNNHSTRCCVDRFWGVWLILFDFADRQRQPLLGWEKRLRIIGGVADGLLYLHKHSRVRVIHWDLKSSNILLDKELSPKISDFGLAKIFRPNTLEGNSKRVVGTLGYIAPECSREGTFSVKSDVYSFGVLVLEIIGGKRNSEFSCIARHVSIKESELIDPALGVQGEVGIIVRYIKVALLCVQDSAMDRPTMAEVIAMLAVRGDAGSLPEPRCPRQVSSSGVSTGLPPSGVSTDMLGIQTQSRHTKSSINEVSITVIEGR >Dexi5B01G0031170.1:cds pep primary_assembly:Fonio_CM05836:5B:31985532:31986393:-1 gene:Dexi5B01G0031170 transcript:Dexi5B01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLATVAVAVLVAAAVVAPPAAVRAAMSCSTVYNTLMPCLPYVQMGGAMPPRPCCGGIRSLLAQANNTPDRRTICGCLKNVANGASGGTYVTRATELPTKCGVTLPYKISTGVNCNTIN >Dexi9A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:9A:15015297:15015536:-1 gene:Dexi9A01G0020030 transcript:Dexi9A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRVVNRRRAVARSPRHRSRTAGRCAGRSSLRHESNAGDLESGTTTLESSSDDLDLGLTLRYEDAAGLLIRASSHGLA >Dexi9A01G0033940.1:cds pep primary_assembly:Fonio_CM05836:9A:38787103:38790150:1 gene:Dexi9A01G0033940 transcript:Dexi9A01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFESIQSENIADETGEADEDVRTNADVQLPDVNASEKTTVTVTEVHEETVVHVTQQAELPTTEVSQEFTVSATGGPSPSIDVEASVNEGSTAKEVPESISGGNLAIEEAQLHVEINDDPVLEKTSDVDTNEAVIVNDAVSTDVKSDVATSEVKSDATEASKIQEQGSAPTSVDAITSDATPMDTDITAAPVTDDGEKLAPKDDLGDKVSMYDEDSEPIVSKPNNQVPEVSPDLGSQIKCESISSDLSTNKKNDIEDNLNANNFDLELEVKPKMVEPSSGITSLGGDLQPLDDDKELVKNQSSVEGKDSTANVDSYNKNSPEGSPEKLNLDRSSGDESMEEDVMEIKQVESNMKSDELMGNTELNSKDMKVVAIPDSFVEASSVDTKEVIAEEKSAASTEKRKHEAEEVVANTEPIKRQRRWTADGAKVPERQKLDQTASDAPKDVFQPALKRSFGRSDSTASVDSPKERIVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGAVQNFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLIAEFVDPQEVKLKVDPPPPPPAAPVSPPAGARAPPVQQAQANQNVPRQAATLKEQLPPPPPLAKPPTADPAASTRERLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEEVAAKLAAQSKGKKE >Dexi3A01G0026180.1:cds pep primary_assembly:Fonio_CM05836:3A:22247149:22251245:-1 gene:Dexi3A01G0026180 transcript:Dexi3A01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLRARNYPRTMTRRNGCTIYVGNLPGDIREREVEDLFYKYGRIVEIDLKIPPRPPGFAFVEFEDPRDAEDAIHGRDGYNFDGNRLRVELAHGGRGPSFDRSSSYSSAGRRGATRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATIGIADYTNYEDMKHAIRKLDDSEFRNAFSRAHIRVREYDASRSRSRSRGRSRSRSKSRSRSRSRSYSRSRSRSYSRSRSPRSRSASRSRSPVKARSPSRSHPPHASVDEG >Dexi8A01G0007420.1:cds pep primary_assembly:Fonio_CM05836:8A:8383934:8384179:1 gene:Dexi8A01G0007420 transcript:Dexi8A01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKDEDDSGGEEDEEEEDHENTLCGSCGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCTGGSKRARA >Dexi5B01G0021410.1:cds pep primary_assembly:Fonio_CM05836:5B:23700392:23701813:-1 gene:Dexi5B01G0021410 transcript:Dexi5B01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHFLVRMAGLRQHTVDVDGAGTVITFWVPKDKVPNEQKPTVRDVTPGATATTTTTTKPPPPPPAKKNDRPAVVLVHGFAAEGIVTWQFQVGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGYQAECLATALRKLGLGACTVVGFSYGGMVSFKMAEAHPDLVRSLVVSGSVVAMTDSISETTLERIGVKSSAELLLPESVKGLKALLSIATYKRLWFPERLHRDYLKVMFTNRKERAELLEAARAVS >Dexi2B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:2B:2145707:2146411:-1 gene:Dexi2B01G0002600 transcript:Dexi2B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDTPWRTVLDYAEGGGAKNGIGTLGTTTGIGGKVTLGTPAGTGGKVTLGTAGIGGSTTFGTAGIGGRVAAAAAGTAGTAGMGGKVAAGIAGICGTVTAGTFGTAGIGGKATAGIVGTAGMGGKATAGTVGTGGFGTAGMPAGTTAAAGDAATGAVSSARRRAAWLVLVSVSATTTSAAAKRPDADAMGHLDDVFTAPVTSRCRSLQDLNWLQTEAACLLAGDAMASAMHANL >Dexi4B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:4B:11019535:11024297:-1 gene:Dexi4B01G0012940 transcript:Dexi4B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGEAAYGAGVQKDPQQSVPSSLLYNHNGGAVYGKPAIPPFYQQPAASNAASPPMPAHSPASGEPFKRKRGRPRKYGLPDGAMPLAVVPPCPPAPAPAGAGNNTGASPTLPPGFTPSPQGMGAVSPQASPAAAPPLPASNASLAKKKGRPLGSTNKKRQPQLATPVCILTANGAVSNMTLRLGDSSGATVTYEVVIGSFLADGKLELDPGSAPEKPVFPGFPTAGSPSSRCAESSGGHGSPPNTAGSINTGSQPSFANYLPWK >Dexi1B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:1B:3744863:3745580:-1 gene:Dexi1B01G0004680 transcript:Dexi1B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARASHLRALLSRALATSLPPPPPARALPQITGLGASPFGAAFLPRARFFSADASAAAQGGSKPPAAAATGGDGGGDGQSGKSEQDAGKSVRGGPVSWLSFLLLLLTGGGVIVYYDREKKRHIEGRLLW >Dexi2A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:2A:6086487:6096945:-1 gene:Dexi2A01G0006400 transcript:Dexi2A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPRLRPRREDAPTGAVPLDLVHEILLRLPAKDLCRLRAVCRPWRALLSDPLFAAAHAARHRPYPLIAVGYEASLANGDRVLCDIVDLSGRVVKRVHAGSGPAPPERVMSSHLDHLIVARGIQPEMPSSQPDHRICPSPASRIGSGARQAPVDLLGMLGVDGVRDGSLHWQVQDCSPQSMEEAPPRHLYRRDATASCVLPEDALYEILLRLPAKDLCRFRAVRRPWRSFLTDHHFIAAHASRHPEPLVVVGYEPNGTTDQIHVRASSSLHRTSSSSLLRASSSSSLLRASSSRLLLRHSATTPPPRSKIREGGGARRHRWQAEAPPKLRSRRDAMPEDALYEILLRLPAEDLCRLRAVCWPWRSLLSDPHFIAAHAARHPDQPPLVVVGYQATDRNGRVLCDIVDLSGRVVKRVHAAAGDEDDDDSLGRTTKWVMSTQGDLVCVTRGTGMSCCQLLDPATGAVHDLPDGLAAEHSDHYSSDCWGSTVFGLVPSTGEYKVFRMIEGRYEYYNTTKLYEVLTLNGSGSPDEARWRKAQAPPYGLELLCHDNGVVINGIVYFLLKPDEWDDTRQGLVGSYDLEMEKWRPGIQEPLSCQYETWYDFKVTSLGGNLVLCCRKPSSSMASPAMDIWFLSDFDKGLWTKQHSIKISVLHVPNLAPPLLVLTDSGDGSHNGNWAFLPNYNNPIDIVIDVLQRKDVEVGFFGGNLLSLANGTS >Dexi2A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:2A:1440219:1446073:1 gene:Dexi2A01G0001920 transcript:Dexi2A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRWIIEDGDTATREGEERTWKERSRSETLQQGKERNGHGRRGVGLRFTCLAQQIAAADTREERAAASWLALSRRNEGFLRSDVPPKPLGRIPSLGRANEPPSAQHIHWARRPFSSSPNLPTFISQFGSPPHAAMAPPPELVDDAITEILLRLPPDDPALLFRASVVCRSWRRIISSASFLRSYRRFHRSPPLLALLVHDPISSRFIPTTYASPFNHLTAASYYHGRSVLDCRHGRVLLKDPMTRHFVVRWEDLVTGVREEVISEPGARWFATAVLCAVPCCDHCDCHAGPYLVLCMRADHIAGFGVVVHARAYSSQSGSWGTSVFAHVGVGPNNVTSSRRTLVGDEMFSTLALSVKILRYNFTKNCLSVIHSPVLYADGNVLMVTEDGSLGLCGIRDFNLHLWSRKAIAEGVEQWVEYRVIDLLPLVINPFTRISVTGYAESACVIFLTTDIGAFIIELKTWRARKMWKLSAYIYILAAAIPNR >Dexi4A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:4A:15628278:15628559:-1 gene:Dexi4A01G0014120 transcript:Dexi4A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGSQRRAAAEATAAHRGLASTAPHSVERLARKHERTTLMLSCGMVAEEEVRKMVVGVQVELLLQAAMAGRPWGFNPPREAPSGRTRRGQR >Dexi3A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:3A:8414270:8427032:-1 gene:Dexi3A01G0011650 transcript:Dexi3A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNTARGAPMEEESPTPKIEEKDPPNKSVVAMTTTTGQAFVMPLEDKKSTMVVEEVPMIAKVTEKGAEAAKKGVASGKYKEEDEELERKDRGHVGLEDKNDEEVGGRGGDDHMEVEEAKMDGKLRILGVVEATKNDDSGEITKDIEFFGSMLEASVMLGVEKEKDNSELRDGTNFLASHDEVEGEDKSELLKEEQQKEESGVIVEAKVEGDVESIVVEEEKPEPNGQKGGDVGFGGRDGGNFIEEKVTKDSNVRIEAVELDVNVAPFPKANDALGYDKEAPNDTMVVGGEEIPKESIGNDTNIEYETTKSEQGNKTGTMTMNEGSVEEVAQEKTDSMLDDCPTKKDVDGQVIASEAKDEVGIASEEEVAYKVSDDVEYTMIDKQKQKKEGEKNEEVGSLGGDDGELKGKDVDVLVLSVEAIEIEDKIASLAETSEKFSYAMQGSNNIVDVNEGSVPDVTLGSTYHMPKDISKNYNVEGQATASETEEEVHVVLEMPVVEKVENDERYLLDKDVKPKLESAKSEDIGSGGSDGGKLDEDKEAKDSVASMFVESQVVNGRSIDGVDLACEDSMLMGSSEKNRNTEDQTTENELKEEVNVVLESNVTYKVSDDTEFDMAEDEKGEPDGEKSEEMEYVGGNGGKLDRGKDIKVFTDSVEVIELEDKLVPLAETNEKFGYVKEGSDAAVHVNEGNAYEVTPASAYSMLEDISKKEHSVDSQATSSEVDKVGVVVEMYVADKLPIDAKSLLTKGEEGGSRGRDGSELDEEKEVEVSVVTTAFVEPEADAMVVNDRIIGEFSLASEDTMLVGSPKKKQNFEAQTTSNEIKEEIHVVGDSSMDGEKKAKAEGKNSEDIGFVSGNGSELGEGKDVEVLPVTIEDTKLEQKLAHLGETNENFGYAEERSDDLVDVNKGSVEVVIPTSTCSLPEGISKKKHNVEGHATTSEAEEELGVVMDMYVLDKMSDDPKTLLAKEEKPILEGMENEDVDSRAWDGVEFDEEKEAEVSIPSIVFVEPKASAMVVINKSIDEVDLPCEDSVSVGSLENKQSAKGQITKIEVKEEVHVVVEPEVVNKVSDDMESNMDDEEKSKPECDKGEEIGSIGGNVGDLDEEKDIEVFSVSVEAIELKDKIGPIDESNENFGYEKEGSDDIVDLSEGSVEDVTPASVFSAPEHIFKKGYNVEGQATVSGAIVEMYVAEEVSDYAKSLLINKKKPNLEGEQGREIGSQGRDGSELDEAREVEVSIVSIGFVQPEACAMVENDSSIEKVGLASEDGVPMDCPEKKHSDESQITESEVQEEVHVVVELEVIDKVPNDTDSNMSNEEKPEPHGEKSEEIGSIGGNGSELDECKDIEAIELKDKFGPLAETNEKFRYEKEGSDDMVEKSKLEGVEGKKIVSRGRDGGKLDEEGEVKVSIVSIVFVEPEVGAMVEKDRSTEDVALANEDDVLVDCPEMRQSDESQITKIEVHEEVHVVVEPEVINMVHNDTYSYMADEEMLEPQGEKSEEIGSIGGNGGEFDEGRDIKVDSISIAAIELKDQLGPLDETNEKFGYEKEGSDDIVDVNEGSVEEVTPSSVFSALEDIFKECNVESRTTTSDAKEDVCAIPEMSVAENMPDDAKSLLATEEKSKLEGVEGKKIGSRGRDGAELDEEGEVKVSVVSIAFVEPEANAVVENDRSTEEVALANEDDVLVDCPEMKQSDERQITEIEVHEEVHVVVEPEVINKVHNDTYSYMADEDMPKPQGEKSEEIKSVGRNGSELDEGKEIEAISISIEAIELKDQLGPLAETIEKIGYEKEGSGDIVQVNEGSVEEVTPASVFSALEDIYKEYNAKDRTTMSDAKEDVCAIAETSVAENMPDYVKSLLPMEDKSKLECVEGKKIGSRGRDGAELDEEGEVEVSVVSIAIVELEAGAMVENDRSTEEVALANEDDVLVDCPEMKQGNESQITEIEVHEEVNVVVESEVINMVHNDTYSCMADEEIPEPQGEKSEEIGSLGGNGRELDERKDIEVISVSVEAIELNDKLGPLVDANEKTGYEKEGSNDIVEEEPKQKGVKGEKGDSRVWDGVELGEEKEVEVYVDGFVFVEQETCAMVVNDRTKEEVDLACEDIVLMGRPEKKQNTESHMVEEEKAEGEKGEEKGFVGGNGGELDEEKDVEVFTVSDEVTELEDNLAHLSGTNEQVGYVKEESDDIVVMDERSVERVTPESSYYVLEDISKKDNSVEGHATTSEAEDIGVVVEMYVASNMPDDVKSLIAKEENPKLEGLKVEEVGYVGRGGGGLDEKEDEVFVASAVFFEPDGKIASFSEANDDMGFEKKESDDRVVAGQEEAPKESMDKDISVEEHATNLKLSSDAITVVMDDETGDEVAPSSAYRIVESSSNKEHNVEGQENASEAREEVCVVMKAEVMDKVSDDMEHVVVDEENTILEGEKGKESVETEQKVELEGDRSEEVSSICGDGDESDKEKKDKVSVVNIEAIQFEHKLASIAETNFKLGYEKKIRDDIVVVGDEEVPKEPTKKDIDIEKETSNPEPSSEASTMVVNDGSLDELAPTSADSVLECSPIKELNFEAQSIASEAMKDVGVEKPSKVENIATAIQDLGVKKSIEKAMSLEGEGKNDLNFSCNILVLGKTGVGKSATINSIFGKEKSKTDAFNLATTSVQEIVGDVHGVKIRIIDTPGLQPSVMDQGSNRKVLAAIKKYTKKCPPDIVLYVDRLDCLSCDLNDLPLLKTITSVLGPSIWLNAIVALTHAASAPEDLNGAHITYEVLMAQTSHIIQKSIRQATGDMNLMNPVAFAENHSSCQRNHEDQKVLPNGERWRHQILLLCYSAKILSEANSLVKLNDPNHGKLFGLCFRSLPLHFLMSSLLEPRAYPKLSTKLGGDSDIKLDDYSDLKQDDDEQEYDQLPKQQKNAYFSEEVKIPPSFDCDNPTYRYRFLEPTSTFIARPVLDAHGWDHDCGYDGVSMEETLAILNMFPANVAVHVTKDKKEFTIHLDSSVAAKHGENASLFACLDIQTIGHQLAYILRGETKIKSIKKNKTTGGFLVTFLGDTVATGVKLEDKISPGKRLSLVASTGAIRAQGDTGYGANLEACLKGKANPIGQSLSTLGISLMRWRQDLALGANLQSQFSTGRGSKMELRLGLNNKLSGQIGVNTSTSEQIQIALLGLVPIAASIYKRLQPSEPSLA >Dexi4A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:4A:725916:726725:1 gene:Dexi4A01G0001080 transcript:Dexi4A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding FITSLCWSYSIFSKDMEYTPYAASSSVLVFFVSASFLLPCHRNFSVRAVQSLVSVGYASSGFAWDGITLRRGVQDFVVTKHGYCLRSFEKSLQ >Dexi9B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:9B:10891578:10891957:1 gene:Dexi9B01G0015910 transcript:Dexi9B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGANLVERRGQGASLPSGGAAMQPWWTSSGSGLGAVSPAVVAPGSGAGISLSSSPVGGSGGGGAAKAAASDESSEDSRRSGEPKVQRSCSF >Dexi7B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:7B:21194198:21197168:-1 gene:Dexi7B01G0015150 transcript:Dexi7B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRRPDFLGRRIGRRDFYGKNWASQTQQNSREESPAQLRAVKQRPHAKQQYSGLFPLNPPSPSPSVEAMAAVVEADAGQTAERGKATDEEGKTPPQLAGAAVRKQRQPTPDHPPYCWMIAEAIDALCERGGSSEDSISAFIRSRYPGVPPAHDRFLQHYLDKHVAEGFFVRTAAGRYLRSSEENTVGDRSVVTNPKRGRGRPRKDGSSLTSSARKEDGAPSAMPKRRGQRPAMARLAADEGSVPASPVAVAEKEDGSQAAPWCRIVARLAVAKDSVPASLDAVPGKDGNQAASSTPKRRGRLRKLGMATATTDNSGELLSQPRKTAARFRTLRTRSMNHHANDSATTSIMDKACSDAPPTTPREGGQPVELAVVTTTDVPVTAPPMDKSSSQACDLALVVADDISAPVLVADNDGVEEAPSATYNRVRHTPKKAGSKALSGTPPAKAVSPGDSSALKPVAGKKAGPDTGECRQLLYPASVADQDSMEARKLVLFACAAFSDADDNKGAAPKPPKKHLICLKR >Dexi1B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:1B:5756324:5756696:-1 gene:Dexi1B01G0006940 transcript:Dexi1B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSIQAQAECSKLAAEAVSNLRTITAFSSQKHILHLFDQAQDAPRKENIRQSWFAGLALGTSTSLLRCTWALTFWYTGMLMAGHRITAKAFYQTFLILLSTSMMQVVLQQILQRVLMQ >Dexi5A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:5A:5999354:5999653:1 gene:Dexi5A01G0008090 transcript:Dexi5A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAANRRLGRRSSIARPLRRHRRLTVPAIAIMYLMWVCLHGDLVAAAVPLPRRRLSLVAAGSHVVGSRPVPAGGAGADARFGDDKRRIPSCPDALHNR >Dexi6A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:6A:5989654:5990542:1 gene:Dexi6A01G0006270 transcript:Dexi6A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYFLLAVFLALVSSQAIASDPSPLQDFCVADKDSPVKVNGFVCKDPVHVTVDDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFTKVLHKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGVITIANAVFGSKPPISDDILAKAFQVEKGTIDWLQAQFWENNHY >Dexi9A01G0027330.1:cds pep primary_assembly:Fonio_CM05836:9A:31875573:31877057:1 gene:Dexi9A01G0027330 transcript:Dexi9A01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPQSSASSGNSTQSPPSTSNSSPPPSPSPPPPSQASTNSPPKAESSSFPASRLSPPPRKNAGSGNSGESTSSSGKKKTSSSPSPVDHTAAVITGVVLGVVGFALLMAIVACLCRSRKKKKRPPPMNMPFYTDQKATAASILTKGGTSSTAAGTHSAPLSGEMGGGPYSSGPHGSALPPPSPNVALGFSKSSFSYEELAAATAGFSPANMLGQGGFGYVYKGVLAGTGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGNQRMLVYEFVANNTLEHHLYGKGVAVMDWPTRMKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPENASSGKLTDRSDVFSFGVMLLELITGRLRQLAFDSGEYDDYTSDYSTESSVANTGRPPRRP >Dexi4A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:4A:9987830:9988612:-1 gene:Dexi4A01G0011780 transcript:Dexi4A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKASNDAIGVDKAASVGDELLGEEVLRVVQRLRDRGFRDPVHAGEVDVADAGATSGGVGVDVGGEVGAQGEHRGGGREEDVARDAVLPGDGEDDGRQDDVRDERGRASAGEERAEQRRGAAHGLEAEEERVGVGELGLVERRQGEVEPPDARARRGEERVEETVGSTAATETSQPWAPDSATARRPNGTRWPMPALGRRTTCGRVAPVWSPEVGISGEAAIEHLQAAAAATCSRRGSGGCGRCGAD >Dexi7B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:7B:26002212:26004267:1 gene:Dexi7B01G0020720 transcript:Dexi7B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAFGLCDEDDAATQFCGCVDGFSPASPSQGSMRDTSGGCRRDVPLDCGDGTTTDVFKVLNGVKLPDTDNATVDMSATLEQCRARCLANCSCVAYSAAGIRDGGSGCVMWNGDIVDVRYVDNGQDLYVRLAKSESGQCVDNDVQKKTMLGFLSASNDLGDEDLDLPFVCFGDIASATNSFSEDNLLGQGGFGKVYKGVMEDNKEVAIKRFGKGSRQGTEEFRNEVVLIAKLQHRNLVKLLGCCIHGEEKLLIYEYLPNKSLDFFIFDAANKKVLGWATRFKIIKGIARGLLYLHQDSRLTVIHRDLKSSNILLDTDMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKITLARFTGFPNLLAYAWSLWQDGKAIDLVDSSLIETCSPTEALRCIHIGLLCVQDNPSSRPLISSVVFMLENEFTPVSVPKQPVYFSQKHPEVQGIGENTSSSVNNMSVTTLEGR >Dexi3B01G0028110.1:cds pep primary_assembly:Fonio_CM05836:3B:23909590:23910146:1 gene:Dexi3B01G0028110 transcript:Dexi3B01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSLNASRPSWSVDGERRQSPIPYGRGPLDYESTVFYHCGNKVALWISWSNDNPGRRYLNCYKARVSGRDWRMQLHGWYEGPVDGFVHSLLVDLHDTVWALKREKTELKAALGDAVLKLEQQRKEIRSLSKSQKSGMKRVWMFDLFVSSVVAAEVFVHLG >Dexi1A01G0028130.1:cds pep primary_assembly:Fonio_CM05836:1A:33876332:33878053:1 gene:Dexi1A01G0028130 transcript:Dexi1A01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALAMALAVASLSAEAVWLDVPQSGTKCVSEEIQSNVVVLADYSIMYESHPYSHPTIAVKVTSPYGNTLHHSENATVGQFAFTTAEAGNYLACFWIDSAEKGSGVSVNLDWKIGFATKDWDAIAKKEKIEVGI >Dexi8A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:8A:15836253:15840036:-1 gene:Dexi8A01G0009980 transcript:Dexi8A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGSIPKFGEWKASDGGSPYTMYFENARKRRTNSSVTPPPGASPARMVPAPDGSRTPPPATDAKPVKPQDRANRSRNQVKGFKCCGLFLN >Dexi7B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:7B:21079768:21082957:1 gene:Dexi7B01G0014990 transcript:Dexi7B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNSLINLMQLAGFQMEVVKTTHAGHAKSLVSTFDFSTCPNGIVCVGGDGIVNEVLNGLLIRDDRTEAVSIPVGIIPAGSDNSLVWTVLGVRDPISASLLIVKVKSVKLKPGANTHNGCGIDGELCRVKEQILRV >Dexi3A01G0029520.1:cds pep primary_assembly:Fonio_CM05836:3A:31588106:31589954:1 gene:Dexi3A01G0029520 transcript:Dexi3A01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNGVLNWVGTPSMLASLLLFYPPYYLFRTCYSFLSWLFPEDLAGKVVLITGASSGIGEQLAYQYAMKRASLVLVARRESSLHQVARRAMELGARDVIVLPGDVSNPDDCKRFVQTAISHYDRLDHLVCNAGIASVGAFQEIPDVTNYSSQIDVNFWGSVQTTFAALPYLKRSRGRIVVMASATGWNPVPRMSFYNAASAALINFFETLRTELGNQVGITIVTPGWIESEMSKGKFLKEHGKMEVDPEMRDAQVGLFPVEYAKNCARAMVQAASQGERYLTVPAWFGAMYLWRVFAPEIVEICYRLLYMHRHGARQTDAPSKMMAEAGGKQLLYPTSLRSDEVKSN >Dexi9A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:9A:14599428:14600320:-1 gene:Dexi9A01G0019670 transcript:Dexi9A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHYVAGVASLLVLAAATASADDVTFDATNTASNTSGGQLFDQVIGVDYAEQVLSDASAFVWSIFNQPNPADRRPVDNDEVTLVVEDIGGVAFTSGSGIHLSAQYVGSYSGDVRTEVTGVLYHEVVHVWQWGLQDYGAHPGIFEGIADFVRLKAGYAPSHWVQPGQGDRWDQGYDVTARFLDYCDSLQSGFVALLNAKLKDGYSEDYFVQILGKNVQQLWQDYKAKFGG >Dexi4A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:4A:3600505:3602042:1 gene:Dexi4A01G0005110 transcript:Dexi4A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAVASSNGYDRRRELQASTTPRPASRASLGLSSEPPYRHHVHRRGLLQRLRPPARAAGVDDTKAGVKGLVDSGVTSIPAIFHHRPDTLPQDDDVSSIVDDAAVPVIDLAAPREEVVRLVRAAAETGGFFQVVNHGVPSSAMAAMLAAVRGFNEEPVETKRPYYTRDTSKTKARFYSNLDLFQSEAACWRDTVFLDMAPEPPTPEELPEKMRGATFEFTGAVMKLAASVFELLSESLGLAGDHLAKMGCGESLKVACNYYPPCPEPHLTLGNTRHTDPTFLTVLLQDGVGGLQVLHDHGGDKVWVDVPPVPGALIINLVSNGRLKSVEHRVLASTGTARISVAAFVDVGEGRSVRRFGPIPELVTSPDGGNPPMYRSVTIEEFIGHFYKKGSERRPRLDYFKLE >Dexi1B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:1B:4254756:4258092:-1 gene:Dexi1B01G0005200 transcript:Dexi1B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRRSSAAPTRQGAVTTPFQSDAAGGGRSGRWRRQEPADEEAGAGDEGSRWAATAEAEAGRGRRRRRQRRSEAAEDGLGGRGGGGGGDGQQRRRRRERKRRGGDKDKSNGIGSSASDDLHRQ >Dexi8A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:8A:24700740:24702322:1 gene:Dexi8A01G0014240 transcript:Dexi8A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPIAAAATTVPYDRDAEIRALDATTSGVSGLFASGISELPRMFRVTDPQPQQQEAVTTTMAADQEAMLVIDLAMADHEKLVAAIRHAASEWGFFQVTGQGVPAEVISGVIDGTRAFHESDGGEGSEKARLYSRDVTRKVKYNCNHDLYVSKVASWRDTLQLTMAPEAPEPSELPENCRSKPELLDIDCNQGQLFTCHYFPPCTNPELAIGITPHSDSTFMTVLLQDDAGGLHILYKDRWVEVKPLPGASIVNIGDMMQILSNDKFPSVKHKVALKKTTKPRVSIACFAAHPTSKRVYGPIKELLSEENPPVYKEITAGDYFRLFHSTAVDSYRNKALEKLRL >Dexi7B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:7B:9368978:9369801:-1 gene:Dexi7B01G0003790 transcript:Dexi7B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRAALLLAVLLLAAAAPGATAAPGGGVFQVRRKFPAGGDASGGNISAFRAHDGRRHGRLLAAAELPLGGLGLPTDTGLYFTDIKLGTPPKRFYVQVDTGSDILWVNCISCDQCPRKSGLGMDLTLFDPKASSTGSMVECDQEFCVSTYGGKLPKCSANVPCEYKVVYGDGSSTKGFFITDAVQFDQVTGDGQTKPGNASVTFG >Dexi1B01G0028740.1:cds pep primary_assembly:Fonio_CM05836:1B:33214565:33216898:-1 gene:Dexi1B01G0028740 transcript:Dexi1B01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAVIMAGIKDQKDPRDKIHHGGWMAKIFCWAVIVFLMFFVPNGVINGSLLPASVIGLYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSAAVLSPPDSPRGTDKPLLPFSKADEQEDKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSIGESGKLVDVGWPSVWVRIATQWATAGLFIWSLVAPILFPDREF >Dexi5B01G0033680.1:cds pep primary_assembly:Fonio_CM05836:5B:34017088:34021977:1 gene:Dexi5B01G0033680 transcript:Dexi5B01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDELAIATCGDVPIAGTFLMARQRDTSSISSLRLALTRATAMDDGSERVKRRDRACSHPTKEQTARGREACVTGANRTAQPPYGHRGKGSSHCLLLQPPDLTPNAVTGAAPATERKDAVNPARPTTAGADKQTQRPRSLSSSFLSSSLPSPLLPCFSPSTLSTPSPFLLRPRVRRGDRGGRLGGRSGLVPGPLICGLPACPRWRDEAASATRNMSFRSIVRDVRDGFGSLSRRSFEVTLASIYGLTGHHKGKTQSSSHELDDSPSIFRESRWANLPPELIRDIIRRLEADESTWPARKHVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSLKQPGPRDGNTMIQCFIKRNKSKSTYQLYLCLSNVVTSESGKFLLSARRHRKTTCTEYTISMDSSNTSRSSRTYIGKIRSNFLGTKFLIYDTQPPYNGAVVPPVGRTSRRFNSTKVSPKLPSVSYNIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTASFSQSFRSTTSLSKSIMDSSMDFNSARFSDIAGSSARFSGIGSSARISGIGSSARISGIASSRLDHDEDSEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATTPPPAGAPTPSQPAPSDPDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi7A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:7A:15965518:15967103:1 gene:Dexi7A01G0004960 transcript:Dexi7A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHGGTWSGRWRRAAAAATPRPATGGPGGSFAGSCPFAPAGGLGRRRATGGYSPLRDASDRTAISTITLRSKKQIVVPTMSR >Dexi2A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:2A:31077321:31082025:1 gene:Dexi2A01G0018950 transcript:Dexi2A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAAGAGTSGSGGGGGGGNADHLNHHQRLHSPRVTSGGSMTRRANSFKRGGGGEIELQIGSPRSPRCDGLGSPPGDSAEPSGSHHHHQSQQHHNLRFRLFKRQGSGGGAVDVGLGLGLGIRERRKLGNALFLAFCGVCLLLGVGKIWAGGWFALPAVDKHADLQDLSVSFSSDNGHQVDHQFQYMEGKESDRTLMTVESGTGGTEDSAFDVWSQPSSKNFRQCFVSNSHKKQDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVETYEKDMLAFTGCSHSLTSLEEEELR >Dexi2B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:2B:7737745:7738133:1 gene:Dexi2B01G0007460 transcript:Dexi2B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLVPLQQEEAVDRVDGQVVLEERDLGEDRGPRVEVEEASVAVEVRVAWVEAVALREHHPAPRVEARVDDAVAARGDRSASRCRRHGSGRSLADRRRRGGVTRGGELDRGEARPS >Dexi3B01G0038430.1:cds pep primary_assembly:Fonio_CM05836:3B:41206925:41208132:1 gene:Dexi3B01G0038430 transcript:Dexi3B01G0038430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTPCLAPPSLPTAEEGSDLTPSSVLLDMEAYITAAAVSNATTAVGYMRTGKPIHITFYLARPPRLSYLCAHFPGPAAADSLHPGAPAARCIKIPPIVISTHADLALLHVTHPAARDLGNDRYHDFFVYTALPLPALHLLPYPHAAAGFQDNEVAIFRCSGDGGGGRYVIAALRNTTDTKKFRLQRYDSDTCRWTSTVLCVDDPVRDIVLPIPDTATELIYHNTDKVITLGGPRVTIGWVDLWRGILLCDVLDHQEELRLRDVPLPKPSRANRRSFCIGGPRPARDIAVVTTSPASNLDW >Dexi3A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:3A:10275735:10276244:-1 gene:Dexi3A01G0014070 transcript:Dexi3A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLMVLAVLALASSSSSAQQTSWNVHLLQYMYGAGEPLCPCAEFLRQPQCSPVAAPYYATRQQTMWQPSGVCQPLRRRCCQHLRLMDAMSRCQAMCGVVAQFVALQGGLYYDDEAPALTQQWRQLLPVAQAPMAVAQAAQSLPAMCGLYQLPSYCTIPCALSAAIPPY >Dexi2B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:2B:30499540:30500925:-1 gene:Dexi2B01G0020450 transcript:Dexi2B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYTSPTGRKLRSLVEIDRFLQENPEYVAQGVTLAQFSFQIPRPLRQDYVKKKPKLVNPSDEASTIVSKSFQPEEVNPISWAVPTAHDGDASEEASRADETIGSEEIELTRKRKAGSSLSEESNHLSDELKPKLEDAQNGDTSA >Dexi1B01G0022260.1:cds pep primary_assembly:Fonio_CM05836:1B:28002139:28003080:1 gene:Dexi1B01G0022260 transcript:Dexi1B01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKETDSAQPPAKLPRLSSADTSAGEVTMAASSPLVLGLGLGLGAGGSGGGERDADASAATAAPKRPSALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPQRFPSLMGLGSMCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEAASAAAAPPTAVAAAPVSSRAAGPVHHGGAGAPHGLGFSPTSVLLAHSAARAT >Dexi9A01G0021810.1:cds pep primary_assembly:Fonio_CM05836:9A:16631093:16631884:-1 gene:Dexi9A01G0021810 transcript:Dexi9A01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANIVSSPLPSSAAPLPLAGRVALVTGGSRGIGREISSQLAALGARVVINYASNSGKADELVEELASRGHQSVAFREAFGSPPHIVVACAGIMDPKYPALADTAVEDFDATFAVNTRGKFLVCREAARRIPPHSGGRIVALSSTTVAVLLPGYGAYTASNAAVEAMTRILAKEMFFAGKDEAFVRKVEERSMGRLAETTEVASVVTFLVSDAAAWVNGQVIRVNGGFA >Dexi6B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:6B:24309669:24311907:1 gene:Dexi6B01G0017140 transcript:Dexi6B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRGGGEQPAVRKGPWVAEEDEVLLRHVQEHGPREWSSIRSKGLLPRTGKSCRLRWVNKLRPDLKTFSPEEERVVIDLQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRTPVPRRRPSRQGGGASSSHAHEMPIQKNPCRDMIPFQETTTLHHHQIGESSSSSQAPRPVNQLASARFPAAYYLPSPPVPALLAHAGAERGSPSAASQQITALLPFVCDAGNMAAGVHPPARFPAAHVLPPPPPLLAHVLPPPPPLLAHAGAERGSPSSAAPTTTTTTALLPFVGGGDGNMAAGGVDPLVFVDPVVSPEPLEVVPPDTFFALHDDYVHAGRAMERVDMCGVRFDDLPPETFDFFELPDLPPYPPPFPPPPPSPSSQLY >Dexi9B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:9B:6749374:6751872:-1 gene:Dexi9B01G0010700 transcript:Dexi9B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNDKPDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRIFWILLWSVLTYFMLAIFKILVGLILRCLANWYVNLRLKRKQHVD >Dexi5B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:5B:8602837:8604397:-1 gene:Dexi5B01G0012160 transcript:Dexi5B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNGYRDSHVRVTSRRLVKASDSTIKPHVVAVANLDLLPQPMPVSMVCMYPNNKPPATDFDAVVAAFESGLPSFLNHFFLFAGRIATNSLSGLPEVSSNNQGAELVVGESCGVALASLDDGTTSQCLHSMELPFAANVALSVQVVSFACGGFTVAWRTNHLLVDGSAMSLLVTSWSELARTGTLSVSAMPNHNRSLLRPRSPPSYGASVDDMFTPLDDTERQVNVLTTQQSFVERLYHIEASDVARLREAARREEGGRRATRVEAVSAYLWKALAGVVVGTADTRCRMTWNVDGRQRLTASSPELRSAATLRNYVGNVTTSVIREADVEEVLRMPLPDVAAMVREAIAASDYGEHFQELVDWVEEHKNRRYVHTANLGLGSPTLCVAAFASFPLDTDFGFGHAALAVPTSELRARMCSGRVGIMAKPGGDGSLIASGYLWPQLAAALESDEPRVFKPVTAEYLGLSAPLLRLR >Dexi5A01G0026410.1:cds pep primary_assembly:Fonio_CM05836:5A:30057340:30061393:1 gene:Dexi5A01G0026410 transcript:Dexi5A01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPAVPRPSSGAGVHPSTSPCFCTLSLQDHPSSLSRTALLPLASSATSAPGAAAHADPVVLCLDAEAVRRLSSGRPAELVVSVHAGRQTGTNCGISAARALGRVRVPVDVARAAAGEAVVARDGWVDVGGKPAGSASSGPSGVRAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQRQPVFTCRFSAGRRAARSRSLTPQSSMTRSTSRRLRSWLSGTLHGEARDGAHHSSRREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILQATGAGPSSWKPWARLEAWRERGPVDALGYRLELVFDSGPHECAVPIAESSISTKRGGQFVIDPATFPEAAAGAAWPFAGGFVMGSTVEGEGRASRPTVEVGVQHVTCMGDVAVFVALSAAVDLCMDACKLFSQRLRKELCQDQDE >Dexi6A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:6A:9026147:9027699:1 gene:Dexi6A01G0008500 transcript:Dexi6A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEAGPASSSSPPPIAQLDFDQLLFVLRLLPPEAVLSFAATCRAFRAWASSDALWEALCRRDWGARAAAALAERRRERGGGGVPAPWRRVYAEVARLGNLSSRRVPVRGASPRSRASHSLNLVAGWLVLFGGGYEGG >Dexi3B01G0035180.1:cds pep primary_assembly:Fonio_CM05836:3B:37787650:37791296:-1 gene:Dexi3B01G0035180 transcript:Dexi3B01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPTPTAKEVVVAAAAVAAVAAAEAAARASRGRRAGSQPVADAALQGTAGFRRGPGLAASDSSDEKPSLPSLETQCLHCSEELSAVLIEASANTNGDCQKNMMLFSPVKRKRSKRVMPELDSEVCAASALLMLSGCASKGCYGGDNNDNISTPNLLKEVNLNASDQLVHCDEFMDNTRPNSDRNSAYEGFYELSEKENTLNLAADVPRTVVLENVFDDGLVDGHAEFMKPEADISLEEAKSSSNLSVAVNIKRYHCEVCGKLLRSECALDVHMRLHREKEKILNLVADIPKKEVLLNVFDHGPDVDAEFMKPGAGSSVEDLKSGDLSAAVKIKSYECKVCGKVLRSGQALGGHMTSHLNRGQENTLNLVADVPKAEVLLNVFDYELDAVAEFKKPGADISVEELKSSDLSAAVNVKRHIRLHYVRKCNRHLGVTDCPNSALMEEHMQKHELDSPIFCGQRPHSHGREV >Dexi2A01G0032010.1:cds pep primary_assembly:Fonio_CM05836:2A:42639717:42640513:-1 gene:Dexi2A01G0032010 transcript:Dexi2A01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTISLAVAEAIWVEIKSAGCASDEHLSILETLFGKNMLRACKIVDERGVRRVTGAPSGRSLFLVMGESKRKEEYLCFPEHLCTCYSFFYDIVARGEQLCCKHQLAARLAEAVGEHQDMEVTDEELAHMLSKL >Dexi4A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:4A:20178618:20184050:-1 gene:Dexi4A01G0016540 transcript:Dexi4A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSAIPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWSCCLDRNALRAASASADFSAKVWDALSGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVYDMNRPDAAPRELEKSPGSVRTVAWLHSDQTILSSCTDMGGVRLWDVRTGNIVQTLETKASVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKTGSKFVAGGEDLWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSQANADDNEASNGKPTAGLNEVTTKIEGFHIPKEGQTEG >Dexi6B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:6B:16098302:16098583:1 gene:Dexi6B01G0009950 transcript:Dexi6B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPRLDGPASSDGGGDASLPQIRCPSGRIWCSRGWIYGLGASTALMGAASALVHGAAACGLPQRWRGLRVLPPAPLLSAFTRRDGGALQR >Dexi2A01G0027350.1:cds pep primary_assembly:Fonio_CM05836:2A:38746113:38753293:-1 gene:Dexi2A01G0027350 transcript:Dexi2A01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRNLRRSLAPGRLHRLLLLRSQHPREYVTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDLKRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEYAPVVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGDEYVSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDRADIDPDARPFARRKSELGHQGLNEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNGDGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMKEDEVLQGIIYPPISRIRDITKEVAAAVVREAVADDLAEGYRDMDARELARLSEEETIEYVKTNMWSPVYPTIIYKKD >Dexi9B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:9B:6657116:6657781:-1 gene:Dexi9B01G0010580 transcript:Dexi9B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHQERPREVYRECMRNHAAKLGTYASDGCCEYTPDDAHPSAMLCAACGCHRNFHRKAFLDSPSGAPTGGAAMLASPGAPAAGYAMHMALAPVDGGSGGRRRTRTKFTEEQKERMARFAERLGWRMPKREPGRAPGDDEVGRFCREIGVTRQVFKVWMHNHKVGGGGGSGGPGGGGGGGVDQTSSSTTRGGGGVGGGGSLSPAAMDEEDEEVRGSEMCM >Dexi5A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:5A:14582439:14584362:-1 gene:Dexi5A01G0016230 transcript:Dexi5A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSCAIRCSRVSIRCSASSEGGGQGPHRSSNAVKVNGAAHRAPLQVGAALETSINRSLAELSTPVLTQPPPAGVGEERVRQNIPTEKQTVDPFRQALIVEGGVRYQQTLVVRSYEVGPDKTATMETVLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVQVDQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSGEVFVRATSTWVMMNKVTRRLSKMPEEVRGEIAPWFIDRHAIEEEASEKIIKLDSNAKYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDSVPPQENVSMVIGPLLLPELITGHHSLAGALQQWPTKYTHLLQLKAGDKHDEIVRGRTTWKKKSHKAP >DexiUA01G0003040.1:cds pep primary_assembly:Fonio_CM05836:UA:6369581:6371959:-1 gene:DexiUA01G0003040 transcript:DexiUA01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGAGAGAEMDALIRRLRLHQPGPSPYDPAPAAAPVGSGDGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEVSLPGGKAEEGDADDAATALRESQEEIGLDPALVTVVASLEHCLSKHLLVVVPVIGILSNKEAFIPILNIAEVDEIFDVPLEMFLKDENRTSEEREKMGQAFTVHYFTYVNGNHKYLIWGLTARILIHTASVVFERPPDFPERRAHFNLPKYTKDCSSMLAGLAKH >Dexi9B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:9B:12852502:12852750:-1 gene:Dexi9B01G0018100 transcript:Dexi9B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEVVVEETVEMASYETVEFPPSAGVAPPLSSSQEASTPAPGLLDGDHGGHLAAAQVTCGKPEPEPAPALCTSAQRYK >Dexi7B01G0021470.1:cds pep primary_assembly:Fonio_CM05836:7B:26486870:26488768:-1 gene:Dexi7B01G0021470 transcript:Dexi7B01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPLCASWFAPAAVAAVASHGARPTSTAVHVHPLCRRGTSPAPVRIHDRTRVPFPSRCHRRPTREPEPESDDDVLYLDQLDLRASIDNPYPTEDEEQRKKYAEFCASMGWREPPDMFPIERDEISNYMIRTASSRANDQDVNNKVMVLVVCAEAQKAQKALDLASRVILRGRSYEYGKIKHWYS >Dexi1A01G0024370.1:cds pep primary_assembly:Fonio_CM05836:1A:30944786:30945683:1 gene:Dexi1A01G0024370 transcript:Dexi1A01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSRYVGWCCAFACALALATVDGLLVDITYVESAVAEGAVCLDGSAPAYHLARGFGSGVNSWLVHFEVRYCDGSSFTGDVEEVDPNR >Dexi9A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:9A:7249254:7249490:1 gene:Dexi9A01G0011550 transcript:Dexi9A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAEDAAQLVSMLAPLLAVALVAAVVASAWADVGVRVAEAQVDAQADEWARYVFGSEDAEPAAAPSALPMSWQGEHG >Dexi9A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:9A:374495:376596:1 gene:Dexi9A01G0000680 transcript:Dexi9A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRRADGRSPNQLRPFSCTGNPLHRAHGSARWAQGDTVVLAAVYGPKPGTRKGENPEKASIEVVWKPKTGQIGRQEKEYEMILKRTLQSICMLTVHPNTTTSVVLQVVGDDGSLLPCAINASCAALTFAGIPLKHLTVAIGCGVMENGTVILDTNTAEEQQLKSFAHLVFPNSRKSVDSKEPKEKDEEFERGLITSVTHGVMSEDDYFNCIERGLAASSRISDFMRKTLQKEASSVDA >Dexi8A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:8A:15498503:15501094:1 gene:Dexi8A01G0009870 transcript:Dexi8A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGNALFLSLPVSTESRWHFSPVRNGLLGARPVHGGTGREISISWESRRLSTVVVCLVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWVAGLVQTLLYADFFYYYIMSWKNNVKLELPA >DexiUA01G0004900.1:cds pep primary_assembly:Fonio_CM05836:UA:9061572:9067905:1 gene:DexiUA01G0004900 transcript:DexiUA01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRGSTGGGNQNQNQNPNQNQNQPPTMEDLVRLQTQTMQQLTQAIALMQQNLQNPPVQPPPQPIRDKRGEFLKGRPPKFSRAKDPMEAEDWIKAVERQLDIAQCDDREKVLYASGQFEGAALDWWVAYQYAQPDRNQITWQQFSDAFRAHHVPEGLTVLKKREFLALTQEGMSVTAYRDKFLELARYAPDEVSTDEKRQTRFRNGLQDVLQLQLMCITFPTFGALVDGALMVEHKRREIEDKKRKFMNQQSGSNIRPRYNPQQVSQQRTQGQSSLQNRGQNQQRPQYAPPQQQQQRYQNVQQNTEASRTTQANTTPVGPRVCYHCGEQGHYANSCPRRIQNSTSQNNNQKTGPQPAQNGNQQQAQGNRGQQNYARGKLNHVGAETTEVATDVVRFMDYLDKFVVVFIDDILVFSKNEEEHKEHLRLVMQRLREHQLYAKLSKCEFWLKEVSFLGHVLSNGGVAVDPKKVRDVLNWIPPQNVSEIRSFLGMAGYYRRFIEGFSKIAKPLTSLLEKNAKFVWTPQCQASFEELKKRLTTAPEGRVVAYASRQLRIHELSYPTHDLELAAVVHALKIWRHYLIGHKCDIYTDHKSLKYVFTQSELNMRQRRWLEKINDFELEIHYHPGKANLVADALSRKSYANVLTRQDLPEELSREFERLNLGMVANVTELEVEPTLEQEIRKGQLEDEEIKELIKKTDGQTERTNQILEDMLRACALQYGSSWDKSLCYAEFSYNNSYQQSLRMSPFEVLYGRKCRTPLFWNQTGEGKVFGPEVLKQAEEQVQVIRQNLRTAQSRQKSYADVRRRDLSFEFGDFVYLKVSPMRGVKRFNVKGKLAPRYIGPFKILERRGEVAYQLELPEKLAGVHDVFHVSQLKKCLRVPEEQIPLEELNVQEDLTYEEYPVKILEESERQAAQPTTAQRPLFPAVADSATPPVGASPFRQQRARFSSSQSLTARAHLCASPSATDTLAHLSSSPPSSRRERAELYSEPRRAAPPPTPLFSPCISNPSRRRLDLAPSAAVVSSSTRRRLAAKRLPRSFSAKTPPSLPLLRAYDLAVVAAPPPSVASFHRHSILENNPLIDRAFSGELRPSAAALRRPLEPICFPPFDSHPTARSETQVKPSRPVHGSVNDDVSRLVDEPFEFADDPVLEDQVQQQFTEEGKYNTDHPCYLFTD >Dexi2A01G0026430.1:cds pep primary_assembly:Fonio_CM05836:2A:37942044:37942871:-1 gene:Dexi2A01G0026430 transcript:Dexi2A01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRWSPPPPLLLLLFFFAAAAAPATATEEDPSAPTPPPSPTPWPERFHAVMFTNLTNYSYASTGPPLRITDLYYDWPRRRNLNLVRHQLSGDPLHDVEWNNGTSFYFDDSSCRVERFHVGVLPPWWLSGGGAEYAGRAVAGGIECHVWGKAGFIFYYEEVATGRPVRWDFIDVTGIQQFVMRFEPGKALEDDKQWQAPAYCFMDDDDDEGKGKRNGDGEEVGDGFEEAIRILRELAGAAATS >DexiUA01G0003710.1:cds pep primary_assembly:Fonio_CM05836:UA:7603224:7604584:1 gene:DexiUA01G0003710 transcript:DexiUA01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSWRCRSKAAANRETVATVGHRLEKKIVVGEEEWRTYLAPERLEVVRQLEPWVEEHVLPLLKPVEASWQPSDLLPDPAALGADGFHAACLDLRAAAMGVPDELLVCLVANMVTEEALPTYPSGLNRLEVVRDATGADDTAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIRDGMSVHAPTSPFHGFVYVAFQERATAIAHGNTARLVGARGAGDAALARICGTVAADEKRHEAAYTRLMGKLFEADPDASVRAMAYMMRRRIDMPTVFINDGRHSVGDFYARFIAIAQQAGTYTVSDYRCILEHLIRQWGVEELATGLSGEGRQARDYLCALPRKIKRMEEKVIDKSAKAQNKPTAIPINWIFDRTIGVVLP >Dexi7B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:7B:22984800:22986038:1 gene:Dexi7B01G0016990 transcript:Dexi7B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAKKRLGVVAGGGGFGLGCGCRDAKAVAVAVAASSSATSPYSATATDTSTATTWRRGRAAALHPSSASGSTGTLTVPSASSSSFLWEDDADDGDAGEEEVDCSKQRESSAAATTSFSGLLRQLNELEQSVVSWGRKSTSKEHFSPPPPPPVKQRVVHSSDQNKEGHGNFSPPPPPPPPLPARPAKQQVLQSGGDDDSKEGNGNFSPPPPSPFELQTTQQNRKTKNIDKAVRQAGEVHSKQPPPSPPLPVAPEQLKAASTGKSSKKEADASMFPTSQVPKHRKAKSGDGGAGGTGRLDGTVLAVVKQSDDPLSDFRRSMVNMIVENRIATGDELRELLRHFLALNAPHHHDAILRAFTEIWDEAFSAAAKAPHRHREPVSVSVRRPTPPRPRPTPPLRRHSPPPRVWR >Dexi7A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:7A:22029619:22029900:-1 gene:Dexi7A01G0011630 transcript:Dexi7A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRTSQESPQTASARLDSGAPSGGTPRSNGAATRRAGEGRGNPRRRRRRRGRGARRELRQQLLGIYCRWYWWVGGGCSVWWSAVDLAWVAR >Dexi2A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:2A:371045:372440:-1 gene:Dexi2A01G0000710 transcript:Dexi2A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKKKVCVVGAGVSGLASARELLREGHDVTVMEQSGDVGGQWLYDTRVDAGDPLGAAGVHSSMYSSVRLFTPRQVTGFSGFPFYPSSSGAGDARRYPCHGEFLRYIRDFCHAFGLMDTIRLNTKVLRVGVAPLCSDDDGTMRWTVRFAVKQGEAGGEVVTTEEEVFDAVVVAVGQYTQPRLPTTIDGMDMWTRRQLHSHSYRVPDSFSGEAVVVVGFHKSGKDIALELCEVASEVHVSVKSMDHDVTPGVLHPQIDRLCEDGRVVFVDGSCVVADAIIYCTGYDYSFPFLDTGGLVTVDDNRVGPLFDHTFPPAMAPSLSFVGIPNKVVVPRFYEVQARWVAQVLSGRRSLPAPEEMTRAAEEYNLAREIAGVPKRRAHDVSDLEYCDVFGEERCGFPVLEEWKKELLLSSIASMRDRTETFRDDYVDSELVMAGLRSEGWMACPVATST >Dexi9A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:9A:15080254:15087022:1 gene:Dexi9A01G0020130 transcript:Dexi9A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLAAAWPCAILLLLAGALRSAAVASSGAAAGRPEWQVLTRANFSSQIRLHPHVLLLVTMPWYGESRALMADIEHLVGSTEELGRLKLMVVYRNSEKLLTDAIGATEGINIVYYQHSVQFKYQGKLRARDILSSMSYIMSLKHEEAPFEVLHTKEDVDTFMESTDKSVLLYESCGWFSKLAHGGSNQSYEVASSNNHTENVDISRKTLTRESDGPLELVIEDEELTFGGGGQLTGSPWKGGFTMANESVSEQSGDTNDGNRKCTLQKFHQFESFYAKLTAIAREYFLPPEIARFGLITKRSLLPSLDVVNEGKLDTWFVVVHYLGCTTCSIIVKDEDDLRSLVQSHHNIGIKEVGADESGGEAIFPANRPSVDSLSVEEREYKTTDNSFATSDNPNDDTKVSVDENTAKETEYIDDGQAPSILEKTLTTYPDGHDNALESDVTEAEDQNKSEASDMRPDLHEEVSCNAYSCEVGEKNEKFAMKGFPAIYMIDCTSNECHHLLKSAGMEELYPTLLLFPAENKSAIAYEGGMSVAHLIEFLESHVSNSHRLLEYKVALTFSHVEVYLVHVLFTCLRYQFCLPLLPGLGTTDAWPYRLPYSRSSPNLRLFSSWCSGFMWKKRATTQHDAPQAIQFQISDKGSDNVGYDLPSHSDVVTGSILTATEKLKTAVPFDKAKVLIVSSDSHEGFQGLIINKRLSWGVFKSLDSSMEPIKHAPFFYGGPVVVQGYHLVSLSRVALEGYMQVIPGIYYGNIIATSRVVARIKSGEQSTDDLWFFLGYSGWGYNQLSDELSEGAWLVSEKPIENLDWPES >Dexi6B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:6B:21039952:21044541:-1 gene:Dexi6B01G0013330 transcript:Dexi6B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRALLPTPHAGASPAGARPRSRLAASLPFAARPLRVRCAILSSPAAAPAMVAENSSERARRISPAGSDGALRPKPAVLVAEKLGEAGLAVLREFADVECAYGMSPADLLAKVAQFDALIVRSGTKVTREVLEAGRGKLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLASMARNVSQADAALKAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVISHDPYAPADRARAIGVELVSFDEAIARADFISLHMPLIPTTSKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELSATAVNAPMVPAEVLSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTTARGPDDLDTRLLRAMVTKGLVEPVSSTFVNLVNADYTAKQRGLRITEERVAHDSPAAEAPLESIQVRLSQVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEKIGGIPAIEEFVFLEL >Dexi9B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:9B:11770035:11771158:-1 gene:Dexi9B01G0017070 transcript:Dexi9B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSNVCLSPSNTVPVKSVNSRTARTVASAKFCGRTRVTRKLGDSCTPMRPVPSALVSGTTVSSTDCLSTAPGVGLETVDEDGDGRVRRGAGDGEDDVAREEARAGGGGAREDLGDQNPGELRLDGDADGERLRVDDEEGEGEVGDDAGGDDEEAVGDGAVTEEVGVVGREAGLRVVVGEADVAAERDGAERVLDGAEAEAGERRAEADGELGDVDAPGRRGEEVPRLVDQHDGGQDGGRGGNGLDAGEKVRRGGGDDGGLGEVAVVPRQGEREREVHGEVVVVEGGVEGRRDAGLGGGGEMGGNHGGTGGGGGRGGRSG >Dexi7A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:7A:26303221:26303448:1 gene:Dexi7A01G0016460 transcript:Dexi7A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPVCLQSLLNRYRHRRSSEVAQKARVQGTTRPQQWSVIEGGGGSFGPLDLVRRSSAWCDLGAIGAPKLEGYDA >Dexi7B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:7B:20440378:20441223:-1 gene:Dexi7B01G0014050 transcript:Dexi7B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGKPLGDSVLAGHAAAGAAAISASTVAVHPLDTLGTAGQKQKMGLRQVVDRLMAASGPTVASDGP >Dexi9A01G0029470.1:cds pep primary_assembly:Fonio_CM05836:9A:34355320:34355814:1 gene:Dexi9A01G0029470 transcript:Dexi9A01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLGLYLALNLLFAGAAHGCASYDCGQTDPTPPAEPAPSTPYSPEPPTPPAEPTPSTYTPEPPTSTTPATHDHRPARGCPKDALKLKLCASVLGGLVNVMLQEDQKMCCQLLEGLADIDAAACLCTVLKADVLDISLRVPIDISIYLNKCGRKSYFTCAPRH >Dexi5B01G0039470.1:cds pep primary_assembly:Fonio_CM05836:5B:38283141:38283730:1 gene:Dexi5B01G0039470 transcript:Dexi5B01G0039470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGPLAGEPPPAHEGLVPAGCLSSTTVLLIGFIRAALTPASSLSRWSSHCALLRHRCTSLRMPLWPFLLSACHSEMAAWPLRLPMMVASRDDRYSTLVESTAACSRRVITTPHGTGHPRNLWPETLTLPMGFWNVTLGRHEATQRAVAVDVEPAAETTTFQRRYDAVEIVDCSLHQSSTATSMGLECTP >Dexi7B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:7B:3321715:3322233:1 gene:Dexi7B01G0001900 transcript:Dexi7B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHLNDEEIEKDFALLEPLEIITKDVEELQQLVKKRKREKSQTDREKDRGKEKERNEEHERRLGGERERDRHDKDKEQLREKDKSEKDREHEKEKEKDKDKEKEKRTERIDKVKHEEDSLAGAGD >Dexi9B01G0038430.1:cds pep primary_assembly:Fonio_CM05836:9B:39499696:39504673:-1 gene:Dexi9B01G0038430 transcript:Dexi9B01G0038430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGRSASVAFVPEIGTAPAPSGAAMATPKPRWVQVQRPCPGARRTPARCSSSGGPPPPQLPIRRSANYQPNSWNYGSMESLAAEHDGNMVDPSSFDKLKFYVRHLLLQEVELLPKLRTIDTVQRLGVAYHFDEEISAILNSVSVEGQDIDRRDDVHLMTLLFRLLRQNNSPSSPELLLRSLKDGTGNFKKMLYKDAEGLLSLYEASHLAFEGEALLDEARIFSTEALRELMPSMHPHLRSYVDNALAVPLHWAAPRLQARWFIDHYAGDVKADQVLLRFAKLDFNKVQKLHQQELSRIARWWRNADLNKSLPFARDRLMECFYFATGVAWEPSLAACREVVAKAFSLIVVLDDIYDIYGTLDELVAFTNAIERWEDTATEQLPEYMKAIYLTIFNFSNEVADDVLKNVSQ >Dexi4A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:4A:24622940:24623215:-1 gene:Dexi4A01G0020850 transcript:Dexi4A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPDMNNGAAAAAAAATVGTSAPGKTTTEEQARAITAVEEAKRGGAEGMSLKKSLEWFLEGRKNKAAMAAGETQRHIIDDSCSSS >Dexi2A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:2A:31924059:31925000:1 gene:Dexi2A01G0019750 transcript:Dexi2A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPAAADEVAFESPAHFRIYKSGRIERLNRPPVLPAGLDEATGVTSKDVVLDAQTGLSARLYLPKLHDPSKKLPVLVYYHGGAFLIESADSATYHTYVNPLAAAAGVLVVSVSYRLAPEHPLPAAYEDSWAALRWATSAQDEWIAEHGDLGRLFLAGDSAGANIVHDMLLRASGNGWPRVEGAVMLHPWFSGNTPIEGEPEGATAAMAGLWTYACPGAVGGADDPRMNPLAPGAPALEKLACARMLVCAGKKDALYVRDRAYYEAVAASAWPGEVAWLESEGEEHDFFLGKPECENAKQLMDRVVAFIARE >DexiUA01G0001320.1:cds pep primary_assembly:Fonio_CM05836:UA:3873585:3875175:1 gene:DexiUA01G0001320 transcript:DexiUA01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEDHGLAAVASSCNKLQELRVFPSAPFDAAEQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIEPHTPDYITHQPLDAGFSAIVESCKGLRRLSVSGLLTDRVFRSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCSLTLGACRQLARKMPRLSVEVMNDPRRACPLDSLTDESHVETLYVYRTIAGPRSDTPACVQIV >DexiUA01G0000200.1:cds pep primary_assembly:Fonio_CM05836:UA:1541148:1549270:1 gene:DexiUA01G0000200 transcript:DexiUA01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPVVRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISEEQAHSGIVVRVTSPAQSKFKLLYFEQEVNGGYGLALQDLL >Dexi4B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:4B:5106873:5108060:1 gene:Dexi4B01G0007210 transcript:Dexi4B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPATACCKPSLLLAPRASSSSSSRARAQPLLCTPSTSTFRGLRAPPVTAPRWRRPATSTAIVCGKVSKGSVPPNFTLKDQNGKPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDTSSHKSFAQKYKLPFTLLSDEGNKVRKEWGVPGDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLRILQGL >Dexi4A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:4A:23900455:23904700:-1 gene:Dexi4A01G0020110 transcript:Dexi4A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAISLRASASPGAAGSRAADPGKCIFKFFVNCSARSIEPVRATATQTPTAAPQPSSGEKIKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDVTTLEINGKQISVTSKRDPSDIPWGSYGAEYVVESSGVFTTIEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYNADMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPSLNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYEDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNSKH >Dexi5A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:5A:9369474:9371488:-1 gene:Dexi5A01G0012480 transcript:Dexi5A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSSVFSAPAGEEGEEQREGEQEPGGEQQSGGGWIFGGLIDTLKEEIEEQRKVNESAAAAAEEEAEQGAQGGEGEAGSGGGWIFGGLIKTLAEEIEAQRKEQEAIAAAAEEEKEGEHERGAEADAAADEEGEGSGGGFGGLIKTLAEEIEAQRNEHDADAAAAAAEDGERGADAEAAAADGAEREEVGEGPDGGWSFGGLVKTFASRSESVLGVYRRDLEDLGSGLRLETAALRAAAARAASALPGALEAGASAASDRLESVGQAVDDLGAAAAGLLSHANEALRSAEADGEDGDVAPRASDVSASGASWRSSLPSKKYTRFEAQVLALRADPATFTEEPEDSEGFAKWKSSFSTDEMKEQIEGVLRESPGLESFVERLVPSVVDYETFWSRYFFAVDKLKQAEDVRTKLVSRAMSKEEDEELSWDVDDDDEETNTSDQKEGTNSTVDKKEEQTEASGKQEAAVESDSTKDKEVALAEAKNHNGESNVETMTPKSSDGTGQEEKAEAGDSSKESDFSVVSQPSVQEEDLSWEEIEDVGDEDDKKVASSRSSSVNKVEDLRKRLNSVEDDEDLSWDVDE >Dexi1A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:1A:5184687:5185313:-1 gene:Dexi1A01G0006740 transcript:Dexi1A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKPPAKASSTSAHGLDSVHFSSGNPRIEETRGVVLLHPGPPATAASSSHLPVGRKPRVCVLAVPNHMTYADFCRFCGAFVPHTLD >Dexi6A01G0016000.1:cds pep primary_assembly:Fonio_CM05836:6A:23870045:23871529:1 gene:Dexi6A01G0016000 transcript:Dexi6A01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFKSRSSSNGIKVPNSGFSDESDMQERLNRLQEELRKEKEEKEQALDEIEELRKMNMNRNKKLKSNGAEGQPDLADRLQQLEGELEAARDSEKKMLLSLEAQTKQLEQTKVSLEEAKLEIATLRDNSKSLESLNPGRQPVKNLRRRGVMSFSFADPGEVETWSLQRELKLAVESEEKCKKAMDDLAIALKEQTTEAREAKEKLLLTQAELNSARTEAESLKSLLENTEDKLQLALEEAGRLKVESDELAVASKEKERGLVDCIKMFEGELSKGKEENEKLIKSQGVIRDENSRLREMLKHAVGEANVARESLEIARVENSRLNDLILEKENVIQSIKQEYESLKISEAAAQSSIKELKDMIDAMFSSESTKTSAEASPRYAKGGEAKESYLAVDDVYSDVERSTRLEDIKNPGKQKKKTILRKFSEVMKKRNP >Dexi6A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:6A:2837470:2839977:1 gene:Dexi6A01G0003130 transcript:Dexi6A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCDPSARLAPQSLHKLRHYNPSLWGDFFLNHVTYTPSQLLSMKERAQIKEDEVRRIILEIGASSNLAQKLELVDTLQRIGSMDTVSLQYAILRIHGEETLDDMITFNKSRLQYMMMKNLEPHLAEEVRCTLETPRFRRVERVEARRYISVYEKKAVKWDEQTTDKFPAHMKALLVNILKTTNKILEELKLQENMHAELVKKLVICTAKFYHAEGDVSMVGRIANDIVSHKREQASVHVVSTVQTCMKQYGLTAEQAKQKLTAMIEEAWMDIIEDYLNQKRPMELLEKAVDVARTMDFFYKYDDAYTLPLSLKDTLTSMYVNSV >Dexi1A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:1A:3991086:3991246:1 gene:Dexi1A01G0005480 transcript:Dexi1A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGGFIWRFVCLVPLSRGGSLLVTTLPFLPLPLS >Dexi3B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:3B:13646540:13646908:-1 gene:Dexi3B01G0018390 transcript:Dexi3B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLSFFLPQWVRYPATVSYRKPSLTSNAARLRSHAKRRRGAGPVLSHAIPTFRLEQSSSSARRKRRRDGAGDGLEQVAAAPHSHVVAPCEREEAPEREAERENRGWSSPPNRSINQRLEA >Dexi7B01G0022040.1:cds pep primary_assembly:Fonio_CM05836:7B:26986552:26988857:1 gene:Dexi7B01G0022040 transcript:Dexi7B01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQIEMGGFKVNSPQVPNGGLRPTMAGSWDSRTAVQRTIRSIRIVIFTSKLNLLMPFGPASIILHFTSRRHGLVFLFSMLGITPLAERLGYATEQLAVYTGPTVQATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEEEEPNEDATEEEEEAEIGMWEAVTWLAVLTLWVSVLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFLFLGS >Dexi1B01G0022660.1:cds pep primary_assembly:Fonio_CM05836:1B:28360452:28361567:1 gene:Dexi1B01G0022660 transcript:Dexi1B01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPSSSSSLNLSPSLLIIAALLAFVFFASVSIHFLLRCLARSSSSSHPSLSPLPSRVARRASEADEAAGVGSRRSAAAVAPEEEEEAQAAEEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFDPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVSLPHPPLPTAPPAAAGQEPLDARVGSSSNNSRSFRVEIGSVSNRRSSAAGDDRRTYSLGSFDYRVDEEVEAVVSRITRPAVAAAAKSAATQQQAAPATPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSARWSQGQHHSARWSQGHGHHSRSQSQSQRPEDSWRWDPEAAAGAAMHRAPDEEEPGFVALYRWIVGV >Dexi9A01G0030480.1:cds pep primary_assembly:Fonio_CM05836:9A:35511689:35512434:1 gene:Dexi9A01G0030480 transcript:Dexi9A01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAGVVDDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSGSSGTSRTGIGVLLSLVVFTTSITI >Dexi3A01G0033850.1:cds pep primary_assembly:Fonio_CM05836:3A:38873701:38875648:1 gene:Dexi3A01G0033850 transcript:Dexi3A01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARKEDHHHHHHLRALAARVVTDSLRAAGAERPTAADRAARFEDCVRSLEAEKAKMEVFRRELPISVHLVADVIEWLKEELAQHRRTPAPVTPTPEPELFAPVPSSPAAKRKAAPVKGEADANDKRSWMSSAQLWTCGEHDDGSDTTTTNGATAVAAKPPHKVSNAFMSLSVLPATLPRSSDDTAEKPVAAPVPDLTLSSPAIDAAACPAAPSATSSAVTDGTASQRQHQQQQQRKARRCWSPELHRRFVAALQRLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASSSDVVGDHHAAAAGMWSAPEQQYTTSQQSTSQSGSPQGPLQQLTVSSRAMSATAGADSCDGDESEGGRSESYSFGMQKASSS >Dexi8A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:8A:24907996:24909751:-1 gene:Dexi8A01G0014410 transcript:Dexi8A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKAKRKKARRRRRGAEPEPDRLSALPDCLLHVIMSSLKARQVVQTCVLSRRWRDLWRTVPCLDVNLDEFRAKKTQAAPGGDHNAASNSNGVDNSDSDDEICGLDHENASSDDNADSSEDSSESDEGISASDPESSDSDSSDSCSSFSSSDDDDDSKYRYGGNKDKEWKDFEDFTVNLMHRCNISQLDSFRLHSRRFRAPRYGDRQVGGWLRRAMKYCTPDPAVLRTLEDLELDDCRCEIQSVTSHSLKSLVLKNCRWFSLSEITSRTLKTLVIDGGSNTDDCLLVILTPAVAYLHLAGSPNLEKLTLRHCKFPNYAEKKKGKTKLSKTTSSEFLGLNFMSENLKIEIVYKYGNGRQLIKLLCAYGNLSKKCIKLIKVN >Dexi5A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:5A:23553616:23553993:-1 gene:Dexi5A01G0019630 transcript:Dexi5A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATAMPAPSSSLAARGRQPWVRDSVGRKPAAAPRRRTHCATAQACRDAALHSAGAPPLGAQAGKGKPWVRSRRGRWGPGRQGQAMGTQPERTLVAGEEEALDELVAGEEEALDESTRRGSTRK >DexiUA01G0018070.1:cds pep primary_assembly:Fonio_CM05836:UA:38579859:38582165:1 gene:DexiUA01G0018070 transcript:DexiUA01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLMKCVIENIVVDVSFNQIGGVSTFCFLELVDRQVGKNHLFKRSIMFIKAWCYHESRILGAHHGLISTYALETLVLYIFNMFHKSLHGPLEALYWFLEYFSKFDWDKYGISLNGLIELSSLPNLTVEPTTGHDELLLDQEFLQGFLDRLVVFPNESDGCDAQFRQKFLNIVDPLKGNNNLGRSDSKANFYRIRSAFSFGAQKLGQILMLSPEFIRNEIYGVFANTLKRHGKGERSDIGNSSFQSLLGPESALGEAGSRLKTSCMTESEHRSSLPDKDLSMIDVHKDTGRCLPCLALDLPWNKVWFMECAYDFSANSSYFAGLSSQPSFSFENSNGDIKDFFENHAAEADLHLSGRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDSGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >Dexi4A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:4A:19635329:19635706:-1 gene:Dexi4A01G0016100 transcript:Dexi4A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDGSTTAATEAAAPPTDLSHGGALMRQQPSDQCAGSASTVSSVSYGCCPAVVVVRQEALRSPTRDGATEPSRSPARSTGTSSSVEPSGFTEPDLATPSPTPWFPGPDRSCDSGNRNAPGCA >Dexi9A01G0027340.1:cds pep primary_assembly:Fonio_CM05836:9A:31908160:31911563:1 gene:Dexi9A01G0027340 transcript:Dexi9A01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQGADKSPLRWPPSRRCHSLHPTATSRLAIVDAYKEYPGNPRYAFRHPLFSVTDPSRRVKPVAASDIMWAEAMGKLECMDSADRKRLWPHLVQGFKDLSNRFKVYWKSIEEAKAELLRQLMREDAAADGEKTTARRTEELVGFLRRQIQHDAPGERADKHLLLSLLVRAARAEEKLSEETPRVELLEKRMGMTCLGLVFSVFVVFFGLPAIVALHLIVKLLRLVF >Dexi3B01G0021430.1:cds pep primary_assembly:Fonio_CM05836:3B:16259526:16260838:1 gene:Dexi3B01G0021430 transcript:Dexi3B01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEIRMRFGRCPYCRAMIYQDPEAVIYYCGKCRTPIRGKNPEPTEEMDHALSRLEILSADTASVFSDDLDACPDQASVLDAQCDQPPLFRNPKSCSNISTANSRDNARSYSNGQEQDERRPLSRRTRRPACSDSIVLRYGVFRSTHSEAAEEGFSPPPRNACGRQRRRSLAGLQELETSIGWSSTPAPPRVAPTPLVDPAFQRDLLRSLDSLRSLIAAIEPASTGGATAVAARRGARLFRPLESQISLALPAAAHEHARRTGSSRSSSASASSTGARGERRRKHHHCLPVFGGAPFVVCRGCYELLQVPAMTTLLSRRKVARFRCGGCEEVLQLTAHAVVAGSGPYRTTWTASALSESEDAGSCNGSDGAQPLPLHRALGYSSPSSLLHSRR >Dexi2A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:2A:3356406:3359558:1 gene:Dexi2A01G0003840 transcript:Dexi2A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFEVLPTWKMADEELAASSKKKRVADTQINKDNPEPDDDGPEPEMGTFKKASVEVMATRKIVKVRRQQPSSAPSSNPFSAIRFTPTDSSAQASAPVPEPQPSNVKPDEGSNGSGKDALSASDKNAGAGEVPEIQKDESAVNRNSGATTEAPHQPVETSDKAEDPKDGSGEDKEVEPHKGNNMPPEVEGKTKDGDAEEKEGADETGNNKDKISKDDTEEKDGGLSGTGFSSSSFSFGAVSKDGSSAGTLFGLKTDGSSFPSFNLGANNSTLATSAEAPKKFAMTEGPVETGEENEKAVFTADSALTKGNYRLVLNASLYDDMSLKDMDKKGVTFACMNSIAESQSSLATFALKFKDTAIREEFKDVVEAHKTRKEPARHSRCLRPLRRQRRSEAAPAHTSPVFNYTKGEETVTEVDSEAFRVCNRQGNMINEWSSGNDVVSLDIAGRRWFFSSLCNHCDLGLKLVVDVELIGAPEPGPAPSTPLPPRRRPLRRRRLPPC >Dexi5A01G0027520.1:cds pep primary_assembly:Fonio_CM05836:5A:30995622:30998212:-1 gene:Dexi5A01G0027520 transcript:Dexi5A01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRNGPFPMVDMPKKGSVDWFPWKEVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQVRIIIIRNLTYEEQIYLYKQLGEIFHERQQIQS >Dexi8A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:8A:20159278:20165119:-1 gene:Dexi8A01G0011470 transcript:Dexi8A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTHHHRPGAADAGGDIVEMSSSSTTAASPIDGGRERERERTIPHSGPLAKKSGARKSARFAESVSAPLTAAPPRSSPHSDDDDDYVEITLDVRDDSVAVHSVKPAHGNHVSSSDDPDVTLLARTLESRRSASSVIRNASSRIKQVSQELRRLASVNRRGGGGGGGGGGRALDRSKSAASHALKGLKFISKAEGAKGWEAVEERFDKLAQNGLLHRSKFGQCIGMKEPEFAGELFDALARRRNISGDTISKAELLEFWDQISDTSFDGRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKIQEQAEEYARLIMEELDPGNLGYIDLYNLETLLLQAPSQSVRIGTTNSRNLSQMLSQSLRPTPEPNPLRRWYRRLQYFLEDNWRRVWVMLLWLAICAGLFTWKFIQYRRRYVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWIRNRTAMARVVPFDDNLNFHKVVAVGITVGAALHIICHLACDFPRLLHASDAAYAPLGQYFGYGDDRPNNYWWFVKGTEGWTGLTMLVLMAVAFTLATPWFRRGRVRLPGPLKRLTGFNAFWYSHHCFVVVYALLVVHGYYLYLQHKWYKKTTWMYLAVPMVVYAGERLKRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYRSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGSATTNPSFPKVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISIIKDIINNMRTLDGDLETGVSGADGSSSVSSAFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTNTKFDFHKENF >Dexi3A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:3A:3018677:3024568:1 gene:Dexi3A01G0004650 transcript:Dexi3A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMDLEGNNEPGHKRSDLDKIAGGSGDAKSLRGGDHAIDMDDDDEEVFDIYVKNWIFMYGGWAKFDEPNGPMLPMFPEPMDTMEIFVVKVAQIAGGLEWPLQVYGDVAVRDSLDQKRNYLFRRGRDDCQALTSPQIELNSFFSENSHVQDSLLELTGPSRAVILLDNPVFEIDLKVKGEEESEDNVLCYKYFGYDNLAYRGADSYARTEMLSSKNRAIEVRFAHVTCSLEATISIQMTTGSGNFWARLTACTASIGEKVVLLDTGGREVSVAEDGKVYLQRRVVVVEEQGKLILGFEAAQLGGDSAESSITTAKEMTFPARCALRSESYFVIGPTRLHVVVAWSLLP >Dexi7A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:7A:17957112:17957384:-1 gene:Dexi7A01G0006590 transcript:Dexi7A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVTSCPAPPRRLSSAVALRDPSPAASHRRWVVGYGCSSARRWPCRRWAHRPESAAFRIRRPLPSRRAAAVRVSCVYSSGGKDLTD >Dexi3B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:3B:6432376:6432849:1 gene:Dexi3B01G0009360 transcript:Dexi3B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNNSTVALLPRLLLLLPLMAILSSTTASASRRSLMATRASTEDLPVLRKVPFGPDPITSDPPPPPPVREVSVLRKVPTGPNHDTSDPPPPPAREVSVLRKVPSGPNHETSDPPPPPAREVSVLRKVPSGPNHETSDPPPPPPLATSSVDDFPML >Dexi5B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:5B:336360:340931:1 gene:Dexi5B01G0000510 transcript:Dexi5B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSTEDDNYLQEDRGEQAARADATEVLATVDLKIAFGFEKLLNLEMLVMEIARRAADIEPLMRDPQSLSADSVDKAFEFDVLYGIVDSETNELEKLVASVRMDIASSEKQVSEEGPGSGVMYRLHNAADSLTKMQELISAIRRESATFEKTIPPSHDKQGTGKAMGYENDHLSVHTTMQSEDQRNLLQMLQQSIASKLDLEMKLCNSHSVVEDLKMKLRHAEQESDLLEDFIEALYERMFAAENASQFFLGTSKELIGKINTIQFDLSASVCREDDLKSKLEESKFNANQSTREIVPGDSDTNTSQEALQIQALSPPEFLTMRNKVQQLEGWLRDSGFQPQRSLQSREATEQEQSTTQSGTSIISDIKLAIFNAESRAQKAEARCTQLAQTNVQLNGELNSLKSQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEQMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVENLENSLHQANQLKVSTAKDIGSKTKTISDLVAKLALERERLHVQVLILLSTKAASTGNNQREEVEADELTPPEDESGARSTPETVRSIEPLLLNWKHISVAVVVLLATVLAYQLYQSDDGVQQLPRNCLGPSQLILSLDFGSVGSREHLDLVPRLGSPGRRKKNTPKSGRSWTGTFFSCFHGERRLVALLDANEMRRRFIDGFYEAAARRLPLKEIPGLGAAASAPAASAWASPTPTPTSSSTPSACCSTTSKSSRRGNSGSAGGRNAMTLSHERTLFFAEFWEAPSPEDVRSKPSFCCPISDYGVSVGRCSLCEKEPNKIMHPPSGLPEVIQGDVELAKAINDYSHCGYERNDMPMMTWTQRVKPSFAWEWSGDNPFGPLPEFHEALFEK >Dexi7B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:7B:3886056:3886679:1 gene:Dexi7B01G0002310 transcript:Dexi7B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFFVPFLLLLSSPSVQAQQNITLGSSLTPQGPNSFWLSPSGDFAFGFRPIEGNTSSYLLAVWFNKINNKTVAWYAKTTNPHPVLVQVSSGSCLQLTSNGALSLQDPTGTEVWNPEVVGAAYAAMLDTGNFVLTDADGSTKWGTFDNPADTILLTQVLTPKMKLHGRINATDYSNGQFLLNLQNNVTNMNPTGP >Dexi4B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:4B:20776877:20779706:-1 gene:Dexi4B01G0018440 transcript:Dexi4B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYTDHALAMDPAAAAAAAAGVGAAVNPSFVPGGGVGGAGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAAAAGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRYGYEKLH >Dexi3A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:3A:6956202:6956546:1 gene:Dexi3A01G0009910 transcript:Dexi3A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACEAVAAAAAKGRSPIRSRIRRGAGGGESGCGARRRRAAAGEDSGGRARWRARRSAIREGRRVCGAGRYGEAASRGRVRELRCSRFSVCPCTFQWRI >Dexi5A01G0037530.1:cds pep primary_assembly:Fonio_CM05836:5A:38855774:38857671:-1 gene:Dexi5A01G0037530 transcript:Dexi5A01G0037530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEEHESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGKEEVTTLRQELESAKEEVASAVDQLKEAESETKALRSMTQRMVLTQEEMV >Dexi2B01G0031640.1:cds pep primary_assembly:Fonio_CM05836:2B:39710202:39712021:1 gene:Dexi2B01G0031640 transcript:Dexi2B01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLRTTLRLSAPASHAAVCSCSAAAPLFGKRLPAIVAFPRAGSGGAVSLCSAVQESSTSTTVSKKKDAADGEKKEATAAAAKPAAATKPKKAAAKPLPEMMEEEIIPPLKDALEAEENVSQVQLSFQNNTLEGSFVKEDVPYYFWAFFPKGDLSGPKGFALSSYSNEVSTIEPFLIDEKRITSKYVVFWVYKRLAGQGILPVWKEEEGEEEGAK >Dexi9B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:9B:3453367:3454209:1 gene:Dexi9B01G0005840 transcript:Dexi9B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESSPSQATADLSLTLAPAPGSGGGGMVGGGGGEVSPGGGGGGAATACVDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMAPQPNAAPPSPTTAGYAAYSGAAAVGPGALPPHAYAAGHVYAPVPAPFPIASHSTSVVGSGGLQYYAPHEGSSPATASAAASVAAGTAAAGEVGGVLAPRTRFATLQPAASNGDPRGAGGRDDLIDMLNWRRGSHGPTASAAATTTSPASTTTTLTNSGAGAADGSSSNNNYDDGGEELDLNLSL >Dexi9B01G0037260.1:cds pep primary_assembly:Fonio_CM05836:9B:38733402:38735536:-1 gene:Dexi9B01G0037260 transcript:Dexi9B01G0037260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDAAAATTAAMEKPPDAEKPDYASYNGASAADGGAGARTRGGRGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFIALVLVASNQHGDWMQFGRYQEYKYLLAIAALAVLYSLAQATRHIFRIRRGVDPVPEPTGRAVAYLLMSALSAAVPITNRMRSAVVNTFTDATAAAISMAFFAFVALALSAVVSGYKLSKQTYM >Dexi7A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:7A:26559504:26561351:1 gene:Dexi7A01G0016680 transcript:Dexi7A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCYSDWPPIPPFHPSRRTTPPQSHTPLWAIRRQLASFVLHCSRSSCASPLLEPKTNLPADEFPAVPAAAPVSSPMPDAAPNLGISNKFIRGLCGDPQTEQLAFECYRRALLQQPGFLPEKKTANALTLHLLRAKQWDSLELLVEDFRLYGVLPEKRTCARLVAGCIRARRFGLADAVLGVLESKKGAAAVMGFAAAMQAYNKLHMYRSTVLLCGQARLAGLPLGADAYRAVMAACAALGETDMVASLFELYRSHEWYPTADADGSVEAYAIVCDALGKAAGRALDALRCLREMEADGLSPNAAIYSSVIGALADAREKAAAEDLYHEAWDSRMLGDPDMFLKMTVMHVEAGVVEETIEVAKDMRQIGLRVTDCILSTIVNGFVKRRGLKPAIRAYDRLVFIGCEPGQVSYASVINVYCRLGRSDRAEAVFSEMIGRGFDKCVVAYGNMISMYGKIRRASEAMKLLAMMKQKGCEPNVLVYNSLLDMHGRLGNAKQAEKIWKEMKRRKVWPDRISYTAIILANNRGGEFERCMELYQEFRETGRKVDKVMAGLMVGVFSKCSRFNELIDLLKEMNGTKLDRRLYTTVLRSLRDAGLEVHVKWLQSNFTFMEEKT >Dexi8A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:8A:28298984:28299667:-1 gene:Dexi8A01G0016630 transcript:Dexi8A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDKKSDDGSPNPATLALRIATVALSVASAALMASANCTAGCAPANQVSYTDYGSLKYVLVATIMSAAAQAVAAWMKASGKEGASKVIKAVAELVDTISQTFLYSSSALSFSVEDFGTCGHRVAGVCKGSSEFCFCQRTRMSGAVSMAAAVALSVSKYLEEVPISTWFKSDNKKPDKHNKEKTACGHGGHCHH >Dexi3A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:3A:8018220:8026245:1 gene:Dexi3A01G0011240 transcript:Dexi3A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAALVSSPALFPFLPTASSLASASCSCRLRPAIVARQQPRGRRALRRFDEVRASLVGVTLVEGASKKRRGIGGGAGGPSQASSQRRDRGLAVDFKESQVAEFDDLEEDKFLNAVVKVYSLGSIALILHLIMVYLGRSKDNIQAVEDMSFHSAFMIGDGKLLTNAHCVAHDTQIKVKRRGDDKKYIAKLSFNYLQVLARGIECDLALLSVENEEFWKGTEALAFGRLPMLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEVWVFLFVGLSLLLQQAVFCERLLCVQGDVIVSFDGISVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRDGNSMKVQTILQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVIKLNGTAIKNIHHLAHLVDTCTYKFLTFEFEDDFLVVLHREEASAASSDILKEHAIPSIRSSDLSEPYVEANNEVQKTSEDFGESPVTNFEMGIDCLLWA >Dexi5A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:5A:5905007:5905960:1 gene:Dexi5A01G0007940 transcript:Dexi5A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGLSHRQLYLSSSLPTPPPAGHSVSVTILADLPLTTQEASKTTPMEEAPELISPRISFSHDLANFTSPPTRRSDASLLVSSSSSPSSRLPEPEFNFATANAATAGDDADDVAPADRLFAGGKLLPIPPLPPSTPRPNPHKQQQPSGGNGGGAGGRVKAAQACLQKRPCSPASSPFSRSSSVNSGATTAATAGTPRSAGRFGCPTFPLMRSWSASAAVARDGGGLGGGGAIAAGDPHHRHRCYKKLGGTVAGSNGGGGSSRAYYYGGGRKGSSSSSHGVRVSPVINVPFIGTSVANVLSYLLCDCSTKTKKSREF >Dexi3A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:3A:10115164:10116538:1 gene:Dexi3A01G0013840 transcript:Dexi3A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAPFLEGRRKINIRGALATSRGSDPERAGAAASGGRRNLGRQLIPIAPPSDQDAEEATLAALRRQMSSACTCGRDEEPTIRGHGHERSLSAASTSFSSPDASPALSSTSTNYMPASNKLSSESIPFAVPDFGKLSSFSSTSSYESFFHIEASSSDLVAGCEFLDFEPPPTTTAPGVQTMMMSPKPEGGGGYDPKRLPSSMFRTRSTTGGGGGEWSVSSNDSLFSIQLSNSADHLNAVYADLYYDAAGFPRFPYMGRDATAVLMKKMASMSESSSVRSGGLCVRHDCARCSGSGGKTRKSVRFAAATESVSTEGKHSVVVSTLEVAMEEKVTATAAKDPAAGWCELGCCWPSPSTAWWPRCCVCRGCGCQCKWWL >Dexi1A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:1A:5992304:5996762:1 gene:Dexi1A01G0007770 transcript:Dexi1A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDQALFLYLNSQDQTPAIQDQPQTLNIFPSQPMHVVEPAPKGPMGISNTAPNAGAGPSKPPSSSHHPSRTTPAAAPKDGKLASSVKREAGGGAAATGSGTPSTSNQQEGPRTPDAKTLRRLAQNREAARKSRLRKKHARWQEEHGKMMRHLRAALEAAEQQQHAAAVADAQLRQLVDAAAAHHGVLAELKAAVARADAFHIVSGAWVSAAERCFFWIGGFRPSELIKVAVRHAEPLTEQQAMGICGVQQWATEAEAALDHELQAMHRSVSEAVSPDAAALLSPYSDVPGYMAAMSLAIAKLSSLEAFALHRLPQIMSARQSARCFLAVADYSHRLRALSELWHTRPRHEPPAAGSSHGPPPYQSRDSLL >Dexi3B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:3B:3112730:3120819:1 gene:Dexi3B01G0004590 transcript:Dexi3B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKALLDRLTPLARASRPTSVVPSLAGTLSATSASSAAAGAGDDAAQGFLQLRYAPRASPLRVSQFPHPQNPNSLRLPFPSPDSRAKWRKRKRAAASPSPSKQPADHSDDSDSAAAANGDEDAPRGAFANGSGGTLAAGGGGDDDPVLDLRGAEVLSSPAEPVSVFPAAVRRAVGRPHPSVLAVVDAERAAAGADAAPTTLAPVPVLENISHGQLQVISAMLPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGRLHIVPMHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPQKYMMLRNKVIAKYLERPGKRLVFAECQGLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELQLVSAPMKSIDGLILFDRPKCSVKVDDIASVVSTSSASVVANGDADSALDEKIWERLSETSCSFCSQPLPSLHYESQKEADIALCSDCFHNARFVTGHSSLDFQRADGMKDRADTDGDRWTDQETLLLLEGIEKFNDNWNHIAGHVGTKSKAQCIHHFIRLPVEDGLLENIEVPEASLPSRMQSNGFLHSDSNGNTSGCLPQSSQPGSQIPFINSANPVMSLVAFLASEVGPRVAASCASAALSVLTRDDSRMCSEGIDGMGHAARLNYGPSSSISPETAKHAAMCGLSAAATKSKLFADQEEREIQRLAATIINHQLVIFMDKQLKRLELKLKQFAEVETMLLKESERFELMRQQLAAQRARILSTRLPSTGGTLPGGGSSVASNPMNQSASLRPPMIQGSMPQSSMPMYANNMQGHPQMAALLQQRQQMLSFGPRLPLSAIHPGTSSSSAPSMMFNPGMPNSGAPNHHPLLRPPSGSNSNVG >Dexi3B01G0023190.1:cds pep primary_assembly:Fonio_CM05836:3B:17957796:17962435:1 gene:Dexi3B01G0023190 transcript:Dexi3B01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPATPEALESCAVQWGVAVTPFAAADERGQPPTTGSGGDRVPRCEHCWAYFSNHCDLERWGWSCALCGTLNGFDDDTARRFQRPDACPELNASFVDLEIPVDEAEGGGDGVRARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDLQGPIPVVKNVFIPPDLEEDGLPVALEDAMPLLSFLAPVDTCKDRIAAALETLRPTSSWERGAASGQEEDTVLLGGRGFGTAMSGLIDYLSSEYGSTFALARVFAFLSGAPDYGAGLLDTRRYGEQYASKRVDADLALLPEQIPFYRDLAAVAVQAGVCIDIFAVTDEYTDLASLKFLSIDSGGSLFFYANTDDSTLPQDIYRLLNRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFDFSHNDGFSRHTDPAVIQIAFQYSVIEPIEETSGDESQSSVRYVTDNKLVLMFVSWTIKYQQFDIPHYRFCLKRRLRIRTLQYRPARNISEIYDSVDPEVVLHILVHKCTGAIFSS >Dexi6B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:6B:24429815:24433384:1 gene:Dexi6B01G0017240 transcript:Dexi6B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEARRAAGGGGKKLALASISFADVRVGAAGGAGLKDNLLVVGLPVPKDDELDVLGDLAVRLPDVGAGVRNFLRNREVAEFVSGAMAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAIELGTFEYVKRTMASAQEKWKEDGCPNIELGNLKIELPFHLLSPIAIGGAAAGIASTLVCHPLEVLKDRMTVNREAYPSIAIAINKIYRTNGIGGLYAGLFPTIVGLTASTISFPLEVARKRLMVGSLQGKCPPHMIAALAEVVQEEGLKGLFRGWAASSLKVMPTSGVTWMFYEAWKDILLAPQLHA >Dexi5B01G0025170.1:cds pep primary_assembly:Fonio_CM05836:5B:27268174:27271746:1 gene:Dexi5B01G0025170 transcript:Dexi5B01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVAEVENLKQNIWSANSHRSVRPSGGSPPQRDGRQGPTAAQTSRRTPVGPPFGPWFTAGSGTHGHLSLLGRSRTLAVPLSPLPIRSCNFSSQADLAVALQESRSSLTLGELACAALLPVFAMVDAVLLAASRCFQKSPPPRLISVLDARGGIRVSGRLSLRDLAELADESRCFTVNEVEALYELYKKISCSIIDDGLIHKEELQLALFHMPSGKNLFLDRVFDLFDKKKNSVIEFDEFIHAISVFHPNAPLEDKIDFSFRLYDLRQTGFIDRDEVKQMVIATLMESQVELSDDLVEAIIDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPHLKYGPYLCFMHFFVLSSFLVNELDTTAAFPSFVFNTQVED >DexiUA01G0012030.1:cds pep primary_assembly:Fonio_CM05836:UA:24070734:24072597:1 gene:DexiUA01G0012030 transcript:DexiUA01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRQEVTAAAAESNAYNDDSHKETKYCLDPKYANVVEPERRVRTRLYFTSVPLEHPKRFRIEMTFSRGADISTLEVIFIPFKCLEDRKIFLQLLLVNLYQSASARIHYKEEDW >Dexi2B01G0031020.1:cds pep primary_assembly:Fonio_CM05836:2B:39248907:39252425:-1 gene:Dexi2B01G0031020 transcript:Dexi2B01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPVQAKTKRHNAHPRRLDGAGRWFNDLDDAAAALASQSPRISDGVLIGVANRRRRNDGPQVRRCFFGAATWDKRAMSSSSNGHYPANGAKVLNTRDKNKEKVLLDRNAASSACQKDRQYIEKLETELMNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPEREEIREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQHIQELETQVSKEKTKLSGALRRLQESHELARKQAMEIKKLKDALERFNSVNLGTVCKSCSCGFCGMLIELSNCSIEGPSGARSPDSNHIDEKLQNQALIEWHPDEASDGDRG >Dexi2A01G0035000.1:cds pep primary_assembly:Fonio_CM05836:2A:44751646:44752710:-1 gene:Dexi2A01G0035000 transcript:Dexi2A01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTETQETSAAAPAALPWTVRLQIFSLVTATDFAQRANGTVNRFAFSLADRQARASARPDSLGVVSADIAIDSDRGLWARVFSPSGAAAGQPAALPVVVYFHGGGFALLTPASAPYDAMCRRLCHELAAVVVSVNYRLAPEHRYPAAYDDGVDVLRHISSSGLPGLAVSIDLSRCFLAGDSAGGNLAHHVAQRWTAGIASGEPSIRIAGIILLQPYFGGEERTAAELRLEGVAPVVNMRRSDWSWRAFLPEGADRNHHAAHVTGEAGPEPELGDAFPPAMVVVGGLDPLQDWQRRYAGMLRRKGKAVQVVEFPEAIHAFYVFPELADAGEVVKAIRAFMDDESESNAPEAAA >Dexi1B01G0028940.1:cds pep primary_assembly:Fonio_CM05836:1B:33338463:33341674:-1 gene:Dexi1B01G0028940 transcript:Dexi1B01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYKKPPDGLLLITDNIYDTTPPPQPPPLPKSGVMLFPLSQGEEVTITIEKVVPPQAPPPPPPPPPPLPQISKITTAATTSSSSCT >Dexi2B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:2B:9752877:9754481:1 gene:Dexi2B01G0008970 transcript:Dexi2B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVATRRKKTKTNPKDRINNNQEPDPDRISTLPDCVLGHIVFLLPTTDGARTQILSSRWRHLWRSAPLNLDCHGFGGAWPACVNLISHARHAHGGPVRRLILSTSRHPAVQSCIDHFLLRCPAMDTLEELEFFYARSSPPPPPPSFPAPAASRFASTLCSASFGFCHFSEETARTLVFPVLKNLVLREVSISEESLQLLLAGCHALQSLVLSETFGFRSFRLVSPSLRSIGVSVGAAREIVLEELIVEDAPCLEWLLFPSLLCSRLHVRVIAAPKLEALGWLPDARPRLNLGIDVPRELKTNTLSLTMVMRSVKILALRTLYLSLDVGINLMVCFPCLTSLYISSIERGDEESNVRHIEQLGHIECINHHLKKIVISRFVMNCMSQVNFVKFFVLNARVLQLMRLELPEGCMHCKWMEMLPELLQIKDRACDANFDFCTDGKRWLSCPVSLKRVTDLSRADPFV >Dexi3A01G0024540.1:cds pep primary_assembly:Fonio_CM05836:3A:20186048:20190345:-1 gene:Dexi3A01G0024540 transcript:Dexi3A01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVHLRPVHSLTLRPPAAKAARSWLPLPGKLGARTRCSRLALLVCSASSPAPAAPSSSGGDSAAAFAAAKWAEWIPRAAAAGGAGAGPEKVLRLISGAAAMPVCQFVDKPRNFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLALLSVWVLPNHVWKDQLGRVALLSGFIFIMLGFGANGAPSLIQTRTPPPSVLGIPNIPCSASGYSYTIMKLGPLQFTRKGLSVASTSASLSFAVRNSALAIVARRINWKKLTAMETIDIFFNYIRRIFKNIFDHAEQISKAMVARGFRGDPSNHKIYFLTESSFGFSDAFSLLCLIALMGLASYSDQLV >Dexi6A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:6A:9163235:9164112:1 gene:Dexi6A01G0008620 transcript:Dexi6A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPQPREKTRSSCSAETDRCAHVFEIDDYSLHKGLGAGNFIKSGTFTVGGHEWCIHYYPDGFTLEDSKDYISVCLQLLTKESEVRAHVSFWLVDPATTLPSFLVVENAVLFTHVHPTTGLRYFQKRTELEASPYLQHYRLTIQCNLTVILGSRVSQSEKTCGIQVPPSNLSQDLGRMLDAARGTDVAFKVKGEVFQAHKSVLAIRSPVFEAELYGPVGEDNRETITIEDMEPAIFRALLQFIYKDSLPAMDDLGGDEKEEMGT >Dexi3B01G0021330.1:cds pep primary_assembly:Fonio_CM05836:3B:16195407:16196676:-1 gene:Dexi3B01G0021330 transcript:Dexi3B01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPVNSACQSGNTSEIIDGRMGLYPPECVRRFLSLATKCCQDETDDRPSMWEIVRELEIILRMMPEEDLIMLETSETDSTDVSKSLSASASGTLFISSQVSGSLDASSGMISGRLTPR >Dexi4B01G0023620.1:cds pep primary_assembly:Fonio_CM05836:4B:24929827:24933306:1 gene:Dexi4B01G0023620 transcript:Dexi4B01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKVSPVCLSTRPPPRSLLDPPILRLSSFSASRMRNLFSPDFPLLVRFSQHRPSSGNNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESMHMFTFLFDDVGIPLNYRHMEGFGVNTYTLISRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNFPEWKLYIQTIDPEHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHFDGFMNFMHRDDEVNYFPSRFDPARHAEKVPIPPRVLTGCREKCIIQKENNFKQAGERYRSFDPARQDRFIQRVIDALSDPRVTHEHRSIWISYWSQCDASLGQKLASRLNLKPNM >Dexi9A01G0027680.1:cds pep primary_assembly:Fonio_CM05836:9A:32354600:32355974:-1 gene:Dexi9A01G0027680 transcript:Dexi9A01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFFWLSPADQGDLSDVVRASLNQPPPLSIPSPTLFSHGRRLPEDEEDLLPLQDRGNLGDDGDHYWQRHLVHGNGAMELMVGSNSGCDYHALYPQHHPEVDQGLLVPHLMSGLQPQSQQCDSISGFGEREDDHVANAPVVQDELGPDTPIIPHAPSIKRRKSQTKKVVCIPAPVAAPPGLGGRPSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVGRSRTDPSMLVITYTSDHNHPWPTQRNALAGSARPAAGHYSSSAKGGHHLRSAAAAAATRHAGNATPAAGSIGTSVHHQEQEVVKKEVLDMDSLELAQDEAAGGDHDLGGMITDVDGALNALCAASSFHSKKQQQRATADHLEKLPEEEEDKQQLLLDRDPFSFSFLDWVGASFGVGEAAPNKGGYYT >Dexi3A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:3A:1454134:1455276:1 gene:Dexi3A01G0002200 transcript:Dexi3A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDDTKASSMEVSSSSSTSPAPPSPLSSLLRSVLLSYAYVGIWISLSFSVIIYNKYILDPKMYGWPFPISLTMIHMAFCATLATVLVRVLRVVDVPSSPPMTPNLYLTTVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDAFRRASMLNMLGISAGVAVAAYGEARFDVFGVTLQLAAVAAEATRLVLIQILLTSRGMALNPITSLYYIAPCCLAFLTLPWYAVELPRLRAAVASSAASPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAKEAERKAAAMAVAKPDDAEAGARLLPEKDGGAGGDHRSG >Dexi5B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:5B:4820777:4821118:-1 gene:Dexi5B01G0007210 transcript:Dexi5B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPGNSRRPEEAAESGGGASKLHHGGLLGLATCSMAINLVVVCEPPPGLDKNAYYLLALSVIFFAGVAHVFAAVSASDDDPRGRVPSKLKYVVSIAPFVIAAGLSVASFMW >Dexi5B01G0034050.1:cds pep primary_assembly:Fonio_CM05836:5B:34330718:34338046:-1 gene:Dexi5B01G0034050 transcript:Dexi5B01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSNPFNSSPLVLCRSLPPINLTRSSSSSQIRNPPPFLEEARPDPPTSPDLAAMEKPCTLVVHFDKGSPSMANEIKAELESGDGPTKADAMRRAISLLLNGESLPHLFITVVRYVQSCDDHAVQKLLLIYLETVEKRDAATGKILPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLTEPELLEPLVPSILANLEHRHQFVRRHALSAISTIYQLPQGDQLIPDAPELVERALASEQDAAARRNAFLMLLASAQERAVAYLLTNADRVAEWPDLLQMAAVDLIRKVCRSKGRVDKGRYIKIIISLLSAPSSAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIILDRLHELRTSHREVMVDVVMDVLRALGSPNVDVRKKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAAEVEKGGEYRQMLVQAIHACAKKYPEVAASVVHLLMDFLGDPNVAAAYDVVLFVREIIETNPKLRVSIIQRLIDTFSQIRASRVCNCALWILAEYSLSLSEVESAISTIKQSLGDLPFFTVSEEGESTDSNKQSQPVVNSVTVSSRRPAVLADGTYATQSAATETAISAPAAALGSLASSQNLRSLILSGDFFLAASVACNLTKLVLRLEEVQPSKVEVNKASTGALLIMVSILELGQSSYLPHPIDNDSFDRIVLCVRFLCNPGDDVKKVWLQSCRQSFINMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDAVQDDLKAATGQFTKDADDNNKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADAIMTDGSRGIRIPSLLAGLSRPLRARSPLPPLHPPTPNHPKPRRFPFSTQTLAPAPPPPSDAAAAAKPAGLAFLEAAELHESEGDHSKALDLAIKALAPLHESHGGWSLPVARALRLAGTAASRAGLAGDGLESLVAAAEVVDYLAPARRGDPEVAAVGAAVYEQLARAKMAMGRRWDAVGDLRRALELRTGCLDEASAELGDAYRDVAEAYAGVLDFDKALPLCLKSLGIAERRFGEDSAEVAKVRRLLAAVYTGLGRHVDALEQIELARMAYERLGLNVELSQVEIDGSNIRILLGKSEETLNDLKRVMKRADKESEERALAYVTMAKILSSQERIGDAKRCLEIARGIIDTKDSL >DexiUA01G0014890.1:cds pep primary_assembly:Fonio_CM05836:UA:31129175:31129777:-1 gene:DexiUA01G0014890 transcript:DexiUA01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNRQRRSSPPATASLPYFPPELIPEVARRLTSLQDFFALRAACRTYRALLTSTSSNLASQAPLLLVPLEYTLSHALFHPNLRRIHGFRFHRTLLADDDYASTDFHSLGGRLAIYVVRGRVGTLSIVNLLTGERTCLSTPPERIHRVLPYGDLVLPYGDLVLPYGDRVLPYGDLVLTWKCSGCAVQYCHLEAADWRVA >Dexi9A01G0042230.1:cds pep primary_assembly:Fonio_CM05836:9A:45825722:45830483:-1 gene:Dexi9A01G0042230 transcript:Dexi9A01G0042230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAGVGLELIGAAAATLLAAVLVAAVLGRRRRSRPGSPLVEEKPAPDAGCAAGDGGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGKNTKLAYPLEKFHSDVAGRSFHNGSVQLEQGTVTSLLEENGTVKGVQYKTKTGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYRAAPAAE >Dexi1A01G0027690.1:cds pep primary_assembly:Fonio_CM05836:1A:33399488:33400498:1 gene:Dexi1A01G0027690 transcript:Dexi1A01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHDSARAPPPPRPSRGHQPSFSAALLDAIYHSLEADADAEADADAEAAGARSSTEARHAPASPPPRTPVSSRHRPPTASSPSRSSVRSPRLQRPPRPCRVRPDPHPTSLLLPPPPPPRDSATGGRADQKKRSRKGKRTTKTKTKAKVAPFACLLNALLCNRRPPATAAPRSIESERTPRAAPPEPASARSILSSSRASRRESAAAATGGGILTPARRAVRFSPVAVVVDDEDDGYGGGQLVGTATRLRDTAEMETMAQAKESAAEAERRVEELLRALGVAEERERAKESSESSSDLFELDTLPPVAFDDGEPPRPRASAGAAGMLARPRARVV >Dexi9A01G0037810.1:cds pep primary_assembly:Fonio_CM05836:9A:42088964:42095663:1 gene:Dexi9A01G0037810 transcript:Dexi9A01G0037810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKGSDSSSQRSEQPDQGIGGDAAAASVAIHGKVTQLVRQIQHERLAYIKEKVEVNRKTLQRHTCELFDVAAAAEVASRGTEGSNALSQRAAEGQSRPVGSDLATRMGERDVVCVQEENPAAGALVLSGSGGAAQRTVVRFVKLPLIERIPPYTTWIFLDKYGAIAPLLITNSLHSQKKTGCPVREEISCNWKATQEHGLNREVVNVLCQFVDATPSEIEERSEILFEKNEKNSGSSDRIRSQLCLDKTMDAVLDSFDNLFCRRCLVEIYLGHLFIQVFDCRLHGCSQNLVFPCEKQPYSFELDENKRPCGDQCYLRVCLLTNFFTVILANFNSSFPRGEKDLKEQDMAARTRIYRRRGRNRKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCPCVETGTCCEKYCGCSKSCKNKFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDVAGWGAFIKNPVSKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKEHIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHRRAHKVAR >DexiUA01G0014360.1:cds pep primary_assembly:Fonio_CM05836:UA:30482348:30484859:-1 gene:DexiUA01G0014360 transcript:DexiUA01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSHPGRRPTGKVLSVIKKSPRRDAIVGFLASFPELPDGDQRKNQMDVKRMNYRAQSVVTGLIHLLPTDPKFPRMVVSVSTLPDSVIQSLREGDAAMEKELVAARLDEWNEESLYPYARVVRFLGKGGHVKTHMDAILFENAISDAEFSPESMACLPDSCWKIPQKELEARKDLRKVLTFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETALDAEAQSRSTSVYTLRRKISMLPSRLSEELVSLNPGVDRLTFSIIWDIDPHGNIVSRWIGRSIIFSCCKLSYDLVQDLISGDASQSRSAVSSLQVHGIFERDDVIKSLRGLYEVSKNLKEIRFKDGALSLDTAKLMILFDEDGAPCDSYRYVRNDACFIVEELMLLANMSAAEVISNAFPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSRIKEKLQDDPVLFDILMFYASKQMQSAEYFSTGDLISKKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEEVYMKQKKSFTGRNGVKASCDLMDRCFTGLQVSKDAAESEEGKKALSAAAKKFKVPSSENLGEVAEYCNERKWAGRRAEDAGQKLYMWAMIKNKEIVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLDATGTLVLDACRNKPAQRRGTQMKCRAIEEVAMMVNPSEWMLSEEDEESGATEAGGCTAKSVLLSGDAVKAQAAPAVLPLVIHYLSDIPVVLHAIGGEDCAVDIGVRLYMASYFK >Dexi5B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:5B:22534840:22539171:-1 gene:Dexi5B01G0020300 transcript:Dexi5B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDHCWRIGMTLEVSEFAFHLPQDYFCSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRREVVNKDCNSCTALPSCIKRVFYMSSEGSNLLHEVFPEANHTVLEQLSTVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKHNDYVNAILVPEGGQIPLDVENLAAQGIFHVVSIYILLTTIVTVESVHDPKVGVIFDPRSLIQALTRLISEEMNMHLSESGYLTENVKLVR >Dexi9A01G0028100.1:cds pep primary_assembly:Fonio_CM05836:9A:32770892:32774402:1 gene:Dexi9A01G0028100 transcript:Dexi9A01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEPFADKNTIFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMVFGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNNSWPPSPVSASQAPNQTAAFPDLKLAEPNESLYEQKPEEPAPVEESSEARQKFSNAKSISSSQFFGDQGFEKEAQGSSAISSADLFGHPANNSNVDLSASDLINRISFQATQDLSSLKNMAGETGKKLTSMASNIITDLQDRIL >Dexi1A01G0022780.1:cds pep primary_assembly:Fonio_CM05836:1A:29390658:29391142:1 gene:Dexi1A01G0022780 transcript:Dexi1A01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGTDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISEPVP >Dexi2B01G0035620.1:cds pep primary_assembly:Fonio_CM05836:2B:42547953:42548513:-1 gene:Dexi2B01G0035620 transcript:Dexi2B01G0035620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRKEILHEPWRRRVSSSCMSYDRLPAMVGRAMPACRRRRPCKSQQTHTPSGIAGSVLHRSLSFFLRPVGPCSGTLEARFAAPPPELHLALSPELHLHAA >Dexi9B01G0031930.1:cds pep primary_assembly:Fonio_CM05836:9B:34230862:34231512:-1 gene:Dexi9B01G0031930 transcript:Dexi9B01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVKIGPWGGEGGRDWDIPAALPRPHRLESITVCSSLAAVDALSFVYSDDQGRRHCVGPWGGRGGDPYVVRLGPSELLTEVSGTFADIGTQPKDAVTSLSFVTNLGTKHGPFGDVDGTPFSVPVRDGGSIVALFGRGWDYIDAIGVYVSVPDC >Dexi9B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:9B:5999358:6000648:-1 gene:Dexi9B01G0009720 transcript:Dexi9B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRRPSLPLHLLACITLLLLPPLTGAATVRRYNGTLPQLVYNPNSWTQWFHDHPGYLSNPFYIGGDSYAGKVVPLIAQYVSEGK >Dexi2A01G0029280.1:cds pep primary_assembly:Fonio_CM05836:2A:40291079:40298800:-1 gene:Dexi2A01G0029280 transcript:Dexi2A01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGFGTIYDTSPWNNTTEPWQRSRATSTARSPEAARRTESAAASLTTRLLHRISCRLVGLDITVPLRLGPPHRRPPLASRRGCASPRFDSSGALGAARRAGRGHVHQPRVILDRRTLRFSLLPAGAAAAAAAKGAAKMRRCRREWLLSLCLISIQLLVPLAFKGLLVGAQGLSPPALTPLLVKQVDDMVEHVWLKCGLDKRSLEDVRKHFNYNHVFDILRMVSGKDKDISPGIEDASKALSPEIKNALLNCLNKQPLVIAAKESAKNLPVDYIKMLLALLRRDVAQGTPGAPAAVRSTPSPSLGEPSSPIPDKKTDPLSQTSPKEKTVPPTKKSVAKKEDSSGMPTIAILGLSVSAIALLALICLCCCICRVKQASSSDIRDDKPLLGLNQSDLSAASYKSSKGNPIDINKLGALPVTSEAVQNGHVKLSSCVQNDSVKLCSSVQNGSVKLSSYELPITDVHPADYSSLTELMATSIGSAPVLQPSTSPVMQSAVPTPPKAPPPSNPQAPMPPSKPAPVHAESSPPPVPEAAPPPKAASPPKAAPPPPPKSTGPPRPPPPAMPGSSKTRPPPLMKKSGNKTDDGADSHEAKTKLKPFFWDKVTANANQSMVWDHIVSGSFQFNEEMIESLFGYNATNKTGSDGKKDSSSKDVPQFVRILDPKKAQNLAISLRALSVSPEEVCSAVKEGNGLPSDLIDTLLKWIPSNDEELRLRLYTGELTQLGPAEQFLKAIIDTPYVYQRLDVLLFMSNLPEEASNVKQSFATLEVACQELKNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAARTAKEQRTSISSVDTNDVTDDNNEQTEDDYKQLGLKVVSNLGDELQNVRKAAILDADQLAMLVASLGHKLVKTKEFLNTSMQSLDEDSGFQHKLKHFTEQSQADVTFLLEEEKKIRSMVRGTVDYFHGSTGKDEGLRLFVVVRDFLTMLDKVCKEVKEASKAAPKKTKTHQPSQTNQSSFNDPRRNLFPAIQDRRAGTSSSSSDEDD >Dexi9A01G0049220.1:cds pep primary_assembly:Fonio_CM05836:9A:51901413:51906102:1 gene:Dexi9A01G0049220 transcript:Dexi9A01G0049220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSGHGRRRQRRAHTAEEAAAILRKAWCRLRLSARDPARVPPWDAVVLTAASPEQAALYNRQLDRARSLGRFPASTTAIAVPDPDGARIGSGAATLHAVASLVRHLAAQVSKEDTAEFLPESNGCSGDESALAAAASSMSKKHVLLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFNNQGGIFIMTGDVLPCFDASNLVLPDDAASIVTVPTTLDVAANHGVVVASKDGGIDREDYSLYPVDNLLQKPTVSLYEDLVAAWVPAKHEWLRNRPLGKELIAALGKQRIFSFCSYPSSVSMSSTHGNLHPRKAFVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGSLPVGAMIETTEEHLGVSIEDDAGRSVYIDYLASISAPFKESDPFRLVKSALIVTGILGHKILSKSGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQVMEDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLQVVPLLASPQLIQELEQRLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNGDVDELGSIMLEAWRLHQELDPFCSNKFVDELFAFADPYCCGYKLVGAGGGGFALLLAKNQSCGKELRQALDESAFDVKVYDWNIVMPR >Dexi3A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:3A:13999940:14001554:1 gene:Dexi3A01G0018320 transcript:Dexi3A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQHKEESMLEKISDKLHGRGGGSSSSSDSDDERSSATAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLAVNVARSLRYEMNRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSFFMVLYTVPVLYEKHEDKVDAFGEKAMVELKKYYAIFDEKCLSKIPKGPSKDKKQH >Dexi2B01G0028680.1:cds pep primary_assembly:Fonio_CM05836:2B:37237199:37241387:-1 gene:Dexi2B01G0028680 transcript:Dexi2B01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASHGDAAAGLSEPLLANGGGGDAGFHHDGALAAVVVATSAHGGGSSGKDKKGAVKAKDDGYWVDVPQESTAVDDLESGGGGDRPLLFSTKKVKATLLYPYRVLILVRLVAVILFIAWRIKHNNSDVMWFWVTSVVGDIWFAQSWLLYQLPKFRPIKRTPNLAALRQHYDDLPGDGGSLLPGIDVFVTTADPISEPVLYTMNCVLSILATDYPVDRLTCYLTDDSGSLVLYEALVEAVSFATLWVPFCRKHSVEPRAPESYFQLEGMIYNGRSPGEFMNDYRYVQREYEEFKMRLEMLPSTIKERSDVYNSMKARDGGAKATWMADATQWPGTWIEQTGNHKKGHHAGIVKIAQNHPSCKPEVDHHQQASNTTTPLSFDGVDTSLPMVAYVSREKTPGHEHNKKAGNLNAQLRASALLSNAPFTINFDCDHYINNSQALRAAMCFMLDAREGDNTGFVQFPQRFENVDPTDRYGNHNRVFFDGAMYALNGLQGPTYLGTGCMFRRLALYGVDPPRWRTEEDIPVDSSKYGNSVHFLNSVVAALKHERRMSPPELDEPFLKELAMVVSSCYDHGTDWGSSVGYIYKIATEDIVTGYRIHGQGWCSMYATMEREAFQGTAPINLTERLYQIIRWSGGSMEVFFSPYNPMLSGRRLHPLQRAAYLNFTIYPVTAVFILLYAFCPVMWLIPAEIVIQRPFTSYVLYLVVVIGLIHTIGVFEIKWAGITWMDWWRNEQFFMIASMSAYPAAVLHMVVKPITGKGIQFRVTSKQQTAAADDDNDKYADMYEMRWVPMLIPAAVVLFSNIMAIGVAMGKAVVYNGIWSAVQKRHAALGILFNVWIMALLYPFGLAVLGRWSKKPGILFVLWPVAFVVIAIVYIGVHSFLVNFLPFMVI >Dexi2A01G0037570.1:cds pep primary_assembly:Fonio_CM05836:2A:47086997:47088910:-1 gene:Dexi2A01G0037570 transcript:Dexi2A01G0037570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRSATGDRSGVPMPASRDPISLPRPLTSADLMEPTGDGLKVAYQVSVGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVERFVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKVPLKFSFLNTLIFFENTESEIFCKLPVQISPPLISPCFTLLSRHFDYLFYVDLEASMADPNAQNALGNLKEFATFLRVLGSYPTDVSEA >DexiUA01G0025050.1:cds pep primary_assembly:Fonio_CM05836:UA:52501185:52504184:1 gene:DexiUA01G0025050 transcript:DexiUA01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILRSALRAAGRLRWVPRVQPVVERSESYLAPHLCATASPCWDVQESPPTSSCGLTQIGHGCQLRPFSAAAAAAVRGRKQKPLVGKDDDEEEVDKELRAIERRRVYWTAQQTFMEYLHVTRGLVFADAEHISTHSPAFVSKLLNEVKDAIKDPVEGGEEVVFKSKVKRTEARDQRATKALVRLFRYHPINEFEPFFESMGLKPGECKPRHKGTTRQIQGPPNSSTQNASGGAKSNKKSGGQKKSSEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIRM >Dexi5B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:5B:2703801:2705796:1 gene:Dexi5B01G0004000 transcript:Dexi5B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAENGLLSGSVRDLPPSKRFKYVGSHLASNPGVLLPAKKRVFPPPVAEVAAVSVCLPVKKRAVVATRSEAQAASVPVCLPAKKRAIPAAAVPPEAAAPVCLPAKKRAYAPPADAVLPACLPAQKRVGAPPPPPSPDVVASSRVLAKKRVHAPAPRDAGGGSVPVCPPENRRACTPAAADTAASTCVVAKKRIPSPGPPRDAARSVPVCLPANKRVMPPPSMESNGARFGTAKQARPQGSTKQGGSTIINHRVANGTEGCAGGKEFKKPDKLMNLKGIKEQVSMKPSKPRSPCKAKVLDKCRKIVNGKQSVVAVEARKKSDKAGDVKGASLKEDSRNIVAEEREQEAVQEFKKHEKPTNPEGTNASKSRYASKAEDPEKKASNIVNGKQTEVGVEVCKKSDKVADAKGAAPKEELRNWADEMAQEPVEDDDGVLCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLAQAIPDGDWFCSLCSAKKSKPAARPSCCLCPARGGAMKRTTEGQWAHISCALLVPEVFFQDPDGRDGVDCSLVPAHRFAKDCYICESNNGCALECSQPKCSLDFHVSCGLDAGLCIEYKEGKGGAIVAGFCREHTELWEKQQLTGKYKIVARGEE >Dexi1B01G0030870.1:cds pep primary_assembly:Fonio_CM05836:1B:34676886:34680873:1 gene:Dexi1B01G0030870 transcript:Dexi1B01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSPPQQQQQQPPPSEEGVFKQCFFSDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGMFDEVMKAMELILEKLLDEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKISPQDNNYAGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLAFPSYPGIPVGYMIPQVPYNNAVTYGPNGYGGRFPNNKPSTPMRSPATNDAQESLTIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTSEAIRTAESMIMQRVSASSDRS >Dexi2B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:2B:4115838:4116122:-1 gene:Dexi2B01G0004510 transcript:Dexi2B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAGLKLELDELKTTATYVIDIVAPMLDRVDRPCAVPIHTQLKEVPGRVKALLKETAMVCTKHLLALLKSHYPQFAMERIGPVAKSVLSALIL >Dexi5A01G0024790.1:cds pep primary_assembly:Fonio_CM05836:5A:28641273:28643385:-1 gene:Dexi5A01G0024790 transcript:Dexi5A01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSAADAAARSRFGRICVFCGSNPGKREVYGDAALDLGKELVAREINLVYGGGSVGLMGLIAQTVLDGGCSVLGVIPRALMPLEISGASVGEVKVVSDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLMLFDKGATEGFIKQDCRDIIVSAPTAHELLKKMEVK >Dexi2A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:2A:11924168:11929749:1 gene:Dexi2A01G0010700 transcript:Dexi2A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYGLTLLLSDQGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYQSHTKGIPVATPVFFADSQDPELRKVETSFLLGPLLVCASTLPDKGAHECAHKFPKGIWLPFDFADSHPDLPVLYLQGGAILPVGRPIKHVGEASLEDDLTLFIALDENGKAEGVLFEDAGDGYTFTKGDYLLTYYIAELHSSVVTVKVFKSEGSWNRPKRNLKINVLLGGGAMISADGVDGEEIHLTMPPESELSSLVAASEFEHKKRLEMIQPIPDIDEPSGQEGAELSKIPVDLKSGEWSLKVVPWIGGRILSMTHHPSDTQWLHGRIEINGYEEYSGTEYRSAGCTEEYKVIRRYLEQSGEEESICMEGDIGGGLVLQRQISILKDNPKIVQIESSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEISPESGEIAFEGDLRPNGEWMLVDKCVGLSLVNRFDPSEVSKCLVHWGTGDVNMELWSEERPVSKQTPLRICHQYEVRQTN >Dexi2B01G0024540.1:cds pep primary_assembly:Fonio_CM05836:2B:33946821:33947267:-1 gene:Dexi2B01G0024540 transcript:Dexi2B01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHPRFFSMARLHFGQCFVLASTHLAVSPSEVLLTTHARVVSHDDGRCASSPHRKQNTWLQRHATSYAPEPGRDRTARAHRGPGHHRTYLLVPSSTKDRTTNRARSAPVATASTSSRTTGTLQGGSGQRSSRHPEPASVTATWT >Dexi9A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:9A:14216499:14221690:-1 gene:Dexi9A01G0019300 transcript:Dexi9A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGGVQSPSPAAAAAAAAQQQQQNHSLAFRVMRLSRPSLQPDPTALLRFDPRDVFLPEDALTGTDPSAAADFLHRFLHPTDSATAVPGDFTFRDRFLLRDPADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRILLLDTTKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFSVSNPLSVRTKVTSFQILFVRTIKVGFFWFCNCKLNSTRLEADEHPAVKSAIGDLCKQPILIRAGGGIYNYLYQLKSSSDETGQAKTEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPIIYVERPFMILPLVEAFESFQFDLSMVATQLGVQKISGITMYAVQEKKYYEPLPDIEIFVDAE >Dexi3B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:3B:3145283:3145795:-1 gene:Dexi3B01G0004630 transcript:Dexi3B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLLLTALLAVLVAGDKAETTTHIKVYWHDVPSGPNPTAVQVAQAAVTNTSKTFFGLVMVIDDALTDGPELNSSRLLGRAQGTYIAAGKDEGALLMIMNFVFQAGEYNGSTVAIMGRNAVFTPVREMPIVGGTGVFRMARGYVQARTHTFDQNTGDATVEYNLFISH >Dexi5A01G0024090.1:cds pep primary_assembly:Fonio_CM05836:5A:28003656:28005851:1 gene:Dexi5A01G0024090 transcript:Dexi5A01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCTRYALQLLQPHPSFPGGATCPCSCSSPSSSSGNLRQRLHPPAALSQTTTPPLTHPPPDDGDHQADDGTLLALLRAHDTDAAYRLFSSNPSLLPSSPTTASRLLAQLSFSSTSTSKPDAFSRAAALLKSLRARGALDLLDANALSLAAAAAARSRDARLAHSLLLYMLRQGLLPDRRAYTAAVARLTPPTKALRLFDAVLRHLRRAPPELVSPSCLPDTAAFNAALSACADAGDCGRFRQLFDGMREWNAAADALTYNVVIKMCARAGRKGLVARVLERMLSSGVTPCATTFHSLVAAFVGFGDIPTAERIVQAMREERRDMCLLLRAIAMECEDDVADVEQGAAAALLDDIVAKPEQGTDDEVPLLPKAYPPNARVYTTLMKGYMNAGRVDDVVAVLRAMRREAETAPASRPDHVTYTTVISSLVAAGDMARARAVLDEMATAGVPANRVTYNVLLKGYCQQLQMSKARELFEEMATVAGIKPGVVTYNTLMDGCVLTDDSAGAVALFNEMRSRGIAPSTASYTTLMKAFAASGQPKVAHKVFDEMERDPGVAVDRAAWNMLVEGYCRMGHLETAKQVVERMKERGVRPDVATYGSLAKAVAAARKPGEALVLWNEVKERCLEEADEELLGALADVCVRAAFFKKALEIVACMEEKGIAPNKTRYRKMYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRVEPLLEGDDPS >Dexi9B01G0033190.1:cds pep primary_assembly:Fonio_CM05836:9B:35406821:35408360:-1 gene:Dexi9B01G0033190 transcript:Dexi9B01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGPSLPPDHVVADILGRLPDSSVASFRAVCRAWNAVTSCPAADHVLAAKRPGAAVTAVIKDTRRLEVDDERRHPVDIVRFDFFRGRWRPDARKAGPCPRAVSLDDMTISTDGFLTWDGVLCTPVFPRKPQPDAGGGYIMLWIPLTNACAIVSVPAGQGRIIGGYAHPVTGRFHLLHSSDVTVSDDSVLVVAPNTDHSVSLNGNLHWLVQLPGSGKKAAALLVFDTVREKFRFMAAPESPGLDPTTARLRVVPGGNKQQLCVLALTKQKQPAVALEVWVLDDYSSEPRRSWRLRETIGLDGTCLSRARFVAAAAVEVVEGVNEGEEVFVHHEDGIEAYSVRSKVWRRVSVGRCCATPLLMYRESLMQPEISFGAALRGSRRGRVVSRRIGVS >Dexi9B01G0025820.1:cds pep primary_assembly:Fonio_CM05836:9B:27225995:27226332:1 gene:Dexi9B01G0025820 transcript:Dexi9B01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRNSGSSGARGGAERPAWGWEDTDFLEGRGGGGFLAMKPLRPTSPPGKPPVRPRSSFSKASRSTAGWAASVGVESVEDAGDEEVVVVDGGGEEAKATAA >Dexi1B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:1B:21314551:21318477:-1 gene:Dexi1B01G0014910 transcript:Dexi1B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTEAANSSTGAPVRCQRIGCDAVFTDDNNPEGSCQYHPSVSARLICSLLFPPNPNLKSMEADTFSNLVRPTLPLAVSFTLLQMLFRCTSGKHTTEKPVTKAVSASRPKATPIQSSKQGVGADACPRCRQGFFCSDHGSQPKVQKPAVTEDTSKEPVEKSSVPPPKKRIDINEPRTCKNKGCGKSYKEKDNHDSACDYHPGPAVFHDRMRGWKCCNVHVKEFDEFMEIPPCTKGWHNADAV >Dexi3B01G0029960.1:cds pep primary_assembly:Fonio_CM05836:3B:29272981:29273682:1 gene:Dexi3B01G0029960 transcript:Dexi3B01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKADIMSALGESVSFGRFFLGERSHVEEAAMHARPGSVAQKKAFFEARIASRRKKRTAAAADDDGGGGGLEAAAAVEEEEQVAGAVLESPEKKKPTAVCSSNLVAAGDGIEKQPLKERSIINNQDITDSAKKRRIQMSSGIIPKPIRFRSPPSGKKGQSSSVKRLTTVTSAKEGEYIPRLASQSQYRK >Dexi1B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:1B:2334075:2336507:-1 gene:Dexi1B01G0002800 transcript:Dexi1B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSYNEHMAALRRIGVRGVEVRKPEQLLGVDSLIIPGGESTTMAKLANYHNMFPALREFVGGGKPVWGTCAGLIFLANKAVGIKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPKLAEKEGGNDTCRGVFIRAPAILEVGSDVEVLADCPVPANRPSITISSGDGAEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRYFLGMDKESHAKAFSALSLSSSSIDAEDLPKNKPLDLPIFE >Dexi7A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:7A:24897885:24898357:-1 gene:Dexi7A01G0015030 transcript:Dexi7A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDGSADSTGAQGYAADIDSIREAQARIAPYVHKTPVLSSTSIDAIVGKQLFFKCECFQKAGAFKIRGASNSIFALDDEQASKGVVTHSRFDI >Dexi7A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:7A:20053938:20055335:1 gene:Dexi7A01G0008860 transcript:Dexi7A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIIRSVLPRVARSNGFRCFSSGAPSDTIAELNKEMESIFGESPSPSPLDSSPPQQPVQPVYEAEDSQSVLTHTDSSGQAMMVDVSPKKDSKRVAMASCRVLLGQKVFNLVASNEIAKGDVLTVAKIAGITGAKQTSNLIPLCHSINLSHVRVDLTLNEEDSSVVIEGEATTTGKTGVEMEAMTAVAIAGLTVYDMCKAASKDICITDVCLQHKSGGKSGSWSRN >Dexi5A01G0025570.1:cds pep primary_assembly:Fonio_CM05836:5A:29387952:29389556:1 gene:Dexi5A01G0025570 transcript:Dexi5A01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQLLLSALLFLLPATLLRLLRARSKHPRLPPGPPSLPLLGSVVWLTNSPSEIEPLLRRLVERYGPIVALRMGLSLSVFIADRRLAHAALVESGAALADRPTLTSAGLLGETQNAIGRGSYGPVWRLLRRNLVAETVHPSRVKLFAPARAWVRRVLLEKLGEPGPGAAPAAPRVVETFQYAMFCLLVLMCFGERLDEPAVRAIAAAAREALIYRSKSMPVFAFFPAVTKHLFRSRLHKVRVLKRRLGELFMPLIDARRERKERGGESKKETTFEHSYVDSLLDIKIHEDGDGALTDDEMIILCCEFLDGGTDTTSTALQWIMAELVKNPAIQEKLYNEIKATIDDDKEEVTEEDVHKMPHLKAVILEGLRKHPPAHFVLPHKAAEDMEIGGYTIPKGTTVNFMVAEMGRDELEWKNPMEFSPERFLPGGDAEGVDVTGTKAIKMMPFGAGRRICAAFGMAMLHLEYFVANMVREFEWHEVAGDEVDFAEKNEFTVVMKRPLRPHLVPRRSQASQDM >Dexi5A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:5A:10156333:10156533:-1 gene:Dexi5A01G0013530 transcript:Dexi5A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSPASRKADGECIAETPEPDACSRRLRGRGWLRDFASAGRPDAAAGDVDQGGVAFVAGRMGRRS >Dexi5B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:5B:8472024:8477965:-1 gene:Dexi5B01G0011920 transcript:Dexi5B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVLASSIIKEVLAKIGLAIWAELALLWSFRDDLKAMERDLATILDVLFDAEKRDGGSDRDRAVRDWLRRLKDVAHDIDDFLDECQTDLSVSRQQRENNTCGIATNLCFVRSLTMAHRLRSLRRKLDTVVAGRDRLGLIPWIYPPALPPAPPSQETISMVDESKTVGRTADKEKLMRLVLDAASDEDVSVIPIVGFGGLGKTTLAQLVFNDLRASDEVFDPRIWVSMSVGSSIRTLVKPIVSATKEKCDIDNLDAVANLLSRTFTGKKYLLVLDDVWSESQDEWDKLRMLLKDGKRGSKIIVTTRSKKVAMMVRTVPPFVLKGLSDDDCWELFRCRAFEEGEEALHPKLVNMGREIVQKCGGVPLAAKALGSMLRFNRSEQSWGAVKDSAIWQMQKEKTILPSLKLSYDQMPPNLKQCFAYCSVFPKNHEFDRDKLIQQWIALGFVEPAEHANQSLFDTANDYFEHLLWMSFLQEVEELDLSKKELEEDGNVKYKIHHLVHDLAQSVAGDEVQARNFSQDNSHSETLDLSWNIGLEELPVSIGNLRNLKILVLFQCWSLCRSHKKDLATDAKRANLRIKRKLSSLTLSWTGSCFCEDATSVETFLEVLEPPENLEVLEIDGYRGTKFPSWMMEGMELLLPNLVSLILSNIHDCNYLPPLGHLPYLQSLQFHHITGVRSMGSDDIPVKRNKSTLYQSLKELHFEDMPNLTIWPTSSAMDHKDNKSELFMFPVLKTVTVTDCPKLRPLPCLPDAIADLSVSNSSQMLSSTSGMISRPSSSVPASLLRRLWIKSCHVSSDEWTLLQYRPKLEDLVIEYCRMLHVLPVAIRYLGNLRSLKILDCTELEALPEWLGELVTLESLEISFCPKLVSLPKGLQRLTILKELTITGCSSVLSDRMRLRAANRPHTPVPKAVPVTPWLPERQEPSVRAFLLRAPKDDAADEPPGRQPDTYLSVVT >Dexi1B01G0024340.1:cds pep primary_assembly:Fonio_CM05836:1B:29681267:29681960:1 gene:Dexi1B01G0024340 transcript:Dexi1B01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSPESAAAAGEISDPIHIRRLELSDHERGFVALLSQLSACPDLTASEFATRFAELAAHGDDHVILVAEDPSAPERRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGGGLGLRIVRRLVDIARDAGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >Dexi3B01G0028710.1:cds pep primary_assembly:Fonio_CM05836:3B:27216466:27221482:-1 gene:Dexi3B01G0028710 transcript:Dexi3B01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTCAVCAETLEWVAYGPCGHRDVCSTCIVRLRFVMEDNKCCICKTVCPSVFVTKALGEYTRVVNDFSVFPTGVNEGKAGDFWYHEDTQAYFDDADHYKMIRAMCRLSCSVCDSAEDQVALAAQAKRKSKFRSIDQLKGHLFHVHRLHMCNLCLEGRKVFICEQKLYSRSQLTQHMKTGDSEVDGSELERSGFAGHPMCEFCKSSFYGDNELYTHMSREHYSCHICQRQHPGLYDYFRNYDDLERHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDQRRVRGRGRNAQHDGSESHISSSAQNSRATADDSHVGHIDNVSGSLQSLNVGSSAGGAEASSRTGRVLEQLSFPPLSDPDIPDTRAETVPDETSFPSLSEQQSRYALALNQSARGAARLGDESLFPPLPGSSNSRGPASAQQGLQSLAKSTLAARLQQRSKGPVKVLNTARPRHFENPELLPSSTQTWPTPDQGQLLSGSSQLRIGTQPTRENGFVSTGSSNSAWNPVAPNKMKHSVSTPNLVSGGQPSSSAAYSSNRSQEPPQGSQPLSLPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYYTNVRFKSLQENGGGGTSSQEGNRKKKGKGKAPVTESSAAKDVKVAPADNFLDTVRRLQSNHQAHEGEAEVLSKDGYRPSKGVQQSAGSSSSLNIDAGFNSKSSGAKDNAGKGGGSSSKQPKKTSKFLRARLGDNSLATLDLSRPSASPERPERESQGPQMGLPVRGAWKNGGGQKLFSSNGRK >Dexi9B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:9B:5515548:5516716:-1 gene:Dexi9B01G0008960 transcript:Dexi9B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKGIMEGIEEAIKAAVESGHRALALLSNPHDQPIPYEDLVATAGDAVVKFGTLTAKLSSSNDNGLHGHAKVRKMKKPLPILNRSLFLESSAAATASSAKAPSASPITSLQLSLLSRYHQMEGSSSKDPVRIPTQSPKRLLIENPAPAGLEGPSSQAPPVHMVQPVSVAPPARTPTPTLPAAHLHFIQQQQRYQRFQLMQQMKIQNEMMKRSNLGDQGGSLIGGGGGGAKGVNLKFDSSNGTMSSSRSFLSSLSMEGSLANLDGSRASRPFQLLNGSQTSSMPELSLVQRTKCTGREDGSGRCATGSRCHCSKKRMLRIRRSIKVPAVSNKVEDIPADEFSWIKYGQKPIKGSPHPRY >Dexi4B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:4B:4834019:4834446:1 gene:Dexi4B01G0006910 transcript:Dexi4B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICAGSNDEIPAEAAVTTSRSESLTWSTTRVAKLGCAAYKSSNAISKLSRLFFPSPVVRSSITPTCTGVLAGAPSSSPTAPPPAASRKTAARTAPAAAST >DexiUA01G0016920.1:cds pep primary_assembly:Fonio_CM05836:UA:36115658:36119025:1 gene:DexiUA01G0016920 transcript:DexiUA01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKKLFGFSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFMMNFLKSIASPLAGVLALHYDRPAVLALGTTFWALSTGAVGVSQYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGGDYYGLPGWRLAFISVAFVSFIIGLLVYLYAVDPRKTSPSHYGGDEDNERSHLVSNGILPPHSIWKDSWMAARSVMKVRTFQIIVLQGIVGSLPWAAIVFFTMWFELIGFDNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTIIPQSVDYWLAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTEKIYGYNAKTIDLAHGSVDGAYALSRGLLTMMIVPFALCCMFYTPLYTDFKRDRENARLASIKEQELI >Dexi4A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:4A:1164432:1165607:1 gene:Dexi4A01G0001780 transcript:Dexi4A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGVRRREKNFRAAHGGDSRLPPPPKQRELEAIPSKLRRLIAFQNKQNADGSSGGACAPGKQDDGSLKNKLAKDKKTKKQTLEAPAESKASEITGGDGSAANENVNAEERKGKRKRGKAMDLRFKELEENVSISKKQKRKKHLDEKKKKRKGNKMETVPDFPGREKVKFGEVVEAPPKLSFPKVKTALDASREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSP >Dexi7A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:7A:29027437:29027700:-1 gene:Dexi7A01G0020130 transcript:Dexi7A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSVHRAAKANVRGFAQARKMAPAAAAAATERRRADKAEEGMRTVMYLSCWGPN >Dexi6B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:6B:13240572:13241944:1 gene:Dexi6B01G0009140 transcript:Dexi6B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLHRLLSLLFLPFILFRRGSSPPQPLHRHAATGDDPGLHPIVLLPGNTCSQLEARLTDAYRPPSPQCGAAKGSGRWFRLWKNATAMEDPELAPCLADQLRLVYDPVARDFRNVPGVETRVLGFGSTRDFLSDSPANKDLCMGRLVEALEQAGYRDGEILFGAPFDFRQAPAAPGQPCRAFARFRRQLRALVEHASRKNGGKPVVIMSHSQGGYFALEFLNRSPLPWRRRYIKHYVMASTGPGGFLLAMQQLVSNPSAALSFASGFTGLPSPKVFGPGTLLVVTRVRNYTAQDIPEFLEAIGLPPLAATLYETRALPVKLNFRAPVVPTTCINGVGVPTMKELVYWDGNFSKSPEVVYGDGDGLILLASTLALDTMIGDDPRQEHYKSIKLAGTYHAGVISDGEALERVVSEILGVQE >DexiUA01G0023610.1:cds pep primary_assembly:Fonio_CM05836:UA:48301882:48302808:1 gene:DexiUA01G0023610 transcript:DexiUA01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEVVERSYAASTVEHRAKGRLSNSSEPLYLTLDKDRREKVVKAGPGVPVKGASAYPGVIITTCTSHLGTVEHSLRGGWHYWQRPSGENAAGAKRGGPAPGEHREEREPGRWKSQGKPGHLTEMEAACGETRTYGFQGDPAGRRPPD >Dexi2B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:2B:5508979:5509653:-1 gene:Dexi2B01G0005920 transcript:Dexi2B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFSCSDNGHTISAAYVHKCQPLEHHESLFDQEGRTIDTTSNFVNGLSRRDMPRQMIGVRKRPWGKYAAEIRESTRSGKRVWIGTFNTLEAAALAYDQAAYSMRGAAAVLNFPIEHVRESLHALELTAGARDSPVLALKWRHCIRKRCPKNKQKVTYGEEGQPSAAEGREPIMAVAAAAATETIYEHEHGMQKQVASSCVLELEDLGAEFLEELLALSDELK >Dexi9A01G0038870.1:cds pep primary_assembly:Fonio_CM05836:9A:43002443:43004988:-1 gene:Dexi9A01G0038870 transcript:Dexi9A01G0038870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADSLPDGFVESSGADTASPLSPAPAADDPPHAALDSDRPADASSGGGETLGDPSPPAPAVEDASSVVAEALETLSVDVAAEPERALGEQGPADAARDAKESLKQSCAAEQAGSPTAQKQKETGEPKRKVVKRSKLEKDRELFELAQQYHRVVAERDAAIAVKEKLESLCREFQRQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLEEQKNECIAQLEENNLLRSKLKDPADQYNITQQKYAHQLKEKMLELELADLKLQQHQEKAAQEHNQLQLYAEQVSQLMTTEKNLRLQLASDGERFQHFQDALSKSNEVFETYKQEMEKMISVIKNLKKENEFLKGKCENSDIALVKLIEE >Dexi9A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:9A:10048173:10051253:-1 gene:Dexi9A01G0015080 transcript:Dexi9A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPPLLSPFPCPLLRFLRLYHAPRRRNPIAIAAPPPPYSSRRRTPLLLPAAMSSSASTTAPDSVVADPSSLARKVAAIRAAGPAKLQAGTPFVIADFDGTLTRYWYDGARGQSSHGLLRQGNEEYDAKREALYQHYHPIEICPDERDIPVLVFSAGLADIIEEAKQFTS >Dexi1B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:1B:3701252:3703150:-1 gene:Dexi1B01G0004620 transcript:Dexi1B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKRSSERTHNGPLVQEKRLPHLQLSDLPKRATLTNKGFKFLCLRHLRLELNFVSSKKRITDVLDLACLLEVAPLMESLEVHMWMNNKLGRYHKNHGELRSLHWHPHAHLKTVDVTGFYGQKDQLELSLHILRVCTMVKYMKIDPRPTVASITLDLDTNDGLCFVDGYKVARKYLLKADHRNVVQVTKVRLRDVEHVWPYKLIDPEWLALVADDE >Dexi5A01G0031860.1:cds pep primary_assembly:Fonio_CM05836:5A:34459329:34459746:1 gene:Dexi5A01G0031860 transcript:Dexi5A01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNFQPKASIFICHGIITTSPSLYNWFPLPNTATRLVRAGYGVYGIDHEGHGRSSGRRCYIPNFNSIVTDCSDYFTSICGMN >Dexi7B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:7B:968055:974624:1 gene:Dexi7B01G0000350 transcript:Dexi7B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKAPPPPQPQPELAGEKRKREEASPDEVAPSAPAAASGEDAAISGRHPMWKTSLCSFFRRRGAGAEGCSHGESCRYAHTEEELRPRPDGTWDPTSDRAKKLRKVAAEAQEEAEEEVTVDDQSLDKCLVGLPRGWTADRLKAFLQDQASSTLALGISYATAKKKKGMTVGFVTFENVEQLANAVQVLKENPSGGKEIKIADANRRSHQKVHAEGPASDNGTATENGSSAAAGEASALEVVASTKRSARDAVTPLAHMPYNDQLEHKKHSMAQILKRLTRNARKACPPAIPLPNWILKSKEIGGLPCKLEGILESPIVNGYRNKCEFSVGYSLEGKKTVGFMLGNFREGVTAVEEPVNCPNVSEISCKYAQMFQDFLQSSSLPLWSRVDNCGFWRQFTVREGRCSTEAVLHNTESQISEVMLIVQVVWSKNTVCSTDVDETPMKEELDKLSAALIQGATTCSPPMPLTTIVVQDHKGISNAAPADCPLIPLLVPKGDQLEGGAEDKTRIHDHISNLKFSISPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDICCGTGTIGLTLAHRVGMVVGIEMNESAVADAHRNALINGIKSCRFVCGKAEDVIGSLLTEYLGSPQQHIAASVTNDTNINEETIDGLENDDENMDSSTEKHDNGESEQPGDISVDRPTCASDEEIKGDSVERINKEVDSSPDEHNEAAGEQNLGEASLINDDSTDKAAADSLDHGKTCQDISSIPHNNMLASSACQFKNVVAIVDPPRVGLHPTVIKALRTHPLIRRLVYISCNPDSLVANAIELCTPTSEKQEKNKGNRGWRSMSAAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >Dexi1B01G0020930.1:cds pep primary_assembly:Fonio_CM05836:1B:26912457:26914639:-1 gene:Dexi1B01G0020930 transcript:Dexi1B01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTARHVHVEGLQTALPTRKVEPGLARPVSVAAPPLTAAALQRRVRVVLYYRANGEGEAWGQEEALLVKESLSEAVADHPEMAGRLRRRGDGGDGSSWEVKLNDTGVRLVLATVEASVDDFVAGGGGQEGHPDAALAPWTDVDAEDPDMSALCFVQLTRFQGDGGYAVGVSCSLMLCDPLSLARFLLSWASTHAKIKAQNKATPIPMMQYAGYFQRPGVMTRRVRSIPLDTFATTNAATGTVLFRATGGKALDDHRALARACADEASERLGVAKQQVPRRLALVVVARDGVGANPRGMSVETCTAAEGLPPVVSGGGEGHELEVVGWEDLGLEEFKLRESKPVHVSYSIVTGGDDDEGLVVVVPDGKGFLVTATVPQ >Dexi8B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:8B:26798789:26800285:1 gene:Dexi8B01G0015960 transcript:Dexi8B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVWVSGFPAVEYQYAATEIFGNCLQISDPSPSIGRLVEYAQATQHDLIKVAEAQEFEVDMNNMEAKIRDNTICAFQGTAQEFKDDMDTMRMKIHRYPASLRGFDEWYSVPRMVAMGPYHHGCVLQDHLKQVEKVKYVAAYHCVMESGHSLQEVYDAVVSAAHVARRLYDNDLMAGISDGDFLPMMFYDACFLVQYMLWCTPAATEMEASLRSFFDFNRKVLRHDLMLLENQIPWLVVETVMRFRPVELVDFVADWRHYLKDRKVLEEKLIVLDNSYEPPHLLGLLRFYMVGRSNTRVHTRDKFNSISVSVSAIELAEIGITLTAKETRELIHMGISKKGILSAELSLAPLSLDDERASFLINMAALELCTTSNFGEAGDEDSAVCSYLLLLSMLVHREEDVQELRTKHLLQGGAGLINKDSLGFFTSLQSLPLRGLCYGRVMVEIERNKRTILTAISVIGVLVSILGTLMSLKSKSKI >Dexi1B01G0021000.1:cds pep primary_assembly:Fonio_CM05836:1B:26947786:26957743:-1 gene:Dexi1B01G0021000 transcript:Dexi1B01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRLLPNLLPRLAKSTNLCAQIRQRSNLSAKSGQNAAESAAAAADPTRAVSLLAERNWFARINSEFAAPLRQLGPRFVVRVLQHAAAEPLLCVRLYVWASRFGQHFARDRSVRRALGDALWRRGPVVLSPALVSEVQECGCVVSEELLCALVESWGRLGLAHYAHEVFVQMPRLGLRPSTMIYNAVIAASVRSGAVDAAYLRFQQMPADGCHPDCFTYNALVHGVCRRGIIDEALRLVKQMEGAGIRPNVFTYTILVDGFCNAGRVEDAVGVLEKMTEKGVAPTEATYRTLVHDSLSALNDHLVTIHRRCVKPIPAFAGCQDQVSVNRQRAPSRGEAARKAATLAVAVHCTNRQEIMQPQSCPAATLCDRHPDPIGPSRELAAATTHGIECMKGPRCRMAAPARATDAEKRSVPAHAPISDPTTRRRSRFRISIRPRSLDARRTRTKMPSPYPFSKFPTATFGAASRKLTQKRDDKWQQGPTSPHHTPNTMLLSPACEATGALDSIRHHLLDEPPAAAPPRPVYCRSTTFGSLVADQWSESLPFRADDADDMVVFGALRDAFSRGWLPDGSFAAVKPEPPASPDCPYQFGSAFLLASSSSSSEEEPETPAASGAMTPGSSEEAVAARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDNAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAATATAVGEKRPSPQPAASASSESSSPSSSPSSGTPKRRKRGEAAAATMAMALVPPPPPPPAQPPVQLTLPAHPWFAAGGDSGGGSFDRRRQPHSRPPPNLAAARAGYRKLAYHARKAAPCPMGHDAAAPAMAAPAAGLLLVVTGTGSSLSDHGSTRCWGWFPRCGLVGLGTPSVTCCPIAAAAVHQAAGFGVLGTGSGSPDGGSPRAGWDWEARLALAAGVFLLAAAGTRWPDGCGLLRRWLMVLMVSVSPPWWWSWSPRRRAETPLHSTLPPLRHLASNHPSPPSPLPHPVRASSEHPGPSSPTLFLAACR >Dexi5B01G0021590.1:cds pep primary_assembly:Fonio_CM05836:5B:23879892:23883926:1 gene:Dexi5B01G0021590 transcript:Dexi5B01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVEEAGALLARSDSAGRRRRSPVQSASSPPRPGTLRRQSSSFREDVGHAASETYLVSRLTFTLLQYLGIGYRWMSQLLALTIYAILLMPGFIQVGYYYFFSNQVRRSIVYGDQPRNRLDLYIPEDNSRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVNDASQGISFVCNNIADYGGDPNQYNIYSLVDHFHERGLYRSIFLSIMEGEESLSRYSPEIVAKTSSAGTIALLPLIVLMHGTEDYSIPSSARIID >Dexi6A01G0013230.1:cds pep primary_assembly:Fonio_CM05836:6A:20295996:20300305:1 gene:Dexi6A01G0013230 transcript:Dexi6A01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMGFQKSRVFNVSPKRRLENVRVSFMGFMVSMFHSKAGSGALVALVAAAVLQMMVVVVLLFPLGVVYVFGLYISTGISLWRLIQRDYGATEGDPNTANLTPALNILYLLPLVQGVLLCYRAIFSVSNRDKVVVDRTMERYKFDEDAGRSVWEYFRETMAGCEKDPSFARGRNLITYAVDLMAITSHESYLSGLRILDMLLGSCSYKPRDHTMVEQRPQLCRITAKVLLESVSGTQVIWKVLRTLEQRVGYDETTRAQAARVLEHFGSYIHLEQFLGWFQRISHLLETSDRRPRPEMVQGMRILRSLATNSGNVAAITGSPGLIANITALLGFHRAGFSHQCDDALPAKTVAFSPHRTARRRRPSSLSLRLLMASSSSAGGFLSHLAHLLPHGGPPNRCFARELAGIGEAGGDLRARW >Dexi6B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:6B:25157150:25165830:1 gene:Dexi6B01G0018090 transcript:Dexi6B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILRRASPVKISLPWRNHPRDTVSPPRTACSNFQGLRGWVTSSCVDAERTQPVSPGPATPTPDASLLASRPTSWTELSVDRLEMNVYMRHAWPSGFHYAWKSVRGCLARLKELFQARLQPKPCQTTTSKTASRTEPEPKNLAPHGSTPRAHTAKFEITPEVHTNYGQLPPENYRALPSLHSTLRVPGGDREDGWPASDGGEGKGASDGGNRRIQREAARSLGFAITGGAAAANAPFFLYPTTANVVDHMNGALLSSAQRVLIMGARFRKANADGGWPTGRTRLACDFTPCIYEHNHNTKMSCPARAQLLSNTLYYKIFFPYYAFNVLGGLDSEGKGCAFTYDAVGSYERTGYSAQGTGSTLMMPVLDNQLKYPSPLLLPARARLDTQAEGGATTPLVTALRISMYPKTPTNTSSSPSVTQMWVVLYDSICAMTAASELELLHLSAAFPPWLAIIICPTICDKRVSEI >Dexi6B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:6B:1353487:1353768:-1 gene:Dexi6B01G0001490 transcript:Dexi6B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKPSSCFSRKVVARSLLPRPAAVHPWTPPPAEVPPGQRVTVWMRATEFHALAVAHTAAGGAAIAGDGVGQLILDGCAAGRWSWSPAPE >Dexi7B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:7B:22179225:22181053:-1 gene:Dexi7B01G0016230 transcript:Dexi7B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRALSLVALCLLLELALQGAAEHLVPAVFVFGDSMVDVGNNNFIEKCDIGCQANYPPFGIDYLNHKPTGRFSNGYNMADQLAQLLQFDESPPPFLSLSNESLSTRMSTGINFASGGSGLLDVTGNGPVCTQVFSMTEQVRSFRSLARMWGRADLISKSLIFINTGSNDLFEYTDCKGHRCKHNDTEFLQSLVASYAIFLKDLYGAGAKKFSVVSPSLVGCCPSQRALAHNPKNHRDVDNHGCLASANDISRQLYPMIASMLHDLSLELPGMNYSLVDSIKMAEWVFNNTATPSYNFTVLDRACCGSGVFGADGCNSSAPLCQNRSNYLFWDDYHPSDAASGIAAKLIFGDTTGLFVHPINVQQLVEL >Dexi2B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:2B:8388780:8393908:1 gene:Dexi2B01G0008330 transcript:Dexi2B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFAILEARPAIMRGRSSGSGSFPPAINTKAWFIAAILAIGFSDSRSAMSIWKSAHGGVFSMAIRANGEFFQPPVRKLELLVALLVFVMAACFFIEMSIVKPPSNEVIHGLFVPSLSGPGATGDTIALLGALVMPHNLFLHSALVLSRNTPSSVKGIKDACRFFLFESGIALFVALTINICIVSVSGTICNSSSISPDDSAKCSDITLDSSSFLLRNVLGKNSAVVYGVALLACGTSSTITGTYAGQYVMQGFLDINVKQWLRNLMTRSIAIVPSLVAAIIGGSSGAGRLIIMASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIIGFSWILGFIIIGINIYFLSSKLVGWILHNSLPIYANVLISIIVVPLMLLYISAVIYLTFRKDTVKFASRGELQVPETDKSKVANDSDNVEHKDHLV >Dexi3B01G0031880.1:cds pep primary_assembly:Fonio_CM05836:3B:33898372:33899661:-1 gene:Dexi3B01G0031880 transcript:Dexi3B01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGTKKRARRRPNPAALLTDNLLVEILARVPYSTRWRALIANPDHRARLPQTLTGFLYGTGCARNGFANVSGIAPPFIDASFSFLPDREREHLMGFDYLVLNPATEKWVAVPVRRRWTNRVQTVRLGFDPAVSPHFYVFEFQSNFDDNDDEYGDGEGDGCVLGVKIYSSGTGAWIHKQSGWTMSILLELDFRSVFLDGVMYVIASDCVIGAVDVDGETWRVIEFPRSKDSPFYDTSLGFIDQSQRRLHFANADDVVGDKLTVKNGP >Dexi5A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:5A:23597698:23606064:-1 gene:Dexi5A01G0019700 transcript:Dexi5A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVSRTQGSGAPCPLESSITSPLFFFPSPSCPTSAPALLPRSPLPPAIAFCSSPSPPPCLPRSPEAASRVAFAAKSRVSATPRVPRTRSPPRQPAGALARSPAGGWAGAGEERGVWESERAEGAVRRRAPPGTAAMSQSQTNWEADKMLDVYIYDYFVKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLIKAREQQHQQPPQQQQQQQQLQMQQMLLQRAAQQQQQQQQQQQQRRDGSLLNGAASGFSGNDALIRQNPATVNSMAAKMYEERLKLPPQRDSLDEASMKLQQRYGENAGQVIDPNQALLKAAATGQSSGQILHGTASGLSGAPQQVQARSPQPPMGDQNIRTEINPVLTPRAAGSEGSLVGLQGSNQAGNNLTLKGWPLTGLEQLRSGILQQKSFMQSPQQFQQLQFLTPQQQQQLLLHAQQNISSSISDVDTRRLRMLLNNRNVVLGQDGQTNSGSDIVPNIASPGQTGGSRNDIDMLIKAPKKRKKPVSSSCRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQYNGGPSKPLMMFGSDGTGSLTSPANPLGDVDRLLEDGSLDENVESFLSQDDMDPRETMGRCMDSSKGGGCYANLPISPGLGFTEIAKARASTNKVVCCHFSLDGKLLATGGHDKKVVLWFTDVDMLKPKSTLEEHSLLITDVRFSPGMTRLATSSFDKTVRVWDADNPDYSLRTFTGHSASVMSLDFHPNKEDIICSCDSDGEVRCWSITNGSCVTCVRVFNGGATQLRFQPRQGKYLAAASEKAISILDAETLQVCRTPLQSLELWDTREKNTMTISNAHDGMVAALAASHSTGLVASVSHDQLVKLWK >Dexi6A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:6A:7043848:7044290:1 gene:Dexi6A01G0007130 transcript:Dexi6A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETYKFCGDHGPKAFSRSVQAICVHLDKQNQALMETYRVCRMSCINFRQKKKQSCINCMNSCRQKGFFQRYILYLPMDDMEDCTAVLVQMFILLELLS >Dexi3A01G0031140.1:cds pep primary_assembly:Fonio_CM05836:3A:35549915:35553027:1 gene:Dexi3A01G0031140 transcript:Dexi3A01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAASPLTCHHLGARSRLPSLSSSSLRRRSPLTSKPISLSHSHSLPSKXXXXXXXXXXXXXXXXXXXXXXAAAAMASSTAAASPLTCHHLGARSRLPSLSSSSLRRRSPLTSKPISLSHSHSLPSKPVFAAPAASSSPRGLVPPVAASASAAPAAAPPPKPALKGAAITPLLATIATGVLIWLIPAPTGVPRNAWQLLAIFLSTIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVAAFGSSTLGLGYSLVFAEALLAPAIPSVSARAGGIFLPLVKSLCEACGSRSGDGTERKLGAWLMLTCFQTSVVSSAMFLTAMAANPLSANLTAATIGEGIGWTLWAKAAIVPGMLSLLLVPLILYIIYPPEVKSSPDAPRLAKERLAKMGPMSKEETIMAGTLLVTVGLWIFGGMLNVDAVSAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGMSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPSLFAAMVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGFWWKLMGLW >Dexi9A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:9A:8351775:8352056:-1 gene:Dexi9A01G0013020 transcript:Dexi9A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLILRLMEDPDKRDQVRREHVYRMKERCERTKAAWSLPLRPYGFWTFGRFNSQLSWDPQISQAAGRRDPYDDLITRHSGSPPSA >Dexi7B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:7B:23340359:23343339:1 gene:Dexi7B01G0017350 transcript:Dexi7B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVAISLSFRFFLPPRLFRGNARWPRPIRATASSDTSGLAGGERKVGALERRVGDLRALVASVPPAVASIRRNIGPNFVTGFCLGIAVLAAVARWVIITSQGHDNKGSVADLVRRGQLKSGQRGTAKLRTYDDPFSNPLVKIDEDSSTAQMFGKEYRLAPVRLTKEQQEMHQKRRSRAYQWKRPTVFLREGDSLPPDVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRVKAEHEALQARLEASNDVSALLMNIILLTF >Dexi8B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:8B:33148:34533:-1 gene:Dexi8B01G0000040 transcript:Dexi8B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDKECYCKLLQEHHAEIMATRDQIRLALLLAPNKHRAGFCGSTASTSSWVVGNKLLCSQQQQQQLAPCLFLFSLYQLRAAAAAGLLLANKNAGDVNKKIAPAVQEPSSSLDLDDDDDSSPSSRAGAGGDAAEQGGGGQEEKAAVTTTTTCGCGGLRQRLVAAAKCGLSLGLAVLLGLLFSNDHGFWSGLIVATTITAGRESTWAVAAARAHGTALGSMYGALGCVLMSQQQQQLPFRLVALLPWMVLTAFLKRSRAYGPAGGVAAALSAIIIMGRRYDEPPMAFTMARLVETFIGISCAVVADVLFQPGARPSVKAREQLTRCIATLARCCFTSIVDDPPPPELLLLQQQLALLGKSVAEADSEPTYLWLPPFPAACYEKIQGSLGRMAQLLQLYHQAARLAEIQVQERRRFSSLVSTSLGHCLRMLQAPPSSSLDHHQDAPRTTTLRLLGTP >Dexi8B01G0000040.2:cds pep primary_assembly:Fonio_CM05836:8B:34542:35472:-1 gene:Dexi8B01G0000040 transcript:Dexi8B01G0000040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAKRWRSSLWSGLRAALACTIVGVVSLYAPDTVSRHITFPAFSYVVTVIIVTDATLGTALRGAVSAVQATLMGAAPSVVALWLAHRSGAAESVLATSAVVALTTFAVALPESVGPVAKRIALGQIIIIYVARFQQGEQPTRGFALVHPANVVACTALGVAAALLAVLLPWPRMATREARDKTRAYRELAAERVRVMVHAIIVASSDEAACSRQRRWQMAAWRSRW >Dexi5B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:5B:5123063:5127533:1 gene:Dexi5B01G0007610 transcript:Dexi5B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIREAITAKFTEYLRRAEEIRAVLDEGGAGPGASGGDAAVATRPKTKGKDGDGANGGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEG >Dexi8A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:8A:1178501:1180052:-1 gene:Dexi8A01G0001690 transcript:Dexi8A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLAAALVSSLLQAMVADAAGGLGQPIGLPNCTTMCGNVSVPYPFGMEPRCELQGGFNVTCDADQRLYFKNQYTMIIQVINLSVDDSTVHFAVPPRLPNISDPPGCHMFNKLEQMGWEVGSSALQGLAPGDELPGNETCPRDLGSSACHSSYSTCQATSGQYKQQTNATTGYVCRCHDGYQGNPYLSDGCQGM >Dexi4A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:4A:16618651:16620054:1 gene:Dexi4A01G0014480 transcript:Dexi4A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVALAAAALPSSAASAAAGCDLFRGRWVADESYPLYDASACPFVPDVFDCRRNGRPDDAYLKFRWSPANCQLPRFDGVDLLRRWRGKTVMFVGDSLSMNQWVSLACMLHAAAPAPVRATMTSGEPVSSVRFEDYDLLLVLYHTTFLVDVVQEDIGRVLKLDSMRNASAWLGAHLLVFNTWHWWTYRGASQVWDFVQDGNSTYRDMDRLTAFSKGLSTWARWVDANVDASRTKVFYQGISPSHYMSKQQEGEVAKAPVAGGGSCLKQTRPLQEATDAAGGGTSPSPEQGVVRGVIGGMRSPVALLDITALSQLRIDAHPSVYAGPGRDGMDCTHWCIAGLPDAWNHIMYAMLLQRG >Dexi9A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:9A:9372265:9372580:-1 gene:Dexi9A01G0014310 transcript:Dexi9A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHNKYAEDKFAQEVGIKVINDLVSVPARVLPPPMLRHHESGREKTCAPSSGQWNMITKVILCPWLKFSLKS >Dexi3A01G0031090.1:cds pep primary_assembly:Fonio_CM05836:3A:35506757:35507314:-1 gene:Dexi3A01G0031090 transcript:Dexi3A01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQITLQLLQAPVLYTVQCARNSGTVTLLYTGLAAPPPSPADPDPTSSGMGPYTLVDLAMSALQKHATDTLALSRLRFATTRCSTLLNLPLLTSCKRSPTFTSSAPGTTGTSTHPSPPASRTCRPPASGASSCASRRSTVRKLGSEWALHPMVSSGSGQGG >Dexi1B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:1B:20751507:20754985:1 gene:Dexi1B01G0014450 transcript:Dexi1B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINNSEGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKYSHVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRLYCISSRSMHLAFLILSDLFGADYHVLFSPPFCFLSRSNMLRLTMSSRYEFHSWFTYSIILLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFLGISLLAPDDSKADTKDGSSATEDSMIDMDRNGKMQMDETEANDSNSFVTSVKVKAKRVLLKAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRDTQQRSLILMLPTGCQ >Dexi2A01G0025780.1:cds pep primary_assembly:Fonio_CM05836:2A:37442676:37443020:1 gene:Dexi2A01G0025780 transcript:Dexi2A01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGERCRPGTSPGEPRKGDAEGQGPRRRRGDAGERRGPSLTGGGEARGGRALTDDGEDQRSHEDRSLASGREVHGSGVSPASPAAKRSSPGRASPLEEMHGLAFVGSGQEQG >Dexi2B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:2B:158930:161646:1 gene:Dexi2B01G0000350 transcript:Dexi2B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSFTTQQRSKAGFPPADVAMRAASGKDSQEQTVKSMTGSASPNQMTDENNRSAVSGHYATSPSSHQECCRSEDLNRYAFSDEGKEAGHLKKSQSLGNMLQKDCDNNCSEGTECGFTDHEQKCHHSSFKSSAAVGESTQVCSPKNDDAFDAASDLISHEFCEPSGGPAVDSDSCHHMSYAQNKFPRSQSAIFQNDNTSDREGSVDSEILGSRCRSYEGLCSLVDEKIDYLSGGEMHRCKSNLDLYCTPSSPDAYRKLNIEDNGSVGCSDAAEGGHRSTGSMEVKFIRDGILVGHEYWDGKYLCGDHSVDPVAPFCADPGDGFYHSGNDGGLSEAMNQEREEKLCNGDSALHDSLVIEVPGSVNISDTKDISGEAEHNKSDIDEDRNELTPRTYNIKRIEDWINQIDINDITFDEQGESSISAQNKSSEPITDVPAVRPDAKSPLGMEIAYTYISKLTPASSSAQLANLGLVAIPRLSAFSGLRLLNLSGNSIVRVTAGALPKGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEIDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDDHLKKYLLGLLPNLVVYNKHSIRATGSKEVSDRHTRKISSSHRSDRGGRLDRKSSRLVGASSSHKGQSSRHARSGYASGSVLKHSRARNMPTALLGSRATEHVGGVTVAKQAQIEGNPQ >Dexi3A01G0032760.1:cds pep primary_assembly:Fonio_CM05836:3A:37623834:37627719:1 gene:Dexi3A01G0032760 transcript:Dexi3A01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAATSCAAAATVAAPTAPSRGRVRLPLRGPPRAPHRAVVSMAVSAPKSTAAASFLERRESGRALHFVKYQGLGNDFIMVVDNRDSSVPKVSPEEAAKLCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFTIHTGAGLIIPEIQSDGQVKVDMGEPILSGPDIPTKLLATKNKAVVQADLEVEGLTWQVTCVSMGNPHCVTFGAKDLKVIVLTGLQQDLQVDDLKLSEIGPKFEHHEMFPARTNTEFVHVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERV >Dexi5B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:5B:8249785:8251439:-1 gene:Dexi5B01G0011640 transcript:Dexi5B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLIVNRLFEKVPTGPLLLGWLLLIAAAVFLKYFAFICELGIVVACRERNVEATTTEYLKLASEELIGA >Dexi5B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:5B:1883670:1887888:1 gene:Dexi5B01G0002910 transcript:Dexi5B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRGRFNLLSMVTAKEAAAAMDASKYVRYTPEQVEALERLYYECPKPSLLRRQQLVRDCPVLANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYENGYYRQQTQSAGLATTDTSCESVVTSGQQNVAAAAAAAQPQAQPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMEVVNVLPAGNNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSNKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSIIHIVDHMDLEPWSVPEVVRPLYESSAIVAQKMSMAALRYLRQVAHEDTHSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSPSKVINCNPAFNNGLPIVSSSVLCAKASMLLQDVSPAALLRFMREQRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFDPEEFLEVIKLGNASTYQDTLMHRDLFLLQMYNGVDENTVGTCSELMFAPIDASFSDDSPLLPSGFRIIPIDSSMDTSSPKCTLDLASTLEVGTPRSRIPGGVSGKSACTSSKAVMTIAFQFAFKSHLQDSVTTMARQYMRSIIASVQRIALVLSSSRLANQVGGINHAPAAASTASPEAATLSRWICQSYRFHFGAELIKSADASSCEAGLKALWHHASAILCCSLKVMPVFTFANQSGLDMLETTLVALQDITLEKVFDDQGRKNLLCAELPGVMEQGFACIPGGLCVSSLGRPVSYDKALAWKVLDDDSGAHCICFMFVNWSFVSSM >Dexi9A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:9A:9997257:9997922:1 gene:Dexi9A01G0015050 transcript:Dexi9A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQSTRAHNHHHPHQHNRRRAEASSPATSMAVAAARAEDAPRHRPRASPVQVVQEHDATQQQQLRRSAAFPPRRQPLRRPPQRCDSDLSLREHRSCSEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRRAARVRRGRRRSASAGQATEIYEILVDDGGVVEVDAAGAALEGLKLPLKPALEESTELEKEVWARFYGAGFWRSPSNLSEEDSR >Dexi1B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:1B:5429990:5432834:1 gene:Dexi1B01G0006710 transcript:Dexi1B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAAPLASLLLASILLLLGAPALSSGGGCGGEAHPGYSSGGEGTCTVDAGAAALTPATRERGGAGGGRRIIDITHAYVADLPTFATGEVAGPVVRLKQSMAEGSEYNLSELKMECHTGTHVDAPGHINQANFAAGLDVDTLDLDVLNDPSATCRSSAWSCTPGHMIQEHFEVGLDADTLDLVALNGPALLVDVPRDTNITAQAMEYLQIPKGVSRVLFRTLNTDRKLMWKKGGDFSYVGFTEDGAQWLVDNTDIKLIGVDYLSVAAYDHLISAHVVFFKNPEIIPVEGLKLDDVEAGLYNLHCLPLRLVGAEGSPIRCILIK >Dexi5A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:5A:7475375:7476853:-1 gene:Dexi5A01G0009920 transcript:Dexi5A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNTSPSHPSAARSFLSRRRDRVVAACAAVAAFALFLAAAPALEDPSRRRAYLMRPLPSGGLNETMVDAAVEGSFAGAAASSPPPAEVPLSSLGESNFSLGIEPTPAPSMFLVPSPSENFDDGSMEEPEHPELKGNPPKGPAPFLQEPISSGLPTMSSDINGEHDMDGKPVLPLRPEAPLWSTGADKELIYAKNEIINAPLASDDPDLYAPLFRNVSIFK >Dexi3B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:3B:11467747:11468527:1 gene:Dexi3B01G0015830 transcript:Dexi3B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQHSTARLNHSPGRPASLRMAHAMASGSGSSRGWTQRQNKQFECALAVYDRETPDRWHNIARYMGGAKSADEVRRHFEQLVADVAQIEAGQVPFPPRYGAAAAGFDDTASRSRYLKFQ >Dexi4A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:4A:7360085:7362876:1 gene:Dexi4A01G0009350 transcript:Dexi4A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTDFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTAWSYLSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDKAGNNKYGQYPAPNPAMAGPPATKGHPKKAANGQQPKGEDGKDLHMFVWSSSTSPVSDVFGNGNAEYNDGKEVRMAVASPRKVAADGRKEKGEDFVERDDFSFGNRGAAERDAEAGDEKSAVAGQGNAGVAGGPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIIIKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYGVHPDILSTAVIFGMLIALPITLVYYILLGL >Dexi6B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:6B:1469321:1469740:-1 gene:Dexi6B01G0001690 transcript:Dexi6B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFHEQVTAGRTTGELCGGMHLRKAPLAASRLASDVIWRCVLRGGGRRRLTRDEQRGWQGDSRQGEWRHGAADSKQAMQLPVKFAAGGRRRGRCARSKQHAGVQPSQEGGAANAQQVTRLAEQYASSGRAAGCSAWFA >DexiUA01G0008610.1:cds pep primary_assembly:Fonio_CM05836:UA:16240451:16240753:1 gene:DexiUA01G0008610 transcript:DexiUA01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHVEAAGGPVIRQSCMCCMTPDSDFVIDFLGGEFGEDVVVGVGFSGHGFLAEMAIDGKSNTAAEAGVELGHYRISRFDGNPMGNAAKDY >Dexi5A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:5A:22098788:22099720:1 gene:Dexi5A01G0018580 transcript:Dexi5A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPHDFDPSKIPRRRRPKNDQIKVRMMLPMTLRCAACGEYLGRGTKFNARKEDAAGERYLGAIQVFRFYIRCSRCSAEIAFKTDPASSDYAVESGATRSPDGPWHGADEEAAAREDAGDAMAALEARARDGRREMEADAALEEARELSARRARVAPEEALESLHDRCRAAADGREAMRELERETDEVLVKSIRFRNSAGYLKRIEEEDDHEEDFVAASLASRAVASQQAQKKRRQAADRPPMVIVSKRRCATAVSEESTARDDDLANALEGAKASTGKTKESSGALQALCCSYDDSSGEES >Dexi5A01G0030800.1:cds pep primary_assembly:Fonio_CM05836:5A:33655895:33658116:1 gene:Dexi5A01G0030800 transcript:Dexi5A01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPEPMNLLSKKLTVGCYLNAHLQTLLGRPLDSRSQEEAMAVSQEVSRVFTEAMSVLKHANSCRVGSERMAPEIVSGNTIALITPTKEKCVSEQEVTRREITPSPHKDGYQWKKYGQKNIQNKSFPRYYYKCNRHRRCEAKKKVQQQDTTQEDLLLPPMFEVTYVNEHTCHVLRASDDNAASRLASSSPGTASRRRHAALAAGEVIMNTAASRRGDDGGSGHVVVGGAEEDEAIVSCLATVISGGPVTPPPSWWAPAAAGASAGDPAAFVAPPLQTPASVDGGVAEDDDGTTTMMMVDDDDTGFSWWDHSSFCPVEEVAGGGHHQIQLAMTNRHSDVHMGVARFADTVSPRVQACGAWRRA >Dexi2B01G0022210.1:cds pep primary_assembly:Fonio_CM05836:2B:31889779:31895747:1 gene:Dexi2B01G0022210 transcript:Dexi2B01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAVASTTRMAAEEMRRASASAAAAAATAEAAPAQAGSRWARVWPPSLRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNIGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALANRFRVIAIDQLGWGGSSRPDFTCKSTEAVRARAAARVADHGGGRPFAGIAAATSRIASLGRAGDAAAARAVFDAMPGRDAVAWNAMLTAYARAGRPRDALTLFAHAPASDAFSLTAALAAAAALRWPYAGAQLHARLLRLGLRTPLPVGNALVAMYAKCTRADEAARAFREMQDRNALS >Dexi9A01G0028700.1:cds pep primary_assembly:Fonio_CM05836:9A:33467574:33469267:-1 gene:Dexi9A01G0028700 transcript:Dexi9A01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIRKKLLGTITLSSTPASWAPTSPSTARPWSRPRACSSSPTARSGRRRTPRTLCPDPDACGHGIVLFVAPPSYNLTAATSASSTPRRTNGDAGDHLFGVELDTDQNNEFRDIDGNHVGVDVDSLVSVSSASAGYYDDHGGGVFWNLTLASGEAMQVWVDYDGEDKRIDVTMASLKMAKPSKSLISIAYDPSTVLTDVARVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDISKLPKLPRFGPKHHAKLVEIVPPAATAVLIMDVGAVAILLGIAAGLLYLYEEWEKVIIHGDIKVSNVLLDNDMNGRLGDFGLARLYDHGANPHTTHVVRTIGNLAPELGCTSKATPLTDMFPFGIFILEVTCDQRPIKENSNGGQFLLVDWVADHWHNGSLTDTVDARLHGRYNIGEAS >Dexi3B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:3B:352119:353918:-1 gene:Dexi3B01G0000500 transcript:Dexi3B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTERDVERGNGYRDDSLCQRFCEGPGPMFSRYIYAFIFLIANISAWLVRENHTIFIEGQRLKGCLGDRDCLAAEVVMILSLTSFLFFFLMFCSTVNTRKLRDHRNMWHSHWWIAKGILLMGSLTVSTMLPSYLMQLYGKAAHFGAGLFLFIQLLSVIRWITRRNYKWCHINFENRYLRVIVVSIIAYSCSMVGIILMSLWYTACWLNIAFIGTTLLVVYVMPLISIKSKANGFYMEPALMGLYIVYLCYSAIKSEPETKCYKKEKASSSTDWKTITGFLAELIGTAGATFSTGNDYKCIQLRNVAKSEDDVPYGYGFFHFVFAMGSMYFGMLFVGWDTHHMREE >Dexi1B01G0023960.1:cds pep primary_assembly:Fonio_CM05836:1B:29408649:29408937:1 gene:Dexi1B01G0023960 transcript:Dexi1B01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAEAEARIPARKRILSSSASLYVGNAGVGGSRARFAAGDAAAGAASDGRFARWSDIAARTRWRGVGGIGEEAAAEKGIVKT >Dexi4B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:4B:9971437:9974710:-1 gene:Dexi4B01G0012240 transcript:Dexi4B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQIRGSAAPVRATATARRWMAPASARVLRFAPLAPSTGPASLRRGGFGGLAAGNAYKPLTTMCMKADYTTPVDSVTTAKPTGGEIEEPAIVAPANEEINTEEVVVPQQKCAKIHDFCLGIPFGVAAAVAWKHCQAYATTKKLLPWGFYAALSAAMICFYSYVLLAGGNPPPKKAKVAA >DexiUA01G0023580.1:cds pep primary_assembly:Fonio_CM05836:UA:48274722:48279165:-1 gene:DexiUA01G0023580 transcript:DexiUA01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCAGGDGSAEGTLARWRKAAVKRIGLSCAPFFSYATAPSPPSSKTISCSALNAPADGSDGEQQKMEEPTSTRVADKNVCAICLEHLSTSSSDVDNIERPAIFTAQCFHSFHFLCIASNIRHGNVTCPICRAQWSELPRDLKVPPLLHNQSDPILRILDDNIASSRVNRRSSVRAARYNDDDPVEPYTLTEHVDPCLRFALIPAPVATHHHVLGHYPCRRMLPLQQQCQYSSSSMFSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALVVFSMRTIDRLAIVTNATTATRAFPLRRMTSHGKRMALQVIETLCCVGGTDPVGAIQKGLKILEDRAHQNPSNCILHLSDHPVRSCVGVDMNHSNIPVHQFHVGLGFGVQSGFIMHEFEELLARLLGGVIGDTQLRIGEHGGMVRLGELRGGEERRIPLDLVADCGFILVGYSYLEGGREDQLRTGETAVGFEEKGDNRYCGGRDMGLSIGGERNSCCAERRDYHDPFMARRWAKHFNVYRA >Dexi8A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:8A:20882257:20886006:-1 gene:Dexi8A01G0011880 transcript:Dexi8A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTKQSLNTNTSPFNFLKEGLLLHSHNRRLFASIFAICVASNSLFLLAYELGVQPFVFNVSHDLYTLNHTSRRTPRYTHLLRQLHDDSRSLLLANIFYLVSGLIFSSITELIAVFAAVTTRSGEAHTFATLLGRAKAQLKGPVLTVAFVYLLLIFSIALLSAMAALFVFLVLRRYLGLLLVDGLVLLVAYSLYVYVSFVCSLAVVVAMAAVELFGVCAMTAFYYECKERAQEEPEMEYLRLPVVVVQDV >Dexi1A01G0032080.1:cds pep primary_assembly:Fonio_CM05836:1A:36811824:36816374:-1 gene:Dexi1A01G0032080 transcript:Dexi1A01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGMDEDVAPKKQPEVFEGPLLSSRDKAKIERKKRKDERQREMQMSSFLTITPCLLFCLHQAQYQMHVAEMEALRAGMPPVFVNHNNDGGPAVRDIHMENFSVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLLQEEAHLVQQQKDLEIEAEFGQGSGKSKGDLDKDYISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQQKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRISMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVSGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVTPFSGTFKDYKKLLKS >Dexi2A01G0032810.1:cds pep primary_assembly:Fonio_CM05836:2A:43171121:43172182:1 gene:Dexi2A01G0032810 transcript:Dexi2A01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPDPPPRPGKPPLPWRARLLVGAASTLHAASLRRDGTVNRFLLSLFDRAAPPTPSPVAGHRLPAPYDDGEAALRWVLAGAGGVLPCRHRPAAVFVAGDSAGGNVAHHVAARLPGDVAGLVALQPFFGGEAATESELRLRDAPFGAPERLAWLWRAFLPPGATRDHDAANVPDAIRRDADAGGGGEGRRWRAFPATLVCVGGWDVHQDRQRAYADALRAAGAEEVAVVEYPDAIHGFYILGELEESRKLVNDVAEFVNRRAEQLKKRELDHAEY >Dexi5B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:5B:20949481:20953350:1 gene:Dexi5B01G0018590 transcript:Dexi5B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDQRRQAAAMVTVTRARAARAQDSPVRRLIAWLQLLFKAFVHRYGKLASWDAAGRPVFVVLAVFFLQRTLRRRYLSWKESSRLRLMAAAVTVQSAVRATAARHELSLRKQIRAATRIQAQWRCHRAMWSYLKTKRAALICQRAWRDSITSKELRIFTQEDLEWEMLGEMCRLREMVDVLQQAVNDAETRAINEREAAKKAMAELEAAPVIKETVVMVEDTEKVNSLNKEIDQLKDLLGAEMKETFAAKKALAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENKMLRQAVASIPTIKSPSTENHEAPNLHGRENNDTLVYWLSNSCTLLVLLQRTLKVNGVAALARQRRRASPLKVPQENQEPSHTDRPVPDGRLIGGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPKTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNVMKSNYVPPFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFGLTEEYAGSAWEELKHIRQAVTLLILEEKHSRNLTEIADDFCPALSMQQLYRISTMYCDDKYGTLGIPSEVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDIADMDLPPLIQESNGSKLGH >Dexi2B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:2B:287945:289354:-1 gene:Dexi2B01G0000670 transcript:Dexi2B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSSKKKKKVCVLGAGVSGLASARELLREGHAVTMMEQSGDVGGQWLYDPRVDGGDPLGAAGVHSSMYSSVRLFTPRQVTGFSGFPFYPLSSGGGDARRYPCHGEFLRYIRNFCHAFGLMDVVRFNTKVLRVGVAPSGGGDDDISSCSQWVVRCTRAAGDDDEAAVVEEVFDAVVVAVGQYTQPRLPTTIDGMDKWTRRQLHSHSYRVPDSFSGEVVVVVGFHESGKDIALELCDVAREVHVSVKSMDHDVTPGVSMALSRHHNLHLHPQIDRLCEDGRVVFADGSWVAADAVIYCTGYDYSFPFLDTGGLVTVDDNRVGPLFDHTFPAAMAPSLSFVGIPNKVVVPRFYEVQARWVAQVLSGRRSLPAPEEMTRAAEECNLAREIAGVPKRRAHDVSDLEYCDVFGEERCGFPALEEWKKELLLSSIASMRDRTESFRDDYLDSEVVMAGLRSEGWMACPVATST >DexiUA01G0025990.1:cds pep primary_assembly:Fonio_CM05836:UA:54775971:54777616:1 gene:DexiUA01G0025990 transcript:DexiUA01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVYRAMKLPIYLDYSATTPVDPRVAEKMMQCLTLDGNFGNPASRSHRFGWHAEEAVDIARNQIADLVGADPREIVFTSGATESDNLAIKGAANFYQKKGKHIITSKTEHKAVLDTCRQLEREGFDVTYLAPQSNGIIDLKELEAAMRDDTILVSIMHVNNEIGVVQDIATIGEMCRARGIIYHVDATQSVGKLPIDLSQLKVDLMSFSGHKIYGPKGIGALYVRRKPRIRIEAQMHGGGHERGMRSGTLPVHQIVGMGEAYRIAKEEMETEMSRLRTLRNRLWDGVKDMEEVYLNGDLEQGAPNILNVSFNYVEGESLIMALKDLAVSSGSACTSASLEPSYVLRALGMTDELAHSSIRFSLGRFTTEEEIDYTIKLVRNSIGRLRDLSPLWEMFKQGVDLNSIECEKVIDHYENPRNVGSFDNSDESVGSGMVGAPACGDVMKLQIKVNNEGIIEDARFKTYGCGSAIASSSLVTEWVKGKSLDEAQAIKNTDIAEELELPPVKIHCSILAEDAIKAAIADYKSKREAK >Dexi1A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:1A:25074703:25076207:-1 gene:Dexi1A01G0017720 transcript:Dexi1A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRGSSITGHTDPSAFDFTHRELAGGGEIDDGVRPGGGEQGGELAGGDEDEAADYELAVAVADLPELLLDPPVVLQHGGDVGEPPELASEPHHPQDLEVADDAEEHADHEEERYAGRGGSASGSSPSPARRRRRGAAQGAATARRRKTAQEPAAARRGEEEWSTQSQERQARKLRSSSCACDEHRANRDAAGAGFPPDGGGSSGDADDASGISWRALGVGRGRELGGSGGERSGVRWLCLSGPARWPPETSGGRGEIRDGAPRSPSSWDAGGGVAK >Dexi9A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:9A:10065547:10065903:-1 gene:Dexi9A01G0015120 transcript:Dexi9A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAGLALQITAVALVAALLVAVIVAAAWGAKGGAGRGGGVDVERALGAATITTYERVSARAEGKAADVCAICLSEYAGGDELVRVVPACGHFFHAECGVDAWLRARQTCPLCRGGL >Dexi9B01G0026890.1:cds pep primary_assembly:Fonio_CM05836:9B:29288001:29288873:1 gene:Dexi9B01G0026890 transcript:Dexi9B01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATSPRLTRSASLSTNRLGRSRTARFPPSPQLCPLSSSSAAASPRALLPLRRARSDADLASFARPAVLLRHAPAIPPILEADEEDQQRRQDDAGLHRLLDGAGAGGSGNGSGGSGGQRGGGGQGSGCGMGEYYRRVLQLDPENPLLLRNYGKYLHEVEGDLAGAEGCYARALLACPGDADLLSLYGRVIWEARQEKDRAEAYFERAVQAAPDDCYVLGSYASFLWDAEEDDDEAAAATPAASPALVPAC >Dexi9A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:9A:10798790:10800727:1 gene:Dexi9A01G0015880 transcript:Dexi9A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFEETELRLGLPGDAAAGDAAAAARKRGFEETIDLKLKLEQPASTAAPPHVANEAEDGEVEEAAAADVAAAAGGGGNMKRSPSQSSVVTAAAAHQPDLDKPRAPKYVASPSSITPLALLLCSCRDRELRDDPLPLLKNRDGESLAAQAVGWPPVRSFRKNILQTKGGGDKDDGGGKSGGGAAALVKVSMDGAPYLRKVDLRMYGSYQELSKPLEKMFSSFTIGSCGGSQGMKGMNETKLADLLSGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAVGLAPRAMEKCKNNC >Dexi9A01G0030860.1:cds pep primary_assembly:Fonio_CM05836:9A:35749653:35750505:1 gene:Dexi9A01G0030860 transcript:Dexi9A01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEVQEAAVRVIGGWACPYAIRVFAALKLKAVDYEFLQEPAGRKSELLLRSNPVYKKIPVLLHRAAPICESMIIIQYIDEVWAPNGPAILPAQPYARAMERFWAQYVDDKIAPAFLVLRGLTDGDKDDAAAQVSNALQNLEEAFVKCSQGKHYFGGDNIGFLDLVLGSHLGWFKAVEKIAGIKILDEAKYPKITAWADRFCAHHAVKDVMPETDRLVEFSVNSAVKAKASN >Dexi5B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:5B:1576711:1582627:1 gene:Dexi5B01G0002430 transcript:Dexi5B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYVLFLLLVITSLVPVSIAAPPHKLRLSASEVAALEAAAPPRPDQPSTFFEVDRPHRPPPGSFGPCSTLLLSHSFAYTYTKPPVTAAYSAPPCLAAAGVHAASAISLAVLEWRATCRGVQFDRIFGVWLAGAELLRGCTAEPRRSGVEWTVTKDVTKYASLFASRNSTLVVNLGNVVDDTYTGVYHANVTLHLYFHHPPPPPPPQPGLGPADVIVPISLSLPLDDGLWFQIKNRFDMGSASVAVPRNTFRAVLEVYLSYHSDDEFWYTSTPREHGPFREVTVLIDGDLVGAVWPFPVIYTGGINPLLWQPIAGIGTFSLPSYDVEVTPFLGKLLDGEEHEFAFQVTNAQDVWFVDANLHLWLDPRCAATTAAVVSYDAPALDTTIAFRPYGPGDGLYYTTAFRHVSASGWVHTASYGRITATWTQRLGYENTNRIQEDDSLQAVNQTTDAYSGAHVADRAGVLYSQEAQQSFGLGMFVGVVNQTSNDTYTIARSVRLGLGEDRVAAGRSGFWARSLRNVEDCEMDVDVEEGDAVGVSWGTRQTYRYEATDACYFRNVTSLGYAVVSDHSDEACVKGSIAAGVVEELAAAANEEGSIIASTLLPTMAVSCIHLVFLLCLVPATVAASPRTHRLRKSPAEIAAASPPPSDPSRPTTFFEVDRPLRPPPGSSGPCSTLLLSASFAFTFTKPPATAAYSPPACLAAAGGGASAISLAVLEWRATCQGVQYDRIFGVWLGDAELFRGSTAEPTDNGIVWSVSKDVTKYASLLAAGNSTLAVFVENLVNGGLTGVYYANVTLHLYFHGGGSPATRPPPAVGPADLIVPMSRGLPLNDGLWYKIQNASDVQSTSATLPSNTYRAVLEVFVSFHGDDEFWYTHTPADGDANGPFREVTVRVDGDLAGAAWPFPVIFTGGINPLLWRPITGIGSFNLPTYDVELTPLLGKLLDGKLHAFGLAVTNAMDVWYVDANLHLWLDSSSTTTTAGLVSYVAPELATNTTSSRTTASRTISATGWVSSSYGNITTNATQAFAFENDNAGGETINQTTVANAGVSATDLAAGVLYYSVQTTQSFPLRFDSEANGVTVTHGVEETTVTAGRWSSVGPRYRSLRTTQRSVVEGGGSSWGIGQTYRYEASDGCYFRNVTSSGYNIVSDQSSEVCEKRVPAGDGR >Dexi7A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:7A:14990684:14994296:-1 gene:Dexi7A01G0004330 transcript:Dexi7A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAGEGRGVVAAGRDMADALDGVRSILLKPSENLDDKRFTRIAGADFADAGLGLAGLLASLASTGFQASNLGDAIDVVNQMCCCMRTKLAVREEEEEERGGARWRRGTVVTARGGAGGRREECDEGGGAGKEKCELDWRLSHEKPSEDCAEAELDPEYRESVKCKIFLGFTSNLVSSGVRDIIRFLAQHRMVDVIVTTAGGIEEDLIKCLAPTYKGDFSLPGALLRSKGLNKIGNLLVPNDNYCKFENWIMPILDKMLLEQSTENVWTPSKVIARLGKEINDENSYLYWAYKNNIPVFCPALTDGSIGDMLFCHSVHNPGLIVDIVQDVRLMNAETIHASPRKTGIIILGGGLPKHHICNANMLRNGADYAVYVNTAQEFDGSDSGARPDEAVSWGKIKSSAKTVKVYIPCVHCDATIAFPLLVAATFAHKVHGYKSTN >Dexi1B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:1B:8420399:8421233:-1 gene:Dexi1B01G0009370 transcript:Dexi1B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLATTYSGRIAAATASPSGPSLTVTVSPTPPPTPIDARGFPLPRRHLVCAVARILRSPASPSPLLDLADYLASLRVTLTTVEASEVIKALAPDTALALGFFRFAATSLPGFRHDAFSYNRILSLLFRSSRDDPAVAARLVAEMDRDGVYGNISTVNLLVGMGVEVGRCLELAKKWGLRLNGYTYKCIVQAHLRSREVCKGFEMYEKMRRKGYKLDIFAYNMLLHALAKAGMF >Dexi6B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:6B:13502472:13505413:1 gene:Dexi6B01G0009270 transcript:Dexi6B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGDSPNGEVINPVWYGGVEGFYNREVELNDVVCALCGDGGELLCCEGPCLRSYHATRTTGHPSGCRSLGFTTAQVQVFRCDHDTCGRFYHPVCISTQLHPGDPAEAA >Dexi6A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:6A:2443002:2444570:-1 gene:Dexi6A01G0002610 transcript:Dexi6A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLTGLEKNVESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAITDIDYNGGMVFNEYDSIEGDARNAEDNSDIKFSIAERIKLWSQNDKTCQASKKEALLDRAWVEAAEARSPTRRHSLGGPKGCAQDFNIVKRRQSDTFISLPDATDESLSCNKDPTIREKRDLLVDKYDFGQSESSRFILGKSEVCKSQCLDVEKRVLRIPNPPPRPSVSVSNSGPSNGSTANPPRPPPPPPPPKFSSKNTGVMKRAPQVAELYHSLMRRDSKKDTSSGGVCEAANSANIKADVETQGG >Dexi4B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:4B:4451738:4454423:1 gene:Dexi4B01G0006320 transcript:Dexi4B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVAGANAAPRIVVAAPAAATASTLDANHAVHASPLHNERLSSLPARTRLPRHRSPPPSEAAPAAGDGASPQRDARAAAEPHARVYLHADEDGERVSLRPHRASLNTAWRVHRVRQAGDDYVLLQSAAYGRYLATSPHQVSLAYAGHAAIQVAYDAPEQDDVVWEAVRVADDTDDVLMLRVSNRVLRAPPPGTQSCRLVVDTSLTSVSLQQFPRGDGLRRTILYMRANNEGVFDPMTKKTSEFSGRSLFNLKGDLASQLREAFDNITLCVRPGSQGRLTPLVIDLPANEDIMEIVVLTTGSPGESFAVYFSNSGQQFLYLCLQ >Dexi7A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:7A:16042461:16053368:1 gene:Dexi7A01G0005000 transcript:Dexi7A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARNLQLLRDAHATPCAAAAAGIGVVTTAPPSHMAQEPPLDKHHHHQPSSKPPPPPPLPPPPLPERQADHHHHQAPEPLPLRPLQHHHQAEAAGTSGSSSTNGGAGDWLRLGLTPTSPRAAAAAAAGSPLGAFADHRVSGPPAPPLLSPSSSQPRTTAAEAALPGGFFMRQAGPGIPQASITLPVPRAGPPWLPPWSPAAGAPPPSTLIPFGHRAFFTPGAGASGIDAIRVVLPPSAVSAAAGVWFALQAAPHQGREPFLPQIPRSYLRIKDGRVTVRLLIKYLAGRLGLEDESEVEITCRGRPLPAFLPLQHVRDGIWCQGDAAVSPSVAPDMPPAANHHLMVLQYGRRP >Dexi4B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:4B:16894933:16900292:-1 gene:Dexi4B01G0015340 transcript:Dexi4B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEGPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVRQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREDLDISQGSVLYDVDEATVRSLNGCRVADQILRLVPNIQNFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPTYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDTEDGMANRSPDSQLKRKHDSAGTDDADHVRSAKRSSVSPVHPKTSSPQSGNLGDDSQIKRASSDASLGSQASPQAYETSPDPVASGSRCATMGAVCSGDATSKHGIPLVENCATPSVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKEVLAENVHFGGNGVVPGGLPEELEVTLLAHAPFFPVKV >Dexi4B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:4B:21233953:21234255:-1 gene:Dexi4B01G0018940 transcript:Dexi4B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRSRNPHDEQASKIFAHLRGDGKLASGSQGREQSPERKQARSMRYRESDAEQRKEEGKEEADRPSVVSASVPDASGQRPCDAHGIKIRNWVTPPSDYG >Dexi8B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:8B:511614:511991:1 gene:Dexi8B01G0000750 transcript:Dexi8B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGQAHQQVAVLVVLAALCFLMTTTTVVSGGVSCGQVVGWVSPCISYAMGQQGTSPPPACCSGVKSLNAAAHNTADRQATCKCLKQATSIMHGLKPDLVAGIPSKCGVHIPYPISGSTDCSK >Dexi1A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:1A:8646091:8650430:-1 gene:Dexi1A01G0010160 transcript:Dexi1A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTHTRVSPSHDSQSPHSKHVNNASSCAKPKRPSNRFLLQLPSSSSLSSPPPRPPPDSIPRRRAMDRSDPARARLAVLSSHLAAPPGEAAGLERSPVSAAAPAPRPGALAVVDGRTGRRHEVKVSEDGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSTICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGNLPTQSQLAGWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPSNALSYSENFLYMLDSLGDKSYKPNPRLARVLDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGGVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPPSQSEELGQIATSNATRRRRAGSAL >Dexi8B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:8B:8185985:8190885:-1 gene:Dexi8B01G0007020 transcript:Dexi8B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASRSVRSSFAAAAAAAAGTRGCPHRPSRVAIFASAGARPLRAGHRDSILEVLAKEDMLNATELAQLENGKSVNDIAASQGIRIRRHCRPTASLKEIEEELGAPRNILEKIIWDKEIEVAEGHAKKPLKELTEAASKAPPTRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAKAYEKNGAACLSILTDEKYFQGSFENLEKVRSSGAKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLSDLDIKYFLRICEELRMTALIEVHDEREMERVLNINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQSAGVSAVLVGESLVKQEDPGRAISGLFGKELLH >Dexi1A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:1A:26940280:26941488:1 gene:Dexi1A01G0019970 transcript:Dexi1A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEESFQQSKNPRRARRRDLNAMDPTMEESDGEDVGVPEVGMVFNNHTEVNRFYRKYARRVGFGPHSHKKELAYISSLCAAKEGDHVMSQSSGNGPRQPLTAQPRSE >Dexi5B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:5B:22254480:22255577:-1 gene:Dexi5B01G0019990 transcript:Dexi5B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGEIQKVASMRRGDSGSIWRRGEDVFSRSSREEDDEEALRWAALEKLPTYDRVRFENLEAEAEVRVGSSGLPTILNSVVNTVEEAATALHLLPSRKQTMPVDSSIRPTRIREDHISARVGREA >Dexi5B01G0034090.1:cds pep primary_assembly:Fonio_CM05836:5B:34363043:34368406:1 gene:Dexi5B01G0034090 transcript:Dexi5B01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding YENISPGYPQLETFADSSKGKFDATDITSDLKPSSEHHQDMVSSNKCIETICGVSVEDIDLKQSGVMDVEEVTSLPMPGGGGIIPLNEHVMDQLDSTMENAIVYNNISNTQSDMKHGADFDNENGCLYPLVLPSFDADPLIWLPPEPENKEDDIDTVFNNCDESEDNSTGWGRSSFNINLSEKSKESREVQLQKVMSEVMNGQFKILVSRFLAAEGFSLSDGGTDKNWLDIVVSLSWDAALLVKPDANSGNAMDPGLYVNVKCIASGSYEQSEVINGLVFKKGAAHKQMRSNVKRPKLLLLQGALGYLSTGLSSINSMKQILLKGATREELKKIKRVLHFTVFAAYHLILETSFFADQKLFTTDKITTEKEKCFETNQQRHGPYYDSSENSDSMKHSIPTFDDQCTIQEKLINTEKSIPLHTGKHTDSNGILSYPSPPVSDPSTNFKQDTSSSGCAESNSCDDFDGSTFTDTSKEVHKKQLSGEKFQETAEGIYVEDEAALNTQDILISMSSQHIRKQAVCEQNHLSRITYYGYFDTSLGRYLQDTLLNEKHGCLSCGESPEAHMYSYPTHHNGTLTVLVKSLPLESSLSGEAQGRIWMWIRCLRCNAKPTHRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHFLHRDCLRFFGFGSKVAIFRYSSVDIYSACKPPLTLEFNNRNNKDWLDVEVNSVSRNPTCLAKILGIYQVKETRNGKETSANFMVMENLLYGHNILRRYDLKGALFSRYVPDSENPEKLMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWGKQLESWVKTSLVVPKNLSPTVISPREYKLRFRSFMSQYFLSVPDA >Dexi1A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:1A:10487299:10488365:-1 gene:Dexi1A01G0011470 transcript:Dexi1A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVFAVIFLALLGWAALAVDADGITTSSSTSPPSTTVVWQRAHATYYGGADASGTMGGACGYGNLYAQGYGSRTAALSTVLFNDGASCGQCYKIACDRKLEPTWCKPGVTVTVTATNFCPPNLELPDGGWCNSQRPHFDMAQPAWEKIGIASRGIIPVIYQRVPCVRRGGVRFMINGHDYFNLVLVTNVAGAGSIKSMDVKSSDSADWMPMARNWGANWHSMAYLSGKMLSFRVIITDGQTLVFTNVVPAGWTFGMTFASNLQFKPSP >Dexi9A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:9A:11316079:11318596:1 gene:Dexi9A01G0016410 transcript:Dexi9A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAITLFRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEEKSNEVDISDIPGGYSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIEKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKVINHCIDSIASKASIDPSEVEWSYTYNRKKLPSENGIDSHWNGVRKQPMVPNDWWVEDLCELEVDLYKRVIMTIKAKGRTPSVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAALEAIVFLLPTEEGSVSCGFLLKLLKAACLLESGETHRNILIKRIGTQLDGASVSDLLIPVNTDENSAYDIDLIMAIVEEFMSQNSDNGKAKLQDDEEIVEVENVSATTVSSTSKLAVAKLIDGYLAEIAKDPNLPLPKLMALAEMASSLPRLTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQVRASVASARSDPSAELPPTVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANKSGGSERSSGSSDTNKNGDDKSATGKAKGMLMPKKILSKLWSGKTNAGENSSSDTSESPGSVNPEEVKSTQSRITRRSVS >Dexi7B01G0021610.1:cds pep primary_assembly:Fonio_CM05836:7B:26597146:26598625:-1 gene:Dexi7B01G0021610 transcript:Dexi7B01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPCPHLAAHRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCSPCAATRPSPSPRLYACLSCAAVFCPSHAASHAASASAGPGHQIAVDVDRAELFCAACGDQVYDPDFDHAVFLAQSSSLLPSTSSSSASAPPSASRKRRRVDYRTWAPDPAEFALMSSADPTTSASATAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPVRHRAAEADAAAKLACLACDLDEIYSAAFSGDRMPYSPAKFLYRLILVVF >Dexi7A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:7A:28936407:28936941:-1 gene:Dexi7A01G0019970 transcript:Dexi7A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMEGAFSVKSDTYSFGVLLLEIAWNSWKDRKTEDLVDLSVKENCPLDEVSRCIHIGLLCVQDSPDCRPLMAEVVSMLENKTIQLPTPTCPVYFASRDAETGRDGNSRVLSLNVTSFTELEGR >Dexi1B01G0028090.1:cds pep primary_assembly:Fonio_CM05836:1B:32702660:32703636:1 gene:Dexi1B01G0028090 transcript:Dexi1B01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPTLQLSSWTCIGPRRRNNVSSTSTITTEEIRTSTSSGSESTSLLTETTIQTSETVVSEAIECSASEEVQSSVATAVDAVGLVDDKVDSDEDIIDQHVDEDRMTDVELWQQLESELYRKGEGEDDDIAEEMTESTIAEEVGGVGEDVLSETKEVHRFYPPGKIMHILTSSIEETAHEEDPDVHQDDETNRESRMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLISELEKDSSDPMGDSPNNTSS >Dexi3A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:3A:7766067:7766294:-1 gene:Dexi3A01G0010890 transcript:Dexi3A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWGKNPAPAAGAGGEVAVQKVDKIEFHSLVSGPRMRGLTPAPAPAPRGVVEGEDINKKAEEFIKQRKQWFHRP >Dexi3A01G0023200.1:cds pep primary_assembly:Fonio_CM05836:3A:18809001:18809415:1 gene:Dexi3A01G0023200 transcript:Dexi3A01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNYRQTSSTISSAARPIGQATLAQLIQSQTPEKGEKKILSCSAVVLPATSRASVLSRILWLTPAISMMPPALSLMGPYASIERPVAIVLSMPRAGTTMPYMEAVVKLM >Dexi3B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:3B:3182316:3185458:1 gene:Dexi3B01G0004710 transcript:Dexi3B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHLLRRGVSSGSPLHPLRSLLLASQELGRRPLSSAAGDAASELRGAREDVKQLLKTTSCHPILVRLGWHDAGTYDKNIAEWPKCGGANGSLRFEIELKHGANAGLVNALKLIQPIKDKFSGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVTAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKKNGPGAPGGQSWTSQWLKFDNSYFKDIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAKDQDAFFQDYAEAHAKLSNLGAKFDPPKGISLE >Dexi5A01G0033690.1:cds pep primary_assembly:Fonio_CM05836:5A:35980250:35986166:1 gene:Dexi5A01G0033690 transcript:Dexi5A01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEAVAGGESAGELLLRAAAMVPAERYALAAAFLVAAFLYRFLELHVIGDILGGFRGGRVELTFHPASEIYHHVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVRDGGTVALDWLLSSDLEDADGFISKDASTPLVVVVPGLTSDSAAAYVKHMVYSIASKGWNVVVSNHRGLGGVAITSDCFYNGGWTEDIREVIKYLHHRYPKTPLFCVGTSIGANIVVYDFYLGHQPVLARLANWEGIRKSRSIREFDHHATCVVARYETVDTYYRRCSSASFVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGATSEFLFALHESSYMHRQKANDHVLHSSLESSIDKSPYVNIMEDGMVAPVTKDGSGDTDGSASNDEVDGVQLSNGASPSQQLEVSGEKHIEHASGAGNESPADPANRQGEIYSNKLHEIIAPVKRSINQLTRYQGRSVWLLAYIAFATSWPLLGSLAFITFRKKFRNPLRAK >Dexi8B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:8B:20380842:20381667:1 gene:Dexi8B01G0011310 transcript:Dexi8B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLTAPSSMFFLLFVLISGGFPPPQFQVRAQQPYGKETTVLVPATCGCTATAEGTFYQHNATYVARPGDTLQSIATRTFQGLTTCQALQAQDLHGAPPESLAAGERLAAGERLAVPLRCACPSAAQAAAGFRFLVSYLAAEYDQVSSVAAWLGVHVGAVTAANELRPPYTIYPSTTLLIPVDDQPNVSRIIQAPPPSGPGKESGDHVGVYIGVAVAAVVAVAAIASSGAFLALKARRKRAAAVLAAGELPNKKQGKKKKGLPEDIAK >Dexi1A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:1A:12336774:12337226:1 gene:Dexi1A01G0012400 transcript:Dexi1A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATGHATANGDVDAACDVNARGQRRRRAGSRRQVLRRWIATQTPPATGTGVGGGVVEPRRLRLRFAGICLAGCGGKKREGGDGRRSRGKEEGRRGRRSRREEEGRRGSVRGSGGEKERGSDSATPRDEAVIAGRRSGVRLAIVGKGAT >Dexi1B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:1B:21228455:21228991:1 gene:Dexi1B01G0014800 transcript:Dexi1B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDSAEPCTQRPPAGDLQPAPPAGDRAPSAGTPNKSGQLAEPGTTASKAPATTEPEKKQKDRAETAEEAASSDSCCGGVAELVVARRSEESARERLKRHRTEMAGRVRIPDMWGQERLLKDWVDCAVFDRPLAATAGLLTARDALVAECAAARRPAVVVVSHAPAGRPLRVQNGCS >Dexi9B01G0032720.1:cds pep primary_assembly:Fonio_CM05836:9B:34916354:34919429:1 gene:Dexi9B01G0032720 transcript:Dexi9B01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEGAPPPQPAAGEPATAPRAQPISAAQFLSWKQRKDAEEATRKAEAAQKRAADIASGAVQMNGRELFQHEPWVFDNNIY >Dexi1A01G0024970.1:cds pep primary_assembly:Fonio_CM05836:1A:31331192:31335041:1 gene:Dexi1A01G0024970 transcript:Dexi1A01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQGARPPHPGPPKKKKKKATPTAPPAATKQAPAPVQGTPVAPSVPNSGGGADMSDEHYMILLSVEELFGRSLFQLLLLKLGLFQPLLTSTSLLLPLRRRSATFTDFPLLTTPWELADDA >Dexi5A01G0027160.1:cds pep primary_assembly:Fonio_CM05836:5A:30709544:30714634:-1 gene:Dexi5A01G0027160 transcript:Dexi5A01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRLLGPAIARLLLPRPSLFPRPPTPTQQSGSQKTRPEHLSLARREGKRHHDTSIPSAPPIAAAAAAAGAAAAMGKGPSSRAASRHHQFRARAKTRVDDLQEMFSGLQSARKDSRPADAAVLEAQLQQMLREWRSELSAPSPASSLQDNARELSDPPSETLRLLQLAGGEEEDDATSKLADQQQQPPPPPPPPPPPPLPPRQGHTLRFQDMKPEPREEVVDVAVALPQQLDQGVVPNSAPAAVFHDQMYYVNQELTIEDFLYDDDYKINLSGSNPDVLNNLEGIGQLEYPQFNFPQELPPNVYLDMSNCGQSTGDVFLHMSDLLTTVTPTPSAFLRPKCALWDCPRPAIGSQRWHDYCSMYHADLAVKEEGPPGTMPVIRPKGIDLKDGPLFAALSAKIQGKHVGIPICQGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLACNPLNEIQQQMVRLSADSPVDSKRTARGRTKANLIDVSSNIYSVPNTTVQANIRNAYQPVPQVDQMTYLNGSVVYGPHLPYGYSTERSDFYWNSNDGT >DexiUA01G0003840.1:cds pep primary_assembly:Fonio_CM05836:UA:7907190:7909848:-1 gene:DexiUA01G0003840 transcript:DexiUA01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSPWRSGGGGIPAYFPARRGCDVRLYQDADVAVGGEVGGVPGVFEPGRCWEDMCLAVLGAQHLVYVAGWSVDTRVRLLRQAMSPEMEAKAAEVWELGGVKVENMSLGELLKYKSQEGVRVLLLVWDDKTSHDTFFIKTRGVMRTHDEDTKRFFRDSSVICMLSPRYPSNKLGIVKQKASEATRLSAASKLFVVGTLYTHHQKCVLVDTPASETTRRVTAFLGGLDLCAGRYDTPGHTLFHGLHTVFHGDVYNPTFPGDDAANKGPRQPWHDMHCRLDGPAAYDVLENFEQRWRKTKKLHMREVLSFRNKNKKTRWKEDDLLKLDRISWILSPSKPPPPLSDTAAAGNEDDDEQLALQVRQEHDPERWHAQVFRSVDGGSLKRFPRPWDKEQMMRHHLVCDKNLTVEQSIHTAYVSAIRSAERFVYVENQYFIGSSYAWPSYGHPGAANLVPMEIALKVAGKIHAGEPFAAYVVIPMWPEGDPRSAPAQEILFWQYQTMEMMYKTVAAAIKDGAHPQQYLNFYCLGNRETPPDAVAAGDGDDDMTSAPAAARRNGRFMVYVHSKGMIVDDEYAIVGSANINQRSLAGSRDTEIAVGAYQPEHHLAGDGRQRPGGGKVFGYRMSLWEEHLGRETMARWPEVVRRPESRECVGLVNGVARENWESYVAVEGRGGAMRGHLMRYPVVVGVDGSVGPLQGYETFPDVGGRVLGSPNNLPDYLTM >DexiUA01G0008170.1:cds pep primary_assembly:Fonio_CM05836:UA:15371302:15372261:1 gene:DexiUA01G0008170 transcript:DexiUA01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIDDVSRLANVSRATVSRVLTGTRGVREESREAVLRAVEELNYRPSFAAQNLASQSSSYVGLVLSAKDESSGARLLPALSRAMKALNKTLIVEYVNDPVEQAVTVDDLQRQCVAVVVFGPVAAGSAENVISFDRPVVDAKPQGYDFAFATESACRYMTGKGHRNIALVIDSEHDDNSKKMVEGYRNVLQNYSLPFNRQLVLTANEDVEQALLTLINSLSKFSAIVVKRDAYAAEAMRLFREFGISVPQEVSLLSLEDSPLATQLYPQLTCISWPMDQLLTQCVERIKSLVDGRPVRTSELSPVIGKLTPRQSVMEKS >Dexi3B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:3B:13991494:13991804:1 gene:Dexi3B01G0018830 transcript:Dexi3B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCISSAAAERAGRREEKGEARELAQVDAVALDQTTRSRRRPPHACACSARLASPAARPLLRWIEHINRTL >Dexi2A01G0022720.1:cds pep primary_assembly:Fonio_CM05836:2A:34527656:34533472:1 gene:Dexi2A01G0022720 transcript:Dexi2A01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRNFAVVVVRGFRDVNVGIFHRKLKSVPRGEACVVGRNVSGDLIAKSVELSGDLRVYKDDKDLDSKTSKLYVCLIDMCTLNDIFIMFSSNIYLVTSIFLCSFEAPVGGKSNSHPEGRRDNLPQEHLDIDSMGYPKLPPTMLDGALMAMSGEKIYSTGTLDCDFLAYSSCRITKVGIGGPLVNLDGDVRGMNFYDKKMGTPFMSWSRICKIIASFEERR >Dexi5B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:5B:21312392:21315907:1 gene:Dexi5B01G0019010 transcript:Dexi5B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDPDFSLLLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKKGRRVVPKLTAEPMDVDVNGVDNVHDTVIDAEPLAMVVEPLALEESPEKSVARLDDLILEAIRKRKEPSGSNKVAIAAYIELTKPQVDAELEKMKVMTKEEAAAFAAKAVAEAELAIAEAEEAARVAEAAENDAEAAKAFLEAVTLSVRDRNAASTVE >Dexi3A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:3A:1551242:1554864:1 gene:Dexi3A01G0002340 transcript:Dexi3A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPHTTRLLLSAPSPPATPRPPLLCFLSYRDGDSAPLALGFCRRSPARFREAARSKMVEVEEVGNKMQSQMRLHSEPEDDADLPLPALFDRASRLHGLASSSALDQEGIRKGVDLLRRCDEMVSKLGLFSANETKEDVPYYLGEMTEKIAQEDRIPVLKASQNHLKEFIALCEVLELIPEDELELSKQKQPDTMANRRAQKIARFKRQKAAETKLQEIKERKERLRRSLRASALSAPIEAGEEDAFEDDGEEEREAWLATISLALCQAFDLIDMLKKEEEMLVAVKERQEKDGKAFARDMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVLEGRASVSQAHEHRHQPLIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTATMIKEANSAWHKDGTSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPCG >Dexi9B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:9B:223464:232946:1 gene:Dexi9B01G0000340 transcript:Dexi9B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVALLDATVAAFYGTGSKEERSAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQARISIFLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQAILPPETIPDAYANGSSEEQAFIQNLALFFTAFFKNHIRVLEITPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAVSMMGLQAQMVPGVVDGTGTAVQQRRQLYSGSLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHTFYESVGHMIQAESDNAKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFHNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDATSVPYQYTDNAMFVRDYTIKLLGTSFPNMTSTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFMAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >Dexi9A01G0048870.1:cds pep primary_assembly:Fonio_CM05836:9A:51608208:51609775:1 gene:Dexi9A01G0048870 transcript:Dexi9A01G0048870.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISILMDPFEMQDNSKNSNKPKYSKFTQQELPACKPLLTPAIVISAFLLVGIIFIPVGLASLSASQEIVELIDRYDTTCVPMADKVGYIQDSKTDKSCIRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAAHFTKDCEPEGYTADGVPIVPCGLIAWSLFNDTYTISVNKKAIGVNKKDIAWQSDKNKKFGSDVYPRNFQNGNLIGGAKLNESIPVLK >Dexi4B01G0021070.1:cds pep primary_assembly:Fonio_CM05836:4B:23094899:23095566:-1 gene:Dexi4B01G0021070 transcript:Dexi4B01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAAVLLVGALLGLVSASLADQGTASYSIASGLPPACIIGNLGEGTMTTAVTCTGAANAAVANPCNAGARVTVTVVDLCPSPGCTVTFDLSQQAFSTIASLDAGEILIDYQLA >Dexi3A01G0021940.1:cds pep primary_assembly:Fonio_CM05836:3A:17500685:17501213:1 gene:Dexi3A01G0021940 transcript:Dexi3A01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding WQEASDDQAFFKGDHKVPFGDAEARVYWLSMLMTIVPERLLLNGRLNKCGVISPRFDVGVKEIEGWTAKLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi4B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:4B:7060656:7064499:-1 gene:Dexi4B01G0009730 transcript:Dexi4B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRGTYAAAAVTTAGPSSSLLATTFRRARGCGRLLPAAPRLRRTFAARASAQPVEVCAKESTTVPGRLGDCPFTQRVLLTIEEKHLPYDLKLVDLANKPDWLFEINPEGKVPIVKLEDKWIADSDVITQALEEKYPEPPLATPPDKASIGSKIFSTFIGFLKSKDPNDGTEQALLDELTLFDSYLKDNGPFINGGAVSAADLSLGPKLYHMEIALGHYKNWSVPESLSHIKQYMKSIFSMDSFVKTRALQEDVIAGWRPKVMG >Dexi9B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:9B:3701695:3701916:1 gene:Dexi9B01G0006250 transcript:Dexi9B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFTILLGFGCYFLGKHKGREEMRAGVGAQIYGTPLPPSGVLGGSSPAPEPFHMKKEGAENV >Dexi3A01G0029660.1:cds pep primary_assembly:Fonio_CM05836:3A:31869501:31870776:1 gene:Dexi3A01G0029660 transcript:Dexi3A01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTLSLPNFCKRLILAPFFFFGCAGNIISFMTYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEALLITINAAGVVIESIYVVMYFVYADKKTKWFTAKIMLGLNVGFFGLILLVTLLFFKGDKRVVTLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMILYVVYMNKTPVAIANVKDAAVNNKVPTAAADDHVVVNIAKLSPALPEKSSEVHPVFEMGAPRCCATEAGMGPNGRDVVDVFVSRHSPAVGVA >Dexi6A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:6A:25974380:25974796:1 gene:Dexi6A01G0018200 transcript:Dexi6A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIASLLFSAASALPAGSGARRSPPFGRAATRHQQQQQPRRRLVVAAAGKRRYKGTARKEALLAELVERKVAEAMEACAGHEAEPGCRVAWDEVEEVSQARADLRRRIAEAPDDPLEPFCALNPGADDCAVVYDD >Dexi8B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:8B:16874198:16875570:-1 gene:Dexi8B01G0009510 transcript:Dexi8B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLFSCAVAGNIISFMTYLAPLPTFYRIYKNKSTEGFQSVPYVVALFSAMLWIYYALLKSNECLLITINAAGCVIETLYIAVYLAYAPKKAKLFTAKILLLLNVGVFGLILLLTMLLSAGQNRIVILGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSFSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYAIYRNATPKVPAKEVAEDGKEDIVKVHEHVVTIVKAHDVLSIVSQPKEVAEPVNEKATSAKKEEGAKVGSKNNGDQV >Dexi9B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:9B:11521135:11521696:-1 gene:Dexi9B01G0016780 transcript:Dexi9B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEKLLAVATEAAKDAGEIIRKGFYLRKNVEHKGQVDLVTETDKACEDLIFNYLRKHFPDHKFIGEETSAALGATADLTDEPTWIVDPLDGTTNFLLLFIFMR >Dexi2B01G0034400.1:cds pep primary_assembly:Fonio_CM05836:2B:41638606:41640038:1 gene:Dexi2B01G0034400 transcript:Dexi2B01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVGSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHESMQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELESAPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi4A01G0022610.1:cds pep primary_assembly:Fonio_CM05836:4A:25917944:25919807:-1 gene:Dexi4A01G0022610 transcript:Dexi4A01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLNVFVSAVRHVVSSSVFVYRFIVFHLNPLLLQLSYFLAIDLFGFLALVLLKPSNPGYHPRYIDMFFMSTSAATVTGLATVKMEDLSSSQIVVLILLMLSGSEMFVSFLGLLLQSSRKRTRHDPDHGARVRSVVVTISGEANLEEPNTNPTSSSASSDDHNDDESRGGLRSLAPVVLAYMATILVVGSLLVFVSVASVPSSRHVLTKKGIDAALFSASVTVSSFTNGGLLPNNEGMAIFSTNRGLLLLLAGQILAGNTLLPVFLRLVIWATRRLARVLTSRRGGFEELESMAKDAMASGFGHLLLPGLQTVLLAVTVVTVAGAAAAMLCCLNWNSDVFAGLTAGEKVTNAVFMAVNVRQAGENSIDCSLVAPAVLVLFLAMMSVSVRSGHRDIVLLTRRSSGEGEGKDGAAVKRKKRRLSLNRRMLLSPLACVAASTMVVCITERRSLSGDPLNFSTFNVIFEVVSAYGNVGLSTGYSCSRLPLPEEATACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFFHHHQQQQQRRT >Dexi9A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:9A:8826606:8829095:-1 gene:Dexi9A01G0013680 transcript:Dexi9A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAAGLEIGVGDGAGDDNIILNPEFDDGLDNWSGNGCKIELHDSLDDGKVVPANGKYFVAATGRTDTWNGVQQDVTSRMQRKLLYEATATVRLHGGAAGASVAPCEVRATLGVQTADGRQQYLAVGKSSQVSDKEWVQLQGKILLNSTVAKASIYIEGPPAGVDVLLDSLVVKHAQKTPPAPAPDFEKLEYGANIIQNSNLDDGLNGWFPLGPCTLSVHDGGPRVLPPMAQESLAPLDDEPLNGKHIHVTNRTQTWMGPAQVITDKLTLYATYQVSAWVRIGGGATSPQNINVAVAVDSQWLNGGQVLAIDERWYEVGGAFRVEADKPATRVMVYVQGPDAGVALMVAGLQVFPVDRKARVKHLKRLTDKVRKRDVVLKVTGGADGGAATAKDDIVDVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQMNYSDADDLLRLCSDHGMSVRGHCIFWEVENAVQQWVKTLSHDDLSAAVKSRITDLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRATMFKTAGELDPDALLFVNDYNVESMCDIRATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGEVNEAGRRLLQLKREWLTHAHGKADANGEFKFRGHHGEYHVDVTTATGKVSQTFTVDKDDAPLVLNIKV >DexiUA01G0004010.1:cds pep primary_assembly:Fonio_CM05836:UA:8028891:8029188:-1 gene:DexiUA01G0004010 transcript:DexiUA01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGHKFGARNSAFGCRNTRFASIFVLQRKTHYEVGCHEAVHPGTETGPFRTVLHAEGTDTRQITP >Dexi2A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:2A:8600999:8602096:-1 gene:Dexi2A01G0008500 transcript:Dexi2A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKSSCGVSELSGSLVFGPGARGVHQRLIRASSAQPVKGCWATLPFLSSSSRTLVASASVVNSAAAPASPASPSCGGLPSSPPEPFAPFPASFVVLSGKVYSDLPASFLPPWV >Dexi1A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:1A:27939713:27940954:-1 gene:Dexi1A01G0021110 transcript:Dexi1A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0631700)] MAHAETDVNPQGRGRGLMPALLSCAYFLRSKHEKSPPARMARTRGTCPSPRIRNSVLRLPQVPYRDLADSLAAQPHCDLLTDRFRPPSVTAAPPGPGGDGRTAARTKDDGEFVFVFVSRAALYGSSEREAEMASVVGGAVVAAAAGGGGAGVGATPHVLAVDDSSVDRAIIAAILRSSRFRGAWLGGRLLGCTAAFSSCARARSFLQFLTAVESGKRALELLGKEPDVSMIITDYWMPEMTGYELLKKVKESSNLKQIPVVIMSSENVQTRISRYLLRIQSTG >Dexi8A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:8A:20782832:20788276:1 gene:Dexi8A01G0011770 transcript:Dexi8A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCVANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >DexiUA01G0002480.1:cds pep primary_assembly:Fonio_CM05836:UA:5569223:5569661:-1 gene:DexiUA01G0002480 transcript:DexiUA01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGRRGWSPFDAIRSFPSMPESLMSQIDAAIASTEYARACALLDPAPASASASSQSPPQPTPEGQGEGGPASPPACYDPKIADEAYRAACPPEKTAAVAKVRSMLAIASAQLHKQQHQAQQRRK >Dexi7B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:7B:14787733:14788559:1 gene:Dexi7B01G0006990 transcript:Dexi7B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLLPSLAAPTARQHRRHQLQRTQLQRGSLASGALRPPLPRRRLAVSAVQETKEGEAKTAEEITEKYGLEFGLWKVFSSKEEEEGGEGRKKSRTDQAKELLAKYGGAYLATSISLSLVSFTLCYLLISAGVDVQDLLAKVGIVTGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGKIRKGGD >Dexi5B01G0030320.1:cds pep primary_assembly:Fonio_CM05836:5B:31250019:31252711:1 gene:Dexi5B01G0030320 transcript:Dexi5B01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAHSKKMATAAASLHVVAVPFPGRGHINPMLVVCRQLAAADTSLAVTVVVTEEWQALLAAAGVVATLPERVRLATIPNVIPSEHGRGSDHSGFIEAVGAKMGEPVGRLLDRLALERRRPDAVVADTYLTWGVAAGAARGIPVCSLWTMPAAFFLACYHMDRWPPVDDPEGEEGQSCKSLNQYFPFPTLSVVKCSDIKIFRSLVVPIKRAAQVFSNVRKAQCVLCTSFYELEPGSVNGISSLLPCPIYTVGPSIPHMLLEGNSDKIQHEKYYEWLDAQQKNSVLYVSFGSYVSMPYSQLEEVAMGLRDSAVRFFWVARDKATTTMLQQISGDKGLVVPWCDQLKVLSHPSVGGFLSHCGWNSTLEAVFAGVPVLAFPVGWDQLVNARLVADEWRIGINLREQRREDGIVSRASISAAVTELMDLGDGDSQEMRRAEELREASHSAIQEGGSSWRSLNSFVKDLIGGKLNVTETSH >Dexi5A01G0037520.1:cds pep primary_assembly:Fonio_CM05836:5A:38853538:38855443:-1 gene:Dexi5A01G0037520 transcript:Dexi5A01G0037520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFVRFVWPESKLKLFLHFADLSPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQASLAMEN >Dexi9B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:9B:5794128:5794631:-1 gene:Dexi9B01G0009420 transcript:Dexi9B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLTPRPTLPAAAFSTVVMPTPRVSGIVDEICGLTLLEASTLADALRGRLGVDQLPPLAILTGGAVPLAGGAAGPGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKIVLE >Dexi7A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:7A:14587282:14590364:1 gene:Dexi7A01G0004200 transcript:Dexi7A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLHAARVQNPPRRPLGLQKPPEIFLRSPKCPRGTDHHSIHSSTAPLLSEMPLLPSTAAAAAAALPNLRLPLRHSPHPLAPLLLRRHHHPSPHPRLLPLAAALPPPPPEDLLPSQATGLVAASQANFMRVIVDAAPPCLDHHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWADRRGMIEDVFERRSEVADPPVANVDRLVVLFSLDQPRPEPATLTRFLVEAESSGIPFVLVFNKVELVDEQTIAYWRDRLKSWGYDPLFLSVDKQSGFSALEEMLGGQTTVVVGPSGVGKSSLINALRGNQDISEEDPIHKLLEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKSLAETFPEIRKMLKENEPSKCLFNDCVHLGERGCIVKGDWERYPYYLQMLDEIKIREEIQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIEEDIDDLDDDYQFDFKEHSRKR >Dexi3A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:3A:7130778:7131588:1 gene:Dexi3A01G0010040 transcript:Dexi3A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTRACVLLSKIKDAVPYASRFVSIDPASRDLPCWLALDCRHGRALFATPSPGSGAQVTLDFIVWDPLTNERRRLPQLSPPPTDRTRFNAAVLCDAAAEGCDHRGCHRDPFRVIFIFNTSASTSARVYSSRTDDWSERISIRHPRVLVDNKPWPSALLGDALRFRGLESAFQ >Dexi2B01G0023180.1:cds pep primary_assembly:Fonio_CM05836:2B:32729410:32730295:1 gene:Dexi2B01G0023180 transcript:Dexi2B01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWATATAYRLGPPPPCSSEKPTQIHGRNAERKPQRPKASIHETLVGVEPPFRAAAAAAKFFRRNRMILVEGRGGFFSASCRGLGPRCWSRTRRPRSKIFSTGPSGRCPVAVGKLTRPMMLYPRTRRADGWGDRVHETDSESNGLWMWIRTARQLGN >Dexi2A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:2A:5074128:5074358:1 gene:Dexi2A01G0005310 transcript:Dexi2A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLVAAVAIVLVLLGSGGVTSSAAMRLLVESKGGSSVFQSERTTSTAAAESSAQPSGCTYGSAPGGYCHPPAGH >Dexi2A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:2A:6190373:6190801:1 gene:Dexi2A01G0006560 transcript:Dexi2A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIETHRSGAEVFSGDAICRKKSVELLEELGLPNGLLPMEDIQEFGFNRATGFMWLVQGKKKVDHTFKKIKQTVSYASEVTAFAEKGKLAKITGVKTKELMLWLSVVEVYVSEASPEKVTFKTGTGLSDSFEAAAFALGE >Dexi7B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:7B:2157631:2159043:-1 gene:Dexi7B01G0001200 transcript:Dexi7B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIHLLTLTTAFIIFAAIFRRRTSSHPGRNPTTIRISDASIARVALIDHADAFSNRPMPPFPTGRPITHSISSLPYGPRWRAVRSNLTTGILQRSRLGILATLKREAAETLVTNLSSHAGGDVVLRDFIHGAVFALVARQCFGDGIDERDMSSMLQTQKDFLESSFTKVRPQEVSSWLTRILRSWRQSPRRDGIFDRVDQVFIPPVVAARRRESQHGNDGGFRSHLDSLLELQVPNEDHEHTLRQLRDEEVAFLCWEFLGGGTLSALTCLEWMIAHLAVEPEIQNKLNREVADAQLKGTMAYDELTLPYLHAVVLESLRLHPPTPFAVRHMHIDDSIAAALGKSSVIIPPGGAAVSFVLGDIGRDGKVWMNPDEFIPERFMEGGEGKGVSLVPGPNKEIKMMPFGGGRRRCPGVVMGMSHIKCFLAELVYAFQWMSPADGGESDFVAVDGFRKMMKTPLRVRITPRSPT >Dexi4B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:4B:21857278:21858467:-1 gene:Dexi4B01G0019660 transcript:Dexi4B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPATVDLAEAARSVQLFKINAFTATKEKPGYTASRVCAIGGHYWRIEFHPKCSDWSRRYENDWIMFRVLLISKGSSGVAASFSCQLVDPTSNSTGGDMEEKFTSSLFYENKSMDVFLIRRSDLEGSLRRFVKDDCILVKCAINVLESEDDAAARAASDAVDARPSVPSSDLHQQFGELLRSQKGADITFIVAGESIPAHRSLLAARSPVFMAELFGDMKEKASPCVEIKDIEVEVFRAMLHFVYTDTVPELDHKDEQATLMAQHLLEAANRYGLERLKRICLEKACTDISVDTVATTLALAEQHGCHKLKSKCMKFIVASAENFDAVAATEGYKYLEASCPSVLTELVKHMVKGRQAAPRV >Dexi1B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:1B:17507973:17511628:-1 gene:Dexi1B01G0012770 transcript:Dexi1B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLATILTVSSSSRSPTRGAAPARRCRSIAVRRPSFPSRCRRGRGKKPNPGPTAPPLFLLPESSIPPWLDRCLHALTAAALALALALSSGPPLLTAAHASSSMGVRSPMDAAVLPAYPCEDIGRYYAGLDGLVGDELRTKLAAIVSPHAALRYKDVRGDVARSLMYMAVSYGSGQKDGTPHLELSDSPSIRKSQKAWVNEFHYENKGKDENEFVELVIHASLDPKDLMLTLYNGANGRMYHSLNLADREAFTVTEGSSNYLLYTVWTHLHNGPADGIALIYCRDMGKAEVLEFVSYEGSLIAQDGPAKGVVSTDIMFKETDESSDQDSLALTGSKIGEFAWRYMAGNATPGKLNAGQMF >Dexi9A01G0031110.1:cds pep primary_assembly:Fonio_CM05836:9A:35989379:35991186:-1 gene:Dexi9A01G0031110 transcript:Dexi9A01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLVCGGKRSKGSDDDATGWAYQYVVDPVGTAGKLGEWLCRAALQPPPPPRVCGTPGGPPVTARRVRLSDGRHLAYEESGVPKESARYKIEVAEELGVYMVAFDRAGYGESDPNPSRSVRSAATDMEELADALGLGDKFHAVGVSLGCHAVWGALRYIPHRLAGVAMLAPVVNYWWPGFPASMAAEVYARQTRGDQWALRVSHHAPGILHWWMDQRWLPTSTVVDNTTPLPNKRDAEVRRTLTADGTLEKKKEMATQQGIHESYYRDMTVMFGKWEFDPMALPEPPCPVHMWQGDEDGLVPVELQRHVAGSLGWVNYHELPGTGHFLSAVPGLGNTVLRTLFG >Dexi2A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:2A:31009451:31009704:-1 gene:Dexi2A01G0018860 transcript:Dexi2A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTATTATAPAPSHCTAAVAAGGDGSKKDQKSLTEASETVATTAGQGRRSPSAAAAANGSTIHAANVSAARARNVRRA >Dexi3A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:3A:11370279:11377570:-1 gene:Dexi3A01G0015310 transcript:Dexi3A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRAGAGGPRAQTLRDLADEGKKRAVLLLVFAFGLAFLMSRPEELVAIVNTVLGEISVRARNINLINLLTRDLVDLICNNLELYHFCQAKIGKDKFLNLPSECRDAELKMTLIEENKLHPALFSANAEYKVLQSLAEGLISVTVKPQDLQCTFFRCTSRELLACAVLRPVINLANPRFINERIESLALSRANKLEKGVEESMEDATTVKQRETSMPSIDEFSALIDHSSPGQQSVPCSTSFSQRQALLSQSENQRLIRQSATPTYSNGANHLPKSLSAEMAEHTGPEDIGVESESSYATEDDEVNNVTGLDSPVTRISLSDILTQVVGASIVKSGSGMFAVYSISVTDANGNSWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKVFLNLLQIPIVSSCIEVWDFLSVDSQTYIFTDSLSVIQTLSASLDERSNGKHGKSMNSYGALNGNFISGSQSFHGHKDHTMHNDFAASDGLRSRKGNVEKNLGNSVGNKTNSVCDTTANLYQDNSGSDPEQNDYSLSIDSVNPKKLRSSETNDTPQILGSDGFSVSPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVAKQLLQLGMGDTFDDWLVDKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPQRKTPSPPPGAQNNGMGNYLTDEQRIEAAHRANFVRELIIDKAPSPLVSLVGRKDYEKCAQDIYFFLQSPVCLKQLAFELLELLVLAAFPELDGTVRKWHEDKQQFGAMQ >Dexi3A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:3A:4478617:4486018:1 gene:Dexi3A01G0006730 transcript:Dexi3A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVSLVNFQRHVMKGCDDLVYIPTIAPKDDCAVYLEQRCAELPLSGVDLVKFPFHVQRASGSRAKRHVFNWYLENLRSGLGGCSEMSQGFRDTAAFGPGQERAASGKKTQCLLLAALGPMLSLAVSSNDNGTNTTTLGTPHPKHVAFWRIFLAFGAWAHQCVGVEQRYALNHPVLFICCSRRSLTSGGTTWRGATTSCTSPTISPKDDCVVYLEQGCTKLLSF >Dexi4A01G0022210.1:cds pep primary_assembly:Fonio_CM05836:4A:25488629:25488961:-1 gene:Dexi4A01G0022210 transcript:Dexi4A01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDPANLAIGASVHADTAKLGHGGNEGVEEGRLVGREVVDGGPGAAVGEEHLVGGEEALHLL >Dexi9A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:9A:15783878:15784144:-1 gene:Dexi9A01G0020730 transcript:Dexi9A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLPTESAPTAATGLESVDGLVLHEVVFKAGARHAAALACASMRLRDAVADDYLWRRFCAEDLGLDAPVDPGGQPLPSFQVRRS >Dexi9B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:9B:8962845:8966326:-1 gene:Dexi9B01G0013310 transcript:Dexi9B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKGHEGFGQVAAGGQGSHAAALPWWAGPQLLCGDTAPLSPEEARRDGQFQVVPGARGTPDPAPPAAAKRGSPEVLKFSVFQGNLESDDKGEKVPEHSTTIALQSPFPEYNGRFEIGLGQSMSSGRLLLPLNAPADAPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKETGSNVNQGGKLATAAPSATASPSSEPPRPQGLGNGSNPHCHSRGSVSSLSNSEVSSMYNHHEDHPSHHQYGGMEQHLRAPPFFTPLTSIMDGDHGGAAAIPSFKWAASDGCCELLKV >DexiUA01G0010990.1:cds pep primary_assembly:Fonio_CM05836:UA:21982771:21983084:1 gene:DexiUA01G0010990 transcript:DexiUA01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPATSCEAQCSYSVARASMDSAAPADDVDEEDGHDVARNCAAERDERLRAGEAGDLLDGVHGGGRHRRGSGDPPKLREEVLLEDALAVVGQVE >Dexi9A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:9A:11023551:11023931:1 gene:Dexi9A01G0016080 transcript:Dexi9A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRSLLAAVRGRASSSSSTTTAASRLRAAAPLPAAPRRRVPAFAFATARPLAAMAGSPVAVMARLTGHTTASVRACCELSQGTLFCRTCQDR >Dexi5B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:5B:2911515:2914649:-1 gene:Dexi5B01G0004300 transcript:Dexi5B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTTTPTNNGQALLDAQLDLWGSTFAYIKSMALKSALDLRIADAIHHHGGAATLHQIATAAAVHPAKIPILRRLMRALTATGVFSTAASHDDGEFVYALTLTSRLLLGRGAGSLVPITAMTLHPALVSSFFELGTWLRREMPEPSIFKLSHGQTFWEMADGDKTFDALVNDGMASDSRFVMDIAINECGEVFQGITSLIDVAGGLGGAAQDISKAFPHLECSVLDLDHVVAGAPKDTAVKYIAGDMFQSIPPANAVFLKDCVTILKNCKEAIPSRDAGGKVIIMDMVVGAGPSGSNRKEMQAVFDLYMVIINGMERDEQEWKKIIFEAGFSGYKIIPVLAPLPNDDQALLSGWRPSSSSPLTVLDPPSLVASFHQPAPLPSQSLRVKVHHYWDSRNYPHVSMG >Dexi5A01G0027370.1:cds pep primary_assembly:Fonio_CM05836:5A:30852924:30857738:-1 gene:Dexi5A01G0027370 transcript:Dexi5A01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSAAEKPSPSCCPPHTLVYVAQITHQTASSPRLHASARSRQPTTPCGGGGARGAAAAVPGVRRRRCPGCGGASAPLIYPSGMEGQAPPLLDAVEPEPAAVADELPPPPREGVAPDPLTVSDELHPPPSATGEVEGALPVAPDTVNAASSGVPEAGGVVLTDELRDQIVKQVEYYFSDENLPTDEFMLKYVKKNKKGFVPIEIIASFRRMKKLVQDITVIAAALRTSPKLVVSPDGKRVRRLHPLQHNELRDSKKSTVLVENLPPGFSLESIQEKFGTVGKIVNITIIDPELVKESSNAKKHDFVQSSKVHALVEYEAVDAAEKAVTALSDESNWRTGLKVRLLSKVPGKHNKSSKENQDTVSKKNNQNQHLKEDQQTGSEKNSVTDSMESTKDKENLNSAITTKTELQHQKSNPKGGRKGRYKGQGQIQQNTNKQGIYTLICCTECVLWDKYDFDLCQVCISGSSGSEPLSKPIPGPRMPDGTRGFTMGRGRPLPLQKFENGEE >Dexi7A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:7A:21604158:21621707:1 gene:Dexi7A01G0010930 transcript:Dexi7A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRKKKKRGAAGRKAAKDHAAQLEGDQAALDEELTALPYSDGMGFEDLSVSAILDVICFPGYPHKCPKLRIIPEKNLSKADADQLLSLLVDQASIYSREGRVMIFNLFEAAQEFLSEIAPAHISGNTASCLGSSSTTDVDVKVSLDSDRHPGVSYIYTSFDLYSQLYDDTSWSRQAPDLIMDSGRKNTGSQVKSNFRSKRKTIIEKSHVSPDKVNNVKSPSGDKAEQQRATKHVVIQEAASNLHVVAEETENDSKTLSTSNGGNTSCTPERSSGSLPETEDCDLADKAWNEEDCDSDFSSSNDSSYVSDMLDDASRNKKRDLILVHLLRIACASKDSLSASLPAISSELCNIGVLSEWAKNLISDSPTVFGETFSHVFKQQMISSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCQNKLDGRQYAVKKIRLKDRSPQVNEKILRFVLREVATLSRLQHQHVVRYYQAWVETEYGHHNILNAGGSRTAESSIFSYDDISLSDASGGNKEESTYLYIQMEYCPRYVQYVMISRTKFLKLEQLDHDQYFPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKAYLLYVLRMIQTSSFKRYEISWVHRRAVGHSTPFRFLQGDFDIIGGASPIPEAEIIKVTLDLGARFYDYKDLVIRMNHGKLAEAICSWAGVSQERRRNVAEFLSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLKGTLFYDKSACKALDDLSALLKCLRVWLVEEPITIDVLMPPSECYYTDLFFQVYSKEGNHGPNFHEKLLAVGGRYDWLMEQAWDKAYKSKPPGAVGVSIALEKFLPNYPSSDLGLPRLLPRIEPSISVLVCSRGGGGLLNERMELVAELWKANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITESGLSQTDLVKVRHLDFKREKDVKREELIKFLSDAICSQFKNPTIWSLTNGPP >Dexi9A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:9A:1969002:1969698:-1 gene:Dexi9A01G0003720 transcript:Dexi9A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKVRDDEIQAAAAQTRSVVMRTTTTTTGAIADSSSSAVCDDALRSIFARVPARTAVASMAISKHHRRLIRCPDFIDLHCRLSPPLPNPHVAYLATANVRRSGGGGGGAGVPVSGYLSFHLAGGEGLGSGNASMRSLAGPTYLGKRYVNTCNGIVLLAGKPRPATCVLWNPAVPDEAMEVTVPISSRDDCAILGLGYGLRSKTYKLLLARRPTPHVGIIRR >Dexi3B01G0032380.1:cds pep primary_assembly:Fonio_CM05836:3B:34752214:34753315:-1 gene:Dexi3B01G0032380 transcript:Dexi3B01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAAAAGTGTSIEDLPEDALLCILALLAPPDAAAAACACRRLASAASSPSLPLALALRLGVPLLRSLHRLRRLLGLWRRLPSSSSSASPHSHSHSPPAPLAAFEWAPRATLAASFLAPFSYSVSIARYPFVTLCVAESGDTVAAAAVGDVPVSVNFVGNNHIVVEALAAAEDDEEVEMVSGSPPEEMYAHFANRRSPGSGRRRRGKNGRRGGLMEPEHFVRIADPEPTRARPLQGLWKVPPPLYTELALLAM >Dexi2B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:2B:285553:286157:-1 gene:Dexi2B01G0000660 transcript:Dexi2B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSKTKKVCVIGAGVSGLASARELLREGHAVTVMEQSAGGQWLYDPAIDAGDPLGAAGVHSGVYASLRLNAPRDSMGFSDFPFFPTSDDAGGDPRRYPGHGEFLRYIRDFCHAFGLMNVVRLNTKVLNVSPRGGDDGVMRWTVRCAAEQGDDEATVEEEVFDAVVVASGQYSHPRLPTIDEI >Dexi3B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:3B:5798779:5800444:1 gene:Dexi3B01G0008190 transcript:Dexi3B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHSFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >DexiUA01G0014070.1:cds pep primary_assembly:Fonio_CM05836:UA:29924942:29927827:1 gene:DexiUA01G0014070 transcript:DexiUA01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFPVATAPFVADALTHCMPGLHCHTTSGGRATLAARAPAGLAALVAHSTAASSPTEELRASPMVGDGRVVTGGGGNHRPVLCTMDHFAIPYYFYYYCCSFCLFLALIINVVVFAHGKKPGGPNMPPGPRQLPLIGSLHHLLRGLPPHHTMHDLARRHGPIMLLKICERNLITISSAAAAREIFYDAAFEQRPTTPGIDELYTRNGMGIVFSPYGDHWRLLRRVLVMELLSSHRVDAFRRIREDEAARLVSSLMTSPQQPGWLANVGERLGEFVADSVVRAIFGDRLPDRGAFLKMMEQALDFSSIFDLRDLFPSSWLVRMLPRSRKAERSRREAVRLVGDILRHHEERRAGGGGDSEQDMIDVLLRIQKEGTMGVSLTNGVIIAVLVDVFVAAIEATTTTLQWAMAELMANPRVMNKAQSEIRHVLAGHERVHETALRDAVFLRAVIKETLRLHPPIPLAPRVCLDDRKIQGYDVPKGTPVILNLWTISRDPIYWEEPDKFAPERFEGEHTPNFLGLDFEFIPFGAGRRICPGINFSQANIEIALASLLYHFDWDLPAGVRPDDMDMADYSGVAVRRKAELLLQPITRIQPVDEYHL >Dexi5B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:5B:2222902:2224294:-1 gene:Dexi5B01G0003370 transcript:Dexi5B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPASSPSSSPGSTKVKTTPSDEPITEEVIIAQEPADRKSTSSSSSSSSSSSDSSAESLRHVDVIELGVELLPAPEYDEPAVASPPVHNAGDKPDDWAMPEDEKAAAASVPLERGAEVEPDDDRASPVDEHAAAVHSAEVKPDDWAATWPEPPPPPSVDDSFSSDTSAGAAAGPTTEAPQIQTMSKPEVAGGEFDPQRIPASVFQPTRTSLSQAEWSMASNESLFSIQGASDVGGPYATSRSHFDFFYDEAMAAAETDASKLPTVAEGTEPGELAVDSSKEFAAPGSASSRASNGSPGDKKSAAVFRRDESGSGGSSSNFSFAFPILAPTSPKKRDLISSALYQPLEKEYEQPATQLEPPVSAFVEMTTEAELRGSTGCCCCGCCWFDCSWATCCGWWRCCRSCCCCRCCSCPSFCLCSWCLCS >Dexi3B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:3B:1533610:1535739:-1 gene:Dexi3B01G0002190 transcript:Dexi3B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPAPSGGEEFTEVVVGQMDPELNEIGRQQAVVLVLDEALRERHMGYLQGLKWDDAVNKNPDVFRGFDIFKVTEGSDPDSRNQEIPGGGESLNQLNERCVSYLNKIAQEHIGERVVVVSHGAAILELCRHTDPPNSSIRRNIPNTSLNVFRVSGVTGRWILDRFGDVGHLDGNGFLENSFGGDGASA >DexiUA01G0018660.1:cds pep primary_assembly:Fonio_CM05836:UA:39427722:39429214:-1 gene:DexiUA01G0018660 transcript:DexiUA01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPIAEIDRKAAPAISTERTSESSDVDPSSGVALGNLSHLLSETAQSEELMPVLGKHRKETASLAHLRVPPKELFLDQLVSGHDNLPSCRSTGPIPNFPFQQLMCYSNEFGSKHGGSTNSLWFNQNESSCSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGIQSTQFYWDTTNPSSSSSKGSSGSNSLGFELQSTSSILENSIFPWTDLSPDKSNHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVVKAESQFNMEGICTAWSQNLQPQQHLQVVSDLYDKDLQRMSLSFENI >Dexi3B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:3B:14419850:14422965:-1 gene:Dexi3B01G0019410 transcript:Dexi3B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGGGGGSAHRASGGKDSRSSTRHRQFRDRAKNRVDDLQEMFSGLQSARKESRSADAAVLEEQVHQMLREWRAELSVPSPASSLQASTLNPSTSHFPLSCAVDRLVLIGRRGTAQNSQGNNREASNPPSETLRLLHLAVAEEEDDATSKLTAPRSPLQVPAFHQNQGHGQGDQMPNLDQQCEAVAGGAPPSQQSDQGVQGGCGQVAAVADAMFSDQMYYIDHEFSIDDFLQDDDCKINLPGSNEDHFNNLHEAGPLEHQQFDLPLDLPPNSYIEANTSEQNTEDVFVHMSDLLTTIWPSPSQYLGPKCALWDCGRPVGESEDSGDYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFSALIAKVQGKNVGIPVCGGAATSKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFAGLKRSYYMDPQPSSSHEWHLFEYEINASDALALYRLEYKCSDSKKSAKSKLASSSLNEIQQQMVRLTADSPVENKRTTRSKPKANNKNANKNVFGHVNTPSQVNAPNAYQAAPQVNQMTFLNESVVYGPHLPHSENVVYGPHLPHGYSAEGSSFFWNPRDGT >Dexi5B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:5B:3853619:3854410:1 gene:Dexi5B01G0005710 transcript:Dexi5B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPLLVYEDQHREPPENVVVYHQTILSVADGSTCTLQGAMLMVGTLSSPMPCTLWNPQTGEKTTLPAMDKPLPVSCRCLLSDAVSNPDCLVLVYSFDEPELRFCHVRGGGGAWVTQSYDIGLYEIPGLQLAPERRHINNMAAVKGKFYFLESPNVMGVFSFAQSPEPHLELSTFTATMPRFICDDPHVATLSYLLESCQELFLVCLFYPGCTFESLEEVGAYRMDFAKQEWRKVTDIGDRVLSWPR >Dexi3A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:3A:6475127:6476884:1 gene:Dexi3A01G0009290 transcript:Dexi3A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVKSAAPAASSSPPGVDVEGTEFLYECAASAAVADVAAALGALAGLQDRLLSLCRRLRARCADAGAAAAGELERALDEAEAYASKEQVKHSRFLSPRALREHIKNIKKKCATTLQEPPEALGLPESSSDSKHEGIQLWWAGKELSMDQKLCDYIGVNDKTKIVVKLTRARDER >Dexi7A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:7A:23151160:23155016:1 gene:Dexi7A01G0012960 transcript:Dexi7A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEAAEMVASAAAAAASEAKRKEGEEKREGAGILGRIWRALFGGRGEDYETRLQNLSKEEAAVLARMRRRAQFSRHGVRNLIALSVLGEKYDLDSAAKAAAASVLASKMGAETGLKVHMGGEAKSDSAQARSNDGEVAALVGLRNRKETKAEGSSYGSTTAAHTQQDTSNEAGNGGMEATPPSKVVGHYQGTGTSDGGWITKIAALLVGEDPSQSYALICGNCHMHNGLARKEDFPHVTYYCPHCHALNLSNQSIGQCSSSDSCQLSPVAPADGVSTTHPITETELSSTIEVQELSEEANAGKQPVEPAN >DexiUA01G0018730.1:cds pep primary_assembly:Fonio_CM05836:UA:39477652:39483016:1 gene:DexiUA01G0018730 transcript:DexiUA01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPDVAEDTFKNGDRSPITMDGLWSNKNPSPTKAASPSEEYSRYHPLLFLLPFAPAPIGGTSTARNGTARTRHGPMAIVLVPCPCLAYRPVALARARHENRPARPRPGSGPRQPHSPDCRRPSIPAASRCSLPPVDGRRCPLPPPSALAIDGRSWQLRRLPCCATLSMASRSRAGVERRPSARRREEQEQRMAPPPPPGVGHGAAAWSNASALAVHRQDVPCPIVPCRVHASAGPGRHDPFGHLYLHPRLELFGPPVVVLLL >Dexi5B01G0021180.1:cds pep primary_assembly:Fonio_CM05836:5B:23481521:23482138:1 gene:Dexi5B01G0021180 transcript:Dexi5B01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGKYISRRIYRDSCLFSDGCYTKDLTILGVDLAKVAIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELIELLPFLESLVDAEDVRPIISKAFHDKLDQN >Dexi3B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:3B:10190228:10190536:1 gene:Dexi3B01G0014140 transcript:Dexi3B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSATPRASAQSLAPERDHPGRWLRCGGRILAVDDRGLPGTPGAPRAGRPVQSLPRGSAATFGRAHECREAVAADVAHRRCCRTGGALPSGELLPSARLV >Dexi1B01G0029970.1:cds pep primary_assembly:Fonio_CM05836:1B:34067288:34069542:1 gene:Dexi1B01G0029970 transcript:Dexi1B01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAMGSSLLLAAKQGPSPELRKQIHTWRPPPEGMVKLNCDGGFCEHDRFYWCRSSKLLGLFPWSRNAWDPIDVTPSLFAAEQGAASLGGPASATCLLIMGGFGHQGTSRAMRWGSVGGRSSDQVAASLNQQQQIPSACCAIADSALVKHTEIFPLSSRLSVCTVSDRRSLQREICLCQHGTGGLPNNRRLQRCRALSLVVWLMA >Dexi7B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:7B:14185248:14185571:-1 gene:Dexi7B01G0006460 transcript:Dexi7B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTAAEEGRFHAHGHHHSTTPTGASPKHMRRSWSSISSAHGHGAAPKCVCAPATHAGSFKCRLHRTSSHGHGHPAYPPSPAATSAAPPPAVPPSSSRTVAAQ >Dexi4A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:4A:786488:788998:1 gene:Dexi4A01G0001220 transcript:Dexi4A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQSLNQTVLCPASIDKYIQNLTSSYTEQSNEASMVSASVIMFVLAGLFFNLNLFSGISDVSATLDPKVRLFLSSALSLLLPVMSYLFSDAKNSAKLQSSSSGELSLGALVILAWMLLVELLRKKVDEIRMRGYSGSIQRAGRVVWLGSLVFFNIKTSGRKAVFGILWILCATRVVQRIAFTEIGKYSYAHGKNARLITSYMAKILKHQEQRPAVTADAHGDIEQAAQVHDGHERLKSCRYIVMGEESLAPEPTADGYKIDTASIDGDSGIITVGKVWKLDEDNNNVLFTSPDQVRRLKRLCLSFALFKLLRRKFEHLPAVTGEEADDCKDLIFRGLLHNNHSSSNNGGNNGISAAEELFQVINDEVIFLSEYYHSVVPVVLASPFFLLVNYFLVLFVVAILCVMTVILCGNGDVFYAFNSVVADNYTFHSGIGKIAICLIIKAKNSPEAFFSIVDLSITILLFIIYFYEEIWEFFVFVLSNWFMVSLVCSYMARPRQWRDSPYIRYAVHRIIWLRSKLNDGSSLSFRQLSVLSLRWPLGAQLCSPFSLLLKKELVPRNLKESIVDRLMEHDRLAGTVYYVPLTNGKSALHRNFLFDKLSWACQSDSVSEVFLTWHIATSILEVLVECSNDRQEEEDEAVASWRRAATWVSKYCAYLVIFHRELLPDNPEKAERVVDGMTAELAGIFWFWEFYLCSQLARVNKIMKAAAEGHSDGDPGQDGGGVVRNGARLGRLLAEVAGSHGRGTVWKAVADVWTELVVFAAPSGEEERVKGHGDVLVQGGEFITVLWALATHAGVSRDSGGGGGMPAVAKTLEDLMGESMRAPPPPVAAVEII >Dexi7B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:7B:20733388:20739236:1 gene:Dexi7B01G0014530 transcript:Dexi7B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGNPNYYGRGPPPPLQHHQQPPPPSQAHHQQYVQRQPQPQPSQHLNQQQQQWLRRNQIASEAAGASAPRAPPAVDGIDSSSQDWKAQLKLPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >Dexi3B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:3B:2206067:2209411:-1 gene:Dexi3B01G0003410 transcript:Dexi3B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAQAALPALLPTPPKLKMMMPPLLPTPPCAVVIPMSSPPRKPSRADADQNWVASKSATKCSSNPGRASSCERWDSNKKSAAASSSSSSSSGSSSASRASSSEKWDSNNNKRRPVVTASRASSVERWDAHKKQKPRQPPQQQAADGVDDDGQSSMVATTTWISGLSDAEGDAVRVPAMHTYAALPALLPTPPCVVILPMSSPPKPSRADAVGRWDAHKTVVRPAASSASSLSGSSKRTTRRASSCEERRVSRASSAERWDAHKKPRTPQQQQAADGIDYDDGQSSTGSNDDMGFFAGPGFIASPEASMLPVPTSLMVRVAAPTRSWVTYALGYSIAKWTGRWSPDACTRLQGDVLLVGPRTHSGDSARALAS >Dexi9B01G0023760.1:cds pep primary_assembly:Fonio_CM05836:9B:19228113:19228410:1 gene:Dexi9B01G0023760 transcript:Dexi9B01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRRCRRIISPGFFLSPVAIYSDANGEAGETCVEVQGRRIIVFFRLRHGGRSWPMRLGGSVLGICA >Dexi2A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:2A:12133271:12141098:-1 gene:Dexi2A01G0010810 transcript:Dexi2A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGNPLTPSSGICYRRRKKSAESNISVFTPGVATYSSGHALPVTNEVPSPEFGSASKEWDTIQKRTVQMRSIARNEVQMMSLLSSWERQTENSVIAGKMAGCKLNEPTEQKEKTDIIVLDSDDEDDKNSGYNKFAPKINKELITSELVSFQKVTSELGSNITKWVSSNGMSQAFETLHDGDKASQIVAYGQHEALANKLPSQGSWQPSIQFERVVLQKRPEEQRMQALAAVNITEKRVETQVFPSLPMEKKRRRPDPSLHLDTVDEAANEKEDNEKMEDINCNHDIRIHDDLGHVKGWHVGKEIFIISGSTTQQDRELLVDQFNNSADAKVLFGSIKACGEGISIVGASRVVILDVHLNPAVTRQAIGRAFRPGQQKKVFVYRLIAADSAEEKHHKTAFKKEVIQKLWFEWSEHCTTEDFKLVQVDIDESMDELLDTKAMLQDIKALYKR >Dexi6B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:6B:18695871:18698684:-1 gene:Dexi6B01G0011480 transcript:Dexi6B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHVGVEYGGARRGGGGGGAQMLLFGGGGSANSNGFFRGVPMAVLGMDDASRVGKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQLLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDYDRLKAAYDALAADHQGLLADNDSLRAQVISLTEKLQGTGTSPLATTATQEVDQQDEHTAASGTEKLLAHQLKDDLFSSGDCTGHGALSSEEEDGGVVSDEGCSFDLPDALFGAGEGTHHGAEEAQLCNWTSWFWNN >Dexi8B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:8B:119002:121022:-1 gene:Dexi8B01G0000130 transcript:Dexi8B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDRVDGGLDGVDVRGQVEACIGEQGAQQVYGAVDQNIESASRRTSQPRLVDAEQNAAGVRLGYGTERANDLEHGGAVKAIKNEHRGVMDGLDADGDAQHVMKRDLEPWEIVAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQMIVFSILRNEGPIVLFKGAIPRFFWIAPLGAMNFAGYELAKKVMIKDETESRE >Dexi5B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:5B:12777812:12782228:1 gene:Dexi5B01G0015540 transcript:Dexi5B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTTESGSFSRSSSFGGFEEWVALVRKRNGKPSCGRLAIRSSASSDVLHADPDINVIDPDPCDQVPEARLWERLGRVSMMDIESSSFSWSYLTSLHHTKHTATSTDPSEDDINRSFEVTVNSGGVVFIALFRATENVDLPSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHSSSFEWQQIKEAVENARHVSIAAGDELEELICAEMLEALELSRCLFLMNYVHGSPLLENTVPFASREAAEKTADALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVANKEAYANLDSLDDVYDSAIIRYKPEIVRSPQRQKQRRAVSISGSIGSDVSDLMLEDSYAPSEPEFSSFNIVAIDSGVPRRPPAGKRAKDQESYPKLVELTLNNFDYSSKLLYEVSLGKLGIPGPEEFDVSSDNSYSCPLSESDMIPIVHSFRGGFRSALRDLQRFHIFLITVYQKLDGLLKIFFNLMYKCSNEYDKEDAGTSDSPLCSVEGQADSNDTDVPRNLRKPSRTLSRDNLDLSSPSCRESFITKHFKGNGDASRGLRLTMKLRDFNKYAKVKTFEVWVHFFCTRKCMIWVDSELSKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGTENSTAVDAYELKVRLEHILERISLISDAASTERPSQVTDYLYIGGALTARSKNTLKHLGITHILCLCANEIGQSESQQPGLFDYRNFSIKDDDNADIGDVFQDACDFIDYVNHLHSKVLVHCFEGKSRSATVVLAYLMLRKNCTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWQHKRPAMKVCPICGKNAGLSSSSLKLHLQKAHKKISSGSVDSAMSLEIQKALEAIKAGRGGNDSPTQKSQSHIEGF >Dexi6A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:6A:8649340:8655684:-1 gene:Dexi6A01G0008370 transcript:Dexi6A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding RASEQDQRSSHSQSRTARPGVGVTLSLGEPRAHTSVTVKTASPRRHPTLVPLSFPSEPPRSPRRQKLKLLHADEAPRSSLGAKRPAIAASQGPVAVTASRFATETARGRHAFEIASYSVLKGFRQGDFVQSATFAVGGIDWCINYLPNGNTVHMAGDTDDDDYNYYTDHSDLERERIEEEEENLEYISLYLEHPSGTAAASVLFDLRVVNPVTGLSSPPQSFSLLFDHRNTFWGSARFIKKSDLEASYVGDDRLVIECIITVIIQGPVSQPKIDLEIKVPPSNMLDNLGELLESGKRSDVTFKVKEEVIHAHKFVLAMRSPVFEAELYGPMTKDKKRQSITVEDMEPDVFMALLWFIYTDSLPAMEDLDLAENEDLVKHLLVTAAVHEVNYDHKQGLQDENDIKALFQWERSPNAATIAAPPHVLRQPPPPTPFPPPPLAPFPPPLGPPPLLPAAKARSNLPSSSAVKPLSAATVPPPPSAMAPSERPTRKTVSRTFIESAAFAVGGHEWCLRFYPNGDGWEDTEGFKDSVSLYLAILGVDEAEGDDDGDDEATTKVRALYDFRFVNAATGVSTSVYGGDHVFRSGCTTWGSGNLMEKSELQASYLRDDRLVIECDVTVVKLTERSKPVAVCDIQVPPSALLDDLGKLLGSEVGADVRFEVKSEVFYAHKIVLAARSPVLMAELYGPMSDKNMKTITIEDMQPAVFKALLHFIYKDSLPAMDDLDTHEDEEMIKHLLVAADRYGIERMKVMCERILGEIFDVEGVARTLALADQRHCTQLKDACIRFLSSSNRSGDVFASQGYAHLKRACPAVIVEIWEKSAKTHKMF >Dexi1A01G0023340.1:cds pep primary_assembly:Fonio_CM05836:1A:29985563:29988072:1 gene:Dexi1A01G0023340 transcript:Dexi1A01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDFLPPIVSRNNASATPASPPPSASPNTTVVSSDRNGVVTGLAVGLGVVGALWLLQMLLLVCLCRRLKAKGRRGDAVVSGDGVEGGRFPKSSSGGGGGGGERFLVSDISEWLDKYRVFKVEELERGTGGFDDAHLINGSVYKANIGGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSATGDCYLVYEYVENGSLDLWLLDRDRARRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAADERGEPLWADAEDRVFRGRDERLEARVAAWMDPALADQTCPPVSVASVVSVARACLHKDPAKRPSMVDVAYTLSKADEHFADYSGESVSVDGSGEIAAR >Dexi9B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:9B:12917075:12921162:-1 gene:Dexi9B01G0018190 transcript:Dexi9B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGASESSLACARCGKPAVLQCPKCVELKLPRESAAFCPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARGPSMKSFAMEFQMQG >Dexi9A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:9A:2364317:2364661:-1 gene:Dexi9A01G0004410 transcript:Dexi9A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWVVGVARGSAAAWQRVACNPEILPPDRVLALLCCAPLHLLARLAAFLCVPFLPGLARAPLRFRRRRAFLVLRPPEHVPRPFTYSSSSSSSSSSSSSDEDDDDIHEHVD >Dexi2B01G0021300.1:cds pep primary_assembly:Fonio_CM05836:2B:31131507:31131743:1 gene:Dexi2B01G0021300 transcript:Dexi2B01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRRRAPCGRSQRRVKDWRMLVAGQGTAVSGSPLAGSPRADIATGEGRGTPGRADGVWEGEAAKGQGRLKGWRRS >Dexi2A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:2A:175467:176003:-1 gene:Dexi2A01G0000300 transcript:Dexi2A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCYVGKATKIFLALLALLAVVGIVLAFRAVLHRSARSSPSSASETACAAADECQPFLPGPAVAQPATAARPPPTTTPEQNPTFPSPNTAWPPPSPMPVPTLQPPPMLVPPPQLPPPAAAVPPPLVFPSPPPPPPEIASPPPASATPPPPVDLLTPPPALPSPSPPAAPEAPSPTAS >Dexi3A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:3A:5663595:5664712:-1 gene:Dexi3A01G0008130 transcript:Dexi3A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPASTAAVLGLLCMMALAASAQQPGNMQKDQDFCIVSDAGLHINAHFIGKRNPSMSRDFTWIQALGIRFAHHHLYVGAAKTAHWDAAADHIVLAFDDDGEESISLPATVGARWSPPTAPELSVTRTAQANTVVVELRGVFRIMANVVPITAEDSRVHGYGVTDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRTDYVNRLNVTAKMPVMGGADSFVSSGIFETDCAVARFGRSSGGGAAASKAAGGIAMVTDAKYL >Dexi5A01G0024160.1:cds pep primary_assembly:Fonio_CM05836:5A:28064303:28068474:1 gene:Dexi5A01G0024160 transcript:Dexi5A01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSFLLPNLTVIRGWMQQRGRSYNSRARSFSRALNARLAVMDADTAVSANGGSSEVEERKAQRSYWEEHSRDLTIEAMMLDSRAAELDREERPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVFAMDFIESVIKKNESINGHYKNTSFMCADVTSQDLMIEENSVDLIFSNWLLMYLSDEEIDKLVERMVKWLKVGGYIFFRESCFHQSGDLERKVNPTHYRDPRFYTKIFKECQSFNQDGTSFKLSLVTFKCIGAYVDIKKEQNQICWLWKKVDSSEDGGFQSFLDSVQYKANSILRYERIFGDGYVSTGGSETTKEFVGRLDLKPGQKVLDVGCGIGGGDFYMAEEYDTHVVGIDLSINMILFALERAVGRKCSVEFEVADCTTKTYPDHTFDVIYSRDTILHIQDKPSLFRSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKNAGFSHVIAEDRSDQFLRILQKELDKFEKNKDDFLSDFSQEDYEDIVNGWKSKVQRSSAGEQRWGLFIATK >Dexi6A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:6A:28586107:28586417:-1 gene:Dexi6A01G0021290 transcript:Dexi6A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRSTFRYAPPVPLASSPLFSRAGGVARSAPRLRSAAALIVQRVLPPPRRPFSDDELRSNAPQVVTCNDYQREVAVTQTIAGKQFDRVFTFDKV >Dexi2B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:2B:28478334:28480716:-1 gene:Dexi2B01G0018110 transcript:Dexi2B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSAVSVSFLHYLPGIFASLAALMFNCVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTNKGPSVWTGVAGVLQCVLVLISGLIYWTCHSEE >Dexi3A01G0035280.1:cds pep primary_assembly:Fonio_CM05836:3A:40620940:40622810:-1 gene:Dexi3A01G0035280 transcript:Dexi3A01G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDGLRRRGCCGGGGSLFPEESFQSWSSYGRALLETGPRLRDRVTARSLDSAELHEVRGRSGADMKRTLTWWDLIWFGIGAVIGAGIFVLTGQEAKEAAGPAVVVSYAVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHATSLADDYSRLDPIAVAVTILICVFAVVSTKGSSRFNYVLSIVHLAVIVFIVVAGLTHANAANLAADFAPFGARGIFAASAVLFFAYIGFDAVSTMAEETKNPARDIPVGLVGAMTLTTAVYCVLALVLCSMQHYTDIDVDAPFSVAFTAAGMDWAKYIVAFGALKGMTTVLLVGAVGQARYLTHIARTHMVPPCLATVSARFGTPVNATVAMAFATAVIALFTDLGILSNLLSISTLFIFTLVAIALLVRRYYAAGETSPSYRNKMIGCLAVIVASSVATAAYWGATSSGDGGGWVAYVVTGAAWLAATAFLQWGVPKARSPAKWGVPLVPWLPAASVFINVFLLGSIDAASFVRFGVWTAALLVYYFLFGLHASYDTAKAIAAEAEVAGVEEGARKAVVDGGGGH >Dexi3B01G0027570.1:cds pep primary_assembly:Fonio_CM05836:3B:23210570:23210956:-1 gene:Dexi3B01G0027570 transcript:Dexi3B01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQESRKELDRKAREGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRREQLGQEGYSEMGKKGGLSSNDESGGERAAREGVDIDESKFTTKS >Dexi2B01G0019870.1:cds pep primary_assembly:Fonio_CM05836:2B:30033736:30034152:1 gene:Dexi2B01G0019870 transcript:Dexi2B01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVQSFVEDEGDFNSCVDARFAALDADRDGRLSYAEMAGELMALRVREAHFGADAPAPAAELAELYGALFARFDRDGDGAVGPDEFRAEMREVMLAVASGLGVLPVQMVVEEGSLLRRAVDRELAAAMPA >Dexi1B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:1B:4582429:4591922:-1 gene:Dexi1B01G0005600 transcript:Dexi1B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGESPCLWIEQEEEEEGGAGGGGGARKWRRGPWRGAREDGGGMEEAAAAPAQSDAGNEFGGEMGIYSKFTQQELPACKPILTPKWVISVFVLIGVIFVPIGVASLRASQQVVEIVDRYDDACVPANVTDKLAYIQDKSIQKSCTRTLTVTKDMNQPIFVYYQLDNFYQNHRRYVKSRSDDQLRDKSKAAVTTNCDPEATLNGKPIVPCGLIAWSLFNDTYNLILNNDNLTVDKKDISWKSDREHKFGSDVFPQNFQQGPLIGGKTLDPNVSLSEQEDLIVWMRTAALPTFRKLYGRIHVDLKVNDTITVHLENNYNTYSFGGKKKLVLSTTTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKSGDNNYLSWNRPPVGR >Dexi6B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:6B:22289590:22290479:-1 gene:Dexi6B01G0014860 transcript:Dexi6B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVDIAVAANGRLQLGQSSVCASRRGKPSRTVVPAEHHPPDPVPPPPAFWQSAAAGRALAAWPKRSAVAGEQALARLAGEQAAAAAAVADAASLERDHCSGGSIEVGIEGGFAKRIRKESPRVGDEARGVGEGERAGAVGVGAVEQEGEAAIVAGGWDGGVEAKDCVRIGAPRREAPALGGEEGFRGGEEVLGARCARVGGECLRDELLAALEVARHQELLGDAHRPLRLPPPGSGRIHGLDGAAGAQGRRGFDCGSLEGE >Dexi6A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:6A:1098464:1101511:1 gene:Dexi6A01G0001250 transcript:Dexi6A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVIAQQLRLDDKTMAMFDEQDEEDDFNGVDLRSREAIRSVAVVIDKILRGSRFMMIFINGSDKEVGLGGADCTATRRWALFLNSVQVIDLYYTDWFEILSMEKIKLMANLMELNTEGVRWTQWISSHQIQKKLSNLQRLRINMSMYDEATETETSDTSDSFLLMDNTSLEILDLSGNNSNRVMGRNLAASISEAGRLQVLILHGCYGLGDVVLSNNSSLKSFSLDGYGPRESHLTSTVEIPPEIMSRPKQLPTYADKKNGAFKTNVVSLQGCGRLEKLFLRGLPNLVELDLSGCAINVHDFGSMVVDVPMLKRLFLIGCEHLRAIKWGLDDMQVAQKLQLMCIDTRSGSGKVLGCAHAPSLSAQHKSFRLQVHAIITDARQCRVERCPNLHAVFPPRTIDYKGMMETIWASDLLTARCVWGRGGIGDDSNHLARLQHLHLHCCPSFQFTLAMSRRPSFPSLKTLHIIHCGDLGHVFIPKDEKNKHTSVQFLKLTTIHLHDLPALRQICEGAMMLAPTLETIKIRGCSNLRQLPVLKGREARMKKPTVEMEKDVWDALEWDGVDAGHHPSLYDVPVHSRYYKKRMLRRTVLR >Dexi3B01G0027420.1:cds pep primary_assembly:Fonio_CM05836:3B:22960990:22961622:-1 gene:Dexi3B01G0027420 transcript:Dexi3B01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQIYGQCAEEFRLNAEGAFHVQRNEVDEYCGGPCLEETKLALQCVEEVAAESFRFSNGASLLALNPDASAGTFEIRERKDCVSGADESYYHKTRDHVQEKQVAGRYYGEGGEQPYEQGVGGYGEGEEHCYDYGDAAGLAERRGLLQMMVPVLFASAALLLKL >Dexi5A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:5A:3321412:3323771:-1 gene:Dexi5A01G0004380 transcript:Dexi5A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVAKRTSVFDDPTVEIQELTAVIKKDITALNTAVVDLQALCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENMKVHENRRQMFSSSAAKDASNPFVRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSQPFMQQQQLATQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >Dexi2B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:2B:24513747:24514265:1 gene:Dexi2B01G0014690 transcript:Dexi2B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMCTARAGFLSIDCGLDDQYSGYEDPSGGGGIVYVSDGHYTDAGENLKVGPEYGLASWLTDNKSTTYRVNYVEATIPSPPFSR >Dexi8A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:8A:29955798:29958160:1 gene:Dexi8A01G0017820 transcript:Dexi8A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSFQGRPTFSSVIIAARDEQRKIRTTGLPHLMHGKVKDNHSDALEKQHSRRELSAQQEKQLNEEERGKTQQKAKYIEKLEDLNICPLVQSQKSNGTPGKSADSHEYGGWNASRNKHSDSAEDISFNISGHGWEDHPAVESLPVMINSHQGIHVFL >Dexi7A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:7A:26085854:26089893:1 gene:Dexi7A01G0016160 transcript:Dexi7A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLRAFAAASAVPAAAAAAYALSSSSSSSSSGPSTSKLRFPLPASFLSASASSTSGRAPNAVPPMAAAAAATADLSAPDKESAALPELTTEFMVDMKCEGCVTSVKNKLQTLEGIKNIEVDLPNQVVRVLGSLPVKTMLDALHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVVFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTRGPESTGKVYNPPDYVSDKAVGDLGTLEAGENREAHFSGSKEKLRVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >Dexi7B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:7B:5505457:5508513:1 gene:Dexi7B01G0002810 transcript:Dexi7B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSAGVAMAWNVFRFCTALRGLGSIMILLVLAIIGVTYYAVVVCNYGPALLVGGGTTLSALAVLLLFHLLLAMLLWSYFSVVFTDPGSVPPNWNLDFDVETGETAPLASSEFSSQMNSQHSVALGNTGNPRVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCIGALNYKHFLLFLVFGNDRKYWFIPAYSEEDLRRIPALQGLDYPVRSDFGGQEL >Dexi9A01G0010050.1:cds pep primary_assembly:Fonio_CM05836:9A:6109462:6117181:-1 gene:Dexi9A01G0010050 transcript:Dexi9A01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRWGPPPQAARRGRDPRRRGAGVKAGGGRGGRIRAGSPDEAEARGRGVAGEAVNGSGGALRAAAYMEAGAPPRGGRGRVLWLVSVENRVSSSVIEMNCAFVLALTISFYSFLVLQIVLILVWTQGACADSGESKALFPQDVEAGEKDVYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHEPHGKAEHVRGRHLLGLSSSRAPQRNVRRPIRIYLNYDAVGHSPDRDCKRVGDIVKLGEPPVPSAPGTPTCDPHGDPPLVGDCWYNCTLEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHVYVEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQTLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSRMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFAISPCNSWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQSGGPVQFPGFNVLTVTALMGPVTASLGFMVVTAAEDLAQTIALAMVYAKLMGSVNVKVDGQGLTVQQRYVMNNVVCMEGYATMISIQRCDEDGDNRLR >Dexi9A01G0035950.1:cds pep primary_assembly:Fonio_CM05836:9A:40509788:40515130:1 gene:Dexi9A01G0035950 transcript:Dexi9A01G0035950.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGRVRFSSERPEGLAWPEPGGGTTVPACMEPKMESESLNLEDNGDNQPSSEASLASDVIYDDSPVPPCIGSEHQAEIPNLATEDERRELLAGSLNGYPTVVGLALPIMWASPSAVNKKEEELQMQKVSESGTRSSSRDVQSQVFKSFSDSQTSLMEFVFSLKSIVGTDGFVEAVGVGKGKHDLTGFIMDQSKPNQALPVHSDLPTGKDCSSLTVEDIIKFLTGDFRRSKTRSNDIFWEAVWPRLLAKGWHSEQPDDASSTKNCLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKWVVATPSLIDLGVDRLDHGSTSEKNGSITDMKLNQESLLDGYPELPKFTIIDTSLVEGEEPFTVRELRKLPADANVSFAHHASNMVSYSSSEEEYANDILSDDQEDCGGAIVEVKEIEMVSVGSLRNMVTANGHSNGNGDKIDLTGIYGSKTKPERRKYLSPVSKRRRLTSCSSEQSSRRSFSFSKGGSLEKEKSKTLSSSKPAAVDVGDTFQTKRTAGYSTKEKPSGQKTDASNSATNVGQNERRVMENLIDDKSFDYKADAGTEIHSKITADEPMYAKQRAHVSVPIDSKKLKTPHGRASGIAGVTSSENQSGMKAGEAPSISNSNMAHDPSEATGKPVSQQPEPALEANPRRHGTRNRPPTARALEAVAFGLLGSGKRKGDPKNMATSRPSQRARKATRDPEAHP >Dexi9A01G0030370.1:cds pep primary_assembly:Fonio_CM05836:9A:35404722:35406421:1 gene:Dexi9A01G0030370 transcript:Dexi9A01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVARPDTLADGSANLMIWNCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >Dexi6A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:6A:4956473:4956943:-1 gene:Dexi6A01G0005480 transcript:Dexi6A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAMTGWPVGMSAGEAAALKPVPSGLSTKRRPKRRFHEKGLREREAPSGLTKKGPSSKKTATAMKTITTIRSGGAIAE >Dexi3B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:3B:1346565:1347435:-1 gene:Dexi3B01G0001910 transcript:Dexi3B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQQLSKQQIEEFREAFSLFDKDGDGEGTRSWKTMGVTQLMRRPSAPPVQSSETHSVTFVSS >Dexi4B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:4B:7274515:7276640:1 gene:Dexi4B01G0009990 transcript:Dexi4B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTLAGRAGPRLTPPLAGLLYRGYAPPPSAAAASDDLVIDEDPPSATTAAAAATMAANVPTVLQPRVLIYDGVCHLCHRGVKWVIRADKHAKIKFCCVQSKAAEPYLRLVGMDREDVLRRVLFVEGPDACYEGSTAALKVASYLPLPYSVLSSLLIVPTPLRDALYDYIAKNRYDWFGKDDECIATKDKDILERFIDREEILGGGPDTSFF >Dexi3B01G0030240.1:cds pep primary_assembly:Fonio_CM05836:3B:29753984:29755366:1 gene:Dexi3B01G0030240 transcript:Dexi3B01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDPEAGLLPPHQRDLSFRLSTSNSTFRRPLIIQKVPSSVRGGLDEHYFVPDVVSIGPYHHRAAPHLVEMEQVKEAVAHEFCRSAVKGTKLATLSLSTSAVDLFLDAVRPVLPEARLCYAADVFGGRAIADNDFANMMVVDGCFLLAVVAILTKDYPDELEHWSWTHGRMLRIMKDILLFENQIPWAVVRALMSLRPVRVDVFVAKVLAYLDVHSREPRFDQTPWHKLRPVHLLDLVHQRHLGGPPPAADGHVRYCDYARPFAHFTSAVELAEAGIRIHGSGTCRVTDVRVEPTPAAAAAALRIGRLALPQLALSWLPRCWLINMVALECVTDRSDQSGVSSYLAILGSLIRTERDVEELRKRRILFSTMSDQRTAEFFEVLMDPLPRQELYLRTLEGIVQLRATRRTRSRLHAVYYRNRRIILAAAPLLSLLVAIIGIALTNSLKRKSSA >Dexi2B01G0031810.1:cds pep primary_assembly:Fonio_CM05836:2B:39810821:39811570:-1 gene:Dexi2B01G0031810 transcript:Dexi2B01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVTCTVKCRPHHPRLAPQPPAASLELLAARGAAPAAAELRASSTRCRTGPSLSFSRSAAEPAEPRPPCRGSPSARRARVAVASASSAGDDGEGGAPALILAGALSRYAISRDDLVRRAFAVAEAAHRGQVRANGDPYLEHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLDYGFISDQFGAAVTDLVKGTTHARE >Dexi1A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:1A:14986374:14990801:1 gene:Dexi1A01G0012960 transcript:Dexi1A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGGEVAWRFGKVNPSLEAASAVSIRALVHGLYNCVDRSDPRPLAPLGHGDPSPFACFRAAAAAEEAVAAAATSGKYNSYPTAAGLTEACSAVASYLSRYLPYELSTGDIVLTSGCNHAIEVMIANVLLPRPGYPLYEARAALSGLEFRHYDLLPEKGWEVDIEGVEALADDNTVAIVIVNPNNPCGSVYSYEHLTKIFEAIIIYRGISVDPATIVQGAIPQIIASTDDTFFRNAMNMMREAAEICYQKLKGIECITCPHKPEGSMFVMVKLDMSYFDGIDDDINFCTKLAKEESVVICPGSGLGMKNWLRITFAVDPSLLEDGLERLKSFCLRHTHRKQKQAPL >Dexi9B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:9B:13550411:13555482:-1 gene:Dexi9B01G0019000 transcript:Dexi9B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRSVPLTAGQPAPTPHPHGVQCLLQTLAAVHSSQQSPIADTRPRLVQISRLFAWRPCSPDRRPPLLLLSLGPSVVRSGAAPPRAAAACPASTMKPPTAATRDASGSNSGSSASKRRLFLEPVLPVNTSTLPPTATPGSRRSRASQDLGLCVSNSSASASPTPASSPPTDTPSLPSIGGSGGGASDGGGSSGNAGGGGSVVAGGGGSSVVAAGGGVDKKIGSVVGDLEVIEAIASKLAPQDDDVADSDEEVAEAETKRQGPCPVASVLGDDNLLAEILHRLDSPTWLVRAALVSTRWLSSASNPDFLRRFRERCPPRILALCLAEHGEFQVPGPPELAAAARRALATLARSDVRDGLNGRLLVEIDDGDPVTYNTYAIRSLLHLARDRPLPSPPNSISGSLVRGGFGRCQCRFLRLLECEDNGGDDISCVCFDLAYDSVELCVEFSILRSGVWGAQHCPVTEFRQDTMDTLGAQMLLVGSKFYMMTTLGYILGLDLTTASFFTVQLPDELLNNRTLKFSRAQDFGLYLVGAKGFQLCVWHSDGVGQFVLVDTISVREACGHLNVRKWKPHDGCRAPVKVALVGDNAEFMMFDIVASAIVCCMQVRNRVVEKVAERVLLHKKTFRPITMVWPPIFPVRDKEVKNSESSYP >Dexi2B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:2B:5585062:5591131:-1 gene:Dexi2B01G0005980 transcript:Dexi2B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNYIGQGEALGNQASIVVGELFVALGNQASIAVDRLLVPPAAAAKADGRSIDYVKGLLDEWEIHCLILLSFSLQVILLLTAGMRRQSTGWVLRTILWLTYLLADSVAIFVLGHLAVNASKPSHHLMFFWPPFLLVHLGGQDTITAFSKEDNDLWKRHLLSLVFQAVVAGYVVGKAHWRDQRLKAAMVLMFLSGCFKYAERTWCLFVSSTAWFRLLCLNRLSTMKSGYQLDGGGYYVPGVSTKEKMRKILDLMKGGRSKSQQATELGIIQGSVLNFMSVDAPINELQTTRAKDVLPDMLKGFLYSEGRSCGPAYEFVGACLVHCYQFLYTKYPLRTQFWSVVFNPAACCEMPLAIQIPFLLCTLFQYVASAISLVLFMAARKGHDSSRADIIVSYILLVGAVVLDMSSVAMSILDVGAFLPGGGKIKSSILHLASYFQTFGCGKQWSEELAQYSMVKRHIKQDKCMASIKQWIDNKCLSRIPVLHVRLFEVAHVSLTEDMKEFILDELVGCGTRKEWDIASSYARGKEKPTSVVLIKKAKKIEASNSGSAKKSRKGEASTSGSDDQMKKLKQMSRQLSNYIMYLVFKCRVMLTDHSQLVHDNTHEEIEALIGKDSLLSEEDAIDKLHSNNRSDLHTSSVLHQARELAKELNRINYETDRWGLITSVWAEMLYYTTPRCGGAFHYEHLATGGEFATHVLLLMNFLGPFLPGPDV >Dexi8A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:8A:19930950:19934210:-1 gene:Dexi8A01G0011300 transcript:Dexi8A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVSVEEVRKAQRASGPATVLAIGTATPANCVYQADYPDYYFRITQSEHLTDLKEKFKRMCDKSMIRKRYMHLDEEFLKKNPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPDELVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDWNFIFWVAHPGGPAILDQVEAKVSLDKARMRATRHVLSEYGNMSSACVLFILDEMRKRSAEEGQATTGEGFDWGVLFGFGPGLTVETVVLHSVPITAGTTA >Dexi5B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:5B:9421696:9423117:-1 gene:Dexi5B01G0013270 transcript:Dexi5B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAASPAPAPAAEEPRSDASLLDALGGEVLAVMSPVSICMALVVLLISLLSPPSSGSAAGGSPPPVTAATLVYLESPTDTPAQKFVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVIFSMGGAIAAAVLRRLAAPLDAPTAFLLLFNGAAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPATSSSSYASAMGSVEMQTMADSGRVGGSRYDRVEQDEDASSAVVEMRDLGRGRSNIGETNRSGGSVLPVTSTDLPSNQIGSSQHAVIQIEQSEEAETAPLVSAASSNNAASDEEQRQSSSSEPMDFEMFESTRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLGIIAGLGCTLILLSICRHALPALPISIMLGVTFYFLTRLLMEPFVVGASTNLVMF >Dexi5B01G0021620.1:cds pep primary_assembly:Fonio_CM05836:5B:23907366:23907969:-1 gene:Dexi5B01G0021620 transcript:Dexi5B01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASLLARRLLLSRRFLSSPLRPFSTATTPSSSSSTTSPSFNGSDAEPEPEHEHDQAPGDQDRQQAANHPRPPNTTRPLENGLDPGVYKAIMVGKVGQEPMQKRLRNGRTVVLFSLGTGGIRNNRRPLDHEEPHQYADRCSVQWHRVCVYPERLGTLALNHVKTG >DexiUA01G0010310.1:cds pep primary_assembly:Fonio_CM05836:UA:20521135:20522697:-1 gene:DexiUA01G0010310 transcript:DexiUA01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAAAGAGSGADFPDALPSPTSSAAAPSHPSPGRHYYLAVDRNQFKMRTLLELLGVVSDRRGGLPIAICVSSRDELDAVCAAIANLPFVSMSPLQYSDQAEAERASILEKFRQETILWNQTTKATAIAESSTTENGIVINMVVGGEVATLKALEENSGLLIAEMPIHVSEIL >Dexi3B01G0022840.1:cds pep primary_assembly:Fonio_CM05836:3B:17548655:17550160:1 gene:Dexi3B01G0022840 transcript:Dexi3B01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTECSDVGEEFWLPEEFIDDDFFSEEEKAAVAARSESDEEDSLVGLSRRLAGLLGDSGERKPPAKGEVTVGSPQSTLCGLPKSGQESPNGVASKGTSPPSSPLEQKPADPWELLYEAADQVARIRAVGNSIPVPTNTYGFGSHGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALISRSNAMLANQKRRAAAAMSSPALSHSS >Dexi3B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:3B:13391259:13392029:-1 gene:Dexi3B01G0018070 transcript:Dexi3B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPRGTPVGRRRPLRDPQHHHLLRPVHRRRHQRRVRQGRPDQGARAVRSGGGRRRSCSRWTAALSASAGGAADISTPSACTSRRRARRGCTTG >Dexi9B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:9B:8288710:8289740:-1 gene:Dexi9B01G0012360 transcript:Dexi9B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKGKVDGDGPSRERTINWDDGQTKFMLDWCIDYMKNQHAGFKFKKQHHMKCADALNKEFGMGVTVAQVDRHFRHYKENWKYVSKALSNSGNGFDSTRCMVTISESEKENLNDRARRLLSKPIKFFYEMKELFAGTNADGSFAADQDTCMNDRDDSESDDSQGLNDMSGYALPEDITGDDSDTLPSPLSHKPGGESSSNTSRAGIKRPRGCKSPSKKPKKAKSRFSQTTEQLNSTLLSLQKFLNAPAPQVLQPSNPHASLWERLEAMTITTDDKITVGQYLAHNERKGLRDFLSNASDTTLQTWVYKFLTGENY >Dexi4B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:4B:5324421:5324630:1 gene:Dexi4B01G0007440 transcript:Dexi4B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPDPAKFALCIAVGCAQIMESLLGFAATGNDRAIAAANMLALVVLAGYLLVVSRVFGACAAAANII >Dexi5B01G0029500.1:cds pep primary_assembly:Fonio_CM05836:5B:30741732:30742144:1 gene:Dexi5B01G0029500 transcript:Dexi5B01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTREPSSVAFLTSTTRDFLKKTESPVDGDHDPATASDGAFDLRREPGRKERAGDRAGVIAERNVKGAVEEWQIVRVRSAHEGREVDEIDARDVPHAGRVERVHGQTRARRDAEDARGLAEEAHPAELGEG >Dexi7A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:7A:24336894:24338631:1 gene:Dexi7A01G0014400 transcript:Dexi7A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEYTLTCVLLVFSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYEAGKALADEMGIPFMETSAKNATNVEQAFMAMAASIKTRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >Dexi5B01G0031800.1:cds pep primary_assembly:Fonio_CM05836:5B:32453114:32456934:1 gene:Dexi5B01G0031800 transcript:Dexi5B01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDQVMAFLTDHGFAGTASALRDDVLARTADGEGAGDAALDPQLPPLRMPGSASASAGGGDGTPAPASPGSTSSGSASSSAFVSMRSTPSGLLNPYGLWSPRHSQSGASSSEMEFGTARQYDTTDLFFQEDWLYDDHLFPSKLDDEDDEGKEEDKFVLGAHDGLEQVQIGKLGAGHNHRHEHIGGDHCEGCAEVYTCSSPLCGCCGGGLKIDGLEVARSSSSTVYGRYQIMDDQTEILDDCAQDGFQLKQSGDDVFECDMPRDPGRGDDDSELSVVEKELQMLSSFDTAAAAVNQGAHDFMHNSELDDSSDKNLKSSSDKEYLKEGHRIQPFPESGDDAYEFKNVGTLHADIQHSTALKPEEDSEANIDLALSNFHQEYEVFELRIIHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQRYNQESGGEVYFTLPRIQVLFPNEPVSMMLAQMIGIIGPIDMEMLELGQETQKYFTDDYDLFTKNEV >Dexi1B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:1B:2256540:2260497:-1 gene:Dexi1B01G0002720 transcript:Dexi1B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSIVAMAILAISLLPNQAVSTGPSVARLVGGSSSNNNNTDLAALLAFRYQLSDPLGVLASNWTSEASFCRWIGVSCSRRRQRVTALELPDLPLHGELSPHLGNLSFLRVLNLTSTDLFGSIPNDLGRLSRLVILHLGNNSLSGTIPSYLANFSYLSSLNLSFNDLEGQVPIGGVFSNITLQALVGNDRLCGGAARLGFSPCLEKCDKPHPTHRRYFLRRGIKKADVKASIDMDDLTSHRTVSYYEILRATDNFSEDNQLGRGSFGKVFKGQLDDGMVVAIKVLDMQAAQAVRSFDAECNALRMARHRNLIRIITTCSNLDFRALLLQYMPNGSLEEHLHTGSRPYMGFFRRLVIMLDVSIAMEYLHHGYYQVVLHCDLKPSNVLFDEEMTAHVADFGIAKLLLGDDCSVVSASMPGTLGYMAPEYAFMGKASRKSDVFSFGIMLLEAFTGKRPTDAMFVGGLSLRQWVSEAFPARLIDVTDNKLLQDEVCPGLLSSSDTFLAPLFELGLMCSSESPEQRMAMNDVVAKLTEIKKDYSVPPFHAVGGGGRRAVRRRRGEAHHLVAIGGVARHPAGLGTDRGVVVIEPRHEDAPLLVVVGAPGYGSGCGTGAGRDDNEETKMISTTLRDEWPAGSSVSAGILAC >Dexi5B01G0039550.1:cds pep primary_assembly:Fonio_CM05836:5B:38327879:38335050:1 gene:Dexi5B01G0039550 transcript:Dexi5B01G0039550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDARARGYGQAPLRCSELKHGEQELGSLGYAWSRSGSSSGQQKLRQASHLRAESGLTAEVESGGEERHKATSEEDKELNRGICRGDRLLGPTCPGPDGELRMMKPDPMPSRLSPFFVFISTHTTLSRSLMSNAPPPSGAWVVLPLLLPPLMVIAAYHMMQISGASHCCKRWHRLLLAALASFFLPPHFTNTIQYVRAAGSRGGFFFFFFFFFFFFFFFFFFFFFFFFFFF >Dexi5A01G0038930.1:cds pep primary_assembly:Fonio_CM05836:5A:39689590:39695530:-1 gene:Dexi5A01G0038930 transcript:Dexi5A01G0038930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQQLPPPPQHPPPQGGGGGEFYRGPPMRQLSAASSTNLTPEYSSHSGPPPPQQHQQQYDAYGDNFGAKRMRKPVQRRTVDYTSCVVRYVQARMWQRDARDRFTLQPTPAAVLDWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPVRSMVWSHNENWMVTGDDGGAIKYWQTNMNNVKVNKTAHKESVRGLSFSRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKTVDWHPTKSLLVSGGKDYLVKLWDAKSGRELSSFHGHKNIVHCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHTKDVTALAWHPFHEEYFVSGSFDGAIFHWLVGHEAPQVEINNAHDNSVWDLAWHPVGYLLCSGGNDHATKFWCRNRPGDLTRDRYNSGQSQGYSDQHPAFGARAMGGFQMPEPPTAGPFAPGLSRNEGTIPGIGVAMTFDGSDQGEQRPSIPGLPPGQPPLPPGPHPSLLGQQQQYQQMPQQQHTQFPRPPPNMPQLQPPAHMLHSQGSRPTLPQLPPMGGPSMPSPVNPPLPPMPHPTVMLTDTFISNARFTEPDDATTLDGLKSDASWFCTSWQCTSNGWVPKWDGKHPRSIRFVWHTKFSYGWHLQSASGANGSTRTNDIHSRSKLLPGNGPCTTPAATTASASSRFSTTVTWSEATLILSL >Dexi5A01G0040070.1:cds pep primary_assembly:Fonio_CM05836:5A:40362472:40363570:1 gene:Dexi5A01G0040070 transcript:Dexi5A01G0040070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVLKVGLVVACLAAFAVEQSSSHQQRLMLATPSTHNKCTNTKTNKTTCSASCNKRCPHKCLIQCPSCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCIVSDSDLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHHLYVGAQKTTRWDAATDHLSVAFDDESVELPRFVGSRWAAPSAPALSITRTAQVNTVVVELRGVFRIMANVVPITAEDSRIHNYGVTEEDDSLAHLDLGFKFYDLTDDVHGVLGQTYRPNYVNRLNVTSIMPVMGGAPDYLSSDLFSTDCAVARFGRRIRQGAATTTTIGGPANIAMVTDQTE >DexiUA01G0019910.1:cds pep primary_assembly:Fonio_CM05836:UA:41358976:41359360:1 gene:DexiUA01G0019910 transcript:DexiUA01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel, voltage-dependent, beta subunit, KCNAB-related domain containing protein [Source: Projected from Oryza sativa (Os02g0817500)] NLANRSLVDETLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALDVIPLLTPEVIDRIEAVVQSKPKRTESYR >Dexi1B01G0024500.1:cds pep primary_assembly:Fonio_CM05836:1B:29793533:29794620:1 gene:Dexi1B01G0024500 transcript:Dexi1B01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMESSGGGAYKKATAALDEAARARLRGPFVTGDVAPSPAPPSRRADADDDLMDLVVDEFYNGYGERGTDGDFAKDAVAWRRTNEWKETLRLTLVNVAADAAAARIRAEAERVVRAAGTGIVGGGEIRKRLVERLRARGFDAGLCRSSWEKTSSVPAPGSYEYADVRMVGSSPLVPSSRYIVEVNVAGEFEIARPSAEYQELLSSLPPVLVARPEALKELTAATCAAAEESIRRAGMHVPPWRRAAYVQAKWSGQFERVSEVAGGAGGAAAHARRRKNCGMEMGRREAAAMGREALVSARPLFR >Dexi9B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:9B:3024193:3025450:-1 gene:Dexi9B01G0005140 transcript:Dexi9B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATQRDGPPRSWSDIPWDLAGRVLRLLPAYVDRARFAAVCPQWRRAARQLLLPPPLPLLAVPDGSFYSVPYGKPFRFPGFGCADFKAAACGSWLVFPRDDGCFVVDPFAGATVELPSLSRIRLRPSNAASNPDIGSPYITWLYTLVTGDESLLVVNISQDPGTGDPQVLEADWEHSRWVKVATLGDDLMLFLGWSCSKVVRASQYGMSDDQIFFLDDVFENDEDNKYNIEGDTSVSVYDMRTGEISSPSPMVWKRKMVLATWLFPFGLK >Dexi9B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:9B:3904195:3907804:1 gene:Dexi9B01G0006500 transcript:Dexi9B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGPPGRPSSSALLGCWRRRPPLGFGAKVGIAIALGLSFAIIWTSVSPTLSSQQISTERSSFAAEVAAPPTATDNRTSASAGGGHAHRKPRPAPHSHKKRHPAPSGSHPHPHRSNATASPDAADEKADHSDSAPITDPEPKEKEPQQEQEPDMEMEPEQEAELPMPEESGDKSGKAPAEGEEEKPPQLELEEEPGEGDGDEDFEEAAKKTAPSKKRKLPPLFNPGAHYHWKHCGAKSGYHYIPCVDFDGDGSQRHHERSCPRSPVTCLVSLPKDYKQPAPWPERKDKVWYGNVAHPRLSNYVKGHSWMNHSGEYLMFPPDEWEFKGGARHYVQSIDEMAPDIDWGKNIRIILDIGCKSAGFGIALLEKDVITLSLGLTNDQTDLAQVALERGVPATVGSLGSRRLPFPSGTFDAIHCGECNIPWHSNGGKLLLEINRILRPGGYFIISSKSADLESEEGISASMTALCWNAISYNSDDVSEVGVKIFQRPATNEEYDLRAKKDPPFCKEEQNKANAWYTHIKHCLHKAPVGIEERGSDWPEEWPKRLESYPEWLGDLQTRVAADHNHWKAVVEKSYLDGLGIDWSNIRNVMDMRAVYGGFAAALASKKVWVMNVVPVHAADTLPIIYERMPLESNLDFIQFGMVFRTQNRDFVVLF >Dexi1B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:1B:6224731:6238324:-1 gene:Dexi1B01G0007520 transcript:Dexi1B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIPSTRRSRRPSNVPEASAEPRACLLVATPPEVPPPIPPAPTRETALGSRDPAAANRRSAPPAAGRCRCSRDGKLARDGISFLILFVCFIASSALVETEINNLHALRQAVAGSEGVFQNWCDLSGNIPEAFGNLQTLQYLELSNNQLTGPLPSSLYDLKILKEMVLERNNFSGQLSPAIAQLQNLTKLLISNNNISGELPLELGSLKNIEVLGFRQNRFDGSIPEAFGNLTRLIYLDASRNKLTGSIFPGINALLNLQTLDFSSNSLVGPIPNEITHLKSLKRLALGSNNFTGGIPKEIGNMKQLEQLSLSKCNLSGTIPRSIGDLRSLSELDISGNSFNSELPASVGNLGNLTVLMANSAKLIGSIPKELGNCKKITLLHLSFNAFTGRIPVELGGLEDVRHFEVEDNNLSGYISDLIQKWENVQHVNLANNKFSGSVLPTICKAKLLQTLDLHSNDLTGSIDETFKDCRKLVHLDLQGNHFTGMIPEYLADLPLQTLELSYNYFTGVLPVKLLELSSVLEINLNNNKLTGHIPESIGKLHSVQKLKLGSNCLEGPIPQAVGALENLTEMSLDGNRLSGSIPQELFNCRNLVMLNLSSNNLIGPIPRSILQLTSLTGLVLSHNQISGSIPGEICGGFKNPGHPESEYVQHHGLLDLSYNRLTGGIPPAIKNCVILQELHLQDCTASGICAADSINHRGGYAPYMEAVEKQVTASSTCQSI >DexiUA01G0028100.1:cds pep primary_assembly:Fonio_CM05836:UA:60409302:60409935:-1 gene:DexiUA01G0028100 transcript:DexiUA01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQCVSSMLALALLLGTFAAIPTGVQSIGVCYGVNGNNLPSASQVVQLYQANNIGLMRIYFPDANALNALSGTNIGLIMDVANTDLDSIASSPSAAATWVQNNIQAFPGVNFRYIAVGNEVSGSDTNSILPAMQNIHNSLAGAGLGHIKVSTAVQSDVTQGFP >Dexi9B01G0033270.1:cds pep primary_assembly:Fonio_CM05836:9B:35468653:35469075:-1 gene:Dexi9B01G0033270 transcript:Dexi9B01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLETLRLYCPPLFLQRKPIVDITVGGMKLPKGVAVVIPIPIMHREKEVWGEFNPLRFENGVTRAGKVPHAMLGFAMGPRSCIGQNFAMLEAKSALAVMLQKFSFTLSPDYVHAPTDIFLLKPKFGLPVILRRLD >Dexi1B01G0010650.1:cds pep primary_assembly:Fonio_CM05836:1B:10337041:10337558:1 gene:Dexi1B01G0010650 transcript:Dexi1B01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSISSIILVLLLGVICTATVVYGGHQVWWLVGGDNRWSFGVDGWVKDKPIQAGNILVFSYDPEMHDVVEVDEAAYNTCTMPSNGGTRHTSGRDRIEVREGKSFFICSTPGHCTKGMKIAITA >Dexi3B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:3B:13619540:13623644:1 gene:Dexi3B01G0018340 transcript:Dexi3B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRLGWGAAAVVRMASSSSSIPALPRLFIPPCRAHHEAAMPASLGTSQTLRNGGGRQMQDLVMQRWAEMVLCYGADWGCVPQLWNRVVLRKWLNIGEGSGDSDFSADERDTSDGEADREDISSWKHELCNVERICGGLDASTTGHERNNVPYRLRRHRSAITRAQYVDVREVRICAATWNVGGRFPPSELHIEEWLDLEEPADIYAIGRIIWLGDLNYRINLSYERTLELISKQDWDGLFERDQVI >Dexi3A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:3A:6288553:6289503:1 gene:Dexi3A01G0009080 transcript:Dexi3A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIHKKDGEQIIVRGGGTAASPALAMTMRKGPWTEEEDEQLVRFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLIVELHAQWGSRWSRIARSLPGRTDNEIKNFWRTRTRKHKAAAAAASPASSSSSTAAVSDDDSALQEGSGGGDAELDEESTAAIAAASQQHEQPQEEEEYYCCSAMDELWNEIAAADAAAASYVLDNWGAGGHCYYGAAVEPPPSPVWEYCSDYSLWRIDDEEYKKMLDAS >Dexi7A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:7A:28937553:28941424:-1 gene:Dexi7A01G0019980 transcript:Dexi7A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFPLLILLLLSSPCQSNDQLKQGNPLSPGDLLISEGGDFALGFFSPDASNKSLYIGIWYHNIPEPQRKVIWVANRDSPVTSPSSAKLSVTKNPELVLSDSQGSPLWATKNDAASGGARAFAVLRSTGNFVLQLPNGTEVWQSFDHPTDTILPGMKVLLSSKAQGATRFFAWKDLNDPSIGNLYGGVDPNSNLQFLIWNGTAPYCRTTVFNDITQSSSTYHSNGTSVLYQELVYIGNELYYMYYVSTGSPYTRVTLDYTGKMKLVSWNSNMSSWAVITESPGSHCDTYAACGPFGYCDHTSGGVPTCQCLVWTGDLVDMGKSDVEENLYLRLADSPGKQKKKKAQERMMQEYLSSMDGVVDKNIEFPFISFEDIILATDNFSDSNILGKGGFGKVYKVQMK >Dexi9B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:9B:5524001:5526027:-1 gene:Dexi9B01G0008970 transcript:Dexi9B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDMDSISYLYAGYFHDEAPSFYPHGALPVPPSPELPFGLIASPAREPELPFVSPMPTSFHDFYTAGPELMMPPAVEAPPHVSGGAANVHAPLVDTNTMGGGGAGEPQDQTSMEEEDEPRRQQQQQTAAAAVESSRGFRHMMRERQRREKLSQSYADLYAMVAARSKGDKNSVVQAAAIYIHELKGAREQLRRRNEELKAQIMGHDARQQCVRVQFEVDEPASAVDSMIGALRRLKSMDVRARGIRSTMSGHRLWTEMNVETTIAAGEVEKAVEEALMQEVERNQQQQPEAGSGGPGFPGTSSSPGSSGGRGWGPQASSHVQNVF >Dexi6B01G0009870.1:cds pep primary_assembly:Fonio_CM05836:6B:15972205:15974732:1 gene:Dexi6B01G0009870 transcript:Dexi6B01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQCAAHAARALLAVLPAPASPHRRATCVSLTLRRPGRAVTAVRAAEPSSPPATAPAAQPTGASAGKAIVPDDEFSLAKVSFGVIGLGVGISLLSYGFGSYFNLLPGSEWSALLLSYGFPLTIIGMALKARALYNYVHYAELKPVPCITYADALALREKCATPIQKQVRSDVTRFRYGDEQHLDEALQRIFQYGLGGGIPRRNAPILQKIREEVTEDGKYSLVLVFEAKALELSDFEKRQVRLISTGSNH >Dexi7B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:7B:20871117:20871728:-1 gene:Dexi7B01G0014730 transcript:Dexi7B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANFAESPPRTHRRCLVVASLVYERSSCSEARATTRRALQQPLRGDGGYRDPLRAREGLLRRSRFAPNRDQQNKNKSSPRTSRLASRTSNPAQEYQIQHQEGESREKTRGIEIYRAAREGARRGLRRRRLLAPLVAASEQLAGRRQHVASGLYAAWPPSTLPSPDRVPCRLLAARRGSRDSIREIESSSSMRVRGGAAAGRD >Dexi7B01G0023450.1:cds pep primary_assembly:Fonio_CM05836:7B:28063209:28064186:-1 gene:Dexi7B01G0023450 transcript:Dexi7B01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYSSLLPPHHHHSDAAAAAAPPKSSGSRRRQQKQLVAGSKAACFDAAIATRLRGLLPLPVSSSPLEALARLADLLALTLAEAAPALAGEGDAAAVAAHLDAGVALLDACNAITARLERLRRRRLLARFALHLLASPAAADEGRGRARAALAERGGSAAASPPPPPLPSLPFDQPRGRLSAAARVLVAVNAVSSLAAASAAAVLGGGAPATAFPRVSGVAEFPWAEPFNAVSNQLSALAASNTSEVDAIDAAVQRLASALDGSDEAGLRAAAQDVEKRTEELTARLDRLSDAVNGVFRAALGLRNAELGSFMAAAADKTCI >Dexi8B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:8B:25320179:25322525:-1 gene:Dexi8B01G0014690 transcript:Dexi8B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLLLGNSGDDLGTGKGGEEQLPCCSRWLGRVVDVEEAREQVGFAVPMVVTNMAYYGIPLVSVMFLGHLGNVHLAGATLGNSWATVTGYAFVMGLSGALETLCGKAYGARLYRMLGLYLQASFIMSAAASTLISVFWYFTEPLLLFLRQDPDVSHAAAVFVRAQIPALFAFGFIHCLLRYLQTQSVVLPLLFCSVAPFVLQVGLAHLLVNVFGLGLAGAAAAASVTFWISCLMLFGYVLLSEKFSETWRGFSTEAFTYVLVTVKLATPSAIMVCLELWAFELLVLIAGLLPNPTVSTPLIAMCASTEAITCMVAVGFSATVSTRVSNEIGAGNVDRARNAVSVTLKLSVFLAIFFVLLLGFGHNLWARLFSGSSMIVSEFADITPLMMVSIVLDSAQGVLSGVSRGCGWQHLAAMTNLVAYYVIGMPLAILFAFKLKFYAKGLWMGLICGVAWQACSMLVITVRTKWSELAEAMQEEKATYVA >Dexi8B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:8B:1077065:1079054:-1 gene:Dexi8B01G0001640 transcript:Dexi8B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVLNNPRLKALIEEEKHKAFANEVVAKLTHVCWDRCVTGSIGSSFSRSETSCLSNCAKRFAEVKMVIMQRYTK >Dexi4A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:4A:24859012:24859320:-1 gene:Dexi4A01G0021230 transcript:Dexi4A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAMSTSSLPDCILLTADAYLVQHRNITTASTTTSNHDKIEVSLCPARPPFPSKLYVHCPDLTLTGGVPRVIRAVEDLFLLRVVIGCPFDAASLLDDSD >Dexi4A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:4A:5004328:5007274:-1 gene:Dexi4A01G0006890 transcript:Dexi4A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQREEVYGRRELLTLRGGRRRGPDCGGGRGRALSGSGGGGVSPFSQTSPRPRRLTGSPYSSSSSFLPPSWRGGPRGWRLPLPDGSAPQFPREFTSGSEGARPAAAMFSRVSRLGARFLREARAETRAGNLLTSQGSLYQGHVNRHSTPVVTPSVLPLRNILFSTATSGDEDESSQQKEKISVTFVNKDGSEQTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMVRSRYSYSKLY >Dexi9B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:9B:15190440:15201110:1 gene:Dexi9B01G0020450 transcript:Dexi9B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSACRGSHLLPSSCSSIGGRFLCSPFVPTPLKTLGAIRTLSAMSYRGGRGGGGPNSYRGRGRGGGGGGGRGGRGGGGGGRGEQRWWDPQWRAERLRQMRGEVEKVDENEWWNMIRQLREGTQQELVVKLNFGRDGQNILADMAQRQGLYFNAYNKGKTLVFSKVPLPDYRADLDERHGSTQKEIKMSDQTERRVEDLLSMSKLNTNNSASTSNASMRQSFPSTSSSVVEQATGIDKEKLSSQLRDLQNSRKMMASARSMQSFREKLPAFNMRESFLKAVADNQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGETAGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLAGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDASIMHIPGFTFPVAEFFLEDVLEKTRYKIKSERDSSAGSSRRKKFSSVKSDPLSDLLEDIDIDKEYGNYSITTRQSLEAWSAAELDLSLVESTLEYICRYEAEGAILVFLTGWDEISKLLEKIKGNTFLGNSNRFLVLPLHGSMPTVNQREIFDRPSANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQLGAVSSFLAKSLQPPDPLSVNNAVELLKTIGALDDMEELTSLGRHLCTLPLDPNLGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKQSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTIGVKAYNHYGSDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPYLVYSEKVKTANIYVRDSTNISDYALLLFGGSLSPSKTGEGIEMLGGYLHFSAPKRTIELIQRLRGELDKLLQRKIEEPGLDIFSEGRGVVTAAVELLHSQNVYH >Dexi9A01G0046510.1:cds pep primary_assembly:Fonio_CM05836:9A:49900866:49901845:1 gene:Dexi9A01G0046510 transcript:Dexi9A01G0046510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPSGQQEPTIRYGDVFPAVSGNLAEKPVAPQDAATMQSAENLVLGQTLKGGPAAAMQSAATANERMGVVGHDDATAVQGVTVSETRVPGGRLITEFVAGQAVGQYLARDDAMAGGAGAGAGGGGVVDNTKVTIGEALEATALAAGDDPVERSDAAAIQAAEARATGLDGNVPGGLAAQAQAAAAANAWAASDEDKTTLGDVLADAAAKLVADRPVESADALRVAGAENRNKGDGTARPGGVAASMAAAARLNRNEAVWE >Dexi4B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:4B:6408778:6409044:1 gene:Dexi4B01G0008960 transcript:Dexi4B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAEMVRRPLPAAGGDEEVVGSDWAAKAVFLAAEKDRSPVDPTIWGDEKRMKRELVAWAKAVASMAAGQQERRLSPPPSMRRRRMA >Dexi2A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:2A:1231846:1234170:1 gene:Dexi2A01G0001730 transcript:Dexi2A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVASAVAGDTVSRIISSLADRDDDESTENMERLEMAHIKMEAVLHMTEKWQIPNVPLLRWRSKLKRAAQECGDELQRCKQRVIEGQEIRLRVSQSSFPKRIAYATKSFISSITGSSNDESSSSTDVVRRFERFADGANEFLKFVEFSGTPRKYMFFNHLINNLLRGKSLSSSGTDGNLMSLAQDVAAAARVAGHSNNRETRASGVAERLGRDMEAAGD >Dexi4B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:4B:7916888:7923583:1 gene:Dexi4B01G0010560 transcript:Dexi4B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHVQFFGLELHLPTQSHIALFLSISIVFFRGLVKPSSYVHHSWSFPTINITWRWNLKAMDIPKIETDSSFSYIYSSHWRLPPRRPSPVPSPTAAARVSPPAPRQAPSPCLPPAKKKKSQASLPKQNPRNRCNKENSKSQKWKGQISSTGPLSSFSRRVSSTLTGSEPCISAMNSSASRLARTGPRLREGALVAATFPSSPPSAITRSEPPAPRPSSLDPDPPLARRPSSGVTEAPAPRPSAGVRPLPGLAGSAETLAPAPLPPPHAAPCVTLPYIITLPPPPTTPPQPNMSSTRRLVAPAFNKAQSLRRRLSSTASSPSDETAADVLAGLHQERALSSATLSLLRAKPGLADELYALIAESGKKPHAPLAPASLAILHSLAACHRIAPSSASLLSRLLARFRCPADAASFLRDSLAAGAPAPGVSAFNSLLAALGRAGNLRGMTELFTSMTGASVQPDVVTYGILLNGLCKAGRAEEALKVLDGMSRPGSHGGFGRPLRSWMSG >Dexi1B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:1B:3285260:3288014:1 gene:Dexi1B01G0004120 transcript:Dexi1B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAGDEELESLLGNFRRVSQGYKDALMEVQALRVNYSSESKKREALELHVTDLKRDNERLRGLYTETLYRFTNQCLAIWDCVIKFYHITYALVKFHAEAQNLKEELEKANSRLLSMEEEHKREMEQLKHSSEMNSNALENELSTDWATISHALVQQATDEAATKQLKLELSAHKVHIDMLRSKLEQVTSDVHSQYKNEIQDLRDVIAVEQEEKNDMHRKLQSAENELRIMRVKQAEQQRDSISVQHVETLKQKVMKLRKENESLKRRLASSEV >Dexi7A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:7A:21785716:21785907:-1 gene:Dexi7A01G0011190 transcript:Dexi7A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAANGSHSGGGGGGGANPSNGGTAAALRHDPGLAREWSPEEQATLDELLAK >DexiUA01G0027730.1:cds pep primary_assembly:Fonio_CM05836:UA:59348814:59350337:-1 gene:DexiUA01G0027730 transcript:DexiUA01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKLLLLLLCLCTYHSLTAYAGDDPNRYTIGSINTEAICSESKATPSTTSAGATLALHHRHGPCSLDPSKETLTTEEILHSDQLRARNIHRKLSADTSSTAADTDAEKLEATVPTTLGTELQTLQYLINVSIGTPAVAQTVMIDTGSDISWVHCKPCSPCHNQMDSIFDPSQSTTYSPISCSSTACVQLGNDSSAGCSSSKQCQYVVNYLDGSNTTGTYSSDTLTLGPNVVNGFQFGCSRVVASDSDDMIAGLIGLGGGAQSLVSQTAATFGPSFSYCLPAPQAPSGFLTLGVSTTSNSNFTTTKMFRNSEIPTFYFVPLRGIRVGGTPVDVSPSVFAARSVVDSGTIITRLPPTAYSAMSSAFRAGMQDYRRAEPLAILDTCYDFSNLTTVRVPAVELVFDGGAVINLDYDGIMVFDCLAFAPNEDDSWPGLIGNVQQRTFEVLYDVGRSTMGFRAGAC >Dexi2B01G0019000.1:cds pep primary_assembly:Fonio_CM05836:2B:29187512:29190804:1 gene:Dexi2B01G0019000 transcript:Dexi2B01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLPSMESQGAAAAPLLSKLPLPERRDDGGGDMIQARRLLAKDVWDESKKLGEVVGPAVFMNLVFSSMNIVSQSFAGHISDLDLAAFAMANTVIDGFNFAMLCAGVLCQLGMASALETLCGQAYGAKQHHMLGIYMQRSWLVLLAFAVILSPVYVFSGRLLAALGQPAELAGEAGMIGMCFLPSHFMYAILLPVMTFLQCQLKNCVTAAAAAVVFAVHVAATWLLVKCFGLGIFGVVVSFNLSWATFAALLVAYALGGGCPETWSGFSASAFVDLKEFVALSASSGVMVCLENWYYRILVFLTGFMKNAELSVDALSICLLALIFHDKLAIIFSSSQTVIDAVDSISVLLALTILLNGIQPVLSGVAIGSGWQGLVAYVNIGSYYLIGVPLGVLLGWGFNYGVPGIWAGMISGTMMQTLILAIITLRCDWNEEALKAGNRVRQWSNAK >Dexi1A01G0025760.1:cds pep primary_assembly:Fonio_CM05836:1A:31896506:31903556:-1 gene:Dexi1A01G0025760 transcript:Dexi1A01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTGNPNPNPNPPFELGKLFRPPNPMPTATAAPIFPGAAGGPAGPPPPSGPYSYPPVTPPFHRGPYLHYPQDPHAMPRPVVSFPMPNPNLNPNPNANPNAAVPGPNPGVRLMQLLGNSGPTQLETAVSMPPPTSDFAQPLPAMPSAPPARMLSSTSSKVPRGRLLGGGERAVHDIDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHSQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGNAQAYHPRICWHSHKQEILYVGIGNCVLRIDTTKVGRGRDFAVEEPVKCHLEKLIDGVRLVGKHDGDVTDLSISQWMSTRLASGSKDGMVKIWDDRKPAPLSILKPHDGQAVYSVAFLTAPERPNHINLITAGPLNREIKIWASTNDDGWLLPSDSESWNCTQTLELVSSLESRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLDLSLCSPPTADTIGFGRDPAISRVYEAPPEVAGTESSTTTSFTDSVSASSKPSTVDQSADFDPKPSAPPLAYSEGDSSMHPPSAPLASKMEFPVSGPAPGARDIDQSAFDYTANRNMEREALKRQDTPMPIRKDELRDGRSDAAMLPNPRLMFQVGGNATHLVTPSEIISGTLSSADNNDVSKSDGGKIQDVSSRSSGVADGEPKHVDESRPDQNSGLEAIKETQIVCENLEKTRSSEQTVEMISERSVTTDKYSVEESQSSSDKPTLDHTGVADANVLKKSVELPERSDHSASREQSSSYTKEEKVLHPQTSGQPSPPVSAFNSTESHEPLSSAYPPIRSFPEVSATHGMLQQLIGIQKDMEKQLGTMIPASVAKESKRLETSLGRTMEKSIKAHIDAFGVRLQEENTKREKAEKERMQQLANLITSSLNKDFPSNLEKSLKKEISSLGPVVARAITPIIEKCLASAVSDSVQKGVGDKVCNQLDKSISGKLEATLARQIQMQFHTSVKQALQDAFRTSFESLLVPAFEQSCKTMFEQIDGAFQKGMSEHTIAIQQQVETAHTPLALTLKETINSASSITQSFSSELLDGQRKLLALVASGNATAHNTNALQPINGPMGGPQEVNAADFPPSITLLITIYLFNFLCMPSPFHVVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALLAMSPVPLNQGVLLALLQQLAVDVNNETSRKVQWMTDVAMAINPADPMIAVHVRPIFEQVYNQLAHQRALPTTSTSDGTSIRVIMHVINSVLLSYK >Dexi9B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:9B:973287:973795:-1 gene:Dexi9B01G0001670 transcript:Dexi9B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEQRPVDGSEVEEHDQQNQQHPRPEHKWESSLGIH >Dexi7B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:7B:24085147:24085647:1 gene:Dexi7B01G0018250 transcript:Dexi7B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >Dexi2A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:2A:10664925:10666557:-1 gene:Dexi2A01G0009710 transcript:Dexi2A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGPGNKRRRPREDVGDVPLGGGDGDPDLLSRLPDEILGSIITLLPTVDGARTQILSRRWRPLWHAAPLNLEAHFGTCSSHRLNPGWSDLSRILDPLLESPRLQGIQEFELSVYREIPPSVLRFSHALRVLHIRKHTDFSASATCTLNFPTLKHLTLADVKTSESALSGVLSRCPVLETLLLDNIRDVSHVRISSLTLRSFGVSDCGIFRLGSSLEEVMIVEAPLLERLIPRVPSNLLVIRKMLLVRPSDAMRSVRILGLVTAPNLDFVTGLLKCFPCVEKLHIVSYTQMILKNDMECNDALECLDQHLKTVQIINYEEKRPDVNFIKFFILNARVLQSIQFVVRRDKCGAKWIARQHKKLQVNDRASQGAIFDFEADCSRGSSSIVHVKHIHDLAMDPFDRSLCRCQGDELN >Dexi1A01G0022210.1:cds pep primary_assembly:Fonio_CM05836:1A:28914088:28918044:1 gene:Dexi1A01G0022210 transcript:Dexi1A01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQRGLAISGYLAGHHAPAASVSTTGHHSLFFARAFQILAQPEPVRLQKLSAPDSGILELRLERPEVKNAINWDVMRRLRSAIEKIQADATAKVVLVASSVPGAFCAGADLKVWSLDLSSLERRLMSSSEVGEYARSLRSTFSSFEALPIPTIAVIEGAALGGGLELALSCDLRIWQNAELGLPETGLAIIPGAGGTQRLPRTVGVSRAKELIFTGRRCDAAEAVIMGLANYCVPAGEAYQKALDIAREITQKGPLGIRMAKKAINEGMEVADMSSALAVEGECYEQLLHTQDRVEALSAFAEKRKPVYTGK >Dexi9A01G0042940.1:cds pep primary_assembly:Fonio_CM05836:9A:46452538:46456292:-1 gene:Dexi9A01G0042940 transcript:Dexi9A01G0042940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRRLAAAQLLLLLLLAAASPAAHAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLAADSLAVSPDGSISAELSHPSRPRPLVLRLSALPPHALRLQIDEDYSTATPPHRRFHVPDVLLPDLEARTLHLPEPKTADGVSTVALSSDFDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLRESKPEDETWEEHFRSHTDKRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIGHGAHGSSGFFWLNAAEMQIDVLAPGWDEATAPENGRVDTLWMAEAGVVDAFFFVGSEPKDVVKQYVSVTGTPAMPQQFATAYHQCRWNYRDEADVDGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEEMQRKIADKGRKMVTIVDPHIKRDSSFHLHQEATDKGYYVKDANGNDYDGWCWPGSSSYPDMLNPEIREWWADKFSYESYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDALHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGLPFSGADVGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTAIIREAIHVRYSLLPYFYTLFREASVTGIPVMRPLWLEFPGDKETYNNGEAFMVGPSLLAQGIYEDGQKSVSVYLPGKESWYDLRNGSPYKGSVSHKLQVVEDSIPSFQRGGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSNAAEGELYVDDGKSYDYQQGGFIHRRFVFADNKLTSLNIAPDNLGKKFTSDCVIERIIILGLRSGAKKAIIEPGNEEVGIESGPISLRSGSSPVAPTIRRPNVRIADDWTIRIA >Dexi7A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:7A:17890167:17891270:1 gene:Dexi7A01G0006510 transcript:Dexi7A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTQGVLYAKKDYNLAKHPQIDVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSTRPPGRPFGSGPPGDRPRGPPRFEDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRFWE >Dexi3A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:3A:5380182:5380454:-1 gene:Dexi3A01G0007730 transcript:Dexi3A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHAAIVIFTLLLLASSPSLVHARTMPHAHDASASSSLVSQDFLQVFKAPPAPLVLTDKPEIAAAERRQIIEATADGSVPSPGIGHHH >Dexi3A01G0028920.1:cds pep primary_assembly:Fonio_CM05836:3A:29934801:29935980:1 gene:Dexi3A01G0028920 transcript:Dexi3A01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHLSTSSFKDSFLLLADKVLGVTRNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGIVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDGRAQTARDMWDRPLKTVYISDCGELKVTKQALSPPSLP >Dexi9A01G0045610.1:cds pep primary_assembly:Fonio_CM05836:9A:49183592:49187473:-1 gene:Dexi9A01G0045610 transcript:Dexi9A01G0045610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHQPTTLEEVRTLWIGDLQYWTDENYLYSCFAHTGEETFRVNYPSVRGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKSGGSQLQYSAAKAIYPATAYAVPQLQTVLPDSDPTNTTIFIGNLDPNVTEDELRQICVQLGELIYVKIPVGKGCGFVQYAARASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAVWSQQADPNQWASTYYGYGYDAYGYAQDPSYAYGAYAGYSQYPQQVDGTGDATSVGGSHPGMEQKEEPYDPMNIPDVDKLNASYMAVHGRAMLGRSLWLKTNPLPQPT >Dexi2B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:2B:10378791:10382254:-1 gene:Dexi2B01G0009660 transcript:Dexi2B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSVAVDAVVVLFSLIMAVAAPLFDSQVVLPASLYPAPLLGIFRWFVAEFDHYIVADPPPFFRGLVWIDLALLWPVSVANLYGILTRRRCFNRAWPLFVLNTGYNGRTTSGSAALAMKATYISEVHSSSRSTPPASSLTMGIISAAADALIAVFSLTIAVAAPLIDGQSVLPHDLYPARLVELKGWYAAEFGDYLMARPPAFFRGIVWLELAFLWPLSVATLYGILTRRRWVATTSLMAGVATLTSMSAVLGDMLGSGKATPTLLQMYVPFAIFAVVAILRGLCSCSERTAAGSSLRPSARKKRV >Dexi3B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:3B:10710048:10712442:1 gene:Dexi3B01G0014880 transcript:Dexi3B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAPVSSAAAAAAGLTLFATVADIMEEATAAFGIGAALPAPPPPLPPVRADREGGEDAGGDASACGSPCSVASDCSSVATADFEGFAEAGSALVLDDLVAAAAASLPVPEPASGARIAGAGARSVFAVDYVPRWGLESVCGRRPEMEDAAVVLPRFFDVPLWMVAGDAPVDGLDRASFRLPAHFFGVYDGHGGVQVANYCRERIHSVLIEELSKAEESVSGADLSGLESKKHWEKAFVDCFSRVDAEVGGNATTAAKPVAPDTVGSTAVVAVVCSSHIVVANCGDSRAVLCRGKQPLALSVDHKPNREDEYARIEAQGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTVVARAKDDECLILASDGLWDVMTNEEVCDAARKRILLWHKKNADSASSSAQRSGDSPDQAAQAAADYLSKLALQKGSKDNITVIVVDLKSHRKFKSKT >Dexi5A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:5A:8579664:8581430:-1 gene:Dexi5A01G0011450 transcript:Dexi5A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPAVASPASEQAKSKKKKHKSKDDPAAAATAAADPPSLAEAEEKTDGYIIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYLRYGVINLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNYKDESYLRRAVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALRKKKMIAEGLLDKHGKPNEKTPAEWLRNVALPTGGDVSIASIAAASEPEKVKVEEEAAVTAEVKEKKKKRQKDEEDNDADASVPAKKIKVEEDAEPVEGEKSEKKKKKKKDKGESGSAETVEAKEVANVADENGSEKKKKKKKSKEGSDAVDAESAQNGDGAEVEKSEKKKEKKKKKSRDTEEEQ >Dexi5A01G0032750.1:cds pep primary_assembly:Fonio_CM05836:5A:35149515:35151583:-1 gene:Dexi5A01G0032750 transcript:Dexi5A01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSSPCDACRGDIGLLQATFTSECAHTFHLRCVSGIAVCPVCAAKWGDSPATAAARLVAGPFGQTPPPLGGSLFGEKAPRATQKKNPFWLIQAPPKPPSRSCSVCHGTIRRGQGGVTPDCNHTFHLRCNPGSFCPVCGTKWCDEVTVAPSPQPRPTSSFPRLPNFYYPPPPPPPPNPNFILTPPHPNLLMPMPSPINIFIDDEPVEPTPLDGVEVTNNGEHGVLVLKTHYEHPAVARDAAKENFAVLLHAKAPVAAAAEASESERAPLDLVTVLDVSGSMEGPKLGLLKQAMGFVIDHLGPGDRLSIVTFSCTAQRIIRLSRMTDGGKAFAKSAVESLFADGTTNIGDGLRVAAEVLHGRRHKNAVASVILLSDGQDNHLGGYYSGHYDSRVDTDGRTASVDVGELYADEERRFLLLVDVARERFRVEAAEDIAAAKAAAERGEHAKAAQILDRRREASAANAVLAGDARCAALVAELSARVADRREYEQTGRACLLAGMSSHAQQRAATVQLFGCAAPPAPGAGPVCAAAPSSNRFAPPNWAPPAPTFGAAYATRAMQGMVDLSKRKREQQQQGQEISSLKKRIG >Dexi4A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:4A:24291509:24298676:1 gene:Dexi4A01G0020600 transcript:Dexi4A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAPPAIAASADNGDEDEDPDATVTRRRWRSSASYAQRLSWSSTMGSSLEVAILVKEGELLAHDGGGIGGRSNGGHGDGLGGEGDRRDGEAGVSGVPACTDDGEEDDGGGNEGNGSGEWKEDADIRRGLNSVSKIINEHGIAGVFGPVLELVDCEEKFFTAVEVTAGNSALKQRGSLENALGLKQKLVDNIRDQIAQTRSSIVMKNNEMGTDFIDKLTSEERDLLSRLNPEITELKEKFLIHRNNRIQIETRKEELETNLSMNLIRRQLELEAIISSVDSRSLPLETESKDQELKNAKRNLNQLTSLLKDNVDAINNFTTNMDEKKRQRDDLKTHKAILEKTVQDGAKDLERLMNIRRIHLNKQEECMKKIRDLGSLPADAFEAYKRNNKKQLQKMLYDCNKQLKKFSHVNQKALDQYVNFSEQREQLQRRRAELDNGDQKIKELMSVLDQKKDESIERTFKGVARHFREVFSELVQGGHGYLIMMKKKDGDAGDDDNGEDGPHDSDTERRIEKYIGVKVKVSFTGKGETQSMKQLSDMIRRLADLSGTQFIATTFRPEIVKVSDKIYGVTHKNRVSYINVVSKEQALDFIENDQTHNAS >Dexi6B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:6B:4734917:4739816:1 gene:Dexi6B01G0005480 transcript:Dexi6B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIPASPPAAASPSSATPAPRASCLPQGGMKSTAMAVRRSYSVSFSVHADHPAAVPDLGGNHSTRSRVPMDSIPICAILLFLSCSFSSIHPCASRDHLLPGKPLTPGYTLVSDDGTFALGFFSLSKSADKHYVGIWYNNIPQRTFVWVANRVAPIANLSSAMLALTSGSNIVLSDGNGHILWGSNNSIITSSPATTISAEATLENSGNFILRSLGNSTILWQSFDHPADTLLPGMKLRISHKIHPLQHLVSWKGPRDPSPGPFSYGADPKSFLQRFIWNSTMPHRRSPVWSSYFLLGSYMDNLHSTIFMAVHREDDNEVYMSFGMPIDSLSLLIRMEISYSGKVNIVNWNSNMSAWTALYTEPAHECNVYAYCGPHGYCDNSGTTSTCKCLDGFEPKDDEDWINGRFLEGCHRKKALRCSGGDGFLTLPGMKVPDHFLHLRNKSFDECTADCLNNCSCVAYAYANMSTRAIDADDTRCLIWTGMLIDMEKCSQGGENLYIRINKPSGKMGSKEIWSRLMLGDMGSTHELAGRKLDLPLISFREISIATQQFSDSAILGRGGFGTVYKGTLGDKEIAVKRLCKGSGQGVADGYMSPEYALEGVISVKSDVYSFGVLLLEIVSGLKISTTDPVTGPSNLIAYAWSLWKDGNLRDLVDSSIVENCSPDESLRCIHIGLLLVQDDPNTRPLMPWVVSSLDNNDIELPQPSESIYFARRNYRISEAGESYVSDMSLGTLEGR >Dexi8B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:8B:22088336:22088772:-1 gene:Dexi8B01G0012740 transcript:Dexi8B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLEKRPELIDLTDSGRQQHAALHGAQRRPARGHVAAALAMLYGSTGAIKALLRHCPDVAELVDRYGSNAFHVSADSGKAKALQCLLRHVRSVELLINRVNANGDTPLHIAANRSHGLRTAAAS >Dexi5B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:5B:4535451:4535787:1 gene:Dexi5B01G0006790 transcript:Dexi5B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVLTFRLWLLADAIVLDTLVVECGLVIGCLGVMAAERPALVRHGPAGCCAGRQSPDYQTPMPHAQWLRLWLPTGLWPGRLAACSFRPARTTEG >Dexi5B01G0032600.1:cds pep primary_assembly:Fonio_CM05836:5B:33189508:33191195:1 gene:Dexi5B01G0032600 transcript:Dexi5B01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFTLNTGARIPSVGLGTYKAGPGVVGDAIAAAVKVPLLALKALELYIRAGYRHIDCAPLYNNEEVVGVALKKVFNDGVVKREDLFITSKIWCSDLAPEDVPLAIDSTLNDLQLDYLDLYLIHWPFQIKKGSEISPENFVQFDMLKTWQAMEKLYDSGKARAVGVSNFTTKKLADLLALARVPPAVDQVECHPGWQQAKLRAFCHSSGVHFSAYAPLGRMKVVANNPVVTSIAESLGKTPAQVALRWGIQQGQSVLPKSANESRLKENIDLFGWSIPDELCAKFSEIEQVKQIRNDSFVHPQSIYKTIKDLWDGEI >Dexi2B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:2B:3455056:3462257:1 gene:Dexi2B01G0003900 transcript:Dexi2B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSKKRDDPQPGEPPVKPASPAPPRAGRRAPTRAARGRKVAAVKRAPPASKPKRKGVEILNLEADPACEDPPKAVAGVAGAAKKLAVNKVPEVGVNKGLRMEGESAEKIVGADDDSTATPVPEGVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGAARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVMSANMAACIAVEGISILEKLHSKGFVHGDVKPENFLLGQAGSPDDKKLYLIDLGLGWSETWKVACKSGTGFCSQVYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYCNQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSGHVKDRVKAKIVTDFSNGFRFEFRLQSLLHSSEFRPREQTIIDWSSGYAWNAAAGSREGDGDGDGERSRPTPPAWPVTSQARLGVLDRTTAAHGGSPCAWTLRGCLPAAADVPVKVAGSRDRRRVLSQARSFCKMPPGLDRD >Dexi3A01G0021980.1:cds pep primary_assembly:Fonio_CM05836:3A:17543882:17546796:1 gene:Dexi3A01G0021980 transcript:Dexi3A01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLHSCSFLSSFPKMVESFVLNTGAIMPSVGLGTWQIEPEAVCNAIYAAVKSGYRHIDSAVAYRNQKEVGLALKKLFEDGVVKREDLFITSKLWSGNHAPEDVEDDISSALEDLQLDYIDLYLIHGPIRIKKGTMLIPENLIPTDIPATWRAMEKLYESGKARAIGVSNFSCKKVEDLLAIARVTPAVNQVECHPVWQQAKLRKLCLSTGIHLSAYSPLGSPGSPGYCGPSVLSNPIVIYIAEKLQKTPAQVALRWGLQMGQSVLPKSADETRIKENFDIFDWSIPEDFMAKFSGIKQACIT >Dexi9B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:9B:3651209:3651784:1 gene:Dexi9B01G0006130 transcript:Dexi9B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLLSVVLLAILCACPGHALRHLNDVDGDREFSFGSKTAAAAEAAETEPLDPTLSDDYENEISHVEFEPELGSAAPYAAAAAAPVPAAATTDTITVAAAAPGAAAAAAGTRSMKWWLPPSTMPSFPLFPTPGGGMPGIPGLPMPGMPTMPFHPIGGWGVPAPPDQSPPTPPAAAATTSANDPNENGGGN >Dexi1B01G0024820.1:cds pep primary_assembly:Fonio_CM05836:1B:29996634:29998081:-1 gene:Dexi1B01G0024820 transcript:Dexi1B01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATVALASPASDDRRFWDRLRARVDTILEDRRGLPPAAAAGTTRVVESERGKRHREDSLMLVRGLDSVAATLSQLSDTLTAAQKGVSALATCSSQAREFERSPDVDEEEEEPKAKRLCGDSLEAAGLNGDPPVSGKEAAAGPDVEATAGAKLRQGTEGVQASAEVAQSTNLKRARNLAVSMATRAAALAKELKNIKSELHFMQERCGLLEEENKRLREGYDSGIAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLTQLVEYHQLTSQDLDESYEDVMQGMRLDFSSPLGKISDNEEGEYDDGVPVTPVEVSSSPDE >Dexi2B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:2B:30334961:30339142:-1 gene:Dexi2B01G0020200 transcript:Dexi2B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHRSLTQSLDGRGNTTPPRVGEGDREGKELRFAQSPPSPPLEVVSARLRYVLHRITTMPGEFLIARSHLPFLGRLGPKDPSSCIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGNPDVCQTYGLAYSSLSMALSQLLLEHIIGAVFLWSIVYNIVRTMSNVTEGDGDAQTNQTKVLVSGTATETVAEDSCSTLNDHTNECTLPLISTNIPPAKKKVPVLERTWKFLSSISETVDLKKLFAPSTIAVIVGFIIGGIPLFRTAIIGDTAPLRVLQESAELIGGGAIPSVTLIMGANLLNGVRGGASVQPSVIAGVVVVRYIMLPLLGTALVRGAVRIGLIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >Dexi9A01G0030460.1:cds pep primary_assembly:Fonio_CM05836:9A:35482242:35483020:1 gene:Dexi9A01G0030460 transcript:Dexi9A01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELQSVKGLDGDKLESRLYVGNLDFRISESDVIKMFSPFGKITAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKSSVDSGDSHRAFKDKKLTGGSASKSAQTDRAAKIAAIKNKLKSLEEEGCSTKRPRLTPNDLTGTREHSHKEVLK >Dexi7B01G0021760.1:cds pep primary_assembly:Fonio_CM05836:7B:26803055:26803453:1 gene:Dexi7B01G0021760 transcript:Dexi7B01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPAALGALVARRGAGYRLAAVPAGAPPGRVRFAVAPRAAPAARGGMTPRRTPAALADAERDRLPQGIPKWRSEGGELRSMPSVLPDLRRWGTPRPPGFVSVGPKNSMEIGLGSEKVLVVWWACASTRFG >Dexi7A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:7A:24947088:24948488:-1 gene:Dexi7A01G0015100 transcript:Dexi7A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVVAVPFPAQGHLNQLLHLSLQLASRGLPVHYAAPAEHVRQARARVHGWGDGALRRVVFHELPISGYASPPPDPAAASPFPSHLMPLWESFTATAAAPVAALLREVSSSHRRVVVLYDLLNGFVAEEAARLPNGEGYGLHCTAVSSIVARTEDGGELVRERGLVYLPIDPYVTKECMEYVVERARPERAMVSSAGLLANTCRALEGEFIDIFAKQMVGGGKKFFAIGPLNPLLIDASSMPEEQRGKSRHESLEWLDEQPPASVLYMSFGSMSSLRGEQIVELAAALRGSNQRFIWVLRDADRGNIFAEDHGEGRRHARLLAEFTKETDGRGLVITGWAPQLEILAHSATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCKYFKAGFLVRPCEKHAEVIPAADIQQQRARELGAAVRASVAAGGSSHKDFDDFIAHVTR >Dexi9A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:9A:3863256:3863890:-1 gene:Dexi9A01G0006800 transcript:Dexi9A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLLFSDCLLTTPDVHKLPLLFILARSDAATVVCCAVASPDLRHAVALRAAGDSGGFDPALLLVFSYVRFVSFDDHPARVAQPQELRWRRDRLRFDAGLLEAFEPVAVRGGLVVLPPTASRPLAGRRRAVPVPRAAHWAWPIDRVVLAHEFKRVGQADVFQLCLPGLDLYSGEQ >Dexi2B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:2B:29755410:29758577:1 gene:Dexi2B01G0019610 transcript:Dexi2B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATGGAILCGKHWSRRRGSERNADPSVRVKIGGARVGQRGADLTRPSPGDAICWTAVANTSPRENGLAPGHSILTVGDGDFSFSLALARAFGSGANLVATSLDSYVALLIKYREAESNTMELKRFGATVLHGINVKTMKLHTELKNRRFDRIVFNFPHAGFKGRGHTELYVIKSHKELVAGFFSNAHHLLERYGEIHVSNKTGHPYDSWDLENLASKSSLVLFKKVVFHKGDYPGYNQKRGDGPKCNKSFKLGPCCMFKFQISEAGRSGNSGA >Dexi9B01G0047630.1:cds pep primary_assembly:Fonio_CM05836:9B:46704250:46705341:-1 gene:Dexi9B01G0047630 transcript:Dexi9B01G0047630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDMNGGVEPQTPPGSPSIGKPPRHQLTSIRHCNSSVRIAAAAATSSGLDLGTLSLISPTDVRPGFLPVFRSGSCAEIGLKSYMEDEHVCVDNLIEHLGGRATGIPAPGAFYGVFDGHGGTDAASFVRKNMLKFIVEDVHFPSNIEKAIRGAFLKADHALADSPSLDNNSGTTALTAIIFGR >Dexi1B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:1B:186648:187064:1 gene:Dexi1B01G0000080 transcript:Dexi1B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHRASAAAARKHLRVLLPFSRDRLRIPDELAEDIGVAEALVVGRVKVWPVEVEREGGGGVFLGRGWPEFADASDAARGGPAPPPPRPWRAHLQVLRRQLLP >Dexi9B01G0048610.1:cds pep primary_assembly:Fonio_CM05836:9B:47506802:47507167:-1 gene:Dexi9B01G0048610 transcript:Dexi9B01G0048610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSVWDEEGVAKGVAADAVTPAPAAAMLASLSGWLSRTVQPPPPPPTRVCGTEGGPPVTAPRLRLRDGRHLAYCESGVPRDQARFKVVFSHGFTGSRDDTVRPSQVLYVLIIVLSDPSE >Dexi5B01G0021270.1:cds pep primary_assembly:Fonio_CM05836:5B:23582939:23586434:1 gene:Dexi5B01G0021270 transcript:Dexi5B01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSNTDGLIRIPLKKRSIMESIYGELLPKQTNTVESQTTAAREVFNDPVREAIFQAREREHQMLVEAAAMERRRSLHASSIPRTPASIHYGSGAIAGFYSQDQVTVGDLVVQNQEFIEATHEPGFTFLLAKFDGILGLGFQEISVEGSVPFEMSDFLIGGKSTGICVDGCAVIADSGTSLIAGPLVAIAQIHEQIGAAGIVNHECKQVVAGYGQQMIELLKAQTPPAQVCSKIGLCTFDGKHGVSAGIGSEVGPADCMSDAICNACEMIVFWMQSEFNTNKTKDGTLEYVDRHSASVEEHLNSGLIRILGDVFMGAYHTIFDYGNMRVGFADSA >Dexi9A01G0028870.1:cds pep primary_assembly:Fonio_CM05836:9A:33600117:33609382:1 gene:Dexi9A01G0028870 transcript:Dexi9A01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPRDVATAVLLLLLSPVLSPVASAVPFIVLHGIGDECGNDGLTSFTKMLGEWSGSKGYCIEIGRGAWDSWLMPLLEQVKKMKELSGGYNIVGLSQGNLIGRAVVEYCDSGPPVKNFISIGGPHAGTASVPLCGPLGPAVAARSARPAGSERPAAAASSACSAGRQGWVDKGWWRLVLGACPCTSRQESRREAGRQQRRRRRLERASSGPCREVGRGRGVPSSSSCGGVGGGGGGANPWLLMASPSSCASGRGGRIRTSRGCSDGGHDNDASTVQKQLVGVLSWCNHNKNKLSGIEVNLLNVCSGLLCILIDNLIKMEIYSDYVQAHLAPSGYLKIPTFEDDAVLIPRETAWFGYYPDGAFNPVLPPQQTKLYTEDWIGLKTLDEAGRVKFVSVPGGHLRISRSDMKKYIVPYLKPDASSKLSIRRILSL >Dexi3A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:3A:1452427:1452725:-1 gene:Dexi3A01G0002190 transcript:Dexi3A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLITHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVIRCSMPFLSYLA >Dexi2A01G0030940.1:cds pep primary_assembly:Fonio_CM05836:2A:41741146:41741543:-1 gene:Dexi2A01G0030940 transcript:Dexi2A01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIGLHLVDNGGELMLVHQVHSNYQRKCDVYRVDFESALLIPVKSFNGRVVFMGMRRTISVAAGVLPLVAADALYLGPKCDGEIVGYNIADGSRIPYQRRPIPDGRVHPMIQGIGERLA >Dexi9A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:9A:12130815:12131411:-1 gene:Dexi9A01G0017080 transcript:Dexi9A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASSVRAGFGPQQRSALGFRYSQRRGPACPCLRWPRPASRLLPGGGGARPRGAIAAAPGDQRRQQLGELEAEAEAGPALGPSRSSPSEVREEMARCFDLVRRLGRGAVYLGSSRVPLTHPHFLQTTELAREASSATRYYFLAQFNTLVLS >Dexi2A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:2A:5390997:5397777:1 gene:Dexi2A01G0005620 transcript:Dexi2A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHLSAVGVEPVGRAESYVSGGMNGAAGGLCSWASVGSTRARRAAKIPFPFAQPPPPPPQVNPGRHQDEHTRRNKQTISVVAVSGSETMEDRRRRRHLAPNDDDDDLVELPAADKPRHPLRRELSRTSRFFISTAQRETHGGFLHRTSLPPTLQGFFFSTFTDENVDEPDADEPPPPPEAAEDEAELWCVCEDELRILRAMEISIKSESTPLLDEYDSESDEWSMPGGFLLQELQMDMSEVAAFKRKLCFSRKGGKKKGKVQFEEDEPEDLFEDNSDSDSPHGSPLYAESQDSSSASSDNEDDDDDVEGDKGDGGAAYLTKEHGAGASGSTVRGSSRISKPKKPWDPTFSFLTTSLPAADYVHLIHSCNGLLLFGHIEDFLNNLDTLETCFIVCNPATKEWVQVPSCYAIDALRLPKGSAILHAYLLFEPATSTLAQAYSSETEEWMTNDDWTTQGFAPLERWRGHACNAHDNVDFDSPGTVVDGMLYLIYARKWILEVDARAKTRRVMPALGVQRRVLNFFGNHVVSVGQSQGHLHYIVREGHGELVQVNPALQRRSVDVEWVNHGIAIWVLQDCDAQEWVLKGRVNYQQLFGRESCAGNLDYRVAALHPDCNVVFFFQHWDRKIKSYDIDGRDVRALNTDVENSTGSIIPYTPYLSELFLGSIGAHE >Dexi9A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:9A:5551627:5556938:1 gene:Dexi9A01G0009260 transcript:Dexi9A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSGAGAGAGAEGKKRGASRSWILFDAVGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEVLLRDPSDENVIPVVEELRRRLAPSSATQHDGRENLSGQQDVEGADEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASAATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYSWNDGHGYIFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >Dexi8B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:8B:19142614:19144428:-1 gene:Dexi8B01G0010550 transcript:Dexi8B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGPRAWVADVEKTLGEADASVEVFRWQRHCIYRVPSCIKDLRPKAYKPQLVSLGPLHHGDPELVSMEEHKRRALRHLLRRAKKPLEEFAAAVEEVADELASAYLDLGAEWREGEGKERFIEMMVVDGCFLLEVMRATGIDYAPNDPIFSRHGAVYMVPYIRRDMLMLENQLPLLLLERLVAVEAAKPPNSDAINRMVLRFMSPRLHLSVSCLGLHALDLHRQNMLYGYYQTPRWRSDDMSVDADIIRSAVELHEAGVRFKKSHTESLHDIRFRRGVLSMPAVTVDDSTEHMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSNGIIQNAVGSDKAVAELFHSINKDVVLEPGSALEAVHREVNAYCRKPWNQWRANLIHTYFQSPWAFMSLAAAVFLLVMTIMQTVYTVLGFYQN >Dexi6A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:6A:23941277:23945639:-1 gene:Dexi6A01G0016070 transcript:Dexi6A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLAVSHRPALPLPTPHGHLRSRRHHLQPSPNSLSLSLPITPHVTPTTRRHLPPLLASASAAPVANPSPAPKPAAAAGGAKPLPLLLSLAAGLAVRFLVPRPAEVTPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVAIYFVKWLGRSTLGLSYGLTISEAFIAPAMPSTTARAGGVFLPIVKSLSLSSGSKPNDPSAKKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIGNPWITWFKDTPDAPALAAQKLKNMGPVTRNEWVMIAGYVDLPDVFKLGFTTAAINAVIWAVVGAFWWKFLGLY >Dexi4A01G0023670.1:cds pep primary_assembly:Fonio_CM05836:4A:26691450:26693747:1 gene:Dexi4A01G0023670 transcript:Dexi4A01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGYDGFDGSAVADASLHPPIHSQGPDAAAGVPTPASVLLDFSAYIDGRSNATTAWSETRGGHRISVTFWPVHPPRVSCFSVFCPDLKPGDFEEEPVILAAEDDVVLLCVVIGTRARFEYFIYQAGGCMPPSLKLLKHPGPSRVIHGCNAGLLRSSKGDGFYIIAALCYAYASMVPGHYDLYIYDSRTEEWATKTALLRQEQELGHHSSHKHSKAAGTMGWVDLRRGILFCDVLRQDHTVPLCYVALPPPLKPDRKLKGCADHDRDIAVIKGCIKYVELQTLVRPGSVVVGNYITDDWTAATWSRKATSFKSLEKGSWQPGCKVSASQISARKKPVQFELLPKLVDDQGTPQPTLERLHTARPMLSLHQDNLVYFMAKVNYMKGTEAWVLAVDVKNKTLQGVAEFDARRTLGMLFTCMCSSISQHLHMTPAIHGHLKRPGTLMHQSSLKKQAGTMDILDWDGEQKLQDSDVEGTSVRALDQDSMDLE >Dexi5B01G0039180.1:cds pep primary_assembly:Fonio_CM05836:5B:38156126:38159141:1 gene:Dexi5B01G0039180 transcript:Dexi5B01G0039180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAVTSRARRGAAASSSSRRRADPAALCRERVALIRAAADRRYALSAAHAAYFRSLAAVGDALRRFAAAALAPATPAPGSSPVLRLPPSPAKPVAASAAATASSLPPSPSSSSTVSPLSHSLSDDDIVEALHDASHARDKASSSSTTYHHHYMRRSPTVPTVVYDDPNAQVQYTQADASYGYGYGYAYPYGPYGEAVAGDTPAEAAPMPPGPPPSPPIAQVSPWDFFDPFTQYDQFMEDYSRGNLPTNSPNYAELKRMEGIPELEDEAELEAKTAAESSKPSTSGVADQNAKGKGPIADNAASSSNPSGGKLQRKESEPAPGVKLQSKGSETAPGGGGGGGMLQRKGSESAPEANVEAGKPVSRNDSVPSNDSPKNKEGGSNTAGLKGTVSGDIGGSSTSGKTKAVAFDEEQSIRAGGGDSHGKSVHSVVSSEPFSPLHHATRDVREAMNEVKELFDEAVNCSTDVSRLLEVGKMPPQSTPRVLRYISSRVVDPLGLTVSTSSCLPKPHGRRSRASSSNANASASSSAGQRNGIGHLSSTLEKLWVWEKKLYQEIKDEEKLRVQYEKNHRRLKSLDERGAESSTIDSTRQLVRLLRSKISINVRTANAFSSKIQQIRDEELYPQLVDLIQRFRRLWKGILECHEKQLLAIHDSKIHQLKAMTISQSGVASEASRELERELTKWYRCFNKWISSQQSCVEALNGWLKKWLPDPEAPEEDTADGVPPFSPGRLGAPPVFVISNDWFQAIEMVSKTDALRAIDHFSKLVHEFKKSLEEEQWQKRKADHASRDYNRKREVLQEEFGLSTMENPHYSHDDRVMDLERLRKRSDEEKTSHEKMLDHAHVAASATLPIGLIPVLQHMTSFFQKNQQVYMRIRIQGT >Dexi8A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:8A:6725631:6726029:1 gene:Dexi8A01G0006470 transcript:Dexi8A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADRISALPDGILQHVLGFLQADEAVRTSVLAPCWRDLWRTMPVLRITRRRVFVQSIRRFMDHLFLLRDRSGLLDALLIDCVRHLEDDALNINLWIRARIAVPGQGGVHMSGVMSHCILLSCQTT >Dexi6A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:6A:20439867:20441029:-1 gene:Dexi6A01G0013390 transcript:Dexi6A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTVDLRGVVPGGAGWEAARAAVTASMVAHGCVVVAHDALGEDLRRTLFARAMPELFALPLEVKQQTVSSNGKFKGYFGQLPGMDWESLRVAEPTNAGSVHGFADIFWPEGNPEFCETLLSYGKKMLKLEEMVETMVLESLGVRGETIRAHLDQLGHGCRLSHYGAPPDTESSMSMPPHCDDSMMTTIVQHEVEGLEVHVGDGLWIAVPPKPDTVTIVAGEQFRVVTNGRVPACLHRVRTPSNRERFSVLFGGRQKEGVAVRALGDLVDAEHPLAFNPLKHEEYSKWRYSAEGLRFEDPLKAYCGVEKDGANAVV >Dexi3A01G0033520.1:cds pep primary_assembly:Fonio_CM05836:3A:38263522:38264547:1 gene:Dexi3A01G0033520 transcript:Dexi3A01G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGMARAGHGAAAATAVMRAAVSAFFGGYHRFTSVAALLALPYSAAVLASESMAPSSAMLRSTAARLRSVFAAAGFPPSPFFALLEAKLSQTIFTFVATLPFALTFLLLAKTCVAAMLRDDVDGDGASPPRRRRRLPAAVAALPPCAAVVRAYPAVVATHLLNAFLMLSANAAVFSLLLLAFGGADMAGLTSHFWTLVLSAAGAIVYSLTIGVATVVCNLAVVVAATEPGCAGHAAILRACVAIRGRVSTALAVALPTNLALASAEALFGLRVMAQRRMAGGKLAPGVAGEAFSIAYIHAICVVLEIIVSCMFYRSCKRSEADELRELEPEEKGDLQA >Dexi4A01G0022450.1:cds pep primary_assembly:Fonio_CM05836:4A:25711357:25713931:-1 gene:Dexi4A01G0022450 transcript:Dexi4A01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLTALISLLPPCLLALLLLRLAAVLDPDPDAAVPRVKAAAPLPLRFRHDGAFKILQVADMHFGNGAATSCRDVAPDGSGARCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSATDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSMLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRTTSLELQVLLITIDNQITTFNRSGDCGIQDSK >Dexi7B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:7B:12856174:12857674:1 gene:Dexi7B01G0005420 transcript:Dexi7B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTRRDRKPQIKTMQDPEELTFKDLREITDGFSKKVGEGGFGTVYKGVAKTGKHVAVKILRDVISDLNYEQFRNEFRNLTKVRKNWRERWQATCSSDFSLEACCRQVEKCTQMALDCLQNDSKKRPDIVKMMEELNKIEPDINKVIDIIANFYI >Dexi2A01G0024860.1:cds pep primary_assembly:Fonio_CM05836:2A:36584863:36588164:-1 gene:Dexi2A01G0024860 transcript:Dexi2A01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAEAMAPVVKAIDPAMVVSHKFPEVTFGYDERDVALYSLGVGACNADAEDEKELRLVYGRDGQSPIKALLCGLSGHFDPLHSDPSFAHAAGFSRPILHGLSTLGFAVRAVMRSFCSMEPAAVKRISCRFLHHVYPGETLITEMWLQGQSRSVYYRTKVKERGRAVLSGYVQLKHIPSSL >Dexi4A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:4A:1397887:1398891:1 gene:Dexi4A01G0002050 transcript:Dexi4A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVSTAPLTRLHSLPPSPPALHHHHPHPHVLLRSHRSRRAPGGGTAVVAALPTAADLPALSLPAAAAAAAAVAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATEGVNRVQLDIREGHAQTVAAALSMLRDSPLDLAGATVCDAGCGTGSLSIPLAAEGADVLASDISAAMVSEAQRQAQLAMASQPSKSPFRMPRFEVRDLESLDGRYDVVVCLDVLIHYPREEAQAMIRHLASLADKRLLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHAERDIEEALRDAGWRVNKRGFISTQFYFAKLFEAIPAGSSS >Dexi1B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:1B:26048775:26048990:-1 gene:Dexi1B01G0019830 transcript:Dexi1B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKDGDVVVLGTDGLFDNVHDAQLERAVRMGTKLGFSPKNMAGIAYNVSRNDKAWTLYSVGYLKASLKS >DexiUA01G0024650.1:cds pep primary_assembly:Fonio_CM05836:UA:51189114:51193572:-1 gene:DexiUA01G0024650 transcript:DexiUA01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDPGRTPAQGEEGSSTSPWPLRKLQNFAPGLWSQYKAYEDAVVDNTKGTIADALVLVKEHQVEAIGCATVAGFILFRGPRRFLYRNTFGRFKTEKDLLNDAEQSMLEYKKSIENLKRESKYTLDKVAIGESDLQRGRTDLRSTGKQIQSLVGSIYKAESTAAGLMDRLRTIPTRQSLELRAEASSFHGLRFEEPEMCLAGKDQQNI >Dexi8B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:8B:25397945:25406906:-1 gene:Dexi8B01G0014760 transcript:Dexi8B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSPSPPSSRPPPLPGYFDPESSGRREEYRRYSGLLHQIIMLLSFAICRKRLSSSNVSPLLGSSLSKTSEARLLYDGDSIPRRPNAGLLLEDIKQEAEDYSNFEGLDGSRIFSSAKRRGSLDGGSASDAAYSSGRQAVRQALKQVKLEDDMYVPHEGETSFTMFASLLDSVIQGLMPIQDVILQFEKACRSASESIRSAASGKLRVVEDKFMQQKAQLLLDEAASWSLLWYLYGKGNDELPGDLCMYSPKIFYALFCKQSPTTSHQEACRFVAADLTAQLCLRIVLWLEGLASEALDLEKKVIGSHVGSYLPSSGVWHCTQRYLKRKNNDSTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQAWRAATLCPFGGIDMFPSLDALLKNGKSRTLQAIELESGIGRQWRLWKWASYCASESACWAMARSWLDVQVDLELSQYQTSRPDEKQLDDDMNGAQSSVGPESWPYHVLDQQPRDITALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLIDLLWSWLSPAEEDQNVARPLDDPEMIRFGAHVVLVLRYIFSDEMEDELEEKLVTVGDLIVNMYVRYLFSEDQEELVGVYASQLQRDLCINLFVEMMEVRLNSSSQFLIFSSGNSLHTMYKLFLSAVEYLPFSSGDPSKACFEEIIERVLSKSRQTKPSKYDEDFSDVAHQHHLQSLQKAMVIQWLCFTPPPSIPDFQMITGKLLIRALMHSNTLFREFSLISMRRVPELPAGPHKLLAILAEPLKQKENLFSLEDQEVSDNLQEFEDWVDIQVSPRDPCCIEVALRCLAAEGDGYGLHEANDGGLLAAIMAAGFKGELSRFQPGVSMAISRLDAWYSDGNGSVESTGAYIIRGLCRRCCLPETILRSMQACISLSAAGVSLDDCDKLVELVGLHFVVSIKLPFNVCQEFLIFERECLISKMELEEEQQLASDD >Dexi4A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:4A:16344939:16345351:1 gene:Dexi4A01G0014330 transcript:Dexi4A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARDGAEAFPDEGECAFTLDEYIEGIEDAELEADMVLGGDDGKECTYAGGYLKRQAVFSCLTCVPDGVAGVCTACSLNCHDGHEH >Dexi9B01G0048030.1:cds pep primary_assembly:Fonio_CM05836:9B:46980504:46982100:1 gene:Dexi9B01G0048030 transcript:Dexi9B01G0048030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIARLVAAAAPFPRPARAAPRLVLAPVALRAATRRRSVPARVAADDQAAGVVGDEAAADGELEAARRATAERAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMDGEIPVTEMVGTLALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIVNAVPAMSLLAYGFFNGGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHMDKFQGVPYGLFLGPKELKEVGGTKELEKEIKKRIKRKGTVDAIQ >Dexi5B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:5B:1186782:1187036:1 gene:Dexi5B01G0001850 transcript:Dexi5B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQSRSVACRPRSLLENNGWMENTEERKRRETREDRRRISSAAQVPGGRFGGQDQKGSLTRRSFLCSDSALPSPVTSRRAPRR >Dexi7B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:7B:21399103:21400418:1 gene:Dexi7B01G0015370 transcript:Dexi7B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNGGGGAPVMLNVYDLTPMNNYLYWFGLGIFHSGVEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFVYRRSVWMGTTDTSRAEFRSFVENLAGKYNGNTYNLISKNCNHFTDDISNPFFMSGLWTFLGSFFNYLLPESIQASTVRHVPTYPAFSGELKPEFQMW >Dexi9A01G0045130.1:cds pep primary_assembly:Fonio_CM05836:9A:48724301:48725224:1 gene:Dexi9A01G0045130 transcript:Dexi9A01G0045130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPAGGKGRKKGAPAAPRDDDLEAAFQDFDSDSEEDVVTRLVPPEPRAFASGAAGPRRRRRPTTRQYHGVRRRPWGKWAAEVRDPVRGVRVWLGTFATAEAAALAYDGAARDLRGAGAKLNFPSRSGAVRKRRRAEAPKATPYVDLVVDDEVVLGARAPSSVKNEDAETTSSDAISGDSGCSALPDFSWQSMSAIDDDGATRPVDFVNNVELDDGHSNSKRGRTQPQEAESEPAASEESDDVLFDDLMFGEQLSCFFDGGAYGSLDGMFGGDASLSNEGVGLSLWSFDDTAISYY >Dexi2B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:2B:30484516:30489131:-1 gene:Dexi2B01G0020430 transcript:Dexi2B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETWYYDVLGVTPAATEAEIKKAYYIKARQVHPDKNPNDPLAAQKFQASVSPAVFVFAPLTCSAPSRSGAILLLILEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASFDGFSEDKQIDAKRLQEQMRVVQKEREEKLAEALKNRLHLYVQGSKEEFIQHAEAEVTRLSNAAYGVDMLSTIGYVYSRQAAKELGKKALYLGFPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYLQTHKSVMVDSLWKLNVADIEATLSHVCQMVLQDSSVRKEELRTRAKGLKTLGKIFQRVKMSSEGEPAAMKNTINNSDDNDGSSPDSSPKSPRDGMFDANPPYAQSPYVEAPQFGDYFFPMPAAPPGAQRDPIP >Dexi4B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:4B:3854452:3855018:-1 gene:Dexi4B01G0005450 transcript:Dexi4B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSVACTGVPGHCARVGSGDLWCLASCSSYPAAAQSRWTDGLCQVADTWGRGVRLLVAGGSRRGNEERRCGLGGSGSGWETRRDAAAGATGPRLPRRIRAGWIGWMPGSNDLGGGGGRAAAARQLVSLGSRRASLPSAGVAEPDPLLLSSRGCNPSPKERSNFHVFKHSSFAPLPRKTFDAGRNRR >Dexi5B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:5B:13260878:13261346:-1 gene:Dexi5B01G0015810 transcript:Dexi5B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNVAFCPSLFAAQRRETKSAGLASNTSPGSMAALGSMWPEPMASGLATDPAAVIGWNLLSAEVAVVIMAD >Dexi9B01G0036470.1:cds pep primary_assembly:Fonio_CM05836:9B:37986543:37987408:1 gene:Dexi9B01G0036470 transcript:Dexi9B01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARSPLGEEVSLRCGGPALGKPISGRRFHRGCLCFPCIAHLHLSTACGYRNRRLRRRFSALLHQVKPYKRSSPGRKHHDYASAPAVSRIHGARQSRLRECVHAWSFSPDPGTEHMLVALARSLGQHVTNSPVGFWCQMDAGGHTERAGRSIDIDSVTGAYLSPCRRMHALYGRENPAATTLARCPVPLMRGHGPWTTWSETDAARD >Dexi9B01G0034420.1:cds pep primary_assembly:Fonio_CM05836:9B:36397011:36399991:1 gene:Dexi9B01G0034420 transcript:Dexi9B01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHTLRSRSHRSAGNASRAVAAEPSEAMDQSCWAQLPPELLREVLVRIEASESWWPARRDVLSCAGVCRTWRGIMKEAVRVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYDLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTTSQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASKDNGSGNQENDKVILQFGKIGKDLFTMDYSYPISAFQAFAICLSSFDTKIACE >Dexi5A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:5A:23721769:23723224:-1 gene:Dexi5A01G0019800 transcript:Dexi5A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISTGLDSSTTFQIIKSLRQAIHILGGTALISLLQPAPETYELFDDIILLSDGQIVYQGPREGVLKFFLCLGFKCPERKGVADFLQEVTSRKDQKQYWVRNDKPYQYVSVKEFASAFQSFHAGRAISSELAVPFDKSKNHPAALTTSRYGVSAMELLKANIDREILLMKRNSFVYIFRTLQLMLVSVMAMTLFFRTKMHHDSVTDGGIYMGALFFSVMMIMFNGLSELALTIIKLPVFFKQRGLLFFPAWAYTIPTWILKIPISFVEVGGFVFMAYYVIGFDPNVGRQSEEVVDLGLLDLPIDVCPECHLCK >Dexi9A01G0036800.1:cds pep primary_assembly:Fonio_CM05836:9A:41138146:41141057:-1 gene:Dexi9A01G0036800 transcript:Dexi9A01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVRALLLVCAFLLINSVSGEAPYRFFDWDITYGEINPLGVSQQGILINGQFPGPTIECQTNDNLIINVRNSLPDPFLLSWNGLQQRKNSWQDGVSGTNCPVPPGQNFTYHMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIHSRPLIRVPFPTPEDEYTVLIGDWYTTSHKALQDLLDSGKELPSPDGILINGKRNSNGADFTVEQGKTYRLRISNVGIQNTLNILIQGHNMTLVEVEGTHTVQNSYSSIDVHVGQSLSVLFTANQPARDYHIVVSTRFTNTTLHSTAVIRYTGSSGPAFEALPSVPDDVEFSLNQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLASSMGLVGGNLRYAVNGVSFAEADTPLKLADYYNISGVFQLGGIPDAPPPAYNGVDMEEVQSKTAVMDSDHRSFVEIVLENTEDGVQSWHLDGHSVFVVGMEIGTWSEQSRGSYNLVDAVSRCTVQVYPRGWAAVLIALDNVGMWNMRSEVWARRYLGQQFYLRVYTPTHSFRDELPIPDNVLLCGRAAATNSSRTHV >DexiUA01G0022170.1:cds pep primary_assembly:Fonio_CM05836:UA:45317070:45319156:-1 gene:DexiUA01G0022170 transcript:DexiUA01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYSSTSKPWPQRHPPSPSQGAGFARHVARAGGSKRRGAGAAVAEGVDETAEAAERMRSLQLPSPSQGTGAARHVARAPGSKRRGSGAVAAAEGVDEAAEAAELVRSLLRRTAGGKERLVPVLDRNVRVVRTEHCFLLFEELGRRDAWLQCLEVDILFKDLDESIVSPDIYTYNGVIDAYGKNDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLRGKAESVLRKMEEMGFKPNYVTQECLIMMYAHCDCVSKARQIFDELVSLQNKMHLSSLNAMLDAYCMNGLHIEADRLLDTVLQKGVVPSGSTYKLLYKAYTKANDKVLVQKLLKRMNKQGIVPNKKFFLDALEAFGTSERKPRASSATNSASNRSSDSAGDSETVTSNKPE >Dexi5B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:5B:1404147:1407529:-1 gene:Dexi5B01G0002150 transcript:Dexi5B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSPRLISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQSEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLFSLGILDEDAKLTVPIGFQVAEIPLSVWVSVRGVKKEFDEAKLRFAAAEEP >Dexi2B01G0029200.1:cds pep primary_assembly:Fonio_CM05836:2B:37664242:37665063:-1 gene:Dexi2B01G0029200 transcript:Dexi2B01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWKRQLSSLQLSLVAAKKSLTSDEVAARATRTDFTPAHAQYDSEDTLSTGTRTPEVIESRHHNHHPEAKVSAGNSDRRVNAVNHLAKEFEDRRQVFEDDAGFLVAVRSGQVGSHMNPDEELRKLKDRFATWKKDYKSRLKETKVNLQKVSTHDEKSRKRWWGKKSSK >Dexi1A01G0031610.1:cds pep primary_assembly:Fonio_CM05836:1A:36509466:36514177:1 gene:Dexi1A01G0031610 transcript:Dexi1A01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLLQKETKGTAKAGAAAMAPSPAAAAGPTFEDLERDLQAVLMDQNHTSSADELSMFRSGSAPPTVEGSRTAIGALFSGPPLHVNSLGGGSSSGAGVDMLTEEELRSHPAYLSYYYSHEHLNPRLPPPMVSKEDWRAAQRFQVVSGGIGGRRRRPSETGSGNSLFALQPGAREGGGEKVLLNDRMGRGERNGLSRQQSSEWLGRSTDGLIGLSDVSGIGSRRKSFADALQENIGRPAAAASHLSRSNSRNGLESPNPIRASDSPKPQLQNRSESMNGLRSGSTSPSLFFEYGTEVQTKQLASLLKGHVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGSIMRCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSMHPYGCRVIQRVLEHCDDESTQNAMMEEIMQSVVTLTEDQYGNYVIQHVLQHGKPEERSTIITQLAGQIVKMSQQKFASNVVEKCLTFGTPEQRQILINEMLGTTDENVPLQAMMKDQFGNYVVQKVLEICDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRIGAPSSC >Dexi2A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:2A:33156838:33167378:1 gene:Dexi2A01G0020930 transcript:Dexi2A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHHKLLFHRIRRRTTKSYSAAAGLATPTAATIPAPSGLVALDLVDAGELAPTPRLYHSLIAACAQSKSLADARAIHAHLSRSRLAADAFLLNSLVHLYCRCGAVPDARGVFDGMPDRDTVSWTSLIAGYAQNDMPEEALGLLPDMLRARCRPNGFTFASLLKAAGACGDRVLGEQIHAFAVKYNWDEDVYVGSALLDMYARCGQMGMATAVFDRLDSKNAVSWNALIAGFARKGEGEITLMAFAGMQRSGFEASHFTYSSVFSALAGIGALEQGKWVHAHMIKSGEKLASFVGNTVLDMYAKSGSMVDARKVFDRVDQKDLVTWNTMLTAYAQYGLGKEAVAHFEEMRKCGIQLNQITFLSILTACSRAGLVKEGKHYFDMMKDYNVEPEIDHYVSYVDLLGRTGLLNEALVFVFKMPMKPTGAVWGALLGACRMHKNAKIGQFAADHVFELDPGDTGPPVLLYNIYASTGKWDDAARVRKMMKGTGVKKEPACSWVEIENSVHMFVADDDTHPNAAEIYRMWEEINMRIRKAGYVPNTDYVLLHINEHDRETKLQYHSEKIALAFALINMPSDMNSADWRMGADKEHGISSSIQEVQLLNCDSLIGNAHGIAFFPGGGVHSDVASYDQMVPLCQVSAMPKSGGAVATEHDPRACKPDASRCRPSAGPAARPNTAQCMDRRPERKAKRAREEEDGAAPDFPFEEAAAGADADAGEASRRPPGVFQFPEARPQQTDAAVTRNGMGGCARGRHLASHGCHAPCGTDGTLPLSATLTLSPTALEPENSRPRKPTPQTPVRPTAKNKCLPPPESDRTLLPSLPLAPSPPFTPSAAAACAGSVSRSADEPALAARAMPRGRRRCRAAVLLLLLASVLAPLVLYGGSPVSVAPLPDSTVASAAFDREDAPNLVWPQIEVSRAEDLTIESLGEHKTRVLSATHHLQAVEAASRSRASGKPDASVLSESRNADEEDASVIEGNDSARLGQGSVIREVVGSEGSAGRFGEPGDDKEPQLQEAAEHNDGSDEAGENFISGVHALGNLNSSSSEENGVHRLSAQTRDASITKEHQTRVTNSSSSARRVTNRSTAQSTKSSDATIHVIKDQLTRAKAYLGFLSPRGNHGFARELRARMRDIQRALGDATSDRQLPQNVHGKIRAMEQTLVKVRKGYDSCSDNVLAAAVVVNSTLVHAKKPENHVFHIVTDRLNYAAMKMWFLANPLIKAAIHVQNIEGFTWLNSSYSPVLKQLESRFMIDYYFRSGHARPDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLTALWSVDLRGKVNGAVETCRETFHRFDKYLNFSNPLIAKNFDPHACGWAYGMNMFDLSEWRKQNITEVYHTWQKLLKS >Dexi9B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:9B:14348918:14349337:-1 gene:Dexi9B01G0019750 transcript:Dexi9B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKVAVLFAVSCAVSRRHGGGGGGGTPAVMTVNGFQRGEEGGRSECDGHFHSDGEMIVALSTGWYAGGHRCHRAIRITSARTGRSVEARVVDECDSRRGCRDNIVDSSPAVWRALGLDTDVGEVPVTWADA >Dexi5A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:5A:2288040:2290480:1 gene:Dexi5A01G0003140 transcript:Dexi5A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPEELIASSSSGGGDKDVDKVGERLQGVGISDGSSSSAAGDASASKPEEVKRLPGGKVKKKEKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >Dexi5A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:5A:21799161:21803024:-1 gene:Dexi5A01G0018250 transcript:Dexi5A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDQRRQAAAMVTVTRARAARAQDSPARRLIAWLQLLFKAFVHRYGKLASWDAAGRPVFVVLAVFFLQRTLRRRYLSWKESSRLRLMAAAVTVQSAVRVMAARRELSLRKQIRAATRIQAQWRCHRAVWSYLKTKRAALICQRAWRDSIMSKELRIFTQEDLEWEMLGEMCRLREMVDVLQQAVNDAETRAINEREAAKKAMAELEAAPVIKETVVMVEDTEKVNSLNREIDQLKDLLGAEMKETFAAKKALAEAELRNEKLARLLGVEEVKNKRLQDSLIRMEEKASNLDEENKMLRQAVASIPTIKSPSTENHEAPNLQGRDNNDTLAYWLSNSCTLLVLLQRTLKVNGVAALARQRRRASPLKVPQVIIISLKENQESSHTDRPVSDGRLIGGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPKTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNVMKSNYVPPFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSAWDELRHIRQAVTLLILEEKHSRNLTEIADDFCPALSMQQLYRISTMYCDDKYGTLGIPSEVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDIADMDLPPLIQESNGSKLGH >Dexi3A01G0026620.1:cds pep primary_assembly:Fonio_CM05836:3A:22910850:22920520:1 gene:Dexi3A01G0026620 transcript:Dexi3A01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPVTLPPPPPTDVTSHRLLSGRLWGLVGVRASETLDLTVISFGVFFQRPRRMENGGIEEADDALPVPAPPNGRRYRPVGSSDSAVIQMTSMEPGSSSSASIDAVVKPQPPRNLKPDANLTIDPSMREGSPDDHATSGGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQKSVTVVNNTLVNGTETAGTSTISTPSLHDLQVYGVIVTVLLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPSGSIYWDFKCSTSESDRGSKYSSYSLSLIQGFIHFYCFESNLLFTLIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSTVAQQDDSHEAYTSAQQRIRTYLDEMKETAQRERHPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >Dexi6A01G0004070.1:cds pep primary_assembly:Fonio_CM05836:6A:3757492:3757751:1 gene:Dexi6A01G0004070 transcript:Dexi6A01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGFIMEVVETAYAGHAKVLASTVDLNKFPDGIICVGGDGIVNEVRSVPMFLYF >DexiUA01G0022540.1:cds pep primary_assembly:Fonio_CM05836:UA:45754381:45755418:1 gene:DexiUA01G0022540 transcript:DexiUA01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSLGIRNWGFYDTMKGNLGLQLMSSVQADRDTKSLLPSGAFLHHHGHHNAPHQLHSHHSCNSGSGGASSGMPTEPHSIHMDFSRNEAWLHPSHHQHPREQKVLNARPVRPAGNVGHSGHGGHPGHGGHPGHVGHAVRHQPTVYGMMADAPHTLQMMQPPLQPQPQEPPPCKEDPVPPLVEDHSMVKTEPPVKKRQQGRQPKSPKPKKPKKAAVPREDGAVNGHAPRRRGPKKTVGMVINGIELDLSNIPTPVCSCTGAPQQCYRWGPGGWQSACCTTSISTYPLPMNAKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLANPIDLKNFWAKHGTNKFVTIR >Dexi9B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:9B:13665145:13669946:1 gene:Dexi9B01G0019070 transcript:Dexi9B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSSNVMLAIHEKKTTPTDLYRPLRLYIASVYSEREAAAADDDLSVVRDLRAEVEQPSLPDPSSLEKRRDALLAYARALSLVEPRFPISPDRAHVHSLTFTWHDAFKGNKKCALASIHLEKAAVLFNLGAIYSQIALAADRSTDVGIRTACGAFQSAAGAFAWLRESGVAAKAVAAGATTVDVTPECAGMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAPPLNQHFDKTWVAHVQLKAAQFYADTCYRYSLDLHEKEEIAEEIARLKIGMGALADAKKAARGVAAQLLDSVNKLEGNMKTNLERAMKENDRVYLMRVPAAGSLGALPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGSITLPVDLKEDVEAVQISGGPAGLESELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANLKKASDSDSLIERGVKENYPLMSILDKRPIESALPSMSRPIMSLDGNEDAIVGSLKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEIAKYDSICADIAENIVAQEQLLLQIQAQNEQFAAVFNLEDYKAARERCYKQIAAAVAKYQEIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRSIQCREMIEDVQRKLAGFNFSSSSHSSMQRNASLQPDQSSPSPPPHAQAPYAAPLGGDSRPGYSQPELRPSYSQPYPPSYGAPAQQPPYGAPHPSQYQQPPNQPPPGHDYGQPAYPGWRGPYYNAQQPQPQQPGPYPQPPYNAPGAYPPHQSNNYYRPQ >Dexi9B01G0042250.1:cds pep primary_assembly:Fonio_CM05836:9B:42502977:42505938:1 gene:Dexi9B01G0042250 transcript:Dexi9B01G0042250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISAAFHDTTTNDDEACVHAMEILNGFIASMTLKAAIELGLIDDLLAAGGRAVTPEELVAARPWPRPAEAAAAADRMLRFLASHSVVRCTTEVGPDGKARRSYAAAPVSKWLANGRQGSMAPLALMNMDKGFMETWYYMKDAVAEGVTPTEKAYGMPLFEHLGSDEASNTLFNQAMAGHTEIITKKLLELYRGFDGVDVLVDVGGGTGSTLRMITARHKNLRGVNYDLPHVIAQAPPECVKILKNCHKALPANGKVIIVEFVLPASPEPTPAAKGAFTLDVVMLNRLAGAKERTEREFADLAVEAGFSGECKATYIFACAWALEFTK >Dexi5A01G0033790.1:cds pep primary_assembly:Fonio_CM05836:5A:36038609:36039769:1 gene:Dexi5A01G0033790 transcript:Dexi5A01G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALDPLLPHPATALDHLGRPASRRTTGRWPAAVFIIGVEISERFAYSGISGNLITYLTGPLGQSTVSAAAAINAWSGAALMLPLLGAAVADSWLGRYRAIISASLLYILGLGMLTLSSIFVPQKPAEFGDNYPGWVFI >Dexi4B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:4B:21275636:21277026:-1 gene:Dexi4B01G0018990 transcript:Dexi4B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKYWGVGGRRCGGCEAAPAAVHCRSCPGGGGAFLCTACDARQPGHARLGHERMWMCEVCELAPAAVTCKADAAVLCAACDSDIHDANPLARRHARVPVAPIGSEAASAAVEAMLFGTSGEADEHHHHADGHQHQHQQEHHHHHDHHHHEQHALNLNVEAKDMKLDYLFSELDPYLSVEIPRFQHADSVVPTGVGAGAGGAVELDFTCGIGVKHSSYSSYTATSLAHSGSSSEVGVVPEAFGGGGSFELDFTRPKPQAYMPYTATPQSHSVSSVDVEAVPEMAAAVARPVPLVGESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTDHDADADDVDAEAEAAVPSSAAAYVLDFGYGVVPSF >DexiUA01G0001870.1:cds pep primary_assembly:Fonio_CM05836:UA:4779601:4780142:1 gene:DexiUA01G0001870 transcript:DexiUA01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQEAPVTAAYPPPGSYAAAAPQLPPPLMQAGYVQPVPPAGYPGNFNGALMNPPPPQAVSTQTQSRGDKTFWEGCCAALCCCCILDMCC >Dexi3A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:3A:6383143:6387291:1 gene:Dexi3A01G0009210 transcript:Dexi3A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATLWPRCRQVDVVLLAGPRPPAGTALPCGPGPDQCPTVQNLNSSGERKGLQSLEEERGGREAEADAEAMLRSGGSRASAKPRRPHSSPRPQSPTAPSRRASGAAAAAAPESKEPSVLEVPVVSSMEETSFTFEFKRGFKRAKKTVLPPMDAPRGEDNLREGFSNKSNDVPAKKEAPKQVEFTHCSPGIVARLMGLDTVPRPKKVLDRCQSDTHNNLQRHISGVVQEVASASSGDQPCNTNSDDLPALKDVFEVTEMENMAMHDVPQPGNGEQYLRHLEADLEFVRQKFLDAKRLATDEGHRNSKEFSEALEILHSKKDAFLEILEENRTAVSGFSGHILSYSGSQSSTDTSNTAGAELSEQEILCSMQDVCDGMFDRPKEFERFIPSMPFKETSVVSVEPLAPNRGKSEGSSHRAQIVVLKPNLQRKSFTPVLSSQETSSYKQRRVTNSKPPRLSKQYSLPQKNEVLEGEEGISTQKVRKQTPKRGSSRRQSKEEYLAVDSEKRKITSTSHDETMTIHSNMHSAGPSVSRKARKHLSERWQMACQSGSENPIPKGITTLGEMLGLSDGDAPKETSHKGSSDPNFSRCNVREVPASPLGISSKDGWKTGICYEDDSRGGMSRNFPRSKSLPASSTTSTKLSGRRQSTPTCRLPILKDILNTPTDESENAPVRKRSSIRNARQRNGRAIVQLGKENMLPEKEIHITSEKSRHSICISDLSQASNIYNEKYPDDVIRAEDQQKCDSSVQHEDMKNSEGHLALTYQALATSFPETNGVLSIQNQDIIALKEGRSPPVEIEIALDDTQATQPASIASGESCECSSLTASSPRSSVEEAVYSGIFKSINVDIQGLRAQLKMLKMEGQDDIYGDYSDTLSTDECNGTNISTYQETEEQLPIFKDDEDRDLCYVQDMLASVCDLPDYPEGRQVGSDVFLWLENKYSKLLLWLKSDRKLLFDLVNSTLADMTTPENSLHSKILMNCWPEIDSEQLAENVWQMVRKQSKYEHFALEDVQPLPLDHRSELEVIGMKIARMIHDDVIKDSIIEFLSLENLPC >Dexi3B01G0021630.1:cds pep primary_assembly:Fonio_CM05836:3B:16469292:16472554:-1 gene:Dexi3B01G0021630 transcript:Dexi3B01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVARRAVVPAGGGEEAAAAAAAEAMRRRGEVDTSSPFQSVRQAVDLFGGGAAAVSQWRHPQAPPPVQLRPEEEELMKVEEQTVKLEMELFVKEKDTFKVLKELQETKQVIDGLKVQIEKATSESTITTKGHADMRKVHSLPAIEQASSSHTEPPIQSAKGAQSAITTLIKLNQAKAFLNTDTVNILKSEIEKQKALLEKTSERLQLNLGKASSLESDLTKTIAQLQAVKTPQPVLEPSEIWLQMKHLNSEKAKHRKVSEDLKNEIYELTAAIEHTRSKTKTLQFRIIMAEKLKEASQRGEAIAVAEMKNPSNGQDQNTIASDVTLSVEEHSMFVLKAQEADGACRKKIDAAMQELDQANQCKLELLERVEEAMAAVETSRKALEEAQKREESANKAKLAAEETLRRLRSDQIIQNWRPINNNSIKFKNTALTPRRASSGIYDVNGLSLVTTAPKNTKTVSIGQILSMKLDRELEITKTTNTRKKVSLGQILSQKYEVFSPLRIDHDGASRKQFQPRRKKMGFVVYALLLAKKRHRKRQTSCTHGSFS >Dexi1B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:1B:2877137:2879756:-1 gene:Dexi1B01G0003620 transcript:Dexi1B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAAAEAKAEKASSYRYWVREATGDAAPLPVPRKLDPAAAAANGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKDLLGSLGSLDFPTGKASIDEVSKCSGDLQVRLSDDKGLASDDKTRICKDLKSFLTPIQEKMRMFEEELKGR >Dexi1A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:1A:22742424:22743587:-1 gene:Dexi1A01G0015620 transcript:Dexi1A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQADQMMEGGGGKEQQMQIVCVRSASTGAAGEEEWAADDQTSSRSALSLFKEKEEEIERKKLEVRDKVFSMLGRVEEETKRLAFIRQELEVMADPTRREVDAIRKRIDKVNKQLKPLGKTCLRKEKEYKMCLDAYNEKSNEKATLVNRLMEVCEKEYKMCLDAYNEKSNEKATLVNRLMELVSESERLRMKKLEELNKTIESLY >Dexi2B01G0029270.1:cds pep primary_assembly:Fonio_CM05836:2B:37724294:37727188:1 gene:Dexi2B01G0029270 transcript:Dexi2B01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPGEKDPQVAPGPATAPPLVPVPLLLNAANPQQNADAVADVAAADVNPAIDSCDENMLPKVNMLFDGESDAYEFYNAYAEKVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPASLTIRLTANGKYRLTEFVPNHNHQLATASTVHMLKAKKIRRKARAVRKNLVDDTVLDTRNIKDIPVQYIVKRWRKDAKSGSSNSGCAFSFDGDPESAQTRRYTLLCRIFSIAAARAATSAESFAYMENQSNVLMDQVEQVIHTRPPDIADLIGANCDRTQSSVENIVPEGIHNHANFLNGSIDGSLTFPFTLGAGTLDYR >Dexi2A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:2A:30711823:30712486:-1 gene:Dexi2A01G0018510 transcript:Dexi2A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGATKTAAAATTTGMKQITRLRELLHKWQTMAMGVGAKEQEQQRGEDDDVEVVASAIPPFVMRRLQRAETVESMLSDDEGSLNSPEPPPDVPRGYCPVYVGPEQRRFVIPTSYLAHPVFRLLLDKAEEEFGFRHEGALEIPCETEAFKYILQCVQRHDNGLAADEANHPDMALKQEAAAMHHA >Dexi4A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:4A:8712732:8713157:-1 gene:Dexi4A01G0010810 transcript:Dexi4A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTSATAPRFLRPFNAATAPRRAAMAASAGAPSDPPPQQQQPSSPAPKAVRVVVKGRVQGVFFRDWTVETARSLGLAGWVRNRRDGTVEALLSGDPDKVDEMVSRRIPVGPPAASVTAVVPSPADPVNPADGFHRKPTA >Dexi5A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:5A:23040667:23041324:-1 gene:Dexi5A01G0019410 transcript:Dexi5A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASQCVAEGNRPRDGTVPFEREEVLTGAGDEAAVVHDERCREGTGSPRWRMSCKGNPYVSVRRHRGYHAVSPCQESLVGTGTQKH >Dexi7A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:7A:29892156:29892888:1 gene:Dexi7A01G0021210 transcript:Dexi7A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLLSTALAGRISLPGCPDSCGDIQVPYPFGVGRRCSHDGFDLTCNETHHQHKLFLGDGGLDVEVLGISLPDGTVRIQTDVLRSNASELNVTWSVPNATGPLKVSSSRNSFVAFGCNVVAQLIPHSEPLSSASICAAVAAFIVDRDWFSKNQDDMVSVFNEYFSVMQSVPVVLEWSLDLISDEGMA >Dexi1B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:1B:3288886:3293110:1 gene:Dexi1B01G0004130 transcript:Dexi1B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVLCSPAGSPGGASSSPSPPPTPSEGPPVLPALPPPPPLGSSRPERLADRLEALERARRRSYRRRPRNTSPGTGAAGGGGRATPPRETVDSPPPPPLPPPPPLRSSRPELAVVAWRDGDGALPAAAAGNVRRSASPGAGAEGGGIGCRRRRLSPPPRSSSPSKRLRRGRNVFSILFQIGFTQGMVLQLMVLMALQGVLSIHFFYVKLGLMTYKQFTQVLEDDVSPAQVGDRYQEYRTEYITTQKRAYFDLNKDEDWLKEMYHPTKLLPIIERRNNICKTLSNNFILDLRNGTLDLGPGVTADPATKTGDGKEGSFEDYADYGEMKRKHGRGPQKEIEPLSAAPKAHPVSSQYRRIHADIDRTLALVKKLDSEKGIVGNIMIMGNHDKSIVDKPYIRSMGPVVIVHGLNTVKGLEGVELLDTLLTYLWRVHGVDYYGMSEMKYAKGFRHVRAEKKSGSMAESINAADWEKKLDSFWQERLMNGEDPLVVLTAKDKIDAEIVEVLEPYVIKMQDENDPDAPGGKPVMQQKEPDKIRRRPDEQMCGAGGHGSDASLLPRPSLLLIPVPGAGPYGPFVPASPEMAMQMMQKGLPGPSAARHMKPSVLGPMLPMYPPFPLDRRIYRRLVPL >Dexi5A01G0036340.1:cds pep primary_assembly:Fonio_CM05836:5A:37931473:37932429:-1 gene:Dexi5A01G0036340 transcript:Dexi5A01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPAAYAAGLYGWGFFLRSLIRPCNHSASSSSAAAATVASPGGCNICTSPPASSVVTMFSATTSLSASTGTALGDLGFLVGGWPSPPPPASASPHGGGRGGTSLSVADSESSSVTSSLCTRAGKNVGRSAAPPTGARACSFRALFLAATREPWIEAKSSRSFSSETPSSEPSHDPSALATAPSPALTSFSRSSESRRAARAAWSSIAPYRLNTSLAASFDGQKSGSPAPPGPVQTTATSTAQRVASSSAFFFSPSLRFANLARWSPGPTAPLGGPPLPRTIAPCPSAPLGLRRAPVRVAAWRHRTLC >Dexi5A01G0029080.1:cds pep primary_assembly:Fonio_CM05836:5A:32243204:32243461:-1 gene:Dexi5A01G0029080 transcript:Dexi5A01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRGTAAVVIMCLLLVAVTLADALPPASPLVCDKVYGVQKHETCFAVAQAEGLSLKKFLRFNPNINCNNLFIGQWICLHAHRA >Dexi3A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:3A:12983614:12984418:-1 gene:Dexi3A01G0017120 transcript:Dexi3A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSVPDHHHHADKTAKAPPSPSPAPAARTRLHDFSFPTLSWGTHRLLRCSKDGGASASASASPPPHPQTPSPEKEDKPQGQHGSSLPGATGAAPQPPRPWNLRTRRSATVAPLASRSEAAGKAAAGQAQRPLASPSAAAAARKRGFSAALTKEEIAEDFAAIRGTRPPRRPKKRPRAVQRQLDMLYPGLSLADVNLDSYKIDER >Dexi5A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:5A:11558478:11562913:-1 gene:Dexi5A01G0014490 transcript:Dexi5A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDLLSAILLAACTAATATISSHDQRRTASSSTSAAVSSSCDVYRGSWVVDESYPLYDGARSCPFVRQAFDCRRNGRPDTAYLKYRWQPSPPCTLPRFDGLKLLGLWRGKTVAFVGDSLVVNQYESLLCMLHAAAPGARTNESWASGENPSIAVRFEDYGVTVLYYLSHYLVDLVHDKAGRVVLKLDAMDEGRKQIGAFRWCPSSSDRRLPFSSSFKASRVSTSFPGGGACSSGGASMAAACSNPSFVRKLPWRRQGELLPAVRGPPRTFLAAGRVLPAAMRALPDARLPCSLYPARIDRDGPWAVAAWDYIQDGNTVVQDMDRTQAFTKGMQTWARWIDANPAHTTTKVFFQGYSATHGNGQDWGAPRGKTCFGETLPMSNAAAYHGQPNPQDPIVRRILAGMSKPVHLLDITFMTQLRKDGHTTKYSGDTGNGDCTHWCAPGVPDAWNTVFYWVLSSGNSLRH >DexiUA01G0022490.1:cds pep primary_assembly:Fonio_CM05836:UA:45688171:45688448:-1 gene:DexiUA01G0022490 transcript:DexiUA01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLAISMLNLSDELHVEALTDNARKATTWKEFALEASCCRDYTDLGKMLVKLQNVNPRTFNHVDYIK >Dexi3A01G0027670.1:cds pep primary_assembly:Fonio_CM05836:3A:26759798:26772709:1 gene:Dexi3A01G0027670 transcript:Dexi3A01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAGTSPDRRGNGPSAGAAPSGLRRYGLNFSASSLLQAPLAALLEYSGVVPSGPAPQAAHHRSAAPSSPSSASEVDGLLSAAAAGNGEVSIRIQGGPGDSEAAGVATTGTSSDDSIEVTASTEVDQASAVGRGAGAADAEANGGGGGASGNGGGDRGYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKILDYQWTTRICIYETTQMDHQKWTTRYTMVRQAAMAVKCVLLMYYKNCRGRNYRRQKLDLIVLSIVSDDSPMLPVSLGPNAYPCGVPSASLSCVIANSCLVQSFLAAVKALSRKDVHYGSYATAEQVLAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >Dexi4A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:4A:23617788:23623415:1 gene:Dexi4A01G0019720 transcript:Dexi4A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAERRGGGGALSPLLLVLVALLALAAAPRLVSAVTDAADVSAINGLYVSLGSPTLPGWTGNGGDPCGELWQGVVCTGSTITGITMNAANLGGQLGSLGNFTSIITIDLSNNNIGGAIPEDLPVTLQSFFLSANQLTGSIPSSLSKLENLTAMSVNGNHLNGDLPDAFDSLNRLVNLDISSNNMTGVLPSSMKSLASLTTLHMQDNQLSGTLNVLQDLPLKDLNIENNQFSGPVPASLLNIPNFKVEPQIKPPPVQQRDDVKKGQSEALDRRGRELSSSAADSFREENVIRESRIGKVYLAELPDGKLLEVMKIDNANGRISVDDFLEGVEFISGIKHPNILELVGYCAEYGQRLLVYHHFSRRTLDDALHDREDTGSALSWNARLQVALGSGKALEYLHERLQPPTVHQNFEPANVLLDEKFSLSGRMRALLHYEAPEFLDSGDISARGDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWASSQLHDIESLSKMVDPSIRGQCSEKALSRFVDIISRCIQRQPEFRPPMSEIVQDLARLVNAAGEESE >Dexi6A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:6A:4148359:4152926:-1 gene:Dexi6A01G0004590 transcript:Dexi6A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPATAGELLRIEPLDLRFPFELKKQISCSMQLSNLSNDYIAFKVVTMQAQREAPPDMQCKDKFLVQSVVAPAAIAVKDVTADMFTKESGNRMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRASESENGDGPAGGFTRALRERMEPHEKSSEAGALISKLTEEKNSAIQQNHRLRQELDMVRREVSKRRGGGFSFIIVIIVGLIGILLGYLMRS >Dexi3A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:3A:2668299:2670913:1 gene:Dexi3A01G0004040 transcript:Dexi3A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVFPAVPPLPLCLAARASRRARLAVASAAAGGSSSSSASASFDAAAFEAERLRLDAAARDAMASGAATAAEADPRAWKWAIRKRVWDTLEVEGVARDPRPIHHRIPNFDGAPAAADALGRLEVFQNSQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLDSQMIPIGCIPEACTSVGAAKYGKPIGLDERIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVIFTKTKIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQETGTILPSGPSEKLPPTAQRTRRRRRR >Dexi5A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:5A:20951696:20955532:-1 gene:Dexi5A01G0017650 transcript:Dexi5A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGSCAAAPVAGLPSLRTPPPNNLRLGGFLSCSSASRSPGGAVLGWAQGTKKQTPTPPAVARLNRFLCGYMRRDGHEGDVRNQGREGAIMFGPDDDGVKIPTQVETLVKGTAMVAEPEYKQIPDLDYLQELLAIQQQGPRAIGFFGTRNMGYMHQQLIEILSYAMVITVQNLIEKPQLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >Dexi3B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:3B:1773610:1774575:-1 gene:Dexi3B01G0002650 transcript:Dexi3B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTAAFRAAKIPRTQPPKCSEAADAASLSGHPSAGVAERKAPPPWCVYLIASSRIPRTYVGVTTDFPRRLRQHNAELKGGAKASSAGRPWNLACLVEGFVDRTEG >Dexi6A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:6A:2789345:2792654:-1 gene:Dexi6A01G0003050 transcript:Dexi6A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAAAKRSKLEHHRGFSYGSSFHMEDLPEEIQPLVLSLLSLKEAARTSLVSRNWRKLWTRYPNLCFDGSKDGSTDMDSVKIESAKFIETEATLTFHKTWLEEDNNKVLGHVFHGIPSISAVKVLHVHAKMRTNQLAWTSQVHTLTTRPACMFLNLRHLTYEIVIFTKLPNNYSGILQLAQYLAFAPQLEMLELHMLYHVSVGRCWRGEGVSYHMGRHDHLKTVYMSGFRCYRAQVDLLCGILDTSPVLEHVTIEPMVRIPYSLELMNLGIPRDEICEWAHRASDRFGKTITVAKRPQQKC >Dexi4A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:4A:22574068:22575249:-1 gene:Dexi4A01G0018600 transcript:Dexi4A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGRVCSDLLRPRFLRRPPALARRDAPLIMAPASSPHHDPTRHLDHRSRVNRPSPSVNPKPRRACSLIPVASATTKCCEGTTQDSNSTEEANKGPTEKNNDPTWEDHVYFPSVHDNSTHRDGAIYRNKRLQQQEYWFPDLADRTETQLEPMRYSDDTPVQLPEGKAKYCYVMGNHDGTPIEFDMRIKNGAREEDDQQLIDGAISSYHRSAWKPIRHRIVGDCGGAVDMSFAAMDKALEATIEVVVTSEVQSGLSLSLSSFVLDALHDYEKSSFSMAMFTNQDLSE >Dexi7B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:7B:23271905:23272368:1 gene:Dexi7B01G0017260 transcript:Dexi7B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRLFYTSREEAKAAETKSKEDVRLLRGKGSLPEPSMYYSPHRLEDAFALFGKSKVLCSDAGGFATMYNPDLQSFLSMPELNSPKGPRYIAISIPRTAAHARADFEIHPDVDSSMFGDKLCGNHTNSLYMMSTL >DexiUA01G0028080.1:cds pep primary_assembly:Fonio_CM05836:UA:60304008:60304766:1 gene:DexiUA01G0028080 transcript:DexiUA01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSSTLAWWPLPAWINPSAAAWLYFLVLNVLVGAIAVTSSRAQPQSRRRRLCRSASSMVLDRLRSFSVFSVSEAVEELAEMVAASPDELVGTPPAAAVALASGSVVEEDGMEAEETPVTMSSNGTQVLGLQSHAVPVPESQSSPRQPPAAADVAVATAEAATSPVRRRPSKVAGLANAKPKRRQSQAYAEAAEGKAELNERAEQFIRQFKQELRLQRINSVLGAAARL >Dexi3A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:3A:6259245:6259784:-1 gene:Dexi3A01G0009010 transcript:Dexi3A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLMLMSHSQEPSLPLLPVVAGHGDRAPERMFVCKTCNRAFPSFQALGGHRASHKKPRLDGDGDPSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPPATRMVVDKKPDGVSSIIHGDGVKRGMLWLDLNHPPCEDGCDAEADQCGHNATAGITFHQFMDTGTMAVDCLGY >Dexi3A01G0021830.1:cds pep primary_assembly:Fonio_CM05836:3A:17415394:17417270:1 gene:Dexi3A01G0021830 transcript:Dexi3A01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRVVLAIAILATATASVFFLGSEAQELKQDRNTERISGSAGDVLEDDPVGKLKVYVYDLPSRFNTKLVEDDPRCLTHMFATEIFVHRSLLSSAVRTLNPDEADWFYVPVYTTCDLTSSGHPMPFDSPRMMRAAILHIASRWPYWNRTEGADHFFVTPHDFGACFHFKEERAIARGILPLLRRATLVQTFGQRSHACLQDGSITAPPYAPPERMEARLLPPRTPRSIFAYFRGLFYDTGNDPEGGYYARGARASVWENFKSSPVFDVSTEHTATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCVPVVIADDIVLPFADAIPWEEIGVFVAEEDVPRLDSILTSIPVEEVLRKQRLLASPAMKRAVMFPQPSQPGDAFHQILNGLARKLPHGDCVFLRPGQTVLNWTAGPARDLKPW >Dexi4B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:4B:756910:761145:1 gene:Dexi4B01G0001200 transcript:Dexi4B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FITSLCWSYSIFRKDMEYTPYAASSSVLVFFVSASFLLPCHRNFSVRAVQSLVSVGYASSGFAWDGITLFCAPPANKMERYTIIKEVGDGTFGSVWRAISKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKNRGKPFSETEVRSWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATVYNAAVDMWAMGAIIAELFSLRPLFPGSSEADELYKICSIIGTPNQRTWPEGLQLAASIGFQFPQCESIHLSEVIPLASEDAISLISWLCSWDPRKRPAAVEVLQHPFFQPCFYVPPSLRFKSTGYASTPPSVGAKGAVDQKNARRYSMGTIPNGRPTVNYSYLSNNAPVRAAGVQRKLGLEHQPPEINHKVTKANAMNQSWIKPAVRSNGAYLAKDQSPHAPDLAEKLSQLSMGPNRVSGFGSERFTDLKARPHGNAIKRPLPVGTRAWHGPADPFRRPYEMPGDRALLPRKLVS >Dexi7A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:7A:20126046:20129018:-1 gene:Dexi7A01G0008920 transcript:Dexi7A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMSSPSRPLLLCLFLIACCAGVVFTITSNGERTTEAAGNSERKTEAAGNGEVKSRVLRGLNTLALRQKQPHAHAHGQAVSPAPAPAHAHLPLLHKDARLPVAHDHKGRNVTAPRPQIPAHGEGGDGERGSGSKKKSTQLVIVAAAAALSGAALVLLAVLVVFLSCRNKLQGKRCGAGADMNSSGTGTTNKVSFEPAPGMFYLDAIKPYLDDTTRDGEGKATPEMVTAGPKDDDEEPPKREVDDDDAGACSDDGGTESVHSSCCFQSSSHFSYSELGKSGHGDGVSPVSSVRSSKRRGGSASASASASATPKDNKSKLASPYSPLGRTRTPSSGEDRCRRARQSPSSSAVSVLTSQSPNDRQRHGSSQSVRCLRFQSGREAVTEAACAVSSDATSSKTMPPPPPPPPPPLTVKKQQNVQTSCGGPAVPPPPPPPPPLNAQASHGGPTVPPPPPPPPMLMPRRQNGQGSSGPTLPAPPPAPPGLFRQSAGVGKNGATTLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEKMIESLFGYDAARCSAKHEEVQSRSPSLGHHVLDPKRLQNITILMKAVNATTEQIYAALLQGNGLSVQQLEALIKMAPTKEEVDKLQSYDGDVGSLVAAERLLKVVLTIPCAFARIEAMLYRETFADEVSHIRKSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMIRSQKPAPASRTAAEAAPDIVTGLAAELTNVRKTATVDLDVLTTSVSSLSHGLSRIKALVGTDLLAGDERAHHQCFVAFMAPFVAQADEVIRELEEGERRVLAHVRDITEYYHGDVGKEEASPLRIFVIVRDFLAMLERVCKEVRVANRGCHGSNGALNNV >Dexi7A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:7A:18157456:18158556:1 gene:Dexi7A01G0006840 transcript:Dexi7A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVCPRCGPPLPLAGHHRRRSHQARAVAPKCEASSPSLPPLTRRAVSTASLLLAPVPFPASSPQLPVASASEAAAEDQGETGVPEGLELERYTDQEQGFTLLKPASWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLTDFGTPQFVADRLLQVEKKKESTKSAQVISAGERSGHSGLTVYEIEYTLDSTRGGMKRIFSAAFVASRKLYLLNIAYSDAQEKPLDNQTRTVLEQVLHSFDSV >Dexi9B01G0021760.1:cds pep primary_assembly:Fonio_CM05836:9B:16447797:16448318:-1 gene:Dexi9B01G0021760 transcript:Dexi9B01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVILAMDVHCDSCAKKIRKAVMKMPGAESVSASFETGFVVVEGTADAAALRAHLQAKTKKNVIVVSDGTHAGEDSGAAGTDAATAGGSSSSPLSSPPPDPAPAAAPIVLEMELHCRFCAHKVERRVMEIPGTPSVP >Dexi7B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:7B:8373471:8374876:1 gene:Dexi7B01G0003470 transcript:Dexi7B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFGPPLTLLLYMAFLATSCNEQEKSCLLQFLAGILPEDGITMSWRDGSGTDCCKWEGITCNESGSIIEISLASRSLEGSISPALGKLTGLLCLNLSYNSLSGSLPSELISSGSITVLDVSFNRLSGVLPEPHPSILEQPLQALNISSNLFTGQFPSTMWERTRNLVAINASNNSFNGLMPSSFCISSSSFAVLDFSFNKFSGSIPASLGECSALRVLKAGYNNLSGLLPDELFNATSLEYLSFPSNGLHGLLDDAHIMNIRKLVNLDLGGNTLNGKIPDSIGHLKRLEELRLNNNNMSGELPSALSNCSNLITIDLKYNNFSGELQKINFYNLPNLKALDLLYNNFTGTVPESIYSCVHLIALRLSGNNLHGQVLKNCRNLTFLLIGTNFRAPKSGDVISE >Dexi8A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:8A:25668948:25670432:1 gene:Dexi8A01G0014940 transcript:Dexi8A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKLVNLSMIQAPGAQVNYDGMPLCEANGFLREYVISRLMEGNLVFALEGHCRKDIQHTGRHLTIDKSWDRDRNVFESIDLSLLRSLTVFGEWESFIISDKMKLLRVLDLENVTSGVTNGDVEQMVKQLSRLKFLSLRKCKQITRLPDSLGDLKQLQTLDIRETSVIKLPKSIIKLEKLQYIRAGTAKHNQATEGAENLSALAVAPVSRPYAALGSCLSKSRIHSPYGSHSGVKVPRGIMKLSSLHTLGVVSIHSAGEDGILEDLKTLTQLHKLGVSGINRKNSEKFFSYISCLVHLESLSLQMQGNQDNEAASCMADISSPLEKLRSLKLYGLVDRLPSWIMQMCLQLPRLKKLDLQMKTLPQQQLDFVLTLPHLRTLRLQLAEFQDGELRFCWCVGRNSGEWVINFLDIACNSRLQAVRFGSKLDVEIMKIRCCSVSSSLKFSGLQSVESLKEVWLSGSYEQGFMQHLDSELKKNKNKPILKLEKSSSST >Dexi7A01G0023020.1:cds pep primary_assembly:Fonio_CM05836:7A:31154836:31157111:-1 gene:Dexi7A01G0023020 transcript:Dexi7A01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRATRLLLVVVGLLPAALLAVAAEAANKPGGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTDTERLIGDAAKNQAAANPNRTIYDAKRLIGRQFDDAEVQTDMKLLPYKIVNKGGKPHVEVQVKHSDVRVLSPEEISAMVLAKMKETAEAYLGENVTHAVVTVPAYFNDAQRQATKDAGAIAGLNVGRILSEPTAAAIAYGLDNNDKDSGERNVLVFDLGGGTFDVSVLTIDDGVFEVRATSGDTHLGGEDFDHRVMEHFIGLVKRKHGKDIAGDGRALGKLRRECERAKRALSNQHQVRVEVESLVDGVDLSETLTRARFEELNSDLFRKTMAPVKKAMSDAGIAKSDIDDIILVGGSTRIPKVRQLLKDYFDGKEPSRGGNPDEAVAYGAAVQGNILFGDVDANTKYKVVLDVTPLTLGIETAGGVMTTLIPRNTVVPTKRTQVFTTYQDKQTTVSIKVFEGLRTMTKDNRLLGKFDLTGIAPAPRSAADKSTGKLEKITITTDDSRLTQDEIDRMVREAEDLAEEYMRVDARNHLETYTYSIKSTIVGELGDKMDADDKERVEEAVREVNEWLDANPDADKDDYVEKLKELENVCNPVFSGACQRSGGEDGTEENDHDEL >Dexi3B01G0031360.1:cds pep primary_assembly:Fonio_CM05836:3B:32587269:32593370:1 gene:Dexi3B01G0031360 transcript:Dexi3B01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGFIWELNKHAWKGENKRKWTVAEDDELVKVLYEISLDPRWKGDGGFKNGYCSLLETRLAEKLPASGLSAVPHIESRVRHFRTKYGAIEVMLNKSGFSWNDNTNMLQCEKTQYDTHCKYHPEAKGVYGIAFPYYNTLAAIYGSDIATGEGAEGLTEAVGNLGQELANEHGNHEEIEEDRMYRDTPVQSTDSASSSFKKRKINRKGKDYGSVSSDPLLDMLTEVQGDLKGVAKNVGKMAEAMEREAAIQEKCMGYSTAIAMPRVLPFPYTTSSSKSKYADILPPSAVLELKAGSVHPSPSPQQQLVRQRRLQLAHEGDIAQVVDHLSEILPS >Dexi2A01G0028650.1:cds pep primary_assembly:Fonio_CM05836:2A:39879999:39880604:1 gene:Dexi2A01G0028650 transcript:Dexi2A01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYRLPTYHRQGPAVRCINFLCAVLLGLVLIAGIIFFVLWLSLRPHRPKFYLADFSIPNANQQSGLANLPVRFTVAEHNPNQKIGIYYEEIDASVYYGDELVAKGPIAQPFYQGPNSDIALQGQLTATGPTTSDPAWGRFSAELGAGSVGMRLVLTSTVQFQVKMWDTKHHHMKAECDFTINGDGTLKQQDKNSQCALYF >Dexi5B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:5B:5349103:5350017:-1 gene:Dexi5B01G0007890 transcript:Dexi5B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNQSLHVVDINLPLDTGCPAPTFNVSTVPPPLVFSGANKELLFLGKCTGPSREVPAGVRSLSCDNSSFVRFGDGRNFSRDHIAGGIPVGCLFSVVPILGVGALDGNGEDYLGSTRNGFLLEWADVPAGDCPGCIARGGECTYGDPGMVFACKCSGSKCSDGD >Dexi2B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:2B:22327937:22328615:-1 gene:Dexi2B01G0013560 transcript:Dexi2B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLGLAAGATARAPRLAVLASASAAGEPARRIIRRRGSPGQQSSAPASTPAAQPSVAEVRRAIGVADDAASAASSREAKNTAFMDLIANTPIGQPESGPERRLREAAEWVVDTTETRACEGQKSFLVLCMTTFPVWFVLLFIALGVIKLPFDIPGLDNLLM >Dexi5A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:5A:5566731:5567633:1 gene:Dexi5A01G0007480 transcript:Dexi5A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLERSRLHILLFIFSSLAATSAAAAPERTPNATTSPCPSYRCGHAVDIRYPFWIDDGNDASSSDAHCGYPTLRLECRRDTPVLPLPSGDYAVTHILYADMTVSLLDLGVFSLSNTCPLIGRRNLSLPSGSPLSLTPRDANLTFFVHCSFVGIPAHLVACLEGDGRHHSYVFRDGDELAPYGYARLCQEVVAMPVLRRSLVLGGGGGGASGSPLDAVVSALNMGFELSWRPVTDGECGQCERAGGLCGQRRGPGEHGPWAFACFRAAATPASWIAPKYPSTFYR >Dexi4A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:4A:3954988:3956164:-1 gene:Dexi4A01G0005440 transcript:Dexi4A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPQGQARAVIPSTRNPEFTLAELRERTQIPVTKIVVDGSLSRSQLHGHCNRIIKKARKEAKQFVWNKPVLPQENSPASIDLVMGDVDSEIVKLCFEMKSLYCRGVLVREHFYELRTSGDPVSFVEGNIPLGYKESYDKMLQSTNPKVDLRPEDFLIAYQRFLTLRHDDTVINVNVMMHQTMTIPPKQAR >Dexi9B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:9B:9637161:9642345:-1 gene:Dexi9B01G0014410 transcript:Dexi9B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGTILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHYLLLNSATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAALKVSMRAALGLGTNNSNEGQLDDLTIMKLLALVAVLQSQVQREQSSTKQVCEERSESCSGADNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDSTEVQLAVDGVDIRPIGDAEWGSFQQSEAMIADVREVSPEADGGSLDIPVVNPPPVSDHMQGGTTHP >Dexi9B01G0041660.1:cds pep primary_assembly:Fonio_CM05836:9B:42111843:42114724:1 gene:Dexi9B01G0041660 transcript:Dexi9B01G0041660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLNLSDCTDSIIAEYIWIGGSGIDVRSKARTVKGPITSPSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGEPIPTNKRYNAAKVFSHPDVAAEVPWYGIEQEYTLLQKDGPYYCAAGADKAFGRDVVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGISAADEIWVARYILERITEMAGVVLSLDPKPIKGDWNGAGAHTNYSTKSMREPGGYEVIKKAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTILWKGN >Dexi1A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:1A:7729457:7729729:1 gene:Dexi1A01G0009460 transcript:Dexi1A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSLGFLILTSFLCLFLAAHLHPSMAASGGLPHDLFNLGIASRMCTDHNSTAKASTDFGHMFEATPEAVFSSS >Dexi7B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:7B:1336880:1337431:1 gene:Dexi7B01G0000580 transcript:Dexi7B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTQEAAASVPQNDKPLVLLADPLIPEFQPTLSTHYHLLPLAEASTASTATARALLTVDLPAVTADTIAALPALELVVASSVGVDHIDLAACRRRRIRVTNAGDAYATDAADYAVGQLVAALRRVPAADAYIRRRGWVNHGDYPITTKQL >Dexi2A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:2A:5020533:5021069:-1 gene:Dexi2A01G0005260 transcript:Dexi2A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLTSPCPRAPLLRAVPSPSARAIPRTLAFPARPLPRGPLRLSPSPPRAAAEASASAAATALGGLLASPLSTLEAALRSVNLAPLRAPVAAAMSAAVRWLGVYREVLLVGVLLSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDIIIMILRPQPRM >Dexi7B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:7B:22963571:22966768:1 gene:Dexi7B01G0016940 transcript:Dexi7B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYGGYAYHGSTFEQAYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDSTQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPTIPARKAPAEGGDAVVEDEPKFKPFTGPGKRLDGRASKLQASDVPSTTRSVSLDSNKRTNQQTSAPATSGASNSTRQKTGKLVFGSSSSNSKEPQKAPAKEEPPKKDEPKFQAFSGKSYSLKR >Dexi9B01G0043060.1:cds pep primary_assembly:Fonio_CM05836:9B:43150538:43150946:-1 gene:Dexi9B01G0043060 transcript:Dexi9B01G0043060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAATARPGAARKRQRSRSPPRDGEGPSELKHARLRLDGDGGAKGAWEHLDLVLSLQGKELALERQL >Dexi8A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:8A:7042573:7043174:-1 gene:Dexi8A01G0006760 transcript:Dexi8A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKSHKASPAAAPLSGGDRSGALPDEILHRVLSFLPAQQAVRTCVLARHWLHLWKHATGLRVVGADGKEPALFEEIREFVDSLIILRGSSPIERFEVKVAGAAIDVRNLRLWVRHGMMCNVQVLRLKVHGGYASQMLPFKNPSLASSHLTKLELRGLMPRNTTSYSQRLQLQECRKDLITVLKAPNH >Dexi4A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:4A:24415186:24419160:1 gene:Dexi4A01G0020650 transcript:Dexi4A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTAARTRAAFLLPIFLLAVVAWPGAAAAAAAQAGGYRVVSVARAGGVLSARLQLAGGGARAELGPDVQSLSLTARQVDSRLHVRITDADHQRWEVPQDIIPRNPPHHFSLAASTTNTSPRSRVLSAATSDLTFTLHTSPFFRFTVSRRSSGDVLFDTSPHLVFKDKYLELTTALPGATASLYGLGEHTKRTFRLQRNDTFTLWNADIAASNLDLNLYGSHPFYLDVRSGAGAGASHGVLLLNSNGMDIEYGGSYLTYKVIGGVLDFYFFAGQTPLDVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNLADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRRFVDRLHQNGQKYVVILDPGINVNETYGTFIRGMQQDVFLKWNNSNYLGKVWPGYVYFPDFLNPRAATFWAKEISLFRRTLPVDGLWIDMNEISNFIDPPTLNTIDDPPYRINNSGVHRPLNNKTVPASAVHYGGVREYDAHNLYGFLEARATHGALIADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMLGAFYPFSRDHSAIGTIRRELYLWETVARSARKALGLRYRLLPYIYTLMHEAHTTGAPMARPLFFSYPKDVNTYGVDRQFLLGRGVLVSPVLKPGATAVDAYFPAGRWFNLFDYSLTVASVTGKRVTLPAPVDTVNVHVAGGNILPMQRPAVTTSRSRQNVFHLVVALGEDGEADGELFLDDGESPEMGGEHGKWALVRFTCGTGPGGGVTVKSHVVHDSYGPSRKLVVGKVVFLGLRSPATKGELAVFVNGVKAANSTTGRAAALGYRRGGGVAQVTGLSLPIGKEFELKVAML >Dexi6A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:6A:6880634:6882359:-1 gene:Dexi6A01G0007050 transcript:Dexi6A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATHGFSGANKIGEGGFGSVFRGRLKDGTIVAVKVLSVNSRQGIREFVTELTAISNIVHENLVTLVGCCAEGSHRILVYNYLQNNSLAYTLLGSGRSNIRFNWRARVKIAVGVAHGLAYLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIYFCCLWLAGLNFLLVFMQTWTHYEQGKLEEIIDIDVGDDLDVEEACRFLKVGLLCAQDAMKLRPNITNIVHMLTGEKGVSMDRVTKPSVIGDSDLNAKSGQGQADADSTTMKSFASTEPLTSSDVNNETSL >Dexi2A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:2A:25568053:25572865:1 gene:Dexi2A01G0015050 transcript:Dexi2A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGIEAARWVVGKALGPASSGLLEAWAASSELGPNIRALRMELLYAQGMLSNARDHRSVHFQETKNPALAELLQELRGLAYRADDVMDELDYFRIQDELDGTFHAADEHGILVNARHIARNIRKMLGLSNCSFGSASHDEQDEDASKGVFSCCGKMTAFSKCSRGSSSHDEPDEEDERRAVSCCGKMTAFSKCSRGSASHNEPDEDTSRRGLSCEAWPCLGPDDDEQEEDERRAVSCCGKICWGSSGHNQPHRGNEDASRGTLSTPSPNQNGCMGRLTSGARGTIHSVGKHLPCYSVSNAQDATNSDIPTTRRQFLCCAASSKKVPQTEHDSQAPKLKFDRVDMSRKMKEIVEQLKPLCAKVSTILNLELLSANRSNAPKGMAVDRPKTTPESGEPEFYGRKDETSSIINGIIKGEQSDIGIMVLPIVGVGGIGKTTLTRNIYKRLEDHFEIKFSEVRKYGSTKLMSLVGLGFEYLRYGTDASHEHVLCLEIIGNDHAQDMTFWKALDFDKLTGPVELSMKRCPPMPLDALQRLSTTIKRLAISQLNSVNGKDLTQVLACLPKLSELEIEACKKITGLGVVEQQKEAPSSSGEEEEIAPSDELLLLPPQLHDLEIISCPELSLRPNSPQGGNGGGLQALSCLRSLEIVCCPKFLASYSPSSSSPSSCFPFPASLQSLALSGVETLAPLSNLASLAELWIRGCGGSGGSGLGCLLAHGCLHELSVYSTPNFFSIECSKEDTPEMLEQGPPFPPSSELQSLFTDDIAGVLAAPVCTLLSSSLTSLTFDSKEEMERFTKEQGEALQLLTSLQQLKLSNCHKLQCLPPGLQTLTNLKTLEIGLPDSLPKDSLPNSLRKLTISSCSSIRALPKGGLPSSLQKLDVSFRSNSEELRRQCRKLIGTIPIVEA >Dexi1B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:1B:6523895:6525715:1 gene:Dexi1B01G0007880 transcript:Dexi1B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALTSGGGRLTALFPRHHARLLSTTSSSPSTIAALFSDPTPPADPAAAIQSAGFDLSQPNTVPELLLDPVLSGNYPAASRFFSWAASDPAAKAALNSRSFNSMLQLAAAHGDADRFWSLVASMRSRGYGISKPAFRAASESFRSKDMGRDAELLQEAFGAHGRNAAAAEVCKVLRAPGKDDSSKLATLSESSVEVTDELVALVVEKVGQFPHQAMVFFRWVEQSAGAGVSWGKVYNAMARVLGREDCIEEFREVIRKMRSKGLEMDRDVYVTVTDRFLKRKMVEDAVDLFRFMTSRPEKLLTDDFIFLLKKVVVTGDLDLKLVTRVLRSYHHAGYEVKDSAFDSVIKSLRSVGRLGESGRVLKAMQEGGFQPDGADHEKAVVAMCDAANLEEARNYLTDVEESGHKLGPKIWSCLVQKYSLGDNVDTAVSCFHEMLEKYGNEDVGSALEALVSGLLRKKGSKEAFKVLKNMVTEKSVVPWQTTYKYLIHKLVRQGHQKEAFEVLGLMKSHGYPPFVDPFIPHISKSGTVDDAIGLLNATSSRGLPSRIVYVRLFQALFKEERHEVAQQLLSQSPAGIQNHADVCDIFNRMKLEEPAAAALADG >Dexi3A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:3A:11656888:11663060:-1 gene:Dexi3A01G0015650 transcript:Dexi3A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSLEAEVEEMRAALMLHGGGAGFGARRSGGAAAKRAARAEEDAGAEARTVCVTGGTSFVGFAVVDRLLRHGYNVRLALETQEDLDKLREMEMFGENGRDGVWTVIANVMDPVSLHEAFDGCVGVFHTSSLVDPGGISGYTKHMARLETKAAELVVEACVRTESVRKCVFTSSLLACVWRQNYARDRRMLTMGPTRLQLWFSLGKTMAEKAAWRAARGTDLKLVTICPGLVTGPGFRRRNPTPSIAYLKGAHAMLAEGLLATANVESVAEAHVRVYEEMNGTAGGRYICYDHVVRRAEEFAELQRQLGLHAAAGRGPATPDDDREARFELCNRKLTALMSARRRCTYDAYFPVLYE >Dexi2B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:2B:3208175:3208848:1 gene:Dexi2B01G0003680 transcript:Dexi2B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQALLLAVVIAAACLAPLASAMEWMVGDDAGWRPQFNKTGWTNGKTFRVGDTLMFMYQPGAHTVIQVGKDDFISCNLQGNQLGAWTSGNDVVHLDKPGKVWFFCSIPGHCDNGMKLVVDVQLDAAPSPDSPPPPAPKSSAPAATAGRYTAAVALAAGVVASALALAL >Dexi3A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:3A:4323485:4324776:-1 gene:Dexi3A01G0006530 transcript:Dexi3A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGHVALICAAVAALALVAAALGIVGEATKSKAMRSTRIRSSFVRFDGASCVYRRTPAFGCGVAAAASLLTGQLVLTAAAGCWDRCRTRSGDRRRAAIVCSSLLSWFLAMMAASAFIVGALRSQSGERRPREGISTYYRCTVLVAGVFAGGSFFAVAAAAVGIGSYVALEEAACSAPPRPPPALALAGEIRQGVVGGASEVSGTTGTDGQCNNLIRTLKFVTNVRTYGPFGNPRSGTAPFSIPVQNNSRNCRIVGFFARGKLYLDAIGVHVQETAAAW >Dexi2B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:2B:9606850:9608279:-1 gene:Dexi2B01G0008910 transcript:Dexi2B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMSPWSATNGSITSGCPNPNTPSTVDPHPQCERPHRHVSQHPHLRDPPRAHHPSPGDAPLEPVGEQIAGVLVAGGEHARRSTESPEEADAGELDPVGELPDVVSCHGRLAAQRHVDDGPRRLLVQPPRGLHERRRLVTGVLDVEDVAGEEEAGGDDGLGEEVGEEGEEVGLGVGAAAVDDEAAGRVVEMEEAVDVGDARRVRPERGGDGLGLVAASGFFSPTRAAKGASRPSKAVLRSSTFSGGTSAVDSAPSGRPSTTGSLSVTTRTRGDSSGGTGASLAAFLGVDTKVTAWPRRARRLESSRNGIMWPNASHGNTTMWRGREEGDEASCWVPPAAIMAGITLR >Dexi1B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:1B:11487322:11490176:-1 gene:Dexi1B01G0011370 transcript:Dexi1B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVASKWSDTAMLVIDMQKEFVDPATSSLALLAGKAILPAVTEAVEHARGRGIFVVWVVREHDSDGRDVELLRRRFYSGGKGPAMKGSKGAELADGLVIKEQDYKLVKTRFSSFFATNLDSVLKTSGIKNLVVVGKFF >Dexi7B01G0024660.1:cds pep primary_assembly:Fonio_CM05836:7B:28965910:28966323:1 gene:Dexi7B01G0024660 transcript:Dexi7B01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSPFVASPPALQLALPLPASRRAAGLRIEAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFVAIPLPPRDTRRKSQLLPPLQSEDAGDDAPSSTPE >Dexi1B01G0027830.1:cds pep primary_assembly:Fonio_CM05836:1B:32487913:32488332:1 gene:Dexi1B01G0027830 transcript:Dexi1B01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYLSMEVDDSNGALVNKSEENDFEKKGSSDPVVYQLVRVEGDGTLVPATEDDVLEFEHFLQDEKVDRPSIEDAGHVEEVLSNSNDCILLKKSDSEGNLYRIIQF >Dexi5B01G0030080.1:cds pep primary_assembly:Fonio_CM05836:5B:31106401:31106910:1 gene:Dexi5B01G0030080 transcript:Dexi5B01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLFLACMVLLCFAIAVGAARPATTTDDAPPTENCDQDVSDLISTCQDYVKFPANPKIPPSTACCTVVQRVNIPCLCSKVTPTIEQVICMDKVVYVASYCKRPLKPGSNCGSYPVPGGSLP >Dexi5B01G0040130.1:cds pep primary_assembly:Fonio_CM05836:5B:38755086:38756093:-1 gene:Dexi5B01G0040130 transcript:Dexi5B01G0040130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRNEIIGFVTTRMIAAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDSYRNLGIG >Dexi6B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:6B:27137116:27139905:1 gene:Dexi6B01G0020780 transcript:Dexi6B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCHHLLLLLPLFLALAAANPGQGHHGEASAVFIDATSHRYLRDQQADDQGVSMSLNDVSAAVSILLGFAPPTSLPAVSSSQLNKVLLPNPFDRPRAVFLVEIDGSSASADSFVFEASNIFKTRIEGANNAATGLTDKDELIIIHSDESLGLSGDHLDSELSSLANWLDGSYQKSSGKLVIPLENGNSLTLLLNKDADLEFASSLASLLETIKRAIQALEDEYASREIVKQGTEVVRRAVTKAFQSLHKAYKGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Dexi1A01G0022950.1:cds pep primary_assembly:Fonio_CM05836:1A:29562790:29566570:1 gene:Dexi1A01G0022950 transcript:Dexi1A01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDEQEAVALCRGRADLLAAAVRRRYALADAHDALADSLASSAAALHHLLVAAAAAAQPTRIGLPAARKDVGAGAPPAAASPPHSSSHINFAPSSDSETGSVSSSPPCRAAAAGSLDHHLPPHHPLPYPHYGYAGYGYGPEPAYGGYPPPGLLRLYYARSRPPPASVAVEQREPPSERVYYGSYEPTAAGYHSYGGEPAPALGRAPPPPSPPRSSSWDFFNVFGDYDVYDNYCYDAGGAGAAAAAASYTPSRSSREVREEEGIPELEEDDAVVKQVAGEFSAPGSGARSRRSSLGGVSSSIAEVDEEQDSVVDKEVIGGGNVARQQMPAQRNVAASAPTPRRVVDSSDVAGEIKAQFVRAADAVRALSPVLEVGRRRYHHRSSVYHVSSRMVSAIALPHSDLGGVELLDVGGEKVLGGRSLSMTLQKLYIWEKKLYDEVKAEEKMRLLLAKNAKRLKFLDQKGAEAHKIDMTRNMTDVPLCRFVRMWRDKLDCYQIQCQVMSEAKNLDSILDGSSRDMALELELELMKWIVNFSSWVNEQRSFVKALNGWLSLCLNYKAEETVDGVPPYSPGRVGAPLVFVICNSWSQAIDRFSEKEVVTSMQALVSSVRKLSEKQNVEQTEQIIATRERERWNKILERKTTEINKEADVLNRKLALVPGWHSRLPSTQTYQDHLLNASSLQTSLQRVVQALECFASSSLQAFEQTLRHAEEERSSRENAKVS >Dexi3B01G0037570.1:cds pep primary_assembly:Fonio_CM05836:3B:40337680:40344078:-1 gene:Dexi3B01G0037570 transcript:Dexi3B01G0037570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQLHWLPRSAASRTARTHAENHGEKIVEATARVPDFRQRLREPPPSDGGREPGSLPRTPLQIVRPPDPPANLALVRRRLAPPSSPSIASCSVSAANAVSMDFRSRAAGGGGRRRGKVCVDVVRRQKDHGGGFADTERGCLSWNAWMTTEDNDTAIWAMAMLHRLTENVYEVVSFIPDLKQLLYHCPKAVGRKLLPPITPGGTQVFVSEKQYDAIIRLRERRRRVKEARERRALRVKKHVPRDPKGRFIKSKGKQEGTNGGIMVPFSATLNHCYLRLITTNGYQEVTTIGSDAQSSTPAIGFYLPFVPTNEGGENVGEVICDSIAQSSTPPVGLYCPLVATNESGESLGEVMYWPLLATNVCEVANDSEAPSNTPGMGFYWPFTAASEYDENIGEAASGSKAPFSNPAGDFFWPIVATNDEEENVGEFAYDNILNLESPYPTTLLRIMMGNRYNTDPVSAQFQNVAQLQAPDFTTLLTIMNNAGYDEAADNGHYDVDEVMTKLEGW >Dexi3A01G0029380.1:cds pep primary_assembly:Fonio_CM05836:3A:30897745:30899234:-1 gene:Dexi3A01G0029380 transcript:Dexi3A01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVSSGTNTGSLLSSTARSSNRRSTALATNFICRNAISFPRHVLGPPWNTGNSNADTPSPCSNSHRHGRNSPASAPQIASILPIPTSPSAACFESHATGGYSRIVSHSAAWSTRIFLDPSSPTPMTSPPATPPWPSWCSSMISRSTSASTSRWRVMSQKNHVSADEVVSRPAMMKLITMSRITSSPYPAAAMRDTRSSSPPPRRARHRRRRRMSSVMAPWITAISSRRRRSEPTPSARFAFHTAATGAAPRRATIAAAASNADSSLDITGNNGVSSSHTAYDVERELPQELLHVDDGHGDLTAGLRRGVERREEARAGLGLERGSHALPEGPGGELVADELALGAPRLAVDVEDAAAEEVAERLREGLPLGIVPKVTLEDVLDVRPVRGHHGASRAEAFHDERLRRRRREEAGVPVEQPATVPVELHQAAQHRVAPRRVPMSGANSMAEGAEEEEAGSHEW >Dexi4B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:4B:9677051:9679852:1 gene:Dexi4B01G0012030 transcript:Dexi4B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVELQNGNKHVAESTTSQKEPHQQGDQIPINLEEAELVWKLRKYLILLAILSATITYQAGLAPPGGLWLDNLHGHLASDIVLQSTYSKRYNVFFYCNSTAFMASLIVLILLLVRELSRNAIWLRSLHFAMLLNLLGLMGAYAAGSCRQIKTSLYTWVLLVGIFTYVVLHVVFFQHLASEWLRQTLMDVQRSWKDYLARMFGKNHSTTEELDASVKEKKDELEQKRSLLLVLATLSTTVTYAAGLNPPGGFWPDSDASHLAGDPVLRDHYPRRFKAFMACNDTAFAGSLVIIIMLLSNTAVDHVVKSNALRICVVISLFGLMAAYAAGSCREVHTSIYVFTLVGAVLLYLVIQWIAPIVPRPEFVRKNSDESAQQIHDNPELKDLEKRRKFLVLLAVLAASSTYQAGMNPPGGFWTDNNDGHRAGYPMFHDEFPHRYMVFFYLNSTAFMSSLAVIMLLVSKRLCQRGIDGYLLRGCMLIDLVSLMGAFAAGSCRKVSISVYAILVVAIVFAYVMAQVLVLTFAKDKVGYFFEWVLCATPFKSLHPSENCKRGSMISRKLEHKWRKDLILIGTLAVSVTYQAGLLPPGGLWPDDRDGHFIGDPILHDTDPPRYKAFFYCNATAFMASLVIVILLLNNTISKYKRSLLPMKTAMVLDLLALLGAYASGSCRKLKTSIYIFALFIAVFMYVVIHIFLSFNKMAQLVKKTGERWIPCLNKIWALIEIEPPNHPPFNEEP >Dexi3A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:3A:13132028:13134035:1 gene:Dexi3A01G0017220 transcript:Dexi3A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMEQNSGESRSGEAMSIFGQSIDVRRPVRSMRRRATQKRFSPAVESPAIPSSVESLHQRRAVAERERARAESELSRASSMANELERQIEQANSRERSHSHSRSELHETRAGGSGGIRRKKGHVDVEAPGGADQARDQSNSLYVEVMQELDRVKRELRKLQREVKASREATTNPSASRDAETPTPRVSTSASRRFDSVNREADDETEAPGIAEFAKAGVSRKDTQATWTRGKGLWKDTSRSSGAEERFATASSSDVGIEPADEMAMVPAATDDTGHTENPESALTITRHEEEHDDRSSLQAAAAEAELTSARVELESIREEGIRFTSSVERTRQETARVTEEIDRLTEQEKRASAQVQQLNARLLRARSKLDAATAADERASATLAELSAALRRLGEETEAAVKERALTEMENRCVGEDAETIGKEIAAAERRVRGLVKELEAARASEAAATAKLRAVVDGAMKDRAAVMSETERSSGNVAIRRFEYEYLTGRAEVVRAIAEKKVAAAEAWVEALHAGEREVVMRAEAIERETGEMVAAGGEEAEDAGDDFAGHQREPPRAGLQRARTRKRAEQQAENELPVTSRKTGTPSSSMAGKPSPASFRVKRKKRTLVWKYLKRIAGKCRSHS >Dexi5B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:5B:9144376:9147979:1 gene:Dexi5B01G0012920 transcript:Dexi5B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNQAYAKGQLAEAEECYTHGIDSCPPNEASRKTLMLCYSNRAATRMSLGKMREALSDCRKATDIDSSFLKAQVRAAKCLLALGDVEEAQKDFEMCLKSNNLSSLDHKIVKEASDGLQKAQKISGVILQSKEYLIKKAFDKIPSALQMISDALSISIYSDNLMAMKAEALLLLQRYEEVIRFCEETLSLAEKNSVCLCLDENSESKNLDNNTCSVKLWRHLLIAKSYFSLGKLEEAHQLLKKYGQMKIIECRCGKQYQESVSSFSMTISELLRLKAAGNESFQSGKYLEAVEYYTTALLSSSESLRFLAVCFCNRAAAYQALGQILDAIADCSLAIALDADYAKAFSRRSTLYELIRDYDQAANDIRRLIALLEKQLQENMSMPLEKIESIRRNLNEANLHLSILEMDAKFGVSSDMYSVL >Dexi9B01G0040540.1:cds pep primary_assembly:Fonio_CM05836:9B:41058502:41067474:1 gene:Dexi9B01G0040540 transcript:Dexi9B01G0040540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAPPPTPPESDPRLVEVFTPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQRPPPPPPAAEAQAPSTPTSAPSTPTSSSAQPGPLRSLSLADSELLLAPVTSALASGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADMIELPDSGSGSPTADPNVVQGFISKIIGDFDGALTPLARTTSSTGPTVAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPVVMRGKILALELLKILLENAGAVFRTSESLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVPITLVPPQDATMKSEAMKCLVAILRSMGDWMNKQLRIPDPASPNVELEKNDNEAGNELPQTDNNGDESSEASDSHSELSNGISEAASLEQRRAYKMELQEGISLFNRKPKKGIEFLVNASKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLMQMSPEDFVRNNRGIDDGKDLPEEFMRSLYGRIWKKEIKMKEDEFVPQQQQSTSSNKILGLDNILNIVVRKRGSSMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIRQKNVEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPELDKSKQAKSSILPVLKKKAPNAASASKRGSYDSAGVGGKASGVDQMNNEVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFNDCVNCLIAFTNRLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGSSPQGQSVENDPAELDQDAWLYETCTLALQLVVDLFVRFYDTVNPLLKKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGTMFVDEKWLEVVLSLKEAATETLPDFTYISSGAYLQNALTENGGSSEQREDESQTLEDDNEESSRSRNLYFAIGDAKCRAAVQLLLIQAVMEVYNMYRAQLSAQNTVILFEALHTVATHAHNINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDSAPDHGSTEVVESHLIGLCKEVLEVYLTTAKPAQLSSGTQPLGHWLIPVGSSKRRELAARAPLVVATLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSGEVQVALSDMFSTWVGPLVLQSC >Dexi7B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:7B:18467655:18469846:-1 gene:Dexi7B01G0011450 transcript:Dexi7B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFVNFMNGIEASGFSSARAGVGGDDVHHAMSSYHKKMKSPVNLAGQGTLSHISEDGIPGLNSTVHGIGHSEENITANSVARSFSTGFSIGPWEDSNSIVFSNPASKAGIHNDEDIIASLSNNYELQFGGMKEAAGMDKYLQMQQDQAQFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTADMLDLAVDHIRGLQSELQHTTCKPATVCNRAALNFPIRSQSLKEDKEKCTCRGTGNYPSRR >Dexi9B01G0033490.1:cds pep primary_assembly:Fonio_CM05836:9B:35643284:35649000:-1 gene:Dexi9B01G0033490 transcript:Dexi9B01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMASSPARVIPILLFVLVVWPYAAVSAGRYSPPDASPCYKRLFSLGDSITDAGNLASALKLPLLTPFLVGKTAADFRQGANFAVSGATALSQQFFKDMGLDLTILPPFSLDVQLEWLKQVIHLLGPTEKERHDIMSTSLFLLGEIGINDYNHPFFQNRSFTNEIKPLVPKVIKKIKNATKVLIGLGAKTIVVPGSVPMGCIPRYLTMFQSNNAGDYDAAGCLRWLNDFAEEHNRELRLMLEQVPRDPEVTVVYADYYGAILEITRDPLKHGFRKDVALTACCGDGGPHNSGELISCNATSVLCPDPSEHISWDGVHLTEAAYEFAGDRRARQSVKDAYNIGSMAASAVLPLVSLLLVLLVCPYSTAASAASNGTSCYTHLFSLGDSISDPGNFITFLPQAPAAALPYGETFFHRPTGRFCDGRLIVDFIAEALGLPFAPPFLVGGGNRTAEEFRQGANFAVGGATALDKDFLREMGLSPALVSFIPPYPLDVQMEQFKQVLHSLGHTEQERRDIMSTSLFVLGEIGDNDYTYFILENRSIDAVIKPLVIPKVVAKIENAIKVLIELGAKTIVVPGDFMMGCLPRFLTIFQSSNPDDYDGSGCIRRLNELIQQHNLAVRAMVERIRRRRDDPAVTIIYADLSGAAHEMIHNPLKHGRMPWNGTLVACCGDGGPYNSNSFVSCNATSGLCPDASKHIPWDGDHLTEAANRFVARGILHGPEGKRRRRRRLAATAAAGGEGKRGEAAATSGGEGKRGEAAASGGEGK >Dexi6B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:6B:24766386:24767426:-1 gene:Dexi6B01G0017640 transcript:Dexi6B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSASAIFEFNVNYEKAKHLAADKAVYSDAISTGDGHMWRIGYRAINMSEHICLTIDPLSKASSKAILEPSAKAIFEVLLIDKDGAPAVVAAARIWNFGAVKYVKDGQIKFLCTIRMLHYDSSTVPARTSGNGILVPPSDIVQHLGTLLDTADGTDLSFTIDGETFHAHRAILAARSPVFRAELLGSMAEATMASITLHDIAPATFRAMLRFIYSDALPKDDELGDSPLEEMFEHLLAAADRYALDRLKLLCAQKLWENVSVDTVGDVLACAEVYNCPELKNKCIEFVVVDNNFRHVVLTQSFMQLGLRFPSLIAEVREKAIRICRGAS >Dexi9B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:9B:1765519:1766422:1 gene:Dexi9B01G0003090 transcript:Dexi9B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYCPHAARLDSSSAIYRKEIGQASPISVLEPLSEDCSDSENVRQEAADLYDLQLRLELGTFAPTETAAEVSSIGGTSECLSSEIESSNDEPIQLVEDILEEFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPASYNVFEKLENKYTKVVKWSRSERKLLFDMVNTVLSQILAPCLNMQPWVSTARNLAPLWGSEGLLEKVLQVLAQIREELAPSKIKPEKKGFDQKWPDLADCIDRAGRDIEKMIKDDLLEELVVELLSS >Dexi9A01G0048010.1:cds pep primary_assembly:Fonio_CM05836:9A:50888308:50889912:1 gene:Dexi9A01G0048010 transcript:Dexi9A01G0048010.1 gene_biotype:protein_coding transcript_biotype:protein_coding HESKQARTPVAMASMEVEQDLPGFRFHPTEEELLDFYLARMVHGKKLQFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAIRSSADAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYATARGAPPPTKEDMVLCKIYRKATPLKELEQRASAMEEMQRRGNAHYAAAGAPMAQAVPSAVDEYLSPEDAHDSFMFPSSSSSAPSGESYSAPMEAKQEAVDATTVTVASSSSLLQAASTAIMPPPAVRHGELPTLQVPTNHGALDWMQDFSQLRSPWQDQLFLSPLVQAHLLY >Dexi1A01G0026710.1:cds pep primary_assembly:Fonio_CM05836:1A:32577855:32579031:1 gene:Dexi1A01G0026710 transcript:Dexi1A01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTPAPAETLDHDVTIEEYFTNYIVNESLGIIANAHVVFADKEHLKAESAPCIELAKLFSVAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESTGVIGKLYREIKKHTPHIKHFTRDVARRSYDTDLIVDGYVDYISEAIEFKEDYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRMAVRSLRKEARSWFNEMSMGKDGIGQDAIEAKASAWYHVTYHPQYWGSYNEGYDRPHLISFPWCVYDKLLCIKQRRNFLRKMEPDLASLMSNMNQNLRFH >Dexi9A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:9A:10387540:10388844:-1 gene:Dexi9A01G0015570 transcript:Dexi9A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKLSHQLFSLLESKFLFGAGAGCLSSGPGTPARKFLDGGRVRVLAIDGCGAAAEDALLAAAALARLETQLREQTGDPDARVADFFDLAAGAGAGGVLAAMLFLRGPDGRPRYSAQEALAFVVSSVGRKEKDWGHRRGRWAKIFRSGGDRVFQRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDAFDFRLRDVCAATCAVGREPVAMRSVDGLTTIAAASAGVAAMGNPAAAAITHVLHNKQEFPLATSVEDILVLSIGGGASSSVVSGVSSSTPTATRRSPSPRELARVTAEGVADMVDESVAMAFGYACGSNYVRIKAMTTPLHAGNAAAAAGAMLAQRNVESALFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKHVGTPRLSSATTSSSGTSTAARTASTMPSPASWDSRR >Dexi9B01G0040280.1:cds pep primary_assembly:Fonio_CM05836:9B:40850534:40854599:1 gene:Dexi9B01G0040280 transcript:Dexi9B01G0040280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPIAAALTITASNPPPATARTPTHPRLLPRPTRRPAAGGSSLPRSRAIPLKRSAPIPRDMDMALPIVNATATVLARVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLTRKSYKPPKKPLTEKEIDELCDDWKPEPLCPPIKEGARIDTPMLESDEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPSVLSNLRSNIALLHKGSPTTDLDLLETIAERVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSVGHTESDISALSKSLKGVSASVLSDYF >Dexi9A01G0033380.1:cds pep primary_assembly:Fonio_CM05836:9A:38271137:38273376:1 gene:Dexi9A01G0033380 transcript:Dexi9A01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVAAAAATAVVAPPLSRRVVPFASLRIHRTRALALAVARCSPYPSAPAAADAPAPPLPQEAKPKRPKRYPKQYPGEAVGVAEEMRFVAMRLRNPKRTTIKDTAGAEDADTGPGSEASEDDEDGDGGGVKEEHEKEEEGEVQEGEWMPSMEGFVQYLVDSKLVFDTIERVVAESTDVAYVYFRKSGLERSASISKDLEQFREQGIVIPDPSALGSTYATYLTELAESNAPAFLSHYYNIYFAHIAGGVAIGNKVTHCLHLKLKLPLLFNFNVSYP >Dexi2B01G0031630.1:cds pep primary_assembly:Fonio_CM05836:2B:39704383:39707277:1 gene:Dexi2B01G0031630 transcript:Dexi2B01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAWERAVEAALHAGGEGSSSPARSLTLDGAVKCMHGRLPAAEILERHQSLEHLSIAGVGVTSLEGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLSSLRDLDLSNNRIQDVDDLAPLADLRLVSLDLYECPVTRVKDYRSRVFGMIRTLKYLDKMDADENERPESDDDDDDGDADGDGEGDGDEDDDDEEDEDDPGSGEVANGGVSHSRGGVASHPVEVNGVIDVDEEESDADEVVPNGGVEHHHGANGFRVAAAGEAPDEEDEDVDDEDDDDDEDFDEDDDLGEEIDEDGDDEDAVVEVHDVASSDEEEDGVEEDDDDEDDEEEVEDEGEEAEPSGRVAMMTGEDGGEEIDGHEHGEGDDEDENGEIGEEDDEALEGDRGVYEGNDDDDADDEDEDTEYLVQPIAQPQAMAIGSDFDAADPDDADEDREEVDDDDEGATDQPSSSQGAKRKRDDDQSGSGDDSEDDGVEDLRPFKHH >Dexi4B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:4B:22644707:22659367:1 gene:Dexi4B01G0020480 transcript:Dexi4B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRRGLYTVSGSLLPPVNHLEAEGQEVYLATRRRAVRTPAMPTHTHTWSVIHRALSLTPRDHRSILGFTSRTWCSNECPSPGRGPTSTTAHSRRTCPSLPHVADSQRISLRHRDTQPGVVFRGLYFYDPCLSGLVLSSTHMASVAGKFLSGLKLAWIGPISNRIDPCGANEGQRRRFELVIIIRDLTWPRGKRNGTDYTGGLPVPARGSMGEVEVAEDLSRHSPELLQVVANEAVERHEDDRADEPRAFFSRAAGLFAAPLVVLAAVLASAGGSRLTPTTGCSNAQPYVRQMPHVRRVPDLDLVLVLELLAQEQAEQPSRGPKQPAQRCQQGIRVIPFSQRLVNGRTSSSLASARCGGGAGGAQRSARASRARGGRWIQCERLLLLLLANIFGELHSLLLPLPFLVATATSCGIWCWCCVGAVAVLRLCGVVLQFVFLFTVHLGRAHGSSVVRRSSSSRVAAGDVPLGIDVGSVSPSTSRFDDSDMSGGIGFLGQNNCSLSFRWQIKTRMWLTDLKLFWVVAGVVPEAAAPDADDAAKATAKEAKEKWDKANQACLSRLLNIISNRLFNLYSKFESAKALWTELESEFSEVDNGNESFATESYLNYKMVEGRSVMEQLQELQLLVRDLVQYNRLLPDSFQVNAILAKLPSSWRDFVTARRHMKTQLTLPELTAAINVEERARVQAHMVERGAGGKSQKKKKERAKVLILSAKKEMWWLNPPLPKSRPSSPVEGQNSQQQPAQRCQQGIRVIPFSQRLVNGRTSSSLASARCGGGAGGAQQSARASRARGGRWIRCERLLLLLLAYIFGEFFLPLVAPLAAACAAVPGGYYHRARVVICRSCGIWCLLRLCGVVLQFVFLFTVHLGQVPRSSAVWRSSSSRVAAGDVPLGIDVGSVSPSTSRFDDSDVSGGIAHPSQRDYRKHVQHQSFSTLPAMAHGVAGGEVAPQCGVEDLTCRRAPHTPVQRRPCGVRGWRADGDEATIRRGKVVSGPRLLGSRLTRPGPAGQLRHRPSYGLIAPHQARRQQDQKSATDGCFARTHGQRLLRLLASKRASAGEDTPPATEGCENGGNTFSPGETEQMVMDQQPHHRNGVNLSVEPLTATNRRGANGVTSLISPRRPPAFSAELRGGDGFHESRSPLGPTPWRSLTGRFLPPAASRRFSPNPSKNFFHRSKRSEEASPDPFKVCDVLDLLPLRFVSRMLSRFVVVVSVIGLFGVA >Dexi7A01G0023320.1:cds pep primary_assembly:Fonio_CM05836:7A:31347515:31351396:1 gene:Dexi7A01G0023320 transcript:Dexi7A01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKGRLVPVLAVAAALAAVLLYRAPFSKSLGGEGCSLLPHDHFWIASDRVVTLGRVGPAAVEVKGGLINAIAVGDYRNFVLRRPLIDYGDAVIMPGLIDVHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEAARDKLYVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNLTHIEEGLVTLAKYKRPLLIHAERIPDVEDDDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKTSLELMKDAKRSGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRVCPCLIRPLCFNYLFFQYSTNDWNFIQILQNISAYLGKELSGKVLSTFVRGNLVFAEDKHAKAACGVQILAK >Dexi9B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:9B:8010278:8011024:-1 gene:Dexi9B01G0012020 transcript:Dexi9B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAAHLDAAAAAAMQWPRHEEESASAEGWARRKRSSSSSSSRRHPRRAAPTTTEEEHLALCLLMLARGQRDTAVPSPAPSPPQADHRCSVCGKAFPSHQALGGHKSSHRARARSPVTLTTTPAAKDHQFPAPAAMAPVSSASSPAASSSTSGGTGSGRMHTFPTGQALGGHKRCHYEGTANNVVACTSTGFSGRGFDLNVPALPDVVIAADRCVLAGEGDEEEVLSPLAFKKPRLMILA >Dexi9B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:9B:2719679:2720404:-1 gene:Dexi9B01G0004740 transcript:Dexi9B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHWSKKVHLGSPISTIEFCPTERVVISTSHQWGAEITKLDVPPEWKVWQIWLVLISLFLSSATLFYLFFKHARLNL >Dexi3B01G0023420.1:cds pep primary_assembly:Fonio_CM05836:3B:18185071:18196627:1 gene:Dexi3B01G0023420 transcript:Dexi3B01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFQDQEMGVEGEAVAGSSAPAGTDQLDLEAAEQLIQLSGGGDDGSESRSGDSVKSCRGKEKEAAAVESRRRRSAAAAGGEDDDGDGGEPGSSKRAAESFTCSTPTVAGVEEKLDRQDLVVEESRRGSSDRCRPARKDRDGGILHGEERRKPKFRSLAAIYRATETRQAAGGAGEGHADRDPSEGGGERKKKRMQRAAGDVHPADAEAISAHAYSDLLQLLQPFGMVSKIVMLRAKNQALLQMEDIHASVAALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQVFKLLFSITRAKKLWKHLVLCILSELQVHYNNDRSRDFTNPSLPTEQRPRTSQQQGYPDPAGLYAFQQPGASYAQMGRAAMIAAAFGGSLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEANYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEITEEAILNHVSEHGSVINTKLFEVNGKRQALVMFETEEEATEALVSKHASTLEGNTIRISFSQMQSI >Dexi5A01G0032640.1:cds pep primary_assembly:Fonio_CM05836:5A:35100227:35103788:1 gene:Dexi5A01G0032640 transcript:Dexi5A01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLALALLLVGPVADAATAKYTFTVGSMQISQLCSTTSIIAVNGQLPGPSIEVNEGDALEVKVINNSPYNITIHWHGVFQLMTPWADGPSMVSQCPIQPSTSYTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIVRPRAGNAYPFPAPDKEVPIVLGEWWNRNVVDVENDAILSGQLPAQSDAFTINGKTGLLYQCANETFTAVVDPNTRVLLRVINAGLNSHLFFKLAGHNFTVVAVDAGYTSNLSTDTLVIAPGQTVDALVTTSASPGSYYMAIQAYDTMSPLTFATSDTTTATAIFQYNGTSTNPPAMPTMPSSSDSATANAFYFGLRGLSGLEGTTSVPSPVDVSMTIELGLGQLPCDPSQTRCNGTAAAAAMNGVSFRLPGPEESSLLGAHVNALTGVFTEDFPDGPPPSGTAMSVGTKVKKLAYNSVVEIVLQNPSAVPTENHPIHLHGFNFFVLAQGVGTFTPGSVSYNLVDPVARNTIAVPGGGWAVIRFVANNPGMWFFHCHLDPHVPMGLGMVFQVDSGTTPGSTLPTPPADWVGVCDAQSYAAAAAAAAAEDAAPAPAPTLAPASAPAPANSTTRAGQQPPPRAVDHKPSSPTVPQRRDGGRPSSTSSGAGSRANGHLPCLFCMIILLFFVLHEHRA >Dexi8A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:8A:6349707:6353658:-1 gene:Dexi8A01G0006230 transcript:Dexi8A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGWIASPIISELFKKGASFLGFDASEKLSELEPKVLLLQRLMEVVEESPYRPCLEHLFQELKSTFHEAEHILDDIEYHHLERQIHDEKLKADRCLPQRKRDWLIQKFQAALPRSPLKDKILNFGDIGLVTSLEEIVNLVNLRKDRKLKLLRDLNKIRGRLSISGLNYVESKKEALEAKLADKERLTELELVWCVGQKSVSPEVEAE >Dexi6B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:6B:13711230:13714856:1 gene:Dexi6B01G0009400 transcript:Dexi6B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGPASTFTGPVRKWRKEWIPVAAAAASNATAGANGGAASSTSAGSGSGSRGNNLLLFKWTPVNGANGGGGGGDGEQQAATVETATRRRRYVPVSVVEEQRQESTKSDDENKANDGDPSSNETEASNGKTDINDTPMDESQASDEDARDSGKNGGGTDLNLNLGLKDPDGDNEVDTADHREVGKNPQTENNRFKRKSVTPDLEMRM >Dexi2B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:2B:23787858:23790353:1 gene:Dexi2B01G0014240 transcript:Dexi2B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVAMLAAAATRHNRAPLASLLYRVSCGLHDASAAAAAGEEDKSGTPSRRRRRRSSSSLLLGPDFLDTWDPSPRAASRTQPLPDAGADYGCNATIIDGKSIAEDIRLHIAEEVRQMKSAVGHVPGLAVVLVGDRRDSESYVRYKTKGCEEVGIKSLLAKLPGNCTEDEVMDSVSRFNEDPSVHGILVQLPLPQRHHATVSVIHAFTTDPEAITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLGNTLDSAKQVYGLHD >Dexi5A01G0035370.1:cds pep primary_assembly:Fonio_CM05836:5A:37158111:37160311:-1 gene:Dexi5A01G0035370 transcript:Dexi5A01G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCTKRRRNEDDTCSHCAEKKHLYLVLDDWIGGYSIHKLDADNMEEHLPKHAAIRVASPERGNPMAFANLGTNIFIVTNPHCSHDRAPPILVYDTANAALAVKWNAGPPKLVLSVHTVIGGCPTSFLCWSAPGPRPPVGYLGDFGQSVGVNGKLYALTTVIDDHRQSFSLELQFCSWAANPDQQKPWDPPMAWSWNTSPAPPPQLIGEHLVTAYALHPDGHTVFVSTDDCHTHSLDTSNGVWRDLGAWTLPFKGQAYYNGELDAWVGLHHEQDGYVCCCPVASRRSDTTTRRQPLECTILKEKLFRLGDVEKYPSGRYLSATLTYMGDSRFCLVENVLRNKANNLDAVVHVTLFGLEYDHKAELRAKICRTTRSYAFSKNTMMFSHAAFWL >Dexi5B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:5B:5280439:5280972:-1 gene:Dexi5B01G0007770 transcript:Dexi5B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASLLLLLLPSLLLQFHHHHRAHADCEPATCGNLTVRYPFWLGNSNQPSSTPCGHPAFEVLCSDDGSSAASLKGSTLQVRSINYTNSSFVASHTRITDGNGGGVCRAHFNMSAAISPNAFTISRRNRALCFFYNCNGTKPSQRGYVNATSNCSTPIFAYLGGSYNLDSPPAIATG >Dexi1A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:1A:11549794:11550817:-1 gene:Dexi1A01G0012020 transcript:Dexi1A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLALLFLFLSGARRCSAAATASPSSPPPPPRPTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAERLGLPFVPPYLEQNMRAGAAGVGVAQIDGMIQGVNYASAAAGIISSSGSDLGMHVSLTQQVQQVEDTYEQLSLALGEAAAANLFQRSVFFVSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVSTMRQEIKHN >Dexi2A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:2A:31568144:31571862:-1 gene:Dexi2A01G0019360 transcript:Dexi2A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFASPAASNPFQTPAASNPFQTPAASNPFQTPAAPNPFQTPAPATTQAPSSSPSPFQFNFQQQQQPQPQPQLQQQVAPAAQPQQQQQQQQKLTLYTTDMKPAGYNTKWEELHAESQKALLQIEDKIREYKDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAIRSYMMLRPRFVRPGAGVANGGSSNPSAGVSSSQLIVDFYSGVPKRPSLFMQQTVNRFESYLAECCKWIGELEQLVQVENNKRSSDSLESLPKVMSNVHDYFIFVASKVENLHQYVESLKTEYLNEQRRMGGATASGSSFGGASKGRSKPRGRR >Dexi9B01G0029820.1:cds pep primary_assembly:Fonio_CM05836:9B:32212290:32213663:-1 gene:Dexi9B01G0029820 transcript:Dexi9B01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTMLPSAPATFGSPAHRTVTVKLCFSLSSVNRSIGGCTTTDTSLGMSTTAVYTDLAFPTLVTVRLTVHDHGRVVELCLGDGLDAPAGDGAVCDLRDAVVAESQPAEVGDVVVGVEVVDEARVEGERWKVAWRYCSSLMGNPVRSTASDVVMIADLIAAGDQSGCRLLRSAAMPLRCGVDMDVPDSTKKERSAPPSENSVCDSLGAHAARMFMPGPVMSGFRIPGLALLGPRDEKKVTVGDGYDPMTVPRKSMLAVALRVELRTCASAKVVYPSAALFITIIPTPPYAATVCPASTRPLSPLLSQSTTLPSTSEPRSTLQSRLASKPSAPGYTSGSGCAAELFAGWNRDSPWNSWPSPSFTLVRIARSMVPAATVSIHGAPLPTVPGSGPAFPAAQLTKMPRSMALNAAMAMGS >Dexi4A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:4A:5813363:5814343:-1 gene:Dexi4A01G0007730 transcript:Dexi4A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATGGVRRRRRTWRLYWCYVCGRAVRAVSSSSPTSDVSCPHCSGRFLHEIDLPPAPPGPVLPPPTQFFPPPFLPYADVPRRWIIYTGDADADTPLPRRRRVPSPPPTPSPRRPDDDGDAIDAPPRPPPPVVGWDAFFIGPNLDALIERLTEDDRPGAPPAPESAIESLPTVRVSPANMADGSQCPVCKEEFELGEAARELPCKHAYHTDCIVPWLRLHNSCPVCRQEVPTSQQEEEDGSPEEGGGGGEEEGSGETEAAPPAPGTVVMEGWGPLGWLSSLPRGADEGAWEEDDGDAAGGGTCAAAVLQSFVVVAACFFALSFFV >Dexi9A01G0048960.1:cds pep primary_assembly:Fonio_CM05836:9A:51698239:51700186:-1 gene:Dexi9A01G0048960 transcript:Dexi9A01G0048960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHMGRAAALILATLLASSCVSVSVTVASKEARRYNFRFVRHARDAPAVSYYNYIVVGGGTAGCPLAATLSEHSRVLLLERGGLPYGNRNVSSEYHFADALADTSPLSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASAGYVRAAGWDARLVNASYRWVERALVFRPGVPRWQRALHAGLLEAGVTPDNGYTLEHLPGTKIGGTIFDRRGRRHTAADFLRRAHPRRLTVFLHATVSRVLFRPGGKKKPVAYGVVFTDSVGGEHHVYLRGGGGGGKNEVILAAGTLGSPQLLMLSGVGPRVQLERHGIRTVHDHPGVGQGVADNPMNSVFVPSPVPVALSLVQVVGVTRFGSFIEGVSRSQFGIPLHGRAAASHAVARNFGMFSPMLGTVPPRERTPEAMRRAAEVMRRLDPRAFRGGFILEKILGPLSTGHIELRSTDARANPAVTFNYFRDPRDVERCARGIQAMERVVRSPAFARFTYANHSAMDAAFRRGAAHFPVNLLPRHPGDTRPLQQYCRETVMTIWHYHGGCHVGGVVDQDYRVMGVRGLRVVDSSTFKYSPGTNPQATVMMLGR >Dexi3A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:3A:12272865:12274753:-1 gene:Dexi3A01G0016380 transcript:Dexi3A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNSEIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Dexi9A01G0046900.1:cds pep primary_assembly:Fonio_CM05836:9A:50104529:50105406:1 gene:Dexi9A01G0046900 transcript:Dexi9A01G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRVKSLLAMETPRREVPWVQLFKCITIGDAGVGKSCLLLQFTDQRFRPEFDPTIGCDFGMRIIDIDGKPTKLHIWDTAGQELFRSINKSYYRGAAIAILVYDITRRETFDHVERWLNDAVEVTPANLTTVLIGNKCDLSDRRTVSYEEGESFAKTHGLFFMESSAKTAHNVEEVMLYP >Dexi2B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:2B:1523721:1524320:1 gene:Dexi2B01G0001980 transcript:Dexi2B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSGAAAEAARAAAAAPRDTALVLLPDGDLREYPRPVTAARVLDDAPSSSSASGDWILCDADRMGFEGPVTAVPAAEPLRAGQIYFVLPAAAAGRRGLSREEVAALAVRASAALSRSAAASGSAGRRRRGAVAPLVFAPPEEEQDEEVNEVERALAHKAAAQRRLKRRPTPRPGRRIERFASDLTAIPECEMASE >Dexi1A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:1A:10379931:10383065:1 gene:Dexi1A01G0011380 transcript:Dexi1A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQLSENKLVRKHKDHGKIDTAVHSLQGEIEDERRLRRHSEDLHRKLGKELSEIKSAFLKAVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNFKNYELNFDKSVLHISEAWLDERMQMQNSAAKEDLAHGTTITERLSSEIQAFLLSKRAGTHRSGTGSMEVPKIRSEHPHGGTVGSRRRGEAGPLPVEVSMTGGVELSMVGGVPGSSFSMAGEEHEQRIEEEEQDGVVEERDGEHCQADNGQKRAAAQLSAASESAVAAAALLRLESAPSSSSAPPRIHVVEQLCSIPNPRRIHAIKQLCSAQ >Dexi9B01G0040420.1:cds pep primary_assembly:Fonio_CM05836:9B:40966692:40968137:-1 gene:Dexi9B01G0040420 transcript:Dexi9B01G0040420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGAPGSAAAAGGAAAVKPQFHHYHHHHRLPPRHHHTAPASFLSKLAFWSVCSLSLLLAFILLSPSSAPAPRAAPDSPRRSLHASPSPAASWGGAAWEKKVRASARVRRPRGHSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDTALKRGRAALLARSGVYVVDGDIADSELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGSHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYHPDLAQKQKQHAGSNGKGPRGQNGSSSSAR >Dexi7B01G0020890.1:cds pep primary_assembly:Fonio_CM05836:7B:26102695:26104462:-1 gene:Dexi7B01G0020890 transcript:Dexi7B01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDDEAAQPPPKRTRRDPQAEEDATPTQPPPPRVALNPADCDLDFDVGGGGGLEGSALHEGGFAYCWSGARATVGARGGGRYCFGCRIVAEQPVEMDLTAPDEHHLCRIGVSRGDDPVGALGESDHSFGFGGTGKFSHQRRFADYGVRFGVGDTIVCAVDLDSKPMASVGFARNGQWLGIAKHFDAGEKGLGLVDAPVRPMQWESALFPHVLLKNVVVEMQFSREDGLEPVDGYEPWASALADGNAVFGPLFEQSECEVMMMVGLPASGKSTWAEKWVKEHPEKRFILLGTNLALEQMKG >Dexi4B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:4B:10370225:10371681:1 gene:Dexi4B01G0012570 transcript:Dexi4B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTATPEPSRATVPLVHRHGPCAPWQTSEEPSFTERLRRSHARANYIRSRTFKGSVNTLDDTNVTIPANLGGSVDSLEYVVTIGLGTPAVSQVLLMDTGSDLTWLQCLPCNSTACYPQNDPLFDPSKSSTYATIPCNTNACRNLITDGYGGGCTSADTLCAFAIEYGDGSHTRGVYSKETLTLAPEVTVKDFHFGCAHDQGGPNDKYDGLIGLGGAPESLVVQTSPVYGGAFSYCLPAQNSEAGFLALGAPTSVNTSGFVFTPMTVEQETFYTVNLTGISVAGKHLDIAPGVVSKSMGMIIDSGTVITELPQTAYEALRTAFRSAMSAYPLLPPMSPSIDTCYNFTGLSNVTVPTVSLMFDGGATIDLDVPSGILLQDCLAFVGDGGDDSGILGNVNQRTFEVLYDSARGQI >Dexi9B01G0023710.1:cds pep primary_assembly:Fonio_CM05836:9B:19133887:19135473:1 gene:Dexi9B01G0023710 transcript:Dexi9B01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAIDPHPHVPWGFEVLPLAKEDFRELAEALKHFFAQDKGVRLLEFGHGYTRRFIKHDEGLHVREHPLDREVWLMKMLFPNDARTNSAIAKAVHLNDDACIPDDVVVYAGLHPRVRSWTCPIFLLKRKDVINLGDEDGFPPPDGGLAHPFPPPPPRWMGMDGPQDDNSAGHAASHSAHGPSADDVMSEDPAGNGGDNEQVDIGAAEEVSSHSQVNIGAADEVSGHVHQINQDATENDDIMSQLFVVPVNSSPVLSVDAVFPVLHPDAPLIPPGFEHVQIQAARGPGFIGPLPMPLVPYSSSDDDSVVEGFKDNASVEMAATNPLVYVAQQGEDSTAAPHLSLEIIQGMATGYL >Dexi5A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:5A:2923354:2924765:1 gene:Dexi5A01G0003880 transcript:Dexi5A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLPAPAPGRAALLKAFEESRTGVRGLVESGVSTVPDIFRHPDPYASIPLAPPGASIPVVDLSLPFPDAAAAASSAARTWGFFHLINYQQQPPAAGDEYPARALAAVRAFNELPAAERAPHYGRAVDGGVNYSTNVDLYNSPAASWRDTIQIMLGPNRRPDLAARIPAACSAEVLEWEGIATAAARAVMGLLSEGLGLGAAALEEASCLEGKVMACHYYPHCPEPERTMGIVPHTDPGVLTVLAQDHIGGLQVKHQDEEGRTCWVEVKPVPGALVINVGDLLQIMSNDIYPSVEHRVTLNTREEPRVSIAIFFSPGKRGDSVFYGPLPGLVSSENPPKYRNFTMGEFFGKFFSRDFASKALIEPFKL >Dexi9B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:9B:8683481:8688772:1 gene:Dexi9B01G0012870 transcript:Dexi9B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTHPEPSPPPSSSERRARLPMATAAAAFTSSHLAPSISGRILRRRPAPRASAGSVTARARRLRCEFVAGGGNGALSGEEDPRLIDRQKALDAAMSDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKVGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDIRLGQGREKALQYLRENPTICDEIEKVVRAMIPEGTRHMSLLAFGQSSSTEDEQVYDEQ >Dexi5B01G0025970.1:cds pep primary_assembly:Fonio_CM05836:5B:27862342:27866163:1 gene:Dexi5B01G0025970 transcript:Dexi5B01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYITAAAAGADAGDVVMKPKAPPQGQQQQQQAARRGWRSAVVTGLLAGVLLFRAALLAVEAGASLCPSAAGCLDWRAGLGRWLYGGAGGDAMEEFMKEWRRNHREATLLDPVVVEAAPDSLDALMAEMGAMLASYDDRLDMEAVAIKMMAMLLKMDRKVKSSRVRALFNRHLASLGIPKSVHCLTLRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVLAAAVAVSSAVRSAADPARLVFHVVTDKKSYVPMHSWFALHPASPAVVEVKGLHQFDWRDGGVIASVMRTVDEVQRSSLEYHRRCDGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLTGLWEQDLDGNIIGAVGAHEGGGVCIDRTFGDHLNFSDPTVSGVHSSQCAWSWGVNIIDLDAWRRTNNRESGFRLWQMASLPPALLAFDGRVQAVEPLWHLPGLGWRVPDPEMLQFSAVLHFSGPRKPWLEVAFPELRQLWLSHLNASDSFLQGCGVVDWQ >Dexi9A01G0022440.1:cds pep primary_assembly:Fonio_CM05836:9A:17639421:17640345:-1 gene:Dexi9A01G0022440 transcript:Dexi9A01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLMLCTTFAACLALAAADWSQGTATFYGGNDGSGTMGGACGYDNLYNAGYGVLNAALSQTLFNDGASCGQCYTITCDTSNSLWCKQGNTVTVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAFEHIGVAQAGIVPILYQRVQCSRTGGVRFSFAGNNYFLLVNIQNLGGSGSVGAAWVKGDNTGWIQMSRNWGANWQALAGLCGQGLSFAVTSTGGQYIQFLNVIPYWWQFGMAFPTNQNFYY >Dexi1A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:1A:22051996:22059811:-1 gene:Dexi1A01G0015140 transcript:Dexi1A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAPRPPLIPCSPVHRPPSHDRSPAAPSPTSLEAADRSIRSRASADITLTGRRHAARHARRPLRSPFATAPRSPVCRSLRYAYALAGLPRRDGSALALALCRYITMPAQAVRRAPHHLNPVPACHSAHALGCYTLQREIAPARVCESGRGRLMWTKHFASDGDNSAFRLIFFSVLSSLCFCPLLSSSPPADEDWTSSGAISDAFAGAFSIDEHPQGMPEPCLPFLASCCAKWRTPNPNNEDTKKKGTDDSTPQQRLPPRGRKLLLFPIPAKLAGKVFPRPRPRAGILSPTGPPSPRNPRRYKSF >Dexi1B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:1B:26804055:26806573:-1 gene:Dexi1B01G0020800 transcript:Dexi1B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACSGLGPGRLRPPRAHAERLRPLPPARRWRVAASAAASGGSPDLPSSSSSPTPPFGVGEDQAAGSPGFCIIEGPETVQDFAKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNAELGISADEPDRELPDFPSFIPFLPPLSAANLKVYYATCFTLIAGIMVFGGFLAPILELKLGLGGTSYEDFIRNVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALLLTEPVSTFSDGDQPLSAPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFI >Dexi8A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:8A:9762659:9771315:1 gene:Dexi8A01G0008290 transcript:Dexi8A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGVERTGDTKQGGVGFGSLEELPKQCQLQFGGLFTRYAAPGNCLLMAASGVRFYQMRNPTGSPLTRILQVILAALRKRHSIIHDSVALQEIGEAVCLDGEDNLYTKRKEFLDKACIYAGDTSPWSFCSTTQLDETKVFLRMLPIFISSLLIFMPFTLLMTLTIQVGRTMDRRIGTFEISSASLIAIPIALHMFLQPVSSRFITPFLRRMTVRTALPIFTSISFRPIYIHPSKHERHAGGAVVICAALLSKHFVIQLSGFLLAPALHEKREQIIAVPRLPNKETSARARVRWAREKLAAARQALESGYGMSTARDRDQAFDGGAGCEKDTRERGSEGQSDRRVRVATRGRGVVWVRCGRRRGAGAPCV >Dexi2B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:2B:28649583:28651121:-1 gene:Dexi2B01G0018330 transcript:Dexi2B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLVTLSGLLVTFLCVTSDAHAQTTQILFQGFNWESWNKQGGWYNMLKGQVADIASAGVTHVWLPPPSHSVSPQGYMPGRLYDLNASKYGTMSELRSLVAAFHARGIKCVADVVINHRSADYKDRRGIYCVFTGGGPPGRLDWGPRMICGDDTSYSDGTGHPDTGADFAAAPDIDHLNPRVQRELSDWLTWLQQGVGFDGWRLDFAKGYSTAVARTYVRNARPSFVVAEIWSSLSYDGDGKPAASQDDKRQELVDWVKDVGGPATAFDFPTKGVLQAAVQGELWRMRDKDGNAPGMIGWLPEMAVTFVDNHDTGSTQKMWPFPSDKVMQGYAYILTHPGIPCVFYDHMFDWNLKREITALSAVRRRNGIKAGSKLRILVAESDLYVAMVDERLIAKIGPRYDVGNVIPPGFKVAAHGDNYCVWEKSRR >Dexi3A01G0035720.1:cds pep primary_assembly:Fonio_CM05836:3A:41010893:41011664:1 gene:Dexi3A01G0035720 transcript:Dexi3A01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKRRGLFGIINSRYMFITSCLFIHAVHILHMCIAESSDEQALLAFKAAISGDPNGVLASWTPTNGRVNATGNICRWSGVSCQSQRHPGRVTALELMSSNLMGMISPSLSNLSFLHTLNLSSNRLSGSIPSELGLLRRLQVISLGGNFLTGEIPTSLTNCTHLTHLELQHNGLYGEIPVNLSYCRELRVFNVSVNTLSGGIPPSFGLLSKLEFFGLHRSNLTGGIPPSLARILIWVVTYQTR >Dexi2A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:2A:8645022:8647450:-1 gene:Dexi2A01G0008620 transcript:Dexi2A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRQAILLSLAVAAVAIMALAPAQVSATKYNITKLLEPYKQYSKFNEMLSKTRLASDINRRQTITVLAVDNAAMSALDHYSLQTIRHILSLHVLVDYYSDKKLKKLAHGSTTSSSMYQATGSASGMSGYVNITRKDGKVSFTTDDADATAKPTRYVKSIKEYPYDIAVLEVSSIISSADAEAPVPPPAPVDLIDLLSKKYCKSFASLLSANADVFQTLNETKDNGLTLFCPVDSAVAAFMATYKNLTAKAKTAILLYHGVPDYFSLQLLKSNNGVVTTLATASETKEDYSYDVQNKGETVTLETKVVTSSVTATVGDMEPLAVYAVSKFLKPKELFKVVEAPAPSPEPSKRKGRAADGGDDSSDGSGDDTADKGDAAPAMVARWVTAATTAVAALALLS >Dexi3B01G0024360.1:cds pep primary_assembly:Fonio_CM05836:3B:19005802:19010025:1 gene:Dexi3B01G0024360 transcript:Dexi3B01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVMGLKRPAAGAAVAAQTVTLPASAVRDAVRAAVREAEATAQAPAARVPAAAAVPAEIARDGVLCLEEVDGRRWSYVVDAAGASVKANGRASVGGPAFKAVPLQSPLPPVEDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKSNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGYVPTLKDGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFVAIKPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARRRMNSNRSYQHNPVNMDFLPHIEESCKIVMSSYGVFKKKAREQEHLITAVTMIKMLWVGRADAYRTGEGAPN >Dexi1A01G0029960.1:cds pep primary_assembly:Fonio_CM05836:1A:35342391:35343127:-1 gene:Dexi1A01G0029960 transcript:Dexi1A01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDATDTTATAEDVQPDPKANLSEKRKALFEPLEPINGKRSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRAEIEKENSMLHEQVSMLMNMLDENEGFDEEGEAPPPDSFD >Dexi7A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:7A:26665269:26670327:-1 gene:Dexi7A01G0016850 transcript:Dexi7A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHGWQLPAHTLQVRSRSTLLLPWHGVVIRSVCAASARSVAADPVALWSALSVLILYVRCTAIDPADPGILISMDGVLIYKSEAHVETRDEAGKSELRNGEGIRKHKSCLGKVCFCCAIFTIEDCRKEDEANQQEDYEEALFCTLCNAECHFCYVLIQVRKHSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYVTFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVGITTYEYVVAMRAQSEPPGPSINDDQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDTTDPAERGKSYPKRPVRISAWKLAKLDSTEAMKAAAKARASSSVLKPINARNQYEADSDNLSSRSSVISADTGHHRYPRSGGNSQYKASYPHSRASADDIELYPQTPSSFQSNSRTPTPIAEHHPSKHFNPIYQTSANRSPFSAKASVNEAVSETSNARRSFPPPQVERSSRSSVYWDQEAGRFVSAQANQGSSSRSGRPDLLYTGQSIFFGGPLIADPAARSFRDPGGSSQRSTGARPHQLPVFVPSDPQKDQLSRLP >Dexi9B01G0045160.1:cds pep primary_assembly:Fonio_CM05836:9B:44766493:44769502:-1 gene:Dexi9B01G0045160 transcript:Dexi9B01G0045160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSLCSSFASLRTVSVGHRRGLAFSTPRLKFHWFCCVSSRKAFQVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPTNAYKVIEAGANAIVAGSAVFCAPDYAEGMVSSQWHPSIKGIKNSQRPVAVTA >Dexi6A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:6A:3563725:3564903:1 gene:Dexi6A01G0003890 transcript:Dexi6A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKRIMNVAAVTVPPMLTSESSKISIIISPRSNTSSKVMPFELLNAGSVSSQPQAHPAESSDAHATHYRRWNQGLPKIKAVPLIKKVFVEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPLAHLLPYMTAQILGSIAASFSVKGIYHPVNPGIATIPKVGTTEAFFLEFITTFVLLFIIIALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATVRYAQIWIYMVATPLGAIAGTGAYVAIKL >Dexi3A01G0033950.1:cds pep primary_assembly:Fonio_CM05836:3A:39271304:39271698:-1 gene:Dexi3A01G0033950 transcript:Dexi3A01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGPATRAVLAAVRRPAAAPAAAAARLRAPPPFAAPRRRVPSAFATSSSPLPSARPLAALMGSPVTAAAVMARLTAHPGASARACCELSQ >Dexi5B01G0037200.1:cds pep primary_assembly:Fonio_CM05836:5B:36772682:36774072:1 gene:Dexi5B01G0037200 transcript:Dexi5B01G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPATKTPEGPYYLSNLDQNIAVIVQTVYCFRVADNSSSSSSVSDVLRESLAKVLVHYYPLAGRLAISGEGKLAVDCTGEGAVFVEAEADCAMADIGDVTEPDPSVLGKLVYSVPGAKNILEMPLLAAQVTKFTCGGFVLGLAINHCMFDGVGAMQFVNSWGETARGLPLSLPPALDRAVLRARDPPRLEFPHHEFAPVTDDIQDDDGEPLLYRSFRFTPASISRLKAMAAQPMEEEEEGGRRRACTTFEALAGFVWSARTRALGMGPSRRSKLLFAVDGRPRFAPPLPAGYFGNAIVLTSASCGAGELAAMPVAVRLVRGAVEAVTDKYMRSAVDYFEATRARPSLASTLVITAWSRLPFRAADFGWGPPAAYGPAALPEKEVALFLSCGEGEGAGGGVRVLLGLPPAAMAEFQRLVEEVTEA >Dexi6B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:6B:10052384:10052629:-1 gene:Dexi6B01G0008120 transcript:Dexi6B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTRSAPLRRARPSSSPLPPAPPASWSANSGGSVVGSAGSKEKLELVKAKFGFHDAFDYNEDPDLAAALKRCFPDEIDI >Dexi7A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:7A:16829636:16836764:-1 gene:Dexi7A01G0005570 transcript:Dexi7A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGNAGTGGRRPGAMGGYELVRSDDAAALAAVAGTGGRRPGAMGGYELVRSDDAAALAAVDLEAGEGGGFSKRGAGNAKHAAAGASPAPKPKPQRLVSLDVFRGITVLLMIIVDDAGAFIPGMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDLQEIRLMGILQRIAIAYLLTALCEIWLKGDEDVDYGFDLLKRYRYQLFVGAIVAITYMVLLYGTYVPDWEYQTSGPGSTQKSFLVKCGVRGDTSPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHVIVHFQKHRERIMNWLIPSFGMLVLAFALDLFGMHMNKPLYSLSYTLATAGAAGLLFAGIYTLVDLYGFRRPTAAMEWMGMHALMIYVLIACNILPIFIHGFYWKDPKNNLVSFSTVGCCASFQPRFSEHD >Dexi9B01G0029520.1:cds pep primary_assembly:Fonio_CM05836:9B:32056587:32057446:1 gene:Dexi9B01G0029520 transcript:Dexi9B01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLMFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTYERVVY >Dexi5B01G0036840.1:cds pep primary_assembly:Fonio_CM05836:5B:36441285:36442582:1 gene:Dexi5B01G0036840 transcript:Dexi5B01G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQNALHQLVSFILGASAAAVLIFFLTSASSGARFTGISSWANGTTALDDHPVQEANPARESAAPAHAEAKDDDELVQLLRAVADEDRTVIMTSVNEAWAAEDSLLDLFLESFRTGEKISHFANHLLVVALDAGALERCRAVHPHCYLLPSAAARNLSDEKVYMSKDYLDLVWSKVRLQQRILELGYNFLFTDVDILWFRNPFERMSVAAHMVTSSDFFFGDPYSPMNLPNTGFLYVKSSKRTVGAFEAWRAAREAYPGKHEQQVLNEIKHELVATRGLRIQFLDTEHNAGFCNNTRDFNTLYTMHANCCVGLGAKLNDLGNLLQEWRAYRQMDDEERSRGPVRWKVPGICIH >Dexi5B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:5B:5457308:5457814:-1 gene:Dexi5B01G0008130 transcript:Dexi5B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRLFDTLALDSWRNPFSIFGTSVATDAWLASDTSAFANTYIESRDTEGAYVFSAALPPGVTKEEVRVEVDEATNVLVITGERSVQREERVSDTWHHIERSCATFLGRFHLPGDAAVGGVRAAMDAGVLTVTVPKVGAIAAATAAGAEKTADEATAMAIEAGPAAC >Dexi6B01G0011850.1:cds pep primary_assembly:Fonio_CM05836:6B:19194678:19196078:1 gene:Dexi6B01G0011850 transcript:Dexi6B01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVNNNDYTMSCLPGGIKVNTSKVYFGDEYPSGVWGEFKEGVALNILKNVVALASFTGESKLFECTGFFIDNVDDKCPTILTSASLVRFSDGTDEIVEDLRIEVWLPNNQSIEGKLEHYSLHYNVALVSVKNYNVDCPASLKHDKIDYSTKVVAVGRRLESGVLMAASGKHTWWSDGDCKDLCYTTCKITKVVGIGGPLVDVNGNFVGMNYYNPKMGTPFLRCDYLCGILNYFKTKETKFLDIIIGGEQDIVRDGEGPQYSWILDEPVYMTAEEIENEQAALEDSRFYGYINGAFAVRK >Dexi2B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:2B:30617303:30619051:1 gene:Dexi2B01G0020590 transcript:Dexi2B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRRILFRAGLLPPPPMLAMARKGGPRDRRPIHPMTGQPLDLEGVTIVDSNAPEGDAEEPTDEAAS >Dexi6A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:6A:26533127:26533997:1 gene:Dexi6A01G0018910 transcript:Dexi6A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKTFDIGQLVSSGDISAEGHLWRIKCYPRGTKKDKGDYLSVFLHHQSATKDAKAIFEVFVMDTDGAPSSCHRRRLVHVFKPKGNSDRTRGWSKFVKLSVLESLYSSNGWVIIMCGVKVVRDDLIGAPPSDIASHLGILLDSADGSDVSFVVNGEEFPVHRVVLPARSPVFKAQLLGPMADAKMSSINHLAGNHVSTFSHGPVHLHR >Dexi9B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:9B:4219810:4220319:-1 gene:Dexi9B01G0007000 transcript:Dexi9B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFASYLAMKTGPEAGDGSPAMKALIDADLQELGIAAQKLVNHAFVLGGGLGFGTSFLKWLAFLAAVGS >Dexi5A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:5A:4726955:4729893:-1 gene:Dexi5A01G0006430 transcript:Dexi5A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVCSSSLQIYNSPMAGSGSVVQRLYLSVYNWVVFFGWSDALSAQVLYYSTLALLVNGHETVYDAVEEPLLFAQTAAFVETHTHILATSLILSWSITEVHTSNMCSMFTKVIRYSFFGMKETFGIIPSWHLWLRYSTFLVLYPTGLVSELGLIYVALPYMKVTWILENNEGFDDAHFITSSTILFLVIQNFSFRYYYFSVLLSSLYIPGFPYFFRYMLAQRKTVLSKAKTA >Dexi5B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:5B:3035023:3036378:1 gene:Dexi5B01G0004490 transcript:Dexi5B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASKSIDRLPARRVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKAPSADRAFVAGDGDIDASASPARTIERALARALVHYYPLAGRLVLSEDGAQQAVDCNNAGVLFTEATAGCSLEDVDYLEAPLMIPKDELLPPTPTAGEEDDERALVLLVQVTSFACGGFVVGFRFSHAVADGPGAAQFMNAVGELARGGAPSMDPQWGRDAIPDPTGALVGGLPSRPDGAKGLEYLAIDISADYINHFKSQYAAASGGAGWCSAFEVLIAKAWRSRTRAAGFDPDSPVHLCFAMNARPMLHATLPRGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVRIIKDGKRRMPSEFARWASGEMGSGGDGVDPYQITADYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHEGMLDMNN >Dexi1A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:1A:4104306:4106354:1 gene:Dexi1A01G0005600 transcript:Dexi1A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLLACTTSLLLIVLSSYIYQLVERTRSRLPPGPVPLPIIGNLLDVASKLPHRSLWRLAERYGPLVSIRIGTAVVVVVSSPSAAREVLKTHNGSITGRRPPDAWNGAGHATNSLFTLCPGRRWRELRRIGAEHLLSPRRLDGHGLRHAMRAALLDMRRRISKSATDAAAAKPVEVGGVAFETMAELMWRAMFSCGLDAATLRELHGVAREAVRLALTPNISDFFPAVAAMDLQGVRRGMAKQVGKVYELIDQEIDKRRRAREETGGGGGIVDEQEVDLLGVMLDMWEVDEEVMNRDIMRTFLTDLFLATVDSSPSTIEWAMAELLQNPETMKKLKEELNSVLGSKECIECSDVDSVPYLQAVVKETLRLHSVVPLVPNKAEDTVEIQGHIIPEGSNVIVNLWAIHQNGEVWTDPCKFIPERFLECKEFNYQGTDDFDFVPFSAGRRLCLGLPLATRMVPALLGSLLHHFEWTLPQEAMENGLDMSEKLGLTLCMATPLQAMVKGM >Dexi3A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:3A:11342615:11343579:1 gene:Dexi3A01G0015260 transcript:Dexi3A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQVLRLLASRRRAVATTITAPAAPASSSEAADSDAEEEDEGPFFDLDFSASSVRASSSSAGSASSGSDSDDAFTDLDFIISLHRSGSASPSPYEALSFFAAGLPPPPPPPLPRLKFCASEPNAKATSALQTQYGGGKRYGGLRTLSFGARKAAFYGGRPSFARSSSSARSLRLFMESPADEEDAEEVTAEPRRTPSGDVIRRYLTKISRRLRGVRPRAAGEARGFRRLRKSRSASPAVSASSRRDDSLAEKQDGIASAIAHCKESLHRASLSECDSPLLRSRSDPGKPLHG >Dexi9B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:9B:6963996:6964777:1 gene:Dexi9B01G0011010 transcript:Dexi9B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASGGGSSPAASDLMIVAAIAALAEENGSSQAAIARRIEAEARGDLPASHPALVAAHLSRMSAAGELVAVAGGKYALPPPPPPPAPESPAGDGAGGDDDCADDEEEEEEAPEPLPQPPVKRGRGRPPKVRPPGFPAAAAAPGPVGVSGAAASPAAAPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMAPRPRPGASTTAKAARPQFAEVGFV >Dexi5B01G0033590.1:cds pep primary_assembly:Fonio_CM05836:5B:33982098:33982767:-1 gene:Dexi5B01G0033590 transcript:Dexi5B01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHSLRRLRSFRRGGFGDGGERRPPASGARLPRGEPAVDAPDMEPVVAVRQRAHAVAVRELREADGAFRRAAPGELDLRPVDHRRRQRAAGPTALPPGVVLAGGGGGGGGARRLGAVATGGLLLAHTRRVTRNAHRTITWTASTTTSPHSSIDSTVITVAFSELKGAAAAARPCTSPTCTCSCTAGPCDGPAAVAAVAACDRSLLACSCWASILCAQDVM >Dexi3A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:3A:9085022:9085324:1 gene:Dexi3A01G0012510 transcript:Dexi3A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRARDLRSPDLACVTGLSTSTAPPVRLAVEDVVAVDLEKKKVDLRKKKLCGPHASWPLRELREGDSGPRLGIAPLPAHRTPSLSGDYGGSSGAAPT >Dexi3B01G0021610.1:cds pep primary_assembly:Fonio_CM05836:3B:16460189:16460527:1 gene:Dexi3B01G0021610 transcript:Dexi3B01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKLDTIIGPVGLLRLAKREGEAEQPRKNPTRGGTHLAKKYHPPRPQIAAPPSHRRDGDRYETDARTAKRVSQPLLLPTPGKMWKAGGCLRGFATAELNFVCLFLLFAKL >Dexi5A01G0032940.1:cds pep primary_assembly:Fonio_CM05836:5A:35373315:35373533:-1 gene:Dexi5A01G0032940 transcript:Dexi5A01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEGLATTMEEDATEMEEALPPSALGLGLIGRRRPGLHGERGAVHGRNTRAELELEESRIAAAKGAAAGRQ >Dexi7A01G0023470.1:cds pep primary_assembly:Fonio_CM05836:7A:31441794:31443433:-1 gene:Dexi7A01G0023470 transcript:Dexi7A01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQLCCLSTLLLLLGLASGQVLFQGFNWESWKQSGGWYNMLVGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASKYGTASELKSLIGAFHAKGVQCVADIVINHRCADYKDSRGIYCIFEGGTSDGRLDWGPHMICRDDTQYSDGTANLDTGADFAAAPDIDHLNDRVQRELTDWLLWLKSDVGFDAWRLDFARGYSAEVAKVYVDATSPSLAVAEIWNGMVPGDDGKPAYDQDQHRQALVDWVNGVGGASSPATVFDFTTKGILNAAVEGELWRLVDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSELVILEHDADAYVAEIDGKVIVKIGSRYDVGHLIPAGFQVAAHGNDYAVWEK >Dexi7B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:7B:25493369:25494461:1 gene:Dexi7B01G0020030 transcript:Dexi7B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAVEATLVEGAVLWLAQTILANLLLNKLDEWLNRVGLADDIEKLKSEVEEVEALAASVKGRAAGNRLLALRLARLKELLYEADDLVDELDYRRLQDQVEGGMIAWDNQRQATGVNGGAQLVDGPRDNSGLPNRNDRKKRSKAWEDFSITEEDADGKPVKAECIHCGTQVRCETTKGTSVLHNHLKSENCKRKRASIEQTPNPLRYYLS >Dexi7B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:7B:14821902:14822472:1 gene:Dexi7B01G0007030 transcript:Dexi7B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPPHGAPTWPPPSGGAISISSEPNSPSSGGSTSSPALCSSSIVSWSPPPPPPQPTARAAPSGGSTPATPLTPGCAACKHKRQKCPPGCVLLPYFPAGEPDKFRNVLRVFGVKNLLRTLREVPRPRWDACVRTLVYESRTRLADPVRGLAGAIEDLEGSLMDTAVELVVLRRRLDSPSGSKP >Dexi1B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:1B:5631746:5632657:1 gene:Dexi1B01G0006800 transcript:Dexi1B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILAAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLVTTATTEGDAERPCKRVSPGPGSPTVSDRSELSHGSSGGGGGAQVFRPVPRAGGFDAIAAVDTRPPPPPPTRDEDPLTSLSLSLPGLEQASPPGFRHDSARSHFQELSPSRSPSPPSPPSSSSSPSAYPFSGELVAAMQEMIRAEVCKYMSGVGLRAGCGAGAMGEAAMPQLVEGVMRAATERVGFVVSRP >Dexi2A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:2A:12649897:12652785:1 gene:Dexi2A01G0011040 transcript:Dexi2A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNAHRIASKPTPRNVSGASVSGQIRSDGKTSPAIWVQIVIEVKGNSSVANKYTVWIDYDYNEDRMLVYADAGEGTTKPANAIANKARLNRPWMKKESASFGFFSSMGQLLQLRTWNSTTLEQLPLFCPGLPRSHHQIQWAIALSSVLGSTAAAAITGTIVYFNSKFRRWKREQEKLVKTMQNLPGVPTQVDYSELRKATRNFHGTMKLGKGGFGAVYRCKLPVAASRNRTGDGGGREEVFEGSWSYNQGEPILIYEYMTNGSLDQHLFLKGGQRQHQQEQEAQAPIGKWQTRHSITKDIAVGLHYVHHEHEPMVLHRDIKASNIMLDSTFRARLGDFGIASTVAVDRSSVTGIVGTWGYIAPEYAMTYRSTRQTDTYAFGVLILEIVTGNKNGDVPPEDDHITDWVWRLHREGRLLEAVDSTVPTAVDDFVTEEAERLLLLGLACTNPNPSNRPSMKEAVQVITKLAPPPDVPLERPTFMWPPEDWRLRNSV >Dexi8B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:8B:5868337:5869026:1 gene:Dexi8B01G0005610 transcript:Dexi8B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAKKPAAGDPFAALPDAILQHVLSFLPAQPAVQTCVLARRWRRLWEGAMGLRITGTSAPKPPCAPEMSSEKLREFVDHLFLLRSGTPLDS >Dexi5B01G0030650.1:cds pep primary_assembly:Fonio_CM05836:5B:31587593:31587931:1 gene:Dexi5B01G0030650 transcript:Dexi5B01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTAGSRSTRPVGWAPREPPPLYFIASPPRATRTDRSHRERESLASHSPARVVAPPPPRARRSRLRRSAHADRRTPGGEGAKKGGRSSRGGGGSLFPTKRLP >Dexi8A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:8A:9030215:9031297:1 gene:Dexi8A01G0007860 transcript:Dexi8A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSTPPSPGKKPKPPMSRLTRLSLKAVDLVVDATRRSDGTLNRCALSLLDPRVPAISSPCLGVATRDLVLDRSTRLRARLFHPSSPSTAKSTNSTGSPGLPVIVFFHGGGFAFLTAASAAYDAACRRIARYAAAADGLTALRFLDDPTNLTNLPIVPLDVTRCYLAGDSAGGNIAHHVARRYATHVSTFRNVRLAGVVAIQPFFGGEERTPSELRLGGDGVAPIVSVDRADWMWRAFLPPGADRTHEAANFAHPAAIAGVESPAFPPVLLAIGGFDPLQDWQRRYGEMLKSMGKDVRVVEYPDAIHAFYVFPVFDDARDFIIRIAEFVAESGGGGGSSG >Dexi1A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:1A:1586374:1587708:1 gene:Dexi1A01G0002390 transcript:Dexi1A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTAFIPRAGLPVLRATPLFRVIASTSGAGSKKKGKKPKSGSRRAGEDKDSKALEPPPPVVRRAPAGSASIFQQQKQQQQAAASKAGGAGGKGPTEEELRLAIYSTCTYHGVSPCGKMQSFLPEEYDKFFVKYLYPSFTPTVVLFLAGTVGYGVLKYFESEKSKS >Dexi2A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:2A:29293640:29300168:1 gene:Dexi2A01G0017450 transcript:Dexi2A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAVALLSPAVAVSSRAHPLRRARHLAVRAVASPPASKVTPTPPPSKTGKWEWKFEDKLINIYYEEHEQDTSENVKNILMIPTISDVSTVEEWRVVAKDIVGRTGELGYRPTIVDWPGLGYSDRPSLNYNADVMESFLVQLVNSPNSPVANTDDELVIVGGGHAATIAVRAAGKGLIRPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIIESRYELTKRKGARYVPAAFLTGLLDPVQSREEFLQLFAKMDGDVPVLIVSTLNAPKRSKAEMETLKAAKGVTKFVEVPGALLPQEEYPLAVAEELYSFLQESFSARR >Dexi3A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:3A:7670635:7672622:-1 gene:Dexi3A01G0010700 transcript:Dexi3A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVMAHCNTMCSGSEKSTSSDGEYGDNARGMLLGCRALATSQGGPVVLTPPSATSSAASPRAQKDVILPSAGNLKPSSKSKSPGPSNGTQSTATSQQSAGTGVTIKQVPGIGISIKQEQNSSVTEAEADDDGPDEFEEELDRVQANLNYFMDLAQEAHGSCSKALADLFPEPSKDKTSGK >Dexi5A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:5A:20468832:20469204:-1 gene:Dexi5A01G0017270 transcript:Dexi5A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLEEVQMQETLIFSDTIKDLKTLRSQLYSAAEYFELAYMQEDGKQAYVLHPSLPFLTGDQQAKL >Dexi7A01G0023030.1:cds pep primary_assembly:Fonio_CM05836:7A:31180906:31185539:1 gene:Dexi7A01G0023030 transcript:Dexi7A01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDVLLDVEEASGDTGSTMVISTRLLPPILPSCSCSAAAAAAAAQRTGPMPRPCRCCLAASLLLALAVAAASGAAAPGLAYEARQEDTRAMPSDAHLDEATHLLAAEGATLASIWAAEKDTHTLTQRSSSAVATQGDDQSSPGGAEHGKEEGSGVSSKEGEKQGKSCLTKEECHKKKMLCGKGCTLSAHSKCAAKCTKSCVPTC >Dexi8B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:8B:8472583:8477117:1 gene:Dexi8B01G0007210 transcript:Dexi8B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPWSRERHHATEFADLKDGCPDGIPWIVSRRVSTLNSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNIMELIHRNMLIIVQNDEQGRVSTCTMHDIVRDLALAIAKEERFGTANDYRAMILMDRDNVRRLSSYEWNDDTSLKVKLPHLRTLVSLGTISSSPDMLQSILSESSYLTVLELQDSEITEVPASIGTLFNLRYIGLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKVKKLRHLLADRYADEKQSAFRYFIGMQAPKDLSNLAELQTLETVEASKDLAEQLKKLMQLRTVWIDNISAADCANIFVSLSNMPLLSSLLLSAKDENEALCFEALKPKSTELHKLIIRGQWAKETLQCPIFQGHGKHLRYLALSWCDIGEDPLKMLAPHLPNLTNLRLNNMRSAKTLVLPEGSFPHMKMLVLKHMPNVNQLVIGKGALPCINGLYIVSLAELDKVPQGIESLLSLKKLWLLNLNSGFLTQWNNSGMHQKMQHVLEIRV >Dexi3A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:3A:7609250:7610494:1 gene:Dexi3A01G0010620 transcript:Dexi3A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSSMFMQWAMDTLLSDHPEPAAFVDGCGGETTFPSLQALRDASHAVEMVRELMADDEALAANSWSSGDGDVTDGSSGGGGGGGVTVPAPAATASRDGNNGTWTQSSPGSFRRAPPPPASSSTNPPPMSWNFVTGSAQPGSGANIVEEAVVAPRSVPPELVPGSPPTRRPSLKSLGAASSASAYAPDHIIAERKRREKINKRLIELSTVIPGLKKMDKATILSDAAKYVKELQQRLKDLELEVAAARSNETVARAKKPCNNNTVVAAAPDENGSPSSASSGTPVVRPPALPEIQARFSEKSAMVRVHCRSGKGVAQTVLSEVEELGLSVVHANVMPFSACTLIITITAKASLFFSFLFYTNNNSTLSA >Dexi5B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:5B:10247666:10249389:-1 gene:Dexi5B01G0013930 transcript:Dexi5B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALLIQLPEVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDILHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLNGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPGEHSGS >Dexi6A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:6A:21237191:21237858:-1 gene:Dexi6A01G0013910 transcript:Dexi6A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIKIFEDNIDAAAEEIIGILGRTRQESVIYLDGWFHERLGASAVLKAVVERYRSLWSSEAGATRKAAAGLDKIIHIDCSLWQSMRSLQKAIAEELKLPQEVMIFFDQVDEEDDFDGVPQAARGAIPDVKSAIFNVLSNYKFLVVFHNGSGNYVDLWQCGVPAMGVMGKRVLWTSRGRG >Dexi9B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:9B:8565855:8566184:-1 gene:Dexi9B01G0012700 transcript:Dexi9B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSAAVSGRAGEAAVRRIPAHWAGFLPCFLGREGRCRVLGGKRKCEQRRKRRQDCAGSEDGQWRLAANGEEIVAWWQWCPRGSEWVPRHSVAARHRTGQLSISSRDLR >Dexi5B01G0039450.1:cds pep primary_assembly:Fonio_CM05836:5B:38277083:38277712:1 gene:Dexi5B01G0039450 transcript:Dexi5B01G0039450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCAWTMQKEALGLASWERRDRSHPPVQACASAGTARIPITSPLPPPPVCHHHNTTCSSPTSSVAPHLLCTTVLVFLVGYHWSDASPHLVFFSSTASSSSSSAAGSSSRSPAVVALSPNISFVSSQIPATSTVLPVSPTSNPGRRRWCLAAMRDDFDLGRIGANNTGCCEHEDEHRDLDLTAQDLNPFVVEHDLAAQDLIPRRRSPKL >Dexi4B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:4B:4181635:4182469:1 gene:Dexi4B01G0005940 transcript:Dexi4B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNTRPPAGELFPCLLGGPAAGVVACGKRTFGEAFVKATLPLFVRDGDGDDDDDGARDGVDLHTDNKRKRLVGWPPVKSAHRRSSGGAYVKVKMEGVAIGRKVDVSLHGSYDELLRTLARMFPSGGAGGAEDEAAVTGQHEGRRRGPPYVVTYEDGEGDWLLVGDVPWEAFAKTVKRLKILA >Dexi6B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:6B:8499505:8500315:-1 gene:Dexi6B01G0007310 transcript:Dexi6B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTATRAFLVPGYSNSDGYLVGDYLPSRSAAFAVGGYDWSIHYRPSAESVDLCLWIEIKEAPMVTASFSVSLLDPTGSLPPWKLPEATSRVFDPRHVDNSGEVAVSMARSFLQDLALDPEYLTRGGLLFDCTITVFTETTTPALSRAEAPESDVMEQLGKIYATEDGSDVTYSVKGKLFRAHKIILAMRSPVFKAQLYGGMMESTAEIIEVEDVLPDVFEALLRYIYTDALPAMDAIKVDDEHDEEVDEDIDMV >Dexi4A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:4A:21983280:21984548:1 gene:Dexi4A01G0018070 transcript:Dexi4A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGFTTPSFKKSLTKFLAKPLTMATPGCVDVTGVECESRRFQNTICGTTSPYPASWNLRQMTSRRRLIELHVAEDEHGPDHLLLRQPSIHSRGEYAVEHQLQCGVQSPLHLPDEAQLLREGLAFDQVDLRALAERDHLARPWLLAELRDEEAQRDANLHGRAVHAPGHVADGDAVAQGPLAAEVAHHAAPLELRHVVVLAAVGRGGDLVGEQRARRDLGLAGLHVLEVLAEALLVVLLEEGLVAPHGHRRDAGVGELGPPLRAHVHLGELEDLAQRHQHLAAEAVKRMVRVVLLRHVGVAGEEAAGDGLAEQRRAVERRARRGAPLGGEPDLPLVAAGGELLEVRGEELGEEVGDAVEQEAVGAVAYVSSDCTASLATFRAFFVVIFFFFFAAAASTSSCGGDDGVVAAVPF >Dexi9A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:9A:2343165:2344880:-1 gene:Dexi9A01G0004390 transcript:Dexi9A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYGRKRHTTANPMSVNPTMLNEGGHQHAIAYVQGEKYYGAKATINVWEPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFVQINSEIAMGASIFPTSSYSGSQYDISLLIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGVHTSTQMGSGHFPEEGFSKASYFKNIQVVDSTNNLKAPKGLGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCQ >Dexi6B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:6B:23452508:23453551:-1 gene:Dexi6B01G0016190 transcript:Dexi6B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTVSLLLLLMQLAAAGMSMITSSAAAAAIALPGCDSKCGGVDVPYPFGTRDGCHRPGFEVTCDRAHQPPKLFLGGGAAAGPEVLELSLRNSTVRVRSAVWSFAAGAAGTTTAAATIDVLPGNNLTHYVLSAARSGVALVGCGFHATAARRGGNAAAAFSSCAPSCPGAKKRELRHGPCDGAGCCEVPIPASTVTSSFDVKFSWLEKNATDRPAWVVPGASVFVVETEWWHDRDNVVPVKLSLLNSGNATGFVIPAVLDWTLNKSSCAAAKGMAEDFGCVSKNSECINSTSSAYGYVCRCSDGYNGNPYFQD >Dexi2A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:2A:33702895:33707048:-1 gene:Dexi2A01G0021630 transcript:Dexi2A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTARPTGDSEAKTATEPTNRPPPPQPPPPASYVHRRFAASHSRWPGNTIPRVGERERETKRGEGALLRPIALFSAIGGGLGAAEANMGLLELFITACVPVFNMLLVTGVGSFLASDFAGILSKEARKHLNNIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGNPDVCQTYGLAYSSLSMAIGAVFLWSIVYNIVRTMSNVTEGDGDAQTNQTIVLISGSATETVAEDNCSTLNDHTDECTLPLISKNIPPSKNKVPVLERTWKFLSSISETVDLKKLFAPSTIAVIVGFIIGGIPLLRTAIIGDTAPLRVLQESAELIGGGAIPSVTLIMGANLLNGVRGGASVQPSVIAGVVVVRYIMLPLLGTALVRGAVHFGLIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >Dexi1A01G0028990.1:cds pep primary_assembly:Fonio_CM05836:1A:34537531:34539621:1 gene:Dexi1A01G0028990 transcript:Dexi1A01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVRFRLFDGTDIGPTKYDPSTTVSALKEFILARWPQDKDIAPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQADKNSGIADNFSPLFILFSCFL >Dexi9A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:9A:1926931:1927811:1 gene:Dexi9A01G0003600 transcript:Dexi9A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVPADAPPSVVVAPAPESAAVDGGVSVDEPAAATGGGVRSMVERWKMEGAPARARLVLRALGWLFSLLALVVMASNQHGHGGSQDFRQYPEYNYCLGIAIVAWLYTTAQVVRDVHRLGSGRDLIGTRKTSALVDFAGDQVSSSLRKTGMIVSSVQVVAYFLISAMSAAAPVTDYMRQKADNLFTDSAAAAISMAFFTFVAIALSALVSGYSFSMEVLV >Dexi4A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:4A:18641748:18642315:-1 gene:Dexi4A01G0015590 transcript:Dexi4A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNDPAIIVPTTKPFVQESFTGPSSTSFHARRRALCFASLKRSTAALSSAASIWARTSRRTSDVTHSLSASVVVVMVVVVVMVVVVAVIIKASDGVGNVPSTIARGTIGTVGSVEINRSDAPAELATSGALAGLA >Dexi5A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:5A:154216:158872:1 gene:Dexi5A01G0000200 transcript:Dexi5A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELPLVYHIGLVLAALWAAGAVGIRHSLLFLLAFLYLYMSKASVQELYMGRNPPMFTSMRVLPETSDDDHLVLELGMNFLSAEDMSAMTVKPLINHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMIVINVEKIASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKARLGPFKFQTQIQRKTLSPKWFEEFKIPITSWEASNELVMEVRDKDPMFDDSLGECTIDLHELRGGQRHDKWISLNNVKKGRIHLAITVEDVSEDKNVSCLDESLKKSDAELPVSTSIDSTLDAGELPEEKKVLMDEVEHINIDGQEQPGGLYVHRPGEPGRSLTSTLSRTVSRKRAEDRLSDIPEQVEAHVSESLSAEPVPVEGKPIEIEGHQTTEHGNGAEEDAA >Dexi2B01G0032280.1:cds pep primary_assembly:Fonio_CM05836:2B:40212184:40214375:-1 gene:Dexi2B01G0032280 transcript:Dexi2B01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWNHVFSKTEFHVLILGVHKAGKTTLLEKLKSIYLKEDGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYDEAHAVIYVIDSAAASSFEDAKSALEKVLRHEDLQGAPILIFANKQDSPAAVSEEELARHLHLKELDERPCMFQAGSAFDGTGIKHGVDWLVEEMERSKRTEALRARTEAAGKI >Dexi6B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:6B:24462378:24463286:-1 gene:Dexi6B01G0017270 transcript:Dexi6B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAVLGPRTQTTPRDPVRPIAAAHLAPARTPKHAAAVAPGPAGARTHPFLHSTRHPLSALSSSIVVSTESQKNKREKRKEEVEEERKAPRGERERERERESVFSGGVDKAAGGSWWLRRKGRQGLSRGELRQEGGLLLVFAAAAALVVELLGRLGFRAPPSGRLGGVKVAPFSLPVSRHLPC >Dexi7A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:7A:22375650:22376273:-1 gene:Dexi7A01G0012060 transcript:Dexi7A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAVPPPAAGLLNEEEADDGWVLLRSGGGTTSVTAPPAHGRPPRPPPLRGARSPATGGQFEPTPDDLVGRYLPARRALRCGDLPPQIHDADVYGAHHPAFLAKLHPPANAHRPDAWFFFVCRRRGLGGKRTAGPGAYRLAGESTSNPRAAWYCHSFRYHEDSPDASASRETEWRMDEYGDRDAGERDDGAAFEMVVCKVYQRMARL >Dexi6A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:6A:25675078:25677321:-1 gene:Dexi6A01G0017820 transcript:Dexi6A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLRLRALGHRCYYYSGGLATARGGRRRRVGAASCSPAFCSIAASGNGNGAAVGPIGSGVEVAHARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGVRYVVVKIHDSWDDFRDYFMKQDFSYKPGDWLVFGSETKGLPQQALEDCCREGLGGGTIRIPMVETYVRCLNLSVSVGIAMYEAARQLNYEQLQYQPELPEEAQGLFPAEDIYA >Dexi9B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:9B:2047249:2051563:-1 gene:Dexi9B01G0003590 transcript:Dexi9B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMKCPSCDCGFVEEMNSEGFEPATDPRSDRSLSLLAPLLLGMLGGSSRRSRLRRQAMEGIDDDEADDEDDSDRELEVPSRRQRRRGSSALASLLQTIRDDIRGLDDTDSDTERDMERERRERERHRQRLERRSERQRDRLASLVQLLFVKTKAAMTCCLDSELSSPPDSGTTGASVNAERVRAYTGLPPYRGL >Dexi3B01G0033550.1:cds pep primary_assembly:Fonio_CM05836:3B:36296622:36297229:1 gene:Dexi3B01G0033550 transcript:Dexi3B01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVITDECTVAVPTERLWKACFGDAMPAILPKACAGIIDAVEVDGPGGAGTVTTMKFNPWEVLEGGKVTKQLKSQVNEMRVEAAGEGVSVVKVKVEYETLGDAPLPAEDQARLTKAYLGLVKKVEAYLAAHPDELA >Dexi9A01G0022100.1:cds pep primary_assembly:Fonio_CM05836:9A:17024664:17026136:1 gene:Dexi9A01G0022100 transcript:Dexi9A01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRGVQVVETCFVSPSEGTPKKQLWISALDNLLAYRGHTPTVYFYRSATDADADFFSIARLKESMARALVPFYPLAGRLSLDTDGQLVIDCNEEGALLVVARSDLTLDDFVDLKPSPELKMLFVPRVEPSSVMLGIQVTFLKCGGVALGTAFHHVAGDAISAFHFFQTWSAISREGHGAVLAAPSHDRELLRARSPPVVHPDALSLFCRQLSLTPPSEPVVNEVFTISSGRLAALKLAASGGGHVSTFCTISALVWRCACVARGLHPDAKSHLTLTANIRRSLKPNLPDHYFGNAIIFLETVALVQDVISESLADTASRIRRVIGRMDDEVARSAIDYYKLAEGERRPPPSGSLPVTELRVVSWLGMPVYEAGYGWGKPEMFLGAESSRQGLVHLMSDGGDGVRVLVSVEASSMEEFGRLLYANLPC >Dexi9B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:9B:11218948:11223742:-1 gene:Dexi9B01G0016390 transcript:Dexi9B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSRKQSSSSGSPSSSSSSRRRRGSGADGSMDSSSRGGGGSGSRGRSPRLDRRNAAKRIDYEVGGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPGTDLRISGSAEGEVDELCRSLGLSGPEDFAIPIAAWEARKSRSNSDLLPRPRHAPSPPNDEVSPIARAVSAPNVQPTLSVPAPIPEESLHSSSTSTATESAEEPTVAAESPKVAPAVPVVPPVGGLPLPSPRRGGGEVGIRGARPPLLSPPPPITALAPPPVRRSVVADDMTGSAWDIVQSFAPSEERIELGGAHESADTHNVSDREDDEVDDGVAAVEGELKELRLGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWVCHTNAFFMIGRGEQPPIPNYLSKEAQDFIGQCVRVDPENRPSASQLLEHPFVNKPLRSSFDSSSPPAVRF >DexiUA01G0001770.1:cds pep primary_assembly:Fonio_CM05836:UA:4692076:4697355:1 gene:DexiUA01G0001770 transcript:DexiUA01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAELHVQLRLAWSMARRPPMRCEGSSFVQSVARSHRGSPGATQQQRAGDVGGVARSIVSTKSNKILANIAIKINAMVGGRSSVFVDAQKSLPVVSNKPTIIFGVDVILSASLDASAPSIASVVASQDWHEVLKYNGPPVHYAHKLAHRARLYLAQGSEAATTVSSGGATAPTGGSKQIPEIKDALKRSMFYC >Dexi5A01G0039040.1:cds pep primary_assembly:Fonio_CM05836:5A:39781471:39783846:1 gene:Dexi5A01G0039040 transcript:Dexi5A01G0039040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSIIDRKLIERLLKNSSYQVTTVDSGSKALELLGLRDEADDASSPSSSSPDHQGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQPKCQPQIQPEPEQKLEPPPQPAPKPEEAVVEAIADGISISDCNGSSSSKRKAAAMEQQEGLTSPESTKPRLSNSSLAVET >Dexi3B01G0038020.1:cds pep primary_assembly:Fonio_CM05836:3B:40847170:40850124:-1 gene:Dexi3B01G0038020 transcript:Dexi3B01G0038020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIAVPGVLLLLLVASSSLLATAFGESVLLGRKAAAGDDDDDSGSHKAVKPGLSAYAGEPQEAANSIAPLLEKAKGVATAGLRLIGDEKSEEILEAVISPYRIALNYLLGKLGGEFSETVGVVDLGGGSVQMAYAISDGAAANAPVVPEGKDPYVTNEFLKEKQYNLYVHSYLHYGLLAARAEILKAKNGPFSYCILGGFSGTYTYNGEDFDATAPPEGASYDKCRNGATAALNLGAYCAAKNCTFDGVWNGGGGAGQAKWPTFTWPPTSMTGPHR >Dexi8A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:8A:541772:542182:1 gene:Dexi8A01G0000810 transcript:Dexi8A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSDFGDWLSWLLLATAFLLTTLMALMTIVVGVYQVIRCVQAHRRGCSSVVDELLREIILKDHDIPPGGGEDDEVCAICVTPYESGEACSVLPACTHMFHKPCVAKWLRKRNTCPLCRATVLRPPAVVAAADDMV >Dexi8B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:8B:10497929:10498225:-1 gene:Dexi8B01G0008120 transcript:Dexi8B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPSSPLCCVPLLVGGDTVVSVDYRLALEHPIPEMLWSAYSGGHTRLGVRAKCGAAAPTSSAPRAWPQREAVRCRGRARSGDHYLSPALTTCMNV >Dexi1B01G0030290.1:cds pep primary_assembly:Fonio_CM05836:1B:34313777:34314629:1 gene:Dexi1B01G0030290 transcript:Dexi1B01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRLPGFFAHAFGSSIILACPPPEDSPPLGTTPIWDVRKRSISFGPPLGLPLRPIFFPVGRGKLFALHSGALELLPRSPRHPPPPPANVVEDEDDDEEEDYDDGDDDEDGWSQLPKPPFSSTIVASYAVHPDGPSILVSTENILGTVATFTFHTNKLVWKKHGDWALPFTGRAHFDRHLQIFVGLSKDPETLGHLCSCDKASLNTCSNTIDDQPPAPAWKLCPDKLFSTNPGEKHVSATLVYLGSGSKFCLVECLSLEEEDDDQVTRREDAGTDAMCIA >Dexi4B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:4B:1665867:1669407:1 gene:Dexi4B01G0002590 transcript:Dexi4B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASAAGGAAAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVRFFVRRATRRAPIINRGYYARWSVLRKLMRQFLNAGKDSNDEKPKQILSLGAGFDTTFFQLQDEGIAPHLYVELDFKEVTSKKAAIINHYTEMKEKLGSDASISIACAITDQSVSEKGEVISTHYKLFCADIRDIPKLDSVIRLAEMDPSLPTFIIAECVLIYLDPTATSAIIHPDDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLDNGWQRAVAWDMLKIYNDFIDSQERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFDNFGFDKE >DexiUA01G0017730.1:cds pep primary_assembly:Fonio_CM05836:UA:37512881:37515717:-1 gene:DexiUA01G0017730 transcript:DexiUA01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSADVLWPTSSSSPPAPTWFLLMVTLGFFVVVRSTATFLAWLHRAFLRPGKDLAMSYGRWAVVTGATDGIGRAVALELARRGMHLVLVGRKPHKLARVAKEATAAAPPSHACKVRTVVFDLASAGDNEQRRGVARVVAAVEGKDVGVLVNNAGATYPCASYFHEVGGDVWEDVVRVNVEAATRITRAVVPLMVAKGRGAVVNVGSGSSVVVPAFPLYAVYAATKALSVEYKQYGVDVQCQIPLYVATKMSPVKGDSPFIPSPEEYAKAALRCIGYEARCVPYWRHSVQWFLASLVPDAALNQWRLQIGIRKRNEMKVLLREKVSS >Dexi9A01G0032080.1:cds pep primary_assembly:Fonio_CM05836:9A:36869373:36870252:1 gene:Dexi9A01G0032080 transcript:Dexi9A01G0032080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNVRYSSLPSEDGDDINLNKEDVDLRYTYTPKSYRRIPWKSIALALFLLLLGTSLLFLSYFIFTGHMEGDSSQAYGLLFLGFLAFLPVGHLHGFGIIAGFYETRVAYYSWRGAPGYTFASIPDY >Dexi4B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:4B:9323529:9324560:-1 gene:Dexi4B01G0011790 transcript:Dexi4B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQIAMDHRVSPPPPGAACDVVEDEPPQPPANARPMPVLISPIVPVPAGGASAGSAAAALPPAFTGVLYTHSHKWPAVVDDPGKKREKWLKEMRGWLMVLAVLAASVTYQAGLNPPGGFWQQDDSQGNIAGTPVLQSKFPKRYTVFFYFNSTAFVTSVVIIVLLMNESFYHSEAKVEALEIIVVLDMAGLMGAYIAGCTREVSSSIYIIVLTVVVFLYVVYTAQFLPKLWGLVVHVPFLHKAAQGGALPVPHEILDTARPRMDIGRTKSAPPRSVGLVGAGEDHESE >Dexi3B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:3B:15513376:15514845:1 gene:Dexi3B01G0020520 transcript:Dexi3B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAMGRKRAVLVGINYTGRDDELKGCLNDVARMRRCLIDRFGFDASGIRVLADADPSTPLPTGANIRRELERLVGDARPGDTLFFHYSGHGVQLPAETGEDDDTGYDECIVPCDGNLIKDQDFKELVAKVPDGCLFTIVSDSCHSGGLIDKAKEQIGNSTRQNRSQEPPDQRQETRPPSHASLLGIVHGVFESLRTHLLRRGSQQSSHNIQSNGTELDIKTEAEAEANAHAIASVKSRSLPLSSFIELLKENTGEEDVGVGTIRTTLFRHFGDDASPKVKKFAKAMAAGNKLREDGDLEGDDKEGHEVRGVKEVYAGTAAASAPPLPRNGVLISGCQTDQTSGDATTAEGVSYGLLSNAIQTILSRKKEGTTVTNRELVLKVRELLSKQGVTTQQPGLYCSDEHASMPFIC >Dexi5B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:5B:17871444:17872691:-1 gene:Dexi5B01G0016950 transcript:Dexi5B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLRRVAPAAALGRPSDPRPFSGIFLASASPSAAGHLGLARARRDLGDVLTPEALLLDATHALGAAVLRDQPRTGQANRVFHDLIGPAELARAEASGNAKAAEAQHVLMAQHVLMAVAGSEGRVAAAVVHIVNDHVRDGKMSIVQIISAGMLKRAAMRACKDLELNREEDDGAGIFRALVKVVTGGSVPDPEDPHVNLLNASQALLSPTVLRAPPLFGERVRAALRAVQRDLARAVRKREDPAIVADLRLLVAFLAARDVRFDDALERYVELERADPSDPRPHYLAHLVCQFDGRREDADKWLATYNRLATGSSVDDQASLITLADELVVALALGGSLLAFNDENHPAAVRKVVGAAASRVDAALDKEGMSVVNRLEVRAVRAFLHAGVWSLLKEPKSKDGGNGSTTD >Dexi8A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:8A:5027448:5028663:1 gene:Dexi8A01G0005470 transcript:Dexi8A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIITFHELFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLTGAMIGGQTQQQQQQHYQLEAQKLHAAVASAPGHHHHQQDHFEVISNSPEACSSRSSDDERRSSTPPPATAPPPPRRHLDIDLNLSISLAAYQPPESSKPLIKQEAAATTTGGNHNATAAVCLCLNSLGYRPGVDCVCGGGGGGGARQEQWARSFLQAAPCYRGQ >Dexi9B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:9B:390711:393572:1 gene:Dexi9B01G0000600 transcript:Dexi9B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSFTDKNAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTGKVEAKYTSRAAELYRQILSKEVAKSATSDNALPSSPVASEPPKASDDFPEFKLSDSPANNLNGKQEPKSPKAPPRSPKAPTHPTFATSVKKPIGAKKVGGKTGGLGVRKLTTKPNESLYEQKPEEPKPAVPALTTTSTAKSGPSLHSRFEYVENEPAADSRTGGSHVTGHVAPPKSSDFFQEYGMGNGFQKKSSNASKTQGSSSISSADLFGRGHDMDDSNLDLSAADLINRISFQASQDLSSLKDIAGETGKKLTSLASNFISDLDRML >Dexi2A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:2A:2673289:2674725:1 gene:Dexi2A01G0003110 transcript:Dexi2A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLLTGGGGEEARGKRGRVKATADAPSPKRSRPPPATTTAALAVTAPPWAQPQPQPQHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIDKLPSLDAAAGFPSHPASALPAAGNKDQHHHHHQQQLATAADALLDADDDGHQQRSGCSSTSETSKGSVLSLSRSESRVKARERARERSATAAAVKDKDKDPAAADDATGRRAAAPTASAQAASFTELLTGMAAAAEHKPQQQQQSSAATSWQPMAVSATTADFIGFAQPRKPAGHAMAHSFVSPAPHLGNIAPIAMIPAQEFGLASGAVTVASGGGGGEQHAEMTQQFSFLQDHFMPVHAAPAASGTPAGDYNLNFSMSSGLPHISGGHHHHHHQQLQLQGLSTPLEDAPNIPFLFSPAAAAAVTAPTTAESQFAAFQLWNGFHHADMKGKGKN >Dexi6B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:6B:4066180:4069161:1 gene:Dexi6B01G0004790 transcript:Dexi6B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGNRLRAASFGAAADLVAPPDAHHHPSLQFAPSAAAAPKLTVVAHRASSSSSSAAAGHARAHAIRALRNHHAAPALAPPLPPPPPPSDPAALAPAELDILDSDFEFGFESSLEAIVLLQRSMLEKQWELPFEDDFSSTEDDGEEEDEGLAISKARVVVARSGMSARQRRMSARRRGAGRKSVTISPELMQSRNRIYLRGTVSKELLTHKQVIQLSKKIKDGIWLQNQRSKLKEKLGNEPSYKQLAQSLRISAPELRARMRESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALSENSKTFRLPTYLHERLIAIRSAKYALEDQGITPTTENIAESLNISKKKVNNATEAVNKVLSLDQQAFPSLNGLPGDTLHSYIEDENVANDPWHGFEERYLKEEVNTLINSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKHLDALLEDY >Dexi9B01G0039900.1:cds pep primary_assembly:Fonio_CM05836:9B:40587682:40588700:1 gene:Dexi9B01G0039900 transcript:Dexi9B01G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKLTRFHGKVKFVGILFCIAGVIVMSVYKGPMFRSFNHHHLFQSGGGSSSGAAEMHSNKQWVLGIVYMTLSNVLAGLWTVLQEPLIEDTSKLMNTTLQISCASVQTFLVAVAAERDFSKWKLGWNVSLVAIIYSGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTIIISFSIIGDTIGLGSILGGVLLVGGLYNVFWGKRIEERGDLAKISAVPGELGLELPPQQSKVGPEAPQVWDYDNDAGTKV >Dexi4A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:4A:2169136:2170699:-1 gene:Dexi4A01G0003010 transcript:Dexi4A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEQPKPERSMRSMAAAASLPHHHTEPTWRRHGSCPPGTVAIRRDSPFANPEAARRRASPFRRPAAATNTTAGSDDSLYFPEDMDNPKGKVEKEFNYTLAAAYACNAAYLGARATVPYWKVDVAHPDEFSMSYLLIGYPLEDRFMPFPGRTPPSTIANQIAVGLVAWPSLYGDSLLRLFVYYTNDGGVHNNCFNLDCGGFHLSPSPFALGDSWSNSDSQIGGERYGVPLGIHRDPAGENWWVTVMDHPIGYYPPTVFDTRFPESFYVEMGGRVLDTRTGGNHTATPMGSGMPVCAGSRFAATVMDYHAVNYNGVLANDKADRTVTTTPGCYDARPIGSNPQRGGYYVAFGGPGGIYCDRPD >Dexi2A01G0025300.1:cds pep primary_assembly:Fonio_CM05836:2A:36947394:36949802:-1 gene:Dexi2A01G0025300 transcript:Dexi2A01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAFFVFLVVSALQLVDRYLDLARKVSADLPPASLSRVAPPIRLVASRPGSPRGSQSDEQIKLRLEIKELLKEANQLSTPSTFAQAAKLKRLAAAKEKELAKRKMLSWRGVDSATGHVVVGILPWLFLTSRVSKLLCQKFSFVLLRP >Dexi2A01G0025300.2:cds pep primary_assembly:Fonio_CM05836:2A:36947394:36949910:-1 gene:Dexi2A01G0025300 transcript:Dexi2A01G0025300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCNRLVSSVGPDASRPDRRRERRACAPRRRRPTTMSLAAFFVFLVVSALQLVDRYLDLARKVSADLPPASLSRVAPPIRLVASRPGSPRGSQSDEQIKLRLEIKELLKEANQLSTPSTFAQAAKLKRLAAAKEKELAKIQEQDTKGKQSLYDKYRKILLVTKVIIYALLVLWFWSTTVTTVPGHLLQPFGKMLSWRGVDSATGHVVVGILPWLFLTSRVSKLLCQKFSFVLLRP >Dexi5A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:5A:8386497:8390487:-1 gene:Dexi5A01G0011220 transcript:Dexi5A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGRIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLANVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >Dexi3A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:3A:7821358:7821915:-1 gene:Dexi3A01G0011000 transcript:Dexi3A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVNGEWSDSEIRMAKSIIASHNANNIYANDMYKKHNHIVSDLRARFPRKTKYEVIKLYVDLVVKIIEPAQSGNQYVVTFNDSPVEDPTMNNINMLPAYYTNKKPEVIKMVEEVPQKKVTIPQKNVELNGSFWTTEEHSAGI >Dexi4A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:4A:13296694:13298203:-1 gene:Dexi4A01G0013590 transcript:Dexi4A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGERSKLVRGLRQESRRFRLLVIVVGFFLVSLTFVVLSKPEAILFSLNGKLPVNSAPATSGKASTDALRGDPRVVDDEADEEESHVLSEPDPTSGMAELTPNKDGGGRQSDMETLGGGGDGEGKGKMGEERANAAEKHRVTLPTVSNYTIHDSEDTENG >Dexi5B01G0030470.1:cds pep primary_assembly:Fonio_CM05836:5B:31399863:31403221:1 gene:Dexi5B01G0030470 transcript:Dexi5B01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRALLLLLLPLLAVATSHPSHEFCAAGGGDSGAGCSGGGDGARILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLAKFINTPLYVVHVMSIDAMEEIAKAKREVVSGLVLDDSWLWDPDFTIASKYVMSPPIREVGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGLEERMHIIWDSMVESGKISITDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAHAHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNIAPGSGRYVRMQPFGYVFDGIEKSDAAYRASLRAPVQRGKAAA >Dexi3B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:3B:10984548:10988219:1 gene:Dexi3B01G0015150 transcript:Dexi3B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYSVTWEKEEALIYELVFHHKLRTSSKYTWLMLCMVIYPTASRFAAAERNELRPTTRCGGEDGHEERRPRRLKAPANDPQRQRGRADLRRGGEDGRETGARDGSHLRPTSCGGGEGGAAWEGVVRGERDFTQGNRSMANARPLSRECPRKGINSPVSARPSPDALAAFTWASSPLHKHATPQTQLEGLARLQAPDNNTWCVQAPVKQEVCKPR >Dexi8B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:8B:4483120:4484476:1 gene:Dexi8B01G0004830 transcript:Dexi8B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEKATPRHCGEDTRPVSPIPHTKSDQIRRNEEGSSPFRDRGKELGSRAPAADETLAVAAAAARAPREARGGRVGGSPGAVAWTGRFSDGGLGREEEGLDEGGGSGCGAAGPGAEAAGRTDSAALQSMFLRYCVLTLWALMTAVSCGGVVSLVRLATRCTLEKPYVQLPFMGFLLVIAQVALTILILANLPAAAGRRHQQPDRMILRANRPRQG >Dexi5A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:5A:1811206:1812768:1 gene:Dexi5A01G0002640 transcript:Dexi5A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVAAPHHHHHQQKTANLARTFTKLLRRKRADAAAPQEEAPAPVPASVARADYEEDRPEPPAVPSLSKLKLSGNLAAAYSFDAFFRSAAEKKAATAAAGGGAGAGAGRPLVPGEITPEAAADSLLATLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVAELTRLSDTKRRYLRDPVGAARGAAAAGNTALAAHAEEQRNLLKTYQITARKLESDLRAKEAEADRARASLTAELRAERALEARLHPGRTLASLDDLHLSGLNATHFLTALRHTVKSIRSFARSMLNSMQSAGWDLAAAAAAVHPGVPLRRAGDTKFVFESYVAMKMFANFHRRDFNFSFLDEREFYDRRRFFEEFTELKGEPASAFLDVRNPRWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFAEFAEMARRVWLLHCLFFAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRADDAAGAAAAVEDRVVGFTVLPGFRVGRTLIQCRVYLSRPVRRP >Dexi2B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:2B:28853771:28854853:-1 gene:Dexi2B01G0018590 transcript:Dexi2B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLYRLAPEHRLPAAYEDAFAALKAVVAACRAEGAEAAEPWLAAHGDASRVVLAGDSAGANMAHNAAIRLRKEPIDGYGDTVSGVALLHPYFWGKEPLGAEPTDPGYRSIFDPTWEFICGGKFGLDHPYINPTAGPEEWRQLGSRRVLVTTAERCWFVERARAYAEGIKKCGWEGELEFYETKGEEHVYFLPKHGSDNAVKELAVVADFVRRC >Dexi5A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:5A:7628513:7628773:1 gene:Dexi5A01G0010010 transcript:Dexi5A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVGWGGGGNASGEGAGEWRIGFDRGRRWGAARPAAERGGMEWKTETPEGKMAQGKQGNLAAFTAPLRRRRRCARAGGWLGLGE >Dexi7A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:7A:2746829:2750436:1 gene:Dexi7A01G0001050 transcript:Dexi7A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIVGAGVSGLAACKHLLERGCHPVVFESGEVVGGVWAHVPDCTSLQTPRPMYQYSDFPWPESVTEVFPDHRQVMAYLDAYARRFGVLGCVRFGHRVVAMEYHGLGEEDVAAWEEWAGNGEAFGSGDGEWRLTVTHKADFVILCIGRFSGVPNMPVFPPGKGPEAFDGQVIHSMEYSKMGTKKAKEMIKGKRVTVVGYLKSAIDIAAECAQENGPDHPCTMVVRTKHWIIPDYFAWGIHISKLYLNRFAELLIHKPGEGFLLWLIATLLAPLRWLFSMFAESYYSIPMKKYDMVPDHSLFEALAICLVAIEPKGFYKRLEEGSIVLKKSKTFTFCKEGVLLEGESSSPIKSDIVILATGFRGDQKIKDMFKSEYFRSIAVGSTSTTVPLYRECIHPRIPQLAVLGYSESLANLYTSELRAKWLAHFLDGGIRLPGVTAMENDVHEWEEYMKRYAGKYFRRSCVGLLHIWYNDQLCRDMGCNPRRKKGFFTELFGIYGPGDYVNLHPKND >Dexi8A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:8A:1651220:1651519:1 gene:Dexi8A01G0002500 transcript:Dexi8A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVGLVVLAAAVAVAAAFVSLDSSRLHDDGVAIRGGDDGLQLIPVDGGAAGPESVAFDDAGGGPYTGVSDGRVLRWLPAERRWVDHSSSAPSSRL >Dexi2A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:2A:8355004:8359257:-1 gene:Dexi2A01G0008120 transcript:Dexi2A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSRRRRHRHRRRQQPPPSPPPQARFPFQSPGTMSSGLDMSLDDLIKKSKSRPKSNPASSSGPARRAPPAARAAPYPVAAPKAQRAGADSPYGVYSGHIAAMSGVVVPPPPPPTATARALETGTKLHISNLDTGVTVEDVQELFSEVGELKRYSMNYDKDGTSKGTAEVVFARKVDALDAIKRYNGVQLDGKPMNIELIGSNAEPPLMPLPPIIHNRPLQNYNDIHSSMPQNQRGGQRRAPQGNGRGGGSSQGSGGRGRQGKGRGQDRNRTPISAADLDAELDDYHASAVKEK >Dexi6A01G0016500.1:cds pep primary_assembly:Fonio_CM05836:6A:24333553:24334128:-1 gene:Dexi6A01G0016500 transcript:Dexi6A01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEQHPHPPPPPLQPQQHMAPPRMSFSSDFALEPPPPRVPPGRADADFEFSPVGSRPMMAADQLFSKGRILPLRDQAAPRGAPTTLRDGLRAHDAGARRGPRWKELLGLKRAAHKKGAAASACAADAHVCIAP >Dexi3B01G0022810.1:cds pep primary_assembly:Fonio_CM05836:3B:17521199:17521878:-1 gene:Dexi3B01G0022810 transcript:Dexi3B01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGADGERRIGVAMDYSDSSKKALGWAIDNLLRHGDTLVVLHVLHHGGEETKHTLWAKSGSPLIPLSEFREPEVMKGYGVKADAEVLDMIDTAARQKQLKVVAKLYWGDAREKLCDGVEELKIDSLVMGSRGLGPIERYNQHTTPDVEM >Dexi1A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:1A:1683835:1685416:-1 gene:Dexi1A01G0002510 transcript:Dexi1A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHSKLYSDDVSLVVVVVDTNPFFWATAALPFADFFANLVHFVNSILLLNHLNRVVVIASGVSSCAYIFDSGDASPAGGVGVAATFDKASRKVEEFIAQDAHATASNSSAASANAASLLSGALGFSGLGLGIHNLGLHT >Dexi7A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:7A:10641197:10641493:-1 gene:Dexi7A01G0002440 transcript:Dexi7A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAAAIRKATASACIVLLLLLPMAPSPVMGDCYDSCRDPCFSFADGFCGVGNDISNICPPAGRVVCSDIAAFEACDAACVTACNSRQIVAPVCN >Dexi1B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:1B:1800885:1801613:-1 gene:Dexi1B01G0002180 transcript:Dexi1B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTLSRASALSLFLALLCSAATLPTSANASRHFPRKDDTSRSSPSSAVVYVSKFSLPSVRLPWWKSGNSSHGSGSGHGIGWTISRNDSVTNIGFGGGLGGGLGTTRGGGSSAGGGVGLGVDVNINNGGVDVGIGVGGGGAISTHNGSANVGVGGGEGIGIHFSPDGLSVTHGGGATVVGNSSGGESVSGNGVGHAGSAVGSGQGSGNASGGTGSGGGSGTGGGQGGYAGGGGGGTGTGHP >Dexi6A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:6A:9830073:9831350:1 gene:Dexi6A01G0008950 transcript:Dexi6A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPLTAFDELLNEYMSSIHAFYPPALSNAAMEEGLAKALAEHREWAGKLTADRSTGRRAILLNDAGARFIEATADVALEAVMPLLTPSSAFVQRLHPSSDGAEELMLVQITRFACGSLVVGHTMHHAVGDGFAICQCLLAWGQATRGVAIDPLPVHDRGSFFVPRDPPKVEFEHCGVDLTVRQEEKNPSNNINDDDASNKDVLVTHKLHLSRGFVSDLKSGASAGMPCPYSLMQCLLAHLWRCITMARGLTGDEATRLRICVNGRCRMSRPRVPEGYTGNVLLWAHPETTARELLGCPLGHAAELIRREVARVDDAYFRSFIDFVSSGAVEREGLVSLIDTPEFQDEVYWIQRIPFYDLDFGGGRQFLYMPSYRPVDGLIYVLPSSPFGDGSVEAQVSLPSKVMDAFEDCCYTLAVPYIHSKI >Dexi9B01G0042040.1:cds pep primary_assembly:Fonio_CM05836:9B:42369371:42373299:1 gene:Dexi9B01G0042040 transcript:Dexi9B01G0042040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNCAAAASATSVHAEKHVAVPAEVATTNGGGGDADEGKGAEDLSPAAPALPCGPRKTGLHLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKVIEENNSQDLEKASHVDPEANNLHAPVVGDATNIILDPILMFVCHMGVTGAAVAHVTSQYLITLILMCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARQGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDNKKVVAATSRVLQLSIVLGMVLTVVLGLAMRFGAGIFTKDQPVIEVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWTFLRK >Dexi4A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:4A:20774652:20779586:1 gene:Dexi4A01G0017010 transcript:Dexi4A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLNQEKKLKVDDRRRRGGVAMTKTARSASVLSEAAAENAARRVTPMKLLVRVVEARGLPAVHLNGSSDPFVKLKLGKRRAKTAVVKRSLAPAWEEEFSFLVGDVAEELVVSVLNEDKYFSNDLLGLVRVPLAQVMETDELSLGTQWYQLQPKNKKSKKKCRGEVCLYISLSTRSHVSDESQCVLHPASDDLASSSDSPTEHKAATLSTASSYIDLSAISSIDRASYSSFERLPYSIPELPARSSTEQVASEPGPVADNDAIANPSSVVEVLSRYFFRKPVDTPVHSITSDNEPVDQLQEPKVSSSEDCENPEKATTSESSLDELLKIMESKDQGSEMPANLPGGVLVDESYVAAPTELNSLLFSPNSDFWPAVAELQGTSGFQIEPWKLDSSASCVQRTLSYTKAASKLVKACKATEEQKYLKAAGNSFAVFSVVSTPDVPCGTCFKIEILYCITPDPQLSSGEQTSHLTVSWRVNFVQSTMIKGMIENGAKQGMTEGYAQFSEVLSQKLKIAELDDANSNKEKILASLHAQKESNWRLIVRFLGNFTFIFSVGIALYVIAHLHLSKPDVMHGLEYFGLDLPDSIGEVVVCAVLILQGQTIVKVIRRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLYVVFTCNAKKKTSSIKFQTSDPKWNEVFEFDAMDDPPSRMDIAIYDSSGQCIIGHAEVNFLKNNLSDLTDIWLPLDGKCDQASHPKLHLRIFLNNSRGTEVVINYLSKMGKEVGKKINLRSAQTNAAFRKLFALPPEEFLIDDFTCHLKRKMPLQDRGLEAKHGAKGTDHNGRLKFHFQSFVSFNDAYRIITAIWKMRALGPEPKGEVVEKDEPKELQPEEGGSLFTHADVKMSEILSSVLSVDVESLMEMFAGGPLEHKVMQKVGCIDYSGTEWELVGCNIQQRQTRYKFDKNLSRYGGEATTTQQKYSLVNRVGWAVEEVMTLQGVLLGDYFNLQLKYHMTDVPSKPNTCSVQVSLGIAWLKSTKQQKKVTKNIISNSPNRLKELFAEVEKELTSRNGSLLNAATGSSTA >Dexi5A01G0029820.1:cds pep primary_assembly:Fonio_CM05836:5A:32872458:32884002:-1 gene:Dexi5A01G0029820 transcript:Dexi5A01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGTRDPSSAAHRRHHRQPPAAGGSSRTARRPPPPSTPRSPNLSCVTPPPPPPLAGVLALPGRWRGSAAAPAPSAASRSTTTAEPLPPQVLFLRALAMESPDNPETLTLIPPASTTAPADPPARLLDDDGSLRNAAAARPGEGGRVSWRRKKMYWAEINGPCCRVVPNARDGSRVYAGTRALSRRAVVQFPSGAHSGRAFRCPTDHDDTHAPIGSWRSVVAVPCRLPPALPYIESQEGSNEQKPRLDCPLLLLQALLTTSVMAASWIVACGLLLCWSSFSGGVEGIGVNYGMIANNLPSPDKVIALCRSKGITDVRLFHPNTTVLAALQGSGLGVVLGTLNEDLSRLASDASFAASWVQSYVQPFAAAVRFRYIAAGNEVIPGDLATLVLPAMRNLESALRAAGIAGVPVTTAVSTSVLGTSYPPSQGAFSESALASVGPIVSFLASRSTPLLVNVYPYFAYADDPSSVQLDYALLQSTSAAAVKDGGVEYTNMFDAIVDAVYAAVARVVVSGDVEVVVSETGWPSGGGGEGASVGNAAAYVNNVVRHVGSGRGTPRRPGKAVEAFIFAVFNENQKPEGVEQHFGLFQPDMTEVYHVDFTAAGPSSWNRFAERLNRFAATVLRTRERLNLRRSTIYSVNLTKVTPCSQNHSIAYQANQFFVVLELNAWCGANSPTELISAHGQQDMPFLVYRRKHQRSATRNRGPGRDRPCQRRIGGGQPNEAGWELSTAGQIPNLVNIASFIWTRARGHPWSGRDFPPMFDAWTPNTSNGGSHDASPSCSFGSHATRVRCRCPQPRRLVCLCRNAITHAASNNSGGNADDSALPPFMSYLARYIEAKPNYANGDHDQEHEGRGASNEIEQSGEAVERDDAVTPNRGCRVQLRRQIRGTEAVVAARALSNLLQDYPSAHRNTEMKPNRADDTSASQGTASHLNPSDALDAHVLDIGGKSSWSVVCGPSTWTKSQRQSRALGGWLYTLRPFPQKTSERTIKIPAFSSPLLIPLFPSSASAASETNDATQTGKPPPPLLSPPPRRLFLPPYLSRTVLPFAARRTRSRSHFRPLQPPTNPGAPFRGAAICCLSGFWSLRLRGSRSPALGVPARPVAGCRRRSRAFRRWGPRPLWEEEWYPAAVYGGEAIDLQGARKTPAAAALSRCVARSLSVPFYSLGVYYANNS >Dexi5B01G0023290.1:cds pep primary_assembly:Fonio_CM05836:5B:25516931:25519172:-1 gene:Dexi5B01G0023290 transcript:Dexi5B01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQAAPLTAPPSERGEMAEVVKADADAEDQEERWARLLPELLSDVVRRVEASGGERWPGRKDIVSCACVCRRWRDAAVAVVRPLAESGKITFPSSLKQVRTGAAFCAELSQSRPGPRELPMQCFIKRNKKNSTFLLYLGFTNYLLILLFPPSDMLNDYYVLSVLAAPMDKGKFLMAARRFRRGPHTEYIISLDAEDLSQGSNAYMGKLR >DexiUA01G0017480.1:cds pep primary_assembly:Fonio_CM05836:UA:36777645:36784520:1 gene:DexiUA01G0017480 transcript:DexiUA01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRDVAAAAAALVMLLAAVAAAAAGSPEQGFQPLSKIAIHKTTVEMQPSAYVRPTPSLLGEQGQDTEWVKVKYGRTTPSVDDWIAVFSPADFNGEDPKLCTAPIKFQYANYSANYIYWGKGSIKLQLLNQRSDFSFALFTGGLDNPKLIAVSEPISFKNPKAPVFPRLAQGKTHDEMAVTWTSGYDIDEAYPFVEWGAVVSGAGGQAARAPAGTLTFNRGSMCGDPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHEMQDGSVVWGKNYTFRAPPSPGQKSLQRIIVFGDMGKAERDGSNEFADYQPGSLNTTDTLINDLDNYDIVFHIGDLPYANGYISQWDHGGFYDGKDSGGECGVPAETYYYYPAENRAKFWYKVDYGMFRFCIADSEHDWRVGTEQYKFIEECLSTVDRKHQPWLIFAAHRVLGYSSNAWYGMEGSFEEPEGREHLQKLWQKYRVDIAFYGHSQCMTSEKSHYSGTMNGTIHVVAGGGGSHLSDYSTAIPMWSIYRDLDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFAIDRDYRDVLSCVHDSCFPTTLAS >Dexi1A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:1A:14105053:14106073:-1 gene:Dexi1A01G0012790 transcript:Dexi1A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELAEPTIKDAFRKCVQQGASRIIVSPYFLSPGRHWKQDIPALAAEASKEHSNIPYIVTAPLGLHELMVDIMNDRIKYCLRHVVGDVDECTVCAGTGKCRLYS >Dexi5A01G0027870.1:cds pep primary_assembly:Fonio_CM05836:5A:31236416:31237464:-1 gene:Dexi5A01G0027870 transcript:Dexi5A01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVFLLLLLAMAFRGSDGAWCVCRADASDAALQKTLDYACGHGADCDAVLPTGPCYSPTSRNSQAKGATCDFGGTANLTDTDPRTSGNSTGSGSGTGTSSPGGSTSNPVTTPSTGGSFTTPVGAFGPVPSTISAGTAAAVSGRHVLLLAVVSVMAFLAR >Dexi5A01G0033510.1:cds pep primary_assembly:Fonio_CM05836:5A:35849403:35851874:1 gene:Dexi5A01G0033510 transcript:Dexi5A01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHGDTIPLHPSSAQSDMDEIESLIHAAPSSATVLPARPPSPPRASIPISSSPAPAPVPSKPPLPAASIPISVSPVPPASASVSVPIGADGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIVLTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKNNVVLKIVVVTITLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >Dexi3B01G0022640.1:cds pep primary_assembly:Fonio_CM05836:3B:17378032:17381384:-1 gene:Dexi3B01G0022640 transcript:Dexi3B01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESARRKAVEEENEQNKGASFLPVPPIGNSPIAAPRKKGRGKPHCRHFCGGSGPNYLRSSRQGSPNRREPVRFDRFPTKPPRTATSPPPLLGKLTQEDSKRVAAHMGSAAVPSPPPSGKLTQEDLKRVAAHRAVEFVEPGMALGLGTGSTAAHALDRLGDLLRAGALPGVTGVPTSLKTELHASRVGIPLLPLGGDRGPAGIHLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGDKFVVIVDESKLVPRLGCTGAVPVEVIPFGAPHTLGLIRKVFDGLPGFHARLRMVKKDAEEDTPFVTDNGNYIVEMFFDDGIHGDLHDISDQLLRITGVIEHGMFLGMATTVIVANKDGTVTVMEKKN >Dexi9A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:9A:5999745:5999978:-1 gene:Dexi9A01G0009950 transcript:Dexi9A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGKLRPWVKLLIVVVLVMSASDGSDASRPLKGTAEQLSSNGLLASSVKLSVVSKASAGHSGCTYDPNTSGGHCP >Dexi9A01G0040130.1:cds pep primary_assembly:Fonio_CM05836:9A:43977755:43979191:1 gene:Dexi9A01G0040130 transcript:Dexi9A01G0040130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEAAAAELADRKGECVVADAGSRAARRRRMEIRRLRIVAEEQAAKRRRLEEGDDEDAVMRAPAPRFGVTSVCGRRRDMEDAVTTRPDFIHGHHFFGVFDGHGCSHVATSCGVRMHEIVAEEATAAAAGSSVSDEAARWRDVMEKSYARMNAEAVGSRDTAAGAAPTCRCEMQLPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVLCSGGAAIPLSADHKATTRGGDRSSPTSNLSPRQSSGDDEEATAGHSDDGAASDSDGEGSGEDSACADAAILLTKLALARQTADNVSVVIVNLRRRPRS >Dexi2A01G0037110.1:cds pep primary_assembly:Fonio_CM05836:2A:46765267:46766347:1 gene:Dexi2A01G0037110 transcript:Dexi2A01G0037110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNMSSAGSKQQRAAKRAYVTFLAGDGDYWKGVVGLAKGLRRVRAAYPLVVAVLPDVPEEHRRKLRAQGCVVREIQPDFLNLFFRDVYSSIPPVYNLVLAMLWRHPDKVGPLDEVKVVHYCAAGSKPWRYTGQEPNMDREDIKTLVARWWDIYNDDTLDHDVDQQLARQPLRQAMADSGATANYFPAPSAA >Dexi4B01G0022400.1:cds pep primary_assembly:Fonio_CM05836:4B:24011495:24012739:1 gene:Dexi4B01G0022400 transcript:Dexi4B01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKQEEDDGKKMEKANKIVPDAGDEQNHWPSLYLHNVQRPSYPLYKVDFKPKTVDHPHPIPSPCRIKLLNAKHDMSFAAVSSRRRSWIVGVGGREPRPNGGPGETLVFDCKSERVAMGPRPMSFKFSPIVFSVGDKVYALSRMPSLHLMQTDLPPWFEMLDLSGASLVNGKLSTGYSSSWWPLPPPPLFPIFDEDWTRLDSGPPIVMVESYAVVGNFILLSIVRDPFTERDAGTVAFDVCAEEWHYVDRDRNLPFIGQAFPCGDHLFLASSRSADWHQLAGFNISVTKQTNSSSLMLSIVEVPVIADATAANNNFPAISSGEFLSLMGSGVICTVGCCIYNWDSDEDQERDNICIDFYGPINVEEEDAESQRAGKIVVPSNRSEYFFRLNEPVYKLKAPTLVSAMWMDAI >Dexi4A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:4A:4025359:4028782:1 gene:Dexi4A01G0005540 transcript:Dexi4A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAPARLFLLHSTASGNPSNSAAAQAQALRFPSPRLALSRRMAGGPPAAIAGGSGGSERDLSASALSLEAEESAVASDSGLVAKEPSVATILTSFENSFDMYGALSTPLYQTATFKQPSATDYGTYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLIQAGQEIVAGEDIYGGSDRLLSQVVPRHGIVVKRVDTTKISDVASAIGPSTKLVWLESPTNPRQQITDIKKISEIAHSGGALVLVDNSIMSPVLSRPIELGAGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKQVNYAGLPDHPGRSLHYSQATGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHAAIPAAVRQERGLTDDLVRISVGIEDVEDLIADLDRALRTGPA >Dexi4B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:4B:5594165:5594986:1 gene:Dexi4B01G0007760 transcript:Dexi4B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHDVHGVDAFAQQLPPPFIRGAAPMPSTTTRDATIRLFGRDFSNDHHQETAAAPLLRKELAPTGDGLDAGGEAAAGDHQRKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEATFAAAHCGAAYLPHLYGIFGYASGGHAALPPPPPPHYHPAAMWAGTTAYGGVGPMPPRPPPPVYGAALPGMWRPSPAGSGAAGGLEGTEPMGYAEMVGKHDDKVAMSVVTTSLPPAMPSSCLVAGGQSTEMVGRSPELGHKDGAVLSLDLCL >Dexi8B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:8B:3602792:3603424:1 gene:Dexi8B01G0004120 transcript:Dexi8B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQASRSSSSAAHRRWVMLQGTCTRTDDADLPYSMGDIRTLATAFTSAGYPVQVSLRLADPPAASCVCLHLPDGDGDDDVYPSIVAAHRDSLLIRIIRLENLVGFGWFEEDDEHATPDYFVYNAGAGGAADAPRPPSLWLLPPCYIAKESSGPHRSRLALRHATGLIRGGEDDELVVAELRLLTDKASTDGQRPDGTFRLVPSENLQVR >Dexi9A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:9A:5111275:5112069:-1 gene:Dexi9A01G0008620 transcript:Dexi9A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVAPTDPMLWHKVAAVSGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPVTKHPNVFGGLLTAGIVFFSGTCYTVAYLEDRKYSSPAPLGGFAFIAAWASLLF >Dexi9B01G0021130.1:cds pep primary_assembly:Fonio_CM05836:9B:15791101:15791549:1 gene:Dexi9B01G0021130 transcript:Dexi9B01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSVAVSASADIGMSRQSDAEAPSTRINRKQVVTGASSSSSPIACWLEVEDARGRVRVGSGDVATGVAAALVVALSRSGDDGARAGERVDERVQERDEPHAQDPIPGPCRLGSCATKASDAEDLVGLADVAAGGVVDGKEKV >Dexi3A01G0034670.1:cds pep primary_assembly:Fonio_CM05836:3A:39879252:39880031:1 gene:Dexi3A01G0034670 transcript:Dexi3A01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDADSVLSEERYTPAGSHHVTRRCCSNVQLIYEWVTCRRCRVGTKTGTPAASVADVEAAGSRTSVRDIYTFDTYGLYVSTRTVVGERNPPRYKQLTAIRSYIISELAFQYRARTRWLWISSNKPAAAAAVLLQQLRRAAPPTVAAPVLLLQLRRQCISSTTTRAHRHDGDGDDDDSGGGVVGHKPPPGMAPLPEDAPPQTPPRVGEPNPVGPPMPTSSEDKGAGREGEAPAPPEGMPDTAPPPDLAVPPVSPDGTNV >Dexi4B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:4B:20280652:20281017:1 gene:Dexi4B01G0017820 transcript:Dexi4B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPTPPSYGVEPPPSPAAAAADSEVVELSGVPVSRARGVEARRLPTRRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYVRSVIPAIRC >Dexi7A01G0022790.1:cds pep primary_assembly:Fonio_CM05836:7A:30941880:30945486:-1 gene:Dexi7A01G0022790 transcript:Dexi7A01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKSIMCAFSGRLRTMVKQENKKKASRNEALSIKLGDFPGGAEGFELVARFCYNNGRVLLCPTNLPLLHCAAVFLEMNEEVCACNLLAQAEAFVNGLYYWTWSDVLTAVKSCEPFAAAADASGLLERLISALFSKITAGSPEMPTAAGVGTPNRSSSSCSSSPDTLGFARSSSSTKTPESMRPCAGREWWFDDMTSLSPHTIEKVMRVLGCYGIENKNLILTRFLLHYLRSATRRPPAICKDNGALTGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGISRECRHKLERLMGLMLDQATLDDLLVSGDGGGVYDVNLVMRLVRVFVGSEEEAEAPSQRMKKVGRLIDKYLGEISPDHALKVSKFLAVAESLPDSARDCYDGVYRALYIYLESHPALSLEERTALCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQCKLQISKPTAVAARPDPSRTPRRVSRARSSSVDMDGSGGGDEKELLRLNLQRMQSRVVELERACKEMKGQMSKMAKGSKSFSFGGGAACHQTGGRGLPRLC >Dexi4B01G0021050.1:cds pep primary_assembly:Fonio_CM05836:4B:23088651:23089289:-1 gene:Dexi4B01G0021050 transcript:Dexi4B01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAILLAAALLGLVSASLADQGTATYYTVYTPSACYGFQDEGTMIAAASEELWDGGAACGTMYTVTCVGGTNETPNPCNPGTSVTVKIVDLCPSPGCQATLDLSQEAFSAIGNLDAGKIVIDYNQYETKLCFAFITKSTQ >Dexi2B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:2B:686599:687007:1 gene:Dexi2B01G0001090 transcript:Dexi2B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPFESERLEHSLELYELHYQLTLIQHYRSVGVPDPGNTNQVEVIASPGGGLYKLGNMVANDWDLVDPLQNNKIVAHARGLHIQASRGEEQCWHTSFDIVFEEGSGT >Dexi3B01G0033130.1:cds pep primary_assembly:Fonio_CM05836:3B:35489557:35493597:1 gene:Dexi3B01G0033130 transcript:Dexi3B01G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVQVSMPNGPVSHNGVTSEEPKAVVAENGKAVDVQDKEVSTEGLCSISAYNQWTPLAVSGQLPRPRYKHGAAVVQQKMYVFGGNHNGRYLGDVQVLNFKSLSWSKLEAKPESAEAVSFAARAGHSLIPWGNKILCLAGHSREPTESLSVQEFDPQTCTWSTLRTYGSSPSSRGGQSVTLVGDTLVVFGGEGHGRSLLNDLHILDLETMTWDEFETTGTPPSPRSEHAAACFAERYLLIFGGGSHSTCFSDLDLLDTQTMEWSRPEQQGVTPEPRAGHAGVTIGEYWFITGGGNSRKGISESLVLNMSTYEWSVVTDLETRAPPTSEVYVLKTSLKSSAPLQQINETETNGITPGTNSSRKVIFEIEELQDKEIQLVRDQLSAEQARACKLENEVSEIQERLQKMDALEKEFELLRGEIISGSDEVTASSDQPPRGGGFWRWNG >Dexi2A01G0009390.1:cds pep primary_assembly:Fonio_CM05836:2A:9903625:9904798:-1 gene:Dexi2A01G0009390 transcript:Dexi2A01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLPSHKKRITTIGVGLLIVLALGTAVWLLLWCKCNRTKRVRQKELELLGGMGPRGFDLHELAAATSNFADENKLGRGGFGSVYKGYLKDLDLDVAIKSDVYSFGIVLLEIACGKRPASRQPNGASSLLAWVHNLYSQGMTLDAADQRLNGEFDRQQMERVIVTGLWTRSSGHPLWKLWMFCDL >Dexi3A01G0036640.1:cds pep primary_assembly:Fonio_CM05836:3A:42239631:42243350:1 gene:Dexi3A01G0036640 transcript:Dexi3A01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRYKLFDEMPPWPPCLQPSLATARRATAFSFSSSRNTRLSSSSSPASVCASSGDHAHEQQGDNEEQSLVVVGGGAAGVYASIRAKTLAPRLNVVVVEKGRFLSKVKVSGGGRCNVTNGHHLEPMGLATNYPRGNKELRGSFFSAHGPQDTMRWFTDHGVELKAGKAVSSASVTQHGKFVLKVEKRTADLVDYINANYVLVATGSSQQGYSIAAQLGHSIISPVPSLFTFKVTDKRLADLAGVSFPVVKAKLKLDGIQKSVPELTQAGPMLVTHWGLSGPVVLRLSAWGARELNQCSYKGKLMVDFIPDIHIDDVKHILFRYKDQHAVHHYHYIIAFITYTEKHKVSNTFPMEFGLVKRFWRFLLEQESLNGDVHWASMPNNHLNAIALRLKQWVFEVVGKGQFKDEFVTAGGVPISEISLGTMESKKQPNLFFAGEILNVDGVTGGFNFQNAWTGGYIAGTSIARLALATNLQEPQSFFQLEGS >Dexi4B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:4B:3111877:3113326:-1 gene:Dexi4B01G0004300 transcript:Dexi4B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPCLAVSHFVPMMQLADALVDEGYAVVVALIDVTMEDDASFAAAVRRRAASSDPALITFYTLPRIDDPPEMPIDERFLLGYFELVRRYNNGLLELLRSMPPRSIHAVVMDALSNEALDVAKEMGVPAYTFFAWSASALAVFLQLSAAASVRDEGQPVSFKELGDSPLDLLGVPPMPASHLSREMLGDPNGEIYQAWMSSIGKNLEANGMLVNTFVSLEARALEALKDPHFLPGSEFTMPPVYAVGPFVEGPSGERQTKEKHDHECLAWLDKQPEHSVVFLCFGSTGWHTEEQLKEIAIGLERSGHRFLWVVRAPVRDSTLAPHADPDLDALLPEGFLERTNGHGLVVKEWAPQVDVLRHKAIGAFVTHCGWNSVQEAIMAGVPMLCWPLYAEQKMSKVFMVEEFGVGVEVVVCRQLGLVKAEEVEAKVTLVLESQEGKRLRARVRALKEAAAMAWNQEGGSFCWTWKA >Dexi7A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:7A:17167681:17169051:1 gene:Dexi7A01G0005880 transcript:Dexi7A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTGNAVFLLLLSACCVATLACDPNGAKFGYIGSVGPAHWGTLSPNFTQCAKGMSQSPIDISTAAAVCNPALQPLHRDYTVANGTLVDNVFNIALRFDGGGGNVSIDGKQYRLKQMHWHSPSEHTINGQRFPVELHMVHASDDGNVTVVAMLYRFGRPDPFLSQASAIQDKLVELYVEGCEAEKGAPVAAGLVSLWPLRLHSHMYYRYVGSFTTPPCTENVVWSVLAQVREMTVDQAAALMAPLEEDYRHNNRPTQPMNGRVVQVYPWFMGNKETP >Dexi9A01G0038030.1:cds pep primary_assembly:Fonio_CM05836:9A:42301313:42307609:-1 gene:Dexi9A01G0038030 transcript:Dexi9A01G0038030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTDDEVFDEHLFDGVRFFLVGFEGNEASQYCRSEMIRRGGADAGPSGNGCTHVVVGNLLHDDPACVAARAEGKKVVNEQWVNDSLDAGVLADADRVIYWPVRHLNGIPGAQSLLICLTGYQRKYREYITKMVSLMGAQFSKPLVANVVTHLICYKFEGEKYEVAKKMNVKLVNHRWLEDCLKAWEILPVDDYGKSSWELELMEAQANDSEHEAEAAGAMSLNNRASVRCILNSTKRKETFVKNDVNAPKRSPIIPSGSREMAVGRNLNTLGHIMKAEEADKAHGITGLDCNSMAAVSAKANVVATIQSPPSCIMKIEDANSKIHDITGQGSPKSSLLGVSNEVNVLSPLQTSSALSHKRDNSIVRSRNSPNLQEAKEKYAGARTQDLASSVLGTPSSSKITAFRNRRLDTFNETPGSQNDHTGHVPGKSSVNHDQIDVTKVLLASPLRGNQSVDELGSSKVDRGRHQEKDGASGIDITLACQSNDDAKLSNHERIVKPTDSKSNGIKHASNSKKASRKSLLAGGHSVNHIASPKRTEESKLRAESNISSLEMGHQKVSEHADFKSMKGNENIDSVDRSDDAFAQKTKSLVSPASLNLQKEDPASKTGPLDSPFVSLQTDASDAEANTGHFGKEQSSLSTSRQTRSRKTSPKHGNPINGIKLPESSSRDKNVKSLSKARMSLKEMAENKHTTSPSPTVQDGESGSGFSFQNKDGDDTQGCGNAVNQDRLNARTNDQAHDKSVHNSSNSQVFSSSGNSGIKITDPLKVYDKDVAMVSNSELEKVVSNANGKEVTTTPRNIQGETSYSKKLETPSRRNAGAKRPWSASIKAEGSDNNSGKKVLPESWPAEVIPHEHADPASKNGCSPASAAKLKTNPPKKALMCKVSDTVAKRTRNACAKIDDARADSSLEFSKVISQNIETDSNKFFDIENADRLQRITPKKIPNNRVRNSAAKRSRTSDTNMSNETLVDKTETVAAESLFDDLFPSDNVEDCPKKLSSCASASDSETITPKTVSNTRIRNAVAKRKIKTLEDKSGRKCGKVGSAIASVAKATSSRRADEISCNISKVTADVDSKKSNKDLIRDVSGLSCQDSDTVHKQEGPPNSKLRSSERNKVLTSDSGKENRLDCSDLNSKSYRNGSLCSKSDAKSIEKSTQVLSEHQMVKGNESGTLIVTEPALFILSGNHEQRKYYRSILRRLKGRVCRDSHHWSYQATHFIAPDPLRRTEKFLAAAAAGRWILKGEYLTSCNEAGKFVDEEPFEWFGTGLNDGETISFDAPRKWRNIRQQMGHGAFYGMQIVVYGQLILPTLDTVKRAVKSGDGTILATSPPYTRFLDSGVDFAVVSASIPRADTWVQEFIRNGIPCVTADYLVEYVCKPGHPLGRHVLFETDGLANKSLEKLKNQQEMAMEKTEQSEDDDDPENLSCSVCGLKDRGQVMLICGDENGETGCGIGMHIDCCDPPLDAVPDEDWLCPKCAIPKAKTKATRGPERKARGSRRR >Dexi9A01G0030010.1:cds pep primary_assembly:Fonio_CM05836:9A:34950312:34951790:-1 gene:Dexi9A01G0030010 transcript:Dexi9A01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLRFLKAMALILTPVALAVALYNSDDFSPAPMPSEYSYGPDVSAPRHDARALERTERVGEGRLPGPEDLAYDRAGGWLYTGCSDGWVRRVTVPGGDVEDWAQTGGRPLGLVLAADGALIVANADIGLQRVTPEREVELLTDAAEGVEFKLTDGVDVAADGIIYFTDASYKYKLGNHMTDVLEMRPHGRLMSFDPATGRTAVLVRDLYFANGVTVSPDQSSLIYCETPMRWCSRYHITGDKKDTVEKFIDNLPGVPDNIRYDGEGLYWIALAGGRTTRWDLLMKYPFMRKLVYLVEKFVALPHGSKNSGAISVTLDGEPVSMYTDPGLSLTTGWLKVGEHLYYGSLKKTYLGRIDLSSKSSTEFE >Dexi1A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:1A:27030775:27032717:-1 gene:Dexi1A01G0020120 transcript:Dexi1A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSTSFCFSASISPPTASGSSGSSRFLAAQHVSSAPSLFRHALRRRQAEAINGALAMIGLTAGLVVEGQTGKGILGQLAGYLAAISSLFGQ >Dexi6A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:6A:9421327:9426906:1 gene:Dexi6A01G0008710 transcript:Dexi6A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETQAAQLAASCPNPNTGKLPGQPEAPVKENVNVVTTRTGKSTRDPSMSQDAGTQRKSTSVRGAEPEVDNGEKEEESDQTSTEETDAPQASTGIAENVPVIIRDIFVPVDFVVLDMEADAKTPLILGRSFLSTANASIDVGAGVVQLRINGGTEEFAFQPKQEPHQGYPRENRAAVGTTWGRSATKPAARPSSAVREQCSPNLASTPLPWPGQGGQPAAPLRAAIKARQARTICPLTPALEKKRLKSKEDPQMEHIVARTAYEREALAMLQTRSFGHAKSVDPDFLARTGLVPDMERAFKNAGWKDFYPVEEQEAPDGTLFMCYPGFDDEGEKSNHATREERTSTPEYCRSSNSRESSKEESRRSRNVGRTSHMNFEQMYDYYQGGGTSAGGYDYSQYYQSEGPSLSARYDYKNPMAWEFSQLCNQLDTMSIQQQQMSDDLHHNTDLTQQTWGMTTSMQYNFSTFFQNMTLNPNYPNPTKSVERRDLRHQGLADQPRAWPFGLPNCHNCHRASQNRHKLSIQALHAEGGAKWHLSLADRPRIGLAGPAPTPRLPPFAVAVP >Dexi9A01G0046760.1:cds pep primary_assembly:Fonio_CM05836:9A:50027327:50028200:-1 gene:Dexi9A01G0046760 transcript:Dexi9A01G0046760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVSCHSLVSAVVIVVVAASLAATVRAQWIRGSATFYGGADASGTMGGACGYGNLYSTGYGTDTAALSSALFNDGAACGECYQVMCDAQNSQWCKPGVTVTVTATNLCPPDWTKNSNAGGWCNPPRQHFDMAQPAWEKIGIYRGGYVPVMYQRVSCSRSGGVRFTINGNNYFELVLITNVAGPGSIRSVQIKGSRTGWVTMSRNWGANWQSNNYLNGQSISFQVTATNGQTIQFWEVAGSGWQFGQTFTNGQNFY >Dexi3A01G0026340.1:cds pep primary_assembly:Fonio_CM05836:3A:22540136:22542327:1 gene:Dexi3A01G0026340 transcript:Dexi3A01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGSASLVPLLLRVRHERALGCSAAGAEPPAGPPRLVVRRRVPAMLRRLCHERRASICAGQAKSNNILYLPTRSKSFSNGSRDLDFVSCRKLSNSSLKGFIAPELGRLSFLQELYLDHNLLFGTIPKQIGSLRNLRVLDLSVNRLTGPIPSQLGGLNSVSLI >Dexi1A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:1A:27827356:27829638:1 gene:Dexi1A01G0020990 transcript:Dexi1A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFADLTEPAAAASAERGGVDRQLWLACAGGMCTVPPVGASVYYFPQGHAEHALGLAAAATDHLFAARVVPALVPCRVAAVRYMADADTDEVFARIRLVPLRAAGDEDDDAAAGDDEQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGAAWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDAGDLHVGIRRAKRGPFCVAGGGGDEAAVPMPGWDHYAGMMRGNVSPCAKARGKVRPEDVAEAVRMASAGQPFEVVYYPRASTPEFCVHAAAVRAAMRVQWSPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWSQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSIPAIHLASFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLSHGGHHYGLHHTPHPSFPFPDGSAPAAIQGARHAQFVPPLPDLHFAHLHSSLLYHHPGLRRSDHLGPATPTPARISTDLTIGGGAPVRDDDKKPDAGGKPAGLVLFGRTILTEQQMSLSKSSSCGGGATSPAATGNSSLNWNADKGPKSSDGSGSGVTQNSPTKNVSSPWFRDGSQSSSELAVLEPGQCKVFIESDTVGRNLDLSALGSFDELYGRLSEMFCIESSELRDRVLYRGAAGDVKHVGDEPFSVFVKSARRLTILSDAGSDNLGS >Dexi9B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:9B:4020266:4023744:1 gene:Dexi9B01G0006720 transcript:Dexi9B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEREPLAGGGLQRRPAAAARAGGSPQEQPPRGQRVIHADVDPQPRPWPWMQKVAILAIVLLGCLQFLPATHFRDPNDPHRNWIPFDGSRNPMDSSNVVGSVDLFSWISCLDLRTLTVLTNSTLSSSSDPQNISFHFLIPEGINDKAPYYKLKVVLPDSDLSVTSQREIKDKLNVATPEGNFLWSFHNELSPLLIAKSQLSKKRYLYMSADSIIKGKIEDLGRMDLGTYAIAATEDCTKRFGDLVSMDVLSALQRAAPKGSVSKELYDKDACLLDLDVLLVEPRKLEKNLVDLIKWWARSVNLANPRDNIRLAIALALYDKYMKLPSNWKRADANADILNYDGPSKVCSEDGRQDEQSSSGDNWRQYLNQKSEAILNA >Dexi5B01G0039070.1:cds pep primary_assembly:Fonio_CM05836:5B:38086688:38088544:-1 gene:Dexi5B01G0039070 transcript:Dexi5B01G0039070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCIPNNYHISCPLPDGMILINTFEEPFGDEFGKGVWTELSGTASSSIQGNIVALASFNGEIRFSACTGFFIEWNGCSTVLTSTSLVRKSGYENMINKNLRAGIGGPLLDFDGRFIGMNFYGRDQGTPYLHWSVILCGLEHFKIEGSVAKVDNAGKPSHLLGWTMAEDRSVRLNSWHVPKPYWCHPDDPKIHTEPIII >Dexi4B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:4B:5330434:5330790:1 gene:Dexi4B01G0007470 transcript:Dexi4B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTAAARLALAVALGCAYILAPILESLDGDGVSPCRRSPVLDAAASVVLVTLPITYLLGVVLVYLHVTPAPPPLPQGVSRRLAGLASALVAVLAVALVAFCLLRAGVSPPGCAGQ >Dexi1A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:1A:12640532:12641245:-1 gene:Dexi1A01G0012560 transcript:Dexi1A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSLGSFSSFLRTTDPDDFCLRLIEAEANVCAKANALILNTFDTLEADVLAALRAEYPRIYTIGALTSLLRHDSSESDTTGLSLWKQDAECLTWLDTQEPCSVVYANFGSNTVLSPEKLAEFAWGLAASGHPFLWSIRDDLVRGGGGLAALPPAFVAETAGRCYLTSWSRCFVTHSGWNSTCESLAAGVPMACWPVFAERVSEVMGSEEMRRSASKWKEEAETAPRWILV >Dexi9A01G0024630.1:cds pep primary_assembly:Fonio_CM05836:9A:21084230:21092281:-1 gene:Dexi9A01G0024630 transcript:Dexi9A01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGRMKGVEGAGGGAVDEEERNEDVRVEEELELALSLGRRGWHLPVRQGPALRFVNWTAVLPEWNPDVAGSSQAAERASSGQPIPSLGFHDMFGGILDPPRAVGSVEAGWDNLDEEDEDRDLQNKRLRVRRFGEESPQHFGSSATPFGSESSFLPIPDENVHFKMSRFPEHELEFGLSLFPNDGGSESPKGVNNELIDAENSGGINSDDVGIKMDFSDDLLHLIFSFLGQKDLCRAGVTCKQWRSASVHADFWKCLIFENTRISLQNFVDICHRYQNVRELNLHGVINSETLVLEAIMFLRSPALPFLPKFFLNCWFMALQLDNCSLLTSVSLDLPNLKNISLVHLRKFGDLNLRSPVLSYIKVSRCSALHRVSITSTALQKLVLQKQESLSSLTLQCHNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSIVELNSSSLVSLSLAGCRSMTFLRLSCPNLQNVNLDGCDHLQSAAFCPVGLESLNLGICPKLSILRVDAPNMSILELKGCGVLSEAFINCPLLTSLDASFCSCPQLKVLKLSACKYLSDSSLDALYREAALPLLVELDLSYSSIGQTAIEDLLTCCTNLVNVNLNGCTNLQELVFESDGCSNCSSLEILKLDCPRLTNLQLLACTMLQEEELESAVSHCSALEILNVHSCPKINALDFGRLRVVCPSLKRIQSSLIS >Dexi9A01G0025200.1:cds pep primary_assembly:Fonio_CM05836:9A:23226039:23227083:1 gene:Dexi9A01G0025200 transcript:Dexi9A01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHDKLRRSGAVVAPLVENENTAERRNSRQHGSENWSPYAAARFVTRMVEDVLSIHQKEERTLGRETPRWKPPDAGYLKLNTDGAFDGTTFKGGTRSVLRNPTGGLICAMARWYENLEDVLMVEALAIRDGLMMAKDTGAKRIVVESDNISVVNLMTTPDGARSVLASVWHDVNELGKGFDSISFSYVNRGANGAAHCCAKFANPADSLCTWESSTPNFLSDALSYDCNPAVIIEARLFCPQKKKRAT >Dexi3B01G0020950.1:cds pep primary_assembly:Fonio_CM05836:3B:15856277:15860970:-1 gene:Dexi3B01G0020950 transcript:Dexi3B01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHISWISCSLHIFIAGKKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRSQQSEFMSVDSDRLKYEALNLDEDDEGEQTVNDESKKDQGASSSSTADGTSIVEPSDTPEDAVVTLCGHVFCYQCIHERITTDENMCPAHNCNKTLSLESLFSSGALRLCISGKSSSAGASSSADDESSSISQSSYISSKIQAAIDILNTIINTDALTESDTMESNRNRVTPVKAIVFSQWTGMLDLLEFSLNMNLIQYRRLDGTMSLNLRDKAVKEFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVEDLRYLFGI >Dexi4B01G0022090.1:cds pep primary_assembly:Fonio_CM05836:4B:23824536:23825258:-1 gene:Dexi4B01G0022090 transcript:Dexi4B01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAAVCMVRGGVQRLLQGKDLSISSPDQACWQVEGSEQYNTAQQTT >Dexi5B01G0038170.1:cds pep primary_assembly:Fonio_CM05836:5B:37430900:37432302:-1 gene:Dexi5B01G0038170 transcript:Dexi5B01G0038170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKILIYFGVPVATTITEATHFVAEKFARTRNMVEAIAMGIPIVTPSWLECCREARCFIDEKKYIVRDMKKEKELGFSMPVSLGRAREKPLLEGRRVLVTPNAKPSKEILKSLVVAARGQPLAGIKASTMKNKNFDGAFVLSCEQDYSVCVPLIKSGLQVFDSELLLNGIITQKLEFDRYAFSLNSFAFSMKKPFEQDLDSFVSGPYRDPVLSIGS >Dexi7B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:7B:15776774:15779658:-1 gene:Dexi7B01G0007970 transcript:Dexi7B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCQCNALVAGLRFIGHNSSCLTDDKCDTPVGFNVIFPGMLARRIGMGLDIPLNRADMDAILRLRDTELKSIMASGSKAFMAYVAEGLGDLLDWDQLMAYQRKNGSFFNSPATTAAAAIHNGYNGRALDYLDSLISKFGSSVPTVYPWNAYSWLRMVDTLENMGVSCSFSSEINHILDITYRSWLDNDEEIMLDMATCAVAFRLLRMHGYNISSDGLALFSKESSFHDSVQGYLGDTEALLELYRASQVQILEEEIILQDIGSWSAKLLKQQLCSSKKLSRSSIDTSEVEYALKFPWYATLERLEHKRNIEHFKTDHFQLLKSAYCLPPRANEEILALAADGFRSSQAVYQQELQHLESWVKEVRLDELEFARVLPLQVLFSAAATMFPSELSEARLAWSKNSILTTAMDDLFDIVGSREELENLVALVDRWDAYQDVGFCSQRVEILFRAIYDTNSEFAAKAAGVQNRSIIDHVAELWIDTARGMLAEAEWRTSGQAPSSMAEYMVTAEPSFALGPIVPIPLYLVGPELPGNVARCPEYREMLRHMNICGRLLNDLWTYSKERREGTVNGVLLLADLRYGGSSSAASVEAAKRELSRAIEASRRELLRLVVREDGAVPRPCRQLFWNMCKVLHLFYLDKDGYVSPKVMMHAASAVLLQPLQVPPR >Dexi3B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:3B:12013062:12013609:-1 gene:Dexi3B01G0016510 transcript:Dexi3B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSNRSGAGAGEDDDGGMRKPLLVVNTGSWYRMSSRQSSVAPGASSMAVLRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAMVRDLKLSVSEFSAFGSLSNVGAMVGAIASGQMAEHIGRKGFV >Dexi3A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:3A:3081235:3082901:-1 gene:Dexi3A01G0004750 transcript:Dexi3A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSHNGPKHLSPMEVSMEAGNAGEAEWLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVAFCGVIQYANLVGVAIGYTIASSISMQAIRRAGCFHKNGHGDPCKSSSNPYMILFGLVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIAQTVSNGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRANATWPDSAFITRELRVGPFALSVFRLTWRTAFVCLTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSVSCLIVSIAAAAGSIADVIDALKVYRPFSS >Dexi7A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:7A:16311803:16314049:1 gene:Dexi7A01G0005160 transcript:Dexi7A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSSLSWRPAAAAAARGPLAGAGAPGGDDDSARARSQPRFSIDSPFFVAARGFSSTETLVPRNQDAGLADLPATVAAVKNPNPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDIASLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Dexi7B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:7B:24520759:24523564:-1 gene:Dexi7B01G0018890 transcript:Dexi7B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQFWQLGIKDMKTIPLPRPRTQKRRVWILAIAAFIAIAIVWAYVYPPPHYTSPMRDWLPAEPARELTDEERAARVVFRQILTTPPVRSKSSKIAFMFLTPGTLPFERLWEKFFEEYRNALSLFFAQSLFLHDLLFLQGHEGRYTIYVHASREKPEHVSPIFVGREIHSEKVTWGTVSMVDAERRLLANALQDIDNQHFVLLSDRHALLFAFIPFKFMIYYFQISTLVSVTYLLFSLQPGMEDGRNCYADEHYLPTLFHMMDPDGIANWSVTHVDWSEGKWHPKAYRAKDVTFELLKKITSIDMNYHVTSDSK >Dexi2B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:2B:20076256:20081937:1 gene:Dexi2B01G0012930 transcript:Dexi2B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFFVLHKAAAAAASSLPSSRGRRRIDASLPSSPNPKSAKRPRDVDAQDEESPELYEQLRLEAFHRTWSKIQLTIDEVLRGINLKLFDQVFQWAQESFSAVRDVAKPCHTEVQQPYPLLTDVICRRIPAAFVLTKNAEFVDDITTFRDLAGHLQSNGCHLTKLSATELSAKHGVGGCFRSLLRQLVPDVPDVADVSVLASWYCEAENYDQPIIVIIDDLEQCSGDVLGELVMMLSEWMIKVPIFFKMGIATTLDAPKKLLSSEALQRLEPCNLTLGSPSDRMNALVEAILVKPCAGFCISHEVAIVLRNYFFRHDGTVTSFISALKLACSKHFSMEPRSFLCMGVLEEDCEHKQFKYLPVETLLHELEIWSIHLKGMSEVCSASNKVKELRSTAIDVDSARATKEKWTRRSTGNACNATVPLNEKAAVLLQDVTRKHLVPGECLPFHEIICFKNVGILQSALIGNPRRMVQLDLQKSQSHLKCSCCSRSGTAVSGSLHDTSIMCNLAQEYGDVVNLHDWYISFEGIINSTHSKVKRKSYTSPSKKKSKSTSPEGQAMVQERFSRAVTEMQVTGLLRMPSKRRPDVVQRITFGP >Dexi1A01G0028140.1:cds pep primary_assembly:Fonio_CM05836:1A:33880133:33881674:1 gene:Dexi1A01G0028140 transcript:Dexi1A01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRAEQAFPNAAPATTSSHRRCGTFVGKLVSGKCVSVMLLAVGVFLSALLMLLHLRASGGGVPDVPDIPTEEIEGGFILLVPHSEIASEDRRLEKEIYNQIGVPNSKVSVSMRPYNYTNTTYVKFCVLPDPRNTSMSINSINALRTSLIRLTLQQLNLSLTPCVFGDPLCLEILGFPGGITLMLPHNASHAGPVQPLFNITFDLTIREVREFLEEMKDELALILQKRPDEVNASIFHGDFSTIANMASQEICI >Dexi7A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:7A:23615896:23623243:1 gene:Dexi7A01G0013620 transcript:Dexi7A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTISNSTNTRIAVVTGGNKGIGLEVCRQLAGNGVTVVLTARDETRGEAAVEKLREQGISDVIFHQLEVTDASSIACLAEFLKTRFGRVDILRLEWMYKNSLETYNTAEESLRTNYYGTKHVTEALLPLLKSSSDGRIVNVSSGFGLLRHFRGEELKQELNDADNLTEERLGELMDTFLKDFEAGALEARGWPGVFAAYKVAKAAVNAYSRIMARRHPALRVNCAHPGFVKTDMTRNAGLLTPEEGASNVVKVALLPAGEPTGVFFAMGKEAPFL >Dexi9B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:9B:5267557:5270622:1 gene:Dexi9B01G0008650 transcript:Dexi9B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAIEEAEVSGAAEFAPALIASHPHGNSVAVAIGPELRVFDLKSGSPVSLSDDCGGRYHADAIRAICFGVSGALFASAGDDKLVKVWKTDSWRCIRTITSEKRVSAVAISNDDLFVTFADKFGVVWLVTLGEDGAEQVSVDNKPVSILGHYCSIITSMPLIINHAYAIYLGLQKFSPDGRFIATADRDFKIRVTLFPKNPVKGAHEIQSFCLGHTDFVSCIAFTSMSENQSFLISGGGDSTVRLWDYINGCLLDTCQVRDKMGELLEQNETDDSSLAVADICPSNDGKLVAVAIQSFNGVMLLACDLVGKKLSFLKVITMEKSYIPTSLASSFSSEFLWTVMGASNMPNQASNQLLTRLRIIPRFQKDLISSDNGPLILEDSEVPHGEKLLLALQGSLDIAKQEEVLASVLSALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKN >Dexi9A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:9A:12166711:12168933:1 gene:Dexi9A01G0017140 transcript:Dexi9A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEMDMGLAFFLPPHPSLVLISSVLVKVSGALLGYEDEPPEPEVEEGAEEELENNNEDANDDDVGADGEEKGQEKSKGERKTSKYMTNMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Dexi4A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:4A:12154111:12154917:1 gene:Dexi4A01G0013110 transcript:Dexi4A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNSGTTQSSHSHSSPPALHLSTGIVSFLARRMSMTTRRSSQLQHPGVPLDSPGSSTGSVESTPWGSFHHHHPTLPLHSNDTMEMLLPDVAGMAVAGQEGHPGSVTEEGEAAAGHGGRPYRGVRKRPWGKFAAEIRDSTRGGARVWLGTFDSAEAAALAYDQAAFAVRGAAAVLNFPVERVRRSMEGMGMDDAACGGAGSSPVVALKRRNSMRTRRLRPASRRCKPSGRSEVMELEDLGAEYLEELLGATEEDRQSGSWCRSHHSI >Dexi7A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:7A:24621948:24622931:-1 gene:Dexi7A01G0014680 transcript:Dexi7A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTTAATVCSMCGDVGFLEKLFRCARCRHRFQHSYCTNYYGDGAPASAGSDTYCTNYYGDGAPASAGSDTCDWCLSDVGAGKARWSSSSSAAGKQQQHASAGSQESTSTTSSGGSGRGSGKASDHQQAEAAATGRRATTRAGARRYKLLKDVLC >Dexi2A01G0029080.1:cds pep primary_assembly:Fonio_CM05836:2A:40214593:40216064:1 gene:Dexi2A01G0029080 transcript:Dexi2A01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAARGAFLHLLVLTSLLLLLASRAAAQPWQACGNTGKYTANSTYGSNLATLTKALPTNASRSGTLFATGTVGAIPNTVYALALCRGDINATACGSCVATGFQDAQQLCAYDKDAALYYNECYLRFSNENFLASTTNNDDPMILLNSQNVSSPVKVFDAAVHVLINATSDYAAANATRLFATGEEAFDTTDPTIYGLSQCTPDMSPANCRSCLGGIISLMPQFLSGSQGGRIIRMRCNFRYEVYSFFSGTPSLRLPVPFTAAAAPAPSPTPVNVTPTATPPAPGDVITDSKLRNQLGTIG >Dexi8A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:8A:8551315:8554489:-1 gene:Dexi8A01G0007530 transcript:Dexi8A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDWCRLTMALFMLLIAGGDAIETLYIPPRTACPPEGGYFSSGSMFQVNADGLAHSLSMGAAVNGGFLNTSYGDPRDKSFGVIWCFADIGWAECKKCLERAPSYVSTACPRSRTGTLLYKECVLPYSDEVSVNMRNMMNQLIREAAMSPQQLAVANGSQLYNGPTGVYGLLQCRRDLTPEECTKCLSYQVQYLLENFRNNIAAYIKGVSCFSKYHPEPITFMVPPDKEFRNGTGPKGFSYDVLAAATSNFSDHQKLGEGGFGSVYDIVLGIGSALLYLHQECEQGVLHRDIKLSNVMLDGTFNAKLGDFGLARLVNHSRGTHTTEQLTGTMGYMDPDCAITGRFSTESDIYSFGVLLLEVACGRQPVLVTEDNTVIHLARRVSDMYGRGVILDAADCKLNGDLEEEQMMSVLVVGLWCTQQERSLRPSIRQAISVLRCEAPLPTLAAVAQLGSVPSFIVDDSTATTTTYLRSTI >Dexi5A01G0026650.1:cds pep primary_assembly:Fonio_CM05836:5A:30228732:30230535:1 gene:Dexi5A01G0026650 transcript:Dexi5A01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFVAYLQRPRELPVPEFRAPPPSPVTGVLTGSSSGSSGYGEGQDDDDIGRFLRCSARVPVLRLPERPGPRRNNKKKPASWAPPVIDMRVLCSPSTGAGPGPAVEALTSAAVAFGCFQVVGHEVDEGLVLAALRAATARERSSASAEDAGGGDEDSEELWWPPGGEGDREMAGNRPLRNGIKQIRNAADDLFAQLEQASAKLLDALRQGNEADDTAEPLAKAAANGSLLCIRKNHASSASGPVSQDDVLRMLVRSSRCSRTLALHLCPGASAFHVFSRRGWSRFRPLDGAIVVTVGDQLQSVSGKPAYSSDDHQGNGGDSAISAEFFLSCSSAGAANDTLNLDAGKVFPLNLQILVAICLVLIYHFFLSCLYVR >DexiUA01G0020520.1:cds pep primary_assembly:Fonio_CM05836:UA:42819055:42822585:1 gene:DexiUA01G0020520 transcript:DexiUA01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRCKECIVHHYWNHMSDRDKSFLSVIIGGYVAVPKKFANNIKGQIPEFVKLEVPDGKSYDIQMVKEHNELVFRSGWEKFASAYELEQCDMLVFRYSGNSRFGVQIFDQSGCEKEFSCVVMNSSPSVEGSCGHRMSISSQNTICKVCASHHYWHQMDKRCFFMVMLDEDFKNGLIIPKKFAENVGGQISERIKIKVPDGETYDIDVAKKHNEVLLQSGWALFASAYELEQGTK >Dexi8B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:8B:6465806:6468171:1 gene:Dexi8B01G0005970 transcript:Dexi8B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLALATVVFVVTAGKQDEAAPASRDDNNNNNVVVAFDVSMNATSMAAKPPTMNISAICLSTPYPSACETALSSPASRSTTAKDPFAASVQFAMARATSARTLARNLTGASSSSSGMEDCAELLDISLGQLGDALAGAAADVDGATTWLSAALTNQATCIDSLAFEPDRVRRDAVRKKVAELTQFIATALALHVNKHNANRSGKPPVALATKPATSTTTMFPSWVSQHDRKLLESSVGGTSGGVTIDAVVALDGSGTYRTINEAIAAVTVANGGGGGRGGRKVIHVKAGRYEESVTISSKQKNVMLMGDGKGKTVIVGHKSVADGYTTYATATVAAMGSGFIAKGLTIINSAGPGKGQAVALRVGGDLSVVYQCAIQAHQDTLYVHSNRQFYAQDDIAGTVDFIFGNSAVVIQNCDIQARRPSPGQKDTVTAQGRMDPNQNTGISIHKCRIMGASDIGGMPVYLGRPWQKYSRTIVMESFLDGSISPAGWLEWSGQFALSTLYYGEYGNSGPGAGTSKRVTWTGVHTSLSRSDATGFTVANFIMGNSWLGGTGVDYTSGL >Dexi5A01G0029220.1:cds pep primary_assembly:Fonio_CM05836:5A:32346880:32352528:-1 gene:Dexi5A01G0029220 transcript:Dexi5A01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRILKPSPKKNDETRLPLPTRLRGSATGIQVRTESMPYTGRLRRRREDLRPLFVDPATKPAAGGLTGGAHPSAPQQQLQSSQPNTLYRSPSPTLPPLPLSHRHVGPAVIPNLPAAAAPFLDVRSMPRHPVLAYLMRRRALPQPRAPSPLLPLLRVVPPPREAVAGAVLRRFAALEPHREHPICRSWRPSATGGAPSALKSKPPAAVSDSGRHCSSRRMVSIFLRFPLYHRIAGVPHEQSRPSSYPPWLLLELLQKSPCSSCL >Dexi4B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:4B:3699413:3699866:-1 gene:Dexi4B01G0005240 transcript:Dexi4B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRENRRFKAPSSSSASAGSSVASGRVPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKNSGNLPKVLSVEDKLRTLGCK >Dexi1B01G0027220.1:cds pep primary_assembly:Fonio_CM05836:1B:32006199:32008244:-1 gene:Dexi1B01G0027220 transcript:Dexi1B01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGGSGRQQQPEPENLPPMTPLPLARQGSVYSLTFDEFQTALGGAGKDFGSMNMDELLRSIWTAEETHAVASASAASAAAADHAARASIQRQGSLTLPRTLSQKTVDEVWRDIAYFGGPSAAPVEAEAPPPPAQRQQTLGEITLEEFLVRAGVVREDMTAPPAVPPVAVAAPPRPPPPQPPMLFPHSNVFAPMVPPLSFGAGLVSGAVGQGDGAAAPAVSPVRPIASNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKAPAMEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKEMNDELQKKQKLLLL >Dexi7B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:7B:16774337:16774884:1 gene:Dexi7B01G0009080 transcript:Dexi7B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi3B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:3B:4732352:4732924:1 gene:Dexi3B01G0006770 transcript:Dexi3B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYRLITAVLRLAVAGAAAAAAIIMVTSHETTSFFGIEMEAKYSYTPSFIFFVVAFAVGFAYSLLVILVRPGSTASRLVLLSDVVVGMLLAGAVAATGAIADVGKNGNEHAGWLPICPQVRAYCVHVEGALIAGFVSLLVHFLIIMYSLHAVAEPLCCSH >Dexi1A01G0027500.1:cds pep primary_assembly:Fonio_CM05836:1A:33258089:33261040:-1 gene:Dexi1A01G0027500 transcript:Dexi1A01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALERGRALGGRPRFGRVARCGYAASPPASAGRGGSSSVGRDSDSPAAAALWEWDGEEVEGGDGEVQSSYKGSPLDTMDALQEALPFRKGVCKFYNGKSGSFAKLQDAVILSPPLKDLPKPETPSPRKRKGLLPFSFKWGKPQSKEGFPEDDVVDSPMSCRRLTISPAATSSSGSNSGSDDEHYRSQKPSSRRPHRRPSNDMGVFASPPAPRPPQLVPAHVRSQSMLELQDVTDSTTMVTPRDKRMKN >Dexi4A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:4A:6847539:6848150:-1 gene:Dexi4A01G0008870 transcript:Dexi4A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPAAEARDAASASCRPLGLQTLIPADADASSPGGRNVATRTIAASPRAVRCLDGSAGGGGGGCDGEKEGGEGDGCWVSYGWRRRPHRLPPPIPSLRPLVRERTADGRLVISRDVAAHRVGARKVGDRRLVLELVDDECDGGAAPPAQQQRRWSHPLTGQEAEPPAPATAASPVSAEACSEGAIRAASLRGMRMSLPRMVH >Dexi4A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:4A:25098104:25101977:1 gene:Dexi4A01G0021690 transcript:Dexi4A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQISVFSPNPGSVESKTKTIPVLYAPVKAPHRTAVPSAAAAVPHRRTGTQQIASARPPHRPPPLSTSPPAPPSLQPPHSAHARRMAASYFNHSSSSYPAPPPPPPGTSSYGAYRHAYPPAPPPPTAYGAYYDRVEQAVPARDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRTGKSSQAYAFAVFADQPSALAALSATNGMVFDLEKNCTLHVDLAKSNSRSKRLRSDDASPYSPEKRTRKPMGFPDSEMHFFFVFMSYICLQDPSSFAPQNNPPCPTLFVANLGPASSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKDANSSTEALDRLQGVILYSSPGEGIRLEYPT >Dexi7A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:7A:22500621:22506381:-1 gene:Dexi7A01G0012240 transcript:Dexi7A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSPKPSPFLSSPSSSSPVLPRLPPPCSATAASQMAEPPQQASSGPTTPPLEDNQGTKKNSKKNRGKKKSKETAGASLGSSTMVEDPFFVLAGGKEGGFLELEEIDEADFGIVGGGLEDVEEDEGKAGKDQGKKKKKNKKKKKKRKRGVDDQSLSGDGDSVAETEQEGEKEEKRAKKKRNMKKRKVKVSEKGGESDEGVTDDNAEDMQDENENVEQDKEDEPILGKDEVFAWHELRLHPLLVNAMRRLGFKEPTPIQKSCFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKDSRLHREDEKMEESSGGSPLRALILTPTRELAKQVCDHLKAAAKFLGIHVVPIVGGLSMEKQERLLKMKPEIVVATPGRLWELMSMNNQHLVELHSLSFFVLDEADRMIERGHFHELQSIIEMLPLTNSSDEQAARTMPNCETVPILQKKKRQTFVFSATLALSSNFRKKLKRGLSTSKASADDVSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSEEDKDAYLYYILSIHGQGRTIIFCTSISALRHISSILRILGINVLTNHAQMQQRARMKAVDRFRGNDNSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSLAGCSIALISPADKSKFYSLCKSLSKETASKSWLQRNAESMGLILETSDGEEERVKGHKQRKATSAHLRKLQQELSDLLQHPLQPKTFSRRYLAGAGISPSLQKQLEELAKSNVSKINVGNKRRKTENKGSRFVVIGQDRVEPLQALQNSGQEICVNLDKQREKRKLAQNWKRKKHEEKKCTREQKRKEKRKAKEMD >Dexi9A01G0038150.1:cds pep primary_assembly:Fonio_CM05836:9A:42465235:42467150:1 gene:Dexi9A01G0038150 transcript:Dexi9A01G0038150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTKINLESSSAHFSAAVGGGITPRERMLLLPQDYIGSVEKCTRKIWVLEGVSMAHRMVTYVPGLLNIFDEMLVYAADNKQRDPEMDSLRVEVDAAECRISIYYNGHGVPIELHPEEGVYMPEMIFGNLSSCEEIAGGRNSYGVKLTNLFSTEFVIETVDCRLEKKYKQVFTENMGKKSEPEITDCLLGVNWTKITFKPDLAKFHMTHIDDDAIALMRRRVADMVGFLRKTVQVMFNNQMFHGVESFPDYVVSFISTAYEGRRPPQRVSQRINDQLEVCVTKSEGNFQQVSYVNKFATTEGGTHVDYVSDQIAACIVKECSKDFQVEECEVKRHLWVFVNASMDNPTFDSPTRDALTNPQESFGSIFELSTHFLQIGM >Dexi2A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:2A:14320864:14321168:-1 gene:Dexi2A01G0012320 transcript:Dexi2A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKATKEAAPEPAPAAQEELAPAPAAAPAAAMTEAEVEELPKAIVRRLVKDKLAHIAGGGEGAEVIVNKDAMTAFAESARIFIHYLSATTFPIPPSAI >Dexi6A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:6A:7196944:7198227:1 gene:Dexi6A01G0007230 transcript:Dexi6A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNNGSSRSAAGSRRRFAPAAVAFVKKIDWASLWDMAKEWIRSPMNMALMAWIIAVAVSGAILFMVMTGMLNHTLKSKSQRDTWFEVNNQILNALFTLMCLYQHPRRFYHLALLCRWTASDMLRLRQVYCKGGTIKPGERKHMTVVVLLLHLNCFAQYALCGLNLGFRRQDRPVLGVALTVSVAIGAPAIAGLYNNLSPLGNDYEAQPAEEEGSSRDDEYRLQQKTTMTSAARTRSRTMTARSWAGGLFDVYWEDISLAYLSMFCSCCLFGWNMGRLGLGNMYVHVATFLLLCLAPFFVFNLAAINIDDEQVRDALGLAGIFLCVFGLLYGGFWRIQMRRRLGLPAVSHACCCGKPDVSDCLSWLCCYSCALAQEVRTADAILVQQGDGMMASSSWSPAAAGGAVVSDNNKVTVPPLVLSVVIRS >Dexi1A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:1A:2436790:2437050:-1 gene:Dexi1A01G0003370 transcript:Dexi1A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALPLSLLRSITDNFSNNNEIGCGGFAVVYKGLLDNGIVAVKKLSQTSDPDERKFLAE >Dexi7A01G0021830.1:cds pep primary_assembly:Fonio_CM05836:7A:30327689:30330100:-1 gene:Dexi7A01G0021830 transcript:Dexi7A01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSGVTVVEGAEEVHRVTHWVVSFPQTGLLALACRAMPAPAPFTRSAQCVCAGSAAPSAAFPPCYGAACCGRVAIEQPS >Dexi5B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:5B:13262442:13265948:-1 gene:Dexi5B01G0015820 transcript:Dexi5B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDGRGWDFLNWLGHDTSACIFHRLDDPADLARAAAVSRSWRQFVIANEFCKSVCLRICPEVARFTSAVEVSKSPPGPGADTSGSSHDAERCHRIYSNLCGALVSSKPSVNCILDCIGASSTDRFPAERMENTLDPREMVDYRPSYWSSGGQNDPDVPESLTYRLHSDLCIVDEIKGF >Dexi3A01G0027790.1:cds pep primary_assembly:Fonio_CM05836:3A:27543902:27544887:1 gene:Dexi3A01G0027790 transcript:Dexi3A01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKMANPAAPVELLLALLFGLASHVVDAQYYWTPATATFYGGSDGSGTMGGACGYSNLYNAGYGLSNAALSSALFNDGAMCGACYTIICDTSKSGWCRPGTSVTITATNFCPPNWALPSDNGGWCNPPRLHFDMSQPAWTSIAVYQAGIVPVNYQRVSCSRSGGIRFTINGRDYFELVTVANVAGSGVVSQMWIKGANTNWLTMSRNWGMNWQSTAYLNGQSLSFMVKTDDGRTVTVWNVAPSNWYFGATYTTSWANF >Dexi4A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:4A:22474420:22477715:1 gene:Dexi4A01G0018480 transcript:Dexi4A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGAGEEEEQVMSEVHLGCPPHFSGLHVSRFSFCSRPLGKVAPQLTVLQYQQLALLARISSYTSPATAMRLGASLDDGGDGSCGSELIAATSVSCGSTSSPDVDAVTVDEDGDLVLDRRRRRKKYARSDYHVLTIQHGVTSSLKCVGLQVWKAALLLADFVLHKSFTSSNFDGVTAIEVGAGTGDNPWFCLVGLTLARVARRVFVTDRGTDILDNCTANVRMNSGKLKFDEAKVCVRELDWKMSWPPPVGTSDPSDQSLSYSWSAHEIEEAEKAAVLFAADVIYSDDLSDLFFDTVKKLMSRGAKKVLYLALEKRYNFSLDELDVVANGYAHFRSFFATQEEHGDGDAVKRDGLGLVGKQIDLAEVPQYIREYERGKDLEIWEIMYSPDHKLQ >Dexi6B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:6B:23919890:23923186:1 gene:Dexi6B01G0016620 transcript:Dexi6B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRARRDDVIVQMSAASVAAVDERSSTSQIEEVAGDGDGKFVVAGEGLTRRTFSESYRLRHRNPLEFTPWQVALLGYQSLGVVYGDIGTSPLYTFSSFTLPDPDKDDLLGILSLILWTLTLVSLVKYVFIVLHADDHGEGAEAMFADLGHFNKKSIQVSQ >Dexi8A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:8A:50299:52704:-1 gene:Dexi8A01G0000060 transcript:Dexi8A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQVILSRTPSPSQVRFDLGRVFETSPVFHRACLSTVRTPRGGSGVRRDGRARPLRPTGRPERAKVGRSGGPRSRASGTLGGSGETEPSDQFVAGVARVFLRERAVRRRPDSSYPREATLSSAPSPTAPSRASISDLLSLVAVLPFPDFPMALLLLPVANSPQAMVAWSSLPRIGAPSTCTVAAALRSLVAASISPPAMAADPRSSSHHGRLKLIKIWCCLPLYAPREDLCRPYELGRERRWSSCAGRRWEEHLCRVVVVRYRHVFTPLVTRSSPSANSTWRRATHSDAEVRFEARFGTKVRSEESRRRFLTRGGTTTSRCYRWEMTAQNWATSYGIASGGGETSPELEHDALAEVASLPSPRPHPLPMPLHAFVQHLSIAAWNHPVRSRGSCGADLAFDEAPLLQLASAQFITASTTSYSCRTFPAASSLPVIPLLPPNLDVSPPLAASGAEWVPLTSRTLVGIFSLIGSSPSVRN >Dexi6B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:6B:22222316:22222497:1 gene:Dexi6B01G0014740 transcript:Dexi6B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGAAAFGLEWPEPLVTLALSCGS >Dexi9B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:9B:3035239:3036544:1 gene:Dexi9B01G0005160 transcript:Dexi9B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVGPAMSRMRSMAAAVFFALAVAWPLLCSAQPAPSMPPPSAPAAATNNSRLEKAYVALQALKRAITDDPKKLTKNWCGPDVCSYFGVYCAPAPDDSCQRTVAGVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFSGSLPQSLRSLHLLHEIDVSNNQLSGPFPEQLLCLPKVQYVDIRFNNFCGEVPAAIFDKKIDALFINNNHFEFTLPESFTKSTASVIVLANLPRVGGCLPSSIGDMAGTLNELILLNSGISSCIPPEIGKLNQLTVLDLSFNSIAGTLPETIGNMRALEQLDVAHNQLAGEIPESICELPHLKNFTYSYNFFCGEPHRCLEVPRIDDRQNCIAGRPDQRPGEQCLSFLHQPKVHCDAQGCIAPPSPPPPPPPVHAYPPPPY >Dexi5B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:5B:181670:182988:1 gene:Dexi5B01G0000260 transcript:Dexi5B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGSPSPSCLVFRGWQLPPGFRFQPTDQEIIVCYLKKKIAGAATAVTSIVADVDIYKFDPWDLPEKALFGDGEWFFFSPRDRKYPNGARPNRTAGSGYWKATGTDKPILATGGAQCLGVKKALVFYQGRSPKGTKTHWVMHEYRLLDTDVTKPSSSCSSSSSMRLDDWVLCRVRNKHYLQAAGVDDGGSSYYCHSSAPSSELAPPPIPLPPSSSSCCTATTTNDHLLHYLTMVQHPEAHDEDQDHEPAAAAQLVSSVLESIKRNLSFQAIDELYLLHQPTTKRANCTTPDDDHHRRILNTTTTSFSISPEAADCTF >Dexi9B01G0048460.1:cds pep primary_assembly:Fonio_CM05836:9B:47413503:47417928:-1 gene:Dexi9B01G0048460 transcript:Dexi9B01G0048460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGPLTLRDFLELACDSSSDGFRSYPRCLPSHGGGADNDDALLRRSPSRSPSSSFFRSPSALARISSLSRSFSRRIKDGFWRRRDYYDDDDHHHLYDDLDDRDSCGFPSPLVSSCSSAESESDDDVAAVDDKAAASTSASEREKPATPSSSSSSSSSASDHHCTDTDGAAAAAGKNEMMQSDGKLGREEDKQQLSPVSVLDFPFDDDDRSDAGTCSPSFSFQRCCPTTTPPEDLLLHHSRTTTKQAQLLHKIRRYDGESVEPVDLEARFTATSESGESLDASTHLATTSCTDDTTSTSATTTTAPCHGSVERPSSPDDQDEEPDEYRLLARLLQDDTAAAAAAVVDDEASRALVLDFFAEGIDRLRCSTFFAETVVVIRPVGDHRDKQQAAAEALLVGAAAEWLRGAGLQWGIRDVMLSGKAALEDMERARRWMSVGEEERDVGAEVEGLVMDELVDEMVADLVLPWWHDDGRRWRCH >Dexi9A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:9A:5610662:5611815:-1 gene:Dexi9A01G0009340 transcript:Dexi9A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEEAIKAAVESGHRALALLSNPHDQPIPYEDLVATAGDAVVKFGTLTAKLSSSNGNGLHGHAKVRKIKKPMPILNRSLFLESLAAATASSTKAPSASPITSLQVSLFSRYHQMEGSSSKDPVRIPAQSPKRLLLENQAPAGLEGPSSQAPPVHMVQPVSVAPPAGTPTPTFPAAHLHFIQQQQRYQRFQLMQQMKIQNEMMKRSNLGDQGGSLSGGGGGGAKGVNLKFDSSNGTTSSSRSFLSSLSMEGSLANLDGSRASRPFQLLNGSHTSSTPELSLVHRTKCTGREDGSGRCATGSRCHCSKKRMLRIRRSIKVPAVSNKVEDIPADEFSWIKYGHKPIKGSPHPRY >Dexi4B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:4B:20718423:20721207:-1 gene:Dexi4B01G0018380 transcript:Dexi4B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGRYLLILLLVSASLCAHVSAAASDTAVLAAERTRRKDPLDGLRYYTGGWNISNRHYLASAGFSAAPVFVLAALWFVAVAAAALVACCCCCCRGGGSNYSYSRRVFALSLVFLIVFTAAAIIGCAVLYDGQRKFNGSTTATLDYVVSEADAAAATMRNFTGLLETAKGAGGGVASLPADVTRTIDDVTQRVNNASDVLAARTASNSRRIRTALGTVRKVLIGVAAVIVIGDTCVAMDEWVAQPQGHTALDDILPCADTAVTTDALRRSEQINSQLVGKLNELVSNVSNRDFNSQQVGPPLNYNQSGPPVWQRFVCRTTTSPGTSTEVCATVGRLTPAMLSQMLTVASVSDGLRQQAPAMRDLASCATVRRAFQTIGERGCPSLRRDSSRVYQALLAAAIAAMLAAAAWVVHSRERRRRRESELFRVSPYRLPIEEKVLLNSPRRPYRRV >Dexi7A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:7A:22783807:22786147:-1 gene:Dexi7A01G0012600 transcript:Dexi7A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIHHLAAHSCSYYTTTYTHCSISFSPRVRGASTSWVRAAAEGGGDGGAGERRRRASASLAADGPRVVDVTAAPVASGGAAGAAGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALAGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFMIIVTASSIGEELFYRAAIQSGIVPPLVPFAQTFAAVITAALTGSLYYIATAPKAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSAVVLGHGLWKIHDHRRRLRERIQQVRSQGKSSDAL >Dexi3A01G0035290.1:cds pep primary_assembly:Fonio_CM05836:3A:40629515:40637012:-1 gene:Dexi3A01G0035290 transcript:Dexi3A01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHSDPVSAPSPAPPYFVAAPIGGIPRPGSLPPLRSGECLPLCARTSSPGLQLHVRAAAVSGPAGACPEQHQVASGTGDLPQNTVSTPNASMETKKVISPAKMVLPDDSDATECDMKFPPGFEPCWESESSVALFSGPFAVVQRMLANELYVSSKQSLFQHFEEVIAEEIANCLCYGLQSSIDQEQIGTPIHAPESPPISAEVSRHEEPSTAEMATAADEELNTAETLSSHGEENLPLVSYARIFEKMDICMTAELDESFDEVPPGMETGIISLPPIDKNIYQPVRSMNSVPVISRYITLALHRQRLHENVLVEWASLFSDTISECLDSWYTRQNTVPKIADGSSKLKEYTYYRKRKSKKICQAISSKEPVEISMDEQLSKPISQLVDPKIYLKNDQESSKASKPKRVSFVDKPPKKRSKTVAMANDAHNLNIQQDLKLVSSEVPKKNRSHPTKKQVSANKTPTVTDSVMNTSMLTKPVKKRKGRNISSESSQKAKPMISCPESDGCAKASISGWEWRNWARNATPSERARVRGYRVRSILAASNKNMWKNSQVKVSSARTERVKLRRLLRAYKGAELLKITQMKARKKKLRFQRSKIHEWGLVALELIESEDFVIEYVGELIRKRVSDIRESKYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVDGQKKIFIYAKRQIYAGEELTYNYKFPLEEKKIPCYCGSQR >Dexi7A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:7A:23974851:23979332:-1 gene:Dexi7A01G0013980 transcript:Dexi7A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSESAGSGRAGAELMVEQFHLKVLHAVLAVRAPRPLAAAAAAAAAAVTSSFRRRDRWFHLPLHDPPPPPEAPDRLEPLAPGEPLVVDVILSPAGGGARGEKEGEVVERWTVACEPWPDAAGGEEVAVNRAYKHCFTLLRSVYAVLRVLPAYRVFRLLCANHSYNYEMVHRVDTFAEPFTRAKEAAMRSLRFVPVGTQLGRLVVSVQYIASLDTFNLEITSLSPSMIIPDYVGSPAAEPMRAFPSSLEATGSAFPPSYQLQRPHSWAPPVFWPHTPAQQARFSPPPLFYASPTPSPPHFPGGSRLIRGESAPMPIPQVGERRSPVHRQNTLPPPSPRRGDMGAAGDQESPSESGRLIWRLEGLRIADPCSTLSPRHKGKDNKDESGRFSALSSCDSPCQDDLDDYAFFVDDVDTPVSQPGSSDGKEASDQAGSSSHKSQDAQVGSLVNLLRSARPLRDPSYPLQTSSRAESREAASASSVTSRRTSDAFEQLKSFKEIRERLLSQSSAKHQEPWGKP >Dexi1A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:1A:111530:112199:1 gene:Dexi1A01G0000170 transcript:Dexi1A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYSPLLWRSAIGFPPSTCAEDGQVVHSSRADEPAAMRDARPGDSEITERVARWIEEAMPSHVEAAGGPVIRQSCMCCMTPDSDFVIDFLGGEFGEDVVVGVGFSGHGFKMAPAVGRFLAEMAIDGKSNTAAEAGVELGHYRISRFDGNPMGNAAKDY >Dexi6B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:6B:23059530:23062681:1 gene:Dexi6B01G0015770 transcript:Dexi6B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNLGKEAGEALGLLGCVNEVVAAVAATWGPMTDGARVEAAHRLAQWRVDALPCYTYRKSLPFRIGLWNWYLSVERNNKQTCVKLFVENSNSAKNGPSAPIASFVTKLLISLPPNRQTIVHPGIFDKQLKHDGFVWAIDSTVTGRFVIEIEFLDLKVADPSGGEPASIWASHQIKQSSDNTALSSLARMLHEDILTDITINAADGSVRAHRAILATRSPVFRSMFSHDLREKELSTVDISDMSLDACHAFLNYIYGDLRSEEFLANRLALLRAADKYDIADLKEACHESLLEDIDTGNVLERLQTAHLYRLPKLKSGCLRFLVDFRKVYEMHDDFNVFLQTGERDLVAEVFHGVLAAWSGR >Dexi9A01G0025090.1:cds pep primary_assembly:Fonio_CM05836:9A:22297511:22302317:-1 gene:Dexi9A01G0025090 transcript:Dexi9A01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPSSMSQFCQASHGASLAGAGVAVARSKGIWPVPTRPALVLAATHSRRQKQQPSSLYKAIASAGEKVQKVVGSKLPAASATRTVYVHGKLRLQTFLDSPNKQLRLSFQLVSATVAEAVLEAVLGGGEETELDVKLAWQEALGAPGAVVVKNHSGFPVYLKLLSWSDAAGLGNAVHFACNGWVYPVGKHPYRLFFTNDAYVKENTPSALLGYREDELSVLRGEVTGASSADEQPFQEWDRVYDYALYNDLGNPDLRKDLARPVLGGSDEYPHPRRTKIGRSPTRTDPHTETRVRLDQQNYVPCDERVGIPTIAAPNLANLGGHFKSMAEIYGLVGLDHVGQVATAVKQVINSGAAPPKLPVPLVISVNPTSWRRDEEFARQMVAGTNPVCIKRVTKFPLTSDLDRTIYGDQDSKIRKDHIEMNMSAMAVQQAVEEVRLFVVDHHDWVMPYLKRINELPGEEEKGEISQRKAYAARTLLFLNDDSTLLFLLRCRINVHATIEPLVIATNRQLSVLHPVHKLLKPHFRNTLHVNAVARQIIFGSGDRRKNGDIFRGIQEVIYLPSKYGLEMSSKAYKNWNFTELALPADLGDPKNPEKLELLIKDYPYAVDGLEIWIAIKKWVTDYCAIYYTNDGAVTSDTELQAWWWEVRHVGHGDLRDAPWWPAMNCLDDLVETCTTIIWLGSAQHAAVGLGQYGYQGFVPNSPTLTSRPMPEAGAEVTESEFLGSITPKKETLALMGMAAKSLTRTGEVFLGQRPDSELWTSEQRADEALARFQARLEVVADDIRRRNADPTLKNRARTVEVPYTQLMPTREPGPVIRGIPNSITN >DexiUA01G0012850.1:cds pep primary_assembly:Fonio_CM05836:UA:26681196:26689570:-1 gene:DexiUA01G0012850 transcript:DexiUA01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGAGAAAEDISDKKGLRRGLRQKIPRRRRWNPQLADLTDSLLLQIIALLSSFHDLLALIGTCRSWRAALSSLPPAFSFNFPPLHLQADDYDRHPHHNYFKLSLLSSIKWQLVNPAKKMSSRRCSVPQNIRVRMRFFGCSYGYLIFFNMEECLLVDVYSGAIVKPPKLKSTGNDDIYCGILAAPFNSSNSHLLFCSKSSMFLWQVGSNSWSEHPLDVQDILQIVLFKGEMIAMDLLGRFHRMRLVPQLSVQQVAVMWEDMVLGQSYKQWRRLLASGIRQERDAQVTPALPWQAAAAAIPDNKHGRCFRLRHQKNHHRWNPPTSGSLPLASIFPSPSRPLPATARFLPLAAASLLPPPPVLRALCGCFCLCRCLSLPSERQQQAGSIRQPRTSRASPGAEKLLLPPATNEEELDVNRRRLEPAKLNGTTSRRHVCLR >Dexi9A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:9A:358406:358717:1 gene:Dexi9A01G0000610 transcript:Dexi9A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWKKREKLAPVICRERRSERADEGEAGAGDCGPRNHGRACSVVKPAAAPGAGRKSREGSRHGGRRWRLGAWAKDWRGGAGWRGFTKGAAKDPSGGSCGGA >Dexi3A01G0036450.1:cds pep primary_assembly:Fonio_CM05836:3A:41855290:41855799:-1 gene:Dexi3A01G0036450 transcript:Dexi3A01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMARFLAAAGKATARRSAADYSLRRRFASSARHDDAQEAVKWERITYAGIAVCTVLAIYNLSKGHQHFPDAPPYPYLHIRNKDFPWGPDGLFERKKKHSDDHH >Dexi9A01G0041840.1:cds pep primary_assembly:Fonio_CM05836:9A:45419507:45421409:-1 gene:Dexi9A01G0041840 transcript:Dexi9A01G0041840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTNFAFPRVPPTSAPAPAEAAAEPSPKRRRVGESAEELPQPPDMGEEALERLRGVVRDSLGKHLYSSAIFLADKVAAATGDPADLYMLAQALFLGRHFRRALHLLNNSRLLRDLRFRFLAAKCLVRLSSSLAVSFRRVRRVHEELKEWHQCLLMLGDAKVDEHGKVLDEDGGSDIYFDKDAEDHEINIKAATCFLRGKAYEALDNRDLARQWYKAAIKADPLCYEPS >Dexi7B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:7B:22131841:22134532:-1 gene:Dexi7B01G0016110 transcript:Dexi7B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQAPPYAPLPVVSALPPDPNSTSSANPILLLPNPAFPNKRKRTGFRRKVPSGSPAAAAPSPAVPSQPARPASAADDIIVINREPTAEAVTALTAGFPVDSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLCRWRETYNSWLAKEPFATLIPPHCEHLLNAAYSFLVSHSYVNFGVAPAIKERIPKEPTRPTTVVVIGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEGSGRSAAADLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKNVEITFNKLLDKSSNLRASMGEVAADVSLGAALETLRQADGGISTQEEKNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNVKLVQALAENVPIVYERSVHTVRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKTGGIKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLVEDPRRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETMPPTDAVSSVLQILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARVAKTKVDKSSSANTQACATILTDLFRQPDLEFGSFSVIFGGKADPKSPAILKVEFGAQRKKNATGGAKAEQNHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDDMRLHYLCEKMGVKLVGRKGLGPGADAVIASIKAQRNRSRAKPGPSKLKKSSKPNVASS >Dexi8B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:8B:7502991:7505102:1 gene:Dexi8B01G0006450 transcript:Dexi8B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFQRFGSSAPALPNLSFAGAAAVTVKNEQGQPSSSSIIFSFGALPVASTINSSGGGDWAHGGGAMEGVVPQEPAERRSRSQLTTPEHVAAERRRREKMQQQFVALANMVPDLTKTDKISILGSTIQYVKQLEEKVKTLEKQSSRRISSQATVLEGKDHISLTDSQETSCPSGSNYGVGSSIPTVEATIHDDIVLLRICCERRSGILVMIISELERMELSIMNTSVMPFSDSYFSINITAKANWRRIFPDS >Dexi9B01G0043640.1:cds pep primary_assembly:Fonio_CM05836:9B:43607726:43610593:1 gene:Dexi9B01G0043640 transcript:Dexi9B01G0043640.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHTLIIFNFRDEGPGTSLPRQEEDTEEEFKGPRIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKADHPIVQRKGLAVVDCSWARLNDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHSFLSLNRDLLKAYSQCENGSEIINVQKSWLSSNSSVPKPPVTNEKSRRSTEEGSEGDSDDDLPPLEENLNHLNRNEDGESEEGSEGDSDDDLPPLEENLNRVNLNQDEESEQESESE >Dexi2B01G0024730.1:cds pep primary_assembly:Fonio_CM05836:2B:34084133:34085520:-1 gene:Dexi2B01G0024730 transcript:Dexi2B01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASRRHLHPHRLPYGAGELGSCQPFRRCLPKAPHHRFSSPTLPWSNCHPLSPDQGLKRAATEPLLRKAGATRNPNITGSSSELEKKRRIWQTPHHHPRPPRTSAKLYVPQDPTYVVITSIAFTSSSK >Dexi7A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:7A:24761893:24765909:-1 gene:Dexi7A01G0014820 transcript:Dexi7A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPVGLRWWLAAVAAAAWVVAVVGAEGEVAVGAAPARHAYAAMMYMGTPRDYEFYVATRVMMRSLRRLSTTADRVVIASLDVPPRWVQELKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYSSEIPVVLIQTLLYIGVIAVTRLARPSLSKMCYNRRLEKSTMFLLSLLRVVAAWSMLAAYIIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINIFLLHPLSVLMTWFGIIGALFVMSFPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLQVLVERDAFRLGEPNQNAEFTKLY >Dexi2B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:2B:13035629:13036820:1 gene:Dexi2B01G0011400 transcript:Dexi2B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSAASFRPADLAARGALASPQQQQSLPRPNTAAQGRLPIPSAATFRPADPTAQGAMSSKQQKMFCMREFDHYVVIDFEATCERDARIYPQEIIEFPAVLVDATTGAILSSFRTYVKPRHHPHLTAFCSELTGIQQQQVDGGVDLATALGMHDAWLASAGAAKNRLAVVTWGDWDCKTMLESECSFKCINKPRYFDQWVNLRIPFEAVFGVGRRNLQEAVREAGLQWDGRLHCGLDDARNTACLLVELMQRGASISITGSLPQRPPPPPAPEPELRLQAQMAPVNHNISWCMGGASTTDGYCYCGVPIRGDMVLLR >Dexi1A01G0027750.1:cds pep primary_assembly:Fonio_CM05836:1A:33483093:33485833:1 gene:Dexi1A01G0027750 transcript:Dexi1A01G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATPMDVDAAAPPPPLAGAAAKGKAPLSGPVRAAPWVEKYRPQSLADVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGPQYSNMILELNASDERGIDVVRQQIQDFAGARSLSFGARPSVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVRERLQHIIKSEGLSVDEGGLTALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPMPKDIEEIAFWLLNEPFSTSFKYISDMKMRKGLALVDIIREVTMFLFKIQMPSNVRVKLIDDLADIEYRLSFACNDKLQLGALISTFTTARVAMVAAAS >Dexi1A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:1A:6430754:6431345:-1 gene:Dexi1A01G0008360 transcript:Dexi1A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSMGGSLALAAATAVAFSGSLVIFSLCRAHLSHPADDDASAGPLRPCLSSSEKRRRGGRVKSKAEKRVRFAADVVDNEGAPRPTRSSPAAAAAGTCRGAAAESSSPEEATMMPANREALYRGMLRDRSAHRVTCSY >Dexi3A01G0033760.1:cds pep primary_assembly:Fonio_CM05836:3A:38584418:38584944:1 gene:Dexi3A01G0033760 transcript:Dexi3A01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGVNLALTVLLAAAYSLLRRRPGYVEVYAPRRPYAPLEPWLPAAWRRSEEDIHAAAGLDGVVFVRIFVFSIRVFTAAAVLGVGVLLPVNFLGNQLKEIDFTDLPNKSIDLFSVSNVQDGSNK >Dexi7B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:7B:18839031:18840486:1 gene:Dexi7B01G0011890 transcript:Dexi7B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVRRAAVFLAVCLAAQAASAIQDGLLPNGNFELAPPKSQLNGSRVMGRYAIPHWEISGYVEYICSGQKQGDMLLPGAYAVRLGNEASILQRIPLTRGAHYSVTFSAARTCAQAEQLNVTVAPESDILPIQTVYTSSGWDSYSWAFKATSSVVSFIVHNPGVSEDPACGPLIDQFAIKTLPPPQNTKNNLLKNGDFEEGPYIFRNTPWGVLLPPMDEDDYSPLSPWMVLSSTKSVKLVDAPHFVVPHGGRAVELVSGVETALVQDVRTVPGAPYKLEFSAGDAGDGCVGAMTVQVYAGHGSVRVPYQSQGKGGYKRASLEFTAVTNETRVVFVSMAYNLKPDGTLCGPVVDDVSLVCTRKHGARRLLL >Dexi9B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:9B:12952301:12955867:1 gene:Dexi9B01G0018220 transcript:Dexi9B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPGEGHQSPGAATNAVARAAGQPRNLTCLADPTRHALPIQRLEATGYRSSLGVLYFVGFPGLKWTFCSVALEKDSTVTGTDSRRILLSLSAYGQRTPLEPHPIAPSRSPTSSRLRAQTSQNRANCPVKFTAHHMCREPPHSRRIKSDRADDTVRPKKSSKQTYIKKRSHLAAEVTRYGASTKDPNRSSTAVKISRRAAGVERTAAPEIPIL >Dexi9B01G0039720.1:cds pep primary_assembly:Fonio_CM05836:9B:40411596:40414739:-1 gene:Dexi9B01G0039720 transcript:Dexi9B01G0039720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASAAAAASQQGDCCVHGPGPGASPGWRVSGGEASCSYLPLRKRLSVDGGKCPAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPAAPPPLFYRRMMTPPPSRPRPPQREPAAEAGAATRRPGEIIGKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSPSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGSDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEMKVLIRMLRSYYEHVCQYRSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEQKIDETTTLKDLDLDYAFHLQRFWYEELMKKPLVQLGKNMPAQAERRSKRILDKFLLNERHLIITTPSGGSRDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIREQ >DexiUA01G0021520.1:cds pep primary_assembly:Fonio_CM05836:UA:44700532:44701875:-1 gene:DexiUA01G0021520 transcript:DexiUA01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNLYLHICLQKDQLLVKVNKLTSTKTQLPYSYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKVPVDDKQAKELKGKIEDEYRVNMILDNLPLVVPITRQDRDAVVYQGGYHVGVKGQYAGSKDEKVFIHNHLTFLVKYHKDETTELSRIVGFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDIS >Dexi9B01G0044780.1:cds pep primary_assembly:Fonio_CM05836:9B:44416953:44418828:1 gene:Dexi9B01G0044780 transcript:Dexi9B01G0044780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLPTTSGGLHVCASPSRPRRRRCCQPTAAALPPTSSGVGRRAVSLAGVAAWLATAVERADAGSPLDKYVKRKKLEPLETYVPAVLLTIDQFVDLEKSLEFEKPRYDETRSLLRSGPASSLRINIRAVAQYASSNGQGKAASDAVDECLRALEDLDSLLLHASRNDPSASVETMRSKIAVALGALDNLLQTVPSEIMDKGKAIADAYRTPAEDYVEENAAELDPKLKQLEDIL >Dexi1B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:1B:5706424:5707584:-1 gene:Dexi1B01G0006900 transcript:Dexi1B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNAAVVPKFGSWDAENIGYTVFFEKVRDNKPAAAPKPPTTGAASDFDPYEHYETLSRKVPSRPPSSQGRAPAPAPKAPITGGGHDYDPYDHYESLARNKVPSRPTSSHGHVSTTTKATNNGGYDHYDPYDDHYETLSNSRNVPSSRPASSHGHGHAPAGYDYEHYENNNLSSRNVPSRPPSSHGHGGGHVAPPQHPHHRPQQQQQHGYHHRRTGSNGSNAASEASSRGSKFSPPRPYQPRYATNSYQAQMQAAGYDAQAHAQVQHAQAQAQYQQHHGPPPPLRRPKPSAVPRFGVWDEQNAAMAAQGFTVQFEKVKRHREEVKTAATPPVPPPQPQTLPPDHAAAGARGYGKRKPTKRSFMSKVYRCMFPRVREYE >Dexi2A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:2A:5521152:5527753:1 gene:Dexi2A01G0005760 transcript:Dexi2A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTALSRSASGLRRLPAMAAAAPFSTAAAAAWLSNGPASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTTDEFKAAVDAARTAFPGWRGTPVTTRQRVMLKFQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNTAGMHIYSRASAAGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSESWEEELVKRASGLVVNSGMVNDADLGPVISRQAKDRICNLVQNGVDSGARLLLDGRHIVVPQYEDGNFVGPTILADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPISQK >Dexi7B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:7B:25066346:25068585:-1 gene:Dexi7B01G0019630 transcript:Dexi7B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNEAGERAAACGGASREIVADEAAPVQAGAAEQQREDGDTVPAMEAAAEEVVGEEEETMADSAISRSTGEEGEEGDMAVPWTCACMRRMQGVLPPPTALPVAEARKRKRDVVDPAEDDGSSSSEEEAVAAAAPEEWPTAPLTPRPLQRLLDACRTLFGVPGAPPMSVIVHQIRGIMDTIGPNDVGVRDDVRFFNQMNIRRLQNPPVITTKTIYECNNFKIAAFFIPYGGVMPLHDHPSMTVFSKVIIGAARMKAYDWVLPRVLWRSGPWMLAEKVRDHVVTSALPTWVLFPDAGGNMHRFVAEEVDHCMFLDVLTPPYAPPEQRRCAYYEEHSSDVVSGGQRRQVTWLKEIPQPSNLMIVELPFRGPLIV >Dexi8A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:8A:818025:818522:-1 gene:Dexi8A01G0001210 transcript:Dexi8A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQAGGGEGYAYVTTLGPGGSVSEMRRVPASSLPSANLFRVPPDLLFDDDQYDAVDRLFDEVDRSRSSKRARAAATTDAIDGLVQVPGASRSGEDCPVCLHTFSAGETLRAMPCAHAFHYDCISQWLCRNAICPICRHQLLVIPDDDKEAKGEHQNQNQRRRMT >Dexi9A01G0048160.1:cds pep primary_assembly:Fonio_CM05836:9A:51001899:51004889:-1 gene:Dexi9A01G0048160 transcript:Dexi9A01G0048160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLLRAAASGGGVARRRMASLAAEERMARRPAAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGPLASQEILDGVIDIDMVVNLKLREDVLVEKCLGRRICSQCGKNFNVACIDVKGENGLPAIYMAPLLPPNNCMSKMITRADDTEEVVRNRLRIYNDMSQPVEGFYRDQGKLLEFDLPGGIPESWPKLLHVLNLEDQEELKLAAA >Dexi9A01G0047210.1:cds pep primary_assembly:Fonio_CM05836:9A:50397794:50399740:-1 gene:Dexi9A01G0047210 transcript:Dexi9A01G0047210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIGANEIRITAQGRPRNYITYALNLLQDNSVDDIVIKAMGKAINKTVVIVELLKRPYQVVVGEDVGVVEGAAEEGSGTEEETMMMNL >Dexi5A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:5A:3435055:3436643:-1 gene:Dexi5A01G0004550 transcript:Dexi5A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSCGVWPGRVQKAKADDPQPNPIHDASHSRSPQDPGLSLVQEAGPAARPAPQKGCSRHRSLSVSSCFASALRCAYDCTGQKKRLQRVLFLFVSSLSSSSRRTTNS >Dexi5B01G0030280.1:cds pep primary_assembly:Fonio_CM05836:5B:31242814:31245801:1 gene:Dexi5B01G0030280 transcript:Dexi5B01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNRSGKDVKASDAETAAESEAGEAEVDDVEEDETKELRRNGEAEAPTGAALPVRATPPIAAAEILPERKERDAIGEASASAMVGEEIGEPHARSLASHGPGRGKTLRAGGVSLLLLMAIEDAFILPPSSVGLYKPASLLLRDPDLLHFPSSELRAHHRREQVPPTPLPLRDPARDTGAIRFLGSVFTRLFAQNRCVFTGVPFTILDTPPSSNDYTASRLLPVRLWCMNYTTSTDLPASNMYDSFEQGQSRNTMSSDGIPPAGNGATDASGKGPAQGYISINKWFQVRQQV >Dexi2A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:2A:31554506:31556866:-1 gene:Dexi2A01G0019320 transcript:Dexi2A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSAVSVSFLHYLPGIFASLGALMFNCVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTNKGPSVWTGVAGVLQCVLVLISGLIYWTCHSEE >Dexi2A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:2A:12655246:12659069:1 gene:Dexi2A01G0011050 transcript:Dexi2A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] DRSGSSHGGANGSGGEEYLRPMDAEQLRECGHRMVDFVADYYKSIETFPVLSQVQACIVSRLDIYVASPNHSVTLFAALGGGIIQGTASEAVLVALLAARDRTLRKHGKTSLKKLVVYASDQTHSSLQKACQIAGIFQENVRLVKADCNKNYAVTPEAVSEAIATDLLSGLIPFFICATVSNKHYFVICKLPRADLEYLFVGTTSSSAVDPLPELGQIAKNIDITSMELKKLIRLI >Dexi3A01G0025570.1:cds pep primary_assembly:Fonio_CM05836:3A:21297563:21298165:1 gene:Dexi3A01G0025570 transcript:Dexi3A01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSITKEVAVAVSAEQLWNAAFAKSDESTLCKALAGMIDAVKVDGDGGPGSRLSLKFNPAVSPATVLKGRLAARDNTARVISWDEVAVEGGQIAPAQFKKQVVQMKVEPASAGRCVTKVAVDYERLDGAPLSPADQAKLINGYIGLVKKAEENIIARPGVFA >Dexi1B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:1B:1447234:1447930:-1 gene:Dexi1B01G0001790 transcript:Dexi1B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFGPGLMWPEWPILITVDNEKIFALDMENFSMVSMKPLYPLQEWLWCDLPLPPFKRDDVTSFAVDCDGCTIFVSTNCATFAFNIINSKWRQSSNCSLPFTGPANYVHALDIFVGLSKAPDTYGHLCFCKKLLGGDENGRPSKENLLSKDPAESHVGATLVYLGGSEPGFCLVECVFVTEGKSVNMQLEEIDRPLKKKLDEGDGASGSMHPLTTMET >Dexi2A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:2A:6181547:6182542:1 gene:Dexi2A01G0006540 transcript:Dexi2A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFFANMRDWDEDREVDDDDYESYDYVARFVRATRFYPCRGERRDFRALDARHGRSGRVRKENYGDGFNYGVVPYTSFYTPGIALLGP >Dexi2B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:2B:26798351:26801031:-1 gene:Dexi2B01G0016590 transcript:Dexi2B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSFRSAVRAPPLSRSLARSAVRCRGAAPQGGGGAATTSKLVMEVKERLAREHPGLPTGRNGRDDDDMILWFLKDRKFSVDEAVSKLTKAIVSYKLCSLESILWLSFITIVVLGFLCAWRVKWRQDFGVSELSEESVKSLYQTGKAYVHDCLDIYGRPVLVVVAAKHFPSTQDPVENEKLCAYLVEKAISRLPQEAENILGIFDLRGFSVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFIFQPMWQVVKPLLKSYASLVRFCDSETVRKEYFTEETVPPDFCS >Dexi7A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:7A:16751459:16756830:1 gene:Dexi7A01G0005480 transcript:Dexi7A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPQQHGHPHTSVGLGYFHLRLVGPAPALLLLRSDRLYSLSPSRRRGHRLRLLLDRPRRPHARGLLLSTSDCALRLTHRFYGDGAPSVNGRPLRARTPAELAVGDEVSVLWCGARYGLVVERFVLCGGGGCGAAGGAGSFGEGLVVRAESLRKRLRAISESEDPLSFLRDSSCLGNGGADAGVKELRQGGAGDLCPGNSAHPASDQNLPQDDCNLDQGKLEHHPDVAKGVGADTELCQESKGCGDDNEDQTGCSNGNGKQHHTEGCHSDGSTFFLNRLVGIGSLSDMRVEPHTGVTLPQLLHPVESLVRVFIATFTSDISWFLDYCKIPQHLPVTVACHNKERCWSASSENRMAAPFESHPNLLLVYPRFPEEIAFGKDRKKQGVACHHPKLIVLQREDSMRVVVTSANLVPRQEKHILHTKSAHRMFVGSVQTSVVGISHRFNMPSDAGSQLRALSAFLGKCRVNMHGTTEVILKRSTNIPADSNAVSVLVADLDKFSEEVNHDQCPQAGSVQLGFLPREVAKWVAPLCDSGFFNFSGFIYPREALEAAFGVTNTKVQLLLYVSKGPEFSRISELMFAILRVSYFRSNYLIGASSIGTSINPQFIASFASAAGKRPDQDFDSQESDPEWGCWTAKHELKKPSISLLFPTIDRVKKGVCGIHLCRNLLSLPEKTWQRLRPTGIFHDAIPQPYARIGHPMHVKSNDVVDLSDDTDEDVPDEDDEQEVELSDCSQQEKEEEKIYAETLWGQVDSSQSQGKD >Dexi2A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:2A:2629004:2629255:-1 gene:Dexi2A01G0003070 transcript:Dexi2A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYRAIVEYKKAREVVAIGSFFRHGDQPCGSRASSPSPTLFCNPASSSWCAASSPAAARASLVSPLLRSASRRHCAG >Dexi9A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:9A:14222183:14222680:1 gene:Dexi9A01G0019310 transcript:Dexi9A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPRGTQKRCCSSWASLPSASSPAALLPPRRCYSLHHLAAAAISISGRRRGGKVDLDGLSSPELHADATPLDPLVPSSPTSHGDESRWRPRRRTAMEIFALQLCDPALRQRLTPCLRLVAEVRRGLSSSGCDARSKDRRGGAAAATRGASSPLPALFFSWIRG >Dexi4B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:4B:5838727:5839713:-1 gene:Dexi4B01G0008050 transcript:Dexi4B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATGGVRRRRRTWRLYWCYVCGRAVRAVSSSSPTSDVSCPHCSGRFLHEIDLPPAPPRPVLPPPTQFFPTPFLPYADIPRRWVTYTGDADADTPLPRRRRVPSPPPTPSPRRVDDDGEDAIDAPPRPPPPVVGWDAFFIGPNLDALIERLTEDDRPGAPPAPESAIESLPTVQVSPANMADGSQCPVCKEEFELGEAARELPCKHAYHTDCIVPWLRLHNSCPVCRQEVPTSQQEEEDGSPEEGGGGGEEEGSGDAEAPAPGTGTGTGTVVMEGWGPLGWLSSLPRGPDEGAWKEDDGGGGTCTAAVLQSFVVVAACFFALSFFV >Dexi9B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:9B:15148837:15152017:1 gene:Dexi9B01G0020400 transcript:Dexi9B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLILIQDPDFPVEGMSSTTSIQPSTSSDGASTSAGETARPPDSDTNFAGPAQSRLSLQLDQRSLHFSVNAWVLTVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFAALYRLSRAGSLQAIQAWRHHVTSSKDFIPFMYCLMLVTSKLHLKLALVPVICWALEHVVRFLRHHFTNSSLYRTYLDQPCIWVEANTTAVHFLRSNAEILLGFLLIISLFSRQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKLGRTINPYIHRYTPFLHDPINAGMRWWFR >Dexi9B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:9B:10679978:10684875:-1 gene:Dexi9B01G0015620 transcript:Dexi9B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTVEGEVRRDMWGQEYRTSSAECAAALDAYYAAFLSFGRGRVAAAVRAAAADPTCALAAAHAAHAVAPRDPAGAAAFLAAAADNLGNATEYEKAVFGTLSAMVGEERNEEVALERHFELLKIFPKDILSLKRAQLICFYLGKPDLSLKFVQQVLPENQEQNYIYGMLAFPLLELGKMDEAERAARKGLAINKNDVWSQHNVYLNALGLLLRLYVRGHEHPAKERVSSMDKDRQQVMQKAIQLAEAVYEFGNGEHKKVFDILGPDFDALGYKMIGASDEQVDVFNEVWYTVLINAGETLKGNKEF >Dexi2B01G0036060.1:cds pep primary_assembly:Fonio_CM05836:2B:42985264:42985662:-1 gene:Dexi2B01G0036060 transcript:Dexi2B01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCVRPNNSGGHGMAHPVRRTNQGFVASTSSSVSVSLAAGVGGEGLSYAGRTQLQRDAAMEVKSRLYQFLNAIR >Dexi9B01G0034250.1:cds pep primary_assembly:Fonio_CM05836:9B:36252718:36255467:-1 gene:Dexi9B01G0034250 transcript:Dexi9B01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLEAEGQVDRLLRAQEDDQAARAGDEEEEEEQIQYPFFTEGTQELLNARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEADLVVKQAGDFVLDCSEIGDDRPLTGCSFSRDASLFATSSWSGLIKVWSMPQITKVATLKGHTERATDVAFSPVDDCLATASADKTAKLWKQDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGTELLLQEGHSRSVYGVNFHPDGSLAASCGLDSYARVWDLRSGRLFFTLKGHVKPVLGICFSPNGFLVATGSEDNFCRIWDLRKKEVLYSIPAHKSLVSHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSSTQDNAMELD >Dexi9A01G0042970.1:cds pep primary_assembly:Fonio_CM05836:9A:46502699:46506898:-1 gene:Dexi9A01G0042970 transcript:Dexi9A01G0042970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEVDHGKEMFHGHGHSDPVVDELNRLENLLREKERELGHAYSEIKGLKVTEALKDKAIAELSKELKKQDEKMRSLEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEESVPFDAIIAPLESDIRKYRHEIAVLKDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKANRQKVLEVEKLTHTISELEESILATGEVANAVHFYQNQATKLNEEKKTLERELARAKVYVNRVASTAANEWKDDSDKLMPVKRWLEERRLLQGEIQRLRDKIAIAEKSAKIEAQLNDKLKRRLKSLEEDMRNEMSNSSTKEINKKVTSKRSTSQPRQPNTARVSPQPSSPEIIDRRRPISQPRPSIAGKVLKQPNSEIESAEKNRVAKRFESPRARMVAGKGERPTKNHLWAPRSKMAADAGKENKEQNPNSKAHLNVSHLQGHGDAKIFDGSEECGVQCVEHQEEMENEGNVDSSNDERCP >Dexi1A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:1A:23633929:23634609:1 gene:Dexi1A01G0016420 transcript:Dexi1A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGEAPLVVEDAAATPVACEEKAKADVIVPAAAEADPADAGRRRSLSDLLKQEAETSDGEAEKAVTVEPATSAAVEAGATLGAQAPVHAVVETDQEGGTADHQPRDDPNGDVQVVVEEEKRVDPDSVQVAVSAADAAAAPSADETENADDASA >Dexi4B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:4B:18766765:18771475:-1 gene:Dexi4B01G0016670 transcript:Dexi4B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKSSSPFASGHNTARSARIGLPGQHSAQHYYSSSSNPFRRRQLVSFPNPSPSVPHRLTLSASATRPRRHCLLPTSPRLICSDDRAALHDTAATRSRSRWEDQSSLGAASRRSRVMVKVEQDPELEPSPKAEVEAGAEELSPPLSSGEEEDEDDEDEEEEEDDTDSDGDDGSGTGGAAPALKKGPWTPAEDKRLRDYVVAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPDLKKGPFDAAEVEKIIKFHMSWGNKWAKMASQVSAAFPVPLWFLHCFLTKLPGRTDNEIKNYWNTRLKRHQRAGLPIYPDCMVSQVDDQGINCPTPGESRGKKRSNELALEKVVGMEDLVGNFMVFQHLDYGKDPVVPTNPLKRHASTGDLSCVPIHEGKFYSNDLNYVLTKSQSVPLGNAIASGYPIVDSNPSTSGTIHRSMKTELPSFQCSSSYDVSNSWLLQCPSGSPIHQQIDTFIQSPESMSSQNTGLLGAILTKGDVLDDPTKPERYFEMAVPHGYNLVSQSNAYHMSHPSSSVIGDCEPEGCLFSEIQASNSSSGGSDATFSMGKLFPDANFSDAGIPGTSLESSFLNGDAVHLKGQSYLDASSSLFGGNSCEETTLTDVGQWLNSSAWKSVPGALNMPDFP >Dexi6B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:6B:17902818:17903968:1 gene:Dexi6B01G0011020 transcript:Dexi6B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEEERGHFARILAGLGQCLLLGFESSESEETFIVGLVCRLAGKVAVITGGASGIGKATAAEFVRHGAKVVIADVQDELGHAAVAELGGPDAACYARCDVTDESQVAAAVDLAVARHGKLDVMFNNAGVSGAPLRPPLAASDLASFDRVMAVNARGVLAGLKHAARVMVPLRRGSIICTASVAGVVGGMADPAYSASKAAVLGMVRGVAAEMARSGVRVNAISPYAVPTPMAMRTFGVWFPGRSDEEVRRIIEVDMGVMEGTVLEAEDIARAALYLASDEAKYVNGHNLVVDGGSSVSRNIVNPGAIAARE >Dexi2A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:2A:31531501:31533871:1 gene:Dexi2A01G0019280 transcript:Dexi2A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPSPLLHHLLLLLFLLAAVPPGALSKSTLESCASSTACPSLLSYTLYADLKLAELASLFAADPLAILAANAIDFAVPDPSDRILPSGLHLRVPVPCACSDGIRKATSVRYVARAGDTLASVAGSVYGGLTTPDWIRDSNGMPDDGGELDAGTTLFVPLHCACFGGVDSGVPAVYLTYVVAEGDTVAGIARRFRTTGNDLMSVNDLATADVAAGDIIVVPLPACASSFPAFTSDSGLAVVNGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMKCSNSSMMLGNFTLQMTNAGCSVTSCSYGGYVNGTILTTLTTSLKPQCPGPHQFPPLMPPPTSSFFETYLGPSPTPMPSEGGIGPEMAGMAPTSSPAASSAPAPADRRVGGVLLASAALCLVANLLWIAGL >Dexi7B01G0023970.1:cds pep primary_assembly:Fonio_CM05836:7B:28422042:28425750:1 gene:Dexi7B01G0023970 transcript:Dexi7B01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKLTLLLPATLTSTVSFPTRLQLKPFPPPQRLVVAAAAASSSSQTLSSSPSLESPEARQIRLETEYALQWGGVFARMTDFTATAAGRAACVEGRVAVGRSREESERLIEQTAAAVLLSAPLDFTGVEDVSAIVAAASSGRLLAVREICGVGRSIRAARGVFDQLRSLAEETQDGRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAVELNNREVKLSEGSTVEASEMPVPLDMWVKKDARIVVISGPNTGGKTATMKTLGLSSLMSKAGMFFPAKGRPRIPWFDQVLADIGDHQANEAASVLSQVEGLYNEILSEADDLESRVASLRSRETQKVQQELKVVKSEMDTIIKNFEVQLKNSKLEQYNSLMRKAEAATASVVAAHEPNEINFDDDENQSLFVPQIGDKVYIQGLGGGTMATVIETLGEDGSCMVQYGKIKVQVKRSKMKLVQRGTNETATSSSLKSKGRTPKQRSAAADANQSQSVSFGPVVQTSKNTVDLRGKRVSEASYELQMAIDACRPYQVLFVVHGMGTGAVKDRAIEVLRNHPRVGKFEDESPINYGCTVAYIQ >Dexi1B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:1B:20464329:20464535:-1 gene:Dexi1B01G0014230 transcript:Dexi1B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTVVVAALEEDAGAVGGGDVRKRCARWPARGAWRACEPGKMRQRTHPRDRAAELAMPEFAPTLIR >Dexi7A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:7A:20708350:20708948:1 gene:Dexi7A01G0009760 transcript:Dexi7A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVITTQGTVTNTTPATDTGGRAVSGPLRARRRRAYAASSASPHRSGAGSIPTEGTSGGGSWKGHRDRQDDGGRQRRAARGRKTEASAQRRSEAPGQRKGGYGVVEPGPDGGHGTREATKSCEWSDGEWDGWVRMWGLGPLRPRGNGSETSLRAGVGLLRHAGCAVRGWETAAAEAGPQEGERRAREHGDGWTGS >DexiUA01G0009300.1:cds pep primary_assembly:Fonio_CM05836:UA:18098340:18102232:-1 gene:DexiUA01G0009300 transcript:DexiUA01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWQAWEQDKNPQPQQQTTQTTTTAAGSAADQGVPASGQGKQITVKTDVLELTINTRGGDVEQALLLTYPKELKSNEPFQLLETTPEFIYQAQSGLTGRDGPDNPANGARPLYNVEKETFVLADGQNEIAIPMTYTDAAGNTFTKTFTLKRGEYAVNVGYSVQNTGAKPLELSTFGQLKQSINLPSHRDTGSSNFALHTFRGAAYSTPDAKYEKYKFDTIADNENLNVSAKGGWVAMLQQYFATAWVPTNDGTNNFYTANLGNGLAAIGYKSQPVLVQPGQTGKLASTLWVGPEIQDKMAAVAPHLDLTVDYGWLWFISQPLFKLLKFIHSFLGNWGFSIIVITFIVRGIMYPLTKAQYTSMAKMRMLQPKIQAMRERLGDDKQRQSQEMMALYKAEKVNPLGGCFPLLIQMPIFLALYYMLMGSVELRHAPFALWIHDLSAQDPYYILPILMGVTMFFIQKMSPTTVTDPMQQKIMTFMPVIFTVFFLWFPSGLVLYYIVSNLDDDDAKKHQRPYLLRFFSPVFIKAFSITFFGEWGDMSQIATVGLAADENPLGVILGGVVAQALCTTAAVLGGKSLASKISEKTVRLLSGALFLVFGVMSLLSGSQREL >Dexi2A01G0032450.1:cds pep primary_assembly:Fonio_CM05836:2A:42918280:42922016:-1 gene:Dexi2A01G0032450 transcript:Dexi2A01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLTCSPLPALPPTLATPSRARLRVTASTAASAPDGAGATASGFPSFLPRAVERIRDGAAIRLAKRIERVPTGFSGSPILSSCVRPLKQQQDADPIVLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSDLATRPPCDVASKREHLYQFWKSYIKRPMVLVGPSLGAAVAIDFSTNYPEAVSKLIFIGASVYSEGPKDMARMPKFVSYAGIGRLHCLLPWWEDATVDFMIRGGYNVIKQIKQVLFFKHLA >Dexi7B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:7B:21808961:21810846:-1 gene:Dexi7B01G0015770 transcript:Dexi7B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTGLFTAAFGAGYWFNIHSFYYFLGMQMMAGLFQSSGWPSVVAVVAIGGQYLSDTSAGVLSTLFDVGGVVGGILAGHISDHLDARALTAASFTFSAIPALYFYRVYGSVSLAWNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISSRSWGAVFTMLMASALVAGLLLTRLVVAEVAAKMESRRTPATHDASDLPVSSMGEP >Dexi9A01G0033890.1:cds pep primary_assembly:Fonio_CM05836:9A:38727350:38728708:-1 gene:Dexi9A01G0033890 transcript:Dexi9A01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHLQLRNLMWATSKHDVYLVQNYSVMHWSPLFQRGREILNVAGQLTPTENVKGARPLSRVQISTMALKDNLMVAGGFHGELICKYVDKPGVAFCTNLTGNNNSITNAVDIYQAPNGGTRVTAANNDCVVRTYDAERYSLLTQFTFPWSVNNTSVSPDGKLMAVLGDSSECLLADAHSGKEISTLRGHVDYSFASAWHPDGHVVATGNQDATCRLWDVRNPSEAFAVLRGRIGAVRGLRFSPDGRFLAAAEAADFVHVYDAAAGYVGAEQEVGLFGEVAGAAFSPDGETLFVGVADRTYGGVLEFRRRRAYGYLDSCLF >Dexi9A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:9A:5810096:5810573:-1 gene:Dexi9A01G0009590 transcript:Dexi9A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRSARRGARDGMTGDHTGIGGRGTQISPAGSIRMGCLRLPGPHRVHAKFSPSAPYPPASPPSLRASSRQLLLYPPLLPGRALFCSAPVLPVGGFYLSVPFTCKSLRSPAACAELFYSAG >Dexi1A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:1A:3985251:3988314:1 gene:Dexi1A01G0005470 transcript:Dexi1A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLGEADLKGKKVFVRADLNVPLDDGQKITDDTRIRASVPTIKFLLEKGAKVVLASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMTYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVVADKFAPDAESKIVPATAIPDDWMGLDVGPDSTKTFNEALDTTQTIIWNGPMGVFEFEKFATGTDAIAKKLAELTTSKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDEA >Dexi3B01G0028250.1:cds pep primary_assembly:Fonio_CM05836:3B:24123554:24126811:1 gene:Dexi3B01G0028250 transcript:Dexi3B01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPASMHLLPSAAAASLPRGVSLQLSCASGGAAPGWRSRGHRLAVVRAATAEAAAPAYTSDSLILYFKAEGTMDERAIPKITETLQAMESVKDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFDEATAGVGGGVQSSE >Dexi5B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:5B:4575636:4576253:-1 gene:Dexi5B01G0006820 transcript:Dexi5B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVCLLLLSASMFFSALTAADDEATLLAFKAAATHGNADVLASWNGSSNGEYCSWEGVRCRGRHRRVVALNLPSHGLSGVLSPAIGNLSSLRILDLSSNELAGGIPANIGRLRHLHILNLSSNAFWGPLPVNMSSCTSLVFMVLGSNQLSGHVPTESGNKLLTLRILDLQKNNLTGSTPASLRNLSSLAVLTLAFNQLEGIIPG >Dexi5A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:5A:4694277:4697613:-1 gene:Dexi5A01G0006390 transcript:Dexi5A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYGGGGLQGPLLGDATVTASPQQGRRRRSRPWAALSIAAALLVVAGVLFFLSSGDAAAGAGRGNEGEAVVVGERRRGRSPHEVESEVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGLGGGAFVVVREGASGEAIAFDARETAPAAATPDMYAVDPAAKYKGALAMGVPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEIVAYVARALKSSEADVLADPGLRAVFAPAGRVLAAGETCRNPALAAALELVADEGAAALYGGAVGEALVRDVTAAGGIVTVEDMRGYRVEVSDAMRVDAMGFTFLGMPPPSSGTVGMAMILNVLGGYKSLEFLKGFLGIHRLIEAIKHMLATRMDLGDPDFVNVTGDVAEMLSLPFADRIRGRIVDNTTFPAGYYFPKWRQLDDHGTSHLCVVDGDRNAVAMTTTVNYYFGAKVLSPSTGIVLNNEMDDFSVPAKRTPDHLPPAPANFIAPGKRPLSSMTPLIILKVDGQLAGVVGGSGGTNIIATVTQVFLNHFVVGMDPLAAVQQPRVYHKLIPNVVTYEDETVADGEVIALSAEAKEFLEQRGHWLVSTASGAVCQFIVHEVEEPAAAGGGVVRGMLTAVSDPRKDGRPAGL >Dexi8B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:8B:6082101:6082829:-1 gene:Dexi8B01G0005790 transcript:Dexi8B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVEGQGLAGTRGAVGDAWGMDIAGDAMGAAADDGGAAAADDGDVAAAAAGDDGGGKRKLEIDGDSIDWHVKCLYYYDLRTHGDPSNAKSSRRMEWHMREHGMTHQDSGWQHPLVLCEVYKKETPLQWRQRRNHN >Dexi3B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:3B:13450606:13456424:-1 gene:Dexi3B01G0018120 transcript:Dexi3B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPFSSSQEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKVRVVNGAPPTDDYQFAKYNKKVEVLKYTDEEYEKYLIDPVASRSLLIHRAKSFDDVSTNPLVKDSYDVVHETERKRALLALLSQSKQQERKDAETLSEAKRIMEARAASKTVDEAGMPFSSDNAMVPVDGVSPLSSTHPPLTHPNTAANSSIPNSLRMLRVYLRTYALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFTEAPTTPKRSNRDMDRPFVPDIAGFTGDRAGKRDHKRKTTGRFLEAPPSPPQSKRPRKLKGSD >Dexi2A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:2A:26796259:26800634:1 gene:Dexi2A01G0015680 transcript:Dexi2A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDAAAAASDSKKRRRVGFSGIDAGIEANEYSYLFFTDDFITAKNLDEAASEDCTSIQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHGYADISFDETSDGGKGITDLKTALQSIFGENLVEKAEFLQTFSKECEYIRDVVTNGSAIKHDGTNESDPAVEFRFSLLFKVYSGPKNLEIDLYLITRLFELNSKVQLHSFILIWCRLFCFWLKILVLPPHQGEGHGLSLLEAINSIALSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPVLSAMVTSLKETNLSKRTCSLRMVPPADLTETVRQKLKINKKQFLRCWEILIYLSLDSEDRKSMDNFRACIYDRLKSEILGGATGTNGKRLVQIPSSLNEEMSFAVYWTLEGGDAEDQTVEQQPEDLKTQEQQLNELVDNQMEEIVGIAKNVTSRGKDKLAELAAR >Dexi7A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:7A:24378810:24379139:-1 gene:Dexi7A01G0014450 transcript:Dexi7A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGEAEATAPGRGRGSSGGGETVTGDAGPGCWSGRRRHRAELDVGDGDAAPAGMQQWRATGRTDLRAEAEDAVPPRAMGVLGGSSDRDSRGGISSSEDDWTMRRKEAS >Dexi9A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:9A:4762397:4763961:-1 gene:Dexi9A01G0008030 transcript:Dexi9A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGDTKDDLKLPTDETLLKQLKDGFAEGKDLILSVMSAMGEEQICGLKDIGKN >Dexi6A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:6A:654767:656985:1 gene:Dexi6A01G0000800 transcript:Dexi6A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPSVPPSTFPPIELPRGLPFAVDTWTPASALKRHHFLTHAHRDHLAGIATTSAVSASSPVYASRLTVLIALRIFPQLDRAGFVELEVGDPPLRVPDPDGDFTVTAFDANHCPGAVMFLFEGPFGTILHTGDCRFTPDCLSALMPFLARRIDCCFLDCTFARCPLQFPTKEDSIRQVINCIWKHPNATLVYLVCDMLGQEDVLIEVSKAFRSKIYVDREKNSDCHQTLTRVAPEILAPADAASSSRFHVIPFPRLSERATEILALARAKQQPEPLIIRPSAQWYNHYEPPDGSTQQKTLLTEPMRDEFGVWHVCLSMHSSREELEQALGILKPKWVVSTTPPSMAVDLSYVKKHCSPSRFGPDDPLWKLLGIADGMTTITVSPQKRLTLEFIKESQEEFSPCTDECASDEISQVEAAKATLVDFEIRVEPPVTLFGSARFGLLQHESQLCKHEYASLEVVDDVEIAAKGSAAEIGLHNNNKPTEEVIDLTEVPTKEQNSVSESELLKDRKPDGGVQVVDLTGDERKEPSLSAEPDHCMNDKGNGEAELVEAREQKLTDHADLQQVCRHKVIDEGENRIQVTKEISAVNVTVSATINKEATRKDTTTSETGERKSDSSTTVGSSKGLNANLRRLYRSMNVSVPRPLPSLVELMGATKRPRVSQTLQL >Dexi9A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:9A:11181982:11183320:1 gene:Dexi9A01G0016240 transcript:Dexi9A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWSFVGMPSQAEESEIMEQLLGTFPSSCDGDQELPWPIQASNTFYTHCNASSSTYSSTNSNSSGSLTFIMPSEYGGYYLSDSNEALDLNSCTAPMHLHMVQEQGETEFMDTILNPPYGSGDSSCEDIGDSGMNLLDSVGISVKRKHLEQGKLDGPTKSRKCARKSESKKAKKTMQREGQDGSIATANGQSSSCCTFENESNAFPGPSVVANPNCKAQADRRSATESQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >Dexi8A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:8A:27790358:27794171:1 gene:Dexi8A01G0016390 transcript:Dexi8A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVTAAVVEHTFVVSQINMTHLCKKTPVTVVNGQLPGPTIEVMEGDSVIVHLINTSPNNITIHWHGVKQRRNCWNDGVPNITQPPIQPGNNFTYRLNLVGQEGTLWWHAHVPCLRATLHGAIIIRPRNGVASYPFPKPHKEVPIIIGDWWEMDLARVGSNMMNGMFDDFASGSTINGKLGDLYNCSGIPEEGYVLDVEPGKTYLLRLINSGLFSEFYLKIAGHKFTVVGADANYVTPFTTDVIAIAPGETVDALMVADAPPGRYYMVALPTQAPLPDTQTPEHSTRGMVRYMTNHVTEEGQSGVSRDVPVAPAMPGQHDTITSLYFHGNMTSCHYRRHPPVPKEVNEHMYIVLGLGAFCPRGRTCEKGAMDSSNNLLVATMNNVSFNPPETVTPLLEGHYYKTGIINRTTQKLPDRPPILYNFTDIALIPFGPKERKLEQSSRATMVRRFRHGSVVEVVFQSSAMLQGDSNPMHLHGHDMFVLAQGLGNYDATKDVAKYNLVNPPMKNTVLVPNLGWVAVRFVANNPGVWFMHCHFEFHLAMGMAAVFIVEDGPSSNASLPPPPVDFPTRGNHMSEEFYLQTKTNEASST >Dexi5B01G0029120.1:cds pep primary_assembly:Fonio_CM05836:5B:30378342:30382627:-1 gene:Dexi5B01G0029120 transcript:Dexi5B01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANGKLSNLQGMEQPAAAVAAAAPEEGRGEEAAAGWAWSWGAGTDGQLGNGGFDDYHLPQPLLLPIRCRGRVSLVAGGGAHAIALTNSGKLFMCGDGSFGQLGTGDNHCRNLPFEVAYFTTRHIEKLALGMRHSLVLLKDFKIVNIYANGDHSAALDEYGHLYIWGRALIGEHDNDQPRAVLPSLSISQVALGWHHALVLSERELFIIGVYRHQKCDLPVPGNAAGQQPKTSAARSSHDVSSSMTTLQKIEDQSSLGAGESMDNLAWVTLLTRWFLRE >Dexi3B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:3B:9297870:9300197:1 gene:Dexi3B01G0013080 transcript:Dexi3B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAPHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIMPDGVNAKLLGNHGRLEKRAPGKAFLEAVA >Dexi5B01G0023060.1:cds pep primary_assembly:Fonio_CM05836:5B:25305070:25305537:-1 gene:Dexi5B01G0023060 transcript:Dexi5B01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQTLGWSEGEVMRPESKPCSRLMRQTSGIFSVGSALAFWVLCRLHYGPRITLPRSLRWASCGAISVTSTSALLVRLFSPECEPQNIAAYDRPEHKTE >Dexi2A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:2A:3440770:3443574:-1 gene:Dexi2A01G0003890 transcript:Dexi2A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKLEDSKKLFLSKAFGKMDATYPEELKEEMDKILTKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVAHPSLEGMRHIVGLSYNHLPHELKRCMVYLSIFPEDYVIEKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVPSLGFDGKVESCRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSLLLGNERGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTNKHVRHACKLYLLRFLSFKNTGISKVPPQVKKLEHLQVLNLQGTSTGDGGLSDNVTTLKKLERLLCNRWVLPKGIGKMKALRELAPVRLEDGVEIAQELGELEQLEAITIEVAGSVSKEVRQALATSLSKMYSLRRCWITSDDQNLEFLHDLRTPPRRLREIRIDGRVGPCLPAWIGQLTHLVTFDMWCARLNGDQLLGVLFKLPCLKRIYLGHLYCVDRELVARTNYRFPSLVSLEVSAGWTEEPEEFRFEQGSTKRRD >Dexi5A01G0034130.1:cds pep primary_assembly:Fonio_CM05836:5A:36256962:36259162:-1 gene:Dexi5A01G0034130 transcript:Dexi5A01G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGALARILLRRAPTPRLARPFAAKARASRRSQEPELPSEEETDFAGGEVAAPTEGISKPLAEVLKELGKRVPDSLVKTRIEDNGFAIKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVVYRVTLHGIDAEVYREATGTASIDDTSYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >Dexi2B01G0034000.1:cds pep primary_assembly:Fonio_CM05836:2B:41333128:41336190:-1 gene:Dexi2B01G0034000 transcript:Dexi2B01G0034000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMWWPWPSWPWSWQGTALTAAAWLCLHVAVARLMEALWWRPRRLERHFARHGVRGPGYRFFFGSSIELIRLMVDASSRPAPPEAPHDVLPRVLAFYHHWRKLYGPMHLIWFGSTPRLVVSQPELIREVLLSRAEHFDRYDAHPLIRQFEGLGLSNLHGDEWARRRKILTPGFHTENLKLLVPFVGDTVQRMLEDRVFSGAGAGEVEVDVAEWYQRLPQDVMTLATFGRNYDEGSVVFQLQGEHGSYATEAHSKVFIPGYRFIPTRKNRRVWQLDREIKRLLGTFVNGLQSGDHRSGGRDHGRAGSMREFMSFMAPAMSVDEIIEESKNFFFAGKETLTSLLTWATVALAMHPEWQDRARREVVDVCGHRSLPTRDHLPRLKTVGMIINETLRLYPPAVAMIRKAKHDVDLGGCAVPAGTEIMMPIMGVHHDAEVWGADATDFNPGRFADDRDRPRQQMAFLPFGGGGRVCIGQNLALIVAKVALALVLQRCEVRPSPAYVHAPRVLMILNPQHGAPVIFRPL >Dexi6B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:6B:22157098:22161070:1 gene:Dexi6B01G0014640 transcript:Dexi6B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSEFLDLEAQDGIRMTWNVIPGTKQDATNCVIPVSAIFTPLKPNPAIPVLPYAPLRCRMCRSILNPFSIVDFVAKIWVCPFCFQRNHFPQHYSTISENNLPAELFPQFTTIEYVSTAEMGPVAPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVVEELQKDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKVQGILGPCTSLEKKSPLSSDTVVGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGPDSIGQSASNQFYFQFLTYYQHHEGQMRLRATTLSRKWELIAGFDQEAAAAVMARLVTYKMEAEVLHDTS >Dexi3B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:3B:6027766:6028020:1 gene:Dexi3B01G0008680 transcript:Dexi3B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQQAGSRREGARGWISLSGSRPSRWPGTKGGSTFSPARALPPEQRETDGRRERLELEWGGRVVGGIRYCLPLPPPPQLLRF >Dexi4B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:4B:1128693:1130307:1 gene:Dexi4B01G0001810 transcript:Dexi4B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGPSGFGSRTTAEEATAGDGDLRHVTAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEDARARLRDDCPGADIVVLPLDLSSLASVRRFVDRFLDLGLPLNLLVNNAGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLEKMAETARATGVEGRIVNVSSTIHSWFAGDDAVGYLDRVTRRKIPYDPTKAYALSKLANVLHTRALADRLREMNANVTANCVHPGIVRTRLIRDRDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGASSDEATKLWNFSENITAEKIQKTSTGGFRLLVQSSNADRGMALA >Dexi3A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:3A:11219491:11222636:1 gene:Dexi3A01G0015160 transcript:Dexi3A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGSHSHLDSFAPLDGAAAPEEHHPTGGAAEMVDYMLGQQGPPPPPPQSQVSFDKLSFSDVLQFADFGPKLALNQPAAASAREDVDDDDDGYFFRFQSLPSLPGTAPPPRGGADHEGSKQTTAEDGGTHDGGGGGVSESTTLVQQAGGGGRAEKGGEQGKSGRRKRPRTVKTNEEVESQRMTHIAVERNRRRQMNEYLRILRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDTPRPVVDAAGGGAPISTQQHHQLPQVPPPPPPPFFPPSLPFPVASAGDGDGAAAKIVLDLEAGGPDAGGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIKTIAALEDMQMSILHTNITTIEQTVLYSFNVKDK >Dexi1B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:1B:26366070:26377484:1 gene:Dexi1B01G0020280 transcript:Dexi1B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGNGSGDRALSGGHSSAWVALVTGGNRGIGLEICKQLASSGVMVVLTARDKRRGIEAVSALGSLGLSNVVFHQLEVSDPSSTARSADFIKDMFGKLDILVNNAGIVGTTTQIGDPETFWHEFFSGEELKKELNNIDNLSEKRLDELSELFLKDFKDGQLKPRGWPTDGGVAVVTGGNRGIGLEICKQLASSGVTVVLTARDVKKGAEAVSVLGTLGLSNIVFHQLDVGDPSSAAHLADFIKEKFGKLDILVNNAGISGTTSEVGNAETFRQEFFSGEELKQELNNINNLSEQRLDELSELFLKDFKDSQLARRGWPTEGGVAVVTGGNRGIGLEVCKQLASNGITVVLTARDQKRGAEAVSILGELGLSNIVFHQLDVSDPSSAVNNAAISGTISDIGNPETFRQEFFSGDELKQELNNIDNLSEHRLDELSELFLKDFKDGQLEGRGWPTEGGFIAYKVSKAIMNAYSRILAKEYPSLCINCVHPGFVQTDMSFQVGDLSVKEGARGALMMALAPKGGMTGGLLNRTEPAPFV >Dexi5B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:5B:7523254:7525562:1 gene:Dexi5B01G0010640 transcript:Dexi5B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKDKGPPPSIQDATERINKRGETVDDKIKKLDEELARYKDQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVSFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALESDMEFESAAVPSYLQPESDFDTDLNLPAAPTRPAAVPAGGQEDELGLPAVPRASLRS >Dexi5B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:5B:6394667:6396715:1 gene:Dexi5B01G0009380 transcript:Dexi5B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPARPAGPTPTKLVYFDDMWALRSAATVLAVHQEEGGRVAVVLDATVFHPQGGGQPADTGVISAAGARFLVEDVRAKDGVVFHYGRFDGAGEGCGHGFKEGESVSLEVDAERRSFNSRLHSAGHLLDICVHNVGLFQLEPGKGYHFPDGPFVEYKGAIPQDQIQDKKNELEREAQRLISEGAKVLVSIFPYEEAAKLCGGSLPSYISKVSPARTYLDDSTPRIVKFGEYPGGPCGGTHVADISNINSLKVIDIFLC >Dexi2B01G0032950.1:cds pep primary_assembly:Fonio_CM05836:2B:40689822:40693238:-1 gene:Dexi2B01G0032950 transcript:Dexi2B01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQYYEKAADAFREGNKKEVNYLIQEGKHCYQMARLANEKSAGEIIKSKKTESKNEFCLDLRTQDPGNVCNLLRLHLKQLANIPSFDYLKVIIGADDDSFKMGQRRRKVRFYQIIC >Dexi5A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:5A:24999534:24999815:-1 gene:Dexi5A01G0021130 transcript:Dexi5A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATPASSAADIVPLPPPLPVPEHEEVEGDADEQPRVFTAVAGGMLAVAPMSTGRLIIRIHVCFGNALCSAYTMAGPTAPTRKNQLSAP >Dexi9B01G0042620.1:cds pep primary_assembly:Fonio_CM05836:9B:42836830:42845815:1 gene:Dexi9B01G0042620 transcript:Dexi9B01G0042620.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGALFGARAGMVPNGLLPDASAGVARRFDPERWAVAEGRAAELIARIQPNVDSEGRRLSVYHYVQRLIMNCFSCQVFTFGSVPLKAYLPDGDIDVTVFSNSEELKEIWANLVRDALEHEEKSENAEFHVKEVQYIQAEVKIIKCLVENIAVDISFNQVGGLCTLCFLEEIDHLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLILYIFHIYNSSFTGPLEVLYRFLEFFSNFDWEKFCLSLRGPVPISSLPDMTAESPRMDSGELLLSNSFLDTCSSVYGVVPRTQENQGQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFAFGAKRLAKLLECPKEDLIAGLNQFFTNTWLRYGSGSRPDVPIDALYLKVVPSGVSNSNRSVTAFKKKAERNDSVLMQGERDDCSRRRNSMVPEVSSNHSTKSSQDESVSYVNSSSHPSAKEASDSNSVSITYRDDNGFVMNGELPSFLDRPPTQYVHNPAFPPNIEDGSESEKPVAPNGVGYSRPFDSEARDPHIYDIDGMEHSPSGIHGDPLERQMEFTLENNGVDDGTSRKVNAEYSKRSGYVNVPSSHDSSSIRKAVDESSWDEKTLNTTRSSRDKWGKRPAFAAPATTTHSKTGWQMGNATAHLPTEVENGPRNEAAAPITNEASEIVAASDSFSTKSRTVQVPNDFDPSQISMPNPVVAPFLIGSPQQRQANSSGLTFGPTDPPVPFVVLPYVPGNSDGSGPQVERSEEIDQLSGNITGQSLSSVNDAQQPDSSATSAVPCSTMTEPSGEHKPDILNSDFISHWHNLQYGRFCQNARHVGPVLYPFPIPPMYFQGHAPWYGPGTPAAPNVNWTQMVGHGQRVFPMVPLQPGMERGTGVLQHYGEGASRYRGGTGTYFPNPV >Dexi9A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:9A:6694608:6697995:1 gene:Dexi9A01G0010890 transcript:Dexi9A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPERVEAAALEQGLLAPEVVVASLRKWNVHVPEDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATVTDVDVKTAHFTNPWRICTVTQVEELKILLRMFPIWATNIVFSAVYAQMSTMFVEQGMVLDPSLGSFKVPPASLSTFDTLSVILCVPLYDYVLVPIARRFTGNERGFTEVQRMGIGLVISIIAMAAAAILEINRLAIAQDLHLVDQNVPVPLSIFWQIPQYFLIGLAEVFTFIGALEFFYDQSPDAMRSLCSALQLLTTAFGNYLSTFILTMVAYFTTRGGNPGWIPDNLNKGHLDYFFWLLAGLSFLNLVIYVICAGKYKSKKAA >Dexi4A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:4A:8806922:8808067:1 gene:Dexi4A01G0010940 transcript:Dexi4A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFNGEWSVSEIGTVKSLIGRHNNNKYASDLNKKHTDIMDEIHAMFPLKEKRQVTNLYLELMVEMTHMMQRGNQHVAASSNHMDKNFEMPEENATMGNMEVLGGSLMEDMGGMRKDGFPQRQPTPRKEKQQSPRFWTKQEHRNFLYGLRAYGRGNWKNISKDFVPSKTPIQISSHAQKYYKRLENPNKKQRYSINDVGLYDAEPWVQNNASSWEGFAFAGGSYNQKQYSSGGQETTMNNQTQVMTPNLHNTNQGNISHANTLPSGQQQQVGTNSSSLSPLMEELGSDMSWTSDQHGDYLANQWMMNMHMD >Dexi9A01G0038790.1:cds pep primary_assembly:Fonio_CM05836:9A:42927808:42930534:1 gene:Dexi9A01G0038790 transcript:Dexi9A01G0038790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLSECCGLAPLRLRAGRGAIAAQSSAPAAALSASTALPPRGPAAIHRDWALRVSAPTRLTSVVEEEGKGTASPLLGEEADAGGEFFDPGAAPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVLVVLGLAAAAARIDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNVKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHFKRSPGKAGSHFDPSSELFQPNEKKDIITSTASWLTMVGVLAGLTFVMGPIQMLKLYAVPYLIWSHTCTIMAMKTSFLGTAESYLRGGLTTLDRDYGWINNVHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPKKSGPLPLHLFGVLSESLKQDHYVSDTGDVVYYQTDKKMT >Dexi9A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:9A:12278847:12279236:1 gene:Dexi9A01G0017270 transcript:Dexi9A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRRRGWPRRPEAGTYGAPRCAGGRLTGAAYGRGATVTGVDLCSSLGRSPAAQGLDGMAGGGYLCSSATMRQAGGTAGDSHRGGTRGINGSWHRRGPRHLAGAARDGKAERPEKLEPNGPRSAKGIF >Dexi9A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:9A:3268005:3281159:1 gene:Dexi9A01G0005840 transcript:Dexi9A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAAAAAQPATVVLAVNGRRYEAAGVEPSMTLLEFLRTQTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLHSVDRCSVTTTEGIGNTKDGYHSVQERLAGFHASQCGFCTPGMCMSMFSALVKANKKSERPAPPAGFSKLTVSEAERAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGTEPADVNKLPGYNSGAVCTFPEFLKSEIKSSVDQANVAPVTVSDDNGWYHPKSIEELYRLFDSNWFDENSVKIVASNTGSGVYKDQDLYDKYIDIKGIPELSVINRNSKGIELGAVVSISKVIEVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMAQRLPFASDIATVLLAAGSVITIQVASKRICLTLEEFLQQPPCDHRTLLLSIFVPDWASDDIAFETFRAAPRPFGNAVSYVNSAFLARTSSDHLIEEICLAFGAYGVEHATRVRKVENFLKGKLLSPSVILEAVRLLKVTVSPSKGTAHPEYRISLSVSFLFNFLSSLVNSSNVPVKVDTLNASYTNGITNGSTEYSPVEHLKVDSNDLPIRSRQEMVFSDEYKPVGKPIKKAGAELQASGEAIYVDDIPAPKDCLYGAFIYSTHPHAQVKGIKFKSSLASEKVITVITAKDIPSGGENVGSSFLTFGDEALFADPVAEFAGQNIGVVIAETQRYAYMAAKQAVIEYSSENLEPPILTIEDAIQRNSYIQVPPFVAPKPVGNYIQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQMPELTQNLVARCLAIPFHNVRVICRRVGGGFGGKAMKATHIACACAIAAFKLRRPVKMYLDRKTDMIMAGGRHPVKVKYSVGFKSDGKITALHLDLGINAGISPDASPVMPHAIIGALKKYNWGNLAFDTKVCKTNVTSKSAMRAPGDVQGSFIAEAIIEHVASVLSVDTNTIRKKNLHDFKSLAVFYGESAGEASTYSLVSMFDKLALSPDYQRRAEMIEHFNNSNKWKKRGISCVPGTYEVSLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMAAFGLGQLCHDGGKCLLDKVRVIQADTLSMIQGGMTAGSTTSETSCEAVRKSCAVLVKRLKPIKESLEANASPVKWSALIAKAIMVSVNLSAQAYWTPDPSFTSYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQIEGSFVQGVGFFTNEDYATNSDGLVIHDGTWTYKIPTVDTIPKQFNVEMFNSARDQKRVLSSKASGEPPLVLASSVHCAMREAIRAARKEFSVCTGPANSTAIFQMDVPATMPIVKELCGLDVVERYLESISATDPNTAKA >Dexi8B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:8B:7541438:7543873:-1 gene:Dexi8B01G0006480 transcript:Dexi8B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKPYITAIIVQMIYTGMFVVSKAAFDKGMNTFVFIFYRQAASSLLLLPVAFFLESMKLTSATVASATFNSQPVVTFCLALLLRMEVVKPRSSSGIAKVTGVVLCLAGVLVIALYIGPGISPINHHRVFAAHVLSAPSRVTWIKGTFLMVLANMSWSLWIVKQATVLKEYPNKMLMTLSQCVFSTVQSFIVEVVAERDFSKWKLHLDISLLAILYTGFMVNGCPFIYKHGEIVHLGSILGGLLLVRGLYCVLWGKSKENKTTAPCNKVNAMDIVTQDEQEHEQAKEGKKGMAEQKEATTTIMVEQV >Dexi2A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:2A:24129425:24132889:1 gene:Dexi2A01G0014500 transcript:Dexi2A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTLFHLKSHLQVQKRVQIRMEALQKYIDSILESACKMVTEQFASSGFSISDPDLPEIFPGGIMCGPTDTLSSSVFNQLSVSSIDSHSPGGKPSPSGIEGPPMLLHKSPELKRRSS >Dexi7B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:7B:1440402:1440773:-1 gene:Dexi7B01G0000660 transcript:Dexi7B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRATRQTDIYAFAVLVLELVTGKKNRDVPADDGHISDWVWRLLGEGRLLDTEAIVADEAERLLLLGLACTNPKPSNRPSMAVAVQVITKLAPPPDVPPLRPVFVWPPREWRSSLDS >Dexi2B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:2B:13057735:13060448:1 gene:Dexi2B01G0011440 transcript:Dexi2B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARAISPAAAAPPPLPRRLRLARCTGGAAVTETATAGPARVATVSNRGDSLAICRVLNGMWQTSGGWGRIDRDDAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINKVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWDATLIQLVMLWWDYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLKKVSLKHGVPIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEISKKGRNLMDIIGDCGDEYRA >Dexi4B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:4B:11406549:11407340:1 gene:Dexi4B01G0013160 transcript:Dexi4B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNSDTTQSSHSHTSPPALHLSTGIVGFLARRMSMTTRRSSQHQHPGVPPLDSPGSSTGSVESTPWGSFIHHHPTLPLHSNDTMEMLLPDVAGMAVAGQEGHPGSVTEEGEAAAGHGGRPYRGVRKRPWGKFAAEIRDSMRGGARVWLGTFDSAEAAALAYDQAAFAVRGAAAVLNFPVERVRRSMEGMGMEDGPSPVVALKRRNSMRTRRLRPASRRCKAGGRSEVMELEDLGAEYLEELLGATDEQRQSGSWCRSHHSI >Dexi3A01G0009770.1:cds pep primary_assembly:Fonio_CM05836:3A:6890891:6892069:1 gene:Dexi3A01G0009770 transcript:Dexi3A01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQRYRLATSSSMDDDATAPVHWATTQYIAEYLIGNPAQQAETIIDTGSNLSTTSQAVSCTDTACGLGSETQCSSDDGTTRRWLRAGTISGVLDTEEFTFGSSESEATTTLAFGCITSSSLTQGSLDGASGIIGLGRGGLSIVSQLSDSKFGYCLTPYFTDTVGPSHLFVGSSAGLSPNNAPVTSVPFVPNPTDYPYDAFYYLPLTGITAGATTLDVPEDVFELREVGPGQWAGTLIDSGSPFTSLVDVAYQALEDELTRQLGDSLVQPPAQGFDLCVARMDLGRVVPSLVLHFGSGGGDLVVPPENYWGPVDDDTACMLVLESSDSTTTIGNFMQQNKHLLYDLANAVLSFQTADCSSM >Dexi9B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:9B:8855519:8859996:-1 gene:Dexi9B01G0013120 transcript:Dexi9B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALARRGAAQLAAVARGRGMCSASAPAAAAGRAAALSSEELMRMERDCSAHNYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKKIPKNEALLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLKKIFEEHGDHICGFLFEPIQGEAGVVIPPDGYLKAVRDLCSKHNILMIADEIQTGIARTGRMLACDWEGIRPDMVILGKALGAGVVPVSAVLADKDIMLCIRPGEHGSTFGGNPLASAVAVASLKAVRDEGLVERAAKLGQEFRDQLQKVQQKFPQIIREVRGRGLLNAVDLNNEALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISSEELAEASKALSDVLEHDLPQMQKVIKKPESEAEKPVCDRCGRDLYG >Dexi5A01G0031210.1:cds pep primary_assembly:Fonio_CM05836:5A:34015820:34016035:-1 gene:Dexi5A01G0031210 transcript:Dexi5A01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFLSSRSTDNPVHDVGLDANGDASGVGVAGPGLTGGVRSASTCRRHTRSSSMQCAAATQCCWDGSSTAV >Dexi9A01G0027060.1:cds pep primary_assembly:Fonio_CM05836:9A:31391022:31393038:-1 gene:Dexi9A01G0027060 transcript:Dexi9A01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLILAAVALLAPGASSQDYPWLVCDYAARNFTPTNSRYLANINLIGAALPTNASSSPELFATAAIGAAPDKVWGLALCRGDANASYCLSCLVQASRDLPNACPYNEDAAIYYDQCMLHYSPTGFPAIAVDDSSTTYESFDYGDVSLEESTRFNGVRTVLMNATADYAAHNSTRRYAAGEADMDLPNFPKLYSWAQCTPDLRPARCRRCLAGVIALLPQLYTNSSVGMVLGVRCSVRYQTDPFIDGPMMVRLGAAPPPKAAAPAQAPAPAPAIGPAVVAPAPAAASGADTDYTKEAEDMESVDSMLMDISTLRAATGDFAESNKLGEGEFGAVYKGVLPDGDEIAVKRMSKSSSQGVEELKNELAVVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLNLFIYGTILSAASLEASSISTKTLSSKLSTAISRPATSCRIPI >Dexi7B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:7B:18950642:18952011:-1 gene:Dexi7B01G0012070 transcript:Dexi7B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGHGTLSLDSFSASAAALVKRWKEIEVDDSLPDWTWKPCCKTGGPSELEGYLALEGVYRDCGGSQEQIQENGNSDGADIVACDTWVQSSSYNVHVYDFHVVYSYSYKVPMLYFQAYRSDGQLLTLDEIKQDLPSDSLKVLSESKWTFITREEHPYLCRPWFTLHPCATSDWMKLLLEEGKVAYEEQSLRYLPAWLSVVGQAVGLKIPLELHCRS >Dexi7A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:7A:3249333:3250358:1 gene:Dexi7A01G0001280 transcript:Dexi7A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRRSSAILFAAVLACATAALAARDLGDQAMAARHEQWMAKYGRVYSDAAEKARRFEVFKANVAFIESVNAGNHKFWLEANQFADLTDVEFRATRTGYRPPSKPRTKTTPFRYANVSIDDLPDSIDWRTKGAVTPIKDQGECGCCWAFSTVASMEGIVKLSTGKLISLSEQELVDCDVNGMDQGCEGGEMDDAFEFIIDNGGLTTESNYPYTGSDGTCNSNKASNDAASIKGYEDVPANDEASLRKAVANQPVSVAVDGGDNLFRFYKGGVLSGACGTELDHGIAAVGYGVTSEGTKYWMMKNSWGTSWGEGGFIRMERDIADEEGLCGLAMQPSYPTA >Dexi6A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:6A:18247304:18247522:-1 gene:Dexi6A01G0012040 transcript:Dexi6A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSQPPTMAWCPRSMDIGSGTMVVMSVPATVHGEGEPARKRKRRPALNAVGALPREAPTTVKDTCSSHLLP >Dexi5A01G0037950.1:cds pep primary_assembly:Fonio_CM05836:5A:39099660:39101211:1 gene:Dexi5A01G0037950 transcript:Dexi5A01G0037950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPSPTVHDSTKHFPLPLDSYLRLALMASEPIECQVVVLKVSIHCEGCKKKVKKVLQNINGVFRCEIDARSNKVMVTASTKLDPYVIVAKLRKSGKQAELWPEQPIQPPPPPSGVSQSEEPKNQADEQSKPNEPAEKSGADNAEAGPSNPQPSPEPKQSTAGETAKPAQESKEANANSGGEAPAATGDHPNEAKGKAEQHPPEMEKKPVDARVTVEYDRGIAGGYGSHMPPPQHVVMSYNQARPSMSASYYAPAPAPAPAPAPMARPGPSQGYIDEHYTPSYYSRPLPPSYEPYYYNPPPQPSPYRYQYDQAAPSSDYYHYSAPPQRSAFSPPRDGYGDMFNDENANSCSVM >Dexi1B01G0020040.1:cds pep primary_assembly:Fonio_CM05836:1B:26238798:26240824:-1 gene:Dexi1B01G0020040 transcript:Dexi1B01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKKPPATPAGGAKKPGKVAAAIATDDKGKKTAQQPKRAAKATGEAADAGKAAVFVVRAKDAAPTGGEEKKKRPGSHEPAAEKPLPVVVVPSAPVRTSSCTKDEVDAILIQCGRLSRSSSGTGRAASSETGGHRRRRSESKRSYDFDQDARSGGADEDWERQGAAVSRPSPHRGSPQRKRSGSRERTGGGGGSGSRRASRSPGRRTDGAAGSAAAAGSVGGERARQQQPGKMVSVPAREKGRAPSPAAAAASGKRCASPRSSSPARMVVGNENVVCGLVTGPTPALSRSSSRKAEQSPYRRNPMAELDENSLRNNSNHNAKPQKKSIENAIAATPKKKNTERGKESTVAPSCRSGMEKKTEITTEEDATVVAASETRAPSSKSANRTASVVAESLSQRQPVCRSRRASRDFDQNPGGSYTTTQLLEDIHSYHQQSTTSIAVPATTPATPSFSLPACVAKACSIVEAVADLNSTSSENRTYEYEPGFSADDKGSVNAGSVEPSAAATTARKHAQPAREFRAEAEPQESAGSNSVVSGQPWTPSWEPTSVESTERTWSTGDEVVEQSGSYGARCSPMNNRARQSKQWSSQMEPSGRSRVGSGNGNTLHRGRSAHRGSSSSVASGRSGVRVVSAAS >Dexi2B01G0030960.1:cds pep primary_assembly:Fonio_CM05836:2B:39183131:39184371:-1 gene:Dexi2B01G0030960 transcript:Dexi2B01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEADVETMESDYEAYIASRYRDDWISVWSSTCGSFEDTTKLSSMRFTDKPAPRYGAMPIGTLQVYSVRVEGIRRGLQWSIDVFGIVAVRDSIDFNRNIIFSRTRDNCQTLTEEDRNLALEGPTRAVLWQDQLTFEVKLTVKGATESEDKDLSFLIVPFRCAHASNSRHFFRYNTSKLSTLRLSLGHILRSVEATIFVRVSEGSWPDGSRAQFAAFAPGIRPRFVDRSKRVAGVDHKKILLIDSGSRGVPVAGEDGEIMLSHRVVTVETTGKLIVCVRAWEEASGCVARNGVVKDESVFTPKEAGRSSGLLNVGSCKMEVTVTWSLVSIDG >Dexi8A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:8A:30513046:30513660:1 gene:Dexi8A01G0018160 transcript:Dexi8A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGSLTSAAAYKSPAFIALVAVLCVALALLLHHLVVTACCRASRSGGGGGGGAERRRRRQGHHRHRHGGNNNGEQQQDEDEDEEVSISVEVSAATSRTHLVKAASASVTSSPAVVLPYRKEEPWKESTCPVCLADFDDGEVVRVLPECMHYFHAECIDTWLRGSTSCPMCRAETTPTPSPGSLHHQLDLSVVSVSLEEILVRT >Dexi5B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:5B:6648979:6659165:-1 gene:Dexi5B01G0009620 transcript:Dexi5B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSVLRGRIRYLRRPRPLTMPTPLFLSRNPNPSPSSTNPHLSSDPRSAAMSTSGVYVPPMRRLRSVIASTNGSLAPPPPAAAQAQQTARTPEWRMDERSPSPPSPPQPRRRDISPLPRPPRPEHFRQQSAGYARYAAYDDFSEDDSDREMDRTSVSSKGASTLDNVDEWKWKLHMLLRNDDEQEIVSRERKDRRDFEQLAQLADRMGLHSRQYSKVVVFSKVPLPNYRSDLDDKRPQREVSIPAGLQREVDALLEDYLARKRTNSGNFPSAAFSRSSSTDSFATDEGFFDQQDNQTSTNAVLERIQRRKSLQLRNQQAAWQDSVLASCPPIDFSFFQESNDGQSMMEFRRSLPAYKEKQTLLEAIAQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRNHFLEDILEFTGHRLTPYNQIDDYGQEKSWKMQKQAISKRKSQIASVVEDAVEAADLRNYSPRTRDSLSCWNPDSIGFNLIENVLCHICQKERPGAVLVFMTGWDDINALKEQLHANPLLGDPTKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPRSVYDAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPEALSVQNAIDYLKVIGAFDRNEELTVLGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDNKEKSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMNRDLASTYLSLKNELEDLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCSGRFVYGRQELRSKKAKTMLSSSMNSGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKSSLFRSTVEFNGMQFVGQPCANKKLAEKDAAAEALNWLTGDSGGATTDSRDTRNADPISVLTKPPRRRRHSHRRRS >Dexi5A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:5A:21722978:21724103:-1 gene:Dexi5A01G0018200 transcript:Dexi5A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLILFWLKNKIW >Dexi3A01G0028530.1:cds pep primary_assembly:Fonio_CM05836:3A:29348753:29363760:1 gene:Dexi3A01G0028530 transcript:Dexi3A01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPLPLAWPRGTRGHPQAALDAARQAVGAVAHQVAETKHSLLVAGQQPIVDFTDTAATSSLQAPPIGSVSRHETPVSDVCEAFKVARGMIQALQENAGRFASMVSAFCQRLGWSDLEGLVGKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPAAIAEASIPEIAKALFGSSTWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGLEVPQFTAPPLPAIEDSPTRDTMVSPCGDQAKCNKPPPPELRQAPPPLSSFPPLPSLPLSYAAVAAAAGAGVGAGDAAAAAAAAGAGGGATSAAAVAAAAAAAAVAGADGADPRAAAAASRPAAPDGQDDAVDGQDDALLPSGQLPLLPPPLLQPPDGWAVNVLADMELWGIGADMDACLRARHIIIKKLKELEKEAYRLAGKSFSLNATADIADILYTHLKLPVPKGCEKGKLHPSTDKQSLDHLRDQHPIVPVIKEHRTLAKLLNGTLGSICSRAQLCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHLVEFVTGKSDNDYSGVPGVDHHQINARNFFIPTQENWLLVTADYSQIELRLMAHFSKDPSLIELLSKPDGDVFTMIASRWVGKEEALISSKERENTKRFIYGILYGMGANTLAEQLECSTEEAAQKIRSFKKYFPGVSSWLHEAVASCRQKGYVETLMGRRRFLAKIMAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIRVHSVITNRTREVDSSDEVARNFAEIGGHCHLILQVFYDADMILIFIYAVLLCIPEKKYWFSLIFCLIAFKYR >Dexi7A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:7A:29417384:29417992:-1 gene:Dexi7A01G0020670 transcript:Dexi7A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPGFLRGYNDDRSFDLMTAKRYFPATPEGNVGISEHEDGNCITFIFQDGVGGLEVLKDGHWIPAEPVDGSIVVNIGDVLSNDKMKSATHRLVRKTVARYSFSFFFSLPGDKWVEPLPEFTAKIGEAPRYRRFLYKEYRELLVRNKTHPPARPEDIVRVTHYAI >Dexi3B01G0032540.1:cds pep primary_assembly:Fonio_CM05836:3B:34925772:34926832:-1 gene:Dexi3B01G0032540 transcript:Dexi3B01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYSSNMNVFFQDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSIVFVRAAKQNRKSRVVSLKLEP >Dexi1B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:1B:10713819:10714849:-1 gene:Dexi1B01G0010890 transcript:Dexi1B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALLGWAALAVAAHGVTTSSSTSSSSSPPTVVWQRAHATFYGGADASGTMGGACGYGNLYAQGYGSRTAALSTVLFNDGASCGQCYKIACDRKLEPTWCKPGVTVTVTATNFCPPNLELPDGGWCNSQRPHFDMAQPAWEKIGVASRGIIPVIYQRVPCVRRGGVRFMINGHDYFNLVLVTNVAGAGSIKSMDVKSYDSADWMPMARNWGANWHSMAYLSGKMLSFRVTITDGQTLVFTNVVPAGWTFGMTFASNLQFKPSP >Dexi5A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:5A:6996717:7001203:-1 gene:Dexi5A01G0009290 transcript:Dexi5A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSPDALGYGAGEQNDPSLVILTGEECSGEKG >Dexi9A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:9A:4346050:4346685:-1 gene:Dexi9A01G0007480 transcript:Dexi9A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTTAFIPLEDPRAEMSVTIEPSQARGGRSPSSGRFRLDRGSASSSLRARLELATPGTTPGALRPINSGHDDAPLQSKRRGFTTPRHRPRPGEDTGQIHSQQWTSERNATQVGPGSDTMPTTPLTLLASDALPSPSKETTEVRSGRRAQVATLEAVRCHERSITSTAAMPSAGVGRRDSRSHSARRGQHSAPRNISTTNTCTHALPLGL >Dexi5B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:5B:627550:630406:-1 gene:Dexi5B01G0000990 transcript:Dexi5B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEDMYLPDTIDVGGAGRQQRSSGCEC >Dexi3A01G0034590.1:cds pep primary_assembly:Fonio_CM05836:3A:39714007:39717313:-1 gene:Dexi3A01G0034590 transcript:Dexi3A01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLGFEETELRLGLPGGGGGGDGEGARMMSSSGKRGFAETIDLKLKLEPAAVVTEEEAVDAAAAAAKDAAATEETSPAAGKMKRSPSQSSVVTAAAVQSDPAEKPRAPKAQVVGWPPVRSFRKNIMSVQSEKVAGGSKDAADGDKSYPAAGGAAAFVKVSLDGAPYLRKVDLKMYKSYQELSKALEKMFSSFTIGNCGSQGMNGMNESKLVDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLG >Dexi6A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:6A:322494:323596:1 gene:Dexi6A01G0000550 transcript:Dexi6A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLHDLLRRLCDEASAAVPPRAAVVFVLATTTLFFLVLLPLLFRHLTASTASPKDDSLLSKLPSPPNKLPIIGHLHLMGPFPPISLAALAAKHSPDLMLLRLGTVPTIVVSSPRAAEAILRTHDHIFSSRPKSMVAEAIVYGQCDSCYSPYGDHFRNVRKVVTVHLLSSNKVMSYRPAREEEVRLVVAKLRLAAVEHAVVDMSEVLNSFKFFREEGRNKMFCDLIDTTARLFGGFNLEDYFPRLARVGPIRKMLCANARKTSQRWDKLIEEIIGEHEARAAQRHHEEEDDSDFIDVLLSRQHEYGLTRDHIKAILIMSRHV >Dexi6A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:6A:16508937:16512856:-1 gene:Dexi6A01G0011550 transcript:Dexi6A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPRLPPCLQGPTVRAEYGDATTTIDPSCAHVVAQAFPNTYGQPLVSFVGPADAEAAAVEERAPIRMGVVFSGRQSPGGHNVVWGLHDAIKAYNPKSVLYGFVGGTEGLFSNKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVNYAMTTCRSLNLDGLVIIGGVTSNSDAAQLAETLIQNNCQTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEIINKICDGVQARAELGKYHGVLLIPEGLIESIPEMYALIQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRREIDTEQLLAHLVETEMIKRTKEGRYKGRKFSSVCHFFGYQARGSIPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGRPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIEILKECLSKVRTIVKPGCSREILKAAISSMLSVTDVLTVMSHPLNAELPLYHFK >Dexi6A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:6A:21218828:21220494:1 gene:Dexi6A01G0013880 transcript:Dexi6A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSVVVLPIHGASSVGARPRVVPVQAFWRTPEQPRRRRVASAVAPARCSKMYVPGFGEGSPEKKAAINLQHFFNYIAVRIVLSQLESYNREAYYELKEFIGRTSLNDAEIFCKKLIRESPGMKGLAMRILEVRSAYVKSDFEWDNLKRLSFEMVDEANTKLMRDYVLEVSHIEDENYNIK >Dexi2B01G0027490.1:cds pep primary_assembly:Fonio_CM05836:2B:36401612:36405637:1 gene:Dexi2B01G0027490 transcript:Dexi2B01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSADEPARHKKAKKSKPDKEEKKLTKRSQKSPATEEDAPVPDASERKKRKHKGEREEKHDGKKSREKGKREGKDAELEEEAEVRRGKKMKRAMEDERFAAARTDPRFRPMRKKEAKVALDSRFSSMLTDPRFASSAAPVDKRGRRRKKRENPLLHYYLNQEEEEEGGKEKEKQEKAKLVEEEDEELEDQEEEDSSSSDDDEDEDMDDDDEYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSIYPSEFGLKCMEIESTQGPAALVNANADDKGSEDEEYDKDEEEYNTDVEENTDDDNDKEEVDSDKENNRLRAYELSRLKYYYAVVVCDSSATANHLYMTLDGTEFLKTANVFDLQFIPDSREFKHPARDVATEAPPSYKEPDFETRALQHSRVKLTWDEDEPELSLLQLDELNMYLASDDSASDDDGVDNSDDESLPNVGAKRKLTKEERLAILLQGDKPDEEQTDDQDMEITFNTELEDLSKRVQQRKNNEEKTVWEKHQEKMKEKRRARKRGLKDEGDNDDYSSEDEPDEDDDFFAAEQSDEESKPSKSKKQKAKAKDKGKRKGKDDSTEEHLEQAATKEELELLVAGDLDTANGAKGYNLKRKKGKKGKKGKEESVEDKLPDIDLSKDERFSEMFRSHLFALDPTDPQYKRSAAFMRKQTGKPGANARIAEGSSLESTLPPDDAAAKINDYQKPDEASTQKLQILSTVKSLKRNLGAFKKASTGPR >Dexi5A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:5A:7281893:7282938:1 gene:Dexi5A01G0009720 transcript:Dexi5A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLLRRSRRLAVIAVVLALHIATAVAQSPATPAAPTTPAAPTTPAAPTTPAAPTTPAAPTTPATPAAPTTPATPTTPAAPTTPATPTTPAAPTTPVTPTTPATPAPTATPATPANPPPTPATPAPTTTPIAPAKPPPAPTTQAPTVAPVPPAKPPPAVAPAKPPPKATPPAVLPPAAAPPPTTATPPPAEAPATLPPAKAPATPPPVAEAPATLPPAEAPSKGKNKHKRKKKQHGKKEAPAEAPQPLSPPAPAAPSPADLEDVSGPAPSAFDVNASSRQHQNWGVVVLQTAMAALLLSLAW >Dexi2A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:2A:27669321:27677393:-1 gene:Dexi2A01G0016140 transcript:Dexi2A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKLAVFLTVLSFVAFVVHSCEPNCPTPAPPVSPSPPAVPTPPSGSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAALCLCTAIKANILGINVNADIDQARRKIVAMTPSKLAVFLTVLSFVAFVVHSCEPNCPTPAPPVSPSPPAVPTPPSGSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAALCLCTAIKANILGINVNADIDVSILLNHCGKTCPADFTCPSN >Dexi1B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:1B:23510662:23510976:1 gene:Dexi1B01G0017130 transcript:Dexi1B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSRLLDLETEDVLFVYGDCISVATHAIVFWPVFFLAAALLLHLVAPFPHAAAVCAGLYGAYCFFLDRAALPTSSKSSFFAVGGAHASTQRMMAGYTIEQDS >Dexi1B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:1B:9417963:9418712:1 gene:Dexi1B01G0010030 transcript:Dexi1B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPAAVREMQKDLEVQANALSKIQKDISKNHQVRKQYTIQVGENELELELLDDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEDKQNSKKESK >Dexi1A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:1A:23119159:23119692:1 gene:Dexi1A01G0016140 transcript:Dexi1A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKSLLVVAVAFATLLAADATVETTCKAAASVDPRVDYGFCVSELSKNRDSPGADASGLAKVAANLGVNNAGGAVRDADSLLLARPPDARKRAALGQCRRLYFDAELAFAGAYDEINAREYAAGKEMAAEAVALARRCDDVFAEAGIPSPLAWRGVYAARIGAVCTAIAGLINIK >Dexi6B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:6B:18966624:18968038:-1 gene:Dexi6B01G0011700 transcript:Dexi6B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEQRRTPTPPRHLLVVSVSSTPPLVPPSPTGSPPPECSLQLTIYDTFWFPIPPVERLFLYRLTPNTDRPGDGVAFTVAEYNGGGADDSIFDGVATDDPRVVTGIAPLVPARRARPAGDAHAPARAPPAVGVAVHHAAVDGSASTHFLHSWAVAAACKHPQSPQPVIDRSLLPGALRHEMPAGQLLATFTLSRDDIERVKAAVAAKAARRGVTQLPQCTSLVATLGFVWSCHYRAKEATSTTTTTDGGGRICLLFSIDHRLRMNTPLHSNYLGNCVGPALAVAPKAALAGAGKGGLLAACAIDEAVSPHGRVDGQVEGGRGAADAMGVLPVAGSPRFHVYEMDLGFGTPAKVDIVSVARTGALAVAESRRGDGRMEVGVPLPPDDMERFRICFADAIAGLHARRVDMIDREAA >Dexi1A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:1A:995229:999367:1 gene:Dexi1A01G0001510 transcript:Dexi1A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAGKDAAGGLPARRLGAVLAPLLLFLAAALSFPSTLRLPLLLSTAAPPYYYSLLGSGGAAPRRPQPPPPRVAVCLVGGARRFEVTGPSIARHVLGGLGNLKGSSSAEVDVFLHSPLDADAYKLSLLGRGAAAAAPRVRIAGVRVFRPEPVEETPASVQVLTAANSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYAAVLRTRVDGFWSAPLHLDDLLLPNSAAAAANSSSSSSSSYYIVPEGSRFGGLNDRLGYGDRDATTAALSRLTLLPTLAAAGHHGLNSESAFLAQLDAANVTAVERRFPFCVLSDRSYTFPPTPGYGVPVASVASAGPLSGAKCRPCRRPACGGECAARSVDALEDGWSWIEHRNGTAVELCDASGPWEDGWEAVFDEVVGEEDAAERRRVVTMGAKECVDEMEAFRERAEVWDAPSAVEICRIGIRARMAAASSAGGED >Dexi7B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:7B:25010009:25013161:-1 gene:Dexi7B01G0019540 transcript:Dexi7B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSDQFLAEVDGVLRSTLVDKSSRHSFTDQLTQMAQNDYLLNSRHEALGSTGKKKCEVTRRRNDLETNKRKKARKGQSDETEGGPGGELNVTPGSIQTESRNTSNQFIPDQLMQGHYVLGHNFGLGISQNLHDNLNQFGQEGSVSTLPQQPFPGNAQLTQVARLLAAGAPTSLSVARSPFSFFVVLLCGDLPSGCHPEAATQSRACVWPLVPPLPFAAAWVAEHHHAMTIDKHVVWCLLK >Dexi2B01G0034150.1:cds pep primary_assembly:Fonio_CM05836:2B:41420526:41424773:-1 gene:Dexi2B01G0034150 transcript:Dexi2B01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRVCRGGPSSSPAGAAAGRPFPPLAASSSSAASPSSAPSETASTSVTKTVNGSHHFKIDGYSLSKGIGVGKYIASESFSVGGFDWAIYFYPDGKSAEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLESGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMAQHIGQLLTSGKRTDITFEVDGEMFPAHKVVLSARSPVFRAQLFGPMKDKNMKCIKIEDMEAPVFKALLHFMYWDELPDIEELTGVNTTWVSTLMAQHLLAAADPVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVTPCLYSTEVLDGGDANGRRVKPRI >Dexi9A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:9A:16472738:16476146:-1 gene:Dexi9A01G0021620 transcript:Dexi9A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGPAASAYKGQSWSREKEEYLSSRGLRNATYAINATELQEDIFGPLVPCSFQEIVGASSNQPAPNHFGIHNGVAYQTGIQSVDLNHMVGVLHFDGASKGNPGKAGAGAVLMTEDGRVISRLCEGLGVVTNNVAEYRGLILGLKYAIRHGFKRIKVHGDSQLVCNQVNGIWQTKNQNMMELCNEVRRLKQNFLSFEINHVRREWNAAADRQANVGITLASGAVSEERGDI >Dexi5A01G0036130.1:cds pep primary_assembly:Fonio_CM05836:5A:37791821:37795738:1 gene:Dexi5A01G0036130 transcript:Dexi5A01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKPHAWSAWKARTRRHAPPSLPTEASPPASTFAAPREGGHRSPRAEATMKLTCLSTTGGGGSYHSPASHLLELEGLRFLLDCPIDLTALADFSPVPLTGDAGGLIRAVPRYLSPAAAAAAKVGGIDAVLVSSATGMLGLPFLARLPGFAHTKIYVTEVAARTGKLMMGELVEMHREFVSPGNIEECMQKIQPVKYGEEVCFNGIFTLKASSSGLELGNSVWTIKGPRASITYLPSSVFVSAHALDFDYSSLKENDVILFSDLSSLNDMDVDNDKLDEHAMDETEHSLCRRSVLRDDGADVDEKIAFLCNNDDIKEEIERISYICSCIIDAIKSGGSVLIPIGRLGVILLLLELISEMLHSSSIKVPIFMVSETAEEIIAFTNALPEWLCKSRQEKLFSGEALFDHVDLLKEGKLFLFPHLHSKDLIAAWKEPCIVLCPHWSLRLGPAVHLLRRWHADKRCLLVLEQGSDAELCLKPFMPLAIQVLECSFLSGLRTEKIDTLLGVLKPKFVMVCSLFPQIK >Dexi9A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:9A:2162757:2163346:1 gene:Dexi9A01G0004120 transcript:Dexi9A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENVDTIVYHIHGVIESFMKRLEKEHTSKRIPPGKRREEFRTLLSDAARPFLLGRTERFVTEVELFLASNLNMEAYNKLRVQRFRESSSLLTKEQSALPHDRSLEEHYLYFICNDTDCDEM >Dexi9B01G0032580.1:cds pep primary_assembly:Fonio_CM05836:9B:34778966:34779559:1 gene:Dexi9B01G0032580 transcript:Dexi9B01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLTVSPDLFPIGIILAERERKASAAAARRKAVEGAEAEGQREGKGKHWMEDDREGFSRAGYGKVVYGNPGRLGHRRKGRAKANDGAGEEGAKFPWTGMQLATEKPR >Dexi2B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:2B:11160834:11161437:-1 gene:Dexi2B01G0010180 transcript:Dexi2B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMIQLQSHQQNRMSMAAYHIKKTVKTAHKAKPFLHNKQNKRIRRHGHPGGKAILKKKELNKLTQLRKLGVSGINNGNIKDLFSAISGHHHLESLSLRLDEDNFPDDISLPKTVAETLKSLKLYGNMKKLPITWIEQLGNLKKLKLELTISGQDDIDAFS >Dexi5B01G0034600.1:cds pep primary_assembly:Fonio_CM05836:5B:34802626:34804055:-1 gene:Dexi5B01G0034600 transcript:Dexi5B01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSKILEKYQTNSGKILWDEKHKSLSAEIERVKKENDTMQIELRHLKGEDLNSLQPKDLIMIEEALDNGLTNLNEKLMEHWESHLRNNKMLEDEHRLLNFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >Dexi1A01G0027770.1:cds pep primary_assembly:Fonio_CM05836:1A:33495996:33497885:1 gene:Dexi1A01G0027770 transcript:Dexi1A01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGAPTPPRFKRSSPRKKSQLISRRLASGKTEAAGSPAALARAPPPAPLEVDAVPPIGRALPREFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADMEGVGAAVLIRSCSPVSGLETIQRRRNQQTEKPVLLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPEGILVGPRVGIEYASPEHVAAPWRFAIAGTPWISAPKNTLRPR >Dexi9A01G0030650.1:cds pep primary_assembly:Fonio_CM05836:9A:35644774:35645532:-1 gene:Dexi9A01G0030650 transcript:Dexi9A01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDLKLLGVLLSPFAVRVSMALSLKGVSYEYVEEDLSNKSELLLKTNPVHKKVPVLIHNGKPISESLIIVQYVDEQFVGHSILPADPYERSIARFWAAYFDDKVFPEFYGMVKAKTVDEREKKMTETFAAIGQLEEAFAKFYEGKAFFGGDSIGYLDIVFGSCLFWFEGVRRVYEVEIISSTKTPLLAAWAQRFGETEVAKKVMPDADKVVEYLHNLHGTATK >Dexi2A01G0024840.1:cds pep primary_assembly:Fonio_CM05836:2A:36567581:36571033:-1 gene:Dexi2A01G0024840 transcript:Dexi2A01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEDEAASDRCCGSYSPSADVSESETSSDCSAPTTRRFASSSSASATVSRLASSSSSLPTPASAATFYLSKPASDLSAEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAPARKAMWTREMEWLLSVADSIVELTPSIQELPEGGGQFEVMVPHPRSDLYMNLPALKKLDAMLLTMIDGFKETEFGYVDRGIVLEDSGGPFPACGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQSRDCANQILKAAMAINSDVLAEMEIPEVYLETLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKLTPQAKSKKSWGGKVKGLVGDKEKSHILSERADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKISAAAESVSIFNRGVGVPVQKRISPSPFSIQNTPYASPFATPTFCSSTPVTGSPGRVQPPLNKNSLGKQEIKVEKLFSGDLEKVWTYTGNLSARKDAGDAPERD >Dexi5B01G0022860.1:cds pep primary_assembly:Fonio_CM05836:5B:25141838:25146461:-1 gene:Dexi5B01G0022860 transcript:Dexi5B01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEMPDADGKSRGADSSSAAYGHPPSAPPQQPHLQYGTFGAPSGGSGQFPQPAVGFPQPAPPPGMQHYPQPPPASYAVYPPPPQQPYSAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPCCGLGMGWFLFIIGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTIAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNSKITFPLVSRKIRPPTRKLKTTFKASRPNLFM >Dexi7A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:7A:17244111:17247888:1 gene:Dexi7A01G0005950 transcript:Dexi7A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGRVTWALLLLLQLAGASHVVLESLEVEAATAAVPPSIVNPLLRTGYHFQPRKNWINAPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWMALKPAIEPSIASDKYGCWSGSATMMADGTPAIMYTGVNRPDVNYQVQNVAYPRNKSDPLLREWDKPGHNPIIVPKAGINATQFRDPTTAWRAGGHWRLLIGSLAGATHGVAYVYKSRDFKKWTRVSQPLHSAATGMWECPDFYPVEAAGRRKGLDTSVSGPKVKYVLKNSLDLRRYDYYTVGTYHRKAERYVPDDPAGDERHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTSADDVAKGWAGIQAIPRRVWLDPSGKQLLQWPIEEVEALRGKSVTLKDKAIKPGQHVEVTGLQTAQADVEVSFEVGSLAGAERLDPALAGDAQRLCGARGADVEGGVGPFGLWVLASADGHERTAVFFRVFRSARGGDKPVVLMCTDPTKSSLNPNMYQPTFAGFVDTDISNGKISLRTLIDRSVVESFGAGGKTCILSRVYPSLAIGKNARLYVFNGGKADVKVTRLTAWQMKKPLMNGA >Dexi2A01G0026650.1:cds pep primary_assembly:Fonio_CM05836:2A:38222770:38224029:1 gene:Dexi2A01G0026650 transcript:Dexi2A01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAAMRAVLEAPGVKGEEVLRLPCDAANEKDAINGLVRRIVAADDPRLMSAFNVLDLSKVAELFAAWQRGLKGVPPYYAVKCNPNPALLGALAALGSCFDCASPAEMDAVLALGVAPDRIIYANPCKPESHIAYAASVGVDVTTFDSVEEVGKIKRFHPGCKLLLRLKVPDAGEALVNLGTKYGALEEEVAPLLRAARSAGLEVTGVAFHVGCAVTRVGIYDASVKAARAVFDAAVELGLPPMRVLDIGGGFTAGRASRFEDACDVIIAAVARHFGDMPEVEVIGEPGQYFAETPFTLAARVFGKRTRGEVREYWIDDGIYGTLSCVVNINGYIPRPVPVASVCHSGDEGEEMHMSTVFGPTLDSLDVVVQGYPLPELRIGDWLVFHDIGAYTTAVSTNFNGFSASEMKTYLASSV >Dexi1B01G0027460.1:cds pep primary_assembly:Fonio_CM05836:1B:32169199:32177400:-1 gene:Dexi1B01G0027460 transcript:Dexi1B01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAPSLALPTPPPPTANPPRARRRAPRDVVSWTSAIARAARQGDLPAAAAALSAMLSSPAAPAPNDVTLLTVLSACADSASSPLARPLALSLHGLALKRFPSHLLLSTCLARFYLASRLPRLALQLFDSMPVRSVVTYNTMISGIMRNGLVDAAFEVFDGMPEPDKVSWTALIDGCVKNGRHDEAIDCFRAMLLDGVEPDYVTLIAFVSACGEVGALWLGMWVHRLVVRQGLERNVRVANSLIDMYARCGQVELAAQVFHSMRKRTVVSWNSMIVGGFERVELRYPRALDPHQSWTLDDVLAELDALDATRRAAPPTPLKQPPDCVGGAREKAFVMRVDDEDDTEDEDDNISSGESQALVARGARFSFNGLESSDSEDELDGQVTPYHLMEKRNIEKSILLELEREHHLKVQLRFISAEVLDKHLSMVQRDHEQKSQIVECRIRDDAAIEEAKRKDQAIKEEKLKQERARQEAEARQKEAAKLAAEAQKTAFEAAQKEAAEKEDAEKEAAKLREAATSQSGQNSLNNIAGFAHTISNL >Dexi3A01G0035140.1:cds pep primary_assembly:Fonio_CM05836:3A:40370244:40373727:-1 gene:Dexi3A01G0035140 transcript:Dexi3A01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALRRAAPLRRRAVSALAAALLQQQPAAALAAPRLPAPAAAMAAGWFHSSPAWLGFRETGTAAARAEFAAAAEEGAFYEEDKRAGGAGGAAAAAEGLEIAKLGISAEIVSRLANKGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPIMDAIIRHNAKYKPGKFPMAIALAPTRELARQVEREFLESSPLETLCVYGGSPIGQQIRKLDYGVDVVIGTPGRVIDLLKRGALCLKDVKFVVLDEADQMLSVGFDQDVETILQQVPQKRQTLMFSATMPTWIRKLTQKYLNNPVTVDLVGEDDQKLAEGISLFSIPSENREKPAVLGELIKVVHYELPNSSEIFVHRSGRTGRAGKKGTAIVMYSYRQNRDVQVIERDVGCKFKELPKINVEGSDLLGGDFDSFGGGGTNFGRSRGFGGRGGGFGNSSGRGGGFGRSGGGGGFGDSGFGRSGGGGGFGDSGFGRSGGGGFGRSGGGGGFGDSGFGRSSGGFGDSGFGRSSGGGSGFGRSGGFGDSGSGRFGGSFGSSGSGGFGDRNSGGFGNSS >Dexi8A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:8A:125125:129071:-1 gene:Dexi8A01G0000150 transcript:Dexi8A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHLSYCPTSSSSPDGLRRFLSQVQGESPADIDRILANLAPTSTGHGIARLVTRSPAPAQPTLDDFFGFLFSPDLNPPITNQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDVPIIKALQRGVRVIELDMWPNSSKNNVDILHGGCLKSIKEYAFCASNYPLVITLEDHLTADLQAKVAEMLTETFGDLLFVPSSDPMKEFPSPEALMKRIIISTKPPQEYKEFLKVKDNQNGSGNIADLPDQGSLRRIDSNADESDGKDELDEQDEEDSDEDDPKFQQDTACEYRKLITIQAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATVSHGAEVIRFTQKNILRVYPKGTRVNSSNYDPLNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGSCGYVKKPDFLLTTGPNGEVFDPKASLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIAGVKADSVMKKTRVLEDQWVPVWDEEFTFPLTVPELALLRIEVQEYDMSEKHDFGGQTVLPVWELKQGIRAVPLHDRKGVRYKSVRLLMRFDFV >Dexi2B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:2B:28747477:28751364:-1 gene:Dexi2B01G0018440 transcript:Dexi2B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAPAFSPGTVPLGVLLRREVTKERMERPDVLCGEASRSRKGEDFTLLRADAGQRVAGDPSTSFSVFALFDGHNGSGAAIYAKENLLSNVLRAIPTCMNRDEWLAVLPRALVAAFVKTDKDFQGVAGTSGTTVTFVIVDEWVVTVASVGDSRCILESADGSVYYLSADHRFDSNPDEVERVTACGSKVGKMDIVGGPEVGPLRCWPGGLCLSRSIGDSDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTCEMALDCSRGFPSDVAANRIVNILHKSSLVTCARPA >Dexi9A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:9A:12547509:12547959:1 gene:Dexi9A01G0017480 transcript:Dexi9A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTGGEAAGLQSLLLGAASGPATTTSGDGGHEVSRQLESILSDESLPWSRRMAAATAVELRLLTRLVAPAVVMYMINYLISMSTQVFSGHLGNLELAAASLGNTGIQMFAYGLMTG >Dexi1A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:1A:4400244:4404700:1 gene:Dexi1A01G0005900 transcript:Dexi1A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRACGGKAPALVPALVRARLARRASSAAAYAAAATTVSASSALEELAAERKGGVPRGRSGHRGGFLFVEMPKRILHFLRLTLNMLLLVGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKSGDIVLVADGTEKPIGWGLYNSVSMFCVRLMQLEEEARRDPACALNMERLLEARISSAVDLRRRLGLPSADTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYREEIQFFVSKLNGINHIKWRPSEDILKEEGLDVSDYKEPSSSCSAVKVDVLTASIDSSGSALNLANENIILNELSPERISFVKGDATAFMKGAVSSNELWDMVLQSASGMYRNLNALAMQVVKPGGLLMTCSCSGAMTQSGMFLKTIQGAALMADRKVTVLRQTGAACDHPIDPAYPEGQYLSNYLLRVS >Dexi1B01G0027490.1:cds pep primary_assembly:Fonio_CM05836:1B:32194460:32197092:-1 gene:Dexi1B01G0027490 transcript:Dexi1B01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTASPPPPICIAFYILQVRSVLQQPPLLLNDRIHFPWLCKRMFQLDMLAQLLVARGGAWLVTTTEWETTDATDGEEDRRRDAS >Dexi9B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:9B:4597343:4599173:-1 gene:Dexi9B01G0007520 transcript:Dexi9B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYVAVLTFAFLLVLRYLVGGRVGAGGKGGNNGKGKQAGPRLLPSPPSVPFLGHLHLAKMPFHATLARLASTHGPVFSMLMGSRRAVVVSSPELAKECFTENDVVFANRPLFPSQRILSFDGASLSMASYGSYWRNLRRVAAVQVLSAHRVACMSPVISGEVRAMVRRMNRAAAAADGGAARVQMKRRLFELSLSVLMETIARTKTSRTEANADSDMSPEAHEFKQIVDDVVPHLGTANLWDYLPVLRWFDVFGVMKKLVNAVNRREVFLRRLIDAERLRENGGGNDGEKKSVIAVLLSLQKSEPEVYTDTMIMSLCANLFGAGTETTSTTTEWAMALLLNHPEALKKAQAEIDTAVGTSRLITPDDLPRLTYLQCIINETLRLYPAAPLLLPHESSADCKVGGYDVPRGTMLLVNVYAIHRDPAVWEDPGEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWDRVDGVEVDMTEGGGLTIPMTVPLEAMCRPRAALHGVLQEL >Dexi9B01G0041450.1:cds pep primary_assembly:Fonio_CM05836:9B:41908995:41910161:1 gene:Dexi9B01G0041450 transcript:Dexi9B01G0041450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGSTHSVASSGNNSTSCGTAPMLTIILLAGLCTAQIEFSSSSPATTPSGCRLSRMRRMTPTNRLRLATVSGRKPLSSMRMRMRRTQLILVSATPSLSMSTTSDTFAGCMSADFASASRGTPQTRMATASVPTAWDVKGPSSEVNRATPPASAMALVTDWWVVARVRSSRSAATRAWPLSGCLLGTAVPRRPWTRSAARPWKSAERFLNRVYRRENQAAMSCRSVALGVSASSQSSCMVRWPSASHREMLPRSYVCPVQSVTGSTMGSREIGQMKTAGTASSPPPSPAASSSSIIFLRFTGCFPASPAAASPGSSAAPRMPSLSAVSMSSTCPPHGSSWYCGIVAVWRRSDESLARHTHTTSNTTTDGEALVSSAQAPEATE >Dexi9B01G0041450.2:cds pep primary_assembly:Fonio_CM05836:9B:41908995:41910805:1 gene:Dexi9B01G0041450 transcript:Dexi9B01G0041450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGSTHSVASSGNNSTSCGTAPMLTIILLAGLCTAQIEFSSSSPATTPSGCRLSRMRRMTPTNRLRLATVSGRKPLSSMRMRMRRTQLILVSATPSLSMSTTSDTFAGCMSADFASASRGTPQTRMATASVPTAWDVKGPSSEVNRATPPASAMALVTDWWVVARVRSSRSAATRAWPLSGCLLGTAVPRRPWTRSAARPWKSAERFLNRVYRRENQAAMSCRSVALGVSASSQSSCMVVGQVRWPSASHREMLPRSYVCPVQSVTGSTMGSREIGQMKTAGTASSPPPSPAASSSSIIFLRFTGCFPASPAAASPGSSAAPRMPSLSAVSMSSTCPPHGSSWYCGIVAVWRRSDESLARHTHTTSNTTTDGEALGGGAKRDRL >Dexi2A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:2A:29796919:29799963:-1 gene:Dexi2A01G0017750 transcript:Dexi2A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEGGCAAAAEVVYLHGILEVTVFEAEHLHNAIHGRIMEATEKLQEAMGVHCLQHSRLYVDVDVGDARVARTREVEFHPTTPSWNQSFRLHCAYPAAAVTFTVKNQHLVGAGVLGSGSVAAARVLASGQQQQPIELWLDLRGGEHGHETHTPSLRVRLHFHDVETDPSWCAGVRLPGFAGVKPAFFSERTGCRVTLYQNAHLSDAFDPGVTLEGGKAYRPARLWEDMYVAIRDARRFVYVAGWSVNTAITLVRDVERMVPGAEGVALGELLKRKAEEGVAVLVMPWQDNTSVSFLGNAGLMRTHDEETRRFFEGTGVRCFLCPRDADASLTVVQHVETSAEFTHHQKTVTLDAATPGRTHDGQQRHVVSFIGGIDLCDGRYDDEDHTLFRNLDTTYRHDFMQNNYKHACLERGGPREPWHDVHCRLEGPAAWDVLTNFEQRWRKQAPEDIRGCLLDDLTPAAFPNPVIDDDTDSSWNVQVFRSIDDASVVGFPSDPAEAAALGLTSGKDVTVDKSIQIGYIEAIRRARRFVYIENQYFLGGCASWSEDRGAGCMNLVPVEVALKVAAMIRRGERFAAYVVTPMWPEGSPAGEAVQAILHWHRRTVEMMYGIVAKAIDDAGMRGHAHPCDYLNFFCLGNREAPRPGEYSPPETPEVDTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPSGRRARGQVHGFRMSLWHEHFMGRHAGDDGDEAVFLEPESVECVRAVRRAAERLWEVYTQDRVEDLPGHLLPFPITVSEFGEVGDLTADGCFPDTRAPVKGRKAVKLPDILTT >Dexi3B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:3B:14181181:14186635:1 gene:Dexi3B01G0019130 transcript:Dexi3B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEASSAAANGGGRLRNAFGGVLSAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVISVGLAVCFAVVAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEVFGVLTRSLKFQLSKLFDDSSSAVPAVRLLLSLLFLSISLRIGVPFLPLVDNLLFLELKSGDSSTERATNSTKSENGNEKSETAPKEKPSKKNRKKEKEMAESVPVKPNKKEKRLLVLPFELSIMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGAKSSSTLAAGRKNPWQ >Dexi9B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:9B:6914668:6915384:-1 gene:Dexi9B01G0010960 transcript:Dexi9B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSAKSDYESLRDARISENMARMEMLGLLRCAVELSDIASASSSRASPRKAPKPRVMSMTPLRRSGRLVAATQTASASTRRRSARLNGESVQHKALPPIALSKLAAVAAEEKDEDEENLALVVDKKRVQALQERRCDSKARGAVYDPC >Dexi2A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:2A:32750493:32752616:-1 gene:Dexi2A01G0020530 transcript:Dexi2A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTALQVGQPLRQHNPACPLLSPTTAQAGPALLPPPPFSFLHPHSTALSELVPTRALPPSGLAAPCLPPHPLGSHGCATLPALPIDARSFPLPPPGTAATINPPSSRAINGPPFLLSTKDPDTTLRSPINLSAMPQVPPFRSHSKHHEEPNTAAAVAATPTSIYSPIHIDSTHPHRLSSTGVAPPREVQAIAAGGKIPASTVFLRYCKATRATTPKSRVAPPAPNRRSRRAHELRFFEANPARHSTPVELLVRPPPSISARGEHLPEVPSASSLVSPPFPSPMATEAPTTRPPRALSAMDRKLSKLSQTGP >Dexi5A01G0023820.1:cds pep primary_assembly:Fonio_CM05836:5A:27807391:27809632:1 gene:Dexi5A01G0023820 transcript:Dexi5A01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISRTPARRRRRDGGGGDSSSASQEEDGTEVVRLRTRRGNEIVGVYVRNARASATVLYSHGNAADLGQMYGLFVELSHRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYEH >Dexi9A01G0023370.1:cds pep primary_assembly:Fonio_CM05836:9A:18704579:18708794:1 gene:Dexi9A01G0023370 transcript:Dexi9A01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSREVLRRSFFGHLPLLLAGAAALFAAAPRLSASLPSPWNKLLSHGLLSSLATLLGVSSPAVAVAIWFAAAAAWAYAVSRPRPVYLVDLSGYVAGETHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLISVPVDMCLRTAREESHAVIFGVVEDVLRRGAVAAADVGVLIFNSSLLSTTPSFTSLIANRYGMRHDLVSHNLSGMGCSAGIIAIDLAKRLLQVHRDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRVVDRRRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMVVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRAKVRAYLPDFKLAFDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLCRFGNTSSSSLWYELAYSEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVEGGEEGNPWTPELDVLPIEVPRVSPIDETTYTFPDGAKYKVSVAG >Dexi9B01G0040730.1:cds pep primary_assembly:Fonio_CM05836:9B:41237651:41238394:-1 gene:Dexi9B01G0040730 transcript:Dexi9B01G0040730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPAICTRAVYVADAWAPTFVAVRVTVRDWSPPRSTTEMDGVHGFSQHDVPRAVVERRRRSAPGIGLVPNGPAAVRIAAGDHPGCADRSSAAAPLACGHAMDVPDRMLNSVRRASPSSPVGPAAPVHAARMLTPGAMKSGFRISGTSPLGPRAENDATTGAGLTPTTVPPKVMVAVGLEAFAA >Dexi2B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:2B:26825540:26828955:-1 gene:Dexi2B01G0016620 transcript:Dexi2B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAGQISLDDLRAAIGGAGGVHDDFLGQMLGGGLPPSAWAELASAVGAKAPEVEGMQHHHHHQQQFGGGGSGGGLYEDDESALLASRLRQHQISGGGAETAKQMVLQQQMADVRNGHHHHMLLQGMGRSTAATGGDGGGGLLLPLSLGSGGSAGGDVQALLKAAAANSAGGGGGGEAAGVFGGAFSGSLQQQQHFQPHPQQTAQMPGQGFGGGGGGGGGAPQPQAGASGGGAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKATMLDEIIDYVKFLQLQGRGGGAPATAGSDGLAVTEQQVAKLMEDDMGTAMQYLQGKGLCLMPVSLASAISSATCHMRPPMAGGLAGVAHHMAAMRLPHGINGGGGGADAAAAVPASPSMSVLTAQSAMANGGAGGADGEGSHSQQQQQHPKDAASVSKP >Dexi9B01G0032840.1:cds pep primary_assembly:Fonio_CM05836:9B:35006770:35008199:-1 gene:Dexi9B01G0032840 transcript:Dexi9B01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVVCSTRSDNVCLFNADGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVDSEKHIDFSLTSPLGGGEPGRVKRKNQKKASGGGDDGDEDEE >Dexi3B01G0027290.1:cds pep primary_assembly:Fonio_CM05836:3B:22833757:22842500:-1 gene:Dexi3B01G0027290 transcript:Dexi3B01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTQQPAGPHPTRLFLTSRRPRLLASPAPAQTPIPGSRRPTESYRSRARLGCGTAAGRRLDRAPLLQCRPTRLRQCRAAPLRHCSPTPDARAALLQQAAAASEVLQAVKGSDLPHGGEFIPFPVTRRANSYSIMMEEDMENGRSYQERPRTFSTVRSKSSIPLVFRLLMRINPRALVIFSLLVFSGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSVFYVWLGVDAPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGKSLFLPSNILVNKNNGYNSQVNSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGHSTGQPLLGAKVVASMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >Dexi4B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:4B:4314831:4323079:1 gene:Dexi4B01G0006120 transcript:Dexi4B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPAPQLTPPPQAQQQQPSKEQQHKALAVNAIRLKAIGDRIMTHLRGMRGVLPIAEFAHLVYAFARGIDFAVSAGDIPAMAGEIPGILRKVYELKKQTFIQSSLLVLAISCKVNFCFMYYPRLKFERLITSIEAKVGYDVLMADFFIQRHLSRDEKIRLIVVQKENLDASSCITSPPHVSFLVNGKGVDKRTNVSMDVGPQFPSDITKMLKYGANIIQAVGYFNANYIIAVAFVNSMTSFSAPKLDVYAQPTTVCPTDSDVLEGPSRVSLNCPISFRRIRTPIKGRLCKHYQILEGTGDDVIDALVFADGSWKAAPAHDEKSDRNRGDAIQQTGDAIETDSSSSDVIDLIDGDTDLPMDWASTSEDTKPLLNNQDLSVADYLQDFPMAVQEGDLYLGDGNNGGNNMAFTSHQNLLLASTSGLGSSSFGTLESILPENVLHPVITDAVSPSLENSVSTSGMQRVSQETHRETVQLQPQIGPVHGSEGRRLPIPRNPRREPIGVQALPVPPQNPGSSTRLQPNILNCPPPIPLSSPASSTYQSHQMINPDSVIAPINNGGMPLSRTPSAAASLHLQPTTRVNTFLVIILSSVLASNK >Dexi9B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:9B:7199070:7200495:-1 gene:Dexi9B01G0011320 transcript:Dexi9B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPREHECLRELRIITQEHTLGFMASTPDAALLLSMLLRLMGAKNTIEVGVFTGFSVLATALALPADGKVLAVDVCRDYFDLGLPVIEKAGVSHKVDFLQGPATAVLAKLLADPGSEGRFDFAFVDADKLSYGIYHEQLLRLVRVGGVLAYDNTLFHGAVATPPHEKTTVSLLPREGDHKIRDFMRSFNAKIAADPRIEAVQLPVADGITLCRRV >Dexi2A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:2A:22656736:22659440:1 gene:Dexi2A01G0013900 transcript:Dexi2A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQPEPLALVPFELQAGPAPPPVRSGGGGGGGKMHRLLRSAFKRGDSASAGSGEDADQLSRSASGSSSSVASSGRPPSGRRVGRRGGDGSSVDGDRSSRESFELDGSKNAKLLSALRDAKIGHAYEPFPWEKKMRDLLPVPEASSFLSLLLLPRLTDGCKTRYSSLEDTLARADAWLRSSQASGVPVSFMNVQTEALLTKISGETALSTVNMGSLSDLAGMASASLYGFEDYHGVDIGVVRAVRLWYAAAAGEAAVEIRLRPGDTRLGFAISRTEEGFIYVSSVAEEGTPGVASARSGLLELHRAARRASRLLVVSRVGGEKVLPWMASAAGDVMCFDTVSLSQKLSLHRHALRPITLHFLMWDRDLAAALPGRDGDDDADKPLTVAEAMADEEEVDGGGDGPGRLAGGKDSRDSSFRFQNIGLPDSWL >Dexi7A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:7A:18674735:18674980:1 gene:Dexi7A01G0007300 transcript:Dexi7A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVTTGGERGGERRRRQSSDGAGEVVACVRRGEREEEEGELEREDSGAHRRGEWVPQEEVR >Dexi9B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:9B:12787868:12792325:1 gene:Dexi9B01G0018000 transcript:Dexi9B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVHGVDADGGGVGATTITVSAAGAAAEDAGEVGGVGGGGRGGGRRSGRPSPAGTPRGGRAGATPARSSAAGSPWAGSPLGLPEGIAPSPATSASTPRRFFRRPFPPPSPAKHIKASLARRLGQRSPASTSQVPKPQVEVPIPAHGAGGAGGAAGAGEADRELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARPRKGDMRGQVLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDAINVYIIMELCEGGELLDRILSRGGRYNEGDAKIIVEQILNVVAFCHLQGVVHRDLKPENFLFSTKDERSPMKIIDFGLSDFIKPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFEDTPWQSVSPQAKDFVKRLLNKDYRKRMTAAQALSHPWLRDEHRQIPLDMLVFKLIKAYLRSTPLKRAALKALSRAITEDELIYIRAQYNLLEPNSRDGRICIDNFRTALLQNSTDAMKESRTLEILNALEPLAYRRMDFEEFRAATVSPYQLEAVARWEEIATTAFEYFEQEGNRAITIEELAQEMNLSSAAYSIVRDWIRPLDGKLSFLGYTKFLHGLTMRSGNARRHH >Dexi8A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:8A:27072377:27073339:1 gene:Dexi8A01G0015980 transcript:Dexi8A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKILCQLQDCERIRTISFDDKTNTITIIGPFDPQRLVCKLRCKGGKIIKEIHIVDISGGSGKPPPPPPPQNIAEPPPPSSPPPVKPGKKPKKPPTPVAADEPPPAAPAPAPEHEMPPQQPPSPAHQAPGMSAMVPAFIEKHPQGNPAELEPAPPISPPRNEKPPPPMDMPAPPVALPPPTPVKERFPPPMMPARSPCDEQPRIAEYVIPTVEIPSWPGQPVGPCGCPCCAPCYQGYYEGCRCICCGSRLYAQPLIPAAAAAGCGYRGCRTFSDEDPTAACSIM >Dexi7B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:7B:896425:898899:-1 gene:Dexi7B01G0000270 transcript:Dexi7B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLWSAYLLADSTAIYTLGHLSVDSRSDEHELVAFWAPFLLMHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGATYVIYEYISRSGTLLLLASISMFVAGLLKYGERIWALKGRGWEWSLHVITSLGLRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGNRLATKLGFQDWWNKMHFSGTFSHSDILSIQDIKKLVLQALEDKDRALRSKSTDSNSRGSFILKSMEAYEDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRASFGDKDNPVAPSPISWNPCRMFRELFHHDSPSCSRIPRREKLAEMAWSFSQFALGSVRAPDPHGDSIRDSANMYAILLANELLSIELRWKEQRDPLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVSRRTEDADENNPTSDETTQFR >Dexi2B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:2B:27964164:27967659:1 gene:Dexi2B01G0017570 transcript:Dexi2B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLRTRKDLNLHFEYHITMLSVQQLHLLQHPVKNAIADRKHTRTSSDLSDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSSQSSGLPDYRARRRLTGNSIHFRAIPNPKCSPAVPACRVR >Dexi2B01G0009000.1:cds pep primary_assembly:Fonio_CM05836:2B:9779155:9780717:1 gene:Dexi2B01G0009000 transcript:Dexi2B01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDDGGSLQHSHRSRKVCVVGASMAGLAAARELRREGHAVTVMEQRGDVGGQWLYDPRTDGGDPLGAAPRPVKVHSSMYASVRLISPRELMGFSDFQFVPTRHGGGDPRRFPVHGEVYRYLKDFCDTFGLMDFVKLNTRVVRVAMAPPRLPDEEKEGGDELRWVVRSVKIRESEDGITDDEEIAAEEEEMFDAVVVANGHYSQPRLPSINGMEQWKRRQLHSHSYRVPDPFRGEVVVLVGCGDSGLDIALDLCGVAREVHLTSNSSMASATSTSPAMSKMLANHAGHLHLHPRIDRLGHDGHVAFVDGSGVVADTVIFCTGYDYSFPFLDTGGLVTVDDNRVGPLFEHTFPPAIAPSLSFVGVPRKVIVPWFFQAQGRWIARVLSCRSSLPEVEEMVRAVEEYHHGREIAGVPKKYSHDLGGVDPRNVDPKEMYEFVAKYTDLAPMEDWKNEIVYSVIRNVKQDRETFRDRDDDSQNVRRGVETWLTLNMSAAAKEDGAANSTSACAHSPSCLNSDIRS >Dexi6A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:6A:9653991:9655262:1 gene:Dexi6A01G0008890 transcript:Dexi6A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARTQLLASLAAIYLILAILHVTGNLTDDLEIMWGNAKVVTDSSGQQAIALTLDQSTSSAFRSKKTCLFCRIDIEIKLVPGNSAGTVTTFYMITEGAWEFHDEIDIEFLGNSTGDPYTMHTNMYARGQGGREKQYKFDFDPTQDYHKYTIIWNKDWILFLVDDKLYRQIKNNQIYGAPYPYYYPMRVYATIWDAENWATQGGRVKTDWSQAPFTAYFRNYRSISCSPFGNDPLCVPGSGWFSQQLDDSRKQQLTQVDSNNKIYDYCQDPKRYKNGPPPKECGLPSK >Dexi2A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:2A:24866271:24873098:-1 gene:Dexi2A01G0014770 transcript:Dexi2A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTGAPAFRFLPSEVAEMEARLQQLNNSIPNRVVLQTLADKFSASPERAGRVSIQPKQVWNWFQNRRYSHRAKTTSRAAPPPATKMTPSGADHIQHATSSSAFRAAAQQPSAAAGHHGSSPAAGKNPVEGVQVEFEAKSARDGAWYDVAAFLSHRLFESGEPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDSSEEIVPLRKVCRRPETDYRLQILHAARAAAAAADIQTPPKEVKMELTSNEKSPVEQKPPKQHKMMGVNTDEVTMVSGVEQEVTPSKTGAPLPSATPETRNSSSDVVMMEGESASVVEDDDEVEVVDKMKDGE >Dexi7A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:7A:19038871:19040974:-1 gene:Dexi7A01G0007740 transcript:Dexi7A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAKTNTGESLHCSTFASRYVRTALPRFKIPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLVAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGTHSLTSGYKDVMQNCRDNATVLREGIGSMGYFDVVSKDSGVPLVAFSLKDSSKYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRSLAERLIADLGKTMADMDAHAGKKDHPAKKSVHDIEKEVTTFWRRLVANNKKKSSMVC >Dexi4A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:4A:17852939:17857545:1 gene:Dexi4A01G0015200 transcript:Dexi4A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLELAARRADDGAYYPPPQPGAGGEDLDDDGRKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWVVGPVTLMIFAFITYYTSSLLADCYRSGDQATGKRNYTYMDAVAAYLGRWQVWSCGIFQYVNLVGTAVGYTITASISAARAVHKANCFHKNGHAADCSQYDTMYMVVFGIVQIFFSQLPNFSDLAWLSILAAIMSFTYSTIAVGLSLARTISGSTGKTTLTGTEVGVDVNSAEKIWTALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLAGCLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAAVETELATRWPNSKFVTGEHPLVAGRFNVNMLRLTWRTVFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIKQRRIQKFSTKWLALEALSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >Dexi2B01G0034040.1:cds pep primary_assembly:Fonio_CM05836:2B:41357991:41361455:-1 gene:Dexi2B01G0034040 transcript:Dexi2B01G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDTASKVLPLVVAVSGRVGSETPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLILNLGKWAKLYRLASMGFATFGVFLLAKRAVQHFLERRRRHELQKRVLHAAAQRQAREAEGGNGTSDTEPNSKKDQLVLDICVICLEQEYNAVFVP >Dexi9B01G0037430.1:cds pep primary_assembly:Fonio_CM05836:9B:38833850:38841160:-1 gene:Dexi9B01G0037430 transcript:Dexi9B01G0037430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSRYSKHRRIGEDEEEEDEEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAESVPSFENLYMIKRELKRGKRVYSMEYHFMKSAKGKNTYWEDDTHTMQLSFGVDEFLMIAPLSASGVVLDDPESTKLLSSVAIALSNCGRVKVHFSMKLTYRTPEYDYDNETLDSEATESLVENEVVNHPKKQWDDDCPWAEWYSAEDPVKGFELTAIWGEKTFEETLEMAEVENASSFDADSWVDDSIGKFVGFASQLHLLVNAYESSAEAQFLEDFVADTSGQDNSKSTTTVPPPSVIDRVMKDLFSDGSYAPCLDMSAFKAANPDAVFEDFIRWHSPGDWVSEDNADGNSGWPPKGKLSQRMSEHGNVWRKIWNDAPPLPVSEQKSLLDPVREGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNKTTYGAFKLMKTKMDQLYATMASTLKSLQGYSF >Dexi8B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:8B:1596325:1596786:1 gene:Dexi8B01G0002340 transcript:Dexi8B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAGGGGDASPPGGGMTTEAILDRTIELARLILDAVDRRGLDPDLLRLSDGRLGAVVPASSEAMTHLRETTVAETREEECAVCWESYEEGDKMSAMPCSHAFHDGCIRRWLAISSLCPLCRFALQAQAGPED >Dexi3B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:3B:14974082:14974234:1 gene:Dexi3B01G0019990 transcript:Dexi3B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKGRDHLLFSEKAMKKCEHVKLPVQLILAWATRAHLTAVML >Dexi3B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:3B:3755287:3755727:1 gene:Dexi3B01G0005570 transcript:Dexi3B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAGALAPHFPLRLPPRLFPHPRILALAASSSLRPRGPHLAVTRAASGGDGGPPAEGDKEQSPPAEGGKEQRVPSFPSLSEIRWGELLSPEPANAAAVVLTGALTWAGASLLLQLLLIFASIFAAAVKYSFVAAILLFILIALL >Dexi1A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:1A:5971958:5972844:-1 gene:Dexi1A01G0007740 transcript:Dexi1A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKHDYCYGRGLPATYRTVGVTSHGVMRFVDVSDDLFGRRRDPFGVTITTWTLRKTTTVPKEMGWVKDAVLRLDDLWSFDEFRRSSLLRWVPGLPAVCRHDPDAVVFALLDPKSIGADAWVIMVDLRQMELLAYVPYINQAKGDDAGGESCKVDGCLFLDRPFVCSGLYSFDSIG >Dexi2A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:2A:849173:853826:1 gene:Dexi2A01G0001280 transcript:Dexi2A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLLFTKYYTDDGRPEEMAINSLLRLQTATGNIASALGFGALAWSTVVHLSGFVGELRDLEFWIVTALSFVMACKLVHFYDNEFGKGIYVEEVNAFHNLGQQKRIKWKDRFTQVILVIVIIPKWILWCCVVSVFMYSPFVSMGVSVWRLVQRDYSGDAGGSRANRAKLNAALDIFYALALYHSFFVIYWMNLERSLPDVKLVLKQCEFGKWGPNVLGMYYSETQRRLRKDGELPDKWNLITYGVGLLQSASEDDHLWGARLLDKLFDKDDVSVREELLPSRQSIQNLIGMIGTDKIEDSERAARIVAHLASDLHMTQFPGTLQCICYLLERSSCEQYFEPQVTPSLSIKKKRVTPTLHSEHQDRALVGSIKDRTDRDHKKRNSSSFELRLRIALASIIKKNKLSMKLLEEFEVPMNNPHERRKFFDPHRHRYEHRGAKELISQGLLILERLTQDEENCTEITKHQRLLSKITSPLRSREFLSNEAMVEMVSKSLTVVSRLLTSPGDGATRLRQQLASNREAVSNLMAILNTYRGGGKQLHQDSLEILTELALDDSFKNLDFNELFQALLNIFLEKDASNTMRGKAGEALARLLNVRIAATKGANAADILSKQEAIYFHYLEKKQEVINLLTKVFDQILSSKMGTSADAATAAGASNNCAAEIVEESHPPEEADSGQPHTHGDEQKSDERKLMAAMLSLALVIGNENVISRGDFARAIPEDEALVRKLIEILKVSKHSTAECLRVVKLTCQVVIAMIQAKPSCIKHFNDNEHKFKEELIKSLETMSEIDDCMVFAGDDDREVIKPVRSLASLVKEAHQLLETASGVARGIHVYP >Dexi5A01G0025240.1:cds pep primary_assembly:Fonio_CM05836:5A:29038375:29043358:1 gene:Dexi5A01G0025240 transcript:Dexi5A01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHTSSSARARAPPLPPAAGARPLHPLAGVEAGEAVAAAHAGAHRVRRRGRKQKQLWPKTVLRKWLNIRSPESDFSADEGDTTDDTDSEVEYEAEMCAWERKLHDEERSFHGFGAEAIGDLNYRINLPYEKTHQLISKQDWNELFEKDQLKVELKKGHLFEGWTEGVVNFPPTYKYKVNSENYIGDDHKSGRRTPAWCDRILSHGNGMRLLSYKTVDLRLSDHRPVTAVYMVDVEVFSSKKLQRALTFTDAEAEEQLSFEEDSTSGIYNLGLC >Dexi6B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:6B:14115346:14119442:-1 gene:Dexi6B01G0009630 transcript:Dexi6B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPRLPPCLQGPTVRAEYGDATTTIDPSCANVVAQAFPNTYGQPLVSFVAPADAEAAAVEERAPIRVGVVFSGRQSPGGHNVVWGLHDAVKAYNPQSVLYGFVGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVNSAMTTCRSLNLDGLVIIGGVTSNSDAAQLAETLTQNNCQTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEIINKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRREIDTEQLLAHLVETEMIKRTKEGRYKGRKFSSVCHFFGYQARGSIPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGRPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIELLKECLSKVRTMVKPGCSREILKAAISSMLSVTDVLTVMSHPLNAELPLYHFK >DexiUA01G0019060.1:cds pep primary_assembly:Fonio_CM05836:UA:39792941:39797939:-1 gene:DexiUA01G0019060 transcript:DexiUA01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPITSLAKDYDLSVHYHPGKANVVADALSRKARCHCLTVKSYADTLCHEMAKLNLEIVPHGHFNHIAVEPTLHDQIVMAQLNDAGVKVLKRKLSKEKVKEKYKCFRLDGQGVLWFGHRLMVPKNMELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPKTPQGHDSIWVIVDRLTKTAHFVPVNVTYPAKRYAEIYLERIVCLHGVPKTIISDRGALFIARFWEQLQMSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVKEAEEKVKIIRENLKTAQTRQKSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEITQQCGPVAYQVKLPERLSAVHNVFHVSQLKRCLRVPTEIVEQEELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKIQWSHHSEDEATAPGAADAGQSSAATRPELGVGGPELAEPRRHCPVAPALSSRRRRLAPPRAAHAIMARAAPSAAERDSSPTTRQHALCTIPQQLASISLACSAFPARFELPVRPWRAMPHSPRALEHPTELPTHSTRSLKPPENPLAHSPSFFFLATRKPSLTRFPSILWSVLQALGYPTPPQYERILIRDRGVTRSRVRLVVHRHPPCPSSPTWTVEVHGHHTETTCELAALNGISSFCAQNQSLVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAENPVAAAALMMRWIRAYHRLQALLGRSQSEMLNIAMDMSARARDIGVERTSLSIEVTTRDAMIADLERQLNDLQIAHNNTQNELAQTRDELEEVHGTLEQANAMLAAHDAQHLFEQQGGDVDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi1A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:1A:23906419:23910233:-1 gene:Dexi1A01G0016640 transcript:Dexi1A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIAGDKSPTELSFRAMGFVVEQEFRAFSAAGKNRTAPVEEAAELDEQVNDQPSRLSEKGSPPPSTGGKARHSVSKEPPHLTPAESGKSKAGKPRRSTSGKAGPSKANIGLALGRKSTSGLGKAVEVLDNLSSSMSSLSPGGAFVSAPTAKGNKISILAFEVANTIVKGMSLMQSLSKESLKYLKDTVLMSEGVQRLVSSNMDDLMRIAAADKRQELRVFSREVIRFGNRLESEITPQPELKEIAKADMQQLMALVRYTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVHCLKKKSLWSKILDDVMEKLVDIVHFLHVEIQDTFGPCDGEPNESKGSHQTLGSAGLSLHYANIISQIDNIVPITKIRSSMEKTLLWIVPVANNTARNDLSRKQPGQPDVLKIETLYHADKEKTDACILDLVVWLHHLISYSRPNKGGRSPNRSPVRSPAQSNHTALRSPVSASGSSSGRLTQEDREMLLEVYTRRRSPGKSKSQELSAAAHGTRVSALSRNDRLSKSSSCYPLQEHGGRVFPLTTSRSLSASPVVHFDIDRIKALDRADVQKQP >Dexi9B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:9B:14659942:14663890:-1 gene:Dexi9B01G0019940 transcript:Dexi9B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGGGIACCCFRPALLQLLGKTTTEEEDDDMKEAAADERCLDPQLWHACAGGMVQMPPVRSRVYYFPQGHAEHAHGGGAAELAAAAGARGLPPLVLCCVAGVRFLADPETDEVFAKIRLVPVAPGEAADFRDPDELGGDPADAREKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVSCGGMECMSGWNAPGYGAFSAFLKDEENKMMKGPGGYMRGRGKVKITDVVEAASLAASGQPFEVVYYPRASTPEFVVKAASVQNAMRNQWCPGMRFKMAFETEDSSRISWFMGTIASVQVADPIRWSNSPWRLLQVTWDEPDLLQNVKCVNPWLVELVSSIPPIHLGPFSPPRKKFRVPQHPDFPFDGQLLNPIFHGNPLGPSNSPICCFPDNAPAGIQGARHAQFGLPLTDHQLNKLHLGLFQGGGFNRLDAITPQSRVSKGFVISSAPIKESVSCLLTIGTPQGTETSDDKKKPHIMLFGKPILTEQQMNSGGSRETFSPEVTGNGSSDGNVQKTGNVSDGSGSSICIGFSSQGHEASELGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGQLADMFGIEKAEIMSHLRYRDAAGAVKHTGEEPFSDFMKVARRLTIIEGAEDRLQKPLIGYMVERA >Dexi9A01G0031620.1:cds pep primary_assembly:Fonio_CM05836:9A:36601174:36601392:-1 gene:Dexi9A01G0031620 transcript:Dexi9A01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAVALVALLALAAAAPARASNDEGDALYALRQRLKDPNGSWDPTLVNPCTWFHVTCNQASRVERL >Dexi5A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:5A:4152650:4154585:1 gene:Dexi5A01G0005600 transcript:Dexi5A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTKLQLTGSLTNSECGSELQRSPSPSPEPLQRSWTRAQSPRAAGFDFFLSNKNNDSPASRKEPEDQASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNMANLKLDDANKKLEVLEEQSLKCHCDYKENGNVADQTKNVSNIKGELMETRERMQSSQEEIRALQKNVESSTILSKENSNLLEQNKKLEAEITKLKEGLDSERRRFEEELSEKDGEISKLKQNLADASEKLLQENSTNGARISELQKSVEDIRSKLEKVSKEKLLVENQVKELEEANAEADMYCQELTAGAEKLSEEKFRHEAEILTMQQSLKDLKSIIESLAKEKSLMTSWFTDLEQVVGRGKNIFAE >Dexi3A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:3A:2405183:2410419:-1 gene:Dexi3A01G0003640 transcript:Dexi3A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGPGLPEGMEALSRQARALRDALERSEENTQSMVAALGSFDRRVSAIDASVRPAQVTNQSIATAHENIDRTIEHAEAVLAQFDIIRRAEAVTLKGPHENLEGFLEAVDLLKGVVHFFSSNKNFKCCDGILNQVNNLLTKSALNIEEEFRQLMGTYRDVRGSTLELRLRKLGIEKLSKIDVERMEWVALKVHVETWTQFMQITVRVLLAGERKNCDQIFDGITFNKDRCFAELARSSVMALLSFGDTFAKSKRPHENLFPLLEMYGVMHELQPEIEVIFQGKFCSEMWDAALNLTRSLAQIAHETLVDFEEAVEKDSSKIIMQNGTVHPSTIKVINYVKSLFDYRSKLKILFQQSETGSETKSQLADVIMKIMQSLQNNLNEKSKQYKDPALSHIFLMNNLHYMVMFVRRSQAKDILGDDWIQRHRKIVQQNASQYKRVAWAGILQTLTIQTTGGPGSPTPPVVITSGVSRNRIKERFKSFNIQFEELHAKQSQWAIPDQELRDNLRLAVAEVLLPAYRSFVNRFGYVKLSLVQRGKNPHKYIKHPPEALDQLLGQFFQGLQVGDHKR >Dexi6B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:6B:22163775:22164391:-1 gene:Dexi6B01G0014660 transcript:Dexi6B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRSGADPWLSKHADVARLFLAGDSAGGNIAHNMAMRAGRDGLDDGAVIRGVAMLDPYFWGKRPVPSETEDVDARRLRERNWSFVCAGRYGIDDPVINPVAMPPEEWRRGLAGERVLVTVAGMDMLAARGRAYVHALRASGWRGEAELYETPGEYHVYFLDKPDSEKAVKEMEVVVNFIHGGRLSSKDSRMDA >Dexi1B01G0029590.1:cds pep primary_assembly:Fonio_CM05836:1B:33834337:33840617:-1 gene:Dexi1B01G0029590 transcript:Dexi1B01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVRPPALHICAVSGGGSGAGREKWAPQRSWWGGNKPSLPRQPRGNGGRGGGDGALDQVLGVLRRDGEFLQAAAGAPLRDVFWLRFLEKEQQQRKQPKPSQQQMVVQQEEDPPREAPAFPPPAYQPGLSCVELMAADFQALTVYAGSAKHSLARRFLGSKGQSKSEQQPKPKPLEQQKEQQQQILQPPAFPPPSYPPGLSCMELMMADLEALKMYINYYSTILTTPLPQHYDPDLLAQYFASRPHILAFRTIQILFAFVSAVVKMQISKRSQLTADATRSSDNTSNGFDASQYMVGKLLKEMFLDLGPTFVKVGQSLSTRPDIIGSEICEALAELHERVPPFPREDAMEIIEEEFECPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLFNFFNLFQLAFIRKIANRRSNISLYADELGRGFVGELDYNIEAANANKFLEVHSRYPFMLIPKVLKQLTRKRVLTMEWVAGENPKELLSLTKGVSEKVTEASEKQKLEAKSRLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGCVGFLDFGLLCVMERKHQRAMLASIVHIVNADWASLVYDLTQMDVVPPNTNLRRVTMELEDALGEVTFEEGIPEIKFSKVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAVAADGNFKTFQAAYPYVLRKLLSDNSLATRRLLNQAIFNKKKEFQWQKIAAFLKLASARGNFKYNSGALPEADTKDVNIASLVEISDSSLLDRAAATPERALHTANLCVRLLLSKDSLVIRRLIMTANAKSLARDLISRDALMFRVLLRNVIADVVCQWMLKVTGFKRAAESGIQTPMATAKHEGHLMPSEEESSTLMALQAAVRDRRMQVIFSKLVRELREDPVLMVRVGWSVIVVSVTSAAVGLHRFLVFLSKKYLQKLPTPVPPPRQVQLQTL >Dexi2B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:2B:24312481:24313318:-1 gene:Dexi2B01G0014510 transcript:Dexi2B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCPPLPLDALQKLSTTVKVLDISEMNMLSGKELTQMLSFMWKLSELQIQRCEKIRALGVLEQQEEEIAPPDELLLLPPQLEKLYIRHFPELSEDTAEMLEQGSSLPPSFNLESLRTDDIAAVLAAPICALLFSSLTTLTFDDNKEIECFTKEQGEAIELLTSD >Dexi7A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:7A:22134740:22136767:-1 gene:Dexi7A01G0011720 transcript:Dexi7A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKQPTGAFRRPITAQEPFVTKAHEVQPTSDSAVHLSRQEARVLSLWHFFVSAAPAAAAAWGQCVSLHRAPATMAEQTEKAFLKQPKVFLCSKKPAKGNKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQANLMYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTAA >Dexi9A01G0033020.1:cds pep primary_assembly:Fonio_CM05836:9A:37847978:37851449:-1 gene:Dexi9A01G0033020 transcript:Dexi9A01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRLLDAGNGSPEPRWCAVTGGRGFMARHLVAALLRSGEWRVRVTGLDRDIVLGLDETEELLGDALRDGRAIYAQADNHLGASPERVGREKEMSVTQREETWRGKMG >DexiUA01G0010050.1:cds pep primary_assembly:Fonio_CM05836:UA:19729709:19730218:-1 gene:DexiUA01G0010050 transcript:DexiUA01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSISQDGYLRRWKASVSSHGASVKSSLAISGTGGTCVGEGGEPAETDSSSPSVVDAEHLGESGGDAAEDSASGEEPPLLESARDWQMALGVDGGVERAGHVRAAAAEGREVEARHVAAEAERRLVASAASSAVSKVPSHSRVRFLGRRISATHLPQWRWRTPR >DexiUA01G0006830.1:cds pep primary_assembly:Fonio_CM05836:UA:13114157:13114822:1 gene:DexiUA01G0006830 transcript:DexiUA01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGSLLSGRRPRNLEKFKVTVGRFAGVPLWFPRPVHLCFVQITRCKLEAHDLDILRDLPKLECLVLGLDFIPKEAIVIQNEGFRELQRLSIECPVPWLTFESGAMPMLTYLQLEFNASPTSQGCFPTGISNLRRLAKVALWYNVRYANSSSVKRTVGAVREEVAKCRNATQMVSLFINGAEQDDVQAVVEETESATGAPSGTGAGAQDDVIEVDEITEE >DexiUA01G0003950.1:cds pep primary_assembly:Fonio_CM05836:UA:7993097:7993448:1 gene:DexiUA01G0003950 transcript:DexiUA01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFARPAAAAFLRSAATRNPAASIPRSLASVPRAPAVGRRMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >Dexi8A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:8A:24372531:24378247:1 gene:Dexi8A01G0014060 transcript:Dexi8A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKKGGKKPPHRPEKGDAPAPTNADWDDSTAAFRKEAETAICARSDDDGGAAAAALLAERHPSSPLAHHLLGHARASAARAGDAVPALRCAAELAPRCPGIAATLASALLYARRPADALAECARALAVADPTDPALHAVAASSRRGGLVAAQSSQARVAAARERLLGLRADAEVLEATGVCRRGAAPLPPLMAPMKLSCCCRHATKRRGAVTDDDLRGFLTVSFDDLTAHCDCDVRTGSVHLLTRAVEFAKATNAWGYWLCPVCDSVFLDANSFVSHVEGEYIHELQELQPLMPKRAAALDSDEFEYSLKWTPFDMGEEDPERRKNLDTIKEAFSSLDAFKALPVGLVDKIIKLARSRSKKPLPYCIPSCATSLDSRELQRLVNPLEQLRNNLSRGWEFVRGLGTEGKNKGPCEIVSLLQDECLLLSLDPEKIASSKKDGSCEEDPVFRWLLNSLEEVALPWASLRQKCVHHGNEVMERIYEISDSLKRQSNLKCAAKEKNHRGYSLTEVDSIDVEMLLLDNEVGYLKNKLVEVCMFDYSAAILPLLRAYIWDKLNNLPGEDSRDQVDKNSINNGDSLDGLHVGSLFEDKIPETVSDMRFTISRADECENSSLSQSDSSNFSTFETESFSIDIGVATVLHITADDLQFLNVTLRALWHLRDFHYRFLKMSFVLPHLTVEAHCIVCLLRKIFNAWDTDKENGVTSFPSDVRTAFSDILNERNLFGKERVNIASEIVSTIFDAFHKSHASLHSDNPALEYRAISTARYLDFVCVAHNVFGLPIREQQKCKCLNESSEEKKHTTFFHSVDVSAIQTTEMESLGQLLRDADKQNQYDTESCPCGNKPERSLQSPPPIFAVVFNWEIDKESPIDMSKVLMSITTPLQFDLLHEVLGRENYNLATAAQSCFVISLQTLGRVYSIGIAIEVSNPKYFSLIVLDIAVFIGNSC >Dexi7A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:7A:26262974:26265416:-1 gene:Dexi7A01G0016420 transcript:Dexi7A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSTEFLSRIAAGDGHGENSSYFDGWKAYDMNPFNLHHNPEGVIQMGLAENQLSLDLIEEWSLNHPEASICTAQGASQFQRIANFQDYHGLPEFREAMAKFMGQVRGGKVTFDPDRVVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPAYALVSAYEGARRQGIRVKGILITSPSNPLGTTMDRATLAMLAAFATEHRVHLICDEIYAGSVFAKPDFVSLAEVVEHDVPGVNLDLIHIAYSLSKDFGLPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQFFLARMLSDAEFMSRFLAESARRLAKRHGRFTSGLREVGIGCLPGNAGLFSWMDLRGMLRDKTPEAELELWRVIINEVKLNVSPGTSFHCDEPGWFRVCHANMDDQTMEVALDRIHHFVSKHQQQQQQQQQQKAAKAKRWAARGPLHLSLPRRGGTVASHLAALSSPLALMSPQSPMVHAS >Dexi3A01G0026350.1:cds pep primary_assembly:Fonio_CM05836:3A:22564491:22566212:-1 gene:Dexi3A01G0026350 transcript:Dexi3A01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQPECSAGGDRLSKLGDHVLGSILSFLPAKEAASAALLSSRWRHVFGAVHTVSLEEPEPPISDDDYGQYQCDSPSCHREHPPDPNAPPSFRSVVSAALIARHRRRGVVAPLRALRVAMGSYRGAADAAEVDQWISYALHQAAPHGLDLGLRLCHEDLLCCRTCYAIVGSSQFSDDDSSVVSSSDDEDLPSSEERPVEPEPMYTVPRALFYCAQLRSLSLGFCRLAPPATVSLPSLVSLLLYNVPDTGANVERLIAGCPRLADLTLEACDEVTALTVLARLRRLALRCCHKLATVAVDASELRAFEYKGAVPDASSFLTTHGGCGRVDYCKVDICGAEATSEEELINLMRLLHLFVNAKHLHLESARLGSGLDKDVLHMKIPCFLSLRHLEMRGCLPDNETGAVGAMSRILEHAPNLEVISLAFHPQEHDSEVYMPREEKLLDAHHLSYSPHSVLAAAATSAMIPCCLRSRVREINLVHYQGGTAQRALAKFLLCNAPAVDKLWCEFAEGAMFEQIQLTREIKGWLINKAVDTHFA >Dexi5A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:5A:8518025:8521255:1 gene:Dexi5A01G0011400 transcript:Dexi5A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVVPWCATADGVRGREERRLYETSSIVQGDIPTMKTPEEAHVSNTDNKVSRLQRLLLRASTVVEEAEGRQITNRGMLLQLKKLKEVTYRGYYVLDTKTDISEVSQSDDLNNLANDGTMDNCTKISPSNGRFLIVVELAHETDELAWDKLYRSLCRRLSNSKAILISGMSQVSDLGTVPALRLTRLHEEEYWYFFRVLAFGSTNPYDHHPELASIAKEIATEIDGYFMITSVVTRVLRANKNSQFWRRAL >Dexi2A01G0027220.1:cds pep primary_assembly:Fonio_CM05836:2A:38603507:38604862:-1 gene:Dexi2A01G0027220 transcript:Dexi2A01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFLALLALLLLLATSVVANGYGVSHDDAPAGANGYGVSHDDAPAGANGYGGGHDDASPEPSKGGGGEEEGPYQEPAYEKPVEGLDAEYYSKTCPQMEAIVHNAVREAINHDYTLASSLIRLFFHDFAVEGTDGSVLIDVPGQSEKHAEASRTLRGFDLIEEIKKELEAKCHATVSCADILTAAARDASTAVGVPYWSLKYGRKDGKDSIAAEADRLVPMNGQSVTQLIRFFQSKGLTIFDLVVLSGAHTIGRATCGAVRPGMCARRKEGTLDRQYGDFLRRRCGAGGDGEYVELDCETPTRFDNKYFENLLHGKGLLDTDQSLVEDSRTKDLVKMFAKPGASDAFVHLFARSMRKLGEVQVLTGNEGEVRRKCSAVNY >Dexi9B01G0026100.1:cds pep primary_assembly:Fonio_CM05836:9B:27916017:27918710:-1 gene:Dexi9B01G0026100 transcript:Dexi9B01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLILLAALLAAVALLAPGASGQDYPWLVCDYAARNFTPTNSRYLANINTIGAALPTNASSSPELFATAAVGAAPDKVWGLALCRGDANASYCLSCLDQASRDLPNACPYNEDAAIYYDQCMLHYSPTAFPAIAVDDSGSTYESVDYGDVSLEESARFNGIRAVLMNATADYAAHNSTRRYAAGEADMDLPDFPKLYSWVQCTPDLRPARCRRCLAGVIALLPQLYTNSSVGMVLGVRCSVRYQTDPFIDGPVMVRLGAAQPPKAAAPEQAPAPAPTIGPGAVAPAPAATGRSDTDYTREAEDMESVDSMLMDISTLRAATGDFAESNKLGEGEFGAVYKGVLPDGDEIAVKRMPKSSSQGVEELKNELAVVAKLKHRNLVSLVGVCLEQQERLLVYEFVPNRSLDLFIYDTKKRAQLDWGKRYNIINGIARGLQYLHEDSQLKVVHRNLKASNILLDSDMNPKISDFGLARIFSREQSQAVTNHVVGTHGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRKNKDCSDSRRSEDILTWVWEHWMAGTVLEIVDPAMDGCFSEDDVRRCIYIGLLCVQGNPGDRPVMSSVVMMLSSNTVSLQAPCKPASFASNVVSDVAASSV >Dexi4A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:4A:4099686:4102564:-1 gene:Dexi4A01G0005720 transcript:Dexi4A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWLAMPTASGESRIKKLLVQHIETVHHSEAVEDVLHSLLLADSNSKEKKSKTKEWNDRIKQDKKPDQLRSAGRQRHEYLPSAAEEIESDIISLALSEDSEVYDIYTVKEVNDTNMEDTSAASYPRLQVDDSEDECYDDDYPYDTDDSNAEDNPLFDYPEELSEYEDDGSNDEDPFGDVEGSGSEDYEKEEVETDGD >Dexi9A01G0024260.1:cds pep primary_assembly:Fonio_CM05836:9A:20527649:20528602:1 gene:Dexi9A01G0024260 transcript:Dexi9A01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSCGMDVRCIYPLLLALGLHRLGLVASVSISLVRRSGPYPSSAATVSASVRSLMGISGEDLSAAVKMGYDIFTPTGLDFILVTLLGTLCFPRFDDCIDGGRSPALIVGCLEQEGVFCGWFSADDGFLAAFLSVGARIHREHFCAGCVQDVGSCAELATTDMVVGGWGIILSLQSGSDDLVVVCDYFVRFVLHLLVFWSWCSLIPLAGLLLVDWVLPVKRPVTEPGEWDCPGRSMAKWHAFGMLLTGFEDLFVNSGSGREFHHAFFIAGDGEDLACGNDGRQRRGSLGALDVVFYVIFPLIMSALFKGIDVTVM >Dexi1B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:1B:8408179:8408784:-1 gene:Dexi1B01G0009360 transcript:Dexi1B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMETALRQGLSEPEQRELEPVVRAHHTFPGRAPGTCTSLVTQRVDAPLAAVWPIVRGFSNPQRYKHFIKSCDLRSGDGATVGSVREVTVVSGLPASTSTERLEILDDDAHILSFRVVGGDHRLRNYRSVTSVTEFFRREEEERPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATSSSSRPSGGGGDH >Dexi9B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:9B:5760112:5761740:1 gene:Dexi9B01G0009330 transcript:Dexi9B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRNESTIEENEIRITAQGLIRNYVSYATSLLQERRVKEIVLKAMGQAISKSVAVAEIIKAGDDSACFNDFNYLVSWRAG >Dexi9B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:9B:8864301:8865212:-1 gene:Dexi9B01G0013140 transcript:Dexi9B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAVRRQAIARASVSALAASLPVIYVSLLRPPPAALAGDTAFWFLMSNCVIAAIVATSSSSSGDHAGTLLSMSKDDDVDGEEVGLSCSPSGGLLPPVAIGGDVAVPLAPAPVPQEDEPPAAASVDVNGDGGRVVQGEVASAVTSSHPVDHALPPLMKGEEEEEEGVVTNEPCIVKNSTVKVEEAQGEDDGEVVPLATIEEGAALAEPTDQPETWSRVARSTKSLPVPEEGAADGDWQAALYSHGGLRRSATVGSKPAAEEESEYWQLSDEELNRRVEDFIARFNREMRRQIEQEAGV >Dexi3A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:3A:2565882:2570592:1 gene:Dexi3A01G0003840 transcript:Dexi3A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRANPARCGLIQCKRKRHRPSAAFASYASSPWDRWMEIQDTLVRLVAERVLAGDITDYIGSRALDQQMSQAREMQDSPLSQALGVQEIFSFLLGLVGVSMGAVRIERGAVQDIDASRPTNQRLTQTTKQDAGAWREPGQLLRYNKPIRPLAPVVDPPALPSTPLDDAAGRGLSSVPRPPSSVVSSASSTSGRVPSPLSAFLSFGTISPWTRSTASSSCSGTRTWPCDYSAPSPLPSLATLLPQLRALFINPFGTALINLRKVRAAVSYSPDGVVTPLLPLRITGGLFQVGKPNDQERGSSCGEEGLSFIVFAAYTEDAKAHHRKTPRHPSCSRLTAALEQGCPETFTLERVISVSFETELESATPEMTPETLRPVNPSHDDTPLQSKSRGSLRHATTHAPEKTPQHCANHSFDFARLGHATILEGNPRRKLRRLDLDAVRNRHEKEVHYVSHCHIVSRSWKARFPLAHALSNEHHEHMYSHPALLELISFSHLACNPLLRATRNRCSAPLLDVRPRGRNQNKPPSHLSPSGKRVV >Dexi9A01G0036920.1:cds pep primary_assembly:Fonio_CM05836:9A:41251581:41256133:1 gene:Dexi9A01G0036920 transcript:Dexi9A01G0036920.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGTRPSHADTLEPPQQEAVKPSPTNGRIRYRTPSAADLLPADDLASAPSAADAAAAARMRRYSGAGSRQQQQQTETVADRVHRYRVVLLVLLAPVLLISLVLLLMPRAPASASGGGLLAAGGRRWGPRAVEDGPNKYAVIFDAGSSGSRVHVYCFDENLDLVPIGKEIELFKQKKPGLSAHAKDPQKAAESLISLLKEAEKVVPADLRQQTPVRVGATAGLRALGTERSEEILQAVRELLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLGKLGKPYSNTVGVVDLGGGSVQMAYAISEKDAVKAPEVADGEDSYVKKLLLKGTTYYLYVHSYLHYGLLAARAEILKAGEGSDYSDCKYSYGDNTFEASGSPSGASYSKCRALAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEASLFHN >Dexi9A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:9A:8264266:8267631:-1 gene:Dexi9A01G0012920 transcript:Dexi9A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGHARAPASLRRPLVAAGARELPVAGAWGFREGCGNMHKLVPEEKAEVAKEDRLPMSQGLQMLLSSYGFDVKPEMFAFCIQVNEQIVKTASALFKCDAVDETFSTYLRDTSKRLKKISGINCENWSLLKLATALKVIFCPEGEKGDKFCKVLSKDELLKLKDEAHKYTNILSEMICLRAYNKIWVSRDPGTASEPIVLGKSTFCEGLSLGETGGKRIASISGAQLSTSKAIVPYRPFPHAVLLNSCAENQSHNIDVDNMDGHNINLVISTLPPFTESVEQLLVLGIEASVPLGSVGDSG >Dexi7B01G0020980.1:cds pep primary_assembly:Fonio_CM05836:7B:26136967:26139422:-1 gene:Dexi7B01G0020980 transcript:Dexi7B01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFSEDILADKLAKLNNTQQCIENRFLTGLRKNAEQIVQTWDKQFLSSSKEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDDRGKKVASRLVDIWQERRVFGSRAGGIKDVMLGAAPLPVLDMTKKRSHSSAIKIVKRDSRSVKLRLGVGGTAEKIVSALHTVQSEHADEDADLEKCKTSMRHVGKMEKDVDSACSKAEDPHREVLCAELKDEEANMKECIEKLKVVEANRAAVVSELKEALQEQESELEKVRTQLQLAEAMVHEASNMQRRLKNEPTVPLPNSSSSVEPGKALPNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRPDKRQKTDQPLQVPSVANAAAFVPMPQVVATTQQQPQAILVQQAPMQSQAPAPQPQYNMYQAPPQQFVQQPGGVMMGMPYNMNTMAPPPPPQPQMMNLGRPSPSQPAAPPMLQQQMPMSLAPQMQFTLQQPGVPPFRPMQPPPPGMQYFHPQSQ >Dexi9A01G0043120.1:cds pep primary_assembly:Fonio_CM05836:9A:46625618:46629616:1 gene:Dexi9A01G0043120 transcript:Dexi9A01G0043120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHPEGEAAAGTWVTVEEWSGSSATALSRTAVLTASASSLTSHRFGSRWGRIGGRMLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRTMLSTQALLGAIGVGEQSATVIGATFQVDFSTSLQMFMVSEGFDRNAWRDIVHLLSGILLNIGSDNTLCGQHVIVYIHFMCILFHQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMLANYKAVQSLSLTTLNYERASILLQHFKECGEDSLTLVTFGRLEIAKTRSHYENTDYLLLDKEGSVHIFIHKQATPADVLKSFVHGLVLAHSAHNGKSKHADARQWMDEKYATFISRLQMKGYSTERLLSHSIIWRAHWLHGQLDEKLK >Dexi1B01G0030210.1:cds pep primary_assembly:Fonio_CM05836:1B:34246785:34247570:1 gene:Dexi1B01G0030210 transcript:Dexi1B01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSSSSSPLLLLEITVISAQDLHRRRLGLGRRTRVYAVAWADEAHKLRTGVDLAGGESPTWNDRFLFRVDDKLLRSDTAAVTVEVRAAGNLLGPADTVLGLTRIVVSTFVHPSGGRQVAALLLRRPRSLRPQGIVNVAVALLDDASAAVIYDEHESPDAFAVKDLVVKKRTPASLCRIAERGEESEAADEEQSMMAFVDHSGRLQEPCRVEQRKLELKLEKWKADLSPPGPKEGRRGGGRRWGRSSCFRGSGEWER >Dexi4A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:4A:2874450:2876829:1 gene:Dexi4A01G0004040 transcript:Dexi4A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFFASSATSSSRRAGALRRLLSTPAFSAACLLFGLAGFLAAALTLSRSPYASGSRCPDSSRPLSVSVAWDRLPGDASAAGSAELPAELATGSRGRHKVMAFVGIFTGFSSIGRRRALRRTWLPSDRQGLLRLEEATGLAFRFVIGKSNSKNKMAALDREVEEYDDFVLLDLEEEYSRLPYKTAGRWR >Dexi4A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:4A:20841977:20844558:-1 gene:Dexi4A01G0017100 transcript:Dexi4A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHQFLDGLPPPSLSSLTGETARASEGKPPLLLSPHPLPLHCLQVEQLTFPTPTTHCPPGVFPRSPVSDCPPVLRFASHPVGRPPHSRRRLPPAVLLLTLVAERRRGARVLGRDKAVVAPLVFLGKCVPPSAQFPSPLLPIPFVILSW >Dexi8B01G0002480.1:cds pep primary_assembly:Fonio_CM05836:8B:1704949:1707382:1 gene:Dexi8B01G0002480 transcript:Dexi8B01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITNTQPPYSNPQSNPNSTIRRRLIFPRRRPCLRPSCPTTAATASPAETPSTRAADQDRRVCEASSALASADLLRREEEFYSSLFDSAKGDGVRSRSQLIERKIEVLEDMATKGAFRLMIYSFIILLRCCTQVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPLSAFCRTSAGEWDAFRSIDMDAEERVRAFIDDASDKDVLVLNVQDPFQRLLLHGVCEFYNVTSTTSSSVRDGKPWKTTTIKKRQGTGVPSKITLVGYLRMKKNGTQ >Dexi1A01G0026670.1:cds pep primary_assembly:Fonio_CM05836:1A:32527085:32530063:-1 gene:Dexi1A01G0026670 transcript:Dexi1A01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTDLINLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRYNAAKIFSSPEVAAEEPWYGIEQEYTLLQKDTKWPLGWPVGGFPGPQGPYYCSIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKSAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIVWKP >Dexi5A01G0025690.1:cds pep primary_assembly:Fonio_CM05836:5A:29504359:29506172:1 gene:Dexi5A01G0025690 transcript:Dexi5A01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALVLVCCCLLLASTAAARGLGDDEPFSLRKNAARRLRQRDPFGARKNPADPHNSRGTQNCSPSPPQSGGGGYYSPPTPSSGVSPTTPGGSGGGYYPPSPSVGTTPTIPSPDIGTSPTTPTTPGCGGSGYNAPPSPSSGTSPSTPGCGSGGGYSAPPSPSSDTSPSTPGCGGGCSAPPSPSIDTSPSTPGGGGYGAPPSPSSDTSPSTPGCGGGCSAPPSPSXXXXGGGCSAPPSPSSDTSPSTPGTGGGGYGAPPSPSSDTSPSTPGGGGYGAPPAPSSDTSPSTPGGGSSGYGAPPSPSSDTSPSTPGGGGYGSPPSPSSDTSPSTPGGGGYYGPPSPSSDTSPTTPAGGGGYYGPPSPSSDTSPTTPSTPSGGGYYSPPSPSSDTSPTTPDAPLTPIYTPPTPFSPLTPTPTSPTPYDPNTGPCTYWMTHPGVVWGLFGFWCPLVRLFGPSAAVPFGHDLTVPEALANTRQDGVGELFREGTASLLNSMVNARFAFTTQEVKDAFAAALSSGDDAAALAQAQLFKKANEGRVN >Dexi5B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:5B:17677957:17699087:1 gene:Dexi5B01G0016870 transcript:Dexi5B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVLLSLLLDGAVTATVDGSGGGCNRRCNGLVAPYPLGFSGDGPIVLVCNATASTALLPNGTLPYQVVSFNSMASTFAVSLPTSCDGRTVRDAHASLNTASLGGGYGVSSYTSLFLRGGCDRAAATNAAASCSVSAEIMNNLIDTSRCGNDTTWGCVSGTGNTTAAFLDWERVVASGCEDALTAAVFVDDAETAVPSLEFGVAELGWWLNGTCADATTGGRCAANSTCLEVETPGWALGQRCSCLEGMSGDGFATGDGCYNNGEFIFAVFLCVSHLPAVVAGVGSVALLVVGLVVFFCLWKRKRQNNAVRMLKTTGKQASTDGARLFRNKPVNDDDLELDQGLTGPQRFCYEDLAAATGNFSDDRRLGRGGFGSVYHGPATSCWMGPSRPSSATSGSRGSSTTADGPTRQRRRHDGVHGPGCMLAGRASVESDVYNFGVLLLEITCGRRPAVCVVVGDEDEEENYFDHLVQWVWDLYGGRSILHAADARLAGEFDGREMACTMLVGLWCAHLDRSLRPAIRKAVNVLQFEAPPPILPMKMSVATYGPSPPADRAGATTSSDESAAIGIGGPAIDLDGNVMGMLEVYDLLGHKARAITLPVGLFDASEMVVLEDDGDSSNEEEEEK >Dexi9B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:9B:11542946:11554017:1 gene:Dexi9B01G0016810 transcript:Dexi9B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDPIALLHLVLLAFPPPLATAPCAAQCPRRVRRARLGLSSAAAAGMEAGEMEDVRAAAAAEQVISSRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQVIYINGRPFVLRDVEKPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPSGQMVDQWESVVSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDLETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTGSIGKVFYAGNDVDDYMPSSEEAILRGEYSVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRNSILRQSDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSANQTTFSVEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLTKIVESADGRPQDMDVVAAMRNGEVLGRQTVLKSDHCPGCHNLNLPERVEGAPNFREIHGFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVVYINGKPYVLREVERPCKNMLEYTGIDRERVERMEARLKEDILREAERYGGAIMVIHETDKGEIFDTWENVDNEAVLTPLEVYKHLEREGLKIKYARVPITDGKAPKSSDFDTIAFNVASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPSCQNNHEDANDAVYSTGEDAANYNGLLSSESWKPRTLTKLNSGFGIKDILLLRKITRLFDNGIECRQTLDTIIDRCSAMQNIRQAVLKYTKVINQQQLEPRVRRVALSRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGEVMISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPHEQKAIYPPPQGDVTMEAVVKARNGSVLGKGSILKMYFFPGQKRSSSVNFQGAPHVFKVDGYPVYSMATPTIDGANDVLSYLGSKYTTGRSIAQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVESIETRLKEDILAEVKQLGGRLLLHQEEIDEATNQCNVVGYWEHIDLEDVMTPAEVYGSLREKGYCIDYKRIPLTREREALSADVDAIQSLIDESARYYLFISHTGYGGVAYAMAITCLALGADVKFVMEKTAETHFVSTSFTKNMSIKTATDIALRQGDYRDILNLTRVLIHGPKSKEEVDTVIDRCSGAGHLREDILQYRKALQDFSHDDDDDDDEEHSYLTDMGTKALRRYFFLITFRSYLYCTTPREATFTSWMKARPELGHLCDNLKLDK >Dexi2B01G0032510.1:cds pep primary_assembly:Fonio_CM05836:2B:40358141:40366212:-1 gene:Dexi2B01G0032510 transcript:Dexi2B01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEGKRHSPSRNSSSMISKIKKRNNSQPIRGEISFQMQARHLYAAAMGFFPRQQDTNPLIYTVLHLQPPSSPPNPTSPSTPSRFFFLDSSHGLHRQRQPDVLPGRASLRFPRRPSFFPATTSLEEAPPPAALASSSSAAAAARKPPRKRPRASRRPPTTVLTTDTSNFRAMVQEFTGIPAPPPFAPHHLLGPGGVLFGGGGPHGHDPAARAPFDLLMRPSPLKLPAAAPPTTTPPTGSFAHSLSFRPWLDLRIVRRRIRAGDAVRCCHPSSRRKTKAWDMAMASSRLYYTPGIEGRGRSQFFHLLPAGVLAVATTPLGASSSWQVVVAMDRTDCGVICHLQCDIISVSITGLGSGGASGGELFLRCHVPAGGGRSIRIDTRGGAGQPSDGGGGTSSGGAAVSWRDVASLSCDGSPASVRELVDREAVVFEVRRRRPAVLLGRVLGSELVGRAEVPWKDAAGGSPVERRVELVGSTGRRALGEEAPAFLSARMSVRVSETTTPVTAGRRRADSPAYPHWQSGGEWSVGNEDVFAAVACAPDDAFE >Dexi5A01G0029460.1:cds pep primary_assembly:Fonio_CM05836:5A:32522389:32522999:-1 gene:Dexi5A01G0029460 transcript:Dexi5A01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLRFVSVTPLLAIWRPARSVSVARRPDLVALPAGARRLGGDTSVGRTVEEEHTGVVIDGPRKQAAFPPPPPPPPPPPGGLPPSSS >Dexi3A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:3A:17166788:17175113:1 gene:Dexi3A01G0021560 transcript:Dexi3A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRKRADADPEGSGEPEPPADKRPCTAEPSTSAAAAAAPPAPGGARPAEQGGSDMDTSSSGHAGDADADADDGDGDGDGDGDGGSSCESDGDGSPRPRGGRAGRFHQMVEAVAAEGAGQDALVAALNELCEALSFCAEDAGGYFPTEAAARALVRRAGGGGDGAESAPDVILLSVRAITYLCDAMPRAADAVVRHGLLPVLCSRLLAIEYLDVAEQCLQAFEKISRRQPTPCLQAGMITAVLTYIDFFTASIQRVAVSAVANACKKVPADCSHFVVDSVPMLCNLLQSEDKMVVEKVAACLISIVDSFSASVNLLDQLCHQGIIEKVLPLIHTGGLTALSSSTCSVNEALKLANQLIPSAARDVEDTQIVLAKEKIIVDEPRFLCQFSRDILPVLIKVVAKAIKDGRILDIPFSKAFYKLILGQELNIYDIHSFDSELAISLVEFQAIACRRKYAESNLTGDTISDLTYRGCRIEDLVIDFALPGYPDYMLSSGSSSDTLNVENLEEYVCHVVEATVKSGIARQMEAFTSGFNEVFPLNKLQVFSEDELERLLCGEQDTWDFGKLVDHIKFDHGYTSSSPPVINLLEVIQEFGSHQRRAFLQFITGSPRLPPGGLAALNPKFTVVRKHNSNDADNDLPSVMTCANYLKLPPYSSKDIELLELKYDRASK >Dexi3B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:3B:1100950:1102024:-1 gene:Dexi3B01G0001570 transcript:Dexi3B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTQHEEGIIVHLQWAAIASYLPQRTDNDIKNYWNTHLKKKLQKHQAIGAIFAPPPPASESSSIIPTTTADATGHVVDHHHVDMIANNNPLSKNNYAHINTGEEVTQLIARRSPFAATTDGDSSSSPYASSMDNISKLLNGFMKSSSPQNNGATDIKPSSTEINPLLSFNHMSSSTTPSPVFSDILPLPPPQQPALMGHRSYRDELKQQHQQGALSPIEKWLFEEATEQVVDLMDLSGR >Dexi3B01G0028760.1:cds pep primary_assembly:Fonio_CM05836:3B:27336847:27337528:-1 gene:Dexi3B01G0028760 transcript:Dexi3B01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEIGLLKVTEANLEFQKVALDGIQKRSSDKMERFIDKSQEFQANMFGGSNEDLVLLLKEKGSLEDESENLKMKINTIHSSSKEYIAEILEEVNTDNSGK >Dexi9A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:9A:15163993:15164524:-1 gene:Dexi9A01G0020250 transcript:Dexi9A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCDHELIPLEASITKLKVLMRSTDDQIPHIGAEKSVLQKKKTELQRSLWAEFDKVNKEQSDLGAGYADYQFPHQA >Dexi7A01G0007010.1:cds pep primary_assembly:Fonio_CM05836:7A:18370935:18373045:1 gene:Dexi7A01G0007010 transcript:Dexi7A01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTGVLHGRLAIRPSRSPLALRVLPSPIVAVSAALPLCPCPPIARRNAPVVVLFAKKRKGYREDPPDEEEADDVIDELEEDEEVEEDADFDDEGDIMYDDEEDEDDYDFEDDFESDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEQVSASFDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDNAESAGKIPQNISLEVSSPGVERVIRIPEELERFKERAMYVRYTTTSDKAATTAQESDGVLRLISYDMDLRECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFESLKLVRLYSEC >Dexi9B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:9B:3096862:3102307:1 gene:Dexi9B01G0005250 transcript:Dexi9B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPTDNGINEMERRVITKLEMEIKHAFMSRKIRANPAVVGSSSNGRRVEEEGGREISSSRMNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKIVAISDVTGAVKNVNGLDIAQLVKHSAENKGIKGFSGGDAIDPNSLLTEDCDVLLPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNAELRTYMTRAFGDVKAMCRSHNCDLRMGAFTLGVNRVARATVLRGWEA >Dexi4B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:4B:441007:442727:1 gene:Dexi4B01G0000640 transcript:Dexi4B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAGCMEQWMPTAAMVATNVVIAIMTALIKQALNQGMNRMVLITFRQMLATLFLGPIAYFKERKTRPKFTTEIFMYTFLSGILGPVLLQYTLFVGLDYTTATFAATFSNMLPVVTFLISLAFRFEALEVRSMSGSAKISGTLVSLGGAMMLTFYKGSSLTGHTTSSASISSSRQAAGDDHGSAVRWVLGSVSMLANVVGFALWLLLQRKFTSKYPAVYSATAFMSLFSFLQAGAITLSIQRSGIAVWALKGTVEIVTVVYCGVVASGIGYLLLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVILGLYMVLWGKKEEAAKAVASAKPAQAEAQDEQQEKV >Dexi9A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:9A:1030592:1031236:-1 gene:Dexi9A01G0001990 transcript:Dexi9A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYEDWGWKDNDCYCCGWKACAIWTAGLVVAGGVIAVLVIAFAVVYPPKATADDAVLERFALSPGSPASNSTISYNLTATVTLKNPNIYRAIEYGPLAVAFSFNGSGFDDSAAVPAFEAKARKSATVHVTVGGAGKTIKLTSPGVREFQAENDTGKFDVEMRLDTTLQYKGRSAKCPLVVICPLQLQLVDPAVAATAFQRTKCTILRAKKSGC >Dexi9A01G0041090.1:cds pep primary_assembly:Fonio_CM05836:9A:44755699:44759149:-1 gene:Dexi9A01G0041090 transcript:Dexi9A01G0041090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASHRSCLRMQGAIARAALCPKARRATPTLGCRQARVRHLVPRSLSSGGGGGKRRVAAAAGERARDVVSGPRPRGVEDARSVTEEGRHAARRGSVAGAVALIVGTSIGSGILAVPQRTAPAGFIPSAACMVTCWAFLVAEALLIAEINVHLRRRRSKDVGYGRGGDQDDLEVISVKSMAQDTLGAWGGHVATVAYLFLSYTSMVAYASKSGEVLSRLVPAGVPEPVSGGIFTATLALLVAGGGTGVTDRVNQLLTFVSAVALGGGLSLPANANWEQVPSALPVIIFTLVFHDIAPVICAYLEGDLARIRLSILVGSLVPLVCLLVWDDIALGLATDLAAGFDLYRGGDFLAPRRWDFAHRNAAWGLTEKISEGAADGSGHPAAVAILEKNRLSYIATGIVVVPTMIIASTVPDSFSIATDIAGGYCMTILYGVLPPLMAWAIGSKLSGRSAGLADEEQSKDSWGKWDMTSASAKPVLFGMGVFSALMVLEQMLQDFLSFNAALVS >Dexi9B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:9B:11037769:11042963:1 gene:Dexi9B01G0016140 transcript:Dexi9B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHGVADRLMGKNKEAWSEGKIRGKVVLVNKEVLDVGDFHASLLDGVHNILGWEKGVSLQLVSATAADPSNGGRGKVGKAAHLEEVVVSLKSQADGETVFMVNFEWDESQGIPGAVIVRSMQHAEFFLKTITLEGVPGKGTVVFVANSWVFPHKLYAQDRIFFANDTYVPSKMPAPLVPYRQDELKVLRGDDNAGPYQEHDRVYRYDYYNDLGERPVLGGSQEHPYPRRCRTGRAPTKNDPNTESRLFLLDLGIYVPRDERFGHLKMSDFLGYSLKAIFEAVVPALGVVDFTPLEFDSFEDILGLYELGPEGPNNPVITEIRKKIPEFFKALLPNGSHDHPLKMPLPDIIKSDVFKKVPDDKFGWRTDEEFAREMLAGVNPVLISRLKEFPAKSTLDPTQFGDHTSKITEAHIQHNLEGLTVQNALKNNRLFILDHHDHFMPYLGLINKLDNNFIYASRTLLFLKDDGTLKPLAIELSLPHHDGQQHGADSKVYTPAHTGVDAHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTLNINALARHTLINAGGIFELTVFPGKYALGMSSDVYKSWNFNEQALPADLVKRGVAVPDQSSPYGVRLLIKDYPYAVDGLVIWWAIERWVKEYLDVYYPNDGEVQRDVELQAWWKEVREEAHGDLKDRDWWHKMDSVQELARTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGSDDYKKLEAGQKEADLVLIHTITSQWQAILGVSLIEILSKHSSDEVYLGQRDEPERWTSDAKALDAFKRFGSRLLEIEKRIKTMNEDPAFKNRRGPVEMPYMLLYPNTSDVDGTKGEGLTGMGIPNSISI >Dexi7B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:7B:6566793:6569920:-1 gene:Dexi7B01G0002930 transcript:Dexi7B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQDPDWLNDVLSLVQHRTWKSIDVPWSRYRVSAKLGEDGMCSSSLMSVDLAFLLSQKVGLDGEQLQTAAQRQEQRCKLCYKNSVPTLLAQGGSLYSAATYELTEENVDRVLDDMRPYLIFDGGTVTVVSVEDVVISLKLEGETKRKATGYHGA >Dexi2B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:2B:13337202:13337858:1 gene:Dexi2B01G0011740 transcript:Dexi2B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDLDQARNSNSSTTAPPCHAAYEPPASSHQRRLCQAGCDKDAEEEEAEEDDLLLLKGVRHLCERRGGGGGAITRLPARYVLPPSDRPAAPDDGRRQIPVVDLARLRAPAERGAALAELDAACRDYGIFQLVGAGAGDEGRAAMLDVACRFFDLPFGERARHMSGDIRAPVRYGTSFNQLNDGVLCWRDFLKLVCDDLDDVVPSWPDAPLDLRCVR >Dexi9A01G0028780.1:cds pep primary_assembly:Fonio_CM05836:9A:33513934:33516015:1 gene:Dexi9A01G0028780 transcript:Dexi9A01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTFIMIKPDGVQRGLVGEIISRFEKKGFYLKALKLVNVERSFAEKHYSDLSAKPFFQGLVDYIISGPVVAMVWEGKSVVTTGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSIESATKEIALWFPEGLADWKSSQHPWIYEK >Dexi1B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:1B:8421529:8426667:1 gene:Dexi1B01G0009380 transcript:Dexi1B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHHLLSRVVASHRLLHSTTAPSLRPTARRPLPLHSPPPFSPPHCRTLLPFVAAAPRQYAASSFRRRRSSPPPVLLRRRKARRPTRKGPGELIVQIGIEEALPDDPEILSIAETLKTDVGKAAKVAFDDLEDSEYKTRDPSISNLNKYNSVELSLLLCDDNFIRQLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISVETAQRQAEERGHTLLDEIRILTSRASIIRIFKLLDFHDEGDFVSETSPGVFLQGSLVYGRNGQEVYKAKLDVDICKEAFLYSLNHKIPVVAYCEDQCLTLFEHPFANLLHTVHHENKVKVMHSIEDLLEYSSIQKLLLFDTAEEDSSVLRQHFSELTEGKAHILKMQPNTIDIVPLNASKSDGIRILLDHLGITEDYDLDAIGDYTRWLSNK >Dexi9A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:9A:5754400:5755355:-1 gene:Dexi9A01G0009470 transcript:Dexi9A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEEAAAAPPDGGGESEAAEEVMEGEEREAAEEYSWPQLRFDRPPRRLYHFARQFRSVAPAGGNGSGENFLKGVKWSPDGSSFLTSSEDNSLRLPEDAYNGAEHVAEAGVGGEAQVHL >Dexi9B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:9B:3522320:3525140:1 gene:Dexi9B01G0005950 transcript:Dexi9B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVFRVAAVSAPVFGSDRGVGSSGIKGNNNVSFSNKLWVGGTLAWESKEMRPRHANKVLCMSVQQASKSKVSVAPLDLESAKEPPLNTYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSFGVIPPGENPKKPGAPHNVRLYSIASTRYGDNFDGRTGSLCVRRAVYYDPETGKEEPSKNGVCSNFLCNAKPGDKIQLTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPNYRFGGLAWLFLGVANSDSLLYDDEFTSYLKQYPDNFRYDKALSREQKNRSGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKKVADQRGESWEQKLSQLKKNKQWHVEVY >Dexi7B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:7B:12544108:12544749:-1 gene:Dexi7B01G0005230 transcript:Dexi7B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKASSFFKQMVSTIVAAVKAKSTAVRVKTSALKTRLLILGILRNKKLLMASINHKLHAIMGHQHHPSDKDPQISAIDAADEDKKAIVTYTPPSYILSSELGTHNVEASSSSSPSYDQQGDDDEEEEEDSDDYLTHSLFAEEDDDELVSAPGSVIDVMRDAREREAGEGAEFSLEDEIDHVADVFIRRIHRQLKLQKLDSFKRFCEMLERGA >Dexi4A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:4A:25071736:25075223:-1 gene:Dexi4A01G0021630 transcript:Dexi4A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLLNTKFYNKCKLAIKCIRTRMAPIRRKKHAMIRFLKKDVADLLANGLDTHAFGRMDGLIVELNHASCYDIIEELCDYIRKQLGSLQKQRECPPESREAVSTLIFAAARFPDLPELCDLRHIFQERFGNFVEPFVSREFIRKLESTEFTNEERLQVMQSIAEELSVSFDARKLELKLWATPETENDILEKGSRKSAELAIPLSSKQQCNEDAPFERQNEELEKGSRKQAEVVMPLSNKEKGIEETPCERNYGARPACRTDKVEMQLNQKDIQAVADGISLIDDNSRKQQPDKSDQKEHLRKSVSPVDTNRRNAQKDVKKLGRPSEKELLEAVELDLDGLPKKGFGALKFPELEGSKSVHPNVRPKEAVKEHCVEKENEEVVHHHHSSPPGGPDQSGRHADSGIRGRQPENQRRPVSPLNGNTRNKAPPYARLYGENMKNPTEKQAKNDFLYGKPQNLADLGTRMQKEQGVTKKTMQLQPPYVKPKSNMKSVTGDPEKRTPSDYMKQNISGQTDHLDYKVVLRPVSVRRRSAKPQAPVNGHGEVPDNEKNATNQTHSSHTSQSSRQNGANYDHDQKGNGTGDVSVDGRTTSSRPKHPGGRNGALNHNNDYDRLMQRRQPKVDDTAIDFGNLLPRNSNVQRRHKSRHNGDLDVEERMMDKLLMHYSKKGLNPANKADNDGGAQIDSQQNLSLHPPGRGISLPPESIGTGEEVKVPARSTSLQPDGPSCVRVHPKMPDFDELAARVNALRKA >Dexi8A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:8A:12735065:12737950:-1 gene:Dexi8A01G0009490 transcript:Dexi8A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGAATRSSSGATHIFLVHGICHGGWCWYKVATQLGSLQSPAGRPWRVVALDLAASGVDSRRLGEVATFRDYTGPLLDALRSLRDGEKAVLVGHSLGGLSVALAAEEFPEKVAAAVFLCAYMPDCTSPPASVLVEDITLGKSLMRVGAVFLEDLQVMGPLSKDRYGSVRKAYIVCKQDLAITEVYQRWMVSKNPVGEVMEIHRADHMAMLSAPNEVVQCIVDIANKYN >Dexi2B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:2B:3719401:3723621:1 gene:Dexi2B01G0004230 transcript:Dexi2B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os07g0178600)] MGSWGRRCSLPTMATRPQLPLPLLLRGRCGGNDGLLRRGTAQWPWGRARQLAKTEGGPEPKGTAGEEQRAAGGPRACVSNSDASTRVSGGPSRPRPKPIPATCPALPHRADPVAFPFPTPGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPAQNASNQQSHGSYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQKQQQMMAFLARVMRNPEFLKHLVSQNEMRKELQDAISKKRRRRIDQGPEVDDLGISSSLEQGSPVLFNPQDSVEFLVDGIPTDLESPAFDDQSLVEPQEVDLGTSEQQQDMPQEDLTDNFWAQLLNEGLGEENENPVVEDDMNLLSEKMGYLNSDGPASGK >Dexi2B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:2B:22802080:22802943:1 gene:Dexi2B01G0013780 transcript:Dexi2B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEDQARRSHRRERTTSWWTRREDEMKTLLEEELRNRPIGGEEEEDMDIVDEEEADLDTMRVYRRGWEQSFGGSYGSFQDKTSLGPMRYTEGAIPEDASCESAVQIFSVQVTELKDGLK >Dexi5B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:5B:3298374:3299084:-1 gene:Dexi5B01G0004880 transcript:Dexi5B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTVIGRLVAVDRCLSGAAEARRDAMAEWYMASWKLSVSIIRTLSCRALSSVSTPNLLAAAERGRGSSSNSGAAAGGCASMYSGAHHLAPLPPAPEARILTPPAAADATHGRAGAGGRTEKAAIPDDTAIPRRERNQESKKECYTDERRGPRSLSPSRRLSGPGLM >Dexi9B01G0038550.1:cds pep primary_assembly:Fonio_CM05836:9B:39568986:39569621:1 gene:Dexi9B01G0038550 transcript:Dexi9B01G0038550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALMAAATTSCSPRRAAPLLKPVASSSSPSSARPRRPLAQQLPRLLATAAAVVAAAPLPALAEQMEKAALFDFNLTLPAIATEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGDVKDASEEVKQLEEQAAAIMKAARAEIAAALNKMKKETTAELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >Dexi1B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:1B:4685262:4690667:1 gene:Dexi1B01G0005730 transcript:Dexi1B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDVLWEFQPNKLGVGDAITGGDLYATVFENTLMAHHVALPPGAMGKISYIAPAGQYNLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTLEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVQCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSQALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAAGTDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEPALTAKFQKLYDDLTAGFRNLEDEAR >Dexi9B01G0046970.1:cds pep primary_assembly:Fonio_CM05836:9B:46189205:46190462:1 gene:Dexi9B01G0046970 transcript:Dexi9B01G0046970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPKGISEVDDPRQKAVCTERYRRRDEGESKRPVSEIDAEREEECGICMEMNSKVVLPTCSHAMCMKCYHQWRSRSQSCPFCRDSLKRVNSSDLWMFTDCRDVVDMATVTRENIRRLFMYIEKLPLVTPDNIFYAYDSHRKILVLGCG >Dexi7A01G0023600.1:cds pep primary_assembly:Fonio_CM05836:7A:31531970:31533029:1 gene:Dexi7A01G0023600 transcript:Dexi7A01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDMEKFRLKLAAILLESDNNTAIESEDTESNADETIDPNDCVILETPQSFQCTYTSFRDTAEAICDYILSIADGDALGKEWVISSNPYPITVNLEKLQGILNVNMPMDRDIFNLGARMLACDVLTSFREPKCHFLDLKFFVFVPYRSCESYGVFALDRKDRIIAIIDPTPFSQWNDYNHPSFYYLPKIQKIAKTYERAMEEVDPMWNDDVYDWRHIFPSFVPKTMDR >Dexi6B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:6B:11062525:11063781:-1 gene:Dexi6B01G0008740 transcript:Dexi6B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASLVNLLHIFLASLYISMPCFWSSEPYEYKPVPAPPRPCSHVSSSGGSGIAESASRMIMEWCVTMARPLSVHSTGCATPRASHWARAARTTLDAYSSSPYATDMSESPDLDTEPAAAVEHGHRRAVPCHEVAVHASGLADGLVHDLGRRDHGADVEVEHGEPVQLAHPLEPLGHAVDLLLEQAELAALAGRRSEVARDPCEELGTDADERLDAEPGALLDDDVELLLHLQHDDAVEPHGARRQRERDEVRVLVAVAHEVGSGLGLGEAAHGDEELRLGAGLEALSSWPNVTRSWMTSLFWFTLIGYTPWYLFLYPEPAMASLNAAWREPSRALSTSGKRRIMGNWTFLLSLVVPAKTCSMTECTSISCPGFVSRPWGIVQRWPLEFTVK >Dexi5B01G0035670.1:cds pep primary_assembly:Fonio_CM05836:5B:35602976:35604467:1 gene:Dexi5B01G0035670 transcript:Dexi5B01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDLYEKSVICPVAACRGKFYFNPGDTDLGVLEFCPDPVITFIAIDNGFDDDDDEDGFDDDEEERHETSNHGEAVFLVESGGELYRVSLVYATARTNEVDDVLVDRWDFSALRWRGVDDLGGRTFFLSLFYFGASCSVADHGGLQQDCVYVVYPRRKEMLIVDVKEGTK >Dexi6A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:6A:6415475:6417791:-1 gene:Dexi6A01G0006650 transcript:Dexi6A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAAAPVVSGNATTYETDGNTTVVAHRDVFESCLWGDFFVNYTPPSQACSILTSISEEWMRERADQLNEQVRRVFETTTSMTDAVTLVDTLKHLGIDLRFREEIDSVLGRVHRDEDLEFATSDDLHIVALRFRLLRQHGYWVSADVFDKFRDDGNTNGGGGSFSTVLCGKTRDLLSLYNAAHMAIPGEEALDEAIAFAKQHLEAAVNKGELKSPMAEQVSRALDIPLPRFMPRVETTYYMAEYEQEETHDAVLLELAKLDFNLVRAVHLKELTDISLWEESAVSVVPDYLRALYLQTLKHFNEFEAMLKPHEKHRMVYLIKEYKMQSRHYVQEARWSNEKNMPTFKEHREVSAMTSFVAAMCLIALIFAEGQATEEAIEWALGMPDMYFASGEIGRFLNDVASYKMGKNKKDVASSVECYAQEHGVTGDEAAEAIAEMVELAWRRINKGALEMGRALLPAARIVTGMSSTVEVMYLGGRDGYTFGRDIKDIIVRLFVDPVPV >Dexi2A01G0036960.1:cds pep primary_assembly:Fonio_CM05836:2A:46455783:46465459:-1 gene:Dexi2A01G0036960 transcript:Dexi2A01G0036960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSRPVRAAGAAFAADPDAPGPSGGAKRAAKGQARRDVCVEVDRSTWGLADVDHRDVAEVVLRDVSVSGDGEEEALEEVLGASRFSLRLRVRDAPEEGFRMGQWPIVPSDCVLLECVVHEKQEEFVVSGCFDGPDEGVCGLAHLVSLRFVSLRVQSLRVFRDMGEARVESFGVGVEVMEQAFSACESLLEVARHPWRKSLMNMMAWLRPEVMTSAVLYGIDGLGVSTDDGATADSTPKSDSQFDLAAFYESIKPSLNAKQLEGGLPDLVPQLRPYQLRAAHWMVQREKGNILHQEYVKSAPYCVPIEFVHRNSSMFYNPFNGNISLQPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRQFSTEFSISQNKTEMSQIKRQKVERVECICGAASENSAYRGLWVQCDICDAWQHADCVGYAPKEEILFDDSAEDVPSKNEKNNMKPRIRRKKKPKCSIVDTEDKYVCALRLELTEVAQTNIFSHATLIVCPAPILAQWHSEITRYPVVPTVLTRIYWWRLCLDEAQMVESSKTSVTEMAMRLHAQNRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYERGDRIAMNYTHNFFKEIMWRSSKIHVSGELQLPPQEECFSWLIFSSIEEYFYKKQHATCMDHAHQIIRSLRDDVNRSGSTSDSNALLNVYLSNDDIAKLLVPLLKLRQACCHPQVGSSGLCSLQHNPLSMDEILQVLIGKAKIEGEEELRKIVVALNGLAGLAAIEQRNQEAISLYKEALALAHENSDDFRVDPLLNLHINYNLAELLKNSSEYLQECPLKNKASEVELSRKRKEINIVETDTRCIKRNKTCGNSVSSLTINGMETSDEDENVIEQACSTGEMDTENVDEGHSSLKCSADECLRKTCNTITEKYLTVFTSRLTVAQKDFNASFTEVLNITKELQNEHMNWWLHALDCIEQNNVSVDELLKKIDNSSTRSTTGLGARGMSSRSVANLFQVKSIAGLKYAIQADIDSLQSSRQQLMDRLLEVDNTMDNPRDEDIEGQRYCPKCYDGSGSLCIQCELDELFQMYEARLFLVKKSNNDSVIDSVEEAQDLQRRKYELNHFFRNKKSNEGSEPGYDNKNPRSARENIQVRCLYMTEQGATQFGKRKKWIMCPTCRQRTDIENVAFVVEKHSDKAEKSAEDLAEGTISVQGSYGTKVKKTIEESIFKLNRSRAVCSTITRKSKNFKDEPVLTLKDVESLFPMTASDEPPELANQDHDDSLRSLPPSVAAGLAAERRRLMEHDNQQANRWLFSF >Dexi2A01G0024310.1:cds pep primary_assembly:Fonio_CM05836:2A:36028142:36030555:1 gene:Dexi2A01G0024310 transcript:Dexi2A01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANPFPHAPSPTSLAAMEGSKGSGGGGGGGGDKPNPSPIPPPPAAASAGAGDDGAAAAAAAEAARRPFTALSQEEADLALARVLQEQERAYMLLRMNGAGGEGSDYGSSEAGSYEYDDEEEEEEYEEGLEQHLRVQHHEHPAADARGEGEAEGEGAEGSEDAEGSDYEEEFDEDEEVEPEVDPADFEDDEAYARALQDAEEREVAARLMALAGLSDWRAVDVEHEEDHVNDPQELVALGEVVGTESRGLSADTLASLPSVKYKTQNVQDGNTEQCVICRVEFEEGESLIALPCKHSYHPECINQWLQINKV >Dexi4A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:4A:18751035:18753602:1 gene:Dexi4A01G0015680 transcript:Dexi4A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVEWYPTLAVFMVAVGLMLTASFFIYEATSSRRSRSLAKEVVTAAVASVFLGFGSLFVLLASDVYV >Dexi3B01G0035390.1:cds pep primary_assembly:Fonio_CM05836:3B:38195227:38199702:1 gene:Dexi3B01G0035390 transcript:Dexi3B01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPQVAAPPPMMPMPPMMAPAVGAGAVHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASIIVPQMGGNAGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIARGINPNDFANNHERFIHTMRTTQGALIVASILNMILGFSTIWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVIVQQYVPRYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHASPKTQLHCRTDKSFLMSSAPWIKIPYPFHWGTPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSASVENIGLLGLTKVGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGVSLFLGISFNDIINTVFASGPTVALIVASVLDNTLEAKGYESDRGLSWFMPFLRRHKGYSDPRNEEFYSFPIRVHDFVPSRFL >Dexi9B01G0030890.1:cds pep primary_assembly:Fonio_CM05836:9B:33336940:33340181:1 gene:Dexi9B01G0030890 transcript:Dexi9B01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLLLIALATLATAVVLRHFLPLLRNPGLPKGSFGWLLIGETIAFLRPHPSNTTGGFVHDRIARYGTVFKSHLFGAPTVVSCDEELNHFVLHNEERLFQCSYPGPIRTILGDSSALVVTGERHRQIRAMFLALVASTGLKPAYVASVSESARSVVASWRGRDTVTFCEEARKFPYKVIMEQVLGLSPDEPVARRILEEYQTFMKGVISFPLTIPGTPFARGMKARKRISDTMEAFIEERKKNGSSKQGVFLDVLLANKDLSHDDKVAFLLDSLLAGHETTSVLLSILIYFLAKSPNIVEQLKREHESIRSSKGKEEPLTPEDYRKMDYTQRVVSEALRCGNIVKLVHRKALQDISFKGYVIPAGWKVLPILGAVHLDPSHHVDPEQFNPCRWEGLNQTNSKSFTPFGGGQRLCPGSEIVKVEAAFFLHYLVLNYRWKLDGEDVPMLHQYVEFKRGLPIQLEPL >Dexi1B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:1B:12955616:12955852:1 gene:Dexi1B01G0012050 transcript:Dexi1B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKACGGFHSEQAKDAASALPNIYQGVAAPGSPPAPHLSTDIIQSIGIGFLQMQPEAVSAAVLEELE >Dexi3B01G0034160.1:cds pep primary_assembly:Fonio_CM05836:3B:36926104:36929747:1 gene:Dexi3B01G0034160 transcript:Dexi3B01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCITAV >Dexi9B01G0036990.1:cds pep primary_assembly:Fonio_CM05836:9B:38468396:38472104:-1 gene:Dexi9B01G0036990 transcript:Dexi9B01G0036990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKGGAKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVRRSEETLPSGRLHLVDEDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGVPEPYCTPFRDTRSFRDSQSLKRSPDYSMNDQFGHVPRRVDGYMRKPLDLRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQTSSREKICSFSPARLPLRVSEPRESISASQRAVSQRAQSSRATPELPDVRFSRGQQMNRSWNSEEDIVIFRSSIDSYEINNPSCSKNNKGKSISLAVQAKNNVQKREGVSGSGRNSGVQKEHDDNRTNQPFRSQSNHQRNKAQKKPSSSGTSSPVLRQNNQKQNSLMTRGKVAPNKSASTQQGRKVMAGDSSSGKIKSGSKMSKVGGRKDIVESISGDRERSSSNNKDFPQKKRLIERNSTNDKGTFVPEKPAGKLQKQVQPNVVMDEHIKWNQESKDTTDVVSFTFTSPLVKPSAGPSRLAGKWDTRSNFNLDAGCDKDDSDIKAEGPSSMGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFVPATFTLEEPPTSSCSNWGSESGVFDCSPSEMKPSQYDYCPSAQSSTKGQIFRGSKLKVEEPEECSSINNTRKEQENEDLSPLSVLEPTFLSESCWSSECSGSSDGTKTYPTTSEVKNILGNFLMSTPSVDAEAKTTDSASSASVDFSDISDVTQCSKKSRHTELEYIEDVLSNINLTTDELGSLFVNQDGSVLDPLMFDKLEKRHVYTQGKEPLGRQGYRRLLFDCVNECLDTRRSTYFRAGYAAWSKGVAVLSRGVETEVCNEITSWKSMGEWVEDELVDKDMSSGLGTWVDFRVEAFEAGEEVESEILSSLLDEVIGDMIVRRPQECKFVI >Dexi7A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:7A:13930288:13934176:-1 gene:Dexi7A01G0003840 transcript:Dexi7A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAAPGGARSFLQAVSKVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPVREEDDEADADGANYQLDMMKCLREVNVDNNTIGWYQSCLLGSYQTVELIETFMNYQESIRRCVCIIYDPSMSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVTQCDYDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYRFYFIYYTCLF >Dexi2A01G0030840.1:cds pep primary_assembly:Fonio_CM05836:2A:41653594:41659441:-1 gene:Dexi2A01G0030840 transcript:Dexi2A01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRDSRRGGVSVAGGPPPRRRLRSNGGGSVSGGGGPRDSPRSERRRGERLMLNGGGSGAGRDDADDTSDDSLGDDDDDAEEELAPRYQPPSQRRSPSTAPPPPSPPQPAGGHHHSSSSSGGGGYHHHHGQQPQMQRKGGGSNPKSPIVAKAVDEMIGVPVPRKARSASTKRSSHEWPVPGGGTSGGSAGAGDASQIQRPSSRPISPASASTTAPARKKLKPLAGGGSGGGSAPKQRPSPSPAPSTTPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPKQESNHKMEPREAPEAKSGNSSPAPSSSGVRPSDSASLSTIAPKRKRPRLVKYDEDSRPASPAKPESAEPSSRLEAPSAARSEGKTSTTAAAESGTSAIPAAAQLETSREPEKLEDHGRSRDPELRPSESDRRDHRPESRTEPPAAPSGKPDGEATPVGSEARNGEATTTTKIELASDGARQEKFCIDLMAPPPGKLSPDRDGSSDPDADKKGLDSEMDMLGRGNSEKKDGERTRRGLDIDLEDKKVQRIPADEFAPKKLTLQLDLEKPSLGDEKSPSERRQPQPPQLQQQKPAKSEVKHEKSAMPAATPPMPIPVGGWLGSFPPFGYLGPVPGLSAAGLHHPMDVKPGSSSGLQHAALLPPPTRSKRCATHCYIAQFIQHQQRVAKMNSFWPPAAAAAAAAAANRPGPFFGARPFNMGVVPPTDAASLLVNPMQGSYPVRAHTPMQEAKAPSMATSPFQGSHSKDKAVGNASSAESSQRKQPPAHETQQSAPMPNMLQGPAFIFPFNQQHAAAVAAANAANRAGDGKSSGASNVMPPSASPHSSAANPGAAAMNLSFANLQPDAQQFLAILQNGAYPFQVAGGPPSYRGMAPGPGVPFFNGHVYPSHMLHPSQQQGAQQQSHQKTPMPSLSSSSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSSNQRPVLLPGLTHRQEGDKTGQDGPSSDDKSHPQKGVYEHNFAVPVHLPNFAMMPAPQTAGGQSEKKLSEHQQQQQPQVSRGQGVRIDLASSQPFVMPFGSIGPPGSTPTGLDFSALAQNHAVFQSHQEAARHGYPQLNFAAAQSVQATQNKPQHQITGETKSVAGDSSSTPSAGDSERKKSTSTKYPGDSQQHSLSFTRTESKSYVPPFLGDSTNESSSRTLSLIGADSPNTFGMGSKSTSSSTHGSTPAAASSTISQQQQHFVQMHPKHHQLLQQQHHLNRPRSAAPSTQNNAGGYSDRLNLAGLQNMMYPASAAQGGVQSPQLKASSGRGGPPSSAATTPPAAPSSNLIVMKNSGLHQQQAKVPMQALSTPGHQSQSSLSMSSSKMGPSLTNLSTGAGDLSRSSNAPVASGSPSNSVSKSTGGSPTATGSAKGVQQPVQLQSPQHSSKNPASTSSSKSTPANHFSMAMPSILGQQPNVSPGSNAGSKQQSHTPPSSMKQQPFPQGHFFISNAYAPQAPGAGGPAALGLYQKRPGDKSQQQASHQQNAMSGLFPMLSLSSDSMISPLITPSDSRNAFAAAAGNNMKALHQPGGFMHLAAAAQSAGGVPHSHMSAAQLTFGAMPMPVKPSNDQKPAAGT >Dexi5B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:5B:17861617:17863552:-1 gene:Dexi5B01G0016930 transcript:Dexi5B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIFVVVVFLPCAAGPLTYVSSLLRASTRSLTFWSSSANSSASRTIRSISSSLSRLSSLVMVIFSDLPVPLSAASTWRMPLASTSKVTSICGVPLGAGGIPERSNLPSSLLSLVMLRSPSNTLMLTVVCLSWYVVNTCVFFVGTTVFLAISLVITPPTVSMPSRRNVEEDHVFGFIVDVAAEDAGLHGGAVRDGLVGVDPLVGLLAVEVVLEQLLHLGDPRAASHEHDLVDVALLEAGVRHGLLHGHHRLPEQVVVELLEARPGELLGEVDAVEQRLDLDAHLVLVAERALGALALAAQLPQRAGVAGDVPAVLALDELDEVVHDALVEVLAAEVGVAVGGEHLEDAVVDGQHAHVEGAAAEVEDEDVLLGALLVDSVGDGRRGGLVDDAEHREAGDDARVLGGLALRVVEVGRHGDHGVRHLLAEVGLGGLLHLGEHHGAHLLRAELAHVAVPDLDAHVRLAVPVHDEVGQQLHVALHHRVAELAADEPLGVVDGALRVRRRLVLGRLADEPLAAVGEGHPRGRDPVALVVGDDLHVAVPVVPRSMPITVPTVFLSPAPPPSADGAAA >Dexi8B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:8B:2741151:2743563:1 gene:Dexi8B01G0003620 transcript:Dexi8B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEEEARMSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPKKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDNDAGKKEIQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALENINLKFIDTSSKFGHGRFQTTDEKQKHFGKLKASS >Dexi2B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:2B:12647868:12650367:-1 gene:Dexi2B01G0011090 transcript:Dexi2B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSNSMLLALLVAFAVVAPSMAARDAKAAAAAPAPSSAGDEVLQPMGFFDDLGHLIGDIPDLPLPRILPCPPAFPIKIPFIPCYNLTTPPVVTECRPSLAKYMPPCAAYLTNGSDVPSSPPPSSCCAAVQQFFEHQDTNFLCLCHVVNGDASKLLKVAPANRTREVSILRDCGYGLGADQAPQFCAGTEQGAIQPPRQAPPPSIPSVAGCWYVSLPDDPLVEILSRLPAKSICRFKCVSKPWRDLIADLIHRKKLPQALQGFIYGDEAHARENYGHCINSLGKSVALIDPSFTFLKKLPWVEKIVLLDSCNGLLLFGHGRVSDIFDSLGYIVCNPGTEQWVVVPSSGWSHGQTARLKKMKITSHRKKWSPI >Dexi2A01G0032330.1:cds pep primary_assembly:Fonio_CM05836:2A:42842016:42842708:-1 gene:Dexi2A01G0032330 transcript:Dexi2A01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAFLVALLLSCSSMSSAARRLEETKPEYPPHPTVPEIPKPEYPSHPTVPEIPKPELPPHPNVPEIPKPELPPHPTEPEHPKPELPPHPTLPELPKPELPPHPTVPEHPKPEIPHPVPEVPKPELPHPAVPELPHPEIPEVPKELPHPEVPEVPKPELPKPELPPHPEVPELPKPEAPHVPEVPEPELPPHPEVPELPKPEAPHVPEVPKHEMPPKPESHYPVPEAKP >Dexi2A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:2A:32067711:32068491:-1 gene:Dexi2A01G0020010 transcript:Dexi2A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADLAAVEREREHSAAVSAGKQPAAAFAAEGQPAAAFDPEGAKPAAAFAAERPKSALESAWGREQPAVAVEWEQPPAELGVEREQLPAELGVEKQQQPPADLAIWCRRLVRASCGGVKLRVEGGFKSGIGEETPGVGDEAGGFGEGDRAGAVGAGAIEEDGEAAEVRVDGIRAARCGICGVEAEDAVGIRLAVGAAVALGCQEGGGGGVEVVGARDVGIGG >Dexi3B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:3B:13473697:13476034:-1 gene:Dexi3B01G0018170 transcript:Dexi3B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSPPLHARLLPLPSHALPASGARRVARLPRHRRPATPPSRNGSSSYPETGWCPVPPEQRPVNEYEALSSSLPFSWAAGDLRVYCSRLALTGAAFALLVGLPVAAFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVECEEFEFVRLPIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAGSLILCILLYVNIENPKEPYENSVGRAIPGVYSDAAARSFEPDAFCGEPDLS >Dexi9B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:9B:9342256:9344928:1 gene:Dexi9B01G0013980 transcript:Dexi9B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQLASSSSSMAASYRLVAVVAALVAMVAELPVAGALGVNWGTMASHQLPASTVVRMLQDNGIRKVKLFDADPGPMDALAGSDIEVMVAIPNNMLDMMTDYATAREWVHQNVSRYNFDGGVNIKYVAVGNEPFLSSYNGTFLNVTLPALQNIQSALNDAGLGDTIKATVPLNADVYNSPPSNPVPSAGRFRPEISGLMMEIVQFLNQSGAPFTVNIYPFLSLYDNDGFPLDYAFFDGTNSPVVDAATGITYTNVFDANFDTLVSALAATGNGGLPVVVGEVGWPTDGDVHATSAYAQKFYAGLLRKLAANAGTPLRANQYIEVYLFSLIDEDAKSIAPGNFERHWGIMRYDGQPKYAMDLSGQGRNTALVAAKGVEYLPRQWCVMNPNAASADTSKIADSVSYACGLADCTALGYGSSCSGMDAAGNASYAFNMYFQVQNQVDGSCDFQGLAVATGTNPSTGACNFTIQITPSAAGRRWRRAPVATALFLWVLGAVLL >DexiUA01G0016020.1:cds pep primary_assembly:Fonio_CM05836:UA:34177121:34177440:-1 gene:DexiUA01G0016020 transcript:DexiUA01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKKGTGGALLFIAFVVAMAMVISSCHAADYCHAIFPCSDETCTNYCQKNNYKNFQTYCTSGQYYPNCCCRVPDA >Dexi9B01G0043780.1:cds pep primary_assembly:Fonio_CM05836:9B:43725490:43726331:1 gene:Dexi9B01G0043780 transcript:Dexi9B01G0043780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAITRARPRARSFGSSHRRRGGGHAGSGAGAGLHGRAGGPGGVLELRGGGSTVTTPDPSCCSGLKDVVRHQVACLWQLFQGGGQNFGISLNMTKALQLPAACKVKTPPVSKCHVSVPGVPSASPVPAPSAGAPFFGQSPSSPAPSGSPAAAVTGSDSNSNTPAPSPAHTGAASLSASSSSFFSAAAAVAVALLAYRVL >Dexi1A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:1A:162961:164103:1 gene:Dexi1A01G0000250 transcript:Dexi1A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQMLPARVESLSGLSAIPPEYVRPADERADLGDAFDQLAAADHHTAPRRIPVVDISPFLLPNQQHKQQECLEAVRAAASDWGVMHIAGHGIPGELMDSLRAAGSAFFALPIDAKEAYANDPAAGRLQGYGSRLATNASGQREWEDYLFHLLHPDHLADHSLWPAHPPGYVAATREFGRRIREVASALLAILSVGLLGPATNTRLEEELTAKGEEDLLLQLKINYYPRCPQPELAVGVEAHTDVSALSFILHNGVPGLQVRHDGRWVTARDEPGTIVVHVGDALEILSNGRYTSVLHRGLVNRDAVRVSWVVFCEPPPEAVLLRPLPELVTPDSPARFTPRTFRQHLDRKLFKKQQPPAAPDDDKQQQQQQDGIAAAS >Dexi9A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:9A:8831016:8831494:1 gene:Dexi9A01G0013690 transcript:Dexi9A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLFLLLLALLLTASAHASTHGHDKPAFTEDKSIAGIIGVIGSRPPSCAGRCRSCGHCEAVQVPISPQELQKRKKKLGHGSRAAATTGGRARPASYDDHSNYKPLSWRCKCGRHILDP >Dexi1B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:1B:3729631:3730175:1 gene:Dexi1B01G0004660 transcript:Dexi1B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLLLLVLLMGTGGHTDASKFSIPKLPRSDPSSSLPKPHSLLEEPLGWVCQNNNPSHPSCPGNKFSWPELLGNKGNEAKAVVQRENPYIDSVVYAPQDAIVAENYCCNRVRLIMNCDDGCDYENATVFQVPIVG >Dexi1A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:1A:6183501:6187059:1 gene:Dexi1A01G0008050 transcript:Dexi1A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAVTGIFWNIKQAFALIQCSGCRADANEAAVILLPSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSCLRANKQVSRIGLWGQSMGAVTSLLYGAEDPSISGMVLDSAFTNLHGLMMELVDVYKIRVPKFTVKMAVQYMRRTIQKRAKFDIMDLNVLKVQLLNLFSCYYQLAPKMFVPALFGHGLNDMFIQPHHCERIHQAYGGDKSIVKFDGDHNSPRPQSYYDSVSIFFYKTLLWLLRNDLSLFLHLQSFFFEIINGLRSANTATCSSSADAPKIPNAKTSVVESLSERVNQLSIGIKDEIDLDILLDENRSLSEMDGDSVGSRLQDKSSRHNEESCSYTSSNRESWGRCSSLEAASDDESFSGDINDKQENVTVNALATPLRQSQRKPSEKAKQKKIRALWTKIKREKVEMGDNLSQRFRMCLGQSPRHRRNKSSGALTTS >Dexi9A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:9A:4022237:4028016:1 gene:Dexi9A01G0007040 transcript:Dexi9A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSLVGGSYRLQRASTPRRSLAGGSFMPLPRLRRRAPCSCVGRRCELAPSPPADKLTRTVATTDPISSFAAIPPPLPRLLQAKEEGPVIEEYSFSFGYPSDNTIELEMIMSCSGYKGGTTFSTNASEVTPDQMRYFLTLDNGSRKISRGFEKMEYHAASILKARYDQNWDRVYADQNEQLKYIPGMFPFNAQAFFDANGCLYHPEDYNFEKEEYPGISPIYNSVTISLDGPKDLIAYRVKMAAGHMRLYHGFYGDVLDGRMVLRFRQQARAF >Dexi9B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:9B:8207699:8209198:1 gene:Dexi9B01G0012270 transcript:Dexi9B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKASTRSTTKHVVLFPFPGQGHLAGFLAIARLLVRELPHAAVTLVSTPRNVAALRSSLQAEPSIGFHALPFVPADHGLPPTCESLSSLPIPAFINLFEAFETLEPAFDHYVSRLLRSGAGDDVCIVADVFVSWTANVARRRLCAHAVFVSCGAFGTAIFHALWNHMPTLPPFGLDDGELRLPELPGVEIHWTQLSPAFLLRGELSDRWTAFYHRTIRHGHRTDAVLANTVEAFEPTGLAMMRRAVGAKVPVWPIGPLVRGGDMTIIATGSPETDDEAILRWLDTQPPSSVLYISFGSQNTIQPSQMMELAAALEITGRPFVWAIRPPVGFDIAGEFRDDEWLPEGFAARGRGVLVRGWAPQVRILGHGATGAFLSHCGWNSVLESLTHGVPVIGWPLGAEQFYNASMLEHEWGVCVEVARGNLPTSAVVGRAKLAEAVEAVMGDTPESAAMRRRVAEVQQVMRSAWAEDGGSSRTAMHEFLRAMHLKRNTGMLLLVP >Dexi5B01G0031750.1:cds pep primary_assembly:Fonio_CM05836:5B:32414609:32416917:-1 gene:Dexi5B01G0031750 transcript:Dexi5B01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSLGVVHISPLPSSPPHAPHLYSRPLSPTPTRPAAAAAPRSLCFLRRSRFAAERTRRPTMAAAISLEAGGGLAHDLVSSAVTAGVAVALLKFFEELAKRGVFEQKLNRKLVHISVGLVFLLFWPLFSSGTYAPFLAAFAPGVNIIRMLLLGLGLMKNEAMVKSVSRSGDYRYMHYFHTFGFIEETWYMVLGFLMVSIAAALVESHPISTELDDNLTVPLTSFLVGSLIF >Dexi3B01G0022190.1:cds pep primary_assembly:Fonio_CM05836:3B:17053677:17054952:1 gene:Dexi3B01G0022190 transcript:Dexi3B01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >Dexi1B01G0021190.1:cds pep primary_assembly:Fonio_CM05836:1B:27160601:27162541:-1 gene:Dexi1B01G0021190 transcript:Dexi1B01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKDTNCQGGSDEELRMEGHMLARMKRRDHLLLTDRDSAGAAGPLAVLAPQTPMEPMEFLSRSWSVSASEISKVLAGGVGARRSSNFVVDRLSGMLMPETLALAAASGTTTISPRKRTLRSRSAISAHQVHHTVHHTVHTIGKWFHHWDSSNSSSRVDKARAERARLHAAVSVASVAAAVAAVATAAGGPDVVDVEGARMETALASAAQLLASHCIEVAELAGADHDQVASAVVAAVDVRSPGDLLTLTATAATALRGATAMRQRAQREARSRAAVAPYDKAGSYRADVWCKEGALLKRSALHWKQVAVYINRKSQVMVKLKSKHIGGAFSKKKKGVVYGVYDDIPAWPGHEGRGVPAGSAAETCHFGLRTAQGLLEFQCESRAQRQEWVEAVKNLIRQPTTPNVAGILLVRAKPRIPVS >Dexi4A01G0024090.1:cds pep primary_assembly:Fonio_CM05836:4A:26942734:26945640:1 gene:Dexi4A01G0024090 transcript:Dexi4A01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSDDGGRGHRRYLGEGDGASSYSISSCSTGTASTAYTSTNRSHMSSLVAANHMVHLSWSWELNGGSKTEEEQTKRIKSLVQEFFGAPSANCSSSGGDMSVLERWLTELGVGWVVLLPGLSDAATAGGNLSDKHTASDDARRWIQAFFEILETIRLTKSLFPDRGSMPIIIEQQGQSKGQAAVDDAQFLQLRRVTTKLFRRVTNKLIWSSSTGEQVLGVQQETYEDKLGTLLGVHDALSTALPQIWLSSYSPPSEQVFRIQSDLVSLLAAKKEKIGEAIWSTMEQIWTRMMEDSSDAQAPQGSSGIHRVTRSAITYIGFLGANYSSVASILSGAARSGKYEPQNVEIPPLDSMVMEMASY >Dexi2B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:2B:4810032:4812204:1 gene:Dexi2B01G0005110 transcript:Dexi2B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAVAPIYLSPRPALPLPARRAARRPSFACRCSCSPDASTGASRRLFASFLAAAAAVGAGAPGGEAGAVSTSRRALRASKIPESEFTTLPNGLKYELAPILLHVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQVS >Dexi9B01G0048180.1:cds pep primary_assembly:Fonio_CM05836:9B:47194709:47196941:-1 gene:Dexi9B01G0048180 transcript:Dexi9B01G0048180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEQWAADQHPSTSASMAAAQMPFLALLQGAGVVVDEQSHDGRKRNAFAFDFDLLDSCVTQAAPAAAAGHASAPAARRRKRPRPRARATPPPPEKRRKPEEAESQRMTHIAVERNRRRLMNDHLANLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAARRGASAAAVVSTAASDGVFVSPQYTSYSEAAARGGCCGGGVDVEAMAAVGGHVRVRVAGRRWPGRLVRAVAALEDLRLAVLHLAVTSVAHDAVVYCFNLKMEEGCEVASADEVAAVVHQIFAYAGGTCC >Dexi5B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:5B:5707003:5707940:1 gene:Dexi5B01G0008470 transcript:Dexi5B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILVLQAVLVMGIFAAVAKENAVVGESKGNTETNGGKLGCCTNCNFSFSGLYTCDDIVGKCDPVCKVCKVIKKNPVKQFQCTDTFIGICGPPCKKN >Dexi9A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:9A:15765564:15774226:1 gene:Dexi9A01G0020700 transcript:Dexi9A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAIKPHHTSPPPHEPAQLSLPPASQSRSPQREKGAAMAVQGEEREKAAAAAARGHPLLRGWRRERYTHGMRPAQMEALRAMCGALIPSLPDADGGHGRGDPPGGKDLERFYLASAADATIPDEVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCLAGGFPYVRKFADMPPERREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMEPRDGQAEAAPSPPSRPLDAGVVETRLLDDNSLLRSLVSKGLMVKPSSSDPNHHVIQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTADDYTSIEGPSMERLFERGGIFCTSDVTTMIFTGATVGGGSAVNWSASIRTPREVTEEWATQHGLPVFASPVYAQAMDAVCARLEVTDACEEEGFQNEVVRRGCDALGLCPDAVPRNSSGGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGGAVLLTGCKAERFVFERNGGRGRGKKCVGLVATCVGDGITKKLRIEAKVSIAACGALMTPPLLRSSGLKNSHIGRNLHLHPVSMAWGYFPETRQDPQLITGKCYEGGIITTMHRVTDRTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGDGFVDGEGRVRFAPSREDVEELRNGLRRALRILVAAGAAEVGTHRSDGHRLRCKGVRDEDVEAFLDEVTIEKGPMHSRTDKWALHCSAHQMGSCRMGSSPREGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKGIAETLAQGEGHRWHGVIWRQRHWPVVTAAMSPPQRLPSLSNIAPVTAHKAVASPQGKLAGDGGARGGEGGGDRAPEKGAPDAAGVQAREMEALRAMCGALIPSLPVVELDTGRGGDKDLERFYLASAADGTIPDERTWQLARTRKSVWPCRVVWVAELVTRCVWEAVVLVNVVLWILSTRAGTLALCGRLCISGKFPYVRKYADMPVERREEALKQWNKARWLFPLKITFVVIKVLSHYAFYTMVR >Dexi6B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:6B:27123296:27123574:-1 gene:Dexi6B01G0020740 transcript:Dexi6B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSALGKRDGGDGILAALSRSQVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPPPSPIK >Dexi8A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:8A:27529509:27530113:-1 gene:Dexi8A01G0016250 transcript:Dexi8A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQARKETAVATRDLLKVDSARLRKTAAVLQRKDAPPPMRKVPGRGRALPVPEVGSSSWAARAPEQRSSGSGGTTPPRSFTDGSFFFNGSGGDFFGSPGQSSQPWNHQGSDPATCDG >Dexi1A01G0023520.1:cds pep primary_assembly:Fonio_CM05836:1A:30168393:30168890:1 gene:Dexi1A01G0023520 transcript:Dexi1A01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFNDRWGADAAAFYAPEGSKTWKDVFVVQDRCDRYGLGVRIIREGKDYYLIYQGEIQRYLGSRQDTDGDAGKDAPRHDAEGDQRQISNRILFFLGDLEAACADAKRVKA >Dexi8A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:8A:9466661:9467929:-1 gene:Dexi8A01G0008130 transcript:Dexi8A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTVVPTDAELLQAQADLWRHSLCFLTPMALRCAVELGIPTAIHRLGGAASPADLITALSLPSAKLPFLRRLLRLLGASGIFNVDKSTGEEIYCINPISYLLVDGIPDEVHINHTSFVLTATSTRYIDAAMGLADWFKKDVVTPPFDELHGATLFHESLESLDADYHKMANEALEAHDNFGIEIAMREFRDLFEGIQSATYCCGSCGDDAFPRALVKAFPHIKCTVLADPKMIGTRPADGALNYVEGDMFNFVPPSQTVLLKLVLHHWSDEDCVKILAQCRKAIPSRKDGGKVIIGDIVIDYSDGPMLDTHLLMDIGMMTMTKGRQRDENEWRDIFMKAGFSDYKLLKKFGARGVFEVYP >Dexi9A01G0043390.1:cds pep primary_assembly:Fonio_CM05836:9A:46861626:46863811:-1 gene:Dexi9A01G0043390 transcript:Dexi9A01G0043390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEKALTKLGSFTISRKAKKELSAIGDDISRLSSTVEEKAKWVFEKLKGHKKSLADHLREHNLPSGLFPRNIICYEYDESNSKLVVHLSKPCEVSFKDSSVIRYAPRVKATLSRGKLSGIEGMKTKVVVWVKVASVSVESYKSDKVCFIAGVKKLRQKDAYEVPREAVSVEEF >DexiUA01G0016550.1:cds pep primary_assembly:Fonio_CM05836:UA:35193959:35194611:-1 gene:DexiUA01G0016550 transcript:DexiUA01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKGVLRGELPVRFTDADGNPREGVITSGTFSPTLGYSIALARVPAGIGETAVVQIRNQLKYSKEHEWLRKEADGTYTVGITEHAQELLGDMVFVDLPEVGASVEAGDDCAVAESVKAASDIYAPVSGEIVAVNDALSDSPELVNSEPYEGGWIFKIKASDESQVAALLDATAYEALLENE >Dexi6A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:6A:24162875:24163651:-1 gene:Dexi6A01G0016270 transcript:Dexi6A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTDDGEGELPAQAPASMKKERGRTRSHSEAERKRRQRINAHLATLRTLVPSASRVRTSRYMDKAALLGEVVRHVRELRAKASEAAEGLGAGVIPGEGDEAGVDVEDRLRPRRVVRAWVCCADRPGLMTDLGRAARSVRNARPIRAEMATVGGRTRGVVELDVFDDHDDDDAAAAATEKGMALALSTLRAALRAVLLNREGHERERFAAAAEGYNKRPRFSAQVAEVQSTTPC >Dexi2A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:2A:7587570:7591340:1 gene:Dexi2A01G0007650 transcript:Dexi2A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAPAPAPEPSHTQLHTANTMFAAPTPAPEPSQTQLHKANVNGAAQANGTPVNSLVTPLQTPQSTGMQESGKRKRGRPKRVPDATAPSAPLAPTVPSVPSAPDDNVVSPTPSSANPQDSGKRKRGRPPKRVQDVPVLGPTASQVDSTPVLHTLPGTTVHESGTRKRGRPKRLQDSSDISTPPFHSKDSVPPLQQPSAGASPESGKRKRGRPKSILDGSVTPSSLSDYSINDEAVDTPKRGRPKKIDTNLLQLPSLFSDDPRETADNVLMMFDALRRRLMQLDDVKQTAKQQHNLKAGSIMTSAEIRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLHSHSMAGIDYMVAKFGNEDDPVAISVVSAGVYDNTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNSIRVIRSVKDLISPTGKIYIYDGLYKIKEAWMEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDRVILLDLSYGVESKPICLVNEVDDEKGPTHFTYTTKLTYGNSLSSMRKMQGCKCASVVVMASFKEQGLPRCSMDHGLPLFPLECKMRWRVQSRDAGGIVLNPGTDGVVGRSFLDDDGSISLPAFEKTQEDAAGCRMLLSAVLSALLLDVTNWRMHWRG >Dexi2A01G0022910.1:cds pep primary_assembly:Fonio_CM05836:2A:34679199:34679768:1 gene:Dexi2A01G0022910 transcript:Dexi2A01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISKSTPNLLKKAVKSFKSKTDALRTKLIILASLRRRMAMVCAISRQIRALVTSDVRDKQATLEHGRKPLAVHKAVVASKEPSCDHGGIKDLGLFEVAMFEEGYHGYPKWTNSLFDDDNSYNYEDDIQDDEHDDLDLDVDAIDETSVIEIIRSNREAEGLEFNMEDDIDEACDMFIRRCRGRMNLSF >Dexi3B01G0028460.1:cds pep primary_assembly:Fonio_CM05836:3B:24713597:24716426:-1 gene:Dexi3B01G0028460 transcript:Dexi3B01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAAHPAPPLALLGGLDHRDDNTKELFVGPTMDDVLSQIEEAFRLAEELRAELGAAQNNPVYLAERCHGIAQAYLAASRMLGYPHGSDDLSPPALPPQHPFGGGSGSTSHGNLPQLELLRPFLSGAPSSSPFQQHLGRLLEASSSPFNTPATDTFGAGTSGGPVRRQASSSRSSPPVVQPRQQHRTRRRESGERMTMMVPVQRTGNTDLPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFMYEVTYCGNHTCLTSTTPLLTLPAPTTTAASTAAAVSMLTNSPTGSAAILASQDLVMAPSEHPTPALSTAIQLGISWMPSALAGTGEGSSAQVNVPGASGRDTEYPVMDLADAMFNSGSSGGSSMDAIFPAHHHDQRD >Dexi3A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:3A:3369435:3370985:-1 gene:Dexi3A01G0005220 transcript:Dexi3A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGVLAPLCRAASTSARRRHPHHHQRASPLALHPLLLKSGRAARPHVATRLAVAYADSGRITHARRVFDDTPHKDLILCNAMVACYAAHGLALQAWFLIASMRRSGRPDLAGDGFTFSALLRPPRHRPDDAELLLRMGGLAHGLVLRLGHLADVVVATALLDMYAKYGLVADARRVFDNMVVRNVVSWNAIIVCYGCHGEGKNAVELFRLMLMDGCCCPDERTLASVLSSSANMAAANEATQVHACAQKRGLQGFLQVANALIVAYGKNGFVREVTQIFAMTGNPDIITWSSMVSSYAYLGHANDAILVFERMLQQGVQPDGVAFLGVLSACSHAGLIEDGLQYFLMMTRGYRIYPSPQHLACLVDLLGRAGRIEDAYDVVVKLSCESNADIIGAFLGACKMRGNIELAKWATDKLLCLEPSEAVNYLLMSNAFAAAGDWNELAKVRSVMRNRCANKVPGCSWIEIGGTVRTFVSNDMVLHQSIEMQQMMELTISEVQIECNEDTVCKDTILI >Dexi6B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:6B:22435163:22439780:-1 gene:Dexi6B01G0015000 transcript:Dexi6B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVVIQVVTGAMGSLLPKLGSLLRDEYNLQKGVKKDIRFLSRELTMMHAALCKVAEVPPDDLDPQLRLWAAQVRELSYDMEDIVDTFLVRVHNGGNDPNGGSSSSSRGLAKKMVVLLKKGMTRHQIAKEIRDIKDRVQEVADRRDRYKLDSVLANTTSTATVDPRISALYKKATDLVGVEGARDELIGRLSEEGDDDVLNNKALKIVSVAGPGGLGKTTLAKAVYDTLKEQFDCYAFVPLGRNPNTKKVLQDIFLELDKQEYLKLAAAALDERQLINELIEFLEHKRYIIVLDDIWDISTWEVVRNALQDNKCGSRIVATTRISDVAKEVGDVYKLEPLSNENSKKLFYTRIFGGDGASVEDSQMDEAPDKILKKCGGLPLSIITIASLLVGKPREEWSKACLLYLSIFPEDYNIEKNYLIWRWIAEGFVCEKQGVCQYEVGERYFNDLINRSMIQPTDHYFHNGTIVGCHVHDMVLDLIRSLSTKENFVAILDKEQDTLPESNVRRLAVQKRNIEEDKPKASMGMPQVRSLNAITCTITAMPQLSSFRVLRALAMEYCDFTEGKSFRLEHLGKLLHLRYLSLVNTPISELPSEVGSLKFLQGLDVRRTGLEELPSTVGELKQLMCLCADGDTRVPVGMGNLTKLQELRLHSIDKSPSFAAELTEVRDLEMWFSELDERSQQALLSSMCSLHKVQTVEVWCGTGEWAHIGDWEGWDPSSQLRYLALVWIIIPRAPSWIDSSRVPHLSHLHLGVELVEARDLDTLGRLPVLRFLYLSTGNKLPYRPAGSDDERRPMFQNLRFIQTNLQLTFPRGAMPALLILRDFGVNVRSVADAAAASGAGAGFDDFLGLGNIPLLERFEVRLYCAGARLREVEEAEATVRRAIRMLPNRPAWCFRITRKLEEEMILDDPPVSDDEPIS >DexiUA01G0015680.1:cds pep primary_assembly:Fonio_CM05836:UA:33278638:33279585:1 gene:DexiUA01G0015680 transcript:DexiUA01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPELPLQAWLVTATQKMGRNRLGGRPAGLPRPIGLGFRPFSTAFLVDDPDALVMTVMTGSKAVVANQRIRIRLKAFDHRLIDQSTAEIVETAKRTGAQVRGPIPLPTRKERFTVLISPHVNKDARDQYEIRTHKRLVDIVEPTEKTVDALMRLDLAAGVDVQISLG >Dexi7A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:7A:20062433:20063702:1 gene:Dexi7A01G0008870 transcript:Dexi7A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAIATDAATAQRRRQLALPMEQELHHPMELPPGFRFHPTDEELITHYLARKVADARFAALAVGEADLNKCEPWDLPSALAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHVKLPGAMPKAASKNEWVLCRVFKKSLIVGVAPGPKRDAMELTTKMDDMAAISHLPPLMDVSGAGNPAAAAAHVTCFSNALEGHFFNQTAVSSQAPTSATDHLGGLASSSPFMSSFAQYGALHHGGVSLVQLLESSGYGGGGLLDMPKQQQPAAAAACKGGGERERLSASQDTGLTSDAHPEISSSSGQRFVDDEQLWGY >Dexi7B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:7B:3170954:3172240:-1 gene:Dexi7B01G0001810 transcript:Dexi7B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVTKSSPVIVRPSTSLAPPTAEHIKLSSFDKALAFSPFTSFLVFDRAIHEPAETVKRALSRALVPYFPIAGRATAAADGELRISCTGDGVSFVSASANCSLEDVKLFDPPFSKLLMELAIDYPEGTCHETDPLLLVQVTEFSCGGYVLGTTWNHAVADGTGMAQFIQAVGDFARGLSQPCVFAVTCGDNTLPELPPLVTVVNKMMVSLEPQGFVYQDITVPWKLINRVKSEFATGGHGVDDEPCTVFEAVVAVMWRCRTRVVMSDPDAPAPLVFAANVRKHVGAKGGYFGNCVTTVVTVARSGEVANGDINDVVRVIKRAKKGIPGQFKDAAGAGEEGHTEEEEQLDVLFGYNAFYVSSWRNLGFDMADMGGGRPARVMCHVELTAVPNCVACMPCAGKDGANVLALCVKEEHADAFVGEMEMLV >Dexi4A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:4A:23911901:23912227:1 gene:Dexi4A01G0020120 transcript:Dexi4A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRPTAAGSATRGPSGSRGGRGCGMALGRLVRKLRRQSRMLVCTAATAGARHGHASSSSSRCHQYDPLSYARNFDFGTALDGGDGYCSFASRFVLAAPAARPRPQ >Dexi9A01G0047790.1:cds pep primary_assembly:Fonio_CM05836:9A:50787322:50787904:-1 gene:Dexi9A01G0047790 transcript:Dexi9A01G0047790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTKKRGRFSRFICCMSMQCLCSKDQMNRMDRAVQLSENIDIKDGLNSRYSSPNFVTQKHVNNAGMEEAELSLQRVGSLNYEEARALLGRVEYQRGHIEEALRVFDGIKVYALIPEMKISIARKMSQQKPGPYASSPALPFHAVTVLMETIYLKSLALHDLGRFE >Dexi9B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:9B:4482862:4484244:-1 gene:Dexi9B01G0007350 transcript:Dexi9B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSVLVLAVVAAVACGLAQPGAADLKTNYYASTCPNVEAIVRGAVQQRKQATIRTIGSTIRLFFHDCFVEETKQRWMHAQGCDGSVLIDSTPGNQAEKDASDNKSLASEGFDTVRSAKAAVEAACPGTVSCADVLALATRDAIALSGGPFFPIELGRLDGLSSSASSVPGQLPEPNQTMDQLLAVFTAHGLNISDLVALSAAHSVGLAHCSKFSNRLYNYQPGQPTDPTLNPKYARFLETKCPNGGSDSLVLMDQATPAQLDNQYYRNLQDGGGLLGSDELLYTDNRTRPMVDTLANSTSAFYKAFADAIVRLGRVGVKSGSQGNIRKQCNVFN >Dexi1A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:1A:25696594:25697172:-1 gene:Dexi1A01G0018520 transcript:Dexi1A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQMGAYFFFASGLPFLGLGSAMAAPAPPMAATAAAAAASITARRPSAGWDSAAATSLAGSCSQRSTLAALATAKLLPRRDGAAAAATAAKDAIMVSDAIGCAALAGRESLPPGVDVDGLVQSCSVGSFLAAGLLGYEAAAGEGGRRLIPRGRARPVRRRHVGGRRDVAAGRARVADNAATPGGQVGWRIG >Dexi1B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:1B:6343149:6349702:1 gene:Dexi1B01G0007660 transcript:Dexi1B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQCGGEARHGAGGGAGAKVWSVAASDAGVELGVKARPEASRLCVRHASTGRMEPNRESHLKEPLLPASSGASPAGASPRKERKTRKVMFSVRGISCASCAVSIETVVAGLKGVESIQVSPLQGQAVVQYRPEETDTRTIKEAIEDLNFEVDEIQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHYDPNITSRDLIVEAVEDAGFGADLISSGDDVNKVHLKLEGVNSPEDAKLIQSVLEAAEGVNNVEWDTVEQTIKVAYDPDITGPRLLIQCIKNAAQPPKVFDATLHSPPKQREAERNHEIRNYRNQFLWSCLFSVPVFLFSMVLPMLSPFGDWLSYRICNNMTIGMLLRWLLCSPVQFFVGWRFYIGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSDSFEGQDFFETSAMLISFILLGKYLEVMAKGKTSDALSKLTELAPETACLLTFDKDGNVISETEISTQLLQRNDVIKIVPGTKVPVDGVVVKGQSHVNESMITGEARPIAKKPGDRVIGGTVNDNGCIIVKATHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVVAAFLTWLGWFIPGQFHLYPKEWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKIKAIIFDKTGTLTVGKPSVVQTKIFSKIPLLELCDLAAGAEANSEHPLSKAIVEHTNKLREQYGSHSDHMMESRDFEVHPGAGVSANVEGKLVLVGNKRLMQEFDVPLSPEVEAYMSETEELARTCVLVAIDKIICGALAVSDPLKPEAGRVISYLKSMGISSIMVTGDNWATAKSIAKEVGISQVFAEIDPVGKAEKIKDLQMQGLTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRLNYVWALGYNILGMPVAAGVLFPFTRIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEDAPRHTDGSDLV >Dexi4A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:4A:10933011:10933772:1 gene:Dexi4A01G0012380 transcript:Dexi4A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSCLVVLLPIALLLLAGSSLAAAQLQVGYYSKTCPNVEAIVRDEMKKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEDNLAERDAKPNKSLRGFSSVERVKAKLEAACPNTVSCADVLTIMARDAVVLAKGPSWPVALGRRDGKVSSATEATNELPPAYGDIPLLTKIFASKNLDVKDLAVLSGAHTLGTAHCPSALQFQQCIYH >Dexi3A01G0033500.1:cds pep primary_assembly:Fonio_CM05836:3A:38250459:38252754:1 gene:Dexi3A01G0033500 transcript:Dexi3A01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKIRVSPYILARLRYLFDLNPVKLVSFSILFVRIPPESSPGGAGDLTDRRGVRFGAGFVQIAPALTPPLFQDKMAAPRGDYDEQDRRAGKGTEVFVGGLTRSATESTLREGYGFVRFSKREYANIAKRQKNGVELQGKRLVVDLSMDQDTVFFGNLCKEWTSEEFEELIHKTFKDVVSVDLAMASNLGSSSKKHINRGFGFVRFSSHSVSLNSFENGSYFLLAHSLVKM >Dexi4B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:4B:990386:990900:-1 gene:Dexi4B01G0001670 transcript:Dexi4B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDDAKLESFLQWFQANGADLRGCTIRACGGGKGFGVFATAAPEPATTDGVVLVVPLDLAITPMRVLQDPLVGPRCRALFEKGGVDDRLLVMLFLMAERRRPGSLWKP >Dexi4B01G0022100.1:cds pep primary_assembly:Fonio_CM05836:4B:23825418:23826271:1 gene:Dexi4B01G0022100 transcript:Dexi4B01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTTQQPAHTAAPVKLITAFGSPFAHRVEVALALKGVPYEVVVEDLSNKSDLLLAHNPIHRSVPVLLHGDHRAICESLLIVEYVDDAFHHAAAPRILPADPFLRATARFWAHFIADKCLRPLWMWTWTDGEAQERFARETKESMAILDAELAAGNKRFFGGDAIGFVDLAACTLAHWLYALEEVAGVRLAEDGEYPALRRWTKEYTSDETVRRFLPDRDELVAFFAANKERYTAMVRAAVQQ >Dexi5A01G0022850.1:cds pep primary_assembly:Fonio_CM05836:5A:26967660:26968449:-1 gene:Dexi5A01G0022850 transcript:Dexi5A01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTGRCEICGENAVNITGGGGKEFIRQWHDTAAVDGGGGSSKACGGFCRSQSLCNLLIVLLAIAFLLTWFFHNHVI >Dexi1B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:1B:6357953:6361739:-1 gene:Dexi1B01G0007690 transcript:Dexi1B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRRVRRIPLLAIRRGLSSSSAGAEALPPPRPPAGRRVVVTGLGAVTPLGRGVGATWDRLVAERCAVRALSAEDLRLPAESAGRTLEQLPSRVVAAVPRGKDEDEFDDEAWTKDKSISGFISYALCAADEALRDANWLPSEDEKKERTRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTELRALSTKFNSSPLSASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEIRGYGMSGDAHHITQPQHDGRGAILAMKRALDQSGLNANEIDYVNAHATSTPLGDAVEVNAIKSVFGDHATSGGLALSSTKGIAPPTLNLEQPDPLFEGAFTPLATARKMPIRAAISNSFGFGGTNTSLLFSSPP >Dexi9B01G0030780.1:cds pep primary_assembly:Fonio_CM05836:9B:33203289:33205929:1 gene:Dexi9B01G0030780 transcript:Dexi9B01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCTSKAALEHRRPARYYTRGRRVRSRSRSIMPEAPQSQQLSDSRGRMTGFSMSEIVHVEMANRGKSEHSKTFHLTQMQWHHSQRDSKGCSNEDAWFDSVSILEDDSDDEFKSVDGDSSDEDEDEKKQYDTASRFADALSRIGEMCRGVPMTLSVEQYLKRDNGDDAGHRSQSLSVCATKCLPTSFSFKGSKDKNDEDDDNKESTTPSRLRKLLHSISFNDKMQQLTGGSPAKKKSTVIRLSYKTTSCDDCEDSSELGKSKKYVVRPKVGQTIPCGGEKPTTGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACPKKVHHIAQHIDLPQIKTHPKLPSLLIVNIQMPTYPAAMFLGDSDGEGFSLVLYFRISEYYDKEVSEHFKDSIMRFFENESEKVKGFTSESTTMYRDRLKIMAGLVNPDDLQLGSTERKLVQAYNEKPVLSRPQHNFYEGENYFEVDLDIHRFSYIARKGLDSFRERLKNGILDLGLTIQAQKQEELPEQVLCCVRLNKIEFSNHGQVPTIVTD >Dexi4A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:4A:10260279:10263128:1 gene:Dexi4A01G0011950 transcript:Dexi4A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEQPSNCLVPGNSPAEQQAPHEGQSDQNPDADEAELLWNLRKYLVLLAILAAAITYQEGLAPPGGFWQDNQNGHTTGDIVLRVSYPKRYQVFFYCNATAFAASLIVLILLLVRELNRNTVWLRALQFAMVLGLLGLMGAYAAGSCREVRTSVYIWVLLVSIFAYVTLHVIFFRHLAPKWLQDIFISIRRLWKDFLGRIFKNAQGTTDEPEPSDKMEELEQNRSFLLVLATLAATVTYVAGISPPGGFWSDDNKPRYLAGDPVLQDHHPRRFKAFLVCNATSFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYGAGSCREVHTSIYVFLLVGAVLLYLVLQWIPPIVIKPECVEKSIEWMIEKKKKVLQKMSSIDMGIEIPNNDKHTTLSGPNTQYRSNNSMSIISADAKDDLQKLRTYLLLVGILAATVTYQAGLNPPGGFWADSVDGHIAGDPILEAMHPRRYKAFFYCNATAFVASLVIITLLQSKLITVGAMKRHILQTVMTLDLFGLMGAYAAGSSRKFSTSSYVFVLVLLVFTYVLLHVLLSAALKTRLNRIIDRFSTNDTIDSDDEDKDLEKRRKFLMLLAILAASVTYQAGISPPGGFWSDNSGGHQAGDPVFLDEFPLRYKVFFYFNATAFVASLAVIMLLVSKRLCHKGLQSYALRTCVLVDLISLMGAFAAGSCRKVSTSMYVILVVLAVSVYVMIQVLVLTFAKDKVNNFIERMLSIRPFERQCTSISHRRSIRVRKRTEHKWRKDLMLIGTLAVTVTYQAALAPPGGTWPDDQIGHFAGDPVLHDTHPTRYNVFFYCNATAFMASIVMVILLLNNTISKYKRSLRAMKTAMVLDLLGLLCAYAAGSCRELETSAYIFTLVVAVFIYIFIHVLLSFDKVALLVKKKGEKWMPCLTKMWALIETEPSNHELSVRQEEEVVSVSPPV >Dexi5A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:5A:25119268:25120728:1 gene:Dexi5A01G0021250 transcript:Dexi5A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGAAAKGPVVVTGASGFLGSWLVMKLLQAGYTVRATVRDPENVVKTKPLLDLPGAAERLSIWKADLAEEGSFDDAIRGCTGVFHIATPMDFESKDPEPTVEGMVSIMRACKEAGTVRRIVFTSSAGTVSIEERTRPVYDQDNWSDIEFCRRVKMTGWMYFVSKSLAEKAAMAYASEHGLDLISIIPTLVVGPFLSAAMPPSLVTALALLTGNEAHYSILKQVQFVHLDDLCDAEIFLFENPAAAGRYVCSSHDVTIHGLAAMLRERYPEYYVPERFPGIDDDLQLVHFSSKKLLDHGFKFRYTVEDMFDAAIRTCREKGLIPLATAAGMDGSASVCAPGEKDDAALGTEGPAVGA >Dexi9A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:9A:13198704:13199599:1 gene:Dexi9A01G0018170 transcript:Dexi9A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTQRSLATSRCVCTGWRDVVDGRRLLRADLLPCSLAGIFINHVAPEYNFAELFSRRPPSKLVSYYQRYMCVRDHCNGLLLLHDGVLNPATGRWMPLPQCPPPAHTGMEHFIQDMYLVFDPAVSSHYEVFLIPRVPYYNKENSDDGAIYYPKTRRTIDPALLASAWPLSPCVLNVFSSETGVWKQRSFDRDGGEAAGSVADMQLDERYWMRRRNAVYCRGALISLSTSKYHMIKPPRAESIM >Dexi5B01G0030240.1:cds pep primary_assembly:Fonio_CM05836:5B:31210670:31211648:1 gene:Dexi5B01G0030240 transcript:Dexi5B01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRIAGLVAAPALLVLLALAFSSPRSAQEEPMADRGTLAGGVTDVPQNDNDLHLQELARFAVDEHNKKANALLGYEKLVKAKTQVVAGTMYYLTIEVKDGDTKKLYEAKVWEKPWENFKEVQEFKPVEESASA >Dexi5B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:5B:21655059:21657100:-1 gene:Dexi5B01G0019360 transcript:Dexi5B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLLAHRPAAAKGSLKRLVDGQIEDASAACCRICFEPGNGLISPCKCKGTLQFVHRSCLDHWRAIREGTAFSHCATCKTQFHLRVRLLEEDRGRKMRFRLFVARDILLVFLAIQTAIAAIGCAAYLMDKHGQFRNRFADGWVHILSKHPVPFYYCVGVVVFFALVGLFGLILNCSTCSSSNNSSFSYDFHFPRRTRSSNCSSDSDDGSAAVVIIIIIFAILGIVYAFVAATIAVKRILQRHYHILTKKELTKEYVVEDLREGYTAPTMDPEHERRLRMLKLM >DexiUA01G0002890.1:cds pep primary_assembly:Fonio_CM05836:UA:6117558:6119498:1 gene:DexiUA01G0002890 transcript:DexiUA01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHESSSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGVVLLELLTGKKPVDNECNLHHLILSKTASNEVMETVDPDIGDTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPPKPPSQQPSGQLPQQPSSVPSYVNEYVSLRGTAVLSCANSSSTSDAELFLKFGEAISQNTE >Dexi1B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:1B:11081527:11083804:-1 gene:Dexi1B01G0011100 transcript:Dexi1B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTGHPDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENITDANTASSHHAKPAKQRRHVPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVCWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGGMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMPSSMPSAGRNQWLHRLDDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQSPDGCTVASAAADETLRFWNVFGNPEAPKPAAKAYHTGMFNSFNHIR >Dexi9A01G0038980.1:cds pep primary_assembly:Fonio_CM05836:9A:43091436:43094428:1 gene:Dexi9A01G0038980 transcript:Dexi9A01G0038980.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVNRSSSSSSSSSSSSTSWGISYRGREERRMSGGGMDGGAPVAEFRPTVTHGGRFLQYNIFGNLFEITNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENIVGLRDVIPPPIPQSFNDVYIGTELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITELIGTPTDEELGFIRNEDARRYMRHLPQFSRRPFATLFPKVRPVALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPVCTEPFSFDFEQQALTEDQMKQLIFNEAIEMNPNFRY >Dexi9B01G0046690.1:cds pep primary_assembly:Fonio_CM05836:9B:45893802:45895610:-1 gene:Dexi9B01G0046690 transcript:Dexi9B01G0046690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPVLPAHHDDMEKGGGKPAPSRLCFLATLCAMFWVLIFYFHFAVVSNEPDASAGQVAEVRIARTRLQFPVTDRPDMALAPPDRASEPPPSPPPVARPKEEVEEKPAAGAREEEMPPKVVAASYPFERALRTAENKSDPCGGRYIYVHELPPRFNEDMLRECEKLSVWTNMCRFMINDGLGPPLSDEEGVFSSTGWYGTNQFAVDVIFGNRMKQYECLTKDSSMAAAVFVPFYAGFDIARYLWGYNISMRDAASLDLVDWLMKKPEWNVMGGRDHFLVAGRITWDFRRLTDGESDWGSKLLFLPAAKNMSMLVVESSPWNSNDFGIPYPTYFHPAKDADVFLWQDRMRNLERPWLFSFAGAPRPGDPMSIRGQLIDQCRSSSVCKLLECDLGESKCHSPSTIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPENDIRTRNASIEERLKSIHPDVVKNMREEVINLIPRVIYADPRSKLETLKDAFDVSIEAIINKVTNLRRDIIAGREDKDFIEENSWKYSLLEDGQRTIGPHEWDPFFSKPKDKGGDSSSSSAEAAKKSWKSEQRVQN >Dexi9A01G0022980.1:cds pep primary_assembly:Fonio_CM05836:9A:18145401:18146266:1 gene:Dexi9A01G0022980 transcript:Dexi9A01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLAALSFIVLLSIGVVNAARVARYVSAGGGGGGGGGGGGGQDGGSGYGSGSGSGYGQAGGYGSNSGTYAEGGGQGGGGGSGQYGGSGSGSGSGSGYGQGGGYGSNGGTYAQGGGQGGGGGVGQYGGSGSGSGSGSGYGQAGYGSNGGTYAQGGGQGGGGGVGQYGGSGSGSGSGSGYGQAGGYGPYGGGYAQGGGQGGGGGGGQNGGSGQGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGSGGGQSGPNGSGYGSGSGSGSGSAGNGGWNP >Dexi1A01G0030640.1:cds pep primary_assembly:Fonio_CM05836:1A:35868934:35869550:-1 gene:Dexi1A01G0030640 transcript:Dexi1A01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQQLDLMLRHQGMATICESEDALGSSESEPARPARPRGKRTRAAEVHNLSEKRRRSRINEKMKALQTLIPNSSKVYSFSYKCRCAS >Dexi8A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:8A:25210771:25211178:1 gene:Dexi8A01G0014700 transcript:Dexi8A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPRSPCLAPGGAHAGGGGHGAHLARPRRRSPACLPVVEAGHGAQPALIWLDPGSVHLHARRRWRPWRSPAGGGARCQAADESERSSLPCWAADSKVGTKMTTALGAKILRDALFTPAAVGVLPASPTARSSA >Dexi1A01G0032410.1:cds pep primary_assembly:Fonio_CM05836:1A:36954701:36955852:1 gene:Dexi1A01G0032410 transcript:Dexi1A01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGRRMAPGGDASPAGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQSILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKEHDKKPDSDLLGWLQAMFGFQVSTLG >Dexi7B01G0021240.1:cds pep primary_assembly:Fonio_CM05836:7B:26353159:26355042:1 gene:Dexi7B01G0021240 transcript:Dexi7B01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAVASVIAAVGVVAVIGTIAAVTASKKGADDGGNGLSAGVKLSTVCSSTLYPEKCEQSLKPVLNDTSNPEDVLRAALNVALDEVAAAFERSAHIGKDAKDNLTKNAMDVCKKLLDDATEDLRDMARVKPVEVVGHVKDLRTWLSGVMTYIYTCADGFEEPKLKEAMDKVLQNSTELSSNALAIITRLGDILKEQEGKSNPGGGHGRRLLSFSLHGDPETHMPDPYHRVLTTDLVGTFDEIADGRNGVPPGDVPEWMPANQRRLLLQAGGAQKPNAVVAQDGSGAFKTINDAINAVPKTYAGRYVIYVKAGTYKEYVTIPKNMPNVFMYGDGPTKTVGHQAVAMHVQGDMSVFFNCRFLGYQDTLYVHANRQFFRNCEVLGTVDYIFGNSAALFQNCLMTVRKPGASQSNMVTAQGRTDPNMPTGIVLQGCRIVPEQALFPDRLTIPSYLGRPWKEYARTVIMETTIGDLIKPEGWSEWMGDIGLKTLYYAEYGNTGPGAGTSKRVNWPGYRGVIGQAEATQFTAGVFIDGMTWLKATGTPNVMGFTH >Dexi2B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:2B:29181500:29182321:-1 gene:Dexi2B01G0018980 transcript:Dexi2B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARNNSPPPPRSSKTKTTQRLMVALNCALLGVGVTGGQLLSRLYYSKGGHRQWLSAWLQTGAWPLLLIPLAISYSTRRARDGGRGAPLLLSPPRVLLAAAGLGLATGVDDFLYAWGLEFLPVSTSAILISTQLAFTVLFAFLVVGQRLTPATMNAVALLTVGAVVLGLHVSGDRPEGVTRGQYWMGFVLTLGAAVLYGLILPLVELAYNRAAAAGGGGALTYAVAMELQLVMGFVATAFCTVGMVVRPHPHMSPAHMSTWHSSN >Dexi3A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:3A:5409799:5415274:-1 gene:Dexi3A01G0007780 transcript:Dexi3A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANANSDVQKAEELKLKANEAFKANKFSQAIELYSQAIELNTSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATRKLKECEKAVQKIRFEEAISVGVTERRSVADSIDYHVVEVEPQYAGPRIDGDEITLDFVKAMLDEFKKQKCIHKRYAYQVVLKTLELLRSMPSLVDVDVPNGGHFTVCGDVHGQYFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPKDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPEMKPDIVTFSAVVSGTTFSFPHPDVKPMAYANNFLRMFQ >Dexi2A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:2A:11820000:11833819:-1 gene:Dexi2A01G0010660 transcript:Dexi2A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVVAHEEYDNSVGSGQAHGAVAGETNDGLHVAISRPSPPAAAAISRVGHHSGANSPPLLFAAARGREAAAAIAPRRREPPLRPSGAAGLDPTPPTEWYETVNLRVSEYNTIAYNKAKRCGVEDMTRLAYLNEPGVLQNLKSRYAMNEIYSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKITGAAIRTYLLERSRDRERYKLGDAGSFHYLNQSSCIKLDAMDDASEYIITRRAMEIVGISSDEQDAIFRVVAAILHLGNVEFTEGSEADSSVPKDERSQFHLRTAAELFMCDEKALEESLCKRVMATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDLTSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQKPGGIIALLDETCMLRNSTHEIFAEKLYQKFKGNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRRFDEFVDRFGVLLPEVLGESYDEVTATNMLLEKVNLTGYQIGKTKVFLRAGQMAELDALRTEVLGCSATKIQRKVRSYLARKKFIQLRLSATQLQAICRGQIARHYYEDLRREAASLTIQTCYRMHFARKNYRNLCSASTTIQSGLRGMAARMELHFRQQTKAVVIIQEKLNIT >Dexi7A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:7A:27859175:27862195:1 gene:Dexi7A01G0018440 transcript:Dexi7A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVMKLTVGSLPLKARPSSGRHHLGSLRREMAAPTQQSRASRHAVNRVTRGMGTQHSKSISSGTAATTRKQQLQQVDVLQNMGISRHFAAEIKCILDMTYSCWLQRDEEIMLDVETCAMAFRILRMNGYNVSSDELYHVAEASWFHTSSPEGYLNDTRSLLELHKASKVSISEEESILDSIGSWSGCLLKEQLISGALQRTPLIREVEHALECPFYATLDRLEHRRNIEKASYLSCYTNNEILALGVRDFSASQFTYQEELRHLDSWVKESRLDQLPFARQKLAYFYLSAAGTIFTPELSDARILWAKNGVLTTVVDDFFDVGGSTEELENLVALVEMWDEHHKLDFYSEQVEIVFSAIYTSVNELGAHASLLQDRDVTKHLVEIWVDLLRSMMTEREYEEGKVNSVSLLVLQNGGSMSIQEARREIQKPIDTCRRDLLSLVLRKEGAVPRPCKELFWKMCKVCYFFYSRGDAFSSPEEKAREVDAVVNLPLQLKGSNASLLLPVVL >Dexi1B01G0029340.1:cds pep primary_assembly:Fonio_CM05836:1B:33676588:33677020:-1 gene:Dexi1B01G0029340 transcript:Dexi1B01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPVLGPWFLSKSMKEGAAPGVEGLAPGAEGEVASPDPRKDSRARRTSSTFFEGAELGRGEFTGVRRGSGGEGGDERMRSRMSAKSVNDESRGAPGLGCGEEEGEWAASRSLAGGGRGGGGGKGEFEENKARGGASSGW >Dexi5B01G0026620.1:cds pep primary_assembly:Fonio_CM05836:5B:28347633:28354031:1 gene:Dexi5B01G0026620 transcript:Dexi5B01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIMSRALPLASRSSLYLPLPPRLPGAALLRSAAAASLLRPAAAAAPAASLPSSSSRGLTATPEPSLAAPPPFAGLLSGIRGFRRPRRGPAAAKRPQPQDAAPPPPPPSPKESEIELIARVGVEEDLPDDAEVLNIVEILKLNVPMAMKIALDGLVDSNYSTRDTSISDVGKYDKVEISVLLCNDNFIQDLSKEWRGEDCTTDMLSISQYIPDLDVPILMLGDVVISVETAARQAEEKGVTLLDEVRVLVVRGILHLLGFHHETSDEAAMEMEKEEQLILKSLRWKGKGLAKGAQDSSKLQTDSLDGTLLNSKSQITARNAEALREARSRGVNIIIATGKARPAVIDALSVADLSGKTGIVSESSPGVFLQALLYSLENKIPLVAFSQDHCYSMFDHPLVDSLHYIYHEPKAKIVPSIDQLLGTAEIQKVLFLETPEGISSALRPYWAKAIEGRAHVVQAQPDMLELVPPATSKGNGVKILLNHLSISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANVIGATNDEDGVAQAIYEYAF >Dexi9B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:9B:1384994:1385816:1 gene:Dexi9B01G0002380 transcript:Dexi9B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSGWSSSVLSDKEVRLMRNRSLTKEEVEAFWRQHGRSPAENGDRSHKEKEKEKEKETIPPLAARRLQVVRSMPPLRGGTRRDDEPSSPSPRGGQQAQSLFSPRSEPCSPATNREQSLSCFFPENAADTSTSTSNGWWTRSSWAFLNEAPKDKEEVVLGMGRAQRQRQRQGFACDQFHASRILTGNA >Dexi9B01G0039190.1:cds pep primary_assembly:Fonio_CM05836:9B:39972647:39973489:-1 gene:Dexi9B01G0039190 transcript:Dexi9B01G0039190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGHSLPAHHGYALSHAADSNNNNNDEDDASPPPASSAGGTGSGPRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVDAIAGFSRRRQRGVSVLSGTGAVTNVTLRQPAGGGGPAAVALRGRFEILSLSGAFLPAPAPPGATGLAVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQDAEEGAVLSGSSEGGATAATAQQQQQQMEQQKQQQSSGGNVVPPSMYAVPQTPPHPHDMFGQWGAHAAAVTRPPPTSF >Dexi9B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:9B:9262392:9263950:1 gene:Dexi9B01G0013830 transcript:Dexi9B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPKGLFTFSAVAHGEPFSFTLELFDSVLPEGSKTKTKMGLRNIVCSIQKEKKGWWNRLLKSEEKHPYIKVDWNKWCDEDDESGMF >Dexi5A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:5A:24123725:24127109:1 gene:Dexi5A01G0020300 transcript:Dexi5A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRVRLRAAALALQDDGVRDKPDAKANVFADLGSPVSPLRPRASVATSSSSSSGSAKSPVPSNAGMAGGRRHSGELVAECNPPRLTGHRRCGSGPLIFSGGSSGGSGGDRGSTASSPMLNALPAGNICPSGRVPAAAAAPPPPRSRPDVLGSGTGHYGHGSIMRGAGMAPARSSIDAPSFLGHSTRSPASSPASGGSLQEVTRLGNEWYKKGKYAEALRHYERAVSLCPESAACRGNRAAALIGLGRLADALRECEEAVRLDPASGRAHSRLAGVCLRLGMIDKARMNFTQAGHLQQSDPAEWQKLQEVEMHLGRSTDARKIGDWKSALREADAAIAAGADSSQLLLALRSEALLRLHKLEEAESTLASLVKLNGALPSSLTAVKLSGMLAESYVYIVQAQVDMALGRFDTAVAAAEKARDLDPGNAEVGMILNNVRLVAKARAQGNDLFKAAKFSAASIAYGEGLKYDPSNSVLHCNRAACWSKLEKWEKAVDDCNEALRIQPNYTKALLRRAASYAKLERWADCVRDYEVLRKELPSDKEVAEALFHAQIALKATRGEDVSNMKFGGEVEIVTNVEQLRAAIGSPGVSVVYFMSAMNQQCIQITPSVNTLCTECPSVNFLKVNVDSSPLVAKAENVRIVPTFKIYKDGVKVKEMICPTLHVLRYSVRHYSVSSS >Dexi5B01G0023920.1:cds pep primary_assembly:Fonio_CM05836:5B:26011171:26015584:1 gene:Dexi5B01G0023920 transcript:Dexi5B01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRALSSILRSASRLRAASPSPCPRAPLHHRPSPAGFLLNRAAAYASSAAAQAAPAPPPPSTGKTTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Dexi6A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:6A:27741593:27742423:-1 gene:Dexi6A01G0020220 transcript:Dexi6A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSSSGSPPSAPIFSPDTAGHLLDLHFVSCDVTDQWRMGANINDWLDDPLDLDGAAAAAWASNDYGSASASAAGTDDLSAGDPPAPEPEPKRRRGRKPGPRTNGPPISHVEAERQRRDKLNRRFCELRAAVPTVSRMDKASLLADAAAYIAELRHRVEHLEAEAKHQHAATAHTFVGGGGGGGGGLAVAVEEKLEVRMVGRDAAALRLTTTAARHAPARFMVALRSLDLTVQHACVCRVGGATVQDAVVDVPAGLRDERRLRAALLHRLQRSG >Dexi8A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:8A:11764861:11766315:1 gene:Dexi8A01G0009160 transcript:Dexi8A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLFALCRYAVPTCINYYGLRDATPSYASIFLNIIPLITFILSIILRLEKLQFGTATGSLKVIGVLLAVGGTMIVSFYKGKALHLWGSISQHHRYEQSMVNKVYPHKYWSSTATCFLGGLQTTLIGIILRRDRKTWKLGWDLQLLTIVYTVKATGIWDAITVGSILGAATVITGLYVFLWGKSKELPRKEIL >Dexi3B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:3B:10049873:10050738:-1 gene:Dexi3B01G0014020 transcript:Dexi3B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPASRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAQHHLVHLLPLLRAALSEAGITPSDLACVCYTKGPGMGGPLQVAAAAARALSLLWRKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQCDFVTY >Dexi5A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:5A:19634819:19636660:-1 gene:Dexi5A01G0016930 transcript:Dexi5A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKDHDAAAALAAFHESRAGVRGLVESGVNAVPPIFLTASPQPQSSPPTPAAFSIPAVDFSLPRSDTVALVRAAARSCGFFHAINHGVPSGTVASAITAARAFHEQPRAARSAFYSMEPVGAVAYSTIPNAPQQGAPVLPWRDTLRVRFGPGEPDLGRLPAACRDALREYQRSLTAFGKEMAGLLSEALGVGAERLEEAMRVEGWLMACHYYPPCPEPERVVGSLEHTDPSLFTVLAQDGVGGLQVRRGDGGAGGEWVDVAPVNGALLVNIGDVLKSVEHRMVIKSTQDARVSIALFFNPAKRGASDHFGPLPELVTAEKPAQYRSFTVPEFMSSRREYGHSRSSIERFKMSSGQ >Dexi2A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:2A:8629466:8630470:-1 gene:Dexi2A01G0008570 transcript:Dexi2A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQIMEFLLQGEDYSGAPAVLPIIGPGRVGKTTIIEHACNDQRVRSHFSQILRFSQDSIRDMKTITNLGDCSVIKLIHDDVGGEKMTTLVIIEVAGDIDQGVWEKLYSDCRHQIGRGSKILVASRSDKIARLGRATQAQPLTVRSFTEEAYWYFFKARTFGSTDMKDHPRVAAIAMDLARELNACACIYGANVFSRLLENNFDARIWSRTLAQAREFKRMNLLLFGTDLDDPWQVVDPLFVRSANNASSEYFAILDDYQTDSVGEDSAQSGDGPPMSIQDLFFGENVRPHGRFKVLAYRSHIPPHYSYMMTCEVRRQQRVFSSKKRIPHVGI >DexiUA01G0025420.1:cds pep primary_assembly:Fonio_CM05836:UA:53673438:53675385:-1 gene:DexiUA01G0025420 transcript:DexiUA01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLCTTAKQLIRKWRVLIKADKQELDNDCTRAAEDRGEPRNACTSLTFGSFSKNMLPQAESLRHPGWLLTCGSLVCLSRCFANPSPRHLSRRPGHPRVSRAAMAPSSSRSPILNPRVVARGVIRTHPTVRRQHLPSTVLFSSPPQEQGHRKSETLLGGQQRGSSSMARAPAEGRPWSRRPPWRRRPGQPDLASWGPDPTSPGADLSTLQGKDDEAGLPLRGGIDIVYEI >Dexi6B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:6B:5868023:5869303:-1 gene:Dexi6B01G0006240 transcript:Dexi6B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFVSSRRRDRGRTAPPPPPRSPAKRTLAVRDWAELPSDILLDVFLHLGPLEVMLGAEQACKPWRRVALEELTLWGRVGLDERGCGDLRGRRYLCDVTGNMWRVAVDRAKGQCEAFKGCCNDEDLLHLVERAPSLKSLSMKHYSDHQTGEDLLDALKKLTLLKELEIDFNYYRIGSDDTMLQSICKACPRLEELVLMYLSAFDR >DexiUA01G0008370.1:cds pep primary_assembly:Fonio_CM05836:UA:15532123:15532500:-1 gene:DexiUA01G0008370 transcript:DexiUA01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDLEQVGGTGDRLFLQANSMSCSRHASWRHLARLRLGSVKIWELGGRDTLVKRAHRVGAKGAPASLSSLLGGQAGQWTAAEWSARLHGWGKRRAERAATGSERERDSGGPRLSSEEEEERQG >Dexi9A01G0044420.1:cds pep primary_assembly:Fonio_CM05836:9A:48049330:48050475:-1 gene:Dexi9A01G0044420 transcript:Dexi9A01G0044420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGAAPAAVAAVEAEGKSGGKGSWYVLGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLAQEFDVHRSWTLDPLNYDS >Dexi1A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:1A:4966342:4971125:1 gene:Dexi1A01G0006540 transcript:Dexi1A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAALAPAAFRSSFSPSLSSNPSRNRINIEGAFCLPCSTRKRASYRSFRVYSLFGGKKDKDESGDEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMDLGAETACSIAAKRSSFATTGCLALVADAPARPSSMGTSPCWRAARSGCAADANGSSFSQSKWSCRILLWMALLNRRATTMPEQDSSAFRQGAAAARNRKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >Dexi1B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:1B:3173968:3174634:1 gene:Dexi1B01G0003980 transcript:Dexi1B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPAAASAGTHSVFVYGTLMAEEVVRILLGRAPPSSHALLPGHRRFSIRGRVYPAILPVPGHSVNGKFFEGLTDRELHVFDLFEDEEYVKKTVEVSLTDTSEKSLAYAYIWANESDPDLYGEWDYE >Dexi9A01G0039530.1:cds pep primary_assembly:Fonio_CM05836:9A:43470923:43472658:1 gene:Dexi9A01G0039530 transcript:Dexi9A01G0039530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCSAPHPILLPYGDDYVRCESSSSSAGAAGLDRILPAEYDLCHSLNLSPSLHGLQTPTLYAMNGSENYIGIGANPIYSGEARPAFHQFSCTQPTAASHLVKWTAAGETMASDGSRLRGSKRLKTTTAAETAQGPQHAGLRCNAKPTRNQTMKAPCRRTQKLGDKITALQQLVSPYGKTDTASVLHEAATCIKQLHEQIQILTASYSAISSPASQQEQDIDEEEGASDLRRRGLCLAPLSPDVVELVVSAEAALRHRNTAETGDRWRWLGAL >Dexi6A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:6A:3000079:3001161:-1 gene:Dexi6A01G0003370 transcript:Dexi6A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPDDFRCPITLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLISAVAPVAAATPVPSEGGDARGRRREAAPAVVHQSSSPSSPVQALLRLAKSGAAGRREVLESGNAVVLLRHAAAGDEAAARAVLHLTLDGDDTRVGLVADGAVDALSAAVRRGGAVAALASTALTSLATVDVNKCTIGAHPSVIPELVGLLRRGGQRERREAATALYELCKLPENRRRAVREGVAPPLADFAGDGSARAVEVLGLLAKCREGRQELCKIPGMVEVLSGVVKSGNPRAIEQAVLVLNWICSESNELALEAIKLGVFQLCENLVNDDNCKIAKNAVELARTLETA >DexiUA01G0006470.1:cds pep primary_assembly:Fonio_CM05836:UA:12442353:12444069:1 gene:DexiUA01G0006470 transcript:DexiUA01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVASKWSDTAMLVIDMQKEFVDPATSSLALLAGKAILPAVTEAVELARGRGIFVVWVVREHDSDGRDVELFRRRFYSGGKGPAMKGSKGAELADGLVIKEQDYKLVKTRFSSFFATNLDSVLKTSGIKNLVVVGKFF >DexiUA01G0009090.1:cds pep primary_assembly:Fonio_CM05836:UA:17428858:17430539:-1 gene:DexiUA01G0009090 transcript:DexiUA01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTKVPMLKSKPLPPAQQERVLTVLSIDGGGIRGLVPATILACLEAQLQEKDGPDARIADYFDVIAGTSTGGLIASMLSAPGKDNRPLFAAKDISQFYLDNGPKIFPQRRVGCCVPSMVQFVVDSLRPGPKYDGKFLHEKIETLLKDTKVGDTLSNVILPTFDVKRMQPILFNTFEAEREAHKNARLVDVCIATSAAPTYLPAHGFKTKGSGGEPHQFELVDGGVAANNPTMAAMSLLTREMLRVRQELLVDDDRNIHLVHGGAVRRRLMTSDNPTVAAMAAMIAAEEEENPHLRMNKKDAHGSVYKNILVLSIGTGVSKKAHMYTAAECNKWNLLNWLNKNGFNPLIDFFCDASADMVDIHAEVLFELLGVEDHYLRIQTDTLMGDAASVDCATDKNMKDLIQIGKDLLKEKVSRVNIFTGEYEPKEGGGTNESALKELAEKLSMERKLRKETGDN >Dexi4A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:4A:11387980:11388570:-1 gene:Dexi4A01G0012650 transcript:Dexi4A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLPPEPKSKEPWFTLKEGSAYRLKFTFAVAGNIVSGLRYTNTVWKTGIRVDSTKEMLGTFSPQAEPYTYLTPEETTPSGIFARGSYSARTKFLDDDRKCYLEMNYTFDIRRDWPSS >Dexi3A01G0031530.1:cds pep primary_assembly:Fonio_CM05836:3A:35973710:35977277:-1 gene:Dexi3A01G0031530 transcript:Dexi3A01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMSVSAVSPAPAAAAVSRPPTLVCVPATARAPREMAAELAAAAALGADVAELRLDRLAGFAPRRDLPVILADPRPLPALVTYRWAPGSPVQGAVGVAWIGRREHFGIPVPRWEGGEYEGDDEPRFEALMLAMELGAEYVDIELKVADKFIGLLSGKKPDNCKLIVSSHNFENTPSTEELANLVAQIQATGADIVKIVTTATEIVDVARMFQILVQCQDIGALNTMVRRPDGKLVGYNTDYVGAISAIEDGIRATSSQPTDPTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNETPLSKQALTSYAVVFDAVYTPKETRLLREAAECGAIVVSGLEMFIRQAMGQFEHFTGTPAPDRLMRDIVLAKT >Dexi7B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:7B:15238383:15240627:-1 gene:Dexi7B01G0007330 transcript:Dexi7B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVQSALSDKSYSAIAPLCDDLLLQSASRGAATDEWPYAVHLLAHLYLNDLNSARFFWKSLPQEVKDARPELAAVWGIGQCLWNRDYAGVFTAVQGLEWGPDLADFITAFLATKMLTVKRPKAQTNQKLDASKLQRLTECVFHLEH >Dexi8A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:8A:841747:842217:1 gene:Dexi8A01G0001230 transcript:Dexi8A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAAGGERYSYVPNFGPGGSILEMRRVPASSLPYNYAVPPDILFDQYLHLDLIDGSRSSKRARAEATTEAIEQGLVHVAGASRSGEDCPDFTADETLRAMPCAHAFHYDCISQWLRRNAVCPLCRHQLLLVTPDDDEDQHQSHRRRTTTT >Dexi2B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:2B:7905680:7906018:1 gene:Dexi2B01G0007670 transcript:Dexi2B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTACRALAQLLDAVMEAGATAAAVALDQQQPRPAAAAAEEERTRRRGGGGDQGALPPSPSSRPWRPGRGERGEDAKARWWRRAQRGERRRPLPPARAATAMERKRSRNLP >Dexi5B01G0027740.1:cds pep primary_assembly:Fonio_CM05836:5B:29310659:29313183:1 gene:Dexi5B01G0027740 transcript:Dexi5B01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADSAMGERGGGNQRIDLGAPLRSAVRRVEDAPPPRYRPELNSGPLRHPGAVPFVWEQRPGQPKSVRTRRAAAPPTPPREASWARPEEMEFGGGGSPYHDALADLDLHAPHGVAADHASSRTTLAASRRVVDEEHAAEARKKKQEAVSAAAVLRRREDREEEEERFSDALDTLSRSESFAMNCSVSGLSGAPDTVPGGAAEPCAPGFMMDRFLPAAHAVAVGSPQYTFRKAGAAAGGTGNSGRDHARAATVKASAGNGDDRMRRAPVQLPYQHLPPNYLSCAYPRREDEHGEEDEEDDDDYDERSTRGFSAKGCGLLPGLCVKTSLLLLNPMPAMKRGKAQGRGRGRQFPSKGRGQLAQSPLARTSPNKHLGCDSNGQSWEDVYKHKLELKYLGQGEDGRSKLTIVGGFGLV >DexiUA01G0006310.1:cds pep primary_assembly:Fonio_CM05836:UA:12164787:12166283:1 gene:DexiUA01G0006310 transcript:DexiUA01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAQESVLWKQIDDAEHYLVSGSFEQAASAALSVSDQIRKASLEDACDRGELLEMLESAGMVLVQALKELRRASEMFVQLKAMFGSVASVPVKLFHTGATMQMAAGSVAELRPIFEEYLGQWKYTDDGVYVLNGGEDRTY >Dexi4B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:4B:5841102:5844510:1 gene:Dexi4B01G0008060 transcript:Dexi4B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYAPAAARSFAGASRFPASPGTGAAPSFPIQAPKEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFIRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKPFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAPTVEEAALKASA >Dexi9A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:9A:5898828:5903690:-1 gene:Dexi9A01G0009720 transcript:Dexi9A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRVHRCSGGAVEWSPSPVVALATSPCASQVAAARLDGSLELWLVSPGSVGWHHQLTIQGNAEARITSLVWGRSGGGGAAGRLLSSSVDGSVSEWDLFHLQQKTVLDAVGVPLWQMAMEPSDDYKNSEINGSGMAINGHANHSDSSDSDLSNVDDGDNSEGEESSPDLRSSYHVNDLQQLALACDDGSVRLYNVPESGTLTYYRSLPRVSGRTLSVTWSSNAKFIFSGSSDGLIRCWDTTSFHEKYRITAGLGGAGTGPELFISTLLFLRCGTLVSGDSTGSVQFWDSSHGTLLQSHTYHKGDVNALATLPSQNRVFSGGSDGKVILYKASKDEFGASKNAVKEQAHKWIYVDSVAVHTHDIRALTMAVPICREDALPEEKVVKIRRREKHQFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLVNLAQGSTANGDSVMLVQSPNWLDVLLVTVQSKLTPSTSSRQDATVRQLARFKSKGSRKIISSAASSNGTLLAYSDCVRPCLFALKHKSGKKYTLDKLELPKGLPCSHSMMFTVDSSHLILAGRDGKIYIIDIETKGVSNVFLPTRKADGTKGSSRESPVTKMFLSEDGQWLGAVNCFGDIYVFNLEVQRQHWFISRMNGGSVTSGGFCPKNNALVITTSKNEVAMCLIDFGLPVVEDVELPNGSRPAEKADAQKISKTKQKRKVRDEELKQEKKNNFNFFAFKDPVLFVGHLLDSSILIVEKRWMDVVKGFGDPVHKHIYGT >Dexi9B01G0048740.1:cds pep primary_assembly:Fonio_CM05836:9B:47603627:47613013:-1 gene:Dexi9B01G0048740 transcript:Dexi9B01G0048740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGSMRRSSLPAPAAAPAFTASPADYRLLEEVGYGANAVVYRAVFIPTNRTVAVKCLDLDRVNIAPMYCVQDDVRKEAQTMSLIDHPNVIRSYCSFVVDHNLWVVMPFMSEGSCLHLMKVAYPEGFDEPVIASILKETLKALEYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGSGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSVLTDLPPIWDRVKALQLKDAAQLVLKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPLELKEDDDSARITDSYKDTSSYSHRGNPTQLNGNNFSERTAAANRGQNGREPSEALPSNNGSAHSESKADEPRNLGLEIDPLPSTSPNGKNCTSEVRQTKRTYSGPILHSGLHNSSMTERGHIVERDVGAQSVSDKQKNGLHEHSCYPLFIMSIVLVMFALKIHDILLIVTGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEIPMSSASRKLQGGPSLRKSSSVGDWSVNGKTMSTSHQRKELCNSSVSSSILIPHLQNLVKQTALQQDLITNLLSSLQQNERVDGTSAQSRVHSMGSDTVVEGSTAEGEQSLLVKIFELQSSMYFILPPCRMISLTDELIASKLKHVQLQEELNALYCQEEIIDMREDENEEA >Dexi4A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:4A:3611551:3616045:-1 gene:Dexi4A01G0005130 transcript:Dexi4A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASVRYFLSPAWFVKLAARIAVSNLHKNTKKSFSETIKDMYMHFNERSGLTAPLVAEDIYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLAGKVVERPQHMLMRVSVGIHKEDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGEEFETLYKKYEREGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSTDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSDRWNWPSLRQTISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPTLKNQIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGVANGKPTEEDVEAKMAQMVCSLNNREECMACGS >Dexi1A01G0027420.1:cds pep primary_assembly:Fonio_CM05836:1A:33211004:33211246:-1 gene:Dexi1A01G0027420 transcript:Dexi1A01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPTVMYSPGPEFPAEQETTMPLLMAWNAPMAMESVKKLGAYPLAPTEREMTSTPSATASSMAARMSES >Dexi7B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:7B:18688198:18695732:1 gene:Dexi7B01G0011680 transcript:Dexi7B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADHDSDDSGELLSHRQQQNYSILGDVDIKQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEERVRKVVGLPEKQVELPNDREVTCGICFETCPRGTMSAAACGHPFCSTCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQDMINALADDDDKEKYGRYLRRSYIEDNRKCTEEAHRPVDCATVSKWILKNSAESENMNWYSKHKHKHIMLTILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYESARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQGLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTRCISLFYSIYACVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGGKSKSGKNRASSTSSKSGGPNRGVDDSNIWTCDHCTCANPKSAKACQACDHKHR >Dexi5B01G0033120.1:cds pep primary_assembly:Fonio_CM05836:5B:33630961:33631391:-1 gene:Dexi5B01G0033120 transcript:Dexi5B01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGFSGWITAFSTHGWVRQAHHPWLQLTLLFPKWSCLFMGICCTPWRQKLQLVKSSLPPSASPCSR >Dexi6A01G0017730.1:cds pep primary_assembly:Fonio_CM05836:6A:25605066:25609644:1 gene:Dexi6A01G0017730 transcript:Dexi6A01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGVSRRLLQFAVRDAVKAVQPTSSSSEPASKRLRSVVSTTSSENMRDRRSERTQDNPSDRRSERSQDNPSDRRSERSQDNPSDRRSARSQDNPSERRSERTRPVLQVQAAALALRAAAEAAADSTKVRSTGSVFKRLGQGKVVKQPSHSREEKRDYEDFEPVMTIDEHDSDRYVNNEESEEESGELTMADRVAEMNVDSSASEDDMEQDEGITRYQASVSPEGAFSSVEHKKVISAKCSGELETDAIRHSSVVDKGPHVPSSTKTASKTVAISVDVTTVEPPSYETPKDVHVVEKPYTPMNSNATSVATNAKVHFAATKDSLSRHFNQFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMTRLLKVVRRSSHEAAHFYGWPGSGRSSLYGRHGRMAYPRAVLPGGSFRGRALMKAGARSLQWKREPSGTDSGTKADMSVPLSSEQVLPPAT >Dexi3B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:3B:6101149:6102943:1 gene:Dexi3B01G0008840 transcript:Dexi3B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSFHVADAVLCLLLFLLAPTVAAVSSVSGEETVNGSCIAAEREALLSFKAGITSDPTGRLSSWRGHQDCCRWYGVRCSARTGHVVKLDLSNPFFEYDILGYDDPVRWLRGQISSSLLSLRHLKHLDLSGNDLGGHMPMPEFMGSLTSLTHLYLSNMNFSGRVPPQLGNLTKLVHLDIHNSYDSFPYSSDVSWLAGLHTLEYLDMTFVNLSAAVDWVHSVNTLPNLRVLNLSLCGITSSAPSLGHHNLTVLEELDLHGPFPDELGNLTMLETLDMSFNNIEGMIPSTLENLCSLRSIDLSVNNIDGLNCGP >Dexi9A01G0007510.1:cds pep primary_assembly:Fonio_CM05836:9A:4354886:4358442:-1 gene:Dexi9A01G0007510 transcript:Dexi9A01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQEWSMADFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTYKAKLEKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDEERVVLVLEYAARGELYKVLRTAGHFSERTAATYIASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAARSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVRVDLAFPSTPSVSSEAKDLITKLLVKDSSKRLSLEDIMKHPWIRKNAEPSGSCIKQKDLARDKPVLI >Dexi5A01G0033580.1:cds pep primary_assembly:Fonio_CM05836:5A:35896504:35898648:1 gene:Dexi5A01G0033580 transcript:Dexi5A01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHSDHREWYSAAVASARDTSTRDRAEPRLLYTYDEALHGFAATLTASELRTLRSTRGFVSAYPDRGATTPHDTTHSMEFLRLNSVNGLWPAANFGEGVIIGMIDTGVWPESASFDDAGMPPVPSRWRGTCEPGEDFTPSMCNRKLIGARYFNKGVEAANPNVTIPMNSTRDFFGHGTHTASTAAGSPVPRASFFGYGLGTARGVAPRAHVAMYKVGWPVGNGRYASDVLAGMDAAIADGVDIISISSGFDGLPLYEDPVAIAAFAAIERGILVSASAGNEGPDLGTLHNGIPWLLTVAAGTVDRQMFAGTVYYDDMRGSITGISTYPANAWVVDTRLVYDEAVSACDSKEAFVNLTTTMVVCDKGNLTEQIITMTEAGVAAAVFIADDDFEDDMPLPAVIVSSEDAPWLLRYIKSTTLPTGTLKLQQTILGTRPAPVVTSYSSRGPSQSYPGVLKPDVMAPGDSILASWAPIEALGQIGQTLLVDNFLVASGTSMACPHASGIAALLRAAHPDWSPAMIKSAIMTTASTIDNTNSPITYDGFKNATVASPLAMGSGHVDPNAAMDPGLVFDAGPEDFVALLCAANYTNAQIMAITRSSTSYHCSSASSDVNYPSFVAIFGANATLGDMQFRRTVTNVGAGSSVYHAAWVSPSNVDVSVSPFRSVGETATFEVTIKLTASTGGEPAFGEIVWADLSGKYRVRTPYVVL >Dexi3A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:3A:12145905:12147527:1 gene:Dexi3A01G0016250 transcript:Dexi3A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMRLAIPAPAAATAAPPRLRVRAAGNWARLPAARVALRMETGALRGCASLPLKPQPLGTGAGQPSRRRGAAAVCHSSAYLSAQTMQWISAGASAGEYGQWTAFLALLVRLFFFIPGRYVE >Dexi2B01G0025990.1:cds pep primary_assembly:Fonio_CM05836:2B:35154257:35157287:1 gene:Dexi2B01G0025990 transcript:Dexi2B01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLRSGNASSSQAQAQAGGCSC >Dexi2A01G0025920.1:cds pep primary_assembly:Fonio_CM05836:2A:37546268:37550545:-1 gene:Dexi2A01G0025920 transcript:Dexi2A01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGGPLVSVNRNIVGMNLFLTMKRAVFLPWGTIVKHLEHYWTSRGLAIPKNLKVHRLGARSTGEKSNSHPEVLILDLVAPVHGDFLNQEQLELDSMGYPKLPSTMLEAGMILDYSFEETFGNVHGKGGKRLFARTGFFIEWNGSMIILTSASLVRNSGDENKIVENLRVGAHCSETAVLQGGLCLCLVGVRGTRINPTLVPIPKDMVTFSERKSSSTRCSH >Dexi1A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:1A:1686362:1688989:-1 gene:Dexi1A01G0002520 transcript:Dexi1A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKLRAICSPSRWSRGARSCCMSSGPHSRMLQEMWDEIGEGEDDRRGMLQALEEECLNVYRAKVDQVRHHRAQLRREIADSVAEVAAICATIGEPPATVQTACSSLQGTGNLREELGSISPELAEMRRRRDERRRQFSDVTERVNRIHQEMNLAAGDGRVVATDGSDLTLTKLEELRAYLQHLQSEKESRTRKVAELIALLHSSSLVLGMDPREINAAAGHGGQAAGDFSDAAIARLASEIERLREIKRSRMDKLQDLVATMLELWNLMDTPAEEQRRFQSAACNIAASEDEITDPGALSMAFISNVEAEVVRLETLKECRMKDLVAKKYDELKEVRRRARLPEEDDGDAVAMFDAIDSDAERALILERLEVQISEAKDLEFSRKDVLERMDKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARSLVSKMPMMAEALTTKVTAWEKERGVKFEYDGMLEEYGNARKEKEQERKRQRDQRRLQGAAAAAAAAERDVSSPVARPPPKNIKNVTRTLSMGGSNGGGGSARKTTTASSRPGTPSYLTKSPMSARRGGSDEGQMMASDSFE >Dexi9B01G0043560.1:cds pep primary_assembly:Fonio_CM05836:9B:43516908:43517604:1 gene:Dexi9B01G0043560 transcript:Dexi9B01G0043560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKDEKAREFLDIGASMNHSHYGYKNMVIDCLSGSDGKEGIAKAADLLCSTYFNGETHGNGKKEPKFTEADMASALKAVGTWDH >Dexi2B01G0031850.1:cds pep primary_assembly:Fonio_CM05836:2B:39865988:39867656:1 gene:Dexi2B01G0031850 transcript:Dexi2B01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCTVTGYVSLCNLLSSPLQEMRELQELQRTLYTFLHAVATHDLSTILLTPSCIQYLDTIMQLLLFTSCKHKDILLRKGCVQIFVNLVKDWCINSEEKVNGFRDFMIEKFATNCCLFSVLDKSFDLRDANSLVLFGEIVVAQKIMYERFGEVFIQKFVETGLTKVCCPPDLAKQYCQKLQIL >Dexi6A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:6A:5426438:5436435:1 gene:Dexi6A01G0005920 transcript:Dexi6A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCGAGDGYERGEPWGARVLKRAVGHSVADGDPRQPPRFSMGDGGVACAVRAVEGFRAGALVSRASAGGEAMPDKGERGHGHHHHQQHRKSQQLASAAELEEGELLNGEPDTNGLPERSMPPKKWRKVLAASTAAAEVEPGEIVGTKQAVPLKKLRRNGDVEKEDLVLERQRKDKSAGKSARKSSKDEVEPGEIAPSEKRRDGKSQRADDNGRRPSSSVQKGSLRDSDEEPGEIKPESSSAGSVRKSRPSEPQSINHKHQADASDQSGSKSRRKGEGRSSSAGRHLFGRNREASPPTRDRHDRHERSPGILGRFPHDRFRHDRHDRSPSRLERSPHRDRARHYDSRDRSPYISPRHRVRQPHFRDNTPSRVDNSPRGRSQHEDFRDRSPFHHDRSTSERSRAADSHEATKKNRNVNNSETPQHKSKSTKQPSKTKSGGSNGKVEEKSSKEKATESTQYTELPPPPPLPPPPPPPPPPPPPLPPVVSPPLPPPPEPEPNGVLAEDMIEDMDICDTPPHTISAPGLTEPVCDMGRWFYLDHFGIEQGPSKLTDLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSDIPSIYSDASTQLVSPPEAPGNLLDEALEEASNLASAAEDKQMGEASAEDREDFYIDDRVEALMDGSILVHGQELKIIGEILGADFKPADWERWSRPEDFTRFQVHPEGDGGITKGTEFLDNIPTDTCGLVSVEKNNVHPYVESSEWFSGRWSCKGGDWKRNDELSQDNPFRKKLVLNEGYPLCQMPTGSHEDPRWHCKDEMYYPVRAKKHDLPLWAFSSTEEDTDSVSDTCKSVVHGKPGQNRQPPRGVKGMMLPVVGINSRVVKDQSSVEPRTKPRGVDRPLSRSSRSHSIGTERSSAHEGSLHFKKHHDHDSHGLHKSKSVPNIPKDRVCTADELSVNRGDWYYLDGTGHEHGPFAYSELQELVKKGTIVERSSVFRKIDNTWFPILKDLKPVCSVSSVAQSSNSSAAHMQSDQYNVGVDQGSGSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWISAKQPKKEFEAYFPHNSASRSFPPGDGGSAKRAKLLFDQSEEDIHLSDDILSSRKEDICFEELCAGSASVDDNSVNPESENDSWGLLNGRVLARIFHFMRADVKSLISSAATCKRWNAAAKYYRNMCRFIDLSSVGPLCTDSVFFNIMAGYENQNIRTLILTGCLNLSSHALGKVLEQLPHISYVHIQGCSHLGDLKNKFQNVKWIRSSLNPEESYQKMKTIKQIGDGNNHPSKVARNFTNQLDGSDELDGYFAHISNRENANLSFGQGVYKRSKLLDARKSSAFLSRDAQMRRLMQRQAENSYRKMEEFIVNRLREIMKSNRFDFFIPKVAKIESRLKSGYYARHGFHTIKHDIRSMCQDALRYKDGNDSGDIKQIVISFIQLAKRLGNPRYISERNGAAAAQDSLDISQYSSDTKLKKKQNKTRGQNSVAVGADNSSRAFDREIKRSLSKLKKKVVDSGSETSDDDDGYSEGDETESETTLSDTESDVDLNSGAWDLKGNGIKLLEPSESTDDRILGGRMTKASLVPPVTRKYEVIEEYLIVADEEEVRRKMRVALPDGYSEKLLSQKNGTENMELPEVKDYQPRKVPGDEILEQEVYGIDPYTYNLLCDIMPADIELSPTDKHIFIEELLLNTLNKQVRHFTGSGNTPMTYSLRPVIEEIQRSAEDCGDRRTSKICLGMLKAMRNRPDQNCVAYRKGLGVVCNKKGGFGVDDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGKYQIGVYTLRPIAEGEEITFDYNSVTESKEEHEASICLCGSQVCRGSYLNFSGEGAFEKVLMEYHGVLDRHSLLLQACEADSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIYLERQKLPDEILKHNLEEKRQFLIEINMDNEKNDAEVQAEGVLNSRLQQIVHTLDKVRYVMRCIFGDPKNAPPPLVRLTGKSLVSAIWKGDSSIVAELLQSMEPHVEEEVLTDLKAKIRAHDPSDSEDIEGGIRNSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRARDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYCKTYPENYCLAQLMYWYSQNSEPESRLTRARKGCLSLPDVSSFYVKSAKPGQERVYGNRTVRFMLTRMVSATWCIVGTLG >Dexi4B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:4B:4050150:4051324:1 gene:Dexi4B01G0005710 transcript:Dexi4B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYNKNPTVIVRMFMLLPCFSLTVMLRFQSNAVVLPDPSCPKKCGEVDIEFPFGIGAGCALTEEFELRCNKTKDGSSDKALYLDIPVLNISLLGGQIWIDNYISSMCYNHSSGIISYNGWSLELPNPPFTFSEKLNVFTVVGVNALAYIIGYTVSYLQVLGCVSQSLPYGYSLSAQDGVCNGVGCCQVALSSNMSYFSVNFDERYNTSNLSIRDNKDYCGYAAMMQIDKFKFHTTYLNTSAFWDDHGGHVPVILNWAVGNESCDVASTKSDYACRSNYSVCTDSTSGPGYLCSCTEGYKGNPYLSDGCQDL >Dexi4A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:4A:12618761:12625288:-1 gene:Dexi4A01G0013290 transcript:Dexi4A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAAAFALRAAKPSLHGHSSYAYSYLPYQHCHRDDADEHHLHHQHHELLQQSPYLTPRFLLDGCLLRHSAHLLLLSARLRPPPPTHSHPPRCCRRRAAARCCCVVGGERPVAGQVSWQVEARGCRCCGRGAARSDLGSVCRRLEAAGCRCGGSGGGKLLRAGVGRRDAPRLVGRAVRQEVWEYEGGQWPRRCLMECHDDWEDQEDCDRGQLEVVRLVRRKWEDDADGNDETCRCRDCGRRKGLESYYSGEDGYSGRRRERSDVDDDRSSFRNSDRRRRQQREYYGDEDDLDLRRRRQTWEGRDRRHSEFDDAVDTRRFETRRYRDRDDGREYDHRRERRDFSSDDLADVRRTGRYAEDVGRFDWRRESRDLEIDDKVDVRREGMRRSSDDQRYVSRHQRRSEDTDGEDVSLLRSHRSKDEEIDYDEQDLAERRYYSGVRSQKSGRALSLHQDDSNRASSSRCKVDAGHTRQEENLSSRVRWRDNVDRQTEQASKERDRRHSVGWSNDERDTYEYDDARFVRIRDSRAGTQDVKVITEDDTRFASSSKNTSILKHGINVDQEAAVHKDESRKSSQKIMEISEVQDNCTERDSRAQSYHQEGRGNYTENKSSSIQNSVKVASDSRRQVDQHNAVNQNLISLTESRKNTENLVNVTTDSNRNVSRASYSQRNFDEVNQADIDNRSTFLQNIIHVTRDKKRIVNQQLIHETDIDVQNITHVDVSKIRASDTSTSRTQSHLETKSDMNSTSSMNFLNNTRNQEKDVRQNKISASDTAMFRGSQSHLETEVYGQFDSTSSANIADSTKGSQEKFEVNIANASNLAASSTSVGHLQTRIDGRFQSTSAVNTFDSIKEQVDLAKIPTSDATGVSSSQGLVTKNGNQVDRTSAVHWPREKQGKNDQKITQVCGTEQNDEVRSKFSESFQDSGGSMVRSKDTHHNMDLIWQKADTSGISDGKDITGLLLESTEEGSSMAQGARIMGSNEQEVRSETTAETILQSGSSGVHSVKESMLESAARLEKSSTFHVGRFVDEIQKGVSYADTSSTKKNEKSIVEGTTWSSSRSRMKGPADEMWDVHSTTSQETFKTADKEEGSSADGATNSASQTPKNESAVARKVHKSLWAYVADIVRLGWIQRGDSHDSSDKPVKKSSSSNSQSTEGWLSSQERDNDGIRKKNKAKDQVLIKSHSGESEPGVASMSKEEYFHTGTEDLQISDVIEPQVVRSQEDLLARRSKDDPNISGERIKKSDEGQSPKGNIVDDSTLTLVDAAIGHLPKHKTATSSRITSKDSSEFNTAKGMLADSSSVAISAIEAGHKGDGADWIYDPSGAITSYHHPQMQTVMPHENTSTSILEPPSLPVGGTRFGEKNVVLEPPEVIKTGGQDAELKRRMFQRNKQVLKGTFDEWEEAYQRDAMQRKADELFMREALLEAQRAADIWEVPIGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARIDTVVWGAPNKLLGADGSWVRLFPGDAQTSTLDSANQSQATGPIHPFHPKITIRRGVLSAECSEIMQQFFQLRRRKKQKPQSPPRAHQQGHHHPVKFFSKMHHMFGTIFCL >Dexi4B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:4B:3708895:3711002:-1 gene:Dexi4B01G0005280 transcript:Dexi4B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAPARLFLLHSTAAGNPRNSAAAQAQALRFPSPRLALSRRMAGAPPAAIAGGSGGSERDLSASALSLEAEESVVASDSGLVAKEPSVATILTSFENSFDMYGALSTPLYQTATFKQCSLAL >Dexi9B01G0025930.1:cds pep primary_assembly:Fonio_CM05836:9B:27555454:27556442:-1 gene:Dexi9B01G0025930 transcript:Dexi9B01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVHPYCRQNKLRAFCSEKGIQLCAYSPLGGKGAPWANNYVMDSPILKHIAQDMGKTIAQVCIRWVHEQGDCVIPKSFNQKRMRENLDIFDWELTADARHKIGTLPEFRGTYDFFVHDSGPYKTVEEFWDNEITDGQPNQSVTALGLDPNLTN >Dexi7A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:7A:27845868:27846512:1 gene:Dexi7A01G0018420 transcript:Dexi7A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSVLPAVSAVTDGMMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHFRGPKAKTNFPVAFAAGAAPPPPPQAKILPISPSSSTVESSSPASPALPAPSLDLSLGMPPVVAAQPFLFLDPSLAVTVAVPAPVPCRPAAVVIAGGANKAATATCREDEQSDTGSSSSVVDASPAAVDVGFDLNMPPPAEVA >Dexi9B01G0043600.1:cds pep primary_assembly:Fonio_CM05836:9B:43568126:43569094:-1 gene:Dexi9B01G0043600 transcript:Dexi9B01G0043600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHGSRPVTGDNRPTAAAGGSGTHRKSASAAYCSPKSHRQEEAARLLAATRAVNPHLVAIAQFAALAPAEEIRKLIGEIWPGKRRRALAAQPDLLPSGDRHSPRAYPQPEEGIRNQHQPPSERVGRKLVRPVWHRGTLIAAASRPRKTPPLQCHNPHLDRAPEFLRRHSLKHLPRMFAASLPRQAFQRYAARTTGPS >Dexi1A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:1A:5063696:5066059:1 gene:Dexi1A01G0006610 transcript:Dexi1A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFEGYGYRGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEIHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPADKEPTTEAPAEEEPKFIPFTGSGRRLDGKASKDKDVLASSPAKRQANATNSVQPSTTTSQGSSSRKATGKLVFGSGGSRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLKG >Dexi4B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:4B:7270563:7272516:-1 gene:Dexi4B01G0009980 transcript:Dexi4B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWAATAWPGTCPSTAAVSFAPLLRAATPRHPRPLYRPLPLLLCAGLRGAPSATSSGGDVFWEEPDDGSGSDYEDEAEEETEQRRSSRFPSSSPFSGLEVARQQEQELGREIELLLTPEEKAILDQHETPDITKISSPKWHPLHSYAMALQIPLMDKLLDSGLDINLLDKDGFTPLHKAIIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLANGADRTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPTSRGV >Dexi9B01G0029110.1:cds pep primary_assembly:Fonio_CM05836:9B:31668815:31671014:-1 gene:Dexi9B01G0029110 transcript:Dexi9B01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSRSGGTTPVGGKPPRHHLTSIRHCASSARIAAASAEFELDAGTLSLISPTDIRLGFLPVFRSGSYADIGPKSYMEDEHVCVDNLVEHLGLRGPGIPAPGAFYGVFDGHGGADAACFVRKNILKFITEDCHFPNSMEKAIRSAFVKADHAIADSHSLDRNSGTTALTVLIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCTVERLRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSVCPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQCAVSMVRKELMAHNDPQRCSRELVQEALKRDCCDNLTVVVVCFSAEPPPQIEVPRFRVRRSISMEGLHTLKGALDSNV >Dexi1B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:1B:7008380:7009578:-1 gene:Dexi1B01G0008370 transcript:Dexi1B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQSPSAPPPTEARGANLPPSSLLPRDVLLASAAERRPTTMEGSTHPPIRSTRPVCEPCDRSTRPTSPNPVGSASDGRMFISDDDLRLGHPSLRPEIEVCYLSRSEEMDTEEECLRHALLAVAPGGHPNIPDLPPRASCSSSPRNDPETRRCGPGADGDSGHDDHPDRDYPARPGCGGPTLHGFPCTPGVDDETAFTTGGSSSTHSRRAPTASGTGEPVTMEVASDQDKGIHAFPPGPPPPSSIAL >Dexi8B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:8B:24276205:24278267:1 gene:Dexi8B01G0013880 transcript:Dexi8B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSAGDPAVSTSVIVAAEAVTGSHVLTVRGYSGTKGHGNGKSIRSDTFDVGGHCWCINYYPDGEAPEAADWISFYLLCLDDPTDALDVQARFKFTLLQIGKAVSSFKPVYSSKEAMDVTFEVQGDTISAHRLVLAARSPVFKAELFGPMKERTMSHIRIVDMDPRVFKAMIHFIYTDTLPEMDKDDTMVMAQHLVVAADRYGMERLKLVCEDMLCSYINRSTAVTTLVLAEQYGC >DexiUA01G0001360.1:cds pep primary_assembly:Fonio_CM05836:UA:3903326:3904216:1 gene:DexiUA01G0001360 transcript:DexiUA01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQVLEVTLISAKDLKRVTVFTKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWHAPLRFTIPPAADPRALSLHVLLRAERAFGDRDVGEVFVPIRDLASAAPEGGSAGGDQRHLSYQVRRPVSGRKRGVLHISYKLSDAPPPDTTAGPYAAGGHHHPHQYSMKQHHHPATSKRHQHQHKVASAITAYPVAPRSGGPPYPPYGPLYGGGGAYPHHHQYGYGAYGYGGPAQGAPYGYGGNGGAARAGGGGMGTAGLGLGLLGGAVGGLMIGEMIAAAEVDGAYDGGFMDGMGF >Dexi4A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:4A:11596306:11599349:1 gene:Dexi4A01G0012770 transcript:Dexi4A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDASEIRNLPIDIAFARLQEWLVDRKRVPQDWRKRLAGIRARIAAAFPSLPRDLHPSLLGLEPEEIGYLEAKKIYSILLDSNTESRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYVEACQELGLQGVNVREELIESAKTLPSTFSKILEVLNSDPVSKAIEHYTAFVRDCHSEDKGNCDSVLHNLKKLQESPPSLHVSVYNEVDNYTGEALKSHGPIEQIDANIPAEDIDWDISVDATEIDWDIGAVEQPVEESGDGFGSYEIIDANIELAGSENYNVSVLDNPPLDKEGLATSESGICWDITADNSEGSVHDSATTQNAPMLAEDRSRLLEKEYRNDILDDLLEVKSFLTQRLGEMRNGDTSTLQHQVQAVSPFVLQQYAPDSLDNMLVEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLQEGLGDLSVKRMELQNALSSSWPKQVSERLSKYCYLMDKAKILAILNADQEAAITKTRELKKLCEATLSSVFDGRPVHIIGEINTLLSSSVSQLAG >Dexi5B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:5B:11696460:11698056:1 gene:Dexi5B01G0014840 transcript:Dexi5B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKPEVTEEAKMDLLEDDDEFEEFEIDQEWDDKEEGNEALQQWEDDWDDDDVNDDFSVQLRKELESNAPKN >Dexi2B01G0033390.1:cds pep primary_assembly:Fonio_CM05836:2B:40965163:40967696:-1 gene:Dexi2B01G0033390 transcript:Dexi2B01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAATTLLLALLGTVACQNGGLSVGYYRYSCPNAESIVRDAVKTATGSNRGTGAGLIRLFFHDCFVEGCDASVLLNTTGSTQPTERSSAPNLSLRGFEVIDAAKAELEAVCPGVVSCADIVAFAGRDATFFLSGNTVDFDMPAGRYDGRVSLDSEALANLPPPFAGLEDLKARFAAKGLDAGDMVTLSGAHTVGVSHCSSFSDRLPPNVSDMNATLVDKLMTLQYYNGGGGGDPAVPMDLVTPARLDSQYYRNVLNHEVLFESDAALMDSNQTAQMVRDNAFTPGLWEAKFKAAMVKMGAAGIKTSSNGEIRKKCWMVN >Dexi7B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:7B:18547784:18548383:1 gene:Dexi7B01G0011520 transcript:Dexi7B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQCTSINHRIPAGNILEGHLSLPPSLPLEGHLSTVHRPPNPHDSQPPARGTSTIANVASCGPGHDVPLGHFVERPPRVPAGTVDRGQRVPESRQRQAEVHQEPGDRVVCPSDRTRVRVPRDEAREGSGGVASEGEAGEEGVERVRAAGAEVDEGAEGVSGGKDRKVRDEGGIRGEAGHEKERGSSERGCRAGSGVRG >Dexi6B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:6B:26335793:26336942:1 gene:Dexi6B01G0019670 transcript:Dexi6B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPEKKSNTVDEENDVSLPEDQMLEVLTRVPLDDLAACRVVSTRWRSITYEPAFTPLHCRRTGTVSGYFLQSMLRDHYRAAFVSMNDASSPAAPAISLDFLPSTNVRIEAVAAHRGLAFCVGLDTPRRPCYYVCKPATRQWRAIPNPRTRFHTAAMAMAARPSSDAAVKAEFKIVRFSIPKRLHDMLHCEVFDSRRFAWRRAPDVPIVSLPSSTPAVRAHGAMHWLRLGAQDVFAFDLESEAWRLIALPQELDETRYHWACKQLSAVAGRLCLLVTTTETEEVGEVLEVWEMASYVEGRWDKKMTVSLKSLREQEGTMILRHLYSSDVGFLYSFYRAMCVSCYW >Dexi6B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:6B:16684152:16685134:-1 gene:Dexi6B01G0010310 transcript:Dexi6B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVLLAVAGAAAALLPLSSSQDLPIGLPGCPTSCGDVSVPYPFGIAPGCSLAGFSLTCDTTSTPPLLLVSNSTLQVTGSLSPTPRYWGGLPWGLGFDGLYVLSEARNEFIVWGCNIFAELRLGSAQLITSCGSVCDGPGPGNYADNECALRYNGSRHCGRCYGVSCCQMPVPIGSTFYFVRLTSMLDSEEDFAAVIAEEGWLDRGVAAEAARSSGEMKAIVPAVLAWAIAGSSAQPAVNETRDGEATCPTDLSSTGGCHSSYSSCTSTYDPSNTRRRRSYTCECFHGYQGNPYLPDGCQGK >Dexi7B01G0021870.1:cds pep primary_assembly:Fonio_CM05836:7B:26861867:26865456:-1 gene:Dexi7B01G0021870 transcript:Dexi7B01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGDDDAIWLMQGWLFTYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPVWISSDQFYDFEMYGVLDALAHGPIDARLSDNSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVQTYPTRRYGKSVKGLQDAWWILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLCTSARKMYSTMQSKNYEVKDASNDAYEHPHLWYDTSVVIHALELFLRYGDEVSDSNTFRYDLVDLTRQVLAKYANDVFLKIIENYKSDSMNQVATLCHHFLDLVNDLDVLLASHEGFLLGPWLESAKSLARDQEQEIQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKHLLRSMEKNEPFALQEWRREWIRLTNNWQSDRKVFPTTATGDPLNISRSLYMKYVRGTSLLELQGTGSLGNSASLV >Dexi5A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:5A:4329186:4333352:1 gene:Dexi5A01G0005790 transcript:Dexi5A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVCDRTSASLSIVAGEAEHLQQQTMSSDTAPHVVEDCLGIVQLLSDGTVWKDVAYDASRGLKLRVFRPPTGTDKRLPVVVYFHGGGFCFGTFEHPHIHAFCLRLAAELPALVLSAVYRLAPEHRLPAAIDDGAAVLAWLRPAAEPWLAESADLTRVFVSGESAGGNLAHHVAVRILITPFFVGVERTGIECEPPAGVSLTVEMFDQFWRMSLPAGATRDHPAANPFGPGSPSLVTAALPPVLVVAAGRDLLRDRVVGYAERLRGMGKVVELVGFDAEEHGFSVGEPWGQAATDMIRVMKRFVQEGACTMSGDTTAPRVVDDYRGVIQLLSDGTVVRSDPSVLRPPEHFPDVPGVQWQDVVYDAAHGLKLRMYRPSSLAPAAAARLPVLVYFHSGGFCLGTFEQPNFHTGCLRLASELPAVVLSVDYRLGPEHRPPAAIDDAASTLSWLRAQANAHPWLADESVDLARVFVAGESSGANMSHHVAVRHGSGQLVLAPPLRVAGHVLVTPYFGGAERTAAEAAEPLAGAVFTPDMSDTMWRLSLPAGATRDHPVANPFGPGSPPLEPVAFPPVLVVSAGRDILHERVLGYAVRLEEMGKPVELVVLEEQDHAFFSRQAWSQAASELIRVVKRFSLGTFALISLFLFHRYRSTTPIDPPAMLGDTAAPHVVEDLLGLVRLLSDGSVVRGGEAVATKAEPSPSPDVPGVQWKDAVYDAARGLKVRLYRPSPAAGGSKLPVLVHFHGGGYCIGSYNDPGGSDYFRQRLAADLPALVLSVQYRLAPEHRLPAAINDGATFLAWLRGQAADLGGEPWLTESADFSRTFLTGVSAGANLAHHLAVQVGSGEVELAPARLAGCVLFSVFFGGVERVATETDPPDGVSLTVAMSDQLWRMALPVGATRDDPVANPFGPDSPDLAAVALPPVLVEAPELDVLRDHVLRYAARLEEMGKAVELAEFEGQQHGFSVLKWDEANEELIRIVKRFVSKVK >Dexi2A01G0032240.1:cds pep primary_assembly:Fonio_CM05836:2A:42824845:42828974:-1 gene:Dexi2A01G0032240 transcript:Dexi2A01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLGLLLRRRLLSSSTPSPAPAAASRPTDPALLLRLCTILYQHQQAPDEKLNRRLSALPLPTAPADLRELFLQASARFPLSWRPVHRLLAHFSARHSGASGGGGFPHSPATAARLLDVLAKSGNIDLLHSTLFSLPRTLLSAAALRAAVRGLAPAREVGKIAAIVTLFPECHRARILTFVTDVACSEPCRVPDVAEKAIKRAEHWHGLSRTARCDDLLVVAYCREGSLADACRVWNGMERRGLEPGAAAYQEIVVTMFKNNRPADAMKVFDGMRRSGVQDDGGGCCRAVVSWLCKDGKVWGAYMVFAEMVKRGVEVEGEVLGDLVYGLMARRRVREGYKVFHGVREKDIALYHGLMKGLLRIKRSREATEVFREMITRGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGKTLQATKFVERTMWGGVNVPRFDYNKFLYYFSNEEGALMFEEAGKRLREVGLVDLADILSTYEMEHAVVSAAEGAIHTLLGKLGAILVQEAQLLGSVQVELQYLKDELESMTAFLQDLAERNEHRKQVKIWMKQV >Dexi2B01G0030710.1:cds pep primary_assembly:Fonio_CM05836:2B:38964127:38964902:1 gene:Dexi2B01G0030710 transcript:Dexi2B01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIEGAEAQGHATVFVAKFFGRAGLMSFLTAVPDPQRTAVFQSLLYEAAGRTINPVSGAVGLLGAGNWHLCQAAVETVLRGGGIRPLSELDGSLPAGAADGGSFAFTARRAAGCSTFSAAKRPTARANLGAAPVAVATFPDEPSCDLGLCLSPGSPPATGERRPGTPSMTSEDSVTTTSGGAGGCVREPELLNLFV >Dexi9A01G0026580.1:cds pep primary_assembly:Fonio_CM05836:9A:29900990:29901200:-1 gene:Dexi9A01G0026580 transcript:Dexi9A01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWLDWAIRDQQTCQEAQKAPGYACVSPNSECRDTLMNGPGYVCNCSEGYEGNPYVVVNGGCQGNKG >Dexi5B01G0032610.1:cds pep primary_assembly:Fonio_CM05836:5B:33192735:33193171:-1 gene:Dexi5B01G0032610 transcript:Dexi5B01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKVLLATLAVVVILAAMPLRHGEEVGRGAASWKDANAGAWPCCDHCALCDRSIPPTCACLDSSPGGCHPGCKDCVPSTTGAGVRGAPLFQCVDSIVNFCERRCTPASHGP >Dexi9B01G0038330.1:cds pep primary_assembly:Fonio_CM05836:9B:39407950:39408756:1 gene:Dexi9B01G0038330 transcript:Dexi9B01G0038330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVCAVSEKRSSGCDTTSMALTNATLSFVVGVNVIDSFAPATFSENSLAVAVPFAGGASMRDAPWEEDTDTPKLASVARSPELYRKGKKWRRTKWRPGLLIAKVDGDRNTRADWYSAGSPEEEVLAADPTDELAVALCGAESAEDGRVASPTNARPSTVALADVPQRTRWLSAETNAARAAADSAESTTTARRSMSGTGDMVDEVRGGGSRQDHEPLLLGLARGSSWERLAPGERDGEPGRGAEQAAASWAHGVVVVTGDDG >Dexi5A01G0023110.1:cds pep primary_assembly:Fonio_CM05836:5A:27160356:27163176:-1 gene:Dexi5A01G0023110 transcript:Dexi5A01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREGSAGSVKDWTEFDPSPSPKLAYSQSYVAMRGLITSMASLDPILMSSSLKSLWAALSSHRHVRSLERPKTRGVNWKKPMVHLLVCFLVGILIGFTPLFSVDLSNKIDSENEMLPFDGDVVDRQMLELKSTKLEPFAAETEAVEEQSVDESPPVPAMLDDEMDFIEASHVLTSVNDSDIVVRKQLALWYVASSNTCWGQESRFIEKLVEDETQMEGLADNCSRVLVWNFDLEPPQLNYPIGWLLQKNLDVVVPIT >Dexi9A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:9A:4384806:4386358:-1 gene:Dexi9A01G0007540 transcript:Dexi9A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVAEIGMGLDLGLDLRLFAARSAGGIAAAAATKGAPSGIEACIRSLEEERRKIEVFKRELPLCVRLLADVIEELKEEAAKKGGDLELRPDDGDKRKWMSTAQLWVDSDAKSECEKKQRNQMTSPEPKLLSAPTPIRAVPAVPPLSFTRDHDNAAGTVSLPGLSLLPPAAKTSPSPVPAVDEHRQNVPAIFSGSISPSGPGLNLHAQTQTQTQTKTQQQQQARKARRCWSPELHRQFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGVAPVNQSIMLVGGLWVAQDQSSSQSGSPQGPLQFSGSGVAVSAATVGGDSSSSDDDDKSDEGYSRR >Dexi3A01G0031940.1:cds pep primary_assembly:Fonio_CM05836:3A:36507577:36517894:1 gene:Dexi3A01G0031940 transcript:Dexi3A01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFQHPLQDNGVTKPVLVSANTGSTILASEPATSPGENNQGITESVPSGWQSFKDGLKQEILSPEDIKVTLDNFPYYVSRTTKEILLSAAFIHLEKEFRKCLKEISSLNQRILLSGPSGSEMYQEALIKALAKHFDARLLILDSLLPCGVTVHVFPYARDRVEYVGHGSLNLTPRPPAYGSRGIVMLTFEKNQSSKVGVRFDNTITDGNDLGGLCEENRGFFCHDFELQPDSSGGEEVDDVALGKLIEVISEESKSSKLIVLVKNVERLFTEYRESHTSLRSELPLGVLIIGSQIQSERDKDTLSQRSKHPPGVLVVLFISLYVDPFIGLSKMQKAVKSRSGIDSTKHLNNIFPNKISIELPQDEAQLSDLKKELKCDTEIIKAKANVLNIDKFLTSRGIECNYLQELSIKDQLLANEDVDKIVGCAISHHIQNNNPPKDAKIVLPIESVLVTASSMDSVDDVTQNEYEKEVMSNVISPNDTGVTFEDIGGLENVKETPRELVMLPLRRPELFSEGQLRKTVKGILLFGPPGTGKTMLAKAVATEGGVSFINISMSSVTSMVDSLLGTRESSGEPGVVRKMKNEFMVNWDGLCTKELERVIVIGATNRPFDLDDAVIRRFPRRLMVSLPETSNREKILKAILSKEMLAPDVDLKLVANMTGGYSGSDLKNLCVTAAFCPVREIMEKEKKEKSLAIAEGKPEPPLYGREAVRPLGMDDFKFALGQVRASFSPESRTMAELVEWNNKFGDGGSGQESSLSYFM >Dexi9B01G0046350.1:cds pep primary_assembly:Fonio_CM05836:9B:45579246:45579798:1 gene:Dexi9B01G0046350 transcript:Dexi9B01G0046350.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVKKDDARTVPIGTAILVHGLDACVTEKLLVYMFTPFGELSDLSFRANQPYALVRYVNRACAEEAMRRMNGSNLGRQKLAISWGSDTRDRQFVH >Dexi3B01G0037060.1:cds pep primary_assembly:Fonio_CM05836:3B:39901013:39905471:1 gene:Dexi3B01G0037060 transcript:Dexi3B01G0037060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALISGVFSVVGKALAPLKDGLLKDWAASVELGDNVEALELELLSAKAVLEHTVGKEIADNSAFRALLVRLQDLGYDAEDVLDELDYFRIQDQLHGTSAAADTHAKGFVRNMALHAKAVGKQIICLPACLSPGAKATHHHQEVNGGKAKRAFCCNISHTVIGKCVPCSSSPTPSVHDDNFDDDEPTKLRFNRVDASKRMKLIVEQLRLVQKKVSGIIAALGPSWSTAPNIAQSRLITSSDPTESRLYGRELMMSSIIHDITEGKHSAGLLTVIPIVGPGGIGKTTLAQHIYHNEKVQKHFDVKLKLLWIGHCSKLNLRSSYSSSCFPFPNSLDRLEEQEILLFVNSLNMITFDSCNSLQYLPARLHRLPNLKILEIKHCKAIQMLPKDSLPSSLQELEIEDCPEIRSLPEDGLPSSLRRLHIFYCPAIQSLPKVDDLPNSLRELDIKRCGNEELKRQCRGLIGTIPIVKTD >Dexi9B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:9B:3957555:3961785:-1 gene:Dexi9B01G0006590 transcript:Dexi9B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDSEGTCQGVIALNMEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVLHIKGDDPDAVVPGLMAAGEAACASVHGEKQKPLDKSVGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFSTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEIESFPPKARVY >Dexi3A01G0023470.1:cds pep primary_assembly:Fonio_CM05836:3A:19153137:19156497:1 gene:Dexi3A01G0023470 transcript:Dexi3A01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQLVGRWVESYTGMSADNIKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGEALLGTCLLLCFGPGRVPPTDQYSRLRPCFRCAAGVLGLPLRSELRAGWISAALSVALGVGGYSYLLEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPEGQIESVTEVWDLATEPAFLLYAAVVLAAAFVLIVRFVPQYGQTHIMVYIGICSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFSFVVISCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPHLPIRLPKHADEDGFSTEGIPLRSAADGIPLKSPRATDSFRSS >Dexi2B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:2B:1256500:1257746:1 gene:Dexi2B01G0001730 transcript:Dexi2B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHYLLLKFVSLAVQKVAGHHFLSILSKGKDTIDAWVLMAEMVSSAVVNEAVSQIMSDLISRHEEKQKSKAKESLERLEMAHIKLDAALGTSEKWHITDASLLRWRKKLKRAAQECDDTLQKCKHRIIEEEQIEQEVRNSAFPKRIAHATKSFISSAFGQNNPLGRSVVRRFECAESHWMEGKFVCKILNI >Dexi1A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:1A:27192784:27196773:1 gene:Dexi1A01G0020370 transcript:Dexi1A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALTRLRSAVGRLGLPGARAFSAATAEAEAAGLRRESRAFAAAAVAAGSGLGIWLLPSNPQPLADSGLADAATEAGGGKGGFSAFFGGVGAAEEREEERSFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVHSPEGEMYMLPTDLMRAVVPVFPPSESKIVREGRLRGERHPGELHCAPSEFFMLFDTNDDGLISFSEDDMSRHLAKYLSLWYIFFVTLLSIPESSFNVAFKMFDLDHNGEIEKDEFKKVMTLMRSYNRQGAAHRDGLRFGRNVGQPVENGGLVEYFFGKDGNKHLHYDKFSSFLKQLHDEIVRLEFSHYDVKSSKTIPVKDFALSMVASADMNHINKLLDRVDDLDDNPGLKDQRVTFEEFKAFADLRRRLEPFAMAIFSYGKVCGVDLTDKVVDIIFHVFDSDRDGNLSSEEFLRSSGYPD >Dexi9A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:9A:7551387:7554232:-1 gene:Dexi9A01G0011900 transcript:Dexi9A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFARRDIEAAGAGAGNDDSPAAKKGKPELPGAGPALTRSEAFAFAAVLTLFIAGIFCVFLTAPRREFGQILRLPRNLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGASSCYFVSKLIGRPLAGRALGDLKSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE >Dexi1A01G0023410.1:cds pep primary_assembly:Fonio_CM05836:1A:30083396:30085886:-1 gene:Dexi1A01G0023410 transcript:Dexi1A01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVESAPPPRHQRVAAVQDCVSAVQQPTCSVRLIWGPPGTGKTKTISAILWSMLLKSHRTLTCAPTNTAVVEVASRVLSIIEESGGGGADGKKCFLSDVVLFGKEDRMGVDGNLAKIFMESRIRRLRQCLTPGTGWTQSLSSMLRLLEHPLVQYDRYVEGIEEDINELVSEENEIRDELAHYLRKKEELSNRKAAEKVKEMQRKLLEIQKKVREIKKDKMSLKTYFQSNYTPLVNDLSTCVDTFGNDLPRSATSEENFRRLAEVPSLLNAFGELVRSEPDEQLQALFKNDEEGSLCSLFKNLVTQVQADVSFELKEARSSCVQKLRHLSVRFELPDMFDSRTIEDFVLQRAKSVLCTASSSYRLHYLPNAQPFDVLVVDEAAQLKECESLIALQLPGVRHTVLIGDEFQLPALVKSKVCEDAHFGRSLFERLTSLGQPKHLLDVQYRMHPWISKFPVERFYNGKIDDGPNVLNRNYEKRYLTGPMFGSYSFINIDGGKESTGKYDRSLINPVEAAAVARILQRLFKESVDTRMPVRVGVVSPYKGQVRAIQEKLVGAYAMHDGFSVKVRSVDGFQGAEEDVIIFSAVRSNTAGKIGFLADINRTNVALTRAKHCLWILGNAKTLASGKTIWRQIVADAKERGCFFDAKDDKDLCNAIIKAAIELDEVDSLLKLDGLRIGGSRSGVRM >Dexi2B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:2B:7723360:7724646:-1 gene:Dexi2B01G0007420 transcript:Dexi2B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEVHLQISILIVLSVLTDLRTLRRRRNRLAVGPEWVMLSHGR >Dexi3B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:3B:15558210:15563734:-1 gene:Dexi3B01G0020600 transcript:Dexi3B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRSWSIDSYLNEHFDIPAKNPPGEARLRWRRAVGLVVRNRRRRFRMFSGVHALDDAQRRKILGKVQVVINVHKAALQFIDGVRRYHLSNELIEKGFSISADELAAITGIHEDSTIFKTHGGINGISRKIKASLEDGINETEIETRQKLYGTNKHAEKPPRSFWMFVWDALHDLTLIILMVCAVVSLAVGLATEGWPKGIYDGLGIMLSILLVVVVTASSDYNQSRKFMELDREKQKIYVHVTRDRKTKKVLIHDLVVGDILHLSIGDVIPADGLFISGYCLVIDESSLSGESEPLHVSEEKPFLHAGSKVLDGTAKMLVTAVGTRTEWGKIMDTLNDDGVDETPLQVKLNGVATIIGQIGLVFAILTFLVLLVRFLVEKGMHVGLLNWSAHDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMSDKALVRHLAACETMGSSSCICTDKTGTLTTNHMIVDKVWISDVSKSVNGDTNFNKLKAATSESVVEILIQGIFVNTGSEVVKGDDGKRNILGTPTEVALLEFGLSLQGDLYDEYKKLERVRVEPFNSVKKKMSVLIQLPNGGFRSFCKGASEIILEQCDNFLDSEGNMTSLSETQKKKVLSTINSFASEALRTLCIAFKDISEISDDQNVPEDGYTLIALFGIKDPVRPGVRDAVMTCLAAGIKVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSTDELKELLPKIQVVARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALRTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVFNEINSREMEKINVFRGIFQNWIFIGIVTATVLCQVIIVEFLGTFANTVPLSWELWLLSVILGSVSMVVAVILKCIPVESRDTDNKPHGYELIPEEPETV >Dexi1A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:1A:21063883:21081164:-1 gene:Dexi1A01G0014450 transcript:Dexi1A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPDNKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHSGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYKLRDNIAQDQEKSDVSKSQMEQLKAKIQGIENEILHMETSLEELRRLQGKIIAKSTERSTLFTLQQQQYAALSEENEDTDEELMEWQTKFEERIALLETRISKLGRDMDDEAAKSSSQLQKISELTRDIGKLQAEADAHTSMKQERDSYIKKIFTKHNFGPVPEFPFTSDVAMNLTNRIKARLSSLENDLQEKKKSNDDQLNILWKHYLKVNARYSEVDGQIQSKIESMSGISRRTKDKVRERDAAEVELSKLNLSRIDERERHMQIEVERKTLALGERDYDSIINEKRTEIFSLDQKIKALQREKDSINRDADDRVKLGLKKDALESCNVKLKDMHVSFLSQCFMVNEHQDKIKSVLRWLPSEKDMKKEIIQAFCPVDKEYNELKSKSQEAEQEFKLAQSKVSDAREQLTKLRKDLDAKRRFLASKLQSISKISADIDMFPKVLQDAKNKRDEQKRFETLAHGMRQMFVPFEEVARDRHVCPCCERAFTPDEEDEFVKKQRMQNASTAERVKVLAMEYADADALFQQLDKLRTIYDDYMKLAEETIPLAEKNLNQRLEDESQKAQTFDDLLGVLAQVKMDRDAVEALLKPTDSIDRHFREKQQLDEEVNDLEYKLDSSGQGVKSLEEIQRELNSVQRTRDTLSIEVDDLRDQHRTLTDDLASAQVRWHTAREEKIKASSILDGFKKAESELACLAEEKEQLTTERKFNRCQGTLSVYQGNISKHKQELKQTQYKDIEKRYTNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEARKGQENFQLIVITHDERFAHLIGQRQLAEKYYRVSKDEK >Dexi2A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:2A:32045780:32049539:1 gene:Dexi2A01G0019990 transcript:Dexi2A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTPDMSGRDRRPAAARGAKVAPKSDSRVMERDPRKAATPAKGSANTGTRGAMNRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSDAKNTNLAPGGEAPVLVQLVPSTPVPNSVVSPTTAVGQGSDHPPARPSPQHNGVANGNGKQTPRKPVPESASHDDRPGAGKENQSCSSNTSSASRNCRQTPLQHKTPKSRSTSAVAPDRRRAPTPAAQATTPDRKRPAGGSASNCDKATSHDDSSVPNKLSEELLQCLLTIFSRMGSATGGGGHGGDEDQQAPSPSVSGSSESSGSSSEDAYPQDPYGILEFGPRDIGPYKRLHVVDAASFDRKAGDGDAFHARRLKALLRRLSSVDLAGLSHQQKLAFWINIYNSCMMNAFLEEGIPTTPQMLVAMMPKATINVGGRAHSAMSIEHFILRLPYSVKQVTPEGTKCGDDVAARGAFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEVAKREYLQAAVGVSSPGKLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPAELRREAMRAVEDGRRASGAETRRRIQVLPYEFRFRYLLAS >Dexi2B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:2B:3916520:3918840:-1 gene:Dexi2B01G0004370 transcript:Dexi2B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSHGRRREEVSLHEEEEGPTEVFLRGGPLLAGGRRPTTRLRRPVGRCPEGEAEVLEQTNKKNTFLRNVGMQIVQPRPNTHDVAAQLEREKMENAELLSIVNNQHKQLEEADQARIRMEEMSKRCADLEAKVDLLLGANRPS >Dexi7B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:7B:20665889:20678514:1 gene:Dexi7B01G0014430 transcript:Dexi7B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAAYTIRVRLGFSLRLQSTPTIYQPRPERSDPCCSSSRRSIMEGAISTSANTRVAVVTGGNKGIGLEVCRQLAVNGGVTVVLTARDEARGAAAVEELTELGLSGVLFHQLDITDASSIARLAGFLKARFGKLDILINNAAIGGVEYAHDTDYGSVTEKEKEKLSAMDMDQRLGWLWVNSRETYGTAKKGLRTNYYGTKQVIEAFLPLLQASSDGRIVNVSSHFGQLRLFRNEELKRELNDIDNLTKERLDELLDMFLKDFEADKVDSRGWPKYFSAYKVAKASMNAYSRILAREHPELRVNCVHPGYVRTDMTIHSGLLTPEEVKGHGDRYSQSPKHKIDYCSCLNHDRIAVVTGGNKGIGLEVCRQLASAGITVVLTARDETRGAAAVEKIREAGLSDIIFHQLEITDAPSIARLAEFLKARFGKLDILVNNAAVGAVEYGQDPADSSLTSEEKFSGMDKRQRLEWLEKGVRETYDAAKEGVKTNYYGTEHLINNEELKQELNDVEKLTEERLDEVLDTFLRDFKAGEVEARGWPKYFSAYKVSKVTMNAYTRILARMHPELRVNCVHPGYVSTDMTIQSGILTPEEGGSRVVKQTSKGISASSILLLEFVVHRLMAAAISSPPSARVAVVTGGNKGIGLEVCRQLASNGITVVLTARDEARGAAAVEKLTESGLSDAIFHQLEVTDAQSIARLAGFLKARFGKLDILVNNAAIGGAQVLPAVQDVDSTANDEMFSGMDARQRAEWMWANCRETCDAAKAGIQTNYYGTKNVTEALLPLLQASSDGRIVNVSSDFGLLSERAAEAGAERCGEATEGRLDELLAMFLKDFEAGEAEARGWPMYFSAYKVAKAAMNAYSRVMARKHPELRINCAHPGYVKTDLTLHSGLLTPKEGASNVVKVALLPEGAGPTGVFFALGQEAPFE >Dexi9A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:9A:2327095:2328332:-1 gene:Dexi9A01G0004350 transcript:Dexi9A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNDQRSDFVSVSRVGLLINGNFETAPRKLNKTLIVGRHSLPGWTLRGHVEYVSGGPQPGGMFFAVPHGVHALRLGSRASASQNVTVRPGALYALTFAATRTCAQDESLRVAVSPSLSAPADIAVRTLYSGASADTWAWGFRASSPVAQVTFSNPGVQEDPSCGPLLDAVTIKELPTPYPTKDNLIKNDGFEMGPQVLKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVPNRAYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGKGAFKAASFKFVASGVRTRLTFYSSYYHTKATDGVSLCGPVLDQVKVVPLPVKA >Dexi1A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:1A:24358399:24364717:1 gene:Dexi1A01G0017130 transcript:Dexi1A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNARLEAEKVALEARMKSEEEIQKLKESLRKARLENEEFRKMAESKKSYHRGVDLPGISPMADARLLSIPPPIQPEDPDSPPPGSILLDPFGYLSDRTNATTADGRRSRSGKRILVTFWVATPPRVSCFTVHCPGLKANAFGDIPKVICAADDLVLLRIAIDRDDAKNIRYFVYQAGTKNKPPSLKLIRTPPYFKFFDTEVALLRRRDKDMFYLAVLRRAFIDWMYADKHFDLHLYNSKTGSWSTKLMHVDDSPQPFSYFSHNKVITIGGEFGSVGWVDLWRGILICDLLVDSHRLCFVPLPSPLVPKPLRGYPMYARNIIALDEAGKATAQQRASQYYNNNNAARRGPRVTNDEAMEDDDDFTFPTVVVTAARGAAQPPEGQLGHGHGDLPLQLPPPDLVAAASPPLWPFAASPKANAPSSSPRPPPVNNDEERMDMLWEAAATTTTKPPAPPRSAGSGAGQAEPPPPPQLNSKQRSTEARVRVRADDAAGVVARRRGRAILRRQPTWRCRGAAAGLALLLRLFRRLFAVDKAPASSSSRGHATIYVPLR >Dexi8B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:8B:1449047:1449592:1 gene:Dexi8B01G0002060 transcript:Dexi8B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLRATATAIAAAPALAPATGLTSAAAGGGVGLGYGIAIAVGILVLISTVMLASYLCVLTKAGAALLAADAHAGPPTSASSSTAVVRGLDGAAIDALYPKYPHAGEEGPCAICLGELARGDALRRGSPVCGHGFHASCAELWLRVSATCPVCRDSPVPSPAATPLAEAGVPLAAHAHVR >Dexi4A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:4A:19550639:19557324:-1 gene:Dexi4A01G0016050 transcript:Dexi4A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLSWQEDGARDPERWDGYVDWRNRPATRARHGGMLAASFVLVVEVLENLAFLANASNLVTYLMGFMHYSPSQSATAVTNFMGTAFLLALLGGFLSDAFFTTYATYIVSAFIEFLGLVILTIQARTPSLMPPQCAKAGSPGAAAPCEPVSGAKKAMLFAGLYLTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSCGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSKLYRNKVPTGSPLTTIAKVLLAAAFARRGGGRAQSASNGAVIDRAPSPTGSTDMKDYCKPGELEIADAMAANTPAEPSQELAFLNRAVQSCTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGSLTVPPASLPVFPVTFIILLAPVYDHVIIPFARRVTGTEMGISHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAANNGMLDSAKPLPISFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPSRMRSLATSLSWASLALGYYLSSVLVSIVNSATGHGDHRPWLQGASLNHYHLERFYWLMCVLSTLNYLFFLFWAIRYKYRNAGIIKG >Dexi3B01G0037920.1:cds pep primary_assembly:Fonio_CM05836:3B:40764382:40768215:-1 gene:Dexi3B01G0037920 transcript:Dexi3B01G0037920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRDPMGEASPSIARDVTELIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWRATAGKIDGLVSGIGTGGTITGTGRYLREQNPNVKVNVHGRPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAVRLAKRPENSGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVEP >DexiUA01G0009880.1:cds pep primary_assembly:Fonio_CM05836:UA:19417485:19417787:-1 gene:DexiUA01G0009880 transcript:DexiUA01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAAPDEGLPPPPAPRLHRSRCRASSALQGPSCPCARALSPFLPSSFPPPRAGAKRAAAPLRHVPLGVDHGAERAAASPCHAPQRSCRSESSTATRS >Dexi5B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:5B:6265023:6266086:1 gene:Dexi5B01G0009210 transcript:Dexi5B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTQQQSRSKKLRVQSPMAMTEEEILKEDEDTGADALVAVEGAAAGVEIMVRIAKARLHCPICMLPLKPPIFQCAVGHLACGVCRELPGDGRCFTCGHTGGAYARSTPLEAVVRATRIQCPYDAYGCRSYVTYYHAGDHAHACPHAPCLCTEPGCGGFAGPPAALRDHMRDAHSWPVDAIRYGAALQLRVPEADPAQHRRLLVAADEDEEGDGAVFLLAVGAFGDAPLRLVSLVCARPGGAAAVGPRYTCLMRAVGPRDVGTGRDAESAAVELAVPSSVAPGKASMEEAATLVVPRRMLHGAPEEEEMQIGIRIDRIV >Dexi6B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:6B:17493194:17494957:1 gene:Dexi6B01G0010780 transcript:Dexi6B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLRGLGDAQTRVVRSVVGRRRRSWICCARERIPLPPRSSPMSRQAKKEAAAAARDLLKVDAGRSRKPGAPKSKKEAVAPVRKLPVPARAAAPVPEMSSSTWAGRLPEQRSPPRSFTEGNLFFNGCNLESPMFGSPSQIPQQWNPQCSDPSTWGSNATPLGGFSNFIQPNMSQQFIFGGEPSLYAPFRPPQTNQDRESEDEFATPNSAKESSPIINVDSGEDAPRTEKRIFWTQQEDVRMMSFWLLNSTDSTMGADRKNDQYWSDVEAKGIGGAAEIVN >Dexi9B01G0025560.1:cds pep primary_assembly:Fonio_CM05836:9B:26542611:26543630:-1 gene:Dexi9B01G0025560 transcript:Dexi9B01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIAGRGGRSGRGSRGGWNHSATGGGRLTPVPNQGIGRGEWGRQGGGAAAGAAAGRTSAAGWQSRRGGTMVWRPRHLQSPAKSGSGDGVATSPAVAAALDNEDILGEILLRLSPGPSSLPRAGAVCKRWRRLVTDSRFLRRFREHHRKPPRSGYSPTTEARLSSLPCWIRRIACPRPLASPCGFKGAAGSAAVAMAASLCFLVWDPVSGDQCLVPLPQTSVGGNYMIDGTIICAGGEQGHVHGSCHSSPFLVVFVGRCGDEIIVWVYSSETGTWGDAISIMWPSPFDPDDFDCCNTLTYHQN >Dexi5A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:5A:756704:757804:1 gene:Dexi5A01G0001120 transcript:Dexi5A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMMFMAVRRTEHVEVTSMETAAGPRKVRVFCDDYDATDSSGDDDDEEFAAAAAARRRVKRYVQEIRLERAAVKEAPTTVKAAAMSSAAAPARTTKLVLPAGSKKRKTDGAGEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDTAAIQLRGPDATTNFDHADDPAIAVSPEVTERVPQPPPPVVPGNASSSATSYDSGEESCHAAAASPTSVLRSFPPSAVVVEDTCVKKPALAAAPVAKTAAFRATETDESSSDGGSVFGCPFSGDDGFAGEFPPIYTDFDLLADFPEPSLDFLADIPDEPLPSFPAGAAIPDESSSEPEQEPSPAWLQQVDDFFQDITDLFQIDPLPVV >Dexi2B01G0021490.1:cds pep primary_assembly:Fonio_CM05836:2B:31286393:31287241:1 gene:Dexi2B01G0021490 transcript:Dexi2B01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLIFLVAGAASAATASGDGCHDGCSLALAAYYFSGESNLTFIASLFNFPDYTALLPYNPNTNSDYIVTGDRISVPFRCSCLKLPAATFLAGPIPYNLSRGKTYGDVAAEFSNLTTVAWMEATNRYPAGNLPASGTIDATVNCSCGDKSVSPRYGLFLTYPLWDGETLASVAEQYGFSSPAQMDLLRRYNPGMDGVSGKGIVFIPVKGSGN >Dexi5A01G0027570.1:cds pep primary_assembly:Fonio_CM05836:5A:31046252:31047748:-1 gene:Dexi5A01G0027570 transcript:Dexi5A01G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRLGRLLGRGNFAKVHKAHKVSTGEVVAIKVFDKDAVRRSGMADKLKTEVDVMRRVHHPNVIRLHEVMATRSRVYFVMEYAAGGELFARLAQSARLPEPVARRYFRQLVTAVEFCHSRGVSHRDLKPENLLLDARGDLKVSDFGLSALQDPGARLRGDGLLHTACGTPAYVAPECGYDGAKADIWSCGVILFVLLAGYLPFNDTNLVLLYRKITQSNYMCPPWFSIETRKLLARLLDPNPRTRMTMSKLKANPWFQKGLCPLTDKPLVTRDQTTSVLVGKEACRCRHQHDEEEEDDARKTKRSKVTVSSPTISVRPSTMNAFDIIARSSGLDLSKMFDEEHRTEARFASRESTAAIVSRLEEIAKARNLSVTVKEKGRVEMERGPDGARGALAIEAEIFEVAPSVHVVEMRKTGGDSVEFREFYRQELRPSLGDIAWSWHGSDSPRRTTT >Dexi1A01G0024260.1:cds pep primary_assembly:Fonio_CM05836:1A:30862418:30864296:1 gene:Dexi1A01G0024260 transcript:Dexi1A01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAGVAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSFADAASSNLQAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHVVQTYEGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASHTGMFNSFNHIR >Dexi5A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:5A:140308:143319:-1 gene:Dexi5A01G0000170 transcript:Dexi5A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPSGGLRKDFGINYMALNDLFNISTSREDVKYDIRVQMVEIYNEQVRDLLIPDIDFTLDIRTPSNNGLLNLPDAKICQVQSPSDVIDLMQLGEKHRASSSTAMNHRSSRSHSILTVLVNGKDIAGNVSCSSLHLVDLAGSERVDRSEATGERLKEAQHINKSLSCLGDVISALAQKNSHIPYRNSKLTQLLQSSLADFFFLSHCWKMSMPSTEDPVRDEKIICTVDTMEFFQLPPDAYGRLKQSGLNIPEGTPHRSRNMCTEASGGDNPSSTAKLEKLMTSTAAKKGAHLRKSIQSGIGRLIHGTERRNPQNSAQGTKIMTNTCHDIAPPVTADIRLRRRQSLTGSNDKRGVKTPPPVNSTAKAKRWV >Dexi9A01G0049600.1:cds pep primary_assembly:Fonio_CM05836:9A:52219627:52222250:-1 gene:Dexi9A01G0049600 transcript:Dexi9A01G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding APLEAKVHAPRAKVLRDGRWRDVHADNLVPGDIIFLKVGDIVPANARVLRFQKIGTMTCWAKSKADKEKISTMMDELALDGYQAIAVGHQVDSCWEFIGLLPYRDDLRCDVTDAVGGLIDLGLGIRVLSESPLSSTKQVCRKLENLGINVVPAHSVLELACNNREVHLNINGISDLFPGLILTFCALAKFFGVRVILHLWNFDLSSILALMIASCNYCTSLAMLFERVELKKSPDRWRVQKIIATGSAFGSYIVLSTAIFYRVSRIAYPFSVSAFPSDKR >Dexi2B01G0019890.1:cds pep primary_assembly:Fonio_CM05836:2B:30046379:30047497:-1 gene:Dexi2B01G0019890 transcript:Dexi2B01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVRELWAESRDLLGLASPSPDATASAVPRADLPPTPLAFLRDHVSPGRPLLISAAATRHWPAASLWPTASYLTDALRSTTVSLHLTPDGRADALAPHPQPEPEPEPERRPGSSSSKCFASAHVRRVDFPTAVGLIRGSDPDAGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGCLPEAVNLWIGNAHSVTSFHKDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAAHYVVTGKDAEVEHQLSLRLEMEEPHRMVPWSSVDPYPASPEEMAEQASSFPLYFDGPAPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDINYAYFNFLRSLEIDDSWGKGGDLEGDLEEKND >Dexi6A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:6A:22452395:22456960:-1 gene:Dexi6A01G0015050 transcript:Dexi6A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSASNPFPFPSRRAPDDTLFYAVYPLPLPTKLPAPALLASLQSLHLSLLSHLGPFLSSHLFHRDPFTLSLPADPTAPCALCASPPVPHLHGALRFSDSLPDEWLAVSLLFALTRAFSDIAARAWDSDGEFLLIEAAFALPRWLEPESAPNRVFIFRGEVHILPPSLFPETPSLEAALAAVYDDAVDTRAPDAVQAAIQRRIAGLPERAGENLHTARVIVPAPVAKVLKEEPCLIARAVEAFYDRDIDTMKHAARMDKFLKGPSGEGVEMVRTTVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERVRQGEEGKGSTWEVYRKSLEATGCFEGLLPGSKEYNRVMENAMQYYKSSTSYSRTREIQSEPVRRIDEILSMPYSADEFKGVDLPPTDDDSWLYDGEEELNAELRERQKELEEYEAAKKQRKSQKESAPGSSKSQTDNLKLGEITESMQDFVRKMSSFEGAEVPANRRDMESVDLDVNQFFKAMESVFGGGSREQAGSDDGFDRKSSSSDMDFDDSDEENEFAEDEEFGNKDMDASFMESYSDALNKELSSTTLEKSFARAPRTGTIDEGPSNAAATDGEMTPVDVDLNLVESILNSYSSQQGLP >Dexi3A01G0030270.1:cds pep primary_assembly:Fonio_CM05836:3A:34228782:34232199:1 gene:Dexi3A01G0030270 transcript:Dexi3A01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAHQKAAAAAAPAAPAEEAAADHGPVPIEQLQASGIAALDVKKLKDAGLCTVESVAYSPRKDLLQIKGITSKLVPLGFTSAGQLHAQRLEIIQITTGSRELDQILDGGIETGSITEIYGEFRSAKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVVSSPCLAEAEARFQISSEGVTDVKD >Dexi6A01G0016290.1:cds pep primary_assembly:Fonio_CM05836:6A:24172356:24174256:1 gene:Dexi6A01G0016290 transcript:Dexi6A01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASSTGRRLAAISISRRRLRGSDGEEVGMSAFGGDSWARDAQQRKRRLDDLMLPSSSSSMPDSFRRLPNGKLACLVCPHRPVLDSPLMLSMHNKGARHIAAESRLREKELAKKHEINKRLALSSDASHLNSGSLHTSIRPTMKEKPLIEETRRAILEAQSSRFSDFNGEKVSHDLKLMTSTSSSDPHVAPADIPTEKLTGNTGLKLCNSSKGEFCAGNQIQGKLLSDWQTEARKRQEQELQFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLC >Dexi6B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:6B:5547123:5547851:-1 gene:Dexi6B01G0005950 transcript:Dexi6B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHCLLPDEEQFVYSGPNWFLLLLDRCSPIQRDLVKLVLWRAWTTHNNITHQSGQMNIGDGVQALLSMRSSLEQIVGEHRGVKGEEKQHGKPAGSGRRKAKGNTTGEPDKKWSPPPAGWSKINVDGSFVEATGEAGVGVIARDSVGQVIFSGWRVLFNCSDEVEAEARACVEGIRLATEWAPGPVIIESDCARLVRLIQDGPDRSEIGFIVSEALELTQILLEWKVSLVGRDCNIVAHELA >Dexi9B01G0023790.1:cds pep primary_assembly:Fonio_CM05836:9B:19245037:19245612:-1 gene:Dexi9B01G0023790 transcript:Dexi9B01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSFPSSCGRHDHQVHIAVHTLGDGTGAWRQYSYSSRFCPVFTSPPVHIDGKLYVVTTDYQKRERTAQMLVLDVAAEARRTFRLPYYYDDYHWNLSEMMASGFDLNGEMCLAVHVFGRGTRRTLQFWVMKPPGELQDEDNHEQLCWDLRYSFDLDDSYCIDRPKGGWLDHAQMLCYRHGDTLYKHSTRG >Dexi9B01G0024860.1:cds pep primary_assembly:Fonio_CM05836:9B:23374447:23385271:1 gene:Dexi9B01G0024860 transcript:Dexi9B01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLVLKPACEGCGNSSDLYGTGCKHTTLCSDCGKSMARSGARCLVCSSPITRLIREYNVRANAITDKTYSIGRFVTGLPPFSKKKSAENKWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDMKKLEATNGGEKESTRPKKGKNKEEGTNSDKGEEDEEEEAARKNRLELTRKGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEGGLSKSGKELKKLLGRAAGLNESDVDEDEEDDEKQASYLLFIQQEHESSPVLAPKQKDQVKDEPVDNSPAKPTPSGHARSTPPASKSKPKRKSGADDAKTSGSAASKKARVESDTKASGIREEASSSAKSTPKASAPSKSGTNVSPVTEDEIRNILCADKNAFAEILKKISKIQKTNGHNYVVLRDDKK >Dexi3A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:3A:5637527:5649696:1 gene:Dexi3A01G0008110 transcript:Dexi3A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTDRGRGLLASRRRTLAVVSGALIAGGALVYARSSQTRRRRRPDTNYGSEASALATNGDGLSQNGRLYAARQKKSALESLHFLTSILLKKIGPNGMRYLLGLILTSILPMLITLRHFHTLVLLYVQSMVYYKMSHVDHRISNPEQRIASDIPKFSSELSELVQEDLAAIAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGAIRKFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFEALVGHLNLVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISSRRLNILSGYAIRIRELLDVSRELSGVPDQSLNHNASPGNYISEANHIEFSDVKVVTPAGNILVDDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTEDQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQHRRDDSSFATEESDFSSSETDRKSDALTVQRAFMSRAKSNASLGSKDHSYSTEVIATSPKLEIEHAVRTSRVPHLRCHPRPLPPRVAAMLKILVPKLLDKQGGQLLAVAVLVFSRTWISDRIASLNGSSFHPWISLNDKAAFVRLIGVSILQSAANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLSGEEQELEGAFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFVKLLNHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEEFIRAAQRNTVVSSNAMSAASEDSISFYEVDIVTPSQKLLASKLSCNVVQGKGLLLTGPNGSGKSSIFRVLRDLWPTFSGRVIKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKVLSLHQAGNRSSASVLLDDHLKTILVNVRLVYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYRLATNMGITVITSSQVSAWIIPVIA >Dexi2A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:2A:30031091:30033659:-1 gene:Dexi2A01G0018080 transcript:Dexi2A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYKPCAAMVVAQCINAAMALWSKAAFTGGMSPLIFVVYRQAIATVVLVPIAIVTNRKKMKDTMGLGIVGFSLVFVASLVGATVNQCLYYQGVHLGSSSLATAMTNLIPAMTFVMAASVGLETVDVRRPSSLAKIFGTAVCVSGAMSALLVSFLLPDMDAWRIHSLFEFSCCLFSGVFGSGVMFYLQSWCISVRGPLFSAMFNPLCTVITTVLAAIVLREKLHVGSLLGAIAVIAGLYVVLWGKADDGGKRGTETEHAVDDLEKMTMNRSDSMLDAGEGISEPLLRTDGDLVEK >Dexi8B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:8B:108641:109627:-1 gene:Dexi8B01G0000120 transcript:Dexi8B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTNALDRSRTNTILKDHLANLIPFSEEWLAVMESRGEEVLEQKTGAVQNSPPDKIAPEPNVWSPVKRKAQNIGPFECTKYPKSVRTSGTP >Dexi5A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:5A:7879082:7879339:-1 gene:Dexi5A01G0010410 transcript:Dexi5A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKTAARAKKMMAWTKMEAPLVWKLPNSTKRPPLASSCSSSPGESSTNSTTATTTGPQER >Dexi2A01G0031770.1:cds pep primary_assembly:Fonio_CM05836:2A:42496959:42497473:-1 gene:Dexi2A01G0031770 transcript:Dexi2A01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCSSEAAVSDVRCHFVNARRRSLAADRCIAVAARVDGDRFFWSPRVALGAASPGLGPPVRTIHAGDVLAPPIEPDQW >Dexi3B01G0028490.1:cds pep primary_assembly:Fonio_CM05836:3B:24991729:24995271:1 gene:Dexi3B01G0028490 transcript:Dexi3B01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALLEKALLGLFAAAVLAIAVAKLTGKRLRLPPGPPGAPVVGNWLQVGDDLNHRNLMALAKRFGDIFLLRMGVRNLVVVSTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVEDVKKNPKAATEGVVIRKRLQLMMYNDMFRIMFDRRFESEDDALFNKLKALNAERSRLSQSFEYNYGDFIPVLRPFLRGYLNRCHDLKTRRMKVFEDNFVQERKNVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPAVQSKVREEITSVLGAGVPVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNEGKLHGYDIPAESKILVNAWFLANDPKRWVKPEEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFELLPPPGQAQVDTTEKPGQFSNQIAKHATIVCKPLEA >Dexi4A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:4A:954388:955893:1 gene:Dexi4A01G0001510 transcript:Dexi4A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDTVRGDLALVILYLNKAEARDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLSRIAFYCFLGSNTCTTIIELAELQRLSVSMKKLEKELKHQELYKNEQYRMKLQKSNERRLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQVIYHKTRTSPVPLYRAGHRKTRSMSLSRLLSSPWQLLPSPGPAKSK >Dexi3A01G0029680.1:cds pep primary_assembly:Fonio_CM05836:3A:31928934:31929915:-1 gene:Dexi3A01G0029680 transcript:Dexi3A01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVKPRLPPGFRFRPTDEELVIHYLRRRALESPLPAAVDIPDIRILAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVAVPVPAAAAAARGAQAQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLAPCRRAQAQSAGDVAAAVTHPAEGWVLCRVFRKKGSASANAAAAAAASGVSAGDRSEGEAEVEEGEEEEDCGEAPEEGGRTFIDFFARAAAEASAAGRGRRAASPVVSSSCLTDEQQQGREQQETTSRGGHA >Dexi2B01G0032070.1:cds pep primary_assembly:Fonio_CM05836:2B:40043654:40044960:-1 gene:Dexi2B01G0032070 transcript:Dexi2B01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILGDLYSPVRRTVTAGDLWAESRSSKSGKNRKRRSWEFDEGDDDFEADFEDFDDCSSGEDVDFGREEKGSAVPCLSWFHILTYVNMSNEFQMNSSNFVEFNGHTSKVVSRKRKTQYRGIRRRPWGKWAAEIRDPCKGVRVWLGTYNTAEEAARAYDMAARRIRGKKAKVNFADTITGAAQRHPGRVPPRAKKIMSQENLKSDNTSDHVVSAGTSTDGTVVKVELSESLAFPLPRSSAWLDGFLLNQLSGLRHLEADAEEITEEADHETGVAADMVFGDGEMQLVDGLGYYEPYPNFMQLPYLEGNSYENIDALFDGEAVQDGVNIGGLWSFDDVPMDRGV >Dexi1A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:1A:8967266:8971882:-1 gene:Dexi1A01G0010380 transcript:Dexi1A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVSPRLSPTFAAALAAAWVLAAAGWAAAGDPPLSPKGLNYEVAALVAVKSRLRDEKGVMAQWDITSVDPCTWSMVACSPDKFVISLQMANNGLSGTLSPSIGNLSHLQTLRLDRNNLSGLIPLDVAKLPGLTFLDLSFNNLSGPVPKIYAHDYRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYRNGKPSLDWSKRMRIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAQSQKGMILDWVRELKEEKKLDKLVDRDLKDSFDVAELECSVDVIIQCTQTNPILRPKMSEVLHALEANVTLSESSVELHREPVPYGGTYSFSIRHEDPHDSSSFIIEPIELSGPR >Dexi9A01G0045210.1:cds pep primary_assembly:Fonio_CM05836:9A:48781828:48784691:-1 gene:Dexi9A01G0045210 transcript:Dexi9A01G0045210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDHQRKTSSADLSESEKENLQRKVVIKEQKSVVASRYMLGVSSNNGKITNLNSSIDSDKSNGGSSICESNQKSVAPKIKQEAKPQERPNNTSPSHAKLVSSKQETNKDTRKNSGTSPSTNGSAAVKKQMQKESKKEPANERRSPPKLYRSSPTPARTSPTKLSSSAKQNGSCAPTPPVSSVKRRVTETISWDSLPTSLIKSGKAVVRRKNIALVAAAEAQREAAAAACLVKGLGIFAEIRESSEVDPHAAITKFFQLHRLIVQQSAVWKAYSPEPGKESRAEKEKPSRKVSASQNKAAPYNAAKNSDDAQTSEKMEWAREDGFKEICRSWLALRKESQSWFLSFLEDALESGFKFEDQSKNTRERARGQSKGGDGRIAVRLSQLKETSNWLDQLQDEAVETVEQLKQKVYKCLLGTVETAASALEGR >Dexi5B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:5B:5619037:5619666:1 gene:Dexi5B01G0008320 transcript:Dexi5B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSTATTSPGSGGSKPNLGKAMATILALPLTPISKAKCGLLLFKKRASAAARRRCYKPFRHYNYAYVGEYQFSPSRSPLLPGPPPPGVTAWRRAAAKKRRSRARMILASLFCGGDEVDVAVLDGLVRREDARGGEREQLVLAPALEWPRDVDDGAYADEDDDEEEEVVEYGEEEGDEEVDGRAERFIQRFYAEMRLQRQRSVVQRLL >Dexi6B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:6B:434326:434803:1 gene:Dexi6B01G0000640 transcript:Dexi6B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHVSAVDGAAAEKSAHSWGLLEGFAWIGNVENATTAVSKTSDKKHIQITFCPPLAPPHLSRFFIHTPDGARMIMEPVIVATEDDIALLCVQARFDRYPDYYIYQAADDSSGTPPSLTLLPPTPYFNLDMGLLRLPGKQYIVAGFRIFPMGI >Dexi1A01G0025880.1:cds pep primary_assembly:Fonio_CM05836:1A:31965084:31968832:1 gene:Dexi1A01G0025880 transcript:Dexi1A01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTTALHPQFRPPLRAPGRHRPLRQPSYPSFARACPRTPIRASAASASAPAQREATAGVPWGCEIESLESSASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPALVVTADSEWSRPEVGDVMKRNAVPDWPLIATYLISEASLEGSSRWSSYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVFNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKGKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAVAASNKNSTKPGFNYPELEEQALQFILDCCESNIAKYTKYLEILRRRLRDMRGGELRALSLFNGLRKLFK >Dexi6B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:6B:16775017:16776279:-1 gene:Dexi6B01G0010370 transcript:Dexi6B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLPEASAFLRQLLGRGSQQDRADAAPAASPPCRHFVKRLIGGDAASTSRAASALSAEAEAEADAPSARVGTGGVDPASLTVHFLRHSCGLAEADAAKAAARVVLRSTKNAHAVLALLRDTLGMSSATVVRVVAAHPAVIRSSTIGAKIDFYLRELGLTAAEVRCFVLASSYRFLHAGLDGRLRPNYRILRDLLGSHENVLTAVKQSIELIYENLEVVVLPKLQALRDYGVSEDVLVKLVITHPKALVHRSSRFNEGLAAMKDFGVSPDSGMFPYAFGVFATIYQSKWDRRVENYLSLGWTEAQVRRAFIRHPYCMSVSDEKVRQLLRFLSEKLGWDPEYVASSPNILSFSYERRVLPRYKVLEILVSRGVLKNGIRMWHLRMSEKKFMENYVTRHQDVIPEVLLEAYRARTSCAVR >Dexi6A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:6A:6211304:6213214:1 gene:Dexi6A01G0006450 transcript:Dexi6A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSATSILLFPATIPTITSTPSACPSKKTTILRQRHHRRTVSCRASGADDGGLLWLPRRGVLTSLTGAAAGLVGYPNLTSIALEANPVDTCKRGEKVSEKLVECSDPNRDFPCPPSLKVPIVDFKPESTVKRIRRPVHLLDKEYQDKYKKAIRKMKDLEPSNPLSFAAQAAIHESYCDGHYRYDPTEKNRPFDVHFSWIFAPWHRMYIYFYEKALGDLVGDDTFALPYWNWDSPEGMGIPAIFKDPNDDNPLYDPNRDMTHRDALIDLDYLHADKSVGPIPFVKTPATAAAYDAAVNKNLATIYQQQIRGGRGPRAFLGEKLCSEASFRVKEINERSKRRQNGKQIKTDSSQSQGTLERMAHTAVHVWVGRQKPKTGCTLADGVLGHDGQPHCAYDMGFLGTAGRDPLFYSHHSNVDRMWHLWSTKLGNEGFTDTEWLDASFVFYDNYKEPRLVRIKFRDVLDARNLGYTYDAESEASLPWINSKPTPLSPTGGGSSARSGAAAPPEFPLTLVSEKAVVVPGVAVPAKEAGMDLVLVIEGIEYNPQMNNKFDVAINCPKEVAKGVGPQHTEYAGSFTAVPSSKANGGTLLGKVTLFVDGVLADLGAAGDATVDVVLVPRVGDITVYFAPTIQNA >Dexi3A01G0033970.1:cds pep primary_assembly:Fonio_CM05836:3A:39286251:39287394:1 gene:Dexi3A01G0033970 transcript:Dexi3A01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPWVALVLTLITVSLFHLLLIRLKEHRRRRHCKMVFLPPGSMGLPLIGETIEFFTRSPSLDLLPFFKQRLQRYGRIFKTNLVGKDLIVSLDPELNNYLFQQEEKAFQIWFPESFMRILGDENLVLSSGSFHKNIRNMILRVFGPENLRLVLLHDVQGAVKRSLNSWLEMPSIELKPAISSMIFSVTAKWLISYDASSLVSGELWKNYDAFTRGLMSFPLNIPGTAFYKCMQGRNNVMKTLKQLLATRKNKETKDSSKDFFDLVVSELEKPNPVLTEKFALNLVFLLLFASFETTSSALTVALKFL >Dexi2B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:2B:4732740:4734420:-1 gene:Dexi2B01G0005040 transcript:Dexi2B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYVLTLAMLWGVAPQLWNEWGFRSCVLVSLGANVVLGLLSRARRRSASGRWASKGQRVLRSFVLWGLYQLAEAATGNAISSLTLCDSDVSEDEKQLVALWAAFLLLHLGGPDNLTAYAQEDNKLSNRKWFEMMGHFVGVGYAIYKYTYRGGGGGGRSNRVLFAASVVVSVAGVVRYLERAYALSKANLDKMQEDASSSEGSSIKKKREECHRCRSSDDKMDRQRYRTGKLQWKLGDREALFLAQDLFPIWRHAMVDSSVMPDSGRHLASVEILRLEWGSMCKVAEMELSLMYEVLYTKAIVAHSFKGWYYLTRFLSPLCTAAATLLFWLHRQQQHQGRIRGSFVGITYALLLVNFLLDLAWLLRALGSTWAYAYMKQTQAPAWLRHQVMCWHCLHHLVVRVDPMSWLLGRDPINYRTWSGTIGQYNLLRECTTTRHSLWPDWLETKEMLYLSKLDGKAILLEAIDKDDDDCYSMEDIRSKWGEKAFDLRNPEGRQIDLFPGLDIHDDVATKFGKEFEEDVLATSGSDNGALMPESHR >Dexi4A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:4A:4703664:4708080:1 gene:Dexi4A01G0006560 transcript:Dexi4A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGGFLGELPIIIWSVIPAIKYSSSEHGNDMLLLIALFQYILRLYLMISLNNKIVKITGVFAKTAWQGAAYNLLLYMIASHVLGALCCYGQTLTVSTFLGETLYAIFLAMVGLVLFAHLIGKVQVPLFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKPGDFCGEELLEWALVPKSTVNLPSSTRTVKAILEVEAFALRAEDLRFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQHAWRRHKRRKMAKDLRMRESFSSMRSYEGYASPEQNLGLRGVSIIKELPKFRKPSEPDFSAEHDD >DexiUA01G0011570.1:cds pep primary_assembly:Fonio_CM05836:UA:23112490:23116423:-1 gene:DexiUA01G0011570 transcript:DexiUA01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLPISGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLLQEEAYLVQQQKDLEIEAEFGQGSGKSKGDLDKDSISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQQKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDDASFGYPGGPTLFKNLNFGIDLDSRISMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVSGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVTPFSGTFKDYKKSLKP >Dexi9B01G0034690.1:cds pep primary_assembly:Fonio_CM05836:9B:36606793:36610442:1 gene:Dexi9B01G0034690 transcript:Dexi9B01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPTPPLLSSPFRFPPVSPRTRNPPKAEQATAAAAAAEIGAMELSMSGSSLRTFGRCVNFLARVASELVLQAHPAKVRRPPTAEWEFSPDLSLTGPLPLTFHQLELNTLNSSRSAYGSVSLARDFFDDYHLDAAASAPSSTPLQCSILLKSLLAVLRTPHGALDRLAISLPEPDAPKLQVTLHCLNGVRKTYWIVCSAEPEVQSLALDRGRFPSRLTIGPRELARLLSNFQSSLQELTIIATDPAAGLLDAGGDIGGKAVELRSYNDPAKDDCDTRLHTQLWIDPVEEFVEYVHAGDPVDVTFGVKELKAFLTFCEGCEVDILLLFEKTGEPVLLVPRFGLDDGSSSDFEATLVLATMTVSQLADSNDAQQPATSAQHNGEPMAATTPPSVSNHTKIWSELSGTPKSFDANRETHAQMKSNAGTSMMNDTSIAPNVANAPRIPPVADNANNIVQPMQMDHLEEHHEVLSALPRSQHHPSNWVGTDDNDDDNEDEELLVQTTPHYMD >Dexi9B01G0037950.1:cds pep primary_assembly:Fonio_CM05836:9B:39201932:39202910:-1 gene:Dexi9B01G0037950 transcript:Dexi9B01G0037950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGATPSPGGAGAAAGTGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYTAIAWLRIVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWITYLTLVPVVTGVVIASGV >Dexi5B01G0035210.1:cds pep primary_assembly:Fonio_CM05836:5B:35271342:35273601:-1 gene:Dexi5B01G0035210 transcript:Dexi5B01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRELVVSFGEMLIDFVPTVAGVSLAEAPAFLKAPGGAPANVAIAVSRLGGEAAFVGKLGDDEFGRMLAAILRDNGVDAGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTQILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFHGAVPSFKVQQVDTTGAGDAFCGALLRKIVQDPSSLQDQKKLVEAIKFANACGAITATKKGAIPSLPTETKVLQLIEKA >Dexi5B01G0029520.1:cds pep primary_assembly:Fonio_CM05836:5B:30742643:30743996:1 gene:Dexi5B01G0029520 transcript:Dexi5B01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAACSRARRLFSVFSSTAPRAQAPKPAPEAALAQNAAAGEAEAKSEAAGRNRRKPLGKTLRVISEERDPDKLVSQFIAASTAFPRFRDNRRVYEVAVSRLASFGRRDAVAALLDSQKPFLEASKEEFAARLLRLYGRASMASHATATYLDLPQKHKSVTAFNALLAAYVDSGEFDKLVVAFQKPGLSTALDVIPLMEKCGLTPDEISFNSLLNGFYNNGRFDDAEKVWEMMKARNVEPNTKSYNAKLRGLVAKGKIEDAAAVIETMQKDGPKPDSVSYNELIRGYCKAGRLDEAKKVYDDLVKNECAPYRGTFETLVPHLVEAGELDLALNFCHEIFSRKCRVKCSLLQGLVTALVAASRVDDATRIVKLGWRNNYPPRGLKMPELIQKEKDVEVESDCDNSVPYEEGSDEELESRAA >Dexi4A01G0017960.2:cds pep primary_assembly:Fonio_CM05836:4A:21862136:21862484:-1 gene:Dexi4A01G0017960 transcript:Dexi4A01G0017960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCDFYTHSNYAVLTISLCQNWYCLSKTLGELEAFDYAKRTGLDVVSLCPSLVIGPLLQPTVNASSSVLEIVW >Dexi4A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:4A:21861718:21862133:-1 gene:Dexi4A01G0017960 transcript:Dexi4A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNYVDVRDVADALLLVYETPKASGRYICNAHPKHVSEVIKLLKSWYPAYKYATKFVPVSDEPSFCNKKLQALGWKIMPLEKTLRDSVESFRKAGVLD >DexiUA01G0007970.1:cds pep primary_assembly:Fonio_CM05836:UA:14891609:14898340:-1 gene:DexiUA01G0007970 transcript:DexiUA01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSEFPLCSRVFVCVPGVQGVFILAGDRELRGSGYGIQGRDGVAADHRGKVTDAGDITPPVTVATAAPAASKVPRPTAHTSWSARWSLASRRSSCSSGQLSGSGSGLKSFSMSELRSATKNFGSNSYLGEGGFGCVYKGWIDEATLAPTRPGVGRMVAIKKLRKESFQGHREWLAEVTYLGELHHDNLVKLVGYCSDSDSNKVLVYEYMLRGSLENHLFRRGTQPLSWPMRLSIAVDVARGMAFLHGQESPVIFRDLKSSNVLLDSVRSFVPSFFTRWGGQLLQLAGVHAEEWGYFKLIHLEGTPLTRIDGMMIIGMFGGCFAAALWANNVKLRMPKSRIRIVQAVIGGMIAGFGARLAMGCNLAAFFTGIPQFSLHAWFFAVATAIGSYFGAKFTLLPLFRIPVRMVKVSAASPLTQKPAQAHRRFRLGMLVFFAMVAWALCTALNQPKLGLAMLFGVGFGLLIERAQICFTSAFRDMWITGRTMMAKAIIAGMAVSAIGIFSYVQLGVEPKIMWAGPNAVIGGLLFGFGIVLAGGCETGWMYRAVEGQVHYWWVGLGNVLGSTLLAYYWDSLSPVLATNWDKVNLLSTFGPLGGLSVTYALLLVAFLLVIAQEKRFFRRECRMKEIVPDYRLDMVGEPCPYPALRKGEILEVVSDCPQSINNIPLDAKNHGYTVIPYVQANAAISNGKVHFLTVVPSFPYYSSLGLAYSVEMPKLEEMQQEALAKLNEIVKQFNLPADRIQTHAISGTPKDQILKLAESSNAAVVVRHAKCPVLVVR >DexiUA01G0024340.1:cds pep primary_assembly:Fonio_CM05836:UA:50382431:50383724:-1 gene:DexiUA01G0024340 transcript:DexiUA01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSKRIDVPATSAAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTAHHGGGEYESSDAGSYDYDEGEEGSDYEVADAAEGAAADEDEDLAMYEDDEAFARALQEAEERDVTGRLMALAGIGDWRAMEQDDDEEVEEEEDDPQDAWEDVDPDEYSYELSVRKAKA >Dexi3B01G0028980.1:cds pep primary_assembly:Fonio_CM05836:3B:27747785:27748538:1 gene:Dexi3B01G0028980 transcript:Dexi3B01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGDSSPSVERGFEGQPYPGFWEQVTPRSMAIAVVLATVFSLVTLRIYMTIGVVGALNMPANVLSYFSLKSLVTLLRRYGIAAAPLTRQETIFLQSSVMTCVNMAISVAKSLSNHPDKADIIEHVPIGKYALFLFLTGLVAITSMLPLLQRPYRRVI >Dexi5B01G0028360.1:cds pep primary_assembly:Fonio_CM05836:5B:29749867:29752608:1 gene:Dexi5B01G0028360 transcript:Dexi5B01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPWRLLTLFLVVGSAHGLVKPEAAAAPKPPVPKAISDLRDAIVKGLGFQAEGLKVSGFDVRDALVGHAVSYEFDIEVGKKVLPVRLLEDVNRWDFVDLPIFRTQADADDKALAEIWRAGKGSVVEPTLPPFQLAGPMELWIQDGDDVRLALPHDVDAGTLKKVVLSDGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNQMPLLSLRIEGSTSLSSTPSTSPKDKLKLKRLAPGQVELSSRAGAIPAVTEDEDEPHSTGLWPLLSLNGSDGSLQGFEELLASVLGKKASEKGTFKLLKARASAQTYVKMAFAVERKLTEGDVNWSNFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFQADEAMSESVLTGNVTRSKMEIVHPPPVYFTL >Dexi9A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:9A:8205774:8206335:1 gene:Dexi9A01G0012870 transcript:Dexi9A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWDCYAEALPQYLQATDLNKLRVDCSRSLLKLIALQDESSEWGKIKHVQQLKAYGHKTKNDEEESNQSSQEDEEANKEEGNQPEVDEVNEDANQGKEEEDADEDKTNHSKEDEADDEADQPEEVDWYIYYTKEPYSFDVYLGESTDEDQE >Dexi3A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:3A:16608541:16611387:-1 gene:Dexi3A01G0020970 transcript:Dexi3A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGIAALVVLLLASALLPCPASASGRRSLEGDKPSSGEDAPAPAVSAGGSPKHDSSKEPGQSSATGQEAEGHRHQNSPPPAASPLKDTTDSHKASPPPGGPGPNGGANPEDTGSQAKQTDKMKELMENCAPVGSFVIVHNEGQNEINVTVKGLSNTDIEQTLHLTKGTFGQIKIKYINPDGWNITLSGTNENCSIAKQSVEKRVSDLQQQLQMVVDALRLNPIYGASFFVFTVVLVGIVCVCCKFTKRRGNDGVPYQQLEMGSQAPNSAVVDNTTSTTDGWEDGWDDDWDDEEAPARPSDKKPTSSVSANGLSLRSQTNSKDGWDVDWDD >Dexi2B01G0022250.1:cds pep primary_assembly:Fonio_CM05836:2B:31908799:31909278:-1 gene:Dexi2B01G0022250 transcript:Dexi2B01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSWSTKRYPSLVASNLKRQQQKTKVHVSVAIRRRLRLRRLRAGAEALEMVNLKLYLENRCIIAENERLRERASALRRENLALRQNLSKTAADAELPAAGAGAGAA >Dexi5B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:5B:518958:522797:-1 gene:Dexi5B01G0000820 transcript:Dexi5B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFSPSDSKAVTAVNVPATAAENTVPDTFAGKSVPDTKDLQGSANNLDVPENISNDVSMDVTDLSDVPQMISSKEGNGTEGPSSPKPCEVPTGDENRTVQDVTEGPSSPKPCEVPTEDENRTVQDVLDVGHNESSVRRQISVHKGSKQTSGHKSNQVRYRGLRRSHLKRNVGPVGKKKKKKSTDLADISDLKFSHRKQKKTRLISELIDTQMGGSADAIEADHAKSVDICESDKSKMPLEAGNGNDTPVSDQKVCESQSTAVKNKTKSRGVDNFDDGPSLMNWLKQTHKKVRTEKRDTGHKNFDPSDVSNSTPDIPASNDIHDDSVPSGGDLGQDTSARHGNEKAQNNNLEQNMQKADELCQNKSENLKQRFLSNGESTILLKRKVRSSTISRLENPEGTVQRYPAKVSPGKLKVQNESGPKNIPKNKKRRLEVHEKQTVIDDIPMDIVELLAKNQRERQLMTDADSLEDSRSQPQIAAVGCAEIAAKDGPIDASMVLGTNFQSLASESKQKSLQCRASSSTEAANAHLQDLHTQKFSQCYAASSAETPNVHHPESHVQSPLQVHALPMTGSLHVYPPKLHVSDILECTEEQQTHSCRDEEVTIACTSPMFSHHQHIAEIPTQIWSNKGGKKVMWDSFKTASRNSPTSTYGFQFRNRIREVDSTPIPVYGASNDYATHQPVIAAVDQYTKDAVDQVQQRSIPSTVLTMEVGGMYDQRIAGQSGLYPKEPMPATHLLRLMDSSTARSFTNYQRANRRQMELETQNLGEHYVQHNHYNASTSTSYGSQITEKVPLTLHDLARHQVEKNLHRPLRPHPRVGVLGSLLQQDIANWSGNSGIQSGYRLGVPNGTTSSHMNKKANYETLNSGMFSAGWSALQLGSASSVLGPEHSSARYGATQPWTGSTGKKIQPWTGSTGKTVHPLDKLVRKDICVTNRNPADFTVISDKNEYMINL >Dexi5A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:5A:3031004:3033974:1 gene:Dexi5A01G0004080 transcript:Dexi5A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGSAAAGEVRRLLAHLDSQQQLLADCHGAWSRALAHFASLEEDLASRSAALEEALAAADASTSESLAALDAREAAIPERLAEASAALSAAVAEAEAESAAPPPADIRGALRWICRRMDAAALWRFVAARRRELTELRTEAGPAVASAVDPSRLVLDVVSDFLSAGEGAGEDQFWVLSMLLRSLFDSDSRKPLEIGDTLVESAVAVAKQWQERFGINVDKLASESQEVEMDEADVVQNSGATEKKEEHGDGGDQEEEEDPEELVQESGDEEEEPEEAEEPEEEIEKEAKEAKEEEADGKVPEEGEGEEKTGPEEEKGAGDETKETKKGDGHKGAPEQPEAQIFLQMVAAFGLKDKFDGEFLRRLFVANGRKKELARIACVLGFEESLGDIVEELIKSGNVVEAIYVAHEADLLEKFPPAPLLKAYVRDSSDKAQAVLKSGRHSSSALEEANNLEGNAYRSIITCVESCQLQSVFSTEIIKKKLAKIEKEKAERKKPGGPSRFQNKRSRGAAGSYPFPASKLKAARGSSSGFGPSFQNPVSRSFNYAAHAGYVNPAGGPPYYVPGRRGGVPYGGPGATYGGSPNFAAGSGQQPFRR >Dexi1B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:1B:8943671:8951166:1 gene:Dexi1B01G0009650 transcript:Dexi1B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGPSGGGDLGGGVRLVLLLLLGLACSNGGGRCSWAQATTLLPPQEVEALKGIANKLNKTDWDFSVDPCSGSGNWNKSDSDGLFISSVTCDCSFKNHTECHIIILELMRQNLTGVLPDEVVNLTYLQNLDLSRNFIQGTIPASWGDLPVYNLSLQGNRISGTLPKELGRMPMLKSIQLEGNQIEGPITPELGNISTLERFFISANNITGELPSTFARLTNMTDFRVDGNRISGKIPSFIKNWQSVNRIDMQGTLMSGPIPTEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPSYLGLMQYLKVLDISFNKFTGQVPPTFGRMQALQYLYLTDNMLTGDLPAWMLKNEASNKVNMDISYNNFTGNPPSDCQQANVNMVSSFSTSNDNSLKSCLRKDLPCMGKSHYRSLFINCGGKSVEVNGTKYKDDSSQIGTSSFVLSDDRTWAYSSTGDFVGNENADYIGRNTSKLNLAYPELYTEARLSPLSLKYYGLCMKNGEYIVRLDFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLEDFNIKDEAGGAHRAVVKTFTTNITDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQTESHHGLSPGVVIAIVIASACLAIILLLMAFYFKGFLGKKNAKGNTKRSGKRQLASASGSLAGSGISFCGCGGGGRKETKGLLGSSRRGGERRRR >Dexi6B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:6B:4216337:4217901:1 gene:Dexi6B01G0004920 transcript:Dexi6B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPYPGSGNINPALQLSKLLRRHGVFITFVVTEHNLRRVQAAEGAMAGGCEGFRIEAIPDGMLDADRDGQDYDIGLSKATTKLFAAPLRDLVARLRGGDGGGVPPVTCVLPTSLMSFALDVARELGVPSMTIIDWIPGMPPISLGDVSSFVRTTDANDFGLWFNITEANNCTKAGAIIINTFDALEADVLTALRAEYPRIFTVGQLGTMLRRRDLSNVDKESGSDSIDLTLWKHDTDLTVLTVSELAEFAWGLAATGRPFLLVIREDLVAGGGGVAALPPEFMAETAERRRVAAWCPQEQVLRHHAVGCFVTHCGWNSACEGLTAGVPMVGWPVFADQFTICKYVCEVWGVGLRLDAEVRREQVAGHVNEAMESDEIRRSAARWKAEAEAAASPGGSSYENLLCLVKALQEGSLNSEA >Dexi2A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:2A:22030090:22031877:-1 gene:Dexi2A01G0013490 transcript:Dexi2A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSERIFPLLLKCGLLQRLCSDTDDGDEEPVPVALHDIPGGEEAFELCAKFCYGISISISAANLVPALLAARFLRMTEAVAKGNLVAKLEAFFDTCVLQGWKDSIAALQAVWRISGWSESRVVQPCIDSIVEKILSPPAKVTWSYTYTRPGYTRRPHQSVPKDWWTEDVSELDIEVFRSIISTVRASRLLPPPLIGEALHVYACKHLADPLRAAGEANGVLPAEETVVARQRRVLESIVTMIPGEPGSVTGRFLLRLLRVASYVGASSSTRAQLIRQAGSQLDEARAEDLLIPMPSDAQAYDVGAAEAVLEHFLAQFQRPAAPDERRRMSAAMDKVARTFDEYLRTIALDREFPVGKFVDLVECLPDIARSDHDGLYYAIDIYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTMGAAGASHGAAVATDRASVDAVSRLAARAKEDEPSSSAADHKLDVHRPRRDHAPVPDGAAAAAMTRSLSATTKAPPAARKERTPEERGSRMRNKQ >Dexi4B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:4B:13953970:13955779:1 gene:Dexi4B01G0013820 transcript:Dexi4B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIALGPVPEDPAFLPICFKGSRSPHCLSGSQLQDSILIFLAVPGMPPMPMSVLGSESIASVKLRIQRFKGFVVTKQRLVLDGHELAHNNCPVKDYGLAEGNVLHLVIRLSDLRVINIETATGKKFQFQVDQNQNVKYLKTKLADEGDEDIGNLEDHKLEYDGEELKDHQLIADISRRDDAVIHLFIRKPAKVRTQQVDRDTLVTVVNPQEKGRRQNEAHGVKSARSAGVRPAPVEPVIVNRKVKMSPEVMKMISSTIAGLEEGHQPVMSAEGSGGVYFMRDATGQKNVAVFKPIDEEPMAENNPRGLPLSSDGEGMKRGTIVGQGALREVAAYILDHPVGDSKSGHSVGFSGVPPTTLVRSIHRGKSFKIGSLQMFMENNGSTEDMGPRTFPVKEVHKIAVLDIRLANADCHAGNILVHKEEDGNYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREAFSDETLEYIKSLDAEEDIKLLKFHGWELSPRCARVLRISTMLLKKGAARGLTPYDIGHILCRETVNRDSEIEDIIQEAEDAVLPGSSENMFLETISEIIDRHLDKEFA >Dexi5A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:5A:6632790:6641378:1 gene:Dexi5A01G0008850 transcript:Dexi5A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTPLQAARVEHKELVRCMHGRGQANHHPDAERRVSPDHGQQQGQPGGAGGVDRRHRTLAATMATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNERAEQAKSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATELSLWQEAFRSVEDIHGLMSMVKKMPKPSVLVVYYAKLTEIFWISESHLYHAYAWLKLFNLQKNYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHLETENEKERNMRMANLVNFSLDSKRENRELPSRASLLSELVSKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYASALEKLTTLRVLQQASHIFQSMKIDMLSKMIPFFDFAVVEKISVDAVKRNFVAIKVNHLSGAVHFGTVDIESDGLSEHLSVLADSLKKAKNHIRPPVKKASKLGESLVSLAAVVENEHRRLLARKAIIEKRKEDHERQILEKEKEEESKRASMVKKTAEEERIRLLNEQRQREQERIRREIEEKNKAEARKLLEDLTKKGGKKHVVVDRELTKEAVMELALTEQLKERQEMEKKLQKLAKTMDYLERAKRQEEAPLIEQAFQKRLEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKV >Dexi5B01G0018820.1:cds pep primary_assembly:Fonio_CM05836:5B:21188354:21189989:-1 gene:Dexi5B01G0018820 transcript:Dexi5B01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYKDCNTHQKFSITTCSPHGVAITAAGTRKNESIFGELHTQIKNKKLTVDVKANSESDLLTTITMDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGVNASVGLNSNPMVNLSGVFGSKALSVGVDVSFDPATSNFTKYNAALSLTNPDLIASLHLNNHGDTVVASYYHLVKQHSGTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSFVTVSGEVDTKAIEKSAKVGLSLVLKH >Dexi5B01G0026720.1:cds pep primary_assembly:Fonio_CM05836:5B:28426245:28429307:1 gene:Dexi5B01G0026720 transcript:Dexi5B01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTEESLKGYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Dexi9B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:9B:4677343:4677929:1 gene:Dexi9B01G0007620 transcript:Dexi9B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTSMAAAAAALLALAACLALPSGAAANKITINWKPNTNYSDWVTQHSPFYKDDWLVFYYTAGQADVVQVDETGYNKCDASNPIYNYSKGRSFAFQLNETKTYYFICSYGYCFGGMRLAIKTEKLPPPSPPPSASHKSAAVAAAFARSHAAVLYAAVAVLAALLRMV >Dexi3B01G0023990.1:cds pep primary_assembly:Fonio_CM05836:3B:18711513:18712780:-1 gene:Dexi3B01G0023990 transcript:Dexi3B01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSRASLALLLCAVLLALASAGGSPLSSTFYDASCPSAHDVVRRVIQSARVSDPRIPASLTRLHFHDCFVNVSTYRRLSPGCDGSILLDDDLPAIQTEKTAPANNNSARGFPVVDDIKAALDEACPGIVSCADILALAAEISVELAGGPRWRVLLGRRDATVTNIQSAKNLPNFFDSLNVLQEKFRNVNLNDTDLVALQGAHTFGRVQCQFTRENCTAGQSSGSLENLDQVTPNLFDNKSYGNLLQGRAKLPSDQVMLSDPSASTTTAPIVHRFAGNQQDFFRNFAASMIKMGNISPLTGSDGEIRKNCRRVN >Dexi7B01G0023200.1:cds pep primary_assembly:Fonio_CM05836:7B:27842541:27845303:-1 gene:Dexi7B01G0023200 transcript:Dexi7B01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGLLAAALLLLLASAASAAPDMSIISYNEEHGVRGLERTEAEAREMYDLWLAEHGRAYNALGERDRRFRVFWDNLRFVDAHNARAGEHGYTLGMNQFADLTNEEFRAAYLGAALSAQAGNGTVGERYRHDGVEALPDSVDWREKGAIAPIKNQGQCGSCWAFSAVSTVESINQLVTGELITLSEQELVECSTNGGNSGCNGGLMDSAFAFIIQNGGIDTEADYPYKAVDGQCDINRKNAKIVSIDGYEDVPKNSEKSLQKAVAHQPVSVAIAAGGREFQLYKSGIFTGSCTTTLDHGVVAVGYGTEDGKDYWIVRNSWGPKWGEAGYVRMERNIDAATGKCGIAMMASYPTKKGPNPPKPSPTPPTPPPPPVSPDVACDATYSCEAGTTCCCAFGFRNVCLVWGCCPLQSATCCKDHVSCCPPNYPVCNIRAHTCSASKNSPLSVPALKRTLAKLNTA >Dexi7B01G0024390.1:cds pep primary_assembly:Fonio_CM05836:7B:28751101:28752099:1 gene:Dexi7B01G0024390 transcript:Dexi7B01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLDLGGLSMDKFDGTNEDGDGGKDDATFASDSEEDDCYDEVKSCARAVQLLAIGANFRIIAITASDWKGWIWYSLGPCVMLEAYGGLGLKVFTNDDDEGSCNGRISDSWDVTDPDEVEEFTQTIYGGLGRKLEVTYVVIPEGVETHVEVRLNPGASTSRVVYGSVKASALDYGTKSVHLFSPERGRSLPMPCGSTCILPLTPYVIALSASQLLTLHIEVGLTVITTCDSQEEDTNFKFCLDCSRRIRSEERLEPPFRIRSQKREFNGDEVEVNVMWRLQRSH >Dexi8B01G0002580.1:cds pep primary_assembly:Fonio_CM05836:8B:1796897:1797346:1 gene:Dexi8B01G0002580 transcript:Dexi8B01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMRVTHRDEEGKKVSEKVPVPETRHHDTAKHLERKLEEQGLHRLERHPANAPRGVGIGSPPPKSGRGGKYTWEGPAGLVDDELDPAPAAIDRGDPNYDDDEEGATAAGDDEAAVVGEVEVAKVAEKNRDGVARVDVAPPLLQEQHQ >Dexi1B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:1B:10787466:10789501:-1 gene:Dexi1B01G0010950 transcript:Dexi1B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKNIKELDTFRAIFIWMYSNFLASPQLLRKCVFYLSIFTQSSRIRPSRLVRRWIAEGYSEGNDSNSNVEYAEKLIHDLANIGMMEYSQHTPGEASCQINSFFLEYVISQETEENIFLPLEISVLKGEADQNLRHAKQHLVIGSTWRRDEFVFAHLDFSRLRSLTVAREWRSFLISDKMKVLRILDLEDTNVTNEDIEQVVKILPRLKFLGLRRCIKVSDLPDSLGNLRQLQTLDIRHTSVTKLPDSITKLQELQYIRAGARVAFVEEQPSVPRRRNYVWCNRERLDACDGIVVPYIGALKALHTLGVVNVGRHDGKERLKELKNLNQLNKLGVSGINRKNFKELVSAIEGNSSLESLTLQLHKDNNFECTYGLEMKTDMMTWSLADSVVNGGASAHRCAGEKNWMRIADPASIPPLLGLRRRWDLSCLRRVAAPPRVLPDGWVALLLDSESPESGKICVHMPVCGLGLPCE >Dexi3B01G0034760.1:cds pep primary_assembly:Fonio_CM05836:3B:37462595:37465827:-1 gene:Dexi3B01G0034760 transcript:Dexi3B01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTVSASTGVTRTLLPKLSKLIEGEHNLQSGVKRDIAFLKDELISMQTLLAKLSSNEERLDVQLKDWRSKVCELSYDIEDCIDLFLHKMMSNGDAEANLVNKIITRIKKIWSWHNMANLIGQLKARVEEQGHRRKRYRFDGPSSQVVVRVDPRLPALFVEAERLVGIDGPRQKIIELLENDGYGQQQLKVVSIVGFGGLGKTTLANQVYQKIKGQFDCSCFVPVSRNPNVSKILADMLKELGSYVNPSDDERQLINKLRAFLQDKRSLIQPVEILFDGQVEECRVHDMVLELIVSLSAEENFASIVEGQSYNAGGHKIRRLSIQSKHVGDEVMQEIVGSLFQLTFLSISSKAITELPENIGDLRHLQTLDIRGSRITKLPSSTNRLQKLVRLLVSTHVEFPDQIGDLQALQELSRINQFSINFVEDLRRLTKLKRLGMDLPDNREKLGGDMGRYEEALKSSLSEMGKHGLQSLDICATGFLEEELIDVVCCTLPCLQKLALYRFHITNLPKQMVSLVNLTHLSIWVGRIKQEDLCIIGDMPALLFAELHVKHSPDERLTVSGQRFLCLKEFRFSNDFFCSGGGLEMLFLQEAMPELRTLHLQFRAQEAESKMGFEFSFKHLARLEHITVTVHSGGAAMSRVEAAKAAVREAARIHPGNPTLDVHVDWY >Dexi7B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:7B:17867490:17872076:-1 gene:Dexi7B01G0010570 transcript:Dexi7B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVAAAVLLGRLLPPLLLLAVAYASYDGAGLPPISRRSFPDGFIFGTASAAYQYEGAAMEGGRGPSIWDTFTHQHPGMYTLYPSVSNTKWAYKIADRSNGDVAVDSYHRYKEDVRIMKDMGMDAYRFSISWTRILPRVQPFVTLFHWDSPQALEDKYGGFLSPSIINDYKDYAEVCFKEFGDRVKHWITFNEPVSFCSLGYSSGTFAPGRCSPWEEGKCSAGDSGTEPYTAAQKGNIGITLVSNWFVPFSPSKSNDDAARRAIDFMFGWFMDPLTRGDYPLSMRTLVGNRLPQFTKEQSEMVKDSFDFIGLNYYTANYADNLPPSNGLNLTYGTDARANLSGVDEVNNQSLSLQEALKDDTRIDFYHKHLLALQSAISDGANVKGYFAWSLLDNFEWVNGYTVRFGINFVDYKDGLKRYPKSSAHWFTEFLKK >Dexi3A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:3A:3175334:3175675:-1 gene:Dexi3A01G0004860 transcript:Dexi3A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFPLALLLWIYPQPRAMPDLFSPSAASYSCSPSTPSRTGRHLTSSPSPSYRGARFAGAIVLLPCDSWRKMSRADASTAQTPRCSGCRLRWNTRGGGCVPGPNGVRSAGGGV >Dexi6A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:6A:21307544:21308447:-1 gene:Dexi6A01G0013970 transcript:Dexi6A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIATLLLLLALGLPRSSVSTSTGSSGITRSAAADVAALLAFKAQISDPLGILHANWTGNTSFCSWLGFSCSRRRMRVTALVLNDVPPHGSISPHLGNLSFLSMLNLTRTDLRGSIPPELGGLRRLRFLALGNNTLSGAIPSTLGNLMRLEFMDLWQNNFSGQIPQELQNLCNLKHLDLHKNYLTGPIPDDLFDNSSFLTSLNFANNSLSGAIPHSIGYLSMLQLLVLQFNHFSGPVPPSIFNMSSLRYIADI >Dexi9B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:9B:12769176:12770668:-1 gene:Dexi9B01G0017980 transcript:Dexi9B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRARLVSILFAFLLARVAAGGGGESRYLTKDERWMNQRLDHFSPTDHRQFKQRYFEFLDYHRAPGGPIFLRICGESDCSGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKELTTENLRFLSSKQALFDLAVFREYYQESLNARYNQSGFDNPWFVIGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTAFDKQVGESAGPECKAILQEITKLVDEQLRLDSRSVKALFSAQELKNDGDFLFFLADAAATTFQYGDPDAVCSPLINAKKAGKDLVVHVPHLL >Dexi4B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:4B:1410023:1410355:1 gene:Dexi4B01G0002250 transcript:Dexi4B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILGLSLVGAGPGNVFGPGMSAGVLESFAGGGHAGGNSSRGAAGRTVSSAQGSGGGGKKSAGEIRSKTAVAEAVGGQEEGRVGGGDDASARLHPALYAMFSFEAVAPH >Dexi2B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:2B:8373046:8377681:-1 gene:Dexi2B01G0008290 transcript:Dexi2B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERETLGNERGRSWRANAAQEDPKKLEDGDHLIKLLWVILIGLIFALIIQSLAANLGVVTVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQRYGVRKLEFLISMLVFVMAACFFGEMSFVKPPAVEVLKGLFIPRLNGAGATGDAIALLGALIMPFFLYESGFALFVALLINIAVISVSGTVCFAPNLSAEDADKCSDLTLDSSSFLLKARSSAIVYGVALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIVIASMILSFELPFALIPLLKFSSSRSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLVHNSLPKYANVLVGLLVFPLMLIYIIAVIYLTFRKDTVVTYVADSAQIDAEKAKAAGEEEDDQPVPFREDLADIPLPE >Dexi8A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:8A:22335229:22338147:-1 gene:Dexi8A01G0012650 transcript:Dexi8A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLSPEEEEPSSSGPVPSASPGSSSPPRGVREDLSELTGALANRFQDFDLTDAQQDHALAVESVAPELADLRIELCPSHMSESCFWKIYFVLLHPKLGKEDAEILSTPQILEARGKLSHDLQYQTKLQSSNEDTIPVPLSNVDDALASPVEVLGEVKSQDGSVMATSFSNIDYGILQPNSQEFLSADAVSDAEAVSSDKISSSVPVQLVPVLKDTTVVSPATVEEITRDLATKDAAAEQSMQMSEIALVDNSPPKDDQQKQPPLAHVSKQSRVDSKKTYHDEDEDDGDEWLEEETGGPENTTIPIVDDEDVSFSDLEDDEGMS >Dexi4A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:4A:18464013:18468933:1 gene:Dexi4A01G0015460 transcript:Dexi4A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVDLSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGLVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLPPIDTLTAVKAQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Dexi6A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:6A:21542562:21546498:-1 gene:Dexi6A01G0014150 transcript:Dexi6A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRATTVLAWGSGEDGQLGMGENEEKDWAYCVEALEPYNVTAVVAGSRNSLAICDDGRLFTWGWNQRGTLGHPPETKTESSPGPVDALAGVKIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTRALRRDIPTPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLENVGVIAVGAFHNLALTDKGILWAWGNNEYGQLGTGDTQPRSQPIHVEGLSGLELVDIAAGGWHSTALTNEGEVYAWGRGEHGRLGLGDDKSSHMVPLKVELLAGEDIIQYGRGDHGRLGYGRRVTTGHPLDVPIDLPPPKTSSTSDGQWQAKYVACGGRHTLAIAEWNEAND >Dexi1A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:1A:3963517:3967289:-1 gene:Dexi1A01G0005430 transcript:Dexi1A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFHEEMPFDLDFHPSSPLVVTSLITGELCMFRYGPESQPERLFSVKAHEESCRAVRFVDSGKVILSGSADCSVLASDVETGKAIARLEDAHENGINRLICLTETTIATGDDEGCIKVWDTRERSCCNTFHVHEDYISDMTYVADSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCSDRFLGHTQSVDTMLKLDEETLISGAADGVIRLVGILPNRIIQPLSEHSEYPIEALALSNDKKYLGSLSHDKMLKVTIPSFSLYICPCIYLTWHLV >Dexi1A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:1A:10402881:10404722:1 gene:Dexi1A01G0011400 transcript:Dexi1A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVSESAAESASTAALPHPPASAPPSRPKAKHRLEIEGFPVEGVSIGGQETCVIFPTLSLAFDIGRCPQRAVSQEFLFVSHGHLDHIGGLPMYVATRGLFGLRPPTIFVPPCLRDHVERLFDVHRAMDQSELKHNLIPLEVGEEYQLRRDLKVRAFRTYHVIPSQGYVIYSVKQKLKQEFIGLPGSEIKQLKLSGVEITNTVFTPEIAFTGDTTSDFILDPDNADVLGAKILVVESTFLDNSIPVEHARDYGHTHLFEVFYHAIMGYSKLCSFNFQYEIDAAINRLPPSFRSRVYALKEGF >Dexi9A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:9A:4946522:4950402:1 gene:Dexi9A01G0008380 transcript:Dexi9A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSTASTAVLLLLVLLAAAVSVSSSSELHRGQEQDRSALLQLKNAFPSLELLRRWSPDSGGADHCTWPGVTCDARSRVVALEVPSPPRHSGPSRKLAGELPAAVGLLNELKEVSFPFHGLRGEIPGEIWGLEKLEVVNLAGNSLRGALPAVFPPRLRVLTLASNLLNGEIPSSLSTCKDLERLDLSGNRLTGSVPGALGGLLNLKWLDLSGNLLAGSIPSGLGNCAQLLSLRLFSNLLNGSVPAEIGRLTKLRVLDVSSNRLRGPIPEELGNCSDLSTLVLSGQFNSIESHELNLFEGGIPGSVTALPRLRVLWAPRAGLQGTLPNNWGRCRSLEMVNLGENLLSGVIPQQLGQCRNLKFLNLSSNSLSGSLDKDLCPHCMAVFDVSGNELSGSIPACVNKVCEPQLMLDEMASRYSSVLMSKTLAELPLSFCDSGECSVVYHSFAKNNLEGHLTSLPFSAGRFRNKTSYVFVVDHNKFSGSLDAILLEKCSGLKGLIVSFRDNKISGQLTAEFSTKCSAIRSLDLAGNQISGVIPANVGLLGALVKMDLSRNLLEGEIPASFKDFKSLRFLSLAGNNLSGRIPSCLGHLSSLKVLDLSSNSLAGKIPSNIVTLRDLSVLLLNNNRLSGNIPDLASSPLLSIFNVSFNDLSGPLPSKFHSLTCDSISGNPSLQPCGLSALSDPLVNVRALSETDTNPPADNTAPDDSGGGGGGGGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRPSRRSLRREVTIFVDIGAPLTYETVLRASGSFNASNCIGSGGFGATYKAEVAPGKLVAIKRLAIGRFQVCRLSAVLVSATTSNVPNKLVASNSNAISEGTLKLDIHGIQILVDYAALDCCMEH >Dexi5A01G0036510.1:cds pep primary_assembly:Fonio_CM05836:5A:37989767:37992400:1 gene:Dexi5A01G0036510 transcript:Dexi5A01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPASPPRRGGLLRLLSVLLLARAAAAAGGAAASEFDDGTSPKFPGCDNTLQKVKVTYWVGGDEQSSLTGISARFGAVVPDTAGQKQPAVQPEPKGSCAKSSKPLAGSVAVAERGECTFIDKAKTAEAGGAAALLITNDDDALQKMVCTDKDPPPNINIPVVMVSKSAGDKIQTAISAGNKVDILMYAPQKPSFDGAIPFLWLMAVGTVACASVWTAAVVGEEPNKNAASLGGEENPEAEAEVVELQTKTALVFIVTSSFVLLFLFFFKSSWSAWLLVFLFCVGAIQGMQYVSSSLAISRCGEPKVKLPGIGNVKVLTLVTFPLAFIFAGVWVASMNSPFGWVGQNLMVASALLIAAFLYDIFWVFISPFIFKKSVMITVARGSDDGPSLPMVLKMPKEFDSWNGYDMIGFGDILFPGLLVAFSFRFDRSHGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMNSGQPALLYLVPSTLGVIAVIGAKRGELSQLWNAKA >Dexi2B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:2B:2537393:2540221:1 gene:Dexi2B01G0002960 transcript:Dexi2B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKTDDLIPMYYSHPHHTLHFLLASSALTHSPLYLQGQTQPAASRRGAAGGSLRAPAKMGEITNVMEYQAIAKQKLPKMAYDYYASAAEDEWTLQENREAFSRILFRPRILIDVSRIDITTSVLGFKISMPIMVAPTAMQKMAHPEGISLYFFIMFWLIQAFSYCHLSILLSGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLSLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGIFVGRPVVFALAAAGEAGVSNVLKMLRDEFELTMALSGCTRLADITRNHVVTESDRLRVMPSRL >Dexi3B01G0019780.1:cds pep primary_assembly:Fonio_CM05836:3B:14747555:14752192:-1 gene:Dexi3B01G0019780 transcript:Dexi3B01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLEKMGRELKCPICLSLLSSAVSITCNHMFCNGCLVESMKSASSCPVCKVPFRRRDGSQCGGNSGSKPKRSRKKKMASKKENSTSKATAASASCPTTKPSISTNKRIHVTPFPESETPMRPKKIMKPEEHKSKVNDDAEETQNKTLNSDRPESPSLSPFFWLREEEEEEGGTAGSLSEPLSLDTPLRHNAPTFSDIIDSDDEIPNNITPNSKAEVSEIFDSEMFEWSQRPCSPELRSTPLKKQGKSKNILDQITEADDVEDMNLGGSFDMLDHESNAAQPDSGEMVHYHNGKQVAAEFDGGASVIHSHKNCLEWAPDVYFEDDSVFNLTPELTRSRRIKCACCGIKGAALGCFEMSCRKSFHFTCAKLIPECRWDNENFVMLCPLHQSSKLPIETSELKKKSQRRLTPKGPPQVRPCQDYGNKWTWPSGSPQKWVLCCSALSPAEKGIVAEFSKIAGVPISTSWNPSVTHVIASTDLSGACKRTLKFLMAILHGKWVVSIDWVKNCMEQMEPVAEMRFEVIADVHGTREGPRLGRQRVVNMQPKLFDGIQLYLHGDYTKSYRGYLQDLVVAAGGTVLHRKPVSRDKQKLLDNGSLILIVYSVENQDKVKPKSKDGANAGRSQADAHALACASGGKVVSSAWITDSIAACSLQPL >Dexi2B01G0032430.1:cds pep primary_assembly:Fonio_CM05836:2B:40312352:40312606:-1 gene:Dexi2B01G0032430 transcript:Dexi2B01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDELLRRSLVALAAAVVVTGLATASLRKAAATYGFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWK >Dexi1B01G0021130.1:cds pep primary_assembly:Fonio_CM05836:1B:27067783:27068620:1 gene:Dexi1B01G0021130 transcript:Dexi1B01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKETYRTELRAAARQLGERCLYSAAKWAAELLVGVDLDTSPAPSSAMDSPSSSSAVSAGRLLHLHRSGGSSFRHRFRPGGASSSEAGTPLGGVSYVSTPIPDDDSFDSGGDKYLLAKTYFDCREYRRAAHVLQNQVGRKAVFLRCYALYMD >Dexi5A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:5A:4283649:4284490:1 gene:Dexi5A01G0005730 transcript:Dexi5A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMSMMTDSEQCLYGAVAKRRKVAEISPCDLPMCLELWSVSLQLPSDYKGFLNLKSLTLVDECY >Dexi1A01G0017610.1:cds pep primary_assembly:Fonio_CM05836:1A:24919618:24921489:1 gene:Dexi1A01G0017610 transcript:Dexi1A01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATSPAARALVGCWLPLLLIIFLYGCCSCGAVERERTLAMIKPDGLSGNYTERIKAAILDSGFHIVKETEVQLDAERASLFYAEHSLRSFFESLIKYITSGPVLAMVLERPDAIAQWRTLIGPTDARKAKISHPNRLNTKLSRSLSNFTNGIPLNSSIRAMCGLDSEKNCVHGSDSLESAAREIAFFFGDSDSG >Dexi7A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:7A:19152079:19153452:1 gene:Dexi7A01G0007940 transcript:Dexi7A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLARLLLLVTVVAAAAARCAVSATTAARHPKGLRVHLTHVDAHGNYSRLQLLQRAARRSHHRMSRLVARATGVHVASSSSSKAVAASSGGGGDLQVPVHAGNGEFLMDLAIGTPSLSYSAIVDTGSDLVWTQCKPCNECFKQSTPVFDPSSSSTYAAVPCSSTLCSDLPTSSCTTSASKCGYTYTYGDASSTQGTLATETFTLAKSKLPGVAFGCGDTNEGDGFTQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDDTGKSPLLLGSVAEISSSAATSPVQSTPLVKNPSQPSFYYVTLTGLTVGSQHITLPTSAFAIQDDGTGGVIVDSGTSITYLEVQGYRALKKAFVAQMSLPVVDGSEIGLDLCFQAPSKGVDQVQVPKLVLHFDGGADLDLPAENYMILDSASGALCMTVMASRGLSIIGNFQQQNFQFVYDVAGDTLSFAPVQCAKL >Dexi6A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:6A:766165:769867:-1 gene:Dexi6A01G0000920 transcript:Dexi6A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQEDVSSDTRCKHFNFATVEMSKFLKRFKSLKNDPECKDCKHEATVRQLTPDEPDSCFMMCSGCSQCFCTISVTNEDGSMGNARSHANSACHPVALWIDQPDAAYCFQCDSSLDLKVITLMACSHEFGYAVRGISNRWNTCYVNALVQCLLALDELWMLMLGPHAPAGSLGVALKGLFLESRSGNNAGVTFNPWKLLKSLEALNQRYGALSQKEEQRVDSIPSIKERLEYCFRKEVVIKYCEICSDEKPTNNRNKDGGQMVGIIRESTSVDRDQTVCDNQEEKSDLLSAHDNQDISMLNQDRRKHLELESSAHQVGEAQNKQKDMGGPTDKTFFLSKLPSVLTLHVLRFKENDKRMGRVKFEENLDVGEYMDPRSEDKGNARYRLVGVIEHIGSSIEEGYHVAYVRGNRIVSEQKPTSSSSSWFYANDDDIREVSLDEVLMCEAFLLFYERIDRRLRQ >Dexi6B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:6B:24083994:24084260:-1 gene:Dexi6B01G0016800 transcript:Dexi6B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAAHSSVNESKAVEEWSGGEVVLQQQQQWSRGVGGWCVEGWPRREEETGGRRSGCLGGARGTSPLSSGCGRPRGGRQRPPRPFNS >Dexi3B01G0037530.1:cds pep primary_assembly:Fonio_CM05836:3B:40308225:40312718:-1 gene:Dexi3B01G0037530 transcript:Dexi3B01G0037530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASAAAALARHHHVHLLPAPPPSAPSPVCCVLRRRRRSEGWWCGVAGEMRTRRRSGFRAPRAGMDMDLASGAVEVINDLGFDTLTFLGVTVLVVPAFRVVRASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARFAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFNSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVSQSVWPILLAESLKALGGLGLLSLGGKYLMRRVFEFVAESRSSEAFVALCLLTVAGTSLITQQLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRIAGIIDESSEEKDKPDEMVNYGATEPIVIVGFGEMGQVLAKFLSAPLSFGLDQDAEGWPYVAFDLNPAVVKSARKSGYPVLYGDGSRPAVLQSAGITFPKAIMVMYTGKERTIEAVNRLRQAFTAVPIYARAQDLSHLLDLKKAGATDVVLENAETSLQLGSILLRGLGVMSDDVSFLSTLVRNSMEVQAQEALKDIKEVDIMKPLQVRVTDLVDSNGNSSRIIAQEQSLSLSSRPDLKAIKPPVGTRIPGMKVEKDRPGYDFDGRDSTDGVTQCLLESDEKNDESSSASKEMIDQSA >Dexi3B01G0021090.1:cds pep primary_assembly:Fonio_CM05836:3B:15994628:15995427:1 gene:Dexi3B01G0021090 transcript:Dexi3B01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRPLGGAIFLSTASSSRWSPPNSDPGRAVAAGQPTAAYGSGSRPRTHSSVSSWMSYSTALRRPRQRGLPHLRARCAAASHASTAAPAATPAASGTHGAAGWRAATARVGRGERREERERVDDGQRGMVAEGGEEPAPAPRPRGGGGGSAAAVVLIEGAEELDEAAAVRHGEAGPGRWLRHGNGRVGAETGGNFGIGISRVGCVGRWAVARSGPVLCVSAVPRVGGGRVRVVPFLFGGRFVLSRSRASPASRL >DexiUA01G0013330.1:cds pep primary_assembly:Fonio_CM05836:UA:27729502:27733279:-1 gene:DexiUA01G0013330 transcript:DexiUA01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVIVDISSTAFVSPLPLINYVKETLNKTGEFTSITDMDYYKLKRILKGLRIEVTHRGDARRQYRIATLTQRPCSALDFESSSGVRKTITEYFRETYRLEIQFGFLPCLQVGTDQKPNYLPMEVCKIVPGQQYRKKLEGQQVVERNDYNSSLRANEFGIEVDYHPTSIQARVLPAPTLKYYGTGSESLCCPKDGQWNMIKKKVVDGAKVGNWACINFCRNLAKPAVDKFCSDLVK >DexiUA01G0002320.1:cds pep primary_assembly:Fonio_CM05836:UA:5235604:5236657:-1 gene:DexiUA01G0002320 transcript:DexiUA01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSLARSLYRRRIVESEAAAYSSRLPPRARPPARPRIAASANTTSDYEAVGDTESYGPQIWEA >Dexi4A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:4A:10198173:10200879:1 gene:Dexi4A01G0011920 transcript:Dexi4A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDQPAATPGSTKPSGGGSTEFQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTADGLHHAGDPILQFAHHRRYLAFYYCNATAFAASLVVSLLLLVLNGTNTGWEALLRVVMVLDLLGLMGAYAAGSCRDTFTTIYSAVLVCAVFAYIVVAFSTYVVSNKSVLAMVLPTKKEGEEDTERQAGGNHGEDAADNKQEHEEELREVLMLLATFAIAITYGAGLSPPGGFWGDTSDGHNMSDPVLQEHNRSRYQAFFVCNTTAFIASLLIVVLLLDKKLSSNKSVRFVALHGLIVTALFGLMGAYAAGSCREVDDTTYVVCLIGAVLAYIFLQVALTKAVIKKGTKHETPSKWETLKAAMMSLSRSQRLTQPDQQITSSSGNTQHEDAVEKARSLVMLLATLVVSITYQAGLDPPGGLWPADGPDYKNGDPILLTTHPTRYKVFFYSNSAAFVASLIVIIMVQSRFLLKRHTLEAAMILDLFGLISAYAAGSCRDEITSIYVVALAGIVLVYVVIHIVFFTLDHKDNHRDAEKLENRREVLLLLAILAATLTYQAGLTPPGGFWSDNEAGHRAGYPVLSDNYRPRYHAFFYCNAASFMASVALIVLLVNPNLYRPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLTLVVAVFAFVALEVFIFWVYPYLKKILSKSGDKEKGSSGSITEEQATEPRNAATPKTPEAQKEKNMREYLMLLGVLAASVTYQSGLKPPGGLWQDSNGHSSGNPILHDINKGRYYAFFYSNSTSFMASIVVVILLLPWTLHEHQLPLWPMQTAILLDMLGLLAAYAAGSTRDWGTSRNVIYLVIPVLAYIAAYAAVSIYRKRRRSHKSKGKADEHHSSGRQ >Dexi3A01G0029200.1:cds pep primary_assembly:Fonio_CM05836:3A:30629008:30631698:1 gene:Dexi3A01G0029200 transcript:Dexi3A01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHGCILLVAVASLLLASSSWAYASAGTDTDHRGLMQFRSLITDDPYGALASWGGGNASAPCGWRGVTCGARGRRRGRVTALDLRGLGLSGSIAPSSLAGLTYLRRLDLSRNNLTGTIPASLGNLTSLTLLSLTSNKLSGAIPGALGTLQALTGLYINGNMLQGSIPPAVFNLSSLQELVVQFNNLTGTLPPDAGARLPSLWLLSVDSNRLHGTIPVSLCNASKLEVIATMYNPFSGVVPDCLGALNNLWALSLDFNELEANADSHWGFMDSLTNCSNLKVIGLAGNKLGGVLPASIANLSTSMETLGLWGNMISGQIPQEIGNLFNLRIIWMNQNNFTGTIPASLGRLDKLGKLYLYSNRLSGQIPPTIGNLTLLSDLLLENNTLTGPVPSSLGSCRLETLSLDNNRLTGPIPKEVLLVSTLVYASFQRNMLTGSLASEVGHLVNLQLLDVSGNRLTDEIPVSLGDCQVLEYCSLKGNIFEGKIPDSIGQLRGLLGLDLSRNNLSGRIPDFLGTLKSLQELDLSYNSFDGEVPESGIFLNASAFSVEGNTALCGGIVELKLPPCSKDGITTGVGSFGSVYKGTMMINDQEVVVAVKVLNLLQRGASQSFSAECETLRCARHRNLVKILTVCSSIEPGGLDFKALVFDFLPNGNLDQWLHIWKHGTHRGLDLAQRIDIAIDVASALEYLHHYRPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHQDQTSLSDISSGWATRRGTIGYAAPASI >Dexi1B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:1B:10640011:10641283:1 gene:Dexi1B01G0010790 transcript:Dexi1B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVSESAAESASTAALPHPPASAPPSRPKAKHRLEIEGYPVEGVSIGGQETCVIFPTLSLAFDIGRCPQRAVSQEFLFVSHGHLDHIGGLPMYVATRGLFRLRPPTIFVPACLRDHVERLFEVHRAMDQSELKHNLVPLEVGEEYQLRRDLKVRAFRTYHVIPSQGYVIYSVKQKLKQEFIGLPGSEIKQLKLSGVEITNTVSTPEIAFTGDTTSDFILDPDNADVLGAKILVVEVFYYYLLLVGESTFLDDSIPVEHAREYGHTHLFEVFLLCCYLGYSKLCSFNFPYVKC >Dexi9A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:9A:5396655:5398217:1 gene:Dexi9A01G0009110 transcript:Dexi9A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVSTRNDGDAAAAAASVSCTGEIVRRRLRVFFLPSFARGHLIPQTDLACLMAAARPGEVEATMVVSPANASVITATVARAAAAGHAVRVLPCPFPDVGLGEGVECLATAPSHDAWRVYRAMELVQPSQESLLREHRPDAIVADVPFWWATEVAAELGVPRLTFHPVGVFPQLAMNNLFKMRSEIVRASSVAGAEVSMPGLPGKEITIPVSELPSFLVQDDHLSKSWDQIKACQLAGFGVIVNTFVDLEQVYCEEFNRVDARRAYFVGPLAQPSCSTVHRGGEGDADCLSWLSTKPSKSVVYVCFGSWAHFSATQSRELALGLEASNHPFLWVVRSDDGSSSQWAPEGWEQRVAGRGMVVRGWAPQVAVLAHPSVGAFVTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVTTGVAAFGARVWAGGTRGERVAEAEATVPAEAIARAVARFMDGGADRQRMQGRAGELAELARAAVGENGSSWRDIHRLIDDLVQARASSSPQNQEESPVVINRA >Dexi5B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:5B:9235256:9236199:1 gene:Dexi5B01G0013000 transcript:Dexi5B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTAAFFHSALPASSLAPFEFEQQEDNALEAGGAPVKKLCARGHWRPAEDAKLRELVALHGPQNWNLIAEKLHGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLAARRRRRQNSGGATRRRKPSSSSPARPPHIARIHHCHGSSVSSTTRAHSVGESGESTCTSTTDLSRGFNQSYAAPASAAVSEAALPFFDFLGVGAT >Dexi8B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:8B:1427543:1435973:-1 gene:Dexi8B01G0002030 transcript:Dexi8B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLPRITPLPRRRRSSPSPHITAALAASLARVLASRATDPAWPRSLAALLPPPLTDARLAAAVSALADPDLALALLSWSSSSQPHHRGQHHHDNAPAPTPTPTPTPLAHSALLRLLARAGRFDAAEATLRSMSPAATPTRACLGELAASYADAGMDRKAAEMCARARELHGALPDPRHCNRLLRLLVERRLWVDARKLYGEMLDEEGGADNYSTCVMVRGLCLEGRVQDGRKLIEARWGVGCIPHVVFYNVLIDGYCRRGDIGSALLLLGDMDTKGFLPTVVTYGAVINWLGRKGDMEKIGSLLGEMRVRGLSPNVQIYNTVIDALCKWQSASQAMAVLKIMFAGGCDPDVVTFNTLISVFCQEGDVRRALQLLRAAIRRDLEPNQCSYTPLIHRFCIRGEVMVASDLLVEMMGRGHTPDVVTFGALIHGLVVVGRISEALIVREKMADRQVMPDATIYNVLLSGLCKKRMLPAAKNLLAEMLEQNVQPDKFVYTTLIDGFIRSENLSDARKVFEFMEEKGVCPDVVGYNAMIKGCCIQRFSVRRSAFAAPLALRAAGCRADAWCCWLQVLVSAWCLLPLAGRSWESPAASLREGEAARAKPAGSKGTAAAGWPVPSAAPGAPSLLCTKSNPPLRLTPESRDPRSCILHATVAWIISWRRVWYSGAGDNATPPGASAQLSKFFWPVRGHISSIIGQALERGRSSGSVELELERLHVNLSPFVVNRVLRGVSDSETAVRFYWWAESRPGFDHTQFAIAYIVSLLFIDGNFSLLSEFLDRVRSQGVALHRSLYRILISGYVRAGKFGSVIRTFDEMVTSGCREFGVDYNRLIGVLVKNCCFDLVEKYYGMALDKGFCLTQQNRLHDALQMLEKMGMKGTDPDVVTYTTVVDCLCDNKQFAEAVELWEDMVKRGLKPDTIACGVLIFGLCKNDRVNEAFELALRMLSLDLELSVCIYNALISGFWRSGSIGMVKDAEDLMKKMEMSGVNPDRYSYNQMLKGLCKAHQLDKAFAFVADHMEVGGFCDIVSCNILIDAFCKARKVNSALKLFKEMGYKGIQPDVVTYGTLINGLYSIGYHNLAEETFELMLKAQIVPNVNLYNIMLHNLCKVGHLKQAQNIFFQMIQMEVSPDIITFNTLIYWLGKSSRAIEALDLFRDMRGRGVEPDSLTFRYLISGLLEEGKATLSYEVWEYMMENGIILDRDVSDRLINMLKSKNK >Dexi1A01G0031300.1:cds pep primary_assembly:Fonio_CM05836:1A:36324745:36325994:-1 gene:Dexi1A01G0031300 transcript:Dexi1A01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRVDKAKGTLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVCCSRICNDRASSCQERCLQLWGCLAGTFIRKETRKHL >Dexi9B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:9B:1453509:1454924:1 gene:Dexi9B01G0002520 transcript:Dexi9B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPVTVGDLIHRVASSCLSNRLPCNYTIRDSVDSDLDDDEDDPFADAVSSSDKCRRSPSSAEVVEEEGDDDKKLKIWEEGGQEDRKAAAAAKGAERARDAEALMAEVFDAVSGVRRAYAALQGAHCPWDPDKMRAADAAVVAELRHLARLRDRFRRSAAAGHIPRPNPSAPPLREAVAPYEAVLDDLQRQLQSKQAEVDGLKEKLAAATARRNGRHHQPSSKHQSGGAPTVELFTSIAEQARAATRAFAGHLLHLMRAAGVDVTAATRSLTKIPVSSPQLAKHAMEAHVTRSLLGGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPSELLGVLPTCAFGRYAAAKFASLLPPRVEEAILGDGEHRRAVNGGAHPRTPFYGEFLRAAKAVWMLHLLAFALEPPPSHFEAGRGAEFHPEYMESVAGAPPRADMVVGFAMAPGFRLGNGAVVRARVYLVPRGGRP >Dexi5B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:5B:17407052:17418649:1 gene:Dexi5B01G0016770 transcript:Dexi5B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKETKRRRRRRSRDASPSSDPYYSDSPTSASSPSSSPERRSSKRKRSSSSSHRHRHSHKGSGRSRSSRDEDRRRRRRRRQGDERRRRRRDEGGSSGSESEEGEDRAAAAEEAREIVRDILGEFPAVAGELRQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPPKNVPTLDIVGPSLLASSKHADNKNEKSVSPNREELPSSNFDVQNKDKDDTTSEGPKIVGVEESPKRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEELSSLARLWREEGERGVARWHRREEQLAGSRAEGTGVASPGLEMEGSDLAVAAAAASGSGMEGSYPEAAASGMEGSDPAAAAELRDGEEPCGPGFSGEGGFAGGTLPAGIRVEEGAAYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDEKIKKKEEMEQFEIELKAMREAAEWRRLQGISLEGDEELLAGPKEAQAPKRDEWMTTLPPERKAGAVPMHSTTSFSMNGKEGRGDTSAWTDTPLDRAQKAQQSYLEAYNKTKAIAEGDDIKSKNLDASIVDKYNTSKRSVSLVQKHRESKKEKKKQKQHEKEEWEGNHPWKPWDREKDLSAGRQKVALDPENMSQGLSSRFASGAVQRNFL >Dexi8A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:8A:3340387:3343443:-1 gene:Dexi8A01G0004280 transcript:Dexi8A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPAVGIEEAVLFITRYLEDSNSPAIFFGGWPGGLGASALLKSIARHPPQYLVEKFSKIIHIDCSKWKSRRALQRAIVDELELPQWSFLLRGAFPSLEELDLSGTSIRKVDLSSKVVQANGLNKVILTECKKLRALLWSRQLKVLRIDTHERNERPQQPCSDSPLSSQHENYDGYVIASDARIIQSLLHSGDLSCNLITDSVYLHLHNAPPCTSRSKRRRSSRNDIPKPCCYNDATLVEIRSNDEGEILWPPPSDRHVEVSEGISLTDVEGNVGIRAIHNMMLQVQSLRVHDNSLMLAVIPKFVGGWYNPVTSNRTVGGSMQSTFRLGMGSGAFGLRWCRVERCPRLHALFMSHDGSEAKYNFPNLVIIWASHLPMAGCIWSKGFLAHRLTTHPIPQLQSIRIHNCPRLKFVLPSFSRMINLPSLETLHITHCGDLKQVFPWDDIREPRTREWSRQASAAVKEFPKLKHIHLHDLPNLEEICEGRMSAPMLDSIQIRGCWELRRLPAVGHRSNGAAAVVHIERDCWEKLQWDGLHVGHHPSLYEARFSSRYYRKKGLLRGTVLR >Dexi5B01G0025540.1:cds pep primary_assembly:Fonio_CM05836:5B:27565424:27566240:1 gene:Dexi5B01G0025540 transcript:Dexi5B01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESNGQGGTAPAAAGFFRVPGLFVRLSSNSKGAAASNAVDPDSVWSPTSPLDVKSLRSSPPRVGLGLVDALTADGSCSVHLGCRSSFLDSIRPFLELALPKACVKAASSAGVATTAAADEVGEYAESEEYTCVISRGPNPRTTHILAGETREVRGKGEASGDGCSKAIFSIEPFSDLLPSSRAASPTTSSASGRCRCCMKRLPEKMDIFMYLGKAFCSNECRKGYIEEEIEEAEELMILDSALNP >DexiUA01G0004600.1:cds pep primary_assembly:Fonio_CM05836:UA:8701143:8704870:-1 gene:DexiUA01G0004600 transcript:DexiUA01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLAAASHGGAAAQGPPIGVNYGANADNLPSPSAVATFLATQTTINRVKLFDANPTFLDAFAANAPSISIAVSIPNSALPSFADQSTGLDAARGWVRDNLVPHSGANVTLLLAGNEILGPVVVPDLVVALLPAMRRLAQALTLEGLPNVRVTTPHYLGILAPSDGIPSNARFRPGFDNKVLAPMLKFHRDSGSPLMVNAYPYFSYNAQNLNYAIFRPNAGVYDPNTKLNYTSMFDAQMDAIYTAMKKLGYGDVEIAVGEAGWPTQAEASQVGVGPEEAKDFNAGMIRVCSGGKGTPLMPGKSFETYIFSLFDENQKPGPIAERNFGIFNTDFTPKYDLGLLRQGSSGSPNPSPNPSPKPSPNPSPNPSPSGGGKWCVAKAGADATTLQNNINYACGYVDCKPIQSGGGCFDPNNVQSHASFVMNAYYQANGRHDYDCDFKGTGTVTSSDPSYGSCKYVS >Dexi1A01G0026430.1:cds pep primary_assembly:Fonio_CM05836:1A:32355853:32357388:-1 gene:Dexi1A01G0026430 transcript:Dexi1A01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKAAAAGAVGGKAARACDSCLRRRARWYCAADDAFLCQCCDASVHSANPLARRHERLRLRPTSPSKHHHSAAALEASTSTKRHRQQVAPAWSKRKARTRRPQVKSVGQLLSRKLVVVPEVTAVESPSSEQKAEEEEEEEQLLYRVPTFDRALAELCSPPSIDYSTATAPCCREDDVDVNDAVEHKKGVVVAESPVQQLPDSFAGGFGPTDAELREFAADMEALLGQGLDDGNELDESFYMESLGLMTMPPPAEDAERRVVKMEADSIVISPHSEGGGLGGCDDDPAELRRPEESAPEVLDIDFNYCSSPTVIDKDDDEDDCLEQKASASNGVGADAQFFKRSLDLRLDYESIIDSWGSSPWTDGHRPNVQLDDFWPHPHHHSGMWMAGGGRLGGGEGLTPRLGMMGGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAAGAAAAAAAPCAVTT >Dexi5B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:5B:19778563:19780399:-1 gene:Dexi5B01G0017890 transcript:Dexi5B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALLGGYLTAFPPLSLPPAAAASLSSSARLPISLPNRLRRASRLVARRRAAGEGEAARAAAAEGDDEYDAEVHEEGFPRWEGGGGEEEDYDHDPEIGDIMGDYFEDPKKAQTRMEERIRKKRQKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYNALLPKDVTLISDALRSWHIVGRLGGCNSMNMQLSQLPLDCKRLTYDALEGANVTPTSFYNIGDIEIQDNLARV >Dexi3A01G0030110.1:cds pep primary_assembly:Fonio_CM05836:3A:33464378:33472430:1 gene:Dexi3A01G0030110 transcript:Dexi3A01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHNTRNKSKRPRPDESSSPSAAVFKKIHSDGNISKSDIRQLYMVWKPHCQGCHGNTKDSPNCFCGLIPTSNGVRKTGLWQKMQEIVRSLGPNPSRDLRDSNETPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEMDVLKKHPVLDQLAQLFVQLHSSKMAFIDSAPFIKALELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTIVQHLFCGSVSHVTSSIDNGPIQCEHGKVPASKVTSMKRLSSVAWQKLLSKYGGGPALSSDDCCMECLKDGAKNAVSADVYRERKASLKTIAEAALAGSCLDGPSYFISRTWLTHWLRRKNADITSDADNGPTSALRCCHGDLLPEHAPGAKRVAVPESLWLFLYQNINEKKADDIMTFPSDCRSCEICNQELSDVASVEGNLRAVKLQQRQNHEKLISGKSLALHPGQKYYLVPSSWLSEWRAYITATGKNISLLPEPQSLEAIVNSLLCEKHSRLLQRPLDLVCKRGSITQKTSNNDGLTMIPEYDWKLFSEEWNATPDKGISAEIAFSKSSQDKLSGSSEAMPIMDGDLDQSLDDANDDLGDREPYVITDPEIVKENQKLHKGSAEIEDDFATLADKSIFPGDVLWVRDSEIYENRDIADEISEQKTDVQQAEEGFRGTLLTSSVSAQLCQDIAFSE >Dexi8B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:8B:3133165:3135069:-1 gene:Dexi8B01G0003740 transcript:Dexi8B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYMEMERDIASVEAAGDGDHLQGSTGGGVTASKGKNALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGIVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNMGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLIATLYVLTLTLPSASAVYWAFGDNLLDHSNAFSLLPRSPFRDAAVILMLIHQFITFGFACTPLYFVWEKLIGVHNTKSLVIRAAARLPIVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPAMAHMATFAPAIARENAVERPPRGVGGWAGMYVANCFVVVWVFVVGFGFGGWASTVNFVRQVDTFGLFTKCYQCPPKH >Dexi5B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:5B:7027368:7037496:1 gene:Dexi5B01G0010090 transcript:Dexi5B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAREDALMGSQLKRPNLTRSDPAAQPQHMPLPGSGSAAAPPPQAGAAPPAQPQQTSAAATKDPKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKSFLDILNMYRKDNKSIQDVYEEVANLFAEHKDLLEEFQHFLPDTSVAPQAVASSRGGLVKREDKSSLVPPANRTLHSDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEKDDKDGEHDSRELEIGPRKRKPFPRKMEDTVGAETHQGGPSENHGIHSISASSYDDKDALKSVYTHEFHFCEKVKEKLEHEAYQEFLKCLHIYSQEIITRIELKNLVNDILQHYPDLMEGFNEFLEHCENIDGFLAGVFNKRPTARAIKIEDKEKDRERDREDRDRDREKEREKERDRLDKGSTFNSKEGASHKPSMFSSKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSIKPESPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFLEPILGVQTRTHGSDDPDLVKAKSRTTKSGLASIGESNAGAGSVAKHGNGDESEQGPSSQARLANGAATDTQNGIHDADRAVRRGEEPSNTGLNGRLHSTVSAADEIPSISAQNMAFTERSTENLAAVRIEQQKANLELTPGLNASRGPHDGVETAGEARPGNGTVTSAEGGETGRSGASLNGTVEGNKGRLNNEDSAAQNTSKVEREEGELSPNGDFEEDHFVTFEDGASKAKEASTSKPFQGRPGEVVPASEAAGENDADADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDDEEEDMDHDDQDTKAESEGEAEGTTETHDVEGGISLSQLLSERLLLSILYERLLSAKTNSFTAEKKWRTSKDTNPPNLYAKFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFSEYLCSMSDKKLSEGVYLGRNKRKHSNNDEPSDSLKAMDGIKVVNGLECKISCRTSKVSYVLDTEDFLFRLRKRRKVMRGGNVPDRPQITKIYAAKVQRFNRFLSKP >Dexi6A01G0003080.1:cds pep primary_assembly:Fonio_CM05836:6A:2802976:2816991:1 gene:Dexi6A01G0003080 transcript:Dexi6A01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNDESATPNHPILWSGRLPSPTPLPLPPLLTTTSARPERSRATPRLAAAYARNGFEIPALSDPGVHPDPCACSPTRPAEEDMAHVSFKNKEVDGGMSRWSEYLNVEEPIPSALASWRNMGVDGPQGSSTSGHKHLQMEPVVQLSKVAEGLLAKMYWLNSILDYPDPNTHTFSDGFWKAGVFPNFPKLCITLSKKFPEHPNKLQLERVDKFALDALNENAEGYMHNLEQWILLLLDLLAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKVPRKMILQVYNILHVMLKGGRDCEFYHRYGRYPLLHTKLFNNVYCLTMHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVMLVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNIAKQVEKMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALSLAQCEVIWYFQHVGVASSKSTRGKTVDIDATDPTIGFLLDGMGKLSCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGESVPAITCDLTDLRKHWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLELQLSPEQAALRLNNTTRSKGVSSLLPPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIIGNFRRRFHSMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQIFETPVGTQGGGSAVEMICNWYIENVVKDASHIGVAFDAIQNCFRSSQPIGGGCLAEAFTDKRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADLCIQAGQAITFRRLLVEAVGVVLEEKVPLIYSLLKGLALQLPDEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEAGAANDNSWILLPYLCAAFMVSNIWSSAVYDVNIGGFSNNLHCLARCVSAVVGGSEYTRVEREQRINSLSNGHTDELQEAELPCRVSAEANIKSAMQIYVKLSAGIVLDSWNDTSRQHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMDQSPRQSPLISLAHASPSAKQNRPETTPRSHTFEPNYYSSSGSQHDDGYDADKRTGQLRSMRRSGPLDFSASRKVKFVEGSSSGSNHGAGPLQRFAVSRSGPLSYK >DexiUA01G0017670.1:cds pep primary_assembly:Fonio_CM05836:UA:37338363:37340155:-1 gene:DexiUA01G0017670 transcript:DexiUA01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding APLLLLRATRRLPLAPLVASSDAVEAGVEWADEEEAAAAEAFDEEVGEAEEEEVLASGDEEEGEYAAVEPPEEAKVYIGNLPYDIDSEGLAQLFDQAGVVEVAEVIYNRETGQSRGFGFVTMSTVEEADKAIEMFNRYDISGRLLNVNRASPRGTRMERPPRQFSSAFRAYVGNLPWQADDSRLVQLFSEHGEVVNATVVYDRETGRSRGFGFVTMASKEELDDAISALDGQEMDGRPLRVNVAAERPQRGF >Dexi3A01G0031810.1:cds pep primary_assembly:Fonio_CM05836:3A:36194178:36197773:1 gene:Dexi3A01G0031810 transcript:Dexi3A01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSEMPPLTDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKETGRISLTMREGDDYVKPKKEAPKAASGGRSATATPRSSPRQTKERQEAKATSESKYAPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVENDEDDLQMLNSELKRDWSRGTNAFALAFRRNKEISAFLDGREKTKVPEVQAADAVAVGIEVAAEAGSDQSQDKGSETSKAELVEADSSVSATETEGKAEFSSSIEAATTSVNEAAPVDEESGEELSSVSEVATDIPAPEPEASSEGIEDSTSVELSSNGVPDGTSVSSVLENEDKSAEPEESSAVEEVPVTASSGSLEPASPAEESEKTGAEVAAAGVEQASTNTAATISPSLVKQLREATGAGMMDCKKALAETEGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISIDDVPEDVVKKETELEVQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWVKQTIATTGENMKVKRFARYNLGEGLEKKNQDFAAEVAAQTAAKPPPSAAPKEDKPAETTESAEKKPTVAVSAALVKQLRDETGAGMMDCKKALAETGGDLQLAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKEIEMQRDDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFVRYTLGEE >Dexi9A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:9A:15120001:15120243:-1 gene:Dexi9A01G0020170 transcript:Dexi9A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAALTWGGAGKSGKPSARLTAPTRCARWDSCWMADGADRLDAADSLRSIASPSTRAPQAAASKAAHN >Dexi2B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:2B:21933064:21939942:-1 gene:Dexi2B01G0013420 transcript:Dexi2B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATGAMAAATTWAPMPVAAAFPGDLRRGAAPAGCRAAGRRLRASPSARRPFVFSPRGVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYMWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIVEFAEKPKGEKLKSMMVDTTILGLDPERAKELPYIASMGIYVFSKDVMLRLLRENFPSANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTGSVIGKDVMLRLLRENFPSANDFGSEVIPGATEIGMRTEDDKKVLSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINFDNVQEAVRETEGYFIKSGIVTVIKDAVIPSGTVI >Dexi5B01G0033850.1:cds pep primary_assembly:Fonio_CM05836:5B:34147614:34150160:1 gene:Dexi5B01G0033850 transcript:Dexi5B01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSNDPFAYNSTAAYLPGLAIPYWLNKGDNAWQMVSATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWAYQMSFGKKLLPFWGKAGHALDQGILLSQAGLPATQHLFHSGDQETAELTPFYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSIWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANVDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAILMGIVSGSVPWFTMMVVHKRSRLLQHVDDTLGVFHTHAVAGFLGGATTGLFAHPSLCTLFLPVTNSMGAFYGSGMQLVKQVVGALFIISWNVVVTSLVCLVVRLVVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQNV >Dexi2A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:2A:9131897:9134636:1 gene:Dexi2A01G0009140 transcript:Dexi2A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLLFLRLPSPTLDAASAPHTDLLLHAIPACSSSSSGGGDAADATRAPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEVLLDEQLASDLLVLAEKYEVKHLKAYCEKFITSKVNNDNAIAHYAFAHRHGAKQLLEASLSELMNNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKDTDCGCRK >Dexi3A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:3A:4551839:4552951:1 gene:Dexi3A01G0006820 transcript:Dexi3A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWLWTRRVWEKWAAKYIAPSGKQVQAALLLNYDPSGPSRLLPVIFNIEFPVTEGILFWMLTTLETPFSLCRAEQEGARLTAVDMQPFLDFVNRGNLQTEFFSIKPNQCMMVLLLQLHKQWWLLISLQCSLTGELTNFSLA >Dexi9B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:9B:8162730:8171426:1 gene:Dexi9B01G0012250 transcript:Dexi9B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRVSARIVAVCGLVAKAASWVLITSLDVTTMGSKGFKSFIAVVLVLSLLPGQLQIQVEDDAKALEFCKLGCASSSSLCDNNIKQAVGNKEASDAVNRCDEACYRFCTKHLRTCSFSYTTIVTCDSHIENMEARTAIKSFITVVLVLGLVLGHQIQVEAKSCCPSMYARNVYNACRVRGNPQSVCAKMSGCKIVQGKCDDPYYNSLHSDSGEIHLYSFFSLDLIL >Dexi7A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:7A:18731287:18733305:-1 gene:Dexi7A01G0007350 transcript:Dexi7A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSRALVLIAGLVVSLLSGGSHVAADGHPDYADALGKAILFFQGQRSGQLPLDQAVTWRSNSGLSDGSAANGDLTVAWQVDLTGGYYDGGDNVKFGFPMAFSTTMLSWSVLEHGGKMKARVHEARAAVRWGADYLLKAATQTPGRLYVGVGDPDADHHCWERPEDMDTPRNVYSVSASAPGSDVAGETAAALAAASMVFKAADRDYSRRLLAAARDVMELAVQSQGKYSDSIGGDIGAYYQSYSGYKDELLWGSAWLLWATKNSSYLGYIYSLGDNDSVDMFSWDNKLAGARVLLSRRVLVNGDTAMDPFRQQAEDFFCRILPGSPSSTTQYTAGGLMHKSGDANLQYVASASFLLATYAKYMAVSKHTFSCQNLPATAKTLRGLAKKQVDYILGANPQGMSYMVNFGARWPQRIHHRASSLPSVASHPEHIGCQEGYQSYYSSGAANPNVHTGAVVGGPDENDAFPDDRGDYARSEPTTYTNAPLVGCLAYLAGVYKSG >Dexi3A01G0024960.1:cds pep primary_assembly:Fonio_CM05836:3A:20576375:20577023:-1 gene:Dexi3A01G0024960 transcript:Dexi3A01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIYILCRHLDNILLTDDGHLFHIDFAFILGRDPKPFPSPMKLCKEMVEAMGGAESQYYTKFKSYCCEAYNILRKSSNLILNLFKLMGGSNIPCISCVESAGLKGIGQLQQKFRLDLDDEDAIHFFQDLINECVSALFPQMVETIHRWAQYWR >Dexi5B01G0021010.1:cds pep primary_assembly:Fonio_CM05836:5B:23248067:23251703:-1 gene:Dexi5B01G0021010 transcript:Dexi5B01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALSTLLVASPWALAGATAAVALLWLVALTLEWAWWTPRRLDRALRAQGLKGTKYRFLVGDVRENARRNREARTKPLPLGCHDITPRVQPLLHDLAKENGVIILLPIVFIHHDPNIWGKDASKFNPERFADGISNAAKHQAAFLPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYTVITMHPQHGAQIRLKKLSL >Dexi9A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:9A:9139627:9149912:-1 gene:Dexi9A01G0013920 transcript:Dexi9A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAEVSGLLSVGMHGTDGGEESYAAEYPKMDQDTSHETTKFNVVMATSAVYTDNISTDACLDEYNMSGIQPMEEIRFGSAQPFELQSKGLVADSEEESLPSSPETSCASDYDMPGYMEQNLQHIYNAYDAVVERGQVVLSPAFIICDETSHLEPHLTFSLDGIKIEYLDLDSLGDKKMITLQWELSNIISISCKWAQSVGSAFITLIAGSEAETQNAGSVTVQFCLDDSQWPQRQQKIWEIGPRYQEIWKDIQLTEDFEDVIYPQGEPDAVSISKRDADLLLPETFVNDTIIDFYIKYLSTRIESTEKRRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTRKINVFEKDFLFIPVNFSLHWSLIVICYPGEVIVIRIFRLKFHVFYTWIHLKEVMLGSRISFKGQFYVSSILSWEVQTDNDGFYLWEEWKERHPDSASDNSDKFLNLRFVSLELPQQDNSFDCGLFLLHYAELFLMDAPRNFNPLKIDEFSDFLSRDWFAPAEASLKRSVVRKLIHELVTGSFQNHPKLACGSEQPDEKHEGSSNAEPGPAGEFLVQRCSDDEPNTVCTVRDGNHETQSSKSICLNDSEEKGLTTSGCMLDAGRVSTDEVNNTQGPEVSAADKDTIVCLLSQDEKNEPLIAESNNQIDMRSFARKEVLKGSEGEVQDIMVSMSSAISETDAQDMDSVMMFDSSKDDDGPNPERITAEGDCDYPHEAMGSVTLGDIDKDYAKCEDSLVDPVMAENAVIEDVKKISTAALGDIDKDYAKCEDSVDPVMAENAVIEDVKEISTADPVTIENTIIENVKDISTTANNVNHGELCASSQLPEGNADYDITDDGCELKTGSDNNGITGVSTSSPDLKEENVDKVVAGDCIRESDINAEYSSDLKVANTGNAMTGGITFSSDMEGNTDQTIAGDCTNGIGVNADGEDADSHKNFATDSAADVALSMDIQCSMVDETVSADTSDDAKRPMPDVTFELVDRPCSPKNEISEKTSLDCKRPAPDGSCEENDIVISGDKCIHKDDGQGNDAKIERHYKRRKVLALEKQQSFSGATPLD >Dexi6B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:6B:13860193:13860408:1 gene:Dexi6B01G0009480 transcript:Dexi6B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPTGDKQAMCREGERWGGEERPVGGGGVEAAAVGGEGGAGVGACDGEAPHDSEASEWDWMES >Dexi3B01G0037090.1:cds pep primary_assembly:Fonio_CM05836:3B:39934941:39935569:-1 gene:Dexi3B01G0037090 transcript:Dexi3B01G0037090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARSRVQALMPDEHEAIATTPPPQPSAAGDKRILFPTQSLQRQRSRHLERDRGNAERSRKPIGVAERGGPRIPGRTDKT >Dexi6B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:6B:24856989:24857999:-1 gene:Dexi6B01G0017750 transcript:Dexi6B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISNSTASLEFKLDFSGTKNIPVGEAVYSNNFSAGGHVWRIACYPRGIRKAFQDYLSIYLELTSKSRHAVKAICDLFVMETDGSPSSSHADRFVQSYQNKPDGGRRGRYKWGNRQLAKRGDLESPRHVAADGRVTIMCVVIVVVRDGHGDPLAVPPSDIGAHLGRLLDYCAAEDDTSDVSFVVGGETFAAHRAVLAARSPVLKAQLFGPMADAKMPSITLHEIAPVTFKAMLRFVYTDSLPCADGGLVEDLLAVADRYALDRLKLWCARKIWDNVSVDTVAATLDCAETYNCPELKMKCVAFLVVNFKKAVLTDGFVRLAQKFPSILAELREKV >Dexi3A01G0022030.1:cds pep primary_assembly:Fonio_CM05836:3A:17589595:17593512:-1 gene:Dexi3A01G0022030 transcript:Dexi3A01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYFAVTFNEKVTRTSCSSRSSKLKEINSYLWATSMGVCPVLFCS >Dexi9A01G0023480.1:cds pep primary_assembly:Fonio_CM05836:9A:18862531:18863268:1 gene:Dexi9A01G0023480 transcript:Dexi9A01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLIDGQHVCSTQRYTQKDLKPPIPLLVGAVVVQRSLTREHLPPALHTRDAPSGPTAQPGACILQYNREQRRPRPGLGDPGGHVKLFLHGRMEIHVTAPRPAVRDISLPSVRRWGQVSFTAATGGHMHAVDTLANGEDGDERRGSSLGEELLYPLLVQELLALASNQLHAVLIRYAS >Dexi5A01G0025990.1:cds pep primary_assembly:Fonio_CM05836:5A:29692286:29695694:1 gene:Dexi5A01G0025990 transcript:Dexi5A01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVRLLPRLLLLPSTHTSTPLRPSRLPRRLSFSARFSALSHLAVPQDVDQSDNEGAPHGDVQVKLPLDRLFVPPGATVDVRDQEAVSARVLKGSNIVLGPYARGDAHVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGRRPEENVETFQGLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >Dexi5B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:5B:12027258:12030593:-1 gene:Dexi5B01G0015050 transcript:Dexi5B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAALEAKGEESCPLGRMSWTISTWWCEVSWVTKFCHGRRPALVRRKLSSLLRRCVPRRRTLGVASERRRGAGIGMRRCSLVEVVNSICILSVTGRVASCDGTIHIWNGQTGKLIAAHAESSTTFPLQTSSIEQANMLNQDALSGGILSNAFRGSLYTSMHYMESEGILVAGMGNGSMSSDRLTKGTPMASSWIAAGLSSGYCRLLDKRSGNIIAVWRAHDGHITKLAAPDDHLIVSSSLDKTLRVWDLRGYYSVNVSFLPSSLNLAAQSNIFRSHSDGISNFSVWGQDVISISRNKIALTSLSRPASDMGDQQLALQNLYSADRGMKHKNLSVLSTIAVLPLSRLFVVGTEDGFLKICH >Dexi5B01G0025350.1:cds pep primary_assembly:Fonio_CM05836:5B:27401877:27405214:-1 gene:Dexi5B01G0025350 transcript:Dexi5B01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNKSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCASKGNIPYFETSAKEDYNVDDAFLSVAKLALEHERDQDM >DexiUA01G0021050.2:cds pep primary_assembly:Fonio_CM05836:UA:43345516:43346466:-1 gene:DexiUA01G0021050 transcript:DexiUA01G0021050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASLMDGLFPGAMAQHAAPSLRQLATASSLPSTSPRSAGSTSLSSCALSSAAHWHSSTLVSARPATSSSTSTPKAYTSHFALAFVCAAVSAPASGLAGGRSGRLFAERLLPNRPAGAEDEDEGLIPASVARTSRWTPRSASIGSMSASSRTLLGFTFPCTHFFSCRYARPLATPRAMRSRALHPRLIGDDPGFPAHPSVIMVKPRNRRMLPWRSLATARICASSSANLRTAASSLPILRTASAAPSASTAL >DexiUA01G0021050.1:cds pep primary_assembly:Fonio_CM05836:UA:43344089:43345512:-1 gene:DexiUA01G0021050 transcript:DexiUA01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAAPRMYAEALSSVSSSRSAPPSTVTSTAPPFFLLAAASTPCPSLLFLLSVTVTPPFPAAAKEADVSVISSAVSSLSSPAAFLRRQQDRLRPRVPSGESSGSGFLNTTPAILCFATSCRCLFFLTWYTYRTTTKSTAMPATSPAAMATMVASRILPLRCSPDAGDAPAAASSPGSASVGSLGIAAMAGGDLAVPLGGSTAEEDVKPQRLSSGFPQSSAFPSNAAALCVENGGTAGMAPVRLLKEKSMVTLAGRFVAISGGMAPEMRLDDRLTYRSAAPPKSGGSVPLRRLALTSSIWSAGKKTPPGSSPEKKLLARETAVRFGSKVARDGAGERVAGEVERAEAAELADLLREAAGDAVAGEGEHPELRRAEELGWDGAVERVPGEVEVAEVLDGAELLGHGADELRVGEDDADDARVRRAEDAVPRAGRGVGVAPAGEGAERVGGERELEGEEQDAVLVQRRGEAEQF >Dexi3B01G0037620.1:cds pep primary_assembly:Fonio_CM05836:3B:40388946:40392246:1 gene:Dexi3B01G0037620 transcript:Dexi3B01G0037620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLLVGTLLNTSHTTHAAPISSPPASQITMDEHALLSFRALITSDPHRVLTSSTVGNGSNANMTGFCSWRGVGCHSRRHPGRVTSLELWSSNLTGTISPFLSNLTFLSTLNISHNSLSGNIPWELGLLPRLLYLDLRHNFLQGMIPGSLARASKLRMLQLEYNGLVGDIPANLSNLQELEVLDVGSNQLSGAIPPSLGSLSKLTYLGLYLNNLSGGVPASLGNLSLLVDLFADTNKLSGQIPDSLGRLAKLKSLDLAYNQLSDTIPASLFNISSVDTFELSGNSALSGVLPIDIGATLPNLQNMILNGCQLNGRIPRSIGNASRLRYIQLDDNELEGTVPLEVGSLKDLEVLTLGNNKLEDKWGSDWELMQSLSNCSKLFSLSLDSNNFQGVLPPSIVNLSNTMQNLHLAHNKFHGAISSDIWKLSNLAVLSLRGNFLSGSMPPRIGDLYMLGALDLSQNNISGEIPSTLGNLTGLSMLYLFQNDLQGTIPTSLGNLQNIASLVLSFNQLNGTIPVEVIRLSSLTSYLGLSYNFLSGPIPSEVGRLTNLVLLDLSVNKLSGEIPPTLGKCVELVQLQLNDNLLQGVIPKSLSGLQGIQELNFAGNNLYGSVPDFFGDWPNLAYLNLSNNNFEGPVPVNGVFSNATGFFIGGNNVCGGIPSLQLPQCPAKESGVEKKRPGRVVIIGIVAGAVSLLLIILISGLLLFIMKWRRRVPNVPFMEDQHWQISFEEIQKATNQFSRSNLIGMGSFGSVYRGILSPGAQQVAIKVIDLQQHGAENSFLAECRALRSIRHRNLVKVITACSSVDHQGNDFKALIYEFMPNGDLDKWLHQSAATQDDAPKTRRRLTLSQRVSIALDVAEALEYLHHHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLARFVRKVVSNSTEESSTSIGIKGTIGYIAPEYGMDGNVSIQGDVYSYGVLLLQLFTGKRPTDGSFQGGQSLQGYVAACYPDRIMEIVDPTLLSVDNGCFSKGDICCNDIDAEKMQECMVPIFRVGLQCSQESSRARMHIRNAIRELEAVKDVLLMNDRDWL >Dexi5A01G0012650.1:cds pep primary_assembly:Fonio_CM05836:5A:9522484:9523649:-1 gene:Dexi5A01G0012650 transcript:Dexi5A01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFGAAPPPPAAALAPFHGEQRHHEVSDEVYDDVEDSGAGHGHGGAGGQGKLCARGHWRPAEDAKLKELVAQFGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHREQSGSLRRRKPSSSALSSSPGPAPPPPRHYAAPLVVLHHHHYAAGSQPPPFHAGGGAHGVVVPTEAAAADTCAYSGGESDESASTCTTDLSLGSAGAALPSFYQSTHNGYDVPLHAAAPAPSSAFAPSARSAFSAPPSAAARHREAGANDKVAALPFFDFLGVGAT >Dexi6B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:6B:20323323:20323795:-1 gene:Dexi6B01G0012610 transcript:Dexi6B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSRDDRGSLSACTARLSSDSNVLTRTPCVPMLRVRISARALHMASSGCSSKSLTRCDAGMGGTPTSSRGVSPSSFNPVSLPATIAGIWIRAARTDAPEETNRAADVYGLDVELVDDERVEAGAGDDGAEEAFKRLVVAAQEVDDEEER >Dexi4A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:4A:18278953:18287123:-1 gene:Dexi4A01G0015370 transcript:Dexi4A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLILHWSLAKKAGEWKAPPSNIVPSGSKLLDMACETEFTKSELDGLHYQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDAGLLGIVGLFVWIRFMATRQLTWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWDTLNKNGITKERLLSYDRAIHSEPNFRSEQKEGLLNDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLQFVLDHVEDKSTEPLLEGLLEARVELRPLLLDSPERGKDLIFLDIALDSTFRTAIERSYEELNDAPPEKVMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKKKDDQWALYAKAYLDRIRLALASKGEQYHNMMQPSAEYLGSLLGVDQWAVNIFTEEIIRGGSAATLSALLNRFDPILRNVANLGSWQVISPIEVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNSKVLFATCFDHTILSELEGYDQKLLVFKPTSADITYRYLNLFLNIMADESREITESELQQSISPNVEAGHALPSISLVKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKVPSWVGVPTSVAMPFGTFEKVLSDGLNKEVAQNIENLKSRLAQEDFTALGEIRKAVLNLAPPMQLVKELKEKMLGSGMPWPGDEGNQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDNLDSPKLLGYPSKPIGLFVRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLIVDHGFRNSILSSIARAGHAIEELYGSPQDVEGVVKDGKIYVVQTRPQM >Dexi3A01G0032500.1:cds pep primary_assembly:Fonio_CM05836:3A:37367190:37368881:-1 gene:Dexi3A01G0032500 transcript:Dexi3A01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSRSSDGDDHHRGESPEEEQQLELRRGPWTVDEDLTLVNYIADHGEGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHTRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHLADADDHRRRLLHAHHHHHTSDFFSSATAAAAAGIVVTMPTTSSSDSLATAESYDDGTAGIYPSFHAAGEEMLVGGGAAAGEWAAPKTSQERTMWPSAAAVAEQSTAVIQAAGGGGQFEDPELSGWVQGFSEGITENFWALEDIWKMQ >Dexi1B01G0025640.1:cds pep primary_assembly:Fonio_CM05836:1B:30610405:30612434:-1 gene:Dexi1B01G0025640 transcript:Dexi1B01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEAAATESPRAASVDPLKPRQNSSPCRPPPLPSSLVLVVLVLCFHGRGLHATDTLTVARPLTGDQKLISERGKFALGFFQPQDQLSSSVRIAAAAIYAVEHHTAQQILASDRSTRLVPLHHSQRNMHPVVLLACFDSEGAVLSHGSWSAPPR >Dexi2B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:2B:7951247:7952614:-1 gene:Dexi2B01G0007760 transcript:Dexi2B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLRTPVPVPCTAMERCSHAKPFCAAAPPHLSRRRPQLSSNATSPAISTSKTRLSALNKPAKPPPPPLLSRPKLPVPNTSTTTDTAGDKDCTKKPPTEAASAPPSSSCAGDVLRLMDALGLPPDEEVYISLLRDCADAAEVSAVHAHVACRCGPDGGLPPPLANRVLLSYASCGDIGAARRVFDEMPARNGMAWATMVSAYSDRCFHHEAMRLFVLMMWHEVRDLTDDGFVHAVVAVLRSCIRVRELRLGKQVQALVVKKGRVWGDIGSSLVQLYCESGRLHSSARQVLAMMMRHHCQEPVPEAAWTSLITACHRNGLLNEAIDIFRDMASAGVPRGSFSLSSILAVFAESENHRGCCGQQVHADAVKRGVDTDQFVGSGLVHMYAKQGCLSDAARAFQGIGGKPDAVCWSTMAMAYARGGRYREAARVMHQMRAAGMNPSEAMTDGVRLACFR >Dexi1B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:1B:23113001:23115433:1 gene:Dexi1B01G0016590 transcript:Dexi1B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHPWPGLPTAAGGWTVRGACCNGAGHTHVEAAMAADRQGSRRHKYLGYSFLSLSTGTVVVNSLSNASVGTFISNAAAGSSLSVEAQKIEERTLRQYTLRPLTPPAIKSSVSSYYISFGYD >Dexi7B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:7B:19073160:19074872:1 gene:Dexi7B01G0012270 transcript:Dexi7B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAEQFVHDTISTAPRAWPLLLLFPLLLYCATTLFGANRARGHHHYHHPDGDDGHRLPPSPAALPVLGHLHLVGSLPHVSLRGLATKHGPDLMLLRLGSMPVLVASSPRAAEAVLRTHDHVFASRPHSLVGEVVLYGPSDAAFAPHGDYWRRARRLIATHLLSARKVQSFRRAREGEVAMAMAPIADAAAGEAAVDVGELLSSFTNDLACRAVMGASFRGEGRNKLFRQLVCDTTPLLAGFNAEELFPFLAHFGVIGKVVRARCERLRRRWDELLDRLIDDHESNENEAAAVASDDPKEDDDFIRVLLSVRQDYGLTRYQMKGLLFDVFAGGIDSISSTLEFTMAALMRNPRVMNKLQAEVRSKTPKGQETVTEDNLKSMPYLRAVTAESLRVYNVTPLMAPHMSMASCSIDGYEVPAGVRVLINAWAIARDTRYWGEDAEEFVPERFMDGGGAAHVSFKGSDFQFLTFGSGRRMCPGMNYAVAAVKLMLANMVHRFDWDLPPGKKGSDIDMSGVFGLVVRRKEKLMLVPKLCVH >Dexi5B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:5B:3252172:3254110:-1 gene:Dexi5B01G0004780 transcript:Dexi5B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDLTLDYKPNGNGAGAYSVLPKHQQQEPLVDGHHLTTTEQTTQKLRDFLARLEEERLKIDAFKRELPLCMHLLNHGPQQLEAYQMGSLQGTPARPLVLEEFIPLKNIGIDVAGGADKMGNASSEKASWMESAQLWNGPGAAASAADTAAKGPQTPKESSEHPLPIDTTLGAGAAAAAAGQRNGGGGAFLPFAAKEKTAEGAASAALPELALAPAEKEVAEGERKPYLEANGGLGARRDVQNGVKPAPDGQAAAAAAPQTHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPTPTAPATAAPQLVVLGGIWVPPEYATQAAGQAIYGAHPATQPHYTAAVAAAAAQEYYHQSPTAVHHLQHHHPAAAAMVHRAAAPLPQTPLQQQQHAAAYKAAMAGSPPESSEGRVSAGGGSGRERSESIEDEDEGEEREGDDDEEEEEEEETAAAVKTGGEESGGAAAIKY >Dexi3A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:3A:8409784:8411542:-1 gene:Dexi3A01G0011640 transcript:Dexi3A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTPISSNNQPTTEEVTVERTATTQEEEERLRYLEFVQQAAAQALVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHAVPLDLLKFLDRKVGESVEEIDRRVPPVVKEAPTLARSAAKEVRQAGLVGTATGLAKSAIARAEPKARELYTRYEPVAERRAAEAWVALNRLPLVPTVTKAVLPTAAQLSAKYNSAVLDGAKRGNTVATYLPLVPTERIARVFGEPMANTTPVPEMQPIPSQ >Dexi3B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:3B:12855024:12859045:1 gene:Dexi3B01G0017490 transcript:Dexi3B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAGEAAPRLLLVLLAAFLGAPPRGAGALRSLGVAGAGAAGGAKGDAAVDLDAGNFTAFLHAAPESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGSIVMARVDCASKVNLDLCNKFSVDHYPFLVWGPPAKFDSPQWKPKQENSELELIDDGRTAERLLKWINKKMGSSFSLDDKRYENESALPKNASDPEQIVRAIYDVEEATAHALQIIMEHKMIKPETRDSLVRFLQILVAHHPSKRCRRGSADLLINFDDHWHTNLSLSSQDSSTLLTSVAGDNICGKGVPHGYWMFCRGSKKETRGFSVSAPFKSARDLTLWLWRAHNKVNERLMKEEKDLDTADPSFPKVIWPPKQLCPSCYRSSSRAADGTMQVEWDEDEVFRFLVDYYGKKLVSSYRETYMDFHLQVTKQASTSDDSSSSSAATVPIGAALGVAVASCTFGALACFWRTQQKNRKYYHLRSLKKI >Dexi2B01G0028980.1:cds pep primary_assembly:Fonio_CM05836:2B:37484928:37485275:-1 gene:Dexi2B01G0028980 transcript:Dexi2B01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDIRARSPSPSRRRAHGRRRNPTPGQARRAPASPRAVARTAARTPLRRPRPGHHADEPSSRGEAAIAMLDGRSVAGGTGSGFGGIGSGLAGLTSRHRPVATPATLAAAPTKG >Dexi6A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:6A:423450:431349:-1 gene:Dexi6A01G0000660 transcript:Dexi6A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWSFSEEAAANRSRPVGRRLYWGPSLRSLNAGGSHVCGLSDDHDPACWEWPDLDLPKGLDFSKIALGHDFLCGIVSNDHTNMSCYGGLTSPSLAPSPANFTTLAAGHRHACAVDTEGGFGCWGDDAPEVKADELPATMSAMALGNGTTCILDGGGIAHCWGGAPVPEKYTSTAFLSIEADGDAVCGIIKSNYSVVCWGKSDHYLSGGHLVYNNTMPGACVPASSCGDCDIIFGSGELCGNGGGEGAVELAVCQPCKLPLNASRILISNGLASPSPGSDEGARRKKKKIMMVALSVAGGAAAALAGMGLFVVALRRKREKRKKTKTLQLGESSSRRLCRDVEAMVIPAPQDISPLRHGRPLGCEEFTLRDLSRVTNGFDEAKKIGSGSFGSVYRAKLPDGREVAIKRAERSASASGDRRRRRRRFDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGVVSSSGGYSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDVKPSNILLDGEWTAKVSDFGLSLVSGGAAAAAASSSATAGTVGYIDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRTSGQEDGGSGSPRNVIEFAVPAVETGEIGKILDGRVPPPRGHEVEAVARVAKIASECVRPRGRARPVMSEVVAELEWAVTLCEESVLVSGAGGGGRSSDRHGGGGGSDLSRSRSRSDN >Dexi5B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:5B:1285071:1288619:-1 gene:Dexi5B01G0002010 transcript:Dexi5B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACWRLACCFVAALLLAAAAAEAKEYAAIFNFGDSLVDAGNLVVDGIPDYLATGRLPYGMTYFGYPTGRCSDGRLVVDFIAQELGLPLLPPSKAKNATFHRGANFAITGATSLDTPFFVARGLGKTVWNSGSLHTQIKWFQDMKPKLCSSPQECRELFRRSLFIVGEFGGNDYNSPLFAFRPLSEAHDFIPHVVDSIAEGVEKLIAEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGAQSGCIRDLNTLSWVHNAALQRKIVDLRAKHPDVRIIYADYYTPAIQFVLHAEKYGFLKQTPRACCGAPGVGEYNFNLTSKCGEPGAYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILDNKHLG >Dexi9B01G0028470.1:cds pep primary_assembly:Fonio_CM05836:9B:31107856:31116026:1 gene:Dexi9B01G0028470 transcript:Dexi9B01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGAVGEGRPEDRAGENGGVAEAVEVESAVKRAAAEEEEEEEEGGVDVVVEGGDDAASVKEGEGDEAGGENRGPADGAVEPSAAGTHQSVAGDDQAMVTPNTRVVLDIGRQAAEQWDNPTPRATPRAEIDVEEGISNDGNQERVGSSAEPAVVIEELDGLSTSDDENTATSAPPAQSASGSSIPAQSSSAASGRSNGPSLPSRPAGLGSSASRSQPSARPVQQVRANGPVALDRGSQPATESAADDGDDEIHEKLQMIRVKFLRLARRFGQTPHNMVVSQVLYRLGLAEQLRRTNAHGSFSSDRAREMAERLEAAGNEPLDFLCTILVLGKTGVGKSATINSIFDDTRLDTSAFDSSTRKVQEVVGTVEGIKVKVIDTPGLSCSSLEQHHNQKVLNSVKRLISKNPPDIVLYFDRLDMQSRDNGDVPLLQTITKVFGASVWFNAIVVLTHAGSAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDIRLMNPVSLVENHAACRTNRAGQRVLPNGQVWKPQLLLLCFASKVLAEANILLKLEESSIAKVSRTRVPPLPFLLSSLLQSRAPLKLPEEQYGDDDDLEDDSGDDSDSDDGSDYDDLPPFKRLTKAQLSKLNSAQRKAYLEELDYREKLFYRKQLKEEKMRRKLMKKMAAEASTRTNDFRNSNLEDDSNTPTNVAVPMPDMALPSSFDSDNPSHRYRFLDTPSEWFVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSISGQLTKDKKDCSLQMEVASSVKHAEGKTTSLGLDLQSVGKDMAYTIRGESRFKNFRRNNTAAGISATFLGDSISAGVKIEDKLIVNKQLRVLVSGGAMTGRGDMASGGRLEATLRDKDYPIGRMLSTLALSVVDWHGDLAIGCNIQSQIPAGRASNLVGHANLSNKGSGQVGIRLNSSEHIELALVALVKCEKLLIENDDVAKGITEIISLHGVRKLIIGAAADKHYSRKMKMPKSKTALTVLQKADTSCKIWFVCKEYLIYIRLSIKDNSVNSWDSVPIGSFPSSLQASSTVTEEGFSDSSSFSTPSHDASETLPSVHEERDRQNPASYHEQDTTNLDIDIFDQLEEAITEAEKHQKQAFDKSANHFEDTSLNEAKQRTEVMEALAKANGFIELMKREMDALKQDRDDIIDKLVKMSEQKATLEQRVDEYGGTVRDLEDRLAASKSLIHSQQLEYEKLKHERDNALKDADDLRKEKDKIVSYSSLAWNTEFSLSELQLATQNFSDAVKIGEGGFGRVYRGFLRNTTVAIKMLRSHNLQGQSQFRQEVVVLSRVRHPNLVTLMGSCSEASVEDALDNDELEVLVDPSAGEWPFVQAKKLMLLGLQCAELSRRRRPYRVRDVWCVIEPLVKSASLSAAPQSFGHRFVESHTPSCFLCPISQEVMRNPHIAADGYTYESEVIKGWLHSGRNTSPMTKLPLAHHHLIPNRALHSVIQEHLKQHQKPPS >Dexi9B01G0037570.1:cds pep primary_assembly:Fonio_CM05836:9B:38928360:38928751:-1 gene:Dexi9B01G0037570 transcript:Dexi9B01G0037570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQRGTFIAPGSFNPPALLAPDATFSRRRPGSPALVMLDKVAYIGDQKKNGTFAQAITRTSQAVGVSLWLTDPPAVSHLCFHLSGLKVTDLMDEPLVVCLGKNIAIIRVIYNLGVRPIESVRL >Dexi3A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:3A:2064904:2066356:1 gene:Dexi3A01G0003170 transcript:Dexi3A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLVFVLPIVLLGWAIQAILRPPPTKLCGSPGGPPLTSPRIKLRDGRYLAYREDGVQKDKARFKIITVHAFDSTKDFPLPVSKELVEELGIYLLAFDRAGYGESDPNPARDVKSEALDIEELADQLELGQKFYVLGTSMGGYSVWGCLQLSGAALVVPIINYWWPSFPAELSRQAFKKLVVPEQRTLWLAHHVPSLLYLWMTQRWLPSSAAAMHHPEIFSKHDMEVLQKMMAMPRTIENKSRQQGTYESIHRDLLVAFGSWEFDPMNITNPFSQNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEVPEGGHMFMLVDGWTDRIIKALLVGEEPSDV >Dexi2A01G0030580.1:cds pep primary_assembly:Fonio_CM05836:2A:41476618:41485601:-1 gene:Dexi2A01G0030580 transcript:Dexi2A01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAMTERFKMDPLEIKDGDYQMDPIEIKDDEEHPTDMLVDQPRFLEPLCPEEVNEDTRVYPRVGDEYQVEVPDLLTEEEQAHLRYSWSDEEAQTFLLGLYIFGKNLVQVFKIFNEGTSTFEQFILTLRSTVGAQVLVDAVGIGKGKYDLTGFALDPSRNHGISTRPEIPVGKACSALSSGDIIKFLTGDFRLSKARSNDLFWEAVWPRLLSRGWHSEQPKDSSQVGKHALVFLIPGVKKFSRKKLVKGNHYFDSVSDVLSKVASEPRLLEFGAVGGNHDSGIKNENGWIHDSEHDRNTLPNKKPSYSRPTEAGCSPELMKFTVVDTSLVQGEEPSKVRSLRNLPTDSSHGYMSSPRSEDSGSDSSEDPSDSEDSSQSYEHISTDRSTTSVKYASEERKSKPPTIDKMDSNVIRKAVSSGTLTSINGHISTDQGFSTMNNVCSSTTTILPVEIQKVHATTTSTEISFQFDQRATAESQVFLAPFSKRRRLVSSKTERAGRRNTTTNENYYWKQVDEPLQQDAPGANEAPSSFVWDALPNSSTADAPGANEAPSSFVWGAMPNSSTNISFDMNNKKPYCRQLDNVPPNAETMVYKETCQNRQAIDLNIPQMPSDYELNVSYIVPPSDKNTQTMVRPPCSSGTEAATDPLPDMDASSDVLYEEFSFNSRRHSSRSRPPTARALEALACGFLGTKQKGRETNFPSSSRSSRSVRRPRRSPDTALPFPSDNRGCTSHFPDPPMGVNGWSMSNPQYQMIHSSPSDKSTDKATPDLFVADKSTDKGVNELFGIP >Dexi5B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:5B:2491604:2493104:-1 gene:Dexi5B01G0003650 transcript:Dexi5B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYTEAQFGSFFDLPTGDDLTTWFTHLCDEQAAAAYPAPAFEAAPLVMADPPRKRIAEYQLAPQGETSGVKRQRSPTTSSRENSGGSNDGDHELSSAAAGATSSRGGGRRLWVKERDHEWWDRMSSPATCPDDEFRRAFRMSRATFEAVCEELGAAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAIKAVLMPKSVQWPETPAAAGDVAAQFEAASGIPAVVGAMYTTHIPIIAPKANVAAYYNRRHTERNQKTSYSITVQGVVDAAGAFTDVCIGWPGSMSDADVLDRSALYAQRGSTGRLQGQWVVGI >Dexi5B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:5B:4947286:4951321:-1 gene:Dexi5B01G0007370 transcript:Dexi5B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGGNQNPRTRMHPQPASPTDTPRVGRRSGIPSWREEAVVVPLSMAAAGGDAGEESGARVAGLGTDLGAGRGGGVRGAREEGAASGWRSGAIGTALHHGAEQGKGMEWDY >Dexi5A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:5A:13143158:13146776:-1 gene:Dexi5A01G0015510 transcript:Dexi5A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADYRLPTPSFPPPSPPPPSKRAKAKFAPSSSLDPSTSATPPPNPSPQIIHDAAAAAAATASTSSAPGPSSSSIDSSSSPFPNRRRLPPPPPLQRPIHGPQRVLRAFRFGGPARTQAGGTSWFSQSSPPTPPPQSLGLEQYVELVNSVSHPAPPTPTDAARKAEALPLELVAIEEDGDEKKHQDDDDEVVRGSVFVRRVPLYKELYQASSRKRDAKLKSLEFEVRLAEEGRLGLERLAEVLPRITPKKEEVPEPFVPLTDEDEEIVRAALHGRNSREKLAVHEPSNIVITREILQCLNNQEWLNDEVINLYLDLLKERELRQPSKFLKCHFFNTFFYKKLIAGGYDYKAVRRWTTKRKLGYSLIECDKIFVPIHKEVHWCLAVINIRDKKFQYLDSLGSMDMKVLRVLARYFVDEVKDKSGQQIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMDLIFGQKHMRYFRRRTAKEILNLQAE >Dexi3A01G0030120.1:cds pep primary_assembly:Fonio_CM05836:3A:33482158:33485196:-1 gene:Dexi3A01G0030120 transcript:Dexi3A01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRKDPREKAGAVGQPIYLVKPRPEQAHLPSKASSPPTPTLAASPLLRLCGGVSPAACAAIACADGYAAVHVKRDAPYPISPRSSPASLFPSSALSARKEQRRPTRCASPAALPRVSQAEKDAASALPSTKPSFVFLTEQGIQLFGKHVQLPAVMKIVKANGGSLSNFEVLDFLRSRGAKIDPMGCLGAVAASECKVYEYLLKTPACNQTRDSICEFLKRSEGYKLADADKLNVINWRPSSAADAYAMIEECGRRFNRDERGEACDEDERVQEFLDMVKEVFPSPPPKAVADAEEDAEAEAEAMQE >Dexi1B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:1B:26610211:26611769:-1 gene:Dexi1B01G0020490 transcript:Dexi1B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAWHESLLPSKLTDLGEPRLIRLYTFLVNGFAARLTEAEVQQLASKPGFIRAFPNTIRYLQTTHTPAFLGLQENGADSSYGAGVIIGFLDGDVPTRWKGSCPANFPCNRKLIGAKNMVGRDAPVDVKDGHGTHTVAIAAGSFVNGVSINGVANGTASGMAPWAHVAMYKVCKDGAGCLDDAILDAMDAAWTSSPCPSAGRPPNTTYDHDVIAIGAFSAMEKGVVVVACAGNNGPDASTVENDAPWMPPGKACESGMTILEGGDPVVDVLHEYKAAGVVAVQEKKDGYTFDLIDYYGPQVVQVSYDELERLKNYASRSRPPGGASIVLGGTVLGFTPAPIVASFSGRGPSKRSPAILKPDLMAPGVNILAGVPGEYDPFEFKSGTSMAAPHVSGLVALFKTLHPHWTTAAIRSAFVTTANAMDNTGKPILDEHRAAAASAYATGAGHVNVSQALDPGLVYDINKETYA >Dexi1B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:1B:12122861:12123861:1 gene:Dexi1B01G0011700 transcript:Dexi1B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEELCKPDKRRLIPSANEHFDMTQGLHNENNRAAIKEFIAHYGDVFPGNIDMYDDEDVCKRTMDVTDGKQDARLAAKEVADVEDASDQRASDVSDDGASYEEFADCEDNPCDYVNYWRTLRRSSHRDGSIYCTKGTFRSRWQNDYRIADRDEMVSTSAK >Dexi2A01G0024560.1:cds pep primary_assembly:Fonio_CM05836:2A:36296794:36301983:1 gene:Dexi2A01G0024560 transcript:Dexi2A01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSPASPPPTAAPSAEEMEEYQNWKKNAAVLYDLVISHPLEWPSLTVQWLPFESSTRSHRLIVGTHTSDEAPNNLMVLDAVLPLPPRLAAAAAASGGAVAAPSLSVSRAVPHRGEVNRARCMPQCPLTVATKTCLDEVHVYHLGDGDDTGKSGADVVLRGHGAEGYGLAWSPMKQGWLLSGSYDKKICLWDLASGSGAPVLDAQQVFEAHEDLVEDVAWHLKDENIFGSVGDDCKLMMWDLRTNKPEQSIAAHEKEVNSLSFNPFNEWILATASGDATVKLFDMRKLSRSLHTFDNHEGEVFQVEWNPNLGTVLASSAADKRVMIWDVNRIGDEQSEEDADDGPPELLFVHGGHTAKISELSWNPSEKWVIASVAEDNILQIWEMAESIYCDDYSLQDK >Dexi5B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:5B:10556466:10557492:-1 gene:Dexi5B01G0014180 transcript:Dexi5B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVNHGVPEEVIQDVRRDISEFFKLPLEAKKACTQLPDDIQGYGQGFVFSETQKLDWADMIYLKLRPMESRNMRFWPAQPPSFRNSVDRFSTEVAKVTSCLLRSMAMDMGVEPERLMEKFGGQPQTMKVTYYPPCRQAGKVIGLSPHTDACALTLLLHVNDVQGLQIRRDDGKWHAVEPLEGAFIVNMLSNGKYKSVEHRASVHPDKERISVAMFHQLLPNTTVGPLPELVNGSGARYRSVDYTDFMKQFFSEKHDGGVRHLDHYRI >Dexi7B01G0024600.1:cds pep primary_assembly:Fonio_CM05836:7B:28897353:28900780:1 gene:Dexi7B01G0024600 transcript:Dexi7B01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPVSRRIVLSFLDFLNSGRSDPETLQVAKDCLESIFGVNSSAAAQEIQPGLLLELFTSLEANERDKPRPGSSDSQVEDVFDLDHSGDELFAKFYAALDEINFFTTSPAGAEDPGQLSKATQYFNEALLSMQKSGREKTSLVDLAESFKSRGNEFMRSSQHLKAVELYTCAISLNRKNAIYYCNRSPKRNWPNNESHQKS >Dexi9A01G0045700.1:cds pep primary_assembly:Fonio_CM05836:9A:49225178:49228604:1 gene:Dexi9A01G0045700 transcript:Dexi9A01G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRETTHVAAAGGGLVGEHKSKMAGCLWPCATAEVAGGGPGHSRKGGLFRSKPRTPPEVVQHVKELLAYVLDHKEGCAGGGKRDTKLEHRTQKDVTQVIANLQRQKVDSRLVASEYLESNSDLLDILMSRYDNMDIAVHYSTLLRDCIRHQIAARYVLESRHLRSFFHYIQFPDFNIQSDVFKTFKELMTRHKSTAAEFFSKNYDWFFAEFNSKLILSASNYFIRRQAIQLLRDILLERSNTTVMVRYVSSKEHLIILMNLLRDQSKAIQVEAFHVFKLFVLNKDKPAGITGILHANRNKLLRFLKDFSTVDKEDKKFEADKGKVISEILTLALKS >Dexi5A01G0036430.1:cds pep primary_assembly:Fonio_CM05836:5A:37966734:37968221:1 gene:Dexi5A01G0036430 transcript:Dexi5A01G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPVRWPRVLTPAQLAGAIRRQKNPVEAAHLYSDAPRRYPPSSYRHNDGVRSSLLAAASGSPVLPSLLRRVLPTSPSADSLLAASIPNLSPAAAVSIFRSSLPSSPSPSWSLSFSALLRRLVSQSLLPEAARLFADFAGRPEVSVASGDLTLLISGLCCVRRPDLALQVLDEMPNLCLTPDRDAYRAIVPALCDAGMLDEATHVVYSMLWRVSQRGCDADIVVYRALLVALCAAGRGEQAELVLDKVLRKGLRSPGSRRSLRVPMLAVLSLEDAQEAIDKALAVRGGRTVLSFESMIIELYDEGRFDQVERLFEDMTKKGFKPTLCIYEAKINALCRKGDVDDAVKVLEEELPKNDLVPTVTTYNLLMKGLCDTMQSVRALELLRKMDRQLGCVAQKDTLSILVHGLCSESKFVDALKLMERMLKGHHRPDGIAFNSVIKGLCSDGRTYDALLWLEEMIDHGETPDICVWSSLVSVVCMPQFEALVVGLMENA >Dexi5A01G0037110.1:cds pep primary_assembly:Fonio_CM05836:5A:38453479:38455926:-1 gene:Dexi5A01G0037110 transcript:Dexi5A01G0037110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHRAIEAVLATEESVQEEKVHLVLSMDDGQRLQQALQLLPRHRKVVLVHIHRPAMMIPIPTMGGTVHATILKDNIVKDYREEQRGQAQQALDGYMEICTRAEVQADKLSIENENVAAGLLELIVSHKITTLVIVGIGKSWVNRSRRNLAADLQKGVDPSCNIMFMHKDRLISVSEQDGSGFAFESRWTPYSISSRRISLSCSSNGSPSPRIWDSRSAPSSILWDSRSPPDSLDPSQLDDPSLEITGSIFVDSRLIDILGHEATNIFRELTGQPNFVECSHQLHQAFQSKYSEIASRCQFVGGIDSALGADSENCGEEYWKTIKAWPAAFEHIISVLQLLKQNSFRYNGLTPDKILIAAEQLIERFLNVASAVTEIGDEEWKP >Dexi5B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:5B:22385073:22387766:1 gene:Dexi5B01G0020140 transcript:Dexi5B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCGIIMFDVTSRLSYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEASAKSNYNFEKPFLYLARKIAGDPNVHFVESPALVPPDVTIDLVAQKQHEAELIAAAAQPLPDDDDDLIE >Dexi7B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:7B:18422174:18425096:1 gene:Dexi7B01G0011350 transcript:Dexi7B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPPAAPTPPLLLPESSGEDGGHDSSSRAAAAAAAPKRRAETWVREETLSLIALRREMDAHFNTSKSNKHLWEAISARMRDQGFDRSPTMCTDKWRNLLKEFKKARSHARSSGGGSGAGGSGNAKMAYYKEIDDLLKRRGKGSGSGGCVGSGSGSGAGKSPTSNSKIESYLQFTTDNGFEDANIPFGPVEANGRSILSIDDRLDDDRHPLPLTAADAVATNGVNPWNWRDTSTNGGDNQGTFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRTLDRDMPIGTYTLHLDDGMTIKLCDASRMQTPEAKTFYTEEDFRDFLTRRGWTFLREYGGYRNVDSLDDLRPGVIYQGLRSLED >Dexi6B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:6B:990196:993943:1 gene:Dexi6B01G0001220 transcript:Dexi6B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADGGAESQRQADRLKQEGNALFRKERLSAAIDAYTGAITLCPTVAVYWTNRALCYKKRSEWAKVEEDCRTAIRLDSQSVKGHYMLGLALVNSQRLSEGIKALEKSLELGRGAHPASYMVEEIWQELSKAKYIEWEGLSRERASQLLKLKVTCKEALRNYNSLGNPGADAPEEQLNVLEDVFKKASKADTPVEVPDHLCCKITLDIFRDPVITPSGVTYERAVLLDHLQTVGKFDPVTREALEPHQLVPNLAIKDAVHAFLSEHSWAYKIR >Dexi8B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:8B:19412153:19413682:-1 gene:Dexi8B01G0010850 transcript:Dexi8B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEIRRCLNEGIEFQGELLNFRKDGAPVYNRLRLIPMHGDDGSVTHVIGIQLFSEANIDLSNISYPVYKQHSSHRPSIQDLNSAPHEHAPKMQSSDYCSIFQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNNHLRKMVCQNAWGRDVTVRLEMSTKMLRWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLEAARPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWREIPTSWSPPSRLGHTLSVYGTTKLFMYGGLAKSGSLRLRSSDAYTMDVGEDSPQWRQLATTGFPNVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAQLFLIDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEEE >Dexi5B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:5B:10888005:10889048:-1 gene:Dexi5B01G0014470 transcript:Dexi5B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYVATRPSYPPGLFDFIASKTPRHELAWDVGTGNGQAAASLAKLYKAVVGTDTSAQQLAYATPLPNVRYVHTPPDLPLAGIHDTVAGPSTVDLITVAQAFHWLDLPRFYAQARSVLRPAHGVLAAWCYTEPRVSAAVDAVFWRLYHASWSDKYWAPNRRMVDDEYRSADFPFVPVDGEAHTGPFEFSTERRMDLDDYLMYITSWSAYQTAKDKGVELLDEATVREFEEAWGGDTKEVKTVTYPIFLRIGKVRAE >Dexi2A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:2A:11630881:11638803:-1 gene:Dexi2A01G0010480 transcript:Dexi2A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSTDEDDVNDEDYIPEPEVQSKRKKRHTPAQIQELRAVFEQCNHPDEKTRRALGTKIGLEARQVRFWFQNQRSQMQAKAMVEDGKLVRQEHATLMAENVSLRHAMVAKCCSACGGGTVSAEPSPEKRRLLAENSRLKDEHMRANSILHKVLLEVTPSAGHPTTHPRLSTREGWSSRAALLRLAEASMEQFLMLATKGEPLWVPTPDGEVMSYQAYQKKTLPVHHGVCPNGFFREATREAGIVRATAADIVDILTDTNRWLEMFPSVVASVTAGDVICGGVCNTRDRLIQMMNAELWVQSPRLRNHSISFLRYSRLTSERQWAVMDVSVEGSQCSHKLDLNVDSSEVPAWNTDCRLLPSGCLLEDIGDGCCKITWIVHAEYDETTVPTMFRPLFRSGKALGAHRWLASLQRQCEVLAVLRSIHVPSNHNPYTVSSMAIRGILELAQRMMASFYSAVSGPVTQPSSNIDEWHGGAGTGAERIDVAVRMVTWKKAGTMGGEPAGLVLSASTTVWLPNTPPQRVFEYLCNDQRVKTMMEDGIFVQREHAALMAENVSLRHAILAKCCSACGGGTTPFNPSPEKLRLLAENAMLHDEHMRAINLPVPSVADGWASRASLRRHTEASMEEFIVLATGWEPLWVMTPDGEALSYQVYQRETFPVQQEACPNGFFREATREAGIVRASVTDIVNILTDANRWSEMFPGIVAGANASDVVCGDGVSTRDGLIQLMNAELWVQSPRLRNRRVSFLRYSRMTAPKQWAVMDVSVDGILFLAPQRSQAWRTDCRLLPSGCLVEDMDIGYCKVTWIVHADYDLTMVPNMFKPLFRSGKALGAHRWLASLRRQCEFLAALHSSHVPSSHSTAATVSPMGSNGILELAQRMMASFYSAVSGPVTQPSSNINEWHGDTGTGAERIDVAVRMVTWQKAGSMGGEPAGLVLSASTTVWLPNTPPQLVFEYLCNDQRRGKWDTFANGGAAVLSSIATGHLDGNAVSILRPNTLVPASPSGDYAAGAFDGVGMQLCRAIKNVKAAVTAKLVVPTALM >Dexi3B01G0030120.1:cds pep primary_assembly:Fonio_CM05836:3B:29559611:29559879:1 gene:Dexi3B01G0030120 transcript:Dexi3B01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLCKLATLLGDEYKLLKGIRKEIRTLMDELSSMNSLLQNLSEVSELDVQKKEWRNKAPPSRQWQRWIGP >Dexi4A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:4A:3043925:3044153:1 gene:Dexi4A01G0004250 transcript:Dexi4A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGDAPLHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDRFDHRSALFSSCSVVRNS >Dexi5B01G0027440.1:cds pep primary_assembly:Fonio_CM05836:5B:28982293:28984480:-1 gene:Dexi5B01G0027440 transcript:Dexi5B01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVKLGSLAFRTLSKPIAARLKHNAGIYPKFRGFIIGIAQVAGAAIIYEVQRSARSEARKEDVRKQEIEAIKKREEQLAIEVQLMKQRINEMELRYSKWIRPAFRGFGAAQAAAQPAVTQQPTAA >Dexi6A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:6A:19590860:19593683:1 gene:Dexi6A01G0012840 transcript:Dexi6A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFPRRRHLSSSTSTACLSELASLIAAGRFHRSVDYAKSLLLCSHPPAANVPDLYHALAATPAAAPADGGDYLGDPTPHSFLCDAASALVVASARLRHPDGALRLLSLLASAFGGADAGAPLPSLSSCNLLLESLISLGRYDDTRAAFGVLVAAGARPDTFAWNKAIQASVAVGDLDEAVGMLRRMGRDEGAPPPDAFTHNVVIAGLWRAGKGSDALNMFDEMAERGVLPNSITYNTMIDGHFKDGDLEAGFRLRDQMLRDGPKPNVVTYNVLLTGLCRAGRMDETRALLDEMSSHRLVPDGFTYSILFDGLTRIGDSRIMLSLFGESLKKGIMIGAYTCSILLNGLCKCGQVAKAEQVFEMLIHTGMIPTRVIYNTLINGYCQIRDLQGAFSIFEQMKSRHIRPDHITYNALINGLCKAEMVIEAEDLMMEMEKSEVDPSVETFNTLIDAYGRAGQLEKCLIVLSDMQEKGIKSNVVSFGSVVNAFCKNGKIPEAIAILDDMVHKDVLPNAQVYNSVIDAYIESGATEQAFVLAEKMKSSGVSAKVKVQYISK >Dexi9B01G0032290.1:cds pep primary_assembly:Fonio_CM05836:9B:34536127:34543154:-1 gene:Dexi9B01G0032290 transcript:Dexi9B01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQAVSAPPSAAAPNPVKRKTRPKGKGSKNKAKKKLARSDQDDSVHRRRNKPSAKFLKLLRKRTRDYNSDDDEEEDGQQQGHPPSPRSRRRDDDDDGGDEEEEALSQSDQEEDEEEDGVSTSAVTRFEQGCRAFRVAFLKIMAKKLPDDPLGPILSAHKKLVAAKLAEDAEEHKPKREARKEKRVAAEKGHVIPLYHLDSKEKELIKVATKGVVRLFNAVSKAQKPRKDLNPSRTKDAKVLAKERKNTFLAELEMPSHQDKRSQAPSNSSKRTGKDEDEPAWAPLRDTYMLGSKLKDWDKMKDSAAVDERAEVPLGESSDEE >Dexi6B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:6B:21140388:21148741:1 gene:Dexi6B01G0013440 transcript:Dexi6B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGEHSPPPPPTSQSAAAAGQPQQPLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCSYCFQRWLEEEAAARGDMAAPAQQPSSPSMSAASLGSDKSSFTGTNGQMSSYANVSYTDFASMPVHGEGNCGEDGCYPEKQQPVKEPAPTMEAAAYVDHSSDPFNFCMQRSDDEDDDYAVFHSDLEGQHLQNSDEYYGPMYFDGHRVDCSDDAKEPASAKKDVTSLVDSLGTDKNEDHSVDECCNARSSSMYSMEVLDNEPVDFENNSSLWVPPEPEDEEDDHDGERDDDEGVDATGEWGYQRSSSFGSGHCRGRDKSAEEHKRAMKDIVDGHFRALVSQLLQAEKVPLIDKTGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSRKEKPQILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAQIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILPIPSASSPNSNLQTLDLQTDDLAFISNKKMEQTTSGVHSVEINSQNGHNTFLLDMAPQSSSPLVQHSNISSCHCPECTKDVNRKMDLQDSQPGTTRHALVNDSGVLPSHSTNLLSVKSDSSSAKNSEIGDMVANTHTAPLNVQIAHDDDSVKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCHSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDGEHDGRIWMWHRCLRCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPSKLDFTSQHQEWVEQEAEEVDDSAELLFSEVLNALHKISGGRPITGSFNGNLKILELRRNIGELEEILLAEKADFMVGVIKQSTSTEKGCGKYCDALNGLRIGSGSCDFNEKPVSASAAPKLEKGSKVMEIPSIASEESLQQNSGHPFHGEDEGINQANQSDENSLKNVADLNHATSADVKDQLDNQESRIGVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNGSPTVVSPMQYKKRFRKAMSAYFIVIPEQWMPAIIKPSKSSSNIGEEDSQNALQE >Dexi1B01G0013760.1:cds pep primary_assembly:Fonio_CM05836:1B:19770979:19772224:1 gene:Dexi1B01G0013760 transcript:Dexi1B01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGDFSFISDYSSHVFTCNLLRSGDVRGFQSMARMYTKLAAMPKKN >Dexi9A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:9A:7341955:7342197:1 gene:Dexi9A01G0011720 transcript:Dexi9A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTSSPPRGMPWLPRPQARHGHLHYDLLLELAMDASSSSWTSCCAARRSSAAHHFSLFLPLPFSVLAGCGGFVLDF >Dexi1A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:1A:26017011:26021506:-1 gene:Dexi1A01G0018850 transcript:Dexi1A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFFGVLVSDQGLQSQFTQVQLRTLKTKFVAAKRPDAEHVTIKDLPPVMEKLRGIHEVLSEEEVSRFLSETYPDMNQPIEFEPFLKIQLLANLNLKKTPQLAEIVADDNGKEVEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPEHSSKTMIETSDPKERAKKVLETAEKLDCTRYVTSKDITEGSANLNLAFVAQIFQNRNGLSNNTVAPVEDTPDDVEASREGRAFRLWINSLGIPTYVNNLFEDVRTGWVLLEVLDKISPGSVNWKHASKPPIVMPFRKVENCNQDKRIADGVFFLELLSAVQSRVVDWSMVKKGEDEEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQSPGPQHDALPEEEEGEEEEEEEEDFEGGVEDGVPNLTT >DexiUA01G0003730.1:cds pep primary_assembly:Fonio_CM05836:UA:7622232:7625050:-1 gene:DexiUA01G0003730 transcript:DexiUA01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSYWGKTLPTYIYEGSRPEVAGVRLVGDGEYPALRRWAEEYTSDETVKRFLPDRDELVAFFAANKERYTSRVRASLQQHPSARTGITAAWLGSGHVRRRPRLARLHRRRHALVGTQPRATPSAGRPRPSPWTSDRSSKLHSITVLTELDSVKRAAPRVGGAPRRQHDPVHHPVPVTGDAERNRTALPGDAMRCAATRNAGLEDSRLDVPVNEKYPRSSRAGRWNAAPPARCAAPSFILKQTAARFGSTESSASKAAEAEAISAACKDPAGLGCHPTGSLMPALLAEDVLLRRYGARSMDSTRRPHDLPRFEHAAAAEVRFSSTMPAWFVAMCTLHVNGDLYSQGQHQRRLEGKVGTSARVLNASIASLRHALVGTQPAVTPGLRSGGVVGGRLPTAVLGSITDLTELKPSSVPRRSRQHDPGQGLTGDLSSDALPTGRSPETQNGIGRRSRATPKAGLGSRGWTVNEIPSTPWPVSVVGSATCGQDWPSRGPRALAAGPPRGASSCDSVSPAPPRKHTESSGADGMGSAACKNWRARAVAEDPARPRRRSTRSFRPSLCRPSSSACPPPPIRCPSQGQHGNVRVKCNVVACNCEEVLFPDAGDVGRN >Dexi9B01G0043690.1:cds pep primary_assembly:Fonio_CM05836:9B:43656086:43660058:-1 gene:Dexi9B01G0043690 transcript:Dexi9B01G0043690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPSSAAVIALAAVLLVAAQYASLAATERVGGRMHKHNFGGLNVEIGANWVEGIGGDKVNPIWPMVNATLKLRNFYSDFDSVVANVYKEDGGLYDEDYVQERMDRAYEVQELGANLTKLMHPSGRDDISLLAMQRMFNHQPNGPATPVDMVLDYFIYDYEFGEPPRVTSLQNTVPTPTNADFGEDANFVADQRGFESIIHYVGASYLSTDGNGQISDTRVLLNKVVRNITYDDQGVVVSTEDGSSYGADFVIVSTSLGVLQSDLIHFTPQLPFWKTVAIYRFDMAAYTKIFLKFPRRFWPVGEGKQFFYPGANVLLVTVTDDESRRIEQQPDDVTKAEAVAVLRKMFPDADVPDATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSIDSAHILINRIFKNEEYKVRGKYDDPAADTKNVGQVAEVKPTVAELASPQQST >Dexi4A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:4A:16688489:16690490:-1 gene:Dexi4A01G0014510 transcript:Dexi4A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATILVLLAVATASSWTVGAVRPHKSHDYERVFDRQEADRVDALPGQPSEVGFKQYAGYVTANESHGRALFYWFFEATHDVEKKPLVLWFNGGPGCSSVGYGALEELGPFLKGKPEISLNPNSWNKDANLLFVESPAGVGFSYTNTTKDLSQFGDDLTGHYVPQLATKIMEGNKKSHKKDRINLKGIMIGNAAIDSSSDDRGLADYAWDHAVISDELYNTIKTECTFPDNGEEKAPCNKAWNDFFDAIQDIDIYSLYTPACTDTLANASHSNSSSSSWNKLISNTPLSKIHRGRPYNTYDPCVDYHVVDYLNRGDVQAALHANVTGIPYAWSPCSDALTSWGDSVASTLPDIKALAKAGLRVWVFSGDTDDRVPVTSTRYALRKLGLATVKEWRQWFTSDQVGGYTVVYDGLTLVTIRGAGHMVPMITPVQASQLFAHFVDGSEMPDKPVI >Dexi1B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:1B:25107975:25110888:1 gene:Dexi1B01G0018930 transcript:Dexi1B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRTAAALAPPPSSLLPAREPRARCLSLACSRRAPARPLRARLLQAPHVLGRAAGRLRRVSATEADEAAQTATQEDSETEVTGDSAADDGAESTDETPSIIVTALQSYKEALINNDEEKAAEIEAFLLSIEDEKHSLMNKITVLDAELATQRERILRISADFDNFRKRTENEKLNMMSNVQGELIESFLPVLDNFERAKTQIKVETEGEVKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMLHEAIMREESTEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEKSEGDDDPTAVEDSVAPQKVQDEADGSDDGDAE >Dexi1A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:1A:3065520:3069042:-1 gene:Dexi1A01G0004190 transcript:Dexi1A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGRFVMVAAAVITVAVSLTSASSPSPATSPAHDYRDALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTLLSWGLIEYGDGVVAAGELRHALQAIKWGTDYFIKAHTSPNELWAQVGDGDSDHYCWQRPEDMTTSRRAYKVDAEHPGSEVAAETAAAMAAASIVFLGAGDAHYAHLLLHHAQQLFEFADTYRGRYDESVDVVKNFYPSSSGYNDELLWAALWLHRATGRRDYLEYALTNAEAFGGTGWAVSEFSWDIKYAGLQVLASELLVEAKERRLRLSADELSVVEQLRSKAEYYVCSCMNRNPGGAEHNAGRTPAGLLFIRPWNNLQYASGAALLLTVYSDVLSALGEPLRCGGAAGEEGSAAGLAGDVLAFAKSQADYILGTNPMRTSYLVGYGAAFPRRVHHRAASTASIRHDRDFIGCLQGFDSWYSARRRNPHDLVGAVVGGPNGEDVFTDHRGKYMQTEACTYNTAPMVGVFSKLMMLEGQGQPPPPRRPEAEGEAADEAAPAEDL >Dexi7B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:7B:20449906:20451396:1 gene:Dexi7B01G0014080 transcript:Dexi7B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLPAAGRAAVTEFVATAIFVFAADGSVYGLWKLYKDTRTPGGLVALAITHALALTASVAGTPTRPSRLACSSAAALLLMLVSGGTRHMGLVELCQGIHERHALLLQAVMTCVLTYAVYATAVDHRSHDTIAPLAIGFVLGANILTGSPFDHAAMNPTRVFGLARVCRATDRAGLAGVLYEFIMVKQQPEAPATAGPRMFTAVAEDY >Dexi8A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:8A:25269465:25271601:-1 gene:Dexi8A01G0014730 transcript:Dexi8A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWGLDLGGGWRCPKGLSSQLVAYDEEMVPWLEELAKTASAVFPNVLDQADPQPIVSRLRLPPVGLEQSPHPSYVRWGAVTNEAANSRISDADEAVAWQWNISRLEHQFLGSKSAEMSCDSDYCEALLSSIKKWHYLKFLTIHCGLGCSMEFLGSLSSPPRWLEKFKATTGRFACVPQWFHGLECLSFVQITVCKLEAHDLEILRDLYSLKCLVLGLDFIPKEAIVIKNEGFRALQRFSIECPVPWLTFESRAMPMLKYLQLDFHACPTSPASVPMGISNLCSLAEVALWYNVRYANSSSIKSTVKAVRDEVAECHSATATQMLRLLVNGIEQDDIQAVDEETQGATGPPTGTSAGVEDAVQEADEIMEA >Dexi9A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:9A:5231126:5238124:1 gene:Dexi9A01G0008860 transcript:Dexi9A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKFSPALQASDLNDFIAPSQDCIISLNKKSSSSGRLPIKQKEIVVSTKPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFIARINSGKTVIVSVSPQSRASLAAFFGLSQSQVLRKLTALFKSMGVKAVYDTSSSRDLSLIEACNEFVSRYQENQSSSGQEAGANVPMISSACPGWICYAEKTLGSYILPYISSVKSPQQAIGAAIKHHVVEKLGPKPYDVYHVTVMPCYDKKLEAVRDDFVFSVDGKEVTEVDSVLTTGEVLDLIQSKSIDFKTLEESSLDRLLTNVDEEGNLYGVSGGSGGYAEIVFRHAARAMYKREIEGPVDFRILRNSDFREITLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPVQGQSAKELIQQLESVYTQDVTISNPFDNPITKRLYDEWLGQPGSEKAKKYLHTGYHPVVKSVASQLQNW >Dexi5B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:5B:19702763:19705173:-1 gene:Dexi5B01G0017820 transcript:Dexi5B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDQIQKPTTPPPPPPLWPFASPAPRFALLTAANRVFAFVSLRWAAREDYEQEQEMEVEALQAILMDDIKEIDPSESGIATTARCFQILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPDDLASLKEKLDQEATENLGMAMVYTLVSSAKEWLSEKYGQNGGDEEPEETEEQEEEVIVPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTAPKEKKLTGRQYFESGRHAVKGASTVADEDEEEEEDIEFDDDFEDDEEDMLEHYLAEQSGKSAA >Dexi3B01G0031710.1:cds pep primary_assembly:Fonio_CM05836:3B:33763361:33765222:1 gene:Dexi3B01G0031710 transcript:Dexi3B01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHGIPDDVLELVFLLLSSPAYLIHAASTCKRWHGIIGSARFLSSFGSVNRRHLVAGSFYNGRYIQASLEYARPSFVAPPPPPCHHHGSLLLMAKAEERLDATHWRVDMVVCDPLARRYKTIFPPMVYPTGHYLKSGPFLLDDGDRADGSIHLSNFRVMCVLHDVHRHYRGSMFKLGRGSWHEYAMDGKKLRSFMGRTRAFLYWHDTGGRTMTAMDRSTAELSYWGLPQSAEHRDKQGTDLKVAAGEDGEPRIIAQGGGGVLRVFAMPHGGGEWEAEKTIQLSEVAAGLPGYLPSYFSGGEEVEVWINVTDAAMVTVLVSTSTFWDFLMEPWAFRLDLETLEAELVDIDDGVSDKTGIPIPKEPLNSVFTLLTWTRRPGARLPPPRFACLPHSFSVDVQAVARHHRRRHLGAGSFYKGRYQPTGLLLRMRTGESTSRRSIAGSSRVAGARPECEEAAARQRGPRRAAVGTSSGNHKKDYRTEDAIVEKRRRRC >DexiUA01G0010960.1:cds pep primary_assembly:Fonio_CM05836:UA:21911743:21912364:-1 gene:DexiUA01G0010960 transcript:DexiUA01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVGIPDGYSLTGVCNGFLCFAIDYDQAPVFVCNPVTGETLEVPKAPPLSHPKLGGGVTYVSHRFILGFSPMTKQYKVFRLSFPRYGAWQHNTIHIAVYTLGGSGGGWRQYSYPSQFYPMHSTPPPVHIDGDLFVPVERRVPERTARMLVLDVGAETRCLYSLPYNHHEGYDPSWDMLADGFDLKGQISGS >Dexi1A01G0025290.1:cds pep primary_assembly:Fonio_CM05836:1A:31578132:31581275:1 gene:Dexi1A01G0025290 transcript:Dexi1A01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSVSSPPRAVLFGFSCPRRRQTRRQEMEVVAAAAKPKAKKHIHLFYCSECEELALKIAASSDAIELQSINWRSFDDGFPNLFINKAHDIRGQHVAFLASFSSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPEAENITIAFPDDGAWKRFHKLLQHFPMPGDRAMVARTLEELKIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLASHGAAKVSAYVTHAVFPKQSYERFMTSNSAGPGDQFAYFWITDSCPHTVKAIGQRPPFEVLSLASSIADALQI >Dexi6B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:6B:973311:974562:1 gene:Dexi6B01G0001190 transcript:Dexi6B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQMMKSFVRLLLLVQAATVLAGSGVAALSPDYYAMTCPFVEYTVRSVGCDASVLIDSTDDNKAEKDAGANKSLRGFEVIDRVKEAVESHCPGIVSCADILALVARDAVAMARGPYYFVPLGRRDGTRSVASDTFTTLPPPLGNVTLLAQMFAGVGLDLKDMVALSGGHTLGIAHCANFKARVQNELDTLDATLATSLGSVCSKGGDAGTAPFDRTSTRFDAVYYRELQAKRGLLSSDQVLFEDPATKDMVNAFSMNPYYFFQAFQQGMLKMGQINLKEGDEGEIRLNCRVPNP >Dexi2B01G0031590.1:cds pep primary_assembly:Fonio_CM05836:2B:39684045:39684635:-1 gene:Dexi2B01G0031590 transcript:Dexi2B01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSLLHRGLHLPRPSLRRGHVFTGAAAAVIRARLSSPEPAPQQVRLAITAPHQESVKASVTTSMESNNNVAPREAAADGDGRTCELPTWALIGGITAGVAAALLLSAGAGPAQALGPPEGPLVEEFWDNMRRYALYVVTVSTGVAYTVLQPIVELLKNPVTALLIVAVLAGSGFLVSQVLNAMVGNSDFIYRYE >Dexi3A01G0025020.1:cds pep primary_assembly:Fonio_CM05836:3A:20650603:20651247:-1 gene:Dexi3A01G0025020 transcript:Dexi3A01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYDPKARITAQQALEHRADKGDEHPSGTRHTDGMSGQSSRIPMSIDVGAVFGTRPAPRPTLNSADKSHLKRKLDMDPEFGYAE >Dexi3A01G0036810.1:cds pep primary_assembly:Fonio_CM05836:3A:42327909:42331544:1 gene:Dexi3A01G0036810 transcript:Dexi3A01G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGSSPFPDGELASDGDRQQRRAGPGRRALHCAAAAMADPYGDGRGPSLKNQQPRPGPRLKPAIEVEDLINLLHGSDPVRVELTRLENELQCGDQGSPPVGPRAREGRARCQYPXXXPSLKNQQPRPGPRLKPAIEVEDLINLLHGSDPVRVELTRLENELQYKEKELGDAQAEIKALRLSDRAREKAVQDLTEELAKVDEKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVQVANEWKDGNDKVMPVKQWLDERRILRGEVQQLRDKLAIAERAARSEAQLKEKFQLRLKVLEEGLRMSTSRTNVSATRRQSIGGADGSSKANGFLSKRPSFQMRSSVSTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNGMNISTDSSEDKESNHSDEKCNEFASAESEDSVPGVLYDMLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEAKKSRRELAAMEKELAATRSEKEQDNRAKRFGCSSGSASSSQLPPGSGDDKEGASAGPAGEDGIVEEALLPTSRGDEQLCV >Dexi4B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:4B:3707546:3708311:-1 gene:Dexi4B01G0005270 transcript:Dexi4B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVEKQQATGAGSVLSFLTGSLALSKHVVEATKYFNVTVSFGSVKSLISLPCFMSHAAIPAAVRQERGLTDDLVRISVGIEDVEDLIADLDRALRTGPA >Dexi2A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:2A:6329987:6336948:1 gene:Dexi2A01G0006750 transcript:Dexi2A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGDGWRRSEIVALKYSSQDWAKAFGIGSLVEGEVGAIEEYGIILNFSDHPDVVGLIGHHQLSGNVVEVGSSVKGLVLDLSDGVVNLSLKPELISSVKIVGTKKKRQRATVADLELHEEVNAVVEIVKESYAVISIPEYNHAIGFAPLMDYNSQLLPSHRYDNGQRITVVVANIPSSDPSGRLIVLPKASAQDSKRAKKKSDYKVGSLVEAEIVDIKPLELLLKFGGNLHGRIHITEVLEEESAENPFSQLKIGQMLTARIVAEAEPSGKSGKVFKWELSIRPSMLKGEFDESTARRELDQTNAVVCAYVVKVDKEWVWLTVSRNIMAHLFILDSSCEPSELKEFQQRFSVGQAVKGRVISVNQEKRLLRLKTLDGQCAQHNIDNIQQSESSVVEQTKQGDIIGGRVQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVAEPLSGFHEGQFVKCRVLSVSRSPEGSLRVDLSLRSSNLRTDSSSSKLFDEGATCIPRIEKVKDLLPGTEIKGYVKNVNPKGCFIILSRMVEARITLSNLSDEYVENPQKDFPVGMLVQGRVLSVDQSSGKVEASLRKNTGSKLEKVDDINFSDLHVGDIIDGQVKRVESFGLFVTIRSSELVGLCHVSELSDEPVLDINSSYRAGDMVKAKILKIDEKRRRVSLGMKKSYFDSGSTAGTTDDEDDEIIPMDISIAPPNRTLVHSTAEPRPSVLPLQVSLDESEGSDLEDNSNKGHEIANGAEVTAKKSDKHLKKEAKKQRELEISALEERTLQGDIPRTPDDFEKALKTIIPREEEERLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDHKKLHLALLAMYERTEQYQLADELLDRMTKRFKTSCKIWLCRIQLALKQGKDVEYIKAVVNRALLSLPQRKRIKFLSQTAILEFKCGAPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDIEVIRGLFEKAICLTLPPKKMQFLFKKYLKFEKSLGEDNERIQLVQQKAMAYVESSLPSQDA >Dexi5A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:5A:6941558:6946664:1 gene:Dexi5A01G0009220 transcript:Dexi5A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPRPRRPPTPPAPPPVPSPPQAMAPAGTAGGGGEEPRQEFEIRNDEGFVYKVPAGLYPDATPSSTKAAAGPDPEVAGLRRRRRALLRLRDKRLRQLSRWEALASELLAPLPAARPPAPQSPPASPPPVSTAASDSILDDLLAQADLQAELLKKASALCGEINALCDAHEAALVDDIAALPVWGSSPRELVASFGACPDEQTADPGTSGLDEWNGSFQSLDSQQGKTVIVVSSAVVEKVEVIGDKRIQSPSQCKQCLQFGCTGETCCETHAEQGDELPPSDLTENRRKGPVSPSSSKASNKRKSQKASGNAKPSGTPGPTTTRKVLSTPRAPDTSRSLGPRTRRRAAAQLLSPRSQ >Dexi9A01G0044400.1:cds pep primary_assembly:Fonio_CM05836:9A:48042539:48043186:-1 gene:Dexi9A01G0044400 transcript:Dexi9A01G0044400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNHSARLLAGAALMLLLAATTVAQQGCAPAKFEAGRSFQRCATLPVLGATLYWTYHPANGTADLAFRAPQITGGGWVAWGINTERPSSMVGSSVFLASPSAGGAVSVLMTYLESTSPSLTNGTLKLDVPVAPVAEFAGGAYTIYVTVALPGNKTVQNTVWQAGPLSGGQIAAHPMSGPNLQSTMRLDFLSDGGSTGAANSMAHRRNLRNFRG >Dexi3A01G0036040.1:cds pep primary_assembly:Fonio_CM05836:3A:41442175:41444924:1 gene:Dexi3A01G0036040 transcript:Dexi3A01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAVWAAHHTAGCTRLHIHHPSSSPRVILPLPTRRGGASLLRPRASLAAAAAAAATAAPPVGRTATEEAVYEVVLRQAALVEGAAAGRVAKEEDRRRRQQQQQRPRWAEEKEDEGLVVGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNASYITPTALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASAESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEDDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVGKAKKLLSLPVAYAKAAVAL >Dexi6A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:6A:8464936:8467589:1 gene:Dexi6A01G0008180 transcript:Dexi6A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEVNLSMLRCASLNRIICSVVPRQPRRLRSLRQLTGPLNTTDAETSGPKSMSSSMKNKEPQEEHEATVKLQYPTVPTILPRPPVMEELALVQGLGYEVAVGSIDSPSGKRMYKPCGKHTEGKFPLYGIMFNFIDLRYNNVFATVGGNRIRISDLNSLPSLRSFCRNMATNYLCLDNGSFALLQAYVDEDKDESFYTLSWARDHVDKSPLMVVGGSNGIIRIINCATEKLSKSLAGHGGPINDIRTQALKPSLIISASKDESVRLWNIHTGICILVFSGDGGHRKDVLSVDFYPSEITCFASCGMDKTVKIWSMKGEFPHSLNGYKLLQVLRRKLSFGNPNQMGRVQGR >Dexi3B01G0026000.1:cds pep primary_assembly:Fonio_CM05836:3B:20938195:20941881:1 gene:Dexi3B01G0026000 transcript:Dexi3B01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRAAAGGADPSPVAAPPHPSAPSSAPVDVSSFTHTPCYCEENIYMLCKELIRIGVADPMGTDLYVVFISNEERKVPLWHQKASNSDDGFICWDYHVICIQVKINFTGVMRNKGEVLDLVWDLDSDLPFPCPFSQLFRMVHTPLFLQSFASDRSHMKDPAGNWIQLPPKYDPIVAADGTTNNLKDYIAMSKEDVVDLESMVNDVYSNKHGVVVNETILPRFFSRLPGSHH >Dexi2B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:2B:3671502:3672316:1 gene:Dexi2B01G0004160 transcript:Dexi2B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRFKGSSLKVHGDFVGGKDGEWAIVGGTGEFAYARGVITAKLIENWHPANGRLWELDIRAFCLCVPEVTNMGPWGGDGGLAFDILESPRSLQTVTIRCGDVVNSIAFSYVDQGGQKKTAGPWGGDGNGDALTATIKLAPSETIQQIVGTTGTAGEDTVVTSLSLQH >Dexi2B01G0027780.1:cds pep primary_assembly:Fonio_CM05836:2B:36567030:36567416:1 gene:Dexi2B01G0027780 transcript:Dexi2B01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASILASPLRRSSSSTRAVAAAPASPRPKLGRHLTSCSGSPRASSGGSTSTFACCGTSSCSARTASRGRAWLATAPPELRLLFRPPHLASHTTAASAERAGGNSPILLDPYMDGEIRVQGERDGDGR >Dexi2A01G0026350.1:cds pep primary_assembly:Fonio_CM05836:2A:37878595:37879245:1 gene:Dexi2A01G0026350 transcript:Dexi2A01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAVVANPSPWLPTRAFPSSPCAAPWPRLLLSPPHPPRLRSMLRPLPCAAASSTSSPSSSVSVPDPDMEPPEPAHDDEAEAAAAEASRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIM >Dexi3A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:3A:12263782:12266280:-1 gene:Dexi3A01G0016350 transcript:Dexi3A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCLFWVEESSACHEGEKNSFVVSGFNPDMRIDELMKTALMLALCRFLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIVTGNKRR >Dexi2A01G0023480.1:cds pep primary_assembly:Fonio_CM05836:2A:35192879:35195730:1 gene:Dexi2A01G0023480 transcript:Dexi2A01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKQSSTRHGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTTLHLAARAGNVAHVQKIIAECDPELVVELVARPNQDGETALYVSAEKGHVEVVCEILKACDLQSAGIKASNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQDHIDIVNLLLETDASLARIARSNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDSKGNRPLHVATRKGNTIMVQTLISVEGIEINAINRAGETAFAIAEKQGNEELINILREVGGVTAKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLESMPPQPDPDLTLGQALIASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVAHRMEEKNMRKIRRTSTSQSWSISVDSETELMNSEYKKMYAL >Dexi8A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:8A:28570760:28571548:-1 gene:Dexi8A01G0016930 transcript:Dexi8A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRHLLHQLLVAASYPSQLTTINTKGISSTYSCGELTTSCCEVFATTCASQLTATCTKGIPATYSCELTTSYCEVFTTTCASQLTAIPATCSSIASSYSSDHTTSCGEVFAATCSSDHTTSCDEVFTATCTSQLTPTCT >Dexi8B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:8B:4454495:4456867:-1 gene:Dexi8B01G0004770 transcript:Dexi8B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQQQQHVQLAGLSSASPPENAAAATMQPNGSARLVQMCPSLYRAALRGRTEEVMALLLQQHGASTRTERYQATDTIQHEECHILEVSAEGNIVLHLAAEQGHDELIRELYLRFKEQVILSRRNSALDTPLHCAARAGHVRAIKVLVQLAQDYGESILGCKNEARDMALHLAARHGHGEAVKVLVSAMEESVAEVNNAGMSPLYLAVMSGSVLAVRAITACKDASSAGRNLQNALHAAVFQSSG >DexiUA01G0003480.1:cds pep primary_assembly:Fonio_CM05836:UA:7157927:7158931:-1 gene:DexiUA01G0003480 transcript:DexiUA01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINLKNRNFLKLLDYTPAEIQYLIDLAIELKAAKKAGREKQTLVGKNIALIFEKTSTRTRCAFEVGAFDQGAQVTYLGPSGSQIGHKESMKDTARVLGRMYDGIEYRGYGQAIVEELGEYAGVPVWNGLTDEFHPTQILADLMTMLEHAPGKTLPDLSFAYLGDARNNMGNSLMVGAAKMGMDIRLVAPKSFWPEAGLVEQCRAIAKETGARITLTDDVEEGVHGADFLYTDVWVSMGEPKEAWAERVSLMKPYQINAQVMKATGNPNVKFMHCLPAFHNEHTKVGREIEMAYGLKGLEVTEEVFESPNSIVFDEAENRMHTIKAVMVATLGD >Dexi7A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:7A:18008630:18010223:-1 gene:Dexi7A01G0006690 transcript:Dexi7A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVAPPATRPGRSPHANTAPAAGRGPRPRPWGPAASGRGHAVRCKLSRRPEPRLVLRAAADAAAQQGGGGEAAWAGRRKRLAVFVSGGGSNFRAIHEAALGGEVHGDVVVLVTDKPGCGGAEHARSNGIPVVVFPKSKNAPEGVSEHQVYVEAVAALCEDRILWREDGVPLIQSRLNPDVYL >Dexi9B01G0048850.1:cds pep primary_assembly:Fonio_CM05836:9B:47733561:47735234:1 gene:Dexi9B01G0048850 transcript:Dexi9B01G0048850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAKKVEVEATKDIAEEKAVVALPPPPADKPVDDSKAITLRNLQLQEVQLKERLTLISAWEESEKARAENRAAKKLAYITSWENAKKAEMEAELKKIEEQLEKKKAAYEEKLKNKLAMLHKTAEEKRALTEAKRGEEIIMAEELAAKYRAKGEAPTKLFGLMKA >Dexi9A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:9A:8427800:8431835:1 gene:Dexi9A01G0013140 transcript:Dexi9A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSRITTRYTSWDPSYTTTAPSPHHDLPFPNLGGASSRQPPAMPDADVDAEMRDAAAAAAAPGDDDGGEDNTGEEEEVDDEDDMDDEDEQEPTAPAPAEEPPAPAPVSVLPGNPNQLTLLFQGEVYVFESVTPDKVQAVLLLLGRGELPPGSAGTFLPNQNENKGYDDILRRTDIPAKRVASLLRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPAPGCDPGSQGSGLDFISRESKSVLCHNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKAESPAVAIEQGGSDNKALVTLKNDNVSASNGEATSAADTGAPTAL >Dexi9B01G0024220.1:cds pep primary_assembly:Fonio_CM05836:9B:20090125:20091288:-1 gene:Dexi9B01G0024220 transcript:Dexi9B01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCIPNPKAISATGSLDDAAGFGQWDPFLLASIVKNAEQWGEEEEEGSKGSPETDTAVEPPSPVTTTKRKRRRAKVVKNEEEIKSQRMTHIAVERNRRRLMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQSLEVQRSLKEHTSNSSNAFAGFFSFPQYSSCSASYGVAGNHTIKEETSSRSPQSPSVTADIEASMVEGHASVKVQAPRRPRQLLVLAAGLQQLGLTTLHLNVSTAGTMVMYSFSLKVEDECNLSSVEEIAAAVHEILGRVQEETGFS >Dexi2B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:2B:23905382:23905975:1 gene:Dexi2B01G0014310 transcript:Dexi2B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRSPDDVEQGVNMPAESRASLVDGVTVEGDTVLHVVAANGDDTNFLHCATFIMDLAGHLLFARNYNGDTPLHCAARAKKSKMVTHLIGHLYKSQNNKVVKDLLRGENNSQETVLHEAVRNGDNDLVEKLLMEDSELARFPEKGSTPMYLAILLDMSIIAQTLHDKSLANDLCYYSGPNGQNALHAAVLRSKGTH >Dexi7B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:7B:13706070:13720109:-1 gene:Dexi7B01G0006110 transcript:Dexi7B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGPSRPATSNATGGDFPELLGFCSRAEALIAELLLLSDHVPPEFADRRFDSVLFDFRYLDSPGDFESRIEGNIELQVLEDQLRESCGSYMQRFFSLLDGAVTYHEELCNYLNELQEGLYVHCTLDHVLENNSACQLLVESMTLFGCMILLMEHKISGLLREKLLVAHLRSDRCFSYPNLERVCELCRQHVPTPAMPASSGSSPFSSDIISVQKPEDLLRRFPFPDPVVDAVITCLRNGDVYSSIRFYPDPQHRTTALSLQGGHLCVLLFYSNDLLHRGLAMREIIDRFFKDNWVVPIFLHFSVDLLVSWDAYKEAKLSLVSCLSLASIRDISLHHYTKVTHFLADLDIHIHAINKEYVLDNSLSLISVIRECNFTLRWLLLHRMTSDKKARDLIISIGSSQQVDEGNMLQLLLKTAKLEFEVKQLHVELLRTRESMWCEKRHGALECMKDLSQNYLGTWAASCKFKNKTLKDWLEHLSLELISLNYTSIGSCGRTIHRVLSTLKDIEMLHQITDAKYAWVYLTLFETLLKKNISQDPSETLYLHKVFLKFQSWLSAPLQRIKQCESPDLHSISMYYSSKYAAKIFAVLDIIPEILLKISTAVNHVNAEQPTHLVNRINQEALQELMQVLNLWISNYVKHGRLPNCALFLSCVFYATLVAGDMEGNLNSLSKFLLSQMQRMEFLENILHIDGSSIWQETFTSVLEQCAKKEFLELMACMQKSSNAVKQLNNVYSPSTFYDRIFCIHSSLTLSLPLVIEQTTKFDEGGHELLGMRFFSHLELCVGQVGLACLDSLVHILIKHTMETTVKSLHTLVDAKLQEDLTKLDDLLGPPMSIPLMGWSSHKQMVKMLHSSWGPLVEKFATIGQLQLVRTLISFKLRSACKVKANTITSAVEVLLSSLHMQKGVIEDEDETVRFFLHNIKEQQSFCGLLSPLQIIYISEDPPMFLTRLLSLFSISQLSRYVLDVHLGNLTSPLKRSTADFSAVIIGLGAILQQFDSFYMSQYIQAPKAVFWLMSLCKYMDISRDVVESCLPASALAILQQ >Dexi7B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:7B:24754273:24754443:-1 gene:Dexi7B01G0019110 transcript:Dexi7B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYVVLERAGCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >DexiUA01G0010950.1:cds pep primary_assembly:Fonio_CM05836:UA:21902687:21904030:-1 gene:DexiUA01G0010950 transcript:DexiUA01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQTEEEPDRSRDAIPNEIVLTDILVRLPTKDVVRLSCVSKQWHRIIGDPSFRKLHGADHVATPSESEALLVTENREPGRREEASVFNLSSGKAMCHVFIPTGYSLTNVCNGFLCFALNHGQAPAVVCNPVTGETLELPKAPPISGEDRASLNHLFALGFSPTTREYKMFRLSFPSSCRRHDHQVHIAVHTLGDGTGAWRQYSYASRFCPVFTSPPVHIDGKLYVVTTDYQKRERTAQMLVLDVAAEARRTCRLPYYYDDYHWNWSEMMASGFDLNGEMCLAVHVFGRGTRRTLQFWVMKPPGELQDEDDHEQLCWDLRYSFDLDESYYFDRPKGGWFEHAQMLCYRHGDTLYKHSTRGYSASTSPNVGLSFDQKLELPRAPSLSPNVSEDWSPLIRLQARRWNIHGGYRPSLLSPLIFAAPPPQDEKGEETRQFEHALLSAIRQT >Dexi3B01G0021030.1:cds pep primary_assembly:Fonio_CM05836:3B:15964354:15967267:-1 gene:Dexi3B01G0021030 transcript:Dexi3B01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPWAGARPPALTRHLLVVFFAVLAGRGGAAGGGGKGSSVYPAAVVYPHHSRQISWKPRVFLYQHFLSDDEANHLISLARAELKRSAVADNMSGKSTLSEVRTSSGTFLRKGQDPIVAGIEDKIAAWTFLPKVKPRKGDALLFFNLKPDGATDSVSLHGGCPVIKGEKWSATKWIRVASFDKVHHTPGNCTDENESCAKWAALGECIKNPEYMVGTAASPGYCRRSCNVC >Dexi9A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:9A:2840643:2842793:-1 gene:Dexi9A01G0005170 transcript:Dexi9A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYPRRGAAFRRPKSSASAAVADRKRKRTAVVKTASLKNQIRSTERFLRKDLPDDIRIAQEKKLEELKRQQELQNQLAVQRTFFPKNEKYVSLFTGGNPEVVEERSKWRKQIKENLMAAAASGKDLEGNQLPVLQVGQHLVCQAMKRTSAREMPEFLCHHLAPWHQIEPDLQINV >Dexi1B01G0024460.1:cds pep primary_assembly:Fonio_CM05836:1B:29755567:29759675:1 gene:Dexi1B01G0024460 transcript:Dexi1B01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPAAAAAAAPAASPAGKRRRGDEGPGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAAVAEGAVRPMDLFPGEAVRALVEDLGLNRAKDPAAMGFRPPKASIADRLMLTKRKMEEVKEAPVQPTVNTPQTAVSSGMSEFHGLNGPSKFGVGVPRNPQAATVASTAPLTSTPPVILKPPGSSPVKPVANSTAIFIHPNKSTAETSARSNVNAVQSSNQLLKNQDAKPVAVQAATACLTQSKGKPLPPKYGKVTRTVVASKAAPPGGGAQVSLQGSAENMAAKENHQKLAANGNLMKPISTPGGRTVHNGNVLALSAITAGSQSQLASTLRPPIGNTVKVETFSNGKEGTGQQCSSMIQPDVKSPPNKRPRSDSSNFVGPANDIMNNERTAEISGAEAKIKSEANSEPPVSRDEELVGSSGISVEQTNVVATEENPRAEVTSVTDKMKYGEMTMDTGTSTHQERNLATEERLPSEASSEALTINDVKMTSNSGIPVQQSNIATVEEKCQIDAASDPHRIQDMEIGTDNGPPPIDQSSNFVADVTANAGIPTDQTQHLDAMTENIVKESPSGEPYEDKLGCIDFLLV >Dexi3A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:3A:6873851:6875128:-1 gene:Dexi3A01G0009760 transcript:Dexi3A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKPQLPAPAPPGLARLLLSKSRRGGRSRRAPATSPMFVSRGRSRAADGEPSSPKVTCIGQVRMRKGKKGKKAAAAVKAAAPEKAAKRYCRCLKKAFLCGGLFEFDSRRRKQKAPSPEVERSSRRSPWVFSSRDVAVAAAPKTAADPRRGIQGEGHGDDEEEEEMQVGVSVFGSIGREEGEKMGIGGGFGSEKEDEHGDDDDDEREAQLVSSATSTPPKNALLLMRCRSAPQNRTSPLTSRFLPAAAPMMPSPSPTRDALAAVALEIASSPSPSPSPRKPEKASPSPRRKPSAEKVLVVLADQDGGEKRQGGGAGAAQEQDPRLIGGHQEEDEEEEEDDDEFEEEEEMRCSSARPLVLQRCKSEPATTAAAKMAAGGPAADATTAGCFWAHGGSSGRRRHAPPAAAGAPVALTGH >Dexi3B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:3B:6270330:6272590:-1 gene:Dexi3B01G0009100 transcript:Dexi3B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHNHQCWTMLPSAVNVGICLQSTLFFQEDQFKSVYLDFYPFYPCQVVSMAPAVEAVKKEGGSFQKEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDWICMLPTSSRA >Dexi2B01G0022870.1:cds pep primary_assembly:Fonio_CM05836:2B:32490601:32499538:1 gene:Dexi2B01G0022870 transcript:Dexi2B01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQNSKGTSPSAAAAAASRTLPNPRIRPALFSIQCVTEEANGPTLIADSPFSITVSLSRVWCFRSPQGGSRQRRACRAGGGIWLVDCWWRLHSIHCTGPIQMAANNSGPIQEELGEGGTGVKREAEEGEGTSSSAAQATATAMLPRSSSRPQLDLSGAAIHGTLEERDPTILLPNQSDDISHLALDIGGSLIKLIYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETEKLNECLDFISSKQLHRGGVDSPSWRSGAQPDDIVIKPPCNSFGCYLVNEVFCGKATGGGAYKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSITLFFCACQAIRHEAFTHMDGHKEYVQIDQNDLFPFLLVNIGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKARASSSGHSYISWMEKFVQKGTQIIAPVPMGVPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFSHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEIDDFDMFKKRMLTDRKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLLVDAMAGIQDDLKDAPESVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDALKIGKKGPA >Dexi1B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:1B:26324867:26326784:1 gene:Dexi1B01G0020150 transcript:Dexi1B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQAADAFRNGNKKEVDYLIQEGKRCCQMARLADEKSAGEIIKSKKEESRNELCLDLRKQDAANVPNLLRFHLKQLANIPSIDYLKVSIGIDDGSFKMGQKRRKVMKYLEKNSIQWTEEEPHSGNILICINQAGKQHV >Dexi9A01G0045660.1:cds pep primary_assembly:Fonio_CM05836:9A:49213172:49213543:1 gene:Dexi9A01G0045660 transcript:Dexi9A01G0045660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSSSSLLGAVLLAVLILAPSRAEWVPVLDVNEVVGQFAVLVYGLAHRKDMAFVAVVRGQTEDAVGGGTNYRLVVVAAKPSQEGSTTTAEYDCLVWGVPGSSSDTWKLRRFRKINHS >Dexi7A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:7A:16405840:16406845:-1 gene:Dexi7A01G0005250 transcript:Dexi7A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIITIDQDCCRCSNKIQKILCCIQERGEFAIEKVVYEKDKVLVSGPFDANKLSCKLCCKAGKIIKNIEVAKPPEKKPESPKKEPVQCKVIYPCPYPYPCPQQAWPCSCPTPHCGCQPPPKPEPKPEPKPEPKPKPKPKPEPSVLPHAILRVPVQANTNASTGAETTGGATETAGVPVPGVVAVLLRWLPALHGAAAATANDALPDALTRFAPK >Dexi6A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:6A:20328287:20332432:1 gene:Dexi6A01G0013270 transcript:Dexi6A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGLSLSLYASSSAPPSDPEPRRFSFASSSSCPHAGPRRRLEATRAKALRENWRQKSKPIPPGAVYPAKDHCSRCGLCDTYYVAHVKTACAFLGDGMSRVEDLEPLVHGRGRKEGMDEMYFGVYDQLLYARKTKPLEGAQWTGIVTTIAVEMLKANMVDAVAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKVASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLAFFFSYLAIYCNNLFSTSLGVKQLIAKDGICQKYVQDLVVGYMGVPKYAGVSMSQHPQYITVRNERGREMLSLVEGLLESTPTVSSGARQPFVMETVKADDAAKLGKGPSKPAPRFVGNILAFLLNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKRRAEQHIPGYAKKIVEAYDGDEQIESMLQQSGTD >Dexi9A01G0044200.1:cds pep primary_assembly:Fonio_CM05836:9A:47819492:47822048:-1 gene:Dexi9A01G0044200 transcript:Dexi9A01G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETTPTTTAVSSSGAAAQQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRSVRPFPSSSIALRNLLSSPGSPSLAPHRINCASTQLPLTDWPARCRRDSFITHRAFCDALAEESAKARAEAPAADEDGGSAAGGPPPAAPAPPQAPVPLRQQPPPPAHHVEQRNEPEASAPEPAQFAPPQPSLAAPVPVLSHTSATAANVSASSSSSVAGTSQSLIGGMFAPSSMAPAPQFPDLAGGGVGRSERAIPAKPPSLCLATDASSSIFSAPVASERQQFAPPPPPSPSPSAHMSATALLQKAAQMGATSSSSSFLRGLGLDISSSSPGASSSGQQHHHEAMQVSLPEASLQQWPPRLEHQEPTAPMLSAGLGLGLPYDSTGAPVCLPELMMGQSSLFGGKPATVDFLGLGMSPTAASASRGLPAFIQPIGSGVGMAGTGAGAAETFVAGRGAQAAPWERNPSTSPIL >Dexi9A01G0034470.1:cds pep primary_assembly:Fonio_CM05836:9A:39248020:39253271:-1 gene:Dexi9A01G0034470 transcript:Dexi9A01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSRVVGCFAPADKAGVDLDFLEPLDEGLGHSFCYVRPGAAADSPAITPSNSERYTLDSSVMDSETRSGSFRQDAAEDLAAVATAAAGLQRPCRSFGETTFRTISGASVSANASSARTGNLSVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNSFLSGPLERGFASGPLDKGSGFMSGPLDKGVFMSGPIDGGNRSNFSAPLSYGRGKAGLGHLVHRISRPMKTALSRTFSRSSQNPGWVHKFLLHPMAQLPWARDAKSRSEGSQNGLEAGLPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEESSERNDHVSTHEECEPVATSMDASHGDGCQFQIDNEKQEQLGNFEKHNVSASKDCDESALQVQPNCTSGEQKDLAIQVSSSQELGADEIVEETAEANLGNDLQSREPHNLDRDLSSTDEVLRIKAEHPDDPQAVFNDRVKGQLKVTRAFGAGFLKKPKFNEALLQMFRIDYVGTSPYISCNPAVLHHRLCANDRFLVLSSDGLYQYFSNDEVVSHVSWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >Dexi3A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:3A:12401762:12403116:-1 gene:Dexi3A01G0016480 transcript:Dexi3A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNGFNDVRAAVESSLSPAAAGKKAAASSLAVLVKMCPSCGHRAQYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRNLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSGDGDDGGGGETRWHKTGKTRPVLSNGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAKEAVAAVTNSNAAVAGHHHQGGGVFREANGIDHQFYSAGTMIGYGQGLPNNRAPAPPHFMPSFAAAHAARGFGP >Dexi3A01G0035580.1:cds pep primary_assembly:Fonio_CM05836:3A:40781725:40782078:-1 gene:Dexi3A01G0035580 transcript:Dexi3A01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLKVVDNSGAKRVMCIQAKSGKYGAKLGDTIVGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >Dexi1A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:1A:2258983:2262032:1 gene:Dexi1A01G0003100 transcript:Dexi1A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSSTHAVLAAANKPASELSSYQRKVFRVAEHAGVALAGLTADGRVLSRFLRNECINHSFVYEAPLPISRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSANCTVAIVGRKDDGTIEPFQMIDATRIQEIIDSMEAAEEAPPAEPSSMQEEERTSDAAPMDI >Dexi4B01G0021180.1:cds pep primary_assembly:Fonio_CM05836:4B:23196671:23200181:1 gene:Dexi4B01G0021180 transcript:Dexi4B01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVEIVGGLLRELELAELMRREATQRSLEQLRGALRRCYKLVAACQDCGYLRSLLAGARMADELRAAEQEIDMTRMAMHYLGDAVGVTALTFPAVLIAYRPGHVGSVEQQMSSAHQIRGRHVVKSRLPVEPCVLNLTVFKYAMF >Dexi7A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:7A:21580097:21581013:1 gene:Dexi7A01G0010900 transcript:Dexi7A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVAPSLAHDGHHAPVYAPAPRHHTHHAAAPSPHSHHHHGHHHRHPRHHAPAHAPAVHHGGSPATAPSPHHQGGHSSPSPAPAPVFVNHPTISPTAPNHGDKNAPAVAPVHATHQPASAPSPYHHGGHHDAPARAPAANQPASAPSPYHHGSAPAPALVHAKQAASAPSSRHHGSAPAPAPHHDEATAPAAAPVPAPARVGDDEAPSPSDDLASPPASEDSRYYYPSSAPGPAPAPAPGSSAASVAVAVGSSALLGSIALCAAQQRKV >Dexi2B01G0023310.1:cds pep primary_assembly:Fonio_CM05836:2B:32835300:32835961:-1 gene:Dexi2B01G0023310 transcript:Dexi2B01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASLSSTAAAATLLLVLLLASARRHGAGATEYAVGDSDGWTIGPNYLAWSLKYNFTAGDTLAFNYVAAQHNVYRVTEDAFRTCEPSANQTMGSWATGRDVVSLPVPGDYYFLCNVSGHCLGGMKFAVNVSAPPPPPPPTPPPPALLLSPPPPASSGESSSWISRRRCLAVIGLLILA >DexiUA01G0024940.1:cds pep primary_assembly:Fonio_CM05836:UA:52110536:52110978:-1 gene:DexiUA01G0024940 transcript:DexiUA01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSLLLPVFFFLQYEIPRALACSSRTSRSSRGEGIVLAGAGVLGLLLLHLHGGGGRRRRRGAGGAAAVALVEGGAEAADERVEAAPRLAARALARLRLRRQRRRAAAVGRAGRLVDTRLAVAVEVAEELDRVRAAALTEL >Dexi5B01G0031080.1:cds pep primary_assembly:Fonio_CM05836:5B:31904489:31905277:1 gene:Dexi5B01G0031080 transcript:Dexi5B01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYFKCNRHRRCEAKKKVQQQDISQDLLPPMFEVTYVNEHTCHVLRASDDDAASRLASSSPGTASRRRHAALAAGEVIMNTAASRRGDDGGGVHIVVGGAEEDEAIVSCLATVISGGPVTPPPPPPSWWSPAAAGASAGDPAAFVAPPLQTPASVDGGVAEDDDGATTMMMVDDDDTSFSWWDHSSFCPVEEVAGGGHHQIQLAMTNRHSDVHMGVARFADTVSPRVQACGAWRRA >Dexi9A01G0035870.1:cds pep primary_assembly:Fonio_CM05836:9A:40427341:40428718:1 gene:Dexi9A01G0035870 transcript:Dexi9A01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAKIIALIILTDDTYDVRATLEECRKFNEAIQRWEESAITLLPEYLKKFYHKLMNIFKEFEDDLKPHEKYRVAFSRKAFQILSSNYLQEAEWFHGAHKPRFKDQVKVSTVCSGAPFASVGLLVGMGDDVATKEALEWAIGCTDAVKAFAEVTRFMNDLASFKNKNDVASSVECYISEHGVTANAACAKIDSLIEDAWKTINRTRFERNELLPAVQRVFSITVSMPLMYGDKKDAFTFSDGLKGVIRRLFLKPALL >Dexi3B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:3B:9335491:9337138:-1 gene:Dexi3B01G0013120 transcript:Dexi3B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDDDAPRGHHAAALAPDAVLNLELGDPTMYEAFWREVGDRAATVIPAWQAMSYSSDAAALCWFLEPGFEREARRLHRVVGNAEDDGYHFVVGTGATQLYQAAMYALSSPSTGDKPPVPVVSPAPYYSSYPPQTDLQLSGYYRWAGDATTFAAGDDDECIELVCSPNNPDGAVRDAVMTSAGAMPIHDLVYYWPQYTPITRRAAHDIMLFTVSKITGHAGTRLGWALVKDREVARKMVYFIDRSTIGVSKDSQLRATKILGVVSDAYEISQASDGDSNAVPRLFDFARRRMEERWRALRAAVASSGAFSLPDETTGYCSFNKRTVTDCPAFAWLRCEKEGVEDCAELLAGHRIVARGGEQFGGDARCVRINMLDRDRVFNMLVQRLSSIN >Dexi7B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:7B:18512769:18516723:-1 gene:Dexi7B01G0011480 transcript:Dexi7B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLFVHELQFYLTTYTVHQLSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKGHGAHHDHDRGPEHHDEQKKHEHTFDEDAEKMVKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHVIHDLSFGPKYPGIHNPLDETSRILHDTSGTFKYYIKVVPTEYRYLSKKVLPTNQFSVTEYFLPIRPTERAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSVLW >Dexi2B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:2B:7772936:7777427:1 gene:Dexi2B01G0007490 transcript:Dexi2B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGGARLHGGVGVAKCRPAAALLGRRGISFRHAARRLGAARGIRADLPRASADGGAPAVTAGLAVAVPEAGDATTEQVAAVAQPVVVPEKHDKVGGDDVDDGAGGNGKFPPGGGGGGGDGENGGGGGGDGEEGEDEFGPILSFEQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELSASEGLLGRVRHAYDALPSSVFEAERPGYNFSVQQRIGTYFFKGILYGCVGFGCGIVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGVFLGVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNVYGGMQFVDWARMTGCQ >Dexi3B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:3B:2290992:2297118:1 gene:Dexi3B01G0003480 transcript:Dexi3B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTAPPPSSHPDHGGAITTGGGGSFASLRAYGRALAQTPRRVARRACAATAPGEEMSRVRERSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGFPEGFNEVDLVAVGVILLISVCICYSTKESSVVNMVLTVVHVAFILFIIVVGFMHGDARNLTRPANPSQNPGGFFPHGAMGVFNGAAMVYLSYIGYDAVSTMAEEVQRPARDIPIGVSGSVVVVTVLYCLMAASMSMLLPYDAIDPDAPFSGAFRGSKRMGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGLFTAALALFTELDILLNLVCIGTLFVFYMVANAIVYRRYVVGAGDDLGPRRGAAWPTLAFLAAFSLLALAFTLVWKLTPAEHGGVRAGLLAACAALAVATVAAFQALVPQAHTPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSVAALLVYVLYSVHASYDAEESGGAAAGLDAGGAKVQDEACTV >Dexi4B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:4B:4661879:4663123:-1 gene:Dexi4B01G0006640 transcript:Dexi4B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDEHQTELLSLSAHLLFLSSPTTAIRFLTVGDGGFLPPPPPSHAAAELAIEEDDEAPRAGGSSMTTATLSLLVRVVLAAFFFLRPCVAQSRDIANISAVEATVRDRAFELLARGARSQLLVDVPLPANLSVAGVEASALRVRTNALWAGGINATASSSSGIALAIAIAIPPRVLPAPFARRVAIVFERFAGDSASAFAAPPGYALAAPVVALLAYDADDASSAGHQRVSLRTLGAPVRVLFGNLSSSSPATAGMVLNGTTVRCVTFGANGEVVATHAAVASAMACTVTGTGRFGIAVRVPAAAPVVRARWWAWTVGVGAGGVVGASVLGIAVAGAVSWSRRRRREAMERRAMAGEELGRMTVRGSRMPSAKVMRTRPEVEESPSWR >Dexi3A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:3A:825585:826277:-1 gene:Dexi3A01G0001110 transcript:Dexi3A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVHPNASSGDPRLSSSSSSSAAAEEVLLLTVWRKSLLFNCDGFTVFDARGDLAFRVDCYASSRHRRRAEVVLMDAAGKPLLTVRRIKSLLSLGAEQWVIYDGDAAAGAEAKPLVSVRQHVSLVRASSNKKKKALAHVTPLRSAAELSSASYVVEGSYGRPACAVRDAGGDAVVAEVRRKEAVGDDVFRLVAHPGRLGAPLAMGLVIALDEMFAGTNSARSLLRRTWSA >Dexi5A01G0028890.1:cds pep primary_assembly:Fonio_CM05836:5A:32073911:32076372:1 gene:Dexi5A01G0028890 transcript:Dexi5A01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHKVGEKGGAPGEVMRRINVVYFLSRGGRTDHPHLFRVNHLNRAGVRLRDVKRWLSELRGKDMPDNYSWSYKRKYKAGYVWQDLKDDDLITPISDNEYVLKGCDVRGTPPPPFVVLAPTKTPLQGEKKEQKETPCNNDHQDRPVEVVLTQDSDENSPKTPPPADQDSPAGCDSARRSFKVDEEPRGVREQTQHQQQEVVIKIEVARSQEQEHPHEQKQQQQQKEEEATEKAVAREERRRQGAVRSHTVGQQQARRMRVARALHSMLTCGAADADDAALRPVARRQGRGAAEAAGGDDWAGPTPTCPGMDGCGLRVSRTARSRRGGKEKQGKRDAHKPPATLPRCSECGKEFKPQELHAHMQSCRGFRERMRSTRASVDRRRNSTAGHRGKHGHCSSEERPSSASAVFLLTES >Dexi8A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:8A:9750769:9752231:1 gene:Dexi8A01G0008280 transcript:Dexi8A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDMNGTIPKIDFAGIDPAAASPGDGDSRWATVRAAVMDALIEHGGFEAVMDDIVAPELRAAMLATGGAAESLFSLPPTTKARYTSEKPYLGYVSSSIPGMPYETFSIMDPLSPDVVPALAGLIVAVLEAMVRRMVLESVGATAEHVEEQSKATSLRLRISRYPAPGGAAAEGRVGLPAHRDTSFLSVLTQNDVDGLEVECGRGSGGWARPALSPCSFLILAGDMLKVLTNGRVYSPLHRVVISGEKTRYSCILFSNPKDDAVVRAVDGAVDAQHPAVYKAFGYAEFIAFCFTREQYRNPNKVEAFAAVGGVDG >Dexi4A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:4A:17328931:17334746:1 gene:Dexi4A01G0014860 transcript:Dexi4A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHTRRHPHPHRLLPLFHFSSDANSSSSSAPRPPPPPIKPVSYAPKPTQEAAPEDPAGPPTTNVGRDAQSPLPRRPQQPAPPREWTRQDMRYVKDAAPVISPVSYPSRVAPLPEDRPAGGDAEGVPEEGLRGEGERIQMEAARATRNIFGMQVEEEEQVPYPTIIPVVKRPQKVAIDLVDAIRLVKTSANEKKRNFVETIEAHVMLGVDPRRGDQTVRVAVFAEGSAADEARAAGADVVGGDELIEEIRKGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSEESLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSVAADHYNKVQVS >Dexi3A01G0030070.1:cds pep primary_assembly:Fonio_CM05836:3A:33362564:33362875:-1 gene:Dexi3A01G0030070 transcript:Dexi3A01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRTLASGYTFPWLHTYPGAQWESLVQVQSPWTAHPGPWVTGLPRMPSTWSLHLLENTPSGSNLAFTSKKLRSLGNRPTVSLKA >Dexi7B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:7B:21571790:21572819:-1 gene:Dexi7B01G0015510 transcript:Dexi7B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNLLVHLRRCVSPPSLRAYCAEFIATFLFVFTAVGSTISARMVTPDVASDAASLVATGVAQALGLFAAVLISADVSGGHANPAVTFAFAIGGHIGAASAIFYWASQMLGSVFACLAVPTTRIAVAMTGFGAAIMEAVLTFMVVYTAHAAGDLRGGGGGKRRGFAATALGAVAVGSVTGACVLSAGSLTGASMNPARSFGPAVVSGDYKNQAVYWAGPMIGAAVAALAHQILACPTTTTTDAAATEPSSRHGNVETVVV >Dexi9B01G0048810.1:cds pep primary_assembly:Fonio_CM05836:9B:47683776:47684281:-1 gene:Dexi9B01G0048810 transcript:Dexi9B01G0048810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLPEADWPPELRLPPPPPPPPAEPPPAPPAGMDDSQFLGSIMGDPAPDPRQDPAPPPVGPKKRGRPPKRKDAAGPLVAAAPKPPRKEDDEEVVCFICFDGGNLVGMP >Dexi3B01G0024610.1:cds pep primary_assembly:Fonio_CM05836:3B:19270062:19271045:-1 gene:Dexi3B01G0024610 transcript:Dexi3B01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARCEEQLPRPDELMPLSQTLITPDLAVAFDIPGHGGVGGGAGGGGGGAGGGAGGSGGAGGCPDMNGGGGGASSAAGSSGGGGGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLGGGGGGGGGGAGGSHSSGSGTDAATEHLFATGPVPFLPPSHRAPAGAGDPYPPFAPMGHHHHHHHAPQIGHFHHPAARPLGHYGPAGAAGFDHGFLSRTVGGAPPVGPPGMHHHRMVGAGAGMGMMAPTSFADEMDLGSRGGGAGAGRRELTLFPTSGDH >Dexi3A01G0034580.1:cds pep primary_assembly:Fonio_CM05836:3A:39708444:39711996:1 gene:Dexi3A01G0034580 transcript:Dexi3A01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSPVASTAAAQVQARLLRRPSCCRLGPGSAAGFVACRSYAARSKMAPRAVPPIRTGFQPVYPIFQDANWKERNVPCYQRQKAPQIEAKSMEEVYDALAEHLLSVLKNTEHLDSKYIVGLAGPPGAGKSTVASEVVRRVNMLWSDKHSKHSRALLPNEEIAAMLPMDGFHLYRAQLDAMEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLEEDIWRDIKDLFDEKWFIDIDIDVSMQRVLKRHIATGKEPDVAAWRISYNDRPNAELIMESKKNADLVIRSVDFSS >Dexi3A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:3A:2672924:2675188:-1 gene:Dexi3A01G0004050 transcript:Dexi3A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHAAVADSGEHHGRRRLADGGGGGGAAGRHQQPPQPVMMETATATASSSAAAALLRSRQARETSAMVAALARVVSGAAPPAKTPLQGAAARYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDGAALRFRGSRAKLNFPESATLPDPSPRAPPPPPSRPDALLESQPPPAAAGLEPYAEYARLLQSPAAGGGSIGTAPPPPPPPAAAAYSFAAEGDTPLGYLSSPALSRGGDPGGGNPAAAAWASRYYYGSNPAWRWDQSG >Dexi5A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:5A:6796235:6798242:1 gene:Dexi5A01G0009060 transcript:Dexi5A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEVKPGKSQKGSAQKHLNASFVVAGLLMFLIYLVAQHFAVSAPHVIITEAQEVMDNIKVPGEIKENNNGKVVCNMEGRSDTCEVDGDVRTNGTALSVTLVPTTTSSEPRREWMIRPYSRRFASLKKVTVTHLQDPATAPPCTVTHTTPAVLFAIGGYAGNYWHDYADILVPLFVASRRFSGEVVFLISNIQFKPRWLVKYKAFLHGLSRHEHIDMDADHEHVRCFPHVTVGLRLDKEFSIVPDLVPGDRRLSMADFTKFLRETYGLPRSTTNKNKPRLMLIHRGHYRRILNEPEVAKAAEAAGFEVAVAELRGDTPETEQAREVNAFDVVVGVHGAGLTNAVFVPPGGVVIQVVPYGKMEHIARAEFAEPVEDMGLRYLDYSVSKEESSLMETLGPEHPAVKDPDSVHRSGWGQVFELYLAKQNVRINVTRFGPTLELALNHLRRQ >Dexi2A01G0031110.1:cds pep primary_assembly:Fonio_CM05836:2A:41898794:41899741:1 gene:Dexi2A01G0031110 transcript:Dexi2A01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTISNTLDRGLGQARGKAGSAEMFSAGAGSSSAKLQPSTKWREKRMPVADVNPANAVSCCNTPERSMVVCRSGFLGLAKPRGAMYPAFAATVESRLSTSTPTWLLFMSWKSALKSVSSSTAKTWELRFPLSVSLSRPRNWPGRAVYSTDLSEATKKARPWLLRSAYGPKMAKTKVVEKDRAAVAFRAFGSRNGSGDGCNAWPFFWMVPLVSIRRPFAVTVAAPSRTKLSPSPPAVASAQRPVGTGRRMSRVAKWTVRSGIVPASPLEI >Dexi6A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:6A:2670306:2673667:1 gene:Dexi6A01G0002910 transcript:Dexi6A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRKHRSKWDTKEVSHDIVEISEDESLPDKTGVQHKPDTSIHHGSGTGHEKEQADGFNKDTKELQSKAPSERSQPLRATDERDEWSKAAGSQGMNRYADDRRRGDGWGPARSRGYSSRVPSDPDAWRPRSRSPSPRGVWNRSRRFLHEDGGHRPFEDPYPPDPRERCARGASCRFAHGDSASRGGWRDEARESGHDRVGPESSYGNRTEHRRIIPQEGFGSQVENKHDVAVSQQPPLLTPVQTAAANMNNENISSMGGQNAPASVGDLSMQSGAPSGPAAAAASASVVNETVPPLSKKGENKDSDAEANEDGDNKKSKDTKPLKMFKVALADFVKEALKPTWKEGQMSREAHKTIVKKVVDKVTSTVENTPPTKEKIEIYMSYSKEKLNKLVQAYVGKYAKA >Dexi2B01G0027670.1:cds pep primary_assembly:Fonio_CM05836:2B:36497313:36499572:1 gene:Dexi2B01G0027670 transcript:Dexi2B01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPNLLTIAILLLSATPAPSAGDRSFCTDATYERNSTYKANLRSLAGALVSDAARLHSATGAAGEGPDRVYGAVLCRADSTGADCAGRLRNAIGVVIDDGDSTSGNGDASCALRRDVAVYSELYQLRFSDEDFLANFSNAPEWADVTNPDTVPSAVAARFDEGVTELLSTLADDAARRPERWAVGVAPWSSSSSGEKDRAVYGLAQCSQDMPPDRCRACLDGVVAERSRKIGGGKMGGAVFGARCTLRYEMDVQFFNVTGDSRMMSLREFLLNMYGKKKDRRALVITATVYTSAVLCTRLFFWLLSIWMKRKRSKNNSMEEPENMDEVLRLWRIEDTSLDFSLDLKASNILLDSDLNPKISDFGMARIFPVDATQATASRLVGTFGYMAPEYASDGLLSIKSDVFSFGVLLLEIISGKRSSGFQYNGEFYNLLEYVSS >Dexi9A01G0047530.1:cds pep primary_assembly:Fonio_CM05836:9A:50620889:50623768:-1 gene:Dexi9A01G0047530 transcript:Dexi9A01G0047530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPALKYRPCHNPNGLVSEDALRIIVEAKACFVALALALAYFLTASQHRLWSSSHLVKGFLFAVTQPVTRFLFGMFTMLLAMPFRSDLYLLWGILLLAGYEGVYTISGYCVSTRRSDLAVHEFARAYNVVTLGLYVRYYSHASQFRYPLWALWALMVAKFLERIVRFKIANGRYGDSNTSFVADYMKHEDKIKNRKTPTKSASSTTTEDGQRFSLKDCNYLIVGESKSKEKAERVEDEYEAKYEPAHDTVTVAKVWNSDGELLLASSSNHGVDDEHEHEHEQENELKDVCLSFALCKLLRRKFAGVATSESERPKGRRVVFDNLILSGSRRTFRVVRTELGFARDLLYTKYPILFSSGFPVVSTVLFATTVAVSVWITVSAVRHYRIPHGSTSNVVDGRNVDLIITFVIVGMVTGMEICEFFIHLFSDWTKVMVISEYVRKPWLNCCFLSRMLRFICSAKIAEHIGSSLGQFDLLKETKKQRRVPERIVKLYHAARSFVLLTDDEDFRINKCRTLRPVPVPVEEMICDTLVKNRGDLIRGRERLKRRTELERHVELSTLCKARTHIERIMVWHVATSKLEEEDDQRRRRTGGSRSEVSNGLVNGRSSEGQNDLEGGRNSSEGSKGLEYYKLVATTLSRYCAYLVFYKPKLLPIASNSVRYMCNELVREASSGTEESNGGREAAMVCRGLRLARGLPGCVGTPEEGDELWRALAELWCELVVTMAPQGSIAAHQKELGKGGEFITHLWALLYHAGIDDKFSGSSTGAADAAPRPDKEDDHGQGAGAGAICHAGSGGGTV >Dexi7A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:7A:28451673:28458282:1 gene:Dexi7A01G0019180 transcript:Dexi7A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding IETVRVLTKLSLFKMFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEELMNLLFSFLKPDHPHGTLSAGYFAKVVVCLMMRKTLPLVSYVQGHPEIVTQLVDLIGITSIMEVLIRLIGADETMYSSYADSMQWLDDIGVLEMIVDKFSTSDSPEVHANAAEILCAVTRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLDSLGDLLKLLDVSSADNVLPTTYGILQPPLGKHRLKIVEFISVLLSIGSEAAETRLIHLGAIKRAIDLFFEYPFNNFLHHHVENIIGSCLESKQDELIGHVLDECKLVTRILEAEQNSSLSTDLTKHTLSAEGRSPPRIGIVGHMTRIANKLLQLANTNTMVQSHLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDEAQASLERDDEDVYFDDESAEVVISSLRLGDEQDSSSLFTNSNWFAFDEDKALNDGTVSSEASPSPNSEISAPKVEDENDEVILGEVIDDTKDSELSLPVTKGSEPPLPVSDKDTNEESSHTVLANGTIDKLEDDMRPPTPDVKESQPECVEWREEEAKPGDVAEKDTAVFEVEDEKKLDTMDDVMPSDAKLGEERDSSLGSSAHEATAEAALPVSSDASDPESVKHPQPGTDSTVSEYPMGEQNHEEDEEKKE >Dexi5B01G0028450.1:cds pep primary_assembly:Fonio_CM05836:5B:29812717:29818245:1 gene:Dexi5B01G0028450 transcript:Dexi5B01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQRKEHRAAAAAFLFAAAVLGVLTGGPGVRAFEDGTAVYIVTMKQVPVFHRRLNLEKFGSNRVANAAGGGGGGGAGDTPSTSVLRKPRHGSPKPMNYGSYLIRLQNLLLKRTLRGEHYIKLYSYRYLVNGFAVVITPQQADKLSRSKEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASSHDRVYNNYVVLGNNLTIQGVGLAPGTDGDCMYTLIAAPHALKNNTASPTEMSLGECQDSSRLDADLIKGKILVCSYSIRFVLGLSSVKQALDTANNVSAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVGQQRANAVAQFFLSLILCSTFLVPSNCNTINIADILKPNLVAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFPSFSPAAIASALSTTTTLSDREGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCGYNDFFSFLCGINGSSPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRIITRTVTNVAADESYTISYSAPYGTVVSVAPTQFLIPSGQKQLVTFVVNATMNSSTASFGSVGFYGDKGHQAIIPFSVISKVVYSS >Dexi6B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:6B:5699949:5702035:-1 gene:Dexi6B01G0006120 transcript:Dexi6B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERADQLNEQVRRVFETTTSMTDAVTLVDTLKHLGIDHRFREEIDSVLGRVHRDEDLEFATSDDLHIVALRFRLLRQHGYWVSADVFDKFRDDGNTNGGGGSFSTVLCGKTRDLLYNAAHMAIPGEDALDEAIAFAKQHLEAAQEETHDAVLLELAKLDFNLVRAVHLKELTDISLWEESAVSVVPDYLRALYLQTLKHFNEFEGMLKPHEKHRMVYLMKEYKMQSRRYVQEAKWSNEKNMPTFKEHREVSAMTSFVAAMCLIALIFAEGQATEEAIEWALGMPDMYFASGEIGRFLNDVASYKMGKNKKDVASSVECYAQEHGVTGDEAAEAIAEMVELAWRRINKGALEMGRALLPAARIVTGMSSTVEVMYLGGRDG >Dexi3B01G0022680.1:cds pep primary_assembly:Fonio_CM05836:3B:17393828:17396372:-1 gene:Dexi3B01G0022680 transcript:Dexi3B01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAVTQDDRKPGAPAAQDAAATSRLQVFPPAPGGNGGGYRPPGAPADGDVESQANWKGYFNIASYAPYFNVDTDVVVDRLISSVYPMDGFYRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQKKTDPKIGNFDVGYFNWAASVMYGYAIIVLLLIPVEFLRWVIIALAGGASSWFIALNLKECTEGADLMVLIASAAVLQFALALFIKVFFFA >Dexi5A01G0029870.1:cds pep primary_assembly:Fonio_CM05836:5A:32927791:32928564:-1 gene:Dexi5A01G0029870 transcript:Dexi5A01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSVVYHVVEAMAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAAPVLIFHVVSTNDLYHMNGRLIAADTLQKAVMLLALTAWAFWAHFRRRGDAGKPAASPLKWVITNFSVATLPNTVIIGMPLLDGMYGSVSGGLMKQIIVMQFCIWYNVVIFLYEFMAARDSSSKIRPVLSPPPADENSGGSIHDEPSQNQVVVNIEITDGIPAAAVAAQQQVPPVMHIVWMTVKKLLQIPNTYASLLGLIWSLMSFK >Dexi1B01G0010770.1:cds pep primary_assembly:Fonio_CM05836:1B:10612807:10617970:1 gene:Dexi1B01G0010770 transcript:Dexi1B01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAPVAAGGAEPVVAEEPLLAGARGAAAVAQQQTEPGGSGSAGRERVPLRLRLGRARRRAGPGTPTPSWKMEDEGAPEGAGAAAALARRSSASASARQLGASLWEIHDVARRGPRRTRTRGGSGIAARREGGGIGSSAELDQAQTHIQELMQERRRYRHEVSSMMRQLSENKLVRKHKDHGKIDTVVHSLQGEIKDERRLRRHLEDLHRKLGKELSEIKSAFLKAVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNFKNYELNFDKSVLHISEAWLDERMQMQNSAAKEDLAHETTITERLSSEIQAFLLSKRAGTHRSGTGSMEVPKIRSEHPHGL >Dexi7A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:7A:26939477:26940442:-1 gene:Dexi7A01G0017180 transcript:Dexi7A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAVLQAAQVGADVQGMAQQVVMGSWQIDLVSLAGSQAAQCSKGIDTKSSLSFGVAGGEMLLPRPRSGGASCAALLSTRDVRSGYKDLGRTKSSILAPP >Dexi9B01G0043950.1:cds pep primary_assembly:Fonio_CM05836:9B:43841905:43846311:1 gene:Dexi9B01G0043950 transcript:Dexi9B01G0043950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGVALAPLLVSPLAPYSPRRSGVATARRPSDLRRARCSATAASGEAGELSRATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFAKRYFILLAAAILVITWLNLSNDVFDSDTGADKNKKESVVNIIGSRAVTQNAAIVSLLLGFAGLFWAFAEAGDARFIFLVMCAIFCGYVYQVRVGTEVGSRIVVVAIAMLYILLAAFGICKALPSACTVLCALTLPVGKLVVDYVLKNHKDNAKIFMAKYYCVRLHAMFGIALASGLQDSNRTDYIENGCSTELVKFCKKWRGNARAQGAGDSISHSAVAVALMNISAGREVRQALAEERIVRVMVNLLDCGAVAKEHAAECLQNMTWSNDGLQRAVVPEGGLRSLLLYLGGSLPPPESAVATHWNLVGAVSPDSLVCWRDRERGREARRGGGAAIVLTGGERGGKGRRRRRE >Dexi8A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:8A:7531794:7532978:-1 gene:Dexi8A01G0006940 transcript:Dexi8A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSSAIAAMVALALLCGTASAQGFLSSIRKAKQPPKMSTPGNPKQLPPKGKYTTVVANKYHKRDYEITCTTDFGTSCYIKCPARCPNNFCLVTDDHLHINARFMGNHNAESGRDFTWVQSLGITFDRDDHRNHSLYIGARRAAEWDEDEDHVVLVLDGEPVDVEAAKNARWVSGTVPGLSVTRTHDVNAVTVELDGVFSVSANAVPITDEESRVHSYGKTEKDSLVHLDVGYTFHGLTKDVDGVLGQTYRPNYVNKLDITAKMPIMGGEERYRSSGLFATDCAVSRFHRVAGHSADGFTSFAS >Dexi8B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:8B:19201067:19210562:-1 gene:Dexi8B01G0010620 transcript:Dexi8B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDVRELLLSTTADASDPSTPLSAPDLRLLIDRLRLRSDRLHASALSFAASHRGALASSLARAASTADSAASLESSLDSALAPLASSPDLSDLKALADRLLAARQELAERQEHLAAASTIASLAARLREARAATNPLDTAAAAVELKPLLIDPERSGSGQDDPVVFGLLRSDWEQLVDELQVGLAKNVEECMEFAVEGGKVVVSATPRGSSSRTHGVELPVALQALETIDALDYGMAKIADLMIKHILVPAISKIDVAVSVEVLEEGGPKHSVSVLSVVPSEELKAVPNEASKLIEFQDVVRSTAEFENVLRSMMFLLPDRKDGKLTQFVDDVEVHFAVRKRSEILVKARNILVQYDYDNPLESGNRGDSVVDLLFLPEKCFTSKSALLLMELVHGALKQDASLSSARVAKEFCFAARDVLLLYKAIVPVQLEKQLDSISQVAAIVHNDFYHLSQEIIGLAFQYRADFPIDLQKQVVFVDLAPIFSQMAEVVLRRQIQLAIDTISEAIDGADGFQNTHQPQHYESAKFCIEQAVFILEKIRIMWESILPKSTYRKSMCHVLGSVFSRITRDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDGGTKFLDHDTWIQLDGTVPSLKKFRKLAELLDMSLKSITAAWESGDLVSCGFTSSEVQNFVKAIFADSPLRKECLGWIARTPT >Dexi7B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:7B:17319335:17322279:-1 gene:Dexi7B01G0009840 transcript:Dexi7B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMSSPSRPLLPCLFLIVCCAGLVFTITSNGERTTEAAGNGERKTEAAGNGEVKSRVLRGLNTLALRQKQPHAHAHGQGVSPAPAPAHAHLPLLHKDARLPVAHDHKGRNVTAPRPQSPAHGEGGDGERGSGSKKKSTQLVIVAAAAALSGAALVLLAVLVVFLSCRNKLQGKRGEADADRNSGTGTGTNKVSFEPGPGMFYLDAIKPYLDDASHDGGVKPTPEMVAAGAGPKDDDEEPPEHEEEDDAGACSDSDDGGAESVHSSCCFQSSSHFSYSELGKAGHGDGVSPASSVRSSKRRGGSASASASATPKSKLASPYSPLGRTRTPSSGEDRCRRARQSPSSSAVSVLTSQSLNDHQRKGSAQSVRCLRFQSGREAVTETEAMSSDATSNKTVPPPPPPPPVMVKKQQNVQTSGGGPAVPPPPPPLNAQASHGGPTVPPPPPPPPMLMPRRQNGQGSSGPTLPAPPPAPPGNLFRQSAGVVKNGATTLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFGYDAARCSAKHEEVQSRSPSLGHHVLDPKRLQNITILMKAVNATTEQIYAALLQGNGLSVQQLEALIKMAPTKEEVEKLQSYDGDVGSLVAAERLLKVVLTIPCAFARVEAMLYRETFADEVSHIRKSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMIRSQKPAPAARTAAEAAPDIVTGLAAELTNVRKTATVDLDVLTTSVSSLSHGLSRIKALVGTDLLAGDERAHHQCFVAFMAPFVAQAEEVIRELEEGERRVLAHVRDITEYYHGDVGKEEASPLRIFVIVRDFLAMLERVCKEVRVANRVCHGSNGALNNV >Dexi1B01G0028840.1:cds pep primary_assembly:Fonio_CM05836:1B:33283814:33284054:1 gene:Dexi1B01G0028840 transcript:Dexi1B01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGLHSHDDVEPAVVIGSGDEVDEATELKARPAHERRPAPPKIRLIGSPCQLITHRSVSGMTHSPFRIPATSLAVSSR >Dexi9A01G0048900.1:cds pep primary_assembly:Fonio_CM05836:9A:51634324:51662245:-1 gene:Dexi9A01G0048900 transcript:Dexi9A01G0048900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEANWDRLVRAALRGERLAGTYGQPVTGIAGNVPSCLRNNVHIEEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSGDIAKLQEFYKLYREKHKVDELIEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDMTKDISPEDAKNLISEEMKKVMQKDAERTEDVVPYNIIPLDALSSTTNAIVTFPEVRAAISVLQYHRDLPRLPGTFSVPDARNSDMLDLLQYVFGFQEGNVKNQREHIVHLLANEQSRVGKPSGNEPKIDGGAVDAVFNKALDNYIKWCSYLPYHLIWTNTDSLTKEKKLLYVCLYYLMWGEAANVRFLPEGLCYIFHHVSLHFLRCYLAGELEDILRKQTAEPAESCSSNGSVSFLENVISPLYDIIAAEAANNKNGRAPHSAWRNYDDFNEFFWSLKCFQLGWPWKLENQFFSKPSKKDKGLLDRNHHYGKTSFVEHRTFLHLYHSFHRLWMFLIMMFQENNYVGRGMHESPLDYVKYVAFWIVILGAKFSFTYFLQIKPLVKPTRTIISFRGLQYAWHDFVSKNNHNAITILSLWAPVVSIRSVEAVHRFFEKFPRAFMDKLHVAIPKRQQLLSSGQDAELDKFDAPRFAPFWNEIVRNLREEDYISNAELELLLMPKNDGILRIVQWPLFLLASKVFVAKDIAADFKDPQEELWSRISKDEYMRYAVVEVEKIYGGIHESISKNNIQKDLHFKNMHIVIAKLVAVLGILRSTTESSDLKKGAVNAIQDLYEVVHHEVFSIDISGCLDDWDQINRARAEGRLFNNLKWPNDPGLKNLIKRLYSLLTIKESAANVPKNLEARRRLQFFTNSLFMRMPVARPVSEMFSFSVFTPYYSEIVLYSMAELQKKNEDGITTLFYLQKIYPDEWKNFLTRINRDENAADSELFGNPSDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMQSEDLESTFALAGSADTHFELSPEARAQADLKFTYVVTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVESVKNGKPSTEYFSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSLKRGKHHPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIAVFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLFAICFYQALSGVGESIQNRADILQNTALNAALNTQFLFQIGVFTAVPMILGFILESGVLSYRATGRGFVVRHIKFAENYRLYSRSHFVKGMEVAFLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELVYWISWAVLGGLLVLLLVFGLNPKAMVHFQLFLRLVKSIALLMVLAGLIVAVVFTKLSIYDVFASILAFVPTGWGILSIAVAWKPIVKKLGLWKTIRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >Dexi9B01G0031920.1:cds pep primary_assembly:Fonio_CM05836:9B:34229602:34230347:-1 gene:Dexi9B01G0031920 transcript:Dexi9B01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVKVGAWGGDTGSACYDITVAPQRLESITIRSGKVIDSIAFAFRDRDGKLHAAGPWGGAGGVDDNTITLEPSEYITEVAWSLGSFELEKVDCCVTSLRFVTNQQRTYGPFGNGLGTHHTMPVIDGGSVVGMFARAGKFIDAVGFYVLPDAVVQDYKGSN >Dexi3A01G0033840.1:cds pep primary_assembly:Fonio_CM05836:3A:38859384:38861612:-1 gene:Dexi3A01G0033840 transcript:Dexi3A01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTVASAAAAAGEAAAAGEGPLAMEMPIMHDGDRYEHVRDIGSGNFGVARLMRNRATGELVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEARYFFQQLISGVSYCHSMLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTTKEYNGKISDVWSCGVTLYVMLVGGYPFEDPEDPKNFRKTIQKILGVHYAVPDYVHISPECQDLISRIFVLNPDQLLSDGLDMDDDMEDLESDLDLDIESSGELVYAM >Dexi3B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:3B:6988046:6989691:-1 gene:Dexi3B01G0010080 transcript:Dexi3B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNWQWPGAEEAPAADGAQVWAAPAGGLPLEYASIVDIFGSSCSLQPYNYAVPASISSSAGQQQVVDFNYYAAAAQQRHDMTVEGTAPQEDPLEHYVNNPKKAKTLFKKKKKKFDAAYNADFLKRKMHRYPASIRALDKDGLYTKPRVVAIGPYHHYDGEHLKQAEEVKHAAAWHCMKKSGLKVRELYQAVVSAVAENVVRGLYDDEDVMAGITEDEFLPMMFYDACFLVMYMVKRSGLGCNRVLADFFESNKDSIAHDIMLLENQIPWPVVEAVMNKFESFPLESMVKFIARWKEKWLQDRALAELPRVDWEEGYEPPHLLGLLRFYMVGEISSIGSSEFKVSEMGIQLTADETRGLPDMGLARKWIFFAELSMAPLCLNDLRASQLVNMAALEACTTPNFFGKAAEFEDSAVCSYLLLLGMLMHREADVHQLRTEGILQGAGLTNNKTLDLFTSLHCLPEGRCYAYVMSQIEAYRVTRCPGWLWVYRFGYRNLDYIIKLTTVISILYGFYKFLDLIISAHLHHH >Dexi9B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:9B:5604692:5605294:1 gene:Dexi9B01G0009070 transcript:Dexi9B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARIIFCVVVAAAVLAVVLLATVSPLPHRAGKGAPGTRTFTVYVHPTVQARQQGQLEAAVHQRGGASALVFHHRMTAAPERTSRTIGVASGFVLLPAGGERGVAVTSVFDTVHLAFDGGGAAGMFAGSLCVEAEASKRRPGKRGRDAEEDKEVLRVVGGTGAFAFARGHAIVRGQRAGPGDTAPALLLEISVSSAGSLV >Dexi3A01G0033250.1:cds pep primary_assembly:Fonio_CM05836:3A:38032798:38038797:1 gene:Dexi3A01G0033250 transcript:Dexi3A01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDDKAVCIHNCGAKAKPYHGGHEPGHEQRDGVPRVLAGESSRSKCHCSGFLSAGRASETAAMNTGSSSAFISSFHLGKTMRRYSPPYRSPPRRGYGGRGRSPPPRRGGGYGGRKEGSGSLLVRNIPLSVRAEDLRVPFERFGPVRDVYIPKDYYSGEPRGFAFVEFVDPFDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGHEGGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSPSPRRKEAPRPSPPRRPPKELDEDKRRSYSPAGRDDADNGYEK >Dexi9B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:9B:4216065:4216765:-1 gene:Dexi9B01G0006990 transcript:Dexi9B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDRSAAGAGAVGSAGSLGLRVGQAIFSSASLLFMSVGVEFFSYTAFCCTLAMIDVYSVFVGCPLRVPGVMVIVAVGDSVLSILAFAAACSSAAVIDLLLQFHGSQCSPRFCGRYQLSAMMAFLAWFLTAASALFNFWFVASL >Dexi3B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:3B:5055310:5059000:1 gene:Dexi3B01G0007170 transcript:Dexi3B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKYENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDMSEGLLEDCAQLVKANSIQGNKINNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRTIKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKAQLRDKKRKEEMERLEKEKQAEIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFM >Dexi5B01G0026210.1:cds pep primary_assembly:Fonio_CM05836:5B:28015978:28017042:-1 gene:Dexi5B01G0026210 transcript:Dexi5B01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAAVSSPTVSGGDGKVIGRPHGVPAAEDSPGSSPVSPAAPSTAAPAATGRRRSANKRVVTVPLADVSGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPSHDVAAGVLGTEGGAAAAATAAVEVHDDFRWLYDGVSVTSSTSPSDVDAAADEMLYGATMFFGGAVAGAPLSDEFGDVGGLFDGGEGGGEEDAMFAGLGELPECAMVFRRHAGDGKVGQQPAESAAMS >Dexi9A01G0025340.1:cds pep primary_assembly:Fonio_CM05836:9A:23564070:23572940:-1 gene:Dexi9A01G0025340 transcript:Dexi9A01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGASAVRDVMHTKCLISHIQNFPTETAPAGFVCPSCSTPIWPPSSIKDTGSRLHAKLKEAIVQTGLEKNVFGNHFVTIHKADTRTPPAFASDPLKHLSGSGDRESNGANIGPARDASLRSTLHSGMYSSAGVGSGTPIHVEPEIVEIEGPSPLITQFPEQESNFIRSPSPHGPGAMTRKGATSVDRQNSEISYYADDEDGNRKKYTKRAPVLAAPRKHAASVLAAPPELAAPHKHAASMLTALLELAAPVLPAPPELRHHRPPPPELAAPMARRIAARSKRQERACIATMGILYYRLSQRSLSENFVEDEIQ >Dexi1A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:1A:15635824:15637788:1 gene:Dexi1A01G0013250 transcript:Dexi1A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILVVFGEEDALLLAHPLLNYTAFASPADTFYYVQIKDVLVGGEPLNISSDTWAVSKDGSGGTIIDSGTTLSYFAEPAYKREEIGNR >Dexi5A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:5A:22870207:22871622:1 gene:Dexi5A01G0019300 transcript:Dexi5A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCGLGHREIMLCHGGDSGGAGGGGSGGGGGSGLRLFGVQVHATGGGGAGSAAMKKSYSMDCLQLAAPAGCSLVSPSSSSSSSLLLSIEEGLERAATNGYLSDGNARVVADRKKGVPWSEEEHRQFLAGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICEKSSCVSDPLSSEGASTSLSLNVQRHERSERTTALDLNSTEEDDSRADVSSASAGTRPFPAAPLELQASASHHGPAGHGHHCSPLDLELGMSLSTPSIGT >Dexi8B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:8B:7256141:7258958:1 gene:Dexi8B01G0006270 transcript:Dexi8B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAASPATGGEEYGGRVTTFVVLSCVVACSGGFLFGYDLGVSGQFPTSIHTVYCCAQFGIAITGKSTIPLYLAEMAPPQYRGAINSGFELSLSLGILFANMVNYFVLKIKGGWGWRISLSMAAVPAAFLTISAIFLLETPSFMIQRDGNTNQARVLLQKLRGTTSVQKELDDLVCATNISRTSRHPFRDLVFKRKYRPQLAIAIMTPFANQVSGVNVINFYAPVMFRTIGLKESASLLSAMVTRLSYGWSWGPLTYLIPAEVCPLEIRSAGQSVVIAVNFFMTFVVGQTFLAILCHIKSATFVFFGVLICLVTLFVYLFLPETKKVPIEQMDHLWRKHWFWKNIVRREEEEEEEKQSKTITSLSS >Dexi6A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:6A:2419843:2421692:1 gene:Dexi6A01G0002570 transcript:Dexi6A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQKQKARKQAKAMVGVAMTLALRAPSPSPAAPYPCGVAGAGAALAFREPPSFPSLHAAARRRGVVLARAAVAGGDTEDEWGPPEPAVTGAAAVAEAEAPASPEASEVAGLKARLKEALYGTERGLRASSETRAEIVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGRLPELVKVDEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEIRSPKRVQIKFDEGVIGTPQLTDSIVLPEKFELFGQNIDLSPLKGIFSSIENAASSVAKTISGQPPLKIPIRTDNAESWLLTTYLDEDLRISRGDGSSIFVLFKEGSTLLY >Dexi9B01G0029650.1:cds pep primary_assembly:Fonio_CM05836:9B:32115624:32116430:-1 gene:Dexi9B01G0029650 transcript:Dexi9B01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGELKLLGLWASPFVTRAKLALQIKGLSYEYVEEDLANKSELLLSSNPVHKDGKPVCVYIDEAFAGTGPSLLPVDPYERAVARFWAAYLEDKLVTPWGRVFRVKTEEERAEAMMQTIAAVDALEGGLEECSGGKGSFFGGESVGYVDVLLGGLVSWVKATELLSGAKIIDAAKAPLLAAWMERFCELDVAKAVLQDVGAVVDYATAVQARVAAATIPNSN >Dexi3B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:3B:1555308:1555517:1 gene:Dexi3B01G0002240 transcript:Dexi3B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVSRSHSTRVPVPPPGHGGGGVGGVEGAGVGRRWRGAEGAGGEVSKEPAASRETAATARRRESRWW >Dexi7A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:7A:21301413:21304334:1 gene:Dexi7A01G0010520 transcript:Dexi7A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPPAAPTPPLLLPESSGEDGGHDSSSRAAAAAAAGSAPKRRAETWVREETLCLIALRREMDAHFNTSKSNKHLWEAISARMRDQGFDRSPTMCTDKWRNLLKEFKKARSHARSSGGGSGAGGSGNAKMAYYKEIDDLLKRRGKGSGSGGCVGSGSGSGVGKSPTSNSKIESYLQFTTDNGFEDASIPFGPVEANGRSILSIDDRLDDDRHPLPLTAADAVATNGVNPWNWRDTSTNGGDNQGTFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRTLDRDMPIGTYTLHLDDGMTIKLCDASRMQTPEAKTFYTEEDFRDFLTRRGWTFLREYGGYRNVDSLDDLRPGVIYQGLRSLED >Dexi5A01G0033410.1:cds pep primary_assembly:Fonio_CM05836:5A:35795668:35797098:1 gene:Dexi5A01G0033410 transcript:Dexi5A01G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVGNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAIIRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETARTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEASGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEIAALAPSSMKVKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHMKCF >Dexi7B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:7B:20577555:20579551:1 gene:Dexi7B01G0014270 transcript:Dexi7B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARVGSVSPVLFKDGEGCGACYKVKCLDRGICSRRAVTVIVTDECPGGGLCGFGHTHFDLSGAAFSRMAVAGAGGRLRDRGQLSVVYRRTACKYGGKNIAFRVNEGSTNFWLSLIVEFEDGEGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRVTTLSTKKTLTARDVIPRNWMPKATYTSRLNFEPSL >Dexi3A01G0028680.1:cds pep primary_assembly:Fonio_CM05836:3A:29523087:29525442:-1 gene:Dexi3A01G0028680 transcript:Dexi3A01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRFLSFLLTAMALFANTVVVVVVSQNYAAVRPNCSTTDNYTVASLYQVNLFKLMHDLEAGAIENRGFNTSREGDPPDAIFGLAMCYADVNWDQCQKCIEAATASAEQTCPFSRRMKASYKACILRYSDESFSSVADLSVASYTSIDVNATDMAGMNTTGWKLMVGLIREASNSSLRWANGSVVFSDSDGSSQVLYGLVQCTKDLSASECTRCLTDFTAKLSSSHSNGSNYGAIKGYSCYVVYQIGRKLGITIPPPPSQLTPPSPSWTIPLQEATSPPSYTKGMTLEQELGVVSDGELQEEEEFKKGAVPKRFHYRDLAVATRYDIVLGIGSALLYLHQDCQQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGRDSHTTVLAGTIGYIDPDCMIAVSACAESDVYSFGVIILEVACGRPPRIENAEGIRLHLVQWAWEFYGRGRILEVADPRLDSEFDSMEMERVIITALWCAHPDRAKRPSIREAMSVLRRELPSLPAKMPVAMFVPPPLDKFQTNAETGTGGSRTWVTTGSIGAA >Dexi2A01G0023720.1:cds pep primary_assembly:Fonio_CM05836:2A:35378354:35379091:-1 gene:Dexi2A01G0023720 transcript:Dexi2A01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMSAAESSSPCSWASASTSTSSEHHQTVWTAPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFETAEAAARAHDAAMLAIAGAGACLNFADSAWLLAVPASYASLAEVRRAVAEAVEDFQQRRREAISEGDDAGSSAPSSSSPTASVEDEVSTTDGEGEESSSSSSAAEGSPFELDVFNDMSWDLYYASMAQAMLMEPPSAVPAFGEDGYAAVGDVPLWSY >Dexi2B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:2B:2958182:2959803:-1 gene:Dexi2B01G0003280 transcript:Dexi2B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCALPLLLLLLLSLAAAAALAADHHQPHPQQNPALPSSPSSGLSSNSVLVALLDSRYTELAELVEKALLLQTLEDAVGRHNPLRLSPAAVTRPDDVVRPDGLIHGVDRLLVPRSVQEAFNRRRSLAAISAVLPTGAPEVDPRTHRLTTTKATASSPLGAPPALPVWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPEKVTAREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLFPPAADDGGRTTRSSPAAAPARRAPAVTGATTARPKLRRGKWR >Dexi2A01G0026660.1:cds pep primary_assembly:Fonio_CM05836:2A:38243476:38244602:-1 gene:Dexi2A01G0026660 transcript:Dexi2A01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLEAPGVKGVPHPEKDAINGLVRRIVAANDPPVTSAFSVLDLGKVAELFTAWRHGLKGVPPYYAVKCNPNPALLGALAALGSGFGCFSPAEMDAVLALGVAADLIIYANPCKPESHIAYAASVGVNVTTFDSVEEVGKIKRFHPSCKLLLRLKVSDADEALLDLGTKYGALEEEVVPLLRAARSAGLEVTGVAFHVGSAVSRVGVYDAAVEAARTVFDAAVALGMPPMHVLDIGGGFTAGSAAARFEDANTAIARYFGDMTDVEVIGEPGRYFAQTPFTLATRVFGKRTRGQVREYWIDDGIYDTLNCVVNISRYVPRPVPVVASACHLAGDYDDEEGGETHHPSTVFGPTLNPSTWCPSIGCHGGATP >Dexi9B01G0035400.1:cds pep primary_assembly:Fonio_CM05836:9B:37080003:37089754:-1 gene:Dexi9B01G0035400 transcript:Dexi9B01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMLLLALLLVACGAAAAQAAGGGSNSTAFVPRDDILLDCGATGQGNDTDGRVWNGDAGSKYAPPSNLAAAAPASGQDPSVPQVPYLTARVSAKPFTYSFPLGAGRKFLRLHFYPANYSNRDAADGLFSVTVGNITLLSNFSAYQTAAAITYSYLVREFSVNVSTPTLDVTFTPEKDHPNAYAFINGIEVVSSPNLFGISTPNLITGDGNNLQYPIDATTAMQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYIFGASFGVSYPSDQNVTITYPGNDPEYMAPVDVYSTARSMGPDKNVNLNYNLTWMLQVDAGFTYLVRMHFCEIQYPITKINQRVFDIYINNQTAMKGADVIAWAIMSGATTYIGTPVYQDYVVNTYGLGPMDLWVALHPDVDAKPERYDAILNGLEVFKIQLSNGSLAGLNPIPVVEPTEDGATKKKSAVGPIVGGVVGGLVVLALGYCLFVVCRRRKSAGKDAGMSDGHSGWLPLSLYGNSHTSSLAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYRGEIDGGTTKVAIKRGNPLSEQGIHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCEMSDEGTPLVMVGKKDPNDPSIESSTTTTTTTSISMGDQSFQPPAVRREEVVAMADDEQPERKEEVPELAPFDPTKKKKKKKVVIQEPSDEVDKLAEKTESLNVTESSEPSFAGMKKKKKKHVELDPSLTEAGDGDDAGDDQVKEDEEGEGIVLGGAAAARYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQSVVRQGQLLPSRLDLSPRLVL >Dexi9A01G0029130.1:cds pep primary_assembly:Fonio_CM05836:9A:33898965:33917293:1 gene:Dexi9A01G0029130 transcript:Dexi9A01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADRSNTEPHLEHFAPFLIDKKTEQAAGSAAEKCIASEKLPSSNNTSGSCPAVGNYFESVHDSHSMDKLNVHSVEVDSRNLNSEPFTELAPLQNIYVTDSYNFEQDNKESGVGITSEDSKICHINENKVEGGLHELQTLSCAGQSLGAVNLSNQVSNESLLPESSDGLLEAITNPVKLHRSDGSNRVNNTLQPSLSPLQHGSEVLNSGVDRSNELIVDEFGTGSTSALSHRPEADSRNSHPHLVSSLSPKRTVADATGIPEETKNDGVNNTNISCTGDESKPGVLEHHQDSADSLKSGDIEENTFREEMPAVSGHIEQMVENDHEENATGATGTSKGKVDSSDSIAPGNFSSDTFNVSENSNIPSTNHEESFKELDTPALEEDPENTHMDLSTSGHQEKMVAPAAMISSRSGITSITVTDTLGTSKDKNVCSIAISADDSSALPDEKELKVSTMNHEGPFNEGAKSAVGDEEHNVISPGSEPGREMSDMPVDSNVDVYNVTVSVSKEEEHKEQASLLGGSNTGEAEDKSGNSTDTSEKCQTDKVVEKVTESQATPPALGISTGKVVEKVTEIPPNASDDMDTHAQDTVLNHGTDRSPGPGSQGEVGSGLVEPGKGNGICTRATCGSPSVISCAESPQEGGQGSNALLCPLDGQSGPKDCEDSADAAQQPKQCSTSNVESAPDSEETNTAGGDRRFSFEVGARPNVSEKAHSPLWSPFPRYEASQSIEVTSENPQPGSSMRPTSDDSKKTSIVKAGKEQLPESAGGPSDNSNIGDSTKVKSSPPEQSQQHPTPECSDLVNFPFTDPQHLQLRAQIFVYGALIQGAPPGEPYMVAAFGEPVGDGKPAWEAPWRAAVERFLYQKSLYAGLETPTSSRIATSIVSSPMAGVDDKKQKMAGVDDKKQKAPASSSKHGTSQKPRKRKKASASPEQQPVFASPQLKLPEQQSIFASPQLKTEITSFTAATKPTAGFTLSTHSPSNPLGGRVVPNTGQITLVPNYQITGGADSEQRIIFSEHIRGAIEQTTGQAKGASMHSLEAIRHHEGIWSHLSTISRNKLPQAVEEKLTSAVAAAEAAVSVAKAAAEAAKMASDAALQAKMMAEEALSSSISLKPVHNEAGEFNISSNPPGLPSSTPASSLKTKDNTHAPGPIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLRELLEAGPDGYWKSENVKNKAGVVKDNPVTETLEAVAPANFSKSGRKRGRKPKSDQAIPNLEPSLSGKELHSVGIHSGHGVEDVPATVPLDGNRVDTAPINFMWNGIEKGSAVEVLSDKGGFGVAWFSAKVIDINENNAFVSYDNHNVGGGGELLAVDGQGLRPSRVWKDGQWIEWSRARERKSKSNKGDSPLEKRQRTDLQADGDLSIGCESGGPSKDKNTNNTKMPEEPKPLALSQRDMVFNIGKSVVENRSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMELLEGLQSSLIISKVPGEKVPRSNYRSTSTRAQERRELAVEQRVVGSSSSQAFGMVMVMPILPQKSRRSKGNQEKMAEIFDKMKVEFVDQDESVQAVADNIRDTSVVPERDTLDKYSLELANLSVQLFKFMANNLGVNQEALLGTFKGLPQSMRINHYPSCSQADKVLGLSPHTDGVGMTFLLQVNDVEGLQIKKDDKWFSVKAIPGAFVVNIGDALEILTNGKYKSTEHRAVINPTKERITIATFLSVQLGCMIGPLQELLKAGEARYKTLDSIEFTKGYFAAKLEGRRSNDKIPERYVRKEVRSEELIAGHGSTMAIPVIDLNKLLDPQSLEECVKLASACENWGFFQLINHGVPDEVTDNLMNDIAEFFEQPLEAKKAYSQLPNSLEGYGQSFVVSENQKLDWCDRFFLLVRPVESRDLRFWPTTPASFRHSVDVYSSEVAKLSCRLLEFMAKGVGAEPTSLLGICEGQHQGMRMNYYPPCWQADRVLGLSPHSDASGLTLLLQKKGVQGLQVKRDGKWFPVDALDGAFIVNVGDVLEILSNEKFRSVEHRAVIHQNKERISVAIFQQPCQDLTVGPLPEFVKGDKVRVK >Dexi5A01G0026290.1:cds pep primary_assembly:Fonio_CM05836:5A:29950285:29950689:-1 gene:Dexi5A01G0026290 transcript:Dexi5A01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGKGDSEAPPCYLEIAGRRREARGRDAAASSGDRDAAWWESLAERFDGELERSAARARRAPPAAAAIGDVGDGEDAAAETRALI >Dexi4B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:4B:16560525:16561112:1 gene:Dexi4B01G0015210 transcript:Dexi4B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVESSESRERRRRSEAVAWLRSLLAGEGLPLPPPRASDDELRAALADGALLAAALRRLCSAASTEGGASAAAAGGGSDIARFIAAVERMGLPSFAANQ >Dexi3A01G0032800.1:cds pep primary_assembly:Fonio_CM05836:3A:37668338:37669125:-1 gene:Dexi3A01G0032800 transcript:Dexi3A01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSATKMYTARTDDTACSVMAPGRVYYSLTWRAKPMRMRPYRKPGTTSTSMDGSATSASHQQPTAAPPRVASPQSLQPLYRRSPCSSSSRTHLERRSGGSRQRRRSWWWWCSAPELWFPAEEEDTWRLWSAEEEETWPWPAPRGWPWKGGVVARPWKEAEGKRGRSRRWERKRKRGGERSRRLEGGERK >Dexi3B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:3B:7682924:7683260:1 gene:Dexi3B01G0010910 transcript:Dexi3B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYPAAYLPLPPHPGGRWEERPVARSPLAGVVVEVAANGGGGGSAGGGGRGGAGAPVAGQGRIPGGRREQRGRGTGEEEEEQCTGGGGG >Dexi7A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:7A:18938168:18940335:1 gene:Dexi7A01G0007650 transcript:Dexi7A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADTGNPLAVDEAISFVRDLEGVLRASNDGDASAHTGAGARMLLSACRSDSDDLELQIRDIVDKSGKKIEKFEFEKTMPPAEICDRLWKKI >Dexi9B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:9B:8870970:8872410:1 gene:Dexi9B01G0013150 transcript:Dexi9B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTPHSPLFLELMACLVCIDSDQPPGDLPPYPLPLYPTQNSKYNTTHAPNGLLSSSLLLPLPLPRPPAPPPGRRRGLAGAGAAEIGAGGHLPRAQPLEQIVLKSNPGLKGPIPSTLGGLRSLRVLSLSQNGFTGGIPRELAGLAALQQLDLSYNNITGEIPEEIGGMASLTILDLSWNNIDGGVPAAVGKLKRLQKLDLSYNHLAGVLPPELGSLRELVFLDLSHNRLTGPLPGSLSGLSKLQYLLLQDNPLGTTVPAAVVGGLRRLQVLGLSGCGLTGPIPRGAFATLASLTALSLDRNRLDGPIPATLAALPHLGQLNLSQNRLAGEIALPGEFVARLGRRLDVRGNDELCVGRGLQGRSGYLLGAPPCADRRSGGDGSPAERSGAAAVDGGGGRWGYRYGSVGVWVWCHVFVLSLVLRL >Dexi9A01G0041800.1:cds pep primary_assembly:Fonio_CM05836:9A:45394161:45395065:1 gene:Dexi9A01G0041800 transcript:Dexi9A01G0041800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVKSHHQMLGSSSTSSSSPSASRQPPPPAAAAAARPPPPPPSSSCLTDQQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAPAPGEDGGAAGNNTATGGGAATTGGGGAPRKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRYVVY >Dexi5A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:5A:25518515:25521998:1 gene:Dexi5A01G0021700 transcript:Dexi5A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDPVMAEVLSGPHEHRLSSALDGHYDEKRKSNVEFSEDEKRAMIASLKKKAMSASQKLRHSMKRGRKSSKVMSISILDERDPEEVQAVDAFRQLLVLEEMLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLKWRKEFGADTILEEFEFEEADKVAQCYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAVKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGMLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKGFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDPEIMKMVQSGAGRCGSLSSASFEAEEKMICEDDIVYPKKQASFNGEAQIAVDGQRTLSRKISRSRIEHPQLSPVHEEAMLTSYLTPGSPYSCDVPMVEKAIDAICKSQGTLPDEKLAITKAVVNASNGSSPPLFGGIIALVMSIATMLRVTRNMPGKVLGAALGDAKPASLTKSKSKTQARQRSKISPEAVKVAEDIVSAKRLMELEEKILSLLTKPATMPADKEEMLQAAVSRVSALEEELAATKKALQETLERQGEIVAYIEKKKKKKSKVTNSRKNKSRNRICLK >Dexi7A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:7A:27688688:27689931:1 gene:Dexi7A01G0018240 transcript:Dexi7A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDKLFGREVRLLIHHRLLLGWKTVLLDLPRMMAITNPMEPGAAVGNENPQAAEGEGNQRNWLGGILKEVQLVVVGFVASLLPGFQHND >Dexi9B01G0019580.1:cds pep primary_assembly:Fonio_CM05836:9B:14150646:14150945:-1 gene:Dexi9B01G0019580 transcript:Dexi9B01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLQLVRSAPRPPPPRHPAAKKKPTVPCAFCGVLCMTAWHLKQHEKGRRHRNKVNVRCPVCDVHLSGALNVQQHYAGKQHLWRLKHGGA >Dexi5A01G0038150.1:cds pep primary_assembly:Fonio_CM05836:5A:39226993:39228239:-1 gene:Dexi5A01G0038150 transcript:Dexi5A01G0038150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNLQAYSHLIFLFSTLLFSQSIDLKPVRASSLPIQALVAPITKDAATSLYTLSLSRNEYLLDLSGPLLWSPCSPTHPTIPCSSGEYCAAAAPGAPNFHDSQCTCTARPTNPVTGDQAIGDLTLTDVDTNATDGNTPTAELAVHGVVSSCAPDSLLRSLPRGVTGDAGLGRGSLLSLPAQLYGKLSLSKRQFAICLPSTATAPGVAFFGGGPYGLMPPTQFDAGAALSYTDLVRDPMRTSTYSIRLRGIAVNQEAVPLPAGALDRGGGGVTLDTALPYTVMRRDVYRPFVDAFQRSMAHVPRMPSRFTRVGYAVAPVDLMMASRGGGGGNWTVFGANSMAHVAPGVACLAFVDGGWAVESAVAVGGFQMENLLVFDENASRLGFSGTLLFIRTTCGNFNFSRD >Dexi1A01G0026940.1:cds pep primary_assembly:Fonio_CM05836:1A:32809777:32812657:-1 gene:Dexi1A01G0026940 transcript:Dexi1A01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGTAARREPLKQRVNRCLLKLADRDTEAMAAAELEAIARGLGPDELTAFVSAVSDARPTDKTPLRRHALRALALVASSHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDAARAAAAASASASAALRPLTDALLHEQDQCAQLAAALATAAAVEASALTADLASYLHKLQPRLLKLLRSNAFKAKPALITLIGASAAMGGDAEVTASIPCLRDAIASDDWAARKAAAEALAALALEHADLLTAYKSSCVTFFEARKFDKVKIVRESMNRMIEAWKEIPDAEEEECSSASPPASQSQRRSSLTGSVSEGRYPAPSLGSNSVPSATRKSRLPASRSSPPNVSPSVTKTNSTGSIRNKKLSPPSYRKVRQAKNCNYKVDIDVAPDATPIKVVTEEKLLKGGNVRDRLEARRTLFQGSEDRSAKLVGLKAGSRVVPYEGGGNLEEISEVEGGSERFAVHKDESLSEIRTQLLQIENQQSSLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAFSSGGMSTREPDVKTCCILNPKFWRRHGGGRSSSRFSASDPANSSDESRTSYKWERQKFGLQGGFVTNPLAEPNISSVGKTMVAQEGRRKDTTLRK >Dexi9A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:9A:9699889:9702228:1 gene:Dexi9A01G0014710 transcript:Dexi9A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRVHLRLVSTAAVRLHPPPSAAIYHEAKDKKEWQLELEQHIARGQLAFARQVLDRIPAPDARAYNALIRAYSWRGPFHAAIDLYRSMLHRRVAPNKYTFPFALKACSALADLRSGRAVHAHAAAAGLHTDLFVSTALIDLYIRCARFGPAANVFAKMPTRDVVAWNAMLAGYAQHGMYEHAIAHLLDMQANDRLRPNASTLVSLLPLLAQREALPRGASVHAYCLRACLDQKEEQVLVGTALLDMYAKCKHLVYACRVFHGMAVRNEVTWSALIGGFVLCDRMVQAFNLFKDMLTQGLCFLSPTSVASALRVCASLADVRMGTQLHALLTKSGINTDLTAGNSLLSMYAKAGLINETMALFDEMAVKDTVSYGALLSGYVQNGKAEEAFIVFKKMQACNVEPDVATMVSLIPACSHLAALQHGRCSHGSVVVRGFASETSISNALIDMYAKCGRIDLSRQVFDKMAARDIVSWNTMIAGYGIHGLGKEATTLFLGMKNQGFAPDDVTFICLISACSHSGLVTEGKHWFNMMTQKHAIPPRMEHYICMVDLLARGGFLDEAYQFIQSMPLKADVRVWGALLGACRIHKNIDLGKQVSRMIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEIRVTQKVKGFKKSPGCSWIEINGSLHAFVGGDQSHPRAADIYQELDNILIDIKKLGYQADTSFVLQDLEEEEKEKALLYHSEKLAIAFGILSLGEDKAIFVTKNLRVCGDCHTAIKYMTLVRNRAIIVRDANRFHHFKNGQCSCGDFW >Dexi5B01G0022370.1:cds pep primary_assembly:Fonio_CM05836:5B:24646465:24647712:1 gene:Dexi5B01G0022370 transcript:Dexi5B01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFSSTRQKHGTERRRQDPAAPRPRSVSENRGKRAEREQVAEVLEVVVLQHRRRRGPAAGRLLAWRRGGGDVPRRLRRRRLGVEETVVGLEVPEAARGVDRRVLERHELAQRLLLQDGEAAASAAAPGVVGHRLARRGAGVGVVVLGVTILREGRHGRDLAEHGRWPSPAPELAAAEKLVVALGPRSWMAALSYTRAASSAVSKVPSQTRTPRHGSRISAANLPHGRLRTPRNLELVVAPGPPPLASRLLAPPLLAAGPPPLAPLSLLTRSPSPALHGSGTGAAGGGSAKGGSSRTILPILLEPHPSSSPEESVRSGSSQKILTVRDRDMDGTQAVRDYASGRSNPSASPHRDGKMCNCSSSFRMNQEH >Dexi7B01G0024630.1:cds pep primary_assembly:Fonio_CM05836:7B:28933209:28934543:1 gene:Dexi7B01G0024630 transcript:Dexi7B01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQNCRHLLSRIFVANPYKRITMSEIKSHTWFLKNLPRELKEEAQAAYYSRRGDAAAGAGTSNGNAPAAAAASLSFSVQSVEEIMRIVQEAQTVPRPARPVSGYGWDDDDDQYDDEAQGQDEDQEEEDDYDRTVRQVHASGDFDISKLQI >Dexi5B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:5B:1685016:1686701:-1 gene:Dexi5B01G0002680 transcript:Dexi5B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHREREPSENGGRPRQTTIEDTDAYELRSTPPKTIDTDLPARRSHQEEMDGMELDADERKTRVCVRRIRRFLWRREEECEVIFHGCGVPPTTRALFIPVQPSRWDHVADGRRCCSSSDKRGIGCGVDWSTRRSQSQSGEVSGSGGEINPPARGPTCVSGESVARRRSGQSLTLLPCWSDSATMPIAIPNV >Dexi9B01G0047050.1:cds pep primary_assembly:Fonio_CM05836:9B:46269553:46270386:-1 gene:Dexi9B01G0047050 transcript:Dexi9B01G0047050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPPAVQGLVSALPPPAPHDIAAAVASTLGIALGVRLVVALSRSRALKPLADATSAAAAALRAPRVVATASSPVAALLAASKAASKSYKAARTLGPAAGLPKLPSSKRLKAAFAAASLLRLATAAPALPAASPAGVVVLAVLKSGYKLSKNTSKIVHKGFRNGIDALGVVVKVAVIASEVAVWVGAGHLLGYRSSRCVRFLGSFSRPSSLVLLGSTKSEPQVVLQGFDPVIAEMDAEGCQLEERGASELLSLAVPLPHVTTLVS >Dexi4A01G0023300.1:cds pep primary_assembly:Fonio_CM05836:4A:26418801:26430804:-1 gene:Dexi4A01G0023300 transcript:Dexi4A01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVYKRKGNLKICERKAKRVQQQTFEIPDELVREILIWLPVASLARFKTVSKAWLAIISDHSFVPAHLHCSKQKQQQNPSSFLITPRILQGPGHVGPGIIIESFSTGTRFYHWCLPQVTGSSSATLICRRHFPDGEFGEVVPMAHCDGLILLPTDTKVYVFNPATKDAIALPQSQRNMMRHYGCLSVGSGLDTSIGKYKVARTFHRSSDDGPMEIFTMGMEVFTINGENGSSWRETSVDLPYPILGSQAGTCCQGCLFFFIDKNNQQLPPQGLLRFSLVDETFGVTPLLTNLYPSVEDEDIFINELDGELCASLLSKICKKCNFKICERKGKRVQQQTFELLDEIAWEILIRLPVESLARFRTVSKAWLAIISDPSFVRAHLHCSKQKQHRNPSSLLITPQSLLKPGVKAFSTNIHIYQWSLREDMRDSATLLFGRNFPAGEFETVSKMAHCDGLILLPTNTKVYVFNPATKDAIALPESERNMMGRHSCLHVGLGLDTSTGKYKVARSFYRCRDYDPMQIVTMGMEVFTINGEHGSWREILVDPPYPIGLFAGLELRWLGFICIAFFVQYNFCTSAQMPNDRANWSDMATKTLLDLCIEQKRLFNWNRLGPSLHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYQTWKELQNSSGLGRDRNTGGVAADDTYWDTSSEQQTHGKPPPFLEELELLFGHTPQDRGTLLTAGGVRESTPTIGSDDTPQEISEDPHSATAVRNTSKRTSRDEVVDSPKKKKSASMEDYVKEISESVAKRCERRSREQEECDRTLQILEEDGIMEGSELYCMATYLCTKAANRRFFTQMKTKEGRLNWIKFHLEKGTK >Dexi3B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:3B:3098919:3099779:-1 gene:Dexi3B01G0004560 transcript:Dexi3B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDSCNGLLLLRCTSAHEEPPSRPFYVVCNPATREWLVLPQPRHKPGVYAPAMLGFDPVVSPEFHVFQLVQEPNYELSVEAVEIYSSETGRWVFKEGDWSGNDMRLTGHMTYFNGFLHFCIISNSVASVDTKGQSCRVSRVRHDAIGGYCTSVGHSQGRLLYVYDNVWENDDMSVYFLEDHDNEEWVWTLKLNISKTDLFGPPTFRGGWNYYITAFHPDRDVIFFFDWSDKWLMSYDMKRRDVYDICALQEVPYIEQGEFFAVHRLFLPYVPSYSGTLASPSVN >Dexi2A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:2A:3836969:3837539:1 gene:Dexi2A01G0004370 transcript:Dexi2A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLVLGNYDAHIHSLFRLDVAKHLFYPSTAQAEAANAKQETNNGGSGADKPSKPPGLKWPKTPRIKWMGRLPEPCMRFFPFDAGDDEWRSYDNAFMLLRPNSSEGTILHATDGGRTVIYDADKNAISATVPFFDTSMGREPIVFSVPGAGSGEKEPSTR >Dexi9A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:9A:7687543:7692472:-1 gene:Dexi9A01G0012160 transcript:Dexi9A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAVARVRRPRPLPEPARPSVSPAARANTEKAPIPLNLPLKAFKLRLANGAPLAPTAKAFKAYAETCASLLRLCRHTAADASNLPSFSVSSALQLVLSLHAHALRSGLGSDCSVASNLLTAYAAFGRSVDRDRAFDDCVTSGAASSFVYDFMVSEHVKAGDIASARRLFDGMPDRSVVSYTTMVDVLMKRGSVRDAVELYEQCEFDLAMSVVGLAIKWNLFEKSIEVHNSLITLYLRMGDAAAAHRVFDEMEVRDVVSWTALLDVYAELGDLEGARRILDAMPERNAVSWGYSWNGKMVEADELFKKMPARNAASWNTMISGYAENRRFVDALKLFSAMLSSGQIPGKITLSSVLLACANLCSLEMGKMVHAKIVKLGIEDDIFLGTALSDMYAKSGDLDSSKTIFYQMPEKNNITWTAMVQGLAENGFAEESILLFENMMANGISPNEHTFLAILFACSHSGLVEQAIHYFEKMQTHGIPPKEKHYTCMVDVLARAGRLKEAEELLMKVPSNLEANSWSALLSACNTYSNKEIGERAAKRLHELEKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGASLKKDVEGVGTIKIVSADCVDANTLHGSALFTVSTLELISPLPVVWWSSAAMEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >Dexi8B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:8B:121102:121470:1 gene:Dexi8B01G0000140 transcript:Dexi8B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGMFDACCSSYSSCRASTDEGTTPAMASSMDKPQQAADMPIANLFYDLGKDKVYGGGVRGPHCFPAHLRAIVLSLFKHPFKVLVVNEFIDDGTCVARTLCMATGRGNRVLRREGLRKEAT >Dexi9A01G0032880.1:cds pep primary_assembly:Fonio_CM05836:9A:37732044:37734534:-1 gene:Dexi9A01G0032880 transcript:Dexi9A01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGARPSAPSAAAAAAAGASVPDEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKAQIDADDVRLAIQAKVNFSFSQPPPREVLLELARNRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKPPTQVEETEDDSEEANPSLTPNSANPNPNYSQDLRGMEQQNTPQHGQRVSFQLNAVAAAAAKRPRMTMDQLNMG >Dexi5B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:5B:1730184:1732404:-1 gene:Dexi5B01G0002750 transcript:Dexi5B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSLVLGRVIGDVVDQFSSTVALQISYNGRRLVNGADFRPSAVAERPRVEIGGTNFRQSYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDSGYGREVITYESPRPTAGIHRVVFVLYQQMARGTVDAVPLLRHNFNTRSFAVGHGLGAPVAAAFYTCQPEGGTGGRRLIVRP >Dexi1B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:1B:5347519:5348094:1 gene:Dexi1B01G0006530 transcript:Dexi1B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMRNPKVMKKAQAELRGTLNGRPKVTEDDLAQMKYMKLIIKETLRLHPAAPLLLPREARESCKVLGYDVPKGTTVLVNAWAIGRDPRYWDDPEDFKPERFECGTIDFKGIDFEFIPFGAGRRICPGMVFAQSNIELALAALLYHFDWELTDGVKPSELDMTEDVGLTVRKKSDLLLHPIIRVPLKSTQ >Dexi7A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:7A:9916197:9917716:-1 gene:Dexi7A01G0002350 transcript:Dexi7A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNLLLFLLLATATPLITGQLSDYPTANLSTVWTNNNASLKNSVTYIDGSVVRAIVLRSPKTVYGPSFAAGFFCATAPCDVGTFLFAVFILYTNSGAGITMPTTGIPQVVWSANRLNPVQVNATLELTGDGNLILRDADGSLVWSSSTAGRSIAGMEITELGNLVLFDPKNATVWQSFDHPTDALLPGQSLLEGTRLSANTSATNSTQNHLYVTVLSDGLYAFVDSTPPQPYFSQLGNTNKTGNHPTQVTFTNGSLSIFVQSTPPNPDSSITLPAAKSTQYMRFESDGHLRLYEWSNTEAMWAVVFDVIKIFPDNCAFPTAVMFRYFDNESDGDCQWVTKVFSMQSIQPQIVHYNSSAYLKVQLSPSSSAPTANTKKEAEVSRDRRRI >Dexi5B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:5B:23032250:23037037:-1 gene:Dexi5B01G0020810 transcript:Dexi5B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDADWTDGASELTRKICATKEAHLGEELFSDLALMAPAQKGRTRIATTTPRRRWWPARRRLVEQEQGHDLAPATSVVYASPTFATDPDVASPPHDADAATTNSSARERGDAREVHGEAWRQRQLQRR >Dexi5A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:5A:19172526:19174001:1 gene:Dexi5A01G0016660 transcript:Dexi5A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPSRMDKALKASFELVVNNFLAVVAAASVATVLWTSCPISIEALVDRLHTVHPIHVLTAAILLLAVAKLRRTRQPRDVYLVEYGCFRPKPCFRAPYATCLEHIQLMPFVVDEEDIAWGRRLLLRSGIGEETCVPYAYHYVPPDRSIEASRDEAELVIFSAVDDVFARTTVRPEEIDVVIVNCSIFTPTPVFADMVVHRYGLRHDVQSVNLSGMGCSAGLISAGLAKNLLQVAPPGTHALIVSTEILSSQYYVGNEREMLLPNCLFRMGAAAMILCNSPERGRFRLTRMVRTVAATKDAAYRCVFQQEDAEGNTGIRLSKDLVANAGQTLKSNIVAFGPLVLPASEKILVALSLLKRRLQSGRVKMYRPDFRTAFEHFCIHAGGRRVIDEVQRGLGLSDEDVEASRMTLHRFGNTSSSSVLYELAYIEAKGKMNKGDRVWMISFGAGFECNSAAWECVMPAADAEGPWIDCINRYPVHVPKSMEAAII >Dexi9B01G0028840.1:cds pep primary_assembly:Fonio_CM05836:9B:31391679:31394991:-1 gene:Dexi9B01G0028840 transcript:Dexi9B01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQAGGVDRISALPDNVLHVILGRLGYAPAVCKTAVLSRRWRHVWTRAKSLTFKDTDRFLIKKSDFTGFVDWVLAHRGNDADLETLEIHVKGKHCSNGVVSPEKVNEWLRYTAQHVIGSVDINIGYSGRSSREEKPDAEPQAIVEVPTAHPWQGALTKLELFSLSFSEDGSELSEFVATCCPRLRRLLICGADGLRQLVLRSDALEVFNITVAMDLQKLEVVAPNLRVIRLSMCFMNSPLLMHPTVPGSNDDAVAIDDNKLVTIAAPKLEEIRSMEYLRNKPSDLDIHDLTGVRRLTELCLGLHGKYHRDMDVGAWLLENCPAVEHADVSLRHYERRELAVGERLVDLTSSEGNAPFAKLRSMVVRAYCFPKHHFVASISSLLSRCPNLTSLSVGISSTAETSYRCFCDALTDGWAIDQGKIVLESLEEVDINGFSGRDEEMQLVIGGGPPPSFNPTTAAPFTPYPYYRRPLDCHHGRVLLHANDDGWYFVVWDPVTGDRQRVPEAGINWLIYSAAVFCAVSGCDHIDCHGGPFRVVFITTEDYTDAVKATVYSSETGAWSTPVTLGTDCEAFTQHVQHAINNGGAIGTFYTPYVQPRRGALVGDEMYFTLRWKNAIVKYNWSKNCISLIDPPSHTAYFFTLTEMGDNALGFACIEGSSLHLWSRKVGTEGTAEWMQCRLIELDGRIPGVKSKGGGHVVGSAEGVDVIFVATDVGLFTFELKSGRVTKVDDVQAYFSVLPYMSFYTPGLIRI >Dexi5B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:5B:6895062:6896339:1 gene:Dexi5B01G0009840 transcript:Dexi5B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEELLHCQIHEWYPAFRRHSIPTVIIPLPAAFLRYLAGQAAYSNAGADSDEEPPPFLLPAITSSRQPFAPVHAHHPDPVSLLNSDLFFGSSNDDIYDPDADHPHRLEFPELEAAIDAAIADLSGPALPKLNWSAPKDATFMSAEGTTKCTCFAEVAMLLRSSDCVAHDLNSARQSCEDFVRPEGARRNARKVSVGAEEGARPNTNQTGDRKGISEAPRDSKETSGEEDKAYSADCDLEYAPEEEDDEDTWVDDGFQYYLALRKWYQGLRPESEFRCFVRARKLIAVSQRDASAYYPSLPGWISEVQPKIEAFFEEVIGPQFGSNNYTFDVYVRTDGRVKLIDFNPWGGYTLPLLFTWDELEEEGRGHELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADEELNRRMNSLDGDS >Dexi1A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:1A:25603800:25604348:-1 gene:Dexi1A01G0018340 transcript:Dexi1A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNAAEGAAPAATGVPMSGGYYDGVQVATPVAPWSTGLFDCFDDVGNCCVTFLFPCVTFGQVAEIADRGSTSCGASAALYTLIAVLSGFQSIYSCFYRSKLRAQYGVEERPCPDCCVHFFCEWCALCQEYRALSNRGFDMSIGTYY >Dexi2A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:2A:32937:35354:1 gene:Dexi2A01G0000070 transcript:Dexi2A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKPTSPDTPKKKVTPAQVAFLVDRYLADNGFHAALAAFRSDAAHLFSPHRAKPPPKGLLPLADILHDYIALKEGRVAIDSAMQAMHSLVSTYYASSSSPPPMMMMMPPLPPPANSGQPSSPPLVPPLFVASSSSPPAPQGTAGYASPVVHHYAHASTALLVHNSSASAPTKKRKHTKSAGKTAGSKRSCTASATISDTKGKALSIDSLPAAHPTSAQHSAVEKLPLQASSVAKSLFKPLQPQLHSSPCTPQQSHDMPYQDQPAAYPTQRQPLPVDANPHTQQDIASSQCSIVSSKTLIVSPLKGGAYYASVERSYHVSSPLKSATHKSTKREHVKGKLNFDTTDSRPGPNDLQIGDKASTSSDEDKQDDFDIDFTNLDIFDGEFSFSELLLDLDLDTEGVLCQNPSTSTEGQRLEPVAQSGYTTADPGLPESVKPVAADSTEDFSSQGLDPALTRSSVEGSHSFLEQQIEFDITKSNATYADLPD >Dexi9A01G0022000.1:cds pep primary_assembly:Fonio_CM05836:9A:16956539:16957419:-1 gene:Dexi9A01G0022000 transcript:Dexi9A01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPDALQSRVVSLVTSVTCPVTASAPTEVVSKLLDLTAVTPLISEPVITVRLLFWDAAEPPTKAGKRPQAASRHVEMAGEDAADP >Dexi2A01G0036520.1:cds pep primary_assembly:Fonio_CM05836:2A:46065605:46075309:1 gene:Dexi2A01G0036520 transcript:Dexi2A01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFQNVAFSDVSAAAVPAAVGATAAFGVGAATGGPRLSLVKAGKPEVEPTVEIDLSDAQIFKLGPSEWLCVCGESEAKAGVEEKSFSRAIKVVLRTEAESKAFSLAFQRWKQQVISGKTGTYYAAVMENRSDFADRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPALGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPGGKMFPTTGRIHMAPFSDEYLYVEMANKGLFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTTMKEEDLYEIDIPLSFVASVGTRVHGLACWFDVLFGGSNVERWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLSQDQQQQQQLPSLQPQGPEQQMQEGLSPGITTDQVDQECGLH >Dexi1B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:1B:21937496:21938396:-1 gene:Dexi1B01G0015420 transcript:Dexi1B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPSPTVPTASEREITEAEAIVAALAHVVAGGRGATTLTPPPTPAAPSHVVPPCQSTPEEGFHVGPAASPWEMSTVVSASAVQQDRTQPPKCLMLVPPSTQAPIMASTWPQGTEQGMPPPPPRRSYRGVRRRPWGKWAAEIRDAKKAARVWLGTFVTPEDAARAYDAAALRLRGSRAKLNFPEDASSLRSPCPEMVVHRRDDAADGLVGGGNNGRFLGSWNIGTSSSPSLTATCLTCPVDATLLCGRHGMGNSGTEDAGNGMEKSNGARH >Dexi8A01G0010980.1:cds pep primary_assembly:Fonio_CM05836:8A:19342167:19345226:1 gene:Dexi8A01G0010980 transcript:Dexi8A01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPASSSRKPLALVLVLAATALCCHLSSCDGAAADKIRRLPGQPEVSFGQYSGYVGVDDAGKRALFYYFVEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSSDAAYYQGVDDKMTAMDNMVFLQRWLQKFPQYKGRDLYIAGESYAGHYIPQLAEVMVEYNKKDKIFNLKGLALGNPVLEFKTDFNSRAEYFWSHGLISDATYRVFTSVCNYSRYVSEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSLALTPRQQAGQRIDVCVEDETVRYLNRRDVQAALHARLVGLDKWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHDMGLKTTTPYRVWFEGQQVGGWTQVYGGGTLSFATIRGASHEAPFSQPGRSLVLFRAFLQGQPLPETFS >Dexi8A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:8A:5413787:5415644:1 gene:Dexi8A01G0005680 transcript:Dexi8A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVPVVGLLLLLLLLLPSTSYADMWHIPLSGNVYPIGHFYATMYIGEPAKPYFLDIDTGSSLTWIECDAGSGSCEHCNKVPHPLYRPTPDKLVPCATPLCNALHQDLGRTNDCRDRPNQCDYDIAYLDGLGSRGVLLLDKLSPAANADRPSIAFGCGYDQVGPSRQQNVNVVVDGILGLGRGSIDLVSQLKQQAIITNNLFGHCFSSKGGGYLVIGMDRLPLNIPWVPMSRNTNYYSPGPATLNLDTELILTKPMEVIFDSGSTFTHLPEDLHAQLVAAVLKATLSQSLEEVHDDSLPEHPCWKQPGGFKSLDDLKMEFKSVMSLEFQNGATMMIPPERYLVVTVNTWNSHSTSLKEQKIG >Dexi2B01G0021770.1:cds pep primary_assembly:Fonio_CM05836:2B:31467646:31474183:1 gene:Dexi2B01G0021770 transcript:Dexi2B01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPALASGEMSGDVFYADKYHPIQAGSIDGTDVAPHDNAVLRALICSQAGLYDPFGDPKASGDPYCTVFVGRLSRQTDDDTLRKRPILTLAELLFMQAMSKYGRVKSMRIVRDIVTGASRGYAFVEYENDREMRRAYQDAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGMHPVQVLGLQIGAPKGGGLGGRKESGQLRFGGRERPFRAPLQPIPYESYDKLKKLGIPPPPEGRANVGHTLCGLRALRPQSQVQATRATASDASHHDVVVVGAGIVGLTIARHLLLHTPLSVAVVDAAVPCSGATGAGQGYVWMCHRTPGSDMWELAVRSKQLWEELAAEVDGQGGGGARERLGWMRTGSLLVGRTPEELASLEERTKALSQAGIHAEFLSASSLHALEPALSVGKDGGAMFLPQDCQIDAFQAVSLIEKGHLLVVEKFDKVKLNRGLMEVGYADYQIAQSNSSNMVSESSEDENGALSISMTATLDTKGNLVLGSSREFKGFSREVDKSVVKSMWERAGEFFPAMKNVPLDIDQNTQIRIGHRPYMPDGKPVIDFIPDLPNVLIATGHEGNGLTMALGTAEMVTDMILGNPGKVDYSPFSIKHRFSGIIFRKY >Dexi2B01G0024560.1:cds pep primary_assembly:Fonio_CM05836:2B:33950031:33951278:-1 gene:Dexi2B01G0024560 transcript:Dexi2B01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKKPPAPAPAVASTACSSLMSCLSLQRRSGPPPPPAARVTDDASPRGSNGGGGKAEEKYWERVLLLEEEIRRLSMWFGHEERPAPRAAEEGVVRAQPREEVGATAAVTGERATNGAKRCAAAAGDHGVKVKDTMRLEDRSYLREVTRVGRPWHRLAVQVSRPVSPVDAASVSEVLDKMAAMRAEDLCKFLIQMMSLKDITGQKNPGEPVRRTTKLSSGDDLLEALVFRALDRLESLVLEGLKIQMASPATEPATATAAGDRRRNEEVAKDCMVHVVLMQVRDPNEGYSSIGDPMIGLIEASLENKDGVVKLEMLGLHVAGISFISRKPRDGRCMLWSASLRQCRGSRGAADGGGCRCTCVRNPNRVFKR >Dexi4A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:4A:9137739:9138933:-1 gene:Dexi4A01G0011250 transcript:Dexi4A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFWWHRGRSSLRGACGEQQPTFKGFCRADERRCLAVRDGALVLAPADPADEHQQWFKDARLSLWVKDVEGNPVFSLVNKATGLAVQHSLGPNRPVRLIMFEPDDFDESVLWTESSHLRREFGSIRPMHNVRFGLDAIPIDGEDDDNNSVTFVLSECTRGDIQSWKILYCNDEANTTLAGLESEPTCRDAYQHWIQDKRPGSMIKDGDAYPAFALVNRVTGDAIMGSEGFRTVNRVPYNPFYMDPSVLWATSWDKGHGFRCIHLVDNMSMNLDAFRDDGTNILLS >Dexi5A01G0027220.1:cds pep primary_assembly:Fonio_CM05836:5A:30729490:30730727:1 gene:Dexi5A01G0027220 transcript:Dexi5A01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGDEGLATGSDQLMQAQAELWNHIFAYTRSMSLRCAVELGIPDAVHRLGGAATAPELAAALSLPPSRAPYLRRLMCLLAHAGFFSVSDDDATASYRLTPLSRLLVSAPGAGGGHGLSPFALAMLHPVVVSPSMSLASWFRAADARTAAARVPFEAAHGGRDLWAVAKDDREFGAAFNDAMACDGRFVMDVLVRDHGDVFRGLTSLVDVGGGSGGAARAIAAAFPNVRCSVLELPHVVTGVPPGERGGVEFVAGDMFENVPKADAVLLKWILHGWDDEKCVRLLRRCREAIPSRDAGGRVIVMDLVVGSNPADEKATETQLLWDVMMMGVVGSPERDEREWRKIFEDAGFSGYKIVAILGIRSVIEVYP >Dexi7A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:7A:26221079:26221415:-1 gene:Dexi7A01G0016360 transcript:Dexi7A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPARAASAVRLFDAHCHLQDPRVGAVAPALIRAAAASGVARFAVNGTSEKDWHLVKRMAEDHPAVVPCFGLHPW >Dexi3B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:3B:697920:699233:-1 gene:Dexi3B01G0000820 transcript:Dexi3B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYELGRLLGKGTFGKVHHARNLESNHSVAIKMMDKDKVLKVGLSEQIRREITTMRLVAHKNVVELHEVMATRNKIYFVMEYAKGGELFDKIEKSGKLTEAAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKTGYDGGKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKIQHGDFRCPSWFSQKLKKLLYKILDPNPTTRISIQKIKESTWFRKGPEGTRTVKEKIPCENATTNAAPTLSVKRKKNPCDHVKPLIVTNLNAFEIISFSSGFDLSGMFIEKERRKEARFTSDKPASAIITKIEDVAKMLNLRVRKKDNGVVKIQGRKEGRNGVLQFDMEIFEITPLHHLVEMKQTSGDSLEYQILFEESIRPALKDIVWAWHGDDEQQKQE >Dexi7A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:7A:27810712:27813664:-1 gene:Dexi7A01G0018400 transcript:Dexi7A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQTASSAASCSPSAAASSSSACGGKKRPDFLNLIRSAACLNSSSTDTGKGRSKLSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYEKNHELGLFAIFDGHLGDKDIISLRCEYTVLTVSLLWLLQPVFWNSPQDAITNAYRSTNKYILENAKQLGPGGSTAVTVIVVDGKDMWIANVGDSRAVVCERGCANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >DexiUA01G0005340.1:cds pep primary_assembly:Fonio_CM05836:UA:9482928:9484375:-1 gene:DexiUA01G0005340 transcript:DexiUA01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRHVASDIFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRARHKVHSHFDRALQAGPYTLKYRGSMCRIETPKNVQIHVPPSDMGRCFKELLSLGIGCDITFEVGDEKVSAHKWILAARSPVFKAQFFGPIGKPDLCRVVVEDVEPIVFKL >Dexi9A01G0037600.1:cds pep primary_assembly:Fonio_CM05836:9A:41864627:41887954:-1 gene:Dexi9A01G0037600 transcript:Dexi9A01G0037600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSTGLCDAKRRVLLTCWLRPSFWTAGCAAACRAGERPRASRAATLITAKIRPTRATCPPHDKARSAAAHAHRVHGSTHHPLHSCAAAAQPRAEQAMARQLAPSSGSLHRLLASRHYPPASQAPPLRPLLLPKPLSAAMQLPQRGRRGVVAAAAAAAPAPSSPGTEVAEGPAWGKVSAVLFDMDGVLCNSEEPSRQAAVDVFAEMGVSVSVDDFVPFMGTGEANFLGGVARVKEVKDFNPETAKKRFFEIYLEKYAKPNSGIGFPGALELIMECKNAGLKVAVASSADRIKVDANLAAASLPVSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTSECIVIEDALAGVQAAKAAEMRCIAVTTTLEEDALKQGGPSLIRKNIGDVSIKDILYGDSNACHNEGAESSENSSYIGNVSPESMNGATNGGASDTKSSPISKNEGHDCLLSIIYVVKKSCRLLGSRREILRYGSLGIAFSCFFVTIRNWKAMQFASPKGLLNFFMGGDSSIFVNNEGGSLSSRSQQIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRRRKWRALLGLERRRRLERKRRLLLLLEKGRRRLRRLGLRRQDVRSPTSPGGGGGCSGGGGGDLKGKVVLLDFWTYCCINCMHVLPDLEFVEKKYKDKPFAVVGVHSAKFDNEKDLDAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEEKNLLRNDPLPLALEKDKDSRLLASPLKFPGKLAVDVQNNRLFISDSNHNRIVSSFLVTNLEGKFICQVGSSDEGLLDGPFDAALFNRPQGLAYNSKRNVLYVADTENHALRSTNTSFAQPSGISLAPELQELFVADSESSSVRAVNLKTGGSKLLAGGDPVFPENLFRIKRLDSVTRKVTTIAGTGHAGFKDGFGLSAQLSEPAGLVVIGEGRLLVADTNNNAIRYITLSEKGADVKTLDLIGVQPPSPKPKTLKRLRRRLSVDTDVLNVDGGSSMEGFLSLAITVPDGYHFSKEARSKFDVETEPANAIEIEPANGFLNSEGLASLKFKRISSSPSMGRINCKVYYCKEDEVCLYQSVAFDVKFNGGAADADPSAAQIALSYSVTPRDNSTGMQLITPTKNANV >Dexi2A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:2A:24858189:24864891:1 gene:Dexi2A01G0014760 transcript:Dexi2A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAVPEAASAMAADLKQLAIVAEEALLPEAHKPQQGKEESEEERLQRIRGLQHAAVEAMYQLDDALDSYKAMEARQQQPEAPAPISIFHRLRSSSSAAAGTEREFRKVEAEIENLGNETRQMLQKGNELGLQLTDLEGRQSLISSSLLPRTPIIPKYDIAGDAEQEKQIIGMLTDSQSSSNNVILIVGCGGSGKTTLARNVFDNHHTRHAFSTALWVRGSKHFSHLELLSAIASAAGLKQASRGPKSAKKVEEMLASVLEGKRFLLVLDGVWSHQLVDGNNFLKSCLTVQHGSRILMTTRDRMVADRMSSIARIHHVKELDSSQCWSLLCSIACLDAHHQDDNSLRAIGILIIQYCKRIPLAIRLIGGVLRTKDPTRDEWLVVSEHKGWSDRSGGTVFPDDGMEGVAGAIQVAYCYLPPHLKHCFRYCLHLPEGFPITKQMIIQLWISEGFVEEQDGRSPEDTAEGYYMELVRRSLLLQTDQTGGSSPDGNNTARCTLDGCVRSVLRLYTKDLWMGNSMPTTSTTLTSTTPPPAGQEATTTESSACFRTIVLYNNPSADRVLHQVSKNARYLRAIDLTGAGIRRIPGTLEPLLHLRFLNLSHTEITELPESIASLRNLQFLVLRFCRRLHSLSGGISKLHGLRTLDLEGTEPHLVLPNLAGLQQLTTLHGFQVNSEEEKASGWPVEDLKSLNSLQSLQIVRVDRIQTHASSQGVDLPMKRRLTHLELRGSAAARKPPNNAVAEEEEEARLDDVLSSLQPPQCLESLKIQSYHHGRSFPSWVLQLPRLQRLVVNDCRGYASLPALGQLPLLKLLSLSGCSKIRTIERGVMAAGPPGAFPSLEQLHLDDMPSLESWSGFDDEDGGDLPSLTELRLQGCPSLGSLPLCLRHSKLLTRMVVVSAGSLRAIDGLIALRKLVVRDCERLARISNLPKLEALTVTGCSGLRDATGLECLKHLRFVHRELTRMPDWLRAAVASSAPTTLAVVGREELLRSLAPGGEDWPAVSGVVGKVYGNLLDESPFFTYTKSTGVLEAFGERQQDLVATCAASLEPKSQQPSSPLQHVTLVRDFAGRITGMTPATKCVCLALLVAVSHVLLKLPAGYVGPIPTAILVAFFAATACLIYFVSQLQ >Dexi9B01G0034080.1:cds pep primary_assembly:Fonio_CM05836:9B:36156025:36158164:1 gene:Dexi9B01G0034080 transcript:Dexi9B01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVGKFMESVGSIFSGGDVLPWCDRDIIAGFESEIAEATNEEQKSDSLMRLSWALVHSRQPEDVNRGIGMLQDGMIGMAIITGAFGLVGLVAGGIIAAASSSSSKKK >Dexi4A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:4A:17790450:17800322:-1 gene:Dexi4A01G0015160 transcript:Dexi4A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMEKVWESGRRVSRSISRGMGMEAWGVDEAFMPNAWTGSRGSRRSGRVDDDEEALRWAAIERLPTYNRVRTSILSSSAEADADDKQQFKEVDVRKLGDGERQEFIERVFRVADEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLTVEAKCHVGSRALPTLFNTARNIAEGALGLCGLRLGRQATLTILNDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRCSGEVTYNGFRLGEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLMTELTRREKEAGIRPEPEVDLFMKATSMEGVQSSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADKQRPYRYISVPEFAQRFKRFHVGLQLENHLSLPFDKSRCHQAALVFSKQSVSTTELLKASFDKEWLLIKRNSFVYIFKTIQLIIVALISSTVFLRTHMHTRNVDDGFLYVGALLFSMIVNMFNGFAELSLAITRLPVFYKHRDLLFYPAWVFTLPNVVLRIPFSIIESIVWVLVTYYTIGFAPEADRFFKHLLLVFLVQQMAGFIPNWWIWGYWISPLMYGFNALAVNEFYAPRWMDKFVEQNGVAKRLGVSMLESANIFVDKNWYWIGAAGLLGFTIFFNVLFTLSLMYLNPLGKPQAVISEETAKEAEGNEHARRTVRNGSTKSKDSVHTKEMNEMRLSAHLSNSSSNGVSRVMSIGGNEAAPRRGMVLPFNPLAMSFDNVNYYVDMPAEMKQQGVQDNRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEMIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMVDYFEAIPGVPKIKDKYNPATWMLEVSSISAEVRLKMDFAEYYKTSDLYKGDANTLRIVMGGMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYAAMPYAIAQVVMEIPYVFVQTTYYTLIVYAMMSFQWTAAKFFWFFFISYFSFLYFTFYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPKIPGWWIWYYWICPMAWTVYGLIATQYGDLEETITVPGQDPQRISYYVTHHFGYHTNFMPVVAPVLVLFAAFFAFMYAVCIKKLNFQQR >Dexi2B01G0033120.1:cds pep primary_assembly:Fonio_CM05836:2B:40797940:40799766:1 gene:Dexi2B01G0033120 transcript:Dexi2B01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAWMVAAAVVAVMASWAFNALVHLVWRPYAITRKLAAQGVAGPGYRFFSGNLGDIKRLRGEGAVVTLDVGDHDFIPMVQPHFRKWISLYGRTFVYWTGARPNVCVADVNVVKQVLFDRTGLYPKNLMNPHISRLLGKGLVLTDGDDWKRHRKVVHPAFSMDKLKMMTTTMSDCAQSMMSEWEAKGVNDVEVELSSRFEELTADVISHTAFGSSYIQGKKVFLAQRELQFLAFSTVFDVQIPAFRYLPTDKNLKTWKLDKQVRTMLMDIIKTRLANKDTAGYGNDLLGLMLEACAPEHGETPLLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLREEVRRECGDEVPTGDMLNKLKLVNMFLLETLRLYGPVSVIQRKASSDLDLGGVQVPEGAILTIPIATIHRDKEVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFTLELSPKYVHAPMDVLTLRPRHGLPMLLKRVGGGDSLEVQCGVSVCLI >Dexi1A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:1A:6809738:6811367:-1 gene:Dexi1A01G0008650 transcript:Dexi1A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGNICACDVPGLDGAAVPDWKLGKEKLFYEDPERHVDAKLVAMGSSGRFCLVEIMTMPGVDWKECLCDDDDEYVLRLTAFRVEYENDRELTTTDHRPARSFMMRDYGYSDHWLAFWAFPYEDPPSSMALRAFSPYSLAFFLLSSASIPPPINADTTFATSSLATTTASSPNSITGDVTPTRTPTPSTSTASCSLMNWSAKCGHVTTGTPCAMDTSSEFQPQCVTKPPTARWDRTASCGTHPRATWPRPAVRDSSASSHSRTSGDASTSCSFTTQRNGTPESSRPWPTSNSCFGSFLAMLPKLTYTTEPGACPSSHAVIASLPTVAVLPRVAMASASRLQRLRGPSVHTGFPSAFS >Dexi4B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:4B:2178706:2180615:1 gene:Dexi4B01G0003210 transcript:Dexi4B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAKILAGAVAVLLVALELTLFLCFRLSRPFYLSSAIILSSVMAGMVAALLCHALIPQGRAELMARRPVIAGGEEVAVRGEYSFFRKVAGLPSRFSLETLTAATDDFQCVVGRGSSGTVFKGILDDGTAVAVKRIDGSAHVDKEFRSEVSAIGSVQHVSLVRLLGFCLVRNNGPRFLVYEFMENGSLDKWIFPQHGGGGRGGRWLTWQQRYQVAVDVAKALAYLHHDCRAKVVHLDVKPENILLDDRLRGLLSDFGLSTLMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSYGMVLMELLGGRRNLQAEPVAGAGGGGGGGSSRRWTYFPKLVADKAREGRVMEVLDRRLAPSTLDEAEVRRLAHVALWCAQEKAGSRPTMARVVEMLEARGGAAVDLPPPSDMIVVDLLALNPAAHEHGGATFGLPTLPPASGVTASSVLYASL >Dexi1A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:1A:9298101:9299871:1 gene:Dexi1A01G0010760 transcript:Dexi1A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQQQPLPTFLALTGVVTLLLLALINHLVVSSRRRSPSPPPLRRRLPPSPPGGLPVIGHLHLLRPPAHRTFHDLASRLGAPLMLIRLGSTRCVVASSADVAGELIRHHDAAISGRPVTAVPRLFSYGSAGFAFTPYGARWRFLRRLCVSEVLCPRTVELLRPVRRAAMAPLLNAVLAASERGETVNLTRELIRFSIASIVRMVATDAPASVAGEAAEAVVKAVTELLGAFNVEDYVPLCRGLDLQGLRRKAAGVHRRFDALLEQMIRHKEEAREGGCGAIVEHEQVQDDKKQTPAATRKRSKDLLDILMEKAEDDAAEVKLTRENIKAFITDVVTAGSDSSAATVEWMLAELINHPEAMDKVREEIDTVVGDDRIVGEADLPRLPYLQATFKETLRLHPGAPVAHRVSSSTSEMAVGEFTVPPETAVFINVWAIGRDPAYWEDPLAFSPERFMPGGAAAGVEPRGGQNFQFMPFGGGRRGCPGVGLAQQSVPAVVAALVQCFDWVVVDGDGGETGLVDMDESEVGLVCARKHPLLLRPTARLSPFPAVV >Dexi3B01G0034640.1:cds pep primary_assembly:Fonio_CM05836:3B:37336466:37337854:1 gene:Dexi3B01G0034640 transcript:Dexi3B01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAGMVEQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Dexi2B01G0025150.1:cds pep primary_assembly:Fonio_CM05836:2B:34466534:34469895:-1 gene:Dexi2B01G0025150 transcript:Dexi2B01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGRSPALALLGLLLLAAVAAARGSQDDGSLLRLPSSAPRHLSPRSPRSAAVDLIRALNLHPADASPRATTNGAPAPAGTLVERPIHLAVEAGGVAGGTSVKDLGHHAGYYRLNNTYDARMFYFFFESRGNKDDPVVIWLTGGPGCSSELALFYENGPFHIADNLSLVWNDFGWDKASNLIYVDQPTGTGFSYSSDSRDTRHNEATVSNDLYDFLQAFYAEHPTYAKNDFYITGESYAGHYIPAFATRVYRGNKNNEGIRINLKGFAIGNGLTDPAIQYKAYPDYALNMGLITESQFNRINKIVPTCEFAVKLCGTSGTVSCLAAYVVCNTIFSGIRMIIGNKNYYDIRKPCIGSLCYDFSNMEKFLNLKSVRESLGVGDIEFVSCSPTVYQAMLLDWMRNLEVGIPELLENNIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEKPFTVDGKEAGLLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGTLSEPSSISQKLDFDM >Dexi9A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:9A:13158628:13160090:-1 gene:Dexi9A01G0018110 transcript:Dexi9A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLHRLLPLLFLLSWPFFLRDGGRRVPVRDDLHPIVLLPGHSCSQLLARLTDDYEPAASPSCGVRKGTGWFRLWENDTALQDPALLPCYAEQLKLVFDPVARDYRDAKGVDVGVMSTNSCMKGLVAALEGIGYKDGENLFGAPYDFRYAPAPPGQPALQFSYFVSSLRLLIERASERNGNKPVILVTHSHGGINATGFLNQNAVRWRRRNVKHLVMVSTGAGGGVPMLKSVVPTTGGNRTPPTPSDVLSVSGNTGRTFGSAFMALPTPKVFGHAPLVVTQAKNYSAYDMPELLAEAGFDDEEVARYRSRVLPVVTNLFRAPIMPTTCINAVGVATVERIVYSDGDFSKEPEVVYGDGDGSINLASMVALDTVIGGDPDQEHYKSVFIPNTTHSGIITDGFALERVVTEILEANNASY >Dexi4A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:4A:14897158:14899063:1 gene:Dexi4A01G0013870 transcript:Dexi4A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTVAIKRLHAQGVGGQWDGDAFTGAFLREARCLKACRGHPCLVELRAAHLDPTGDGAFLVTEGIDRSLVEDDALPLVPAPHAAWVARILQEPEPTGQDIHPYEQNSGVDPWVLQQPAVLQGTEEESPCCESDVVAGQELETLTAADYLHEMDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDSFRASYSYDVEGIGEDAGAFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCILAELLNLEPIFPGISDIDQISRVISVLGDITEETFPGCSNLPDYNKIFFSKVQKPTGLEACLPNKSPSEVSIIKKLICYDPEKRVSAADLLNDPYFTEEPLPVPIGGLQVPASKDEDDSSMEEWGNYRDGATDSDFDEFGSMDVTETEKGFSIRFS >Dexi4B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:4B:22870216:22875642:1 gene:Dexi4B01G0020780 transcript:Dexi4B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGGVLGFCIGLPIGLAAAYLVYLRYFAARRLHQDPVIRPLRDLDSKTLQTTIPAIPLWVKSPDYERIDWMNKFIFDMWPFLDKAICNNIKLAVRPIFDQYVGQHGIESIEFGRLTLGALPPTFQGIKVYEMLEKELVIEPVIRWASIANVSVNAKVHSFKVSVQSYDLCGLTSFSFSQNQISKQISVMYHWPKVMHIPILDGASGATKKPVGILHVKVVRALNLLKMDLLGKSDPYVKMRLSGERLPSKKTSVKMSNLNPEWNEHFRFIVKDPNTQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDLKNRKNRGKLIVELTFDPFREDSSRSSSVALDGEGNTSVRREGDGESSGGVLLVSVENAEDVEGKQHTNPYAEVLFRGERKKTKVML >Dexi9A01G0024350.1:cds pep primary_assembly:Fonio_CM05836:9A:20598128:20599948:1 gene:Dexi9A01G0024350 transcript:Dexi9A01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDLKSAESSRDIPTEILQDILLRLPTRDVIRSSSVSKLWCSIVRDPSFRKLHAATSDVAEPEVRLVSVNSEPGRRDEARVFNLSSSGNNNKDMRHVSIPPGYSLTSVCNGFLCFAIDYDQAPVFVCNPVTGETLEVPKAPPLSHPQLGSGVTGGGWRQCSYPSQFHPMHSTPPPVHIDGDLFLFVPVERRVPERTARMLVLDVSAETRCLCSLPYNYHEGYDPSWDMLADGFDLKGQMCLAVNVIYPRRKLQLWVMRPRRELRLEENNDDKLYWVLRYSFDLGDDSFTLGVPRGAWLDQAQMLCYRHGNYSYKHDTTGYSSWFHVGSLLFFDPIVELPETPSPSRSSSHSTWKPSCQWDILGGYRPILLSPLTFAPPPSQDEKAKKQLFEYTLLRAL >Dexi5B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:5B:11533170:11534210:1 gene:Dexi5B01G0014650 transcript:Dexi5B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPKRPEPLLVLLIVAFVSATAAASSSSGAGGTVLFYPTAEAAAAAHCDGTLYRDVCMSTLADIPDLHKKPLPDVISAAVNRTEDVVSATASNCSAYLHQRSLNARDRLAITDCLELLSTTMDDLQATVADLESASAANGSASATSHGARHVTLDHVMTVLSAAMTNQYTCLDGFAYQNGGRVRPYIEPALDHVSRMVSNSLAMAKKLPGAPSPSPSPETKAVARQPFMGYGQMVRGFPRWVRGGDRRRLQTPAVSIAADAVVAKDGSGNYTTVSAAVAAAPTNSKKRYVIYIKAGAYMENVEVGKKHVNLMFVGDGIGKTVIKASRNVVDGSTTFRSATVGEY >Dexi1A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:1A:15535840:15542634:1 gene:Dexi1A01G0013200 transcript:Dexi1A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADADAAEVERLYELGERLSSAKDKSEHAADYEAIIAAVKGQSVKAKQLAAQLIPRFFGSFPTLATRAMSAMFDLVEMEELAIRIQAIRGFPLLGKDTEFVSKIADILGQLLTSEENVERDAVHKALMSLIRQDVENSLQPLFKHVESGSEIREKIICFLRDKVFPLKAELLKPQAEMERFITDLIKKSVQDVTGSEFELFMGFLRSLSIFGDSAPRESFQELIEIIQAQADLDSQFNVSDIDHIERWSSCMYMALPIFMRGASSSKFLNYFVKQIIPAFEKIPEEKKLDLLKTIAASSPYAAAQDSRLLLPSVVQLLKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTER >Dexi9A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:9A:3161918:3163133:1 gene:Dexi9A01G0005640 transcript:Dexi9A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCADGYIEAPRALPHGGHPGERTPSMAAPAILYLFSLHKRLLAAAMKHGCAVVPGAT >Dexi5A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:5A:3545495:3549530:1 gene:Dexi5A01G0004690 transcript:Dexi5A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMDLLRNPFKGVVADFKGRAAWYKHDWVAGIRSGFRVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIKGIISEFSVPEVADSSLPIYQFQWLYVNGLLGVIFSIGLLYTALRTRRARSWLYGVGWLRSFIADYGVPLMVILWSALSYTLPSKVPSGVPRRLFSPLPWQSSSLGHWTIVKDLFSVPTAYIFAAILPALMVAGLYFFDHSVASQLAQQKEYNLKKPSAYHYDILVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKRQLLSRKMVDTAKESIGKSATSLEIYGKMQEVFIEMDSEQNTDSVDKELKNFKDAVLQEGDEEGKLAREFDPRKHIEAHLPVRVNEQRLSNLLQSVLVGGCVGAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERMQLLFIAESRRYKVLEGPHASFVESVLPKTITIFTIFQLVYLLICFGITWIPIAGILFPVPFFLMIIIRQYLLPKFFDPMVLRELDAAEYEELDGVPHEHTLVQFILPSLGSSVFCMFGLLD >Dexi5B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:5B:1451826:1452161:-1 gene:Dexi5B01G0002240 transcript:Dexi5B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERGGAAGGGARERLGERRCKEARVHKAERREEDRVGEHQEEVGECMRDVVGRRPPRPAAAAAARPCTCPRGGARHHDQDGQDDGVRMVLP >Dexi2B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:2B:7780491:7781114:-1 gene:Dexi2B01G0007500 transcript:Dexi2B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDIAAGGGGSGGSGGGGGTSIHVTALDGIVNVNSLFTLAAFVGLAWRPSSDGPGLADGADRTGNPCAAGDRAESDLVSFHVLAFACFLFSSLVALCLKQLVRTYPPRYRHGTPSSSAAAGGAVVGRTARINRAALRVGILASAVGSVAGCGFLMLALVNVVQVKLGRLGCGDGGSAAWAAVVPLVTLVPAAMLIYIGIVFYAFTR >Dexi1A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:1A:25478798:25481678:1 gene:Dexi1A01G0018170 transcript:Dexi1A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVMAAPGQRQPKMWMTNKLAITVSVTFFSLVIVRYLIVNSPASGISQHQIFHTNPLEWFNRPVDAQETIPEVAGAASNASNSSSSDFRSSSPEVFQWLDTWNQMKQLTNITSGLPHAREAINDGRTAWENLTASVHSASSQHREKERLCPYSIRRMDASKSETDCFTIDVPCGLIVGSSITLIGTPGVLSGNFWIDLVGTELPGESEKPTVLQYNVRLNGDKITKDPVIVQNTFTETNGWGVEDRCPGTNSNNATKVGDLERCNPVVGREDRDIMDSKHRAAAKKHGEPSTYFPFKQGYLAIATLRVGSEGIHMTVDGKHITSFAYRAGLEPWFVTEVRICGDFKLVSAIASGLPTSEDLENSNIEMLKSSPIPDGKDVDLLIGIFSTANNFKRRMVIRRTWMQYDTVRQGAVAVRFFVGLHTNLMVNEELWNEAQTYGDIQVLPFVDYYSLITWKTLAICIYGTSAVTAKHVMKTDDDAFVRVDEIQSTIKQLNVSNGLLYGRINSDSGPHRNPESKWYISKKKHFQCKQKCQHFCRVPQEWPEEKYPPWAHGPGYVVSQDIARKINIWYKARHLKMFKLEDVAMGIWVNDLKKDGLQVKYKTDKRIIIEGCNDGYIVAHYQEPRDMLCLWEKLLRTHRAQCCSTD >Dexi3A01G0026080.1:cds pep primary_assembly:Fonio_CM05836:3A:22161147:22164066:1 gene:Dexi3A01G0026080 transcript:Dexi3A01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLLSGLGPDDVAPGLSESITASEEATRPPLTVRHDLKARSPSDTNQPRKTTNQPSRRPSQHAACDDSSSSRLQPFHTGRPRRRATAMAPQAPTPTPSPSPPRPTMRHSSAFLLPSPPPAGGGPAADVALVVLNQPLPRFAPLLWSRAAALRVCADGGANRVFDGMPELLPDQDPDEVRARYKPDVIKGDMDSVRPEVKEYYSNMLCILVLGAVGGRFDHEMGNINVLHMFPNINIVLLSDACLIFLLPRTHAHEIHIERSIEGPHCGLIPIGMPSTSTTTTGLRWNLDNTSMNYGGLISTSNIVEEDKVTVTSESDLIWTVSLQK >Dexi4B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:4B:6666774:6669087:-1 gene:Dexi4B01G0009320 transcript:Dexi4B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASMAMQSRAPGVGAGAVAGGRRSAFLGWEKQTQAGSLRVGGTAGTAAVAVRARGAKPVVAPLRCVKASRGSESLHSSVDEALLLKRKSEEVLFHLNGRCIYLVGMMGSGKSTVGKIVAEVLGYSCFDSDKLVEQAVGMPSVAQIFKVHSEAFFRENESSVLRDLSSMRRLVVATGGGAVIRPINWNYMKKGLSVWLDVPLDALAKRIAQVGTASRPLLDQPSDDPYTAAFTKLSMLAEQRGEAYANADARVSLEEIAAKQGHGDVSKLTPTNIAIEALLKIENFVTQHPTATTHGEVGDLQIDSLSSRIQAL >Dexi5A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:5A:303144:303668:-1 gene:Dexi5A01G0000440 transcript:Dexi5A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPKGSPRQTSMQLHTDWDQHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >Dexi1A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:1A:28303039:28306487:1 gene:Dexi1A01G0021550 transcript:Dexi1A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTQEILHLRFQQYHQCKSQFLQCNPMEIGFPQKMLPHAEDHHMLQNHVPPKYRSYSGEELSARHVPPSQRNNQSESGRRFAQYAGTSAGILEGIALKCGSKVEYRSTLCDTAELQFSVEVWIVGEKIGEGIGRTRREAQRQAANMSLRNLAEESRFMKMEENNSRKIGGSVAALKELCTVEGYNLVFQAHPSAPDGSVGKESYAQVEVGGQVLGKGVALTWEDAKLQAADEALGTLRSMLGQLGHKRSGSPRLLAPHLNKRFQPDFQRTMQRVPYGTYSRMEGHVP >Dexi2B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:2B:29991646:29995939:1 gene:Dexi2B01G0019800 transcript:Dexi2B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVAAAAAAPRVVASVTGSPGTVEEQQAAGVGILLQISMLVLSFVLGHILRRRKFYYLPEASGSLLIGMIVGGLANISKTQKSTRRWFNFREDFFLLFLLPPIILYPSLHYMLLFSKLLLVKFVMFYLTVSYSVWILLSTSNYIGGLVYIIYRLPLVECMMFGALVSATDPVTVLSIFQVFATTSYIELGTDTNLYALVFGESVLNDAVAISLYRTMASMRTHPSGQNFFLVILRFLENFVGSMSSVTSQHCFSLIRSNLFKYAALGVENLHNLESCLFVLFPYFSYMLAEGIGLSGIVSILFTGIIFILLARAANVFSCAYILNLARPPHCQIPKQYQQALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVSFCMTAINKSCMVIFKVLLIGGSTGTMLEALQVVGDSNRYHQLYEVSCFAVLVLNKKAPYLSYG >Dexi9B01G0023000.1:cds pep primary_assembly:Fonio_CM05836:9B:17889883:17894111:-1 gene:Dexi9B01G0023000 transcript:Dexi9B01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPPHSGATSGGGASHRKRKLPPSSLSDATADEDEDTTAPSSPSTAPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPGGGSASGRPPKPDSSSVSAAAAAAAAAAGAGPKPEPGSVASGDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWHGYIKDYDGGILMECKIDPKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRSAFSPDYNTYRQQLTSLMRILLKGMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSRRVESEQYYVTVEMFVADMKRMFNNARTYNSPDTIYYKCATRLENFFSGKIASQLAQASTKS >Dexi7B01G0023640.1:cds pep primary_assembly:Fonio_CM05836:7B:28180777:28184990:-1 gene:Dexi7B01G0023640 transcript:Dexi7B01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALDFLPAPLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLSENGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAIVPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDARLFKSFLRITLTCVITVGTLALVIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQVTSGKTTGSKAAAKQGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAYTTSNWIVRIYKVKPPKNRS >Dexi4B01G0021430.1:cds pep primary_assembly:Fonio_CM05836:4B:23414189:23414705:-1 gene:Dexi4B01G0021430 transcript:Dexi4B01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAERSPSLLLNGCLVGLRHQYARMISSAQKAQRPLGLDLRPDRGRPTLNGWWAPRGGGHGSTNEVELSTHPTDTQTLDRSRGSTTTSTAVPGQSRSTTQGFLIYSDRRCPCADLPQRTFHGIN >Dexi5A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:5A:22329176:22333770:-1 gene:Dexi5A01G0018810 transcript:Dexi5A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVVEHTFVVSQVHMTHLCKKTLVTVVNGQFPGPAIEVMEGDSVAVHIVNKSPYNITIHWHGVKQRLTCWSDGVPMITQCPIPPNQNFTYRFNVAGQEGTLWWHAHVPCLRASLHGALIIRPRDGASSYPFPKPHEEIPIIIGEWWEKDLSKVGRNLRDGSLNDDPSASTINGKLGDLFNCSGVAEDGYVLDVEPGKSYMLRVINAALFYEYYLKIAGHKFTVVAADANYVSPYTTDVIAVSPGETVDAILIADAPLGRYYMVAQPVEPPLLDTQAPVYVTRGVVQYNRNHNYDNSTAAQSSSTHGSNGVDTLFCETPVVPEMPGMHDTMVSFNFHGNLASLHHPLRPTPMVPVRADEHLFIALGLGMVPCQVGQSCSKRQGEENFIVATMNNVSFHLSSTTTPLLEAHYYHTGRMDDVALELPDRPPRAFNFTDQALIPEGPKEALLEPTSKATVERRFRHGTVVEVVFQSTALLQGDSNPMHLHGHDMFLLAQGLGNYDVEKDVARYNLVNPPRKNTVHVPNLGWAAVRFVADNPGKYSVQIFA >Dexi6A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:6A:13412469:13428561:1 gene:Dexi6A01G0010780 transcript:Dexi6A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQLLQLNLSGTLSPELGQLSQMKIMDFMWNSISGSIPKEVGNITSLELLLLNGNNLNGSLPEEIGFLPNLNRIQIDQNHISGSIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYIPPELSKLPKVLIMYELEKLNPDINLTICISSLCFQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLTGPIPSSQFASNITTIDLSHNRLNGSIPGSFSGLPNLQRLSLDDNNLDGSVPSNIWQNIDLSGNRTLILDFQNNALTNVSTPLSPPANVTILLRGMFTAWQIPDSDIFGPYELINFDPGWYSNLFSRGKSRLSTGAIIGIVMAAFAVAAILSSIITIIIIILRKRSRHSSSKKRSARAKMPLDFPMRLRIALGSSRGILYLHTEADPPIYHRDIKASNILLDSKFVAKVADFGLSRLAPLPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVLAANQSGMIFSVVDNRMGSYPAECVERFAALALRCCQDETDLRPSMVEVVRELEAIWQMTPGTENMVSSESGAMAMMGSSSSKTTGTPTTSSASRMASSDDHYISSMEVSGSNLLSGVVPSINPR >Dexi4B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:4B:21328771:21332768:-1 gene:Dexi4B01G0019070 transcript:Dexi4B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSLIVFLFLLLPAVATVAAPAPSPSGKAAAPAPSPSGNAAFNVTEILAPYPELKLFNMLLSKMLLAGEINSRGSITILATNNLCVEWLLRRTARLPRTSVADIVSFHVVLDYIDAARLAALPRGAQPAVFATLYQTTGRARNRTGLVNITAEPRDVVFAPATPGSRVKAMFRAAVTTKPYKISVLEVSNFIAATAVPVAITVSIAITVAVAIAGCAKKDEAHGDRAEPCANDAAATEHTSAGAGAVAWSCGEGDEVVDWCGRGDVARGGAEEAPMKKERGARVGSMVVNGPVYGSRPRPEEDDDRFFLRERKMMIEGESRLGMVESWAGQEKELGRERRPVGPRREGKVWARKGMAPNLSLLVFLFLLLPTASNAAATAPPTPSPSGNGSAFNVTAILARYPEFKLFNLLLSKTQVAREINSRNSITVLATNNAAVDWLLRRSSKLSRTSLAELMSVHVILDYIDAAKLAALPRGGQPAVATTLFQTTGTARNRTGFLNITAAPRGGAAVFVSAAPGSLVSATFKRVVTAKPYNISVLQISNFVVPPHIVTRPLPPSPPAPRMRQMAIAPSPAPTVAQFPTTQPSPQGDTSEAPEAEAPAPSHGGHVGKATMDQFRMLHGGVSWPILDPGPSELGTRPRPSFDWPGVGGQGRPAAKSY >Dexi7A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:7A:4353518:4356733:1 gene:Dexi7A01G0001630 transcript:Dexi7A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKDIMVFPDGDESSAVYFYCESWVVDNEQGGNDDRRTFFPLKVGRLFIPLLDRSYLPSQTPKGVQWLRKSELEAIRGNGCGERKRIERIYDYDVYNDLGDPDHDPDTKRPVLGGQERPYPRRCRTGCLRSKTDPDSETRTTDIYVPRDEAFSETKQKAFTAKKVLSALHTAIPKLKNVLHKEKSFPSLDAIDAIFEEGYMNQPNKEDGSWQSVVLHVLKEEFRNFLTGHFDDLDKFFKFETPENLPIVSQLDEADYGPRESLLTKQLIEEQINGVMTADEAVNKKRLFILDYHDALLPYVHKVRTVEDTTLYGSRTLFFLTKEGTLKPIAIELTRPANPSTGKLPWSHVFTPDGSVTGSWLWLLAKTHVLAHDTGYHQLINHWLRTHCCVEPYIIAANRQLSQMHPIYRLLHPHFRYTMEINAQAREMLISANGVIESTFSPRKYCIELSSAIYKDFWRFDMEALPNDLIRRGMAVQGKNGKLELTIEDYPYANDGLLIWDAIKEWASDYVKHYYSSTDDITNDEELQGWWEEVRTKGHEDKQDEPWWPKLNSHESLVQVLATIMWITSGHHAAVNFGQYPYGGYFPNRPTIARQSIPLEMGRQAMKRFVDDPEKVLLDTLPSQDQGLMVLLVLDLLSSHSPDEEYMGTQVEPAWKAEGAIRLAFNKFQGRLREILEQIDDWNEDPTRKNRYGAGVVPYTLLRPCDGDPTDEKSVMLMGIPNSISI >Dexi9B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:9B:11990060:11992353:1 gene:Dexi9B01G0017230 transcript:Dexi9B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDEQQHAPLLSPDGGAAAASSGEGGGVELERILTDESAAPARRLARAARAELRMLVALAAPAVAVYMINYAMSLSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYEMLGIYMQRSFVLLTATAIPLAAIYVFSKQILLFLGEPERIAAAAWVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAVHLAASYLVVYVFGLGLLGASLMLSASWWVVVVAQFVYIGTSRRCRLTWTGFSCQAFSGLPEFLRLSSASAVMLCLETWYSQITVLVAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPKAASFSVKVVTTLSVTVASAIAVVVMCLRDHISYVFTKGDDVARAVSTMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNVACYYGIGIPLGCVLGFHFDLGAMVIISYL >Dexi9A01G0030340.1:cds pep primary_assembly:Fonio_CM05836:9A:35358169:35359147:-1 gene:Dexi9A01G0030340 transcript:Dexi9A01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPAATGAASPAALAVAKGHGKATAARSVRFSLPPLPSLSLAVQGQARAASSLCKRLARNVVAMATGEPAAAPAANEEFTEFVSALKQEWDRIEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPIVPGLMEAVGIGYSGWFAYRNLLFKPDR >Dexi6A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:6A:16090244:16093896:1 gene:Dexi6A01G0011330 transcript:Dexi6A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGPATTFTGPVRKWRKEWIPVAAAAAASNATAGANGGAASSTSAGSGSGSRGNNLLLFKWTPVNGANGGGVGGDGEQQAATVETATRRRRYVPVSVVEEQRQESAKSDDENKANDGDPSSNETEASNGKTDINDTPMDESQASDEDARDSGKNGGGTDLNLNLGLKDPDGDNEVDTADHREAGKNPTENNRFKRKSVTPDLEMRM >Dexi3A01G0025700.1:cds pep primary_assembly:Fonio_CM05836:3A:21435503:21439118:1 gene:Dexi3A01G0025700 transcript:Dexi3A01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWSPASAAVEPRSVQLLLLGVALVAASFYAGTLFGSSASPALVLPTSRPRSPDSSISKGVTPERFEKNNQFWKNQVHKYWSFIGAEKTRIRNVMDMNANYGGFAAALSNDSVWVMNIVPYTMSNTLPVIYDRGLLGSYHDWCEPFSTYPRSYDLLHAFHIFSHYKGRKEDCLLEDILLEMDRIIRPQVTCLLFDY >Dexi5A01G0029570.1:cds pep primary_assembly:Fonio_CM05836:5A:32619470:32623404:-1 gene:Dexi5A01G0029570 transcript:Dexi5A01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding NETSEKNSSNQSEELHAKNGHGESPNDGASRINEADSSTLASKKNGRQESPGDGEDGAQPKKRRLHRLTSRQSEILEGSFFSACAHPDENQRSELAESTGLGLHQVKFWFQNKRTHVKHLSGKEENYRLKVENEMLKEANNRFKQMRINNETPMTMRSPTRVFHLESSSENVFVMQDDVQGLIEVAKTAAHELFVLADSSGPLWLAVPGGSFEVLNKMAYAQTFPGQISVGTIGLKTEATRASAVVMLDPKSIVEYLMDAESYGAFFPGLVTGAMTTKVYNWPQSREESYDGAMQLMTVQMVFPSPLVPARKSMFLRYCKRLEHGAMAVIDVSLDDSAKCRKMPSGVLIQPIRHNSCKVTAIEHVRLDDSGIHDLFQPCMNGLLFGARRWVMSMARQCARLRDVFHVTNCTLNVTSKGRKAIMKLADNLLANFTGSIAGLPADAWNVQCGDGTEEDIRIVYRRNADSSNTAIVCASATFLLQLPMRRVFDLLKNNLVRVKWDVLVNGGCVKEEVRVSNGVGSEDAVSILHVKHGSGAKKETMMILQNSCYDASGSFMVYSSLDKHVMELITSPGGEQAMSNISLFPAGFSLVPLPDPVKGGSAIGESGGTVMTAGFQILMKLARGTGLCPRSVSSAVKIMSDNIATIKDTLMNSHPVFYKRIQSTN >Dexi6A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:6A:28044231:28046150:1 gene:Dexi6A01G0020560 transcript:Dexi6A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRASLARPIQVAEQLIKWADEAQTSRQECQDLKSRIERVSTLLRQAARADLYERPARRILDETDRALDKASSLLDRCCARGGFLRRLLTIVPAAAFRKAYHLLDNSLGDLTWILRVSTYAAAAAAAASDNDDEDDYDDDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGKLIIEEDGVPPLLRLIKEGRADAQESAALAIGLLGRDPECVDLMILAGVCTSFVNILKDAPMKVQGMVAWAVSELAANHPKCQDTFLQHNVVRLLVSHLAFETVQEHSKYAVVSKMSIHSVVMDNKTTTTNHTSSHDTPAAAAKPAAMAASSSATAAACPGPSAAAARPAGIAGTRLHNASMSAAATRGREYEAPDIKAYLKAHAARALGTLATGNPAICKNITESRALLCFSILLEKATGDVQYNSAMALMEICRVAEQHPELRRSAFKPTSPSARAVVDQLLRVVEKADYDELLVPCIICLGCLSRTFRATETRVIGPLVRLLDEREADVTLESAAALTKFACRDNYLHVDHCKAIITHGGAKHLVQHVYFGEQAVQTAALILVCYLGHNVPDSEELAEAEILTVLDWACKQGYMSQDPLIESLLPEAKIRMELYQSRVAKGYY >Dexi8A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:8A:12806516:12809795:1 gene:Dexi8A01G0009540 transcript:Dexi8A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSSSGGRGMAALVLLLLLAVTVLQQRAAAVTTPPFSCGASSPESSQGYAFCDATLGPAQRAADLVSRLTPAEKVAQLGDVAPGVPRLGVPPYKWWNEALHGLATSGKGLHFDAAAAAGGGGVRAATSFPQVLLTAAAFDDGLWFRIGQAIGREARALFNVGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYAVAFVRGIQGNGSGVLLQTSACCKHATAYDLEDWNGVRRYSFVARVTAQDLEDTFNPPFRSCVVDGGATCVMCAYTAVNGVPACADAGLLTDTVRGDWGLDGYVASDCDAVAIMRDAQRYAATPEDAVAVSLKAGLDIDCGTYVQQHATAAIQQGKLTEQDIDKALTNLFAVRMRLGHFDGDPRANAYGALGAADICTAEHKSLALEAAQDGIVLLKNDGGILPLDRSAVGSAAAIGPNADDGGALIGNYFGPPCESTTPLKGLRSYVGDVRFLAGCSSAACDAAATEEAVALAGSADHVFMFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADAAKRPVILVLLSGGPVDVTFAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPATGYPGRSYRFYQGDTVYKFGYGLSYSTFSRKLVSRTTMPALSQHILDGLRETVAEEDGTSYHVDDIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMFLRWPNATGGRPSSLLIGFQSHHIKAGEKANLRFDVSPCEHFSRVREDGKKVIDRGSHFLMVDNHEMEIRFEA >Dexi5A01G0028140.1:cds pep primary_assembly:Fonio_CM05836:5A:31469167:31478237:1 gene:Dexi5A01G0028140 transcript:Dexi5A01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMSGVPVRPSALRPPLAALTRSRFSLGAPQQRCANKATAAGERHRRSSKAARAAPKDQPPHEPRADDCGHDDGLMGRRGRKGKGAAAAGGSGGGGGGISEATLVRITKALEDFRASDAQDEKVTPRQFYLAVYTFEPDLSNQERGAIHNMCRKMGMKSKSSGSGEQRCVSVYKCKKKQKPDMKKGPNHLGFSEEARHVLQDLFTHYPPDDADLNGDPNRNFIDKAANIKWKTDSPFCKPTMSKLDITKKVEMLASKINGNAQLRKIMEDKSKLPITSFKDVITSTLENHQVVLISGETGCGKTTQVPQYVLDHMWGKGKSCKIICTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRDEIHERDRFSDFMLTILRDKKLSSFLNDDFKSSMDDSINLALVNDEFDPLLELISAEQNPEIYNYQHSETALDHDGKSALDWAQQEKQQEVKLLDPNCKIADFLNKTLDPPIPETLRNAISVLQDLGALTQGEQLTELGEKLGSLPVHPSTTKMLLFAILMNCLDPALTLACAAEYRDPFFLPMAPDERKRAAAAKVELASLYGGFSDQLTVVAAFDCWRRAKDRGQESQFCTKYFVSSNIMHMLSNMRKQLRNELSQRGFVPADTSACSLNSKDPGIMRAVLMAGAYPMIGRLLPPCKKAKNAVVETASGAKVRLHPHSCNFNPSFNKSSGNPLVIYDEITRGDGGMYIKKCSVVGSYPLLLFATEMVVAPAANGNDEEEDSSEDEAEKSSLVQHKDEIMSSPDNTVSIVVDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPAALGASMYAIACILSYDGLPDMVPSNDLPANGSDQNSAEANSFSQGRRTGYIPPDDFLVSLIADKSRHAPHFQNSSNHPGCASAHAQPSRAPVGRFDQSQRSFRNSGPGTGSSAPRSFKRRRNTAR >Dexi9B01G0029150.1:cds pep primary_assembly:Fonio_CM05836:9B:31716322:31720059:-1 gene:Dexi9B01G0029150 transcript:Dexi9B01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGAYGNYNNHNRFQNDRLASRYDDGDDTEDCYLRSSRSSIADLMHQGLRRALTSISVLGQKTPNVTEHYTLGRELGEGKFGTTYLCTEISTGCQYACKSILKTKFVNMQDIEDVRREIQIMHYLSGQKNIVTIKDAYEDEEAVHIVMELCEGGELYDRITKATCSEQKAAELMRIIVGIIENCHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGIIFLKHNTSCCLCISMVIAEHLSEQEIVRLREMLEAMDTENGGVITLDELKEGLRGCCSVFKQTEINGLMEAADIDNTTSINWEEFIAAADGQTNYPEFGTMMQSNNSELGWQTMESSMNVPLREAPQVY >Dexi8B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:8B:26981936:26985554:1 gene:Dexi8B01G0016060 transcript:Dexi8B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMYYTMAMAAAMRVVCMAAALVAVALTTPAVSAAAGGRSSAERLRRQQVRGLLRRLNKAPLASIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSYYPGGKYNGSKNEPHPITQTWHQNGKCPDNTVPIRRIKEEDILRASSIRKYGKKRPRYIPNLIPTADPDTPSVLVGHQHAIASARNANDHYGTKASFNLWQPEIERKDDFSLTQLWVTSGSYTDEDLNTVEAGWQVYPGMYGDNNTRLFIYWTRDAYSETGCYNLVCPGFVQTNNQIAIGGSLSPVSIYGGSQYDIDILVWKDPKGGNWWLQVGGSDVGYWPSSIFSGFTNGASSIQWGGEVFSPDAGQTSTQMGSGHFPQEGFGKASHIRNIQVVDSSNSLQPPSGVDLITEQSNCYNVQAGGANSNWGTYIYYGGPGKNPNCQ >Dexi9A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:9A:4244429:4245167:-1 gene:Dexi9A01G0007300 transcript:Dexi9A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDRSAAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCCTLAMIDVYSVLVGCPLRVPGVMAIVAVGDSVLSILAFAAACSSAAVIDLLLQFHGSQCSPRFCGRYQLSAMMAFLAWFLTAASALFNFWFVASL >Dexi3B01G0028550.1:cds pep primary_assembly:Fonio_CM05836:3B:26015778:26017032:-1 gene:Dexi3B01G0028550 transcript:Dexi3B01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVELVVITMTTLLLLACRGSHGHAASAADIEEELPPAWAVPHLRRLLARHKVDAVVDVSTRGGHHYGSIAEALAAAPPPPGRYTVHVRAGIYREPINITRSNVTLIGDGMGRTVISGNQSMHTGHGMLQSAILTVSGDGFMARDLTLQNTAGVSAGPAVALMSMSDQSVYYRCELDGYQDTLNVDCKRQFFHSCRIMGTVDFIFGYAKAVFQECQILVRRSVDGKDNVITAQGRDGPDNQSGFVFQRCAVKALPGDHLDTTTTKTFLGRPWKKHSHVVFMRCALDSIVNPGGWLQWKATTPVPDTVYYAEYRNTGPGANTQGRVKWDQLHLLKEPAEVANFSVHNFIQGDDWLPRFGIIYDQE >Dexi3B01G0031620.1:cds pep primary_assembly:Fonio_CM05836:3B:33619130:33622455:1 gene:Dexi3B01G0031620 transcript:Dexi3B01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPLAGVVRLAAASRVLVLALSLLTRLLFRPYDTSASLHPPCLSPSAPSSSSSAPSTNLSAAISSLAVWDGVHFARPAECGYEYEQSYAFLPLLPASIALLARSLFAPLVPVLGYRAVLVLSGHVLNNVAFVAAAAYFYRLSVLILKDRKAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGLFYLFSGANTVAVIMLAISGSARSNGALNAGYFCFQALLRAYDAAVNKKRPLLVVQTLVAAALRSIFIFLPFFAFQAYGYLNICVHGSSEELRPWCKAKVPLLYGFIQSHYWGVGFLRYFQRKSVAMETVSATFHDAMSANQNIKEKQHEGSILLLPFILHLTFMTFTAFFVMHVQVSTRFLSAGPPIYWAAAHIFASPNCTSKRWGYLICVYFIAYILLGSLLFSNFYPFT >Dexi6B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:6B:22479603:22481551:1 gene:Dexi6B01G0015070 transcript:Dexi6B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLARLLLPTLPACPCQPPLRLRFPERRVSFAAPATVCSRGHRAAFAARAAASAPAAPAPAAAEGEPVEKVEPKTRLVAQNIPWDFTADDMRALFEKHGSVVGVELSMYNASKNRGLAFVTMGSEAEASAALSNLNSITLNDRKIKVDFARPRKKQPKQVKQPVVLSDSTEKYTLFVGNLTWRVRNRHLRELFASAPGVLAAEVIFHTTTPRRSAGYAFVTFSSKEAAEAAISTLNGQKLMGRSINVMFKEENAKSNQSSVPKKEEAEVESSEQQSDS >Dexi9B01G0038820.1:cds pep primary_assembly:Fonio_CM05836:9B:39712413:39713315:-1 gene:Dexi9B01G0038820 transcript:Dexi9B01G0038820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYFLREFIRKHKKMDVRSNPRALRRLRTACERAKRLLSSTSQTSIDIDSFHGGIDFYATMTRCRFEELNKDLFAKCVEAVEKCLRDAKMDKGSIHDVVLVGGSTRIPKSMLRDFFDGKELCRGINPDEAVAHGAAIKAAAVLNSDAGCQKMRELMLGDVTPLSLGVETTVGAMAVLIPRNTGIPAKKERLFSTCSDNQESVLVRVYEGEQASTRDNYLVGRFELSGIAPAPRAAPWIVVTFDIDENGVLNVSAEDKTTGKTNAITISSDRGLLSKEEIERMVQEAEEFKVEDKEEI >Dexi6A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:6A:26827070:26829974:1 gene:Dexi6A01G0019190 transcript:Dexi6A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKAVPATMGAGAVPGGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGSPPVKLDSQDLLQIGDKKFYFLLPTRSIFASSAAARHAPIIPPQLPPPSYARPGRPRLSEFHDRSFEGDYGREVDDIGNGISETGVRGKLVKRNKKSSGELDIYGGHRINVEAIGTLGEDSRSEIRSRGDRDIDNQQILQAEEKEVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHPSRVRKYLTTDDWSPTETKGRPWFGLLALLRKYPEHFVINTRSKGRMTSEFVSLVSLLS >Dexi5B01G0026640.1:cds pep primary_assembly:Fonio_CM05836:5B:28363253:28365587:1 gene:Dexi5B01G0026640 transcript:Dexi5B01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLELALPVVLFLLLVGQCRGGKIGVCYGRNADDLPAPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFSQYQSNVDTWLKNSILPYYPATTITYITVGAEVTESPVNVSGLVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAHFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSTIYSLDWTGRGNVDVMTGANITSANGTWCIASTNASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGVRTTKDPSYDTCVYLAAG >Dexi7B01G0014060.1:cds pep primary_assembly:Fonio_CM05836:7B:20441623:20444234:1 gene:Dexi7B01G0014060 transcript:Dexi7B01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSESQPAPPPAEEPSPPAVEPSPAPAASSLEALAAEAMSFDEGDTEESIDEKVQRALECPCVAELKNGPCGGAFVDAFSCFLRSTEEEKGSDCVKPFIALQDCIKANPEAFSKEILEEEENDDEAENSNLKVRAPAWSRESKSKA >Dexi3B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:3B:5629924:5630432:1 gene:Dexi3B01G0007980 transcript:Dexi3B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVWLRHSTTGARNTTDLVGLHGPGIHHGPGIQAVEPQVVHHQDVVKVLHGPGIHHGPGSYVVETPVVHDLDAAREGHHGPGNYRVLGIHVVEAQVAHQLEAVTVDLHGPRHGPGVAPHHGHLVEASNHALPPAVGLSQIAVRSPVQVLQ >Dexi9A01G0037800.1:cds pep primary_assembly:Fonio_CM05836:9A:42084110:42086710:-1 gene:Dexi9A01G0037800 transcript:Dexi9A01G0037800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLCFVLPMDADEVVLEAEEEEPRRRQQTARKALGSFVRGSVGRVLWCLRCRSCGGADYRYRDSSGVAFEDIAGVDDAGGRKLAGSGGGNSSSPRIFSYSELYIGTSGFSGKEILGSGGFGRVYRAVLPSDGTTVAVKCVASQGDRFEKSFLAELAAVARLRHRNLVRLRGWCVHGGELLLVYDYMPNRSLDRLLFAPAASAKVLSWDRRRRIVAGLAAALFYLHEQLDTQIIHRDVKTSNVMLDAEYNARLGDFGLARWLEHAVVCADDDDDGPEASPSLRVSSCASAAANYHQFRLMDTSRIGGTIGYLPPESLQRRATSTAKSDVFSFGIVLLEVATGRRAVDLAYPDEEIFMLDWVRRLSDEGKLLNAADVKVKLPPVEDGPYNYKLLDVGRLIHLGLLCSLHDPKARPTMKWVVENLLPDGGCSGDLPRLPSFAALPKYYISLTSSSDSGTTTTIATDGTVTTTTTTMSKPVYATAAAELETIYHTAEDGNGRRSGSKSADSGRSPVMAAIPNVDMPCEISYKEIVAITNGFSESQVVAELDFGTGYEGFLDNNGHGRVHVLVKRLGMKTCPALRVRFARELCNLANLRHRNLVQLRGWCTDHGEMLVVYDYSPGSLLSHYLTRRDGDDAVVLSWRQRYNIVKALASAILYLHEEWDEQVIHRNITSSAVFLDPDLNPRLGSFALAEFLSRNEHHGGGGHHVVVSSTSSARGIFGYMSPEYMDTGEATTMADVYSFGVVLLEVVTGTMAVDGRLPEVLLVRKVQHFEQLNRPVEALADRRLDDKFDRRELVRLAKLGIACVRSDPAARPSMRNIVSILDGNDQVLDKFEQRKESTEDWQRRNAANLALVRRFHALGIH >Dexi2A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:2A:551256:551958:1 gene:Dexi2A01G0000940 transcript:Dexi2A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEEWSFLERDYAQSLAGTTQALNATVLRLPVSNGAMADIQGIKNALSSAVDVMHSLGNSCTAQLPKLAQTNVLMSQLSRVFIQEHILIVQCRDLLSILASMNVKCSSLQGQRIQLNPRSPQYFQ >Dexi9A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:9A:15553544:15556652:1 gene:Dexi9A01G0020510 transcript:Dexi9A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFLGRGGGRASHGKLLRHWCLFLQVIPMHKKSGDEIPYVALGLSVYQNILKPGSTLHAVFELSMYNNSKGTYHGCKAYKFIGLYFSCCYLYLWAASYHFHVKQTHSEKKCLIPIEELLKSSEYLVDDSCVFGVRILKADMSSQNKPVVVSKKPITVQSIFLQKKGFIKGTYTWTMNNFLDKKLPVRSRVFKVGGHKWHINMHPLGDQYSTNSLSLYLHLRDLNKLPLESGMMIELRLSILNKNNGEHYTVTGRFMFALAAKNSWGWSNFIPLKTLTDPSRGYLVGSNCTLKADVTIIGSCNEG >Dexi5A01G0027310.1:cds pep primary_assembly:Fonio_CM05836:5A:30811659:30813131:1 gene:Dexi5A01G0027310 transcript:Dexi5A01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLKLEEDKNNQLSKGLDPWSNTNPTATTSTLHYLLQEKERAQAQEQLQIYHQQGFSSYLQHHHHHRRQQQQSRTGAAGGDGVSSGESTPVDAQLATAFGSGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKARMPSWHASESSGAGDGGRGNGDGGYMFAVQQQARQGLEHHHHQSQLFSQGEPLQSSGGWASSARTWLDPLAAIHQPSAMAGQVGFSHLVGAGAGGFMGFLAPAAAHQRLQEEEEQGGEPMRE >Dexi7A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:7A:12978180:12979709:-1 gene:Dexi7A01G0003490 transcript:Dexi7A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVRQPLSSLFAATSAKARSRPPRGPLEALTAATERVRAGTLRPADAHDLFDELLRRPTRIPEPALNKFLAALARAPPSAACGDGLALAVALFNHMSRATASRPVTLCTECTYSILIDCCGRAQLPDLSLAFFGRLLKTGLGINVITCTSLLKSLSDANRTNEALDLLLCRMPELGCVPDVITYTVLLKSFCSQKRSQWAVDLLSTMAKGGGVCSPDVASYNTVIYGLFKEGKVAEACDLVNEMVQQGIQPCVVTYSSIIHALCKARAMDKAEMLFQQMVDKGLRPNIVIYTNLIHGYSTLGQWKEKVRVFNLMVDDGIVPDQHVFNIIINAYAIHGMVGDAMLMFQEMKQQGMKPNVVTYGTVIATFCRMGRLDDAMDIFTHMIDQGVPPNKSVYHCLIQGFCTHGGLVKVKELVFDMINKGLHLDSVFFNSVINDLCKEGKVRKARLLSKFITRMGLRPDVFTFCSLMDGYCLIGEVKEALRVFDSMVSAGVEPNSAAYGALING >Dexi8B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:8B:7838006:7838437:1 gene:Dexi8B01G0006700 transcript:Dexi8B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEHNLELTLSHCSSSTDTTGFFLCVYCDRKFFSPQALGGHQNAHKYERGLAKHRREIAAAMRTHAGALDSERRPGRASQLVVATHNRSSQPIMKADLVPALLTNKERPLGARLWRRRYRRRAGLIPQALIGSDLIILLLHL >Dexi9B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:9B:7811525:7812090:-1 gene:Dexi9B01G0011890 transcript:Dexi9B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVQHLDLRHSGGRRGASARPMQPHAAAFRASQAIVAVAIGTHVVGKSSLTLLRLLGRGSLVLGTLGFDSNSKGMLAIVAVAIGTHVVGKSSLTLLRLLGRGSLVLGTLGFDSNSKGMLVPRVGLYRL >Dexi6A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:6A:2571305:2571673:-1 gene:Dexi6A01G0002760 transcript:Dexi6A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVLSQWWSEWGLRTCVLLSLVANVLLVFLSRTRRRLESGWQAKLQLVSAFFLWFAYQVAEAAATSAIGNLSLCGFDASEEEKQIVAFWAPFLLLHLGGPGNLTSYAIYGGQQALRAQSP >Dexi8A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:8A:988155:995866:-1 gene:Dexi8A01G0001370 transcript:Dexi8A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGGEWAMSRRRSWSPGGVEIGQAMVGMESGVVYGMLKIVGNKLAPLVIKEYCSIVGVKKDLQELQGLVEEINSWLETEAGLSSMWLKDIAYDVDDIDEFHLEAEKHDTDGDGGKNIVSKYLCRKPKSFLLQCKAARKIKAIKKRYAVIVMQRTNLSAIANSLPFGHNVHGINKTTGEMPSLPIVDVAAVLGRDQEKHQIISKLVETKDQQKIKTVSILGLGGSGKTTLANLVFNDVNIIEKHFESRLWVHVSQEFDVKKLIKKLFEAIADKDPAQHALPYMTKRITAELTGKRFLLVMDDVWVESQVQWENFMVYLKSGASGSRILLTARSRIVAESLGSRDEIDLPFLSLDNSWQLFQQSLVIPAEGLGLEFVEVGKEIVKKCGGVPLAIKALAGVLRGKEWMEEWKSKRDSNLLDVEGEEHSVSWHSRDSSKARVLAKVLIDNAETIPRVIKLKNGRPMDGQGRSWLVRVFILNSQLAEQIIDDDEDDFPNNGDNNNGGNGNDVEVELVDHLANLQQGNDPPNQNGEVPQAEEEGDGEGSDNSSVNQASLGEELFIPGKAPLKTLRRSTRVNKKLNGCKNRSILEANASRSTKPSKKRKIASLEELSDKLVLPAANPKVDFPDLVDLENTDDFPEISISTIQEVATGRCGIAPSEVSSELFLQQTKLRVELDLKL >Dexi2A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:2A:31954899:31955984:-1 gene:Dexi2A01G0019840 transcript:Dexi2A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSRRVLCLALPVAALAALLLRLPIHSRILKPHAAMDPDSELEFEMPGVIRMYKSGRVERFDGTETVPPSPDGDPANGVASKDVVLDPVAGISARLYLPGGVEPGRRLPVVVFFHGGAFMVHTAASPLYHIYAASLAAAVPAVVVSVSYRLAPEHRLPAAYEDAFAALKAVVAACRGEGAEAEPEPWLAAHGDAARVVLAGDSAGANMAHNAAIRLRKEPIDGYGDTVSGVALLHPYFWGKEPLGAEPTDPGYRSIFDPTWEFICGGKFGLDHPYINPTAGPEEWRQLGSRRVLVTTAERCWFVERARAYAEGIKKCGWEGELEFYETKGEEHVYFLPKHGSDNAVKELAVVADFVRRC >Dexi1A01G0026950.1:cds pep primary_assembly:Fonio_CM05836:1A:32823935:32829384:-1 gene:Dexi1A01G0026950 transcript:Dexi1A01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRLPLVRLLRQLHTDSVASASSSSAPPPPLPKPHAAASPSVAPGSRRLGFLNPTPLASARAASASSSAAAYLAVGAAAALASLPVAYADGNEQGPVGPAVSTDPTEGEDLARKERKRIMELAQSRGMQRGSYPQFDVAVKGQKVVVKFNMPSTCNISHLIVDLVTHIGLEAEQHGGGSEVLVRAWDREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALIRCIFLLVMSGRDIRDVCQQAERHWASKVCRMLIRGQVPKDEKGEPSLPPIDEYVSSAEQRRKSLPDRTRWSSRSPALKLA >Dexi3B01G0033620.1:cds pep primary_assembly:Fonio_CM05836:3B:36380568:36381837:1 gene:Dexi3B01G0033620 transcript:Dexi3B01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILQDLAKQLSTVAYENMTYNEPDYEMDDDCKPRPIKQAMFQGISMLENKFTDLMQGHIGADARNVCQAEHQQGTFSSHSKHCSLSRLGSIRLTQNDDDESFYFNFCLDDSSEHCAKSSGNDITAGSVTFHCPATVVNETNGSVLLTQASLTMDDEVYNIPLTENDAAVPQLCEELQPEGAHSGASLCAIRALGDYPMYSRHGSFKEPGCHDTAELGAEPAV >Dexi4B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:4B:3617224:3618453:1 gene:Dexi4B01G0005090 transcript:Dexi4B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMPPGTRSRPWADLPVELVELVLSRLDVFSVTLLAAVCAPWAMAVAAAKPSLPLGKPCLLASTKDDDRCSVLDLTDLVAGDRLWVGGKGAWLATIDARCNLQLLNPYTGDRIDLPAVSTIPGVEPNGGRRRRYGIRHLACPDDAFRKVVLCDTPSGGGAGGYLAVAIVAGRILAVARGGDRIWTSLTNHRDMWAGYDDAVVHKGNLFAVDAEGVVFSWDLSRAAAAGDDGTPCPYPRRLGSSWRSPRESVYQYNLAESGDGRRLLAVCTHGKYVKVEKKPAGATVDLFVAGGMLVKQLDVEANAGGWWWRPVTGLGGDRALLLGANWPIWATVGRGPPGQLLQPNCVYVAPAVLFGYPDEDFDVVVYDLSDKSCRQIKVCTGDRDDGFAIPIWFTPTLQTWNRRAS >Dexi9A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:9A:6310069:6310414:1 gene:Dexi9A01G0010390 transcript:Dexi9A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLRLVGKGITGEKAVGEVDPPEHAAVGNDAMAKPVALPTERGRVGELRLDPSILPNRVAEERGTAGAVDFGLELRDQAAVPPHDEADIVAREMTSPVRASQR >Dexi5A01G0037790.1:cds pep primary_assembly:Fonio_CM05836:5A:39001376:39002158:1 gene:Dexi5A01G0037790 transcript:Dexi5A01G0037790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGTALMFISSENMAITRIQPLSSHLNTFASPANPIQDKQVYTVWMKSLVFNGHGCTIYGQDGRVAYLADNYACHRRREVYVMDSGGKAMIKLLKKNFGGVQGMGGLFLLQWPRCGEVYKIDAVPHKSEYRISDPNGEVVAKMQRKQTASGVVLGEDVLSLTVNPTADRLVVVGLVVVCGLLSRCI >DexiUA01G0014320.1:cds pep primary_assembly:Fonio_CM05836:UA:30435007:30437021:1 gene:DexiUA01G0014320 transcript:DexiUA01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding CEWERDCSSLKPPAQRAPRSNSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGDDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLAGDFSPLLSAVQLTSEHSTSAREEAVAQVETFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >Dexi7B01G0022070.1:cds pep primary_assembly:Fonio_CM05836:7B:27011394:27015091:1 gene:Dexi7B01G0022070 transcript:Dexi7B01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHHYPWLNFSLAHHCDLQEEERGAAAELAAIAGAAPPPKLEDFLGGGAAGGGPVPGAAETTAAAEMYDDSDLKFIAAGFLQSAAAAQSPAVSSLDQQADPKLPALPAAAAAPAAPEQRKAVDSFGQRTSIYRGVTRLHDCMHICTWSFGTGGRAGTRHICGTTAAGVKARAVRAAKYVNIVKFYPTTSERFVMLFSVHETAGGYDKEEKAARAYDLAALKYWGSSTTTNFPVADYEKELEEMKNMTRQEFVASLRRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIISSNLPIGSMSASGGKNAKALESAPSNSPDAMPVEASTAPLFAALPVKYNQQDYLSMLALQHHHQGNLQGLGFGLYSSGVNLDFANSHGTASSMVNYYSNGASHEQQQQHHQQLQDHQQDQAQHSSNSCSTLPLASPVTFSGSYESSMTPGPFGYSYPNVAAFQTPIYGME >DexiUA01G0001690.1:cds pep primary_assembly:Fonio_CM05836:UA:4635015:4636605:-1 gene:DexiUA01G0001690 transcript:DexiUA01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTPQRPNGMEPPGPLAAGDQGEVLTAADQGEPRAIGHDVQKGMSAHELDVCTTFFRSQLVRPPIDLLLCILHRLELPQALAFASVCTTWRSTAVAAGVPSSSAPWLMSWADLLKGREKRGRCSSAVTCNFHHLLDVDKAYGVSVPHGCFVACCGASHGWLVLVNEISNLVLYNPFTTATIHLPPITDFAFYAVTFHGMVEQWDIDGLNGATRKVVVAAEIHAGPILSRHLLSTSWGDLLQVHAHLEIGYPDGIRFQIHKINP >Dexi2A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:2A:32776744:32779796:-1 gene:Dexi2A01G0020600 transcript:Dexi2A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAFVFVIVFITAMCICTSSSDTTGSHGTAAGDTSFGLGLCRCRALPLGFAPAPQGGLTSGSTAVVLCARREAAPSPLARPAPGRSPAATWPACGGGRAGPAELRRQLAFVLVQLSGLSAALPRRAVRPSWRAELRRWPAAPRRIPSPATHQVSSAAYPTPSRDNPPRPTPRARSVRARSASMARRRAKALHRAPHHFPSVHNAATSPPRARSHRLQPLAARTELESRAKFVLVPPPFPNPSRTELDHFPSFHFPHFSRALPNSPARNRIFPPNPHFRPPEHAHVEHLLRALPESHWCSRTPPTPVTASTLAGIEPAAAALPPHVAGELRASSSLPTATIRLVVSHWFFSPTSPTLSRRRLAGATPSMSRGPKFIFFLFPGFPVKKAGTCSRSFKRFKEGVLANGVYHLVPADEEGIPESGANEVAVDPETNNQLAQEGKPRSIT >Dexi8B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:8B:20725986:20728634:1 gene:Dexi8B01G0011620 transcript:Dexi8B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAVQWWEEWQVRVLVLGSLFLQYFLYFAAPLRKRSIPSWFRFTIWLAYLGSDALAIYALAALFNRHKKTLSKNASLEALWAPILLVHLGILKCIAKPVALKNASINTLMSIVRSRSCPDTGVPPDDNTTPVDPDQEEFNKEILDVFVKDEVYYPFVDMAPGRSVGGYEHDDLRTALSVSFDRLYTKEQVYSHTMKFILFMYFSNRVPWKRICGFLLRILVNPMIFTALGLFHTSHRRDYNSVDVKVTYTLLACTASLQYFGGFWLSVGHCVCGVYNIFANGRMPTHSPWPDDVAQYSLIGYLASKRKHKTLTSITAFLGCEDYLDPLWCMKPFNWAMFITWLVTRFVETWKPDHGSEGGRAFNDNRGHWTLKRHGCGGSNILRESLRRPFDESVLVWHLATEFCCFDRMDNIPDNDDDALYHLATCYFAHLDALWSKNKNKNTGSNDDAGDDNTGDDNTGGNDDGEDNNTGGNDAGSNNTGDDNTGGNDAGNNNTGDDNTGGNNADVDNTGGNSTGDDDSIDNDALANVRISCVISNYMAYLLFVNPEMLMTGARPSLFRNAYKRLNGMLLDDGEERTAALTEKDLAQKIIQELKGGAKGRGIIDDAWALSQELTKLCNDDNDKMWKVIFGVWVEMLCFSASRCRGYLHAKSLGKGGEYLSYIWLAPVAHGDGNLVRQDAEGTGSARATRRRW >Dexi2A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:2A:14055328:14056902:-1 gene:Dexi2A01G0012090 transcript:Dexi2A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADKVNIPCRVVKGCKYCKSDDASSCLVRFGLERSLEITSNFSSVAKQYFSDCHSLNLLFSDSSTGQASMKPDIIVPEAPREVLPLITSSNMKLDKKKELKLIETQHLRHTVLLEQFIVLIGMDR >DexiUA01G0011880.1:cds pep primary_assembly:Fonio_CM05836:UA:23689486:23690192:-1 gene:DexiUA01G0011880 transcript:DexiUA01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTSAVVYKAGERVELLGERKAYGDSWFPATVAKAIDRLSYIVVYNDLDGEQGGGKATVYRHWGYIRPAEYHRPRQSKVRLFPGAAVEVHCDGAWSLGVVRRIVREGYQYEVSVDGEETELLLTKEVYQVRPQFVWNGRHWTIPSDKTG >Dexi6B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:6B:19577116:19579533:-1 gene:Dexi6B01G0012030 transcript:Dexi6B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKTKKRVAIIGAGPSGLAACKHALAKGFRPVVFESGEAVSGVWARTLSSTRLQTPAAAFRFSDLPWPEEVAAAPELDFPRHDQVAAYMTAYARRFGVLDCVRFRSKVLAAEYVGPSETEVAAWERWSGNGEAFGDGSGEWHLTVQHSELEDNQIYKFDFLILCVGRYGVAKVPKFPQGRGPEVFHGQVLHSTEYARMAHADADELIRGKRVVVVGSGKSGLDTVAQCAQANGTKHPCTLIYRSSHWMVDAKLIWGGISFGKLAMTRFAELMVHKPEQGFLLSLLATIFTPLRLLLATLVEAYYKMNVPLQKHGMMPECSFGEAMLGWRLGTLPEKFYDMVNKGSIKLKRSHSSFSFCTNGVVLDSGTGTGTGESVVVDADVVILATGFDADKLLSNVFVAPRFRDIIVSSEPSDTMLPLYRQCVHPRIPQMAVVGYVECAASIYPYEMMAKWVAHLLAGVVWLPGVEDMERSVAEWERWGQWAKRRSGGVFFLRSCIGTVTTWYHDQLCRDMGYSPRRKKGGGLLA >Dexi5A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:5A:22560105:22561822:1 gene:Dexi5A01G0019100 transcript:Dexi5A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPAAMFSLIDVDGVRSAFPGGHFPGDHPTAPSLSSVVAETPAADGVDRISALPDELLRDIVSRLPVREGARTAVLASRWRGFWRSAPLVLRDDDLLLAADGGCASPDAAIGRILADHPGPFRSVHLSHCSLTSGERELGDWGHLLAAKGTQDLVFLDNPPLPRRFGDAFRGASLRQLPADILRCASLRRLFLGTCRFPDIAGAPRGADVFPHLKEFSMLSAQMSEQDLEHMLACSPELETLALIINAMPKRIHLRSQSLKCMLLWTGVADELAVVDAPHLERLILWQTAGDYRPMKLKIDGAPELRVLGRLHPRLHQLQIGNTIINAETKASPSCIVPSVKILALELCFGLSKDVNMAPSFLRCFPSVEILHIVSSRDGEATGRHNAKFWQEVDPIKCVKSNVNKIFIHEFQGEQSEFGFVKFIAKRARKLQFLVIVLTKETFASACQVHKVNCQLRALTTCSWAAKECQVLVVGPKLDCTWSFAKASDLLVKDPFW >Dexi1B01G0031430.1:cds pep primary_assembly:Fonio_CM05836:1B:35015334:35015453:-1 gene:Dexi1B01G0031430 transcript:Dexi1B01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSGNHEQEGETCEAGYQLLLQPETQ >Dexi2A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:2A:11505094:11505845:-1 gene:Dexi2A01G0010350 transcript:Dexi2A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSVAVDAVVVLFSLTMAVAAPLFDSQVVLPASLYPAPLLDLFRWFVAEFDHYVVADPPPFFRGLVWIDLAFLWPVSVANLYGILTRRRCFNCAWPLFVLNTGYNGRFVTCTFFSEEEGLGRRSIPDQ >Dexi3A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:3A:12893840:12894918:-1 gene:Dexi3A01G0016990 transcript:Dexi3A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDEQLRSYVQRHGIGGNWIALPQKAELPPAHGGYTEQEDQIIWSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAAVAATGSVAALAAPATPLALSPAASASSSVTSSSSGDVRFATYPSQQQQHHQQGLMRFAAPQRTTKQTELAPVTELTQLDVTGGGGAWASSAAELEDDVFLPGLVGGGEQLFPYGDFFGGMMQDRALELSACYFPNMAEMWGAAASDVKPQGLCNTLT >Dexi7A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:7A:23983074:23987643:-1 gene:Dexi7A01G0013990 transcript:Dexi7A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDAPVQWDKVDGAEVANGGGGGSAGRLEKILVSVRLRPLSDKEIARGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRTDCNTKEVYEEGAKAVALSVVSGINSSVFAYGQTSSGKTYTMTGITEYTAADIYDYIAKHEERAFVLKFSAIEIYNEVVRDLLSSESTSLRLWDDAEKGTYVENLTEVILRDSDHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSACESLGKDKSTTLVASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSISLFNCFHFCSKVKNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQRELARLESELRCPTSYSGLEALVKEKDSQIRKMEKEIKELKSQRDLAQSRLQDLLQVVGDNHNSKHPVASGRNFTFDVPQPCDDDQSTTSEVVSSGQNFRFEGRHMAQRDYRLQQSENNTQFDTHLGYSVCSPPFSGMPPTNGRDGNSQISNEDSEDLCKEVRCIETNEPEENECLESSAVGSNSLQDSNGGSSMHGNNDQHPSVNSRQHDVSPITLEQHLENVKKPFANLPMDLGSSTHLEKEDCTPPSRSFMDHPGRPEGCQRRGSALNFDAESETLSRAGSMLSEITTARDGLKANGSVAGDTEFAGIGEFVAELKEMAQVQYQKERGDQGENGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGSMGRNVVAGSLNTNLVSSAKKLQWEREMLCRQMQKRLTIQERESMYTKWGVSLSSKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFSRRSHNSWRYGRSPVLP >Dexi9A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:9A:4029886:4032553:1 gene:Dexi9A01G0007050 transcript:Dexi9A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGQTLGRSSFSRATSNPVASSSGAAGVKLGPNGAAFVSSGIPDLDKILGGGFLLGSVVMIMEDADAPHHLLLLRCFMAQGVMHKQPLLFAGPLKEPRLFLGTLPAPVSSSKEDGRHRLMGVGASGDGRVSDEGLRIAWQYKKYFGDEKTSRAEHRVLGGCNLMLTLAQLIKGVDFACISMVSGTVVLLLKLSFIVILQDVRDKSDSFSIAADNKQEFSNDFDLRKPLERHLLNGQNTECVSTQDADSLRDLQDHCSAFISRLPGKDGGSLTAGRIAIQSLCAPQCGYFEKARRLNDWDMISFIKSLKAMVRSSNSVAVITFPSTVLSNSFCKRWQHLADTLLSIKAIPGKLYPSNYEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTLSLKLRKRRSLMLERLNQAPVDGSSGPSSAASVSCSSSQGSQLDF >Dexi8B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:8B:10459164:10459979:1 gene:Dexi8B01G0008040 transcript:Dexi8B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLPQHHSFVSTGSSHDSSPPALFKPSTNNSGNISFRLYSNTSPSVTTTSTANSSAPTPVTPAAPADSPPTPSIDLLSRQLAARDYRQADETTRALLIELAGESARRRGYVFFSEVQFISTEDLRTIDKLWKEHSNGKFGYSVQRRLWEKSRRDFTRFFIKIGWMKKLDTEIEQYNYRAFPDEFMWEMKDDTPEGHLPLTNALRGTQLLGNILTHPAFEEESQEDQATAESAIAAAATAQSKDDNKGRDRPKFMRDFKPDYSF >Dexi5B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:5B:588354:590019:1 gene:Dexi5B01G0000920 transcript:Dexi5B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAAAAALLLTVAVVLPLSYLLLLASNNNGNVNGRRPLPPSPAGLPVVGHLHLLGSLPHRALRSLARAHGPIMLLRLGRVRTVVVSSAAGAEEVMRERDLAFASRPRSAMAERLLYGRDVAFAPYGDYWRQARRVCVVHLLAAHRTRSFRRVREQEAAALADRVRAMDAVDLSELLSGYANAVVCRAAFGDERARALLDGGDGGREQIRKVLTDFQQLLGTAPVGELLPWLGWVDAVRGLEGKIRRTFEALDGLLDKVIDDHRRRRPRNGDDGGDHRDFVDVLLDVHKHEEHGIQLETNEIKAIILDMFAAGTDTVSTTMEWAMAELVTHPRAMRRLQDEVRAAAIVDEDHIADLPYLKAVVKETLRLHAPIPLLVPREPPADAEIMGYHVPARTRVVINAWAIGRDPAAWEDAEEFTPERFLGSDVDFRGQHFELVPFGAGRRGCPGLGFAEASVEMALASLVYHFDWEAAGGGGTGPSSLDMSEMSGLSVHIKAGLPLVPKPWVP >Dexi3A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:3A:5679484:5683325:1 gene:Dexi3A01G0008140 transcript:Dexi3A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTTSTNVGDLHHFLRCGLRTHSSIFCVHTSLLYRGLLQGHPFPTSFLLYLLTATPLHLAARPPPPLPLISFTLHFAPSPHPLISPPLPLRRTRLLPQSYSQDEDIISGLPDHVLLDILERLHLHEAIRASTLSKRWAHLPRLLSRLLIDVAHFLPRDANKRGTCTVDQIMTAYTSAVRRLLPSSTSSNHRAIIKQLQLSFYRTDPYVCSIGHAVGDVMELGNTICLEFTTWADMRRPSYDQTILFGERFMSFFRACPTAFRWLTRLILDNITFRDSDFHSFLNTCSKVQFLSLTYCDSAFDPVTGEDIFLKIDAPDSTLTVLEIHTCGYERVDLIQAPKLRRLVCTDWIGAHSPLTFGIVPCLDNINLRHDAQEWQTPFPLSQCFSKTTNLKIMYLNFLDQMVWIEPEDPKYLSHVFNNLREVYLYNIFFECDLNWTMFILEAAPFLNKLYLKVSRHPCERSRCKHSAERLNVLWHQASPDFKHRKLNLLEIIGFAVDEELMKYIRHVIKQEVDLKRIRLLDQPPCSKCDAIDDTQLPSKVRWRFPEEEEERKLIRQQLIDGFSSCVEISIG >Dexi3A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:3A:5956848:5958411:-1 gene:Dexi3A01G0008530 transcript:Dexi3A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPRSEDEILESANVKAFSFNELKTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLAEVNYLGTLSNQYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSRFQPLSWNLRMKIALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDANYNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRHRPSMDEVVTALEQLQDAKEGGNNHLQKRPSGRRITMA >Dexi3B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:3B:1981258:1982187:1 gene:Dexi3B01G0003000 transcript:Dexi3B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDLASCVSDGAVRVACTTPASTLVASTAAASASSSSITVAVTATYHAHTNPPLLFRLTWAHTPVGPPTLSVTGPTAASPAVLLRRRKGTRSLPSEDQRHPPLALFWDLTAAKYAAAASSLEPVSGFYFVAVANAEVVLAVGDLAAEFVKAKFEGQIPKARFVHVARADRVVAAPNAMHTARVRFAEGAPEHEVSVGCATTSAGGGGEELWVSVDGKRAVHARRLRWNFRGNQTVFVDGAPVDVLWDLHGWLFRDPPGCAVVMLRARSALESRLWLEEGAAAPGFALVVQALKASPP >Dexi7A01G0022680.1:cds pep primary_assembly:Fonio_CM05836:7A:30861154:30864988:-1 gene:Dexi7A01G0022680 transcript:Dexi7A01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHLAQGEGISCVNPRISTERPAARSPTSVHGTEVRQYNGLGGAIVAARRCSSTRGHGGATTAWWPGRSSCNGVVCAEVRQHDGEGEAVATALRQPSLCLLPSHFPSLDVVLAAPAQWTRSQGFCSLLRLRAGKEYIVFFDGTRSQSMDKTASVVLDIEGLPQPPDKCCSGSPKMTRALSRKGSNRMERRGGDEQEQEDLAKKLIIKVVPSQLEQPLVQNKALVGPHCTPCTPVLIDSGEGRNKRFNRFTSINPRKILLLFATLSSVGTTILIYFTLGINSKAEV >Dexi8B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:8B:1083791:1089170:1 gene:Dexi8B01G0001650 transcript:Dexi8B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLASELVATQTATLKVDASPQLARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNIVALRMEVLCDDCPTGGVGIYNPGFWGMNIEDGKAYNLVMYVNSPETTDLTISLTSSDGLQNLASATVTVSGASNWTKLEQKLVAKGTNRTSRLQITCNKKGVVWFDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSLEFARGSANSTWGSVRASMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSKPLDHPADLYDFHVYTDSKTLFNMRTTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPITISSGYSGSLAASAITWQDSDTSYLRIKASKIYAVSLTISTSGLEASVNALVSTSTVLTSANVMDENSFSNPTKVAPVKSELSNAAEQMKVTLAPHSFNTFDLALAQSKLVAEM >Dexi4A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:4A:4668787:4670085:1 gene:Dexi4A01G0006500 transcript:Dexi4A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASFAAAVRRRAASSDPSLITFHTLPRIDDPPEMPIDERFLLGYFELVRRYKNGLLELLRSMPPRSIHAVVMDALSNEALDVAKEMGVPAYTFFAWSASALAVFLQLSVAASVCDEGRPVSFKELGDSHLDLLGVPPMPASHLSREMLEDPNGEIYQAWMSSIGKNLEANGMLVNTFVSLEARALGALNDPQFLPGCEFTMPPVYAVGPLLVEGPPSGDTKEKHGHECLAWLDSVVFLCFGSVGCHSEEQLKEIAVGLERSGHRFLWVVRAPVRDSTLALHADPDLDALLPEGFLERTNGHGLVVKEWAPQVDVLHHKAIGVAGVPMLCWPLYAEQKMNKVVMVEEFGVGVEVVVECRQQGLVKAEEVEAKVTLVLESQEGERLRARMRALKEAAAMSWNQEGGSSRTAFGQFLLDLEGVGIGQ >DexiUA01G0002140.1:cds pep primary_assembly:Fonio_CM05836:UA:4961203:4965306:1 gene:DexiUA01G0002140 transcript:DexiUA01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEGSLDLGTLPGLEHNQLAPSSVRASRVPSIIPDSVDLRPLSKTLRRPKHDGFFNSRKKPSSVISWFRSARVSEAFETSFPRGGTVEPSSFEVPPSRVVVLNAPSENFAVSCDRGRSVRAQFDTTARLPRQTPRNLRRSYSIARLTRPVPLRLPGQAWLSAGVLPINSTAVSMPRPSVPFALAFAFVFFLRPSSPSSVMAQFADPAPWKPSNATKHCLDSLVEVGVLPPNVDGEPPVWISPGAATEPDPPRGYVVSFARFHERGFGVPVGRFMRALCFHYKVELHNFSPSAISQAAVFVAICEGYLGIEAHWDLWCHLFVGELFLEYVSKGVRRPARAGGLVLQVRKGRKDLYIPSSMVSNNQDWDKGWFYLRNDGGHLPPYTGLLLTEKQPDWHFGVSPPSRKKKLDPLVDALQRLSRLGLTAGGVIANFHRRRVLPLMRRRLSLYEMTPDADLAGTVTAAEPLPVATAVQRARRAVDKLPDDPWAVPMRPEDGYVSLGVSRGHYSKPPVPEDKAVNRALAEKAKEAKARREARLKRKERKRQKLEAENRERARRGLSPLSAPESSTDRDGSDEDGGARSPSPFELPFGGPAPAAASGGGGEEVIDLGTPPSTAVPSVERPSGAATAVPEGTQGQDEAPPSTAGPSSEGPSGAAPAALEEPRGGGEVPGAAPAPASRPKRKLPFMRTPSLAPTKALKIGPSSSPHPSSQLLGPTNDVVEDFVTFFDTQAELQARQQPREEAPPVLEGPRPPQLLEGAVEPHVEAARPEEANPTPGEALRVEEPSAAPVEADAVVVPPHEGGERRTHGGGFPHLTELAEALGVGAPVAQGHESGGAAPSTLITAQPGPVAAWSYEAHARGSAEMWQARSALPQRFVDEAVAEETLWEVQSSHGLDVRRALQDILRLHDDAGKVHRALAKNDQIAELSLELRRLSGVLEARDRQLDDLRGARDQALAQGREKGEVIACLEGSASALREQLANRNDWLENKRAARRAAELAVEEERRLAEQTARATAERQAREVEQRAQEAERALEAALGQVEALKQALQAETESKEAVLVAQTETHCELDELVQAASAACNEIAGPGLQFGSTLASRVRALGGHFTSRVKEALLLGVRKALGVVTTHYQADLSKLAAGYVVADNLNDEEAVAALDEADAAADGTARVLAGYFEGALFPGEDGGGWDDLGGGGDP >Dexi5A01G0023930.1:cds pep primary_assembly:Fonio_CM05836:5A:27869222:27869512:-1 gene:Dexi5A01G0023930 transcript:Dexi5A01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVFFLVAVVASLLAGRAVADFSALAPCDVMQLSPCASAFAGKGSPTPSCCGRLKSHGSTCLCRYKDDANLKRLVDTRHKRRVFTACKVPVPSC >Dexi1B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:1B:4050826:4051602:1 gene:Dexi1B01G0004960 transcript:Dexi1B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKLVLMALLVAAMASPLALAYDPSPLQDFCVADKASNVFVNGQVCKDPAMVSAGDFAFSGLQNAGNTANPFGSKVTLVDVKALPGLNTLGIAMARLDLAAGGLNPPHTHPRATEILTVVEGEMYVGYLDTTGKLFAKVLHKGDVFVFPKGLVHFEFNFGATPAFGIAGLSSQNPGLVRVADSLFGASPAITDQVLAKAFRIDAATVQRIKAQFATKK >Dexi3A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:3A:8966702:8966939:1 gene:Dexi3A01G0012340 transcript:Dexi3A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSARRRVDLGGRSNKERDRKVLLEQTREERRRRQGLRLQNASATKIQQRDRVGFS >Dexi1B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:1B:20542197:20545499:-1 gene:Dexi1B01G0014290 transcript:Dexi1B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGDPAGAAAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRVAAAAAAAAASASGGEAAAAAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERATATSREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILASRSAGNAAEEEEAVSAARVEEEEAGPSEAVFDSGRPVTEEALFSEDEEEEEEETPAAVPPPPPQPVIAVPVRTLLLVLSISLVGPSICQLMFRSSITENPEASRQQQSAEQGPSKDKQPEQSAERDALAQQGGQKRPRTEEEAGETADLQSKLIEILDRNSRMVAAQLEAQNHNCELDREQRKDQANSLVLVLGRLADALGRIADKL >Dexi6A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:6A:10876049:10879541:1 gene:Dexi6A01G0009410 transcript:Dexi6A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEECGDGDRRHLILSGSRILVGVPDNSRGCSELLSWAIGAVAKANDSVVAVHVLGGRGRKKRLQKANAFVIHMLGEFVEACEAKQINLEAKVVCSSNIGRALTQEAALTDGNILIVGRSRNGYHRSHFEIANYCFMHAPKNCSVIAVGREGLPQCNTRSRSKSLDAESNISSSSTWSRRFPPLQKLLRSNSMRKLAQSISESTEDKSSPRAVLDGPDEGEHQVTEECYSTSSHEVSRRGHNGLWRRLSDMKLWLPFLRTIGDDSVRASDVGSAYAEDQKPAWRCFSFQEISMATNDFHPDNLAGRGGYAEVYKGVLSDGQYVAVKRLAKGTPSEQKEKEFLAELGIQGHVCHPNTSYLIGCCVENGLYLIFEFCANGTLASALHGKSGKILEWPLRYKIAIGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWVKPLLEAGQVTELADPNLGDDYDKDQLKRMISVASRCIMRPAMWRPSMAEVLHFLSTDDCLDEPEKWNIPEDEVDDMDDCTLFSESCSL >Dexi7B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:7B:25808383:25812933:-1 gene:Dexi7B01G0020540 transcript:Dexi7B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPPRTSYLKKENAGTARREMGLKVAPRRNVLSAINNGEANGGTPSASADGGGGGEAATVVEFSGREDVERLLAEKMKGKNKTDYKGRVEQMSDYIKKLRACIRWYMELEDGYLAEQEKLQGAMDAENIRHSELEAQLSTAIEELKAANLDLTRRCEFLEESLNREKSEKLIAVESYEKEKQERESAENSRDVLTVDLERVTHDAKRFSEQLKMVQDTNKRLQEYNSSLQQYNSNLQADASKSGETISKLQKEKSAMMETMATLRECNNSMSNQLESSRASQQEAIRVKEELRKEVECLRAELKQETCSTQKEQIQTFQKQLTVATEKLKLADVTAIEAMTGYEEQKEKIKYLEERLSHAESQIVEGDELRKKLHNTILELKGNIRVFCRVRPLLRFDGDSNGPEGASISFPTSVESAGRAIDLMNQGQKLSFSYDKVFDHNASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGVNQKGLIPRSLEQIFKTSQSLESQGWEYSMQASMLEIYNETIRDLLAPGRSNNFDMTPSKQYTIKHDSHGNTTVSDLTIIDVFGIADVTHLLEKASQSRSVGKTQMNEQSSRSHFVFTLKISGSNETTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQSINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRQTQARSFDSRLSYG >Dexi3B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:3B:10113242:10115814:-1 gene:Dexi3B01G0014070 transcript:Dexi3B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSASVSVDIERISFGGKPVALPSVFYLDSAVVNVGWTCAKSLRKNMPFLHQEHLVRTKCGSVTVAVYGDEDKPALITYPDVGLNYMSCFQGLFFCPEAASLLLHNFCMYHINPQGHELGAAPIPSDVPVPSVDDLADQVADVLDFFILGSVMCLGVTAGAYVLTLFAKVRGDAQYPESDLVQACKSLLDEKQGENIWRFLQSINERHDLTDSLRKLQCRTLIFVGENSEFHEDAIHMTTKLDRKYCALVEVQDCGSLVTEEQPYAMLMPMEYFLMGYGLYRAYQLSSSPRSPLSPCCISPELLSPESMGVKLKPIKTRVPINF >Dexi1B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:1B:11450947:11459124:1 gene:Dexi1B01G0011340 transcript:Dexi1B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQIRVTMEVGADGVALITIANPPVNALHPIIVDGLKDKYAEAMRRDDVKAIVLTGDVSLMPDVSVELVSNLMEEGKKPSVAAIQGLALGGGLELAMGCHARISTPEAQLGLPELTLGIFPGFGGTQRLPRLVGLPKAIEMMLVPGVTDIQLKPRQIRKVAVIGGGLMGSGIATALLVSNMSVLLKEVNPQFLQRGEKMIAGNLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFADIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVAMAVKDIYADAFGERNLDSNLVDLMIKDGRQGKINGKGYYIYEKGGKPKPDPNVQHVIEEYRKGAKAMPGGKPVSLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKYRGGLVFWADTVGAPYIHSKLSKWAEIYGPFFKPSSYLEQRAKSGVPLRTKHIPARFREVTHVRCCPAVGRSEYLRDESIACPVHQSISARANTRDGTE >Dexi2A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:2A:32802907:32804332:-1 gene:Dexi2A01G0020640 transcript:Dexi2A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGKAEKARRSSAARVKLWVARATTVLLWTCLVHLAANRELWAPSVLIRWPGGCFTQHHAVHHPSEAVAVADGGQREAAHHLVAPLPPKRSTRSST >Dexi3B01G0028380.1:cds pep primary_assembly:Fonio_CM05836:3B:24560624:24560911:1 gene:Dexi3B01G0028380 transcript:Dexi3B01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLFSRRPTSAAASLSLLIPTMVTGLGGFCSHEAPSLAIPFCVEMGLEGCLALDVFTAGVLQAGQESSMMMGALEGWAEDFPFTRLAFILSFSD >Dexi4B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:4B:4846651:4849479:1 gene:Dexi4B01G0006960 transcript:Dexi4B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHKWRVKVLSEFSPRNPRLLGLNVGAGVEVKLRLRRAGRDHDFIPYEEVLDTMLHELSHNDRGPHDAQFYKLWDELRKECEELVSKGITGTGQGFDGTGRRPLAPICEVCGTAKPKIAKAKYMTWSCKFCTLENSTKLDKCSACDQWRYSYGAP >Dexi3A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:3A:11773684:11774231:-1 gene:Dexi3A01G0015810 transcript:Dexi3A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSISCSLKPPPAPVKEASARLQPSPPATTTTPWSSGLRRACVAAAACAVIATASGGEGGAAVVLPRADGVVVAVDARAAPPRWSDRRECPPWRANSLENIVPENLPRPSARRRFNSVKAPDGAPALSPEAVAPFLALHSGLDDCFSL >Dexi3A01G0035650.1:cds pep primary_assembly:Fonio_CM05836:3A:40900114:40901417:-1 gene:Dexi3A01G0035650 transcript:Dexi3A01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFTTSSSDVPACSSRTSERIYPSSSPRAGAPSVGTKQGSPPNLPSPYTNLTSSPVTRSTLANPDTLGHGSATARYAYLVFLPSKFRFTIPTSRFTFPVAGESTARRVDSPVRVLRRISVSTLSRRSSESLKMESAGVMQDPTTTTSPVTSSSHAFHKWKQKQSGTSTHTISDESPAYLGSTPNLDTFSLSSTTGEPPFSISPSLNTTWWSGTTTKFSVMAKSWIILDLDVVGFRPVGTEVEVLEVGPLDDVIAKREELAGDGVKLGVRDHGGPKLPVEIEPPHRLEIAVVGDADMVRKVILRHGEEAAIKVHEPGVGDAGAGGRVDESDEPTRVEEGEPRDPRVAMELADGLGEDGFPHGAFLLEAGGFREPAGVALGAAVADADGVHHPVAVEQVVAGGGREAGVRAVARVDSVDERRDPAGHRE >Dexi9B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:9B:6030343:6031119:-1 gene:Dexi9B01G0009810 transcript:Dexi9B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRARHPAAASRRTRRSEQQPPPPPASLAESPKILSNPIFRCEPGPSLQPKPAPTSDQLRCVYRPGSLYALVHDPAAAGDGIGKPLPLPPCRAHRAGSHLPASRVGLPLVVGSPRGRVARRAPPPPQDPFLAAYVACSNDAGGVDRRQQKQREGRKKKTTTTAVKKKEGREGEEDVRGCGMWSGWAAGAKYAGEMSCRHGGCAVAEQQKGDAPAALAMAKKEVVEEDAAAAAAPTLDLSWAPVVLSARALERRREQR >Dexi5B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:5B:3489051:3489869:-1 gene:Dexi5B01G0005270 transcript:Dexi5B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRDGRGQRRGWLQIGGLHHRRASLDVAGAGGGGGGARGGSPPVGATPAPTRGGGWRPCCRSSWKSGGWTTSRRGGGCSCTAPAAGSAAALVHTRNVETKDYKFFSIGTVELPDGRVLHLIGMLGSWWIYRVSYVN >Dexi9B01G0025980.1:cds pep primary_assembly:Fonio_CM05836:9B:27635125:27644054:1 gene:Dexi9B01G0025980 transcript:Dexi9B01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMSVSVKILVVASEYASDGSVDIKKQPALKQRTGKWRACYFILGVEFCESMAYAAICTNLVTYLTTVLHERKVDAARNFSAWLGACFLSPLLGAFIADTYLGRYWTIVVSVPLYIIGMLVLIASASLPVFSTSHGDGVHSSVVYLGLYLLAISNGGLKPCISTFGADQFDTNDPVELVQKGSFFNWYFFLTSSSSLLSGTVIVWLEDNVGWAVGYIIPTVLMLVFCVVFITGSKLPHLVPIYETILIPFARRFTGKEKGFSQSQRLGIGQALSTLTMVLAALLETRRLAIAEASGLRDQDVPVPISILWQGPLYLVHGASAVFGGIGLTEFFYDEAPVTMRSLCAALGQLATAAGSYFSSAILSIVAVATTRGGAPGWIPDNLNEDDERPLLLLQPPQVESSQYTRDGSVDINGQPALKHRTGNWQACFLILGVEFSECMAFFAISKNLVTYLTTVLHESNVAAARNASAWVGACFFTPLFGGFIADTYLGKYWTIAVFIPVYFIAMIVLMVSASLPIFTTSSDHGDNIHRVIVYLGLYLAAIGNGGVKPCTSTFGADQFDINDPAELVKKSSFFNWYYFLISISSLLFFDKAAIASVPSDNEFMIPESSWRLCTVTQVEELKMLLRIAPIWASFLIFFSVSAQMSSTLIEQGMFMDNRVGSFAIPPASMSIFGVFSSIVWVILYETVLVPLARHFTGKEKGFSQAQRLGIGQALSMLTMVCAALLEMRRLAIAEAKGLTDRNVPVPMSILWQVPLYLVHGAADVFGGIAMSEFFYDQSPETMKSLCAALGQLAIASGSYINSLMLSVVAVATTSDGATGWIPNNLNEGHLDYFYWMLAFLSFLNLSLFVHYSVRHRAKTSL >Dexi2A01G0029540.1:cds pep primary_assembly:Fonio_CM05836:2A:40582719:40583995:-1 gene:Dexi2A01G0029540 transcript:Dexi2A01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGQQILILPCLEATEQKRPAPALTSDLHEEIFLRVASAGDLARASAACVAFHRLVTDPAFLRRHRSIHPPLLLGFISSAGFQPAEPPHPSAAVARAADFSFDFVPRPTRLNHWHPCDVRDGHVLIDCRRFKDRGDGEERLCLDFAVSDPSSRRYLLLPPMTDELLASVGLQNHDVFNSGASFVPSGEIEDEASFSVMCWMHSETKFVVFFFSSDSSQWTIDL >Dexi3B01G0030790.1:cds pep primary_assembly:Fonio_CM05836:3B:31020978:31022644:1 gene:Dexi3B01G0030790 transcript:Dexi3B01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQATGAAAATATVEDLATTAAPPRKTTTFGVVTKARHRVSNELIAIKRHHSSNNGDLLTSDLCLLMELTGETTLRSNLRHRRRRHRRPLLSEATVRAAMWQLLTCARTMHERGVVHRDIKPGNVVVGEDHRSLKLCDLGLAMDTTSGRPLFETNVGDDDDGEVGQLWAIYEVHDGGGLREMFHEEVLSKEGFEVLSGLLAFESGDRLTADASLGMPWFDKVGALALPKEEVVVPVVAAAPVAAVVPEKKKRRLAGVVQPPLPKKTAKVF >Dexi9A01G0022590.1:cds pep primary_assembly:Fonio_CM05836:9A:17746957:17752315:1 gene:Dexi9A01G0022590 transcript:Dexi9A01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEASAPPWAQNKSAAAIDSSSAPLAAAAARLSSRSRALPSSRDFHFYNNFAAFKSPVGAAAAKADASLGVLGAAPLLPTRQQPFPGGGDLDDAHDWLVALNDDLLERFGASMDEFKALREKEEASGRRAAPDAGDGFQTVYGKKKKKVGDGEEGVGRAEAFGASSSVKMAKDKAPAPGTKAKVPFHIRTIPRPQDVYRIVVDNSSKPFEHVLLERSEDGTRAVHPLDKVPIEQLIDRNVPDSEPVKPPALGDTPFTFVEDLKTLELLATKLKNSTEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREFFRDPTKRKVMHGAGRDIIWLQRDFSIYVCNLFDTGQASKVLQMDRNSLEHLLHHFCGVTANKEYQAADWRLRPLPDEMIKYCAFILLEYAREDTHYLLYIYDLMRLRLVKESSGDSDLLLEVCKRSNEICLQLYEKEQLTDSSYLHIHGLKENELNARQLSVLSSLYRWRDGIARAEDESTGYILPNKNLLEIAKEMPVTSGKLKRMFKSRNSFLDFHLSTIISVIRDAISASGAFENIAEQLKKGKLEELTAANAKNSSEDTEMIPAVDVDNNEDPSDGSAVVSTVITNVGTASPCMGTVTSEASFGSMHLEDSAPEKKDTGTLSGLTGLADKEILSNGQQQVARATVQVSKKTTAFGALFGKPAGGRRPNLFPGSSNDQAAFHQKNQCIVSQKASNTVIQPVSWKT >Dexi8A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:8A:1274282:1275130:-1 gene:Dexi8A01G0001880 transcript:Dexi8A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVYRRVLRAVQKHVTGGASKQHFFRDFVAAEFRAPAGTDADARARLQLAVDYAYLLCSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >Dexi9A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:9A:8025826:8026185:1 gene:Dexi9A01G0012560 transcript:Dexi9A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTRSSHDRKEDAGSAITLVYDGDPSINNDSSHHAARRRGSLGTPPWRLKVEKTDMKVFSGSLIWRVGSSDQVDDIWMANRGRGDYAAVVGDGARSPRVGTTNEDWHRLWRGKGGQP >Dexi9B01G0039890.1:cds pep primary_assembly:Fonio_CM05836:9B:40578271:40581282:1 gene:Dexi9B01G0039890 transcript:Dexi9B01G0039890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMGSGVKAYGAVVLIRLMYSGMHVVSKVALDQGMDPLVFVFYRTTTAMLVLIPVTFVLERRKAKPVTFKIVWKMFIHALYGVTASGDLSSLGLNYASATSSSALYNVQPVVTKETLKLTRFHGKVKFVGILFCIAGVTLMSVYKGPLFRSFNHHHLFQSGGVSSSKAAETHSKKQWVLGIFFMTLSNVFGGMWTVLQEPLIEDTSKLMNTTLQVSCASVQAFLVAVAVERDFSKWKLGWNVSLAAIIYSGVIVMALSYYMQMWTIDKRGPVFLAMSMPLTFVILGGVLLVGGLYNVLWGKRIEKQGDLAKISATPGELGLELPPQQSKADLEAPQVRDDDEDKEAKV >Dexi5B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:5B:5942547:5943412:-1 gene:Dexi5B01G0008770 transcript:Dexi5B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVASSVPVTMIWLEAALPLLLLVAAGTSAAAVDVVVPDGMDAIRLPTDVRGVADVVAAMASATGANEERPWKCCDVTVCTRSQPPICRCLDKVSRCSRSCKQCDKTSDTMSRTCADWYKGQPGPRCHDDASSSSSLAAAAAGTRMMAAGEEGKRKAAGDENEKRPWKCCSLPICTRSQPPVCHCWDVVKRCSRACERCEQVEAGSSSSSSGGTHRYRCLDTHRGDPGPRCEEKWAPTVASSYHL >Dexi2A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:2A:27924190:27925961:1 gene:Dexi2A01G0016350 transcript:Dexi2A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAARSCYSFLAPLLLLLPPLVVLSAAAAAAVLLNETMDVPGLVVMTLPSPVFGPESLAFDGRGGGPYSGVSDGRVLRWEGGLRGWTEYAHNSKHKSVAICAPDKKLVVPESVCGRPLGLQFHRKSGELYVADAYLGLLKVPPGGGLARVVASEAGGEPFNFLNGLDVDQRTGDVYFTDSSAVYRRSEYLLVVALGDETGRLLRYDRAARRVDVLRAGLSYPNGVAVSAAGDHVVVAHTALCELWRYWVRGPRANTSELFAELPGYPDNVRADGRGGYWVALSTDQGGIAGDEAPTVAVRVSPEGNVTETLDGFSFVTVSEVGERGGKLWVGSVDTPYAGELGRRCLS >Dexi3A01G0023840.1:cds pep primary_assembly:Fonio_CM05836:3A:19473514:19476906:-1 gene:Dexi3A01G0023840 transcript:Dexi3A01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVVGWLVCPIIKIVMDKAKSCASDRIRWLSSDGVPKALDRLQSSLCQLRTVTTAVQQAQRLGSPSRRGGGGGDLRSWLQQLMDAVYEAQDVLDDFDDSVSPPESPVLRVGRRIFGADERVNRLKEVVDKLDAIHAGLQTLLAATGTLASATSAVAREPSSGSHRGGHGSSSATGPMRHHEDAVFGREKELREMVSWLVGVSPAADADARSGSPVVPVAAIVGHGGMGKTTLAQLLFQDEEVGSAFDLKIWVNPTAMDNEIELAKQILQSANVDVPDSMRSFHWLQTKLQERVSSRKFLLVIDDVWNREDMDEIGYRDMWSKVLAPLSHGKTAKGSKIVVTTRQKIVATSLNAREIYLGDLPADDIWSLFTRYAFGDEDIDKQPPDLRAIGRKIAEKLRGSPFAAKAVGQMLVGRHSITHWTRVLEMDGFDDVSKTLELCYHNLPEHLQPCFAICSLFPKNWKFKRDKLVKIWMALDFIQADSGSGKPRKLEDVGSDYFDQLVDRSFFHRQKVGRRWRYYYIHDLMHDLADKVARFDCLRVEDAKRKIPDTVRHLSVSSDTVAQLKGRCDLKRLRTLLILKNPSSSLDQLPGDIFTELRSLRVLGLEGCNIIHLSERIGTLKYLRYLALCKSITKLPQAVTRLYRLQTLSSPKGSGIEVPEDIVNLKRLRHLDMDTSKITGIGKLVHLQGSIKFHVKNEKGHTLGDLDGMSGLRKELHILNLDVVMNQQEACQAGLNKKENVKVLELEWNSTGKSVPSVEAKVLDGLEPHQHVKKLLIRRYHGDRSPDWLNTSLKVSAFYFKYLHLINCRKWEVLPPLGQLQCLKVLQLKEMCSVKKVSTDFYGTNSIAFPSLEELEFDDMPQWIEWTQEKNIDVLPKLRKLKLLNCPELIKVPHLPLSVRKVSVKNTGFVSQLKLYPLSSKACKFALDTSSATVLTDGLLHQQQVEAIAILTLRNCQDVKFEELQALTSLKKLQLSHSNINDEQLHSCLQRLQALTWLEISNCGNITCLPQMESPDGLTKIRELNIQQCSKFSSLQSLPSFLALEIMLIENCSKITMESFPANFNNGSLRKLSIMNCTELESLPRGFPSSLQVLQLIGCRPTLMNQLRLEDGPDWDKVASIPMKQIS >Dexi3B01G0028570.1:cds pep primary_assembly:Fonio_CM05836:3B:26488657:26490705:-1 gene:Dexi3B01G0028570 transcript:Dexi3B01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVITVVTAVIDFWTSCGAMDPGDVGVDGGMLLMGFLANSGTREIAFVRFNKLTWLTVDTVHDHTSLLLLQIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQILPRTGSSIGRVVTPATPKPAPSSTSIVVPDSCPAVPASPKSLLKYSSLSN >Dexi5B01G0030930.1:cds pep primary_assembly:Fonio_CM05836:5B:31760422:31762278:1 gene:Dexi5B01G0030930 transcript:Dexi5B01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHSSAAGGARSSAAGGAPRASGLPTPCAPGASGLPSPRAPPTSGFLAVGGHAAGQWCPSPSSSSSSEWIYPQGGFMNILQSPRVPFVNYPNGSQMQENFYFVGGPMNYSSTPSPNGSPDAGVAQVTETVDVEDDDTIQPANSNARSNASATSIDPTDARSDRRLNWSNEEDIRLDAPKKRPIGRDKAKEERNEKRKGSEAIVAIGEKLDKFMEATTKAGKIAEVQQNLADKNLEVAKEQTKSKMLDLYRELLCAPTSELSEEAKAERSKALERMASVIFPKDN >Dexi5B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:5B:12784343:12787379:-1 gene:Dexi5B01G0015550 transcript:Dexi5B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASGAGGKEGPGAFSVDLAAAARRLLAFLRAAPTGVGPRSVRRYEELWLPLAAAEGGAGGGEAAMLLPPPDVQLVWLCHCFHHESYSAYCLSRFGCLIDRPSILDAENEEYAEDQCRDVWAAHYPSEPFDLHSNEIDGNVDGNACDNASGELMEMVRQYAGLAAHFASPFVREAVYHVAARQRYMRFLELIKKVVGTIQEQVRLVPRLDILLMWLAHQSFPVSYAKDMVALAVMDSVAKVGVAYGEVVNEEVESTRALWEDAYDEPYDMAGSEVDAAAVRAAREAFYWAPAASEEDANRLCKSLQPRFMMEVYVFLKGGIDKEHINKEFLRLRTQRCHRSLKLNKSMANLSCKNWQKTWHLYCEFATRGLVIELRRTTSGCFRNSKFLKNISFSWSDMLHEKALALTDEFEVRMRAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRMRNYRPQEGRWLTRTVLDHGGRECFVIRMR >Dexi3B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:3B:3441303:3442623:-1 gene:Dexi3B01G0005180 transcript:Dexi3B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTLLFSPSSSLLITKPSSGLTKRRAAVRCSNEPALSVSHEEEGSEMVGRRRALVSATAAACGASVLGFAGHGMAATQGLLAGRIPGLSDPDENGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANPKEGRLFVVVAPVRRFSDIIDDDATIEKIGNPEKVITAFGPEVIGENVEGKVLSSATSEHSGRTYYQFELEPPHVFITATAAGNRLYLFSVCNGRGITRI >Dexi5A01G0028680.1:cds pep primary_assembly:Fonio_CM05836:5A:31871731:31880269:-1 gene:Dexi5A01G0028680 transcript:Dexi5A01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHFPRPRSTIFHRRQASLLPVDGLMLRAILTNRFGRVTEPPVIDVVGGREREEEAVKVENRIEKPVVPLLLVSDGGRIGFEDMNECPHLCTLSYDYLKKTQGYEQNLLAFFHNKMNPDALLVQLIEELDKCILSYFSFHWKFATHIITQVLNHEQPRRKLRRMVMEATRKMRFERVSKDLKVTRLFTTLVEELKAIGVSYHNPENRPGTDVMVPAAHSDRSPVLLLMGGGMGAGKSTVLKQIMKEVFWTDARANAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTMSWEPFVLQTIAMARSVHLQRYRMGVGYKVDADGTTMEKYWEPVEEEGDGDERQKQGAPRARKPYRIEMVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCDLVDNARLYSTNTIAGAKLIGWKDKDSRLLVDVEEIGLLDRVSQINEEANCVHELYPDGHPTGGAGTVWEDLVASPVRTSIQQELKVAIFDSEACFPSP >Dexi3A01G0033900.1:cds pep primary_assembly:Fonio_CM05836:3A:38940303:38941854:-1 gene:Dexi3A01G0033900 transcript:Dexi3A01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISIAAGASTTTCGKCDGGGAGMCGECFRAYFFGKFKLAVTSNAMIALENGYTKIMMWSYASGIARHVLSATVKGQLYSLPADVQYVDTSLKMQQLLDRLCSGINSLVASFDSRLRVQIEDYLLEEDDVKN >Dexi5A01G0025220.1:cds pep primary_assembly:Fonio_CM05836:5A:29028726:29029812:1 gene:Dexi5A01G0025220 transcript:Dexi5A01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALPATAAASCRLQLSRRRLPGTSPSLSPPRGSTFRCHGGSSVACSCSPGPPPAVPGERRGGVAGQAKSPEGTVRIVAVVGEGTISPIKDTPWEEVMRHTADRLKWVDEGFEMLVFTDNSIEKDDIRKDRLHVY >Dexi9B01G0034870.1:cds pep primary_assembly:Fonio_CM05836:9B:36706183:36708463:-1 gene:Dexi9B01G0034870 transcript:Dexi9B01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAGTVASAALNVSSIEGLFKWNKVTRMASVETLVVLTTLLLVARFLLDFLGPWFGAWSWMMEVVNYSMVHYTMGTMQLSAAKVNDYFQVWAVLLVTLQYSVKAGHPYSRSKKAPLLDLMSSFWAANLLRVQTFSRLRASLWLIWSLNAARTLSYFAASEKAEAINQVSLRLTADYMSYEHEIADAAPRPPPGDGEIFTMDSYKYLVLGEDQVVKDLQDQPRTATTGTQRPDSSRRWRKYQVRLDPQVHEKLITLDKIWKVDMSRILGCTEHTSNHQLKDVCLSFSLYKLLRRRFNGLPLHEAGLKKTRRLVFQYILSDAERAFRVVGTELSFLQDLFYSRRAATFASGFPTTSLVLSLLLVAATGYELAGIYMYVFSQWTEVLMLCSYATRRWLRHPLVEGVMRVLLTFINRGQWDDTICQHNILILTCRTAKRGTTSWQGIRMETWTKESIFRSIKRLEENPERLGSYLSNAFEGELDSKQMLSAILDDLEADTHRILVWHIATCLCEIKLAFDEAVVLRPFNMQQRPFVDKKQMLGAGATWANYTTAASLSNYCAYLVMQELVPDSGLVARNVLGEVRQEIRTTLLDGTSMEDVCTRLMYAAPATSNTLTGKGTELARKLMVAYGVDDLWEKLAGFWTGFLLHLAVSTRASKHRTLLAGRRELTTHLWALLSHAGFLGRTSHGQATILDPVDRLAAQASDVQTVTNLEQIFSEGTP >Dexi3A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:3A:302506:305308:-1 gene:Dexi3A01G0000310 transcript:Dexi3A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEEDEDEDDGEPSPSPSEEARLEAVLRRLTADEVRIRVHQVAIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAVAGDRLRRLGAFDTVSITLDAAPPGTPGSAVVVLVDVAEARGRAAAEFGVFANTQINYCHILKQFAHDKGKTGIQFNFASP >Dexi1B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:1B:25944968:25945818:-1 gene:Dexi1B01G0019710 transcript:Dexi1B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVGGAVVAAAAGGGGVGVGATPHVLAVDDSSVDRAIIAAILRSSRFRVTAVDSGKRALELLGKMPGGRSGGFPGEARARVGRVACLQPRAPLSSEHR >Dexi9A01G0037250.1:cds pep primary_assembly:Fonio_CM05836:9A:41541954:41542508:-1 gene:Dexi9A01G0037250 transcript:Dexi9A01G0037250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLQVLAFRDINPQAPTHILIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVIAKQEGLEDGYRVVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >Dexi9B01G0034220.1:cds pep primary_assembly:Fonio_CM05836:9B:36235657:36238716:1 gene:Dexi9B01G0034220 transcript:Dexi9B01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRCFPSRRRSTLPFPSASLLSLHSTRPAGLSPTFASPACRLARPQRWVPLWDRFDSDRPPDSWSGSAAARRQRFRIRIQRARSTVPPGPSEFRPPMPLLLLLLLLAASPAPARAGDPYAYYDWEVSYVSAQPLGVKQKVIGINGQFPGPTLNVTTNWNVVVNVRNALDEPLLITWNGVQQRKTAWQDGVLGTNCAIPAGWNWTYTFQVKDQVGSFFYFPSTPLHRAAGGYGGITINNRDVIPIPFGFPDGDITLFIGDWYNRGHKELRSALDGGTLLGAPDGVLINGLGPYQYNESVVPPGIVYERINVEPGKTYRFRVHNVGVSTSLNFRIQNHNLLLVETEGSYTSQQNYTNLDIHVGQSYSFLVTMDQNASTDYYVVASARFVDSAVVDKLTGVAILHYSNSQGPASGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGKLRSTLNEISYIPPSTPLVLAQLFNVPGVYKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATTVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVLKLNLDTWYLGQEVYINVVNPEDNSNNLPDNAIFCGALSSLQKEQSHRFVYSEASPVAQWRKTFSLLFLLASFTIWLQ >DexiUA01G0023910.1:cds pep primary_assembly:Fonio_CM05836:UA:48933660:48934909:1 gene:DexiUA01G0023910 transcript:DexiUA01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLIEQSRGEVKLCAQIARYYADNAQRFLAPVKYDSELGEAWVEHHPIGVLMAVEPWNFPYYQLMRVLAPNLAAGNPVIAKHASIVPHCAETFAHLVREAGAPEGAWTNLFISSDQVANIIADDRVQGAALTGSEKAGSVVAAQAAKHIKKSTLELGGNDVFVVLDDADLEKAVKTGVNARLNNAGQVCTAAKRFILHEKIADAFLAKFTEAFKQVKIGDPLDESTTLGPLSSQDALKTLTTQVNEAVKNGATLHYGGKPVQRDGCFFEPTILTHISRDNPAYFEEFFGPVAQIYVVKNDDEAVALANDSHYGLGGAVFSKDIERAKKMASRIETGMVYINWLTDTAPELPFGGVKRSGYGRELSDLGIKEFVNQKLVVVPVD >Dexi4B01G0017670.1:cds pep primary_assembly:Fonio_CM05836:4B:20001000:20015085:1 gene:Dexi4B01G0017670 transcript:Dexi4B01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKVEVAPEQARAVEVWENKYGVVAVGVEHGDGIEGGGAARAARPPHALRHPPPHALGEPEIIAAQCFVYRRAPRGSKTSKSPPLPGRRAGEGAANALHILPSRKQTMPILNDISGIIKPMRMTLLLGPPGSGKTTLLLALAGRLGNDLKRTCEASRARGGREGVEARGVGETRISRESCELRMVGAGVLWGQRRGLLVRRWQRPPLWQPRQRWRRIHERRRHRSPHGVQIRGGRGGISTGGGAGGGGDPCSGEIKASPGLWLLRACAGGSSRGEVGGASRPAGSLAVAAVVVASAPALKLQSHDKFLFYLQVSGNVTYNGHGMDDFVPQRTAAYVGQHDLHIGEMTVRETLAFSARCQGVGYFYDLLCELLRREKAANIKPDADLDAFMKAAVLGGQEANVVTEYMLKILGLEVCADTMVGDDMFRGISGGQRKRVTAGEILVGSARALFMDEISNGLDTSTTFQIISSLRQAIHILGVTAVISLLQPAPETYSLFDEIILLSDGQIVYHGPREYVLDFFKSMGFRCPERKGVANFLQEVTSKKDQKQYWAHNNQPYRYISVKEFAESFRLFHVGQAMANEIVVPYDKSMNHPSALAASKYGVSTKELLKANMDREILLMKRNSFFYMFRVVQLILLSIIEMTLFFRTEMHRDSVASGGIYMGALFFTTLMIIFNGFSELTLTIFKLPIFFKQRDLLFYPAWTYTVPSWILKIPITVLEVGGIVFITYYAIGFDPDVVRWALLLMLFKQYLLFLAANQMAAALFRFIAGAARNIIVAYVFGSFAILNFMLLGGFVLSRDDLNKWWIWGYWTSPMMYAQNAVSVNEFLGRSWQKVLPGSTEPLGVLILKSRGIFPEAKWYWIGFGALLGFTLLFNSLFTLCLAYLNCENCNPLQNGFKLQSNKYSFAKRHGLSTFTAYGRSYPSVSEDMLKEKHANLTGVGLDVYVSIHKGKALGSNSQSSESACQATGCNNETKLTSVDANSIPAQRGMVLPFVPLLLTFDCIRYSVDVPQEMKKQVLEDKVEILKGVSGYFRPGVLTALMGISGAGKTTLMDVLAGRKTSGYIKGSIRISGYPKKQETFARVSGYCEQDDIHSPQVTVHESLLFSAWLRLPGDVNSKTRKMFIEEVMELVELTPVRDALVGLPGVNGLSIEKRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEEIEGVTKIKDGYNPATWMLEVTSISKEFVLGADFSDIYKNSELYQRNKAFIHELSTPPLGSSNLHFPTTRSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFFTSIIALLFGTIFWGLGTKREKPQDLFNTMGSMYAAVLTIGVLNAASVQPVVSIERTVFYRERAAGMYSAFPYAFGQVLIELPYTLVQTCIYGAIVYAMMGFKWTVTKFFWYLFFMYFTLLYSTFCGMMAIGLTQNHNVASIVSAAFHATWNLFSGFLIPRTKIPIWWRWYYWLCPVAWSLYGMVVSQYGDVDTPLFDGVSTTTVAKFVSDYFGFEHSFLGVVAAAVVAFALLFALLFGLAIMKLNFQRK >Dexi4A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:4A:18153769:18156006:-1 gene:Dexi4A01G0015300 transcript:Dexi4A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLIVLALSLAAAAAAASASASGARSVIRTVTDIPAAVSSGAADEADALFCDSWRLSVETANAGPWKFIPARCAAFVGDYIEGPRYASDSAVAAADSLAFASGALAAAEVGAARPAWVFDVDETLLSNAPYYAVNGWGSQEFNETSFDEWVDAAKAPALPSSLKLYNELQALGFHVILLTGRTEFQRNSTEANLLFAGYRSWEKLILRQPSDIGKTAVKYKSERRAAMEAEGFKILGNSGDQWSDLLGSPMATRSFKLPNPMYFIS >Dexi6B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:6B:11112929:11115811:1 gene:Dexi6B01G0008800 transcript:Dexi6B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALRPCRRLPVWSLRRLLSSAVSEGDPPVDAGAAAAAAAKARAEAAARARMEAYKQVQNFDWSSGADWKTAANILFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEADAEEKRKKDEEVEKQKQLEEESAKEHADSKLSKVIDRLDTLEVVVKEFVDDKRNVPSDLPTKEEVVKKDEASPREAPYLKGRASDTQPVTVKSKDTNSVANASANTTQPDSERNGDKSSPGE >Dexi9B01G0025920.1:cds pep primary_assembly:Fonio_CM05836:9B:27519479:27525328:-1 gene:Dexi9B01G0025920 transcript:Dexi9B01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVGFGTASFPFGEDVDRPHIREAVLRAIDAGYRHFDTANLRMEYLDLYLVHFPVSMKPTEGEIGAGTEHMKENLVAMDMKGVWEEMEECHRQGLAKAIGVSNFACKKLEYLLSFAHISLAVNQVEVHPYCRQNKLRAFCSEKGIQLCAYSPLGGKERHGPTIMWVYEQGDCVIPKSFNQKRMRENLDIFDWELTADARHKIGTLPEFRGTYDFFVHDSGPYKTVEEFWDNEITDAQPNQSVTALGLDPNPSN >Dexi8A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:8A:1545401:1547198:-1 gene:Dexi8A01G0002330 transcript:Dexi8A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRRLRTSAALRRGANDGGVLAAIRSELSHELASSAPSAPAPFRSQDAPDFVTVSDAPQAQDLLLRRRADSEEVLVSALLAPLQFVDQAPLPRDALMKVFVSKPGLSPVLHFDCRTFWVGEAGGDAGCAIDAVRYHPIPES >Dexi2A01G0035570.1:cds pep primary_assembly:Fonio_CM05836:2A:45173436:45177629:1 gene:Dexi2A01G0035570 transcript:Dexi2A01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSQPAASPPPSPLAMAVTRIAVAAALSVAPPSSRRRRASFCRPLPAARASKALHAAAAPAAGAVDEETPAAGSPPSDPSKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQAGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRKQAERWGAELHQEDVEFVNVKTSPFVIRSSDREVDYGECFRVLNNPNITVHFNTEAVDVVSNKKGQMSGVQLRRIDTGEESVLEVKGLFYGIGHTPNSQLLRGQIELDSTGYILVKEGSAKTSVDGVFAAGDVQDREWRQAITAAGSGCIAALSVERYLVSNDLLIEFHQPVQEEPKKDLTEKDVEMGFDISRTKHKGQYALRKLYHESPRLICVIYTSPTCGPCRTLKPILGKVIDEYAKYVHYVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTVPGVKMKKEYRDLIESHK >Dexi6A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:6A:21041407:21041901:1 gene:Dexi6A01G0013750 transcript:Dexi6A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPIKPLDGHGGYLRRKESVLLRLHTLGVARVLFDDRPCAAAGVAGWKELAHAEALGVAAKLPDDYVASALCGKLPEVVGTAAVARTDAEIGMNVVWDVARRVVASGIGPEWLLKTTETDDEEQGGYYLDGLMKPGQSTGRRERGEHGHVARNCRRRGFVRA >Dexi9B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:9B:11555530:11557720:1 gene:Dexi9B01G0016820 transcript:Dexi9B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEMDMGFAFFLPPHPSLVLISSVLVKVSGALLGYEDEPPEPEVEEGAEEELENNNEDANDDDVGADGEEKGQEKSKGERKTSKYMTNMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELTVEDSWKRQVGGD >Dexi2A01G0032230.1:cds pep primary_assembly:Fonio_CM05836:2A:42820860:42821198:1 gene:Dexi2A01G0032230 transcript:Dexi2A01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPSGSLKGDVVFKNPRNHTHQQLCITDSKCKRKTREESAKKEGLRGDVLVLADGHGCLQGGASGLGWRLAAAGVGFARLGLRQAGFLYLLAVGERSGGLVACGPVAKGP >Dexi3B01G0022020.1:cds pep primary_assembly:Fonio_CM05836:3B:16876097:16879150:-1 gene:Dexi3B01G0022020 transcript:Dexi3B01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLVGLPALLFISDLTHIFAPPPPHLRNPPHHHPPPHHPHPPHHHHRHPNPAAAAIQEPRVDGAVFGTTVELQFCASCSYRGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLSKVIPIVQVGAVATIMAGDQIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGELVFSKLTEQRFPSEFELRDLIGSRLPESLFGRNLGKTLT >Dexi2B01G0031860.1:cds pep primary_assembly:Fonio_CM05836:2B:39875769:39879405:1 gene:Dexi2B01G0031860 transcript:Dexi2B01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTKEKASASAWRALLGGCFGGGGGAKGDRQRKVRPGGGGGGRLSFTDLSGAADQDLSVSLVGTNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVADGVKKGLKPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCQDEHRLLVYEYMEHGSLENHLFSNLGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTSKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDFARPYLKDPLKLGRIMDPAMEGQYSARAAQSAALVAYRCLSGSPKNRPDMSAVVEALEPLLSLRDDAPLGPVGPVGPVVLFVAAPTAEEEKERTPRKDVRRRRPMSPKASPRKRAGAGQKEEFWVWHLPAEQKAA >Dexi5A01G0022300.1:cds pep primary_assembly:Fonio_CM05836:5A:26352299:26353035:-1 gene:Dexi5A01G0022300 transcript:Dexi5A01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRKPCRDWSTGLPPELLGLVLHHLPCLIDRVYFACVCRAWRSAAKDEAPPRQLPWLVMPSPGKTSFFAQQTGCTHHLRLPEGIRGARLCGSHDGGWVAAAGEAWGGYAAVNIISGVQVPLPNRISFFHPFTVRGNGCRCKHPMLLRTVTFSAAPTSANCIAAAHIASVCNIAFCRPGMDEHWLTLSQELRAIEDIIYCRSLPQQGFYALRDTEDLVVYAAANAVAISNKSTPLV >Dexi1B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:1B:7902956:7909432:1 gene:Dexi1B01G0008940 transcript:Dexi1B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQQQQSAEQFKGQARLPGFAAPRRYDLRLTPDLAACTFVGSVDVALAVSAPTRFLVLNAAELDVAPAGVSFAPQGSDRVLQPVEVTNVPEDEILIICFGEVLPVGEGTLTIAFNGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPAFKAVFKITLEVPSETVALSNMPVIEEKVNGPTKIVYFQESPIMSTYLVAVIVGIFDYVEDFTTDGTRVRVYTQVGKSAQGKFALEVALKTLVLFKEYFAVPYPLPKMDMIAIPDFAAGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVAKASLLIVYSAMTVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEVFQTQFLSSGSTGDGQWVVPITLCCCSYSRQEKFLLHGKQEDFDFSGLIECRKKDDFWIKLNVNQTSFYRVSYDEELASRLRYAIETNKLSAADRYGVLDDAYALCMAGKQKLVSLLHLIAAFKDETEYTVLAHTSQKIAEMVAVAAPEQLGNLKKFIIDFLEPFAQKLGWDAKSGEGHLDALLRGTLLTALAELGHEATINEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVNESNKAGYESLLKIYRETDLSQEKVRVLGSLASCPDPVVVREALDFIMSPEVRNQDAIFLLRGVCSGGYEVAWQWLKDNWEYILGAYSGTLLTYFVNITVSPLATDEKADEAEEFFKSRIKASIARTVKQSIERVRINAQWVKSIKGEAELANVIKAHAHKD >Dexi3A01G0025750.1:cds pep primary_assembly:Fonio_CM05836:3A:21569310:21575573:1 gene:Dexi3A01G0025750 transcript:Dexi3A01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKTKAEPEPAPAFSIGNCKVEIHGSGLRCESTEQGLTVSGPRGAKVIVSVHGDQKSAPSGVGEGRQFILLNPGDADSQTKSLLQEVLKLYKQELPSMDFAADTGRKSGFLEKCTTNGKYKTLILMSSATAQNEEVIAAVSYQIVPADTQYAEIPLAVVRSSHQHAGIGHLLYKELSQRLQNVGVTTIFCWADDVSEGFWLKQGFVSVGEVDTRGKIRKIPVVETLGGLVSCEGHLCTHIVTGKARRTMNFCVALSSGSWIVSPNWLKESFRQGKFVGEAQHILKDDEYRVQYKSELRDAVMRAKERPNSLFSGYTFCLSKYIQPSFDVLSSIIKSTGGKVISFIVPCLIIKKLSELDDPSQTIFLVCEEETELALVAAKRGIKTFSSDWFMSCVMKQELDLEAPQFTISL >Dexi2B01G0022710.1:cds pep primary_assembly:Fonio_CM05836:2B:32298594:32302283:1 gene:Dexi2B01G0022710 transcript:Dexi2B01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGFFLLLLQFGPSACSNVYIVYMGERSPELDPALVQDSHHGMLAAVLGSEPAAKDAILYSYRHGFSGFAAVLTGSQAARLADWPGVVRVVRNRVLDLHTTRSWDFMRVSPSPSVGILSESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGKCIVGDRFNASNCNRKIIGAKWYVKGYEAEYGKMNTTDIYEFMSARDAVGHGTHTASTAAGALVAGANFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDVLSIGSFHAVAKGIVVVCSAGNSGPYSETVINSAPWILTVAAGTLDRTFLAKITLGNNSTFVGQTLYSGKHPAKSMRIVYAEDIASNNADDTDARSCTAGSLNSTLVKGNVVLCFQTRAQRAASVAVETVKKSRGVGVIFAQFLTKDIASSFDIPCVQVDYQVGTAILAYTTSTRNPTVQFSSAKTILGELIGPEVAYFSSRGPSSLSPAVLKPDIAAPGVNILAAWTPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDNYGFEIVSEAAPYNQANPFDYGGGHVNPNRAAHPGLVYDMGTSDYVSFLCSMGYNSSAVSSMTQQHASCQHTPKAQLNLNLPSVTILELRGKLTVSRTVTNVGSAMSKYRARVEPPPGVDVTVNPSILIFNSTVKRLSFKVTFQAKLKVQGSAN >DexiUA01G0026910.1:cds pep primary_assembly:Fonio_CM05836:UA:57476975:57479066:-1 gene:DexiUA01G0026910 transcript:DexiUA01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVETLCGQAYGAHKYEMLGIYMQRSFVLLTATAIPLAAIYVFSKQILLFLGEPERIAAAAWVYVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAVHLAASYLVVYVFGLGLLGASLMLSASWWVVVVAQFVYIATSRRCRLTWTGFSCQAFSGLPEFLRLSSASAVMLCLETWYSQITVLVAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPKAASFSVKVVTTLSVTVASAIAVAVMCLRDHISYVFTKGDDVRVHHDPTARRDHRPQRHPARPVSLELLFAGVAVGCGWQAFVAYVNVACYYGIGIPLGCVLGFHFDLGAMGIWGGMIGGLIVQTLVLVWVTFRTDWNKEVLLVEQARMRLNKWEDKKKPLLAED >Dexi5A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:5A:2095279:2099305:1 gene:Dexi5A01G0002920 transcript:Dexi5A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVAGRGDEMMMTSGATGRIVPVFRSVLSLRALLRVAVALRALFLWLLLLVGGLRRRRAVSEAAAAAEVSSSPAEAGGGTWKARRRRQAAEEEDVRRRRALAEAVAMAEEDAGGAGQGTRWDTFLVAGARRNALFCRLWTPAAKEMRGILVIIHGLNEHSGRYLHFAEQLTACGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDIEVLLDKVRMENPGVPCFLLGHSTGGAVVLKASLYPHIREKLEGIILTSPALRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVSVPFMVMHGTADRVTDPLASQDLFNEAASRHKDLRLYDGFLHDLLFEPERDEIGAEIIGWMDGMLRRQAV >Dexi8B01G0007340.1:cds pep primary_assembly:Fonio_CM05836:8B:8749551:8750822:-1 gene:Dexi8B01G0007340 transcript:Dexi8B01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMALVTQIVSFLFLLAATNAENGVFRATMIRRTETGAINFTEAARQSRHRLSILASRLDTTTTSSPVDAKTPLTMDGEGGAYDMVFSIGTPPQKVTALADTGSDLIWVKCGACASCVPQGSPSYYPNNSSSFSKLPCSVPLCGALMSEGAATCGAGGMECDYRYSYGLEEDSHHYTQGYLGNETFTLGDDAVPGIDFGCTNMSEGDYGSGSGLVGLGRGPLSLVSQLNASAFSYCLTINASNSSALLFGSGALLKGPGIQSTPLISQPDPSYYSVNLKGISIGNVTTPGTGYSGFIFDSGTTLTYLTEPAYTHAKAAVLSQTDLARAPDRDGFEACYVAPISNGSLEKAVPRMVLHFDGADMALPVTNYFVDVGDGVVCWIVQVSPSISIIGNVMQVDFHVLHDVNNSVLSFQPANCDSL >Dexi8A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:8A:6078531:6079828:1 gene:Dexi8A01G0006080 transcript:Dexi8A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRLSAAVVPPQAPPIGQPGCKTTCGNVSVPYPFGFGPSRCYWQGLNLTCDTSHGAPPRLLLCDGTLRRPCASCVNTPFGRGFMEHGYLLSYDNELIVFGCKVVATLLADAIGGRARIGGCTSLGSDTTDENKKPVNVFVAEKGWINNMSVCAHEVREIPFILMWSVTRDLPPGTELHDRSFCADHVRRMLCKSRNSICWNAGNPYLAGAGGCKG >Dexi5A01G0002310.1:cds pep primary_assembly:Fonio_CM05836:5A:1639749:1642360:1 gene:Dexi5A01G0002310 transcript:Dexi5A01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEEDKEGDTRAHARLDSSRARRRRRRFPGSVRGNGYINQGRRRSIDRARRADREDSIRRTVYVSELDHTVTEERLADIFATCGQVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFYPVRVLPSKTAILPVNPKFLPRVSSLSIVTQFDVKNFFEELCGEVSRLRLLGDNVHSTRIAFVEFVHAESAIMALNCSGMILGTLPVRHDPPTIFCSFMVSPSKTPVKPRVNRVTSN >DexiUA01G0011970.1:cds pep primary_assembly:Fonio_CM05836:UA:23836229:23837114:1 gene:DexiUA01G0011970 transcript:DexiUA01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCAKLSRIGPTYPPDWTGLSSGPAGLIADRLLSSDVADYCAPDGARAAWTRERRPSATAGSTPGDGSCSRAPTISPATGGASSTSHTGECVHMRIPDLRNYYLLGSTVEGLLVLCQKGTATELVQLLNPLTGQLTDLPSLDTMMVV >Dexi4B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:4B:19068993:19071089:-1 gene:Dexi4B01G0016930 transcript:Dexi4B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGCREVGARGNLKPRAVAAGEMRPHPLGHWRRAVWRERWDKGSERTCMWPQGGHSLWPKLARLVPPRLASPPASSFLHYDHGVGSTAPTNEDYDDDDCDDYDENEENHDMQIIATHGDSVLLEKQQRVHRFSRATYDYFVYRASSAAAARPASLSLLPERRFLMKCEEGRPTLKHPREHMLFDETTGLLRRSDDELLVVDLRFDYKNNSELRDSSAELCVLRHGGSRDDDQWELIREVPIVLDNNGSSSSKCSNNKLHQRQWRCSNRVIPVSNRFLCWVDYIHGLLMCDMDETKPKLRYVPLPMAPFVKGSTGFFGISDDNWIEDNIQYTRNMCASGSDDAVRLVSIDPRCCCGGPVHGWSTCSRSRFAFTITTWTLSLTTMDDDQQQPMAWVKEGVIDSEEIWAQRGCEEIPHVLADNPVVSMENPDVVCFTVRERGGCMGKQVWLIEVDTKSKAVQSVCTTQESEGYGRLPAKLMCADQ >DexiUA01G0018300.1:cds pep primary_assembly:Fonio_CM05836:UA:39029398:39029823:1 gene:DexiUA01G0018300 transcript:DexiUA01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAITIPSLVCLRRALRRWRSRAAAVSSSRSSGKGGAADAAVPAGHVAGRRFVVRVAHLGHPAFVELLRQAEEEYGFPATAGPIALPCDEDHFVDVLHRVSSPSSSSCCCGPALKRRDGDARPLLEGMAVEKLVW >Dexi8A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:8A:21167242:21168196:-1 gene:Dexi8A01G0012160 transcript:Dexi8A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSLLQGLLKKSGESLSTEFSFMCGIEQRREKLYELLLAINQVIPEAEEQAFKKPAVKSWIAQLKLAACEADDALDELHYEVLRSEALQSGHKVNSGVRGFFSPLYNPLLLKYKIGKRLQQIVERLGDLVLRMNQFGFIRDWSIPMDERIQTHSYVDEKDVIGRDGDRKEIVQMLLNARTEKLPVFSIVGIGGLGKTTLAQMILSDAEIRSETF >Dexi6A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:6A:6541618:6546610:-1 gene:Dexi6A01G0006730 transcript:Dexi6A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAGGDAQPATEPAATRDWSELLRDAIASVFAKLGPVDILMGAGLVCHSWLDAAMVPYLWRSVDFSSPNIVIVKEKCGGGYEDVLRAMAKKVVDRSDGQLEVFIGEGFVVDDGLLNYIGEKAHSLKVLGLFSCFSISIKGFVEAITNFPLLEELKLSECDFAGYNFHDEEAHGIATMHGLRSLKISGSHSMTNKALGAILDNCPHLESLDLHSCFNIVMDDGIRAKYAGITISVSPNDDNSSTDSFLVDDGYDYFDWLDDNYPPSEYEEDYSGKYYDQRQRARLLLDGRRFAAGKEGEHYQGCLCVRNLPCDIYLCNSMEARGDAKPATEPAQERDWSELPRDAIASVFAKLGAVDILMGPGLVCHSWLDAAMVPELWRSVDMAGPNIAAVRDKYGSAYEDVLRVMAKKAVDRSNGQLEVAPSLKVLGLISCFRIFIEGFMEAISKFPLLEELKLSAWDLGSCVTYQFVGKACKNLRHFELVREVSWSYSFEPEYVGGNYHTDEAYGITTMHGLRYLTIHGYHLTNKGLTAILDNCPQLESLDLDSSFVLDDAIRAKCAAIKISVSPLPIIDDAQESERLLLFLSNAHQDFDPNQAEFSE >Dexi3B01G0032060.1:cds pep primary_assembly:Fonio_CM05836:3B:34450686:34451624:-1 gene:Dexi3B01G0032060 transcript:Dexi3B01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRAVVVQGHTIFVRAFEDRMDLLRAVMVGASGTPYQDGLFFFDMKLPPSYPVTPPQVKYHSFGLRANPNLYPSGTVCLSLLGTFGGEGPELWSPDTSTILQVLVSIQGLVLTEKPYDNETGFEAQVGTPEGRRNELPYCESTYLVNLHTMLHLIRRPPGRFRAFVMDHFRRRGLHILRACEAYLKEGCPVGTLDGEGFPTEASTEQPPCSKGFRLALTNVVPRLVEAFTRIGAQGCHEFNRIDS >Dexi9A01G0042420.1:cds pep primary_assembly:Fonio_CM05836:9A:46027311:46033049:-1 gene:Dexi9A01G0042420 transcript:Dexi9A01G0042420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYVQNTPQARRNLKSSIEQRALAINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLQDYQLSNEEINALREEEIDEKFFKALLHVQEIHSNCKVLLRTHHQVPSLHLMVCYLHSQVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGEMLGWLHQALASERELIVVLIDPDAMTDNGPTSRRQSGRDTDSSRGEPDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTIAELLGEDTALCNTIWSLRDAAQQTFFNILKSRGDKLLRYPPLVAVDLSPPPAVREGISLLLELIDTYNSMMVSASGKRPNFDPVISALLDPIIQMCEQAAEAQKSKGALARRGRTSSDPSGINRDSISVDAILSKNLSTSVLSAESSSKVYLINCLSAIQEPLMGQEVATSYVNNLRSMIEVHIRALVDKEVDSILRKCGLSSKMAYIKDYGNNDDDKPLADVVETSPQMLLECLKTFYGIVTGTEGSLPEFEQLQVPRLRSDACYGLARTLAETYELIYKAVMDPKNNYPDPRSLVKHSPEQIRTILEI >Dexi9A01G0034840.1:cds pep primary_assembly:Fonio_CM05836:9A:39560183:39562743:1 gene:Dexi9A01G0034840 transcript:Dexi9A01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRVAEDVEAGGGGGGAGGEVTEPLLRRCGKEEEAAEGSSECGIEGRRANGGSLRMVLVSTAVAVCGSFEFGTCVGYSAPTQSGIVNEVRLSISEQ >Dexi5A01G0025370.1:cds pep primary_assembly:Fonio_CM05836:5A:29247687:29249265:1 gene:Dexi5A01G0025370 transcript:Dexi5A01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPESRSILPIEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVFLVLQLLRTCAILMLMESVITHLDKVVQQFGIPHLFDLPIQPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSMVISSNDHR >Dexi3A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:3A:6059365:6060130:1 gene:Dexi3A01G0008670 transcript:Dexi3A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSFHVADAVLCLLLFLFAPTVAAVSSVSGEETFNGSCIAAEREALLSFKAGITGDPAGRLRSWRGQDCCRWYGVRCSARTGHVVKLDLSNPFFEYDILGYDDPVRWLRGQISSSLLSLRHLKHLDLSGNDLGGYMPMPELMGSLTSLTHLYLSNMNFSGRVPPQLGNLTKLVHLDIHNSYDTFPYSSDVSWLAGLHTLEYLDMSFVNLSAAVDWVHSF >Dexi9A01G0035100.1:cds pep primary_assembly:Fonio_CM05836:9A:39770931:39772503:-1 gene:Dexi9A01G0035100 transcript:Dexi9A01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPYFSSMLSSSATTPSGSLHAKAWSRFASVSCTILSPSAYPGHIRRPAPNGNSSKSWPLTSTSLPTNRSGRNSSAASPHTAGSRPMAQTLTSTRWPPTDVSSRDSRGASSGPAGCRRMVSFTMASRYGSLGMSCSVTKRSRPTTLSSSSVALAIASGFLRSSESAHSTVTAEVSVPAAIKSCTRAYSECLDAVPFDGYLKAGVVGELQQQVHHVSRDKALALAPPPLTVLVHHVVQQLIQHLAQLLHPLYVHPLHPRDEVADADQAADEEQLVDHPPELIRRHRRASPFHDVLPSQRDARDDAEAHHGEVVLEHHHPTGGGGRHGAQAAHGGVHLLVADVLRRPELARAEELGLTQLARLAPVRAVGGPQYVGAAVEDVPAGRQPRPVEEGDVVGLEEEPGHGHRRADDGGVGAELERHERAVARGELVQGAVRERADEVEVADHRPWPRARWEVVLLPSRAPPPAKEEHGEEEEEDADERTP >Dexi8A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:8A:9668809:9669467:1 gene:Dexi8A01G0008240 transcript:Dexi8A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGPAGYYVGRPMNHDDQKTQPPPPSQAVDEQVNAQVPGYYAGRVQGKKNAAGDQSSAAADQTPKESGFLASCFGCFSGGQTAK >Dexi9B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:9B:8253544:8255496:1 gene:Dexi9B01G0012330 transcript:Dexi9B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFAFSTRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHCGMLVGMKKTLEEWVVCRVFMKCQIIKMRPPHDSPTMDSPCHDGNASLGELGELDVSSILGGFVSPAAAAHTSSTSRAGGEVGFNHNRIDMNAYMNWMAAASQGAAAAILPWAAAASPGLFGNVFAPANHHQQQQLMQKPLPFAGGCSQPRDLAGVVAASAAGSEHAMFASSLGKVEMECEQQQQQQQPPPPPPEQQMGMDESTWRVF >Dexi9A01G0024310.1:cds pep primary_assembly:Fonio_CM05836:9A:20574911:20575177:-1 gene:Dexi9A01G0024310 transcript:Dexi9A01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAAPPPPQLGGGHAVPLPPPSSRQEWATMLPPRGEGHAAAARSPASITESRAMGGPCHQGKAAPLLRHACPAAADSGWVGRERMR >Dexi5B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:5B:8235106:8235459:1 gene:Dexi5B01G0011600 transcript:Dexi5B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAQATNLPPGARPHRPSGLAVPEITPCHGWSAGTGAVVTHQPSQSGASSDGEQRRPTPASKKTGVTDEPARARSPPPDPSSTHGASTSPQGTDERGRRQGEWQDLRGKRGWRRI >Dexi3A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:3A:3358595:3359912:-1 gene:Dexi3A01G0005200 transcript:Dexi3A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGMTASPAAAAVAMAAFALSLGIICGVARGQLEVGFYSDSCPDAEDTVTAVVQDAAANDPTILPALLRLQFHDCFVKGCDASVLIRSESNDAEENNSKNQGLRGQDVVDAAKAQLEDQCPGVVSCADIIALAARDAVAMTGGPSFDVPTGRRDGLTSNLRDADVLPDAADSINVLRSSFAAAGLTDRDLVLLTAAHTVGTTACFFVKDRLYNYPLGGGNKTGSDPSIPAAFVAELKARCPPGDLNTRLPLDRGSGSDFDDSILRNIRSGLAVIASDAALEKSNATRALVDAYLQGPAAAGSFRRDFAAAMVRMGSIGVITGEDAGEVRDVCSAFNTN >Dexi8A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:8A:7614987:7615304:1 gene:Dexi8A01G0007040 transcript:Dexi8A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGARCRRRLDGGVRAVRWWRSVDGVGQRRRWRGNGPVARLKPILCQGGRSRTWPDGALHRPGARASGAKGPGTRHGRLAQWCGGGGASAQAVELRGRQQRAGV >Dexi9B01G0046780.2:cds pep primary_assembly:Fonio_CM05836:9B:45990831:45991697:1 gene:Dexi9B01G0046780 transcript:Dexi9B01G0046780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESTLKFDRRASTSSPDGPMLPGHAAMMFTPGAITSGLSTDGFVKLGPRAENSATAGARARPIRVPANTNLADGRRVEPTSVAGRTCTSTESSCRFISVFARIMAAPPASFTASPLSARPVMPRLQTTIFPATAALSRDPLRQYSDSRVPPAAYTSSRSSLFPPFFKPPVFPGYMDSPYKTPPLPSRTYAGKRRSRVAAPTVSTHGASLATELRAGPLFPAAHTTETPRTVARKLPMAMLSSKSGMGKPPRERESTSTPSRTASSIPARMSEL >Dexi9B01G0046780.1:cds pep primary_assembly:Fonio_CM05836:9B:45990180:45990821:1 gene:Dexi9B01G0046780 transcript:Dexi9B01G0046780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTTCFPCPPLCFHTRYPSALSFPAGGDAASTVTRKLRWSPNSTKDSVVGATAILTPGGAAICAVYVEFGVPTLVTVRRSTADDDLAPPLLNATAIEGSAVQLTPVWTRPGSTARAGLTCPAPMANTPARPPSPSMIAFPCRSVMSADVMIADRTIAGLHDGCDDRTSAAMPAT >Dexi2A01G0029010.1:cds pep primary_assembly:Fonio_CM05836:2A:40103022:40107027:1 gene:Dexi2A01G0029010 transcript:Dexi2A01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSARLLFLLALVSVLAPPAAAEPWKVCGNSGNYTANSTYQGNLARLATAISRNASASPALFAKGSVGSVPEIAYALALCRGDTNASACASCVATAFQGAQQLCAFYQDATIYYDACYLRFSNQNFLSDTGSNENQLIVRNGQNVSSPARAFDAAVGVLLNATGDNATANSARLFATGEEAFDASNPTIYGLTQCTPDMSPAECRSCLGDIIKYELTPGFLSGRQGGRVLGVRCNFRYEVYSFFSGAPTLRLSAPPSPPPALPPAPVNATPTAPPPDSTNNSGDIERLDSLLLAISTLRAATDSFAENNRLGEGGFGAVYKDNPVDRPMMSTVNIMLNSGTVSLQAPLKPVFFIPKSGYYSTVYSESYPTAFQSTGNVKSGAISPNEVSITEMEPR >Dexi7B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:7B:22933422:22937278:1 gene:Dexi7B01G0016920 transcript:Dexi7B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGWPTLDRLLCLAWLAAILLIAATIALPIPAAAGGRVVRRWLCTIASRGKTFRSSSSKAGSLREHKNSDEYVIPCGDWFSYVSCPHYLAEIVMYFGLLIASGGSSSSVWFLLIFVVHTKLVLFCDTNT >Dexi4A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:4A:537151:542470:-1 gene:Dexi4A01G0000810 transcript:Dexi4A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAELCCISHAFDRAARQDPDRLAVIHAPASGGDGEERRFTCGDLLAAVASLSRRIAAALGDPPTDPHERPGLPGAAAAPRVVGVHASPSVEYVAAVLAVVRCGEAFLPLDPAWPEERLSSAVSASRAALVLSSVGTQGASHVFESCPCHVLHLGADIRQWSPDENGGDDLGWPCEVGTPRKFCYVMFTSGSTGKPKGVCGTEKDCCSRFTLSSHLMDTFFFSYHVIGLLNRFLWMQRRNPLCSDDVLLFKTSISFVDHLQEFLAAVLTCTTLVIPPPSEWRANPASLANLIKHYHISRMTLVPSLMEIILPTLVKKLADGCNPLKILIFSGELLSVLLWKRVYEVLPETTIINLYGTTEVSGDCTFFDCKDLPTILEREKLTSVPIGFPISNCDVSLVAEDGLVDEGEICVSGACLFSGYLAEPMTSNCPDDNGILAYYNTGDFARRLKTGELIFLGRKDRTIKIHGQRFSLEEVESTLREHPDISDAAVTFQGNVSLDFKAYLVPKNDHEFPKCTQRYGRLNSSQDIMARFRSWLIMKLPLVMVPRFFIPMESLPLTMSGKIDYAKLSRLDCVLEPGEIESESSPFDAHMQVIKKTDFCYNLLLICCSGSVRWGVKLEGRIECSATITGDFSEVVVGCYKGKIYFLDISTGKISWNFQTNGEVFDLDIFTSLFFIEFFFSQVKMQPIVDKRRTLIWCGSYDNYLYALNYKDHCCTYKISCGGSIYGSPAIDMAQNIIYVASTGGLVTAVSFEEPSFKIVWQYEAGAPIFGSLTLDHQSGKGTSTNTCIDILEYMHIYYHTLFHKK >Dexi8A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:8A:23165218:23169340:-1 gene:Dexi8A01G0013160 transcript:Dexi8A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGAMPGYGIQSMLKEGHRHLSGLEEAVLKNIDACRELSAITRTSLGPNGESRSRAFRLVAQVVGFGRVRRSFRRGGRGDLERGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAISKTIEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLVGGGLHNSTVVRGMVLKSDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGAVAILKLSQPNADELGYADSVSVEEIGGTRVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETGLDQYAIAKFAESFEMVPRTLSENAGLSAMEIISSLYAEHAAGNTKVGIDLEEGVCKDVSTLKIWDLYVTKFFALKYSADAVCTVLRVDQIIMAKPAGGPRRDAQPGGGMDED >Dexi3B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:3B:6659363:6660769:1 gene:Dexi3B01G0009610 transcript:Dexi3B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGSGAQAVVLSLESRRVLHAATATVVEARDGEAKLDCGSALDGREDGVEEIRRGGFREEVPAEEGGERELEARGGGGLEAADADEREAGGGEEREAAAERGVGEEEAAVEAGGGDAGDGGASAVDEAGDARGVEGGVGGEPGDWGQVGEEEAAVEAGGGDAGDGGASAVDEAGDARGVEGGVGGEPGDWGQGEGRWGIMLGGGGWGMASSAAAAADDGPVVVXXXXTREMEARARSTRRETRAGSREESEGNQGIGVKVRGGGASCWEAVGGAWPRRRPRRRTTVQSSSMMGAAAAAAASGGMDWFEIPIWGVGAGETEVDT >Dexi3B01G0009610.2:cds pep primary_assembly:Fonio_CM05836:3B:6659363:6660790:1 gene:Dexi3B01G0009610 transcript:Dexi3B01G0009610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGSGAQAVVLSLESRRVLHAATATVVEARDGEAKLDCGSALDGREDGVEEIRRGGFREEVPAEEGGERELEARGGGGLEAADADEREAGGGEEREAAAERGVGEEEAAVEAGGGDAGDGGASAVDEAGDARGVEGGVGGEPGDWGQGEGRWGIMLGGGGWGMASSAAAAADDGPVVVDDGGRGGGGGVGGHGLV >Dexi5A01G0033070.1:cds pep primary_assembly:Fonio_CM05836:5A:35456676:35459222:-1 gene:Dexi5A01G0033070 transcript:Dexi5A01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAMTVDFLRARLLSERSVSRAAKERADELAKRVAELEEKVRAVTAQRCQAEQAATEVLAILESQGFGGHLSEDDSASDQDGDGKSGGDTAGDTGEEEEPAAAKGEAEDALSGTAQQPGGGLSWKGRSVSPRKATQLKQKQRRSSYLYLLSSSSDSSPKYRMGQSCRKNKRRIELSNGSKSAAPEGEGGGAGSQKRRQDGPDCTDDGQAVMDGEGGGDERSSGDGGDGQYVIRYEKGGEMERMLERQAELIGQYEEEEKAQREWEKQYNENRNANKVGVDVKNKAYQTDAESKSSKKDLPITINPSAEYLPNGSLSELTEDCAQRREANNEPDHGHVQTSSVSAQESSTTSTVTRQEHDPGDLISDGDSGYKANAKHYAIKAPSDGSPSSDTLNSKVSDWSSSQFHDKTDSQADTQPYRPASTNIVDIESVLQALQHARISLSAKLSKPVPPSQVTLALPAPGDEHKRYDDLLGHEDSSSNSYREELSSSSPARQEILALPAPEDYHERVDSPVNDSGSSPRREEILALPAPGDDYNRETEDYTKIPVGTPGLFRLPTDSFPVNEKMFSGTGSITRSVSGDGSGFSAKQRYDLQTPARLSVPAPGRCNIPTPDFAVGSAPFLHGIPGLEHDLSRAGPLGNAYPFTQRGIDYTISNKWML >Dexi3A01G0025680.1:cds pep primary_assembly:Fonio_CM05836:3A:21417999:21423415:1 gene:Dexi3A01G0025680 transcript:Dexi3A01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGGAGDDEGGTAASLAALRLERRRIKNRFRRYEPARWLLTSCVAVAALRSMMKKWKNFPSSWGKFNDPCGAKWDGILCDANGRVTSLNLFGMSMSGTLSDDVGNLTELRILDLSSNNLDGPLPAAIGKLAKLEYLALIDCGFTGPVPSELGNLSELIFFALNSNKLTGSIPPSLGKLSNVTWLDLADNMLTGSLPNSRDNGTGLDQLLKAEHFHFNRNMLEGSIPESLFNSSMSLKHILFDINRFTGQIPASIGVISSLEVLRLNDNGFIGPVPALKGLTRLQVLDLSNNSFDPSNVPSWFSDLKSIMTLTMKSVGLSGQLPQMLFSFPQLQQLVLSDNGLNGTLDMGNNISTHLKVVDIQNNKISSVTVYDGFNVENLKCVLLLISILTSRAVLIGIVTGSVLLILGLTLVGFYAVRQKKRAQRLVSINNPFASWGTMGEDIGEAPKLKSARFFTLEELKLCTNDFREINVIGAGGYGRVYRGKLLDGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGEKMLVYEFIPNGTLSETLYGMKGIQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDEKMTAKVADFGLSLLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIHDNKYIVREVKMALDMEDRTHCGLKDLMDPVLVEMGGLLAFPRFLKLALQCVEEVASSRPSMNSVVREIEGIMLDNGLTPGSISTSSSFSVEHKMMKVGPKLPYSNASTSSSTTFDMDSRAFEYSGAFPSHGSLKP >Dexi4B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:4B:830778:832493:-1 gene:Dexi4B01G0001380 transcript:Dexi4B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVALPLLLLLLLGSSPTALVAVAADGEHEAAQFTVLDMTLLKPHDACSGHRVTPSQNGSWVPLYHPLGPCSPSFKGGAARAKPPSLADLLRQDQLRARHIHRMASGDFRVSKGSRKSPVWLEESQIHNKQRMGIDVKYGSQFSRQQMHGPAAATDDDGGGGDGSSGESSPGVTQTVVLDTASDVPWINCVPCALAECPYYDPSRSTTYAAFPCNSTACKQLGRYANGCVNNQCQYRVNSTSGPTSSGTYGSDVLTLDSHNAITGFKFGCNNNDNNGDDSSGNNNGIMALGRGAQSLMAQASSTYGNAFSYCIPPRDSEKGFFRIGVPGGAPYMFVTTPMLTDRRSPSLYRAALVGISVAGERLNVPPEAFAASAVLDSRTALTRLPLTAYGALRAAFRDKMAAYRRAPATEEMDTCYNFTGVRFVKLPKVALVFDGNNAVVELDKYGILVGGGEDDHGCLAFTANSNDAAPAILGNVQQKTIEVLHDVADRNIGFRRLAC >Dexi8A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:8A:23091116:23101487:-1 gene:Dexi8A01G0013090 transcript:Dexi8A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRAPSGLLRTSRLPRELRPAQYSKVYKTWGNVGSEPKIHARLSDEHVEWDCRSDSDQEGTFTGTRSEVVESTLLNDAGSDSFERLDKRKQDDGANNSYSRDSCNNKRVKKCSSLSIADPVDEKIYFDNFACVDSKSTENLPDKVVSQGDGNAMHKGNANSNDEKIFSDHTAGADSQSAEYFLSKVDSQSDGNATQEHDTCYGNDKMFNDSVAGADHLSAERLSSIVYSQGGVNTTHDGIANTGDDEMFNGNVSSANNQSSEHLPSDRQVDGNGTHDGTASSGDPETFNDIIAGSDNQTAKHFSSEVDNQRDGKAENANSGVGKNNFSDNITGSNSQSSEYRQRKMDSQGDGNATHKCNMNSEVTDTVGEKSCNSGCLSSPDKIIFDFEKFRDINLFAVGQIWALYDNLDGMPRFYVRIMQLDASDFKVHLEWLEYDAMNEGEDKWAAEELPVA >Dexi9B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:9B:13845682:13846478:-1 gene:Dexi9B01G0019230 transcript:Dexi9B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVVALAALLAVAASSPAGAVTFSARNAASSTAGGKRFERDVGAAYATKVLSDASSFNWKIFKQPSSRSRRSVGAVTLVVEDTDGVAFTSGNGIHLSARYVGGYSGDVKTEVMTGVLYHEVAHVWQWDGQGQANGGLIEGIADYVRLKAGYAPGHWVKPGQGDRWDQGYDVTARILDYCDSLKPGFVALLNSKMRGGYSDDFFAQILGKNVQQLWQDYKGKYGG >Dexi7A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:7A:9042457:9044406:1 gene:Dexi7A01G0002260 transcript:Dexi7A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADASLVAMGFGLYWQSPPRFLLEPLDLTDAVIDHSFYSYMPPDEEEVESLSGICLSYAAADSSSPDGNSCSTPVVAPPPLPAAATRNKDMERGRRRRLNETLYALRSVVPNITKMDKASIVRDAIAYVEHLHEQEHRLLADISALQLESSPTVKTEGDVVTGRTTDTFPPSRKKMRTSQSIVTSSPPVRILEVQVSEAGERVTAVTIRCTRGRSVVSKVCRVLEPLGLGIVTGSIAAAGDTVVHTMFVETGEMGGALLKEAILAALAQLDVTTGSLNSQTELLG >Dexi8B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:8B:27966309:27967187:1 gene:Dexi8B01G0016830 transcript:Dexi8B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKFSNITICRAIAWRKKVHIVDYGEHYGFQWPTLLGVLATWEGGAPEVRITSIELPQPGFRPAAQIEQTGRRLSKFARQCGVPFKYRSIVAKWETICADDLNIEPDELSDEGGDIDSPNPRDMVLKNIQKMRPDVFILCTENSSYNTPFFVTRFREALFHYSVMFDMMDATTPRDNTERKLVEQELFGRRALNAIACEGTDRVERPETYRQWQVRTDRAGLRQLPLDPGIVKAVKKKVKDGYHKDFFIGVDQQWLLQGWKGRILYAMSTWVADDAITL >Dexi5B01G0036530.1:cds pep primary_assembly:Fonio_CM05836:5B:36225836:36226724:-1 gene:Dexi5B01G0036530 transcript:Dexi5B01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMRTGKAVTQEEARSLRGDGNAASTRLRVAYFSPTIKMFPSSSFPPLQNSPIPVVSIRTRFGTLPPATHPDPAPSPGGIGCSLHSEIAALQHLRPPASSGDFSACVFPRRAVPWNRVVSRVRLRGPGVAIYEPAAVADLRSLRLPQLIMTSGKYGRGRRGRPRDDLVISNAQTGEGILSGFW >Dexi9B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:9B:2770465:2771385:-1 gene:Dexi9B01G0004840 transcript:Dexi9B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEEANHAAVESCKKLVAFFSLSGGDPFRPMPVAAETDEAVARFGKVVAVLSDRLGHARARVGNKRSPAPPPPIDVSCLLDHPSSLPPRHTLNSGHLISSTPAAPPPMPPATLRGVPSMRSQETEVEPPVMVSPCATVTLLAPATKKFDRNIFLETPLLELNCCSSTAMATPEKNTSIVASVPAPNPCAAAAAANIQFQPHQQQLAKKQKSFQFDQTPSGEFHIEGPVPRGGGGAKEVISFSFDNNSVCTSSAATSFFTSINSQLISMSDAATSSAATAKKTACAKRAEDGSVKCHCPKKK >Dexi3A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:3A:12933411:12935360:1 gene:Dexi3A01G0017050 transcript:Dexi3A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSVLVWDLTNPVHSTPDSGAAADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVISVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCTLAGHGGYVNAVAVSPDGSLCASGGKDGYTLLWDLSEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQILYCTSLSWSADGSTLYTGYTDGSIRVWKISSFGYSG >Dexi9B01G0045440.1:cds pep primary_assembly:Fonio_CM05836:9B:44912297:44921183:1 gene:Dexi9B01G0045440 transcript:Dexi9B01G0045440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTPTAPNPTPPPPPAAPAAGGGDDTAVRAEVDKALAYLQRGSHARALRLMKDAVARHGEGSSPLLLRAHGTVHARAASVLDDPAARKRHQQAALQAAQRAVELAPDSVELAHFRAMLLFDAADDARGYEEVVSECERGLSIDAPSDPAPHSLRLPGPDVDQVHSELRNLVQKANLASISTWVKTLGGAGDDKLRLIPVRRIAEEPMEGRLVPSMPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKEQTNGAAADTPASSQSRGDEAPSSSSQSSAGGHRADRRRGGTRKPTVPSASDRMVQLRAYWATIPVEQRLAFLKTSISDLKSYYAALTHKDKDAANVASDVLNEVLGFAAKSGKWEFWVCARCREQFADAESHRLHVLREHVGILSPELQDMVPQEIDSEWAAMLIGWNWRPLDATAALKLLEEEQADNLGLDRDKDSMSSDNWSSKDKSDTSESSASPHNEECDGFGVVMREGDRKWPLSDDGERADILERIHSLLQILVNNKNLSVGNLNKVIQFAIEELRGLPSGSLLLNHSLDESPLCICLLEASSLRKILKFLQDLVQSSGLNRHLDRAEGLGDRDTSPKSHDVLENVTLNADSSELIIDGESFVSKFESENVDTDALLSWLYAGSSIGEQLLGWNRMLEERSHQGIDVLRALEREFNSLRNLCEGKLEHLRNEQALISIESIFAQENTERDTQGHYQGYEELLRKRQEELFELSTGELTNSSRCELDALSTILNEVRSPHFGYNESFSGMAPRLGYNESLSSMAPHLTDIEADEEGWNVRDLMHSNDSVVHMVVSRLKEQVTMEVSKADAKIMRNVAAMHQMEHKLGPSSSLDYRLILIPLVKSFLQSHLEELVDKDARDRSDAAREAFLAELDLDAKKNASKGGVMKPYNEKSKDKKKLKDSRRFRDIKDSSWNDHHLVRQDSADEETREFQLVADCDDLDCKLSTSDDYFNEQEEELRHRVQLEAEERKLEETLEYQRWIEEEAKQKHLAEQFRSTYASSVVGAAGLSSTNRGQNGHESASDNSSLAYLEGIKFGDFRYSEVPLREHPNYTKNNFREKHNELDSPGAQSLTSSDMSISKLTLRMNGIWENAQHLKSQGNPNIQKPKRSTNEPQKKYIQGVPGAIYDDDDDRASGPKLGYDTNPAGTISTSNETEVYGAGLKNAAGEYNCFLNVIIQSLWHIKRFRYEFLKTSSLHKHVEDPCAVCALYDIFIDLSKASKGQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRTVCHVKSHEKNSIGSWDCANISCIAHSLFGMDVYERMNCHDCKLESRRLKYTSFFHNINASSLRTAKMMCPNYSFDELLKVVVMNDQLACDQDVDGCGKLNHIHHILSSSPHVFTVGKHSAFGRFRMQLFCSVEVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWDDVLVMCEKGHLQPQVLFFEAVS >Dexi3B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:3B:5756721:5761668:1 gene:Dexi3B01G0008140 transcript:Dexi3B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPSGSSPPRNPVTASQDEDIISGLPDHVLLDILERLHLHEAIRASTLSKRWAHLPRLLSRLLIDVAHFLPRDANKRATCTVDQIMTAYTSTVRRLLPSSTSSNHRAIIKQLQLSFYLTDPYVCSIGHAVGDVMELGNTICLEFTTWADMHRPSYDQTILFGERFMSFFRACPTAFRWLTRLILDNITFRDSDFHSFLNTCSKDQFLSLTYCDSAFDPVTGEDIFLKIDAPHSTLTVLEIHTCGFERVDLIQAPKLRRLVCTDWIGAHSPLTFGSVPCLDNINLRHNAQDWQTPFPLSQCFSKTTNLKIMYLNFLDQMVWIEPEDPKYLSHVFNNLREVYLYNIFFECDLNWTMFILEVAPFLNKLFLKVSRHPCERSRCKHSAERVNVLWHQASPDFKHRKLNLLEIIGFAVDEELMKYIRHVIKQAVGLKRIRLLDQPPCSKCDAIDDTQLPSKVRWRFPEEEEERKLIRQQLIDGFSSCVEISIG >Dexi5A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:5A:1414051:1414394:-1 gene:Dexi5A01G0002010 transcript:Dexi5A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCDGDCRPLGWLLGLPFALLAVLVSLVGAIIWIIGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >DexiUA01G0015500.1:cds pep primary_assembly:Fonio_CM05836:UA:32411374:32414353:-1 gene:DexiUA01G0015500 transcript:DexiUA01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVMTQPAQNAPSIKLLFSALLLVMLLSALDQTIVSTALPTIVGELGGLDKLSWVVTAYILSSTIVVPLYGKFGDLFGRKIVLQIAIVLFLAGSVLCGLAQNMTQLVLMRGLQGLGGGGLMVISMAAVADVIPPAERGRYQGLFGGVFGLATVIGPLIGGFIVQHASWRWIFYINLPLGRLNYTTLADLPEGAPDMTGIFPICAQPAVILFDSGATHSFISTRFHVNCGLKSYHTKSSYVISTAGGKIASNQVTRKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCVDACAYTMVETQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCVFWLESVKFLGHTVSNDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCDEAFHTLRRHLTSAPVLAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKITKYTRMSSVPHQQGGSPPDLV >Dexi9B01G0032500.1:cds pep primary_assembly:Fonio_CM05836:9B:34720432:34721762:-1 gene:Dexi9B01G0032500 transcript:Dexi9B01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAEAPPALAPPPPYAEPPRRRRPCVLLSFSAARDRFLRRRFISAGLRPFSVRLPSPAGTGTVVHLWAPPRPVRRPVLLLHGFGASATWQWAPYLRSLLAAGLDPIVPDLLFFGNSSSTLPDRSETFQARTIKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPEAVERVVLVSSGVCLEEQDLAAGLFPVADVREAAELLVPRRPAEVRRLVKLTFVRPPPVMPSCFLRDYINVMGSDHILEKTELLFSLINDRKLSDLPKIRQPTLIVWGGEQDQVFPMELAHRLERHLGDNSRLVVVKNAGHAANIEKSKEVCKIIVDYFQEPVDSSASVRGKVSTG >Dexi9B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:9B:3102642:3103190:-1 gene:Dexi9B01G0005260 transcript:Dexi9B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEQEAPTVTLRPFDLADVDAMMAWASDPVATAFMTWDAYTSRDALLAFIRDTVLPHPWFRAVCLAAGAGEVSGEVPVGAVSVTPTDDRCRAELGVVVARAHWGKGVATAALKRTVAAVFADLDGVERVEALVDVDNAASRRALEKAGFQREAVLRSYCVVKGRLRDMVIYSFISSDPLLD >Dexi1B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:1B:26204869:26205816:1 gene:Dexi1B01G0019990 transcript:Dexi1B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGFPLDREAGLLIAVHDALPLQTELLAHVALSREAVLAALAFPNEDGEHMIPNLEFGHPFTHTLYHSRDQGVPIGMKGVKGDQGAHPDASWPKILGNRSESAAVVRTLDCHAVTSLRQTEVETTLTRISVGPGGATSTSSITSGCPGPQATAAARKPSNFTRYTSIWYTEQWQKRVAARNLAGWVSTSAGDGGRRRRRCSILGRQRLLYGMGLVFAMQSGGVKSCLRFVSMVAAAREKG >Dexi2B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:2B:28500564:28506714:-1 gene:Dexi2B01G0018160 transcript:Dexi2B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLDLLPRLLLRRHAHRRSKPSPPPTPPPPPNPPRPPQKPDPVSIHGETWHDNYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNVSWAMEGKALVYVVTNEERRPYRLFCSFIGSNKDGILILEEPDENIFLNIRHTKDFRYITLNVFSDIHSKVYLIKASDPLSQMKLVWEGESQVHCIVEHHHGRLYLFTDAAREGAPVDSHYLMQTDVESSGPKSWKNVFIEESGVILEDVDFCDTHMVLTLRQDRKLRLCAVNLPLTEDINVPAHLSDFHPFDLPLPSDVCQIVSGPNYDYFSSTMRFTISSPVYYSLKAFIFRGGGGYGKKWHQAGSRTQKMNSVYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINTRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIRRDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREITQYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMVNNV >Dexi1B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:1B:9468189:9469715:1 gene:Dexi1B01G0010060 transcript:Dexi1B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAGAAQQDPWSSAAGEFLGFAASRRGAHRRSASDSAAFLEAVPMDDVIRGDDFDRLDDEQLMSMFSDVDAPAVSDGAAAGDAQLMDMGDAEDGMGASSPAGARAAADGVADPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQLFHQQQIKATTGGADIATAASMQARQELLACEGAAIR >Dexi4A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:4A:7493366:7494774:-1 gene:Dexi4A01G0009480 transcript:Dexi4A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENTQELADHGPCSHPRKLLICSLFAVLALSLIYFIFYSPPSSFASYSDLFDQFKTQRTTKNTSLPPPHPQAWLQCDYSDGKWVWDESVTGPRYDSENCDMKSTEKCVINGKPDKGYLHWRWQPTGCNISALDPTSFLRLVRGKHLAFVGDSTARNQAEALVCYLSTVARPVTVHRYEERLGRKFWQWFFPAPHSVNVSTYWSPLLVRAEGHSEDYAMTQETVILDALTEPWTKDVDAMDVMVISVGHWFPRPAMYYEDGEVVGVYSRPDITNKTDIGYLGVYRKVLRRTLEYVDANSTRDKLVVVATIAPAHFDATHGWNHRDACSRTKPFEEGEAEVAATDAELRNVVLEEVATAAAKRRKRWGVRFEVLDVTRMATMRPDGHPGPYLFAHSYDLRPVPETVANDCLHWCAPGIVDTFNDILTKMIVAGG >Dexi5A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:5A:7111561:7111784:1 gene:Dexi5A01G0009470 transcript:Dexi5A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWQIEQPAQAHYPARTVAVNDWGHNDACNDEPQGPSSPQIKRRQSQAANDLFT >Dexi1A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:1A:8466179:8467102:1 gene:Dexi1A01G0010010 transcript:Dexi1A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNQQQGVVAAAEPGAARRLWRVVRAVLYMLRRGMPSGRKLAMDLHLLLHRGKIAGKALGDLLFLAFHHHHHHRHAAAFSYAGGAGGAGGPGPFSCRALDPTLAVHEPAPHGRREVEFSCSNTPSSTSRGLSLLGAGKRRRRSNRHSHHDDSSSYLQCWNNNYDAAEVARMFEMLNDDDQCYRGLFAGDGDEGAESTAASSAATSAAATPSRAQLLYWAVVGSPAVRRSRTTPRRLAADESPAAMADGVDRKADEFIRRFYEQLRAQRSAASTPDYHGYGGAAGVSPYVTPRARRPAAIAAAGIA >Dexi9A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:9A:9309325:9312532:-1 gene:Dexi9A01G0014210 transcript:Dexi9A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSQLAVRRLLSSAPAAAAARRSAPVAAESVSGGGSILLPRSCGAGVAASGWSGGGPGLLWARRLCTSDERGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDQRRMKVVEMGGAQELLNVLEGAKDDKTRKEVLKTLVELSKSEEAAGFLDKAGAYAIVSSIPNSPEYAEIETYKTRLLTAFDKLKS >Dexi4B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:4B:506449:509206:-1 gene:Dexi4B01G0000770 transcript:Dexi4B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQISVVLEPPTRQGLSASPPPPLRPRHLSLHRVLRLASQQQAMAPLPSQQPEAAAAVPGAISKVLIVMAMEKEAMPLVYKFNLVEAHASESIFPKGAPWTRFYGKYKDIQIDLVMPGKDAVSGVDSVGTVSAALVTYASIQALKPDLIINAGTAGGFKAKGACVKDVFLASDVAFHDRRIPIPVFDMYGVGARKTLAAPNILKELNFKLGKLSTGDSLDMSPEDEKAIQSNDATIKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGDKPTAEEFLQNLISVTAALDEAVTKVVEFISSAKRISDL >Dexi1B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:1B:4675228:4675495:1 gene:Dexi1B01G0005700 transcript:Dexi1B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELELEAFIHGPAGAAAAAAAAGNKPEHDIAAHAPFGAGVFPPADLSAFSFADSF >Dexi7B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:7B:1763287:1763745:-1 gene:Dexi7B01G0000960 transcript:Dexi7B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFISKKFPEGDPKYWVAVTAVSGLDDDLSARSRPTISPVFNVTVHMDSTRDSADGRCVPDLSTAEVSYGDAFLGKGTLPKICAGKRLEAEGVARAWGQDVVVPWFLRDQLAGEMEVGDAAVDVHLRMDGSLLYA >Dexi3A01G0034990.1:cds pep primary_assembly:Fonio_CM05836:3A:40270930:40276972:1 gene:Dexi3A01G0034990 transcript:Dexi3A01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSPDGRLLLVCTGHAVSVFSTATAMLVSELEGHEGDVTAVVVVPLPAAATATAAAKLASYCWTSGLDGVLIYWDFVAAEMVRKVQVGLPVHSMVVINICRTTKGAEVSTPFAFISVEDTSKPSSEAKALRGQMRIYDLTKGRKVGNLLAETRKPEKIVASSSGEFLGIANKRKIHIWSIPTKDFKPDKIRKIKIRHTKTLTTMAFHPSERTVAAGDVTGRILIWRGFGDAKFSENSAKSKVDEGRDGVRGNDDADTCTTWHWHSNSVRFLKFSSDGAYLFSGGLEGVIVVWQLDTGKRKYKPRLGSPLLFFVDSPDSSISCVSCSNNQVYLLKMPNMDVMRSIAGIKLPVASPSLSGSDRVLYGFDYTNRLVAIPTENYCVQLYNLFENIEVSELQVCERNFQPVDDITMYISLVSLSLDSRLMCTVDVKLPEEELGGLVTLKFWNRDGSRAGNYFLSTVIYEPHSDAGVSAVAFRPQRNMAVSSSLGGNFKVWVKSLSSQSSRKRNYAGWKCQSVGSYKKKPMTSAAFSADGSVLAVAAEGVITLWDPDNNALVGVIAETLSPVTNLSFVGKSVFLMSLCQTSRPQVTVWNVSNLSMQWSYSIYAEAACCSPDGNEFAVLALLSSGETSTEQDGAILLFDAESPNPVASWSVKKARGGSISFVKGDISLDVDANNSRDKKTMLLVYVNGSHEYVIFDPRKSEKLVITRNTQKKIQAEESAPIGYASIYGELPKLESKKEVSDVPFIPSDRPWETIFSGSSHVLPPLTKLCSAFLSSLLEKRPVAND >Dexi9B01G0039920.2:cds pep primary_assembly:Fonio_CM05836:9B:40613691:40614185:1 gene:Dexi9B01G0039920 transcript:Dexi9B01G0039920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPALSPMRNTRSKPQQLVGGGAGGGHGGLVAEPAHRGEAVVEGGGQAVLRGEAVVDGHDGAARAGGKLGEELVAAEPTQKPPPWKYTTTGRSLLPPGEPADVIGAYRRAHTPVERSTTTSLATTPPGAPGAVEPQLQGTSGIAGGRSTWPSL >Dexi9B01G0039920.1:cds pep primary_assembly:Fonio_CM05836:9B:40613313:40613687:1 gene:Dexi9B01G0039920 transcript:Dexi9B01G0039920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLLPALRSARHRSTLRSRRMSISDTHTRSGGSGSVSSRDSSGLHQGWSRLAPPGSARRQAERGGCVMVFSDTVRASPPKKGWTRTTPLSTSGDTAPPTPRWPPWPSRAATW >Dexi4A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:4A:24977901:24984686:-1 gene:Dexi4A01G0021420 transcript:Dexi4A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAPNWAAPEPRLSLKKKFEALSNSRNPEIAHKHPQLPPILDPIHTKSQEIPMAGGEGSSRGKGSKRKAEAMKQIVTTEETTSAGDMPIIGDPISDWPTSILKEKHIKALETDGFLPAQGISRWRSAYGHEYPTEDTEEITGMRPVYLDYTTKESLKDWQKEWFYAWNHQPHLPPLDPTNTEEAALLARCVDPGVRDQVRMKSAIEDPDEPMVKLEPQVPEEPQAKSGATSRGTERSKRPAPSEAQAPVPKKARTLPKPRARTIPEERAKVSPQPRTTSSVGIAIGEIGTSMPQQSSSARRALSEEEILHEIFNPVSTPFEGITPIIEETCPAGPSAAEQEVEEEFTLGEPEIPMRPSTMVESLVDHAAVEPEAAVPEEPRVVPETTLPEVQTTLPSNPPAPEEAQVKETVAEVLADTEQLVTSAVIEESELERRDQSSAQPPSVIETTQTGAEAECSRGKQAETSTQEQEIEEIPRVPQSTGAEEEGGSFRIGSFDPMLNPNPQTFEYILDAEEDEHHIDRGLYHAERAVTYFKASRKKTEYLHNISLMHAKADRLQKELEREREDHKLQEAEDADTIRTLHLRTKELAAEKEDVKKKLATAKAELKGAQQQLATAQSKMTDWSNVANRYEEALKTLSADHESLQEELRVAVQQRKDADEQLIQVLEQQKQLAQNLEGAREENNRLSRDLVQAQKHLSDKKVLDEKLEQAARRMSELEEELRLMKKSDDDLAEALNRISQLERAANPVVKALVPEDPLAPLSFLERLKAMPRQLKAYIKRSSKALKSRYPEVDIGKLVEGAEPNCTESAFRDLKQEAEPVAEAIAQSLRL >Dexi5B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:5B:4156020:4158235:1 gene:Dexi5B01G0006150 transcript:Dexi5B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPLIAGLAVAATALAGRYGIQAWQAYKARPIVPRMRKFYEGGFQPTMNRREAALILGVRETANAEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLTGKTKGGGSAF >Dexi3B01G0030010.1:cds pep primary_assembly:Fonio_CM05836:3B:29329024:29329935:1 gene:Dexi3B01G0030010 transcript:Dexi3B01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMVSQVMKSSLVHSKPRGLSGAALTRRPRFTVKAIQSEKPTYQVVQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVTILSVCLTMYGVASFNEGEPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVVWAYFLLYVLDLPYYFK >Dexi3B01G0021870.1:cds pep primary_assembly:Fonio_CM05836:3B:16759374:16759994:1 gene:Dexi3B01G0021870 transcript:Dexi3B01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVSPPIAAHEGRLPRQYSAEGQGAKKDMSPPLEWYGVPEGTRSLALVVQDIDADAAVPWTHWVVANIPPDTKGLPEGFSGREHGASGAAGPHSGGVQEGVNDWKQPGWRGPVPPSHGHRIQFKLYALDDELNLGNKVTKDKLMDAIEGHVLGEAELTAVFEG >Dexi5B01G0026730.1:cds pep primary_assembly:Fonio_CM05836:5B:28432097:28435334:1 gene:Dexi5B01G0026730 transcript:Dexi5B01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRAYLHLPLALLLLPCFCLLLLLRGAAAQPQQPAAGDAPQLLAIKAAWGDPPVLAAWNATAAAALCAWPYVGCDAAGRVANLTLANTNVTGPIPDAIGNLTGLTLLDVSNNNINGSFPTALYRCRSLLYLNLSQNYLAGVLPADIGSGLGENLTTLDLNGNYFNGTIPASLSGLRNLQFLALNGNRFTGTIPADLGELTNLQYLYVAYNPFDAGQLPGSFKNLTNLVGLYATQCNFVGEFPNFVWSFKNLQMLSLYTNNFSGDLVVDGSFAAYSLTLIDISVNNISGVIPEVFGRLDNLTSLIIFTNNFHGDIPASIGQLPSLQVLRIYGNRLTGTLPPELGKHSPGLNRIEADFNELTGPIPEGLCAGGQFQWFTAKGNNLNGSIPAGLANCTTLYRLQLDSNNLTGDVPQTLWTAAQLQLLQLPNNQLTGSLPATMGKRRRVAEEDGWKLTPFVQDLGFGDASILRGLKEENVVGRGGSGRVYRVTYTNRLNGRAGAVAVKQILTAGKLNEKMEREFESEAGILGNLRHINIVRLVCCLSNAESKLLVYDYMDNGSLDTWLHGEALVSGGHPMARARSARREQLDWPTRLKVAVGAAQGLSYMHHECEPPIVHRDVKTSNILLDSEFRAKVADFGLARMLVQAGAPETMSAVAGSFGYMAPECAYTKKVNEKVDVYSFGVVLLELTTGKEANDGGEHGSLAKWARHHCQSEGSIADATDKSIRYAGYSNEIEVVFRLGVLCTADMPSKRPTMKGVLQILVECSEQTHHKSKTERVPEYDAAPLLLPHHGSRRKQLSNGSGIDIEEKSDFDSIV >Dexi5A01G0031130.1:cds pep primary_assembly:Fonio_CM05836:5A:33981927:33984057:1 gene:Dexi5A01G0031130 transcript:Dexi5A01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQPRLLFLLSCLALALIAGAEEHHHEFIIQETPVKRLCKTHNIVTVNGQFPGPTLEVREGDTLVINVVNKAQYDITIHWHGIRQLRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPKEGKEYPFVKPSREVPLILGEWWNANPVDVIREAQRTGGAPNVSDAFTINGQPGDFFKCSEKETTAVPVKPGETALLRFINGALNHELFVTIAQHKMTVVGVDASYTKPFTTEVLMIAPGQTTDVLVTMDQAPTRYYIAARAYVSGQNVGFDNTTTTAVIEYDCGCASDFGPKIQPAFPALPAFNDTATATAFSAGIKSPDKVKVHENVDEYLFFTVGLGLFNCKPGELCAGPNNNTRFTASMNNVSFVFPKTDSLLHAHYYKIPGVFTTDFPAHPPVQFDYTAKNVSQALWQPVPATKLYPLRFGSVVQLVLQDTSIVTPENHPIHLHGYDFLILAQGFGNFDPKKDVEKFNYVDPPQRNTVAVPVNGWAVIQFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGELQSLEAPPVDLPMCS >Dexi9B01G0039680.1:cds pep primary_assembly:Fonio_CM05836:9B:40380779:40381390:-1 gene:Dexi9B01G0039680 transcript:Dexi9B01G0039680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPDPVKVAAAACAHGCPQTPSPGCRGGTARAWRPRCRSTPADGHTDPVFPRADRAWKAADRAWMPVDRVRTAADRAAAADLPPKPSSRGDSRPRRHASPTAWRQVRAGVRRPPPPPPLAGVAGRPRRRGLDNGGDARGGVVGEETNREEASEEEARAGRSRAGRSGRRDWGGGNAGEEARRRRCRCCRSRGPAAARSQDAL >Dexi3A01G0023120.1:cds pep primary_assembly:Fonio_CM05836:3A:18706111:18706315:1 gene:Dexi3A01G0023120 transcript:Dexi3A01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFAAFSSSQWAGLDAEALAPGGTSVAVIAVTGEVGRGACAGGEAGPDPRDREGVVGW >Dexi4B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:4B:4045506:4046576:1 gene:Dexi4B01G0005690 transcript:Dexi4B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLPLCFSLTVKLRFQSNAVVLPGPSCPKKCGDVDIEFPFGIGADCAIDHDFKLDCNKTTDGHSYEAWYSDMPVLNISLLHGQVWMKNYISYMCTNRSNGTIFLGQTYLDLSNTAFTFSADLNKFTVVGMNTLAYMIGSTYVLGCLSLSSPYDNSTAQDGSCTGVGCCQVELTSNMSYYRVYFNEEYNTSTESITDNEEYCGYGVMMEADAFRFRTAYLNRTAFWDDYGGRVPVVLNWAVGNETCGTAKNADSYACRSNNSVCIDSSNGPGYLCNCTEGYQGNPYLPNGCQANL >Dexi1B01G0031410.1:cds pep primary_assembly:Fonio_CM05836:1B:35002279:35005077:-1 gene:Dexi1B01G0031410 transcript:Dexi1B01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIAGLGVGSIGGLGVELYSKFHFLSYELPQQHLAEAHVARGRSSCEEAERRGGRSLLMAPFHAAVERAALGATSPRHGRHSDAKAMAAGIHMSSSPSVSLFFLSSLHCSYLLHSADASSCKDFTCVATTKRQLPHASLPTSLSCFHTPAPYATEGESSYGTSRNRVMNARTPGSKAHAHTHGSGERQAGFITGRGEGRGIVAALAWCFTSSLYELRIHGKSHIRIGDGGDVAAQKLMAERRLLRGSRKRVMEISSPLDRAKQLQP >Dexi4B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:4B:551854:553226:1 gene:Dexi4B01G0000850 transcript:Dexi4B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATSDAKLLIQSLTKAYAATPTNLKIIDLYVICAVATALIQVAYMGLVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Dexi1B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:1B:20414231:20415125:1 gene:Dexi1B01G0014190 transcript:Dexi1B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSLASLRGQCRGRWTQSNSKLYSRSFAIGMPKRGYAHKIHLPFQSRAAIQWKSAAYSHLSFHGRGHRVETLAKCFRLQSLMDSESIVSPYLMLFSDEALLTISMFFAYLAGVIPSGQTSPAARNNGVHQHITEPSSSDSGRDLKSLPETNAGFDPSDMWSEVRAKLSEALQANVQDASLNNREDDLKSDRKNYPLSMLAIHGGPRLRLLLITFQLLEMEARGTSQLSD >Dexi1B01G0031510.1:cds pep primary_assembly:Fonio_CM05836:1B:35042589:35043558:-1 gene:Dexi1B01G0031510 transcript:Dexi1B01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRPLLAAAVLLAAAVLASASSKPQPPPCSRSGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKVGFSAKCPYEMAMATMTQGMDMAIMLSQLGSQKLEL >Dexi5A01G0038060.1:cds pep primary_assembly:Fonio_CM05836:5A:39171286:39171609:1 gene:Dexi5A01G0038060 transcript:Dexi5A01G0038060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGLLLARLASQRAVVIFGTSSCCMCHAVKTLFSELGVSWAVCELDKEPRGKDIEKALARMVGRTPPVPAVFIGGKLVGPTDQVMALHLGGKLKPLLREAGALWL >DexiUA01G0022230.1:cds pep primary_assembly:Fonio_CM05836:UA:45382155:45384711:-1 gene:DexiUA01G0022230 transcript:DexiUA01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGVGLALQTRAAGFGTGRRRGGLQSPIGSLRVADPAVAPVAVKARGSKPVAPLRVKKSSAEYYIDIFSMTFHYAGHENLHQSVDEALLLKRKSEEVLFYLNGRCIYLVVAQIFKVHSEAFFRDNESSVLRDLSSMRKLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVCKLTPTDIAIESLHKIENFVIEHTADKAASDSQVQRIQTL >Dexi5B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:5B:9176476:9177813:1 gene:Dexi5B01G0012970 transcript:Dexi5B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGACGYGNLYSAGYGTNTAALSTALFNNGKSCGACFELRCSGSGSCLSGGSILVTATNFCPPNYALASNNGGWCNPPQAHFDLAEPAFTKIAQSVAGVVPVQYRRVPCSRQGGIRFTISGHSYFVLVLITNVAGAGDVAAVSVKGSQSGWQPMSHNWGANWQSSALLDRQALSFQVTGSDGRTVTSNDAAPAGWSYGQTFSGNQF >Dexi3A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:3A:12615180:12617139:1 gene:Dexi3A01G0016640 transcript:Dexi3A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLPRAAAFAVSLLLLSSSGEAFFDIFNIFRPRSDSDYFQNAFDGSREPLPPTQTEHEERGAAPATATGLTRVPSSGPPSKAAADTVELAADKGGGHIGEWTIVSENSGVSAMHMAIMRDGRAIMFDTSTTGRSLMRLPQDNCRIDPRAKGKQEGTMDCWAHSVEFDYNSGCLRALKILTDTWCSSGAFDADGNLVQTGGYFEGEKVVRVIAPCDTCDWLEHPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYELVPLPGRTNDKANYLPFLRETTDDAENNLYPFVNLLPSGNLFLFANDRSVIFDHKAGKILRELPRLPGGSRNYPASAIRPPPARPPQHPDPVVIVCGGALKTAFRFGENNTFQPALRDCNRINLANNPGEPAQWESEDMPVGRVMGDMLILPTGNLLLLNGAAKGCSGWGFARQPVLTPVLYSPRKDKGSRFRALASSTIARMYHSTSAVLPDATVLVAGGNTNTAYNFSGVDFPTEVRVERFCPPYLSKELAGNRPEIDPASVAADGMRYGSPFTLRFHVPPSEAVGQGDVKVTVYAPPFTTHGYSMNQRLLILSVAAFAEEDGRRYAVTVDAPGKPELAPRGYYLVFVVVKGVPGLGVWVRIH >Dexi8A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:8A:30777694:30784843:1 gene:Dexi8A01G0018520 transcript:Dexi8A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVESIASKNPKELTALLEQISGSDELRREYDELEELKARVVMERKQKKAQKEEAEKHMRLQQELKLLKTEHYLWQLYTIGKDKEKLEADLAEDRQSLQQQPVLLKLKEQISQLKSKIKSYKKEIDKKKDDNKKHLEEMKWLQSALVDVTRPIEELNEQGQDKTGKMQLTDDQLQEYHRIKEDTGMKTAKLRDEKEVIDKKLNADVGSNHLCRITSEKSYSNCHLRGVILRKRLIDLSLERRRLEYEQKRDMNKPIVKLKERHESLEKELKDWKSKSDECDKVIDELKEQNASVAAKLAKLDRQVKSKKGQLMQLISLQREISEKCELEQLKLPTVNDPMDTGSSSQELVLDYSQLSEIYLHDMQPSERDKHEVEFKQKIGSYLAEIERSAPNLKALDQYDALQRKEKEVTEKFETARKEEKEISDKYNSVKQKRYELFMKAFDHISKGIDKIYKQLTESHTHPLGGTTYFNLENEDEPFLHGIEYRVMPPTKRFRDMKQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAPLDYQPLHKPSGDDKGDAAAAAEKGEGAAGHAPRGLPDDIARRVADIARVRLLASVVQLYLCADL >Dexi5B01G0021290.1:cds pep primary_assembly:Fonio_CM05836:5B:23597816:23598232:-1 gene:Dexi5B01G0021290 transcript:Dexi5B01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLEGHWRKCWRRCQQQHVQHNTHAIRTEGATIGRNIRGGLVAPPQERRLRTGTRAPCSPLVVSPSQLVSMEKDAANAVWRLTVPRGHLAGSTVSPQTLVSAESVREALRTSKLSCDACLRAPAVHDTRRTSPSRK >Dexi7B01G0021860.1:cds pep primary_assembly:Fonio_CM05836:7B:26857942:26860667:1 gene:Dexi7B01G0021860 transcript:Dexi7B01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPTTAARAATTHNRPLLSSPRGALAPSFLRLPLLPRAHAQRARLLAPVAAAAPAAPSTASPDAPAAGFVSGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASLKAGKWQRNKYVGVSLVGKTLSILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPLADSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIANVESKFPSAISETGEITVEGRVKDGVPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGAVGSVLGEENVNVSFMSVGRLAPRKHAVMAIGVDEEPSKSTLTKIGEIPAIEEFVFLKL >Dexi3A01G0033420.1:cds pep primary_assembly:Fonio_CM05836:3A:38205222:38205871:1 gene:Dexi3A01G0033420 transcript:Dexi3A01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMNAVEVRVEVKVLSVGAIAGGVHMKVHAKTSGFDEVIQLFRGAAPEPGSNMTFVVAVERHKNLDLYIKAAPGDDPFVGMEKKPMSCSWQQYSFAPGYHGTDEREAELGEFALVSVKVTWKSYRMW >Dexi5B01G0036400.1:cds pep primary_assembly:Fonio_CM05836:5B:36107909:36109125:-1 gene:Dexi5B01G0036400 transcript:Dexi5B01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKIQMSLIGEAAARARAFKGRKKGLKKKASELAILCGVDIALVVGAGSGGGGAPDEWEFGSSGVIDRYRRLPADKRAKHTHLGYLGVELGKEKRRLADERREGPKALASPGKAALKGMDLEELLASIAAALLATARRRKALGVPDEDDTVVEAVPLGGSGVPFAGDGIDDDMEAWIDELTWHGDEPHPLNATMARPAYGVHYINAGSMDMIGNQCLQKMGGNCQSDHYGQQSWASYHQHNTVSYPGYGFQYTDSSSSYSDMYGCPQMPAPSNANVYDGCWFNQAMSGTDESPRDAIVPVDRYHHSSLDITGNHVYIPPEHSSMGMVAGDCFTDASSISLDGSFMGESSSHEYGNQCLADYFQCPDASQQFGFGQEPLHYLSDVAEGLLRFRSRQLRLWEN >Dexi7A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:7A:23785235:23786023:1 gene:Dexi7A01G0013850 transcript:Dexi7A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLDLRQRRRPCSVLSGGRWSSNSGRTAGALVLSLSVLAGAPAPPGLPSGTVSRTPSELHPPPAPTRGLCVLRARAVGLEQRRHAWSPREHDPTATDFDSSATELDSRKKKVDLGSIWLRRRGIGGGAPCTTEEQGHGGLVRRQRRARLPAHSKACVRQRALDGDADPGRSGEATTRGRRRWPRLPATAAAGPRTAYPSLSAAPSSPRTSSCGGSSGSWAPSSRAPRSGGSCGGSLPLLSPAQPQLLGMARDARARATCAR >Dexi2A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:2A:2960165:2961704:-1 gene:Dexi2A01G0003380 transcript:Dexi2A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCLRRRLCLGGTRTPPPPFVRRFTAREVEAATRGFTAVLDADAAGGARGTAYRARFAGGLVATVRRAGAGEDGGKAAFYLELQLLARLNHRHLVRLRGFADGHHARFLPLLFPRQLAMLSTDEFLTQEYLYYFCDPPVFHVSVNSGNVLMDSNFVAKVEQRRAGLVFEYGVLVLELVTGQSPGSDGELVRWVQEPGFAGTMNRMVDADLGGVYDARELRDLVIVARLCTRRSDDDDDVISIPQIVRYLQGKVERLGGEARC >Dexi1B01G0013940.1:cds pep primary_assembly:Fonio_CM05836:1B:19994631:20000130:-1 gene:Dexi1B01G0013940 transcript:Dexi1B01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCIASMLEELASLKVMTKPMQQSLVQAAAARWFDDIPDVLTAEVMAAKEGLELAAHRCAKMVTGWSQLWLGCWWLILDSQWGADWWGQKPAQPLQPAKNKQPNRAISLSGCELRRPPQLPGEEHHYPSLVSYDFSLIWAPFLSSPMSSSRYWRKKTRGKKKEEGQQRLRLINAAAAALRAADNPTALAPPTSSSSSFLTRFLFLTPNTHNSGVAPCDPTAHNPPTQSLLATPPRCRTSAHNMHAASFPPFQTTPSLPPQPTLPTGALPPPSQPPPPKQPPPAIDQKEQIPSPDPNPRKHQTLSTASLHCQPPRQMAQLSIAGLELVTLRIGDVVADVVGPSPTPKSEHMSAAIALKSNHFLIKAACNVAVCPYCTNADRRTVYIYSKYKNEPMALLETIHEVDVDLESGLAPAPRSTAAAAISTNQKRRKRSGCCCCWGLISFILLATALIGALYLALDPKLPRYTMDALTVTAFDMDDDLTARAQFNASVRFENPNRAIGIRYEEGSSLSVWFGEYRLSEGALPAFYQGHGDAALVCVAMSEARLRGTGVVEAMRHVNGDGAGELPLVFRGEVPVRVKVGPFTTPRVTPSVRCDLVLDRLATEGSVRVKSMDCKFSIKLRSG >Dexi8B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:8B:24493038:24499278:-1 gene:Dexi8B01G0014110 transcript:Dexi8B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGALPSIITKLTILVTGEYNLQKGLKGEIKFLQEELTAMKGALEDVAKVPAEKLPNGDEIWARNVRELSYDIEDSIDKVMVKFEGRKLDNLHGFRKFTGSNLDWLMQPMVRHKIATEIKEIKSRLQEVHDRHRRYEVNHKKPVTVADTVDPRLLLQHMRMTELVGIDEARDELINTMMEGNEVPMNKVNIVSIVGFGGLGKTTLANAVYQKLRAQYDCWAFVAVSQTPNLMTIFKCLLEDLGKKINDDALDERWLIEVIRESLQGKRYFIVIDDIWDINLWKIIKNALLDNDVGSRIVVTTRNRDVAEQVGDWMNPSHLRRLTFLAVSVLRLQQEDLAIIGRLPALRDLCLCVDYGLGIVVNGSLFPNLIRCKLLNFMPPVVFHQGAMPMLTDLEFTFDLRKTRRITGSIDSLEFGLENLRALQNIVVRFGDESDREHDTEEAMAVLRNAVEIHPNRAKSVDVSDMRSRNSSVDVNTG >Dexi7B01G0021360.1:cds pep primary_assembly:Fonio_CM05836:7B:26434296:26434849:-1 gene:Dexi7B01G0021360 transcript:Dexi7B01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRYFPDPATDEENKNIGFGQHEDASCITFIFQDGIGGLEVLKDGHWIPAEPIDGSIVVNIGDVIQVLSNDKLKSATHRVVRKPGNRHSFVFFLNPHGDKWVEPLPEFTAEIGEAPRYRRFLYREYLELRARNKTHPPARPEDVVASVTYYAI >Dexi4B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:4B:4420476:4427844:1 gene:Dexi4B01G0006280 transcript:Dexi4B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHDDAEARASKRARPTTPPDAGEDAVDMISGLDDDVLLRVLSLLPDASDARVAALRFTSRPTSESDPCATLEPYVSFVDGVLARRTRSDCVIEDLAISYTTDPNEHYLKLKQLMLASFPDDDDVIEEEEEEDGYYSDDDGKNDHGYRGCQKEPEVLLDELPSPIRLEAFRLALGGATFRLQTTVKFASLTDLSLERMKIPAGGAHLLARLVSSASCPRLRKLRMIDLWLPDPSDQEMRLEANVLTELWMEDVTPLRSLELKTPNLRILHIDACYSEVLRVSAPRLEELEFFELGCPPRLLEVDGELPCVWSLKICLWSHRACISSGYYGETENDMNVVVLKQCISVTRLAVTLRGPKVFKEDMDIINYRVPHLSQITSVIINVTDWAERHDFGAGVASLLTRFNNLRRLSLQLPVFDSLWEKGADALCDRQLQKCHWTSYEISMAHLQEVELTGLTGAECELSFMKVVFASAKRLHKVAISFNPKCQHPGRMDAFERMLLDEGAWTIHRGEFMLTCLK >Dexi1B01G0025020.1:cds pep primary_assembly:Fonio_CM05836:1B:30204106:30204972:1 gene:Dexi1B01G0025020 transcript:Dexi1B01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDGLTRRAATCTGGGGFLPARGGADAPYREGGRLVGRNGAAGAGIAGGNLTEVLPVPVAVVLRTVTPAHFENGEWNTGGDCVRTLPFRRGERTLGAVEAEYRAAQVDALRETEAAAPRNGVEMLLLDITEAMDLRMLDGHPSRYGHPLGGSVEGSFVVAGGLPALVLGGADRHVERAADRPSIVSIDLGNAECTERR >Dexi1B01G0030920.1:cds pep primary_assembly:Fonio_CM05836:1B:34700932:34704918:1 gene:Dexi1B01G0030920 transcript:Dexi1B01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDAIVAPAAAALHLHGGGGGGDEVYCAVGIGNWKANLQWVLANVPRSKRLVLAHLRRPTSRINMMGAWVPVSQLAEEEVTAFRQLEEDRIGRVLDDLLEICKSQKSVLMITGQVNASKIIVASDDTAKGLVQLVDDHGVTELVMGAASDRAYTRKMRAPRSKKALTVQRKANPSCKIWFVCKGNLIGTREAGEGAHRAESSTSSTSPRSSTSDYSRSKSSPRLHSETFSIIESNDPASLSVDETLTRWDDAVHHSMEDLNYQATVSTASMSEIVESGEESAAELLLQEVQEDQQGAPSPDGLDAGGMIDDALYEKLKDALMESENLRHEAYEETRRRQMAERDLAEASKMVGLADEAERSYRREAKHRKEMEEMLARERAAMEQDRREVDDILDKIRKVDDGSAELELQIATSECMMSELEVRLSESYDTLRQGSTTATAREEHQVDGDHEGVLLRVGPSELDEATGHFDESARVDGGGDGGRGKVYRAEVRGMAVAVKVLRRDVAVDEARFAREVERIGSRVRRRHPNLVALVGACPEARAAAYELVPDGRNLEEELLLAGGGGGLPWRARCGIAHAACSALAFLHSSSTPHGDVRPVNILVVGSSCKLAGLGTHRLVVEQHGEALAYADSRRYLQHATEERDDVYALGVVLLRLATGMPPFLARKAAREAAAGGKAWREVVDACWPAERGREVAMLGLRCCDDPRSPLLLLEEARVVLEAAMMADEVAPPPPPSYFVCPILREVMRDPQIAGDGFTYEAEAIEEWLRGGHDTSPMTNLKLPTRKLTPNHALRAAIHHWSHRHHLH >Dexi7B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:7B:24106925:24108225:-1 gene:Dexi7B01G0018290 transcript:Dexi7B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDDAPLAQYAEHFTGHQHPQRPLCISLQANRSHEEIQGRSVQNHEGTDLRPYACTALQYSMVPLAPASRPHDCEERDDATWPSTSTPPFRNEAQALLKAEAIDVADVLALLLFLGCCLSWRFPSKKVTRVDRRQRGGVFLRDAPRVRDGWRPQRTDISAAGSSPRPPSPFSASVVGRGSFRPQVQVPTHLLSRVEPFVGLSQCVRGMAVVVGGDWASGVASANKAVTPYGIVIGVSSLLSCLAIAADCSRVRRRRSGVICRPDWNANLLKAENYGETLIAREC >Dexi9A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:9A:15502961:15505379:-1 gene:Dexi9A01G0020470 transcript:Dexi9A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKLETKVLPWIHGPASVLIGLKLHRRVRHNGGGNRAGGCVGGEGGSGRGWVGERERRG >Dexi7A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:7A:24534298:24535768:1 gene:Dexi7A01G0014560 transcript:Dexi7A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGGRRRRSGRRDGGEAGGGAAGVRKGPWMAEEDAVLLEHVRAHGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSGEEERVVLELQVQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAPLPARSIRNRSGKAPAASSLESRPAAVGRLDQVPFGSSSSGVHACSPAAPFLDAQNAALVPYDQATSGLLSFDGSLQQIVQPIGNQVCSSPNAATMLPNKLSFDEPPYPLLDYPGMPERWNMAPGFVNAGAMDDLAYPGLYPMMQSASMIFPFFGTEHAQQDGIKAEPPDAPHFFDDLPPDMFDSLDHVPPPLSPPATSSGF >Dexi8A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:8A:28326572:28330932:-1 gene:Dexi8A01G0016720 transcript:Dexi8A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRATVATVPIPRELARVRRRGGAGAEEPQARASACCVQFLLRECTKRRWQQPVGGERWSLAGATALVTGGSKGIGHAIVEELAGLGARVHTCSRNTAELEESRRRWANKGLVITVSAMSDYSGIMATNLESCFHLSQLAYPLLVNASIAGGGSIVHISSTAGFLGMAGIALYGTTKGAINQLTRSLAAECAHDKIRVNSVAPGLIMTDMSKNLTGRYKDGGQECKRIPLQRSGDAVEVASVVSFLCMPAASPTLPAKSSASMVVELQAPERKIANQNIPQINNIFVFILDLLYMVTNTMGCYDYN >Dexi4B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:4B:2127341:2131331:1 gene:Dexi4B01G0003120 transcript:Dexi4B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPPPHDMSYYEHCQKRHEEKGCLYAWQAAKMYGAPTAQEMSYFDHVQRRHEEKGCLYACIFTALCCFCCYETCECCLDCLCCCCS >Dexi8A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:8A:298293:301485:1 gene:Dexi8A01G0000420 transcript:Dexi8A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRSHPAPTRLLSLFLLLVVASAQQGHHHHPAGDGVVISQADYQGLQAIRHDLADPYGFLRTWNDTGLTACSGAWLGIKCVLGNVVAITLPWRGLAGSLSQRGLAQLTRLRRLSLHDNAIAGPIPASLGFLPELRGLYLFNNRFSGAIPASIGACVALQAFDASGNRLSGPIPPAVANSTKLIRLNLSRNALSDQIPAEVVASASLLYLDLSYNNLSGPIPDAFAGSPSSSKLLNHNSNKEAITGSYQLVFLSLAHNSLDGPIPESLTRLTKLQQLDLSANNLDGTIPGGLDNLTATLQSFNVSYNNLSGAVPPALARKFGEPSFTGNIQLCGYSASTPCPASPSPAPSAPASPTEEESHGRRKFSTKELILIIAGIVIGVLVLLLLCCLLLCFLTRKKSSSSSTTAAARRGKQQAATATKDAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSAFLHARPPNTPVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEQWNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDAAAGTVGDELMDTLKLALHCVDPAPSVRPEAREVVRQLEQIRPGSDGGAGPSEEEGGGAHVPAASAGDDE >Dexi1A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:1A:2319722:2321016:1 gene:Dexi1A01G0003220 transcript:Dexi1A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHRRPPSLPSSNQSSSYTTPMASRALLSRTFHHHPLPSAAPSHLSRETCGAPSCLPGAVSRRRAAMQLLSAGFLAAVAPPPSLAARRGRIVVPPEDYVTAPDGLKYYDLIEGKGPTASKGSTVQVHFDCIYRGITAVSSRESKLLAGNRSIAQPYEFIVGSLPGKERKRDFADNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPPDASFELDIELLEVIPAAEK >Dexi7A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:7A:15418733:15420572:1 gene:Dexi7A01G0004550 transcript:Dexi7A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEELPYQLLRETTDGFSEERKVGEGAFGTVYRGVTKCGEDVAVKILQDGIHNLDYRQFQNEFYNLAKVKHNNIVQVLGYCYEIEPIRIEYNGRIVFAEKIHKALCFEYLHNGCLGNHLSDESCGLEWHTRYKIIKGTCEGLRYIHEGLEESLLHLDLKPENILLDKNMVPKIADFGLSRIFGDKLTRTTQSPLGSLSRKTGGRDGT >Dexi2A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:2A:29227769:29231408:-1 gene:Dexi2A01G0017400 transcript:Dexi2A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGPNRETLLLAPALVLTAAAAISASTSGEWLKGALRDLRERKGSELDLDADLISGLVSFCELASPPDAASYLENFIGTEASQHIIQEYLQRRGHIGSSNGTESMQSSNLQPYVKPSADPPTTQTKKQARIQKDAASSSSQGSKSQSETAEPQLASKRSSKKKGAKAISLAEAAKGSIVFKQGKPCSCQSRQHSLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLSDVGLPLSEAEAAAEAYAKRLVDYDRNAAARTKVYDDQSDYFEMEGNSWLSSKEKSVLQKQQEEAQEAAESLKGKVTVTFDLVGRKVILNKDGTTELEVEHPIMRPPEERDQSHRIQPNPTIREQPQFVETGPVKPKTDRAKQSKRLAKNGLCLEVTGRLQHDDKDLQSILSGKVKK >DexiUA01G0007460.1:cds pep primary_assembly:Fonio_CM05836:UA:14115228:14116145:-1 gene:DexiUA01G0007460 transcript:DexiUA01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQMQQQGGQRAPQPQVARYEDFLATHPPVFSRAEEPLEADAWIRAIESKFSILATPCTSNRKVVFAAQQLRGTALLWWENYLALQQEGHVIEWEEFKTAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYAPAFNGLCPYAGHHTDSDEKKVERFRRGLNTKLKAQLTTTRAATYGELVNIAIAQEDANMVHKAEKKWKAPVGPSSTQPQRFRLVPPAAPQGQPRAPQGGRWVARLPQPSAPRFPPPPQQQPAPRQNARQPARPRAGYQCFKCGSNSHFCT >Dexi2B01G0023930.1:cds pep primary_assembly:Fonio_CM05836:2B:33405708:33406480:1 gene:Dexi2B01G0023930 transcript:Dexi2B01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRHNGIPKLTVVWVANRETPIVVVPGSSSTSSPTLSLTNASNLVLSDAGGRVVWSTDIVTGTAATSATLTNSRNLEVRSPMNSTMLWQSFELADTFLPDMKIRASRVGRPGDRLVSWKAPGDPSPGIFASGIDPVTSLQLFTWNGSSPLPLSGAAPWTGYRVGNNFIESTSTTINLTVLDVMDDDASMSFTLSPGGTITRYVMMSYSGELSWNTASVQWDELAAWPPYECSRYGPFGYCNNTVVARGADVQ >Dexi5B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:5B:10315152:10316270:-1 gene:Dexi5B01G0014000 transcript:Dexi5B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCADATLLLVVAAYALLPATTDAALQEGFYKSNTNCSVDVEATVASVVQRYISADRGVGAGLIRLHFHDCFVKGCDGSVLIDPSPINPDPEKGSPSNGGLRGLEAIQEAKQQLESTCPGAVSCADILAFAARDASNILSAGAINYGVPSGRRDGLTSAASDATQSLPPPFAQLDRLTELFVAKGFSQDELVTLSGAHSVGRAHCGSFSERIRPNVSDTMDAEYGARLQQQCEDDGDGVAVDQDQGTPVDLDNVYYRNVLAGKVLFNSDWALVSDNATRQMVEDNAADQAQWAAKFIDAMRKMGELEVLTGDEGEIRRFCNVTNSG >Dexi6A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:6A:19336979:19342627:1 gene:Dexi6A01G0012660 transcript:Dexi6A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHRHRSQRGNARTVAAIAAFPVVVCALLAAMQHVVDSELGRPPFRCPAQALTCAAREPPGWPALVQVPGNEARALTPLHPWRCDDASSEEENNNCPAAVLITGRKRQLAERLGRGLFPRVPAEYYLGTDDASDTPDYFEMFSRVVPGSSSLPAHVLFMEPGLAPNETLYVLQDLCLWNSSRVSGMSDGMPFQYGLDIFILNNYRIQFIFYFTYINLQIALAFLFASFFSSVRAASVIGYIYVFGSGLLGDALLLHFIEDTTFPKHWLVKMQLFPAFSLYRGIYDLAGYAYAGRNMGKPANNSSRRVPDTAKPDVFLECKVVKQLLEKTNMRSMIICNNLKKVYAGKNGNPDKIAVRGLSLALYRGQCFGMLGPSGSGKTSFINMMIGLVMPTNGTAYIDGMDLRKDMNEIYACIGVCPQHEYGDKLLVQHYSLKVNFSVVVYMDELSTGLDPMSRNDLWNVIKKAKKDCTIILTSTHSMDEADELCDRIGIFVDGEFNCLGTPNELKARYSSTRTLTIMTDPKHKGKVEKLMNKLSPSIYRFYSVSGTQKFILPRWEVGLYDVFRVIETLRHSFPVLGWGLADATLEDVFIRVVKDAQVFYDMGQEG >Dexi5A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:5A:169268:170469:-1 gene:Dexi5A01G0000250 transcript:Dexi5A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISNNPLLLMQQQQTEAAEAKQQRKKKRSLPGTPDPEAEVIALSPRALLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSSKDKKKRVYVCPEASCVHHHPSRALGDLTGIKKHFCRKHGDKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLNASATSSLLFAGPNNNNTMMTIGSAPLLVPPQQQQLLLLYPPLFFSGAGSANAAMAMPHAQLGMGWLSSSNAGELTPDQAAGSVASSLFTTGGKQMQQHAMAKPPDMSATALLHRAAQMGAVTSAAAAQTHLTAAAYDDVLSAAVREEETRDFLGVAVQPLGSMPLHGYGGFN >Dexi6B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:6B:26716158:26720363:1 gene:Dexi6B01G0020180 transcript:Dexi6B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMDAASIIGYFKGKSILITGSTGFLGKILVEKILRVQPDVHKIYLVVRGIDAKQRVQQEVIDTELFGLLREKHGSKGFQQLMEEKVVALAGDIIYENLGLETPVLEALAKEIDVIVNIAATTNFYERYDVSLDVNVMGVKHLCQLAKQCSKLKMLMHVSTAFVSGFREGLILEKPIKPGESLKEGTYLDIDAELRLAKEVKKELTMSSGDDEAERKATERKAMKELGLQRARHFGWSNTYVFTKAMGEVLLGQLRGDIPVVIMRPSIITSLKEDPVPGWMQGTRTIDTLIIGYAEQKLSCFLADLGFVMDVIPGDMVVNAMMAAMVAHSEEKGAQVIYHSTSSLRNPATYNVLYQSGRRHFYENPRIGKDGKVIPTREMYFFPTIARFHLYMILTYKIPLEILHLVNLLLFGLLSRLYNDLNRKYKFVMHLVDVYGPFAFFKGCFDDMNLERLRLMMSMKTPEDRMFNFDPKTIDWEDYFTHIHIPGVLKYLCK >Dexi8B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:8B:26145247:26148079:1 gene:Dexi8B01G0015320 transcript:Dexi8B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVDGAHDESIWAAAWLPAAAHRPTAVLLTGALDETVRAWRPDDLAAVGPPAKGHALGVVSLAAHPAGVLAAAVSLDSFIRVFDVDSGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKFWDTEKWQPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAIRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKTLIGAMSGHASWVLSIDVSPDGKAVATGSSDRTVRLWDINMRTSVQTMSNHSDQVWAVAFRPPGGDGVRAGRLASASDDKTISLYDYS >Dexi5A01G0039460.1:cds pep primary_assembly:Fonio_CM05836:5A:40078371:40085441:-1 gene:Dexi5A01G0039460 transcript:Dexi5A01G0039460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRPNAAAADPLPSRFARFRRRLRLHRRSRGGGDDDSSSAKAIAADEFAGIARIHIVKADMQFKDKFFACLSLGERTYRTETSDNTQTPVWNSHWYGIIADKLQEKKVIVETNGPHIARISVFETNRFSKNTLVGYCEVDLFEMLTKDPVETEQSFARRVLAIVDYNEDGTLSLSEFSDLMKAFGNKLAVAKIEELFRQADTNGDGIVDIDELAALLADQQEKEPLISNCPVCGEDLGKYDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSTYDVGLHSGSTAAHILVFDRRTKRMVEEVIDGKIVLSMRALYQSKVGLTLINTGVKDLLKNLSEKQGKKMNSPESAKDIPKFLELFKDQINMDEVKDPVESFKTFNEFFIRGLKPGARPIAHGDQDGIATCAADSRLMAFSSVDESTRLWIKGRKFSIEGLLGTSVHSDALNNGSLVIFRLAPQDYHRFHVPVSGIVERFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTTEFGKVAFVAIGATMVGSISFLKSEGDYVHKGDEFGYFSFGGSTVICVFEKDAIEFDADLVANSERSLETLVSVGMTLGVSQRTKGLQVPELQRCSLE >Dexi7B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:7B:19736457:19736792:1 gene:Dexi7B01G0013160 transcript:Dexi7B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPCYSLQFADGAGRAAYLVGRGGGSEQEVLWEVAAVNGRSARQEPMGEQERHADHGARRHPLPPSARCYLTHCLGLSLSPHAPSPGAMRSKQIEWSAGVPLKEEVDVD >Dexi7B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:7B:17493061:17496573:-1 gene:Dexi7B01G0010110 transcript:Dexi7B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWQAGWAAMASLRPLSAAPSFPPARAACFFRAPASWSWSGLSLSVTAGSNAYGCCGGCGALLPSAARGGARLRARWRSPAVRAAKVDEADRDSGADLGFGRPPARRKLRLRLRPRLRLLRWRLRRLSTRDLAADAGAALRRAFRRVPPAAAAPVVLALLLFAARLALPKNVAKEVDYSGLVAGLREGAVSAVAFEEDSRRIYFSRRAEDDGADEASDAGETESAATAAPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFIQRQLSGGGSADKKRKPRKQRVGFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTMLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGVPDLEGRKRILAVHLRGIPLEEDREIISDLVANVTPGLVGADLANIVNEAALLAARRGGNTVSREDIMDAIEREKYGVNGRQETDDSERQGLTKLFPWLPKPGIKSSSPDDFRGLMGYHTLS >Dexi2A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:2A:579633:583211:1 gene:Dexi2A01G0000960 transcript:Dexi2A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYRGIGNMEQHLVNATSATLLHKPRDLWKSPRGTVLRIEALAMVAIILSFFLAFFGSCRRWSNRWIVQKGVSAAHVLSLSLGTYSIGLMQSSSVKSEMYPIWAVSLLALSGCIDQVTSYSGLDYKGPLSKMIFQLCLYCGYVLLMSFSTISGVLGKSAICILSVVTFIKGFHRSLALVLPSRTRESFGYSYEVESAGAIHPVLDLKLGEGRLCLQLRKGPQVGRELLWGSGNSKSSVPLHDDVKTSVADFLGKIKSRKIGKEWVSLFADNGVPTYLLPYSRRSKSTETTEFTRYVLVWHIATCYCEVAEQEGTNISGGDREMQEKNRRVAKDLSRYFVYLMVSAPELLRRPVGETKTMFNAVVNEDGLRIGGGGLHAAMASEQTTIMGEVTAISAPTFDLGVYFGKLLCNKTEPPSGCTRRRSDDPWKLLALLWVQTLLYAAPYGDVEVHRQRLSQGGEFITHLWALLYHLGIDSWEHKKDTKQQQQQQQQQQQHQQEEEDDDEEEDEEEEEEEE >Dexi6A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:6A:27915994:27918099:-1 gene:Dexi6A01G0020400 transcript:Dexi6A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEIKAGDHIYTWRAGYTYSHHGIYVGGSKVVHFTRKKEAGAAGLDSAIAMSSLLSQGSDECPTFPDCGFQLPDSGVVLTCLDCFLRGGALHRFEYGAPPAVFLAKLRGGTCTTARADGGADAAVRRAMHLLQNGFGDYDVFENNCEDFALYCKTSLLPAAGGDDHGGGHGGGIAGRSGQAASAVGVPLAALLSTPFRLFAAGPLGMAAVTAGVYCAGRYITDIGVRKDVVKVEVENLAAHLGWRRAKAEQAAAMRKQQQQQPAPEKTTTSSRLLPLKRKREICV >Dexi5B01G0023570.1:cds pep primary_assembly:Fonio_CM05836:5B:25705662:25706372:-1 gene:Dexi5B01G0023570 transcript:Dexi5B01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVAITCKKCKTCVLGISSKIKGIKSLTYDDEKSTLTVVGEVDVVEIVAALRKAKHPAEVVSVTDEKKEAEEKKKKEEEEKKKKEEEEKKKKEACEAKKKCCCPRPCPICPKPCPPACPPRCPPPFAPACPPPYMKQCQPCYIPIEDECHVPNCTIV >Dexi4A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:4A:294718:296217:1 gene:Dexi4A01G0000430 transcript:Dexi4A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGLSSAPAKRLGASDLRRRRGAAPSMGQEVVAHVYDVANAGTDATVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCDRGTGVFNCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCNTFCEKLEVPKLPGWVNHFANAGDAALEVAETTAVKV >Dexi9A01G0036910.1:cds pep primary_assembly:Fonio_CM05836:9A:41244726:41250026:-1 gene:Dexi9A01G0036910 transcript:Dexi9A01G0036910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDTGDFLLDEDDDDFFVEYNPHPYRGGYDLAATFGTPLSPSANTCYPVSSSAVTNAPTVPSLPSPAPEPEDPPPEEPHGVKETPREPVHESPEVFPNGAATEGKVRRRGGWRGRGFWKKCVRAVDHLLLMGYKDPYVEQRIGMDSDVVPVCADGKECGEDALAVEVESPSPSVRRVEPHHGSEKLVHNNDLSWHSNYRDDANTYSQCMSNLYYMPSFAQSYGRPGVLGKPYWFPNFSYTESHRVEEFQHEPLLTYDIEHEISGQPFHCYHHQCYKRPLNVQVESPGPVSSQRLEYYENFSTYCGKSDDHIFETPAYAYNIQSYASIADVPIEPFMPSWPQNWGLYDTNTHGDPLENDTHSLISGEYGGMGSLFVSPFVPTEIEMFKRTPSDEHASFQHNWHNLSYQNVPMDDVSLITQPAVELASKKLIQEGWKSLNFIRFTY >Dexi6A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:6A:27514582:27515650:1 gene:Dexi6A01G0019880 transcript:Dexi6A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLEVAASALALANSTLEGFLKISAQLGADTDLAFIRDEFAMMQAFLKTAEKSRRSRPKRGKVMNTWVRQVRSLAHDVEDCLEDSTLHLDRRLRRRQSSSSLRFFFLRLRLLPRKLKARHRIAGDIKKLRARVEELSGRNVRYRLIDDVAPPASSSSLQPPADRQLLITRGRHDQMWSGGGGGDQRSGEGSLADLIAGGGDGIRVISVWGRDDHGRASISVVKEAYDDDDLGGGFTWRAWVKVTHPFSPNEFVRSLVVQFHAATSIQKFEAMSKMEKTMMAEPDGRPSLSLDEEFVMLVKENKYIFVLDDLADVEEWIWIKTYLLRPDLDKNGSRIVY >Dexi1A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:1A:28064546:28065603:-1 gene:Dexi1A01G0021230 transcript:Dexi1A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MYGGGGHYDGGDGAANANSLFGGGGFVPSQSTAAPESSGGGSGFSKGRNAQTLLPLTVKQIMDASQASDDKSNFAINGVEVSTVRLVGRMLGKVERVTDVVFTLDDGTGKIDVCKESAM >Dexi9A01G0030470.2:cds pep primary_assembly:Fonio_CM05836:9A:35487335:35487624:-1 gene:Dexi9A01G0030470 transcript:Dexi9A01G0030470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTWTVAPVSLRRPAKTWTTAYLSSSLRVAIRGGLASPGRRCEELKLITEEAGVLRAVWNAGDDPADSS >Dexi9A01G0030470.1:cds pep primary_assembly:Fonio_CM05836:9A:35486885:35487331:-1 gene:Dexi9A01G0030470 transcript:Dexi9A01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSSPQGRRRLSSPEKTSPALASSGEHAPAAQGGARSNPTSSDVHRIEKSSSGSMSMKDAVELLNDSPRPPVAWTSRRSKDGAQRALLLGHPPQEKLSLRDGEASMEEQASTQHGRARRGERRREWREEDDIGGR >Dexi6A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:6A:19542943:19546619:-1 gene:Dexi6A01G0012820 transcript:Dexi6A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKNFLVQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLSLADVETDKDDRPVYPQKILSVEVLWDPFEDIVPRQLKKAESVAKADAEVKPKKKAVKQLNVFSFGDEVEEEENEADSTVQAKIKSIHDVLDDPRFLKGEPEDIQLSKEQEEKKKDTVLSVRDALISKKVDSREPEHSPDSDDYPEDENEEDFDNRMRSQILKKRMELGDVRPRETSKADKPHRKDKELPDRRRDNDEDDDEHEHELQKSKKLSLKKKGVGSEASSERMSRADANLQLLNPAEQERHLKKQQKRRLQGREDETLAKLQKFKASFLSKNTPTDHVKEKDLATDKVEKEAEEDYTGWHTNRLSFLPDSSKDGMTRKDDPDDYVVVDPLLERGKEKFNKQQAKLKRREREWAGRSLT >Dexi2A01G0036570.1:cds pep primary_assembly:Fonio_CM05836:2A:46101720:46101968:-1 gene:Dexi2A01G0036570 transcript:Dexi2A01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSEAPTTVRAAGGKQWRWTAVRVPATSGLGLGAAGTWDSGQEGRRAESELRAIRRSACGLAAREKLEKGWVGGLLLGQS >Dexi4A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:4A:114498:114810:1 gene:Dexi4A01G0000160 transcript:Dexi4A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQERRAIDLEEGWAFMQKGITKLKNILEGKPELQFSSQQYITLYTTIYNMCTQKPPHNYSQQLYDK >Dexi6A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:6A:5788780:5789269:1 gene:Dexi6A01G0006120 transcript:Dexi6A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGVFKGLKVISQIFVVKEHQMEIGHPTDVKHVAHIGWDSPTGSAASPSWHWTVGKNLMDFSSSGAHV >Dexi4B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:4B:18484559:18487981:1 gene:Dexi4B01G0016390 transcript:Dexi4B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHQFVRRTPEGPPKDQRAHISAGLIQDVHELRRRIGRKRLSGQPTFSSAWAHFLQPTEGRAGPCLDRAQASGWATGLRAMYTGTQPTKQVRSARLHQRNHHSWMSFYHDEMKVILSCDCKGYGQNFFRMISHGFGFASSSNTLQGSCQHFELG >Dexi6B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:6B:23455097:23455862:-1 gene:Dexi6B01G0016200 transcript:Dexi6B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIQIAVAATSSSEANITLDGCESKCGNLDVPYPFGTSYGCHRQGGFKVKCDHAYIPHKLFLEGDDMDLEVLEISIQNRMVRARATVWPFAAGNISDMVVKVVPANLQPYVLSTDRNSIVIVGCGFQASVRTMMSSLQGETVFASCAPSCTQQKHQPNRCEDDGCCELAIPTGLTSFTVQFSWLDQNAHVQMSCTVAETRPEFGCISKNSECLDSTSSAYGYVCQCKDGYNGNPYLVS >Dexi9B01G0034770.1:cds pep primary_assembly:Fonio_CM05836:9B:36662432:36664676:-1 gene:Dexi9B01G0034770 transcript:Dexi9B01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFPQGDYIELHQKRHGRRPDHDERKRKRAAREVHKRSEQARKLLGAKGKRFAKKRYAEKAQMKKTNTIKQKRKEKAGKWDVPLPKKKRCSKSCELVNGKWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVNTPKLLTIRRMMVASMQSY >Dexi2A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:2A:10651523:10653190:-1 gene:Dexi2A01G0009700 transcript:Dexi2A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDARGGSLRLNLRSRKVCVIGAGMAGLAAARELRCEGHAVTVMEQRGDVGGQWLYDPRTDAGDRLGAAPPPVKVHSSMYASVRLISPRELMGFSDFQFLPTRHGGGDPRRFPVHGEVYRYLKDFCDTFGLMDFVKLNTRVVRVAMAPPRPPDEEKEGGDELRWVVRSVKIRESEDGITDDKVIAEEEEEVFDAVVVANGHYSQPRLPSINGMEQWQRRQLHSHSYRVPDPFRGEVVVLVGCGDSGLDIALDLCGVAKEVHLTSNSSMASATSTTPAMAKMLANHAGHLHLHPRIDRLCHDGHVAFTNGSIVVADTVIYCTGYDYSFPFLDTGGLLTVDDNRVGPLFEHVFPPAMAPSLSFVGVPKKVIVPWFFQAQGRWIARVLSCRSSLPEVEEMVRAVEEYHRGRENAGVHKKYSHDIGGVDPSEAYEFVAKYTDLPAMDDWKRELISSVLRNINEDREAFLDRDDDSENVRQGVERWLAMSAAEEEDAAANATSAGVDDSTSCLNSAL >Dexi6B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:6B:19441473:19442110:1 gene:Dexi6B01G0011880 transcript:Dexi6B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVGDVAAAAPAPVAERGCLLLFCVGALFSAGVAALVVARAACGERCPDVLLIAAMSLVVAALAMAVACSVAMLLLSHYVLDADARGQMAAATQEALAGGSTVLALGKLASAAFISAGLVALFVKVSPPMKRWERVSSVALDAGALCMSALFCFVVIPTFAIRMWRRV >Dexi4A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:4A:13747784:13750642:1 gene:Dexi4A01G0013650 transcript:Dexi4A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAATPDPSCSPFPSSRRSPASFVLTRRPAGSSGPPSLRCHGGLPRCHAWWRSTGRARRSSGGAGRVRHCRAVASAPDHMDELPARGRYHPFEEIPEAAKLDDGEPAHLTDAESARTIVEVNNKATVMISTLVGDGVHERIILPEFPYLTDENGDIYFEVDNEDALLESIMGEDKIAEGVFTVDEDDDDDDDDEDDEDDDMPSWSNLETVNSCHPLYFARMIVETATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVAKHLSNDEPKDKKESGAIFFKVEPKVKIEEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQVEEAAVIGVDCLGFDLRMCSGTQVQTLRFAFPTKATSEFSAEKQIHELLFPRNTHQEGQSPQAQHKS >Dexi7A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:7A:12870135:12872020:1 gene:Dexi7A01G0003410 transcript:Dexi7A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDKARGNMMMGTQLCSSRVLSLPRDESGDEELSVLPQHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDIDVNNSFCSSSDMGEKDMDYSSIEYHKPTKPRVRNTKPWFSCAKPSNQGNVQSTSKLRAKVNLRKLGTPTLWRYWKHFNLVSVNPNPSKEELFHGVQQHFQSQQLDEMQVILDFIQTAKRLKVAW >Dexi6A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:6A:387460:390121:-1 gene:Dexi6A01G0000630 transcript:Dexi6A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTKNNPCLIGDPGVGKTAIVEGLAQLIAKGDVPETIKGKKVISIDMAGLLSGQVYRGQFEARLKNLLREVKRSGKIILFIDEVHTLVGAGAFVGSKTDVADIFKPALARGELQCIGATTHDEYKKHIEKDAALERRFGPVKIPEPTVDETIGILRGLRQRYEKHHKVQYADEALAAAAELSHKYISDRFLPDKAIDLIDQVGSLASLRHAQKPTEYEDVKDLEAELSRIIKEKKDAVLNESYKRAKELRDRELELKSVMDKISKEMTTMTTDKVGPVVTEEDIRHIVSTWTGVPVQKVTTDETNRLLNMEETLHRRVVGQDEAITAISRAIRRARAGLNEPGRPIGSFIFAGPTGVGKTELAKAVAAMYYGSEDAMVRLDMSEFMEKYMVARLIGTPPGYSGHEDGGKLTNTVRQRPHTLILLDEIEKAHPDVFNLMLQVLDDGRLTDSKGRIVDFKNTLIVMTSNIGGSLVVADGHSSSSYGRMKELVGEEMKRHFRPEFLNRLDDMIVFKQLSKAEVKEIAGIMLSNVAARAKKKGIEVQVTESFKDLVVEEGLDTSYGARPLKRAIVRLLEDTLADKMLAGEIKEGCSVTVDVDLETEKVVFRY >DexiUA01G0028140.1:cds pep primary_assembly:Fonio_CM05836:UA:60533591:60533971:1 gene:DexiUA01G0028140 transcript:DexiUA01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAAPAAAAGFLLLQISRRCGPPPRTGLELLLAESTATGIGEFGEDWQGRQGLACSVIVDLSFLFVDSTSVMVDLAFFRRFDLRDCRYGYVESRFGFIRARFGAATQGRQGEQGSIRSGGGSIR >Dexi9A01G0049390.1:cds pep primary_assembly:Fonio_CM05836:9A:52041527:52048851:-1 gene:Dexi9A01G0049390 transcript:Dexi9A01G0049390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGHLRPASYLGDVSALSFLPSSPRPLLLAGTLSASSHPLSRPPRALLLWRRLRVCPSWRVMASNPFSLGLFGTGSELLVYEVDAAGHVAAFQVFDGVRVHGIEPRGGSPKCSSYSLAVFGERRVKIFSLGFAVSADGTQVGELRLELDQRLPGFDHWVLDASFLEVDGLLAIGLSDNSVALWDLTDRVLVSRVKSPEKCLLYSMRMWGDSVRELLLASGTILNEVNLYRASPVSVLPSILIWKLAPQNRESSLLCLNEGDTSDVNSREDKNLGAKEYMAVQLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWILRFKPESFVNQTASHENVEIIPKLTLFGHSARIWDCYVSDSIVITAGEDCTCCIWRMDGKLIKMFKEHIGRGIWRCLFDPSSLLLVTAGFDSAIKVHHLCNSTFHDIAEDKAISDGLNYDSEIFAVSSPSVSGQYGPLDSLIFTADPRGVLKLWNIRDALFSSTDVINAPQKCPLIAVFESSFGARIMCLDVSPREEILIAGDKNGNITAFPFPKILVLYDSGVTQQKVPPCDRFKGAHGISIVTSVHIKDSAFDHIEIHTTGGDGCICFFKYGRNVRKIEFFGMRQVKELGTIQSIYTNLAPESQLNTYAIGFTSADFIIWDLENETKMAQVSCGGRRRPYSYYLGPVPEYQNCFAFVKDHDIHIHRHWAPSQDKKLLPQVLHLQFHGREVHSLCFIDPASYSDLESSSDLLIATGCEDGTVRLTGDFHYRFLTGNSGRWHSSKLLGEHVGGSAVRATCFIPKTYTIADKSCNYSSNGISDEVSVENKAETFLLISVGSKQVLTAWILQPRIEKGQVCLNGLDVNSKQSSKNLESGDLGMSFRWLTTHTPPKLAGNRLKASHIKQSGEEGNSSVVQPNLGVMDHMENDWRYLSVTAFLLTHPVLRLTVCFVVVACSDATLVLRALLLPSRLWFDVALLVPQTSPVLVLRHVIINGSHYKDDVGDRYLMVSGSTDGSITFWNLTETVHGFMQLISETQPHMTIDCQKRPRTGRGSQGGRRRWRSMANHSLKKIDEDISPPDGRNLPYVAENSSETSGVENTQNVVHERSDRSNSEMPSSIQSCDIPELRPIQLLSGVHQSGVNCLHISYSTPDKSICIISGGDDQAVQCFHFTVGSLGDCSTTTARLNSHNGTLQTLYQHKVPSAHSAAVKGIWTDGIWAFSTGLDQRVRCWKMGLSGKFTEYSHAITSVPEPETLDVFHDRCASLAKMKYQIAVAGRGMQMVEFSPPEND >Dexi4A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:4A:15485225:15486461:-1 gene:Dexi4A01G0014070 transcript:Dexi4A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLGWFSSSGSNNWDLHAVVRFACTGSGRVAAPPPASNDSFSWLHTPSASEDSSAGLLTPQPQTDQLMDAAASQPLLTDPAVDDLCMQAFFASPKPETPQPSPPRIEAPPQRPPADGPPGKQPRTSGRVGGGRSSRSKRKSKKNQVNKEVTRVPVGGPPADLWAWRKYGQKPIKGSPYPRGYYRCSTDKECKARKQVERCRTDPGTLIVTYTGGEHSHPVPLHRNSLAGTTRNKAQPPSSPSPSGEETPTKPEAALSASATTTDTKSQGSPSSSTGGLSPSTPLRSPSLGVDYEEDDDGVPVKLLLEGTSTEMEGEDDVLLYLMPEEETAPGYGYGSGGYEEDVSCYSGVWNGPHQRRVPAEQTAAPRTQQ >Dexi2B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:2B:24503602:24510773:1 gene:Dexi2B01G0014680 transcript:Dexi2B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKAVEIGDIELIFLSAAEEEEEETQPKPIDNSGSVGGGASSTAVAAGPSKPVCYQSSHRSDTCEAAGNVCVLGRTQTIQISSLEQEWKVKPYCRKYDAFVLSHVKEWALRPLSTGSDALRCTDPNKSGYTDPVTGIDYVSDGPYVDDAGESHATEGAQAARRYQTVRSFPSGVRNCYALPTEAGEKHLLRAEAFYGNYDGRNSSAGAIQFDLYLGGDLWETASVGGAAAAYIYEAVFVAWAGWTPVCLVNTGHGTPFVSALELRPLGDELYPPVAPGLSMAMYRRVNVGANTSFIRYPMDEYDRFWWPPTAVASPGWTNLSTQLTIQPNPSFAEPSAVLQTAAVAAGNGTALTVTTWQDASRAFMVFLHFADFQNAKFREFDIYLNGVLWSSSFDPWFLAASCVYNPTPYNSTDGSYNITLVATAKSVLPPMINGFEMYYVIPQVVPTTFPDDSKSPPYLLYLHTLIMEREASRLHTAAATRPFGAQAPTSPGCAQVDAIMAIKFEYGVKKNWVGDPCFPTNYTWNGVKCTNTSGNTTRITSIDLSNSNLQGAISTNFTLLTALQNLDLSYNNFSGSIPDPLLNLASLKVLNVSGNHLDGNSLCKKNSGSLIFRYDSGTNMCRSQSRKNTAVLIIIVVVVLVLVLAAICLAYFIWKAKRKATVSINDHTRNEQLENAPRSRTSEGDHLQDSENRRFMYKDLEKFTDNFKRFLGQGGFGLVYYGHLEDGTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKDHLALVYEYMSQGNLHDHLKGKISAAETLNWGTRVQILLEAAQGLDYLHNGCSPPIIHRDVKSSNILLGQNLQAKIADLGLSRTYLNDAQTHISATAAGTAGYMDPEYYLTGRLTESSDVYSFGVVLLEAATGEPPMVPGHGHIVQRVKQRIASTGDVGSIADARFRGAYDVSSMWKVVDTAMACTAEAGAGRPTMADVVAQLKDSLALEYAREDECSAPVSVAASDSAGLMSAFGPSAR >Dexi1B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:1B:19723374:19724222:1 gene:Dexi1B01G0013720 transcript:Dexi1B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGVVKHILLARFKEDVTPDRLDQLIRGYAALLSVVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGIKEYIEHPAHVEFANEFLPVLEKAPIIDYKPTTVN >Dexi1B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:1B:4607780:4609733:-1 gene:Dexi1B01G0005630 transcript:Dexi1B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATTAAAAAVSSNDRAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVQKHLQMRIEAQGKYMQSILEKAYQTIASGDVTACPAGYKSLGNQTILDVCSLKDIGPASMGFASLQDLHMYGGGHLDLQQQLMDRPMEAFFANCDIGSLGKKRAHNPYASGKSPMMWGDDEQCKLGIDQLQMAPPMMDAGGIDVMDSIADVYGDAKPMLSGDSTGSKGFDCNKLERPSPRRPHMGNERMGSPSVIGGQTRNMSYG >Dexi1A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:1A:26963468:26964071:-1 gene:Dexi1A01G0020000 transcript:Dexi1A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKKMTRTISRQLSSGAAKLWRQLSLDPHTPRRGGPGPGAGQTRFAIARQSSLDPTPRGGAEGSSAHQQLAVPENLDATMRLLFAACQGDAGGVEELLRSGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTTKSTATEQR >Dexi8B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:8B:8879116:8880438:1 gene:Dexi8B01G0007400 transcript:Dexi8B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGVISSMCAMAWLLVAGIIASIPSVESLGVCYGMSGSDLPPATSVIAMYKSNGIKAMRLYCADKPALEALNGTDIRVLVDVPNDVLSNLSTSRAAAAAWVRDNIEPYHPSVVFRYIAVGNEVAGDAAINFLLPAIENVQSAITDAGLDKKIKVSQAIVDPCNLPSDGKFSKEAHKFMGPILKFISRNGAPLMVNVYPYFTYAYNPGDMDVRYALFTAPDTVVKDGKYMYQNLFDATVDSFYAAMAREGVTGVKVLVSESGWPSAGGKGASPENARIYNQNLIDHVRKGTPRQPHPIKTYLFSMFNENQKAKGVERNWGLFYPNMKPVYPISFKRSSDTTDTDDSPAPAPTLAPARRGRY >Dexi2B01G0023430.1:cds pep primary_assembly:Fonio_CM05836:2B:32894908:32896158:-1 gene:Dexi2B01G0023430 transcript:Dexi2B01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTDTTSLTDSSWLHHPALADDTAARHRSPAGVRGGLGSFAARAAESLGSLATRAAESLARGLVTCVFATVGTVLGAITGGLIGLATETGVVRGTGVGGITGALVSMEVVESSLAIWRSDEPAIWSVVYVLDVIWSLLTGRLVREKVDPAVLNAVESQMSAVEAPVGHGDGADIFETGGTTGMPKAAIDALPVVRFAERGNVDASGELVACSVCLQEFEAGESARSLPVCSHTFHLPCIDGWLLRHASCPLCRRAV >Dexi5A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:5A:8785856:8792099:1 gene:Dexi5A01G0011670 transcript:Dexi5A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTAVFSNQSSGNIQPEVKNSFIAPTEGHNSGDSRSDVFHKVKWQKSDKKMEASKLSKVDPRDQSTANDSPPEAKSFKSPGIVIVASDTKTSNSPSTSSPVLKEDSEGLAGVSSWSSKNDLTKLELVQRAKWLKSDKKMEAPKSTKADSHGETFQEITGHVKCCFRFEDIGICSFNATLSIQSRPFILQGSFAINRFRFNEKSTTVTRVSIIQTGLR >Dexi2A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:2A:2857084:2857387:-1 gene:Dexi2A01G0003280 transcript:Dexi2A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKPQWVIFDEFVLTTRNFIRTVTDVCGEWLIDIAPHYYDLNNFPSCKAKRLLAWLYRKLERERACHLSLM >Dexi6A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:6A:12900218:12901789:-1 gene:Dexi6A01G0010480 transcript:Dexi6A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQLSEVDAADDGDSSPQPPPTKPAVKKLLRFNSPLAQVSLIGLVCFCLPGMYNALNGLGGGGQADHTVADNANTALYACFGVFGVLGGAIHNLLGPRLTLFLGSLTYPLYVAAFLNYNHHPTSQIFPVIAGALLGVGAGFLWAAQGAIMTSYPSPNRRGTYISLFWCIFSLGSVLGGLLPLSLNFRRGTTAASVNDGTYVAFMAVMLVGVALTLLFLPPHKIVRDDGDTAATISTTSTSAAMEAGEVLRLFGDWKMLMVLVPAWGSNFFYTYQFNNVNALLFTLRTRGLNNVVYWGAQMLGSLGLGYLLDFSFGSRRKRGLVGVAVFAVLGTAVWGGGFANQLRYVDGEWHDLIDFKDGRRYAGPFVLYCSYGMLDAMFQSLIYWILGALTNDSQVLSRYAGFYKGVQSAGAAVSWQVDFHKTPLMTQLIVNWVLITVSYPLLALLVFLGVKDEDSLVSSSLEDGKDNKDTKLSSLTGFH >Dexi5B01G0031320.1:cds pep primary_assembly:Fonio_CM05836:5B:32116053:32118044:-1 gene:Dexi5B01G0031320 transcript:Dexi5B01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKNPYSAMPSEGSDSTTAMETPLLLEHAGQAVGSASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPAVALIAGVAAMANAAVSFMLRYTRVGAPSYAALMGDAFGRPGAALLNVFVAANGFGTLTVYLIVVADVVSGAAGGGDAHAGVLTEWFGSHWWTGREVVLVAAAAILLPLVLRKRVDSLRFTSAISILLAVVFMLISLGIAVYALFKGTATMPRMLPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKVAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLFFSLRVNVDELLFPGRRPLATDTRRFVSLTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVTISLIFPGAIVLRDAHGIAKRKDKTLAAAMIILAVVTSSIAIASNIMSSISEKVRVGYQASR >Dexi1A01G0024410.1:cds pep primary_assembly:Fonio_CM05836:1A:30974163:30976707:-1 gene:Dexi1A01G0024410 transcript:Dexi1A01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGSLRAPLGSRKARGGGNDARGLVFQITTAVATYRRLLNSLGTPKDTPTLRDQLQKTSQNILQLAKDAKDQLQKAAEADKSIDTSADKRVADMKLAKDFAATMEEFRKLQNIAIQRETAYKPVVPQNAQSNYTADDRSAESGNMPEQRALFAESKRQEVLQLDNEIVFNEAIIEEREQAIQEIQQQIEEIDMNIENSADATKEAKKEVTKADKTQKSNSSLLCLLMVIFGVVLLVVIIVLAA >Dexi8A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:8A:17909680:17909922:-1 gene:Dexi8A01G0010500 transcript:Dexi8A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCWMPARWEGWRCWWEGLSALGGRREVVAAALGGAGKGCSGAGWRGCGGTGWRGEGAAARRGWRGCGXXXXGDAATLG >Dexi7A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:7A:25601638:25607167:1 gene:Dexi7A01G0015740 transcript:Dexi7A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASNSSAAAAFFGISGGDQQDQIKPLISPQQQQLAAALPGVAGAAPAPGSGGQGAPAAAAQPPPKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQPQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTGHLYGATNAGNMALSLSQVGSHLTSTLHDSHHHHPSPELLRLGATGGGGSSIAARLDHLLSPSGASAFRSAQGAPPSSAAFFLNAGAGAGQDFGDEAGNGPHSFLQQAKPFHGLMQLPDLQGNGAGGGPGGGSGPSLFNLGFFANNGNSSGSSHDHASQGLMNNDQFSSGGAGGGGGGSEASAGGIFGGNFVGGGGDNVPTPQGLYHGGDQSGTTMLPQMSATALLQKAAQMGATSSPNGAASMFRGFVGSSPHHGSRQGTTPHQMEQSEANLNDLMNSLAAGGGGMFGNGGGGGGGAGMFDPRQLCVDMEREVKFGGQGGGDMTRDFLGVGGGGIVRGISTTPRGGGGGDHQSSSDMSSLEAEMKSASSFNGGGRMA >Dexi9B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:9B:6074535:6075360:1 gene:Dexi9B01G0009860 transcript:Dexi9B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSLSARRLPPKPPGPATPNPYPLFANPRLPRRRLALSGAGANQAPRRAASSPAAAAGEGPSGSSSATEDPVLVRVADDGVPLEGVIQIEKPGDAGAESKLVSYAKLGLVAGGDVLCLLLFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGSAVITAAKSWAVGIPVIIYLPILLLQS >Dexi9A01G0042530.1:cds pep primary_assembly:Fonio_CM05836:9A:46126399:46151946:-1 gene:Dexi9A01G0042530 transcript:Dexi9A01G0042530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAEATVVRSGGDGDPGAAAIFLLLPRDKGQIFLAGRDGHIYELQYTAGSSWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVIDDERNTIYARTEGMKLQLFDLGANGDGPFTKVAEEKNIVDPRDASYDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVLPLPDAAFTMQSLYADVECFTGFRKPSEKASIKLWAKGDLPTQHILPRRRVVVFNTMGLMEVIFNRPVDILSKLFDGNTLRSQIEEFFTRFGAGEAAAMCLMLAAKLLYAEDNLISNAVSEKAAEAFEDPGLVEDGDQLAMRLISALMEYYVGPEGRGTVEEISTKLREGCPSYFNESDYKYYLAVECLERASMSNNPDERDILARDAFNLLNKIPDSADLSAICKRFENLRTLIELGLENELLEYGGSDLVSFLQSAGRKHHEEDRLSSGEELVGDDDVARALLGACKGLPEPVLAVYDQLLSNGAIMSSLNLKLRLLRSVLAILREWGMSVIAHRVGTTSTGAPFFYDGTFSLNKTGTATQSVRDKIISLANRLPIMRADAAALPHCLPEVGKQLETQNRGFIVKGASVHSAAARCPAVAMSSVAARALKSVSRAAFSWKPTGRPQRTLAAAVSMSGVGLHSGARVTARLLPTYAGEGRYFLVEGEEEARVAAEVGNADPRSQLCTTLRRGEGGGPRVRTVEHLLSAMEALGVDNCCVEVSGGDEIPLLDGSAQEWVEAIQSAG >Dexi3A01G0022390.1:cds pep primary_assembly:Fonio_CM05836:3A:18046787:18049060:-1 gene:Dexi3A01G0022390 transcript:Dexi3A01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLPRLPAPQYASQSQSSIPSLLTSWPCGCCIFLRVAQVHQFMEIKRKDVWGLLFVLLLGQLVAFSMAISSFTSSLIANLVVKAYQYSYITSITLLDCWTVVWVIILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDAETTDEQDPGKIPLLGDALVIAGTVCYAFSNVGEVSYVLYIGLFAGYAMAFSVFYTVTPYVLKSGGSTLFNLSLLTSDMWAVAIRVLFYRQQINWLYYVAFGVVAIGLIIYSMK >Dexi3B01G0038600.1:cds pep primary_assembly:Fonio_CM05836:3B:41393887:41394393:1 gene:Dexi3B01G0038600 transcript:Dexi3B01G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARVDRLDLVLGYLEEMMTQQHHHHGDGSSWSPSAASSSSSAGTGTPRGSKTWRRRPAKEALEEAQTKGTLVDRIAFLEDRVLKMEEDMVTPENTKMTRKTSSSKKRNKQGGLKSLVKSCVRGKLKTKE >Dexi4A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:4A:10327135:10329663:-1 gene:Dexi4A01G0012010 transcript:Dexi4A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRALHLLTANRGISSTPHLSSLGWFDKIKSTFTGKKPEDSDTESFTLIKFADSMETARKLGTFKNFVAGRASEATVVSAFEKHSTVLRYLGAIDPTGEKLQNNDKINASKHCNCTIADVEHILAKYTWAKEAQKKMAKLKEEGKPLPKTFNEVLWSFMK >Dexi2B01G0036150.1:cds pep primary_assembly:Fonio_CM05836:2B:43049008:43051449:1 gene:Dexi2B01G0036150 transcript:Dexi2B01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRADDADMDEGFGAGNHVRRASSSARGGGSPPGSPPRPHSPRMFVPQSPVTPLQRAAEVPPPVFNQILMNQQQDDSDGPPQKKIPTLLTKPVEKSGKDHTILLMLSSGVHRYRFIVDGERRFIPDIPFETDITGQIVNLVDVHDFIPESVESVSELTAPPSPDSSYSFYVPGEKEFAKEPPQLPAQLYLGVLNSRGSEEGCARPRHVVLDHLYIEKGWGSQPLVALGYTHRFRSKYVTCVMYKAIER >Dexi3A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:3A:2889706:2890023:-1 gene:Dexi3A01G0004410 transcript:Dexi3A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWRKVAAAALALWLLPAVLLCLPLLCCAARFRRRLRKKLQSMRATRGYCAARGGHSEIITTAVDAGDRAWLLHQYLHDQMELVVAPGET >Dexi4B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:4B:3963153:3963911:-1 gene:Dexi4B01G0005570 transcript:Dexi4B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSFTTSSRSAAAKKCDGDDAAKKCGDAAGKEARREGEETTAAAAQHKKASADPEADLGIVFSTFDHDGDGFITGFELEESLRRLGIAVSADEAAAMVARVDANSDGLIDIHEFRELYDSIPKKRSHHHRPSGDLGAAREVPVEGAEEGDGEEEEDAGEEEDERDLRDAFDVFDGNKDGLISAEELGTVLGSLGLRRAGDGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >Dexi7A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:7A:29889289:29890271:1 gene:Dexi7A01G0021190 transcript:Dexi7A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGCPERCGNITVPYPFGVRQGCFYDGFNLTCDEARHPAKLFAGEGTEVEDISLLDGTVRIRSRMLRVSRNATSAELNGTWDAGVGWRLAVSTRYNRFVAIGCDLLASLFATRVSVGPSGGTLASGSYASVCAALCEDGTQTSDTSCSGVGCCQTPIAAGLSLYGVQLRDVASSSGYGVAFIAEQEWFSRNGALLQLDYFGDQRNIVDTTEIPVVLEWSLDRILDPDIFSGWDDQAGSMRCTSVNSIIIEDNDGIGHDGGSRCNCSEGYEGNPYIANGCQASPSIFSFTYY >DexiUA01G0000750.1:cds pep primary_assembly:Fonio_CM05836:UA:2483651:2490967:1 gene:DexiUA01G0000750 transcript:DexiUA01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRSGQQPPPPPPPQQPPTWQQLYATQAEILRNLQHQQQMMQQQMQQQGGQHAPPPQVARYEDFLATHPPVFSRAEEPLEADAWIRSIESKFSILATPCTPNRKVVFAAQQLHSTTLLWWENYLALQQENNVIEWEEFKTAFKAHHIPAGLVERKLTEFLALTQGTNTVLQYAQAFNGLCPYAGHHADSDVKKMERFRRGLNTKLKAQLTTTRVATYGELEDANMVHKAEKKRKALAGPSGGQPQRFRRWVARPPQQGAPRFPPPPQQQQALRQNCFKYGSNSHFIKDYPQNKPQNQRLGNQQNKGMQQRVQVRQGRLNYTTLADLPEGAPDMTGTFPIHNQPVVVLFDSGATHSFISTSALYLPHQNCANACAYTMVETQLKDIPVVCEYADVFPDDLPGMPLDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPCIDVLFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDIIIYSKNEEEHAEHLRIVLQRLRDHQLYDNVKFLGHTVSKDGISVDPTKVQEVMDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRVAKPMTKLLKKRVKFEWSGKCEEAFHTLRKHLISALVLAQPDSTKPYDVYCDASGTGLGRVLMQENRVIAYASRALRPHEQNYPTHDLELAAAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKARCHCLSVESYADTLCHEMAKLNLEIVPQGYFNHISVEPTLHDQIVEAQLNDAKIKILKRKLAKEKGVMWFGHRIVVPKNTELRRKIMNEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRAKASSLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPHGHDSIWVIVDRLTKTAHFISVNTAYAARRYAEMYLERIVCLHGVPKTIISDRGTQFVACFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRAFVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPNLVKDAEEKVRIIRENLRTAQSRQKSYFDQRQKSLQPEVGNQVYLKVSPTKGVQRFGLKGKLAPRYIGPTMWTGSKLSAVHNVFHVFQLKKCLRVPTEVVEQEELSVEPDLSYDEHPIKILDEKERQTRRREVKMYKIQWSHHSEDEATWETEDYLKKNFPDILPKTKRRDKSHVAAGSGRQRPPRGRRGGDLAEPVRAAAAASHFPTHMPAPTPGLFPRSVAVVASPLAAAAHAEPSFTATDSSPLRTKQAVPEDLHSSTPQSPSCNLRPRSARAVKAINGGSDSPSSRARHPRAAQPSLAPQTAANALPFSSTSRSLTPSSTSGRPPRAIPNQNRALLAGNRRDSRRCSISAPELHLHDEPHLPAISIAAKYPDELRLTLVKLSSHAAPRLDPCSWLSTSPEQAAPPLTVDEPRHCTTGLETYPKQRAVSPSSFPPTFSVLVRRSLTGVTTPTSQGPKGALTDGTYNLVPVNAEEVPEGGADIVVLDPEPHSGLAQEGKPWSIT >Dexi5B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:5B:6991028:6996550:-1 gene:Dexi5B01G0010050 transcript:Dexi5B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKHAFVDYCLLKKDLKRVQHALLLAAADGRCCEAGMAGTQQQMAPPTATDPHAERAASAAPLSQWLCHNLPAGFLFGSTNDHRVIHVRRRMAAGVASRGGGGGGIGGEEYETEVVVGELAGGEGDAAAREFFARLDAQLNKVNQFYKGKEKEFLERGESLRKQVDILADLKAASCNREDSSSSVSSSTSGGCSEDDSTRCAMTSAAGTDQLIEQETVTPTKENPGGAAQEDRQLDLEGSGTFGRSAPVPEPTSLGRSMSGFGRKSLKISIPLTTPSRTISALADILRDELAAGQSPSSRNKCSLDGGAVRQSISKTKLRHAEKMIRGAFVELYRGLGYLATYRSLNMMAFVKILKKFDKVTGSQALSVYLKIVETSYFNSSDEARPRFALFHTHSALKLMDEVEEIFVRHFAGDNRRKAMKYLKPAAQRKESHAVTFFTGLMTGCFAALFLGYCVMAHMAGLYLHAATRRREAVAVVSYMETAYPVLSMFALLFLHLLLYGCNMVAWRRCRVNYGFIFESSSPPVAGGGGGGELRPRDVFLVCAASMAVVAGVMFAHLAVVLRAHHHVSPHVQAIPGFLLLVFLLLLFCPLNVVYRSSRFRFLRILRNIILSPLYKVVMVDFFMADQLCSQVPMLRSLEYLACYYISGSYMTQDYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDEGDTSHLVNLGKYVSAMLAAGAKVAYEKDESLASLTLLVAVSSTATVYQLYWDFALNLVLRLAWLQTVIHPNFGSLDARVTSFLLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDDD >Dexi9A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:9A:5977850:5978195:-1 gene:Dexi9A01G0009920 transcript:Dexi9A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNFSLAAVLLLSGTTAEACNLMCALGTYITCSNSTDKYFGCACQCVPPGCSGAVVHYADNSTQRC >Dexi2A01G0029570.1:cds pep primary_assembly:Fonio_CM05836:2A:40652992:40656036:1 gene:Dexi2A01G0029570 transcript:Dexi2A01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALADRSLRRRHGPPDRISDLPDDLLHHILHRVATTLAAVRTSVLSRRWRRLWADLTELRLDSVLAPVPGIGTVDGALGACRVPAVTRLSVSLDHLPPWGSGLSADRVAPWLRFAASRVAGDLCILLPPAATRGAVEVAVEEDLHLPVCERVTEITLWLGGRFRLRMPAAAGAFSALTYVNIRDARMESGELEEFVSRGCARLEDLAVRLPPFLVESVVCIRSDSLRRLDFHIKNTRRLEVTARRLDRLSMSHAVKAYIAAPELGEVAWEDDAFNPLRHKFTETGRHLRRLVLTLSSPTAPLLQRFDTVDELIVDIFIPHGGNEYKSFVDDVDMLPSCDILVVHSTSIAHGSIPSLLHLLRRSTGVKKFVVYLEYIIIQLPLWFSKEP >Dexi1B01G0026810.1:cds pep primary_assembly:Fonio_CM05836:1B:31613832:31614134:-1 gene:Dexi1B01G0026810 transcript:Dexi1B01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSTKQEERGDDSREGRRLPCCLSCTVCSSVQMEERSDGDEEEQWRWVAPHASEKGREEPREEGDRPVDHGACCQQGRRGRASRPRVPLAVRKKGRAR >Dexi5B01G0038290.1:cds pep primary_assembly:Fonio_CM05836:5B:37541501:37544969:-1 gene:Dexi5B01G0038290 transcript:Dexi5B01G0038290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLSSTLHRAAGAAAQLSRAGWSKATSSEPSPLRRFPRQLDYESDPLLDEGKALEKESSLNVAVSQLATSPQGRMVEIFGKEASGKTTLALHVVKEAQKNGGYCAYIDAENAFNPSFAEAIGVDSERLLIAQPDSAENSLSIVNTLVGGSVAVVVVDSVAALIPKCEMDGEIYTNSEDIQSRLKTRALRKIQYTLCRSESLIVFVNQVRTKLSSNQFPGIYKEVPCGGNALGFYSAVRMRTSRRQLQYSEDQATGIGISVQIIKNKLAPASLKEAGIDIRFGKGICHEFEILEMASSVGVVVKDGSGYWINGEFLPGKAEAEKFLHENAGVADEIFNTVRNQFLQR >Dexi6A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:6A:4995320:4996087:1 gene:Dexi6A01G0005500 transcript:Dexi6A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAVATAELRAATETTGRAPPPPKGHPGKPIEGGGGKVHAAVASMAKAGSTTKSGTARRFADGRIRWFNLARPRNELKD >Dexi9B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:9B:6342748:6345851:1 gene:Dexi9B01G0010200 transcript:Dexi9B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGCQEAAGARPPRGEEGDRAFSSSAAAPALSGRRDRPSAHLQALRDGMNIGKGNHAAPQPQCAGRRALFNAGTSTCTERTIQMPDQVSWGETDGWDADAPRHGVRVMRHVGAGQRGSGCPSVPAPARQELFPQ >Dexi3B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:3B:14599190:14600459:-1 gene:Dexi3B01G0019590 transcript:Dexi3B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGASGPELSTDNVKGIGLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLLEPLWWVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSIREIWNMATQPVL >Dexi8A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:8A:23155635:23159084:-1 gene:Dexi8A01G0013150 transcript:Dexi8A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCNREEAFRVREIAVKKMENRDFVGALKIVHKAQKLHPELENVSQLLTICNVHCTAELRVNGGIDLYGILQVEEGADEALIRKQYRKLAFALHPDKNCFAGAEAAFKLVAEAHSVLSDPTKRSGYDLKRKNGLPKPATKQQPSKRTEKQSRPGSEETFWTICSHCQMRFKYYISILNTMVLCVTCKRNFFAYNMNERPMPASSSVPNGSQFPNKQPHTHNQQGHPVKPSHAVRGTDVKLNSSHEASHMFPNHQHGVPCQNAHKPSAGMNVAQRDEYMKEYSRTGCDEKPNHPDASRGKFEYSTVTQDKSSVQTENETMHGRSIPEVHPNILNRQNQREDASAEPEAMTVPCSSKLSSEGGKTDGDPRINLACRRSMPDPVDSNIADRSNLDREDAPTVPSAAGSSGTQRSVRRKQDADGNIFLNVDTKKRQRKNDLPSNVKQSDPEAMNVPYPAKLPSDGEKADGDPRINVACRRSMPDPVNSNTAARSNLDREDVLTVPSALGSSGQRSVRRKQDADGNIFLNVDTKKRQKKKDLPPNVQQSNPPHVSSNVDILEKKKTTDTCDQINIEERAPESDISDQDNIKEEAPETVSKKKPSYSELVTFPDPDIFNFEKFRDINLFAVGQIWALYDNLDGMPRYYARIKQFEASNFKVHLTWLEYDAMDEAEENWSDEELPTACGNFCLGKGTDISHDRSMFSHIAAWTKGKKRNSYVISPRKGEVWALYKGWSMEWSSDADKHRSYEYEVVEVLSDMSVNGGATVFPLVRINGFVSLFATAKDKSSFVIPSSELLRFSHSIPFYRTNGNEKVGVPGGFLELDNACLPADLDAAFSSVTLDSYMSLGKKESSTFVGMSTDNTSRRTDPGDEQIAQENHSEAHACHPIKWEVTITPEVGEIWAVYMNWTPDWVPSSGGACEFAICEVVKHTEASTKLIFLAQVSGYRSVFRRDMQKGNLEIPVQERLRFSHRIQSFLLTEERGGKLRGFYELDPASVPDAFLYGDT >Dexi5B01G0026930.1:cds pep primary_assembly:Fonio_CM05836:5B:28593958:28600140:1 gene:Dexi5B01G0026930 transcript:Dexi5B01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMPTDGGGGGGAIVPFRGEPDQGTPSPPAVRPIRHGVAPPIFRVYISWSSGNLLQVACLRPPNSEGRGRSEEVAGSVVEVNLSCGGSGGAEVEEEIDEAEMRRIEYGSVPAFALLQSRKNALADAAAMSRLSSVPDYADWWQYVLEYSKAVGNLLGSPDSPLAFMIEDPKTILKVREKPTNLKAAWELLEIFYVDKQLQSWLPERLVDWLADFDSLLSGTESTVYSKLSNFQKKLINLQIIEDDPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRLRPNLPTGKLGQCCKTRPDFVKGFEGMHHLAQKCIQLKPSSGTNGLTGLVIGVLSENPEVVLAECTKNFGPWLVTHAMELLTADNDYADTMLHEERPNLGGISIDELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLEICRLYELDDVSTNIMKIAGIYHWKHGRKGAGVYWFQQAHDKVRLDRIAQQLFERIGKSVTDDSFKQWEGLLELLGPDIGSAGGLEFLHRYRDFKKSLQQALDGRSGEAARQTADFLIQLMRNPSTPQRFWLPLLHDSVELLNCKPRPLINVAETTLLLNKLQELSLAKLRPDFSNNHLPSHALSSVRLALASNLARAILEDP >Dexi4B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:4B:729379:731322:-1 gene:Dexi4B01G0001140 transcript:Dexi4B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVAALLLLLLLPPLAAAASEEAAAAPAEEVGAGATTEAALLERQAAQLARLEELAESLARSVHALESALARSADADQPSPPGAASSTAAVGDRRAPQGVAVTKRRPVWSERFHFAAAARLGEGAYAAAATALPYEDADGLTKYFAVGDSRGRVFIFSAAGDALLELEAAAAGESRVTALLAYLSPRRTDCLLFTGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGIDAAPVVHLEAHHAGRARYVLSCDAGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGTRVKAYSFDPSERFKAYGFTEAGDLVHVLLLGDVSSLKCRVRAVKKAEIDSPVAIQTIKGYLLVATNDKILVYNTSSQYYGRVGAPRPLFAATIKDIKTVFAASGGVLPPAPAGKPVIAADREKLVILGLGDGHIAIYRSNFPVYRPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWPPEETFNTSVTAPTGGLLNHPTSDRAFADSAARTSDRGYVDGTARAGDRSYVDATTRTTDRGYADATRAVDMRGGALRSAPRRYVSPTRYAGTSGIQYRPATAESGIRGTPELKYRGPGMEPPGFPKKREALFSNNQAVVDDPVD >Dexi3B01G0029260.1:cds pep primary_assembly:Fonio_CM05836:3B:28401728:28409528:-1 gene:Dexi3B01G0029260 transcript:Dexi3B01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADANPPPPDAAASPIASISPSSVGAGDAADADTIEKQLAGLGIAAAGGGGFPEPSGWDDVPDSVPVPAPVAIGGGDEFAGEKVRGQPAPAGAGSADAKVRFPRRPGEPDCTYYLKFGTCRFGIKCKFNHPSRKKKSSRVRGSGSSGSNSSSNKASSPDDDQAPREEYEGLVPDIADSVVIGTKNGQMEPKEKVSGKSEKSTYFKKAEETNITNQKGAKDKRKETFSEGSAQEECKYYSTPGGCKFGKSCKYLHREGKEGKAEVEKVELNFLGLPLRPDQPVCTYYGRFGVCKFGPACMFNHPFNFGPPVPAAGPPLPGQYHPTPGNFTV >Dexi5A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:5A:8806739:8807708:-1 gene:Dexi5A01G0011690 transcript:Dexi5A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRLAARPPGRRTAAASAEGGVSKERKRRTDRKRAGEAIKRAHRAAEPVADSGTVPSGSNVEKVIEEGSVDDVEAEYLEKAFAWPIEKQFMVYRRLYKKRANMALVLYHKNHPEEQYQFLRVRLNEVYSFIEYRLQDPYHMHMNFMAQDVKTGLEKTFFAELCMFNDVDDGNSGFVATACEIVDGNSEGKLLLLCVFLSS >Dexi9A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:9A:9176285:9178597:1 gene:Dexi9A01G0013950 transcript:Dexi9A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAFSPLHCLVFGLLLVQLAGSVAIPPSGPSNQQHEQEPSKVYIVYTDHLAKPSHFATHELWYTSMVSSLSPSAANDSSSRVFYLYDTVAHGFAAELTADEAQRLSNTTGVSGVFEDGVMQLHTTRSPSFLGLDRDFGILPDTNFGDDVIIGFVDTGIWPESASFDDDGLAPVRRSRWRGRCEDGERFNASMCNNKLVGARFFPNPPGRSRDYYVDFQSPRDKAGHGTHVASTAAGSEVPGAELFSFAAGTARGVAPRARVAMYKACEYKNGCSYASVVAAVDAAVKDGVDILSMSLGGYHEPDFYRHPTSVALFGAVRAGVFVACSAGNDGPMASSLGNVAPWITTVGATNLDRVFPATIVLGNGQALVGQSLYTYTANQTPMVRLFPSNCTRTDLVPDMIIGKIVVCTDVSPLTGMAVQRAGGSGLISVDTEDWGMEGLMAAAFTLPAVTIGAREAAKLEAYIRSDPFPVASFRFTCGTSVGESRAPMVASYSSRGPNHIVREVMKPDVVAPGTNILAAWPDETLLTGPRSASFNIISGTSMSCPHVAGVAALLRNRHRDWTPAMIRSALMTTAATLDTQGRPIADCAAGALGGGATPMAAGAGFVRPQQAMDPGLVYDAGEKDYVALLCTMNYTDAQIGVFVPGFAGCTATLPGGVVGGLNYPSFVADLSNGNGTGFRVLARTVTKVSEGPETYTAKVVAPDQLVEVTVTPATLEFAGQRNERKSYSVVFRTKKRPAAGMAQQFGEIVWESDVHRVRSPVAFIWD >Dexi7A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:7A:2504884:2505721:1 gene:Dexi7A01G0000910 transcript:Dexi7A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGVAKSLVEGTLIKAQSAIEEETKLRQSAQRDLVFITGEFQMMQSFLKAINKEHVKNNIVRTWVTQVRDLAYDVEDCVEFIIHLDTKPDWWRRFIPPCITKPLPLDEAVADIQQLKARVEDVGQRNARYNLIISNSAGPSSVMEMKQSAPGAAVLGMLSGDNMTRKYRVLEDLTRLITKNDGGLEVISVWSRGRELETASIIRNAYDDPEICRKFQFRAWLKSNKEQYEALTFSKEYRRLSKWKSIS >Dexi3A01G0033700.1:cds pep primary_assembly:Fonio_CM05836:3A:38448703:38450290:1 gene:Dexi3A01G0033700 transcript:Dexi3A01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPPAPAPARPRLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPILGFLLLPILWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFTKWISGSLDNALYPVLFLDYLHLSLPPPSRSFAVVALTAGLTYLNYRGLHLVGLSALVLTAFSLSPFVALTVLAAPQIRPSRWLAMDAAAIDLRGYFNSMFWNLNYWDKASTLAGEVDEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEVGLRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSNHGTPTFGILCSAAGVVVLSFMSFQEILEFLNFLYGLGMLVVFAAFVKLRVKNPDLTRPYRIPVGTLGAAAMCVPPVVLITTVMCLASARTIVVNAAVVVAGVVLYYGVEHAKRHAWVEFMAPVPPPPDGSDGPAMASDADDVEDVRAGLLADEPSDDEASAMSYSA >Dexi2B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:2B:1655063:1656388:1 gene:Dexi2B01G0002120 transcript:Dexi2B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSCCSWALVCKPWLQTFCDPVFLRRYRAFHRAPPLLGLPHMPQCFPYHGVAALPLPGRAPLARGPSTAATAAPSSTSRTGAAAGTSSSGTPSRATSSACRSRASPTGWSTPPWCSALSPAAMRPPRLPRLGPFPVAFIANNNDLEQDDKDVKASVYSSETSAGVGVIFVSTDAGLFTLALKTERVKKVGERGDYFSVLPYMSFYTPGGDRLAPPSVHPTARPSLPPSWPPPAPAAAGLEP >Dexi9A01G0035690.1:cds pep primary_assembly:Fonio_CM05836:9A:40295070:40298832:-1 gene:Dexi9A01G0035690 transcript:Dexi9A01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKEKPVNVSGKPRHSNDVNRPNDKKGAGGGGGGGARSAATVRRLQMYKTRPKRDRAGKVLRNEFQSKELPNTRIEPDRRWFGNTRVVGQPQLEMFREELQNRLSDNFSVILKERKLPLSLLQDHQKVLLFYAFEQKYASSKLPRDEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKDYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADAAEHIGEVLRRVKKEHLQRAYKIQDWNDDNDFLVQLCRTTGKLLRGGEPDLTTAAKMVLHDWQRGKIPFFVPPPQQSEDGASESAEPLERTEEDGVSSDRTAAAMKAIAGIISLQQNKNIPCHKEHDADNQDIESENEDIESEDDEDKEQSE >Dexi5A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:5A:7943796:7944233:-1 gene:Dexi5A01G0010540 transcript:Dexi5A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEPRRPYKRPAISDQQRRRELALQAQSARRADAQARARALASSLLTTPTPPAATHRHEEEHEEEEEQEHTVADVAASASKLRGSEARRWFARQIMLPEWMVDAPPHLASDCTV >Dexi1B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:1B:666047:666745:-1 gene:Dexi1B01G0000750 transcript:Dexi1B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFHHPLMKSCSSEPINAASTDAVVESMAGNNAAACDHRSLSSSVAAASSNANGHTIYASGMPPMLQPRNDEFHLGLDHAKPPSSPATGVVYIQDSPDDAAAMSVPEQASGQDTEAKTKQKEKRQEAKQRYKDKKNRRFDKQIMYVSRKVRADTRNRIKGRFAKASSSSGGHGDDQSSYAQCGDDDQATDS >Dexi3A01G0034290.1:cds pep primary_assembly:Fonio_CM05836:3A:39496759:39497004:-1 gene:Dexi3A01G0034290 transcript:Dexi3A01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTTETLAWSSSRSASISRAEGWLRLWLCTRIVASIPTILASISTAWHRGPASCGAGSASRSFLSASISACNASW >Dexi8B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:8B:4293588:4295494:1 gene:Dexi8B01G0004660 transcript:Dexi8B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGPARPHAAVERYRPAAEPAVGTGVVQAIANPDPAIEILPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLTLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLSGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >Dexi1B01G0026700.1:cds pep primary_assembly:Fonio_CM05836:1B:31523152:31525880:1 gene:Dexi1B01G0026700 transcript:Dexi1B01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPPMQHLRTPMDSLSSLASSFYSAFSPLLPSSSPQQQKQGSMFVLLPLPVAAAARALTVLRQLLLLATHAFVSLFFMLLSALAPSPLTPPSMMEPGSRSPAAKGDTCVVRALAHVLSVASRLPVSSRKYDLVRGLAERLLDDNLRARAGDVNRAALAGAFTRTLRQLESSPTTTVAAVTSMGEGFGGPAAEKLAAELLWLGKKMAECGAVGEAVAQFGGAERLGSRAVVAEPALQVSLLRLAVFLFKHANSKEFEQSTAGDEEDKGAVAEQRMAMLRSWLPLLCRGSTGTDAPVLTGQERAEMVAVLEELIDKLSWEQREEVLSLWLHHFASCPDTDWPNLESCYTRWYAESRRLLCVA >Dexi2B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:2B:25853524:25861229:-1 gene:Dexi2B01G0015790 transcript:Dexi2B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGARRPATAAIILAAALRALLLVSIAAAAAVIEEEEDPAVDYGAALTKSLLYFEAQRSGRLPHNQRVPWRGHSGLTDGLQQGVDLVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASIVFRTSNPHYSHLLLHHAQQLFEFGDRYQGTYDSSIAEVRSYYASVSGYHDELLWAALWLHRATGRGEYLRYAVDRAESFGGVGWAMTEFSWDVKFAGVQVLAAKLLLEGDPQALPHRAVLEQYKAKAEHYLCACLGLNGGNGTSDTNNNVDRSPGGMLYVRQWNNLQYVSSAAFLLTAYSHYLSSSSSSPALLQCPRGAATPAELLALARSQADYILGRNPLRLSYMVGYGRRYPVRVHHRGASIVAHKANSRFIGCMQGFDDWFGRGRANPNVLAGAIVGGPNSRDEFRDDRGNYMQTEACTYNTAPMVGVFARLHRIAATVEASEEGDDGW >Dexi9B01G0024730.1:cds pep primary_assembly:Fonio_CM05836:9B:21396973:21398591:1 gene:Dexi9B01G0024730 transcript:Dexi9B01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLKTILMVLLIQPAATGGDARRALHEPLFPIEWTPPPSTTAPPAPGFVSDPSTPVPPVDNGGPALLPAPPPPDTVTADASSSRTGPGPRPRGGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHPAQTHKPPGLAAAAPAHPGPASAVVLHADAVGSSAAGSSSGATPYRKMRSERARRGMCRDVDTVPSPELRPLPPLRRTGSSDEDAAYYTPGQRSAGSGGGEGAGTWSEASASSPRTTTPSRRSLPSLTSDFFPTTPATASAAATVAPHPPAPPAPRSRRTLPRTRFSAGSASDMIKQMVSPPSNPAQPPPPPPPPPPAPRCNEPTPKPPPPPPPPEPPTGLLSARQMLKPQQTEGPSVAIPRAPVMTVERDNDHMPIRTQDAAAVDEARPKLKPLHWDKVRACSDRDMVWDRLKLDEDMIEVLFTNNAANAPPRDIPKKAGMPQCRFEVKVLDPKKAQNIAILLRALNVTLEEVSAALLDGEQFFGL >Dexi4A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:4A:8417782:8419352:1 gene:Dexi4A01G0010510 transcript:Dexi4A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKRTTNPNSKPGASLLPAAAAAVAGGSRAEEANRPTRAARPQPQGKRGAAMSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSETWPPASPGEFEPVPRLCRVVLANYDPDLSNPKFAPPGRGYADVDPKGIVKRATYDDVGNRCPPYLVYVDEAHKEIVLAVRGLNLVRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAQYILERETETLRDLLRRYGPEYKLIFTGHSLGSGIAALMTVLVVNNRKEFDNIPRNRIKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFW >Dexi8A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:8A:26688884:26689992:1 gene:Dexi8A01G0015580 transcript:Dexi8A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIREVTGSHVLTIDGYSMAKQLQPGEFLTSSTFMAAGHLWRIRYYPCSSDYPYPDDPPSKWTSMYLQLERSYSNNNNNGSSPCIARFTISLLDWNGLVVPWCSHVSRGARTFTPDGVDMAGFRKFIKRKDLDKSSGLLRGDCFRVRCDITVLVKETTTKTEDGTATDVATTVANAVAAAVSCKVCHLYRCGTTATATTIADRDPRLEEGDDQEEDDAAARFVVVPPPDMDRHLGHLLSSGEGADVTLEVEGETFMAHRTILVRSPVFKEELFGPMEEGTLPTRVRIKDMEASVFKVLLHFIYTDSLPPDVVDDDSGVRPWPWRSIYSLRRRGMVWRG >Dexi5A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:5A:786683:787096:1 gene:Dexi5A01G0001180 transcript:Dexi5A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHIMPTRYTLDVDFKDVASGGPDALSTRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >Dexi7B01G0000630.1:cds pep primary_assembly:Fonio_CM05836:7B:1399624:1400657:1 gene:Dexi7B01G0000630 transcript:Dexi7B01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDGREAKDRLAFIIFLSDGSDNFFYKERVPTAYPIHTFGISSDHSATTLKAMARLSSGSYTSITDEDLDKITEKLDQLSYKLTSIVGVDLSINLKSLHPGVSLSRIEPSEAHDGSKSEIGDDKKAATILVGPVSSGKESEFTVYLNVPEGQGNGTEGAMDVLMVGGSYKQSWDEKLITLDASVVTVKRPSFTPVPVPSSCKELDLIEERVKYWSKVKLDLSEMSDKAEAEAGVINVSGGNGEESKCQCQVLQALREASMEAINKAMHHDIYTATMLAIMLRHCGCGGKTTPAITTENVPSTPALRTKAV >Dexi6A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:6A:10726345:10729527:-1 gene:Dexi6A01G0009350 transcript:Dexi6A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSWWGSEEQRGTPVVVKMDNPYSLVEIDGPGISADDKARGKNAKQFTWVLLLRAHRAVGCVAWLAAGFWGVLAAVNRRVRRSRDADDEPDAEASGRGRTMLRFLRAFLLLSLAMLAFETVAHLKGWQFPQHLVPGNLQELEEQLQHLPEQLQHLPEHLRHLPENLRQLPEHLRVPERQEIQGWLHRAYVAWLEFRVDYIAWAIQKLSTFCILLFMVQSVDRIVQCLACFWIKIRGIKPRIPVAAAAASGKRRGGRKKADVENGDADGDDAEGYFPMVLVQMPMCNEKEVYETSISHVCALDWPRERLLIQVLDDSDDEVCQMLIKAEVTKWSQRGVNIIYRHRLNRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIEALEDSGGWMERTTVEDMDIAVRAHLCGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMQLFRLCLPAVFKSKIPFWKKANLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSILNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSASDILALAEETHVPTRPVTGKLMRGVSEGGLEEWGKLREQEAAEWANKEDAAAALAGAPATPKKSSKAKKPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >Dexi9B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:9B:5352620:5352892:1 gene:Dexi9B01G0008780 transcript:Dexi9B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEQRVRHPAARKLSADFSFLLWRAKGEQLVNSDYSPKGQKPGRRWMFEQRRMKQLPPSDVVCRTAEDLVPRRDPASPRDGILYHQRA >Dexi7B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:7B:22971293:22974275:1 gene:Dexi7B01G0016950 transcript:Dexi7B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQMRFGLQISPARSDDEEEEEDEEEELEEEEEEYDGMESEGTTASPPMMLRAGRGGGGGMVGAVVGALRRSLVMCSTGAVGDEDGDEEDSDDEGIEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPDVPRRTPSASVSVFGVSPTSLQCSYDQRGNSVPTILLMMQRKLYLREGLKIEGIFRINAENSEEVNVRDQLNSGVVPDEVDLHCLAGLIKAWFRELPAGILDALTPEQVMHCNTEEDCALLASMLPPVEAALLDWAINLMADVVEHESYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKERKEATGAVQALQSCSGSPNDQHEPQMSEHLEKPSVLSTQKDFDFHMIDRGTPVQVLGAEKALHHDSQSHYDGPKKFGIDMDHKKSHSDVSSLGRDSNNRVSGSGREIGNRNQEGLLDRFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPREARQAWV >Dexi9A01G0028610.1:cds pep primary_assembly:Fonio_CM05836:9A:33388733:33391162:1 gene:Dexi9A01G0028610 transcript:Dexi9A01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSTTSFLSPVDPISKLLHKPGADDAPPSCAAVPSPSHAAAPSRRLRLIRAAAAAAPAPAMDRAGPAAELLHAAGAGQDHGRPRGGVPVYVMLPLDTVGPGGQLSRQRAVAASLMALRGAGVEGVMVDVWWGVVEREGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCNIPLPPWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYADYMRSFRERFRDYLGNVIAEIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLEAAAAAAGHEEWGRGGPHDAGHYKQMPEETGFFRHDGTWSTEYGQFFLEWYSGMLLEHGDRVLAAAEAVFGGTGATLSAKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYAPIARMLAKRGAVLNFTCMEMKDEQQPGHASCSPEQLVRQVKAAARDAGVELAGENALERYDQAAFSQVVSTARGAGLAAFTYLRMNKTLFDSDNWRQFVSFVRDMAEGGTRPALPRCDTGHSDLYVGFLDAAKERKAPEAEGAATATAAAF >Dexi9B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:9B:4033118:4036007:1 gene:Dexi9B01G0006730 transcript:Dexi9B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQETEQDSLLLSCTFIQQTRNLLQIAIYNISYIRGLFHEKYFSDKSVTALEMKTKKLMPMDAQSRRLIQWMEKEQIVLKQNLYGLSIVLICLCNDQLLDQTLGVYDALQKKYLKTLLFCICEKEEGPVIEEYSFSFGYPSDNTIELEMMMSCSGYKGGTTFSTNASEVTPDQMRYFLTLDVDFLA >Dexi7B01G0023130.1:cds pep primary_assembly:Fonio_CM05836:7B:27819759:27820140:-1 gene:Dexi7B01G0023130 transcript:Dexi7B01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPPPPPAAGGFLLWLHGSGGSGDESRAEVAPYFAAPGLASSVRMSFPTAPTARIACYGS >DexiUA01G0024270.1:cds pep primary_assembly:Fonio_CM05836:UA:50187240:50187989:-1 gene:DexiUA01G0024270 transcript:DexiUA01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGKYTLITGGTSGIGLATAQEFIAEGAHVAVTGRNPDTLAEAQRILGDNAWVIPTDAGDIAAQKALADTLASRWSRLDAVFINAGDVTHGLLEEWQEDAWDRLMGINLKGPARIGLPASSVYAASKAGLLSLARTLSGELLPRGIRVNGLSPGPVETPAFNKTGLSEEALKAMLGDITKLVPLGRMGTPTELAKAALYLASDESRYMVGTELLVDGGMGNL >Dexi5A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:5A:20988394:20991332:1 gene:Dexi5A01G0017690 transcript:Dexi5A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEASASLTRKCTAAAALQGEKLKQKAAEIKSGIDGAEALIRKMDLEARNLQPSIRAGLLAKIREYKSDLNNLKGALKRITSGNAQQGAREELLESGMADALGLDDVDDNIGKSRRIIGGMVRRMDRNKWIIGLIIAVLVLAILVIMYFKFVH >Dexi4B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:4B:3206159:3208863:-1 gene:Dexi4B01G0004500 transcript:Dexi4B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAAFTSLLPAAASASAAASRLPSAAGAAASFVRLPHHPTGWAGASVAAAPRAARRRAPGVAYATAATEKSIYDYTVKDIDGKDVPLKKFKNKVLLIVNVASQCGLTTANYTELSHIYEKYKTQGFEILAFPCNQFGAQEPGSNSQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIE >Dexi2B01G0027230.1:cds pep primary_assembly:Fonio_CM05836:2B:36205398:36207471:-1 gene:Dexi2B01G0027230 transcript:Dexi2B01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKPSAAVSSATAKPAAFKPLHLPPLLPAAGTRPLSLSVSARHLYRQDHVLATTVAMAASGRGGDRAASPAPPAAAAEGAARPMEVAGAAAAPADASRRARIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSLLSLAAGSAIMLASWATRIAEPPQADLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMMSLVILFPFAIAMEGPKVWAAGWQKAVVDIGPHFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVASIIIFQNPVQPVNALGAAIAIFGTFIYSQAKQ >Dexi9B01G0049060.1:cds pep primary_assembly:Fonio_CM05836:9B:47877168:47879050:-1 gene:Dexi9B01G0049060 transcript:Dexi9B01G0049060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKPRRTQAPPSLAGRLRKHTTWLLLLLWFALSLYLFVSATPPAASPLRRSAFLRSKARALSAATAAPVRIYVYDLPARFNRDWVAADARCARHLFAAEVAVHEALLTYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRTQMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGHHVCQEVEHVVIPPHVPPEVSHELPEPEKVRRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQHYGRNHKFYLKRKRFDDYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSALRWPEISLQVAEKDIASLEMVLDHVMATNLTTIQKNLWDPAKRKALVFNRPMEVGDATWQVLRELEVLLEQPQRRYVGSSR >Dexi5A01G0028990.1:cds pep primary_assembly:Fonio_CM05836:5A:32168512:32174134:1 gene:Dexi5A01G0028990 transcript:Dexi5A01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLKLEEFVAHDADVRSLAIGKKSSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTGAMEAVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTGVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKKIRFTPDGRWVVTGGEDNTVKVWDLTAGKLLHDFKFHSGQINCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHERCVGLWAADISLIGPYALGVLPKANFFAELMQSMDDNPVKQIDISTNSSPALAMTQPKNSYKVKEAGIAESRVRGSHLTPTSTDKSKKERSSTISRRPDSSFRSSIQSSTPMRRMKLVDSPCTNPKTVERNFGPKDSSLTSHTRIANNSSTAKKGNLTESASVKDIYTTSQAVSSPVIVPRDIVEDKTVSSVCRGTGGTTTAPDAFRVPVHRRKPSLSGTGADSDSSVGSIFTEPDVCSEGLPSLKFSFGISPYCKKEEYSEMDKNDIAQIAEKMDASVSLEHPLQSNDDKSFESPCSTTEPARVKYVRGVAVPLGKTKSLVERWEKRESSTNDSSPQTGSLGDRVLRNDSHPAHSVRTHKFENNSSVMYIQMMRHVFEQSGIKGAIAAVSKLPDNAVQADVVSALKGKLDLFNLEIFSSFLPVLAGLLCSKTERHATVSLETLLDLIKIFGPVIHSTLSANLGVGVNIQAEQRLQRCTRCFNHLQKIQQVLHPLIMRGGQSAQLAQELSLSLQDLVVI >Dexi2A01G0027910.1:cds pep primary_assembly:Fonio_CM05836:2A:39233691:39238458:1 gene:Dexi2A01G0027910 transcript:Dexi2A01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPVRVSAAGGGEPYVGVTIGTAVTNLLSPSDLADFLRAQHITRVRLYDADPRLLSALASSGGVRAIVGVPNDELLALGSSPATATAWVARRVLPFAGVNSTTPNIISAIAVGDEVPTALPSALPVLLPAIRSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFVAPLLAHLANTSAPLMLNLYPYYSLMQSNGVIPLDNALFRPLPPSLEMVDPNTLLHYTNVFDAMLDAVRVAVRNLNVTGAGGVPVLVTETGWPSYGDRRAEPYATKDNADAYNSNLIKHVLEDKPGTPMAPGAAAQSSAYIYELFNEDLRPGPVSEANWGLFYGNGTPVYLLHVSGADGFLANDTTDRTFCVAADDADEKAVQAAMDWACGPGRADCTAIQPGQGCYMPNDVRSHASYAFDAYYQSQGRASGSCYFQGAGMVTTTDPSHDNCLFPGSKQLGNDTKSGSADNTTTPTSDAMGSAIWRLRTGRENGLFLFLQLLLSIAVVIVSNSNFWT >Dexi4B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:4B:20049521:20053722:-1 gene:Dexi4B01G0017710 transcript:Dexi4B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, Salt stress response, Alkali toleranc [Source: Projected from Oryza sativa (Os06g0625900)] MKSPPTMDPEALPPPPGTPPDDERVLKCADFFWSGFVWLCCVPQEEKRGGRKGVPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHTESNEEILGVLSFVFWTLTLVPLLKYVCIVLRADDHGEGGTFALYSLLCRHARAALLPPGRASSAGDDDQLFDAAGGGAKKAGAENGNAMTLGGRGGGAAASVRRLLEKHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKCSEAMFADLGHFNQLSIQIAFTCMVYPALILAYMGQAAYLCRHHTMESEYKIGFYVSVPEKIRWPVMAIAILAAVVGSQAVITGLAVITVMLVTTCLMSLVIVLCWHRSIFLAIGFIVFFGTIEALYFSAALIKFREGAWVPIVLAFIFMLIMCIWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVRPEERFLVGRIGPKEYRIYRCIVRYGYHDFHKDDMEFEKELVCSIAEFIRSGSSKLNGMSDDFDKDEEQRMSVVRSGSIRMLEEEGSVENTVGSSHGREIQSPSPSPASAPAPAPAPAAGVKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFLRRFVINFCYDFLRRNSRGPNYAVTIPHASTLEVGMMYYV >DexiUA01G0011210.1:cds pep primary_assembly:Fonio_CM05836:UA:22554382:22559910:-1 gene:DexiUA01G0011210 transcript:DexiUA01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVERAVILWTLEPAERDAVLANEEAKKWHPGGRALVEIACTRTPAQLFAARQAYHDRFKRSLEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNISLAHSEAKILHEKIHKKGYSDEEIIRILTTRSKAQLLATFNSYKDQFDHPINKDLKADPKDEFLATLRAIIRCFICPDRYFEKILRLALGGMGTDEDDLTRVIATRAEFDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Dexi6A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:6A:218139:218604:-1 gene:Dexi6A01G0000330 transcript:Dexi6A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGRLLLSAAVVLLLLAAQHPAAAGQQVGDACSSDAGCGAGLHCSPCGPGGDKICTRAKPIDPATHGTGLPFNNYTWLTTHNSYALAGAVSATGAAIISPTNQEDTVTAQLKSSSSSSSPPTPTTPPESPRSGCA >Dexi4B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:4B:10589856:10591911:-1 gene:Dexi4B01G0012680 transcript:Dexi4B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELDLRMATGGGENSYATNSRLQKKAILETWPLLQKATEELYMWLPSRSTMVVADLGCSSGPNTLLLVSEVTSTIRDLVQETGGHRGLELQFFLNDLPGNDFNLVFRSLEQLQNRDAMAKTAAPPCYIAGLPGSFYTRLFPRQSVHLFHSSYCLMWRSKVPEKLSSGKHLNEGNIYIGKTTPPVVAKLFQEQFRMDFELFLRLRYRELVSGGRMVLTFLGRKSEDILMHGDVATMWELLAEALQIIARKGRMERGKLTSFNIPFYAPSLDEVRALIKQTGLFDIEYIGLFESNWDPQDDSSSDMVHDCVTSGENVAKCIRAVIGPLIMDHFSEAILDELFLIYASSIAKHLKKGKAKYPIIVVSLKRGVCNTIAI >Dexi3B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:3B:12525528:12526787:1 gene:Dexi3B01G0017060 transcript:Dexi3B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPPHLVPRETVAGAVASLTKWMKKRAEEAPPNLLADERDDLVVLQLSLRRVPASPTTKPRLLPLPHPVVAHDGASVCFISDDRPKSRSPSAADLLDASKSLHSLPVSEVIPLSKLRTDYRPYESRRRLAASHDLFIADRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQVRKVMGSTFLYLRSGTCSGIKVGRLDMEEEEIVENVMAAVEAAVEKVPKKWSNVRALHLKAVDSVALPIYQVVPELGMKIEVPGELESGEVIDAAELETGGKKSDKKALRYADTNADEGGASESGKRKRNKKEQIKDVEMQEEAHMERQKKKRRKSIVVSIDEGQKKVGKKGKDKGKRDLENEMEEPIMDNKKIKKGKIEEGKKKKSLKGDGLVCTTESQEDKKSKGEKSDGKIKKTRSRVRV >Dexi8B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:8B:2832145:2832670:-1 gene:Dexi8B01G0003670 transcript:Dexi8B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWAMTPVAGEERTEAMGEVARRRRFTTGAGVAGHLRHRRGRRAGQRRGRARVPRQPRQAQFPRVCHAPPPPDLDAGDGGGDDYLRLEALLESQTAAAPGSLAFPVFVNFGGASASHQSWPQQGSCSESDGGVGYPPAAAAAAG >Dexi6A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:6A:13026698:13030406:1 gene:Dexi6A01G0010570 transcript:Dexi6A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQPLQDPTATATAAGEEPAGAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLAIIPSKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILINSICGILAFCIAIGLYYVTGKESFLVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWKIKAKWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLKGDPSDDFSFPSLFPAAMRPVTDPVANLFDRMLCARSRPSEVALPVSDPAKASRRRERGERVLEERLAADHAVDTEAPAHTAED >Dexi2A01G0022370.1:cds pep primary_assembly:Fonio_CM05836:2A:34209336:34210651:1 gene:Dexi2A01G0022370 transcript:Dexi2A01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAQALKHAEVPIPSPGKGEVLIRMEATSLNVVDWRFQKGVARPVMPRKFPFVSGYDLTGEVVTVGTGVSDFKRGDKIVAFNFPNGGGLAEYAVVSASKTVLRLPEVSAAQAGGLPMAALTALLSLKRAGVSLDPRDGTGRKNVLITAASGGVGHYAVQLARLGGHHVTATCGARNLGLVGDLGADEVLDYKTPEGAALRSPSGKKYDVVVHCATTGFPWSVFRPVLAAKGMVLELTPGFVAFATTIFQMVTFSKKRLVPLIASPKKEDLEQLLGMVKQGKLKTVIDSRYPLSKAQDGWAKSMSGHATGKIIVEMGAVE >Dexi9A01G0029600.1:cds pep primary_assembly:Fonio_CM05836:9A:34519958:34521097:1 gene:Dexi9A01G0029600 transcript:Dexi9A01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDFDHQALQLVPYQEEDDAPSELGLYDELKEACIAAENLMKRALNESSRRQKADEEVVSALQKANEYQELYLEEVRKREELEEALARANWEIAQLRQANHLRMDEQSTSLDGLQEVMSEKLSFERHMVDMDAVLGTASQDIEPQKDYVQIPIDLDTGARELQALLSQSKLTAFSPSSVIQSPYDEDCIPSYFLCPILQEPMRDPHVAADGFTYEADAIRGWLDGGNDASPVTGQPLAHLELAPNLALGAVIQDYTIRRRQHKFS >Dexi5B01G0021440.1:cds pep primary_assembly:Fonio_CM05836:5B:23729765:23730783:1 gene:Dexi5B01G0021440 transcript:Dexi5B01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSGRTMCGRAGEPAVRKGPWTLEEDLILVSYISQHGEGSWDSLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITAAEDAAIRELHAALGNKWSKIATHLPGRTDNEIKNYWRTRIQKRPVAAANNTQQQQQSHRAPASTTTMAMAAGESASSSSASPASHDDSSAVGDLFWCAKPKPERQAFCYAVAVSDDGASAASQSQKTMMTAAAAVGVDSGSASSPMARQDIISSAAAGDDGYSKQTIYGYPYYLELTSASDGVRMVDAESFWNVVDNFGGTTLLVPDTTL >Dexi4A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:4A:6002872:6004260:1 gene:Dexi4A01G0008000 transcript:Dexi4A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTRSCARCLASLVFPFLFFSSLIYLSTRHPLLEIAVREEAAAGKLRLVVGRAAATVQANASVTVDAVAQTSQISAVATGNDGDDSVVLADSPPASGDDANVSTEKVQVSDIAAVAAEDGGAKVVHPLPAAYDDAWAALKWTVSNCLSGPEPWLANHGNATHIFLAGDSAGGNIAHNLAMRAGAERRALPGEAYIASVILMNPYFWGKEPVGSEPREQWVRDGLEQTWALVCGGRFGIDDPRVNPLAASPGAWRAMAGERVLVTVAGRDNFRDRAAAYAEGLRKSGWRGEVETYVTEGEAHVHFVGNPRSDKAEKETDKVAEFITRSGRG >Dexi5B01G0026630.1:cds pep primary_assembly:Fonio_CM05836:5B:28354629:28355266:-1 gene:Dexi5B01G0026630 transcript:Dexi5B01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSGATGLPVYREEDDEELFETSSSISGDSDDEDQFSDGEGAGGFEHQFMQQGASPAQQSVRRLNSDSLYDLSSMMAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKETPYKKIKPSKSYVTLDEDHDCYLPGPNSRGIAKKPSGSSCANLAARNSSKNMLYRPPPISVNKSGYHQ >Dexi5B01G0021720.1:cds pep primary_assembly:Fonio_CM05836:5B:23968305:23973896:1 gene:Dexi5B01G0021720 transcript:Dexi5B01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPVSCSMASVSRAFLPRPRVVVCSAARLGGLGITRSMPVSHTRNEKHELGLTVASPHVATVSTVLRNRKILCKAEANVSGTTGASTYEKIVDLLTTLFPVWVMVGTVIGIYKPSMVTWLETDLFTWGLGFLMLSMGLTLTFEDFKRCLRNPWTVGVGFLAQYLIKPLLGFAIAVTLKLPAPLATGLVLVSCCPGGQASNVATYISKGNVALSVLMTTVSTIGAIVMTPLLTKLLAGQLVPVDAVGLALSTFQVVLMPTVVGVLAHECFPKFTERIISVTPLIGVILTTLLCASPIGQVAEVLKTDGAQLIIPVALLHVAAFALGYWMSKLFSFGESTSRTVSIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNKGLPANDKDDFKE >Dexi1B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:1B:2495810:2496654:-1 gene:Dexi1B01G0003080 transcript:Dexi1B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVGDDDDTVLVMNEVLRHGRHCFEALRRVPGGDSWRVDAIPDPPIARMGDDGDEEEDFYYPCVTAYFARGSRAWITVSDVGTFSLDMLDRGGGASWQLEGRWELPLRDRGLFVPELGMVVGVESRGGNDEKRCCEVCAVDVEARPPAKVPPERVEDVAPWETVSLGHLGNGRFCIARSIVVKVPTDDDGCLLCEARGTSFTLVDVRRRSPRGELELATNGGKY >Dexi9A01G0033110.1:cds pep primary_assembly:Fonio_CM05836:9A:37976725:37977291:1 gene:Dexi9A01G0033110 transcript:Dexi9A01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASPATTLHFLTLLAVAAVAAGEDGLTHLHLYIHATIPWFTGANTNASTASLSMGSQQPPWGNPWFGSMGAIDDELRDGPDPASPYLGRAQGMLVQADLGSPAAWCTTVVLAFTEGDYAGSTLVVDGRVDLAADVVERGVVGGTGRFRRARGYSLTTRFGDNPTTPSGNTTVVVFEMDPFVKIIGG >Dexi6B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:6B:9433225:9437493:1 gene:Dexi6B01G0007860 transcript:Dexi6B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPIHRHGRGRLLLLAMVASLSAIVTSQTTNQFTTINCLPAAAPSSLAPSPSPSSSSTNSTSTFWSNVFALLDALPSAASPTGFASLSRGNGTDGAFVRGLCRGDTTPAHCATYLQNAALEIRQVSCNTSRRAGIWYDDGSGGSDPAPMFAFVSYADTNASTANEDTFRAPSQNVFVVSDQETFEGIYSALMSNLTTRVVNGSSDTSSPAPMFATGAAVYDPGAPNGTIYGLMQCMRDRTQAECQQCLNTSVQTLIDCCNGHRGGIVLAYNCYMRMEVYPYYDLALDGPPLLAPAPSTFVGENRDDKSMIDDDMAYIEPEKLNLVGTLEDGEEIAVKRLSQDSSQGFQELKNELVLAAKLKHRNLVQLLGVCLEEEKLVIYEYMPNRSLDTFLSDPVRRQLLDWNERFAIICGIARGILYLHEESRLKVIHRDLKPSNILLDLDMNPKISDFGIARAFGGDQTRDITRRPVGTLGYMSPEYAYWGHVSTKSDMFSFGVIVLEMVTGRRNNSAYSDTSDSVSVLSHVWDMWRAGSTTDVGDPLLAESGYSESEVINCVEIGLLCVQDNPADRPDASTVVLMLSSPTTTSDDRRAPSRPAFVFSSGFTETDRSGAKTSDGAPLISDEQSSTTTVSKNEVSISEIEPR >Dexi7A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:7A:28889174:28892499:1 gene:Dexi7A01G0019920 transcript:Dexi7A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEPLLSSKKEGTNNIYSKRVSIDDALSSYAGEFGRWQLRHFVLVSAAWALEALHTMVIIFADREPAMVCRSPGGCGEDRCAGAAAGWEWEDGAASSTVAEWGLVCGDRYKVGLAQAVFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCILNTTFGLLTALSPNYWAYATLRLLTGFSTGSVGVLAFVLATEPIGPNRRGAAGMSTFYFFSGGIAALAGLAALFPRSWRALYVVTSLPSLAFVVAVAPFVSESPRWYLVRRRADDALRVVRAIAEANGRSVPEDVTLRLDDEDGDEEGKKGGGYGDDESAAARSSTPSGGSIVDVFRSPTTRVRLMLSVLINLLASVVYYGLSLNVVNLKTNLYVTVVVNSLAEMPAYLLTALLLDSFGRKPLAIGTMLLSGLFCTAGSLIAGAGIMRVVRMACGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTAQAAQMGAIVAPLVVVLGERVPFAVFGASGIVGGMLVFYLPETMNKPLYDTMAGLEEGEKRSTLLE >Dexi1A01G0027830.1:cds pep primary_assembly:Fonio_CM05836:1A:33523896:33529842:-1 gene:Dexi1A01G0027830 transcript:Dexi1A01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCRKKLVLHNVGWKKKIEQILAYIPIMNPHAKVVQQWNQFFVISCLIAIFIDPLFFFLLSVQQDHKCIVLNWSFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPRKVAVHYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSGANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRFNLKLYCGVNILLLFSFHRRLEMQLRRRDVEKWMSHRRLPVDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVDKMVFIVRDSGKIKFNGMRLVAIRTVTCSTNVEAFVLRASDLEEVTSQFARFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRKRRLKRAEKSKLNEESYSSYSIMPHDSYRRGQRG >Dexi4A01G0021780.1:cds pep primary_assembly:Fonio_CM05836:4A:25189476:25192400:1 gene:Dexi4A01G0021780 transcript:Dexi4A01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQQQQQWDDMELSFSDSQMARGVQSVLQSVRMDPFLIDLKDKEQYDFLLLAVDSTKKRNADEQAVLVATLKALSEAVSKIDIMYHHALLHNIFTMCIWYFDGYTRSALLDLIIRLAAVADQYLRECLQMLVNNFTPPGPLVPFMEQPRWVAKKKEIYSELHESLKIISDTVPLAPRVLKDIINRSMPRLFDTKAKTLSFVECMLGLDTERLGDLIGTSLLEKVVDLLTELDVNITWEDILQEEHSKGIFDMELEDLDNDEDNLGGEETKVLFGGNVYAEKLDALMVIVCEHLRSCAEHGSLQKVRTF >Dexi2B01G0026760.1:cds pep primary_assembly:Fonio_CM05836:2B:35874417:35879842:1 gene:Dexi2B01G0026760 transcript:Dexi2B01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFARRKSAALAALSSPAPDKSPKGGVDAPIAPLLEVLNSHPDLFTTSSCSGRVSVLAQPQEGQGQGAAKPKKKARGGGWVYVSHEPADPDAVVEQLFGGSGSAAAGDELVFRFEPMIVAVECRDSVSAAALVAAAISAGFRESGITSLQKRAIVAIRCSIRMEVPLGQTDQLVVSPEYIRYLVRIANCKMEANKKRMDGFLDLLQTKGLSGLSDSCNGSSSQPIDHRASLGLEVKIPLDKGAKINDDCLVKKRRNGRNNCHADDRGASEIGEVSLEAQYFENQDSTWSKGVEHGFEMILKEFPSPRLGHTLTSVGNSTYVIGGRGGPSEILDDVWVLQSSENTWLRLECSGNTFRPRWETLGDIIILPKTCFKDPLWESVSEELWPLVSKSLGAQRLARQGKIMPNGTRDSTLELLLGDNGWVTHHENGIRYSLDATKCMFSSGNRSEKLRMGQLNCKDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPHALEALRRNVRDNHVQDRCLVLEGDNRVTAPKGVADRVCLGLLPSSECSWDTAVASLRPK >Dexi1B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:1B:25169857:25170546:-1 gene:Dexi1B01G0019020 transcript:Dexi1B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAAKKSGEQMTVVRGLDVARYMGRWYEIASFPTFFQPRDGRNTRATYRLLEDGATVHVLNETWSKGKRDYIEGTAYKADPSSDEAKLKVKFYLPPFLPIIPVVGDYWVLYVDDDYQYALVGEPRRKSLWILCRKTSIDEEVYNQLVERAKEEGYDVSKLHRTPQDDPPPESDAPTDTKGIWWFKSLFGK >Dexi5A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:5A:5921451:5922975:-1 gene:Dexi5A01G0007970 transcript:Dexi5A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPFSDLVVAVLIVAVVAVLLRALACSSRSMRQGLNGWNKSSSMLRFGRVRGEVFRIPPHKAVWLLSRRLTDSVDLRPAPARREMAPLNHQQMLIKKALAKRPKTKRISGFGLKPSAALLKARPLLQPPAPVQPRRRVRVLFEDPDATDSDSDDEESAAAPAGSVNSKRFYFEVFVGKAPAKPVLPAATVAATTSGGTPESYRGVRLRKWGKWAAEIRNPFTGRRQWLGTFDTAGAASAAYLSASRSFADEKRRRRGQPVPASCATPSPSTTPTASSSSSTPFAHASPTSLLEISTKPASKPESPEPVATPALPSTEPAQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDLSEAPFCLDDEQDLMLGEFMDIGDDDLDDIDLDDINDVFPEMPGCDLGRGMDDFLQTVDFCV >Dexi7A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:7A:15808854:15810019:1 gene:Dexi7A01G0004840 transcript:Dexi7A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAAGAAKGLEYLHDKAKPPVIYRDFKSSNILLGEGFHAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTTKSDVYSFGVVLLELITGRRAIDSTRPAAEQNLVSWARPLFTDRRKLAKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQVYDPSTGNTSKKGSGDKRSRAIGSRRALSMNDDAGSSGRSPSNDQYDSPREHDKGLEREKMVAEAKMWGENWREKQRAAQGSLDSPTGGG >Dexi1B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:1B:26836957:26839074:-1 gene:Dexi1B01G0020820 transcript:Dexi1B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEELVESGAGEDAEDMPPVVAAFAGILERVAERNDAAEVSGAVVAAPASAFRATTKPGISVRAYMARIARFAGCSPACYAVAYVYLDRLLRRGRRLALAVDSYSVHRLLITAVLAAVKFMEDICYNNAYFAKVGGISLVEMNYLEVDFLFGVGFDLNVSPQTFGDYCAVLQSELQCAAAPPAPLRLQHCCLSEDDSGAGCTAQQQLAA >Dexi3B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:3B:4898206:4899474:-1 gene:Dexi3B01G0006960 transcript:Dexi3B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSLGTAASGGSGPRFTLLASFKKRKIKTIKNGLFRVSCAAGAVDGRNQEMTGNSERPLDEKRGHGFNGEMISASLKRRSSNTAARESGIAIAMAAAAHTSSPIVQELTGNKDGGNTICNKSRRLPCGSVGVAMAEKAEEKLHVLDGLRQDWEVSVLDFALPSRGVQNQKPLERDHHHNDEKECWLIKRSCLTTVAVCEGSNGAVSQNRVVSSTPSTGAQKPTRRSSPVQEARADGQRSCKRTKHSARMTPMRPFMSMSSGLQCLGVTNVTPVLAKTLTATDCCLHQSRLQFSPRNVMESPLMSILTPEEWRSVHNLDKVDGLELEAIDQHGYSYKMRLKYSDRMVCARQYRLMQEWVLFLTQNGVRQGDVIEVGALRVQGRPMLTLLNYSTATQGWTPEEIEAADGLLMLSDFSDRTRS >Dexi1A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:1A:20817006:20817590:-1 gene:Dexi1A01G0014280 transcript:Dexi1A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTFPAALLGRLEVLAAGRRNPNPSPMSPLPGSPHDLLLRAAFDGNLRLIKKMVRELGGGGGEGEGRGAEKVRAFRDSNGISVPHVSAGRGKLPVCRYLVEELRLDV >Dexi9B01G0043970.1:cds pep primary_assembly:Fonio_CM05836:9B:43856497:43857146:1 gene:Dexi9B01G0043970 transcript:Dexi9B01G0043970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTASTERSGGAEAICGRPLGLQFHARTGDLYIADAYLGLMKVGPGGGKATVLATEADGVPFNFVNGIDVDQVTGDIYFTDSSTTYTREHNTMILINRDTTGRLLKYWLKGPKVGHYELFVNLPGYADNIRRDASRGYWFALNREGINATSPDHLVGVRVDAKGTELQVMTAPEGITLSDIAGKNGMLWLGSVELDYVGVATTTSA >Dexi7A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:7A:26673821:26676569:-1 gene:Dexi7A01G0016860 transcript:Dexi7A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVADLVDYYGWKMVTAVYIDDDYGRNGIASLSDELAKRRLKIVYKAAIRPGAKKSEMAAVLVKAAMMESRVFVLHAHDDSGLDVFSLAYNLTMTSGGYVWIATDWLSAVLDSEPRLDNALLSTMQGVLTLRQHTGNTNRKKILTSQWSTLVKEDSGGTGSKFVPFGNGLENPSYTQLINEIVTDEFDAVVGDIAIVTNRTKLVDFTQPYVGSGLVILTSVKRQNSNGWAFLQPFTIRMWSVTGVFFLIVGAVVWLLEHRINDDFRGPPAKQVITVFWFSFSTLFFAHREDTKSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSSIRGIDSLIASDEPIGFQTGSFAESYLVHELGVSPSRLHSLGSPDEYKTALDLGPSNGGVAAIVDERPYVELFLVAHDKFAIVGPEFTKSGWGFAFPRDSPLAVDMSTAILTLSENGDLQRIHDKWLSTGPSSDSTTDLESDRLHVHSFSGLFLISGVACAAALAIHACVLVRQYSRHVTAAEQAGGSAAAISRSGRHRSSIRSFLSFADRRDTQIHRSSKDPAAVGSSGSGSGVSFTSSDASTASR >Dexi4A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:4A:24746353:24747411:-1 gene:Dexi4A01G0021040 transcript:Dexi4A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMKGIFRGLKIIAQIFTVQREHEHEIEIGYPTDVRHLSHVGFSASGSCPSWSRGGSSGTGIHKLCCPVEADLLGFHRQVAPHFEQPVVGVSLPPPAEASTAASTTRGAAKRPAARLKKARGSSARSSSWRSTGSFATTCNDSGELRPAGLRAA >Dexi9B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:9B:9023903:9027970:-1 gene:Dexi9B01G0013420 transcript:Dexi9B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEQHAAAAAPVEETPEKKEAGVTELPAPSGWTKKLAPIRGGKFEVIFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWGTSDTPRRSARLSEKVKPTESPEGEKTPKRGRSSSKRGKKEKTEEADAVDPNETVDRGTLEGTDLEMKDAENAEEKKEEATTDAGEKTVVGEEKKEEATTDAGEKTVVGEEKKEEAPGADAAEKTEQGTDGQEQTNNAAVPELVKPAESEVAPPAPVVAEEKKDNTENSLAAESAVPPAVSSEAEKKDDGGVIEPVVVMEPVAPPVAETKVDVPSAEAAKEAENSAQANAAPQEPSAANCDNKGQIQPGASAVRCT >Dexi2A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:2A:2710098:2712142:-1 gene:Dexi2A01G0003160 transcript:Dexi2A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAEVVLLDLWVSPFGLRCRVALEEKGVAYDYREQDLVHKSELLLSSNPVHKKIPVLLHAGRPVCESLVIVEYIDEAWPDAAPFLPRDDPYACAKARFWADYIDNKTRLWKLKGEAQEQAKNDLIEALKTLESELGDKPYFGGDNFGFVDIALVSFTCRFLAYEKLGSFSIEEHCPKIVAWAKLCSERESVAKVSPDPYKVSEFIQFLHNRFGAN >Dexi4B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:4B:112771:117698:1 gene:Dexi4B01G0000170 transcript:Dexi4B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDDAAAAEVDHLAAERAAAAFDVEEMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLSRQDLFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEQQQQKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVFVRQSIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETQDAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNIVLLLQVARILMKTVSQLASGKQPVGTMAYMGRVQYLMQSKCAVNRAEDWLNPAAIQEAFEARALRMAVNCAQNVNQAASQEDGFYERSPDLLEAAVAHIQLIIVTKFIEKVQQDIPGHGVKGQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANEQRGKLYTQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHQYLRPLLKQQLLSARL >Dexi2A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:2A:32755616:32755867:-1 gene:Dexi2A01G0020540 transcript:Dexi2A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRADVALASGPSTPSQRPSPGFPLLAPPAGVPATVTVASLSWMTATRNPRRGLARGAGASRAEDARGRRPGHALRLPRRG >Dexi7B01G0023900.1:cds pep primary_assembly:Fonio_CM05836:7B:28371908:28372760:-1 gene:Dexi7B01G0023900 transcript:Dexi7B01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPQELNSPRGGGTSSKPRNPDEILRDFHAAHPDDAISTSFGGGAALACTTGHPARMFCGLDDIYCVFLGALDNLSGLIRQYGLCARSTNEALLVIEAYRTLRDRGPYPADQVVKDLAGSFAFVVFDNKSGTVFAALSSDGGVPLYWGIAADGSVVICDDRDVVKRGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAAWDEGI >Dexi2B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:2B:6815456:6818761:1 gene:Dexi2B01G0006840 transcript:Dexi2B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAMMILLLRSCLLLPFVDAAGRHGGVHLASQAAALLRWKSTLQSSPPTLDSWRQGTNPCTGNWTGVACDVVRLGNRSPLAVTEISLSNAGIDGLLGELNFSALPFLTYVDLTYNSLGGEIPLAITSLPVLSFLDLSANMLQGNIPPEFGNMPSLTQLGLGNNNLTGRIPKSIGNLTMLGFLVSGNNMLTGPIPEELGKLTNLELLEMCGTLLSGQIPKSFGNLTRLNTLYLYSNNLSGPIPPSLGNLSKLMDLELSDNRFSAEIPVALLSLTELNILSLEKNELTGSIPYEIGLLPNLSTLGLSTNQLSGTIPQSLGNLTFLNYLSLHDNELVGSIPAEVGALVNVQTMSLATNKISGRIPVTFKNLTNIRKGPLKDSSTTVIRDVLSVWNFDGKLAFEDITEATENFSNKYIIGSGGYGTVYKAQLQGGRLVAVKKLHPSIEDMSYEKGFLSEIDVLTKIRHRSIVKMYGFCSHPRYKLVIYDYIERGSLCAILKNEELAKELDWQKRVAIAIDVAQAIYYLHQECNPPIIHRDITSNNILLDADFKAYVSDFGIARILKPDSSNWSVLAGTYGYIAPELSYTSMVTTKCDVYSFGVIVLEIIMGMYPTELLSLVSMGLPQELAMEDMLDKRPSSPIILEKKEIALLVEVAFACLQTSPRFRPEMQDVYQKLVLHKRHPFALLA >Dexi3A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:3A:16210012:16215010:-1 gene:Dexi3A01G0020400 transcript:Dexi3A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLQKLKRLDAYPKVNEDFYKRTLSGGVVTLVAAVVMLLLFISETTTETKLVVDTSRGERLRVNFDVTFPSIPCTLLSIDTKDISGEQHHDIRHDIEKRRLDSHGNIIEARKEGIGGAKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNIDVPELSVLEGGFNITHKINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTIYTDIRGNKIHSNQFSVTEHFTDGYVRPKPQPGVFFFYDFSPIKVIFTEENRSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >Dexi5B01G0023770.1:cds pep primary_assembly:Fonio_CM05836:5B:25862950:25873236:-1 gene:Dexi5B01G0023770 transcript:Dexi5B01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQGTALKSAPMGAGRRARRGRPVAAPYRSGWQAYGGMSLKGSGFLGGVQRTEERIAPPPPRASARDAEVIRPLSKLPDSSIGLYDPSFERDACGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGILVALPHDFLKEATKDVGFELPPPGEYAVGMFFMPTDEKRREKGKAEFKKIAESLGHVILGWRPVPTDNSDLGESALETEPAIEQVFISKSSRSEAEFEQQLYILRRLSIRSIRAVLDIRRGGERDFYMCSLSSRTIVYKGQLKPSQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVVGHNGEINTLKGNKNWMTAREGLFEAEKLGLSKEQLSIILPIVDATSSDSGALDNVLELLVRGGRSLPEAVMMMIPEAWQNDANMDPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKSQYSKAHPYGEWLKRQKLYLKDIVESVPEADRVAPSVSSSFSQRNLTKEHVGVDGILTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALKGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICAEAREAIHKGYTMLVLSDRGFSSDRVAASSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQNDGKIPPDGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIDKCFEGTPSRIEGATFEMLARDALRLHELAFPPRTAPPGSADAKALPNPGDYHWRKNGEVHLNDPLAIGKLQEAARVNSRAAYKEYSKRIQELNKTCNLRGMLKFKDITDKISLDEVEPASEIVKRFVTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHMNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMANLGFRSILEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIDLSRTAIEKQVRVFIETPIQNTNRAVGTMLSHEVTKRYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSSFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGKFSARCNNELVDLYHVEEEDDIITLKMMIEQHRRNTESVLARDILADFDNLLPKFVKVFPRDYKRVLENMKAEKAAAKPAKDPKMTNGISVTTKESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIVGSGPSGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIGIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSGLVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVMTKRFVGDENGKVKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLTRDDQNAAGGITPSGAGLVQPVAA >Dexi3B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:3B:1548668:1551859:1 gene:Dexi3B01G0002230 transcript:Dexi3B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTEDAVSTKSVELVKEEDCLEFDDPDEVDEEEEVEYEEIEEEVEYEEVEDEEEEEEEEKSEVACEVDAKHDSKLVDADQKDEEEKEKHAELLALPPHGSEVYVGGISSDMSSEDIKQLFESVGEVVEVSTSYFLWVSFCICFLLQFRVHFFLQVRIRGKGDNKLYAFVSFRTKELASQAIQKLSNKNLKGKKIRVSSSQAKNRLFIGNIPQDWTQDDLKNAVEQVGPGVLKVNLPKASRSDRHKGYGFIEYYNQACAEYARQKMSTPEFKLDTNSPTVNWADPKNSGESASTAQVKSLYVKNLPKTVTEEQLKKLFEHLGEITKVVLPPAKAGHENRYGFVHFKERYMAMKALKNTERYELDGQLLDCSLAKADKKDETLSVPASKGGPLLPSYTPIGYGLAGGANPLGKGLAGAYNPLGNGLAGAYNPLGNGLAGAYGVLPARAAQVHDIIYYVLANVPLQVQQCFQCFYQMVVLYMYHSLQGSSLCFRRLRHSNSKVLVVMVAVAAAVVDSAQVGSDREVMNVVVIATTAAGADTVHTDVWRRCC >Dexi9A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:9A:2288458:2290705:1 gene:Dexi9A01G0004270 transcript:Dexi9A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSNYPLSAQPGTRGSIPPREAWVASSSAPSNGELEARLGGYTRGCASAPPRILGTHEDMKASKASANSSLRRIKPHPCEGSGATVGYLQKGYPNLGPKLLGIKARNDIDLAQQPSKGPPSRLGGLDPHPRAGSFRLVRGLANSSLRSKLDAQPTAVHQSRHDAVKKQGSLLHAITYSRRGHRAMLHARPNPASSTVPTTPLTLPPAGHAATLEGNLEGDHGTPCARHHPRRRELSREGRTIRQPLPCRQRSWKARFPLTHMHLPTNTCTRTLLPLVYKRGREAHEKERNEKSTKTRREHNLGLRSLSPSPTLLVNPYYKQHVTRCIAPLLDVRPRGRNQDKTSSLTLAIGKNEWLAPQSLVGAGATKTGTDTLCIRLADTACSGVCTQVMDAHGWIPEIL >Dexi9A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:9A:2161090:2165245:-1 gene:Dexi9A01G0004110 transcript:Dexi9A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVYSLATSAVIPGRRLGRADDPGGWPHRRLFLAVRSAGQTV >Dexi3B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:3B:10495219:10496748:1 gene:Dexi3B01G0014550 transcript:Dexi3B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSALSKGKSSIGSASGSAKFEAKGKFSSSSSAAAAAKRATTTSTTRGRKKAEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKLKRQQQVRMGTPIKPIARKDRPESSKKPAASSNVDSKAKKRVDYSDDDNDFIVKMKRSRG >Dexi2B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:2B:28703627:28706022:1 gene:Dexi2B01G0018400 transcript:Dexi2B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSLLAGTLILLIGAADALSGYQISCGATSEKVAGNVTWVPDGPFINSGKAAELSSPGGAMMPMLSSLRYFPPDASARKHCYVLPAEKHARYLVRTTYYYGGFDGGQAPPVFDQIIDGTRWSAVDTAASYASGLATYYEAVVEAAGKEVSVCLARSAATAPGRSPFISALEVVPLEASVYGTVNFTAYALSTIARHTFGTNNGSIVGYPSDRFNRYWEPYSDGSVPVVESQASVATDAFWNKPPEAAFRRGLTASRGKILELQWPPVSLPAASYYLALYFQDNRAPSALSWRVFDVAVNGQPFFAGLNVSTAGSMVYGGEWPLSGETRITLTPAPDSPVGPVINAAELMMVVPLGGRTHPRDVIGMEALARGFRNPPSDWRGDPCLPKGNAWTGVTCNEDPLARVIAINLTNYRVGGSISDHIANLTAISSIWLVGNNLTGPIPDMSALHHLVSLHLEDNGLTGPLPESFGNLTKLEELFVQNNNLQGTIPRGVRSRAMVDISFRFKYTPGNNLS >Dexi5A01G0039690.1:cds pep primary_assembly:Fonio_CM05836:5A:40186470:40187504:-1 gene:Dexi5A01G0039690 transcript:Dexi5A01G0039690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQAKEKRSTTQIAPSSEAVHPKKSMILLMILCPNSRREGWSELLVLYNNYWLRPHFAATIMRLQNSNSFKARHDDIILATNPKCGTTWMKALAFTISNRSRYEFDNHPLFFRHPQEVVPGIEITLDGGLTDLLEKLPSPRLLATHMPLSLFPKSIATSGCRVVYMCREPKDAFVSRWHFENKMMCSRGHNNIDLESAFSMFSQGFSPYGPFWDHCLEYWKESIASPGNSSKVPFLKYEDMISDPVKHVTRFAAFLGVPFSTEEEEDGVPEEVVRLCSFEKLSSLHAVQSGDFGRRGDIVLDKSVFFRKGKVGDWVNHMSEEMGRELDCIVQEKLKGSGLVL >Dexi5B01G0034540.1:cds pep primary_assembly:Fonio_CM05836:5B:34784128:34787879:1 gene:Dexi5B01G0034540 transcript:Dexi5B01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGRRAEPPDLACAAAAVARSSAEGAGLVGPAGLVWALRRNMATEASTSAAGAGGGSWVEGMSADNIKGLVLALSSSIFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIVSAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERAIESVAEVWILATEPAFLSYAAIVLAATFVLIYYFIPQYGQTHIMVYIGVCSLVGSLSDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPVRIPKHTDEDDYGAEGIPLRSAAEGIPLRSPRAAESFRSTSL >Dexi4A01G0022990.1:cds pep primary_assembly:Fonio_CM05836:4A:26196390:26197479:1 gene:Dexi4A01G0022990 transcript:Dexi4A01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVPDLAESIAGLILSSTDKEFQDECITLLGLGSDQDISEGLVQSSMCEKMVSIIELNDTKENVEDNAPYLFECERLDEDSQLDEDWDEDMIDEDMVFDF >Dexi9B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:9B:9327677:9328677:-1 gene:Dexi9B01G0013960 transcript:Dexi9B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARANSRVFEEYDPSVEWSFGAEADSVRMEEIRVLVDNHGHLRTSGQRLIAGARWSRFQKDFQLPSNCNVEGIRAKFENETLTITLPKKTPSPPPVPVAPPQAPRPPVAAAPSQRIPPPIPEARPAPPPPTVPAAKLAPASSQKQPAAESRPSLPPAVEPPAPEVPARLPSVPTPAHVSAKPEQPSLAAVPRPSEVEEEAKRREREMMGKMEEDRKATAAQEERRDEAAAMGEMEMARQPRPASASRGLLVNVAVAVVVLLGITAYVWHSLRNATGGDHGHGRMGAGSYGDEM >Dexi1B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:1B:391554:393425:1 gene:Dexi1B01G0000410 transcript:Dexi1B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGKKTTASSWWSMEAVMLPASMVLVQVFTMGALLLSKLALNVGMEPFVLLAYRNLIGAIIVAPFAFYFDRAMLRKVNLKVMCWLSVSALLGIVLAMGLHYYGLRATNAAYSVNFLNLIPVVTFAMAVALRLERLSASTWAGRMKMAGTAVCVGGTMVASLYKGPLLHPWPTHLLHHHAAAAVPAHRNMALGTVYLCGSCVAYALWFIVQARVGRVFPCKYMATMLACVSGTLQALAIGAAVSRRAAAWRLTWDLQLLAVVYSGALNTGATFCLISWAIARRGPIYPSMFNSLALVGTMVMDSLLLGTDVSVGSLLGALLIVLGLYAFLWGKGREMSKQQQHQQHPPHHLTPGPNNNQPPPDASTATAPGDDQRV >Dexi6A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:6A:2642814:2648101:-1 gene:Dexi6A01G0002860 transcript:Dexi6A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASAAAALLRRLRRHRAGPAAAALLPRQLASSDSAPSCSSLGALHGESHLSEGGGGRLAFHPRPRFPPQQHAAAMATRAAHQAASSSESPVAAAASSEASTSTIPAASQTEEIVDFIKSTFGKLEVAFERLKYLQQRYPRLNVFAVQHGSDITSAQIQAVRAIVTEYIAFPVLMLDKDFSNVRFTPMPFKCCLMSVQMTNGDCYLLFEGSKDPMLFTKWVEEPDVMIKAIEELSVLKEEPPENVLSRVSWQKEEVVKEPYVGFFRNLLLCHPACVSVDEDGDRIFISDSNHHRIIISNGDGMILDCIGSSPGFEDGEFESAKFLRPASSFYHGDEDFLYIVDSENQAIRKADLERRTLETVYPVSDKSSGIWSWITDKLGLRKEVAPSIRDFDADSVALPWHLIQISDDELLVADRSFESPWILRISTGKKQDIGRAEVMETYQQTVNERCALLKDIHMNRSSTAKELSDSLEKVTRKELVSSVSRFHNYVIFGDTDGQRVLKHDLDTKTTSNINFSNCEVLGLPYWSICNLERVATWGRSTEQFQEHLRRVDVLPGRCNITVSIGIPVDTELAAPLAENCICRQVRGSGAEISGSDGSETPTEKVGIAQQWYDELDNLAFSEVPEEPSTAHGGDEKPADQSYQDQRRVQFACTVNVSPGTCELLASAALYLKPARAIGDRVELTALVKRILGGCQRREEHAGVELLMGSREDVRDLVVMRPVHLRLRLECGDHPAGATNKETISTESSLKIDVALD >Dexi3B01G0028470.1:cds pep primary_assembly:Fonio_CM05836:3B:24900634:24900852:-1 gene:Dexi3B01G0028470 transcript:Dexi3B01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDVKGAGARELQHARDRCPLVLPHPRVGRRSSPPPALHLTPGQLASVVPAAAASDAPAWLSFRLSPAMLR >Dexi1B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:1B:26494032:26496535:-1 gene:Dexi1B01G0020420 transcript:Dexi1B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPANQLSSFGHSLLSPTSPHGLLDEFALQAPSILMDQTTSANLSSVTTGRSNNSSCGSNLPAPVPMPATKPPLVQQEPELPNKSAPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTFKAQDSAEDMLLKDSLYAAAAAAANMGITPF >Dexi9B01G0046010.1:cds pep primary_assembly:Fonio_CM05836:9B:45417015:45418697:1 gene:Dexi9B01G0046010 transcript:Dexi9B01G0046010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAMAKVSVGSPGGNRAAGSLGRRRGAVAVRFGGSWSWRKSPFVGGRMAVGPRRSRPASRNLVASSVQMNLSFGKTMKWWEKGLQPNMRAIHTAQDLADSLINAGDGLVIVDFFSPGCAGCHALHPKICQFAERSPDVQFLQVNFEEHKSMCHSLHVHVFPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHRPDRCSLGPIRGLEESELLALTANKDLKFTYTREPEVAPTMDDATEIITPDLPRLPTPPKPLVRQGSEDRALVSLGR >Dexi5B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:5B:18916105:18917054:-1 gene:Dexi5B01G0017350 transcript:Dexi5B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINRRRRRRRHGAAGDDRISNLPDELLGCILQRDLQLGTCDDADDHPGATRLDTVDAALHALDAAAPAAVRRRLDVAMHCHGLRVHPRRVAEWLRFASQHHVEEVSIEVPSQTRFVLAGSMVHLVSSQCPRLRNLYLSVSLADVSDVSIRSDSIQSLELCVKYARKFEAMAPELEVLSMSDATEARIYAPKFEEVTWDGDIAYDPRRHCFVDAGRHLRLLDLGTNGAAVASLMQRFDKANALKLNVDLNNTVRWDHWDTLVS >Dexi2A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:2A:324576:325448:1 gene:Dexi2A01G0000600 transcript:Dexi2A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRNPVMPKRRVVRVGGCRVQTTVTSRPAVVRRWLYVTLWLNRRRIHSGGLTVGMGVQWTPQFRLRRSRRLPAGAEPRPGTLQLCVGNRCLVFQIARAGGAGAPPQILRRFLADGRVTFAIYRVESDRRKLRAHHGMEVESAMELQGAGGLGNWSMKTMAEKLLGIRSGVEKPEAVMTSRWDGPTLSREQVRYAAVDAYISCRLGVQLRRWAAAAVARARRQVCLAEYYSCDDESEGGYNSENDDDAQSEASSEPDYYYRGGGGGGGWDDDRAGDDDQLYDSICSSVY >Dexi7B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:7B:20235058:20243079:-1 gene:Dexi7B01G0013800 transcript:Dexi7B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIAKVHLEELKRVVDLKAALRQSNLNPERPDANHMRALDSSIKHITTVIKKLKMINDEVKDGLIEELKTVNLSKFVSEAVYYICTAKLRSTDIEAAVQICSLLHQRYTDFSPCLIQGLLKIFYSGMPEELNLDNNARAMKKRSTLKLLMELYFVGIFEDVSTFTTIIKDLTSLEHLKDREATQTNLSLLASFARQGKLFLGLQQYEQDAYDESLRVMESENAKILNDKGELSDENTALYEKLRRSFDQLLHSVSSLAEALDMQPPVMPEDGHTTRVTTGTDVTPGKEFSVVQHIWDDEDTKAFYESLPDLRTFVPAVLLGEAKPKLEEQHGIHKQSDEFTIPSKTEVSDNCENSIPDHQYDAKANDEPKNTMNTKKETVDKETFEEKLDGRKGDTEIDKVRSMDGASLDNLLQKLPRCCSRDLIDQLAACLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMSNMLEILRRLKNAKNLDAHHSTLVENAYYMCKPPERSSRISKVWPPLHQYIWRLLFKDLDKSTVQHVLLQLLKLPWAECEQYLVKCFLKVHKGKYSQVHLIALLTAGLSHYHHDFAVTVVDEVLEEIRVGLELNNYAMQQQRLAHMRFLGELYNYEYIDSSVVFETLYLLISFGHGTPEDMFADLRPNMTRHSSIEGLNDALIQLEENERVITAEKCEHERHSDSESQLKQSEDAAFDANGKRAENRPKKNVKDHDEVAESENSFDSRSRYLNAHEDGEHFPYEERSEDRLENERHSDGTDAPVGSDEEETADVQRTVVQVDPDQEDFDRELKAILQESLKSRKLEMARPTANMTIPMNAFEGSKDLMATEAADKENVCGEIGQPGDLGDVRVKVLVKKGHKQQAKQMLIPGDCPLVQNTRQQGAAELEEMQNIKQKILEYNEREEEELGRVSVHSGDWGQGGSGNMPLAGSPGHVSWNGRNRGGGVRRHYWVAGGFYRGYGRR >Dexi2A01G0031340.1:cds pep primary_assembly:Fonio_CM05836:2A:42114400:42116059:1 gene:Dexi2A01G0031340 transcript:Dexi2A01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVEPKKKGNVKFAFACAILASMTSILLGYDIGVMSGASLYIKKDLNITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRYTIVFAAAIFFAGAFLMGFAVNYGMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLRLGWRVMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLGKTSDTPEEAAERLADIKAAAGIPADLDGDVVTVPQRHDNEEARVWKELILSPTPAMRRILLSGLGIHFFQQASGIDAVVLYSPRVFKSAGITDDNKLLGTTVAVGVTKTLFILVATFLLDKVGRRPLLLSSVAGMVLALVGLATGLTVIGHYPDAKIPWAIGMAIASTMAYVAFFSIGLGPITWVYSSEIFPLHVRALGCALGVATNRVTSGVISMTFISLSKAITIGGAFFLYGGIAALAWVFFCTFLPETRGRTLESMSKLFGATDAGDDVKPQDGADKKLEMAATAN >Dexi5A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:5A:4645768:4647092:-1 gene:Dexi5A01G0006240 transcript:Dexi5A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSILLAAAERMRLPRLSGSRAGLGAVAGWGRAGGHPTLDASSCDVRPPVALATIGCRLGLPDGSSVYPQRFVLLRLATASYALGEFRR >Dexi9B01G0048800.1:cds pep primary_assembly:Fonio_CM05836:9B:47675439:47678426:-1 gene:Dexi9B01G0048800 transcript:Dexi9B01G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHSERVPICSPHSAPLASASDICKVEENQQRTLEADTSNASVNQSPQSKIFHVSSPDNQDIDREVPSPTTRSENEELPADNLTPASPEPFPSPTPRSENKELAVDNSGLAAASPENVTTTNSSGSDTRKMEKIVSEQNLPEAVELNSSDTNCQSKVSPASSPSNKDLECECPSSSPRYEDRIPSSAPRSENKGLVVDNSASPENVTATNSSGSDTRKMEKIASQQKVPEAVASNSSDNNCPQSKVSPASSPSNKDLECECPSSSPGSERVPAADNSLLASAAPENLTAASPPASSTCKMDFVDERKTLHEPHQPPHSHLFPVSSDNQDIEHKCPSPTPKSDIKEPPVDNSVFTPTTPENPTAASVSASDKCKMEEFVNQQKTLETDATNDPLNHPASLNQPPHSHIFPVSSDNQDIECGSPCPTPIADIKDPLANDPVLTSAGPENLLTVSSTCKVEEILNKERTLDGDASNVSLNQPPHGSTLCPDNQDMECEYPSPTARSETEQPLMDNSGLTSIVPETLTSASASDMCKMEMTLIEKRTLEANPSNGSVIQSSHSKVLLVSSPEREFPSTGARPEIEEPVDLSSVLTSEAPENLTKQYVDSSEAFVLSKASPPNGESDFKCEQIIQRELCCESESTVVTRGDMIIDPSCVAESIDVSDVLESLMEEQRSGTLYMQGTTDLGDFLATSAEEPQCSSPIALSPWGEPSYYQGDAVDSALWGVQDDPINDMWSLLSPRPALQPPSGIETEGKGTYDISEVGLALGNNEIVQRGSVPGVDNVNQVNLVAPTDWVLPEQVLSMPNDMTISSVAKSTGVVGWQSSANQSLNEGTRWSTSQNLNISSNEKAEPSSKQTWEASRKQESTFSSVSSSGEAIGSIRKGLNPPSGNANRGGQRSHRNRGRYSQISESWLLSSNQSRSRSDRFGSSGSSRSTSKGQTRG >Dexi1A01G0031240.1:cds pep primary_assembly:Fonio_CM05836:1A:36293780:36294071:-1 gene:Dexi1A01G0031240 transcript:Dexi1A01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPYCEFLEERQDRRFLGVIAAGRRGRCGGDGFGGVGRHGENRRPGPTSPDMEPGGSGGGGAGGGKERGEERAEEDGRGHSKTAASSLFA >Dexi3B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:3B:14350661:14352869:-1 gene:Dexi3B01G0019340 transcript:Dexi3B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFAALGPSGGGRRRSGSFGMKRMPRVMTVPGTLSDLDDDEELAATSSVASDVPSSAVGERLIVVANQLPVVARRRADGRGWVFSWDEDSLLLRLRDGVPDEMEVFFIGSLRTDVPPAEQDEVSQTLIDGFRCAPVFLPPELNERFYHHFCKRYLWPLFHYMLPFASPLAPTTEAASSGDGGRFDRSAWEAYVLANKHFYEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLPEKEQKVAELRQRFEGKSVLLGVDDTDIFKGINLKLLAFETMLRMHPKWQGRAVLVQIANPPRGKGKELEAIQAEIRESCERINREFGQTGYSPVVFIDRNMSSAERLAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPEVNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIETTAEALNEAISMSEQEKQLRHGKHYRYVSTHDVAYWSRSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNLDTIVLSYERAKSRAIFLDYDDSSNIVFIVSGRSRDSLGALFASCPKLGIAAEHGYFLRFSC >Dexi6A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:6A:24587244:24591894:-1 gene:Dexi6A01G0016710 transcript:Dexi6A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEPRQQFGRLEPVRGAATNGAKAYPPPSSHHIPRRADSPVRGCGFPPLVSPPRNNTKHDDASDEDEDEQDDWRELYGSHLQLELEPAVHDARDEGTADAWIERNPSLIRLTGKHPLNCEPPLSRLMHHGFITPAPLHYVRNHGAVPRGDWSAWTVEVTGLVRRPARLTMEELAGDFPAVEVPVTLACAGNRRKEQNMVAQTVGFNWGPAGVSTSVWRGARLRDVLRRCGIMPRKGGALNVCFEGAEDLPGGGGSKYGTSITREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLKRIIVTPAESDNYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSRGYTMKGYAYSGGGKKVTRVEVTLDGGETWLVCDLDHPEKPNKYGKYWCWCFWSVEVEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHRGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFLNTTDGKQFTMSEVRKHASQDSAWIVVHGHSLPIGSYIDVKGPLGHVEYTGRGNFVINGKQRHAKRLAMIAGGSGITPMYQVIQAVLRDQPEDQTEMHLVYANRTEDDILLRDELDRWAVDYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEAILREHVPEGGDDTLALACGPPPMIQFAISPNLEKMKYDMANSFIVF >Dexi4A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:4A:25070343:25070683:1 gene:Dexi4A01G0021620 transcript:Dexi4A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLLGLCCVAALLPLLLRPVARFAARVAAGGPAAAAATILHRAGALPRDRGLERLVRGDVLDGRDGRGQDCIASFVVGVMRCLC >Dexi7B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:7B:16923683:16924155:1 gene:Dexi7B01G0009290 transcript:Dexi7B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWILASHLGKHDAVTMSRDYALAVLYGCTRSAPACLGAQDSKWVVPSKIYPVEIRSAGQAPTVSIALCLSFAQTQTKGMPLEAMRSVWAGDVHWYWRRFTGIPPGMPARRFRVKNYYQKEK >DexiUA01G0016110.1:cds pep primary_assembly:Fonio_CM05836:UA:34297494:34299014:1 gene:DexiUA01G0016110 transcript:DexiUA01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPAAATTTTTRRPLLVLVATVLASSLLPPPSDAAANPAAAFDSWIAKNQENYIVNMALYAKKAAGDGGKTLDASLSAAEEKKTTYVIDPSGKGDYATITAAIADIPNNNDRRVILDLKPGATFREPGHHRLERHGGDAGERRNTVAIEADYVMAYGVVFKNDAPLAKPGAKGGQAVAVRVFGTKAAFYNCTIDGGQDTLYDHKGLHYFKSFVDFIFGFGRSFYEDCRIESVVKEVAVLTAQQRTKSIEGAIDSSFSFKNCSIGGVKGGQIYLGRAWGDSSRVVYSYTVMGEEVVPIGWDGWNVAKPESSGIYYMCSGPGADAKKKKRVGWALDLTEEQAKPFVGAHYIFGDSWIQPPSVTEGGAKGTVTGKKSGNETTTDDAAAKAPAPAAASEEEEAKAPAAAAASGSEGANSSSSICKCVVYNSSVCECVIYNDSICECVVNSHNGQSGNCVNNNDKRSKSIRRTLSLHKKRSHLLGWINK >Dexi3B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:3B:1312434:1313664:-1 gene:Dexi3B01G0001840 transcript:Dexi3B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPVYRRVFLAVQKHVDGGASKQHFRDFVAAEFRATAGTETDARARLRLAGDYAYLLTSAHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >Dexi4A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:4A:3226462:3228070:-1 gene:Dexi4A01G0004580 transcript:Dexi4A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGIVFLEIEIPASTPKRNRLATNTCSAPFLLHPLLSSPSSVSAPASAAAPAGAMDLFPDRAHVRLRSRVRGGYLHADEDGVSVSLRARRASLNTAWQVHRVPRDDGVTYVLLHSAAYGCGAYLRLYRTPGQEDVLWVAMRYGDHVRLRHVSYSLLRANGRYRRWLNGVSVDNDASNQSTMTHWRVEAIPPRAQPPALPLPTPHPEELRRIIVYVQVDDLVNYDPLARRTFWFHGRSVSNLRNSLANHLNEDSVDNITPCVRAGSQGRLTPLVVDLPRSEVPMFIIVLTTGSPGHT >Dexi4B01G0022200.1:cds pep primary_assembly:Fonio_CM05836:4B:23877136:23886768:-1 gene:Dexi4B01G0022200 transcript:Dexi4B01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGSGPWLRSANGFLGRHVWEFDPDAGTPEERAEVERLRQDFTRHRFQRKESQDLLLRLQVYDGCQNWETIFTVQSFCSTDLIHEFCPTVERAHDYIKRSQALMLLSKISPNLVGNSMEEERLYDAIDCILYSMVYPRSSII >Dexi5A01G0038330.1:cds pep primary_assembly:Fonio_CM05836:5A:39362141:39363326:1 gene:Dexi5A01G0038330 transcript:Dexi5A01G0038330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRALCVVSGGPDTHRGKCQQDHVGDGPPKSTSARSQGRAAPAIALRPPPSFSKSVRSPMLPCTCKESSLRRSACLAHTSASFEQNKNTCVQDGIYHWCHIRSGGIHPRSTIVGVVAVGPSLKAGQPAPRRAGGKVLMCWCLQQEASSSIVEIPQRQALVASGHWRRRARRYL >Dexi1A01G0011900.1:cds pep primary_assembly:Fonio_CM05836:1A:11132220:11136915:1 gene:Dexi1A01G0011900 transcript:Dexi1A01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNRGPDSERLRNTIVKTIGSGDDFPTSVLIWHVATDICYYAGDNRSTDSDKMKKHKQMSRELSHYIMYLVFKSGVMLTANSQHVHEKAHGEIGTILSGQGQQVTNLDEKAATMKLFQTKKKEEQHHQPTTTIDTKKHEEPAEDDNAAASFQQKLLQITQGLDSPQRAAKLSQSAQVLHSPVVPRACEVAQELSSINDDTGRWDLIASVCSASGGVSSRQRARGCSLWVAAVGINPTAAAAPAHLCSGESRDWGGESRSNRGDWGIRWPWHVVLLCSNLLELDNLGSSSSALRSSCSLSIEPRQQQLLALRWGKQRRRPFAGPATPFCFFFLQPIPAHPIPCGDLWIRKSTAGAASALELRAAAGT >Dexi5B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:5B:7643968:7645963:1 gene:Dexi5B01G0010830 transcript:Dexi5B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLAANPAASSSAAAAAVPTALPTGAGRIAFGRSWTIPRPVALRLRLRARGVRREGGGGGVRTEEQLEQEATARTFYDLLGISAEGSPDEVRAAYRRLALKYHPDVSPPGAAAENTRRFIEVQEAYETLSDPSRRASYDRALARGVCRLAFSGTRSHRAYYHHQDHEEKSGWRRSWEDQIGELKRRSMTKDSEENLSWGARMRRRRAEASPPE >Dexi3B01G0036130.1:cds pep primary_assembly:Fonio_CM05836:3B:39031256:39031828:-1 gene:Dexi3B01G0036130 transcript:Dexi3B01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLHSLLAVAVAAALSFFFLSCGAAAADTVADSCEAIRDFVDVSFCASRLRSVPGAAAADRHGHLLMAADLAAASGASARDAAAALARRVAGDDQAARLREDALEACGMLYGAASVPALRLMRGYAAARSWAAARALLPLTGQAGIGCDAALGGSPAATAGGGEMAAANREFDQLSTMATALLNKVSVS >Dexi4A01G0017650.1:cds pep primary_assembly:Fonio_CM05836:4A:21430762:21432561:-1 gene:Dexi4A01G0017650 transcript:Dexi4A01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNGTQVVFRILLCCALVSTVTIFLPLALRPCAHSLSRTILATTGLDPQLICCTGATATKASLPGAIGATVNRAINGGRPIVTDVLRCGEPSLPPHALPPFHCCPLTPPSEAAVVNFTFPNPAEPIRTRRPAHDAGDDMAKLERAVAIMKALPASDPRSFYPAGQRPLRLLHRRSPASRVIHYSWLFFPFHRAYLYFFERVAARLLGDPSFAMPFWGWDFFSDESSSLYDALRNPRHAPPRVVDLDFWCVEKNITYVEKNVTDAEQVELNLRIMYKAMVTNAPLPSLFHGQPYRAGDREMPGAGTVELALHNVVHEWTGDLERPNYENMGAYYSSARDPIFYPHHANSDRLWEVWRGDAGDERRPRHADFTDPDWLDSSFLFYDEEAMLVRVTVRDVLDVEKLRYTYADVGTPWLDARPPVTAGVSLRRRGPPALESVRFPVFLDAAVSAAVMRPWLPGNVRGRRGDVVEVLVVEGIEADGADFVRFDVYVNAMEHEKVSPGAREAAGSFVSLKQPGMEVVQTSMRVVLDEVMEDLGAEGDDSVTVTLVPVMGKVRIGGLRVVYMAEQDDSNSTHEVHVLR >Dexi5B01G0023100.1:cds pep primary_assembly:Fonio_CM05836:5B:25325167:25326537:-1 gene:Dexi5B01G0023100 transcript:Dexi5B01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIAAGEAGLAGERPVPEGEVAHVVVDVADDGCDLERQGSGCRICHLPDVDGDGDELPRRLSGRLVSLGCGCRGELAAAHLRCAEAWFSVRGNRRCEICGENAVNITGGGGKEFIRQWHDTAAVDGGGGSSKACGGFCRS >DexiUA01G0003420.1:cds pep primary_assembly:Fonio_CM05836:UA:7025470:7025874:-1 gene:DexiUA01G0003420 transcript:DexiUA01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATTVSNQRVTAAASCSRSQQCEEWHSREAPEHPHLLLNGTPKPGGSRALTTRGLSGLVGWSGVPAWPRGSPATHREKAACVSRALVTGTAASGGVQAALLASEPQPVGVVGAPRTLGGRCTRRREPRTYSR >Dexi6B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:6B:2753329:2754897:-1 gene:Dexi6B01G0003360 transcript:Dexi6B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSPPRRPQRRAATSRPASYDESLDDAALQAYLGDATSRRVRRLRRLSAEERQRETETEALIALSLGFPIDELHPEERPLLPSHIAEAPNDYIVVRNHILASWRADPGAPLPRARVLETVAASYDHLVAAAHGYLVREGHINFGVSAAFPAAPPPDAALQGPVAPGAAVVVVGAGLAGLAAARQLLRFGLRVLVLEGRGRPGGRVYTSRLGEDKAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDRCPLYYPDGRTVDTKLDRSVDLVFNTLLDHATRLREFLKEAAERISLGEGIEKLRRLYHVARSDDERMILDWHFANLEFSNAGCLSELSLAYWDQDDPYEMGGDHCFLAGGNSRLIDALCDGVPVLYEKTVKRIEHGADGVSVTVEGGQVFKADMVLCTVPLGVLKSGSIVFDPELPEQKLGAVQRLGFGLLNKVAMVFPHVFWDEDIDTFGCLNKDSSKRGEYFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPVVALHRVLAILRGKL >Dexi2A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:2A:30080923:30083440:-1 gene:Dexi2A01G0018100 transcript:Dexi2A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLGLAYPWGRDARRGTPVVVTMENPNYSVVEIDGPEAEALRAGVLPMDKGRGRSAKQFTWVLLLRAHRAAGCLASLAAIAWALPSAVVRRFRRAAAEGPGHGRGWLLYRFIKGFLALSLLTLAVELAAYWKGWQFRRPNLTVPEVEEIQGWVHLVYLTWMSFRADYIRRPIEFLSKACILLFVVQSLDRLILCLGCFWIKLKKIKPRIEGDLFRDGSGYRHPMVLVQIPMCNEKEVYEQSISAACQLDWPRDKFLIQVLDDSSDESIQMWIKAEVSKWSHQGVNIVYRHRVLRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPSTDFLKKTIPHFVGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKNTMSVTKFNAMLSGLFKLGSSYEWIVTKKSGRSSELDLFAATEKDKKGAMLPQFQKQLSEKGELVEVNVEKEQHEKAQHDAKKANKIYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLAVGLDLIGEQIS >Dexi6A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:6A:28886623:28899822:-1 gene:Dexi6A01G0021760 transcript:Dexi6A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGRSINNRASGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHQPNQEELHFTRTITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEENSALVYQEKRTIVMERKQKKAQKEEAEKHLRLQQDLKLLKTEHSLWQLYTIEKDTEKMEAELAEDRESLQQVQEENQSADSELTAKKKEQSAFLKKMTLCEKSIAKKRLELDKKQPELLKLREQISRLKSKIKSCKKEIDKKKDDNKKHLEEMRRLQSALVDVTKAIEELNEQGQDKSEKLQLADDQLQEYHRITVGILIALYFHYSKEDAGMKTAKLRDEKEVIDKKLNADVEAKKNLEENMQQLRSREDEISSQERELQARLNKILNSIPKHENELTQLREEHNRITKERQSSGSRYQTLKQRVDEIDTQLRELKADKHEIVTVDGILLTKSGTMTGGISGGMEARSNKWDDSRIESLKKKKNLMETEMSELGSPRELQKKELAISEKITGLEKKLHYLNVEQNNLRAKLLKLTSERSNIEEEINRLEPGKEELETRIAEKEAEVSKLEKKINEIVDKLYRDFSISVGVKNIRQYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQTPIVKLKETYESLEKELKGLQERESGAKADAEQISTEMDQLKAEAEDWKSKSDECENVIDQLKEQNANVASTLAKLDRQVKAKEGQLMQLMSRQREIHENCELEQLKLPTVNDPMDTGSSSQESVLDYSLLSKIYLKDMRPSERDKHEAEFKQRTDALLAEIERTAPNLKALDQYDALQRKEKEVTEKFEAARKEERDISDNFNSIKQRSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVSDEQGVDGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >Dexi7A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:7A:24292751:24293678:-1 gene:Dexi7A01G0014340 transcript:Dexi7A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNAGSFLAMRRLSGGGIVHHHHSSPAEVMGASTAWIGRGLSCVCAQRRDSDARLSFDLSPIQE >Dexi3A01G0035640.1:cds pep primary_assembly:Fonio_CM05836:3A:40899651:40899992:-1 gene:Dexi3A01G0035640 transcript:Dexi3A01G0035640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAARWRKLKPAAAAFSAAVAPWVGGCVWVPRRGGGAGEAMGEVRTAAGEGGGAVRIELAAAARGANRSEPRGALELRVLQCMEEEEAGEVAARVCIAGC >Dexi9B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:9B:1851705:1856591:-1 gene:Dexi9B01G0003240 transcript:Dexi9B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAPVPPQLLLLLLVAAALFLAAAPAGAGEFDVRRHLSTVTRYDVSRGSSSVTSMPSTPDGCQVIHLNLVARHGTRAPTKKRIKELDRLAVRLEALINEAEQGLKSDSLKNIPSWIKGWESRWKGRTKGGELTSEGEEELYSLATRVKERFQDLFEEEYHPDVYSIRATQVSRASASAVAFGLGLLSGKGKLGPGNNRAFSVLSESRASDICLRFFDSCETYKAYRKRKEPDVEKQKEPILEHVTASLVNRYHLKFTTQDVSSLWFLCKQEASLLNITNQACGLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIAREENRPVGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREEALDLPPVPPQGRNWKGSVVAPFAGNNMLVLYQCPGKTSDGSTSGGQNNSYFIQVLHNELPVSMPGCGNKDFCPFEEFKEKIVKPHLKHDYDMICKMKPPVAREHATFSSKLSGFFTGLLSQRGYRAANAESVKTEL >Dexi9A01G0036480.1:cds pep primary_assembly:Fonio_CM05836:9A:40904217:40906405:1 gene:Dexi9A01G0036480 transcript:Dexi9A01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAECAAAPAAADVVAGGGRAEIDTSAPFESVREAVDRFGGSAAWTSDLVRRMFKKHEHTEQAAEVINVEEQAAELENELALKERETLDVLKELESTKKIIADLKLKIQKEATETSPEEAVEPVEMDQALAAGPDEQQPENVTADVNMESIEENLQLPSGSVLVELEQAKERLNRTTSDLAAVRAAVELLRNSIAKEKMLLERGREKLSSNTSMISSLEDELNQTSQKLETLKDLQRRRKDPSDIFIEIKKMTSEVQELRSMANNSKSEAMLLAAEIEQAKASISTAEIRCIAAKKMEEAARAAEALALAEIKALLSSESSFEGDHGSDGVTLSMEEYFTLCSKALEADENSKKKVGDAMLQVDIANSSESESVKRLEDAKVEVEECKKALQEALKRVEAANHGKLAVEEILRRWKSESGHRKRSIGGSPKFKNTSHRRKGSYSMDIVDDASDRSFKQTLSIGQILSMKLMGSDGYDKTVWDDKTSETPNISLGQILNRSGVLCREDMVSRKRISGKRKKFALTGLSVLLAKQSKSKKKRESF >Dexi6A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:6A:22638416:22639228:-1 gene:Dexi6A01G0015240 transcript:Dexi6A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKQPPTQHTLYAAKRALGAIPAATPRAYPSVDAGNTYLPAAMDAVCVPCPSVSSGDLTSAVSFIRPEPFEKNLAPTSLRLQEVGGNEGPDAQTPRHRVGTGPSPRSWKLRWDGEMPVSTMAMTRSAPKSESASSAEGGEESNPRNRGERVVARRRTRSGTTAATPGAEVWIKGPPSGHPAPSPSEAADADITAASYQCAWVGYTDGFASASTRTMYVRSAPLPPASAAAAATSRSPRRRRMG >Dexi9A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:9A:9200049:9201713:1 gene:Dexi9A01G0014000 transcript:Dexi9A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEFNVAAAPPRHCSHRHHHSSRPPALPPAICPPPPFPPLAMAAACVEPPAGFARHHAATQAQPTRKRMRVAMGTTDDYEQEEEAASCLGEGGFGAVVRARHRATGQPVAIKRLRTGGDQTALLRESLFLKAASAGNPFVVGSRGLARDPATLGLCLVMDCGGTSLHDALRPQRNGGPPLAEATVCGAMWQLLTGAKKMHDAHIMHRDIKPENILVGDDHLRFCDFGLAVYMAERPPYTVAGTLWYMAPEMLLGKQDYDALVDTWSLGCVMAELVGGVALFQGCDDEDQLCAIFEVLGVPDGDKAWPWFSTTPFATKMDEADKKWLNHDYLRQLFPETKLSKDGFEVLSGLLTVNPDKRLTAAAALKHSWFSCIDVLCGLLTVNPDKRLTAAAALKHPWFAKIDVLEHAGA >Dexi5B01G0025190.1:cds pep primary_assembly:Fonio_CM05836:5B:27302316:27302975:-1 gene:Dexi5B01G0025190 transcript:Dexi5B01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRPTPSFHVHGADIESATSPCELKRDRDDLLVSIVGQFSMIVLGILLPIYFFVYDMPPEFSVHLPAIKGLDTLAPSSSSSGAPISPVFDVTLHASNRRGTGRCYHNGEALVSYAGFTIATGRVPGFCLRGKGVGEIGLQLASADGGVRLPMHLLNRMARERRVGAVQLDVEVKLFRRDDGSDRPMWIWCELRMDEAQPPSSCTVLGLQNWFSMNYDA >Dexi3A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:3A:15616659:15618734:1 gene:Dexi3A01G0019700 transcript:Dexi3A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPAAMRETAAVPEAHREALEYIERVTAAAGSVQHRVLAEILAQNAPAEYLRRLGVSGDAPGAVEAFLRAAPLVTYENILPDILRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPTIADEMDRRSHLYSLLMPVMSQSVPGLDKGKCMYLYFVKAETRTPAGLPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAVLCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWPRLCRDIRAGELDAEITDRSVRAAVGRVLVRPDPALADAVEAECARPSWEGIIRRVWPNTKYVDVIVTGAMAQYIPTLEFYGGGLPLTCTMYASSECYFGINLNPMCKPSEVAYTIIPTMGYFEFLPVHSSGSNNNTGAAEPHHRDLVSLVDVKLGHEYELVVTTYSGLCRYRVGDVLRVAGFKNKAPMFSFVRRKNVVLSIDSDKTDEAELHAAVTGAVQRHLAPLGASLVEYTSYADTTTIPGHYVLFWELLRTGTPTPVPPASVFEDCCLAVEEALNSVYRQGRVADRSIGPLEIRVVSKGTFDKLMDYALAHGASINQYKAPRCVRPGRLVELLDGRVQARYLSPRCPKWSPVGRQWSSNTAAAAAAKKADGNGGGAIAA >Dexi2B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:2B:11723624:11725530:1 gene:Dexi2B01G0010460 transcript:Dexi2B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPNLKGYLVGNPTTGEGIDFSSSVPYAHAHGIISDQLHEAILEHCQGEDYANPENVLCAQSMNTFNNLISEVETGQILLDKCVFASPVPNVNIRRIDRSDGRKILHEEVGVEQLDHPPARPASLSCFTYRYYLSYYWANDRRTRDTLGIKEGTVDEWVRCHEQGDLPYNQDLKSSIKYHRNLTSRGYRALVYRDVCDFCHGCSGDHDLVVPLLGTEAWVRSLGFSVVDDWRAWHLDGQSAGFTISYSNNMTFATVKGAGHTAPEYEPERCFAMFNRWILNRPL >Dexi9A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:9A:6164834:6165146:-1 gene:Dexi9A01G0010140 transcript:Dexi9A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAMPLCCCASSPAFSSRGHRSPVRRPWSPACLGYVPVDDETGAELFYYFLWHWSGPDCSALSALAFHNPRFVERRYGGTYIAAAVP >Dexi1A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:1A:5991124:5991434:-1 gene:Dexi1A01G0007760 transcript:Dexi1A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSSLHHRCWPLFFSFPFLRLFVLAGYGFF >Dexi5B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:5B:13729969:13733363:-1 gene:Dexi5B01G0016160 transcript:Dexi5B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGGNKGRVTPEEEEAGDKEATAEAGAGPRGGGRGGEGGGSAAAEAQRRNEMAASGMRRRRLLTGACVREAEDGDKGKKTAEDVNKRKVAHLKSLGRMAVEREDYLSASGFYSKAMDLDSDDATLFSNRSLCLLHMGDAQKALADALACRKMRPDWPKACYRQGAALMLLKDFESACEAFFDGFKMDPKNAEIEHALRYSFDSEHAYACFKTFHWFQLQS >Dexi3A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:3A:3236235:3241745:1 gene:Dexi3A01G0004990 transcript:Dexi3A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGQPNPMGQGGQQGLVGASLLRSSSALLGAGAGGQPGMGMGAAGVLPSHSPFSSLVSPRTQYGGAAPGGLLAGASNVASLLSRQQSFGNGGTGPMPGGLPMGGLQQQHQHQQLQHQQRGGGLDGVGDLVAPGAQDSMAFPSSSQGSMGNQLGGDGLQQHQQQQHQPQQLDAPQDSQNQQQHQHHQQLSMPYNHNQQQQHMLPQTQQQQQQPAVKMENGGVLGGVKLEQQMGQPDQNGPAQMLRSSSAGVKLEPQLQALRTLGAVKMEHQSSDPSVMFGIVRYAIENQDEALVETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNSSGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSSVQNSASLSSQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQTGSGPIGGALFSCLLPSWLIYSLHKFPRRGAPATSSLQAPQQPEEQQPVPQNSNQSGQNSAPTTGGVPVSTSGNGDATSNNSLNCAPSTSAPTSSSVVGLLQGSINCRQDNPTSSGNGMYNGGNNASVARANSTNSMQSNPQASFPSPAPSASNGSMMPAPQHSSQMNSPTMSSNLPPMQTPTSQSQEPEPNDSQSSVQRILQEMMLQSQMNGVGPVGNDMKRANTITPGLNGVNSLIGNPMTNNSGINGMGFGAMGGMSIGQSVRTAMGNNAMAMNGRTGMNHSAHDLTQMSHQQQQRDIGNQLLGGLRAANSFNNLQYDWKSSQ >Dexi1B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:1B:9107194:9111792:1 gene:Dexi1B01G0009770 transcript:Dexi1B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRAASSAIRRPLLLLPSSRLQAARSMASSLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGQPVVLDCVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFTYYHPESRGLDFAGLMNDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHDVTKPN >Dexi9A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:9A:3169645:3173513:1 gene:Dexi9A01G0005680 transcript:Dexi9A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQHAAECRGWCCVAQIAQVHVFNNDHTPPLPRRRRELVGQIGSMVLQLYSADADADQMRLTGPHPAKFPGRLPPSHSRGVRHPATGGGIYESSVAPPSLGRGGMLPRHHRRPAHSEPAFITTRQSVAQAPGPWPTLRPRDGVPATSSDLDAVAPFMAAYGGGCGRIYYSVLPGTPCQDGHGFHGWPGHWVAGSRPSGWLAGRRAPSPADGGVMAPGRSAPTGPDLTSASVMALVVRAIADGLARSRPSRDKPALTLEPSSSLHSLTHTWVNSSPLLAWLANSDGVAVY >Dexi4B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:4B:1643875:1646638:1 gene:Dexi4B01G0002570 transcript:Dexi4B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLISGPGSTRQPLGPVAEPFAVRRYAFDSAPPASPPFPSLQRPAIRVPRPPTAGAPRVPPVRACFNVPAPPVRSSLVVPASRVGLSRSSACGSERAHSPSRCRSSSKLGTLFWFEMSAMNPRGYRSTRTSLFDGIEEGGIRATSYSSHEIDEQENDRAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDTSRGFLSGTVDNQDGKQQDKRLNSSQYFSEMVYGLCDMVQVFETKSSRRMGTLAASFVALFLLVYYLTR >Dexi2A01G0031530.1:cds pep primary_assembly:Fonio_CM05836:2A:42282264:42282941:1 gene:Dexi2A01G0031530 transcript:Dexi2A01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSSKSLLIALLVALAISLQPSAAFEIRIPPFPCIPGLPDIWFIPCYNATPAPPMKEITECWTPVMKMMPCAGFLTNASITEASSECCKGFKSVPDDGAAICYCHIGNGDIAKLLPGPLNFTRLYSLPKVCHDIVGLEAYAHCDPERAGVPPLTPPSPAPSSPAH >Dexi2B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:2B:431493:431906:1 gene:Dexi2B01G0000880 transcript:Dexi2B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGRVAVPVKRVWRGLSARLRLRRATGLGRLRKEVRTCEYSDVHVMWEMLSSSNGGGGGGRSSATRAAAGRGGSGGGGGGKGSRRCRVGRKAAVAWSRLASCCCAL >Dexi3B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:3B:9943819:9946515:1 gene:Dexi3B01G0013860 transcript:Dexi3B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMDLPTKGGFNFDLCKRNANLEMKGLKLPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESQYKEGLTREEGIQLVTAAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHELPNPRTYVSSKGYSFTKGQTEVLYTKITLLKQKVEVTEGGDAMEE >Dexi2B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:2B:4936587:4938923:1 gene:Dexi2B01G0005280 transcript:Dexi2B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMALQASTPSAFRTIQSTAHSSFRRPFHVRASAAAQSSTGGGNIAGADGKMMVPKDAASSSSTPWKVDFTGEKPSTPLLDTVNYPIHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESPHDAFGAGHSSTSISAALGMAVARDLLGRKNHVVSVIGDGAMTAGQAYEAMNNSGYLDANMIVVLNDNKQVSLPTATLDGPSKPVGALSRALTKLQSSTKFRRLREAAKAVTKQIGGPTHEVAAKVDEYARGMISASGSSLFEELGLYYIGPVDGHNVDDLVTIFEKVKSMPAPGPVLIHIVTEKGKGYPPAEAAIDRMHGVVKFDPSTGKQFKSASSTLSYTQYFAESLIREAEVDERVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVAHDVDLQRLPVRFALDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGIGAVLPVGNKGVALEVGKGRVLVGGDRVALLGYGSMVQACLKAAEALKEHDVYVTVADARFCKPLDTQLIRELAAEHEVLITAEEGAIGGFGSHVAHYLSLAGLLDGNLKLRSMFLPDRYIDHGAPQDQIEAAGLTPRHIAATVLSLLGRPLEAMQLK >Dexi1A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:1A:24334937:24335892:-1 gene:Dexi1A01G0017090 transcript:Dexi1A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQSMLSASGCIFLRSKPQVVRGGAIIGGVSSSRPPFLLTCNASSSSSPSSPSPAPPQEDPDCNEVECAPDKEVGSLSAEWLAEERTRVVGTFPPRKRGWTGLVAKDTAGQTNIYSVEPTVYVAESAISSGAAGTSAEGAENTAAIAGGLVLITVAAASSILIQVNKSQPQVPPEAVYNGPPLSYYVAKFQPEVAPPPPPAETLEAPAPAEAVVAAQDSAAAVEASAAAPEAEPLSS >Dexi1B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:1B:7953257:7957544:-1 gene:Dexi1B01G0009020 transcript:Dexi1B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARSPGSSRHPRALTLLAALLLPPLLLLAFSSPTSAAAAAAASTAAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQIGVSVVDRFTYYTLAFFEKLNMYSNASLKSLFNSYDPSMLMSTAYYRMDLYGRPLNEVPVTNFFGSVMKTLHTDSAYTGFLAAHDDETAISIIDDQHDHFMLKNEAGARASNLEKEAQLTPHGWTQALLEQLEGKNTDTVVLYGLGTMGILLALSTWLSI >Dexi9A01G0043330.1:cds pep primary_assembly:Fonio_CM05836:9A:46810887:46813058:-1 gene:Dexi9A01G0043330 transcript:Dexi9A01G0043330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGKATSDEHKNLNSEGRKKYSVVAQKVSTNLRYSNEVKKIDKMETVSAKGATFPAKTKFPEKTLMKKSITVDKVTTANQSWDKRPASSPSKPNITKQVSVPSQASSHYVLLKHKSTQKGKVTSPAETITRVHAKPGQSATRSSAANRKGKEGLDMSGSSLSVEPKLIASVEEQKDDLQIRGYYIESIQAELSPDATEYVDNSQPAPVETSKSISEDDVVWSTEKGELIAGEALLESVVTLEQQESLDSQEFNATLGESDPECKLAEQNIINGQASKAEDGQTDATALCRLSEHITTVENTDMYDSLWIGNDSKIEDDQVEVSAYVEPLISECKEQVSVCEDLGTSPELLAVHEKHVEEPESCLDFASGNAIENVKADEFFDARVNNSTSHCQSISETSSDSASGNAVVNVKTDEVFDARVNNSTPHCQSISETSTDFASGNEVANVKADEVFDVRVNNSTSHCQSISETSSDGGLLEEPKSMLIEPSDSAVDELTSVSNERTIEQDGLKSKVFISQSPEELSDDEFYEEYDFESSESGTEDEEDGKPASSTQQAGDSQDLFPDDERNAPEEAE >Dexi3B01G0025420.1:cds pep primary_assembly:Fonio_CM05836:3B:20173873:20174785:-1 gene:Dexi3B01G0025420 transcript:Dexi3B01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFSPPAPSPLAADDLADARLAPWPSSPLPAPSRGGGRGARRANPLFTILPVSALAIGLVLLVAVAVILLVTRRARPRKKKMDAAADDDDKPGAATTSSSCGSNNNGRCGGYANAGAAGCIYAGRLGFSTSALAPKRSRGAQVFTYRELERATEGFSEGNVVGKGAYGAVFRGRLADGTPAAIKRLRLDHRRQGEREFRIEVSQAKLRHPQCKPHQHRTHAHVGVSSRYHTAPPYMCTSTYHVALGVVYGVPTSSPVAAASR >Dexi6A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:6A:23774831:23777473:-1 gene:Dexi6A01G0015880 transcript:Dexi6A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPTPIFIPAKAHQPPFQAPAPSPPGPAPSNGAASSSTHKFNGRRRLLLSPETTPLPPPLWTPNNQPDKPLVSSATGTLGSLLSAGGAVRRASRSNPRATQRKPMATELLPVVDLRACSQSDLDALAAASAYALPPPNCPDADPLPPLKIDRAVFNESAGSRKQTFSRLRLGTAASSSSSPSARPTSAQPSSTARYDPDSDIVADYLRCLFVLDYPSLPPPPESQTLDLTEPPSSPSPPPDPDRETTNSKGISVDLVRLAGMVDPYDAELQRRTAGMASATELQGFIDSVAGQWVSPRQRRKYVDASFFGDHLPGGWKLQLGIKRKDRTAWVHCFSYVSPKGNQFSTCKEVSAYLMSLLGYPEVKSVTDNGADNVLRDAGLENLASR >Dexi7B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:7B:15439803:15441308:-1 gene:Dexi7B01G0007670 transcript:Dexi7B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLAINGDAGTGIGTGKKRKVRDSVVIDDVDDGADRERVVMRLLQTKERMMATRLELDDQRQMVSPDDDAGGGLRLMHLLLSSVIAGEAGDVHAATAALHEVYRRASYRGGDPAQRVAAHFADALASSRLVVLRPPAPQPTRTEQFLAYTMFYQASPLYQFAHFTANQAIMEAFEHGCRRSLHVVDFDVSYGFQWPSLIQSLSDAAATSRSSGCHDGDDYCKEPVSLLITGVGTNADELRQTEARLTRFAGGCPNVRFEFEGVVDDGSPTSVLHERIIRNDDDDATVIVNLAFPAAQSSSSTTTSTREACNSALARIRSMNPSLVFLVERGGGGNNATSRGRSRLLPPFTASLRYFAAVFDSLHECMPADSAERLAIERNHLGVEISNAMACLLDHNTHGDDRRHIMASEPSSSASDWKEMMESAGYEGVKLSSRTVSQAKLLLKMKSGCGGSGGGGFRVIEGDGGRAMSLGWRDSALITTTAWRRRRRSTSSKTSS >Dexi4A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:4A:11490773:11500926:-1 gene:Dexi4A01G0012740 transcript:Dexi4A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRGPRVTGIGASRGSRAGARRSLLAGIGTSRGGRAGVGTSGAGGQEGGGQCLIMGTSWLVPFFSYPLMKRLTQWSRAFCGSTRDFSRVYRAPATRRTPEEGVSIHGKVSASSPERVQEESPPTTSWVERWLPAAARPYAMLARLHKPDAIWLYTWPCLWSIAMAAEKAELPDMKMLALFGFGSVILRGVACTVNDLLDRDIDKKVERTKSRPLASGALTPAHGFYFLVFQVLLWLGFLLQLNNQSLIMGTLWLVLFFFYPLMKRLTHWPQAFLGFAMNCGVFLGSTAVKGSLDYAVLLPMYFAGICWTLVYDTIYAHQVFIDRVLCFYFSWQYYPFLAAAAGHLTWQVSTVDLSNKSDCNSKFVSNKWFGAFIFGGILCGVLAS >Dexi5A01G0036150.1:cds pep primary_assembly:Fonio_CM05836:5A:37805335:37811077:1 gene:Dexi5A01G0036150 transcript:Dexi5A01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHNMHLVSDDESNEEVPLAIPLYPIADGISFADSSDDDNESSDKDDSENFPKHAKDKNRLAETPLKTPPGSNTGTRRGYVHVATPYPSKRELVKKRPSFRQENAPSTGDDDSKSSDEDDGENIPKHAKDKNRLAETPLKTPPGKKAKMTLPSKGNNTSSSTGTRGSYVHVATPYPSKRELVKKGPSFRQENAPSTGDSPEQTAGYACGSCSSTAEDSDSDSDEEVPLAIPLYPNADDDRNKETESGAEKPAATQLCKAKNILEETKNPEKLKANVGGTNDDDSDENAVDSAEGESGADEDSRDEDHSESSDEVDGKDSQKNAMGNKRTAETSLRTPLEKKAKMATPSVSNKTGSGSTKKSSHVHVATPYPSSKPVKKTPSIIGSSKQATGYSCK >Dexi5A01G0026740.1:cds pep primary_assembly:Fonio_CM05836:5A:30294575:30296747:-1 gene:Dexi5A01G0026740 transcript:Dexi5A01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPTLCAAPCAFLLSPPRSRQTNPLSATARRNAARAVTATTSSAEEATTDCGTKLSAWTSVRQERWEGELAVEGHLPDWLNGTYLRNGPGVWDVGDHAFHHLFDGYATLVRVSFRQGHATGAHRQIESDAYMSATATGRPVLREFSQCPSNKPGTNLLDRVSNAVGVLTGAALTDNPNSAVLRLGDGRVLCLTETTKSSILIDPDTLDTLGKLRYAHVLGLGGMIQSGHPIVTESELLTVLPDLARPGYQVVRMEAGSDERKVIGRVDCRGGPTPGWMHSFAVTEKYVVVPEMPLRYSASSLIKSELAPYYAFDWLPASGSYMHVMCRFTGKAVASVEVPPFMAIHYINAYEEEGEDGRAAAVVVDCCEHYGDPSIIETLVLHRLRSLRDKDVLPNARVGRFRIPLDGSQFGELETALDPEEHGRGMDMCSINPTYLGKRYRYAYACGARRPCNFPNTLTKIDLVEKTVKNWHENGAVPCEPFFVARPGAKDEDDGVVISIVSAVDGGGYALVLDATTFQEIARVRFPYGLPYGFHGCWIPA >Dexi1A01G0027960.1:cds pep primary_assembly:Fonio_CM05836:1A:33662223:33663805:1 gene:Dexi1A01G0027960 transcript:Dexi1A01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHGLLLLVAALLAAALFLICPAEAGVVGVNYGRVANNLPNPAAVVQLLKQQGVTQVKLYDADPTVLRALANTGIKVVVALPNEQLAAAASRASFALQWVRRNVAAYYPATQIHGIAVGNEVFATAKNATAQLVPAMANVHAALARVGIDGAVKVSSPVALTALATSYPSSAGVFREDLAMPVMKPMLDFLAQTGSYLMVNAYPFFAYSGNAGDISLDYALFRPNAGVQDAGNGLKYYSLLDAQLDAVFAAVNRLGGNYNGVRVVVSETGWPSKGDADEVGASPANAAAYNGNLARRVLSGNAGTPLRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGRGGGGAQGNNGGLGWQENGGPSSGGGSTTSGNPPNGVKVTTGEAWCVANAMVGEQRLLAALNYACGEGGADCKAIQPGAACYEPNTMVAHASYAFNDYYQRKGRSIGTCDFAGAAYVVNQAPSKFCPRR >Dexi6A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:6A:17678855:17679181:-1 gene:Dexi6A01G0011770 transcript:Dexi6A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELKLDLNDKVNVEASKISVGVRSIFCIVEKAEAKWWNKLVRDDQRAPHFVKVDWDKWVDEDDDGMQRALLSFGHS >Dexi3B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:3B:8770586:8771012:-1 gene:Dexi3B01G0012510 transcript:Dexi3B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLHTSPAIYGLATLFNRHRDQGHGVSSRALEVVWAPVLLIHLGGLDSFTAYNIEDNELWNRHLVSRHRGVSGDRGRLRILQVVARRRRQEAVALQAAILLFVAGALK >Dexi5A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:5A:24264154:24265357:-1 gene:Dexi5A01G0020430 transcript:Dexi5A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYQNLDANIDALKLNLSDEDMKEIGSQIREEDVAGGRQFASFEHATWKYANTPKKQS >Dexi3A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:3A:7226006:7230522:1 gene:Dexi3A01G0010180 transcript:Dexi3A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRRPAAGAKPRSGGGAGRRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGIVVPFKLYERFTELEYLILGLVSTVPAFFIPLFLVGKADSVRSLKDRYWVKLNLKHILSSQANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTSHLPQSVRWLFEAAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFFVSFPMFSRIDETDEKWNLSRVAVDSLGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQPQS >Dexi5B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:5B:70663:71112:1 gene:Dexi5B01G0000100 transcript:Dexi5B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGGGGGGAQLLIFSLGFVLLCFTSSAGVVAQKTWCVAKPSASNDVLSLNLNYACSQVSCAVIQKGGPCYYPDNLVSRAAVAMNLYYAANGRHPWNCYFNNSALVVQSDPSYGSCTYY >Dexi9A01G0030280.1:cds pep primary_assembly:Fonio_CM05836:9A:35222610:35224435:1 gene:Dexi9A01G0030280 transcript:Dexi9A01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRLAHSYSLRARRRAEGEMRRPRDASDGDEDPGGEEEGERRQEAPPAGKDGPGDALWRWRAQSLSEVVLSWSVEQILDKDLLRDKVSKIPETFNSMEQYMTSFFGPLLEEVRDDMCSSMEDISNAPYAELRSVNSMRKGKGSFEISLGRWRGTSHGCGIDTYKPKAADVLLISDVRPADQSDILRHSKSCVIVWISKVNGNKMTVKASRLMETGAQGDERRQMGVNKYDKLYCEGLDESWDMLDQEATGSKSSNSSAHVNAWREPAKAEKCSSRHGQNETDTQDSSRRWSFYAMFLTNMITYDRVWVVLRRGLTMDSKLIQSMLGRNNYNGAI >Dexi1B01G0023240.1:cds pep primary_assembly:Fonio_CM05836:1B:28897926:28904896:-1 gene:Dexi1B01G0023240 transcript:Dexi1B01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPLHSSSGHNAAAGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQALWVYEGGAMVNRPVTYVPGLYKIFDEILVNAADNKQRDPRMSSLRVEIDVEGCCISVYNNGDGVPVEVHQEEGVYVPEMIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGRRLKKYKQVFSENMGKKSEPQITKCKQGDNWTRVTFKPDLAKFNMTHLEDDVVALMRKRVVDMAGTLGKTVKVELDGEKVPVHSFSEYVDLYVKSVNSDRPDALQSVYAKINDRWEVCVCLSDGQFQQVSFVNRIATIRGGTHVDYVANQVANHVMTIVNKKNKNANMKLHNVKSHLWLFVNALIDNPAFDSQTKETLTTRQGSFGSKCELSDEFMKKVVEKSGIVNCLLSWAEFKLSKELKKTDGTKKSRISGIPKLEDANEAGGKDSEKCTLILTEGDSAKALAMSGIAIVGRDHYGVFPLRGKLLNVREANHKQIMDNAEIQNIKQILGLQHGKQYESAKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFVTPIIKAKKGNTTISFYTMPEYEDWKRNLGASARSWSIKYYKGLGTSTAKEGQAYFTDIDKHKKDFVWEDDQDGNEIELAFSKKRIADRKQWLTNFQPGTYLDQHETRVKYRDFINKELILFSLADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQSFVGSNNINLLFPGGQFGTRAQGGKDAASPRYIYTKLSHITRSIFPKDDDILLNYLNEDGQSIEPTWYIPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNDEPAVAMHPWYRGFKGSIEKTNNAKVSGATYTITGTIEAVDSTTLRITELPVRKWTQDYKDFIDSMAPDPRNKDKPTFIEDFSMQGDNDDVYFELKLSEANMNIAMEEGLTKKFKLTTTLGTSNMHLFDSDGKIRKYDTPEQILEEFFKLRLEFYSKRKEALLQNLNLDLKKLENKVRFIRCVVNNEIIVNNRKRADLFLELRQKKFDPFPKKKKQPEPAAIGATEEDEENEESPDAANGVDPSDYEYLLSMAIGTLTLEKIQELNAEKEKLVNEVEELKKTTPNLLWLRDLDNFEKDLDVLDQMDRVEEEERKKRREKNANKGGTKAGAKKQRKKGAAKQTKVESDTEGDAPEPVAVAGAQRKKTTKKANGAGSDDEDYMAGNAKREQKKKQSKNASAPVNDDEDVPALKDRLAAFTLGDSSPEHSAMEAETTAEQNGDIGNKVPSKRGGGKKASSSSLPAIQDDEDFDVSMEVPDDQAQKKGRGRKAAAPVKPKATATRKRAPAQSKAKMLKPTEESNTAAPSPEKKVRKMRASPFNKKSGSILQRGSSAASSSSETTAEASPPSGSSAEAVAAPRRTARATTKKPPVYDLSDLDEEVVELTDDSDFDADGDSDDE >Dexi2B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:2B:13152523:13154276:1 gene:Dexi2B01G0011530 transcript:Dexi2B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAPPPPCSLRLRAFRRDGILSFAGRFPSIPGCLTPRNTLHPRGRGGRAYCLLSGGDNRKKQDEARKALENALGQKKAEFDKWDMEIERRRRRGRPGGPSTGGGGWSGGGRWFRWLTSGDFWDAAKQTVLTILGIIAVFFLIANFNALVAAAINSLLLVLRVIRRTLSFIAHCVFQDTLVERPVPKSSTLDKSNVAPVPVKEISGMSARERVVRKWGTD >Dexi9B01G0038090.1:cds pep primary_assembly:Fonio_CM05836:9B:39275816:39279346:1 gene:Dexi9B01G0038090 transcript:Dexi9B01G0038090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSLEGAGSQRKDNTVKSQIPRDEQAEPTLPTESTVPEMVESVVTMEAPHAGVHQNQGPEGCIIRGKDFTYNELYEATDGFRVDRLLGQGGFGQVYKGFLDSNNQEVAIKRLDLQGQQGNMEFLTEVVMLSNLHHPNLIFPLVDSLLTGIQELRYFLVLLKVLEYLHSKVPPIINRDVKCANILLGEGYHPKLSDFGLAKQGPTGDNTHVSTRVMGTAGYCAPEYLMSGKLTVSTDIYSFGVVMLEVLTGRIARDENLPESGRNLARWVVNPVLRADIVNLVDPALQADQEAAVKAWGAQHTNWN >Dexi6A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:6A:2853730:2854344:1 gene:Dexi6A01G0003180 transcript:Dexi6A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQASLLAAVAVTIAAVAMLATPAYGASYTVGNPGGSWDTQTNLNDWASSIDFRPGDELVFNYDASVHDVVEVTREGYLSCSPASPLAAALRSGADTVQLNATGTRYFICGVQGHCAAGMKLEVKVSDANCTTTLPPPAPPSPPGAPGAPGGIRICSGGPPTIIMSPGVISYGNGASLGSSASLSSLIVTMASLLLVGLIIV >Dexi8B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:8B:1380319:1382196:1 gene:Dexi8B01G0001950 transcript:Dexi8B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSTSATAPLGSLERVLGEILARNADAEYLVVKCGLAGATDRATFRAKVPMVSYEDLKPSGMSSGEPKLIPAVKDDVDRRALLHSLVMPVINKYVPGLGEGSGLYFLFVKSDTTTPGGLPARTVMTSLFKSDHFTNIPYDPRRNYTSPRAAVLCEDTSQSTYAQMLCGLCHRHSVRRIGATFAYGLLRAINFLRHNWAHLAADIDAGELISHRVTDPSVREAVAGVLRRPDTELAEFIRGECSRGDWAAIVTRIWPNTKYLNTIATGSMAQYIPALDFYSGGLPIVSTIYGSSESCFGLNLRELCDPSEVSYTIMPNMAYFEFLPVQDGDDGDAGQLVELAGVEAGREYELVVTTYGGLSRYLVGDVLRVTERGAGAPVRAPQERAALRRFRQDQRGGSAPRGRARVESFPGHYVIYWELVDAAAAMDGGVLDRCCLEMEEALGSVYLEARVAVGSIGPLEIRVVAPGTFEELADHSVANGGASVGQYKMPRCVTAPAMVQLLDSRVVSRHLSPASPDWTPSHRLGSTAQTSPA >Dexi9B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:9B:13527990:13530558:-1 gene:Dexi9B01G0018980 transcript:Dexi9B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAMLAATLVVDLVAFGLAIGAVLSRPSVHSSSFLPSIAVRFPFPPSCFPSLTPPPPLPLFSLLKASLETDAAQDWRYCVYRPGAATALGAVALVLLLVGQAVAAFASRCFCCGAALRPGGARACALVLFLSSWVTFIIAEACLLAGLVQSAYHTGYRKVFFQNPPDCDAVRRGTFGAGAAFALFTCVLTSSYYYCFSKARVNFHRREATIGMTPL >Dexi5B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:5B:13775276:13776458:1 gene:Dexi5B01G0016200 transcript:Dexi5B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVKVFGSAAFTNVARVLVWLEEVGAEYEIVDVDFHAKEHKGPEHLARNVPISLADLRHFPHTHYLMGMPYVAVFDEFPSIRAWW >Dexi3A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:3A:829308:829541:1 gene:Dexi3A01G0001130 transcript:Dexi3A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDEDLYADVPRLFGDIDRSPSGKRATTEAIEGLVQVAAWPVARIAPVSACTTSAPARRCPAAMPSTVPLRLHLDP >Dexi8A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:8A:30302963:30303829:-1 gene:Dexi8A01G0018030 transcript:Dexi8A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGRHATGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEERLIIELHTQWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMIISPSSSSSSLTYQSCLLDTSPIFGMGRGDTHNVSSCVTSTLESTQSSMDAYPMDQIWSEIEAPALLGTAEAKEKTCSSVPFRLPSPAMWDYKCPEIFWKMEDEEIRMLAL >Dexi2A01G0032790.1:cds pep primary_assembly:Fonio_CM05836:2A:43159924:43161377:1 gene:Dexi2A01G0032790 transcript:Dexi2A01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNSNRQDRRKIEADLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFLKPIEHCHVDSHNPKVLGQHLACAAYEHPLCLQYDECYFGSDLNSAMTTLKDKGYIINNPSGPFSSSMWNYIGPEKSPSQNVSIRAIEHDKYKVINKLNNRLLEEIEESKAFFQVSVYVP >Dexi3A01G0024970.1:cds pep primary_assembly:Fonio_CM05836:3A:20578754:20586160:-1 gene:Dexi3A01G0024970 transcript:Dexi3A01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGTSELRGGTVPSAERASWSGALLCASTADSGELELRRWLSPHQLNELDSVRAHRTAPLPPTTTRNVVNTISSTTSSPPPSDLISSNSSRLTQTGQRGTGSEALPHAQIITSCKPDMAGRINQNELRFFLSCGINLPVSFRIVHADNTFSVERTSPELFVECKLYNDGMPFGLPVKTRLQSSGPPHTWNELITLTTKYRDLTSLSQLAFTVWDVSSGDHDIVGGATISIFNSKKQLRTGRQKLRLWTKKEADGRVPNTTPGKIPKNERGEIERLDRLINKYERGQIQRVDWLDRLAFRAVEKTNIKEKECKRNDYYPSLVVDFCDFAPTDYRVVFQESGGNFHVPTTVSSSNELVTIWDPELGRTNPSEHKQSKVSWSLTDGIINRDHKPNPDERKLLQKIVKFPPTRPLMVDEKQLVWEFRFFFMSDKKALTKFVHSVDWGDIQVGSVMEDVRNVHGSTQKKIEKLRQSLSEVLSEPTNFDEPTRSPLAPNVLLTGVVPEQPSIFKSTLHPLRLTFKTGNGGTSKIIFKKGDDLRLDQLVIQMVSLMDRLLKLENLDLHLTPYRVLATGQDEGMIEFIPSSSLAQVTSY >Dexi5A01G0035450.1:cds pep primary_assembly:Fonio_CM05836:5A:37265983:37269899:1 gene:Dexi5A01G0035450 transcript:Dexi5A01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARPRDLIALHRKFATASTNWPPPSKGKYLSTSAMENNCTCPPPSKGKLITVLSIDGGGVKGIIPATFLAFLESKLQELDGSDARIANYFDVIAGASTGQLIFFVMVQARSKTLMDARLADVCIGTSAAPTVLPAHYFETVDYQTGASRSFNIIDGGLVTNNPTLVALGEITKQIRLRSKEFPETKPLDYHRFLDHNIPIAAVSADLSTEKNLQGLIKIGENLLHKPLSKDDYEISHMESMPKDNQHTLTYADMLTQFAKLLSDERKLRLQNRVGWVS >Dexi2A01G0024770.1:cds pep primary_assembly:Fonio_CM05836:2A:36502676:36503995:1 gene:Dexi2A01G0024770 transcript:Dexi2A01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVRPSYPAGAPRPDTTEHVPSSVFDKATYHMQMAIIYAFSPPGPSPADIERGLAKVLAVYRLFAGQLHPSPDDGAPGVLLNDHGARLVEATVDANLADIAPTKPSPAVLQLHPDLDGEIAELVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLPIGHSPPFHHPDHFPPRDPPRVEFEHRGVEYYRPTTTQHAATKGHGETTQHSIVIHKAHFTKDFVAGLRAKASEGRGRPFSRFETILAHVWRNITKARGLGNPFQTSTIRISVDGRPRLAASQGYFGNLVLWAFPTATVGDLLSRPVKHAAQVIHDAVARVDARYFRSFIDFASSGVVEKEGLEPTAVLKDVLCPDLEVDSWLTFPFYELDFGAGCPTYFMPSYFPTEGMLFIVPSYLGDGSVDVFVPLFEHNLEAFKQCCYSME >Dexi2B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:2B:12704147:12705252:-1 gene:Dexi2B01G0011110 transcript:Dexi2B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLLSPSSPATGGFLWPAPPRARSRRAPPPPPPRARADTDREAALLACGLRPESLPRHVAVVMDGNTRWARARGLPPADGHKAGGRNLERVVGLSRAWGIRALTAFAEVEYMMGLSEWLIGDNVDKLSRQGIRLQVIGDATKMPGSLQRAAAWADEATRHNSELHVMLAICYSGRWDMVQACRELARAARDGELSPDDIDEAVLAGKLATRDAGGELACPDLVIRTSGELRLSNFLLWQAAYSELFFSDKMWPDFGEAEYLDALRSFQSRDRRFGQTRL >Dexi5B01G0034700.1:cds pep primary_assembly:Fonio_CM05836:5B:34889810:34891172:-1 gene:Dexi5B01G0034700 transcript:Dexi5B01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWELLLASPKAAAAAAAEPYAGGGGEDDAGAIKHDYFDLGSDAKYPRRASLSKEDDEEEELEGLLAASDNASWVEPDPDDLLFPGRERAALWSDSSSDGERPEVEVTEPVERFRVDAGAAAAAAAEGTEGAVAKGGGPVRWWKLPLDALRVWALRAARSAWSVPFAVALLGFAVLGRRLYRMRRQSKAVGRVRLVLDEKKASHFKGQASRLNESTVMLRRAPIIKPMLPASGVTPWPVLGHL >Dexi9A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:9A:8443781:8450338:1 gene:Dexi9A01G0013160 transcript:Dexi9A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLPVQPLRPVSREAVTPTQHPFVVVARGRYRASITISPLFVGFPAHACAAPRPFLRSPPAMSVPPAGNRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAAFSIQVPAATAIPNRCPALPYLVQMFREFIESDKASRRVTGEVSGPGPGSIEIVIRRGHIIEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKTAFSPEYGLFSQTSESDTSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGMLLDYSFSPVFVQKLLGRYNFLDELSTLDPELYRNLMQLKVLQNFHYDGNVEDLCLDFTVTEELGGKRIVHELKPGGRSISVTNENKLHYVHAMADFKLNRQVCDLVCFSY >Dexi5B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:5B:3876618:3877430:1 gene:Dexi5B01G0005730 transcript:Dexi5B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMKILESPLMGAFISYMLANWNRRSRVDQSRRRLRNLVVMVRAMANDDAEVRAGGAAAAVRDETFYTWLRLLGAEALRGQEVLDAAGNAAAVAGSARRFLGGLRDLFAGSDEIDRLEEAFEELQRQLLMAPPGAALDRLWLSVFAQRQRGAARATDMDVNGGAPAAGARLPVLGSKRKRACTSGVDPGSTSRGVVDTGARQKRRVQPRARHPAGFGGRVVAATREPAEDRARTVALAMERVRRRIGTPTRRRRQAILGQHFSRFSLQ >Dexi2B01G0023490.1:cds pep primary_assembly:Fonio_CM05836:2B:32984559:32990902:1 gene:Dexi2B01G0023490 transcript:Dexi2B01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEAAESCGSHAAAAAAASGGGAAVPATSSSSAAAAAQARKQQQQQRHKLEVYTEVLRRLHDAGLPEARAPGFDDELWNHFNRLPARGFSCWRRSGLTPIRSGSCSYAMDVNVERAEDVLTHKRLLEQARDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNGRSLYRPMHEVTFSTIDKPKLLSEIPYEYLTPLQAAVGVVQKGLRPTIPKHAHAKLSELLQKCWQQDPAERPDFSEILETLQRTAEEVGDEHEGKHKDKILGGLFSALRGRGH >Dexi1A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:1A:226376:227135:1 gene:Dexi1A01G0000360 transcript:Dexi1A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRPLQAAPWWPGTGTATLRLPEQSKQGRKPMPPQPSQQQWAPPRALGRPPSNGSSRPCSSRRSTSSAPPTWRPRTKSLGSEGALPDANVAAVVGAEPAPELVPEAAVHGDVALPEGDAVGLERGADGVAGLEGGADAAEGGGVEHHGRLALPHGVAVDWAEWGADAAAAAAGRGPGRPGRGGGVEEAGHELPGPGEEVEAAAAAQRLVLSVLLLRLLVVAAEAQAIQSALRCR >Dexi1B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:1B:26526424:26526753:1 gene:Dexi1B01G0020430 transcript:Dexi1B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAATSPLAAASARPTRRTPSSNCAPRRLLRFSCQAWPDRPACGGGNASNASPAPQQPRWRAAVSAALAAAVVAAAMPAYADLNRFEAEQRGEFGIGSAAQFGSADLK >Dexi3B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:3B:10616452:10617878:1 gene:Dexi3B01G0014770 transcript:Dexi3B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTTGVLQADVCHAYQIMRKGGLKDENIVVFMYDDIASNPENPRPGVIINHPSGGDVYAGVPKDYTGKDVKVNNFLAALLGNKSAITGGGGSGKVIASGADDHVFVYYSDHGGPGVLGMPSDDGDGYLYAKDLVGALKAKHAAGGYKSLVFYLEACESGSIFEGLLPEDVGVYATTAANADESSWGTYCPGDDDHPAPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYELVKDRTSANGSYNVGSHVMQYGDRSLDGQSMYNFIGTDPANDNATFAQDNSLLRRRFSGSAAVNQRDADLVYFWQKYKKSVEGTPEKVQARKRLLEVMSRRSHVDSSMELIGSLLFGSDEGSKVLGAVRPAGQPLADDWDCLKSMVRAYEAQCGSLAQYGMKHMRSFANICNAGVREEAMAKVASQACAAVAR >Dexi9B01G0039910.1:cds pep primary_assembly:Fonio_CM05836:9B:40600813:40603583:1 gene:Dexi9B01G0039910 transcript:Dexi9B01G0039910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMGDGAKAYGGVVLIRLMYSGMHVVSKVALNQGMNPLVFVFYRTTTGALVLIPVTFALERHKAKPVTFNVGWKVFIHALYGMETLRLTRFHGKVKFAGILFCITGVTVMSIYKGPLFRSFNHHHLFQSGGSGSSGAAETHSKKQWVLGIFFMTLSNVLAGLWSVLQEPLIEETSKLMNTTLQVSCASVQAFLVAVAAERDFSMWKLGWNVSLAAIIYSGVIVTALSYYMQMWTLAKRGPVFLAMSTPLTFVFTIIISFSIIGDAIGLGRHATDELVAILAGVLLVGGLYNVVWGKRIEERGNLAKISAAPGEPGLELPPQHSKADPKVPQVRDDDNDAEAKV >Dexi2A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:2A:13244598:13249655:1 gene:Dexi2A01G0011370 transcript:Dexi2A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPAPPPPFAVHLVNGSGSSPEFALLLRSLAAASIVALDAEWKPRRRGSPAAAAPGNGGSPAAAPAPAPPQFPTVTLLQVACRSGKGDRGEVFVVDLLAVPLADLWAPLRDLFERPDVLKLGFRFKQDLVYLSATFAAALGGDSGFNRVEPFLDVTNVYYYLKGHDRQKKLPKETKSLATICEELLSVSLSKELQCSDWSCRPLSEGQIQYAASDAFYLLVIFDLFQQKITTEGNCLSTSELTSDSHCSPTVIECSSSEYDISSGGNLMSLVTKYSEKILLTESETKPRSSRRKEKLKLPTNAKCKDKVDCSTEWQGPPPWDPSIGGDGYPKFLCDVMIEGLAKHLRCVGIDAAIPSSKKPEPRELLNQTYKEGRVLLTRDVKLLRYQYLASNQVIDTFQLKISEDQLMSRCTKCNGSFIQKPLTIEEAVEASKGFQVIPPCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >Dexi3B01G0026260.1:cds pep primary_assembly:Fonio_CM05836:3B:21225038:21227331:1 gene:Dexi3B01G0026260 transcript:Dexi3B01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSSSSATVKMASDGLWQGENPLDFALPLLAVQIAVILARTHVPNRIGGTAFDLPPSFVFLVPIDFLILLDGWHLAGPVGLGRWPAFRRTVFPPWSSPALDTVSGLGLLLFLLLVGLELDFRAVRRVAPRAVAVAAAGIVPPLLAAPGLVPLLRLPHHHHAAGGFLPLCVFVGAALSVTALPVLACILKELGLLATQLGETAMAAAAVNDVFAWALLALALSVSGGGATAGPRGHNLAPVYILASVLAFVAFMLCVLRPLMARLARRAASPPLSSSSWSISGAAVVVACALLAGAATDAIGVHPVLGAFVFGLCVPREGGVAGRAGEAVAPLVTGLMLPLYFASSGLRTDIDAVHGAAAWGMVALVVAVAFLGKFGGTFAVAAWRGMSRREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMTALYRSAPTETAPESDGTELKGGDDACPA >Dexi9B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:9B:220566:221329:-1 gene:Dexi9B01G0000330 transcript:Dexi9B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVSSLTVPLISAFLGGAIALVFLAGYLRRKRAAIAHIPPSATAAAPDQPKHVRPSNQTQHKKGHPRPHQNTADKDAAKKHHHLDLNTLRGHTDSGIQD >Dexi6A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:6A:6647169:6647341:-1 gene:Dexi6A01G0006830 transcript:Dexi6A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTSSSGRPWQNRPSLISTTSPAAILAAQTPHSSPSFLLSATTRRSSR >Dexi7B01G0021970.1:cds pep primary_assembly:Fonio_CM05836:7B:26921082:26921752:1 gene:Dexi7B01G0021970 transcript:Dexi7B01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLVLHVLLVAVVARAPAAHAWGKDGHYMVCKIAERPRRKDLLPGWAGGDLAETCSWADSLRSQYPWSSPLHYADTPGDCKFSYARDCHNENGEKNMCVVGAINNYTTALKDSSSPCKHPSGIVLGPYRESMAPLGRSI >Dexi2B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:2B:28697243:28699671:1 gene:Dexi2B01G0018380 transcript:Dexi2B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVNVESVPLVSVASDSVNVEASLSDSEPPTSLYCPESAPVVHSRHLSEDLSALTINDLRVNNGEHNFNEQIEGKGISSHGHIRHFSADLSSLASNDVYANKGEENGHNLLERKVESRPNSAERKIYKAAEIAERFIQSIDNRVLVDTGAPIESVKEAVSKFGGILDWKERRKNVQNELDKALEDTPDYQRRAEVAEVEKNQVLMELNRTRRTIEGLKLNLESAETEAIQAQQDSELVNIRFREIQQGIACRESAATKAEIDLAKYRHANALAELQSVKDEIEQLQKEHTSLKTKRDNAETKACESSAASQEIEKTVDDLTLELIAQMVSARVKLAKSRKELEDMRADIKKAKDEVRILWNAAATLRADIERQEADLGALRHKEHLSSVSVSSIQEELSNKAYELNIVHERTKAAEMPAELQQATKVMEQANSKAQMARHEKAKAKEEADQAKAQVNVVKLRLEAVSREILAVNTSEKIATASANALQEYKQETPIDPQADRVSDNYVTISLEEYDALSKKAQDAECLAKKRVIKAVEKIKQAKDAEVRGLNQLEQLAKKMNERKLELRAAQEKANSAQYGKLTMENELRKRRAKHEQQRDAGESAHSISDIADLKSTSWSFDASAAASSNPQMVGSLSRAETIAATRVKEPKPRKSLFPRSIVAMFVSRTKKTH >DexiUA01G0010480.1:cds pep primary_assembly:Fonio_CM05836:UA:20829617:20829837:-1 gene:DexiUA01G0010480 transcript:DexiUA01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFSGGAAVGDEPGAVTYPVVLNVYDLTPINNYLHWCGLGIFHSAVEGPKWKFFPLGVIRLRKRMGP >Dexi1B01G0024710.1:cds pep primary_assembly:Fonio_CM05836:1B:29947082:29948440:1 gene:Dexi1B01G0024710 transcript:Dexi1B01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCDFCGKLRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCGSQPAAVRCVEENTSLCQNCDWNGHDAASGSTGHKRQAINCYSGCPSSAELSRIWSFIMDIPAVAAEPNCEDGISMMTIDDGDVNNLCGASDDKRLLEIASTTLMSDPPTGEKLKPLIGSSSGDGFNILPPATDQPAGPVSTTPKVPYAMENDKFNDGSIYEDLCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEMPPFDSNEVCPVLVCYI >Dexi2B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:2B:2360946:2361284:-1 gene:Dexi2B01G0002800 transcript:Dexi2B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSAAAVAATILLLLVAAAEMASVGEKNTCRHLSGKYRGWCVNDQSCTAVCKDESSDNIGGVCDDTPDRCYCITNC >Dexi5B01G0034910.1:cds pep primary_assembly:Fonio_CM05836:5B:35048562:35051673:-1 gene:Dexi5B01G0034910 transcript:Dexi5B01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLAKDDFRVLTAVEMGMRNARRHIQSAEESFEEQADIFEVATEDGTVLAMKLHRLGRTSFRAGYPLFNLKSEKDEEQDGSESDGEGSSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKHGTSCSGENRLQSPPSGSNGDAKVLSQEDDNDDDSSDDADDEEDAELTKKLNKERKKAIAAAHGRRRPVSSRNTYKDKGKGTMNSKIQRQACKW >Dexi3B01G0026360.1:cds pep primary_assembly:Fonio_CM05836:3B:21373707:21374849:-1 gene:Dexi3B01G0026360 transcript:Dexi3B01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQVRKRDVVLNFGSAPAGASVHVVQLDNAFPLGSCINGEVINIPAFVDFFTTHFSWAVFENELKWYWTEAQRGQLNYADADRLLDFCDRAGKPVRGHCIFWAVDDNVQQWIKDIGDDQAQLMSAVQARIHGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGEDAAAMMFREAARLDPAAKLFVNDYNVESCGGGGTDASATPERCVELIRELQRGGAAVGGIGLQGHVTHPLGEVICDALDELSGATGLPIWFTELDACEPDEGLRADDLEVVLREAYAHPAVEGIVFWGFMEGHMWRPDAALVGADGAPNEAGRRFVELRREWTSEAQGLLDADGQFKFRGFHGTYVAQVTTAAGKMIKAFTVDKGDDAALVLDMDT >Dexi4A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:4A:1935613:1937575:-1 gene:Dexi4A01G0002790 transcript:Dexi4A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAPTQEVKLFGRWSFEDVQVNDISLADYLAVSATKHSIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVRIIKHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNRYICCFIPVFF >Dexi2A01G0026870.1:cds pep primary_assembly:Fonio_CM05836:2A:38394311:38395902:-1 gene:Dexi2A01G0026870 transcript:Dexi2A01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGVACDYCREDQMEMECCRGGLLPRSTGLLPDDGAEEEVDRISALPDDLLLQILTGLGCARAAAHRGLLARRWRGLWARLPRLTFHCVVPGLLGAALAMVAGDATPPSLIDIHFSDHHMIEPARITSLLAAAAALAPEEFVFHIGGGIPPGPVMLLCFDRTTSIKLDLTYAHFTLPSAGGFPALESLHLENCHMDINDMLRRCPRLRKLRVLDWNSESVVVALTALEELAVRATVQIRRINIVAPALKKLYLDAHCGIHKQFSFSFEAPAVEDFTWKSESRAKSTALSSCWRPLIELRDQCASTTLLLISSAVLDQTFSFCVFTTCLQIVMQVYSGDATTFEQEIYRFQVTDFSILELDLKQGGHVYGTIVLRLLGLCTSIQRLKVTLDDYEKRERCSEDCRCDQTNNWRSQNISLTDLKEVEIQRFRGEDHEVDLLK >Dexi2A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:2A:31443447:31444974:1 gene:Dexi2A01G0019220 transcript:Dexi2A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRIPNPQNRQSSQPPAGPKHPVSTEASRLRGLASKFPGGWQLQRQERWLRSQVANSQAYHLHPQVRFPYLIRYSSPKSPSYPGWSPLPWCSPAPLYCRRCPPLLKVAKRRPGGGCRFAPASLRKCAAVSDHGHQPASEYQFEDDEPLWLAVFRDLVVGLKGLVAFLAEQPRQLRHLEWPGLQNTLKTATLALVLVAVFIVALSTVDAALCYVLAWLLRKSA >Dexi7B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:7B:13457642:13462813:1 gene:Dexi7B01G0005880 transcript:Dexi7B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSACLSGPIWDHVLGYWNASKQSLERVLFLKYEVIVRDPVGNVAKLSRFLRQLFSLAKEEVGVTMDKVRVCNFDNLKDMHQGSLRFGIVVATRSSGKCLCEQLLL >Dexi3A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:3A:3492308:3497657:-1 gene:Dexi3A01G0005410 transcript:Dexi3A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDRRGGGPPGSGENFPLLLPSLPVVFDFVCFFLCLINNLTLHNPSMHTDAGWETIGKKSKKPGPAAGRQWAPWSSTNAAPNTARPAWGGNGPSHPSGTSWAQSSDRGAANKGHPRPPPQTRAIEREPQASRPVVSQPLPNGWQWQSRSRTSCSEGEKDDAPPSGGDPELENVDGNDTSDDDDLSDDISDDYDSDASEKSFETRKMNKWFKSFFEVLDTLSVEQINEHTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGATRVKLHRELAALLEEELSRRGTSVVPAGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEQDDDDKWKGMGNQELLDYFGEYAATRARHAYGPAGHRGMSVLIFEGSAVGYMEAERLHRHFITQVKWARFIFTMLSGKTRLKYEMRSYNEMVVMQMKQMSEDNQQLNYLKNKVVKTEQRSKAVEETLGVVTQRLRETIEEVIFVKDKAKEKHLEYEQEMKYQEEFFHNQIDGIHKITEAKEKEFEKLLQEERSKARRFGVDSGTTEDCKLRKEQVQKFIDGQVKDVAEFEAERDELIKAHEEKKMKLKKEYMEKELELEKELDAELTSLMEKHKPETFRASSS >Dexi3B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:3B:5408880:5410294:1 gene:Dexi3B01G0007640 transcript:Dexi3B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAGDAGRGGGLPVPDGEVAHVVVDVADDGCAATDQERWHGPGCRICHLPDVDGDGLPERLVRLGCGCRGELAAAHRRCAEAWFFVRGNRRCEICGENAVNITVGGGKEFIQQWHDTAAMDAGEGSSKACGGFCRSQSLCNLLIVLLPVAFLLTWFFHNHLI >Dexi2A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:2A:18844613:18852878:1 gene:Dexi2A01G0013240 transcript:Dexi2A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFTMSDLESVAALMESTSSKIQQLQQAFAELERQSAVSMNLKWKQLEDHFRGLEHSLKQKFDELKEQEKEFQETLAKSEQLLEQQEAVVVAKELISLERLQEKRDAALAMIFSKSKLSLPVPAISPMDKALDNLGVKWPKLASEGTVRLQVSSAAVKPRSELVSLCEEMNVKGLHKFISDNRKNLATIREEIPSALKKASHPYGLVLDSLEDFYAGDNVVLDGKKDGDLLGVRRTCLMLMESLGQLQTDDTTCFSLDGHMLTADIKKRAKKTAFEWKSKLDCLDVDASNGNCLEAHAFLQLLATFGISAEYNEDDLCKLLPYVSRRRQTPELCRLLGLSQKMPDSTERFAVDVVPKIAVGVANDSIFLNEMNERELSALKAVIKCIDEHKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSAFAPRATGFVDKNFYPSTPERHPSYPYERQFVYGAEAHHHPMITSAPYTMQPAHTPYYGNGYPVQFQVPYIH >Dexi3A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:3A:9329831:9331922:-1 gene:Dexi3A01G0012770 transcript:Dexi3A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRKAQSGKSHSWWWDSHISPQNSKWLSDNLEAMEKQVKEILGLIGEGGEFSAEKAEAFCEQRPLLITHVENFYSMYRALAARYANVTGELRKTIPSSLQSHSSFGVSESDSETQSSPSPESDMQENMPQQKQKPGQDYLDVSIGSGVSSDVSKKGSEGSLSSSDSDSELDEAKEENESIFYALSQKIIELEDELHEARGKLDALEEKHAHCQGDLGTNSKVAEHEGELQISDMESNNLQKDLEERHSSLESSREVKSEKEALEAVMLEHKHEIEVLKGAMASTAKQFEVELEHRDLEIEKCKHELGVLSEKYSHDKSTLEDEHRRLQGVIKNMEGDIAKLSQEKLQLESRIEELERAAHSLEHSASEIVKLQEVIRNTQAELEKVTEEKNMLKKSANEFEQLCRALEVSGTEVAMLPETIKNLEAQLGRALEENSILQDRIKDLEQIMSDSLEKHSHEQSCLTSDLLKLSEVNASLEGKLSSVAAELMQVYADKEEESLNNENQISVLNQDIADLRSKLELLSSEKATVDDKLANLLADIATRDEKMKQMNDHLNQLQCEHGKLMAESDSAHKSLSVVHARISELEEEVEKQKLVISESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHGRPFVMAT >Dexi1B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:1B:10144786:10149623:-1 gene:Dexi1B01G0010510 transcript:Dexi1B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDAGNGLNGTAQTKGSVDDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSHCGKASKVQYYNNPMWPATYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSVPSPKKVLVIGGGDGGVLREISRHSSVESIDICEIDQLVIDVCKDFFPQLSVGFEDPRVDLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPRFFTLSILLPLSYFTFNCPPVNFLTPINPIEKLGGATKAGREIRFYNSEMHRAAFVLPTFVKKELEAYCASTERVSFRKSRTDITLYLEQPEETAVTAPKIAAAAAKSEILTAS >Dexi7A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:7A:18387930:18391045:-1 gene:Dexi7A01G0007040 transcript:Dexi7A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEIPNPSPDATNPAAAAAAPDHSSSPPLPPRKRRLSPSASPTRSRSRSRSPRSRSRSPRGRRSRSRSRSRSRSRSPQYPHDGKRRRHNDLTVEVCRDFLRDRCTRSDLECRYAHPHQCVSVDRQLLRSIGVEDPKVKTVCQDFLRGHCNRKSCRYSHVVSHPVPPMSHVPIPYPEMLYMPPPPPPPLGVPMMGPPPSPPRPFADNMSRVEVCRDFLKNMCNRESCRFLHPESHAAATSDNVEVCRDFKRGECNRPSCRFFHPYTS >DexiUA01G0011130.1:cds pep primary_assembly:Fonio_CM05836:UA:22367476:22368241:-1 gene:DexiUA01G0011130 transcript:DexiUA01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIIIQVKWSNGKKFEDKFVETLLKYGYKGEYMSEDWLKQPLFIQSFAPTSLIYISNMTKSPKVFLIDDTTIPTQDTNQSYYEITSNAYLAFIRNYVVGIGPWKDTIVPPKDNYLGQPTDLVARAHALNLQVHPYTFRNENSYLHFNFHQDPYVEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYPK >Dexi9B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:9B:12093207:12096819:-1 gene:Dexi9B01G0017320 transcript:Dexi9B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQAAPPELHRGGASGAPVAATLGRPVGGGWFAPSRHSTGSRDRNNMSGSNTTRMLPSPDIPTAIVFTIATLLILVLLHLKRAAPRERGVGCQLPPGPATLPLIGNMHQMIWSKPGVFRWIHRLLSEMGTDILCLRLGATHVVAVSSPEIAREFLRKKDAMFTGRPATLASNLFSYGYKCASLAIQEHKWQKMKRIVAAEILSLALDRRLHVQRQQEADHLIRYVYAEINAGLGGSINLRHVTQHFCANMIRRLVLGKRHFSESPGTMMAGHHEVEHVQALFTLVEYMYGFCLSDYFPALVGFDLDGHEKVAKRVISVLERLHDPIIQERMLEWSNYRRKGCSKRDAADFLDVLISLEDADGQQLLSPEEIKAQVVEMLFAAIDNPSNAVQWALAEMMNRPRIMQKAVDELDQVVGKERLVQESDICKLNYLKSCIREAFRLHPYHAFTVPRVAIQDTVIAGYTIPKGTHVLLSRIGLGRNAKAWNEPLQFQPERHLTDGDVDLTETDLRFISFSAGRRGCPAASLGTSITMMLFARLLQGFTWAKLPGVDRINLQEARNSLVLAEPLVLLAKPRLGEHLYEVN >Dexi7B01G0021440.1:cds pep primary_assembly:Fonio_CM05836:7B:26461898:26463229:1 gene:Dexi7B01G0021440 transcript:Dexi7B01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVRRRRRALALPFSTLQPPAAASAQPSTLDAAAVLETLSLYANDWRRALDFFHWSASPTGANLPPDAATLARAVDILGKHFEFPLATSLLLSHHDPARGDPAFLRPALRALLNRLAAANLVDDAVRAFESTAASIGLRDEASFHLLIDALCDNRRIDEADHLFFGKDPPPFPLSTKTHNLLLRGWAKTRAWARLRQLWFDMDRRGVAKDLHSYTIYMDALAKSGKPWKAFKIFRELKQKGIPIDVVAYNTAIHSVGLAEGVDSAVRLYRRMVDAGCKPNTATFNAIVKLFCKEGRFKEGYAFVQQMHKTGCKPNVLTYHCFFQYLSRPQEVLGLFEKMLERGCQPRMDTYVMLIKRFGRWGFLRPVFTVWKAMEDQGLSPDAFAYNALIDALLQKGMVDLARKYDEEMLAKGLSPKPRKELGTKLPEAGSDSDNVMNGVL >Dexi9B01G0035230.1:cds pep primary_assembly:Fonio_CM05836:9B:36957921:36970238:-1 gene:Dexi9B01G0035230 transcript:Dexi9B01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVSRDDELVIKSPNDQRSYRVLRLANGLCALLVHDPEIYADGYPPQASKAHEDEEDEEMEEEEGEDDEESDEDDEEYSDEGDDDDGDDDDEEDGSEPKRRKEKGGAEPIVKKAAAAMCVGMGSFADPLEAQGLAHFLEHMLFMGSSEFPDENEYDSFLSKHGGESNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQNDTCRLSQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGVNLREEILQMYMNNYHGGAMKLVIIGGEPLDILEGWTMELFSNVKSGPLLDMTPKTDMPFWKPGKLYKLDAVRDVHILYLSWTLPCLHKEYMKKPEDYLSHLLGHDIDNLFVICFYNIGYLQVFEVIGVVYQYIDMLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLFYSEKHVVCGEYLYEAWDPELVKHALCFFNPDNMRVDVLSRSFDKQSQAIQREPWFGSQYIEEDIPSSLIESWRNPVQIDANLHLPRKNEFIPGDFTLRNANSPRSSSEDNPRCIVDEQFIKLWYKMDMTFNVPRANTYFLISIKDGCSSLKNSVLTELFANLLKDELNEVLYQAYVAKLETAISVVGSSLEIKLYGYNDKLPILLSNILSTFQSFSPKTDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMNLTFTDLVKSLPMILSQLHIEGLCHGNLSEVEAINISKIFRNTLSAQTLPEEARHGDRVICIPNGTNFIRSVRVKNDLEENSVVEVYFPIEQDTGREATRLRAITDLFSSIIEEPYFDQLRTKEQLGYTVDSSPRMTYRMLGYCFRVMSSKYSPVYLQSRIDNFINGLSTLLDGLDNETFEHHRSGLIADKLEKEPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTMQKADVIAWYNTYIRSSAPKRRRLAIHVYGCNSDIAELAKLQEQSWTVIDDVKSLKGSSQFYSSLC >Dexi7B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:7B:11757371:11761660:1 gene:Dexi7B01G0004780 transcript:Dexi7B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGATPRRKSVPDWLNNPIWSAPPPPSPSPRHRSPPRAAAPSPPQPQPPPSTLPPPQPPRDPVHAPRDGDGDGEGSDEDGAGAAGPSRAHLVAEFKLALQRKVVDLAELRRLACQGVPDAAGLRPVVWKLLLGYLPTDHALWPYELEKKRSQYSAFKDELLVNPSEVTRRMEEMAVSKREEQNAEGTGVLPRAEIVRDEHPLSLGKTSVWNQYFQESEIVEQIDRDVKRTHPEMQFFNGDSSDSLFNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDESHAASAEADAFFCFVELLSGFRDNFCKQLDNSVVGIRSTITRLSQLLKKHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCLHLWDTLLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPLPY >Dexi6A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:6A:19532865:19533577:1 gene:Dexi6A01G0012800 transcript:Dexi6A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSSSLAWWPLPAWINPSAAAWLYFLVLNVLVGAIAVTSSRAEVQSRWRRLFRSVSSMVLDRLRSFSVLSVHHTEDRSWYYVSPDEEDNVQVSEAVEELADMVAASPDELVATPPAAAVASGSGSEEDSTEAEEKPVTRSNGTQAATSPVRRRPAKVAGHANAKPKRRRSQAYAEAAEGKAERNKRAEQFIRQFKEELRLQRINSVLDGEARL >Dexi5A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:5A:12321025:12324597:-1 gene:Dexi5A01G0014990 transcript:Dexi5A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADTSEASTAGLALAEANINWERLDKTRFHVIGAVLFTAQQGALHPTAVVKTRMQVAEGGLAHMSGFAVFRRILRSDGIPGVFRGFGTSAVGALPGRVLALTSLEVSKEMTLKYSERFEMSEASRIALANGVAGLVSSVCSGSYFVPLDVICQRLMVQGLPGMPTYRGPFGVINKVVRAEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSQTKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKSE >Dexi9A01G0006890.1:cds pep primary_assembly:Fonio_CM05836:9A:3900292:3900941:-1 gene:Dexi9A01G0006890 transcript:Dexi9A01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGPPGRPSSSALLGCWRRRPPLGFGAKVGIAIALGLSFAIIWTSVSPTLSSQQISTERSSFAAEVAAPPTATDNRTGASAGGGHAHRKPRPAPHSHKKRHPAPSGSHPHPHRPNATASPDAADEKADHSDSAPLTDPEPKEKEPEQEQEPDMEMEPEQEAELPMPEESGDKSGKAPAEGEEEKPPQLELEEEPGGGEEDGSK >Dexi1A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:1A:27754354:27759002:1 gene:Dexi1A01G0020940 transcript:Dexi1A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPIRLSCTLPAGALTVVDTKNETAVPLRQPQERGEASDPHGLTRLAETWPPCGLMYVMGLRPCEEHEHDYRQAMDHCIGRPGSVCKGNDQNNGEQSRKASSFTNPKPSRESRASPSCLPLRSPPTSCRAGSHQPQLAPARPSPLPDGTSREPADWPAPALSRRLAFNQPTNLPRSI >Dexi9A01G0044050.1:cds pep primary_assembly:Fonio_CM05836:9A:47661389:47661622:-1 gene:Dexi9A01G0044050 transcript:Dexi9A01G0044050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSPRDRATANPARAEEAGRRRDEVKLAREEFVGWVRCGVAGVCLGVIRLAGEAGSTAGAVGGCCCLRLVPAPW >Dexi1B01G0028280.1:cds pep primary_assembly:Fonio_CM05836:1B:32857988:32858577:1 gene:Dexi1B01G0028280 transcript:Dexi1B01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSPCHRSPSIAVPSFGTRKATLRFRTSVPRHLSNSARSIMTVFEDQVRGMVCYRDDRGEVICEGYDEGPRLGMRLLEKACFPWPMGIRVTDFIELSMLRVWENEDDLK >Dexi9B01G0033060.1:cds pep primary_assembly:Fonio_CM05836:9B:35251272:35253684:1 gene:Dexi9B01G0033060 transcript:Dexi9B01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEAHLGGDVPVPAKDADADAAAVVTAGTGGGHNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGFVLWADRAFGPFSGSLMGTWKYVSGAINGAAFPALCADYLARVAPAVEGGAPRVATIVVFNVALSFLNYTGLTVVGWSAVGLGIASLSPFVLMSGIAIPKIRPHRWGGVAADKDWKLFFNTLFWNLNYWDSVSTMAGEVERPGKTLPKALVAAVSMTSLGYLLPLMAATGAVDVAPEAWGNGFFADAAGMIAGRWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFAARAPIFNTPWVSIVATSAITLGMSFFSFNNIVAAANFLYSLGMLLEFAAFIWLRVKRPDLSRPYRIPMRLPGAVALCLVPSAFLVFVMAIAGWKVYAISAAFTAAGVGVYYLMRFCKARGCLRFSDGDDERAAYQRQGSRNNGDV >Dexi9B01G0033840.1:cds pep primary_assembly:Fonio_CM05836:9B:35922683:35925436:1 gene:Dexi9B01G0033840 transcript:Dexi9B01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELHDASSSSSSSAIPDPPGFTRSAPDADDAAGARQRKDSETAWKAQKAWEVAQSPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPLNALRSVGKVFEPFKDPRVDTIAPKLLFIALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLAPARVYQCPVVSTGR >Dexi3A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:3A:12242932:12243435:-1 gene:Dexi3A01G0016330 transcript:Dexi3A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARHDHAGGGHHQHHLSGDFQFHDELASLFAPRPDTPSPMMMMQQPWFTADCLQASAHYDDAFPGDLDVAGDVLDDAVKRELVVVDTAAAAAGGGGGVGTAAAAPLTPNSMSMSSTSSEACGAGAGAGEESAAEKCKKEEGEESKGGGSAAAKGDGEGEEKNKKG >Dexi1A01G0025250.1:cds pep primary_assembly:Fonio_CM05836:1A:31546639:31550709:-1 gene:Dexi1A01G0025250 transcript:Dexi1A01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRGGAADANPDGDEPHDLPLAAEANGEEARHTSDKEAVPVSDGTAALGGGTTADAEPESDDEDGGEGSPDQEEPNAGGERAAPVAAAEEREGIVGGLKVETNGEDAISHDADGEEDEDDDGDEEEEDDDDDSTPDASPRAEVKVEGESSTGMAQSGASHRVEAEPEPDPFLDGDDSGTEEEQAAFMVELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYQVRTGQLQIPPPGLPQPSGTEREVVVNQSSSARVRRDAAARAMHGWHAHRLLANGTYGDSMLKDKDSMPLSNRDKNLKGFGVLKRKKASSPDYALKSTRTKVNKSQLSLPVLYGSIPGVMVPILICIGMFNFREDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHADM >Dexi3A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:3A:9224787:9227995:1 gene:Dexi3A01G0012680 transcript:Dexi3A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDGGAAGVRLASCGGDRRPSPPTACSGAQSSPNLSTAVHMLPLKQPTTAIPNAQAQLLDPGLKPSHSESPTPPFPSRPRLATAGRRLLNVAGRALIASQRRRPVPTEANVASAQAQPSVQGVRQPPAAKARANAQPAARRSRSAGQGPGRRRPGAGPRIVHSTLQRRRPAAASSLNQAVVFGSTGTMDQEQKCEAPCGIYTYEHHCSMGVDIHEIFVKKSRLRVVLSYIGILFLLVNVSQPLLAKESLSLGSVWNVTFAVLVAKCFQYKPVKKESVVIMPAFGVQVEIHFWRYTSS >Dexi3A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:3A:848412:850106:-1 gene:Dexi3A01G0001170 transcript:Dexi3A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIHPLDPARGAHSAAVPVASDRRPAVYTVWKRSSMGFQGTDGFCVYDDAGRLAFRVDNYSRRRKLCAGELLLMDGQGTPLLSLRPQLLSLHDRWNCYTATEDTHEKKPSSTSQQQVFTMSKCSALQSSDEAEVHMSVAARASSSNLSCKHPEVSASPAYRIEGSFSRRNCKIRRGSDGKEAARIARKNAGVASRPVATLGDDVFSLVVRPGVDVATIMAIVVVMDRICHRPYTPMVCSSQ >Dexi5A01G0034120.1:cds pep primary_assembly:Fonio_CM05836:5A:36249914:36250396:1 gene:Dexi5A01G0034120 transcript:Dexi5A01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHG >Dexi9A01G0043180.1:cds pep primary_assembly:Fonio_CM05836:9A:46685983:46689490:-1 gene:Dexi9A01G0043180 transcript:Dexi9A01G0043180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVAALSELRRLLSLKPRCSRPHLSLLLPLSSLSLPSLRPRLGVHAVVSGCGGEGFALPRRRLVVGQVIEPPSSPPLYAAPPAWISSQEVSDSRMDGSKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Dexi1B01G0029620.1:cds pep primary_assembly:Fonio_CM05836:1B:33876578:33878782:1 gene:Dexi1B01G0029620 transcript:Dexi1B01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGFVEEMMREQQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSARIIDVGAAPRRDAPPALEQPPPLMAAMPPPPPQDAFFGAAGAPVFDAKDVGVHIGTCDELDMDMAQLEWLSGLFDDASIPHEPAFLPGANCAAPIKSSALAANAAGVALLPPDKMEDALFRSSSPISVLEHSSGFNNHGNKNNNNTASSSSSSASSSSESFSGSGGGHAWSAPVSPRPDPPVLVIPARARSKRSRPSAFTGAAARATEAPTILVPTPMYSSTSSHSDPESIAESDDPHQAPPMKKKKKAKKPAPPAPAVSDADGDNDGDADYEEGGERSQPQGGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRDPSCDLLQFIRRRD >Dexi5B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:5B:516598:518114:-1 gene:Dexi5B01G0000810 transcript:Dexi5B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPEPPAWMAAAARNWLEDAGATAEGGAGQSFNALPLSGVRVSLAERGRAVCSLRVPPHLTDAEGNWHTGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKLHEEVEMDGKVVEHKGRMTAVTVEIRKKESGELVAIGRQWMTASRPRGSKL >Dexi9A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:9A:1494391:1496416:1 gene:Dexi9A01G0002780 transcript:Dexi9A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAASRQSSSRSRRSGELPGAHSHQSSYDHGRTPPFSPDVYGRQLSSYSGRSSQVSRSGSFRAAAQRVAGAFTSCFVPRVQVKTEEEEVKSRGADCHVSIDSAGSGQEGRALTIADLSKATSNFSEKNIVKQGGSSTMYRGKLRDGSLIAVKCVRKLNGQYLTAELWRELETLQNVEHQNLTRLLGFFERNEDCLVVLEYVSNGTLREHLDESCGNGLDLAQRLNIAIDVAHAITYLHEYKEHPIIHGGIRSSVVLLTDALSAKVTGFGVAGMAASASGSGTGSGSDATPAKGAAGYVDPEYLSTYQMTDKSDVYSFGVLLVELVTGRPPVERSRGGEARLTTKWAVQKCRGGEAVVAMDPRMRRSPASVAAVERMLALAAQCVAAARKDRPSMRRCTEQLWTIRRDYHRREETRCAAVAEERTDEWVLR >Dexi2B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:2B:28242076:28243806:-1 gene:Dexi2B01G0017900 transcript:Dexi2B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPATTWTEKPGPRELRLLVFRRARGSQPPPPPPHRADTSPPPETPPSPSPSASSQMQNYYRYGEFDNCFGKWGDLVDCLTLKTKRAAEVEEILIAREKAKPHIWTFRTVDEASEHWWRMYKHAALMSTPLPRTAQPPPKSAES >Dexi4A01G0024030.1:cds pep primary_assembly:Fonio_CM05836:4A:26886173:26887359:1 gene:Dexi4A01G0024030 transcript:Dexi4A01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLLLSLFLAPASCGSPSSTYYACDWCRRPSTAAVVPPAAAAANNHNAENGGACGYGDTAMAMDLINGGLDGGGAHFAAVAAGADIFRDGAGCGACYQLRCRDRRLCDEDGGVKVVVVTDAPANRTGFLLGREAFAAMARRGMADQLIAGLGSGVHVDFRRIPCEYKKKNLTVRVEEGSRNPGRLAVRFLYQGGQTDIAAVEIATVHNRTTHGAHQATPSPWRPMERLRRRSGGFAWVSSRAPAGPLQLRLVITAGFGGKWLRRAGEEAAAALPANWRPGREYDTGLRVTDVALRTCATSCRARVAGDQELR >Dexi5B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:5B:1797528:1799651:1 gene:Dexi5B01G0002780 transcript:Dexi5B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKATIERYKKANSDTSNSGTVAEVNAQHYQQESAKLRQTISSLQNSNRTIMGDAIHTMSLRDLKQLEGRLEKGISKIRARKNELLYAEVEYMQKREMDLQSDNMYLRSKVAENNERGQQPMNMIGAPSTSEYDHQMASYDSRNFLQVNIMQQPQHYSHQLQPTTLQLGYTYTHTALLNLILGLHA >Dexi7A01G0022310.1:cds pep primary_assembly:Fonio_CM05836:7A:30649958:30652945:-1 gene:Dexi7A01G0022310 transcript:Dexi7A01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRLGGAGRALFTLPNIRRRASNSMAAVRDTFFSTKQVFESHRIVFTVGTSIASVLTAWAGYSLRQLKDNHKVEHEEIKKIVTSSNISTPACVATAMTTMVVGYALGWRGGAWFTRRAFRREQQKLMGHMKSHNRWHWRPFNRLKNRLRRASKNKSEALAQSVDAPSSSGASANAGTAQPAAGSP >Dexi2B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:2B:3659214:3662780:1 gene:Dexi2B01G0004140 transcript:Dexi2B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELISKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSEAIKKAKSNHFSEERLCTWLVQLLTALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLACSVVGTPTYMCPELLADIPYGSKSDIWSLGCCIYEMAALKPAFKAFDMQTLINKINKSVVAPLPTMYSGALIAEFQLASSENPLHHITKLTSPSIIDQSITKDKCTFQVLRSNSENYTDSLDFDLLGAENSPRSSSDWTHKRFNMRSYQQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSRSLKEVGL >Dexi1A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:1A:9963539:9969372:-1 gene:Dexi1A01G0011130 transcript:Dexi1A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREERYVRSASCFILSFYMASFQDWGSEQSASVVSDRVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTQASNLPGSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEETLYEKEKRLQAAIVCDGTASISLGAALYASRFVGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDR >Dexi8A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:8A:1760305:1760913:-1 gene:Dexi8A01G0002680 transcript:Dexi8A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLVLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi5B01G0028000.1:cds pep primary_assembly:Fonio_CM05836:5B:29496631:29498823:-1 gene:Dexi5B01G0028000 transcript:Dexi5B01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNTYAWKPNLNQKINETEPGGRFRPLAEITGVCQRCRDQIDWKRRYGKYKQIVEPAKCQKCGKRAVRQAYHNVCRDCSKNLGICAKCCTRVNELMNKGKGEDSGPSVPKVADRSREGDIFPAGSLDEYAEQAREQDDSDEAAGDFVED >Dexi1B01G0004610.1:cds pep primary_assembly:Fonio_CM05836:1B:3696043:3697442:1 gene:Dexi1B01G0004610 transcript:Dexi1B01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRAPSDEQHQQEALHGEHDDVSAGNESNKKARAGLSGVLRERKVVELARAKRRLVEVPYTATLAHTANALLAAGVSAVAVAAPPGHWIGAGGSMILESDPSTGAVRKHYIGLVNLLDILSHIAGDDDDSDEVAGDDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSILDCMETFSKGVHRALVPLESSSENVVAVELVESSPGYKMLTQMDVVRFLFARGAELRDVMSRTVLDLGAVNDACVLAVHGGAKVIDAVKAMRAAALTAVPVVEDDAGDETLLDASATQLSNGEHGMGKKAIETFSATDLRDCPVSWLQPWLGMSVIEFKTKVAEYRASNTAVVPGADATFTGVPAVDADTPVSAVVDTGAEEEGKEQQQPKLVTCSLESTLGEAIEAVVTRHVHRLWVVDEEGLLRGVVSLTDVLRAVREAALGEDRELQSIVSP >Dexi4A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:4A:8218231:8219309:-1 gene:Dexi4A01G0010310 transcript:Dexi4A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAALAKYRAAGDNMTDLQHHVEFFDKNKDGIITITESIQCHIESLAKNHINFHLVLPAFIAIGCDPVFATTAATSTHAAFGPLTTPPGKLPSTNIHVSHIHGAIHASDTGAYNKKGMFVPENFDKIFKKHSHIKPDALAWWEVEEMLTANRDLLQPWTWPAAELEWQLIYALGKDSRGYLHRDTVRGIYDGSVFPKLAERTATLHSEA >Dexi3A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:3A:9174416:9178258:1 gene:Dexi3A01G0012630 transcript:Dexi3A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGSGGAGAGGKVGLPALDVALAFPQATPASLFPPAVSDYYQFDDLLTDEDKALRKKVRGIMEKEIAPIMTEYWEKAEFPFHAIPNLATLGLAGGTIKRKVCFLQALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNAETNQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIVLNKVFVPEEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDICHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLIGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAVLTKSRL >Dexi6A01G0008770.1:cds pep primary_assembly:Fonio_CM05836:6A:9526758:9527187:-1 gene:Dexi6A01G0008770 transcript:Dexi6A01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKIAAITALVPSRNFRGPCLSNMNCARVCVEEGRTGGAMTMMAVAVAELGAGERNQGSQCLRSKSCQH >Dexi5B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:5B:14247598:14250914:1 gene:Dexi5B01G0016310 transcript:Dexi5B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDPASATVADLQRLIESHLTVPVQLQRLSLDPALLLPSPSAAVPLLADPAARLASLRLSNGAFVYLAYPPDARSARPPPPKVLSAAGSFGKKMTMDDLIARQIRVTRQENALCAAASFDRDAANAFQLYVAESLAFAVKRAGFLYGRVDAESKEVFVDFIYEPPQQGSEDVVHLMRDPEEEARVDAIAEGLGMRRVGLVFTQAVGRKASETGEYTMSNREVVQAAQLQAEGGIPEWITGIVKLEVGDDGTGDVHFEAFQMSEICVKLFKDGVLETEVGETDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSVGFPIENRGSPVGMNALRSHLDRMKHLTFVKRISDFHLLLKVATFLDVKADVPALAACVKTQSRVPEGYQLLIESLASQG >Dexi7B01G0023150.1:cds pep primary_assembly:Fonio_CM05836:7B:27822999:27823229:-1 gene:Dexi7B01G0023150 transcript:Dexi7B01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGGGGGGTADGWVGLGGAGSRGACEAQGDCGGATTSSPAVGGLRLLAAAESSSQYAPDRDPR >Dexi9A01G0022800.1:cds pep primary_assembly:Fonio_CM05836:9A:17933171:17937920:-1 gene:Dexi9A01G0022800 transcript:Dexi9A01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATELVRLLRLQVALQPSPPQRAGASQRPHRLLGALPRRRSPLSLRCRALDASRPAAVEGERAEEDDFEDEEESYFSVTSSGLSQVDYLGQSTRGDLTVRRERLEALGGNGESTLHGPIEEIAWKEAGEAETLLHDLGIAAWEGRAYDYGMDNLKSMGFPVDDLKFDPDLMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEAGKKL >Dexi6B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:6B:7694256:7695299:-1 gene:Dexi6B01G0006810 transcript:Dexi6B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAFIRSAVFNVAGYDWVIFFYPDGFTDESAGGGFDFVSAYLRLLNTNRGKVRASCDLRLVNPTTNTATSVHPTLVTMMREYDPDGDKNKVFNCMCIGRAELEGTYVKNDRLTMECVVNVRKEPKVSKSRIFPSIKVPPSNLKRQLADLLESKEGSDVTFSVGGETVAAHRVVLAMRAPVFKAELYGPMREVGKEAIVIEDMQPDVFRAMLCFIYTDSMDRSDDLGRDYHCGNCDMVRHLLVAADRYAIERLKLTCQSILCNNLHVRNVATTLALADQHHCDKLKHACIEFMCCLNNLEDVVATKGYKDLARTSPSVLADAMVRISKVGKKLTKIALKDGSENNA >Dexi8A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:8A:25851051:25851794:-1 gene:Dexi8A01G0015050 transcript:Dexi8A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAISAGQSRESWYSSFNVVFTDQRFQGSTLSLQGPLGPATVGDKGAWPVVGGTGEFAYAQGICSYKRIQAISGGLINELRINVVCFTIPKPVQVQNLAPWGGNGGNAYEFKAGEQPQRLESLSIFAKDFIRQLHSPTLTKLDRSRLLALGVATRESLCT >Dexi9B01G0046230.1:cds pep primary_assembly:Fonio_CM05836:9B:45531218:45531901:1 gene:Dexi9B01G0046230 transcript:Dexi9B01G0046230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANSTTFSGDVWAELRLADARDVPHIRSLIHQMAEFELLTDLFATTEELLTSTLFPSPARPPFTSFTALILDLSPSPLVPDSSSTIASRRLDLSASPLADPEAAAFISPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGRAAELGMGRVEWCVLDWNKNAIDFYEGMGADVLPQWRICRLTGAALDKYKGNQEEATDGKAAE >Dexi9B01G0026310.1:cds pep primary_assembly:Fonio_CM05836:9B:28226631:28229029:1 gene:Dexi9B01G0026310 transcript:Dexi9B01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVAGILFLVLGGLTPFPAAADVCDNVKQIATFLPQNTSSSPLHFATTTFGQAPDVVYALALCRGDILNDTVCGNCVADRFDQCYAGYYYYGDTCMLVYSVNDILAPYNTTAANGNDAFTRWNEKNIPGDAGEVQLIVGLKQELLVETVKKAAAAEPRRFATGVMDSGTTFPPVYSLAQCTPDLSGSDCLACLQRLLGMVNSTMELRMGAQIHVIRCYFRYETYVFYDSPPMVQIGPSSAQAPAPTTNTTPAKRRMSKLWVIPIVVVPLAAAAFLCFYSPCFRRYRKGKEMRLKAGSKPTQDLLHGDGKNSDFSVFDFEQVLQATNYFSEENKLGQGGFGAVYKMKVEELCWIGQNFW >Dexi3A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:3A:10302776:10306898:-1 gene:Dexi3A01G0014120 transcript:Dexi3A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCRAASPPEVDLLRCTTCATPWHSPCLSKPPALADAASWSCPDCSPDSSPAPAPAGPGNDLVAAIRAIEADQTLSDQEKARRRQALLAGSAPAADDDEDEDDAADDALEIVGKNFSCVFCMKLPERPVTTPCGHNFCLKCFQKWIQSGKRTCGKCRAQIPAKMAAQPRINSALVEVIRMAKISKNPNSAGSAGAYQYIRNDDRPDKAFTTERAKKAGKANASSGQIFVTIAPDHFGPILPENDPRRNIGVRVGETWEDRLECRQWGAHFPHVAGIAGQSTYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLRGYPVRVVRSHKEKRSSYAPESGVRYDGVYRIEKCWRKIGIQGKFKVCRYLFVRCDNEPAPWTSDDHGDRPRPLPKIKELQGATDITERKGRPSWDYDEKEGWKWVVAPPVSRKPVLSGDPETDKQIRRVTKRAHMSVAERLLKEFGCSICRSVIKEPLTTPCAHNFCKTCLLGAYDSQSSMRERSRGGRTLRAQKIVKPCPSCPTDICDFLENPQINREMMELIESLQRKAVEEGDNKVASDDADECGDGESEENDAALVKEEDDNSLNEEEEDNADGSVKIVVDIEEGKDDKKTKMGVTEVVDVIVDENAVKETKKRKGDAETGTDGATAKRMKNMAATEEGTRTPVKKIRKSDVDEGNGSPVVSSGRRVTRSSANASEADDSPARRTRSRARA >Dexi2A01G0026690.1:cds pep primary_assembly:Fonio_CM05836:2A:38278577:38280621:-1 gene:Dexi2A01G0026690 transcript:Dexi2A01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHHRHNMDDRDLDSAALWAAVDSAAAQASRVRSASVDDDLRGEVLQPARPFKSPRLASTPYATPPPVAVPLPLPPPPAHASTYPTPDAAAASRSRLVVVESPPPEPWGVHKGSPIAAVAAEGYLLPSLSVDNFRKYQEVALSVLRWLGLM >Dexi9A01G0046360.1:cds pep primary_assembly:Fonio_CM05836:9A:49777263:49779271:-1 gene:Dexi9A01G0046360 transcript:Dexi9A01G0046360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGRRSAALVALLLAVAATAAVSADGDDFFSPLAPIFAPVINSICSTVACGKGNCTVAPGTLGYRCECDPGWTQLHVGDNLRFLPCVIPNCSIDSTCSNGSSAPAPTPLPSPKNFSLDPCELAYCGSGGTCRNGSGLSYHCDCKEGYSNLLNMTTMPCFQNCSIGADCSRIGLPISNSSDKSPAPPGSESIYNNGNAATPGSISERILLPLLLLVSLSVGQVI >DexiUA01G0002010.1:cds pep primary_assembly:Fonio_CM05836:UA:4889489:4892635:1 gene:DexiUA01G0002010 transcript:DexiUA01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLPPPTIPGSKPAAAAPKAPTKPRAAVLSASAPAVAVAAAAAAPADAAGGRLSALIRSLCAAGRTAEAARALSAAGDGAGVVAYNAMVAGYCRAGQVSAARRLAAAVPVPPNAYTYFPVVRALCARGRIADALAVLDEMPRRGCAPTPPMYHVILEAACRDGGFRSAVRVLKALHDGGCTLDVGNCNLVLNAICDQGSVDEAVKLLGDLPSFGCQPDVVSYNAVLKGLCMAKRWGNVEELMEEMVRVDCPPNIVTFNTLIGYLCRYGLFERVHEVLAQMAEQGCTADIRMYATIIDGICKEGHLEVAHEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEEAEELLAEMFDKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLEHGCMPDVITYTTVINGFCKEGLIDEAVMLLKKENFNES >Dexi4B01G0020980.1:cds pep primary_assembly:Fonio_CM05836:4B:23051065:23056148:1 gene:Dexi4B01G0020980 transcript:Dexi4B01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQYPSSSCSKEHQKIYQEWFALADSDGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFHEFVAAMQLVSLAQAGNEITQDSLKRDGYLGSLNPPVMEGLDALLAKSKQVVKRVDPEMDGFPQEQSSLTNKWFSSKSSKKTSSDFDAKPMVMLLGQYSTGAHIGPEPTTDRFVVVMSGTDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLTDSLQDEFAKVQREYHLPAGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLQNFRNPYE >Dexi8B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:8B:5308073:5309333:1 gene:Dexi8B01G0005260 transcript:Dexi8B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSYASLMAGQFVAGLGVGYAGTIPPMYTAEISPASARGLLSSLPEVFTNVGMLLGYLSNYAFAHLPLYLGWRVMLGINAFPSVLLALMVLGMPESPRWLVLNGRVKDAMLVLEKIADTSQEAAASLPDIKAASGILFRDVVDGDVVTVHKSSNEWHVWRELVLSPSPAMRRILLAALGIHFFQQASGIYAFIIPWANGLAIASTVTHNAFYSIGLGSITWVYSTEILPLRVRALGCALGVAFNGTISGLVAMTFLSMSKAITIGGTFFVYAGIAMLAWVFFFTSLPETKGRTLEEMGKLFGMTDICEAEAQGSAAKGKAAEIRTPLLCDINSGHCG >Dexi7A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:7A:20962199:20963850:-1 gene:Dexi7A01G0010080 transcript:Dexi7A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSHGSDLLAPVFLILVTTVTILYFKRRLLLAPRKRTGSPPSLPCPRGLPVIGNLHQLGATPHDSLAALAARHAAPLMLLRLGSVPTLVVSTAAALRATFQPNDRAMSGRPALAAATRITYGLQDIVFSHPDGAFWRAARRASLSELLSAPRVRSFRDVREAEAAALVAAIADASGSPVNLSEKLMATSNKILRRVAFGDDGEGSIEAGTVLDETQKLLGAFFVGDYIPSLAWVDTLRGLTRRLERNFHELDAFYEKVIDDHINKRDDASMGEDLVDVLLRLHGDPAYQKTFHSRDQIKGILTDMFIAGTDTAAATVEWTMTELVRHPDVLAKAQRELRGVVGEADRDIVLESDLPRLTYLKQVIRESMRVHPPVPLLVPRETIEPCTVYGCEIPAGTRVLVNARAIGQDPGAWGHDAARFVPERHEEVADLGDHKPWHDSFSLVPFGVGRRSCPGVHFATSVVELLLANLLLCFDWRAPGGVAAVDLEQETGLTVHRKNPLVLVAERRMRAGKR >Dexi7A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:7A:24301910:24303340:-1 gene:Dexi7A01G0014360 transcript:Dexi7A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEINNTTSPAAAKATRAAPTPARAPLVAADSSSCASAGPGDSDGLTDTELNGAIKGASSGDSVGDGAGASVGDGVGATLGAGGSDTGAGAGAMTGAMIGAARSGVGAIAGGKKVTGGSATGGIAMGGDATGASVVAGAGAATETVGDATTGGCVAGGSVAETGDAAVGSGGEAMGGDVAASCGGEAAAVIGGAAADAIGGEAAVVGGAVAETCGGEAELFGVETASFVGGGAEASGADAAAVRPEVARLTLSVTRQRPEVPRLTLSVARRRPEAPKLTSSVARRRLEAPKLTSSVARRRPGAPRLPWLVARRRPEEPRRPCSVARRTPEAPRLTALVGGAVDAEGADALNGGALDADGDEAGDCAEQDTRRSERKSGSRAIVTPGGRLPTRTRSPSGFLLVFSLSAQKLSFPFCVAALFYMFLNLT >Dexi3A01G0030060.1:cds pep primary_assembly:Fonio_CM05836:3A:33362258:33362546:-1 gene:Dexi3A01G0030060 transcript:Dexi3A01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAAVLVQRDLLDLVGDVCVHHRPPRLPAPVRKLKPVVRSSPQNASGLRSRRRIRSEMKALDLEMATLIPGL >Dexi9A01G0030350.1:cds pep primary_assembly:Fonio_CM05836:9A:35360784:35365108:-1 gene:Dexi9A01G0030350 transcript:Dexi9A01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLLSTAVHRTMPGSYVRPESQRPRLADVVSGAPIPVVDLSSPDRAAVVRAIGDACRSHGFFQVLNHGIDAGLIAAVMGVGREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCHPLDQFVPDWPSNPPDFNEALPPPSPFSSSTQTRLRSSEIEALWFGFWGLGCGDHRNSRAQEKPGVEGQPDSGGGRLGQEVRELGFRLYAAISESLGLEASYMKDTLGDQEQHMAVNFYPRCPEPELTYGLPAHTDPNALTILLMDQDVAGLQVLHGGKWVAVNPKPGALIVNIGDQLQALSNGQYRSVWHRAVVNSDRERLSVASFLCPCNDVELGPARKLVTEETPAVYRSYTYDEYYKKFWSRNLDQEHCLELFRT >DexiUA01G0002090.1:cds pep primary_assembly:Fonio_CM05836:UA:4934866:4935534:1 gene:DexiUA01G0002090 transcript:DexiUA01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFRPLPRIGRSSTMAGFIVASTGQSRKHGGAQQGPSILVVEADQSRTWCQASTVDHNQHEEDRVLCCPCSRVHGLHPQRRLRARELDGGEPKLRTSCVGIGFAACWRQRRPEAQRHHATHIVWHAIASVMVILVGHGGRIAPP >Dexi3B01G0033590.1:cds pep primary_assembly:Fonio_CM05836:3B:36341357:36345050:-1 gene:Dexi3B01G0033590 transcript:Dexi3B01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGMVEQPPAKRMKLAVPGRVGLGGGEAESPRSRMLRRTLLLVLFLVRACRGARATVTVNVSQIGGMLDGAFHKYHGMFASKLNSFQRHVEGKLEAFQGKVENKLEILQGQVEVLHQEVRELSRLHSNRHPDQHTLLEPNQEDAASNGSSTNIHLRFLNKWKPPIYTDKDITDENNAAIKVALFEGDKKITAGPLSNAEIEILALRGSFYKKSQDNWTEEEFDKHIVQGRDEQRLVLGTVRLTNGEVELSQIRFKEGSCRKKISMAARFCKSKKVAGRVQEAIMDPVKVKDRRNEPNEKSESPRLYDDVYRIETIARDGAYHQRLQEANIHTVQDFLKALNKDPNELYKILQMKKKGKFWSKMIGHARKRTLEDRHELKAYQTEDGTVTLFFNCVHDLIGAKFGDNYIACEQFGIDHKALVKRLKEHAYNRLDDIPCDYVMKGHAPERISIGTSPAAGLSVVSLDPRQPNSTTDNLEAYEGYQGTGAAENCPSDDFNMVSEPISRHANYDTINTGPLNTYDYQSQGIAPSCQQQTTLPSIGPNWQQNTQVLMDYPDLFEDMMQSFSEASASAQLNPEPHNNLPQPDEMGLVASPPWPQSSFPEQDQGAGYSVFPGSGHGHDW >Dexi2A01G0036370.1:cds pep primary_assembly:Fonio_CM05836:2A:45920754:45924656:-1 gene:Dexi2A01G0036370 transcript:Dexi2A01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKLLLLLLLASSLYLSAVIAAQETCPADFDSKCEGGASDDWEGEFFPGIPKIKYEGPKSKNPLAYKWYNAEEVILGKKMKETNANLDEIVELAKQLQATFLQAAVDYKKKIGFNGWDTDQFMTDIAEATLGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVDKLIEDGSLDELVRRRYQSFDSEIGALIEAGKGDFETLERKVLEWGEPTVPSGKQELAEMLFQSAL >Dexi1A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:1A:27473614:27474307:-1 gene:Dexi1A01G0020640 transcript:Dexi1A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGFGFGTFAWAVLDSTKEPLYSAAITSVSGLDPPPPARDDLGRRSTATLDPAFNLTLRIASRSRNYGACLDAGAWLDVSYRGVRLAAAPAPPRLCAGRMEAAETAAPVVAWGAAVHVPGFAMDGLAEEMRRGDAAFDVTLTVPSVHDHRQGKLVRCMARRVGDVVGALGAPCVVNYVDTAVAVPQPGA >Dexi2B01G0027880.1:cds pep primary_assembly:Fonio_CM05836:2B:36630200:36633509:-1 gene:Dexi2B01G0027880 transcript:Dexi2B01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHRKHQLTSRLAAALFVAITLAPHAAGQPFGQMCGSVNYTANGTYQSNIQRLALALPTNASTSRQALFAKATLGAVPNIVYALALCRGDANASACGSCVATAFQDAQRLCAYSKDATVFYDLCLLRYSNLDFVDSVNGGGNEQFVLMPARNVTTSGEKYVAVTSVLDNGDSALILTNTQNVTAPFKVFDDAVAALLNAIAEYAAANSSKRFGTGVEGFQTIDSKNPMIYGLAQCTPDMTPEDCSTCLSGIIQDVAKYFSGKQGGRVVSVRCNYRYEQYPFFSSTPLLQLPEPTTVGAPAPVTVTQPASGGDPDKSNELDWEKRFMIINGIAQGLRYLHEESQLKIVHRDLKASNVLLDSDFNAKISDFGLARLFGSDQSQYVTNRVVGTYGYMAPEYAMRGYYSIKSDVFSFGILMLEVITGRRNGGSYDSEECGDTGPWEQL >Dexi7A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:7A:17894509:17897213:-1 gene:Dexi7A01G0006520 transcript:Dexi7A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGDRVALLQRLRRLAAVVAILAALCSPAAVVVSARKVGQSCALDRNCDAGLHCETCVADGNVRPRCTRVVPVDPQTKAKDLPFNRYAWLTTHNSFARLGQRSQTGVAIATPWNQQDTVTEQLNVWAPENATPTGVARWCPRGDACPGLLAAAGNGVRGLMLDMYDFRNDIWLCHSFGGICQNFTAFQPAVNVLREVERFLSRNPAEVVTIFVEDYVESPIGLTRVLNASGLLPYMFPVWRMPKSGGDWPRLSDMVRDNHRLLVFTSKAAKEATEGIAYEWRYVVENQYGTKGMVKGTCHNRAESAAMNDLSRSLVLVNYFRDLPNFPEACKDNSAALMDLLTACHDKSGDRWANFIAVDFYKRSDRGGAAEATDKANGGLVCGCQSISSCSVNGTCTTRHGKTPKGIFNASSDAAAWRAPPVLQWQRLVLLPAVFAVLLRL >Dexi9B01G0048220.1:cds pep primary_assembly:Fonio_CM05836:9B:47224529:47225330:-1 gene:Dexi9B01G0048220 transcript:Dexi9B01G0048220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQAPAVEVEKSEEAAPKAVVDEAPVVEDVKEDEEDDDEDDDDDADEGELGAGATEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMGPKQQDAASAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >DexiUA01G0016930.1:cds pep primary_assembly:Fonio_CM05836:UA:36121001:36121561:1 gene:DexiUA01G0016930 transcript:DexiUA01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLLLVAIILAAAVSLNSGADTTELLGAAEKTTHLHFFLHDTLSGKDPSAVLVAHGARRASNHADPTPFGSVYATDDVLTEGPERESKVVGNAQGLYISSGRRQLSLVLGMDFELTAGPFNGSAFVVYSRNNVAEYPVGRELAIVGGRGKFRMARGYALLRTHYLDTNNGDAIIEYNVTLRHH >Dexi9B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:9B:2156931:2160762:1 gene:Dexi9B01G0003760 transcript:Dexi9B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRVNGETSDDKHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHLLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELTSWPEQSTRQRTWLTVPEAASQCRYPWMQEALLTGFSDWHDKWSRGGGGTNCDPA >Dexi9B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:9B:11178180:11179074:-1 gene:Dexi9B01G0016350 transcript:Dexi9B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARWAVLLLSLLVASAGAGAQVGGLSRASFPKGFVFGTATSAYQVEGAASTDGRGPSVWDECI >Dexi7A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:7A:15269632:15270942:1 gene:Dexi7A01G0004450 transcript:Dexi7A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKPVKPVGAVMSPGGGAPDVVPLSVFDRANFNTYISVIYVFWAPTTPPNAALEAGLARALAEYREWAGRLAADGHSILLTDDGARVVQAIADVPLDAVLPLLPDHGATRLHPSDDGAEELMLVQLTRFACGGLAVGFTTHHLVSDGRATTNFFVAWSQATRREIIVPTPVHDRVSFFKPRVPPRVEFDHRTAEFKKPPVTAPTPHAGDDEVVVVHRAHFSREFIARLKSQASSPARPCSMLRCLAAHLWRRITAARGLAGDVSTSVCIAVDGRARMRPPVPEGYTGNVVLWARPTTTARDLVARPLRHAAELIDGEIGRIDGDYFASFVDFAASGAVEEEGLVPAADAAEMVLSPNVEVDSWLRIPFYDLDFGGGRPCFFMPSYLPVEGLVILLPSCYGDGSVDAYVSLFSRHVDAFKSCCYSIDDDLH >Dexi1A01G0029310.1:cds pep primary_assembly:Fonio_CM05836:1A:34841983:34843037:1 gene:Dexi1A01G0029310 transcript:Dexi1A01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPFYEEGSFFYQGLKLQEDDSYFQFQDFSADYELGEETWLPAQHFYAIPYPGISFYQDDLGCLSKVDFASFWAQFEEDDARGKEGDHEKEYMKLASGTGSGASVQAGIGSVLKGVETQGPPRRGLAKEELTFELVSRHFCMPIKQAARELSVGVTVLKKRCRQLGIPRWPHRKVKSLQTLIDNIQELGKHNAQDDTRSVVESLQWTKKLIEENPEMMLDKRTKELRQLYFKESFRRRRLMASWATAPGER >Dexi6B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:6B:1112265:1112590:-1 gene:Dexi6B01G0001360 transcript:Dexi6B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLKIKGFHSAKSLVELTCYIPKNTKSLECLTLDTTYGDPKCDTGYAAGQCAPMNEGFLAAARRGAAAIRTYIEDKVPSTVKLTVVEHCRRVE >Dexi8A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:8A:16342698:16343931:-1 gene:Dexi8A01G0010100 transcript:Dexi8A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPVRRRSSLIKGSRDGDVSKASRLVFGHGGGVDAFDRLPDDLVLAVLAGVAARAASPADLAAAAMTCRRFRELAAHPVVLSRASSAAVAVRAARWSEAAHRFLRLCADAGNLHACYLLGMVRFYCLGSRETGTALLARAARGGHAAALYALAVMRFNGSGGAGKADRDPRGGVALCARAAWLGHVPALRELGHCIQDGYGARRDVAAGRRLLLHSAAHELAVAEEDEDAASRFMVDWWALRGTIEKSSVAAAGEGGDDVEERRLCSQARCGRRETRRHEFRRCSVCGTSSYCSRACQAMDWKRAHRWQCGPAAARWIAAVNAY >Dexi8B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:8B:16634042:16635259:-1 gene:Dexi8B01G0009370 transcript:Dexi8B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFFTYDPYDYYYASPYHHYPYPYYNHHHQQQPAAPARRTSGFFPVAADAEPAAAAARRAAAASPAPRARSSVSIPVHFVGSNPDPERETKTAARPRAEPVAVVPVRRAPSAAEAAVTLQAAARGFMARKSVRAVREVEREAEEIERKVEREAEELRGDDARARIAVGEALMRLLLRLDAVRGAREYRRRVTKRVLALQDAVDALEPKAAPVVVEESEAEPVAVEMAEEDGVDQEVPDTAEHGGAENEAKAVADEMEVDGERDDDEPEAATEETAEQPSDGANLVAGKTAAAGLGAFPEGEWEMVTEEDAAPAPPAAARQEVESRRSADAGGVPEVRKVMEMVAALCERSAQQCAVIGALAERVDALERAVRRVEDAERRRRRAKKLRKEGKGSNRGKCYSD >Dexi1B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:1B:24649763:24650219:1 gene:Dexi1B01G0018450 transcript:Dexi1B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRRQWNQDRKRAAHGEPGTGKLKQRNAPISMSGKRKRKLERRHNREQKEAAMIKALENNMGDVDMVSVEVLT >Dexi1B01G0028660.1:cds pep primary_assembly:Fonio_CM05836:1B:33143687:33146685:1 gene:Dexi1B01G0028660 transcript:Dexi1B01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCAVKASSWASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTSLMQACQYGHWEVVQTLILFNANVHRTDYLNGGTALHFAALHGHARCLRLVLADYVPSIPNFCTLTNHGLSEEDSAAHFDHEALVKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEVTIEDGTTIDLIGILFFNCPLLFNILGCLPVFIFGCILLLQVLNLSIYATLLSDCLSAVLSFSSLHCLKNALSSTWQELVAPHFTTLLVVEMLSVVNSLLLEELALPRKMLAGMISKWTPLMVARSWQRNSIEEIISKEPEGRIRTLPSPYLCLPLMSIMNIARECGWRYLNQSPVCIDPCAVCLEGSCSVGAEGCKHEFCTRCALYLCSTSYTSASPAGAIPCPLCRHPIISFIALPGTSPIRELPRNSLSLSFCTTCPAVNSDSSASLAAHLYRTEFQCGRMPPMGSSSFRSLSCQRIPAMKLNPAFCMGAMDTNSCLIRCSRFGSSLRRSASQGETTRRSWPITFNPIVATGS >Dexi5A01G0019860.1:cds pep primary_assembly:Fonio_CM05836:5A:23745781:23747509:-1 gene:Dexi5A01G0019860 transcript:Dexi5A01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGEIQKVASMRRGDSGSIWRRGEDVFSRSSREEDDEEALRVGIDMPTIEVRFENLEAEAEVRVGSSGLPTILNSVVNTVEEAATSLHLLPSRKQTMPILHDISGIIKPRRLTLLLGPPGSGKTTFLLALAGRLDKDLKVIKGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFAVQAREGGEYQA >Dexi1B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:1B:11188383:11189597:-1 gene:Dexi1B01G0011130 transcript:Dexi1B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTDADEAAGGHLDDLPHHLTEKIIYHISPLASARLAPVCKSWASTVSSRLKMPVPHLFVYLPATGNTSDRRGVVVSVPIDSGGDDPSPAAEVIPNRVLMKNTNGLGCIGALPASGRLVFGNWCWSELVVLVNPITGACQSVDVGNLRSDPLLVAGGGDDSFVSFADGLDELVLWCRRRAAGGEEEEWSRQTVAVSWQLHRAAAYHAIVSVVSCNGCFYVLDRDGYVFSIDTATSAPPPLRLEKLPVASLFDHIAAGDVHETAAHGHLLECDGEVLLVRRVLDRGVAFCCHHDDATADLLTVVGFQVYRLDVTGGRWTEVRKLGGDMAIFVSAGSSFAVRSSETEGCRGNCIYFVDKKYCSVCNRDDGNAWGVYSMEEGEVLFKHAVTKQGPCSSATWFLPRV >Dexi3B01G0037910.1:cds pep primary_assembly:Fonio_CM05836:3B:40760180:40762707:1 gene:Dexi3B01G0037910 transcript:Dexi3B01G0037910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPANAAAESLGDLFPHQPAALDSDQSNLEWLSGYVEDCFSSSTTYTNHVFARSAPTMPNQSTGKPKLPPPSSSNGRRKKRSLASVMGNDDDKQYIIPLYVEPPLLLIDQKHWMAESELILPKKDNDQEVCQQQKQEQEEEECEKGVLMQVRQERLVKRCSNCLSCETPRWRNGPSGIQMLCNACGLRLKTENRFAPISKEHCGQETKKEQESGKRRDKKKMIRKTYVSKDLSSEKPEQRCTHCMSSKTPQWRTGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFVSCLHSNSHKKVMQMRQAIAYKE >Dexi6B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:6B:3810897:3814085:-1 gene:Dexi6B01G0004550 transcript:Dexi6B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDERSTSKHHHRDKDKDRDRERSSSRHHRDKDRDRERSSSRHHREDGDRDRDRHHRDKEKDRDRDERKEREREERKAREREERDREKERAREEKDKERARRREERDREERDRSRRRGDAEGEEDEDRDRKRRRRSSHHHHHHHRDAEPEAAPPREEEVDEEEAERRRQRKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQQDGGGSAGASAAAAAEADGAKAGKKWTLDGEESDEEGDKADGNKAEENGGTGDMDVDLPNGGGDANGGAGMEEDEIDPLDAFMNSMVLPEVAKLESAAATVDAAPATGVDDKNGKSAKDGVSNRDKKGPRRAMGRIMQGDDSESDYDDADDEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITRMTSEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPDSERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQTDRESTIADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQAVPEDLKSLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDMAAQAIANAQAAAALVANKAASSANQQVPGNATVPLIPFIAVSSQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVI >Dexi1A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:1A:10288303:10291031:-1 gene:Dexi1A01G0011330 transcript:Dexi1A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPAAEAPAEPFLPLRWESTGDQWWYATPIDLAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDVRLADAARNRAAVARRLLHDCEPGGGGGGPNRLVRAGYGGWLLYTAAAAGDAGFVRELLAGQPLLVFGEGEYGVTDILYAAARSGCPEVFRLLLDEVLSPASCAVGEEFRCEMMNRAVHAAARGGNLEVLRELLSGCSDAAAYRDAQGSTILHAAAARGQVEVVKDLIASFDIANSVDDQGNTALHIAAFRGHLPVVEALIAASSSLISATNEAGDTFLHMALTGFGTPGFRRLDRQMELIRKLVGGAFMDVSSIINVQNDDGKTVLHLAVVGNLHLNLVELLMSAPSINLSIRDNDGMTPLDLLRKQPQTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHTGIEVSDISERTTSFSSIIGRVDAEIPGPKLKKLNSFQDAAKHIKVLLKWPGRKGKKQGGGQKDLDDDASSVDSIKSWSHGDTPTPLRQRYSRISSLFNNKRTYAGNSSPSEAINKSGVVRPESIPASASWSSSSLVDKIEAVHLDKDQPSPYLSRLIRHTTKKYGSLNSRLMNKSLHLGA >Dexi2B01G0029650.1:cds pep primary_assembly:Fonio_CM05836:2B:38038197:38040919:-1 gene:Dexi2B01G0029650 transcript:Dexi2B01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTDGPHEKLGLTWYLVTDAASGEATLVCQIWSLVDHTRGRFIHWTRDLVPNPLFDIAGMLCGQFNIPFWKFFLATLIGKAVVKVSFQTTSVITLCNNQLFDLVEKRLIWAFGNVPGVASVLLSFVGKLKTAKDKFLSAQVAASASSAGKGKWNLSFTLIWNTLVWLMVVNFIIQIVTSTAQGYLRTQQELEMSTKLSETELSASEPSSD >Dexi3B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:3B:3274696:3275180:-1 gene:Dexi3B01G0004900 transcript:Dexi3B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADAPSSPELDKTQNSIMTNLSSIVGTNTWNSTIPVCNWPGVTCSRSGSGSSLVVTNIKLSNCGMSNKSIFASICSIDTLQSLDLSRNSFTDLGDLTSCRMKEGLRSLNLSSNRLSQPLSDLSQFLQLEELES >Dexi9B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:9B:8249160:8249441:1 gene:Dexi9B01G0012320 transcript:Dexi9B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYTSSQASPNPIGLWFCQISSGLQGTWSGGRAEPQQQQGAKHVAGGAEARQARAPEAKKDEPTTARTTCRRDAMMSETTVYLLLDRFAPS >Dexi9A01G0037240.1:cds pep primary_assembly:Fonio_CM05836:9A:41540235:41541260:-1 gene:Dexi9A01G0037240 transcript:Dexi9A01G0037240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGLPGLRCCHLPSGASLPTLGPALSRRPLPRTSALRYSSLQAQSGDSIGEEVLRMFLEERQLHGDFVSKISDMVWRRNGANVDAVEATAVQGSAADVAQAEDAQEVAEDEEVLRLAATLDWVSGDSSAPVRRRLSAKLKDELLLLTTGIGAACTLYCLVVFSLEVDPDFKSFD >Dexi1A01G0031000.1:cds pep primary_assembly:Fonio_CM05836:1A:36181624:36183448:1 gene:Dexi1A01G0031000 transcript:Dexi1A01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGDSSPHSAAATDDALHHDGTESSAATAAGVAPAAAPHAPLPPAKVRLMVSYGGRIQPRPHDNQLAYVAGETKILSLERPLRFADFAARLAALAGTNGDFCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPSTSGSGTGGGSGRGGSTLRLRVFLFPVMLPPPPPPPQPAGLLQPKPAESHWFVEALNTVPPPKQETSPSPSPVPAPAPPQQKQESVFANQSSPPQSKQEAVFVQQPPPQQTTVVQMPPPPPHPHMSSPPQSKQEAVFVQQPPPQQTTVVQMPPPPPHPHMVLAASPDYLFGLDNGFVPPPAVKVKDPAGDPPTTRENVPVEIPAKNDDRHPNPANPAPDHVAVSPVVSPAEFQRQIQELEKLQVAADNANHQPPQTPAPAPAPAPAPAPAALPRNGSDDSLTRAYPPTTATPPANAEYYLPKFPEKPPVPPPSSAPPATAYLQVQGRYASVAPGSGADHAPVFFIPAPHGYFAATASPGATSFPAVYAVAAPNASSANGSAPPSPAVSNATAYAPAPQVAYDSNGRAIYYTSMLPQYPSAVNGMSAAGAVLGTEPAKPVAVKPTVS >Dexi9A01G0041120.1:cds pep primary_assembly:Fonio_CM05836:9A:44779841:44780683:1 gene:Dexi9A01G0041120 transcript:Dexi9A01G0041120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKLSFPITGKHRPSKPPSFSTLAYGDPTSSAPAPTGRRQFVTEFDPSQPLSPAAAIPPIPNSGCFGHLNNPSSLPTSAQLFPAAARTFVLDTSTGTGDNSYGYGLNLRAAADDDVEAGLHGMSRGGFAAAVLAGYSWSEGKCVGQRHRNKVEETSMAVDRRRGGRPGFACNPTADDPRKSRSGDWTWTAGADDKVSSRRGTAKKRGRDHYANRDTTKDNRSGGKRSRTTTKACEKVLWLQNHIRVRVVSEKLGKRLYLMKGKVVDVRRRRRRATW >Dexi5A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:5A:5594532:5594990:1 gene:Dexi5A01G0007560 transcript:Dexi5A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNEFDPFSMDLWDPFDYMFRSIVPSSATSDSDTAAFASARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRVERSSGKFMRRFRLPENAKVDQVKAGLENGVLTVSVPKAEEKKPEVKAIEISG >DexiUA01G0013260.1:cds pep primary_assembly:Fonio_CM05836:UA:27529064:27532768:-1 gene:DexiUA01G0013260 transcript:DexiUA01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRLRLSPSASPSVQQRAERPRREAGSALHDGPGLKPPASPPAAGSRHRGIDLPAEDLVLDGEPHSLPGARQMTNVGASSSGTAAIGKGKGVSRASTITIGAATKTARTQSTKTNTSVQVVCEDGKVVKLKGPTQSESAPTLDEDVDSEPGEPNNPSEFVVEELGMDEEEVVAFKEDIFGKTSGKKRKQRSEEEDSANDSESDHMQRSPPYAESGDSSSDDGGDVY >Dexi3A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:3A:6983147:6989608:1 gene:Dexi3A01G0009940 transcript:Dexi3A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFGAASNEPSEAVRDVFQAYVTDAGGVIGEEALRRLLREVQGETDDAAAAKEVMAFAAEQRLLKKGGLTAEGFHRWLCSDANAAIDPRRGVYQDMASPLSHYFIYTGHNSYLTGNQLSSGCSERPIVKALLDGVRVIELDLWPNAAKDQVEQSVVVDELVSDDDDDGGCACRTWTSPVELNKCLEAIKEHAFTASPYPVILTLEDHLTPDLQAKVAKVPYVSLLPHASMSPCKALTKQPAYLLSMQMIKETFEDMLFVSESESMAEFPSPDELKGRIIISTKPPKEYLQTKSGKEEAADEKDEEGIDGETEKKARQGTDNEYKRLIAIQLTRRKHDMDQDLKVDPDKVSRLSLGEKAYEKAIVTHGAHIIRFTQRNLLRIFPRSTRITSSNYNPMMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDKLFDPRADLPVKTTLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARVGIAGVAADTRMEQTKVMMDSWIPAWDHEFEFRLAVPELALLRVEVHESDNHQKDEFGGQTCLPVWELRPGIRSVRLCDQKGQTLRSVKLLMRFEFFPSSSTK >Dexi1A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:1A:11597089:11597957:1 gene:Dexi1A01G0012080 transcript:Dexi1A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFNLLANWQRDYTMENILTQLKKEMAASHNRKLVQPPEGTFF >Dexi2A01G0031710.1:cds pep primary_assembly:Fonio_CM05836:2A:42451110:42452305:1 gene:Dexi2A01G0031710 transcript:Dexi2A01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLVAELELPSQSLDSLRRAAMELRLLAKHNPDNRVRIAAAGGVRPLVKLLSHGDPLLQEHGVTALLNLSICDDNKAMIVEAGAIRPLVHALKSAASPAARENAACALLRLSQLDGAAAAAIGRAGAIPLLVSLLETGGARGKKDAATALYALCSGARENRQRAVEAGAVRPLLDLMSDPESGMVDKAAYVLHSLVGSGEGRAAAVEEGGIPVLVEMVEVGSSRQKEIATLSLLQICEDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKAESLIEMLRQPRSPSLRARPTAVVAAE >Dexi7A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:7A:19030813:19034759:1 gene:Dexi7A01G0007730 transcript:Dexi7A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAMELLGRSFFPGPTGAAGRERGVGPACFAAVGQEGRARSLRSAALAERAVVTPAPVERATGAAAPSPAEPPHPQSVAARAVVTVRRRRKEDAKRRVAEQLDAYADRVGRSVLLELVSTEIDSRKGVPKKSKPSKLNWFDKKDVKAERVVYTAEFTVDESFGEPGAVTVLNRHQREFFLESIVVEGFPSGPTHFTCNSWVQPTRVDRNPRVFFTNKPYLPAETPPGLQELRRQELAGLRGESAAAGERKITDRVWDYDVYNDLGNPDKGVEFARPVLGGERMPYPRRMRTGRPSTVTGKPIHLSHKFRDSNSDPPAMARAHRRHDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALQDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQVTHHRHAQHAADTACALQLLSTDRLEPKGAAVLAARSLTHLALFLSLQAFPPVSKLDPAVYGPPESAITEDHIIGQLDVMSVQQALEGNRLYMLDYHDIFLPFLDRINALDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPTKPHGLRLLIEDYPYATDGLLLWSAIERWCDAYVAMYYPSDESVQCDAELQAWYAEAVEMGHADKRDAPWWPRLSTPADLASLLTTLVWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPTEGDPEHAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERRDEAWTDDTAALAAAREFADEVRRAEEEIDRRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSVTI >Dexi1B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:1B:1389885:1395579:-1 gene:Dexi1B01G0001760 transcript:Dexi1B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKAASLASACMPPAFLQPFIVGFGFAHRRTASVLSRPPRPRAPPPTAAAARSLASSTRRASTYGDCRIYRRPEELRGVAVPVAVSRHRGSSPSPSPRRASTAVAAPLPNAHSKSWAALDLVALAWYGVGFCFGMIRGMSLAMRILLRRLRKFLFPVPEAPEAPRQVDDEDLNLEGLFDDSDVEEGSGLPEAFDDDNVFNLQGLFALEQGFDDDQVGIHPGQVVPPGPEFQYDQNPELSYSWYISYDQYVKEGVNDHPDVIAHDSEVTFRGVRLVAPSIQLQGITAPDLMAVAHGTRENIMAVHDIINEDLFSGQPPEGIKDLLTMMKFDLHRPLHCIHGSLVPIITFSDLYRLMYDYIIHKLPKKDMRDILKHMPYVDDWVTLVEQNDLLVSTFTHSKGAYSIPTKDENNPYCLSDDQRKALRALGLHEEVGPSSYPKLAVQEFTIHGAELISYVRFIRVVGYLQLTLLPDDVLAGVLACLSPRGLAASRHRVHPSLAGTELLPFSVGDIFLTLWSIRFPPLFVPPSATMRRADDDNLGCLEGAPRDRLSHGGTSKSMDPGGIIKSHK >Dexi9B01G0035010.1:cds pep primary_assembly:Fonio_CM05836:9B:36777594:36779780:1 gene:Dexi9B01G0035010 transcript:Dexi9B01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSSQGIDLVAGGRNKKTKRTAPKSDDVYLQLLVKLYRFLVRRTKSDFNAVILKRLFMSKTNRPPLSLRRLVKFMEGKENQIAVIVGTVTDDKRVYEVPALKVAALRFTETARARIVNAGGECLTFDHLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >DexiUA01G0011630.1:cds pep primary_assembly:Fonio_CM05836:UA:23189844:23192157:1 gene:DexiUA01G0011630 transcript:DexiUA01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVDDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDALHFEEIVSGSTSRLTHVEIKVDDLKNKAQEYEIYDLKPFFSSAHFRDNTFVLDEGRGIIRHPLAA >Dexi2A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:2A:6293385:6297142:1 gene:Dexi2A01G0006720 transcript:Dexi2A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAVRRCGAKRGMPANCQPSRTQPTFALVVVLLLGATVVVVFHHHVAVGIGAVVARRRAPVLLLLLPPRLRLLEEPQELVPDFPFQPRHRHARRRRWRRRGFLQEEQVLREDVALQPRHRQGRRGEPPCKTNRIHMGRARSRAWIASPVNASCGWTSGGRWTVVETGARVAPSYHAVARGSGADSAERRRLPRLATSLRRLLPRLATPPPSSLAARRRRLPPSPRRPPLLHPRATALCSSTPAARPAARRKERCERTKAAWSLPLRPYGFWTFDRFNSQLSWDPQISQAAGRRDPYDDLITRHSGSPPSA >Dexi4A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:4A:8727086:8728169:-1 gene:Dexi4A01G0010840 transcript:Dexi4A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLGGARLPAAPRSALLPRRSVCQLRLQDAPRLSLLRVKAASEDTSASGDELIEDLKAKWDAIEDKPTVLLYGGGAVVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >Dexi4B01G0022030.1:cds pep primary_assembly:Fonio_CM05836:4B:23798592:23800937:1 gene:Dexi4B01G0022030 transcript:Dexi4B01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGAHPARGKRPPSSGCFPSRSRLGGLEDDPYPRGGVFRLVRGSASSSLPSKLKPASTGTTPNAPRFVRVQDHYSTPSPTLRRRHRAMLRARPNPASSTVPTTPLTLPPPYAQPPSKAASKETMERRAQDTPLDVVNCHEKEGRYVKLEGAIPAHVRRGMDEQCTQRQTHVLAPRPLVYKRGREAHAKGKTRREHKSQTHTDHNQGPRSSSPSPTLLVNPYHEQHATRCIAPLLDVRPRGRNQDKTPSLTLAIRETSG >Dexi7A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:7A:26880198:26883280:-1 gene:Dexi7A01G0017080 transcript:Dexi7A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVEEEEKEGEGLKGLGQGHEEEVKQPWAAAQRRDNHKSTTQATGWRHMTAAAFLGGHSAGRASGDWLEMEMSLFLYLCSAPSSRSLFMPPPLGGLATTAASISGTDCEIAIRDWRAASTVVNSDAGAAAGEAINRVLLFMCTRKLGETPALTQCKNRAEKLGLRALTGDEAGEEPTELSSVFTLQLWVDRDDREERPPDRGKRVDRACAAASTASDRLHTWIRSGAPRLQIGHEPQIKQRRVLLAHASPRHRAHDDEGSASFWGFGRHGPVVAECHTGDSSGVLAESRTVTVDAFVWTVDRQVAAGRLYSLGQGPSARLGCL >Dexi5A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:5A:3492524:3492853:-1 gene:Dexi5A01G0004620 transcript:Dexi5A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAAGMDKPSCSRFGRGIKVSKRFRFCRRDEFGTRSQRGGHEWVKKTPLGLELSLKTELRVIMEFYKIGGIGEWDEYK >Dexi1A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:1A:6406782:6407241:1 gene:Dexi1A01G0008340 transcript:Dexi1A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVHLLDFQIFKDKSVAINLETGLSNKLVKIRSHYSSGQKLAVGKSDYKTIIEAKLKIHCLFDETVMELMWGLKHIMKSLVPTESETCEVTMEDRHHMSKGMQWILNSYDFEVEPEMMSSFLLFPYFR >Dexi9A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:9A:3073089:3073601:-1 gene:Dexi9A01G0005490 transcript:Dexi9A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTLRRFELSDVDAMMAWASDPEVATFCRWEPYESREPLLAYLRDTVLPHPWFRAVVVPGSGEVIGAVSVAPTAEPCRGELGYVLARAHWGRGVATAAVRRALREVFAEVEGLRRVEALVDVDNPASQRVAEKAGMRREGVLRRHYWHKGRARDMVMYSFLSSDPLPE >Dexi3A01G0022180.1:cds pep primary_assembly:Fonio_CM05836:3A:17743743:17748109:-1 gene:Dexi3A01G0022180 transcript:Dexi3A01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTASTTCCSSTSPGPHRRRQLNDIERDAAAAHDHDCPCSTSSCCVGVPGTDHLHLNHHHHAVLCAHDDAECGGLHGHPATPLAGRAALRRKQRAGGLPGRQAWMRGIVLCLLGLVAVIGFLGSHRGGGSGGHTAATGAGGDGAEDDDGGGRLVQKVEVADADAMGWTEENLTALARRPPDPPVPEIWMKPESEDYRQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVDRFKETLKEDIVIVDSLPPDFRRMKPYVRAPTSWSRASFYRDFSKILRKFKVIRFTHTDSRIVNNGLAPSLQKLRCRANYKALQYRKEIEALGNTLVDRLRNGSEHYIALHLRYEKDMLAFTGCNHNLTLHEAAELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPATTKIYIVAGEIYGAHSLDALKAEYPNIYTHYSLATVEELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMTWREFQIAVRKHHENRLGGPYDRLRGESPRQEEYFYSNPIPGCLCRRDQRSR >Dexi3B01G0035130.1:cds pep primary_assembly:Fonio_CM05836:3B:37731081:37731866:-1 gene:Dexi3B01G0035130 transcript:Dexi3B01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDQKHRPTLAATTIPDDILISEILIHLPAKSLARCRCVCRSWRAGIAGAAFVRRHRDLSRARPPSSVLIIHREYDRLEYRPRATTTTEISFHRLVLPPPPRGQHVATEAADADLMLDKAWPDGITNVIYPTHCDGLVAISTATDRVFVCNPATRELDRVFEVVAHPPTGAWRPFDEMADLDDGKLCYIHAVADASFHVWMAEEEDDGA >Dexi5B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:5B:6931121:6931741:-1 gene:Dexi5B01G0009940 transcript:Dexi5B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLNTAPQANTKPLLAAILCAAAIAASFLPGSSAATAASSSPPSQLMIQTCSKTSNEHLCINLLQSNNRSSAATTVHDLAVVAVTAARRSALRGRILWLDLSYQAKARASSSSSSSSVADRLVARCAALYEECVSAGAKAVGRVTFMPPAYDARVAHAVSDLRRFPERCQGIFDERNLVSPLEKVNTEAVEKLRVAEEIVRLLR >Dexi1B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:1B:24384415:24397433:-1 gene:Dexi1B01G0018080 transcript:Dexi1B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTWSTKESDRACAYPIVIYSILISGELSHRPRASRHVVEAEPSCVGVAIILSPELEPDPRPPNQFVDHDSPGERCDNLGLFGGSRLWIHALLPACYGSGRAVFHRSREPEATKEWGLRRSPPCSRATREGGSAPAGNGKPRQHRGTRPASHTDAAPPPRRSRGRAPMPAPQPPGAATRRNQPIWRRRRPSSLIAPIASVSARWAAGSRQVDDDVLHGGKEPLILFQTTLAPQGAPPALAPPPVTDPCSPSLQPPSKPRSSSTPSTCGEIEMAPATSHRTDLMSPPPATAPAPTATTATPKPRPTSEAPLPFLSPWAAPLLPSTGRSKLRRWKEPNEDSDDDDLLQMEAPTYRDATIRELQQAVPGDAGQGPSNRVTIQPSPTPSPSRRVSPDSAGREAEARARTVLRHAEVRLTAEAWTRSGRPAPQSSSSMGCRFAGATTALRPDAMGAAVLPCTSASGGASPRQTQTGGSTPPDANRDRRARGRLHPGLSPRHSPESPPARGLQDAYPLPAVSDCKRRRQPKRARGDFGDENIRPRRFTGVRQSNPGTLRSHDAITPDPSRRPDCPISGDAREPMVIDNSDGLPPSHPSLRPRELPCFFDRDDNIHGEEARLRLALIQVGSTAPVTMDDAQRAVEAIDGVVANDVRIVAASFLVVCSTQAARDAIFRAGIVHLPCVADASPLAVSPSTSPSPTTFDGAGGGVAHDQRHVAWELADGHVTNGQVDRPAAKLLTHKGKATKESNDEVDNPIPTPSKADKDHAEAVVLCSGRDNGQTTSSMADPVNTAPHQEPRMDATRDSGIDQAPELLLIESELPAVGTMQLDPAQLAAVDEPASADTLQLDQFSELITKRISTPLLPKATRNMVPAPPPLPSSRSNAAKPMRNSSRLANSKLAKIPVARRGEVLLMRRFDLATAEQGGQATGTVDCVFDNGVPRGHAEKVMDMFPLRRADMGLLSEAVVDLVFEVVHGRMLKEVLCQLGSAAGEQIKARWKLKEDMESIKSTLELVQAVLRDAERRSVREEAVNLWLKMLKNAAYDVSDMLDEFEAQLSQVKKYFSLQPRLADTFAAVGQTLRAKEIIGFILDHRFGWAEADRTLLCGEEFGPLTDANATDCRTLRAARSVTKRLNRRRISTDILDWWLELRHGHNGLKQRGIDSMVQLIT >Dexi1B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:1B:7103640:7109008:-1 gene:Dexi1B01G0008480 transcript:Dexi1B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKQGQAPVPNNLGSQPVPSSNVQPNQAEYASVFYPSLPGDWGSQPMFSVGASVPVSSYYIVPMSQQSVQAGASRPEVSRPLGAQPLLSRVSLRPPQQVLNIQTSLSSMVGSQPSPSTAGRKSQQTFASPKVQMLKSPSFPVSNKRSVQKEPSSKVQPQQLESVRSKFRESLVAALSLDSDQQNKSQSPDNVQHDGSADKLKPAGDVVQNPVATTSKDVNKANSDVATAIAPKSCEEDEKLSSDLVSNMITSANDEMQQQTNHVSSEDELLGQCMVADELLQGHGLSWVSDLDVVITETNTESNLKRPRSSDVDSGATESIVESESKRIKSANELAIEKEKLNQKAESLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTAEELASKELSEWRLAKAEELAQMVVLPNNEVDPRRLVRKTHKGEFQVELEEPDGISVEVELGSNLTNIPSKAVEDKSKSNEKRSGDGKDVQEKDKASDSTPQDDGGTSNNDMSGDLEYIDNEKSDLMQELILDDVKDTENLPPIPSLDEFMQGLDSEPPFVDLSVETPDNDGNDHEEPDTASEPEELTETEDKTSTPEKTASESDKPSVQDNSEPKLESPGHAVVPTSDLTEPRDGELSKSPVKDEATETATDNVSNPNSVLHSKATGIPMIRESIWEGAIQLTVSSLSNVVAIFKSGEKPSLKDWRSFVEIKGRVKLNAFQDFVEQLPKSRSRAIMITELCWKEGSQESGRQQILQTIDAYISDERVGLAEPAEGIELYLCPPHGKTVEILSQHLPKEHQEGLSVAGSSFIGVVVWRRPNVPRVPTSHHRHDGSRRQSILRKPQVTNPAARPSLPPNSYGAPPGFPNKRHHREEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSHPAANVTAHAYKSRQHVPQPSARPAEQMRELVQKYGKRSSVQAHRWDDDDDDDIPEWNPTQATHHQQPIRHPALPAAPQQLPLPPPPPVQQMHPYQQQQQQQQQYHIQSAVQPQVPISSLSHAYLQTQQQPVQQLQAGQTWPQTGNAAWWPAQGVAAAATAPVTNIVQQPQYGVVPGSGGVQGYDSGSVGGMAWRPR >DexiUA01G0025550.1:cds pep primary_assembly:Fonio_CM05836:UA:53844839:53849094:-1 gene:DexiUA01G0025550 transcript:DexiUA01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGRWRWSTEKRREGREGAARRAEAAEVAKPNPARILFDQLTYFLLTILEESQRLKKQLSLTLTIGYQLLYLVSSEVRIAGASAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKVGDQLQESGENVFLGGKNHWKYSTLVNWELWWPQFPILVYFKETQTKLEGQIHFFPVIFNGRQLYDVMVERAGPSKTSGPK >Dexi4A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:4A:1263635:1263926:-1 gene:Dexi4A01G0001890 transcript:Dexi4A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKNSTTTLAQSWGSIEGRLVRVEVLVLFSALIWVLVEFFGSLRRRYSHGFFRFFVWAVMIPSETRRSSCGAPFSSSSK >Dexi1B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:1B:23755727:23758898:-1 gene:Dexi1B01G0017420 transcript:Dexi1B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRGSLWDAGVAVVVGAVGVGDYYFR >Dexi2A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:2A:8958709:8959114:-1 gene:Dexi2A01G0008900 transcript:Dexi2A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVLLLLVLVITSQFEWKQQIGEAADADPAAAHRRQQLLAREHAVKEKAFTLIGS >Dexi5A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:5A:11644822:11645530:-1 gene:Dexi5A01G0014580 transcript:Dexi5A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAAAHGSASNGGEYSPKPSKPLSWIARAARYAAAEQRPVFALAGMLFAAALFTFSSTASTSSPSYPAESVGFNHVAIGWHPSFHESVGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKGNVAHHLQNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIISLLSCD >Dexi1A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:1A:3048911:3049789:1 gene:Dexi1A01G0004150 transcript:Dexi1A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTFVLDLSASKPEWRHINVSSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIPGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREVPASWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSEDEPRWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLFLLDPAEEKPTWRILNVPGQPPRFAWGHSTCVVGGTKAIVLGGQTGEEWALTEIHELSMIRSLV >Dexi3B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:3B:13214593:13214795:1 gene:Dexi3B01G0017880 transcript:Dexi3B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTLALAEQHGYWRLKAKCIDFIVGTPETLLAVLEKEGYKHLEACCPSVLSELLKSKELLK >Dexi3B01G0036880.1:cds pep primary_assembly:Fonio_CM05836:3B:39693672:39697263:-1 gene:Dexi3B01G0036880 transcript:Dexi3B01G0036880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSPFIDQEGRERVHVFVVFVAKCTVRPCRALCERESRLPTPADDMAVADVMSFLLMTAHGVEGSDLRTASRSSLRSFLRGSPSRMVACPRRAKSKEWLAHMALRSPLLRVDLTGVFSGAWVVTWRKSRASFPVWLTRARSTGSSLPNLERDGNHPLEGERLPRGRRASSVTDIPVRGRPSTSSRTGAEEFCDGGPLRGKLLTKKQDYWSFGVSPPEQKDKLAPLIEALQRLSRHGLTAGGVIANFHRRRVLPLMRRRLPLFRMTTGADVTGTAMAAEPLPVTTAVQRARRAIDKLPDDPWVVPMRPEDGHLSFGLSRSHYSKPPVPEDKAVNRALAKRAKVDKDRREARRKRKDRKRKKHLAENREREEQGLSPLPTPESSPDPDGSEEDGGARSPSPLELPVSSRAPPSGATQAAASAGGSGEIVDLETPPSTVVPTSGSPSGAATAALEEPQGRGEAPERPSAVEEAPARGPGVEVPQEASRVTPQGEADASTGGEASRVAPQGEAGASTGGEVPVVAPASTSRPKRKLPIVRVPSLAPTKALKVGPSSSPHPSSQLLGPTNEVVQDFVTFFDAQAELQVKQQPCEEAPWVLEEPRPPQLLEGAVEPRAEVARSEDATPAPREALRVEEPPAAPVEADAAVAPPHEGGEGRTLGGGFPHLKELAEALGVGAPVTQGRESGGAAPSTLIVAPPGPASAGSCGTHARGSAEVWQSRRELPEQFVGEAVAEERLWDVQSSHGQDVRRALRDILRLHDEAGKIHQELRHQAFAKNDQIAALLLELRRLSGAVETRDHQLDDLRGARDRAVAECRQKGEVITRLDGSVSALREQLANSSTWLDSERPARSAAESAVEEERRLVVETRDQLELEQAARAAAERQAREGEKALEAAHGQVEALKQALQAETESKEAVLVAHSATQRELDELVQAASVACNEIAGADVQSGSSLASRLRALGGHFTSRVKEALLLGARKALGVVTTHYQADLSKLAAGYVVADDLNDEEAVAAMEEADAAADGTTRVLAGHFEGVLFPGEDGGDWDDLGGGGDP >DexiUA01G0003620.1:cds pep primary_assembly:Fonio_CM05836:UA:7378440:7381126:1 gene:DexiUA01G0003620 transcript:DexiUA01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILVRAASPGLSDAAATGGHHGHGSLQLCSSLQQQQVSYKRRPVRRWMLCSLRYACLGLDPAEVGRTSAVYSSLAVNPAGEAVVSSEQKVYDVVLKQAALLKRQLRTPLVGVRRQDLDMPRNGLREAYNRCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFEGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYQNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRNFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKGKKLLALPVAYGKSLLLPCSLRNSQT >Dexi4B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:4B:4266682:4267397:-1 gene:Dexi4B01G0006070 transcript:Dexi4B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISAPRGFPDFFTSAFGTKIMATLTGPADDVDDDGIMDIPTIDVQDKTLVSGIASSCLAVPIYFPVSDDRLFALDFGTFEILQKPKHKEPWMWQNLTYPPFARADATSYGVHPDGSILVSTKSGTTFIFDTNEYVWKLYGDWAFPFTGHGHYDPSLDSFVGLSKDPDTLGYLYCCNMASTMADDTGKILHPSPDIKCSKEKVYTKNPAERHHD >Dexi9B01G0039410.1:cds pep primary_assembly:Fonio_CM05836:9B:40115821:40117317:-1 gene:Dexi9B01G0039410 transcript:Dexi9B01G0039410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLSNYSSFEGVPYCKAHFEQLFKETGSYNKSFQSQQSPAKITPEKLAPELTRSPSKAARMFSGTQDKCATCGKTAYPLEKVTVEEKAYHKSCFKCSHGGCAITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAAEAQPEQPAQPAADSS >Dexi8B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:8B:1763240:1763794:-1 gene:Dexi8B01G0002510 transcript:Dexi8B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDASQPLGEESLVEWARALLADALEAGDFGAVADPALEGRFSRPEMRRMVGAAAACVRHSAAKRPRMVWRSLDVEDDEGGPPDLTNGVKVGQSMAYDSRQYSADIELFRRMAAFDDGDELAGEEDEGGGGGGVAAGSRAHKYS >Dexi1B01G0025200.1:cds pep primary_assembly:Fonio_CM05836:1B:30328426:30328635:-1 gene:Dexi1B01G0025200 transcript:Dexi1B01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAEIARLVALAVVDTDMETESPPPMRREGTTASMVGAAVCGARTSGWGRNRGELAAGVAVAYPPAG >Dexi5B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:5B:12851014:12851232:-1 gene:Dexi5B01G0015610 transcript:Dexi5B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLVQLDLEQHLRIPGDFPVARRRFGAPAVARGAAERVQRWPSEDPAEAHGSTCSGGRPGGPLCSAAAWT >Dexi2A01G0028470.1:cds pep primary_assembly:Fonio_CM05836:2A:39763623:39765770:-1 gene:Dexi2A01G0028470 transcript:Dexi2A01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPVALRRRRRVLLAVVTLLAMVTAAEAQDYNDGGGGDDEEDEKKKPQYKAQESCNGAFLTYTFEERAKEYPHLKNATAQPYAFKATATVLNTMAEDLKAWQMFVGFQHKEILVSVGGAVLLDGSDLPANVSGGVTFAGYPMADLLNSIDTAGEMSLIESKIEITGTQFGVKAPGKPMPKTIKLTNPAGFRCPAPTRKDSVMYVCCVKDRKFKAKKANSTRYVARQKGDLTFAYDVLQANANSYLAQVTIDNWSPISRLDNWNLTWEWKRGEFIFNMKGAYTLLKEGPACIYSPAAGYYKDLDFTPVYNCEKRPVIVDLPPEREKDKDVGNVPFCCKNGTLLPPTMDPSKSRAMFQMQVFKMPPDLNRTALYPPQNWKISGKLNPQYTCGQPIRVSPQVFPDASGLLSTTPAVASWQVACNITRPKRRASKCCVSFSAFYNDSVVPCNTCACGCGGDTTATCDPDAHAMLLPPEALLIPFDNRTAKARAWAKIKHWRVPNPMPCGDGCGLSINWHIINNYKSGWAVRMTIFNWQEYTFKDWFAAVTMGEHYSGFENVYSFNGTKMEGRFHDTIFMQGVPGLTYLEPVTDGKTPSDPRLPGKQQSVLSFSRKDAPNINIGKGEGFPKRIYFDGEECALPDRIPKVSSARRRAGTASLGQVAMAGALVMIVASLRL >Dexi3B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:3B:5841535:5845706:1 gene:Dexi3B01G0008310 transcript:Dexi3B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPAAAAGSAGYKYYYPPQHAQPQALRRPPRPAARWVKQWIPQDLAFSGGKCSLFKWVREDGHRSSKENSKVLDVEAPKPEPTTEILFLCSYENCGKTFVDVSALRKHAHVHNERQYICTEPNCGKTGTPVAVQHTPPAEKPHSTPKPSTPATTSYTDRPYVCPYEGCDKAYIHSYKLNLHLKTQHPEHGQEENGKLGTSTGQHGVNEPSYPYNYAEIGDAAPNPKRSKTHKAHSSKAYNAKIPSAMPSSISGVKNQWSGKATYEDDSEETEEDGGNNIEDGWRYGSNADDEETQDED >Dexi5A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:5A:11174973:11175664:-1 gene:Dexi5A01G0014260 transcript:Dexi5A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLEKQQRQHQPLAAAAQVEETAKLTPELRRDAPAPPPPASATNLESFIASTAVRVPARGHPRTGTRGRGPGADAQEGTPYYELADLWDAFGEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGSRPPPPSNSLGDIGSFCTFCS >Dexi5B01G0025990.1:cds pep primary_assembly:Fonio_CM05836:5B:27874246:27874692:-1 gene:Dexi5B01G0025990 transcript:Dexi5B01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTQLQDEEFQESDILWPDAADFPVSPRGHYAHVGNDDGGEYSGEPRLPMKLQLRQKASSPIDIPGRKKSCAPGGAKGADAAEPPAGRFSDFGVSLAGFGGGAGSVVVGSNVFVPPHVIVDRRAKREKAKMMFVVPSGRARVRKMRD >Dexi4A01G0021850.1:cds pep primary_assembly:Fonio_CM05836:4A:25231788:25236049:-1 gene:Dexi4A01G0021850 transcript:Dexi4A01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSGRRAPSTAAAAASSPGQKRARRDEASSVSPSDPDPSPSKNPRRAFTSSPFSDFGSYMAAKNSKLAAQFDADAATSGAGALGLFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRHTVTHIVCTNLPDSKMKNLRAFSKGLPVVKPAWVVDSLAENRLLNCAPYQISQNSSSSRKQTKLSTFFSEKQNEIRHQGEQNNQNKDAEFRSLSAQEGSQHQSEFESEASMDNVELSKNSLSSDEQKETTFEEGDVGDFAVDEGEYDCETACSERRDNDMDGKFGVAQSPDAKSRCSNLCSTSSTGSHLSLPLENSAAKPSSRPHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNILEAKSVNSNADHSGKKKMIIHIDMDCFFVSVVIRNMPDLHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLIIVPYNFDAYEEVADQFYGTLHKHCSKVQALSCDEAFLDMTECLHCDPEELPDPQSRMDNALFPLKRDIQFTYCNMHLLQADDYLSSLSIKALPGIGHTVSSKLRSKEIEYCGQLRNISKDALHKDFGKKTGDLLWNYCRGIDHSVVGSVQVKKIASTSMIQYLSC >Dexi2A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:2A:13007358:13007923:-1 gene:Dexi2A01G0011180 transcript:Dexi2A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNGMHPNGKVDETCMQSREIWTGVTYSLAANMLLHGMEHQAFKTAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLIYMRPLAIWAMQWALSPTRSLIEPPKVNTMDRAHIAPGTFQFLQDSVRKMAPKNGCFGNTVFDWDS >Dexi1B01G0025390.1:cds pep primary_assembly:Fonio_CM05836:1B:30438121:30441439:1 gene:Dexi1B01G0025390 transcript:Dexi1B01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARSVKAAMASAAVVLAMLLSTAAVAAAQHDYGDALHKCILFFEGQRSGRLPPDQRVRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKDEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHACWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDSDPAYSKRLLDRAVAVFEFADKHRGPYSSSLHAAVCPCYCDYSGYQDELLWGAAWLHKASRRREYREYIKRNEVVLGASDAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGSSNMQHVTQLSFLLLAYSNYLSHAGGRVSCGSSSASPAQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRASSIPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSDASDAFPDARAVFQQSEPTTYINAPLMGLFAYFSAHPNPAESGGD >Dexi5A01G0030750.1:cds pep primary_assembly:Fonio_CM05836:5A:33604899:33606417:1 gene:Dexi5A01G0030750 transcript:Dexi5A01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADEVKSRFGRCPYCRAMIYQDLTAVIFYCSKCRTPIRGKNPRPADETEYALAQLEILSADTASVFSDDVEPPNPRSAWAVDDDDARPPLASRSATTGRSFDPIRQQGTGDASSSSLPYRQFGSVRGAGPRSNNALKNSGLNRDDQTEASRRGSPLHGRVSELRPSSRRTRRSSSGDVDLRSDAGSGSGTDSDSDMPAPATSYRRRASPLSSQELEVASVLSGFEPPININKSPLSDPAFQKDLLQALDNLRKLIAAVDHPRSIDGQWQSLMPRLSASCNANADVDGGGKPTITRRSSRLMRRLESQLTQALPVERPRRNASTSSSSSSASSSRRGGVSAARARHCRPLLGGTPFVVCGECSEILQLPASGMLAGRAVRVKCGGCGEAFELTLPAIGWNDRPNRIFSAPQPVAGDGAAEEDEHALAWSHLSGEQPRPVGPLHRVLGYSSVSSVLRSRRYGEHD >Dexi3B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:3B:15060693:15062160:1 gene:Dexi3B01G0020090 transcript:Dexi3B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFEKAGLVRLRSHLGTYLCAADDGEAVSHGYRRNSRGTVWAVELAGDEYVRLQGQRGLYLGAADPAAALDAATLSCRVVQGLPSTPNDSAFLWTPRREEKEEPGAGAGCLTLSGPLGRLLQASFGETPRDNAVTLDFEVEPKESTWVVEVVPTERPPPCRAQSCDARLEAAAAATLDTASSAFVRLCSAKESRKPEEAPSIDEPLHMPARRTIFHNTAREDGAVDDFDEGTWRYFTFDEQSLAALRRRLQEETQHKDFVICRRSCGAAPRLFPVVLDLPPGNNEMEFVLVLVPSRVANDLQWP >Dexi2B01G0034030.1:cds pep primary_assembly:Fonio_CM05836:2B:41353083:41355039:-1 gene:Dexi2B01G0034030 transcript:Dexi2B01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTQELRIVRGRGRNKRKWTADEDEELVKALCEDHPRAKGLYGIPFVYFDTFDAIYGKDKYIGEDLEGSEEAIANMENDENTNEVGDDEAEDDGMSTGQSGRSLTATLSSKKKYRHDVKRNRTESNFPSLDKFKDLHGQFQSAIQHVSMMTAAMELFKDVNDHFQSVIQHAGAMATAMDQFKDAHDRFQNVVQRVSTTTAAMEQFKDAIDHFQSITQNGMVMAAVEYGTEMQEKSMCEEPQRKAKVTAIAEVQKLGFTGMEVVTTASIFAKEPNQMDMFLALPEIYKKDYILQMLTGMPCDSVILYTMHLTSFEAFGCKGGQSVQYAVG >Dexi7A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:7A:24249884:24250270:-1 gene:Dexi7A01G0014290 transcript:Dexi7A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEELVDAPRVVGVLSSLLERVVERNDAVAYELASADAPASSMALAPASAFRATVRPEISVRAYMARIASPACYVVAYVYLDRLLRRGGRRGRGALAVDSYSVHRLLITAVLAAVKFMDDV >Dexi4A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:4A:20081255:20084172:-1 gene:Dexi4A01G0016430 transcript:Dexi4A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding GERPSTIEWRHFVEIKGRVRLSDFQDFLEQLPKSRSRAVTIVDSYFANERVGLLKVAEGVELYICPSRGKASQILTEHMAKEHWASQTMAGVSVIGVFVCRRPHICAETPTRHDDSKKQPMSISRKQQAVLSSSVPMSSQLSRPASHFGYTNERPRLKDDATGDVPPGFGCGVIKDDDDLPEYDFVSISDGSPNVATPHSYQRQQHSGD >Dexi9B01G0045700.1:cds pep primary_assembly:Fonio_CM05836:9B:45136223:45139752:-1 gene:Dexi9B01G0045700 transcript:Dexi9B01G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRDLFRAVRQASLNHARVLYHRLIARLPHLLAVTLLVAVAPLPSLAGARALWASAHANAGLVAAACAGVAAAAFAYAASRPRPVYLVDLSAYKPGRAHEATRAASIRHFALAGRFTDESIAFQTRMLERAGVGEATHFPASLFSVPVDMSLRAAREESEAVVFGAVDDVLARSGVHAGDLGVVIVNSSLFSPTPSFTSMLVKRYGLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQVHPDTYALVVSTENITLNAYLGNHRPMLVTNTLFRMGGAAVLLSNRRSDRRRAKYQLVHAVRTHRGASDRSYTCVTQEEDAEGHVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLAAVLLRRILRADVVKPFVPDFKLALEHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLHRFGNTSSSSLWYELAYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRTVEDAGETNPWADEIDQLPVHVPKMSPIAFDQETSPNKDEQHAAA >Dexi7A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:7A:23307477:23309315:-1 gene:Dexi7A01G0013140 transcript:Dexi7A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACNHPLRLLSNPVTAPLTGSRSRGARCRNLAVYAQVQTEDDAYPTEPLKKVQVTQSVRRSRRRGTSGARQSLVSVGTARGGGDQWSDDFDLTMRQLHLDDLIEDGPRDADVLVHLLVQQHTQFGMSIKGRVLTSFRKICDSCSSTYCTNIDEQFNLTVLSSSRRDQSGLPDLGVSDPSVIYVRPGEEVDLDSVIQETVRLTASAKSSCSEAYSSNQRKRSSNQRWSKLLDLKKTLYKAPK >Dexi9B01G0041010.1:cds pep primary_assembly:Fonio_CM05836:9B:41485102:41487351:-1 gene:Dexi9B01G0041010 transcript:Dexi9B01G0041010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTVSESDISVHSTFASRYVRASLPRQVATILPLYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPVDKPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEVKNRETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKVGLEKTGRFNIVSKDHGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSKLPPPPPPAPLVKKKSELETQKSVTEAWKKFVLAKKTNGVC >Dexi6B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:6B:854895:856295:-1 gene:Dexi6B01G0001030 transcript:Dexi6B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPLTTTTTPPPPTTATGNGHCHPQKEEVEVIHLTPWDLRLISIDYIQKGILLPNPPVTGADLIDAMESSFARALARFYPFAGRLAADERGDGTVTVSLRCTGEGAELVHAVAPEVAAADIVSSLYTPPVVWDFYSFNEVLGADAAISGDLPVVSVQITELADGVFVGMTLNHSVADGTAFWHFFNTWSEIHRRGVDDGELSTPPPVLRRWFVETIPVPVPMPFPKLHDIVKRFDRTPVQECFFTFSGESVKKLKTQANSEIAGDEVSVTISSLQAVLAHFWRAVCRARRLPPEQETFYSVVVGCRGRLNGIPPGYLGNAMVIAKAEATAGEVEERGLGWTARLLNRAVASFDETTMRAWLEKWAMAPDFTYMDNLSSAGTAIVTGSSPRFDVFGNDFGWGKPVAIRSGAGNKADGKATVFEGPERGGSMSLEVCIAPDALARVVADEEFMDAVSSPSPAGGVKG >Dexi3B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:3B:1719809:1720452:1 gene:Dexi3B01G0002490 transcript:Dexi3B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDSASPTMADDQLQASESSTEPPPFTNMLRDFSHVLSHFTHSLPSSPSRRLPVHHQLRHGGHLVLVGFMRGGVWHNRDGEPVVDDDGAYSNGGFGAVPASEEAIAALPETATATARRRGKKQSARTMPCSHGFHERCILPWLRVSRLCPLCRFAMPAAGAETESLVDEEEDEGDEGGTLEEDDNDGDGDTLEHLQQIM >Dexi2B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:2B:18106151:18110492:-1 gene:Dexi2B01G0012440 transcript:Dexi2B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYIGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFIPVVKVRDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKELSSDSSQHVRSALASVIMGMAPVLGKAATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSAKDRVPNIKFNVAKVLQLLIPILGQSVVEKIVKPCLVELSEDPDVDVSPILEYL >Dexi4B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:4B:6315383:6317217:-1 gene:Dexi4B01G0008770 transcript:Dexi4B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGGLRRRSDETAPETWPARASIWLGTKERWRRAPPGTVLIACTVALLFLSLLFLDGQENASLVWQTAGAKLTAMSKDSMKPRDDASSTGGATAADELLGGLLVPGFDRSPCRSRYETSHYFKHSPFAPSSYLLRKLRAYEARHKRCGPGTPLYAKSVEHLRSGGRVEVDAAMECNYLVWLPYNGLGNRMMSLVSTFLYALLTDRVVLVHSPADFTDLFCEPFPDATWVLPPDFPIANLSKLGQNPDQSYRNLLDTKKIIVNEPYVFLNLGHERDIDKMFYCRDDQLVLAKVKWLLVYSDVYFVPSLYSMGEFHGELHRLFPAVESVSHLLARYLLHPTNSVWGLVTRYYDSYLADAKQRIGVQIRMFNFARIPVDDMYHQILACSRQEHILPEIDGDEDDLGTTKNGTTNSDATDAVATAGGPTTAILIASLYADYYERLRSRYYEHAAKGGATTVSVFQPSHEEVQVEGRRGHNQKALAEIYLLSFSEVLLTSGTSTFGYMSSSLAGLRPVMLYPARGHKVPKPPCVRLVTMEPCLHRPASMECQANVVDKEFLARHVMVCEDEGLGIKLFG >Dexi4A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:4A:6382601:6383575:1 gene:Dexi4A01G0008540 transcript:Dexi4A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSSFSSKLVQIVTATLIVLSLARLSLCQRRELDLVDTPPSDQQLTYHGGAVLSGDISVTIVWYGKFSSEQKAIVVDFIESLTSKPPSATPSVADWWNTIYKFYLSNVTSTTGGGETRVQLASQVTDEQYSLGKSLTLDKVSQLASSTAPKKGSLVLVLTDPDVVVEGFGSVRCGLHGADAGGVGYAYAYAWAGDAERQCPGQCAWPFAKPAYGPQDSKPLAAPNGDVGVDGMMVTLASMVAGAVTNPFRDAFYQGEKDAALEACTACAGVYGSGSYPGYAGDVLVDKATGGSYNAVGAGGHKYLLPAVYDMAKPGCSTLV >Dexi6B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:6B:3316117:3323296:-1 gene:Dexi6B01G0004090 transcript:Dexi6B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLPETNAAAAAESEVLLDAWDFKGRPAPRSSTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTGTMHLGNAESANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPSQCAAGGDACERASGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDETDATEKNQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRAWGYGACAASIAAGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRRIELPADPSMLYDIDVGKLVAVEEASTKKSKRKERLPHTEQFRFLDHAAINESPASEPSKWRLSTLTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGSSFQIPAGSLTVFFVGSILLTVPIYDRIVVPVARRFNGNPHGLTPLQRIGVGLALSVIAMAGAALTEIKRLRVARDENVPNGGVVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKVTGDRHPWIADDLNKGRLDNFYWLLAAICLANLFVYLVAARWYKYKAGRPGANGSVDADEPMLH >Dexi2B01G0029090.1:cds pep primary_assembly:Fonio_CM05836:2B:37618818:37620681:-1 gene:Dexi2B01G0029090 transcript:Dexi2B01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEQRMLAEALGAISLLVSASLSATLFPLKWQLIRDRLNRLHAGLADITVDDSGGGEEEADRCEAFVTLLRDAAATSGDALEELVPRSQGRHYGGGKLRLRSDLDVLAAALDALVARLDEVYASGALTRARALVVPRPAAGATRDDVRFYVRDLFARLRVGASEMRREAAAALAEALRDDEKCAVRVVVSDVPDGVGVLVALLECPDPRVQEEALEAVSVIAGSEAHRGDLVVAGVIAPVVRVLDAGAGSSTAAKERAARVLCKLTENSDNAWAVAAHGGVTALLNVVSTDHGTGSGELVCAACRVLRSLAGVDEIRKYMVADAGAVPALVSLSQSATTDDAARIQSMELLAAISSFSDDGSAREAVVREGAVESFVRALDPSSPTRSSKAREVALRAIDAVCLSPPTTSTTDRLLAAGFLDRVLSLLRNGDGRTLQHCALKAAHRLCQVSEEIRKAMGDAGFMPEMVNILRAAKSPEAREMAAEALCALVSVHRNRKRFVQDERNVAQVLQLLGNDEEIKPTPAKRFLLSTLMHLTDSSTGRRKIMSSEHVRNLEKLAETNVPDAKRIVKKLGGSKLRSIFHGIWSL >Dexi1A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:1A:22979801:22983306:1 gene:Dexi1A01G0015990 transcript:Dexi1A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGRKVAVAAVQFACTDVEAENVAAAERLIREAHKKGAKIVLIQELFEGHYFCQAQRMDFFRRAKPYKGNPTIMRMQQLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMALQGAEILFYPTAIGSEPQDGNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPELYKVLLTLDGEK >Dexi3B01G0035650.1:cds pep primary_assembly:Fonio_CM05836:3B:38670334:38672369:1 gene:Dexi3B01G0035650 transcript:Dexi3B01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCVIRLLQMVNEKGCESCRKWQEHYYWEHMDVTKIRFFKIMTGHFTQGISVPEKFVKNFSGQITKGLDLKVPSGETWHVGVEKHDEELHLTSGWKDFVKAHQLKENELLTFTCRGNSKFDILMFEASGCEKLSSLFSNRIGPDLHKHLNGMDEHAEPYAPTDSEEATMPLTKLGGSTHMASNSRKCNCKTKPTKESQSLNGSSYHVKHEGSEEEESDDRYAHSKFYYSRTANQLTEEEKEKILSLASIQSENPAFVTVLQKTHRQRRCNLLVVPSRFAADHLQERTHEIILCRPSRNDKWFVRYCYTRYTRGFQNLQFFKFVQENKLCEGDICVFELMKGAKRVTMTVHVIRKVHDRFVLVR >Dexi3A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:3A:16502329:16504127:-1 gene:Dexi3A01G0020820 transcript:Dexi3A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNANNAIIVDKTQSAAHWSLKAGLWDSLRSGFLKNSNSTETVEPPPAPLEVEEPLPEELVLLERTLPDGSTEQILFSSAGDVDVYDLEALCDKVGWPRRPLSKIAASLRNSYLVATLHSTIRSSEEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDINNITLFADNKVVDFYKNLGFEVDPQGIKGMFWYPRFLSQQADPSS >Dexi5A01G0036960.1:cds pep primary_assembly:Fonio_CM05836:5A:38338795:38340099:1 gene:Dexi5A01G0036960 transcript:Dexi5A01G0036960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQNALHQLVSFILGASAAAVLIFFLTSASSGARFTEISSWANGTTAFDDHPVQEANPATEPAAPAHTEAKLGSPEQDEELERLLRAVADEDRTVIMTSVNEAWAAEDSLLDLFLESFRTGEKISHFASHLLVVALDAGALERCRAVHPHCYLLPSAAARNLSDEKVYMSQDYLDLVWSKVRLQQRILELGYNFLFTDVDILWFRNPFERMSVAAHMVTSSDFFFGDPYSPMNLPNTGFLYVKSSKRTVGAFEAWRAAREAYPGKHEQQVLNEIKHELVATRGLRIQFLDTEHNAGFCNNTRDFNTLYTMHANCCVGLGAKLNDLGNLLQEWRAYRQMDDEERSRGPVRWKVPGICIH >Dexi4A01G0023820.1:cds pep primary_assembly:Fonio_CM05836:4A:26782581:26785581:1 gene:Dexi4A01G0023820 transcript:Dexi4A01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCRVHPQAQQRSGSERITRLGVYHDVLRRLRDAAAPEALAPDFADRLWAHFHRFSVSVHPEPIFGSSQNLKALVREASSRNLLDDGDAVLRPMHEITFASKDRPKGLTQETLQLEEVLEKEFHNYKAQISWYILR >Dexi6B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:6B:707555:708378:-1 gene:Dexi6B01G0000810 transcript:Dexi6B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVMRCDKVIRYARANLPPIMPNLETLELGSTFEVNTPMLPSKFLNLKHLIIQVHQTHMEHESVFEGSSHLRQLPECHHDRLKSFEVIGFSSAKGLVELTCCIVKSAVSLERLRLDTLCGDIRCSDGNDSDSWDICEPISEALLEEAIRGVAAIRKYIEDKVPPTANLIVLEPCPRCHTTTVDHGW >Dexi9A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:9A:15715074:15722383:-1 gene:Dexi9A01G0020630 transcript:Dexi9A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPARRDAAALDPELLQLPELAPGALRENSIIADALYLQWLVLPDTAKLVKSLIEDAKAGATLNVTGTSASTNAASSSSLPSMFPAGSAPPLSPRSTSGSPRVMRRGPGAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPPPRDLKEQCLSRIDHLFFGGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDVACTGSVSRDAFVDYWINDNKITMDMASQIFEILRKPGYNYLTQDDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRSGNGQLTLRELKRGNLISALQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFTQIPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFRCIDLDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >Dexi1A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:1A:27532266:27538459:1 gene:Dexi1A01G0020710 transcript:Dexi1A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNAMAEKFKDAVRTMTNIGFRKEIVVRVLKKLLTLYDDNWEHIEADNYTALADALCDIDDSDPKQEGQKKRTEKKNLDWDHCNKKLRIKEISQKPKPSIHGSSKREPGEVPRPQKAEAIEGKIIGTQLKKQGSQIVMKEPKKESSFADTTTIGESSSASVLKSQEYHTFETPLAVMCPSVLEPSCHNGYEDAPLISGVQRIDKKLKGVLVAREGQMANACNSQAIVFLTREKKGWGLRPAEKLPQGAFVCEYVGEILTNTELYERNNRLTGKEKHTYPVLLNADWGTDLVLKDEEALCLDGTLYGNVARFINHRCFDANIIDVPVEIETPDHHYYHIAFFTTREVDAFEELTWDYGIDFDDVNHPVKAFKCRCGSQFCRGKSRSSSKYLKVAVIVDMLPQEDLDLEPWFRGEY >Dexi2A01G0030960.1:cds pep primary_assembly:Fonio_CM05836:2A:41790274:41791796:1 gene:Dexi2A01G0030960 transcript:Dexi2A01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVNSRFSSNSTSCPGASFHSNSLTMLATKYSRWSMAMDSPAQILLPTPNGIIRIPLVPVMSTPAPSPPSRNLSGTNSIGLSHSVASRPISATMKFTVAPLGIRYPPTSMSSAALCGSTKWPGGCLPLEDHRLQVRHPVDGLLRDLAGVVFAAGGHELGVETLLDGRVLDELGHDPLQPGRGGVGAGGEELGAERDDLVVGEVAVALVRERDVQQGVHVRVLERGLARRRVAFLELLLVLPTHVDQWHEELHLAAAKGAGGSEAAAEDVLGDGREEEEDAHLVGDVEQPRALGFLDGAHHGLIETLAEAHEHEEAEHGVPERLHGVAGRRAVVSSDTTSPELVQEDAANPGAGGREELDAGGVEGLGDEVAAEEAPEWAIVCTGDDVVGDAEERAGGGLRTVGERDGAGADERGVGEAAVGDEDGEAGAHPERHRRAVPVEELEQEGLDVGGGVAEPQEVAEQRQRRWARREAAVARRAAAAKDEEGEQEGAREDEPAVDLHGDQ >Dexi4B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:4B:19435418:19441783:1 gene:Dexi4B01G0017280 transcript:Dexi4B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDASPSTPSAAAAADGVSSSSAAAPAPALRPRREAFEHGLLPIPKLVFPEGTLTQTLAQLKEKLASGGARVGATALAEALQIPAEQAALALGTLAAVLPAEDPALGEDGAGEADLRDVLLFLYIQSYKRLVPRGHSPAVADVWPSTSAFDGCLSALSPIQRNLRHYFIYAFGLQFIRCNSRRFMPSQADEEAHQLSYLQKHIANILTLLADSVDGEGDDSMVLNCHDSVIYILAPLKYATVYGCSDTTIVLGAIGKIPSWFEPQGPTKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYGLL >Dexi6A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:6A:4997140:4997514:1 gene:Dexi6A01G0005510 transcript:Dexi6A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPTISLATVDAAARRRDGPSPKGRPGSPMAAATARVVVMRRPTPPSSPAQGGGGKVHAAPARVVMRGPTPPSSPVEGSGGKVHAATAARVVMMRGPAPPGGGPAEGAGGNGGSVH >DexiUA01G0001040.1:cds pep primary_assembly:Fonio_CM05836:UA:3335819:3336691:1 gene:DexiUA01G0001040 transcript:DexiUA01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLLPAASPPPPRPPPPPPPPHLDTTLTLALALPPPPFPYAISPRPLQARRPRQDGVASSSSPSAFARVRSSPTGDPPPCTECDKPFKSWKALFGHMRCHPERQWRGIKRPPQFQPDQHFTPQERDVAASLLMLAGPIPTSPPVGVGKGKKKTKSLVLAAASSSKAKGMSPCSGASSSTTTTSPPRRCDDDGHKCSVCARGFATGQALGGHKRCHWEMACGERMEVAAAHKRCRALATSSEVAAAAAAAAITVEMDLNLPPPGTTPLLLSSDEDGSLNVSLELKLGY >Dexi1B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:1B:6595122:6597231:-1 gene:Dexi1B01G0007940 transcript:Dexi1B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFYAYGDGGCLVSAPAELSGLFCRAAVQQRKRTLVAASAVAAAAAECVRAAKKQRQQLAVLPSLDALPDECLFEILRRVPGGRDRGTSACVSRRWLALLGSIRASELGQAAAAVDTPALPDLNEEFVMEEEDKDETPADPCVERVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLSAVARGSPNLSSLALWDVPLITDAGLAEVAVGCPSLERLDISRCPLITDKGLVAVAQGCPNLVSLTIEACSGVGNEGLRAIGRCCTKLQAVNIKNCVHVGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLTRLATVGERGFWVMANAAGLQNLKCMSVTSCPGVTDLALSSIAKFCPSLKQLCLRKCGHVSDAGLTAFSESAKVFENLQLEECNRVTLVGILSFLRSCSQRFRALSLVKCTGIKDICSAPAKLPLCKSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDGGLLPLIQSSEAGLIKVDLSGCKNITDVAVSSLVKAHGKSLKKVSLEGCSKITDASLFTIAESCIELAELDLSNCMVSDYGVAILSSASHLKLRVLSLSGCSKVTQKSVPFLGNLGQSLEGLNLQFCSMIGNHNIASLEKKLWWCDILA >Dexi1B01G0023430.1:cds pep primary_assembly:Fonio_CM05836:1B:29009276:29010441:1 gene:Dexi1B01G0023430 transcript:Dexi1B01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEWGFRDDFLKAVAEQGNSASRGLFLNSCFVHCQSETQETWFSSDSPMLGNTTIANAVGDWFFDRSPFQKIDCPYPCDSTCHNRVYDYPSQA >Dexi9A01G0025790.1:cds pep primary_assembly:Fonio_CM05836:9A:26468568:26469468:1 gene:Dexi9A01G0025790 transcript:Dexi9A01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFSSVLTLYKCLMETSGEDKDRTWFLHYDPIEVRLEGRAIQMMFASDVDFNKQVVTAITRLYHTMDDDIYACYAEKRCRHFLPPGFADVMLQSDQFNESTRLKDMFVGYHVTYDVGECKLILAPVCVDLDWSLYVWNFERRTIVVIDPVTMANGVEAVMNKHNGIVEKMHKGVSICKEQLFQHPQVHMADWEIEYLTVEGGHGVR >Dexi3B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:3B:10696288:10697395:1 gene:Dexi3B01G0014860 transcript:Dexi3B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKPKPAGPAPPPPPPPPAPEAKKSFMRRMFPFLLAANLFVGAYVLVRTYRKDSGKDSATDPSTASTSSAGKPAEPVTVPRKELPPIPEDEQRQLYKWMLEEKRKIKPRNAAERKKLDEEKTLLKEFIRAESLPSL >Dexi9A01G0047220.1:cds pep primary_assembly:Fonio_CM05836:9A:50401147:50404692:1 gene:Dexi9A01G0047220 transcript:Dexi9A01G0047220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGRNGLREDDDDIAEHAEVFDGASDEEDVPPHLRALANAAQSGDVAALLAALGGDGLTGASRAVTIVLTALAAALGRKTAATAGLEMTLGGMRRASDRKLTSRSTVAPFPARLSSRGSPASHLIGTLQDNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEMVQYMLNFAANRDGSIVRMLNTVDSEGDTPLHHAARGEHLDVVKLLLEAGASPKQENSYGQTPADMADQDTEVRTLLTAKQIEASTHMSED >Dexi5A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:5A:9095021:9098208:-1 gene:Dexi5A01G0012190 transcript:Dexi5A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAAAPSPLEAIPLASRPAAPRKRPVRRKKSSHTPKPRWQTVLSVAAKNAALLAALLYLGDLAWRWSHPPPPSPPPRDGDALKAYAARVAELEASLDRALRMMQVQLEAIDRKIDGEVGAARADLAALLEEKRLALEEGLRRLDARAGELGDALAALERMEFLRKEEFEKFWEEFKDSLASGFGSKVDLDQVRALAREIVMKEIEKHAADGIGRVDYAVASGGGRVVRNSEPYVPKRGGFFGGWLGGGNPDPQKMLQPSFGEPGQCFAVQGSSGFVEIKLKAGIIPEAVTLEHVSKDVAYDRSTAPKDCRVSGWYDETPGETQSGHASKMAALAEFTYDLDKNNVQTFDVRTPDVGMINMIRFDFTSNHGSSLLTCIYRLRVHGHEPVSPGTAGFLA >Dexi5A01G0039410.1:cds pep primary_assembly:Fonio_CM05836:5A:40056192:40060554:1 gene:Dexi5A01G0039410 transcript:Dexi5A01G0039410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLLAASSSSSSTPAFRAPLRLRSPARPPHLRLSRTGRRPFPVFRAAETDAKDAKPKAPEKAPAGSGSSFNQLLGIKGAKQESDIWKIRLQLTKPVTWPPLIWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIVFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTTLYSIAGLGIAIVNDFKSIEGDRTLGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLLSTGKLYYALALVGLTIPQVFFQFQYFLKDPVKYDVKYQVRFIWLSYSILFYLLQPCPFCKRTAVLRIGLAGDGSGNQPLMKASDLDWIRRASAEKADYSCVMK >Dexi9A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:9A:13567423:13571022:1 gene:Dexi9A01G0018470 transcript:Dexi9A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLGQTQRYAAGALLALALRQAQTHQTVLLGSHGLDDDPPHPDDSAAAAEPDARDLWTHESRGLLRPVLRFLEVDPKAWAGVEKTAASSDPKHHIGAFLRKVFEDEDDDEKARSERSDQELALAKAVDAMAMGLESCTIVDTVAEALKPAATASCSANDDTATSARDYRKMAVLYMLLSACVADVNMAEEGMGSPRVTKGYDARHRVALRLLATWLDVHWNKMEAVEIMVACSAMAAAREEERQSRENSSPSSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLVPIIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGNVKEFEFKTIGQNHSQGRLAVGIFVSGFAFTEEDYSKPWEGWKTNLERYVLQWESKHVIAVSTAIQDWLASRVALELMREGAMQTVLGGIISAFAWPATLVSAADFIDSKWSVAIDRSDKAGKMLADVLLKGLQGSRPVTLVGFSLGARVVFKCLQELEQLGNNVGIVERAVLIGAPVSVKGEMWEPARKMVAGRFINVYSTNDWILGITFRASLLTQGLAGIQAVDVPGVENVDVTELVVGHSSYLSLLQQILDHLELNTYYPVFYPCTPRTK >Dexi7B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:7B:13695965:13698233:1 gene:Dexi7B01G0006080 transcript:Dexi7B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSSLSWRPAAAAARGPLAGAGAPGGDDDSAGARSQPRFSIDSPFFVAARGFSSTETLVPRNQDAGLADLPATVAAVKNPNPNVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Dexi5A01G0038710.1:cds pep primary_assembly:Fonio_CM05836:5A:39621032:39624971:-1 gene:Dexi5A01G0038710 transcript:Dexi5A01G0038710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHLSRLLRHHRLLLPAAAASSSPYAAASAAFSTASKRTYARRTKTPPPPEPAESAGDDAPSSASPVAYPEAGAASSVAEAKAAWQREKLPGDLPRPPTIPFQPKVANTVRLVGNVAAPLHMQQTPDGRFYAVSVLVQDSRLDSPKFWVPIVFQDDLAQVAASHLKENDLVSVSGHLTCDVPPLKLADGQATIQVLAHVLQFVDSKVVGTGAILDEEEGFMEVVKAEKKLEEKRVTSKYPPSTVSGYKNKRDKFSNLWNDVITRPQDWIDNRPQKKDGSRSARYPDFKNKVSGEALWLDSAPPTVLEKLDGMVFGSGYGEDKAFSSYTQKGTGANWSKFRKSPDASALSKQKPEEEELWRDLLDNPVNWWDNRTDKRTPKSPDFKHKESGEALWIGSKSPQWAVDALPSLKFKGGSNSKGTRRQETLLS >Dexi9B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:9B:1100696:1101378:-1 gene:Dexi9B01G0001900 transcript:Dexi9B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSADKGSGSTDPDERPASGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREAMGLDANKAAAGAEQQQQHQRKKASAAAAAAASKREREKEAEADEVTLELRAVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSGVVYA >Dexi2A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:2A:11621866:11623187:-1 gene:Dexi2A01G0010470 transcript:Dexi2A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNIDTATSKQASSMAPNPGKATILALGHAFPQQLVMQDFVVDGFMKNTNCKDPELKEKLTRLCKTTTVKTRYVVMSDEILKNYPELAQEGLPTMKQRLDISNKAVTQMATEASLSCISSWGGDLSSITHLVYVSSSEARFPGGDLHLALALGLSPDVRRVMLAFTGCSGGVAGLRVAMALAESSPHGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAIIGADPTPTERPLFELHSAMQRFLPGTDKTIEGRLTEEGIKFQLGRELPHLIEAHVEDFCRKLMAERKGMMKSYDDMFWAVHPGGPAILTKMEGRLGLAGDKLHASRCALRDFGNASSNTIVYVLENMVEETRRKKAEAGDGEDCEWGLILAFGPGITFEGILARNLQATPRA >Dexi3A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:3A:6044043:6044395:1 gene:Dexi3A01G0008630 transcript:Dexi3A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATAAESFAAEVVWLGLPRRNTVAVSIEMDRPVLSRKLYGFLLPHRALRSFLNVGAAAACKVAAEDAFDCLTTGFSLDPSAGCFKAQSYAFSK >Dexi8B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:8B:14235234:14235536:-1 gene:Dexi8B01G0008760 transcript:Dexi8B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAARRGGTVQNNDASTGGSPTAQGLGGETGLGTSETALGTDVCRQQPGGATREPAAQGFAGRREGESWSNSTGRRKGESRSTSSGQQGNRANEPNPH >Dexi8B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:8B:25706682:25707167:-1 gene:Dexi8B01G0014900 transcript:Dexi8B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVPHDFPWGERTPDAPVRVEQRNPVRESRKVRIGGTAVHQRRSGRGRRSREGGRNPDAPVRVGHRRRERGCPGLGAGPRSPGAEAALSPLGACGRRMRLRLLRGGGGRRWASAPSSMEGGGGRAPSGDVRGAGVWVASLASYRRLHFTALVRYYVITL >Dexi1A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:1A:2960900:2969682:1 gene:Dexi1A01G0004030 transcript:Dexi1A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGRGGGGGSGGGGGGGGNNSRTDLLAAGRKKLQQFRKKKGKREPGKKAAEADADAEAKEGSAKVEEPVPEPKSPVGLKLLAGEGGSTPFEEAERSLVEQCNGEGSGTMESSSVENADAVQEQGTAADGSDVHSVGTSEQGSSEQRESMTADGADLAIQATGGDSSGDLVEVAQLSEVHVDRNLPDMTMKDNIELNTSSQHDGADDDCNQLGEHQQVEMHPVDRPTSSDFEEVAEVLIPSQDTGVDYNSEGAQEMAVDVSGSQLDGDVQHDVEPTVSAEIVAETALEEESTVATSNEIPESTVRKGTEEVTDGVDREVVEENPSTTHVTEEAVTTNDLSLQAKHTGTVDTPLSEQNGDPALFRSAILQGIVPDHFEDIQRHLYSITLSRDFLQLQLDEAAGLYSDVTQMSSDEITKLRVLLKEAEESKLAVSKELHQCRHELSEVNTVKGELELMMASFKEEINTSNLRCTHLESELHSSKENTQQTQSELTDSRLLLEALQKENLELTASLAFEKEAKKAVEEQRDHISSENRKILADLSGLELSLASMKEEMDAGSSRCEVLECELRSSNENLEHTLTELANCRALLESLQKDNFELSANFASEKEAKKKLEEGNVDLCNEKGRLSSDLSELNDKLHLSYAKHRQLESHVKDTEAYFGQLTEQLIGENLYASSSADIYESVIQDLHTKYNVVLGQFLNIVHQESDLHLDSPKVTTENAERAIRSPGLVSHDCALNVANVNDKCNSTALQSLKRHLEVAKGDFHDLEKLLERISSRSDGRVLVSKLIKSFETKGNEDDTGSSEREHDDLRKSTREMMCRLGEKFMAMSSDITKTEEYVAELCNKIELSMKSSVQHDIDRKQTVVLEAKMDELAGKLSNYKGAIDNLHNQVAIVQQDANSNAARLMDQAELLQKDAAERISSLEKERMSLSDLLSEVTNKLTSLGPSVFPNDSGESEDVSFHALNCVDLVATSFHSLQEKLEAAQSDNAQINSSLMELRKENCAAQERSEQAFEIVKKLYDSLQEVLCDSFKTSDEFGTGYNAEEPIESQYGRLIKHLKNMLHDHRSMLSTNADLESRLLSKCEEVEELNMRCSSLTKNLNDVCILNEELKSASLSQNTSQDELHSRCFAVAEKLVSHSTTHSSVISQLVFDSDEGFSKEDHICTTLLPYIEEGVASCIEKVEKAAEEIHLSKICLQEINILDQISSEKWSDPLPTLIKEELAPKLCGLLDRIDQLNALNVQLETEVPILRDGMKKLDEALGTSRSELQKKVSELEQLDQKLSSVKEKLSIAVAKGKGLIVQRDSLKQSLLEKSGEVERLTQELQLKETMLKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSILQRIEEVLEDLDLPEQFHSQDIVEKIGLLSKMAVGTVPDGNKISSVDGYSEPGMAMDVINDEQNSVSNPASDELKSKYEDLHRRFYELAEHNNMLEQSLVERNSLIQKCEEVLGQINIPPQFRMLEAEDKISWLGNRLLEVEQERDSLQLKIEHLEDSSEMLIADLEESHKRISELSAEVVAIKAEKDFFSQSLEKLRFEFLGLSEKAVQDEFIRDNLRKDLSELQEKLAEKTEESRHYREMDVEIHKLLNLVQNTLQDGTNSEISSGDTSAVMLLGDLLRKLLDDYGTLLSKSTEGNLAEREIQLEDIKPSTDTSTSDTGTSDKEAELNSLNNELDHARNNLALVEHQRDEAMEKAQSLMLEIETLHAQINKLQESDAEQMQKCQSLVLELESVGKQRDNLQEQLNQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTVIEKLKDERKQHIESLETEKSSLMDRLAENEKSLHETNQYLSGLLNALNKVDVAREFDRDPITKVEKIAQFCLDLKETVVSSQNEVKKSKRATELLLVELNEAHERADNLQEELVKAEAALSESSKQYSVTESARADAVRHLEHIMHAQSQTRRKQIDHLMELNSTSSQLREVCFELSHRLVNAFSKDADLICYIENFMKSSGKWMDGTNMMDVPIASKHVLSNRINNKKAHIPSAPLEIKMDDTDERQILHHLAIACHTLSDCVKDCNDLKRSIDEHGFSVEQKATELFDVMSNLQNRLTSQHNELESLRAEIVELQSEMKEKEEQIVFAHRNMSLLYEACTSSVAEIEGMIDIYPGHRSYAVERSADESIKSIVEQLVLAVKTSRDSNEGSTKELKATVLELQQELQAKDIQISTISSELSYQLRAAESSAKQLSVELEDARMEVHNLSKKVDVLHNQNKALETEVNELKNMESVASDQHGKINELTDELSRKDQEIEGLMQALDEEEKELEVMESKNHELEQMLQEKEFALKSSEVSRTKALAKLATTVDKFDELHSLSENLLAEVETLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFMQWLEAALLQFGVYSDCDGAQVHVYMDMLGKKIGSLIAESDDLRAKVQSKDSLLQAEKTKSEELMRKSDALEASLSQKDSQIGLLRRDRASSQLSRSINSPSTSEIELMNDKASPAAVVTQLRGARKANNDQVAIDVDMEKDKPLVDEDDDKAHGFKSLTMSHFVPKFTRPISDRIDGMW >Dexi9B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:9B:9818792:9819661:-1 gene:Dexi9B01G0014700 transcript:Dexi9B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPDDVLAGILRRVPPRGLAACRCVSESWRAVVDGRRLLRADLLPISLGGIFIKFHGYKHNASELFSCPASAAAAVSGTRRYLPEASGCHSWGEIQDHCNGLVLVEGYDDEVWYVLNPATRWVAPLPPCPPPAVDMDTLEVKYLAYDPAISPDYEVLSLSHFWYKNRNDSSTDSRDSEIEEYEWPPLIYNLYVFSSRTGQWEERSFAREGEAIGTIADMRKCWASDQRNAVYWRGILYNILGE >Dexi9A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:9A:11979606:11982036:1 gene:Dexi9A01G0016870 transcript:Dexi9A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARALVLQHLRLRARPSVAACGAGAGPAAVAQRWFARGMSAPADLEGGSSDGSPGPESAVRARVVDLVRKFDKIDADKVTETADFQKDLSLDSLDRVELVMAFEQEFSIEIPDDKADKLTCCADVAKYIILESQSSNISAGSS >Dexi9A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:9A:8353075:8364662:-1 gene:Dexi9A01G0013030 transcript:Dexi9A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINESDSGGQWEPLAPTKEAQEFALSQKYHEGLLKLQQKDYPKARELLEDVDNIGSDQHLLQLRFLTLKNLASVFFQQGLEFYDNALHCYLQAVELDSNDSVVWNHLGNCMEKLLEVLIAIGDEVACLSVANLILRSWPSHHRALHVKKTIECAEPIPFAPRDTIKSVESAGENGNDSHHDGETASPHDCKTTVKDKDVNSDREHPHERRSTRLERLRSRKSGKDENGPDGKDISHAVTQFLDSFILKGSSAAEKVDFSGNADASNPDTLTYTSDDEANDVKSFLYCKEKAYKEFNIALSILRNGNKDSSGGEVILLPHTKLVKSLTADRILREINLIRLESLLWNNDENINKITHTEFMKLLPPLVLSTKDVYVGNAYGPQRESENVISLELSALDVLISACEKAKPMNIQGKGSGDMDIVEAMNRNRLENVVEAVKDVSRNASKAKDFIDQCDNSVDQLESSCLIDAAIAFCKLQHLDPTISIRTQVDLIVAVHDLLAEYGLCCSGKDGEGEEGTFLKFAIKHLMALDVKLKSQLNSNGMEEDVVPKNAGTQDTMVDEPLVNDSKQNSEDEEDEEESGERGYEAFKKLSTASSEPYSDVYGNLYFYIAQSEDISATDKHAGFVLKKEGEEFVEQSANIFKYDLLYNPLRFESWQKLSNLYDEFALCLGDIVPVGLGKYLQVLIAAINNPEIRRASGDASVEQLLEKMFSVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCQCILIKLASITPLPESMDATNQPAPLSNGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPIKQTSEDNLETAVTLMKSTYNFYRESSCGTFPSGINMYTVTPSPAPIEGVPQAPPVVETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKSRSKRGTSTAAAASQVVQPIPQTASSQAKEKSAQVESTEAAQDANPSAQAAHQEALGTSASQAAIDSQLNRSGSSRAMENTPDSMERK >Dexi4A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:4A:1731760:1732814:1 gene:Dexi4A01G0002490 transcript:Dexi4A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCRPLAPPPPVPRALSFARPPTSSLRAVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVSKAPAAHALDDDRVAQVMLNSGVPQVCKVFVSVFGDERGKKVAIEGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGIDGEEDAEGSYVSDEEDGDWDADEPDEDIIYVK >Dexi5B01G0037580.1:cds pep primary_assembly:Fonio_CM05836:5B:37060369:37061520:-1 gene:Dexi5B01G0037580 transcript:Dexi5B01G0037580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTMPAAVKERPKKVAKVAAPAPAAVKASSPGSGKRKKKNDENSSPKAMAAAGEQAVEYIPSEELEAADHPKAKAAGLVAELDSKDWIRACEALNDARRLAIHHSALLNPILEKVMLAIVKLMKNPRSAVLKTSIMACTDIFNSFGNLLSSVSDASFDKLLLQLLLKASQDKRFVCEEAEKAMRAMAASMPPLPLLKKLKTYVHHANLRVRAKAAVAISHCTARMDIEAMKEFGMSALLQVAAELLNDRLPEAREAARSVVGSVHGAFAKEAAARADKEEEKEEGAPSVAASWESFCSLSLPPISAQAVAKIAAASPQ >Dexi5B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:5B:9945520:9946824:-1 gene:Dexi5B01G0013720 transcript:Dexi5B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSRPRRRGFGLEASRGMLPLLALQVLMEYGRAGATRPPVTAALLAANTLIYLRPGALHEVLPSLNRVAFNPHLIIQYGDWVRFFLSPFYHLSESHLFYNMTSLLWKGIHLETSMGSVEFASMVAALLGLSQGITLLLSKGLVLLGDYTAYYDQYAAGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRSFNGTDPFTLLIESITKVVTWPLKFAHRLLRSARSQGRITGRGRVGRRASATETSRGLWRCSACTYDNSNATDICEMCSTARENHSFARRQNHHAGGSGEPSVDEIRRRRLQRFDR >Dexi8B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:8B:25015196:25018049:1 gene:Dexi8B01G0014400 transcript:Dexi8B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDIATAPLLPSSSPPRRNMFAFLCAALASMTTILLGYNLALMSGAELFIREDLGLTGVQVELLTGSMNLFMLVSILAAGSIADRLGRRCTLVLANAFLMAGALAMALGASFATLMAARFVTSMFVNVGILLSYVSNYAFAGMPMHLGWRVMFAVGAVPPVFLAAGVLAMPESPRWLAMRGRHDDAHAVLMRTSDTPAEADLRFREIKHAAAASASSSPASGGVWKEMLASPSASVRRIFVCVLGLQFFQQASGIDAIILYTPLVLQKAGISSNTNTVLAATVGVGVVKTCSILVATLLSDRLGRRPLLLASSGGCAVSLASVALALCVAGGSRATAVACFVSVLAFVAAFSIGLGPVVATYTSEIMPLRLRAQGTSLGTAVNRVACAVVALTFISLADWITMPGCFFLYAGVAATTCVFDIMSSSG >Dexi1A01G0023260.1:cds pep primary_assembly:Fonio_CM05836:1A:29904091:29907202:1 gene:Dexi1A01G0023260 transcript:Dexi1A01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTNGSAAEPALKFLIYGRTGWIGGLLGGLCAERGIPFVYGDGRLENRAQLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETVRANVVGTLTLADVCRGRGLVLVNFATGCIFEYDDAHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDQTKLKAEFPELMSIKESLIKYVFEPNRKTSKA >Dexi8B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:8B:987196:989287:1 gene:Dexi8B01G0001510 transcript:Dexi8B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTWRHHTLLQALLTRGPLSERQFHDVFAAVSGKKPATHQQLFNDTLLKINKDLAYLQFELRACINQYDGMVYYGIVNNTADEESKLGTKYSVPQIAFYKGLLEAILHETGNDGSITSIDALNVRLDNQVIIVDGSQDSQSRLPTSIKNFSFSQKEKTLNELIQDRWLSNTSTGKIGLGTRSFLDLRSWFRSNDIPSCVVCNEACIKASGCPNEGCNVRIHEYCLRKKFSQRKASRACPGCGTEWPRQDGEADGDDNVNEPGEDEAPSANRSSKKRRKRVKAELVEENNNAGPSTAVLRRTLRSAKAEAVEAAQEASSAGASQATRASKRRKK >Dexi6B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:6B:23930186:23931593:1 gene:Dexi6B01G0016640 transcript:Dexi6B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKESLITELRRELKVSDEEHRVLLNNVNEEEAVHRIRYVQSRQGGGMQSSLHYNSVVAHNLGPLKRQKISHSVYSHHVGSQSLMMPLHAVGANKADTVRLVYFFFLLFAIKNFRICLLSSMGRRSGRVHENGYYASPNGISLFDFNHIDVPNTGSLVKKVERVLSHPDVYAIQKARKLLIDQEQSLLDAIAKLDEASDGESGKLPWVVRTYQSAIR >Dexi1B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:1B:5873448:5876877:-1 gene:Dexi1B01G0007130 transcript:Dexi1B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPAAHAPADLSAAAQVSALPLQVDLLQLPPEVPAPGAPALRGVLDRLFAHWLSLPDTAALVATLVQKAKATGGGGGGAVGAATLPSMMLQGGAAVPPLSPRSPRLSRKPSGLGAGQPNRSASPLRPAAARPAKEVIPQFYFQDGRPPPYEVKKQCISTVDQLFAGHSNGLRAQANPSQFSFLVAYFGCTTEFRMVTRELCKLPTFFTTVLFYKIDKESTGFVTREAFIDFWVNSNLMSMDSATQVFTILKKPIRNYLTKEDLKPVLKDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYSLNRIGSGYLTLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSENEGYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >Dexi4B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:4B:16159802:16160985:-1 gene:Dexi4B01G0014940 transcript:Dexi4B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLARSFAVLALLCLLVPCHGKLSTKFYSKSCPSVATIVRSVMAQAVAKEPRMGASTIRLFFHDCFVNGCDASILLDDTPTFTGEKNAGATSTPSAAACTGIVSCADIVALASRDAVNLLGGPTWNVQLGRKDSRTASQSAANANLPGPGSSAASLVTAFAAKGLSARDMTALSGAHTVGQARCVFFRSRIYTPEPNINATFAAERQRTCPQSGGAGDGNLAPFDDQTPDAFDNAYYRNLVAQRGLLHSDQELFNGGAVDALVRKYSGNAGMFATDFAKAMVRMGGLMPAAGTPTEVRLNCRKVN >Dexi9A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:9A:14119260:14121330:1 gene:Dexi9A01G0019150 transcript:Dexi9A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFAAARRLLRLGGRGRSGILPSRAAASSSRSKSEEERPPPVRRPRLESTLWPLGHPGTLLVPEIELWAARPGNRLRAVELQRIVKELRKRRRHRQALEVSEWMNHNGHVKFQPKDHAVHLDLIGEIHGVAAAETYFDNLSDSDKTEKPYGALLNCYTRELLVDKALAHFQKMKELGFVFSTLPYNNLMGLYTNLGQHERVPSVIAQMKSNGIIPDNFSYRICINSYGARADFFGLENTLEEMECEPQITVDWNTYAVVASNYIKGNLREKAYSALQKAESKIDKRDPDPYNHLITLYGHLGDKSEVKRLWALQMSNCKRHINKDYTTMLAVLVKLDEITEAEALLKEWESSKNAFDFQVPNVLLTGYRQKDLLEKAEMLLDDFLKKGKTPPSISWAIVAIGYAEKGNVVKAYELTKNALRVYSSNSGWIPRPSMIEMILKYLGDEGELKDVETFVDLLKVAVPMNSDMTEALSMARAREETKVKETNA >Dexi2A01G0030540.1:cds pep primary_assembly:Fonio_CM05836:2A:41457072:41457680:-1 gene:Dexi2A01G0030540 transcript:Dexi2A01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVMVALAEEDSGVGDVSDLALDGLELEGQAERRGEVGEAAAGGLEDVEGGAEPARLARARGEDVEEARPLEPLHDVEVDDVEAVLAVERLEDGLVGGEVGELDERRQRVVRLERARDAAALRGRQRAERRQRRVGAEVGAEHARAQRVAEVGRHALRGGAEGRRQLGDLWEELTSLRQLVHGHRLRAPEKEAEQP >Dexi3A01G0023950.1:cds pep primary_assembly:Fonio_CM05836:3A:19582867:19585733:1 gene:Dexi3A01G0023950 transcript:Dexi3A01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPSPFDLRHYTGHLFDPDLPLAGGDLPLGEFGGDDGFDFELPVDFSVDDFLLRSPDRGGDADDSGEGSAAGSGPAVSSSASPATSAANSAVANAGDPEVKHEDSDEGRSGAAPNWSLKRKQASPGASLDGAKCRRSGDGELSPSASASASASRAAAEDSDERGAGGEEEDKRRTARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSKISFIAAENATLRQQLGGAGVSGPPPGVYPPPPLPGIHFPWVPGYAMRPHGSHVPLVPIPRLKPQQAAAAAKVSKKPEVKKAENKSKTKTKKVASVSLVGLLFVALVFGAFIPGFNHNFGMGDRSDNVMFGNFGHSDARVFSVTNHGKGPKSGLNSSDMTDTDPGMMAENADRAGQKHRPAHNSSEVLPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHRASDSQSVQDRKETSVAIARYLSPPGKDANSKETFPQDATLPQWFREGMEGPILNSGMCSEVFQFDISAASAKSGGIIPASPTVNSSSVNATQKIRKPAPAYGGKLKNRRIMHNEAIPLTGKTVNNTEPQAFNSTSESSKVPDSKPASSVIVSVLADPREAGNGDGDPRVSPKPLSRIFVVVLLDGVRYVTYSCTLPFKSSSPHLVN >Dexi5B01G0027720.1:cds pep primary_assembly:Fonio_CM05836:5B:29249968:29251231:-1 gene:Dexi5B01G0027720 transcript:Dexi5B01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKATSIDAQLRLLAPQKLSDDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKLDPKMLNEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLKRLVHQLKKSPMEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGR >Dexi9B01G0039000.1:cds pep primary_assembly:Fonio_CM05836:9B:39860759:39861391:-1 gene:Dexi9B01G0039000 transcript:Dexi9B01G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGNEKPAKTTYLVVVVVAGRVVRLSLISAACSGHEAHAPARPREGDGERDAWSGLEAHASARAPEGGGVVSGTAVAGATSTARTPGGRVLPAGASMRRALSPPLIRTSWPPEGREGTAVVVGCEAERREGTAVAMGCGLWKGLGSQTLEVVVGLGR >Dexi7B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:7B:23931099:23932674:-1 gene:Dexi7B01G0018100 transcript:Dexi7B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVANTFSTVKVSNVSLRAAQRDIKEFFSFSGDIVHVEVQSGDELSQVAYITFKDNQGAETAMLLTGATIVDMAVIVTPATDYELPAYVLADLEPKDAKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSAVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKNVEGGSAAQPIISEDPVTNRDLDGEFEKIHVSETPEDIPISTVATVPAISNEEPNEASSPTDAPKKP >Dexi9B01G0022490.1:cds pep primary_assembly:Fonio_CM05836:9B:17171034:17171723:-1 gene:Dexi9B01G0022490 transcript:Dexi9B01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKLEWCIISTTYCRQLLGQNLSGLEVEDLKNLENVLEMSLNNIRRKKDQLIINKVQELNKKQDIMRQENEGIYHKFDIIRQENLNLQKKMEHGQHRVDGSDRSPATDDNLAGPDEDIPSVRLELSQPQHAAKEQEAALHQPW >Dexi5B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:5B:3121906:3127585:1 gene:Dexi5B01G0004650 transcript:Dexi5B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPGAALSSAAGPVPWRGFRHGSRRLRGSAARARHDDAGPAAAARKGPRVTAQNLLLLVLGRRAPHGSGGIRAVPAGRVRTSGHAARARGPRAGDPGEEERRGDGMGSFAKLARRAVETDAPVMVKIQELLRGATDVMSLAQGVVYWQPPESAMNKIEKIIREPAISKYGSDDGLPELREALLEKLRRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGPCDSKTLHPDVDWLEKVLKENDPIPKLVTVVNPGNPSGAFIPRPMLERISDLCKKAGAWLVVDNTYEYFMYDGMEHYCLEDNHIVNLFSFSKAYGMMGWRVGYIAFPNEADGFHDQLLKVQDNIPICASIIGQRLALYSLEAGPEWIKERVKDLVKNREMLVEALSPLGKENVKGGEGAIYLWAKLPDNHSDDFEVVRWLANKHGVAVIPGSASGGPGYVRVSFGGLKEEDTRLAAARLRRGLQELVTDGMVQ >Dexi9A01G0034880.1:cds pep primary_assembly:Fonio_CM05836:9A:39574640:39579245:1 gene:Dexi9A01G0034880 transcript:Dexi9A01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAPPLVAATLRSPATASAVLLRRHAAASLSFRCRWRWQRSAVTGRDGPGAPLATRGARWLRPAAPTGGNGVGGATPTAAGAAAGEAPYRGSEGKGSLRTVLLATAVAVCGSFVFGTCVGYSAPAQAGIVSDIGLSNSEYGVFASVLTIGAMIGALTSGRLADILGRKMTMRFAAVVGILGWLTVYFAKDAMGLYAGRILLGYCTGILSYVVSIDSSRYAPESSFFYNFVPVFISEIAPKDIRGGLATSNQLFICSGCSAAYIIGALLPWRSLVVVGSGESNVAFHAGLIPCAILLVGLFFIPESPRWLVGVGLMIFQQLGGINALGFYASYIFSSAGFSGKLGTTLIGIIQAQGLYSQLVPTLALCGILVYYAAYSVGMGPVPWVIMSEIFSIDMKAIAGSLVTLVSWIGSFAISYSFNFLMDWNPAGTFFLFSAASLVTVLFVAKLVPETKGRTLEEIQASLKASN >Dexi5B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:5B:5914418:5916588:1 gene:Dexi5B01G0008720 transcript:Dexi5B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGVQEDQGALSKDGRKAEAEKDDAGSISSSGSVSSLDDKEKNETFASDSDSGFAVASAAPPDPAAEGAKDEFIEKDDDPSPGAVLPPLSSDSTDSTQESGVPEDQELQVQNSVAAAAANPPENSNSFSISTNDSIPFPSDPAEILAPAPAIRAPADQIPEIEAPPPPTPEIKASPDQLTPPTTPEVKQADLETPTREWKPLCDVTSNHRIDWCELDGDVRVVGTNASVTLVGPPGADERTFREESWSIKPYPRKADPNAMHSVRAVTVRSSASGDAPPACTDMHEIPALVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVLLLVTDFQMWWLGKFMPVFKSLSNYELVDLDHDPRVHCFRHVQVGLTSHDDFSIDPRRAPNGYSMLDFTKFLRVAYALPRDAVAPPRGQRRWRPRLLVIARAGNRRFVNTEEIVRGAEKVGFEVVVSEGGHEVAPFAELANSCDAMVGVHGAGLTNMVFLPTGGVVIQVIPVGPLEFVASYFRGPSRDMGLRYLEYRIAPEESTLLDKYPRDHPVITDPGSIKAKDWVSFMGVYLFEQDVRLDMKRFRPVLKKALSRLRAKPKNN >Dexi1A01G0024980.1:cds pep primary_assembly:Fonio_CM05836:1A:31336620:31337191:1 gene:Dexi1A01G0024980 transcript:Dexi1A01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQKDKKHHRPFVMLNCWQLLKNNEKWRARPTDHTQKKPKSCHSSSPDMEEEEEEEDDDDSADEEEGRRRSPTPSSRPPGRKREKERVKKQAQGALYKEALEKMMHNKQELEAEKKRDKEEKWKELKAIEERKVAIEEERLQIKKGAEQSNACPNHSIYELQ >DexiUA01G0009080.1:cds pep primary_assembly:Fonio_CM05836:UA:17378718:17378957:1 gene:DexiUA01G0009080 transcript:DexiUA01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPPDHGRTEAVSVQDLATERRVRRWLDAKMETLLEWWVPEAQNPLGQCTSRVFAWGLRGDDGQHRGGATGGGGGGAR >Dexi6A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:6A:3929746:3933574:1 gene:Dexi6A01G0004280 transcript:Dexi6A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >Dexi6B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:6B:326587:327737:1 gene:Dexi6B01G0000460 transcript:Dexi6B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAALGTKMFIFMNQRCGLVYDTETAALTVGAHAPALMTCGFGISVAVGDELYALTYRFFDKQHRHSFQSMSWGTTAPDERHKPTEGWLWKTLPPPPFHGHAHFDGELDAWIGLRRDGYIGACQVISPDFRSTAPGFHPDCKMTEDKMFRATGT >Dexi2A01G0030750.1:cds pep primary_assembly:Fonio_CM05836:2A:41582625:41584925:-1 gene:Dexi2A01G0030750 transcript:Dexi2A01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPFLLYLPLLLLLPQLSHPGATPEPKQNLTLNMKPEPSSTYIVHVHHLAKPSHFATLGHWYTSMVATHSPRPVADHSTRILFTYDTVLHGFAVKLTGDEARRMSDAEGVSGVHEDRQLHYMTTRTPGFLGPDPGFGAWRDTDFGDSVIIGFVDSGIWPESPSFSDSELGPARPSWRGKCVGAEDFNASLCNNKLVGARAFYDGLSPTPRDWFGHGTPVASTAAGSEVRDAGFHMFARGTARGVAPKAKIAMYATGVMAWTSSIAAAIDAAVKDGVDILSISIGKEVPLPFYNDTVSIAAFGAERAGVLVVFAGGNHGPKASTVDNAAPWMTTVGAVTVDRMFPAKLNLGDGTVLTGHSVYTMKANGTNMVPLVINPCSEKTLTPDRILGKIVVCIFSLEHKDEAQDGGIDSLQRAGAAGLVQVYISSWSPDDLSSDLLLGAFFPGLSLSYTAGQNLRAYMVSEPYPVASLSFACETVINENRAPMVASFSSRGPNLVAPELLKPDVIAPGANILAAWPVNPLLPEFLNSMYRLSYGTSMATPHVAGVAALIKKKHSNWTPAMIRSALITTAATLDNTGREILDNGLIDTSDNAKVSSATPFAAGAGHVRPQLAMDPGLVYDAGARDYVDFLRALNYTTEQLRLFAPDMATCTRELPGGAAGLNYPSFVVFFDGRTDVRTLTRTVTKVSQEPERYEVTVAAPEHVKVTVTPAILEFKEQYEKKSYTVEFRSQATAPEWEFGHIIWENEKRRVRSPIAFTWKTNN >Dexi9B01G0046120.1:cds pep primary_assembly:Fonio_CM05836:9B:45483806:45486414:-1 gene:Dexi9B01G0046120 transcript:Dexi9B01G0046120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAATAVAVSLHPLLSRPACVLRFGRRLPPLLLRAASSTSTSSAPDFNITFAEPAPTKKASSPSPSAQPLVPWIVRGEDGKPRLSTTPPPEVLQAIALAEAEAKKAAKKESLKGQKGAVAAAAAVASSAGVKAKERNAGPAAPPKFSKAARRFYNENIKESEPQRLAKICSDN >Dexi5B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:5B:2679156:2679740:-1 gene:Dexi5B01G0003960 transcript:Dexi5B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFAVAQEPHVPPPPHQLGRQTQVQSGGMGWSGPVRCALAAVLFLAVTFNFAFATYRARHSGRDLAFVLVTYSLLALLVCCVSRLEWLRRRDLAAGGRVNERKWLRIAVWCVSAALANTFASRVADAMPRLELKLVVWGLTAVLLALGFYFIFFSKDAECCDDAELGRGRDDAAGHYRPATAAHQLSPEEKV >Dexi4A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:4A:4565532:4568801:-1 gene:Dexi4A01G0006350 transcript:Dexi4A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISAAIFAFLLVFLLHGPSPTLSAGGSDDRSVLLSFKSGVSSDPNGALANWGSPNVCNWTGVACDMASRRVVKLILTNRNLAGEVSPALGNLSHLNILNLSRNLFTGRVPPELGNLFHLTLLDMSLNSFVGRVLPELGNLSSLNYFDLSGNYFVGGVPPELGNLSKLKQLSIGGNSLEGPIPVELTRIPNLFYLNLGENNLSGHIPEAIFCNFSKLQYIDLSSNFLVGRIPIRGDCPLPDLMFLVLWSNYLVGSIPPSISNSTKLKWLMLENNYLTGELPSNDMFGNMNNLELLNLSFNNLESPENNTNLEPFFASLTNCTSLKELGISWNSIAGTIPPLVGRLSPKLEQLHFEYNKIFGPIPVNLTDLSNLTTLNLSHNLLNGSIPPGIAALNRLERLTLSNNQLSGEIPPSLGTIPRLGLIDLSHNRLTGTIPATFSNLTQLRVLVLGHNLLSGTIPPSLAQCVNLQNFDLSHNVLQGKIPADLSGLSALLYLNLSSNQLEGPIPATISKMVMLQVLNISSNRLSGTIPPQLGSCVALEYFNVSGNELEGDLPDTIAALPFLQVLDVSYNGLTGALPLSLETSASLRRVNFSYNGFSGEVPATGAFANLSADAFLGDSGLCGPVAGLSPCGGARHRVVHVHDRRVVLPVVITVVVFALAIAGVVAFRAAARSEVVRRERDSRRSMLLTDADEPMDRDHPRVTHRELAEATRGFEPSSLIGAGRFGRVYEGTLRDGTRVAVKVLDPKTGGEVSRSFKRECQVLRRTRHRNLVRVVTTCSQPDFHALVLPLMPNGSLESRLYPPDGGPGRGLDLAQLVAIAGDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAQLVKDVGDKDFDGNSGDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMLLELITGKRPTDVIFQEGLTLHDWVKRHYPHDVGEVIAQSWLTDAASAVADERLWNDVMVELIDLGLECTQHSPSARPTMVEVCHGIALLKEDLAKHLGAAVTTARGPASMTMTASERSFSTTDSSF >DexiUA01G0003970.1:cds pep primary_assembly:Fonio_CM05836:UA:8001310:8001943:-1 gene:DexiUA01G0003970 transcript:DexiUA01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVRLQTQTMQQLTQAIALMQQNLQNPPVQPPPQPIRDKRGEFLKGRPPKFSRAKDPMEAEDWIKAVERQLDIAQLVGEAFEFADDPVPEEQEQQQFAEEGKYNTDHPCYLYTD >Dexi1B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:1B:10257129:10257845:1 gene:Dexi1B01G0010590 transcript:Dexi1B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLSARSRGAIPNASAAAAAVGTEDAAGGRRGNGACYAIAACAVALLLFCALATTVNVRMASAFGGLALVAFAVAGCLAPSGTRGIVPAGDDGVSADATSADAEAAARAKRRLGMPKAAIDALPTFAYTVVVKGDGDEIGGGDIESGVDADAIVEQCSVCLEDFEAGEMVRRLPECGHMFHVECIDMWLESHRTCPVCRCNLLRSQRKVAKVKAADPAPAAGEEEELPAQDALPPV >Dexi6B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:6B:26778914:26783855:-1 gene:Dexi6B01G0020250 transcript:Dexi6B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLMLYLMTIYVCLGWLLVFIGNQVTHDFLVIECLQSGPKGQLPADAGVIPRAVKQIFDALERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEDRQKKTLPLMEDGKGGVLVRGLEEEIVTNANEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRQVASVSHVIVLSFLYLSMSQVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEENQKQISDLQQKYNSELQHSADLSKKLEVTEKCLDHTSSLLSTTKEDLKQAQYNLREKDFIISEQKKAENALTHQACVLRSDLEKSNRDNASLYSKIGKRLDNLYYYQQNKHLKCVEDLCQSCVDSHDKATSELKKKILASKSLYMSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQASNLLLACVEGEAQNIFGDIQNLLTTHRSELTKFTQELRESFLISLDRTKEMSTFIIGLFDKYVEETSKLHNHSTNTHEAQMKSIEDFQMAYEEQSKSEEQRLLADISSLVSKHITRQRELVGVRLSSLGDAARGNKAFLDEHTSAMECVTKDAKRKWEIFAEQTENDGKVGSSSSAAKHCRMETMLQECIALFFFRTAAENNEQHEVEIASSRAVAEEHASNSTKDITQDIDNLLEEARSSSSRVVSTVEAHFAELQLLQENHSSQAAGINTHADKAFQSSYKDYEPTGETPVRSEVNVPSKGTIESLRAMPMETLVSEFRENHPYESSKEPKASLIPRSPLATLN >Dexi6A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:6A:27819022:27819764:-1 gene:Dexi6A01G0020310 transcript:Dexi6A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVKRESSPCDSSSSIASTSSAAAVPAGAIKKRPAGRTRFRETRHPVFRGVRSRSRAGGRCRWVCEVRVPGHRGRRLWLGTFESPEHAARAHDAAMLAVRGAAAAADGCLLNFPDSAWLLDVPPLGSEGGDVISGGVPDAPVVVVAARPEDAMSATSEVTTPATAHDDDDDDAAMEASSEAKGDERSPFEMDVMGDMGAGLYYASLAQGLLMDPPATSNASCCCEDSDGDVAVVPLWTY >Dexi9B01G0040590.1:cds pep primary_assembly:Fonio_CM05836:9B:41131986:41133067:1 gene:Dexi9B01G0040590 transcript:Dexi9B01G0040590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPPQATAFFAAFLAVSFGAAVFRAEAAAVPPVVVGSIKCLDCPINGVNAEDAFQGLQVAIKCKSGGADETYETKAVGPLDDAGVFRIPLAAELLRDDGNLDRDCFAQLHTAPDTPCVGQAPPRIAPATQDDTTTTTYLAAAADTVFSPVACACGKKKKHFMFGPPPPPPRPTPNPPTPTYGPPTPTPAYGTPTPTYGTPTPMPTYGPPMPMPEPRPPAPEEPEPEPFFKKKPKMKLFHKKKPCPPLVDDEDTARPAAGEQEKLSKKLN >Dexi9A01G0026880.1:cds pep primary_assembly:Fonio_CM05836:9A:30973506:30976590:-1 gene:Dexi9A01G0026880 transcript:Dexi9A01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWSSSAVASPSAGAAVRRRGHGEVLLRVLILRHLLSLVFVRAGGSVSRGACMISTSMWDDLLGCRDATKVKATELLKMLNKYKGVGALLRLRLRPLPLRRCWSRIEATRSAGNKTIRLPSVFLLSPVHPPAIPCLLPAPAPKLLRLLPAPASPLLPAPSLRWFEPRKLLALEEHGRGSVRACVREGRRYLLACRQGLAAAAGSRLAVRVLPCSEGRPNLILTVVDRGGGTRPRRPRRERRGREEPAVGLRDSARRILSARRMDRTPGTLSSSSLSMRNPPKSEFPWPPSPLIHSPSDRGRGRRPAHVPGAAAGGWSSSPAWPTSSVSHVCPAAAGANGRARPQRCSAPVRDADNRP >Dexi5B01G0024480.1:cds pep primary_assembly:Fonio_CM05836:5B:26514389:26515279:1 gene:Dexi5B01G0024480 transcript:Dexi5B01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFAVAPLPVAPPLRPPDTLAGWRKRPGPNPRGFAVVLAASSGVGAERAPPTFGRLREELLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAAIRVRMGRENEAVDLLVQKRKLTKALENIKERIEVLDKLSAKISEGLLMVKTLMTR >Dexi2B01G0024960.1:cds pep primary_assembly:Fonio_CM05836:2B:34208189:34209323:1 gene:Dexi2B01G0024960 transcript:Dexi2B01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLFLLAFALASAATLQPSSSAAAIRANDDESSSAAASFPCFPGQQRPPWLPPCPAPPPQPSECYTSVSGLTPCADFLTNARVHAPAAACCDGLKAIVTGAPICLCHVYNGDFGKLLPAPVLRLRLMALPRVCRVRYPPGMLGQCMRGPVPPMTPPPTPTPDATTPAASPPEPTPPTSSPPEASSPSFAPEASPPEAPSSAPDTSSPPEPPTSAPEASPPEAPSSAPEASSPPEPPTSAPEASSPPEPPTSAPEAPSSAPEASPPAPSTPAASPPDPSSTPAASPSEPETPATPPPESPSSPAPESPPTPAAAPPESPSAPPPTAVATPPAESP >DexiUA01G0022140.1:cds pep primary_assembly:Fonio_CM05836:UA:45298775:45299699:-1 gene:DexiUA01G0022140 transcript:DexiUA01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVMFPVLLLAAAAAASEAEAAATLSSRMVHRLSDEARLEAGPRAGEWWPRRGSGEYYRLLARSDLQRQKRRLGGKYELLSLSKGGSIFSPGNDLGWLYYTWVDVGTPKTSFLVALDTGNIIL >Dexi2A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:2A:32830568:32834483:-1 gene:Dexi2A01G0020680 transcript:Dexi2A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSPFARFYQAHHNSSSSAPIPLEVDDHPRAGISKGTFTLERAFPSRSRLGKLDLAVQAQAGLTGNDALDSATHYSNRGITAPHHRPRPGEDTGQIHLQQWTSERHAARPRLTWQQHCANHSADFARLGRPSKEATKTRSGRRTQVATLDVVSRHKKEGHHPELEGAIPTRIVCGMGSTVRLATSTPRTHVLAPSPLVYKRGRETQAKGKGQQTQGLRSSSPSPTLLVTPYHEQHVNRCSAPLLDVRPRGRNQDKTPGNEWISTAITCLCRCDQIRHRHAAQPSFPMANFDQGRPPLGESNLLPVGQEIWFGSLRRNQATNWRLLICHWQHRNDGVIPDLAYDERAPPTEGEITRSGPRERAAPFLPGPMSAPRATTARPYPYGLRGPTATYASLVRTAMNRHLASGRDLAALTPDDSRTESEGRYAFEHEHPGLSSLPNSGGLLLRLSDDEYDPTRECFVISDGRISEGSTSDHDGEDNDQGGSDGADPVGAQPPDPSDHSPSEDERHPRRLPRVARPHDLGSGDVSPPARSDHELPKQGHEHSTDARRAGRDDDLAPRTSQKLIAAAALLRAMPEAATSEGRKLHLEAQKLVENAARQQAESSASRLRRSSASKGERGGESLVRSPRPNGRARAQSRGGSHWDMPRRHVGEPRAPEAGTPPARVPARSRLRDTRGAINDGDARNTLNQMRQREGAWSHQRGRTDVGWNWDAAPKPAGTRVFGHNIRTAPIPPRFRQPTTIIKYSGETDPRVWLNDYRLACQLGGATDDDMIIRNLPLHLADSARTWLEHLPPQPDPRLERPGRNLRGEFPGHLRCKQKPGESLRDFIRRFSKRCTELPNITDHQIIHSFLESTTCYSLVCKLGRSPPPDANRLFEVASKYASGEEAANAIFNDKKGKRPEEASAEDDKTKGPSKKRKHGKKGKKLRAPRGAGDVEDEALAVDPNLKGPRGPPRGGGIFDDLLKKPCPYHKTAVAHTLEQCEMLGSFTTASLERMADDDGYPKVEHVFFIMGGPAGNLKPLQRRRERREVLLARPATPSYLDWSSETISFGREDHPDHIPNPGQYPLVVDPVSSSTNGY >Dexi3A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:3A:6013451:6013750:1 gene:Dexi3A01G0008580 transcript:Dexi3A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPAQLTPGWVAAVSEYNDGDGMLQPVVDVVKVGNPQRNPAAVERFRMVLSDGVHSVQCILATAINPLVRDGAIKQGSVIHLQEFNLSTIQNRR >Dexi7B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:7B:16071753:16072223:-1 gene:Dexi7B01G0008210 transcript:Dexi7B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPIHRLALLLGLLAFTAAAAATGARAQPACEPSNLATQITLFCMPDMPTAPCCEPVVASVDLGGGVPCLCRVAAQPQLVLARLNASHLLALYTACGGLRTGGANLAAACQVW >Dexi1A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:1A:19860699:19867263:1 gene:Dexi1A01G0013980 transcript:Dexi1A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDTTNPFASSSPAAASWDDLPDDFFLSASISSPPAAPAPIPSTSPRPAATPPQPPHLLHPSHSLPTFPAAASRPAAAAAAAEVWPPPPGPHHSGSLPEFAAAPASRAHRPPARAAVRDDRPPPLELRPRPPRESQARAALRMLACCRDASRDGDGGRPRLWAAGEAGVRAWDLADAFRSPTSRQRWGDEAAAPFRESRTQAALCLTADPGRGVVWSGHTDGRIMGWRADPGPEAEECLAWEAHRGPVFALAVSPYGDLWSGSEGGVIKVWYGEAIEKSLVSQREEKRKTSFLVERSSIDLRDMVGLEGSPNGEWWLDVIGQILKGHSFVRVGSRQMAGLIIAVWVRINLKQFIGDIDNAAVACGLGRAIGKDA >Dexi7A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:7A:23572528:23575775:-1 gene:Dexi7A01G0013520 transcript:Dexi7A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLCLLASAAGGAAASPPPNARPCAPSHPASAYPFCDASLSVPARARALVSLLTLDEKIAQLSNTAGGVPRLGIPPYQWWSESLHGLADNGPGVNFSSGPVRAATAFPQVILSTAAFNRSLWRAVAEAVATEALGMHNAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSLEYVKGFQGEEGEEGRIRLSACCKHYTAYDMEKWEGFTRYTFNAKVNAQDLEDTYQPPFKTCIQEARASCLMCAYNQVNGVPMCAHKDLLQKTRDEWGFQGYITSDCDAVAIIHENQTYTKSDEDSVAIVLKAGVPCNPITFHQGIQPYVAQTTFAAGCKDVSCNSTYLFGEAIEAAKVADTVIVVAGLNLTEEREDLDRVSLLLPGNQMGLIHTIASVTKKPLVLVLLGGGPVDVSFAKRDPRIASILWLGYPGEVGGQVLPEILFGEYNPGGKLAMTWYPESFTAIPMTDMNMRADPSRGYPGRTYRFYIGDVVYGFGYGLSYSKYSYSILSAPKKISVSHTSVPNIISRKPAYTRKDGLYFVKTEDIASCEALMFSVRIAVSNHGEMDGSHAVLLFSRLESSVPGFPIKQLVGFERVRTTAGSATNVEITVDPCKHMSAANSEGKRILLLGAHVLTVGDEEFELLIET >Dexi6B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:6B:2852209:2854983:1 gene:Dexi6B01G0003460 transcript:Dexi6B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKPYMALVQFSGALVPQLGEKPRLLPASPAVARATYYAADARFLATKAGTRGRGKHMVSPSYSLHSQTSSEQLNHVSSPRFRQRRGSRFVVRAEAVSYSISIPYLVSQEMLVNLKSRAVSILYLAAHAVNIREFFYFPAYRKLARSYHPDVNKDPGAEQKFKEISNAYEVLSDDEKRAIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGGRAARNRPMQGDDETYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGSGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLDKAQTANSRR >Dexi6A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:6A:10328172:10332678:1 gene:Dexi6A01G0009190 transcript:Dexi6A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEPVAAAAAAATTVDVASPEIIFRSKLPDIAITNTLPLHHYCFERLPSVSDRPCLIDGATGAILTYAEVDRLTRRLAAALRRLAGVRRGAVVMNLMLNSAEFVLSFFAASRAGAAVTTANPMSTPHDIAKQIEASGATVVFTEAMAVDKLPTHGDNLTVILIDTHRDGCLHFWDDVMASAPDDDDAVITIGDDDEFDPDDVVALPYSSGTTGFPKGVMLTHRSLSTSVAQQVDGDNPNIDFTGDDVILCSLPMFHIYSLNTIMMCGLRVGAAIVVMRRFELGRMMELVETHRITILPLVPPIVVNMAKSDEVAKHDLSSVRMVLSGAAPMGKDLEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIVDPDTGKSLGRNLPGEICIRGQQIMKGYLNNPEATKNSIDADGWLHTGDVGFVDDDEEIFIVDRLKEIIKYKGLQVAPAELEALLITHPSIADAAVVGKQVEPEIGEIPVAFVAKAEGSELSEDDVKRFVANEVIYYKKVREVIFVDKIPKAPSGKILRKELRKQLQQKQQAV >Dexi3B01G0026030.1:cds pep primary_assembly:Fonio_CM05836:3B:20975753:20977948:-1 gene:Dexi3B01G0026030 transcript:Dexi3B01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAHLITNCSFSTSPAVKTFSGSPSYHLNVGQLQNSKSSNLCFKSCSKRQKKSYVTCASAAVQGKTQTPLTGSQQASDQSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQDRIRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEYSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGLRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLDVQTKSGPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPRTVSV >Dexi5A01G0026520.1:cds pep primary_assembly:Fonio_CM05836:5A:30144688:30147942:1 gene:Dexi5A01G0026520 transcript:Dexi5A01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTRHAFTYLLALLLLLLRGCLVRHAAAQQQPGGEAGLLLQIKRAWSDPPVLAAWNATATAASAHCTWPYVRCDTAGRVASLSLASANVAGPFPDAIGNLTGLTHLDVSNNSIAGAFPTAIYRCTALQYLDLSENYFGGELPAGIGSRLAGNLTTLVLRGNYFNGTIPASLSSLGNLQHLKLDNNRFTGTIPAELGALTGLQDLWLANNPFEPGELPDSFKNLTSMTSLWAQQCNLVGVFPSYVGEMLELELLDLSINMFTGSIPPKVWSLKNLQRLAVYRNNLTGDIVVDGFAAMGLTVIDVSENYKLSGAIPEFFGHLENLTDLFLFRNNFSGEIPASIGRLPSLEILRLYSNRFSGTLPPELGKHSALTYVEADDNELTGAIPEGLCAKGQLWTFTAKDNYLNGSIPEGLANCTTLLSLQLDSNQLSGEVAQALWTVTHIESVLLRNNRLTGRLPATLYSNLSIVNIESNQFSGSIPATAAALQVFIADNNQFSGEIPASLGDGMPLLENLNLSDGWKMTPFVQGLGIGEASILRGLVEENLIGRGGSGRVYRVTYTHRLNGRVSAVAVKHIRVAGTMDEKVEREFESEASVLGSVRHNNIVRLLCCLSGAEARLLVYDYMDNGSLDRWLHGDGGGHLTARPPLDWMTRLRVAVGAAQALCYMHHECSPPIVHRDVKASNILLDSEFRAKVADFGLARTLAQAGAPETMSVVAGSFGYMAPECAYTKKVDEKVDVYSFGVVLLELITGKEANDGGEHGNLAEWARHHYRSGGSIPDATDRSIRYAGYSEEIEIVFRLAVQCTGDLPSSRPTMKGVLQILLVCSEQTHKKSKTERGLEYEAAPLLLPLSNDTEIDVEDNSDFNSIT >Dexi4A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:4A:22311666:22312732:1 gene:Dexi4A01G0018330 transcript:Dexi4A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLYQSTARLGGAASARPQVPRAQFVCKAHKQDAAAAAEGDAAAVTRRAALTLLAGVAAVGAKVNPAAAAYGEAANVFGKVKKNTDFISYNGDGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIVQPTTKKSITDFGTPEEFLSQVTYLLGKQAYSGKTDSEGGFETDAVATANILESSEPVVDGKKYYNVSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGARKGVEKAASSFSVA >Dexi3B01G0031480.1:cds pep primary_assembly:Fonio_CM05836:3B:32927470:32934310:1 gene:Dexi3B01G0031480 transcript:Dexi3B01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTVGEDRPPEHLQFPTTIEELEGKRRTDVEAQLRKQDIARNKILQRQDAPAAIRQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGNAGDPGLTEELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGNNFGITPKGTPFRDELRINEEVEMQDGTKLELRRQAELRRSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEENIEEDMSDRLARERAEEQARQDALLRKRSKVLQRSLPRPPTASVEIIQQSLIRSGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKIQKEKKKGSKRQQNGGSLVPEIDDFDENELKEAGSMVEEEIQYLRVAMGHENESFEDFVKAHDACQEDLMFFPANNSYGLASVAGNADKISGLQHEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTASTELECFQELQKQEHLAASYRILNLTEEVNKQKALERTLQSRYGDLLSGFQRIQEQLEEHKRQLKIQEAIEAEKRAQEEVAAQNDGAEEEGKSHSVEDGGQTNKATDGEAAGSKGPTEDQMDVDNRNAEGEFVGPIPPAPDTEGDNDEVLIQENTSNAQSSVSASTSDGADNSNPAKSEGQDNMAVDGSPQEEGKDELAAAVSSISEGNTAVSFDKAVSNEENGMAPE >Dexi7B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:7B:26073897:26074178:-1 gene:Dexi7B01G0020810 transcript:Dexi7B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAIRSTQQRATRGAAAGGNNAHALASSGGAAAVARRKAKQQQEELRTVMYLSNWGPNN >Dexi9A01G0046680.1:cds pep primary_assembly:Fonio_CM05836:9A:49996466:49996888:1 gene:Dexi9A01G0046680 transcript:Dexi9A01G0046680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREASEVHESTQLVLEGVDGSMTGSSCSEALKEKVPRITEKLTWAASLVAIGMGLALLVGQFFGFSLY >Dexi9B01G0030630.1:cds pep primary_assembly:Fonio_CM05836:9B:33088271:33098654:1 gene:Dexi9B01G0030630 transcript:Dexi9B01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVGSIVVGEVVNRTSSFLIGRHRESLSPREGVERLEMAHIKMEAALEVSARCHGAAADVPLLRWRRKLRRAADECDAALHRWKLRELQEEEARERLARAPLPSRVAHHVLRFATALLGLVRVGGGGGGGEASRRGRAAVERFERLADGAAEFLGYVEFNSVPRKRRLSSSPFGFGPVVTELGDGGVEYGGMLQGGGGARRCLLGSGSQSSAEVWMETKPCRAYGKRRASEKKLLLMFASVIVEETVKQIISGGLAADTHGEKPDAVEHVERLEMAQIRLEAALETSVRWPIRDASLLRWRGKLKRAAQECDEALHRCKQRIVEDEEKEHEAARSSSFPRRLARATRSYLSSLVAGDAHGSSSGGDGDARRFEWYADSASDFVRFVELGGTARRYMFFDPLIGQLLAGNELRYRLVRGSKYHLLCMRPASFEGRGMEAKMLYVYEDDDAPEKNFCLGLMLRLSESLDVVGITIKCLQLVTPHFRSTAEAAMREFVQLPTQDFWWVPYVESSDKEHWNMFHGTMSKLLRPNPRCCRRDRHEPKPFGSSNTNTTTPVLDVSLEPAIEVYFQRIIPLSKQRAPRRTLVVQGEAVSTSKLDFPHLKLGIVFSPHRIPEGTAPAVTGTSTVEFIDGKQQNVMHKNISLEQLDEIMLPKAIECLDKKAEATLYQLFWKSNHETAYLLLEKKGMEITPQDIIGDDLRDRIIQRRKDPKLERWLQVFTDFLKPWVALPLASDTMFASVIVEETVKQMLSGLAADTAGDKPDAVEHVERLEMAHIRLEAALETSVRWPIRDASLLRWRGKLKRAAQECDEALHRCKQRIIEDKEKREHESSFPKRVARATRSYLSSLVAGGGAAAHGVDARRFEWFADSASDFVRFVELGGTARRYMFFDPLIGQLLAGNELRYRLVRGSQYHLLCMRPASFEGRGMEAKMLYVYEDDDAPEKNFCLGLMLRLSESLDVVGITIKCLQLVTPHFRSTAEAAMRELAQLPTQDFSWVPYVESSDKEHWNSIHGTMSKLLRPNPRCCRRHEPNSISKHRGVSNTNTTTNKPVVGVSIEPVIEMYFQRIIPLSEQRARRRTVVVQREAASVSKQDFPHLKLGILFSPHGVPEGMAPVSGSSTIEFIDGKQQHVMHENISLEQLDEIMLPKAVDCLYKKAEATLYQLFWKSNHETAYLLLEKIGVEETPRGSISDDDLRDRIIQRRKDPKLERWLQVFTDFLKPWVPLAPDTVQSQVLEWIKKADEM >Dexi6B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:6B:3765776:3767912:1 gene:Dexi6B01G0004500 transcript:Dexi6B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECILKLLNLVVMAVGLAMMGYGAYLLVMWLQVVPPPAPPLPPSPAPAGLAVSGGLVRLGRPLMLLVDASSLSDGTAKRLSSAWFIFAFIGVGVVLFVTSIFGCAGARNGCCLSIVIPVDKTGNFDMVYNFLKENWKIAKWVALGAVIFEVNMFYS >DexiUA01G0001310.1:cds pep primary_assembly:Fonio_CM05836:UA:3715377:3716705:-1 gene:DexiUA01G0001310 transcript:DexiUA01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRVTRQTTSLEEERGITDKDMFRKFSSLPHVHISAQEEHPPPTALLDPQGHVKEVDVQKTDGVKEINNLSSTIDVKSSLLQQIRDKSEQLKLNGHERSKAVGTGIKSLDEREELLQQIRSKTFNLRRTNASKTDTSSQSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >Dexi5B01G0039700.1:cds pep primary_assembly:Fonio_CM05836:5B:38422817:38423890:-1 gene:Dexi5B01G0039700 transcript:Dexi5B01G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYQQQLACADTDQQPGCMTKCDARCPNQCIVICPGCQTYCICDLYPGMSCGDPHFTGGDGNSFYFHGARDKDFCIVSDAHLHVNAHFIGKPNPNPTMRRREFTWIQALGITFSTDHRLYVGARKTARWDSDVDRLDLALDGDRIDIPTEAGAAWESGAAPPGLTVTRTAATNGVRVQLRGVLDVVANVVPVTAEDSRVHGYGVTMTEDDCLAHLDLGFRFHGLTDDVHGVLGQTYRPNYYVNRFHGVMPDYVASDIFATDCAVTRFRSRQPAAGIVVSMLTPTIRAY >Dexi1A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:1A:22609682:22617156:-1 gene:Dexi1A01G0015530 transcript:Dexi1A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNAGGRGGGALPGGGGSSSAALCCRQRRTAAAAMGGYELVRSDDAAGPDIETGGGGGAATKAAAATSPAPAARQPRLVSLDVFRGITVLLMIIVDDAGGFVPALNHSPWDGLTIADFVMPFFLFIVGVALTLAYKRVPDKLEATRKAVLRALKLFCLGLVLQGGFFHGVHSLSFGVDLTKIRLMGILQRIAIAYLVAAVCEIWLKGDDDVDSGLDLLRRYRYQLFVGLVLSFTYTVLLYGIYVPDWEYQISGPDSIEKSFSVKCGIRGDTGPACNAVGMVDRTILGIDHLYRRPVYARTKECSINYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIATCLIGLQFGHIIIHFEKHRGRITNWLIPSFSMLAVAFLMDIAGMHMNKPLYTMSYTLATAGAGGLLFAGIYTLVDVYGFRRPTFTMEWMGKHALMIYVLVACNILPMFIRGFYWRDPNNSLLKFIGVGA >Dexi6B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:6B:9821378:9822534:-1 gene:Dexi6B01G0007970 transcript:Dexi6B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMEEVLRRDELRARYIQRMFSGSTNGDNVQQSAEVSVPTVLGSAYLDTLQYVITVGLGSPAATQNVLIDTGSDISWVQCAPCSECHSQADQLFDPSSSSTYSPFSCDSQACTQLGQEGNGCSSSQCQYIVRYVDGSYTAGTYGSDTLTLGSDAITSFQFGCSSDESGVDTDTLTDGLMGLGRGPQSLAFSYCLPPSPSSTGFLTLGASSGGGGGSGFATTPMVASDVNPTDTARRAVVTDSGTIVTRLPPTAYSALSSAFEAGMSQYLPAQDPNNFFDTCFDFSSLGNGEPPSVPEVSLVFAGGAVVNLAYDGIMEGNCLAFKANEDDSSLSTIGNIQQRTFEVLYDVGQSTVGFRAGAC >Dexi9B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:9B:4523060:4524523:-1 gene:Dexi9B01G0007410 transcript:Dexi9B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSHTWLEARKVFVRMLSSRGAASVSGDVLVDALEPSKRLCKLIISCRKASALEHELDHSGVRVTPEVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSFHTVVASLAKIRQYQLMWDVVAIMRKEGVANVETFGIIMRKYARAQKFDEAVYTFNVMEKYGVAPNLAAFNSLLCALCKSKNVRKAQEIFDKMNNRFTPDAKTYSILLEGWGRAPNLPKMREVYSEMLDSGCQPDIVTYGIMVDALCKTGRIEEAVRVVQDMSSRGCQPTTFVYSVLVHTYGVEMRIEDAVATFLDMEKDGIAPDVVVYNALVTAFCKVKKFDNAFRVIDDMEGHGITPNSRTWNIILNTLISHGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKIEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEPLFD >Dexi1B01G0031550.1:cds pep primary_assembly:Fonio_CM05836:1B:35060077:35061446:-1 gene:Dexi1B01G0031550 transcript:Dexi1B01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGGLRIHAKEKLPLGYNSLLQAHGEIDATAAAGPAAPSYLALFVRHFSPQISADVGVGVQLHKGDDTTYNLRAKMALPFTQDGLLGLNLKGRLLTDTQFKPKKTTGAIELAWTIYDLRKGQDVRLKLGYQLYDKVPYLQLRENNWTLNAYMDGKWDVRYDM >Dexi9A01G0034450.1:cds pep primary_assembly:Fonio_CM05836:9A:39241373:39244240:-1 gene:Dexi9A01G0034450 transcript:Dexi9A01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDNNEYTVPGFADEDSFDPSQCDPTADAGHLHSASGPLPEHVRREILELGLPDDGYNYLSHLRELRPAAAAAASSFVPSSTARPEPLPLDVKAYDASRVRVGPAEGELDEGRTMCKVAAKTAPVRRIEKAVDPDVARLLDESDVSHAGSEDEGLEEDFVIVANRAEGEESEEAEEEDEEVEDGNGVFSDVEEEFDFEDDPKPRERRLLDEQFDLLALEEYGDSDDDDKGVKDGEYELPSEVIDELKLFHSQNACVDEEYRTPADFVRRKLESSTTDEVDESVHVIKKCAEYAEKYLNETAEEEEVVLVSESSDESEVWDCETIVSTFSNLDNHPGKIETPGIPKRRLPRVFPGENATTNDIIKLHGKERLPVEYLPQRKRGGEKEKKVKPAEATIGDKFKKGAEKETKEEKKARKAAVKEEKREARKAKKELKGLYKSETQKAQKVAAVTGPSSIRLM >Dexi5B01G0037560.1:cds pep primary_assembly:Fonio_CM05836:5B:37041999:37047548:-1 gene:Dexi5B01G0037560 transcript:Dexi5B01G0037560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKQLRERTSAPIKDVKASLVSCNWDIDAAQKDLRKRGVVLASKKSSRTAAEGLLAIAQDEKKAAVIELNCETDFVARNDIFQYLASSVAKMALSAQGPGELFLPFGPEYLENMSINLDHPKLSGETTVQNAVTEVAAMVGENVKLRRGFMLSTTAHGVVSSYLHTCPQPGLGRIAGLVTLEAEDSSALLDALKTVGASIAMHIVATKPLFLAKELVSAAALENEREILRTQAESSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYILNDSTNVKTVLNDLSKEVGSKVTIGNFIRMQVGEGIERLEAAEGSDPVACAA >Dexi5B01G0039220.1:cds pep primary_assembly:Fonio_CM05836:5B:38172081:38173656:-1 gene:Dexi5B01G0039220 transcript:Dexi5B01G0039220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLANLLVAGGTVVGRAMLQAYRQALVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEPLYQKPDVPN >Dexi1A01G0025980.1:cds pep primary_assembly:Fonio_CM05836:1A:32004066:32007333:-1 gene:Dexi1A01G0025980 transcript:Dexi1A01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAKRVATKKGSRDAEKAAAPPADDSASSDGEERDTAGTTLPMANLVRLMRQVIPKGIKVATSAKHLTHDCAVEFVGFVASEASEHAKVQHRRIIAPEDFTCAFQSLGLDDYVQPMSTYIRRYREQHNAYGRVVVTRPPSDAAAMATVTASGVPCSSCQEMQHMSWSMVPPLCGEHVSNTLPSEPMASGFLPPGGATNGGSNGGGGGAQAAQQQQQQAAPMIREQDRLMPIANVIRIMRRVLPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGEARGVVGLPPGGSARGGAGDHHHHPMAPPPVKSRPPGAAMAPHHHDMQLHASMYGGPPPPHHGFVMPHHHHQGGQYLPYHYEHPYGGEHHHAAMAAYYGGGAAYAPGSGEGSGGSGGSASHTATTQGGSFENPFASYNK >Dexi5A01G0022020.1:cds pep primary_assembly:Fonio_CM05836:5A:25907907:25911249:1 gene:Dexi5A01G0022020 transcript:Dexi5A01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSEYEYVKREFEFDRRLPPSNWIVVRIDGCHFHRFSKMHAFEKPNDENALRLMETCAMLKKFPDIVFAYGASDEYSFVFREETEFYNRRESLCLSGHINNQYNTCFWMLVKSGKSEQEAQLSLKGTSSKDKNELLAQQFQMNYDDELAMFRKGSSVYREKEEKVVKNDYGDPMKRTRPILTVAHVDIIGSEFWQNHQHILREEGKCRHEFMKQFDINHMLPPCNWVVVRINACQFNQFSFDKPNDAVDLSLMNESASLMMEQYPDIAFGYGFGSEYSFVFHEKTELYRRQESLILSSCSSYFTSLYMKKWKKFFPHKELMPPPRFEAEALCYPKLKILCEYLSSRQAECHTGNQYNTCFSMLVKSGRSEKEAHETLKGFFSLSSSVH >Dexi4A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:4A:24065851:24066771:1 gene:Dexi4A01G0020430 transcript:Dexi4A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTPRVNGKIPNVDNATLDHERLLERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKHYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRVI >Dexi1A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:1A:3098954:3099284:1 gene:Dexi1A01G0004230 transcript:Dexi1A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGSNGEAVIGDDEVVESWATEIHDQSVDWVVPLNILPYSSHRDGSIFRDTDEWKKEFRIVDRTGIMS >Dexi2B01G0027500.1:cds pep primary_assembly:Fonio_CM05836:2B:36417982:36420611:1 gene:Dexi2B01G0027500 transcript:Dexi2B01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTEQMTLGTELLRVLAAGDVTRLKDLLRSEGRSGADGHVAIEVNGASPAAASPPVGTGCLLGVTSNGNTALHLVASRGHAELAALVCERVPSLVATRNAGLDTPLHCAAKAGSRAVSACLLSQMRAAGEADAAAALRARNLLGATALHEAVRLSRAAVVLDDRSSQAGVSNNRGWRLGPDPHFIILHCLCCLGIGITLDRRQSGHHADPNPKEEEVSDDKQEHDMLRNGAIGSVTSDDGISPLYLAAITPSRFMVRLLLRPSPDGTPSPASFEGRSGRTALHVAAATSKGNDG >Dexi6B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:6B:23371346:23376855:1 gene:Dexi6B01G0016090 transcript:Dexi6B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLAPPLGRPPPPPPPPSGHLSSYPSKGTNPLRNPRSENPNPENPRTLRPPLPPAAGGDPAAARPVHNPHVEMEENAGDTLSSIRRSIRRAEAGAAAARPDPVAAASTLAPATPRERRAASGDHSLGQNRDGGGVVPNGHGNDRVALAAAKAEKARKRRVRSELRRRLAGELDQVRVLSKRLKEAAETLAQQEASAPAPVPLPLVVVPQHQVLDVGYVQSQFSADDMVTPMPPQLTTAVPPVRSMLPRRPLTVSVVHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPPSDSHGRKKSKHHKKKHRSLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFAWVFNKPVDPVALGLHDYFTIIKHPMDLGTIRTRLSQGQYRNPKEFAEDVRLTFRNAMTYNPRGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRHHMPLDSAKAISHTPTYSRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVRQHEDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHSLQQPPPPQTQFTQEPNVGEKSPKQIEKG >Dexi8A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:8A:563019:563393:1 gene:Dexi8A01G0000860 transcript:Dexi8A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGQAHQQVAVLVVVALCFLMMTTTVVSGGVSCGQVVGWVSPCISYAMGQQGTSPPPACCSGVKSLNDAAHNTADRQATCKCLKQATSVMHGLKPDLVAGIPSKCGVHIPYPISGSTDCSK >Dexi7A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:7A:20664389:20668975:-1 gene:Dexi7A01G0009710 transcript:Dexi7A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVAAAVLLGRLLPPLLLLAVAYASYDGAGLPPISRRSFPDGFIFGTASAAYQYEGAAMEGGRGPSIWDTFTHQHPGMYTLYPSVSNTKWAYKIADRSNGDVAVDSYHRYKEDVRIMKDMGMDAYRFSISWTRILPRVQPFVTLFHWDSPQALEDKYGGFLSPSIINDYKDYAEVCFKEFGDRVKHWITFNEPVSFCSLGYSSGTFAPGRCSPWEEGKCSAGDSGTEPYTAAQKGNIGITLVSNWFVPFSPSKSNDDAARRAIDFMFGWFMDPLTRGDYPLSMRTLVGNRLPQFTKEQSEMVKDSFDFIGLNYYTANYADNLPPSNGLNLTYGTDARANLSGVDEVNNQSLSLQEALKDDTRIDFYHKHLLALQSAISDGANVKGYFAWSLLDNFEWVNGYTVRFGINFVDYKDGLKRYPKSSAHWFTEFLKK >Dexi9B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:9B:8885430:8886353:1 gene:Dexi9B01G0013200 transcript:Dexi9B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAGMKGLKPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYASTLEDATVVQPAQIVAAVEQICQ >Dexi1B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:1B:7258701:7265285:1 gene:Dexi1B01G0008670 transcript:Dexi1B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAADFHSQAEALFRKNLAIQRRAYKTNCCIIMFPLIVCGLLGAAQSAIDSFFKNDGKPPDCKACVAGAVRLSDAAVGGLACAEECPLPVAPRWPVALLLPTGKEDVTGEDRAAPPGDKEKSPEDPLEALTKPPPCKSPESCAAPARFLITGGNKSFAESLAGNLFPPHASPNLKADMNGLADFALATDAKGPGAQDYGTVFGMRSSGLYFLQGKCAPNSTLSFQVQQGPESSTRADAKCTQGLFAWRESSGVINNELYRGYSEDKNKQTIENNNDIVSAYDLTNSGVKSVNFTVQYNPEEPSMLRVARLMNLASNAYLQLMGNNTKMRFGFVKDMPRYGHPLKPPDISFLVGKLIFVWIVMLLFPIILSSLVYEKEQKLRAMMKMHGLGDIAYWIISYCYFLLISLIYMFLLVIFGSVVGVKLFASNSYVLQFIIYFTYMNLQISFGFLMTSYFTTVKTATVTGYLFVIGSGFIGEYLFKPFVEDTSVSRSLILLMELFPPFSLYRIIYELSPPPGTGFYSDFTGVQLRDLGDPENGILVLLIIMVLEWGTFLFLTLYLDEFGCLQNGIRKLATASRPDGSFLQKPCTEPQASIEIDRADIMREAAEQSLKILRIFEGGVADTRVSQYSGGMKRRLSVAISLIGDPKVVYLDEPSSGLDPASRNALWNAVKLAKKDRAIILTTHSMEEAEALCDRIGVSAYGRLRCTGTSKELKAKYGGTFVFTVTAAASEDEAVEQLVRTISPTAKRTYHIAGTQKFEMPKQGVKIFEVFRAMEQAKRSLNIVAWGLVDTTLEDVFIKVAKESDKCPD >Dexi1A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:1A:226312:227244:-1 gene:Dexi1A01G0000350 transcript:Dexi1A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSALLEEGAEDDRRRIISHNHIVSLTSSTYGILTYTAAPTGSPGPPPPPQAAAAEAPTTPTSAPPPPPPPPPPAAKSHPQPQPDEVNSWELMAGLLDPSTPARPPRPPSCSRGRRIRSPLRPIDGNAMRQRQPSVVLYTTSLRGVRSTFEACNAVRAALQAHGVAFRERDVSMDRGFRDELRGRLRPYYGGDCAPLASGKAPSLPRLFVRGRHVGGADEVLRLDEQGLLEPLLGGLPRARGGAHCCCDGCGGMGFLPCFDCSGSRKVAVPVPGHQGAACRGRRTAMVVVRCGECNENGLVLCPICS >Dexi2A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:2A:6155908:6160468:-1 gene:Dexi2A01G0006500 transcript:Dexi2A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCPLPLPLVSWAHLPPVKQIDAVSSSPLPAIRISPPQIDQILSLRRSPGSMEEVLRLEELVEEILIRLPPDDPPSLVRAATVCRRWCCVISDPGFRRQFVRHNRAAPVLGFLANLRDGGGLEYDPYDDELYGQEYDFVARFVPTTPFRPIFPDIADNRDRCALDARHGRVLLTTTPWGSDLEVWDPISDMLWVVPALPPALGHPFSWNAAVLCGHHGACDHCDCNLGPFAVVLLDSDTEMMRVHIYLSEIEAWSEPSYGPPILEFDLATWNMSVIPLLPEDDDVEFTVLVTMGDAQLVLTA >Dexi6B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:6B:24897738:24900153:-1 gene:Dexi6B01G0017850 transcript:Dexi6B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPRLLLVFLLAAAVASPARRASAACASDSLPRNRVYTTCADLPRLGASLHWTYDAAASSLSVAFLASPPSGGWVAWGLNPTKEGMDGTQALIAVPGSGGAYEVQTYSISGYSLGSQGALSYQTSDLAAELGSDGRVRLFGTLKLQNGTGEVNQVWQVGPFSGGAIGVHATTGDNMNSKGKLNLLTGASTAASGGDSVLRKKNIHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVICQLIGYGVGVSGWATGIHLGNLSKGITYSVHRNIGITVFALGTLQIFALFLRPKKEHKYRLYWNIYHHSIGYTIIILGIINIFKGMSILSVDQKWKTAYIFAICILGAIALILEAVTWGIVLKRRKEDSKTYNGTSNGHLPLSM >Dexi1B01G0021220.1:cds pep primary_assembly:Fonio_CM05836:1B:27182111:27182669:-1 gene:Dexi1B01G0021220 transcript:Dexi1B01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTVCSMCGDVGFPDKLFRCSRCLRRFQHSYCTNYYGDAAPAEAGAGGVCDWCLSDDVVGGNGKKRPYSSSPTTSSPGCSKQQHQQTAAAQGRGGEQTPFPPSGCGKGAGKEVTGGEHEGGRRPRRYKLLKDVLC >Dexi1B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:1B:18718191:18718619:1 gene:Dexi1B01G0013210 transcript:Dexi1B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAYTQRRWAHRRGGFVTGGTGWSKPPPPGLGPAAGAGAKKSEWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSVIHT >Dexi2B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:2B:10162151:10162679:1 gene:Dexi2B01G0009370 transcript:Dexi2B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPQKPGLVLLLLRPLRGVLAVGPARPAAMRSATSLLLGAALATAFFLLYTSLCRDLGGAPPGSPPPPRWDHRAAGQGSGGDRLLDNNPKKQQEGITKKEEERKAEVTRSDGDGGGGATKAAGERGRDTTAADDKRQQPRIVMPASTSTSTQQLTSR >Dexi6B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:6B:7252329:7252814:1 gene:Dexi6B01G0006550 transcript:Dexi6B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGTLYACLTVVSSNSTCNSRFRGIVFSSATAFRALGYFESRRAGLAFHFQAGAMPKVETLRLQLGVQEVKTCGVSLGGIEHLPSLKRVAIGLGYSGYRNEEPERLQ >Dexi2A01G0033240.1:cds pep primary_assembly:Fonio_CM05836:2A:43510925:43513375:1 gene:Dexi2A01G0033240 transcript:Dexi2A01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSMGTPDDMMAYSSLSKIDINQNHRAAVSNVVAANNYPVQDYLYEPSFEPDFPEYDSRDDPFSPTQASPKVNLKTVLGGLVSIVAGANKNEVDASQQQNFSTDVSFLGSDKNGDVDLHPSVCVPSAPPLLEANALQYSAYREVLLADPPDWLPDSSANACLQCNLPFTALTRGRHHCRFCGGIFCKNCSKGRCLMPMKFRIRDPQRVCDACYERLDPLQGLLINYNSNSMQAAKHDVMDWTSTRSWLNMPVGVSMEYEIYKATNTMKKYCQVARLNPEKSIPSSILKGAKGLAILTVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDRKAVKAFSSRIHLSLGAGLSAAAGPIGRAFEADVRASEKGSGICYTYSCSKGAFVGVSLEGNIVTTRSETNLRFYGDAYLTATDILFGRVERPRAAQPLYAALDDLFSKMVC >Dexi6A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:6A:21783962:21785273:-1 gene:Dexi6A01G0014430 transcript:Dexi6A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSMINDRISKAILVSGESGAGKTESTKTMQYLSFVGGKAQAEGSSVQQQILESNPVLEAFGNAKTVGNNNSSLEVDGKNIEIPE >Dexi4B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:4B:11445846:11447898:-1 gene:Dexi4B01G0013200 transcript:Dexi4B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGREGVHRRLLGVAPAPAGDGADHGSSGSGSSSDAMRIMVGVLVTVIICTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATENFSKANKLDPSKSAQLGWSTRHNVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDDSDAINTGRVVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGKRNGALYLEEHQQSLIQDAWKLWTEDRAVEFMDLSLGRSYSKEEAWRCYHVGLLCVQENPDARPTMSNVLLMLISDHMKLPEPAMPPLFTRLRKIPLSAMPLTTKTESTMSPQSINDVSITMVEPR >Dexi6A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:6A:26521522:26522277:1 gene:Dexi6A01G0018890 transcript:Dexi6A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGAPSSSHRFRIVHVYKPKSSIYDNTQGQSHFVKRSSLDSRYVINNSTMVITCGVKVLRDEPISVPPSNILSHLGGLLDSADGSDVSFVVDGEEFAAHRAVLIARSPVFKAQLLGSMADAKMTSTTLQDIAPATFRVMLRYMYTDEFPEDAELVTEKLQDLFVAADRFALDRLKLFCAGKLWIEVSVDTVGAALAWAETYNCPELKKKCIDFLADEKNFRKVVLTDGFIQMVQKFPSVLAELRVKVAA >Dexi3A01G0036540.1:cds pep primary_assembly:Fonio_CM05836:3A:42083657:42088598:1 gene:Dexi3A01G0036540 transcript:Dexi3A01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKEVSLPDALNLVLGKADQDLWPREANLLVAAYYGDIRRLKEIAKRMDVEGKGLQETLAHANFLGVYCIHTVCESGNMPALQYLVEDLNMDVNKPDILRGFTPAAHAVLYGNLPTLRFLVDHGANLQQLSNRISLVHSAAEGGRPEIVKFLLSRGARADMEPDSLTPLAFTPLFIATYRGYASILKILLEQNEDPNVRMSDEVAPLNMAIIHSSVACLKLLVQAGADVNGFGCYNPLAKAAEKGLTEAIKCLLEAGADPNVPDTFGRLPIELAAEYGTWEDVELLFPVTSKIPEVADWSVHGIISHVFMEVMKLEDDDFVQKKRSELKRKGADAFRNEEYLKATELYTQALKVDQFDSTLFSNRSICWLRLGDGKKALYDARKCKDLSPKWAKAYYRVGAALMFLKDYDSAYDTLSRGLELDPESEEMEQLLWQEI >Dexi9B01G0045520.1:cds pep primary_assembly:Fonio_CM05836:9B:44977445:44986094:1 gene:Dexi9B01G0045520 transcript:Dexi9B01G0045520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGGGGAAIRVLNVAEKPSVAKAVSEILSRGAMQSRAGRSQYNRVFEFDYAINGRPCRMLVTSVTGHLMELEFEDRFRRWHSCDPADLFHAPVRKSVPQDKQAIKQTLEEEARRCQWLVLWLDCDREGENIAYEVIEEIDLRIGASFTRFQTMLLKDAFVIDVTGDDRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCTHTSDEGTATFGWIRGHLFDYPSALILYEMCVEEPMATVAEELYQAGFISYPRTETDNFSPNTDLHARVNKVKLLDAMGTFFARSNRPINETQNPTEVVRPCSACRESEMVLKQRQTGEFMVGCRSYPNCRNVVWLPGSLSEASVTNQVCPICAPGPVYKIQFKFRRRDIPPNFDVDHLGCVGGCDDVLKELMEISRFGSRSQAATPARQTPNVARQQAPNGARQQNPRQDLHTDFRPAGQLNNENPSVMHSQGAGQVLCTSCGEPCIWRIANTDANRGRKFYKCQDPGCGFFKWEDELENATPRGRRGRGSSRQAPASASAGRRGGAQSRGRRGRGRNADGGMFVSATGDTVSGCCFTCGDPSHFANACPNRR >DexiUA01G0012620.1:cds pep primary_assembly:Fonio_CM05836:UA:25802890:25805184:1 gene:DexiUA01G0012620 transcript:DexiUA01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITSDMYHLQHLDVAKWGNLPGLQKKAVCHSLYYAINWIRELLNAFSTQVASRVDNFSQKARDETAVKLLKRLRNLILLEGLLNAFLKKYPLSLPELRYLGDCSGSSGTSKFNLPKKIGEESIDGTPSNKRQKGRKDKTNSEKSNPDDKLKQPTILDAFKRAGVTVSQATNKASQPSSSGMMSKDIEQEANDPSELGLVDLMSPAVQLDMQRFKFRTLHTRCLSLLNYSECQDSSSSYLETELPIYLYLLRDLHNKLDNLNPAIKPFLNTSQAKMSHVHCHESTKEFLDKIEPLFSILRKHLDGAVSMIKDEPESNADNWSSHSSSSGNPDIAYVVVPKSSIATAVCKEILGCYRKLLAIPDLLNQPNTVLKQLLQTLQPTENFDDILSEFQPSLAPSNIDYLYCGACKLFEDLMDTGNLLFRFVSTVLGDATLSPNLSYLIFLQCARSHIFCLLMC >Dexi5A01G0025800.1:cds pep primary_assembly:Fonio_CM05836:5A:29560925:29564841:1 gene:Dexi5A01G0025800 transcript:Dexi5A01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLPLHAPLSSPHRLLSSRPAHRALPLILRGPGVLRHRPPPPPRALPDIAAGAASGIRDALADAFLSSPPTWRSAAASNLAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPPGFLVVVAYFVVNRYLVTTFKVVPRGTEGAISIEGTFAGILASVFLASVGYLLGQLNNDIVNVLNISIGAILAVLMQQLLVLLFVSRYAYY >Dexi9B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:9B:7427634:7428332:-1 gene:Dexi9B01G0011530 transcript:Dexi9B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTHLTRPACLPARAAAAAAAPVPPARTLRIRLNTACLSRPDAAAAKKSTRPGPGCSSHDEETPPPAKRLRRSVAADEKVVDESASAPAKIGNNLLLRRPCRRDDEAPRSPAATRKKAGTPNSGQANRRDSGSSPFRVLIATKKKKQQVVGDGAGAASSSSSPSPQSPDSEGVRALLGRARPASDAIRRRDMQRLRAQARWEMEQVVRTVEFNDPFISPQDVLPFAEQDQG >Dexi2B01G0032800.1:cds pep primary_assembly:Fonio_CM05836:2B:40588692:40589923:-1 gene:Dexi2B01G0032800 transcript:Dexi2B01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKEGVKKGPWTPEEDLILVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQSGADGAASKPPAHRPASSSKGQWERRLQTDINMARRALREALTPLGDLKPPPQQQHHEATARASIAGGEDSPAASSSSGASLCSPSATATAPGPYVLTTENISRMLDGWAGSSSRKGRRATGPGTPGGGESASTGSSDASEVSYGGAAASASAAAAISEYETKPAVAAQQQQMPLSAIESWLFDDDSHFHQVQSVSLLDVAPMDYPF >Dexi1B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:1B:4694677:4698731:1 gene:Dexi1B01G0005750 transcript:Dexi1B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVWQQSSKDMTAMPPLRHRGAAKKPMWIIVLLSLDHDGRYSIYIHASREKPVHSSSLFVGREIRSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHSFDYIYNYLMGTNVSFIDCFLDPGPHGTGRYSMEMLPEIEQRDFRKGAQWFAITRRHALLILADNLYYNKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYELLKNITSVNEMLHITSDDKKVVTVAPCMWNGTKRPCYLFARKFYPEALNNLLKLFSSYTSA >Dexi1B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:1B:25653583:25655584:1 gene:Dexi1B01G0019460 transcript:Dexi1B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFYPSDEELVCHYLLNKVSNERIAQGTLVEVDLHAREPWELPEVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSAAAAAAVVGMRKTLVFYRGRAPNGVKSGWVMHEFRLDTPRSPPREDWVLCRVFQKTRCEGDGQDGEASPGFPGSSSRAVPEPDHHSASTGGFRYGAHAELAPQLPQYYYGLGDVVVAPPDHHGFQRADGFGFGARGVAGDEYGFAGCFDMGGGFEFEDVASLGVGGGMEFPQVWNR >Dexi8B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:8B:1935547:1936819:1 gene:Dexi8B01G0002760 transcript:Dexi8B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPDKMRVTWITDDDAPAIVEYGTTSGQYPLSATGNTTTYSYVLYKSGNIHDAVIGPLQPSTTYYYRCSSSSAREFSFRTPPATLPFKFIIVGDLGQTGWTDSTLKHVAASDYDMLLLPGDLSYADFIQPRWDTYGRLVEPLASTRPWMVTQGNHEIEKLPVVEPTPFVAYNARWRMPYDAGATPSSDNLYYSFDVAGGAVHVIMLGSYTDFAAGSPQHEWLRRDLAAVAGRSTPPAFVVALVHAPWYNSNEAHQGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFQRVYAGEVDPCAPVYVTIGDGGNREGLAGKFVEPQPAISAFREASFGHGRLEVVNATHALWAWHRNDDDEPVVADQVWITSLRANPACHGGNRK >Dexi9B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:9B:13021456:13027076:-1 gene:Dexi9B01G0018340 transcript:Dexi9B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWFSARQVLDEMRPKVSVKLMREVLFRIIVSVDSVNRERYPKLAYRFFVWAGQQEGYRHNTSTYNLVMKVFAQCGEVKAMWRLFEEMAEKGLPVSARTFHLLICASGQVGLRRRLRGCVTSQTLYHFCVDKVTNNPSSPTRRQPNHATARTKKDTRVTVGGVGIRVTRSLRRMQFEPGPVAATIIEGGHVGHNSSPVGSADLTARNR >Dexi5B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:5B:7556159:7556371:1 gene:Dexi5B01G0010730 transcript:Dexi5B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVVAVVLFVLLSPGLLLQLPAKGGRFVEFGNFQTSGASIFVHAIIFFALAAVFLIAIGVHITTD >Dexi9B01G0023180.1:cds pep primary_assembly:Fonio_CM05836:9B:18186651:18186926:1 gene:Dexi9B01G0023180 transcript:Dexi9B01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAEKTIDPYPCECPQENEIRLQMYLHQFPAWANVTNPNEYGFHLRAGQTATS >Dexi3A01G0030010.1:cds pep primary_assembly:Fonio_CM05836:3A:33117218:33119785:1 gene:Dexi3A01G0030010 transcript:Dexi3A01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGSRFTTKNRFFGNFSFWPSPSRSSGTPSNPTTTSRSVPVVQVQPSESDAVRPSPPSPQTTTPPTPIVISEPTPLPQPQPTPPPKGEPDRSPPPPSLSSSSQQPPTAVQQQQQQQQPSKKKAAHIKRISSAGLQVESVLRRKTDNLKDKYSLGRKLGQGQFGTTYLCVDKATGKEYACKSIAKRKLITDEDVEDVRREIQIMHHLAGHPNIIAIRGAYEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAAELARVIVAVVESCHSLGEFTDVVGSPYYVAPEVLKKRYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGSLDFDSEPWPSVSENAKDLLRKVLIRDPKRRLSAHQVLCHPWLQTIASAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLQRVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTPGFGKKGHQYNLSIGFRDALNKAHS >Dexi3B01G0022090.1:cds pep primary_assembly:Fonio_CM05836:3B:16929665:16937072:1 gene:Dexi3B01G0022090 transcript:Dexi3B01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKHFLERQPELSGQNAGKGGGSESLQEKTSPGPRRIEIWTPIRKSLGNIEQMMSLRVEKNQSSAGEPQARDGTHSVKVEEGKLSEDSDDEFYDVDKVDPSQEVHSGDTGNTDVGGRGHEETYISKEELECLVHGGLPMALRGELWQAFVGTRARRVEGYYDSLAAEGESENNKCSDSSTSEGIHEKWIGQIEKDLPRTFPGHPALDEDGRNALRRLLIAYAKHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETILQELSDKHRPSVISSMEERAKGLGAWTDTNGLASKLYNFKRDPEPLVSLSDSADQLGDVGDGDANQENDPGNMDDMYGGVTVNSEIDSLPDPKDQVTFSITVSRSCYLSSKGCLAKVGIMQTDRGEKISCSQVEQLEQEISELRQALSDKQEQEEAMFQVHLFYAYRIMIYMQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRTSMLDASPSQASQASSQEFQTKRKNLLGPFSLSWRDKNKEKQSNADDSTNTKLSNNNDEMVESPIKDDEKQRETLEFDSEQTAESPKDGKLRSDTPEKDNDVPGFPIATTDLNGHHEQMQEIKLD >Dexi1A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:1A:3330993:3331644:-1 gene:Dexi1A01G0004560 transcript:Dexi1A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLRFLTGLSEDKGLATAWRNHTDCCKWEGITCSADGMVVQVSLASRGLEGSISPSLADLTNLLHLNLSYNSFSGGLPSELLASNIIVVLDVSFNQLSRVLQQEDLSSSVPDHRPSLQVLNISSNLFTGEFPSICSRLATTNSAGRYQMNYSMFPH >Dexi8B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:8B:12796228:12798836:-1 gene:Dexi8B01G0008680 transcript:Dexi8B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPDVDPRSGYCAATKTFHSLRPPLLLPPPDLPLSYPSFTFSLLPAALPSRPALVDSSTGEAVPFPAFLSRVRTLAAALRTRLGVSQGDVAFVLASPSVHVPVLYYALMAVGAIVSPANPALTASEISDLVALSGPSVAFAVKETTGKLPPGLKTVLLDSARFLSFFHEPDCEGTAAGTDAVIHQSDAAVILYSSGTTGRAKAVVLTHRNLMASNATRGAAAGDVLMLAVPIFHIYGFTFCLRVAPSANTVVLHTARRFDARAVLTAVGRFGATRLALAPPALLAIVQSAEEDETLISCAAKLQVVNSGGASLSTELFRRFSHKFPDICLIQGYGLTETTSGFCRNVGEEESAHIGSVGRLSWGAEAKIVHPQTGVVLPPGVPGELWVRGPFVMKGYAGDKDSTSAILDSQGWLRTGDLCYIDKDGIVFVIDRLKELIKYKGYQVPPAELESMLQTHPDIDEAAVVPYPDDQAGELPVAFIVRRPGSNLHEAQVKAFVAKQVVHYKRIHHVFLVNAIPKNAAGKILRKDLAKLALGHISSKL >Dexi7A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:7A:30038644:30038916:1 gene:Dexi7A01G0021440 transcript:Dexi7A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPEIGACTFSLAPPQDPKFSAPSYPSPPLDVDRGAAPPPPYAWDRTLALELSRSRAECIARSESRGAAAAGRGTPRRPPAALALPDDG >Dexi8B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:8B:20571716:20575161:1 gene:Dexi8B01G0011510 transcript:Dexi8B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFPTAAASLSVATFAAAKPSRSRGPEENPREEMAPGGEGKANPGGGAKGGGRKRKFLPHGKPVRKGGYPLRPGVQGFFITCDGGRERQATREALSLLDSFYEDLVDGKGSNEKPEGIPDKPLNKKIKFADSDSSDDDDDEHSEEEADNGNGNDVEKGETAPAEQQQEAHDPSSVPASKEDEEQAGTAEEPKEKKQRVEDPPVSEQTEQKEIADEPKESTDKPKESINKPKESSERNIDDLIDEDLKEIGDRKKRLFASLDSGCNGCIFIQMHKRAGDPGPVEIVQNMMSSAASTRKHMSRFILRVLPVEVSCYASEEEITKAMSPLVEKYFPKECPSGHKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLKNPDKTIIVQIAKVNVFICSTVGLIHYASFLVGRGYADVVWMW >Dexi9B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:9B:4760946:4762464:-1 gene:Dexi9B01G0007810 transcript:Dexi9B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGHILVLPFPAQGHVTPFMELSHRLVDHGFEVTFVNTEAYHSLVLAALPQASGGETALGAGIHLASIPDGLTGDDDRKDIGKVTDACSRHMPGHLERLVAEMEASGRPKVKWLVGDVVLGSCFEVAKKLGIRVAVFWTASAACLAFMLSAPKLVEEGVINDMGWAERDETFQLGPGMPKLPTSQMPWMDGTGTPAGPPALFELITRFEKFNSLAEVVVCNSFNEAEVGTFKYLPDILPIGPLFADGEFRKPVGNFLPEDERCIKWLDAQPDRSVVYVAFGSMAIFDPRQFVELAEGLELTGRPFIWVVRPDFTVGLSKTWLHEFNQRVADRGMIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFVCWPYFCDQYLDRSYITNVWRVGLVVSPRADGIVTKEELRSKVAQVLGDDGIKERARLFMDASRRSIRG >Dexi9A01G0036000.1:cds pep primary_assembly:Fonio_CM05836:9A:40572962:40574206:1 gene:Dexi9A01G0036000 transcript:Dexi9A01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYASASFMLLLVLQLCSCNEAWPVGDATCPAEQPSVVATVSSDHGEPSCQPPPPHIPVAVFPYDVDPVQFAMNLEYTEAEFFLHAAFGKGLDQIAPKLALGGPPPIGAKKANLDEVTWRIAAEFGLQEVGHVRSIQRTVGGIPRPLIDLSAHNFARVMDQAFGSKLNPPFDPYINSLNFLLASYVIPYLGINGYVGTNPIIDGYKTKMLVAGLLGVEAGQDAVFRALLFERRGEAVAPYNVTVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAEGAICTNVLSADMDSLSYARTPAELLKILYLSGDEHVPGGFYPEGANGRIARSFLGKPRHGADEVPGN >Dexi1B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:1B:23662421:23663941:-1 gene:Dexi1B01G0017340 transcript:Dexi1B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSARESSVASCRVVDNNGYVLVTPDACPIHKIIDTMSQPQRVRGGDMYPASATGDHQEARRERDKIVEQAAGQEQRGGVGVLHVTETDLPEGRRMVTSSVDGQFTMPVPDRNVAEDSDAVTVGEALQAAAHTSAGDKPVGHADAAALQAAEMRATGLGGNLPGGVAAAAQQAAEKNVRAEGGKVVTIRDVVGDAEAVLPANKAATREDAEKAAAAAARNEGKKEAAAGVVDALAAAADMNKPKKRGK >Dexi1A01G0026610.1:cds pep primary_assembly:Fonio_CM05836:1A:32450409:32453464:1 gene:Dexi1A01G0026610 transcript:Dexi1A01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGATPATARKNLFATTATLLSSSLTRSSRGSRSISCSAAFAASSPRLGPQPPDLVRWVQREGGFVHPALRVTDHPEHGLGVSAAAADGDISPGDVLITLPGRIPLRLRRPTGAADDVLVQLAQQVPEELWAMKLGLRLLQERAKPDSFWWPYIANLPETFTVPIFFPGDDIKNLQYAPLLHQVVAEMKIEQNAAITLNYGCHPNDFFLLDYGFVITPNPYDQVELSYDGALLDAASMAAGVSSPNFSAPARQQDILSQLNLHGEGAILKVSLGGPEIVDGRLLAALRVLLSPDPEAVHKHDLKTLMPLDAQAPLGPTVEASALRTVLALCAIALQHFHTKIMDDEAILKGEPPLTTELAVQFRLQKKFLIVDVMQNISRRIKMLSPQKSTA >Dexi7A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:7A:25913021:25913502:1 gene:Dexi7A01G0016010 transcript:Dexi7A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMVGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPLEICQVACLNRAFRGAASADCIWTAKLPANHRYLAALAAAADDDCGCEGAAEGNGRCCSAAMIKKEIYARLCRPTPFDGGTKLCE >Dexi9B01G0037390.1:cds pep primary_assembly:Fonio_CM05836:9B:38824604:38825751:-1 gene:Dexi9B01G0037390 transcript:Dexi9B01G0037390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQGETMAQAKAHQEEVAATGAVGGGGGGEPAHDGGFLSAMASKIGATMSGTNGSGGEAIDGTTASDGEAGKRDGDGEPDEEGGFLSAMASKIGAAMSGADGGSDGGGNAAVATDDDGIEKDDAAGGIFHKLLSSSPPDSSPASGTVETEEEKGLNGAGEQAGILSAMASKIGMSMSPANGNGNHNTEEDFKTNNGYSVDGSNGGEKVAETNGGGILNTMASKIGMAMSGANGDEDHGGSGVNAMAGNGDAAGVSKDEEKRDETNGGGGILSAVASKISMTVSGANGNVKHSTEDDGKTNNGDAVDHSKGEEKEKGHDANGAGIVEQIISNLPSG >Dexi1B01G0027970.1:cds pep primary_assembly:Fonio_CM05836:1B:32633928:32634511:1 gene:Dexi1B01G0027970 transcript:Dexi1B01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVGVGFRSMRIMRVKNLNLYAFGLYIQPNSICKKLGPKYACIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSIGTVRE >Dexi7B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:7B:21850517:21852313:1 gene:Dexi7B01G0015820 transcript:Dexi7B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNGLYHLFFEHNPHEALFGTCTLSWGHSVSGDLVNWTFLGTALDPSLPFDAKGCWSGSATVMPDGLPVLLYTGRGADDIQVQNIAFPKNPSDPLLREWVKPSWNPVIPQPKDVGNPALEPGPYQKNFRDPSSAWLGRDGLWRVAVSAEIAGVGSILIYRSSDFVHWKRNPKPFYATPDVPVWECPDLFPVAEHGTEGLDTSAPSGPGVRHVFKLTKDKDEDYYVVGWYDDVADTFVPVEEEGGGGDDGYNWRRVDYGHVFGGKSFYDARKKRRVFWVWMDEMDSRPDNIAKGWAGIMIFPRVWWLDTDGKQLVQWPVEEIETLRRRRVALHGEVIGSGGMREIAGIDTLQADVEVVFEIPNLEEAERLRPKWLKDPRKCAEESASTKVGVGPFGLVVMASGDMREQTTVFFRVFEHNGKYKVLMCTDLTRSSTKEGVRKPFYAGFVDVDVEKDRSISLRTLIDHSVIESFGGGGRAVIAARVYPEHVAAGASTHLYVFNDGPEAVTVSKLEAWELATASVNVEDDDAE >Dexi3A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:3A:7096489:7097520:1 gene:Dexi3A01G0010000 transcript:Dexi3A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNTSFSNPYHPLLSPSPPHHPHFPPPLPPPIPQQAPAEAPPPPQLLQPSASLERERLPQWSHAETAAFLAVRAELDHSFLTTKRNKALWEAVSARLQGQGFARTPDQCKSKWKNLVTRFKGTEAAAASAHPTPDAADPASAAAAAQQQARQFPFHDEMRRIFDARVERAQALDRKRAKGKDVQTEDDEGGGGGVGEDEDDEEEELEAEMGEEESGTRVPTEARGGGGAKKRRRKQAAAARARSADQGEVEAMLREFMRRQVEMEERWLEAAEAREAERRVREEEWRNAMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKFAGDSS >Dexi4A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:4A:14977451:14981809:1 gene:Dexi4A01G0013890 transcript:Dexi4A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDDVDDEDDEDEYEDITPPRSTPEPVADPAPAPAPSPAPAPPQPARAPLSSLVVKPPPQENGGGSSPPYPGPRAARSHSPGGGGGHRGRGASPPPHRREFSPPRPRGWERRRSPPPLPPPERRRPASPPPQRRRYSPSPRFQPPRHPRFLDEQPGYGMHGGPSPPRPRRAEADAVGPRYTHGYQGGGRGGARFREDSPSHGRGGRSYGRGYGPPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKFRYSAREAHEPRCSPLRGYPSPPPRGPPRMVAGPGDRASPREMVRYRSPPHGWGAGDPRGYAARSPPERAVRFPDPSPKGRMGFRGDRDPRDRAKFDWSATDDYSQRERLHDGYLDRSRRRSGSPRANWGNDLRDRSRSPPRNRLMKSSFTGRGRPDDYAADPYASRGRPSSMEAGRGRGHGYRAGGPYPGEGRGDRRPAPPPRGRNEDDY >DexiUA01G0010170.1:cds pep primary_assembly:Fonio_CM05836:UA:20093863:20095366:-1 gene:DexiUA01G0010170 transcript:DexiUA01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDCHTSYTECQMQDVQKGKIGISINSNWFVPFSQSKSNDDAARRAVDFMLGWFMDPLTRGDYPISMRTLVGDRLPQFTKEQTELLKGAFDFIGINYYTTNYADNLPPSNGLNLTYNTDARANLSGFRNGVPIGPQAASSWLFVYPPGFRELLLYVKENYGNPRVYITENGVDEANNKSLSLQEALKDDTRIDFYHKHLLALQSAISDGANVKGYFAWSLLDNFEWTSGYTVRFGINFVDYNDGLKRYPKSSAHWFTEFLKK >Dexi4B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:4B:9091141:9091569:-1 gene:Dexi4B01G0011640 transcript:Dexi4B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFFLLIGSAGQRMGPAAASCGGQIDGNSDGGGARFCGSGGQIDGGLWATGSRRERASDGGWRARTEAGDNQAGEGGGWENWRDGRLEASGANQADVGDSHDTKAGRSAMGRPRDRATGDARAEGRTPWCRADDSPLRAF >Dexi5A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:5A:10974079:10981455:1 gene:Dexi5A01G0014150 transcript:Dexi5A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASQIPPPSAPTTSLAGGGWRRRMSATVEEQMVVKAIREECPWESLPKRLQSTLQTKDEWHRRIVDFCIRKRLQWNTCFARRVCREGEYYEEMMRYLRRNLALYPYHLADYICRVSRISPFRYYCDILFETMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKEMLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVFPDDRFKVSRLENFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLIQLQAAASFACRLGWAVKLVDADSVLNDEGAPAFPGSILSDDEEGSNTSINSEKSGQQLISMDSDGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRYFLECLQSGGVSSNEITNNTGEAKTPRSSLLEIENATGQLAKVNMEDVVDDKHDELPQHDQSTCNLDDSDGNIMPPAVATSELDVSSDTRVLKNKRKYKVDVLRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDGSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSVNDLNASGNLVTVDIPLPLKNDDQSIACVLAQTNLPEEQILNLTSVLKDLCSKFDLSTLGYLRLLRLHRIDASDKINPENISYQWVPLSLELGIPLFNPRLCERICERVVSSHMLQKDDLNEHCDVMQNVRRRLRELCSEYQATGPIAKLFNKRGSSRDLPRVLINSISGRWNPNNDPSTPTSGGAPRENERLRFSGRQRCRTEVVSFDGSTVRSYALTPEHNDAASRPTSEEQSSLHDVKSDQEDTNSKDVVLPGVNLIFDGAELHPFDVAACLQARQPLWLIAEASAASSTLL >Dexi9B01G0042650.1:cds pep primary_assembly:Fonio_CM05836:9B:42867754:42869933:-1 gene:Dexi9B01G0042650 transcript:Dexi9B01G0042650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKLGSFTISRKAKKELSAIGDDISRLSSTVEEKAKWVFEKLKGHKKSLADLLREHNLPSGLFPRNIICYDYDESNSKLVVHLSKPCEVSFKDSSVIRYAPRVKATLSRGKLSGIEGMKTKVVVWVKVASVSVESYKSDKVCFIAGVKKLRQKDAYEVPREAVSVEEF >Dexi9A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:9A:15944189:15944590:-1 gene:Dexi9A01G0020910 transcript:Dexi9A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVVRRLMAAKAAGSVRSFSHAAAETGLTTTARAVVRRLMAAKAESGKTFSRVAAETGLTNVYVAQLLRRQAQLKPDTAPKLRAALPALTDEDLGLMMEPPFRCAVRPSTASFPNP >Dexi6A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:6A:27815462:27816199:-1 gene:Dexi6A01G0020300 transcript:Dexi6A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFKSAAAEPPGSTVTSSEQESIRASSERPSPPKRPAGRTKFQETRHPVYRGVRRRGRAGRWVCEVRVPGSGGERLWVGTFDTAEAAARAHDAAMLALCGAASASLNFPDSAWLLDVVAPASNAAYDLPGVQRAATEAVAAFLRRHCHGGGDAQQPPTRNAGSASGTHAGASGTPATTVGSSVVDNGGGGMVELDAFGGMDVGSYSYYASLAQGLLIDPPPPSAVECPEEEEEDCGAGEVKLWS >Dexi9A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:9A:7325732:7328797:-1 gene:Dexi9A01G0011700 transcript:Dexi9A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLLFFIITALLRFDKVTDFAGSTNFVIIAILTLVLKGAWHFRQIVLTVLVTIWGLRLGLFLLMRILQWGEDRRFDKMRDNLGKLAFFWTFQAVWVWSVSLPVTVVNASDRNPSIEARDIIGWIMWFVGICIEATADQQKLAFKNSPSNRGKWCNVGLWKYTRHPNYFGEIFLWWGIFVASAPVLSGAEWLVILGPIFLTLLLLFVSGIPLLESSADKRYGQLEEYRVYKNTTSPLIPLPPAVYGALPAWFKVAFLLELPLYNPGPGGDPIS >Dexi8A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:8A:28502915:28503244:1 gene:Dexi8A01G0016850 transcript:Dexi8A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASALKMATVAACIAVAFLSMGPSAMADIQDDCRAFCRPQCDGFSSDVCNTVTDIAPILKTLSFFPSTCKVRVSGLCSALCINICSLNTITPAPPAASPAPLPPCKPY >Dexi9A01G0036670.1:cds pep primary_assembly:Fonio_CM05836:9A:41057187:41059010:-1 gene:Dexi9A01G0036670 transcript:Dexi9A01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYEHPFIMLATIYNMAASTTYWLSVCLIIVLGLLPRFLGKVIYQTFWPSDIQIAREAELLKKLPQQLGGSRPESDIS >DexiUA01G0026300.1:cds pep primary_assembly:Fonio_CM05836:UA:56147838:56150849:-1 gene:DexiUA01G0026300 transcript:DexiUA01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSAAGELPIADDPDRARLQQLGYKQELKRGLSLLSNFAFSFSIISVLAGVTTTYNTGLRYGGPASMTLGWLVVATFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWACTTSVDFSLAQFVQVIILLSTGGANGGGYLASKYVVLAIYCGILILHGLINSLSIHWLAWFGQLGAFWNLAGVFALTILVPAVAKERASVEFVFTHCYTDDSVGIHSKVYVLAIGLLTSQYSLLGYDTSAHMSEETKNAAWSGPMGIVVSVALSSVFGWIYLVALTSIVTDIPGLLDPGNDAGGNAIAQALYAAFRARFGSGVGGIVCLAAMAVAIFLCGTASVTSNSRMGYAFSRDGAMPFSHVWYRVNKQEVPFNVVWLSVSVAFVMALTVCTYVLCSVISSLARTNNGSRRLISCRFVLSMMMEQTNNGMQSLGSQVAFQAMVSITTLGMYIAYALPIFFRVTAARRSFVPGPFHLGNYGVFVGWVAVAWVALVTVLFCLPVAYPVAAANFNYTPVAVGGVLFLSLAAWVLHARFWFRGPVTNVDA >Dexi5B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:5B:20404969:20405328:-1 gene:Dexi5B01G0018300 transcript:Dexi5B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFKSSTRKGPPERPLLPPATSDSLQSSGESFSPSPIVPDLSLIPRFASHFLLRSSSAARRGVVFANCALPMRCSRPVLSSVDKPRPAGHSSSSTRLSAAVADSLPCLAALRRTGDHP >Dexi3B01G0021570.1:cds pep primary_assembly:Fonio_CM05836:3B:16439574:16440287:1 gene:Dexi3B01G0021570 transcript:Dexi3B01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLFVFSLLLAGVVGAAPCCYCCSAPRALLLPPSHALRGPPATAAPLPSPKPPAGAGFHVKCSHDAQTKQDEHRPLAVSIWSFEICKDSSKDPFDSITCEKPFVRIRIGLQDSKLLEVLHRLLQLRLHLLLHRSTVDLDDAIGVRPTATEERVRTDGPGHGTPDLAAPEAMARRSSAVDKVKAPREMGEGE >Dexi3B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:3B:6329667:6330616:1 gene:Dexi3B01G0009200 transcript:Dexi3B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIKKKDGEQIIVRGGGKAASPALAMTMRKGPWTEEEDEQLVRFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLIVELHAQWGSRWSRIARSLPGRTDNEIKNFWRTRTRKHKAAAAAAASPASSSSSTAAVSDDDSALREGSGGGDAELDEESTAATAAASQQHEQPQEEEYYCCSAMDELWNEIAAADAAAAASYMLDNWGAGGQCYYGAAVETPPPSPVWEYCSDYSLWRIDDEEYKKMLDAS >Dexi4A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:4A:11389774:11390425:-1 gene:Dexi4A01G0012660 transcript:Dexi4A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVSAASSCSRKPNEEPPQRREVEQERRRRADEECSSAAAAGAMEEEEEERVGEVDSKLSEASLCATTEEEDDEEEEEAAKDAIELGPRVSIKEQLDKDKDDESLRRWKEQLLGSVDLSSVGGKNRSSLH >Dexi2A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:2A:32007162:32009538:-1 gene:Dexi2A01G0019930 transcript:Dexi2A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNITSLLYLCLLICAAALHADASQEARLREFLRSRRNSRSDRGTFKVSNIGRRVTSSLQRTTSYSGGADQSALKAADKITSLPGQPDGVDFDQYGGYITVDETNGRALFYYFELGPFRVNNDNKTLSRNKNAWNNVANVIFLESPAGVGFSYSNTTSDYDLSGDQRTADDSYLFLINWLERFPEYKSRPFYISGESYGGHYVPELAATILIQNSYNSKTAINLQGILVGNPLLDSYMNFKGRVDYFWSHGSMSDEVFANITRHCESEDSYNSGDLCYGAEDAFDPGQIDYYNIYAPICVDTANGSYYPSGYLPGYDPCSDYYTYAYLNDPAVQNAFHARTTKWSGCAGLKWKDAPSSMVPTISWLIEKKLPVWIFSGDFDSVCPLPATRYSIHDLNLHVTTPWRPWTVNMEVGGYVQQYKGGFTFASVRGAGHMVPSFQPERALILLDSFLKGELPTYVPEL >Dexi5B01G0033350.1:cds pep primary_assembly:Fonio_CM05836:5B:33774801:33780689:-1 gene:Dexi5B01G0033350 transcript:Dexi5B01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGLCVRNCLSRDFQPAAAAAAYFGFGELEEALIHGGGGGASAANAGGVDPGVIIKSDVAAQTKQAEAATAAGYLSGAAGRPPTLEIFPSWPMRHQQQLHSSVGSTTDSSSAQNTMSQMELVSPASSAPRQEVMIVTTDDYSYKQPGLAAAAPPSFQQHHPLPLQLHGGGDHDKRKQGSTRKDGKLGDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAALFDMEYARWLDDDSKRLAELRGGLQAHLLDGNLGLIVEECMQHYDELFQLKAALARADVFHLLTGSWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALFQGLNQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLDSFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWTSRPCE >Dexi8B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:8B:27761394:27761924:1 gene:Dexi8B01G0016600 transcript:Dexi8B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGASDLEAALQRDGARVDALNLRAARRAAAAATEPSPSFFEGFALQGIRVDRIRPGYILCSFTVPPRLTSAAGGSSHLAPGAMVALVDEIGSAAAVADGKHLKVSVDMSVSFVDLAAAAPGDTLRIVARALGHKGAYSGTHVLVANATTGQVVAEGRHSLFGKMKIRSNM >Dexi2B01G0027060.1:cds pep primary_assembly:Fonio_CM05836:2B:36015695:36016578:-1 gene:Dexi2B01G0027060 transcript:Dexi2B01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLASSTGLSATKGKGRRRRKARKLDGGQGETEGEGRRRKARKLAGGQGETEGKERRKKVWKLAGGRACEGRAMGAGEAQHPSVYLTVNRGLWFTSTCGCFPLHKAEVASSALSSSRGAHVASLVASMITDIGFKSFVSVGSRWIVGVGGNPGRTFIFDTKTGELIAGPNLVTPKLYPVVTAVGFRVYALSVTAQFEEGPDFTPWFEVLDLSKAMDAEGNLSLLDHCSWEAMPPPPFFACKLPTADDYVMQPPIITVVSYVVVEHYIVLSVKKTMAHDHLCI >Dexi7B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:7B:21364439:21365488:1 gene:Dexi7B01G0015340 transcript:Dexi7B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGDSDGFVLGFFPEHLLDGGGCGGVPVDNAGVPDDVTFTELQPQHELQPMSSSSFLPAPPQQGHVDLTHEHVGAFQAQELSPAVMIKFGRNAQSSSPTRPPRALTINVPQSSSSYPWAAEHVTTAPAPPPPAVAAAVVDDDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRLRGAKAILNFPNEVGTRGADLWTPPPPAPATKQMAAPANNKRKRQQAEESDSDVEVIAVVNKAVKIETLSSSSSSIQVSSEASRSSMSWGESTATASSTVTTTEAGGAGGDYCWLPVTPSGGSCEQYWEALLGSLPPLSPLSPHPAMGFPQLTVN >Dexi7B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:7B:14455223:14457047:-1 gene:Dexi7B01G0006750 transcript:Dexi7B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARNYVVLLVAGALLVSTVFAATATGAAAEYVRPPPGRIILTEHTEPAAHPQQVHVSAVGATHMRVSWVTDDKNAQSVVEYGKASRNYTSSATGEHTSYRYFLYTSGKIHHVKIGPLEPSTVYYYRCGMAGKEFTLRTPPATLPIELAVVGDLGQTEWTASTLSHVSKTDYDMLLVPGDLSYADTQQPLWDTFGRFVQRHASRRPWMATQGNHEVEAAPLPPVPGSPPPFAAYGARWPAPHEESGSPSNLYYSFDAAGAAVHVVMLGSYAAFDAGSDQYRWLARDLAAVDRRATPWLVAVLHAPWYNTNAAHQGEGEAMRVAMERLLFEARVDVVFAGHVHAYERFTRVYNNEANPCGPVYITIGDGGNREGLAFDFEKNHKLAPLSVTREASFGHGRLRVVNATTAHWAWHRNDDAESVVRDELWLESLAANAACRQQGGPTAVDSFQNDEL >DexiUA01G0025400.1:cds pep primary_assembly:Fonio_CM05836:UA:53574739:53577486:-1 gene:DexiUA01G0025400 transcript:DexiUA01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYAFAAVWICWVTWAYNMSFGDKLIPIWGKARPALNQGFLIGPAALPATAHYHAGGVDLETPAATPLYPMATVVYFQCVFAAITLVLIAGSLLGRMSFLAWMLFVPLWLTFSYTIGAFSVWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAVNTIASMSVVNTNVCTAMSLIVWTCLDVIFFGKPSVVGAVQGMITGLVCITPAAGVVQGWAALVMGVLAGSIPWYTMMILHKRSRLLKHVDDTLGVIHTHGVAGLLGGLLTGLLADPTLCNLFLPVTNSQGAFHGGVGGAQFGKQLAGALFIIGWNVVVTSIICVAINFIVPLRMPEDKLEVGDDAVHGEEAYALWGDGELYDVTRHGDGTEHGGRGAVAPVTTTPNREN >Dexi6A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:6A:25967209:25968643:1 gene:Dexi6A01G0018180 transcript:Dexi6A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASGWSRAVGNTRSFVGNAMGGLRGWSNLASWTVAGTLAYYLWVRPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGTKKEPTKSED >Dexi1B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:1B:555898:556866:1 gene:Dexi1B01G0000620 transcript:Dexi1B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSTTTTTTWSFLLLPSLLASSLLFFLLHRSHGARSSSNKARRLPPGPPMLLFLAKFLALRRSIFDLGPLLRDLHARHGPIISLRLFATTLVFVSDRRLAHRALVQGGAAFADRPPLAEPDSLFSAGGRDINSSPYGPYWRLVRRNLAAEALHRSRVALFAPARARACDALVADLLFLRAGDAAGVVELRPSLRRAVLGLMVYMCFGAWIGEEALGEVEQLQRGVLMPYTSFPVFAFFPAVTKRLFRRRWAAYVALARRQDEVFVPLIHATRGDDEPPCYAESLLALRVPDDGGEDDRPLTDAEMSSLCSEFLTPGRTRR >Dexi7B01G0023730.1:cds pep primary_assembly:Fonio_CM05836:7B:28268382:28274477:-1 gene:Dexi7B01G0023730 transcript:Dexi7B01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEGLFGGDRSSGRANKAASGSAAMAADAPANGAIPPLSSAAASVVRRCAKIAGVPVDQLLRRFEGEEQGGQPPLEYARSVVEHCSYIALRVETRRHDHLGDREFHSLTYEMMLAWEAADEETDAMFQKTAFSILRDDEDDDDCGSIFYSSPTQMAIQVDGRRTVGPEAFAKIAPACPVIAHPITVRNLFDVLTNSTGGRLHFLIYHKYLKNLQQVLSSAKSISEGHRAPDLQLSEGEVILDIYGTATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGEAVVYDLARDLKQSVKRESTGPWGAHLFDKAVMYKSSSTSEPVFFEFPQFKGHTRRDYWFAVIKEVLHAHKFIRKYKLASFQKAEALSVATLGVLRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEAMYGQLKQHGPRFRGSQDFGQSSSDELMLVDPFPLSAYTMVTMGLLTLKEEDNHEQRDFAVRDMQIGGTSSVQMALERSVGYSGRVEAARATLDQSVGAFEIITPPPRRTVEQLLALQEAISQLEAHVQAGNIFLLKLRSLMLAAFPQSTNKVASALVVAAMAFTFVPLRTIVLVILLEAYTRQMPVRKNSEKLVRRIHPVIGSPQPSAPPEQAGGKKPSHENLQLPITAPPPYAPAPLPPPRRKRRRCCRCVCWTLLAVVILIVALGATAGILYLVFRPKIPNFHVDRLTVTKFNVNTTTMTVTDAFDVDVTATNSNSHIGIYYDGGEVTASFNGTQLCSGAFPTLYQGHRTTVQPRISLTGETRLDSAVAVQLIQQQQAGFVPLTVRARVPIRIKFGAIKLWKMTGKADCNLVVNNLHAGTQLHIQSNSCSFKLKI >DexiUA01G0001500.1:cds pep primary_assembly:Fonio_CM05836:UA:4026146:4030912:1 gene:DexiUA01G0001500 transcript:DexiUA01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAKPAAQSAAASTTATGVQYWLLKTEPGEWSWSDQASAQGGVAPWDGVRNHQAMKNLRAMRTGDRCLFYHSGAGAASRRVVGVVEVARTWYEGEEGKGEGKEAAAGGAVDKPGT >Dexi8A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:8A:3774007:3777842:1 gene:Dexi8A01G0004380 transcript:Dexi8A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCDRQEDVRMLKEMGMDAYRFSISWSRILPSNIKGTLEGGINYQGIQYYKNLINLLKQNGIEPYVTIFHWDTPQALQDKYGGFLSRRIVKDYTDFAKVCFEHFGDKVKNWFTFNEPHIFSSFSYGTGGHAPGRCSPGGTCAIPHGDSLSEPYRVGHHLLLAHAEVANLYKSYKGTDGRIGMALDVMYFEPYDEETFLDKQARERAIDFNLGWFMEPVFRGDYPFSMRSLVGNRLPYFRDDEKEKLVHSYDMMGLNYYTSMFAEHIDLSSGFSPMVNTEDSYARLTSEGNDGKSIGPETGVYWLKSYQKGLKELLMIMKDKYGNPRIYITENGTADVDTGNLSKQDALDDYIRLDYLQRHISTIKESIDLGADVHGHFTWSLLDNFEWSSGYTPRFGLIYVDRDDGFKRYMKKSARWFSQFNRTPKKVFDDDHAIVLKPALVSDN >Dexi9A01G0022560.1:cds pep primary_assembly:Fonio_CM05836:9A:17727842:17728578:-1 gene:Dexi9A01G0022560 transcript:Dexi9A01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVRRPRVLAEIDPHSEWVHGREFDTLVVDVTGFGKDHLKVQVEPSGSLKITGERAVDGSGRQWLHFTKRFDLPSGCCGGDAAAIKVQLDKGMLYVQVPRPLVGAATPAGTAGNGGSSDDSERYEDAVRGGGEDEAGDGDGWNIGGRVAAVVRREEQSTLRRLAGGLSRHRQVVLNVVLAVVLLWLVAFGAKNKPGAGGQAD >Dexi5B01G0005750.1:cds pep primary_assembly:Fonio_CM05836:5B:3887029:3887745:1 gene:Dexi5B01G0005750 transcript:Dexi5B01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSCDDIGGCHGVCCLCCICGAKAICNLYAFIAAVILTAVLVAAFAFPLPVHATVTDASLSLLDLIAGGSVHNHNNGGGGGHHYSLAYNLSLAIVLTNPNWAMRAELTSPLDAELRFAGRRFDGARLAGAGRRVPPDTAEEFAVVAVSSPRGVALGAEAAREFARESSAGVFELELKLAGKVTYRPVNLGRSRRMELTCPVKMLMVPAPASAARRTHLMVFDKVVAYH >Dexi1B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:1B:15678428:15678628:1 gene:Dexi1B01G0012520 transcript:Dexi1B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGRDRHLDLRRRGERRSSEMRETRKADAQIHPQLGFVCRRWWPRRNWGRARGERTSEMRQRER >Dexi9B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:9B:4717746:4719188:-1 gene:Dexi9B01G0007700 transcript:Dexi9B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >Dexi8A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:8A:3314300:3317204:1 gene:Dexi8A01G0004240 transcript:Dexi8A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPTFSWVSSCSLPCSLSLGDATKPSGDNRQAGDHQCCRDLLSIHLPPTESTGATSARLGRGLLGPAALRHCHERMTPAQDPFYIVKDEIQDSIDKVQETFHQWKQTPQNTGEYVHLTKELLTSCESIQWQVDELDKAISVAERNPAYYGLTDAEIGRRRSWTSTARNQVVSVRHNVEAGRQKSVFGHSTNPSESIRSKKHISQDNDEFIASESDQQMLLIKQQDEELDALSASVQRIGGVGLTIHDELVGQEKLLGELSLDMETTSNRLDFVQKRVAMVMKKASWKGQIMMIAFLVILFIILFVLVFLT >Dexi8A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:8A:24799375:24801110:1 gene:Dexi8A01G0014340 transcript:Dexi8A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLAKNFDVLAGFLVFSAGLWLHWLILSSAIKTYRYASVKAIETKSPVDDQQWLTYWVLYSLITLFELTFASIIQW >Dexi6A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:6A:24728806:24730050:-1 gene:Dexi6A01G0016890 transcript:Dexi6A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPPATAAPPPPPPPPIVLYYCYECGGAVDVGDTPLPIPPSSPLRVCPFCCGFLEENPPPPSSPQEPVLLYCHECSNAVDVSDTPLAIPPSSPPLRVLCPSCHRGYLEESPPPLPELPEPVPYYCAQCGSTVDLHNPPPRLLCPRCHRGFLVESHPPPPPPPPPPFPEQFDFDDDIDLLSTDYDAARAFISRFVNQGPDEGPLLGNFAAVAAMSALRDNPHRPAIEAAFNNILQRQFAVPPPPPASEGGEPPAPAATIAALPIVEVAEPGATCAICKDDLPLASQARKLPCSHLYHSTCIVTWLEIHNSCPVCRFRIPAAAGTEGQDSPATQITIRFSTSTRRRGRFRVRGGAGASAPISASPTQLAQAVTGDAAGGPANSGETVSSEWPQHPESDTVMSEAREEDGGFFD >Dexi5B01G0037610.1:cds pep primary_assembly:Fonio_CM05836:5B:37072380:37073524:-1 gene:Dexi5B01G0037610 transcript:Dexi5B01G0037610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPLPPEAAAASPSSSSSQEGEQKPVVYTVWMKSLVFSGNGCTVYGADGCLAYRVDNYGCRGGRESFCMFRRRWEACRCLEDGEETRPWFRVQKIYWKKGGAAAVKMHGHRRRTYTVDGCSRKSDYKISGADGGVVAAIARKQTASGVVLGEDVLTLTVGPEADHLLVLGLVVVCGLMNRCL >Dexi8B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:8B:26283411:26283731:-1 gene:Dexi8B01G0015490 transcript:Dexi8B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAVNVPPVPAATATKRIAMSMLTRACEISSPCAGGVNHDDGGVFAGEEDALSAMAPSSSAGAADRGSSSSLLSNLFVTYSCLTTTAMGRKRMRKSPAAEAA >Dexi7A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:7A:19735625:19735838:1 gene:Dexi7A01G0008490 transcript:Dexi7A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEAAMRQHDGDGEAAPVVRHAAAAEEGGATGHFSAAAPAERTTASEQAGCTRAESNSWQSARG >Dexi5A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:5A:11772063:11774981:1 gene:Dexi5A01G0014670 transcript:Dexi5A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPEFFSASSCGATAVSGDLSYMPRPKGPVVDHCNGLLLRSRDVVNPATRQWAPLPPQPPPRMSSAEAFFADPYLVFDPAISPHYEVFLMPVVARWARLGTAMATSEWPPSPCETHVFSSRTGRWEESSFVREGEPAGTVAAMAKAFTMQKRYSVYWRGALYVHCQNDFICRVSMADGKYRVIKPLAENEADDHHEPYLGRSEKGVYYAILDRGFRLRVWFLDESPTTGQMEWALRHQSDLERVLASQDSYQRDDDGAGWCFKDINHYAEYPEDDGDEEPTKRKLKHHPDVVTLLGLHPFKEIVYLSSDFSGLPYDLNTSKVQDLGNMRPKSRLDPSIPGPLSSPNPGWHSFSPPFLSARPFFGGEFIFLYDFFVGCGGASNEPHVLHLSNLDLLMHNIRASTFCIYPKPSTDVGGFDAGTVHAFESCLPFFPNHFFLFAGRIATNPSSGIPEVHCGNQGAELLVGQATAVVTLTSLDYGALGSAVRRVHLPYGDDVALTVQVVSFATTRAPGRQVFSPPGDDGTWFVNAIVWPQLAAALEADEPRVLRPVAAAEHLWLVVCFSGSAVSALIDTRTSYAIQAKAEARLSALLLFPAIRHHHACGL >Dexi9B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:9B:4702830:4709290:1 gene:Dexi9B01G0007670 transcript:Dexi9B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASAPLQLATASRQLASSAAGGGGPLAGGSGGGVATGRGRGRTQRRVAARSVASDRDVQGPVSPQEGLSSVLNSIDSSAIASNIKHHAEFTPLFSPEHFSPLKAYYATAKSVLDALLINWNATYEYYNKMNVKQAYYLSMEFLQGRALTNAIGNLELTGEYAEALKQLGQNLEDVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEIAENWLEMGYPWEMVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTRTTNNLRLWSTTVPAKDFDLGAFNTGDHTKAYEAHLNAEKICHVLYPGDESPEGKILRLKQQYTLCSASLQDIIARFESRAGDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILIDFKGLSWDEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEQIDEELINKIVSKYGTADTALLKNKLKEMRILDNVDLPASIAQLFVKPKETKESPAKSKKKLLVKSLETEAEETTELEEEETDVLSEIEEEKIESKEVEEEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSTILSKWIGSDDWVLNTDKLVELKKFADNEDLHSEWRAAKRANKMKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAEERVKNFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDSDIGDLLKVVFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIVGLRKERAEGKFVPDPRFEEVKGFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQQKVDEAYRDQKLWTKMSILNTACSSKFSSDRTIHEYAKDIWNIGPVVLP >Dexi5B01G0027080.1:cds pep primary_assembly:Fonio_CM05836:5B:28705902:28709220:-1 gene:Dexi5B01G0027080 transcript:Dexi5B01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALSSPAVSRTPNPKSAAAPPPSPSTRRAVADAASAAAAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGSPMVLATAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPDEILSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMIVISKFDNRLKEFTDRWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRKQICQVDIDVLRHLRDNVKGGFNEEKYGSHIGFSCLRKYLESELQRRYKEAAPATLALLDQRCSEVSIELARLDSKLQATSDVSQLRRSAMQHAASICTHLVANILLAHAGRGGSSGLTEAAAEIARSAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRNEDSQYQNVEDMDGYVGFLAALRCSYYKFVRELSKQCKQIVRHHLDSVTSPYSHICYENVSLSGIGSVVNSMNRFNQFPGVASFDLSDSGSQLEEAQENMPPRDQRHMTPPTKGNESKDILRESQLTVPETPSPDLPSDIHGGKKKDNGIPNDGGPRKRQARMAGYTNRNHHNNSIIGADDLGSKSGSSYSTICAISARYFAKMREVLIERNVPSALNSGFLTPWYVETNNYASLFLFLLVQF >Dexi2A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:2A:26338231:26339542:1 gene:Dexi2A01G0015400 transcript:Dexi2A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDGNNGGGSHGGLVVTELSHIKELVRQLDVHLGGSHELCKILASQIFSLTERSISIITSSSSSGSLDGGGLKRSAADAGLASPFSATPTSGVTDGPFKNAKKRKVMEKRRHQVRVSSPAGDNPVEDGHSWRKYGQKEILGAKNPSLTAGLTVKTEGLPPLATTAPFYLSSSTPASIRSMAMLGAELHSPFSAAPSTSENWGVSPATSDSNHVASYLPLEDAEWRRGQNELQEVVSALVAAGAPPAPAMDSLDELLDIDDIASFFA >Dexi5A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:5A:498539:502018:-1 gene:Dexi5A01G0000730 transcript:Dexi5A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVCGTLVPSLNYLIIPHDADDASEASNSGSRHVVEDCITSSENMASDGATNVVIEVTSNDASLSENNSNLVSSSTKVVIEGSVEVSGFNKDLDGSNVSETFSSAIEVDVPLMRFVKGKGGSMQKKIEEDTGVKIIFPSSREETSVVLEGTSSESIRRASQMIASVLEEAVQSRMLDYSHFISLPLAIHPVLVDKLNYFQSSILGDSASNEESDKDESRSEGSIDEIDHDHKQADGSSVSINLQVQEKSVEVKMDRKGFQSASSSLSLVDFGIDKSVFIKPKTFHLTVIMLKLWNKDRIAKASDVLQSISSQVNEALENRPISIQLRGLVKVIIDAFVKSGLILQRDARQELKLHATIMNVRHRKSSKKWNRWNDSFDARDIFRKFGSEEWGQYHIPEVHLSQRFKFDESGYYHCCSSIPLPAEMQAE >Dexi4A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:4A:10296630:10299187:1 gene:Dexi4A01G0011980 transcript:Dexi4A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGGAGKDDAALGLPWSEMFRSASLRRPNQGADDAPAKKPPTVAALKSALKEGKARPPSPVAAGTGTGAGADIAGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLKWALLCSVPLRETQRALVAFWEPPLRGGLSAAVLALPLAAFRSSAATLADARAALLRRPLPHSPAFPRLLRWLVSFFFFLVLFERLGAAAALLLLTLALAFFAATPKLTRAASSRISGRRPSSRGLLLTGGILRHLKTLVAVGLMLGMIAGFITGSIFFSYKIGLEGKDAVMSLKSHVEKGNYSEKIGLKKWLDDNDIPGLVDQYSAKIYDTVWEQVDQLAVQYNLTDFTSGFRHFLISQSVDPKSKALISSRPHPYSMKLQSIAARVKKREWVEIYRELDSFFRELLITREDLVVKAKELALQGTEIAKRLLSSSTSVLGGSANLMLSVALRIVSGAAEVVNFLSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVLLATAKIAIFQGGLTWLLFKFFKVHFVYTSTVLGFISALVPILPFWLSSIFAAGQLLMEGRYVLALVVTVIHLTLMDYGTTTILEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADAEETSS >Dexi3B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:3B:4767658:4771613:-1 gene:Dexi3B01G0006830 transcript:Dexi3B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGTLPGGGAAAASAPQRQRLRGSASLAAAHVPGGARLVCGGQLRPAPVLASSALSLSGAARRRILRAAPDAVASSGSAGEAKLQGFAEKYPTLVTGFFFFVWYFFNVIFNILNKKILNDFPYPYFVSASHLFIGVLYCLIGWSFGFPKRAPVNSALLKQLVPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFVNAAASQFILGQPVPLTLWMSLVPVVVGVSVASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTGMDSTNLYAYISIIALIVCIPPAIIIDGPKLVQYGFKDAIAKVGLAKLISNFFVVGLFYHLYNQVATNTLERVAPLSHAIGNVLKRVFVIGFSIIVFGNKITTQTGIGTSIAIFGVALYSFLKAKIEEEKRVCFL >Dexi8A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:8A:24113596:24116862:-1 gene:Dexi8A01G0013790 transcript:Dexi8A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAGWRDSSSASAAAVALRALLIATACGLVFALLNLPDGAPAGTSAPGGERAAGGGGGARLSVEQGLIREARRLSAVAEEGKRQRLWKAGSLPPGQIVFYNRTLPLDRRWHVRGLGHDSSIGRDDLESASVIHYSGKLKPWLEISIPKYRDYWNRYLNYDNTFLQQCNIHG >Dexi9B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:9B:9936170:9940248:-1 gene:Dexi9B01G0014840 transcript:Dexi9B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPQLPSRSDPHVRVYNPPACTAQAHNYSQKKSLPSHHPPAHHLRLLLLCLRRLALARASQSQNLTLMAAYAGTTGTFPNLVARSSPAARRLGAAHPIRASAAGTTGEAAMDVVSEAELREKGFMGMRKTKLVCTVGPACVEALPALARGGMGVARVNLCHGGREWHRVAMRAVRRLNEEEGFCVSLMVDTEGSQLLVADHGGATSVKAEDGSEWLFTNKKSDEAHPFKMLVHFEKFSDGILVGDELVIDGGMATFEVTEKIGNDLLCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDDNDIKQLKAYLSRRSLEHIKIFAKIESLESLKNLEDIIHASDGVMVARGDLGVQVPLEQIPAIQESIVTLCRQLNKPVIVASQLLESMVEYPTPTRAEVADISEAVRQYADAVMLSAESAIGAYPQKALSVLRAASERMESWSREENMQKLLPQHQLAIALPDRISEQICNCAVEMANNLGVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDDANSRKSMNLFWGVIPLHLPLSDSMEDNFKKTISLMKSKGSVKPRDTILLVSDSDLNRPCAATSVFQSIQVRLVE >Dexi7B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:7B:13058963:13063745:-1 gene:Dexi7B01G0005590 transcript:Dexi7B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSGTVGRNSGASGRDLSHEEHRLPLHRRHGGKQGGLPLSSRTTLAAWPCSTNVTNGGEQGISMPRAANFSHLTGLGTTRLSSTIVTSRGNAFRVGRTTETVVEDHVSPPVVVNTAQGQIQDVQEINMEDLSTYHTREDTCTSSHTVNEYDRTHIIERSTQTIIEGCVGPPIRSNNAHEQIQCVHEISMQEQATAFGMTENIEERVHSPIRSSNAHEIQHAQEITLQEQATAFGTIERISSVSSPFGYVSQHGSHIIADTAANDVEAAQSPNVDVINQKEHSFILSGKNEKPFTYICSMLADWGRQPVTKASIQGKIKGVFTSVKCFQFRQRTKYELYVYIDDGSSISEAIVHHDIVKKVLGLSPGELTAALAGVFEFTSTSEVIETVKGFQRFLAKFEGMMLIECNKDSSIPIIRDLDDGCSSSNAWLLLRRLKTFSSRRKLWNLDAMDTTP >Dexi1A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:1A:25780746:25781333:-1 gene:Dexi1A01G0018610 transcript:Dexi1A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVEMKCDRCRSKALALVAAARGVHSVALAGDARDQLVVAGEDVDSVKLAGALRRKVGPAQILTVDTEAAKKEGGGGDKKPPAAAAAPAAAVVQYVPSALCYQYAPPQAPVSFVYEPPATGYAVGYHQPRYDDPCSIM >Dexi9A01G0027820.1:cds pep primary_assembly:Fonio_CM05836:9A:32442177:32445114:1 gene:Dexi9A01G0027820 transcript:Dexi9A01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNGKVLGKCDRNINSLKRKWDSPAAYDADACRNSELHQRPAEDSAVRFHVDQDRKAKIVCHFNKQVLQSYRNFMSSAPPKRILLRQGAGWKDFPEKIVKLAQADFRSQKTITETGYQNQLFLLDFVHMTFIDSKSGLQKPIAWIDENGKGYFPETFLQDQKLFMKKDFGNGNHEYISVKPNGTREMNDQLGASESSAESSNFDSSTEDVSSPKRARAEKSSIGTDGDMGEAIGENEPCALLPTACNLLPHQANLGEVSRAQSTMEAVEKLLMQGMGSVIGSKDVIGIYRTPVLDDCRQVRYHYHQKQAQITGCHRGNANVRYAWLACSKSTVHEMMLNGVLKVHKPTKCPTYGEGTLLTPANRSDTCAKYSDVDENGIVHMMLCRVIMGNVEIVHPGSKQHRPSSDYFDSGVDDLKNPQHYIVWDMNLNRHIYSEFVVTIKLPSKTKDSFVAQEDCQNSSDVSLVLNSSSPDCMSEEMNLEGPPALGGGCAAPMLGDTMEKAPSSPWMPFSMLFAAISTKVSPENMDMVIGCYEEFKSKRISRAELVKQLRHVVGDKVLISTIMRLQDKVCKLPLRTLLQIPPVGMPEAPLVAKP >Dexi5B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:5B:3382592:3388119:-1 gene:Dexi5B01G0005050 transcript:Dexi5B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTAAPPYTAAVAAASKGYLTFDVSFLGLLFIHGAGAAAHAVVALAVAVRLLLLFRRCGGGVAKDGVAAAASRRGGSFPCHGVAACATWALAAFQAALAAYTCYLYVATGSGWPRDTVLDVADAAARAVAWLLLAAYLHQLGSRRRLPAPLKLWWALFFLLSVLAAAAHVATSLDGLPVPARSWALDAVSVVAGVVLLCAGFLNGRDGGGDSAAEEPLLNGAHGTASGENTRSAAEASRFTGAGFLSVLTFSWMGPLLAVGHKKTLGLDDVPDLDPGDSVSGLLPTFTANLEAVSGDVSVSGQKAVTAFKLTKALVRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNADERYASKGQLLVLVFIVAKVFECVSQRHWFFRLQQAGIRARSALVAVVYQKGLSLSSQSRQSRTSGEMINIISVDADRVGIFAWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMQILKLQGWEMKFLSKIIELRKTETNWLRKYLYTSTVVSFVFWGAPTFVAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTVSMVIQTKVSLDRIASFLCLEELPTDAVQRLPSGTSDVAIEVSDGCFSWEASPELPTLKDLNFQARRGMRVAVCGTVGSGKSSLLSCILGEIPKLSGEVKICGTTAYVSQSAWIQSGKIQENILFGREMDSEKYERVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQEADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKDGRIAQAGRYNDILGSGEEFMELVGAHKDALTALDSIDAAGGSNESSPSRATEKLTRSLSSAEKKDKQDEGNNQSGQLVQEEEREKGKVGFWVYWEYLTLAYKGALVPFVLLAHILFQVLQIGSNYWMAWAAPVSKDVEPPVSMSTLIYVYIALAVGSSFCVLVRALFLVTASYKTAIMLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAFQMGSVAYAVIQLVGIIAVMSQVAWQVFVVFIPVVAACFWYQVKYAPQLPFVLKGLTVTFPGGLKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDGVDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDSCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSEATVITIAHRITSVLDSDMVLLLDNGVAVERDTPAKLLEDKSSLFSKLVAEYTMRSTHT >Dexi2B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:2B:28112274:28113938:-1 gene:Dexi2B01G0017730 transcript:Dexi2B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHPSPGATLNSQILAEACACAESLGGVKDGRWKTSIFFYRPMTRDGAAGQQGQAHADLPRELLGVALHDRPGLYFSIVRAAKLVLQADAAFPQVMEKLQSYKARVALNFEGFQYQLGDFCLRIGKCVPNNSEALRGIMMEVEYYPLSSIEKSRAIMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDQYSFQHTAVQYATCLQQLMTAVRG >Dexi8A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:8A:25215318:25224892:-1 gene:Dexi8A01G0014710 transcript:Dexi8A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRAWYLVTTLYARHCIATEIGNLKTRAQHVSERRTRYGVENLGGVEGSARSDTPRDRTAPPPRLIGTMAPVGIEHAMVELRPWFTDAKQGNDAHQLKFLAIVGFGGLGKTTLAMALYREYGDQFALRASVLASQKLHLKTVLRSLIKQLHEQQSGASKDDLVAWESIRDSFPSSGKGGRIVVTTRFKSVAEACRRQQGSFYEHKPLAEENSSKLFGQVISSIGYEICPSKPINGGIIKKTCGGLPLAIILVAGLVASKLEPDKLEFDNHLDEVDKSLSQDLGNNLTTEGVTHILNHCYHHLPADLKTCLLYLSMFPKGCLISRKRLIRRWIAEGFIAEKHGKIVEEVAEDCFNELICRNLVRAVNNSSNGKVKNCQIHDMVLEYIVAKSSDENFITIVGGHWQTPFPTYKVRRLSIQKSDRKEKETVERMKLSHVRSLTALGSFKALHSTLLKFQILQVLDLEGCKDLHFNNLKDICKMHQLKFLSLRRTDIEWIPKKIGRLEYLEVLDIRETKVKKLPASVEKLEQMVHLLAGNKRKRIALKLTEGVTKMTALQTLCGVSICTGSALEALENLTNLKKITIYKLESFTDRENNLLLSAIEHLSSCSLKFLAIDDDFTGFLDKTLNASQAPPEHLHTLGLSGKLSQVPKWIGSLHNLEKLTLSLTSLTTDNLRILAGLPELFSLIFSLDSTKKDASVLKILRDNTLQTDGIIFVEAGGFIKLKLLCFAAPVLPPLSFLEGAMPGLQRIELRFRMVNGIYGLENLESLQQVLLTISSQAPKDARAKASQIKELAGMINGKEDWVRAEGTYMAPAASKEVEGEQGEEEEVAPAAPEQQQNVDSAVNERSKKKIEELIISLASWRRRCSERLQAARLGHVTGSFEVRCLEFLESELSNTFVYLNEALSSQHVHDENEGVVECFEDLADTASTVVPDAIEALDQADPQCQNSMLPRAPQCFHCHHRRRYPYELLSKVLHFYHFAERVYWSLSRAIRRLGLSCQMAEEGGGIHPVLAWFDQQQPGRFYDFPTGSYQVMMCMIMFPYGYKFEKERLVQKVMRETSMPYSFFAQIGGADDCFEHMSYFVSKDQEGARSYFSKLIHHDIITQVVETSRRTNADESEAWQWNFSPLQHQLLASKSAEMGFAFTSATLNLLTAASATADNGNETTGRIARRLALHHDDPDIPSLLQNIDLSQTRSLKVSGGVGSRSVPLNRFINLVVLDVEGWENFGDEDLLRICTIKMFLLAYLSIRSTQVTKLPLEIKELCSLQVLDASYTQLTELPFGYSEATELRRLDIRGTPIRQLMPKQILGLQHSLEVLLLGGEGLVSSVKTATSLPQDIQRFQALRTLATADLSEQPANFISALGELRRLRVLAITWSFHQSSDRDYCEALLSSIQKWKHLHSLTIHCGLGCSMEFLGSLSGPPEELAKFKVIAGRFAVVPKWFHGFELLSFMEITICKLEPHDLETLRDLPKLRCLILGLDFMPREPIVINSEGFHALQRFSIECPVPWLTFESGAMPKLKYLQLELHPCPMNPFSIPVGINNLRSLEKVALWYNVRYANRFSVKRTVEAVREEVAKCRSAIQMIRLFINGIKQDDVQAVDEETENATRSSSGTGAGAKDDVQEVDEITEA >Dexi2A01G0030820.1:cds pep primary_assembly:Fonio_CM05836:2A:41613639:41627512:-1 gene:Dexi2A01G0030820 transcript:Dexi2A01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPRETDAPGGPSNGAAPPPPAAGDVEITKPRNDKREYRRVVLPNALECLLISDPDTDKAAASMNVSVGYFCDPDGLEGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSTNAFTSSEHTNFFFDVNSDSLHDALDRFAQFFIKPLMSPDATLREIKAVDSENQKNLLSDPWRMSQLQKHLCAENHPYHKFSTGNWNTLEVKAKEKGLDTRLELIKFYDSHYSANLMQLVVYGKDSLDNLQNLVEDKFCDIRDFGRKPFSFPGHPCTSEHLQILVKAVPIKQGHTLRILWPITPNVRRYKEGPCKYISHLIGHEGEGSLFYILKKLGWAMSLEAGEGDWSYDFSFFSVVIELTDEGQEHMEDTVGLLFRYITLLQTSGTPKWIFDEIFPPEDWLIASSVPSKFSPDAIQTILNELTPENVRIFWESKKFEGQTNLTEPWYGTSYSVEAVHPSIIKKWLEIAPEENLHLPKCNIFIPSDLSLKSVGEKVIFLKISLINFIWYTYAPFLIQVTFPSMLRKSPLSRLWYKPDTMFFTPKAYIRMDFHCPLSQSSPESAVLTDLFTRLLMDYLNDCAYDAQVAGLYYDVRANDTGFQITMVGFNDKMRTLLETVIGKIAEFEVKVDRFSLIKVIDNVFGESMTKKYENFKFRQPYQQALYYCSLILDDQAWPWDEEFSALSHLEATDLGFFLPHMLSKTFIECYFAGNIEPNEAKSVVQHVEDVLFNAPVSACKPLSPSQHLAKRVVKLEKGLRFYYPAMCLNHQDENSALLHYIQACFYILTHQDNVKQNVLLQLLALVGKQPAFHQLRSVEQLGYIVVLRQRNDSGVRGLEFIIQSTVKDPANLDARVEYFLKMFESTLYQMSDAEFKSNVSALIDMKLEKYKNIREESAFFWAEIAEGTLKFDRKEAEVAALRDLKKEELIDFFDDHVKINAPQKKILSVQVFGGLHTAEYQTIVQNAPPPQSCEITDVYSFRRSRPLYGSFRGGVGQMKL >Dexi5A01G0038720.1:cds pep primary_assembly:Fonio_CM05836:5A:39633958:39636459:1 gene:Dexi5A01G0038720 transcript:Dexi5A01G0038720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAAGGGLGAGFSYQKFVHVALEQTRLRTALATHPSQEKFKFIKTNEDNSVFNALSFSAPKIRLLRSLTIEQKNSVQVLDFAAFSEPEYDLPIFCANAFTSPSRSIVVLDLNPLFDTSEHKDYREKYFMNLMPLIDKYSELLPWGGKITSESLRFFSPVVIWTILEPTEANHQILYSAFMDYFKVWLELMDEAVQETSREKIDRNREAQHKYLTWRAEKDPGYPLLKKLIGECAAKDLVREFLFEGVSSLGTKSFLEYFPEYAQEDGTVNKKRSMAGKSFEARPWDAHGQFIGGDAGVW >Dexi5A01G0024510.1:cds pep primary_assembly:Fonio_CM05836:5A:28365908:28370614:-1 gene:Dexi5A01G0024510 transcript:Dexi5A01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEQEAANRSGDADTTTTFKEIYSKLKEELLNDHACFEFTDESLQWIDHMVDYNVLGGKCNRGLSVIDSYKILKGVDVLNHEEMFLAYTLGGNFILATIFNFQLQAYLLVYDDIIDNSLTRRGKPCWFRVPQVGLIAINDGMILRCHVSRILQRHFKGKPYYVDLIDFFNEIEFKTTSGQLLDLITSHEGEKDLTKYNMKSYRLIAQYKTSYYSFYLPVACALLLAGENLDNFTGVKNILVEMGTHYQIQDDYLDAFGDPEFIGKIGTDIEDYKCSWLVVQALECANEAQKRILSENYGKSDPGCVARVKDLYKDLNLEEVFRVYEMNSYNKFITDIEAEPNKAIQNVLKSFLFKIYKRNK >Dexi5A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:5A:1700561:1701723:1 gene:Dexi5A01G0002410 transcript:Dexi5A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAPSIILLLFLALLHPAAAAAARHRHRFSASLHAASPNASEPPTTFFEVDRPIRPPRGGAVSGPCSTLLLSGTFGATYDRPPVTAAYTPPACLSGGGALALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTKYAALLREPGEVAVYLGNLIDKTYTGVYHANLTLHLYFHAAPPQQQPADLIVPISRSLPLTDGQWFAIQNATDVQSKKLSIPSNTYRAVLEVFVSFHSDDEFWYTNPPNDYIQANNLSGVPGNGAFREVIARVDGEVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPSYDIDITPFLGKLLDGKEHDFGFGVTNALDVWVGP >Dexi2B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:2B:5478544:5479446:-1 gene:Dexi2B01G0005900 transcript:Dexi2B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHFSNSYYFGHGATTAAYAHCDTHYKNLQHYHGGAAMDASSSFHASTYDPHPYSGDYYCHSSSSTPWSSSSFAAPSSHHPQQLHFGGGGGGGGAMDEYYSYQFDGMGVAAMDQFSSLVGAASISSTTSSGNSSSHGSSYFCPQLEAVAADVDAPAMIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGGGAVLNFPVEHVEESLRALALTGGDSPVMALKQRHCIRKRLPKNKKAAAAKETSSSHGHGKQKQDADSNSCVLELEDLGADYLEQLLALSDHQ >Dexi2A01G0033340.1:cds pep primary_assembly:Fonio_CM05836:2A:43580289:43584394:-1 gene:Dexi2A01G0033340 transcript:Dexi2A01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVADRARRAVAASLRGPAASSSSRSAAPSPLAPARGHPAAPVGAAAMAAAMARAMSTAAVGTPPVTLDTLNPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTYFREVLSLCDHPALLDKSETHALYSSDAIERAWQILEKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARTLGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNVSGQILASLVMNPPKAGDESFESYMEERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLTQKAIGAAQAAGTAPDAYYAKRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEDKIPAIISRFKEFHEKFMDEFRD >Dexi9A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:9A:1741429:1742010:-1 gene:Dexi9A01G0003290 transcript:Dexi9A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQELRAGRAHRFVVFKVDDSLQQVVVDKVGPRESSFDDLTAALPADDCRYAVYDHDFTVGDATAVVADGEAPRSKIFFVAWSPAAADVRSKMVYASSCEGFKKELDGVQIDLQATEPSELTLDVLKDHFDQ >Dexi4B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:4B:5284440:5284790:-1 gene:Dexi4B01G0007400 transcript:Dexi4B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRLASRRRAAPGAQASGGGRRKRMAIARLGGGGGDGGGGGRKRRLFGVLRLRLRLRWPWLAAVYRRALRRLRASYEQALRELVDGTVLVGKLHAPAGVDCAHAASFGPMATVGF >Dexi9B01G0047940.1:cds pep primary_assembly:Fonio_CM05836:9B:46942235:46943889:-1 gene:Dexi9B01G0047940 transcript:Dexi9B01G0047940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQACSSGREAATARLWVPGMSPGPVVDAGSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGGANSDAPAAPAPPSEGKEQPADAVGRSPSGRPVAAGRPERRASNARRRDSGSAGGGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRPSRTPSISGGRTPSVASECSKRERDPDAETVKCWSLLWDRRWRKSSRRDPPGESTIRAASAAILKAAKHSAASPAKV >Dexi1A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:1A:1362115:1362339:1 gene:Dexi1A01G0002100 transcript:Dexi1A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGLLSEINNSMWPGLVGHQLTEVVGVIKSQRPDVHIKLFAATDPEPRDFDPHRVCLFVDDNFAVARMPVVG >Dexi4B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:4B:17370086:17374593:1 gene:Dexi4B01G0015580 transcript:Dexi4B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQDAMNGYEEEEEEEEEVEEVEEVYEEEEEEGDGEADDGTAATDAEAAAEAEGDAEMRSGGGGRGLAEAVGDGDAGGEEGRDADSGGGDASGKIFVGGVAWETTEETFTKHFKKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHVIDGRTVEVKRTVPKDEMSTKDGPKTKKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSQGRMHDLGGKQVEIKKAEPKKPGGDSSSNGRYSRGGGGGHRDSYRGSGGGGGSGSSSSGGYGYGYGGGNRSAAAYYGSTAYGAYGRGYGYGSAAGYGSGYGSVYGGSMYGGPYGAYGAYGGAYGGGAYGAPGGYGGIGGYGGYGGAGGMGGGGSTGGHGRGSSRYHPYGK >Dexi9B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:9B:587664:588251:-1 gene:Dexi9B01G0001000 transcript:Dexi9B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWAMAELIRKPRLLKKVQDEVRATTGRGCSRTTPQAQVPQDGAQGDAPATPSGAAAGAEGVTICGYDVPAKTRLFVNAWAIGRDPAIWGNNPEVFDPDRFDGSDTDFNGAHFELLPFGAGRRMCPGVAMGLATMELTLANMLYCFDWAMPEGMAPEDVNMEEAGGLTVHKKTPLLLVPTRYNTAGCSADEINLH >Dexi2B01G0028380.1:cds pep primary_assembly:Fonio_CM05836:2B:37042523:37044208:1 gene:Dexi2B01G0028380 transcript:Dexi2B01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNKALVCFWIAILLALSSVATATQFFYDNNRLIFTDVEDVSSLDDCFPAVTLGFTKDRHCRKPCCNDDDTYSDWICKDFLCFCCKNFENPKSCSDP >Dexi9A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:9A:450844:456680:1 gene:Dexi9A01G0000860 transcript:Dexi9A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPVKSPKAACAVRKEAASPHQRPHRAAVITTVGTERNPPPHRPPRRSTPATAMILRRLHLHARVSRRSLAPAAALCGTGPRAWPSAGLLRRLHGAAGGGASSEDGGKPGPLTLYRNLVSQGKLTHDSYQENVASDLENLLRRLQQYEMEMEDYHEKLYLWENSREKERRRLLVEEAEDKQRDGVWIDEKRGFLDKLVARRRRASIEPGVGKWVSYLNREKKLDKLVGHRPIAPVAPKGLYLYGNVGSVLNDYRISLIQISKNYLYIGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDNKSVQSSAFSWISSLPFDAKIKEWLIGEEKYKQETQQKHILLAVADKFLVDRQANKTGASILCFDEIQDGMQRDIFLELLSKLDENCNKILVGTEKDYRRLIPTEGSTQVHYFWPVTSDTYRMYEAMWHDITNQIGGDIVSVTIPVMFGRSIEIPQSCNGVARFDFEYLCGRPARRFITLIDELYNHHCRLVCLAASSIDDLFQGTEEGPLFDLESFQFETESEGTKLRRDVLAEGSVGLRPSTSGLVAILSGQEEMFAFRRAISRLIEMQTPLYVERVQHAHPSFQLQSPAVAIAS >Dexi3B01G0027760.1:cds pep primary_assembly:Fonio_CM05836:3B:23407052:23408981:-1 gene:Dexi3B01G0027760 transcript:Dexi3B01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLIIHGDRTRKILQEQQNKNKYWSGYFSNKAAWPDFTNSPPAGGGGFQGPPSTWTPWTWTPNAAPPLSVPPSPAPAPSPAVIAEPLPPPAHDQSPAHSIALPPQSAAVPADTSSRPVIAGVVPGARHSGHVSRHVYVIATAGASLVAAVSVLLFLLCYRSSKVVTVRPWATGLSGQLQKAFVTGVPTLKRSELELACEDFSNVIGCLSDYMVYKGTLSSGVEIAVVSTTKTSAKEWSKHCETQFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLFEHLHVREDGHLDWPTRLRVAVGVAYCLEHMHQLSPPEILRTLDTSTICLTDDFAAKISDVFFLDEPGKDEEEASLSTLADRESVVYSYGMVLLETMAGGLTASRGGLLESWAAGYLRGERQLRDVVDPTLRGSFFHVATVDRLDGVIRGCTDREPRRRMTMAEVARRLREITAMPPDAATPKVSPLWWAELEIISTEVN >Dexi2B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:2B:24515639:24522276:1 gene:Dexi2B01G0014700 transcript:Dexi2B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTAATRIPAAAAASSTSPTATTLYTDAGENLKVGPEYVGGLARPYQTVRSFPSGVRNCYALPTEAGSKYLARLVATYHGRNGSSAMEEYFDLHLGANYWDTVRVASDVAYEVLFVAWASWAPVCLLNTGHGEPFLSVLELRPLGEHLYPRLTPNQTMSMLGRRNMGGVCLPGIVLRFPDDRYDRYWWTTVGPQWRNSSTTQIVQPDPSFVEPVSVLQTAAAATGNSTTLSYAWQENRPTYSFMVFLHFADFQNAQRREFDIYFNGNRLGPSDRPYSPPYLASSTVSNSEWYRAADGNYNVTLVAAADTELPPMWNAIEIYNLLAFDTPTTFPDDCSELDKGASQEYSFFGWYYLTGRLTESSDVYSFGVVLLEAATGEPPMVPGHGHIVQRVKQRIATTGDVGSVADSRLGGAYDVSSMWKVVDTAMACTLDAGPGDGRPTMADVVAQLKDSLTLEDARENDRNVPVRALRSDDEDFLSSGPSAR >Dexi5A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:5A:25364905:25365498:-1 gene:Dexi5A01G0021560 transcript:Dexi5A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASLLARRLLLSRRFLSSPLRPFSTATTPSSSSTSSPSFNGSDAEPEPEHDQAPGDQDRQQAANHPRPPNTTRPLENGLDPGVYKAIMVGKVGQEPMQKRLRNGRTVVLFSLGTGGIRNNRRPLDHEEPHQYADRCSVQWHRVCVYPERLGTLALNHVKTG >Dexi1B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:1B:669027:670544:1 gene:Dexi1B01G0000770 transcript:Dexi1B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTFSPTASASASPPPPRPIPGSHGPPVIGALRDRLDYFWFQSQDEFFRRRAASNRSTVFRTNIPPTFLGVDPRVIAIVDAAAFTALFDSALVDKRDILIGPYNPGPGFTGGTRVGVYLDTDEPDHERVKSFAISLLHRSAPRWPSVLRSSVDAMLDSVDSDLAAGGGDSPSVNYIVPMQQCIFRFLCKALVGADPSVDWVVDRFGFTILDIWLALQILPTQKVGVIQPLEELLIHSFPLPSFLISPGYNILYRFVEKHGAEAVELAGKEHGIGEKDAIHNLLFVLGFNAFGGFSVFLPFLVAKIGDTGSSELRRRLREEVRAVLRARRAGDEEDDDGGEFGFKDVRGSMPLVRSTVYEMLRMQPPVPLQFGRARKDFVLRSHGDAAFQVAKGEVLCGYQPLAMRDPEVFDRPEEFVPERFLGEEGAKLLQHLYWSNGPETSQPAAGNKQCAAKEVVVDTACMLVAELFRRYDDFEVEGTSFTKLVKRQPAPSLSPATAGAKQ >Dexi3A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:3A:1266000:1270243:-1 gene:Dexi3A01G0001880 transcript:Dexi3A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVVLSSRELENVAQADSPEGSPVSSRSKLHASPSSWLLVISTALPPLIGSLAPRPCRRRADAAPRHYADPIHGRRPGTAAADPSAASDVSGIPGHRHSAVPFPLPAPRSRSSGRAPPATSAAPYSVQVGSLMPRNIKKARKAKSKKAKKVEASSSSNPAVASGPAKVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWSCLSFDVVCDQLGLVRSEFPHTFYGVAGTQMKKVAHTGCVNRIRSMNQKPHICATWGDTGHVQVWDLSSFLNSLAESGSATPAPKEDDIIHKHLPVKVFSGHQKEGYAIDWSDCNKCIHLWEPTPNSWNVDANPFVGHSASVEDLQWSPTEADVFASCSVDGTIAIWDIRKGKKPCISIEAHKDDVNVISWNRLASSMIASGSDDGSFSVHDLRSIKDPLVAIFKYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLEKDAEEEAEFRAKMKEQANAPEDLPPQLLFIHQGQRDLKELHWHPQIPSLIISTAVDGFNVLMPSNIGTTIPGDTDAAMASAEP >Dexi3A01G0033300.1:cds pep primary_assembly:Fonio_CM05836:3A:38075351:38082408:-1 gene:Dexi3A01G0033300 transcript:Dexi3A01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVFPGGLPEILGGFFIRGLGAVRFQARRRVFPSSPQRERRRFELRNPAISLMNYLMNLQSSSDETSRTGTGGSMDRVKHENFCRAVVEDNTALLLSAVGSFRKEALCRIRKGSDASRVLDQEMSTRLLHLACKHDAVECARMLLEGGSGITAATVDARDQLTRTPLHVAAETHSARCIELLLSKNARTDLRVIDGRPLLPLEIALTSKRVQTNWSLDNPIEDLLSFLQKRDLKAVRLLAEKTRVVGELAYRYAMEGRVPALAMLLLVVEEKISAQVSVVIEGVRTKRSIYTAIVDEALSMGDASTRDGNERRKSLLCEIQLLNQFGSASWREHNDRRTLPPLLRAAKVGDMNVIKMLLMGKVDVNEADSEGNTALHWCLSGGSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPTKTKETPLFFAVKNGSVDCVKLLLRFGADTKARNLRKQRPVDVATSQDMRFVLSSANVAPCKKSSPQKNHVMRKEICKEILGDEFDDLYYDDYGESYTGLNKSLGQRDLRSNNRSAQGPTKSKSQYVPKQGQGSKFVPRDNHWPKHDYTRKIFVGGLPPSVDSEYLTEFFNAEFGPVEEAVVIGIPIGNRVQSRGFGFVKFEREEDMISAKEAHHVYMLGKRVEVKDAVARAYLPFEERATSLKKFLKERPKETHSVLDGELTEEHNLQKRRPLPEKCLPSWFFIFRKWLPGFLEDATERLGGERYPLSSLKGDFRATCRMELDHTVLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPLSRPKYVPLLEPYSFDLEELPESVSDNHSPRSTLNTNITNDSNSETNVQIQQSDDACSKSNGQSQQGDQGSRSSAESLLDGSSSDNDSLLDDIPVSTTKLDLAEPVPAGKPDVIDSVPTRKPNMIESIPTRKPDMIESIPTRKPDLIESKPTRKPDLIESKPTRKPDLIESIPTRKPELIQYGSLPRNNESGPIRQPNLLEFGPTRKLEFIQSRPSTCFIDCPVEKPAVPPSSREAEMRFSFFQSQWDKYLTPYPKSDSCVICRSCEAAMQLVPCQHKICVACMMRCNVRACMACGTSVSGVKSSPGVDAPYTYTGFMEHVPDQRCQLMVVCRGAEAIVRCSPCMHTIACRGCLLASVTLLKTCTTCGCMIQHFMFG >Dexi9B01G0010130.1:cds pep primary_assembly:Fonio_CM05836:9B:6286385:6289845:-1 gene:Dexi9B01G0010130 transcript:Dexi9B01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKEPLDIFLSHDWPLGITEYGNWQKLIRAKNHFEKEVNNRTLGSKPAAELLNKLKPPYWFSAHLHCRFSAIIQHGVNGPTTKFLALDKCLPGRNFLQVIDIPSNPGPYEIQNEQLDTQDDRQWVRSKLTSRGLKPFDFVQTAPPFNPTNRVSSSSTIGSCRNPQTESFLQLLELPYLLDSSNSEGVSFGIEINESSSQPGNMPDDEDIELPDEDEEAADDDE >Dexi2B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:2B:10718803:10739591:-1 gene:Dexi2B01G0010010 transcript:Dexi2B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLIALHRGRRPQAGALGFGLAQICTTISKDSDTSRGGDMGMAVVAHEEYGDSAPRRDLAAFTPAPAAAAISRVGHRSGANSLAPSSRRRAGGRRRRRSRRAGGSRLFGPRAPPGLIRPRRRNVCRNHGVTDTSCLLMRNLLQASKVRFTVGSQVWVEDADVAWIDGLVEEVQGDELTVKCSSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLNEPGVLQNLKSRYAMNEIYVSLVVQHISSSFYAMYSCMPGALDPVLLMMNYGKSQAILVSGESGAGKTESTKSLMQYLAFMGGKAQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKITGAAIRTYLLERSRDRERYKLGDAGSFHYLNQSSCIKLDAMDDASEYIITRRAMEIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDERSQFHLRTAAELFMCDEKALEESLCKRVMATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDLTSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQKPGGIIALLDETCMLRNSTHEIFAEKLYQKFKGNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRRFDEFVDRFGVLLPEVLGESYDEVTATNMLLEKVNLTGYQIGKTKVFLRAGQMAELDALRTEVLGCSATKIQRKVRSYLARKKFIQLRLSATQLQAICRGQIARHYYEDLRREAASLTIQTCYRMHFARKNYRNLCSASTTIQSGLRGMAARMELHFRQQTKAVVIIQSFWRSYVARSRYMNLKKAAITTQCAWRGRVARKELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKTQENRKLQQKLQELQLQSKETKDLLKREQEIAKVALEKAALVPEVQVDTTLVDKLTAENENLKALVGSLETKIDETELKFEEMKKAREEWMKKAADAEAKINEVTNTMLSFKEKVTTMEAENQLLRQQALLRTPVRTIPENTSPKSNLTNGSPRSEQMTPHGTPPAPKDYGSFAQPRASFFERQHESVDALINCVSENIGFSEGKPVAAITIYKCLVHWKVFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSNSSTLLIMLQKSLKAVGSTGTSPQKRPQTQTSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKDLSSLLAYAIQVPRTVKASMVRGRSFGSSSLPRGRSFSNQASYWQAMVDSLNELLKILQDNCVPSIFIRKIFTQVFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQLEAWCGEVKPEYAGSALDELRHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLEEMRTMITKESNQDTLENTFLLDDEISMPISLEEIADSMDAKEFQNVTPPQELTAIPAFQFLKS >Dexi2B01G0034440.1:cds pep primary_assembly:Fonio_CM05836:2B:41690638:41694466:-1 gene:Dexi2B01G0034440 transcript:Dexi2B01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTRVRAWEATTTRKVLQHPQPVGRRRVSPMSAADDFETASSSASSSSSAGGDDGDGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGSGTYTGAAGDTYRGSWSMNLKHGNGTKSYANGDQYDGEWRSGLQDGSGRYTWRNGTEYTGQWRGGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDSPTGIVQQKGVYYPSPAASSPTARDPRDVFARDLPAFMGTSSNSASPRKARTSSVNRAANGRASSVSGLSNSSGGDRKYDKICIWESDGDITCDIVDGRDLGDEVVAARMSVRTDDGSDDRGLPPLSPAPHITQWVPPPEPKQQGETISKGHKHYELMLNLQLGIRHAVGKQGPIVLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGNEALRELSSPGTIDYDINSILSPHLSRGNTDQLLADPNRCPKIKLGANMPSRAELTTRKSDCELQLIGEPTGEYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDSTSISAVDPRQYSRRFRDFIYKAFQEDG >Dexi5B01G0038140.1:cds pep primary_assembly:Fonio_CM05836:5B:37404742:37405112:-1 gene:Dexi5B01G0038140 transcript:Dexi5B01G0038140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAGEAQQQPPDGAGGGGGGGAGGRGGSGRRCCCGGGGRGTRAVRLQCVAALVLGVAVLLSAVFWLPPFKGRGRGAEGPDPGDEFGVSAG >Dexi9B01G0040380.1:cds pep primary_assembly:Fonio_CM05836:9B:40947860:40949893:-1 gene:Dexi9B01G0040380 transcript:Dexi9B01G0040380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRPPPPQQPLPPPQQQPPPQQHSHALGIHARGLRAKARGLGLGLSAHAHGHASPANLRPPSRDGRSRQALQIRQKAEASHRLVSSADGEGDDGWNDHHHGLRMGQESRLAGSVR >Dexi3B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:3B:11634537:11636011:1 gene:Dexi3B01G0016040 transcript:Dexi3B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGCGGELRLEVEATASRGDGLLPVRRLLAAGGRDSDQSGKRLSESETVVALVVGSGVVITWAVNEAYFSGGSQPISTRLTVTASARNFPRRPRQNLPRPHVDPDACRPPAPSPPLARTRVPLDTSSRQQASPAKTRPGTQEQGSRASLAVVVVALLPTHVAHARSAPGTSLSSPLTPPPPFPNHQLSSLIYTQYHPDTDELPPDTPLPQSHAARALPRRGGTHAMRSERAMADRHQQLNGERPDSPSAATLLRRVQTHAPNSTQVVGFLTLLVSGAVLLLLTGITLTGAVVALVFLGPLALLTSPIWVPVAIAVSLLAAAVLSACGFAVAALAAGTWMYRYFTGRHPVGADQVDYARSRIADTASHVKDYAREQYGGYLHSRSKDAAPGA >Dexi7A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:7A:24234688:24237688:1 gene:Dexi7A01G0014250 transcript:Dexi7A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRRAARLLGLDSKLEKSLLIPFREIKVECTIPMDDGTLSSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHERGGKIIALGDVTGSIRNKAGIDIPALMKHRNDGGALKDFHGAEVMDSAEDNAPDVKAKFIIEAANHPTDPEADEIFAKKGVIVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNNELEKYMSSAFQHIKAMCKSLDCDLRMGAFTLGVNRVARATLLRGWEA >Dexi3A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:3A:14004970:14005191:-1 gene:Dexi3A01G0018330 transcript:Dexi3A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRQPRRPETGAAERYREMGVAAALSRPWDYPTACGELTALLRHGYADLPKAAQALVVSDVLLAFRLLPE >Dexi5B01G0028880.1:cds pep primary_assembly:Fonio_CM05836:5B:30157943:30160824:1 gene:Dexi5B01G0028880 transcript:Dexi5B01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNVTGQQVSDETAYGIHKSIEPSNGAPRLNSTDSETDNEKDGTEDFNLSKSLKDPIITNAEDKKVASYQNISSDTIEGLDEKKRGSIEDNTSTTQDDQSSCLPKDGESLRKEAFETTIFSTEIVAPVGTTIKDVTSNKDSSVPCEKIKQLMHGTREDTVEENIESNYKSLLQENTEDSVEDQEETSSHENASMDGNIDGEDTTEILEKGYIEVPKVELEMQEDTTSSVESNVSTYLDADDSEIKEVVIEDKPGQRDSPPDEQALYGINIETDKNDNIEAEMTHDIEEISAISKTATVKIMVESDEALEDDRHIHELGNQYENIIECTSCDNLCNSEVLAKCQSSSRNPIAINDRELGNELNERVVASRQISGSVTAKVEHTGIGTLHKDDGIVENIVSIWNLEDTEEYDGDLINISQVNANDFTGLHSSSLDHLVVNEVEVQRKINGVNLKVEFEEILEEGDKGEKPVKRLHYFRKCKI >Dexi7B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:7B:21758711:21761598:1 gene:Dexi7B01G0015700 transcript:Dexi7B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVLAMASAHDVPCPPPPSSPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGNILGINLNLPIDLSLILNHSTKENGDNARPADAPPPDGSGGPIRLRCRPQPPAPASVAMAGKASVALFLAVNMVVFAMASACGGNCPPSTPSTPSSPTPTPASFGKCPRDALKLGVCANVLNLIKAKVGVPPTEPCCPLLDGLVDLEAAVCLCTAIKGSILGINLNLPIDLSLILNHCGKTVPTGFMCL >Dexi9A01G0035110.1:cds pep primary_assembly:Fonio_CM05836:9A:39773294:39777091:-1 gene:Dexi9A01G0035110 transcript:Dexi9A01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYNDAMNHGCVADNDFIMDIILKEAGALFHRPSTLMDVGAATTWRRHLVMQAGWNPWRCEHGITLTSSPSSKPSKQMEHSAPPPPPPHPPVVARNVCFFRSAMATADAGTPPNPPPRCVSPWSLLPFARRCCITASMNRRRIIWPIGLSSSPSKSLLATGGHGGDGVVAGDGQLAVDTGPIGAAQTWLSAISLAQDEDDARHGSEDLCFMEPMPTGQARFCCATSRRLW >Dexi5A01G0033240.1:cds pep primary_assembly:Fonio_CM05836:5A:35599671:35604104:-1 gene:Dexi5A01G0033240 transcript:Dexi5A01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNGEATAPPPPRQQEPAPAAGSTSGGGVIASLEEQWRKTKEHAETYPYVWGSYILVYGGLGAYLAWRWGKLRRTEDRVRVLQERLRKLAAAEESQAASSSASTAPIPPPPQQPGAGPAKPASGPLAVLCLHGSSPIFLQLPISRATLHSEDLEAALGRRERKLLRAMPQVDLESLVCGVSGAGAGDRKVSCETVIAAGSGDASPPWMPPPPPPDPDFPPDSITIPIGDEAAFVDLNPIIYERDDSTKGSTNPKFASAVVSHHPTIAAKTRSNSTRATGGAPAAAAGTTFFGLPAKIRPAFSRRRPSQGRILSDKRAGGGEGEVEPRSPKVSCIGKGAAARAAGGGTASRPFGSGASRKKMALGDDHDGDEQQPGIAGMRRFKSGRRAASWGDEALAAAVAAAEEEDEEEREKADSEDAEQWLLRGETRPCRRRGRETVGPERLSPLGPSSRDGLWLADGDRRLA >Dexi3A01G0026060.1:cds pep primary_assembly:Fonio_CM05836:3A:22152979:22154902:-1 gene:Dexi3A01G0026060 transcript:Dexi3A01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSPLHSAITAGQWDAERLLGRLIVLVHAAFLDAGFVPLARDPCPAKVPRKAGRTASALSLRYGAPQLLHRHDAEAVVLGLLVHGSRHLILYVKCDPWPVERCVVLVDALAAAPLLSGGLDATARALRRDARLAALWRRLSDDLCRRALVVMCRRGGVALEGPTFMSLPGDAKAAVLSRLATGADLASVELVCTALRRLVAERDRQLWKPRYDALARRGASCLLPGELDCFHSPETSWKERYVTARRWKLPQSPLGTPGHTEVMRYYEYSFQGRLYDVEYLEAGIDRVQIQGFNAGALDLTNKAITAGAISSAEDAVMFLMVKHDNICTSISEEKNPAHIRSWQQTMAKGDADIKPQLPVDAIVPAPRRPSRIQQVVLASYGNPEGICSTYTAGSCQTPHAKEVAASSSTQYTCMLSVSAEVYGSDIKGPGTTAAVAVQAKRSKRSLDGLSAAARATIESVLPCGGGGGGGGGGVLF >Dexi8A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:8A:232881:234268:1 gene:Dexi8A01G0000310 transcript:Dexi8A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAVDARLSLFASRLSHHSFGDDDLRLLEAALSTGADVPALLATRSEARRLLQQHAPQAFAAPPPPLPDHHARSLATADFFARAFALVGDVQSCLAMRYEALLLRDAKYSGDHHLQVSHEEWLTFAKDSLHNGFYTIASKGD >Dexi1A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:1A:21003488:21003745:-1 gene:Dexi1A01G0014410 transcript:Dexi1A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTGFCLPRRQGRAAVARCSSSCVAAVACSTWSTRHRWIRRRRYRELGAEEVGDGRDNSEGGGPRRRGGRIQLLARLTCVEE >Dexi2B01G0029820.1:cds pep primary_assembly:Fonio_CM05836:2B:38164438:38167985:-1 gene:Dexi2B01G0029820 transcript:Dexi2B01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDTSATPGLSTAGGLKGKNTWKLKSIVTLALTLLTSSQAILIVWSKRAGNPVQDMEHQGVTEDNRLTTSFDEIRVYPIPAILYLVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKSDHVLQTPIQGWMMAIVSRDGSFKWFAGVYTEAIIKKRPSRNIYVQNFWLYIFGMLFNLVAICLQDFGAVINKGFFYGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFSFHISLAFFLGSTVVSVSVYLHSVGKPQQQK >Dexi3B01G0030130.1:cds pep primary_assembly:Fonio_CM05836:3B:29597850:29598651:1 gene:Dexi3B01G0030130 transcript:Dexi3B01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSREPPPRSRAPAPTTIHDLGEDLILEIFLRLPSLPSLVRAAFACRAFLAAVRSSPAFRRRFRALHGPPVLGVFLGQDVTDVRSYVPLRRQSDPGFSAAARGSDFFVNRVPDFLAGARWHVVACRGGFVLLFDWITRRIAAYNPLTGALDLFPAPPAGGGELACHGYHMVPCDCDEAPGSFRVVSLFRDGSQLRAAIFSSATRAWQILPWTGEAPAQQLVRRHKLLTGPQRT >Dexi7B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:7B:19299982:19300497:-1 gene:Dexi7B01G0012580 transcript:Dexi7B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVRPVPVLHRRVLLLLCGRAGRLAAGSMVLGAARQVVADGARLGQLEELGALLVRALVGRPLDAVAVDVPAAGPQRREVVAGEREVPEAVEREPAERVGHLAVEQVVRQVELLHLAQARERRRDGAREPVGSGVDDRGLLQQAELVGEAPAQAVVEEEHL >Dexi8B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:8B:22060170:22061111:-1 gene:Dexi8B01G0012690 transcript:Dexi8B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKGTSADKNHHASLPVCARKGGKASRSRAAALAKTRCSTKCAPTSETPMSGEVQEPQHRPWADLPADIIGVFVGRLALVEDRARLRSVCRPWRATARLHRRPSPPLPMLVMSDFTFASFCPEGTLTGAHRRVPLPESETTSDGSVHCVGSSEGWLVCVERNKGPYFCDLRCFLMNPFSLNVIRLPPPSVGARRFGAYRRSLPVVNGSGVVNCTINAAQCVMSICKVVLSTSPDSGPKCVAVAMVL >Dexi5B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:5B:3842062:3842799:1 gene:Dexi5B01G0005670 transcript:Dexi5B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGKDMEESLQLKQEGGSKVFSKLFTRESSAAAPSFRVYYGVASAGSVPFMWESQPGTPKNDAISTATLPPLTPPPSYYTAAQSHRRSSDSAVTSGAGGGKKHSTTKQYMSFLSTILPKIILHRRRSGRSSSSSSGSPSAASSCSSSSSSSAFSSSASVSFRSAQSPAACSSMRRRVYAFSVGDAGDGEEEEERPAATCFSGVRHESFRAFKGSRVAMTVKSALASVGGHGHGPTAATGQKV >Dexi3B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:3B:3740485:3742829:-1 gene:Dexi3B01G0005540 transcript:Dexi3B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTAAARRLLLLRCSPLLPRRLLSSSSAADGLDDGGGGGRTKIFDRDLKRRHRDRAAWAMREADGFVDAVAENLLDRLEDCRKAFPSALCLGGSAGAVRRLLRGRGGIEKLIMMDMSADMVRKWRGSENATDDGPETHFVVGDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDQYTVKYNSALELVEHLRAMGETNALFHRNPVLKRDTALATAAIYQSMFGLEDGSIPATFQVIYMTGWREHPSQQQAKRRGSATISFSDIQKQFGPNEN >Dexi6B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:6B:1292639:1294695:-1 gene:Dexi6B01G0001430 transcript:Dexi6B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGAATSWLTAVKRAFRSPSKDDSPSPARKSSRLRDDSPAAVDPSDADDKGKREQRRRWLFRRSSSPSPSPAAHPPPVPDPPRQHQSVTDDQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPGTSSGHHHHHHNHTTSFVREHYAAVSIQTAFRGYLARRALRALRGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSMSMSMSGAGVAPCGSSKSSYSVDTSAFWDCKYTQDYADRRSVERSRDGSSFAAGDDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQLWRNAPGQAAAEEMDVDGQQQPRWAERWMASRASFDTNRSTIRGAAAAATAPGRASMEHHRSSGEPVVKTLEMDTARPFSYSTPRRQSSSPMHHRAAAHHSSITPSPGKTRPPIQVRSASPRVDRAPAVGGGSYTPSLHSQRHNHHGGAAVPNYMAATESAKARARSQSAPRQRPATPERDRVAGGGGGGGWGSAKKRLSFPAVQQQAVDAQSLRSPSFKSAAGRFESEQRSTVSSSCAESLLGGGDAVVSPSSTTDLRRWLR >Dexi3A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:3A:11217831:11218125:1 gene:Dexi3A01G0015150 transcript:Dexi3A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVAGFIAGFAGGARLLLCGFLFLAVSAMRKRRRCRGIISPGFFCSPVAIYSDANREAGETCDGV >Dexi6B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:6B:18054590:18057736:1 gene:Dexi6B01G0011080 transcript:Dexi6B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGMLCRSQSATAVCVPGDARSMVVSRRADRTIVAADDLALHDVRYARLGGDVRRRSTSTSSRRFAAPNKPSKPAAKAAPPPPPPPPPPPRPAVVSGAAASCKPRVERRRGAGATAREAPVAVTLPMVTKSPSKEAAAKDLAAAAKRVSTAAALAAPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLA >Dexi5B01G0029010.1:cds pep primary_assembly:Fonio_CM05836:5B:30280096:30284427:-1 gene:Dexi5B01G0029010 transcript:Dexi5B01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGAAAAPAGAVLLLPLLLLAGVACPGAHAGLTSEYRRHLGSAIDMPLDADVFRPPPGHNAPEQVHITQGNLDGTAMIISWVTTSEPGSSTVAYGTSKDNLNYTANGKHTQYTFYNYTSGYIHHCTIKNLEFDTKYYYAVGIGQTVRKFWFMTPPKSGPDVPYTFGLIGDLGQSFDSNVTLAHYESNSKAQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSHRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGETMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNVVNGLCTPIPDQSAPVYITIGDGGNQEGLATNMSQPQPRCECHCHGTPSETKRSIQTPACARTGKQPLLPVPAHLPCPYDA >Dexi1A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:1A:22027966:22034929:1 gene:Dexi1A01G0015110 transcript:Dexi1A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVDTQKGAEEDLEMKVAKYSRGKGADLKALRDKKLKGQLTVKEKLYGQSAKAAAKAEQWLMPTEGGFLEPEDEDLEKTYRVKQESILKEVDILSSRKPFDMILPVLGPYTIEYTSNGRYMLVGGRKGHLAMMDMLNMDLIKEFQVRFLPSPLPIPIRPCLPSPTPPIRRHLQVSTPVPSLRSPFPSSSPPLEPPMHQACRRCHLPSSPPPPPPSLPAKLAAARPLLLRSHCPMPLPPPPPMPDVVNFASSLQLEGVLSSVGVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGVALKLQFLTKQFLLASINSFGQLHYQDVSTGEMIANYRTGLGRTDVMRVNPYNAVIGLGHAGGQVTMWKPTSVKPLVTMLCHHAPVTAVAFDRSGHLMATAGVDRKIKIWDLRKYEVVKSYRQQAQSLDFSQKGLLACSNGSEVEIYRDLGGHNYRLYMKHRMMKGYQVGKVLFRPYEDILGIGHSSGLSSILVPGSGEPNFDTFVDNPMETTKQKREKEVHALLDKLPPDTIMLNPNLIATVRAPKRKEKKTKKEIEEEMEEAVEAAKNIERKKKTKGRSKPSKRAKKKEEDVLRAKRPFLEESKEVDGRPDKKQRIGEEVELPKALQRFAKKPQS >Dexi1A01G0030820.1:cds pep primary_assembly:Fonio_CM05836:1A:35998297:36000855:-1 gene:Dexi1A01G0030820 transcript:Dexi1A01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVSPPQAAAAANQLAAASAAPASMRVVAAPRVSPASGTACRAASKGKEVLSGVVFQPFEEIKGELSLVPQKPDQSLARHKFVDDCEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMEYQNKRGGRVKLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFIESEFLEEQVDAIKKISEYVAQLRRVGKGHGVWHFDQMLLEEEA >Dexi7B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:7B:25655015:25657288:-1 gene:Dexi7B01G0020300 transcript:Dexi7B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLALGCSPAPSLPACSPTSRRKCSTTRQKTIRCSLNEETPLNKHGVVSKQLISCLAASLVFISQPSQAIPAETFVQPGLCQIATLAAIDSASVPLKFDNPSDDGSAGLMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSPSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIRGKCFLRFPTLPFIPKEPYDVLATDYDNYAIVSGAKDTSFIQVYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEYMSSDQLALMMSMPGMNEALTNQFPDLKLKAPVALDPFTSVFDTLKKLLELYFK >Dexi4B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:4B:997828:1000017:-1 gene:Dexi4B01G0001690 transcript:Dexi4B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGCVGGGGDVKYNSYKAPGLRGAFLEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDLVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEDSVHLVMELCAGGELFHRLEERGFFSEHEAAVLFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQRGRSLSGMVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGFPPFWGKTKSKIFECIRSTELRFPSDPWDRVSDSAKELVTGMLRRDPRQRLTAKQHSWMQEHADQSQDSCSHCHEISLRREDLGSCSFSMPLATESRDVSFNTGGLIACMSEDEACSPTFACRSSFSAFVNYTAPSCSGSGFSFGEACEPSGVAFSTPMASMPSFSFFCAQEESGEPEPSVSPGEDAPGEVPMAAAPFSSVRAAEATRAGRGSTSRGVGINSRRNHTIGAGEREHLDVAVAESVIRWASCTNFSTTHSLRASLVC >Dexi9A01G0034120.1:cds pep primary_assembly:Fonio_CM05836:9A:38917846:38921717:1 gene:Dexi9A01G0034120 transcript:Dexi9A01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPFTCRSLLAPAQQSHPRLPASIRLDLSHPKKPPADPKRRRHHAPLHPAFSAAARGRAKKIPVRDTDEPAAGVRVTDRGLAYRLDGAPFEFQYSYTEAPRARPIALREAPFLPFGPEATPRPWTGRKPLPKSRKEMPEFDSFVLPPLGKKGVKPVQSPGPFLAGMEPRYQAASREEVLGEPLTKEEVAELVKGSLKTKRQLNMGRDGLTHNMLENIHSHWKRKRVCKIRCKGVCTVDMDNVCQQLEGFLLFDPNVQPRLMLKSRVFIKVIDFDLLPAGKNGVYVNLVKQVREAFEACDLARVDCSGLNKSDCRKIGAKLKDLVPCVLLSFEFEHILMWRGRDWKSSLPPLEENNFEVTKAQEQFSGKEFNENVRHSGTVLTKIELADIATSHKNCNLDEGEQKLKGTMKSNHESDMVPSSAVEVPGLFHSTGLSGTEPSANTPSECSPINPVMDHSARSDHCPDDLEPHPGVTSIGEDLGTKRKGNEGVEGMDVLNSSEVPSYMEGVLCLLEQAIDSGRALVLTEDEFVDSDLVYQKCVAFTKSIPRELVFKHTQSKPSARRNGPDKHVRIKKHLAENRTLENKLSSSDVEEKDSSNEAIQRNSHAQKFLSDVVPQGTLRVDELAKLLA >Dexi3A01G0030840.1:cds pep primary_assembly:Fonio_CM05836:3A:35167806:35169174:-1 gene:Dexi3A01G0030840 transcript:Dexi3A01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPMLHTAKQLPPATVSLPIIDMSGSRDELRRAILDAGRELGFFQVVNHGVSEQLMLDMEVLAAEFFEMPEADKAAYYSDDIRNANRLCSGATYETGGERYWHDYLHLAYDFPVGDHARGVGMEILKLVCEGIGIRPDYFEGAISGGDMVLQMNHYPRCPDPTVAVGQPPHSDRSLITMLLPGPIPGLEVAYNGGWIKVKRIPGAFVINFGSQLEVVTNGMLKSIEHRAMTTSSEHPRTSAATFIMPAGDCLIGPAEEFVDGDNPPRYRSITFAEFKRAFPFPFLFPHNVGKLGPSLNLTTNDLQKAQKEI >Dexi4B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:4B:4673048:4674945:1 gene:Dexi4B01G0006680 transcript:Dexi4B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAGIRKGRLDTVSGRPWRRHHRTVLKNYPDGFDEQRISGYSLHRGLGVNALRVHGGEHVDMHPDVFRALLHFIYTDTMPAVDMGEFDGDDGKEMTRHLLRLKVMCEAILCESIDVDNVATLLALADQHHCKALGNACAVLIAASSNRMGNVVASQGYVRLKRACPDVLVDVLERAILPIPASRYRTNSHAPASSLPFLLLFPIVLSSHDRLDCAAVVDACPPPTSLTSRYWAVSSPVRRPSCSPDFVDVGNCSLRLAAPHVQSLQVHGFGCLLLQGDEHGEAAGAGPSLVARRPVELGHHQLLLPEGRCAAGRLVACNRAPSPPAASGEEWVPLTPGMLAGLNSLAGTLPPVRNRPVKPAAG >Dexi2A01G0022020.1:cds pep primary_assembly:Fonio_CM05836:2A:33950410:33950614:1 gene:Dexi2A01G0022020 transcript:Dexi2A01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATYPDTDENMEAIITHIEQKSRKIETLLHSVLSPFLLYHQRWLDGARGVAPQNPG >Dexi9A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:9A:11752558:11752884:-1 gene:Dexi9A01G0016710 transcript:Dexi9A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAEKRRVYTRPGRRRAAAVATSETAPSTSVCKLQLAEVAREPAAGVSSRRGGTGAPRWGYLWNGTRRGRLQAVPRRGSKGAGGAGSRRGGARMQLDGVRSHRVAG >Dexi1B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:1B:2452371:2453549:-1 gene:Dexi1B01G0003000 transcript:Dexi1B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTRRLLDRQLQDWLKVARRRRHRRRRPCNRKRRRNRWPPLLWVDDWNKGSLVYSLRLEAVVPPPSSAAGSPELFRPCVEPLIRWSEGEEKIEYNDLAAVSGGGTIVGVVAGSSRRTVVHDGATGAATPGPNLRRSKRRPIMLPIGDDDDTVLVMKTITHPRCHCIEALRRRRKLPGGGGGGWRADALPDPPLERGTHIMAYFAQGTRAWISLLHEGTFSLDVAAAGSAWRREGTWELPWIGRGILVQELGLVIGIAREGMAYTLDDPEHTRQYRHCHVCAVDVVEARPPAVRRVWEIPAERVDQVVPWEMVSLAHLGNGSFCMARSIEVDVDVPNELGHLYKGRGTSFTLADVRRLPGADDDLELVTHGKVQPHVWPWSHYGHASFLQPA >Dexi1A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:1A:2683711:2690000:-1 gene:Dexi1A01G0003690 transcript:Dexi1A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGGGPWLRSTNNFLGRAVWEFDAGDGTPEELAEVERARREFTDHRFQRRESADLLMRMQCAKQSSHRRDLPCIKLKEDEQVTEEIALNSLRRALDQFSSLQASDGHWPGDFSGIMFVMPGLGKTYLCIHLQIFALHVTNSLNAVISSEHRREICRYIYNHQARHYSLRLDLSSLFNYWPVNKLRGRALDNLMEHIHYEDENTQYVCICSVNKALNMVCCWVDNPNSDVFKRHLARMPDFLWLSEDGLKAQILRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKNKDGTFSTYECKRTSSWIEVLNPCESFPNMVVDYP >Dexi8A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:8A:29180322:29181140:-1 gene:Dexi8A01G0017480 transcript:Dexi8A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNRRNPPCRYGRFVRRRISSASARRASYSRSCADLRRSPLAAPELLVTGDGEGDGLLFGAAVGDGEVAGTGAAVGTWDLPPSDLLRSLRNPRAQRREPPREEEEDEVVEAEDAVEEDDDERDAAGVTAGSSADSVSGGGGGEAPVAEAAGDLRQCVRNGDKDRAFRRTERPRKRPRSSAAASRSTPSSSAVAAPAVDPGDAAGCWGWRRAAERRTGRIWPLQKSSSAEDMAAAEGEGKREEKSKSKSRTPLKSLPAPPLRVGLAGAL >Dexi2A01G0029160.1:cds pep primary_assembly:Fonio_CM05836:2A:40246840:40247515:-1 gene:Dexi2A01G0029160 transcript:Dexi2A01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIGDFGFARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIVTGQRNTGHHFYEQNEDIISIRCYQFSASCCKTNIFLGSKLSLLLQF >Dexi7A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:7A:13890172:13892076:-1 gene:Dexi7A01G0003800 transcript:Dexi7A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQTLLDHLAAGSPAAVKASFPLLVPVLLLLLLRYLATGAKTKQAATNRLPPSPPAMPLIGHLHLVGAHPHISMRSLAARHGDLMLLRLGTVPTLVASSPRAAQALLRTHDQSLASRPSSILGDILGYGPADVGFAPYGDGWRQAKKLVTTHLLNAKKLLSSFTTDMVSRVVAGQSFRVEGLDKKFKSVMEDSMAALGGFNLENFYPGLAKVAGGVLMWPVRRKGEKLRDRWDEVLDKVIDGHASMAAAAGASERHESDFTDVLLSVKEEYGITRNGMKGILSDMFAAGTDTAYLVLEYTMIELIQHQDIMAKLQEEVRSSIPKGQEVITEDNLTGMSYLRAVIKETLRLHPPSPLLLPHSSREDCNIDNYMVPAGTTVFVNVWAIGRDPSLWEAPEEFMPERFINKESKEGAIDFRGLDFQFLPFGSGRRMCPGMNFALANVEIMLANLVCHFDWEMPKGVNNIDMEEIFGLTVHRKDKLILAPKVTMCC >Dexi4B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:4B:8036747:8040437:-1 gene:Dexi4B01G0010690 transcript:Dexi4B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHVLRGVSFALVTAAIFSVLTPGSNDASMGQSSSMADVYKGELTPLQRHVAFFDRFRAIGCGVALSAASAVFVNAALGPGTKPENQKTPPFKFPIYVKNIHKGKHGSDSGVYDSSGRFVPERFEEIFKKHAHTRPDALTGKELQEMLQANREPKDFKGWVGGFTEWKVLYSLCKDKEGFLHKDTVRAVYDGSLFERLEQERKAKKESTKKK >Dexi2B01G0027710.1:cds pep primary_assembly:Fonio_CM05836:2B:36520225:36520815:-1 gene:Dexi2B01G0027710 transcript:Dexi2B01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRLLDPDASTDQWGSIEEPNLPCHTSERDEAAKDDPRPAESNTVGVYPSLQSGGSSLKRRQVARGQDGGGGYCTVDRGNLLALSMAQPTNLLSRSQHGERKASAPRGRSRAACSRLSSPPILSSLPRSTPRKAAAGIVMRPGRVLRATLEFLTLSLTRSVAWPCRPP >Dexi8A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:8A:6744878:6746902:-1 gene:Dexi8A01G0006510 transcript:Dexi8A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHYYFLYLGLALISLVIVLANRHRAAASATVHEKLRLPPGPWQLPVIGSLHHIAGKLPHHAMRDLARRHGPVMLLRIGELPTVVLSSPEAAREVMKMHDLAFSSRPMSATISEITNGGRDIIFAPYGEYWRQLRKIAITELLSARRVLSFRRVREEEVAAMLRAVAAAASTRHAVVDMRERLSAVVSDASARVVLGDRCKDRDMFLRQLELANQLVAGFNPADLWPSSWLARRLSSAVRRAKECQDKVFRIFDGIIKEHLDRMNSGQAREGEDLLHVLLKIQRDGELQIPLDMDAIKSMILDLFSAGSETSATALEWCLAELIRNPRAMERATAEVRRAFAACGMVSEHRLSDLRYLHLVIRETLRLHPPLPLLLPRECQEPCSVLGYDVPRGVAVIVNAWALGRDERCWPGGDPEKFRPERFEEDGGEVDFKGTDFRFLPFGAGRRMCPGVTFGLANVELPLASLLFHFDWEVPGMAGLAKFDMTETFGVATRRKAGLLLRPIVRIPVPGA >Dexi5A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:5A:20055790:20060512:1 gene:Dexi5A01G0017040 transcript:Dexi5A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGSSTPSASSPDGRPSSPLPVTNSSPPQSTRRTGGRRRRGSASPYASSPSLGGFETPPHPSRRTPSGAGAGAARQQRQNWTGRFPPTPSTPMSTDDIPPSSEAGDDETDGIGAGIDTVPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGAETLDVDAHDVFDHDPDLYSKMVRYPLEVLAIFDIVLMELVGRFEPFFEKHIQTRIYNLKSSICLRNLNPSDIERMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSDPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDTMDIDSSDANKSTEEDFLNDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNPLRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLAPTLLSRQLEELEVLDLPTLVAYISYARKYIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRENLVAATRNLIMEKMQLGGPSMRMSELLEEMRKQSSMEILLHDLRGALGTLMTEGAVVIQGDNVKRV >Dexi6B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:6B:5172864:5173790:1 gene:Dexi6B01G0005690 transcript:Dexi6B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKQSLSVLVNGLPCKDVKDVKVDDFFLAAELDKPRDTIMSKVKSNVTLINVMKLAGLNTLGISMARIDYAPQGHSPLHTHPRATEILTFLKAHSTYDKPAVAIAALSSQNPGAITISNAVFGSKPSIADDVLAKAFQVEKKVVDWLQAQFWEDNCYIIKVVR >Dexi8A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:8A:29085514:29085954:-1 gene:Dexi8A01G0017350 transcript:Dexi8A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPVASVEKIVKIGLKIKEAVDTVRKNAEACQEIRKRALRFSAILSQLQQTGMLSNNPAMSGALEDLEETLERALELVTACQERSTIRRFIAAGDLSKQLHHVKDDILNKVMLASFAINTNTTIMMFSIHAGVVQ >Dexi9B01G0021580.1:cds pep primary_assembly:Fonio_CM05836:9B:16311452:16318884:-1 gene:Dexi9B01G0021580 transcript:Dexi9B01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIARPRPTNWLVDCYPVNNGENRALNPPPRYCGGSCRIYGVRRRRLPNQRLLFLRGHFLLPGLPKSVQLARTLESVRYTQRTCAPHSSPRSLSLSSLPSSLPFHYFPLEQRSTASRVPRNAAICLPRRAPAQFPAAVLLASPPQSEGDPYPRLDGGKALRQPTDWPVALPRASPPPRTGLHIKLEDGEQLLCCWLAKSRRPLGLQQLQRIQSFITSTFRHKPPFGVTTTTCTPCALVCGEDKD >Dexi3A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:3A:7401849:7402853:1 gene:Dexi3A01G0010390 transcript:Dexi3A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTWLPFAMAALLLVVAASVAHASHLKVGFYKHSCPQAEEIVRDAVRRAIAREPGVGAGLIRMHFHDCFVRGCDGSILINSTPGNKAEKDSVANNPSMRGFDVIDEAKAALEAHCPRTVSCADVVAFAARDGAYLAGGIGYDVPSGRRDGRVSISDEVLKNNVPSPTNHLRELVESFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQLGKTDPSIEPAYAEHLKQRCPWPSSNGQMDPTVVPLDPVTPASFDNQYYKNVLAHEVVLTSDQTLLDSPLTAGIVKFHSAVEKAWEIKFAAAMIKMGMVDVLTGHEGEIREKCYVVNNH >Dexi5B01G0034430.1:cds pep primary_assembly:Fonio_CM05836:5B:34662272:34663905:-1 gene:Dexi5B01G0034430 transcript:Dexi5B01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRARQLHAIFLTSGSHDADKWAHLLKEYASLSSLREAALVYARNLPRRTHHQRLLPVLLKAAAAAASRTAHGLGRSLHAEALKSCFSGDLLVGTTLVSMYCKCGALEDARMAFDETPDRNVVTYNALLAGYAAAGDMDAALALFDGMPSWTSVTWATLIRGFAEKGDMAEARRWFDATPPGMRNVVTWTVVVQGYVAAGDMETAREVFDRMPVRNAFVWSSMVTGYFKAGDTEAAQEVFDRIPRPNLVNWNTLIAGYAQIGCSEKALQAFHSMMEERIKPDEFTMASVLSACAQIGSLEQGKKVHDFINRKHIRKNHFVMNGLIDMYAKCGDLAYARGIFDSMRWKNIECWNTMISAHASHGQSEEALQLFFMMERSEQKPNVITLLAVLGACTHGGFVDEGLRIFNNLEAYGIEAGVELYGCLVDLLGRTGKVKQAYEIVKNIPEEPNEVIWGSLLGACRVHGDAEMSRLVSDDIRRLHSRRPSSNDAEYIMLSNIMAASERWEQAEQLRKMARHGIEKTPGCSSLELGIPESQ >Dexi8B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:8B:21350149:21352355:-1 gene:Dexi8B01G0012080 transcript:Dexi8B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAAAPVAAPLATDAQLLAAAVEAAIASRSARLGRAAHARALRLLAAAVPPFLRAHLVNLYSKLDLPRPAAAALASDPSPTVVSYTAFISGAAQHGRPAPALSAFAAMLRLGLRPNDFTFPSAFKAAAAVAAPRPAAAIGPQVHGLALRLGYLPADAFVACAALDMYFKTSRLALARRLFEEMPNRNVVAWNAVMTNAVLDGRPLETVEAYFGLRKAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGFEMDVSVNNSMVDFYGKCRCVEKARAVFHGMGVRNSVSWCCMVVAYAQNGGEEEAFSVYLGARRAGEEPTDFMVSSVLTTCAGLLGLELGRALHAVAVRSCIDANIFVASALVDMYGKCGGIEDAEQVFFEMPQRNLVTWNAMIGSYAHIGDARNALAVFDDMIKCGETAPNYITLVNVLAACSRGGLTREGYELFKTMNDRFGIKPRIEHYACVVDLLGRAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDVRKEMKNVGIKKEPGRSWITWKNAVHVFQAKDTKHEMNNEIQALLAKLRSQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICADCHRAFKFISGIAGREIIVRDNNMFHHFQNYECSCKDYW >Dexi2B01G0032150.1:cds pep primary_assembly:Fonio_CM05836:2B:40100758:40105524:1 gene:Dexi2B01G0032150 transcript:Dexi2B01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVIGVVLLFLAAAPFGANANTDSNDVNALNVFYTTMNSPPQLTNWVSQNGDPCGQSWLGVTCSGSRVTTIKLSGMQLNGTLGYNMNQLTALVQLDMSNNKLGGSDIPYNLPPNLESLNLAGNNFTGTVPYSISRMVALRNLNLGHNQISNINDMFSQLTNLTALDLSYNNFSGNIPQSFNSMTSLKTLYLQNNKFSGTIDVLANLPLNDLNVENNQFTGWVPDKLKGINNLQTSGNSFDNGPAPPPPPSTPSSPSSSYIPPPSWRPAVPSTDGNNNPAENGGKGKHSKLGGGAIAGIVICLLFVGTLVAFLVIKRKSWRLSRGQDPEQNEPLSPLASGLKQMKSIKSIKIISTIGKEELQKTLSSFDGSKFQVLAVKKINFSALPSHPSGLFIELVANISRLSHPNLAELTGYCSEHGQCLLTYEFYRNGSLHDFLHMKDEHSKPLSWSNRVKIALGSARALEYLHETCSPSMVHKNFKSSNILLDSELNPHLSDSGFGDLIPNQEFQESDENSGYRAPEVSMSGQYSLKSDVYSFGVVMLELLTGRKPFDRTRPRPEQSLVRWATPQLHDIDALDQMVDPALQGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRASMTTRTHESHPRRHGESGGDYEF >Dexi9B01G0031950.1:cds pep primary_assembly:Fonio_CM05836:9B:34256577:34258266:1 gene:Dexi9B01G0031950 transcript:Dexi9B01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRGGDVGEVAAAVLGHRHVRREPTIWPPTFYKPPALLGNPCRNHRLLGELPAIAVAVAIAAALVAAAVVLVIEALGVEVEHGCQRGALLDDSKRISLAFSIAPLTSSRISPFGNISTHEPWNVMTALSHAWRSCAGPSTPRHATLASARATSPRQSPRSIRQSRMASHTSVSLLCRTASSTVDRSKPSSSARSTAVIAAAAAACGCSEGSGERDRARSSVSWQSAGAQKSSTLRIVLLMLRWPSPSSSPDFSVRKKATVPTTMATSRKADRTPTTSRLREWPASESDDDIVVAACLARGGAAGAGWIARRRARAKS >Dexi4B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:4B:17046133:17048164:1 gene:Dexi4B01G0015370 transcript:Dexi4B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNASYQHQHQQLGGVDAMMSGCFVSGGADAPAFHDASFGFPSPADVAGGTFFVDGGGSMLAAGQLQLIRAAAPPPSMSPEQTRGACYGVGGGYGPSPSDDVTVAHAPYHCSSTWFFSGDGGGFRDPFAAAAASDLSLRLPAPPESAFSLPAGALNVSLTDQSSSEVSCSGLTHASSSVAAAAGVFRPSSGGGGGGEMAARPPGPLHFSQVLSRWPGYSHITQETLDEFVGCLLQDVAGFAGGEASCPLPMSSCSNTTSSDPSVFLGSEEHAHHKLKNDLQKLLQLLDQGCNQWMDEIQSAACKYGGMVRPGTGVLLAAFANRAVSAMHRRLRARITGEIAAAAAASRRRGSPSPAVTLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAVRSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASGGGDGVVA >Dexi3B01G0022430.1:cds pep primary_assembly:Fonio_CM05836:3B:17248582:17258783:-1 gene:Dexi3B01G0022430 transcript:Dexi3B01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWGRPSTLGDVRNGLNWIHGPWAKIAVTHKYAPEVGSSCGPNPTRAMPLFIHAILPGYNKTTILPANLSLPCLSCLLSLSALHAAYKSPIFPNVVRRQPGYGRTGGDGLRFSRIVMVRSCSRPEVDDCLWIRFSCTSTLSGMNRIIAAHPHLHGATGLAMERYGYHPTTNRPSRARYQHGNTVVSGASIVMPCPPSPLTAQVEHGDLSRTNTTCDQRLSPRDLAPPLPASDIDSDKLDAARFCQFPALPSSSSTFTAVIAPIDSRNYLINAVTT >Dexi8A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:8A:2227985:2231074:1 gene:Dexi8A01G0003100 transcript:Dexi8A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGTGVMALALVLTLAAALSVAPPCLAVTSPYVRPPPRATLSLHHRRLDDDDDDQTPQQVHISMVGPDKMRVTWITDDDAPAIVEYGTTSGQYPLSATGNTTTYSYVLYKSGNIHDAVIGPLQPSTTYYYRCSSSSAREFSFRTPPATLPFKFVVIGDLGQTEWTDSTLKHIAAADYDMLLLPGDLSYADFIQPRWDTYGRLVEPLASTRPWMVTQGNHEIEKLPVVEPTPFVAYNARWRMPYDAGTTPSAYNLYYSFDVAGGAVHVLMLGSYTDFAAGSPQHEWLRRDLAAVAGRSTPPAFVVALVHAPWYNSNEAHQGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFQRVHAGEEDPCAPVYVTIGDGGNREGLAGKFVEPQPAISAFREASFGHGRLEVVNATHALWAWHRNDDDEPVVADQVWITSLRANPACHGGNRK >Dexi5A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:5A:17387459:17390003:-1 gene:Dexi5A01G0016440 transcript:Dexi5A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATPAEAEAALGRSMTWAEAAWYQYSAAMPDSWLHCHTTFILFVIYTITPLPLVILEQFAPSVVLPYKLQPRVRLPLAASLRCYVEAACFFPLAVGLQLISYPAAVKVLRTRMGLPLPSVRETVAQLVVYSLLEDYLSYWIHRLLHTQWGYEKIHRVHHEFTAPTGFAMSYSHWAENLLLSIPALSGPVIVPCHITTQWLWFSFRLIEGINTHSGYHFPFSPSKLIPFYGGAAYHDYHHYAGGRSQSNFAPLFTYCDYIYKTDKGYRYHKLKQAKLKSLEEDNVEKKGGSDAFSTGKKD >Dexi4A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:4A:20785495:20794214:-1 gene:Dexi4A01G0017030 transcript:Dexi4A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGSGGGLGGGIISSPVTEDDKFARRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSAPTSSGRSSAEREDGDDTDEGFRRPPVIFLPDVDSSSPGSAAGSMASTDDDESFFGPVSTSFIQIERPPDSGMSEDDNHDITMDSRTFSLHFRNIAPPDDCTANSAASLMTPNMASEGPLKEMNSGRTLSRDRTDMSLLTGKGPLKELIVSDSGRTLSTDRTDMSLLSGNPRCYDYGKLSPTLCSMVRKVKGDQQTESPNSSIADVSLDRVLTLSASGEENREANLCTGNGISSDELPTINSVGHISMSHPVSTSTRLIQEDDEIIIDGHENSKVRLDPFRLHTKLQESPAASQLPLAEQSSLMIEGKQNGPHDNGKLENVDWNKVLCSISKATEQILSASTMKLDMLSDKLDEVHIARKYRRFSTAVRIKDYSGDRQQRLEEARSLHEKLFYAKAKLQINNMKLTKLKKKAQLYQDGIEECRILKSKILGASQMKDACLPGATSVSATDKQEELPILIEKRLELNNIQQKVENLRSSLACFRNIECDISCDSIMKHAEEQLNIRNLCHFIHQQAGLCELKDMVKKRNRRDLILNYHNLLFQRVILNTSDMPSIFVNNSLNGTKIGQTYPNLDATVAFNFIFKAEESQRIRQLSLHLCFMSFKSGKKIAFQIDMTDLNRSVYPSDPSELAIKICEAQTTLSQPNIEETMASIKNLQPGHT >Dexi4A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:4A:19858746:19867448:1 gene:Dexi4A01G0016260 transcript:Dexi4A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQPLRLEIKRKLAQRSERVKSVDLHPTEPWIMSSLYSGSVCIWNYQTQTMVKSFEVSELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKVKMFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQITFNPKDTNTFASASLDRTVKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWSLGYMKGSRRVVIGYDEGTIMIKIGREEPVASMDNSGKIIWAKHNEIQTVNIKAVGAEAEIADGERLPLAVKELGSCDLYPQVDVSYTFLISKERKSIRPAFSAERIYGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNVYWADSGDLVTIASDSSFYILKYNRDLVSSHLDGGASAGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANTVLPSIPKEQHNSVAHFLESRGMLEEALDIATDPNYRFDLAVQLGSLEVAKAWCHYIEIAVEARSESKWKQLGELAMSTGKLEMAEECLLQATDLSGLLLLYSSFGDAEGITKLASMAKELGKNNVAFLSLFMLGKLEECLQLLVDSNRIPEAALMARSYLPSKVSEIVSIWKTDLQKVNSKAAESLADPAEYPNLFEDWQIALNVEATVAPKRGVYPPAEEYTTYAERSNESLVEAFKSMNVEEEASSENGEPAHEVIEDDGVEESQEDAVEVEPDDSVDGGVLVNGNDGEEHWGMNNE >Dexi5A01G0034180.1:cds pep primary_assembly:Fonio_CM05836:5A:36318517:36319572:1 gene:Dexi5A01G0034180 transcript:Dexi5A01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLNLADNYLTGGIPVKLVSLSLKLSDIDFSHNQLSGPVPPQLLMISGDDAFSENAGLCVADTSEGWKQSITSLRPCQWSDNHRNFSMKRIFIVLVTVIFLVALLSGLACLSHENYKLEEFNRKGDIESGSDTECKWVLETFHPPELDPEEVCNLDRDNLIGCGGTGKVYRLELSKGRGTVAVKELWKRDDAKVLKTEINTLGKIRHRNILKLNAFFTGGASNFLVYEYVVNGNLYDAIRREFKAGQPELDWDKRCRIAVGIAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPGKFIL >Dexi5A01G0025420.1:cds pep primary_assembly:Fonio_CM05836:5A:29269785:29271868:-1 gene:Dexi5A01G0025420 transcript:Dexi5A01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSTPRAYSAAAAAGASPTTPAPYGGAPPPAMSKTAEFVVSKVDDLMNWARKGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRNVNDVGEDMLCACCLSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWSK >Dexi2A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:2A:14941029:14941431:1 gene:Dexi2A01G0012800 transcript:Dexi2A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTAALLFRRRAAAPRPLKPHVAGLSTAEGHDALDDCGRSGGGEASRKRWVELPPFAPLDANAAARAISRGGEGEGASSNATAIRWVRRCCPHMPASLVQKLFRLRKGLFGLK >Dexi8A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:8A:16956590:16963334:1 gene:Dexi8A01G0010230 transcript:Dexi8A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYHMLFGTAATQPSSSTSNSYNFMGAATGSLMRDHDRGQPGSMSGSFMAELSGNSSKDGGASPSAAAGRGSYYRCTHQGCNVKKQVQRLSRDEAVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYYGMGSNFSSGHNMFH >Dexi9B01G0035670.1:cds pep primary_assembly:Fonio_CM05836:9B:37257127:37258447:-1 gene:Dexi9B01G0035670 transcript:Dexi9B01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAICGMNAASWARSEERGVAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGQFIAIKRAQQGSMQGGHEFKTEIEMLSRVHHKNLVGLLGFCFEQGEQMLVYEFMSGGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDSERGHVSTQGYLDPEYYMSQQLTEKSDVYSFGVVMLELIVAKQPIKKGKYIVREAKRLFDASDAEFCGLKEMIDARIMNTNHLAAFGKFVQLALRCVDEVATGRPSMSEVVKEIEVMLQSEGLGSSSTSASTSATEFDVTKGAPRHPYNDPPSKKEKEVSTDSFEYSGGYSFPSKVEPK >Dexi9A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:9A:8977310:8977850:1 gene:Dexi9A01G0013760 transcript:Dexi9A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAKKNGGLGAMPALGWWLMAVGALRIGLTLSGFFDATSLGAATYAQAEVTGVHGRTFGVWTLLSCTLCFLCAFNLGNRPLYAATFMSFVYAYGHFILEYLVYHTFTAANLGAYGLVAVTSIVWMLLQWNSHAPRAAKRA >Dexi6B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:6B:22681498:22685022:-1 gene:Dexi6B01G0015330 transcript:Dexi6B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAMASTTLFLPTAASAAAAATARRHPFFNLRTPSQVPTARLRRAPGLAVVSASASPASPPASSLDALIFDCDGVILESEHLHRQAYNDAFAHFGLRCPPSSSDPLYWDEAFYDELQNRIGGGKPKMRWYFGENGLPSSKVFETPPSTDSDKETLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITAAEKLGVESKNCLVVEDSVIGLLAAKGAGMSCIITYTPSTASQDFTDAIATYPDLSNVRLEDLKLLLQKTLVTG >Dexi2B01G0024500.1:cds pep primary_assembly:Fonio_CM05836:2B:33921461:33926422:-1 gene:Dexi2B01G0024500 transcript:Dexi2B01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASAGMKPSALDLVAALLTGRGEGAEGGGGLLAENRHLLVLLTTSLAVLVGCGVALLVRRSAAPRATAAAAAQAQPRALAAARPKDEPDPDDGRQRVTVFFGTQTGTAEGFAKALAEEAKARYDRAVFKVVDLDDYAAEDEEYEEKLKKENIALFFLATYGDGEPTDNAARFYKWFSEGNEKGEWLSNLRFGVFGLGNRQYEHFNKIGKVVDQLLAEQGGKRIVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRQEDDSSAAATPYTAAIPEYRVVFVKPEDATNINKSFSLTNGHAVYDIQHPCRANVSVRRELHTPASDRSCIHLEFDIAGTGLKYETGDHVGVYAENCIETVEEAEKLLGHSPDTLFSIYADQEDGTPLSGGSLPPPFPSPCTIRTALTRYADLLNSPKKSALLALAAHASDPKEAERLRHLASPAGKNGTNKNSCDMCSSIWADPYWKNPQRSLFYLDEESEECSWAPIFVRQSNFKLPADPTVPIVMIGPGTGLAPFRGFLQERLALKEAGVELGRAILFFGCRNRKMDFIYEDELNNFVDTGALSELVVAFSREGPTKEYVQHKMAQKAAELWGIISQGGYIYVCGDAKGMARDVHRTLHTIIQEQGSLDNSKAEGYVKSLQVEGRYLRDVW >Dexi2B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:2B:649671:650807:1 gene:Dexi2B01G0001070 transcript:Dexi2B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMERMIRRFVNIVAERRSGHKYTLHRLDVSKHLFFPSTAAAEAANKTNGKVSILPSLPAPTMRFESAPTTLWDAGKLEMFALVSPRSCEDRILCSNTAGHTMLYNAYWGCIQAMPSLSCGKGSTPMAISVARPGASEEDLYVMNTSVDLGYGLSSFADLRGPSSFDVLRFGSLGSDRGWRSDPLPPPPFAGNVRSYTVVDGGSTICVSTFARSGGGTYCFDTVKREWRQAGGGWVLPFYGAAEYVPDLKLWMGFSSRDRQQLCAWDLSGVAKDDKPPTLEHSWTDLKTPKEWSPTRISLINLGKGWFCIAKTFRVVNGDDGWESFHLDSVVDKFAVLTGIQMVTRGGGQDDQEGIEMIRHKSIRYMFTNEMIRWVL >Dexi3A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:3A:4995188:5003191:1 gene:Dexi3A01G0007350 transcript:Dexi3A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVRLIVGIIGSVVCVLLYAVPVLTFKRVIKEASVGEFSCIPYILTLFSALTWGWYGFPVKFVILMLSLVLAIISMIVFLSIYIFHTHHMRKLFVGSIGIVTSMSMLSSPLVAVKEVMKTKSVEFMPFYLSLFSLLTSLIWVVYGILGRDPYIMAPNGVGCLTGILQLVVYCIYSGCREPPKTLDCVEQINDLEATTIAEDANG >Dexi9A01G0033710.1:cds pep primary_assembly:Fonio_CM05836:9A:38577880:38579366:1 gene:Dexi9A01G0033710 transcript:Dexi9A01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGGVEAWHLGVLCLIGHCFLLGAYLVIQGIVASCMSYAVMTWANKILGPSVVALYNPLQPAFSTILSTIFLGAPVYIRSIIGGGFVIAGLYIVTWARYNEAQRALMEGYLDPPLAVYPRVPKTQESAC >Dexi1A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:1A:7291708:7293098:1 gene:Dexi1A01G0009100 transcript:Dexi1A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGGSAAAADEPSAASTSAPAQPRSMPRLEIEGYAVEGISIAGHETCVIFPSLNLAFDIGHCPPSAVSQDLLFVSHAHTDHIGGLPLYVATRGRRRMRPPTVFVPACLADLVRSLFEVHRAMDQSDLDHKLVPLEVGEEYDLGKDLKVKTFRTYHRVPSQGYLIYKVKQKLKDEYAGFPAKELISLRKLGVEITNTVSTPEIAFTGDTMSDFILDPDNADVLKAKILVAESTFIDDSKSIEDAREKGHTHLSEIASLSDNLENKAILLNHFSTRYTAEVR >Dexi5A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:5A:8696512:8701938:-1 gene:Dexi5A01G0011580 transcript:Dexi5A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAVRGCSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDSGDAAKMDAIKNCPLRTIYFESPCAFPEIDSFTYETVSTYGLPLETIQSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDQGYTSIGSIHDTVPNALLSDSSSEKTFRPAYMLTDGRLERAGRTKKTSHKIEMNSVASNGMNNNEAEQMISRAASIIVVSDEILFGITEDKLGTALCKKLHAIGWRVSHVAVVRNEIDSVAEEVERCKSTDDMVFIFGALGPLHSDISLAGVAKAFAVRLAPDEEFEDYLSQLMGSNYTGDRNEMALLPEGITELLHHKALPLPLIKCRNVITLAATNLDELDTEWDCLLDTQESGLVQAKPFVSKHLRTTLSDVQIAPVVAKLCLEFSDVYIGCHRISRAGPLVVNLTGKVDDEFYG >Dexi1A01G0027150.1:cds pep primary_assembly:Fonio_CM05836:1A:33007442:33018178:-1 gene:Dexi1A01G0027150 transcript:Dexi1A01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAATESNDTLLGNGVVGILAETFCTSIMVLKRLGMSLPGQLQMILPDRAYAFFSHTHKAQKENMALLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQQPHSVILNVYNLQADYYAHPENYTPVFHERIAPYASVIVNCMYWERRFPRLLSTYQLQQLMKSGSPLVGICDITCDIGGSIEFVDKSTSIEKPFFRDLAPAKASPLPDKKYSTLVSLSGHLFDKFLINEALDIIEADGGSFQLVRCEVGQSIDDMSYSELKVGADDTTTLDKIMDSLTSLANAHGGDHDAGKETDLALKIGKVNECDAGDTMEKGGPKVLILGAGRVCRPAAEFLASYPNSNYCVDDNSPDQIHVIVASLYQKDAEEIVDGIKNTTATQLDVADIGSLSDLVSQVSFILIFKSLLNKLMKLALLQVEVVVSLLPTSFHAAIARVCIEFKKHMVTASYVDESMSNLSQAAKAAGVTILCEMGLDPGIDHLMSMKMIDEAHARKGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGAVRSGKNPAVYKFLGETIHVDGSNLYESAKRLRLPELPAFALEHLPNRNSLIYGDLYGISNEASTAYRATLRYEGFSEIMATLSKIGFFDAANHPLLQDTNRPTYKGFLDELLIANNISTTATNLNIEASTGYDDELIARLLSLGYCKEKEIASKTAKTIKYCCCKDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRSTTAMALTVGVPVAIGALLLLQNKVQTKGVIRPLEPEIYIPALEILESSGIKLTERMEI >Dexi3A01G0030680.1:cds pep primary_assembly:Fonio_CM05836:3A:35018352:35020511:1 gene:Dexi3A01G0030680 transcript:Dexi3A01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPFIYLTPAIASSDKGSLLQAALDGNLGRLKGIIKNLGMGRAAVLSVIIDGFSVLHCAASHGHLEVCKYLVEGLGGDPNMVAGVAEFEGITPFMFSVHSGDVCVVKYFLDHGGDPTKADAKGRSVLHYAVCTGSCKITKFLLSEGIPVDIDCGNGTPLLHAASNNEDKTLKILLDHNANVYSLVSSFIFLIGFRLG >Dexi6A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:6A:8298452:8298805:1 gene:Dexi6A01G0008140 transcript:Dexi6A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYGFVIMTKEEEERLKHLEGRLRPKSDFYDDAFTKLGFYNGIHLLLENLCWRLFSDRIQAHVYEDVALEMLMTMKIVQRVVDGEENYFLEFRVNDEEKAISYDDVRSSLAFKEDA >Dexi4A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:4A:6026390:6027517:1 gene:Dexi4A01G0008040 transcript:Dexi4A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAARLRHYTNRVWVASHATGVGAEPWLAEHGDFSRVFLAGASAGGTIAHVVAARAGEHGGELGMRVRGLLIVHPYFSGAADIGNEATTGKERKARADAFWRFLYPGSPGLDDPLSNPFSEAAGGSAARVVAERVLVCVAEKDDLRDRGVWYYENLKACGYPGEVELLESMGEGHVFYCMNPRCDKAKEMHERVLSFLRK >Dexi3B01G0012930.1:cds pep primary_assembly:Fonio_CM05836:3B:9108078:9108389:-1 gene:Dexi3B01G0012930 transcript:Dexi3B01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPPHRPSKARSPRSAPRWPPLPRLPIQRQWGCSGSSGRLGTPWSFAPCRRMPPPWLATCNSISSYGCAVPLVGWMSNPSSSGVSPSSM >Dexi6B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:6B:2433539:2436420:1 gene:Dexi6B01G0002900 transcript:Dexi6B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVRPVRRREEEQEDDEEEIIPVDVVRGPGVAIGGSTSSNVVDTTGRQIQQDDDAAPLQFLSMSSWKKAMEKGRLRYKDEEEERWRKPTIFLVPEWMKDKESKAYKPELVSLGPYHHGDPNLLPMERHKHRAVQTMLGLPQHGQQEPQRSPLEFFRAIEWVADTLLEAYHDLDPSWRYGAKRNYFIRIMVNDGCFLLEFVKAVKSLNTGKRGSVNDYAPNDPVFSMRGLISNLVLGFFNFNDDGELFNHDDLCMHPLDIFHRSLCGPRLKSTEWHGWVDTVPSAVKLGEAGIHFKRSNTNKLCDIDFKNGVLSMPRILVGYGTKKEFLNLMAFEHLHRDIVTDVSVTNYVFFMDNIIDTEADVELLKKREVIEHTLGTNMEVANLFNNMKRGAMMSPYGKLAQVKGKVNIHCKKTLYKWRASFIQTYLRTPWVFVSLVVAVILLIATLLQTVYTVLPYYTKG >Dexi2A01G0025150.1:cds pep primary_assembly:Fonio_CM05836:2A:36894036:36895398:-1 gene:Dexi2A01G0025150 transcript:Dexi2A01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWWWSSSPTSSSGDPPAKLRRGGVVEHLGVSSQTREREKRERERSRMREGGRAGAQLSFGQRASPADSRRRVQVQRVSWAPRGEGTANAEMLEKGELEHSCCPALNIPHALPGFLGLGSVVFPFSKANKTDDMSALHNAYVYQVLCLPFAPQVPCLNMSDQ >Dexi3A01G0022690.1:cds pep primary_assembly:Fonio_CM05836:3A:18308343:18308663:-1 gene:Dexi3A01G0022690 transcript:Dexi3A01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKEYAPVPLGQAAAEAAAAPNPEDPVKSPPRPSSPATSTRKALLPYPRLDLGSGRVSAAAAVLSSTAVSPFSSSAYLVRVPAAMCADLT >Dexi9B01G0030330.1:cds pep primary_assembly:Fonio_CM05836:9B:32861648:32864747:1 gene:Dexi9B01G0030330 transcript:Dexi9B01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGGGGKPLSRGSRIAVAVAVGIALGCLCAFLYPDGLFRPSASALRWSRHVDSTACESSGQVTNLKSQLASSERKNAELRRQINELSMKLQLAGQGKEETLYKAGPFGTVKALRTNPTVIPDESVNPRLAKILEEVAVKKELIVALANSNVREMLEVWFTNIKQVGIPNFLVVALDDNIESFCKSKGVPVYRRDPDEGIDSIAKTGGNHAVSGLKFRVLREFLQLGYSILLSDIDIIFLRNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVSYRLSHEKAWDQAVFNEELFFPSRPGYEGLHASRRTMDIYLFMNSKVLFKTVRKDAQLKKLKPVIVHLNYHPDKLDRMKAVVEFYVNGKQDALQRFPDGSE >Dexi2A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:2A:6902593:6902922:1 gene:Dexi2A01G0007160 transcript:Dexi2A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAYTGVINTLLLKLSKLLEDHEYTKIKGAVRNQVTFLRDELSSMKPVLEMLADVEELDPLKKEWRDNVRELAYDIEDYTDTFMVNVNHDHDKLPMGFKGFFRKLKS >Dexi3A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:3A:10825168:10825515:1 gene:Dexi3A01G0014780 transcript:Dexi3A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSLGPAPPLRLVPRLAGPTPGGGATASTERLEDTAAAERRRPWRAAARLHTTLSPGAGPWREMSELQRELASSWTGSASKEAEAEATERREWIGTAVERSREIGRSRGERIK >Dexi9B01G0035760.1:cds pep primary_assembly:Fonio_CM05836:9B:37356283:37356368:1 gene:Dexi9B01G0035760 transcript:Dexi9B01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRERRWLPDGRFTPTASG >Dexi2B01G0023010.1:cds pep primary_assembly:Fonio_CM05836:2B:32582935:32586045:1 gene:Dexi2B01G0023010 transcript:Dexi2B01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVKGDPRLSFFDDIENGSDEDDFENHEPLSITYSYWDGTGHRRAIQVRKGDTIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >Dexi1B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:1B:863529:864908:-1 gene:Dexi1B01G0001030 transcript:Dexi1B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCEKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGGSNPKSPTELTSMSTTEPSMSMSMHHHHHHVKNSSGSTTTSHDQDATNVSSNALSAPPPLAQPPSFDHQQAQPKSFVFSDWVPAPESYSVSAHWPASTASSGNVTPSHGGAFGDQMSGSYGALPPPPHQDHQAAGAATGIAGSGYFDLLNMGDIYGGFTSTNDDLLF >DexiUA01G0021150.1:cds pep primary_assembly:Fonio_CM05836:UA:43699170:43704997:-1 gene:DexiUA01G0021150 transcript:DexiUA01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLESVAALMESTSSKIQQLQQAFAELERQSAVSMNLKWKQLEDHFRGLEQSLQQKFGELKEQEKEFQETLAKSEQMLEQQEAAVVAKELTSLERLQEKRDAALAMIFSKSKLSLPVPAINPMDKALYNLGVKWPKLASEGIVRLQVSSAALKPRSELVSLCEEMNVKGLHKFISDNRKKLATIREEIPSALKKASHPYGLVLDSLEDFYAGDNVVLDGKKDGDLLGVRRTCLMLMESLGQLQTDDTTCFSSDGHMLTADIKERAKKTAFEWKSKLDCLDIDASNGNCLEAHAFLQLLASFGISAEYNEDDLCKLLPYVSRRRQTPELCRLLGLSQKMPGVIGVLVESGRPIDAINLAYAFELTKQFDPVQLLKAYLRDVKKVSHAKNVKMSPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSAFAPRATGFVDNNFYPTTPERHPSYPYERQFVYGAEAHHHPMMTSAPYTIQPAHTPYYGNGYPIQFQLPYIH >Dexi1A01G0027610.1:cds pep primary_assembly:Fonio_CM05836:1A:33319824:33336365:-1 gene:Dexi1A01G0027610 transcript:Dexi1A01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVTEAKGRAVVVLTSQRKKITVSPEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKKRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAALDDRTVEQQVLESNPLLEAFGNAKTIRNDNSSRFGKFVEIQFDASGRISGAAIRIYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASRFHYLNQSKTYDLEGTNNEDEYWKTKRAMDIVGISRKDQDAIFRTLAAILHLGNIEFAPGKDSDSSKIKDSTSDFHLQMAANLFMCDADLLVATLSSRSIHTREGIIVKELDCAAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTISHYAGKVRDDCQILLLMITVFIIIASSYLQVTYQTDSFLEKNRDYIVAEHCNLLSSSSCPFVSGLFTSLPEESIRSSYKFSSVSSRFKLQLQALMETLNSTEPHYVRCVKPNSANQPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFAVLVPELMIGSYNERTLTKGILEKMSLDNFQVICSAEIILFQFTCSLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFITRKEYVKTRKASICVQAYCRGCLSRKMYAIRRETAAAVIVQKYARRWILRRAYLQACLAAVLIQSYARGFIARRYFSDIREHKAATVIQSTWRRRKVVRLFQHYRQATVAIQCAWRQKLARRELRRLKMASSLAASEESKSSEILKRDKIIETLSAECAAAKSAAQNEHVKNLLLQKQLEDSLREITMLQSKRIITAEAEKENSNLKNLVDSLSLKNSILEKDLNVARKSSDDTMEKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKAFNMPPVNNLAVTPKKLSEKFSASIGLPNSESKQTFESPTPTKYLAPIPQTLSVSRRSRLPVERHELSHEIDNIVLRTIGTQSIKNCRYLSICYFFLQENHEILQRCIKENLGFKDGKPVAACIIYKCLLHWRAFESERTAIFDHVIETINDVLKTLKSPSKLVGRSDSLPQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLAVCIQAPKSTRVQPGKTSKSPGVGVQLASNSNWDNIVNFLDLLMDTLRENHFAGTSWHELNYIREAVGFLVIHQKRKKTLEEIRQDLCPSLSVRQIYRICSMYWDDKYNTQGISSEVVAAMREVVNKDIVSKDTPNSVSNSFLMDDDL >Dexi9A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:9A:11248173:11248604:1 gene:Dexi9A01G0016310 transcript:Dexi9A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLRGKAAAAAAGVAAPWRRVASASYHHTIQAVPRETTGPRAAARERRHGRVPAVLLTLAGAAPGNGIAHRKLLTADRKQLAVMLKQSPYFLSTPVRLQVRAGERSNAVVHSGTVLPIKK >Dexi2A01G0034180.1:cds pep primary_assembly:Fonio_CM05836:2A:44254540:44260240:-1 gene:Dexi2A01G0034180 transcript:Dexi2A01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRCLLNPLPHLLLTPKPPSRPLAVAASFRARAAARPPAPLLRRAPLDEPDVGISHFASSVPGFRGALKQRYSDFVVHEVALDGALVRLTSFDLPHVDEGGGDDAEDSDADADADHSRALESFRLLCGEADYDALRGFLERALEGGDEDLSPIILSADADKAHRSEVHEFIKRNFKFLVTDTVEHSDGIQRCIRVRLGSGPRDGRGRNRRGMGSSGWRDDRPFDSRGSTSWPYHLGKYLRFHLYKENRDTQEALGVIGKMLGVQPRSFGFAGTKDKRAVTTQQVCLELFPFVRLNWVNMVLSVTLFKVHASRLDALNSNLTGIRVGNFSYVNEGLALGQLRGNRFAITLRNVVAESDDVIKSAIDGLSKNGFINYYGLQVQGIGSVPTHFVGAALLRGEWRQAVSLILGTRVHYKGHGDIDAAISGIPRHLIVERAMLLRLKKFPGNYLQALMAIPKTMRLMYVNSYQSYLWNHAASMRVKKYGISQIVEGDLVYKKGCSPGEAAKEHTLDDDVSHTDSPEVEVSCETLPEELIQPVKIVDSEDLLKAAYTFEDVVLPLPGSETLLPGNEVAGIYHEIAKKDGISLVKSGHGIKDLMTYTDDNVPLVETDLAVISKTQPSEANKLLSDATSSCPSCDSGLDASLEASGLTTEASPLNTKSDLLPEKLAVKLEFTLPASSYATMAIRELTKTSTSVAYQKTLNC >Dexi5A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:5A:4671277:4671752:1 gene:Dexi5A01G0006330 transcript:Dexi5A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLAHLRAHVLSQNLITPTAVIKDISSTQHLHEKSEEVALPQLVVLQGVGGNVVGATEVQQQERRPERHELVLAQSAAEGKVAQSIRRGGVSQLVRQPEEAYPYATVFMPPHSSKEKQDNLQHEAEDYKELGCPSIPKVQ >Dexi1A01G0032060.1:cds pep primary_assembly:Fonio_CM05836:1A:36784864:36792354:1 gene:Dexi1A01G0032060 transcript:Dexi1A01G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANIPTNSSALIAIIADEVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSSAYCGIAKNLAQLEHLVPREANMEGYNRFSEARVQRPLRPPPPRSWIRNAPPAGELVAVVLTSSNSAWASALAVSCAACELELLLCLPARASSCCARRHGRSCNLEISCSAHRVAPQLQLGKLELLLRRP >Dexi5B01G0030550.1:cds pep primary_assembly:Fonio_CM05836:5B:31471739:31472442:-1 gene:Dexi5B01G0030550 transcript:Dexi5B01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGELTWKAGVAGSGDGVEQPPAAVAGDGGGVKLGGEAYEVCLGVMHDGGSVPERRGRGGAVEAEREPGEEDEEGEEEWEGRRPLQEVQQ >Dexi3A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:3A:926448:927267:1 gene:Dexi3A01G0001310 transcript:Dexi3A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQEEGEKVPKLFGFWASPHVLKVIWALRIKGVQYDYIEEDLPNKSDQLLEYNPVHKKVPVLVYQGKPTAESQVILEFIDEAWKHRGDPILPEDPHQRAMARFWARFQHDKLSPPIWKWFTTQGKEQEDAYEASIEQLLVLEKELDGKRFFGGDKIGFVDLSLGQVSYVIPIFEEITGVKMITEQKFPSMSAWMGNFLTSPSVKDHPPPLDKLQARYQAIREAFLKGEI >Dexi2A01G0017270.1:cds pep primary_assembly:Fonio_CM05836:2A:29103352:29105702:-1 gene:Dexi2A01G0017270 transcript:Dexi2A01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGGVEHGSQQEAAPHQLLPWLGAAPFSDPAVGFGAAAMGAYASCDGGVGALAGLGHGGMFGFGFDQQQQQRAVEGSGKAVVSGLLGTLQAELGRMTSREMMEAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVLDHVKELKRQTSAMMVAAKASASATAIDDDYEGSEAGPAQLLPSEADELAVDATADRAGRLVVRASLCCEDRPDLIPDMVRALAALRMRARRAEITTLGGRVRSVLLITADDEGAGDLAGEDDVEVGGDGWGRPSGRPRCGRGGHEGTTSSSHRRHECIASVQEALRGVMDRRTTASGDTSSSGAAGGGSIKRQRMNYGAQEPCSV >Dexi5B01G0036360.1:cds pep primary_assembly:Fonio_CM05836:5B:36099788:36100150:1 gene:Dexi5B01G0036360 transcript:Dexi5B01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNEHSRTSASPPSQKVTTSPCASRQKTVSPPVATSKPTSCSEMAAAVEEGGQAKQIDHAKEQVVATRKKKKQGWKCMPFIIVLLSTHIMLDDTGHWAPVGSFPL >Dexi7A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:7A:17369698:17370233:1 gene:Dexi7A01G0006090 transcript:Dexi7A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLVFALLLAAAACVAEGAGECGATPPDRMALKLAPCASAAQNPSSAPSSGCCSAVSTIGKQSRECLCAVLLSNTARSAGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >Dexi3B01G0031250.1:cds pep primary_assembly:Fonio_CM05836:3B:32170416:32173011:1 gene:Dexi3B01G0031250 transcript:Dexi3B01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGSRFTTKNRFFGNFSFWPSRSRSSGTPSNPTTTSRSVPVVQVQPSESDAVRPSPPPPQTTNAPTPIVISEPTPLPQPQPTPPPKGEPDRSPPPPSSSLSSQQPPTATQQQQQPSKKKAAHIKRISSAGLQVESVLRRKTDNLKDKYSLGRKLGQGQFGTTYLCVDKATGKEYACKSIAKRKLITDEDVEDVRREIQIMHHLAGHPNIIAIRGAYEDAVAVHFVMELCAGGELFDRIVRRGHYTERQAAELARVIVAVVESCHSLGEFTDVVGSPYYVAPEVLKKRYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGSLDFDSDPWPSVSENAKDLLRKVLIRDPKRRLSAHQVLCHPWLQTIASAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLQRVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITSDELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTPGFGKKGHQYNLSIGFRDALNKAHS >Dexi2A01G0030000.1:cds pep primary_assembly:Fonio_CM05836:2A:40988388:40989595:-1 gene:Dexi2A01G0030000 transcript:Dexi2A01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSGTLRSLYNERLREFLCSFPRRSVHAVVVDAPSVDALDIARELGVPAYTFFATNASAVAMFVQLPWMRAEGDPSFKELGDTTLEFHGVPPMPASYLMRETLLDPESELYKAMMNAMRRSSEPDGILVNTFASLEPRAVGALRGDPQVVPPGVRVPPVYCVGPLVAGGEVREETQQHECLAWLDRQPDRSVVFLCFGSIGAATHSLEQLRELAAGLQSSGHRFLWVVRAPMRGGDAERLFDPRADADLDALMPDGFLESTKGRGLVVKHWAPQVEVLRHRATGAFVTHCGWSSAMEGITAGVPMLCWPMYSEQKMNKVLMVEEARVGVEMVGWGQGLVTAEEVAAKVRLVMESEEGEQLRARVAAHRDAAAIACKPGGSSRAAYGQFLSDAASLRQE >Dexi6A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:6A:22737221:22738144:1 gene:Dexi6A01G0015310 transcript:Dexi6A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAKAVERLAQRLVPPAEPTPTGPHRLSWLDRYPTQMALIESLHVFKPDPARDGVSPAATIERALAQALLDYYPLAGRLAVSEDAGGLHVDCSGEGVWFIEAAVQCRLEDVDYLEYPLQIPKDELLPHPLPRPTHEEENKLILLVQVTTFGCGGFVVGFRFSHAVADGLGAAKFMGAVGDLARGADQISPPPTWGRDAIPDPAGAHVGILPELDGAKRLEYLAIDISANYIDHFKSQFAAASGGGRCSAFEVLIAKAWQSRTRAA >Dexi7A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:7A:23988351:23988662:1 gene:Dexi7A01G0014000 transcript:Dexi7A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAAMAAGAEPVLRGRRIAKRLGSGGWRSERGQRATAAATTTALRDFVPPHDLVVVSAWELGGSNRTVAALFVPPGLLFLARSAFPPHRTERGPLIFI >Dexi3B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:3B:13947844:13949261:1 gene:Dexi3B01G0018730 transcript:Dexi3B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTFVLYPSLGVGHLNPMVELAKHLLRHGLAVLIAVVDPPDTDAVSAAAVARLAAANPSIAFRLLPSPASPDTGAHPVKRSHDTLRLANPALQELLLSLPAAADALLLDMFCVDALDVAAELGVPAYFFFASAAGDLAIFFNLPYLYPTLPSFRDMGKALVRCPGMPPIRGMDMAVAMQDKESEQNKVRLYQFKRIAEGRGVLVNSFDWLEPRALKALQDGVCVPGRPTPRVYCIGPLVDDGKKGKGENGQMQHECLAWLDAQPKRSVVFLCFGSKGAFSAAQLQEIAQDWRAPATEQDQFPEPDLERLLPEGFVERTANRGMVVKNWVPQAEVVRHEAVGVFVTHCGWNSVLEAIMSGLPMICWPLYAEQGMNKVFMVEEMKIAVELQGYEALVKAEEVEAKVRLVMETEEGKILRERLAVAREKALEATKEGGSSEVAFAEFLGDLEKSSYGNGECH >Dexi9B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:9B:3466374:3467737:-1 gene:Dexi9B01G0005850 transcript:Dexi9B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTSPQPKDKGPITEPGKALGIKRLQTDNPSSTVYHNVYVRRKVESEHSKVNPSQELKGNGRDKAKEQEAHQDVQHEEANSPQVASPVAESVEIVSSKSPEKPNAEIVPEKTEPPVASGTGIQEDVKQLSIQYWNERFNRLQTYLENCDHSTQEGYLRRKELRRMKALNVLGKVSPNASSKPTPLQRQSQK >Dexi1B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:1B:5277775:5284403:-1 gene:Dexi1B01G0006440 transcript:Dexi1B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVDDIAAVSTAAAATTIGVGAGPVVATGAGQEGEEEEEALKDDVYTGAAYGDIEKLQRLVELEGRSVTEPDAHGYHALQWAALNNRVAAAQYILEVGSSPPPLSSSSVPDAHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLCHIVAKWNADHDVPDNDGRCPLHWKDPGYISKNIRESQNQRDDEPLLKRGLDNPELLDCNWSQLCITCKRNKWEFFMFLLLEVLAMIITGVAAIIRSVGDPSSPASFSGWLGYTAINHSWVVSFVIIDLLLFFGIIALTVIQASQISKNITTNEMANSMRYSYLRGPGGRFRNPYDHGVRKNCSDFFLKGYNEDIERAVQTLLPDEEMGPLQV >Dexi9B01G0040040.1:cds pep primary_assembly:Fonio_CM05836:9B:40680634:40681686:1 gene:Dexi9B01G0040040 transcript:Dexi9B01G0040040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQYPPMYPTSAPPTPINMEAGLIPPFPAAHGLPVHHHHQQQHLGVRAPANRDDRSGGWASNDPNTLLVVATLLTALAYLLGLSMPGGYWQQDILSRADGSVVYRAGDPIMRDLHRPRYWVFRAASWVGVASSMVMTLSLLVRMAVGSRHVVWSFAVAYSSACTPRPGDPWHTRVQERRCCVSDLSANASNSCSLVLTFIVSQSRTHLSLDIGVWVAVLALIGVIVNLNSESRARFIRALCCCDRDN >Dexi8A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:8A:24885431:24886358:1 gene:Dexi8A01G0014380 transcript:Dexi8A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDSESSRQKNKHKELHREVLGGIVTANGFFTGAVFLSITGTITPRSGIPSNCTAGDDITLKLFLFQICSLGFYLLSSLIATATKLVIVYLEKDSVAGENITYMPNSSSGMPLTTEGPWSQEHHQWWSSSVGLGPQQTLQEQEEEVGSWRTVSKEHRHIGTVQKMAHWLRPLMVSSVGFSAVGSFFMLLSMVNMVQIKLGLLSCGSTLVVITVLDKLELVEKDITLLNLQRPLECLAFQ >Dexi7B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:7B:21876247:21877675:-1 gene:Dexi7B01G0015850 transcript:Dexi7B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIVAVPFPAQGHLNGMLHPLASRGLPVHYAAPGPHARQARARVHGWGDDALRRVHFHELELPAAAYDVVVADEDSPAGAPPSPFPSHLIPVAEAFAAGARAPVAALLSRISSAACSRRVVVLYDRLSSFAAPEAARIPNAEAFCLQCVSACFDAAWTDAGQRLLRAHGLGHAPHPSACMPKELVEYIVRTQGDGRSPAFAGVVMNTCRAIEGDEFIDAVASDPAYRGKKVFAVGPLNPLLDDATAPAAGGQTRHECLEWLDKQPRASVLFVSFGTTTSLVAEQVVELATALRDSKQRFVWVLRDADRGVVHDDEESAVESRHAKFLYEFTEQIQGTGLVITTWAPQLEILAHGATAAFMSHCGWNSAMESLSHGKPILAWPMHSDQPLVAELVCKYLRTGILVRPWEQHGVVTPAEAIREVIEKAMACDEGLTMRERAQVLCESIRASVVEGGSSQKDLDSFIAYIGR >Dexi2A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:2A:11137173:11138901:-1 gene:Dexi2A01G0009970 transcript:Dexi2A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQQSHSDHLPWLPIFPLIALLLLLLLHLLSSKRTSGAPDRRRAPPGPPEHLPVLGNLLQIGTRPHRYFQAVAQRYGPVVEVRLGRVRTVVVSSPAAAKEVLRTNDLHCCSRPNSPGPRMLSYDFLDVAFSPYSDYWREMRKLFILELLSMRRVQSFAYARAAEVDRLVSSLSSSSAATGAAVDLSERLYALSDGVVGTVAFGKMYGSDQFERTSFQRVMDDTLRVLGSFTFEDFFPASRVARLADVLTGAAGRRRRIFRQIDRFFDSVIEKHLEPERLQAGVQEDMVDALVKMWKEQENDDFGLTRAHIKGILMDTFSGGIDTCAVTMIWIMSELMRNPRVMRKVQAEVRSLVTDKPRVEEEDVKSLRYLKMVVKENFRLHPPGTLLIPRETMRSCVIGGYDVLVIGGYDVLPGTRVFVNVWAMGRDPSIWEDPEEFRPERFEGSHVDFRGSDFELIPEKIMPCHSHGCR >Dexi5A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:5A:8517651:8519700:-1 gene:Dexi5A01G0011390 transcript:Dexi5A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSGIYLDFFGDSVGQTSGTSETTALAIHPPLLPSPHAIRRRTPRHHARTHPPSAPLPPLSAPLPPPPTVVRRPALRLQPSAPPSDKARRADAAPPPRRGQALLPSTVSPDGRHLSPLRLRLQPPRATCDPGRQCQAPQRPCSAPLLAEGLLFSLLCTSSYPRAFSES >Dexi2A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:2A:12167536:12167973:-1 gene:Dexi2A01G0010840 transcript:Dexi2A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGDTSQEDREFLVDKFNNFADAKVLFGSIRACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSAEEKHHETSFKKEVIPKLWFEWSEHCTTEDFKLDIKALYKR >Dexi5B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:5B:5063389:5065439:1 gene:Dexi5B01G0007480 transcript:Dexi5B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCRWEIPGRNRVETKRNGFLALRRSIFDLGPLLRDLHARYGPVISFRLLGATLVFVSDAGLAHRALVRDGATFADRPPLVDPDPLFSAGDINSASYGPYWRLLRRNLAGDALHRSRIALFAPARRRACDALVAGLRGNGSSSEKTDGDGDVTFRPFLRRAMLELLVYMCFGGERLGEDELDEMEALQRHVLASFTSFPVFAFFPALTKRLFFRKRWAAHVAVRRRLDEVFAPLIHAAAARRRGDGEEDDDHHHPPCYAESLLALRVPGDEEDGDRALTDAEMVSLCSEFLNAGTDTTVTLVEWIMAELVRNPSVQAKVYEELTTKGKPELDDDSDNLNSFPYLKAVVLEGLRLHPPAHFVIPHGMTSDGEIGGYTVPKGAEVNFMVAEMGRDEAVWTAAREFRPERFVDGGEGCGVDITGSREIKMMPFGAGRRMCPGYTLGTHHAEYFVARMVSEFQWLPAADEEVVDMAEAADFTMVMKHPLRARIIPRN >Dexi5A01G0026160.1:cds pep primary_assembly:Fonio_CM05836:5A:29879089:29879454:1 gene:Dexi5A01G0026160 transcript:Dexi5A01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFGSSTSASRSASRSSSSTFPRTPGGRQPWQLLCVSSSSKCIWWSASRWKEGMAWSSSCSSLTSWTASLRFSLAYRRTPWGNRHGSRLGEDMLAALFTSRVSATPWRTGLGHCGVVA >Dexi4A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:4A:23668023:23673585:-1 gene:Dexi4A01G0019810 transcript:Dexi4A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGERITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPKKLGVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISYIAPAGQYSIQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRNGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSQALESFYEKVDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAAGADGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEDALIAKFKKLYDDLTAGFRNLEDEVR >Dexi1B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:1B:10070959:10071531:-1 gene:Dexi1B01G0010440 transcript:Dexi1B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKQQRVGGGVKVTYIETSFVTSDAAGFKDLVQRLTGKSPTAAAGAKPTTPHRPQAFHAGGARTTTTTAAGAGAEMSCHYYRQAAGEVGPAVVASRAPPCQEDLLVGADFFSDLFYVGAGGEQRVQYRCI >Dexi6A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:6A:18125277:18128930:1 gene:Dexi6A01G0011950 transcript:Dexi6A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSFRHLGKAEEEPSMEMTAMKPEEMSHPPMDQLLGMEYCIDSNPSWGGAIVLGFQHFILCLGTAVMIPTMLVPLMGGNAHDKAMVVQTVLFLTGINTMLQTLFGTRLPTVIGGSYAFVIPIMSIISDPSMARIADDHTRFKMTMRAIQGALIISSCIQIILGYSQLWGVCSRFFSPLGMVPVIALAGLGLFERGFPVIGKCVEIGLPMLILFVTLSQYLKHVQMRHFPILERFSVLISIALVWVYAHILTVSGAYRHSSQLTQVNCRTDRANLITTAPWISIPYPLQWGAPTFSADHSFGMMSAVVVSLIESTGAFMAAARLASATPPPPFVLSRGIGWQGVGLLFDGLFGTVSGSTVSVENVGLLGSTRIGSRRVIQISAGFMLFFSILGRFGALFASIPFTLFAAIYCVLFGYVGAVGLSFMQFTNMNSMRNLFIIGISLFLGISIPEYFFRFTFNDLINTIFSSPPTVGFIISVVLDNTLEVRNRARDRGMPWWARFRTFRGDSRNEEFYNLPFNLNRFFPPS >Dexi5B01G0030690.1:cds pep primary_assembly:Fonio_CM05836:5B:31602965:31608226:1 gene:Dexi5B01G0030690 transcript:Dexi5B01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFVCLSCSGIHREFTHRVKSVSMSKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIREFIRSVYVDQKYASGSCNKPATDSESLKSNENELRRPDSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGNFLFSPGRLRDQANEDRFANESSGSRFSDFSASSTGDFRNDVLSPSSQETGYSSPSVHHSRNVSAENPQSQKYPNVSSQPDFNGVRRSQRTASSGSFGSFDGSSVSNKSVESGYPHDAPTEKSVHSAVNHQTVASPVANHNLIPQKPADLSSQTTATRKPVQHGGAQTESVVLSPAPAKPATFTPLDLFDQSTVQQPVISDAPIDLFAGFNEQSSASHKTVNLSNHSDIDKESTHNVVFQNAVVPSAEALATSHPVHQDLFSLSILQEPATSSPPPPVDLFASFDQQLPHLSSVQQIPSAAPLPADGGWAFFDAQHGSLTPVSNVQAQEPIAFPPSDGIAKGVDQSALPTSPPNAVGSQSTLSMMDNWSLNAEEVKISVPKENSQSWNAFGESTQSPSNNLFTFNTMSQVAPHQFATPGASYVESRNRQDLARGETERPTPGDMFSGFNVSPVEMAGPSFPALQSHLDGVVSHPGKSTNPFDLAFESDVDANNMFMDLTSLQETLPDPHTTTTDYSGSLAQPWISQDSTMLYIPSGPQGGISYVSGQESHML >Dexi8B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:8B:5388759:5389730:1 gene:Dexi8B01G0005340 transcript:Dexi8B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSALDVPKRLAEAAAQENELAALLSSSGDTFPGSGYHPENHKKWMEGLGASNLHIQQVVWPGTHDSATNSIGDPIITRPFAECQRLSIYDQLAMGCRVLDVRVQKDRHVCHGILVGYSVDVVLDDVKRFLEETTSEVVLLEVRTEYGQEDPPGFAQYLVDKLGDYLIRQDEQVFSKSIAELLPKRVICVWKPRQSPAPKPGDLLWSAGYLRDDWINTDMPKTKFDSNLSKLSQNPPAAQRRYFYRVENTATPVGDNLASLAVEPVTRRIHRFARLFISKVVAGGHGNKLQVFSTDFIDEDFVDACVGFTKARIDGVPGT >Dexi2B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:2B:28351266:28353694:1 gene:Dexi2B01G0017980 transcript:Dexi2B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKTMVVSLGSSSRRRKRGEMLFRFESFCQPGYPAALPVGGAFRDNVRALLGLAHLEAGAQGDDTKCWSFQLELHRHPPTVVRLFVVEEDVHASPQRHCHLCRHVGWGRHLICSKRFHFVLPKRELSVEADGLHYGINHGSSEKPSSKGTATSRGHLLHGVVHLNGFGHLVALHGFEGGSDFIAGHQIMDLWDRICAALNVRMVSLVDTARKGHMELRLLHGVAYGDTWFGRWGYRFGRPSYGVALASYQQSLHALQSVPLCVLVPHLSCFSQDLPVVVTKYQAISGHNLLNLGDLLRFMLELRTRLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRRCCAAADDPPPARRWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAATGVPNAGKTMRVRFQLTRAQLMRDLAHLYRHVLKEPSQALTTGAFAAIPVAVRMVLDTKHFVKDYHHQQGFAPVNGSVGVVVGVGHAHHVSLCCTVLVRDGTPELVAPYETVTLPTDATVGELKWEVQRVFREMYLGLRTFTAQSVVGVGVGQDACPVLGLVDVGSAVVVDGHVGGEMAAAAVSEGGGGDGERVVDCGCGADDDDGERMACCDICEAWQHTRCAGIKDADDVPHVFVCSRCDNDVLSFPPLA >Dexi1A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:1A:9563186:9563674:-1 gene:Dexi1A01G0010930 transcript:Dexi1A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGPTAAASDALESAAAGGGGYRVCDTVVLVCLACASALIVLTVAVCFRRAFLANGYAAAAAAANGAGSSAAAGRNLCGLPPSALSAIPVLAYRRGAAAAGAGWAQCAICLAVVRDGETVRLLPACGHLFHVECIDLWLRSHATCPLCRCDVGEAAAEKV >Dexi7A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:7A:29741227:29742146:-1 gene:Dexi7A01G0021000 transcript:Dexi7A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHFHVAYLDKMASPSSSPPSMISPSLINSSTDIPFALHCLRPLAPKISFPEARKMVVLPEFARVSRNASRLLNCTVQVPTSGATRWNPSPDQIKVLEMLYRGGMRTPNSFQIEQITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSLPPAETKDGVLEKKEACEDAWRRKRRCRAWEDVHGDAAATATEVVADCTDDDVMLELFPLRPDQGKAS >Dexi7B01G0015460.1:cds pep primary_assembly:Fonio_CM05836:7B:21513997:21514290:1 gene:Dexi7B01G0015460 transcript:Dexi7B01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYGRPFMKGRLFVEFNVELPESGALSPDQCRAIEKVLPQLPRGRLSDMEMDQCEETIMHDVNIEEEMKRRKRQEAYHEDEEDAGPRVQCAQQ >Dexi2B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:2B:9579958:9582139:-1 gene:Dexi2B01G0008880 transcript:Dexi2B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHWLLPEESQLAYTGPDWLQLLLERCTVLQRDLVKLVFWRAWSLHNNITHQSGQISIQESVQFLLSLKSSLTEIESGAASLAAGEGMRNCSSSDNRSKLCKGKGKAEAGAAWLPPQHGWTKINVDGSFVAQTGEAGAGIVARNSKGKVIFTASQVLHRCSDAAEAEASACVIGLCLAAQWAPGRVMLETDCARAAHALQADVDRSELSFIFGEARDYARMLEELKVIQACAVWRADAYAEA >Dexi5B01G0029590.1:cds pep primary_assembly:Fonio_CM05836:5B:30791166:30791372:1 gene:Dexi5B01G0029590 transcript:Dexi5B01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKLRLRRTVICVTADDRWERRSQSSPSSPLCPGAGQRRQASGRPSHQKGKSGELGGSGHVVVEGD >Dexi5A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:5A:7632802:7633670:1 gene:Dexi5A01G0010020 transcript:Dexi5A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVVSSKPCPLSKAFHILNRFYDTAGSDLPSADCATYLHTTSDATKDLVLFRRGLRAYQQQSAANLEAHDYEGEIEQQDREREGSVAAPTGGSHRDSAAEVELDASAGEKKSKKKKKNKDDRQEGRAVAGGELHIPSLPEIAREKRKEKHPVKEIIVNVKQEPDLVVEEQLLNEKKSKKKKDKVRVKLEEEEREVNEVGGKIANDGGLEQNVSGGEKKRKKKKHEEALVNSKDVKQEVKMASDGDQDSEKKRKKKRGREEATEVILRFS >Dexi8A01G0017880.1:cds pep primary_assembly:Fonio_CM05836:8A:30018392:30018736:1 gene:Dexi8A01G0017880 transcript:Dexi8A01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISRSFSSMAALASASFIWTHSSRQSSTTRSIRRSSSTSSIVLSTSSRAAASFSRIARHSASAVSHRRRSLASSAASASLRASAFHRMRRSNSELRPQLRR >Dexi9A01G0046210.1:cds pep primary_assembly:Fonio_CM05836:9A:49603802:49604007:1 gene:Dexi9A01G0046210 transcript:Dexi9A01G0046210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLTRKHRAGDDAVNGLLQP >Dexi4A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:4A:20977885:20978292:-1 gene:Dexi4A01G0017210 transcript:Dexi4A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPFLISLSLSSFISFSVFPNPSGLKRGPPGYEGSPEPARNFSRPRKYCFPMEPGLYQSWRRRCSAKPMSAMLKTKSLYVPAGGITPVLNHGSAVSGGMIPSLPKISGAMAPAAPSMAKRPLITSP >Dexi2B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:2B:24409905:24410897:1 gene:Dexi2B01G0014550 transcript:Dexi2B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRRRPDGSYGPEYGPLPPEHEYGLYRHLSSRRRAPWPLHHMEGDYPGRILEQRLRREPFGLPRHPLQPYAPFRIRHANVGGGSTIPRQRPEDPGLTDEQFREAMDQLRRQEYRPPNPYQKRDHQTRSARAEAPPAVTEEERACTICLETFLAGEQVVVTPCNHIFHQGCIAPWVKGHGTCPVCRSAFCKRQNTVAGNINSSSDGEDGEVDLDLLGMIRAMEAFSRVRLSDFMSYHH >Dexi1A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:1A:7598480:7599856:1 gene:Dexi1A01G0009350 transcript:Dexi1A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKNKEGGNKNKTATGGEAINKATGGKKKKSTGDASSSSSLPAPSVIFNPKDVTDPFELLDMSIAALHDKRASASPRESALSSLAATLERVLPLDESTDARPLVVFALCGASIKKGNTTSPTSGKEARLAFRAVGLLALTLLNGGSTEILAESFPMLAKTLQFAPAMEAATVIAALDCLAAVTFAGALGPEEAERSLKAIWSVIFPNPKFPNAVSTKVTPQVLATAVSTWTFLVTTASLTDAAQRKSERAAWTATVASLASLLDAADDRAVRMVAGEAMAVCVELNLTQHASRKDMEAVVARVSDLAAEAGGKGADKTLFVEQKVMFRQILAFMERGERAPTVAVRTTSSEKKEMIKASTWAKIAQLNFLRRFLGGGFLAHVKGNKMFKETFDVGADEKAALSIAKRKLNVKLKQKQKVMKMNRELSWAVKNVYCLPQGAQPPESNKPDQLLKLGWH >Dexi2B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:2B:8456405:8456887:-1 gene:Dexi2B01G0008410 transcript:Dexi2B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPPAKRRPTHGPTTAGSGALLPPDMLFDVLLRLPARDLCRLRTVCRPWRALLTADPVFADAHAARHRAPLLLARFRSSDDEDDDARVHVVDISSPGGAAAVVTRVVVATADGYHQHHHHRRLLRTRLDVGCVATETNGL >Dexi1B01G0025850.1:cds pep primary_assembly:Fonio_CM05836:1B:30812410:30812624:-1 gene:Dexi1B01G0025850 transcript:Dexi1B01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLQPSSSSLPCCCCSWLLLAASSGYTLMWPSDEARVTVDGEGGDVAGGGGVAASEGSDALMVARA >Dexi5B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:5B:4783279:4786499:-1 gene:Dexi5B01G0007140 transcript:Dexi5B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAITTPAGVVDNRSGYCEATKTFRSLRPRVPLPPPDAPLSFTAFAFSLLPPPLPAHPAILDAATGEAVSFPALRSRVRALSAALRSPSRLGLRHGDVAFVLAPASLDIPALYLALLSFGAVVSPANPALTSAEIARLVSLSGASVAFAVSSTAAKLPADLPTVLLDSDHFRSFLLHTDADETTAAVVVRQSDTAAIQYSSGTTGRVKAAALSHRNFIAMAAGSHALTNKPPRHGGESSRMLLAAPMFHSLGFYFVLKGVALGQTTVLVTDAVARRGVRAVVEAAERWEVNEMTASPPVVTWMGKEKEGCGGGLKALERVVCGGAPLAPTAAQRFRRRFPNVDLCMGYGSTEGGGISLMIVREECNRVGSAGRVSENTEVKIVDQVTGKPLSVGQKGELLVKGPAVTTGYVGDDEANACTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQTMPEVVDAAVMP >Dexi7B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:7B:18221848:18223161:-1 gene:Dexi7B01G0011080 transcript:Dexi7B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKGSTRMEAQQLAVPATSPSPANLPDDIHAEILVHLPAKSVHRFRSVCKAWRRITTDPRFLAAHPRRRPPEVLMYRYVDSSHCENRPINYAVDVALDALPVSGEVSGWRPLVSYPKFATTSDSTTKRWYHSMPQHCLLLDSCNGVLLFKKAVGSSYFLCNPVTRQWAELPEITVTGRDGHRRAARGVTEYAFYFHERSGEFRLLCDHSSFSVVHGQTNTWYVLSTCAAEPRHVDPHATNIDNLISLLSTATTPLALHGRVHWPPRLIRRGESSTTMEMVAFDTVSEKFHVMAGPPAAAPVRMKMFAMDGLLVAANLGDARQVVELWFLADYGAGRWEHRYRLEVPWGWSTCPDYHPGMSSIAAAADDQGNIILGNQYGSCVYNVRAWMSWAVSYVATPDNGVLMSRHVFRESLVQHPGFHAWSSSADLPLIHFGC >DexiUA01G0016860.1:cds pep primary_assembly:Fonio_CM05836:UA:36022220:36022935:1 gene:DexiUA01G0016860 transcript:DexiUA01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGTVSRVEQSNHPDYTPGEWVLGYSGWQEYAISDGSGLVKLGDNISHPSWALGILGMPGFTAYMGLLDIGQPKAGETLVVAAATGPVGATVGQIGKIKGCRVIGVAGGTEKCRHAVEVLGFDQCLDHHADDFAAQLEKACPKGIDVYYENVGGKVFDAVLPLLNTSARVPVCGLVSGYNATGLPEGPDRRQNSLP >Dexi4A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:4A:2871529:2873376:1 gene:Dexi4A01G0004020 transcript:Dexi4A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFGGGAASMHTPVQPGLIVRNHQLCCTYRDSIRRASVPGGHLCRPPVRFFPASAGDTASAGEDAYYYCWSSASSASSPAYSPSSSGRCSPVSLLSFSSDSDLSFSACCLHGVEELRSIALQMVRDGYTNGLIRSFSAAGEDLLLGSWFAELDVEWVLLLTTREEGDKKAPRHVDLDDGCAVLLDLMERWIKGLKTMVQVLSITQRELTSKKPTTIVGVRKAISYFVLLATGKMAEREQEVLARRFVRFAEASILRMLDFVDTVAAAVALNDDLTAAETLPGMLQVYTCVVDDSPTVLALFKEASGGAASASDAMNDVFLRKRSKLSDAIWSMMDKVRASFLTDDCWRVSPAAGEAAGDVHETTRLMMNYVKLLWRNEGALNLVLHDQHHRFGMFLSDPEDHCSSSVAHLIEKMVSCSEKQLEKASNFIADPGLRYIFLMNNFSFISEKVSSLLLPPFEATDYKIERFRGPRERLQPMEDWVNHPDRSIGREIEMDSNLDGLVKTQSFMEAYLDASWEPVMSCLYHDIPRGFLKWGGALEKFESEFRRTWAVQRTWKIPNPELRKRLRKAVTEKVMSGCNQYLTERMARGKSSRPTTSTTLLELEELLEELFEG >Dexi2B01G0019030.1:cds pep primary_assembly:Fonio_CM05836:2B:29211142:29216995:1 gene:Dexi2B01G0019030 transcript:Dexi2B01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSVVPLISELPGKRAGLPKRVWEESKKLWEVVGPAVFMRLVLYSMNVVSQAFAGHLGDRELAAFSIASTVISGISFGFMLGMASALETLCGQAYGAKQHHMLGVYMQRSWLILLAFAVLLAPTYIFSEQLLVVLGLSAELSREAGLISMYMLPLHFISAILMPLNKFLQCQLKTWVTAVTTVAAFPVHVVATWLLVQYFQLGVVGAAMAINSSWFVITGMQLAYAIGGGCPETWKGFSALAFVDLKDFVKLSAASGVMVCLETWYYRILIFLAGEAVINAVDSLSVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGVILAWGFHYGVTV >Dexi9B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:9B:6458027:6460097:1 gene:Dexi9B01G0010380 transcript:Dexi9B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGWGGCFSSGDVRVGGPPLEEPDPEVFSFAEPLPPWPPGGGFARGRMCIGGGELELAAATSFQKICTLSPRRRQRRCGSSVTFYRPVGVPEGFSLLGHYCQPNCRPLHGHLLVARACTPPTIASPQPPPPPLCAPRDYTLVWEFRAGGLGGSGNRGNAGNCYGLKDAYFWVPVPPEGYKALGCLVTTEPQKPPLDEVACVRADLTDECEPYGSLLHLQLAQPSSSSDPCAAAFAVRGVRPVHRAMWGKGIGAGTFCCAADGSSPGDQGMACLSNVELDLSAMPTLEQAHAVIQHYGPTLFFHPKEKYLPSSVSWYFKNGAALYRRGGEGGEEVDGEGSNLPGGGCNDGECWIDVPSGERGRAVCRGNIDSAELYAHVKPAMGGACTDVAMWVLCPFNGPARLKLGPVSLPLGKTGRHVGDWEHFTLRVSNLTGELMGVYYSQHSGGHWVDASALEYTAGNKPVAYSSRNGHASYAYPGVYLQGSAALGIGIRNDAARSRLFVDSSAKYRIVAAEYLGEGAVAEPQWLQFMREWGPTTVYKSRKAMEWMVGRLPVRLRCGAEKMLNKMPNELSREEGPTGPKEKNNWEGDERW >Dexi8A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:8A:1388381:1390635:1 gene:Dexi8A01G0002060 transcript:Dexi8A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAAGNAAADADADAEAPPNKAAEAPSRAASANHRPSSAAAAKPPASPTGARASTSKPAGPIGGVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHRASGEKLACKTIAKRKLAAKEDVDDVRREVQIMHHLSGQPNVVGLRGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERAAASLLRTIVQIVHSCHSMGVMHRDIKPENFLLLSKAEDSPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASEPWPHISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNGVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDTEIQQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGCYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNNKKRRDVVL >Dexi9A01G0041290.1:cds pep primary_assembly:Fonio_CM05836:9A:44945212:44947625:-1 gene:Dexi9A01G0041290 transcript:Dexi9A01G0041290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDEQRMEMSIVERHHLPRSSHGNGNADADIEEEHLWPTKDGPLPIFLKFENVEYTVKMTFKNPFTVARVAFASQMRVDQGSTSKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGIKGQITYNDTPYNPYLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPPHMSKQQKRDRVDAIIAELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKTHRTIITTIHQPSSRMFHMFDKLLLISEGHAIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLATGNLDDITVPDALHGSPDPQEFKSQVIRHLQLKYKQSTAAAAGGGGVEAASRRARTEQLRLAMRARKDRRRIGWFQQFMILSRRTFRERTSDYLDKMRLAQAVGVALLLGLLWWKSKIGNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRQTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLIYDCGSRGGCRRLQSSPSFDTVDLDGSMREVWILLAMALAYRLLAYFCLLKRISFMPL >Dexi9B01G0047360.1:cds pep primary_assembly:Fonio_CM05836:9B:46486821:46488688:-1 gene:Dexi9B01G0047360 transcript:Dexi9B01G0047360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDVPTGPCIVLDPETLEEGRTILLDTKGNHHTCNIKPLGGNMSFSLTWKGWILSWDRNDFHTFLYDPWTREEIGLPRFTHDLPRVFDCALSDKPTNPGRIVVILHPDEPYFWYCRIGGMSEWIKYDYDVGMDPADPKGLTWKKRVLHFLRSCNGKFYFPIASVKHGIIEFNPNPVIRIVTMHGFRGGYSAKVCNFELDEELYKFYVFYDNKDNVTDFSLYKVDLVRQRFIKGLVEDNPGRHVPDADAHGALRRGGMSTVTSRFRTRRGVPWASTSSPTCDIGSRSSASRPPDQEAARRAVQKGFVVHAAAAVRVRDGDDERKVAFGVSVELLGVDGDGEEHLGIRVFQQDGHAEMKLVHRRCVRGDDRDLENDSSSSSRSSGSYLVSAADAEMRERGRPGEASLSRLAPRQLPVSPQTTAFRPPYTSPARSKKLSGVNAT >Dexi1B01G0023070.1:cds pep primary_assembly:Fonio_CM05836:1B:28728452:28732489:-1 gene:Dexi1B01G0023070 transcript:Dexi1B01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGTDDASLAAAVAAGKEEDEGLAAVDGDEEETSPIEEVRMTVPSTDDPSLPVWTFRMWSIGLVSCALLSFLNQFFSYRAEPLVVTQVAVQVASLPVGHFMARVLPRTRYRLPKMLGGGEWSLNPGPFNMKEHVLISIFANAGFAFGGGNAYAVSIVNIIRVFYHRHISFFTGWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEKFPKGSRQISRSKFFLVALACSFAWYAVPGYLFPVLTSISWVCWVFSKSVTAQQLGSGMQGLGIGAFTLDWASVSAYLYSPLVSPFFATVNIFFGYVFFVYMILPTAYWGFNLYNARTFPIFSNDLFVSNGTTYNITSIVNDQFVIDTDAYNEVGKVNLSIFFVLSYALSFAAIAATITHVGFFYGKEVYQRFRASRKENPDIHTRLMKKYDDIPGWWFYSLMALSITVSLLLCTVLKHEVQLPWWGLIFACGLAFIFTLPISIITATTNQASHMLTPGLNVLTEYVMGLIMPGYPIANVCFKTYGYISMSQAIAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNIGVAWWMLDSIKNICHVDPSSDSPWTCPYDHVFFDASVIWGLVGPQRIFGNLGNYGALNWFFLIGAIGPIVVYALHRVFPSQRWIPMINLPVLLGATAMMPPASTVNYNAWLFVGTIFNFFVFRYRKKWWERYNYVLSAALDAGVAFMGVVLYFSLTMESKRIDWWGTRGEHCPLASCPTAKGVNLGSDSICPVF >Dexi3B01G0028670.1:cds pep primary_assembly:Fonio_CM05836:3B:27164343:27165734:-1 gene:Dexi3B01G0028670 transcript:Dexi3B01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMSSLMSSSAAAEAAMLSAAETVLLPPTLPSPSGLPPFSKVSCICSANSRFHSTSPIDGRSTPHFSAVSAYFFRQSGAILPLSTHGVIGEADLLPWQARVQRRPRAKHLEEHDAEGVDVRLLRELLPPEVLRVQIAEASLDHGADVRLVHGCGASLRQTEVGDLGHPVLVDQDVGRLDVAVDDGVLGSRVEIVQAPRGTDGDLEALPPRQRRLTGLVQVLPERAVSHVVVHEYHLAIVLAAANERDEVLVPELGEHLDLGLELENALLGRRVAPFDGHLGVAVNDAPVYLAKPSHANHQRLVEVLGCCLDLLEREVPAHGGDVRVECRTLATGRLARLPPYTGSHPEECETLVPSARLFFDWYATTQMAATIPKAAAPPAAPPITAALRLLGFSLNFPCASSISASSSILDGSGFGPARLPELSLILNISRPLSRAS >Dexi9A01G0028050.1:cds pep primary_assembly:Fonio_CM05836:9A:32664058:32666601:-1 gene:Dexi9A01G0028050 transcript:Dexi9A01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFAAAPHASLLPIFSPDPAAARPPRGILRSRTSRLRLPRATGPTGRGRGRGRGRGGFANEWGERSPPGAPETPAERDPPVNEDDEWGRDADEGNSRPIIVDEWGEPAEPEPEQPSSADPPIPGADDEWEEETAAAAAPPPPAEEEVVDEQAERRGDLKRCLVDTVYGTELGFRASSEVRGEVIELVTQLEAANPTPAPVEAPDLLDGNWILIYTAYSELLPILAAGATPFVKVKQISQEIDSKSMTIVNASTLTTPFASFSFSATASFEVQSPSRIEVQFKEGSFQPPAISSSVDLPEQVDIFGQKISLGPVQQALNPLQQAFASIAGSISGQPPLKIPIPGNNRGRSWLLTTYLDKDFRISRGDGGLFILAKEGSPLLDQL >Dexi9A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:9A:8157972:8162289:-1 gene:Dexi9A01G0012810 transcript:Dexi9A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTQREGREEAPPPDLASSSAVHTAREEAPSGYSSTRWQATAPPPPPPLQQTPSLSPPHGHGLAAALPISNPGENGTEPAAAQIGSAASYCCGRAVPHDLILNTPIPAEMEEMSKELDDLRAEVEALAGQLRAKSDLADGLKRANADQAARLRDARAEAERHAAEAAARGEEAAAAGERCGQLEARLAEKEQALRHLCGVHEALKGTLREKTEGLEADKRGLLAALEDAEARQAEQEAALRARDGEVARLRGLLSEKERRCGEAEKRAAAPREVLMRDDMLVKLEEEKAAVEGKLKWKAEQFRHLEEALKKVQDDFRTAKREWGSDRSTLVDRIGTLEVDLDSKTRIAEDFRSRLKMCSQALAHEEGRRKRVEAEMSELRSMYGNVISEYEEAKSMVESLTANRDGEIASLRSSLAEKVTLLKEMGYSEAHLEQENEDLRSMLKEYQEAQIDGADAVVSLKDLREKFRALEQTHRSCTEKLRNKEAEWRMQMEKLGDDLDGCLSQLESKDTLIRELRNELLCSFKSLELQTVENWEALIINAVVQSKFCESCSYVCTVKLNMERQYETIEKEIASVRKQLEERNSTIVQSQAEQKLQSEVIAKLQERIEELEHTEQEHEKMQRQLDTYKEMLENTSRDVHCLKDEVSEKENNLQEKLREALGALDEANCALADRKNELSQLEINLQQQRQAIEHLEKLKVELQCELKGYMDNNNILKRDLDAALVAKIEAEEMLRHEKVQLLGALDEANYVLSEKSNELSQFEISFHQQKQALEHLEKLKVAMETELKTFKGSYSEIVEVYGKKLLKLEERVSLFEQKFACREQEIMDMFDQEEADWYTLIAEKEVAISDIQRTVESVKLDIEQLLETAAAKVTEVQLEVNQLYQFAETLNSLNTVQEHDSVFKDVLIAECERELESLQVDLVLEKEQSLNLKNLIEQLKAEAAAEMSDKSKEHMEVANKLKSSEERNEMLEEQLAVLNSKTSNLSNVLLKERNELIDELTGLTNTIGEVIYGGEDLIANVRKIMQKVNEEEPGNDKPSLDKTNYKTSSLIRNKSGHVLERSPLKENNY >Dexi6A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:6A:28873513:28873971:1 gene:Dexi6A01G0021720 transcript:Dexi6A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSFSRVRALPTWSSSVSGSGDDHLSCSVVAMSRPRSGVRAPARMMGNVNAGKGVFAPLVVVARNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADSKQRQGLIRLAKKNGEKLGFLA >Dexi2B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:2B:8178370:8181071:-1 gene:Dexi2B01G0007970 transcript:Dexi2B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRTPAVRRPLLAASALLRSHRHLSSTNPPPPPHHPNPLASELLRLLSAAPSWTPDLAGAVSSSLSAAPSSVVDAVIPVLRSLKNPSVAAPFFLLASSAATPHPLPADAYNAVLPFLSHDLAALEKVLEEMSALGYGVPNPACADLVATLVRARRLDDAERAIGAMRRLKFRPAFSAYTVLIGALAEARRPERALELLWQMQEVGYEVGVPLFTTLVRALAREGRVEAALELVDEVKGSCLEPDIVLYNACIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGRFEDAYKLLDQLKERGCIPSVVSFNSILTCLGKKRKVDEALTLFEAMKKDAEPNSSTYNIIIDMLCIAGKVEEAYKIQDEMEHSGLFPNLLTVNIMVDRLCKAKKLEEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGMVDDAYRLFENMLDAGHNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCQPDLTLLNTYMDCVFKAGDVERGRAIFDDIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQAFVLDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVPPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGNITDACNLFEKFKANGGTPDAASFNALIEGMSHANRAIEAYQL >Dexi5A01G0026530.1:cds pep primary_assembly:Fonio_CM05836:5A:30148658:30153354:-1 gene:Dexi5A01G0026530 transcript:Dexi5A01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAAVVGTAVVVCAAAAAAVGVAVVVSRRSRRRREAEDERKRKSAAVLEEVEKAMSTPTALLRGIADAMVEEMERGLRADPHAPVKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVKQEYKEVSIPPHLMVGTSTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANAIPKWNGTLPRSGNMVINMEWGNFRSDKLPTSEYDKALDFESLNPGEQVPDTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGKDKLPNDFSQQRTVVAMDGGLYEHYKKFSACLETTLTDLLGEEAASSVVVKLANDGSGIGAALLAASHSQYAEAA >Dexi9B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:9B:2347001:2347594:-1 gene:Dexi9B01G0004070 transcript:Dexi9B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAAIRHLLAAPANKAPRSPSQLALPSSSRGPAPLRAAAEEATAEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNTFDL >Dexi7B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:7B:18976019:18978101:1 gene:Dexi7B01G0012130 transcript:Dexi7B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRGLIAYEEPPPEATDLLSSAWCSSAIQVLQGPKECSMALVEHPVMSLDKDQKNLLSKSNRSLVVDNSSFSTAQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWLPRKMAPWNGGISLKKWVKERKQRRKEEARLQRAEVHAAVSVAGVAAALAAIAAENAAPPGAAGMRETAVASAAALVAAQCAKVAEAAGATRDQVAAAVDAARASTDASNVITLTAAAATSLRGAATLRGRRSTGSSGGGGHGQNERGDHAGSARSQDDLDFDLNHAGSRAALAKGDEMFVAMPDGKWKLHTVSAATNKRGEVVLRVKKVNLVMAFSHAKESVIRDVRPCAPEKPSPDDGATYPVEVSTSKGKVELRADDYGVYKRWVATLSHMLVMSTAVVSARHDPPRRD >Dexi9B01G0033980.1:cds pep primary_assembly:Fonio_CM05836:9B:36021121:36029065:1 gene:Dexi9B01G0033980 transcript:Dexi9B01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAKVVLDPAFQGAGHKPGTEIWRIEDFKPVPLPKSDYGKFYCGDSYIVLQTSCNKGGAYTFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGHDGKLQAESDSGEFWVIFGGFAPIGKKTINDDDVVLETTPPKLYSINNGQLKLEDTVLSKSILENTKCFLLDCGAELFVWVGRVTQVEDRKTASASVEKFIIKVNRPKTTRITQVIQGYENHTFKSKFESWPVSNTTGNANTEEGRGKVTALLKQKGVDVKGISKSSAPVNEEVPPLLDGGGKLEVWYINGSAKTALPKEELGKFYCGDCYVVLYTYHSGDKKEEFYLTYWIGKHSFQEDQEMAFQIANTLWNSLKGRPVLGRIYQGKEPPQFIALFQPMVILKGGISSGYKKFVEEKGMKDETYCADGIALVRVSGTSVHNNKTLQVDAVPASLSSTDCFILQSKNLLFAWIGNSSSFEQQQWAVKVAEFLKPGVAVKHCKEGTESSAFWSAIGGKQSYASRNVAADVAIREPHLYTFSLRNGW >DexiUA01G0015670.1:cds pep primary_assembly:Fonio_CM05836:UA:33195871:33201070:1 gene:DexiUA01G0015670 transcript:DexiUA01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSWNAQLAGAAAVLVADAVDEPLLTMDTPEDETPDMAFLSNITIPSALITKRLGDALRRAAVFSTSESEEVVVRLDWSESMPHPDERVEYELWTNSNDECGPRCDEQAAFVRAFKGHAQLLEKGGYVSFTPHYITWFCPDAFLDTPQCKAQCINRGRYCSPDPDGDLAAGYDGKDVVAENLRQLCVHRVANATGRPWVWWDYVADYHLRCSMKENKYTRSCAEDVIRSLGKLESTAVLKAICAGFKESTEPHVCLTPGMETNECLDNNGGCWRDEKTNITACKDTYRGRICQCPVVDGVQYLGDGYTHCKAFGSARCAVGNGGCWTETRHGKTFSACSGSDLSGCKCPPGFKGDGFNCQDVDECSEKLACSCPDCSCKNNWGGFDCKCSSGLMYIKSEDTCIAKHTTAFWWLVAYLVLSCVLSVAVAGYVFYKCRLRRYMDSEIMAIMAQYMPLDSQHNENQPLRTEEPQQEEA >Dexi7A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:7A:26947339:26947679:1 gene:Dexi7A01G0017210 transcript:Dexi7A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNVMLERQRGQLECDLSHLSMHCWWKAWLHLGSSRRLSPSLNSPRQTEQSVVWTKASPRLYLHKGLIQAGRWRHVPGFLTPGLSMAAAAAATTTAAAAAGHGSAT >Dexi2B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:2B:5859043:5863263:-1 gene:Dexi2B01G0006250 transcript:Dexi2B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTAASSTAASSSSGPSTSASASASAADAGATHYLAKRVLRGSAVLHVAEGCFRSPDAADVVLAKEGL >Dexi5B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:5B:1302466:1304025:-1 gene:Dexi5B01G0002020 transcript:Dexi5B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSVLIVFLLLLSVAGHVCSQSYNAMFSFGDSISDTGNLCTGPAGCPSTLDIVHSPYGNTHFGHPTGRCTDGRVVVDFLAERLGLPLLPPSKASGVDFKKGANMAIIGATAMDLEFFNSRGLGRGVWSGGSLGIQIQWFRQLMPSICGTDAAGCKSYLSKSLFIVGEFGGNDYNAALFHPGSGIAEARSYVPQVVDRIASAVETLMGLGATEVVVPGVLPIGCFPVYLTLSPSSNKGDYDEAGCLRGLNDLSSYHNELLKRAVSSLRSKHAGVRLMYADFYAQVVDMVRSPETFGLRYGLRVCCGAGGQGSYNYNNDARCGMAGASGCGDPENYLVWDGIHLTDAAYRSIAGGWLSGAYCSPGILH >Dexi1A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:1A:22427870:22428229:-1 gene:Dexi1A01G0015370 transcript:Dexi1A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLAYLTRREGRCKIQGDDGGSDTSGIQTEGDAGGAYSSSDAALRDGSPRRHLIRTPFGAADDDAIAALGEQLASGAKSGGGRLGSVAHASLRLGAAAVRNEQRMPGGVVAAEPAM >Dexi1A01G0025140.1:cds pep primary_assembly:Fonio_CM05836:1A:31445745:31446191:-1 gene:Dexi1A01G0025140 transcript:Dexi1A01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLAQAVAALVGTCARRLTRAARRLQHNLRPRDGVAASLSSRAIAPFLAAGGGGGGVKKALSSASKSRAKGRKEEEQAGDGVWRKEIMMGERCQPLDFSGVIYYDAKGRRLAQPPPPRSPMRSPLPSPMRSPLPASVKLAANAGGY >Dexi8A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:8A:7999818:8000678:-1 gene:Dexi8A01G0007210 transcript:Dexi8A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVQFTNVPINAMLSFHFILAFAIDYTPVNQQPTPTPTNGVFSPFWDTGNLSPSAVAAIKAAHPNVAVMAGLGGDSVQDITKAIFTPTSIDSWVSNAVTSLTGIINKYGLNGVDVDYEHFAPGADVDTFVECIGQLLTQLKAKMPWITTSIAPFEDPVIQKYYQPLWKKYSGVIDYVNFQFYGYGANTDVDLYVQFYNNQVANYPGAKVLASFMTGNTTGLISPDLGINAAKELQRQNKLPGLFIWSADSSFKSSYGFKYEIQGQQIIANH >Dexi9A01G0027160.1:cds pep primary_assembly:Fonio_CM05836:9A:31622401:31623907:1 gene:Dexi9A01G0027160 transcript:Dexi9A01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAMSGEATAVRVIAVSRVVPSPAPAEHVRVVLSFLDAPWIATPPVQNVYLYKLSGVGDPNDEYAATVKWIKASLAAALALFVPLAGELKYVPETGDVVVDCSDPAVPFFEAEAESSRRMDIDRLAGDAAHDVEAFVSLVPRHDARVLPARVLSLQATRLRGAGLALGLSVHHAVADGRAMALFLYAWSSASRGGGGSPVTSSKLLGPPPDYTREAVARTHPSGHELARALVKKVAPNLPAANSKADYFSQRSQLARRTFFVSADEVRFLKHRIERLASAAGEPKPTVSTFAALVTLGWTGMVRAKGLSEGEDAYLTFYADLRARLRPTVGASYFGNCITGCLAKANAGDLLGEAGLLHASRAVVAALREMEEAPMAMAETWVESVARLPLARVVTRVAGDTVFRVDEVGDFGFGKPCRVESVSMVHDGRIIIKGRSRPDGEVMVSVVLHPAHMEAFMAHINGGLRSRI >Dexi1B01G0024010.1:cds pep primary_assembly:Fonio_CM05836:1B:29486192:29486795:-1 gene:Dexi1B01G0024010 transcript:Dexi1B01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESSVTAEDWRRALSRVVPAVVVLRTTSPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPAGT >Dexi3A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:3A:275657:276002:-1 gene:Dexi3A01G0000270 transcript:Dexi3A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESAVMGRLRGCMGMAMSMMTTLFCGEVSRTQMYFSDSMVTWVKVTNCGVMPRLVSCKSKGATRSARERRRGRDGLVVTVRASRMAMGALAAAMATGGGDEISEE >Dexi9A01G0037940.1:cds pep primary_assembly:Fonio_CM05836:9A:42184878:42186340:-1 gene:Dexi9A01G0037940 transcript:Dexi9A01G0037940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVETHARAFADEVRGGLETKNWMLDLGHPLLNRIAESFVKAAGIGAAQAVARESYFMAIEGEGGSVSGSTGSRKRSFPELNGSNSGSKSAEAMVKNVSKESLQWGLAAGVHSGLTYGLTEVRGTHDWRNSAVAGAITGAAVALTSDRASHEQVVQCAIAGAALSTAANVLSGIF >Dexi5A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:5A:5754457:5755801:-1 gene:Dexi5A01G0007760 transcript:Dexi5A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDANRAMPVSHSRLGGKLLNVVPMLLLFSLGFVLGMTYSSKFPNFYLPFVPPLLYPTPPSPPPFPPPPLPPSPPPPTPSPPPPLPPPPTNPQAGPIRFLAPSTVTHNMTDEELLWWASMTPKAQSSPYHHVPKVAFMFLARGDLPLRPLWEKFFAGHEALYSIYVHTDPSYTGSPPEDSVFYGRIIPSQKTMWGDITLVAAERRLLANALLDLSNERFVLLSESCIPLYNFTTIYTLLTSANTSFVDVIVTTVRYSPLFSDRNNLTASQWRKGAQWFEVDRAAALEVVSDATYFPTFRERCAGQRGCLMDEHYFPTLLSVLRLLPRNANRTLTFADWDRRRRSGFHPHVHRAEEVTAELIGEIRSGERSGGANCSAFRDAASGVCFVFARKFTPDTLEPLLRLAPKVMGFG >Dexi1B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:1B:98483:101598:-1 gene:Dexi1B01G0000020 transcript:Dexi1B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHIPWGRFFLFLHVLCVLLTNIHHTSYGCSVEERAALMELRSSLERANTTAPRSWGRGGDCCSWERVNCSGSGSARRVSHLYLSKLYDISTFPSTYTGRLFWSFNTTVFSAFSELQSLDLSSNSPSTLGSDGLVGLNLTKLQYLNLSSNWLGESILAPLGELVSLQVLDLNFNGMRGVLPVAVFENLRNLRELNLSDNQFNGSLPKNLLVLPHLKILDLSQNSLVGGIPISSFSDDELASLEVLNLSNNNMNGTLPTEQVEDTRGFTFDTKGNQYTYGFNFFDVMSGIDLCMNMLSGEIPWELGNLSHIKSLNLSNNFFSGQIPVSFANMSDIESLDLSHNELTGSIPWQLTKLWMLESFSVAYNNLSGCIPNSGQFGSFTAESYQGNGNLHSMSQGGGCSSHGSGADDMPVEGSDRIEDDPVLYAVSAASFVLAFWATVAFIVCHPVGRLVILR >Dexi3B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:3B:5357566:5359877:-1 gene:Dexi3B01G0007540 transcript:Dexi3B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGVRAAALGLLLVAACALRPQGAAAQRYNAIWSFGDSISDTGNLCVGGCPSWLTTGQSPYGETFFGRPTGRCSDGRVIVDFLAEHFGLPLLPASKAGGDFKKGANMAIIGATTMSADFFSLIGLSDKIWNNGPLDTQIQWFRQLLLSVCGSDCKSYLSKSLFVVGEFGGNDYNAALFSGRSMAEVRGYVPRVVSKLIRGLETIVRAGAVDVVVPGVLPIGCFPIYLTLYGTSNGGDYDGDGCLKSYNGLSSYHNALLRRSLASLQRTYPHARIMYADFYSQVVHMIRAPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMAGAAACSDPGNYLIWDGIHLTEAAYKAIADGWLKGPYCNPAIQH >Dexi5B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:5B:7204956:7210549:1 gene:Dexi5B01G0010280 transcript:Dexi5B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKASFPGELSAVSFLDSNRGPFGQHKVDFTFQRKGKRAISLRRTCCSMQQAPPPAWPGRAVAEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFQVVALAAGSNVTLLADQVKTFKPKLVAVRNASLVDELKEAIADCEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRIPILYTLSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCSAHQSELVTSPSLEEIIHYDLWARRYAASLQPSSGLSPVPV >Dexi7A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:7A:29023723:29023971:-1 gene:Dexi7A01G0020110 transcript:Dexi7A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRNAKANVRSFAQAKKLAPAVASRRADKAEEGMRTVMYLSCWGLN >Dexi3B01G0026220.1:cds pep primary_assembly:Fonio_CM05836:3B:21165301:21166511:1 gene:Dexi3B01G0026220 transcript:Dexi3B01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVQQLTRAFSGLGGLGVDEPTMVSALARWRKQPEKRSGFRKGFPGFFKSHGDIDRCEEEYMLHLAAEFARFKNLMVMWAMHPWERDARLAHHVLHQHHPPAILVEVASTRSADELLGARRAYHQLFHHSLEEDVAYRAKDKPYCNLLVGLVSAYRYEGPRVNEEVAREEAKALGAAVKSAAAGGKKLAAVAENGEVVRILTTRSKPHLVETFKYYKEMHGRRIEEDLVGHGHGEEEETTLVETVLCLAAPARYFSKVIESALRDGADHHAKEALTRVAVTRSDHDMDEIRAAYQEQFGVKLEDAIAAKAHGHYRDALLSLVGAHHQQ >Dexi9A01G0022180.1:cds pep primary_assembly:Fonio_CM05836:9A:17242906:17243780:1 gene:Dexi9A01G0022180 transcript:Dexi9A01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNVHKLNASRLLLSPLEMRSERKSHEGKIIMERLFNFQLEVTRQSFGSQYPCTKGRGKYSRKNNDHWTEEEMTELVDGVSKKGIAQWRKVKADYFSESIRTAVHLKANVQKATEVIVNRLGHRILALEAKHHKKK >Dexi6B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:6B:8213337:8218866:1 gene:Dexi6B01G0007110 transcript:Dexi6B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSINQSGYAMQHVGAFVGSGVHSRILEKSSSNNLSDPQMSGDIGLPRSNMQLTYGTVAAKEFMNLPPYGNSTEEPLQQEAICHPPQGTPMDNTQLPKSKLVKKRNDDELEEGWVACDKCKRWQHQICALFNAKRNDEEKDAEYICHICYIQEVEHGLRTPLPQNTVPGAIDLPRTVLSDHIEEHLFQRLKDERQDRANKYGKTVNEVPQAEGLVVRVVSSVDKKLEVKPHFLEIFKEENYPAEFPYTSKAILLFQRIDGVEVCIFGMYVQEFGAECAFPNQRRVYLSYLDSVKYFRPEIETVSGEALRTFVYHEILISYLHYCKQRGFTSCYIWACPPFKGEDYIMYCHPEIQKTPKSDKLREWYLSMLRKATNEGIVLELTNLYEHFFNPKTDCKAKVTAARLPYFDGDYWPGAAEDIINQIFLPENGRNLQKKGKLKKTITKRDLKAARLTDLTGSSSKDAMLMQKLGEAIYPMKDDLIMVHLQYFCHHCCIPIISGRRWVCNECKSFYICDK >Dexi6B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:6B:21990645:21994191:1 gene:Dexi6B01G0014480 transcript:Dexi6B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGLPMFLLAGTPLSRIVGSSTMDSFIVVTSRLLSLAHWRGPREGTRSPGASARVSTSRLLSLAHRRGPREDSNRPAQTERRRLNDMAQRAQLRIVVMLSQKPDRPKHIVAARAMWCTLGRSLGTELSEREEPMSTQHAPMGSYADDVLLACFLFRRR >Dexi1A01G0030910.1:cds pep primary_assembly:Fonio_CM05836:1A:36097352:36101369:-1 gene:Dexi1A01G0030910 transcript:Dexi1A01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVALVVALANLIPLDDAVDELDSYMYQTVGHQIVVCYAKCMGLPLFRRRIRGSTRQASISVDIAALIRILSILYNFISSTIAVRRDQGLKYNVTAGDEVEDMFALLSEVKRQIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLRMNESYGINVCGEGGEYETLTLDCPLFRNARIILDDYEVILHSADSIASVGILHPRAFHLEHKPDSSDRSGDGSVTQEISSCLYEVDEDNTHTVAEEKQTLSPAVDAYTNIDLCISKTGKNLRSIGCWIQDRSRASEGLKTDLIAVLSRIDKQLKEEGLDWVNVLYVHLYISNMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQFGLGKAYVEVLVSNELKKRVLHVQSISCWAPSCIGPYSQATLYDEILYMAGQLGLDPPTMKLCPGGPTAELELALQNCEAVANAFSCSIYSSAIHFLVYCSAQLTSADKEEVEKTLQSSYITRLNCPKTGSYPTVLYVFAPDLPKGAHVEIKPILYVPTTDDGVATREVETGTTQPAPSQAWTAQYSGLHDSCCQIHTIDGRICSAVVSVTNDIASKIFSTAGQLCHTDENLKVMARFCVFQIAKILADNIFSWDCIMMLRFYYSVGHSVAADALSRAFLEAFAELAEDNNFLRIDETPVYNIVPVSGSGGSACMNDIITCELLASKTSLDMHSNSLL >Dexi8B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:8B:4445028:4452875:-1 gene:Dexi8B01G0004760 transcript:Dexi8B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHGVVEDMLRVCPDAAELRDKDGRTFVHAAATAKESNVVRLAIESPTLRGLLDAQDGRGNTPLHLAVEAGAPDIAEAMLRKGKVRADVLNNEGHTAFDLIARSTSFFTMVKLVVNLVAFRAQLGPQRHDHLTPWSDSSTVEKIEKTSDSLAVLAVLVASAAFAAGFNMPGGYDDAGEANLAGKVAFKFFLFLDTVAVATSVAAATSVAAAILFVYGKASGSGAGSWSSFAWAVQCMCVSLFCLLLAFYAALFSAVTSRDAVRYGYLVVLVCIELLLLRIVTWIGTSPRQVCTILRFLWQRCRSRRRRHDGIIKRLYPHVGASVLRLWSAETCKFMNEYNVPNAKALVDFDFDENKASLFSCDMLDNNR >Dexi3A01G0029920.1:cds pep primary_assembly:Fonio_CM05836:3A:32571069:32571678:-1 gene:Dexi3A01G0029920 transcript:Dexi3A01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGNLRQHLSEHLYLISDRILNEELTWDKRYKIIKGICLGLDYLHDHDPPIIHMDLKPENILLDDNMNPKIADFGQSRVLARDKTQTKAKKIGGTRGYMPPEFMKDGIISKEFDIFSFGVVIIEIVTGHKDYADMEFSEFVEH >Dexi9B01G0035720.1:cds pep primary_assembly:Fonio_CM05836:9B:37315905:37319256:-1 gene:Dexi9B01G0035720 transcript:Dexi9B01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDTGDFLLDEDDDDFFVEYNPHPYRGGYDLAATFGTPLPPSANTCYPVSSSAVTNAPTVPSLPKPTPEPEDPPPEEPHGVEEAPREPVYESPEVFPNGAATEGKVRRRGGWRGRGFWKKCVRAVDYLLLMGYKDPYLEQRIGMDSYVVPVCANGKECGEDALAVEVESPPPSVGRVEPHHGSEKLVQSNPLLTYDVEHEISGQPFHCYHHQCYKQPLTVQVESPGPVSSQRLEYYENFSTYCGKSDGHIFETPAYAYNIQSYASISDVPIEPFRPSWPQNWGLYDTNTDGDPLENDAHSLISGEYGGMGSLFVSPFYPTEIETFKRTPSDEHASFQHNLSYQNVPMDDVSLITQP >Dexi7A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:7A:24252742:24254932:-1 gene:Dexi7A01G0014300 transcript:Dexi7A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLVPSSSPLTHARPSTQRRPCVAAAAVTVRCAASSSSSTPSSSSSASESAAAGKQVAKVHSYGTVDYERRAPLRWGTLYRRIAVGNGGRAVGRTLGAWDEGERRLEKWELCRIAKELRKFRRFSLALQVYDWMTDRKDRFPLSSSDMAIQLDLIAKVRGVSHAEKYFDELPDALKDKRAYGSLLNAYAQAMMKDKTEDTFEQMRKKGFASDTLPCNVLMNFYVDVGEPHEVSAIIDEMKERNVSFDVCTYNIWIKSCAAKQDSDEMERVFSQMIADESVIANWTTYTTLASMYIKLGNSEKAEEFLKEAEKRTTGRDKKCFHYLITLYSHLGKKEEVYRIWNWYKATFPTIHNLGYQEVLSALVRIGDIEGAELLYEEWASKSSSFDPKTMNILLAWYAKEGSVTKAEQIINRFVEKGGNPKPNTWEILATAYLKDNKVSEALSCMEKATAVKSASKWKPRPTNVESLLASFKEKNDAESADRLVSVLTSRGCAEDEEYKSLINTYSVAGT >Dexi5A01G0036600.1:cds pep primary_assembly:Fonio_CM05836:5A:38047764:38048453:-1 gene:Dexi5A01G0036600 transcript:Dexi5A01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGRRSYFAGGGQNNSNEDGYGGNHGEQYEATTGGKKRGSSSRLKKSSGSKIDADADDYPKYTGAGDEADDDNRYNNGGGDEDQNSGGNHYNAGSVVLAAPYYGGGYGNGSPYAGGYGGNRAPYGGGSYGGGGGGGGYGSRAPYGGGGGDGGYAPYNNAPAGFWAHQDGTRSPLYINTREVHVHGAPGYDNDNNEQRRGGGGGGFFGPAFHAVGNFFDRRFGLNDRD >Dexi6A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:6A:11884998:11885564:-1 gene:Dexi6A01G0009870 transcript:Dexi6A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKADNCVASGHGRTVCVTGAGGFIASWLVKLLLEKGYTVCGTVRNPDDEAKNAHLRALDGAAERLTLVRADLLDKESLAAAFKGCEGVFHTASPVTDDPVIH >Dexi9A01G0046610.1:cds pep primary_assembly:Fonio_CM05836:9A:49962467:49963586:-1 gene:Dexi9A01G0046610 transcript:Dexi9A01G0046610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGTAGTQRVVRHIGTASWPGRVTLTNKALYFEASGTISYDSAIKHRIPHSQMGGALENYIGSNKAPCCKRDAKDVTATPF >Dexi5A01G0032270.1:cds pep primary_assembly:Fonio_CM05836:5A:34822052:34822911:-1 gene:Dexi5A01G0032270 transcript:Dexi5A01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLHPQAGAGDVAALWPGELDEQLITELLSDESLLLGALQPQQQSPGDDGGESEHCSPDTWASSSAHPAPIDDDGGGATVAEPEESLPQPEAVSRALCSVYTGPTIRDIEKALSSATTSRRPYPWSSSRRYGPMHLFGAASREPESKYTTKVRSCGGKTPSDGYKWRKYGQKSIKNNPNPRSSSITHTYPNI >Dexi2B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:2B:28149800:28156335:-1 gene:Dexi2B01G0017780 transcript:Dexi2B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRQRKQPQREERPPPSSDGNGSDHDDNGKGKKPASKEATRRTKAKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCEKHIKSVVNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGIECKAKKQKGNDTEVSDDITGKSVEVQPEPINYGRLVSFGKDVAEAPSSEIERIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTASSVIDLLQFVAPKMMQRGGVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFRIDTSAEGGDENSCLKGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPAGSKTYVREYSHSPPSTLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEEIGGDQVYSDIFKWSEKIKLKL >Dexi2A01G0030780.1:cds pep primary_assembly:Fonio_CM05836:2A:41598445:41600530:1 gene:Dexi2A01G0030780 transcript:Dexi2A01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGGPEELRLRIEHQHGEGRASVAGHGNDGQDLGAAIDIVRFLKLDTPPTVAIRWDTTEAVLERIWDLHDLLGDGTVGGHKMGDATPQLAAGARSAFLISPSDAALSSLILPLHLPSPPGACPIGDSTRGCGSES >DexiUA01G0024490.1:cds pep primary_assembly:Fonio_CM05836:UA:51020776:51023401:1 gene:DexiUA01G0024490 transcript:DexiUA01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGGGAAGEGEWLKVAELRAMVEAQDPQAKEVDNMTLRRFLRARDHNVSKASAMFLKFLQWRREAVPDGLVPEEKVRRELSHDKACMGGVDRAGRPILVGFPARHYSANRDMEEFKSFVVYFFDKICARIPRGQEKFLCIVDLKGWGYSNCDIRAYIASIEIMQNYYPERLGKALLINVPYIFMKAWKMIYPFIDNNTRDKFVFVDDKSLHETLRREIDESQLPEFLGGKMPLIPLKDYVQHPQAV >Dexi1A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:1A:21839647:21840814:1 gene:Dexi1A01G0014960 transcript:Dexi1A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDGSSSAAAAAPPLAASWGASPSPEQKPTWSRRDDKFLELLLFARNAAINAHDASNVLGGKTPAQMQERCSFIFEEIRSVLEALYVETPREWDDTEITAAAATPKSAAEEEEEAPGAVVVAAQQVAAAAEDSAAATDAGGGGCGNRQTRKKTKKPVQWTHEEHKLFIAGLDLYRGNWNLMSREYLTNKTASQIASHHQKYRKREKQRESNRCKRASIHDITEPGIAAIAAAAAAAAARGVVAAAWKDDASARGQDDEERKPAESGEDGIGPIEEFPWRR >Dexi1A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:1A:45551:45967:-1 gene:Dexi1A01G0000040 transcript:Dexi1A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHRASAAAARKHLRVLLPFSRDRLRIPDELAEDIGVAEALVVGRVKVWPVEVEREGGGGVFLGRGWPEFADASDAARGGPAPPPPRPWRAHLQVLRRQLLP >Dexi3B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:3B:11275045:11276235:-1 gene:Dexi3B01G0015530 transcript:Dexi3B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNDWDLQAVVRSCAGAPEEAAPAPPPPPEKEARREIVNVVGRRAAAAAAAATPEFVGRPVRPAAALIRDLDYLDLDHELPRAPFSITPSSERGQPLDHEVLFSFPAASTSGQQQLIHPRKQPGRKPGVRTPRAKRRGYYKCSSLKACMARKLVERSPANPGMLVVTYIAEHCHAVPTMLNALAGTTRHRTASSPGDDHHASDEASTGRRGEDSADASSMTVDGGGGGAETADDENEPWQQVDMALEGYPLELDDFLGGFDEDFDRFFEGDDDGVLERRVSL >Dexi5B01G0035090.1:cds pep primary_assembly:Fonio_CM05836:5B:35177307:35177999:-1 gene:Dexi5B01G0035090 transcript:Dexi5B01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVCHGVVHLQNVRKVLPKDCLTKTKDPTECFFAAELIKSINTPTFILNSEYDSWQDSEKS >Dexi2A01G0032890.1:cds pep primary_assembly:Fonio_CM05836:2A:43214712:43216841:1 gene:Dexi2A01G0032890 transcript:Dexi2A01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHAHTLQLASSSARIANPPAMLAYLLHAPAAAAVVAAAPTFRSLPPAKTPFLPSLPRPASPRRAAAFAFAPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHVGLAGSPRNPFLSHEIGEDGAGDSDLGFSKWAEKLRGGASGGEKDAQDKRKLTSKWRPTAKGTLKRTYRVRSTDEGRRILKEIASVLSQDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITPFPAGHLTDNDYRKAERLEMVLRLSASI >Dexi5B01G0035170.1:cds pep primary_assembly:Fonio_CM05836:5B:35230524:35233742:1 gene:Dexi5B01G0035170 transcript:Dexi5B01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRATRLLPVFLALVAAAVAPSAVSRDEREVREVSGAPDGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVNPDLVLITGDLTDGKSKDLLTMKQNEVEWVEYEKSGGDYDFYQKYSINAKLRRQGRVQSITLELCRALVKAYAMNPLVHAPVLFFFIPALMGFAIYKTSSI >Dexi5A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:5A:1182584:1183105:-1 gene:Dexi5A01G0001650 transcript:Dexi5A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMAACLVQQRTTALPAVNQKQRLISLKLLMKAIHRMKRRSAAAAGRSGKDKIESKSSSSSPAAAADGSKGGGMEESIGSKVAVSKNNPKGAVLRSRLHNRGGGLKKQQGAVRVKVVLTKEEAARLLSLTVGGQKTAAQIVAEIKRMEARRAANAATATWRPALASIPEESS >Dexi2B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:2B:12722653:12722862:-1 gene:Dexi2B01G0011140 transcript:Dexi2B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAALQPLLEEYTAAMARAVERLLSAAAPGRTLPRRMRFLLVLRSLHFAAASPPTHAVVPVLAG >Dexi6B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:6B:26056734:26060188:1 gene:Dexi6B01G0019270 transcript:Dexi6B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGAADPRHHLSPQLGGQQPQPPVPRSPTPLDLASAATSGYRRLSPSLRPPAHPQPRLLSPYGQIPAPGGGGHHARSLSQPLFFSLDSLPPPPYADLAPAVPPSPPSSTSDPHHHHNPPPPQLGLPPRKAGHRRSHSDIPFGGFAQLSPPLPPPAPVKREVTVASEGCRSDGGDEAAIYDLVNAYMDLDGLDPLNSSEDRHDDRDSRASGTRAGSAAESSENEAESQSTSVDRKDGGKYIQELEHKVQVLQTEATTLSAQLTMLQRDSSGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKMATGEMTDGRMAKSLQQQMNSQMLQLQQLQIQQQQQQQAPQAQQQQGQRHQQQQKSA >Dexi2B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:2B:10694233:10695194:1 gene:Dexi2B01G0009990 transcript:Dexi2B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKPFTFRNNCPDPVWPATLTSGGPTFPTTGFLLPPGTSISFPGVGATWSGRVWGRSRCAATTSASGGAARFSCESGDCGTGQLACNGAGGAPPATLAEFTLAGGGNDFYDVSNVDGFNLPVEIQPAAAAAGGGVRCPSTSCPADINRVCPSELAVRAPAASGDEDAAAAAAVADHAER >Dexi3B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:3B:5982551:5983213:-1 gene:Dexi3B01G0008570 transcript:Dexi3B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHGISRASAPSSKGSLQTAQWDSAATWAASTATTGMDSTAALDAGGLSRARPTGLDLRELVEEALEAGAHEEVGHALRERAEARARAVIVEELEAACRGGGSSSVGGAVGGVPTGGGAAEHDDGVERRGPGVAPGSPRGAAGATSAAEPHVGGGRGVVMVVVVVVRARAAEAARGGGAPISSRNPPPQDGQATAAVGEPPPSSCCAAARTKRSHW >Dexi3B01G0008570.2:cds pep primary_assembly:Fonio_CM05836:3B:5983214:5983726:-1 gene:Dexi3B01G0008570 transcript:Dexi3B01G0008570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWPSSSRQLVLRLLDPWLKAALRSDAASLISGMVWDCEDDAREEEWPPRPKQANTLRRMRLMAPLSVEGLCLDPQEILLGAPPLLNPPSISTTGSSLSSGLLPPANLPTAKPPPGSLHMVRPTVASSSAAASRAAPARGASVGISWRHTGQELRSASHGRMQSAW >Dexi9A01G0028600.1:cds pep primary_assembly:Fonio_CM05836:9A:33349468:33380764:1 gene:Dexi9A01G0028600 transcript:Dexi9A01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLNKEALKISVWRAEPATQVEGCSEDAVQEAKRSRVREMEIKLLERQQQLKSELNSSWLGSFISTVIGNIKLSIGNIHIRYEDVESNPGHPFAAGLVLSKLSAVTVDDLGKETFATGGDLDRVKKSVELESLAMYFNSDSSPWTVDKPWEDLVPSEWTQDGYRDILKMADNFSSFNQRLRYAHLRPSLPVKSDPRAWWKYAYRVVTQEIKKSSGSLSWEQLLRNARLRKTYVSLYASLLKSDMSRLVVDDHDEIKRLDRELDMEVILQWRMLAHKFVEQSAETYQTGSSKDEEDSKSFTDEDWERLNRIIGYKENNEYIPDQQDMKLMQFDFEIRMKHNASKLTIDDSEFLADLSCQDFCCNLKMYPEAKIFDLKLGSYRLLSPYGLLAESANVGDSFVGIFSYKPFDEQLDWSLTAKASPCYITYLKDSIDQIVGFFKSSPTISQNLALETAAAVQMTLDEMKRTAQQQMTRVLKDQSRFSLNMDIAAPKITVPTKFRPDDVHETKLLLDLGNLVLRTEEIWDSYSSEEQDIYLNFNLVLSDVSAFLVDGDYHWNKTSDGINLLPVIDKCGIALKLQQILEDTGALILLFDNDEGRKIWQSRLQGAIYRASGSAALSSFPEVALSSETNSFKGNFPDVDTEKLFVAGILDELKICFSCGYESNHKLKKILLAKESSLFEFRAVGGQVVVNIATLTFFCHRPTVIAIMEFMNAINLANGADTGKDKDTYPATVRDEAQILLMNENGDRLATLSQNNLSTDVKVFTSSFSIKAALGNLKISDDSLRSNHPYFWVCDMRNPGGRSFVEIDFTSYNAGDEDYCGYDYSLAGQLSEVRIVYLNRFVQEWIGGDKNEMSAVHLDILTVTVKDINLVIGMDMVRGETIIQDVEGLSFELRRSLRDLWHQLPAVEAAIKVDVLKAALSNREYEIISECALSNFAETSHPVPALDDPQYGTSTTPSHASASSSSETSENDSVYLIREDDGFLSSPSEEIAEDPVKNASADMMTEFIMELQAIGPELTFYSTSRNAGENLALSTKVIHARTDAYCRLIMKGGSMEMNGNILGLKMESNGIRVIEPFDMDNGNMIFWRADNAFGSFLPGDPASMSMHGKAYDLRHMLFNSADSSSKTISRRQNSRNDASQLERSALTSGMFYFGDIAVNGYEPPNSAVVLRDTGEDTFLRAPEGYDLVGRIKKHRGTEGISFWFPKAPSGFVALGCIASKSSPEKEDFSLLRCIRSDMVTGGQFSEESVWDSSNARTSEPFSLWTVDNDAGTFLVRSGYRKPPKRLALKLAGPPTSSNSDSINIDAEIKTFSAVSFDDYGGMMVPLFGMSFDSVGLSYHGGSHHLNATLNLHSSASSAASAGLLVACMRGVTVSWLIIEYNLTSKTPKLPQLEVGNLDGDDRFLIKVDEGLATGEYMTAVRLFSENSSVSDAQQQSARTCASAGEHSSQNIRKVNWNEMFFFKVESEEPESVKASGKIRFAVLVSGRAGVQPGNTASPGRNSSCSLGTLNPGDAVKVHNVDPRNPLYLSVIPHGGWEQMHEPILISHPTQAPSKFINLRSSLSERIVQILLEQSSDNDYLMARDGAVDLSAYDDDGKCMHILLCSKPSSFQAVPTKVIHVRPYMTFTNRIGQDLYIKLSVEDEPKVLHAYDWRVSFMYSEGDTDKLQVQLVDTDWCQPLEIVKEDTIIIAMRKQDGSQKFVKAEIRGYEEGSRFLIVFRLGPAYGPIRIENRTSSTTISARQSSLGEDSWIQVKPLSTRKYSWDDPYGQKAIDVSIQKGDVTSVLCVDLENPIGSYTSFGEHGLNFSIIETGDIKIWKFTDYLRKEEVYGLPGSEFIDDQALALKENEIETDTSPLELILELGVVGISLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRLVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNAFKIQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGLVGIAPGLGRAFVPSGQRHVYFASDDDKRESHSLSRSLISSRGTSSDVEQRFTNDTVNFEKMWSSEPEIRSRCKLVAKQVADDGRVFSIWRPLCPNGYVSIGDVAHVGTHAPHCAAVYKKDNGNFALPLGYDLVWRNCAEDYKSPVSIWLPRPPGGYVALGCIAVPAFEEPPLDCAFCVDERLTEDAEYEEQIIWASSDAYPWGCYVYQVQSNSLQFIALRVPKEQSELRPKKILESIVQRPSTTPRQEMQTCRG >Dexi7A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:7A:27585854:27586484:1 gene:Dexi7A01G0018130 transcript:Dexi7A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCEQGVAVLGFVDDKLAAASGPGEGERSISHSLEYPCTARFRLRRLLAYLRRWHSHAEVYEAARANTRVIFHVKDLVKKVKEGQWREAGYYVNRFAPFYQSGYEARLLMMFLHDLMALSDFSNGHVMVASYLCDWFMSIYKTPVLNKYPCFATLVADVLFMRSDHARSLNFLS >Dexi1A01G0031340.1:cds pep primary_assembly:Fonio_CM05836:1A:36348671:36349675:1 gene:Dexi1A01G0031340 transcript:Dexi1A01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRLPGFFAHAFGSSIILACPPPEDSPPLGATPIWDVRKRSISFGPPLGLPLCPIFFPVGRSKLFALHSDALELLPRSPRHPPPPPANVVEDEDDDEEEDYDDDDDDEDGWSQLPKPPFSSTIVASYAVHPDGPSILVSTENILGTVATFTFHTNKLVWKKHGDWALPFTGRAHFDRHLQIFVGLSKDPETLGHLCSCDKASLNTCNNTTDGELPAPAWKLYPDKLFSANPGEKHVSATLVYLGSGSKFCLVECLSLELEDDDDQVFEDEEGGRRHRRHVYRLTKFSLKYDSKGDLKTMSRCVRYYKVPRKASIELIQDPPVAFWL >Dexi6B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:6B:22839247:22839900:-1 gene:Dexi6B01G0015510 transcript:Dexi6B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGWAHAGWRRAAGSRDGEEGSTSTSPSPVRPGPPADHVANAHAVARGGPRHPTRGDKRSRGKGWGFCEVPFLSFSGAPSVRLRLVHVAVAQPCRRCRGHARTDGASTSQRLPVTCGQHDPTR >Dexi8A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:8A:57494:60397:1 gene:Dexi8A01G0000080 transcript:Dexi8A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRSLEKKGVLAKIRAELRASVFEAIEEEDRVVENEDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKEFWKNELKDFSNKSAAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESEPSLNAEIRNMRRPPSSSVGSLPPMGRSISSSQTSDRRGGSSASNTRKDEYNWRYDADDMSEEVLRASAALESIQLDRKSRNLPTSWRHSGDGAE >Dexi3A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:3A:10409359:10416676:1 gene:Dexi3A01G0014270 transcript:Dexi3A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPPSQGSLTTSDALTYLKSVKEKFQDRRQKYDEFLEVMRDFKSSRIDTAGVILRVKSLFHGYPDLILGFNAFLPKGYAIGVEDLDGDNNGGGKRPPVDFAKAISFVNKIKSRFQHEDHVYKSFLGILNMYRMHNKPIQDVYEEVAALFRGHPDLLDEFKHFLPDTSTPPQAANISRVRHDEKNTVLHSARSLKTNKRERAFPPTADHDSSIDRPDPERDPQRRCTEKEKYRNACHSQDRRDYERNDKDDDYDNGGLCDRKPQRKMEGTGDDTLGGASISPLSFNGICVLKNELLAILNITNVDLFMHTCSNTQEFQYCEKVKVKLEPKAYKEFLNCLHIYSQEIITRSELKSLVKDILQHYPDLVNGFNEFLEHCENTDGFLEGILNKRKERDQEHEWQGDPEKEIYKEKEKPEKVSYALPSASNLTDIGAAVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAAIKRVEELLEKMESKSLKPDSSIHIDEHLTSLNMRCIERLYGDHGIDVLDVLRKNAGVALPVILTRLKQKQEEWSRCQSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLNPKALLAEIKEINEKKRKGDDVILAVAAGNRRPIVPNISYEYVDSDLHEDLYQIVKYSCIELCSSSDQVDKIMRIWTAFLEPILGIQHRDHGTEDAGMTKTKSRTRKVGLTCGEKRNNGTVNGIVAVKPGNGDENILKERVQPSRAMFVNEVTADARDGSHDVDQSSHRGKDVPNTALHGRVRNTSPTAGKVATSTPQNMSTDLSPSEKNQGRANMELVPGNNTSAGAKAVVQFKGGNETTPSMGNGEGGMITSYGVGIKVHPSNQCSGSHNNFKPEREEGELSPNGDSEEENFGAFEPEALDGTSKEKESSTSRSLQGRPKEAVKFAGENHADVDDEGDESAQRSTEDSENASEAGEDGSGSESGGGEEFSREDHEEEEDDMDPDMKAQSEGGAEVLYERILSAKTNSSTSEKKWRASKDTDSPDQYSKFISALYSFLDGSADSTKFEDDCRSIIGTQSYLQVIASDEMDNKLLQLYLYENSRSPGRFFDLVYHENARVLLHDESIYRFERHSNPTRLSVQLMEYGNEKPEVTAVSVDPAFSLYLHNEYLSSISDTKVPDDVFLRRNKRKQGGNDDSPASLETMDNIMFANGLECKISCKTSKVSYVLDTEDFLFRMRKRRRAPSSGTLPAEANFVKAYTVKSQEFHRFLSRQ >Dexi5A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:5A:8617178:8617500:-1 gene:Dexi5A01G0011500 transcript:Dexi5A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENGDAASGGEAVRRRKALVHTESGQVRSYAELESELRALGWERYYEDPTLYQFHKRGSLDMISLPADFAKFNSVHMVVDI >Dexi4A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:4A:20813474:20814439:-1 gene:Dexi4A01G0017060 transcript:Dexi4A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDAPFEFADDPVLEEQLQQQFTEEGNFTRCDECALTLDAIDPLTLCPEPAWILFD >Dexi4B01G0020970.1:cds pep primary_assembly:Fonio_CM05836:4B:23030058:23035020:-1 gene:Dexi4B01G0020970 transcript:Dexi4B01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDANKPPNTPAELPSLLELSPRAAGVHHHHQTEAQSRSRKTLAAAPPRRLRRRSPTRKPQPPLRNSEAPGHQPQVILLKEGTDTSQGKAQMVSNINACTAVVDTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIARSQDSEVGDGTTTVVLLAGEFLKEAKPYIEDGVHPHSLIRSYRTAGHLATEKVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVQSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEVSKYLRQHARTIAGKSQFFVNSFAKALESESAQGDAAASAMGGRGRGGGAMRGRGGRGMRRR >Dexi6B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:6B:2040696:2041447:1 gene:Dexi6B01G0002310 transcript:Dexi6B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLSLPYAMSELGWEAGVTVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGQKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLRKFHDVVCQDKCKSIKLPYFIMIFASVHFVLSQLPNFHSISGVSLAAAVMSLW >Dexi8A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:8A:502920:505170:1 gene:Dexi8A01G0000760 transcript:Dexi8A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLCSVQRWSRRVATMLPWLVIPLIGIWATTQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPTGWPCSQDCGYWIDLRCSSGNNNSFLALSWRLLSSFCSAAVSWFLRKILRFTSSGDDDGLGPDGKRLSKRGENGGKAEESRVEKAKRKAEEKRLARLEREMLEEEERKQREEVAKLVEERRRLRDEKAEAEERSKSVTPVGEKDARREAERRRQERRKKEDKGSSKSNSDCEDIDRRSSREGDRRRDFDRKSDLDKREGYKPHYFDANNHNNKTVESRTKYFGRMTGGFLSSSRGFGGGSFFGRSAQAPAPQINKVSPNVQPHPTGLKKSWHQLFSRSASVSPCPDVSTSALDMNRKPEPHGAQISNAHTFLSQYPPLESKPSSSHPMQFPGFPPLSGAPPNKPLPHFPAGHMPFYDDVESTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDCGFISSGVTKESHGRPSPIESPLSRSRMVEEKPIKPPHSSATKGPGGSILPDGSSEQGTWQMWSTPLVQETLGLQEGTVPAVAT >Dexi7B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:7B:11996495:11998140:-1 gene:Dexi7B01G0004950 transcript:Dexi7B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVINKAALDHGMNSYIFIFYRQALASLFLLPIAVLLERITATMNLYNVGLMLTSPTVSSATGNSIIVFTFCLAWLLRMEEVKLRSRSGIAKLAGVALSIAGVLVIALYTGPLLSPLNHHSAFRGATISSTAVHHPSRAVWIKGAAVLKEFPNKLLVTVTQSVFSVGQSFVVAVIAERDFSKWKLRPDIGLLAIGYSGLVVFGVSYYLQAWCVEMKGPVFLAAWAPVGLILTIFCSSFFLGDMRALGQKQGH >Dexi7B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:7B:17130106:17132313:1 gene:Dexi7B01G0009540 transcript:Dexi7B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAQVLLALLCVAAAASAVASGAGVGARKMVGVYELRMGDFSVKVTNFGARVMSIVLPDAKGNLADVILGKDTIAEYVDDEFYFGPITGRIAQRVARGRFVLDGKVYHMYKNDGNNTIHGSGGRGFCKSIWTVKEYVAGGDSPYITFYYHSFDGEEGLPGSVDAYVTYRMSSPYTLGVHMNGTALDKATPVNFLLHAYWNLAGHGSGDVLDHTLRLFASRYAVLDAELLPSSGRIEPVAGTLLDFRAPTAIGARIRKVISGRGVGYDTNYIIDGEGMRPVAQVRDGKSGRALELWANQPTMQLYTGNYLNHTKGKDGKVYEKYAGFCLETMGYVDAVNHPEFPSQTLRPGQVYKHDMVFKFSF >Dexi9A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:9A:480082:486352:1 gene:Dexi9A01G0000930 transcript:Dexi9A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDRWVEDPHVSVEGREPAYPRGPTVDARRGRPTGLARPAAVARVEAASSGVKGWGCSRAAVASAAPGTAPAAPCGSSSGSGGGSGGSWAAPTALETSAPAPSTAAAAEGAPAAAAAEAAPAAAASDPARWLAAAGRRGGGEEVGRGGKVGRGGGGCWRPAMGMAAPAAAWRVSFIIK >Dexi5A01G0039880.1:cds pep primary_assembly:Fonio_CM05836:5A:40279228:40279470:-1 gene:Dexi5A01G0039880 transcript:Dexi5A01G0039880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRAERDPPAATRSPERRGASAPACEVAAVQARAGQCRWPCGRGGQGKRRVRRRREQRAGWPRAAGAHAGVREEAAGGN >Dexi6A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:6A:27170848:27172740:-1 gene:Dexi6A01G0019560 transcript:Dexi6A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLHMAHPAITLSGVAGNIISFLVFLAPVTTFLQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLVYAPRKARLRTLAYFFLMDVAAFALIVAVTLFAVPKHAQVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLWFWYRKPRNTNAVLPTTGGASAVQVQGQVIELAANTIAILSVSPIPIVGVHKIEVVEQQLKDAAVAAEACRMAAANPDGPPPQVIEIIPAA >Dexi3A01G0026900.1:cds pep primary_assembly:Fonio_CM05836:3A:23478889:23480463:-1 gene:Dexi3A01G0026900 transcript:Dexi3A01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEATRSSKKARGAAGSGLTAFALRLAKHLAEEDGGVHKNLVLSPVSIHAALSLVAAGTRGTTLDELLALLGAASRDELAEFARAVAEGALADRSVSDSDEPLVAFACALWHEKAFALKPAYRAAAEESYRAKTRAVDFHNTPEKAVETINSWVSKVTKDLITSILPPGSVNSDTSLVITNAIYFKGRWSMPFDTKDTETRQFHLLDGSTVRVPFMLGPEDLPIAVHQGFKVLKLAYLPDNNGPRFFSMCVFLPGARDGLSGLLDRMASSPNFLWDHLPARCRETYEVWLPKFKLSFSSQINGVLQAMGMKAAFGIGKKADLEDMLEGSLPLVVKHVFHKAVIEAQRCINILFAGGGFNKL >Dexi5A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:5A:23717223:23717686:-1 gene:Dexi5A01G0019780 transcript:Dexi5A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWACPVAWTLYGLVVSQFGDITTPMDNGVPVNVFVENYFGFKHSWLGVVAAVVVAFAVFFACLFGFAIMKLNFQRR >Dexi4A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:4A:9061278:9062868:-1 gene:Dexi4A01G0011210 transcript:Dexi4A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLCFRAFALSIAILLFAVPLLLAQDPSKLSLEYYSKTCPNVEHVVRTEMECAVRADTHNAALMLRLHFHDCFVQGCDGSVLLDDTATMIGEKQADQNVNSLKGFELVDKIKEKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDSKKASLDLANSDIPTAQQGLVTLIAKFWQKGLDATDMVALVGSHTIGFARCENFRDRIYGDFEMTSKYNPSSETYLSKLKEVCPRDSGDDNISAMDSYTSAIFDNAYYETLIKGEGLLNSDQEMWSSIAGYSTADTVNKYWADPAAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >Dexi9A01G0036860.1:cds pep primary_assembly:Fonio_CM05836:9A:41192664:41193568:1 gene:Dexi9A01G0036860 transcript:Dexi9A01G0036860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKKSRTSAPDPPKAAARLPWQPPAPPVPTALLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPPSDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGRIINASLPEAVALRSSRGYESPESKLLMRWTVLSSDLLVFFPAALWFVWAYMRGGIGIIVEERREGWMWLLAMVLISPCLVLIDHGHFQYNCISLGLALGAIAGVLSRNELAASALFTLAINHKQVSLF >Dexi5B01G0025260.1:cds pep primary_assembly:Fonio_CM05836:5B:27345712:27347682:1 gene:Dexi5B01G0025260 transcript:Dexi5B01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAHDDGAVRGGGRRRSVYLMDCAPAWGSATTRGRGAAMEDAFAAVPRFAEVPVRMLAGARELDALGIGVDAAAALQLPMHLFGVYDGHGGSEVGLRAPLLPPAKASSAFRSIAHQSVIIRPWMSMQVANYCGDRIHVVLRELLSRAAKGSEELGEELDIKEHWEKVFGDCFQRVDDEVSGEASCSVVSDVRCNPVAAANVGSTAVVAVVCSSHVIVANCGDSRVVLCRGKEPMALSIDHKPDRKDECARIEAAGGKVINWNGHRVSGILAMSRSIGDRYVKPFLIPNPEVRVIPRAKDDDCLILASDGMWDVISNEDACRVARLQILLWHKKNDGMYSDEDGELTVNPAAQAAADYLVKLALMKGSEDNITVTVIDLKSRKKIKDKS >Dexi5B01G0036030.1:cds pep primary_assembly:Fonio_CM05836:5B:35899260:35903179:1 gene:Dexi5B01G0036030 transcript:Dexi5B01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPRPPCAGEAAAAAADVDVVTTGGRRRIPAHSSVLAMASPVLASILERRLKKDRESGKSGRSVVRIRGVTDAAAAAFVRLLYAGRCGDGEEEDDMEKHAVQVLALAHAYQVPWLKRACEGAIGARLTADSVVDVLQLAALCDAPRLHLRCARLLAKEFAAVEHTEAWRFLQENDPWQELQLLQGLHEADMRRRRWRRKRAEQRVYVELSEAMDCLDHICTEGCTEVGPAGRAPAATPCARYATTCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDTCNTPLCRRFKEKEQEKAAVMKAGDDADKWGLLVKKVKAARVFSSLANRKPQMNSTSQC >Dexi3B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:3B:6121580:6125885:1 gene:Dexi3B01G0008870 transcript:Dexi3B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPPSSASAPISRHLRLWLRRRGRVGAAGATFAVALLAAALLLTLSYYASAPLASEDSSSGRSPALVGLTLVRGAQEKGALCLDGSAPGYHLQRGSGTGSQSWLIHLEGGGWCRNLKSCASRQGSILGSSRYMERQIEFTGILSDDKSQNPDFYNWNKVKIRYCDGASFSGDVRDELQNGTRFFFRGQRIWEAVMNELVFKGMRKAKQAFLTGCSAGGLATYIHCDAFRALLPKDSRVKCLADGGFFLDVEDIAGTRTMRSFYSDVVRLQGLREKFSNCNSNLEPGQCFFPREVVKHIVNPVFILNPAYDAWQVQHALAPESSDPHHSWLDCRLDIAKCSSEQLEILQGFRKELHDAISEVKQKRDWGFYINSCFVHCQSLNSLTWHSPTSPRVNNKSIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLAFVKPFKI >Dexi2A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:2A:3759869:3760399:1 gene:Dexi2A01G0004180 transcript:Dexi2A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRCGAAAAAAAAGALGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAAVDGFSSPAGARAHAVEIHPGVTKVVVRGLSSAGGADHGDDDEDGAAAFELDRWRFRLPPCTRPAMATATYAEGELVVTVPKGAGPDDGDGDGATVLGGAERVLVLV >Dexi6A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:6A:19704750:19711193:-1 gene:Dexi6A01G0012880 transcript:Dexi6A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRATDFVNLESADECDDVDTESQDFSDSQPFSQSDDGQYADYSFGYDQPNESIKRAAYVQVLKDDTIFLQNRSSLLLDDDFCEKLDSYSGCKLPVVLKEKICNLIQTFCFNPRIAVNLDVNSINGLPEEMKKSFCNLLNYVSNINSRTLKLILDLVKLFADSADTYDPNIVRSTQVTPSNEHIPTPANNNFDFSEPKDFDNIGKLILIIRLVFAICKLYHPFQIEDTITCSSIQLSPLQDSTNLRSAKRINKSVDNLFVPDSISPSSNPRLSRFHSQKANLEVEIVGEKTLSDKVREMSNKSYALYNSNLRNSRASASTPVVVSPHGPSASRPQSLSFRARHNSTGGKMPRYGPCRLLNPGPLFQGDFCTSSNKISVSKSQIHNYKAICKLASSEFQGLENLLKDPEFADEDVLSRAFRRSSKVRPLHLSNMLFFPICHEDHWSVFVVNIKDKKYVILDSFFTRTDEYQQILSEKMRSAFEFYWQKYIQFDMGFDEYEFIFPVVPQQPLDNTLVSYFYS >Dexi6A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:6A:26453215:26458282:-1 gene:Dexi6A01G0018790 transcript:Dexi6A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMCRSSLTMTPSRHTGQWLLLAHRYLERSSSVPWLRLQRHPSPYMTLLLQRSNYTDTFPGDGELGDYPSEMIRCLLAAADRYALDRLKLTKKPQSPLSHTLVDSTPTAAPVVLQLPETQGPARQAEACPEARRTADTSGGPRPFAALAAASESTRCSYPFSGKASGVVEGEVGACPRGRDHLGSGFEDRAAPGSSSSPAALTDKQGSVPPPPPPPPPSPTARQTHRQRARGATGTWSEASLPCLIFFLTAGMLAAVPFACIAPTPATAPRRVWGPVDAAPSLRALRPAPRPRAHHATQAPWPACPRVGKRCRTTRVERRRTIDSASHEFRIDYEQTKDMAKGDVIHSDAFSAGGHTWRLNCYPHGDEDGNCLSVFVQLLTESISVNAIVEVFLLDKDGQPCLQEQESHASCFQLFKSYNDAGWTLISRSNIEKYYLKEGHITLICAIMVIRDYSTHVPDSDIGKHLGMLLDSNDGVDVSFTIDSETFQAHRAVLAARSPVFKAELLGSMAEATMSSITLHDITPATFRVMLRFMYTDTLPDLGDSPTKTLQDLLAAADRYALDRLKLLCAQKLWDNISVDTVATTLACAEMYSCLELKNKCIGFFVAENNFKKAILTEDYVRLVQQFPSIITELRERAGT >Dexi9B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:9B:139998:140434:1 gene:Dexi9B01G0000160 transcript:Dexi9B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRGVQAAALLCLVLLPRAALGNCRDDCISSCYSWPFICQMSCASACFGEVGISTLSTTAEGAAPPPPPPPDPAAPAPQQQPASSWVASRGGLKPSAANGDAGDAPTN >Dexi5B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:5B:3005648:3018693:-1 gene:Dexi5B01G0004450 transcript:Dexi5B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCPRTSAFLAPPPPILFPRHSFPCRRRRPRGRLASRPFLTLARFDPPPLLRLKVSDSSDSPADAAHTHAHHSSHAHRSPAPPPPLLQGPRALIASLAPVWREGLFLVRCSVFAAVVSVAAALSWVAQLRARSFVEARLLPAASAALGEYLQREVRLGKVRSVSPLGITLQTCSVGPHAEEFSCAEVPVMKIRVRPFASLRRGKVVVDAVLSEPSVLVAQKKDFSWLGIPPPSEATVKRHSGEEGIDYRTKTRRLAREKAAEQWNEERDKAAREAAEKGYTIPSGQVVSSSTHEMLEVDGPTEVGKSSPPHCADVMHKKNHHLATGIDSGSKHADLEKSFGVKSRIPGINLWSRMISSPSRIMYRRKAHSKVVSDADNSSQQRILRRSADAAVAYFQSTGRSDIDDSSPGPGKSSSDGGHANVGAGKTTSNDEPVGSSWMASMNLAESPSDNLLSSQCGSCNLDNKVLLCHHLEDLEIGQANFPQGSVLEKFDKSYDHNCVSQKQTIFGNFGSCTHAQNLVPFWPFQLKGFPVSFNAPCASLDVQIQKLKSQFAIGPGDIFSAELTEGVSQTHPAGVLHALPITLDTVYFNGGNLMLLGYGDQEPRQKYVGSLVFVLKGDFHVQLFERILEIPVVWHKGRATGEVHICMSKDDSFPSIHGQIDVKGLAFQILDAPSSFSDIVAKLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMRTMKTKPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSISGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEVDDSAMDINLSGTILLDKVLHRYIPGGTQPIPLKIGELNGETRLSGSLNRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVAFDLNTRVQTSYIDDYLLNKRTYQMKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRVKFQGKIMKSSNIADDKIKGILHSDIDQNEVETNVSKLVGNISLSGIKLNQLMLAPQSTGSLSISRDSVMVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGVLSVIRPKFSGMLGEALDIAARWSGDVITMEKSILEQANSKYELQGEYVFPGTRDRFPVGSQSNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLQSVGFHAESLRDQLKALEMYHDWLDDDTMEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIETSTTDSIHFLRQWLTPIKGILHMEGDLKGTLAKPECDVKIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSSSTEESMVEEDGKQGIIRIPVWAKDRGTLNDISETRIVRDKTEEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSATFSRVIVDSPFLRTPLTNFAGTIQVISNRLCVSSMESRVGRKGKLSMKGSLPLKNSEPSANDKIDLKCEVLDIRAKNILSGQIDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGPVATRLASNKSSYLASGFDQSTTSQDVSRVLGSLSASPESKYSVKCEHHLFCNQILLAIVFWLTELHMSVLSLICLGEQSDTERTLEHGSFKPNIDARLNDLKLTLGPELRIVYPLILNFAVSGDLELSGMVHPKYIRPKGILTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >Dexi3B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:3B:4495020:4497513:-1 gene:Dexi3B01G0006480 transcript:Dexi3B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVRSGALGRWRPPPQLLPRLLSSSSAGASPARPQAAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGSACADYMVVATGRSDWHVRNIAQALLYKAYALIKQKQKGSDRKLMPSVEGQQAGKWVVIDSGSIIIHALEERAREYYDLESIWSKEMSSNTSVQNPPQELETSLVKTRRRNLSQKPMKSI >Dexi9A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:9A:2853955:2860155:1 gene:Dexi9A01G0005190 transcript:Dexi9A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERESSAVHHHDTALRSSSHRTPIQGKRTAPPAVRSAAALGFPAGLLVARASGLRRRIAFPFAGRAGERLLRFFLPLLLLESLFSLRGGWLHPRAAAFHSPGKAEAAELLRPACWSAARSAPVVDSGWAALTQAEKGPSSAAQENLFATNQCLFIAPDKVFGPACQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYMHIKNTPEREFVIKISAMEIYNEIVKDLLRPESAPLRLLDDPEKGTIVEKLQEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTLESRLREASGCVKSFVANLNFVDLAGSERAAQTHAIGARLKEDEFCINTVVSDKQMVKHLQTELAKLEAELRTPDRSSSSDILIMEKDRKIRQMEIEIEELRKQRDNAQSELEELRKKKGDNQPGWNPFDSPQKTRKCLTYSGSLEPSNKIKMRSSIRQSSTAPFVLKHEIRKLEQLQQQLEVEANRAIEVLHKEVQCHKHGNQDAAETIAKLQAEIREMQAVRSENRDVDMIADEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQQSIDRLVMSLPNVAVPCNETTPKSNRSKKKKRMLLPLGVSNNINRANLLRAPCSPHLSSRPSESEVENRAPEGDTVSVEGSEKATPTKSEDGDMSSRDETPRYRRSSSVNMKRMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKHLLVCQVLELESNEGKPNDDLEEDNFGLIQDCPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLISSIKALRNEREFLARRMGSRLTDEERERLFIKWQVPLDAKQRKLQLVNKLWTDPNDKVHIEETADLVARLVGFCEGGNISKEMFELNFAVPTSRKPWLVGWQPISNMIREKTQLW >Dexi2A01G0032630.1:cds pep primary_assembly:Fonio_CM05836:2A:43063006:43065423:1 gene:Dexi2A01G0032630 transcript:Dexi2A01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VACFIKLPWLYAEAYDPLDPNGNITIKWDVIQWTPDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWAMTGGQATEQGDCSKFKSNIPHCCKKDPEVVDLLPGTPYNMQIANCCKGGVLTAWAQDPEHAVASFQVSVGQAGTTNKTVKVPKNFTLKAPGPGGNSPYLASVVNDPNTNSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKSLNPYGLINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNTSPRQSASLLLTFAAVWAALVVLLAHA >Dexi6B01G0013570.1:cds pep primary_assembly:Fonio_CM05836:6B:21287121:21288368:1 gene:Dexi6B01G0013570 transcript:Dexi6B01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEQLWDETVAGPRPESGLGRLRKYSSFSPSSSSSLAPAAAVTAPAADAAAAPPPAVTRSITIARPPSLSVDQSPRGESYSSSVPSSPASAPDSPFATATTPKADSWRRLRRKPKAADGPEPAVGPRSPTVYDWVVISSLDR >Dexi8A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:8A:192888:193332:1 gene:Dexi8A01G0000220 transcript:Dexi8A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKGWRLLPLPSAPRSTHSRGISSHDALAACPPARMLFSS >DexiUA01G0005320.1:cds pep primary_assembly:Fonio_CM05836:UA:9463304:9464636:-1 gene:DexiUA01G0005320 transcript:DexiUA01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGIPGCILRCLDFVDMEDLARPMAIVAKMAGYRPLALQLLKEGLLDPSRVAGLLEGPIAKETLLDFLMIVSDLARMSKDFYVPIDKADLVGFLKNYLSSEDPDIRGKACSAIGNMCRHSSYFYSPFEANKVIQLLVDRCSDPDKRTRKFACFAVGNAAYHNDMLYDELRGSIPQLTTLLLGPEEDKTKGNAAGALGNLVRNSDILCEDMVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIATRACQA >Dexi7A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:7A:10962753:10969035:-1 gene:Dexi7A01G0002540 transcript:Dexi7A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSITQEIAMAVPTEPVWKAAFTGDGPSMTKALAGLVDAVDVDGDGGPGSLITMKFNNPVMLKARVKVRDNAARVMCCDEVEGGDQGGMQFKSQHLDGTPLPAEDQAKLITGYVGLVKKVEENIVAPVPVLTF >Dexi4A01G0022360.1:cds pep primary_assembly:Fonio_CM05836:4A:25616442:25618987:1 gene:Dexi4A01G0022360 transcript:Dexi4A01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGVAGESSAAKSKRLRVSIIHPDLGIGLFPVTVYGDFLPRHVFYRFHAVCAYLRCLFVALCVLLRWPSFDVIVVDQVSVVIPLLKLKSSSKIVFYCHFPDLLLAQHSSMVRRLYRKPIDMIEETTTGMSDLILVNSKFTAATFAKTFRGLHARGIEPAVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISSFSLLRSVASTLPDDALQEATLTVAGGYDMRLKENVEYLEELKRLAVTEGVSGQVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNGVTGFLCDPSPTEFSKAMLKLASDHDLAVRMGKQARDHVVQNFSTKTFGDLLNSYALNVHHERME >Dexi9A01G0042050.1:cds pep primary_assembly:Fonio_CM05836:9A:45596599:45598665:1 gene:Dexi9A01G0042050 transcript:Dexi9A01G0042050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRSDERGGGGGSRAEPRQQHLYLVIDDWDCGYSIRKVKFPFPSASSGGNSGADEQQRLPHPFMRLVADRGLPDYFTSAFSTKIMGLHANGPAVQIIDVHTRSVLFGPSSNYPAFPIYFPIGGDKLIAMDTTFAFDTRKFLWTRLGEWRLPFTGRGHFDSDLHALVGLDSENLGYLVACDVPSTNRRCPVPAWKRSKDKVFSEDPGERHVGATLVYMGRRRKFCLVECVSVEAKGDNADQMLLEKPGAVPQRSRYMYRLMTFTLKYDKMDDLRVKHGRVQYYKVPKKATTDFVCADPVAFWL >DexiUA01G0021430.1:cds pep primary_assembly:Fonio_CM05836:UA:44415829:44417845:-1 gene:DexiUA01G0021430 transcript:DexiUA01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVFTSHRSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi7B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:7B:21005377:21007460:1 gene:Dexi7B01G0014920 transcript:Dexi7B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQTLIPSSFPPPVSASSSSPFLHASDAATPPPGGSRSSSSALLLGTAMPSLAHHHSLPLDDGRTDALKSNNNFAPEEMAEDAAVAAVGAVFEKDGFSVEDLLDLDEFGEPDKDGADNEEAPPAPAAAADEKPKEDSPPLSVLTYELPAPPPPDMVDLPAHDVEELEWVSRIMDDSLSELPPQPQPSAALVASLASRPPLAQQRRVPPVGHDGAYRALPPAPGPLRTPTICALSTEARVPVKAKRSKRTRGPGWSLSGASFLSDSASSSSSATTSSCSSSGSFSSFLLLDSAPFSGLELGEGHYNHFLPAPPSKKSKHGAKGGSKQKPKKRGRKPKHLPPNPSAAGGGAASQPSSGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGMVATAAPAVASF >Dexi2A01G0035130.1:cds pep primary_assembly:Fonio_CM05836:2A:44812140:44815972:-1 gene:Dexi2A01G0035130 transcript:Dexi2A01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKETAEEVWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANNAANNLSDGSARASNHAGGNMTSDNQAHHSSQSVGATGSHDGGNVQGQEQDRSTAEVGTHNSHDQSQQSSVGAEGSQVPLRRNSGLGWVASAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKHDFERDLDPESATTFPSTLAELTERLKHWKNVLQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLSIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARYNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPGILHGTYDANGMIEFNELVPFRLTRNLQAFFSNFGVEGLIVSAMCSAAQSVVSPKQNQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMTSPLDFQQKVINNVEHVIGRIKSISPHYLADEEENAMEPPQSVQRGVTDLLEAALSSRNLCMMDPTWHPWF >Dexi9A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:9A:234770:235987:-1 gene:Dexi9A01G0000330 transcript:Dexi9A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPNKKRTDANDKSSSRFRRVCVFCGSSPGKKAAYQLAAVQLGHQLVDLVYGVGLMGLVSRAVHDAGGHVLGIVPEAVLPRELIGDTPGELKDVPGMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGYYDSLLCFVDRAVEEGFVTPAARSIVVAAATPHQLHAELEDYVPVHDAHLPKLTWDWDMSSCCYGGFSR >Dexi1A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:1A:243770:244225:1 gene:Dexi1A01G0000390 transcript:Dexi1A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTRVKNAQFVEQVASLFTKDDNVVVGCQSGKRSELACVDLQAAGFKNVKNMGGGYLAWVDHAFLVHHQVQTPPSTGSGTGTA >Dexi7B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:7B:15708090:15713812:-1 gene:Dexi7B01G0007900 transcript:Dexi7B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAAASTVCAISNHVAAGNLFAAIDALPVCSGSSLLPASLYASLLRLVTSRRSLAAARRVASHLASSSSSSPSTSSSSIPTFLFNRAIESLAACGSLADARELFDAMPRRDGGSWNAIISAASRAGKPEEALSLFSDMNSIGIRPKDVTLASVLSCCAECLDLSGAQQLHGHIAKRDFQSNVILSTALVDVYGKCLLLADARRAFDDILQPSDISWNVIIRRYLLAGMGDIAAHMFFRMIWAGVRPLVYTVTHAILACRDNYALKEGRCIHTFLLRHGYEHHVHVRSSILDMYAKCGDIDAAQRLFHLAPMKDVVMSTSIVSGLAACGRIADAKRVFYGMEQHNLVSWNAMLTGYVRSMDLAGALDLFQQMRQETKELDAVTLGSVLNACTGLLDLGKEQLLLFEMGSERDSYSWNSLISGYERHSMSEAALHALREMQSEAKPSQSTFSSALAACANIFLLKHGKQIHAYIIRNGYEIDDILRSALVDMYSKCGLFDYSTRVFESGLSQDIILWNSMIFGCAYNSKGDYGLELFDEMRKQGIKAPSKRGPLRLHRAPLGGMARHLHGGRELVAHLGASSPLADLLRAAPNLPAARAAHARVLKSPFAGETFLLNTLVSTYARLGRLSDSRRVFDGIPSPNTFSYNALLSAYARLGRPDEARALFDAIPDPDQCSYNAVVAALARHGRGHAGDALRFLAAMHADDFVLNAYSFASALSACAAEKEPRTGEQVHGLVAKSPHADDVHIGSALVDMYAKCERPEDARRVFDTMPEWNVVSWNSLITCYEQNGPVDEALVLFLEMMDAGFIPDEVTLASVLSACAGLAAEREGRQVHARVVKCNRFREDMVLSNALVDMYAKCGRTWEARCIFDSMASRSVVSETSMLTGYAKSANVEGAQVVFSQMLEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNACGNIANLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGAKVFERMAARDNVSWNAMIVGYAQNGRARDALKLFERMLCTKESPDSVTMIGVLSACGHSGLVEEGRRYFQSMTEDHGIAPSRDHYTCMIDLLGRAGHLNEVEGLIKSMPMEPDSVLWASLLGACRLHKNVELGEWAAGKLFEIDPENSGPYVLLSNMYAEMGKWTDVFRVRRSMKDRGVSKQPGCSWIEIGRKMNVFLARDNRHPCRNEIHYTLRIIQMEMNRISIDAEIANCLTNYCSEACG >Dexi4A01G0021520.1:cds pep primary_assembly:Fonio_CM05836:4A:25023879:25026090:1 gene:Dexi4A01G0021520 transcript:Dexi4A01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAMERERESDKCLDPQLWHACAGGMVQMPPVHSKVYYFPQGHAEHAQGPVDLPAGRVPALVLCRVAAVRFMADPDTDEVFAKIRLVPVRPNDGPDADDAIGAAAAGAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFMHHQPPPPGGGNYGGFSMFLRGEEDGSKMMATRGKVRVRVRPEEVVEASNLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPSIHLSPFSPPRKKLCVPLYPELPMEGQFPTPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSTHGLHHQLDHGMQPRIAAGLIIGHPATRDDISCLLTIGTPQNKKADVKKAPPQLMLFGKPILTEQQISLGNSAGFPLAAAKKSPSDSNAEKTVSNSDISSPGSNQDGTSSGGVPLCQDNKVLDLGLETGHCKVFMQSEDVGRTLDLSDVGSYEELYQRLADMFGMEKTELMSHVFYRDASGALKHAGDKPFRIN >Dexi1B01G0023680.1:cds pep primary_assembly:Fonio_CM05836:1B:29215852:29217834:1 gene:Dexi1B01G0023680 transcript:Dexi1B01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSETLRKARIIWHQTEADACLSPMIRNKCAACYRQYNRMEHLVEHMKVNYHSVHEPRCGVCGKHCRSFESLREHLIGPLPKVECARVFSVRGCSICLNIFDSNTAVRYHRATCQYTRAAPMPRGGITGRAVALACKMVGGGSDGSVDLCARVCLIGEDENIIFQTYVKPTAPVTNYRYEVTGIRPEYLRDAMPLKVAQRRIQEILCNGEPLWKLRPRSFGRAKILVGHGLDHDLERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGIQDPYEDSVAAMRLYIRMRSQGHPRDYNSGSGEAQNNYPAWRQRELERMSPEELLALSASDYYCWCLDS >Dexi6A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:6A:13322417:13331480:-1 gene:Dexi6A01G0010710 transcript:Dexi6A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMPVPPQEWKATTSARSTMAHPRFPLLELAYDDTHRAHMIADHNMVLPWLRARVHAPMHWDSRYAPRYTAYTNEIDCLTRNQVCKDEEVHRLHMWEQRQRHNIAEGEDWFAGENQHYLLWFHRVARTRLRPTAMEYNMEDVDTDAEDDYDVDTRWGN >Dexi8B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:8B:26109164:26110486:1 gene:Dexi8B01G0015290 transcript:Dexi8B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIKVELHDPLVKMVYGGSVDGLLQVQADFIQHQLEEMEKALSERCKYSGLQFKSSATVEVPSETACLLITYLYLLGWNLDHKSEPLSGMKTRTNLKTKDLVFVKDNGTIHENLVSAENTKVPSLWDDVDDMSPVESSNGHIKDERCYSGGDQVAESEIGHDFGDESARSPCSAGTSASGSPFKCSRFGMHDSSPGKRESFRYTCFHHWF >Dexi5B01G0030850.1:cds pep primary_assembly:Fonio_CM05836:5B:31677735:31679071:-1 gene:Dexi5B01G0030850 transcript:Dexi5B01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAEGSGYRDAEAELNLPPGFRFHPTDEELVVHYLCRRVARKQLPVPIIAEVDLYKFDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGSGKAVGIKKALVFYSGKAPRGVKTDWIMHEYRLADTDRAPGKKGSQKLDEWVLCRLYNKKNNWEKVKVEELEAPQHQHRQSGGEVMDALADSMSDSFQTHDSDIDNASVMQNSFGNMAQRVQGMRNGGLVTVKEDNDWFTSLNLDELQASYNMSQMVNPPIPNPYPVQTMNLAAGQEHGYLQSMTSPSMKMWQTILPPF >Dexi9B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:9B:887499:891447:-1 gene:Dexi9B01G0001540 transcript:Dexi9B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSAEPEAAVLLLHGDLDIRIVEAKCLPNMGIMSERMRRCFSACGGGGAGACGGARSSHAPADGLRRGRSTKTKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEEHFRVEVAHAAARVEFHVKDNDVFGAQLIGVASVPVEEVAAGALVAGWFPIEGHCAGSPRPTPELQLSIRYEPIHGNPLYKDGVGAGPRYSGVPNAYFPLRGGGRVTLYQDAHVKIEIDGGRIYEHGKCWEDICHAIIQAHHLVYMVGWSIYHPVKLVREPTKPLPGGTPSTLDELLKGKVREGVRVVVLLWDDKTSHDKFLLKTVFRSIDSGSIKGFPKLVQEAESQIASKIKAKERFAVYIVIPIWPEGMPTTAAMQQILFWQGQTMSMMYKIIAVALQNQGLLDAHPQDYLNFYCLGKRELADGDVLSPKTLCNDASSLHVAQKFRRFMIYVHSKGMIVDDEFVIIGSANINQRSMEGSRDTEIAMGAYQPHYKWARNTSPPRGQVYGYRMSLWAEHLSSVEECFRRPETEECVRRVNEMAEENWQKYVSPDMEETKGHLLRYPVQVGKDGQVGALPGHECFPDVGGKVLGTQSSLPNALTT >Dexi9A01G0040140.1:cds pep primary_assembly:Fonio_CM05836:9A:43988514:43989590:1 gene:Dexi9A01G0040140 transcript:Dexi9A01G0040140.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLVDGSGDPFFVLNSDVISEYPFAELIRFHKAHGGEATIMVTKVDEPSKYGVVVMEEGTGKVEHFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPCIAADAGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPSRLASGAHVLGNVLVHETAVIGEGCLIGPDVAIGPGCVVEAGVRLSRCTVMRGARVKQHACVSSSIIGWHSTVGKWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DexiUA01G0000730.1:cds pep primary_assembly:Fonio_CM05836:UA:2473741:2475156:-1 gene:DexiUA01G0000730 transcript:DexiUA01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADDLDVATALAEFHESGGGVRWLVESGITKVPRLFLLPDTPPPQAPPAAADDFAIPTVDLSLPRSVTAALIGAAARSCGFFNVTNHGVPAATIAAAISAARAFHELPLHERSAFYSVTPVSTVAYSTNPHPPELPNFPALPWRDTLSLCFLPPEHDLGGLPAACRDALHGYHRSLVELGKVMVALLSEALGVGAERLEEAMEVEARLMGCHYYPPCPEPARVVGGREHTDPSLFTVLVQDGVGGLQVRRRGHDAAGGEGEWVDVAPVTGALLINIGDVLKHRVMVKSTQVARVSIALFFNPAKCDESDVFGPLPELVTPERPARYQKIIFPEFMNFRRLSGHDGTS >Dexi5A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:5A:1100234:1107509:1 gene:Dexi5A01G0001550 transcript:Dexi5A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRKKEGGAAFFGEAVIAEFEELTRDAAAVQRETLRRILAENAAAEYLQERGLAGRTDAASFRACVPLATHADVEPYIARIADGDTSAVLTAKPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYRTSYAFRNRAFPVEDGKALQFIYGSRQFTTKGGLTATTATTNVYRSEEFMPTMRAIASQVCSPDAVIFGPDFAQSLYCHLLCGLLFADEVRIVSATFAHSVVLAFQTFERVWEELVADIRSGDLSPTRVTSPAVRKAVMALLAGAGGPNPALADEVARRCAKLSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPETPPESVTFTVLPNIGYFEFIPLKAGDGGAAADTCYAEAEPVGLTEVTVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLTINIDKNSEHDLQLAVDSAAKILAAEKLEVVDYSSHADVSCDPGHYVIFWELNADANDDVLQACCDELDRSFADPGYVGSRKASAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKLPRCVGRSNSGVLQILSGSVVKAFFSTAYD >Dexi4B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:4B:4914229:4916907:1 gene:Dexi4B01G0007090 transcript:Dexi4B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLSPPLLLLTVLLTTAITTQCHAHKRHHHHHHHTDATRRLNKTAAVVTIPPADDTSHHAIVCHKTPHPSSCLAAVASHLTSMAKVAESSSSSSSAVSIHLLPPNVLSVLLASLRGAASALTSLSPSISTLSSPAAAASSLRRGAALDCQELHSASLSSLSRSSSLLAALGDDESRRLPAVRAHLAAALANKATCLDGLAGASGTEIDSLLASLDDAYEHVSNSLALVARDSGGVSAAARFAHAVASKVIHHHNRRLLQDDDNDNDNNGDDNDDDNNNGDDNSGNAGLPAMVMTVAKDGTGRFGTVGEAVAAAPNNSRTRTVIRVKAGTYEENVEVPPYKTNIALVGDGRDVTVITGSRSAADGWTTFRTATFGVSGEGFLARDVTFRNTAGSARGQAVALRVSADLAAFYRCGVEGYQDSLYAHSFRHFYRECVVSGTVDVVFGDAAAVLQGCDLLAMAPLPGQSVVLTASGRSDPNEDTGIALHSCTVSSGAGAAAAPLPAATRTFLGRPWGAYARAVVMDSHLGPVVDREGWAEWPGAEPGRGGTVYFGEYGNSGPGASTEGRVGWAGVRQMGYDEAAQFAVENFISGDEWLGATSFPYDDDV >Dexi9B01G0033320.1:cds pep primary_assembly:Fonio_CM05836:9B:35504996:35508877:-1 gene:Dexi9B01G0033320 transcript:Dexi9B01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVARWGAMRQTGVSLRYMMEFGARPTERTLLLAAQFLHKELPIRIARRALDLESLPFGLSKKPAILKVRDWYVDSFRDIRSFPEVRNKEDELAFTQMIKMIRVRHTNVVPTIALGVQQLKKDLGGPKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKMSPMTVARIASEDARAICMREYGSAPDVDIYGDPDFTFPYVTPHLHLMVFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDEHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Dexi9B01G0045580.1:cds pep primary_assembly:Fonio_CM05836:9B:45028397:45031073:-1 gene:Dexi9B01G0045580 transcript:Dexi9B01G0045580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLNPHRHPAAVSPAPAPAPRLGHTPQPFLHLPPSRRRLAGCAARPRAVAAAVTGPVNEARGRGQPPRGAGDGEKVTDLATLGNLCVDVVLSVPQLPPAPRDEREAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLQAEGISVVGMLENTDATACRRAYETLLCWVLVDPFQKHGFCRLEPIVSSPFFWKILFDIFLLCLSGFMLADLFYFTGITCLVIYQCCFLCNVSRADFSEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDAGTAVFFDPGPRGKSLLNGTLDEQRALEHALRLSDVLLLTSDEAESLTNIKNPIEAGQELLKRGIRTKQVVIKMGSKGSIMITKNAVSCAPSFKIKVVDTVGCGDSFTAAIAFGFLHDLPAVNTLTLANAVGAATATGCGAGRNVAHLDKVLQLLREADLNEEETAWDELIEGSSRCPEVTILSRTSVNGFSEHLVHAPVCDVVVDILPMFEAMSERSTVQA >Dexi9A01G0039310.1:cds pep primary_assembly:Fonio_CM05836:9A:43327016:43329745:1 gene:Dexi9A01G0039310 transcript:Dexi9A01G0039310.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSMARDMCTKVRIEAFGALGKMQRVSDGVLLQSLSKKFLGLLVDANALIRNAARKILGLVNLPKLQMFKSAIDCLIASLEKNPEEQDIYGILFSIGKNHGSFSANIAKHLAKEIATASDGELILDRPRVKALIIVSISAPFSDDKHKKLDIPSIIFSHAISLLGKVSCALGEEVNQDSLLSYLCHKGGMPLWGNRSVSVEFGESESCKVETVEVGEQIENTAKATKCLDRVLVMQSVESILQTVEGAWTMRMSCDVCEARTILRTCKEELKVLAENSSGSIGAFLSFLCEYLDAIRS >Dexi7B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:7B:17761495:17764104:-1 gene:Dexi7B01G0010440 transcript:Dexi7B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVTMEGSKDPNPVPESLMTGFQAGSLSPDTIGGNGSEAAKAGFEKEGVSVAISSSREEDRSLPMTPQFGMQSSPGSSLAERMQARAGFRVPKLSMPFSTALGADNSVPGAPSAFLTIPPGLSPATLLESPVFISNAMGQDSPTTGKLFMLGGTNDNDPTRFGGPPLGNGPDAFSFEPLDLKSSHYTAEGKKDLHGDGMDATSSPSVPGEPCESSASMQVHDSGGVDVTSAASDEVDGNHRATHGSMSQGGADAESDELESKRRSANRL >Dexi1B01G0026750.1:cds pep primary_assembly:Fonio_CM05836:1B:31556129:31556560:-1 gene:Dexi1B01G0026750 transcript:Dexi1B01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRRWVGGFRVQTDGALFLVSGDRKPLELKSSTAALDDSGGRRTQRREKADRRNRSAALHIRCTRLRSSRCARFTADVVPINDGSSGMATNAVPRASQNVWRHSDRHSPLLFRHDVASIGRAHLVRDRRIVLRRGTAAGD >Dexi9A01G0049020.1:cds pep primary_assembly:Fonio_CM05836:9A:51749767:51750537:-1 gene:Dexi9A01G0049020 transcript:Dexi9A01G0049020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESESCNANAAAHPHHPRGTAALTAIAVDYRPEACRHSPDAGEIHVSFTYEDEGRDGSRWRSHQRFRPGSAVAASIRTPAGDTAGLNYNLYLSSLEGCREMDEIDFEFLGHDKRALQTNYHVGGGGGREQIHRLPFDPSDDFHHYAIAWDAQAIEWRVDGELIRREERREGEPWPEKPMRLYASLWTGTYHGRDAPYVCSYKDVVVPPVEFWVEEETIAPADDLVAGDNAAAVEEIVDAVAGKD >Dexi2A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:2A:2982921:2983730:1 gene:Dexi2A01G0003400 transcript:Dexi2A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILRALKSPLLAADVDSPPPSPTKPGTRGGGGGGGGVAFVRADSFSDDASFFDAREAETPTKGHRHPSAEPLDAWELVDQDGRAVPAPAADPDPLLDFPARCPPGGEAMVVLYTTTLRGVRRTFEDCNVVRALLENLAVAFQERDVSMDRGLRDQLWAVTGEKSVPPRLFVRGHDVGGAAQVLALHEEGRLVPLLLLPPSANEDDGKKAPTKKSKCEACGGLSFVVCGECDGSRKVFDGGRGGARCRGCNENGLVMCPICL >Dexi8A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:8A:24786754:24787647:-1 gene:Dexi8A01G0014320 transcript:Dexi8A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQFIFFLLLSVLAMGSSLAGAATGPGNIAVFWGRNASEGTLREACDTGTYNTILISFLTGFGGGAYSSSYSLDISGHPLAGIGDDIKHCQSKGILVLLSIGGPTSTSGNYSLPTPQSAAALASHLWHTYLAGGGVSSNSGDSTPRPFGDAVLDGVDFYVDHAGDNERYDELARRLHSYSGVMTTATVRCAYPEQPSTAALATGLFARVHVRMYGGDLRCEWGQFDSWNKWAAAYPASKVFVGVVASPEADKDAYLFQKDLYYGILQFAEKVPNYGGLMIWDRYYDKINHYISSS >Dexi9B01G0025580.1:cds pep primary_assembly:Fonio_CM05836:9B:26631426:26635180:1 gene:Dexi9B01G0025580 transcript:Dexi9B01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTVHLAPPPVKQRTTYPNRPAATSPPALCTRDGTMAQWDVLADAALASLAARRLLFNTRLIALAPPPAPSETFTGPEPWDRATVEVQIDRSSLQQWLAANEVKGKLVLFSGNDYMCLSSHPAVREAAVKAAQEHGMGPRGSSLICGYTTYHKLVEESLAKLQKKEVFIWFIASSKIRISGSTNTTTCSATQLIQVPNPSFWKLIMNVSSLSTTKLRITLSAAHSSDDIKRLAHALTPWLPTNHPEQTCSTSSSRL >Dexi9B01G0009500.1:cds pep primary_assembly:Fonio_CM05836:9B:5826048:5826420:-1 gene:Dexi9B01G0009500 transcript:Dexi9B01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSINFSLATSILLSGLLILGISVEPSEAVCTLMCAQGTYITCANSPGQNFTGCACGQCAPPGCDGCVVHLAGGSTLRG >Dexi2A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:2A:8442282:8443786:-1 gene:Dexi2A01G0008220 transcript:Dexi2A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEQQHQRRRVVVLFSLPFQGHLNPMLKLASLLHTRGLGVTVLHTDFNAPDPARHPAGLAFVPIHETLPGEATSPDSNILTKLLALNAACDAPFRHALASLLRARHRDDDDVACAVVDGQCFAAMRAAGELGVPVLALRTDSAAAFRKMLAIPRLRDAGYIPIKGNKKEKLDEPMPEFEPLRVRDLIRVEGSDTDEICSFVASVADAVRATVSGIVLNTFEAVEASELSKLQSELSLPAFAVGPLHLLSSSSSQAPAEAEQSVHEPDRGCLPWLDDGHPPRSVIYVSLGSLACVDRVVFDEMAWGLARSGVPFLWVVRPGSITGVGEDDGEAPPPLPEGFEEETRGRGRVVKWAPQREVLAHASIGAFWTHCGWNSTLESVCEGVPMLVQPCFGDQMVTARYVTHEWGVGMEVGEVIERGRVAEAVAKMMVGEDGALMKERARHLKMEASAATGSAMDGLVRHILSL >Dexi4A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:4A:21840118:21842268:1 gene:Dexi4A01G0017900 transcript:Dexi4A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTRFIVFLLGAALPPLLLFFHAAEAGEVGVNYGRVANDLPDPAAVVQLLQRHGITSVKVFDADARVLTSLANTGIKVMVMLPNEAIAAAGADGGSYALDWVRGHVAAHYPATRIHAVAVGNEVFDSSPDLAWRLVPAMANVHKALADVGLAGDVKVTTPVAFSAVDVSFPPSAGRFRTDLAEPVMRPMLELLQRTGSYLTVNLYPFFAYADLPDKISRDYFLGNPNPGVRDPVTGLTYTSLLDAQLDATLFAMDKLGFTGLDVCIGETGSPSGGSSRGPAASKENAKAYNNNVINRVLSGKMGTPHRPGADVDVYIFALFNENQKGFGDDDIERHFGLFYPNMEKVYAFDFHGGGGEPTAECWCVADAGAGDERLLAALNYACANGADCEAIQPGAVCFEPNTMVAHASYAFNSYYQRNQRATGTCDFAGAAYIVYHKPDGTCDPNTSWCVANAAAGDERLLAALNYACANGADCEAIQPGAECFEPNTMAAHASYAFNSYYQRNHRASGTCDFAGAAYIVYQAPKYGNCELPSKIWMEEAAAKSEGYAAI >Dexi1B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:1B:9479423:9481167:1 gene:Dexi1B01G0010080 transcript:Dexi1B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQQQPLPTFLALTGVVTLLLLALMNHLVVSSRRRSPPPPLRRRLPPSPPGGLPVIGHLHLLRPPVHRTFHDLASRLGAPLMLIRLGSTRCVVASSADVAGELIRHHDAAISGRPVTAVARLFSYGSAGFAFTPYGARWRFLRRLCVSEVLAPRTVELLRPVRRAAMAPLLNAVLAASERGETVSLTRELIRFSIASIVRMVATDAPASVAGEAAEDVVKAVTELLGAFNVEDYVPLCRGLDLQGLRRKAAGVHRRFDALLEQMIRHKEETMEHARGAIVEHEQDDKKQGKRSKDLLDILMEKAEEDAAEVKLTRENIKAFITDVVTAGSDSSAATVEWMLAELINHPEAMRKVREEIDTVVGDDRIVGEADLPRLPYLQATFKETLRLHPGAPVAHRVSSTGGEMAVGEFTVPPETAVFINVWAIGRDPAYWEAPLAFTPERFMPGGAAAGVEPRGGQNFQFMPFGGGRRGCPGVGLAQQSVPAVVAALVQCFDWVVVDGNGGETGLVDMDESEVGLVCARKHPLLLRPAARLSPFPAVV >Dexi1B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:1B:6377315:6377629:-1 gene:Dexi1B01G0007710 transcript:Dexi1B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGRGVVGERWSQRVLWICALGSAVSLYFVAVERKAQNRARAVAEGLKALDGAGGRAGEDV >Dexi6A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:6A:4457458:4457972:-1 gene:Dexi6A01G0004890 transcript:Dexi6A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRKPPSKKVRGGGGRGGGDGGGGDSTSKSRAIGKMFVKTNLCSEFRAGTSWGSSASHRPNWEEHQIPIMTSGSVVAGDGGGGGGGSQGGRGRPCKKLYTEEGCPYGDSCTFLHDEQSMLVAEDTMLPLPMGDGAEAIQLEDEDL >Dexi7A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:7A:24607191:24609877:-1 gene:Dexi7A01G0014660 transcript:Dexi7A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDAQAKPYYSGGGPGASSPRAARKTPRSPVFLGTALFVLGFVSLFTGHVVTDADWSRIRSRWRSKQVRNYEPIDIWKSSLQITDAVVVAWILNATLVVPELDHHSFWKDESDFSDIFDVDWFISYLSKDVTIVKRIPYEVMLSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRLTKFDYRLTGELDEDLQKLRCRVNFHALRFTNSIHGLGQKLVQKLRLMNTRYVAVHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWDTLPELSAEDERSRGKCPLTPHEVGLMLRALGFDNDTNLYVASGEIYGGEETLQPLRELFPNFYTKEMLAGDDLKPFLPFSSRLAAIDFIVCDESDVFVTNNNGNMAKVLAGRRYVPLCV >Dexi7B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:7B:23023673:23024798:1 gene:Dexi7B01G0017050 transcript:Dexi7B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHVINWEGMSKVKGKGKVDADGPPRERTITWDEEHTKFMLDWYIEYKKNQHAGFVFKKPHHMKCADALNKQFGMGVTVAQVDRHYRDYKEHWGIVTKALSKSGNSFDHVKCKITISESEKSELNDRARRLLAKPIKFYHEMEELFSGSSADGSLAMDQETCLDNDGTSSYNSDLQWMNDTSSYGQAVDLAGDDSDTLPTTKGHKPSPRCAASGDDSSSTKPHAGKKRFRGKSPKKPQKSRSRFAEATKEISNTMKAIVQALAEPPPPPPLPTPQPGGAHASLWKRIEALPITSEDKINLGVYLARPEHEGMRDFLSASSDNTLETWVYKFFSQDGQ >DexiUA01G0000850.1:cds pep primary_assembly:Fonio_CM05836:UA:2641354:2648803:-1 gene:DexiUA01G0000850 transcript:DexiUA01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADPSAAAPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAATVSPARVPDRSTAAPPPLPYLPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGAPGAAGAPPPPVFLFVIDTCVIEEELEYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLTGAGRSGFPKIPQQPGGPQVNGMHPAATAGVNRFLLPVSECECTLSTLLDELQSDQWPVEAGNRAMRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTIEINCSKDIKVQGIIGPCTSLEKELVEGFDQETAAVVLARYISLKMEMEVFNNSPDETAYFRMLLNRESVTNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHEQFAQLLQSPHEEAQMIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVAPGSDIIFTDDVSFQVFCEHLQRLAVQS >Dexi8B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:8B:8505656:8507003:-1 gene:Dexi8B01G0007260 transcript:Dexi8B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASSGSQSTLLSTLPNNLPYDFLKDITEGFSEARKLGQGAFGTVYKGTLQDGKLIAVKKLADNSPVPPEKQFKIGVGNLMALQHTNIVRLLGYCREPQKKVMEHNGRYIIVDVVESLLYYEYIPNGNLGKYIFDKASKPEWKTCFEIIKGICQGLRFLHKAMDGHRPIVHLDLQPSNILLDHNMVPKISDFGLARLFGEEQTRINTINVVGKKGYMAPEYLYRGEISARSDIYSLGIVIMEITTGEMNSSSDKDMSARDFIDKARLRLNHFSDGYSLLVCMLSLRLTPLILQVEKTWTNEHIASVYSKLDPVSLQEVRTCIEIALKCVIADQNKRPSIVEIVEELNGMKAH >Dexi2A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:2A:13455968:13457671:1 gene:Dexi2A01G0011550 transcript:Dexi2A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACPGGETIERFIVGAQKSTNHLKAELVKLLPAAGSAHAGTESSGRVVDALLSDITDSLSQALACLHLGALDTQRPPGQSSTAAAAGGGGGGGGRRSRQRIRTDGACRVIVLLQNEVQDSYRWRKYGQKDILGARFPRYFIVQNSDADPSKVEVAYFETHTCGDDPSPPSLSSHSAPGAVIISGTQGSTARLVPVAMVPSDQHCIAGQLPPLMYPVPDLTTAGSNVPRTAGDIQGDAAVVPVRDDMPFTPSMEEEQAELFIIPSPACSQSDLLPTEVAMHGPPVWMEHGVAHGDERSAISDFAVPEL >Dexi6A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:6A:9977187:9981688:1 gene:Dexi6A01G0009010 transcript:Dexi6A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEEIKNEAVDLENIPVEEVFQTLKSTKAGLSSEEAEARIAVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVVLLEVPERRKDSPGGPWQFVALLPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQCKDEAIASIPVDDLIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLKEIFITGIVYGSYLAVMTVIFFWAMRSTNFFEDTFGVRSLHDNKDEMMSALYLQVSIISQALIFVTRSRGWSFTEVPGLLLCAAFVVAQIIATVLAVYPTVGFAHIKGIGWGWAGVIWLYSVVTFLPLDVFKFAIRYALSGKAWDTVFEHKIAFTRKKDYGREEREAQWATAQRTLHGLQTPDILGDRSSYRELSEIAEQAKRRAEVARLREMSTLKGQVESVVKLKGLDMEGVQQHYTV >Dexi2A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:2A:4621491:4621977:1 gene:Dexi2A01G0004950 transcript:Dexi2A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHAPAPAAQAAAGLRGRISAERREDAARREEQLDRLLTSTANDSDAVMRAALLSAPAATAEEQEETAREVAEVERGLLELQQVFLDMATLVEAHGRVFDDIERHVAAAAGDVGAAEAELGEVQSARPMATGKKMGAGDR >Dexi2A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:2A:27416695:27417997:1 gene:Dexi2A01G0015990 transcript:Dexi2A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLMMPPEAEVELERYKNALVKYFEKQGKTAVFFEWVSQHSRHANLQAVPVPFSKADAVNKIFHLAAKRLGFEFSVVNPDGAKAARESLISQSESKSSIFYVELPEGKILLHMIDGNEKFPAQFGREVLAGLLSMADRADWRNCKLTKKEEIKMVDDFKQGFHEFDPAE >Dexi7B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:7B:24009663:24010007:-1 gene:Dexi7B01G0018140 transcript:Dexi7B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETGGGYAKGPGKFLVTNELIVVPFSLINSLNALKKKEHPVSKLAATEFTFTQVEVLNLLRAALVSRDVLTLACLPTKPKRRPHRYH >Dexi5B01G0034740.1:cds pep primary_assembly:Fonio_CM05836:5B:34909535:34910915:-1 gene:Dexi5B01G0034740 transcript:Dexi5B01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPAAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTAPGYSYSAANKNMAYIPGTKMVFPGLKKPQERADLIAYLKNATA >Dexi7B01G0023780.1:cds pep primary_assembly:Fonio_CM05836:7B:28317917:28319378:1 gene:Dexi7B01G0023780 transcript:Dexi7B01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIIIAVVFVLDILAFVLAIGAERRRSYATYVNVDLSGRPYCVYSSDASTAYGVSALLLLLAGQVVIMVATRCFCCGRALSPGRWRAWSGICFVVCWITFVIAELCLLAGSVRNAYHTKYLPRSNDTPPACAMLRKGVFASGAAFTFLTTLFTELHYIFYARSRAAADIAPPIVGGIGMTRMP >Dexi4A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:4A:3165741:3167269:1 gene:Dexi4A01G0004460 transcript:Dexi4A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVSVHALQGWIQYAFQNGVKFLDLDMQLPPRRGDDDHGDSRKRLMQQVRLDDLPSPAGLETMRLALGGARLELSTAVKFASLKELSLESIEIADGGGARLLARLVSSPNCPHLQKLRMSRLRFPASSSEEMRIEADMLSELCIEGINIMSMELRTPSLRVLHVDRCHNEVLSLLAAPRLEQVAVFSRPEPRRPLGLLRIDGDLPRVHSLKLCMWSHRHHRFHGGEQNDSCVLLMKRCSLLKCLEVTLGGPKSKLKYVEHVDLIKDKVPHLPHITSLTVNVSEAFERHNFGAGVANLLTRFTNLTHLNLHLPFFYGLFCDLKHGLDLDCDHSNHWTSHEISMVHLQDVELTGLTGVDCELWFTEAVLASAKILGKVTISFHKECCQHQDNMDAFQQYDFPP >Dexi1A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:1A:5351046:5353664:1 gene:Dexi1A01G0006990 transcript:Dexi1A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLPLYLLLALLLAIPVILFTARRSASRGNGASVRLPPSPWAFPVIGHLHHLAGALPHRALRDLARRHGPLMSLRLGELRAVVATSPAAAREIMKAHDAAFASGPLSPMMELGYHGADGIIFAPYGEGWRQLRKICALELLSARRVHSFRHVRADELRPLLLSVDAAAAVASPAVNLGELVAAYVADATVRAIIGSRFRERDEYLRLLQEGLKIMPGMTLPDLFPSSRLARIKNHGRRMREFIDTIIEEHQQSRISREDGDQEEDLLDVLLRLQKEVGFHGPLRTTQRAAHCGHANERHEGTRAPSTERHDGPLRAIHAASCLSASPSSKIDSHKAHWTMAEVMQNPRVKHKAQDEEDMLANLHYLSLVVKETLRLHPPAPLLLPRKCGSPSCQVLGFDVPEETMVIVNAWAIARDPEHWDDEFWPERFEQGGRDFKGSDFELIPFGAGRRMCPGMAFGLAHIELALAALLFHFDWELPCGMEEEELDMTEAFGVTTQRQADLVLVPIPRVPVPME >Dexi5B01G0029820.1:cds pep primary_assembly:Fonio_CM05836:5B:30941001:30943556:1 gene:Dexi5B01G0029820 transcript:Dexi5B01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPPEGGGGIRSVLTMGSLVSPSGNEVHFPEIDGKIVGLYFAANWYPKCEAFTPVLAAAYQHLKERGAGFEVVLVSCDEDRPSFERFHRTMPWLAVPFGDLQCKKRLSERFQVEGIPRLVVLAPDGEVIHPDAADLVHRYGERAFPFTAARVADLEADDQRKYASQTLEKLFSINGKEYVNSGNKQVPISSLVGKTVGLYFSAHQCVPCMKFTAKLAAIYSSLKGKAEDFEIVYVPMDKEEDGYLRSCSDMPWLALPYDGAPSRELARYFDVREIPTLVVVGPDGKTVTRDGRNLVNLYFDMAFPFTDAKIRLLQEAEDEAAKDYPQSLRHRGHRHELNIVSEKSGGGPYICCECEEQGLGWAYQCIACGYEIHLRCGQNTEGGSAGTG >Dexi8A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:8A:20711201:20720351:-1 gene:Dexi8A01G0011720 transcript:Dexi8A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDVRELLLSTTADASDPSTPLSAPDLRLLIDRLRLRSDRLHASALSFAASHRGALASSLARAASAADSAASLESSLDSALAPLASSPDLSDLKALADRLLAARQELGERQEHLAAASTIASLAARLREARAATNPLDTAAAAAELKPLLIDPERPGSGQDDPVVFGLLRSDWEQLVDELQVGLAKNVEECMKFAVEGGKVVVSATPRGSSSRTHGVELPVALQALEIIDALDYGMAKIADLMIKHILVPAISKIHVTVSVEVLEEGDPKHSVSVLSVVPSEELKAVPNEASKLIDFQDVVRSTAEFENELRSMMFLLPDRKDGKLTPYVDDVEVHFAVRKRSEILVKARNILVQYDYDNPLESGNRDDSVVDLLFLSEKCFTSKSALLLMELVHGALKVEKQLDSISQVAAIVHNDFYHLSQEIIGLAFQRWASLLPPLQSFELLGCRQSNIPLLQPPSLLANVPPTIALPNFPASTCARAACCRAQPCSPAVAPYLLPSLAVATLCDFPIDLQKQVVFVDLAPIFSQMAEVVLRRQIQLAIDTISKAIDGADGFQNTHQPQHYESAKFSIEQTVFILEKIRIMWESILPKSTYRKKLLDMSLKSITAAWESGDLVSCGFTSSEVQNFVKAIFADSPLRKECHSLSRALSDEVGGGRQPPTRWVLLLLIPFSAHDTGLRRLIHAFSLGRALPTSGVRAALPRVTRERPSSSPVGATPSSHPLHLCCLCVSPSASPALPPAHPLDAFLAPLPPHAAPVPPPGAPRSSGSRTSPCPTRSLLLPCLLAGDDPHI >Dexi6B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:6B:3908630:3911803:1 gene:Dexi6B01G0004630 transcript:Dexi6B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVGVVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDKTSQILCQKTLTKEEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKMDKDREQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDDSLEDQEETGWKYIHGDVFRFPKQKSLFAAIIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTGTSFYLQLEGKNWVRNLIFTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHDWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Dexi5A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:5A:7347582:7347872:1 gene:Dexi5A01G0009810 transcript:Dexi5A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLEQRRAQSRFSEPKCPCNRRSRNPQAALHPHHSLNEKPLVPAVGPSRRLSSAARGPTPSHLATLDPPPPPPDAPLSPPAMERSPDDPEQKTLT >Dexi5B01G0026310.1:cds pep primary_assembly:Fonio_CM05836:5B:28092362:28094049:1 gene:Dexi5B01G0026310 transcript:Dexi5B01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIASVGSHAARAPEQYWKSALPNTPMPSSLSQLLNTPGITNCSRPTVHTYLGSSTKHIYICNISPGGTTVNVGKGGVHVDAGHGKPGGTTVDVGKGGVGVNVNPGSSKKQPSGTTVGVGKGGVGVTVKPGYGKPGGTTVGVGKGGVGVNVNPGKPGGTTVGVGKGGVGXXXTVGVGKGGVGVTVKPGYGKPGGTTVGVGKGGVGVNVNPGKPGGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVNPGKPGGAGTTVGVGKGGVGVGVNPGYGKPGGTTVGVGKGGVGVHVTPRKKPVNVPVGPFQYAYAASETQLHDDPSVALFFKEDDIHPGKKVTVQFTNAEAASGAKFLPRGEAEAVPFSSEKMPEILSRFSVSSDSVEAAAAEMAQTLRDCEAPAAKGERKACATSLESMVDFATSSLGTSHVRAVSTVVVAKEEGSSPSPKQEYTVTGVKRATEEDGRLVACHAEPYAYAVFACHLTRRTRAYTVSMVGRDGTAVEAVAVCHADTSGWNPKHVAFQVLDVKPGTVPVCHFLPQDHVVWTRSG >Dexi4B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:4B:246492:251331:1 gene:Dexi4B01G0000400 transcript:Dexi4B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLLVGAAPRPPPAALRLLLRRQMASSGGARAFQLRLNPLTGDSEWLVIDEAEDAPAAPTHHKQLLAATSYLDMLNDAARNRAYRRAIDATVTDPNSRVLDIGAGTGLLSMMAARALAAVGGEGRGTVSACEAYLPMGKLTRRVLRANGMENKVKLFHKRSDELTVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDELLVKNLKTVPYRATTYGVLVESTFLWKMHDLHSSEATAQDGVWLTPRGTENILSVKLQQHAMQCDALEDEIRLMSERSSPTCIVADDSVFLALVVSSLSPSSKVIAMLPGLRDKGFNYLQAVTDANNLSMDRIKVIGRKASSLTMSDLEHEKVNLIVGEPFYHGSEGMLPWQNLRFWNERTLLESLLSEDVFIMPCKGILRICAMSLPDLWRSRCSLKDVEGFDHSVVNDSFGACGDMPGEQQGPCLPYYVWQSGYTKKLSKVYSLMDFDFSEPIHPCFGKTKIKFAYDGICHGFAVWIDWVLDNKSSTVISTGPESRYWKQGVQLLSRPVQVNPSNSSMHVEAHFDPDTAELSFQAKFVM >Dexi3A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:3A:1644269:1644937:1 gene:Dexi3A01G0002420 transcript:Dexi3A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADDDSASPTMADDQLQAAESSTEPPPFTTMLRDFSHVLSHFTHFLPSSPSRRLPVHHQLRHGGDHFDLVLVGFMRGGVWYNRDGEPVVDDDGAYSNGGFGAVPASEEAIAALPETTVGECDGETREKEAECVVCLEDYQAGDKLRTMPCSHGFHERCILPWLRVSRLCPLCRFALPAAAAETESLVDEEEDDDEGDTLEEDVNDGDGDTLESIQFLFL >Dexi9B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:9B:6254633:6254953:1 gene:Dexi9B01G0010100 transcript:Dexi9B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKDKWTGLYLNAMLEAVELPARVSDLDTGLADVDRDALPHGVVGRVGRRLRLEMGRGEKLESRREASTKTPFSAVLCLGFVSSPLGSFYKEMRTTAWATMECR >Dexi2A01G0029150.1:cds pep primary_assembly:Fonio_CM05836:2A:40244364:40245280:-1 gene:Dexi2A01G0029150 transcript:Dexi2A01G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHDRDAACVPVLALLVAAAALALTTPSAAAQPWPGCDAQSGNYSAGSAYAANLLRLISVLQANAYNSPAFFASGSAGVGAGAVVYGLMLCRGDVSPSDCFDCGTRAGQDVEQMCNRTRDTALVYNQCYVRVAATDFLASPNNTGLVHLIANISIPTGVDVAAYDAAVTRLLNDTSRYAVDSSSAPSTSSSLRKYFATGQFMGLDPKVPNIWSMAQCAGDLSPAQCRGCLGDLVAMWWNGSRFHLNEEGARLAGSRCYLRSELGKKFYTGAPMVKLQMNAEGAVPAPAPSTTVVTRTGGVL >Dexi2A01G0022270.1:cds pep primary_assembly:Fonio_CM05836:2A:34132397:34137925:1 gene:Dexi2A01G0022270 transcript:Dexi2A01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLTLVLTFIQMSTRKGSTDVHEFDGKFQGSYAKFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFEAPVLRSECNPKVLTRLSSPFFLKPVSSFTLKFYIFRYQAHIRRHLAQEARGCTNLILWLDCDREGENICYEGTLTPYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYIIKAGDEIQLEWERKKLFDFDVTVMFQKMVASDGTLKVADVSVKEECKTRPSGLNTVNMLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPASFDFQGALAVLLHNPLWSNDVRTLLDAGFVKPRQGHDAGDHPPITPMRSATEEALGTDAWRLYQYICQHFIGTVSPDCRYTRTAIEFTSGGETFHCVGYRVTHKGFTSIMPWLAVSENSLPAFKKGDSVSIHKVDIYEGSTTPPDYLSESELISLMEKHGIGTDASISVHINNICERSYVQIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPTRLYCITCEDVYYLPQNGSIKKFLSGGKRFLQNS >Dexi6B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:6B:22098177:22102427:-1 gene:Dexi6B01G0014590 transcript:Dexi6B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAAACIYSLSFAVFVLMLKASMRRRAAAGRRSERAPVQGLKLPPGSLGLPYLGETLQLYSQNPKIFFADRLKRYGEVFKTHVLGCPCVVVASPEAARMVLVSRAHLFKPTYPPSKERMIGPQALFFHTGEYHLRMRRAVQGWLGPGALRAMVPHVEAAVASTLRRWEGREATTFDTMKRLTFDVGVVSIFGRLMPEHVKEELRTNYFIVEKGYNSFPIPGLPWTCYSQAIKARQRLGAILRGIMSVRRSMNHLGDDDLLGTLMRCRDGDGGEALSDDQIADNILGVLFAAQDTTASVLTWILKFLHDNPKLLEAVKEEQMAVYEENDGGRLPLTWTQTKRMPMTQQVILESLRLASIITFTFREAVEDVEYQGFLIPKGWKVMPLFSNLHHSPEFFKDPHKFDPSRFTVAPRPGTFLPFGSGVHACPGNDLAKLEMLVLLHRLVTAYRWEVMEPSDEVTYSPFPVPKGGLRARLLRATAGGVEDGGSPAMAAAA >Dexi6B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:6B:276722:277572:1 gene:Dexi6B01G0000380 transcript:Dexi6B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGNIILFIDEVHTLVGAGAFVGSKTDVADIFKPALARGELQCIGATTHDEYKKHIEKDAALERRFGPVKIPEPTVDETIGILRGLRQRYEKHHKVQYADEALAAAAELSHKYISDRFLPDKAIDLIDQAGSLASLRHAQKPTEYEDVKNLEAELRRVIKEKKDAVLNESYKRVSVHDP >Dexi9B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:9B:14113590:14115419:1 gene:Dexi9B01G0019520 transcript:Dexi9B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKPVAVRRAEELEEKEMGGRDASDYAAHAIRVQDLALSLATVEDLADPDRLLTVTRMEHTPYPDSGADHERRLGDALMGEETDSEDSDDDDLFWVDPIKYDLLEKGWGWQRLVPYVHGVDWTMFKGYLEEYYIQNAVYRVKETGLLSELLDCRSNTTEMVKLCKKIRQSAFNLMLYEGPESAAAAGALVGLAKEAKFLCYLLSREDRSVDQDYYCCDAIRMKTVIALDVLLQDCIGETSSGRHVSYALAVVKSEKPKGYGLDK >Dexi2B01G0032730.1:cds pep primary_assembly:Fonio_CM05836:2B:40563610:40564118:1 gene:Dexi2B01G0032730 transcript:Dexi2B01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARNYGIAVEAATLARDLLAPAATRLSGDDPSGLHLRCVLVRYHGFFLHPDEARADLAKFGDIEASPPAGPCTPP >Dexi4A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:4A:246029:254354:-1 gene:Dexi4A01G0000360 transcript:Dexi4A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFDDTTEDFFDNLVNSDDDDDRPVAAAAKAEAEAEAEAGDLAALTLSDPKPDDHPTPAPLPEDPKNHPTTEAVPDPGPASAPPGPAPLPVSHPSPRQPHPATDAVAEPEPSSAPPPPPAAAAPALKQAQWNDFGADPFGDLPPGEAFFGDTSAEDQGAHASILGASSASEPDRSFSAGADANAAGAAGLPDYSFYGGTDSNANSHLDSITAAAAGYGDHQSTDAQFESADPRYLESLYPGWKYNDATQQWYQVDTLSVQQITAETSAVALLGTDHVQQQQQQLSASYLQNNSLAEAADEPTETEATPQPKPVTEDDDQPVPAPHEEPAHPEVGPAVAHPEAGTQAVGSAVLHPQTKTQVQETATNAAAGLPDYSFYGGTDSNANSQLDSTTGAVGYGDYQSTDTQLESADPRYLESLYPGWKYDDATQQWYQVDTVNVQQITTETNDAVAVLGSDNVEHHHQHQKLSASGLQNNSHAEAVDGPTETEVAPQPKPVTEANDQPAPAPHKEVEHEPMPAHPQVGPVVVHPEPSTEVAGSAVLHAQTKSEVQETTTDADAAAMVPEGGSPGSEKGTHTAVKQVQWNDFGASTSAGEADLFGDLLPDGAEDGFFGAPVPGNQGVQASVLGTNNVTALDRSFSAGVGNSAAVSAGVVDYSFYGRADNNANSHFDSSATAAGYGDQSANAQLDSTDPKYLESLYPGWKYDAATQQWYQVDTPSAQSYAAADSTGAVAVMGSDSVQQHQQQFNSLYLQNTSHTALETIAEESSANATSWGAAPTEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQSIVQAATSPSALDGFTGAGHSAAHHAEDSYASTYSQSQWQPNSLANTMQPNVSGGNSLLGSSYSSNQQAENHIGQQVNAESSQPSINYKPHIDTFVPSTGQYTVKEGNHASYEGFKGNQSWYNGSEHSTNQEVGHRGFATSTDFQTGHKEFQPPNGHQVGHMAYEPSTRVGYGNSNGPQDFIPNESMYKTQIHADSSAHTHVLNNYWSNQTAMDFGQQQSQQFGFTPHEQRSSAGRPPHAVVTFGFGGKLVVLKEISSMTANFDSGNQGIDGPDMAVTNLFSSCKSSANVNGYGVHCMRNLPSESQIQATAQEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNPQYILLPFQPYKLVYAYMLAEVGKVSDSLRYCQASLKVLKASGRTPELEAWKQLFSTLEERIRTHQQGGYATNLAAGKIVGKIFTSLDKSLSRMMGTQSAPMPPLPQGTANERDVNSPPDTKVVNSQSVMSMSPLMSSASEQSMSKMAGNSGPGREVAHNRSISEPDFGRTPQKQAAGSSKAQSTSGSGSSRFGWFVQKTVGLVSKSRRQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPLPPPPTKPSFQNSIPESNLNGPPVSGGYTANGFAEARTLNPSEPSSGMPPMPPTQNQFSARGRMGVRSRYVDTFNKGGGGGGSANAFGAATMYSKQAAPSVNPLSGAKFFVPTPAAAAAEQMSDAAADAHSDTAQQDEPSSSSGLEAAFSSSAPPVPMQSTIQRYPSGDNIQRYPSMDNIMGPSDSAGNSMSRSRASSWSGTYPEQQLGSAAVSRSPAGRSPMMPGAKRAPHSRSSSNSSLHQLNGLGEDLHEVEL >Dexi8A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:8A:1013080:1013423:1 gene:Dexi8A01G0001430 transcript:Dexi8A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVLASVLYVQGNNDGKGNLKPSQCAGECRRRCSKTHHKKPCLFFCNKCCAKCICVPPRTYGNKETCPCYNNWKTKKGGPKCP >Dexi4B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:4B:3671254:3672672:1 gene:Dexi4B01G0005180 transcript:Dexi4B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQEQPWRIPPIVQELAAGVQEPPSRYVVREKDRPVVGAPMPEPIPVIDLSRLSAPSDDHGVSDEVAKLRSALQNWGLFLAVGHGIEPDLLAEMMKVSRGFFDLPPAEKQKHSNLVNGKEFRLQGYGGDMVVAEDQVLDWCDRLCLVVEPESGRVHSLWPTQPPSFSEVLSKYTVKCREVAGVVFGNMAKLLGLPEGYFVDAMGEESTTYAKLNYYPRCTKPEHVLGLKPHSDGSLLTVIVVDDDDVGGLQVQGDAGVWYDVPVVPGALLVNAGDTLEIMSNGFFKSPVHRVVTNAERERVSLAMFYALDLEKEIEPAPELVGEEKPRRYGKVKTKDYVAQLLETYARGVRTIDTLKI >Dexi3A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:3A:15495:18446:-1 gene:Dexi3A01G0000020 transcript:Dexi3A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRSKNTPTSAAGCLPDEIVEQILLRLPASSTVRLRAVCRAWAALLSSPSFADAYAAAVERSSRASVFVLFAPSPASPNGATAVYSSRAGAAAERPLFTIDRLRPGFLVASTKPCNGLVLLTDTRSFAYWVCNPSTGEFRRLPQQRRRRGGLSSAGLAYDDRTKEHRVVHLFCHEEDAESSTTGCEVYTLCKPSRPWRPVSVPRRLVDAATCALVFESAVTKVPPVFANGRLHWQIYPSMDMDDPRGLFPESIAYPYYTVSVLCFSVADETFGLVAGPAVDDMCCGLDDRYPAVPLHLVELQGSLCMVRDLRHHPAHGESLMEIWALRDYCTSTWSILHRVAMTPHVASGTRSPRFLTVLGYCYLGRDGASSDKKILIATSQHKVHAYDPATGDVETMLITNKEDEEAVAGVRIGLYEDSLARTGGENRRQMEVASALTEILVRLPVRCIAQCMLVCKQWHTLIESESFTTSHLLLKRQQPRKKLALVTSGRARKSFLGFMPMEARVGHPAAACLDSLLVGGDDDIVVCSKPCHGLNLISTITDDYLCNPCTGSVRCLGIHGKFRRNNPQAGNVHPPPADHRRHGLAVAGGRNVGLGFDRLTREHVVVEISRLESGGLACMLKASCTEYWSRAGEPPRPVTGMPPAHVDGTLYWMSEPKDGDRFVVAFDIPARVFSLVPCQPCNGGAGSSSDPFLVELEGALSVVVADVEGNMLRIWRMLEHGSWVNAYSIFLQDSNRHPGFSLRTGTVVPMEVAGGKDGRNKKILLNTGRALGYYDTRTRAIDALYSLDPSSSLLQAAFPMLYEESLVCIQDDEQPDHVAPPVWDEASAGWESEQPSHYIFRWCERSGCHEPAATFAASCCRRALCRGCGDRCRDHGGAEGFHAEIPPGTPSSVAGIREHLQLPLEHPSVPGPEYCYYYSMRDEDEDDVGRHVFVALKDLVRGRQPRRLVECGYRTADGGKVILETWVRRYRAHDEGNF >Dexi7B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:7B:20401773:20402236:1 gene:Dexi7B01G0013970 transcript:Dexi7B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGYGASFLDARGKLVARSYDILGGLYSTFVFQKPDPDAMPPIVTPDLHDTDNAHEEEWLRQQQVSADDGRHSHMDVLPLDHEKGILGPGPADMRL >Dexi4B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:4B:933277:935917:-1 gene:Dexi4B01G0001560 transcript:Dexi4B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPRRPEDEEEEQEERRHDDGDDQITAPLLPEASTSRGSPSYDDEEEEENSPIEQVALTVPVGDDPDTPVLTFRMWVLGTASCALLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPDRAFLRGTRWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHITFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRVKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFIIMYIITPIAYWFNFYKAQNFPIFSDGLFTLTGQTYNISSIVDSHFQFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVFLFHGRWEQFLLAFVILLRETRI >Dexi5A01G0038660.1:cds pep primary_assembly:Fonio_CM05836:5A:39569863:39570309:-1 gene:Dexi5A01G0038660 transcript:Dexi5A01G0038660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLQPVPPPAAVNGDAFRLGFIGAGNLAESIARGVAASGVLPASAIRTAPHRRPERGAAFASIGACLLDTNAQGDGRS >Dexi4A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:4A:18006247:18006693:-1 gene:Dexi4A01G0015230 transcript:Dexi4A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVLPHLAAVVPFSISADSRFRTSPGLARRAGAGQPTHHQEPARHIALLGPRHAYAGQHSCLREESPAKHALPLAPPVLAARREIAGIAGICCCRSRLTLAASDPTQEPPSDRARTPHRAPRRRPPPMKPLQDALRCRRWNPRDVSR >Dexi6B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:6B:2963012:2966086:1 gene:Dexi6B01G0003610 transcript:Dexi6B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGASRSPSDAGAAMIAAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKRTCPVCKQACGAAHPPTRLYFQSTGTCPTQACPSSQDGPGGPDPEVVAAELARLEQKAASLGKVVEEQRDGIKNLNAEVARWMAKAASAEAMHEAARKEKECELSRKTSECGRLQEKSLALAKELAALKLSSDMNLQEDEILKLASLGNHGNLENAVDVLKRSLAIRNKSYKELMIQCNILGRSESRMQQKVEKAKELVKRLKARVQELEKELEEKENSLIRDLRSSKKFKADQTKSGNITANDGFPCRSAGYRNQTTKIDEAMQDLCNNKPDLNQLKPEAKSDLNSNGNLTNKNADVIELDGDDSAFGNEHRTQFSAKQFGTDDSTLDSQNKSTVCQNDNRQFTTFETTTTHVAKEASSLKYREASGKSTALKNLRAKLHIPQDSLFERSTNVTTATWEKGTLTIDGISKQATRLTSGTGPQQIHNFNSLSDDLQAPGISGTDGARKSIGKWCKGSTALGSTSANPNRGNLIAVGPDGRGGKVKILRDLGGLQDSKSQALWPKAQKVGSKGGQSQIDHFFGKR >Dexi4A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:4A:3353105:3357098:1 gene:Dexi4A01G0004760 transcript:Dexi4A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARRVGAALVLVLVLALAVSAAWAARLDLDDDDDSGVLDELLAIDEEAERGGLDAGAGDDGGAAEAVRRAQSMVLLLDNDNARRAVEDNAELLLLGYAPWCERSAKLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVRGFPTVLLFVNGTEHAYHGLHTKDAIVTWVRKKTGAPVIRLQSKDSAEEFLKKDQTFVIGLFKNFEGAEYEEFVKAATTDDEVQFVETSDRSVAKILFPGIASEEQFVGLVKSEPEKFEKFDGEFEEKAILRFVELNKFPLITVFTELNSGKVYSSPIKLQVFTFSEAYDFEDLESTVEEVARAFKTKVTAFDTSNGAKYLMETDINAKNLREFCSSLLDGTLPPYYKSEPVPQEKGLVEKVVGRTFDSSVLESPQDVFLEVYTPWCVDCEAISKNVEKVAKHFSGLDNLKFARIDASANEHPKLNVNNYPTLFIYPAEDKSNPIKVSKKLSVKDMAKLIKEKLQISDVETVAATDNTPAPENVKDEL >Dexi1B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:1B:7728221:7729084:1 gene:Dexi1B01G0008860 transcript:Dexi1B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFWNAILLGSYLRTLTSRRSPARLGDDHGGKDDRPVGVTGGRWRGVKAAQCKLLGRQEQHVGVGCRQETVRLARRGRRGDADTIGKEAAAAESRGAHRLSCALKVVDGDLLPLQTSRAERSSGSWSSSSRATVGGKSGVEFVLDCDLKKQELASTCLCVLPESSVVELQRQ >Dexi6A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:6A:18704280:18708489:1 gene:Dexi6A01G0012350 transcript:Dexi6A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATATAAAAGPPPAIPGERAATEMDATVTAATTTTAAAASPPPPIPDKYMQKNRLQSFAERTHKKLPIYKTELEGEYHSPKFRCTVEVGGQQFSSSGSFNRKKDAEQDAARLAYETLATIGEGDVKEAFGLIEQDAVFCKSILYEFAVKTKITWPAYNVIRLEKPFTMFGASVVFNGNTYSGEPASNKKDAKQNAARAVIKSILATDSTCMIEIIRSKKQLITAARSSESTPTTFTPIKFTRPVAYAAYGGADHVASMSQDESSSLGVQGLNMVPTVGTSANPSLKAVTGSKKRKVTTVAKEH >Dexi8A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:8A:30655787:30657952:1 gene:Dexi8A01G0018350 transcript:Dexi8A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPDGEGLFVDPEPFSPSIFLDLPPTPRPDGSGQEPASSDDLVLPFISRMLMEEDFNDEFFYQFPNHPTLLQAQEPYAQILYDSTTTTAGSSSSGTNSSASGSAAALSPSSSDDPAQPYPNAGLHDSTAGDVGAFFLPAQDGTILGFEQSPAQLGNVGDVNAFVAGQHGGSTSTQSSASLEDGKASRPEQAAAEGEHGASSVFFSGQNNRVNMDMLNQAFLKGMEEAKKLLPTNNNLLMNPAFATTGGEEEQARGNGRVRKNRVNWDDLEAETCRKSKLMVPEPEENDEMVDEMIVNGYDMCLKEMKALQITMGSEAKKNTRKGRGKSAQGRRSTDEAVDLSTMLIHCAQAVARDNRRSAFELLKQIKQHSSPKGDATQRLAHYFAKGLEARLAGSGSELYRSLVAERIPVIEYLKAYQLYLAACCFKMMAFKFSNMTMCKVMAGRKKVHIVDYGIQYGFQWPSMLCNMATWKGGPPEVRITGIDLPQPGFHPAARIEETGRRLSNCAHQFGVPFKFHSIAARWETICVEDLNIDPDEVLIVNSIVNFGNLMDEGVDVDKPSPRDVVLSNIRKMQPDMFILFVTNVSYSAPFFVTRFREALFYYSAMFDMLDATTPRDNHQRFLVERSIYSKCALNVVACEGLDRVERPETYKQWQVRNRRAGLRQLPLDPDVVKSVKEKVREQYHKDFVIDVDHQWLLEGWKGRILYAMSTWAADDAT >Dexi1B01G0028380.1:cds pep primary_assembly:Fonio_CM05836:1B:32943888:32944109:-1 gene:Dexi1B01G0028380 transcript:Dexi1B01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPPTPSSSPTEPSFVAGDELHENDFLFSSPDTVLPSPQYRSPLGCGAPPGHLGLLAALAMHEGDRLQPRI >Dexi5B01G0030530.1:cds pep primary_assembly:Fonio_CM05836:5B:31469899:31471223:-1 gene:Dexi5B01G0030530 transcript:Dexi5B01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALTLTPLPLSLPSSRRRIRVRVLAVAADQTPPPPPPLSPSEPANSPSRLLRELAQRKKAVSPNKKHPPRRFILKPPLDDERLTRRFLSSPQLSLKALPLLFSCLPSAPLSTADRTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLAFQHLDPSSERTKTRHVRNGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKKVLPKWLKAASLHNLVFPYDDLDKMIRKDREPPSNGV >Dexi5B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:5B:21669397:21671217:-1 gene:Dexi5B01G0019390 transcript:Dexi5B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAEGVLSSYAAAAAAASSLSSAVAEAAPSDGVDRISALPDDILRGVVSRLPARDGARTAALASRWRGLWRSAPLVVRDSDFLIACPSDPDRARAAVGRVLADHPGPFHKVELTCCEWARLLAAKDVRDLILLDMEDLSPGSVWHLPDDILSCASLQRLVIGYWIFPDTAAAVRRGTDVAFPLLKELLLFNTSMTEQDLERMLVCSPSLKTLTLLFSRWPQRVRLRGPNLRCMLLWSSLAEELAVVDAPVLERLILWKTSADSKSGDNVPMVVKIDRASKLRVLGYLEPRFHKLQIGNIVINAETKARPSSMVASVKILALKVNLGVQEDINMLASFLECFPNVETLHIESSILGETIGMDYVKFWREVHPIECLKSHVKKIVIHEFQGARSEFEFLEFIAMCAEKLQLMLLVLTKEKSASTDEVDELSMVLFVVS >Dexi6A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:6A:3167779:3169739:-1 gene:Dexi6A01G0003520 transcript:Dexi6A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTVIFLLLPCCLALLLLASRLRHASLTYKAAAYARLAAVKSSTVLKKLIPKLGQPEVYVSDRFAAHRLLVGGAATGGAFSDKPPSSVASAVLSRHRHYNINSAPYGSLWRAMRRNLTAEIFHPSRLRLFAPARRRALADLVADLDRQCSSGGVVRAAESIRAAMFGLMSTMCFGGDVDGELVKAMADAQDDLVQCFLGLRILSTFPAITGLIYRNRWRKLVELRRQQEEMYLPLIAARRRHDGEPPAYVDTLVDLSVPDEHGDRRRNKRRRRRQRKLTDGELVGLCSEFLGAGTEPAAAALQWIMANLVKRPDVQRALWKEIDAAVAAEEDEVGEEVLGRLDYLNAVIMEGLRLNPTVPVAFRQTAWADPLEFRPERFMPGGEGAGVSLVAAAGSAGEIRMMPFGAGRRMCPGMGIAMLHLGYFVANLVREFEWVEVEGDHAVDLRPHVGFFRVMKRPLHVRLVRRR >Dexi9B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:9B:12748286:12748900:-1 gene:Dexi9B01G0017960 transcript:Dexi9B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTRQHKSFRLAKIKFISRWEQKNLKSATTRFFYRGECKSCRLAPIKYIPRGEQKSFRLATVRLQVCKPQVIEKEVQKLMANNEQVHLQRVLENIQVVRAQRLVRHRVPTKTSPSDDTNREALFRNPKETGKQRQEYFCLGRGSRKLFGSIKETAKQRQGCRLGRGSRRPPELASILWQWQSGQPRKSSP >Dexi9A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:9A:13115356:13132166:1 gene:Dexi9A01G0018050 transcript:Dexi9A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNAAPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDHSLANIVSSPSEGKLEDLPPALYSSKLSFEESLSSLKPLSFQATDVDLSIEAKKVLHLTLKMYQISVVENAFPNLRSAVISAISRYVTASTNYMANAFTKSDSDSQEINKILTEAGNELSEIWKNVHAVADSNDNGFASGVDEELPMTKILVELFNRCFPYYKNVSLLDVQCPSQSAVVKVISDLPADKLSTDGIPFLISASVELAEMSQERSFFPLSAALLSSPILHLASGSAAEILMGIASSIESIILSLLFSHSGLSFLLSQPEATELIVLSLQDAENMNKAECITLRQAFILLSKGFFCRPQEVGMITELHLKVGSAANRILSVPLNSDELLWVLWELCAISRSDSGRQALLALGYFPEAISVLLRSLSSYKDLDSVMDKNGGSPLGLAIFHSAAEILEVLVADSTASSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGARGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADSNSSSDGQIIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGAVTVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQAIVDLMIPSLVLLINLLHILRETKEQYRNKKLLRSLLQLHREVSPRLAAYAADLSFMFPTFAMGFGVVCHLITSALACWPLYNWAPGLFHYILENVEATNSSVPLGPKAAFSLLCLLFMDECIDVISRIFPPVVLILCMHCKGDLFPDEGIWLWKVELPSLSAIRSLSTSTVLGPQVEKEVKWYMHPEHVAILLVRLMPQLDRLARIIDNFATSALMVVQDMLRIFIVRVASEKIECAVVLLRPIFIWLDDKVDETSLSERDIFKVHQLLQFVVKLSEHPHGKALLWKMGIARILRKLLQNCSSASFSDDVTFGRASCTNDLMLKWRIPLFKALAYIFSIDPSNNGKAVAEETKCEKSVSECSSIMHHLLMFCQVHFFRFCWKKIIKYIGSNQPTDYLVETIYSLTLGAIALSQYGENLEGLLILRYLFGLPSDPSGSLNSSGESLNEIALFMKTFEEKICQGFENSKTYVGKSLLPQVLNSITLLRSILEDSGLSADSVQMVLEEGTDSPSRVARSVVMTAHLMPSLVDVPVNDETPFLFSNAWKVIVDSEEPVDSQEGEFAKRLVWELPDSSLDRQLGQSARRKLALGENAGRRVRDNQVPEPTGQFSRGLSTTNASSGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPTGDASQVKSQTSLDDNLRAKPENTRQPKADLDDDQEIEIVFDEESGSEDKLPFPQPDDSLQSPPVIVGENSPGPIVEETDNQENERIPFSQRATSLQKDAESPSVDISSQTAMLSEANDPLERKYSVPSPEKNSFRDHVSPNSISGRSSGQAAHQQSSRSRYEKRSPQKFSETSVSSGSRGHEHRHSNNHPPLPPMPPPMSSVATQNPDLVNRQLSSYSSRDRPTPNASGYPTQSFDTTMPSAFPGLQGQTQYMLAGAGGSSSNDLPNAEAKLLWNTFPVNRIPLETFSSGLSARPMPPPPPYSAVATQHASMGSSSPATLYNQGTVVQPSPAASIIGDSNLGMNSASGGMLPSNLLPSFASQFLMGRPSMPTSFFGTPLSQVQLSSGLPQNISNSQPSVSSVQPRPPPPPPPPQQPHPSQTPQQLGGIQLPQQDQPLSYPQSAIMPQVPLQFPNQLPIPQLQLYQQSQQESVQAQPQPMNQGMQADSFSQQQQDSGINLNQFFSSPEAIQSLLSDREKLCQLLEQNPKLMQMLQDRIGQL >Dexi1A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:1A:26970108:26974550:1 gene:Dexi1A01G0020010 transcript:Dexi1A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSHHLRPAPAPARGPGCAAAAAPRVALRPGGAWTLSRARCAVAAAESGAGGEAAASAPAAVTAVAPAAAAGRDKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKVLNQVIEQLNKLVNVHSVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISDNTLTMEVAGDPGKIAAVQRNLRKFGIKEICRTGKIALRREKIGATARFWRFSAASYPDLIEALPKNPLTSVNRKVNGSYDHPSNAGGDVYPVEPYESSSINQVLDAHWGVLDDDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTDESIEKLVQHLYKLVDVHEVHDISHLPFSERELMLIKVSVNTAARREILDIAEIFRAKPVDVSDHTVTLQLTGDLEKMVALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGYPLPL >Dexi5A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:5A:24057067:24057468:-1 gene:Dexi5A01G0020240 transcript:Dexi5A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARQNPKKQDVHATSVTMRSTPARGPTVTASSAARKPAPKTSGNEKTSASTGTTTAGGEVLRDVVGPEVEQEAEHGAQLRHEPQWRLAVAVSHECHHANQEQRQRAHGGMGVGPLPFLFLLAVGGDVFFDG >Dexi9A01G0031160.1:cds pep primary_assembly:Fonio_CM05836:9A:36028314:36031631:1 gene:Dexi9A01G0031160 transcript:Dexi9A01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPSSANGPSAPSPSPNIEKAPAARRGAARTQRTQKHTEAKQLPQKRTPPPREGGDKRTSRHIARRTMERDVVVSDPAAAGSSAASFAETRVICRVCQKQFAQYTCPRCNSRYCSLPCYKGHSLQCTESFMRENVMDELKQMQPEDESKKKMLDILKRLHLEDEMDSDGEDESVLSEELIQKVMSGEEIKLEDLSDDEIKRFRQALASGELGKMIEPWTPWWKKPSARSISLGPDGSQLIRQVSVEDTATSDPMTDQEPSINEIPEGPESPLPSLKQLTRVEPSPLLAVHLVDILYSYCFTLRLYNGDWHSDPLCASTVALSMSKVMGEDAKPETVPEALTACIEETCSPAYRHTGGFRFAIGLVDDIISILSLGHNALVCALSDFHRLIEVGQSMLKADKVGKTANAGSSSKLRGAARKLFFMTCWVHEQPEEAWSPLARIVEVQKASLEELDSGNLRPDRKSQQQSKVVIEEL >Dexi6B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:6B:90589:91485:1 gene:Dexi6B01G0000160 transcript:Dexi6B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSGATTSSSSPSSQRGGGGRRELQGPRPAPLRLHKDSHKIRKQQQPVQQQQVREPVIIYTVSPKVVHAEPSEFMSVVQRLTGARHRHTATASSSSVPAAALQDQIHQPPSSSLPFPFFAGGHHHQATSSSRLMLPPPAPAPHFPFQLQQQQQAAAGVHDELLQSQLSPAARLAAIHQHAGGGGPSSSSILPPFPSILSPAGPLPAIQPSFFSSPAPPGGAAGINLFGELISPAAAFLPGAAAAVTQHHQQQQAAGAMTSHYQDADHVTMLQEASPSSAAGGYYYWGDLFNNQGN >Dexi3A01G0022340.1:cds pep primary_assembly:Fonio_CM05836:3A:17945654:17947558:1 gene:Dexi3A01G0022340 transcript:Dexi3A01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATASVRGAGAAPLARARPPPPLVCGVAAARGPRRVVGAGGLAAAHGRRRRRALVAVASLQDPFPSRAQEVPVAVAPQADDEDVGHGNGSAGEETSSPPVGETVRVRFVLVKQCASGQSVHLVGDDPALGLWDPANAIPLEWADSQDWILEKDLPANKLIEFKFLLRDSSGKLHWQNGPNRIFQTGEATNRLVVYENWDDVAKQKIEEEEIASVGLEEPVVLDDSESRKDAVLEDKLPMDDTEEVKEDESVVAEEDEKSAVATNASVQVETLKTNEANPQESMLQKEPETIDELRETVGVENGSALCPDENSAEKTEGDNILSDDGVPVENGLTSAYEHDLLWGWKALQHLLMNLGFKMDTT >Dexi7B01G0022990.1:cds pep primary_assembly:Fonio_CM05836:7B:27742336:27746262:1 gene:Dexi7B01G0022990 transcript:Dexi7B01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRKVWGSVLARAAAAAGLPGGQAAAAPGSPRGRRAQQQGAGAEQYYCGVALSLGALDALPTDVLAQILRLLGPVDAARSATVCRAWRILASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPDWHPWLYYNNLPQLSFKQIYTDRAMVPGTVIVDGGSGYCKYGWSKYAAPSGCCATFLEFGNIESPMYARLRHFFSTIYTRMQVKPSSQPVIVVLPLCHTDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQAVLSLYASKRTSGIVVHIGFNTTSIVPIFEGRVMYEIGVETVGQGALKLTGFLKELMHQRNITCESLYTVRTIKEKLCYVAADYEAELRKDTQASCEVDGEGWFTLSEERFKTAEVLFQPHMGGMRAMGLHKAVSLCMDHCYNSDVVGDDSWYRTVVLAGGSSCLPGLPERLEKELRQLLPPYISEGIRVLPPSFGTDSAWFGAKMVSNVSTFTEAWCVKKKQFRQKTRRNGPLFVNAW >Dexi3B01G0030330.1:cds pep primary_assembly:Fonio_CM05836:3B:29860480:29861902:-1 gene:Dexi3B01G0030330 transcript:Dexi3B01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGRHTVHVIATVAPPIVVVLSTAPKSSSKLSEPLPSSSTVGLGQQNIVTIWIGVG >Dexi3B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:3B:2956533:2958818:1 gene:Dexi3B01G0004290 transcript:Dexi3B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWWRLLWLALSSSALLLSPAASQQQRGCRRQCGNITVPYPFGIGAGCHRATAGGGGLGFGLECDDARSPARLTVAGYGHEVAAISMATAEATVLLDASRACYGDGRVVTLLRERPMALNGSAFLFSSMKSKFVTVGCPELGYFVDGGGSYVTGCVSVCRPSSATAPPLPGSCRGHDGCCQNNIPLGLDTYRPHLGSLSAGEVVEGTLMANTTGCSYAFMVDAMWFWLAGPSFNRTGDFAVPVVLDWAIRDAPTCAAARRDTAAYACRSAQSVCLDSSNGPGYICNCTDGYQGNPYKIDGCTDVNECELRDEFPCYGVCVNTAGSFTCTCPKGSSGNATIPDGCRPDDKFTLALKAVTGVSVGVFLLLVCGFSSHLWVQKRRLLRAKRRFFEQHGGVLLQQQLGSLASSGVTFNIFSEEEMAKATDGFAEARVLGRGGQGVVYKGVLADGSTVAVKKSRVVEKPQQVTEFAREMLILSQINHRHVVKLLGCCLEVEAPILVYEFVSNGSLHGHIHHHTELSSSSSSLPADARLRIAAEAAEALAYIQSSASPAILHRDVKSANILLDGEFNAKVSDFGASRLAPADGAAVATLVQGTLGYLDPEYLLTCQLTAKSDVYSFAVVVLELLTGRKAFATEDNEEGEDGSLAFVFLAAVQEGRHREIMDARVREEVAVEVLDEAAELVRQCLSMTSDQRPTMKEVASKLQTLRKRACSCSGDGTNAGTNGNGVYH >Dexi4B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:4B:6445268:6448556:1 gene:Dexi4B01G0009020 transcript:Dexi4B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHLHRGPVSRSRIPQPPPMATLKVPATVPAVAEDCEQLRKAFQGWGTNEALIISILGHRDAAQRRAIRRAYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEAARKWQPGNRVLVEIACTRGSAQLFAARQAYHERFKRSLEEDIAAHVTGDFRKLLVPLVSAYRYDGPEVNTRLAHSEAKILHEKIHHKAYSDDEIIRILTTRSKAQLLATFNYYNDTFGHPINKDLKADPKDEYLKTLRAIIRCFTCPERYFEKVVRQAIAGLGTDENSLTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAGDTSGDYESMLLALLGQE >Dexi3B01G0024460.1:cds pep primary_assembly:Fonio_CM05836:3B:19088973:19093080:1 gene:Dexi3B01G0024460 transcript:Dexi3B01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATRSLRRFSSSSSSPVRSLRRFLLRPPTPPPAARPPLPPLRNLTRALLPHLAAARSFSTASSSSSSAPSRLGECGGAREAPAIPEEVEGDEGGEALVRHDTDAYAAVELAMDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGKAPILALVHGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVESEEFWDGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGVSCQATENVQLRECLGMRPEMTGVLVSRINPLSDAFRILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGETAVLKVLRDGKEQELSVILRPVLMDDINVGYERLSELQVKKVNGVEVENLKHLCSLVEGCTEENLRFDLDDERVIILKYQNARLATSRVLKRHRIPSAMSSDLVEEQANNGEVEASCTS >Dexi2A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:2A:21970643:21974176:-1 gene:Dexi2A01G0013430 transcript:Dexi2A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDVCAAPLLVVELPERSTRRRLAKDAWEESKKLWDVVGPAVFMRMVLYRLGIVSQSFVGHLGDRDLAAFSIAYTVIDGLNFGFMFGMSSALETLCGQAYGAKHYSTMGVHLQRSWLVLLAFAALLAPTYIFSGKLLAAAGQSAELARAAGLASAYLVPLVFMYALLLPVVTFLQCQLKSWVTAAAAAAVFPVHVAATWLLLAYALGGGCPETWTGFSAAAFAFKDVKEFVKLSASSGVMVCLENWYYRILIFLTGYMKDAEIAVDALSICMSLAGFEMMIHLGFLAGTGVRVANELGAANGKGARFAMFVSTTTSFLISLFISILKLGFHDKLAMIYTTSEAVINAVDHISVLLAFTIILNGVQPVLSGVAIGSGWQALVAYVNIGSYYLIGVPFGVLLGWGFRYGVYALKASNRMEQWSNTK >Dexi1B01G0025560.1:cds pep primary_assembly:Fonio_CM05836:1B:30565878:30568482:-1 gene:Dexi1B01G0025560 transcript:Dexi1B01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDVVYVKQHESSALSPSEIKEHLDSLGDQLFTGACAMPPLRGKSKDKCKMPEAFNVFDAQVAQQRLQAGITTLVSSKEGVTVIYSKRGGNTTVGSHSEWLLTVQAMPDVINVKAVPITSLIKGVAGAGYLSHAINLYLRYKPPVADLKYFLDFQHHKMWAPVLGELPLGPCSNRQGSSPALHFSPLGSKLYVSSSQVIVPKWPVTGMRLYLEGKKHNRLAVHLQHLSTTPTFIAAARHDKPPVWRGSEAASDDRFHEPVQWRMFARVCTAPVKYDPGWRSAAGDRDRRAAAWVVAGARLHVEAHGVLHLRLLYAELPGCAVVQSRWAHGGAARLAGKSSGFLLSAAVSSSGSSGGGSGGGSHKERQQPGKPEGVNIDSGVLPGGPPVPVAAQKMLRLVDTSQVTMGPQDSPGYWLVTGARLDVDNGRISLHVKFSLLAPAS >Dexi2A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:2A:2954147:2959423:1 gene:Dexi2A01G0003370 transcript:Dexi2A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLVSGEPGWRGARRMHAGNAGVTAPFAPLTVFPRRRFVVLPSQSITAKHNSGVKCEQTKPAAYVTSDSNRLRTSPDVESQMLGQPARFPVPCPAANVDDSGSRQAWSTGGRVDVEPPAKLSQSITPKHNTGVKCEETKPAAYHTFPVPCPAGNVDDSGSRQVLQYLPAYEQKPRPQGQLAAAMNSPPGDGGGGHGIFGSGGISGFGYGVGVSIGILLVVSTVALAIYFCTRTSMPVSAAAAMGAPAPPRPIDDDVERGGIDEATLEAFPAMPYAEARKAAAPEKQRNQACCPVCLDSYGDGDVVRVLPDCGHMFHRACVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLAAARLSS >Dexi4B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:4B:7083911:7084516:1 gene:Dexi4B01G0009750 transcript:Dexi4B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAVVAGGIDEADAAFFSRRGHRCCGCFWAPPWAASSSSPSPRSRRAEEVPGTGGEEWWHHVGDGGGGAVPSRRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRSSPRHGGAGGGKLNYDPLSYALNFDEGHNAGGGSPEGGGDYAGYPDFSARFVAPLPGSARSSMDLGGRDAPPLFLHHQAHSPRPYPAAARG >Dexi1B01G0024810.1:cds pep primary_assembly:Fonio_CM05836:1B:29988692:29989065:-1 gene:Dexi1B01G0024810 transcript:Dexi1B01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVKDDTGRTLPKFGEWDVNNPASADGFTVIFSKARDEKKAPTKGHISNRSADSKDSRPPDNKMTSYNSRNNASKKWFCCVSPSPTQS >Dexi5A01G0023530.1:cds pep primary_assembly:Fonio_CM05836:5A:27501127:27503744:1 gene:Dexi5A01G0023530 transcript:Dexi5A01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTQYQILVRLLDGRTRCLRITTPTLSGAALLDAVASLSSVPAAALRLVTGRLDVSPSSFLTSAADGQFPSAYALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAENFIKKKAKEAGRSGGPSAAEVDKYLEKYRKDAEICVNAVEESVRASLGKRKAAPKPPPGADSKKLKIWLGKNKVEDDESDSDSDVDDEDREGDEGTDAKSVVLDDGSCSNGSSKSEDEKPDLGSVSGSHSEGETSGEKSLHSSSEEIEKCAHSTVELTMRSGAEGGDSESDGSVETGAGMVDQPISVNGAAVAVAAASEEVPKSDEVRADADNTASATSNQNDPEAPQVEESTDPSKSSHSEPLDLTEYSSAAELETLGLEKLKMELQSRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKPTAGGK >Dexi7B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:7B:14777091:14777573:1 gene:Dexi7B01G0006970 transcript:Dexi7B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLLVMVLAMVAAAACVAVADGKGECGATPPEKMAAKLAPCASAAKNPDAAPSSGCCNAVHTIGKQSPECLCAVMLSKAARKSGIKPEVAITIPKRCNLADRPVGYKCGDYTLP >Dexi5B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:5B:19919370:19923328:1 gene:Dexi5B01G0018020 transcript:Dexi5B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSTSSTSLEASVEATLSTLRAQEPQRQAQPFTIFRVPAYIREGNRTGPYYHGAAALRAVEDHKWRYLHDHSIAGLVERSSSAQEAVKRRAEQSNVAAKSSIRLLYDVISKFDSIKRELVRSIGFGGLLCFPPLRQVNRRCYTYSIDTGCLKLDHNKIPRIMFFGPEVMKSMTLADTVSDESLKCQFGKCQLRDPLEVCYSWAAMARADLQLDSLSDDEKFWEAGASLVRSLRAPAEAVGPLYFALVDSRRQTNKILCNTGIRAMSVYFQIMEIFMMGFRYNTVPMVPELGIGSVRTISSFSRLEMQHVGMGLTDVGTSGIRSASSGSESVNTSAAGSDARMLEDGAQLTARKISEKTEAAKRPFELGQSRHFVAMEDGQVIQFLSRVESEVESLRPWIVQYTPKYIEVLGAGLKMQISGFCEMEIDLFDAAIRRLKEIDDRLYCQKPYRRWRHFFESDFMESILAGTFDTNDPSIRRQIIGEDVSYDVVNCSMVGVEI >Dexi2B01G0026400.1:cds pep primary_assembly:Fonio_CM05836:2B:35513215:35513751:1 gene:Dexi2B01G0026400 transcript:Dexi2B01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTGRCLESSRFQAGGHAWRIRCYPNGDNAANAACVSLYLLIPAKDVRAEVTFSLVRHPGAPASLLPPCRGSFAFTYNKVEATTRSRGFPRFINKEEIDWFSGYMRDECVAVRCDVTVVEKTPAKEEEEEVVQARDEEMLGLACDCEDELCKRHHATAGGLGFRHAFIKLFLRCFQA >Dexi5A01G0031840.1:cds pep primary_assembly:Fonio_CM05836:5A:34451201:34454696:-1 gene:Dexi5A01G0031840 transcript:Dexi5A01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEDGGASGARPAKVMSVSGSGKRRRYVRQVTGRHNDTDIHVAARGGDAAALRRALGEAAAAVAPGEGPEELEAARRAVAAEPNEAGETPLVAAAERGHLEVVVELLRHLDTEELGAKNRSGYDALHVAAREGRHDYRRVGMLSFMWAIWNRDFWEQIVLTIRSTFLSAVLQEMLLHDRMLAKTFGPGNTTPLISAAMRGHIEVVELLLEQDDFGLVEMARDNGKNALHFAARQGHVGIVKSLLEKDPQLARRNDKKGQTALHMAVKGTSCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVSVLLRLPDTHVNALTRDHKTAYDIAEGLPVCEESCEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGLAVVVQATSFKIFFIFNAVALFTSLAVVVVQITVVRGETKSERRVVEVINKLMWIASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSDTELNPVYAL >Dexi5B01G0026540.1:cds pep primary_assembly:Fonio_CM05836:5B:28288885:28303228:-1 gene:Dexi5B01G0026540 transcript:Dexi5B01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPRPVEPRRWGEETAAATAPSPFLGAKQANWRCTLRSGSQKSQRSGARGLKRQGAAERGPAGRRTASGAWILLLASGADHRRGAGSRSQRQIRQRVTLQAAAPPSSPKPTLLFQKPSPVPFDRRNGTTPRLRPPASAVALAALESGIIIDWQAMVPDSPAAELEVVGSSPPFPPHTLAAARAHADRYDDGGAVEFAHYTDKQLQDFIKQWESKALQDVLARTSDNGKKMRERSSRMKKELERRRVNSNRKVKATKYKEESSDVVLLDDDDDDTEPARSADFEIFNNWETISITSDILIFVNRMDPEAVELAYSDMKCLEPEEYLKSPVINFYLEYLKKSRPRRDLYMFNTYFYSKLENALSTLGNRDSQFSKLRRWCRNVDIFKKSYLILPINETSIPVYHDIIYNGYCIVIMIIRMHWSLIIVCMPTKGADSGPMMLHLDSLGLHNSQNIFDIVARYLFMSFNIITAILEKNGGIYGRILLMIFHFQGRYGSVFREILKGKRLRQEAWNSSYSSFFNVPRQQNEYDCGLFMLYYIDKFIQQAPERLTKESLGMFGRKWFNHEEASQLREGIRTRLFDLFQSAKEDDGPSEPEWQSF >Dexi1A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:1A:27009786:27015039:-1 gene:Dexi1A01G0020080 transcript:Dexi1A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPLDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQSDDGLVSLALICSFSRMKSHLGLDATVKPETVPEETMLAVAEVLRRSPVLRVSEDGKKIGRASELLKPDEIIEQVDSRTVAVSPLPYNVKLEDIQSFFAQYAKVNSVRLPRHIASKKNFCGTALVEFSEEEEAKKVLENSLVFAGANLEIKPKKEFDAEQESKREEYEKVHPVKDSQDEGYPKGLIVAFKLKIIAYPVVQNNEDKGNDSVAKLDTSNSMENPSGETSEERIPGSTDSKGEEAPDNMAKEKEVREATESEKCTSDALVESENQGNSGSLGRDVKNAISREDIKEVLKKFGTVRYVDFSIGDDSGYLRFEDSKAAEKARMASVLADEGGLIIKDHIITLEPVTGEAEKDYWSRIRGFQENHKDNRSYKGRAAKNYRGGKQFNGKRGRNPNAEKNSNKAQKVEAAA >Dexi9B01G0041790.1:cds pep primary_assembly:Fonio_CM05836:9B:42176182:42178068:-1 gene:Dexi9B01G0041790 transcript:Dexi9B01G0041790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVADVLELTLASTPAGVLDLNSPTSFVAGNGGHRRKLHRARSAVRLLRRLAVALSEAGSSASQSHELLFLLCFPAPPSPSCN >Dexi3B01G0036040.1:cds pep primary_assembly:Fonio_CM05836:3B:38958873:38963572:-1 gene:Dexi3B01G0036040 transcript:Dexi3B01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSEEPTRFGISCLGSRLMAGIEELTQSLRNVVDNQNVSFLDAAESAGYKMDPEDLRSVFLNKDSYSAFVELHIEQGPILEKEGIPIGIVTAIAAPASITVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKVRRSATEISKNRGVVLSEFKIINQDPPALSGKSVVDAMEFAAKQLNLEYKKMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPKEYASPEDMSNGVKVLALTMAKLSLD >Dexi9A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:9A:7024876:7028327:1 gene:Dexi9A01G0011260 transcript:Dexi9A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAAGSSNSLSTFLLLRGGEAEPPSSLIRTVKVSNLSLNAVKREITEFFSFSGDIEYVEMQSECEWSQLAYVTFKDSQGAETAVLLSGATIVDRAVIITPAENYQLPPEAHRQLSVASPTTESAVRKAEDVVSSMLAKGFVLSKDALNLARSFDERHNILFNATATVASIDRQYGLSEKINLGRAIVGSKVKEVDERYQVSELTKSAFAAAEQKASIAGSAILSNQYVSAGASWLTSAFGMVSKAAGDMTSMAKDKVERAEEERKAIMWEERNGLVSEYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >Dexi2A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:2A:23833485:23838184:1 gene:Dexi2A01G0014410 transcript:Dexi2A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADDLGSPRELTGLQRRRALYQPELPPCLQGPRVRVEFGDSTTSIDPKCADIVAQAFPHTFGQKLVHFLEPWTTVPDAHVIEEHPPIRVGVLFSGRQSPGGHNVIWGAYAALKAQNPHNVLLGFVGGTEGLFAKKTLEITDDVLSSYKNQGGFDLLGRTVDQIRTTEQVNAAISTCCDLSLDGLIIIGGVTSNSDAAQLAETFTKHNCVPVTLSGDLKNQFVETTVGFDTVCKVNSQLIGNVCLDAVSAGKYYYFVRLMGGKASHVAFECALQSHPNMVILGEEVALSKLTLMEITNKICDGVEARAAQGKYHGVLLIPEGLIESIPEMYALIQEINNLHSNNVPEDDIPSQLSPWAAAMFKFLPPFISREAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYAVLREKASSFLLDDFYRTPGGIQFEGPGTDTKPITLTIEGQDYLGDIEILQDYLDKVRNILKPGCSREILKAAISSMASVNDVLKVMSAPIHAELPLYHFN >Dexi6A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:6A:3274110:3278830:-1 gene:Dexi6A01G0003600 transcript:Dexi6A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLSRVAVVDEDRCKPNKCRQECKRNCPVVKIGKHCIEVGPKSKSALISEELCIGCGICVKKCPFGAITIINLPKDLDKDTTHRFGQNSFKLHRLPVPRPGQVLGLVGINGIGKSTALNILAGVIKHNLGRFNNPPDWHEIIAYFHGSELQNYFKRMVEDNLKTIMKPQVEIIHKRVSCRTTTVGQLLDRKDERGMKDQLCNELQLNEIIDREVAKLSGGELQRVGVTAAALQSAETYMFDEPSSYLDVRQRLNAARVIKSLLTTNSYVIVVEHDLSVLDYMSDYVCGLYGKPGAYGVVTLPFSVREGVNIFLAGFIPTENLRFRDESLTFKIADAQEDAEIKTYQQYKYPTMSKTIGKTTFIRMLAGVEKPDTVDGLDIEVPEFYVSYKPQKNVLTCQGTVRQFLHEKIRNSYTHPQFVSDVMKPLKMEQLMDQEVQHLSCGQQQRVAICICIGKPADIYLIDEPSASLDSEQRIVVSKVIKRFILHAKKTAFIVEHDFIMATYLADKIIVYEGQPAIDCTASSPQSLLSGMNKFLSVSAHLDITFRRDPTNSRPRINKLGSAKDREQKSVGSYYYL >Dexi9B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:9B:3933861:3941964:1 gene:Dexi9B01G0006560 transcript:Dexi9B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAKKLLKDLRRRRERLGFESAPSPAQRGTASAAAAAPAPRGKFCLLRRRGALAYRQGNSSIAGPGKPRRHDAPAVAQSHAIVPFQGGGGRGDSKRTTPAANAGVDVQMALALALSNSGKLQNVQLVARQGTGGSMFFREPDRSTHLLAPGAHVGKVAITVQKLNEILMAYSSSGDAVRRGSVEIGKQLLRGAMDLEESLGMLMMLQDASDYIETAGNGKVMLLEGGKESWKSSTPRSTSFASAKLVEIFDIDSETEQVDDTKSPSDAFMQIVPHSMSQDGRPNQSSTLQLTTVTNNSKSNAARGEKDDSKERKPSLIAKLMGLENLPSAKAVVERKGTERFVKPEAVPRRATATNAMDDRAHHTSSETKQIPETISEGELQEQQHQMKEVNDQSRNGLDHTPKPDNLTDSTNQKKIVVSCDSFTENQLLLMEMLLKDPYLLETAKSITGFHFPISVIHVDTGKWLDKGNKVLSDVAREVIRRKCKRTEAMVDVNMTRPANLKLQTLEDLIRELDRDIQSLNIPKKSQRQSDNSTAENLKMVLHSDIENTHSDANSVWDFGWNRIWDLPIEKNEVVKDLEKNILGGIITDVARDLIDVSMRSGCCTCEA >Dexi2A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:2A:6678985:6683557:-1 gene:Dexi2A01G0006920 transcript:Dexi2A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGAMDLASGLGGKINKGEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKELNRLAGVSGTCDFVKVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKESKE >Dexi1B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:1B:1278213:1281093:-1 gene:Dexi1B01G0001600 transcript:Dexi1B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSARLLPLRRALLPQRAPRIRPCPALASPRRLLIAARPQLFPRPPRMDSVPTAAAAASSAESATASADASAKVIDGKLVAKQVREEIAVEVTKMKDAIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEEEVIKHISGFNSDPSVHGILVQLPLPRDPESPRGYRLVGDVCYEEASKVAGAITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >Dexi1B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:1B:24398514:24399817:1 gene:Dexi1B01G0018090 transcript:Dexi1B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFNRSPSSKQQAAACVGGNGKAAGGGAGEIEWEVRPGGMLVQKRDGKGGEEVIVVRVSTGFSWHDVSIGATSTFGELKLMLSMVTGLEPKEQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRALTAQVVPSPCQPFIQV >Dexi9B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:9B:4948202:4949358:1 gene:Dexi9B01G0008030 transcript:Dexi9B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFQQFRHIGAPGWQLGWTWAKKEVLWSVVGAQATEQGDCSKFIGDTPHSCKKDPTIVDLLPGTPYDMQIANCCKAGVLSTFTQDPENAAASFQIAVGLAATSTKDVKLPKNFTLRTPGPGYTCGRAIVGKPSNKDSPHLRSAIEGPGKWTGQPLVECTSHMCPVKINWHVEQNYKDYWRVKITITNFNFRMNYTEWNLVVQHPNFDNITRLFGLNYKPLTPYGAGITK >Dexi6A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:6A:27399792:27404936:1 gene:Dexi6A01G0019740 transcript:Dexi6A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRALLFLLFLLLGAAATAVAAEGGDVCSLAGCNALASYLIQRNQNLTYVARLFGYTDYRDLKAFNPGVSNLDYIQAGQSVNISFTCGCQSLPNSPSTSYLAGSFPYKVAHGETYDSIATNFSGLTNAGWLAATNIYPATNIPDTGVTVNVNVNCSCGDRDVSLDYGLFLTYPLNGQTLDAVAANYSFSSPSQLEMLSKYNPNMPATNTTGLVFIPVKDANGSYHSLSLPGRRKAKKAALLPSSEDSTQLGNVIVRFSSYVFTLQQKKAAIKKMDMQASHEFLAELKVLTHVHHLNLVRLIGYCTEGSLFLVYEYIENGNLSQHLRGTGYEPLSWAARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVRIVADFGLTKLTEVGNTSLPTRGIVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKDAIVRSTESASDSKGLVYLFEEALNTSDPKEGLKRLIDPALGEDYPIDSILKLTVLARACTQEDPKARPTMRSVVVALMTLSSTSEFWDMNALHENQGLVNLMSGR >Dexi5B01G0034780.1:cds pep primary_assembly:Fonio_CM05836:5B:34937539:34938505:-1 gene:Dexi5B01G0034780 transcript:Dexi5B01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTSAPAAGGRPPLGTLPVLVYDHGVDHNQQTAFAIGDHSHHTSVVPELANNYYHVTPQGWVLLVAPGPSPATRLWDPRSGTSISLPAMDDELPDNWKCYLSDAPTAASCVVLFLYCRVGDGRWLEHEYDIGEVMQPPVPFTFRKRVIQEMAVVDGKFYFQERGLEAIDFSTGTPEFSRLEYRRVEFPEGSNICRDYMVESGGELLFVYVFLKGYTPKIMAVRVYRFALPGAKLCEVDDLGDRVFLLSYPNTQVLCSASKYGLKGNQVYFMHNVTQDLDGGSMCIYDMDDKSLHAVRPFPQVKELLRKPF >Dexi2A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:2A:8492840:8494364:-1 gene:Dexi2A01G0008320 transcript:Dexi2A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGATATADDLRRVLLFPLPYQGHINPMFQLAGLLHSRGFAITVFHTHFNAPDASRHPDYHFVPVPGDGGMPTPAGDSSPDTVQATVKHVLAVNRACEAPFRELLAGLLARQPEREEDVACLVADAHLMTMLDVARELGVPTMALRTSSAARFRFFTAFPMLCDKGYLPAQSSSELDDAPVVELPPYRVGDLPSACSAAAHVNMREVISRAVTAVMTSSGLILNTFDALESDELASLRRDLPTAVPLFDVGPLHKLSPAASSSLLRQDRGCIDWLDAQPQGSVLYVSFGSLASMSSSDFEETAWGIAGSGRHFLWVLRPGLVPGAGAGEPPPLPDGFDAAAGGRCVVVRWAPQEEVLAHAAVGAFWTHCGWNSTVEAVCAGVPMLCSPCIGDQMGNARYVVDVWRNGLMLAGGEIERGKVAAVAALMGEGADELRRRAMELKSSAAESIGEAGSSSIMVDKLVSHIRSP >Dexi8A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:8A:3708981:3722579:1 gene:Dexi8A01G0004330 transcript:Dexi8A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEQRHSAAATIAGPFDSTRIPWGFDLRNLHSDHDDLLVRAMDLHGKTTISAVTTASSAVARGAWSSISRRQWIPRQALDSVQAAGARRTHAHPRSAASQSLLLVLGAVLGALDGGGAVLLALPVLVACSRSSEGQQDELGFLATAGGADHERMMMVMAAGNEGERGKAALLWEQVSRCVPIHTAEVDAAAGARAEGYTARVERELEGTHCDARWTRNKRGGEQPAVESGAVADWHSKFSHQVRKILVLTSRLLSDAHRYILRCLGNHGTVSHCTVLTAISEVLPHFKTMFLVMYWAFVLPSEGIIADSCLSNDHEDCLGPGLPSISTGKPFDSDEVPPGVTLTAQFLYHLTNKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTVDLLTPCFHGDSFLDRMLSSLPRKERTTSYSVAKNPQTPSKHSHAAVKRVPLDIKVPFDVVFKKEEHKRTSILSEGIMSFMSGWNSAEVDSEVTWLPDYSEKAHDDKLGSDMGTINGSLLSNYAGVRYLEALLDRGSKDGLMLIKKWLTEALKHEKLSSASKGRQGATSLSDIHSMVQMLSQNQLSLLRNRGVIQLALAAEMTLLEPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVDSHKQAATMESSEGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLDGLVNELEAKARSKDVERSNKDSTEPASNTDDFDDEWGNWDDNDNADDQKEEAYGDMQLKLEVRDRVDQLFKYFHKLSSMRLRNHALGEGLAALNRFETDGYSRKGLLYKLLIALLSRYDVPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIIFVVGGINTLEM >Dexi7A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:7A:27312906:27314124:-1 gene:Dexi7A01G0017760 transcript:Dexi7A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLHLQHPAVTLQPSALCARVIPPSKPAELPCRRRSSPTPLLAAESRFARGPRASAADSPRSDPEGEPQPEPEPKRVFWTKWMVESAEARARVAKLGLAAVLAYGLFDAVTYTTFFVLVFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPVIDKGLKDMQEKLNLPTQMYAFALVVGSVAAVCFTIVGILILSKWGK >Dexi8A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:8A:6208614:6210203:1 gene:Dexi8A01G0006140 transcript:Dexi8A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHAGNLHGVEEEAVEVSMEKGTNYRDDAAWQDDDGRPRRTGTMWTASAHIITAVIGAGVLSLAWAMAQLGWGPGLVAMVVFAAISYYTSTLLAECYRSGDPVSGKRNYTYTEAVRAILGGAKVKLCGVIQYANLVGIAIGYTIAASISMLAVKRADCFHEKGHRNPCRSSSNPYMILFGAVEVIFSQIPDFDEIWWLSIVAAIMSFTYATIGLSLGIAKTISNGGFKGSLTGVSMVAGVTPLQKVWRSLQAFGDISFAYSFAYILIEIQDTIKSPPSETKVMKKATMVSVATTTIFYMLCGCMGYAAFGDEAPDNLLTGFGFYEPFWLVDVANVAIVVHLVGAYQVFVQPLFAVVESKVAATWPDSRFLSREVKVGPFVLSVFRLTWRTAFVCLTTVVAMVLPFFGDVVGLLGAVSFWPLSVYFPVEMYIKQRGVRRWSTRWVCLQMLSAACFVVSVAGAVGSTAGVIGAVKLHRPFSGY >DexiUA01G0003680.1:cds pep primary_assembly:Fonio_CM05836:UA:7542386:7548954:1 gene:DexiUA01G0003680 transcript:DexiUA01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVYDQAATPPIDLFAALNVMADLYDGRNMWGFTEKDIRNNGDWKDLEFKDYNYAAQGQPIAKGYVQPLMEVREAIENIFFMMGFREMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPMATRQLPEDYLEKVKQVHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSSTLCRLGL >Dexi7A01G0024110.1:cds pep primary_assembly:Fonio_CM05836:7A:31942575:31949627:-1 gene:Dexi7A01G0024110 transcript:Dexi7A01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGDDMMLGFKEDDAMRFIFGEDIVGMDDPGAFDRSLMELQVFKEVFCGPTDTPTASEPQPATLAAVPQEHAQPHGPDLDAAAYGFMGYLQPDQHRFPATTTTLEDTPQGVTCNAVGLGSSTTTSAVDDPMPSYMEALAEISQFQSATTLLSDPFLHHWLQDQQHYPSFTYDQDQGDDAAYPLHTTLKDLSDTGGVEQHPFYREQAHGTPALPQQSQFWFSPTQLTETEAICQNGTPDVNVSSLDEIDLLGGSSSVQSGSATAVSKKALGRDIPDQLEAHAHRLFKDAGWTIKPRKRNDRAKMASYFTAPNREVVHTSLTQAWKFCGNKLYEASTDSERGRYPKEWSGVDAFWKDLTDTMAYVDRMLANQQNALTLLQRWQILDPFIAVVFISRKITALQQHKTLRAVNSTTFVLDGSTYMSSETTMDMSATGIINAPEEYGLHSGVDTLKNYMKAESKSEKLYEDDQNNKFGMLLSSEGMQLNMPQTGRRTEVLRDCNTFSETHCIARDPQSDATVSFSDDKAQEKITSSHGQFSEDSQVGPTGNPVLAESSHEHSAAVLETDPTCDSRTCKTATAKMKPKGWEKYMKKRPRELRISDEDLLMTAVVKNKDLVCCHKFAAGFSGAKNFKKLKSHKKCNKLQLKTGKAGTNLLGGKRMVANYFAVTTAHQLIIKLACLLRNFQKVVGTVIIAPVRFVGGQLVKRRFRHSQLFSNVHNVEKHVKLYHPHFSLHCSSPINFSDAVIGEFYLTYFCFCWQTMILALSKRSYLSRVKYVTHGFVGKIVKRVHGTKAAELPFIATSVDYRRQGMCRILMNIIEKMLRSFNVKMLVLSAIPELVSTWVSGFGFKPIEDVERKQLHNVNLMLFPGTSLLTKRFDGFITATKPGDEKDLHEVSGLPNGKFTPNGKSRDHFELHDLDLSGKEFKAEISMSSPFRTLKHECGSGTWFQSTKV >Dexi2B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:2B:20341932:20342468:-1 gene:Dexi2B01G0013020 transcript:Dexi2B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSIRFRQARFCPPQFLHYNAEAARETLRQNAVTIPVKLGAAALSLRSASLDPAKEKTHQRPPKQRANRRLPEVQPRPRAGEDLAVPAGEEPHLPQPVAVGVFLPEPRPRLQGSGGLPAPAPPRQRRSTLAQGAPCAEIWPVARLGEQLGGCELNANEASRWQLLVLVLADEASRW >Dexi1B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:1B:12273708:12274273:-1 gene:Dexi1B01G0011820 transcript:Dexi1B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLQAPLLSDRRRCREYLLALEEERRKIQVFKRELPLCLELVTQTIEGMKKSQMDSVGSEEETASDDHGGGPMLEEFMPLKPSLSLSSSEEHDSTTHDAAGLGKKEEDAAETPGSWRSQATEAKRVTPPDWLQSIQLWSQESQQHSSSPRKVYI >Dexi3B01G0032640.1:cds pep primary_assembly:Fonio_CM05836:3B:35071231:35072913:1 gene:Dexi3B01G0032640 transcript:Dexi3B01G0032640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRLASPFAFAAAARLLSTAAPSASSVGGGDRGAGRGDTLGKRLLKLIYPKRSAVIVLRQWAEEGRTVQKYQLNRVVRELRKYGRYKHALEKALSCLEKWEPNHQLATAIFSQIEKTGDIEAAEKLLVTFRGAGYVTTEMYNSVLRTYAKAKLMPLIIEERMEQDKVAMDEETRRLLRLTSKYPIGEVSTLMS >Dexi2B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:2B:28547484:28549475:-1 gene:Dexi2B01G0018220 transcript:Dexi2B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRNNGARAASPTLALAILVLFAFCARSASAVGSTPHSAFSYKDTDPDGPAKWATLQEDWATCDSGTEESPIDIAEVEVSKDLGPLEQTYRAGPAIVQNRGHDFMLNWTEGNGKLTVGGKEYVLLQAHWHAPSEHTVNGTRFDAEMHMVHQDTTKAKAVVSVLFSSKAGKPSKLLGALRPYFEKLTGKQNGTEEVKGPVDPATWINKASGYYRYEGSLTTPPCTEGVIWTIMSKVEDASKEQIESLKSETKVTS >Dexi4A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:4A:4404159:4421665:-1 gene:Dexi4A01G0006150 transcript:Dexi4A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPLYTLDESDDDLPPRGGQGKGKDRQNDAPAERIEREDAKEEACQRCGKNDNLISCSTCTYAFHRKCLVPCLNITSDKWSCPECVSPLTEMEKILDFELRDAPREDNSSSEPESKKIKQYLIKWKGLSHIHCSWVSEKEILEAAKIHPRLKIRLNNFHKQIDSMEKSDDEFIAIRPDWTTVDRILASRSCLVLKFSTLFLNRKNSSGEREYFVKWKELTYEECTWENESDISAFQPQIERFNEIQSRRKKSAERGKGLREPRHFKETPKFLSGGTLHPYQLEGLNFLHYSWQHNKRVILGDEMGLGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFSTWAPQMNVVMYFGAAASREIIRKHEFYYPKDNSKKLKKKKSSPSNDEKKQSRIKFDVLLTSYEMINMDSAVLKNIEWEALVVDEGHRLKNKDSKLFGQLKEYNTKHRVLLTGTPVQNNLDELFMLMHFLEGESFGSIAELQEEFKDINQDKQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTGKQKEYYKAILTKNYEVLARRNGGQMSLMNVVMELRKLCCHEFMSYEPDSEPANSEEGLRRLVDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYKKWSYERIDGKIGGAERQVRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKANNVNQEELDDIIRYGSKELFDDENDESRQIHYDDGAIEKLLDRNQVDDVESVEDDEDDEFLKGFKVANFEYIDEAKALAEKEEARRKAAEAAKSANYWDELLKDKYDVQKVEEHTAMGKGKRSRKQMAAADEDDIHDLSSEDEDYSLDDEVSDNDTGLQGNATGRRGQYSKRKMRKIVTLSNVDLIPLMEGEGRTLRVLGFNHAQRAMFLQTLNRYGFQNYDWKEYLPRLKGKSVEEIQRYAELVMAHLVEDINDSDYFSDGVPKEGMRVDDVLVRIANISLIEEKVAVMAQGKITNLFPNYLLCEFQGLSGGRIWKADHDLLLLKGILKHGYARWQYISDDRENGLFEAARCELSLPPGNLDGAQDGQVNLANIAHYKEIQRKIVEFLRKRYHILERCLDLEYAVIKSNTPVPDDIAEQGVPAGNAPAVRDISELLVELQELQNLVPISTSEVASDGTGGQSQVPYLYNKMCGVLEDSAPSALNSFFGVKSASSNLANSLHQFETLCEGVVQALQPQQNGTASAVKEEAVDADPKEAAAPSQDPGPEAVNGEASAAKPKPEMMEIDS >Dexi3B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:3B:2381178:2381879:-1 gene:Dexi3B01G0003560 transcript:Dexi3B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSARARRGSGSLQFPVGRWRHVAVVDTGCGCRPRKATSRLLSQLPSFLRPSPKPPQQPPRSTSRSSSGFFPSSASTASSSAATFTTTHSSAYSSSYSGTLASTAPKKRHEPPPAAARRRQQGRRKKRYEKQTMGAAAAAEEDDDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPVPHPAYHAYDYHYHY >Dexi4B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:4B:20644218:20650177:-1 gene:Dexi4B01G0018290 transcript:Dexi4B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMGVVEAARWMQAMEDDRRVPEDKGRAWNQDAAENQKLCGVRKQLQRNDQREEAATFVLITTSDQQSTLLAVCHSLRRAAVAARQQATTPLPQTWESGSESRLCGCFPLPTQKMPDMARPTNPSPKPRPRGSGASRPTHGKRGFEDTQAERTIYSTTDLANSLDINHGRDLHGGAHFWDPRHLVMWAPWWDPYKLRMCDRSDDRGNADDVAQCGCPV >Dexi1A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:1A:26989868:26993113:1 gene:Dexi1A01G0020040 transcript:Dexi1A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNPDAIKRYTPPVHRFEGSAVLWLLILSSFDGLDLRQHLRKRALNRANNRRKSGGWTAAMNLYNDQTLDSSEKPVMYSGSTGTSWGHLKLPHQMNFLEELRRAVDAHTEIASPVDTWN >Dexi5A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:5A:3852578:3853300:1 gene:Dexi5A01G0005070 transcript:Dexi5A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRRGRSPPPAPSSPSIARRVTSLEEFFALRAVCRAALPLAPSSLASQAPILLVPDAATASHALLHIIRGVFHRFRLTRTHLTGEAADIHSLGCRVAVDLRGRCQLRIVHVLTGERTRLPSPPSPFSGLLLSGDLVVAWYWNRLSLQYCRLGEPKWRVADF >Dexi7A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:7A:29931202:29931607:1 gene:Dexi7A01G0021270 transcript:Dexi7A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLLHVLLVAVAAARAPAAHAWGKEGHYMSFLTEEASTAVKYLLPGWAAGDLAETCSWADSQRFRLPLVQPPPLLRQSGGLQIQLHQ >Dexi1B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:1B:11755204:11757921:1 gene:Dexi1B01G0011560 transcript:Dexi1B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKMLNCFVRSIALVERAGNALGTLAFTWATVVLLGGYPTVLRPTDDFWFATTIVFLEAARMFSRNNRLDYQVFFYTRGAVRPLGWKGLVIIIPAAILRVVLALMRLIQHDYYGRGDNIEDNTNLAPSLNIFYAMVLGQGALYLVACTLDIFTFIPRRSLARHGGLKGQLGMESISLYHGYVLEKCMERDALAPKKISLSSFAMDSLNSDSPKKQLHGIQFMQKLLQDEPTKSQLLGKLTTSANTSARLINMLGWTNPRNATVRLFAAKVTAELAKNFRADTITGTI >Dexi9B01G0038830.1:cds pep primary_assembly:Fonio_CM05836:9B:39714389:39714748:-1 gene:Dexi9B01G0038830 transcript:Dexi9B01G0038830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKGDGGGGPAVGIDLGTTYSCVAVWRNDRGEVIPNDQGNLLTPSCVAFAGAARLIGDAAVNQAALNPANTIHGGRATAQRRLWASS >Dexi3A01G0025470.1:cds pep primary_assembly:Fonio_CM05836:3A:21187882:21188172:1 gene:Dexi3A01G0025470 transcript:Dexi3A01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVDLGASKQGLAAVLSVWPRRSSRRPFNLVPPRPPKPPSWRRRGAITTRDLGWAKERERVLLGYDEDGDGVERRQRFIGAITTGVEIVGRKRSR >Dexi8A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:8A:1928479:1928928:1 gene:Dexi8A01G0002900 transcript:Dexi8A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMRVTHRDEEGKKVPVPETRHPDTAKHLERKLEEQGLHRLERHPANAPRGVGIGAPPPKSGRGGKYTWDGPAGLVDDELDPAPAAIDRGDPNYDDDEEGATAAGDDDEAVVGEVEVAKVAEKNRDGVARVDVAPPLLQEQHQ >Dexi5A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:5A:20520476:20521708:-1 gene:Dexi5A01G0017290 transcript:Dexi5A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGASKPRLPTNILACLSEDNIADILLRLPAKSVLRCRLVCKAWRSITTDPNFLSQHARLRPADVVLYTYQYLDTPYYTDWDDEPHFTDIGLDVVPVSSDEAAGRRHIMRYPKMRDWFLLASSDGVLLFKKHEEVFVLCNPTTRLWAELPRLPRADKNSRSLSDREYAFYLDTASGEYKLLCRGNLTTTGTWCILSTGASEPRQLDMHAAEAAGITELVPSLRKAAAIHVNLHGRLHWPPHQGSVTGQTEMVVFDMSLETLHLMAAPPRTTDKMTKLFDMDGMLVAADFGKPKHIDLWYLEDYDARRWQLRHRVATPCELGYAMPHIVPRTLVSVAAAGDREGNIMLGNGGGLVVYNTTNKTVKNIESVATSRNSVVVSRHVFKESLVQGPGFVAAAQFSVDLSLVHF >Dexi6B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:6B:10305138:10307007:1 gene:Dexi6B01G0008280 transcript:Dexi6B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHMAAGAYHQLLRAATTPLALQLLLLLAIPLLFLHFVSQRHRHGSSKPQPKKRVLPPSPPALPIIGHLHLVGDRPHISLRTLAAKHGSGGGGLMLLRLGAVPNLVVSSPRAAQLVMRTHDHAFASRPASKVSDALLYGSSDIGFSPYGEHWRQLRRLVTTHLFTVKKVNSYRLARQEEVRLVMDKIREAVAGSKTVDISETMNTYANDMVCRAVSGKFFRAEGRNKLFRELIEVNTRLITGFNLEEYYPGLANALSSLMASWFASNEVAKAHKRWDELLETIIKDHEGRRSRSDVGDVGDDAGQEESDFIDVLLSVHKEYGITRDHIKAILMDMFGAGTDTSSLVLELAMAELMRNPELMTKLQAEVRENTPRGQEMVTQDDIANMPYLRPVVKETLRLHPPAPLLLPHLSMVDCEVDGYTIPSGTRVIINEWAISRDPDSWEKAEEFMPERFMDGGSAAAVDFRGNDFQFVPFGAGRRICPGLNFGMATVEIMLANLVYCFDWELPAGMAKEEIDMTEVFGLTVHPKEKLILVPKPRGAVAHACRMSDSF >Dexi3A01G0026790.1:cds pep primary_assembly:Fonio_CM05836:3A:23340211:23340624:1 gene:Dexi3A01G0026790 transcript:Dexi3A01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLMASPLAAPPRVRHRQALEPLVDAIAVVVASTFLLVVGDGGGLLEVAFIDGLTVVTLGVGGGAGAGREVVVVVADVVNRSVVGKGRQRRRLFARREGSGHATAASWMADGAVVERRKGSGGRCGSLCAWGVDAS >Dexi8A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:8A:21107291:21108088:1 gene:Dexi8A01G0012140 transcript:Dexi8A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAAGVGGKASRVGGVGLGALAGVGSDGDTELGGDGGTGLGSVGHGGDGCTSLGSAGLGGAGLGGLAGDADLGGHAANAGLVGLPGGTACGGLAQGHGAPGGSVSSATASLPSDTAVGVPSVRTAAVASDEWLESMYPNSTYMMDIKVLGNPKKSRLNVSFEEVIDADLTNYMDFVESIVEKYPPRYLEVAHVHYYDNVLKSYPEVKSDQELMCMFEKHSEDKGGADIHCILAFL >Dexi5B01G0031300.1:cds pep primary_assembly:Fonio_CM05836:5B:32105328:32109929:-1 gene:Dexi5B01G0031300 transcript:Dexi5B01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSGAVMGAAPAAAPSAASRVEKATSHLLMGPDWAVNLEICDILNADVWQTKEVVKAVKKRLQNKDPKVQFFALTLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKTTGVVFPKRPIDAPPIFTPPAIHNSSSPRYAAGSLSDRMSSDVETLSLGDLNNIRNVTDLLNDMVHALNPSDRAAVNDEIITDLVTQCRSNQQKLLQFVSSTGNEQLLKQGLEINDRLQSVLSKYDAVVSGAPLAVEAPVREAIEAPKETPAVQPSAPPEHNDIADEEEDEFAQIAQRKNKSVISSDDALSSTGDLALVPIDLVGSESPSSVASNALVPLDPAPSSSSESKELDMINLLSLTLCSPTPESSTDSPTHSQNGPQQPNISQDQSGPQQPGVPNGQQHPSGVPQYPSNYQPNTTNQGYAQQSSNYVAPWAQTGAYPPQPPAYASGYGYPAPPWAAPTRPAVDSNPFLSANYQDPRLATAPVAQAATYAPPPASYPSSSSISYSPFATPQSIQHGSSFGSPPSNGLAAIQAQTNVNQQPKDFSASSSRPYYIPDNLFSDLIDVKSFGGGNKIGGPTTMGSSNGGQPMIGGKK >Dexi7A01G0018570.1:cds pep primary_assembly:Fonio_CM05836:7A:27932654:27934117:-1 gene:Dexi7A01G0018570 transcript:Dexi7A01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPPCKLPPSPHLKPQLALPSHGGGKIHSSSSGAVQAAAPGHLSLLLLLSASQQAAVPSAKSTATKNRGKGGEDPERSDFYLNLGTAVRTLRDDLPAVFVREPNYDIYREDITFIDPLNTFHGIDNYKTIFWALRFHGRLLFSEIGLDVSRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRSVANVGSIADMVVATCPPSPNLTFWDVVGTGDGCSWTKLYEAVVEAVEGEGRSSRGIGIGGLITCS >Dexi1B01G0031220.1:cds pep primary_assembly:Fonio_CM05836:1B:34933856:34935565:-1 gene:Dexi1B01G0031220 transcript:Dexi1B01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAHSSKRKRRKKVLVDYLVLFRWIPAIFIALPVSALIYLCSYLVATWSGMKSEERRRREHDENVIKVVRRLKQRDPSKDGLVCTARKPWVVVGMRNVDYKRARRFEVDLSGFTNILEIDSERMVARVEPLVSMAQITKATCPMNLSLAVAPEFDDLTVGGLINSYGISGSSHIYGLFSDTVVAMEVVLGDGRVVRATKDNEHSDLFYGMPWSQGTLGLLVSAEVKLIPIKEYMRVTYTPVRAAASFKDMAQAYADSFVAAADPPDFVEGLVYSATEGVMMTGVYATAEEARNNRRINRVGWWFKPWFYQYAQTALRRGELVEYIPTREYYHRHTRSLYWEAKLIIPFADQFWFRLLLGWLMPPKISLLKITQGEAIRNYYHDNHVIQDVLVPLHKVADVLEFAHAELEVYPVWLCPHRLFKPPVKTMVQPEAGYEHHRRRGDTAYAQMFTDVGFYYAPAAVLRGEEFNGAEAVRRLEQWLIANHGYQAQYAVSELSEKDFWRMFDATHYEHCRRKYGAVGTFMSNYYKSKKGKKTDKEVKQAEAEAAAAIHDQQIQAADAE >Dexi3B01G0023470.1:cds pep primary_assembly:Fonio_CM05836:3B:18260855:18263525:1 gene:Dexi3B01G0023470 transcript:Dexi3B01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPLLRDQLSRRTAVLGLRLWVLLGIAVGAAFLLLLALISLHLAAARRRRPRKGVPVAHAPAPAMTASPRSPSTIPPVSKEIQEVAVHVGSLRHYLEMGHAAFLNKDGGGGEGESGEAAAAHGSQRVHIEAGKGRCMVAYGGGDGEVVGVGHVASDVSVAAVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLPDGYQVAVKNLLNNRGQAEREFRVEVEAIGRVRHKNLVRLLGYCVEGAHRILVYEYVDNGNLEQWLDGDVGPVSPLTWDIRMNIVLGMAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPAGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKVLLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTLRPGNGSPLERARTPWKPVEGSCDSSCYEGNTTTASTPSRLVQDM >Dexi2A01G0037080.1:cds pep primary_assembly:Fonio_CM05836:2A:46560989:46563323:-1 gene:Dexi2A01G0037080 transcript:Dexi2A01G0037080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYLDDDEFDQYNPHPYAGGYDISTTYGTPLPPSPATCYPVASPAAVPVPTAPQPGFPLPNQPSPRPQPPAAPAPAPAPPSPPVQPPVVEPYYWPKPYDYGDAPVYQPAYATPEVFRRWPYLPGPQCHSSCGRDYWRHCMRGLDYLFGHTDGYGERRIGVDCLGVPVYANRKGGVEDAVVVEVAPQPTGTVQWHDAAEDQYQSNRLSWYGNTQEEAYAYAQPTYNSYDRYYDDDQRSYSLPDETTWLPNQSYQQVYKEEESRYQEFLSYNEDAKVSSQPIFSYNQHFAEEPLHFHVEPPETVSSHKLEYYESFGQSYEMRPYAQMPYDELEPYRPSWSQNPGYYQAFTEGMTMTPEYDTHTMTSGECWDMSSLFMSPFYPQEVQVYGQSNGDENV >Dexi1A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:1A:21251542:21255460:1 gene:Dexi1A01G0014550 transcript:Dexi1A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTVEHAMSFSKLRTLGARHGIADKIRQLKARVDDVSKRHGRYIYGGATSAAGVPDATAAVAIDPRLPALSKTAGNLLVGIDGPREDIIKLLLMTDDGEIGKKKKLKVVSIVGFGGLGKTTLACEVRQELRGQFDCQVIVSVSQSPDIIKILTKILSEVKGPQHHMRDISDLQDLIEEIKTCLLHRSQADKLKEDWEYVHKSMGSNVGTDRRLEVMRHILNLSYKNLPPHLKACFLYLGAYPEDSVIWRDDLVRQWVAEGFVGGTHLEANDVAANYFNQLVNRSMIQPVVTGYDGEIVSCRVHDMMVDLIIRPKCEEENFLTAIEYSNEVKIKGSIHNVRRLFQYSDSTSFFDATPLRKLAIDLSKLRSVSTCGSCTYIPPLSEFKFIRVLILRFVRTQKKAPTVDLTAICKLFQLRYLKISSSLRLRLPTQIRGLLHLETLEIISAVEQEVPSDVFQLPRLSFLSILPHMASLPAGVGAARCLRSLASFALQEETLDLIAGLRHLSKLKELHIHLPVDERFEETAEARVDGLCSSLPKHGGCKLYINAWSPKAWFDGVPAWVSCLQRLYSLEFGVEEVSRDGVAILAGLPALVRLDLWIRGTPKESIVVAGVGFPALKHLIVTCRALCLTFEPGAMPRLQNLKLEFDADGEQGGCRNALAGVEHLPGLREIHARIGVLRGATGIAVGAVMSVLDGRSAAGAGVSVLEDAIGLHPNKPRVDIAFTEGSYGGS >Dexi8B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:8B:24364692:24367163:1 gene:Dexi8B01G0014010 transcript:Dexi8B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVNITRALEALSPYLNNPRQTVMRIEAFVIGATVLLFLQLFLGSGRRRWGNWFVQGVLWAVYTIFFPLITYTLGQMVSSPVKNALYPLWAVFLFWAAACTNSIIAYDLEDNKRWKRYLFELIQYSVYLNLLPGNSADTSFTLERVLWEAKLKLGKRKPCKKTYLETIQDSKSSSNEDIKTTHDSRCNNEDITTVHDNNDNTTDGMTICDSSPNTTKDEITIVQDSSDNNADNTVLHNSSANNAKDSIFIQGLKLGRQLDEMEDEARWSLLAEFWAETIIYISPSDNAKVHMERLAQGGEFLTHIWALLTHAGILDRHGKQIPGDIM >Dexi4A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:4A:24819588:24820622:1 gene:Dexi4A01G0021160 transcript:Dexi4A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVAIVLLAASAASAIDFTEHDLGSEQSMWELYERWCEHYKVVRDPGEKARRFIVFKENARLINEFNHGHMSYNKSLNMFGDMTDDETRRAYHCSMVTSPPHSVPDDTFTRVATRNLPWAVDWRNRAYGGGPASYVTGAKNQGPGCGSCWAFAVTATVESINAIRTKILTPLSEQQLLDCNLDNGGCSGGYVHKAFDYVVKSGGLTFEYAYPYKGRRQGFCPPHLPIAATIDGHRHVPSYDMFALMAAVAAQPVVVAVQADEVPFKRYGGGIFRGPCGTRPGHAVTLVGYGTTNDGENYWIVKNSWGPNWGENGFIRMKRDVPEREGLCGILVDSSYPVKY >Dexi9B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:9B:3504205:3509795:1 gene:Dexi9B01G0005910 transcript:Dexi9B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEAPLAVARSSSPPHTPVASSAGASSPAMQTNVGRQASRVDSSSQVAAHANHPSHSFDAAGTAMDSAPSCRPWERGDLLRRLATFKPSTWASKPKAASSLACAQRGWVNIDLDKIECESCGAHLIFNALTSWSPVEVANAGEAFAEQLDAAHQNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEYMRMTRSAQIDRLLSQSIAFLSGVLGCKAESTPGVDIHNDFSCIYSQAQKLIALYGWEPRWLPNVQDCEENSTHSAKNAPSVGPDEPLYPHFVEHNKNSFSASAKKDKGKGKLPLRDSGCSMRSPLLDCSLCGATVRMWDFRPVLRPSRFSPNNIDVPETGRKLTLTRGISAASGIEWVTDGVERGQDEGRDEAATNEGKSLSLVGVDLNLTMAGGLPSPRSATPAASERFNNGGMGRDLMIGQPTGSEVGDCETSYESCGPSSRKRNLEEGGSTADNPQDRLQHADSIEANFIDRYGEEVDDAAQDSDVPNKKSRGFDLFDAYRPSSGAGPSRNLSFDPDVGSSMFNHTRGIDLAVAERPTARDSLRASSVIAMDTVRTSEEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDALDLNYSNQAQQSANAHAAAGSDAREIGGSSTNEGEEVINAETAPAFGRDQLSLGISGGSVGMGASHEAEIHGNAASLHRAESAVGDAEPIAELTETMGQTGESAPGPGLMDEFVPEEVNRQEPRGDSQDMVSRSVGQADSGSKIYGSTKADSVESGEKIGHATGIESSMRPSLSCNAGMYDPSKDDVTQAGKIPAGDDALMGLDYDPGNGLGTCIQAFH >Dexi9A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:9A:1457439:1458841:-1 gene:Dexi9A01G0002690 transcript:Dexi9A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTDTGRVVHVISRRVVRAEPPPSSSPVPETIHLMPWDLRLITIDYIQKGVLLPKPPSKHAAVVERLASSFARALGLFHPFAGRLAVDESAGDETITVSLRCTGEGAEFIHASAPGVTAADIAGELYIPRDLVASLFPLNGLVSADAASPDGEPRRAPLLAAQVTELDDAVFVAASLNHAVGDGTTFWHFFNTWSDLCRSGGGATTEQPPPVLERWFLDTCPVPVPLKFANLEDTIRRHDDENHKQQQQAPLLQECFFHFSGETPYPARRLEPWQETTYVLLIRCRGRVKGIPAGSGYVGNAVVPCKVRSTAGEVMEKGLGWTAWQLNRAVASLDDEAALVRESLERWVSEPTTRLAYNTELLGPADVGTGSSPRFDVYGNDFGWGKPEAVRCGPGNKLDGKTTVFEGRGGGGAMALEVCLAADALGRLVADDEFVHAVTKP >Dexi1B01G0006590.1:cds pep primary_assembly:Fonio_CM05836:1B:5378917:5380792:1 gene:Dexi1B01G0006590 transcript:Dexi1B01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPACMRWPGPLCGSLAMDSMTTTAIHRHGLDPGTLDAAQETILRLLYGSIPDPPVSAAATLSCAAAATVSDDSDGVDRISALPDDLLRRVIARLPAKDGARTAVLSTRWHGLWRSAPLVVDDTQFLPNGGADGRPPRPGPVSRAVRRAVSAALGSHPGPFPFVSLSCGFIGADLRLPAALFSCASLRRLYLGAWRFVDTATLPRGVCFPNLQELVLGAVDLEDRDLDFLLTVCPVLDALAVIGDLHPLHARIASHSLRSAQFCLSFLIEVAVEDAPSLERLFVWRCWDRRRSARKLGTRIKIDNAPRLSIVGYLEPGVHELQIGKTIIKSGTMPCPKTVIPTVQMLALHLHFRVQNEVRMLPSFLRCFPNIETLCIESEMTCEPTGNINIKFWQEKAPIECVWSHLKTLVLREFHGEENEVAFLKFVAETAQVLEKMVLVMTLKEPSAPEELAARMKDLDSARWASGSSKVGYLFSRIAEGGRIWNLRAGLDSLCNDPFFCL >Dexi9A01G0048680.1:cds pep primary_assembly:Fonio_CM05836:9A:51420824:51424366:-1 gene:Dexi9A01G0048680 transcript:Dexi9A01G0048680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHFTPSPPMQNSTAGASSKPPTPASTPNSRLTSGAPSSRHSSTPSHASTAASAPTAASRTVYSDRFIPSRAGSNLALFDLAPSPSSSHDAAAGGPTASTGSAPATSPYCALLRAALFGSDTPDRVTSSATACSSSSSAGASAVGTPATGNIFRFKAEVPRNAKRALFSGEDEEDALFPGIFTTRGAGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKTILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNPHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCIGGTSFVRSYIR >Dexi1A01G0025410.1:cds pep primary_assembly:Fonio_CM05836:1A:31653827:31656271:1 gene:Dexi1A01G0025410 transcript:Dexi1A01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSPMTKTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTLKMCGTYGATFATIGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGASVCGYRGKSIQSALIGGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >Dexi8B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:8B:4726365:4729796:1 gene:Dexi8B01G0004990 transcript:Dexi8B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSSRNMSQENDGELAGVATSHDNDHHEHTIGGRDNNADAVDDKERGGGQAEESSGMDDARIEFPSSSSDDDGDADDDVRISFATAVGDGDGDQLVNEQAELDLEVEEEDDDDTSRYDYVTWMESEPVSIQERRRRLLQGMGLNSSRDLLRSRNSRPPPATTPRLIIPPDIPRSAPPRRPHHHPPPSTSSATDAAVADDATSAPTPTPATKTTTATATRQHPNDVAAVLTRSRSDSRLAVRAGGARKPARRVYSLPHSLNFPPVNKPSRRSLTSSSAAAKGAGIFHSGDTGGGGFFTSDKKQDTGKEFMLKNGQRSAPLSVEELERFIGKHLMRRSQSQPVPAGTTTTTTKEKKPPPEKKRTRWLKNIKLVASAAGLRHDKDVNGRSATTMSKSASVSSSSSSSTTGPERLKVHHYGKSSKELTGVYMRQEVRAHEGSIWSIKFSPDGRFLASAGEDHVVRVWKVVDVDGHDAGASSSSSSQEVSASVLPPQPPPATPTRTSMDAGGGRSSAAAPGLAAQLSRRMRRGRSGKDVLPEHVVVPENLFALAEKPAFAFEGHQDDVLDLSWSKSQCNDRRVTIVAWLQKLLSSSMDKTVRLWDMDTKTCLKMFPHNDYDDLSCMIGGVCAAMDAVTCVQFNPVDDDYFISGLLDCKVRIWSVPDRQVVDWSDVSDMVTAACYTPDGQEAQIDMSISKKRRTQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTADGRHVVCASEDSNVYVWRRVPTTASSTSGTGAGGGGSIGIGVRAKTWLTSRSYEYFFCRDVSVAVPWPGSSPSPSPAFRCKSDGDTAKKKHGAAIAGDDDNAGVPPLPRRHKSGPMGYPGASQPDLCRRESSAAAASASARWHGGAEGGNAWGMVVVTASRGGEIRVYQNFGLPLGNIFH >Dexi6B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:6B:20080291:20081926:-1 gene:Dexi6B01G0012440 transcript:Dexi6B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDIEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVTLIKEYRGKIETELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEEAKEAPKGDAEGQ >Dexi9A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:9A:10991579:10993338:-1 gene:Dexi9A01G0016050 transcript:Dexi9A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFAFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHCGMLVGMKKTLVFYRGRAPKGEKTSWVMHEYRLQNKFPYKPNKEEWVVCRVFKKCQVIKMRPPHDSPTMDSPCHDGNASLGELGELDVSSILGGFVSPAAAAHTSSTSPAGGEVGFGHNRIDMNAYMNWMAAASQGAAAAILPWAAATSPGLFGNVFAPANHHHQLVQKPPLAFTGGCSQPRDLAGVVAASAAGSEHAMFASSLGKVEMECEQQPPPPPEQQMGMDETTWRAF >Dexi4B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:4B:11267680:11267910:-1 gene:Dexi4B01G0013080 transcript:Dexi4B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNGGEVCDVMTRCACEAHDAATRCGCEVRDAAARCVTRRRAAGKVQRRGVCGKPQRGTLFLAWRGGEARRGG >Dexi9A01G0028310.1:cds pep primary_assembly:Fonio_CM05836:9A:32940268:32945594:1 gene:Dexi9A01G0028310 transcript:Dexi9A01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAATCAIQTPDCAASSSRDGEPLLPVSSSSSSSTATASVLRRLRPPLPPPTPACLRRRRPSASFVSRPCLLHRPLLPPPPPPPANSGRVCVLPRPPLPPPPPAPASSTANSGRAVELCYLRTFLQDIRSMTELQSSAQGTNEVQSQQPNPMATDAPAGDSGSLSVASNENKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQIILFNHLLQHQYNLMKYPSPPNVPLAPIQNGIHHMPVSNLPMGYPVLQQPIMPVPGQPHMDPMACGLSSGHVVNGIPAPGGYHPIRMNSGNDMVVDSSVPEAPHAGATGSAMSSEMAVSPSSAASSNHAPFTPSEIPGMSMDVSALDSTFESDVGNAGALQLGSDGLSRDSIRSLGQLWNFSLSDLTTDLTSLGDLEALENYTGTPFLPSDSDILLDSPDQDDIVEYFADAINGSQSDEEKP >Dexi4A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:4A:1612817:1616774:-1 gene:Dexi4A01G0002390 transcript:Dexi4A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGEGWLFGIAGREEREAAVRAKDLYSSNLFPIRPDNLVAAAAAAKSISALTLQEPDVSSTGKVQSTALTLEEPDVSSSGEDHAHELIGVVKSLWISEGTRKYYHFNFTTRVRSCCFRERPSMKHPENSDAYVAGSMDYYMEFGDDPLTESDDDEETQLAKRRKKFKGHDRVFSKHGEKLSLRGPGGCVLCARGHRARGLDRRLRCAAGRGSHEEKGFFGGERMQRTLAEALVPFYLEAGDTPDAGVDDYGDFVPTMELKRLIPAVDYTK >Dexi4A01G0024670.1:cds pep primary_assembly:Fonio_CM05836:4A:27369356:27375229:1 gene:Dexi4A01G0024670 transcript:Dexi4A01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEANSFVLRSGVRAGLKREFAFAIASQAALASPLGRTRRSSRTLSTPADAKPKPKPKRPRPAADPPQGGAHAVHQPAGPVLALMAADPSPQPPLEADPDIIIPPESPPRRITRSMLQDKTPLPPHTENENDNAIATPVKPKAEPPEEEEDAKPSPALRRFTRSLLFRDKDSGNEEDLSGTTTASNASSPSPNTTTSINNSKWNNANKVPTNLKELLATGLLEGQPVKYIMRKGKVCIDTLVYAISFDQGYVSALISAGSSFSTPRSGKFALFCDLCLESKGSKKNARPSKVARGSTSSAKGYRSASPGVKSLSIGRLTRKDKGMHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKELGIYCHCCNTVVSPSQFEAHAGRAARRKPLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTVIFLT >Dexi5B01G0038400.1:cds pep primary_assembly:Fonio_CM05836:5B:37626636:37627706:1 gene:Dexi5B01G0038400 transcript:Dexi5B01G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGTHMASEHDDAQEGAAMQVTTTPHAHVAGIVSSLPLETRWPPFTLRCYAGFWLVEFMLKAGFPVIHSVVFNPRPTDVLLASYPKSGTTWLKALSFAALRRSDHPPLAVDHPLRHRSPHDCVRFIEAEFDTGDEFEAFPSPRLLATHLPYTLLPEAITASAGCRVVYICRDPKDALVSYWHFTNKMSQGFGVDAGSFTTIQDAFELFCQGRSPAGPQWQHALRYWEESVKRPDKVLFLKYEEMLLDPESHLRKLAEFMGCGFSSEEEESGVVSAIVDLCSLGKMKDLEVNRNGSANLFGIKNECYLRKGVAGDWSNHMTPEMAERLDKIVEDALQGSGLTFGSSSSSEIPSSC >Dexi9A01G0037770.1:cds pep primary_assembly:Fonio_CM05836:9A:42061928:42071560:1 gene:Dexi9A01G0037770 transcript:Dexi9A01G0037770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSAKLMPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGATNDRKIMKLCEYAAKNPLRIPKACDIAKFLEQRTHKELRAAHVNLVRIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDHTYACDIESLVPKVCALSRQQGEEHRLLRAASLQCLSAMIWFMKEHSYIFANFDEFCTEFCQPLSLQNVAFSRFNFLWIWQIVQSVLENYRAEESVGSGEDRHASQHNWVDEIARCEGRPGLGGGNDVNISSTTVRLMPARNSSTLTRDERESPEVWSHICVQKLAELAKESTTMRRILDPILSYFDTKKQWAPRHGLALLVLSDMAYPEKSSGNERLILTAVIRHLDHKNVLHDPRTKSDIIQTATLLARQLRSRGFAAELAVAGDLCKHLRKTLEAVELGNVEELNLNESLQNVLEDCLMEVVRGINDVRPLYDMMAITLENLPSIPTVARATLGSLLILSHIVSLTSLSSNTPMVFPEALLQQILKAMVHPDIDTRVGAHHMFSAITVRGPSHLRSESEYLYETKKQSRTTSAFASATALLEKLRREKESLSSDKPRNIMHDDVKGRNTNEEDHKQVWSRKNSAYFSKLVFSFIERCAKLSSSVQMFQEANIAMLTEDQTNQLLSAFWIQANQTDNTPFNYEAIGHSYSLTVLSSRLKNSGNSNIIQFFQLPLSLRSIALTPSGVLPASCQRCIFTLAMSMLAFTGKVCHITELSDLLRCFTSSNIDPFLRIGEDLQLYVRLQSDLGSYGSESDQEVAKSTLSDCRTKVGISDQRMLDAIASALSSFTEVRLIDEWCGFLYVC >Dexi5A01G0027540.1:cds pep primary_assembly:Fonio_CM05836:5A:31021413:31023683:1 gene:Dexi5A01G0027540 transcript:Dexi5A01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADSAMGERGGGNQRIDLGAPLRSALRRVEDAPPPRYRPELNSGPLRHPGAVPFVWEQRPGQPKSVRTRRAAAPPTPPREASWGEMGGGGSPYHDALADLDLHAPHGVAADHASSRTTLAASRRVVDEEDAADARKKKQEAVSVAAVLRRREDGEEDEERFSDALETLSRSESFAMNCSVSGLSGAPDTVPGGAAEPCAPGFMMDRFLPAAHAVAVGSPQYTFRKAGAAAGGTGNSGRDHARGAATAKASAGNGDDRMRRAPVQLPYQHLPPNYLSCAYPRREEEHGEEDEEDDHDYDERSTRGFSAKGCGLLPGLCVKTSLLLLNPMPAMKRGKAHGRGRGRQFPSKGRGQLAQSPLARSSQNKHLGCESNGQSWEDVYKHKLELKYLGQGEDGRSKLTSESNQLTFWSDSQTGDGSSPYHHSIAGGMSPYRNYAVMSPSSKANESSRIGDRDDKASRSNGSGSLARDHDRTSVVGSSDHSSSKGSSSMSSGPDGVLHEDSMDHRGDTDSETCQLGVVEKPNSLDSEI >Dexi2A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:2A:395984:397551:1 gene:Dexi2A01G0000760 transcript:Dexi2A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADVAPGFACEQGGAVAVAVAGDENNDGEQPRFAFMTKSDMDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNAKCTVKKRVERSSDDPSVVITTYEGQHCHHTVTFPRAHLHAAIAAAAGHMLPLPSAAHHHLYSSSNNGSDHHMSPLHLPTATAAAALQNSNSGQFAACRPAASMSSLLPPPLHQELMAAATCYDNPTLSSSATTTVIASTQSPSPVIMSSSSSLASAAPVAAAVDKGLLDDMVPMAMRHRSIA >Dexi5A01G0023900.1:cds pep primary_assembly:Fonio_CM05836:5A:27844945:27846128:1 gene:Dexi5A01G0023900 transcript:Dexi5A01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMCILLRHRLQLLLLLAVLVAACRGDDPSGNYYYNTSICQTQAFTCGKVEIRYPFYLSGVTGDVQGNSNSYCGYPGLAIACEDGGEPTLSLGNRDYNVTGIDYSNYTISLVDPDVLKGDSCAGVDHNVTVPPTFYLNFTEDTVGYLLFGTNCSIPSLPNQSDIKPTEA >Dexi3A01G0028400.1:cds pep primary_assembly:Fonio_CM05836:3A:29084328:29086077:1 gene:Dexi3A01G0028400 transcript:Dexi3A01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAAIHSAAGHFPRPRRHQSAARARASSLHRLLHLPPEHLPRRRSRRVAAEDLAVRDGGGGGGGGRTRGGVRIDVAAVAAFLREARTTEDVEILVNGFLDGGGGGEGRLLPLQVYTSVIRGLGKENRLDAAFAVVEHLKRRGVGLNQFVYNCLLGAVKNCGEFGRVDSVLADMETQGVSPNIVTFNTLMSIYVQQGKTDDVFRVYDEIEYRGLVPTAATYSTVMSAYKKAGDAFAAIKFFVTLRERYKKGELVGNHDDWEQEFVKFEKLTVRVCYMTMRQSLVSGQNPVGEVLKVLLAMDEAGVKPERSDYERLVWACTGEEHYTIGKELYQRIRERDGEIRLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKKRGIWRWGVRLLNKMQEKGLKPGSKEWNAVLLACSRASETTAAVDIFKKMIEEGLKPDIVSYGALLSALEKGKLYDEALRDENAEH >Dexi9A01G0028230.1:cds pep primary_assembly:Fonio_CM05836:9A:32866927:32869874:-1 gene:Dexi9A01G0028230 transcript:Dexi9A01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASAATACSPSRLIRRRRLSPSPPPSSLLPLAARQGGRSSPRARSYHRFVLRWEGRPRALLGGLSDAGASESDEDDEDALQAGQREAEGAIEPAAAAAAGPERWDVLGLGQAMVDFSGMVDDEFLERLGIDKGTRKLVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSSQSAGYPELKIAMAGSVGSDPLGSFYREKLRRANVHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLTYDSDLADLVSKSNVLIVEGYLFELPHTIEAIKQACEDAHRNGALIAVTASDVSCIKRCYNDFWDIVGNYADILFANANEARAFCELPSTDSPMSAIRYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCVPVDTCGAGDAYTSGILYGILRGASDLKGIGLLASQVAAVVVGQQGTRLRVQDADRLAESFAHHLDSLEFCSDV >Dexi5B01G0036880.1:cds pep primary_assembly:Fonio_CM05836:5B:36462108:36467364:1 gene:Dexi5B01G0036880 transcript:Dexi5B01G0036880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSKGLAELLPKMAMEDRTVIITSVNEAWAQPGSLLDLFLESFKNGEDIAHLLNHLLVVALDARGFDRCKVVHPHCYLLDVTIDMSSAKPFMSPDYLEVVWIKLTFQQRDCDMVWFRNPFRHFTVYSDMSCSLDGFNPAIAPLDNPFNTGFYYIKSTNRTIEMMKYWRAARERFPGQHDQTVFISIKHELVAADWKNYTSLTSELRHKGGFKWTYPTRCRDSIGWRKP >Dexi2B01G0026200.1:cds pep primary_assembly:Fonio_CM05836:2B:35331584:35335595:1 gene:Dexi2B01G0026200 transcript:Dexi2B01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPALVLLPEWGSGHLMSMLDSCKRVLLSGDGEAFSITLLVMRPPTAEATSEVESHVRREAASGLDIRFHRLPAVDPPSDAVGVEEFIARYIALHAPHVRDAVAAMSSSCPVAALVLDMFASPLVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHEKVTVEFEEVDGDLEIPGLPPLPPASMPCPVVDKKSPNYTWFLRLGDRFMDATGIIANTTDSLEPGPLAAIAEGRCVPGRTAPPVYPIGPVLSLGDRDRSLAPSHECVAWLDAQPPASVVFLCFGSMGWFEPTQVVEITAALERCDHRFLWVLRGPPSPVSRHPTDADLDELLPEGFLERTEGRGMVWPRWAPQKDILAHPAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADVGVAVPLRVDRKRDNFVEAAELERAVRTLMGGGDEEEGRRAREKAAEMKAVCREAVAEGGSSHAALRRLAVVLQSGAVIPKGRSESPGASENSRRRRSKSSNPCPSMATPTVVLVPTWGAGHLMSLLEAGKRLLARAGGALSLTVLVMRLPSDFEQLAAEVAGLIRREEASGLDVRFVHLPAVEPPTDTVGIEEFVSRFVQAHAPHVRDAVAALDRPVAALVLDFFCTTLLDVSRDLAVPGYVYFTSDAAMLALMLRLPALHEEVTVEFEEMEGGVDVPGLPPVPPSSLPTPVTDKKNPNYTWFVYHGRRFAETDGIMVNTAAELEPSALAAIAGGRCTRGVRAPVIYTIGPVISFPSPSEQKQTSECVRWLDGQPPKSVVLLCFGSGGFFPAPQAHEVAHGLERSGHRFLWVLRGPPAPGSMQPTDANLSELLPDGFLERTKDRGLVWPTWAPQKEMLAHAAVGGFVTHCGWNSVLESLWHGVPMAPWPLYAEQHLNAFMLVEVMGVAVAMEVDRKRGNFVEAAELERAVKELMGSGEEGRKAREKAVEMKAACRNAVEEGGSSYSALQRLSEELCKGALLDTSN >DexiUA01G0012680.1:cds pep primary_assembly:Fonio_CM05836:UA:26369604:26378062:-1 gene:DexiUA01G0012680 transcript:DexiUA01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSLRRLLALRRLLSTSASVASNPSTPEAVIYNIHSLSSDPSRALAFFRRSAAAGQPVGSSAYNLMLRTLASHPPSVERHFWPFLREMQEAGHSVDQGTYLAALASFKRASLSSDYASLTAHYNKSREEAKAGSAASSAAAEAVRDLDGADLDTKLAAIGLLPLTETAVAKVLRELRNYPIKALAFFRWAGRQQGYTHGSLAYNAMARVLGREESVPQFWDLLREMKAAGMHVDIDTYVKLSRNFQKLHMMKEAVELYELMMDGPFKPAQKDGPAIIRRLALGPSPDLDLVNRVASKFEAVWGIKTKELYDGIHRALTSNGRFDEAARIMQSMRAEGHQPDNVTYSQLVYGLCKANRLDDARNVFDEMEAQGCIPDLKTWTVLIQGHCAAGDVDRALQYLTEMIDKNLDADADLLDVMIKGLCSHEKVDAAYTLFVEMVDKAHLRPWQSTYKHVIGELLRMKKFDEALALLRSMKACKLPLFTEPFPPYIAKHGTVEDARDFLKALTVNTCPAPAAYLHVLKSFFAERRYSEAQDLLYKCPIHIRKHPHTDVYSFGVLLLELVTGRRAVDSSRPPPEQLLVSWARPMLRDGKRYRELADPLLRGDFPERDLKQAVAVAAMCLQDEASARPLMSDAAMTLAYLAEAAAEQASCS >Dexi9A01G0023080.1:cds pep primary_assembly:Fonio_CM05836:9A:18251776:18252957:1 gene:Dexi9A01G0023080 transcript:Dexi9A01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYNEDLAIAYFVPEVNKDDFGPMAHDLKDYFTRAHGVHLAEHKEVTVLPFEDPTPPNGPLFAQPYYAPRWMGSNPFAHEVGQGSGGHSPVAQGMGEDGINLADMDVAHQAHGNYSEQVNDVVASPAALVPSMAHDSDNLLGVAADFLALGHSSIEVITLAKVICSFHPIPHTITIGLSTCLSILGISLDLSVPPYIIDERTLFYLASFLPDINGVLIGRQRPFVPYSDDDDEYDEVQVIYSIPSSASHKRKSRNLKRAFG >Dexi2A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:2A:44986:48448:-1 gene:Dexi2A01G0000090 transcript:Dexi2A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPQSPPPPPSWSRSVTETVRGSHQFTVRGFSLAKGMGPGRHVASDIFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRARHKVHSHFDRALQAGPYTLKYRGSMWGYKRFFRRSLLETSDFLKNDCLVMNCTVGVVKSRIETPKNVQIHVPPSDMGRCFKELLSLGIGCDITFEVGDEKVSAHKWILAARSPVFKAQFFGPIGKPDLCRVVVEDVEPIVFKSLWKQKALITWRRHAHPYYLTC >Dexi9B01G0039060.1:cds pep primary_assembly:Fonio_CM05836:9B:39913349:39916712:-1 gene:Dexi9B01G0039060 transcript:Dexi9B01G0039060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRADIEAGGFPGFAPDRRAMIPCHGSLIPVICTLVCSEFMQGAGQCITIPWPFFSQCGFSWAVLVLFMVLNSHQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAVANGFLGHTELRVHVPPTISLATRARLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAQQGNAPARKSDGPSQLSSSSTGPGNEKKQDGFKADETSKTLEDELTCSVCLEQVVVGDLLRSLPCLHQFHANCIDPWLRQQGTCPICKHQVNDGWHGASNGEENASYMV >Dexi4B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:4B:22692136:22692439:-1 gene:Dexi4B01G0020550 transcript:Dexi4B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGMRERDRGADASGAPPFRGPAYKTKLCALWRGRGGCPRPNCGFAHGEAELRRPPPRDSFQPRPRPGIHHALLPLYHSHSRSHLIARQ >DexiUA01G0005080.1:cds pep primary_assembly:Fonio_CM05836:UA:9215116:9215367:-1 gene:DexiUA01G0005080 transcript:DexiUA01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIPSAVRPPLPSHSACFASSSVPRARAASAHYCTSLKQARPSTVAAAVTGRAAAPLLAAALFLADAPPGLPSAVPPGFSEL >Dexi5A01G0023390.1:cds pep primary_assembly:Fonio_CM05836:5A:27401755:27406389:-1 gene:Dexi5A01G0023390 transcript:Dexi5A01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENWLEHSKHTSTTAPSSGLVSRHQIPSPRRSRQRAESQSLHRWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLYSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDESSVPAIILKTRRSLRAVHFHPHGAPYLLTAEVWGYSCFQSNKGSTLLNFHSVLTYIRTHTFGVWSSSWLSSQECSHGRRQWDSRIYYLGGRLAIIVQLQNHSLIGNQYHNN >Dexi1A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:1A:24240058:24240648:-1 gene:Dexi1A01G0016990 transcript:Dexi1A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGKERHYAGNDGERLLAPGSGMPESTARDWKGSE >Dexi5A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:5A:4075693:4078437:1 gene:Dexi5A01G0005470 transcript:Dexi5A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGICAPSSSEQGLHGAHPAVAALGRDILSFGANSQVPDELSRHVVSSKKAQANWYKKLLVAWKKARPPPKTPEEAASFVVQTLKNHQKADVEGFLAFYGLPHPNAAASTPAATAPPPSKPKGAKFELHTLPIDPKSVADGDTINVYVDTADPRESGSVPREVQKAAEERAKARAAKNYQKADALQKTIVDAGYRPVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVYVYDQDRYGRCVGDIYCDGVFVQEQMLKKGFAWHYTAYDQRPELAKWEKQAQTGRKGLWASSKPQKPWEWRKDKRNGAA >Dexi8A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:8A:20257079:20259124:1 gene:Dexi8A01G0011490 transcript:Dexi8A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTEQGHLASPSIGNSRPCISGEPKWSVLAGSHSHVRPLSFEPPAPPHRLRFNAGEHAPPSPVAISGERGYMAPEYYSTGVMTYKSDIYSLGVMIMEILTGEKELCTIENVGVKILWLP >Dexi1B01G0023580.1:cds pep primary_assembly:Fonio_CM05836:1B:29149417:29151938:1 gene:Dexi1B01G0023580 transcript:Dexi1B01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGSGAHKAASGSAPSPAPPAPNPTAMLSALMSKRAKLQEELRSIERQADERLFSLSSVTSPAVDEHLTGRDDGREYGSVRSKGGSTPANGQGKPKKGGRPGGRDGKRLRQSNDPDLDDEDDY >Dexi1B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:1B:10739832:10740421:1 gene:Dexi1B01G0010920 transcript:Dexi1B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDCCFSNWGLDAVVRLGCRRRLSPPRREDNNNNPFAAFLPPPQKPAPAPVPELAKEPEADASWRFPDFFAGGGQDAGDELLRALLASSHPPMSSQPTPPPLPPSPQQQQPVAAAAVDVAPPQPRAAPARAQPSGRQVPGGVPRSKRRYATR >Dexi7A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:7A:18564602:18565662:-1 gene:Dexi7A01G0007180 transcript:Dexi7A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPRSKRVVVVAGDGGVDRLSALPDELLHSIMSFLMARQTVQTSVLARRWKDLWRSTPCLNIDHHEFAGGGGAALSGCSAGQSAWSKLHDFTSSLLKSHHAPVLEKFQLHVGAYHSAPAVDGWIRRGVRCRPAALEITAANQCGWLTPCLAPAVPCRLTRMSLCRVRLDAGFAKHLRSGCPVLEDLVLTGCHCAFQEVVSNTLRSLTIDCCQCASPSKVSRAVTAPALASFRLIVPRYASHDAFLVNGGCSSGLELQATITVTREDPYGGNKSMSTFRLLGSLCNVTTLELWGLRHRMDAPKTFD >Dexi9B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:9B:4188391:4191875:1 gene:Dexi9B01G0006950 transcript:Dexi9B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLIQGISISVSDDDEVTGKVRVRVRRKRSRGPASARRRRFLFRTVRLGVPLLLAALAVSLLLYESYHITPSHSSTLPPPSFADFRHLSRAADGPRKSCLKFLDPEKLQNLELPEIPETNMTVKEVVYRSSLLHIKDDVLTESSRFNSFTGYQTLTEREESFKIKESVTVHCGFYDENGGFRVSSVDKEYMRYCEVVVATCAFGGGDDLHQPIGMTEKTIRKVCYVAFWDEVTQGAQEEEGNKIGEDNMIGLWRIIIVKDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSDHGARSSLYDEAKAIVKKHKATPEEVEVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPSTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRPPGVHLFPVCARKDLVNSFGHRRKVKPLVKDAR >Dexi7B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:7B:20381601:20391016:1 gene:Dexi7B01G0013960 transcript:Dexi7B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPVAAQEASDDDGARVVVVAAAGSPVESASNPIPPPASAEEGGQEQSKPEARAEEAPEELKQAAAAAAAAPVEAKQEAAGVQAMAVTVARDLEAGPEASGSDQGGEKPSWFTPKRLLVMLCIINMLNYVDRGAIASNGVNGIGRNCSGGTCTSGSGIQGDFDLSNAQDGVLSSAFMVGLLVSSLIFASLAKRHNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQKTSWLAMFYMCIPTGIALGYVYGGLVGKLLNWRAAFWGESILMVPFVILGFVIKPLELKGNTRFTHNGVKEYGQMLNPDNQDPISISRFGQSLMSEIGNFSKDMKVLLQEKSYIVVVLGYIAYNFVIGAYSYWGPKAGQQIYNMASADLMFGGITIVCGILGTLGGGFVLDKIGSTIPNAFKLLSGATFLGAIFCFGAFCFKSLYGFIIFFSIGEILVFATQAPVNFVCLHSVKPYMRALSMAVSTVSIHIFGDVPSSPLVGLLQDKINNWRATALILTSILFIAAVFWFIGIFVPSVDHFNEESEHGLPAAERSNLRPLLDDNEARASD >Dexi1B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:1B:12267698:12268202:-1 gene:Dexi1B01G0011800 transcript:Dexi1B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSEVKANSTARTEEVPARRKRTPAGPLLVRMGGSGRRSVLGAEAAAGPHRFGGTGATARSVWPYGVPCRWWLGTGGGK >Dexi4A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:4A:7605804:7607143:1 gene:Dexi4A01G0009610 transcript:Dexi4A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTSTVVLLCAATLFATTYARGADGDQLVAKTCANIMGHGWERRFTENFCKSTLQHDKRSTAAKHPRDLAIIAIDLAQRAVTDMDAKIDGVLRSGPAGNHIDNLQYCRLDYATVASTIPVCRATVINYKPDGDQLQLAPNDYFEYKLV >Dexi3B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:3B:10001397:10002264:-1 gene:Dexi3B01G0013950 transcript:Dexi3B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFVSEYAVNELKDSGNGSLLASLAEAAFLTAVEKNSDIVQMACYAPLFTNANDRCWNPDAIVFNSWQQYGTPSYWMQTFFPPKPLQIVNFGPHAVNLTIRTNGLQAGVNTTGSRVTVLTSSNVMKENSFSNPNN >Dexi7B01G0024320.1:cds pep primary_assembly:Fonio_CM05836:7B:28675990:28677435:-1 gene:Dexi7B01G0024320 transcript:Dexi7B01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQRAALLRESLHKSQQVTDAVVSILGSFDSRLSALDSAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHRSSCFSFRIKFEELGC >Dexi1A01G0032480.1:cds pep primary_assembly:Fonio_CM05836:1A:36984578:36987123:-1 gene:Dexi1A01G0032480 transcript:Dexi1A01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLLPSSTSTYSRRFPPPQSRRYAFPSHVSFPARPRHRIRLVRAADQPNGAASSGSGSGGDSNPANNGLPKNRRDILLEYAKNIQPEFMELFIKRAPAQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEENDSPDYAPGTQKKVTGEVIRWNKATGAEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKSLEPQNLKELTSSAGEDVVFAMNAFIKRLLAVSDPEKMKTTVSETSASQLGNLLFWLMMVGYSMRNIEVRFDMERVLGAPPKVAELPPGETI >Dexi5B01G0034190.1:cds pep primary_assembly:Fonio_CM05836:5B:34444559:34446026:1 gene:Dexi5B01G0034190 transcript:Dexi5B01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGAMQGLRDWPARDAIRFQDERALQPLPQQKKSAKPGEEEKVGGEIKEKETEEREEYEQEVVRQLKGVKRTEAIPRIGIAVGVHEAGVDPQQDVDDLHDAPRDFRDGWAEEGPFAKTNGGVGPSVSRARIGGLGSLHWITAGFPNDALHGRFPNGFLGAIRSNVPITVGFKRWPLGLAAVSSARLATTTKIHPPLPCIANLSLSRPKGANGRRYVVRSYELFAVRSIEIEEARIDAKTAFR >Dexi2A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:2A:374533:376139:-1 gene:Dexi2A01G0000720 transcript:Dexi2A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCVVGAGFAGLASARELRREGHDVTVMEQNAGVGGQWLYDPATDAGDPLGVAGVHSSIYASLRINFPRETSSFSDFPFFLRTDNGAGDPRRYPGHGEFRYSRDFCDAFQLMDAVRLNTKVLRVAPRGDGGDDGVMRWTVRSAAKKQGEVVTTTEEEEEVFDAVVVAVGQFTQPRLPNIDGMDTWTRRHLHSHSYRVPESFHGQVVVIVGFNESGKDIAMELRGVARDVHISIDCLHEDGRVVFADGSSIVADAIIYCTGYNYSFPFLDTGDLVTVDDNRVGPLYEHTWVAQVLSGRRSLPAPEEMMRAAEEYNRAREIAGVPKRHTHDIFDLEYCDEYGEKHCGFPRLQEWKKDLLWSSFANWRENDRESFRDDYRDDSQLVREGLRSQGWLASHKDDDGHEEERLPN >Dexi3B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:3B:13147062:13147397:1 gene:Dexi3B01G0017770 transcript:Dexi3B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTAEPAWEVREGMRILTGGGESRGFALDPVEAADAQLGATTGLLSGAAADPAGKAQIPPGAATRWKRRRRLGV >Dexi5B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:5B:4032268:4037006:1 gene:Dexi5B01G0005970 transcript:Dexi5B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRVAGGDGREEDGAKVGLPALELARAFPQATPASIFPPSVSDYYQLDDLLTNEERSIRKNVRGIMEKEIAPIMSVYWEKAEFPFNAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFILVHSSLAMVTIALCGSEAQKQKYLPSLAQLTAVGCWALTEPDYGSDASSLRTTATKAWTSRTAREVVFLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPATLAKARL >Dexi7B01G0019280.1:cds pep primary_assembly:Fonio_CM05836:7B:24833469:24835612:-1 gene:Dexi7B01G0019280 transcript:Dexi7B01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKHTLKVLPWLLLFAQLAVASTSNCTNATAGTTTDKRGAMKLKLIAIASILTAGAAGVLVPVLGRSMAAINPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLFKGGSDGSIFPFAGLIAMSAAMATMVIDSLAAGYYRRSHFKKARPIDNLEILEQTGDEERTGNTQHAHVQADVIGSPEEASIAEKIRHRVVSQVLELGILVHSVIIGVSLGTSVRSSTIRPLVGALSFHQFFEGVGLGGSIVQANFKLRATVMMATFFSLTAPMGIALGIAISSSYNGHSATAFIVEGVFNSASAGILIYMALVDLLATDFNNPKLQTNTKLQLMTYLALFLGAGMMSMLAIWA >Dexi6A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:6A:2302204:2302485:1 gene:Dexi6A01G0002380 transcript:Dexi6A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRFAWIHAGHSRVNFIGSSSLTASAELELDSFHELYKQDKAFVVCLLFFFPTTKSSVASITVEAIGQPPAMEMQQLDDYPPGKARSSSSL >Dexi6A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:6A:11935021:11935347:-1 gene:Dexi6A01G0009900 transcript:Dexi6A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWRPPPEGMMKLNCDGGFYAKNMTGSTSAVLRSSEGSFLGAVMRGIPSMSSALVAEAEACRDGLRLTLMRGGPEHVILESDSLQLVSLWKGRCKQRSEIATILDEM >Dexi4A01G0024390.1:cds pep primary_assembly:Fonio_CM05836:4A:27178488:27183344:1 gene:Dexi4A01G0024390 transcript:Dexi4A01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEATHHQKYERERDPTAAAAAAPPPDEHHRRSAASLCLRVSSLHQPRPASTAVTWGPLVRDTARASRMPLRTPCATLPRHISLCLQALTSSHFRDHCNGLLMLGDYVLNPAMRRWANAAPHFLPSSSSVSITRIHPPSNHPPQRLLLFDPSVIRGALRCLTDFIEAHKYHTNGNAKHPSPTFPQILDLQSPGYLAPKLDPALAVKAHCPWVLPLLQYSGVYEQALPQQQHHSLQLQQMISFRNGQQQLLGTPLPLLPPLFMATEAVLDQQESFKLCDKEAKLKFPGILPGNDCVGSSSASPPRQEANKKQARQDHGEQPSGTKANLKGVGCPMSLISWNCRVRRLIISPA >Dexi1A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:1A:24250467:24255163:-1 gene:Dexi1A01G0017010 transcript:Dexi1A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSTHTGSTLQLATKLQSADTITLNLVSINRRHFGAVRGDILSMASFSASIVTKFEEGATFIFALLPTQITPRESRKTPNSDTIFGLYPTRRSTWRPKLTPARAHNNQQVRSTGPRLPGGLKITSDFHQGSTIRTVTTTPQALRNPNPEPRRTKTSTSSSISLWVNKFNRNLPSSSGKAFHQAHQINSDLITCPGRYPLVLETVVANSKLTKVLIDGGSGLNLIFAKTLRQMGLDTSKLQPADSPFYGIVPGKAAIPLGQITLPIKFEVADFEISYHTIFGRPALAKFMAIPHYTYLVLKMPGPHGVLSLRGDIKRSYLCDKEAVEYAVRAASTIDKPELYTLVANISDEDGYAPTHKKTRAIKPESTFVNFLRAQADIFTTKPSDMSGVPKELIEHKLDLNESAKPKKQRLRRFATEHREAIKKELAKLLAAGFIKEVFYPDWLANLVLVKKKNSTEWRMCVDYTDLNKHCKKDPSGLPRIDQVIDSTAGCTLLCFLDSYVDDVVVKTRNPEDLIADLTETFDNLRNERGVEANPKKIATIMNMEPPRIVKDDMKLTGCMAALNRFISKLGERGSEFFKLLKKHDRFHIEFRSRTAMKSQVLTDFISEWTEHNLPVTTTKTEHWIMYFDEYEALLHGLKMAISLSIKRLLVYRDSMLVIKQVNKDWNRNHENMDAYCEEVRKLEKHFLGIEFHHVERDYNVAADVLSKLGFSRAEVPSGVANGQVERANGMLLDGLKARMERTLKKAEGRWMKELFPVVWGLRFQHSKATGQFPFFLVCVSEAVLPINVMHGAPCVEEFQEAMADEQRMIEVDTAEEA >Dexi2A01G0030500.1:cds pep primary_assembly:Fonio_CM05836:2A:41409272:41410228:1 gene:Dexi2A01G0030500 transcript:Dexi2A01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRPPRKPRPSPIIVPPPPPLPPPRLGLLPRSLLALAARAMPSRRPSPVLLLLLALALALLFLLLSPSSPSPSRLSRSLASASASASPSPASPAALLPAPVKIYLYDLPSKFTYGVVRSYTAARAPSGSADAAAVLPDEQLRYPGHQHSAEWWLFKDLLRRGPRDRPVARVDDPHDADLFYVPFFSSLSLVVNPIRPPAAANVSGVAAAYNDEAMQEELLEWLEGQPYWRRHRGRDHVFICQDPNALYRVIDRISNAVLLVSDFGRLRSDQASLVKDVILPYSHRINYFKGDIGVDGRPSLLFFMGNRYRKEVNP >Dexi3B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:3B:15207756:15209140:1 gene:Dexi3B01G0020180 transcript:Dexi3B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPYFLCGDSNASSDSGDTNVVIFGSGAPERAAAAATAAAGTAVVSPELGAAAGVARPRLRRTSSGSAGKQKQQQVGGGGGAKKPPQRGLGVAELERLRCGVDSLRELSTVVVDVAGAQGHPLLHYHHHNLQIPPSPFEAAARYGSQLLAPAPPTPPGPLCFLHPPAAAGYQRAPLVAPEQQYFRDRWGRMGGFSPAGDGVDHQHGQLLPAPMAPEHPSSQSTIWRPAASSPSCFHTGHRCDICCRRMRALAGRRAVTPMPASPYAGADTSNTMPDYSIYDLAAAMATARQGGSFLALERHRGAAGAAEAPEKKEVREIEFFPAASANHTGGGRISVPDESELAVPFSSSYAGRTAPQLDLSLRL >Dexi7A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:7A:19907335:19910324:1 gene:Dexi7A01G0008690 transcript:Dexi7A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAQVLLALLCVAAAASAVASGAGVGARKMVGVYELRMGDFSVKVTNFGARVMSIVLPDAKGNLADVILGKDTIAEYVDDEFYFGPITGRIAQRVARGRFVLDGKAYHMYKNDGNNTIHGGGRGFCKSIWTVKEYVAGGDSPYITFYYHSFDGEEGLPGSVDAYVTYRMSSPYTLGVHMNGTALDKATPVNFLLHAYWNLAGHGSGDVLDHTLRLFASRYAVLDEELLPSSGRIEPVAGTLLDFRTPTPIGARIRKVISGRGVGYDTNYIIDGEGMRPVAQVRDGKSGRALELWANQPTMQLYTGNYLNHTKGKDGKVYEKYAGFCLETMGYVDAVNHPEFPSQTLRPGQVYKHDMVFKFSF >Dexi5B01G0023050.1:cds pep primary_assembly:Fonio_CM05836:5B:25294544:25297209:-1 gene:Dexi5B01G0023050 transcript:Dexi5B01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSHVNLIRTCPSCGHRAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDDQGGETRWHKTGKTRPVFTSGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGSSATTAKDVVPLASSLATDHHHQQHHHDGGNGGSSNSMLKDAAGIVDFYSSAALIGYNQAAPNSRAAASAHLMPNFEVHTAGAAGFGP >Dexi6A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:6A:1648428:1676054:-1 gene:Dexi6A01G0001750 transcript:Dexi6A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVLAGLLGRYVKGIQKEQLKIGFWNEEILLENVELILEAFDYLQLPFALKNGRIGKLSIRIPWKKLGWDPIIIVIEDVYVCACPREDSEILDNIQVSMRNFHIVYMDAHNDQGNFVFGLEFSSLSIQTDTQKQSFTMSLMAMSRQDEVNKIIEISDVRIYSHQLEKQQDLRNFDALGNGHSRDDYLVNPFSVTVSVLANKAAKLDGAPQYDLTVELTALIVSKDILQQLENMDKECDIDDILNYRTIAEQQLQESLLKSTKDTSSPGSPRTDELSAGASRGWLNWLSLGMLGAGGTADSSSFAGVISEDIIKVVVQEFSAIYEPEFFINVLHVYDLFSSFQFQHDRLYELYNHFEVGLTGFQVKVLQPDGHNASKLIKLDASIAIRLCIFQDEPVLKQLEVGFTVPFIDIYLSQTMYSAIVNVPRLAKLGLQIDLGNYEESLGIIVGIEGVDIRYAICDLPDLSVTMTTVNITSNNWKDESDSHVPCKEISCSEQSTLPTSIMSKISQCDLVAEDLYFVSGLEMVIGVVDQLISIGKESKMYNGNALQFLEHRSFYEGNPDPNECVNVTLSIKDLSILLGHLKDNDFARERIATVNLEFDLSAVIISEKPERLNFEIVSLALQPTGGYTLISIVSDGSLAPVFIKFTKHHAGQDEILLSVPLFEWSSHGPVIETLLKNLTVQFNQRKDQTEASAFVDLQVNYNNIDKVMWEPFIEPSRFQLNMLRKCADCALDIPPSTEVCLNSSKQLNLNISEPFIEAMIRLSQMITDSLDPSNGDDLREDPGILRLSPDDVRTRRYAPYILSNDTSLPFRFKVYRGAVNSDDIDSFSVVDDNSVPAGCAVPIYVEETLDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDGTSGPSKPMSMDLVGIYFFEVNFSSSKKPILGEESLGAFSSNRKGNNGLIVPVVLDVSLQNYSKRIRVYSTVILYNATSMPLELRFDIPFGVSSRKAERKFCISVHAEGAIKVLSVIDSNCHNTETKEKDFLGSKEPKVADQKLELEMNFAEVINIHIPFIGISLISSYPQELLFASAKEMTIVAMQSLDQQRFAAEIQAMQIDNQFPDSPHPVMLSFEGSQKGKSMNFFKSKDTKLRSASDSLSNATEPVLRFAAAKWRTRDVSLVSYQYINISVAPVRLELEERLVLSMRGIMALLDVEGVPVHLGEVMVENLMASWQSVQDILVRHYSRQILHELYKVFGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLNGLAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMEERERQLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPIARDRPLFPYSWEEAIGMSLLAQAEGGRLKEETFVMCKTLKESGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWSIDTEMHLKSVVHLDRSLEVVNIVGSNGETSPRDKRGGARSRVISSAFVPLFHLSIELPNVEDAEGTLQVLQAIIEKGRARRWDKNILHRSNIS >Dexi7B01G0021380.1:cds pep primary_assembly:Fonio_CM05836:7B:26437518:26437927:-1 gene:Dexi7B01G0021380 transcript:Dexi7B01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAIPVVDLAPFLTGDEAGIASATEAVREACQTHGFFRAVNHGVPAELLARALELSAAFFALPGEEKAKVRPAAGSKAALPAGYGQQPAHAADKSEYLICNFFDFLFS >Dexi8A01G0011570.1:cds pep primary_assembly:Fonio_CM05836:8A:20524933:20527317:-1 gene:Dexi8A01G0011570 transcript:Dexi8A01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFCCEETINEFETLTRDAGRVQQDTLKKILKVNADAEYLKHFGLNGRTDVQSYKSCIPLCVHSDLEPYIQRIADGDSSPQLTGKPITSLSLSSGTTQGKPKFVPFNDELIENTLQINHTSYAFRNREYPIGKGKALQFIYSSKQVVTKGGILITTATTNLYRGPRYKEGMKDIQTQCCSPDEVVFGSDFQQSLYCHFLYGLIYSDEIYQVFSTFAHSLVRAFQTFEEVWEDLCVDVRDGVLSEKITVPSIREAVAKILKPNPELAESIHKKCMGLNNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPTLPPEEVVYCVLPQTGYFEFIPLEKPKAEEMENSASIHYIESDPVGLTEVNIGKIYEVVLTTFGGLYRYRLGDVVKVVGFHNSTPELKFVCRRSLVLSINIDKNTEKDLQLAVEEAGKLLEPEELEIVDFTSSMEKSSDPGRYVIYWELSSDASEDVLGSCANCMDLAFVDGGYVGSRKVGSIGPLELRILKKGTFKEILHHFLGLGGNVSQFKTPRFVNPLNIKVLEILSRNTTKSYFSTAYGL >Dexi2B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:2B:23775286:23785544:-1 gene:Dexi2B01G0014230 transcript:Dexi2B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSKSKRNKKSKKPSAGKGDGEDMDALKSDVATFASSLGLVAGAGGPAGGFDDSDFRKSGPMQKPPKPPSDQPQQAPENTAKPQQNPRPAKKPHPLELHGPLTTTKPGAATTNYPLMKAAGLSGQWFTDADELEARVLGGRKQVPPAVGLQEMQRMVERKRELAEKLMAQYAREYDAVRRGHGDLKLLEISAKSGTSSDKVSAFTCLVEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLMRLLPDRKLKSLIQRPLDILPETKDGYSLLLFWHWEECLKQRYEKFVMSLEDAVKDMLPNLKDKAMKTVFILLKSKSEQERRLLTALVNKLGDPERKAASSAAYLLTCLLASHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQILLTPKGDGPKIAKRLVDVYIALFKVLMSSNDTKGDTRNKYSKETVEKGKMEGGNNKVKDSKSHGNNEVNSTAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVDVQTPILFRLVHAENFNVGVQALMLLFQISMKNQIASDRFYRALYAKLLSPAAVTSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVSLQRPPQYACGCLFILSEVLKAKSPLWAIVLQNESVDDGDEHFEDIVESPEDSSVGSAVLAKHNDKSAAHEKYNIDAVADSDNAKQVKLVERDENGENNASAEASRLHALYDPRHREPSYCNADRVSWWELTVLASHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDMNHRLIGEELLELAENEVPPEDIVFHRFYMNKSGPIKPKAKRKDSILDEDTGELLADNADDASDESGDEMQDLGDGLAEDGEYDYDDLDSNAFDEEKDLLGDDSDIELDDISDSSSMDGRSENDDDDIASFEDMDAEALDVGNSDANTSDEEMVDAGNGGGSNAKKKVSAPKRKHGVKSGRSPFASLDEYEHLMAGDANETKLKRKHKAIGRGGGEKKPKSRSQNKRSRSSK >Dexi1A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:1A:18507148:18507601:-1 gene:Dexi1A01G0013520 transcript:Dexi1A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILHLGAGEVDATEGTRVRGEGAGHRVGKTARGDLARDGAKADRGGAGSADSCGGTSPGKEVGVDEDERRRVPDAAPARGEHGGARGILDGRETMLRRISAGRRLMQSTPSGALARTAPESGAALAAGGWGRLVKALFVKVSTVSSVV >Dexi9A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:9A:9409238:9409909:1 gene:Dexi9A01G0014340 transcript:Dexi9A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLPLLLLAAAAADAATFTVTNNCPYTVWAAAVPGGGQQLDNGQTWTIQVPAGTTGGRVWARTGCSFDGNGNGQCQTGDCGGVLQCTAYGQPPNTLAEYGLNQYMGLDFFDISLVDGFNVPMDFLPAGDGSGCPKGGPRCDADVTAQCPAALQTTGGCNNPCTVYKTDEYCCTAPQSTCGPTDYSMYFKGRCPDAYSYPKDDASSTYTCTGGTNYSVIFCP >Dexi9B01G0034530.1:cds pep primary_assembly:Fonio_CM05836:9B:36442851:36445462:1 gene:Dexi9B01G0034530 transcript:Dexi9B01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGWFVKAAAVMLLLLLSMAPPGAAARRVGSTEPNTKSDVRDNGQSFVFNYTLAKAIVEYASAGFKVTCIIVDVQNCLQAFVGVDHNLNAIIVAIRGTQENSVQNWIKDMIWKQVDLNYPNMPNAKVWEHTVNGKTVFQVCDGSGEDPNCSRSVFVLFWSASDHLTYMGVKIEADDWSTCRIVLGQSVEQLRMNLASNIVTTGRSVDVVIADDSVQVD >Dexi9B01G0037380.1:cds pep primary_assembly:Fonio_CM05836:9B:38821580:38823688:1 gene:Dexi9B01G0037380 transcript:Dexi9B01G0037380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPSAAGAGAGGESSSQAAAVEPIRMPTAEEIKGQDIWNNCAVRSVVSGVMGGPKATCIGCAGFAAFSVAIEKFFDRHT >Dexi3B01G0031010.1:cds pep primary_assembly:Fonio_CM05836:3B:31350494:31351921:-1 gene:Dexi3B01G0031010 transcript:Dexi3B01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRGGSVEESTWVAEVEAAVADAGTSSEESARWRLRCIYHVPACVKDLNRKAYQPQVVSLGPFHHGEPQLVPMDAHKRRALVHFLCRARRPLAEFASAVAAAGDRLEGAYEGLPGEWRGGAERFVELMVTDGCFLLEVMRTASGWEVNDYAADDPVFSPHGLLYNVPYIRRDMIMIENQLPLLVLDRLLAVETGKDGNEELINRMVLMFLSPTAWPPTTGVGLALHPLDILRRSLLYGPRPPPPGPTSSPDDIIRSAEELYEAGVRFRRSATSSLLDIRFRRGTLYLPAIAVDDTTEYMLLNLMAFERLHAGAGNDVTAYVFFMDNMVGSARDVALLARRRVVHNTVGSDKAVARLLSGLSRDVVLEPNSPLDGVHREVNAYCRKPWNRWRANLVHTYFRSPWSFLSLAAAVFLLVMTVLQTVYTVMPYYGDKS >Dexi6A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:6A:10126414:10127194:-1 gene:Dexi6A01G0009100 transcript:Dexi6A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYPRLGLGLRLLLHAVAVVSLTAVATSTDTSNPFTWLDCPSPAPAPSPSSPASPTMNTSTFQSNVFALLDALPTAAAPTGFASLSRGDGTDRAFVRGLCRGDSTPENCTRYLQKAVLHIKAHCNSNRRAAIWYDKCFLSYADTNEPTTYEEGFRQGICHDRKISDSDMDAFNTKTYVYLMINISDGAAHGEEGSSSALPSAVPMFETGEAVYDRGAPNGTMYGMVQCMRDRTAAESALDIREG >Dexi5A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:5A:3888742:3889210:1 gene:Dexi5A01G0005110 transcript:Dexi5A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEKSKRIQPWIQAAMHFSLCFVIGALTALAPLAATGAPSAANIRASFLGRPFDNAQRALAAAPPVPDLGLLLIVTATRPDAGIAQDASLARLAHTLRHVAPPLLWIVVGAENRTATARAVKVLRGTGVMFRHLTYDARTWR >Dexi2A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:2A:6767131:6773165:-1 gene:Dexi2A01G0007040 transcript:Dexi2A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGEDNIVTASLEDLSSEDHERFMALQKHLEAEFLKTFRKGHPATTQYVQTEHGQCKWFGSGWICSQSDCPAGQTVATGGHTACSGGQPNLTNAMVFVPEQPLPLSTVPDSVSAGRAAGLLQAVRPAAPGGQTACPHGRPKMLIPKRAEVGTWKMNVAKEQGVQKPKVTFDMLYDKYTKQKAVPSDRPLKRRMRSPIQTRGFLRLLTTRQGQPPRVPPRQVYRPKRKEEVQSMDAVQTACHLETAAQAVRPPVQAVRPGDAQAPSVSSSSFSARDGKSTSVLTAEDDDELVDYSSSPERMNVDVNLIKTNMTVSGIEGGEPIGAKGVISLELTIGSKTLATAFFVAETQGGSTASYSQSDRHGRSNRQYRRSDRLHL >Dexi4B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:4B:11068807:11071029:1 gene:Dexi4B01G0012980 transcript:Dexi4B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGLNRAAATPRDGQEGEDARVFRSTDYSLPRTTLALALWLGGIHFNVLLVLASLFLLSRRTAAIVVAFQLFFMFVPVNDRDKWGRSIARFIFKHAMGYFPISLHVEDYKAFDPSRSYVFGYEPHSVLPIGLSALADLVGFMPLTKVKVLASSAVFYTPFLRQIWTWLGLVAFLKTRKGFVKIAMQSGCPLVPVFCFGQINEVHERFIIALRELFEKYKGKAGYPGLHLRVL >Dexi2A01G0025850.1:cds pep primary_assembly:Fonio_CM05836:2A:37501235:37506099:1 gene:Dexi2A01G0025850 transcript:Dexi2A01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRPNNRIFPDERQNLSKPLYHTSRADRFGANRIDVKNPEKLKTYLQSISKRLEEWRLRQRDMDEWMRHHQLPAHLQERVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSFLCPENTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRLESYSWWSEDHPTADKPKQEGTSSGGTRTIAEGAIAHMHKLASASRRFRNEDSAIRRLQKPDEPDFSADHFD >Dexi3B01G0021240.1:cds pep primary_assembly:Fonio_CM05836:3B:16147406:16152940:-1 gene:Dexi3B01G0021240 transcript:Dexi3B01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSEARLVEDLRDFLDDEEFDTHDPKENSSLLPFLRKRSKIIEIVAARDIVFALSQAGICAAFSRETNQKICFLNGSPDEVIRSLFYNKNNDSLITVSVYGSENYSALRCRTTRIEYIRRGQPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSVSDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRAGSINISSILTGKCLAKINGGSGNSCKPKKAWKFQNTVSEALEDITALYYDEERDEIYTGNRHGLVHVWSN >Dexi3A01G0027230.1:cds pep primary_assembly:Fonio_CM05836:3A:24071741:24076929:1 gene:Dexi3A01G0027230 transcript:Dexi3A01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVDKATNELLLGPDWTLNIDICDAVNFDHGQAKEVIKALKKRIQHKNANVQFLALTLLETLIKNCGDCVHVQVIERNILEDMMKIVKKKADMQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDAAPIFTPPVTRPASLPSYLQAGYGMPVDSSSRLDEAMSSNGASLSMPELERMLGAVELLNEMLRAVDPNDLYAVNDEIIMELVNQCRSDQKKILSLVSSLRDEELLGQALDLNDKLQFLLEKHDAMASGSLPTEETDVELKIQTHKQRKHLFLFRRIFVNHP >Dexi8A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:8A:5006321:5007504:1 gene:Dexi8A01G0005450 transcript:Dexi8A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGKAKRCLDTYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDRATPTVEFTQTEHGRRNSCDMMGFYRQSLFTQSPVAIPSRYLDVSDNSASTTENASYTSEVGHNSVETPREFQTHHTMNPSPSYQQSLLERTSFVQDQCESTIANCESSTKLDCRKRKLSFQQPAVIPVINLEPEPALEASSHMGTGVTDDNYYDDAFFENLDLDAIEAEATERLRQKTTQSQKPVETKKASELSFAPPSFDLGF >Dexi4B01G0013100.1:cds pep primary_assembly:Fonio_CM05836:4B:11293438:11294109:1 gene:Dexi4B01G0013100 transcript:Dexi4B01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPPPFALSSLSSIASNLRSNDTSATTLEWAMAELMRNPTVMSKLQDEVRGAFAATMKVSEGGLDELSYLHMVIKETLRLHLPAPLLLPRESQEQCQILGYDVPKGTMVMVNAGALSTDPEYWDEPQEFRPERFADSEIDFKGNEFEFIPFGAGRRICPGMFFGLANVELALANLAFYFDWSLPEGLSCSELDMTETAGVTVRKKTDLWVRATPSSLLPR >Dexi4A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:4A:23703569:23707541:-1 gene:Dexi4A01G0019900 transcript:Dexi4A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSQEEHRLQSRPETTGPDGLRKSKSDSKAIASVLAPPKDVMDLQVEGYGNVNIFTYDELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSRQVAVKELNPEGFQGDKEWLIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPTREHNLVDWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFETVQNMPECQDILLQNSITGAVTLYEVPKEPVESVEKEKAKLEPEVKSAAVPPVNGKPVPQSRRTRPGNGRSKSEPSLECKMYIPSPDSDGQQLGLEALASPSINGSIQDPPDEDLYKI >Dexi2B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:2B:9984072:9990448:1 gene:Dexi2B01G0009210 transcript:Dexi2B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLAEGVFTDGHSNCPITKLSLGGENLEPTHNHTLHHLIQLLLADIVAESDHNCPWGQNRTRAVEASAMATLVELLLNKGCNDRNGGGVRGMRASKLVAVARAADGHREFHVWEIDQRRSSLGFSIKVQVKKRSSVSEVVVTPENKTVVSSDNFLRVNLIGEFGGYDHIPAFENMYLVTPRKGAGSGQPQDLGDEKSRWMLLERIRFGPECNKIGVGYEAFQNQPSFCYAPLSSCVNDQLWNYLEITLLIHPQQNAEKMSNVPHFHIRSPGKIISIDVPPFEALSQIGNATVTTKNIGKLESSYTLTFKCLTGISNMEEQYYIMKPGEVTIRSFDLRSSTDRAETYQCTAILKASDFTEVDRGQCQFSTTATVFNNGSQIGSTNDLKETGIWEAIKAFCVNFWDFVINFLTGRSCSWTKCSSLLDFACHFQYICIGWVIIICLLLTMVPTGAVTLWLLHQKGFFDPLCDWWEDLWGLDTHDYRDHHHRRHKKGHHHHHHHHRHSHHHHALKSEHGHHHVLHRHREQQPEAAAEDEGHHWRHGHDTALGVQHRGVAEHKYRHGKAVAQYFDGPSLPRGAEEALEFRERRPDEVRHAQHGLHDGERRHSRAPGYERF >Dexi6A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:6A:21670911:21671404:1 gene:Dexi6A01G0014290 transcript:Dexi6A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQDDPRPSGGLNGLYGVQFSGQSLYSDDEAVKTSIMDSSTSEPLEGVGSSRRLLIQRLWQHRPPCLKPIHCSLSCDKHVGETIANVVTSLPFIVLGLQTPRQVHI >Dexi5B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:5B:5303960:5304708:-1 gene:Dexi5B01G0007840 transcript:Dexi5B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPALPLLLLASFLLWPAAASAAPAQPSSSCWPKACGDVNITYPFWLEESGKPACGPPGFQVKCNSSGAFLSRTPYQAYRVVAIFAENKSLHVVDNNLPLDTGCPAPTFNVSLAPKPLVFSESNKDLLILGKCTGASSKVPAGFRSLSCDNSSFVRLGDGRNFTRDHIYGGIPPGCLFSVVPILGVGALDGNGDDYLRSMRNGFLLEWTDVPAGDCPECVERGESH >Dexi5B01G0025780.1:cds pep primary_assembly:Fonio_CM05836:5B:27724688:27726150:1 gene:Dexi5B01G0025780 transcript:Dexi5B01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGAMSARPRGLREETLLRVPGASVHLVSGSGDVPVELARGGDLTVVRITKDDVAVATAVRVGRDLGWPLARDEPVVKLDRLHYLFTLPDRDGTFLNYGVSFDAGAAADAAALASLDAFLRSNACFSEPSSPAGPSKSFFWSRPPPQQQPAASDAYWNDFAPRVQGYNVVLAAAIAAGTGQLVRGIFMCSEAYASQVQRGADLFKFGGTVRNSQPASTKPGAVNQSLKRVRKLSEMTEQMSQSLLDTVIAVTGSMAAPLLRSKQGRPRHRSRRDKVMDAVEAAERRSLAATSNAVSGAVSRRYGESAGEATQDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKTAVRNRK >Dexi9B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:9B:1796397:1797229:-1 gene:Dexi9B01G0003150 transcript:Dexi9B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDSHDPSGADKGFHGGYPGGYGQYPAGYSASPGAYPPGQGYPVAPGGYPPQGGYPQPGGYPAAHGAYPPEAYPPSGYPQQPGYPPAGYPGHGQPMPGHGAMYGGGHGAGGSAGYGAVIAGGAAAAAAAYGAHKISHGHGGGYGMHGHGHHGKFKHGKFKHGKFGKHKKMFGKHKKMFGRKWK >Dexi8B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:8B:27586122:27587027:-1 gene:Dexi8B01G0016400 transcript:Dexi8B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGSLRELRVLRINCFVPLSPQSQIDMVESLRNLEKIEHLSAVLRWDLSCDTSAWEAAGFLLSERLRQLCLGEISFTRLPSSCINPSRLGYLSHLSLKLDAIDEQELTILGLLPELRFLDLSLKSPTEMECNTTTDAAGDGGRLLFQKLRSFSLNCRNDFCLLLSMDDDSIGFSVCITNVYASLLPGSEWEGVCSRGGLMPTLMPHVQVLSFDVPILLCNKANENWGDGGNCRLCLEDLASLQNIRVYLNCIRANVAEVEEVDAALGGAANVHPNCPKLDMRRINQYFMISAAQDQEVG >Dexi4A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:4A:8419550:8421202:1 gene:Dexi4A01G0010520 transcript:Dexi4A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIVERKFCRCGRFPPEVRTAIPVEGRFEHVVLSCSTTSDHAIAWIERESQKALELMKESENAMAPPPQQKMERLQSFEEEHKSALQRAKTLDVPHASDLSEEEIQVDGSTTPPSDTHSETTTEPKSAGRTSWDELMEKLFTRDEDGKLVVKKDMAKEIAVE >Dexi5B01G0034720.1:cds pep primary_assembly:Fonio_CM05836:5B:34904669:34905334:-1 gene:Dexi5B01G0034720 transcript:Dexi5B01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKQFASLRMISLPYTLATILIAAWQSPHTRRFLNTRMGAATGTASSPKATASLSLWYASAFSLPSGASSCSAQSVSGTYPSALILSVISSTFLKISAARGWPWSSTWNRCVTPFTPTQLHPGSLLIPLDFIRARILATSPHLLALELESITYGTASVGSSLHTISARRSASSTFRCTRQAPNNAFHTVASSSVELRLSMSMASSSRPARPNKSTMHA >Dexi1A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:1A:2462309:2470193:1 gene:Dexi1A01G0003410 transcript:Dexi1A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSAGPPASPSSRSHGNGAGAGSATAMMLPGPPGRGNGGCIDLSPPGLLAHGAGSSVVVSDPRSMQLLCVLPMPSAALASFVTAVRWAPPAPSSLAGNDDDDERRPLRLAAGDRHGRIAVWDARARAVLCWLSLDEARGVAPGSSGGVQDICWVQRDSGWLLASIHGPSLLCIWDTANNPRVLWMFDAAPEYLSCLRRDPFDARHLCAIGLRGFLLSAVARHESDISLQEHRVTCGAGDVTDLQKLEKEIAAPAPAPALAAFPQFATRICFSPLWRQILFVTFPRELMVFDLSYSTALSVSPLPRGFGKFSDVMADPDLDLLYCTHIDGKLSIWRRKEINSSVCLYPPVFCVLLISHIHYALQINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTIEVVDVMANAVSVSFSVHSGTVRGLRWLGNSRLVSFSYNQVNDKTGGYNNKLIITCLRSGLNRPFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPGQNASSKQSSTSKERSAEASGAENSDETCESFSFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVDSPDPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNMYALRMVLQLGVKPTWFQCNNSDRLASDSFKLASTFGDLRSYMIETTLPPIGDAVVAELLLKVLEPYRKDGCILDDGRARLYSAIVNKGTYASLAIVQNYGQLSSMAFKQEQLWFNANERIPWHDKLDGEEALQKRIHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKVSHHNGALHACLFRPWSI >Dexi1A01G0021380.1:cds pep primary_assembly:Fonio_CM05836:1A:28151082:28152959:1 gene:Dexi1A01G0021380 transcript:Dexi1A01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKPEAAAKQPMEAEAGDVLDPRELVSSDDEIDYSVEPEFYDPELDDVDERWMNRQRKGHTSDAVLSCPACFTTLCVDCQRHEKYVNQYRAMFVRNCKVKTDQILREGKSKKKNRKGKADDPSTASEPESKGQAYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >Dexi9A01G0038630.1:cds pep primary_assembly:Fonio_CM05836:9A:42789952:42790413:-1 gene:Dexi9A01G0038630 transcript:Dexi9A01G0038630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTSREEEVEPASSRLIPSERSSSLISPTRSFPLVLRGELSPRGDASSRTFARAEGGPPQLPCPSPPHLPCSRRCAAWDGGRDERGAMAAEKEELSRRHAGEEGEAEEVHEGEGEEEAEARERGDDGGACDAEKTSLLEKYLETRLRWGK >Dexi9A01G0024660.1:cds pep primary_assembly:Fonio_CM05836:9A:21176155:21177492:-1 gene:Dexi9A01G0024660 transcript:Dexi9A01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTKISLPRQQHRLLQPLHSPKHKRVTAVPPAGLLQELFGDALPPKQRCASDETLAVHAGEKLGKGPDEAGTNLIATPIVSGTTHWFKSSEDLIAFKEGRRHSHEYGRYSNPTVKVLEDKISTLERAQATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFIGERLSKMGIRSTFIDLDDIESLETVLEKDEVTLFYADSPTNPLLKCVDIRLVAELCHRKGTLVCIDSTLASPINQKPLTLGADIVLHSATKYMAGHHDVIAGCVSGSQALISKIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTALEMARLLECHPKIERVHYPGLESNPWHQVALSQMTGYGGVVSFEVKSNLHGTMKFVDALEIPLIATSLGGCESLVQQPAVMSFWGKTDEDKAQNGIKDNLVRFSFGIEKFEDLRDDILQALEKI >Dexi3A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:3A:16018384:16018944:-1 gene:Dexi3A01G0020120 transcript:Dexi3A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVLVSRLLTTTANDDNPVASCPSDTNYTHGSAFQANLDILLSSLPATTAGASTGFATNTTGSSCSAAPTSSTRRSATHASMARRSTSASKCAGEETAMLSSTTTACCGTPPTSASWRRRHVTSGHLGPGSTRMRHACLLRHGAVHAGPCVVGLSRDAATGGRVGGCHTCGHGPYIASPHMSDM >Dexi3A01G0029710.1:cds pep primary_assembly:Fonio_CM05836:3A:31981303:31982152:1 gene:Dexi3A01G0029710 transcript:Dexi3A01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDHTAGGPHAHRPSPPPPPSSSDAGKRRRLPNVRLAGSIPPPSHLPHPRRIPRRRLPNVRLAGSIPPPSHLPHPRRIPVIPATRSRIPRHLQHQHDDDNNHSPHSEEPTQTPPKPSTTSGDDLVLAAAFPRKPRGAVAAAERANGRADETDAERETEEYGEEEVADVAGWLWRMGMGRYAAAFQAHEVDAEVLPWLTMEDLRDMGIGAVGARRKLFCAIQRLATPPQPPRR >Dexi1B01G0031680.1:cds pep primary_assembly:Fonio_CM05836:1B:35135531:35138715:1 gene:Dexi1B01G0031680 transcript:Dexi1B01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVALPPLLIVCLLHLQYSTLVFAQEGQEKDPATLFARASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRKRASVLRHKCRYKEAESDYNKYLDLNHGSASVEKELSQLLHAQNSLQSAYGQFNSGDFSKVLEYINKIVLVFSPGCLKAKLLKAKALLALKDYSGVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSDLKKAYFALKNLLKKAKSAEDNAAKGKLRVSAEDYKTALAMDPDHTAYNVHLYLGLCKTLVKLGRGKDAINSCTEALNIDSDLVDALTQRGEAKLLLEDWEGAVQDLKEAAQKSPQDTGIREALMRAEKQLKLSQRKDWYKILGLSKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDVDEMNMGGGGGGFNPFAGGGQQYTFHFDGGFPGGGFPGGGGFQFNFG >Dexi7A01G0020120.1:cds pep primary_assembly:Fonio_CM05836:7A:29025402:29025656:-1 gene:Dexi7A01G0020120 transcript:Dexi7A01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWMVAMSVGAVEALKDQVGLCRWNYALRSVHRNAKANVRSLAQTKKIAPAAAAERRRPEKAEEGMRTVMYLSCWGPN >DexiUA01G0023800.1:cds pep primary_assembly:Fonio_CM05836:UA:48618464:48620086:1 gene:DexiUA01G0023800 transcript:DexiUA01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQVVVILLDVMAFGLGVAAEQRRSRATVTPDPAQEYDYCVYDSDIATGYGVGALLLLAAAQVVLMTASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEACLMAGSVRNAYHTRYRGIFNGDPLSCETVRKGVFAAGAAFTFFTAILGEVYYLSYSKSRDAAGGAPYGGSSIGMGPYN >Dexi6A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:6A:26396741:26397397:1 gene:Dexi6A01G0018650 transcript:Dexi6A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGEGSDVAFSVGCETFPAHRAVLASRSPVFRAELLGPMAESTMPTITLLDMDPGVFSAMLRFMYTDSLPEDEDAGDDLHLLHGLLVAADRYAVETLKLVCEQRMSEVVTAENVVRVHGCPELKRRCLEFLAMEDKNLEEAAMTPEYQQLVESFPSVVEKIRARVEEDRERRRVALLEKKKASTSNDW >Dexi5B01G0021610.1:cds pep primary_assembly:Fonio_CM05836:5B:23888310:23889509:1 gene:Dexi5B01G0021610 transcript:Dexi5B01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYALVLSYGRNGGSPRGAAPAVQVRDAASPGFPLLVQPPPDWAEGLPLDVMLCIFHRLGHVQIMMGADKVCQAWRHAAREEPELYRRIDMRGHGDLAYRGLADLDKMAAMAVRRSRGQCRAFLGECAGNKDGFLLHLAHQAPLLKSLTLISCNVISRRGFMDAIKMFPLLEELQISDCWKVSRFRVLEVVSQACPSLKHLRYHHPYWDDYTESEFFDMEAMEIAKMHELRSLQLFHNQLTNEGLSAILDGCPHLESLDVRGCYCVDLHDEAMRAKCARIKRKKLFTPNPEDECEEFEPASPISNKWHPRKYPKPWTCLDRDDYADYYDPCYGLDNLDEIGLEVHDRMLCKRARRYLIMGWTGIM >Dexi5B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:5B:3396328:3402735:1 gene:Dexi5B01G0005060 transcript:Dexi5B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGMVAGSRDGVVTIRHYGDGGPTVRSFSACASLLLISSATARASLRLVSGSRGARGEPSICGGGRAPPAGLGRLRVGLAGRAAGSPRVPGDDEEDGVDDLDNEFNYEKGNGKGAQLQLQGQGEDVDFSSSSRHEPHHRIPCLTSGQQISGDIPDASPDSQSIRSPTPSYVDPSIPVPVRIVDPSKDLNSYGVGSVDWKERVESWRVKQEKNMIQVAHKYAAEGKGDLEGTGSNGEDLQMADEARLPLSRIVPISPNELNLYRIVIVLRLIILCFFFQYRITHPVWDAYGLWLVSVICEVWFALSWLLDQFPKWYPINRETYLDRLALRYDKEGEPSMLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMADGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLSEADLEPNIIIKSCCSGRKKKDKSYIDSKDRAMNRTESSAPIFNMEDIEEGFEGYEDERSPVMSQKSLEKRFGQSPIFIASTFMYTGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPLRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPLTSIPLIAYCVLPAICLLTNKFIIPEVGSPKSIALQLSTLVLLILLVPAIYWLVLKISNYAGVFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEEGDFAELYVFKWTSLLIPPTTVLVINLVGIVAGVSYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSVLLASIFSLLWVKIDPFISPTQKAISRGQCGVNC >Dexi6B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:6B:23628472:23631237:1 gene:Dexi6B01G0016350 transcript:Dexi6B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGKHTSPAILSPGGIKASHGQARRSSTSRPATRAPHPSTTLDRPINHPTVRPVAAGATDKHGSSSSSEDMEAPLLPPPPASDGTGKDLPAAAAWVRAAVAHGYPAVGSGPAACAAVCLLVDLGEAHRGARDMLAVLAWVFLWWVTGAVPLAVASMAPLFLFPLFGVSAADDVAKAYMDDVISLVLGSFILALAIEHYQIHRRLALNITSLFCGDPVRPPLLLLGITGTTFFLSMWIHNTACTVMMMPVATGILQRLPRGGGGGGSCHGEEEEEEEVRRFSKAVVLAVVYASAIGGMATLTGTGVNIILVGMWSTYFPNKEPITFSSWMSFGLPMALIIFLALWVTLCLMYCSENTGKALSAYLDRSHLRRELSLLDSIPGWGALFHNNVGDGTVTIMMATLLFIIPSGKDKGEKLMDWNKCRKLQWDIILLLGAGFAIADGFRSSGLTDILSEGLSFLKGAQTVVIVPVTCTVSAVITEFTSDDATTTLVLPLFAELAKSINVHPALLMISGAVGAQLSYLLPTGSPSNVVGFSTNQIAIKDLVITGLPLKIVGIASLTILLPTLGSQLFGIDSRS >Dexi9B01G0022830.1:cds pep primary_assembly:Fonio_CM05836:9B:17712642:17716570:1 gene:Dexi9B01G0022830 transcript:Dexi9B01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLQCPLHPGNWQTLLPESRSRHGIPLHLLPPHLMGTLLTGRPQPSGSHADQHLREPPPNRPVLAPADQLREPGLKEKLSIGTGGRDKDESVRTEERERKRAHTAPNGFAGLIGSCCCLLAFFLLHLRSGQPRRWLDCSPGRRLPAAGDEARRCDLEKRTCERNRRRQSGRVGKCYQRQSRPSSTTEHLEAAAITGHRSTADPHAGDFSIDEDRQDEKLVLPDDVLLAILSRLAPRSLATSPTPAAFCPETSCPYDFAEFLSRPSAKSVNYPPYMCVRDHCNGLLLLDDCVLNPVTGRRTPFPECPSTAIVMALVPLRPKCLLVEDRVMAEEIL >Dexi8A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:8A:11491070:11493212:1 gene:Dexi8A01G0009050 transcript:Dexi8A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYHPNHLTLCTVPSAPFFAAASSSGQVAQNIGLPRVSHKRGVKAAIGGTKLSAWSNVRQEHWEGDLAVEGQLPAWLNGTYLRNGPGLWDVGEHSFHPIFDGYATLVRISFQRGRATGAHRLIESEAYKAAKANGRPLYREFSQLCPRNPGNLLDRMRNIVRLGSGTALSDNANISVFPLGDGRVICLTETTKSSVLIDPDTLETIGKFRYADRLWGLLQSTHPVVTRTEFLTLLPDLFRRGHRVVRMAAGSNERKVIGRVHCRGGLAPGWVHSFAVTENYIIVPKMPLRYSINGVLKSELTPWYIFDWVPESGSYMHVICRFTGKTVASVEVPPFMALHFINAYEQRDGIGDRTDVVIADCCEYYADPAIIEALALHRLRSPGINENAFPEARVARFRIPLDGTLSGELETMVDPDMHGRGVELCSINPEYQGKEYGYVYACGARRPCNFFNSLTKIDLVEKEAKNWYEPGSVPSEPLFVGRPGGTHEDDGVVIAIVSTIEGEGYALVLDAATFQEIARVRFPYGLPYGFHGCWIPREM >Dexi2A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:2A:33108175:33108587:1 gene:Dexi2A01G0020890 transcript:Dexi2A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASPAVGHAAAAKDGGREGAGRATFGVAGNEEPMAAEGVPGRGVDVKGAGPSDGVGRHLGLRGMRSRWQRRGSLEGGWM >Dexi2B01G0028870.1:cds pep primary_assembly:Fonio_CM05836:2B:37409731:37411094:1 gene:Dexi2B01G0028870 transcript:Dexi2B01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAASLRLASPPLSAPAAAASSFSSTTLRFPLRRRGAPRLLLALAAFKKLSEASPVPIPPEPTQPLLDADALPPKPGVYGCYDAAGDLQFVGISRNVRVSVEGHRRKVPADLCASVKVSVADEETPDRAVLTDAWKSWMKEHIDSTGKAPPGNVAGNNTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILESQGVDFVTVDVLDEEHNHGLRETLKTYSNWPTFPQIFVGGELLGGCDIISSMAEKGELSAMLQK >Dexi3A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:3A:1102235:1103827:-1 gene:Dexi3A01G0001630 transcript:Dexi3A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNELNPPLNSETAHPSSAFRDNLNSSSDDDENVSEEDGDLSSDEDRAEENKGDGNNWMDDFMDPNSKDMEKNGNLESLMEHRKAKNNLKLELDRRLMDMQTADAIQKMEEASRFPVQLEKPEVSEKDASGDHSGKDSEQAWNNGKLFGSLEPHVGDEIKILDSFYIQKSISGTSEVNDSVSAGCEQLLFCSLSEEYSPDEHIDEADSIGEVNSLFKCRMEDVLVQSISESGIDQPFAGKLEHGLNDTLSTESVMPVVEARSVEELNLQFAQLNGEELECAASDSSCDNEPIQYRSSESLHLENGHNPVAVMVQCKSEELFTEDSKLPVLEASSAEEMNSTFKQLEDEGPTQMLHSPELTVVEHNGETDSGVLIPDANSRE >Dexi6A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:6A:6809235:6809606:-1 gene:Dexi6A01G0006980 transcript:Dexi6A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRHISGHACRRREEAAAAPAARDWADGLGTDMLLAILSRVDHVDILMSGDSVCRTWRRAARDEPTLWRRVVMRGGHAGTMPRRLIRCGAACEALRRSAGLCEAFCVEYAADDGFLIYLSEM >Dexi8A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:8A:15228189:15229403:1 gene:Dexi8A01G0009800 transcript:Dexi8A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAWCFSFTTSLISLVEFLPGISASTPQQQCADNAGSHAGVRGGYWSPSSSRYSPVSSIDASIYTHLYYSSVSIDETSYAVAPPPAEESSQLAAFSSTVKSGSPSIKTILSIGTNEYKVDVSNAAFSRMASDKYLRGVFINSSMELARANGFDGLDLSWIFPATQMDMENLGVLLAEWRARVMEESTTNSLSEPLLLTATLYFSNHLFDLPDSNLDYPVDDISNNLDWANILTFGFHGGSNVTTFDAPLYDTTSHFSVSYGVISWLDAGVPPCKLVMGIPLFGRSWFLRNKTKNGIRSPTAAAGTKQRKSNLTGIIAYAEVEEYMDSASTVITYDNQSVAEYFYNGDIWVCFDSAQVVQHKLEFAARSRLLGYFLWTIGFDDSNSTVSQQGQQLLNLANIYVN >Dexi2A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:2A:8643659:8644133:1 gene:Dexi2A01G0008610 transcript:Dexi2A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPKLSAVILLLLLLGSTEMQGSVRLAVATRECHSQSHRFKGPCVRDANCATVCLTEGFTGGKCEGFRSRCFCIKSC >Dexi9B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:9B:11157027:11161500:1 gene:Dexi9B01G0016310 transcript:Dexi9B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVAPVKMNFHIYATCVIPIGAFFALSL >Dexi7A01G0022540.1:cds pep primary_assembly:Fonio_CM05836:7A:30765956:30766832:-1 gene:Dexi7A01G0022540 transcript:Dexi7A01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWMHPRTSTSPPPSSLRPPMSDTRSAMVRRRAGAKNWTRDGLRTPAARFRRSDRQIGPWGAELTVLCSLVSTRNGAMAGGRLAKDGPSWTSARWVAPRPETKMEGRGERKRSASTGPCRRCSSLIAGSRRLRARRSQRRGPTTGMDGGDGGSGRRTPLPLALDDGFIAMKSRRSTAQVRSGRNSRYAPASIVELPVVAGAPPAIALGAESGARKTTAAATDVAEPYVAGAVALHPCAAQPPEQADTATAASHRADVARPCFDDGC >Dexi1A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:1A:23557951:23560794:1 gene:Dexi1A01G0016380 transcript:Dexi1A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLADLAASMNGVGKAAAAAAEPLPMELEPPADVMAAAAEAETEGKAAAAANGKREIVMRRNVHTSCFAVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKEEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKLVQNRDKPAIINVNIGTTVKGAVDDLDLVIKILEENGFKDRFYIHCDGALFGLMIPFVKKAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLREAGIGAMLNELSSTVVFERPKDGEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFVNELVEKRATWYQDGTSQPPCIARDVGVENCLCGLHK >Dexi5B01G0027120.1:cds pep primary_assembly:Fonio_CM05836:5B:28735284:28737102:1 gene:Dexi5B01G0027120 transcript:Dexi5B01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAMRGWLQPALLLVLSGLAVVVVRGEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFPGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASSDQLLTGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVSILGDEDSAASHLSRCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPEQYADALVDQYSQQLRTLYSYGARKVALMGVGQVGCSPNELAQHSPDGTTCVAEINSAIDIFNRKLVALVDQFNTLPGAHFTYINAYGIFQDILRAPGSHGLTVTNRGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPTEAANILVGRRAYSAVLASDVHPVDLRTLAQL >Dexi3B01G0033630.1:cds pep primary_assembly:Fonio_CM05836:3B:36383999:36389407:-1 gene:Dexi3B01G0033630 transcript:Dexi3B01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHRWWGNDKRSAIARQRKLEETAEDVLEGLKEYDLSNRPEVMIINRYVRFRTRVMLVFKAVGSLALLWSAAVHLGGFVSWMKQEDFWYLTVIGFIQAAGISAAEILKHLCAHSTGGHSFCKAVLSKVLEELLHINTTGTELEAGNNVIMGSTSASRRNDVETPPPPSHHQDEPQIPGSNKQQCDKRRFQAALLSLYAAIRPSYARDFAKLIVEQLVAINDFPGKIKVMIKENSYGTPACLAMLKIICEMVTLLVQHGCYIQDFKDKKIIDALSEASKTVASLEGCMLFAGMDVDSYGVPLKPVSSALVEKARELLDSQVANDSIP >Dexi2A01G0024070.1:cds pep primary_assembly:Fonio_CM05836:2A:35723032:35723415:-1 gene:Dexi2A01G0024070 transcript:Dexi2A01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVLRVPAPIPPPLLPAPTRPLLVLRRCRSSRLPPAAPMASADGSAAASPAPAPTPAPAPVPLPEPPEKPLPGDCCGSGCVRCVWDIYFDELDAYDKALAAHAAYSGSGGKEPSADTKPSDGAKS >Dexi4B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:4B:254941:255786:-1 gene:Dexi4B01G0000410 transcript:Dexi4B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALEDDRSALARGRFVLYLYNSKVHSWSVANVSLEAQHWQRYQDDGYFMHRNTRAIAVGGADATIAFVDLWRGILLCDLSHAKDKPWLRYSCLDSQLLPKPVDNEQPFLTLNVAHPTLSWHDDHTVWFMLKMAQFDAKAWVIAVDVVNNRLQGVAHFDAERYTAIGFAYLHSRISKYLNKATTSSSPHCKH >Dexi9B01G0025770.1:cds pep primary_assembly:Fonio_CM05836:9B:27157375:27159322:1 gene:Dexi9B01G0025770 transcript:Dexi9B01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRSEPQLVSPARPTPHETKALSDIDDQHLLRYYETVVGFFRTCPGQCDSRPADLMRAIKAALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVVFVEADADVRLEEFGEPLLPPYPCLEELLCDPGDIKEVIGRPLLFMQVTELKCGGFAAGFHMCHNIADGFGMIQFMITVAELAVGDASPSILPVWNRELLSTAHSPSPITYPNPAYKPLLNSLDFTSDDVMLSTPPNRMKAEYIVFGQREVTTLRSHLPGYLSDSVTSFEILTAFMWRCRTIALGYESSQRVRLMITMNARGRWNRHTLIPWGYYGNAHFSPIAELTVDELCRQPLADTVELVRKTKLSVTKECMRSIVDTTAYIRQWPSLVTMDKTYEVSDTRWIAAGNGLQLGWAEYVGGGIPLAGDLTSKLGSHHMRCKNEDGEDSTVVSLLLPTSAMERFKKEMDVWLNKHENNLILPSSL >Dexi3A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:3A:209978:212360:-1 gene:Dexi3A01G0000200 transcript:Dexi3A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASDRPFEAFLAATRGAIAHLHLPIIHIPGSNSSPKEHKQKQQEPEPEPDCFLHLHVVVTNFLHKPLRSFSRCLVKPKRRGGKHSRPLRDREPSAAAAPQQQLEHLLCIAFDAFGHSLHVLECACKQKGAEFGIATRQFHQFEFLRKVTDGKRADFDGFLSNLGFAKVGALPPPAAIMGAPPDPAQVSDQEEDGGGIPGDGVDNANGTMQPSQKLPGRLLNIPLSNVERLQSTLSSVSLTELIELVPQLRSRSSTSADGHPDKKKLFSVQDFFRYAEFEEMVMAKSL >Dexi9A01G0041990.1:cds pep primary_assembly:Fonio_CM05836:9A:45548000:45565132:1 gene:Dexi9A01G0041990 transcript:Dexi9A01G0041990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPSASGSGIWSRRRDEITFDRLQKVFEDAIIVDWRHCLSEPDGSYHHFEWAIGTDEGESDIFGFEDVGLNAQVHRNGINLDQFEDYFITLRAWRLDGHYTELCVKAHALKGQSCVHHRLIVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGEGSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALRLLEERVHIACKEIITLEKQNKLLEEEEKEKREEQERRMKRRTRDREKKLRRKERLKEKENKGKRLVEPKSPDDASSSALSNSSTSTNDDSTNTLDSRDSATEEEDDAEVVNLSGKEMKVARKSGIEKPRVQYRRCYPLDSFVVSKGTRIGSTQKNANPKQVWEPMDSRKKTPLDSTVNAAASVDNVDPLKPVDCDTSGCQKLGSGFESQPLASESSRDVCKSDQPCGITERSQAAARDDTLAANKQNCYPGNDEGSMRQEEMMTNSAGSDSSSSYMSEGDRESSSSSMTSSSTQNPESSSSDESEESPDGTKSTYNNVNPYLAPAFSHMPTEPVHKTAVSFRAMPPAPPFQSGPQQIAGHAHIDMNLERHPSKLKTLLGKDLLEDKNKSGLKDPPEDKNKSQEADAAFSLFQFNLPIASPVTPSSKSKDVKNGELVSRTPMGTSFDQSAVLSINQRKMNEKMDFAPQLNKQNLDGRTIQSQLTAWMEEKIAKKFMKELWSLLLSEQEGGHTLPQQLPGSGPSIQQDGDPGNIRSSGGPTASNNTIINAIEEKELDLRKAQAEVSISSTIHVTDTVSIPFKIIAAYKDPGITRRLFERALDSVGSKSGSSRVWDLFIEYESSKMA >Dexi8A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:8A:27587110:27591147:-1 gene:Dexi8A01G0016300 transcript:Dexi8A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATGAVSSLLVVIRNEAVLLRGVRDDVQFIKEEMESMNSFLAYLGRSTPPSGEHDEQVRTWMNQVRLLAQDCHGCIDLYLYSGNPEIHRAKSKLRRHLWWVYWFLRKLYAQHRAAVHLQQLKERARDVGERRLRYGVEVPGKSAEAVAKSLGRGASAMPGGGGGGDEEDEDQLVGPWGIMAAGDSGGGRRASIEPRTLDDYVEAKLWEWVRSIPVNAGESLSMVIVAPYTYQVQDLLALVDEIWVSQGLGYQRIVLVDIQAVHPDSMRLRAKDVLFYILRELKHAKSRPHPYHKEQDPEQSDLDPWEAYFRKLQIYNEKKMLLAHLKIKENMQAMKVHEKLQKIKGDIQDRLEKGSGLPNRNKLQGEVDKLDLDVQLQLLLQAAVAASQQEQGKNRDMQSLPARIVKKLKEHLEAEEKDKRQLEDLEEQPKHSMGIEGGDEEDDDDDDDVEGDEEIQEIKSTRIHLDEAQYAHILRKLFPKSSNRMPVQAQDKPLDKQPTTDTLDGDQIKQMIHDVKKDILRELQEGTYGKSEIRIGENGVPDQKPETDSEKIGQMMDKIEEEFKEQLKIKGLMEEIKRNLKYRRHFEQYECPLFVLKVDELTDMDVSTLEDTRNALSLLNNSADIMVVTTTKDIRLGKEYCYPQLEPIDYSLTGLYNDTMLKLTSQLKNQDNYHPQILHDILHKCEPDELCMKIFTHALYANPKRSNEELLKLHSTLQALPTSSNSIAKVMFKFSYNDLPKEYKSCLLYLAIFPPGHKISRSTLIGRWVAEGLTSKEDWSSSICQANRCFDALIARCLVYPADISATGNVKSCVVGDLVHGFITTTARKQHMVETRLSHHLARHFSIFNDLQLRSSDRIDKFFEGLSNSSRVSMLKVLDLEGCQCFAMKNHQRYLKDICNKMVLLKYLSLRRTDIIQLPSEINNLRELEVLDIRQTKVPPHATANILLLKLKRLLAGPIDLNPSNFGRIPHRIDKMVNMEVLSNVKAQHSGDLKDIGKLWQLRKLGVVIQDKDNHLEKLVQTICDLHECLRSLSITTIPVAASCEGTLSAAELPDDTGFLLKNQPKILQSLSIRGTTRKGRLLPLFIKGDKNKLAKITLSGTLLTQNDLEVLAKLPMLQCVRLQHVVCTEHMLTFKKDEFICLKYLLLEGSSLTNITFEDESARNLEKMVLSFTSAGFISGVELLPKLEELELNNTFCGRLLSSFDNAKQIAKLTLRGTEIEQDALQIITKKRSIRCLVLLDKSFGGRQNEITFKENEFLWLNLLVVDCSAVTKIIFTSGSAPRLEKIIWSSFTSLSGIDKLPRLKELEFNGSHVPDGLIEAIKKHKKEPRLKLNEPETQD >Dexi5A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:5A:22017854:22020233:-1 gene:Dexi5A01G0018500 transcript:Dexi5A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGRSSILVHILVVALCLAAFGFAVAAERRRSTGSIVTDSSNTTFCVYDSDIATGYGVGAFLFLLSGHSLLMGVTRCMCFGAPLAPGGSRAWSIIYFTSSWLFLSSLTYDPKTKIICIC >Dexi1A01G0032360.1:cds pep primary_assembly:Fonio_CM05836:1A:36943418:36945201:1 gene:Dexi1A01G0032360 transcript:Dexi1A01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRQLVPRFVDAHLHDGLLRLPLVVVVPPSSNCSSASGSTSASRRRRNKARSGTRRTAGNKETKMRGGGGGHQQHLSSPTAVLGHATPPLRELYTLGRKLGQGQFGTTYLCTEIGTGAALACKSIAKRKLLTPEDVDDVRREIQIMHHLAGHASVVTIKGAYEDPLYVHIVMELCEGGELFDRIVERGYFSERKAAEIARVIVGVVEACHSLGVMHRDLKPENFLLLSRGDGEEEASSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIIYILLSGVPPFWADTQQGIFDAVLRGAIDFESDPWPAISDGAKDLIRRMLRSPPAERLTAHQVLCHPWVCENGVAPDRALDPAVLSRLKHFSAMNRLKKMALRVIAQNLSEEELAGLKEMFKAMDTDGSGAITFEELREGLRRHGSNLRESEIRDLMDAADVDRSGTIDYDEFIAATVHMSKLEREEHLLAAFAYFDKDGSGYITVDELEQACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGIIGHGRLTMRHNSDGSVLHGAG >Dexi9B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:9B:209196:212133:1 gene:Dexi9B01G0000310 transcript:Dexi9B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCCLRPAPAPSSGAKPAATASSSSLPNLRATKPGIGRRDFVLRSSELATLAAIFHFSGTKPSYLGVQKNPPSLALCPATNNCVSTSEEISDSNHYAPPWNYNPKDGRRGKPISKEEAMKELLEAVTKTRPDNFTPRVVEKADDYVRVEYESPIFGFVDDVEFWFPPGNKPIVQYRSASRSGFVDFNANKKRVKLVFGSLTLSSMSMESQVLTPAPGTLGAAALAPRYAAVVVAVERMVRSPRLVGPEERDELYGMLTASVRAQLRGRLRGTVAAADPELAAQWRAALAGILEWLAPMAHATMRWQAERSFEIEQRTAVPGGVGGVLLLQTLHMADRHKVEAAVVELLVGLNYVCRFHKEMMTCCGPPAMASVRC >Dexi5A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:5A:5722726:5723490:1 gene:Dexi5A01G0007710 transcript:Dexi5A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGRKKRGGRQQRNPAAELTDDILVEILSRVPYKSLCRFRCVSRLWSELISHSDHRRKLPQSLVGFFFATHDDTRSPEWAHHFVNVSSRDRSRPFIHPSLSFLLNHDCDHLQLLFSRNGLVLCCYSQPGAPDTYIVVNPATEKWVDVPASPSWFDKPPTIIRLGFDPAISSHFHVFAFDIESEDDYGDGIVLGVDIYSSKTRLWSYKPCGSEIWMNNDSISRGVFLDGMLYMVALENVVVAVDRGENMEGH >Dexi1B01G0022740.1:cds pep primary_assembly:Fonio_CM05836:1B:28413282:28423636:-1 gene:Dexi1B01G0022740 transcript:Dexi1B01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKLDHETRARRQKALEAPREPRRPKAHWDHVLSEMVWLAKEFESERKWKLSIAKKIAQRANKSLVDQATKGERKQKKFSYIVLVWGKQVVYKHQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDMPYSQNLENGTLQINQSSRPEEVAEENINEAIPDDPDNMEVDGDYESSLDEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKMYTVTEDSSNQANGRDHEPSHSSTDDGFSEEEDDGRSYAEFVKKNHVTCSLHLICALEDKDYVAADEGKDDEATLSEEEELAKKEVPDHLEEIKLLQKESEIPLEELLAMYQKDGYADHEITESENSPCLVEETNTELTLDDQSADILEENSGTVVDHLSADVLKTEHNASDVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEVAGRPEDDELVNEEDVKLDEHINEEHRYNSSDVEKEKNVALSVNQLNEEKALTLAVGDEDTDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFMELWDPVIDKASINHQVNVEEEEWELDRIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALTQKQLLEEQERQAREAAKELEEKNDNTRLASEACNEGEGSHWASSAFHINDATKHKCGPKSIGKHKAASECGRPPKSKIQKITESHQEGPIASSNFLRMPGPLLPGSTDFHISESLSDFGISDSEFNYSEDIWQEGDYLEFLPDQDDSELPGIEELEPLSDFTDIG >Dexi2B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:2B:19129310:19131808:1 gene:Dexi2B01G0012740 transcript:Dexi2B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTLLALFIWAMVAAIFAVAMPAAARRDGIHPQGRLPLPPTARSRSGTDVVLGKFLCYDRPGLSIRQLVLGALAADIFIIPMPTMAAVTGQAHAAGSGCPLELSRDDAVHVLIDTGHHPLSSVLDRTVRIL >Dexi2A01G0022090.1:cds pep primary_assembly:Fonio_CM05836:2A:33982111:33983456:-1 gene:Dexi2A01G0022090 transcript:Dexi2A01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLLIAIWVCAAVARADPMLERFERWMGKHGRLYADAGEKQRRLEVYRRNVELVEQFNSMNNGGYKLADNKFADLTNEEFRAKMLGFGPHSRTGHTTAPGTMACADSGLAEDYSDLPKSVDWREKGAVAPVKNQGECGSCWAFSAVAAIEGANQIKNGKMVSLSEQELVDCDTEAVGCAGGYMSWAFEFVMKNRGLTTEGNYPYLGMNGNCQTPKLNESAVTISGYRNVTASSEPDLLRAAAAQPVSVAVDAGGFVWQLYGGGVFTGPCTSELNHGVTVVGYGETQGDTDGDGGETPGQKYWVVKNSWGAEWGEAGYIRMQRQAVAGAADGLCGIAVLPSYPVM >Dexi3B01G0030570.1:cds pep primary_assembly:Fonio_CM05836:3B:30440871:30442899:-1 gene:Dexi3B01G0030570 transcript:Dexi3B01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRGVGLLRPHLAAAVTRRVRRHPAPHVPAVPSSSTASSSCRQSLGIFRDSIGAPSRRLSNQAGGGGGGGACWSCGAAGAFLSCGSCGSVQPVDPAVDYFQIFGLNREYNIKDNNLEGRYKEWQKKLHPDLVHSKSKKERGYAAEQSALVIDAYRTLRKPLSRALYLLKLEGIHVDEEKTINDPELLMEMMEIREAVNDASDSQTLEKIQSQVKKKLETWSHSFQEAFEKKNFDHAVEATQRMRYYERAVEETVKKL >Dexi2A01G0023010.1:cds pep primary_assembly:Fonio_CM05836:2A:34788586:34791529:1 gene:Dexi2A01G0023010 transcript:Dexi2A01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASATALPFAAAAGVVSARGGWCTAGRPPPRPRGQGRWRGASVVAKLEGGLGKGVVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVHWYHANRMLSFYAPGWCGEVRDVIYSDNGTVTVIYRVILKGTDGEAHRDATGTAQVHEGRREDAVAAAEEEAFCKACARFGFGLYLYHQDDTHHDDHFH >DexiUA01G0015510.1:cds pep primary_assembly:Fonio_CM05836:UA:32415161:32415351:-1 gene:DexiUA01G0015510 transcript:DexiUA01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLIGVRKGDWLNIDFLWVSDTPAYQNGRRRSPTQRLHPRAGGYGQLPGTSIL >Dexi8B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:8B:1464344:1468354:1 gene:Dexi8B01G0002100 transcript:Dexi8B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPHNTTCPQDLNISRFAAAPHLATALPAIVDVPGDPAGCRTALRLPIQALNNMRKAKRGGGDLSRSFHKNRKACDPEWVSGHLRDGDSNQDGRSIPFDWVTSDCCRSVVSISLSVELGGDLRVSNNDKDLDSKTAEAWEGAIIFSIDGEFVGMNLFLVTGKAIFLPWSTILKRLESYWTNWEKVAGRAAPENLKVYGFGAPACGKSSSYPEDILNQEQLDLDSMGYPKLPPTMLDAGMILVNSFEETFGDTCGEGVWRKLSKKAASSMGRNVVALASFNESGAGQKRFFACTGYFIDWNESTAILTSASLVRNSGDENKINENLRIEVLLPGMERKEGTLEHYNLHYNVALVSVKDRHHLRAVNPRISMSLISHVAAVGRCFQSGSLMAMSGRLVSWSGTLDCDFLVRSSCKITKVILCSFIA >Dexi3A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:3A:13140765:13142810:1 gene:Dexi3A01G0017240 transcript:Dexi3A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPTPPIRLGPPHPYLKTHGSKVARLHLFDWIILALLVAIDVGLNIIEPFHRFVGKDMMTDLRYPMKNNTVPVWAVPIYAVIGPIIIIMGIYMKRRNVYDMHHAILGEFYPSVYFTSLLFSVLITGVLTDAIKDGVGRPRPDFFWRCFPDGLPNYNNLTTQVICHGDPAVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIVILPLLLAAMVAVSRVDDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGEQGFWPHAYFEHILNPDGEGQVQSTNNSNHHQSVSGGPVAIEMRSTSQELDSMEEGRRAQ >Dexi7A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:7A:19674702:19677269:1 gene:Dexi7A01G0008420 transcript:Dexi7A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAGMLASLAASSVTRRVGRRAIMLIGGTMFLAGSVINAGAVNIAMLIIGRILLGFGVGFTAQAAPLYLAETSPTRWRGAFTTAYHFFLVAGTLAANVANYFTNRIPGWGWRVSLGLAAAPAAVIVMGALSVSDTPSSLMLRGEPARARASLQRIRGADADVEAELRDIAGAVEEARRNEEGAFTRLLRGKGYRHYLVMVVAIPTFFDLTGMVVISVFSPVLFRTVGFDSQRAILGAVIISLVAVSWILADHLGKHGTVTMPKNYAVGVVVLMCLYMFSFSLSWGPLKWVVPSEIYPVDIRSAGQAITLSIGLTLSFTQTQVFISMLCAMKYAIFLFYAGWVLAMTVFVAALLPETKGVPLEAMRSVWAGHWFWRRFAVLDAKQEVQLNSM >Dexi6A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:6A:7372935:7388864:-1 gene:Dexi6A01G0007460 transcript:Dexi6A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTEDGGDGASAPEVVERVRDGDEDLDEDEEDGFEFGDADEAMLCVEMAGRCTADGALRAETHDYEALAARKRKSLAEEQPQSSLDPVADMDVLVFGKKRGRKKGTKNKGSPEVIKKLGDATLLFAEEKFNEAIPILHEIVRIAPNLPDSYYLLGSIYSETGELDKAINFLMLAAYVSPKDASLWKKLIPLAKKKEDASLARHCILKAMRADPEDVDMKYLCGDMYRNLCDYQKAAEIYEQIVRIYPGNIAVRKVAAQMYRDCGQIDKAINLLEDYVNTQTTIIDWSVLDLLISLYLRNNALGEALNQIEKARLQLRCQQKLPIQLQAKEVICHAYLGDMKHAEIFLRDVHMEPSKDNTDVIKELASNLENMKLYEYAVKFYLMIENVAMKNDGSLCVNHKELGSSYVKVAQCYMVLGDKRNAIPYFYKALQSMKDSIDIRLTLSSLLIDEGKTDEAVTLLSPPENPELQSANTPDQQKPWWCDGRVKMQLAKIYYNKGKLEDFVDTIFHPILETLNVEYANRKVKQMRKLPNTVLHERVKVLGEPRPDSLFQGLRPIASPGEFLCFDILFNLNRQKANRAKKLMEKRAASNEELKPDDSLCRTLALLQQYWDALQIINRTLKLGNDVLTDENKEELRSLGAQIAYRAPDPSHGFKYVRYVVQQHPYSLSAWNSYYKVISRIEDRFTQHFKYLLRTREEKPDCVPPIIISGHRFTAISQHQSAARDYLEAYKLDPENPLINLCVGTALVSLSLGFRLQNKNQCIVQAFAFLYRYLHLCENSQEALYNIARAYHHIGLNTLAAVYYEKALATEKDHPIPKLPYEAGSCAEEDLRPGYCDVRREAAFNLHLIYKKSGATDLARQVLKTYCTV >Dexi3A01G0026370.1:cds pep primary_assembly:Fonio_CM05836:3A:22588980:22589591:-1 gene:Dexi3A01G0026370 transcript:Dexi3A01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGSISLNHPEATAPAPAVVEDTSSHWAPHGAALTAFVVGINVLMIALIFFFFWRFFSGKRGDPESVADDDEGVLPVASPWASRRRRREAPQAAKPRLLDVESALPVYVYDSAAAGAGDEGGKAEECAVCIVELRDGDSARRLPRCGHRFHADCVGAWLRLHATCPVCRAGVVVGPAAAAAGGEASNAKDDGAAGAANCPV >Dexi2A01G0034930.1:cds pep primary_assembly:Fonio_CM05836:2A:44724399:44724833:-1 gene:Dexi2A01G0034930 transcript:Dexi2A01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESYRAGAEVVRGDAAACKKAAVELLGEIGLPKGLFPLDDMQEFGYNREAGFMWLIQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKVVGVKTKELMLWLSIVEVYVDESAPGKVTFKTGTGLSDSFDATALELGM >Dexi6B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:6B:6798176:6800846:1 gene:Dexi6B01G0006260 transcript:Dexi6B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPRARLPLPVRWWALALLLLLLLPATPMAAAPAGNEAAGCECGGAAAEMREEDARGALRLKLIAVASILASGAAGVLVPILGRSASALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALAAPPCAAGRSKGGAAAGFPFAGLVAMCSAMVTMMVDSVAAGYYQRSHFRKARPVDDPAAAVAGEDEEGAGEHAGHVHVHTHATHGHAHGHAHDHGGHGHGGPAAGASPDDASSVAESIRDRVISQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQAKFKARATVIMATFFSFTAPVGIALGIAITSSYSKHSATALVVEGVFNAAAAGILIYMSLVDLLAADFNNPRLQTNMKLQLVTYLALFLGAGLMSLLAKWV >Dexi5B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:5B:18771407:18773220:-1 gene:Dexi5B01G0017320 transcript:Dexi5B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWTATRPPVAIIATSMIGPKQSQRGYPHNEFPMCCSPALTRTPIDKETETDDTTRAEQNKSVHPSRAERAVQYSRLQSTMDWGAPALMSSVADPSMRDLCCFGAGIAGNVFAFVLFISPLPTFRRIVRNRSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAAFQLAYTAVFIAFADAKERLKVSSLLAAVFVVFGLIMYVSLALLDHQTRQMFVGYLSVASLIFMFASPLSIIIPNGIGTILGIIQLLLYAYFRKGSREEAKLPLLVTHT >Dexi5A01G0024830.1:cds pep primary_assembly:Fonio_CM05836:5A:28661130:28661414:-1 gene:Dexi5A01G0024830 transcript:Dexi5A01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDELDGLPMYVEEDPEEAAAEKQKRRQKSRKPPRLRDTPEELAKMEFNQSMSRKFYEYDPKLGANCYTRAWCLDFTKVDIDDESIPKSLTPD >Dexi2A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:2A:3900644:3906760:1 gene:Dexi2A01G0004450 transcript:Dexi2A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRDKSPDHNNQFVDIGDQAGAFAKRLKEDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPDRDLEKLLKGILQQVVTPKMDDGKGVKEEKDVGISELGAYLSDKRYLIVIDDVWTTQAWEAIEYKLVAAQTNNNCGGRIIVTTRIEAVAEACSTFSTVSGRYIHHMEPLKLEDSKKLFLSKAFGKMDATYPKELKEEMDKILTKCSGIPLAIVSVANILAGYTSKDKWERVCKSMGSEMVAHPSLEGMRHIVGLSYNHLPHELKRCMMYLSIFPEDYEIDKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVRRFHEIFRNVELYRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSSLGIEHGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTDQHVLHACKLYLLRFLSFKGTEISKVPPQVKKLEHLQVLNLEGTSIGDGGLSDNVTTLKKLERLLCMWVLPKGIGKMKALRELREVTLPANGVEIARELGELEQLEAITIEVGGSVSKEVRQALATSLSKMYFLRGCWISSDDDNLEFLHDLRTPPRRLREISIGGRVGPCLPAWIGQLTHLVEFTMSFARLNGDQLLGVLFKLPCLKHIYAGLLCCVDRELVARTNYRFPSLASLEVSARMTEEPKEFRFEQGSMPELERFVIYFDRRKDQVSIVGMEHLTNLKEVRLTGFNSSSALEHALQQVKAEKSRREESHQFQIVVKYWG >Dexi4A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:4A:17016146:17016670:1 gene:Dexi4A01G0014640 transcript:Dexi4A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPPPPPPPATTDASVIIGLSAALGIFLFFISCVRSMARQSVAGDAADTAEAARTVVAIVPPEPWDNELSRQHLHIDAGDEGWPRRASPVADLPSFTYSQSVKHNVMGAGDEAATCSVCLGAFETGETVRLLPVCLHMFHVECIDPWLDAHSTCPICRSGIDATTDSRLYPPV >Dexi5A01G0032230.1:cds pep primary_assembly:Fonio_CM05836:5A:34795036:34796037:-1 gene:Dexi5A01G0032230 transcript:Dexi5A01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLINAALNDELFFSIAEHSLTVVEVDAVYVKPFTVDTLIIAPGQTTNVLLTAKPHYPGANYYMSAAPYSTARPATFDNTTVAGILEYEYLDFPSAPSFNKALPLYKPTLPALNDTNFVGNFTAKLRSLATPEYPAAVPKTVDKRFFFTVGLGTHPCPANTTCQGPTNTTQFAASVNNVSFVLPTKALLHSHFTGLSSGVYESDFPVSPLTPFNYTGTPPNNTNVSNGTKLVVIPYGTSVELVMQGTSILGIESHPLHLHGFNFFVVGQGYGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPVR >Dexi3A01G0030980.1:cds pep primary_assembly:Fonio_CM05836:3A:35337851:35340309:-1 gene:Dexi3A01G0030980 transcript:Dexi3A01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKEDLESKLLLANDGKPAAPADAKNGSSYALVCALLASLTSIIYGYNRGVLSGAQEFVQADLGVTDGQLELLIGATSVYSLVGSLAAGWTCDRAGRRRAVALSAAMFLAGSAVTAAANGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIACNSGILLSYIADYALSGLPKTTNWRLMIGIGAIPPFFLAAAATLVMPETPRWLVLHGHTDEARRVLARTAGDADLRLQEIETSIREATEMAGRRSGKSFSTSVWSEILLRPTPAVRRVMLAIVGLQVSQQACGVAAMVLYAPRVFSHVGIRSENAVLGATVLLGVVKTVAIVIPLFLADRLGRRPMLLASAGGLAASLLVMGVSMSAPAAALWWAAPTCVVAAAAYMATFSLGFGAVVWMYGSEILPLRLRAQGVGVGTAVNRVMSAFVGMTFISMYEAVGMANSFYIFGAFSAAAWVFVYVYLPETKGKSLEEIEALFDAGAAPSPRATLSSS >Dexi5A01G0036750.1:cds pep primary_assembly:Fonio_CM05836:5A:38195966:38196199:1 gene:Dexi5A01G0036750 transcript:Dexi5A01G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVVPCLISRRQAEHAQPSLHRASTVADPVPPPLLHAIPVAWLASPPPVLGPLTPDLPRFSWRSEAYAPWREKA >DexiUA01G0002500.1:cds pep primary_assembly:Fonio_CM05836:UA:5692320:5692700:1 gene:DexiUA01G0002500 transcript:DexiUA01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVSRASVQRTSSSARGKSSKASSPRAASRNRDSDASVPVACRRDDSIRNEAVEDCIEFLKRSSADGDAAKLSSVTAADAKISAVTATGAKLTAVSGEDKAFFVLNVEPRREPSRGNGCRESLT >Dexi5B01G0031480.1:cds pep primary_assembly:Fonio_CM05836:5B:32198108:32198637:1 gene:Dexi5B01G0031480 transcript:Dexi5B01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPRRPTQIRSRGDRIRPRRRRIYHSAPPPSPEPATVPRRFSAPEASAKTLEEGPAAAVLGPRGFLACPSGGGREGRRGREALARQSSVAARVTRGGGDPSVSIKEDKQGWLMRAKDPSKKLMDLGVRFIPFDQTVRETVDCFRNKGLI >Dexi2B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:2B:10059630:10059923:1 gene:Dexi2B01G0009300 transcript:Dexi2B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLTILVVLATSAEMAQAICNLSSNGIKACQPAAAIRNPTDQPSTECCAALAGADLSIDINRAMGLPGKCGLTMPANC >Dexi7A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:7A:24324596:24325984:-1 gene:Dexi7A01G0014390 transcript:Dexi7A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCATGSRKDLASEHAKWRQRRPCSSTSSPSRPPFPARAAGSGRSLPIAVSGAPLTTAELQHRSRAALYYRGGAGGEGARGVGEVVAQRGAGRPSGDGFEYFATAATTGASCERRGDEGARAGAVWTDVNVQEPDICATFFMQAYGLTRFPDGGYGIGASCSLLLADPLSLIGFLKGWQARGAAGAEQARR >Dexi1A01G0032450.1:cds pep primary_assembly:Fonio_CM05836:1A:36972833:36977487:1 gene:Dexi1A01G0032450 transcript:Dexi1A01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQMMFPMWGPPPPAAMPPPSEDPTAAPTAQPFLPPPNRGWKRKNPTGGGAYQPPALGDLQVQNRAKARRWFKNNSGGGNPNANNTRKYFFPKNKNNKAAAPRNTTSFIIRAKRAGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPAAAASDDDDEGNSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGEDAGGYVFEDDDEYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARFSLCDGAGGGENAVEDAPPRNVADRVHAGSEYSSEGGAERVDVASEKSEGQIAAEQGEAGLEKTGEQDAVGLEKIGKDGAEEQVVVGSEKAGKIDTEDQGAPCSKMTGEHDAELQVAAGIRKTGGHEVEMVDLELEKKMDGSGQCIAAPLQISSSSRAAAAAAMDTPTAKAAWNANYGVVSSGDRRLAFSRQLSSNATANTPRLARSDSSITMPMPPLYQGPKPSRKLLRLATASRPMRRLALLLALNVAYSATELAIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRTKPDNMYTYGYKRLEVLAAFTNAVFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLSVNLLGVWFFRSYARVNIVYRNAEDMNYHSVCLHVLADSIRRYMRAPLLAGLILASWFLSLGIENAEVLCLGIVSVAVFMLVLPLFKAAGNILLQIAPGNVPPSAFAKCSRQITACEDVSEVWQGRFWELVPGQAVGSLSIRVKSGADDQAVLEYAHGLYQDLGIQDLTVQTDES >Dexi5B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:5B:7554742:7554954:1 gene:Dexi5B01G0010720 transcript:Dexi5B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVLFFVLLTPGLIPGSGGRMPEFHSMQTSGMSIFVHTLLFFGFCAIFMIAVGVHLYTN >Dexi5B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:5B:12183063:12183612:1 gene:Dexi5B01G0015170 transcript:Dexi5B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQIPYIPGMSVLKIFKDIKVNECLFDDFMRYEVEEAQYKPHRRCKLSYNAPDFVPVSQRTKDLSANQQTKSSSMGSSNTGRRLRTHDSTQTALLKAHQSHLKSV >Dexi5A01G0035580.1:cds pep primary_assembly:Fonio_CM05836:5A:37356139:37357527:1 gene:Dexi5A01G0035580 transcript:Dexi5A01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPATTTRAAAVSPMAALHPQCGGASSKARRVRCRAASRTIASAAVTATSTACSPVEAAAPAPRRAPARRSTAATVAGMWRQGCDDWEGLIDPTAGEVHPVLRAEVARYGDLVSACYKAFDLDPSSRRHLNCKYGRERMLDEVGMPGAGYVITRYIYAAADVTFPTMEPSTAGRGRWIGYVAVSTDDMTRRLGRRDVLVSFRGTVTPAEWVANLMSSLEPARFDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVDACGKDRPGEDVSVTLAGHSMGSALALLFAYDLAELGLNRGAPVTVYSFGGPRVGNAAFKARCDELGVKALRVANVHDPITKLPGIFLNEATTGVLSPWRGSCYTHVGVELPLEFIKVGDLASVHDLGTYVALLRSGGERPLQGADSPRRRDGGVVAKVMEFVGRQRAGAVQWQDAALQMGGLVQTLGLI >Dexi3A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:3A:11876788:11879131:1 gene:Dexi3A01G0015950 transcript:Dexi3A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAGALLLVAVFTVAAVAKDTADTLPIKTNGVVSNAQSGVENSKHHDETDPSKEHVTREKGGVNNDTNSLALSLLMDNKGMDPLDVSITAPNYVTLVEDTVHVESNGHNETQVRVSISDAANNTAIVLKVAGESCTVNIHSAVTREAGRVIRMPLTSTYTLVPVFLLLAVVGVCIKLRRTRKPDGGLAYQKLDTAELPVSIGGKKEPSQSDKWDDNWGDDWDDEEAPMTPSKPMPNPSSKGLAPRRSTKDGWKD >Dexi4B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:4B:1959645:1963336:1 gene:Dexi4B01G0002970 transcript:Dexi4B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPAPASSSAPAAGVSMKEYLKRYQSGPGADGDQKKAKKKKMKKPKPAAGGGGVLIVDEDPVWQKPVQVEDDELASSGDDRPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAREEQGGGLARSRRNDTPSPERGGAGRKDLSPPRRRQRRDTPSPEPGDAAGKDSSPLRRRRKDTPSPKGNGAAGQDDMSPPRKSRRQEDPSPPRRRARNDSKESPPRRRVRHDSEEPQDMSPPRRRVRHDSEEPRDMSPPRRRVRHDSEEPRDMSPPRRRKRHDSEEPRDMSPPRRRKRHDSEEPQDMSPPRRRTRHDSEEPKDLSPPRRRKNQDSTKVDDLSPPRRKNLGQSREDQDMSPPRKVHKFASGDSSPPRKERDLSPPRKGKKEGPPKQARKAGLMTAEEVKEDIRKIKEDEMLKFASQDPSLVGKGAKAVFRDKEGKRISEEEMRKAKESEKPKDIHIEWGKGLVQKREAEARLKDLEDEKSKPFARTRDDPELDSMLKNRIRWGDPMAHLVKRKDPEFLLEDLGDDEKMKESGFIVPQNIPNHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >Dexi4A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:4A:16860428:16860940:1 gene:Dexi4A01G0014580 transcript:Dexi4A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVPTSGSSPPPPPSSPSRTLFISLAACMFLVSFAVLLLVTVFLLCCRLRRDRSLALPGDRCSGDPFPIETTLPAFSYVVPEDGESEQGGGARECPVCLGAVKEGEMVRQLPACSHLYHVVCIDRWLPEHRTCPVCRTQIDSFAVGVGSSTGGTGGGRCTHPPEQSPV >Dexi7B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:7B:17073208:17077572:-1 gene:Dexi7B01G0009470 transcript:Dexi7B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLQWAWASPVVTAALLLFLLLLTAASAAAPPPPPAGAGRKEAVTSPHGAVAADDWRCSRVGRDALREGGTAVDAAVATALCLGVVSPASSGVGGGAFMLVRLADGTSVVYDSRETAPLAASKDMYGGNETLKARGALSIGVPGEIAGLYEAWKRHGKLPWKRLVLPAARLARAFRITPYLRMQMEATRDGILAHEAIRRLYAPGGELLAAGEVCRNPRLARMLRAVARHGPGVFYGGAVGERLVKDVREAGGILTMEDLKRYQVKVRRPLTENVMDLEVVTMPPPSAGGAGMLLVLNILAQYGLPSGFAGSLGIHRLIESLKHYVAVKMNLGDPDFVNDSEVVSDMMSPKFAAELKKTIYDNMTFDPKHYGGRWNILPDHGTSHLSIVDSERNAVSMTSTVNSYFGSLIVSPSTGILLNNEMDDFSMPANTTTISLPPAPANFDGKLLASFGASGGTYIPAATIEVFLNHFVKNMDPLASVMAPRVYHLLIPNVVQYENWTTVTGDHFELDAATRADLQRRGHVLKPLAGGSIAQLVVHNVEGRGDLTAVSDPRKGGVPAGY >Dexi4B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:4B:18407219:18409430:1 gene:Dexi4B01G0016340 transcript:Dexi4B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNTQAVDYPSFKLVLVGDGGTGKTTFVKRHISGEFEKRYEPTIGVEVRPLDFATSHGKLRFYCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTSRITYKNVPTWHRDISRVCENIPVVLCGNKVDVKNRQVKAKMVTYHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGNMDLRFVAETALVPAEVTIDLDAQKKIEEEMLRASKMPLPDEDEDIMD >Dexi1A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:1A:9283672:9285207:1 gene:Dexi1A01G0010740 transcript:Dexi1A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAGAAAQDPWSSAAGEFLGFAAARRGAHRRSASDSAAFLEAVPMDDVIRGDDFDRLDDEQLMSMFSDVDAPAVSDGAAGERAGDAQLMDMGDAEDGMGASSPAGARAAADGVADPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQDALKKEIERLRQLFHQQQIKATTGGADIATAASMQARQELLACEGAAIR >Dexi3B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:3B:12231309:12235732:-1 gene:Dexi3B01G0016760 transcript:Dexi3B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPPPQQEAMAGIDLNDTVEEDEEEAEAGNTCSQQSRSSSAATGTPPPPQPRPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEHLGDAAGGGAMPPPGVPPHVFCRVVDVTLHANASTDEVYAQLALVAENEEVARRLRGGSEDGSGGDGEEGDAVKQRFSRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYREPGFSASSLGDDGELRLGVRRAAQLKNASAFPALYNQCTNLGSLANVAHAVATKGVFHIYYNPRLSQSEFIIPYSKFLKSFTQPFSVGLRFKMRYESDDATERRYTGIIAGIGDADPMWRGSKWKCLMVRWDDDVDFRQPNRISPWEIELSSSVSGSHLSAPNAKRLKPCLPHVNPDYLVPNGIGGCPDFAESAQFHKVLQGQELLGYRTHDNAAVATSQPCEARNMQYIGERSCSNDVSENIRGVPRLGVRTPVGNPGFSYHCSGFGESQRFQKVLQGQEVFHPYRGTLVDACFRNDGFHQQDGSHVPSLANKWHTQLHGCAFRGLSAPVLPSQSSSPPSVLMFQRGNSNMTQFEFGHGPLDKNEDDGPAMFGHAGGIGGTEHPLMLQTRLVSGEMRNGHAAVEKFHSTVAIAKEGPDNREVNTNSCKIFGISLTEKVPASKGKDCGDANYPSSFLSLKQQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >Dexi3A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:3A:14837855:14842467:1 gene:Dexi3A01G0019000 transcript:Dexi3A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMALNGGSTNQALLDAQLELWHSTFAHIKSMALKSALDLHIADAIHHHGDNGATIPQIVSRVTIHSSKIPCLRRLMRLFVATGVFAVHHPPPSGSRDADADEPMYMLTPTSRLLVGSQNNLTALTALVTHPAMVTPFFELGKWLLHELPDPCIFKRAHGRALWEHASRDAAFDALVNDGMLSDSHFIMDIAISECAHVFGGIGSLVDVGGGLGAAAKAISKAFPGVECSVLDFDHVVAKAPSGTKVRYVVGDMFESVPPADAMFFKVYCSMAAFNKLGSLLRHSALTSGASASSSPALFNAARLMSTKLFVGGLSWGTDDQSLKEAFSAYGEVTEARVITDRDTGRSRGFGFVNFSNSDEAKEAVAKMDGQMQFRGYRALPKGSFEESKP >Dexi3A01G0033030.1:cds pep primary_assembly:Fonio_CM05836:3A:37805111:37806082:1 gene:Dexi3A01G0033030 transcript:Dexi3A01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGAGGRKWTKRVHKEWNILENNLPDTIFVRAYEDRMDLLRVVMVGSSGTPYHDGLFFFDLQLPPSYPATPPLVNYRSFGLRLNPNLYPSGTVCLSLLSTFGGHGTELWSPEASTVLQVVVSIQGLVLNAQPYYNEAGYDVQVGTSEGLRNELPYSENAYLLTLQTMLQLLRRPPAGFEDFVRDHFKRRGQHVLRACEAYLEGCHVGTLDGEAHATEASRARPCSIGFRLALANVVPRLVEAFTAIDADGCHELELTTR >Dexi4B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:4B:1132429:1139202:-1 gene:Dexi4B01G0001820 transcript:Dexi4B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEAEPAGGDGSSPSGADEGESSSGSGAELADALARRRLYREVTLALRSGLRDAMADFSFLRARGLRSLLGFLRSTASAEDDARLLLFRHSQSIPDLQVIPVLFQNSLHQPKEVPVVTLDHVIGTEPMRITSPPTDSEIALALRVLEDWLGQNDVSSNNCIEIECPRVEYDHYVKMLKSIYLPRESVIDSFDSVKSAVGVLRASHSLGCEFVTKSCIQYIEAASWDEKEEEEIIEVAQTLGSDAVSLLARLQAPSADAVKNVFISAIRFATCMEAPFPPFLDDLKTSAQEQIDFMIHDDDDTALVTTDEDVKSVVREGLRKLLSALRTVLDLLSTEFDESPHQAEQRILCSLADIDWITSLLGKIEMMHDFVSGWLEISDHVLSVVQDKKYTSDLWAVKAKLIEVTGKALDAVGYGSVVLPSSSRVRFLKTWLPYIQMTKRLLDENSKDETSLQMDSDSCQNIESAIVSMVLALPSDDQADILSEWMKKAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGASNPTVSL >Dexi4A01G0021970.1:cds pep primary_assembly:Fonio_CM05836:4A:25351401:25352036:-1 gene:Dexi4A01G0021970 transcript:Dexi4A01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPQPSYFCGSALVERGFGRRWVSAAAADAARSPAAAQIQDATDRGEPWHCRQRQRRLRRGRPPPSIHGR >Dexi2A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:2A:3817599:3817820:1 gene:Dexi2A01G0004300 transcript:Dexi2A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGARKMLFMPEMVTQPMDLGGSMFGFALTGAVTTDGTELDDPRLVDRDVVHLLLVTDQWVTDIDIMDRDQ >Dexi8A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:8A:2266099:2266934:-1 gene:Dexi8A01G0003140 transcript:Dexi8A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFDPCVKMVVTYNSNKLVFNGHEIYPSAVYSFPNGHSSQIQVMIDPDVPGPSDPYLREHLHWIVSDIPGTTDASFGREIISYESPRPNIGIHRFIFVLFKQKRRQTVTVPSVRDHFNTRQFAEENDLGLPVAAVYFNAQRETACRRR >Dexi9B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:9B:1329628:1332643:-1 gene:Dexi9B01G0002330 transcript:Dexi9B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSFTAAKFLAPVAARSGGERAPPLPAGASSSSFARAMRRGGAHHPRLRTALAVSSDVLAGNKAQAAAANPAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKYLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSGPDGLDVTVAFFGDGTCNNGQFFECLNMAQLWKLPIIFVVENNLWAIGMSHLRATSDPEIFKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEENLATESELKSIEKKIEDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >Dexi3A01G0023260.1:cds pep primary_assembly:Fonio_CM05836:3A:18976731:18978047:-1 gene:Dexi3A01G0023260 transcript:Dexi3A01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRASLALLLCALMVALAGGASAGSPLSSTFYDASCPSAHDVVRRVIQNARVTDPRIPASLIRLHFHDCFVQTEKTVPANNNSARGFPVVDAIKSALEAACPGIVSCADILALAAEISVEISGGPSWRVLLGRRDGTTTNVQSAKNLPSPFDSLGKLQQKFRNVNLDNTDLVALQGYCQFTRENCTAGQPAGSLENLDRVTPNVFDNKYYGTLLHGQAQLPSDQVMLSDPAAPATTAPIVNRFASNQKDFFGNFVTSMIKMGNISPLTGRDGEIRKNCRRVNSKGY >Dexi4B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:4B:9846445:9849381:-1 gene:Dexi4B01G0012160 transcript:Dexi4B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSPATASLLLRSLLLKPRGFSSSAAAAPAATKGADNGKLVASVLFERLPVVIPKIDPVVYAFQEFSFRWRQQYRRQYPEEVLGKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDNKLYLLLYGNTYGAPDGKPVWHFPEKVYENEETMRLCAESALKSILGGLDNTYFVGNAPMAHMAVEQTDSSVSSFKRFFFKSQVVGTTKYHIGKCKDYAWVTKDELLDYFPENKEFFNKMIIHIR >Dexi5A01G0024890.1:cds pep primary_assembly:Fonio_CM05836:5A:28752191:28752775:-1 gene:Dexi5A01G0024890 transcript:Dexi5A01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAMSTGDLVLSREEEQPRVMAPPARYTAEERRERIDKYRSKRNHRNFQKKITYACRKTLADSRPRVKGRFARGGSEDPEAEAVQAAGIPESEAPSVNNDVVTSSTSMPEWWPEIQEALATGVDLDNLCDEEMLTAYLGVSSISLYSPSASGQ >Dexi5B01G0021000.1:cds pep primary_assembly:Fonio_CM05836:5B:23242671:23246579:-1 gene:Dexi5B01G0021000 transcript:Dexi5B01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLMLLLASPWALAGTAAAAALLWLVTWTLEWAWWTPRRLDRALREQGLKGTRYRLLVGDVTMILYEVLRLYPPVIFLTRRTYKEMDIGGIKYPAGVNLLLPLLFIHHDPTIWGKDASKFNPERFAEGISNATKYQSAFFPFGWGPRICIGQNFALLEAKMALCTILQRFSFQLSPSYTHAPYTVITLHPEHGAQVMLKKL >Dexi6B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:6B:11197050:11197427:-1 gene:Dexi6B01G0008850 transcript:Dexi6B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVGAGGGGGAAGLRGDEFEANRGRGGGAGLTIDEVEASCGGGGGAGGLLVLGEDLSEGGGGVNGGVPISLRGDEIEAAGGSSGGGSGACDLTGESLGEAGGEGGAGAGLNEVGASTGSGCTW >Dexi6B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:6B:3454133:3454461:-1 gene:Dexi6B01G0004250 transcript:Dexi6B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPATAGELLRIEPLELRFPFELKKQISCSMQLSNLSNDYIAFKVGSSFAVILFLKNLLFFSM >Dexi2A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:2A:31129926:31131653:-1 gene:Dexi2A01G0019000 transcript:Dexi2A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHPSPGATLNSQILAEACACAESLGGVKDGRWKTSIFFYRPMTRDGAAGQQGQTHADLPRELLGVALHDRPGLYFSIVRAAKLVLQADAAFPQVMEKLQSYKARVALNFEGFQYQLGDFCLRIGKCVPNNSEALRGIMMEVEYYPLSSIEKSRAIMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDQYSFQHTAVQYATCLQQLMTAVRG >Dexi3A01G0033350.1:cds pep primary_assembly:Fonio_CM05836:3A:38146449:38147838:1 gene:Dexi3A01G0033350 transcript:Dexi3A01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHVSPIPTAIHGAAAGGEGGWMLLEAFAYFEDVKNTTTAISATPDGKHIQVTFCARAPPLLSRFCVHTPDGAAMHREPLVVATEDDLALIRVDSCTSGMYIHYYLYQAAGDDGSGKPSLTLLLRAPGKLHFNPKDIGLLRRRRPGATTGGGEYIVAGFILTPYDPPSCTLCLYDSKRADWKVYALSLSRQGQQEYGGKMQTLRHKNHKVVTIGGDAGTMAFVDLWRGMLFCDVLQLKPDAAIDLELEELEEAQQSKAIPLLGYVKLPDDLRRMAKRKGDARPLPQQYKIASFKEIEDSSNPCPDLLPGYKSMPHRSFSYLFVCQPIVDLQDDARVLQFTVKMHQLHDQASVVVVDMVDKKILGVTPFFSRFAVINFTHCC >Dexi4A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:4A:4740079:4742168:-1 gene:Dexi4A01G0006600 transcript:Dexi4A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPVAAAPAPAAAAPKKAKK >Dexi1B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:1B:22351629:22354155:-1 gene:Dexi1B01G0015810 transcript:Dexi1B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVVNLDPANDALPYECAINIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSVINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALVLSLSTMLHLELPHINVLSKIDLIENYGNLGIMSSMFQLFEDLLLLVKFDVCTILQLTIHLLDWQHSILTSTLMSKIFLICNTILSRILVLPSTEHLRSLITFLSELADRKLTKELCDVIDDFGLVNFSTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLDWDYFRYPLLDL >Dexi3B01G0013720.1:cds pep primary_assembly:Fonio_CM05836:3B:9857506:9862341:-1 gene:Dexi3B01G0013720 transcript:Dexi3B01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGGDKGTAAAAAPSPQLESFLAIGLDQRTAENALANRKVTANLTAVIAEAGVTGCDKSVGNLLYTVATKYPANALVHRPDLINYILSEKIKNSAQLDAALSFLSTLGPDSLDRVKFEEACGVGVVVSFEEIQSTVTDVLNENMEAIVEQRYRINGLGSMGSLCGQVRKKHPWGDAKSVKEEIEKKLVEILGPKTEADNAKPMKKKKEKPTKVEEVKTVAAPPSEEELNPYSIFPQPEENFKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVTWMGWEPYKVTYTSDYFQDLYELAICLIKKGLAYVDHQTPEEIKEYREKKMNSPWRDRPIEESLKLFEDMRHGLIAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPCVWEYSRLNISNNVMSKRKLNRLVTDKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNRVASRVLVVLHPLKVVITNLDYGTIINLDAKMWPNAPDDDASAHYKVPFSRTLYIEQSDFRLKDSKDFYGLAPGKSVMLRHAFPIKCTEVVCGDNPDSIVEIRAEYDPSKATKPKGVLHWVAEPSPGVEPLKVEVRLFEKLFLSENPAELEDWLGDLNPQSKEVVKGAYAVPSLANAVLGDKFQFERLGYFAVDSDSTPEGLVFNRTVTLKDSYGKAGPK >Dexi2B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:2B:13052726:13056652:1 gene:Dexi2B01G0011420 transcript:Dexi2B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKHFKYVIVGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGEKQLPEWYSEKGIELILSTEIVKADLSAKSLTSAAGANFTYEILLIASGSSVIKLTDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKLNDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDANANGDVTAVKLKDGTVLEADIVVVGVGGRPLTSLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPMKMYNDLRRVEHVDHARKSAEQAVKAIKAKESGESIPEYDYLPYFYSRSFDLGWQFYGDNVGESILFGDSNPASSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKDGLQFASKI >Dexi6A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:6A:15774060:15774305:-1 gene:Dexi6A01G0011020 transcript:Dexi6A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQEEGDAEEKKLRRSPRDRGSSRSPGNGPRKAAGIREAVGSLGVVPPARELKERNVAGKRHAPAWDLSHGGEGSSRRTL >Dexi2B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:2B:27227639:27228685:1 gene:Dexi2B01G0016910 transcript:Dexi2B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAARRLRLLALCGSLSPLLSAAPPRSSRGLRALCSLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNEFKYPESCLKYEYDRSFPIRGLYYDKLKGCLLKLDFFGSIEPDGCFFGRRKDYLYVHM >Dexi1A01G0029890.1:cds pep primary_assembly:Fonio_CM05836:1A:35303044:35304236:-1 gene:Dexi1A01G0029890 transcript:Dexi1A01G0029890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEDEAASIERQLEQQLEEQQSSLAAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLDLKRSRLVKQIDDIFSNEEPASKVQEVAAEPLDPDDVEPEPLVSHDFSVGSRCRFRHNNGRWYNGCIIGFEGPTDARISFLTPTSENMAVSYLI >Dexi5A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:5A:9150717:9160528:1 gene:Dexi5A01G0012220 transcript:Dexi5A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRPPPPPPHLRALLRRLISSGAAPVPSRMLPSLRSAAAAPRFLFGPRVAVAPRRNGVPARPQRNGVPVRAFMASTAASEAMQEKRMAGEYTAANVQVLEALDGVRTRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGHASKIDVTLHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSELTITLTKEEGHTEVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKELDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKASLTRTINNLAKKSKTIKDKDITLSGEHVREGMTCIISVKVPSPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAALYKNEEIQNLILALGLGVKGEDFKKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKEIVNTFPANASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLKVDDAAEANVVFSSLMGSRVEYRKQLIHDAASTINIDKLDI >DexiUA01G0017770.1:cds pep primary_assembly:Fonio_CM05836:UA:37597751:37599386:1 gene:DexiUA01G0017770 transcript:DexiUA01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAPEAPALEDSSPREATLDDETRALVFPDAADLPASPPSAVEANFARFFVADFLNPGHDQYVYRHPNGLCVVGLAPAHVAFKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCVNDKSFVVRCCVKGSLLEINDRLINQPDLLNTAVIVFEME >DexiUA01G0025470.1:cds pep primary_assembly:Fonio_CM05836:UA:53706696:53707495:-1 gene:DexiUA01G0025470 transcript:DexiUA01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNADRTADSFTHLSTTKLAIANQNRTGLIEITTLSDMDDGKCPYIARHLSKQYCSSVRAACVFMSISVAGRRWAVLRLVGHRDGTAAAACVTTLSFVRKGRGDRCLAAHEGGQLVKEGNEGPFTLQAHSLALSRDGASHGYVLSEHGDQDQPSSEVRTNNNAPHDWPSFF >Dexi9A01G0049940.1:cds pep primary_assembly:Fonio_CM05836:9A:52398830:52399776:-1 gene:Dexi9A01G0049940 transcript:Dexi9A01G0049940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSLPVVLLLMLVVELAAAVSYNESSGESLAQMQWGSARATWYGQPNGAGPYDNGGACGFKNVNQYPFMSMTSCGNQPLFRDGKGCGSCYKIRCSAPAACSGRTETVVITDMNYYPVARAPFHFDLSGTAFGRLAKPGRADELRRAGIIDVQFARVPCEFPGLKVGFHVEEGSTQVYFAVLVEYENGDGDVVQVELMESSSSSRISRRWTPMRESWGSVWRLDSNHRLQPPFSIRLRSDSGKTLVARDVIPVNWRPNTFYRSFVQYSSS >Dexi5A01G0032680.1:cds pep primary_assembly:Fonio_CM05836:5A:35119816:35124688:-1 gene:Dexi5A01G0032680 transcript:Dexi5A01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQIWMKLDSKDYTKCIERPKNRYNSTECLHLSSTCSPFSGINSATSGYVIVNANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKETLKDDIMIVDSLPPDYKNLKPYIRAPKSWAKASYYRAFSRTLKKAKVVKFTHTDSRFVNNGLPPSIQRLRCRANYEALKYNQEIEELGNNLVDRLRNGTNHYVALHLRYEKDMLAFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKAMGYPSSTKIYIVAGELYGAHSMGALKAEYPNIYTHYSLATANELESLKMYQNRLAAVDYNVALHSDAFVYTYDGNMAKAVQGHRRYEGFRMTINPDRKKLVELIDKFDEG >Dexi2B01G0027090.1:cds pep primary_assembly:Fonio_CM05836:2B:36029156:36029489:1 gene:Dexi2B01G0027090 transcript:Dexi2B01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTILYGLLVLGGGVAGYLKRGSAASLAAGAGFGGALLLAGALSAWAFARGGGGAATVFATALQIGPVGTV >Dexi3A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:3A:6587137:6589802:-1 gene:Dexi3A01G0009430 transcript:Dexi3A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQQALLRRLRPLRTVAQSPPPWAGGGLGCYYGSASEGRKAKTAPLQARGMVDKFRMRAKGGDGGSGCVSLRRSRSDRQGRPDGGNGGKGGDVILECSRSIWDFSGLQHHMVVNIFELESLFTFGFQRGGRGGNGVSKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSFAVNKPTRALDPWDIPGAAEHSSASSNQIDNAGMRGFDGGLSRRHISPEHNTDGYGTEKESNTQSGKYLHTCSKPGFSNTDCDARTYPHQEDMDEKGQTESEDEEFWEDEDEFDIDKEGEEEEEEEEEQNLQYSVAEMTQPGQRLIIARGGEGGLGNAFIMKEMRPSKAYRQEKISRLSSGQSGTETFLILELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYEFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGIPPWEQLQDLVTELEHYQEGLTRRPSLIVANKIDEEGADEMYKELKRRVQGVPIFPVCAILQEGVPDLRIGLRNLMDDSDPQGIDLRKITVH >Dexi5A01G0006230.1:cds pep primary_assembly:Fonio_CM05836:5A:4640281:4644266:1 gene:Dexi5A01G0006230 transcript:Dexi5A01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKLVEAEVGGQRAIEGISTQVEDTKVMQTDKARRYVPAEPIRIQRTPASPWEGRISATTRRCLPRHLTPFQETSALLLPPIDAAALKANSAASSSAPAAATANDETAGPSGHLLSSFAPPDSRKRLQQGKVFSCTDPAVPVRVCSSRSGGQGTLSAYCLLAKVLHFIGLVYYEDRRANAAPVKKKAADSSRGIKDWEEGRAVAAPEKMHN >Dexi1B01G0028920.1:cds pep primary_assembly:Fonio_CM05836:1B:33334328:33337799:-1 gene:Dexi1B01G0028920 transcript:Dexi1B01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSQQQSDHHHLLHQPPTIRAPEIDISELESLFSVTMPNMEAKRQRQHPSVATKQEKVHLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQVADLKNSLSTINSVAEEVLSDKLPEVLDFNRDLAHLEPASKVAYI >Dexi3B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:3B:10423336:10424138:1 gene:Dexi3B01G0014430 transcript:Dexi3B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEERRGEGERGPAAASPSLCHVRHHHRGGDAAHAQPPHKSLIHGPGSIPGARVVAAHCHAGRPRCHSACRGRAPAPLLAAARRPSTISLASSSTSATYHPAAAAADSCSSSKATAELAASNVPAAVCPADALALSAKNASARVPGSCTTSCQRSAARAGASSAASPQVTANACHCG >Dexi4A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:4A:9034659:9036480:1 gene:Dexi4A01G0011170 transcript:Dexi4A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSGIPIKAEQDSDGSAQSTADMTAFVQNLLMQMQTRFQAMSENIISKNILCAQCSLDEMGMRIDELEQSINDLKAEMGSDGMTTPSTKKDEGFSEMGSGGMTTPSTTMDEGSKPATV >Dexi5A01G0032800.1:cds pep primary_assembly:Fonio_CM05836:5A:35173001:35173291:1 gene:Dexi5A01G0032800 transcript:Dexi5A01G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPGGTPRASAQAMTALSRSSDPEKSRGAWQITWAISRTARPHLRSIALFGSTTVSRFRWRRWTLSKEPKKDMKNAIRETYAGLTNA >Dexi8A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:8A:2842209:2843452:1 gene:Dexi8A01G0003720 transcript:Dexi8A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAAVERTVVPHTDYLTHAKVVTASAVGIDDSVVLTSIGRAVAYDFLVIATGRTCTRPQKQSDRLEMFQRDKDRIDGAASVLVVGGGPIGVELAAEIVMTCPDKRVTVVHGGPRLLKVMGPRASAKALEWLRSKNVTVLLDQTVDLTGASPDGSDETRSFTTSAGETVEADCHFVCTGRPVASGWLKGSFIEEHTDEEGHIKVDDHLRVGGLKNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLRLLVKGGACKEEKLHRYKPCPKAPITVTLGRRDALAELPFMTLIGHIPGAVKPRDLFISRTRRMMGLKSSRPYGNMPRAM >Dexi8B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:8B:22946778:22947639:1 gene:Dexi8B01G0013060 transcript:Dexi8B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGDEFKALVLEYICNGNLDEWLHPNTMTDGMISRRLSLMRRLHIALDVAEALDYLHYHIDPPIVHCDIKPSNILLDDNFAAHVTDFGLAKIMQSEACKKNHPEIEGSSFAVNGTIGYVPPEYGSGSDVSMAGDIYSYGVLLLEMFTGRRPTDSFNDGAENLVSYVKEAYPNNLLEILDVTATYSRDIDIQNIIDILIYPIFRLALACCHDSPKQRMKMHKVVEELNAIKRHAVQMF >Dexi6A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:6A:4847074:4848867:1 gene:Dexi6A01G0005340 transcript:Dexi6A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAARCPLLRRAPAALRGGLSRSGMARRLVAASAGGGSRAPAYAGLLLDAGGTLLQVARPVAETYASIGRRYGVTKPEKGIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATNCTDDDYFEEVYQHYAHGDAWRLPDGADTTLRELKDAGVKLAVVSNFDTRLRKLLKDLDVSDMFDAIVVSSEVGYEKPAPEIFKIALDQIGVDATKAVHVGDDETADKAGANAIGLECWLWGADVKTFSEIRDQILRTDDPK >Dexi9B01G0026880.1:cds pep primary_assembly:Fonio_CM05836:9B:29273156:29286866:-1 gene:Dexi9B01G0026880 transcript:Dexi9B01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQADRPQLVSQSTWIAQDGVVVTSRFLFTEPSRQPQLVSKSTWTGRMRRGDVMGPVHRTQEAGIQEFAGALPELDAGELLHHHAIIFTKLEQGEASPSSSPIAPLLKPKRTFSMGPAKVSQTINKIRIIGYEDRQQHEEVHSSTNKRKISDLGAAWTNNELMCFHKAYYRHGKDWKKVCAVHFPLVFLILTQISAAVGNKSPDMVKALYTLHRTFLSLPKHQATSMGFIALVTGHGNILELSPSHKGNGQTIRASGKAKKHREATQLKAHEAAHPHDSCHAGTVSGFSTSFKKRYYGGKYSYEKWNTQSHPVRNRTPRVAVIVPTYRNATDGATPELENVINDMLILEVLRSLVNASDKMSKFKINIPSGTLGKREFSVSESKSEGDSPVDLSKQGKLVHEFSPSKTQQKKHTKLLDAVVPTQINSAHSIDITEGASNSDSTRGIGALPESTADISCDVYPNVPREINPEISMSRRRKMKMKMHNKKKYMSCNEGSDNVQARKLVHCLSSELLRRWCTYEWFYSAIDFPWFMDNGFAEYLSHPYLRHISRLARTEWNIIRSYCGKPRRFSDNFLMVERKQLEDYRKEVRTYYAQLSDGSLDSLPVDKARPFSIGQQVIVRHPNSRELCDGKVVMVEHDCCKVQFDNPELGVDLVKDIDCMPVNWLDNLPYDVRSRLDAHDVHNILDTEHVSKLAPSGNRDHVINEISMLLNSLDITSDEQHEAEYSVDSEKTQEESTADVIVQYVDLLKSNDDHYNQLELYCSTFVQSLQSQAREMVDKVMQATSGCSISQYEEGGAGNQATNNCVNHDFGSATRDVQIPSKLIMNCTAMVLAIKVNNSQYFPKKNIKTFVAISRREDKVIMVSISLCIPCAIRFHAAPCRLAASTSANIAGILERFSAMLRPSCSENLAIYRDVEKHIPILVSQTMALVPRTL >Dexi5A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:5A:510910:515722:-1 gene:Dexi5A01G0000750 transcript:Dexi5A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFRLGRKIGCGSFGEIYLATHIDTYEIVAVKIITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVLPVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGNLPWQGLKAPTKTQKYDKICEKKISTPIERPDYTFVKRLFRDLFTRQGYEFDYVFDWTVLKYRQSQKQRQSPGVPGRPIQADAQKQAGVNGVFHHNEAREHVETSHPAGQAAQSQGKQTSDKDRNSNVQRSVNLRQNMAAGKAQLTSASLPSSLWNNDGDSRQKGQSDDVHNNHGFVNISGSSNGLLPTLHNAPAK >Dexi4B01G0022060.1:cds pep primary_assembly:Fonio_CM05836:4B:23809281:23810944:1 gene:Dexi4B01G0022060 transcript:Dexi4B01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRTEEAIVLLIRGSNLGPTKGFDKGLRSTESGIINGTLEARTLEETNRLCSRPGRVPRSRDPSRGSIEPSTSIEWGRPTPIEWGMDVRSNHPLPAQPGTRGSIPSREARTGSARHEKFEIPPTSGTVVEPTSEEAAGLGGYTRGCASAPPRILGTNEDTRALKAWTNTNLRRMKTSSLRRLGGYFFGAHPARGKRPPSSGCFPSRSRLGGLEDDPYPRGGVFRLVRGSASSSLPSKLEPASTGTTPNAPRFVRVQDHYSTPSPTLRRRHRAMLRARPNPASSTPPSKAASKETMERPAQDTPLDVVNCHEKEGRYVKLEGAIPAHVRRGMDEQCTQRQTHVLAPRPLVYKRGREAHAKGKTRREHKSQTHTDHNQGPRSSSPSPTLLVNPYHEQHATRCIAPLLDVRPRGRNQDKTPSLTLAIRETSG >Dexi1B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:1B:9682221:9682784:1 gene:Dexi1B01G0010340 transcript:Dexi1B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADGTPASPSPPPPGTGKCCSSSATLELVGAFTAVCLVLYGVILYMNYLYVRWSGRDGVHRTDSGAGMPARKRLPAGIDKAALAAMPVLRFKADAHIGGDGGGSPVECAVCLSAMQDGDAVRALPGCRHAFHVACVDAWLCKRATCPVCRARPALPPPQQAPKSGAKAAAVAGSFGWQPDLESQV >Dexi5B01G0030210.1:cds pep primary_assembly:Fonio_CM05836:5B:31177583:31182116:-1 gene:Dexi5B01G0030210 transcript:Dexi5B01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAAPVLIFHSCCSRSPRGPAGPASAAAAEAGKPAASPLKWVITNFSVATLPNTVIIGMPLLDGMYGSVSGGLMKQIIVMQFCIWYNVVIFLYEFMAARDSSAKIRPLMPAAAENGGSIHDERSQNQVVVNIEVAEVAAPPPTAPDSTSKEPPPPAAKELSTDGIPAAAPAPAALPLAITSFVYAEEYKVHADIMSTGKKDTQHVDDH >Dexi9B01G0044440.1:cds pep primary_assembly:Fonio_CM05836:9B:44185311:44190731:-1 gene:Dexi9B01G0044440 transcript:Dexi9B01G0044440.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFYLIGRDKSRTHWRVLKIDRLESTELGVEEDPTSYTESECQELLWRIHEGNRLTGGLKFVTKCYGIVGFVKFLGPYYMVVITRRRKVGTICGHEIYSIGKSEMISIPSVIVWPNVAYSRDENRSFIFSTCKYCVIPSVVLNNITILNCLKGFIFLSLISCRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPSQMASVVQHRGSIPLVWFQETSRINIRPDIILQLATFRKGTNVLALLNKVASDVLDLTEFLHCKISTSAKSEDAASGERTVAKPCDDKSNSNQSECVAKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHALGLTEAPKLELHAPPADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHEKQDAINVFLGHFQPQQGKPALWRLDSDQHYNIGRQGTLKEEIGRSFIKRSLSDGNILLENSLPVSNCNNGESNTVLLPMQQMDDIRETSDSAPDISICEPNPCASMNYGPVSGRHSMSEERQNYLKRLGYPELHSSNFLDLDLLSSSGNSCDDEVFERSSLINSPMDEVSVESSTSYSEQGHIEEGRDDTDLSRSSSQLSDVRDYSDRFAHWVANGGMLCY >Dexi4A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:4A:24043339:24048178:-1 gene:Dexi4A01G0020410 transcript:Dexi4A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRAAADDAASALERDSGDALRRVPLACRDALRLRDDAVALRAHLASVLQSLSLAEGSSAESIAALARIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKIDAVQDLRGILIRIERFKSLEVQYTKIHVKPLKKLWEDFDLKQRASRVDMEKFGGESLNGLSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPRVLVETMSELNSSFVSRVNIATGDVVPETRSVAKGILDVLSGDLPKSTKLQNKHLQALIELHNMTGTFARNIQHLFSESDLPVVLNTLKAIYSPYETFKARYGQIERAILSAEMTGIDIRGAVPRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDVMLQYISNLQEALKSLRVVCGLDSDALKKDASLEKKEAHRSVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSLSGFGSSLDKSPAAISDENVDLPLGGRAALDIATIRLSDLPDKSKKLLTVLEQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGASGNEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSIPRDQVRGLIKSDGGSQLDLPTAHLVCKIRRISLD >Dexi5A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:5A:22738173:22738403:-1 gene:Dexi5A01G0019200 transcript:Dexi5A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAQLRAQAARAAELASKHGGSYYKEVMEKNKQYVVQPPTVEKCQELSKQLFYTRLASVT >Dexi6A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:6A:25035267:25036156:1 gene:Dexi6A01G0017160 transcript:Dexi6A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTCNGLLCLCDNTVPGGAISLLNPVTGEPPLTLPPLPGRSSGFAYDAMTEQYKVVRLPCYLDRSGGFNTLQVFALGKKKKKNKVAATSAWRDVATPGASCCLDAGVVSVDGVTDWVTKDAERVVSFDVREERVVCTRAMPARAKRGYAWQLAEVHGRLGLVLSSERWMTPEKIDVWVLSGGGGDRHGWSRRYTVKVNDGVRHWMSLPHLAHGDCLLSECNHGVFVHRLRNTWRWLTGEVRSLGINEEKRGMQVSGVFGGRIVGCSLTSKPGSR >Dexi6B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:6B:22980596:22981066:1 gene:Dexi6B01G0015690 transcript:Dexi6B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPGKYAFGYHPTTGRYKVVHIPCGRRQVFTLGVDTSWRAVPVDTTPDGGATYNRLCDAISVDGWTYWLDAFSARVMALDLDGESVSWSWGMMRLYQHKVDDLTGGGGGGRRSSAAKGRELLMNEDESNGVLTTFAYVETLEPLPNIQG >Dexi7B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:7B:3913030:3920709:1 gene:Dexi7B01G0002330 transcript:Dexi7B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGDGEAGRGWDPLRSGSAPPTMEGAAAAAVAAEGMFGGGGGGGGGASFFSGMDGLGFGARLDEVSRRRAAAGVQEHFGNSGSLSVGPPGLLFNGTGDLDERQFAPSRVQNGLAMANYSKFEMGPLWTDTDPDNAEYRRNVQNRFMSNIEKMNVHRDLNASFMSDSDLSDALSGLRLSNSRVMDGMNHGEELLDELLKRQRDFSTKIGVDNRTPLVGNVFPAPLSDVCSPPIYGDGILRRQTSALDGSNVSRMSRHHFNDVDHLSLAEQLAMMRSGNLPRGVNLSRNAAMTNMINPMSNRYNSVRDMDLVRNRRAFLEDLLAEQYLQDDNLLYNDRRIYHDEPRVPYSRMPRSGSHFHPNQGNIQSHSDRQSRLFPFNRKTTGRNVGSQFYHDNTLANYLDPPSLDNAISGDDLDLIDVIGRVKEVRQVTTMDQYGSRFIQKALENASPEDREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADQLKGHILQLSLQMYGCRVVQKVLEVVDMDRKINIVHELKNSVLKCIGDQNGNHVIQKCIECVPEDHIPFVIQPILSQILVLCTHQYGCRVIQRVLEHCHDPATQSAIMNEIVQQTFHLTDDKFGNYVVQHVLEHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLEFGTYEERDSLIGEIISSGQIFQLCVLGGPLASRLQLTLVALRSVLQELMKDQFGNYVVQKVLQKCDDKYIEMIVSSIKLHLNDLKNYTYGKHIVARVEKLIVAGEKRAREVSESAQQQQSPICTAVEAP >Dexi6A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:6A:4769047:4769541:-1 gene:Dexi6A01G0005230 transcript:Dexi6A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSELQERARFVQSSAASAGVNFDEERWLRRVRRSMEKEAAEALGAAAKVFDVPRVLRQTRPEAYLPQHFALGPYHCNKPELRDMERYKLAAAKRAEKLFADGQKFEHLVQRLLLAQDKMRAPYHRYYVN >Dexi1A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:1A:2861776:2863283:1 gene:Dexi1A01G0003900 transcript:Dexi1A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRAPTIRAKPSSRRSKLRPRPTAPRKKTSNQEDTSTQDSSSRDWSDLSDGPTSLIASHILTGGDVADYIRFRTICRSWRVSCPSPLTHPILDDSRLHPRQWIMLLGDDDEKLTAAGAPHRTRRGFLHVSTGQCVHVDIPELHDHGVLRSFYGIVSDSVVVVDNTTTGKLVVAAKLATSIRRMMNDTAHLVELAGELMLVHLKTRRVRGPRGEFNAFKTTCKLYRVNMATGKVTPASARGRAIFVGGRRALAVSPQVFPSLSGYTVYVGFNVGGFGRRISAYNLRDGSSETFDYRKSGMVHPWSIADCLAAYVSG >Dexi1B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:1B:22961876:22963072:-1 gene:Dexi1B01G0016480 transcript:Dexi1B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAPTWADPPEGLLDAIFSRLPSFADRASCTGVCTNWRASAARQEHASPPLLPCLLRPSTAGISRCFHFFSKTSTDHPAVSEARFFGSVPGGWFVVARQQWRGYAVLNVSSGEEISLPDGSREDQILIPIDLRVGILPSALVILAATVSPAPTPDGHFVVAAITFGHHKAVVWQPGMNRWVPLEAEQGLVGPALGQRSQIMLEELEDVIYYSCDQHEGFYFLTSQEHLLVFDPEDDDGQLFGDLDGYLFPDHLMSSPPEAGQEVAGRYLVESEGRLLMVKRFIAPGKGTVSFQVFTLEWDSSDPHWVCSDADAVTGKLLFIGRGCSRAIRTGRSGPGFIYFLDDAEGFPDVMSIVRTDKQYRCSDAGWFRYSPQYIEKSWPQGPPPDCSPWIWLYH >DexiUA01G0013670.1:cds pep primary_assembly:Fonio_CM05836:UA:28739883:28740602:1 gene:DexiUA01G0013670 transcript:DexiUA01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETAENVAELLNISRADQDAFALRSQQRTAQAQQNGILAQEIVPVPGKKGTVTEVSVDEHPRADTTLEQLAALKTPFRRNGVVTAGNASGVNDGAAALIIASESMAHAQGLVPRTRIVAMATAGVEPRLMGLGPVPATRKVLERAGLSINDMDVIELNEAFASQALGVLRQLGLPDDAEHVNPNGGAIALGHPLGMSGARLALAASNELHRRNGRYALCTMCIGVGQGIAMILERV >Dexi9A01G0027770.1:cds pep primary_assembly:Fonio_CM05836:9A:32424014:32425784:-1 gene:Dexi9A01G0027770 transcript:Dexi9A01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMINTERVNSVEVIRAVKRRIMLKSPRVQYLSLVLLETIAKNCDKAFAEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGDDLRYLPVYELTYTSLKSRGIRFPGRDDESLAPIFTPPRSVAAAEPYSEASQEGYQEIPDESFAPVRTVPVVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDDLTTTLVQQCQQCQHTIQRIVETAGENEAQLFEALGIHEELQKVLAKYEELKEPVRVEPEPEPAMIPVTVEPEESPRAVSKQDAHKKPGGSGDRPGGKPGGSGDRPGGDDLLQDLDDMIFGKKGGPSSQQDITPKKEKDDFISF >Dexi9A01G0029320.1:cds pep primary_assembly:Fonio_CM05836:9A:34151291:34152444:1 gene:Dexi9A01G0029320 transcript:Dexi9A01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSFKAVALLSVLFACGALAQHNTSDSDDRRSMLSYSTAWVPAKATWYGAPTGAGPDDDGGACGFKHTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCLKSNHPACSGVPQTVVITDMNYYPVAKYHFDLSGTAFGSMAVGGLNDKLRHAGIIDMQFRRVPCNFPGLTINFVVQHGSNPMYLAVLVEFEDKDGDVVQVDIMQHDSGYWEPMHESWGSIWRIDPNRPLQGPYSLRITNESGRKLVAPDIIPANYIPDTNYRSYVQY >Dexi5B01G0025470.1:cds pep primary_assembly:Fonio_CM05836:5B:27469680:27474600:1 gene:Dexi5B01G0025470 transcript:Dexi5B01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYASSSARARAPPLPAAAGARPLHPLAGVEEGEAVAATHPGAHRVRRRGRKQKQVREKLHFLHRDGALFIFVVPFGLRGADGVSVLAGHAGGAMQLWPKTVLRKWLNIRSPESDFSADEGDTTDDTDSEVEYEAEMCAWERKLHDEERSFHGFGAETIGDLNYRINLPYEKTHQLISKQDWNELFEKDQLKVELKKGHLFEGWTEGVVNFPPTYKYKVNSEKYIGDDHKSGRRTPAWCDRILSYGNGMRLLSYKTVDLRLSDHRPVTAVYMVDVEVFSSKKLQRALTFTDAEAEEQLSFEEDSTSGIYNLGLC >Dexi2A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:2A:14443875:14448877:1 gene:Dexi2A01G0012460 transcript:Dexi2A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATAGSSSPPITPRADDSPEPSLPGEKVYVAVGREVAESRATLLWAMHKFPRGAGAVSFVLLHVYSPPKFLPFLGARIAAAQVGEKELAAYKKMELQRANDSLDQYLHLCTQGKGLVDLISEHHVTVLVMGTAVDKNYTKKMKILKSRKARFIEQQADPLCKIWFICKGTLVYCREAVQPSHEEMQECRQSPGVTYYSVEKSTSLSEMWCVANTWLCKSTREPHIERTNSDPFHLTGKENVEESCESYDNFQYVLRELESARKEAYEEKCRREKAERELFEALQKAHASENSYFREMKQKNELEEKLAAIMEEIESLTVEILSRVRHPNLVTLIGACKDAQALVYEYMPNGSLDDRLACKDNSKPLSWHDLKASNILLDGNNVAKLSGFSDVMRDPLIAADGFTYEADAIREWLDSGHRTSPMTNLELPHRDLLPNHALRSAIQEWLQTNGD >Dexi4B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:4B:762788:765411:-1 gene:Dexi4B01G0001210 transcript:Dexi4B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSASSAAGVGMGMGVAGGGYPPPPPQRGPPVFTAAQWAELEQQALIYKYLMAGVQVPPDLLLPVRPGAHSAAAFSFASPAATSPFYHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHPSQPQLSTVTTTTREPVPLDNLTSGGKTHGLSLGGAGSSQLHVDASNAHYRYGSKYPLGAKADASELSFFSGASGNSRGFTIDSPTDNSWQSLPSNVPPFTLSKSRDSGLLPGTYSYSQLETPQELGQVTIASLSQEQERHSFSNGAGGLLGGNVKQENQPLRPFFDEWPGTRDSWSEMDEARSNRTSFSTTQLSISIPMPRCD >Dexi9A01G0028670.1:cds pep primary_assembly:Fonio_CM05836:9A:33455526:33458133:1 gene:Dexi9A01G0028670 transcript:Dexi9A01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKESRYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKVLYMDTALALFCFCILTLLNFTTWLACKSAYIVTELIILIQVADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVSDPSKRITIPEIKQHPWFLKNLPKEISEREKANYKDTEAAEPAQAVDEIMRIVQEAKTPGDMSKVVDPALLAEMAALESEEEEPEPDTEDTY >Dexi9A01G0011940.1:cds pep primary_assembly:Fonio_CM05836:9A:7567811:7570363:1 gene:Dexi9A01G0011940 transcript:Dexi9A01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPRGPAFLVHMDGIHKAKACQNSSARRRHNSEQTASRRAVPVQTERRFRRWEHMRVAFEHVSNAKWRPRRLTFTMVQSAPLSRARATKSYFPAAATAVPRLPGQLVPNFLACLAGTRRRSPAGGSGSAPRSHAPAPTDLTSTLKAAIYLLYTPGPTRGRIDPFLPHQHHTPSSSQSTRVRPVLRHFLPPTKEPAVSLRAHWHGREASPTTTLPPRRHGTRHTRKAQADELLPQILSSMLRRNRGCEFGFQFRRAPMELRF >Dexi3B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:3B:9708105:9709467:-1 gene:Dexi3B01G0013580 transcript:Dexi3B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRVTADDGAGAGVGRPRLFAMPRLLVGLGAAPPDCDSPTAARSPTSPLDLRAPFAALGGSMLRSPRSPRSWESHRLGLGGLVDDALLAEPAARNRLLGPQMRQPFKLPQRITTKPFTTQPRACGQAASSPVVGNVETAAGASAKPVACSRSYGDVKSGPEVTVPGGAQPGASSHPADLGKFMASGSLPASIGGPRRYVGSVSATEVEQSEDYTCIIEHGPNPKTTRIFGDCILEPCTVRVSDGESLDAMEVKEGAESYWLVKCFDAGQAGDEFLSSCTSCKKKLDGSDSCMCRGGKALSSGNCRDNNEILTEEEENSAGVSSLSSAGSASSFNDDIFMAEMVVLAAPVDAHLL >Dexi5B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:5B:8747542:8749933:1 gene:Dexi5B01G0012340 transcript:Dexi5B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATREVLMLDAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPAVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTAGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQTIRMQKTAVEYNEKIETVNRERKFHQQNTGGQLHALTMEWQELCQKNTAIQAACVDLQNQIDQLKLQAKELYV >DexiUA01G0001060.1:cds pep primary_assembly:Fonio_CM05836:UA:3410818:3413662:1 gene:DexiUA01G0001060 transcript:DexiUA01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKSVLIQLYARPGDCVLDLACGKGGDLIKWDKAKVSYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLLCTDCYEARLDEYLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGTFIGTMPDANVIIKRLRESEGLEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVHEHLQKPEFTELMRRLGALGDGRQDQSTLSQDEWEVSYLYLAFVLRKRGPPPSQRRSTNANRGKMFLTEGDIEFIGI >Dexi9A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:9A:2176626:2178922:-1 gene:Dexi9A01G0004160 transcript:Dexi9A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASTASGDERASGAPRDPSAGTGAAPPPQAHAEWAASMQAFYGGQPYAWHAAQQHIIAAAAAGASAPFGTPMPFPVSFHPAYYAHAAMATGVPYTASEPVVVAAVEGKSKMKNLCAPSFCSASGSDGGSEKSSDKGDASAYHKLLPAAKRRKSSSAYVQGEPSQPATTQDAAAETRSAAKRRSAGKLSISTPETAAISDARPNLNIGMDLWSNSPVKAETSGQSETNAAALPQHDVTLSQMDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTALNGALRSELDQLNKACEDMEAENSQLMGEMEQSEVPSVATTLSIQIDTSKAHHGNNGNSDS >Dexi9B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:9B:5109658:5112133:-1 gene:Dexi9B01G0008340 transcript:Dexi9B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELAWRVRSGVLEPLEDRFAEVLICSLQCYDAQVRRRLAPLLRRHRMALLTAPAVAALLLLFWSAAYGDAQYVLYKDASQPVEARVKDLLGRMTLAEKIGQMTQIERKVASPEVLSDYFIGSLLSGGGSVPRPQATAADWMSMITDYQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRQVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDKQGLMSIHMPAYFDSLRKGVSTVMISYSSWNGVKMHANHNLITNFLKGRLNFKGFTISDWEGIDRITSPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTGHVNSGIVPMSRIDDAVTRILRVKFTMGLFENPMPDATLADQLGKQEHRDLAREAVRKSLVLLKNGKPGDAPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRITIGTTILDAVKAALDPSTTVVFAENPDADFVKNGGFSYAIVAVGEHPYTETQGDSLNLTIPDPGPSTIQTVCGAVKCATVLISGRPVVIQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGYGLTTKGKGY >Dexi1A01G0025940.1:cds pep primary_assembly:Fonio_CM05836:1A:31990551:31991001:-1 gene:Dexi1A01G0025940 transcript:Dexi1A01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRLCPFATPDGKLHTAPLYRLLLAAQETATHIMFECPFAAAFWHSLGFDVHGATTAALDRIHRART >Dexi9A01G0042200.1:cds pep primary_assembly:Fonio_CM05836:9A:45781209:45783670:1 gene:Dexi9A01G0042200 transcript:Dexi9A01G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSGDVKAAILRPVVPVGGGGGSTPAILRGWREFRRSGAPARFLCFEDGEWVDVAGEAVGKLRRAFRDRKVMAEAAFGGRAYLFDFLRMIRIDAATGEETALGWIDDRGACFFPAPNCAGMKRKRDDAPLEDEAESSSGVDERSGESRGAEAAKKRKAGGAWVNTAAAAMLEEGDKYYQVVSKLFLSYGMAQRGAVITAVHKIAHGARARAFQRQGQLLAAARGGAAAGTPKFAWYGASTEDVAAVVERGFARTNAPRLGARKHGDGLHLSPPQCPLSSAMLAKADGSGEAHIVLCRVLMGTSEVVAAGSSQSCPSSNAYDSAVDKLENPQWYVVWSKDVNTRVLPEYVVSFRWPKPQSVQGSSEATSKPKKPSPVARDMFPTLLAEIELLVPDKCDLLQESYNSFKMGQIKKDQFIRFLRSYIGDEVLTTVARKLRGC >Dexi7A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:7A:21780131:21780844:-1 gene:Dexi7A01G0011170 transcript:Dexi7A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPSSTFPPPVIPLRRRAAMAVVLLLWLVAGVPLSILRPRAAKSSSLAVAAVQEKQPERTVLVVASRQAFLRGPHLRRPERPELDVDGIVGGVLLGGV >Dexi3B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:3B:8272628:8275263:1 gene:Dexi3B01G0011840 transcript:Dexi3B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRAGESFPVREEPQRRFVVPVRKKATIARASSGVRKKSKGSDSSDAAMPMPPPSRGRPESAAAAAGAVTVEDTDALECGVCFHPLKSPIFQCKRGHVVCSMCRDELKAIGKCHVCGIATDGYSRCHAMECLVDSIRFTCPNAVHGCTRETAYYDQHYHSQTCLHLPCHCPGEACGFVGSMPMLVDHFKAAHGWPCATMARAAATDDVDEEDEAYAFNVCLHDGFNFLLAECPTDGILYLLLLNVVRQPHGCTISVLCIHPHNDESKEMEVQCELTYSQNVHVKSRRGDGKLIKHFQESTFTVECTDLSDGKPRPDECYQFVVPKSFLPDGDTIEV >Dexi9A01G0043870.1:cds pep primary_assembly:Fonio_CM05836:9A:47579176:47583912:1 gene:Dexi9A01G0043870 transcript:Dexi9A01G0043870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAQSPPFRSVFDAAYIRSEFSTAGISAHFIPLIWKYVLQNPRCGDLDGVPSLPATAYALLRKKFRPTTSTLTTAADSKDRTTTKLLIRLQNGESVEAVVMRYDTRLGKCDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSEIRNIVFMGMGEPMNNYNALVEAIGVFTGSPFQLSPKRITVSTVGIIHAINKFNNDLPNVNLAVSLHAPDQDIRCQIMPAARAFPLAKLMNALQLYQNDSKRTIFIEYIMLDGVNDQEEHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQNVKKFQKIIRGIYNIRTTVRQQMGQDIAGACGQLVVSLPDERSDGGATLLSDIEDLGI >Dexi4B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:4B:19895020:19900696:-1 gene:Dexi4B01G0017540 transcript:Dexi4B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKNARLKALEGAGERLQLLKADLLDYNSVASAVAGCEGVFHVACPVPSGRSSNPEITLFCALCNKTDLRVELIGPAVTGTTNVLKACFEAKVRRVVVVSSGAAVFSNPNFPKGKVLDEDCWSDEEYCRRNQDQDFSLPTYCRLVLSFGSKCIASAGDRETVENGLRNIVDVRDVADALLLLYEKPEASGRYICNSYPVKVSDMINILRSLYPTYPYPKNRYHHISPSLSSEVREREREHARSMEAAGKSVCVTGAGGFVASWLVKLLLSRGHYSVRGTVRDPGASKNAHLKALEGAGERLQLVKADLLDYNSVASAVAGCEGVFHVASPVPSDRSSNPEVEVIGPAVTGTANVLKACYEAKVGRVVVVSSVSAVFDNPNWPKGKAFDEESWSDEERCRKNQVI >Dexi5B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:5B:21981063:21981749:-1 gene:Dexi5B01G0019670 transcript:Dexi5B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRPAPEDRVRESSATAAPPPAKSATMSTAASRTKRSASMPNTGAVGGAGKGSEPSPAAGGRARLRDEQLRQLRELFLRFDLDRDGSLTKLELAALLRSLGLRPAAGDEIHTLIAAMDADGNGTVEFDELASSLAPLLLGPCRPAVAVDQAQLAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGVISFQEFTAIMAKSAVDFLGLAAL >Dexi8A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:8A:24232093:24234259:-1 gene:Dexi8A01G0013950 transcript:Dexi8A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQESEIEDLERVFNTAKATPIKISYGALRLITQNFAQVIGSGTFGLVYLGKLQNGAMIAVKKLSLRIEDISNKKFLDEVDVLRRGYIAPELIDNQQISFKTDIYSLGITLVKLLTQSNEITENWHESLEQDCPQMKRCAQIALSCIKWDPKDRPTIREILDQLDDTETKFRKVDTAVYEPSKDPESSLYQV >Dexi8A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:8A:26398934:26399442:1 gene:Dexi8A01G0015330 transcript:Dexi8A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVRWLLFLPPAVTWSVNLLTAVPSVFTAASADGARRMKLRRSLTSSSSQHQWRLMAPEESQSVRHRRASTAVAAPSNAGEKKRMWRRRSSLRSERQVPPAIAVVELASGGAREMGLR >Dexi9A01G0029860.1:cds pep primary_assembly:Fonio_CM05836:9A:34738876:34739910:-1 gene:Dexi9A01G0029860 transcript:Dexi9A01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTPAEALWFRYTAGVSDYHLYLCNILFLFVVFTLAPLPVALLELRAPAAVSPYKLQPRVLLSRAEFARCYRDVLRIFFLVIGPLQLVSYPAVKMVGIHTKLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWLWIILRQVEAIETHSG >Dexi5B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:5B:8503359:8503759:-1 gene:Dexi5B01G0011950 transcript:Dexi5B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPTVVVDVVEKEPVSIEGVKVPVDTSNPNPNGLEYDNLYLDMNNIIHPCFHPEDRINY >Dexi2B01G0034770.1:cds pep primary_assembly:Fonio_CM05836:2B:41990481:41991034:1 gene:Dexi2B01G0034770 transcript:Dexi2B01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKHAARVMAPRQSGSIICTASIAGVLGMVTPHPYSVCKAAVVGIVRAVAGEVPRSGVRVNAISPTYILTPLVMRILEEWYPEKSAGEHRLMVERNINEMEGTVLEVEDIARAALYLASDESTFI >Dexi2A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:2A:264352:266631:1 gene:Dexi2A01G0000490 transcript:Dexi2A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKASASKIAVDEVITAARISVSLLFHSCPDEAEPKKIRSSRSNRRRGHRNSSSSSYGSESPPRKRSKKHSRKIADKKSKRSKVRSSSRRRHRRSLSPSRSLSCNSSSSPSSCSSSGASERSVSPPPRSRFRDVRKKKGRGRDRERDRRRRKARRSASSSGSSASSGSSRSRGRSKGKSRKRRTFDSAKDGAAKDKMEIDYDNRHASWSEKHMSEDDDRHESKLDIAKKGEHDGDSYKKNLELESPPSMNANETQEMAPTGGGDSDGEDLELILRQKALENFRKFRTAAVMAGKTGTNGVTGNEALVDGPQNTVTKIAEAKSSAVTVTHLQRQGSSLVMKSLGGSPRSEDCGNGAGRFWKQEDSDTGRPTQQKGRTVEATHLNCQFRSPQDGRNSRSVMQRLVNTPGSCASVNQRLGSRAGVSHVNGAPTPRIRSVVSIPAREGLDASTYTTSPRPSENSAPVESSSHVGCPLIDISKAERTNGDERKTIEASASNGSMLSPAEGKSLVRTEDTSENPAPVESSSHVGCPLIDINKAERTNGDERKTIEASASNGSMLSPAEGKSLGRTDDKDSDQFQKKTFSRMHDGEAVEVSYKVYIPKKTPALARRKLQR >Dexi9B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:9B:8601636:8601989:1 gene:Dexi9B01G0012760 transcript:Dexi9B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAELEEEDRADDGGRRGGAPAASAAPVRRRPAAARGGGHACCLCCRRCWPRRDDSDLGGVEEMRRAATWRRYGGWRRGRRSDGRLERLRIRRDGRVERLRTSQSCYAKKKSPAT >Dexi3B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:3B:7018043:7018322:-1 gene:Dexi3B01G0010120 transcript:Dexi3B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVLTNATYRSVEHRVMVNAAEERLSVALFYNPRSDLPLAPMPELVSPDHPSLYKPMTFDEYRLYIRRKGPRGKSQVESLKATDVGGAT >Dexi9A01G0027900.1:cds pep primary_assembly:Fonio_CM05836:9A:32507075:32507464:-1 gene:Dexi9A01G0027900 transcript:Dexi9A01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRGEAPGYRTGQQLALLQPIRSRSKISAPILPRHKKMREAGTSPSSMAPSPAQTAAGLKISLRSIEGVDSNLILA >Dexi6A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:6A:20132201:20135738:1 gene:Dexi6A01G0013080 transcript:Dexi6A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRATTVTWKLLVLAAAAAAVMALASPSQLPVGLQANCTTICGDVIVPYPFGITAGCYLPGYNLTCDTRHTPPRLFLGNGVLQVVGISLENSTVRVVGPNIPILDPYTANGTWGGHGWGLSDDGPYFLSEEYNELVLVGCQLTAELSVILDWDYQVINTCGSFCGEGIDFNKECQAPAKKQSRRCQRCSGIGCCQVPVSIGRSSYKVRLSLMTPGAVNMPNSVFISEEGWFQEPYDHSNMSSSGIPAILAWVIVSEVVPYVSNPRDGNTTCPKNLGSTSCHSSYSTCRNTDSRSLEGYDLVNHFGTLLSEGKLADILDPQVSREGGGEVIDIALLAAICVKFVSSERPTMRHVEMALEGIYEVKVYISSDISDDESEENYQLHDVSAGGKDVDTISKGCEDTQE >Dexi9B01G0038630.1:cds pep primary_assembly:Fonio_CM05836:9B:39600148:39602390:1 gene:Dexi9B01G0038630 transcript:Dexi9B01G0038630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLDMTLDDMIKSNKKSNPSSGGGRRSRGGSGSGGGGGGGGGGSGGVGPTRRSFKRSGNRQAPYQPPKAPDSAWQHDMYPAVAAGGGSGGRVSAIETGTKLFISNLDFGVSNDDIKELFSELGDLKRSSINYDRSGRSKGTAEVVFARRADAVAAVKKYNNVQLDGKPMKIEIVGTNTPTAAAALPITNGGQSRNVVKSAPRGGPTGGMPQRRPHQRGGGRRGGGSGGRRGKERSKPKSAEELDADLEKYHADAMQTN >Dexi6B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:6B:20026913:20027965:1 gene:Dexi6B01G0012410 transcript:Dexi6B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRAARQYYEDPRGYRDAPPPPPARTRVVSPRRLEEELSSRRAEMCRIREENQRLADEIVALRRAMPRLKEDLHASSQAVPKLRAEKELESRELTQRNLKLEAELRALEPLRQDALHLRSEAGKLQSLRQELAAKVQGLVKELEHQKSENQKIPAMVAERDSLRQDLIQARAALEYEKAAKPELTAQVQAMEKDLVAMAQEAEKLRADISKRRAPGFSNHGTYGAPLSTGMGLQGMYDGGYTSTGSRYGTGPWGGSHDPHGYPHL >Dexi6B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:6B:21301433:21309385:1 gene:Dexi6B01G0013590 transcript:Dexi6B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSRGSSSGSGRRTWRRRIADYLADDQTDISDNESFITAHSDEFTASTSAAVGGGGVGGADAGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTAAALYGPTTSSSLAAHTPDGGGARSLSRCSSTSSRIRRKFAWLRSPSPSPSQRHTPAMAVVSDQQAVREAALAARDRRRVQAARLNRSRSGARRALKGLRFISRTTTGSSSSSDADGGAAELWRRVEERFNALARDGLLARDDFGDCIGMVDSKDFAVGIFDALARRRRQNLERISKEELYGFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAEEYAALIMEELDPENLGYIELWQLEALLLQRDAYMTYSRPMSSGSAAQWSQGLSAAAGGVAAGDEQQPASSSAAAAQQQQQKSRLRRRWSPRRAAARARVAAAEGWRRAWVVALWAAAMASLFAWRFVQYRRSSAFAVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARFFVPFDDSITFHKIIATAIALGICLHAGNHLACDFPRLIASGPDEYRLVARFFGRDKPTYRSLLAGVEGVTGIVMVTLMAVSFTLATRPFRKPEETRSKQGGAARRWLSLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDRWYQRTTWMYISVPLVLYVGERTLRAFRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPRRAAFGELGAAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSEDSANSFSVSTASSNNKRRAYRTSCAHFYWVTREPGSFEWFKGVMNEVAEMDKKQGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGRPTLAKELRKLSLDMSHKTGTRFDFHKEYF >Dexi6B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:6B:9902633:9903233:1 gene:Dexi6B01G0008010 transcript:Dexi6B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIDPAAAATDTTRHPALSACRSSHRVLPRFTFRCAMYIATGKYTVSGQKETAPMNPTTEPKKGSSMATAVVTHTERHDLAHSDIPLPYQCAARPGSGYPALDVGEDRLCHDLVGPHQVHHDKGVRHVQQPERLVEAKACEHVVRGPTAERRVPYAPAQQPPRVVVT >Dexi5A01G0027900.1:cds pep primary_assembly:Fonio_CM05836:5A:31260425:31262938:1 gene:Dexi5A01G0027900 transcript:Dexi5A01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACCRLRRLLVPPPPPQAPPPAHPLARGPHGWPFSRLFSSASAVAAVATHEARDSGLGGSTYWAWIRAAAESAPAPAPPPEEEDEGLSRYIPVKAYFLSTSIDLKSMQAEHGTDIVPPSTRTLNYIALRYPEFPPEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKYASGWLPETRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIAISLYEIVKDSNMIS >Dexi1A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:1A:3616834:3618089:1 gene:Dexi1A01G0004960 transcript:Dexi1A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFLSRASPMSIKMKGIFKGLKIISQMFVHKEHEMEIGYPTDVKHVAHIGLGTSDASPSWMAEFKGTEDSSAGSLSTAAQSRRTSWASADFEQPRSMMPIEIFQDNSKPGQEAPSCPEVRRGGPRKPKRKKNRASSPTSSARSSSSRSRASFATAYDAFSESQSGFRVA >Dexi7A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:7A:26581695:26584349:1 gene:Dexi7A01G0016710 transcript:Dexi7A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLVFLLLLPALAAGHEHTSTYGSSALSEWRSAKSSYYAADPEDAIGMRPDFGIAMLRAGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFAVNGRHFFFTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQVWHINCDMRGQPLSFELSSGDGKTLTNFNVVPKDWEYGKTYTGKQFLL >Dexi9A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:9A:3706112:3709260:1 gene:Dexi9A01G0006510 transcript:Dexi9A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGFDSAGRVFSNATEMWAEELGSTATATTSAAAEAEAASTAAAAGNGGIEEEASGEGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGTTKRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTGCMDQGEDSHKAANFYCVPLQDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAKVGLKPDGFFVLKENIARNGFVLDKEDNSVTRSDPYFRELFKKCGLYILSVKDQKELPKELFAVKMI >DexiUA01G0023880.1:cds pep primary_assembly:Fonio_CM05836:UA:48817731:48819602:1 gene:DexiUA01G0023880 transcript:DexiUA01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEKGKFREDSFQKALNALVPASDSDKKKENGKWQKGIVAGKSSEGSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKTNIETIFWSAMDLLSDDDKKLPQASSCFVHFLILVSDIPYRLMHMFVSNMLPLLKHGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRYSFYQFQADRALPDLEVC >Dexi5A01G0039580.1:cds pep primary_assembly:Fonio_CM05836:5A:40151674:40154597:-1 gene:Dexi5A01G0039580 transcript:Dexi5A01G0039580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVASLSLLPHLLIKPSFACFSRKGVGRYGAIKVYAVLREDGAEFAKNNNLEALFHVDDPGPRVPIKKGKFLDVNEALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIETLRKELAELQEELAKAHNQVHLSETRVSSALDKLAHMETLVNDRLLPGGASSTSTAESTSLVPSTSSTTQVRPKKQPRRSLNVSGPVKPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTNMLNVRIQSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQAEISGS >Dexi4B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:4B:8625704:8627896:1 gene:Dexi4B01G0011220 transcript:Dexi4B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSLQVVHQWPGLPKGVKFDPSDQELLWHLLAKHGKSGIKPHPFIDEFIPTVEEEEGICYTHPQKLPGVKKNGSVSHFFHRTFKAYNTGTRKRRKINTDDLADVRWHKTGKTKPVLVDGKHLGCKKIMVLYMSTAKGGKAEKTNWVMHQYHLGTGEDEKEGQYVVSKLFFQQQFKPGEKNAQELTIADGVESMVAEADVPDFTSLPSEGTTMPSEETTMPSEELVGTIQEVVHDPELNPYQVNENCEINIEENAVEETIALPPSDKPEGEDNPESQDPKLWEGDSQFELLDSQQLAEGLALCDEFLLSQSQTSCGGGDEPKVIKPRLAVYAQLPAEDFKKDLEECQRLEPSDAMNLELDSTTEFRLSQIDFSQDSFTTWAGGKMIDD >Dexi6A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:6A:12624979:12626813:1 gene:Dexi6A01G0010260 transcript:Dexi6A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSATLPDDSIAEFLRLLPAASLATARCVCKAWRNIIDGHELLLPHILPHNVHGIFINYSNHRRPHLFASSSSSSTSSDVDGMLGFMPNEQRDWWSVMDRCNGLLLCCINMDADLCVCNPATRQCTLLPRQRKRMWSDEPPHDAYAYLVFDPQVSPHFEVFLVPSVPEKPPPPDRWCKEERDRRALLLQQELEAPFCLDWFFSSPDDELVAEEIDDDLEEMPPVDEDDMESVDDPCLLMEWPPSQRVVNVFSLRTGRWEERTFVREGDPAGIVKEMRLDPPSPTWLGPRWRYAVYWHGALYVHCQGGFIARLQVSGDKYQAIKTPANTHSGKPYLGRTDVCFGIVHSLLRVWILSESHGRMEWVLKHQHDLLPHAQYISSCYNNGKHAKGPWTVIQEHNDDLYDSDDISETASSENFEWNSNNTDILSVEVGDSDNSTKETLGELNFDWLSVDDEDGDEEYYSSRTFDILGFHPHEDVVFLVEPFGAAAYDLNSSKIQYLGDSKPKSYDQTPSHGIFESFVYTPCMIGELHKGNLGLITHS >Dexi4A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:4A:6775314:6777602:1 gene:Dexi4A01G0008820 transcript:Dexi4A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEDVIMRYINLPEHDRGGSKGPVNSNIEELQQEIRKYQHQVQALEERLRMFEPDPVALASMNEVEATEKFLMETLTRVEERKKYLLCNHMGPFDPSPSDMQQHVFGMPPAPVPPTPQQQHEQQQEAGDMGAFGVGADVAAWFADGMPGTTPSIFGGLDPIMAFREQAMFDMRRDGVVDPGMAAMCHVETNGVGPSDDWQQAYTSAELLSALIPSTPFPLDDQVPRDDELISKASSSSALQPTTRFSVHELEAVIQDAMVAPVLTPPPMAPLPPAHKHEPVEASGSCSNAPLGGDCAAAAAAAQEHGGLPGGAVNLG >Dexi4A01G0023540.1:cds pep primary_assembly:Fonio_CM05836:4A:26593798:26596089:1 gene:Dexi4A01G0023540 transcript:Dexi4A01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAHLLPLLCCLTFLLQGAAPATFTITNSCDYTVWPGILSNAGAPPPSTTGFALPPGETIAVTVASAWSGRIWARTLCATDPTSGAFACATADCGSGSVECSGHGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMLVAPQSPAATSNGSCQATGCPADVNSVCPPELRVAAIAGGAGAVACRSACEAFAEAEYCCSGAYGSPATCAPTAYSRFFKGACPAAYSYAYDDATSTFTCAAAGGGYDVVFCPATNSLKSGGNPEAAGLPPSIPTMEFSGDADSLMTSRMRNVVAVFLQISVVFSAMSWQCWL >Dexi3B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:3B:13683680:13683924:-1 gene:Dexi3B01G0018470 transcript:Dexi3B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGRYEAFWKELDQVKQLWRNRNDLKVEHAGVAALFGIELYAWFCAGEIVGRGFTLTGYHV >DexiUA01G0008420.1:cds pep primary_assembly:Fonio_CM05836:UA:15750751:15752116:-1 gene:DexiUA01G0008420 transcript:DexiUA01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPSKRVMDGPSFDVHRAESSHQHLMAGPATLDPGRAEAASKHVTALNNQFASWVQLQQQNHPDELWEDGLKDYLTHASGIMEKFKDVVNWLRQKQAGSTDVSPPSPLKDEKTNPPAADGSKLLVQPSSDNTQKATVMASSSSPFQSSSSPSQNPFSFPSKSQAPDFSGMFGEKKNTSSDN >DexiUA01G0017750.1:cds pep primary_assembly:Fonio_CM05836:UA:37555331:37555837:1 gene:DexiUA01G0017750 transcript:DexiUA01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPYQSSSRTPRCLDECRARRSSGACGGSAGVSAAAGSSGDSGGEDSMGKGRRRGEERSGHRRRRRRKSGHGGWWSSRPGRWRPSSKRRSSNHGGAGMTAAAASRIWTSSIVRHRSMALRVETCLLAGHRVMALAPGAAPAGGGAREQRQMGREKGRGGGGGGERSG >Dexi2A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:2A:13327930:13328385:-1 gene:Dexi2A01G0011420 transcript:Dexi2A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHSLLTAAPQNSTPPSPSNSLAPTTSTLLPPSGSPSGADQINSAAIVKLSGIDPPAKYYLYQLFIAHRANEIKEGVFITIFWWVGGGLGVEQKGDVKEDEPPHFTEEGDGIKGSSDPECDPSSGMLGWTRCTLLDTRSGSKMADSQWSK >Dexi2A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:2A:8584144:8585617:-1 gene:Dexi2A01G0008440 transcript:Dexi2A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSHPNSFGGCRIPAPAYGSGATVPSSCPRAYGRRVSRRPGIIVLLDAHGRLRSTCGPRRHLLRWLPTTSDRPFISLSVAPPIDRRTRPPASALLQL >Dexi3A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:3A:5783698:5785338:-1 gene:Dexi3A01G0008240 transcript:Dexi3A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNKAYVVAIIIQLIYTGMYVVSKAAFNHGMSTFVFIFYRQAAATVLLLPLAIVLERNTLSMNMYNISLKYTSATVASATGNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPFMSPVNHHRAFAGSGGAAHENSSSSSKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCLMSTAQSFLLAVAVVRDPTAWKLRLDVGLLAVGYSGLVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLLTIFCSSFFLGEIVHLGSLIGSGLLVAGLYSVLWGKSKDNLHHQQPPSDEPEAPKHQSTGGFCSGNDDDDEEKQEIKGAKQQSKDDDETQLKDLFAMEASPSPSPLRHQVG >Dexi3B01G0037830.1:cds pep primary_assembly:Fonio_CM05836:3B:40681050:40681545:-1 gene:Dexi3B01G0037830 transcript:Dexi3B01G0037830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVECVSIGDGEERLEEEDGYVVQRRSITGYCYRLTTFSLSYDGDGDLTTGESCRVRWYKVPEENGSFVTG >Dexi1B01G0025600.1:cds pep primary_assembly:Fonio_CM05836:1B:30572533:30576526:-1 gene:Dexi1B01G0025600 transcript:Dexi1B01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMTRRASPAAASPLQRRAMSVRATASASAAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITKPARIALKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMNIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMIHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Dexi7A01G0024160.1:cds pep primary_assembly:Fonio_CM05836:7A:31966648:31967332:1 gene:Dexi7A01G0024160 transcript:Dexi7A01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQKDWASRIRLSVLGALEVDDLIAKNRGRGRKGKNAGGFPPSACWAREACRLLPAAVFRARASSGQPIGVVRRGAGLHISASRPHLLQRLKHAATPLQQQPNPASTFRQARDLLPFPPAPAPIWPPAGPQDQPASSLEHI >Dexi8B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:8B:14668097:14668650:-1 gene:Dexi8B01G0008840 transcript:Dexi8B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRVSLFVLVATVMLLAAGDRVKAQEAHGVLATYNLYNPERINWDMRTASTFCATWDADMPLAWRQRYGWTAFCGPAGAHGEPSCGRCLLVTNKATGAKTVARVVDQCDNGGLDLDISVFRQIDTDGGGMFNGHLSVDYEFVGCQD >Dexi3A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:3A:16487388:16490413:1 gene:Dexi3A01G0020790 transcript:Dexi3A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASSAASGAAYEEQRRKRVLENLKHLEDLGISEMSKSLLQAAKLQKQNKVGVRASPKARKKFDATVVRRSSRVKATVSYKDDDFGELDTFLRRNRRSGGGGKNTDHGREYTGRVSSYEQQQRAFRRAEKLQDGLDSNNPAFVKTMVRSHVSSCFWLGLPTNFCKQYLPPREFRMVLEDEDGVEFDAVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEHDRFKIYIIKAIDEDDVEEAESDDRNASGGTKEEPAQEDSPAAEPPKGAKRRKLRGRR >Dexi8A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:8A:30541311:30545010:-1 gene:Dexi8A01G0018190 transcript:Dexi8A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGTLLPKLGQLLLGEYNLQKGTKKNIEFLSRELESMRAALCSVGEVPPEQLKEHVRIWARDVRELSYDMEDIVDTFLVRVQGPEPPSKKSAKRFIKKMMGIVTKATAQHEIAQEIKDIKERVKEVAERRDRYKVDDITPARTMVDPRIASLYSDAVNHVGIDEAREKLITRLTKGDAPSEHRKIVSIVGFGGLGKTTLAKAVYDKLKGQFDCTAFVPVGRNPDMKKVLKVILIDMNKQGYMHFNLDILDERQLIDNLREYLEKNSGCPVRRVPDGIGKLRSLEELQIHYEYDDQAWRNFLKELGSLWKLRVLHVRMPQSRDARVQVAAVAESLGNLERMEHLSLTYLLSPVSTDTAMWEVAGFLLPEHLKRLFLHWISFSRFPSFCINHSRLPNLSHLSLYVDDLDEQDLRILGGFPQLCCLRLRVQSTMEVVVCNTTSTDGNGCLLFQKLRRCSIWSSEVRFKNYYSGSISFRMWHMDASILLGSGRMDDGKASIASNPMPSVQELSFTVHAREFRDGNCCLDSQLGLEYFASLQNVMIDIICDGASAAVVEEVAAALQCAADAHPNRPTLEMSRYKGGQRIIDAQEEEDLLLLSLGYGGDDSASMPAAW >Dexi4B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:4B:3855133:3858337:1 gene:Dexi4B01G0005460 transcript:Dexi4B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMARTLSSSCCRTRAVASSSLPRRIYTLPPRPPLHASPPSPRASPLRGLRPPLPLVPPPRCSFVRTLAAAATKGSSSANVSQSQRPQGRREEEEMTIASSVNLAGGTLSVGGRTVLSGVPDAVVASSAAAGGAVDGVFLGADFAEPAARHVVSLGALRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESRGAAGDGSEDTAAYVVFLPLVEGAFRASLQGGGSGDALELCVESGDADTRAASFDRLLFVGAAESDPFAAISGAVAAAKSAIKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLIAGGAPPKFVIIDDGWQSVGTDHTATGEPANGDEPPRLSRLTGIKENIKFQNADDPPAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGEAGTEHYRSSLQFPKVSPGVMENEPGMKTDVLTLQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCVLETLGAGHGGRVQLTRQYHQALDASIAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSMFLGEFMLPDWDMFHSEHPAGNYHGSARAISGGPVYVSDAPGKHNFELLKKIVLPDGSILRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHQTGTEALTCGVKASDVHHISEASTDPEWNGDCAVYRHGSGDLIVLPNGAALPISLKVLEHDILTVSPIKELAPGFRFAPVGLVDMFNSGGAVEGLTYHLLDGAKLLDGNGSASGSEAVGLVCMEVRGCGRFGAYSSARPRKCMMGSSEMEFSYDSSSGLVTLHLENMPKERVHKIVVEL >Dexi1A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:1A:25068952:25069857:1 gene:Dexi1A01G0017710 transcript:Dexi1A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRTAWIAAGVGVRLLMIAVLIITVKVTIANENKYSWDGVADGFFKLRSYSYMVAASVMGVAGNLLQIPVAFYLLLKSKRMTPSALILDISMYADVVITGVLATSVGAGFGATVDLLWYVDHVVFTDGDTTRKKYADYYSKAYVPLAFLVVGMVLSMAATVVSARLRARAANHVPDDV >Dexi5A01G0030280.1:cds pep primary_assembly:Fonio_CM05836:5A:33263341:33271797:1 gene:Dexi5A01G0030280 transcript:Dexi5A01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARFRGHQSVAVRRGATPVCPAQGAAWDHATLPAGGLWGPRAPPTRPACSPPPPLAPVACSARLLPVPDDSLARVKTGPPQQQVRGGLPGKSSKGGGRSRDALVGPHPSPDPFSFSSPATPYVAVAWARAGRPVIDRIVMVRVSSRKPRRWTTRDEDPSSQEQGRAIGGAQFSTTPGSVGTDYREIGQVAPVLAEFRFVLGPRMMYRVKSESDCDMLLPEQMDSPVADDGSSGGGSPHRGSGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLLRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAAHVSAMLIPSSAHDHAVLYPMDQFSNDSEKLKQALVFDYLNEANASSKGIAPFGVALSGSHAFLNGNFSASRPINGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELVDPYLHSPIATPSPKSECASPRNSGLLEELLHEAQALRSGKNQLPSVRSSSSSAGTPCETTTVVSPEFDLCHEYWDEHHSSFLNECAHFSGYSFTESTPISGASPDIFQLSKISPAQSPSMGSGEQAVEPKQDTAGSSLPENVRPDALISGNTADPSTFNNAIAILLGNDTNAKCKSVRGDGIAFGSSSWSNMPRASQMSEFK >Dexi9B01G0040210.1:cds pep primary_assembly:Fonio_CM05836:9B:40795929:40799312:1 gene:Dexi9B01G0040210 transcript:Dexi9B01G0040210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLGSLALGGAVLVLFFGKWWQPLADTDRRVKELAEAVDALLRQRAEVLAHHDPSSASDPVRAWLRRVQEAQDEMASIKERHDGDRQQLYVVRLAQHLFLPAGSPVAEQQLKAARALLEQGAKILEAALATPQEPPPLLCDPEDLEGLPDEAAVPATRAHLNEALRFLGDRDAALGVWGAGGVGKTTVLKLVREVCGRVARFEHVLLVAASRDCTVAKLQREVVSVLGLRDAPTEQAQAAGILGFLRDKSFLLLLDGVWERLDLERVGIPQPLGMANGKVRKVIVASRSEAVCADMGCRSKIKMECLNEEDAWRLFEANVGGDAIHGHTQIPTLARQVAAECKGLPLALVTVGRAMSNKRTPGEWADALDTLKASQLPSAPSSDKSTHALLKFCYDNLESDTARECFLTCALWPEDHNISKDELVQSWVGLGLLPGLDDIDDAHRFGHSVVAALVAARLLEPGDNHRHNMFPSDTHVRLHDVVRDAALRLAPGKWLVRAGAGLREPPREEALWRGAERVSLMHNSIEDAPVKAGSAIAEAAAQPASLMLQCNRALPKRMLQAIQHFTRLTYLDLEDTGIQDAFPMEICCLVNLEYLNLSKNRILSLPMELGNLSQLKYFYLRDNYYIQITIPPGLVSRLGKLRVLEVFTASIVSVADDYVSPVIDDLESISCGARVESLGIWLDTIRDVERLARLAPGVRARSLHLRKLLDVRAMPLLSAERTPELGGVQESLRELVVYSSDVEEIVADAHVPRLEVIKFGFLTKLRVMAWSPAATSSLREVAMGACHSLTHLTWVQHLPCLESLNLSGCNGLTTLLAGAGDDGGAAAEEVVVFPRLRLLALLGLPKLEALRVEGECAFPELRRLQTRGCPRLKRIELRPARGQEGTVRIECDKHWWNALQWAGEDVKACFVPVL >Dexi7B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:7B:22828288:22829122:1 gene:Dexi7B01G0016780 transcript:Dexi7B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRRRTDVRHGAAHRHRCRRLIGEDGGDGRVVEVARRGEEELEVVVLADVVDQARVERTQRVYVASSVVERRGRPAGGRVLERPAVVISADLILAGLQSGWLALISAATPATCGHDMDVPDRMLNPTRRRSVERLDGLASPLHAAKMFTPGAMTSGLRISRVRTFGPRDENAATTGDGRIPSLVPSKLSFAVGFAAVLLYFLAAMPWDSPTATAGSRWLSATSSSPLAAVLASIMPTPPAFLTT >Dexi1A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:1A:25055673:25056437:1 gene:Dexi1A01G0017680 transcript:Dexi1A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLRFSNKAHFDFVGAGYNNDLQSYTYAVVAAAVGMAGSLLHIPIALYLLCKSKRTTPSALALDVSTYADIVVSMVLASGVGVGFGASVDLVEYIKHAGSRWDDDANHDLIRYYDKGSVAIVFLFAGMVLSLCSTVGSGASNGLDGF >Dexi7B01G0023410.1:cds pep primary_assembly:Fonio_CM05836:7B:28012799:28013210:-1 gene:Dexi7B01G0023410 transcript:Dexi7B01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLEHTVENTDLFIRTSSNIKTILSRMSETPGIMGQMASLPSSINEDNLNSLIQLNRMVRANDL >Dexi5A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:5A:20606258:20606993:1 gene:Dexi5A01G0017410 transcript:Dexi5A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCVALRLKGVAYEFLQEELGKKSGLLLASNPVHKKMPVLLHGGRPVCESLVIVEYVDETFAGSGRRILPADPYDRAVHRFWAEYADAKVKSRSAAISLPTALRTLMGMIGGDKAEAAEQVASAQGQLEEAFAACSKGRGFFAGDDVGFLDIVLGSYVGWFRAAEQITGKPVLDEARTPRLAAWAARFCAHEAVGDLMPDAGRLVEFGEALRAALAANATQP >Dexi7B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:7B:2743215:2744207:-1 gene:Dexi7B01G0001660 transcript:Dexi7B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSFPRFNPPAEFQFFQQDVDHGSTIPIWHGGVAAAGSLAYHVAVLRDKVQQLEPLVHMAVSPAGHHLSRPQDSIMAASNACTVLHEITAAASAVAHQLSHNRGRSMEMFSHDTAAMIATTPAKPIHPSVAVAAAAAATATNGQSNHELEHSSSIAISEVVELNASYLLAKYTHYCQVCGKGFKRDANLRMHMRAHGEEYKSMAALSNPAGGATSERQCSYSCPADGCRWNKRHPRFQPLKSMVCAKNHYRRSHCPKMYSCRRCDGKQFAVLSDLRTHEKHCGELRWRCSCGTFFSRKDKLMGHVALFATGGGHAPVLPIATTMPTERP >Dexi9A01G0045270.1:cds pep primary_assembly:Fonio_CM05836:9A:48857410:48860762:1 gene:Dexi9A01G0045270 transcript:Dexi9A01G0045270.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDHLRTRKLVRSSLSTSETRPSPAAPPPETPNSSPPRRILGSKLYRAPVVGSPSASVGAARSFGSAPYYFRLSAWIRRATAMAAPAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLIENPSIHIHEMRTVQLSVISKISGALALLLKAAIQFVMLVWFLCLKIPRPDVFVVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKVYFWFEKHFGRMADGAFCVTKAMLHELAQNWGIRLGDTICSAMGNADCISVEKEAGDMNTTAFTSKIDDEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNNNGLLFSTSSELADELMVRIT >Dexi5A01G0036800.1:cds pep primary_assembly:Fonio_CM05836:5A:38240207:38242303:-1 gene:Dexi5A01G0036800 transcript:Dexi5A01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEEDKKPAEGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTGGYVPSA >Dexi2B01G0031190.1:cds pep primary_assembly:Fonio_CM05836:2B:39349381:39350848:-1 gene:Dexi2B01G0031190 transcript:Dexi2B01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKSRLCCVTGAAGYIGSWLVRKLLDRGCVVHATLRNLGDESKTALLRGFPGAAERLVLFEADIYDAVSFQQAIAGCGFVFLVASPTSQDDRCSSKYKDASEAIVDATRTILQQCELSKTVRRVIHTGSILAAAPLKEDGDGYKDFVDESCWTPHNLSYAYSNEALDAYVSCKILSEKELLKYNDSPSRAFDVVILLLGLVGGDTALPYVPGSMQTMLSPLTGDEAFHNSLKFVQALSGAVPLVHIDDACEAHAFFIDECPAAAAPVAGRFLCAAGHPNMRDVVDHYARQHPELRLRITECVKLV >Dexi2B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:2B:10163653:10164671:1 gene:Dexi2B01G0009380 transcript:Dexi2B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMIKQQPQQETPSQDLAELLRRAATSDKTVLMTAINEAWASPGSFLDLFLESFRHGEGTSGLPRHLLIVSMDGKAHARCLAVHPFCYWLHVDGMDFAGEQKYMKGDYLEMMWRRNRFQQHVLELGYSFLFTDVDILWLRPPFQRLPGGDVADVVMSSDFFVGDPASPGNYPNGGLLYVRSSPAAVAFYEHWQASRARFPGKHEQFVFDRIVKEGVPASVGARVRFLDTAVFGGFCQHGKDLGKVATMHANCCVGMENKLFDLRNVLEDWKEYRARLAGGGDVQGFSWRFF >Dexi7B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:7B:19686321:19687866:1 gene:Dexi7B01G0013090 transcript:Dexi7B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQFFVKLFDRSLSVQKVLCDTSVKELIESSCQKLEIKTPEDFYGVISGITLENEDFLSCYPIHRDSTVELRLRMLGGQPVTYAAKFSKAESELFEHVALSSRLVNNSLKDRNWNIVTSVLKLTAYSRFNLKEVLIYFTGQHRNHLCYYGAFGVNDILFENGRVCLRAGIPNRRFDEAGCRLDYMALHNIFRTLRTADGYYSQDFEHLLNYLKDCPAGALSRSEPAIRFLINHPALEFYMDRMKQVMLLDNLLFRVTNKNDVKTIKAAMGGQAFWNWWTILENCPEMVKVLYHDSVMLPWIL >Dexi5A01G0035100.1:cds pep primary_assembly:Fonio_CM05836:5A:36959813:36960374:-1 gene:Dexi5A01G0035100 transcript:Dexi5A01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELRSPLLPPGPQRRRGLALAVAAAAPLLALLLAVVVFSRSLLTVSSPEPVDLTLVAGAREKGAAEN >Dexi9B01G0024980.1:cds pep primary_assembly:Fonio_CM05836:9B:24147017:24152294:-1 gene:Dexi9B01G0024980 transcript:Dexi9B01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEVAPTPAGAGTSAAASSSSSSSTPSPSTKRPTTTLRLLCPSSRATALRPSRDLHVDHPPVGDEAVLVISGPDAPAAAVRAWEHVVGHRVVGDEAMGGEEEEREVTGAVGCRMLPASGQVGCVLGKGGKTVERMRQESGAQIRVFRNREQLPPCAGPADELIHISGSFLQVRKGLLAVSTCLQDNPRPDTSNVPMGRPFGPPGSSPGCPPGMDPHSQRNYLPPQMPDYHTRNYPSIAGPPGPRFFFEQEIVFRMIILNDMVGSIIGKGGSTIRALQSETGASIKILEPVPDSEERIVAISAREVTGSFQSIHDALIHITGRIRDVIIPKPHPSGGMPPYPPPGNIAVGPPPPHPHHSGAMPPYPMHAPMGPFETGAHRPPPAHSVEHMGADRMPYPYGCEQGGPRPFLEQPSPRTWAPEAPNTNSEDARNMPDAVPSTDFGKGPVASENQVATPTSATTEVVIPCKYIGFMSGASVTVHDLKPGDTNSIVVICADPEQTKKAQSLIHAFIFCGLIKPDSL >Dexi6A01G0019870.1:cds pep primary_assembly:Fonio_CM05836:6A:27508931:27512641:1 gene:Dexi6A01G0019870 transcript:Dexi6A01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDPPGSADEKKAPKPEGSSNEHQGLPPAGFSNPFDFASMQSLLNDPSIKEMADQIARDPAFNQMAEQLQKGAQSTGEQGMPPLNPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSSMLETFSSPSHKEQLEERMSRIKEDPALKSIIDELENGGPAAMMKYWNDPDTLQKIGQAMGGGFPFGAGSSAEPSGTEETEEEGGDEEESIIHHTASVGDDEGLKKALEDGGDKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDAFL >Dexi8B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:8B:10405735:10410049:-1 gene:Dexi8B01G0008010 transcript:Dexi8B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPTTPNALRSSLIKVHINLATTHITQTATSSPVSSPERPRPQSPGVGGVPLSSAIGNVLRFVLSSHASAGSPGDDPDGFPLSPTYCARLLDDDGELCRKLASVIEKCIEEGRIPGPPAVVGIPVAEEGPEEEWEAVLLEKGTELKLMYDAVEFELHVQEPYFTQLRAETKTVEGRLATGNYNRSQSLLILFQAVRKYSSFSEMLQAEIISNVLPGISSIEERVKVYRKFYTEEKENSYGVLAISVSKPSHQPYITMTDILAALGYDGLGRLLGMAKTAGTVLDGLPPPRSALISSCMRMHQPNVKGCSLADAARALAKHVHRSTKGWWGDFNGSGKDMVQLHTHPLE >DexiUA01G0005120.1:cds pep primary_assembly:Fonio_CM05836:UA:9279302:9279520:-1 gene:DexiUA01G0005120 transcript:DexiUA01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVRALWGAEEERVVATQKAPGACPRCGGAVVATDVESERRILCLPLCLKSKRKYNCTRCLRRLVTLYS >Dexi4A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:4A:20871314:20873148:1 gene:Dexi4A01G0017110 transcript:Dexi4A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIANLVLTGPEFKEMDENMRLVTMDVIKAMPRPTISTAAPLAGAATASDGVDRISCLPAGILRDIVSRLPAKDAVRTTALAKRWRRVWHSAPLVLVDAHLLSDRSVAGRSPSIYRDIGMDAWAAGLFRILDAMGCLADRVSHVLTAHPGPFRSVYLAGNNMLYHPDKLALWIKLLAAKGVKELAFVNLASRLDDKLRIPADLFKCTALTKLYIGTWCFPDTLPRARTAAFPYLQELGLCNIHMKDEDLAFLLDRCPVLEKLMISSSRWPVCLRIHSRSLRCVQVCQALVREINVASASRLERLLLWEAWGWDDHHITNMSCKVKIGHAPKLRFLGFFVPGMHQLEIGNTAIKVNTKASPNTTVPSVQVLGLHVKLGTYIQARMLPSFLRCFPNIETLYVQSENDDIKFWGPQTTGTSKVINLKFWKDAGPIECIQKHIKKLVLREFRGRKSELDFLKFIAEHAQVLEDMVIVMTHGFSPSDNLGAKLRIFMASAKWANACCKMMVFKSPFPIQGNAWCHLRGFDFSVEDPFDVSKCHGDKCGH >Dexi2A01G0027240.1:cds pep primary_assembly:Fonio_CM05836:2A:38627732:38631606:1 gene:Dexi2A01G0027240 transcript:Dexi2A01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAWLLVVLALLSFVAAAAADDGDVLLEVKSAFVDDPEGVLSGWNATGAAAGAAGFCSWSGVSCDDAGLGRVVGLNLSGAGLAGPVPRALARLDALQAIDLSSNALTGPIPAALGGLANLQVLLLYSNNLTGSIPPSLAALGNLQVFRAGDNPGLSGAIPDALAELGNLTVLGLASCNLTGTIPASLGRLPALTTLNLQQNALSGPIPQALAGLASLQVLALAGNQLTGPIPPELGRLAGLQTLNLGNNSLVGPIPPELGNLGELQYLNLMNNRLSGRVPRELANLSRVNMIDLSGNILSGELPAELGRLPELTFLVLSDNQFTGSVPGDMCGDEAESSSSILQHLMLSTNNFTGEIPEGLSRCRALTQLDLANNSLSGGIPAALGELGNLTGLLLNNNSLSGELPPELFNLTELQTLALYHNKLTGRLPDAIGRLGNLEELYLYENQFTGEIPDTIGDCTSLQMIDIFGNQFNGSIPASMGNLTQLTFIDFRQNELSGVIPPELGECRQLQVLDLADNALSGPIPATFGKLRSLEQFMLYNNSLSGTIPDAMFECRNITRVNIAHNRLTGSLLPLCGTARLLSFDATNNSFHGGIPAQLGRSSSLQRVRLGSNMLSGPIPPSLGGIEALTLLDVSSNELTGSIPATLAQCKKLSLIVLSHNQLSGQVPDWLGSLPQLGELTLSNNQLTGALPVQLSNCSKLLKLSLDSNQINGTVPPELGGLVSLNVLNLAHNQLSGLIPTTIAKLSNLYELNLSQNYLSGPIPPDIGKLQELQSLLDLSSNNFSGHIPASLGSLSKLEDLNLSHNALVGAVPSQLAGMSSLVQLDLSNNQLEGKLGTEFSRWPQGAFADNAGLCGSPLRGCGSGGDLSPLHSVTIALVSAAITLSIVLFIILLALLVMRRHGRRSREVNCTAFSSSSGNTNRQLVVKGSARREFRWEAIMEATANLSDQYAIGSGGSGTVYRAELSTGETVAVKRIAHVDSDLLLHDKSFTREIKILGRVRHRHLVKLLGFVTSHDAGGSGSMLIYEYMENGSLYDWLHGDCHKKRVLGWDTRLKVAAGLARGVEYLHHDCVPRIVHRDIKSSNVLLDGDMEPHLGDFGLAKAVAENRQAGFGKDCTESASCFAGSYGYIAPECAYSLKATERSDVYSMGIVLMELVTGLLPTDKTFGGDMDMVRWVQSRMDAPLPAREQVFDPALKPLAPREESSMTEVLEVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEKR >Dexi1B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:1B:11731255:11733420:1 gene:Dexi1B01G0011530 transcript:Dexi1B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIRTSAPLLVFHLQADWPPPGCGLRTGTAAGGSGATALARQGEWAAAERCVRCLRAWLPTLAET >Dexi1A01G0022570.1:cds pep primary_assembly:Fonio_CM05836:1A:29227287:29228175:-1 gene:Dexi1A01G0022570 transcript:Dexi1A01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPLVRQCLALLLRSKKSPIPLFPATAAQLHALLLTSGHLHCDSDSLRLLFRSYCACGRPFDAHNLLAQMPQPPPVSFSNTLRSYTDLGFHREALVLYSRMRAFDHLTFPFAAKACGGLRLRRYGRAVHCRALAAGFGGDAYVQNALVSMYTRCKEVDAAEAVFCAMRNRTVVSWNTVIAGCVKNGRAERALEVFEMMVAGGVGIDRATVLSVLPACGQAKDLRTGRAVHRLAEGRGLGNYVVVKNALIDMYGRCGSLEDAERVFEDDGYDKDVVSWTAMIGACARP >Dexi3A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:3A:12182079:12186562:1 gene:Dexi3A01G0016270 transcript:Dexi3A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGAPAQAEQRKKNSPEMDFFSEYGDANRYKIQEIIGKGSYGVVCSAIDQHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTREHHQFFLYQMLRALKYIHTEGPTSNLSLTLCTKMTCRTMLLLDGTGLLSCVGLSLLRSFSLVQYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSGDTISLIRNEKARRYLGSMRRKQSIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGIAKVEREPSCQPISKMEFEFERRKFTKEDVKELIFQEILEYHPQLLKDYKNGSEKASFLYPSAVDNFRRQFANLEENGGRNATSDRKHVSLPRTTTVHSTPIPAKEGPAATSQAPQRLPTARPGRVVGPVLPFENSSVADQHITRRVARNPAVPPATNNSSACCYHLKSDSSDRQEHQLELEKDRMQYRPGQHSMEAKVAPDMTRDMRPSLYYVSRGVPKADLTDRVALQRSMLHTAAPFNGMTAVGGGYSKAGVLHYGVTSLY >Dexi5A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:5A:5063423:5063767:-1 gene:Dexi5A01G0006790 transcript:Dexi5A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGTHWPSWREEGGVAAPEPPRQAVFEAGILGLAVISAAMALAARQPPPWLHPDAYFVALSGVFFVGVVQVTASVWATTGGRRATAGKKLLQVSAVALLGVAAGLELASLLL >Dexi4B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:4B:238077:238492:1 gene:Dexi4B01G0000380 transcript:Dexi4B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKKAVVLFIMVVVVAPEPAAACGGHPCPKPAGKCPVNTVKLGACVDRRRRSRPASCPLISGLANLDAAVCVCLAINANVLGVIDLGDVAVDLSLRRLLVYVNV >Dexi5A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:5A:3761658:3763479:-1 gene:Dexi5A01G0004910 transcript:Dexi5A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGNTRLYVGRISSRMRTGDLEDIFSRYGRVRYVDMKHEFAFVEFSDPRDADEARYNLDGREFDGSRIIVEFAKGVPRGPGGSRDMGRGPPPGSGRCFNCGMDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKKLRYLH >Dexi3A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:3A:5418242:5419474:-1 gene:Dexi3A01G0007790 transcript:Dexi3A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASVLCCADDAALCARCDREVHAANRLAGKHQRLPLLAPGGSQTAATAAAAAAVSPPKCDICQECDAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQELEDPAPERPEPSPPPPAKSDDPAPPLFGEGNFSWAAAGVTGTLADWSAMSEQFGGSAAPRHAEAASRATPKRSPRAPPAFGAGQGRVAGGVMDWPLGEFFRGVSDFNGGGFSFGESGTSKQADSGKLGGSAGSSPYYRSSSEDRDAANNELFGQVPEMQWSVPELPSPPTASGLHWQHGGTDSTAFVPDICSPDSAARCFPAAAAAKRQRNR >Dexi1B01G0023790.1:cds pep primary_assembly:Fonio_CM05836:1B:29291963:29292593:1 gene:Dexi1B01G0023790 transcript:Dexi1B01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTQSPSPPLHGRGMATGPLFTDRRTGLSYGDRPGPQQSDTAGAGPVSVHHHEQAAAQPGTTPQVERCRERRQAYEFTGAAPGCSAPLRARALHLAGEAIPHAIINPAPLKRVTAIYAAPSPHQAPASGGRTLHLGSGHWAMTV >Dexi9A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:9A:5881499:5883293:1 gene:Dexi9A01G0009670 transcript:Dexi9A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTERRMDPALYKAATQGKVSSLKQLVDPENPSALSSTTPQLNTVLHLAALHGHAAFAGEVLDKNEELLVARNDDGDTPLHLAAKAGKLEVAELLIRHAQALPQDQKSPLVMTNKAGNTALHEAVRNRRSAVAVALLDADPLRGHDLNERMESPLHMAAREGLVHVVQKIVDYTWVGQEFLPSVSLSGTALHQAVLGTHHRIVEILLEKRPELIELTDSDGNNALHYAAQKDHQRAVETLLKSRTDLAYKRNHQGHSPLHVAASYGSTEAIKALLRHCPDVAEMMDTYHGRNAFHVSVDSGKANALRCLLRRVRPAELLNRVDASGDTPLHIAARMSRVHCALLLLKDSRVDPCVRDHDGQTARSLVEVKLHTGAMDAYEMYLWRQLKHQELKRCRKQQLPPLATYPSRRGSNDKYFERIVETYILVATLIATVTFAATFTMPGGYDQNKGIALHGRNTAFKIFVISNTVAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTILACLGMLVSLMTAVYITVAPTSRWPAYVVIAIGVSTPAVVVLMLGRDVIFVPL >Dexi7B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:7B:25603008:25604812:-1 gene:Dexi7B01G0020190 transcript:Dexi7B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLVKPVVAMVSFQAVFAGLNIFYKLAVSDGMDLRVLVAYRYIFASAFLAPLAYFVERYVPCDPSAISIARYFLSSNNLYIAGMKATTATFATATTNLLPAVTFLLALAFRYERLSIRTLPGQAKVAGTLLGIGGAMLLTFYKGVDVTPWHSHVNLLAHHAAADADAASSNYAMGSLLCVGSCFFYAFWLVIQTKLSNEYPFHYSSTALMCAMASLQSTAFALCFDRDYAGQWRLRLGVRLLSVVYAGVMASGVMLVVMSWCVKKRGPLFVSVFNPLMLLVVAVLGSLLLGEKLHLGTALGAVLIVMGLYSVLWGKARETPAANKLHDLPTSSTGRVKDDGSIVDDVAPPSAPPAALTKLTVVV >Dexi2A01G0026540.1:cds pep primary_assembly:Fonio_CM05836:2A:38126298:38127800:-1 gene:Dexi2A01G0026540 transcript:Dexi2A01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVSISVSLEGNISSIPNSIVNDSKMSIDNGADTSFSNHAAISWAEMRKQWVGHKAEVPEKAPRDPVISWCTTYDDLLSTSDRFPQPIPLSEMVDFLVDIWHEEGLYD >Dexi1A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:1A:25222886:25227718:-1 gene:Dexi1A01G0017930 transcript:Dexi1A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGQRRGFETDRETLTSNKRKLARLNDVTNTRSQPKSGEGQAGEGDSPRASPDSFPPNLQKIPAAPARGVDGWRRGRAQYDRTAGEMNWQTAPFASAGRAEEGCPAHFLRELPVGASRAAGTNGNTASVSFWEEEGQWAQSRRLGLVSYAAPSEPPVQEVRPPNNPRFAASDGAFAAPLPTGGLTPVTGGLTPCDRRSDPLYGRSDSLSRSSDEEIPATAQSSASRVLTRKGKNLVFITDLFHPSPMGSKVDQDDMPSNIEDLDEEGRQKYLVALAHLQNEFLKGFKKDHDTVTRVQEFVMPSFKMSCDKIDVIDTSASTSGQTASAGQTACTAGHIPSAAAVWACSTQAVRSAGRLDRLQQAVRPAYTMVTACTAGQIG >Dexi6B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:6B:20196237:20202737:-1 gene:Dexi6B01G0012540 transcript:Dexi6B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEAKLWQREAGSLRQQLHNLQEHHRQLLGQQLSGLDVKDLQSLENKLEMSLRNIRMKKDQLMIDQIQELNAKVCLHNSFKVYGHGVNEHPESSKARGNILNTENEDALVTLELSQPQSAQRDKSETPSIG >Dexi7A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:7A:23399201:23400328:1 gene:Dexi7A01G0013300 transcript:Dexi7A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAEVSVYGLPLYANLNSVRQYEDKAGTPGSLVAVAIAHALALAAAVAAASNVSGEHANPAVTRHLLGAVGRGRRLACFWMLVSGGTTRVLDMSVTSHSSTHVSCSCSAAWVSGSVPTFTSVTRSCSRPSRRLPFGLMDAVYATAVDHRGRGGGAIAPATGWSWRHHGSTGSGHWSGPGWLRALYVFVMVEQQSEAPVASDPRMFTAVAEDY >Dexi5A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:5A:647544:648047:1 gene:Dexi5A01G0000960 transcript:Dexi5A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHLPDLATARARPPVRRRGVAASAAGNRVKRGESGKTRVIRVADPVREGRLPPPPPLSAAPVTPSESPAAARQREEDEEERQRYYLNMGYAIRTLREELPDVLYKEPSFDIYSTY >Dexi3B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:3B:12924419:12925413:1 gene:Dexi3B01G0017600 transcript:Dexi3B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPAAPPHHLEPWAHQPPPAAAHRMPVLPAVASPPGGGCAAHDRRRSSSHRRGVAASQVVGEETYDGGIEALRAKLMGHLRDSADRLRVPHPSRSSSLSRHPPPPPPPPPKMTSPPTEPEPEPELRAPPPPPPPPAPPQQQQEQQADAAATRPWNLRERSRRRPAPKKSWAASPSPPAPPPSSSSRRRRKRAPFSVPLTAEEIEEDVYSLTGARPRRRPRKRPRAVQRQLDSLFPGLWLTEITADAYRVPDE >Dexi3B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:3B:10594440:10598043:1 gene:Dexi3B01G0014710 transcript:Dexi3B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAADASSSPNALDPPLPYWGGAAAIGREEHRWRLGMESASASAAAGLLEHGAKELAARHLGRTAHNLSSSSLRKKSDLALLRKVPCGPLRRLLDNLQQVLLATKLVLLFPAVILALAARFFRFGQASATMQADVSTGLLILGVLCHSLPLLLRYAASSGEHVAASWDSGLELSRACSIVMLLAYVAYLFFQLKTHRQLFEPQEDEDDGDDSVTQDEAVLGFPSAIIWLGIMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNATEHAGAVIFAFKNKLVGISPSGYLWVPPRRFLCLWWVPLSVLVAWIMGVPMDLDFNLIETGSLFLAVLVTTFTLQDGSSHYLKGLLLLFCYIVIAICFFVLRQRGSMHFF >Dexi5A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:5A:1141999:1142569:-1 gene:Dexi5A01G0001600 transcript:Dexi5A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRSEIGTSEAIRQPPEPPQDAVFALVFGSESESVSYGRSSSSSAFTATAGWLHSSSACGSSRLPRATNAPPACAVLPPHRKMRHSREDRCRGSSSPAGSSSGTASAVPCPAAASSQSAATTGLAVDDIVERPWRNVGGAARRRRGHEEAADDEDKDYGCAGAGRVFVAMSRRELLKDSS >Dexi9A01G0048880.1:cds pep primary_assembly:Fonio_CM05836:9A:51615851:51617079:1 gene:Dexi9A01G0048880 transcript:Dexi9A01G0048880.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSNTEMLAKGRKVAGRSEEMSAHYVFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNTSDCERLYKAFLQEINTFELPLLKSKAVVDANVREKESFIELQVEIERQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLISLQPPRSETERLIADLEKEIASLEAENVACVRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEQKMSIEESGGASDAMAVD >Dexi1B01G0028230.1:cds pep primary_assembly:Fonio_CM05836:1B:32803163:32806891:-1 gene:Dexi1B01G0028230 transcript:Dexi1B01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPPTLIERGMDVRSNHPLSAQPGIRGSIPPRKAWVASSSAPSNRELEARRKLKIPPTSGTVDEPTTEEAAGLGGYTRGCASAPPRILGTHEDMKATKASTNTNLRKIKPHPCEGSGATVGHLQKGYPNLGPKLLGIKIHDDINLAPRPSKGPPSQRGNDHPRAGGFRLVRGSAASRATPTLERVVSLELAIQARAGLAGNNAQRTATHRSRHDAVKKQGSLLHAITYSPEKTSATSKETMERRAQDTTLDAVNCHEKKDDTSAPAMSSAGVGRRDSRSHMHSATNTCTSTPLPLVYKRGREAHAKSKTRRDHKRAHRILGLRSSSLSPNLLVNPYYEQHAIRCIAPLLDVRPSGRNQDKTLSLTLAIRETSDSSSPVSRGHLEPAMEKPSPPLLETPPLALSQPGVAGGSMSPGWIQITIRSLDLTETVDIWQTNMACVEKLKHLPPPPVGSAPRSHHLYVGHGLVTAPARSRIASCIPRSFFLGSVERIEELEDGLFANNNMSRFQRALAAAGLAGDFLSPPHSARAQTPPPCGRGIAGALRKRRLRRRGIYSNHNNSICVPSDQRRRRILTDFGGLVRSPNWRRIERFFEAASISRSYHIIHIRKQDADPATLPERWKSSTVCAFHSVSAALLCLSI >Dexi3A01G0024900.1:cds pep primary_assembly:Fonio_CM05836:3A:20498860:20502955:1 gene:Dexi3A01G0024900 transcript:Dexi3A01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGAMAEDSVLDGLMEVFPQTCLLNSNMIYLQVDFSTLIEVSIQFKDDIDSAADYVIQNVLPNIVPDPRHSSTNEDSYIHGHQHAFDDATTQLTPDPVLNNTNSSSIHFDQNNNEKEDSPMVQQLKQSSTGFSPEAFDIPSTSGQNCVSVQCSSDCLLPDSQLHTSSESNPEISASEGEILLHNDGSPHVTLRSSYSVNLESLDNVIADEYYKKNALMSNVTAISEMLQEVELNEENTKRAISEACQAGKDILVKVEELKEMTTLAVEDNNKVAGEVFAEKSILATEAQELQTRLFNISEETKSFVCTIDEMHNTLQRRLAAAEAERGVAEKAKLEREAAAQKSLKEQELALDAAKNHSKKLEQEAQENAKLRELLTERGHVVDALHGEMLGIFDSITRLKLRVDMQLPVDEQWQHVSSSLSSSAVDEPVQQVPVDLSSSAANIPPQVPLVLPNSAVDEPLQQVSPMLAVDEPPQQVPPMLAFDDLDQISSLLCSSSFDGPLQLVSSSRLSSSVRSATSDGTLADSLASKSSWSSAESNVSLDYERMTSIPVGDFALDESWDVVVDEDTIKSPIRVKSTPMLL >Dexi1A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:1A:23583328:23589492:1 gene:Dexi1A01G0016390 transcript:Dexi1A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKAEPQRHPSNFGSAMAAASLLPLLLLLLLLPASNAIYCDEDDCYDLLGLKQDANASEIKKAYYRLSLKYHPDKNPDPESRKLFVKIANAYEILKDESTREQYDYAIAHPEEVFYNTAQYYRAYYGYKTDPRAVLIGLLLIVSAFQYINQMTRYNQNSGANFKSVVPLLQAVESVKQTPAYRNRLKALEFERTGGISSKKKGYKQPDKKVQEAANNEVELQIHGVEKPSVWRLYGVQFILLPYSIGKVLTWEICWFWRYRVKKLPYAWEDACYLTQTSLNIPANAWKNIDESRKEDLVVRRLWEKSNMERYIAETRKEAKRRR >Dexi9B01G0038510.1:cds pep primary_assembly:Fonio_CM05836:9B:39551723:39553531:1 gene:Dexi9B01G0038510 transcript:Dexi9B01G0038510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCSGPHPILLPYGDDYVRCESSSSSAGAAGLDRILPAEYDLCHSLNLSPSLNGLQTPTLFAMNGSENYLGIGANPIYSVDEARPVFPQFSCTQPTSASHLVKWTSAGETMTSDGSRLRGSKRHKTTTAAETAQGPQHGLRCNAKPTRNQTMKAPCRRTQKLGDKITALQQLVSPYGKTDTASVLHEAATCIKQLHEQIQILTASYSAISSPASQQEQDIDEEEGTSDLRRRGLCLAPLSPDVVQLVVSAEAALRHRNTAETGDRWRWLGAL >Dexi2A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:2A:28127792:28129081:1 gene:Dexi2A01G0016490 transcript:Dexi2A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPQQRQGQKVMVAVDESECSRRALEWALRNLASTLAPPLLLLTVQPLVPLGYVSAASFGAPLGTVPPIAPELIKSMQEQQRELTQALLDKAKAICAEHGVPVETFVEVGDPKEMICEAAEKKNVDLLVLGSHSRGPIQR >Dexi5A01G0037070.1:cds pep primary_assembly:Fonio_CM05836:5A:38396324:38399137:1 gene:Dexi5A01G0037070 transcript:Dexi5A01G0037070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSEAERMFFFELACRNAEASYEQNPLDADNLTRWGGALLELSQVRNGPESLKCLEDAESKLEEALKIDPSKADALWCLGNAQTSHGFFTPDTDKANEYFVKATECFQKAVDVEPANDLYKKSLDLSSKAPELHLEIHRQMASQATQAQAAPSASNPRQSRKKKKDTDFWYDVCGWVILGVGICAWVGMARATIPPPPPPPAR >Dexi1B01G0028980.1:cds pep primary_assembly:Fonio_CM05836:1B:33408413:33409781:1 gene:Dexi1B01G0028980 transcript:Dexi1B01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAGDGSTTTAPALQLPPRLSSSSSPPTVGTLLTRASAAAATPRPRDCSSSPRSLLSRILHIGRGGGGGVGCRLRLFPRHCTSSATAATAREHVAAAAKGEVRVETREAVAPKVVGGQTAPHEESPRTSHGVPRAGKNSKATVAAEDVLPAASLGLGASLVLLLSKSAAELRRMAELRAQMERLMLDVRADVRSCNGRPSGSGDHADSSSVVKCPIAGAGDEEEGALSQLSDGSTTGAAPARENAGHRDMNQMEAELEAELLRLQQASRQDDIASPRRDRQLKGESDGKSTPSHGGVSARELERRLHELLQSRHEARIAELESELERARRKLRETEREASRWRDTAKLATRFTDESRLR >Dexi7A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:7A:20994190:20994426:-1 gene:Dexi7A01G0010160 transcript:Dexi7A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGSTATRAPSAAAAGPQLGRRAGAAAPEPTASRSFVVSIVSSSTLPHFAFRTSPRGGPHGEIL >Dexi1A01G0026830.1:cds pep primary_assembly:Fonio_CM05836:1A:32714748:32720041:1 gene:Dexi1A01G0026830 transcript:Dexi1A01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYGGARKKRGWRGLVAAAYLVFAVACSAVLHWHLRGESLDRAEERLISMCEERARMLQEQFGVTVNHVHALAILISTFHYEKQPSAIDQETFAKYTGRTSFERPLLNGVAYAQRLFHHEREMFESQQGWTMITMKERMPAPRQDEYAPVVFSQDTLKYLAKIDMMSGEPDRENILRARTTGKAVLTNPFRLLGSTHLGVVLTFAVYRPDLPDDASVEQRVEATIGYLGGGLDVESLVENLLSKLAGNQDIVVNVYDVTNASEAMVLYGPPSLDDHVPLLHVSMLDFGDPFRKHEMRCRYREKPPLPWSAITNPLGMFVIWMLLGYIICAAWSRYEQVTEDCRKMEELKTQAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVLGDPWRFRQILTNLVGNAVKFTERGHVFVRVCLAENSNVETNQAVHGTMNGKDGKVESIANGAFNTLSGYEAADRRNNWQYFKLLLSDKESLLDDLDGETANQTESDRVTLAISIEDTGVGIPLKAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFIGSTFTFTSTLKRSYKDASADSSRSLSDALPTAFKGMKAILIDGRPVRSAVTRYHLKREKATMIFIESDFWRPETDVQLLNGLCGRKNGQLPDVPKLLKVEVPERKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAAAALKKYGAKMPEMDGFQATRQIRQMEKKANEERKKKLASEGSTFVEYHLPVLAMTADVIQATYDECIKSGMDGYVSKPFDEEQLYLAVSRLVVGATDPAD >Dexi7B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:7B:13006109:13011643:1 gene:Dexi7B01G0005550 transcript:Dexi7B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRAASGLARVALRRNLSRAAASPFTGGGAVPGAGVPARYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAAATSPGLSGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGLEERSVPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDHDKCNWLRDKIETVNPREYTYDRRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDRERTKNLGVLLHGDGSFSGQGVVYETLHLSALENYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSALEIYQNKLLESGKISKEDIDRLNKKVSTILNEEFQNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGERYCPLDHLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVIWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKECKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKTGRTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMKALGRGSIEDIKYAGRAPSAATATGFYTVHVQEQTELVQKALQRDPINCPF >Dexi3A01G0022580.1:cds pep primary_assembly:Fonio_CM05836:3A:18182231:18184785:1 gene:Dexi3A01G0022580 transcript:Dexi3A01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKPPLRFRLPSLSSPLLSPSSQPLLAVPNRFTGRARLPIGKESLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRDQEVGTGTVIDILLLSSAPKRSNTPCPVCTNEADDASTETNGVVSSCLNCFLAGGALYRFDYSVNPALFLAKARGGTCTLAPSDPDEAVIRRAKYLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLASGRIRAVENIAQLVVPAESPAAVTLAA >Dexi9A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:9A:15972621:15974114:-1 gene:Dexi9A01G0020950 transcript:Dexi9A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLSEHFSAYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQASKAANPSGGGRNSGGGGGGGGGDAGGARTKKIFVGGLPSTLTEEGFRQYFQTFGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHELGGKMVEVKRALPREANPGGSGGGRSGGGGGYQSNNGHSTNSGSYDGRSEGRYGQSQQGTGGYPGYGAGGYGAGAAGYGYGANPGYGNYGAGGYGGVPAAYSAPYGNPSAVGSGYQGGPPGSNRGPWASQAPSGYGAGGYGGNAAYSAWNNSSGGGSAPTSQAPGGAAGYGSQGYGYGGYGGDASYASHGGYGAYGARNDGAGNPATGGASGYGAGYGTGSGNSGYQSAWSDPSQGGGFGGSVNGGSEGQSNYGGGYGSVQPRVAQ >Dexi2B01G0015010.1:cds pep primary_assembly:Fonio_CM05836:2B:25018445:25019070:1 gene:Dexi2B01G0015010 transcript:Dexi2B01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSDLLVGGSSSRRRYKKRKQFQTVELRVRMDCDGCEMKVRNALSSMKGVQSVEINRKQYKVTVQGYVEPHKVVKRVQATGKKAEIWPYVPYNQVAHPYAAPAYDKKAPPGYVRRVDAIMPVSSYGGPTAAGPQEERLVTMFSDDNPNACSIM >Dexi1A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:1A:19250374:19251934:-1 gene:Dexi1A01G0013770 transcript:Dexi1A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDEKILGFIRGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGGIDREQKAMQYLERRECEYDQKISVDFFKFCIHT >Dexi2A01G0030570.1:cds pep primary_assembly:Fonio_CM05836:2A:41471030:41475208:1 gene:Dexi2A01G0030570 transcript:Dexi2A01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLLHAPLLLVLATAAPSQGLTQADVAKRLREELSERNRENEMLESWNGDPCSPSTWEGFTCEPKDGALVVVKLNFSSKNLQGQIPTAIGNLTDLTEIDLQDNNFTGSIPVSFSVLKHLRNLSVKCNPFLNNQLPDGLSTGVDFSHGACPAEGYHISPAEEYESPAGSASQRVIVIGSVAGWSLACTFALGFLFVCFNKRERRSPEKDCSSTTNPIFQECGVNDTTNPAVQQLSLKSIQTATGNFKKMIGEGGFGAVYRGTLAHGQEVAVKVRSSSSTQGTREFNNEDRLYGEASKRKVLDWPTRLSVCIGAARGLVYLHNFAGRCIIHRDIKSSNILLDHSMCGKVADFGFSKYAPQEGDSNPSMEVRGTAGYLDPEYYSTQVLSTRSDVFSFGVVLLEIVTGREPLDVKRPRSEWSLVEWAKPYIREYKIEEMVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARIDPTKGHLQTMPALPR >Dexi5A01G0023720.1:cds pep primary_assembly:Fonio_CM05836:5A:27662727:27666221:1 gene:Dexi5A01G0023720 transcript:Dexi5A01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVKDQLQQMTPCDSLLELQVIWDEVGEPQTARDRVLMEIEQECLEVWRRKVDLANRCRAQLRQAIAEAEAELARICSAMGEPPIHVRQSNQKLHGLREELNTIIPYLEEMRKKKVERWDQFVDVIDNIKKVASEIRPADFVPFKVPVDQSDLSLRKLEELTKELQSLQKEKSDRLKQVMEHLNTLHSLCEVLGIDFKQTVCEVHPSLGDADGSKNLSNSTIERLASAVNGLREMKVQRMQKLQDLASSMLVLWDLMETPLEEQQMFHDVTCNIAASEHEITEPNTLSIDFLSYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLIGEEGYAAEFSTEAIEAGLIDPALVLDQIEAHIATVKEEAFSRKDILEKVERWLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGMVDVLTTKILAWEKEKEKEFTYDGVRLLSMLEEYMIVRQEKELEKKRQRDQKKIQDQIKAEQEALYGSKPSPSKPQSTKKAPRNSMGGANRRLSLGGATMQAPKTDILHSKTARAAKKTEVLGTLSPSSRGLDIAGLPVKKLSFNASTLREAETPRKPFAQITPGNNVPSTPARSISNDTEEENKTPKTFAGLNAKTPMTVTAPMQLATTPAVANKIVATPVSLFPEKPEPATLPEEIEYSFEERRLAVYLARQMA >Dexi4A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:4A:23946608:23947019:1 gene:Dexi4A01G0020170 transcript:Dexi4A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTSTSMSLISTHGDAFSFMSPKSSAMKTDDLAASTDLCAGIDSPATMNVMSAPFWLLSSSPNCWCRSDDGTLGLASTASDAALAAASTRRT >Dexi7A01G0021850.1:cds pep primary_assembly:Fonio_CM05836:7A:30347243:30347544:-1 gene:Dexi7A01G0021850 transcript:Dexi7A01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKDPDTVRAVYSLPDKAVVLQAARSVVSVSSFRQVYWHHHSEVGGELSDSDEFQDCLRGVQID >Dexi9B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:9B:15213588:15213989:-1 gene:Dexi9B01G0020460 transcript:Dexi9B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVVRRLMAARAAGSRRSFSHAAAETGLTTTARAVVRRLMAAKAESGKTFSRLAAETGLTNVYVAQLLRRQAQLKPDTAPKLRAALPALTDEDLDLMMEPPFRCAVRPSTASFPNP >Dexi5A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:5A:4145543:4145964:1 gene:Dexi5A01G0005590 transcript:Dexi5A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILTAYTFRLEPPIHCSITTTGSEEKGRAYLVLWVLVDGAAARPTERIDSEKERGETETTE >Dexi6A01G0012540.1:cds pep primary_assembly:Fonio_CM05836:6A:19108241:19110553:-1 gene:Dexi6A01G0012540 transcript:Dexi6A01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKPVLDGALGYAKSFVAEEIALQLGVERDVIFIADELEMMQSFLMTADEEQDKNKVLLTWVKQVRDTAYNVEDNLMDFALHTEKKPFCFFIPRNLWERRRIAKEVKDLRAKVEDVSNRNLRYRLIKGSGSKATTNAEEQASLATIAMLGIDEETRTTMNLENSVIGLCQLVTNEDKDRTVLAVWGGTGDLRMTAIQEVYDDSDVRANFGFCAWAKLVRPFSPKEFIQSLMRQYYQNFPEVIGSTHKRKTVGISVFLKMESMSLREMLDVFDTKVNDNRYLIVVDDVSTKEEWDCVENFFPRNNKGNRVIVSTQYGEIARLCTEKPQQVSKLKQGSTNQSLYLIHKKVMPSPNSRAQKSSSNTVPTNKNSMMSNGEIQEEIQDGNEVKVSDSTSTKKFDRSRTMALVGEVLLGRTTEESEVTQLVGQPEDNQGLKVISVWGMGGLGKTSLVQNVYKSPLLEGWKRAWATALRPFNPEQVIRKLATDLLGEGAKRDSIELKVLTERLTTFLKMEKCLIVLDDILSTREWDLVKNSLKDARGIIVTTREKSIAKHCSKEDKNLCNLQGLKDDVAFHLFKKKYFQSNQVAQ >Dexi3A01G0036120.1:cds pep primary_assembly:Fonio_CM05836:3A:41510047:41512925:1 gene:Dexi3A01G0036120 transcript:Dexi3A01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTRRLRENSYVTAGVDAANAAKLKASLQIFYFLALAQSVLFCVSVSLHMFRIRRVPAVSREYGFDKLGERLVSRYLKETEDVCAKEGYVPRRRNLIVFAVELLGSDSRDDRRYAVRLLDTFVGKQIAVGPSLLPCKDRLENLMEALEIGDGKTREGAANIVAALAGDLRHIDQFPTALHNIASLLQQQASSEQIRPAADPLVTAPAAGTAMNMERRKLWRYGPLYCGMILLFRWGYWDEKKDEQKAEPKQLISRGLLIIERLTQHQANCEHICSNHGLVSKITAPLTNSHAFLDGAEYDSDWTDILSRSMRIVARLISAPGEATTALRLDGIASEDKPVVANLKRILEDDRFGPVLKAGAIEVLAELSAPDKGSVTSSLGKDDIKKFIRKLWGIFLGETTRVNYTTGEREQQEADSRLRRKAGEALAQMLSAWDAGSSTSHVVMEMFIQTSTGSSAQDEQTQELRRVVDKLTGMLIANRGSRTRAAEILRCLCSHLSEHRQLLRQDVTKMLEKVLQLVIGIPQEANNGSTGGSANSNHEVPIMDVETPRREDRAGNKNEEQHEEKEFMVALLSLAVAICDDRMVDAQNVTCDMVSLVTKLKGIIETNNGATVQRLQIVKLSCQLAISLVQLMPNRINVFTEKGFKDVLSKSALKSLSNLDNCMLFDADYYQVTKTTKPLASLVKEAEGHFGKAQERANGHA >Dexi5B01G0018170.1:cds pep primary_assembly:Fonio_CM05836:5B:20237772:20238278:-1 gene:Dexi5B01G0018170 transcript:Dexi5B01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELSDVVSALSYVLTELPSTLCHLETLTLRSEELERATLPNRALNFLYIRHLRLELNFGYLLGADVLDLAFLLQVAPIMEKLELHNHVVL >Dexi7A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:7A:27091456:27091658:-1 gene:Dexi7A01G0017380 transcript:Dexi7A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLAILAALSVAAAAAAVDPPPKPKGQEVHLFEVTVRVPDRGGVDLEEYNYSLLAKVALPN >DexiUA01G0016740.1:cds pep primary_assembly:Fonio_CM05836:UA:35806842:35808084:-1 gene:DexiUA01G0016740 transcript:DexiUA01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding NERTLLDSLLSEDVFIMPCKGILRICAMSLPDLWRSRCSLKDVEGFDHSVVNDSFGACGDLPREQQGPCLPYYMWQCGCTKKLSKVYSLMDFDFSEPIHPCFGETKVEFAYDGICHGFAVWIDWVLDENSSAVISTGPESRYWKQGVQLLSRPVQVNPSNSIMRVEAHFDPDTGELAVQPRLMS >Dexi9A01G0022460.1:cds pep primary_assembly:Fonio_CM05836:9A:17653386:17654237:1 gene:Dexi9A01G0022460 transcript:Dexi9A01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLILRAVVVLAACLALAGVQGDQGTATFYGGDDGSGTMGGACGYGNLYDAGYGINNAALSETLFNDGASCGQCYTITCDTSRPGGEYCKPGTSVTISATNLCPANYALPNGGWCGPGRPHFDMAQPVWEQIGIYQAGIIPVVYQQVKCWRSGGVRFSIAGCNYFILVNIQNLSGSGSVGAAWIKGDSTGWIQMSRNWGANWQALAGLVGQGLSFAVTTSGGQYIQFPDVAPAWWQFGDTYTTDKNFYY >Dexi3B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:3B:9277711:9281007:-1 gene:Dexi3B01G0013050 transcript:Dexi3B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFGFWCMRFARKAHKARARFKTSDDAEEPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQMSLSVLLLGVGVATVTDLQLNAVGSILSLLAIITTCIAQIFFIVMSCLISVSVNFSTFLVIGKTSPVKESEAAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRE >Dexi6A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:6A:1804961:1806532:-1 gene:Dexi6A01G0001860 transcript:Dexi6A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLIQNHKSPEEAETLILPPYLASRRVRLSRRRRRRRRKHNSAVAGVKHPEAAMGRMHSRGKGISSSALPYKRTPPTWLKTAASDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYPPPQALWWPK >Dexi9B01G0048160.1:cds pep primary_assembly:Fonio_CM05836:9B:47182971:47184571:1 gene:Dexi9B01G0048160 transcript:Dexi9B01G0048160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSLQGRPSHAPAKKLSSPFLGAPASFLRPLSPASTAAPSRRALAVRAMAPPKPGGKPKKVVGMVKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTADKPGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGSKEPQRQKVGKVTADQVRTIAQEKLPDLNCKSIESAMRIIAGTAANMGIDIDPPILQKKEKVIL >Dexi7A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:7A:20246163:20246718:-1 gene:Dexi7A01G0009140 transcript:Dexi7A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLAVAVALVATLLLLSNSNIKAASGVGYPPAPPVGPPPHQIVDPAKDCGGACDVRCGAQSRKNRCTRACLKCCSVCRCVPAGTAGNQETCGKCYTDWTTHGNRTKCP >Dexi5A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:5A:5480227:5481009:-1 gene:Dexi5A01G0007380 transcript:Dexi5A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASLLLLLLASLLIHFHHHHHRAHADCEPATCGNLTVRYPFWLGGGGSNQSSSLCGHPAFEVLCSDDGSSAASLKGSTLQVRSINYTNSSFIASDTRTTAGNGGVCRAHLNMSATVSPSAFTISRRNRALCFFYNCNGTKPSQRGYVNATSNCSTPIFAYLAGSYNWDSPPAIATGQCSFTYTPVFGSEPEAMTAANYSRLLNDGFVMEWAATSVGDCSGCTASGGQCRYNSATAALACLCPDGNLQRSTCAANGEFQ >Dexi7B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:7B:14448262:14451033:1 gene:Dexi7B01G0006730 transcript:Dexi7B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLCALSIPSASCCQFLMTIFQTVDKQETMEETILVGDDLMRGPPSPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYKQCAEKRDKEIRERMQDSEYKLGFSMPLEQAKERVTQLQSEVTLLERRMILASGLDGMEGFKQRWSLHGQLEDTR >Dexi1B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:1B:2527587:2529900:1 gene:Dexi1B01G0003120 transcript:Dexi1B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWALFIMQLASHGTRAEETMQFVPQFDHAEVSDSQQISSQLDTIEESTEHLASCEIKPVSVDDDNENIDANEETHLVIQDGPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVSPDRWWLRLKFQLLVARDHTLIFFIVQLVVAFLGLVVYRFYGDELREIFGYEEHPYVFYAMAIFAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQVPDLDPSHVTELKVLGLY >Dexi5B01G0035080.1:cds pep primary_assembly:Fonio_CM05836:5B:35171943:35175441:-1 gene:Dexi5B01G0035080 transcript:Dexi5B01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAELIVVRKHRAAGHTAHSVAVFVLMAILAAFSSLSPVALAAVDPSPPEVVQLTLVAGARDKGADFYNWNKVFVRYCDGASFSGDAEDEDQDGNKLQFRGLRIWDAVVDELMSKGMDNAKQVYLLPIKYLSGERFLRSIFNGTVHLQNVSKVLPKDCLEKMDPTECFFPAELTKSIKTPIFILNSDYDSWQIGNALAPDGSYPGGSWSSCKSNISNCTSRQIDVLHGFRNTFISELKTISEAVGDWYFGRRHAVKQIDCKYPCNPTCSSSS >Dexi1A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:1A:1706385:1707920:1 gene:Dexi1A01G0002560 transcript:Dexi1A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSSSGDAAAAALELQESGWEELRREARKLEGDLDVKLSSYARVAARSSSAASGAASPTADRSSWKSMEFEIQSLLGKLQDLNDAMSRCAASAAPTTSVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPIIRGLLGAIKRKKSKDAIILSAVIAACTMFLIIYWLSK >Dexi5A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:5A:10091612:10092587:-1 gene:Dexi5A01G0013460 transcript:Dexi5A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDENPSTSGVFGRIDGHRGLIQGGAKVGRKGIGAYSARGRSTCPAGGSRGGRGPNPTAYIAFPRPAISPGGGQSLAAEAEALDRSRGAEVREGEEEPNRNRGWETGPAPLGFPRSSKN >Dexi7B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:7B:18145204:18148324:1 gene:Dexi7B01G0010980 transcript:Dexi7B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPLAACLAIAMVALLACRHEHAAAAAVPTLSTASRWVVDESGSRVKLACVNWPSHLEPMLAEGLSNRPVGAIAASVAAMGFNCVRLTWPTFMVTNASYGDLTVAQSFRRLNLTDALAGVRANNPAVVDLKLIDAFKASPLPLAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDVYFDPDVWVDGLTRMATMFAAVPNVVGMSLRNELRGPRQNANDWYKYMQRGVEAVHAANPRVLVILSGLSFDNDLSFLNTRPVNLSFTGKTAFEVHWYSFSNSQEWSSGNANQACARISSGVASHALYLLDKGWPVILSEFGVDNRGGNANDNRYYGCAAAVAADLDLDWALWALQGSYYLREGVVGLDEVYGVFDWAWRGPRNATALRRVQALQRPLRGPGLAQVAAPYTTLFHPVTGTCVVVRRRSPPAMELLELGPCDETEAWDFSATQQRLAVRGSSGCLRAEGVGRPVSLGVSCGDAMARWSLVSDSKLHVAVNATAAGDGALCLDVGADGRSVVTNPCRCLRDDNSCDPESQWFKLVASTRSVAGKQSLLAQQLPLKLKNWRVRSF >Dexi2A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:2A:28925459:28932789:-1 gene:Dexi2A01G0017160 transcript:Dexi2A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVPGLASGMQIGRSNQALRTRKLSAFLPGQAAVVHREAEPDHHRRVPAATAAASHYLSSPSSDPISTCTLRPPLPLPPTARRSTGISLPRLPPAVPALAEAEPSPPHGGSGSLGRIAPSFAAGGGSLAVSRGAGLAAPVAWMGVPEFAVEKARSLAAAADHDRCHVQKGELQRIETRRRQEVDHGVSTPRAQGAASPLGPPTPPRRPPEARSGGEGDAGTRCHPPCRDIRRVEEAAADAPGAQFLAPGTDFLHDFPDTDSSISVSNSMYRSMTPSPAESPTCMVRLDDTSDHDVTTTSESDDAREQVTADVSDEGEDVNTLSCIVDFGDDIWCPPSPEDERDDVESRIFGIDDEDDDISSEPSCLSANKIAGVSGVFGGSHKDGVQNDLLKHFKALVAQLLTAEGICLASDDDSNSWLEIVSSLAWQAASYVKPDTKKGGSMDPSDYVKIKCIASGNPTDSNFVRGIVCSKNVRHKRMVSEHRNARLLILGGALEYQKVSNKLASIGAILEQEKEYLRTIVGKIESRQPNVLLVEKSASSFAQELLAKDISLVLNVKRSLLDRISRCTGGQVASSIDNIISARLGQCDLFKVEKVSDSTLSEYTEKGGSTKTLMFFEGCLKRLGCTVLLRGSCREELKKIKRAMQLAVFAAYHLSLETSFLADEGATVPGIPSSVIDAPHRDYVSARPVDRSIPDNLRDAEEKYLHNATIGEIFENISASSTPLPFDGVRQETVPDCRASEFPVDHINSQDLPNSCHPNASCIEHLVSPCSLSDGLETSWSMVNCRSHPSIDNLQSGNTDEKDKLSAGYLSGSDCNQSILVSLSSTCIPKSLACERSHLLRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEPSESHVRCYMHQHGSLTISVRRLLSKKLPGEHDGRIWMWHRCMRCKPKDGMPPATHRVTMSDAAWGLSFGKFLELSFSNHMTANRIANCGHSLERDCLRFYGYGNMVAAFQYSPMVTISVNLPPPVLDFSFHATQEWVKREAVEVFGKMESLHKEVSDLIDNIEKNVITEDDSVKTSMQRQIMEMKDLLNMERNEYEVGIKSLKGGREVKMDLMVMENIFFQRTISKVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEALHTKPMFLGSKAKRKLERAIWNDTSFLASLDVMDYSLLVGIDEEKKELVVGIIDFLRQYTWDKQLETWVKASGILGGPKHESPTVISPIQYKKRFRKAMSRYFLAVPDQWSS >Dexi8B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:8B:5972616:5973070:-1 gene:Dexi8B01G0005700 transcript:Dexi8B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGLHHHCRGSFCILLRTPSWIWANPLRDHRWSEAMADVESMAVALGLVPMEFATAVAACAAQKGDGRHGGRRSAAAVAIWPRRRERRGWRRGWKGARRLQEDIARERGSGSRGSREVMEGGRGATA >Dexi4A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:4A:5355083:5355661:1 gene:Dexi4A01G0007240 transcript:Dexi4A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAIKIAILALCVSTAAVHLPSVLDPSSAPTATATSAEASSRPLDDGAILKILPPLIVMEALFVAVPFVVHRHGRRGNIRRLRRESSSELVAFALCVVAGLLEHFLLAQQPAGGEAVGGRAALGLAALRVLPASAAATFFLGAALVYAHVGGGGGGPVPEHAVRILSAMTLEAAAALIGIMATAVCYSS >Dexi5A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:5A:22300838:22301673:-1 gene:Dexi5A01G0018780 transcript:Dexi5A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSLDSVRIALLDQEKTRIVSRVCRSALFCLSLAPAPLLADDFNGPMWRRHLIITIVLVPCMHCVLKSQLEREDAETKINTNMVTTKACILLFTAFFFSGLMELSMAANDKAPVAAAARAMDAKAIDQAIAYLLMFAALFVTYFAS >Dexi5B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:5B:21375205:21377587:1 gene:Dexi5B01G0019070 transcript:Dexi5B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSRKRTRQTCDEAAAPPPEREVVPRVGASPPWREDDRDGHYVFDLGENLTRRCTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVRDFGRQLLESVACVPRLEFSM >Dexi7A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:7A:16464361:16468041:1 gene:Dexi7A01G0005270 transcript:Dexi7A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPATMAAAPTSAAASPSPVSGSGGGGGVARLLRAPARLPFASAAASARLHGRPPATALRAARAASPAAPDLVEKSVNTIRFLAVDAVEKAQSGHPGLPMGCAPLGHVLFDEFLRFNPKNPAWFDRDRFVLSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWRSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDLEIVDHYTYVIVGDGCQMEGVSHEAASLAGHWGLGKLIAFYDDNHISIDGDTDIAFTEDVLARYEAIGWHTIWVQNGNTGYDDIRAAIKEAKGTKDKPTLIKVTTTIGFGSPNKANTYKVHGSALGSKEVEATRSNLRWLHKPFHVPDEVKRHWSHHIAEGAAVESEWNAKFAEYEKKYCQEAAELKSIISGELPSGWDNALTTYSPESPPDATRNLSQQCLNSLAKVLPGFIGGSADLASSNMTLLKMFGDFQRDTPEGRNIRFGVREHGMGAISNGIAVHSPGLIPYCATFFVFTDYMRAAIRLSALSESRVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNVLMLRPADGNETSGAYKVAVLNSKRPSILALSRQKLPQLKGTSVDAVSKGGYTISDNSSGNKPGLILIATGSEVEIAEKAADELRKDGRTVRVVSLVCWELFEEQPEKYKESVLPSEVTSRISIEAGVTFGWEKYVGQKGRAIGIDRFGASAPAGKLYQELGLTVENVIAVAKTL >Dexi5A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:5A:5107458:5108889:1 gene:Dexi5A01G0006850 transcript:Dexi5A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKIKRGISTKRGDNLVQNGQHPTGEWEEQQRYWPSPSPRAPPASPTESPRTPGGSQKKAVLGKVKSKAKKWMHMLHHKKKPAQEEMMWTPRAGPSAEDTKVKEERRDADYRGTPKKVQYLPSSSGNSDRASEVFLEASARQNSPVPSPTAHKDQTYFKVSSRFESEMKEANEMLMESKKLRVNTTKPKTVTFAPTIERELLGNEKSGSNDRELSEAATEVFRNAFATVYQVVLKMIAKIQDTMVAYNIDRRHMLEKLISVNRYLMMKLEPGEDDKVLSEVITDAILNLFDTWIENVEQPLVQRAKGISSWFLHEGREETPVPLSTHPCAFEGKIM >Dexi9A01G0032920.1:cds pep primary_assembly:Fonio_CM05836:9A:37769040:37769567:-1 gene:Dexi9A01G0032920 transcript:Dexi9A01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVDVNDDRISTPAAVKRKDTHVEAPGTSIKESVQDVVLVGGQHVVKDVALTISQAIGPVEKGVEKGVGKIQEKFHHISLKPGDKKEPEVDVEEHVTENVVDGKPITVDTQVEVEQKVQDDSEDGKTSVVETEVEIQRTDKDNEL >Dexi7B01G0011020.1:cds pep primary_assembly:Fonio_CM05836:7B:18169835:18170760:1 gene:Dexi7B01G0011020 transcript:Dexi7B01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPPHTPRPLPRRPRQLRPAPRCSNDASPPPSPQPQAASGGIRRLVLQPEGRAKLDPRPDRDFYAFPRLVTHVDDGFIATLTDLYRERLRPGWVVLDLMSSWVSHLPPELKLGRVVGHGLHAQELARNPRLDRFFVKDLNTDQQLALEGASFDAVLCTVSVQYLQHPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWRDGTAYSRVQLVTQYFQCVEGFTQPEVVKRLPSAGGSSPSPLDALMRLFGVAGSDPFYAVISYRNFKPM >Dexi3B01G0032970.1:cds pep primary_assembly:Fonio_CM05836:3B:35366786:35369174:-1 gene:Dexi3B01G0032970 transcript:Dexi3B01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGARRSRVAFVLVDGIGDVAIPSLGGRTPLEAARAPRLDAVAAAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKVRFATPSNFATLDENTGIIVSRRADRHFEEEGPILCAALDGLKLPSFPDYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRASDGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKAGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWEAEKAGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDYVGAIGEDNVLDTPLDDFPLPSVKSGEDLSDSIGSTEHKPDQPKAFRGDSVCKFNEISAARGCLGRFPGSEMMGIIKKFIKAKND >Dexi8A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:8A:5526281:5530239:1 gene:Dexi8A01G0005720 transcript:Dexi8A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVRELRASFAAGRTRPAEWRAAQLKGLVRMIEEKEADITAALHDDLSKPSMESYLHEISLAKSSCKFALDGIKKWMKPEKVPAAITTFPSSAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSTLFAKLLPEYVDNTCIKVVEGGVPETSALLEQRWDKIFYTGNGTVARIVLAAAAKHVTPVALELGGKCPVIVDSNVDLHVAVKRIAVGKWGCNNGQACIAPDYIITTKAFAPELVDSLKRVLERFYGKDPLESADLSRIVNSKHFQRLAALIEEKEVADKIVYGGQTDEKKLKIAPTLLLDVPQDTALMTGEIFGPLLPIVTVEKIEDSIDLVNSKSKPLAAYLFSRNKKLQQDFVANVPAGGMLVNDTALHLANPYLPFGGVGDSGMGSYHGKFSFDCFSHKKAVLIRGFGGEATARYPPYTAEKQKILRGLINGSFIALILALLGFPREKR >Dexi7A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:7A:28462381:28462740:1 gene:Dexi7A01G0019200 transcript:Dexi7A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAMLLKVVAMISEACRNVDKLPAALITGGIVQAAAALALAIFQSPAGIFVGHGEVPLYLYYGILVAVIVFGLVEASAGFYVSGDLTQRHAIGMVILWISVLPIVLVAGLGGFVILK >Dexi9B01G0045090.1:cds pep primary_assembly:Fonio_CM05836:9B:44727244:44728357:1 gene:Dexi9B01G0045090 transcript:Dexi9B01G0045090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPMRRRRNDRQPPPPPPPQSFGATARPTSPRSSTSAAAVAAADLDELLLTAPPPSASEPRSFPYAVKQQCWEKAERVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKATVNRSKGNKTEISKSELIQKSAYCRVSGRDMDLVELSAYGNVRRGPDSGGCKIQ >Dexi9A01G0035120.1:cds pep primary_assembly:Fonio_CM05836:9A:39778501:39779232:-1 gene:Dexi9A01G0035120 transcript:Dexi9A01G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKPVLRVAGISGSIREASWHRGLIRAAAEICEDSIPGLRVDDLDIADLPMLNTDLETDGGRGFPPTVEAFRAKVRDVDCFLFASPEYNYSITSPLKNALDWASRGVNCWADKPGAIVCAGANFGGGRSSYHLRQVGVLLDIHFINKPELFVFSFYEPGKFFDGDGNLIDAETRERLKKVLLSLQAFTLRLQKKD >Dexi7B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:7B:20801257:20801463:1 gene:Dexi7B01G0014650 transcript:Dexi7B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTERKANLTGNPSASFRSPVAEVNEELASGKILRNSSVFASAGGCGAAGSPGFGKIEAKQYGPNNE >Dexi9A01G0042350.1:cds pep primary_assembly:Fonio_CM05836:9A:45967315:45969921:-1 gene:Dexi9A01G0042350 transcript:Dexi9A01G0042350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERRSRITRFRLPFRPRRSMAEEAERPAAWGKEEEEGEVKRGHATTARKRKRYGLVEYRALPGYLRDNEYIHRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLALTIYTATQVPSVVDIQSLQHLPDVLRNADIHKIQAELLSCLPSLPHLSDLQKLKDELKSSWNSMEVLPSLSRWHLLELLSKCLPHGFTHSNETSSSVLCVQNSRNNLVSFFLFAQQSMKEDIANMIAPQLIRPIARWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSVITILGLATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLILFWHQPEALHTTGYEILMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLKWRDLQGC >Dexi9A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:9A:12981152:12985230:-1 gene:Dexi9A01G0017900 transcript:Dexi9A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVPLLPLAAATLLLLLSPAPPTASAPVATTDTVTPATPLAGNRTLVSAGRGKFVLGFFSPDPDDPERTYLGIWFNNIPSRTVVWVANRGSPLLGSVSDAALHILANGSLAIVDTTTNDAMAVWSTPPPPATTTTTSSSNATAQLMENGNLVLRVPGSGVVWQSFDYPTDTLLPGMKLGIDYHTGLDRHMTSWLSTGDPSQGEYTFRLDPRGSPELFLYRWSSRIYGSGPWNGFQFSGVPNLKSSSLLSFRFVSDPGHEAYYTYDLDSDTVLTRFVLNASGQIQRLMWIDMTQSWSLFWSYPLDECDGYRACGPYGVCSVERSPICGCAPGFDPRFPAEWALRDGSGGCRRRTELNCTGGDGFAALANMKLPESANATVDMSLGLDECRQACLKTCACRAYASANVSSPGGIGCFMWTGDLLDMRQFGSNGGQNLFLRLAASDLPLGSSAEAHSRTARLVEIIVPSAVGLLLLLVGLYFCVRKVKKRRKGVVPLPLRRNADNTPFGRRNQIAASSDAQDDSLHNGQQGNNKDCDVPSFDVEKIQAATGNFSIHNKIGQGGFGPRQSMLCWEKRFNIINGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGTDQTAGYTKKVVGTYGYMSPEYAMDGVFSTKSDVFSFGVLVLEIVSGKKNRGFYHTELDLNLLRYAWRLWKDGESLEFMDQSIADTSNAAEVLKCIQIGLLCVQEQPKRRPPMSAVTTMLASEYPTLPEPCEPAFSTGRSRDDDDDEEHEDTAAKAYRSDSASSWTVTVVEGR >Dexi3A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:3A:104419:106957:-1 gene:Dexi3A01G0000100 transcript:Dexi3A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAKRWRSSVWSGLRAALACTIVGVVSLYAPDTVRRHITFPAFSYVVTVIIVTDAALGTALRGAVSAVQATLMGAAPSVVALWLAHRTGAAESVLATSAVVALTAFAVALPESVGPVAKRIALGQIIIIYVARFQQGEQPTRGFALVHPANVVACTALGVAAALLAVLLPWPRMATREARDKTRAYRELAAERVRVMVHAIIVASSDEAACSRQRRWQMAACMSEAKRLASASAALLHRIKAIKEDVQWERGAVEDSIVVEMPLKGMQMALAMVIKTMEEDKECYCKLLQEHHAEIMATRDQIRLALLLEPNKHCAGFCGSTAASSSSWVVGNKLLCSQQQHLAPCLFLFSLYQLRAAAAAAGLLLANNNAGDVNKKIAPAAQEPSSLDLDDDDSPPSSRAGVAGDAPDGQEEKAAVTTPTTTCGCGGGLQRLVAAAKCGLSLGLAVLLGLLFSNEHGFWSGLIVATTITAGRESTWAVATARAHGTALGSIYGALGCVLMLQQQLPFRLVALLPWMVLAAFLKRSRAYGPAGGVAAAVSAIIIMGRRYDEPPMAFTMARLVETFIGISCAVVADVLFQPGARPSVKAREQLTRCIATLARCFTTSVVDDPPPPELLLQQQLALLGKSVAEADSEPTYLWLPPFPAACYEKIQDSLGRMAQLLQLYHQAARLVHEQRRFSSLVSTSLGHCLRMLQAPPSSSLDHHQEAIKDDDLEAAGNAMMTSSSSCCCCKDDDDEEVERQQGYCWTATMTKAKQRGRRTRGCCSAPWAPWDSACERSSGRLGNWRHTSST >Dexi2A01G0036700.1:cds pep primary_assembly:Fonio_CM05836:2A:46148768:46149211:1 gene:Dexi2A01G0036700 transcript:Dexi2A01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSALQSAREWVVDHKLRAVGTLWLSGIVGSIAYNWSRPGMKTSVKIIHARSLPFTTYTASFIRAAILFFFTLSAEYALSISGHEAEGCCLRSSSQTKIHSVDVQFNID >Dexi9B01G0024250.1:cds pep primary_assembly:Fonio_CM05836:9B:20121313:20123808:-1 gene:Dexi9B01G0024250 transcript:Dexi9B01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSCFPTLLAAGRKKKNRKIADAKKAGGNECPKVKPIEFIDAPVAGRAGELEKKAAPLDVKLPSAAVAVPVAAREAGDQFVAKASSNGGDLSDFEFDFHTAKKSDGDGASNGAEKRATTDAAPIAGDAEAAPGDPSPKLKRSCSNIETKRPGARSAPAMPARSSSYGDLGNLIGGVTLDTWATPHGAPPEASPASVKTSHTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSSARPADACSPSRRGGYTSDTLEEDRKNKKVMVDDSPPPSVPNQWVAFCADNSIHDRVSAWVCSIENEPPFGIAEEDDNYDGGDDVDDDDEQHGECTARPRPLEVGESSSGKNHGKSKRCAAADEVVQANNIVQSLNAFSSVAHISGMGLKVMPMIAPFSSLRAVNLSSNFIGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKITTAKALGQLVANYHSLLALNLVGNPVQANVGDDALRRAVTGLLPNLAYLNKQPVKPQRSAREVATDSVARAALAESGGRKRASRRLTQSPRSSSLARGRGGGGDGSVRSRSKSRHRG >DexiUA01G0017380.1:cds pep primary_assembly:Fonio_CM05836:UA:36711100:36714048:-1 gene:DexiUA01G0017380 transcript:DexiUA01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRDASGWCFCSGGAKLERIKSSLLAAKGAAVAAVSFPSGGGGGGGGGAGGKGGSGFLIHRGLLLTTHGTIPSAAAAGAAEVRLSHGRLLARLMPQRFFITSPILDLTIVGIDVVADGSSSHGQEPQFLKTCLNPSLDLGSTVLLLGHNRKDLAVGEGKVVIATDNLIKFSTDDVLWRPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTVLHASRKDVPTQFGIPIPAVCEWLKQHWNGSIEDVSKPMMTPARLTTSGERSGRSSFGHLHYIKTTEREGGDVLSSSQIPPRPTWQHGACSSASAKISHGENDSIVSHSFHGQHELTSKMCKPKNDQADSLMDTSLPPGHSRSIRLPLPLKQMMPDENKNEANRPAPHGAHPSNVQINCGTLHNVAYQENCWSEVQSSSSPLAMSELGDERGGFSSGEETMYSAETRESRNIPSPKDKKAEVVGRSQSFVNHNKWDSPKSVESSKGVPSKSHTFIPLRKPHLQAAAISQKSQVYFSPTVSSNMKKRNLSQTPMKPRQRAQVTSKWIT >Dexi9A01G0009220.2:cds pep primary_assembly:Fonio_CM05836:9A:5514398:5516190:-1 gene:Dexi9A01G0009220 transcript:Dexi9A01G0009220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRSCRTTQKNVVSVSLGAEEMAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Dexi9A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:9A:5514398:5516021:-1 gene:Dexi9A01G0009220 transcript:Dexi9A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Dexi5B01G0022450.1:cds pep primary_assembly:Fonio_CM05836:5B:24781751:24782533:-1 gene:Dexi5B01G0022450 transcript:Dexi5B01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERCSSDAALHLAAVVVEHVVVSAPQHDPTVAADAIDGRDHRPRQVTWLDIPTPRGLGRGRQAEVEAPGLHAAGPRAPRAHQVAVVAVHVLAPGVVVELDPHVVEQPRLQRRAQRRVRCAESPFSMSRSMPSSTAEPSGPAAAEEAVPEVAGHAVGVVGGGEAVASAAPAEGEEHLDPLRLARLDVGGEAGAPAGGRVAVAGEVEDGGLPVAEGGEEGDQDVGVVAGVAGVG >Dexi3B01G0032510.1:cds pep primary_assembly:Fonio_CM05836:3B:34869680:34870991:1 gene:Dexi3B01G0032510 transcript:Dexi3B01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMITTPHATRFIAVPSPAPWPRSRSGRISELYTHAMGPSPMEKNTTYATTAAMVVAAVATVVVAAAAPSPPANAANSDPTTTSDAAMPPVLTSSSGRRPARSTSAMAMRIDPALATPKTTLRLRSKVFDFTPAMASIRGPYSTTESIPDACWNNWSVRTIASTRRTPGFAAASFHALLLLPPPSPETKTTSSISSSRRSASGAVSDVRWSTSLASAIRPFITSHLGDSGMVSVPNARNTGGTTPAANITRHDRCAGSPEKASLHYLGNGGEEATGGRGGDLGGVDGGDDEVVADGDAGDEAADHEGVVASGEGHAERAEEEEDVGEDDGEASAEAVGGPAGEQRAGE >Dexi8A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:8A:9370808:9371865:-1 gene:Dexi8A01G0008050 transcript:Dexi8A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVQCSELNRGNAFHKEGDELCQGNAHHNEFPHQEVEPSSPQCSTNDYCGQKENQRGVLQDATMADQVAAHDKAASSKRSKYAQPVPIKVGSTVLLKTANYRNKATVAYATILSSSPKVDVGGVEIGKQFYKECINHPTEKDEPLVRPIAGYKTIGDANAKATPIAWPSVCVCYY >Dexi5A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:5A:1731877:1733112:-1 gene:Dexi5A01G0002480 transcript:Dexi5A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPSCSRSLWLSALAVAAALASAAAGAQQLSPGFYDATCPALQATVRRGVARAVRREPRMGASILRLFFHDCFVNVIKPFRGVDRADGCDASVLLDDVPGNFAGEKNAGPNANSLRGYEVIDSIKAQVEASCKSTVSCADILALAARDAVNLVSHSWTVPLGRRDARNTSAGAANANLPPPDASLATLLSTFRGKGLDARDLTALSGAHTVGRARCAVFRSHIYNDTAINASFAAELRASGVCPFTGGDGNLAPLELQAPDAFDNGYFRDLVTRRVLLRSDQALYGGGGNDTTDALVLAYAANATAFAVVFAAAMVRMGSIAPADGSVGEVRLNCRRVN >Dexi7A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:7A:27046045:27048336:-1 gene:Dexi7A01G0017310 transcript:Dexi7A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFQRAYHRSNERPSPARVISNVCKFLIYYLLHREDQEVSYGLNWAIAGRGVIVKDKVFHNLETSELQKSGATYPDCLSGIPLHVRGDVTGGVPNVSKAQFAKVLKLVTFHLSSISCLYVQDGAIGSSAECDAKVRIITDNPSAVMLLSNVLWKIPYRAISHDTSPLTIYATSSIRKSLGGYKGRLIHVFFHECWNSNNIKTLLGSGTQYANGFAAADIERSSLILCGKAFADSAIVKNALTAMAAPVLSARGGLPVPGWLLCFGGSIVLLFAPVEIIRFCSEIQNALLSVDCGAAICSKGSTVLFPTKARREPKLFNKPSTAIIVSSDSTGTIPSVSKLSPGQAAYHFLAGYQDGKFVPAYSRGPSPADPLTLANSLFSHLKEDDTPAYLINAMHSGKYTDGKGFMKLLELALSHNPPDIKTEDFRGK >DexiUA01G0017590.1:cds pep primary_assembly:Fonio_CM05836:UA:37140646:37142363:1 gene:DexiUA01G0017590 transcript:DexiUA01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRRRLLSAAVLLAVAVLAAEAGVTSEYRRKMEATVEMPLDADVFRVPPGYNAPQQVHITLGDQEGTAMIVSWVTPNELGNSTVMYGGSPDKLEHQAVGTHTRYDYFNYTSGFIHHCTLKKLKHSSKYYYAMGFGHTVRTFSFTTPPKPGPDAPYKFGLIGDLGQTFDSNHTLSHYEANGGDAVLFVGDLSYADNHPLHDNNRWDTWGRFVERSNAYQPWIWTAGNHELDFAPELGETKPFKPFSHRYPTPYLAAGSTEPFWYSRV >Dexi1A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:1A:863014:866630:1 gene:Dexi1A01G0001370 transcript:Dexi1A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVAEDFRPSSPGTTMTSKTSGSLTTSQSTTGKLSSVGSSFMASAGSRSTSSGFEEGGKYPDGQILEAPNLRTFTFIELKAATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPTKNGTGMVVAVKKLNSESLQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDRELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPNGQLSLADWAKPYLADRRKLARLMDPRFEGQYNSKQAFQAAQLTLNCLAGEPRSRPSMKEVVETLEQIESMKSRAREARGGGGGDGSSRDRHHGRSAAAHQRSSPRAGGGRGSRVTNGHAARAR >Dexi4B01G0022390.1:cds pep primary_assembly:Fonio_CM05836:4B:24003400:24005053:-1 gene:Dexi4B01G0022390 transcript:Dexi4B01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAPRTKKMKGAFCNLPLLLLIGAIQFLVIYSPAIDRYMVMITNGKPGFPSLLLDGRRGFKLVEEEFIPEPRVSCDFADPRSDVCELEGAIRIRGSTSEVFVVSPSGAGAANVTGLGPGMNATSWKIQPYTRKGEARVMRGITELTVRVVAAGEAPPCTVRHDAPAVVYSNGGYCGNYYHDFNDNIIPLFVTSRHLAGEVQLLVTQKQRWWFDKYREIVDGLTNYHAVDLDADAAGEVRCFRRATVGLRSHKDLSIDPRRAPRNLSMVDFKRFLMWRYALPREHAIRTEEEEGGEVGSTPARPRMLIIARRSRRRFVNLSEIVALAEEVGFDVTTSDVMSSAKTTKAGGGTSSSSSTPAGAATAAGAGDEGHARMADASKLVNSFDAMVAVHGSGLTNLVFLPMNAVVVQVVPLGRMEELAMDEYGVPPRDMNMRYLQYNITAEESTLSEMYPRAHPVFLDPMPIHQQSWSLVKDIYLGKQDVRLDLRRFRPVLEKAIRLLR >Dexi9B01G0043280.1:cds pep primary_assembly:Fonio_CM05836:9B:43271088:43273225:-1 gene:Dexi9B01G0043280 transcript:Dexi9B01G0043280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSIRAAAKAAMVGGYRSAAYMRRAVTPSSSSAADTRKASTVAVDDWVITDHEVFGPVPTHEEALAATLDLSDAFEIAKVESQTAQFKPKTHLSSTDQENHAKVAQQIALPELVDSESPQVVVHSETSEKEDNYENLLAAAGTPGRVVQVFTLLHQSPEAQDVVASLASDKNVWDAVMKNEKVMKFYKTYETKLSECSSSASSVSGDEVEDGIAASMQNSSYLRSSAGELKDYLEKMKALVSEMMSNLSNMMQDLVATSDEGRCKGKLKTLIISSSKDFPNAPSAFVLLAIASIMVVLLKRA >Dexi6A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:6A:20367588:20369669:1 gene:Dexi6A01G0013300 transcript:Dexi6A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >Dexi8B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:8B:1062369:1063253:1 gene:Dexi8B01G0001620 transcript:Dexi8B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSPSSAPDELVQARVELWNLTFGYLKSMALECAVNLGIPNAIHSHGGAASPSDIVTRLGHCVPEHRRPHLPRLMRFLAGTGILAHDHHDSAAGGDGVYRLTPMSRLLVDDATVNGCTSLSPWVQFQITRYHVLAAHHLSEWFTTTMASASAVDETPFQMANGGMGPWEATRSDQRFNELFNAAMETDSRLALDFAIAGYGEALFGRISSLVDVAGGTGGAAKAIARAFPHVRCSVLDLPHVISSIQPQSSAETLVEYIPGDMMEYIPPADAVFLKVCTPPNNNHHAYHRPN >Dexi9A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:9A:6574444:6575815:-1 gene:Dexi9A01G0010750 transcript:Dexi9A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQSFIGINYGEVADNLPPPSSTARLLQSTTISKVRLYGTDPAVISAFAGTGISLLLGATNGDIANLASSPAAASAWVAAHVPASSPAVSTVSVGNEVLFADASIASQLVPAMQNLHDALPPNSSTKVSTVHAMDVLASSDPPSSGAFKPELSSTLDPVLAFLSKTGSPFLINPYPYFAYLSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAMVDAVRAALDAKGYKDVEIVVAETGWPHKGDPDEAGATVENAGAFVSGLVSHLRSMAGTPRVAGKSVETYIFAVYDEDLKPGKASERYFGVFQTSLTETYPTGLLRNGTTGLGPAMPPAVAPTAVQPAPPTPGQQTQVTPAQPGSAAVAGPSGLCPTGTTTAKGAAMLI >Dexi9B01G0032050.1:cds pep primary_assembly:Fonio_CM05836:9B:34351448:34352765:1 gene:Dexi9B01G0032050 transcript:Dexi9B01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAEYSSQELLQAHVQLWHQSLGFFKSAALAIALDLQIADAIHRLGGAATLPQILSEAGISPCRLRDLRRVMRVLTVSGIFSVQRRQPMSSEDAVVYKLTAASHLLVRDDNKSSTSMSLLPNVHLMLTACRECPVSRGMHAWFRQQQHDEGLSPFALAYSGKSLWERADADAVVFPFDDAMASDTAFLMPIVLKECGNELFKGLTSLVDVAGGLGGAAAAIAAAFPDLKCAVLDRPQVIAKADPSATNLHFVAGDIFESIPQANAVFLKAHT >Dexi9A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:9A:2968770:2970380:-1 gene:Dexi9A01G0005340 transcript:Dexi9A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANTAAAGTATAAPAPAAPAAPVQVPRGQVDLVDFIDWTGVECLNQDPSHSIVNALKQTLRDDEGLHLASDSDEQLLIYIPFMQVVKLHSALFKGPEEDGQSKWK >Dexi9B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:9B:9324905:9325732:-1 gene:Dexi9B01G0013950 transcript:Dexi9B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATAPPPPPPAPRRRVYTAVDPRCEWASTEEADTLVVDVSGFSKEELRVVYNTRRKLKVTGERHVDGVQWTRFLKVFPVPRGCDTSTIQAKMNIESAQLFVIVPKGPSSQQSSDLAKDKHKDHKPERTQTLGELMKQGAGNGSSGSSSGSMRSAQEDPGSDKGRRGDHAVEEPRQDQVMAIQDLPRKDGGANENVAKNDDGGGKGGEDKRWWKKIRVVHVLGFVLVLALVGVGATILYIVLL >Dexi2B01G0028050.1:cds pep primary_assembly:Fonio_CM05836:2B:36741385:36742060:-1 gene:Dexi2B01G0028050 transcript:Dexi2B01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVTEIVTGQRNTGHHFYEQNEDIISIRCYQFSASCCKANIFLGSKLSLLLQF >Dexi9A01G0024290.1:cds pep primary_assembly:Fonio_CM05836:9A:20562173:20562866:-1 gene:Dexi9A01G0024290 transcript:Dexi9A01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATADGDPSAGHLPGSQEDWRQEDDESEEEEEVESDDEAGSEVSSLSDRHELDAGSDEDPTFDPDADGDLEVEAVLRARMSRMSISASARKGRKGPAVPKMGKEEIDLLALVDRLKQACET >DexiUA01G0010540.1:cds pep primary_assembly:Fonio_CM05836:UA:20937758:20943194:1 gene:DexiUA01G0010540 transcript:DexiUA01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRSSLPQNHPGFATFILHLKLRRPVYYQHYFGFSSLHSYQKEFIQRLLQGRYRLVVMATGAGKSLCYQLPPLITKKTCIVISPLLSLMQDQVMNLNQKVSGQRCYFLAYVCLLWMKPIAYLNGDTISGKRTAHHNRFVLIAHFLCWRSFGQHFQRCKLIVLGLSTKDFIFLHQNLSGVPYGALTATTTDRRYDVFARAMDFQSTIIYCATVRDTEQIHDELMANGISTAMYHGQMSSSAREQSHRSFVTYQVMVMVATIVFGMGIDKLDVRYVIHYGCPNSLESYYQESGRCGRDRLPSVCWLYYQRSDFTRGDFYCTETKSISNCDNCKNRDPIQEDLIDEATLLLSCIKQCGGRWGLNVPIAVLRGITVLSIAEKGIQYLDGNSANRPPLIFNLPLDMIDSDEEVSTVDNGIELHDEEPQDQLELSNTAPFEYRCSVRMDVSALRYAVEPVTDVVIVFGAVRAVFECLEKHFLKVLEYSSTVVEQYKNRSVFGHVNSCSDNIEKVVDDWS >Dexi2B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:2B:27753921:27754500:-1 gene:Dexi2B01G0017340 transcript:Dexi2B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGATKAAAAAGTTGMKQIARLRELLHKWQTMAMGVGAKEQEQQRGEEEEDDDDDVEVVASAIPPFVMRRLQRAETVESMLSDDEGGLNSPEPPPDVPRGYCPVYVGPEQRRFVIPTSYLAHPVFRLLLDKAEEEFGFRHEGALEIPCETEAFKYILQCVQRHDNGLAADDAAHGDWLV >Dexi3B01G0033090.1:cds pep primary_assembly:Fonio_CM05836:3B:35464929:35465243:1 gene:Dexi3B01G0033090 transcript:Dexi3B01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVSRLSTEKAVVIFTRSQCPMCHTVSSLFSELSVCAAVHELDKDPRGREMERELARRLGRAPPVPAVFVGGNLIGSTDKVMSLHLAGKLVPMLKAAGAIWL >Dexi6A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:6A:790125:791016:1 gene:Dexi6A01G0000960 transcript:Dexi6A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSAALRLKLKQEQADDGSEMQESGGLSSSLELRLGISSDNNDPWLGVGVHPWSLASRQAEKAAMEQAHQRPPPPQPVGWPPVGAFRKSHLASTKAAAEEPSKAVRSGERPAASMFVKVNLEGCSVGRKVDLLAHQGYASLSRALQAMFHGFMSDGQWRIAAGREDNEEETTTKDRRKTHILLYEDNEGDRMLVGDVPWELFMASVKRLYIAHDPRKN >Dexi9A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:9A:16122285:16125006:-1 gene:Dexi9A01G0021160 transcript:Dexi9A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLASRNPAGAIQGAGHASTFRQDGTAAARRCSCRGARAGWPRLVSPARWDGSREARDITSLRLITAAKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSIEGMISHFEAVLPMGPTIIYNVPSRTAQDILPEVIMAISGYPNMAGVKECVGHERVKHYTDKGIAIWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSLMYKGENATLNEKLLPLMKWLFCQPNPTALNTALAQLGVSRPVFRLPYVPLPLEKRIEFIRIVEAIGRENFVGQKDVRILDDDEFVLISRY >Dexi3B01G0021020.1:cds pep primary_assembly:Fonio_CM05836:3B:15961095:15962583:1 gene:Dexi3B01G0021020 transcript:Dexi3B01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAHHHRRWSVAPALVVLAAALAVAAPSSDAQQTPAAAPGPAAGGGIDQACMNSLLNMSDCLTYVTQGSTARQPDAPCCPELAGMVGSNPICLCELLSGAADSYGIAVDYGRALALPGICRVATPPVSTCTGASPLASPPSSANNAARRFSAGGHLAALAMLPLAAAAVSGMIY >Dexi5B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:5B:5683085:5687873:1 gene:Dexi5B01G0008400 transcript:Dexi5B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKAVFQVLQGFETSLLYWDSNVPGYCEKAGIYVTHLSLTGLRSVLNPFLFAATRLKEVELFVGKVRMRHHGIPTLDAFTSSVDSWLTRLREAALKEEGQLFISVDRTITLLGLTDSMSSLCSGAEHLSQVVHGAVPDGFWDSGTLMVSSEVSVHILNHLFRKLNEVCLVEDGEGEPYHMLLVIFTGSLLPYLQCLDSWLYDGILDDPYEEMFFYANSAVTIDQPAFWEMSYMLRVRGSRANGSTPSTDDESIRKKESSNQESTTAGACLKVNNQGYVDILCPIFLKDIARAIVSAGKSFQLVQHVQDVHQIQTHDGTYGANVYRNTNQSSQQKFWSDSSILRIQDGRPRSEDALEESTSQFGNDTREMGLLTLSEIFLICLSGLLENGDHVYEYLRRLHAGTVLSDKAIVESESSVQETKDICAYDSNEKTWVKLLKNATSGRKYDGIEKAISRNTVMEKPIFVPGDPQDASSNAVEEGRFTLSCYENPAITACREVLQRNPNSWSEVNISESFQLPPLNDGNMRRAIFADEHSAGTSTNGDTQPPTSFPRLDSTDYKFGFQFNDLEYVRQEDDTRTLEGLYAFPTLLPCAKETVPLSEILPMQKDSTLASRVLKFIQNMSLKDPLQPVGIIQECLSQCIKRQVDHIGRQILSKLLGEWRLMDELFVLRAIYLLGSGDMLQQFLITIFDKLDRGSSWDDDFELNNLLQESIRNSADKMLLPAPDSLVVSLAMHNGDEGASASKKGRAFGFGIDALDMLNFTYKVSWPLDLIVNTEALKKYNQVMGFLLKVKRAKFVLDETRKWMWKVYYSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLSTGGTAPAVRTRCEMEMDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYFMSDNGSFSAIPGSRPR >Dexi1B01G0021480.1:cds pep primary_assembly:Fonio_CM05836:1B:27376592:27376915:1 gene:Dexi1B01G0021480 transcript:Dexi1B01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAFALFLVFNLLVLGMATDAAKCPIDALNLVACANVLTRLVVLAVGAPGLVPCCSVVAELDDSEAAGCLCAVIKGDVLGTGLNLPIDLKPLLISCGRDDAFTCD >Dexi4A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:4A:22338640:22341280:-1 gene:Dexi4A01G0018350 transcript:Dexi4A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPVPDRRRERRLSSGSAATPPYTGGDVTRSGELGRMFDIAASQSQAPSPASSSRRSSGPLPRPSPSPASGPLSQLSHQPGLLVGPSPAPSPARGSSRKGSSRRSGGGGRKEMAGETGGAAVAACGTARLGVPFACYVLVAVAAMAAIGAGVFCVVSWRRWEVLAAAGGAVAAVAAVFASNAWRRGGEAERYFRRFPDTVFDGHGDMPVGEIVKITGQVTCGRHPLGAYFHDAARCVFTSVQLFERRGWARGCCCRRWQLRHSEARVANFYISDRNSGKRFYVRAGEGAKITPMIKLKTINFDGDRKGTSLNLKNWMANNDLSSNGAMCAKEGFIREGDTASVIGVLKKHHACDIVDVPPGVVTTGCQPMRFMFPILMEGLILIGNEDPDEAVYMV >Dexi6A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:6A:6708866:6710195:1 gene:Dexi6A01G0006900 transcript:Dexi6A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARDWAALPPDILLNVFLRLGPREVMLGAEQACKPWLSVAVEEPTLWRRVGLDEKDYSDKRRWRRSIYDVEEDTRLAAVDRSKGQCEAFDGFCNDYDLLDLVRRAPSLKSLSIEHYYDEESHSIKHLVKPLKKLTLLEDLQIRFTYGNLQDENKLRSVCKACPLLKKLVVMFAWAGDFYCNEDEFDMEPVHGAIPVMRKLHTLELYDCDLTCEGLEGILDNCPLLETLHIHGHFDKCQMDKELKLKCSRVNNLTLDTKKPYDYGYGSAGSSYVSAEDSSQISSEEEDND >Dexi2A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:2A:6187898:6188326:1 gene:Dexi2A01G0006550 transcript:Dexi2A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQIESHRSGAEVVTGDAICKKKSIDLLEELGLPKGLLPMEDIKEFGYNRATGFMWLVQKKNKIEHTFRKIKQTVSYAAEVTAFVQKGKLQKITGVKTKELMLWLSVVEVYVPEALPEKVTFKTGTGLSDSFDATAFALGE >Dexi2A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:2A:26548770:26550226:1 gene:Dexi2A01G0015490 transcript:Dexi2A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVQVMESSFVAPSEAAQATGLWLSPLDLALANRGHTPVVYLYRSGAAFSDVARVKEGMAKALAAFYPLAGRLGVNGDGRAQISCNGEGVLFVVARCDLKSDDLDLTKPSPELRRMFVPRVEALSLILAVQVTFLKCGGVVLGVASHHAVADGPSMFHFMVTWSAFTRGGDGTGVELPCHDRTLLRARSPPVVHPGALSVLCPRVTFSETPERPAATKVFTISRDQVVALRRLCGGASAFSSVSALVWRCTIVARRLPPNAEARLSFPANVRRRVTSLVPDCYFGNALVWLGTAAPVRDITSEALASVAGRISGAIARMDAELVRSAIDYFELAGMDSRPLRGSMPETEIRITSWLGMPAYAADFGSGNPLVMSRAESVRGGFVYLIDDGPKDQRGAGAVRVVMCMEAANMKEFERLLYATICK >Dexi2A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:2A:3825979:3827325:1 gene:Dexi2A01G0004330 transcript:Dexi2A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLVLGDYNTRMHSLFRLDVAKHLFYPSTAQAEAANAKQQETNNNGGGDADKPPTMKWPKPPRMKWLRPLPKPSMRFFTFDAGDDEQRRRHTWPSYDDAFMLLRPNSSDGTILHATDGGRTVIFDADANAISATAPFFDTGMGGGPVVFSVPGADAGEKESLYVMRSTIPSPVRDRYSCHPHKNTDDEEEDRCSDEFVVLDMNKQPYKWQHLPRPPFIVEKEPYERVGLHFSITSSAVIDGGRTIVVSSSDKSKGHYGSVGEFTHCFDTATRKWRHAGDWGLPFSGRAEYVPELNTWIGLSSTSPHHLCAIDLSSAMDDPSRAPTPHHVWDDFTPPEYEESEVVLNRRYPDYVLQRLTTWRPSEHSLVNLGSGRFCTLKVFDISRIEWIRFEEDWPDVEVFAVLTGVEVVRCHDGEEEGGLRMVKHKTKRCPITRNSRTQWLF >Dexi1B01G0022500.1:cds pep primary_assembly:Fonio_CM05836:1B:28246480:28248610:-1 gene:Dexi1B01G0022500 transcript:Dexi1B01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEIAVRAGGEPGDMDLSGEEHVPKARKPYTITKQRERWSEDEHKRFVEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFTKVVVKESSGSNNTSTGATAPAIQIPPPRPKRKPAHPYPRKVDGGAAKKHVPALRQLKKPSPRMQPLRDQDDGSPTSVLTTARTVSGAEALGPSVFANSTSGSKSPAPSAVGSDEHGSRGGSPASSVDRVGGSVSPSVATAELATRTENAKVFGDAREVSCIPTEAPVFKLFGKKVLVKNSNEELKNDGGLKLDNASPNSVAQATRNGIFSGAAEGRSSWNQWPSDSVQVQQVMYFLPQPDGFAAQSVVPWLAAYNGRLPCALFYPQQAVPSAHQQHLQPSEPLDHKRMQREGSLTGSNTPGSSAVPPAAAAQSSDAAESHGHGQENTGESGTVLLPAAVPRLTKCASSASFSGRRALVPYNRCAAESEAPRPPVVVGEEADGELTRLCL >Dexi5A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:5A:18633534:18634181:-1 gene:Dexi5A01G0016580 transcript:Dexi5A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPFGDEVIQDKELWQLDIVSLALFDGVCTEENKLFACSGITLPRGSTILELTRFVTSACLVEEFNRKRNRNDDLRIQVRLPDKNIIDGFLGLYDEDIAIVTSIGYLDDDPVDLDLQESPGCPDGNVLAAGRAFNSGSFMAMRGSTSSNIFLPDSQGLTEVCC >Dexi9B01G0036350.1:cds pep primary_assembly:Fonio_CM05836:9B:37900216:37901060:-1 gene:Dexi9B01G0036350 transcript:Dexi9B01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTAAAVSLPPPRIRPQISKPSPLPRPRRLQFRVPKIQTSGSRACLAAAAASTPPAPGGGLYSAATYELTAENVDRVLDDVRPYLISDGGNVTVVSVEDGVISLKLEGACGSCPSSTTTMNMGIERVLKEKFGDAFKEIRQVFDEDQPPAETTPEAVNRHLDILRPAIANYGGSVDVLAVDGEDCLVKYDGPESIGSGIKAAIKEKFPDITNVVFTQ >Dexi4A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:4A:6866093:6867165:1 gene:Dexi4A01G0008920 transcript:Dexi4A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGQTEAVAEIKEAGAEFLRRGAEEQRICRDDGGDAVTGEADAREQGEDGVGAGGGVEAGPGEATGGDEVVLEAKSVGGAGEEASAMVDRGGKQVGCAEEREQHGGGGGGGAGGGEDERTEDCRWLRVGKIKAKERRP >Dexi1A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:1A:17634333:17635215:-1 gene:Dexi1A01G0013380 transcript:Dexi1A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAVSQITHDFCFHGIAVRAAIFGVLWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGDRPKWTSRLFYALVTVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLAISGIIEKHTGANMTEANNCTSTVGSNHAASSPEGKITDANPDTDSNGDTQADSDEMQDSDYADDTRTRSSEA >Dexi9B01G0041110.1:cds pep primary_assembly:Fonio_CM05836:9B:41559573:41561864:1 gene:Dexi9B01G0041110 transcript:Dexi9B01G0041110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICCFGAKEDTYAAPASRHASRHPAAPTSQNNAGPGRPRGPNVPRSGGPPKVLPVDVPAISMGELNNITGSFGQKALVGEGSYGKIYKAVLTSGEPVAIKKLDPSVSSDSLADFSAQLSMVSRLKNDYFLQLMGYYLDDSHRILVYQFASHGSLHDTLHGKKGVKDATPGPVLSWAQRVKIAYGAARGLEYLHEKVQPPIVHRDVRSSNVLLFDGYDSKIADFNLTSQPPDGAARLHSTRVLGTFGYHAPELSEDKVKQCVDPKLGEDYPPKAVAKMAAVAALCVQHESDFRPNMTIVVKALQPLLKAAAATAAEPSST >Dexi3A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:3A:14309522:14309791:-1 gene:Dexi3A01G0018750 transcript:Dexi3A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSLSASLPLFARRRTSSAPRGGKAKAWSADCRGCELEFGPQDCRLIGDAGESAKGEDGPTCRRSDAGCRGPSTDHGKNLICSLSYE >Dexi1A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:1A:27286918:27288551:-1 gene:Dexi1A01G0020490 transcript:Dexi1A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLRLLLAVGLPIASLVAFVFLLYRRRTLPRNAPPDLPEVAPAAGADPPTASPGLAKLNMRYSAASGRVGLRFQPLHQHHHHHARVDARHRAASTGQQGAFQWSDHPRLVTEAAENGWAQFVFSVAPPQRTKSNSSSPLWGTCPICDAGTSRDMADAAAWELPTGSSERMQAVRLNPSSVAAAASSTKKRLPSPLRGDTDLAGNNPNPNALCIARMSLPLPGPPLAGAPFPQEAYLEITIIYLNTKRPEWSASRASRRGKDGSTSESDRVKLISFAPDAAKDPVVQENRAAAKDDQQEKQRHLVMSIGLAVASAAPARPSLAGTYASSIGFHSNGAVYLDGMKLVYESEKSSWAGVDKVVGCGFEPSKRKVFFTVDGQLVHAVSCNAEAFSSPLYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFVRAASGVDTRGGGGSGSMGLDFDDSGELFSMGRVDSGWMETLRMAKSRKESVAGSGAASVGDPEGESDLFEISLRD >Dexi5B01G0000390.1:cds pep primary_assembly:Fonio_CM05836:5B:257575:257847:1 gene:Dexi5B01G0000390 transcript:Dexi5B01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGVHQAADRQPASVVVAVLPQVRYGSRGCDSVALLFHKKHTTSAMRTNLAAGLAGGTARTSKL >Dexi7B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:7B:6552975:6561476:1 gene:Dexi7B01G0002920 transcript:Dexi7B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGYIEPVLVILHEQEPTWAGRMSSKNQTCMISAFSISMSLKQHPMIWSAAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGRYPETLKTSFHVELDVAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDIMKSKASILSSGATTLGGSFFFLSSRLADSLLVQFSCGAPTSVLSDLTDESADIEGELPFPKRLKRIPSDILQDVTSVEELSFHNNTVPNGLDSAQKISFVVRDALINVGPLKDFAYGLRTNSDPNATGIAKQSNYELVSCILV >Dexi1B01G0025520.1:cds pep primary_assembly:Fonio_CM05836:1B:30537710:30538246:-1 gene:Dexi1B01G0025520 transcript:Dexi1B01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSPSPEQPLLRAPSPAPNPRAGASSGGPTSPSPSAARRPSRLAALIGRAAGRRGPSMLVRETAALQLQRRRADWAHSRPVVALDIAWNVAFAAAAATVLASSAQERPVKPLRLWLIGYAAQCLVHVALVCADTRRGPSRARGSASDIESGGAGTDSSDTDGEDDEGVVEERSR >DexiUA01G0025910.1:cds pep primary_assembly:Fonio_CM05836:UA:54536388:54538629:1 gene:DexiUA01G0025910 transcript:DexiUA01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKFFVPAARLHSFATMTHTTTTNGKQRLHHGRHGSRTAYHFQPAKNWMNGPFYLDGVYHFFYQYNPHSHQFGTGKLSWGHSISTDLVNWTFLGTALDPTSPFDAEGCWSGSATVMPDGRPALLYTGRDANNVQVQNVAFAMDLSDPFLREWSKPSCNPVIPQPSDVTGNNFRDPSTAWLGRDGLWRMAVAAEVDGVGSTVVYRSADFVSWERNAAPLHASADAPVWECPDFFPVRTEHGSTEGLDTSAAAPGGTGVRHVLKLSKVADEDYYVVGRYDDVADTFVPGEEDDVDVRDWRRIDHGHLFGGKTFFDARKKRRVMWAWVDETDNVAKGWTGIQAFPRALWLDTDGKQLVQWPVEEIETLRGRRVALEGEVIGSGGMHEIAGIDTLQADVEVVFEIPNLEEAERLSPKWLKDPRRCAEESASTKGGVGPFGLVVMASGDMEEKTTVFFRVFEHDGTYKVLMCTDLTRSSTKEGMQKQIYAGFVDVDVEKDRSISLRTLVTPLL >Dexi7B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:7B:10646872:10648702:1 gene:Dexi7B01G0004390 transcript:Dexi7B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSDDVEAAPAGNGKQSVDTSSAAADEPSSKGTWWQARLHMTTATLGPASLSLPYALRGLGWALGLAALTAVAAVTFYAYFLVSRVLDHCEAAGRRHVRFRELATDVLGSRWVTCLVVTVQMAINVGISIGSILLAADCLELTYSRHAPNGSLKLYHFVIMVALVLAVLSQLPSLHSLRHTNVGSLVVSIGYTMLVSAACICAGLSSNAPPKDYSLSTSRTERTFTAFLSISILTSVFGNSILPEIQATLAPPAGGKMTKALVLSYSVFFLAFYSPAITGYWAFGNQVRSNVMQSLLATDTGSSLAPPWMLGLAVRREWRCGRRTWRRWRFFGEIQGVVGSVGYIPLDVVIPVVMYNMAIAPRRRSPAYVANVAIMAAFIGLGVIGTVASVRKLVLNADKFKLFSNGRS >Dexi2A01G0035460.1:cds pep primary_assembly:Fonio_CM05836:2A:45105228:45106821:1 gene:Dexi2A01G0035460 transcript:Dexi2A01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLSGCVAPAVVAHAARRPTTLPPRRRPARRLVAVASASASSSTPSGEVASPPPSRAGDNGVAGGGTNGAVVPTPKATAIETTVERVIFDFRFLALLAIAGSLAGSVLCFLNGCVYIKEAYQVYWSCCVKGVHSGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSEADRALRGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKIATGMDLLSYSVCIFLSSASLYILHNLHKGDHEEGIIPHL >Dexi6B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:6B:18816963:18817693:-1 gene:Dexi6B01G0011620 transcript:Dexi6B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRVDLRGVLQRGEPGSRDAVTSMVAHGCVVVAHDALGPDLRRALFGRALPDLFALPLESMKRNVSSVGPFKGYVGQIPGMAWESVRVEEACDAGSVRAFADLLWPQGNPDFCDTFVSFAKSMLGIREMVTRMTLEGLGHRRPPRLAVSLTHGVRLSRYGAPPDTETGISMPAHRDDGMMTAIVQHEVEGL >Dexi9A01G0037020.1:cds pep primary_assembly:Fonio_CM05836:9A:41350969:41355164:1 gene:Dexi9A01G0037020 transcript:Dexi9A01G0037020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHGSSRHMSASQKELGDEDARVVRVGDADRTNERLDFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLAIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENGRLAAVLSPSDEGAAQFLPTKWKDVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPERLAGAVVRCERPNRNIYGFQANLELEGESRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGAPKKRSHLETLMNRETLFLSAILVVLCTIVATLSGVWLRTHEGELDLAQFFHKKDYLKRDKDNDYENYNYYGIAAQIVFIFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTKLFDESSNTRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASIDGVDYSDIARQQPAEGDRVWAPKISVNTDRELVKLIRDGGDTEQGRQTREFFLALATCNTIVPMVTDGPDPRKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCHDKSVKLFVKGADSSMFGVIDKTVNSDVVQATEKHLHSYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGNLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTGDMTQIVINSRSRDSCKKSLDDAIAMVNKHQSFSTDPQLRVPLALVIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGPGQREENYNLRLFIFIMMDSVWQSVACFFIPYLAYRKSVIDGSSLGDLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMILDSIPSLPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAVKAFSEYFTPSDIQIAREMEKSQDTHDATHPEVQMSSVCRA >Dexi6B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:6B:26255110:26259748:-1 gene:Dexi6B01G0019560 transcript:Dexi6B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEDMAAEAKEERILVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDPTPLRLLDDPEKGTTVEKLTEETLRDYDHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSARQYLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLRLRRYISVVRLCTPWKLHHRVSYALCALLFVFQLEKQLKELMEERDTVQSQLNCLLKGDGDDHGNERATKLWDANSRSSESLAQNVSEEALSVADAYGVAQQDQDYASFNGSYVCSSDRNDSGFLSETREIPRQTWDRKVVSPWHPPSNHSSDGIEPYHMTEAASGTPSEVSEEHCREVQCIEIHEHVRSSSHEFNQLLPEDTKIQTPDVEVISEDAVPQSDEQQGLESITKKIEDRVRSYPSKEEQQAENITKIEEDSVKMYQCESDRTRENVVKLYTCDPNHSFNNGKPYPYECLSLKRCIMSSKDRALARSNSCRASFMVIPNSWFDDSDNTSRTPPDEIFRYAPRRLDKVRRSLYSENDDCQNENSLLDCSDGSCEVASVEVIKDMSTSDEVAKEMSRSDEVAKEMSRSDEVAKKMSSSAEVTNEMSTIGKEQEIIVNDISCVSELKENTKICHEDQPEEFQAQVIMQAIGDDSTAMKTVKDVGVDIALSPIQSPSHSTVDFEKRQQQIIELWHECNVSIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIKSSFSSEPAAQGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYSKWGIDLSTKQRRLQLSRLLWTQTDMEHIRESASLVARLIELLEPGQALKEMFGMNFSLAPRTDRRSFGLVGSYSMK >Dexi2A01G0030240.1:cds pep primary_assembly:Fonio_CM05836:2A:41220383:41221716:-1 gene:Dexi2A01G0030240 transcript:Dexi2A01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARTVKAAAAAAGALLAAAGVRFLGPAAAAFVEEELPRARAAAATWLTPPYLYLVINAIIISIAASSRFQPSTGGGGGRPSAPSYPAPAATATGGVVPEEEEEMEQDGIQPVVALQVPVPVVAMPVPALQAVEVAAAEEPVVEMNAEAVAPAPVDEDEEFSISRSTWTPRRRGAEPEVEADAAESEVAPFADLTNSREKPLVSARFNRKAAKPSPEGSRALRVARPRKEETLESTWKAITEGRGPPLARHLKKSDTWDTRPGRRPSGGAGSGEVDPAAATVPAGTMRKAETFNDAGRTKAAPAAPVRREPSLGQDELNRRVEAFIHKFNMEMRLQRQESLKQYSDMLGRGSQY >Dexi3B01G0037180.1:cds pep primary_assembly:Fonio_CM05836:3B:39975789:39981786:-1 gene:Dexi3B01G0037180 transcript:Dexi3B01G0037180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASRERLSPGAAPQVDTGKYVRYTQEQVEALERVYSECPKPSSLRRQQLIRDCPILNNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYDNGYMKNQLHSCVIFLLCSLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSVGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRASWYRDCRHVDIVHVIPTGNGGTIELIYMQTYALTTLAGPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPCGPNAPNFVRAEVLPSGYLIRPCDGGGSMIYIVDHVDLNAKSVPEVLRPLYESPKILAQKMTAAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGPEDITISVNSSPNKLVGAHVSPSTLFSAIGGGIMCAKASMLLQDVPPSLLVRFLREHRSEWADPGVDAYSAASLRANPYAVPGLRVGGFVGNQVILPLARTLEHEESLEVIRLEGHGFSHDEMLMSRDMFLLQLCSGVDENAPGACAQLVFAPIDESFSDDAPLLPSGFRVIPLDDKMDIPSATRTLDLASALEVGSGPGSRIPNDVSGACSTRSVLTIAFQFSFENQLRESVAAMARQYVRSVMASVQRVAMAIAPSRLGSHIQLKHPHPPGSPEALALATWIGRSYRVHTGTEIRWSDTEGADNPLMPFWKHSDAILCCSLKPPFMLKFANSAGFDILETTMVNIQDMPLEVVLDDEGRKVLFSELPKIMQQGLAYLPGGVCRSSMGRQASYEQAVAWKVVGDDGAPQGLALMLVNWTFI >Dexi6A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:6A:21686196:21687473:1 gene:Dexi6A01G0014300 transcript:Dexi6A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPAGFRFFPTDEELITCYLARKAMDANFTSPAIRDVDLYKSEPWDLPCEQRAAAVTGGGGADLQEGYFFCTRGSKYLSGVRARRATRLGYWKSTGKDKAVHGRDGRLVGMRKTLVFYRGRAPRGEKTGWAMHEYAMGERSSSALLRGAQSEWVICKVFMRKHPTGDGRKVTTEETVHDQETTPGNLLPMVPDRSDSEHETVVTDSLHLVSHSGAHHVMDGNNEKDHHQHRHQMVHEDLLVMNHHGLSCPSPSWLNFDDKLGAHCSALPITQMQSDGAEYYLPELLEYDGCDDSLNAGLGLPDTSAEVSRRAEITSTAIGPLHLDGLYWNFGF >Dexi7B01G0022960.1:cds pep primary_assembly:Fonio_CM05836:7B:27721292:27724975:1 gene:Dexi7B01G0022960 transcript:Dexi7B01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGPGDNRDRLPGVVAMGHHFKPCPCNVLDGKRPRSRVLAEPAKVDHGQWRPRRRRLPQLHNLARHGSSPTAHAEQNKCALPNALLFVEVQAFAVKQCYCCRPLCKPLFPGQIPWRLLRVEDWVQEQATQQPQACRQIVSVPFAWLGQWSPAVAIFLLFPRQVAPGTYIPLACSRANSSQEGLTQSEPPAVVTATLQNTPFSPSTRQKKIMACSSPPLVSVASSSSWPPPLLPPAVQRITPWRTGDAARQRGRGRGHMAAQREWMNEL >Dexi3A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:3A:3744357:3744710:1 gene:Dexi3A01G0005790 transcript:Dexi3A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSVVVVATAVMLVALIAFSGQPAKAATSCGDCASQCTSTCNDQAATSCGAAKASATQQCLSSCQGNNACSMYCGKAGDNAYSACWSSVFPNCWNHCNSTCISNCAPAPAPSP >Dexi4A01G0024360.1:cds pep primary_assembly:Fonio_CM05836:4A:27153170:27154369:-1 gene:Dexi4A01G0024360 transcript:Dexi4A01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLPGPRWKKGKDAKDFAAVAAAKPMSRIVAKLQASLKDSEAMAILSGDGGDAILAIGRKQAKLLNRAAFGRHIENAGEEERQWFQLRPEEVFFLCHALKCISVQSENKKQMDEAQLWDLFTSTSEPFPEMYKAYQHLRLKNWVVKSGLQYGADFVAYRHHPALVHSEFAVIIVPEGKEFGSRCGRLQVWSDLLCALRASGSVAKTLLVLTISTKGCDLASSDCLGQLIVHERAITRWIPQQCREQHDKPQREEANGDVQIQKPCSEDANCITIFLLLSLGNHFQAIKTAME >Dexi9A01G0024030.1:cds pep primary_assembly:Fonio_CM05836:9A:19847621:19853549:-1 gene:Dexi9A01G0024030 transcript:Dexi9A01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLPLPQLNSLPPVNWDALDALVLDLARSDRLVVPPHAAPADAADAGCPSPPSSPSSSTTTATSSAPSSSSSSSSTYRSRLLILRARRALEAGDVDGALALLRAHAPAALADHRLLFHVHKQRFVELVRRGTEADKAAALHCLRTALAPCALDAYPEAYEEFKHIMLVLIYDKDDQFSPVVNEWSIKRRFELAGSLSSILRAHLQAYDPILSMTLRYLISIHKIYCTRQGISSPISDLTERLLFEDRDPPVVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMKLDLTLLDKLVHEYCIYRGIVEGSFHVLPGGGDLKCSQNNDVNNGTQLECAMADNQNGECSTSDITRDDSWSKRLRRVRSNTSGQRRRKRWRGRVDDLDYACEALLDANKHDSLSPALDMDEDTVVEQQVNFDVEANSNTSATRNMEDQKYEVVLEMQDLTRKGMASKVVEEISSVDPDFFQQNPILLFQLKQVEFLKLVAGGDHIAALKVASTHLGPLAANNQALLKPLKETLVTLIKPNEDVLNGVSLPVLACSLQVAMSRRLGIGEPQLMKIVRAAIHTHTEWFKLQMCKDRFEHFLKIDSLKEIDPPVGSCSMSKALTDECGNGSSQITTCSSGKVPDEGSSPPVSSEVACDENAILKVMIVRN >Dexi9A01G0028890.1:cds pep primary_assembly:Fonio_CM05836:9A:33625389:33629071:-1 gene:Dexi9A01G0028890 transcript:Dexi9A01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTESYKQTGPCCFSPDARFLAVAVDYRLVVRDVVSLKVVQLFSCVDKISSLEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNQACVHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMSVFAVDTIDLAGVEWSPNDSAIAVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKSVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHAASIRSPCNAAIFKEVDDPWQLDISELCLSEGFSRNMQDNGAENGTEGGGSRVKYAVMDVPVTLPSTKPATDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLELAAVLVQKDPIRAAAWDPTCTRLVLCTESSHLYMWTPSGTCCVNIPLPNFRIVDLRWNSDGSCLLLKDRESFCCAAIISALPEEEPDQSDESSEDE >Dexi9A01G0032990.1:cds pep primary_assembly:Fonio_CM05836:9A:37822829:37830237:-1 gene:Dexi9A01G0032990 transcript:Dexi9A01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARRLLSRAAAARRLALPLASIAPRRFSADAGPPPPSQTLPPPPPQPVAETPRSEGAGASSSSSTATGAGGAHRSSPGAAAGARRQGRGAGYEEEQEKVLCASLLHVPRMGWSESAMVAGARDVGISPAIVGAFPRKEAALVEFFMDDCLQQLMDRVDAGEGEQLKNLKLSERLSKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDVGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVNRRIKDALDFQKTFQEAAYLAEAVGAGMGGTVQGVLNRVFQNRGS >Dexi7A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:7A:4525463:4525887:-1 gene:Dexi7A01G0001720 transcript:Dexi7A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDSSYDRTAELHALDATLAGVRGLLGGGDQQPPSATVPVIDLGGDRAAVVDAVGRAAAEWGFFQVTGHGVPEEAMASAMAAVQAFHEAESGESSDKARL >Dexi5A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:5A:6738842:6741962:1 gene:Dexi5A01G0008970 transcript:Dexi5A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKYVVRRARAMAREQFEKKLQSVRSPRRRAASTGKLGADLCLARRGCHFYPPVVLSTTTCFLLLQVERAPRGLRCVRAGIPFAFVFWANLGVDLLACHLFDGMQGKAAWTGGRAAAGGEALFASDKYVDFTLSFAPFLRLVRKINDKCSKGNVSRWTPEAILMLQKLMSPKSVNCEDYHIILRKDLSKSDVVHKIGIMLPKKDAETNLPILNGKGKLMLEMDDFELPAVWKFEYRYYRHKGCIIYAFATTGGFVKRHGLQAKDILIIYKNKKSGRYVARAVKAEDIKVPECECIKAGKPSEKCRFAIGPLAKKMGKDIQQAPLDSDIDAQHAEARLKGNSMPPQKRRGKTIDIVLRDEPKWLIVLEDGDKTGMPKDGDKTGMPKERPIGAKEAKKQRSGKCKARDDDASLNEDLKNYIAIQATTKQRHEEYLKTKKRISSDKVEAARLGRETALVKAYQKLISMDTKEMTEEMRAEHAIGLKIIRGKLDDNTN >Dexi3A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:3A:4158606:4159034:1 gene:Dexi3A01G0006330 transcript:Dexi3A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENRLSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDILALKYAELVYAGRWFDTLRQSMDAFMETITATTTGSVTLKLYKGSVNVASRKSPNSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >Dexi2A01G0026910.1:cds pep primary_assembly:Fonio_CM05836:2A:38406409:38414035:1 gene:Dexi2A01G0026910 transcript:Dexi2A01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPGHRSSPGPRGGEGARGGAARVITGNGKPNPSPPPLTRLRSCLFLIQNQNPTKQQRKQGAPKQKTQLVAMPLAESPQWRRKATDFFSTSKTKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLNNEHLFKSEGDRKVLQQLVSLYNEDSGASLPEGVNPIDVGALVKFYLASIPEPLTTFALYDELRDARVSIPDLRNILKKLPNVNYMTLEFVTALLLRMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKFTLKPPPKIVDTTSNTAAWDLLDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >Dexi9A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:9A:4313592:4318347:1 gene:Dexi9A01G0007430 transcript:Dexi9A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAFLTFGPHVVYYSATPLSEYDTIGTSVKAAAVYLGTALVKLVCLATFLKVPENDSFDPYQELMKVFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGIEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACSLLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >Dexi7A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:7A:20362556:20368632:-1 gene:Dexi7A01G0009310 transcript:Dexi7A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAPAAGAHDVGMALRKAEEAAARRCEAARWLRRMEPAAVESLPERPSEEEFCAALRNGLVLCKVLNRVNPGAVPKVVENPVITVQTFDGPAQSAIQYFENMRNFLVAVSAMNLLTFETSDIEKGGSSMKVVDCILCLKGYHEWKLSGGIGIWRYGGIVKIASSSKRPVSNLTRGGGSDQQMLEFVHLLSEVSLEESRVEETQHSLFQHFVLRVVRAFLLEWGEAEDLPLDDMVIETVLEQACREFTILLASHRNQVRSLLRKMMKDDNGTLSKLDLIEAISKCLKENSGCLFSSSRIPRGSRELLDDGGVLESQQEELEKLKMSFNEMKLRVESTRADWEEDLRRLESYFEDQNHNAYHKLLEENRKLYNQVQELKGSIRVYCRVKPFPKTQSDQRSTVDHIGENEEIMIANPQKQGKDGRKIFTFNKIFGPNASQAEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDVTAEETWGVNYRSLNDLFGISQTRADSIKYDVKVQMIEIYNEQVRDLLMTDGANRRYPFMMNKNSKLTQVLQDALGGQAKTLMFVHVNPETDSYIETMSTLKFAERVATIELGAARANKEAGQVKDLKEEISKLKLALDEKEREATQFKDLANRVTSEMRNARTRSPLTASISLKPEAGQESSVDTCSTEIRSSSSGKQRRFRSPLSARELDDKSPVISRELYMSAWKYKTPSPPVRSSLSAERGSFAKSVENTGSIDFTPISKVEMPVKVLSSSSRNTPSSILTAQSLRKFRDSEENRCKIPSVRQSMTKNRSDSTQKAHKEEQSANRETNRKSGTKMRSASKTERDSSEIENEFAGDEPTFHFNRKAKKLPTPATRQSQNIDLRASVREIEPLTEGRQRRNWSKPPYAERTNIPLPDIRRSVSLPRGKNPLV >Dexi5B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:5B:5644435:5645226:1 gene:Dexi5B01G0008350 transcript:Dexi5B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFHVTEEDHDQLGPETEAEPEDAPACGNGGAGGGGSDSSSSSTTTTTTATAAAGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAVAAGRVAGGAAALYPRANPMSSAFAPPPHLLGGGGDAAPTSWVYFSSPRAAAVAGGQQFHVSHGCVFPSSRGGAPAVTAASPAVFSYTPAPSSAAASAPYVADDHGGRRVHASQVATLAARYHPGMVVAEPVVSGGPEDSMGLDLQLSLAPAGL >Dexi1B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:1B:9157166:9157947:1 gene:Dexi1B01G0009800 transcript:Dexi1B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSSRRQVVVVGRMAVPRRLLPMMMPALAILLVAGGAGAQLSPGFYSSSCPTVDGVVRQAMSQAVMNNSRSGAAILRLFFHDCFVNGCDASLLLDDTPTTPGEKSAGANSGGSTFGFDLIDTIKTQVEAACPATVSCADILALAARDSVNLLGGPSWAVPLGRRDATFPNATGTATDLPGPDSNLDTL >Dexi9B01G0040000.1:cds pep primary_assembly:Fonio_CM05836:9B:40647580:40650250:1 gene:Dexi9B01G0040000 transcript:Dexi9B01G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELSDEVAHSVYGAEGTMGLVSDSQENGNHQPAPAPVEAEEAVEEGDSGETMEGVASIALLPSGAISGHFIRLPDSICYGLQGTPISCERECSRGEDYRLIKLTIIDFKVGFSHKFVMIICQSKKEKVLVVVCRGHDAARIQNIDHLHGWEDDIVALVEKEHGNQKVLFSFELNIGKMCITGINLNEDDEPRGDN >Dexi8B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:8B:6948440:6949032:1 gene:Dexi8B01G0006120 transcript:Dexi8B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHLLDAATTGDVVTMKHMALRDPAVLLGTTPQGNNCLHISTVHGHDGFCMDALALDRSLLSAINADGETPFLTAVTSGRTSLASFFLRFCHDHQLTNEIMKQDKLGYNALHHAIRSGHMDLALELIAAEPALSKAVNQHGESPLFMAVMRNYGVVSNKLLEIPDSAHVGAYGNNALHAAHLTN >Dexi9A01G0001410.1:cds pep primary_assembly:Fonio_CM05836:9A:754950:760403:-1 gene:Dexi9A01G0001410 transcript:Dexi9A01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVPPSLRRALLSSASPHYGRVHVRNPSLSPSQALLHQWRRQASVASPSAPTPPPPPPPPSPPRGPSRLGGGGPTVSSLNPAEVAKFAALAETWRDPYSSKPFEGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNITIASIHAASDPTTASIEYCSTTAEALVKEKRMFDAVISLEVIEHVANPLEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPRGTHEWSKLVTPEELSLMLQKASVSVEEMAGFVYNLLSGEWSLSNDISVNYIAFGVKSEMPSTNGT >Dexi7A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:7A:28653496:28653963:1 gene:Dexi7A01G0019440 transcript:Dexi7A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARSMVFKAELFGSMKEKDAQCIEIDDVEPAIFEALLHFVYTDSLHDDCNGDSGNVAMQHLLVAADRYGLDRLRVMFATTLVLAEQHHCTQLKDACLGFIAASRSMLGEVMKTDGFNHLLTTCPLVMKEILDKVAAVWSE >Dexi9A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:9A:13742392:13742964:-1 gene:Dexi9A01G0018690 transcript:Dexi9A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRRGASGRPSGTDGSDFSYRMVVDSRYQRVAEGRSRLARLILVQILHQVAGGALLLLSLSKGKEPNKFAVLSVAAGLLAVVVGELGDIPVFE >Dexi5B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:5B:1425529:1429823:-1 gene:Dexi5B01G0002190 transcript:Dexi5B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLDSGLPLHVLLKSDPLSPLLFVLTIDPLQRLLEVATTKGLLSKLRGRTARLRISIILRTLPVKQAAFPVKYLGLPLHVKRLKRIHFQPLVDKAAMKMSTWQRKHFTQAGRVCLAKTVLSAQLATGSSQEENARSTGHAPVSRRNMERFARALRLRWLWHEWASPNKTWIGTKPPCDEQDQQLFAACTTIRIENGKKASFWTQHAGCIKEPDLRVIWETWAPPKSTRGWDHSPTCPLCRQVMETARHLASDCRYTKRIWDTIRGWWKALTATEDMPRKASLSLAMLYHYTSNSACPLHAILLPKSLTTATALAGELRKFAFAVYGTLPTPLIDFALSCCPVPRSWAS >Dexi1B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:1B:22714388:22717548:-1 gene:Dexi1B01G0016160 transcript:Dexi1B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGEKTTPSWEAGVEGARAWVVHAPLTRSRCYSNLPGEHVALYYSQRASKGGLLIAESTGVSETAQGYPNTPGIWTKEHVEAWKPVVEAVHRKGGVFFCQIWHVGRASTYDYQPSGQAPISCTDKQITPEVLEDGTVEEFSAPRRLTEDEIPHIVNDFRLAARNCIEAGFDGVEIHCAFGYLIEQFMKDSVNDRTDKYGGSMENRCRFAVEVIQAAIDEIGADRVGVRLSPYSNCLDCWDSDPDALGLYMIHAMNKLGILYCSMVEPEVVKVDGKVQIPYKLLHFRKAFAGTFIVAGGYNREEGNKAVAEGYTDLVAYGKWFLANPDLPKRFELNAPLNKYDRSTFYTPDPVVGYTDYPFLDLSSV >Dexi3A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:3A:15842043:15845683:1 gene:Dexi3A01G0019940 transcript:Dexi3A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPTSLSLAARAATRAAAAAVSTPLRRGGLAAVCQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRVRAAVPTIQYLIGKGAKVILSSHLGRPKGVTPKFSLAPIVPRLSELLGIQVQKADDCIGPDVEKLVSALPNGGVLLLENVRFYKEEEKNDPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPTDVVIADKFAPDANSQVVPASAIPDGWMGLDIGPDSIATFNAALETTQTVIWNGPMGVFEFDKFAVGTEAVAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGVEST >Dexi2B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:2B:16121389:16126085:1 gene:Dexi2B01G0012310 transcript:Dexi2B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAAPSSRDLAERLFNKNNELEDQLRKSAQSKVPSDPNIWLQMRDNFEKIILTDHDFSEQNEVEYLLWQLHYKRIEDFRRNISVASSAASQSGKSANPDRVKRIKSAFKSFLSEATGFYHDLMLKIKSNCGLPLGYFPEGFENASNSVRDEKKTAQLKKGLISCHRCLIYLGDLARYKSLHGDGDSASREYAAASSYYQEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADNPFTTARDNLIILFEKNRQSYGQLPDNNKVLIAKTLPPRSSGRGRGRGEVRFQPKDVNTETAARERECSILDTLKTFYTRFVRLNGILFTRTSLETFGELFSSVSNDLQILLSSGLEEELNFGSDAAENALAIVRLTAILIFTVHNVRKEPDSQSYAEIVQRRVLLQSAFTAAFEFVGRILRRCSELRDVASSFYLPAVLVYIEWLASHPELAVDSEMEEKHANARSFFWNQCIMFMNKLVLTNLATIDGDDDEACFSNMSMYEEGETGNRLALWEDLELRGFLPLVPAHIILDFSSKHTFGNVGSTKEKKARVLRIFAAGKSLLNFVQIDQMKVYFDPSSKKFVMAKKPPIANVSAPQHESPDMLKTNAVEMGHEAARGFDLVSSNMGGHQSKMHLCPEADDDEEIVFKPTASEKFPKAPSDLPVNGYTDPIPSSAAGWPNNASLVSVQVQSTASLSVAGNYNDNSSVSTSGNYNINQSLPMSSIDWTVNGEQKVIPSVAPRLEHMQPVEVPASSWTSNGAQHVGSQNTISTFPDVVSDPRVSASMVPRFSSSDYSKLLSEHEMLLMNGLKNVNITGGYLEQRLHAGLSGLQSMGYPPQIPVESGDNITNLIQNQVKITGETIPSTLDSVVPSIVPSCGVPLKFTDATLAASKKNPVSRPSKPVGPPPGFNHIIPKRQDDSVSVEKLQSPQVDDYSWLDGYQPSVDHVHNFRAVYPSVSASNTAFTTPFPFSGKQQVSGIHPQGVIEKTWQDFHLFEPAKQSIFPNYQQRNQQSGQMAEQEPSNSIWSNSYHV >Dexi1A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:1A:20963401:20969275:-1 gene:Dexi1A01G0014370 transcript:Dexi1A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRPPASISSAAALSSSMQYMLLQAACDGDLRLFKRLVRALDKGRGRLREAVEAARTDGGNGALHLAAGAVRMEVCSYLVEGLRVDVNAVDDKGRTPLIFAVLSENAAVVKYLLDNGADPDKADDDGLAPLHSAAGIGDCEMVQLLLAKGAFVDPLAVECGTPLHVAAKERQAGTMKILLDHNADCNKAYMIYGLYGMTPLFQAINVSSVECVKLLVQAGADVSSDCVSTALIDSNLGNERSTECLNFLLDSGASHNVPDDDEHGSKRKIAQLKSLGRKAVEKEDYLSAVTFYSKACCGTIFSLLNEVMRMVSKEKDQAMDLDPEDATLLSNRSLCWLRTGDAEKALQDAVECKEMRPDWPKACYRQGAALLLMKDYKRACEALFDGFKLDPENAEIENALRYVSVALHWHTCFN >Dexi2A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:2A:221915:225294:1 gene:Dexi2A01G0000390 transcript:Dexi2A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVQYRLERMTDELDDLERRGLFTRAELADVVRRRRDFEFRIRRHSPLRQDYLDYIAYELRLDSLRNLRKRAIIRAAASESDASDDDAGNKRKNKRGKKWKRSISDVAGVLRVLDIYRMATVRFKGDLDLWFRYLEFCRDKRHGRMKQVLAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPQSEDMWVEYLRMELTYLNKLKARKVALGEDVKTLQKSDNDAGHWKEENKELFMPLNEQDEEPKDSGSAADALEEKEDMFWRQGLLIIQTIYQGAVEALPSSLTLRKKFLEILNSVDLAHSEELKLEVLDDLKKDFSHCEDYWDWFARLQLTDLNSSNSLNGKDALSNKVNRSIQAMKFFSNEKIYFEKLVKCAMLSLGLAGGSDSGASVSSAIIGWYFQRDGMKQARKMYKRFLALPRPSLKLFQYCIELEANLASLGDHGALANARKLYNSAIDHYPQERELWRNYHNLELKVGTSETANAVYWRARKVLSDSTALTAPSS >Dexi1A01G0012250.1:cds pep primary_assembly:Fonio_CM05836:1A:11754528:11756033:-1 gene:Dexi1A01G0012250 transcript:Dexi1A01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEETMDEIPPAYRFRPTQRELVEFYLLPRSRGQDPFPGVIIEDDTAGSSLPWDLFERHGLGTEDEAYFFVRASDATKKRGARQDRGCDGGVGSWKMQNSREKGLRVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYTIAAPPCPSPVKICHITFTGHGRKRERVPGGQEDCQTGQAPQVDAAAAGGGCSGGMPDDRDSGALVRASADEEGSQPVLTKDNIFSQNPVLGGSEFLGFPSTASANAAQYHYQELEQEVPSNLWSSTWFESNNVVPHISDNMVQQLDRVQEDNQTGQASQIDATAAAGGCSGAMLDCDFATVVNVSADEESSQPVLNEDIFSLSPLLDSSEFLGFPSPSSANAEQHQELEQVVPSTEEEQAMVPQLMVEQSVSSLEEQQCAGDLEFWSSTGVDLQSSNLGGNLWSPTGNDFAEQGFWSSTMVESDGVVPHTGDMEEGHQDQHDFWSLSEAQVQNNCAMPAIAARAVAAANCHWGGYCITC >Dexi4B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:4B:8795003:8795971:1 gene:Dexi4B01G0011400 transcript:Dexi4B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSHVLLGALNLVTLLLSLPVLCAGVYFRMRAATECERALQLPVIFLGCALLLLSLLGLAGACGRRRAAARPFLWAYVVLMFILVVAVFAFTVFAFVVTDRGAATAVSGRGYHEYRLGDYSGWLRSRIAEPDTWARVESCICEARVCSGRLDGAVGRDAMLFYRRHLSPIQSGCCKPPARCGFKYVNDTFWAAPKWGSASGAAAASDGDCQAWSNDQEVLCLDCDACKAGVLEVVQKKWKAVAVANVALLVLLVVVYTLGCCALRNNGGGRHSGDGGANQT >Dexi3A01G0025520.1:cds pep primary_assembly:Fonio_CM05836:3A:21214229:21215474:1 gene:Dexi3A01G0025520 transcript:Dexi3A01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVQQLTRAFSGLGGLGVDEPTMVSALARWRKQPEKRSGFRKGFPGFFKSHGDIDRCEEEYMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQHHPPAILVEVASTRSADELLGARRAYHQLFHHSLEEDVAYRAKDKPYCNLLVGLVSAYRYEGPRVNEEVAREEAKALGAAVKSAAAGGKKLAAVAENGEVVRILTTRSKPHLVETFKYYKEMHGRRIEEDLVGHGHGEEEETLVETVLCLAAPARYFSKVMEGALRDGADHHAKEALTRVAVTRSDHDMDEIRDAYLEQFGVKLEDAIAAKAHGHYRDALLSLVGAHHQQ >Dexi5A01G0038630.1:cds pep primary_assembly:Fonio_CM05836:5A:39561182:39561486:1 gene:Dexi5A01G0038630 transcript:Dexi5A01G0038630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPERHLRKLAEFMGCGFSDEEEGSGVVSAIVELCSLGKMKGMEKRSSNLFGIKNESFLRKGVAGDWSNHMTPEMAERLDKIVEDALQGSGLTFES >Dexi9B01G0036610.1:cds pep primary_assembly:Fonio_CM05836:9B:38099555:38101410:-1 gene:Dexi9B01G0036610 transcript:Dexi9B01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPHERRPSRVAAHLILLACCLAVLAPSRVAGGAGMPAAFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFMGHQPTARYTNGRTIIDILGEEMGLGGFVPPYMAPETTGDAVMRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGEVEAVSLLRGALFSVTMGSNDFINNYLTPIFSVPERATTPPVAFIGAMIAKYRQQLTRLYLLDARKIVIANVGPIGCIPYQRETNPSAGASCAEFPNQLARSFNRRLRVLVDELGAALPGSRFVYADVYHIVSDIIDNYRSHGFEVADSACCYVGGRFGGLVPCGPTSKYCEDRSKYVFWDPYHPSDAANELIARRVLDGGPADVSPVNVRQLIMA >Dexi7B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:7B:25624009:25625126:1 gene:Dexi7B01G0020220 transcript:Dexi7B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELADIPDVPPKTSSTYRAEKVTGTHDFEMENYSSLSARYGVGRSLKSAPFTVGGYSWVIQFFPNGETFDDCYCCMRTASAYVSLAGIPVVPATKPATAAMPKAKYTLSLVGRDSRPSRLWRARSPVRTYGWPQPTSWGINDLWYPKPLLRLSGCLAGDRLKIRCELTVFVFAAPSTTKGDATPAMAPPPELHGHLERALGDGRGSDVTFHVAGTAFRAHRVMLAARSPVFDAELFGPMAKKDDVVEIADVEPAIFEMLLHFVYTDSLPPAIFDGDSTAAAAQHLLVAADRYGMERLKIMCAEKLCRSIDVSTVTTTLALADQHHCQELKEACLAFMSSPKVLRVVVASDEFKHLMASCPQLTFW >Dexi4B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:4B:11262124:11263043:1 gene:Dexi4B01G0013060 transcript:Dexi4B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRTVRMNPGEGEASYARNSTFQLQQAPPELGLLLNDLPSNDFNTAVKHLVAFQQKQNVDKSERGSSPTPHDLVKNGLPM >Dexi4B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:4B:14486842:14487326:1 gene:Dexi4B01G0014050 transcript:Dexi4B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSVDHVFRRYAGEAHVEDPGAVAAERAAVEAAARELEETKALADAEKNRMDAIGNKVVGAAEAAGKRFWWEVDVEALGEAELSEFARALQRVREVVQREAGKLEVSAPAAAVSGRRRGNRRDK >Dexi7B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:7B:16201793:16207898:-1 gene:Dexi7B01G0008390 transcript:Dexi7B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPLLSPYETGIFRFLHSLMVTCITLGSVNIMPQNYMTSSVCFIFSNLLHRIVLAPLSRNRSYGNLPQSHAILYYSQRATKGGLLIAEATGVSPDAQGMSLFPHSAGIWSKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDTEQEPISSTAKPVEKNEGNLMDFSNPRCLPVEEIPDVVNHFRVAARNAMDAGFDGVEIHAVHGFLLEQFMKDSVNDRTDEYGGSLQKRCRFALEVVDAVVAEVGSERVGIRLSPYANHLGCHDSDPDALGVYMAQELNKRSILYCSAVEAEMVMVDGKMQIPHRLHEMRKAFNGMFMVGGGFDREEGNRVVADGYADMVVYGRLFLANPDLPRRFHLNAPLNKYDRSTFYTGDPVVGYTDYPYLEDSVRELHMHGEAPSSTSVHFHEKLELEKQQEKKMSKASDSTIPLLTPYKMGKFDLTHRVVHAPLTRQRSFGCIAQPHAILYHEQRTTKGGLLIAEANAISDTAIGYNNVPGFQPNGQAPISSTDKSVKPQVRANGIDIATFSTPRRLETHEIPLVINDFKIAAKNAIEAGFDGVEIHGANGYLIDQFLKDQVNDRADKYGGSLENRCQFALEVVQAVANEVGADKVGIRISPFANYYEASDSNPEALGVYMAKALNKFGIIYLHVVEPRMITVGEKTETPHSLRPMRDAFKGTFIAAGGYDREDGNEAISTGYADLIAYGRWFLSNPDLPQRFRLDAPLNKYNRSTFYTSDPVIGYTDYPFLQSEDTLAK >Dexi3A01G0036150.1:cds pep primary_assembly:Fonio_CM05836:3A:41578830:41579075:-1 gene:Dexi3A01G0036150 transcript:Dexi3A01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLVLAVVPPPDASARLALAAHRAREWRCSLCVRSPPNLAHATPADRAYAPPDPLGPAHTPPRDILGTPPQILLAGRSR >Dexi6A01G0003350.1:cds pep primary_assembly:Fonio_CM05836:6A:2985634:2986235:-1 gene:Dexi6A01G0003350 transcript:Dexi6A01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYIVYYSTYGHVAALAEEIKRGAASVAGVEAKLWQVPETLSDEALVKISARPKREDVPVIAPAQLLDADGFLFGFPTRFGMMPTQFKAFMDSTGDLWCEQKLAGKPAGFFCSTGCQGGGQETNA >Dexi7A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:7A:26128435:26132183:1 gene:Dexi7A01G0016240 transcript:Dexi7A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEKRPPRSLFELPSEFFGSSVLLRAHPSTAPSAAEPPEPSRPPPTTQQQQQPSESAGFRWTCNTCAAEFESLLEQREHFKSDLHRLNVKLSIAGKTIIKEEDLDKADSDSVFDDLEISSVSGSEDELENGPASERGLYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIFSWKSYFDTCVCAFIYAPSKNRQMLFDGDKTQSVIQACDIRSVPLTVHRPTLKEAKRVYSNLTQLHYEMECSTVDETLSHGENVTSVQQSEGKKKEVAVDSEESISELSVSLELLNKNEEATIRSSKIVTTPLHEAAKSGNAQLTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHASDVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEEKEKEKEKAKAQASQPQASMGQMTNRTTTVPGLKPKHQTPQQILIAKEEERQRKLAEEREKRAAAAERRLAAMAAQSAGTSSAAASNSGQKAAPDDNSCSCCFTSLAGKVPFHRYNYNYCSTTCMHLHSEMLQDD >Dexi2B01G0024810.1:cds pep primary_assembly:Fonio_CM05836:2B:34114759:34115061:1 gene:Dexi2B01G0024810 transcript:Dexi2B01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTDGFATHHSEPAVPGVGVPANGGGNTTGGMKLPPGGEGTAPGASGAFSGGGYGAPGGSGANSGGLGTKPGGSGAYSGGLGMIPGGYGA >Dexi4A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:4A:3594184:3594936:-1 gene:Dexi4A01G0005100 transcript:Dexi4A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHVSCAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPDALGKLLSKAGLTQPAPEA >Dexi9B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:9B:9116081:9116477:-1 gene:Dexi9B01G0013590 transcript:Dexi9B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGATYEEYLRVEQDKYLGQCSRVEYKRLKKVLKKCRVGRSLQADGTNGDEQQQGSDEYSDDCESPKL >Dexi1A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:1A:8420413:8420640:1 gene:Dexi1A01G0009970 transcript:Dexi1A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGATMLAVGCLLCPFPGLTPSGRNLTALLPELWDLLASLYSHCRSSSSLSEVLAAAGGGGGGALRRWCPSLSP >Dexi7B01G0023100.1:cds pep primary_assembly:Fonio_CM05836:7B:27802962:27806503:1 gene:Dexi7B01G0023100 transcript:Dexi7B01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPDSSPKKWNLKEQRSTYLQWFSLADEDGDGRLTGNDALKFFAMSKLSKPELKQVWAIADSKRQGYLGFYEFMTAMQTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETAAGPLGMELFQKEQDDLLSDLNDIPKKACDRRINEFVKRARAAKVHAHIVSHLKKEMPALMGKAKAQQKLLDSLDEQFAKVQKELHLPPGDFPSVDEYRELLSSYNFDKFEKLRPKMVQGVDDMLAYDIPELLKKFRNPYD >Dexi1B01G0020870.1:cds pep primary_assembly:Fonio_CM05836:1B:26866039:26868958:-1 gene:Dexi1B01G0020870 transcript:Dexi1B01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLISVYPEELTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPDTMLKNASIEEDVLRRRRSRKGDTGFSLTFAAFAGLIGVLVGLMMSLIFSSPPSTA >Dexi9B01G0034750.1:cds pep primary_assembly:Fonio_CM05836:9B:36657467:36658024:-1 gene:Dexi9B01G0034750 transcript:Dexi9B01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPTRRHNAVRTPQPEGSDSLLYPNSRSSPQLIRNLLAHSCVQVLCLKPGLIHQTSKHDAMPPEVVLAHSRGINRHVVT >Dexi8B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:8B:24324983:24326101:1 gene:Dexi8B01G0013950 transcript:Dexi8B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSSGSEGSTSTITTTTTTGWHELKIPGGYTTSKEGNGKGIDSIPFTVGGHTWYIKYYPDGNNDKSAGWVSIYLYLAADDHPSNLNVNAVKAKYKFTLISDDEAGDDVDLSSYTRTSSGYSFWSPGKARGFYQFVKSTDMEASLKGHAFRVRCDVTVVKEACINTSPTTTDTSLITIPPPDLHRHLGDLLDTEVGGDVTFTVGGERFIAHKYVLAARSPVLMAELFGHMKENTMPSDGATTVTLAEQHGCEGLKEGCFRFMRCPGVTKAVMASEGFQHLRTSCPFLIEEMLAKLAP >Dexi5B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:5B:10276961:10280905:1 gene:Dexi5B01G0013960 transcript:Dexi5B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGFLWKESGDQAGAARMSGGHKEKSLDWDLNDWRWDANLFLATPAAAAPSGSSSREPSRAQGEIDFGVVVDKRRRLSPEEDGSAGCSNNSAVVANGDNSHVVSVQRSPSSEEERPRKGASSSNPPSCQVDGCHADLSGARDYHKRHKVCEAHTRTSMVRIKNVEHRFCQQCSRFHLIQEFDEGKKSCRSRLAKHNGRRRKGQPQGAADGNSTNENQSLNSTLFLLLKQLSGLESGSSSEQINHPNYLVNLLKNLAAIASTQAYQDILKNANLNPTSNAGNNATNGSTVHELTKQSIPAGHESLAGGPPLKKQVQDFDLNDAFTEEVESRTDKIVFKLFGKEPRDFPVDLREQILNWLSHCPTDMESYIRPGCVILTVYLCLPNWMWDELNDDPAPWIEKLVSMSNDGFWRTGWVYARVQECLTLSCNGTLMLASPWQPVMGDKLQRLCVTPIAVACSSTAKFCVQGFNIVQPTTKLLCVFGEKYLIQEETQMLLEDTTMQEGPQCLTFSCSFPSTSGRGFIEVEDYDQSSLSVPFVVTDNDEWCAVVKKLLNTMFRDDIDLDIPSPIEFALGEAAISDGIGVLDALTDDPQQ >Dexi6A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:6A:21564410:21564808:-1 gene:Dexi6A01G0014190 transcript:Dexi6A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGIINHPPDFASRRRKERDPEESSRNNPRETNNGRQARGGGRPRDEQQVEAEEDYIDMGSDDSRNSGAWSRPPPAAAAWCWTAGCGGLRVGDARRGGREGEVAGVELLLLRRREARHRGGAWPRVPRRPI >Dexi2A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:2A:14470670:14473420:1 gene:Dexi2A01G0012500 transcript:Dexi2A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASPHTSFLHLPHHRPFGPRRPRLTRLRCLASLAPSASGASPANENHLIQTLCAHGLLARAAALLQGLPAPTQRTYESLLLAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYATLSALPAARQVFDEAPVKNIFVWNALLKALALADHGEEALARLSDMGRLGVPLDSYSYAHGLKACIAASASHAPASARVREMHAHAIRRGYGLHTHVATTLIDCYAKLGTVTYAERVFAWMPERNVVSWTAMIGCYAKNECPGDAIELFQEMMASDADLVPNSITIVSVLHACAGVNAIGQGKVLHAYILRRGFDSLVSVLNALMAMYMKCGCLEIGRSIFNWIGRRRNVVSWNALISGYGIHGFGRESLQVFEEMTKEGISPNIITFVSVLGACSHAGLVEEGKHIFESMVEYNVTPRAEHYACMVDLLGRAGCLDEAMELIQSMRIEPTPQVWGSLLGACRIHGHVEYAEMACSHLFDLEPRNAGNYLLLADIYARAKLQNQVDVLKELLEEHALEKVPGCSWIEVKKKLYSFVSVDKKNPQVEEVKKKLYSFVSMDNKNPQVEELQALIGEFVTQMKSDGYVPDTGSVLYDIEEEEKERILLGHSEKLAVAFGLINTSRGEVSWRGARLWPADGDVGR >Dexi3B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:3B:1861400:1864429:1 gene:Dexi3B01G0002770 transcript:Dexi3B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEEAAPAAAAAADDASDPAAGSSDNEITVEEASFVRSEPPQDGSAPPVVSSDMQVLHDKVKKQVIKEGHGNKPLKYATCFVHYRAWAQGSSHKFEDTWQEQHPIELVLGKGATKVTSPLFFIKDNRLCSNFRKIFIPSTEKKEMSGLGIGVGTMKSGERALLHVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDIKEGKARSEMTVEERIAAADRRKNEGNEYFKEKKLEEAMQQYEMALAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAQCLIKLNRFDEAIAQCSIVLSEDETNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKDILRELRLLAEQDKALYQKQKELYKGLFGPRPPEVKPKKANYLAIFWQWLVSLIHHLVRMFKRKNE >Dexi3A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:3A:14826920:14827201:1 gene:Dexi3A01G0018990 transcript:Dexi3A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSGFIMDFVVDKCGDVFQGISSLVDVAGGLGGATQVIAKAFPHVEGSVLDLSHVVAYAPTGTEVKYIAGDMFESIPPANAVFLKVHGRRM >Dexi9A01G0030080.1:cds pep primary_assembly:Fonio_CM05836:9A:35025153:35029483:1 gene:Dexi9A01G0030080 transcript:Dexi9A01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGAGSGESPTAAAAEAAAAGATLHIRCANGSKFTVQADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPPAAPAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRSSVIFGPGFPELENVEQHLSQNPNLMREIMNMPAMQSLMNNPDLIRNMIMSNPQLREIMDRNPELAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFAALLGNQGSNQPGQPATNAPTAGSESTTGIPAPNTNPLPNPWSTNAGSAQGATRSSATGSARTGATGGLGGLGSPDLSSLLGGLAGNPRSGDAGGLGGLGSPDLGSMLGGSPDASILNQMLQNPAMMQMMQSMLSDPQTMNQLLNFNPAARNLMESNTQLREMIQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQQQPSQDGNNSGSATGAQGNPSLDTLMSMLSELGSGGGLGVPNNSNVPPEERYATQLTQLQEMGFIDTVENIQALVATAGNVHAAVERLLGNLGQ >Dexi9B01G0034280.1:cds pep primary_assembly:Fonio_CM05836:9B:36285008:36285550:-1 gene:Dexi9B01G0034280 transcript:Dexi9B01G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAVSASSLNPDAPLFIPASLLQVEDFSPQWWDLVTTTAWFRDHWSREHAQLDEMAEELDAAGLLPDDDLPEDNPAVVEAPQETAPAVILKTDEVFKALNLTSPKGGEAPRAFWEKPRHGDKPTKYAGSPKGSSAPRVIHQPR >Dexi2B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:2B:5520808:5524321:1 gene:Dexi2B01G0005930 transcript:Dexi2B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADPSAVSALGGRSGITAVDGVLPLELLTEVLLLLPAKEVCRVRAVCPSWRSLTYDPLFVSAYAARHPGPLLYVCRGSTSIDLLDLSGDVVKRLRPNMTGYVSRVLYACFEFVLIEGDNHGISVIDPVSGSVSTLPVGITEGLASSCLWYPSWFAFGKVASTGEYKLVRIVDQDLMCGGYGNDPLCEVLTFSEGFRHWGKNDDPAAYRDGIEQWRKVESAPAYLDLNCTDGVIVEGTAYFLLAQWQFEEPYIEDYNIEPGCIPSFNLETEQWSVALRGPASRIVEESNGMLNYRDLIDRLMQHIIQIEIIPGELKGLHGETVAEHCAPLLLGNGGGLLPTDVMWEIFVRFLVDELCHLCLVCRS >Dexi1B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:1B:18708347:18714702:1 gene:Dexi1B01G0013200 transcript:Dexi1B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDTTNPFASSSPAAASWDDLPDDFFLSASISSPPAAPAPIPSTSPRPAATPVPHRSASXXXAPPASHHPQPQPPPPHLLHPSHSLPTFPAAASRPAAAAAEVWPPPPGPHHSESLPEFAAAPASRAHRPPARAAVRDDRPPPLELRPRPPRESQARAALRVLACCRDASRDGDGDGGRPRLWAAGEAGVRAWDLADAFRSPTSRQRWGDEAAAPFRESRRTQAALCLTADPGRGVVWSGHTDGRIMGWSADPGPEAEECLAWEAHRGPVFALAVSPYGDLWSGSEGGVIKVWYGEAIEKSLVSQREEKRKTSFLVERSSIDLRDMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSCTKELLKVVNIDGQVDTRFDMLSAQDPYNYEAKQTSFSSPRKEKTRSPVGFLQRSRNALLGAADAVRRVAVKAGFGDDTRRIEAFTMSMDGMIWTGSANGSLAQWDDNGNQVGVVVIGLQEVDMGAGFLAMSAAKETVGLEGSPNGEWWLDVIGQILKGHSFVRVGSRQMAGLIIAVWVRINLKQFIGDIDNAAVACGLGRAIGYDSSEKKRIPAWCDRILYRDNRASSDIECSLECPVVGSISLYDSCMEATDSDHKPVKCMFNLDVAHIDKQTMRHKYGEIMTSNKKVLYLLQGLEAFPEVNVSTNGIILQDQSPSVVKLHNRSTQELACFEIIGQTANSSGTPLSGFPSWLKVGYSFVIVLLILR >Dexi7B01G0024090.1:cds pep primary_assembly:Fonio_CM05836:7B:28488462:28490111:-1 gene:Dexi7B01G0024090 transcript:Dexi7B01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQLCCLSTLLLFLGLASGQVLFQGFNWESWKQSGGWYNMLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASKYGTASELKSLIGAFHAKGVQCVADIVINHRCADYKDSRGIYCIFEGGTSDGRLDWGPHMICRDDTQYSDGTANLDTGADFAAAPDIDHLNDRVQRELTDWLLWLKSEDVGFDAWRLDFARGYSAEVAKVYVDATSPSLAVAEIWNGMVPGDDGKPAYDQDQHRQALVDWVNGVGGASSPATVFDFTTKGILNAAVEGELWRLVDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSELTILEHNADAYVAEIDGKVIVKIGSRYDVGHLIPAGFQVAAHGNDYAVWEK >Dexi2B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:2B:2503191:2504534:1 gene:Dexi2B01G0002920 transcript:Dexi2B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLTTSPASLLSAAADDPPSSTAFRHATFIAATLSLTFLPSSPPPIISLTARSSSAASTKFPLFLSTSILTATPMASTIANALRCCSAYIGHGAMGTPHHKLSITEFHPQCVTNPPTAACAKISFCGAVVGHTIPLPPSSPATASRNPSGRSSPPARSASAGLLVPGAGGPRSTQRNWWPLRCKPWATSCACAAVRNPALPKQRNTTDAGGCFSSHRTHGCAGDVGGGNEITGPMGRRSVAMRAPAGGNGGEDAGLELGGGVDDDAIGVGESPAVAYHAHSGFVFSITGVGRESGGTGGSPGMSTAATPSMSSNSPATSSQSAGSRRKKESAAALQTYAGTARSRATSMSGVQKRSSTTAATGLAGGKPEMAALTLGAWSSTTREMNSTGPWWSVGGSTAGRRWNRTSRPPPPAATSSSARRMWASTSDACCSVGAAA >Dexi1A01G0028870.1:cds pep primary_assembly:Fonio_CM05836:1A:34431741:34434149:-1 gene:Dexi1A01G0028870 transcript:Dexi1A01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSAEEDDDHAAGAGPELSESGESSAEEAGSDGDETSAPAKPASKPRRRPNPKGGDAGGGEGDSSLPSALEAFADVSGPPEFLRHRVADPEEGTEALGVLDRRGKGGSKHPPPGAVVVAKPQLVAIRERVTTTSSNTPGSVTSGSSDGKRIIGAANPGPEDAADLLSDLNCKFASNFRMCLQCGVPKTYSHAKGMVCPLCGDRPAQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMVLRQQFD >Dexi9A01G0033850.1:cds pep primary_assembly:Fonio_CM05836:9A:38663302:38668397:-1 gene:Dexi9A01G0033850 transcript:Dexi9A01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYRITYRNMSTWLVQSFSVGFGMGPDFRVLVRKSRKQAQQYYRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGVIRSDCEFRVLSPAEIKDFLEEVE >Dexi7B01G0023620.1:cds pep primary_assembly:Fonio_CM05836:7B:28165310:28166963:1 gene:Dexi7B01G0023620 transcript:Dexi7B01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLVNTISASPAASPRLPSLSRRTTTRRASSSRHRCRAEASAGGGGEGYKPWGVRGSWVSDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAADGHDMAIVLNEVYALLSDPSARLAYDQEQARRSEFAGYTGHPLYSSWLGSDAERRAVFVDEVRCVGCLKCALHASKTFAVESVYGRARVVAQWADDEDRIVDAISTCPVDCISMVERSDLAALEFLMSKQPRGSVRVSEGNAVGARAPNIFNEVAKFQKRFDDMRLKSATRESQESETVRQSRTSAVHTIRSMSNWWYWRPFGSSAPATIVLASRLLPAPAPETEARAADPVAERLQEAVAARRKSEGAMTSTARRDDYWTPQLNLPSSASPPSIHQRGRDATQGDGRRRRAAAGEATAGPRRKGASIDLTAPLLLGIISAGFVGYNGEEMAGGGGGGIQEHFGGAVALGIVNSFEMKVMLAGVTWFIIGAAIAGVIQVLGRNKEDIWK >Dexi1B01G0025470.1:cds pep primary_assembly:Fonio_CM05836:1B:30504602:30507912:1 gene:Dexi1B01G0025470 transcript:Dexi1B01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRNSRSVLSRLLRHRPHVAAPPAPAPAPSPASRYYSLYASRFLRNKPAVPPPPPQKLPGPRHYYTSPRREEVIHFSRRRGGPRWYHDQRKLTAAVVITGGGAVVFYFGHLEAVPYTNRSHLVILSPKLERQLGESQFAELKKQFGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGQQRRHASYGEDASYGYGDISDDHTIKNRDADAAAAMLGGSTGNNARAAAVAQRDDEVLDDRWVTECRSRGKAKGAQAQTGHLDGLNWEVIVVRDDLVNAMCLPGGKIVVFTGLLDKFRSDAEVAAVLAHEVGHAIARHAAEKITKTMWVAILQIILLQFIYMPDLINAMSTLLLRLPFSRRMEIEADHIGLLLLASAGYDPRIAPSVYEKLGKIGGDSALNNYLSTHPSSKKRAELLSRAQVMNEALELYREASAGQGTEGFL >Dexi7A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:7A:29363609:29369149:1 gene:Dexi7A01G0020620 transcript:Dexi7A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIEATTFSLYFCMIASKGVKLASRVMESAALRFDNKQDEVSSCTTKQILRPTMTDAMKFTMLYISAMVAYHEKTLGYVPGTKGRRRSIKASGDGEPGAFGTSSGSIIEEQLKTFHLIFKGHLELDKDMPFREDIQRIEEFQKAMSSLNTSIFHIEATTFSLYFCMIATRGVKFASRVMESAALRFDKQDEVSSCTTKQILRPTMTDAMIFTMSYISAMVAYHEKLLGYVPGTKGRRRSTKASGDGGALGTSSGSM >Dexi2B01G0034480.1:cds pep primary_assembly:Fonio_CM05836:2B:41724589:41726869:1 gene:Dexi2B01G0034480 transcript:Dexi2B01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNFPQARRLLRRMGFEKEDAYFFKQMGKAMLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEKEMAHLYERRKFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFEQEAQKLWLRMRNEVIQELQEKGFDIE >Dexi1B01G0028570.1:cds pep primary_assembly:Fonio_CM05836:1B:33067806:33070991:-1 gene:Dexi1B01G0028570 transcript:Dexi1B01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYAAASPAAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFSVALDRLPTSTDLHHHDAGGGFHAAAPPLSNALAAALKRAYAHHRRIGSGGVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVFVNARVMQQRQAASHREEEVAKVVEVLKRSTKRNPVLVGDTADVDAVVQEVLTLIQRQRLGDARVVSFPKDSGDLVGMDRAELIAKIKELGEVVRSASATAGVVINLGNLQWLVEERCPAAASANHGEQAERRDVLLDTARAAVAEMARVLKNQSGDGEHRVWVIGTATCATYMKFQVYHSALETEWDLQAVPITPRPPPPPPPLGMSPSVGVNRGILSSSVEVLSTAMTATMPRAPSLCNACADGYERERAEMMASAERAPPCHGEQPMSQWLQIGTPSSARPVVDRAQEKAREADELRRRWRDRCAQLHSHGRPPLVTCSEWNGASILGNMQAAASAVRPPVKLQPRGAMVDTDLALGLAAARPPASCETDDDDKVLARRLTEAVRWQPEAAAAVASTIAKAKSGEAKRRGSAAKAHAWVVFAGQDVVGKRNMAEALSNSVFGTGAVTVRLDCSPPAGIAGDDGGGESAGVVSCRGQTALDRLAEAIRANPFRVVVLDGVDHADTVVLGSVVRAVESGRLSDSHGRDVALGSNIFVVMPQWSPSPDNLIMKPSQDLPWNLEHRKRKPEQEVEDDRLARARRDHSSARGLTVPLPLDLNLSMSDDHADAVDDSGGEGSRNSSSDLTVEHDQDYGHQLAPDRCSTAAPLNVSELIRAVDGVVVFKPVNSSNQQPLKRSFSDMAPAKSGDITGGGGWPLSVHVDEGFLLDRLAAAAAAGGAARTTTTATMPMDAWAGEVLCPSSLRLFKRSLSSNDVDGATVEGGGRRKGGGEVFHMPVTVDGS >Dexi5A01G0025520.1:cds pep primary_assembly:Fonio_CM05836:5A:29363448:29364923:1 gene:Dexi5A01G0025520 transcript:Dexi5A01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGAMSARPRGLREETLLRVPGASVHLVSGSGDVPVELARGGDLTVVRITKDDVAVATAVRVGRDLGWPLARDEPVVKLDRLHYLFTLPDRDGTFLNYGVSFDAAAAADAAALASLDAFLRSNACFSEPSSPAGPPKSFFWSRPPSQQQPAASDAYWNDFAPRMQGYNGVLATAIAAGTGQLVRGIFMCSEAYASQVPVQSVLASSVRIGRRGGMGGDTFVQRGADLFKFGGTVRSSQQPSTKPGAVNQSLKRVRKLSEMTEQMSQSLLDTVIAVTGSMAAPLLRSKQGRAFLATVPGEVAAERRSLAATSNVVSGAVSRRYGESAGEATQDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKTAVRNRK >Dexi7B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:7B:462166:462651:-1 gene:Dexi7B01G0000080 transcript:Dexi7B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSRAFWARSAACSPTSDDSQKPPWSAARDSIARAAWRSPTVDRRVAGDNSPPPPTTVLPSSAAGRRSAAVRFTTSAFRLTNAIPRLHRPWRAATPFRPNHAAATLSPDITEVHMPSVDMGPSSRLAYAFVHPPCADPGNFIRVALRKHGGDQGLPYR >Dexi1A01G0032260.1:cds pep primary_assembly:Fonio_CM05836:1A:36901548:36904190:1 gene:Dexi1A01G0032260 transcript:Dexi1A01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAARPDDEEARRRRSTDCIYFLASPLTCKKGTECEFRHSDAARMNPRDCWYWFSGNCANPKCSFRHPPLDSLPGAPPSTPRPPQQPTPQPPVPTQPHGSVPAKQPVPCYYFQKGMCAKGDRCAFSHGPQSPQSAAKVFTPALQPNTQLKNSWTKPNSSSGQQNAPAGIPNKSKVSVYNAKPVQQQDVTNRVEHSSRTYQNHINSYAQSGATKHYQPQSTVKDGLTGNDTEVGEFVREPSAGSVVPVGGDDDVDGSKQSFMGNQSSYHHRTNGTGMTRQAHGGYEPERSYRSSAERLSSEKRISHREPMPAVGAGISDLRHRLLKQRRLNNSSRSTEAPDMNDKYLEGECNDQHQWQGEEHDAPLSRSRLRDRIRLPGETSFDRLGSRSPRGRLSPPKHSDLRGKLHERLKARSAEEMLPDNSAKSLVAKASSGEDTGSLNFAGPKSLAELKAKKGVGSSSGEDAKVIGSARLTSGITSPFEGPKPLSAILKRKREVASENTAAQSVSIQEDDAAEGVGEESQILASDTVGENMEVGRDVEEGEEEAFYPEDDVAYDDIIDEEQQEDVEAAVEDYDYEAADVNADGYDEDDLEDDDDDFARKVGVMIS >Dexi3A01G0036310.1:cds pep primary_assembly:Fonio_CM05836:3A:41709114:41712580:-1 gene:Dexi3A01G0036310 transcript:Dexi3A01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWRIGESSSVWVNLFAVPANFAIAALEFAVWSSVWMEERLSEAGAGNSKAEDDFRSCCGDEEEWEDTEESFTAAVAKGELDETSVRLFFKGVSSPEVGGKKLSGIGVVMERSPGVPVLMVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPCFELERPLQLAKEAIGIRCGHEFCYSCGADYASGVQTCQCVFWDDEAIEPSSAARSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYMVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >Dexi1B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:1B:1435286:1436374:1 gene:Dexi1B01G0001770 transcript:Dexi1B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHLAGTSKPTGQQQRLYLIFDDCEFGYTIRELNLPPSSSNSAAGGGGAEQLPPPFISLEATRGSPEFFAAVGTKILATHPRTDFQEILPMIDVRSRDVNLAPGELYPHRSIFLPVGEDEIFALDMHTFKMLSLKPLWPPRLEHEFRYQISYWSWRNLPMPTFKSVDVTSYAVDSDGRTILASTAAATFAFDPQCHEWRKRLEWSLPFSGRAYFVHGLDVFVGLTKDVDTFGHLCFCRWLLGGGGDDEQHDVWFSKENLSSKDPAKSHVGTTLVYLGENRFCLVECVRNGDVKAVQKWLEEWDGQEDHIEESPLSARCRLTTFSLSSEMNGGLMAAKTAVQCYKVPMEACCFNVNPVAFWL >Dexi4B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:4B:9508107:9508835:-1 gene:Dexi4B01G0011900 transcript:Dexi4B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALTRRPSASTSARPFATNCPRLWNRRTWDAVHSGDVDVADGRNPGGVLSVEVGGEVCFEGEHRGRRGEEDVARDVELPGHGYGHGGQRDVRHERRRAGEQRGEEQRRSAAEGLNGSAPGKSTSGARRRSSRRSAGKAAKSAAMWTDSAEDTVGKAVTSETSQLWSADSATARRVNGTRWPMPALGKRTMCGVAADPWESPEVESGGDSEDIVEHLGSVFVMCGAR >Dexi2B01G0023840.1:cds pep primary_assembly:Fonio_CM05836:2B:33314139:33321554:1 gene:Dexi2B01G0023840 transcript:Dexi2B01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQPAAQGAEKVAEEKQLDEKELERKLKKDQKAKEKEEKKLKAKQKEAARLQAQAASDGTKKTEKKQKKKAVEDENPEDFIDPDTPNGRKKLLAPQMAKQYSPSAVEKSWYAWWESSGYFGADSASKKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGRENFVSEVLKWKDQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKSGLIYRDYRLVNWDCTLRTAISDIEVDHIDLKEETMLKVPGYANPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYTHLHGKCAVHPFNGRKLRIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKVNINGGAQFEGMPRFAARVAVIEALKEKGLYKDTKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDLDKTLGSDNNRWIVARNESDANLEAQKKYPGKKLRLDQDPDVLDTWFSSGLFPLTVLGWPSDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLDGLLKRLEEGNLDPNELSIAKDGKKKDFPDGIAECGTDALRFALIAYTSQADRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPATVDVSVMPPICKWILSVLNKAIGKTVTSLEAYKFADATSTIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWICLDNGLRLLHPFMPYVTEELWQRLPQPKDSCRTDSIMVSEYPSFVKEWTNDRLENEMDIVLDAVNRIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIVSLSSVSHLKILTEKDDTPADCATAVVNKDLSVYLQLQGALNADAEREKLRKKKEEIQKLQNALSQKMDASGYREKAPQNVQDEDMRKLTALMEQLEVISEAEKKLDANAGSI >Dexi9B01G0044880.1:cds pep primary_assembly:Fonio_CM05836:9B:44557746:44562075:-1 gene:Dexi9B01G0044880 transcript:Dexi9B01G0044880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIRSTKCPIAFLGAASALAVLVTAVHVFMVPILPYSLDYFGAHSSTSRPRNAPLGVGVVDSRLRGQFPSDSHGSVVFRGAPWKAEVGRWLAGCHANYSSVNVTEALGAKRCEKDCSGRGVCNYNLGECRCFHGYSDSSHKYNFTDTGKGCEEVLKLECNLPSSQEWPAGLWIVSTCPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPAKPDGPVLTDWKTPDLENVFTTNRSKLGWCNVVPDDAYSSKVNYKEQCDCKYDGLWGQFCEIRAECSCINQCSGHGHCRGGFCQCDGGYFGIDCSITSAYSLAYDWPMWLQPPVNLPEVKNLNSASINVKAIVQKKRPLIYVYDLPAEFDSHLLEGRHFKFQCVNRIYDEKNRTLWTEQLYGAQMALYESILASPHRTLNGEEADYFYVPVLDSCLITRSDDAPHMLMPRDMRLRSYHALEYYRMAYDHIAQQYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWDPIPLDRRGNHPCFDPRKDLVLPAWKQPDPGAIWLKLWARPRRNRTTLFYFNGNLGPAYKRGRPEDTYSMGIRQKLAAEFGSTANKQGNLGRQHAADVTVTYLRTEKYYEELASSVFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVFNYNSFAVRVQEDDIPNLIIILRVHFYSIFLYSLGHIYPPAACLLFPYHQGINETQVEFMLGNVRQMWQRFFYRDSILLEAERQKRLFSEEAPWSVEVSKLPDDDDVFATFIQVGSENSKETCSSTFGMDAIELTIYHPIDLKKQYLYCIINCTMTLGGKVSCKRRKLDCQPSARELPESV >Dexi1A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:1A:6811426:6812424:-1 gene:Dexi1A01G0008660 transcript:Dexi1A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACRSFLMSRPRTLHNSIRRHAVTEPPAVTLGAASRWLVSDTSYSDRRHNDAKDKAGVSSPRRNDGEPGTPAPADRRRTASRKQLYLVLNDAKHGNGIHKLDMDTDVAAGVLDSGALPRLPNPPVLRFDDKWMKPLAVLGSKRRPHPLTFDTRTAKLALVLDLPNGIRNNRTVLAVAAGDDRLFVIEDGTVYHGADYDNKFCMGGGLHCLKLQDDDTAARTWLPKARAQVVLLPLSPYGIKAHALHASGRAFFVSVQCYRVNDHRGRGTFSYNTEHSYWTRHGDWELPYVHRPSAL >Dexi2A01G0022310.1:cds pep primary_assembly:Fonio_CM05836:2A:34160301:34163230:1 gene:Dexi2A01G0022310 transcript:Dexi2A01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >Dexi3B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:3B:10955357:10956535:-1 gene:Dexi3B01G0015090 transcript:Dexi3B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTGAEQEQKRAAAAAYDYDGDARWSDYWSNVLVPPNLASRPDVVDHFKRKFYQRYIDRDLVVEPMSSTGSTQTSRPDVRSSPSPSNENLRARNSGQHHHHQQHKQTALSTL >Dexi6A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:6A:2033790:2034137:1 gene:Dexi6A01G0002160 transcript:Dexi6A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESAEATTPEDVHQLRRSRLISSIFSNEQTLAIFKCFGQNLRLGYNYFNTMREIYNYMHDRPVRIAIHKFVYNNYKTIAAVLSIASAS >Dexi8B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:8B:16813141:16813518:-1 gene:Dexi8B01G0009460 transcript:Dexi8B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPCGCSLVRLLQQALVAAVLVVIILYRHGHGFSNLSSRSSASRDVDAFFGSPPAATNARPAASGGDAASATCATVERMGEEAAGQGSPEAASLRVRELIRHHFELHGAFNSIHPTIYEHES >Dexi2A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:2A:1178187:1179538:1 gene:Dexi2A01G0001680 transcript:Dexi2A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILASAVVGETVNIIISSLIDRGDDNSTENMERLEMAHIKMESVLHVTDKWQITDVSLLRWRSKLKRAAQECGDALQRCKLRAIEEQEIRQLVSQSSFPKRVAYATRSFVSSITGSGHDEPRSSSTNIVRRSERFADGANEFLKFVEFSGTPRKYMFFNHLISNLLRGKSLRYQTLQGSRFYNLGIRPMSSPERGVEALVGFVCHDLKEPTKAFILGFMLRLSESTDIFGVISKCMQSVAPHFKFAAEGVKRELIQLPTQDFSWAEDKRTSC >Dexi2A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:2A:635711:649416:1 gene:Dexi2A01G0001020 transcript:Dexi2A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFANCMLDRQMEKEPVISFLLLTKPHHAEELEVLPIVGPGRVGKSTLVAHLVRKIKKIRVSLQVAHQIQELKARVAEESKRHKRYKLDGLVGSSGASPNNKVDLRMCALWVETEKLVGLDEPRDEIIRWLMPAEGEVKPSQQVRTLSIVGCAGLGKTTLAKQVYEKIKGDFDCKALVSVSLNPQIKDVLVKICSQVGVTTSMVDDEPILVDKLREHLQHKRWVAEGFVHPEPGKTLYEVGLKYFNVLINRSLIQPWKEEDGLVLSCRVHDVILNFLASKSFEENFLTLLDSSGVPPSPLHSNKVRRLSLQNSYQAENVVSWIKSIKPHVRSLACFVDCKELHPLSKFEVVRVLDLENCGSLRNIHLENIEMLLQLRYLSIRRTSVSELPIGIGQVQRLETLDIRETEVEQFPSTIVLLEKLARLFVGSKVKFPAEGFGKMKGLEQLTCFMVRKQPLGFLKELGQLTNLEILEAIWEDYNMGEEDYYYEGSEWGIFTSSLQALGSHKLHSLYFRDGQSEIEICIPMDSSFPALSKLRTFSIGSFNSLPIWMGSLANLELLNLGISEFTEDDMQVLGGMPALEVLVISIGIYTAPFTISASGAFQRLKSFKVDSLYGVLFMPGSMPNLRHLHAQLYYTTGVSHDLGLQHLASLVKSSAASDDHFPFVFLGRESGEPALVLEQSRAGGGVQRYEGGHGARRGGLAGDHRELPAAPLRTKHPAARVGCRSTAFLGHRCARRSPTRRRRSTTAGMKPPTPSVLSLPPRAVGWVHVASLVNTRLRTAPQGIHPHPQGLNYLQEVHDSLSSMIIGSNELVMFLKNYPRMYRQPYSMHLLLGNCMFGRQRETELVIDFLLGTKPHSGEELEVLPIVGPGRVGKSTLVAHVSKDERVRDHFSQIIFLSDHDLKSDKIMKLGTTGGVTLKYPPKEAYWYFFKTLTFQGMDPEDHPRLASLAMKIAMTLNGSLIVGNKDARLLRDNFDQNFWLKVLAFKRRITQKSFSKFGMHPSDLLDHSRLTHLGRIHGASETLIVYDQYQCSSEEVVPDIKMADVAYGSVKPHGKFEALAWSFSRPSALDVEDHLRWVLLRAQVIDEEALGRNITNRAMLQQLNMLRDAMYRGYFKLDIFRYQHHNKEWNKYKDFERTARGVVTLKYLRKETYWYFFKTLAFGTNDPEKHPRLAYLAMEMARMLNGNFIGANGTAFVLRDNFDIDFWCKVLAFMNRIRDWAS >Dexi2A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:2A:27839090:27839450:1 gene:Dexi2A01G0016230 transcript:Dexi2A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDVPPVVAIVVDVEVDPLVAQRVEDCPDLGLVLEALLGDLLGSVGVYGLPRKAVNLEAHGEDLPDGGGSLGARPHSSPSRRGRSAVEAVVTTRPLCRSTTFAVTYLSEMKRRST >Dexi2A01G0026380.1:cds pep primary_assembly:Fonio_CM05836:2A:37888700:37894181:1 gene:Dexi2A01G0026380 transcript:Dexi2A01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHRRGGGRRGGRGRRPGKAGPSGADEAGNQNALHHDDRGGDGLAGEGGGDDDEHTKLGDRFLAYDGYHSLFIAGALPFDSEEYEVTLSACVEKRRMRVRKYKVVIKHAAAISLLQLRMLFAGYPTDIPAHALQVLDTVRSDIVFNRYNDENYIAAGRSLFSRNFGCMKDGALDVEAWKGLYQIIMQMQNGLSVLVDLSSSVFIQPLQLLDFVQKTLGKDALNRKLTKPEYTKACTFSPARIQNALCNVKLLMYIRCSFLNLQLFRAVRGVRIEVTHQGDERYKYRIAGLSVKPTNLLSFKSPSGARHTVIDYFKKRYNLELKFKYLPCLDVGSEQKSVYIPIEVCKIVPRQRYQKKLDDSQVSILMKSTFQIQPEPKQSIHEDVEHKQYSSTKRANEFGIDVDGILNEVNARVLLPPNLKYHDSGSQKTWSPMNGYWNMKDKRVVNGAKISNWACVNFCEDLSNNSIGQFCLRLAEMSRITGVEKLKGYVRQILVSCQCCRKSTISKKSKKSNQILANIAIKINSKAGGRNSVFDDTQKSLPVVSNKPTIIFGAHVTRPSAVADPSIAAVVASQDWHEVSKYNGVVRAQGHRKEIITDLEDIVKELLHAFEKESNRRPQQLIFYRYGVCESQFKQVLEIEIPEIEKAWKVLYDNEKPQITFIVLQKRHSLSLFPNTNKYKHHVAKKQNVMPGTVVDSQICHPAEFDFFLCSNPEVRGPIHPVQYLVLRDDNNFTADELQVLTNNLCYTYASCTQVVSIAPPAYYAHRLAHRAHLYLAQGSNHAAAAASSSSGANAPAGGPKQLPEIKDELKRSMFYC >Dexi8A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:8A:8706492:8712002:1 gene:Dexi8A01G0007660 transcript:Dexi8A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQDDKDSAKAEWWVTPFVEASDLGGRRSWQGNDEDKIRMSDVTLATKQQKLAGWLTKSSPLPASYDATSSLDRVDIAPFDRGCLPAPSLRVLAPSGYAKAPPLLMFFGTLKRHKGRIPNSGPLTTRAKSFWAEPTTLGDAQGFGRRSISGSAPRGAHSDMRGAGEDVVFRDMFDAGLRFPLDPVIVVILDHFKIRLHQLTPNAFVRLSLYLWISKTTGIPASAKGFAFTHRVHKQPRSVALARKDGSEVRREGHFGCLNFIYHADVSRPLPLEWSDDWWTSWFYCTIEPEGSKLANGDLGKLAKPFEVTPFTTESLNDIPIPDFRQCFRVTKALLEGVEAEDRANRILGRETHKENKESRKLLGSQRRNRVFKFFKKVAPPRAALWEAEADTGRGHGGRGGRRKGPKRQKIEWFRSIDEDEGPLVAEPPLIIVPLQVCAPPAAVDKDLLVVVAAKEHVGPVKASDPFDIVRPRLRSRKSTKVILSWRRRIWCLRPLEVVLAKAPLLIRLVKPARRAIEEGEKEETSSGFFVATGDPAEVVRPGCPDDTKVIGGKAKGVLQFQNGGHEHEFLEEASRLLCFPFMEEELCQTGTRSFLKNVENLALKTFYVENLHPYNKSSDCGKVLKLEAENKIMAEELKRECEHWDMLEQAISVAKSDYANGKKKLEEATVDLEVERRLQPQLEMMEKEKDLLKQTLEGRDKDLEELRRDFEHY >Dexi1B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:1B:20919139:20923755:1 gene:Dexi1B01G0014560 transcript:Dexi1B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSSVLAGGRGGSRRLASPAAARWGAAGFGTRAVVMLAHPLLPRPSSSSSAAAGRPSPRRARRGGVIRAVFERFTERAVKAVVLSQREARGLGEAAVAPRHLFLGLVAEDRSSGGYLSSGISIERAREACRGIGDAADGGTAYAPSKPGSGGLDTDVPFSATSKQVFEVAVVLSKNMGCSFISPEHLAIALFTLDDPTTNNLLRSLGADPSQLSSVAVDRLKAELAKDGRDPAGASSFKVPEKAPAAAGRSAFSQSLTKKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIVCRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRILSLDVGLLIAGAKERGELESRVTSIIREVREAGDVILFIDEVHNLIGSGTVGKGKGSGLDIANLLKPALARGELQCIAATTLDEHRMHFEKDKALSRRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQAMHEVVLSNKAKYSPNVNNQESSSAGVEAPYGDNSGSTSTSSPSADEPIVVGTEEVARVASLWSGIPVQQLTADDKKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISKGRQSIGFLKEDTESSSYFAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILKEVKGRLLALGIGLEVSDAMKDLICQEGYDKSYGARPLRRAVTHMIEDVISEAILFGEFKPGDTILMDIDAEGKPCMSHLDQQIIQVTDATRAF >Dexi7B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:7B:8754225:8758142:-1 gene:Dexi7B01G0003680 transcript:Dexi7B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPCPGAAALRARWTARSLAGALLDLALAWACLCLAALLSAAARVGLALPCTCARPHLPCLLAFLARYPSRALGSIHAALRARFPFAAGPTTVHDDEDLNGDEAEMEEEEEEEGERAAAAAADLRRREEARAELQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVREREARALRKEVDAYRRLLGLGPADEEEEDDDGQEMVTPHSMLMSEGEPSSSRSVDVNRMQQIMRNDSGFTFKTPFFREQLVVPPVIGDHGNGGSDDNGPVETPPAKVPGAQSGLEMSSAEDEDGAETEDDGAETVEILPLSARSQDLGQGGDFNVDGSPGMESTREQTACGFQDMGCGGMDKIDRDHTGSENDASVFDVHVVDDICFSTEASGSYGVLVFHVADE >Dexi1B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:1B:10649015:10649853:1 gene:Dexi1B01G0010820 transcript:Dexi1B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSAAHGVLGPLLGKLNSLLADECARLKVLKARVKEVKDLKSSYKLDDVVACSAFEHPAVDPRLSALFVEEEHLVGIDGPRDDLVSWMVEEEKCSTKHRKVFSIVGFGGLGKTTLAREVYRKIQGYFHCWAFVSISQKPNVKKIMKDVISQVLPEEDFTKDEDTWDEKQFIEKLRELLQNKR >Dexi4A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:4A:7258338:7260394:1 gene:Dexi4A01G0009220 transcript:Dexi4A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQGDIYGGNRQGRGPVAEYPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVITVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDQHEWNPEVDVHLRSDGYTNYSLQTLDTGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWLAGQDVQLVMLGAGRADLERMLQHLERSHSDKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVTPFDPFGDAGLGWTFDRAEANKLIEALGHCLDTYRKYKESWKGIQARGMSQDLSWDHAAELYEEVLVKAKYQW >Dexi2B01G0031540.1:cds pep primary_assembly:Fonio_CM05836:2B:39651918:39653543:-1 gene:Dexi2B01G0031540 transcript:Dexi2B01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRMSGSILLRHAGSRISTASAVSPAAAAWPLLFAGCDGVPAAMVRPMSTSSPAKEAAAKAPKEGGDKKAVAINSYWGIEQSNKPMREDGTEWKWTCFRPWETYTADTSIDLTRHHEPKTLLDKIAYWTVKSLRFPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALMEEAENERMHLMTFMEVAKPRWYERALVIAVQGVFFNAYFLGYILSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIEDVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHCQGMQLKESPAPIGYH >Dexi3B01G0021360.1:cds pep primary_assembly:Fonio_CM05836:3B:16221731:16222931:1 gene:Dexi3B01G0021360 transcript:Dexi3B01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIRSTPNTEPSATSAPVKKVSVPAVSRSKFSDASSKTPTLANGHQSRQAERSNTVSQPNRPSRPVAGSDNGFGRSISKRSLDMAIKHMDIRQNLGGIRGASLFPQSIRSAASKARPARASDPGHGISNGDRHYTDNGSSVNGHLSGDSNGALSRNGGSSTDSPDSASIGTKETLSELDIYGSSRYEAMLLREDARNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPL >Dexi5A01G0023780.1:cds pep primary_assembly:Fonio_CM05836:5A:27755472:27756276:-1 gene:Dexi5A01G0023780 transcript:Dexi5A01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVENDHHRGAKFASPSSSSMAPAPPPPPSSSRTRLHDFSFPTLSWGTHRVLRCSKNGSASSPPPSGPDTPSPDKEKPHRPESGGGGGGAGGSLQRRRTAQRPWNLRTRRSATAAPERQARPDGVAEEAVPAERAPAPTAEAKKRGFSIALSKEEIAEDFAAIRGSRPPRRPKKRPRVVQRQLDLLYPGLCLADVTPGSYKIEER >Dexi1B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:1B:5401108:5401477:-1 gene:Dexi1B01G0006660 transcript:Dexi1B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVGHGSQWIFITPHDISVVKAGDRIKKQQMAAPRG >Dexi5A01G0031760.1:cds pep primary_assembly:Fonio_CM05836:5A:34391255:34392519:-1 gene:Dexi5A01G0031760 transcript:Dexi5A01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSPSSAPSYSDALMNHHAASLSAAAALSVTVPAQIPRAAPGYLDGNVASAFSSPPSSCYSSSSSSSLPAFSYYNSIQRSISSHSLPVHIQLADVSSFAGGAASNGFLSPSSPSPHHQLPLPPLSSSPSSSSGDLFEFTSSCPVRRVFSTGDLQGMKGSSPPRAVPSGDGGSGQEGGGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEADGEGDEREASEISYEYCGGGHNEHSSNSNGNNSASSCYDNSGSSAHHYRMDGLGSNGSSVASAFNGNGEWWWRAPGAAAAADEVQRMQRQVGFDDDEELWATLGDMLSVNLAS >Dexi9A01G0008540.1:cds pep primary_assembly:Fonio_CM05836:9A:5056648:5057814:1 gene:Dexi9A01G0008540 transcript:Dexi9A01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSIRQAFIEDKPPEGAKRFRRCRSTPSDPTDKKSSDTTDKKPSENVSALQAKELFKEIRPSFTLVGLLLFVYLLVGVIIFYLFMGQISGKRTNRVLDALYFVIVTMTSVGYGDLVPNSNTTKLFACAFVFTGMAIIALFISKAADYLVEKQEVLFFKALHMNMKGGEAKLLRSMETNRIKYKFYTVALLLVMIIVSGTLFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSELGRVFAIFWIITSTILMAQFFLYLAELYTERRQKMLTKWVLNRRVTTMDLEAADLDGDRQVGAAEFVLYKLKELGKINQEEISSFLEEFDKLDVDQSGTLSTYDLTLAQTTGGV >Dexi7B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:7B:23756444:23756784:1 gene:Dexi7B01G0017830 transcript:Dexi7B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNVMLERQRGQLECDLSHLSMHCWWKAWLHLGSSRRLSPSLNSPRQTEQSVVWTKASPRLYLHKGLIQAGRWRDVPGFLTPGLSMAAAAAATTTAAAATGHGSAT >Dexi9A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:9A:9630518:9632911:1 gene:Dexi9A01G0014570 transcript:Dexi9A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPNDTLAHCYRLAMSMHYLAAVLSVALGAILVLRLALLKWRKNGGRAGEACLPPGSRGLPLLGETLQFFAPSPTLELPPFFKQRLDRYGPIFRTSVVGEDLIVSLDTELNARALQQEERAFEIWYPPSFMRVLGADSIVAALGPLHRHIRALVLRLFGPESLRLALLRDVERSARAELRSWLGRPDVEVRAATSRMIFCVTAKKLISHDDAAAQGSLWKCFDACTRGLLAFPLRVPGTAFYKCMQASKHPQCDRPSLQIEYPIFVSFSYLPASIFLSSDSDDHLPMHQGRERVMKLVKQQLGERRSAAEREAVDFFDVVIDELDRPGTEMSENIALDLLFLLLFASHETTSIGLTAILKFLTDNPKALQELTASETCSGYFCCCITTFLCLCPEHQCHEKCHKISLSCLRLCFQEEHENIQKRRVDPDSEITWEEYKSMKFTSHVIHEALRLANIAPVVFRKAKQDVQIKGYTIPKGSKIMICSSAAHMNPEVYEDPAVFNPWRWKDIPEPVGGSKDFMAFGGGVRLCVGADFAKLQMSIFLHCLLIKYRWKAISGGTMVFYPGLRFPGGFHIHLLRKD >Dexi3B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:3B:6156393:6157199:-1 gene:Dexi3B01G0008920 transcript:Dexi3B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRVLLLLLLAATALSPHICSAVDPITTYCAKNITGAQTQSSITQVLFTLVPRASSAYYATATSGTGGSAIWGLAQCRGDIPSSDCALCISAAAKQVASACHGQADARVWYDYCFLRYDDANFIGLPDTGYELVLINTANASDPFEFDMAESKLMARVAAEAGSDKASGGGLARETARLDSATTIYGLGWCTRDITAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPVTGGQDGAVDASSHAGEYEKVVVNP >Dexi3A01G0036700.1:cds pep primary_assembly:Fonio_CM05836:3A:42264590:42265111:1 gene:Dexi3A01G0036700 transcript:Dexi3A01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYVYRGSADPCLYGRYLYADLYALAMWTGTESPESSGNYTSTLIPFSCSKESPMACETAAGSPLPSLGYIYSFGEDNSKDVYVLASKGVYRVVRPSLCSYNCPTEKAVTTTPPGPSSSAAVSSRQMGVLLLLSSLVMFWVLMR >Dexi1B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:1B:9661175:9661717:-1 gene:Dexi1B01G0010320 transcript:Dexi1B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSNTNSLPYSTDKGGYSTHDTLVLLGIGFCATAVSILIIVLCECLCCRHRRRAGGTVVYVATRPFFLHGGGGGLSPSAVAALPSFVFHRGGLAVAGGGGHGRGSSSGHGWAQCAVCLSLVQEGEVVRRLPACMHLFHVGCIDMWLRSHSTCPLCRATVEPTKEASSKEQATAPAPPV >Dexi2A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:2A:8289909:8292835:-1 gene:Dexi2A01G0008030 transcript:Dexi2A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPVSSAPRTVGEIYRDYTARRAGLVRALTSDVEEFYAFCDPEKENLCLYGTPSGSWEVSLPAEEVPPEMPEPALGINFARDGMKRRDWLSLVAVHSDAWLVSVAYFFAARLNGNDRKRLFNMINDHPSVYESMVDRKQRENKSGADNSVKSKTSTKRSSDGKMKNSRSAAVEDGFEDDEEHSETLCGTCSGLYNSNEFWIGCDICERWFHGKCVRITPAKAEQIKQYKCPDCSKKSSRQ >Dexi3B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:3B:1641177:1648650:1 gene:Dexi3B01G0002390 transcript:Dexi3B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYKVNVGMIVRACMPLPTPPAIGEAAAKMKKSLRSPRVLVLGGTGRVGWSTATALSKLRPDLNILIGGRNQEKGTSLASKLGKRSDFVQVDTHDAGMLEEALYGVDLGVHAAGPFQREDKCTVLEAAISTKTAYIDVCDGVEYSWRAKGLHEQAKASGVPAIITAGICPGVSNVMAAELVHAAISEKACKPERLRNLPEVKSAFKILDVPTVSARFGSDPFFWNWGMHTFANFLPTVDLEYSNGQNIFGLFTHRKLSKSVGYAATAFVMAILEGNTQPGVWFPEEVRARGNRNQSKEVASETCNSRSNQLYDEQVMFYCCNLKNLGWHVN >DexiUA01G0001660.1:cds pep primary_assembly:Fonio_CM05836:UA:4608556:4609120:1 gene:DexiUA01G0001660 transcript:DexiUA01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLAAGALFLAVALLVATALPPSAATSRGEPTTSSPAPAPGPGAPQPAATSCMPWFMGMTPCMDFFTDADVKAPSGSCCKGLQALVDGEPICLCHAMNGDIDNLMPASTDFSRVADLPSTCGVALPVEALSECESESGASAAIAAS >Dexi1A01G0024000.1:cds pep primary_assembly:Fonio_CM05836:1A:30661605:30667346:-1 gene:Dexi1A01G0024000 transcript:Dexi1A01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGTDDRGLAVAKDEEGLAGVVTGDDEETSPIEEVRLTVPSTDDPSLPVWTFRMWTIGLVSCAVMSFLNQFFTYRTEPLIVTQITVQVASLPVGHFMARVLPRTRYRIPAMLGGGEWSLNPGPFNMKEHVLISIFANAGFAFGGGNAYAVGIIDIIRAFYHRSISFFTAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKDETGKGSRQISRSKFFLVVLACSFAWYAVPGYLFPTVTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSTVSSFLFSPLISPFFATVNIFFGYVFFVYLITPVAYWGFNLYNAKTFPIFSSNLFMSNGSNYDIPSIVNSQFELDKDAYNQNGKVNLSIFFALSYGFNFATIAATITHVGLFYGKEIYRRFKASQKEKPDIHTKLMEKYDDIPAWWFYSLMALSVSVSLLLCTVLKREVQLPWWGLLFACGMAFIFTLPISIITATTNQTPGLNVITEYAMGLIMPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNIGTAWWLLGSIKDICSDSLPPDSPWTCPGDRVFFDASVIWGLVGPRRIFGPAGNYGALNWFFLIGAAGPVFVYALHRMFPNQRWIPLINLPVLLGATANMPPATAVNYNSWLFIGTIFNFFVFRYRKKWWTRYNYILSAALDAGVAFMGVLLYFSLTMENKSIDWWGTAGEHCPLASCPTARGVDLGPDSVCPVF >Dexi8B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:8B:21190343:21192348:1 gene:Dexi8B01G0011930 transcript:Dexi8B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPTHLCDLPDEVLQHILDFTPSREAASTSVLSRRWRGLWPTPSGAVILDTRSYGHVGGLGVYNKRRDAFFRGAGEAIAAAHHGSSVRTLAVHVDGGDDPDGVEERFFTYRSDSDWTSTGCGFHSVHDVLRHPSTRGVVELTVAASSSDDLPASAAGGGRIFCDWKKPFEGEEGLYKLRVDAVPSEALRVLHVTNCSGLDGTHHPATAAVLFPCLEVLWLCRCDVSLDSLQDMVVASPRLATLHLERVFIRTKFCRLVSNRYFYQLNWDGDDDDQHEDYDDGRVGTLCCPGVTTLVVLNCSHRDSVTIELDVPMVQVFRYKGYIHRLVLTSPPRDVRRADLHFLERNVYYHTRDLPELFWLFVKNFSNAKVLKLKLDFPIGDIAVDDKKRYDDLMGETLFCNLDLLEMEGQQHRRKRKGAGVAIGNLLQCCPAVRDLRLYLNTVKDPPNKRRYSSHRADSERNRMYLDREARLDFHRSVDHFMRRRDPVVSANKVKVSDIPGLTNKSFLFNCLRFYLIRVTLQFDMDKANCFGVQLVKFFFEKGMVLEEMHIDDGNKKLWNHMHRKIGGSTSSQPCSEV >Dexi1A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:1A:11146662:11150772:1 gene:Dexi1A01G0011910 transcript:Dexi1A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSNSFHCALRGHPAAIFHHPLRIELHPQCLNLAHVHCLELQSMALQPGDLEVVMVWRTDHEMNGPLAKIMHSACSQFQQPVVNRISFERKVSAKATLRSVRCKATHTQSVQKKSASATVQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLTRKHRAGDDAVNGLLQP >Dexi1A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:1A:2445581:2449023:1 gene:Dexi1A01G0003390 transcript:Dexi1A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRELLGLTLWLAFCSLRLHAFHFPFFDTYTSQQDVDAINDLYAALGSPDLEGWTDFGGAEEKIDWPSWDYVKAAGSSARPSFKNSSKDITVSDKNVQGRSEGQNQQIPFTFFTVASMQQHTNNFSDLNLIRETCFGKMYLADHPGGKFSVLKLDGDAAKMPAAEFLKIVQGISELRYPNVEELVGCCVEHGQRLLVYNHFSDITLDSMMHFEHRASETAETLQWDARVAVALEAATALEYLHEGSCRQVVHRHFRPEHVLIDGDLRVSVSGCGLAPFVQKVSDYCTLSYEPPEAAADTAGAAWTAKGDVYSFGVVMLQLLTGRRPYDSSRAGVERRLVQWASPRLHDLAALGKMADPLLGSPPVRSLSRFADIIGRCLQVRKNPSLPHANAARAIDGWLLIRRVCVVLNWQQEAEFRPAMAEVAQDLRRALEDAAEPAGSGGNAQV >DexiUA01G0007010.1:cds pep primary_assembly:Fonio_CM05836:UA:13456974:13460064:-1 gene:DexiUA01G0007010 transcript:DexiUA01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKPAMGWVARRADAAGEGGGGEGWVAVTLAGVLASGFVTDVIGIHSIFGAFVFGLTVPKEGAFAGRVTARVEDLVSELLLPLYFASSGLKTDVAAIRGGEAWGMLALVIATACVGKIAGTFGVAMACGMEVREAIVLGVVMNTKGLVELIVLNIGRERKVLNEETFAILVLMALVTTFITTPTVMAIYKPARAGRRRRQLHHRKLQGPIPSAPSSPSAGAATANAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGLPFLRPRRAGEHHDEVDVAFDTYAQLGHVAVRAMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHHTGGHGGGDDVENLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKAGSEEQSEVTLRPSHTKNADRSYTFSTAVVDTHKEKELDEAAVAEFRQRMGSLVRFEERVVVGNVVEEVVSIGKSREYGLVVVGKGRLPSTM >Dexi5A01G0028760.1:cds pep primary_assembly:Fonio_CM05836:5A:31980555:31986196:-1 gene:Dexi5A01G0028760 transcript:Dexi5A01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISAAAAPAWAALALPLLLLLAGVACPGARAGLTSEYRRHLGSAIDMPLDADVFRPPPGHNAPEQFDTKYYYAVGIGQTVRKFWFVTPPKSGPDVPYTFGLIGDLGQSFDSNVTLAHYESNSKAQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSHRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGETMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNVVNGLCTPIPDQSAPVYVTIGDGGNQEGLATNMSQPQPRYSAFREASFGHAILDIKNRTHAYYTWHRNQDGNAVAADAMWFTNRYWEPTDDSVDSSL >Dexi9A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:9A:5142344:5143035:-1 gene:Dexi9A01G0008700 transcript:Dexi9A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGMEEERVSGEVRGEEEDDDVPQLSAAAMEALREFLAEQQRPEEQEEEGGREGGVELVAEDWRLSQFWYDERTARELVEEVLRLVSPSGPGSAVGAVACIACPTLYAYLKKADPGVPAQLLEYDERFGQYGGDFTFYDYNRPEELPAALKHAYRVVVADPPYLSKECLEKVAKTVSFLAQPEGSSLLLLTGN >Dexi8B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:8B:1536547:1539927:1 gene:Dexi8B01G0002250 transcript:Dexi8B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVGNKMQSQMRLHSEPEDDADLPLPALFDRASRLHGLASSSALDQEGIRKGVDLLRRCDEMVSKLGLFSANETKEDVSTANLKYLLVPYYLGEMTEKIAQEDRIPILKASQNHLKEFIALCEVLELIPEDELELSKQKQPDTMANRRAQKIARFKRQKAAETKLQEIKERKERRGRSLRASALSAPIEAGEEDAFEDDGEEEREAWLATISLALCQAFDLIDMLKKEEEMLVAVKERQEKDGKAFARDMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVLEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTATMIKEANSAWHKDGTSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPCG >Dexi2A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:2A:31276112:31278749:1 gene:Dexi2A01G0019120 transcript:Dexi2A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQTQDLVKELVHRLLSAAESGGGGGGGGGGGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPNDALAFADLHSKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAPLRNAASGTAAGAGGRPGSRAHGVPPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDILYACQGIDGRYVRYDKAGDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPMFQEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFSEFFIIGQPVKAESLWREGYLIQSDMLPAFISPVLAQRILRTGKSINFLRVCCDDSGWADAASEAAAYVGTTTSRGGLGYGETDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFQLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKVFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSDEMDAAKDLDDLLLAHDKYLNSILEKALLGERSQGLLRNLFELFDIILQFRSHADRWFERIYELQLRGKGKPKSKSKESGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTTSLDAFITQLPMQQHVDLKFLLFRLDFTEYYSRVSSSK >Dexi9B01G0024990.1:cds pep primary_assembly:Fonio_CM05836:9B:24155339:24155872:1 gene:Dexi9B01G0024990 transcript:Dexi9B01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTNSNDGGSGRFDVIVAGAGIMGSCAAYAASSRGARVLLLERFDLLHHRGSSHGESRTIQATYPQAHYPPMARLSRRLWEEAQADAGYRVLTPTPHLDMAPRDDPDFLASVRNGGATEVAAGDGESWPWNGVFRVPEGWTAATSELGGVMKATKAVAKTSNTCFVILGTKTSNT >Dexi9A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:9A:9577683:9579273:1 gene:Dexi9A01G0014490 transcript:Dexi9A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVMLESFKRHLMQSLRDDNSSPQEIVDITTCDQSSVASKESSCGDGGSVSHPTPNVLSESFDVGSTNREGTATRPLIQKYALSSHITPRLTPEATPKILSTSTSPRRMSTTATPKLMSGATSPTRARIEGHMSMTPWYPSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMP >Dexi1B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:1B:2097624:2100565:1 gene:Dexi1B01G0002620 transcript:Dexi1B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGVVVIDNGGGLLKAGFGGDKDPMAVVPNCMAKPPGGNNKKWLVADQLQADDVDVTGMTLKRPIDRGYLINTEVQREVWERVVRNLLQVDPSNSSLLLVEPMFNPPALQHATDELVFEEFGFNSLCVADAPSLVHLYETNCQPMLFRAQCSLVVDCGFSFAHASPVLQNFTLNYGVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLASLDCLEFVLTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIVLTGGSTLFPRFAERLERELRPLVPDDYQVKITRQENPILGVWRGGSILASSPDFESMCVTKSEYEEMGSSRCRRRFFH >Dexi9B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:9B:3921739:3924357:-1 gene:Dexi9B01G0006530 transcript:Dexi9B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAAMAAGAAAAARPAATAAVAAASDHYARLLQLCQTAANPSAGRAIHAHAVKAGLLVSAYLCNNLFSYYAGAGAKGGSFRDARRLFEEIPPARRNAFTWNSLLSVYAKSGRLDDARAMFAEMPEPDAVSWTVMIVGLNRAGRFWEAVETFLDMVGQGLTPTQFTLTNVLSSCAATEASGIGRKVHSFVVKLGLSTCVPVANSVLNMYGKVGDAETAKAVFERMPVRSISSWNAMVSLYARQGRMELAVSMFENMEERTIVSWNAVIAGYNQNGLDGMALKFFSRMLSDSSMEPDAFTVTSVLSACANLRMLKMGKQMHSYILRTGMPYSVQIINALISTYAKSGSVEVARRIMDQAVVTDLNVISFTALLEGYVKLGDMKQAREIFDVMNNRDVIAWTAMIVGYQKNGQNDEAVELFRSMIKSGPEPNSYTLAAILSACASLACLDYGKQIQCKAIRSLQEQSVSVSNAIITMYSRSGSVPLARKVFDRIRWRKETVTWTSMIVALAQHGFGGEAINLFEEMLHVGVKPDRITYIGVFSACTHAGFVDRGKMYFDQMQNEHSIVPEMSHYACMVDLLARAGLLREAQEFIQQMPVAPDAIVWGSLLSGCRVRKNADLAELAAEKLLSIDPDNGGAYSALANVYSACGRWNDAARIWKLSKDKAVKKETGFSWTHVHNKVHVFGADDVLHPQRNAIYKKAAELWEEIKKAGFVPDLNSVLHDVDDELKEELLSRHSEKLAIAFGLINTPEKTTLRIMKNLRVCNDCHAAIKFISKKA >Dexi1B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:1B:3006197:3010809:1 gene:Dexi1B01G0003800 transcript:Dexi1B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDLPIDALMELVQQIISFHMKHNAEPEAVDLLMEVEDLDLLVEHVDATNYKRACLYLSSSSKYLPTPDDMLALDIAYTIYMKFGDLASALRIALQLDKHMQYVKQVCTSTDDLLLKKQFAYIIARHGLAMEIDDEIAADDNDKEILQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIEGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSSSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGIVTCGVKNDCDPALAILMEYIGKEDSNIRIGAILGLGIAYAGSQKEELKMHLSAVLGDSQSPLEVLVFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQENVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >Dexi2A01G0033590.1:cds pep primary_assembly:Fonio_CM05836:2A:43791878:43800851:-1 gene:Dexi2A01G0033590 transcript:Dexi2A01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQNQILEFICQVVVENCMELLFEIAENTEDYDKFYEAFSVDLKLGIKQDYQNRNQIAELLRYHSTKSGDELISLKDYVTRMKEGQNNIYYITGESKKAVENSPFLEKLKKRGYEVMYMVDTSDEYVIAQLKEYEGKKLISAKTEGLTLSETEDEKKRKQELKEKFKGLCKVIKEVLGDKVEKVVISDRLIDSPCCLVIGEHERTTNRESITKALYLRDSSMATYIPSKKTMEINPENNIIDEIRKRAEADKNNKSVNDVIMLLFETALVTSGLSLKDSNTFGNHIHNIIKRGLRINEDETQDDAGESKMEEGNNCYQMAGLADEKSAGEIIKSTKTESRNEFCLDLRIQDTCNVSNLLRLHLKKLANFPSFDYLKVIIGVDDGSSKMGERRAKVTLFKVHDRRLAALNSNLAGLHWLQPSSKQADWLSPPGASLLLSHAPLSCSRTRLALLLSARAGSLRISRTPRRATANPNPSRGQAASRAGVQPRSCRCPAAPSLLSLPARGQAAAAQVHYKGHGDIDAAISGIPDISQWRELWSVLLFQIQFQIIRKLGELLRLKKYPGNYLQALMAIPKTLRLMYVNSYQSYLWNHAASMRVEKYAAKEDTLDDDDDSHTNSPELEVFCETLPEELIQPVKIADSQDLLNAVYTLEDVGLPLPGSETLLPGNEVAGIYHEIAKKDGISLIKSSHGIK >Dexi1B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:1B:23632885:23635733:-1 gene:Dexi1B01G0017280 transcript:Dexi1B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPRVLVIVRDAAGYGAALAGALLPSPGLTRESSPLELPLGKYGLDGEKASVELLSFSDSSGSPQVSIFVVPDYKPPLAACVMHEVLELISSEATSTERVLIVPLITRSSSYQHGMEHSTKVSPVLYGADIGATTDFTQLVITGTTKPPTSLQIRCEPILCLLEMVRVLKMPTVLLVRSGGQQQGKSSTVSDLEVILTVLFVLILILQCVGEHLARHTNLEFSKESILERGIEKSPIVQEPWRELYG >Dexi8A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:8A:2958822:2961661:1 gene:Dexi8A01G0003880 transcript:Dexi8A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGGGTPGGPWHGSYNPHHGPWAIPFPPPAHPPTPHNPTCPPITAQVVPHLT >Dexi4B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:4B:2138375:2141190:1 gene:Dexi4B01G0003150 transcript:Dexi4B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVYEAMGHAGFLDSNMVVILNDSCHSLLPKADGRPKMSVNAVSSALSKIQSSKGFRRFREAAKGLAKWFGKGMHEFAAKVDEYARGMIGPHGATLFEELGLYYIGPIDGHNVDDLICVLKEVATLDSTGPVLVHVITGTENDTGGNIGSEIISNEEGPSNSSHDLLKFLETELSRTYNDCFVEALTAEAENDKRIVVVHGGMGMDQSLRLFQSNFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVQDVDMQKIPVRFAITNAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPRGAIVGTSGTLTYGNPLQIGKGEILVEGKEIAFLGYGEVVQRCLIARSLLSNFGIQATVANARFCKPLDIDLIRTLCQQHSFLITVEEGTVGGFGSHVSQFISLDGLLDGRIKWRPIVLPDRYIEHASLAEQLDLAGLTAHHIAATALTLLGRHRDALLLMK >Dexi3A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:3A:3242958:3243582:-1 gene:Dexi3A01G0005000 transcript:Dexi3A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWALQAPAGGKDRLLLVGSSSSSFTPSKRAAVAPPLSVGRVATRRPRHVCQSKNAVDEVVVADDKNWDGMVIACETPVLVEFWAPWCGPCRMIAPVIEELAKDYAGKIKCCKVNTDDCPNVASTYGIRSIPTVLIFKGGEKKESVIGAVPKTTLTTIIDKYIGASS >Dexi5B01G0029090.1:cds pep primary_assembly:Fonio_CM05836:5B:30353972:30354541:1 gene:Dexi5B01G0029090 transcript:Dexi5B01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRGKRSRTSPDPPGKRRRGGPQEREADGDGYPEPAPAPEPAAQQPSSVMVAGLPPDCGVLELKSRLETYGPVARARVDAASATGYVTFRSGAAAEAAIAASLDPDCGVTIGSKKN >DexiUA01G0021100.1:cds pep primary_assembly:Fonio_CM05836:UA:43464948:43466575:-1 gene:DexiUA01G0021100 transcript:DexiUA01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAAAVAAAAPEEGRGEEAAAGWAWSWGAGTDGQLGNGGFDDHHLPQPLLLPIRCRGRVSLVAGGGAHAIALTNSGKLFMCGDGSFGQLGTGDNHSRNLPIEVAYFTARHIEKLALGMRHSLVLLKGK >Dexi2B01G0036550.1:cds pep primary_assembly:Fonio_CM05836:2B:43339302:43340517:-1 gene:Dexi2B01G0036550 transcript:Dexi2B01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKHHKAPGSSRKANRAPLVLRRTMLHSCLCFLLGLVTGLAPTDWVSRAAADANAEVLRTAALLASSLQQQRDARVTTRRETKQQQHHHHLLVVVTTTAPSERERRSAGLTRTAHALRLVSPPVLWLVVEAAGEAPPTATLLRRTGVPYRHLTYADNFTSSSSKEERHHQRNVALAHVESHRLRGVVLFAGLADVYDLRLLHNLRRNIRTTVGAWPMATVSEQHRTVALEGPVCCNTTTTTTTGGWFTASSAAGFSAVVGPTPPLHKEDSVHGFAFASDLLWDPARWDRFPTSEPDQSQDSVKFLQRLVVEDYNKTTPVILACSHVMAWRVDATLLLL >Dexi5B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:5B:2021629:2023560:1 gene:Dexi5B01G0003060 transcript:Dexi5B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLLRLRAIASPPLDHHKRRRLLSAFAADQQIRHHPPIDSAVPWNKLLRDHLARSRRDLALALYRLMRALSPGLPNSYTLPLVLRAAPSTRFASAVHAHALHLGLQAHPDVAGQVLAAYARLGRTAEARHVFDALPTRTTLSWNTLISAYSIGCNPDAARATFTRMVVGGARPDAVTWTTLLSAHARCGRHPEVLQLFGDMCNSGCEGNAEAVAVALSACPYTAGTALANGRAIHAYGLVKGIVHAYLFVTNSLVCMYGKLGEMEEAEKVFREAREKNAVTWNALITSYAAAGMCNEALDVLSRMEQHGGIIVPNVVSWSAVIGGFASSGDMEQALELFRQMQHRRLLPNVVTLATVLSACADILALRLGQEVHGHTIKAVLDQHSLVQNGLVNMYGKCGRVTAARKVFDRMRSRDMISWNSMIGSYGMHGLCDEALAMFEDMAKAMVEPSAITFVAVLSACSHTGRVAEGRRVFNQMVHKHKISPSMEHYTCMVDLLGRGGLLKDACELIETMPMRPDLCVGGALLNSCRMHGNAAMAETTIAKVLQAETETTGNHMLITNLYATCGMWDDSKRVRVMTKEAGLKKNPGQSWIEVKNKVFAFTAGNALLPEAEEIFSVLDDLYAEMEDEKHAKCDAIATIV >Dexi7B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:7B:10245203:10245742:-1 gene:Dexi7B01G0004180 transcript:Dexi7B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSRERERGRRISSRERGCGDGGLRSSAVAVSSSALWPAAEEGGEAEAVELAVMEAAPTEMDACCLLLRAELPRLPCAEHLKAVAPSTRVAGSSSRCRPRDLQRHPNRTRAEPVRATRRRSPIPRGRARSVRNHSLPEDGGDSAGPSSHRRRRRCSGRSGVGKFANSREASGGVHKG >Dexi9A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:9A:504617:507560:-1 gene:Dexi9A01G0000970 transcript:Dexi9A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAIKERELDLRGNKIAVVENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQYLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVAAAQKFHSKEAEEEAKKAPVKTFTPGQLDAEDTTNVHAPKVVAPTPEQITAIKAAIANTHTLEEAARLEKALSSGQVPAEFAMPKPDANMAEAAEEADKMDTDGQSEADGHKQDDESTPIQED >Dexi2A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:2A:33168381:33170468:-1 gene:Dexi2A01G0020940 transcript:Dexi2A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGGAVRLLPVETPPPPSAAEVQSVGIQSSSADEANTDIDSMEKQANKIDLVSNALFRSYDAFELAPHLLSSEAEEDDAWDCASTMSAVPRRRTPGTTPVRLHQIRRGLRPPPESGMRWFWPRMRREQGDDVAARKGNEAMRIQICRKGREEEKDAAAQLGPMVWTPARGSVSMANTAVPLKW >Dexi4A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:4A:5747458:5750181:-1 gene:Dexi4A01G0007610 transcript:Dexi4A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLASIAASALKPKHRAQPVNAPSAPLRPSQPIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKAVFDSLRNNLKSKHDLKGKDQLLVLIRKFPEGLAVVEVKDAYPNVLEDIQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFLGIELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVKPKAKPKKQREITKRTKLTNAHLPELFQNLNT >Dexi9A01G0005600.1:cds pep primary_assembly:Fonio_CM05836:9A:3122890:3124619:-1 gene:Dexi9A01G0005600 transcript:Dexi9A01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLLSLCSSSLALMASDERSGSSSGRPYHFGEERFRQWTTRSRQGRFRVLERFTHELLEDAVGNYRVAELEAAPRAFLQPSHYDADEVMFVREGEGVVALLSRGKRESFCVREGDVLVIPAGAVVYSANTHADKWFRVVMLLNPVSTAGRFEEFFPIGGENPESFFSVFSNEVLQAAFNTRREELEQVFEKQSKGEITTASEEQIRELSKSCSSGHRGGGGSSESGSSMWDIKPSSLTGKRPRHSNSHGRHHELTGEDCPQLRALDIEVGLANITRGSMMAPSYSTHANKLAIVVEGSGYFEMACPHLSSGSGRSSPSQRRGRGHGSRGREEEEEEEAGPPGRKEEQEQEGGQKSRGYKQVRSRIKEGSVIVIPAGHPTTLVAGEDENLAVLCFGVNARHDEKVFLAGSNGVLRQMDDAAKALAFGAQKEKVDRVIGAQSDAVFFRGPNSRRISSA >Dexi6A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:6A:17691982:17692436:-1 gene:Dexi6A01G0011790 transcript:Dexi6A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFATIRALSASAPPAAEGAAAAAEAVAKEAKKRKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAG >Dexi4A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:4A:2541649:2545168:1 gene:Dexi4A01G0003510 transcript:Dexi4A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEIGRRGIPSLLKPSSSDGSAGEQERIASDITQLIGWTPLIELKRIVSKDGVDVRIVGKMEAYQPLCSVKDRSALRFIAVMPAKYSLDKQILLRYMGAELYLTDPALGFPGMYDKVEQLHKELPNVHVLNQVTNKANSEAHFRLTGPEIWKDTAGKVDIFVAASGTGGTVSGTGKYLKMQNPDVKIICVEPEESPVISGGAPGKHKIQGVGPGFLPEVLDTSVIDETVTVTTEEAMENARRLAKEEGLLVGISSGANLAACLKVASREENRGKMIVTMFPSGGERYMNSDLLQM >Dexi5B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:5B:11603963:11608548:1 gene:Dexi5B01G0014730 transcript:Dexi5B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLFIIGVIGNIISVLVFISPIKTFWRIVRSRSTEEFEPAPYVFTLLNALLWLYYGLTKPDGFLVATVNGFGAVMEAIYVVLFIVYAANHATRVKTVKLAAALDIGGFGVVFAVTTFAISELQLRIMVVGMICACLNVLMYGSPLAAMKTVITTKSVEFMPFFLSFFLFLNGGVWATYALLDRDIFLGVSSWAPSS >Dexi3B01G0027060.1:cds pep primary_assembly:Fonio_CM05836:3B:22540781:22552071:-1 gene:Dexi3B01G0027060 transcript:Dexi3B01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQAVEFMNKNSDKTRSSPSDFSQCYLKSPPLQLIKHEGITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQCKSFGVEDLSLLPGEEIIASGSFLVMFNGLILGRHQQPQHCIHIASDGGRVCRPLIIADKGISRVKEHHMKELRCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVMKENYGDGVSDRIAKPQRAKDGALVNANMQALDEDGIAAPGQIIRNHDVYVNKQVPSVTTGRRGAGALLNDKDYKDSPAKYKGVDGETTVVDRVMLCSDTNDKLSIKCIIRHTRRPEVGDKFSSRHGQKGVVGTIVQQEDFPFSERGVCPDLIMNPHGFPRLIFLTWNLCKMIELIGGKAGVSCGRFHYGSAFGEPSGNADKVEDISNTLIKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNHKLKTSYCSMCKNGENMSKMRLPYACKLLFQ >Dexi5A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:5A:10862821:10864587:-1 gene:Dexi5A01G0014060 transcript:Dexi5A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIDLGRLLDERSSEEELANLGSACQRWGYFQCHPHPQIGMQLVNHGVPEEVIQDVRRDITEFFKLPLEAKKAYAQLPDDIQGYGQGFVFSETQKLDWADMIYLKLRPMEMRNIRFWPAQPPSFRNSMDKFSTEVAKVTSCLLRLMAMDMGVEPERLMEKFKGQPQTMKVTYYPPCRQAGKVIGLSPHTDACALTLLLHVNNVQGLQIRRDDGKWHAIEPLEGAFIVNYKSVEHMASVQPDKERISVAMFHQLLPNTTVGPLPELVNGGGARYRSVDYTDFMKQFFSEKHDGGVRHLDHYRI >Dexi9A01G0022750.1:cds pep primary_assembly:Fonio_CM05836:9A:17887203:17890715:-1 gene:Dexi9A01G0022750 transcript:Dexi9A01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLQAMKELYFGPPPAKGLIQDLEYALRTKGGLTRDEDTTLRAANLVAKASFVLFGGLGSYVGWFAIGLDVLTKFHCAGNKLLGLPYTPAFAKFCSATGKSLGYCTCYSSGCAYIMGNAMYRGTLHACPAALLGIEEGRMKMELANIILTKHSDDVFLVKAVKRHFFAEHLFNDLHQDQPLLSWHLRRSYTDSAFVERMKEIEDTNSDDEARSVSRETTVDNRPFGDLMEDPLSCILGSPGGDIESSNPPGNTRTVLKRSELRARRRSHRHHHRHADDSFAAL >Dexi9A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:9A:14074265:14074636:-1 gene:Dexi9A01G0019120 transcript:Dexi9A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPAPCAHEPLTMKGMNLSLSGGAGGSSSSANPPCNDHGLKRNHSAIAPRRSGSSLFRNRFKKQFPFKARIGRDLFGGSVFNKVSRMIIDSQQRSMTDQ >Dexi2B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:2B:3181633:3184804:-1 gene:Dexi2B01G0003620 transcript:Dexi2B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGFLVSAADASSESNPSPSTTSFPTSGGGDQRQRQLHHHLVLATMRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRDSARLITGSADQTAKLWDVSTGRELFSFRFDAPARSVEFAIGDALAVITTDNFMDHVPTVQVKHIAEDLDDQTDESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGKLLKESDKESGHQKTISSLSKSSDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKM >Dexi1B01G0025250.1:cds pep primary_assembly:Fonio_CM05836:1B:30359488:30361023:-1 gene:Dexi1B01G0025250 transcript:Dexi1B01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKPSAVGGKSARACDSCLRRRARWYCAADDAFLCQCCDASVHSANPLARRHERLRLRPTSPSPHHHTAALDASTSTKRHRQQVAPAWSKRKARTRRPQVKSVGQLLSRKLVVVPEVTAVESPSSEQKAEEEEEEQLLYRVPTFDRALAELCSPPSIDDSTATAPCCRDDDDAVEHKKGAVVAESPVQQLPDSFAGGGYGPTDAELREFAADMEALLGQGLDDGNELDESFYMESLGLITIPPPAEDAARRVVKMEHDSGVISPHSEGGGLGGCDDHSELRPPEESAAEVLDIDFNYCSSPTVIDNGDDEDDCLEQKASAVSNGIGADAQFFKRSLDLRLDYESIIESWGSSPWTDGHRPNVQLDDFWPHPHHSGMWMAGGGRLGGEALTPRLGMMGGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAAGAAAAAAAPCAVTT >Dexi1A01G0021870.1:cds pep primary_assembly:Fonio_CM05836:1A:28570676:28573489:1 gene:Dexi1A01G0021870 transcript:Dexi1A01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAARHFSDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALEPSNEGLKAGLEDAKKAAAAPPRRGPSGPDAIGQMFQGPELWSKIAADPTARAYLDQPDFMQMLREVQRNPSSLNMYLSDPRMMQVLSLMLNIKIQRPEASESSQSSPPQQQQQQQTPPPDTKPRTREVEPEPEPEPMDLTDEEKERKERKAAAQKEKEAGNAAYKKKDFDTAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKSSKDFDVAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNEFFKVQKYPEAIKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCLELDPNFTKGYTRKGAIQFFMKEYDKAMETYQAGLKLDPNNQELLDGVRRCVEQINKANRGEISQDELQERQCC >Dexi2B01G0031360.1:cds pep primary_assembly:Fonio_CM05836:2B:39563855:39565949:1 gene:Dexi2B01G0031360 transcript:Dexi2B01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAMAMAALLETKRLQSVREGEPLSIVWQLPQYFIIAGAECFAIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITFIARVTAIDLPIVFLARPPAGSPPPSGCSSSSRSEPSRDDAVKAAGR >Dexi1B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:1B:4043537:4044324:-1 gene:Dexi1B01G0004940 transcript:Dexi1B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKLILVALLVAAMASPLALAYDPSPLQDFCVADKASNVFVNGQVCKDPAMVSASDFAFSGLQNAGDTANPFGSKVTLVDVKALPGLNTLGIAMARLDLAAGGLNPPHTHPRATEVLTVVEGEMYVGYLDTNGTLFSKVLHKGDVFVFPKGLVHFEFNFGDCPAFGIAGLSSQNPGLVRVADSLFGASPAITNEVLAKAFRIDAATVQRIKAQFATKK >Dexi7A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:7A:30233546:30240696:1 gene:Dexi7A01G0021710 transcript:Dexi7A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRDLARNGPGSVKLVPEEEDDLWHTYNLIGIGDNLQAVTVRKVLREVASGGRDAERVKLKLEIVVEIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPSASADLAVILMQEGLAHLFLIGKSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYMFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGIMALIKDTKAAQEVRALQDFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADISTRQKYVNLVEGVKKYGGTVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >Dexi7B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:7B:5010826:5020648:1 gene:Dexi7B01G0002600 transcript:Dexi7B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRLRRAGAALAASSAVAVAFAASSASASDPSAVALDAARQRVAQQGAAPPPREAQRAALAGSTLAEPLDVLVIGGGATGCGAALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKNGHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIRDESGERIIGARIHDTLSGKEFETFAKVIINAAGPFCDSVRKMANSNVVPMISPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSNTAITMLPEPHEDEIQFILDAISDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAVRSGNLKPANGCLTDNLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTLAEQVATIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFVARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARKKVELQKGR >Dexi5B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:5B:383355:387047:1 gene:Dexi5B01G0000610 transcript:Dexi5B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAASRRATSATAKRPAMAENAGGPKAPGAAAGQAKKRVALGNISNVAAPGGRAAGKVAPPPGSARLNSATSAAPLKKPSLASARSVSSVRGSAVKTASVKPALPLPRHDGTTQKHNNVPPPKVPTVLDVPSRTPALAPCSTYMSPGRSGDSVSMDETMSTCDSMKSPDFEYIDNGDSSMLASLQRRANEHLRISDDRDIEENKWKKNAPAPMEIDRICDVDNDFEDPQLCPTLASDIYMHLREAETKKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFARAAQACDEDPALHLEFLANYIAELSLLEYSLLSYPPSLVAASAIFLAKFVLQPTKCPWQNSTLAHYTQYKPSELSNCVKALHRLFSVGPGSNLPAIREKYSQHKVVGRCMMVPMDPVVSGD >Dexi6A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:6A:13035680:13038942:1 gene:Dexi6A01G0010590 transcript:Dexi6A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATQPGDFHLARGRRDSRPAQDAPRRLPADAEGQWCTRLYFPSTDAKDGKRCPEGDAGAVQGHGADSANHQHAGWQWRPPTEDKFSRRTSVVLASLRLNPAMKELPYEPTSTMILAQQDEVATTPTLECAKTTPNNLEVEEAKARTAAFLASVSQALQWPLADLPFRRDACTTTPAPPTPAPTLRRSDRLANQPLNSMVRASKKVFYNIGDMSLRNIQARISLIMCITTILAMASLGGFQSFAEEMKGLMMVVVAIVPDFLLGVAIGCGIQGLLLLSCGFFRLPDDLPKPVWKYPLYFISYHKYGIQGLYKNEFIGLAKHQKNGNRLAPDDQQSRTGLTMGGDHVLQKILQIDTGYSKWVDLAILCAMVVVCRATFLAMVKLTEMRGQIINCGKMKL >Dexi9A01G0025430.1:cds pep primary_assembly:Fonio_CM05836:9A:25077162:25079462:-1 gene:Dexi9A01G0025430 transcript:Dexi9A01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDDSSSSKSIMTSGFGNSTTSTGRLPFPMLTRTNYAAWAMKLKFLLRANGAWGVVDHGKKLKGEAVDEVQDQLALSIISQSVGYDTLLRVSEKETAYDVWEALRSMHVGVKRVREARVQSVRADLDSLWMSDTESMDDFAGKFMTLVGRIREHGDALEEMYVVKKLQRSVSTKFINVASSLVLFRDINNMAMEEAIGSLKAHERMLRGQEFRAKVEEDDDARRFVIKQIHCDQWFWQLDNIDGEATVPDADEDELRGVGDEVEVPTASQRSMGVVDRGKKPKGEAVDEVQDQLALSIMSQSVDDDTLLRVSEKETAYDVWEVVRSMDVGVERLREARVQSLRADLDSLKMSDTESMDDFAGKFMTLVGRIRELGDAVEEKYVVKKLLRSVSTKFINVASSLVLFGDINNMAMEEAIGSLKAHEEMLKGQEFRSEEKLLIARGDSARGRGRAQGSPDGPKDKSKVKCSNCQDYGHFA >Dexi2B01G0030970.1:cds pep primary_assembly:Fonio_CM05836:2B:39199863:39202099:-1 gene:Dexi2B01G0030970 transcript:Dexi2B01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGAIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTSDGNTKDDLKLPTDEQLLKQIKDGFDEGKDLVVTVQSAMGEEQICALKDIAPK >Dexi9A01G0030320.1:cds pep primary_assembly:Fonio_CM05836:9A:35238478:35243813:1 gene:Dexi9A01G0030320 transcript:Dexi9A01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYSGDPRRSPPPPRAAFSSSLSPSAAPFPAADPVGPGRDLPTAPSVYAAGGDWATASWMEPPASYMAPAAAAAPAATLPGYKGEVSQSPYGIYSRNHFSNFVGACLRSESSNSDLGYQTADKQHGKWSNSEDVATVGNYPLNSLGENHVGIEHLGNGRPMQESAETKHDLGGFNSKISSPEVGFVQPRDFSSELLEVNNTSVDSPCWKGTPALYQPSFGMTEKNDAPRTVMGTVGYISSHQSQKIPELSSEYPGRFPEHQEASVSKGDPFKAFDLSARCKNSEDHKEVPPVDVRVQNDMATYASYLPNKEHARTEKCYSTEDSKNVISLSQQESPCPAINPKLLGENGGSHTASTNEVMRKILQQQQQQRKRKSHRKELKTILNVIQEDEEELLQSVIQNLTAAKRSKVLTNHLDDKMPDDTEISKSAIYRNLWVEAEASACKLKYELQHARMKHIAAKGRNSTLKVTDSSEGCKGSSSSISSSKPQNHGKESIACTVALQGQGGDSGDRQSPVSRISNVSSFGEIDSEGWQEANKKSFAVEDAVMARLKVLKSRPDNIMTSQEINKHQLDASTNISDNVDDAVMARLRILESRPNSAVMARLRILESRPNNSILGQESSSQQLGESTDREDGADDAVMARLRILKSRPDNVTSLGDASNEQEEACSDGLNEGDLSAVANGSITDTKVSTEQCWKFIQSDDLADHVGGKDSVGGMDTFGDGTRAGENDTGGSADASTPKRCKATSDELNKEVHQYGSAPSEWEHVLKENFFHPEKNRAMVPPALQYHQHIGTAHGRSGAERKACTLDLGWQNNVASSPLNPN >Dexi4B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:4B:9013647:9016640:1 gene:Dexi4B01G0011580 transcript:Dexi4B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAANDRFPGGSDPLLPTKREADEDDAGASAFHEFNGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSRAVGARSYGAAMGDAFGWWGRRLLQVCVVINNVGVMIVYMIIIGDVLSGTTSGGEHHYGVLEGWFGVHWWNGRFFVLLITTLFVFTPLACLKRIDSLSYTSTISVALAVVFVIITAGIAIVKLIGGQIPMPKLFPAVPDLASVWELFTSVPVLVTAYVCHYNVHPIHNELKDSSQIKPIVHTSLALCSTIYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSATPLSSDNRRFSIMTAVLLLVIFGSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPQGIAKKWDKILAVFMIVLAVVSNIVAVYSDAYKMFHKKA >Dexi2B01G0022780.1:cds pep primary_assembly:Fonio_CM05836:2B:32410413:32414130:-1 gene:Dexi2B01G0022780 transcript:Dexi2B01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKQRLPYSTTGGGGGGRRAASGSGVLPPVVVLVFLFVVAPSLFFVVRNGGRGHVHVASGPICLPSLSPYESNDIFCLPADPKGRDDNQEAVAVKNLKSILPKEVIDAIVSSQQEEGTLSLDFFTNHASPSWKTDDLVTEKSMHVNDKSKNSVAEHDLPAKKSPKDPDEHQVDTAAKIARRKLREKRREKRAMELVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSALAKSKNKSGLFQELQTRIKESQRAVGEAAADADLHRSAPEKIRAMGQVLSKAREEVYDCRGITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEERKLPRSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGNVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIEGAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >Dexi3B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:3B:3489985:3490899:-1 gene:Dexi3B01G0005250 transcript:Dexi3B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVTAAAKAQHGGAPASSAAGDDPELRAFLAEADAAKSEMAAMRDELSRLRIAHEASNNAVVVGSGGATQAALVRLLGGARRLRARLASMDRRAPAPAAQAAAGLRGRVHDLTADVQALRRQISAERREDATRRYFAVAGDAPSEEQLDRLLASTDDDSDAAMRAALLSAPAAVAEEQEEAAREVAEVERGLLELQQVFLDMATLVEVQGAPLDDIERHVAAAAGDVGAAEAELGEARRLQVATRRRVCLAGGIAALLLVAVAIAVVVALVLTRKGGGGKLLVREIAGDFARAVKLV >DexiUA01G0019300.1:cds pep primary_assembly:Fonio_CM05836:UA:40276788:40277271:1 gene:DexiUA01G0019300 transcript:DexiUA01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPLSRLPLSWSDIPVELASMVLGRLAAHVDRVRFAAVCPQWRLAARQGGLPPPMPLLLLPDANVYSLPGSGPLHFPSCAGYKDACGDWLVFSGEDGCFLRDPFSNATVTLPPLSRIRFQHVGDEFVNEAAHDWMEIYEGEELQ >Dexi9A01G0045460.1:cds pep primary_assembly:Fonio_CM05836:9A:48984056:48984385:1 gene:Dexi9A01G0045460 transcript:Dexi9A01G0045460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSTEKLVCVVVAVLAVLSPLYIDRRRPYAEDDDDEEEGVSSALWLPALLVVLILAINVTCFMDRRVVRFDPYWIHRVWGSSGGLLAMLMLLGFVLKCKASCLYSS >Dexi3A01G0036480.1:cds pep primary_assembly:Fonio_CM05836:3A:41874728:41878341:-1 gene:Dexi3A01G0036480 transcript:Dexi3A01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIAEENERLRGAFILERFVPSTGWIVKALQCPEEEILAAAGLDAVVFNRILVFSPKDIPVQLARAIPGQATFFITYVLTSGWASLSSELMQLFGLIWNFIRKYVLRMREDTEFIPSFPYHTEVPKLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQVIGLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTFPAQDLIDMDREDEQSGRIDEIHHQLHSAYCQFPDTEDVPLEKIKIVGGDEEQGSSSSSGESTGKETSEDPKMDLSHPTLKRLPITRLRHAVRSITFLIRLQKRGLS >Dexi8B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:8B:19783636:19785344:1 gene:Dexi8B01G0011130 transcript:Dexi8B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRDPGQTAAVRNPGGSGVYSDGCSEGSRRRLPVEAPDGGGEGSSGTRGRHPAAALEKKPVETNTIEARKVDVADDHTSLKSKTMKTADTGVTNVHSQAMTYARLSEAPGLQTGSSLSGNYEEQLKESNGLLNVLPVSAESAGLLPYRSGGLTGSDLVLEAVLEAEVAENAKFFVPQPHDQLPKE >Dexi5A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:5A:4651525:4652287:-1 gene:Dexi5A01G0006260 transcript:Dexi5A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMLFSAFPAAGADDEAALLAFKVAAVGGKSGVLASWNRSTDGGYCSWEGVRCRGRRVVALSLPSYGLTGVLSPAVANLSSLRTLNLSSNALSGNIPASLGHLSRLHNLILSHNAFSGPIPANLSSCTSLMVMNLRWNQLTGRLPSEFGDKLTRLKFLDLQSNNISGEIPASLANLSSLTVLDLSINLLKGTIPRNLGVLKDLRGICSKVVFLPILVACSLA >Dexi5B01G0006480.1:cds pep primary_assembly:Fonio_CM05836:5B:4358846:4361763:-1 gene:Dexi5B01G0006480 transcript:Dexi5B01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEGDGEYDDEKESNDSSGSDGDEDDTSWAAGKAAREVNVLPHHRAIERKSPQRLDCRRAPREDESSVRAPEPKLPLDGVRVVQAEQNRHPNLQTAAPQWL >Dexi9A01G0043480.1:cds pep primary_assembly:Fonio_CM05836:9A:46916104:46917626:-1 gene:Dexi9A01G0043480 transcript:Dexi9A01G0043480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEYMMNNFFVLPAMAEPSHYRYQPYVAPTPFAQDATPPPLLVPMQTTRAEPFFHPQTQIQGANVSAEEAMIVHAFPDTEKDQGDTTPTPRRRGRPRKNAIVAAAATKPNKRAAVQSDQATSHQAASATAMSGQVQIPLPLPDQATSVVEVLVQHPNQAALLATSNQTAQCTNPMPWVYQEQWQLQPTYNNNTLSTGAQAIAVVEQEAMPPYADTSAEGVRFQPTDKELIFYLMLKYAVREMPVDFFKEFDVYQAYPEKSRDVCGVVNGCWYAFSPRDRKYKNGHRPKRSVVEAGGRHLGYWKSNTKLTSVCSRADGSEIGTVASLTFHLGNQPHGTQTPWKMREYAIPKNQHAPDGSAMRLNDWVLCKLFYKERVIATRKRESQLGEAAENDSGDSESSGDEGGDESIQVGSTVDQTPEDSEQDLRVEDYLVVG >DexiUA01G0019070.1:cds pep primary_assembly:Fonio_CM05836:UA:39806127:39807815:-1 gene:DexiUA01G0019070 transcript:DexiUA01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQEIPSPQPRTVDLTVRNNLSISGTKDRKYPYPNPGTHPHVGPTSGDHPVSYRSRSRLSPTQQAPVRFFRDTRFRSDDDHFLDLSLILRESHEIGAARTAAAGLTGDLVADDEAPRPRQHLQQDDHNLAQLLPHFSPLDCVPKGALGGAGGGARTPLATWPREAAAKVDDGGWR >DexiUA01G0023470.1:cds pep primary_assembly:Fonio_CM05836:UA:47950974:47958051:1 gene:DexiUA01G0023470 transcript:DexiUA01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVLDEPVVSKKSGLLFERRLIERYIEDHGKCPVTKEDLTMDDIVPVKTNKEWDALMLSNYALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARTLLAQAERQIPASVAVAAPVAVVSNGKRAMEDEIGPDGKKIRPGINPVMIEELTECNTMLSAQRKKRQVPPTLAAIDALEKYTQISSHPLHKTNKQGILSMDIHPSKDIVATGGVDTNAVLFDRPSGQILCTLTGHSKKITTLKFVPRDELVVTGSADKTVRIWQESENGNYSCVHTLKDHTAEVGEASGQEGYTSASFHPDGLILGTGTTDALVKVWDVKTQANVATFEGHVGPVTAMSFSENGYFLATAARDGVKLWDLRKLRNFRTISPYDPDTPTNAVEFDLSGNYLAIGGSDIRIYQVANVKVEWNHIKTLPDLSGTGKVTSVKFGADAKYIAVGSMDRNLRIFGLPGDDQMEESNTAAE >Dexi7B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:7B:20487311:20488038:-1 gene:Dexi7B01G0014150 transcript:Dexi7B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKNATSFPRQHAIQITVKAGTSSSLTLSSSSLLREARKISLLLAIAAAALDVGVFHGGGEVVRNGREETVPPEHGVADGDLGEGGGAVLPRAVQEEAAVTAVADKPVGGGRREESEGEVSGTGNRAGEGSRRVRRYGRVELGAGGRDAAAAAGRVAAEDAERRGRGVEAAEAAEEGPGGDDPAEGGARRGGSRDVPRRRQAEEDLRQDVVRERR >Dexi5A01G0030390.1:cds pep primary_assembly:Fonio_CM05836:5A:33362913:33366272:1 gene:Dexi5A01G0030390 transcript:Dexi5A01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPNPNQPHRGRPGGDLGPPHLPGVPMQPAFPPPVPNLAAAANPMAAAAAANPFLALQLLGQAQQLQNLGFLAAAALQQQQQQQHQAPFFPGGFTPNPNQFAPYAGGPPPAGFNGGGAFRPGGAGVCGPRPPRPMTSPAGKGSNNNNNNNAGSNGALKQIPILNGKDHYNNNTGSSGVPRPILNDVRKDWNSSCGGNGEENRYENKANGISHKTTDQKARFNSVRDGRQFGAPRGRGRGRHYNQDRGRGNNRGDTKSNFMNHEVLASGRHSDVPVPASGGSRKRPPIIYDANEVKQWLEARKKNYPTSTNINKKLSESQSDNQNKDEDAQLRRQELKEVLAKQQELGFELPELPPGYLSETEAQGDEKKSNWKTQRRDSRFGNRGNTNKRPRYERGEFQSKRSNVWNRTSSNDGAMAKSREPTLLQKLLSSDIKRDRHRLLHTFKFMALNNFFKDWPDTALQFPTVKVNQVEIENNIATGDLDDLENAEAAKDYCRDTNETVDGFDGETAGADQNEEEDVASADSSDDEGAEVAYGDQFDEPEDDAAA >Dexi9A01G0023390.1:cds pep primary_assembly:Fonio_CM05836:9A:18711300:18712226:-1 gene:Dexi9A01G0023390 transcript:Dexi9A01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKQLIALVFLSSLLALHPPMTTMAANSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFVIDYTTTTTPPSPTNGNFNIFWQDTVLTASAVASIKQSNPNVRVAVSLGGATVNDNPVFFNITSVDSWVANAVSSLTSIVQQYNLDGIDIDYEQFQVDPATFAECIGRLVTTLKSNGVIKFASIAPYDNADVQRHYQALWASYGSVIDYVNFQFYAYSASTTEAQYVGHFDDQIANYPGGNILASFTTAPTTTSVSINTSLNACHTLQSQGKLYGIFIWAADHSRSQGFKYDTQAQELLANAHSY >Dexi3B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:3B:9980994:9984739:-1 gene:Dexi3B01G0013900 transcript:Dexi3B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPQHPAAQPDQSPAPQRAHLRVEPASSIRSGINGPCRFFSSGRFVQGRPPFQPCSSSSPASNGPPEKKEADAGPDQGGLDRSTRPDVFTGRLLLVYFRYYKSLPPISKAYGTLCFFTTVLVKLQILNPQFLALYYPFVFKKFEIWRLFTTFFFLGPFSINFGIRLLMIARYGVQLEKGVFENRTADFLWMMMFGGISLLVVSAIPLFESYFLGIPMVSMLLYVWSREYPNSQINMYGLVQLRSFYLPWAMLALDVIFGSPLLPGLLGIMVGHLYYFLSVLHPLATGKNYLKTPKWVYPFTRFWPNNSRHSIVARFRIGVQANTPVRPPANTGTGAFRGRSYRLNQ >Dexi1A01G0027980.1:cds pep primary_assembly:Fonio_CM05836:1A:33687401:33690655:1 gene:Dexi1A01G0027980 transcript:Dexi1A01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEAPARKRSQSQDREQQQSDKAVGVGVHGIGLWSCPRLVAAPEAAVAGDAMAPPLNFAMVDDGIFRSGFPDAANFRFLLSLNLRSIVYLCPEPYPEENALFLEQNEIELHQFGIEGSKSGLTMSETLLLHEPFVYIPEETIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYLHFAAAKARSTDQRFMELFDASSLMHLTATQ >Dexi5A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:5A:1586187:1588496:1 gene:Dexi5A01G0002240 transcript:Dexi5A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVRVRRPPVVESKLLCVSLLYLLTTLPLALYVSFSGDPGRRCLLLPFFPSRGGSAVKTAALLEYPPGYGDHKHALPVPRALCSSPVAFADYKTVVEEISGLCRNLSASSSSRSPVLRYQSGKRDTFAGNLSTEKRRSFFSHTDNQVEVPCGFFKEFPVPEADRLAMEKCRGVVVASAILNDYDKIRQPKGLGAETLSTACFFMFIDDATHRVLTRHGILTPQATSTIAGGGATAVVGAWRVVTLDAGELPYENPAMNGVVAKHLLHRLFPSARFSVWVDAKMQLTVDPMLLVHALVVGKGVDVAVSKHPFNLHTMEEAIATARWRKWGDVEAIRTQMETYCANGLQPWSPSKLPYPSDVPDTAIIVRRHSLASNLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVSISMFEVEVFEHIAVEYRHNFKPDGGGGGKQPVTRMASSRDVGSSCERYLLKMWGESAE >Dexi8A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:8A:2069742:2076785:-1 gene:Dexi8A01G0003020 transcript:Dexi8A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAALPLAAGLGVADSPTCPVAGNGGSVDDDAATKLQKAYRGYRTRRKLADSGDVVEDLWWQALNFARLNHSTSFNELEPKTATSHWNRASIKASKVGQGLSQDSKALKLASQHWLEAIDPRHRYGRNLHFYYDFWCQSKAGQPFFYWLDVGDGKDVDLPECPRTLLKKQCVKYLGPQERKLYEYIIDEGKVIHKQSGEPLDTEGAEWIFVMSTARRLYAGMKEKGGFHHSSFLAGGAIIAAGKLTAENGVIKSFYSYSGHYHPSTKDLNNFVKFFKESGVDLNEDKACPFTSKGYCDDPVPNDTQNIALHSNPPQVILSPNTTKGHGGEDAPTEETYQKTLSDNQDIPEAIDVPQNAILDGTKSKGGKGGAPVEELWSVQPMRRSRARRPFRVCDDTVERGWHSVLERRHSGLGWPKGAVAGTARRSSGDAGGERKRGNE >Dexi6A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:6A:23225173:23225604:-1 gene:Dexi6A01G0015700 transcript:Dexi6A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDIKDVLKLDVYDEDILKMDDFLGQLRVPLEDVLAADDLSLGAKWYQLLPKGKTDKAVDCVQLAVK >Dexi2A01G0032170.1:cds pep primary_assembly:Fonio_CM05836:2A:42777080:42789183:1 gene:Dexi2A01G0032170 transcript:Dexi2A01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITEEQRRRAEANRLAALEKRKRLAEAAAAAATASTSYNTTFPASGTPTFPTDDTAAEWRLAKCPRIAPPAPQSRFVSLPPRPSPPPPPQTPPQPPVGFKVVLEVCSPDEFLVAVGPAEGKAYPGEANCLGAVQDCLAAALVVQYSVQSQSQSCHLRPVFKLVDYDVVSKSLKKLPGAVVEEIPPNTMKIIQDIPMLPGQKWASDKEVDDLLKKLPQQVKDSLLPFQLEGVRFGLRRRGCCLIADEMGLGKTLQVKVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHGRGQTNAVNIYIFCGKNTSDESHWLQLNQSLFRVSSLMNGKKDAIREIEALFQIERGKCSQCKLDCSKLVRHMKPLSMEKREEYIRKAAPNIANRKNLLDKLVREPIDGNAWHADHIIPVYKGGGECNLENMRTLCVACHYVVTRAQHKELKEIRKKAKEHLKNALNQQKDKASEATEEIDVSSLLVTVPGSAYSIGHEVTADANEIVAE >Dexi6A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:6A:9663430:9664543:1 gene:Dexi6A01G0008900 transcript:Dexi6A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARILAAIYFILTISPALSDMADSIELMWGNTQLLYNSDGHQIISLTLDQWTTSAFRSKSQHLFGRFDIDIKLVPKESAGTVTTIYMVTEGPWQYHDEIDLEFLGNTTGEPYTLHTNIYANGKGGREKQYRLWFDPTEDFNTYSIIWNPRMILILVNGIPIRRVKNQMKNDTPFPLFQPMRLYASIWNADQWATQGGRIKTDWSQAPFTAFFRNYTANACVPYNKSWICSQGSGDSSWFNQELDEEGKQKLKDVDDKNKIYDYCTDSRRFPDGYPSECASQ >Dexi9B01G0046370.1:cds pep primary_assembly:Fonio_CM05836:9B:45599522:45600265:-1 gene:Dexi9B01G0046370 transcript:Dexi9B01G0046370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRVMGSWAVLVGNLHELPNPILAAAAPPAWRWRQDASCSSPPAKGTTTATAPVAVTTVRQSGQLTLWWSHLLEPLVGDELAEAHGALERPRRRAAAVAAVLDDGERGDDVGVEAGGEVAGVEVGEEDRRHALRQVVAAGAVEQPAGPRPSGGAAAEPAADDEELMRMSEVTMNPMAMTMAIGNAAACPALSGGGDASGGSMGAPATAASIATRTGQSQCLGEERPAS >Dexi2B01G0032650.1:cds pep primary_assembly:Fonio_CM05836:2B:40517211:40522251:1 gene:Dexi2B01G0032650 transcript:Dexi2B01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARVLTLPLPAPSSTPHRRFLLPARAAPVRVAACRAASAMAAAVAAPDPATVAAVGVGEELPEGYDQMMPAVEPSRRRRAGVLLHPTSLRGPHGIGDLGDEALAFLDWLRDAGCTLWQVLPLVPPGRTSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMEDELPIPVDVEYVEFDTVANLKEPLIAKISTVNSWLEDAALFAAIDKSIGAFSWYEWPEPLKNRHLGALEDIYQKEKDFIEIFMAQQFLFQRQWQRIRKYAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEADGFSWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSEAKIALVGSWRVSIDLINKAGPRNAFFDALFKAVGSINIIAEDLGVITEDVVQLRKSIGAPGMAVLQFAFGGGSDNPHLPHNHEMDQVVYTGTHDNDTVSSKFLAGGKTYLRKRSKLSVIKYLPEAKNTDISWALITAALSSVARTSMVTMQDILGLDSSGRMNTPATQKGNWRWRIPSSVGFDNLNPEAAKLKELLALYNRL >Dexi3B01G0034450.1:cds pep primary_assembly:Fonio_CM05836:3B:37196222:37198933:1 gene:Dexi3B01G0034450 transcript:Dexi3B01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVTQVLLSAQSADGAIRKHAEESLKQFQEQNLPGFLLSLSSELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGAKQQIKGLLLQTLTSPVASARSTASQVIAKVAGIEIPQKQWPELIGSLLSSIHQVQPNIKQATLETLGYLCEEVSPDAVDQDQVNKILTAVVQGMNASEANSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLAAYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKSDWRQREAATYAFGSILEGPSADKLAPLVNVALNFMLTALTKDPSNHVKDTTAWTLGRIFEFLHGSALETPPIITAENCQQILTVLLHSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMVTHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHQTLEAEKLSTDEREKRSELQGLLCGCLQVIIQKLGGMESTKYSFLQYADQIMDLFLRVFACRNATVHEEAMLAIGALAYAAGPNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTTATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSTSSKAFLEECLASDDPLVKESADWASIAITRAVSG >Dexi3B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:3B:14164677:14166183:-1 gene:Dexi3B01G0019100 transcript:Dexi3B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVECAGGGRVKKEADLFLVDDLLDLPCDEEEEEVQEAVVEEAEGEGSKAGACGATAGNGGGGCEEGIAGNTSNDSSTVTALDSGSNSLSVLGDGDFSGGLCEPYDQLAELEWLSNYMGEDNFPTEDLKKLQLITGIPPAAAATTGTAAAPVPAAAAAQPVGAGVLPPEAPVPGKARSKRSRIAPCSWASRLLVLPSPPVSPPSPAAISPSESGTAAPAFPAKRPSKPSNKKKEAPTTPAQNAAALAAAAGSSAGEGRRCLHCETDKTPQWRTGPLGPKTLCNACGIRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVMELRRQKEVHPHHQYQPQQALGHVGPGGATGGGGLMHAPSPLLFDGPAAPLIGDDFLIHNRIGPDFRQLI >Dexi3A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:3A:3183427:3185686:1 gene:Dexi3A01G0004880 transcript:Dexi3A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAHPESNKRVPAIVEALEKLELSPKHRGSQVLEIQNFNPASLDDVAGVHSKSYITGLEKAMSTASEEGLILIEGTGPTYATETTFRESLLSAGAGITLVDAVVAASKLGPNPPLGFALVRPPGHHAVPQGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTSDAFYDDPDIFFLSTHQFGSYPGTGKIDQVGQGDGEGTTLNLPLPGGAGDYAMRCAFDEVIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFHMLASNIKRLAKELCGGRCIFFLEGGYNLQSLSSSVADTFRAFLDEPSLAAQFDDPAMFLEEPTRKIKEAIDKSKAIHSL >Dexi3B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:3B:326126:327423:1 gene:Dexi3B01G0000440 transcript:Dexi3B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLEGVDTSAILAEASKTVANVIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSISKSRFYLKFHDSKASSHFSLLSPHTIHMGPFNHHQGSDFGWNGSTF >Dexi3A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:3A:2874765:2879185:1 gene:Dexi3A01G0004380 transcript:Dexi3A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAASIRKPAAWRGAGAARGAGRRRGAVVLLLALAYAAGTLVFLLGGRLSGGGAAVEVASSSLHPRRHAAGGHPPSPPQPGSVYRSHLVFERLWPAMRDDATAAASASSLSASSSWRRSMLMTSQYQNSGEPWMPCVNSRLTQSELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATIVIPTFHVNSVWRDSSKFGDIFDEDHFMETLREHVRVLKKLPEDVLLRFNHNISSIPNMRTKAYSSAKYYVQKVLPKMLELGVVRIAPFSNRLAQSVPSNMQALRCLLPSQFIKHQVGMMLRGMGFDNTTSLYVASGKIYNSEKYMAPLRQMFPLLATKDSLALPEELAQFKMGSV >Dexi8B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:8B:25146668:25151630:1 gene:Dexi8B01G0014540 transcript:Dexi8B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSSSLPTRLPSKTVTPRRAGMISSHKAPPAAAPRPLLLSFPAPARPRGADLRAPAAQKDEYQFEDDDDEEEEGYEGEEEEEWEEDEDDGEEEMDVEAMEEEARGAAADLAKRLARELHIEIDCCHHALNGSVSPIPDSLLPKVAIIGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAVTTTIGMDGIPLATREAAIARMPSMIEKQAVAAVEEATVILFVVDGQAGLGDCLFYFVLGSAAVGPPTFVLFVNDAKLFSDMYRRYMEKKLRADAGFPGTPIRLLWRSRRRPDKRGEKNAYT >Dexi9B01G0043790.1:cds pep primary_assembly:Fonio_CM05836:9B:43726785:43732208:-1 gene:Dexi9B01G0043790 transcript:Dexi9B01G0043790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPATAGALDFRPGSSPPGGSAVPRRAAGRSTDTGKHVPRLFRRDGFPRRCLLSALARLVSGERNTQPPAVCRGAGEAPGGPGFEDRDAVAAELTGSNSAGNKNMDVLCSENGAELESAEPGVLDVRLGTLLMGRHERKLDGTGLGSDEAGEISLVEVSPSDASSSLDATGSIGGYSLVEGSLPEASGSIGCEPEVQEVRTGTPAAVDCPNGDLSSGFGIQPNDDVDGRNCLPRGELELPTDGDDAKDSTKITGILHDGRVEGVETNLKKCEAANGFTERVEEGVDRMEATLDDSEASDSSTTQDSDTDVETASSGSSIEEQDAGYGAHIPQLDQAICKVARENKTSGVKLSDRMTSVSESTLVLASGAAMLPHPAKVSTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKIVTETQGAPRMKTEEVLVKTADEARSPGSSTVLVAHFDGQVLHASNIGDSGFLVIRNGEVYKKSNPMTYGFNFPLQIEKGDNPLKHVQKYAIDLQEGDVIVTATDGLFDNVYEEEVAGTVSKSLTADLKPAEIAELLVARAREVGRCGFGSSPFSDAAYQAGYSGYSGGKLDDVTVVVSIVRKSEV >Dexi5B01G0027560.1:cds pep primary_assembly:Fonio_CM05836:5B:29114202:29119101:-1 gene:Dexi5B01G0027560 transcript:Dexi5B01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASPTEPPRARGCTPPPEAASRRLRAAAAVPRVRRRASAPLIFPSGMEGQAPPLLDAVEPEPVAVADELPPPPPLEAEDALPLGMGGQAPPLDGVAPDTLTVSDELQAPPSATGEVEDALPVAPDTVNDASSGISEAGTGGVVLTDELRDQIVKQVEYYFSDENLPTDEFMLKYVKKNKKGFVPIEIIVSFRRMKKLVQDITVIAAALRTSPKLVVSQDGKRVRRLHPLQHNELKDSKKSTVLVENLPPGFSLESIQEKFGTVGKIVNITIIDPELVKESSNAKKHDFVQSSKVHALVEYEAVDAAEKAVTLSDESNWRTGLKVRLLSKVPGKHNKSSKENQDTVSKKNNQNQHLKEDQQTGSEKNSVTDSMESTKDKENLNSAITTETELQHQKSNPKGGRKGRYKGQGQIQQNTNKQGIYTLICCTECVLWDKYDFDLCQVCISGSSGSEPLNKPIPGPRMPDGTRGFTMGRGRPLPLQKFENGEE >Dexi2B01G0034920.1:cds pep primary_assembly:Fonio_CM05836:2B:42101604:42102582:-1 gene:Dexi2B01G0034920 transcript:Dexi2B01G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKISDFGIARIFYSNATQSNTTRIVGTIGYIAPEYALDGVCSVKSDVFSFGVLVLEIISGKKTGGYYRFDDEKHYCLIAYAWQLWRDGRWHELADPSCLLGDDDGHCSTMLRRCTQVALLCVQEDADDRPAMDEVLRMLSNDNMTLPEPKQAAYFNVRPGAAAAAADAPPSGR >Dexi7A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:7A:23001285:23003968:-1 gene:Dexi7A01G0012810 transcript:Dexi7A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHLYNVTIGLYMLDWWERYLFIGVSPSPPGPAYKEEARSGGGGYVGAGSAMLEVVISIPAIFLVILLALGCYMLGRNRGRAEAQQQFAPPAPPPGLSPK >Dexi9B01G0022640.1:cds pep primary_assembly:Fonio_CM05836:9B:17398461:17402377:1 gene:Dexi9B01G0022640 transcript:Dexi9B01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEPSLTTSAIVPTTASASHVLKINGYSRTKGLPAPTYTKVGVTNQFGGPGAHWGFGNFIRREAATSAARQSVAVPPSSLSRDIGQLLLSGQGADVRFRVEGEEFAAHRFSGGALAGAELFGPMKEATSEAGECVEIRDMRDDVFRNLLYFTYTDSLPDEPEEEGEEALIAQHLLVAADRYGMERLKLMCEDTLCRHIHISTLATTMALAEQHRCQGLKEACFQFLKSPVVLNTVMATDDFDHVATSCPSLIKELMSRLAGP >Dexi3B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:3B:11860531:11860994:1 gene:Dexi3B01G0016300 transcript:Dexi3B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEVGGAGDGAAGDGQIKGSKENGQPAQQEQQPSGSEALEMPATPLPRDIDWSEHFSFFTSLGGFGSSSDGARGLASVGLSNSESRPDSVTQRGLDHGTEERVEELTLKNCINTDVQPEVSAGGSSSSGDRPTN >Dexi3B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:3B:670852:672318:1 gene:Dexi3B01G0000770 transcript:Dexi3B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAPFFVLLLLLLVAWAPAQARVLAIINIFLFLQCVQLMDSYATLLFTKITEIKPEEFCKQYGLCRDTALFSGVRSDSTCVFCHHLLDEVMSKLKDPDAEFEIIQILIKECNKIEGHVQQVSNLVIFCLDSFMRKSFLKTTEVSD >Dexi7A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:7A:26953415:26954776:-1 gene:Dexi7A01G0017220 transcript:Dexi7A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSPHPAAALPATPRVSQFTPPLPPLRSSPRRQHWAGGLRLRAPAAAAVAASSPPAEEEVQAEKRRKLYVANLPWSLPAPEVEKLFAQCGTVKDVQLIKGKDGRNRGFAFVTMSTAEEAAAAVEKLNSHDVMGRAIKVEFSKSFRRPAPPPPPGAIIERHKLYVSNLPWKARAPNVKEFFSKFNPLSANVIFDNRKAAGYGFVSFGTKEEAEAALSELDGKVLHETLCFYVLKL >Dexi5A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:5A:20455993:20456352:1 gene:Dexi5A01G0017250 transcript:Dexi5A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPPFSRPRLADLARADLRRIPPPPPVSSLSRPASRRHHSSLRLLPPALVCSLLRQASWCRLLLQARPGGLRPLQRVPSLSLLVLPRCIFPLSATTSSRWCPHSLRPPVSFTNRRC >Dexi9A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:9A:525278:527993:1 gene:Dexi9A01G0001050 transcript:Dexi9A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESQPVNHSQLRLRPTAAVTEMTPLAAVAFLLFLLCLPEAVPAAASGDPTPTPTPWPPQFHATLVMDYHGNMSLADLWYDWPGGRNLHVIRYQLADDAPYYDNEWNNGTSFFYTPARRACRSVAVGVGILRPDWLLDGSEYLGRQDAGGFDCNVWAKADFITYYEDVKTKRPVKWVFYTGRIAYVMSFEVGAVLEDAAWQAPEYCFNKDGEIAETANGHDDSFLTPRSVL >Dexi2B01G0022830.1:cds pep primary_assembly:Fonio_CM05836:2B:32450590:32450883:-1 gene:Dexi2B01G0022830 transcript:Dexi2B01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFTGPRELTCPLATASTGHTHWRAGPPSDSRFLPTSEFQVASARGEESDRGREVVVGSTSDEE >Dexi4A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:4A:24793572:24795917:-1 gene:Dexi4A01G0021100 transcript:Dexi4A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGCESSHSRSPIRKRERKHSKSPDGGKTDSSGSFRTSDDEAREKDERYFISEEKNGCEAQLKQMHLDMEALREDKSKLETILEKKTDEERKLCSRVDDLESQLNKEKDDCQRMTSKTKKLIKAHGRYIKAKEDLKRSQARFERLADLLASDILKPSAKEQGSTGIAASEDPYNANEMSPIDQRQNHLLASRKRPIALSANEEANNGKRQRDNDDAMIPVAENYRPEDALEHVQDSKGTDIPKSFTVKKKLGEVDYDEEGNIVSSSNIFADRVRYIFILQHCL >Dexi2B01G0020780.1:cds pep primary_assembly:Fonio_CM05836:2B:30775947:30777277:1 gene:Dexi2B01G0020780 transcript:Dexi2B01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKHARLRSQEDE >Dexi5A01G0028150.1:cds pep primary_assembly:Fonio_CM05836:5A:31484498:31487448:1 gene:Dexi5A01G0028150 transcript:Dexi5A01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAAMEQSSSITFASSSSYLSNGSSPCSVALPPPGPPQAPPLAGSEGWGSGGAAGVSGSSVEAVSLNRLSKNLEQLLLIDPDLDCSDADVEVADGGPPVPVHRCILAARSPFFYELFAARGRGGTGRGDAAAAAAGGAGEVAASGRPRYKMEELVPGGRVGREAFQAFLGYMYTGKLRPAPLDVVSCADPVCPHDSCPPAIRFAVELMYAAWTFKIRELILLFQRRLLNFVDKTIVEDVIPILQVASHSELTQVVDKCIQRIARSDLDDISLDKELTPDAVEEIKKIRKKSQTTDGDAFVSDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAASYCDSKVVSELLDLDLANLNLKNNRGYTALHLAAMRREPAIIMCLLNKGASVSQLTADGRSAISICRRLTRAKDFNTKMEQGQESNKDRLCIDILEREMIRNPMAVEDAVTSPLLADDLHMKLLYLENRVAFARLFFPVEAKVAMQIAQADTTEEFGGITALTAASAAGKLREVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKYLEDDMPDGLDQLYLLRGTPDEQKVKRMRFSELKEDVRKAFRKDKADGGSMLSGLSSTSSCSPPQKAASKK >Dexi1B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:1B:18337846:18338268:-1 gene:Dexi1B01G0013060 transcript:Dexi1B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERAEENTRPSTRCVNADCSVGWGHSPSSLRLESELAVDNNHHHERLELDPNSNKPSIRGFLCILETKCRLPRRLAEHEDDEWPTISSCTGTPKRETHLHKLVADVGAEHQWAMEDLGRTYSDASTPPPSSCCRRPRT >Dexi2A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:2A:27697768:27698401:-1 gene:Dexi2A01G0016150 transcript:Dexi2A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKALDFCVDKVLAEEDDGYLVGATDGSDIDLVADSLTANTMVVENGGSMDGGAVDVEPTAFSLDGGVFMEGVCYGGTPAVAVVVADTMESPVAAIPELEVRPGRLQGRCAAARRRGAASAPPCSRAGLQLRGHGAPLPDALVAAAGIKEVVMQPTRSSPRLAGAVDCHIMEKAKSRAAWMNLDCPVI >Dexi6B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:6B:2453177:2454193:1 gene:Dexi6B01G0002920 transcript:Dexi6B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPHTIPLRTILIALPLLSLLVIFSHHLLYRPSSPLPPPLLTHATAAPANNNSTAASSSTPPPAPTTLRHVVFGIASSRRTLPLRLPLLRLWLHTPSPSPTTPHGFVFLDAPAPAAVAKALPHGISLRVSADASRFPYTHPRGLPSAVRVARIAGELISALDADENDGNDTLRPTPRWLVLADDDTAFVVPNLLRVLNKYDHREPWYIGARSESAAQNAWHGFSMAYGGAGVAISWTLARRLGRVIDSCVLRYPHVYGSDARIFTCLAELGVELTHEPGFHQLL >Dexi5B01G0032990.1:cds pep primary_assembly:Fonio_CM05836:5B:33509413:33509802:-1 gene:Dexi5B01G0032990 transcript:Dexi5B01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPTDAAAATWPEREPSKRRSTWGIIEPTYVLGGANEPGCLDSIGLPQLAACLHPSPLFHLGAVNVTATDARVVLVRHEGREHRLAGSNQTQALCVWCRCGEQERAGTPLDSRKGTTGSLNALAIKD >Dexi1A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:1A:7926160:7926540:1 gene:Dexi1A01G0009610 transcript:Dexi1A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGPCQRRRCGSLRPPAADPPRPCPATAAADMRLEERESRNFPTQIHTLAQIAQIAPIEPTQIASYCSPPCSPAVDAAPSTIVVACCRLRLWLNSSPRLHSAVVLHQLLTPPLLLTVGLSRCYQ >Dexi6A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:6A:4137732:4139361:1 gene:Dexi6A01G0004570 transcript:Dexi6A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHDLMSRRPPGLRLFGGAGSLRTYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHTSNTLNMGWLPFNTVDGSALLGEIDVAFLAVYSVGMFFAGHIGDRMDLRIFLTIGMLGTAIFTTLFGAGYWLNVHSFYYFLVIQMLSGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAIPSIIMAFVGLIVYIFLPVNPEVMEIDIDSGEFNCEKDTAKEPLLEPGQEVKHKAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGEYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIVWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKVPSNATKDTTDAQGTYSDEV >Dexi9B01G0047280.1:cds pep primary_assembly:Fonio_CM05836:9B:46452310:46453153:1 gene:Dexi9B01G0047280 transcript:Dexi9B01G0047280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKKLEFELVRIDTFKTHHKLPEFIRLRDPNGQVTFKHGDKTLVDSRDICRYVCNQFPNDGNKTLYGSGALERASIEQWLQAEAQNFGPPSSALVFQLAFVPHLSHLGVRQDHAVIAENEDKLKQILDVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVNTERGRKLFTNKKNVAKWYDKISKRETWAQVVKMQKEHPGPFE >Dexi9B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:9B:10907092:10908571:1 gene:Dexi9B01G0015930 transcript:Dexi9B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQEKPAAESGGDGSGGEPVPVRTICVFCGSRPGNRPSFSAAALDLGKQLVERKINLVYGGGSGGLMGLVSKAVYDGGRHVLGVIPSALLPEEVSGETLGEVKVVRGMHERKSEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDTKARNIFVLADTAADLLTKLTEARLAAEDGDTTAAGEDKGDAIAGVKRKRS >Dexi1A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:1A:6389159:6389456:-1 gene:Dexi1A01G0008300 transcript:Dexi1A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGAGFFAYTGRDLEFGALFDDAMSACSRFTAEIVVRECGGEVFAGLTSLVDVGGGDGTTAKAIAKAFPHVRCSDLELPQAVDGLPVI >Dexi1B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:1B:7626686:7632699:-1 gene:Dexi1B01G0008770 transcript:Dexi1B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPRRPRLLALAWLAVALLLAVATGGCLCRSVPAATGLPSRVLLQATPPSPDTTAPPRAEGGYEEMPSPGGRRWQPDGDQMTTRRSSRKMMDVVGGSCVRSTCEGSTCYCPMDAKVMAVLFAVFMACLVSAAKCEGDKVERSSAGGYQQQWGNGGEDMSKTN >Dexi4B01G0021850.1:cds pep primary_assembly:Fonio_CM05836:4B:23696556:23698247:-1 gene:Dexi4B01G0021850 transcript:Dexi4B01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKILKTSILSCFRRVKRQHAILILADTLYYGKFKRYCKVHIAQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >DexiUA01G0010620.1:cds pep primary_assembly:Fonio_CM05836:UA:21057146:21057544:1 gene:DexiUA01G0010620 transcript:DexiUA01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRNLSATAAVFLLLVIVSAEMGSVAADTCRHLSGTYHGLCDTSYTPCEDTCRAESKDNTGGACFDSPPRCYCFTNC >Dexi9B01G0043240.1:cds pep primary_assembly:Fonio_CM05836:9B:43254458:43254770:-1 gene:Dexi9B01G0043240 transcript:Dexi9B01G0043240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVAGGLTMAKLAFWLGWIALLQGCMVKALPYDYSASIEASPCSFLCPVASACPKSFVVQYRAEASPAGIPA >Dexi3A01G0031990.1:cds pep primary_assembly:Fonio_CM05836:3A:36583003:36584709:1 gene:Dexi3A01G0031990 transcript:Dexi3A01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSNKTTEPCAAVGERVTILAIDGGGIRGLIPATVLAHLEKKLQDLEGRPEARLADYFDYIAGTSTGGLIAAMLAGPAPGEGRRPHFAAEDIKPFYLKHGPRIFPQKWCSLAAAMAVVWGPKYDGEYLRDVVRDRLGKTRVRNTLTNVIIPTFDVKLQQPIIFSTRDAKITPSKNVLLSDVCIGSSAAPTYLPAHYFWTKNANPADREYHLIDGGVAANNPTMVAMTTITEEIILAAEEEKKATNNDVLKAFKEEHGRFLVLSIGTGLRSEEGLTANACSKWGLLGWLWKKGGMKPIIDIFMAASSDLVDFHVAVKFKLFGSEKNYLRIQDSKLCGATAAVDSATLENMEKLVEMGERMLKQRVSRVNVDTGEYEHVMGDYRSNAEALDEFAMELYKEKEARRKPMKDDGPVRRVLERLRATTSSS >Dexi7A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:7A:28928980:28931259:1 gene:Dexi7A01G0019960 transcript:Dexi7A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHAAEMLHAAPAVYSGAGAGGGWWNTAAVPAGATCSTELAGFGTWSSALAAASSYDIAVDGKATKSVATASSESPGNNSSVTFQEPTGVADPVGIAAVHQQQPLAGYTDWTHPYMSTGATLHGFLQDGHQQDMSSRTEHQSPMVASSLMSPSSNNIAALQGHQQEHQLLSSFGSELLLSPTSPYGLQSSLLRSLMEPVAKPAALPGFQQYDQYGQPMGQQATSPAAARFAPGAIREQPLQFTNDAPFWNSSAAGFGMPAAVAMPDQASVVRSGKPSPAPRAATLALKTALEGVGESSSVITKKKANGEPAYKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGVLSAPYLKNGHHQVPHLKSSSPEKSKDSHGEISLKGRGLCLVPISSTFAVASEVPVDFWTPFGANFR >Dexi7B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:7B:24793941:24796982:1 gene:Dexi7B01G0019190 transcript:Dexi7B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVMKLTAGSLPLKSCPSSGRQQHHLGSLRREMATPTQHGRASRHAVNRDSCNEAVLASVTRGMGTQHSKSVSSGAAGTTRKQQLQQVDVLRNMGISRHFAAEIKCILDMTYSCWLQRDDEIMLDVETCAMAFRILRMNGYNVSSDELYHVAEASWFHTSLEGYLNDTRSLLELHKASKVSISEEESILDSIGSWSGCLLKEQLRSGALHRTPLIREVEHALECPFYATLDRLEHRRNIENFDFTLTGHQVLKASYLSCYTNNEILALGVRDFSASQFTYQEELRHLDSWVKESRLDQLPFARQKLAYFYLSAAGTIFTPELSDARILWAKNGVLTTVVDDFFDVGGSTEELENLVALVEMWDEHHKLDFYSEQVEIVFSAIYTSVNELGAHASLLQDRDVTKHLVEIWVDLLRSMMTEVEWRISKYVPTAEEYLTNAALTFALGPIVLPALYFVGPKISESVVKDPEYNELFRLMSTCGRLLNDVQTYEREYEEGKVNSVSLLVLQNGGSMSIQEARREIQKPIDTCRRDLLSLVLRKEGAVPRPCKELFWKMCKVCYFFYSRGDAFSSPEEKAREVDAVVNLPLQLKGSNASLLHVVL >Dexi3B01G0030840.1:cds pep primary_assembly:Fonio_CM05836:3B:31045784:31046293:-1 gene:Dexi3B01G0030840 transcript:Dexi3B01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARRGRETGAPPGARTRTQSASTPPPLLHGAEALDTGPHRRASAVQAIATRTTMACPAGRAETSTVERTSPAAPASRGGVSRQGPAHAGHTEGRARRARGLRTAYGAAAPTCRRRSRRRRWPATVRRTPQRRRRDAGRGSAAKLRLGDARAGGGQAGERGEARGECY >Dexi9A01G0035150.1:cds pep primary_assembly:Fonio_CM05836:9A:39790348:39793383:-1 gene:Dexi9A01G0035150 transcript:Dexi9A01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPPWTGSFLGAVLATALLLVTALHRRRHRRRSSSATRKHYNLPPGPRPWPVIGNLDLIGALPHRSIHELSARHGGGAPLPLMSLRFGSVPVVVASTVDAARFVLKTHDASFIDRPKMASGRYTAYNFSDIVWAPYGAYWRQARKLWQTTLFSARQLRSQEHARGEELRAMLRGLLPPPPPPSSSSPATASVAVELKERLILLNLNVVSRMALGRKYVVEGGGAAGSSSPVTPGEFRWMVDELFHLNGVLSVGDFIPWLGWMDLQGYVGRMKRLAKMFDRFLEHVLDEHIERRRREGDVFVARDVVDLLLELADDPSLEVPIGRDGVKGFALDLIAGGTDTSAVAVEWAMSELLRHPDVMAKATKELDTVIGRDRLVTEHDIPKLPYLEAIVKETFRLHPVTPLLAPRLSREDASMSTGGAHYDVPAGTLVFVNVWTISRDPTVWGPTAEEFTPERFAGSAVDVKGQDLELLPFGSGRRMCPGYTLGLKMVQLTLANLLHGFEWRLPDGVKVEELSMEEKFGLAVPRKVPLEVVAEPRLPAHVYEEPAGA >Dexi2B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:2B:27004133:27008046:-1 gene:Dexi2B01G0016680 transcript:Dexi2B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAHARRRQSQSPKPSVSRDKQQDKEPPLPAAREDAAADDKARKKKLLLPSSPATARGAAPSMLLRRGSRGSSHVHPSSSLSVSCASEASTDSFCSRASTGRIGRRPAGRPPAGAPRRRAAGSAGPPSARLLATTTSRKQQVASAAVTGSGGVAATAAAPVLLGLLNGETIAAASAPAGPPRCPWITPNADPCYAAFHDQEWGVPVHDDKKLFEMLTLSGALAEMAWPAILSKRDAFREVFMNFDPVLVAKLNEKKFLAPTSPAISLLSEHRLRIIIENARELLKVIEEFRSFDSYCWSFVSNKPMVGRYRHTREVPLRTAKADAISQDLMRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFEECCDIKAAATDGYGDNNCKPAAAVNEQEVSMLCGLVQCVGLEPARAATVISIS >Dexi8B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:8B:1857703:1858011:1 gene:Dexi8B01G0002660 transcript:Dexi8B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQTGPTIVVPGSGAAAGKLRKEMFINTGPRERKRQGMAEEDAATEAPACAICLEDLEFGDRLSVMPCSHTFHVACLAQWLAISLLCPCCRRALLGQGCSP >Dexi8B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:8B:3124076:3128559:1 gene:Dexi8B01G0003730 transcript:Dexi8B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLIATCLDSIRQIGDEIADTIVYVDAGTMEAFQFIGAFPLLLELGARAVCSLESASPLDAVADWHSKFSHPVRKILVLTSRLLSDTHRYILRCLGNHGTVSHCTVLTAISEVLPHFKTMFLVMYWAFVLPSEGIIADSCLSNDHEDCLGPGLPSISTSKPFDSDEVPPGVTLTAQFLYHLTNKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTVDLLTPCFHGDSFLDRMLSSLPRKERASSYSVAKNPQTPSKHSHAAVKRVPLDIKVPFDVVFKKEEHKRTSILSEGIMSFMSGWNPAEVDSEVTWLPDYSEKAHDDKLGSDLGTINGSLLSNYAGVRYLEALLDRGSKDGLMLIKKWLTEALQHEKLSSASKGRQGATSVSDIHSMVQILSQNQLSLLRNRGVIQLALAAEMTLLEPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVDSHKQAATMESSEGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLDGLVNELEAKSISKDVERSNKDSTEPASSTDDFDDEWGNWDDNDNADDQKEEAYGDMQLKLEVRDRVDQLFKYFHKLSSMRLRNHALGEGLVALNRFETDGYSRKGLLYKLLIALLSRYDVPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIIFVVGGINTLEM >Dexi1A01G0028310.1:cds pep primary_assembly:Fonio_CM05836:1A:33990501:33991662:-1 gene:Dexi1A01G0028310 transcript:Dexi1A01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKCRGAAGEDVAGVEVTQVVGVRTRSRTAAVPAAAGGGVAKVAAAPRRKKPAALTPPNVAAEEPAAGGEGGGCYIKLRSRTLFMAPPQQQPPAPRAPGTAEAAGAAGQVAAIAPGLSRCSSTASSVDAGAQERSLACRSDAAEAGGDHILEVSATNSGSGPDRERRETTPSSKAHGEVSDLGSDLAGQKNDRSSPATTSAAQLIMPPADEIREFFAAAEKAEADRFAAKYNFDVVRGVPLAGGRFEWTQVVSI >Dexi9A01G0031920.1:cds pep primary_assembly:Fonio_CM05836:9A:36783907:36785293:1 gene:Dexi9A01G0031920 transcript:Dexi9A01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCIAPVTITSRYLVLGQTRVMIPAQSPREHSARRKQASSGSGRRRTPEDTASRTDGSVTRGVREPDSMSSASCSQWSWRKRMARRRPEAKTAPTRSTSWRWQRPHTIWAYMLWNASAQRMAAVGLLALAGGGAGAGEELRDGVGGEDGGAVAVGDALDVGLEVLVTTGTLARNAARSVAPARPQRDRYSVSAFLARISPRTRSCTASTFEVISSMNRSFSASLSLLPPAAAAGAGARSAVAGGMSVITAIDPKRAGSKRGWRIDATGNKGGGSWLSAGNGGDTMEQRNASLF >Dexi9A01G0037880.1:cds pep primary_assembly:Fonio_CM05836:9A:42128918:42130744:1 gene:Dexi9A01G0037880 transcript:Dexi9A01G0037880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVEGSTTAAWMERYQQMYERATRHPFTVSIRDGTIDLSAFKRWLSQDYLFVREFVAFVASVLLKCCKQEDNSDMETILGGVASLSDELSWFKNEAARWGVDLASISPLESNLEYHRFLQSFNEPEISYAVAITTFWAIETVYQDSFGFCIEEGNKTPPELLGTCQRWGSADFKLYCQCLQRIADRILANAPADTVKSAEEAFIRVLELEIGFWEMSSCRS >Dexi1A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:1A:26754617:26759110:1 gene:Dexi1A01G0019740 transcript:Dexi1A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPSAVGGGGGGGGEPSSSSSSGGHHHRRGELGAGEAAGMRYGGGDISLGHGHDDRHHHHHLGGGGEAERQQDGSMDMLARHSSSPAGFFSNLAVDNGYPSSKAGGSGGAEAHHPSTASGAGRKMKPSQLNFTRSQPGTSGGHLSQISEDGAFPPGLVGDRAGHSGESSGGAGAARSFSGGFSIVGPWEESRDIITTLGAYDPQFSGAMAGTALEMAGMDRYMQLQQDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSELQVLKHEQEKCTCCRKR >Dexi5B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:5B:8506926:8511977:-1 gene:Dexi5B01G0011970 transcript:Dexi5B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKQTLKLQRFKLSTFLNNFLCSHHITDELVSLNAQLKQITLQRRQSLNNYLDLKGDIFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTSTDLGVIPRGIQAIFDRASENNRRNSKLTQVLRDSLGKPTKFCQALQTTWVGALLGLGTMSLGLEQDFFQSLTL >Dexi4A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:4A:19651600:19658326:-1 gene:Dexi4A01G0016110 transcript:Dexi4A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSAPARAAAAAGTRPPTFASQTNALLRKNLIFQVRSPTGNRIMQNLFTDSPLSNLSDHTSIFSRLLGTDIPGSTTGFIDPAFDSERSIYVLDPQCKSSDSVTVTITIGSANAQKEIKCVQGLPLWRNSSRTINDETFQGYRKGKTAEGINEIAMVILTTTVTAAYDFQDSNEKNFNVLTLYNSTYQNVSFIPMPLGLLRISRSLNAVSNAYLQLVQGSGFKMLLDFTKEMPKQATRLTFDFSAVVGPLFFEWVVVLLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYMYFLIFSTVYMILFVIFGSVIGVNFFKINDYSIQFVFFFSFINLQIVMAFLASSFFSKVNTAQAIAYLYIFSSGLIAGNLIHNFIEGGKFPIGQPSSPLSIIKRLLKKDRASRRITVNEIADKDVHLEMEKLDIITERETVDQVLQEQNSGYAVVCDDLKKVYHGKDGNPDKFAVQGVSLALPYGECLGILGPNGAGKSSFISMAVDESLRSVNLLHGGAPDKQVKKYSGGMRRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWNAVKQAKQDRAIILTTHSMEEAETLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTPPEFEEEVENLVRKLSPSARKVYNLSGTQKYDLLKQEARIADVFMEVESFKKRVEVQAWGLADTTMEDVFVKVAKGAQLSQELS >DexiUA01G0000190.1:cds pep primary_assembly:Fonio_CM05836:UA:1520896:1521135:1 gene:DexiUA01G0000190 transcript:DexiUA01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARSEGSAWRRHGTLAQAPRAVLELYSREKIEDVPGRRWNPSTARTLLRLLRGTELAAEPSQEVSSRGETWGVVDVV >Dexi6A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:6A:10014104:10018581:1 gene:Dexi6A01G0009040 transcript:Dexi6A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLLLRRAMPLPPPPPPAPRSPGVAGGRLFSSLPPPPPLQSRRLDTDCKVDPRSFEFKKNKFGKPEILWQSDDSRMEWPLHFNISHTSSLIACGITMDTPIGIDIEEKTRKPAKNILSLARRYFTPSEVDYLAKIPDPDAQHKEFIKLWTLKVSFPLEAYVKALGRGFSGAPFNKFSIVLVAKNGIRISVIPGTAGYL >Dexi6A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:6A:4005968:4013171:-1 gene:Dexi6A01G0004420 transcript:Dexi6A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLPETNAAAAAESEVLLDAWDFKGRPAPRSSTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTGTMHLGNAESANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPSQCAAGGDACERASGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDETDATEKNQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRAWGYGACAASIAAGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRRLELPADPSMLYDIDVGKLAAVEEASTKKSKRKERLPHTEQFRFLDHAAINESPASEPSKWRLSTLTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGSSFQIPAGSLTVFFVGSILLTVPIYDRIVVPVARRLNGNPHGLTPLQRIGVGLALSVIAMAGAALTEIKRLRVARDENVPNGGVVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAVVHKVTGDRHPWIADDLNKGRLDNFYWLLAAICLANLFVYLVAARWYKYKAGRPGANGSVDADEPMLH >Dexi9A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:9A:16163154:16170250:1 gene:Dexi9A01G0021220 transcript:Dexi9A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLIERYIEDHGKCPVTKEDLTLDDIVPVKTNKVVKPRPLQAASIPGLLGIFQNEWDALMLSNYALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARTLLAQAERQIPASVAVAAPVAVVSNGKRAMEDEIGPDGKKIRPGINPVMIEELTECNTMLSAQRKKRQVPPTLAAIDALEKYTQISSHPLHKTNKQGILSMDIHPSKDIVATGGVDTNAVLFDRPSGQILCTLTGHSKKITTLKFVPRDELVVTGSADKTVRIWQESENGNYSCVHTLKDHTAEVGEASGQEGYTSASFHPDGLILGTGTTDALVKVWDVKTQANVATFEGHVGPVTAMSFSENGYFLATAARDGVKLWDLRKLRNFRTISPYDPDTPTNAVEFDLSGNYLAIGGSDIRIYQVANVKVEWNHIKTLPDLSGTGKVTSVKFGADAKYIAVGSMDRNLRIFGLPGDDQMEESNTAAE >Dexi4B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:4B:18998526:19000361:1 gene:Dexi4B01G0016850 transcript:Dexi4B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIANLVLTGPEFKEMDENMRLVTMDVIKAMPRPTISTAAPLAGAATASDGVDRISRLPAGILRDIVSRLPAKDAVRTTALAKRWRRVWHSTPLVLVDAHLLSDRSVAGRGPSIYRDIGMDAWAAGLFRILDAMGCLADRVSHVLTAHPGPFSSVYLAGNNMLYHPDKLALWIKLLAAKGVKELAFVNLASRLDDKLPIPADLFKCTALTKLYIGTWCFPDTLPRARTAAFPYLRELGLCSIHMKDEDLAFLLDRCPVLEKLMISSGRWPVCLRIHSRSLRCVQVCQALVREINVASASRLERLLLWEAWGWDDHHITNMSCKVKIGHAPKLRFLGFLVPGMHQLEIGNTAIKVNTKASPNTTVPSVQVLGLQVKLGTYIEARVLPSFLRCFPNIETLYVQSENDDIKFWGPQTTGTSKVINLKFWKDAGPIECIQKHIKKLVLREFRGRKSELDFLKFIAEHAQVLEDMVIVMTHGFSPSDNLGAKLRIFMASAKWANACCKMMAFKSPFPIQGNAWCHLRGFDFSVEDPFDVSKCHGDKCGH >Dexi2B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:2B:238983:239634:-1 gene:Dexi2B01G0000560 transcript:Dexi2B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAQHDEPTTTVVIGEPKVPRAVLWAVAVYLPSVYIAAGSLVAYSITDRRSPFFIAGESPWRAPALVMWGIYMAVVAVVMMHMLLFLPRAPMAACDAVVDVGWIWVGAPLCFLAVVAVCLDCQWMLVAVVCIIVLLIAALFAHWASLDRILRRAHAPLR >DexiUA01G0010780.1:cds pep primary_assembly:Fonio_CM05836:UA:21384348:21384683:-1 gene:DexiUA01G0010780 transcript:DexiUA01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDALLIVLLLQSACAGAQAVHADTGVLAASQGVPSYSVPSAASLAGCPKSDGDKTFDYPFGIGAGCFRSPGFELICNQTTKGLYLSDGDTAILNDIVIPSVIPPGIYM >Dexi2B01G0024330.1:cds pep primary_assembly:Fonio_CM05836:2B:33802225:33805336:-1 gene:Dexi2B01G0024330 transcript:Dexi2B01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDESAATAAAEVEAALGLSPQLFVDEVLNIIADISAQAFEEAASALGASTAAQKAEELQRGLNAIHHVVKGALDKRMTIWEKYCFQHCFSIPEGFIVSEDVRTLYLKDNPCSKESHKDGTSESDLDVELDSLRRKLEIAYKESENLQREISSLERQTTYKRKLDSAIAEIQKLFEDKSVQEKFEGMALYLFCPAFPEIVALLFCYLAKAIPVLQQKLVCMNKKRTQTGSLIDQQIWNANGLRDSKRQALGCTAHAEDIQEIINILQNK >Dexi3B01G0034490.1:cds pep primary_assembly:Fonio_CM05836:3B:37246799:37250564:1 gene:Dexi3B01G0034490 transcript:Dexi3B01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIRDEKIASKLAADDKKKIEDAIDAAISWLDANQLAEADEFEDKMKELESLCNPIIAKMYQGAGADMGGAAGMDEDAPAGSGGPGPKIEEVD >Dexi5A01G0037060.1:cds pep primary_assembly:Fonio_CM05836:5A:38394379:38395676:-1 gene:Dexi5A01G0037060 transcript:Dexi5A01G0037060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRTRPEQQRAAEASPLASARSWSPEAEIGMRVEDIWDSLDVQQQGQGQLSHGDKLNSCFDSIPVASFPQTFDGAQLVEIPSDATLAEAVDILSRNRIISAPVRNVDAPEDASWIDRYIGIVEFAGIAVWLLHQSEAAAKADVSADELAAKLGTVTLEGAAAAAANVREPKMAESEGAIAEVFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQGAVVHMLSECAGLHWFEEWGTKTLSEIGLPIMRLSKIVKVREDEPALKAFRLMRRKGVGGIPVVDDSGRAAA >Dexi8B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:8B:28029371:28030949:1 gene:Dexi8B01G0016900 transcript:Dexi8B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTVGAPASTPTSFLGKKLKKHQQVTAATVNYHGKSSSGANRFKVMAKELDESKQTDQDRWRGLAYDISDDQQDITRGKGIVDALFQAPTGGGTHEAVLSSYEYISQGLRDYSAWDNIKDGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVPEEDVVRLVDTFPGQSIDFFGALRARVYDDEVRKWVAETGVENIGKKLVNSKEGPPKFEQPKMTIEKLLEYGHMLVAEQENVKRVQLADKYLSEAALGEANEDAMKTGAFFK >Dexi3B01G0035810.1:cds pep primary_assembly:Fonio_CM05836:3B:38803526:38810039:-1 gene:Dexi3B01G0035810 transcript:Dexi3B01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWRLRCAAPRGEGIDLPACRELAAAKVKARGKENRRDEDVRVAARALSSAFVHLVEEPAWEILAALATFVPLGDEESRRRIASSTALLDDLVSILSSRSRGKGKAARTAALVLREIAAALSDANGIYGALVKVLRRKPVSRTACCLAVHAGQAAASCLVELGMVQLLVEVLLVDAESPITTWGPWRRPASCSASSRHRKAIVGLALPVRLPFAFSRSHAAYMPSSSPSSSQISSTSPFPPSAARRAPPSATRRALLSPRSFGALAQPPPVEDCRRERSLARRPHRLGGAGGEMKGATIPAVAIMPSPLFLWRFKVILFLLWGLCCCKISWDSVMRMSADLRDLFLYEAFLYYNPLLLVVLLYAILLMVLFSPFDMFYISSRFYFLRTVWRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVGFTVHVLFVICMLSSVVKH >Dexi9A01G0030900.1:cds pep primary_assembly:Fonio_CM05836:9A:35765974:35766246:-1 gene:Dexi9A01G0030900 transcript:Dexi9A01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTMLPSAPATFGSPAHRTVTVKLCFSLSSVNRSIGGCTTTDTSLGMSTTAVYTDLAFPTLVTVRVTVMGCASRFTTMDG >DexiUA01G0028210.1:cds pep primary_assembly:Fonio_CM05836:UA:60724394:60725820:-1 gene:DexiUA01G0028210 transcript:DexiUA01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFPVDAKTLDYLRLTGRSDDTVAMVESYLRANKMFVDHSQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVADFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDQSGLQKYLDQLGFHIVGYGCTTCIGNS >Dexi2B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:2B:26177345:26178320:1 gene:Dexi2B01G0016040 transcript:Dexi2B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCDKVGLKKGPWTAEEDQKLVSFLLNNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKTVIDLHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKPLLQAASPNHQEPTSPPEEEKIVTSEQALFCTDDDVAMAHLLDDIVFPGEEVGVQLAPSSGITTAYWPESSSTSSSSSSGSSSAAASTDGNVVGADGEWPDWPPMMDWPESTWQLEDVDMVTPRTPWEFEDPFVTYQRITLFDHQETWNNSKIDLL >Dexi5B01G0037730.1:cds pep primary_assembly:Fonio_CM05836:5B:37127967:37128955:1 gene:Dexi5B01G0037730 transcript:Dexi5B01G0037730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNKEQRQRHHFVLVHGVSHGAWCWYKVSTALSAAGHRVTALDMAACGARPGRAEEVSSFEEYSRPLLDAVAALPAGEKAVLVGHSFGGHSLALTMERYPNRVAVAVFVSAAMPAAGKPMALVFQQASQEHRPDDFFLDCKIGPSGDPQHPVETIQFGPRFLEQRMYQHSPPEDLTLAMAATRPSRRFRNDATMTNGDALTAERYGAVRRVCVVAEDDASWSAKFQRSMASWNPGTEVIGLQGADHMPMFSKPKELSELLMEIADKYSGQA >Dexi5A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:5A:6436197:6437333:-1 gene:Dexi5A01G0008600 transcript:Dexi5A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDAPGGEGTKRAPQQLPNPLAVTHERQESKPREAAGVIVSFGARPAVAAAAPPRPRKLTTVLVVDKARLCCSLCSLPLKRPIYQLSSSIPRFGAIHPLRVPAAGADRLLVVAEEEEGAAEGKGDEEEDDEKDDAEAGPAVFVLSVRARGEAAAVSVACVRANARAGPQYKCVVWAKAPAPRGGGAAGRAGRRLCMETDVPSCAQPGEAAVEDGMWLGVAPVMVLGASREIQLSVRIDKL >Dexi3B01G0025460.1:cds pep primary_assembly:Fonio_CM05836:3B:20203900:20209557:-1 gene:Dexi3B01G0025460 transcript:Dexi3B01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEDEIIIQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKDAWTQEEEIKLIHAHQTYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKVDSYRASGLLAQFQGLTPVEYTAGGLNADSSSAMTNQISEDSGFDVFREVDDSTELSQSSLAKGSCSQEELTDVALGSHLNVHESLCRDGFTNANNAASALPAMHHQLPTSDMDQDKHLQEEFSQGIDLDKDLQEEFSQGIDLDKNLQQEFSQGMDLHLDIDEVQNNFVITDSQASNELAGHFQDTQIMHGSENDRGSLIPYAVTPCVPILPSVSGCEHINMMCEVTEVDIKNENCFQSEQWQDSSIQPVTYSCEAAGNFSAPLYQLQTSEPATMMGDPLHYQSPVTSLPPSFVSSDSASNASDVKFETSHCPVSCQDLENTTCHNASGDPDQNSYMSSDDDRNKISEPMDCIPESEKRQLVDLDQSCLEPTAYSGKEASLSHVDNVLSEKGDAGALCYEPPCFSSFEVPFVCCELIASSDLPEYSPLGIRELMRSSLNFPTPVRLWGSPTRDDSPDAVLKNAAKSFVCTPSIMKKRLRDLSSPSPDITNEKKSVTEKECGVQGMSSTRTGKSCMDTPDDFVDLVSQTEGSAFQKKLKLSQQNKENLNQITDQGENEGNAKHSSGILTESSVDNHNTPKHGPNYESHRLNTSAKALSNSKDIMFLRSKPSEFLVEKSTPFTDADYEYVNILADTPGIKRGLESPSAWKSPLFTPFQDAYFMSPASRAFDALGLVKQINEQSAAVLEEAHEVLASGSPWKRHSKENSDNENIENTAWKNELVTSKPSSKLMAEGRVLDFNECSTPERKKEDKKMDTALGGSASSPSSYLRMNVR >Dexi7A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:7A:14002326:14006563:1 gene:Dexi7A01G0003880 transcript:Dexi7A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGATPRRKSVPDWLNNPIWSAPPPPSPRHRSPPRAAPPQPQPPPSPLPPPQPPRDPLHAPPPNAPRDGDGDGDGSDDDGAGAAGPSRAHLVAEFKLALERKVVDLAELRRLACQGVPDAAGLRPVVWKLLLGYLPTDHAVWPYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTVSKREEQNAEGTGVLPRAEIVQDEHPLSLGKTSVWNQYFQESEIVEQIDRDVKRTHPEMQFFNGDSSDSLFNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDESHAASAEADAFFCFVELLSGFRDNFCKQLDNSVVGIRSTITRLSQLLKKHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCLHLWDTLLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPLPY >Dexi2A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:2A:2851098:2856231:-1 gene:Dexi2A01G0003270 transcript:Dexi2A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQRNRPVNPGKRPHPPPDAEGPGTSTAAAPGPGPTSAVDAAAALLADAGCTLLVPPHQAPLLPAPHAFAARLGRALSADPSASARLLAGITAFADASPARLRQLLLPTASAPHAPSLARALLSVPAIQPGLLTLLLEKLPEHFHDGGALGGLPLQDDVGRLIVSQFRWLDFLVDADSFVEKLVEVLSVAPPRLKKEIIGSLPEIVGDQSHASVVVALQKLLQEDSEVVVAVLDVLSDLNLNEELQEQAVTIAISCIRTIAADQMPHLLRFLLLSATPANAGRIILQIREQLRIVGAIDPRAARSKKLKGKASANSTDGAILDTLRSGLRFKNMLCEIFLKELKSVDHPKDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGYIRETLFDQCIHGNAEVVKEHFMSFLSVSDYLLACKEEKAREFASYLFTALFEEFSDTYSRQELVGSLVAHIGSGVSYEVSSSLDIMINLTSNSSEELISISSHITGILDYLESFHEDNLRKVYDIFCNLALAAGFNTGSGASSVANELLMVVRKQVSNPDMKYKRMGIIGALRIVSTIAGADVNAAVNCSPSQQPNCEEALELLKMSVNSCKFLTLPLIFLYDELVSLLESKVLHSAIRDWVGEHVAEFDTPFLADLNNGELSEKHLYDGIEGELWMNLDGNLSPICVNIMPLASSSPQKSQPCLQILSSQFSLLTTIERLVNEGSLGGLNALLGCPLHLPSTKHLDVAKWGNLPGLQKKAVCHSLYYAINWIRELLNAFSTQVASRVDNFSQKARDETAVKLLKRLRNLM >Dexi7B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:7B:21483144:21483554:1 gene:Dexi7B01G0015430 transcript:Dexi7B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGGGVEAERWTWWAAASAAQAAAGVAWFRRGKGGSALAMPFKAFGIASLFVGAGATAVAAGVFASGVGSVEDMKSVGASIRRWMGAPPRRVGGD >Dexi6A01G0003410.1:cds pep primary_assembly:Fonio_CM05836:6A:3034329:3036184:1 gene:Dexi6A01G0003410 transcript:Dexi6A01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTASGTPAAEGVATRAPAGSSAGSGGGGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKFDEQKDQVAVEQILRVVFLDAERQSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLKERRERYLARQQIEGVDSV >Dexi6B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:6B:3757271:3758222:-1 gene:Dexi6B01G0004490 transcript:Dexi6B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSATKHLLLVVVVTCSLLSVSVMTTTHAIDQPAHQSVNRPTCIPHERDALLAFKQGITSDPAGLLDSWKLGDQGEQDSCRWRGVQCSSLTGHVSELRLSGYSDGGKLLVGQISPSLLTLEYLEHLDLSFSRLEGSTGHIPKFLGSFKNLRYLDLSSIPFLGILPPELGNLSKLQYLDLSAFEFGYTNSTDISWLTRLSSLQQLALGFVDLSAVASWAHVVNMIPSLRAIDLSSCSLVSPTTPLA >Dexi2A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:2A:13943390:13946287:-1 gene:Dexi2A01G0011990 transcript:Dexi2A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLGEKTIKMAITRGNNTVLIYLFPLLQTRYAEKWVKLIHTDLKPENILLVSSDYVKLNDPKGETLFQTHENLEHLAMMERVLGPLPRHMLERAEYGFLLLLLSLLSPNAA >Dexi7B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:7B:17474094:17475120:1 gene:Dexi7B01G0010090 transcript:Dexi7B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGPDRRFGPHHCAVYAANAFLGPDLGAFAEWLCSFLPSAAASAAGDLAMAAVHHPFYYPLLLGLPLAWAYAWLSRRLLRAGVLDSPGGMPLNKRQCFLLISAGSLSHFFLDHLFEENGHSRMYTWILSTGWWKGRAPINPDAVVVVGLLCSCLMGGFVYINR >Dexi4A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:4A:2099617:2099946:1 gene:Dexi4A01G0002960 transcript:Dexi4A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPVILPPLEAGSDRCSVKPASRRSCHFRSREAQGFRLSLTGHYQLGTGRPAQATETAPNIHATLMPPLGSQALATELGEYGLTEWPFKLVLRAVYWRGGTKAEVCD >Dexi7B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:7B:4695167:4699664:-1 gene:Dexi7B01G0002520 transcript:Dexi7B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPVVHGTAALTSPPGVLLVGGRDTRCLEARAQLYFLLHALLLEIKMLLTIFRWWRNIAFGKKLTFARDRLMECFHYANGIVWEPKHGPCCQMLTKVSSLIVHLDDVYDVYGTMDELVLLTEAIARWDASPSEALPDYMKAVYYAIYNTTNEVADHSLREHGCRMHHLLQKSWHDLCMAFMMEAKWYHGKYRPRLQDYLENGRISSSAPLLLLHAFPMLSSLVNMKTLDQIQTNPRLVHSASLILRLCNDSATHTDELKRGDAPSSIGIHMFENGANEEDSRTAMQDLILEAWKTVNEEAFDDCQLSVPFKKACINLARISYCIYQDGDGIGAPNRLKKKQIKELFLEPIDEMNHHSMHIIFKR >Dexi9A01G0036710.1:cds pep primary_assembly:Fonio_CM05836:9A:41082953:41086504:-1 gene:Dexi9A01G0036710 transcript:Dexi9A01G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCACARLPQPLFRPTPSPKPRLASLQGPGPARSRALVARAGAAARDDAHSPPSSFDFLALKRELELEEEEEGAAAAAAVEADKGGRVVGEGDGERSAGGTRRRRRKQMARRSALLAKQVISVSSARSLGFVSQLWVHTATWVVALVEVRPSLLSGEAEKFLFEDISQVGDVLLVEDESVIENEYNLVGLHSLVGYNVVTSRRRNVGKVRGFTFDINSGAMESLELDSFGFSIVPSSLVNTYCLFVDDVLDIVSDTIVVHEDAVSRVQRLTQGILGTQNIHGPGGEIDGYRRSGRRRANSQGGQKLRRKARDPEDEWELPMDS >Dexi1A01G0026570.1:cds pep primary_assembly:Fonio_CM05836:1A:32429152:32429878:-1 gene:Dexi1A01G0026570 transcript:Dexi1A01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREHQGSGSGEVAGRRGGAIMSLLGVERRLAAEGGAAAEGAVGVSSSGRQPMREREHQGSGSGEVAGRRGGAIMSLLGVERRLAAEGGAAAEGAVGVSSSGRQPSGGGEERKAVVRVVAADMAPALQRRAFRCARDELAAMPHFPRRLEPKRLALALKKDH >Dexi7B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:7B:8280740:8281082:-1 gene:Dexi7B01G0003390 transcript:Dexi7B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHLRSAAASSPGRLPAPPEDAGDEDDEESVRAVAVSDQRTIYLVNMFIANTVEFLNSFAATCDDKLALLHR >DexiUA01G0009520.1:cds pep primary_assembly:Fonio_CM05836:UA:18728261:18728728:-1 gene:DexiUA01G0009520 transcript:DexiUA01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAWASAGRSRESRQGQAGAYHSRDGVNHGNSKEVWWHNKAEQIKGPAGGVLWLSTEVPQGRVGSVAVRRSRTNQGDDGQCPRTEGGDAVGQSRERGGVAKQSRSRGRRTVSSGGAWWHDGAEQIRGFATGVLGAEQFRQQGRSSMEAWRSRAK >Dexi5A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:5A:13933097:13934228:1 gene:Dexi5A01G0015940 transcript:Dexi5A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGPAASTNVARVLVCLEEVGAEYELVPVDMPSGEHKSPAHVARNPFGQVPAFQNGDLILSESRAISKYILRKGGSDLLRESNLSESAMVDVWLEVEKGHFNSAMSPIIFQTIVVPKFMGGKTDAKIVEENLQKLRTALEIYEARLSKYKYLAGDFVSLADISHFPGAFYLLGGPHASVLDAYPHVKAWIAEVMDRPSVKKVAELMKKPSA >Dexi3B01G0021890.1:cds pep primary_assembly:Fonio_CM05836:3B:16768189:16777786:-1 gene:Dexi3B01G0021890 transcript:Dexi3B01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGRLKHGASYPLEKTTSSLLPGRLPRAIGTKGTFDLQPMERTSENPDATVSPESICLSVQPHSPRTGAFALTAGVLPLIPSPPPLPLSRASTRQPARPLPPTWPPPPPPTAIMASRAQPPPHLRPRLHAAPLFYTPRAPRCRGGRSSRLLSALPSPSPSPWPPSRSRRVSTAPPLELELEREPGPAPSSEQEPQPRGDPALAAEIARLSSARARLRAARTLGDKLRALDAEPRVAAFFGEDPSRGVLGGLEPREVLLLKCLVAAGQEHVLGDELDWYGGGHHEHHHRNGVSGGSALREALYSLAGLVGKWSSEEVVVGGEKGSEDMEVLRGLLKFLGDIEEFYDCIGGIIGYQIMALELLSASKDRKHRPSKDKFVDFHVPRGLNLLEDTEYASQAALWGIESLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGGQCITPVAIMTSSVKDNHDHIFAICEKFDWFGRGRDNFRLFEQPLVPVVNSDDGKWLISKSLFPVGKPGGHGAIWKLAYDKGIFQWLQQCGRKGATIRQVSNVVAATDLTMMALAGIGLRGNKKLGFASCERRPGATEGVNVLIEKQNLDGLWSYGITCIEYTEFEKYGIPEPTTTGRYSQVSYPANTNILYVDLQAVEQIGSRKNASCLPGMVLNLKKAVSYVNHLGLQRSAAGGRLECTMQNIADNFVNTYNYRCGKGIESELDTFIVYNERKRVTSSAKRKLKSEDRSLHQTPEGSLLDIMRNAHDLLSRCSVDVPMVKDNSEYLHSGPPFLIFLHPALGPFWDIIQQKFIGGSVSKGSELQIEVAEFLWKDVELDGSLIILADNIMGSTKKKNGEQILHYGARCGRCRLQSVKIVNEGINWNSPSNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHVFEVPDGQRMSIVQDRAGLQLAISYVAFCAL >DexiUA01G0024100.1:cds pep primary_assembly:Fonio_CM05836:UA:49423776:49425436:1 gene:DexiUA01G0024100 transcript:DexiUA01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTVVIKPFKLEDVREALSSMGIQGLTVTEVKGFGRQKGHAELYRGAEYSVNFLPKVKIDVAIADDQLDEVIDVISKAAYTGKIGDGKIFVAELQRVIRIRTGESDEAALTALVLFMSIPGIALFYGGLIRGKNVLSMLTQVAVTFALVCVLWVVYGYSLAFGTGNAFFGNFDWVMLKNIELTALMGSFYQYIHVAFQGSFACITVGLIVGALAERIRFSAVLIFVVVWLTLSYVPIAHMVWGGGLLASHGALDFAGGTVVHINAAVAGLVGAYLIGKRVGFGKEAFKPHNLPMVFTGTAILYFGWFGFNAGSASAANEIAALAFVNTVVATAGAILSWVFGEWAVRGKPSLLGACSGAIAGLVGITPACGYVGVGGALLVGLVAGLAGLWGVTALKRVLRVDDPCDVFGVHGVCGIVGCIMTGIFAAKSLGGVGYAEGVTMVHQVLVQLESIAITVVWSAVVAFIGYKLADMTVGLRVPEEQEREGLDVNSHGENAYNA >Dexi5B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:5B:4685460:4686649:1 gene:Dexi5B01G0007000 transcript:Dexi5B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCKCGSGCGGCKMYPDLAEQGTATTQTVVMGVAPSNKGHADGGFEGGAAAAGAENGGCKCGPNCTCNPCNCGK >Dexi1A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:1A:5106246:5111151:-1 gene:Dexi1A01G0006640 transcript:Dexi1A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASRLARAARAAAVATARRQVPGGGREVLPRALAPLAGDASAFNAAATRRPAWFAAPLGRFPVGAGGAGLMAPSRRMFHSTTPAQYSAAASSSQITPEQFTEMAWEGIVGAVDAARSSKQQIVESEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDDFISRQPKVVGDTSGPVIGSSFVSILDNAKKHKKEYGDEFVSVEHILQAFASDKRFGQQLFKDLKIVENDIKEAISAVRGSQRVTDQNPEGKYQALEKYGIDLTESARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRRLISLDMGALLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAVGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTESVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKEAVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDTSEINHIVEIQLNRVKNRLKQQKIHLQYTPEAVELLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKGDDTVLVDVSSAAIAKGLAPQKKLVLQRVENRNEELVAND >Dexi9A01G0026230.1:cds pep primary_assembly:Fonio_CM05836:9A:28485434:28486069:1 gene:Dexi9A01G0026230 transcript:Dexi9A01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPHGAATGGATCGAATGSCSARRGDGRHDPWHGGWASGAQLLAAIGPAGGLFDGESTSPACC >Dexi9A01G0034940.1:cds pep primary_assembly:Fonio_CM05836:9A:39644309:39645968:1 gene:Dexi9A01G0034940 transcript:Dexi9A01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLRGTARVLEVVNLSVKSSCSADMPSGNLHSNACSSDASTCGTTRSAIGMPGHCLRPYPNGKNPKSFPLKSTSAALPKKRSGINSSGLVSHYCPHVDEHRATLGNCVSAHLDTVVHGLVRRQQRPHRVKPHGFLHHGMKVRQRHHVSLLNGLLASKGSADLRAKLGHGTRVAEQLGHRPLHCDGGRFASREEDLEHDGFHGVAVDLARGHEVEEHLEEVVVVVLAAAIPGGGVLGQPPLDDAIHDAEDLPQVPGRRAPQPLQVERLQEGEEVRDVGLGHQVGDVPHVLPYPVRRLAGGGVHVDDVDGEEGARHEVDQAAVQGPAEFHLLPGARADVAQQLPHLALADAARRGEAARREGVRGQDAALQLPERVRARQVEQRPIGPAEGAHGVDDVAPGEAPVVLGEGLHGGLTGGDGHGRDGPQLEAHHGAVRAGEAGERLVEIASKVEGVPDDRERPGSRREPSPRAMAAPEAGKEVEERDGGECCPEQPDLPPKS >Dexi2B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:2B:13452944:13453900:1 gene:Dexi2B01G0011800 transcript:Dexi2B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLALENLIGASLAHPELSLRSPPIPIRPELTLLDDGDLGVEVVEVDVEGKALAFLGHSSRARCGIGSSEAEGEIKDEGAIEVAGLGEGALEASQVVRHGLAAVSSAEVGEVGTMGELGAGKRGRRRRGDGGVGGAAARLAGVGAGVGESESERARQAMSQEADGGSPVTGRPKRAKWTAGKASMALRIMRWKRRGSAQSSGELGSQLCSWGFWSARVAAACGEMEMGRRRSVTRRTSRRRSAVAAGTEGERKEPRPSSGRLGSIAATNAPRRRFPRERERR >DexiUA01G0009680.1:cds pep primary_assembly:Fonio_CM05836:UA:19090978:19092678:1 gene:DexiUA01G0009680 transcript:DexiUA01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSQPARVPVTARKMADQEAVAAVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCGEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Dexi9A01G0039260.1:cds pep primary_assembly:Fonio_CM05836:9A:43307074:43310893:1 gene:Dexi9A01G0039260 transcript:Dexi9A01G0039260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKKEEVCSHRLVPPLDEPALGVPIKKRPVLVSDKSIASSMPLSVWPPSSANASTARAGCGNESFFNSAKSDTNVITKGKGNTGNPIQDHTKRSFTTLSMTSGHGGLFNASSEIPSAGSATRSFPSIDESQRQNFLALDLQLPSHQNGKDSKYGSIVKEERVDQGLSTLSSAEPHNNVHVATEINASSNSNSSDGRLPNLDLNVPLDPADSVEGLPTMHGFYHHRTIQHQKDQVIPTAPISTISSGVGQNIGSTLKMSNSYGPSHKCGPADVTLDLQLKPPARPELGINWKGLAPAPELSLSLFGKPMDEPKSLSSPNSLFDSESAGSFKKGNEETAAALVLDEALVEKTVALGPCSANPQDTASATVSGMHQMPSNNLVKKEPEETSQRHILKDPEKAHLLERQTVGLVSSCTESEKTDNLPQVPSKTGFDLNSDIFLNNSLHDGLDVAPDNVTMPPESLPDIAHAKTMPAVPEVDTNVKHDESMGPATSPTVATVSSHSAPLMEAKSLPSQSTNASPTVGLCNSSSKPSVSAVCKPPASHVHEYEDTRQGPCDATVDALPGPPNPTAKPLPLNSQENATIDGTSQGSAEMDCSDDEDNTISRLPTINKPHGRNAPTTEEDNVKANNLCKELKKEHGSDMHQDCSSVTTNKVNMGAVGGDKLIKTRSSVVNRSGEQGHRNEVAKPKDKHSLDSGKNSQVNKTVSSIHVVKTATGSGSTDPQRPSALQKVTSPKFQPTGQSPKTLDSCLEKPKGPVKSEMSPHGKQAASCNENHAKIAAVKMEHQTENEEVSRHSDLQRRDSVLDEDSEVDGASGSQQHSECAKEKSASEKSENDKFKPDLCKTSLQNESDGQLVGSHWRGLGHAFVNRNERWERFMESEREKNNGECHGGRHGNQRTTDHRGGWRGAGPRGHPRNFRGLRMSNEFADEPIAGGRRSFEDEPGHSHQVLRRRRRSPPPDCLMREMDIDGFHGREIPNPRLLARGQIDDLPDDMMEDRFFMPRSHRRRGQGDHGFIQRERSHSPAQRRGGHVHFHRGRSPEAMPRSPPLMRTERPYLPHCRHSRGHDERGGMQRNARRSGMEGDTFEPQLHPAHLAELHAEEELAGRRKYRERRAYVRSSVSDEDEMLSYHTEDDMEFAEAGGGPREHDGRFRNRMGHNRVREEQEDGYRHRGPQGWRDADSTDSRPKRRRY >Dexi7B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:7B:7901400:7901937:-1 gene:Dexi7B01G0003230 transcript:Dexi7B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTFLLGSQMDKASIIKDAIEYIQQLQEEERQVLQEVRAMDPTARVDKKPKRARSTPSFVVDGGAPPPPPPPVEVLELRVSEVGDRVLVVSVTCSKRRDAMARVCRAIEGLRLHVITATITSVAGCLMHTVFVETIGMRWAC >DexiUA01G0024800.1:cds pep primary_assembly:Fonio_CM05836:UA:51535711:51538197:1 gene:DexiUA01G0024800 transcript:DexiUA01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAGAGAYEEMLRVVEACAVRIRWRLRPGSKRRLLNACCIMPVRHVNIMLGLQETRILNPLRVMVINDMLYLIHVKGLAEHASPKARSEHQLAFVDVEKSCCELLTNTEENDTVLELVSIQDRFAAKFPVDLDVDMPVIQPGITKQMSGLPERTIDAESTDNDVDNRTLLVVDLSAFLEAAQIALPSLNGWLLGYPVTYLFCNGSAEAAIQNLSKHSLHIYRVYVCGSCQPGTKQSEDELMR >Dexi6B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:6B:17034324:17034815:-1 gene:Dexi6B01G0010570 transcript:Dexi6B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVPGYEGCPSYTYDVVDFVGELFVLGAACGSAFHFVKGLRASPSGSGARRLAGAARAVGANAPRVAGKFGAYCAAFSAIECAVSVARGREDIWSSTAAAAATWGLHAMRRGRGGGGVPAAAASPPRRRPRRGGVRAPRRHGPLR >Dexi3B01G0031610.1:cds pep primary_assembly:Fonio_CM05836:3B:33608214:33609656:1 gene:Dexi3B01G0031610 transcript:Dexi3B01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDVSDSTECPELEDMLGIEPLEIHLSFELNKQISCSIEVSNDMDDYFAFRISTTSLHPYYINTNKGILPPRSKCGITITLEALPHNQWRDEFSVQSTRVDGSLTDADITGDLFSEQPGKYPQLDLELTLSCISEVLEASPHIIYLFIQISIFPNSGEVRVSRLPREVRLTGGPRGGLGRLADPVVVAACWASEDGGRARAVAGWSTATRSAGEATTPVVAGRAGGRPS >Dexi3B01G0030870.1:cds pep primary_assembly:Fonio_CM05836:3B:31103360:31104685:1 gene:Dexi3B01G0030870 transcript:Dexi3B01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLPNFCKRLILAPPFFFGCAGNIISFMTYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEALLITINAAGVVIESIYVVMYFVYADKKAKWFTAKIMLGLNVGFFGLILLVTLLFFKGDKRIVTLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMILYVVYMNKTPVAIADGKDAAGNNKVPTAAADDHVLVNIAKLSPALPEKSSEVHPVFEMGAPRRCATEAGMGPNGRDVVDVFVSRHSPAVGVA >Dexi1A01G0026700.1:cds pep primary_assembly:Fonio_CM05836:1A:32548613:32549768:-1 gene:Dexi1A01G0026700 transcript:Dexi1A01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSPSPEQPLLRASSPALNPRAGASSGSLASPSPSAARRPSRLAALIGRAAGRRGPSMLVRETAALQLQRRRADWAHSRPVVALDIAWNVAFAAAAATVLASSAHERPVKPLRLWLVGYAAQCLVHVALVCADTRPGPPRARGSASDIESGGAGTDSSDTDGEDDEGVVEERSRRGGARGWRSTAVLVNRCVSSL >Dexi3B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:3B:1607269:1610998:1 gene:Dexi3B01G0002310 transcript:Dexi3B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLPRLLLRCRGSSPTAHHLPVLRALSSGPSPVSSDADLRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFRYAPLPEDLHAVSNWSATHEVHARVLLQPDSLQALEDALATAHKERRKLRPLGSGLSPNGIGLSRAGMVSLALMDKVLHVDAKNKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGATLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVRCNPPSKWRPPKLTSKYGKDEALQHVRNLYRESLKKYRTETESNDPEIDTLSFTELRDKLLALDPLDTEHVIKVNKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTRTKPNMKDLDYIEKLLQLIENEDIPAPAPIEQRWTANSKSPMSPASSSGEDDVFSWVGIIMYLPTSDARQRKDIMEEFFSYRSLAQSIWDDYSAYEHWAKIEVPKDKDELAELQARLRRRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLEPVHQAK >Dexi5A01G0038410.1:cds pep primary_assembly:Fonio_CM05836:5A:39425060:39431847:-1 gene:Dexi5A01G0038410 transcript:Dexi5A01G0038410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGVAPVLAAALLIAAFAATRPGVQAIGVCYGVIGSGLSTTPSKSDVVQLYKSNGIANMRFYFADQDLLNALRGSGIGLALDVGNDKLGDLAGDPATAAAWVNDNVQAYYPDVNFRYIVVGNEVDGEASVLQAMQNVHDALVSAGLDGSIKVSTAVKMDTIVNSSPPSDGAFSDPSVMTPIVQFLAGNGAPLLVNVYPYFAYKFNDGIDLNFALFEPSSTTVADPNGLTYTNLFDAMVDAVHAALDKVGFGGVDVVVSESGWPSADGKGASVDNARTYNQNVINHAGQGTPRKPGPMEVFVFALFNEDQKDGDPTEKKFGLFNPDMTPSNGVCYGMVADDLPPPSEVVQLYKSLGVRNMRLYSPDSQVMDALRGSGIGLILGVVNEDIANLAGCQSCATNWVMTNVKPYYPAVNIMYLAVGNEISAGEAARSVLPAMNNLLIALAAAGLAGIKVSTAVRFDVVANSFPPSSGVFAQGYMVDIARFVANTGAPLLVNVYPYFAYSGNPNDISLNYATFQPGTIVKDSGNGLVYTNLFDAMVDAVVAALEKAAAGSVKVVVSESGWPSAGAAAASVQNAQTYVQNLINHAAQGTPKRPGALETFVFAMFNEDRKPGEATEQNFGLFYPNKSPVYPITFR >Dexi9A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:9A:1855732:1856020:-1 gene:Dexi9A01G0003480 transcript:Dexi9A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICNSGTGERTDRDSRTHHTEEEGAAAARRRSLTARGGGGRSLKAWSGEEVERSREPSSGAALPVRRWRLAMAQSSGEARIYSGGEVRA >Dexi8B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:8B:24506695:24507085:-1 gene:Dexi8B01G0014130 transcript:Dexi8B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITGALPSVITKLTDLATGEYNLQKGLKNDIKFLQEELMAMKGALEDISKVPPHQLPNGDDIWATNVRDLSYDIEDSIVEFMVQFDVRKLDNQHGLKDVIDRSLDCSRFATKSLLKSKRSRAVS >Dexi2A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:2A:33141419:33148395:1 gene:Dexi2A01G0020910 transcript:Dexi2A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASATPINRRALLPLVMLLISTSALQQQPASAKKKSYVVYLGGHSHGRGGAALASNRERARRSHHELLGSVLRSEARARDAIFYSYTRYINGFAAMLEEDEVAEVSRHPRVVSVFPNRGHRLHTTRSWEFLGMEEEGGRLKAGSIWAKARFGEGVVIGNLDTGVWPEAGSFRDDGMGPAPARWRGICQDQQASDNAQVRCNRKLIGARFFNKGYLATVGQEQVNPASTRDTDGHGTHTLSTAAGRLVPGANLFGYGNGTAKGGAPMARAASYKVCWRPVNGSECFDADIIAAFDAAIHDGVHVLSVSLGGSPAEYFRDGVAIGSFHAARHGVTVVCSAGNSGPAAGTVSNTAPWLMTVGASTMDREFPAYLVLDSNKRIKGQSLSPTRLPSNKYYELISSEEAKGANATANQAKLCIEGSLDKAKVKGKIVVCVRGKNARVEKGEAVRRAGGVGLVLANDEASGNERSIPSILSSRSSASGYITVPYTALGTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLAFDERRVLFNAESGTSMSCPHVAGIAGLLKALHPDWSPAAIKSAIMTTARVQDNMRKPMSNSSFLRATPFGYGAGHVQPNRAADPGLVYDANATDYLGFLCSLGYNSSTIATFMTGASDGHDACPAQAPKPEDLNYPSVAVPHLSPTGAARTVTRRVRNVGAGAAAYDARVHEPRGVAVEVAPRRLEFAAAGEEKQFTVTFRARRGYFLPGEYVFGRLMWTDGDGGHRVRSPLVVRVIDSNKKKKPLSID >Dexi9B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:9B:2116242:2119125:-1 gene:Dexi9B01G0003690 transcript:Dexi9B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSAGSFGCSPSPRFRILARNLKREVAGREIYFYQLKKKKKNEGNERTLHSRLFRGAFPWRRRLPGSPGRPAAAMSTCGYHSPRFSEVAHRLPLPRYCLAPTMASAASALDGWRTPESFYKRSVAFLSGNPSQERQSATINAAGYSGFVLHLSGDEETAASTPISSNVLPFSLHLSSESAAESSPAEHNDNTQVPNSGTPKDPSEGSFLDGQEQEVNIVSQNQFEAKDPQPDNQTGVCKVTGKAISMPIDANKHRRHDVSGGKVDVRKLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYCEETEHACGSSENDLDETDLLAELDEAEMVDVFQDVGLPLVHVSCSSQAYLAMKVSAARLLRANKMKAMKVPIARLLCAKRVKAMKVPLLWLLNANIVKERRKNLCIPQNLLGCKTWMERLIHLLCL >Dexi1B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:1B:4572140:4575303:1 gene:Dexi1B01G0005570 transcript:Dexi1B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAKAEAARPRPESSQEEEEDWKEAEGDVAEVDRAAPNGAGEGEVPTDRPIRVYADGIYDLFHFGHAKSLEQAKKLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVSEEFLDKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETQRTDGISTSDIIMRIIKDYNEYVMRNLARGYSREDLGVSYVKAFAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSKDFGSLLQYDSDDYEEDVEEEHFEDVKE >Dexi2B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:2B:5609749:5610386:-1 gene:Dexi2B01G0006000 transcript:Dexi2B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTSYTLLSRPAMLRKIASTPMQARKLAVALPLVGSKPLSLSMCHAYTYDDVPPFALVHPKFVSPKDKNCWNIEEEADHITLLFNVGEMKNDLQVAIKGNLLLIRSPAEKKEGGTTSPPPSSPPASKLDVRLLLPSGYAEDPEKEVKAELTLGSVLK >Dexi9A01G0042760.1:cds pep primary_assembly:Fonio_CM05836:9A:46289219:46290710:-1 gene:Dexi9A01G0042760 transcript:Dexi9A01G0042760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPAPTQITTLLRSQNIRHIRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVAKNVAAHFPAVNITAIAVGSEVLSAQPNAAPLLMPAMRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDNVLVPMLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSTEPDATSDNADTYNSNLIRHVMNSTGTPKHPGVTVPTYIYELYDEDTRPGSTSEKYWGLFDMNGVPAYALHLTGSGVLLANDTTNQTYCVAREGADPKMLQAALDWACGPGKVDCSVLMQGQPCYDPDTVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGKNGSALLNGTSLAPSSNSTEGSGAHRGIGDVSSLARVVSAALLLSVLML >Dexi4A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:4A:21832475:21833556:-1 gene:Dexi4A01G0017890 transcript:Dexi4A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPQRSPAGAGSSGGGGGAGGGGGGGGGTPALHYLSAPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDIMEAVVITDRTTGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPAT >Dexi9A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:9A:6491082:6493259:-1 gene:Dexi9A01G0010610 transcript:Dexi9A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPTSSSPFKIILGSSSPARREILADMGYEFTVMSADIDERAIRKDKPEELAEAIKLKIHGECAPDGNQTTLLITSDQVMVSKGTIRERPRSAEEAREFIKGYSDDRAFAVNFVLVTNLSTGTTKGGWDIPEIKFHHIPDEFIDRVVNQGDMTCVAGGLKLTHPSVLPFIKELVGTADSVRGLPRELTKELIRESLEAQAE >Dexi2B01G0018690.1:cds pep primary_assembly:Fonio_CM05836:2B:28919205:28919849:-1 gene:Dexi2B01G0018690 transcript:Dexi2B01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTAFHARTTKWSGCTGDFDAVCPLTATRYSIMDLELSVMEPWRPWTASKEVGGYVQRYTGGLVLISVRGAGHQVPYFQPERALVLVSSFLKGALPPYVMHQ >Dexi2A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:2A:31992340:31996166:1 gene:Dexi2A01G0019910 transcript:Dexi2A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAVNGGGDVQKPQQQQPVVVGAPPPPAAAVVPPHWVAMPFAPPPGAAAMVMPPPHQMAPAPPQFAPAHFVPFHAVAPPRVAPVAAVALGSPAPHQQAGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFSGDLASDVNDATLLETFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTHAMTEMNGTSGSNGSSARSDGDLTNTTVFVGGLDPNVSEEDLRQTFSQYGEISSVKIPVGKQCGFVQFAQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQFRGDNGNQWNNGMYYAASPFYNGYSYPAAPFPDPGMYAAPAYGAYPFYGSQQQVS >Dexi1B01G0025340.1:cds pep primary_assembly:Fonio_CM05836:1B:30413528:30413912:-1 gene:Dexi1B01G0025340 transcript:Dexi1B01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNLNFPALCQSRGECTWLDFSRGSMLAFPRGGGVFVLDLEKKKMEKVMDSTLPLSSNERDSGARDGACVPYEMDLVEFFVLQLDSGSRGAPVASVELRSERSRGGSVPL >Dexi9A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:9A:13633141:13634307:1 gene:Dexi9A01G0018550 transcript:Dexi9A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLRRVPARLLHARGKTTSAQHVAARHLDHAFERLAAAHLPLVAASPLVDALRASPAEPLALPDLARRLPLRLHRRGPLHFLRLFPRVFSLRPPLPLSLSLTPAAAGLLAVASSPADAARTLHRLLAMSASRALPLRAVFRVWRELALPDDFEDSVVAGHPHLFRLAPNPTEPNTHVLHLVADPAAEDFTPAVDKTRPDRYAFKLQYPPGFRLTKEYRKKVKEWQQLPYTGPYEVVCPRAGKSKRVSKLARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLRESYDRGKLVEPNEVSEARAKLVELMLLRRRGLGNANSSANMASGATADAKESGVDFVEHEDCLLDVSET >Dexi9B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:9B:2479947:2485029:-1 gene:Dexi9B01G0004340 transcript:Dexi9B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSRPEEVVALVKLRVAAGQIKRQIPPEEHWAFAYSMLQNVSRSFALVIQQLGPELRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQEFYRHIYNRNWHFSCGTNHYRVLMDNFHHVATAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFYAAGTEDLASDPLSNSMGLFLQKTNIIRDYLEDINEIPRSRMFWPREIWSKYADKLEDFKYEENSEKAVQCLNDLVTNALIHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCALCYNNVNVFRGVVKMRRGKLFLQHLINFYMTYLLLNYYGRYCLRIDVQNVWYTFQIDDRDPNAALTRTRVESIKKTCRSSGLLKRRGYHLEKSPYKPMLVNHDCASAGGCHIWAIVYQVKPF >Dexi1A01G0027730.1:cds pep primary_assembly:Fonio_CM05836:1A:33439849:33440184:1 gene:Dexi1A01G0027730 transcript:Dexi1A01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGHLLLVAIAFLVLSADPVAVYLNPGQPCDPQTCNGDCAREYHEGIGVCLNPKTCYCEYCLD >Dexi3B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:3B:7674710:7676186:-1 gene:Dexi3B01G0010900 transcript:Dexi3B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPKEHIGAPAMAAAAFTFAVRRREPVLVGPAAPTPRETKRLSDIDDQETLRGQVLFLFVYRGGAHDAGDRDPAAVIRRALGEALVPYYPLAGRLREVEDRKLVVDCTGEGVMFVEADADVRLPEIEKATGLKPPFPCMDQLLFDVDGSSGVLNCPLLLIQVTRLLCGGFVLAVRLNHTICDAIGLAQFMSAVAEHARGVPSPNTAPAWSRELLEARCPPNPPAFPHREFDAMPPPPPPPPPGDMVMRTFTFGPDDIAAIKRGLPPAAKTTTTTFEALTAALWRARTAALEVSPDEEVRVVSIANFRGVPKVGLPAGYYGNACVAVAAVTTAGALLAGSIGDAVELVRETKAAVTAEYVRSTVDLLVLRGRPRLAMANLFVVSDNRHAGFHRVDFGWGQPAYGGPAATLFGVSFYIHVGKKKNGAGEGEVGVMIVLPRPAMDRFASEVEKLLSG >Dexi7B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:7B:4206483:4207004:1 gene:Dexi7B01G0002430 transcript:Dexi7B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSELVLHNLVPAPQTLNPPHPHAPAAVSGDLSISTAAAQAPDQAPLRGRVRRAVGSSSADRHGKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPEEAPSTLVPVSSVGATASLMPVPYYTALLMQPPPTAASASVSGTAVEENNN >Dexi6A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:6A:19428276:19437313:1 gene:Dexi6A01G0012740 transcript:Dexi6A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAAGYGGSCRKPRCRHARAVAVSGSLVGALLASRHRVQSAAAAAAGPASNSARLVAAGRAEKVDDGGRAPCSGAGREEDGVMASCGLTPRSSFCPGGPGLRRDSSPSVPSRLSLCPRLRLPTQRRRSPPGPGDRHRAAGAARLGRLRSAELPASFPPAPFPAARRSAAAPRGLTQSPSPPEWPAPESPAASGHRRPHLVDTMKVGFQYLTNVSSSTRASDRQRCSNLYATSCATDPDIAPREAYSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAKGVELTPKSELLSPDEIIRIANLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVELGYNPVKVNCVIMRGMNDDEICNFVELTRQKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVV >Dexi4A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:4A:8300351:8301324:-1 gene:Dexi4A01G0010430 transcript:Dexi4A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPVPQSDRAALLKAFDEARTGVRGLVESGVSSVPALFVHPDPYASAPLAPPGVSIPVVDLSLPTPLAAAAEAARTWGFFHLVNHQQALGVPEEYPARALAAVRAFNELPATERAAHYGRAMPGGVSYSSNVDLFRAPAASWRDTIQIAFGPQRPDLSRIPAVCRDEAVEWDAHATAVGRVVLELLSEGLGLGPTRLEEASCLEGRAMVCHYYPVCPEPERTMGVVPHTDPGVLTVLAQDGVGGLQVKQTDDDGHSHWVDVKPVPGALVINVGDLLQH >Dexi7A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:7A:21147684:21149311:1 gene:Dexi7A01G0010360 transcript:Dexi7A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKKLFRRSSSKSSTTSSSSSSDGGDVGAGGGRGEIKWEVRPGGMLVQKRDGRADAEVITVRVATGFSWHDVSIGATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDTDHLHMIGVRDRDKVLLLEDPALKDMKLRAVLAAQPVQSPYRPFIKV >Dexi7A01G0017690.1:cds pep primary_assembly:Fonio_CM05836:7A:27267293:27286267:1 gene:Dexi7A01G0017690 transcript:Dexi7A01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLPRLLSQAAAAGRASAAARSTASHVRGGSHGFASGGSDGSPAVPREWLRKLWGDELRRQKEAARSWGGGAFGRGYESVEAPSRSYRYDDRDLDPVEASGFWKLWLQPLFAKLAPLLGRDNLVIARDIEWANIMLAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLLRTRRPFVAEITDAMGNEIFKIRRPFWLINSSIYAEVDGKEIGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFSLVDEDDKLLAQIDRNWRGIGFELFTDAGQYAVRFGDEGYNRKRLRSSPEAGNGPCVTDYQQIARIIHLLQANWKNNPIPSPLRCDCECGLLVRARAGEEPAASEMREHRDREMAEDKSDLGDIVLSWSVQDIMDDDLYKGQVEKIPFSFNSLDHYLKSFVGPLIEETRHCLWILGNANTLYESGTVWKDLVADAQRRKCIFNATDDTSICKLILHVKHELDELDDLLTSDSPVFSNTRWKVILSDNFRKSFTKLKSPHLRKEVLQKLVKLGGGWRTKLKNFDVNDASQLAKAYRIRDQYLVWNDYLDHCRRVRIEGKLEVPIIWDAEHDIVRYKKDCIVDDQEDHDHVDTSCALENTKVSESFLLMKFYSLSSGLAKHLLTATDGTEIEIPFELTDEEEMIIRFPLTSFILGRSGTGKTTVLTMKLYQVEQQSLIASQGIDSDEIDLSVVDHKNSLTMDTSKRGCFVKQVLITVSPKLCSAIKNHICRLKRFGSVDVSDQPNTLHMHDVIDDLEEFTDVPDNFSDLEHRHYPLTITYRKFLMMLDGTLQTSFFDMFYGEYRPCIERGHSKTRALQAFIESKEVTFEKFAASYWPHFNAELTKKLDASTVYTEIISHIKGGYRANMPCNGKLERLEYVMLSDRRFSSLNNEMREKIYDIFIDYESMKCIAREFDLSDFVNGLHNNIVSEGYNGDLMDFIYIDEVQDLTMSQIALLKHVCRNFKEGFVFAGDTAQTIARGIDFRFEDVRSLFYTSFLSEIETCNQGTKHGKQVRLTDMFQLTQNFRTHCGVLRMAQSIMSLLYYFFPSCVDKLNPETGLVYGEAPVLLESDNDENAIMTIFGESRNEHCDQLGFGAEQVILVRDDDTKKQIVNLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKSKDFIASSEVISHPGFERNKHYLLCSELKQLYVAITRTRQRLWICENADDYCRPMFDYWKKLCIVEVRLLDSSLIQAMQTGSSAEDWRQRGIKKDAWIVILFLRNALRWLEQRSLPENFDAQLLHEVRHICDHFEEQYIREKSAHLSIDDLYLIWSDGENKLQEIISFMRWEKTSVEEPEKRNEAAPAVLFHTGKDDESNRYSDNEPDIGGRNVMELPKEEATSTCCSTSKKAQKQKIKKAKKSKRGGRK >Dexi9B01G0030110.1:cds pep primary_assembly:Fonio_CM05836:9B:32610432:32611544:-1 gene:Dexi9B01G0030110 transcript:Dexi9B01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASAKRKPAASLKDELLVEILRRLPVRSVCRFKCVSRSWRNLISNPAHRKKLPQTLAGFFYRSWNWERFPRSARHFTNITGKGRPFIVPSFSFLPVPSDNVTLLDSCNGLLLCRCFGAGDASPPFHYVVCNPATKKWVMLPDGSGEDRTACLGFDPAVSSHFHVVEYVLDEEECVTGVEIYSSKTAAWSFKESEWDSDVSLYDDERSVFLNGFMHMLTSDEDNGNHVVAMVDMEGKTWRTIPVPTKYFVGSIHQYQGRLCFLNIDNADASKLSIWILKDHAAHEWTRRHSVRIQFLFPEKDIEINMNWTLITFHPECNLIYFVYGWDDTLIAYEMDRKEVRVIRKLGNECSGPYLPYVPLFTEALADG >Dexi9A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:9A:370461:371021:1 gene:Dexi9A01G0000650 transcript:Dexi9A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPILFCLLLFLVASPSHPRVTAAAGPAPLSSSPFDAALAKLQAQIGYAFRAPYLLRRAMTHASYSIENGRPLAVLGLAASQSAAALAALAADNDVAAEAVSRRAREAASEATCAAAGTHAGIPAIVRVAHKTDASSDTVVCGALRALIGAVAVDANSTDAAVEVFWKLHVLTAAAAAIAAM >Dexi7B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:7B:15954810:15957020:-1 gene:Dexi7B01G0008140 transcript:Dexi7B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQPSPLLCSCLFAFPFPSNGAALRLRTSFPSPVAIAIPAAPHQKRKEVNRYYIAEVMAPTPLMGSSSSSGPPVAMGDRLSSLPDALLHTIMSFLPARQAVQTCVLSRRWEDLWCSMPCISIDQQEFDMAASGNMNDLENARESSRFEEFVNSLLMFHKAPSLEMFRFHVTRPYKFEVLALHEDSGIIPTFSNLRTLLFNGCDLSDDFQMLGYFMNNTPSLEKLTLQYCKLPEDSKKRKRMENPKRIPIKCHDTLTFQCPNLKLIEIKYKEDDVHQLFGLLSGIWRNLLKTTIILTKA >Dexi5A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:5A:12353445:12357051:1 gene:Dexi5A01G0015030 transcript:Dexi5A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAASPCAGSIALRQQRRCAVLPLPPAVVVAAALLALELLALAVPTAASAGFRCSPGSRPVLFNFGDSNSDTGGMAAARGWHLTRPEGRAYFPRPTGRFCDGRLTVDFLCESTPIPPPCESLNISYLSPFLKALGSNYSNGANFASAGAATMPRDVPFALHIQVQEFLYFRDRSLELIDQGLLNSDHSLSLGSIRINGTKMRNICLSGPIDAQGFQNALYMIDIGQNDVNALLSNLPYDQVVAKFPPILAEIKDAVQTYNRAAVAFNAALGSLCDQLSAQMKDATIVYTDLFPIKYDLVANHTKYGFDKPLMTCCGYGGPPYNYDFNKGCQTKDVTACDDGSKFVSWDGVHLTEAANAVVAKAILSSQYSKPSLKFDQFCRG >Dexi5B01G0027780.1:cds pep primary_assembly:Fonio_CM05836:5B:29340906:29342463:-1 gene:Dexi5B01G0027780 transcript:Dexi5B01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMEKKNQNQDSQLIMGRYRLGRLLGRGNFAKVYKAHKVSTGEAVAIKVFDKDAVRRSGMAEKLKTEVDVMRRVHHPNVIRLHEVMATRSRVYFVMEYAAGGELFARLAQSARLPEPVARRYFRQLVTAVEFCHSRGVSHRDLKPENLLLDARGDLKVSDFGLSALQDPGARLRGDGLLHTACGTPAYVAPEVLLKCGYDGAKADIWSCGVILFVLLAGYLPFNDTNLVLLYRKITQSNYMCPPWFSIETRKLLARLLDPNPRTRMTMSKLKANPWFQKGLCPLTDKPLVTRDQTTSVLVGKEACRCRHDHDEEEEDAARKTKRSKVTVSSPTIAVMRPSTMNAFDIIARSSGLDLSKMFDEEHRTDEARFASRESTAAIVSRLEEIAEARNLSVTVKEKGRVEMERGPDGARGALAIEAEIFEVAPSVHVVEMRKTGGDSAEFREFYRQELRPSLGDIAWSWHGSDSPPAAPSRTTT >Dexi7A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:7A:18373737:18374288:-1 gene:Dexi7A01G0007020 transcript:Dexi7A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLSLRRLGDPDDVLLHLEVILDDDPRRRGRLHRHHPSLLAAFFSTAAGLITAGLVGLDDLAGLADDPLHDAHVLRDLHLHVLHLVHLRLLLHVGAPAPAPPDEDEQQGCQKAKAYDADDRDDDDDHRDD >Dexi4A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:4A:3529524:3532595:1 gene:Dexi4A01G0004990 transcript:Dexi4A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVAPSNGVHRTASSGVVWLPNPNGVQLGCASFQVPPTPNGHHHRRQAPPHHNFRVVESKFSPCSSSSPAVRTLLHLLLLRAKTLERGRERRAPPPMHPKLSRAARRLLCCGGGASREDLYLHHHATPQITHGSIKATNVLLDSDFQAHVGDFGLIRLIPDGTDNEKITSENQRGYLAPEYIMFGKPTAGCDIYSFGIVLLELASGKKPIEKSGSVKTCGIRNWVLPLAKEGRYDEIADSKLNDKFSEPELKRMVLVGLACTHSEPEKRPTMVEVVPLLKGESKEMLLKLERDELFRPDSTVSSQGTSTPEGSTDSVPRKDEELGGA >Dexi5A01G0036970.1:cds pep primary_assembly:Fonio_CM05836:5A:38350264:38351252:1 gene:Dexi5A01G0036970 transcript:Dexi5A01G0036970.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQDSFPGLAELLPRVPTADRTVILTSVNKAWAQPGSLPDLFRQSFLNGEGIERLLNHTLIVAVDAAGLDRCRAVHPHCYLLEVKSANVSAANQFLSKGYLELVWTKLSLRQRVLELGYNYLFTDVDVMWLRDPFRHINLYADVTMSCDGFSGSPESRENSPNTGFYYVKSTGKMVEMLRYWRAARPWFPGKHDQTVFEASSASSRRGWASGSRSSTRRSSAPSARSSAASTTGSARCMPTAESGSRTRCTSSGASSRHGRTTRALRKRRRKAAGQGGRTRGGVGRRALPNRRRRSVTIRLVTD >Dexi7B01G0022970.1:cds pep primary_assembly:Fonio_CM05836:7B:27730775:27733083:-1 gene:Dexi7B01G0022970 transcript:Dexi7B01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASPSASASAPAGERWPPLESSPEVFNQVRPLLCGFTYICDHQFHDLALSTHSAAARAQFMWSLGVPEDEAEFHDVYGLDEDALDMVPQPVLAVILCFPDPPQEFCLLLKCSLVPLQDAGYPSVPFVSCGEKETKDRVYFIKQIESLGNACGTIALIHAIGNTSSELNLVENSFLDLFFKSTASMDPYKRALFLEKNDAMAGAHSLAANAGVTELCDVVEEHYICFVAVNGTLHELDGMKDGPIRHGSSSSKSLLQT >Dexi3B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:3B:12517031:12518948:-1 gene:Dexi3B01G0017040 transcript:Dexi3B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNGLELSLGLSLGGSSGKAKARDAPLEPKAEPQVEESSSKGGSQTPDAPIGKYYQANPENQEHNSKQRHSPVAPQFGSFWGQPGSSAAPVVDGSVEPVGHQPHLPRYQDGRMPNNSGNNSEEQKPVSSNRNLHSEQKHQTAVEQPDAFSKSSDGGAKNAPISISTDDGSTGENEDVAESEAEGSNSWLVTQREDSAKGSVVNKGSDRKRSADAAAVGFQGKRQPSFSGSESSSGKVPPGNPLSMQASNVVAVPYQVQAQVSGPPAITNAPNFHTVTPVQLRPPTNGGLAVQNMSSASQVAFGYPSVQLPTLETSSSWAFGASPQGISSFIVKDKAEQTGIKQPDDGKRPQEAGASSSARVEDEKKGERALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGIHMSPEEFMRHASADAPAQENSETLPAFPVGNQAASAEN >Dexi6B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:6B:16107551:16111928:-1 gene:Dexi6B01G0009980 transcript:Dexi6B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPLFSPSPKPPPQPPWLHGPSTQTHSPSPNPAAPPPRDEAAPPKPRHHSPKRAPARNKTAAKPLTAGVPGGRTRRAVLGIIRRVRSLELSDPPRPGSARGSNDVDDDGAVPFRLPIEPREWGEEAVEKGKPRAVPWAAARDEGLKVALRREKKVREPTRAEAELPADELDRLRRLARGMGKWARAKKAGVTDEVVEDLRREWARGEELAAVRIVEPLRRNMDRAREILEHLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIRREAQVHDGQVKEEEARLKAVDSLQMISGLSSEESSVGTFREYEDFQAEHAHGNTENSNTIIELEAEKHRLEKELKDQEWKLSILNKKIERSNQALAKLHSSWSPSDQSADIELLTEEEKAMFRRIGRKMDGLVLLGRRGIFDGVIEEIHQHWKHKEVVKNSSFHIVEAMRW >Dexi3A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:3A:8590459:8591086:1 gene:Dexi3A01G0011880 transcript:Dexi3A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACASPSGPSPAAWDATSLAGVLKAAATRRSAPHVGPLHAVLIKLGLSASAILATSLAHLALRCGLPRYARDLFDEMPRPDVVSWTSLLTGHAHQGLHHESLALLRRMVGSGVEPNGYSLSGGLLACAGVGQDALVLGKEIHARVLKMSLHGPVDPVVENGVLDMYSRQRRR >Dexi5B01G0028330.1:cds pep primary_assembly:Fonio_CM05836:5B:29730117:29730935:-1 gene:Dexi5B01G0028330 transcript:Dexi5B01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASTPSVLEEKCSKNEHDAVPAGLSGKGLHYPRTGELDSSDDVLFVNGKSDTSDIPEDDNEPSEISVSAAEALNGDCAEDKHEPDEDSDDEDEDEEEEKTSSAKKLWRFLIT >Dexi2B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:2B:20403095:20405229:1 gene:Dexi2B01G0013070 transcript:Dexi2B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAPRLSRSSTRYGPVSSSAASFSGPVRKWRKAWVPLAGAAGLGGGASRADNKVVLFRWTPVNGGSGARGGADGGMEPAASGRRRYVPAAGEAQNTSKRGTSSELNLNLELEDPDDDTDADMSTDEPRDVEDSNPRPESRLKRKAF >Dexi9A01G0030140.1:cds pep primary_assembly:Fonio_CM05836:9A:35066105:35066389:1 gene:Dexi9A01G0030140 transcript:Dexi9A01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAAAAGRLDGREAKAHHCGRPASSRMRCEDGKDVGMLRQAVSRGRWKAMSRGRRPGGVAPAMGRAMAACGGRRWRREAWGSREAR >Dexi6B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:6B:20752129:20754872:-1 gene:Dexi6B01G0013020 transcript:Dexi6B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCGCDLDLRGRLQVTYGSVIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIIRPTPDSSSKQGDAIETGSIIKLQHMKTRKWLHSHLHASPLSGNLEVSCFGGDELSDTGDYWRLEIEGGGKVWRRDRKVRLRHIDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLAAEGVYLPANLSK >Dexi3A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:3A:7244840:7249995:-1 gene:Dexi3A01G0010210 transcript:Dexi3A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPKNIFTIKVEDGKPGKDGQLAVGPVFRSVLAKDGFPQLEPDMQTSWDVFRVAAGKYPNNRMLGWRPLKDGVPGPYLWKTYKEVYDEVLQAVSALQQLGVQPGSRVGIYGTNCPQWIVSMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVVFIQDKKIKEILSPNCKSAKRLKALVAFTSATSEQIKEADNIGIKLYSWNDFLKVMTTDDVFLSFLPLAHILDRMIEEYFFHKGASIGYYHGDLNALRDDIQELKPTLLVGVPRVYERIYEGILKAIAELRPLRRVIFNALYNRKLASMKAGYSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFMRVTTCAYFVQGYGLTETLGPSTVCYIDDMALVGSAGVPATYTEIRLEEVPEMGYDPLGVPSRGEICIRGKSVFAGYYKNPELTNEVIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKLYGFPPIVEDIWVYGDSFKSNLVAVVNPHEENTMKWAESNGYKGSFGEICRLESLKEYTLKELTAVAQKNKLRGFEYIKGVVLDPIPFDIERDLVTATMKKRRNNMLKYYQSEIDTVYKKLGAQKNAAKAK >Dexi5B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:5B:6908491:6908823:-1 gene:Dexi5B01G0009880 transcript:Dexi5B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTLNDMTLLPGVDQIVAGLAAGSSSSGQEGKAKRKPLKSLYLKFFDTAPDGKSRICKLCRKSYCMTTATAA >Dexi8A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:8A:24308018:24312565:1 gene:Dexi8A01G0014000 transcript:Dexi8A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYNNFMVVPTSIFQVLVEANGSTRTLILNRPKQLNSLSSTMIKELLRCLLLILLLVIAIVTCFQGKGRAFCAGGDVAAGVQSIYNGMQGYTGRQRSKSKG >Dexi9A01G0017180.1:cds pep primary_assembly:Fonio_CM05836:9A:12190371:12196013:1 gene:Dexi9A01G0017180 transcript:Dexi9A01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSASAAAAEVADTRGGEAPSDPDEETGNVIFVTTHSNFTHPGEDTYQHGQPVYFPEELVDNWVSFSRRGLYYCYNISLRGCLNTISPPTDIILAVKCDMGPEFLRNSFNLGGVQVTIQYLCIIPLNLEQVIFARRFQMTILSLLTGNDYSGVTDAIKYFHELQVSVGVAYLLLPSVSGKIDWCGIKFSTSSVYDEADSDIRHCHSCKDADLLQTMDGPCCRCRLQNSVVYIPRDRKFYCVTGFLDLNANDQLHLRDRCVGSSKRYGLPLTSERNPLLDASGLFTVQNFLNKCYEKGKEPSSRNAVKLPPELCRVVMAPVSTNTLCSFSFVPSIMYRIQCLLLSAKLKIQLGPRMKQFNITALKILEALTTKECQEEFSQESLETLGDSFLNLCHVQRLEFLGDAVLDHILTDYFYKQYYPGCTPALLTDLRKASAHRMVGNLALVYLRLTAGIEENLIESIAGAIYLDSKYKKEVVWRAMRRLLEPLVTPKTVEVYPVSELKEICERRKYLKPLYSPNRDDVVGVTKVVASVKAAGTVYHGTGEGRNQKVAKILAAKALLKKLKASSLA >Dexi1B01G0030120.1:cds pep primary_assembly:Fonio_CM05836:1B:34197990:34204163:-1 gene:Dexi1B01G0030120 transcript:Dexi1B01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRCGDLAVAAAALITVALAVVGAAAGDGGAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLFSAVNCWLKCMLLEPYNQTDHPECKSRPDSGLSAITELDPGYITGAPLLGSTEAVRAALSGTTFGLPVSEVCYTGAYPSIMDITENITSSMECGKPTLLSFSAREVSDGTLFKTIEDYDPQSKALVYQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGALLSRQVSYQAMLFLESPTIPVVMEQYALSNRTLSSCQLKPPEHDGSDLQTIMNVDHHHGQDILPNMTRAPHVKYITYYEDAESLPGWRTAVWELDKANHRNIVRTPVLMRELWLEMWHDLHPESKSKFVTK >Dexi5B01G0009740.1:cds pep primary_assembly:Fonio_CM05836:5B:6813461:6814455:1 gene:Dexi5B01G0009740 transcript:Dexi5B01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGGTPDASPTKKAKPALSNRQSTDHQEERIWMDPNALSVDCGICFMPFEAEVFMHASTCCPIAGCAYTGELQHLHSHLLNGHADVVVPVAGVKATAKVTLRKTDPFRVLVMAMAKGSTSTPSVFLLVNGGGVLAGRSLSLVCLGPCRPEGDEGETRYKMEVYGGEPGVIWMKGTAPCVRRLEGFEAKKFLFVPDADWGSSGSVSVSIRIG >Dexi5B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:5B:2990828:2992297:1 gene:Dexi5B01G0004430 transcript:Dexi5B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVEDVRSSPPAAAAEPHFLIVTYPAQGHINPARHLARRLLRATGARVTISTAVSAFRKMFPEAAVAGAGDDAGEGHRDASGVWYVPYSDGYDGGFDRAVHDHTHYLTQVKLVGSRTLSGVLTRLPAAGKPVTLVVYTLLLSWVAGVARSHGVPAALYWIQPASVLAAYLHFFRGTGGLDKAVAAASGDPMAVVEVPGLPSPVRIRDLPSFIVSTSGDDPYAFVSDMFRELVDMLGREDSPSVLANTFDAMEPDAVASLQEHGVDLVPVGPVLSFLDDAPAKTVAGNNDLFKNDGSGYLEWLDTQEPASVVYISFGSLSMMSKRQIEEVAMAMSESGRPFLWVLREDNRRSEGGGADIAGGERGMVVEWCDQVRVLSHPSVACFVTHCGWNSTLESVACGVPVVGVPQWTDQGTNAWLVERLGTGVRVTVGDKEDGGVVDAGELRRCIDFATSEMVRAKATLWREKARAAAAEGGSSERNLRAFVAK >Dexi9B01G0024440.1:cds pep primary_assembly:Fonio_CM05836:9B:20551126:20556583:1 gene:Dexi9B01G0024440 transcript:Dexi9B01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLGARLLDLRRCKLSPKPPPPPPLPLPARRRTHATSTASAAPSPRRAVPELHSATELADGSIVFRFGQPKPEVLEPEADLASRGPGAAAETSPYSRASPASGAVRSSGRGPDPDSEHEVNGVGLDAQAAVEQPRLDAAAEVSPAPPPPDSGAQTGSASAAGEKAPEQVAGSNVGVEEAEARRASVEAIAVAESEYEPESDGAAIGVGAPTSGAAVETTGTGLEPREGSEDASSADASDGREEATATASLEDPEAASEGSTAQDFDTDVETESSGSSGDEHGAEFGVPLPTVEQVSKEVDWKKGISEVKDSERMVEIAQSTLVLSSGAAMLPHPSKVATGGEDACFIAGNGWFGVADGVGQWSFQGINAGLYARELMDGCKKFITENQEASALRPEQILSKAADEARSPGSSTVLVAHFDGQVLQASNIGDSGFLEIAEHLAAKAQEVGRSGAGRSPFSDAALSVGYLGYSGGKLDDIAVVVSIVRTSEI >Dexi5A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:5A:3943111:3946931:-1 gene:Dexi5A01G0005240 transcript:Dexi5A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGYDRATLSRHSFLIDFQPLVDKVVAKFNGWNGRNLNHAGRLTLVKRVEENELNPMPDAPPLLRIRSCAPPQGSIRRSMQAWWNKGWEENAVPFEARGIRETWNPSLAPLT >Dexi2A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:2A:2757945:2759156:-1 gene:Dexi2A01G0003210 transcript:Dexi2A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRVSSHHAAVHKLGHPQMALTPKFRLAPAAATEEDDDAQPLLIPGLPDDAALSILLRLPITAHAACRLVCRRWRRLLSDRPGFFSHRRALGLRTPWLFALAFHRCTGKIQWKVLDLVHLAWHGIPAMPCRDRACPRGFGCVAIPDAGALLVCGGLVSDMDCPLHLVLKYDIYSNRWTVMTRMLAARSFFAGGLIDGKVYVAGGYSTNQFELNSAEVLDCDKGVWQPIAGMGMNMASSDSAVIGGRLYVTEGCAWPFFSSPRGQIYDPKIDRWEAMPVRLREGWTGQSVVIDEHLFVISEYERMKVKIYDPETDSWDSVSGNPMPERIMKPFSVSCLDSRIVVVGRGLHVAIGHVEKQTSSTGGGNSGSRSSSSYSICWQDVDVPKEFSDLTPSSSQILYA >Dexi1A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:1A:244920:247561:-1 gene:Dexi1A01G0000400 transcript:Dexi1A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSRAAATWARLLSLRSHNLAGSNALPRNHHLAPRITPPRRHLAFSASAGGARPQIQSERAVHELLAEVERERERERKDRRAGDGDKEGDPVEDQEEEEEDYLGVKPLIEKLERRKAKEAAADEDGYWEPTDSDSDEDDERYTPDAIKKRVDEFERKCKRHGELLRSFAEAETLDEAHKWMTKIDRFEERHLKLPLEYRVIGDMMNLLKNGTGKERFVLLQKLNRAVRIMECKEAYDPSNPANFGLIQHQQVGSPEDLTLNAGFDKEKQMIQGQEPEDDVEEEFNEAKERDDLLIEKLNAIEKKIENKLEELDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKTKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >Dexi4B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:4B:20549152:20550408:-1 gene:Dexi4B01G0018150 transcript:Dexi4B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLKHFPILLLLLLSCYLAAAARIRSPSDAGAIADLARSLSRPPSTWTAAGGGDVCSFKGITCSDVGRVTAIDLAGEGLAGTLPSSLSNLTNLVSLQLHGNALTGAIPPLRLSSLTDLSLEGNSFTSLPVDFPRGTPALRSLTMDNLPLPPWPFPAAIFECPYLRTFSASNTSLTGAFPAAGIVSNLKSIATLRLAHNNLTGVIPEVLGQIVSLGEISLSYNHFQGPVPVFHISVAVDDMVAGNGFCLDKPGQPCDSQVSALLEVAGGFGYPVNLSRSWTGNDPCNGWVGVECDISEVAILGLTSYNLSGIISPAIADLTGLTTLNLANNHLTGEIPDGLAGLPKLTLVDVRNNRLTGKLPKFKESVDVLVDGNDPSLRSQHGQGSLTGGSSSSKSSSAGTILGIVLGVLVFCKDN >Dexi3A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:3A:6695534:6699267:-1 gene:Dexi3A01G0009590 transcript:Dexi3A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVDEVAPETKPAAAMATSDSGSSESSVLNAEAASGPAAPAEEGSSSTPPPPAVLEFSILRTEREAAAGAEDDDDDDATPSPPRHHQQHPQLITRELFPSAGGPPRPPPQHWAELGFFRPPQQQQQPDIRILPHPHATPPAMSPPPVQPPAAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGVDADINFNLSDYEDDMKQMSSYDFVVYSSVVYRYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGELMTEVGTEVADVDLNLSISQPASQSPKRDKNSLGLQLHHGSFEGSELKRTKSASRDINRRPSEVGTGGVPSWAWKVTAPPPPTQLPLPLFSSSSSSAAASSGFSHTVTTAAPATPSASLRFDPASSSSHHHR >Dexi5A01G0035650.1:cds pep primary_assembly:Fonio_CM05836:5A:37399906:37401289:1 gene:Dexi5A01G0035650 transcript:Dexi5A01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEDRKARGLFHHDISSCDTKVLPGEHNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFCETDNDSAHYFDGAPDTISASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDQESFLIAMYVAREARNSFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTDKLTTLVNGVSIAQLVHYPVSGFVFQGGANLEDLSDLVSKVCIFLQESNRPFNVLISESGKRVFLLPQSYAEKQLLRKVSQEFLDMRINPAIWELSGHLVLKRRKDYDDTSEANICRFLVEAGLSETEFQELKRCVLDFLTVSAACNSDIDA >Dexi9A01G0029980.1:cds pep primary_assembly:Fonio_CM05836:9A:34895388:34900110:1 gene:Dexi9A01G0029980 transcript:Dexi9A01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAGLGHLLVFAFLFCFSTFMVGPVITDVTMGALCPGRDECSLAIYLTGLQQAVTGLGALVLTPVVGNLSDRYGRKALLAIPATASIVPLGILAYGRTKAYVYAYYITKTLTAMVCEGTMMCLSLAYVADTVPESRRTAAFGLFTGVCSAGFVASTIAARFLPLPTTCQETDGGASSCSSSDEEASQPLCLPSSSAEEPSAPPRLPPLRKAPSLTEIAGLLTSSSTFSRAAVVTFFHGLGDTGLLNSLLYFLKAKFQYSKNEYANLLLIIGITGSFSQLTVMPFLVPKLGEQKLLIIALIASCGHAFLYSIAWSFWVPYLAASCVILSMLVTPCIRSIISKKVGPFEQLVALATSISMRPADVEPDRK >Dexi9A01G0030950.1:cds pep primary_assembly:Fonio_CM05836:9A:35802571:35802813:-1 gene:Dexi9A01G0030950 transcript:Dexi9A01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPATAMAPRRRAHLPTMAQLLGGGGAAPRRWWMAQLSSSAVAQLPGGGGGLERRRLGAGVGAAAGGLERWESGEGMG >Dexi5B01G0033190.1:cds pep primary_assembly:Fonio_CM05836:5B:33663255:33667150:-1 gene:Dexi5B01G0033190 transcript:Dexi5B01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARAPAPAAARGGLALYELYRAASRAAAPAVLLWRRLQGLEHPTRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPVLLTTTTLSSFEVIKDLLPDGVIYQFAPLDCPDAIDSFIGYWKPNLVLLMESELWPNLIMSAAAKGIAVALLNARMSLKSFNNWSLPLGLPLVALMLSKLSLVVPLGLRRQNVNFVLRSTKEVVSSSTRVYIVDTLGELRMLYRVTPVAVIGGSFRPGLAGHNISEAAAAGCAVMTGPHVGHFYHMLVEMWQINPLAVKQVSGEIELLQTLKELLGDTKTLRARQRAAKDAFSIMSDGVVNRVWDLVYRFTIDFQMDTWNSNLHHPSTDDRKTTPRLHRFADDL >Dexi6A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:6A:27635018:27638146:-1 gene:Dexi6A01G0020090 transcript:Dexi6A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIESGPKALRRSWEGNAEAKVKGNSDSKPAKAEKKSENRSSSTPRRKSVAEEKHLPKDDSKVQTPPRKSSASAPADDSDKVVNKHSSPIRRTSGVLSNPNITNLVKELLKYRDAAQMAAVEAMQEASAAESLLCCLSSYAEVSSSAEEQNPQPAVEQFLALHGALSRATVVTESLTKATATASATTSPDRSAPGDASIADEETLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPPPVNAVSPLAVVLIDESAKPAASTKASPPAKSRLSPAKGKVRPGSAAAAAAAAAAAAAAVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAEMPTPQQNPPSEADGEAAAPAVNGGAASGVPEETIERLRKKIYEYLLTNVDSAAAVLGGGEAAPAPVANGKKG >Dexi5B01G0024600.1:cds pep primary_assembly:Fonio_CM05836:5B:26621683:26628318:1 gene:Dexi5B01G0024600 transcript:Dexi5B01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRRRFPAKTWSDIDRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSVLEGLISSCRKSSVVDDHKDRVSFSDGSGTDDIESLGDVYVWGEVWIDVAPSDGQTSSSCSKVDVLIPKPLESDVVLDVNQIACGSRHVALTTRQGEVFTWGEEFGGCLGHGTAADISRPKLVESISVTIIDFISCGEFHTCAISASGDLFNWGDGSYHAGLLGYDTGASHCLPKRVSGLLEGLQVLYVACGSWHSALITSSGKLYTFGDGTFGVLGHGSRQSVAYPKEVEALNGFKTVKVACGVWHSAAIVEGTVQTGINVVSKKLYTWGDGDKNRLGHGDKEARLIPTSVQALLEHNFHQLACGQNLTVALATSGHVYTMGSADNGQLGNPKSDDTNSNNANKRNAATRRSTDSREKPERPETRPSRLVAAPSTEPVKYMEVKAAKSDTKAAESIIKASQASALLQFKDLGFAAQFGALQPMGMAPALGISPAIPAFSLAPPSPYTKKTKSPPAAAIPQSSRVDFDNLQKSNELLNQELLKLQSQVDDLKQKCEAQHEQLQKSDKKAKSVASVAAEESTKHNTAVEFVRLLDSELKGIVDKLPSDAVDSIKALQAQMQSLLREQSSHPSELMNTMERDHLHLSSAGSGSESPSHRFMENSAKTQGDFAPKHGTHGEVQLIEQFEPGVYVTLIQLKDGTKVFKRVRFSKRRFVENQAEEWWRENQERVIKFHHVFKHNFSRRLAALDWC >Dexi4A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:4A:11384593:11387251:1 gene:Dexi4A01G0012640 transcript:Dexi4A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLLPFSGARPPSDLNADILSEPVPSALLPLPSLSSSPPAAAPPIPIAMDSEPDVELQGLDIDVELDPEDLQSSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAKAARMLLEAGAVCAERTFDGDRCHYAALNLRLRWLLKSFEARPPPLAPLPAALRATFLACPANRAAFLEMLQGSAGAEAAALAAAAGFVPKDDPTSACLFPPDITFYVDGKPVEAHRVILCARSPFFEKKFKADWKDKKEVRFSNQKLYYGALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILDKEVMHQQYAEYKSARLDLDNSQKRFILQAPSLPEQDRLPSALQRILETCLANSREECYYSEESSEMCKNSKEDLADLYIRVEDKIFPCHKVILASRSEYFRTRLSRTVDFLEGSCEFQAAQKLPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDVASRYLLFPLKRVVADMLLPHLEHVSPAELCHWLMLSDIYGVMKIREYILDIIACNFEMFANTQEFRALLLTLPPPSGDDSLRTTRPSAPGSGGYSDQGNILDDLREKWLEAEGEELDERDESAALFDKRLETLMLVAEEEADEDA >Dexi9B01G0040430.1:cds pep primary_assembly:Fonio_CM05836:9B:40971170:40972896:-1 gene:Dexi9B01G0040430 transcript:Dexi9B01G0040430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >Dexi7B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:7B:3669325:3676929:1 gene:Dexi7B01G0002130 transcript:Dexi7B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLEINGMRHPWVLHPHRRWQLQSFPRSRVTFLVSSLLRTGHFSAYGKKNSNFFNRRVNAVVSSSAALLLGSRQPSSPANLKIHTPPPPPPPRLGASECDSPPLAAMEAASSALPWWLATAACSPPPAPGTSSIAGRLAFLFLSPCPQRALLAAVDILFLLAAGTLALRARLSRRAARHDGGSGGLAREPLLAKSEDQALPPSVRRGRSATHGLALAAEAVQAAAAVVLLVLALLGLRGRRASAWLAAECAFLAAHAVAHLAAAGVVAAEKKHETAEHARVTQAHHPVHLRIFWLGTAAFAALFSGCAAARYAAGDPILPDDPLAFAWLALSLALFYFSVTGSTGLAAVDAAANGVPSDGDQAAAAAEVTYATASWVSLATFAWINPLISKGSMATLTFDQVPPVAPADTAEASYALFVSNWPAPGASKAEHPVVTALLGSFCPQFLLTAVLGLAHLSVMYIGPSLVGRFVEFVHRGGEVTEGLQLVTILLVGKAAETLVSHHYEFQGQKLGMRINAALLAAVYRKSLWLSTGARRAHGAGTIVNYMEVDAQEVADVAHQLHDLWLMPLQIAVALALLYAHLGPAVLTAVAAIAVVTVVVAFANKLNIEYQFKFLGKRMGGDVQKQDQEELGWLAKSMYFMCANTIVLWSGPLAMTVLVLGTCVLTGVELSAGKVFTATAFFQMLDGPMQSFPESIAAVTQATVSVGRLDRYLLDAELDDSAVQHVEDTGTGTDVVVEVHDGVFAWDMRGNKQNEEVDDGDEEGESEEEKDMEATPVLETVLKGINIEVRRGELAVVVGTVGSGKSSLLSCIMGEMEKISGMVSVCGSTAYVAQTAWMQNGTMQENILFGKPMHAERYKEIIRSCCLQKDLEIMEFGDQTEIGERGINLSGGQKQRIQLARAIYQNCDIYLLDDVFSAVDAHTGSNIFKDCLRGTLKGKTIILVTNQVDFLHNVDNIFVVRDGMIAQSGKYVELLQAGSDFAALVAAHDSSMEMVEQSRQVEKVEHSQLAAVGRIPSLRSRSIGKGEKVFVAPEIDAATSRIIQEEERESGQASSDQTTIDVALAFFIGLTISMYISVLSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVRYRPNTPLILKGININISGGEKIGVVGRTGSGKSTLVQALFRLVEPAEGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSEAKIWQALERCQLKDVVVSKPEKLDAPGMLFLCFSLYLLA >Dexi7B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:7B:23988810:23991575:1 gene:Dexi7B01G0018130 transcript:Dexi7B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHHRPSGNGEEDEVELVEQVRLTVPTTDDPTMPVWTFRMWTIGVVACALLSFFNQFFGYRSEPIVISQITVQVAALPVGHFMARVLPNRKMIFLGREWSLNPGPFNVKEHVLICIFANAGAAFGNGSAYAIGIVTIVKAFYKRNISFFTGLLIIITSQVLGYGWAGLMRKYVIEPAQMWWPQSLVQVSLLRALHEKEDRRMTRGKFFLIALICSFAWYIVPGYIFPTVSAVSWVCWAFPKSVTMHQIGSGLNGLGVGAFTLDWSVVVAFLSSPLVSPFFAIVNVYFGFVLVTYLIVPACYWAFNLYDASTFPIYSTDLFTGAGQMYNITAIVNDRFEIDMDAYAKQGKIQLSLVFAICYGLGFATIAATLSHVALFHGKEIYQRFRKSYKGKHDVHTRMMRKYDDIPSWWFYLLLAVTMAVSLVLCTVFKEEVQLPWWGLLFACAVAFIFTLPISIITATTNTTPGLNVITEYIFGLIMPGKPIANVCFKVYGYISMNQSVSFLTDFKLGHYMKIPPRSMFLVQLIGTIIAGTVNTIVAWWLLTTVPHICEKDLLPEGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWCFLGGLICPALVWLLARALPRHAWWISLVNLPVLLGATANMPPAAPLNYTAWCFLGTVFNFFVFRYRKGWWKRYNYVLSAAMDAGVAIMGVVIYFALSGNTLDWWGSRGEHCDLATCPTARGVEVDGCPVF >Dexi1B01G0029140.1:cds pep primary_assembly:Fonio_CM05836:1B:33511721:33515772:-1 gene:Dexi1B01G0029140 transcript:Dexi1B01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPHGGPGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHSRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLSSLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSLRSVGADYMSKLVKIAGIAIAASRVKAKATHVTLLCKNCRSVKTVACRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKMQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDNNSNGPSNFTLDEEMEFKEFAQRPDAYAKLCSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQASIFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIANHIIKVHASGATASSKNTEASEGENWLKRYIEYCRATCKPRLSEKAAEMLQNKYVEIRQKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTTVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLIDELTRMGMNESIIRRALVIMHQRDEVEYKRERHVIVRKA >Dexi2B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:2B:16650287:16651870:-1 gene:Dexi2B01G0012320 transcript:Dexi2B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLFRSSVLLSLPIALLLLLVPCSAQVGDSCSSARDCGTGLYCGSCAATGRTKPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNDDVWLCHSLQGQCYNFTAFVPAVETLKEVEAFLSANPSEIVTIFIEDYVRSPLGLSKVFTAADLMKYWYPISEMPTNGKDWPSATDMVAKNHRLLVFTSDSSKEASEGIAYQWSYLLENECKYLCSNKIYNPMAFCISVTIYYCIFLVPS >Dexi5A01G0000780.1:cds pep primary_assembly:Fonio_CM05836:5A:540871:542408:1 gene:Dexi5A01G0000780 transcript:Dexi5A01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGGVVGSKQAMLAALMVVAMAAAAVTPAAGFISGKTWRAVRRANRVGGPFVGLVVPNAYEMDPVLKNPSFKPRGDIPILDVQGRRFRFGTIGGQSVVMVMTGLSMLNAGLTTQLLLSLFNVKGIVHWGIAGNANEDLQIGDVTIPEYWAHLSLWNWQRYGDGPANELPLEAAGDYTRKFGFLNFSDYTVGQGNKELAANTLNSIWYQPEEIFPISGTPEQRQHAFWVPVSKRYYDLAGKLEGMKLPACVNGTKCLPRPPKVTRVPRGCSANVYTDNASYRQFIRNHFGCTPVEMESAAVALVAHQLGVPFVTIRSLSDLAGGGSSLSNEAATFLAIAAQNAVDVMLKFVPLLGAADEHDDR >Dexi3A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:3A:2958418:2961859:1 gene:Dexi3A01G0004530 transcript:Dexi3A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPMSQPWVEKYRPRQVKDVAHQEEVIRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTTRKAGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKSAARLFGSSISSSDLISVSGVIPEDVVKSLLAACRSGEFDVANKEVSNIIADGYPVSQLMGQFLDVIVSADDIPDEQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQTLVF >Dexi4A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:4A:3090319:3096557:1 gene:Dexi4A01G0004320 transcript:Dexi4A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLHFTLLVLAHEWPLLSLIPSSGLEIWRIENFKPVPIPASSYGKFFMGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDEAGTAAILTVELDAALGGRAVQYREIQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNNLDHETRLYVCHGKHVVHVKEASYLRLFSMVQTHPSKSEQKLLKLCKDGRMMADAEAGEFWGFFGGFAPLPRRAPAEGNEKHEETAFKLLCFDQGKLEPVNFESLAHELLETNKCYLLDCGAELYVWMGRTTALQERKGASEAAEKLLSDSNRTKTHIIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQSYIDCTGNLQEDRVTAVSLASKMVESAKFQAVQGGLSSGYKKFIAENGIDDDSYSEEGLALFRVQGSGPENMQAIQVEPVASSLNSSYCYILHDGNAVFTWAGNLTTALDQELMERQLDVIKVWSYLPFRDIKSVEQVDVKVRLQALDVGEKFVVLDFLMENLARKTPIFTVTEGNEPPFFTRFFTWDSTKSLMHGNSYQRKLAIVKGGGAPALDKPKRRTPVYSGRSTTQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFENSSNRNLSTPPPVVKKLYPKSLTPDSSNNKSSAIAALAGSLDRPTQNLTPESVKDGSGSEKPKQTEDTKDGVATMTNTVESLTITEDAKENEPEDDEGLPIYPYERLKTTAADPVTEIDVTRREVIV >Dexi9A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:9A:15430842:15439660:-1 gene:Dexi9A01G0020410 transcript:Dexi9A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTEVAVVVEDAAPAGSPPAAAEGPAAAANGGEEGMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKREDPVRSAVIDSCIRVTDNGRESLHRSEFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHVVACSHRRWQAFRLSRRNSRMHSIDWTLFSSAHNDPMASDVIAPSPWTIFGCKNGLRLFTEANDGSSRGKYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEGMRKRDLLLRRYWRREDDGTYALREFFRAKNGNCACMEFSSGELTRDMGLPQADEFFDVPDESEYDQREIVFPSDESTHAVIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQKYAAQGGTKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTYEELPEFLLGTCRLNYLDASKAVSIDEC >Dexi1B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:1B:20378179:20378743:-1 gene:Dexi1B01G0014170 transcript:Dexi1B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHGITHASIILLLYHLGGPTLETQMKRNQDIHLADLKMGRYTAVALLPVTYNSPFTKAG >Dexi3A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:3A:6726470:6727689:-1 gene:Dexi3A01G0009600 transcript:Dexi3A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMPISEMHLPPHLAHLLAARRLDTAKDVLSLPEVELMSVLDSGLPTARAAVAHVSEAACPPCQTALALLEERVRLGGGGRLATTLCGLDEALGGGIPLGKLTEVVGPSGIGKTQFCLKLSLLAALPEYYGGLDGRVVYIDTESKFSSRR >Dexi9B01G0039880.1:cds pep primary_assembly:Fonio_CM05836:9B:40561119:40561576:-1 gene:Dexi9B01G0039880 transcript:Dexi9B01G0039880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRGSATRCLAVGGLLVVCLLLGAADAATHRVDWSLSFSADSWSRGKNFRAGDVLEFNYDASVHNVVAVDAADYYSCGSSGRAYSSGNDRITLGSGANYFICSLNGHCGMGMKMAVNAS >Dexi7B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:7B:25730548:25734026:-1 gene:Dexi7B01G0020410 transcript:Dexi7B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGRIAGSYICSELCGSLLNQRRYSSSRVDWKQLRPMILKRIKSRSKEYPIKRMIPIAQEVVRAREIVTEGLGLFVTEPVSMRIMQDSRTPTWNREPVAAKFCSAESQPDTPIARPRSRPRRGLRALPTMQSTKANAKISSTRIPQAQKKKPAAFAVSTPSSSEVEISAVAPPEVESAAGDKAAVVVQPKAPLLALEGWGLASYSSSDEEGEAKPKPAAGAKKVKPSAGNKTAAKSRTPSKEKYLLSASKNKKSAAQQDQKTKPEDDLFTRGATAGFGDELLTSSAFDPFADGDDASSTASGAGAVVHLRWHQRNGRKSLTTVQGISAAYNYAKILRDLKRELCCNGIVVEDEELGSVIQLQGDHRKAVAAFIVKAGMATKANVKIHGA >Dexi4A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:4A:27847:29109:1 gene:Dexi4A01G0000010 transcript:Dexi4A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPESLPVGFRFRPTDEELVRHYLKPKIAGRTHPDLLLIPDVDLSACEPWELPAKALIRSEDAEWFFFAPLDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGTLIGIKKTLVFHRGRAPRGHRTSWIMHEYRTAEPQLQQGQNGSFVLYRLFDKHEEEEEPEASDTADSPSASSPADPRPMKLAVKPENLGQPASVETANLLATLSADEPAAAQEGDPLLDELAQLPLDELTQLADIQLEQTCDGFPTITSPLRPYTDHPFLGNVGGQDLSSYIDSIMVQQDLEDLFNNAVEPARTDMADSNALNGLEG >Dexi6B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:6B:768610:768853:1 gene:Dexi6B01G0000890 transcript:Dexi6B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNFLLFITTVALCYFVPGSQAATNGTDHLALLSFKDLITDDPSGSVTANGMASHVVLGGSGVVVLQH >Dexi7A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:7A:26385905:26389652:-1 gene:Dexi7A01G0016510 transcript:Dexi7A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGVARGRLAEERKTWRKSHPHGFVAKPETLTDGSVNLMVWKCVVPGKEGTDWEGGYFPLTLEFPEDYPSNPPICKFPAGFFHMNVYPTGAVCLSILGSAWKPSISMTQILVGIQDLLDNPNPASPAHHECYQLYKKNKRGYKDHVRQEAKKYPSLV >Dexi8B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:8B:8434582:8434798:-1 gene:Dexi8B01G0007180 transcript:Dexi8B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGLLSAFVDNLVSRLFSLAEEKYKLYKGFESDLAFLMRELPMITSAIDGQLLGQEDHLKNCAK >Dexi8B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:8B:8950301:8953023:-1 gene:Dexi8B01G0007430 transcript:Dexi8B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIADADDHKLQRAADAIKAAPVSNTKGGSSCDGTCGDSSRNEQEGSYCLIKSVKHLSDRGFTRLPDRYILPASERPGDGSGRVKLPVVNHGVAREVIAGLLDVARRFFELPVAARARYMSPDVRAPVRYGTSFNQARDPVFFWRDFLKLASCQPLSAVVTSWPDEPADLREVAARYAMANHQVFMELIEAALEALGIACGRSLLRELAAGYSQIMLNCYPACPQPDLTLGLPPHSDYCLFTLLLQDQVEGLQVMHHGRWLTVDPIPGSFIVNVGDHLEIYSNGRYKSKLHRVRVNSTRPRISAASFHSLPAERVIGPAAELVNEGNPRRYKDTDYATFLSFLASTEGKHKSFLQSRKL >Dexi5A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:5A:4119053:4121760:1 gene:Dexi5A01G0005540 transcript:Dexi5A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMCSITRFAFVLALAIALSNEVVESRNFKILAQDGLPYLAKGPGLTVASGRLCQLCEQYSAEALLYLKQNETQTEILSILHHECASLAPLKQQCITLVDYYVPLFFLEVSMVNPEKFCESVHLCKNGMKISLPTLFPSSFQCKRLVLKYIPLILVKGQKFLETNDVCSAMHACKTGTQASIESMSLSATL >Dexi1B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:1B:24872949:24879052:-1 gene:Dexi1B01G0018710 transcript:Dexi1B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAISRRRKYLLDHVNTTILSASSSTFQHGRIGLETEPRIAQRFLRQSSEDSKSEKGQYNVNLTKRKLEGLASGFLQRPAHGHVISLSYHGIGKNDFGLPLAARSMLQSLRMSSTATAGQPKLDIDNEQSEDQKQNTKKKEPSPEECDQAVEGLSTAKAKAKAKQAPETLKASQSVMQKFWARLLGIGPALRAVASMSRADWAAKVKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQASRSGDIKQTAEDLDEFLNKVRRGERVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGLLGLLSTEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEERKKEKEEKAKQEKEEKAKPKEQDGVSEDLALKEMTEATAKEEEELQKAKQHDKEKLCNISRALAVLASASSVSKERQEFLGLVNKEIELYNTMLDKEGTEGEEEAKRAYIAAREESDHHAEAAAGEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVKLSSQTENNEEEEEAQQ >Dexi7A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:7A:27386395:27389390:-1 gene:Dexi7A01G0017820 transcript:Dexi7A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFASLSPAADHHRPSSLLLPFCRAAPLSAVGEADAQHHHNQQQQQHAMSGRWAARPAPFTAAQYEELEHQALIYKYLAAGVQVPPDLLLPIRRGFVYHQPALGYGPYFGKKVDPEPGRCRRTDGKKWRCAKEAAPDSKYCERHMHRGRSRSRKPVEPQLVTPAAHAQHQPSTGLPAGFQNHHSMYPAVLTGNGGRAGGGGGGGVATGTFGLGSTAQLHMDSAAAYATAAGGGGSKDLRYSAYGVGSLSDDPSQLMPVTMESSMDNAWRLLPSQTSTFQATSYPLFGTLSGLDESTIASLPKTQREPLSFFGSDYVTAKQESQTLRPFFDEWPKARDSWPELGDDNSSLASFSATQLSISIPMATSDFSNTSSRSPSGIPSR >Dexi5B01G0029830.1:cds pep primary_assembly:Fonio_CM05836:5B:30944315:30945131:-1 gene:Dexi5B01G0029830 transcript:Dexi5B01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSTFDPFAEANAGDSSAGAGSKEYVHVRIQQRNGRKSLTTVQGLNKDYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKESIKIHGF >Dexi5A01G0022260.1:cds pep primary_assembly:Fonio_CM05836:5A:26172902:26175498:-1 gene:Dexi5A01G0022260 transcript:Dexi5A01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPTSCSPVGEDMFHWQATIMGPSDSPYSGGVFLVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >Dexi3B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:3B:13685153:13685547:-1 gene:Dexi3B01G0018480 transcript:Dexi3B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRLAQLQAKACEATRFVAKHGCAYQRSLVEKNSNYVVEPPTIEKCRSSPSSSSTPASPVWPY >Dexi4A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:4A:11600308:11604609:-1 gene:Dexi4A01G0012780 transcript:Dexi4A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAFLLMLLPFSFLVSATKPEKISRSQFPKNFLFGTASSAYQFVNREDSKWKQRRHSNRFLPSISGVEPFVTLFHWDSPQVLEEQYGGFLSQHIVEDFRDYANICFREFGDRVKNWITFNEPWSFSTGGYASGVDEINNENLPLQEALVDNTRIEFYQRHLFHIQRALKGGADVRGYFAWSLFDNFEWAAGYTVRFGINYVNYKDDLKRYPKHSKKWFQDFLHK >Dexi4A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:4A:20203842:20206005:1 gene:Dexi4A01G0016580 transcript:Dexi4A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEASGWWWWWSTWSATAAAGACLLLVIILLHVAARVADALWWRPRRLEAHFAGQGVRGPPYRLLLGCVTEMVALMAAAAAKPMSPLDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVAEPELVREILLTRADAFDRYEAHPIVRQLEGDGLVSLHDDKWALHRRVLTPAFFPDNLNRLAPHVGRSVAALAERWRAMAASVAAGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRLSWSLDREIRRELVTLIGHRSDEAEEEDEVNEKGSNSGFRDLLGLMINAGGGGGKKKAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLAVCSADELPSKEHLPKLKTLGMILNETLRLYPPAVATIRRAKRDITLGNGLSVPRDTELLIPIMAMHHDAAFWGDDATEFNPGRFAGGAAKAATHPLAFIPFGLGPRMCIGQNLALLEAKITLAILLQRFELARSPNYVHAPTVLMLLYPQYGAPVIFRPILSSVASD >Dexi9A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:9A:7071082:7075908:-1 gene:Dexi9A01G0011340 transcript:Dexi9A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAASSASASFPAASSLRRRDGRSRPNRVAPASAAATTPAEAAALAATLPAAPPAPLVRVVPESLQRESGCLVAGFRERGASVGDGDGFGGAVGEEGGPGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSREQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLEGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDVITGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGKRVMLEHVGGFADGVAVKTVGEETFRLCKELVDGIVMVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYNLKGESVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEKGSFKKFAELVGRMNITEFKYRYDSNTKDALVLYSVGIYTDNELGAMVDRMESAKLKTVNLTDNDLIGGRSEVKDELVYRFIFPERPGAVMKFLDAFSPCWNISLFHYRAQGEAGANVLVGIQVPPKDFDEFRSRAENLGYEYMSEHNNEIYRLLLRDPKI >Dexi6A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:6A:12648413:12649958:-1 gene:Dexi6A01G0010270 transcript:Dexi6A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTFTKVPSVPREQNYNDFPVIVRVKAPEMTVHLLAPVDIVAVIDVRESMGWDISRLNVVKKAMAKVIENLGAAGAENRLSIVAFNHEVTVATALAEMTERGKKSASKTVDELQPDGGTSFSVALEKAKQILDGREAKDRLAFIIFLSDGSDNFFYKEQVPKAYPIHTFGISNDHSATTLKAMARLSSGSYTSITDEDLDKITEELDQLSDKLSSIVGVDMSINLKSLHPGVSLSRIGPSEAHDGSKSEIGDDKQTATIFVGCVSSGKESEFTVYLNVPEGQGNGTEGAMDVLMVGGSYKQSWDQKLITLDESVVTVKRPSLTSSCKELDLIEERVKYWSKVKLDLSEMSDKAEAEAGVIKVSGGNGEESNCQCQVLQALREASMEGINKAMHHDIYTATMLAIMLRHCGCGGKTTPAITTENVPSMPAQPTKAV >Dexi3A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:3A:12948002:12949661:-1 gene:Dexi3A01G0017090 transcript:Dexi3A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLVPPPSFPSEDPNPLPGSILLDPHGHISDRTNHTTANGFTRDGKPIHVSFWVADPPRASFFTVYIHEAGRSAIGNLPSILNTEEDTVLLRVPMCPPGGNLHAADSDYFVYLAGKKHKRPSLQRIPAPPTLFLSDRQAGLLRCRTRYLIALLCLSFDVDGQFDLHLYNSKSKSWNSRSMRLDSPEAKKYDYASKVITIGGQQGSVGWVDLWKGILICDLLKESDRLRYIPLPSLVHSLRGGPPLLVRDIIVGKQLHGDGRFLGQWKEDRRIKVSNDQAFPNQQGDDGTRPILKGFHSGFPSLSLHDDNIVYIMDKSDLLDKKASVMVIDMSNQTLKGAADFGSGTPLGYSLAYIQSAISKYLGLWCST >Dexi3B01G0025070.1:cds pep primary_assembly:Fonio_CM05836:3B:19871580:19872634:1 gene:Dexi3B01G0025070 transcript:Dexi3B01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVSNPVSGSGGWAFLPVATALRPPAATLFWRSAGCRQRKLSLARASTDGSGSGAAPAEASIVGDNMEGEEGGGGEGVASAESSAGKQPPPVNPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGSTLYSVFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFSKSKLR >Dexi2A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:2A:160468:164980:1 gene:Dexi2A01G0000270 transcript:Dexi2A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATIFCRRTAVVAVLLICLTMCTGPAAGQLAVGYYSKTCPAAEDIVRNETAAAITASPDLAAALLRLHYHDCFVQGCDASVLLDSTPTNTAEKDSKPNGSLRGFDVVARVKDQLEHACPGTVSCADILALMARDAVFLAKGPTWPVALGRRDGRVSSAGNCGELPPLHGDIDLMVQSFAAKGLDVKDLVEEIDREQARKDMVMAAAPPPLLLVLLLTTTAMMTAEAHVEIGAYNKTCPQAEDIVLKEMTAILARSPDLAGPVLRLLSVDCFVGGCEGSILLDSTANNTAEKDSPLNQGVGGYEIVDAIKAKLEAACPGVVSCADTLALAARDSIRLSKGPFIPLPTGRRDGNRFVAADVALNSPPPGATMADIIALFANKFNLSAKDVAVLSGAHTIGKARCSTVSSRLYNFGGNNGASDPTLDANYTATLRGECKPGDNATLVDLDPTTPTVFDTDYYALVAGKRGLLSTDAALLLDPATSAYVAAQANATSSDEFFADFAKSFVAMSKLGVLTHQKGEIRQLCSKVNTPTTHNAAAARTTQQTAVAGMALALAVALVL >Dexi7B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:7B:16749649:16752200:1 gene:Dexi7B01G0009050 transcript:Dexi7B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSWYGPLIDLSAAASHVGGFVQLLAAVRRVLPHQEQNAATGRTYQKTIVEVGDDTRSSFCASLWSSKHNSCIVAGDVLLMQNIKIVEFRNGLEGRASQISAVQVLLNSKDLMNTEALGELVASCKVGDATKSKLRRVAEWTLCTKRALGESNQQVKLQAMAKNWTEAKEKESTDLLCVSGLFSQRKLCNMNVYACIAKIVLLSSPTSTHLGHLSVVDKHSMKAHIDIVRDFITTGCKLCGSPLHQKNLHGESTFAIDCPNNPKYLHVLGQIYKPFMMYVYDQSGQVPLLVRNKAAEILFANIIADDVSECYKSHMLSETDESVNLSASGTINAAGKRRKTEQKPNFHQIWLIVIRCILNQGNNSPFCFRILVNPEKNVEEGRFELVSLAMPIP >Dexi5A01G0024800.1:cds pep primary_assembly:Fonio_CM05836:5A:28646869:28648227:-1 gene:Dexi5A01G0024800 transcript:Dexi5A01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLVGLRPAAERERPGGGGAGKGRKWSRLWRSSSSQRGSSAPPSEAPSEADAPSAAADALSSSVVAAVVRAPPRDFRVIRQEWAAVRIQSAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMNALVRVQERARDRRARISADGRDSHNTLDERTGRADPVKEAEAGWCSSQGTVDDLKSKIHMRHEGAVKRERAISYALSHQVLYLAAKIPDM >Dexi1B01G0029790.1:cds pep primary_assembly:Fonio_CM05836:1B:33969741:33970512:1 gene:Dexi1B01G0029790 transcript:Dexi1B01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSASTVEVGVPLVPLGTDAPVAVAVGGVDALVVGAPARVVRGVALGGAAPAAAGVPDGPVEHDGHGEVAGPVEEGLVTVIEPGGLHQEHVVARRGIDGECLAPLPLLEPQRVGLVEVHPWRYRALAAPHAGAGTLPRDGVLRRPAHGRAPADEGLRLAVDEVTEGRAAQRDEAVLGRGEEVGADAEGHDRASPAARERSASMMLNLTMVRRGGECASSQTSSKPPTESSR >Dexi9B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:9B:2279045:2280602:-1 gene:Dexi9B01G0003950 transcript:Dexi9B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLRAAAAGKGKKTSDKREADAAGMDVTGACVLGDVFIVQGEYEDWEDYRKACSTLEGDQEFVWLWEKLLSNAKLIEHFLTDNSYGVHISK >Dexi4B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:4B:4298626:4300841:-1 gene:Dexi4B01G0006100 transcript:Dexi4B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGLGGFGGGRGREAMALLQHHQHQHHQQRRRQMEDEEEEARRQLFGGVAAFPAAALGLGHGQQVDYGDEAGGLGDSDAGGSEAEPAQARQRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNTPYLSGALEPAQASQMFAALGAGNITASSSGAVMPPVNQSSGAHQAFGPLNPPPQYQPLSFVLPSVPDKTIPERQFHLESSQSQLRTFRMPESSEMMLRGEIMAKHQITSAQERVNLPGNDMDHIRQESSIVNADNFDGCSRSKE >Dexi5B01G0023720.1:cds pep primary_assembly:Fonio_CM05836:5B:25833985:25838879:-1 gene:Dexi5B01G0023720 transcript:Dexi5B01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMKHLVQVEEGKEAEGGAPSAGPTYRCAAGGKGAAPPAVPGLDCCWDIFRLSVEKFPDNNMLGRREIVDGKAGKYTWLTYKQVYDTVIKVGAAIRSCGVGKGGRCGIYGPNSSEWVISMQACNAHGIYCVPLYDTLGAGAVEFVLCHAEVQIAFVEEKKIGEMLKTFPNATKYLKTLVSFGKVNPEHKEKVEQNGLSIYSWEEFLQLGGEENFELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLINSGEELREDDVYISYLPLAHIFDRVIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQDKITAGGFLKKTLFNVAYKYKQGNMLKGSKHEEAAAVFDKLVFTKVKRGLGGRVRLILSGAAPLSKHVEEYLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEARLESVQEMGYDALSSETPRGEICIRGDTLFSGYYKRDDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSVWVYGNSFESSLVAVVNPNKQALERWAESNGVTGEFATICEDPKAKEFILGELTKMGKEKKLKGFELIRAVHLDPVPFDMERDLITPTYKKKRPQLLKYYQSVIDAMYKSMK >Dexi9B01G0047910.1:cds pep primary_assembly:Fonio_CM05836:9B:46914545:46917237:1 gene:Dexi9B01G0047910 transcript:Dexi9B01G0047910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTLTSSPLSGHLLRRRRGRLCTKHHIQTQHRLPRAWRLYCAPEGGGGEVSAPPAPSAAEDQAPQEQPHDFYLLATNRSDFNDIIMVIDSPAARYLVLDHNKNVHSILPKTTVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFWPWLQLVGWEIDPMIVELSRDYFGVSDLEKATESGGSLSVHIGDALSPSATVEGGFAGIVVDLFCDGKIIPQLQEFLLCAGLISIIIQAATWLEIAQKLMPGGRIMVNCGGADVEESLASSWVQNPTVKALCSAFPGQLNWKRLSEQESVNYVTLTGPLPDLDEWSASVPSELSTKVKQWVPCELA >Dexi4B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:4B:3079391:3079509:-1 gene:Dexi4B01G0004250 transcript:Dexi4B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTAGELEDEFRTLSPHASV >Dexi3A01G0022170.1:cds pep primary_assembly:Fonio_CM05836:3A:17731670:17738308:-1 gene:Dexi3A01G0022170 transcript:Dexi3A01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRRYLLDHVNTPILSSSPFSTFQRGRSGLEVEPRTAQQFLEHNSGDFKCEEQCRSNLIKKDLLGLGNGFLRRPAHMTCLSHHGIGRNEFGLPLGARSLLQSVRTASTATAGQPKMDTDDEQNEDQKQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKASQSVMQKFWARILGIGPALRAVASMSRADWAAKLKHWKDEFVSTLKHYWLGTKLLWADARISSRLLVKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEAMKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRRGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLRDIKNDDKMIQAEKVESLSEDELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEEIIKEEEKKKEKEEKAKQKQEEEAKLKESEAAEEDLALKEMTDATAREELRKAKEHDKEKLCNISRALAVLASASSVSKERHEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYFAAREEPDHDAEVAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDLDGKVTPEEVAAAAVYLKDTIGKEGVQELISNLSKDKEGKILVEDIVRLASQTEEHNDEEEEARQ >Dexi5A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:5A:9349868:9350132:-1 gene:Dexi5A01G0012430 transcript:Dexi5A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAEETGRERRVGGDLRPGDLADDGVQAGAALLARPGLVSSSPALAELSKWHRTTAATHHHAVAAAAPPASPPRHRAPISA >Dexi2A01G0029720.1:cds pep primary_assembly:Fonio_CM05836:2A:40754933:40757400:-1 gene:Dexi2A01G0029720 transcript:Dexi2A01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWITVVGDFWFAVSWLLNQASKLNPIRRVPNLELLNQRFDPQAADDGDQLPGVDVFINTVDPVDEPVLCTMNSVLSILATDYPVDKHATYFSDDGGSLVHYEALQETAKFAAVWAPFCRKHRVEPRAPESYFEVKPEEEAPYAGDAAAEFVGDRRHVRREYEEFKEQLAALFTIIPQRSETYGRDVVAAKGATPTYMADGTHWPGTWLEPAENHKKGQHAAIVQVMLNHPGDEPQLGAPASSESPLDLSAVDVRLPMLVYIAREKKPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSSAFRAAMCFMLDDRHGEDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATALGLNGIQGPSYVGTGCMFRRAALYGADPPCWQPPDGRSKAVMDSPWKQFGNSMPFINSVPLATQPVTPPASTLDERLAAELADVSTCAYEDGTEWGYGVGWVYNIATEDVVTGFRIHRKGWRSMYCAMEPDAFRGTAPINLTERLHQILRWSGGSLDMFFSRNCPLLAGRRLHPMQRVVYTNMTMYPVSAAFIFVYDLLPLMWLSGAEFYIQKPYTTYVAYLFAGIAMMEVSGMVEIKWAGLTLLDWCRNEQFYMIGATGVYPAAVLHSLLRLVGLKGLPFKLTSKPQSVSGGAPRERFAEMYEVKWSPLLVPTVVVMIVNVAAVGAAIGRAAAGGWSFVQLVGAAGGLLFNAWVLLLLYPFALGIMGRWSKRPYVLFVVLVVVLAAIASAYLVLLAVFAPRSVSPVWMERWSVVRAIVSPANWRI >Dexi5B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:5B:3441875:3445056:1 gene:Dexi5B01G0005150 transcript:Dexi5B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRGVGGSASRVGDGDVDLRDRWDWGAIPRFLSSACLFVCSGWVAASLTFPFARLSFFFSLPPFFFFIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGSASRVGDGDVDLRDRWDWGAIPRFLSSACLFVCSGWVAASLTFPFAPPSEQSRGCFGCCDKAVKQLGELSRNLITHDQIPIAEPFWSTTTIEVEPSDLRGSFINTSNWGFDQHGTGSSHNLPELGNNGLALWEQTRQEWTEIRSLRPKVKQVREPVLRYARTKWPFNMQKNPTKLCHITFSVNEVISLVSALLIQIFIRSSNCALWNAAYDSLLGSNKPFAQPIPLHEMVDFLVDIWEQEGLYD >Dexi4B01G0023780.1:cds pep primary_assembly:Fonio_CM05836:4B:25067809:25072507:1 gene:Dexi4B01G0023780 transcript:Dexi4B01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPLFHRYAPFGRSFFSPDLGRRRSLGEGVESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVAQLLNTDIHSRPLSDAERVKIKKALRGVKVEVTHRGNIRRKYRIAGLTSQATRELTFPVDQGGTVKSVIQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRERDIIQVVPNPYRRFSYFGMMNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKDFALEPILPPMYAHPDQVQRALKARLHDAMNMLGPQRKELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCLAKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQRGTICGGMIRELLISFKKSTGQKPQRILFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMESDSSDSGSLASGARGGGVPSTSSTSRITRAATGGAVRPLPALKDSVKNVMFYC >Dexi1A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:1A:6818009:6819252:1 gene:Dexi1A01G0008680 transcript:Dexi1A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAVSSPRRNDGDPGTSTALADRRRTATEEIKKHLYLVLDDVKDGFGIHKLDMDTDDVAAGGLDSGNLPRLPNPPIDDFAVLGSNVIGMGSRLTNTHNDERRDDGDTLTFDTRTGKLALLPDLPDGLRNNMPMHCIAAGDRLYVIEAGTMYDGANYDNEFFMGGLHCLKLHPQGDDAIAHAGYPKREPGCDPQKLPLSPYGITAHAVHPSGRAFFVSAYCHRGWGTFWYDTELGDWTRHCSLKLPFIGQAHYDQDLNAWVGLHAQRDGHICACDVPDLNRPAAPEWKFGKEKLFYEDPERHVDAKLVAMGGGGRFCIVEIMTMPGVDRKGCIGDGDKCVLRLTAFRVEYDDDGELTVTDRRPACWFMMSKYRDYAYSDSWLAFWA >DexiUA01G0004150.1:cds pep primary_assembly:Fonio_CM05836:UA:8184637:8185446:-1 gene:DexiUA01G0004150 transcript:DexiUA01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAGALPSLLPKLAQLLAGEYNLQKEVKGGIIFLQAELESMQGALEKISKTPVEKLDDQDKIWARKVKEMSYDIEDTIDKFMVRCKGREPTEQDGFKEAIDRALKWLRQPKIRHKIAKEIREIKSRVEEVAKRRDRYKINSEVAKPVTIDPRLFAQCEKVTELVGIDEAREEVTKILMEGNEVCKKRGKIVSVVGFGGLGKTTLAKVLYEKLRPQYMCSAFISVSQTPDMEKLLKDMFYQVAMKCNESTNVISELSKFLEKKRYGSI >Dexi9A01G0042700.1:cds pep primary_assembly:Fonio_CM05836:9A:46231674:46232300:-1 gene:Dexi9A01G0042700 transcript:Dexi9A01G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRASGSWTPKRSCAAPRRSIASRVSWTGCSHVVATPTYIESLEISMRRYSHHDCCSPEWVNEWLLYATRRVVKAFCLELAYKPSRLSRLRQILEGLPPYDVDETAVVLPSHGRMASIEMGPSCYRLELPVAATAMYEALTELKLSHVSLDEDAPGSSGRTLDDFVSPCVEHNIAALQVSSPSIFERPHHHHIVAGGVLFRGQVHL >Dexi7A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:7A:14257200:14257482:1 gene:Dexi7A01G0004020 transcript:Dexi7A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGIHPIDQDLKLITNDTLTTQLTVNLLHEDTPMLSEKGSSCWVCFTDAAKQPNKAKEQIDWRCIFRGAWPAYSRKEQDEAE >Dexi2B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:2B:2980885:2982297:-1 gene:Dexi2B01G0003320 transcript:Dexi2B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLGSYHAVVASSAATARLVLKTHDLAFSDRPPTTAGEVASYGYLGIVHTPYGAYWRMARKLCATELFSPRRVDSFRRVRAQEMRALVRGVFRQCAAGDGGGAAVVAVREHVAGATLRNILRMAVGEKWSGCYGSADGEAFRRTLDEAFAVTGAVSNVGEWIPWLGWLDLQGFVRRMKKLSQLHDRFYEQILDEHEERRRRRAGAAGDGEFVVSDLVDVLLELAEKGRSESSSEAKLTRDGVKAIIQDIIAGGTESSAVTIEWAMSELLRHPDAMAAATDELDRVVGRDRWATERDLPELPYIDAVAKETMRLHPVGPLLVPHHAREDTTVVVAGEGYVVPTGARVMVNVWAIGRDPASWPDEPGEFRPERFLSGGAAAGVDVGGAHFELLPFGAGRRMCPAQGLAMKVVAAGVASLVQGFAWRLPEGMKPEDVSMEEHFGLSTRRKVPLVAVAEPRLPAHLYTAIDD >Dexi1B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:1B:425754:429454:1 gene:Dexi1B01G0000450 transcript:Dexi1B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPFLSFPKIPPPPPPLAAARPLLTLIRRHLSLPSRPRAAPDDATPSDGVGPAAPTRGDRFLGRQIATEAAARVLAPDEADRRRRRKEKRRALARKPSGLSSCYGCGAPLQTAEEDAPGYVDTATYELKKRHHQLRTILCGRCKLLSHGHMVTAVGGHGGYPGGKQFVTAEQLREKLSYLRHDKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCVGDWVVESVVKKKLNVLSVHLTSSKSLVGITGVISEIQQEKKGSANVGKSAFISALLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGANDTDVELTGNSLFWAGLVRIDVVKALPRTRLTFYGPKKLKVNVVPTTEADQFYETEVGVTLTPPTGKERAEGWAGLQGVRELKIKYEEHDRPACDIAISGLGWISVEPSGVPSNSPDDNAEEECGDGELHLMVHVPKPVEVFVRPPLPVGKAASQWYRYQELTEEEEGLRPKWHY >Dexi1B01G0030690.1:cds pep primary_assembly:Fonio_CM05836:1B:34587577:34588429:1 gene:Dexi1B01G0030690 transcript:Dexi1B01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEERSGASSPSFSSKRRRRERDWLPGLAEDLKGCRLAEAAVDSAAPPVTISPARNFTDCLLIGRDPNQGLLPPCRLALSSPSVTGVASRRFAMTVAILSTSEEAPAKPRSSQTLTIRSSAAVTRTTSRPEGLDSPGTSEAATLLRNYAIASPLSAGQAKMLLPYGVAAGSLFGQGHRA >Dexi7B01G0021510.1:cds pep primary_assembly:Fonio_CM05836:7B:26507033:26507704:-1 gene:Dexi7B01G0021510 transcript:Dexi7B01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLALLEAARKVNFQDVLSRISAGFSSNSWEKPILVAWGISDKYLPLSIAEEFKKANPNVVKLEAIEGAGHMPQEDWPEKVVKALISFL >Dexi7A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:7A:26929272:26931499:-1 gene:Dexi7A01G0017170 transcript:Dexi7A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAALSVYRDEDSKGGGGFLAGFLIGGAIFGTLGYVFAPQISRTLDSLLDENGQGSESDETGLQRGPRPRDGRYYDDGLEKTRQTLGDKISQLNLAIDKAALRLKRVTGNEDVKDEAEVEPS >Dexi8B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:8B:2750794:2752353:-1 gene:Dexi8B01G0003640 transcript:Dexi8B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAISLKTLILDGCVLLEHVGPEGLPPSLESFSFDSGSDATRISNISLAGCVHLKTFLLNGAFPGLEELDLSGTSVIKVDLSSKVVQVNRLNKVILMGCKQLRALLWSQEGRQLKVLRIDPHGRNKHTKLPYSDSPLCAQHRNYDGYVIACDARMIQSLLHDVNLITNSIYLHLHNAPPSTSKSKRQSSSSDKDFIPKPLCYSNDPSLEGIPSNDGGEIPWPPPSDRHVEISEGISLTDVEGENEIMAIHTMIVRQVHSLHVHDNSCMLAVNPKSASGGLDINNIEGLFGLRWCRVERCPKLQMVFMPHTVVAYYFFPNMESIWASHLPMATCIWSKGFLSEGLKLHPLPQLQSIHIHNCPRLKFVLPLSPMLELPNLETLHITHCGDLRQVFPWDEVREPRIKEIIGQAGTLKKLFQKLKHIYLHDLPSLHEICEERMWAPMLESIEVRGCWALRRLPAVGFPFLGRRKCIARIDRDCWEKLHWDGIHVAHHPYLYQTRFSSRYYRKQRLHRGTVLR >Dexi6B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:6B:24749752:24750621:-1 gene:Dexi6B01G0017600 transcript:Dexi6B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRINCTSVNEPTGVYLGIFLELLSKSGSAKARFEAFLTRKDGEPSLVSAKRTGVHLFHRNNDQFGWPQFVKVMDLATDFVRDGVITFLCSIMVWHHSGGIPVPPSDIGENLGMLLDSTDGADVSFAIDGETFHAHRAVLAARSPVFRAELLGSMAEATMPSITLLDIAPETFIVLLSCPELRDKCIDFVVADKNFKRVALSEGFMQLGQNFPSIIAEVRSRVGT >Dexi2B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:2B:29550784:29558317:1 gene:Dexi2B01G0019410 transcript:Dexi2B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAPAVSLFRSRASARFPIFVAADSGAARRSSRVDGRSRVSRRTLETSPPGAAGSSAGRKHGSTETAPRQSGSYLTAFVILTTGPNLQMIFLGITRVPKLGPAVSTKRADKIICWGFCLIIHFIYVTKSVAAVRLLRIEKGKAFADLLNEKTNDSGDNEMGYVERTLGFRTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCTEEKMFREMEPLLLQILRIAFFEILKLDVPAYAVVDENVSLAKAALRPGAGNMVNAMLRKLILLKETNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDDTLRLMNWNNSDPHFSLRVNTSKGYTRADLVKRLESLQVHYEESIMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGETIIDCCAAPGGKTLFMASRLSGQGKIWALDVNKGRLRILMDAAKLHSLNDMIHDIHADLRLYARADLRWNRQFEDLEELMCLQDELLDSASTLVKPGGILIYSTCSIDPEENEKRITAFVKRHPEFAIQSVCGYVPAEFITDEGFYSSNPTKHSMDGAFAARLVCSILGAPQGHN >Dexi6A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:6A:296785:296903:-1 gene:Dexi6A01G0000500 transcript:Dexi6A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTCYFQPRFPPSSASKPNVTSPQPQDPRH >Dexi2B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:2B:22372037:22383358:-1 gene:Dexi2B01G0013610 transcript:Dexi2B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLPQPPAQTPGCDYACPGPAGHIFRQRPRTGLSPEEKLAAEKDLALYFTEDFSCPFCYVRCGSFKISEEYQVVNVILKYDAEQTEPMALEQVYSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQSSPW >Dexi5A01G0029930.1:cds pep primary_assembly:Fonio_CM05836:5A:32985350:32986462:1 gene:Dexi5A01G0029930 transcript:Dexi5A01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRIAGLVAAPALLVLLALAFSSPRSAQEEPMADRGTLAGGVTDVPQNDNDLHLQELARFAVDEHNKKANALLGYEKLVKAKTQVVAGTMYYLTIEVKDGDTKKLYEAKVWEKPWENFKEVQEFKPVEESTSA >Dexi4B01G0023060.1:cds pep primary_assembly:Fonio_CM05836:4B:24556236:24558540:-1 gene:Dexi4B01G0023060 transcript:Dexi4B01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVAESPAMVLRFLQLGVFLVFAVAAVSQATQGSRVHHYDFLIKRVDVTRLCRQKSVLTVNGQFPGPIIRARRGDVLVVNVRNHGDKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGATFVYRVILSKEEGTLWWHAHTGFDRATVHGAVVILPNHGAAFPFLQTHPHVEVEEIQPIILGEWWRNDDANVLLEESMRTGRDVKPSDATTINGEPGDMFPCSKSGTFTARVEGGKTYLLRVINAGLTNDMFFAVAGHRLTVVATDARYTKPFATDHLMVASGQTVDALLHANLTAGDGVRRRRRRYYMAARTFSSNTIVAVFNNSTATAILEYAGDDAPSSTTSPVFPTTTLPAVDDIAAAMAYTARLRSLASVEHPVDVPANADERLLVTMAVNLIPCGGGANATCTGPHGDRLAASLNNVSFVNPSGVDILSAYYHHHHHRRSVYGDDFPDEPASRFNFTDPGLPAEGLGPFTERGTRVKVVEYGAAVEVVFQDTAVLGTESHPMHLHGYSFYVVGRGIGNFDDGRDPAGYNLVDPPYQNTVAVPKGGWAAIRFRATNPGE >Dexi4A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:4A:3975319:3981098:1 gene:Dexi4A01G0005490 transcript:Dexi4A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEERAMESDSAAAQEWRRKERDAAGGVQKMEVEKEARDKGKPWRRGAEVEEEKMGRGEGYKWEDGGAIGRKWGMQEHQRHPGAVGHRPFWRPRGGGGSWRGGRGGGFQFHGRPWNPQHHKFDISDKPGVYGGAIIICNHVTKQQFFDQKHFALPGYAATFIKKIRAGMLLFLFEHEERKLYGVFEATSDGALNILPDSCTPLCKFRPAQVLFRRVWFCKPLTEAEFSNAIKGNCLHPQMSFLGISYQQVLDLVDLFTSRMIRLQTYQKPKSRVLQDYKISLARTGQEFGLYNRSSASFRRSSSMFCNDRISLPHSPFMYAKHNGKHPAHKHEPSRHRWHKPVMFKSPDIIEKSKPKDADYIPLELDDCNSDSDASQSTLMGTVSFHSTMESNISCGNQVPKPCNGQHNEDDMCRAPVLNQRFISGSETGQNSAFAQIMKESRSKLQAKVCKRKATVQLDELSDGLPPMRACSMAKKVSFSFGANGIYVTSDKASCKPTLSELQQNREAVMKERKEQIGFSPEDTQSKERDASARSRPMRPNSVNSGMVKAQSKECSAFGGAVFLCSHLTRKESFDKKIFGLSPKWADFVEKVKAGTILFLYDVEQCKLHGVFEATSDGAVNIIPDAFASSGKRYPSQA >Dexi3A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:3A:8512485:8513790:1 gene:Dexi3A01G0011790 transcript:Dexi3A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRGRTMRRAHRSREEEEEINRSRGGRRSRKTATTTYQSCYCHADAPSFARCKTATTKDLYACLAPSFVVQLSPPAPQPGPSSSSSFSDSSSAVIKDATVENSGAIDCVICFLPLKPPIFQCDVGHVVCSRCSERQGQATNCHECRAPTPGGYTRCHAMERVVDAIRVPCPHAAHGCTHRPPYHGRDAHSLSCQHKPCHCPDEACVFAGSPAALAGHLAAAHSWPCTTVDMDGGGTNVFLRDGFNFITAKGTKNGRFLMFLLDVKRAPPFGRAVAALCIHPDRAATATLKLTYRGNDGCMHHMCNMHLQSSEFKVACTDLADEVPDPSSSCFQFVVPGSVREDDDGATTRVIVEIIRPPSLSTN >Dexi3B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:3B:14735485:14736897:1 gene:Dexi3B01G0019750 transcript:Dexi3B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFDHIPNVPSSRDKRSRSGRRKRSTRLSQQPSDASSQQSESAGIKFRSKYMSSEEIESILKMQHSTNHSNDPYIDDYYHQACKAKRSVNSQKSNFCPTSIKDLPSKSRSGSDQRSYLQVDANGGVSFSAIRRPRPLLEADLPGSGDGVYDHKSSTRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQSSQSQDNSFQLRRRRQVLLEGLAASLQLVDPFGPNKPGHSSGLGPKDDLIFLRVVSLPKGRKLLARYLRLIVPGSELTRIVCMAVFRHLRSLFGGLPSDSGAAETTIGLAKTVSSCVHHMELSALSACLAAVVCSAQQPPLRPLGSTAGDGASLIIKSVLDRATELLADPHSAANYSRSTRSLWQASFDSFFGLLTKYCDSKYESIVQRFAMQGSNSLGGPESTKAVSREMPVELLRASLPHTNEQHRQTLLDFARKSTHVSGFSPNASRAHINSESVPG >Dexi5B01G0022510.1:cds pep primary_assembly:Fonio_CM05836:5B:24813712:24814484:-1 gene:Dexi5B01G0022510 transcript:Dexi5B01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVGGVGARVRREPSAVGGGGERWRITTDVISVTFEGHVITTTVTSSGSAVDRWISEVLSEHRSGGILYNITVGLDVEWRPSYRPGQQNPAATLQLCVDRRCLIFQLLYADYFPAALANFLGDRGIRFFGVGVEADAERLRIDHGLAVANAVDLRVVTARWMNRPDLRQAGLRNIVAAVMGVDLVKPRRVTMSRWDARCLSHEQIRYACIDAFVSFKVCELAMAIAHQPQTRIA >Dexi6B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:6B:19872153:19875529:-1 gene:Dexi6B01G0012280 transcript:Dexi6B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATPIATLLLLLALGLPPSSVSASTGSSGITRSAAADVAALLAFKAQLSDPLGILHANWTGNTSFCSWLGVSCSHHRMRVTALVLNDVSLHGIISPHLGNLSFLSMLNLTRTDLRGSIPPELGGLRRHRFLALGNNTLSGAIPSTLGNLTRLEFMDLWQNNFSGQIPQELQNLRNLKHLDLHKNYLTGPIPDDLFDNSSFLTSLNFANNSLSGAIPHSIGYLSMLQLLVLQFNHFSSPVPPSIFNMSSLRYMYLLGNYDLNGTISGSSNNSFSLPMLEVLSLGENSFSGALSNLSNHNVLDLSENRLRSRIPESMMTMMNKLVTLDLSTNGIFGPIPDQISMQSNLQALVLDSNSLTQAIPSGIGNLTKLQYLNISLNNMSSTIPASVFQLENLLTLDLSQNSLEGEIPTDFLLPTVIIVVGAVILGLYMMIRKNVKKQEQRVISPDNVGIGTLNHRIFSYHEIIRATDNFSDANLLGSGSFGKVYKGQLSNGMVIAIKGLNMQLEQAVKSFDSECRVLRMARHRNLIRVLSTCSNMDFKAFVLQPGTIGYMAPEYGSVGRASRRSDTFSYGIMLLELFTGKKPTDPMFVGELTLRKWVHQAFPTNLIGIVDDQLPQDSNNSWNDFLEPIFKIGLLCSYDMPEQRMTMTDVVVRLTKIKKDYIACTETV >Dexi7B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:7B:17176345:17177906:1 gene:Dexi7B01G0009610 transcript:Dexi7B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSATTATLQGAGLSEFSGLRSSSSLPMRRNATSDDFMTAVSFRTNAVGTSGGPRRAPTEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPEGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVCGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDAPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >Dexi3A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:3A:15487128:15490308:1 gene:Dexi3A01G0019620 transcript:Dexi3A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPKPPSPSPRPPPSDSDTGVGFDPVDEWLVDFDPAMSSELGSPAKGLGPAEEAEVPHAPEPTATACDDSSGKAASPTNSCEFGVKAEPVQVDGSLHPVGDFCGGEIGEKAAMVVGGLDELLAPDQLLASGIGDLAVKEDVSEGTVAMEMAATPADVEMNTTAPGGKKEQESSEEESESSEEESSEASSSSDDEEQGGKDEESSEASSSSDEEELEAMKPGGAGEGNSLEALLEEGELMVVSDEEDEEPRGHNKFKHEAEVLPPVPKIEIQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRTPLGIVDELFGPVKNPYYLVRYNSEEDVPAGISAGASVSFVAEFADHILNMKELYAKGYDASADNDEQEDEPEFSDDEKEAEYKRSLRQAKRQTDRQHEPKKHSGDKKRSQPRGSGFRKDMPPRNRDAPTPGYQSQPRFQCSDMAPAGPENTTRSMGPQTAPSPAMLPPGPMNPAMPSPVHLANQMGGCFINPAQQFLPQQPNMVWPGGLPPPAHPNMGVDGAALTASIMQNLLAGANQFQQQFQNQNFGGFPNQMPFPQFMPPTGMPANQLPFAGGPQVGNSPFGAVPQMPMGQGNFGQPPPHLVSGNRQEHGPRPGFANPAQPHGDGEHSPQQFSSGQFNHGSSSFRGGRQQQQRGGRHSSGRGGGRGGRHRR >Dexi8B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:8B:7913883:7914959:1 gene:Dexi8B01G0006780 transcript:Dexi8B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPPTTPPPSPGKKPKPPMSRLTRLSLKAVDLVVDATRRSDGTLNRCALSLLDPRVPAISSPCRGVATRDLVLDRSTRLRARLFHPSSPSTAKSTNSSGSPGLPVIVFFHGGGFAFLTAASAAYDAACRRISRYAAAAVLSVDYRRSPEHKFPAPYEDGLTALRFLDNNPTNLPIPLDVTRCYLAGDSAGGNIAHHVARRYATHVSTFRNVRLAGVVAIQPFFGGEERTPSELRLGGHGVAPIVSVDRADWMWRAFLPPGADRTHEAANFAHPAAIAGVDSPAFPPVLLAIGGFDPLQDWQRRYGEMLKSMGKDVRVVEYPDAIHAFYVFPVFDDARDFIIRIAEFVAESAGGGSG >Dexi1A01G0028160.1:cds pep primary_assembly:Fonio_CM05836:1A:33893247:33897912:1 gene:Dexi1A01G0028160 transcript:Dexi1A01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKDQLLARLKELKIDFTCHDHPVVLTVEEQAKYVGHLGGALSKNLLLKDKKHRLYVVSALAGTKVDMKILSQRLGLGKGGLRMAPEENLLQVLQVPLGCVTPFALINESASAVSLLLDEGFKSKQSCYFHPLTNDVTIALSSSNLDKFLISIGKQPAYVNLELAPAVGKDSPPDLAHLVPSGVPNSSEQSVDKVTSPNVPHPNNIPKETEVKAKPKVQNKDAQMSQSKVEKPTDGTSVDKFVKDVFDIISPFLSETLQKLDVKKEELPSIIDSLRGRAAPDLESRTTILKNASYTSGFHAGFETMLNRGLNGLSL >Dexi1A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:1A:20202211:20205762:-1 gene:Dexi1A01G0014100 transcript:Dexi1A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPVASAEEAAALRRRLRRLVAAVAAGSADAEAFDEAAEALVKLRDAELGPRKDRAAPAAGGVNKGGTEVAAVPEQFLCPISSKIMRDPVVLASGQTYDRRFIQEWLSSGNRTCPQTQQVLSNTILIQNHLVRSMISQWCTDNEITLPPVENQEEDLVTNNERKTFSKIFQRIASSSNLSEQREAIKDLRLLTKCNSSLRAAIGEKPESISQMISTVSNPELENNAEVLEDMVTAILNLSIHESNKKIIGDDPLAIPFLIRALQSGTMEARSNAAAAIFSLSALNSNKAKIGELGAMRPLVDLLEQGSMIAKKDAASAIFNLCMLHENKSRATKSGVIDVTLKAIADDSLVDESLAILALLSGDHETVEEIGETGGVASMLHIIKEDQCKRNKENAAAVLFAVCMYDRTKLREVAEDESLNGSLAWLTQNGTSRARRKATGILDKMKRAVHHTHYSC >Dexi6B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:6B:26188776:26190322:-1 gene:Dexi6B01G0019440 transcript:Dexi6B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFKSLIFFLAVATLLHAGHARRRKDNAASGVVHDVTEYGAAPSNRDNRDAFLAACSSSAAGNNSTTLLVPKGTFAVGAVQFEGPCTDDGGAPVVVIDGVLQPCSGDGGGGGGGCHISHDAWITFSGLNNLLVTGDGTLDGQGHHQSGKAKQPMTTVTRAITLVFDGVKNSTLSGLRFVNSRGFHVNIRRCTHVVAEGLDIHAAATSRNTDGVHVGLSSHVRILDSVIGTGDDCVSIGPGSTDIVVSGVTCGPGHGLSVESLGKDAGERDVRGLIVKNCTVKGTTNGVRIKTWPGSPPSRASNITFQDIAMDDVTNPIVIDQRYCPHDHCSDAGEPSLVQISDVTFRRIEGTSSGPMAVRLLCSEERPCTGVSLDGIDLTCGDAPCRFEFSNVQGPVAPVVVEPAPGPAPVPVPGAGGRREEEADVASGTERLGWLTWWLPFTVRG >Dexi9A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:9A:7319643:7321871:1 gene:Dexi9A01G0011680 transcript:Dexi9A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSADALTEPAKPVFLTKAERERLALERRQAAVSDQRRTALDLLQSLPRPPPPPPPPGPPPSGSSSAPRDSSSSHRDSSDRDRDRDRDRRRDDDSRRDRDRDRERDRDDSSRRDRDRDRDRDRRDRDRDRDRERERERDRDRERGDRGDRERDRLEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNTLYQSPHEARLLFGRGFLAGIDRREQKKAAAAHEKETRAELRRKAGVEDRPEDAVVDKKKADAAEMYDAFDMRVDRHWSEKALEEMTERDWRIFREDFNISYKGSRIPRPMRKWTESKLGTELLRAIDKAGYEKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKEQEKMSRLQKILTDLGDKTAIVFCNTKKTADMRAKDLDKAGFRVTTLHGGKSQDQREISLDGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGVATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFANH >Dexi3A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:3A:12556994:12559025:-1 gene:Dexi3A01G0016600 transcript:Dexi3A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMDIKTASKEHNMPFLSTTYSGGSGLQASYPLYPESPCSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYFRASPIPEQEATAQWKTSRSACDTPYARTSPANIFGLDSSTPRNYMLDNNFFRPAASAQFYLDQAQQTFPHNGGRVSVSREKQDADEIEAYRASFGFSADEIVQTQSYVEIPDALDESFSISPFGNIAHAAEICAFNDLPNAVQKVDKSFVHVKDGASPNKSANQLSIDSPNKMLHLDVFKGTKGGHQSEDEGIVKDSHPFRKATDETSLKPIEVRKKSPPGHSCSDAEIEYRRARSLRDANGVLSRRSALARQLH >Dexi2B01G0036340.1:cds pep primary_assembly:Fonio_CM05836:2B:43195415:43197200:1 gene:Dexi2B01G0036340 transcript:Dexi2B01G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKGNSSSDKGDGNLSTSVKSVKAFCQPTDYKETCEAELTKAAGNGTSPTELAKAIFSITSDKIHKAISESATLNELKNDQRTKGALDNCKELLEYAIDDLKSTFDKLGGFEMTNFKKAVDDLKTWLSAALTYQDTCLDGFMNATTTEASGKMQNALNASQELTEDILAVVDQFSDTLGGLSIGRRLLGDDGGVPDWMPDSSRQRLLLEAGADLKPNVTVAADGSGDFKTIKEALAKVPPKNAAMFVVHIKAGTYKEYVSVARPQTNVAFIGDGADKTIITGNKNFKMNLTTKDTATMEAIGNGFFMKGVRVENTAGAENHQAVALRVQSDQAVFYQCTFDGYQDTLYTHAQRQFFRDCTVTGTIDFIFGNSQVVLQNCLIQPRRPMDNQANIITAQGRRDKRSVGGTVLHNCTIEPHPDFKAEAGGKIATYLARPWKEYSRTLYIQNDIGGFIDPKGWLEWNGDFGLETLFYAEVDNRGAGADMSKRAKWGGIKTVTYEEAQKEFTVEVFIQGQQFIPKFGVPFIPGLLPQTQQGRTH >Dexi8A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:8A:8793527:8796042:-1 gene:Dexi8A01G0007740 transcript:Dexi8A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETGVVVRAAPETETREAWWKAPAAMVLVQLFNTGMVLLSKVSIGGGMFVLALLAYRGLFGAAIILPLALILERGKWKEMDLHAAGWIFLNAFIGYAVPMSLYYYGLQDTTASYAIIFLNIIPLTTFILAFMLRMEALNIRSMGGLLKIVGVLLSVGGTMIISLYKGIILHLWNPIVHHHNDEHMAVKSHHLRGTILLTGSSFMFACWYLIQSKVLKVYPYKYWSSMATCLVGGFQTAIVGVILRRDKKAWKIGWDINLLTIVYSGALATAGKYSLNSWAVAKKGPSYPPMFSPLSVVFTVVLGSIFLGDDITVGSLVGTMLVIIGTYVFLWAKANEIPEK >Dexi3B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:3B:4563695:4564252:-1 gene:Dexi3B01G0006630 transcript:Dexi3B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKNQSRRQKKLKAYDLSALSEFLPEPAALEQKAETKLNCKSRQTLVLRETAHLKAVLNNPQFQLDPFAAIHQHLLATQPPAAKKDDAPKHGKDSKDKKRRRKKKSASSSSQAMDI >Dexi9A01G0037070.1:cds pep primary_assembly:Fonio_CM05836:9A:41393395:41396682:1 gene:Dexi9A01G0037070 transcript:Dexi9A01G0037070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVECVAAAGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLSKAMDLTGLLCAVMLDTKGPEIRTGFLKDAKPVKLIQGKDITITTDYSIKGDENMISMSYQKIAVDLKPGSTILCADGTITLTVLSCDPAQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLQLVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSKICLQAESHTDYGAVFKLISNAAPIPMSPLESLASSAVRTANISKASLILVLTRGGTTARLVAKYRPGIPVISAVVPEMKTDDNFNWTCSDERPARHSMIVRGLIPMLSAATAKASDTEATEEAIGFAIDHAKKLKICKSGDSVVALHRIGASSVIKILTVS >Dexi2B01G0027720.1:cds pep primary_assembly:Fonio_CM05836:2B:36546474:36549430:1 gene:Dexi2B01G0027720 transcript:Dexi2B01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIPGRRLLFLLLLSSPFLSFTTTAEYAEYVCNGTTDNFTSGTAFAANLDRLIAALPSDAASSPTLFASTSVGSAPGDTTVYGLALCRGDITNTTACSSCLADAFARLRRVCGAERDATLYADLCTARYSGADFLSLSGGAGAGEDNSPVVNGMDSTNASTYPGWDATNATSRSFFLSLVGTLFGEMAMYGAYNSSRRLATAVMFINEQLPTVYGLAQCTPDLAPSQCWGCFQGVGDLNRKWYDGREGGRISGVRCSFRYEGYQFYQGTPNVRIGLHAGEPSPDGGGANGSSHKKVLIIALVVSVTVVCGLLLAGLLIIRTQRKRTGKTRLPIHQAHSRNSSKTEEALKLWRIEESSSEFTLYDFADLAAATGDFSDENRLGKGGFGPCCLCCDRADQQRGPSLDWEKRRRIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKELNPKISDFGIARIFGSNMTEANTNRVVGTYGYMSPEYASEGIFSIKSDVYSFGVLLLEIVSGKRNSGHHQYGDFINLLGYAWHLWREGRAFELIDPTLGECGGEVGAIMRCVKVALLCVQDAAADRPTMADVTAMLAGGGGASASSLPDPRRPPHFSLRLSRSGSDESEARTRSSTTRGSGSTNELTVTTIQDGR >Dexi7B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:7B:24727565:24729502:-1 gene:Dexi7B01G0019060 transcript:Dexi7B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRKHFFVEKLTKPVNDLPGQMVEGQTFTIEPILSMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILINRTGAEILTKC >Dexi5A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:5A:458218:462568:-1 gene:Dexi5A01G0000680 transcript:Dexi5A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPLVKQKALRLIKYAVGKSGTDFKREMQRHSASMRQLVHYKGHPDPLRGDALNKAVRETATEAIAAIFSTEDPKPAAATESLGKRIQGFGNTNYEPSRIDDKKSFLSELSEVVGIGGASIKQGLSNFAAAHAMMTNDNSGTYKSPNLRRSLTTESERYGRYDPSEIQSERRASAGASKNVASGSWGPTPSSSAPTDDTSSGQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSCALENKLNSPLWQVRMKAMCVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLSMLIGEQATGTTNLSAAKAMPPPVRMPDLIDTGDQDDLGTQSSGQESNGQNTGNNAYVSSVDDLLGGEPIADTTAAINSNGSDPFADVSFHEAETKETNDLFSGLTVEEESTASMHDSSSSNKNELPDIFGSSPDPFSQGSVIDQGSVNDLMAGLNLNGTGQAQPAVKAEPNSNLNGSQFFDTNNQSSHMAGAAALNGILGQNSFYQQQQVPLQYSLPQHMMLNQSFPGQQLNYSAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMNSGNASVLPDIFNSTNQPQNHVAVMSNSKKDDTKAFDFVSVCISVWLLVSCIHLTT >Dexi4B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:4B:21097887:21099875:1 gene:Dexi4B01G0018810 transcript:Dexi4B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAACRRAVSFTLLGPPAESLRATAAKAAATPATGDMFLDLLDANFNKATPPPKPQPAKTRTENSSPTFASSGDPCLDFFFQVVPGTPASSVTSLLATAWSAEPSTALRLTCNLRGVRGTGKSDREGFYAAALWMHGCHPTTLALNARPIAADFGYLKDLPEILHRIIHGGVSTKQPGKQARIAASGGIISRVARHRSSFGFHCRGRSRTGIKARPRPSSTRAARVAAANERDRKAAAKAAVERRKRRAAAAARAVDRYGRDPNYRLLHDCTAELFANLLAEDMQKLADGKVNEISLAAKWCPSVDTCYDRSTLLCEAIARRIFPKGSSPELAEDLDDEYYAYRARKLLHKAALVPLRRALELPEIFMSAKAWGQVVYPRVASVAMKNYKEFFLKHDSERFELYLGDVKSGKAKIAAGALLPHEILQSVGDEVAELQWERMVSDLRGLGKLNNCIAVCDVSGSMCGLPMEVCIALGLLLSELCQEPWHHRVITFTSQPKIHRITGDTLWEKAQFIRRMDWGYNTNFQAVFDKLLSIAVAGKLPPERMVKKVFVFSDMEFDQASPKPWETDYEAITRKFTEAGYGEAIPDIGVALVSGYSKNMIKLFLDGEEVVPDKIPTPREVMDKALSWPEYEKLVVFD >Dexi8A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:8A:20646616:20657055:-1 gene:Dexi8A01G0011660 transcript:Dexi8A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEASELHAQLAAAVHALNHDANPSARLAANQWLLALQRSPQGWAVATALLAAPDPPPPADLLFFAAQMLRRKIQSPGPALPGAGLAPQLLDALLLAARRFSAATAPRQLLTQICLALSALALRAEGGVDGLFARMPHLPAPAVLELLTVLPEESAQDQAGDTGVDAAARCRFTRELLAHAPAVIEFLHSHSEKVPADDDGVPLHERNRRILRCLLSWVRVGCFSETPSAALATHPLLTFAFNSLQVSFSFDVAIEVMTELVSHHQELPEAFLSKMPYIREVLLLPALTNRSEKIIAGLACLMCEVGQAAPALVAEGGSQALALTDALLRCLAFTSDDWEIADSTLQFWCTLAHFILGIDVKTTKRNAAQELFLPVFSSLLDALLFRAQIDTDEHGADGAPCMPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSHGWDFSSQSVPWKEVEVRMYALSMVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISTSVSSNACSLALRKLCEDASPFIHEPQNLEILFWISEGMDKGNLRLEDEEEIVTAITQVLGSVRDKELRKSSLARLLCSSYSAVEKIIDIDRDYSLRQNPATYTQSLDLAVRGLYRMGALFRHLSTSITSGLVDDDIILVLLGIFWPLIEKLFRSSHMENVNLSAAVCRSLSSAIHSCGQHFHMLLPKVMECLSTNFLLFQRHDCFLRTGKKLYFYAQLNFACRNSNKTNSGSVIEEFGHKEEYGALCVRTFETLSSASSISTLNSSYTCDQEPDLVEAYMYFTSMFIRCCPKEAIIASTSLLELSFQKAAICSTAMHRGAALAAMSYISCECYLFTFMYYSLSVHKSATILQQLAAMCSFCERTTWKAVLSWSSLCGWLQSTVKSLPSEYLKQGEAEMIIPLWLKVLEDAGSDYIHSRTGDNIANHQVYMQGKGGRTLKRIIRDFAESHRNAPTPCPS >Dexi5A01G0030150.1:cds pep primary_assembly:Fonio_CM05836:5A:33163037:33168768:-1 gene:Dexi5A01G0030150 transcript:Dexi5A01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGGSAAAAAEDAGGAMPSFGPPQLSIHTDMNSMQPSRVTDFGALAQSAGFRIEDLANLNTSTLFNLKPAHTISNNPLQFGSYGKAYIQNLESSRLKLTQLEQELQRARQQGMVISTAGNQPQSTGGNGALAFDMEYARWLEEHNKHVNELRAAVNAHAGDNDLRGIVDSIMGHYDEIFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLACQLEPLTDQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQRLVYVFK >Dexi1B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:1B:21130732:21133944:1 gene:Dexi1B01G0014760 transcript:Dexi1B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVLSAMLTKSTALAKVPWIEVSRSRSLILSLEVKAFVVALTIGVYVYLLTLS >Dexi7B01G0023280.1:cds pep primary_assembly:Fonio_CM05836:7B:27892444:27894545:-1 gene:Dexi7B01G0023280 transcript:Dexi7B01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNVGKPAAAPMSAGSSDPSPSSSAAAASPLPLLRQQQHPHAAAAGHLTPPSPASGGPAPPPPSPASAPREYRKGNWTLNETLILINAKRLDDDRRAGALAGHVHVHGLPGSSPTTPRSSEQRWKWVENYCWNHGCLRSQNQCNDKWDNLLRDYKKVRDYESRTAAFANAAALVVSSDAGGGGGPAPAPPATAPSYWTIDRHERKERNLPTNLAREVFDALTEVLYRRAARRGRGGAEIGVASTPPQLALPPPPPPPPPPATPSSPPKPLMLQPRPPPPPLLPRPTAVAPPATSVSAEELTGSSESGEEEDDEGSSEDGEQPEPKRRRLNRLGSSVVRSATVLARTLVACEEKRERRHREVLELEERRLRLEEQRTEVRRQGFAGLVSAVNSLSSAIHALVSDHRSGDSASR >Dexi4A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:4A:4882381:4883517:1 gene:Dexi4A01G0006790 transcript:Dexi4A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRHVLPFLRASSSFPSPVYHRACLLFNSKSTSAAAPFSLEDYLVAACGLAPAQARKTAKRAFDESSKDSKKAFEDLPSSRSRLHSASNPDAVLALLSGVGLSRADIAAVVTVDPLLLRSSPKNIDPRLLALRDRHGLSAPQIVRFLLVGSRVLRSCDIGRTLEFFTPPFSAHLNKSSWPLKDVVLHAEELGVPRSSLMFSQDMLVAASNTKETVAARLEFLKSTLGVCESKVSTAVSKMPTILGISEECLLNKIQFLKEVGLEPQFIVDRPNLLEFSLENRVVPRHRVMKALQAKGLLNSNISFYAFLKHGEQTFKLRYIDCHKDSVPG >Dexi3B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:3B:13871330:13875208:1 gene:Dexi3B01G0018650 transcript:Dexi3B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKSPLSLSPMGSRDRDRELLIPVSGGGSAPGDGDEDGDRASSSSASAALSSSGREAFHKVVRSWASKKFMTGCLIFHFHSDDSTGLGFITSVTFIFLIGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQVILGDRTAAGRS >Dexi8B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:8B:6972049:6978075:1 gene:Dexi8B01G0006140 transcript:Dexi8B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLDAAISGDASGILQLASRQPSLLFETTPQGNTCFHIASIHGHEGFCKDILALSKSQSLLLLGTINADGETPLIAAVTRSRAAFASVLLGWCHDRQLSEAILKQGKHGCNAGMAPLMNIFWLRHCIEAEPALSKGIVKRVMETRPWLVKQENELLKTPIHQAASEDKVHVLTLLLEHNRSLGYQISTDGLPLLWVAAYWGHVGVARELLKHCPDAPYSTAEGSTCLHIAVQRGHIEFVGFVLESQHLQHLVNMANDSGDTALHLADKISDDSNKDMLIARKKMGDALAEDEDDTASAPPAMDSRLLEAATTGDAKTMQSLAMHDPGVLLGTTPQGNTCLHIACIHGHERLCEDALSLNKSLLTTTNLQGETPLLTAVISGRVPLASFLLGCCREEKMREAILKQDGRGCNALHHAIRCGHRELALKLIEAEPDLSLAVNKYGESAMFMVVMRNYADVFEKLLEVPDSAHAGARCYNALHAAVRNGNSAIAKRIMETRPGLAKEKTNGDNTPMHLAVSWNKIDVLRVLLENDRSLGYIDYDTPGGTPLLLLAAFRGHVGVVQELLKYCPDAPFSLADGWTCLHEAVNKEHMDFVEFVLRSPELRKLINMQDSNGETPLHRAVRKCNPKMVAALLHHHDVDVTMQNTEGAIANWKLFNASHHAKTLNWNEVSMLMLKADPEDATSLLNLHKEAKDKVKESQRNDIKSLTQTYTGNTSLVAILIATITFAAAFTLPGGYSTDPGNEGLPILSRKYAFQAFLISDTLAMCSSLAVAFICVIAKWEDLEFLLYYRSLLPILTKLLGEWPILKLRFRLGRTFKSELLDMV >Dexi1A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:1A:15648400:15655470:-1 gene:Dexi1A01G0013260 transcript:Dexi1A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSCGKTIDDIVEFGEMVGAQAKEERVAKFQPKVQVKLAKAAKSQKINQKVEASAVAVATQNEMDDDIQTRFHYDQQQDPKCHGSVQTPDSEGLLAADNNDVGSLGSLDSVLEESDQEETIAKFHPKLRLKAGMVSSKVVRTNDNITVATPMVRVCAENTDILTKPKDKETVTSPCTSPQDVHATVDFDNNNELINSPLDGTQLMAGEASDTNYETRKSSEPDGNLSNLYQQTAEKEHNDNESLYREGEPSEHAIEQPTKSGVRSSMKLRCRKRSNKAETSKNKDDYVDEDCVEPSLGEEDNNSGDDYTADTKRKVRKKSRVGVEESKQEKVQKDKSQVSSRGRKRTSKDALAEKPEKKLTHRIRLTRAKEVKTLLETHPDDINPMELSAAHLRLLQEARERVNAKENPSGPSSNTSSFQLNDMDDLDYRDEEARNFDNERIENHVQNVTKLNYHSYMNKQSRGKWSKSDTDMFYKGLRQFGSDFAMIQQLLPDKTRHQVRAKFKTEEKKNPLLVHDAIIHRSGDNLYFKKVIKQLNIEDVAVQEVNNTHKEDGTSSEQGPKKENALDDFIQEEEYDSNWLDEEHGVQKPDVQEELASGNHDDDDLGDVFDWY >Dexi9B01G0021000.1:cds pep primary_assembly:Fonio_CM05836:9B:15635908:15636385:-1 gene:Dexi9B01G0021000 transcript:Dexi9B01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSISAPAPASSAFPLTTVARFPRSSACPVRASALAERRRTRRRRAPEDGGAGGDRSAVAGAVEKGLRLAFLEQLAERARAADAVGVADTIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAA >DexiUA01G0004070.1:cds pep primary_assembly:Fonio_CM05836:UA:8052939:8054201:-1 gene:DexiUA01G0004070 transcript:DexiUA01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQADVVAFFRDAPPRVIIEIVRRLPSRSILRLRAVCKGLRDVMTYRPLLAAVHLLHPPQPLMCFGLVACPNDLNIKLRDYCVEALDLRSDTLRPVLRFTDNEYYDDMANVEGLDAPRIFVFSKDQINYGEVDDGAGPPELVVHASVDGLLLVSFVNLWYVCNPATRQWAALPDLSDYDVIGLYEHVSSGDYRVLYHTGKDDENASTCYYVLTVGDQEARSIGCPISPAATEDVGLDIGLVPSSLAPPVQLGCNLHWPPQERQGFQILVFDTEAEVFSWMRAPNPIPNGSMHLLEMDRRLAMSVSKTSKATLQLWRLEDYSIGVWFQVYRITVQVPRIRDLHYIDYFPRVVSPEGDVLIECSNKLILHCDKIGNLLQKFQFREEVPLVRHVLKESLLPHAFFLTPKPDDVVELPFFQGL >Dexi7A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:7A:23803683:23808059:1 gene:Dexi7A01G0013870 transcript:Dexi7A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPVADPTIMDDAATRAPLLPETDPRSGAPTAAGTQRRRPPSTVIPAIVSAVLLLAVAAIAVLSLQPHVDGEQAGGAAVAAGRVVEVAASRGAAEGVSEKSTAPLLGAGDARLREYSWTNAMLAWQRTAFHFQPTKNWMNGPLYHKGWYHLFYQWNPDSAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDANGVWSGSATRLPDGRIVMLYTGSTKDSVQVQNLAEPADPSDPLLREWVKSPSNPVLVPPPGIGLKDFRDPTTAWQVPNDTATWRVAIGSKDRAHAGLALVYRTKDFVRYDPTPAVMHVVPGTGMWECVDFYPVAAAAGEHQNGLDTSAPPGPGVKHVVKASLDDDKHDYYAIGTYDAATDTWTPDDATKDVGIGLRYDYGKFYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASVQSVPRTVLLDTKTGTNLLQWPVVEVENLRMSGKSFDTIKLDSGSVVPLDVGKATQLDIEAVFEVDAAAVEAVTEAEVGFNCSTSAGAAGRGMLGPFGLLVLADEHLSEQTAVYFYLAKGTDGSLKTFFCQDDLRHVSASKANDLVKRVYGSLVPVLHGENLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYDAARVFLFNNATNARVTAKSVKIWQLNSAYIRPYSASSL >Dexi1A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:1A:22815227:22815865:1 gene:Dexi1A01G0015780 transcript:Dexi1A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILKSPHLPASLRYAFLIPNTLRFPFPSPTPLPLPSAPRRGRAVSDPAAMAEEQQQQRWQEGHRRLMCANNCGFFGSPATLDLCSKCYRDLNQRQPSPVAAPATAPPQAAFPPPSSSSAAASEAAGLAAAFAEPEAAAPAATGAKPAGRCASCRKRVSLTGFPCRCGATFCGVHRYPERHACAFDFRAAGRDAIARANPVVKGDKLKDKA >Dexi3B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:3B:3620396:3620878:-1 gene:Dexi3B01G0005420 transcript:Dexi3B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTITYPLLLLLLLAAAAMAADAAAGVNETSSPTAYEMLERYNFPRGILPAGVQGYELRPDGSFEVYFPRTCEFLLARTWLVRYETSVSGKVADGKLTALQGISVKVVFFWVGVAEVDRAGENLSFYIGPVAKSFPLGVFAESPRCRGYDVSPAAVASS >Dexi3B01G0036850.1:cds pep primary_assembly:Fonio_CM05836:3B:39675714:39675971:-1 gene:Dexi3B01G0036850 transcript:Dexi3B01G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLASNEPPAKALPQSTAPESMTYDVPVCAHAAVASRERVGELRGEAGRLGLREQAAGLDEHKEVPARAKVEHEVDDD >Dexi5B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:5B:5302219:5302659:-1 gene:Dexi5B01G0007830 transcript:Dexi5B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALWFFLFSSVWVALSVLSMPTAAEDGQGRVHCRPVLCGNVNISFPFGLVSDPGDAAVRCRNNIPYLGYYQTEQNMQILSIFYGNDSLLIAYTGKAEGLNMSSPRGCQSLAANITSELGPPFSISPMNQNLIL >Dexi2A01G0030800.1:cds pep primary_assembly:Fonio_CM05836:2A:41607354:41607835:1 gene:Dexi2A01G0030800 transcript:Dexi2A01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKIIAATALCLLLMTCGVEGMLCKIRSSTFIGPCWYNMNCGQHCIVEGRTGGYCKGVPLFKYCMCTFDCDGDGGGGGGGGGGGGGRGGGAQPAPRVPPRPPMSALTVKARKAGSFE >Dexi5A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:5A:18358387:18363191:-1 gene:Dexi5A01G0016530 transcript:Dexi5A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGAAAPRAGDLVTTQVSLGGFDATVKTRGLADFLESEVGQVWRCRVKTSWTPPDAYPDFLLPAVAPAAGAAGQTPQYDRVPPHAFVHFARPEAARRAADAAGRSELILSGKPLRAASAPDSSLRASRRCSVKPFRFTDSRLEIGDLPAPDAFVAAWRGPDAGLEFSVDPFDGTCRFVFTRDTAFAYQEYRQAAVVMRCDVKLEFPVRDVAEVRTFQLGSALLLRLSSAPLVYYRTADDDIHESVPFDLLDDDDPWIRTTDITPSGAIGRCGMYRVTFPRRFWPKMERALAYMRERRVEIVECGGGWGSRRGLTVRDEPEFGERMQDLFFCVQHAEGLKFPVLFLVNALVHKGVINQHQLTPEFFGLLQRREDEVNLAALREFWGDKFPVFDACRRLKNLQDRVARNPKLLRHKIGDENSEVRRLVITPTRAYCLPPELERTNRVIRHYREVADRFLRVTFMDEGMQQLNSNVLNFSAAQIVKDLMSNAFQLQHKTTVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRTRTVDSIRKWMGRFTSKNVAKHAARMGQCFSSTYATVVMQSHEVDECLEEVERNGYIFSDGIGKITPDLAMEVAKILQLTDNPPSAYQIRYAGFKGVIAVWQGENDGIRLSLRPSMHKFESAHTVLEEAMLSNLNNILSDTDVSFDVVTTSCAEQGNTAALMLSAGFSPGTEPHLKAMLLAIRSSQLLGLLEKTRIFVAKGRWLMGCLDELGILEQGQCFIRASSPLLNNCLVKHGPRFSSANRNAETIVGTIVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKNGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYTPAEAKQLPRQVLQHDIVDFFLKNMVNEKLGPISNAHVVHADISEYGAMDEKCIQLAELAATAVDFPKTGKIVSMPPSLRPKLYPDFMQKDEAITYKSDKILGRLYRSIQEASSGDLVPEETCTLNDLPYDMDMEVPGATDFLSSAWQCKCSYEAQLNALLNQYGVRTEAELVTEHIWSLPKYNSRKQGDIKERLKNAYSALRKELRSTFESIETDQIEMSDDEKNRVYEMKASAWYQVTYHPKWVQKSRELLGPDCEVMPARLSFAWIAVDYLARIKIRCHGEVKANSQRPVERLAAYISESI >Dexi9A01G0031380.1:cds pep primary_assembly:Fonio_CM05836:9A:36345809:36352273:-1 gene:Dexi9A01G0031380 transcript:Dexi9A01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPPPPRFQPSLHLQDTTPNPSPSHRTPRPAPTTETLRRRLLRRGVSPTPKILHALRKKEALKALRRARKDIAAAAAAAQHPREEALAVAEEDEEETRFRAAAAEYRALVGRPWDGAARGVALPRGGGREEEGLEGLKEMLVARRGDGFRWLLDDDIENEAAERNQQKRPGTGWDSEAEDEDLKIQLLVTRFVYTKLLSILGKSLRATEALRVFTIMRGDAQIYPDMPAYHSIAVTLGRAGLLKELIKIIEYMRQKPSKKVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRISGVPPTGATFGLAMEVMLKAKKYDFVQKFYEKMQKNGVTPRAITYKVLVRALWEQGKINEAVEAVNDMEQRGVVGTASVYYELACCLCNHGRWRDAMLQSYLLEHALDSILERAEIPDAQLIVELICQSIAQRDYGRVLQLLNIMTEASIKINEVEWANILQQNVHQFSVDALQDIIKYLSSSGSINADPALSFVKALGSQCETTSMKSTCLLVDGSSTRQCECSLLVNEGKTLRNNLTEQDQLTDTCKNLCTNEHLDVPHSDRDDIPQLDVAAVMSRDISLSRPRLENINGQCDLGHWGPQVSAIDEVLDSMSSYGDSSYREMPSASEILELWEQERMNDVFDPKAESKTTLIRGL >DexiUA01G0016750.1:cds pep primary_assembly:Fonio_CM05836:UA:35831201:35831641:1 gene:DexiUA01G0016750 transcript:DexiUA01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADAMRLSIAHQTRFALCLYAALNSSPSATPPSNPSNAVFSPLSIHVALGLLAAGAGGATRDQLLAALAGGGRAADSLHALGEEVARVVLADGAEAGGPRIAFADAVFMDASVKINPAFEEVAVEKYNAYIHSVDFKNKVN >Dexi1A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:1A:23591285:23594391:-1 gene:Dexi1A01G0016400 transcript:Dexi1A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHEHDRNNDGGHDVIHDHEQHLRHEPPSFTMRADEEVSDPKALLEDRSKAKCVSQWYEYQKCVKRIENDETGHKHCTGQYFDYWKCVDKNVAEKLFDMLK >Dexi4A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:4A:795402:796073:-1 gene:Dexi4A01G0001230 transcript:Dexi4A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAGGDGEKSMSFRCLDVARYVVAAAVTVLIMAVIVNAVKVVLRPESLQLSVVGGSIVSGGRFVTEPPPPQPPEVGLAFNMDLRALNPSGRARMYYVNITAYLFDKNTSASASSTPEDDSIFYIKPHDIAVLQQETVDSLVTAKVTKEQVTPPPYYDMLYNGSSISDVTLRLDGELVTEVNSRLNETRPMTSYYCEQLLVGGDSDDLKGRQDVICRQQGRS >Dexi9B01G0036380.1:cds pep primary_assembly:Fonio_CM05836:9B:37916417:37919859:-1 gene:Dexi9B01G0036380 transcript:Dexi9B01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRPATNGAAAAAAGGANPTVNRWNGRPYTARYFEILEKRRTLPVWQQKDDFLRVLRENQTLILVGETGSGKTTQVIDVLEATLSWAGKIGQIPQFVLETEGLGNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEVNNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTQLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPRDAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNSEDPQWCYENFINSRALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNGMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERATNRG >Dexi2A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:2A:30534424:30548509:1 gene:Dexi2A01G0018460 transcript:Dexi2A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASGGAAGGAIAGGGRGIPLRVTAGTLFSFTSCLEDAKKEARVYLRTRRKGRVRLFTCTGTIIGHMPSEMSILTSANLVTCHEGGTTIADNLKIMVRLPNGNFVKGKIWNYDCHYNVAVVMTKSFKEFPVAQVCNEVRLNHGSSHADLVAIGRCYESGELMATSGTLLYKTSNVDLLMTSSCKITKAGIGGPLVSCFGNIEGMNFYAKDETPFLPASLLRKCFDHFEIFGYVFKFLWIYLWRVVQPWLGLRIGSLQGEKLSIREEIHDSFPHTNGVYVKMVNIHCVSEGSPAKNSGIIAGDLILKLDGVALFTAQAFHELILEKTQCALRNGEGMVFEVYVLRPSNDFEFFATINAEEIDTRNKNRYLET >Dexi2A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:2A:11455936:11461623:-1 gene:Dexi2A01G0010300 transcript:Dexi2A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHRPSLLLRRRRSIPAASSLLVLLRRQPFASSPSPPSPPSPASSKPPALSARLAFVFDQLDAIDRSRSSDISARDAALRRIQSWRRPPPPPEAPPLEAEPGPGPGTDEPKKEVDAVEAAAAGDEVARMSMEEVLRREVELVHPWPEWIELMERLAQQRYFDLGRASGADEASMAAAVPMDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQILVGHGCPSMDAKALFSAKLIRKLVHLDEGDGTVENKPLLKIKSLKTVIRKLIHEIVKLSAVPIDPNLPPPVIRKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNNICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPADEYSKGQMEAKQSALRKRLERPPRKSDVSSSWNFDFDDNESDGADVAAFEFADSSKARESSSMDNISFRDSARGSEGEEFRMAETMSRGRDNKFSERDSLPSSRVGFDDFDDEEDDIDSYELDLSKGSQTGGVSRMSYSDLENGSDSEGFDEFDSSRKSRYAAKDDIAASSDEDEFKDHPSLRSSHLADSWHQTRGQSGSNNYRRASFGSESDDGINSDLDKDIDKGFRSKRSRSQGNPDRAAVRHNALAYSDDEPFPDDMDSGMVDQFWSRRSKSSTSISDNFRGRSRNLNDRQSRGDHYSRTKRDEQFDGFDVCHGQQVSDRSRRFRGNQLDNGSRGSQRNNGSRGSQRNNDSRGSQRNVRRNWDRSGDFDSRHSDF >Dexi3A01G0023750.1:cds pep primary_assembly:Fonio_CM05836:3A:19396288:19398601:1 gene:Dexi3A01G0023750 transcript:Dexi3A01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Dexi8A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:8A:22343885:22345803:1 gene:Dexi8A01G0012660 transcript:Dexi8A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLDGALAGAFLREACYLEACRGHPCLVELRVAHLDPTGDSTCSSLTGASRRPRGTTVRCPLGKDDAPPLVPAPHAAWVVVAAAGSRGRRAAPQLLDLTNAKRRRSYWILRTPGAAAAAPRWAYDWFVHILSFCCPVLEDIELKSCAIHVTMFSSTKLKSLTIIGTHNEKDFPIEFQYLVIDMPNLASLRLEEIPRRNIHLVDVSSVEVASIYLDSLSFGNSQVDFSILSSLSNATILTLVSPSVFEDVVPKSGDDDYEILPNADAEIDPPCQEAVTTFSCKNLRKISIHCDPRGDKRAQIIVRIVSAHLCPLPEIKIKPLVTQD >Dexi9B01G0037270.1:cds pep primary_assembly:Fonio_CM05836:9B:38748259:38750666:-1 gene:Dexi9B01G0037270 transcript:Dexi9B01G0037270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKPGLTMKLLCSSALLLLCCLLLPGALAEERFYEFVVQETLVKRLCKTQKIITVNGQFPGPTIEVYNGDTLAIKAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFTIQDQEGTLWWHAHSSWLRATVHGALIIHPRRGLPYPFPKPQKEFPVILGMRDPIAVLRQSMITGAPPNVSDALLINGQPGDLLPCSSQETSIIPVVAGETSLLRIINAAMNTELFVSLAGHRMTVVAADAMYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAIFQYKNAPGCPTTSGSGAGMGPSTFNGPVAGRSSRSSSSPGHLGRTGPQPMLPFLPAFNDTNTATAFSNSLRSPVPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTADFPAFPPVPFDFTSRNVPRPLWQPVKGTRLYRVRYGAVVQMVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPRRDEAKFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLSGGLAMALLVEDGESELEATVAPPLDLPVCVL >Dexi2B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:2B:25008680:25010984:1 gene:Dexi2B01G0015000 transcript:Dexi2B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRARRLHPTLRRLLLPTTPAPSRAPPLPPHHSAAAQTTSKPFPILFRRHLCSPPPPTPPAAAAPHPPAVVSSALPAVSANSVCPGCGISMQSSDPALPGFFSLPSPKSPDYRARLAPITTDDTQISASLKSGHLREGLEPSRGAEPPPAVAAAAADTAEVKGEGKVLVCARCHSLRHYGRVKHPDAERLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHRADWKHGAPANLPRALLVVTKLDLLPTPSLSPDDVHAWAHARARAGAGGDLQLAGVHLVSAARGWGVRDLLDHVRELAGARGNVWAVGARNVGKSTLLNAIARCSGVVGRPTLTEAPVPGTTLDVIKVDGVLGAQAKLFDTPGLLHGHQLTSRLTSEEMKLVQVRKEMRPRTYRIKTGQSIHIGGLVRLDIEELTVGSIYVTVWASPLVPLHMGKTENAGTMIKEHFGLQLQPPIGQDRVKDLGKWVRKQFKVSGNSWDTNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVISRSSLVHERASIFEEAGFTVSQIVSKADSMTNKMKGTKKTHKKKESKTSTSPITAPDAAESASRVDA >Dexi6B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:6B:1470608:1473358:1 gene:Dexi6B01G0001700 transcript:Dexi6B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEAPPPPPPGANPHGEVTVNRSLFCFPANNSASTSSGIFAGDDPLKFYFPLLLYHVCIVFFLSRVIHALLRRSNVPLVISQILAGAFLGPSFLGKVFPNAGKLFASPEGWVQINTVGGYAFMLQIFTVGVKTDLGMIVKSGRKAVAIAFFGTAGPHLAMLAAGAALRSRVPATWKATFMLTNLNSWWSLSAFIVVCSTLDDLNLLSSKLGRLAMSAALVGDFANTFSIAGVTSYLLASSPSEKIHRIGILSLITFSVFIVSMAFVARPAILRIIRDVPEGALLGEARLVGVLLIAVACTLAGEFLGLHATYGPFMLGLMLPGGAPLGVTLDERLDRLVAGVLMPLLFAQGGVRLDVFKLADASTCLLLEVFIVVGAVAKFVSCVLPCLYSGMSCRESFIEKYVAYRRCTVEHRNVGEELRVLACVHSQADVEPMLALLDASSPTPASPIAVYLIHLAPLAGLTTSVLRPFKHGDRNCVPSGGTDSERIVNAFQFFVHQQAPGSASLQPFVCIAPYATMHDDVCAVALDKGATLIVVPFHKRLAIDGSVENTTANAGDVKAANLNVLSYSPCSVAFFVDRGSLSVVSTGSVAAAVAVDADGFFFPHRVAMYFLGGADGREALALAAYMAEDAAIGLTVFRFVLLPEWRRKGGGGGDAKEDRLDDEVVQEYVQRWVDDRRLLYSENEVRGSDELVAVIRKASPAADLLIVGRRAECPESPMTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTKAAAGEIGRSPEKTMRLKDTEITN >Dexi3A01G0021090.1:cds pep primary_assembly:Fonio_CM05836:3A:16727393:16729111:-1 gene:Dexi3A01G0021090 transcript:Dexi3A01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHWLSVVGSVWLQTVNGPNADFPVYSSQLKEIKGISQVKLNFLASASDVGKLFGWFAGVAALYLPLWAVALTGAAFGLVGYGVQFLFLDSPRLAYGHMFVLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLVTSYLGLSAKLYTTVAETLPRAARARYSTTKVYLLLNAVVPVFVALLAAPSLRVVELNKDRSKKTTRAPFLAMFAITLATGACAIVGSVGAKSIGLSTREHMVSLYVLLALPLLIPVALRVRESMAKIRETAKWENRVHDHDSDGPETVVVEVDAEDKQEEEQGQHQQDGEQQSISQEEVGGLQLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLANASTLVSLSSSFGFFGRLLPAFLDYYTAKSGYNLSRTASMASLMAPMSGAFFLLLHPRDMFLYASTAVVGTCTGAITSVAASATNELFGSKHFGVNHNVVVANIPLGSLCFGYLAAYMYQRGAHGGNRCLGSACYRDSFLLWGATCALGTALCTVLYARSRGSAGKRLPR >Dexi7A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:7A:28077098:28078932:-1 gene:Dexi7A01G0018790 transcript:Dexi7A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVERAHELYRGGRHREALELYSAALEAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKEYQSALFDVNRLIEIYPSSEVYRNLQARLKTQLSLAPIPECEEESLHLEEEKEELPPKGDQNIETSMAKPDKPETELVLENKPANVPILDKKHAPEPQKVEVPVPSKPQGWEAIPKPKGHSGLDYSKWDMVEDDSSEDEDDEEEELPQYKFKVRTVGVRPVK >Dexi8B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:8B:613214:613674:-1 gene:Dexi8B01G0000890 transcript:Dexi8B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIATHHLQIYGGELRRRPFVGMPPAAAVLVPWIGIGGGGTMAPAPAASIDAVPTPGEVCAICKEDLPLASAARRLPCGHLYHSSCIVPWLEVHNSCPVCRSRLPCNQSSSEQDTLPPTAASDSDQLPSQAAATATEQGEATTVVLSV >Dexi2B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:2B:8169740:8173197:1 gene:Dexi2B01G0007960 transcript:Dexi2B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGATADGGAEITLEYTPTWIVAAVCCVIVVISLRLKKGSKKPLYDALLKIKEELMLLGFISLLLSVFQGPAQKICVQESIMHHMLPCPLPASESAAGAKYGAAMFTGVLGGARRLLAGGGAANDYCQKKRSFFKQFYGSVTEEDYTAMRLGFVMKHCKGHPKFNFYKYMIRAFEADFKKVVGIRMVCLHMVIIGPFHRY >Dexi1A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:1A:10871281:10879094:1 gene:Dexi1A01G0011720 transcript:Dexi1A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPLNRRPRYGRPSPRPPLPDTGGPCFCSPGADRHASGHFKATPSEAGQLSKAAVGKPTSRHPLAVGVYIVYMGEKKQDDPSMVTASHHNLLTSVLGSKDEAMKSLVYSYKYGFSGFAAMLTESQAKTMSSTPEVVSVSANTHYQTGTTRSWHFLGHDDDDHQSPASELLKKARYGEDVIVGVVDTGIWPESRSFDDSGYGPVPTKWKGMCQEADPTAVDANAAMSCNRKIIGARWYTGDVNPEQLNGEFMSPRDLNGHGTHVASTIAGGEVRNVSYGGGGLAAGVARGGAPRARLAVYKACWGASRVSCGKAAILAAIDDAINDGVDVLSLSLSDHDEFAGTLHAVARGISVVFIAGNYGPAAQTVRNAVPWVLTVAASTIDRSFPTVILLGNKEKLVVMGQSLYFDASGNSGEFHELVNGFSCDKQMQETIDVRGKAVLCAAPWEASATPPATRFRVANEVTGDAGAKALIFAQANGNLPENLDNCRPNMACVLVDFEIAHRIASYVKMESTPMVKIYPAVTMVGSGVPSPRVADFSSRGPSAAFPGVIKPDVAAPGVGILAAAGDSYKLLSGTSMACPHVSAIVALLKSIHPDWSPAMIKSSIVTTGTPSVTDRFGMPIQAEGSPRKLADPFDFGGGHIDPKRVADPGLVYDIDAQEYIKFFNCTLGPKDHCDRSMGQMYQLNLPSIAVPDLKDSVTVWRTVTNVGSVDATYRAVVEAPMGVKMVVEPSIVSFNKVGNRKATFQIILEIRQKHRIQGDYTFGSLTWLEEGGAHEVRIPVAVRTVIQDFVADTS >Dexi7A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:7A:24359110:24361656:1 gene:Dexi7A01G0014430 transcript:Dexi7A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDKIAEPKDPFLLTARKVQSLEPPIPIKASWKGKNSQQQEEKDLPADGEESFRSLDSSDEGGWSSFSGASHPPEPIDMDIMKTVYVAIDEEKSEPPVCLVRGVSMKGPFIDVTGTKANLVAGEGGTEGLAEERKVSSSAVPSVATARSSQATSLPQDLEEKECVWDASLPPSGDVSPHSSIDSMGVVTAMSTLNICTNTHKSEAVASEGMLTMERNCGSVKGVRGDLLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGSFCVQPACMEPTSACIQPSCFLPKFFGQKGKKKIRKTRSELGQNAGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYASRDLIRGLLVKEPQNRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >DexiUA01G0006880.1:cds pep primary_assembly:Fonio_CM05836:UA:13248411:13252295:1 gene:DexiUA01G0006880 transcript:DexiUA01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGGGTYMAPAAAEEGKEEQGMEEADTVAPTAPERQQDAASTAGEIKKKIDEAIHSLGSWCSRCSEMLQAGGRAHVTGDFERRSLEFIESELSYILVYLNKALSSQHFDDRQTMVVWMEELIRTVPDALSEADPQRENTLLRKATQCFHRSPISCHGLPPPLSPVNLGLYPSYQDIPYVNFLLMMSVIMIPYGYKFEKDRLFRKLFCETSIPYFNSAEVDRYFSDLVNLNAISDEAANSRLGDADEAGAWQWNVNQHDHQVLVSESAGMDFIYTSDTLNLLEADFATYIRKEASRTPRRLALHHDVPDIQSLLQYVDLLQTRSLAVSGAVSRSVPLHRFRNLVVLDVEGWKNFGDAELFRICKAKMFFLVYLSITNTGVSKFPPEIKELCSLQVLDASYTQVTELHLGDFEETRLHRLDLRGTPIRKLTIPKQTLELQVILNTLLLGGEGMVKSTETAPILPHDIRHFERLRILATVDLSKQPASFINALGELCYLKVLAITWSFHQSSDRDYCEGLLSSIKKWKDLQSLTIHCGYGCSMEFLGSISGPPEKLEKFKVIAGRFVVVPQWFHGLEHLSFVQITVCKLEPHDLEILRDLPTLKCLVLGLDFIPKEAIVFQNEGFHELQRFSVECPVPWLTFESGAMPMLTYLQLELHPCPTSPVSVPMDISNLRSLAKVALWYNVRHANSSSIKRTVEAMRKEVAECHNATKMVSLLINGTERGDVQTVDGETESATGAPSGTDAGPEGDVQEVDEITQAQSCSKAYAHSPGDMACNSKLTNLRVVPGPNGGVLAGGSDDDPAQLPTTRPSTMTVVITNAATSVSATCPEIHYASRKKFQKEQRWGTRDSHTVVPSSGLSALRCIVGAWSRKLSARVPDGEIDRGRPARRRVLESAAARKAEELRAGRATQSLGLIPH >Dexi3A01G0032430.1:cds pep primary_assembly:Fonio_CM05836:3A:37340003:37341945:1 gene:Dexi3A01G0032430 transcript:Dexi3A01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAGGQRAPLRAPPPPHRRHSHSPSTTTHSPLAGDHQQARPSGGAGTTTLVVDVDGALLLPRRRCSLFAYFMLVALEAGGFLRGLVLLLLFPAVVFLGGDAAVRAMAAVAFCGLRAGATFRAGRAVLPRWLLEDVAAEALDAVRRAAGDDTAREVWASSMPRVMVEPFLREYLQVVPGEATVAAREMKTVWGFYTGLMENGCHGNSQAMSVLLRRKKNMAAGGGEDDDVIVGFSAAGSTSFLSSPLASICKELYVVTPEEQSKWRRLPRRDYPKPLVFHDGRLAFLPTPPATMAMFMWLPLGAILSIFRLAISLALPYRYATVVHAATGQSWRLRGSLPPSTGGDVSGVVSGELYACNHRTLIDPVYVSIALNRPVRAVSYSLSRVSDVLSPIGATVRLARDRERDGAAMARLLAAGDSVVVCPEGTTCREPYLLRFSPLFAELAVAGGVVPVALAVETSMFYGTTASGWKGVDPFYYLANPRMCYTVEFLERVDTAAAEGKKSIDVANRVQGIIAAALGYECTTLTRKDKYRMLVGNDGAVAAPPPPARRTCADMRVPAGAVSG >Dexi9A01G0048030.1:cds pep primary_assembly:Fonio_CM05836:9A:50897880:50900545:-1 gene:Dexi9A01G0048030 transcript:Dexi9A01G0048030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVVLREWFDRVDAGRTGNITAPQLQNALAVGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQSVFATQERGRGFLSLEEVYEALIKLSFSLDSPAFYSVCESFDKSKKGMIHLDEFISLCIFVQSSRNLFNSFDTSKQGRVSLDFNQFVYCS >Dexi4A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:4A:4611393:4616683:-1 gene:Dexi4A01G0006410 transcript:Dexi4A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPSSGKRHAEPSAEAAMAPARRPAPVKLEVEEFGADERGPLSKRAKGVQLTPPTPPQQQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGLEPSKKKDNKPGTSAAGERLKASNFPANILKIGTWEQYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKSTCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQARAVAIGVQGVSRNVNGGAPEFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEEIAQYLLGDTQGPPVSASDERSLMARVDSLCCLIQKDAVPIAKPKPEPNDSDGIGMGASDGSDEEFSSAPTGKATDATEPPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDTEN >Dexi2A01G0021970.1:cds pep primary_assembly:Fonio_CM05836:2A:33894566:33899049:1 gene:Dexi2A01G0021970 transcript:Dexi2A01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRDGGSMAEVHSGLYHYPLLMTMDGIEARARELGIDLYSVDLDTITLPAGEDSSILSDNDDDEILKNENLPELEMGYANTIVVDNLPVVLPEMFEKLEIAIRKFFSHTSVGVIKEDGFWMPVNPDTNMTCGYCFIEYNTPEEAELAKEICNGLKLDKSHPFVVNVFDDFERYKKVPDEWMPPEIKPCTRGENLQRWITDEKARDQFVIRAGTSTEVYWNDTRQLVPELVYRKQFWTDSFIEWSPLGTYLATVHRQGSQVWGGEDKFERLMRFAHPQVKLIDFSPGERYLLTCSCHEPSNPRGTNRVVLNIFDVRTGKLMRGFKGTTDEFMTGGNIGFSGVSWPFFRWGGALFVPEMGGGNQPARVCLVHIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKTTYSGFELFRIKEKDIPIEVLELDNKSTRVIAFAWEPSGHRFAVIHGDDHRPDISFYSMQTANNPCRVSKLITLKSKEANALYWCPSGRFILLAGLKGFNGKLEFYNVDALETMSTTDHLMATDIMWDPTGRYVATAVTSVHEMENGFHIWSFNGKLLYKVLKDNFFQFLWRPRPQSLLASEKEEEISRNLKKYSKRYEQEDQVTFNQLSELQHKKQKQLQEEWDAWVAKWKQQHKEERELRVELRDGEASDEEQEYEAKEVEMDEVVEIKEEVVTFELGQE >Dexi7A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:7A:14477672:14484406:-1 gene:Dexi7A01G0004110 transcript:Dexi7A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAAADVAPETVGQAVIPLVNSLQDIITRLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAPEEWGEFLHLPGRRFHDFEQIKREIQLETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRTMIMQYIKHPSCIILAVTPANADLANSDALQLARLADPDGSRTIGVITKLDIMDRGTDARSFLLGNVIPLKLGYVGVVNRSQEDINFNRSVKDALAFEEKFFSTLPAYHGLAHCCGVPQLAKKLNMILLKHIAYMLPGLKTRINAQLVAVAKEHAAYGDTVESTQEIDPCKSITDEDIRTTIQNSGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKISHGCLTSELQKFPILKKRMSEVVSNFLRDGLRPAETMITHIIEMEAILDLCILFKEMDYINTSHPSFVGGNKVVELARHEVLPPKTSAPLCGRK >Dexi2A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:2A:6315229:6323431:1 gene:Dexi2A01G0006740 transcript:Dexi2A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGDRSKGKGAGGKADLRPDRKQFKKHRKEAAPAAEQGGDDGERQQPGSAALLAAASDEADFPRGGRSFLSKDEVAEARAEAEEDFEREGKKGKRKRKGGDSSGFGPDDDLGTLFGGATTGKLPRFANRITVKNVSPNMKLWGVVIEVNQKDIVLSLPGGMRGFVRSEDVCDIALQESHKDSDNSICAEVVHVGQLVPCIVLRVDDDKKEGKVLTAQVKSIEDHGYILHFGVSSFSGFMQKADKENVKIERRQLIQCVVKAINKTRAIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVNSVLENGIMLSFLTYFSGTVDIFNLSNPFPSGNWKDDYSKNKKVNARILFVDPSTRAVGLTLNKHLLHLEVPPTNLKAGDIYDNSKVLRIDKKAGLFLEIPSPTPMPGFISIHDVSDKDVKNLEKKFKEGSSLRVRILGVRNLEGVAVGTLKDSAFEGSVFTHDDIKPGMLIRAKVATVEPFGAIVQFSSGVKALCPLPHMSELEHVAKPPKKFKVGAELLFRVLGCKSKRVTVTYKKSLVKSKLDVLASYADAKVGLVTHGWITKIEKHGCFVRFYNGVQGFVSRSELGLEAGTEAENVYHVGQVVKCRIISVLPASRRINASFITSQNRVIPAETAKLGSIVAGVVERLTPTAVVVSVNGFSKGTILNEHLADHNGQAAQLKNLLKPGHEFDQLLVLDIEGQNLVLSAKHSLINSANDIPLEISQMHPGAVVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRRVEKVSDAFYVGQSVQSHILSVNAETARVKLSLQQSMCSSPDSSFIQGYFLLDQKVRINITILSYSILKKSFH >Dexi9B01G0041380.1:cds pep primary_assembly:Fonio_CM05836:9B:41859349:41860519:1 gene:Dexi9B01G0041380 transcript:Dexi9B01G0041380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDLFSSVRWDLGFSFSFSCETYKEPELRRRPPGIVRRCCLRRCLTCRSATGCDHLLLPFVPVRGHLRDAVESFLDCQFDLFIEIVHRPHQVLWCKGAPIGWYSDDNKPYLKQRAFTSSDHIRGWDGMLILCVE >Dexi9B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:9B:9953020:9953582:-1 gene:Dexi9B01G0014880 transcript:Dexi9B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAKKNGGEAMPALGWWLMAVGALRIGLTLSGFFRATSLGASTYAHAEMTGVHGRTFGVWTLLSCTLCFLCAFNLGNRPVYAATFMSFVYAYGHFILEYLVYHTFTAANLGAYGLVAVTSIVWMLLQWNSHAPRAAKRA >Dexi9B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:9B:2807420:2808174:1 gene:Dexi9B01G0004900 transcript:Dexi9B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIDAELRLGPPGSDVNVVVQPARTAAKRSSSAVKSEASGTGGGNDDDAAPASKVQVVGWPPVRAYRKNACHAAAEAASARTTTKKQGEQGGGGLYVKVSMDGAPYLRKVDLRTYGGYRELRDALDALFGCFSSSLPGFAIAYEDKDGDLMLAGDVPWDMFICSCKKLRIMRGSEAR >Dexi7B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:7B:10782604:10797996:-1 gene:Dexi7B01G0004460 transcript:Dexi7B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPLMGRRSAVCGSADCGFYATAFNSIIILINVDFSKHFRRFMNQYFLLIACLQLWSRITPVSPVTTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERNVWVVKDGVRRQIKAQDIHVGDIVCLHENDEIPCDLVLIGTSDPQGICYIETSALDGETDLKTRIVPPISANMSVEQLEKVKGIVECPNPDNDIRRFDANMRLFLPSVDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFMLQIVVVLVLGYFGNIWKHTKGLKQWYLMYPAEGPWYGFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKRCCINNIMYGNDNGDALKDTQISLLWTLSCIFYDTFHTFSNDDTVSYKAQSQDEEALVNAASNLNMMLISKDSSTAEICFNESKFKYELLDVLEFTSDRKRMSVVVKEGQTGKILLLSKGADEAILPRVYPGQQKQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLENRESKIAEVCDSLERDLHILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGRLCNLITSVSLTPSEPNSQLLSISGKTEQDILRSLERALLITKNTCETKLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGIPTDSTAFSSRKASKSNYILWMVWALTVSCKRFFFFSFSIQALVIFFITICAYADEKSEMQELSMVALSGCIWLQAFVVMMDSNSFTSPQIILIWGNFVAFYMINLILSAVPSLQLYTIMFRLCGQPSYWITMALTVAVAMGPVMAFRYFRNLYWPSPINILQQIEQSNGSIQPSRNVESALKPARTNLTNLLTGTRGSRGSSYQPLLSDSAESTR >Dexi1A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:1A:26133300:26136081:1 gene:Dexi1A01G0018960 transcript:Dexi1A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHIKFSQNYKMPEMRSATRPALTDISGGGFFIRKVASPGAVLVKGAVQPLARQARTPSSNKENVPPVGALAAPKRRSLLPDWYPRTPLRDITSIVKAMERRSRLKDTAARQPILWTEDSSRSAGPVTPGQEESMPTTEEAQALATPATSLANGKLKTSSPSDCSLQATPYKPNDPALSELTEKKLSNSIEQIEKMVRRNLKKTPKAAQPSKRVVQRRILMSMR >Dexi6A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:6A:5537579:5541027:-1 gene:Dexi6A01G0005970 transcript:Dexi6A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSATDVGTILGLVSPNGSQGFGAVVVNDWTVHEGLSIDSKIVARAQGHHAQSSLAGGRWYSSFNLVFEDDRYNGSSLQVMVCMSVDAGEWAILGGTGKFMLAQGVIHKANISGVTKIDIEAVYNEIVVGDHMASFDELQDGVWPWDIPSIVQRDGTPEQLWLELYLRHDATNNQVRVVSPNGSQWFGAFVVNDWTVHEGLSIDSKIVARAQGRHAQSSLAGGRWYASFSLVFEDDRYNGSSLQVMGMSVDAGEWAIVGGTGKFTLAQGLVSGVTKINIDAIYYEIVNGAWPWDIPSVMLRDDTPEQLRLQFGDGSPLRRLQACSGGDVSAASVGEMTAGTDSGMLSLVWVPSHSSAG >Dexi1B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:1B:9484471:9484818:-1 gene:Dexi1B01G0010110 transcript:Dexi1B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAILARQQKRQGLLLHLGGHDIPNLFSPENEDMVRDAAGADLPEGCGGGDGGGNSGGAAWAEAEVQSERGELGAGGRVECDSSERTRVAGG >Dexi7B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:7B:18014565:18016139:-1 gene:Dexi7B01G0010780 transcript:Dexi7B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSPAAVAAAARAAPTPAAALALFKSALSADQALCPLAVLPHLAASPSLPYTLLTASAAARPHATSLRLYARLKSLSVPIPVASLHPLLSSLPSAPAFALFADIYRLRLPLCTTTFNIMLRHLCATGKPVRALELLRQMSHPNAVTYNTVIAGFCARGRVQAALEVMREMRERGGIAPDKYTYATVISGWCKIGRVEDAAKVFEEMLTQGEVKPTAVMYNALIGAYCDRGKLDVALQYREDMVDRGVAMTVATYNLLVHAFFMAGRASDAHAVLEEMEKNGLSADVFTYNILINGYCKEGNDKKALEVFEGMSRKGVCATAVTYTSMIYALSRKGHVQVTDRLFSEAVRKGIRPDVVMYNALINSHCTGGDMDRAFEIMAEMEKKRITPDDVTYNTLMRGLCLLGRLDEARGLIDKMTMKGIQPDLVSYNTLISGYSMKGDVKDALRVRDEMMNKGFNPTLMTYNALIQGLCKNGQGDDAENLMKEMVEKGITPDDSTYISLIEGLTTEDERMAAADAANA >Dexi7B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:7B:24188987:24190077:-1 gene:Dexi7B01G0018430 transcript:Dexi7B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLRHLGPRLFAAEPAVYARGVMPAAARILPARMASTAAEAAANEGASKKHHGGNTETQEEAAAGGQSKKAIVSYWGIDTPKLVKEDGTEWRWPCFRPWDTYTSDTTIDVKKHHEPTTLPDKAAYLMVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVTQPRWWERALVLAAQGVFFNAYFVGYLVSPKFAHRVVGYLEEEAVYSYSEYLKDLEAGVIENTPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDVNHFASVRIDHYYKSPRTIYHCVERL >Dexi7B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:7B:15482502:15484500:-1 gene:Dexi7B01G0007710 transcript:Dexi7B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALNRGLRSGIRLLAAGAEAHNIELSSVKFTSEVLIADFQHTLISDFLLFLYAATRGFHATGVKRMGGHGHDEPYYIHAKHMYNLHRMKHQALKATLSVIGAVSIGVGVPVYAVVFQQKKTASG >Dexi6A01G0004330.1:cds pep primary_assembly:Fonio_CM05836:6A:3964893:3966611:-1 gene:Dexi6A01G0004330 transcript:Dexi6A01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTNRMHSLLPARPPSPSTTAASARACAYRCLVLVLLACHLHHHGASTQPLPPPPPVGEAELLLQIKRAWGDPPALAAWNSTSTAGGSHCRWPHVGCDSAGHVTSLTLVGINVNGPVPDAVGGLSGLTHLDVSHNSIISFPKTLPRSLQYLDLSWNYIMGSIPEAVGNLSSLTHLDILHNSITGLFPTVLYRCRSLQYLRMSWNDIGGELPDDIGRGLGVNLSTLNLQFNKFNGTIPASLSKLQNLRYLILDDNHLTGTIPMELGELTSLEVLSISFNPFNIGMLPVSFKNLTNLVSLEAGRCNLFGSFPSCIAKMSKLENLGLYGNSLTGSIHPGVWGLKKLQQLDVSMNNLTGDMVVGSFVAMNLTIIDVSDNNFTGVIPEVFGRLENLTELRLSNNRFTGTFPPDIGKHSPGLQSIEADDNELTGAIPEALCTAGQLQHFFISGNRLNGPIPAILTKCDTLQELDLSSNQLSGEVPKATWTMGQLAWVSLRNNLLTGSLPSTMSNSLTYLDIGSNQFTGRIPSVAVRLIYFIADNNWFSGEIPADVDSGMPLLRQLNLANNNLSGRIP >Dexi8B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:8B:25367014:25368912:-1 gene:Dexi8B01G0014750 transcript:Dexi8B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCLSDLPDDVLQRILSFVPTTDAASTSVLSRRWRGLWPTPARAVILDTRSYGHRRDVPTADTFFHGAGAALAAHGRVRSLAVHVEAYEHDVDRFMSRMNHCPRRNNHRRDDGRYVAGEVLGHPACLGVEELTVSATLPSGSSSPETMTARYRACLRKSDEQKITNERQHVGLYKLSLGSIPSVALQVLHIDNCRDLRPPPPATAAFPSLIAVRLRRTAVSLFTLREMIAASPQLNSLRLEHVYITSKVCRPDDDKDGNSNYGSGRYSGYGYNNNNYKDDPDPKTDADRVQTLSCGAGVATLVLLNCTCNREFTIQLDFPGLQSFRYRGYVDRFALKSPPPDLQRADLHFLDNSGWIEDTVTCQRFWVFMKNVSNTKFLKLKLGFAIGDIAIAGKKKRHRGLLCDALLGNLERLEVEGKYNPGSDVAVTIGNLLQCCPVVRDLRLKLNTVDRDSRRGPRSNERFTTTSLERKSELDARKSAGHFARRRNLEVCLGGEGDSDDPCEAADIPGLSDKCFNFNCLRGSLRRVSLQFRMHKKSNIFGTQLVKFFAEKTVGLEEMYIDDGNRKMCEHINRKVAGRAPNTSTQPISEYQVSKGISQRLYGASQLNSEPHTAKQEATGTSFRILALER >Dexi5A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:5A:2217162:2219094:1 gene:Dexi5A01G0003030 transcript:Dexi5A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRLRAIASPPLALDHHKRRRLLSAFASDQPIRHHPPIDSAIPWNKLLRDHLARSRRDLALALYRLMRALSPGLPNSYTLSLVLRAAPSTRVASAVHAHALHLGLHAHPDVAGQILAAYARLGRADDARHVFDALPVTRTTLSWNTLISAYSIGCDPDAARAAFARMVASGARPDAVTWTTLLSAHVRCGRHPEVLELFGDMCSSGCEGNAEAVAVALSACPYTAGTALANGRAIHAYGLVKGIVHAYLFVTNSLVCMYGKLGEMEEAEKVFQEARQKNAVTWNALITSYAAAGMCNEALDVLSRMEQRGGIVVPNVVSWSAVIGGFASSGDMEQALELFRQMQHRRLLPNVVTPATVLSACADILALWLGQEVHGHTIKAVLDRHLLVQNGLVNMYGKCGRVTSARKVFDRMRSRDLISWNSMIGSYGMHATQGVWQRAAVCSIRWCKSTISPSMEHYTCMVDLLGRAGLLKDACELIETMPVRPDLCIWGALLNSCRMHGNAAMAEATIAKVLQAETDTTGNQMLITNLYATCGMWDDSKRVRVMTKEAGLKKNPGQSCIEVKNKVFAFTAGNASLPEAEEIFSVLDDLYAEMEDEKHAKCEAIATIV >DexiUA01G0014310.1:cds pep primary_assembly:Fonio_CM05836:UA:30413275:30414407:-1 gene:DexiUA01G0014310 transcript:DexiUA01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSLCTLSRSIVVPAAPSPPTTAPCAALSANHPQPDAFVSSSLVLASRLEEVHTLLQAPVVNLSKICSEGMRFGADPGEPLRNAAKRFCGLGVTVSPPVGIDHELSMKRLLLDKIHGFYLEAISRLPATLLRSRLHRALLKAGYCYGPFDPVSNILLNTIWYDTTFPAQHELKMDVILMESLGIVESRSLRGLVASMLRVFPALAMNDILRHLLKYSSFYGMIKNVGENFPLPVCASPCDCYTAATSACHPYPDAQASFLSGLPKIEETVMQLLHAKHTLSVDDVNVIKSLLGNRPHVGSVSQLEWSKLDSQSISDSCKEFEAHQSFICRRVEAALREHARRKAR >Dexi2A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:2A:30358931:30359398:1 gene:Dexi2A01G0018330 transcript:Dexi2A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMLVALALLAIAVSATATHTCGQQAPPMHQCPCHQQPQQQYPQPPPVLSQCSELLRQQCSPVATPYCSPQCQMLRQQCCQQLRQVEPQHQYHAVYTMVMQMVLQQQPYGGIQGPQGQMGMVAAQIAQQLTATCGLNQQPPCSACGTAFGGVPY >Dexi3A01G0035110.1:cds pep primary_assembly:Fonio_CM05836:3A:40356137:40358921:-1 gene:Dexi3A01G0035110 transcript:Dexi3A01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAFYYTQEALRACAAQYVTRSAPCRLQVPTVRPLPPAPPASSGNSYNIPSTSVPTNQSQNVTVVVENPMTVDDKGKLVSNVVVGVTTGGKK >Dexi5B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:5B:20741502:20745654:1 gene:Dexi5B01G0018520 transcript:Dexi5B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRCGGGIEPAWEGDPGGGGGGGGSGGGGGGWRRDGGRRGSGRGSARRAAAPPAFSPAAAGLTLIAAAAADPIAAAAAAVAGAMEGVSVPPVRTASAVDDDALAPEGEASVAGSPCSVASDCSSVASADFEGVGLGFFGALEGGGPMVLEDSAASAATVEAEARVAAGGRSVFAVDCVPLWGYTSICGRRPEMEDAVATVPRFFDVPLWMLTGNAVVDGLDPMTFRLPAHFFGVYDGHGGAQVANYCRERLHVALVEQLHRIEGTVCAANLADVEFKKQWEKAFVDCFARVDDEIGGKVSRGGGGGVPATVLEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGDRYLKPWIIPVPEVTIVLRAKDDECLILASDGLWDVMTNEEVCDVARKRILLWHKKNGTSSSSAPRVGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAQRKFKSKA >Dexi9B01G0021530.1:cds pep primary_assembly:Fonio_CM05836:9B:16244384:16244881:-1 gene:Dexi9B01G0021530 transcript:Dexi9B01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSQNRKKQSVAMTPLGVWPKERPEAMEQRTMSMRLVAEPAEEELAGERAAEGDAVDRRGDVGREGARVGLGGVGVVDAAQELGDEGDAEEVASVKKPIPATMIAVKWYHCVLAASSALSTCRLSPPRAMAPDQSATHSRAGRRRKRTGSIR >Dexi9B01G0021530.3:cds pep primary_assembly:Fonio_CM05836:9B:16245395:16246153:-1 gene:Dexi9B01G0021530 transcript:Dexi9B01G0021530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQVNWPRTRITFTPRTGPSPSYTIWVSDGRTARPAPPASSPSSASPDISSSDFPLDSGTRKVRKNPSMLTPASTNSELRTPIPAGYPWSAKLLSWFCAAYKNPNAPMIAPAFPAAAEMPWHVDLSLAGKISAGTMKVVLLGPKLAKKKVKAYMTTNPMWFPGVVQWWYGTARPSMNTVIMKKPSSWMANRPTTSMSATPGTVPQSAMSVWAREIRNTSSSALMVLALGIQLMLVKMSFWNRFWL >Dexi9B01G0021530.2:cds pep primary_assembly:Fonio_CM05836:9B:16244891:16245388:-1 gene:Dexi9B01G0021530 transcript:Dexi9B01G0021530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNHVLAVPSRWNPWRRANSLEKRPKLFAEEVTISSSFSCSSTISVWSTLDMSAAACLAFLATSAVYLAVSGIFIRQYAGRAPSMRMMRHTKSAWDTVDAAALSWYAGAGYPARNAAEMMSVTMPPARMPKPCMANTAAMKAPRVFLLAYSDMMVAESG >Dexi9B01G0033010.1:cds pep primary_assembly:Fonio_CM05836:9B:35132011:35136784:-1 gene:Dexi9B01G0033010 transcript:Dexi9B01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGPQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTIEGVQASDVTIDVIHMVFSAFGYVHKIATFEKAAGFQALIQYTDAATASAARDALDGRSIPRDYNNPYLPINYSAMDGTLQPAVGADGRQVEAPGNVLLASIENMQYDVTVDVLHTVFSAFGTVQKIAIFMKNGGTQALIQYPDVTTAAAAKGALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIQPGVIQGVPQPPGVAATSTGWQGNIQGAVAYVPPGVPAQGHSTNGQVSNWNSGNSGYPPAPGTYPGQMYSSPRPYAASGAYSNTPPGAPTQYAASGGFPTPSAAPTQYAASVGFPTPPAAPPHTPQQMPPQHGNQSGPAGAPGTGQPPPPPSYYH >Dexi6B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:6B:13453340:13456380:-1 gene:Dexi6B01G0009240 transcript:Dexi6B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVAVFCCRNPEEIPWGAAGAEYVVESTGVFTDQEKAAVHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTIHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKTESEGNLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLIRHMHSTN >DexiUA01G0010920.1:cds pep primary_assembly:Fonio_CM05836:UA:21743635:21746060:1 gene:DexiUA01G0010920 transcript:DexiUA01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEPLSSLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVDRIMIKIEQCKKTLLSLGYIEFTFEDFFSIFIDLLESVLQGHETSVGFVTSGEIQRRSEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDTGNLSVNHHDFIPSANVSEGDGDSATTSAPSAEKPYITLLYRPGHYDILYPK >Dexi3B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:3B:707089:707565:-1 gene:Dexi3B01G0000830 transcript:Dexi3B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNHVHPNRADGVIPLRRRLSPSRWRAAGAGWRSAGAGRSKNDRREAWAEVDRSFGDGLSLGAELVRTPMRRQWASQIKLEGGIGSERVEPLEPGGSRIELHVDGEQNRHGGWRRQRPNATVVERRCLLVGEPNRARAAAAMVASKPNRAPCQWGGH >Dexi2B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:2B:13287389:13290726:1 gene:Dexi2B01G0011700 transcript:Dexi2B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAGTFAVGARGTEGGKMMTSWLLGRRGPSGFSWSSTADEVTEGISAAGLTAVVTGASSGIGAETARALALRGAHVVMAARSVPAAEAVRDAVLAEAPGARLDVMELDLASMASVRAFASEFIARGLPLNILMYSSLRAYGQSKLANILHANELARRFKEEGVNITANSLHPGSIITNLLRYHSILDVLHRTLGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFCDSNLYEPSGQAKDMALAKRLWDFSVELIT >Dexi6B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:6B:2667155:2672091:-1 gene:Dexi6B01G0003230 transcript:Dexi6B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAFLWEGASGRRRPGVSNLLLVVAAASSGGLVAYADSGADTAVEKPQLPQRKKVIVLGTGWGGTTFLRNLDTRLYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRILEKKGGEIKFWEAECFKIDPQNKKIHCRSNLGTNLDGNGEFLVDYDYLVVAVGARTNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPFLDDEERKKNLHFVVVGGGPTGVEFAASLHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDISVPYGMAVWSTGIGTRPFIVEFMKQIGQVDIISGNRRVLATDEWLRVRECDGVYAIGDCATINQRRVMVQLYLKSKQMNGVADLVRSAKGDAEKESVELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEEHPEGPIRIRGEGRHRFLPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRMLVVSDWTRRFIFGRDSSCI >Dexi9A01G0039100.1:cds pep primary_assembly:Fonio_CM05836:9A:43200210:43205351:1 gene:Dexi9A01G0039100 transcript:Dexi9A01G0039100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRFALVRAARSRSRAELQTAQRGRSSDLAQRFSHTGACPGRPSFGIAFDIDGVILRGRSPIGGAQRAIRRLYSEDGGGVPEHRRALELSQLLGVNISPTQVVLGHSPYRELVNHFEDDLIVAVGKGEPAVVMSDYGFRKVLSIDEYASYFEDIDPLAPFKTWKVGQTENYMSAKVHPSSDVYSERVKGVFVVSDPVDWGRDLQVLCDILSTDGLPGTEKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQISDHPLKYTSYGKPNPFVFKNAAKILEKLVMSMYPDSQILKEVNERQFSAIYMVGDNPKVDINGASKAGHPWSSVLTRTGVFRGKDNDPQFPADAISPHSTLSPSSAVRSSPRPSQPPNPPFLRRPPARPPPTMETSFLPTTLPTAKPLPAFRTLSTAASLRQHQRPRTSTIRAAITRGRKEETVATVREKLEGCYLLAGIKYEGLTVKQLKGLRDALPETCSLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPTALKPYRAFQKEERVEETNDFVGAVFEGKFYGPGDFKALETMPSRAEVYAKLLGALQGPATSLVTTLQAPSRDVVAVLSAYVRKLEEEAGSA >Dexi5B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:5B:4793619:4795630:1 gene:Dexi5B01G0007150 transcript:Dexi5B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATATAKGRAGVTEEAKAEWWLRFNNGAPADDGDVADSGDEAGEEGQEGSSSKAEMVARGGGNAEAGAAGTGDKTRSSPRFAHRAAVDEEIAGGDYVQPGELPRRKRKAIAKRIKPKVGVEVQNDMVQAQSPCAVPKGPGQVYGENQNLRLQLALKTNELKQEENRRLKSELILKAKEMESLEKQNEELKAENEQLRKNVSFLLHQQFCLTLIFIVYTTGKAAKSRKVMQVCEKYVMHDSRNCPEKRQPPSSPEEENGEDSY >Dexi2B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:2B:4469997:4470227:1 gene:Dexi2B01G0004780 transcript:Dexi2B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQLVAAVAIVLVLLGSGGVTSSAATRLLVESKGGSSVFQSERTPSTAAAESSAQPSGCTYGSAPGGYCHPPAGH >Dexi9A01G0025990.1:cds pep primary_assembly:Fonio_CM05836:9A:27540577:27542176:-1 gene:Dexi9A01G0025990 transcript:Dexi9A01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVSSLVNSSGYTAYASYTLSSGRGMAGFRWRVGFKVQTWGRSCLRGFSSAAIPTQLEVLCSTIYPHKSHLLNVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVVITMLPSSSHVNVLQVLEVYNGANGLLGAGSRLAPWLYIDSSTVDPQTSRKISAAISRCHLKEIKGYTENPMILDAPVSGGVPAAEAGKLTFMLLFLAFHHNLYLFEQTRNLL >Dexi9A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:9A:13372676:13374944:1 gene:Dexi9A01G0018330 transcript:Dexi9A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLGRKLAEKGYTRSGKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFSQLEALHGTGGGGGPSPATTSSVGGISGGAAVPSAVRVPAEPPPALSAGGAVGMTTMPFVGGNHSFSTTSNTEDYSDEEDSDDEGTQELVGGDEQRGKRKRVSSEGGGAAAASGGGGGSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMTRLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPPPSSSIIAAPTLNAMPPPPPLSSHPKPPQQPHHPTPISSASPPPPQPPASQPPPAQQPQPSPQQQRSPMPATPQQAPAPPQSTDIVMTPAAETTTTPGDTPGSYDGSGGGGATSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKQAALVSSSSGAGSASASSGHQQPPEMVTVTAAAPISQTPPPPMTTALTPSQSSHQHAAKNGGSSNAAAGNGNGGGASGHGGGSGGMQAQTASNGSAAGGRFVAGEAGSGGGGSASAAKKPEDMMKEMMEQRPQAVVSGGYSNRIDGCADSDNMDEDEDDDEDDYDDDDDDDVDGNKMQYEIQFQQRQQQHHHHQPPAAAQQQQNVMRPNAGSSGNPPGGATAAGTAAAATTTAGSFLGMVK >Dexi6B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:6B:3496798:3499754:1 gene:Dexi6B01G0004300 transcript:Dexi6B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGGGGVFDRSMRMEGGGGGGVGGGAAGVGGCLGLAGHGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGACGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMKHENVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTADHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSLVGSPDDTSLGFLRSDNARRYVRSLPQYPKQHFGARFPTMSSGAVDLLERMLVFDPSKRITVDEALCHPYLASLHQINDEPACPAPFSFDFEQPSLTEEDIKELIWKESLKFNPDPIH >Dexi6A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:6A:11375566:11376626:1 gene:Dexi6A01G0009640 transcript:Dexi6A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTCDPSFLTTNSIPAASTVTSAPPSIRSVSSGTFTSSWPHVSRIENPGAAGKPAAAPNCCVNSARTARYTDGARRVITVPESMSTLLAPNPAADTGRRVAAEGERARNLAGGGRRKAVGEGAAVPGSRLRDERQLGAAKAHEPRRAAEQAAVAEPAPEHEAVELVRAERERVRGEHAAAERPVAVGQRVVALVGGGGGGGGAGSWHAGGGLEGGRARRGVAPDRGVGGGAGGVEERVALRVASRAGLALHPREVAARVHDDGEVGGGGGAAAETDGGDVVERLEANAGGQRDLGGAGLCGRCCGPVVAGLQ >Dexi1A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:1A:7443639:7444085:-1 gene:Dexi1A01G0009180 transcript:Dexi1A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQQKHADEALLQHPADRKQLENHKGHGPSFLTGVGFVFLTFNSFMAVYRSNGDLGAIFFVVFSYLDLVLLFYCLRCYERTPLESPRREHLKMAVWLLTTLLTTAFSYKVAAIMPFPVQVLVWTMAGATVLGGFYAFFLHHEGTKA >Dexi5B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:5B:7947668:7948321:1 gene:Dexi5B01G0011240 transcript:Dexi5B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIKKKEKRKPQWSRSPLVSEPARVFAPPHRAISLAGFQLTGSRLEGPARAVARLRGFSLSTPAVARASHCSPLAALFSAEPFVSHSDEDYAEGDGGGGDRITEML >Dexi2B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:2B:4482660:4487461:1 gene:Dexi2B01G0004810 transcript:Dexi2B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLPASAAATRFAAHWVADALAGDEALEFSVLKALVGASSESLAGAPEATRERVALRCLEEVSTVIAAGGDAAATAKALRADGARSCEDLLLQLVGEVGSSGNFEKDLLPPFSQDIQDIICIKKPTLPKTSFELLREVDPEITHMAPPSQLEQNATSQFDNDQSLCSSQDHVNIEKPRLPGDNGELQKEALDTAMISQPHSNETHLSALQHDTGEKPNQDLDDVSAIIKPVEKDHFHEELTQQASVLPSIISNGAIQAGQFETNHQPGDTTEPTMVFEQQIFDKSHLEVSGASKVNQALHDGGIHESHAVNDGLNAQTDPMPQACNITLHDKISEASYLSEGNTRENTTDIQKSASVPSSNPVAPSGRRSKLCWTEEEEAVLKEAMEKFTPQDDAPIPWVQILEYGRDVFHRTRLPPDLRVKWRSMKKKGVC >Dexi4B01G0021400.1:cds pep primary_assembly:Fonio_CM05836:4B:23378483:23382162:-1 gene:Dexi4B01G0021400 transcript:Dexi4B01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLTQAAVLSSRTAGLDCLLLLLFALAAGEKAEPGPAAAERLLAMMATDDKQQRRDTQAGSPRSKQVKRRGQLATGWPPLTFSLLLPPQENSRALLVETHGARIMCVLSSTIIYRSPAHFVAIPVSGELTQTGSPARTARGGRRIQLPTGCQDDDARRIDDDDDMACGCTPAGYASLACCMCPRGASRRDEATKARRCEAIDGWRRGAPGVKSRAGHVVPPIRYKCASGHCSIAVQTETAEAVLGRDEPPQASVSTFLMTQLRRAVPNWEGMCFIIRSPARARIAARQVGGIEVRAKLREWNDYGKKSSPAASHHGQFTGPDDEPSCVRRQAPLGGSSSRPGTGKENNNRLPWSLIHQCSERAWATAITRSKKTPRRSRCVERTREGQRHVPNWLWLSGCSARLLTVVVVATLPCEEEETHFDVTDGDRVPLDAHVSERVMISYSSRSRAPAREIPWKEKGRDGGTGARRTSPATGKHCTSRLLRSGTAHGFGGHVDESIVADLNPAHHGDGVLSAPSGSPSHSIVSKTGRAAAMKVWTLPREHHQVLVIEVINTGKRQEYRTEEQFERHP >Dexi5B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:5B:5962941:5963485:-1 gene:Dexi5B01G0008810 transcript:Dexi5B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSTLMAILVLQAVLVSAAMAEGKGVGVKMEGKSCGCTSCSEWLGILTCDDLLPSCYATCKTSCEAVPTYEGMRFKCRDVLPEGCDCKSNSSST >Dexi3B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:3B:5910150:5912061:1 gene:Dexi3B01G0008410 transcript:Dexi3B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVYTLEEVAKHNSKDDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLVGEIDSSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >Dexi4A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:4A:18510390:18518723:1 gene:Dexi4A01G0015510 transcript:Dexi4A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSTDTTEPPESPIPAAADRTGAAVDMTWRAPLYSLPLLPPPISPTAPSFSLQYAPAAAGLLPHLRILEFLAFVINRASSSAARRTCENASCDPVATGAAMCASRFLKNLRPICRRKRVSKLLPDSVGFIHKLRTQMRGDARGVVVWRPGGTTCDVWSGKAPMQVPATGARNSFWKQETCPVGTLGSSARQIDAWHEKLALIVEACIGGRFRAAWRRVAVWVDAGCDD >Dexi2B01G0023760.1:cds pep primary_assembly:Fonio_CM05836:2B:33279502:33282304:-1 gene:Dexi2B01G0023760 transcript:Dexi2B01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAFFVFLVVSAPQLVDRYLDLARKVSADLPPASLSRVAPPIRLVASRPGSPRGSQSDEQIKLRLEIKELLKEANQLSTPSTFAQAAKLKRLAAAKEKELAKSWNNPVVVFNLPGQQVAVPKVQLRASAEPCVFSPSWRAHAAGMKKKRRGTVGASHPSATPRHPAKQSAHRNASASSLAAWVAATRPAFPAAPPTQRHVATEAMLLILLRCLAKVDDYR >Dexi4A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:4A:6337860:6338452:-1 gene:Dexi4A01G0008430 transcript:Dexi4A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVNGPKSAAAFFSSLLQSCISSNSFRQGKSVHHRIMLASPDSPPDLHLSTKLVIFYSHFGDVASARRVFDGMPHRSVVSWTAMVSGYAKNGRPREALELFALMLRCGARPNQFTFGSATRACAGAQCTRSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDASRLFAEMERKDVVAWNALALQ >Dexi2B01G0032310.1:cds pep primary_assembly:Fonio_CM05836:2B:40242083:40246445:1 gene:Dexi2B01G0032310 transcript:Dexi2B01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRITRRRGFGFLLRLLAGVCLVGLAEFSPSPSFNGLIPWPITPNWAMGYARWLSLSVRARAGVLWRRRQPRCPRSPHRRLAAMTRRPEPRLVRSLATATASPAAPVPPQASKPPRAAAPFLAVLLRRGRAAAALLLNRHLRAAPASEARSLLSALPAVRDAVSYNTVISALCCRSGGDLPAALALLRDMSREPHPGARPNAVSYTAVMRGLCAARRTDEAVGLLRDMQARGVRPDVVTYGTLIQGLCDASEVDGAVELLNEMCASGIEPGVVVYSCLLRGYCKSGRWQDVGKVFEEMSQRRIEPDAIMFTGLIDSLCKKGNTRKASKVKDMMVERGLKPDAVTYNVLINVLCKEGLMREAMTLKKEMLEKGVTPTIVTYNILIRALSGVLEMDEAMGLLEEMIQGDIALEPDVITLLAEQELAAFRPPPCALLYCSVCSSTTERAPGIELVSQPPPIPAIIGDETCVLLVSNTSIVKTCPCRNATTAATVTSNSLPIEVSLELAPPPLPSTLAVYFPGADPGAAHSKILFAGDDLVLLRVSSRRRTATPLKNFDYFVYRAGAGARHERRPSSLTLIPNPEPGGFFVRDGALGVLPRGGGGLYTIAALLVTGVDEYELRRFDCEVGRWVSMAVSLESPRRPFPSNFRARRPRHHGTSMAITPGTMGWVDLWGGILFCDLLREDRDRPVLRLSGTYHCHCRLTSLRLKIRRSRRRVFSLIKNGKAWLELADLQMTSEHLPYTDIETGWPAFAVANWAITLLKSGLLQRKPSRDEEEDVVEIALHNLVVFEPNVSLNG >Dexi3B01G0029970.1:cds pep primary_assembly:Fonio_CM05836:3B:29276326:29278972:1 gene:Dexi3B01G0029970 transcript:Dexi3B01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPLLLLLFHGLLALSMALGVHGKPGDHGNLTRQSFPPGFVFGTASSAYQVEGNTQNYGRGPCIWDTFLKYPGTTPDNSSANVTVDEYNRYMDDVDNMVRVGFDAYRFSISWSRIFPSGIGRINKDGVDYYHRLIDYLLANHITPYVVLYHYDLPQVLQDQYNGWLSPRIVEDFLKFADFCFMTYGDRVKNWFTINEPRMMAAHGYGDGYFPPARCTGCHFGGNSATEPYIAAHHLLLAHASAARQTGKIGILLDFVWYEPLTPSIDDEFAAHRARMFTLGWFLHPITFGHYPETMEKIVMGRLPNFTFEQSAMVKGSADYIAINHYTTYYASNFVNETHVSYVNDWHVKLSYERNGVPIGKKGYSDWLYVVPWGLYKALLWTKEKFNNPLMLIGENGIDQSGNDSLPGGLYDKFRIEYFEKYLHELQCAIHDGANVFGYFAWSLLDNFEWRQGFTSKFGIVYVDRSTFVRYPKDSARWFRKIIKNES >Dexi9A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:9A:721929:723438:1 gene:Dexi9A01G0001340 transcript:Dexi9A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAASSVPFENPRSVVKKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPKYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMRPGSQLHQPVPEGWNAFVYIIDGEGVFGRETSAPVSAHHCLVLGPGDGLSVWNKSGAPLRFALVAGQPLGEPVVQHGPFVMNTRAEIQQAMEDFYYGRNGFERAQQWSSSA >Dexi9A01G0011140.1:cds pep primary_assembly:Fonio_CM05836:9A:6943307:6952576:1 gene:Dexi9A01G0011140 transcript:Dexi9A01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDAVELVAGVPGRIEAVASHAGKLLVAASDSEGLFRGSDCSLRIYSSPVSSPEGGSEIRREGPYTLERQEQRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAVVSNKTKGANIFAWDDRRGLLAVGRQKRLTIFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKIRSLRAPNALVQTAVLRDVQKLVQTDNCILAALANSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGDHDKLLDMPELARESSDVTDEMESYSLQLHESDDKSPLEVKKMSHNALVALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSESYKPKKANKKRAQTHTSSIAREMATVLDTSLLQALILTRQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALQLLNQLVEESKSEMENTDFNKKFSPQMIIEYLRPLCRSDPMLVLESSLCVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKEEGNWTEKTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKMNQHLRALSLYVHKLQMPERAVAYCDRVYVEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIVPVASQYPGIQKSSSTKFKGGRTGKKVVEIEGADEIRFTPSGTDSGRSDGDGDGDDASDGGPIMLNDALELLSQRWERINGAQALRLLPRDTKLQVIFFILSKISFDHDLVSFLEPLLRNSSEHLRNYLVIKNLIFRANLQG >Dexi7B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:7B:10446285:10449320:-1 gene:Dexi7B01G0004280 transcript:Dexi7B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPAEEDSAALRRRLRRSLAAVAAGGATGDVFDEAASALEALREAELGTGGRKGCGGEGRRPAVVEEGEKEVVTVPPHFLCPISSRIMSDPVIVASGQVRNQQRFFYWFWFNKKVIGDDPEAIPFLIWALKSGDMGSRGNSAAAIFTLSALDSNKEKIGELGAMGPLVDLLADGNIIAKKDAASAIFNLCLLHENKSRATKNGIVDVAMRAIDDQLLVDGSLAILALLSSNHEVVEMITKFDGTACMLRAIRESECSRSKENAVVVLFAICMFNRLKLKEVEADEKINSSLALLAQTGTSRARRKAVGILEKMKRSMHNRHMSC >Dexi2B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:2B:20377931:20379851:-1 gene:Dexi2B01G0013040 transcript:Dexi2B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRPRRTMLQLAVVILAVTTLCHIAGASPPPPVVRCANGGDSRAGCTVTNAYGVFPDRSTCRAAAAAFPASEDELLAVVANATAAGTRMKVATRFSHSVPKLACPGGDRGLIISTSSLSRVVSVDARRGHVTVEGGATLAQLIDAAAEVGMAVPYTPYWLGLTVGGLLSTGAHGSSLWGNGSAVHEYVVGMRVVTPAPASEGYGKVRVLVAGDPELDAAKVSLGVLGVISQVTLALQPMFKRSVRFEERHDSDLSERVVAFAREHEFGDILWYPGRRKAVYRIDDRVPVDTPGDGVYDFIGFQPTPTVGVQSIRLAEEGIEAKNDTAGRCELAEEISAIISGDNYGVLMHGQQAPQPGHPVVGFQNRIQSSGSCLTGPDDALLTACPWDPRVSNGAFYFEAGVSVPLSRASAFIRDVQRLRDLRPAALCGVEVYYGVLVRYVAASTAHLGKTEDSVEFDLTYYRSRDTAEPRLDQDVVEEVLQMALRRYGGLPHWGKNQNAAFEGAIVKYSAARVAAFMTVKRAYDPEGLFSSEWSDQVLGIGGGGRVSVVRDGCALEGLCVCSHDSHCAPSKGYLCRPGRVYKEARVCRRVH >Dexi5B01G0031250.1:cds pep primary_assembly:Fonio_CM05836:5B:32048279:32052283:1 gene:Dexi5B01G0031250 transcript:Dexi5B01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRTILMGRYEIGKQLGQGTFAKVFYARNLTTNQAVAIKMINKDKVVKVGLMEQIKREISIMRLVKHPHVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKGGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEREINIEGDPPLHGNGSAEELAKSESDRIKTFYQTVLSVLVVFIVAALSGYKDIKELYSTTNHKKHGRRGRSWYRVVTILIAVTGTMLIVANTVLVIITNRNNTALSVVLAPVLVLVSVAVRAGAWMEEERSATDATLGSKYDTAMKGTFDMATIGTMASFGLQGTIAFGYLKTPGSNQGKDDPPLDLSVCYATSTISLIMMMICAMPLALLPANMLKNLIEVVENLRNVVLAALAMMALVVSVEFLDGFVVLSVCPEAVALVLDYAVEFFSREAGGQKLSWLDFVFRIVAAVGFSLMTGLYGAFLGTDNYSVYLKAAMFILLLAVLSSLSRLAIPIDVPEEIGGVVQMGIAGIVVIFPAAALIASIPLVLKVFLDLYLNR >Dexi4A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:4A:9410823:9412125:1 gene:Dexi4A01G0011380 transcript:Dexi4A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQACGIYYGEFKCSAPSADAKKKKRMRWALDLNVKEMKVAVECHGLLLTSELPQLPHQLLQVLPRRAAFAHRRSRRLRELLRPRRHVGPPTRATSSAAGAAWSPPQLTGTAAPASSTRSRAFTNWSAVIGHASIGTPAAQASSTEFHPQCVTNHPTARCRSAATCGAHPRITRPLPPPDAAATRSSNPSGGVTVGPAAHTNATPVRSSAVASAAACGGCSIAMLPKLMYTTDPSSRNLSSHAHRSAAATAVSPPRFAAASASGANGPTARTRLNPRSTSVRSSSRRNVLDTNAELSRFQRKFRLTPSETSSPSLSIRYSIDSCRHGYAGAPGMSGNGTRLSSSSSTSRRGIRRNNEWSTAVPYTADGENAIRGTPSSAASGCVQPQKKSESTATTRSGPPERSRAQSRSGPRSPA >Dexi7B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:7B:21784200:21784916:-1 gene:Dexi7B01G0015730 transcript:Dexi7B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWRFGCGGRCGWCLWSRKEGSGMKEAAGDGWCWLRSRLADGTPRSGGFMSAPAASVVGKRPNVEVALLLLPLLLVSDLAQGDDRSEAPPPPTGENPKPAALPRSWWWCWRGGSISPGAAMIQLRNVCSWPAAAAASPSMASQPSSPPTSPMSRVPGMGGKSPPAHSSFLVPPPPPCSPGAAHLVDSAAAVAALLSEIAATPEDESPAAPPRDDDSVDVE >Dexi1A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:1A:28029927:28030376:-1 gene:Dexi1A01G0021200 transcript:Dexi1A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSSYGRGLEPHPRRGGTGSGAGWSLADGGDVKGSVANWDLGGGGEECCRPGPRRRRTGALPAEKNSPGRASLPAEERRSPDRALTGGGEESRGLAFARRRRWVANWTRLRRRGGALAWPSPAEGRWIRLAEEEQGRGGAESLRGRG >Dexi7A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:7A:1413180:1414858:1 gene:Dexi7A01G0000510 transcript:Dexi7A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRPIDLWNQWAIQILVLLSFTLQVVLLLLAGIRRRKAPTVLRFLLWLAYQLADSTAIYALGHLSLSSTPSRDHQLVAFWAPFLLLHLGGPDNITAYALEDNKLWQRHLLTLVVQVLGAAYVLYKHTDASVLLAAFLMFVAGVLKYGERTWALKSANLGSIRSWLRKKPPAKHYVYPEYDKVDLSQSQVFKGDLHEEEEVLLRRAHSVFHVCLRAAVDSALDTDPDSSDKRLLRYEWKTMWRLVEMELSLLYDILYTKAAVIHTWSGYTIRVFTLGATVSSFLLFWFNLKPGHNSVDIAITYTLLAGAFFLELTSLLNALGSSWTLAFLYYTRWSWLHHEALCRGRWDRFRHKVVSLRRFLKLNIIASHESRRWSGTMGQYNMLGFCSRGTGGPIGWLMQVLGFEEWWNKKHYSWSIEIPENVKQLMHNHVLHQIHEKVNTIGVLRKSWGDEALANHADLQWYLGVELSEGILMWHIGTDIFLIRRRKQRMVDGHHSEDTDGIVREIEAISNYMMFLLVRHPYMLPGLALNRLYQRFNPGQ >Dexi6B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:6B:1357518:1360164:1 gene:Dexi6B01G0001500 transcript:Dexi6B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGRMERELDAEASRRSKDQHHHLGGRHRDADHRRRDGGRSKGGRDFANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGVPSSSREGESVPAAAAVLSPSKKRKFSPIIWDRESPKQPHSDASRVKKAVESVPAELPPPPPLPPKDHVPVRLALDKSPMNVEPTVVTESAELPEHEENKVAEEEEEYPTTRNISTSRWAGANEDEEDGAVPMKKKSASPADSSVPGQWKRTSPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDRDDYMDVDRGQATDSDAQNRMSDTDSEDEARRSETPEPVKALHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTTEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRQDSPLLLLDRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGLKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >Dexi5A01G0025790.1:cds pep primary_assembly:Fonio_CM05836:5A:29557719:29558598:-1 gene:Dexi5A01G0025790 transcript:Dexi5A01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPVASPSSGITGGEPSPPGRPTAVSTQVLDMGAQVAQALKPVRQMKLHACSFALHAHDLHRQMEVHHFLSRLNQDVVQCAVYDSDKPSARLIGVEYIVSDTIFEGLPPEEQKLWHSHAYEVKAGLWTAVGVPEALQSSEMASLAKTYGKFWCTWQVDRGDALPLGAPALMVSPQAAEPGRARGELVRSRDERYKVDTSAGGIKAARVEMDEPEWINPNADYWRQHGKGLAVDVVPAEMKRHAPFP >Dexi7B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:7B:6993946:6995449:1 gene:Dexi7B01G0003100 transcript:Dexi7B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQDAKVIVNSLHPGGVVTNIARYWGFLDGLLSTLGKFVLKGVEQASATVCYLALHPQVAGVTGNYFVDCNTVQLKSHVTDKELARRLWDFSMSLLHFDKSV >Dexi5A01G0023040.1:cds pep primary_assembly:Fonio_CM05836:5A:27132116:27136742:1 gene:Dexi5A01G0023040 transcript:Dexi5A01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFISSWMVLVVLALHLAVDRCSAVNFEGSALLKFQSRVEDDPHGAMAGWSPRDSNPCGWNGVRCADGRVVMLVLSNNLFSGPIPKELSALVMLEILDLSNNNLNGEVPKEIAEMQSLKHLLLFNNSFRWSLIQNSYGNFDQENDFDIYDILRRGNMNQRAENGFESGSSSGGNKKDTSNLSAQLPSRYTENPATQLNQRRFLQDSNLAAPSSANAPVPVAVPVPSTGTGSFSAFSPNSAPVPAVNPPSSPPMVPSTTTEDVPKRRSIKWWIYVIVVPLVALLLIGITCVLLLCRNKPGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEDPFMRMMVMEYAPNGTLFEHLHVEGFDPIDWNGRMRIIMGVAYCTQHMHELNPPMAHPDLKSSSILLSEDGATKMADLSVWHEVYSRGNIPKDDDYVAHHEPVAADITGNVYSFGLLMLEIISGKPPYSEQKGSLANLALECIKDNRSMSCLLDPNLKAHKEKDLEIICELVQECIQSDPKKRPTMREVTTRLREVLSISPEAATPRLSPLWWAELEILSVEGS >DexiUA01G0022100.1:cds pep primary_assembly:Fonio_CM05836:UA:45280007:45283626:-1 gene:DexiUA01G0022100 transcript:DexiUA01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAVVGDEHMAASTSQHALSSIKFWSTSNFSLEKPTCHVHSVSVGRRCPSARSLGLVCASNSQSSVVEPVQLPGSPKSSITPKKSSESALILIRHGESLWNEKNLFTGCVNVPLTPKGVEEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHKWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIIPQLAAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKNLAQYRQKLDGMIQ >DexiUA01G0004230.1:cds pep primary_assembly:Fonio_CM05836:UA:8299956:8301171:1 gene:DexiUA01G0004230 transcript:DexiUA01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTEPKNALGRQYTKLFEMNDVKLHFMEKALRLIAKRAIAKNTGARGLRSILESILTEAMYEIPETRTGKDKIDAVVVDESAGSSNQHGIGAKILCGEGALDLYLAKHDSKKSMRSRHKFMSYYVQ >Dexi1A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:1A:27188808:27190932:-1 gene:Dexi1A01G0020350 transcript:Dexi1A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQTEKAFLKQPKVFLCPKGNKPGKGETGSGRALALVSTFKTPREAIEGTYIDKKCSVSGTVSIRGRIIAGTCEKRHSNIPAHISPCFRANEGDHVIIGQCRPPSKTVRFKVLKVIPAGSKSGAVKKAFTAA >Dexi5A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:5A:5667866:5669726:-1 gene:Dexi5A01G0007680 transcript:Dexi5A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGYEHDGDQPDDKRMRRLPSFSTVIREAMMQRNMQTLFRLLEPLFRRVVQEELQAGLMQSPRYMERSRSPQTPPSPRPALKLAFRTPPHLPIFTGSKIEDDAGNPLEIILVDVETGAHVALPQAVRVELVPLFGDFPPDGREDWGPEEFQKGVVKEREGKRPLLTGEVALTMRDGRVTVSELQFTDNSSWVRCRKFRIGVRAVPVSVGGFDGARIQEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLRQHKVVTVQEFVRMLVVKPDELRRILGEGMTDRMWEVTTNHAKTCVPDEKVYVYATPHGTIYVNSVFNLVKVEIGGMEWPLQQLNRGQAQVLVQQLMLEAYELRHSLQEAEAFMIHGHPANNVPLLQNAAHVTVPAPAETQLWYQNTPEIEFPVDDVVPLPQPNNNNFGYQWPGQVFHMPG >Dexi3B01G0029240.1:cds pep primary_assembly:Fonio_CM05836:3B:28353274:28353645:-1 gene:Dexi3B01G0029240 transcript:Dexi3B01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSILPLLMSAGSSFSTWLVVNTMSRSAPHADHSPSMKLSRPDNVTLLLVSGFFVFALLSSEQSMSSITMIDLPLVSMKSFRRSLLECTDVSSMS >Dexi3B01G0029240.2:cds pep primary_assembly:Fonio_CM05836:3B:28353655:28353932:-1 gene:Dexi3B01G0029240 transcript:Dexi3B01G0029240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTRHEVALSSTLLSVKFSATSAGVMFTSCSSSRTSSKSSCDTTPRYLSAKNLNASKPQ >Dexi5B01G0038520.1:cds pep primary_assembly:Fonio_CM05836:5B:37720967:37721847:1 gene:Dexi5B01G0038520 transcript:Dexi5B01G0038520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAPAAKLIGAYGCPYTHRAEVVLRLKGVPYELILEEDKDMSNKSELAAQTQPHDEDDRKRAFIKKAKEKLALLESQLKGKSFFGGDDTVGFLDIAASGLAHWVGIVEEASGVTLVNGDEFPAFCKWTNAYVNHETVKQCLPVREELVAFFSARKEMYTAQLRAALHK >Dexi9A01G0031190.1:cds pep primary_assembly:Fonio_CM05836:9A:36050186:36054137:1 gene:Dexi9A01G0031190 transcript:Dexi9A01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHFTMPASTNLDSAPRRSPRLKKIHIIYEEDSDRDSSTFKRVKTEVIDSEEIDSPSTSELGLASVSDKDGGQDCHDVSLKDLRAQCKAKNRKTSKITVEGCGTKYQNKTKEEFDLDKPLIALKQKRPKTSPGKANKKMESLTSSPCATEVEDTTSNSYNTHSPAQRSPLKATMHDPQLEKLGRRAKDLEHSKISSKISDSTEEIVGEQICCAEVKNTADAAIRAASEDALEAEKTTRKWLSIMNKDCNRFCKILSLAKKNDVSNPEVPRKQRKITFADETGGMLCHVKVFKDGQTSFSECQSDL >Dexi2A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:2A:7207609:7210639:1 gene:Dexi2A01G0007390 transcript:Dexi2A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLASQAAALLRWKSTLQSSPPTLDSWRQGTNPCTGNWTGVACDVVRRGHRSLLAVTEIFLTNTGIDGCLGELNFSALPFLMFVDLTYNSLCGEIPLAITSLVVLSYLDLGFNGLHGNIPPDLELAKELDWQKRVTITTDVAQAIYYLHQECNPPIIHRDITSNNILLDADFKAYVSDFGIASILKPDSSNWSELAGTYGYIAPELSYASVVTTKCDVYNFGVIVLEIMMGMYPTELQSLVSMG >Dexi4B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:4B:21520422:21521889:1 gene:Dexi4B01G0019230 transcript:Dexi4B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDDSPPEGIKVSVNDDDFTTIFADIDGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVGQSNTVLGENTHLASAAISTSAAAKTLGKNSQDQNAATSDPVVGASTAPKKDAAHTTKVSVDKKKQDARKKSLKRL >Dexi2A01G0021770.1:cds pep primary_assembly:Fonio_CM05836:2A:33763576:33764625:-1 gene:Dexi2A01G0021770 transcript:Dexi2A01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKKEGKQQDRAAGEMASQPPRVCVTGAGGFVASWLVKLLLSRGYSVHATVRDPNDPKNAFLKQLDGASENLKLFQADVLEYDTLTSAFAGCQGVFHPATPVIGDKLVDPEKEIMAPTVKGTRNVLEACSATNIQKLVVVSSAAAACLDPNWPEDRLKDETCWSDKQMCKEIESWYCLAKTEAEEMALEHGQENGLHLVTLLPGHVVGPLLQTAVISTSIKAFQYIIKGSLDS >Dexi3B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:3B:12920183:12920961:1 gene:Dexi3B01G0017590 transcript:Dexi3B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAAAMAASSSRAARDPLLLGGFNLPAGWGCRKPMGFCRDIDAPLASEPNAAAAAVEAENNGSRSPARGAAAEEAPRRQWNLRERTTAWWDYRAEDARHQSKKLANADAGGGMSRGFSVALTRQEIDADFVAITGRKPPRRPKKRTKSIQRQIETLCPGSSLMEVTRDRYKVNEKGGF >Dexi8A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:8A:4155858:4156233:-1 gene:Dexi8A01G0004730 transcript:Dexi8A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCYGQGSHRNVCATAGGGTLKFVNAFPCCCCGGDGETGCRRSMHAYTIKTWSMRMSGDMECLKDGTVDATKLWGGDAYKSLPRVMPLYPMVTKHCGESWST >Dexi6A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:6A:27529528:27532059:1 gene:Dexi6A01G0019900 transcript:Dexi6A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEREVEDEGNRFKGGLHGDNLPEQAERIVKECAGLPLVIINVARSLQEKRTEKWRDFFGHMSAELESYPNLEDVNRMLMRSYTSLPPELKPCFVYLSVFPRDSKIRRRRLEKRWIAEGYSSEEVANKQFQDLISRNMMQSSGTTMSKISNRGETYYFEIQKLMHSVSREISAEENHVLVLDEDEHSLQSKCKTRHLTVMSTWSREERKSNVLETAVDLSRLRSLTVFGEFKSFFISRKMRLLRVLDLEEANGLRDRDLLSIGKLYHLRYLSLRGSEGIFHLPDSLGYLPNLETLDIRGTVVMKLPSSIVKLQKLKYLRAGIIAYGEGASYEIVHYYVLVYLMKCFSTETVYEEKDLKMVVPLICLAVTVWLRGLDVSGVKAPRGIGKLKALHTLGVVNVARGKNMLKEIKKLTQLRKLGITGIKKDHREELRSAICSCSHLQTLSLRAQGKNGLVGCLNGISPPEDLQSLKLYGNIGELPKWIGKVQRLTKLTLRSTLLEQAAVQDLGELPNLTSLRLSRYSFKGEELHFQSKMFPSLVVLEMDGLSGTKAVKFEEETMARLKLLKVKCWWRTANYCCSFTGILYLQNLMEVLLNGLDCGEDLDVGRSKEWLANRRELREKNQELKANLLDQLEGNRNRPSLKMEY >Dexi3B01G0037070.1:cds pep primary_assembly:Fonio_CM05836:3B:39904811:39911206:-1 gene:Dexi3B01G0037070 transcript:Dexi3B01G0037070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTNSMVSAAVSVVGKALDLLTNPLLKNWAASVNLGLNVEALQIELLTVQALLEHTSRKEVVDNTALSDLLLRLQDMAYDAEDVLDELDYFRIQDQLHGTSDAAEEHAKGWAYNLALHAKASSWRGQWIHGKAQEFQSFLQPHPRPNKLDEKHDAGAEEILEEGLLLVPPQLQALRIFDCPELILHYSNPLDDNKQCGQTGGGGLQGCPKLLFSYSSYLPFPKSLDYLLLADVMETLGETALGQERQQSLAS >Dexi2A01G0024470.1:cds pep primary_assembly:Fonio_CM05836:2A:36222897:36225572:-1 gene:Dexi2A01G0024470 transcript:Dexi2A01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSSLLAAAASSSCTAISARLPRGAPAAASVPSPSRHSCPSLRASPARRHQSRFVASVAPTMQPPAESRVSTVVDVDLGDRRYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTNVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTLMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMSALLARDPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMATDMSHRLGWIDESIKKRVIDILKYAKLPITPPDTMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGEYDRKALDETLHAFCKN >Dexi9B01G0049440.1:cds pep primary_assembly:Fonio_CM05836:9B:48070389:48071994:-1 gene:Dexi9B01G0049440 transcript:Dexi9B01G0049440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRMGKIGNRFLWCCRACASPDDSRPHPPPLSESRRGMGMQRVVVMRHGDRLDQAEPLWPAKKPRPWDPPLTDAGLLRAWTVGKRIRAAAAADGWAVHRVLVSPFLRCRQTAERAFAALCAVPDDAALLAIEDAANVPLDTSRLKVSIEYGLSEMMNTHAMGSIVSKVAPGVNKWFPDMPELEAIFPDGTIDHSAEPIYPEVPKWEESILEARSRYASVIKALADKYPHENLLLVTHGEGVGASVSYFEMGLEIYDVEYCAYSVLERQFAMKAGDEQGGFTAGSFKVLTKSGTTGMQYAPVSESWFVG >Dexi3A01G0022630.1:cds pep primary_assembly:Fonio_CM05836:3A:18244892:18247507:1 gene:Dexi3A01G0022630 transcript:Dexi3A01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASKHHHHTLSQGTPAGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLGDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKVPKKGKIYSVNEGNAKNWDAPTANMVADIHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELAPTKLHERSPIFLGSYDDVEEIKALYASEASAV >Dexi5A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:5A:5637315:5638706:-1 gene:Dexi5A01G0007650 transcript:Dexi5A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVQVLESSFVSPSEPTPTEGLWVSPLDLVLANRGHTPTVYFYSSNGVATADFFDVARLKEAMAKALVAFYPLAGRLGVDSDGRIEISCNGEGALFVVARAAHLTIGDVKDLKPSPELRRQFVPRIEPSSVLLAVQVTFFKCGGVALGTALHHVAIDASSAFHFFQTWSAYSRDDDRAAVELPCHDRTLLRARSPPTVHPDALTMFYPVKVITDPLGPLAGEVFAISRDQIASLKRLCGGTSTFCAVSALVWQCVCIARRLPPDSEARIAIPANIRRRVKPPLPDRYFGNAVVRLGVTGAAGDIVSEELGSVASRIRRAIGRMDDGDVVRSAIDYYEMVAGKDDRARRSTGTLPETELQITSWLGMPMYDADFGWGKPRVMSRAESTRGGSVHLMSDGPAGGVRVLVCIEAPSIKELERLLYAKI >Dexi2B01G0032390.1:cds pep primary_assembly:Fonio_CM05836:2B:40292790:40295838:-1 gene:Dexi2B01G0032390 transcript:Dexi2B01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNSAAAAAAAALSGGAASQPRHSASFLPLRRRTISAVHAADPAKSNGPAVPAAKASSAAAVATPEKKPAAPGKWAIDSWKSKKALQLPEYPNQEELDSVLKTIETFPPVVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFHANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSDAFEERDGVKLPSYRGDNVNGDDFNEKSRVPDPQRMVRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGLIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLNDRYHTHCDPRLNASQSLELAFIIAERLRKRRMRSGLNNSLPLPPLAF >Dexi3A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:3A:7820762:7821087:-1 gene:Dexi3A01G0010990 transcript:Dexi3A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGHKITLLAVTAGWTGQQMGGSSSAPLALEGDGSQMAWIGDQQGDFLPEQSMYTDMWTSN >Dexi6B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:6B:1642842:1643902:-1 gene:Dexi6B01G0001950 transcript:Dexi6B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGRRRKPRGKGKKTKYLSLSDLMVKVEAETPPPPPSPGDEAEPAWEEAEVKEEEKDGGDGGGQQQQMEPFPLHNEAASTLFAALPAPSLSDILGASAGGDGSPSPSASPGGGDSGFLGAEEEDLARRALRGRERWVYCSSASSPTATTSSSSPCSSSAASTGPSARSLLLKLDHKKILAAWADRGSLYISGGGADSDAAPELELDAVLVEVEPSEPAAGVSPPPPFSWSAPEASPAGRAEKVRRYKEKRRNRLFAKRIRYEVRRVNAVKRPRFKVP >Dexi7A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:7A:25450294:25454001:-1 gene:Dexi7A01G0015600 transcript:Dexi7A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPGRTALARRTRKPKPVRHRRRRVSPGSERVRRPADPVSSSSSSYRLASGIMAGRVAADENRRPVMGKPVPGVAREMGNRRALKDIKNLVEAAPNPCAVAKKPMLQKNGRDEKKPALESSRPMTRKFAASLASKGQPERKATTTDPTPGADQNKEPVGYGDVDLEQYELVPDVDIDMDETDNKESVNQDESFMDIDSADSGNPLAATEYVEELYKFYRENEAKSCVKPDYMSSQQDINAKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVIPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYRPSLLAAAAVYTAQCAINRCEHWTKVCESHSRYTGDQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKVEPALFLLESGDTSSPSSGTI >Dexi4A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:4A:8204888:8205334:-1 gene:Dexi4A01G0010290 transcript:Dexi4A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFLFGSPFRHLFHARPFPAVEWSSSAAAAMDWVETPSAHVLRINVPGLGKDDVKVQVEEGNVLTIRGAPPARKEKEDEEERRDGTVWHVAERGKPEFARAVALPDNVRVDGIRAGVENGVLTVVVPKEPAPARAKPRPIAVHSKL >Dexi3B01G0036110.1:cds pep primary_assembly:Fonio_CM05836:3B:39015074:39023566:1 gene:Dexi3B01G0036110 transcript:Dexi3B01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRQRLMMTTPLLLSTATRQHHATRHSPGNTLHVVAGRRSKTTAERVPTAICLNPGLVFYLWLRSIDHLASTWTFQPELDKASLSHQVPTTAIYGSLISHDDAADSSGRYYPDIIGGGGDTISSFADMIADYSTDDLFELAWEQGGGGGSGGASGSTTAAAAMMLQPAASHLWSPPAPPWSDDYPPSESEMAAWLRAIVNGEELAFDDELKTTVAGGGDRPDVAAVAKGSTETWATEEKEKLIPVMEGMMGSGKIRRNKINEKLRTLQQLVPGCDDKTIQYLKSLKHHVQAMSVVPSPVAPAIGMPMPPAAPMVFAPAAPAMVPFGAMLHLPGGQGGPRRRPCILRRLLRERRRRRLEVPGRRRPAIEKARAAAAKEREVAGACGTKNTELASTSNTTRAASPLPLARAPRRLLLFVHAVHADWLWFQGGGLNARSREVARRFYRSLRLDRYSPWCYYPDVNAGDISSFSDLIPNYSTYVVRPDDIFELASWEEQGGKTEASTTTMQPLWSPPPPDVIRSGPPSEVEMASWLCAIVRGDEELVAVNDDDGGGGHQLAAAAGRDAAEERPPSDTSTTTATTTWGKTEKLPTMGSTKERRRHKINERFRTLQQLVPGCDDKAATLDKTIQYMKSLQQHVQAMSDGAPTAAAVYPGVPPPVAPVAMPMPAAAPAMVMVVPLGGAMLQLPHYPAPAVPLMTTPGGGVGSSSSGGHRHGSSSSKGNKGGSIRSLRHKH >Dexi9A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:9A:7035078:7036073:-1 gene:Dexi9A01G0011270 transcript:Dexi9A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVRERRLPQLHISLDVPSCAFRHPNPPVAAAPTSTSASRADCEFRISDFDRLAVLGRGNGGTVYKVAHRRTSALYALKVLHRGDPGAASEVDALRRADTSPHVVRCHSVLPAASGGDVALLLELVDGGSLDAVVSRRGAFPELALAEVAAQALAGLAHLHARRIVHRDVKPANLLVSEDGEVKITDFGIAKVILPGAGGDHCAVYEGTAAYMSPERFDTERHGHADPRAGDVWSLGVTILELLMGRYPLLPAGQKPSWAALMCAICFGELPSLPDGAASPELRAFVAACLEKDYTKRASVAQLLAHPFVAKREVAASKDALRRLVAGA >Dexi9B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:9B:925034:929258:1 gene:Dexi9B01G0001600 transcript:Dexi9B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRATPCPWSRTPPRPLESREGRRGAEMKMSRKQPPKQQQQEEGPSEAMDAAAVHGEEETGCSGKAPEAEAEGRTVVVGVRADVESRALLTWVLVNAAAPGDRVVAVHVVVASGAEAAAAVDFDAMLGVYEGFCNLKQINLKVKICKDSSVRKALVREANLFGASKLVLGLAKKRRAISSPHSVAKYCAKKLQARCAVLAVNNGKIEFSSESNVHSGKVSAEVPHCGDDEMYCVVPFQARQIKGDKLSLDEPKDGGEGDNTHDAGTESCKAEDTIREEQPVSCLDPVDLSRDQVQMDTDPSVKAEESTAELKDGTAELPGQGASVLYCVLPARNSDSVASTSSRQDHDPIDLPAERADELYCVLPPRNGHSSRSVGDSKRSTASQKDDKSADLALQGDSDLYCQLPRSGRSGRSSGGSKRSVGIRSVIRRSSSFSSDIHLNSETSPSKRDGSVSMASTERSSSTVSTEAEDSPNYIARISETPSSSPMSLRRMIEGRSDRCHLRRRIFSHHRSSSFEWAKISMVQWAMRLPSRYTSVHPDSKFLKSDASSRLNCDSETDSPSAVESESMFSFAFYDVAWPPSELESLREKYSTVCRLFSYEELKLATDSYSPDMLIGKGGTSQVYKAQLDGTYSAIKILKPSVDAIHEFITEIEIVTSLQHENIVALKGFSFENYNLVLVYDHMPHGSLDKALHENNGDKSFLIWERRNKIAIDIARALEFLHLGAVTQSVIHGDVKSSNVLLSEDFGARLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSYGKVNEKIDVYAFGVVLLEIISGRRPITPGSAKGQESLVGWAKPLLSSGEIKQLVDPLLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSLVLKLLQGDDEMIAWARLQVTASFDGSDEETVAPDANMQSHLNLALLGVEEDDTVSHCSSTVDTLADGYWSRSSSFD >Dexi3B01G0024630.1:cds pep primary_assembly:Fonio_CM05836:3B:19300503:19303357:1 gene:Dexi3B01G0024630 transcript:Dexi3B01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPSPFDLRHYTGHLFDPDLPLAGGDLPLGEFAGDDGFDFELPVDFSVDDFLLRSPDRGGHVDDSGEGSAAGSGPTVSSSASPATSAANSAVANAGDPEVKHEDSDEGRSGAAPNWSLKRKQASPGASLDGAKCRRSGDGELSPSASASASASRAAAEDSDERGAGGEEDDKRRTARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSKISFIAAENATLRQQLGGAGVSGPPPGVYPPPPLPGIHFPWVPGYAMRPHGSHVPLVPIPRLKPQQAVAAAKVSKKPEVKKAENKSKTKTKKVASVSLVGLLFVALVFGAFIPGFNHNFGMGDRSDNMMFGNFGHSGARVFSVTNHGKGPKSGLNSSDMIDTDPGMMAENADRAGQKHRPAHNSSEVLPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHRASDSQSVKDHKETSVAIARYLSPPGKDANSKETFPQDATLPQWFREGMEGPILNSGMCSEVFQFDISAASAKSGGIIPASPTVNSSSVNATQKIRKPAPAYGGKLKNRRIMHNEAIPLTGKTVNNTEPQAFNSTSESSKVPDSKPASSVIVSVLADPREAGNGDGDPRVSPKPLSRIFVVVLLDGVRYVTYSCTLPFKSSSPHLVN >Dexi8B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:8B:5921426:5922654:-1 gene:Dexi8B01G0005670 transcript:Dexi8B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQTIIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHNLGGATSLPNLVTALSLPQSKLPFLRRLMRLLVTSGIFVSDSNAEVETYGLNPLSWLLVEGVESEDHTYQKYFVLGTVSRHYVEAGLSLADWFKKDLPAPVPSPFEELHGVPLVHETTKLLDEELDRIVNEGVAAHDNLAIGTIIRECSDLFRGLQSLTDCCGGDGTTVRAILKAYPDIKCTVLDLPKVIESAPAHDSVTYVAGDMFHTIPPAQAVMLKLVLHFWDDEDCVKILEQCKKAIPSREEGGKVIIIEIILGPSMGPIMYESQLLMDMLMMVNTRGRQRDENDWREIFTKAGYSDYKIVKKIGARGIIEVYP >Dexi3A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:3A:12735491:12737837:-1 gene:Dexi3A01G0016770 transcript:Dexi3A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMGSVARAVAAAMVVVVALASSVATAQMESCNAELPPVLVANYSGLACQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWIGKKGLPHIRQFALRGKTSSKVVVDRGFLVSNDHDHTVVVQQAKIYLAFQLKFSYRLTHQHIIMAFGNSIPVKNKLTRHQDKTSFTFDFTTGRASVDGSFPYGLRRAHGVLNVFAWGVLMPIGAIVARYFRRVDPLWFYLHVGLQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQIVAFFLRPNADSKYRKFWNWYHHWAGRLALFFAAVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >Dexi7B01G0022520.1:cds pep primary_assembly:Fonio_CM05836:7B:27412879:27413079:1 gene:Dexi7B01G0022520 transcript:Dexi7B01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAFSWPFRRRGSGSGAGAGGASKSTAAAEEDEELGVTPQLLDFLRTLSPDAFKAAALQLQGNQ >Dexi9B01G0032390.1:cds pep primary_assembly:Fonio_CM05836:9B:34624567:34625164:-1 gene:Dexi9B01G0032390 transcript:Dexi9B01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYSLPPQEVPVEKAVEEEPSSGTETEAAPSTNDETPPAVEDKNETSEVQDAADKSEAEETNPASEETSEAAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEW >Dexi7A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:7A:26306543:26306981:1 gene:Dexi7A01G0016470 transcript:Dexi7A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTDLPSSSFEDYSLQQSCNQMRGAARFLVQSASQTRHAKPAHGLARTMTVSTPQRHGEEKGSSESVITKDENVEPLVAFSRPPPLPPVLGPLFVLSFFEMSSGDEENK >Dexi2A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:2A:9034477:9035816:-1 gene:Dexi2A01G0008990 transcript:Dexi2A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPFNLQVAPCAAPIECNELNFQGLYLYHTVLGPKANQISIVESKGSMGTTAVNNWAVYDGPGPDAKVVAHAQGLHIQAGNWLNSFSLVFENERFSGSTLQVMGITVESGEWAIVGGTGQFALANGVISKRFHEQRSDGNVIELTIRAFCPLLKGARYPVTKIGTWGGPGGNPMDITEVSKRLESITVKSAPNAVVSFAFSYVDYAGQKHSAGPWGGSGGEPHTIQLAESELITEVSGTVNASIGGISDLVTSIKFVTNRQTYGPWGEVKGTPFKVPVQPGSNIVGFFGRSGIYLDAIGVYVSSL >Dexi1A01G0030660.1:cds pep primary_assembly:Fonio_CM05836:1A:35874883:35875433:-1 gene:Dexi1A01G0030660 transcript:Dexi1A01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSADHWPFSSSYTSAAAVPRAPDSEHCPPATQILPRHGAHAKSKRGTRRGAQFCHSPRRTSYRSTSALTPTSMSASAAPPCSLLHSRPPMTYTDSPSATTANPFSMWPDAREWAHAAPRVGSRLVTLEDVGAAMGEAGVYPDADIPAHDVISGAATAPGAREGWGGSDIAMQGAHGSEV >Dexi5A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:5A:4138101:4145176:-1 gene:Dexi5A01G0005580 transcript:Dexi5A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRQRQYFEQKRRQQQRPELQSQDNVAGGQASRDQEPRSLDVLNINNLATSISHHNESANGAIPQMDCTLSDGSPTEAIRNITFLCNSNMKEAEISLIDLVSFEGSTNKSTARPAREPHASFSVKGLGHIKMETPPHSPKPIKRSTLAMPKAKHVVREQQTFISFDISHAFTQDSMNSINMFKEKRLSAKMDSALNESDYERRKQFNCYFPDASENHNADLYLDDEDLLYEPQAEKEWQSKHRRSDGNLADKDSDRLWRIDQFDSDDHFPNQRQEHFDTSGYGFKDRYYPERRNSTRSCSGFKNTGIPSSRELFPDHSLMDNDEGTGLFEWEGCPPNKKIFNSNGAFGPSAWSFDTIDDSEKRRSPISEESCSSVAAMKKPSPSTKNEMNQKDEFHINLDIPDMDGHFHGRSLFNNQEKVDRKGTTDQKKLETELLEALSLFIARDNPGVDFKAPVHSRSKIRDVGDHSKLKTMFQSPFIGEEVGIEKIIANLSPDNSDVQYQLMLEQRVLRRLCVQKIVVPTPMKDKLNKDTRFVIVDDESHALPKSV >Dexi2A01G0031020.1:cds pep primary_assembly:Fonio_CM05836:2A:41837277:41837639:1 gene:Dexi2A01G0031020 transcript:Dexi2A01G0031020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGVVDDEEVDRKPVIKPGVHLTVKVQDTSGRTLERTVRRTEKLQGLMDAYYASVPDVTYGSGRFLYDGGRLAGWQTPAEVGMEEDDEIDFFTELLGGGGWATTTAAADGGGEQPVPP >Dexi9A01G0025480.1:cds pep primary_assembly:Fonio_CM05836:9A:25964580:25966907:-1 gene:Dexi9A01G0025480 transcript:Dexi9A01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMAHPLPDRLLPSRPVCTRPPWHLLGDQPHRSLARLAKIHGPLMSLRLGSVTTVVVSSSEVAREFLQKHDVAFASRSVPDAVGDHARNSVPWLPHSARWRALRKIMSAELFAPHRLDALQHIRREKVQELVDHVGRLARDGTPVDTGRVAFTTAFNLLSRTIFSCDLTSLEDHGRSKEFQEMVTETMEAAGSANLSDFFPALAAADPQRLRRRLARLFARLHQVFDVEVDRRLRERDAGETRKNDFLDLLLNATGEPSTPGLDRDTLQSFFTDLFAAGSDTSSSTVEWAMAELLRNPDSMAKLCSEIAGVIGSRRKIEESEIGKLPYLQAVIKETFRLHPPVPINVAAAAS >Dexi1A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:1A:1660760:1664729:1 gene:Dexi1A01G0002470 transcript:Dexi1A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEEELEPAIDGITEASFEEEEEDPEEVEPWVPSSDSEPEPNRPSPAVEPPDPSPAATEPTPRQAQTTVLERKGDGEEATQRWPGWPGMSVFRLVVPADKVGCIIGRGGGIVKRICDETRARVHVLDAERGAANRIVLVSAREVVEAELSPAMNAAIKIFEHITKIEETNSDGTLSSVVPKKCSVRLLIPAAQAVHLIGKEGVTINSIQESTGATIRIIDEDVLLSCESVDEMIVEIHGAYIEVHNALKSVLGVLRKFLVDHGVLHLFERKNQDIAQPLDSNQFIDDYPLALDQDFWLSDQRSYDLALTYNFGFQVTQTMKIPLPYAEEIIGLQGENIEFIRSVSGAVVVLEEMGDHPDEVLVVITGSSSQVQTAHQLLQEVLSGNREQPPSRICHPGPRLPSSPHHHHSGARLLSSPHTGGPTWPSSPHGIAASRDYSPWHCEDGPPRDHRRYPTRHDRRGCYRPY >Dexi6A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:6A:219886:222185:-1 gene:Dexi6A01G0000340 transcript:Dexi6A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSGSSAADSRVETISRLAQWRIDSLGSCPYRRSDPFKLGIWNWYLSVEKSRSLCIRLFPEPGRVAKDQPPLARFLLRVSWPGPPRRSCASTVQEHLLRTSDDFLWQVDVMSHGRFTIDVEFLDLRIATNNASMVQRIASKSSLGCLSRMLTESIHTDVIINTTDGVLKAHKAILAGCSPVFESMFVHDLKEKESSTIIINDMCLESCSALLGFIYGTIEQEQFWKLRLSLLAAANKYGIGDIKDCCEESLLEDINSSNVLERLHVAWLYQLERLKKGCLAYLFVFGKIYDVKDEIHSFFHHADRELMLEMFQEVLSVWKPM >Dexi2A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:2A:11501525:11503520:-1 gene:Dexi2A01G0010340 transcript:Dexi2A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISAAADALIAVFSLTIAVAAPLIDGQSVLPHDLYPARLVELKGWYAAEFGDYLMARPPAFFRGIVWLELAFLWPLSVATLYGILTRRRWVATTSLMAGVATLTSMSAVLGDMLGSGKATPTLLQMYVPFAVFAVVAILRGLCSCSERTAAGSSLRPSARKKRV >Dexi4B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:4B:2806844:2808384:-1 gene:Dexi4B01G0003960 transcript:Dexi4B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPRVGEPVRWLVSRARAMGSSAAGGGGRRDPAENPKVGRLRDLFTGDDAADGWEKSWEFGVTPWDLRKPTPVIEHLVRSGTLPKGRALVPGCGMGYDVVTLASPERFVVGLDISDMAIKKAKKVC >Dexi4B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:4B:9774101:9775406:-1 gene:Dexi4B01G0012100 transcript:Dexi4B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETAAWFFLLLAFVGGVYVAAFSFRFFAYAALCLRRPRDLRRRYGAWAVVTGPTSGIGRSMALELASRGLNLVLLDLDAATLKETSDVIRSRHAVKTRTVVFDLSLVGTPQGDAAMRRLRAAIEGLDVGVLVNNAGVSRPSSVYLHEADVEALLRMIRVNLWALTEVTAAVLPGMVERGRGAVVNMGSASSEAIPSFPLNTIYAATKRYVAAFSRSLYVEYKSKGIDVQCQAPFFVASRMVSSAMRDCWPSPFVPTPDAYAHAAARWLGHGPLCTPTVGHQLLWCVAGVLPDAANDWLRLRDHLRLRALSQRVRAARAANALAAEPGAKLTPAK >Dexi8B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:8B:24010108:24011646:1 gene:Dexi8B01G0013620 transcript:Dexi8B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTTNAANTATVPQPPPCKGKLITILSIDGGGIRGLIPATIIAYLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPGKNNRPLFAAKDLNTFYLENGPKIFPQKKAGFLTPVAKLLGVFRGPKYDGVFLHDRIKSLTHNVKVADTVTNVVVPAFDVKYLQPVIFTTYEAKSDPLKNAHLSDICISTSAAPTYFPAHYFKTEGPKGESREFHLVDGGVAANNPTMVAMSMLTKEELCHNPDFNPGRHDNCADYRNYLIISVGTGSSKQAEKYTAPECAKWGLIQWLYHGGFTPIIDILSHASSDMVDIHASVLFQALHCEKNYLRIQDDSLRGNTSSVDIATKENMESLIAIGKELLKKPVARVNIDTGVYEPVYCEGTNGEALARFAKKLSEERRLRQSNLNSY >Dexi5B01G0029790.1:cds pep primary_assembly:Fonio_CM05836:5B:30908730:30909095:-1 gene:Dexi5B01G0029790 transcript:Dexi5B01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSAPQRAVESWADDGEWEDEASSEEGDHHHHHDHHERREEHASEVTIRITKRQLHELMEKTGSGHGLQLPAALGGGRRSTEQLLADIMNSGEVHVHDHREEHWHWRPALQSIPEAVES >Dexi1B01G0029060.1:cds pep primary_assembly:Fonio_CM05836:1B:33459130:33462949:-1 gene:Dexi1B01G0029060 transcript:Dexi1B01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEERNGAVGNQFPVGMRVLAVDDDPVCLKVLETLLRRCKYHVLSVNGETKTVMKGITHGACDYLLKPVRLEELKNIWQHVVRRRFSNRERANVDVYEECSRPSNVDFDNVHSQITCGSPDQSGRPSKKRKEYHSEDEDEGGESNGQGNDDSSAPKKPRVVWSVELHRKFVAAVNHLGVDNIALAYQVLVTDKEMWHKEKDDASFSDDLGCDFYSLGACI >Dexi2A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:2A:6280905:6284954:-1 gene:Dexi2A01G0006710 transcript:Dexi2A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSFLRRAAAAAAASSGRGRAAAPALPDPPGTLASLLLASRSYAKAKGGGKPASSTTNRGKVRAKDPRGGASADDADGDEFSAGGGGDDLDAEFEMPTDPLPPTYDPTLDVGPGGRPLFAFTDTFGSFAHRNANVYVDFTLDEWNAMLPEGLPAGMMKEFQETRRCAVMVRKSFLDLRDNFRRIVDPAITTNLKDIKKQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQAKDWTHGGFFYRNTYSDFFDTPIQAAKILENFLKYNEKRLQELPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHTHASVGVVVRLRNELSLVKDVPVLFAIDQYNSWFTFSDFQEPVTVRSCRSIHAKELTMVNAYRSMLHNDMMVGAFSHSTAVGKLRQELPDVPPDARLMFPRYTLEEAETVCHYYMRQKIIRRESFSEEKWKKIYYLSNGNGSEMRWLAAFV >Dexi2B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:2B:838785:842447:-1 gene:Dexi2B01G0001330 transcript:Dexi2B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSGILSSHPGPCRHFSFPIDYFADDDDDPAAAATLDGWLRAPALDYLQELEILYHFWVPLRWANLQRPPLPASARRFSSTLRVASFGGCSFPDGDAAGGGAIQLPHLKQLSLFNVRISESSLYGLLAGCPVLQSLVLAEDIAGCSCIRIASRTIRSIGVRHSSQSGDAMLQQLIIEDAPCLESHQR >Dexi4B01G0021090.1:cds pep primary_assembly:Fonio_CM05836:4B:23111159:23118176:-1 gene:Dexi4B01G0021090 transcript:Dexi4B01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSGRRAPSTAAAVASSPGQKRARDEASSGSPSDPDPSPAKNPRRAFASSPFADFGTYMAAKNSKLAAQFDADAATSGAGALGLFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRHTVTHIVCTNLPDSKMKNLRAFSKGLPVVKPAWVVDSLAENRLLNCAPYQISQNSSSSRKQTKLSAFFSEKQNEVRHQGEQNNQNKDAEFLSVSAQEGSQHQSEEFESEASMDNVELSKGSLSSDEQKETEFEGGDVGDFAVNEGEYDCETACSERRDNDMDGKFGVAQSPDARSRCSNLCSTSSTGSHLSLPLEKSAAKPSSRPHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNVLEAKSINSNADHSGKKKTIIHIDMDCFFVSVVIRNMPDLHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLIIVPYNFDAYEEVTDQFYGTLHKHCSKVQALSCDEAFLDMTECLHGDPEEVTRRIRSEIFDATKCTASAVPTVDSRMAPCSRDIQFTYCNMHLLQADDYLSSLSIKALPGIGHTVSSKLRSKEIEYCGQLRNISKDALHKDFGKKTGDLLWNYCRGIDHSVVGSVQETKSVGAEINWGVRFNDNKDAEHFLTNLCKEVSLRLQGCGVQGRTITLKIAGATDSFVTLQRIAKQLFSALRLDVKEVRGVGLAMSKLEHADLARGASQGNMLESWLASPAAKLKKRRGEVLGTVDAAGTSALQDLRIAGPLSIGATSHSSGMNPRRGRSTGVHNVELPPLSQLDLEVLKNLPPEIMSEMNDMYNGELQGLLDALNNDKGKEISSKSLALPTITQNSVPAGDAKHQGYRDHKDSMHLVDDTKVSFFTLET >Dexi9A01G0045200.1:cds pep primary_assembly:Fonio_CM05836:9A:48772540:48775206:-1 gene:Dexi9A01G0045200 transcript:Dexi9A01G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQQQQELQRARSTRQSVPACSRVAIIGGGISGLAAARQLASHDPVVFEATASVGGVWKHCVYRSTRLQTPRPDYEFSDYPWRNREDPTFPTHAEIVDYLEGYADEFGLWKYIAFGSKVIDVKFLGGHGDADADAGFFTEKKEKMWSCTGEALQGKPMWEIGVATAGSDEVKYYKFEFVVMCAGKYGDVPRMPVFPKGKGPEVFKGQVMHSLDYCKLNEEETVELMKGKKVVVVGYKKSAIDLALECAEANQGEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPNQGILRSMLCRLMTPLRAGVSKFIESYLAWKLPLDKYGIRPSHPFVEDYASCQMAILPDGFFDMADRDMIRFKRASGWCFSENGVVLDDGTEVEADLVFLATGFEGKDKVRAVLPEPFRGLVVDKSGMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFELPSVEAMVKHVDDEAEVMRRTTRFYRRHCISTYSIHDSDGMCADLGTRVLRKGNWLAELFAPYNNQDYKEE >Dexi2B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:2B:10534846:10536167:-1 gene:Dexi2B01G0009820 transcript:Dexi2B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNIDTATSKQASSMAPNPGKATILALGHAFPQQLVMQDFVVDGFMKNTNCKDPELKEKLTRLCKTTTVKTRYVVMSDEILKNYPELAQEGLPTMKQRLDISNKAVTQMATEASLSCISSWGGDLSSITHLVYVSSSEARFPGGDLHLALALGLSPDVRRVMLAFTGCSGGVAGLRVAMALAESSPHGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAIIGADPTPTERPLFELHSAMQRFLPGTDKTIEGRLTEEGIKFQLGRELPHLIEAHVEDFCRKLMAERKGMMKSYDDMFWAVHPGGPAILTKMEGRLGLAGDKLHASRCALRDFGNASSNTIVYVLENMVEETRRKKAEAGDGEDCEWGLILAFGPGITFEGILARNLQATPRA >Dexi2B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:2B:18497630:18503658:-1 gene:Dexi2B01G0012480 transcript:Dexi2B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGKGKGCGLLKVVVARGRNLAVRDFTSSDPYVIVRVADAEVFDWDRFKYDDKMGHAYLDLQPVAAATKLRRALQLTEGETKLRKVAPDVENCLFSDSFVTYANGEIALDAWLRLRNVESGELFITVKWIEIEDMK >Dexi9B01G0042600.1:cds pep primary_assembly:Fonio_CM05836:9B:42832843:42833326:-1 gene:Dexi9B01G0042600 transcript:Dexi9B01G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHVGAGTVAPVPHACTPALPLAHRLAPRPAARGRLRPCSCRAKKPEANEDGRAGGSVLSKSVLLRSGVALFALGFVDAGYSGDWSRIGAISKDTEELLKLGAYVVVPLCVALVFSLSEDSNNKP >Dexi9A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:9A:5143703:5151910:1 gene:Dexi9A01G0008710 transcript:Dexi9A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSTAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSAPSSPPQHPMAASAPAVAFMDQEELPESSSSDDDNGEEFLIQKNTLKRPRSPDGDHILAVGNFEGSANEAAKILDVMDSRTSSDNSNRKKQGRGRGRAGTGRGRGSKTDQTRVTSCSSAGVTNGQLDKLNKEIRPIVQPGHEDRAAAQDELSMLRGKVAFLEEELAKSHQEATNYHQLSDKLAKELKDLKDHDQQMRTKQMKVLSDLLIAVSKAERQEARMRIRQESFRLGNVGVMRAGTMISETWEDGQVIKDLNSHLKSLLETKETIERHRKSLKKRQSDKGDGSDAETSMSEEDILLQDEICKSRLMSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRGQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKWSCTLVDG >Dexi9B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:9B:11765269:11767254:-1 gene:Dexi9B01G0017060 transcript:Dexi9B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRSSVHMASASGDGNCDAWAARDPSGVLSPYKFNRRHEIAGIVIEVGSDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENHCPKSVYTFNGIDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGVTVYNPMVRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKRDEAINILRADNFVISSNTQQMESLKSSLHFIIDTASGDHPFDPYLSLLK >Dexi1A01G0026980.1:cds pep primary_assembly:Fonio_CM05836:1A:32840486:32841202:1 gene:Dexi1A01G0026980 transcript:Dexi1A01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLAHGQFGGGPSRGSVGGRSSALPVLCNSVLPSYRNYSAEKHNHDDTLGEIGEKARSTAEEFLRVAKEKTDEVAEGAKETLHETKEAVVGESDDEKEKFKRRVEEGRYHQK >Dexi7B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:7B:13770442:13772429:-1 gene:Dexi7B01G0006170 transcript:Dexi7B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVITVDLECSRCRSKITKVLDCLKEEYCIEKVEFDDKNKKVVVRGKFDAEKLCKKVWSKAGTKVVKEIVIAEVWPMPPAPKPCKPDPQCDCAHCKPAAKPEEPKPKPKPPVKCDCDHCCKPCCNCKPDDKKPADKEKEKKPAEKPKPEYKLVPYPYPYPYTYPMTCPSWPSQCPPQQQCQGCQPPPPPPPPPPTPPPPACSCSSHGSCSCHGVWPPQPPAVWPPQPPVWPPPPPVWGGYSVVADENSCSVM >Dexi9B01G0030850.1:cds pep primary_assembly:Fonio_CM05836:9B:33256325:33263429:-1 gene:Dexi9B01G0030850 transcript:Dexi9B01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPASARPQRSPDEVEDIILRKILLVSLTPPANPSPAVAYLELTAAELLSESRPLLALYDTAERILIDRLSLQDPPAGSPPPFTFLAAAFGRAADEARKISTIRDVGLRARLAASIAQLRGLILSYARIVAGNPDTFPAPPGAPHPAAELLVFLLAEAADPLDPTPAPGAPPPSGFIDEFFSGADYESIEPAMGELYERLRQSVEKVSALGDFQRPLRVLRRLVGIPNCAKALVNHPKWIPKNQIMLIGEGRVMELSSILGAFFHVSAIRDREFASKPDVGIVHLITGCVNSSRVMQQVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVNYLFCNNRIDFKDLTAINASSDEVSSWIESINNEHNQNNSSGEARFVESQEATSSGKNSTALVRCSKKDNFSFICECFFMTARVLNLGLMKAIADFKHISQVWRYLSSFHICYFIYIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVEWERRPAQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKEALFPAAISKDGRAYNDQLFASAANILWRIGGDPQIINEFMQLAVKAKAAASEAMDAEAVLGDIPDEFLDPIQYTLMKDPVILPSSKVTIDRPVIIRHLLSDSTDPFNRSHLTQDMLIPNTELKLQIEEFVRSQQSRKRTAAESEIGEADGIADMAE >Dexi8A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:8A:27322242:27324919:-1 gene:Dexi8A01G0016150 transcript:Dexi8A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAASTKHDVLERMMVDESVKPTDLPLSLLEYITNGFSDDEQIGKGGFVVVYKGMLRNGTIAVKKLLNTHLCFDEKQSHVITSKLFGSLGYLAPEVYCGRITFKSDIYSLGVIMIEILTREKMYPEDYGVLKNWGNKLETSHREKQSEQLNLCTDIGKECTEYDPSRRPDARTIIRRLHEADIVDISTVSGTSNLSITQ >Dexi4B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:4B:8762554:8763452:-1 gene:Dexi4B01G0011340 transcript:Dexi4B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRPERSKPAAISSTCRPATRTPRTGPAGSWRVEPAGDIGFQCPVRLTGETERTDGPHLGRHGTLSMYKGSSSWKELRMVPRLVPMAPPHSKMNSQWGPLGSGSSKGGFRSALVSRSPGKPSSSNGSVGEPPTRACGFCTMTAMGTHVAKALIPIETSRGMPSSSAASLGHARKPSWTSRSGRGSIPASAAANAGRSAAAVETTSRRPVTDAAEGSSSMLCGPVAEEGNGSSTTAAPAGAPARMKGASRGVARKVMAAARPRRRRTWSRWRSGMAWPFAMNGSMATWRSTG >Dexi6B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:6B:3418348:3418608:1 gene:Dexi6B01G0004180 transcript:Dexi6B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFMAGAAVGVYAAQNYKVPNVRGLFDRGVDAARRYEEAYRKKPPSSSGDAAMAGGGGGMSGGKKKRVVQVDIDDDEQ >Dexi3B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:3B:4528888:4530285:-1 gene:Dexi3B01G0006530 transcript:Dexi3B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVGELASSWVPPPLPDPGCITGDAPPSVLLDMRCYIADLPNATTATGVTASGLPIHVTFRAARPPALSHLCVHCPGVSFSAPAPKVIATHAGVLLLRVPIHPSDFISCVKPQFWDYYVYSPAARPPRLELLPNPYPKRFNDSEAAIVSTSGGGYVVAALKNRIPRRDRAGGRGAFIKTEFDLHRYRSSAAGEGWVTDQISVEEPMRDALVPLPWAVADVRPYHESGKTVTIGGERGTVAWVDLWRGVILCDVLVDGDERPVLRDVPLPVPARGNWGRLLRDGDPSYVRDVTVGRSKGSIKYVEMEFRPPTTKTVVPDSYLEWARGGGSSKVIREGGWKVTAWSMAIPVGSWEDWRRECDVDVGDLTAVDDGTPWVSEPTSSCLRLRGSDREAILRSLPVAYPTISMDDDDDAVYLFSEVGNLEMVVAVDVRKKTLLGVAELDRQKSFGLMPSYCSSEISSYLK >Dexi7B01G0024730.1:cds pep primary_assembly:Fonio_CM05836:7B:29001916:29003822:-1 gene:Dexi7B01G0024730 transcript:Dexi7B01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEQHPQPNATYQTDATNSPTADDHHKPGTPRVSSCSTDKDAGLSLCRVCHCVEPDLRGESALGFLGIVPPSAPKIENDATKTSTSKDAINESTKDGTNVTRFIEFISPEGEIFVCATDVESGPLHQQDHLVDLGCSCKNELALAHYACALKWFISHGSTVCEICGSVAANVRPDDFNKVLASLKDYEALRERTSTGELSFLQHAPGTGVDPDAVAAIRRQRLSEISSWFNPHNSQMAVSQGHIDQLPLSPSNNSVLEHSVVAVRRIHTRWSLESTGVLVAIGLAVIVLAWLVAPHVGKV >Dexi1A01G0031650.1:cds pep primary_assembly:Fonio_CM05836:1A:36535945:36538806:-1 gene:Dexi1A01G0031650 transcript:Dexi1A01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGEDKEEDPVTISASVRSISTTSTERGVRSGSGFTSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFPELKSATRNFSRSLMSDIWSYGVLLYELITGRRPIDRNRPKSEQKLLDWVKPYIGDKKRFPIIIDPRLEGHYNPKSITKLASVANRCLVRMPKSRPKMSEVYEMVQNIVDSVETGPPQPPLHYHGSVSAPGAKRTKKGSLKRRLQEFKFGCRNIVWRGWKPEVVKTF >Dexi5A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:5A:14851946:14852851:-1 gene:Dexi5A01G0016270 transcript:Dexi5A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQPWESPSIIYFLAFQLSAAVLALVEVLGSRLHQDSCWLNFSFAFEQIGYHLRVACCLLLPATQLVVSISHPSWISLPFFVFSCIGLVDWSLTSNFRGLFRWWRLLEIYSVFSILLLYIYQLPVKFPYVVLAFADFIGLFKVSSKSEWPELSSGISLLVYYFMVCN >Dexi2A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:2A:7156095:7156682:-1 gene:Dexi2A01G0007330 transcript:Dexi2A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPKILVSTPDACRQVLMDVEGFINGWPKAMVALTGPKSFVAIGYEEHHRLRKLITAPINVFDALTSYLPFIDRTIRSSLKTWSDVSVDAGCEVEFLIELQRMMFKIIVQIFLGCADDATMHATKHNNDHRKKCRAERREAGLNNLEVVSDHSHICNKTWGPRSRTWMRKGSTEPATGEDATLDCIKARGTAV >Dexi7B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:7B:2384803:2387494:1 gene:Dexi7B01G0001470 transcript:Dexi7B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNENPEGVLSGEWPENFSLLSYADLRAYLESQIATTGEMSPTAKLGDVMTRPVRVATPGQRLAEVDAFFAAQQFSGLPVVDEEGRCVGVISKKDKAKALNGMESTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNQQQQSYVLPLVN >Dexi5B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:5B:21996576:21998132:1 gene:Dexi5B01G0019690 transcript:Dexi5B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDKAIESYKKAVTAAASLAASAMLVRGVVNELVPYEVRDFLFSGLGYLRSRMSSQHTVVIEETEGWATNQLYDAARTYLATRINTDMQRLRVSRIDEGKSLMFSMEEGEEMADVHEGAEFKWRLVCRDNPGAGAGNGNGGRGGNGNYRVEVRSFEMSFHKKHKEKAITSYLPHILATAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKMKQSVMDDLERFVKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSIDLQQRAEEGQDGTKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSISDHDTYPEIEELITEVMVTPAEVAEVLMRNDDTDIALEGLIQFLKKKRSEAKDSSDENVDQMAKEDGKEMMKQDVSGDQNLNNAGKE >Dexi9A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:9A:4203233:4205151:1 gene:Dexi9A01G0007250 transcript:Dexi9A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLAAALCHLSLPSTSKPHQASLLRIHPSSSRRAVSLALRASAAEAAEPDLPAEEVVAVEEEAEEDALSGVALRKYVKKRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLATLGFENNYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVPLRSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >Dexi9B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:9B:8295705:8298983:1 gene:Dexi9B01G0012370 transcript:Dexi9B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEEEYEYFYDDDDAEEDAAGGLEGDAAPPPERRADCWAITQESLPTAQKDDLSTVMSLLNVKQHQARALLIHHRWRMDSIYDCLDKGRERMLRDAGIVLQENNILAAAGSTEPWRIVHCNVCFEDIAMGVVLTMDCWTQHFYAAVESGKKQIRCMAVKCPAFCDEDHVRRLLGQKYPETAKRFNRFLLESYLEDNDSVKWCPSAPNCGRAIRVGAGERYCEVECPCGLSFCFNCMVRAHSPCPCTVWEKWNAKCSEGENIKWILANTKSCPKCFKPIEKNGGCNLVRCNCGQSMCWLCGGGTGFEHTWTSIAGHSCNRYKEETGGKTADSSRVQMQRYKHYYDRFKIHGDSCNVERQKLAPTLQERVRLLESDLTRPLATRDGDWLLRGHRRLLVSRQVLSHSYVFAYYMFGGDLRMQQRRGRAASLTVAKNLFEDQQEQLEQHVERLSELLAGADVAAMAEAEIVPVKQKAVTLAKTVERLCGEMYKCIQDELLTLLVDPMTIAAYRPDGPDKAEELAA >Dexi9B01G0036440.1:cds pep primary_assembly:Fonio_CM05836:9B:37972414:37975027:-1 gene:Dexi9B01G0036440 transcript:Dexi9B01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSDPAATSKPTQMDLEQQARRRLLLAPNSPVLMLVQTDAKGKGKAEDGSIKSEELADSIGGLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVVDMQASNKKRGYVVNLDPAVMTLPFGANIDIRDTVMYKDVMKEYGLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMQDFEAFQTALESDKSYSATYTRSLSLALDEFYKNLRSVGVSAMSGTGVNTFFEAIEASAKEYMETYRADLDKRIAEKERLEAERRKENMEKLQRDMMKSKGQTVVLSTGLKDKNPASDMMDDDEDEEEEFEEGFVVDDEEEEDEDGEDEEVDHFGF >Dexi9A01G0045530.1:cds pep primary_assembly:Fonio_CM05836:9A:49091040:49092167:-1 gene:Dexi9A01G0045530 transcript:Dexi9A01G0045530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRIRFLIRKHKNSCHGSCTDLCSWDMRGMPTSWLVILIQVQYEEHWEMNLKVFALAGFLVFIESFSLGIGDISNQHERISRKPCDTNQLARLMNHLVHLQLSHDIELLRHILRLCNHLWAHHCVRGAVRARTQRKNLEIQASMNSSLTPFHK >Dexi2B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:2B:18884210:18893015:-1 gene:Dexi2B01G0012570 transcript:Dexi2B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGRCRGRKARTIPPPAAARPPPPPSVEGVVTVADLTEELLRSILRRLAPADLLRAALACHHWRRAASRVLPRAPPLLGYFFHPQNTVKLPPSLEPTDKTHYSAVFVPLNAASPRLSLDLAPTAARGLSIQDVHLGLVLLLHHPRPQSLLPRILAIDPASRRRRAVRCPATAGAASGASSASPLSFNAVCLTVDGDLPRAWVTSVRDGDCAWRALPLAEGVVVDFDPWWFEARCIWVRGEGRRSDRGWVMEREMCMIKVLDTVPRLPKDTKWRMACTWLSDIDYARTGKVFVKTWGYGLYSFDTETGKLECLMMTDGKEYGDPMYAYTLSWPLEFLAPRD >Dexi1B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:1B:19167023:19167780:1 gene:Dexi1B01G0013470 transcript:Dexi1B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHGKKVVESFTIRKTGKVVRAGDCVAIRPSMDDDDENLSLARVEKMETCCECRGVRWYYQPWQTKQGSRTFHGKKELHLSDRIDTRSAYTFEAKCVVHTLKEYSKLSKARIEDFFCRLEYKVDSATFMPDQLSAYCICEMPYNPDIPMISCPGCKER >Dexi2A01G0030110.1:cds pep primary_assembly:Fonio_CM05836:2A:41116652:41116867:-1 gene:Dexi2A01G0030110 transcript:Dexi2A01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAVQPRDSAPPSGHSTTAGGDSRDREGEGSVAASASVPICDMAWGNTREGGAAGGGCGWRAADLRSG >Dexi1B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:1B:2521305:2524398:-1 gene:Dexi1B01G0003110 transcript:Dexi1B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPPSAAADPAGSGAPTRQELDGMGAPDGGCGDAEGSAAARPVVPGLGDLGAGIPDLLGQAEACLHPCAKDTQLTAEVSVEKPHGSDPLSFGKENAGTNIQSKPDAEHGENRLSAARLALDLNTVDNSDAAEHNPFFPYKKLGQSRVSDPSECGSTTGAIEESESYRKWREMKQNGFLSSSHGKAMVPRPRGRPPKRKRDDEVKKNISTQHGQANKFVKVAAPSGLLSGLNPGIINHDGDGLKLQLSSAVNVSSDRTCSTSADDLASNHDYMTVLSVKAATVASQWLELLHQDIRGRLAGIILKSQENRLKQVQEMQLNCDKGLQHMSCDAPLLGPMAELWKLKNPDISESEWAVQAAAASIYSTCNMVLRTENVPCF >Dexi5A01G0033380.1:cds pep primary_assembly:Fonio_CM05836:5A:35735016:35738667:-1 gene:Dexi5A01G0033380 transcript:Dexi5A01G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLELQGRHGKSRVRVARVWRRPAAAGGDVIAEWNVAVSVVSDCLPSYTSSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVAVDGKPHSHGFKVGVEKHSTEVIVKKSGSLLIKSGIQGYSLLKTTQSGFEGFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKVLSDTFFGPADVGVYSPSVQNTLYLMGKEVLTRFPDISSIQLRMPNLHFLPVNLGSKENPLVKFADDVFLPTDEPHGTIEATLSRPMSKL >Dexi2B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:2B:25669724:25677073:-1 gene:Dexi2B01G0015610 transcript:Dexi2B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKRPRQHEHASLSDPKQKKLSFLPSGQKKHLGIIDASPEQRFALPGTDDANMMNQEVDQNGSGEILVVPEEKNLASHSISADKNMENQAHREIASSEQVILTNTDWNNQEAKQKYSREETVRVQTKTPRVLYPQLQLPRSGKKDDGMVNGMEDLAGNTNDLTEQEESTDSIPSPLFSGTKLNKRLRSKVWDDFIPTFVDGRITRAECIHCHQIFGGTNGTSSLIRHLTSSCIPAIQKRPKMQEHTSLPCTQKGKIAAGSDLKQTKLSFFTSNQKKCTGTESTAPEQKELALSDIPTDKNRKTQDADQNGSHEEPASPKQNNIALADVSTDNNRMNQPNEEIMLPEQIGIPADMSEKHQEVDEDASHEELIEMLAMHGHLPTMVDQDGFRKVVAWLNPTIKMPSHNNVMVNTLKLFQKEKFKLKEQLAALRSHVCLSVYMWQYDPVLQFLCLRVHYIDDEWEKQQKMILFHAVDSSCHANELSDVILGAIERWDLGGKVFCIILDDAFIDDSVASNIKANLQERNSLAANRSLFVVRYATHLLDQVIQVGLDELGKIMEKLSKRSRHTKGFTPSAVQCPNCKYAPSSEDWWAAKKICSILDDLHKHMDFAPRHPTPANFFDMLWDVKKDVSFKSYLCKDDDTFCKVQEKMQKKFRELWKLCFFHFCMPMVMDPECGLERIRSHIRCSGLDKNVYVHDVGSILDSLFHEYSDQAEDPNNTSGSKTSKGTVVDGDTPEKYQHSDRPMTELDKYLQGPHFTTNEPVGLKGTAGKPSALQWWKEYGLNYPTIARMARDVLALPCISDWKVATRAAALAISESGSKQWVEELVCTQDWLTPAGTSEERSSGRPEREHAARAPRGLGSAGGSLELHWQPWTVSVRAGTAGSSSPSGLMELSD >Dexi9B01G0040460.1:cds pep primary_assembly:Fonio_CM05836:9B:40988450:40989906:-1 gene:Dexi9B01G0040460 transcript:Dexi9B01G0040460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPPPPFPTVASEPKQQQQRGGTKTAPEKANKKYAHVPTPLHHVPGGASKQKTPRGAKGADDAAAYVAAVSCSDCRFKQRPALAPASPGAVIRSLFVSLTRRSTPRSSPSPTSSASVAAAAAGDAADGEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLAELELKLARLEARVLPPQPTVAAVFPVDAFLRAVSTARAAVRNLARALSTHLRSSSSPAPNLESFLNRAFHADFELDTDADVHTPDPAGRCEANLAAYHAVAVLTWEEVLIHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRAERGARFDARFMEDAAAGRAGRLEPASVKMMVAPGFHVYLAGAGVVKCRVVCFYSSSNGSNGRTGGHRDGGSSTNGSVGLGSSCSDMNGSATDVADSCKSSRG >Dexi6A01G0001430.1:cds pep primary_assembly:Fonio_CM05836:6A:1243150:1247636:1 gene:Dexi6A01G0001430 transcript:Dexi6A01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAAPCWWVAAAGAVVVVVVVVLDAGVRRLHGWYREAALGAERRSRLPPGEMGWPVVGGMWAFLRAFKSGNPDAFIASFIQRFGRTGVYRAFMFSSPTIIAATPEACKQVLMDDEGFVNGWPKATVALIGPKSFVAMGYDEHRRLRKLTAAPINGFDALTSYLPFIDRTVRSSLKTWADVSADGGEVEFLTELRRMTFKIIVQIFLGGADDATMHALERSYTNLNYGMRAMAINLPGFAYHRALKARRRLVSVLQGVLDERRASMAKGFARSGAMDMMDRLIEAVDEHGRKLEDDEIIDVLIMYLNAGHESSGHITMWATVFLQENPEIFERAKAEQEAIMRSIPATQQGLTLRDFRKMEYLSQVVDETLRFVNISFVSFRQATRDIFVNGYLIPKGWKVQLWYRSVHMDPQVYPDPKKFTPSRWEGQTPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYRLVRKNPSCRVRYLPHPRPVDNCLAKITRVSDEY >Dexi5A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:5A:13956110:13957005:1 gene:Dexi5A01G0015950 transcript:Dexi5A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLVCLEEVGAEYEVVNIDFQAKEHKSPEHLARNPFGQIPAFQDGDVVLFESRAISKYVLRKFKSPKADLLREGNLKEAAMVDVWTEVEAHQYNPALSPVVYECLINPAMRGIPTNQKVVEESLEKLRKVLDVYDARLAKHAYLAGDFLSFADLNHFPYTFYFMATPHAPLFDSYPHVKAWWERLMARPSMKKLGATMAPKP >Dexi8A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:8A:24704908:24706248:1 gene:Dexi8A01G0014250 transcript:Dexi8A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVATAAYDRLAELHALDATTSGISGLVATGLTEIPRIFRVPDPTPQHEAAAMAADDEESATLPVIDLAMADHEALVTAIGLAASEWGFFQVINHGVPAEVVSGTVDGTKAFHESAGGEGTEKARLYTRDLARKVKYNCNHDLYKSKVASWRDTLQLTMAPEPPAPEELPEQCQDMLLEYSKEMTKLMHTLFGLLSEALGLNSSYLTDIECNKGQVITCHYYPPCPKPELAMGLGMHSDSSFMTVVFQDQVGGLQVLNNNKWIEAKPIPGAFIVNIGDLLQIVSNDKFQSVKHKVVLKKTTAPRVSIACFASHPTSKRKYGPIKELLSTENPGLYRGITAGEYFALQHSSAIDSYKNKALEKLRCL >Dexi9A01G0009610.1:cds pep primary_assembly:Fonio_CM05836:9A:5844495:5845439:-1 gene:Dexi9A01G0009610 transcript:Dexi9A01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFMSYVDLKKAAMKDLEAGGDGIELPESGAGGVTDERLRGFFEEAEGVKAEMAAIRDALDRLHAANEEGKSLHQADALRAHRGRVNADIVAVLRRARDIRARLESLDRANAAQRRVSVSCSEGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVETQGEKLDDIESHVANASHYVQGGNKELGKAREYQRSSRKCLCIGIIILLLLVLLVIVPIATSFRKS >DexiUA01G0001070.1:cds pep primary_assembly:Fonio_CM05836:UA:3414732:3417613:-1 gene:DexiUA01G0001070 transcript:DexiUA01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGIAGGAAAAAAAKGGRFRHAGPAFATAPAAAGAEAAPLVSASGGEVPPTAAQWAASWELDDWEFADWRDDAAAVVVAEREAAAAAAKPRLVFAPPSREEAEEATTELRDAIERAYFNESPVEVVKEQDTDLDKLATDAIIPSMPGHVVQAFTLLKSSPEAQSVVASLASDKNVWDAVLKNEKVMEFYNKNYQTTLVQPSPEESTTVESPEKFVDAASENATTGSAFADFVDNATKTVMDVVDNITHFFQDLFSPPAEAQAGAGSSAEKGPSLAEMAVGGSFMALAIAVILVVLFKRA >Dexi1A01G0031540.1:cds pep primary_assembly:Fonio_CM05836:1A:36467822:36470195:-1 gene:Dexi1A01G0031540 transcript:Dexi1A01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDRLNSPSTSAISLEVMGHRLHISQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKMKGKRVIELGAGCGLAGFGMALLGCDVTTTDQVEVLPLLMRNVERNRSWISQSNSDSGSIGSITVAELDWGNKDHIKAVEPPFDYIIGTDVVYSEHLLQPLLETITALSGPKTKILVGLVLLLRSFFFGLENIGLGTTELSLAVQLGYEIRSTTVHEKMMEMWKSNFIVKTISKSKMDPKYQHPSINLYMMDLMDLKAPLSADAGASDNGNEEEDDVSNLGEDKDPGAKSEHSSGPLEAKSGSMDDWEIRRCGAMAARLLKDVKL >Dexi8A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:8A:4097752:4098787:-1 gene:Dexi8A01G0004640 transcript:Dexi8A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRPSVALEAIFRSLPQRSLAACRCVCRKWLTIIDARRLLRRDLLPFSMGGIFITLGFSAQAPPAFFARPSSSSSSAIAAGRDLDSYALETNSPGLVRILDCCNGLLLLRNRVVNPATWQWARVPPPCHVAWADNGGYGAIGDAYLVYDPSTSPDSFEVVLIQDASFLEDELEDGSECPPPSYTMSIYSSAAAATAAGARQGRWEERTFVRDGEPAGTFGAQTLVL >Dexi2B01G0028760.1:cds pep primary_assembly:Fonio_CM05836:2B:37315907:37317124:1 gene:Dexi2B01G0028760 transcript:Dexi2B01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAGELALTDDILADILIRLPTLADFGRACASCPAFRRVITAHPFLRRLHALHPPSIFGTRTFFGFHPIEPPNPSAPAGRAIAEASDFDLSFLPKPGFWMVRDELGGRFVVDRDEGRDDTFTTIAVCDPLFRRYVILPPIPAELAATVQKPYSVNAERRCDVFLAPSDDDEEEVAAGAPKSFKVIWMAQCPTKVIAFVFSSTSNQWRAVAPARWSDLNRFMPSAAECKSLRCRNYAYGCFYWNLSEYPYGSNLIVLDMDKMEFFPAENPPIHSLEQFAIVELGESRCGMFTLDTNNIEGGVLRVFSANIEIHDVCPSEWVLENALHLPDSYKYDMLGVADGKLLMVVTQTARSSRDVRCVSLDFKTLQRQEIRGIIHRDFLVPVPLPALYIGYPPSLSLPTI >Dexi6A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:6A:27647370:27648405:-1 gene:Dexi6A01G0020110 transcript:Dexi6A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVFTHGRYIILSPPARRRLCISFLPWDPSRRRRREKKSSATPRSHEKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPG >Dexi9A01G0031100.1:cds pep primary_assembly:Fonio_CM05836:9A:35986658:35987050:1 gene:Dexi9A01G0031100 transcript:Dexi9A01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEKPWEYRPSRIRALGAVTGGPPGVPHGVGGGGRTAFTNHPTASAAAMSTTSAGGVLPVPDTQSIAHATQMSRSTPTHACIAAAVPVRFSCLPSPGVEAAAAVIIGAS >Dexi5A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:5A:12974480:12979178:-1 gene:Dexi5A01G0015420 transcript:Dexi5A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASGARGKEGPGAFSVDLAAAARRLLAFLRAAPTGVGPRSVRRYEELWLPLAAAESGAGGGEAAMLLPPPDVQLVWLCHCFHHYAEDRCRDVWAVHYPSEPFDLHSNEIDGNVDGNACDNASGELMEMVRQYAGLAAHFASPFVREAVYHVAARQRYMRFLELIKKVVGTIQEQVRLVPSLDILLMWLAHQSFPVSYAKDMVALAVMDSVAKVGVAYGEVVNEEVESTRALWEDAYDEPYDMAGSEVDAAAVRAAREAFYWTPAASEEDVNRLYKSLQPRFMMEVYVFLKGGTDKEHINKEFLRLRTQRCHRSLKLNKSMANLSCKNWQKTWHLYCEFATRGLIIELRRTTSGCFRNSKFLKNISFSWSDMLHEKALALTDEIEVRLRAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRMRNYRPQEGRWLTRTVLDHGGRECFVIRMRIGRGIWRRGAETPTAVKWEDRSIEVREGSWSYVASTSSVGYAPEKVVGTAMAMKDQQENKVVWNLSTGDILTARLLVGRRLSYRVNTDRALGNHNEEEQYLTLVRKSRDHHGDRATVLLNWKLLAVEFLPEEDAVFVLLLCMVIARTMTEIRREDVSGLLVRRRIGEARVGQRDWGSVILPDFLSPDPNLQPWYRNAAQVLTSQETGAILTKYSPADGKDLLYRQALIP >Dexi4A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:4A:22281789:22284191:-1 gene:Dexi4A01G0018300 transcript:Dexi4A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSTASAAAAAAAEPLLPSALKRGVMERCASRPDDELQWFRSCLRWVCMDHSSPAQAALSWLLFLVLTILIPAAAHFLLAFRATRRPFSAVVQLSLSAASAAAFLCLSTSFRRIGLRRLLHLDKLRRTKSDRVRLHYTARLAFSFRLLASLVAPCFLAEAAYKAWWYATSADRLPFFADDVVGGVLACSLEMASWMYRSAVYLLTCVLFRLICHLQGLRLEEFAGSLLEEVEEGRSGVESVLREHLDIRKQLKLISHRFRKFIVASLLIATASQFASVLLTTRHDSVDDLFNTGELALCSVVLMSGLIIILSSAAKITHQAQALTGHTTKWHACCTIAPVPDEEGEPGSNQNSMIEQDSSSDSDTESSETCDEDLLENTKIHLPQAHVISFQKRQALVTYLENNRAGITVFGFTMDRAYLHTIFMLEWTLFLWLLGKTIGFS >Dexi1B01G0023420.1:cds pep primary_assembly:Fonio_CM05836:1B:29007821:29009078:1 gene:Dexi1B01G0023420 transcript:Dexi1B01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSRYVGWCCAFACALALGTVDGLLVDITYVESAVAKGAVCLDGSAPAYHLARGFGSGVNSWLVHFEGGGWCRNVTTCLERKRTRLGSSKEMATQIAFSGILSDTPDYNPDFYNWNKVKVRYCDGSSFTGDVEEVDPTTKLYYRGARIWQAVMEDLLAKGMDKAENVRILMSIILGNRKAFARLTKLCQALISGCSAGGLTSILHCDRFHNLLPLGARVKCLSDAGFFINE >Dexi6B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:6B:26480898:26481909:1 gene:Dexi6B01G0019860 transcript:Dexi6B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASVPSSPCSSETSVEEQLQNLKVTVCSPSVTIETVVDGLTKLGSIYCHINEFICVLSSQRQSVEDELERSLILLDLCSVVQESFAELKTIVQEMQLLLKRGDTTAVQAKIQCYIRLARKMQKKFKKVSSKPASDNDRCRVVKLLAEARGIAVSMLESTLHLLLKQVVVPSSSK >Dexi7A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:7A:27956935:27960777:-1 gene:Dexi7A01G0018630 transcript:Dexi7A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMVVGLSAPGPVGRWGAAPPQALLERMKDYGQEGAFALWDDLSPEDRELLVRDIESIDLSRIDRIIRRSLGSQGIPMLAVEPVPESSVCKVEDRSPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQKLAAQSSENPSKTVPIHWYIMTSPFTDAATRKFFETRRYFGLDADQVAKAPDGNGGVYAALKSKKLMEDMAARGVKYVDCYGVDNALVRVADPTFLGYFIEKGVSSAAKVVRKICLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGYTTGLKLEQFIFDAFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >Dexi5B01G0037970.1:cds pep primary_assembly:Fonio_CM05836:5B:37294220:37296419:-1 gene:Dexi5B01G0037970 transcript:Dexi5B01G0037970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCAAHPYNPVAGGKCASGDLTRVTLSANATDGKNPLYPVTFPAVASCAPESLLANLPGGAAGVAGLARSELSLPAQVAATQSVTRKLALCLPSGGHGVAVFGGGPLYLLPPWLIEITSSMGSTPLRRYQDQPGYYIWINGININQIPVPLLGHNNNYGGEIVVGFSTTVAYTELRRDVYRPFVEAFDNASTSGFRLQRVSPVAPFEMCYNRSNLWSTRVGYAVPQIDLILEGGTRYGVFGANSVVQVDDATVCLAFVEMRRPEELGYVHGQQPPAMVMGGYQMENNLLVFDEEKEQLGYSTLLLFRQTTCSNFNFTMAA >Dexi4A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:4A:6357328:6358528:-1 gene:Dexi4A01G0008480 transcript:Dexi4A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEGYLCHDQLIYDGTVHQTVPFGCAHKAAHFRNDGLFTGVIGPAGMAGGLTQFSYCLFGGGEASRRGFLRFGADVPRNPGYMTTKILPALDADESGHYVSLVGVSVGARKLEGIQPETFARGEDKHGGCLIDLGTPLTVMAQEAYDAVEEAIWSDLRRQGALRVKRPGYGLCVRASEVVMGRRLPSLSFHFAEEEAVLAVSPEQLFLMMDEEHGRVACLAVMPGRRTIIGALQQVDTRFVFDIKDSKLSFAPESCIRDSVEVA >Dexi9A01G0044410.1:cds pep primary_assembly:Fonio_CM05836:9A:48044025:48046147:-1 gene:Dexi9A01G0044410 transcript:Dexi9A01G0044410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRENESDGEELGGEGSNPVGGGTTPPPLAAAPVVCVLRSAGDFAGGAFVGSVIGYGQGLLTKKGLKGSFSNAGSSAKTFAVLSGVQSLVICLLRRLRGKDDIVNAGIAGCCTGVALSFPGAPQALLQSCATFAAFSCIMEGLNKQQAAMAHTLGGTALTVGRENGGVLPPFTLPPILDASDALASCCQALVKLKH >Dexi2A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:2A:709344:716683:1 gene:Dexi2A01G0001090 transcript:Dexi2A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLSAVLGEVTSRSINFFMSKRPKPSALDVEDRLGKILLRARVIIEESMGRQITNQAVLQQLDILSDTMYRGYYLLDTFRYQSHKEEDKDQIVSHPSLLSKVNSVKGFFFPSGKGYTRMYRQPYSMHLLLGNCMFGRQMEAQHVINFLLHSPPPGGEGLEVLPIVGPFRVGKSTLVAHALTLKFLPYEAYWYYTRTLAFGSVDPETYPRLAHLVMEIARTNKGTFIGANVTACVLRDNFDIHFWCKVAALLRGIVQKNFSRFGEHPNDLLHQSRPTPLGILATPSEDLIIYSHRECSSQEEVPKMKIQDVMFGSIKPHGKFEALAWNSPIPPYHSFVFTCEIQELKTTATKRKRSGIDGVRYC >Dexi2B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:2B:24223612:24225068:1 gene:Dexi2B01G0014470 transcript:Dexi2B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVQVMESSFVAPSEAAQATGLWLSPLDLALANRGHTPVVYLYRSGAAFSDVARVKEGMAKALAAFYPLAGRLGVNGDGRAQISCNGEGVLFVVARCDLKSDDLDFTKPSPELRRMFVPRVEPLSLILAVQVTFLKCGGVVLGVASHHAVADGPSMFHFMVTWSAFTRGGDGTGVELPCHDRTLLRARSPPVVHPGALSVLCPRVTFSDTPERPAATEVFTISRDQVVALRRLCGGASAFSSVSALVWRCTIGARRLPPDAEARLSFPANVRRRVTSLVPDCYFGNALVWLGTTAPIRDITSEALASVAGRISGAIARMDAELVRSAIDYFELAGMDSRPLRGSMPETEIRINSWLGMPAYAANFGSGNPLVMSRAESVRGGFVYLIDDGPRDQRGAGAVRVVMCMEAANMKEFERLLYATICK >Dexi2B01G0022860.1:cds pep primary_assembly:Fonio_CM05836:2B:32478589:32482728:-1 gene:Dexi2B01G0022860 transcript:Dexi2B01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDRKLISFILSNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQVVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDQKTRSTATTSQSVVTADSTTKSDEATKQLQQSPQSNFARDVLAADDSSPTESSTNTISTGGSSSISSSSSHDQDALVKWLLEEDPPTGDEPWLNFAGGVDVDEFSSIAGGPELPWDGATDWLLDYQDFGLGDSSLVDGSMINSSNGAATF >Dexi2A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:2A:2573080:2580012:-1 gene:Dexi2A01G0003020 transcript:Dexi2A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASFITSVLTSFVIFVVLVLLFTWLSRRPGNAMVYYPSLLLRGLDPWEGRRRGSRSPVGWISDAISASETDVVAAGGVDAAVYLVFLSSVLSILVYSGIILLPVLLPVAGTDNALEGAAGFSPIEKLALGNVQADKIYQEIEGHKQKIARAEVVYANSKTESNPEGIKPTHRTGLLGLIGKKVDTIEYCSEQIKELLPKLEAEQKTTLREKQLRAAIVIFNSRSAAASASQTLHAQVCDKWTVMEAPEPRQIIWPNLPRKIYERQIRQAVVYTIVFLIVCFYIIPITAISAVTTLENLREKLPFLKVVVDQKEIKTVLEAYLPQLALILFLAFLPALLMFLSKAEGIPSQSHLVRAASGKYFYFIVFNVFIGYTLAATLFKSLTTVINHPTGIVNMLAKSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVKAAWAPGDLGYNTRVPNDMLIATVVLCYSVIAPLIIPFGVAYFALGWLVAKNQVLRVYVPSYESNGRMWPHMHTRIIAALLLYQTTMLGVIGLKVFLYAPILVPLIPMSFIFAHVTHMRFYPAFDKTPLEVAQHELKEAPNMDAIYTAYIPQCLKPDKLEDLDVFEDAQSHTTSRAPSI >Dexi9A01G0047140.1:cds pep primary_assembly:Fonio_CM05836:9A:50351922:50352413:-1 gene:Dexi9A01G0047140 transcript:Dexi9A01G0047140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAMGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQEAAAVAAAQMLPK >Dexi9B01G0021730.1:cds pep primary_assembly:Fonio_CM05836:9B:16423869:16426467:-1 gene:Dexi9B01G0021730 transcript:Dexi9B01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILLCSDATFFLHLATNAGGLSNVNSGNSETDSKNFRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSPESFNKAQYWVKELQKHGGPDMIMALVGNKADLHENRTVSSQVFKKDMPV >Dexi9A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:9A:9651424:9651964:-1 gene:Dexi9A01G0014610 transcript:Dexi9A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDHPGMTVFTKLLTGSAHIRVFSGPGSSILAEKVLDHDITKDSGAWVLFPDTGGNVHRFVAGEETYCAFLNVLTPSSSPPANQRRCSTFYKDIPYKPCRCALRSGLMEAMMHERERPLAWLEEVAKPRDLRIANLMYRGPAIF >Dexi5B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:5B:2408267:2410756:-1 gene:Dexi5B01G0003580 transcript:Dexi5B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPISKTDLNCISLADPDIQKSVALLKQACLDSGFFYVVDHGISQEVMDEVFAQSKKFFDLPHNM >Dexi4A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:4A:1330837:1332924:-1 gene:Dexi4A01G0001990 transcript:Dexi4A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVDAATPVAHTFAAARFPAPLMPPPAAAADNKPEWSADLSSALYNVDGWGAPYFFVNADGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRAGGGLGLPLPLLVRFPDVLRHRVETLNAAFGYAVRSTGYGAHYQGVYPVKCNQDRYVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLVCNGYKDDAYVSLALMARTMGLNTVVVLEQEEELDIVIDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVTKLKAVGMLDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGAGMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAVAVVAAVGRVCDRKGVQHPIVCSESGRALVSHHSVLVFEAFSATAPGQLDAATAYLLDELTDDCRADYRNVMAAAVRGDYDTCGLYADQLKRRSAEQFQEGVLGLEHLAAVDAFCEIVARGMGAPEPPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVSEFIGGRHSLPLHELPTHATHGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVTQSDGPHCFAVTRAAAGPSCADVLRAMQHEPEVMFEVLKQRTDDATAASIARVFGAMPYLVFDPEAAVMSSGESSGMSSDSEGSAAGAAEEDDEDWEFMRGLTV >Dexi9A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:9A:14423569:14426606:-1 gene:Dexi9A01G0019510 transcript:Dexi9A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAASGAAAPGGASAAAGAAAALGGGVPESVTVACPDHLVIADLAVAKSLGAVTTSAAAAARAIGRRSRRPLGERVHICSRCEFPIAIYGRLRFHLSRVNAISFCLRVIAFSLFQIPCEHAFCLTCARSDSSCYLCDERIQKIQSVKMMEGIFICAAPMCLKSFLKKADFESHVPEVHANLLQTNVEKEERNGSDAPNISRASAGDTQRQSQMPEMSTARAPPRPGVSPTSTSHMQDREERSRYHQSREQTPLRPPMLSKPPSFHGRHSYPPGDTQAENNPPQGFDRPYNWASQSRQESPGAATPLRQESDHSTQDKQQMMANAPFMFPPIPPHQANFMIPMNMNQPMIPNSPFNYPIQQDGNPQYFAAPFQMQLPDTGSDQGSMSGVQPPAGPMGFPEGLQRPWAMGLMGNPFQSMALGQGMADGSGDPQGGGGMAFMQAGFGGIPDGSMNPGMSDRGDGRGILTQMPMPMQMQMSLPPPPPTQPPSGSQQSFNRT >Dexi9A01G0041850.1:cds pep primary_assembly:Fonio_CM05836:9A:45423114:45423695:-1 gene:Dexi9A01G0041850 transcript:Dexi9A01G0041850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASIVHVSFVVAFLLTTTTSAVAGSNATATSLRRSTAALSSAAASSAHNVSHQYTCYLCHKSRSLMVRRCPIAQDDCHLSCLTLPTPVPPSPPRAVAIASGGAGDRNADDCYLMKLYPDGSWVVVDVVSCKASAAACYLVCGKGDEHGGDDGGPAGRTGVVTPGGTLPHALPQFERCGDHLTARDAAIAG >Dexi1B01G0026350.1:cds pep primary_assembly:Fonio_CM05836:1B:31236616:31239025:1 gene:Dexi1B01G0026350 transcript:Dexi1B01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGVGLALQTRAAGFGTGRRRGGLQSPIGSLRVADPAVAPVAVKARGSKPVAPLRVKKSSAGHENLHQSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRKLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVCKLTPTDIAIESLHKIENFVIEHTADKAASDSEVQRIQTL >Dexi5A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:5A:10650381:10651687:-1 gene:Dexi5A01G0013880 transcript:Dexi5A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAFALALVVAFQAAAGVVVPATAPGAPTVGYYNGKCNCSVEGIVNDTVKAALDADITKGAALVRLFFHDCFVRGCDGSVLLDPTYANPNPEKTSGANIGLRGFDVIDAIKAKLESACCPNTVSCADILAFAARDASRYLSKGGVDYAVPSGRLDGVVSSATDAANSLPGSTFNVAELIAKFAGKGFTPEELVILSGAHSIGEAHCSSFHDRLLAPSSQINPDFRDDVLAKDCGAGGDPTVENNIRDMNAASLGSLASYAVPARGGAYLDNSYYVNNLNNLVLFRSDWELVGSNATLRHVREYADNGTLWNLDFAAALVKLSKLSMPPGSTGEIRKTCRATNY >Dexi5B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:5B:11878462:11881897:1 gene:Dexi5B01G0014950 transcript:Dexi5B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMASPTLKDHLSAPTGPLHLKVWEVICIALGVFMVFVFFVAVWLTMRSKKRVRRASANIPITQIPAISKEIKEVRVEQVPASDFAAHDGVLLTIQGKSSDRDSDKVMAHLGVSKSRRGDESHSGSFRYMDKDAGFQSAEEGGSGTFRQASAHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGIVYRGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSQRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVARMLESDDPIPRGDRRSRHHREGSTEMDSQRDNNSDTEKSDNPDSKPSRSRASSSK >Dexi3B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:3B:6352115:6353918:1 gene:Dexi3B01G0009210 transcript:Dexi3B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLFMGDGTDAALFSSLWSFPDDLQHPPQESMAELKQNLVATTLELEAAKDELKKKEQSIAKLADLVRQVAKERDDARDQLQQLRLLAAAAPAPAAPPQQALVTSSVTDSDCSLVSSPVDPFFDPVTSADRRCKLSPATPPPPPATKNQCQPGASVVGSAADAVLDMLASKRPLPQKGRLLASVMEAGPMLQNLLVAGQLPRWRNPPTVQAPDTLPLGGRAGFVGGAPMAAAGANAVAAAATLGYAASNACMKRPMTAMPMLPLTPMTAANCSAGFIAKRQRLH >Dexi5B01G0027730.1:cds pep primary_assembly:Fonio_CM05836:5B:29287580:29290702:-1 gene:Dexi5B01G0027730 transcript:Dexi5B01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDISSSEASASHTGRVRRRRQPSEFPWKEVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLTYEEQIYLYKQLGEIFHERQQIQS >DexiUA01G0025680.1:cds pep primary_assembly:Fonio_CM05836:UA:54031091:54031619:-1 gene:DexiUA01G0025680 transcript:DexiUA01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAGAAAGVVSSASRRAAWQVPVHRTSTSATTRDMAGRLEVEDTAIETGEMVTNLYLSGSQDGIMGQWSSIVSHQLSCHWWFTKLPNHRSQDALVDV >Dexi7B01G0024530.1:cds pep primary_assembly:Fonio_CM05836:7B:28857538:28859575:-1 gene:Dexi7B01G0024530 transcript:Dexi7B01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVLAVGVFSSPAAAAASCPQLEGIVRTEVRAALQREIALAAGLLRIFFHDCFPQGCDASVLLKTSGKTEQTMGPNTTLQPRALQLIEDIRAKVHAACGATVSCTDITALATREAVVASGGPTYAVSLGQLDSLAPASATDVNALPSPFTASVAQLLRAFRGRGLADAADLVALSGAHTIGRAICGFFQDRTANPGNDAFVRKLKADCDTDPNRVQQLDVVTPDAFDNGYYRALNASQGVFTSDMALLRDPTTAPIVRQFALSKDAFFAQFAKSMAKLGSVPRKPAGNVGEIRRSCFRTNAGGETLLLHGGVCLSFFDLTDHCSLLSALLSYMSERSMAQNQGLQQSALQLIESIRDAVHRRCGATVSCADILAVATAHAVNQAGGPVIPIALGRRDSLEPAPGWAVATLPRPDADVTTLINAFGSKGLGGVDLVALSGAHTVGKARCSAFADRTRSPNDAFTTGLAQACATDANRLQELDVISPERFDNAYFVDLRNRQGVLTSDQGLANDGRTSWLVNGFADNQAWFFGQFAASMEKMSKLTPASGSGGGEIRRNCFSRNSPGIIIQHATDDLHASA >Dexi5A01G0036560.1:cds pep primary_assembly:Fonio_CM05836:5A:38008091:38008372:-1 gene:Dexi5A01G0036560 transcript:Dexi5A01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDPDVPIRRQGVRRRPPRAGRVQRPLSRASSSSPYPTTTGSCGGRAVVATVENAAGKEFSYPAVNPSSPPATVSSTSPTPTTGRDRSSR >Dexi1B01G0029950.1:cds pep primary_assembly:Fonio_CM05836:1B:34054842:34060825:-1 gene:Dexi1B01G0029950 transcript:Dexi1B01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLHFSFVPDDRSLAFEPAGFSRVTNLLHLLLDMLIRVLCVLPFLILNLCSRTPLSQWSSNCNLGSAMPWSSLFLAFLMLLSTQMATPHASIPKIADEGWRRSGIEVSALQFGYDGQPPLFVRFNLRIAPGSRCLLVGANGSDQKVRQNIKLEFPLSLKFVCFQGCKQLSQGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKFGESCPHGYLWVTIYHNTKFVMASRLLKPSQVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSAKYSDVEELKSAKNLLSVVESWLRSETKLPKKDPPCSETQPRRSSPFDNSPFRSSRHMAYYR >Dexi8B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:8B:16238244:16243744:1 gene:Dexi8B01G0009300 transcript:Dexi8B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAVVNALLSGFMHVGLTLVLIVYLPIAFLCRLLARVFVRPFSSGEDLRGKVVLVTGASSGIGEVSSFVSAGVPFCLLALTCSTRLHVVAPLLPSPTQPVAAVLRASVHVAMYTRYTISFVRACVRAAYSAWHHLVYEYARKGACVALVARTEIALRAVAKTARDLGAPDVLVVPADITKVDEAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFQNIIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGFHVRVTILMPGYVVSNLTMGKGLQKDGHVGIDEEARDINVGPMPVGKTESLAAVVVASVRRGDYYVTWPGWYWPFHMVMCAAPELVDWFSRTFYVSKSGEQEGGAALSKKILEAVGGKKFLYPKTIRSQAGAMAAN >Dexi9B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:9B:7219427:7219968:1 gene:Dexi9B01G0011360 transcript:Dexi9B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADGYVIPNLLTEDNDVIEPSIPEKKDPEPQQAKDEKIYLGPHGAPPAQAKQQELNTSGRKQRLRNKLKEADRKFTGNAQENKVESLRELMGARASGTSMPRSSPRDWLDPHCHESEFDRKPNR >Dexi5A01G0025610.1:cds pep primary_assembly:Fonio_CM05836:5A:29453332:29455664:1 gene:Dexi5A01G0025610 transcript:Dexi5A01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNGRSVSAVGIQMPSAESKMVLEPVALPATASPVPRWPRLGVVMVATRAAALVMALLSVSLMVSSKQQGILTIFGIEIPLDANWSFSYSLQFLVGMSAASSAYSLAHLLLIAHKVVKKVPMVPSRRQTWMLFAGDQVFSLAMMSAGSAAAAVCNLNRTGIRHTALPNFCKPLPRFCDLSAASIACAFLSCVFLATSTVIDVIWLSSLGDE >Dexi7B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:7B:11934116:11934361:-1 gene:Dexi7B01G0004910 transcript:Dexi7B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAPLRQQHCLRSPPVLQGRSSVGNLRRTALTPVASAARSSAGDSGGVDERARQFQWARSKTTKPWGHGDQRDVGACW >Dexi2B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:2B:7976260:7981410:-1 gene:Dexi2B01G0007770 transcript:Dexi2B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAVEETLNSLQRLLHRAHVIVEESQERIVTNQAMLRQLNRLQKEMYRAYHTLDTLRCKAPDADGDDHNLIAAGRSFKTSRINPANRLRSRGGSSSSEHERAIQVLGDLETTMRDVRELVVFLSGCPRLCRQPYSVHLLVGKCMFNRQMEMEHVMEFLLRSCDEEEETPAVLPIIGPGRVGKTTIIEHACNDQRVRSHFSQILRFSQDGLRDVKTIPTLGDCSVIKLILDDDHAFRGEKMTTLVIIEVVGDIDQGVWEKLYSDCRHQIGRGSKILVASRSDKIARLGRATQTQPLTVRSFTEEEYWYFFKARMFGSTDIKDHPKVAAIAMDLAREMHMCFFGASLFGRLLKANFDARIWSRALAMLREFIRINILLYGVVDIWQLEDPLFVRRTNNTSSECFVILDDYQTVSVEEESGPSSEGTQMSILDLFFGENNVRPRGRFNVLAYRSHIPPHYSYVVTCEVQRQHGVFSRKKRRSRLSPAKRLRLRGVSSSGEHEEVLHDLETAIRDVRELVVFLSGCPRLCRQPYSVHLLVGKCMFNRQMEMEQIMEFLLRSGAEEEKAPAVLPIIGPGRVGKTTIIEHACNKQRVRSRFSQILRFSQDGIRDIKTIATLGDCSVIKLDDGDRAVAGGDNMTTLVIIEVTGDIDQGVWEKLYSDCRHQIGRGSKILVASRSEKIARLGRATQTQPLKVRLFTEEAYWYFFKARTFGSTDLKDHPKVAAIAMELAREMKGCFFGASVYGRLLKSNFDARIWSMVLEQVREIGRMNLLLFGKDVVDLWHVGDPVFVRRANNASSEYFVVNDDHQTGSIEEDLAQSGDGPHMSVQDLFLGENVRPLGRSKVLAYRSHIPPHYSYMMTCEVQRQQGVFSSRKKRIQHVAS >Dexi2B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:2B:1992403:1992647:1 gene:Dexi2B01G0002400 transcript:Dexi2B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSARKSSSAGDDCLAVRCTVTVVEVAAVKDGYGIVKKAKLCDCDDELCKRRHRRRRPEGLTKALLRFCLSADP >Dexi1A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:1A:26630434:26634934:1 gene:Dexi1A01G0019560 transcript:Dexi1A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSYQSSPLSGQNSFSSLSSFKDLELSPESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNLSDDGLRQRIRGYLKGASDEHLSQFLQLIKYVSESIHVLFSASHAGWTRVIVEKPFGKDLDSAEELSAELGKLFDEEQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDDSNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDAGKLKSVSYEPGSRGPQEADEMSKRMGYVQTHGYIWVPPTLSKV >Dexi5B01G0022600.1:cds pep primary_assembly:Fonio_CM05836:5B:24851220:24853805:1 gene:Dexi5B01G0022600 transcript:Dexi5B01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVRLTDEFMELAKENTSNNLETCGILGASFVMLPEAVAIVVAPTDPNRQVLIYIKCVTHICMSYGIFRLTDPGGMEVLRGCNESGFHTHRETTDGSPIYETCSKVQFNPNLRFEIVDLRSSA >Dexi2A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:2A:14206269:14210238:1 gene:Dexi2A01G0012180 transcript:Dexi2A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKHFKYVIVGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQSIELILSTEIVKADLSAKSLTSAAGATFTYEILLIATGSSVIKLTDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKLNDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDANANGDVTAVKLKDGTVLEADIVVVGVGGRPLTSLFKGQVAEDKGGIKTDAFFETSVPGVYAIGDVATFPMKMYNDLRRVEHVDHARKSAEQAVKAIKAKESGESIPEYDYLPYFYSRSFDLGWQFYGDNVGESILFGDSDPASSKPKFGAYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKDGLQFASKI >Dexi3A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:3A:7067302:7068999:-1 gene:Dexi3A01G0009970 transcript:Dexi3A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAAAWGRPLEFQLAMTTNWQWPGAEEAPAADGAQVWAAPAGGLPLEYASIVDIFGSSCSLQPYNYVVPASISSSAGQQQVVDFNYYAAAAQQLHDMTVEGTAPQEDPLEPYVNNPKKAKKLFKKKKDKFDAAYNADFLKMKMHRYPASIRALDKDHLYTKPRVVAIGPYHHYDGEHLKQAEEVKHAAAWHCMEKSDLDDVRVLYHAVVSAVVENDVRRLYDDEDVMTGITEDEFLPMMFYDACFLVMYMLKGSGLECDRVLADFFESNKDSIAHDIMLLENQIPWPVVEAVMNKFESFPLESMVKFIARWKVKWLQDRALAELPHVVWDEGYKPPHLLGLLRFYMVGEISSIGSSEFKVSGSNQEKIKKMKISAGAIELAEMGIQLTADETRGLPDMGLARKCIFFAELSMAPLCLNDLRASQLVNMAALEACTTPDFFGKAAEFEDSAVCSYLLLLGMLMHREADVHQLRTEGILQGAGLTNNKTLDLFTSLHCLPEGRCYAHVMAQIEAYRVTRCPGWLWVYRFIYRNLDYMIKLTTVISVLYGLYKFFDLIISAHLHHH >Dexi3B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:3B:7359086:7360389:1 gene:Dexi3B01G0010480 transcript:Dexi3B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTSSAGVVAASSLIIICCCCVLVVVHAGQPAAAAADDDGVFERTVFELVNAAIRGNATVDGDPRVGPALIRLLFHDCWVNGCDGSVLLDETPADGTRTEKTAAKSIGLAGFDLIDTIKSRLAAAASCADILAFAARDAATVLSGGRIRYAVRRGRGDSVSSSAAAADAALPGPDGNSSAFAFLEEAFAARGFDKGDLAALSGAHAVGVSHLPSFADRLPPSVAAAYQINGTYQRALVARQQTTTMEMMPAATTTMMNNVRDMDPAFRAASSYSGVGVDTSATGALDNSYYTANLQNMVLLKSDWELTQDDDTLARLVAYRDDAARWAHDFGDAMERLSSLRPPAGARLEIRDNCRFTNMSPGRAVGLM >Dexi5A01G0024670.1:cds pep primary_assembly:Fonio_CM05836:5A:28534183:28535649:1 gene:Dexi5A01G0024670 transcript:Dexi5A01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSETDAALFAAVLGRDAAHHLATTPPHLDGPASSASAPELQARLQDLVERPDAAAGVWTYGIFWQESRAVLGWGDGHCRDGGASPHGASAASAAESSVARKRALLRLHALYGGGDDDEGADYALRLDQVTGAEMYFLASMYFSFPSGAGGPGYALASGRHAWVTVEDPHHPPPGWFVRGSLAQSAGLRTVVFLPCKGGVLELGSDVPVRETPDALRAIQSALAVPPPAPAAREECMRIFGKDLSPTGRTPRPPPQTMMGLGLTGDNNWAHQQLGGQATASTPTKKEAAKAKPSSLPEPAKMSIDFTKPGKPELQAGGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEDRLRGGGGAARGAEESPAVEVKAMQDEVVLRVTTPLCAHPVSRVFHAIRDAQLSVAASDVAVADDAVTHTLVLRSAGPEQLTAETVLAAMSRGMTSATPSP >Dexi2B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:2B:10685251:10686696:1 gene:Dexi2B01G0009970 transcript:Dexi2B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVVTLNVGGELFQTTTATLSRAGASSPLASLGASTPSAPYFLDADPRLFARLLSFLRHGRLLLGGAPPPSAALLAEARRFALDGALLASLSRASAFAPLELRPSALLPLTGRAAPSAMSVCASPKHPASIVAAHGGVVTCFDAALATRASVLTPLPAVDSLVAVSPALALAGASDFPGVHLCRFSDDAPSAAAAPDVLSWPDSPSATVLSMAASGAPSAPCLFASFESARRTSSAIVVFDLNSMSPVAEIGRKEVYGADVEAAIPATKLGWLGEHNLLLAAGSHSSPGGVVGDIRLWDIRASATVPVWEVREKEDCFADVAGSDTLSALFKVGASSGEVFMTDLRRLDNGGGIGLEPWVCIGDGQRAAAAASSRRKVGNGCRIECYCNWVFVARGAYVEVWSQIELAPEAGGKKVMKRNWVGSGPSIEVGNGEEVAKEKAKIVSWAFGGSRMALARADKRSVEVWDSDPAAIYANP >Dexi2A01G0031830.1:cds pep primary_assembly:Fonio_CM05836:2A:42514266:42517311:1 gene:Dexi2A01G0031830 transcript:Dexi2A01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGCDYRELEEVHIIDMYSGSKVKWIRVIGNLRKHMSTQADLIHLVDLHSGSVVKRIRGPKGLCSHLSTQAGLVCVSAEPIDRAAQDLVLNPATGAVSALPVGDRPSMVLACVLGHVPSTGEYKVVRVGNQVEERMKTVFQVVALGGGEQRWRTKPSPVDIITDSRFIAVVSGVAYFMATYDDDEHDDDSGSDMGPELVLFDLATEEWRPTIIYGPLHEADRIQIVSLDECLVIADHKDQDCSMDLWFLMDADNASYWTKRYSMRCAPHFDHAPMYPPRLLVILEDGRIVALLKWKDLLIVYDPKTQTWDDFTTVTYYSAIGMHQGSLLDSDLLAWNLELPSD >Dexi1B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:1B:1039116:1042436:1 gene:Dexi1B01G0001370 transcript:Dexi1B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVAPAMRVLPLALAAAIFSGVTAILIYLSGLSSYGSARLSEADLEALAALQGRFSKCVDANGLGLKAVSKGEDYCRVVIQYPSNTVSKWTDPNTGEAEGLSFEFNLCEAVASWEQVRNSTTVLTKEYIDALPNGWEENKCQNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVFRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEVLIVKTTIHDIMNQMIREVPITNPVYLMLGTSSSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSEARKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRAVKWLPTKDIIDAARLASEKLLRRSGAGSSDPLGTCTMIKKSEKGKAPNRSGLRKSAVKHLEYMKGATRYPLERSAGGGYLCVINDR >Dexi7B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:7B:11779559:11779920:1 gene:Dexi7B01G0004800 transcript:Dexi7B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHPRRRCSRQVYDVTAFMEEHPGGDEVLLACPGKDATADFERIGHSESATLPRISCRSTASVAVLHAADGRFGPLLGVLLATPRRARLGALER >Dexi6A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:6A:22305666:22307154:-1 gene:Dexi6A01G0014950 transcript:Dexi6A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVTMNDFEDATKLVQPTLRREGFSSVPEVTWDDVGGLDTLRKEFRRYIRCIKSPEDCDVFGVRMQDGFLLFGPPGCGKTLIAQAMAHEAGANFIHIKLLVELDGVGQRKGVYN >Dexi9B01G0049380.1:cds pep primary_assembly:Fonio_CM05836:9B:48048764:48049171:-1 gene:Dexi9B01G0049380 transcript:Dexi9B01G0049380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEHYMRGLYGDDDYDRRHEQQEESAPAAVAKALAAGTAAFSMLLLSGLALTATVLALIVATPLLVIFSPVLVPAAITVALLTAGFVSSGGFGAAAVGVLAWMYRSLQQTQSSSKHDAKDWAQHRREQARGH >Dexi3A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:3A:9433949:9436639:-1 gene:Dexi3A01G0012970 transcript:Dexi3A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTGLRSPSPAPKVAWMGRRPRTARWPRGRLPAARRVVVASAAASDANSSSNSPERDEEREEVARKVKEEKAAASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPDAAKYRDELKILAPHCLLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDGNGKTENIWGVGVVGEQPVIFPRTGFEYSSACPLSTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >Dexi8A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:8A:21074240:21076216:-1 gene:Dexi8A01G0012070 transcript:Dexi8A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVLILRFLSLLLLAAWLVLIAADKLTISFDPLRGTSKPAATVALVVVLVDVVFTMTLAMGAAAGLGFTNDAKRYVDKVLFGGDDGGDNGSPELDRIHGDADSFFDLAYASSGLMLAAAACTARMIMISVYSLVKS >Dexi3B01G0033460.1:cds pep primary_assembly:Fonio_CM05836:3B:36187303:36188048:-1 gene:Dexi3B01G0033460 transcript:Dexi3B01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAPTGGSSSPSPGKKPVMVVGIDDSEHSYYALEWTLQHFFAPGQPQQYKLVVLTAKPPAASVIDVGYENMEGDARSVICEAVDRHHAEILVIGCHGYSKWKRAVLGSVSDYCTHHAHCSVMVVKRPKHKH >Dexi9A01G0030400.1:cds pep primary_assembly:Fonio_CM05836:9A:35423200:35424158:1 gene:Dexi9A01G0030400 transcript:Dexi9A01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLLLPMTVAHKHGVVGERMWARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLALPPALLSASLRSGAAAGDASSFASAALAQARAFDFRSSLVDLPALSAARALLILCAYVVCGGGGAYLWVVAACAAGSVSYVLAKAAAVLPRRAAMQLAGEARAVAAAGPEAMMLLSLALAAAHLAAAYRTSCRERRRMLVYRIDVEGAGRIADLSA >Dexi6A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:6A:3879895:3882821:-1 gene:Dexi6A01G0004210 transcript:Dexi6A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSSSQLDYVLVPLGLAVMLGYHLWLLLHIRRRPETTAIGINTINRRIWVRHIMEQSREISPSHAPLQQYRRQSTRASAMRRRGLSSSQLDYVLVPLGLAVMLGYHLWLLLRIRRRPETTVIGINAINRRIWVRHIMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVVALVASGAAHGLFSGSGGGLVVFGSGGEAVLTLKFFAILVCFLVAFLLNVQSIRYYSHTGLLVNVPLAAHRRPASAVGYVTGTLNRGFYFWSLGVRAYYFSCPVFLWLFGPVPMCASCVAMVAGLYFLDVYKEWDKDGEDGHGDDGDGDGHGHGRERKMSASGRLMPVEGV >Dexi7A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:7A:28674558:28675745:1 gene:Dexi7A01G0019510 transcript:Dexi7A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSVTGPPQAIALAPPASSLVAGSDDHRGGGGGGCALPDGCLLPKTSSTSLTKSVTAVHDFRVTDYSLLDGMGVGRFVGSSAFSAGGRDWTVRFYPDGATVGCLGHVSAFLYYSNRDALPGVRVRFTLNLLDRDGRTPQMTNPYMKHTFSPASDNWGFIKFIEKSKLSPYLHKDCLTIRCVLTVVVESRTVKDEMNSAVVVPPPNLHQDFEKMLKDGEGADVTFIVDGQLFHAHRCVLAYRSPVFSAELFGPMKENATSCISIDDMEPMIFEALLHYIYTDRLPVSCSDGRNAAMQHLLVAADRYGVERLRLMCESKLSEAIDLETVATTLALAEQHNCSQLRRACIGFMASPNMLGPVMETDGFNHLVASCPLILKEILDKVSCIWSDDQQR >Dexi9B01G0030160.1:cds pep primary_assembly:Fonio_CM05836:9B:32633311:32634537:1 gene:Dexi9B01G0030160 transcript:Dexi9B01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTKLVSQRAVVIFDMSSGDKCHAATDMFRNLGVSPTVVELDKDTRGKEMEKALVRLTGRNPAVPVVFIGGRLVGSIDTVMSLHLRGTLVPMLRRIGAILERYEIDMGCRSVGQEDTDTDTDVLADPATPAKPRRFRLHALVLKFQLGKQTNETTQTMMPTRALRLLAVAALLVVVAVATVPTTPFTAGGWSPIKDVSDPYIQELGAWAVSEYLKQGHVGGLQYGQVLSGEQQVVSGMNYKLVLDAMDTTATTANKYKAFVFDQWTKTRELKSFEPAD >DexiUA01G0004470.1:cds pep primary_assembly:Fonio_CM05836:UA:8585551:8586351:-1 gene:DexiUA01G0004470 transcript:DexiUA01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGNLLPKLGQLLLDEYNLQKGTKKNIEFFSRELESMRAALCSVGDVPPEQLKEQVKIWARDVREVSYDMEDIVDTFLVRVQGPEPPSKKSAKRFIKKMMGIVTKATTRHEIAQEIKDIKERVKEVAERHDRYKVDSIAPAKTMLDPRITSLYTELANLVGIDEAREELITRLTKGDAPSRQKKIVSVVGFGGLGKTTLAKAVYDKLKEQFDCTSFVPVGRNPDMKRRFSRTC >Dexi3B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:3B:13164669:13169042:1 gene:Dexi3B01G0017800 transcript:Dexi3B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVVAVANGFGVFGECLMASLRRAGIGEVERKVQKSYWEEHSKGLTVESMMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHRKNITFMCADVTSPDLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKIGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKDGDSFELSLVTCKCIGAYVKNKKNQNQICWLWEKVKSKEDRDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVGMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSINMVSFAIERAIGRNCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKNRGYDLHDVKTYGQMLKDARFHDVIAEDRTEQFLSVLRRELAEVEKNKEAFVADFSQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >Dexi4A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:4A:408696:409829:-1 gene:Dexi4A01G0000640 transcript:Dexi4A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDQDAGVKKGPWTPEEDKLLVDYIKENGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDDEEKLIIHLHSILGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLGMGIDPVTHRPRTDLNLLAGIPNLLAAAASSQTTSCWDINALRLQADAAKYQLLQGLLRALAAPPAAAAPTGVDLMALLAATNGGGVDHQLLQGQGTTSRLLPSQYDGLLNLPALTTVPSSATATLPAAMSSFSGLLSSSGFAGDGLSSTELGHSASGGASGSSMTAAMAAPPLVAADDQCNAGTSTPCEDTTPASSPFEGLENLNLDDDFNSDTWKDLLE >Dexi2B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:2B:13111608:13114689:-1 gene:Dexi2B01G0011500 transcript:Dexi2B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNGQIHHHDEQPTEEKLIIDTDPGIDDSVAIMMAFQSPGVQVLGLTTIFGNCTTEHATRNALILASHPEVPVAEGSHEPLKGGKPRVADFVHGSDGLGNIELPDPAIKKVDQSAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFVKNVKKIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVSFTDKDLLELRNSKGKHAQFLCDVCKFYLDWHTHSYGAPVIFLHDPVSFAALVRPELFTFRKGVVRVETQGICAGHTSMDLLLKKWNSENPWTGYSPISVAWTVDVPKVVAFVKELVTKE >Dexi5A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:5A:5859598:5860357:-1 gene:Dexi5A01G0007870 transcript:Dexi5A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPPPPPPDPVADAAAVEAAAAATSNARQAAAFSSFPSLKTWGSHRALRCAHINRAGPGDAIATATAAARRSPEKLDVDGEKAPRHLNEAEAGSSDAADADHVAAEEAPEPVAAASQPWKLRTRRRAKPPAPSARPSSPPERRPSRARAEVLDRPRFSATLTSEEIEEDIYAFTGARPRRRPKRRPRAVQKQLDMLFPGSWLSEITAETYRVPDDR >Dexi9B01G0024120.1:cds pep primary_assembly:Fonio_CM05836:9B:19798565:19800992:1 gene:Dexi9B01G0024120 transcript:Dexi9B01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGVRVTNTPGVLTDDVADLAVGLAIAALRRIPLADSYVRAGQWKADGHGKYPLTTRFGGKRVGIIGLGRIGLAVAKRVEAFGFPVSYYQRRKLQGYPNYSYRPAAVELVIEALGPSGVLVNVGGAHVDEPELVAALAEGRLGAAGLDVFEDEPDVPEALMALGNVVLAPHMGSGTRETRRAMAELVLGNLKAHVLRKPLLTPVV >Dexi2A01G0031030.1:cds pep primary_assembly:Fonio_CM05836:2A:41838277:41838830:1 gene:Dexi2A01G0031030 transcript:Dexi2A01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLITPVIKDEEGRMISRTMRATDELQVLMDFYYHTVPVVPHGEGVFCYKGQVLDGGLAPGDYGMASGDRVDFFLEMRPDTFVTVTVRDARGPEVTRTMRMTDAMQDLFEFYFEMTAHVDGTFAQIMGLTSVTKRPSE >Dexi9A01G0035970.1:cds pep primary_assembly:Fonio_CM05836:9A:40528514:40535251:-1 gene:Dexi9A01G0035970 transcript:Dexi9A01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPEDLRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKRRSASSALRASLRRSSSSAAASPAPALPPRSAAPAAAAARLRDEGRPAPLPMAVARPFYGGVAGEAVYVAEPVPAPATARTGVDYEGMPLGNAAGARTAAELVGRGPARSTDTGTAGTRSCHQCQKSGAVLWCSSCDRRGYCAACVSRWYSDIPIDDVQKVCPACRGICNCRVCLQGDNLIKARVQEIPVVDKLRYRHCLLVYVLPVLKQIYSDQCFEIGVETRSSGPKTDILRAKINSDEQMCWKAFEPSLSSIWDPLSIWRGIQEIMDEEMDEDFVVKAVDCSNQSEVNIELKQFIKGYSDGNKGGNDHLLVLKLKEWPQPSVLEEFLLCHRPEFIVNFPLVDFIHPRWGLLNLAAKLPQDALQPELGMRLLISYGSRQEPDKGDSVMNLTINMVDVVFHDTRFPMQVKYPIYDQTVYLNSYHKKTLKDQYGKYYRGHDIFHLQKSTVQLAVNFLSPESLPESVRMAQEIRCLPNGHVAKQKMLEVKKISLYSASSAVREIQRITLDPKFNLDASFEDQNLTRAVSENLARVNKHRKVSCS >Dexi2A01G0027270.1:cds pep primary_assembly:Fonio_CM05836:2A:38641049:38642544:1 gene:Dexi2A01G0027270 transcript:Dexi2A01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVKYITAHGHSCWSAVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASRSLHHGANPSNPASSQFHSNGAAVSANGSGATTPFTISSPTKQAAAAYDTIAVSPLPETPVAPPALYHDVPNHAAAGMLAMGQHEHAAAIPGYPYPSDNGGGVLMSFRDHQNAAGLHQTSMDFMNGSSSSSSMDHATGMPNGGNCFGQGMGAAAFMDMTTAGTMWTTAVDSAMCAGIEVTHQQQQPAPPLQPHQGLMMQGEVVVGRATTVMNGGGGGGAAAGDKGMDMMDVSSVYGGGGGAAAFDLELMESCGLFCGGGGGGGGGGGNVMEQLQWDC >Dexi2B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:2B:4767566:4768146:1 gene:Dexi2B01G0005070 transcript:Dexi2B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKKVAVAAGLPDDSLVEILSRATARELHRSKCVSKGWRDLIADPLHRKKLPQTLQGFFPYYRRMPSSRGSYGGLLLFFHLTCLSLRNLVYIVFNPATEQWAAVPSEHTPEDKHCGLKQYFLVFDPAVSSHFQLVIFCEEVTFSLEPSRVCTVHSYS >Dexi8A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:8A:29033707:29035530:1 gene:Dexi8A01G0017310 transcript:Dexi8A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPEEFFAKDLMEQSPPSPPVFLDIPQKPSRSSEGRHNIPDNMMLPHISRMLFEDDDVDDKLSDDPALLQVQQPFAQILSSPGSNDLLQDGSREETALDLVLSKSNEVEQAFLKGMQEAYKILPKDNNFRRDNLVNQIFIKSSSHSGAKKRYNRDDHQEEVRTSKAVMTMKKVEDNNVNEILDEMMSQAFGTCNRGMDKLRIMMENKNRKCSGRKASRNDVIDVRALLISCAEAVAANDYMGAHELLKKIKKHASETGDATQRLAQCFTKGLEARLLGARGQIWQRQMAECPSIEDFLKAYKLYFTTCCFNKVAFIFSTKTIMQAMIGKRRLHIVDYGMHFGFQWADLLRLLGTREGSLPEVKITAIGPPKRKSCPAGQIEEIGRRFRQCAHEFGLPSFKFHAIMKKWEDVCIKTDMNINADEVLVVNDLYSFSALMDESIFFDDPSPRDIVLNNIKKMRPDVFIQSISNFSVGSSFLSRFREMLFYHMAIFDTLDATIPRESKYRLVLEQVVLGSYALNAIAFEGVDLVERPEKYRQWQARNQWVGLKQLPLKAGIIEAVKDEVMKNYHKDFFICEDGKWLLQGWMGRVLFAHSTWVAEDAYSG >Dexi9B01G0036260.1:cds pep primary_assembly:Fonio_CM05836:9B:37789914:37794392:1 gene:Dexi9B01G0036260 transcript:Dexi9B01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAAASAAPAASFQFQPSLAGLRFTPVSALLVRPWYGAVFAWLVAGSSRLFSFPATPRAYPARRNGWANSMGAGRGGVLERYWPVALLPLVLMGMAVEALLGPFQHLLAVSERKLVDRDSGGEMASTSSDILDSSTVQKNSTHQGPSPSESHSPVKKEESAVSNGHSESEPSLCIAVIGATGELARSKVFPALFALYYSGFLPQSVGIFGYSRKQITDEGLRSIIEANLTCRVDHQYGTHAANRIFYLAVPQEALLDVALPLADSAQSKQGWNRIIIEKPFGFTGLSSHKVTQSLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAALYIDNARWDGVPFLVKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDKYDTEVPDSYEHLLLDVLDGDSHLFMRSDELAAAWNVLTPIIHEIDQNRVTPELYEAGDKGPINAYYLAAKHGVRWDDD >Dexi1B01G0022460.1:cds pep primary_assembly:Fonio_CM05836:1B:28183295:28183896:1 gene:Dexi1B01G0022460 transcript:Dexi1B01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRCASRPPPQLREGLRRFRGGLARSSSEPTAASEAKDCDLPSKNLDRPLLKGSCAMSPDISKPRELASSSSSDDDESSSPDVDGDDGSESDGEPMSSSCSSHTTAAAASRPLRNKKYASMNRNLQPPIRRAPDENASNETAKLRERDNKSDAVPRRGRDGRINGGLGDDWDGEDKNATGERSSGVSGRAREERRG >Dexi6A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:6A:24558148:24559479:-1 gene:Dexi6A01G0016680 transcript:Dexi6A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHLLLQLLYLAVVLTAAHGSIDFRADLNHPYAGSSLSKYEFTTNAARASNARAARINARFARILGQGLPAVDVPLTPLADQGHSLTVGIGTPPQPRTLIVDTGSDLIWTQCQLLFRRTAARQRGEPPLYDPRRSSSFAFLPCSSRLCQEGQFSDKNCTRNRCLYDDVYGSAEADGVLASESFTFGVHTKVSLSLGFGCGALSAGSLVGASGIMGLSPGTMSLISQLSVPRFSYCLTPFADRTTSPLLFGAMADLHKNKTTGPIQTTSILRNPAMETAYYYVPLVGLSLGAKRLAVPEATLAIKPDGSGGTIVDSGSTISYLVEKAFKVLKKAVLKTVRLPVVNGTVEDYELCFALPSGVAMSSVKTPPLVLHFEGGAAMVLTKDNYFQEVRAGLMCLAVGSSPDDFGVSIIGNVQQQNMHVLFDVRSQKFSFAPTQCDSI >Dexi1A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:1A:11561906:11563927:1 gene:Dexi1A01G0012040 transcript:Dexi1A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVATLSPLEDQLALVPQCLYGPAQPLDSILIFLAVPGMPMVPMRVLDSESVASVKLRIQRFKGFVVTKQRLVFGGHELTRNSSRVRDYGLADGNVLHLVVRLADICTIAIETANGKKFKFQVEGGRKVGYLKNKIATETGEQVESLSDHRLVLHGKELKDNQLITDIAKDNAVVHVFVREPAKVRTQTFDTETVVTVVTPEDEGNIHISALNRTEQANHGHAPVEPIVVNKNVKLSPAIMEMIGTTISGLEKGYLPVMSAEGSGGVYFMKDQSGESNVAVFKPIDEEPMAENNPRGLPLSVDGEGLKRGTRVGEGALREVAAYLLDYPIDVCKSDDGTGFSGVPPTVLVRSFHMGNELKIGSLQMFAENQGSCEDMGPQAFPVMEVQKIAVLDIRIANADRHAGNILVCQDGDHMQLIPIDHGYCFPEKFEDCTFEWLYWPQAREPFSTETLAYIESLDAGKDIALLKFHGWELSSQCARVLHVSTMLLKKGAERGLTAYDIGRIMCRETVNRESEIEALIEEAEDSVLPETSEETFLETVSEIMDRYLDNML >Dexi2A01G0032760.1:cds pep primary_assembly:Fonio_CM05836:2A:43142893:43144085:1 gene:Dexi2A01G0032760 transcript:Dexi2A01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETKARKKIYSVSTRHYFAFGARISDELSFKLKELPKVRWVIPDTYLDTKNKDYGGEPFINGKAVPYVPKYHEEWVRNNAITNQRLQNRDVPTERPRSKSPSREYQQTMKPPPVHAQDNMPSPPPSPNNGDPPTYQHHVKSPQACDSDTPSFGQNCQQCGALVHQVGNKELQDRPGARMRDDNEGYSNNHDVNVHSYQANAYKSNNNECQRGLSDCPNGSAASQTTMHGANAPPPQSSSKPAHQGQLVHHHYYCNVHYHYYY >Dexi9A01G0044160.1:cds pep primary_assembly:Fonio_CM05836:9A:47787775:47791081:-1 gene:Dexi9A01G0044160 transcript:Dexi9A01G0044160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTDKGGNPDIIRESQRRRSAAVELVDDVIALDKAWRERQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMESTDEIKKRLAAKEVEVQEAKSTLDAKLTTIGNIVHDSVPVSDDEANNAIVRTYGEKRVEENLKNHVDLCRMLDIVALEKGADVAGGRGYYLKDEGVILNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPAELPIKYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNGNESWDMHEEMIKNSEDFYQEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQREDGVEIPKVLQPYMSGIEFLPFKQPLDVKAADSKSNKSKSKVFIFLCWSLSKNSVTPNFIFI >Dexi5B01G0039210.1:cds pep primary_assembly:Fonio_CM05836:5B:38166799:38170131:-1 gene:Dexi5B01G0039210 transcript:Dexi5B01G0039210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGERTHHKHWHQGHGSSKDKNHDKRQPKFIPDTYSSVDEVTTALREAGLESSNLILGIDFTKSNEWSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQFVNFTGIMSTNMDMSKKEAAFALAALMEIPSQYKATQGLRPSEYLLVLTQLLAVLT >Dexi3A01G0033310.1:cds pep primary_assembly:Fonio_CM05836:3A:38095735:38108949:1 gene:Dexi3A01G0033310 transcript:Dexi3A01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFRETNGGAAAASSSPEDMEEVVSVAAPGGGGGGGGRGRKNRFDGGDEEDGRRSSKQSALQGSIDDPDVFDKYIMASTEMCADKIVKLHITSSTDNNGKAGRRRRRAGRDDDDDDDAVDLRTLLIHCAQAVSSNGDDDRRSATELLKQIKRHSSPDGDATQRLAHCFAEGLQARLAGTGNNVLVGKVGHQR >DexiUA01G0010660.1:cds pep primary_assembly:Fonio_CM05836:UA:21214024:21215172:-1 gene:DexiUA01G0010660 transcript:DexiUA01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGGGGHHHGRGDHPHVSTASSTSDSAAAAAAAAAAAAYLYTTAAAPRGGGFQLWPHHHHHPVQEHHFYAPNIIRFATDDPTAAVGGSSSSRGRGSASASGAGGVTISCQDCGNQAKKDCAHMRCRTCCKSRGFDCPTHVKSTWVPAAKRRERQHQLTAGAAAEPSKRPRDGAQPSSTTATTTSSGGEQQQVVAVAGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTSVSIAGHVFKGILHDVGPDPSSAGAGGGFFRHAAAAEAAGSSPASTAAGGDGGGVAGPVSSSAVVMDPYPTPGPYGGAAHFFHGHPR >Dexi9A01G0042780.1:cds pep primary_assembly:Fonio_CM05836:9A:46303250:46305865:-1 gene:Dexi9A01G0042780 transcript:Dexi9A01G0042780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEELVAKAGGCAVIDGGFATQLEALGADINDPLWSAACLIAKPHLVKEVHMQYLEAGADVIISSSYQATIPGFLARGMTLEEAEDLLRTSVKLALEARDEFWKSTLRKSKPAYNRALVAASIGSYGAYLADGSEYSGSYGADITTDKLKDFHRRRLQVLAGAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWVCFSSVDGKHLCSGESFADCLQILNASEKVAIVGVNCTPPQFIEGIICEFRKQTKKAIAVYPNSGEVWDGRAKRWLKAECLGHKSFDALAKKWHEAGASLIGGCCRTTPSTIRAVSKILKGRMGH >Dexi2A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:2A:9388337:9391465:1 gene:Dexi2A01G0009180 transcript:Dexi2A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVLPFLFVISITVTTSSHPHPLDPLSAAEITAVRAAVLSSPLVPARPLHFHYVGLDEPDKSEIISYAYGTTTSSSVLPRRAFVIARAGGESHELLVDITDKTSPSVISHAIHHGPGFPRITAEDEVAAMALPHTYPPFADSVRRRGLNLSDVSCGVLSRGWFGSAKPTHGGGSRVAKMQCAVTGDGETANFYARPLEGVTLVVDLDRMAIVGYKDRVVYPVPKAYGTDYRTDKVGPPFTGPAMAPGVVLQPEGRGFHIDGQVVRWANWEFHLGFDMRAGMVISLASILDADKGKPRQVLYRGFVSEIFVPYMDPNEEWYFHTFIDAGDFGLGVSASPLQHGTDCPANAEYFDSYYSDEDGRPVKAQDVICVFERYAGDIAWRHTEATLQRQLITEVRPDVTLVVRMVVTVGNYDYILDWEFKTSGSLKLVVSLTGILEMKATSYTHVDQIQKDTHGTLLAENTIGVFHDHFITYHLDLDVDGTKNSFVKNTMVRMKNTGDSATGGADTPRKSYWTVRRDVAETEVHGQVNVNGDPADLLFVNSGKKTKIGYDVGYRLIPAGATASSLLADEDYPQRRASYTKKQVWVTPYNKSEKWAPGLYAEQSTGEDSLAAWSKKNRSIRDEDIVLWYTLGLHHIPYLEDFPVMPTLSGALELRPSNFFDRNPLIRTKPPGTFNYPNCSCGAMSL >DexiUA01G0013800.1:cds pep primary_assembly:Fonio_CM05836:UA:29009522:29009849:1 gene:DexiUA01G0013800 transcript:DexiUA01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAESPESGLPVESNALGTESQDDVGAEADTEAISGSLPLDYLAIETRSGEDEQTSSGVPGTGDVASPNSFISGGFASMSL >Dexi5A01G0036610.1:cds pep primary_assembly:Fonio_CM05836:5A:38051145:38051852:-1 gene:Dexi5A01G0036610 transcript:Dexi5A01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGRSHFSGGQNNGNDDQQDGYGSGGQQQQQYESTGGKKPRGSSRLKKSSAAVGKKDAADADADYPKSYAAEVHVYGAPPGYESNESNGKEKEQRRRGGGFFGPAFHAVGHFFDCKFGLNNRDRD >Dexi1B01G0023830.1:cds pep primary_assembly:Fonio_CM05836:1B:29300040:29308146:-1 gene:Dexi1B01G0023830 transcript:Dexi1B01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQDAGAAAEGGSPRSPDLYDFADDSDYAAAAAAASSDHTAMRNDLADRGSDETARIDVVYEKERVTIHPTQYGSGRISGKLRLFLQQGSLFLSWEPSEGADCLSTSSMGVELEKCLAFPPFYFYNGGIRELFATLKQHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVASVANAMSRQNSLSFTGSVDEARHGEASSMSHYGSKQKHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGAHAYGRQQHEYVFDNKASDTHKNQYIAPEKESIPSAPLESDALPLVWGKQRDRPLSVEEWTAFLDPEGRVMDSKALRKKVFYGGVDHVLRKEVWKFLLGCHEYDSTYAEREYLAAMKRAEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFASLMERLGANFNRDQNGMHAQLLALSKVYNIVGLVELLDPLLHNYFRQNDCLNYFFCFRWVLIQFKREFDFDQIMLLWEVLWTHYLSEHFHLYLCVAILKRYRQRIIGEQMDFDTLLKFINELSGQINLDRAIQDAEALCTIAGENGASCIPPGTPPSMPIETDGGLYVQQDEVL >Dexi1A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:1A:5392756:5393791:-1 gene:Dexi1A01G0007040 transcript:Dexi1A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLQGAAASSSPLPRAFLLLAALALFTISFLSLRSLRPAAGPSLDTAADSSVAAAVAKKDRSVLPIQPSSSVYHSPEVFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISAHKMRGKGTSYENMAVIVKDYKD >Dexi8A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:8A:1017409:1017678:1 gene:Dexi8A01G0001440 transcript:Dexi8A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRKSSMANGTASRKETKVVQYRECQRNHAAAIGGYAVDGCREFMASGAEGTAAALMCAACACHRSFHRREQVEADYDCSSTTSS >Dexi1A01G0024730.1:cds pep primary_assembly:Fonio_CM05836:1A:31188957:31190811:-1 gene:Dexi1A01G0024730 transcript:Dexi1A01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVHVIPVLLLLLLLLVVCPYSVVSASRYGPSRTLQCYKHLFSLGDSITDTGNIASIAPNNSVMAFPYGETFFHRPTDRFCDGRLILPFLTPFLIGKTAVDFRQGANLAVQQFFKDMGLDVTILPPFSLDVQLEWLNQVLHLLGPTKKERRNIMSRSLFLLGEVGINDYNHPFLQNWSFSDEIRPLVPKVIKKIENATKVVRIYIPSGADRPWSQDDRRPWDHPHGLHSGVSDRVPEQHPDDYDAAGCLRWLNDFAEEHNRALRLMLGQIHRDPAVTVVYADYYGAIMEITRFRKDVALTACCGNGGAHNSGKSTYCNATSDLCPDPPEHISWDGLHLTEAAYEFVARGLLDGPYAAPSILSKCGC >Dexi6A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:6A:137818:140505:1 gene:Dexi6A01G0000220 transcript:Dexi6A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDEPPVLLDRASRATRGKRINKLLEDEIEEDEVFWNQDALKDEENDDNYEEEQDAGDEFDSDFDKDESEPDDEPEKEERERLPIKKRLMFPGKTLRKTNAKKKKVTPKLEDDAKADSLSPSAQVDVPDEMETEKTLRKSTRTSVIVRQAEREAIRAEKEATAKPIIKKKKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVHKDTYDGPTIRFFSRDGESRLEFINGASFGSELCTTSAPYPEKSVCVVTGLPAKYRDPKTGLPYATMEAFKIIRESFLKEEADRKRPNISNMGELFESIAGEHSMPKKRRVEVRPPSISVGLRRGGRFGRIPAVDMVDEE >Dexi4A01G0022750.1:cds pep primary_assembly:Fonio_CM05836:4A:26049467:26056387:-1 gene:Dexi4A01G0022750 transcript:Dexi4A01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWEVNDALPQDGRSGPSAAVEFQPNKDSFKRSSVASFTHRWELQLIFLILFGKIETFSSAMVSFGMNWNQKNLMVWNCKNLATSVPNDIARHGSMNTSGGTLTSSSELGHGSSKSSISASIDSPYRVGNSLEFNFAAVEKHVKDMGKNDRADNSRSSPPSMIAFSHGEPSISLKLGKRAYFENVCGRQDGKSSAPSTVTSASTVVKKTKVSQQNAQNSYCQVEGCKVDLSSAKAYHRKHKVCEVHAKAPKVVVADTSQQTNLFFSQPVFGQISSSVASHTHHHNLNGLMPFKGANTKVLNQGVEASATASTSNAAPELGRALSLLSDGSWGSSSTVIQQHSSHVLTGGMPRLANAAVSTPAMNLLNPSPGGFWHDDSAPLDGTLQIQASAHL >Dexi2B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:2B:24090239:24091321:1 gene:Dexi2B01G0014420 transcript:Dexi2B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKARKGTTAEVVFDAPEAKSFHLRLPYHSATMNIPSGAPCIETQVPFPSGAGFRCTARYWPNWEQSGRIKISVVVTRTPHRHQKHKVLAAHIDLPAKTGLPAVPPQIITSRSWQELVPVPSDGDVEGGVSLLVYRNDVETYCVADDHFTAICTVAVSSASWPPPPLPLPTPATLGCDIVSTAPDLMDVSFEVEGETFGAHRLVLAARSPVFKAELFGEMAESRASSITIEDMRAPTFKYMLDYMYHGLLPAGTAEMDDASRKMEFEHLYVAADRYGLDTLKAMCEEVLCATVSVSTVLSSLVFADDRTCPKLKYRCLAFLAVGENFTEVAVTNEYLDVMKDMPSLLTQVQNLFKRPRLS >Dexi4A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:4A:21917383:21919546:1 gene:Dexi4A01G0018020 transcript:Dexi4A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAATGIAPGTAAAAAAPALLCFDAKPFLAALTMLTLLAAAWQLRPYHSLLASPFPAACTQPAAAGERSLPRVLAVHAKTSSSSSSHSSSAAAANSTVTSPPPPSIPERREFRAVGSAAALFVQMGAYRGGPYTFAIIGLASKPTHVYGKPWFRCEWEPTATTNSSSSPPMRAARAYHMLPDWGYGRVYTVVVVNCTFPQIPNADNAGGKLILYAHYGPSRSPSSPHERIVALEESPGEEAAAAFRRGPPRYDYLYCGSSLYGNLSAARVREWMAYHARFFGARSHFVFHDAGGVSAAVRSALEPWVRAGRATLQDVRAQAEYDGWYHNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRKLEDVLAELEPYTQFTIEQNPMSSRLCFDNPEADYSSQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENIIGNTTHKTEHLIRYYHYHNTINVLDEVCREFVPIPPKGGLTWSEKTPWYYDDSMKRVADAVREFERKAIGDVRL >Dexi3A01G0022620.1:cds pep primary_assembly:Fonio_CM05836:3A:18237988:18239703:1 gene:Dexi3A01G0022620 transcript:Dexi3A01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFECPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKMWISKAEYDEAGPGIVHMKCF >Dexi2B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:2B:29161582:29165138:1 gene:Dexi2B01G0018940 transcript:Dexi2B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPPAAVAAAAVASPAKTLVRTPSSSHPSRFPYISMSTSSSPRAPPLAAAAGGSGGAAASLLAADPSHRESVLLAARASMGNFLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNQTRHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGSTDTSLWTVYNKGVRNYCGNALPDGMVKNQKLSANILTPTTKAADHDVPVTPDEIIKSGLMSKEDFDEAGSKALSLFAYGQQVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRNQFMKGYQGMSLKPYAAYNC >Dexi9B01G0025000.1:cds pep primary_assembly:Fonio_CM05836:9B:24317453:24321388:1 gene:Dexi9B01G0025000 transcript:Dexi9B01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATAPASLTPMHPSRVRVLLPGEDRMSGPVVYWMLRDQRLADNWALLHAASLAAASTPAAPLAIAFSLFPRPFLLGARRRQLGFLLRGLRRLAADARSRGLAFFLLEGGPAEVPALVRRLGASALVADFSPLRPVREALDAVVGELRREAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRSKVSKVMDEYLVEYPEFPALMPWNRQQPDDVDWDVLIDRVCSEAENVPEIDWCEPGEAAAMEVLLGSKDGFLTKRLKNYDMSRNDPTKPRALSCLSPYLHFGHISAQRCALEAKKLRHYGPKSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARNTLMDHAADKREHIYTREQLENAKTFDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTNGPEEALSVSIYLNDKYHIDGRDPNGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLVAQAKKRKAEESPDTGSKRPKSEVIQQLNMSKTLI >Dexi2A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:2A:25464085:25464915:1 gene:Dexi2A01G0014990 transcript:Dexi2A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAGGGAVAGRAEFYEGKITGYFILACIVGSFGGSLFGYDLGVSSSRKTTKLV >Dexi2B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:2B:7324333:7325270:1 gene:Dexi2B01G0007110 transcript:Dexi2B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRAPPVPIIAEVDLYRYDPWQLPDLALFGRREWYFFTPRDRKYPNGSRPNRAAGTGYWKATGADKPVEHGGRTVGIKKALVFYHGKPPRGVKTEWIMHEYRLADAGARKPGNGSLRLDDWVLCRLYNKKNEWEKMQGKEETKAMESSEVSHGDTRTPESEIDDEDTLPSLDDMATVMPKKEVQEEFANLGGDDWLTGINLDDLQMPGDGDLFFGNMLVSPTVPKVEQDGGFFPFF >Dexi3B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:3B:8645989:8647570:-1 gene:Dexi3B01G0012330 transcript:Dexi3B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPTKSIVTALFVASISEFGKEHKYTAQMFPLLISPTGLLVCLVTTLFATDLAKVRRAADVGPALKRQILISTVLMTGAIAAVTYLSLPPSFTLFDAGNVKHVKNWHLFICVSAGLWAGLIIGYVTEYFTSNAYAPVRSVAMSCRTGAATNVIFGLAVGYKSVIVPILAIVSAIYASFRLAAMYGIAVSALGMLSTIATGLAIDAYGPISDNAGGIAEMSGMASRVRRRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVGRAGIQAVDMLSPRVFVGLMAGAMLPYWFSAMTMRSVGSAALKMVEEVRRQFDAIPGLAEGLAIPDYATCVRISTDASLREMMAPGALVMLSPLVTGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASEEARSLGPKGSEAHKAAMIGDTIGDPLKDTIGPLMAVEALVFAPFFAQHGGIIFNRL >Dexi4A01G0013320.1:cds pep primary_assembly:Fonio_CM05836:4A:12667986:12668342:-1 gene:Dexi4A01G0013320 transcript:Dexi4A01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMPATPTTPTTPTMPMPMPNYQVSGAGAATRHGASPGSSIGAFFGVLAAVLVLIALSCVFGRVCAAKADGPDESYDCTRLAHWRRRRAPRRRPVPATEAKQEPAAEGPPLPLPEP >Dexi6B01G0003580.1:cds pep primary_assembly:Fonio_CM05836:6B:2955987:2958280:1 gene:Dexi6B01G0003580 transcript:Dexi6B01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPIKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNTKKSLGRILLKGDNITLMMNTGK >Dexi2A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:2A:22605517:22607289:1 gene:Dexi2A01G0013820 transcript:Dexi2A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRVRKVQEEMDLWDNFNSRLLTNFNRATLVIGRLKVIGEDKNYGSLRGVDNIREDLMGKQIEILELLFVSLRETLEKLNGVVKALNKALRDTTQMVRGGSALTAKRMQLQVGLLPTIAECLDGLRTLCEMHQAEFALKSSVVSLLTWKSRQVQWICHSSDVAMLRQLLVDQPNIPNDEDEIC >Dexi4A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:4A:5258738:5259100:1 gene:Dexi4A01G0007140 transcript:Dexi4A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNEAAQFALCVAVGVSYIIKPVLEFLAGAGPPSPLLDAALAVVLVALPFTYLVGILLLQLRLAPAQAMPPLPAPARQFACLACTMVSALLVMLAVPLVALWLLAGGSPPGGHKIPFS >Dexi4B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:4B:3602118:3604104:-1 gene:Dexi4B01G0005040 transcript:Dexi4B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFKKEFTFDERLQESAAMMANYADRIPVIVERYSRSNLPQMEKRKYLVPCDMPVGQFIFILRSRLHLAPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMCYSSEKTFGCPGLA >Dexi2A01G0027330.1:cds pep primary_assembly:Fonio_CM05836:2A:38739280:38741767:1 gene:Dexi2A01G0027330 transcript:Dexi2A01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSADPSRLSGDSSPSSPNSSASSSPSSSGAADAAAANLSLIASTSAGGNDADADIPTSPHSGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQEETGGAGTASSSSPAPTPAPRCQDSRTGCLASLTIKLIPSANAFCVTDFVAEHNHQLASAAPAVSLALLPPSSSNHSIAAAASLPNPRDGPHVDMHFETEEDAYVFYNRYAEHVGFSVRRSYKKRKRGIIVSRIFVCSREAAEVWPGSNHCTSVWHIYHNSKRHLKQLFEISKSFSNALSHCLFDYEDEMEFLSTWEKLTEKYEISENEWLNRLFMEREKWALPYQRTIFSADILSTLQKDNMINELKRELSEQEDILQFLRRYEAILEEHRSRKLHADVDGSQVILIWEIMLLLQAVK >Dexi1A01G0024310.1:cds pep primary_assembly:Fonio_CM05836:1A:30882569:30886342:1 gene:Dexi1A01G0024310 transcript:Dexi1A01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLAQGSWDTVTMDRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVRPFAESEVRNWCFQIFHALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSAYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEIHKICNVIGSPDEQSWPQGLSLAEAMKYQFPQIKGNQLSEVMKSASSEAVDLISSLCSWDPCKRPKATEVLQHTFFQNCTYVPPPVRSKASALPKTPPCVGAKGVSENNVARRFSTGTLSTMKSQSNASTKLNSISKTGVQRKLHMDRQAPQKSTRPTENSSKLTTNRVPARNSPGKSPINFPCPVLAWHLGFDCWKSPVLGLAGNPVLRHSRSLPETGRGTIQKVSSITEKLSHMSVTSKTRSTLKPAVPMLKAGHGKSDFLGKSDDIPPAKRLTRKLVS >Dexi3A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:3A:1754964:1763348:-1 gene:Dexi3A01G0002620 transcript:Dexi3A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAQRRVRAVSAHLHPQPPPTAACGIAATPTAGEYVHAQGYSVVLPEKLQTGKWNVYRSAHSPLRLISRFPDNPDIGTLHDNFTCAVETFRDCKYLGTRNRPDGTIGDYKWMTYGEASTSRTAVGSGLIYHGVLEGARIGLYFINRPEWIIVDHACASYSYISVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSTLFSFIAQMPCVRLIVVVGGDDANMPSTPVTAGVEIITYSRLLIQGKASPQPFRPPKPEDIATICYTSGTTGTPKGAVLSHENLIANVAGSSLDIKFYPSDVYISYLPLAHIYERVNQVALLHCGVAIGFYQGDNLKLMDDLAVLRPTVFASVPRLYNRIYAAYSVGTAYKLVAFLLFRITNAVKESGGLKEKLFHTAYNAKRHAIIKGHNPSPMWDRLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRICFGGEVIEGYGMTETSCIISAMDIGDKSIGHVGSPIPSCEVKLVDVPEMNYTSDDQPYPRGEICVRGPTIFCGYFKDEVQTKEAIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSFNSSLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKTYFAKEISDMYAELRETEPVRPKL >Dexi8A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:8A:28988869:28991583:1 gene:Dexi8A01G0017250 transcript:Dexi8A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVHLMATSLLVLVMISSNSPPSQAHPCFEPTDRDYCTASSRFGTSRQQQSSLLFVYEAASAKQGSAYSSSSRAGCSSRARQKPTRETWLSDRTDAWSLAAGDAADSAGATAPAQPL >Dexi3B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:3B:14454065:14455044:1 gene:Dexi3B01G0019450 transcript:Dexi3B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYCSMAAFNKLGSLLRHSALTSGASASSSPALFNAARLMSTKLFVGGLSWGTDDQSLKEAFSAYGEVTEARVITDRDTGRSRGFGFVNFSTSEEAKEAVAKMDGQEIDGRGVRVNFANERPAGNRGGGGGYGGGGYGGGGGYGGGGYGGGGGGYGGGGYGGGNESYGS >Dexi9B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:9B:10125167:10125580:-1 gene:Dexi9B01G0015030 transcript:Dexi9B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTSDSIASPRWGVPFCGVRSSAAPRTRRLPSSSGGGQGCGWPPLDRVAGWVAGGIAAVFFASLERCSCVNVRTDDGDDLLDDEQRDSEAPLMFDDGNGSSTAGVAAGVERRRGGWRSDKGKRSAAAGMGWYEDF >Dexi8B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:8B:23820384:23823166:-1 gene:Dexi8B01G0013530 transcript:Dexi8B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGGQSYGFPIYCAAWLPLAHILKPDPPATDADADASTPSPPRPPMAALGGGGGEGRSGVPNKLVVASLDPTVPAGEEAALCPETVVQVKTKEEVPYRMAVHPRGDGVLCAFPNGCRLYRWESQEEDEVHKLALEPDQEALAELKDVGLQLAVSFSGEGSILATGGEVIMGK >Dexi8A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:8A:28651913:28652346:-1 gene:Dexi8A01G0016970 transcript:Dexi8A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARGRAKLARHWPALRAPIAGDLAALPREDPTADTAVEFPFSPPTSSSGTSTTTSPMTVSLHHLLCLPQSPAPPPQAPPPPAAARRTWSSTSLRVALPEAETMATGRLHEVWGGEEEE >Dexi5B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:5B:2920294:2927240:1 gene:Dexi5B01G0004320 transcript:Dexi5B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVIPRLKRVQEEQGVASNKQHSPFSVLNKKMKSDHRPPTEKDHDEMADSGKFYELYELDAEIGQKELDWKMTVNGVGKCRQVGISESGIDDAVDKLVARGYKVGRIEQMESANQAKARGSNSVIERKLLHVATPSTAANSNIGTDAVHLLALKEVTLSSSGSRVYGFAFLDYAALKIWVGSLHDDESSAALGALLVQVSPREIIYETSGSVKLQLTPLSGIDFSDVSQIRMLHDALKNGEVLPYHVYKTCLRMDGQTLVNLEIFSNSFDGGSSGTLYKHLNHCVTTFGKRLLRRWVCHPLKDIDAINERLDVVEGFIQNCGLGPTTLVYLRKIPDLERLLGQVRSTVGLSSSVQLPFVGERILKKRIKTFIMLINGLRNGLDLINDLQRADHGVSALYKVVDIPTLSSLRESIRIFEEEIQQEFPCYQDDVKDYDGNTLADLVERFVGNAPEWSLVINAVSTIDVLRSFAAMTLSSFGAMCRPHILLKDDVPILRMKGLWHPYAFAESTNGLVPNDLTLGGDLSGLNRFALLLTGPNMGGKSTIMRATCLTIVLAQLGCYVPCTSCELTLADSIFTRLGATDRIMSGESTFLVECTETASVLQNATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVERVRCRLLFATHYHSLTKEFASHPHVSLQHMACMFRPRRGDGNGEKELTFLYRLTSGACPESYGLQVATMAGIPKAIVEKASVAGQAMRAKIAGNFKSSEERAGFSTLHEEWLSTAMAVIVKDGDLDEDIMDTFYCVTHELKAHFRKAR >Dexi5A01G0039840.1:cds pep primary_assembly:Fonio_CM05836:5A:40247907:40249154:1 gene:Dexi5A01G0039840 transcript:Dexi5A01G0039840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSNSTPRLALPAMARSQADLDLPSLITDLTSLLLHSPAASSGAAAPVFSSSXXXXPSLITDLTSLLLHSPAASSGAAAPVFSSSSLSIPTPKPHPTPAATTTPTAIAPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQAAAAAPSATAWQVFLDILRADGPIGLYRGLSAVILGSATSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLDIVQNDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKSRDKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTQGSRTVLGTMKEVVAEEGLVGLSRGIGPRVLHSACFAAIGYCAFETARLGIMQWYLEGCQRKAAAAHPQPQMETGVAAAT >Dexi7A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:7A:28217382:28222495:-1 gene:Dexi7A01G0018930 transcript:Dexi7A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEVKWVSLLCFHLPAAVARSRPPAAAAMVESRPRRKPLVLASTQALLDSLPGDRPPPPPREPVRLRAGVLRFLSGGGDGEFGELASFVALPAPALRRLAVVSGSAVLVKNAYNNVGRIVKAILLDHSSLDDSKAEQIDHVASASPLGHAMGVLPCRSFPSTGFASVDEGIAYVSPLLAFNLGLHVSCLKLLIQIGGEPFKFCSQAEEPHASCSAGIDRSLYLDLLPCPQVPKYALHLRVSVVRIPECGVLASLKINSTFGGSDYQDMVDQALNEYFKFDRFLARGDVFCIRNNWNCGVSSCLACSKPDDNLHPRNMIYFKVTSMEPSDEPILRVNCNETALVLGGAASAAIPPYSFFAASGDSVPLHGEIVEHLASIIAPALCPSDILPKVKFSTFIYGPSGCGKRTMVRHVANHLGLHVVECSCHDLMTSSENGAPAALATAFKEAQKYSPCIILLRHFDAIGNASSNEGPQSEQSGMASNIESVIKKYTGQCWVVKDSMLGRDVNGNSYFVDPECVSPLQIILVATADSAEGMQQSIRRCFRHEIDMKTMNEEQRNKLISETLQGIATAADESINDKFVKDLAAQTSGFMPRDILALVADAGVSFAHKIATEKENKGISNPEEILPECSSAIQNEETHFCKEDIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYIGVNTDASYRERILKAQTRKYKLHKNVSLLSVAQRCPPNFTGADIYALCADAWFHAAKRSVKTFETDSSKNDDASAEQVIVEIDDFMTVLGDISPSLSMEELRNYEQLRQKIEGPSR >Dexi4A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:4A:5326802:5331680:1 gene:Dexi4A01G0007210 transcript:Dexi4A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTEAQGDDDEVEWQEVEREEVEPEEVEPNDESGRKRRRKSQVWNDFVEIETDDPEMSTAKCRISILDPRYKLNLLRYCYKKIHDDESVAEEQVNKAVTRDAIEVDDADDDVTAVD >Dexi6A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:6A:6824623:6825372:-1 gene:Dexi6A01G0006990 transcript:Dexi6A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFHHLTWWGYLRFIKREELEKSDYLRDDSFTIRLDFTVMKEIETKDIDVDSGATPPPLAVAVPPSDLHHHLGDLLATREAADVTFEVDGKAFPAHRLLLTARSPVLHAQLSAMESTVLRIEDMEAQDFEAFLHYIYTDTLPEIDGDAAVMLPDLVAAANRYKMERLRMVCEDKLCEFVNARTVAAMLTFAGEQQCHGLKEACLQFLEDPANVKEAVKVNNGLEHLSPSALKDLIAKLVAGLQLCNAR >Dexi2A01G0029760.1:cds pep primary_assembly:Fonio_CM05836:2A:40782065:40785334:-1 gene:Dexi2A01G0029760 transcript:Dexi2A01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPEAGSVYAANGGLTAPLLASVNGHGAGTKKAGHGVKGKYWVASDKAERRAAKESGGEDGRALLYRTYKVKGALLHPYRLLIIARLIAVLLFFAWRIRHNNSDVMWFWTLSIVGDVWFGFSWLLNQLPKFNPVKTIPDLAALKRHFDLPDGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILSVDYPVDRLACYLSDDSGALVLYEALVEVGKFAPLWVPFCRKYCIEPRAPESYFEMVAPPQAGRASQEFLNDYRRVQMEYDEFKVRLDKLPDTIRKRSDVYNSMRTAEGDAKATWMANGMQWPGTWIDPTENHRKGHHAPVAKVVLDHPCCGHSQPNAEGNLIIGATDERIPMLVYVSREKSPSYDHNKKAGALNAELRASALLTNAQLIINFDCDHYINNSQALRSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHYREENVTAEASRFGNSTLFLDSVSKALKQERSTTPPSLDDTFLAELERVVTCSFDKGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGIAPINLTERLHQIVRWSGGSLEMFFSHNNPFIGGRRIQPLQRVSYLNMTIYPVTSVFILTYALSPVMWLIPDEVYIQRPFTRYVMYLLVIIVMIHMIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPAAVLHMAVNLLTKKGIHFRVTSKQTTADDNDKFADLYDFRWVPMLIPTMVVLICNVGAIGVALGKTAIYIGTWTAAKKMHAALGLLFNIWIMFLLYPFALAIMGRWAKRPVILVVLLPIIFVLVALLYVGLHILLAGVIKF >Dexi9B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:9B:10880324:10880949:1 gene:Dexi9B01G0015890 transcript:Dexi9B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTQPLAGERDPLLFPSSSSAAGSPPPYLDHHPADSYAVLLVPVRLCRRLRRGGRCVGPCLAALCLLALAGFFLWPADPDVSLARLRLSHVSVVARPAVAINISAALKVRVRNPDFFALDYSRLDVDIGYRGSQLGRVTSGGGRVRARAVSYVDADLELNGIRVVEDAIYLIEDLARGSVPFDTVVEVEGHLHLFFLSVPVKSR >Dexi9A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:9A:371788:374159:-1 gene:Dexi9A01G0000660 transcript:Dexi9A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHKDPAAEAAGEATPAAAIRSLFSADNPFRRKPSAEEPPPSAAAAPATPVRKHPKPEAEVAEPSSKKKNKNKQDEQGLQRKRKRDEVEAGRRRRSGAGKEKRPGVGEKRKAPDDAAAGAGEEDEESFDDETKLLRTVFVGNLPLRTKRKVLTKEFAAFGEIESIRIRSVPLVDTKLTRKGAVLQGKVNELVDNVHAYIVFKDEQSAHAALSHNMTQFGDNHIRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGSSGSEGDVEAIRVIRDPNSSLGKGIAYVLFKTREAANAIVRKKDMKIRDRSLRLTHTKPIDTTTPKKTTEARKRTRVPKHKEASTPGSKSNEGSDKVKRKASALSYQGLRSSKSGVVKKAKVIQRPSSNPGKQSKTNETGASARKGKRPAVAARKAKQLAKKRKLDGPTPENTHKSKKPRK >Dexi5A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:5A:1270402:1274644:-1 gene:Dexi5A01G0001790 transcript:Dexi5A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRPPAPMPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLPYSSTKHPTPSSSSGSSGSVSRAPAPAPGLASRRSHSGEIPLPSDAPPRRGHRRTGSGPLIFTSGASACSSSATSPLTNALPAGNICPSGRIAKTSSCSAATPPPPPPPRAVRHDVLGSGTANYGHGSIVRSRSGGAAAAAAPVSEDDAMVRRAMAAADPEEVKRAGNEQYRKGCFEEALRLYDRALALCPDNAACRGNRAAALIGLRRLGEAVKECEEALRIDPSYGRAHHRLASLHIRLGHIEDALKHLSLASPQPDLLELHKLQTVEKHLGRCLDARKAGDWKSVLRESDAAIAAGADSSALLLAARAEALLRLNLLDEADLAISSASKLDFSTSCSSDTKFCGFLANAYLFYVHAQVDMATGRFDHSVSSIDQARIIDPGNSEVVTMHNKVKSVARARSLGNELFNSGKFSEACLAYGEGLKQHPVNKVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYTKALLRRAASYGKMERWAECVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMVTSLPGVSVVHFMTPSNQQCCKISPFVNTLCTRYPSVNFLKVDVNESSAVARAENVRTIPTFKIYKNGMRVKEMICPSQQLLEYSVRHYGI >DexiUA01G0024680.1:cds pep primary_assembly:Fonio_CM05836:UA:51214851:51215159:1 gene:DexiUA01G0024680 transcript:DexiUA01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGSRSGALWRWSSSNCRRRSSVSWAVVNDDDEEEADAAYKKEEDEEAADAASSPKVASFFVSKGKNDGHVIMAKVVAALNDEGCTNQLENHKCNLVAPA >Dexi5B01G0023610.1:cds pep primary_assembly:Fonio_CM05836:5B:25740299:25740799:-1 gene:Dexi5B01G0023610 transcript:Dexi5B01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTPIRRAHDGAEGAQGVPCSRRRCPSCDARCWQDTATASAKPRHEPTNPTLTCAPAELSKRSRLPRRDTNRGSRKKRKQRSTDTSSLPARPPWASFSGARIQGIAHERARARNMMLIGTPTPLKLGSIGIGEEHQAAVVCSQLLGEHGAAERVMASVSRRATPP >Dexi9B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:9B:2524760:2528138:1 gene:Dexi9B01G0004430 transcript:Dexi9B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGTPCRRRAIQGFVAVFLAYALFVLLLESPLVPTSLPGDCEKWIRDDEGRSEESKTSWWLNRLIGRTKTVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTTHPSFSPQKQLEMLPSWQAPPLPDEPVEIFIGILSAGNHFAERMAARKTWMSAAHKSSNVVARFFVALHGRNEVNVELKKEAEFLGDIVIVPFMDSYDLVVLKTIAICEYGVHVISAKYIMKCDDDTFVRLESVLTEVKKVRDGESLYIGNMNYHHKPLRNGKWAVTYEEWPEEDYPIYANGPGYVISSDIAESILSEFVNHKLRSS >Dexi5A01G0038810.1:cds pep primary_assembly:Fonio_CM05836:5A:39659075:39659679:1 gene:Dexi5A01G0038810 transcript:Dexi5A01G0038810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVKLIGAFGSGFTHRAEAALRLKGVPYELILEDLGNKSELLLQHNPVHKLVPVLLHGDRAVCESLVILEYVDEAFDGPPLLPTDPYERSEARFWAQFIDQKAHWLGVFEEIGGVAPLLTDEEYPSLCQWAKRYVAEEAVKQCLPKREELVAMYSAFKEMLQAMATSHK >Dexi9B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:9B:4809322:4811282:-1 gene:Dexi9B01G0007890 transcript:Dexi9B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLHTSLLSRNNAGAPENQPAIFQLLIRNNEAKDLVEAIAAADRGAPRTRPPAPFCVWVRRCSGSGQPPAGQRAAGQQQVPAGSCKSKMEQVAPVRGARAGAELTGRPFLWVAQPDFTTGLSKAWLHEFQHPVGGSDMIVSWCPQQPPAGGGAPCGGVLPNEGRAEWQRRKVERVLDDDGIRDKERVDGLRDAESRSMAAWSS >Dexi6A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:6A:3643331:3643625:1 gene:Dexi6A01G0003960 transcript:Dexi6A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPGGKRVEATDPGDRISGLPDELLHHVISFLSARDAVRTCILSPRWRHLWRFAPRLNVDAEGFASQIRFIEFVNALLLSPLPWNRSGSVCQRA >DexiUA01G0006930.1:cds pep primary_assembly:Fonio_CM05836:UA:13332806:13334925:-1 gene:DexiUA01G0006930 transcript:DexiUA01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDVSRSTNIYTCLFCQILWNFSPGPELEKLHAERIAALKKEAEKREVLKRKGHGEYREITEGDFLGEVTSSEKVICHFYHREFYRCKIMDKHLKALSSVYVGTKFVKLDAENAPFFVSKLAIKTLPCFILFKKGIAVDRLIGFQDLGSKDDFSTRALEKIFSR >Dexi2A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:2A:3415257:3416744:-1 gene:Dexi2A01G0003880 transcript:Dexi2A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGESLVANVRQALGDEYRLLSGVGGEVTELRDDLATMNALLRMQSEADEGAIDHFDQEWMKQLRELAYDAEDSVDLYKLRVKCRHGDGMAALWFKMVHLARTLTQRHHLAGDIRDLRARAITISERHARYNIDRKALRSSATFAPVVGLRSMPEFRRDKSPDHNNQFVDIGDQAGAFAKRLKEDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQADRDLEKLLKGILQQVVTPKMDDGKGVKEEKDVGISELGAYLSDKRSSRGYPERRV >Dexi9B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:9B:5047054:5050919:1 gene:Dexi9B01G0008180 transcript:Dexi9B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRSKSPVAAEERAGKDQPEVQEGEWERCPVEEVALVVPETDDPTLPVMTFRAWTLGLGSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRVLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFVCALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHETKEEGVKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWQFNTFDARKFPIFSNQLFTATGQKYDTTKVLTKDFDLNVAAYNSYGKLYLSPLFAISIGSGFLRFTATIVHDVHAKLMQRYKQVPQWWFLVLLLGSVAVSLLMSFVWKEEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGRHGLYRNLVWLFLVGAVLPVPVWLLSRAFPEKKWIALINIPVISYGFAGMPPATPTNIATWLVTGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNANHELKWWGTEVDHCPLASCPTAPGIVVKGCPVF >Dexi2B01G0026990.1:cds pep primary_assembly:Fonio_CM05836:2B:35987216:35988002:-1 gene:Dexi2B01G0026990 transcript:Dexi2B01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSPLVDHSPSMKLSTVDVLDDEDGPVGHAVEEPLQRRVAAHLGEVEVVEVEAEVVGNGGDEAGLAGAGRAVEESLRLRKRSRSAMTDRLSCGSMASVSNVAGWWCSMPCQCDPSFMNITSSRSWRRASSTVAVMKGTYLPSAMSVCSASKLSSSDSDEGPCRPSPATDAQ >Dexi4B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:4B:5835539:5836252:1 gene:Dexi4B01G0008040 transcript:Dexi4B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTATSNDTTSPAAVKHGANKRTYKGVRMRSWGSWVSEVRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGSAAASDLNFPLRLLFDLPPAAMSPKAIQRVAAAAAAAATTGADFAACAGNVVDDSACSDAPAWSSSSPSDAASAVSSPESTVSSESDELPANFGDGDCDVDYSSLADIDAFFQSPKCMEYAMMDPCSAFFAPAPMAAMAMDDACWEEEEGDIALWSFSSALDC >Dexi7A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:7A:27924060:27924281:-1 gene:Dexi7A01G0018540 transcript:Dexi7A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPPHFSCFACVLLDAPLRVRVQSKPLHIRRCGSLICSRGAAFFPVWLADLQHHLQQFPVGSKAGEQDTVQ >Dexi2B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:2B:213312:213704:-1 gene:Dexi2B01G0000500 transcript:Dexi2B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGAYLAVVQMAVHHMLLFLPRAPLAAWEAMWHVGFEKIGVAVSVTSGLDLLLDYCGARWLLVIWCCLVVALIAAVLAFWICLARTYGHDEPGRCGCWGGLAIALPLSSLLAAALTAAFIYLVSYGDQC >Dexi7A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:7A:27041719:27044304:1 gene:Dexi7A01G0017300 transcript:Dexi7A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTNRSGSAEAKSNGKPDKEKKGGTGTPPTPKDSKPRKPAVPKASAAHGTPRAADKSPGSADRKAPSPKAASRPEKTGKAAKPPQEQQAVKPDLQAQLAAVQEELVKAKEQLVEKDKERGKVLEELEHAKKVADEANAKLQEALEVQRKAMEASEAQGSPAAESEQASIESVQRKLESMQNQQEADADALRSTVEQLEKARYELADAIDAKNQALNQVDDAIRASEAKAGEVMLLTAEVKRLKELVDSKMDGKTKKTAERIQKLEKENSALKLELEKAKAAEEKAAELERVVEELKVAIADAEKERSKSGELADEWQKKAQLLEIRLEEADQSNILKGESLNSAMEELDATSSLLRDKESKLAALQDKVRFLEDEVARQKGDIDVSGERLTAAEKEAADLWAKVEGLRLKLRAAEEEKMDALNSDKNASSEIETLTKQKNQLAEELEASKDEVEKVKKAMEGLASALQEMSAESREAQEKYLLKQDEIERAQAQVEELNMSLKNTKENYEVMLDEANYEKVCLTKSVERLEAEAKNAHEEWQSKELSFVNSIKNSEEEIVAMRVQMDRTLEVVKGKENENAELQEKMQHLESQLMEANRIKEEAKAETIQWKDKLLDKENELQNIKQENDDLQAKESASSEKIKELSSQLANAKDGTINGSTKEEDNERVGSEEDDEPVVVVAKMWENSKYADYDSYKEKENDGDSQVDLESNKGDAALDSNGLHSTKENSGSTSPTKHQQQQKKKPLLKRFGGLLKKKSEN >Dexi2B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:2B:30711919:30712293:1 gene:Dexi2B01G0020680 transcript:Dexi2B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMASPGDEALPALPPIKTGPLPPPPCSSASASPSALAGDDAMAAAEVDDHEPSTPTSEETMLRPAAVCPPAPRKQPAPRLPVARKPSRPSPARAFVKVPRDLSTVFRSLPPMPPKKRIRVS >DexiUA01G0023480.1:cds pep primary_assembly:Fonio_CM05836:UA:47960956:47961423:1 gene:DexiUA01G0023480 transcript:DexiUA01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKDAAARKPVLATIRLIVPAVGPALGFYRLNLMAFCKDFNARTQKYKADTPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSNVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL >Dexi6A01G0018970.1:cds pep primary_assembly:Fonio_CM05836:6A:26618262:26620128:1 gene:Dexi6A01G0018970 transcript:Dexi6A01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAAGGDYIASLLSSAPRLDLGVLGMLDGAAAGADGGDDCLERFCGDPGFAERAARLSSFSGQRFAGAGAGAAGLFGLPAPAPPAASNGEFAGSREASSVSDPASAMRDANAKKRKAPAAAKGKGKESSSQAGEQKDPDTKRCKTTEGGEGKKEEGSVKPKSEQAGSDSSVEDGGQKPPGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSSSGFPFSDQGDVFQSFVSNALENQCSLNPLDLALSQATNAQYAFQDGTAGTNLQQRNFWEEDLQNVFHIDNGQQSQENGVSAQSFHGQLQAGHMKMEF >Dexi5A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:5A:4581939:4583436:-1 gene:Dexi5A01G0006190 transcript:Dexi5A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTPAAAEEGDEAALLAFKVAATDGNGDALASWNGSANGEYCSWEGVRCQGTHRRVVALSLPSHGLTGVLSPSIGNLTSLRTLNLSSNGLSGNIPASLGRLGRLHTLDLSHNTFSGLLPANLSSCTSLVAMDLGFNQLSGRVPTEFGNKLLTLRNLTLQNNNLIGPIPASLGNLSSLSVLNLMFNQLEGIIPDSLGVLKDLWFLDLGFNKLVA >Dexi9A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:9A:16385633:16389676:1 gene:Dexi9A01G0021510 transcript:Dexi9A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRILVRFVFLVLHLRSYVAQSPAATSELQQPPGRPAALPSCFHQPASQRWEQISQLQPHPFPAQPAERAHRRRSRQRIESETGTCEGGAVTGNHPCERTRHARAPLAYSIPPMPPIAGAGASSLGISTMMDSVVSLGGDPPPFLGGRQDMQHATEAGTSTHSGGVRVAAEEAGASARGGKGDVYCGQRKKKQVVEA >Dexi4B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:4B:4528652:4529941:-1 gene:Dexi4B01G0006450 transcript:Dexi4B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTDRAHVRLRSRVRGAYLHTDEDGVGVSLRARRASMNAAWQVHLVPGEEGVTYLLLHSAAYGRYLARTTAEAPPGHRGNAADLRLYTTPEQKDVLWVAVRNGNHVRLRHVSNGLLRANGRYRRWLNGVSVDNDANTQSTMTHWTVEVIPPREQPPALPLQTPHPEELRRIITYVQADDLGNFDPHATRSCWFHGRSVTNLKSSLANHLNEDCVDNITTCVRAGFQGRLTPLVVDLPRNQEPIFVVLLTTGSPGEKIWSLLSTLFTLVICLLSSTAVLVL >Dexi1B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:1B:17749079:17753109:1 gene:Dexi1B01G0012920 transcript:Dexi1B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKPSSSAAAAKPGAAAAPPATVHSALVTYTSMLSLLSLCPPFVILLWYTMVHADGSVVKTYEHLREHGVVEGLKAIWPMPTVVAWKIIFGFGLFEAVLQLLLPGKRFEGPISPAGNVPVYKVCAVFPANGLQAYAVTLITYLSLWCIEPLKLFPQPYVTTLTNRNICVRFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHVAPSSSDSGSSGNVIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLAVTYCIKQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSVWGKAPSKIVASYQTTKGETKTSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFSHFLPYFYVIFLTILLFDRAKRDDDRCSSK >Dexi7B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:7B:9851858:9852362:-1 gene:Dexi7B01G0004030 transcript:Dexi7B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGGRGAAARKHLRMLLPFTCDSLRIPDELAEEALVVGPPGSGKVDGDGAFLGRGWPELADACGVGGGWFLVVRHRGHGVLTIKVFDRSYCLWELGAPPAVH >Dexi2A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:2A:2070663:2071511:-1 gene:Dexi2A01G0002550 transcript:Dexi2A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPKHQASTPARSSSRAPLPPSSPPPTVRSPRPSMSSSGLAADLGRLLETKQGSDVDFEVCGKVFPAHKLVLAARSPVFMADFFGPAKEKDTSYVRIHDMHPDAFEALLHYAYTDTLPPATVTTTASSPEGSPSPAAAAVVLTQDLLMAADKYKLKDLKSVAENELCTHNVGVNTVLPMLELAEHHGCVKLKKKCLEFIVSARNTTRAVMANDDLEHLARSCPSVVKEVLRKIMDAREATPGKNPLMVSVQHVLFYLFAFVYVVLIVAFGLCCVFSSK >Dexi9A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:9A:15151380:15155366:1 gene:Dexi9A01G0020240 transcript:Dexi9A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLLAQARQQCLPSPRLPQLPGFLLLCLSTWAGSAAPKFASLHFHIDVVDSDLWPASFGFSLEAARGNEYLDDLQRHDDEVRDFDDEIDDMRHRKKLFYKLDRGSKEYEENNVTLRRSRNRDKANAKNPKECKKVDPVKSVSSNAPKLKAKHAAREEDMVEVKRERVPTFNQITDPYHHPFCLDIHVTKGSVRACFVHRVTSRVVAVAHSISKDMKFDLGSRKGKGMKACAARQKFGSKEYTGRPNLRSAPHRRRARSTHPAGEPLLRLPCRADSFQRPRAILPPNLLPFHAAMALATLRANLAAGRFGRVVELTSGGASTAAAAHRVLHLLLRTVPLPHPPHLVSFARWSRAHFRAPLPLRLHAFLLARLASRRGAQYPLLRSEIHALVAARLHSPASILRALSGSSPPAPLIADMLVAALAKDSQPLAAFEAFLLASADYPRHRPSVFSVNALLAALVRADRIDLAEKAFRVALRQRVSPDLLTFNMAISGLCKIGQLRKAGDVAKDIRVWGLAPSVVTYNTLINGHLKSSQAGKMYHVDMLLKEMVEAAALERWRRRWR >Dexi2A01G0037480.1:cds pep primary_assembly:Fonio_CM05836:2A:47047123:47056613:1 gene:Dexi2A01G0037480 transcript:Dexi2A01G0037480.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQQPDDLAAAARPPGDVRQAPLPQGSPAPSSGTHLAPLAYIVASASDPPPYGPLLQGGGGPPPAAAGDVPQMNAHVALHYGIPYTASLLAFDPIQRLLAVGTLCSQPFCNCRDGRIKIFGGDNIEGLLISPRSVPYKFLQFITNQGLLVAISNENEIQVWNLEFRQLFYSSQWDVNVTAFAVIEGTFLMYLGDENGLLSVFKYDIDDGKLQRMPYNIPIQFIIETTGVSLQNPQPIVGILIQPDTFGTRVLIAYEKGLLVLWDVSENHAVSVRGYGDLHMKGQITGAQRDAGEAQQSDATDESEEEREICSLCWASRKFCPRRCAGPVISGNMKWPLTGGVPSEMSLNEDHAVERLYIAGYQDGSVRIWDATFPVLMPMFVLDGKVVDVDLDGANASVSSLAFCSVDMTLAVGTASGLVRIYKLREHTGGSSFHFVSESKQEDGANEKQTQLSENTFRSQDQAGKEGNDLDKKQEPGVEKHLKNASQLSQNGGSDSLLLVCCEDFLLLLSIASLIQGSSKHLHKMKLVKPWCWSAVFKNMDGSICGLILAYQTGIIELRSVPDLAIVAESSLMSLLRWSYKAGMDKSMSSSNRQITLVNGSELAIISLIASENDFRIPESLPCLHDKVLAAAAEAAISFSTDQRRKQNPAAGILGGIIKGMKSKAEENAKMPENFTVQTSSEHLESIFLKDSSVEPSMPDLDDPIEELSIDDIEIDDEVPVALASASSSTSHGNKRTTGPMQDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >Dexi1A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:1A:4694830:4696233:1 gene:Dexi1A01G0006270 transcript:Dexi1A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEKACPPSTERSTKRRKEMRVRAVSLSTAGRRAVGQPDKEGQRHDKQQGKDLMVVSRWCKGPLEAIPSPLLPACCCSSLPAAPPPCRHRSSLMINTGAQDEAKLERHPEISSPGQSRGPHPLGLRAPVIGAATAAAGGGGLKPALLLHRCHPAKSALNPAKFASDFAGFPRLRNPAKREEINQSTEIDRVIARLTTVEVGDGARSRRGQEEQGMGATAHTV >Dexi2B01G0026950.1:cds pep primary_assembly:Fonio_CM05836:2B:35980693:35981505:-1 gene:Dexi2B01G0026950 transcript:Dexi2B01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPSSPFRDLSNLRTPRPKPKPVPASKTPLQAPTPLRSAPRPGDGAPTPLDRRLRALEVDQSRSARRAESGRERALRAFAGSASSWLSLLLRDPAACGCSPAAAASAAAAQPCAAGRRYALDGERARGARSPKRRRGGGDRGGERRKEMTPAMVAALRDSLREVCSLDDVTERMAKYMSKDACEEVLVMMTQICKM >Dexi1A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:1A:28120922:28123423:1 gene:Dexi1A01G0021320 transcript:Dexi1A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVRTLAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGENAEEKDAEEIIDLASKASVADQQKQVQENVHYQLSHMCQAMDDVLRPDVKDDPSKDPSKAHSHPQRSGLSFAVGGAASANMQSAAIPATRPLTRAELSKKFRDHFGYTLDIKPSGIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWCLGDETRELWDGSNLVDYNAMRPKGSENNSDRVWRMLSKPLEKSVRENFGEVLERRNPLAFGHFANHPPQGSNPNVMICPYDFPLSEKNMRVYIPNITFGGEEPIKMKRFGSFYFKSGSSDDQAGDSLVLKTLVLVSTRSICDEELFLNYRYSNSKRRPEWYSPVDEEEDKRRWS >Dexi7A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:7A:28556247:28557833:-1 gene:Dexi7A01G0019330 transcript:Dexi7A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVFEKQKALILIGERLVLTTLRFDFNVQHPYRPLFDAMRNLGINQKEVKQVAWNFVNDCLKTTLCLQYKPQYIAAGSLYLAAKLNNFKLPLHGAHVWWHQFDVAPKPLEAVIQQMMEHAAVKKMMPARPSPVKQKEAPYEAKLQVSNSPDSVLSQPGLSISSSSPDIGEPSNHMQVDSCQYLISSHKGDGRVSGPDSTCRNVSSKAHDEESLDQSSIIKHDVMMSCSNQTSLDAMADTEDSAASMKQDVSHCTVNGKNLNQMPRNWHGDSVNQLSEVISREVKVGKESTRCVEPSNRSSNHCTGSLNADRLCTDQRLVAVVPIDDVPSASPLVVEADPLGAELKKVDVARIKDLLTKRKRQREIQERAMSSDDLDEEAWIERELESGIVIKQEAAASDELSEEAWIERELESGIVVGPRNKQAITFDGLSEDDWIEKELESGIIVEPGPASKKQKLEPSCC >Dexi9A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:9A:12637134:12640868:1 gene:Dexi9A01G0017590 transcript:Dexi9A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPPLLLLILLLAVSSCTAAAGSGARVVGEDYVRPPPARFHRKALLSLFPWSKKKASSASDPQQHLWDSFGALVEPLASTRPWMVTEGNHEKEHIPFLESGFQSYNARWKMPYEESGSTSNLYYSFEVAGAHIIMLGSYTDYDESSDQFAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMASMEPLLYSAHVDMERVYNGKLDPCGAVHITIGDGGNREGLARRYRNPKPAWSVFREASFGHGELKIMNSTHAHWTWHRNDDEEPVRTDDVWINSLSGSGCIQQGSHELRKILMSP >Dexi6B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:6B:1068946:1069709:1 gene:Dexi6B01G0001290 transcript:Dexi6B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHHHDGIGGMARGDDGQQQAHDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVRVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGEADRYQKVGEFPSSN >Dexi8A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:8A:1115678:1121885:-1 gene:Dexi8A01G0001600 transcript:Dexi8A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETADGGGGAGGAGGGGGGSFSEQRLVEKLNKLNNSAASIQKIAPLLVRFVSLNYCAALSQWCIFHRKRAKRVVDTWEKQFHSATKDKKVSFLYLSNDILQNSKRKGGDFVNEFWRVLPRSLKHVYENGGEDGKKVVARLESKLIILRGQLQVARAEAERAIQLRQQLGGALATSGTQSNSSPLMITPLEQTSVGSGVRSTPPQSQSLNPETSHTPTVSAVDEESKRTAAAMADKLASLSKPVLNSIISSLVAEQTASINAGSPSGEISGGPPGFQIEKRPRLEKTMQTGFPGPSGPPPPPPLPPAQSQPQQQQHSPQAPQQSPTSTGFFQSSAGMGFIPSVQ >Dexi6B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:6B:22528246:22529322:1 gene:Dexi6B01G0015140 transcript:Dexi6B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSGAISGGGSPGVLPMVHSDDGFGGVGVEEADEDMVLCSGGGGEKKRRLSTDQVRALERSFETENKLEPERKARLAQDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRADHDALRRDKDTLLAEIKELKAKLGDEDAAASYSSVKEEPAASDVEPPAAAAMAAAQGSSDSDSSGVVNDAEMAPEKAHPPATAAAAVTEAAVVPCAAAAHQAALHHGEVFFHGHLLKVEDDEAAFLGDDDAACGGFFADLQPPPSLPWWTDPTEHWA >Dexi9B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:9B:3113224:3113793:-1 gene:Dexi9B01G0005300 transcript:Dexi9B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKHTTPKAPVEMSQVTLRKFELSDVDAMMTWVSDPLVAAPCRWDPYESTEPVLAFLRDVVLPHPWFRAICLAGDGNSGEPRPVGALSVSPTADPCRFELGYVLARAHWGRGVATAAVKLTVAAVFAEVDGLERVEALVDVANPASQRVLEKAGFTREAVLRKYMVVKGVVRDAVMFSFIKTDPVPE >Dexi6B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:6B:256555:262135:1 gene:Dexi6B01G0000370 transcript:Dexi6B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEQLMIDCDGMSYVTFEAGAMPSLWMLSLGIDPDEWDKDTAIPDGLQHLSGLKEICVLEANTVGPDRRKDNTSTMERIKGAFQEAAGVHPRIMVSASMGVMKPLLTKLVTLMGDKYKKLKGVQKEVLFLKDELGTMNALLEKMDDIDELDPLAKNWRKHVVDMAYDIEDCIDDFMHRVCEAEGKVGILQKASHCLKTFKDHYLIANEIQKIKIRVIEASERRWRYKLYDFISNTTLVAVDPRILALYKETASLVGIDTPKKEVIKLLRDEGQQLIVVPIIGFGGLGKTTLANEVYREIGGQFNCKAFVAASQKPNITTLLNSLLSQFNLNPPSHAHEAQDLINMLRAYLQDKRDELVRRWVAEGFVTVSASHRGDEWDVAMSYFNELVNRSMIQPVYDCYNVEVVSCRLHDMMLDLIVRRCREYNFVSLVHDPGAVVELQDKVRRLTANLNGAEMPVIISNCSHLSQIRSLAILERSYWAPPLLEFKRLRVLLLLEFPKNIKRIDLTCISQLSQLRYLKVQFKTRPQLDYSQTHHIVLPSQIRNMRKLETLEIPFEVFSSIPSDIVDLPCLANLIMPWDLLLPDGIGKLTSLRTLKSFRVWMSSSETIEGIGKLTNLTDLSLERCRKLVGPTGRCADDHTTTATWMTALTSSLEKLVRLKRLSLESFPAGCCACSDGLSSLTPNIGNLEWLDVSEWTFYRVPRWIGDLHNLRSLRLTVKEMSKSSWEDDSIIGKLPSLILLNLKITGVLTERIVIGGSTGFALLRRFEFNYDGTSHLRFESGAMPKLRELMLRLDPWAWDKATPVGLHHLSSLMEINVHTLELRDSTTGGSGESGQKIMNSVFSKAAAAIPSRPAVAVWCG >Dexi4B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:4B:7876806:7884150:1 gene:Dexi4B01G0010530 transcript:Dexi4B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSPSEPWAWWVGLEAGAWPLKWFGKAGLRGNMQCSEAVDGEGGRQPEMGERAKEWLLAAGAGAAVGALSAATVMNLLSRSKRREGYVRKLLESNGVAASSGNARPSRHLGAIGSSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELIYGTAEQVLVDAEEVMYVVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADAHESTTLDHIKEEEPEFYSMVSRVLKRAEVEFAL >Dexi9A01G0040760.1:cds pep primary_assembly:Fonio_CM05836:9A:44485615:44492505:-1 gene:Dexi9A01G0040760 transcript:Dexi9A01G0040760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRHRPLLLLAAISVAIVASLVRPAAAVRPFVLVLSVDDFLKDSSAHPSLPSADSGGDADADEWDDFADDSPAADPLLSPSSWVPLLDPTSSPLSGDEPDSPADSLFVAGARAMLSAVSEGDDAAFVTGAAQIEAAATSGHPGAQSALAFLSGAGMSRPASRSRAFLLHKFAADAGDLQSKMAIAYSYFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPIRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNAAAMYKLGLLYYYGLRGLRRDYVKAFHWFSKAVDKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQHYSAYNGLGYLYVKGYGVEKKNLTKAREYFKVAADNKEAGGHYNLGVLYLKGIGVKRDIMEACNHLLQAVNAGQPKAIYQVAKLFQKGIGLKRNLHMVNNYAPFVVFYHRMIFLFQATMLYKSVAERGPWSSLSRWALESYLKGDVGKALLLYSRMADLGYEVAQSNAAWILDKYGDESICMGESGFCTDMERHLRSHALWWQASEQGNEHAALLIGDAYYYGRGVARDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVAVDSAAKLPVMLALTSLWLRKNYADSFLVRFIDSLPEIYPVVEEWVEDVLMDEGNATILTLFACLATVLYLRERQRRQVAAANPQQPDDAPM >Dexi2B01G0028130.1:cds pep primary_assembly:Fonio_CM05836:2B:36783452:36784193:1 gene:Dexi2B01G0028130 transcript:Dexi2B01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASTHDDDDN >Dexi2A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:2A:603639:604622:-1 gene:Dexi2A01G0000990 transcript:Dexi2A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLKEAKILEESSCAKINPLRNELAILYPFGSFGSEDDPLLSVTEFSCGGHVLGVSWSHAIADAAGMAQFLAAVGELARGSPSPSVVPVRWDDVVSRLEPWPSPMLQAMLAFPETQGLELTANLDITIPSALIKCVKDDYLGCGGFDGQPCTVFEVVVAIVWRCHIRATMSNNNPGNPAYLSFASNMRKYVGSKDGYYGNCGADRLISGATRSSVAEAGFLDLIRMVKRAKDQLPDNGQLMQGLRDRYDLMHVTSWRNVGFEQVDLGGGAPAGVMFHGREGGTPPVPICIMHPACKGMDGVNLLLVTAKEHVDAFLGELAKHT >Dexi6A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:6A:4083629:4084871:1 gene:Dexi6A01G0004480 transcript:Dexi6A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPLLSAGVTRHDEFPRRVVAQYKFSLLDLAAGAAAYELPAETGVYYTCSSHGGEEGDDYYNYLDGNVYYYDEEKMEEHLIPPPVELGCGYEDFIAKAELERRRVTLLRDDSLAIRCDVDVVDTEYVRR >Dexi9A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:9A:7427056:7429827:1 gene:Dexi9A01G0011800 transcript:Dexi9A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACGDSYRQVALSRRSRGRHPGIARRNTYLAHLVGSTRISGHAADWFRLPARRSLGANSVRPYDSMESSGVRRYPGARQSYGANELQADVWTEATHKGRTKDLRAAEVSRDFLEAGRMIPKDERLDGGSRVYGRAEKSWRVIDGRAEWECAEPITPSGHQLSIPALAELLLVQPHFRECTTAEHVGRFDPRGAVPGPLGGCAGDPVTARRGAGTAVAALRECVCRVSDAKNGAETPNKRRLSLSLARSLPTLERKREGGKRETEAAFVPRFHHPLLRGRGSGGRGRRGAAAAGDMQRRRGQTWAGVGKTAQAAAAHAALFCFTLLLALKTPPSPPPPLRFDSI >Dexi6A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:6A:3957519:3958497:1 gene:Dexi6A01G0004310 transcript:Dexi6A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTVLYRCRSLQYLDLSWNGIMGSIPKAVGGLSSLTHLDISYNITGVLPSTLFRCRSLQYLDLSWNGMTGSISKAVGNLSSRTHLDISNNRIIGMFPTTLYRCRSLRYLDLRWNQIAGSIHESIGNLSNLAHLDVSINNIVGVFPMALYRCRSLRYLDLRWNHIGGQLPDDIGHGLGTNLSMLDLSGNNLNGTIPASLSKLQNLQYLALDNNILTGTIPMELGEMTSLQKLSLWNNTFNAGILPISLKNLTNLVVLLVNGCNLIGDFPSYVVRMSELVELGLSYNLLTGSIHPGVWGLKKLQQLDVSRDNLTALPRRA >Dexi9A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:9A:7633205:7633807:1 gene:Dexi9A01G0012050 transcript:Dexi9A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQHHHIKALTPTWFLAKITPPPATREGANKKATAHSPLLLLPAAWQEPQDEKRTSKAGGAERMPASPRISCMGHVKGKTRGCASARGPAPPTTRGHHGAGGGGKVLATFVLGLFGRRNARTTSRACAKVRDVPAGSSRGGRHGGGAAATVTVLMLDPPLPVVRRPAADANAPNLWERRRGAKALQGLQLTQIASELV >Dexi3B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:3B:12072370:12077158:1 gene:Dexi3B01G0016600 transcript:Dexi3B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMESPLARSSRAAAEKSGWTQWNEWLAGSKDEEKREVMRPLLREHIRGPGLDIFEYNMSEKSSHAATGDLRPEGDVSDQNGRDASIVRLQEPYSFTFTQWDLAKYLQEAVIKKKYGGIMPRKTPLISKDHERAYFDSADWALGKQGGNPQKPKGPLEALRPKLQPTQQQTRSRRFLGESADNEESTEGKDKE >Dexi1A01G0031710.1:cds pep primary_assembly:Fonio_CM05836:1A:36564642:36568627:-1 gene:Dexi1A01G0031710 transcript:Dexi1A01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLDRLTVAASPPAPGGVLPLTFFDVPWLFTGPVERVFFYPYPHTVEHFTASLLPSLVSSLSAALHGFYPLLGRVRPCPDGGGGYEFCSAGGDAGEGVELTVAESSDDFDELSGGGPRDVARLYALVPQLPKPEDGTFALAAAQVTVFAGRGIAIGVSIHHVACDDSSYMHFVKTWAGQCRVAAGEESADAAVPPPPFLDRSVVADPEGLAARTLDEMRQLAANGPPPPPPPTGPPPKLVIASFVLARDRIDKLKQRVAANGGGVHCSAFTVACAFAWACLARIDGADTERRAHLLFSVECRRRLAPPIAQEYLGNCLRPCFVEVGMGDLLSADGVVVAAAAIGASIRALDDGVLAGAGGWFHKILSLVPQRPMSVGGSPRYGVYDTDFGLGRPSKVELVSIDKTPGTVSLAEGRDAQAGIEIGVVLPEAEMARFSACFSDGLEQLPAHCPNSSLPRFRPHRRPPPPPRSPTTARPTPMALRRAATLLLRSRLRGPVPNPARRTLNPLPAPPRRHFSARPRPPVPASAAAIADAAEEAFEAARTTNDMLASFSRLEAAVPANDRRLALACLKLGQHLEASGSADPSRVLSLALRCLGILEATPKASASVSASDAVSLAMALHLAGSASFDLSRFHDALSFLARSLRLLTPLIPDRGVAFGGGEEAAEGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAHASLLDFKQALPLCHKALELHESTLGKNSMEVAQDRRLLGVIYTGLEQHEQALEQNEISQKVMKSWGAAGPELLHAEIDAANIKIALGKFDEAIGVLKNVAKQVEKDSEMRALVFISMAKALANQEKAGDTKRCLEIACGILEKKELATPDKVAEAYIEVSSLYEMVNEFDKAISLLKRSLEMLERIPQAQHLEGNVAARIGWLLLLTGKVSDAIPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNTMGRYHF >Dexi1A01G0025300.1:cds pep primary_assembly:Fonio_CM05836:1A:31582717:31584076:1 gene:Dexi1A01G0025300 transcript:Dexi1A01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQPVAMEPKLPALFLLVAFVVCSASHHQDPSVVGYSQEDLALPSRLPDLFTSWSVKHSKIYASPKEKVKRYEVFKQNLMHIAETNRKNSSYWLGLNQFADITHEEFKTNYLGLKRLTKMGAETRTPTAFRYAEAVNLPWAVDWRYKGAVTPVKNQGKCGSCWAFSSVAAVEGINQIVTGKLVSLSEQELMDCDTTFDHGCGGGIMDFAFAFIMGNQGIHTDEDYPYLMEEGYCRERQPFARVVTITGYEDVPENSEISLLKALAHQPVSVGIAAGSRDFQFYKGGVFEGACSSELDHALTAVGYGSSYGQDYIVMKNSWGKNWGEQGYVRIKRGTGKPEGVCGIYTMASYPVKNATLWGS >Dexi9A01G0022370.1:cds pep primary_assembly:Fonio_CM05836:9A:17587511:17587806:1 gene:Dexi9A01G0022370 transcript:Dexi9A01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSNRSGLNSSPLSQYLGSLQIAHALMSTDPEGFPDHQLEVAEPRDVVLGDGAFPLEGLTDLGLRFGH >Dexi5A01G0026760.1:cds pep primary_assembly:Fonio_CM05836:5A:30328115:30335672:1 gene:Dexi5A01G0026760 transcript:Dexi5A01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNTPSQELALTNCAFVSAGDLRRFPNSIALVGDALVLTLRYPQSVSLVLIYFVDSVLSFVPPEDFKLALLTLELSFVKAKANEEQLDAVLLAQQLRKRFLDQVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFMDIFRRAFASRVFPPRVVRRLGIKHVKDILIYGPPGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLSMDDLTKPLDEESIKVTMDDFVNGLKEITPAFGASTDNLERCRLRGIVDCGKPHKHIYQRAMLLVEQVKVSRGSPLVTCLLEGPAGSGKSAMAATVGIDSDFAYVKVGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKVDAKKVLQHLNVFDEGDLDAAAEALDDMPLKKLYTLVEMAAQGRTGGSAEAIYAGKEKIDIDHFFSILGDIIRY >Dexi2A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:2A:3104996:3106009:-1 gene:Dexi2A01G0003580 transcript:Dexi2A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPAAEAPHVVEDCFGIVQLLSDGTVRRSTDYSALPLIGGVPSGLPVEWKDVVYDGAHGLRLRIYRPTSTAGEKLPVIVYFHGGGFCIASYELINFHAGALRLAAELPAVVLSADYRLAPEHRLPAALDDAESVFSFLSSQATSYIAGDVAAADEWLAESADFSRVFVAGDSAGGTISHHISVRHGSGDLPLAPLRLAGAVMLWPYFGGEDLTPSEAASPADEPMGTVLFDQMWRLALPVGANKDHPFANPFAPGSVPFGDLGDAFPPVLVVDPTMDVLHDRVVEYVARLKATGKKVELVEFEGQGHAFFVTAPCGEASDELIRVIRRFVHGG >Dexi2A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:2A:31404743:31407219:1 gene:Dexi2A01G0019200 transcript:Dexi2A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKTMVVSLGSSSRRRKRGEMLFRFESFCQPGYPAPLPVGGAFRDNVRALLGLAHLEAAAQGDDTKCWSFQLELHRHPPTVVRLFVVEEEVHASPQRHCHLCRHVGWGRHLICSKRFHFVLPKRELSVEADGLHYGINHGSSEKPSKATATSRGHLLHGVVHLNGFGHLVALHGFEGGSDFIAGHQIMDLWDRICAALNVRMVSLVDTARKGHMELRLLHGVAYGDTWFGRWGYRFGRPSYGVALASYQQSLHALQSVPLCVLVPHLSCFSQDLPVVVTKYQAISGHKLLNLGDLLRFMLELRTRLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRRCCAADDDPPPARRWVTRQEVRDAARTYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAAAVAGGVPNGGKTMRVRFQLTRAQLMRDLAQLYRHVLKEPSQALTTGAFGAIPVAVRMVLDTKHFVKDYHQQQQGFAPVNGGVGVVGHAHHVSLCCTVLVSDGTPELVAPYETVTLPADATVGELKWEVHRVFREMYLGLRTFTAESVVGVGVGQDACPVLGLVDVGSAVVVKGYVGEQQQLEGGGVSEGGGDGERVVDCGCGADDDDGERMACCDICEAWQHTRCAGIKDADDVPHVFVCSRCDNDVLSFPPLA >Dexi3A01G0016840.1:cds pep primary_assembly:Fonio_CM05836:3A:12753373:12754031:-1 gene:Dexi3A01G0016840 transcript:Dexi3A01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALSANSIGVDTVVVEAHPLELNLDRTFCRGLGAGCVGEALTLALAEAALWGGRHCFSVGRDRRGQRGRRDRWMRESRRGGRCCHRVEETLGRRWSPLGIAVRVGVGVVTGRRVEQARREGGVLGVCIEKRRGGNVGLAPSWGVTVRVEDLFGLLHTQRAEGVVVVVGRGGGPWIPNSAMWLGRQKSPLHLG >Dexi5A01G0033960.1:cds pep primary_assembly:Fonio_CM05836:5A:36143921:36145010:1 gene:Dexi5A01G0033960 transcript:Dexi5A01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVTVPLPAVGAFAASPATAAGVATPLRRRPLRTVAAPAATASSSPSTSTSAVSSSPPSARHSRKHLADGDGAHSKPTAKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGDGGNDYRRRLLPSYKAHRTRGAGTGADSRVVDGASWVMKQMVFQESSTWFQDLVGRLQ >Dexi3B01G0028400.1:cds pep primary_assembly:Fonio_CM05836:3B:24576850:24579735:1 gene:Dexi3B01G0028400 transcript:Dexi3B01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAISLPHSLTPTLHATRRRPAPPACAHSERGVSFDPGSAFYRSDSAAGRDLAVLAATLHRRHGRLDPSAPFLCLDAMCGCGVRALRYLAQAGADFVWANDASEALRPVIVANLSRFERGSLPAEAGRRRWVVSHNDATRLLAERYLRREYFDVIDVDSFGGDAAYVRAALLALRIGGLLYLTSTDWRSARGYGSRSSLSSYGAYICPMPYPNEVGLRMVIGGAAREAALLGFHITPVFSYFAYHGPIYRVMIQLCNGKDDGISNYGFICHCKSCGQSRTFGFDELGQISCGCTDRTVGPLHDTSFLNEMLSLANEWGWAYTSENGVTLEKLLSTMIEESDPRLPPGYIRLDEISRRAKVNSPPLGTLINSLRKEGFSACRSHIGTDVIKTNCPIASCINVAREIRNLR >Dexi3B01G0021060.1:cds pep primary_assembly:Fonio_CM05836:3B:15975989:15978949:-1 gene:Dexi3B01G0021060 transcript:Dexi3B01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLHAQRIETTVAVAVAVVAVAAGTAYLFLRSRKSSRGCLDPENFREFKLVEKRQLSHNVANFKFALPTPTSALGLPIGQHISCRGQDAAGEEVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQPGQVRAFGMIAGGSGITPMFQVTRAVLENPEDNTKVHLIYANVTYDDILLKEELDSMAKNFPDRFKIYYVLNQPPEIWDGGVGFVSKEMIQTHCPAPAADIQVLRCGPPPMNKAMAAHLDGLGYTKEMQFQF >Dexi1B01G0020900.1:cds pep primary_assembly:Fonio_CM05836:1B:26884301:26884846:-1 gene:Dexi1B01G0020900 transcript:Dexi1B01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWRSRRRARSRPSLRSSSRPRARSPAPGTSRRRGSASWGSSETEPASPREGASAPAWERTPEAGSPAEEAWPEAAPWAETAAGWPELAAGSLEETAVGWLERAGETPVATEEEWWAPEEEWSGPEVGSSAPEEEWWAPEVGWLWEQEEGWLWEPEVLGAPAVGCRREALVVGSSTAAR >DexiUA01G0003440.1:cds pep primary_assembly:Fonio_CM05836:UA:7116513:7116936:-1 gene:DexiUA01G0003440 transcript:DexiUA01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASFAAVAAAAAPSRRGSSFAVARAARVERCEQEPARLVAAAEAQPAEGRRAVMLAAAAAAVAAIGGAGAAMADPKPKNGSPEAKKKYAPICVTMPTAKLLDA >Dexi5B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:5B:19109889:19123297:1 gene:Dexi5B01G0017460 transcript:Dexi5B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMEAGLVRKYASEAGGLRLARHGPHGHSARTAHSMSASALRKKSDASLVRKVPFAPLRPVLANLQEVFLGTKLAVLFPAVPLAIAARCASFGQVWVFALSLLGLIPLAERVSFLTEQIAIYTGPTVGGLLNATCGNATELIIALFALMQGKIEVVKYSLLGSVLSNLLLVLGTSLFCGGIVNLGVDQPYDRKQADVSTGLLILGVLCQSLPLMLRYAVAAGEHSVAAATTGLELSRACSIVMLLAYVAYLFFQLKTHTQLFEPQDIDEDDEDQEEAVIGFGSGLFWLAFKTVLIAILSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVIVAWIAGIQMDLDFKLLETGSLFVSVIVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVTRQPASHANGGGAGLAVPTGNWNAQVA >DexiUA01G0011750.1:cds pep primary_assembly:Fonio_CM05836:UA:23442856:23445918:1 gene:DexiUA01G0011750 transcript:DexiUA01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPKRPLSPEVPFTQQQQDLHTCTGELLMAAPPLPAPPPPEPTGGIVAPVPVVAPHFCAPYVVQLSVKEKFSVIREGDFTITDTNGAVVIRVKGAFISIHNRRRLLDTNGNPLLCLREKMHRQHNVTSTVLGTDHYDVTVFPNVDYVFISALVVILQELHTDKND >Dexi1A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:1A:27485739:27487364:-1 gene:Dexi1A01G0020680 transcript:Dexi1A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRQVPNRQREAPVVLGSEPADFNDDEPLQKMESANIGSSRTVEIKTYPEFSAIPQSSQDDFAVLIHLKAPYANPEQVTGRSVNATSNGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGASGGTNIADALKKAAKVIEDRSYQNPVCSIILLSDGQDTYNIPSNIRGARPDYRSLVPSSILNHTFRLVPVHAFGFGVDHDSDALHSIAEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQNMQLNVECVHPGVQLRSIKSGSYLSKVAANGRNGSIDVGHLYADEERDFLLSVSLPQGREQTTLLKVACAYRDSLTSEDFKIQGDEVKILRPKSGTSELVCMEVDRERNRVRAADAIEAARAAAERGALSDAVTILDDCRRTLSESFASRSGDRLCTALDAELREMQDRMANRQCYEASGRAYLLSGLSSHSWQRATARGDSTDSATLVYSYQTPSMVQMLQRSQNHTSPQGPSQVQQPRILLAKPQPR >Dexi4B01G0021170.1:cds pep primary_assembly:Fonio_CM05836:4B:23186741:23190763:-1 gene:Dexi4B01G0021170 transcript:Dexi4B01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSAEDALAAAAAAAVASDKMRSVTLGGSIQRAVRRMTGGGGGRRKTGGSARAGSGDASASCSGVGSFLLDDQSSLLVSLPGASLDDTSIVSGKMEGRRRCSMRQYRSQLEQEVKKLQRQLQEEIDLHLALADAITYNAALVLKSSIKLPDKLSEEMVRSMKDIFLHLSASSKMSPEATFANSSSSAERLSGSTMTSLSDSSVIASVLCSPSVDLHHDDGTIDEDRNFDPYNVNGKESRRDIGSYCSVTEVSWMYIGTEQLEYACGALKKFRLLVEQLSKVDPCSMNCDERLAFWINLYNALIMHAFLAYGVPENDMKLFSLMQKACYTVGGQPVSAAEIEFVILKMKTPVHRPQLVLTK >Dexi6B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:6B:24285969:24288417:1 gene:Dexi6B01G0017080 transcript:Dexi6B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRILLLAGCLILLLPLSTDAARLPLSLSPDATDALLKLKSGINDGGALDTWVPGTSPCNNGGDGVTTWAGVVCNAEGVHGLQLEGMRLSGTLDLGALKSLSGLRTVSFMDNEFAGPFPGVKELSGLRAIFLSGNKFSGVIPADAFAGMGSLRKVVLSENNFSGPIPASLADVPRLLELQLNDNKFQGKIPDLKQKELKEVNLANNELEGEIPASLKSIKPDMFAGNKKLCGAPLGAKCEAPPPPSPAPKAPLPTTSDKAGGTSPSTPAGDAATSSTKQEPPASDPIESATASYGVLAAILGTAAIVAVALVALRIKRRDSTKNFGPTASTRPSSAAKVEPHPSPAANAVECSSSTATAGDPPSTTTAAAAVASAAGDERSSRAGGSTAAARKVDQGRLTFVRDDRGRFFELQDLLKATAEVLGAANLGVCYRATLTSGHSVVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLPLVAYYYRKEEKLLVHDYVPNRSLANLLHGEGRGLKKAVVHWSARLKIVKGVARALSYLYDELCMLTVPHGHLKSSNILLDGHYEPLLTDYALVPVMNQSHAAQLMVAFKSPERKQFGRSSKKSDVWCLGLLILEILAGRPATYEVPKAGSAEPASDLVAAVGSTPEAEWVDTVVDPDLRCEEDEDREEMVKLIRIGMACCESNVDSRWELKTAIDMIEELTAKERGNEEQSFYSSVNDEEDSNDAAAAAAIN >Dexi9A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:9A:14378104:14382783:1 gene:Dexi9A01G0019450 transcript:Dexi9A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDDDEEDEESEEYEEDEEEDLEEGGGAGSSRKKAKQHAAELKRLQEKDPEFYKYLKEHDKDLLGFDDDDDDEIEDDEETGISDDAEPVSKDEQKQVVKPITMEMVDSWCDGVENEKIGSIRSILQAFRRACHYGEDQGDNSAPKFSVMSGSVLDKVMHFVLKHMDRVLRQLLGAPSFGGKKEAISELMLSKPWKRHGNLMRIYLANALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKSAKDKKQKEISKSMKKQMEVLFIFSFPKYLFWHCTSTFIPVSSLLLDMLEMKELRGRPDGGVGNAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIHQTEKEERCSPLSKYVATLHQRAQDRTDALDETSVIVGAESSTFSRRLTEAQKEQDEQDDDEGTIAFSKNFLTEKKKPKTTKEKNKKRPREHDAAATEEDLVEDLVLSSDDEDNDNQGSDEDDAVPIEDDSDEDFVDPDSEYKKQKKAKLKKRNMRQPISNNKTKRKARPKKKAKH >Dexi8A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:8A:1399228:1399655:-1 gene:Dexi8A01G0002080 transcript:Dexi8A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVREQLYFGDIKDAIAALTDSTTSATFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPTAEVPHGTLMRVVERAGDGLRVTRMAVPLRDTEEENLLDHLEACLGFVDEGWKVGNVLEVGV >Dexi3B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:3B:4325586:4329107:-1 gene:Dexi3B01G0006240 transcript:Dexi3B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADTPSKTSKTSAPQEQQPPASSVAATPAVYPDWSSFQAYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTAPPYVMYPPGVYAHPSMPPGAHPFPPYAMTSPNGNADATGNTAAAGDTDGKPSEGKDKSPTKRSKGSLGSLNMLTGKNPTEHGKISGASANGATSQSGESGSESSSEGSEGNSQNDSHHKESGQEQDGDVRSSQNGASRSPSEGKLNQTMAIMPMPSSGPVPGPTTNLNIGMDYWANTASSTPAIHGKVTPTTVTGAVVPGEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRADVLKQENASLRDEVNRIRKEYEELLSKNNSLKEKLDGKQHKADEAGLNKPQHSGDDSQKKGN >Dexi9B01G0044290.1:cds pep primary_assembly:Fonio_CM05836:9B:44086992:44090296:-1 gene:Dexi9B01G0044290 transcript:Dexi9B01G0044290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGFMGGRLCAALADAGHDVRAFALRGVDASGLPPSVEVVYGDVAYGESLATAFHGRDVVFHAAAAVEAWLPDASVFHTVNVGGLVNVLKVAKRTPELKKIVYTSSYFAIGPTDGYVADEKQIHQGKAFCTEYEKSKFLADRIALQAAAEGVPITIVYPGVMYGPGTLTAGNVVCRVLIERFSGRLPAYIGDGYDRESFTHVDDVVSGHIAAMEKGRVGERYLLTGENASFVQIFNLAAKITNTNPPKFHIPLWSLEIYGWISVFFARITGKPPLISYPGVDCLRHQWAYSCDKAKKELGYSPRSLTKGLSETLLWLKNEKLIKF >Dexi1A01G0031390.1:cds pep primary_assembly:Fonio_CM05836:1A:36386851:36390915:-1 gene:Dexi1A01G0031390 transcript:Dexi1A01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAPHLLPCGGFGRVAQLPALPGRRRRRGQLPRVRAVATEPKPSTSSSSPRPRTRNDLSNTRFGGVSKEIQRVRKQMEQDEQLATLMRGLRGQNLRDEQFADDNVRLRLVEVESADNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISNLISDLINKKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGQPWQAIYSELSPSPIAAASLGQISVDVVGLVDEWAARFFEELDYVNEGENGTYFAEMMKEDLPQVVVPKTYHKYTSRKVLTTQWIEGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAISHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGNMAELADIGSQPSTSLVPVFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAVSREQLIQIAASFGIGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTSGTASPTVNGDVNMVSVVQELLPVLPGISSKILPDVLSRLSSRVFARLIREAFL >Dexi4B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:4B:7799906:7800148:-1 gene:Dexi4B01G0010450 transcript:Dexi4B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKFVVAVILMATIMAFLAIPSSARPLGGYDGGFGARDDVVSGKHILEVFRRLYLQQLGAAPSCQTNSPNGGCPPPSSG >DexiUA01G0018630.1:cds pep primary_assembly:Fonio_CM05836:UA:39406730:39407543:-1 gene:DexiUA01G0018630 transcript:DexiUA01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHLYVAAACAVVLLLAAPAIAGDPDMLQDVCVADRDSPIKINGFPCKANITADDFFFPGLRNPGNTNNPAGSMVTAANVDTFAGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKVVCKGDVFVFPRGLVHFQQNRGHGPAAVIAGFNSQLQGTQAIAMTLFGASPPVSSDILAKAFRIDDGEVDAIKAKFAPK >DexiUA01G0008270.1:cds pep primary_assembly:Fonio_CM05836:UA:15413509:15419474:1 gene:DexiUA01G0008270 transcript:DexiUA01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLEPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLYAQAFNGLCPYAGHHADSDEKKLERFRRGLNTKLKAQLATTPAATYGDLVNLAIAQEDANMVHKAEKKRKTPAGPSSAQPQRFRLVPPAASQGQSRAPQGGGWVARPPQPNAPCFPPPPQQQQAPRQNTQQPARPGAGYQCFKCGSNNHFIKDCPQNKQQNQRPGNQQNKGKQQQRVQVRQGRLNYTTLADRPEGAPDMTGTFPICTQPAVILFDSGATHSFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTRKVPIRLGSKTFPTDLILLGMEGIDIVLGVNWMTQHKVVLDVAERVVEINSPTRGPSVLYLPQRTCVNACAYTMVENQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKTDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLASARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYELYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFILDFSRIAKPMTELLKKGVKFEWNDKCDEAFHTLRKHLTSAPVLAQPDGTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVESYADTLCHEMAKLNLEIVPHGYFNHVAVEPTLHDQIVVAQLNDAVIKTLKRKLSKEKVKEKYKCFRLDGQGVMWFGHRLTVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIGHDSIWVIVDRLTKTAHFIPVNTIYTTKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNKILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVKEAEEKVRIIRENLRTAQSRQKSYFDQRRKPLQFEVGDHVYLKVSPTKVKLPEKLSAVHDVFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKILWSHHSEDEATWETEDYLKKNYPDILSSALARSAPGRSSAATWPASGPSSAVRAEPRRTLALPLPGHHVATVESPRLAPRNAIMAVARRRGHTRARAALRALRAAEANRYAPRHLCSVSHSLTRRSLTPNPLAAPSMATATATHALARSQGSTVPL >Dexi5B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:5B:14266375:14267304:-1 gene:Dexi5B01G0016330 transcript:Dexi5B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPALFTITILSDEKKKPAAATARQPSATSLATPPPLPVVRRRRHGVSRVLQLLINHSPAEVVERAALAAIHQAYTSLLEIAPPEGAQRVLEASAPIDPADPYSPLLHVEASLTHCHVTVPIPTTTGGDRAHPPEQQQVIKNHHPGLTRASVRVSPGKLHLARLAGGEGRRRSVTWSYVEARPDASSEALLGVVRGVRSCMNEAIARETTLLEMVRASGFGKSPKAAGIVAARAALEEMRAVLDVDAILQQRRRCQKRGRSSRETSSCRAAAEMEGVEMLIDGMRALHVDEVDDDADVLTKRMRTLRV >Dexi9A01G0035880.1:cds pep primary_assembly:Fonio_CM05836:9A:40429816:40434869:1 gene:Dexi9A01G0035880 transcript:Dexi9A01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVHRTVLVHGRLDRESTCRLPPCPRAAGVASCGWLAAAAGCFSRVSRPPLCAPRLGPFAWAAVPKPPLEHRVRTCCGASTLLRDNATRPPIRRRPAAPDSPPVLRPKPENPPPGWFCGQTTETLPSNAARRPNRSNLPPWWFYGKPPETPQLVTARLAEAPVLRPNRSNPRATTPSSSFTPPSQRQAYSTLAIAILPDLTDTIFTMYSSARDSVRLLMSPFTVSVLRPEPFACPPRPKPTHAQPSPRSIGVTFRARPSPRTVDRHLRDDATRPPIRRHPAAPDSPPVLRPKPENPPPGWFCGQTTETLPSDAARRPNRSNLPPWWFYGKPPETPQLVTARLAEAPVLRPNRSNPHATMPSSSFTPPPQRQAYSTLAIAILLDLTDAIFTMYSCSSVHHTSRPPSLLMSPFTVSVLRPEPFACPPWPKPTHAQPSPRSIGVTFRA >Dexi9B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:9B:9810900:9812009:1 gene:Dexi9B01G0014690 transcript:Dexi9B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACVEPPAGFARHHAATQAQPTRKRMRVAMGTTDDYEEEQEPCCLGEGGFGAVVRARHRATGQSVAIKRLRTTGGDQTALLRESLFLKAASARNPFVVGSRGLARDPATLGLCLVMDCGGTSLHDALRPQRNGGPPLAEATVCAAMWQLLTGAKKMHDAHIIHRDIKPENILVGDDQVLRFCDFGLAVYMAEPPPYTMAGTLWYMAPEVLLGKPDYDALVDTWSLGCVMAELVGGVALFQGCDEEDQLCAIFEVLGVPDGDKAWPWFSTTPFATKMPEADKKWLNQDYLRQLFPEKMMSKDGFEVLSGLLTVNPEKRLTAAAASSILVSD >Dexi9A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:9A:6245833:6248886:1 gene:Dexi9A01G0010290 transcript:Dexi9A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLVAGFLELKGSCDSAVRRLRNVGVSGQLEMYSGQQSDQGPSANSGREFSEANWNSVTMHQKLGYNSGPYGFGSYSMGLEERPGLYQSSSGTFSQNIQMSDEHSGGVKKRKGMDDCVMMLQNAGDQQTEDWSQPERNSVEEGNRKISPKMQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGADPGSSSLAAQFGHGIVQPEMMCDFSNPVDVLQGTIQGVSTMNQIPAMWEGLHNLPQMNFNPGVATDSSANNSGSMKIEQ >Dexi4B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:4B:10523718:10526883:-1 gene:Dexi4B01G0012620 transcript:Dexi4B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGVCGHRPTAAPAAGARQQDSAFPSEIFKEFLFLGSYDNASRSELLKTIGVSHILNTVPLCQNLYRNSFTYHCLQEDKTLQFDNAIQFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRGWRLAQSYQWVKERRPQVQLSDGAQQQLVEYETKLFGSNVSMPAPSFAPADSFPSLGFGFPKPAGDIQVPAFTQQAPASIFERVSPNNFPSNFTFGAERTNEAKLPDSNNLGVINSSGGDSMMDSS >Dexi3A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:3A:289674:291658:-1 gene:Dexi3A01G0000280 transcript:Dexi3A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGSERKGKVLTEIKRAVDQYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGIHKLSKFLQGDSGLLFTNLPRDDVERLFRDFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVCEEGKPLSPEAAQTLRLLGKQMATFRLYLVCRWSSDDFEAYKEGLAHLGAVDSS >Dexi8A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:8A:2426018:2426795:-1 gene:Dexi8A01G0003390 transcript:Dexi8A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSQEHPQAWPWGVAMYTNLHYHHYYEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERMRGFGMGDRLFISYKRRGESATAAPVRPPAVRVAAPAQSAGGEQQPWSPMCYSTSGSYPTSPANSHAYRYSENHSGTETTGVAVQHQHRLGSSVYSV >Dexi1B01G0027800.1:cds pep primary_assembly:Fonio_CM05836:1B:32453306:32455866:-1 gene:Dexi1B01G0027800 transcript:Dexi1B01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRQPCDPQTRNGDCAREYHEGIALQPPEFASLYPNDLPIRSPSPGSFRPLLLRRLELAWPWLTVMWLPAVTVIPSRQELELCLSWRKKLEGSTCGRPIIHGETSADCALSQLSDVYATMPRPLSEDPRAPPTLHALMIRRAKDSDGCKGACERDNPAIPMMISLMEIGTPRDDVMGLFSQSVSRLLHAAVAGTPPDTVACTLSYHLWVDPA >Dexi8B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:8B:1484210:1484551:1 gene:Dexi8B01G0002140 transcript:Dexi8B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDMKNERKLGEAAASEQSEILRLKREADALMKRTPEEEAEVQRLMMRKHKRESDAFMNRTTSTEEEPQPQPAAGNKRRKVIIKKTRGRPSST >Dexi6A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:6A:17662237:17663216:-1 gene:Dexi6A01G0011750 transcript:Dexi6A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITTWLEVDWQSMKSTHVS >Dexi2B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:2B:12409783:12414048:1 gene:Dexi2B01G0010910 transcript:Dexi2B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYELGWNWNDSKELAQGTLASHLLECGCQLTGGYFMHPGDAYREFSFEQLLDLSLPYAEVSYGGEVIVGKADGSGGLLSHSTCAEQLLYEVGDPANYITPDLEGGWKGWGEISYGGHQCLKRAQAAEYLVRSWMGERYPDIDDKIVSYIMGYDSLKAIGINNDSYSSKQVIDARLRMDGLFELEEHAVEFVEEFIALYTNGPAGGGGISTGQRKEIILQKILVDRENIFWRAHAKKASIPCLQNQAAGCEMVRMHILQSQKNPTNRAMGIPRVDTSIETLPSPVRASPGKKIALYHIAHSRVGDKGNDMNFSVIPHFPGDIGRLRAVITPDWVKNVVSPLLDLSSFPDERMIQRRINLLELVSVEIYNVPGISSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEVALPS >Dexi2B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:2B:5458985:5459919:1 gene:Dexi2B01G0005890 transcript:Dexi2B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFHREYANKQSKPHAPLAAAANDGALPTDVLHDVLLRLPADELCRLRLVCRSWRSLTSHPTFAKAHSSRHPLVIGLRSVAGRHGHRDDDLEVQLLDPFSGGIVKRIPLGSHRDLMSAHHCRLFISVRYLPESACVVNPAAPGCITKLPTTTSMVTEHESKTSTIYSVLGQVPSTGEYKALRIIRPRERWWRQDYDPKQTSYHIATLGGNGSDGSRDEDISWRVMKSFVNGVAYFLSYWKSPDEIAAFDLATEEWRHPLLRGPLSSQNITANEEDSLQ >Dexi5A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:5A:8455571:8456226:-1 gene:Dexi5A01G0011270 transcript:Dexi5A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNQQQGGENHPPESATAAAGGAAPHAPSFDDDTGTLLVVATLITALSYQVGTNIPGGYWQDDTGGHVAGDPIMRDKHRRRYWLFMVASWAGFGSSMLLTVALLTQVPTRSLVVRCAFLVSYSSLVLTFVTSQPRTSLAMDIAIWAGVMAALAVVTSE >Dexi9A01G0049370.1:cds pep primary_assembly:Fonio_CM05836:9A:52009874:52013480:-1 gene:Dexi9A01G0049370 transcript:Dexi9A01G0049370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLPEADWPPELRLPPPPPPPPPAEPPPAPPAGMDDSQFLGSIMGDPAPNPRQDPAPPPLGPKKRGRPPKRKDAAGPLVAAAPKPPRKKEDDEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDESFFNSRTKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCFGTILLIESKDENATKEECNRLRQSMKFGLISRLKVGHIQEKAKILQSVRVNDWFENEKERLGHLRDRASETGRRKEYPSDHLIMM >Dexi2A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:2A:11159013:11159954:-1 gene:Dexi2A01G0009980 transcript:Dexi2A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKVISSSWVSFLKDALLLPTRNAKLFVPVVLLLTISTFLLQIINLFCIQPLTIGILLHLKEIKNMDPSSPDYAKLMSEIFKEARELVIISIIIMIISLVCFYTNQIIAFFAASTTYSGDRYSLPELVSKVILKGRRLMGPLITIAMVSVLNILCLFILAVLLQLVMRHLGVLYMLVLFVFPFLVFLYLNSVFFVAIAVSVADTEHRGVAALQQAWQLMTRVSRKQGFVLVVLVHLVAMVPYPLYMVALGYSKKSMPMGLALLCVYALLLGLVELFNFAAAMVYYYQAMESKVVMEHDYVMVPTGEATTV >Dexi3A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:3A:15066540:15071256:1 gene:Dexi3A01G0019240 transcript:Dexi3A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLSYDITHLISSIYFKGYTSLTKMRKIEWNNRGMSTVHAIFITIMSVYLVFLSGLFSDRLDGPVTFRSSHLSNFTLGVSVGYFIADLAMIFWFYPSLGGMEYVFHHILSLVCAVYAMLSGEGQLYTYMVLISETTTPGINLRWFLDVAGRKNSKAYLVNGVAMFATWLVARIILFIYLFYHILMNYEQVFQVYLDTGSDLTWVPCGTSSYQCMECGSNEHSTSKRPTPMFLPSQSSTNTMDLCGSRFCVDVHSSDNRFDPCAAAGCAIPAFTSGLCARPCPPFSYTYGGGALVLGSLARDSVTLHGSIHGIAPLSPVEFPGFSFGCVGSSIREPIGIAGFGKGTLSLPSQLGFLGKGFSHCFLGFRFARNPNFTSPLVMGELALSSATDGFLFTPMLKSFAYPNFYYIGLEGISLGASKNGSTAAMAIAAPPSLSSVDPRGDGGVLVDTGTTYTHLPGPFYAAVMSSLSSAVPYERSRDMEDRTGFDLCFKVPCASAPCAEEDDELPPISLHLAGGGARVTLPKLSCYYPVTAVKDNVVVKCLLFQRMDEEEEAGGGPGAVLGSFQMRNVEVVYDLVAGRVGFRPRDCALRA >Dexi9A01G0033770.1:cds pep primary_assembly:Fonio_CM05836:9A:38615354:38615947:1 gene:Dexi9A01G0033770 transcript:Dexi9A01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLTVSPDLFPIGVILAERERKASAAAARRKAVEGAEAEGQREGKGKHWMEDDREGFSRAGCGKVVYGNPGRLGHRRKGRAKANDGAGEEGAKFPWTGMQLATEKPR >DexiUA01G0000130.1:cds pep primary_assembly:Fonio_CM05836:UA:1295701:1299475:-1 gene:DexiUA01G0000130 transcript:DexiUA01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFTPATTKGGAVMWGRTYERRRRWKAPCAPTSSGYELRSWGPAVLGREALDNQVSATRRSLASASIPPPPPGPSPPTASTAEPTNAELLDLIKSLAESITNLQTSTAALQLSVEEIKREHRSDGSTMGSRGTRLGEHHSDRPPRFQKMDFPKFDGKSDPLAFINRCESYFHQQRIVEEEKVWMASYNLEAGAQLWFMQIQRGEGTPKWRRFTELLNLCFGPPLRSNPLGELMAYTRVDSVVPYQERFPTAARWHPLGGPEGADLHRQIVATPQPRRGDPQPADAHRRHEPLAQDRASQPIRAWDVCFLDAACPSAQPEPALPAPPPAAITGPPTTTVDGRPVKRLSQTKMEERRRLDLCFNCNDKFERGHNRMTTPRPPTISLLAIAGVRTSETMQVHIRLSDTVLVALIDSGSTHNFLANEVASRTGLLLNHRAKIQVRVANNNRVPCPGAYRAARFSIGEEPFVDDFFSLTLAGYDVVLGTRWLATLGQIGWNFKLMTMTFWRFDHIVHWHGVTSNGTLAPLVCTTTDLLMVLLKDFADVFAKPTSMPPARSRDHRITLLSVRIATPSRTKMSSSASVPRCLPSTSAFSSPVLLVKKPDGSWRFCVDYRALNAITVKDAFPIPVVDELLDELFGARFFTKLDLRSSYHQVRMLAADIAKTAFRTHDGLYEFLRRFHRLFVKRSKCEFGSTSVAYLGHTISDAGVAMDPDKVQAVADWPQPHSARAARGFLGLAGYYRKFVKDYGSIATPLTVLLRKEGFSWIDDAAAAFAALKTAITTAPPFVVECDASTYGFGAVLLQGQHPVSFFSRPVVPCHRSLVAYERELIGLVLAIPHWGPYLWERKFLVGKLLGFDFSVEYKSGCTNTVADALSRRDTDAIALLAILGPPFDFIDRLRQAHATDPALVALKAEIEADQRAAPWSLIDGLVAFNGRLYIPPASSLLQEVLTAGCSGLSIACGATFTHPNLRTGVPDHIPLQVRTPPSGRPPDALMPLPVPKTVWADVGLDFIEALPRVGGKSVILTVVDRFSKYCHFIRMLIHIRRSPWLEGFEEKSSRIKNRR >Dexi1A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:1A:3721657:3722081:1 gene:Dexi1A01G0005100 transcript:Dexi1A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGENHRQLFGRRLGRETDVESERISKPAIISDFMDLKVRSMRKPTNELTTSSTFLSSLMAHSSPLLSNETTQASMTSTVAASMTSTAVSDHE >Dexi9B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:9B:15239052:15242390:-1 gene:Dexi9B01G0020490 transcript:Dexi9B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRHQRSRSASASSSATRSDTTELEFASADLDPPFGSVDALGPVELRETAYEIFFMSCRSSGAAPPITTRAAEAGEVSSPMAGGGARGGGAGAMGSSRVKKALGLRPRRLSQPMMVRTLSQTSGPASPGRARRPMTSAEIMRQQMRVTEQSDARLRRTLMRTVVGQVGRRPDTIVLPLELLRQLKPSEFADAEEYHQWQFRQVKLLEAGLILHPSLPLDRLHAPVLRFREVMRATEIRAIDTGKGSEVMRALTTAVHALSWRSGTAGAAVEACHWADGYPLNVLLYCSLLQAIFDLRECTVVLDEVDELLELIKKTWPTLGVTRAVHNVCLAWVLFQQYVITGQVEPDLAAAALAVLVDVAADARQQESSRDPLYVKVLLSALGGMQEWFEKRLLDYHEGYEKGVGGAATEGVEILLSLALAAGKIIADREGAGEGNFAGDRVDYYIRCSMKSAFTKILENGLGEAESVMIIERDNDPGSVLMQLARDTEQLATFERRNFSPVLRRWHPAPVAVAAVTLHGCFGVVLRQYLAKVTILTDELVRVLHSASRLEKALAQMTAEDAADCHDGRAKAVVGDMEPFEVESVVMGLLKAWMDDKLGLAKDCVLRARDTESWIPKSKEEPFAASAMELMKLARFTIDEFSEIPASAKEEVVHDLVDGLEAIFHDYISFVASCGTKQSYLPPLPPLTRCNQDSGFFRLWKKAALPTCQAPPEGSPRGGGGGSQHIPRPSISRGTQRLYVRLNTLHYVLTHLHALSASLSSASGFFHRARAAAQTSVPAVAEVAAHRLVFLDSRHSFYQGLYAARGGGGGGAGPTPRIRPALRQLKQNLTFLVSVLADRAQPVAVREVMRASFEAFVMVLLAGGNERSFARGDHAAVEEDFMSLKRAFCTCGEGLVPEEVVEREAATAEAVVELMARSTECLIDAFSVAACESIGAGEEDEEDGGGAGGGTPLPPTTRKWDPADPNTILRVLCHRDGEAASQFLKRTFQLARRR >Dexi4A01G0010010.1:cds pep primary_assembly:Fonio_CM05836:4A:7932153:7935292:1 gene:Dexi4A01G0010010 transcript:Dexi4A01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRRVTHTTAAAAAAATPRVSPLPLLARGISDSTDTITVETSVPFMNHIVDPPSRSVTTTPHELLTFFRDMSVMRRSEIAADSLYKSKLIRGFCHLYDGQEAVAVGMEAAITRRDAIITAYRDHCLYLARGGDLVSAFAELMGRRDGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWKASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVKKLILAHDFATAQELKDMEKEIRKQVDDAIAKAKESPMPDASELFTNVYVNDCGLESFGVDRKVLRTVLP >Dexi8B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:8B:24953454:24956542:1 gene:Dexi8B01G0014340 transcript:Dexi8B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPETVAAAADSGMTTQLANGSSSAVAGISKFVTSTVGKSTNILWHDCPIGQTERQNLLNQKGCVVWITGLSGSGKSTLACALSRELHFRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSVCRSLLPKSSFIEVFLNAPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVITCKIGDCPSPKSMADQVVSYLEANGFLLD >Dexi2B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:2B:726310:727652:1 gene:Dexi2B01G0001140 transcript:Dexi2B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGGEIAAKRPKPSDDGDGAGEDRLSALPDDVLVLILLRLDGLAAAARTSILSRRWRRVWALLPELRFDLVPDGHRIREILDAPEAPELRSISITTEGAGPDSASAWLPVAARRLIGGLVYSNMVPGNDDEEEVEEEGEAGARGEVQLPCFEKATVIVLDLGLLGLALPSAGVFARITKLYLSRVWFRGPCDLGNVVSSPRCPCLQRLKVSESRGMRNLSIHSESLLRIKLENLHLLRQLTIVAPKLLKLCMDFNFIDDDPSEPVANISAPQLDLIDFQYLIEEMTMLPQVMLLCLAVFNEARR >Dexi9B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:9B:2575781:2580157:1 gene:Dexi9B01G0004500 transcript:Dexi9B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAAATMNSARASKKRKQPVVAPPESDSEEEESVHHTASEGDEEEEEMRQELESENEDEGMNEGSEDDDDEEDEGEDEDESEEEEEVKETVKEEKKEKKKKKEKEVKETVKEEEKKEKEKKGKEEKKPKKGNGSGILSNKLFSELPISDLTANAIKEMGYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLCFSPRNGTGVIVVCPTRELAIQCLIIDEADRILEQNFEDDMRKIFKFLSQNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDNSRATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKIMVFFSSCNSVKFHSELLNFIGIECLDIHGKQKQQKRTTTFFDFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGDKGKGSALLFLLPEELKFLIYLKEARVNLTEYEFNQKNVPNLQSHLKVAASFCFKNPPKVNLGLESSASKHRKKMRRVDGGRRHGIGPSNPYGRNGRDGGGKGQMARF >Dexi3A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:3A:2995655:2996208:-1 gene:Dexi3A01G0004590 transcript:Dexi3A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVLLLTTGVMALLAVLLVAAPPAVDAAAGETTATTHIKVYWHDVVAEAAVTNTSKTFFGLVVVIDDPLTDGPELNSSRLLGRAQGTYIAAGKDEGALLMAMNFVFQAGKYNGSTVAIMGRNAVFHAVREMPVVGGTGVFRMARGYVQARTHTFDQNTGDATVEYNLFISH >Dexi4B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:4B:21165281:21166666:1 gene:Dexi4B01G0018900 transcript:Dexi4B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVASGVKIRRRLVPEVEDRLTRPRRLVRELPDLDAGRLHRLIRGGDLAPCFDAADDAGDGRAEECPICFYFYPSLNRSKCCGKGICTECFLQLMPSKTSQAVQYPIFCRFCKIKSYAIEYRGAHTTRQKKIKQEGEQQVSETKLRLRSKSQIAGEVFLP >Dexi8A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:8A:2876163:2880101:-1 gene:Dexi8A01G0003780 transcript:Dexi8A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTEIQASTDGLQTLYLMNPASYAGGYPDAGGASPPGATTNMMLLNSAVTTMTPASFGHHHQQSPSSAAAQQQQHFVGIPLQAPPSGYNLWTPATTAGDMSSSPQAQTPHGGAAGVSAVLSLSSREAAPPVTVAAVGGVAADDGFKYHLGGASAAMSPGQMVMSSKYLKAAQELLDEVVSVSKGVEDAKAATKNLTAVKKKEDSEGVSGGGTEDGGGAKSGGAAPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSSSFEAAAGAGSSRTYTSLALRTISRQFRCLRDAIAGQVRAASRALGEDADAAVVSGAGGRSVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPVRLWKPMVEEMYLEETKDQDGGAGNNKDDGKSKSGDTSNGVDGGGVTPRADAMSKQAAAEGSGVHGSSTLLELAGDHQTGNHHAGFYDGEDDGDEDVAMERRLKKARGSDDHQPPAFHHVHDMAALHAQAAAAARQQHDEVSHRELLMKFMESGGAGAGRDHHHHHDGGGYSLFTPGPYGHHQFGSEHQFAFAGNGGVSLTLGLPHGAGVGGGAAGGEQTATFLMGSSAGGGDSGSHGGGGGYDMNMQSTKSFAAQLMRDFVA >Dexi6A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:6A:22936273:22936734:-1 gene:Dexi6A01G0015450 transcript:Dexi6A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi2B01G0023890.1:cds pep primary_assembly:Fonio_CM05836:2B:33351607:33364086:-1 gene:Dexi2B01G0023890 transcript:Dexi2B01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGQAITCATILLLPTTGLVLGKRLSPGATIVSDGGSFALGFFSPAGKKLYLGIWYNDIPRLTVVWVANRETPVTNSTSSPPTLSLTNSSNLVLSDADGQVVWTSTTDTTGITSPAGVAAVLLNTGNLVIRSPNDTTLWESFEHPTDTFLPTMKLGIRYKTRTGERLVSWKGPGDPSPGTFTYAMDPVTLLQLYLWNGTRPVLRNGPWTGYMVTSWHPKNTSSVILYQAVVNTDEEIYLIYSLSDGAPHARYVLAYSGELQFESWNDSLSDWEALGEWMSLTCNRYGHCGPNGYCDNSVAAPACKCLVGFEPVSSDEWSTGTFSQGCRRKEALRCGDGFVALSGMRSPDKFLLVRNRTELECADECSRNCSCVAYAYANLSSSGSGGSRTTGDVTRCLVWGGELIDTAKIGDDVIGSETLYLRIAGLDPCASDDRLVPGKPLFPTATIVSSSGSFALGFFSLTNSSPSKLYLGIWYNDVPRLTVVWVANRQAPVANSTSSPPSLYLTNTSNLALSDASGRVVWTSNITIGADASPSPLPPATGLAAVLLDTGNLVVRSPNGTTLWQSFEHPTDTFLPGMKIWIKSKHRERVGERMVSWRGPDDPSPGSFTYGADPDTFLQTFRPLDGFHGGQPVPANFSAYIYVAVVNTDEYTYISYSLSDGAAHTRYVLTYSGEYQLQSWNSSLSAWAVLGKWPTWDCNLYGHCGPYGYCDSTMAAPTCRCLDGFEPASSEEWSSGRFSRGCRRKEAPRCDDGFLALPGMKAPDRFVLARSQTAGECAAECAKNCSCMAYAYADLSSGGTKVGETRCLVWTGDLIDTEKMGDMAGGGETLYLRSAGYDADDRLVPGKPLSSGSTIVSEGGSFALGFFSPTNSTPAKLYLGIWYNDIPQLTVVWVANRENPATNSNTSASSSPAALSLTNASNLVMSDAGGRVLWTTNVTSTAPASSNASAAVLLNTGNLVIRSPDGTTLWQSFDDPTDTFLPGMKIRIRYETRAGERLVSWKGPDDPSPGSFSFGGDPDAFLQVFVWNATRPVWRSGPWTGNFVAPQYEAVTSVIVYLTVVDTEEESYMTYSLSASAAYTRYVLTDSGGFELHTWNTSSSAWVFLWDWTSACSHYSYCGPNGYCDYSDLPVTCKCLEGFEPTSLEDWNAGRFSQGCRRKEALRCGDGFVASAGMKSPDKFVLVENRTLQECEAECAGDCSCVAYSYANLSTSRRKGDETRCLVWAGELIDTERSGEGDGSDTLYLRIAGSDAVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi3B01G0035160.1:cds pep primary_assembly:Fonio_CM05836:3B:37746692:37753458:1 gene:Dexi3B01G0035160 transcript:Dexi3B01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDDEMRRGILVAVDILADLVISEVLGIKSIARCRCVCWSWCAAISGAAFVRRHHDLSRARPPTAGAHHHRRRVGPSLLFDMAWTKGTRHVHLSHCEGLVTITTTSTDHVLICNPATQELVALPRGTHNAEVDYYARRQQIILPMASIGFDRLRNSYVVARYFYHRYGKTTNFDDEDDEATGEPLSSSWDFDIGHEVFTLGSGDGSWEVTDDPPGAIGVEAPICTRRGFYWHSGMPNPRLLRFGLKDRAFEVVARPPTAGEWSPLDGMAVMDDGKLCYLHTATEAYNMRHGRMEEVFDIRRQLQYGRPEYKLRPRDSMVVHSVVPYVETLVSPIACNY >Dexi7A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:7A:22657397:22660708:-1 gene:Dexi7A01G0012480 transcript:Dexi7A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRIAAAAVAVVAVLAALAAAARGGVVERSEFPPGFLFGAATSAYQVEGAYLEDGKGLSNWDGDVEILQSLGVNAYRFSISWARILPRGRLGGPFVTLHHFDQPHELEVRYVGWLGSGIRDSDSDLVKKKIREEFEYYADVCFKAFGDRVKFWTTFNEPNLMTKFQYMLGGYPPNHCSVPFGNCNSGNSDREPYVAAHNIIMSHAAAVRAYKENYQLHDEQRLLS >Dexi2B01G0022600.1:cds pep primary_assembly:Fonio_CM05836:2B:32218224:32220318:1 gene:Dexi2B01G0022600 transcript:Dexi2B01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVSAAAAVALRPILTGRRSPPASRVPATFRRRIGTRPRLFSSSSPSFPILRAAAMATAASDAGSKKKLLIFDTKEDLAVSLAKYTADLSEKFAAERGAFTVVLSGGSLIDALRKLTEPPYLESVDWSKWHVFWVDERVVPKNHEDSNYKLAFDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSAATGFPRFDLQLLGMGPDGHIASLFPGHPLVKEKERWVTYIKDSPKPPPERITFTFPVINSSAYIAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEITWFADKPAVSMLQNK >Dexi4B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:4B:6244184:6246445:1 gene:Dexi4B01G0008690 transcript:Dexi4B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEAVAFRPDQPRVPCCVLRGYGGVASAGEFEGTIIKKGVVVSQEGEGIVAAPPHGSTCCAWANVAAGGAATSLPSTLATSSARRPLHQPAVRRLRRRRRETIIATAARRSAVETESQRQNHIAVERNRRRQMNEYLAALRSLMPPSYARRGDQASIVEGAITFVKELEHRVHSLQAQRRRPAAGHIPERFPGFFTFPQYSTAAADNVVDDASGSSGGGGETRSTTGAVADVEAVSEGHATVKVLAPRRRRRQMLLRLLLGMQRRGLAALHLNATTTADQMMGEEWELSSAGDVAATVHGIVAGGMDTSEGREIYPAN >Dexi3B01G0030430.1:cds pep primary_assembly:Fonio_CM05836:3B:29939992:29940269:1 gene:Dexi3B01G0030430 transcript:Dexi3B01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLNVFHMCSAAAASRFSSLAYLFLVDDWWNTFANSRSTPVSPLIKDLLGPGSPRALGIVPPRRAITLP >Dexi2A01G0028950.1:cds pep primary_assembly:Fonio_CM05836:2A:40071944:40072455:1 gene:Dexi2A01G0028950 transcript:Dexi2A01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSLLSLVVLIAASLLTAAAWSDVSCGGGGGNYTANSTYEANLRRLAAVLPAEAAASHRHRYAHPPRAVGYWPNRVRADWSCYGRDEGDCAACIAGAFKSMERECPFRREASFYSGGCSLHLSQYRILESDAFGTAIY >Dexi1A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:1A:10539720:10546297:1 gene:Dexi1A01G0011510 transcript:Dexi1A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVDFGKILAPAAAVEGVDGAVVGGGGPGGGGGEVLRRCADADRRHGGDVKQHNQNVEGFPSYSHRSKRTSLEVPVQKSLGFGLKSENGKRDFVGNDTIQALHKQDSKIVAKKTIKLLDAPPCSKRPKLEPVQTGRDVEAKGHDFISQKNVPELTQCAGSEKSRLLKQKRISDAKRIDKKIIRSGVRSKYDCFSSKAGLANFDSGFSGNSVLGAHGPKSDVRDITNHIENLALSELLDGTYKYSSLGRDKGKKVLRTKDELLVSVRKAFSMLSEIDYCYGKDANLILSPKLPSASTSSSNVKEQCGDKPLAKDSSQINVCDNALFPPKDILSRLTLPHGQDLDSLLAPGSDSTAAVKPYMPSMTVPGASLPPFPWSHSQAGGYRQSVDSGKHGSSRSNSQWQWVRVGSNLTVDYEDSSVHKIDDILQEMDAAKLSMMDSCDARYNLCGTESTSGSPVQNIHSRKFGSENSSQQLQSLDHGDSLDGFLKHDSEHSLLKTPQAVSPKIQRAAEILCDMRRSSESWSAQGYSNGAIKWPKSPSEKVMKARKPSSQLGTAESSSGSRNNDATWNGSNHATKKIVDRKNDSARMNNPGKGTIRWPVPIEDGASPARSERGLMLDTRQPHGNGGRHPVQVSSQARLEKEYENQQKLRKATLTSSLGSAGDWNRERNRRM >Dexi9A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:9A:596921:598624:-1 gene:Dexi9A01G0001170 transcript:Dexi9A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATAEATARRQAVSFVLGCVVALTVVVLLQQRRPDELTRPRAPAVQFFGWRTSSSTSSGHDDDGTSSSPSTSTAAAVLPPAILATDHQAANATKQQPAADTSAATTADDVVRCIPASPAHRQQEGGKEEDADDAAEEEDDEFRGLAAAVARAATVDDRTVIITCVNQAWAAPGSLLDLFLESFRVGDGIAHLLGHVLIVAMDPTAMARCRALHHPHCYLFTMPGVDFTSAKFFLSKEYLELVWSKLKLQRRVLQLGYNFLFTDVDILWFRNPFKHVTAYADMSISSDVFFGDPDRLDNFPNTGFFHVRPNNRTIAMTKLWHEARERYPGMNEQPVFNAIKKGLVADLGLRVQYMDPAFVAGFCSYGKDLRKICTMHANCCVGLANKLRDLRSVLADWNNFTAMPHWAKHNARWTVPGACIH >Dexi2B01G0028590.1:cds pep primary_assembly:Fonio_CM05836:2B:37180769:37181611:1 gene:Dexi2B01G0028590 transcript:Dexi2B01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSILLGHRVQHIATGLPILRRAFIGEAHHHDGADTVVDAVVTDTPEPPLAGPPGGAETLAPHDDRAEAEPLDLEAEALLHVVVLDDVDLVGDARVLERPGKVVSLGGREGVVVVLHPERGGLGVVVAVLGARVGEGDVDGAPVGAVEHGGGAHVEQDDGVPGAEVVGDGPAHGADSSERSTAMAMRRWEEPEGRAAAAAEKNTPGDSGWSEAGGGGRGVGAFTGGGGATSGRSTEEAGFAGACIAGSREESSLWVFA >Dexi1B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:1B:20599918:20606051:1 gene:Dexi1B01G0014310 transcript:Dexi1B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCRMPSQVMDQRRHLSQFSTPTVAASSFSEDFRLPTERHVGFWRPESLPRHIGSKSVASSPIEKPQPIGTKTVGRVDLQPYNLRDQKTAFSLEHKIFGQERHVNLPPSLWRADQDPNRQSDTSLFPDGRRTNPNEAYNENGLFSSSLSEIFDKKMRLGSKNVLVRQPVEKLDPTHVDDEPFELTEEIEAQIIGNILPDDDDLLSGVIGEVGYTAHANNSDDIDDDIFYTGGGMELETDEGKKIAEPNGGASEGLGLLNGTLNGEHPYGEHPSRTLFVRNINSNVEDSELKLLFEHYGEISNLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDHPSEKDINQGMLVVFNVDPSVTNDDIRQIFCDYGEIKEIRDAPQKGCHKIIEFYDVRAAEAAVRALNRSDPAVKKINLETSRLGGTRRLTQHTSPEVGQEEFDVCKLGSPSTNSPPMPSLGMFLSIFFVCYDSSCISDMEDHSAREASFPGLSSTIPQSLSSPIGIASAATHNNQSSLVHNGATNGTPYNLSTMAPVGVNSNSRTAEAVDSRHLHKVGSGNLNGHSFDRAGDGALGFSRSGSGPLRGHQLMWNNSNNFPRHPNSPVLWQNPGSYVNNVPSRPPAQMHGVPRAPSHMLDSVLPVHHHHVGSAPAINPSIWDRRHGYTGELTEASSFHPGSVGSMGFPGSPQLHGVELNNIFSHTGGNRMDPAVSPAQIGAPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSGANQSDNKRQYELDVDRILRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGDENHHDVQAASTNGDTSSNGVDPSGPTKDSE >Dexi2A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:2A:30954042:30956269:-1 gene:Dexi2A01G0018770 transcript:Dexi2A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKKYIVQEEEVAMEGSSPSKAVVTPAEFREMVDEFFLLNGVMNIGDFIPWMDWMDLQGYIRRMKRTSQKLDRFLDHVLDEHNQRRQLEGGSFLARDLVDVMLQLADDPDLEVQLSRDNIKAITQVDIVIGGSDTSAMTIEWAISELIKNPKLLVKATEELDRVGQDFELLPFGSGRRMCAGISLGLKLTLLSIANLVHSFRWRLPNDMAMEELNMEEIFLLAMPRKNPLEVSIEPRLPRHLNMGA >Dexi7B01G0008270.1:cds pep primary_assembly:Fonio_CM05836:7B:16146695:16149010:1 gene:Dexi7B01G0008270 transcript:Dexi7B01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAESPSLLECYASGEDDSPDDMRVSPETSESDPAAVPYVGQRFPTHDAAYEFYSGFARRSGFSIRRHRTEGKDGVGRGLTRRYFVCHRAGSAPAKPLAGAPKPQRNRSSSRCGCQAYMRIVKGAPPEWLVTGFSNHHNHALLGQEKVRLLPAYRVISGADKDRILVFAKSGISVQQMMRIMELEKCVEPGKLPFTEKDVRNLIQSIRKVFLNFMNRKAPQTILTNQNMCLKEAIAKELPCTKHALCIWLIATRFPSWFNANLGERYNDWKNEFNRLYNMESTLAFDLGWNDMVNCYELHRNSHIANLFASRNLWALPYLRGHFSAGLTASSAVYKSINAYVQRFLSAQTHLDNFIEQVSVVVNYKDQVGEQETMQQNLQSVSLKTASPIEGHAAAVLTPYAFSKLQDELVVAAQYTSFHLKESIFLVRHHSETAGGCSVTLNQREELISCSCQMFESSGILCRHSLHVLSTLNYMQIPDLYLSVRWHRIQTPPPKPLNGAPHHVASDRVGALQSMVTALVSEAAKSNEKMDLATHGVSVLLSRIKEQPVLMHGSGGKCS >Dexi1A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:1A:7035120:7036685:1 gene:Dexi1A01G0008910 transcript:Dexi1A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLSIAALLLVTFSSACYPSCFATSNGFIQCLTEHIPSELIFTPGSINFTSILVSTSKNSNFLTNTTVKPICIVTVKDASHVQAAVVCGRWNGVRLRVRSGGHDYEGLSYRSARAEVFAVVDLANLHTITVCVATATAWVDSGATIGQLYHAVAKTSPELGFPAGECPTIGVGGHFRGGGIGMMMRQHGLAVDHIVDAKLVDANGEILDRATMGEDLFWAIRGGAGESFGIVLSWKVTLVKVPSTVTMFRVTRTVEQGAVDIVTKWQDVGPTLPWDMNMMVKVQRQQAMFQALYLGRCDAILPTITSRLPELNATRSDCEEMTWLEAMAVIGNGNTNTTALLYRNVGLNSFFKVKSDYVRRAIDKGVWQNIFNSWFSMNTSGWVMLEPHGGVMGTIPTEATPYPHRNGVLYIIQYIVGWSDDGSASAARNWINNFYGFMAPYVTTSPREAYVNFRDLDIGEKTVVVNDVSTFDSCKMWGEKYFGGNFQRLAMVKGKVDPTDYFWNEQSIPPLLFHATR >Dexi3A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:3A:13333113:13336209:1 gene:Dexi3A01G0017500 transcript:Dexi3A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLETLITSTPGRKSYSTDGPSDRLSVSEVACGHTMTITLATSGHVFTMGSSSNGQLGNPKSDG >DexiUA01G0020840.1:cds pep primary_assembly:Fonio_CM05836:UA:43125637:43128605:-1 gene:DexiUA01G0020840 transcript:DexiUA01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEKKRAASTVSAGTEKPAKSSDRRALYFAAFLVLADAALVALIIAFVPYTKIDWDAYMSQVDAFLEGERDYTKIEGDTGPLVYPAGFLYVYSGIKFLTGGQVFPAQILFGVLYIVNLSLVLLLYVKTEVAMSIKGVFFALLGAAVVQPAQTIQSQQRTYFYSLPFLLWKTHLPTPLR >Dexi6A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:6A:4762546:4763064:1 gene:Dexi6A01G0005210 transcript:Dexi6A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATPSPRPPPSAVAAAASSPMKPPPARFPQQRRATLVRSLPLPRPPKGGGLKAAPPRRRVPSSCACSPTTHPGSFRCALHRSHPSSQAQPPASSGLTSAPRRASMANPLVRVAAAEGGGGGDNIRRSAMASVARPPHHRRRAHAFRPGPSRLSVVSSAGEEPPRRPEEK >Dexi2B01G0031620.1:cds pep primary_assembly:Fonio_CM05836:2B:39699596:39700484:-1 gene:Dexi2B01G0031620 transcript:Dexi2B01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVDHHQHHGLHSPTPAAATKISIPISSGEAALLGKGRYKTWALAAIALLALWSMFAASVTLRWSSGELAAPLGDSSDPLIDELDPLEMEQREKLVRRMWDVYTRTGDHVRLPRFWQEAFEAAYEELAGDDAQATDAAISEIARMSVHRPEVEQSWNKN >Dexi2B01G0033480.1:cds pep primary_assembly:Fonio_CM05836:2B:41061074:41065579:-1 gene:Dexi2B01G0033480 transcript:Dexi2B01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPTQIPESDAEEDPHVTSGAGDTADGASSPPPPARAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPEDENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTGDAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARCAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >Dexi1A01G0018030.1:cds pep primary_assembly:Fonio_CM05836:1A:25297341:25298624:-1 gene:Dexi1A01G0018030 transcript:Dexi1A01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGHNAGGGQAHAAGASSTPADADPYVGRRLGDVRGTMSSAARSLNGCRFLVRMVAEGRAAAARQVLDEVAGEIVRLMVDSVAHKLVEKLVEHLADDQITRVLHILAASPGQIVTTLVDRIAGNPRHVELFTSALAHTGEHAVALLIQHADGSRLIIRCLDMFSAYQNRFITVVVTSSFFFHHVCRDRHGCNVLNRLIDKIAGDRQLWNSLIVAVCRDALALAEHGYGK >Dexi9A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:9A:7022094:7022462:-1 gene:Dexi9A01G0011250 transcript:Dexi9A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGDRRRTVHAAGYGDLGRALLDLQAAADQIFDAVSKRTAEEREKLSSISMRIKAAKH >Dexi5B01G0035410.1:cds pep primary_assembly:Fonio_CM05836:5B:35388299:35393779:1 gene:Dexi5B01G0035410 transcript:Dexi5B01G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGREAEVTRADFPGGFVFGVATSAHQIEGARREGGKGDNIWDVFTDNKERVLDGSNADIAVDHYHRYKEDIELMASLGFGAYRFSISWARIFPGMDEEDDPSTTLEQALNDTTRVGYFKGYLASVAQAIKDGVDIRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSRFLKGEAAENKADTD >Dexi6B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:6B:26326463:26327940:1 gene:Dexi6B01G0019640 transcript:Dexi6B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRLLGSPASIAVLILSFFQGSVCGITFTFSNRCPDTVWPGLLSGSGTPALETTGFALSPGQSRSLYAPQGWSGRFWGRSGCNFDSSGKGSCATGDCGSGEVECRGAGATPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAAPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGTPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSSDNSKHSSRRPSHEQLEDSVWLASLKASDARALTVAPCSASIVLQSVVAIAVVIALVGLEQPLFSLL >Dexi5A01G0029010.1:cds pep primary_assembly:Fonio_CM05836:5A:32181302:32183359:-1 gene:Dexi5A01G0029010 transcript:Dexi5A01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLHKFPLLSRSGVLQRMISEYQPASDGGGGGMCTLQLDDIPGGAKAFELAAKFCYDVKIELNALNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLSNWKDSIKALETCEGVLPTAEDLHLVSRCITALASKACASDAAAPLLLVRNASFAVDKDALWNGIRSGDTASSTTASGMDWWYEDVSFLSLPMFKRLIQAMEAKGMRAESIAGAIMFYAGRFLPGLKRNTSFSNALASYGADGSGGGGGMSSRNVTPRAASVSAPSEGDQRYFLEEIVALLPTKKGVASTKFLLGMLRTAMLLHASPLCRENLERRIGAQLEDASLDDLLVPNLGYHVETLYDVDCVQRILDYFMSSTDGIGTGYTSPALAEDGGVGGGSSLGLGVPLPQGATPTTSLSPIIMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAIDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSITGWFFVSNNAAGGDGARLHPGGAIVPKGGPAVVTASAQAEVDTDAEDDVPEEGKETITDVKARVSELEKECKSMKQEIRRLGKPRRSWSLLTRKCGFGAKVQQTQPAMSGKDV >Dexi5A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:5A:9404518:9409253:-1 gene:Dexi5A01G0012560 transcript:Dexi5A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPDTEQPAPTEPARWRDLDMLLSRPGNLVEASFDPSPGLRDMLGSIVEVLVVGAGGLGCELLKDLALSGFKKLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVSIVPHFCRIEDKEIDFYSQFHIIVLGLDSIEARSYINSVACSFLEYDSNDNPLPETVKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEGVVKNIIPAIASTNAIISAACALEAFKLVSGCSKSVSNYLTYNGLEGTHIKVTEFVRDKDCLVCGPGTLIELDTSSTLAEQLDLLWQFIKMLEEHPKLRISKASVTHEGNNLYMQSPEVLEQMTRPNLSVPMFELLKGVPCATVHATGMAENNGKKVSSLRKLRVAFKGVTGASNMDTTESS >Dexi9B01G0044450.1:cds pep primary_assembly:Fonio_CM05836:9B:44203792:44206685:-1 gene:Dexi9B01G0044450 transcript:Dexi9B01G0044450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQELQRARSTRQSVPACSRVAIIGGGISGLAAARQLASHDPVVFEATASVGGVWKHCVYRSTRLQTPRPDYEFSDYPWRNRDDPTFPTHAEIVDYLEGYADEFGLWKYIAFGSKVVDVKFLGGHGDADADAGFFTEKKEKMWSGTGEALQGKPMWEIGVATAGSDEVKYYKFEFVVMCAGKYGDVPRMPVFPKGKGPEVFKGQVMHSLDYCKLSEEETVELMKGKKVVVVGYKKSAIDLALECAEANQGEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPNQGILRSMLCRLMTPLRAGVSKFIESYLAWKLPLDKYGLRPSHPFVEDYASCQMAILPDGFFDMADRDMIRFKRASGGWCFYENGVVLDDGTEVEADLVFLATGFEGKDKVRAVLPESFRGLVVDKSGMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFELPSVEAMVKHVDGEAEVMRRTTRFYLRHCISTYSIHDSDGMCADLGTRVLRKGNWLAELFAPYNNQDYKEE >Dexi5A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:5A:7154872:7157153:-1 gene:Dexi5A01G0009560 transcript:Dexi5A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTLNDMTLLPGVDQIVAGLAAGSSSSGQEGKAKRKPLKSLYLKFFDTAPDGKSRICKLCRKSYCMTTATGLENVKPILSKIREFILQTNSNQEMMDDFKHWTEVYQEGSWKLPFDNSANWSSDYNMLDVVKKAPNAMDNTNKKVEEIFGPRDWVLSSAEKSMIDSLHSYLEPFFKTTTNLCNCKLPTVGLVFFFMDHVFELIDMYHDKKTASGMSKTADNFISKAYNIYTFTAAILDPRIKGELIPETLKSTSNLEDARNHFFRDHSNTFQAVGNGHSAQGTTEEAGAFSFAEEIIRKRRRVSMTTAVDELS >Dexi2B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:2B:6940268:6941340:1 gene:Dexi2B01G0006990 transcript:Dexi2B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCPPPLLGVGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAVVLDVAWFILFSHAIWYVFCNRLLPL >Dexi4B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:4B:3450749:3451403:-1 gene:Dexi4B01G0004800 transcript:Dexi4B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPKIIACGNSVAAITMAIRFLLGPAVMAATSAAVGLRGTLLCVAIVQAALPQGIVPFVFAKEYNLHAAILCTGWDDDARPRTINSARPESAQGMSRVCLLTEAVDSAFI >Dexi4B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:4B:15070416:15073013:-1 gene:Dexi4B01G0014290 transcript:Dexi4B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLPVTSPPSPPHPLHPPTAATVRSLTAAGDHAAALRALSSLSAAAAPLDRFALPPAAKSAAALRSLPAVRSIHGAALRRDLLDGPTPAVANALLTAYARCGDLPAALALFAAMPGRDAVTFNSLISALCLFRHWLPALGALRDMVLEGHPLTSFTLVSVLLACSHLADDPRFGREAHAFALKHGLLDGDERFAFNALLSMYARLGLVDDARRLFGTVGADDALGGGVVTWNTMVSVLVQSGRCGEAVEVLYDMVARGVRPDGVTFASALPACSQLEMLSVGREMHAYVLKDADLAANSFVASALVDMYASNERVDVARRVFDMVPVGDRQLGLWNAMVCGYAQAGMDEDALEIFARMEAEASVIPSETTMAGVLPACARSEAFAGKEAVHGYVLKRGMADNRFVQNALMDMYARLGDMDAARRIFAAIEPHDAVSWNTLITGCVVQGQISDAFQLVREMQQQGRCTDAAMEDAIAGAADEEPVKPNNITLMTLLPGCGMLAAAERGKEIHGYAVRHALDSDVAVGSALVDMYAKGGCLALSRARMRGQGYKPDTSCVLHDIEEGEKAAILRYHSEKLAIAFGLLRTPPGATIRVAKNLRVCNDCHEAAKFISRMVGREIVLRDVRRFHHFVDGACSCGDYW >Dexi5B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:5B:2716364:2718001:-1 gene:Dexi5B01G0004020 transcript:Dexi5B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFWSFIQSYPEVFLAILCFVGLSIFRLVRQSQKSSLPVDWPIVRMLPFTVVNRHCIHDKVVDLLREAGCTFMFFGPWLLDMNLLLTCDPATVNHCLNTHFERYPKGREFAEMFDILGDGLLVADSESWEYQRRVATSVFGARAFRSFAMSTIARKVGNALLPYLDHMAKHGLEAELEGIFMRLSLDVSYSMVFSADLDCLSMSSPMLVFGRATKEAEEAMLFRHIVPSRLWKLLRWLNVGTEKKLADAKVVINKFIYEEIAKRKAQGSNGSQADILSMYMKATLDPSMSEQQKTQFLRDTAAGFILAGKDLIAVTLTWFFYMMCKHPNVETRILEELKGLKSSTWPGGFSVFECDELRSAIYLQAALLETLRLYPATPFEEKEAHVDDILPNGTKVTKGTRVIFSLYAMGRIKGIWGKDCLEFRPERWVSKSGRLRYEPTYKFLSFNSGPRSCIGKDLALSNMKVTAASIIHNFKVELANHHALMPQSSVILHTQNGLNVRLKRRVAA >Dexi6A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:6A:25795857:25798205:1 gene:Dexi6A01G0018000 transcript:Dexi6A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAIDRRGEYLLVCRFAVRLMTTTTASRDASGVGCGCPPRVRASRSPVVDKCGSPPASAYLLPTRRRSRAPMDLPPLSHQALFAACRSADEPLSARHWPTPKPRAQPWPRSRGLARTPISLRGGTDHELPPTYQIRGPRMHFSGNGRSLAGTFSQPRLHNKLDSDIHQIEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDEEHRVLLNNVNEEEAVHRIRYVQSRQGGGMQSSLHSNSVVAHNLGPLKRQKISHSVYSRPVGSQSLMMPLHAVGANKADTVRLVYFFFLLFAIKNFRICLLSSVGRRSGRVHENGYYASPNCISLFDFNHIDVPNTGSLVKKVERVLSHPDVYAIQKARKLLIDQEQSLLDAIAKLDEASDGESGKLPWVVRTYQSAIR >Dexi3B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:3B:712502:713052:-1 gene:Dexi3B01G0000850 transcript:Dexi3B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYATPFGLTSKYAHPAEILFLGFATVAGPALTGPHLFTLWVWIALKVLETVEAQNGYHFPWSPSNFLPLYGGLFGTDKDYRKAKAVGENEGKNL >Dexi8B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:8B:952680:954355:1 gene:Dexi8B01G0001440 transcript:Dexi8B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding FALSFLYLKKYEPATWSDFWDGTRQECLRWAHLLSIFIAQSARKIGRKIEIVETTDALKELWRLAYPNRQLPPLKSDLWKEMGWQNSDPSTDFRAGGFMSLENLIYFARNYPVCFCSSWTLTL >Dexi2B01G0026540.1:cds pep primary_assembly:Fonio_CM05836:2B:35650983:35651253:-1 gene:Dexi2B01G0026540 transcript:Dexi2B01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGQARAAGTVAGEVRTAPAAAEGMRAKGTAAGEAEAKVRRCDGKRSGYHLRRGTYDESFAGGQAVDVRRCCTQFCLS >Dexi3B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:3B:9338067:9342716:-1 gene:Dexi3B01G0013130 transcript:Dexi3B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQIQGLAASAAAASSPPASGGLADLMSPDPQEEAESHAHRPHHGGANGTGNGADEVLPSYDFQPIRPSVSATASAAPVSSAPAAGSWGSLDSKAAPHNPLKSSGVLEPHHVLKKVSHEEERSNFSAVTIVDIDRTMKKYADNLLHALEGVSSRLSQLEDRTYHLENSVGELKLTIGNNNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQIQLAKLQVPKAEDVQSENADAVQTDSRQQSTPPQQIIQSQNQAPPPSQPPAPLPALPAPTAPPPPPIQNQPPPHFPGHVPHPQVPSVPPSLPAPSVPSLPQESYYPPSAQPTEVTHQQYQAPPAPQPQAAQPPPPQHYQTPPQFAQYSQPPPPASVNPSAALPPPMPQQPEEPAPYAPPAQGYAPNVRPPPPYMPPPSGPAAPFYGPNPGMYEAPAVRPNSGPPPPYNAGYKPPSAGGFSDSYGYSGSPSHRGNAGMKPPSPFAPPGGSSGYGRLPTAQVLPQATPVNSTPSGSSSGTRVPIDDVIDKVATMGFSKEQVRATVRKLTENGQNVDLNVVLDKLMNDADAQPQRGWYGR >DexiUA01G0027450.1:cds pep primary_assembly:Fonio_CM05836:UA:58736033:58737247:-1 gene:DexiUA01G0027450 transcript:DexiUA01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTSLETLDLSHTDTEELPGSIVGLRNLQYLSVNNTSIRALPSEFCSLSNLLTLEAKHCRFLTELPEDTKNLLKLRHLDATKELDYVHLPHGLESVMTGSKAQGANLKDKHQLKDLTLQWNHGGVDTDDEDEDEDAENSSEQVLENLQPHTNIQELAIRGYEGSVFPAWMQSYSSLPNLVSLTLDSCCNGTQFPGVAQLPSLKFLSVRKMYDVTMLSSNIHGSIMFPSLELLNLWEMYGLEELFEASEGDCPRLRKVCISRCPDLKRLPCTPVRELVLHCGHQLPDIPELASLVSLKIEGFHGVKSFTLPAAAALPVLRKLEIRSCKELSSVDGLSALTSVQRLKIAACPKLVLPRTDRLTT >Dexi4B01G0005590.1:cds pep primary_assembly:Fonio_CM05836:4B:3981665:3984988:-1 gene:Dexi4B01G0005590 transcript:Dexi4B01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSYGKATPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRVTEQDLRDQFYAHGELESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDESGRQGQVSHGGLLPRAVISQQQGGDQPQPPGMEGQQQPPASQYFNIPAPSAAERTLYPSMDPQRMGAIVKSQDSEGKPGPQQAGHAQPSSSSAQGGYHAPPPYYHGQYPPYYPPPYGGYMPPPRMPYPPLYPPYEPMLAPPAQAPSAWPATAASSSQQAGQQPPNGPPSQQQQQPAHGPSSQQQQPAQN >Dexi2A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:2A:15181159:15181377:-1 gene:Dexi2A01G0012890 transcript:Dexi2A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKVRKPFPPLRFPQNPSPFRLHSARAPLADLASRPHGDVDLNAVS >Dexi9A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:9A:2372561:2373096:-1 gene:Dexi9A01G0004430 transcript:Dexi9A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKAETASTPTPTTTRSASHKSEKAKGKRRIPPPRRTKQSPRAPPTMSSMMSALSNWLVNPRRNPLARLHMHAVSSRLRKYGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRAMDLSMKHQYLPEDLQ >Dexi5B01G0023560.1:cds pep primary_assembly:Fonio_CM05836:5B:25699206:25702779:-1 gene:Dexi5B01G0023560 transcript:Dexi5B01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAAELTSLCIRDGAGLPPLPGRGGNAAEREEEGDKSKKASKEKSGLQRIAGWGLREYSKIVSKKVETKGRTTYNEVADEICGELKLKLNGLEFDEKNIRRRVYDAFNVLIALRVIAKDKKEIKWMGLSNFRYEKIKKLEEAHKELVIRIKNKKKLLQEIEKQFDDLQNIKFRNQVLQRPAESANGICLPFLLVKASRKARVEIEISEDSKFAGFDFNCTPFTLHDDVSILDEIRRNSIRRAG >Dexi1B01G0028780.1:cds pep primary_assembly:Fonio_CM05836:1B:33234089:33235004:-1 gene:Dexi1B01G0028780 transcript:Dexi1B01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRRQPRLHSAVNDVLSTLADASAALADVQHRLDLEFCASYPDHANPAKLVARAKRVQEEVVALKELCRDLFTQKQELIDQIHVSLVAQRSMTQRLLAASGLPPLSDADEAAHNSLNEVIDEWTAHPRV >Dexi2B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:2B:22236499:22237196:-1 gene:Dexi2B01G0013540 transcript:Dexi2B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLRKLRSVGKRPRRNSVLKHSTLKVYRHFLGQAVLGGKLSSLVPVKGKAGRGAGIVVGTIMMKRKVGTEIEVIMEGTGAGAEAGAEIVTGKEEETGVETMTTRGAGTVTVIAAIGEED >Dexi4A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:4A:25092305:25097043:-1 gene:Dexi4A01G0021680 transcript:Dexi4A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQARGAAGGALGRRAFASLLAAAIIALALLCLFHGAAFGPSIRRRHAHHAGGVPIRRLGLRALTTETEAVPADLVLSSIPVRSAAVPPVCDARHSEVIPCLDRALHYRLRLRLNLSLMEHYERHCPPAPLRLNCLIPPPDGYQVPIRWPRSRDEVWKANIPHLHLAAEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNVRNVLDVGCGVASFGAYLLSHDILAMSLAPNDVHENQIQFALERGIPATLGVLGTRRLPYPSRSFEMAHCSRCRIDWLQRNGILLLEVDRVLRPGGYFVYSSPEAYARDSFNRKIWRKMSDLTRRMCWQVASKKNQTVMWAKPLTNGCYMRREPGTLPPMCEHDDDADAAWNVPMKACLTPYSKRVNKVKGSELLPWPQRLTAPPPRLEELGISSNIFSEDNEVWHSRVAQYWKHMQSEIQKDSFRNVMDMSANLGGFAASLRKRDVWVMNVVPSAESGKLKVIYDRGLMGTIHNWFRRGGCLLFPFRICASLLSGVVGVETRDSGRRRRQGGRANSHGRLAATADGNGRGALCGGFPRPGSFLRTRTGRGTACVPPCVRCESFSTYPRTYDLLHAWLLFSEIEKQGCSLEDLLIEMDRILRPHGYAIIRDKVDVINYIKKLLPALRWDDWTLEVKPKIDALSSADERVLILKKKLWNQTLQDL >Dexi9B01G0025260.1:cds pep primary_assembly:Fonio_CM05836:9B:25431508:25433736:-1 gene:Dexi9B01G0025260 transcript:Dexi9B01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPARGFLLGVCAALMVIAIANAASGSAESVIVGVAKCADCTRKNMKAEAAFKGLQVSIKCKNSKGDYESKAVRELDGSGAFSVPLTAELHGADCLAQLHNAAGMPCPGQEPSRTVPQSENHFIVVPGKTSKPSAECASVTICGPIKKHFLDHFHKKPVPPKPKPEPKPQPEYHTPTPTYGSPTPTYGSPTPIYHPPARKLFDKKHVFDHFHKDHDHHHFLDHFHKKPVPPKPKPEHKPQPEYHAPTPTYKSPTPTYGSPTPIYHPPARHLFDKLLYHFHKGHDVHHHFFDHFHKKPVAPKPEPKPQPEYHPPTPTYGSPTPIYHPPAKQMFDKKHWLDHFHKEHKHHHFFDHFHKKPI >Dexi5B01G0025750.1:cds pep primary_assembly:Fonio_CM05836:5B:27705974:27706362:-1 gene:Dexi5B01G0025750 transcript:Dexi5B01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPKHSLVELEHRVDEGVVGALDLVVVHLDLVVLELAPAPAGPDLPFPDQVLVRAADGGLGVVGGLARRPDEAAVGRRGAVDLHRARAELLLRVDEAAVDGEHPPVLAALATHRAGLPWLLLGIV >Dexi1A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:1A:27185613:27186312:-1 gene:Dexi1A01G0020340 transcript:Dexi1A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAAKKSGEQMTVVRGLDVARYMGRWYEIASFPTFFQPRDGRNTRATYRLLEDGATVHVLNETWSKGKRDYIEGTAYKADPNSDEAKLKVKFYLPPFLPIIPVVGDYWVLYVDDDYQYALVGEPRRKSLWILCRETSIDEEVYNQLVERAKEEGYDVSKLHRTPQDDPPPESDAAPTDTKGVWWFKSLFGK >Dexi8B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:8B:25809638:25810344:-1 gene:Dexi8B01G0015070 transcript:Dexi8B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHRRWAEKGLTAITVSVCDVGVRGDRERLMAVVKDTLDDKLDILVNNAGMLIFKPATEYTAEEYAQVMATNLESCFHLCQLAHPLLAAGDGGGGSVVHISSIASVIGYPWEALYSTTKGGLNQLTRSLAAEWAHDRIRVNCVAPGVIKTDMVPVTNLELKNYD >Dexi6B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:6B:16444791:16445819:1 gene:Dexi6B01G0010200 transcript:Dexi6B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAVAALLLAVAAVSAAATSPMRFANCTTTCGNVSVPYPFGFGPPGCYWPGLNLTCDTSGSPQQPPRLLLGDGTLRVAEISLRNATVRVVRFGSIIDSASIVTSDRNVSFGSGFVDHGYMLSNGNELVLSGCNLVATLVEDTGIGPMRSGIISGCASFCSFHNKKVDSVGQAAPAKFCSGMGCCQAPINYHSSPTGVHLRWLDAGNHSEALTFLPAYVFVAEEGWFDRRPLADELLSVNRSPSKAVLEVPLVLLWGVKQGLPPLPSLPANATAGCSGDAERMLCKSERSVCAARNLGYTCQCQDGQYSSYTLLQSYLQITYGVSTF >Dexi6A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:6A:13071222:13077845:1 gene:Dexi6A01G0010620 transcript:Dexi6A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNSTGRGKGASPPAMTPVDEVDISAVRYKSPTLQAPHLTGFSLRAFLWLMESSLLGPLITSVLKSQNNMPQMLQQTLIPERPMYYPEYPPQDPEPGVVLVEEDRHPVERVHEALQYLPQYDPSLRWTTEEKPPFLYWKIRDFAHAYRSGITTPSIVAEHVIAGVQEWNNKKPPMPMLIYFDADDLRKQAEDSTKRLEQGNPISILDGVFVAIKDDIDCFPYPTKGATTFFDQVHTVKKDAVCVARLRKCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPASLVSSGLCSVAIGTDGGGSVRIPSALCGIVGFKTTYGRTDMTGVLCDSGTVEVASPLVSSVEDAMLVYSAIAGSRPMDKLTLRPSPLCVPNLLSPDNSNILGSVKIGKYTEWFHDVSDREISSTCEDALNLLCSAFGCQIEEIILPELEEMRTAHVVSIGSESFTDLNPHYKAGRRIMHYHMEAFKKVDVIATPTTGITAPKIPPSALKSGESDYVVSAYLMRFIIAANLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRNRPSTFYDILKA >Dexi8B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:8B:3818771:3819277:-1 gene:Dexi8B01G0004330 transcript:Dexi8B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAAAASMRGTEAAGAKPAARRLGRVLLGPRRRRAALLLLALAYAAAMLMLAVGGGEGLGAAGGVVEAALRRRAPPPQPQPPGSVYRSHLVFERLLPEMRAFASLPNAS >Dexi2B01G0029620.1:cds pep primary_assembly:Fonio_CM05836:2B:37984990:37986701:-1 gene:Dexi2B01G0029620 transcript:Dexi2B01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRFSYSGTKYHDGATEKCEQGISDGAMVVRSTGPHLTAAASNKITELRPRAAIAASQAIVVSWYITLTCPLPVLFLYTRG >Dexi7B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:7B:13177140:13180879:1 gene:Dexi7B01G0005670 transcript:Dexi7B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAPCRFLSPLATAASRALLLSSSRTPPPTRRRLLLATTIAAAMATSSQSGASASSSFKVVDAHLHVWASPQQEGYPYFPGQEATLRGDADFLLECMDEAGVHGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEYLIVQEKYHAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGILVMKGISLYIQEIEELCRDYPETTVILDHMAFCKPPTNDEEEKAFSSFLNFSRFPQVYVKYSGLFRISREAYPYEDTAQLLSRVISNYGANRIMWGSDFPYVIPECGYKGAKEAVSHVASKISVSPSDLEWILGKTMSQLFQGAWAAS >DexiUA01G0003030.1:cds pep primary_assembly:Fonio_CM05836:UA:6363823:6367538:-1 gene:DexiUA01G0003030 transcript:DexiUA01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEGPGADMEALARRLRLHRSAPSPYESSDAVAPAPGAGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEQLALFVASFASHWVSVGASDGNLRMYTMGWSSTGFSSDNSELGAGSPDIKAFKPILNAAEVDEIFDVPLEMFLKDENRTSDELEWMGQAFTIHHFNYEKGNEKYIIWGLTAAILIHASSVVYERPPDFAEKRAKFNLPKYSKECRSMPGSEPGH >Dexi7A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:7A:23391128:23391614:-1 gene:Dexi7A01G0013270 transcript:Dexi7A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGKPLGDSVLAGHAAAGAAAISASTVSVHPLDTLGSAGQKQKMGLRQVVDRLMAASGPAVV >Dexi4B01G0000090.1:cds pep primary_assembly:Fonio_CM05836:4B:74678:84177:1 gene:Dexi4B01G0000090 transcript:Dexi4B01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSRGGGGAAAGSGTPPPSAAASSSTATRTLASARETRSATAAAATVQTPNLRRSTRETKGKNKFREVTATPSSHRSTARPTRDATPISASSINKPKESTKKSTRVRSASVSSSPPSSIQDSNGTSTLYTTPASAKRKVEHNVTTLSKKQKKCMNTKSYIALFSSQEESPQSPVLDTCVREDEENASKDKSEDDGAVSVDEESNAQEQVNCEPSSLGADKVLEGQSSDLHEMPEVILEGDELKIGPHQSDMDSESCMAVEMCSLNKATENIPILEIGDQATGDSNQSSLPELQDRDCSTAHHEEGSNAIKDGDSIGIQEACASSYIEANQCDETLYNDNMCVDCKRREISDVLNNRSCDGKGCQRHYHLSCMDPPLDVSLGIWLCVICTKKRLQYGIYSVSEGIESLWDVKEGANNSKQYFVKYKNLAHVHNRWVSESYIVESTLQGRDLISQFSKRIHKEKTIGWKQEWAELHRLLKKRPLMPSKEAETFFNSLDDKIAYCNVEWLVKWKGLGYEHATWELETSSFLFTPEAEELKRSYENRLEAARRVPDPAKADKERVIKTILFVMSILPHICRPLLIVSTSASISLWETKFSRLAASINVVVYNGEKDVRKSIRDLEFCEDGSVMFQVLLSHPDAILEDVEDIGGISWEAVIVDDCQSSRVSKCLQQLKGLPTNFRMVLLSSSLKENIPEYINLLSFLNPEENGTLSVSNGVYFDTAGTLAVLKAKLARFVAFERKADSSKLLEYWVPALLSPVQLEMYCYTLLSNSPALRSHSKTDSVGALRNILISLRKCCDHPYLVDEMLQSSLTRGHPVTDILDIGVRSCGKLLLLDKMLQKIRTEGLRVLILSQENVKSLCEELLEYILKNHQISQEPKGILHAFNIALVREKNP >Dexi8B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:8B:8171753:8173535:1 gene:Dexi8B01G0007000 transcript:Dexi8B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHLPLLRFRPPRRHLRLCELAKMVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNSKITFPLVSRKIRPPTRKLKTTFKASRPNLFM >Dexi3B01G0030990.1:cds pep primary_assembly:Fonio_CM05836:3B:31318392:31320019:-1 gene:Dexi3B01G0030990 transcript:Dexi3B01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFFLLCMLSSLILITLFFYVVQLFKDTRSHLPPGPWPLPIIGNMLHINRNLPHRSLARLAERYGPLMSIRLGTSLFVVASSPSTARDILHRHNASLSGRSPADAWSAGGHGANSVFVLAPRRKWRLLRRLGAVHLFSPGRLEEMRPVRCHVARGLVRDVSEAASGGAAVSVRRPTFAAMVRLLWRAMFSDELGEDATQVLHDCVQEAKAVVMTPNISDVFPAVAGFDIQGVRRRMATLVGRMYRLMDQQIDLRSRARESGGGGHARGTDLLDVMLDMSEQHDGGGDVTVNRDVMRAFCTDLLLGAADTSSNTVEWALAELLQNPQTMRKLQEELRTVLGSKPEVEDSDIQQLPYLGAVIKETLRLHTILPILSYKAEATVQVQGYTIPEGSNVLVNVWAIHHSADVWTEPHRFMPERFLIHKEIEFFGRSFEFLPFGSGRHICLGLPLANRMLHVMLGSLVHQFEWSLPDVARRCGVDMTEKYYGLVLSMANPIGAIVKKS >Dexi5B01G0039840.1:cds pep primary_assembly:Fonio_CM05836:5B:38497039:38497136:-1 gene:Dexi5B01G0039840 transcript:Dexi5B01G0039840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPGGAEADHGHMLVAAAAAGRKP >Dexi5A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:5A:14824122:14827586:-1 gene:Dexi5A01G0016260 transcript:Dexi5A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDASLSSKFQIYSSGNPTNIANPIIDVSIKIDIKALGGRLTLFKTTACEKIPWKYLKAYNDVDPLGYLGTYNVDDIQLICCQPDASTMWLIPPPVQSRFIQSLEREIPFEKMELILNWDFLRARPKGKELVRYESPIEHCPSVDDVKRVLNGTTNSFNIIDAYPKYFRVTGSGEVRRLEAAIDSVSGALLLNSGTPPWWSFYTNPSDLVGCQGLNGPMAIVVSEETPPVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >Dexi4B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:4B:22403593:22404045:-1 gene:Dexi4B01G0020170 transcript:Dexi4B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSSAGKDGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi7B01G0023760.1:cds pep primary_assembly:Fonio_CM05836:7B:28300631:28307746:-1 gene:Dexi7B01G0023760 transcript:Dexi7B01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKSLSGHTSAVESVGFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKNCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHEFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSKLADLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSESKTVSSGTMPLQNDSGSRANIGRSSVLQNSENNVKASLGRLSVSQNSDSAPKETKSTPSSGLVPSTPQRTGAGSNNRSFGNSAFASGGTTLKRSSLRSQNGSSASNFSKTDVVPVIVPRTSSGGELAMDSRSDAADVAPVLSKASRRVDPAIDSRKESTDVEPVIPRASSRMESSSDSAPVIAKSGRRLESSVDSKKESADVAPVVVPRANTKMEMASDSAPVFQKASRKVDLGTDSKKEITDAASVIAPRTSSRMEMASDSRREPSAGRVSPFRIQSRYAELRKLTHAKADANKVASGSKNSETDDLNCQIFLPRRNGFVQTMSSEETREDIKHGAVDRMAFSNPAELNASVRSENYSNETFCLSQRGSNQASETPTIASDEDVLSLLMDQHELFLSSTRSRLTKLQIIHQMWARNDIRGVLSAMEKMCDHAVSADMASVLMEKSETITLDLCTSLLPVVTDLLESKTDRHLGVSVELLVKLVRTFGPMIHSTVSAGPSSVGVDLEAEQRRERCNLCFIELEKVKNKLPFLTRYWAI >Dexi9B01G0027540.1:cds pep primary_assembly:Fonio_CM05836:9B:30026819:30031743:-1 gene:Dexi9B01G0027540 transcript:Dexi9B01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHQCLRTQRDAARVRGGRRPRTLASMLSGSRSSVLRSIVLQNKVGSGDAPYRDKYKTWTDGTTEFMLQWSESDSDDSLAAEHVENDSDTITHTSPSVEGSIAQSNGPAVVGFGSSMKRKNMKSPMKKHRKDKSKRAKALENDKIATSIVMLENSIASSGPTPKDPYANLWKRIEDIPFPPQDKVDIASFLSKPDQVYLRNYLNAASDQSFASWVTSYLGAKYAGGGGFTDE >Dexi3B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:3B:9567120:9571563:-1 gene:Dexi3B01G0013420 transcript:Dexi3B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLGKISRKSPKSGSGDGGSPPQKYPTSRGANGAEAELRASSPSQASAVAAAAGAETREDVFLRKLNVCCVVFDFAVERGRDPPEVERKRQVLVSLVDCVSSAEEPLTEAMISACVRMFAINLFRVFPPKLRSGGATDEEEPFFDPSWYHLQAVYELLLRFVMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCIIQFIEKEPKLTGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMADFQKYLNSELHIANKMYNPPSAQDMSYYDHVQKRHEEKGCLYA >Dexi3B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:3B:7701936:7702654:1 gene:Dexi3B01G0010940 transcript:Dexi3B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAKKTVTAEYVRSALGVRRQRRPAGSVFVVSDTRHMGLQSPVRRLRVGRAGVRRPLIAASNGHEEDAAALPIVILAVVAVPDGNKKDAPEPRDRTPARPTQLKSTRCNPRWRLSDKTKRFSAAANGRPRRASRSTVDLTYRTIPTTSPSELARNAPAVVTADEGTAVFP >DexiUA01G0027420.1:cds pep primary_assembly:Fonio_CM05836:UA:58710781:58711171:1 gene:DexiUA01G0027420 transcript:DexiUA01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKFSCIALAGVATEYLLYGVAEGGLADINKLDGLLKGLGFTQKKADSQVRWAVLNTVLMLRRHEKARSQLADAMSFGKSVGTCIEVIEGNINPDDI >Dexi8B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:8B:22798646:22799894:1 gene:Dexi8B01G0012990 transcript:Dexi8B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHNTEFNSSSLHEVALRFRLLRQYGFWVSADEFNKFKNEDGSFFSDIAKDPKDLLSLYHAANLLTHNEQPLEEALLFAKHRLEVIRCNLESPLAEQVERALKIPHPRNIKREEAIHYILEYPQNETYNATIQELAKLEFNRLQRVHQKELKAMSLWDESDVSLLPEYLKKFFLRVIENFRDFDELLEPHEKWRNAYIRSVVCLYHINK >Dexi5B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:5B:8014315:8014858:-1 gene:Dexi5B01G0011290 transcript:Dexi5B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASSIWTSRSGGEEAAMARSAKMMAAAAMLVLAMAAATAEARNIKTAEKKDDAVVQPQTFPPFDRLGSIPGSSIPSSGGGSSIPGFSMPGSGGLTPGFSLPGSGVIGSMPLFGGSPFSGFGGMPGSPAAGSVPEHAHKP >Dexi9B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:9B:1466228:1470471:-1 gene:Dexi9B01G0002550 transcript:Dexi9B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPMLENGAADDDGSGVPVFAEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSGRLANLIGCCCESGERLLVAEFMPHETLAKHLFHWETNPLSWAMRMRAALYVAQALEYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVSPESVVYSFGTVLLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHTPSHTLLGIQHDKRSSDQVQLSAIGKAFAKADLNEVHEILQDDGYNEDDMANAELSLQSWTGELSESLVVKRHADNAFKSKDFGTAIECYSRFLDSGAAVAPTMLARRCFAYMVAGKLQEGLEDAKRAEDLAPGWPMGHYLQSLALHSLGREAEGQEALKKGTVLEAERDSRARTV >Dexi3A01G0030810.1:cds pep primary_assembly:Fonio_CM05836:3A:35131326:35131899:-1 gene:Dexi3A01G0030810 transcript:Dexi3A01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAMLKQEQTFRQQVNELHRVYQVQRQLMMQMQVAETKNYGSITAEAQTESTVKLGPQQWCGSSVEKAATLAEDFNLDLTLATGAGKRKQEKPSNSDSEATISSSTSAESESGRRFMPESNVTTLRFQNESKSHDDKVMQSPWLYQCLSLKMA >Dexi1B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:1B:24737908:24738238:-1 gene:Dexi1B01G0018550 transcript:Dexi1B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGPGKGVGNARGLQAGITTEETTAAAVTGIMTAEEAMIAIMTGKERENLGDLEPPQVTFAFPKAKF >Dexi3A01G0036740.1:cds pep primary_assembly:Fonio_CM05836:3A:42279574:42287757:1 gene:Dexi3A01G0036740 transcript:Dexi3A01G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLCLMAARKSAALLLFLPNAARDTMLLDLVLPDVLEGEDALGAEDLLDAEPAQLAPVVAGGGEEDVSPVVGDDAAGDELGAGGEVGIELKEGNGQQGQHKGREQEITVAHDLDTTSYDPQVKTELL >Dexi3A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:3A:15450295:15457133:-1 gene:Dexi3A01G0019590 transcript:Dexi3A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFDAPVDLVNADHNGGNQLSRTSVVPARDCALQNGDAKSFAPNSDTLVRHQLQGASLQKDLAVEDPNTRLMDPETKELYVRSQSQEDEILLLRKQVADASLKELRLLNEKHILERRLTDLRMAVDEKQEEAISGALKQLNQKKNHIEDNMKLANDLKAEEEELYLFTSSLLSMLAEYNVRPPQINASTITTGAKYVTVYFGHNFQRLYQQMYWKIRSLNDTNRNTIRYAQGPSDRHAEQMYHGSHFQQDIVGNAPSNYFEDNVRNGEVRVDGDSQLYRHENQDYPADGDPLPGIEGFQIVGEPRLGFTLTACGFPTNGTTLCNFQWVRHLENGTRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGRQGDLVTEFANSGNKITCDPEMQSHIDACISNGRAEFEVFVLQAYSPEEWELATLVLTRPSYQIKFKHTGEVIIDEKYSPNLQTKIPNGRTTQFVLVSSAGANLPFNTQGLSEPNNEDYDVRLRDLIVLVMRTFQKKAIDAKRKGKAM >Dexi4B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:4B:5165878:5170352:1 gene:Dexi4B01G0007290 transcript:Dexi4B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLTGAGVAAVAFTNKSLVSPPASLRVCSLRRLSSRFVVKAATVVAPKYTSLKPLGDRVLVKLGSTEEKTAGGILLPTTAQSKPQGGEVVAVGAGRTIGEKKIEVGVETGAQVVYSKYAGTEVEFNDSKHLILKEDDIIGILESDDVKDMKPLNDRVLIKVAEAEDKTPGGLILTETAKEKPSIGTVVAVGPGPLDDQGKRQPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLRASDVMAVLS >Dexi2B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:2B:4702320:4706150:-1 gene:Dexi2B01G0005020 transcript:Dexi2B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAINPSPLRARPACRASPRRRAWARPRAAAVPQQQPPVRRPSGDRLCAPLRGAVTVQAPAPGVSGAAGPRGELEAFLEVVPARMRRGLAQHPEVRELVEVVMDLGRRPIARFPTGDWVISDQPVTADDLRQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVVSGGSILVIGPPGVGKTTLIREIARILADEGKKRVVIVDTSNEIGGDGDVPHSGIGRARRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEIISKTECRVHHKLETTVDAILAGKPPKFEARKMHNKSTESDTPLVIPDIGYELEASPLYQEQIVTKTISSEGNFRDDFAPSRQTKSKSMPSDDNFGDDFVYSRKTKSKKSVSGKSPVRVYTYQISEADILQVATVMGFDDELDVTDDIAAADVILASSSEMKQNPWIHNVAKYHKLPIFVVKSNTMAQIVKAVRMMVGRDNSPSHKQPTVMEGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKDNRGSKPAKQTGSDLIVSENGGGSSFSRLPFLPK >Dexi9A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:9A:6216449:6218938:-1 gene:Dexi9A01G0010250 transcript:Dexi9A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLVQGDLRPCTRLLLPLLLAATAVSAVASPVERDLYALSKLKSSLLSRSARNSTSLADWDTIKSSSGHVSSSHYHCNFSGITCDATNGHRVVAINLTGVPLPGGVLPAQISLTVAGCSLSGPMPASLSSMPLLRRLNLSVNNLAGPFPPPPPDAAAAPYFPSLEVLDEYNNNFLGPLPPLGGSRLLRHLHLGGNYFTGSIPEAYGDMEGLVYLGLQANSLSGHVPPSLSRLRRLREMYLGYYNSFDGGIPPEFGELGALVLLDMSSCGLTGPIPPQLGGLTQLETLYLQWNKLSGGIPAQLGDLKSLVNLDISNNKLTGQIPATITGLSRLKQLILFDNDLHGVIPESLGKLSELEDLQIGSNNLSGVLPANLGNNSRLLYLDVSGNQLTGAIPPHLVCVNNNMLNGSIPWSLFDLPGNYWLDLSNNLLSGELPRVIPRVGLSLLSVASNNLSGPVPPEIGYLKNLSLLNVSANALTGGIPPELSHCESLTVLDLNQNRLSGEIPDEIKNLKVLKTLNVSYDNLSGCMPQSQLQGVFAVSDEAHFQGNPSLCVEHVTAASCSLLQCSARRVAKTSMLPWLVPTVFYLMVAMTMCLALMWREAAKRRPPAWKMTLFHKLELEMDDVLGSLREENAVGRGGAGTVYRFLTRGGAAIAVKRLPGPGRRDHGFRAEVTTLGGVQHRNIVRLLGFASSTEGNLLLYEYMAAGSLGAAVHDGERGALLGWGAQHRVATEAARALCYLHHECSPRILHRDVKSSNILLDAAMEAHVADFGLARFLRRGASGSVAGAVAAEECVSAVAGT >Dexi2A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:2A:356376:357248:1 gene:Dexi2A01G0000680 transcript:Dexi2A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRNPVMPKRRVVRVGGCRVQTTVTSRPAVVRRWLYVTLWLNRRRIHSGGLTVGMGVQWTPQFRLRRSRRLPAGAEPRPGTLQLCVGNRCLVFQIARAGGAGAPPQILRRFLADGRVTFAIYRVESDRRKLRAHHGMEVESAMELQGAGGLGNWSMKTMAEKLLGIRSGVEKPEAVMTSRWDGPTLSREQVRYAAVDAYISCRLGVQLRRWAAAAVARARRQVCLAEYYSCDDESEGGYNSENDDDAQSEASSEPDYYYRGGGGGGGWDDDRAGDDDQLYDSICSSVY >Dexi3A01G0031160.1:cds pep primary_assembly:Fonio_CM05836:3A:35583042:35583896:1 gene:Dexi3A01G0031160 transcript:Dexi3A01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSAAAASSPSSMALNFDSPCKIVGLNYSDDHVLHSLSLRFSVTANPFGAGTGVKDTDDARRLISAVDAALALRSGTDMDDLEINFVYSSPRNRYIDMNSGGFYLFRHGHAADITSAHLAAWLRFAARHVTRRFTLAVPVPPRHATKKKTATSAAAPPLPPERKLYAEMPSTARSETMSLTLGNATLAVPVAGAFHALADLHLSHARIVPTSSDEVNLSRLLSAASCPALRRLRLEHVAGLAALRLRPAAAGLEELRLDHVRDMAFLEVEAPGLRSLHVADC >Dexi9A01G0022090.1:cds pep primary_assembly:Fonio_CM05836:9A:17018829:17020668:-1 gene:Dexi9A01G0022090 transcript:Dexi9A01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKVPYILAITIVFVISKAAFDHGMNTFVFIFYRQAAASLLLVPIALLLESPNLTNASVKLTSATVASATNNSAPVITFCLALLLRMEVVKLRSSSGVAKLAGVALCLAGALVIAFYAGPSLSPVNHHRAFHTVSSSAQASRAPSRGTWITGTFLMVLAMVTWSLWIIMQTALLKEYPNKMLVTTVQCVFSVAQSFVAAVVAERDFAKWKLQRDVSLLAVIYTGFVVTGVGYYLQAWCVEIKGPVFLAVWTPLSFVLTIFCSSFFLGEIVHLGSLFWGKSMETKVSSMVNAVNGGNDAQEHQGHTGEDKEETELVTSTLAIERV >Dexi5A01G0038010.1:cds pep primary_assembly:Fonio_CM05836:5A:39145281:39151281:-1 gene:Dexi5A01G0038010 transcript:Dexi5A01G0038010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASAPVFSHLLSRPLSPPPPPMLSTLLLRHRLTSTAASPTRVLACAAAMSSSASSSSPHGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGDEAFEKARAKDVPIFLSIGYSTCHWCHVMEVESFENDEVAKLLNDWFVSIKVDREERPDVDKVYMTYVSALHGGGGWPLSVFLSPDLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWETKRDALERTGNLVIEQLRDALSAKASSQDLPNDLAVVSLDQCVEKLTSSYDPKFGGFGSAPKFPRPVEDYIMLYKFRKHMEAGKESEALNIKKMVTHTLDCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFLITGDEYYSTVARDILDYLRRDMIGKEGEIFSAEDADSAEYEGAPRKEGAFYVWTSKEIEDTLGENAELFKKHYYVKSSGNCDLSPMSDPHNEFAGKNVLIERKPASLMSSKSGKSLDEYSQILGACRQKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSGPTGTRFNFPVTGCNNLFFDNLYFWTFLQPVEYLEVAEKAANFIKANLYDASSKRLHHSYRNGPSKAPGFLDDYAFLINGLLDLYEFGGKIEWLLWAVQLQVTQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSMLDAAKCTDYKRNVEHLLAVFETRLRQLSIALPLMCCAADMLSVPSRKQVVLVGQKGSAEFQDMVAATFSSYDPNRTVIQIDPSNTEEMGFWDSNNANIAQMARSSPPGTQTVAHVCQDFKCSPPVTSPEALRELLNKTVAAASSAV >Dexi3A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:3A:6662386:6667668:-1 gene:Dexi3A01G0009530 transcript:Dexi3A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNCPPSPSPRSTEKSGRELRSGEANGGANTNTNTIPKGDREKGVNVQVILRCRPLSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKVKHGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGFVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEINQRMMKSAMIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQVLSAELGEKLGKTQKDLEDTKSALHDLEEKYNQAKSTIKEKEYVIFNLLKSERKDKIEDGNRSLVQRFRSQLTHQLDALHKTVSNSVIQQEDHLKEMESDMQSFVSSKDEAAQGLRESVQKLKVLHGSGITALDSLAGEIDMNSRTTFERLNSQVQSHTSALEKCFGGIASEADNLLSELQCSLLKQEERLAHFANKQREGHLRAVEASRSISKITAGFFHSLDVHASKLTSILEDTQCVQDQQLLDLENKFEECAANEEKQLLEKVAEMLASSNARKKKLVETAVGSLRESAVNRTSHLQKEISMAQDFTSSVQEKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTEANQLLRSKLSSAVSSTLEDIDVANKALLSSIDSSLKLDHDACANIGAILTPCHGEMRELKGGHHQKVVEISENAGKCLEEEYLVDEPSCSTPRRRQVDLPSVESIEELRTPDYDELLKSFWESRGTWNQANGDTRHLSESVLEPPVGRT >Dexi5A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:5A:23659093:23663943:-1 gene:Dexi5A01G0019720 transcript:Dexi5A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSNGEPSTAPQPNRWYDLRLGSSCRDPFPTAKFCTLRYEFKPASIDKTQAGSLQSTKDNRVTVEFHNNQPGKPKVSFEGSQEEYKDNDGVLFFEGETFRLERLHRAVKRLRHVRTPGESAAANLATTTTGMGAEPHSPPLAKVSKSQPMSKPAVHSVPVEVERIVIAEPENPGPKYNNKNTYQPVTTDPFALSPDPNDEEENLDILGDDDDNVSPNNMTSGQGTSGHGFDINLSDQGNIHDEIADVDVNEEADEGLNAAEVLRAQVNAEGEQEQDSSSGSSSSSSESGSGSGSGSGSSSSDSDGSDGDSASSGGDIDI >Dexi9B01G0034630.1:cds pep primary_assembly:Fonio_CM05836:9B:36547316:36554110:-1 gene:Dexi9B01G0034630 transcript:Dexi9B01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPEDLRCKRSDGKQWRCSAPSMPGKTVCEKHYVQAKRRSASSALRASLRRSSSSSSAAASPAPALPFRSAPAAAAAHLRDEGRPGPLPMAVARPFYGGVAGEAVYVAEPVPVPATARTGVAYEGLPLGNAAGARTAAELVGRGPACSTDAGTAGTRSCHQCRKSGAVLWCSSCDRRGYCAACVSRWYSDIPIDDVQKVCPACRGICNCRVCLQGDNLIKARVQEIPVVDKLRYLHCLLVYVLPVLKQIYSDQCFEIGVETRSSGPKTDILRAKINSDEQMCWKAFEPSLSSIWDPLSIWRGIQEIMDEEMDEDFVVKAVDCSNQSEVDIELKQFIKGYSDGNKGGDDHLLVLKLKEWPQPSVLEEFLLCHRPEFLVNFPLVDFIHPRWGLLNLAAKLPQDALQPEVGMRLLISYGSRQEPGKGDSVINLTINMVDVVSLVFHDTRFPMQVKYPIYDQTMYLNNYHKKTLKDQYGKYYRGNAIFHLQKSTVQLAVNFLSPESLPESVRMAQEIRCLSNGHVAKQKMLEVKKISLYSASSAVREIQRITLDPKFNLDASFEDQNLTRVVSENLARVNKHRKVSCS >Dexi3B01G0032750.1:cds pep primary_assembly:Fonio_CM05836:3B:35194068:35195336:1 gene:Dexi3B01G0032750 transcript:Dexi3B01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGHHLAGVGRALLLLAAAAPFAPSADASCPRALCGFVIEALVLILLRFYWMSVSVSDIVMYPDNSLVEVWLQTFGPGKKTPIHRHSCEEVFVVLKGKGTLLLGSSSFKHPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVLVIISRPPIKM >Dexi9A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:9A:4190481:4192917:1 gene:Dexi9A01G0007220 transcript:Dexi9A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASYLLLNPAKPSSSRLLPPPRLPARRFHVSCDAPRGSAGARSGGGGGRREVVPAGASKAKKQIVFFDSAPPVSSSQPQQGGGSATEKGEGETPTSKGGNGNAALGLLRRVTKRTLSALSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQLPMVKVARRWSFTHSGERIRKQEFADSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMLFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPRGVLSIAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFNLDGKEVMRKTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAQLKLNGDKKLYGTFLPLEDSDSSNPNVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGTTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELIDAPFG >Dexi3A01G0024620.1:cds pep primary_assembly:Fonio_CM05836:3A:20232039:20232848:1 gene:Dexi3A01G0024620 transcript:Dexi3A01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLSAILLAACAALLALAAPLLAGDPDMLQDICVADYKSLQGPLRVNGFPCKLEANVTADDFFFGGLAKAADVYTGNPMGSSVTSADVESLPGLNTLGVSMARTDYAPWGGATPPHAHPRATEILFVVEGTLEVGFVTTASRLLARTVGKGEVFVFPRGLVHFQRSVGAAPAVAISAFNSQLPGTQAVAAALFGAAPAVPSDVLARAFQIDGGVVENVKSKFTPK >Dexi5A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:5A:5648470:5649844:-1 gene:Dexi5A01G0007660 transcript:Dexi5A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVQVLESSFVSPSEPTPTEGLWLSPLDLVLANRGHTPTVYFYRSNDAATADFFDVARLKEAMAKALVAFYPLAGRLGVDSDGRIEISCNGEGALFVVARAAELTIEDVKDFKPSPELRRQFVPRIEPSSVILAVQVTFFKCGGVALGTALHHVAIDASSAFHFFQTWSAFSRDSDDHAAVELPCHDRTLLRARSPPTVHPDALTTFYPLITYIEPSGPLAGEVFTISRDQIASLKRLTCGGDGATTSTFCAVSALLWQCVCIARRLPPNSEARLDILANIRRRVKPPLPDRYFGNALVRLGVTAAVGDIVSEELASVAGRIRRAIGRMDDGDGDMVRSAIDYYEMVVARKEKKDDGARRSKATLPETELQVVSWLGMPMYDADFGWGKPLVMSRAESTPTG >Dexi4B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:4B:2472878:2473306:-1 gene:Dexi4B01G0003550 transcript:Dexi4B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDDSASDLHHQQEPAAHVPDARRPSLAGVAILLGLSFNLALCIRRARDDLGAAAFVAFSHLNLFALVAAIRRFEDTPHGSAARGRARLAVWLTTTTLTAAFTWRVGAMLPFGLAVAAWVLAAVTVVVGFYMMFLPGGDK >Dexi3B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:3B:1769390:1770464:1 gene:Dexi3B01G0002640 transcript:Dexi3B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPLPDTPASLDPDTPFSDAFPVDASDADTPALAPTPDAALASASDAPGDGEDDGINDPSGGPRKHITLAPPAPPSKKSKKKGGNSVWTRPTSRKGKKKAKQSGGHGPSGGATGAHPGPNAGEDELCQLVPATRLAAERNDDAATQPVLLSRFFKSERIEVSDDRLTAASTKGYRMVRATRGVAAGAWYFEVKVVHLGATGHTRLGWVTNRADLQTPVGYDAYGFGYRDIDGAKVHKAWRDKYADEGYGEGDVLGFYISLPDGEQYEPKKPDLIQYKGMPFHVQVPKEEQKMPPPVPGECLP >Dexi6A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:6A:8527234:8527560:1 gene:Dexi6A01G0008270 transcript:Dexi6A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTFEILGYICRNVPNPPVSRDARLAARRAAAASAPDGVDRISDLPDALLRDIVSRLPFKEAARTSVLASRWRRVWLAAPLAVVDTHLLDHWPPTPAEEAAVAAAVS >Dexi3B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:3B:15110922:15112184:-1 gene:Dexi3B01G0020110 transcript:Dexi3B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFDAPVDLVNADHNGGNQLSRTSVVPARDYALQNGDAKSFSPNSDTLVRHQLQGASLQKDLAVEDPNTRLMDPETKELRLLNEKHILERRLTDLRMAVDEKQEEAISGALKQLNQKKNHIEDNMKLANDLKNTMYAHLK >Dexi9A01G0027320.1:cds pep primary_assembly:Fonio_CM05836:9A:31862187:31872034:1 gene:Dexi9A01G0027320 transcript:Dexi9A01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPQSAAPTRASGRKRAAKAEEIRHDHEEEEVGADVSSAKRRRGAASSRRNAKAPPKQAKKAKVGRKKKAEAESAEPVEDDVCAEEPDEEEIAMGEEEAEAEEQEAAAAAAAGSPGKKRVAQPRKRGAVAAGDHVPEFVGEPVPAAEARSNWPKRYDRSGPFRRPEEDDDLKARCHYRNAKVDNVVYSLGDDVYVKAGENEADYIGRITEFFEGTDRCQYFTCRWFFRPEDTVINSLVCIDVDGHKHDPRRVFLSEERNDNVLDCIISKVKIVHVDPNMDPKAKAQLIEHCDLYYDMSYSVAYSTFANIPSENGTSGSETASGISSDDADLETSSGAPGRKATLLDLYSGCGGMSTGLCLGAALAGLKLETRWAVDLNSFACQSLKHNHPQTEVRNEKADEFLALLREWAVLCDKYVHGDVQWEGYGPDDDTWEPIDNLSECPLKIKEFVQEGYQRKILPLPGDVDVICGGPPCQGISGFNRFRNRNEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALSSMTLPKYPLPTHDVVVRGGAPNAFSVENYQPREVMEYSSSPKTEFQRYIRLSRKDMLDWSFGERTGPDEGKLLDHQPLRLNNDDYERVQQIPVKKGANFRDLKGVKVGANNIVEWDPEIERVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYKMYIQVGNAVAVPVARALGYCLGQAFLGESEGNHPLYELPASFTSVTRPVAQARTSPVSTPAGEVVEQ >Dexi2B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:2B:3487999:3490317:-1 gene:Dexi2B01G0003920 transcript:Dexi2B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDAASYSALISGHARLGSHATAAAAELLARMRLDDALAPTEYTFVGLLTACARRGNPRLGSQVHALAAKSGHCSCPGPGPGSLLVDNALLGMYVKCGRLGDALRAFDGMERRDVSSWNAVLAGLVELGRHEEAFELFGEMRASGVRADRFSLSSLLAAAGEGFGLPEGEAVHALSLKSGLETDLSVGNALIGFYAEHGGSVEDVVSVFQGMPVKDVISWTGLLNGYMEFGLVDMALDVFDRMPHRNFVTYNAVLTGFCQNKEGVRVTFAKKAGLRGLGLFRQMVEDGLEISDVTVTGALNACAIAADRKVSEQVHAFVIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEQWRHQESFHIAWNSLMLACVRDGEYEKALSTFLQMFRSSVVEFIDEFTLTAALGVCGALGFAEVGKQMHSFAAKSGLLYACGVGNAIISMYGKCGELDDAVSFFERMTHRDLVSWNAIITAHLLHHQGEAILDIWAQMEILGIRPDSITFLLLISACSHTSSDSTDQCRELFLSMSSKYGIEPAMEHYAAFVNVLGCWGQFDEAEQLIGGMPFKPGALVWRSLLDSCSKRPSMTVRKRAMKHLLALEPQDPSTYVLTSNLFSESARWQSSETTRLEMREKGMRKIPARSWTFHDNAVHSFFARDRSHPQSRDIYAGLDVLILECIKAGYEPDTSFVLHDVAEYQKRHFLMYHSVKLAAMYGLLMAGPGRPVRVVKNIRMCGDCHSFLEHASAATGKVISVRDSSGFHAFRGGKCSCRE >Dexi2B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:2B:29406127:29406570:1 gene:Dexi2B01G0019250 transcript:Dexi2B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMITGDDGEECNSNESGWTMYLGSPVSTDDAKANDSEGSHVGSHCSNGRSKNNYVDYDDEDYDSLASDASTGPAEMKTCNGKEKKGHGTNDIIRYKHGSNEEEEVSTKLPISCDKKAGKMKKGEQKNRTGYNKRQNSSRTGFFK >Dexi7B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:7B:24303919:24305384:-1 gene:Dexi7B01G0018640 transcript:Dexi7B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDASRSKAKSCCSRHGCSGRHSIDKSKGKEVHRGAVPNESAPATPGRPQILRKPNRKPPQPQESSPPDSISSRDVGGSCSETSNRSRDTPGRDLLARLKERVNASRKRSLNRESTPQSPNGPSASSPSSSRSISRPSHRAASRIRKANEGVNSGADNVHRNGTGGATRNSERSDDDLLLVEQVTRDRVPSEGFLSGFMARYRSGLQGGVSALEDSMEDSNGYWRFDMGGTEEELLALGERIGTVNTGLSDEALAKCLNRNIYMSTTSDTHEDCDRKCSVCQEEYLDGEEVGKLACKHYYHMSCIQHWLRQKNWCPICKSVALNTN >Dexi3B01G0033860.1:cds pep primary_assembly:Fonio_CM05836:3B:36659879:36661466:1 gene:Dexi3B01G0033860 transcript:Dexi3B01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGHGDVSFTFPILPLADDEPRFPSGDTSPPWLLPSLFLVPTPAASERSRMLPLVARSGEGAFRRNSRLRLPPSGPVAFSSEVNGSLLRPLLDDADGDGGEEALSRWSAAGPPSGLGLLAALLRRLFIPRRLPSARNLDAVQGGRKTKTSQEPKIGERPTGNESAHGRICVSRRREAWPLPPVEGAKGPEEEEENEGARM >Dexi5A01G0039920.1:cds pep primary_assembly:Fonio_CM05836:5A:40284933:40286315:-1 gene:Dexi5A01G0039920 transcript:Dexi5A01G0039920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNKEGGDDASSSSSQDHHKEAAAAAAGGVAQRILPHLLNMYGSCATARDFEIYAPNATFEDPLMRAHGVQQIKSSFYTLPKVFGESKIVEYTVQENPTGPGKAEILIDNKQHYKVLGKPVELTTLIRLQVQDGKVVKHEDWWDKKPLTNRETAAFPLVGRLAEASRRGAMLLTHALMGFGKDPPPMAPTSSSST >Dexi7A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:7A:16945746:16958771:-1 gene:Dexi7A01G0005710 transcript:Dexi7A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGARLGVVRPGVGGGSARSGGERRSGVDLPPVLFRRKDSFSRTILSCAGAPGKVLVPGGGSDDLLSSAEPTVDTPVQSEELEIPDEAELVVEETASSSTAEASSAVEVEEKSEPSEVIEGTGKTETDDVAVKAAPLVEEKPRVVPPPGDGQRIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRTAEGITYREWAPGAHSAALVGDFNNWNPNADTMTRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKFMTADHQYVSRKHEEDKMIIFERGNLVFVFNFHWSNSYFDYRVGCFKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYAPSRTAVVMQAGLDAAAMLFPETSWQVDQAARTAVLSTRWLDAWRGTPLRLDDLELPATPCSTIARAAAASGAPWAARADAVSLALASHPGPVDRFRLSRTTLRARVPAAEAWFRDLAAGDRRAREVSLVCPPEWCHRALADPLLASPTLETLALGECRFSDAGAAAASASRLTELSLSRTQITEVALQSLLSGCPALRSIMLSHIQGPRRIHISSCRSLVLLGVWQYKNLEELTVEDAPRLERLLGDTHLGTAVTIVSAPKLTALGYLVVGFRNSLPGIDKPGDQKKVCKGLSAPFNSVKILAISATFSSKKNMDLVMNLLKCFPVLETLHIKNKRGEEEFHTIDSNYYHKLYPIGCVVNHLKSVLEIMKIEIESVLYVNPAWIMEQQNVLCQCHRASMEAKVVFKGLKAIRHKGFSIEAINALPDPFDSDIDIMGYENNS >Dexi3A01G0034570.1:cds pep primary_assembly:Fonio_CM05836:3A:39704038:39707847:1 gene:Dexi3A01G0034570 transcript:Dexi3A01G0034570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAASVPVPVHAAAAAQPGGPQKRRGSYNCGRCGLPKKGHVCSVPGNGKAGEPKTQPQQINKPRRALQFDDPATEAAGEAVTVVENAVPVSMAPPPPLPPPPPRAAVLAGRKRPRVEVVDMEEEEDDEEDEEEDLGLVELGAGRRAPWQVVAQVLRRISPRGLAAAAGVSRGWRECARRVWRAAEEIHFSAASVRPVGALIARCPVLAKLALRMDRDELSRFVSEKRSLSVLKLDGCSSLGFLNISSSSLSTLGLSGLCPLSKAVMNCPNLNELSLVFPEQNNDSTDLVALMDSLGRTCPNLRNMHISSVRLCNEAVFALESANLRGLCKLSLILGSKITDAAVASIVRSYASLVLLDLSGSSITDNGLGMISNAFPNTLISLLVALCPNITSSGVQVAAAQLPLLRVMDCGNSICASPQPDAGRSYFGDLTGAIKFCSKLRNQKTQQPDYQKLFIQHSSLKKLSLWGCSAIDALYVNCPELVDLNLNSCVNLHPERLMIRCPKLKDVHVMGCRDMLIGAIRNQVLNEFLAAEPRLPCKRLADGSKRVHVPHFMIEELEDQEKWGRERKSQCTVHLD >Dexi9A01G0022610.1:cds pep primary_assembly:Fonio_CM05836:9A:17762024:17762734:1 gene:Dexi9A01G0022610 transcript:Dexi9A01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLLSSSFAMPAAARRASSSSSASLGFAMSQLAGLSLSSGAATSTAVAPLPKRQLQPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >Dexi9B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:9B:5532272:5534666:-1 gene:Dexi9B01G0008980 transcript:Dexi9B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPRHSCAKLSVTVEDPKAASAGGGAVFVRATWLPTRFSLAVTDGAGAWVADASEAEVRLRAEQWDQPVGEYLALAERYLAFQQPDSTYSFHDAGKGNRRLSWTFERQGTKLEWRWKLQQSPNTQQTISEILDFLMDANIRLSVWPFALPLPFLTSCKSRLAMEEVVRKTQSFDRLKQEADKCLQQSERFNTEKAEFEQATFSKFVSVLNSKKAKLRQLRDKVAELESANKPPKQEEEENSTDQTELFEEGSDKEASINDEPSETGSGDLHSSPEKSAGTSRGRGRGHKRAKKLTERKRS >Dexi5B01G0025630.1:cds pep primary_assembly:Fonio_CM05836:5B:27637997:27640042:-1 gene:Dexi5B01G0025630 transcript:Dexi5B01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSTPRAYSAAAAAGASPTTPAPYGGAPPPAMSKTAEFVVSKVDDLMNWARKGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRNCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWSK >Dexi2A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:2A:32958029:32958599:-1 gene:Dexi2A01G0020790 transcript:Dexi2A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGEEEFYLRYYVGHKGQFGHEFLEFEFRPDGKLRYANNSNYRNDTMIRKEVFVSPTVLREARRIVEQSQVMKEDDRNWPVPDRDGKQELEIVMGNQHISFITSKIGSLINVQSSHDPEGLRIFYYLVQVLNPQTPCRALLF >Dexi7B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:7B:9718550:9720319:-1 gene:Dexi7B01G0003940 transcript:Dexi7B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGMQAAAAEGKGVVGETAVTLEELRQRMADFARERDWDQFHSPRNLLLAMVGEIGELSEIFQWKGEVPRGLPGWEARETEHLGEELADVLLYLVRLSDMCGVDLGKAALRKIGLNAIKHPVGKPTTPRPKSLLTAPVTTVARMLLPRRVTGSTASAS >Dexi7B01G0011820.1:cds pep primary_assembly:Fonio_CM05836:7B:18806830:18807772:-1 gene:Dexi7B01G0011820 transcript:Dexi7B01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPTALTILALGLALLCFAGRSAAQNCGCQPGYCCSQYGYCGTSAEYCGTGCRSGPCYSSGGGSSSGGGGGSGANVASVVTDGFFNGIKNQAPSSCEGKNFYTRSAFLSAANSYPGFAHGGSEVEGKREIAAFFAHVTHETGHFCYINEINGANNNYCDANNRQWPCVPGKKYYGRGPLQISWNYNYGPAGKAIGFDGLGNPDAVAQDPVIAFKTALWFWMNNVHGVMSQGFGATIRAINGALECNGKNTAQMNARVGYYKQYCQQLGVDPGNNLTC >Dexi3B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:3B:15362983:15363439:1 gene:Dexi3B01G0020380 transcript:Dexi3B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGIILFVGFFYAAVVSKLLPPYENQFLAAIQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >Dexi4B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:4B:6037856:6038430:1 gene:Dexi4B01G0008370 transcript:Dexi4B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKPWLAEHGDFSRVFLAGASAGGTIAHVVAARAGEHGGELGMRVRGLLIVHPYFSGAADIGNEATTGKERKARADAFWRFLYPGSPGLDDPLSNPFSEAAGGSAARVVAERVLVCVAEKDDLRDRGVWYYESLKACGYPGQVELLESMGEGHVFYCMNPRCDKAKEMHERVLSFLRK >DexiUA01G0023990.1:cds pep primary_assembly:Fonio_CM05836:UA:49086307:49090515:-1 gene:DexiUA01G0023990 transcript:DexiUA01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTIRFTDWRAKFSVESSPVRLKTRATARALQASLSVKEKLQIALALERMGVDVMEVGFPVSSPGDFESVQTIARTIKNSRVCGLARCVEKDIDVAAESLKVAEAFRIHTFIATSPMHIATKLRSTLDEVIERAVYMVKRARNYTDDVEFSCEDAGRTPIEDLARVVEAAINAGAKTINIPDTVGYTMPFEFSNIITGLYERVPNIDQAIISVHTHDDLGLAVGNAIAAVHAGARQVEGAMNGIGERAGNCSLEEVIMAIKVRKDIMNVHTRINHNEIWRTSQTVSQICNMPIPANKAIVGTGAFAHSSGIHQDGVLKNRENYEIMTPESIGLNQVQLNLTSRSGRAAVKHRMEEMGYKDSDYNMDQLYDAFLKLADKKGQVFDYDLEALAFINKQQEEPEHFRLDYFSVQSGSSDIATASVKLACGEDIKAEAANGNGPVDAIYRAINRVTEYDVELVKYDLTAKGHGENALGQVDIVVTHNGRRFHGVGLATDIVESSAKAMVHVLNNIWRAAEVEKELQRKAQNKENNKETALKVLEAVRSRFAMKISTSHYDVGGIAIDNHGTPLPKATVEGCENADAVLFGSPERGALLPLRKHFKLFSNLRPAKLYQDIAANGFDILCVRELTGGIYFGQPKGREGSGQHEKAFDTEVYHRFEIERIAHIAFESARKRRHKYPDVELSHMYIDNATMQLIKDPSQFDVLLCSNLFGDILSDECAMITGSMGMLPSASLNEEGFGLYEPAGGSAPDIAGKNIANPIAQILSLALLLRYSLDAGDAATAIENAINRALEEGVRTGDLARGTAAVIYEAPNETPLLYIDRHLVHEVTSPQAFDGLRAHKRPVRQPGKTFATMDHNVSTQTKDINASGEMARIQMQELIKNCNEFGVELYDLNHPYQGIVHVMGPEQGITLPGMTIVCGDSHTATHGAFGALAFGIGTSEVEHVLATQTLKQGRAKTMKIEVKGKAAPGITAKDIVLAIIGKTGSAGGTGHVVEFCGEAIQALSMEGRMTLCNMAIEMGAKAGLVAPDETTFSYVKGRLHAPKDQDFIEAVAYWKTLKTDDGATYDTVVTLQAEDIAPQVTWGTNPGQVISVNDNIPDPASFSDPVERASAEKALAYMGLKPGIPLTDVTIDKVFIGSCTNSRIEDLRAAAEIAKGRKVAPGVQALVVPGSGPVKAQAEAEGLDKIFIEAGFEWRLPGCSIVVPPPVTVTLKAVRAAVGVLTWSARQWPPLRQ >Dexi4A01G0021810.1:cds pep primary_assembly:Fonio_CM05836:4A:25209091:25209662:-1 gene:Dexi4A01G0021810 transcript:Dexi4A01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAVLLAAALLGLVSASLADQGTATYYTVYTPSACYGFTDEGTMIAAASEELWDGGAACGTMYTVTCVGGTNETPNPCNPGTSVTVKIVDLCPSPGCQATLDLSQEAFSAIGNLDAGKIVIDYNQYESKLCFAFITKKYCTCFSPERSPFFF >DexiUA01G0021000.1:cds pep primary_assembly:Fonio_CM05836:UA:43236764:43238449:-1 gene:DexiUA01G0021000 transcript:DexiUA01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEESAFILANSNDSPANNGHIRLHCRRWTRLVHASGAVLPKLVDVDIHGVPAHAWEVSTAENLLNPFGWIQEVHETTRNRGDYSSFRVKAWCFNPDRLPPRRDLVVVDPPSGIVEDPPVKRALVYPVRMTVLSDGDPGDVLPPSPPPPGAAHHPPDEGHESDNSRSRRRRRLSSSAAALEAPAGGVHGAEMPARDGLRSRVSGGGHVAAAVDASARPPVAALQVAIDGRTPLVVEEPVLPGDDSSPEHAVTPASEDEGLALGSTVLEAVGDSVPKPDLMAVGPAPSDDGPSVTLPTLMRFEVVDAGEEDALATLDPTHSLRETVTCPCTASPPPPETCHSSQGPAAGLTSTPVGVASTAVGEPSIEAALTGAVELSAASDVPRAPAPDGCQSPPPLSWRPAEDVLPLVPMEEPTPGHVYVTYSRRHKTRGAAPAGAATLAEATDDFIDCIAHDLPTVLPTPAKPARRGRQPASSVPAPRCSRRIAKLPPEFDFQAKSSVAQALGFSERELQTCDGKDKYSKFFGNSLCRRHVAALGACLGKELPEVLPPASSIVVAVSS >Dexi1B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:1B:404551:407782:1 gene:Dexi1B01G0000420 transcript:Dexi1B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCFVCTQKWKYEATTLGQKIDAIEAHKRKLLGESLGSCSLQELQELELQLEKSLSCIRQRKQKKLMDQILELREKEQKLSKENAMLRDQCKALPLLELNDKGRKDATAGGVVEEEGDDQMEDVETELAIGIGTRRPPMTGWLGLYSNSGATKMGQDLLSNKFGTRLTVDEIAGAYHFSLLLSPVVSFWDCIFRKIRYSFRPEWV >Dexi9A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:9A:4793833:4794565:1 gene:Dexi9A01G0008080 transcript:Dexi9A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPNLPTATSTPISEMTASRSSSINEVSIFSPLPPPADELRDIDAHTVVGTPLSIVGTYAFDTESWNWWCHAGEWSLPFSGKAEYVPELNLWFGLSASRPFHLCAYDLSAVNFDRPPMVCHAWVDLDMPKSRGPLQMDLINLGSGRFCVVKIFADTLPPFAKGLPDDEDDEEAVDCPDVIESDFAVLTSIEVVRCDGEASPPGKLRMLKHMSKYHVFEDHSIKCVL >Dexi8A01G0007720.1:cds pep primary_assembly:Fonio_CM05836:8A:8761908:8762236:1 gene:Dexi8A01G0007720 transcript:Dexi8A01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLSLRPSLELEVEMEPQGYFSCSYCDKKFYTSQALGGHQNAHKLERSIAKCSRELAIAGRNAIQGGDSGSEVEEAARRGMDHDTKPNHGVAGGHTRPYRRD >Dexi5B01G0023130.1:cds pep primary_assembly:Fonio_CM05836:5B:25352821:25353699:1 gene:Dexi5B01G0023130 transcript:Dexi5B01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGAGGDGDGTEKAAPEGPAEATTPPPEPAASLPPASASGAGAGTSGSGEKPVKRMMKTPYQLEVLEKTYVVEQYPSEAMRLELSAKIGLSDRQLQMWFCHRRLKDRKPPSKRQRREEEAALAPVMPPPPVLSTSAIPLASSDLMVGSPGLYDESLHRAHSRRGAGRSSAVPRISMPDIGRRYYEPPPIMIAPPMPSVQLTQSELRVIHSVESQLGEPLRDDGPVLGTNFDPVPPGAFGAPIGMHIKI >Dexi9A01G0032270.1:cds pep primary_assembly:Fonio_CM05836:9A:37186199:37187394:1 gene:Dexi9A01G0032270 transcript:Dexi9A01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYTTAAEAEAALGRAMTWPEAAWFRYSAAMPDYCLYYHTVFILLVVYTLAPLPLALLELWAPAKKLTLRYKVQPRVHRTPADFIRCYKDTVRMLVPTAGALHLVSYPVIKRLGIRTGLALPSLGETVAQLVVYFLVEDYLAYWFHRLLHTTWGYDKIHYVHHEYSAPIGFAAPYSHWADLIILGSAAFAGPALVPCHVTTFWLWYVLSAVETIDKHSGFNFPFNLANVIPFYGGAEYHDYHHYVGRQSKGNFAFVFTFCDYIYGTDKGYRCYKASFAKVR >Dexi7B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:7B:17369857:17370618:-1 gene:Dexi7B01G0009920 transcript:Dexi7B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYGLQPHEYGPVVDGLRRNPQAWLDFMMRFELGLEKPDPKRALQSACTIALSYVIGGLVPLLPYMVISTAQNAMLTSVVVTLVALLFFGYIKGRFTGNRPFTSAVQTAIIGALASAAAYGMAKAVQAR >Dexi1B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:1B:10459790:10461903:-1 gene:Dexi1B01G0010670 transcript:Dexi1B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAMCDLFVDGHHRELRHLSGTEDLFSILETWEDCVNVTSGGGSFAAMPAYSQSSTGGSESAGARPLGNSRRRSRDEEKGVGHGAQVHKKLKGLAVAAAHDAAADDGAVKMSHIAVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGIVDYIKELQQVLRSLETKKHRKAYAEQVLSPRPVTLSAASPSPRPLVVVKPTPPLSPRLAVPISPRTPTPGSPYKPSSSAGGAPGISKLPHPPAAAAAYMVLPAMTPTTSSSSSSAYSHDHHPQQQQHYSTKPYLPTLDSLVTELAAQAAGGRPAVAGPTLPDVKVEFVGANLVLKTVSHRAPGQALKIIAALESLSLEILHVSVSTVEDTMVHSFTIKVYKPSCVFPLNVFLVSVHLSNQI >Dexi3A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:3A:4677461:4679170:-1 gene:Dexi3A01G0006940 transcript:Dexi3A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSPQKKGAEHDGGSSFEHDDYDEFRAESTTSKPSGYPHDNNNRSKPKNQLAAADKTSHPSGAANPIHSAEPTAVTAAATAGNNNSASSADAVGNGVGAGSSDGPRSNSMESSSSSNTTTTTASASAHVRRHTGGDSRWDAIQLASSSLDAPLNLCNFRLLKRLGYGDIGSVYLVELRGGTSAFFAMKVMDKASIISRNKVARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPNKHFTEPAARFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVCPTLVKSSSVHSTTSVVVGGAAAADGGGDVNITAGGGGCIQPSSFFPRILPRRSRKPSKSELGLGGGGGTTPAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGSTPFKGAGNRATLCNVIEQPLRFPSDAVGGGPATSSVAKDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMTPPSVPEPVDFRQYGSAKDSKKASESTTDQAPPPAGPVAKPNSGDSYTDFEYF >Dexi1A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:1A:24329371:24330735:1 gene:Dexi1A01G0017070 transcript:Dexi1A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPEAVLLEIPAVEEGSPPPPPARVPPRIRRRLLRARDGGGRAPTAEEIEAKLREARLRRQQFHETLSSKAKSSLRIPSGSSQKEDRAQLLEAKLVSAKQKRLSLLAKEQSRLAKLDELRQAAKNDAETRFEREREELGMKVELRIRQAEKKRTELLHARLLRRAALEDRTKNFFMQRLALENKYRERVRSALMQKRTAAEKRRSGLLESEQRRAQCRLLQVQLAAKIASSQRETERSKLKEQLEDKLQRVCISLMHP >Dexi7B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:7B:11602374:11604966:-1 gene:Dexi7B01G0004640 transcript:Dexi7B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAGEAAGATTPEAPSPFSEDWRERIIIPAWLEPRSGCCRGTGRALAPPVPLPPMPLTLPSSPDATEVDTLEL >Dexi3B01G0002900.1:cds pep primary_assembly:Fonio_CM05836:3B:1927118:1929846:1 gene:Dexi3B01G0002900 transcript:Dexi3B01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNRVRRKRMRSSENAVSARVAAIIANKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKGEESADDTEDAADLAKDGNPKKKDANTKKQPPAKAGAKAKSKAIRERAAETEEDRVDFDRPSKFLVVCLNAIRDAMTAEDGGGGSIHGAGDWGVELWRSCSAPAPSDVLDTSGSCSTMEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASVEHQISGLKTCEPEFLIATPERLLELVSLKAIDISSVSMLVKEILEQILRSHAKKSSKVLLVAASNKKAQLLSSSLKLENCTVTDDSQGNSFTICSSVGLMNVLVKDRENLAMSDVEEFEIVLVVDLPPSVDEYVEILTGVARRTIGGEVHSIFSNTDAPIAKPLAELLANCSQVVPEFLKKL >Dexi4B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:4B:3084879:3086321:1 gene:Dexi4B01G0004270 transcript:Dexi4B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLAVSHFVPMMQLADALLDEGYAVVVALIDATMEHDASFAAAVRRRAASSTPAITFHTLPRIHDPPAITPTDARFLLRYFELVRRYNNGLLELLRSMPPRSIHAVVVDALSNEALNVAKEMGVPAYTFFAWSASALAVFLQLSAAASVRDEGQQVSFKELGDSPLDLLGVPPMPASHLNREMLEDPNGEIYQAWMSSVAKNLEANGMLVNTFVSLEARALVALNDPKFLPGSEFTMPPVYAVGSFVEGPGGERETKQKHDHECLVWLDKQPGHSVVFLCFGSTGWHTEEQLKEIAIGLERSGHRFLWVVRAPVSDSTLALHADPDLDALLPEGFLERTNGHGLVVKEWAPQVDVLRHKAIGAFVTHCGWNSVQEAIMAGVPMLCWPLYAEQKMNKVFMVEEFGVGVEVVGWQQGFVKAEEVEAKVTLVLERQEGERLRARVRALKEAAAMAWNEGGSSRTAFGQFLLDLAA >Dexi2B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:2B:28134127:28137165:1 gene:Dexi2B01G0017760 transcript:Dexi2B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMPAEALSREVSGGGGGGYGSKHLQLPVPPKQQPAEADCKWYEEEIDDDLKLSYALNSVLHRGASKYQEIALLDTKHFGKALIIDGKMQSTEMDEFIYHESLIHPPLLFHLNPKTVFIMGGGEGSAAREVLRHKTVQRVVMCDIDQEVVDFCRTYLTVNREAFSSNKLCLIINDARVELEKSKEKFDVIVGDLADPVEGGPCYQLYTKSFYEHIVKPKLNDHGIFVTQAGPAGVLTHKEVFSSIYNTLKHVFKYVQAYTAHVPSFADTWGWVMASDYPFDLNAQQINERIKDRIEGELVYLSGEFLISSTTLNKSIHQSLLNETHLYTEDDARFIYGHGRAC >Dexi6A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:6A:23693916:23697998:1 gene:Dexi6A01G0015800 transcript:Dexi6A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVASKMAFFPPNPPSYGVVDEEEPPQPQAAGAAQGTNATAAAKDKCEVATRRVALTGVRWSVGVEARRVRTRRGSEIIAMYVRRPGASLTVLFSHGNAADLGNMHRIFVELSARLHVNLMGYDYSGYGQSSGKPSEANTFADIEAAYKCLVDVYGTREEDIVLYGQSVGSGPTLDLAVRLDHIRAVVLHSPILSGLRVLYSVKKTYWFDIYKNIDKIPHVKCPVLIIHGTNDDVVDWSHGKRLWELCQQKYEPLWIEGGDHGNLETFPVYTRHLKKFLSAIKKLPTGKEAAAESEKSLAGNKTPSDDIAISDVPSMISRRLEPSRKTSNHEHPMLGTEHVDKRRRSTGHREKTRSSTDRKEKSRRSVDCFDRIDEHEQSEKPRKSFDRLILSAPCLAQ >Dexi8B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:8B:3943792:3944337:-1 gene:Dexi8B01G0004420 transcript:Dexi8B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRFIALTGLLTVATVLLAATSPATAAQWEQKETHLRVFWHDVLSGNNATAVTVAEAASTNTSATQFGKVMVIDDALTLEPNLTTSKIIGRAEGIYVSAGKDTLSLMMAMNFVFIDGPYNGSSIAFFGPNFAERKVREMSVIGGTGVFRFARGYVEIMTQSNTVDTTVQYDIFVHHDG >Dexi9A01G0026620.1:cds pep primary_assembly:Fonio_CM05836:9A:29979766:29988449:1 gene:Dexi9A01G0026620 transcript:Dexi9A01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGFTTVPVLVSAMAPALLVLLVAALAAAAMSTEASAARTLAAESDASAPAGTTSPVAGKLNVHLVPHSHDDVGWLKTVDQYYLGSNNSIQGACVMNTLDSVVDALAKDPARKFIVVEQVPHPHALDHFLCSVVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDREKRKSDKALEVVWRGSRTFGSSSQIFANVFPVNYSPPEGFHFEVLDQNIMPVQDDLLLFDCNVEERVNDFVAAAIDQDGRVHALYSTPSIYTDAKHASNESWPLKYDDYLPYADAKNTYWTGYYTSRPTFKRYVRVHSGYYLAARQIEFLLGKSSIGLFTAGLEDAMGISQHHDAVSGTSKQHTTDDYSKRLALGASKVQEGVNIALTCLTSSNGTCPSSVVKFNQCPLLNISYCPTTEETVSEVKSLVVVAYNPLGWERSDIIRVPLYEFPKICLGSSRIGYFSTMVSPSNDMIEVGPGPLKMSFSSASGQLKRMFSSVSGVDLPIQQSFLWYASNEGDIVDSQESGAYIFRPNGTTPFVASSSVIASGA >Dexi5A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:5A:4459564:4460399:-1 gene:Dexi5A01G0005990 transcript:Dexi5A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAPAALSMKLLIDRKAQRVLIAEASKDVVDFLFSLLALPVATAVKLVGKDAMVGSVGNLYSSVENLDSTYVQHGAAKDALLRPTVLSTATATTSLLRLPAAPSSGQPKSYFKCSNTYDNASLCSAYVGDVKGTSCPSCGSSMSTTLYYAAPSPGQQLQKAKGLVLGIVTYTVLDDLTGLAILKALLHSKTVLTDVFLGNKKAPVRAT >DexiUA01G0027990.1:cds pep primary_assembly:Fonio_CM05836:UA:59945175:59965749:1 gene:DexiUA01G0027990 transcript:DexiUA01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEISAMLEHLTASAELDPPARRLLSPAARPGLEALAGALAAGPPTDPAAARAVLAAARAVVSAVLPASVEQVEPTAVEVVERSLELCLLHLEKSSYACDDFGLLNEVACFMEFVLLKGTHNKAYSLEPSVISDIIEQWSSVPVEAERLSPQEKYFCYLKDVNVFLTFAFRIRDDASSHMGIDLPALTFELLKLLHSDVLASNCDASSGEEDEDDGTSDGELGSIDRDEEYDGDSDRALASKVCTFTSSGSNFMEQHWSSRFFCDCGAGGVRGSSCQCLKPRKFTGSSTVPPAAASSFHPILAYHEDVEQVVDSGSDYEDDIATDADCSMKLSVPNEFSSELPFLLKKLDIEVRVLEICKKLLPAILNRRDLNLSKDRKVLLGGDVLVSHSSDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLAKLLLSISTRGKLAVGEGDKVAIFDVGQIIGQPTASPVTADKTNVKPLSRNIVRFEIVHLVFNPLVDHYLAVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVKLIVVKDMMRLLGVIKSLPVITSRIGVGVGPAVALLKKLLFAPYEAVQTSSSLAISSRLLQVPFPKQTMIVNDDAPENQAKSSASAMSSSSGNAQVMIEEDPAASSVQYCYRLPAPHSKDHPMSAIPIELDTFGGEGSEIHFSIDELTDSGGLHAPADRSVQTSPSSIHVFDANESADFPETIADQTTVSISASKRAINSLLLSHLIEDLRGWMGTTAGTRAIPLMQLFYRLSSAVGGPFMDSSKPENLDLEKFVKWVMDEININKPFPAKTRCAFGEVSILVFMFFTLMFRNWLQPGSDSSNSKSSGSSDLAEKGPAQQLLHTFKSSSINRESGSSGSGMVRPEKEKAAEKDRSYKVPNTKDLKLDGYQDVLCSYISNPHTSFVRSKYGRFEFHFMAKPSFSFDNMESDEDMRKGLTAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDSLLSEVLEAFLGLDGEATEPMIKELEEEREESQDPEIEFAISGAVRECGGLEIILSMIQSLRDDEFRSNQEELASVLNLLKRAFSADAMEPAEGILLIVESLTMEANESDISIAQSVFTSTNEEAGAGEEARKIVVMFLERLCHPSGAKKSNKQQRNEEMVARILPYLTYGEPTAMDALIQHFEPYLGDWTEFDQLQKQHEENPKDDSISQRASTQRSAVDNFVRVSESLKTSSCGERLKEIILEKGITKAAVEHVKKSFASPGLTGFRTSAEWTSGLKLPSIPPILSMLKGLAKGHLPTQKCIDEEGILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLGDKIQELRHATREEMRRRALKKREMLLQGMGMRQEFASDGGRRIIVSQPTIEGLDDVEEEEDGLACMMLARFATGASFSTDCKGGGRESNSRFLPFMVQMASHLADASANKQRHAIAKAVTTYLSSSPSTPESPIRLSARVSGSRGTSGSSEETVQFMMVYSLLSESYESWLQHRPAFLQMGIYHAYMQHKHGRSTLKLSSDSSSTTVRSDEGSSSETNEDKKLFTIVQPMLVYMGLIEQLQKFFKKGKSSSTSKSREKDESGGSLEKWEILMNGKLVNMKEMVGLSKDLLSWLEDMTSSDDLQEAFDVMGALTDVFSSGHGTCEDFVRAAIHAGRS >Dexi8B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:8B:5888982:5891125:1 gene:Dexi8B01G0005640 transcript:Dexi8B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGPVAKKPASPAGDPFAALPDAILQHILSFLPAQPAVRTCVLARRWRRLWEGMPGLRITAANAPEPPCAPDDLVSSGELREFVDHLLLLRSGAPLDSCEFLFDVREDADVPHVNLWIRHVIRCQVRRLKLTCLLEHSPVLEKLTIQIFSKGPEHKVEINGSYSPMEGSAAISENLRIIEVKCEVVDEGVLKVLDFLQTLNIGYLTMSICLALYISAFCDHICK >Dexi3B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:3B:14879790:14880834:1 gene:Dexi3B01G0019880 transcript:Dexi3B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAQSAILLRRGGLEEVLSQVAVDLVPADPVEHEHDPHGERGVEARAHPEDEHVPPHHHVRVLVHLPPHLRHHHVLLLPAVGPAAPGLGGGDDPAAPARERSVDVELPGGARGEEVDEHGGGAGPGAEDGGAARGEEGLRVAVRGAVPEEAVPAVVQAGPAAAHREHGLPLGAQQREVPRRGPAAAHPAAPVGLDAERQRARAVVVRLQPVPRREERRLPHRQLPVLPDAVLLPHYHHLLLLPHPLRSLPLPQQVGLGVLRCGELLLAGSGR >Dexi5B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:5B:22793819:22805467:1 gene:Dexi5B01G0020590 transcript:Dexi5B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEDADRNHRSIRVITLDDQPGGAALSPAVAPPWVTAQRRLNRLVRTVVFLERAGNGLGMLAFTWASVVILGGFSTNLGPDFWYATAIVFLEAFSVIATCLQRTKILFHLPVPLQKLGNIQIPTALARIELSSMRLSDKQGHNVHSDGNSEHLAPALKIFYSMVLGQGTLYILACIGEFLFFPSLRGSLARALSDAKEEFQSVDLYYDYAHCKCMEDGILDPEDLGLVRFAVDSLNSNSRTKKLAAVQIMHSLLLTQGANKKLDVSEIITSTKAVVTLISMLGWTCPIDQDIRLLAAKITAELAGDLLIVGIPGTIQVISSLLDSEAKRYSDEDSFPVQGMIILKRLAHDLHNCEEISRATGLIPKIIGFITKDTASISEEQHNLIMTTSLKLVARLASVEGEIGIALRRKTLEQPFLLSNLIEILEGSNGCLDQWGAAIVIIAKLAVDEETRQKIGDFQVIIPKLTQTFLYRNESSKNTYCDNLVLRVVAGEALSKLAKEKTSYCSAILEETRYDVIEHLKNMLQEDEYAYDAARGSETEKMARSLAAGEVSIGMPYVAAHPARSVLRGRRRRKSAASKQERRLNGFVRFVAFGEWTGNAFGTLAFLWATVVLLGGYCKDLNHVDFWCATAIIFIEAFRMFSRNYRLDDQSLFRTTRAIRAISSPFARMLVRPQEWNELAAIMVSRGYEVDEPASTVSTAAALGCPSCPPNFSCFTHKGAVIVVLLIGSLQIPTAIARIVLSSVRLGDLHHNKYADNILEKSMVIFYMLTLCQGTFYIVACVSDLFSLFLRRSLARQLGLRGKRGARAIDLYYHSAYLKCMETGILAAGKEISLVSFAIESLSSSSRNKEQLAGLLILDSLLQQREELVSRITCCSKAVCALIGMLGWTNVRDRDIRLFAARVTAKLAGSLRITATPGMLKLVSSLLDGEDQLSTGLSSTKDAGHNNSQGSAGIKTRRQNSYVSRCWQRMKQRWTVLAERPLTHQDSFPVLGMLILQRLASDHDNCAEICRATSLISKITGFISYNSSNSAQQKVVVSSSLNLVRMLASTGGKIGVMLRQELWEDPFLLDNLTGMLDDSRSSIKVWEPAVDIIAKLALAEDARKEIGSNKVIIDKLMHAFLGINGPTNMHYGHPLRLAAGEALANLTIENPANCLAILEEPRYELIKDIKDMLWHDKYRYVAATLLQNLCAHCRDKMHYLGANDHLSYALPWK >Dexi5A01G0026900.1:cds pep primary_assembly:Fonio_CM05836:5A:30475050:30478495:-1 gene:Dexi5A01G0026900 transcript:Dexi5A01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPPAAAAAAASGPATPRFRLGKQSSLAPERGGEGGGGGDAGSAEGSAVANGVMSFQLMYLAHEGNAEGIRDLLDGGADPNFRDSDGRTALHIAACEGHADVVELLLQRGAEVVVEDQWGSTNHDVIKILEKHGSKHNKAD >Dexi5A01G0030930.1:cds pep primary_assembly:Fonio_CM05836:5A:33766682:33769021:1 gene:Dexi5A01G0030930 transcript:Dexi5A01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHASWWWSSGQLAGHRSGTSSRRAPTTNGAASPPRISHLRSDGSLSSQPPIRDASGVQTPPRSHLRPVVGGVVASRERASTFPPLLLPHAPSNRCRPPPSTLAIGRPTGISSRPLSSRSRRFFKPTQPRMPVHPSKGGNWHGTPTQHSSIAPGPEGRRSPPPPTHTTHQNLHFTVTGARAGMTATSRAPRRPRIRARGPPPAPTPIRTARGARSAAADERILAEFLETSLRVPDLALPPLRRKQRLFSFPPAAPPAPREVSSQALASGDTDAEAASAAAAESGGAFVVSGATDAREVREAVEASRAVFAATGEVKRELARWFPRRDNPVAGEEEFCWFWPVSSEDDRALGAALPGSTYRDSNTGGPVPPQETLPNELELS >Dexi5B01G0027190.1:cds pep primary_assembly:Fonio_CM05836:5B:28772451:28774488:1 gene:Dexi5B01G0027190 transcript:Dexi5B01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAASASLSSSFAPRNHHRRLARPVTRRAAPVGLAVRCEQSDKQKRKPLAALVPREERFMFEGDELCGPDIWNTTWYPKAADHVTTAKTWYVVDATDKILGRLASTIAVHIRGKNEPTYTPSVDMGAFVVVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIRDKRIQKTD >Dexi9A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:9A:2605602:2607113:-1 gene:Dexi9A01G0004750 transcript:Dexi9A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGMEGSLLSGLNSGVVLSLIAVLWTVVWQNLQHLHLQQFFGRHLSRHARRLAAMVDPYLSVTIAEYEGGRMKRSDAFEEVKAYLSDACSRGVRHLRAEGAKDADKLVLSMVDGEEVSDEFEGATVWWWAYSKSPPRNDGATAWWSGGGAAQEERRFYRLFFLERHRELVLDTYLPRVRQQGRAVMVKNRQRKLFTNISTHQWSDGGYMRSAWSHVVFEHPKTFATLAMDPSEKKKIMDDLDMFKKGKEYYARVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKKSAAAEDGDKDKKNGPAAAGEKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHVGKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDAHEQFEAVGELLREVEMTPADVAENLTPKSLEDGPDSCLAALVKALEEAKEKKASGGKGQDEQDEEEDEEEE >Dexi8B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:8B:6912842:6914176:1 gene:Dexi8B01G0006070 transcript:Dexi8B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSACSNHIRCRVPKRITGGSSKLAMAGGVENAAVARNKKVVLRRHVTGFPSEEDMEVVAETVALRVPAGLKAVLVKNLYLSCDPWMCGRMSKHEDEASRPAPDFILGETLVNYGVGKVIDSTHPDFKPGELVWGMSGWEEYTLITHSHLFKINHTELPLSYYTGVLGMTGLTAYAGFLEVARPKKGDFVFVSAASGAVGQVVGQLAKIAGCHVVGSAGTDEKVNLLKTKFGYDDAFNYKSESDIAAALKRRFPEGIDIYFDNVGGATLDATLLQMRRAGRVVVCGMISQYTLEKPDGLRNLFCIIPKAVRVEGFNVSGYFDVYERFEEEMVGYIKEGKVAVVEDVAEGIERAPAALVGLFSGRNVGKQLVALARE >Dexi5A01G0034010.1:cds pep primary_assembly:Fonio_CM05836:5A:36189000:36192729:-1 gene:Dexi5A01G0034010 transcript:Dexi5A01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPSSSSATAPPAVDSASLAAVAEAAPPEEITLLVKWCGQEQTVRMVGDDTLGELKLRICEATGVLPKRQTLLYPKIMLKSIDDSTLLSSIPFKPNGKINMIGAAGYRFADRSDHLVRIIGCGWCSQLETGVVSTVEEEIFVGQEDDPELLDDFDFEQNEDAAIKDKDVYKQKLKRRASQYKIKLLNPCRKGKKLLVLDIDYTLFDHKSPAENPKELMRPYLHQFLAAAYSKYDIMIWSATSMKWVELKMEQLGVLGNPDYKITALMDHLAMITVQSENQSRKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFVMNPQNGLVIKPFRKAHSNRDNDHELVKLTHYLLSIGDLEDLSKLDHGKWESFIDDSAKRRKRS >Dexi2A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:2A:2006328:2008601:-1 gene:Dexi2A01G0002510 transcript:Dexi2A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPRCYCAEWAMSPVQAATAGGGLYLPLAVTAWSPVEVVAWPPGTRRRACGHAVSSSPKTISNVYRQCWSGLSAAMEHCEELPGSQNYRKDTHILMFLKVLGNKYQSKLLEIIDASELPEFLGGTCTCPEYGGCLKGEKGPWKDPNILKAHSPEWQGPVFDKL >Dexi1A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:1A:27944947:27954567:1 gene:Dexi1A01G0021120 transcript:Dexi1A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCTIAFSLSLPLSHLPPRSPRASREHQPPAASPPRDTRTDMAAFTKLEDSPMFRKQVPLPASQLCTCGLPDPGSLDEAYTGDLSFADSLQAFGAGLDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSDRLSQFINMDLNGVKDCRQRLDRAAVAYDQAREKFVSVRKGTRAEVVTELEEGYELLSQMEPFIHQVLTYAQQSKEMAVSEQDKLAKRIQEFRTQEEIANVRMASSVDTSTSGDGIHVVGLQSYKTIEALMQSTANSEVQVIKQGYLFKRPQNTRGEWKRRFFVLDSHGTLYYYGNKGKPAESGADQKDWIQKITGVIASLLNSPFPQQMSYSNIATESNRSTSSVDSLSIEDNKSSEGHDDIFNLLRNIPGNDSCAECRSPDPDWASLNLGILMCIECSGAHRNLGVHISKVQYLAFLMDESNGAILFIEKPKPSDAFSIKERYIQTKYVDKLLFARDTDQVTIDILEAIRTNDVRVAYRILATAEVNANMTYDALSKDVHHVQPVTDKMSLDPVSCEIIRDSGKLEGCLQGCSLLHFACQYGNTVMVELLLLFGADINMQDFHGRTPLHHCVQKKNDDLTKHLLKR >Dexi1A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:1A:9426269:9429265:1 gene:Dexi1A01G0010840 transcript:Dexi1A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRFADVERHCQSVLASAADLRADADRASVRLHQLSFTDGDWIQDAGQAPLLPFHGSRADAAAAGPELLEAVQLASFKVTDMDMAPSRGASTAFEVRGILSLTITHNCCCCSYTERRASPEFELSPGFARLHVLLQGVYTETRSGGESVLCMVGDAVLPVRSSNSTDPWDWAKNHHGAESKFEPPVVADGNILLVLRYPKTSMLTTRAVRGEMTSTSATSDVAYFDTIRLVSQINFGYDSSYQFQPEDAVAGCSDDHPLFHDAGAKELLNRGAASPCDMDMVVVDQFASGSQMMEVIPNWNCKGTDAFCTSVGPFETTSRATQDMAALTRSAIAVQGVQCKPTSSIDGTPAARLTAVFRYVPPWEHHPTAARRTGLSGMTLSAEGVWNASTGRVCMVACLGGGEEEACHYRVTLSIRRTFSMTRRGINIGQITTMDGSHALLLFQQRVINPRLQRYGKPGETPRASYIYTKVEQAREFLRRSEPTGFRDTFVAKSLLSYPNIAGFADDLVSLSILADDLNLRFRCVEEQPFVPEWIEGSFLELQIFSVGDLVVRHSEEFQQQFQGRSNSLVEYTQRVQQLGRVPIVQRQQLLNVSAEFTASRNNFLSPSPVMSLEGVYNPEDGRMYLIGCRKMTVEYPPTTTRWLISQAAKVSVASTRDDDDPLYFNRTELRTLPVVYREQRCDVLTEPIVEGLLCATMLSATIAATVCQLRHIKSHPGVAPYVSLVMLGIQALGYSLTLVTDAKMLPAWPTPRYKSYYYPDHPGWNMDSSVKALTLVALLLTARLAHKVWRSRAKARARSPLEPGRVPGDGAVLLCCLAVHLGGLSFVLAGHQLSTNGMSRVIYDEAQRMCARVAVVGRYVGVVKEWFLLAQVIGNALWRVNCKPLAARHYAGLTAVWLLPHVYGYIRPPVVNTYSGFPDDVMDLKASDVVVPVVGFVLAIVVYVQQRWNYKIVGWAMKTDQKNKLQHVY >Dexi5B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:5B:3726913:3728648:-1 gene:Dexi5B01G0005530 transcript:Dexi5B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKFAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRSPRKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKSFVAYGKLRLERMNKKHQGARQKKAAEAEKEEKK >Dexi2A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:2A:14406241:14411165:1 gene:Dexi2A01G0012450 transcript:Dexi2A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSARGSPHSFPSSPSSPHPDAERMFMRGGSGRSTSSRSASLRDIDEEAVAVVAGDGGGKLYVTVGKDFKDAKSCLGAVRSLGLLGGDLSLVLLHVHQPADRITNGLCMVLASRLEEKELQAYRKIEQEEMNTLLNQYMTYCRLYLKVQAEILVIEKNNVANGIIELINQHHITKLVMGTSSFSTTRKVPKSKVASIVHQQAKHYCQIFFICKGSLACTRDATLDSIKADSPRSSSASTLSDESEIPARSVSLPPGHPGYMGSPDQPFPPRRSNSVSYSSPGFVTNNVERMLHIAQHSVHVKPRDCSPTSSLPSSEGSSSSSPKDTDSMDGSPSPASIVRPEEQQVSMVETGMQNEVFEQLQQVRIELERSRKEASEGRQKAERELFEASRMFKARENSLLKEKREVEEILEKEKAFLEKENFEIFKELQKANEQKAELENKLLQTNSLLEQLQQLQEDLQREKEDALREAEEMRRRCGNNEFISSDEVSLTEFSYSEIQEATNNFDESRKIGHGGCASVYKGFLRHTTVAIKKFNREGIVGEKEFNDEEIMRDPHIAADGFTYE >Dexi7B01G0021630.1:cds pep primary_assembly:Fonio_CM05836:7B:26621635:26627323:-1 gene:Dexi7B01G0021630 transcript:Dexi7B01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPRFPLLELAYDDTHRAHMIADQNMVLPYLRARVHAPLHWDSRYAPYVLRTGFLPIARTVCMGLPPMDGALLTALVDRWRSETHTFHMPCGEMTVTLEDMAMITALSLEGAPNDWRVTHQDYLHMWDQRQRHKIAEGEDWFAGENQLYLRWFYTDDYDVDTRWGNQPERAPLHDHMLNCVTADHVDPVRGPGGSSDSQPTPGWEGTCIVTQGESSQMNMTQTQEESSQPRWRRRRDRSDVPSSATCLQTGFGSAVPPLLARPESDAAPVVLAHAASMAALPRHMHWRGRAILPRQMWPLRTILLPSHCCRWGSRLEGPLVCGWEVGTYVDEPRQRPVTTTPSLEPIPLEVDDHPRAGMSVTIEPNRARGLQLPSSGDLHLHAVHARARHAGNDALALRSITSRHDVRGNRCVMSPPMPRRRHRSNPMAQWTSERHAARQT >Dexi2A01G0021950.1:cds pep primary_assembly:Fonio_CM05836:2A:33887164:33893297:-1 gene:Dexi2A01G0021950 transcript:Dexi2A01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFARGAAALPPCASAVDACRGPMLTTRRPCGPLAAALHCRSRRPPLDAREGRPLPFCTVRVPPPSMGSAYYTSPTGRKLRSLVEIDRFLQENPEYVAQGVTLAQFSFQIPRPLRQDYVKKKPKLVNPSDEASTIVSKSFQPEEVNPISWAVPTAHDGDASEEASRADETIGSEEIELTRKRKAGSSLSEESNHLSDELKPKLEDAQNGDTSA >Dexi3B01G0026250.1:cds pep primary_assembly:Fonio_CM05836:3B:21219913:21220601:1 gene:Dexi3B01G0026250 transcript:Dexi3B01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTASLSRRDLPTSRTFSPHERRRRERPPERDLEGDVERLEERLLLLLLQPRVAIDAERDVADGAEPEPAERGLEVDGTARRRGGVEGREEASPEVDAEDAVGEAAEGAGGEGVGPSFRWRLQRRPSAAKSPSPMSSAVASRKRPPLAYPAKPPARRRWSTSAGAAVVTAWPAPKGPHASMAPEGDARRRSVYQSKKRWRLRMKSRVLPRIGLGYGQWAVVDVVRG >Dexi9A01G0025720.1:cds pep primary_assembly:Fonio_CM05836:9A:26388544:26395415:-1 gene:Dexi9A01G0025720 transcript:Dexi9A01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRAAKPEDEEASSAKAAKLRDLQAQVLQNQHSGTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNIKELSEPEAIKHAGSRVQVRRSSDALPGDGAVRKDALGKSGRFPSGGGGGGREEWGGGGGGREE >Dexi5A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:5A:10017779:10020461:1 gene:Dexi5A01G0013310 transcript:Dexi5A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLAAFVVACLLFLSKPCARDMRLFLATLFQQFALSLLGFLAGLRLLGGVAAASTASKTMPLMPSFKRKRAAATVENVEDATAAATEPSVLDLPELAIDCILERLPPAELRSMGAVCRSMRERCRGDHLWERHMSEKWGRVLGRTARDEWRAHLASASESGGAGSVSGGGSKRRRWLAALSCVCPVVSWMRPRPDCGKSSGPALDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDYHTDSFRARYPPHGRRTVVLEDGVQWDRIRAPPVDTLAHDLHASDCLHELRPGDHIEIQWRRNKEFPYDIVLLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDDISKWRQLWPTDILE >Dexi4B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:4B:21960484:21962434:-1 gene:Dexi4B01G0019830 transcript:Dexi4B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRAAADDAASALERDSGDALRRVPLACRDALRLRDDAVALRAHLASVLQSLSLAEGSSAESIAALARIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKIDAVQDLRGILIRIERFKSLEVQYTKIHVKPLKKLWEDFDLKQRASRVDMEKFGGESLNGLSFSSWLPNFYDETLLYLEQEWKCF >Dexi9B01G0021920.1:cds pep primary_assembly:Fonio_CM05836:9B:16599579:16599967:-1 gene:Dexi9B01G0021920 transcript:Dexi9B01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMKTYPAVINDEGMYAHANSVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDAFIVE >Dexi4A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:4A:8454585:8458566:-1 gene:Dexi4A01G0010560 transcript:Dexi4A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVDSWDEFVERSVQLFRADPSSTRYVMKYRHCDGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQTEQHQSKKGRGRRQ >Dexi4A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:4A:24162287:24168112:1 gene:Dexi4A01G0020490 transcript:Dexi4A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLNPSLPNPKFRGSAPHLFLLPQDLPSLLCSAPPPPCAPAAAADPSPAKEAAAPRPYPPPPHVMPPRPSLHSLLLMAASSSAAAAGGDSGLLLAARRRLPVAAVAAVSAGGHRIRLLHSFSGPRFPIRHEVACCVRSAPGAARPPGPVTVRSRNVHSANKDRTASEARLGQLVQRLKNEGINPKQWRIGSFQRMMCPKCNGGSNEELSLSVYIRHDGMNASWNCFRSTCGWRGFVQPDEVPKVSQAKSGIESETDQEVEASKAAKKVYRKIREEDLNLEPLCDEISIAFTYRRDGVVVGCKYREVDKKFSQEANTEKIFYGLDDIKRAQDIIIVEGEIDKLSMDEAGFRNCVSVPDGAPPKVSSKIPEKEQASRIILATDDDVPGQALAEELARRLGKERCWRVKWPKKNETDTCKDANEVLMYLGPQALRKVIEDAELYPIRGLFAFRDFFPEIDNYYLGIHGDELGIRTGWESMDDLYKVREHARKLLEKRIEKPFFDARYGGSAERMTPDEFEAGKQWLNETFHLIRCEDDSLPSINWVLDLAKAAVLRHGIRGLVIDPYNELDHQRPSNQNRDQNAGPLDVVQVCVRKVRNKVIGQIGDAFLTYNRVTGKFKDADKPTIAAATAASAQKRTNSYGTNSYVKSTKDNVAYEMPFPIVPEDDLDSSQDGRPSAEKTNCLARWHHNPSS >Dexi4A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:4A:332317:333302:-1 gene:Dexi4A01G0000490 transcript:Dexi4A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRAASSSAIVAVAGALIMMAQVATAYYGYGQQYQPQPAFQTSNWQDGSATFYGDDSGLGADFGGACGYGANDIQSLYYTYTAALSTPLFAQGSGCGQCYELRCVSSRWCNPGSPSVIVTGTNLCPPNWYLPNDNGGWCNPPRQHFDMAPPSFLQLAQRVAGIIPVQFRRVPCQRSGGVRLCLQGNYYWLLVYVMNVAAAGDVSDLAVKRAGEPDCNYRHASHNWGITFQVFGALGSDKGLVVKLTSYSSPQQIVVVDDAIPAGWTAGLCYQGSNNFY >Dexi9B01G0043850.1:cds pep primary_assembly:Fonio_CM05836:9B:43781208:43782782:-1 gene:Dexi9B01G0043850 transcript:Dexi9B01G0043850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDWIEEVNTQRGGDVLIFLVGNKTDLVDKRKVSTDEGEAKAQEHRAMFIETSAKAGFNIKPLFRKIAGSLPGLDALSSAKQEEMVDINLRPSTGSSTSGAAAQADQKSGGCAC >Dexi1B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:1B:21927855:21929293:1 gene:Dexi1B01G0015410 transcript:Dexi1B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSRPPARPSLGIYAGRGGLTPLMASVGLNPGVPVMLRELEPSSEMFKQGASLRVIGTAVIQDSSVRLKIDTQKTSDVIFRTNSLYMFIGELLICADDEATLQARIGRNVDGLDLNLYQQSLLIRRQHEAKLQSSRRV >Dexi4A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:4A:3370991:3371439:-1 gene:Dexi4A01G0004790 transcript:Dexi4A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLRTAAAIAIATAVPAAGAVLPLRRHRAFLTVRLRGSSCRIPPQRGGAAAAMSSSARAEHEAGAWYAVPGLSLRDHRFAVPLDHSSPDGGDAITVFAREVVAEDIHDR >Dexi4A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:4A:21190618:21192558:1 gene:Dexi4A01G0017450 transcript:Dexi4A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNIPSQTPQQGLPPHSSVSSQSVQPYQQGMPVSQQVHSQSSQPYTQHVQTSAGRPASHLAPPQQFQHQQPSTHKTQPPAAGRPIGQGSSELETHASRSGKPEIASNAADNTAVSENKNNGAESALMRPTTSQSLGDENMNNKQNGFGGVRKDPSGHMSQQNAGTPGPYVPPGMGQQHPSGPDVMIPRHMMHPGHKNDFSENIRPPLQQPYGLFHSGMTSRPLGENQIQMPMSQPGGVRHGDAMIRPHLVGPLPGHNDAMLPPFVDHLGQPPVGGRAFQEEGFNASGEHLRSRAAYPGRHDNMEDGLKQFQGPAHLDGQGLHTGPRLFERALGRPDGFFDSLQGRPPFPNQRSPFPVGPHEDFSRKPNTTSGHPDFLSHGAEFDQHRADGMPIFRNPGPFAQGMSGSPHGPRQDQLGSGNLPGNLQQSFGGPDFPPTRFNPGDSFPSTILHAFGLVRTTHWTWPLHFTEPSSHHFAGHMHPVDPNLVADYAHHAFPKESAHFGLAGPLRNGNIGWCRICMFNCGSAENLDLHVQTREHQQCAMDIVLQMKQDVAKRQKL >Dexi7A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:7A:16783912:16785493:-1 gene:Dexi7A01G0005520 transcript:Dexi7A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTLELANMQIMKRPRRNHEKEHPEPEGVRTTTGNEADCDCSILHLPEACLAHVISLTTPADACRSSAVSTAFQAAASSDPVWEHFLPPGYRSILARADHPVDLTTSKKELFLSLAQDHVLVDQGTKS >Dexi7A01G0005520.2:cds pep primary_assembly:Fonio_CM05836:7A:16783988:16784340:-1 gene:Dexi7A01G0005520 transcript:Dexi7A01G0005520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMCSATTGRSVWVLLLELVDGARVPEPTEEDEWCGIPSYAVLDGDEADDGRVDPGEPRGTSAQARASAGSMGSSISSTRLTRIGARSSGP >Dexi9A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:9A:7045361:7046419:-1 gene:Dexi9A01G0011290 transcript:Dexi9A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAREKRLPPLHLSLNVPSRPAVQEPSFLRHPNPPPVASAPQSAAASTPLSRSSQFRLADFDRLAVLGRGNGGTVYKVRHRETSTLYALKLLHHGAAAAAAAASASAEADILGRTASPFVVRCHSVLPAASGDVALLLELADAGSLDSVMTRRHRASEGAFFFPEAALAEVAAQALSGLAYLHARRIVHLDIKPANLLVTTAGEVKVADFGIAKVLSRAGDHCTSYVGTAAYMSPERFDPEAHGGHYDPCAADVWGLGVTVLELLVGRYPLLPAGQQPNWAALMCAICFGETPAIPDGAASPELRSFVAACLQKDCSKRATVAELLAHPFVAGRDATRSRRALRELVADEA >Dexi3A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:3A:568370:569584:-1 gene:Dexi3A01G0000720 transcript:Dexi3A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKDKVLKVGLSEQIRREITTMRLVAHKNVVELHEVMATRNKIYFVMEYAKGGELFDKIEKSGKLTEAAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKTGYDGGKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKIQHGDFRCPSWFSQKLKKLLYKILDPNPTTRISIQKIKESTWFRKGPEGTRTVKEKIPCENATTNAAPTLSLTRKKNPCDHVKPLIVTNLNAFEIISFSSGFDLSGMFIEKERRKEARFTSDKPASAIITKIEDVAKMLNLRVRKKDNGVIKIQGRKEGRNGVLQFDMEIFEITPLHHLVEMKQTSGDSLEYQILFEESIRPALKDIVWAWHGDDEQQKQE >Dexi8B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:8B:21728138:21728536:-1 gene:Dexi8B01G0012380 transcript:Dexi8B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEEMFCHEFHLVDLRVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKR >Dexi4B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:4B:18911551:18914921:1 gene:Dexi4B01G0016760 transcript:Dexi4B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGEDARRRAAATDYRKKMLTCRELETRTKTGREDLQSSKKNLEKTEEDLKALQSVGQIIGEVLRPLDKERFIVKASSGPRYVVACRSKVNKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADMRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Dexi4A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:4A:13791389:13795068:1 gene:Dexi4A01G0013680 transcript:Dexi4A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYNSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAVRSANLSPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQSEPLPGEETVPESNHSNENIPQSAQPLVEAPAPTAAPATSSKVEEANNDHQSDWPMPDAIGNIGVGPSSFGHLTVQVDEDEDYDNED >Dexi6B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:6B:7925336:7925837:1 gene:Dexi6B01G0006920 transcript:Dexi6B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGERTTRRNVSHPEWSVVRNHLHLRIKDAAVVLNATAKYLRRLCRDNGLSSWPGKKIRSWKAMGLELDASDGSGPAGLDGQANEVVGSNIILEDDEVRVNSLVIANQHPPQELTTLNLIDKVGLPPSTSE >Dexi2B01G0033550.1:cds pep primary_assembly:Fonio_CM05836:2B:41101365:41103547:1 gene:Dexi2B01G0033550 transcript:Dexi2B01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Dexi4B01G0024050.1:cds pep primary_assembly:Fonio_CM05836:4B:25244065:25245790:1 gene:Dexi4B01G0024050 transcript:Dexi4B01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALPEGAWYCSTDCVRINQTLQDFLNRGGEPVHTMDLNVIKKKREERGLDEDVDLDIRWRVLKDKSSEDSKLVLSKAVAIFHETFDPIIQIGTGRDLIPAMVYGRSARDQDYTGMYCAVLTVRNTVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFQALFACIERMLASLEVKHFVLPAAEEAESIWTERFGFTKIGQDELLEYLKGGRMAVFQGTSTLHKLVSLQEG >Dexi8B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:8B:3446595:3448325:-1 gene:Dexi8B01G0003950 transcript:Dexi8B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Dexi6B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:6B:19876707:19880856:-1 gene:Dexi6B01G0012290 transcript:Dexi6B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLHAESSSATNLASSAMPHRMKPGLLLVTVAVQWNKARTRAAGQAYFPSIRPQYASDRYVKRVRACLTPWCQPLAEASLASCPLVSMIPSMPPPSAMYARIKTIIAEARLDSGSGGYDSSSGGGSSPASPAASESDGSSGADELYPHDQSEFRRPHASPLSFSAHDDELDRDCCIRHQPSVCVLLDDEHHQRMLLLFLLPAFASPTNAAARAEALSLWLSEFDVAWVLDEMGATAIPRRELGTRRVFHLHLRSQELTPKKEAALGELAAASAGAMLTLVGAVAALEGSCPWKLLAALDLYAPLTETYPLLAVLFSWGPSHPVPAAAEPALAGLVDAARRCGRDLGALVRSHYPWQQMPRVGEVDPCVGFWMGYFRRMLSSSRVSLRFVLGDGDEHEDEDSPPGTAEGLVAELISCLEAVLEEKAAALASFPGLRQVFLLNNTRAVAAGSDDLRRSLPPEWLRVREERMEGHIRGYMDASWAPVVSQLARRRSSPSAFHAAFESACSEQRCWKVPDPALRGVLREAVSEYVVPAYRRYLEDHPEVEAPPGGTAEELEQQLVNNDHYAKAKHECQSLSS >Dexi6A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:6A:833472:834074:-1 gene:Dexi6A01G0000980 transcript:Dexi6A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGENVTTAVSKTPDNKHIQITFYPRVPPELSRFYIHSPDRADMYMEPRIVATEDDLTLLRVDTNASMYPVYYIYQAADDSSGTPPSLKLLPKTPYIHFDAMDIGLLRLPGKQYIVASFRCLPDSYPDGGLTLGVYDSRAGT >Dexi9A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:9A:2873615:2875048:1 gene:Dexi9A01G0005210 transcript:Dexi9A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPQALLEEIVKRISRLIDLNSLSLVSKLFYTVESENRHSIRVGCGLYPAELAMASLCLRIPNLCTVEINYSGWTAENGLQLDNQGLSVLATCCPSLTDLTLSYCSNINDYGLCWIAHCKKLTCLKLNTVPAISSAGLFTVAVGCKSLSALRLIGLEKVANVNWLEYLGREGLLEELVVVACKGISQYEVLRFGPGWMKPQSCSNLRSLSLQLTPLCNGGLEARDVRTPLTDDSLKALALSCPLLETVELTFAACEPSYPSEIGFTQNGFVMLIQSCPICDLILTGANFFNDEGMKALSSTQYLETLELMDCVAISDVGMRFLAHSPRLINLTLRQCHYVSDRALTEVARSRSLESLIVEGCRRVSLEAIQGAAKSVEYKVDGPGLFCLNRG >Dexi8A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:8A:8593705:8594027:-1 gene:Dexi8A01G0007550 transcript:Dexi8A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEREPPVRGGGAAQVVVPVDGIPAYEQRDMALQEGSSAVECSVCLSEVENGETVKRLPACLHMFHRECIDLWLRDNSTCPGSRLHAATNAGANSVTAWVSP >Dexi9A01G0021040.1:cds pep primary_assembly:Fonio_CM05836:9A:16042051:16042332:-1 gene:Dexi9A01G0021040 transcript:Dexi9A01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKASISHQLRQITGELVVGQNVEASFIVEASCPCRCYLLVGEAAVVEADVEEVIAVVVHAHRQALRG >Dexi2B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:2B:30382380:30383527:-1 gene:Dexi2B01G0020290 transcript:Dexi2B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQQPHVCVTGAGGFIASWLVKLLLSRGYTVHGTVRDPCNPKNGHLRLLDGAPERLLLFKADVLDRDALAAAIAGCQAVFHVASPDWYSAAKTTAEETALEYGEKNGLMVVTVCPCIVVGPLLQPVINTTSELLIYITKGLPSYISASFN >Dexi8B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:8B:21603478:21604533:-1 gene:Dexi8B01G0012260 transcript:Dexi8B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMALSVGKSVVSEALGYAKSAFAEEVALQLGIHRDHAFIKDELETMQSFLMEAHEERDDNKIVKTWVRQVSDMAYDVEDNLQDFAFRLVNIPWWKFPCKLLEQHRVAKQMKDLRARVEDVSQRNVRYRLIKGSGSKAAVAKHSSIISAAILGVDDYARRGTKQENQRVGLVQLINKEGDNLKVIAVWGTSGDIGQMSIVREAYESPEVQSQFSAKAWVRVTHPFSPESFVQSLVNQFREAEGVDDLLEEKKTEQGLLAREFRRYVNDKRFLIVLNGLSTIEEWDRIAKCFRKNKKGSRIVVSTTEVEVAILCAGQTRQASELKRLSADQIIYAFYEKVIFSLSKLYQMC >Dexi1A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:1A:25530925:25538171:1 gene:Dexi1A01G0018270 transcript:Dexi1A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVVTVESSVANSFPSRELEPHQAQVVVCFRIDSTCISEHEPLVAAQWPPATERTFLARASPTRRTALWAEATITRIHDLLHHAVIGNLVERHPVLADDDDVVPDLIVSPNTMATSELEEPRPSEVTTSPAWPPEPSTEAKKPFLLHNMCSGLVDGRRRRARATTVDGRRVDEMGRWPDGMENWQGADRRAEAEPRRGAKIMRSGFHCSIKGIGGAADKVLSRMASPRRWRRAGLGAKFESARTGTGWPSQKFGGAPNWAAPEPRLSLKKKFEALSNSRNPEIAPKHPQLPPILDPIHTKSQEIPMAGGEGSSRGKGSKRKAEAMKQIVTTEETTSAGDMPIIGDPISDWPTSILKEKHIKALETDGFLPAQGISRWRSAYGHEYPTEDTEEITGMRPVYLDYTTKESLKDWQKEWFYAWNHQPHLPPLDPTNTEEAALLARCVDPGVRDQVRMKSAIEDPDEPMVKLEPQVPEEPQAKSGATSRGTERSKRPAPSEAQAPVPKKARTLPKPQARTIPEERAKVSPQPRTTSSVEIAIGEIGTSMPQQSSSARRALSEEEILHEIFNPVSTPFEGITPIIEEPCPAGPSTAGQEVEEEFTLGEPEIPMRPSTIARRTQGCSRNNPAGSANNSASRLAAPEEAQVEETVAEVLAEIEQLVTAAVIEESERERRDQSSAQPPSVTVTTQAGAEAECSREKQTEDTTPEQAIEEIPRVPQSTGAEEEGRSFRIGSFDPMCNPNPQTFEYILDAEEDEQHIDRGLYHAERAVAYFKAVGEASRKKTEYLHNISLMHAKADRLQKELEREREDRKLQEAEDADMIRTLHLRTKELAAEREDMKKKLAAAKSELKGAQQQLATAQSKMTDWSNVANRYEEALKTLSADHEGVKEQLRVAVQQRKDADEQLIQILEQQKQLAKNLEDAREENGRLSRDLVQAQKHLADKKVLDEKLEQAARRMSELEEELRLMKKSDDDLAEALNRISQLEKAANPVVKALVPEDPATPQTFLERLKAMPRQLKAYIKRSSKACLVHVLAVVKSRYPEVDIGKLVEGAEPNCTESTFRDLKQEAEPVAEAIAQSLRL >Dexi3A01G0028780.1:cds pep primary_assembly:Fonio_CM05836:3A:29710049:29711079:-1 gene:Dexi3A01G0028780 transcript:Dexi3A01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLLLVDGGPMTEILLLLDGGLMAEDGGCNYWAWAEGPHSEFIQEMLRDLRNEVWRVKRKNGELKALMTEMEEKEKHKEKDIQAMRVTVSTMEKKLGMYKLVIKCGVFLMLIAVWNKCLFN >DexiUA01G0027000.1:cds pep primary_assembly:Fonio_CM05836:UA:57544054:57546580:1 gene:DexiUA01G0027000 transcript:DexiUA01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPVPDGVSSCASTNMRHADHLPPFPLPCIGEPTAASRVSPGSSPARSEASRGAPCYAADEEPDPEPGVEASDGRSTQMLLAMAAMGGRGGHYGRRPASSYGSCAAWSAGSLTKHRPASPSPICSPVSSHGGGGGDREPHGGDDEASFVTPRMEEELGRLPTRADFMKPCATPRNIRIQTPRLDRRVDGNNQVPPKFIRKATPARLMRRVRSSHNFRQRVGAIDVINEWRLPKVSEGEDEGGEQKDWQNEAVSSRISSARDWNFESDGAFEGGNHSDRAFGDSDGENCPVAAPRMERRLPSSVLKPQGNFVVHAKLVAWKDAQVAKLLENTANLKSIRLKRKEANIDDWHRNKIAEARQKMRDTELELEKKRAKAGEKMQKAIKRAEKKADKKKVKEQLATAKQIDGVERALKKMSRTGKLPWSLAFL >Dexi6B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:6B:3082910:3084982:-1 gene:Dexi6B01G0003780 transcript:Dexi6B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPSASSAPAASSLPLTPADGFLRVKDGVDGMIKYVANEPSVGLYFVQQHAQASMPILLDVKDNLVEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMIKDINRSLQIMSKTQPKRGLIQNPSWGFQSGKSSGTWDELGSTNGNSGRNYLSSMFNTAKQKASSLRWPQPDFATKDDSSENSASSAAPESSQAGGQGASTSDMERDAPESSQAGGQGASTLDTERNDRPISNRLSDGTTATHKSLAATDVSDTVETYNKFKEEQELKLQQWLRESEEAEDNRD >DexiUA01G0027670.1:cds pep primary_assembly:Fonio_CM05836:UA:59058076:59061353:-1 gene:DexiUA01G0027670 transcript:DexiUA01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTEVHAGTVQEVPAAGGAEETKRKRGRPKGSLNKKKKKQQTTAKPRAAGAKRGTRRTADRALRSRPISPGDLDRGVAAGPRVLRERRPAANACYTDTEDNEETTNDQVSNERAKSSDSGKKRGRPRKTKVGQLDSKAQASNGKSNSEMKSDAEAARDKKSKTREFVKTAKKQQRRDEGKEEKLSFARDEKCDANTTVGRTILTGENALMYPNLPEDEFAAKCPYCRKNCNCKACLRMRGVKEPPKKEISQENQIRYACHIVSLLLPWMRELRQEQMEEKEVEAKIQGVSMNDIKVEQAECDLDDRVY >Dexi9B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:9B:6991775:6991824:-1 gene:Dexi9B01G0011040 transcript:Dexi9B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKHKRT >Dexi5B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:5B:7533880:7535671:1 gene:Dexi5B01G0010670 transcript:Dexi5B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPADLEVPGFVPLQLSQSQILVTYIGASLFVLLVVWLISGRCGRLSKIDRLLMCWWAFTGLTHILIEGPFVFTPNFFKKENPNFFDEVWKEYSKGDSRYVARDAATVTVEGITAVLEGPASLLAVYAIASRKSYRHILEFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAMRSWKKICGAFQAEKVKTK >Dexi4A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:4A:3048839:3057308:-1 gene:Dexi4A01G0004270 transcript:Dexi4A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGADSGHGTSASASSAHIIASSFTTTSSARGDDVSGDGGTTPAQRASRSWMVDLCGSPICSMQVADSCAWEELFDSSTCMNHIMVTGVAALISIILAIQLLVRIPRSGASARQLVARGSPLQLAGVVFNGCLGLIYIGLGLWMLWSNFSQDASVHLPHWWLVALSQGFSLILISIAFSIRAQFLGMTYVRIWSVLLTIYAAFVCCSSVANMIADKDVTMKGCLDVLFLPGALLLLLYGIWSIREDVGLAMIASLVVIILTVLCNAPLAKLQHKFQSKLMEAQDVRLKAMTESLIHMKVLKLYAWEAHFKKVIERLREVEYKWLSAFQLRKSYNSFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCVGSEFHIVIDNGSFSWDENPSKPTLNNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGKMAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYKNADIYLLDDPFSAVDAHTATSLFNEYVMEALSDKTVLLVTHQVDFLPVFDSILVSADLSIVDLDVPFTFMFSIGTSINSYSNLGKFYLASAKELMRINGTTKSAVANHLGESIAGAITIRAFEEEDRFFEKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFNPGFIGMALSYGLSLNMSFVFSIQNQCQLTNQIISVERVSQYIDIPSEAAEIIEENRPSSEWPQTGRVELRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFSDQQIWEVTFVEDGSNWSMGQRQLFCLGRALLRRCRVLVLDEATASIDNATDAILQKTIRTEFRDCTVVTVAHRIPTVMDCSMVLAMSDGKVVEYDRPLKLMETEGSLFRDLVKEYWSYTSNGNI >Dexi2A01G0035200.1:cds pep primary_assembly:Fonio_CM05836:2A:44899233:44910133:1 gene:Dexi2A01G0035200 transcript:Dexi2A01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGFGREAGPSTRGPGSAFPTFGVAAATPAATAPSPATPSFPSARPATPSFPSGRPATPSFPSARPAAPSLTSARPTTHLAAATTRFPSPRPQLAAAATASRPATTPPMPIPVPSARTAAASGSAASTRFPSPRPTLDPGAAAATGRHVARLLQPQPRPATLSVSGAVDPSISSSRAISAVSNPRADSPADYDNGMGQRRLVNYADPLFENGSLQSSEQLRMRPSEHMRSHTSARSPPSNIASKFRPPSDSQDHHPAHIADPRDNVLVDYTDTLIGDENVETSKRMRSPASEFTHMMKSSPSGIRDNIRSSPNSTQNLRVHADVQKSNTSLQKFGNLIQSRIGGAQPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDSFLSLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARASRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYYGFGLRHYEELYLVKEGPFLNSESDFPSGCSQLVHSKKSQRVIVDVSSGPVCAPISKDNTSVSSPSRIVTGKRDLFPSQHAPVVPHGGRREVFSLFSGPVSTTPGKQISMPFSDSFSPKAANKLFSPTSPTPLIPNAGREDSASTFPTPASPHSSKSEIFSRMPRVLSPKAKGKTKFANDLTAEDQDSRLAESPQEVEMQTEILWSHANTEKINALTEPIASHSHTDSISLDYSNMLREEETFREDMDQGTPPHHEVLVIKPELPIGSPLSDHNEYMHHNISNSTVDDWLPIVMPPKKQISDEKLKGILRKWRQRAADKRSLREQKNALAVAALCSLSLGPPVHNTTMVPKLAVEELDIGHAFKERQTRQQRSWSWLNVSELSAPILLETNPDARCLCWKFLVLVPAGAMESQTINFASKWLLRKLMGSGNGDSGLIVSSVGLSIWTEWISFPNTCCLSVVRASDQQVIGNDIANGTSCIAFVVSEGISWEMQKARLNSLLASIPDQSNLPLLILSGDTYHEGYDYASQYIIDRLGLSDFHGGKIASSLVIFLIENMEDYANGFFDDDKLREGLKWLIRNLPRQPDITLVKTHELLLSYLNPQLELLNAHAAPGAGPGDCISVFNNAVDQVVEEIMAAAQTNINQWPALEIDLLEGTSNERIYAEAFLPSIGWSSPSRIQPLLAAISTCKIPEFSYDLSCLKQGSHMGKQTQDQKKLLQECLARYLTEATPSLDETQVATEVNIMVQRYVGLELRDSYYYLVPRWLAIFRRIYNWRLSKLSTGEFSEAYVQSQHLYQAPVAANSNGATGTQGLTASGNTCDESSILEDHSMMPTVSSGLSLDEIIEVSCDLDDDHAEPASQQPRPPTWIHEEPHAPASIGEEPHAPANTNGETNMVHGVSEMYMPRRIESRELLPLERDDKLARLLEQCTKLQDRIHETLSIYF >Dexi3A01G0028100.1:cds pep primary_assembly:Fonio_CM05836:3A:28407572:28413374:-1 gene:Dexi3A01G0028100 transcript:Dexi3A01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNITVTRKSQSFVVPFEPASSSSTEPETLELSAIDRVPGLRHTVRSLHVFLSNKDSTTTRRDEAAATARPAEVIRAALSRALVDYRPFAGRFVGSVVAGEACVQCTDEGAWFVEAVADCTLEEVNGLDDPLMVSVEELLPAPEEGVDPTSIPIMMQVTEFACGGFVVGLVAVHTLADGLGAAQFITTISEFARGVNKPTVVPIWARALIPNPPKLPPMPPPFFQFYGFEHFVTDVTSDHIAHVKAEYFQATSQYCSTFDVAIAKVWQARTKAIKYRPDFKVHVCFFANTRHLLTEVLPKDGGFYGNCFYPVTVTATAEDVITSGLLDVIKMIRDGKARLPLEFSKWAAGDAKVDPYQLTFEHNVLFVSDWTRLGFFEVNYGWGPPNHILPLTYADYMAVAVLGAPPSSKKGTRIMTQCVEEKYLMGFKDEMKAFF >Dexi7A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:7A:29298609:29308790:1 gene:Dexi7A01G0020540 transcript:Dexi7A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGCTRGAEGSTPFCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCNVPGCTKSARGRSDCCVKHGGGKRCKFDGCNKSAQGSTDFCKAHGGGKRCAWDTGCDKFARGRSGLCAAHATQMASKPERDPGQGRSMAGPGLFSGIVSGSSAAGSSMDHGISSSGHATWSDCVDSSGDMQSGGRLLIPHHVLVPGSLKASSSYSLAGNAREDGGSQSQGFGLVVPEGRVHGGGLMSMLRVGGNLGSYPDAPKANNTHATQPTCQNAGASATPHRTIKPPPTRRFSRRTPWPFLLSPHPLSEASTPSASSPLARARVRLRRGGRARPEARGARPSGLRSCTSRRRALGSSTCLLGTSCASICAMEEIQKDMQSPDSSGSEPELMVTDGNGAGQSHNMDEGSIDSPIDVDEGQSSMDVDVKGKSSLDYDVNGKSSSEPSYSNVPIDMSVESLEKFCKQAARSFFDEIGLISHQINSYNDFVSHGIQELFDSLGDVVVEPGYDPSKKGSGGWKHAIIKFGRVKLEKPVFWSGKDEVDIDFKPRHARISSDKEAFDVIDIQDCDASVINTISATIKESDDLCEGFRKSDKARRYVDELVKNSRFPPAESFDDYVDRFLFPDISGNRNKALFLGYMVKCLLTAFTGKRKCDNKDDFRNKRLELPGELLGRELRAHIRLAERRMVKAIQRDLNSNRELQDLERYLDASIVTNGLNRAFSTGSWCHPYKRTERCSGIVATLRRTNPLQMIADLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVEIKRDKHQKETNDIVIGKVSENGEDHSIKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRTPCLGDKFSSMHGQKGVVGFLESQENFPFTHQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAEQLHKAGFSKWGAESVLNGRTGERMQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQTCQRVANVIMRCVPGGKKIRGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFETEVC >Dexi3A01G0027130.1:cds pep primary_assembly:Fonio_CM05836:3A:23862430:23865582:-1 gene:Dexi3A01G0027130 transcript:Dexi3A01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGAGGSECERKPLSEVVGDCVQRWFQDAFKEARKGDVANQVLVAQMFFSGYGVPKNEQKGRQWMDRASKYRSSALKVGMKRPGYNASDSDSDEANDDANQ >Dexi2B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:2B:8276783:8280745:1 gene:Dexi2B01G0008150 transcript:Dexi2B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASSGGLLLLPLLLLCLSSLASPATARDILGDARSPGFAAWLRGVRRRIHQRPELAFEEHRTSELVRAELDAIGVPYTWPVAHTGVVATISGGDGGGPVVALRADMDALPVQGTVKLVFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPVLPVGVVSGRPGPFAATACRFLATVTGKGGHAALPHQAFDPTVAASTAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESMTFGGTLRSMTNEGLSYLMMRVKEDKMKTYPAVINDEGMYAHANSVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGSAFHASVAIEYLKKHAVA >Dexi9B01G0027580.1:cds pep primary_assembly:Fonio_CM05836:9B:30056500:30061382:-1 gene:Dexi9B01G0027580 transcript:Dexi9B01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNCKNLLGTITLSTCPAQAAPPPPPSSSSTPASRAGANVTLDGAAVVTPSGLVELTNGTLRQKAHATHPAPIRFRDDGTSARSFVFGIFCPDPDACGHGIVLFVAPPSYNLTAAFPSQYIGLVNATTNGDAGDHLFGVELYTDQNNEFRDIDGNHVGVGVDSLVSVSSASAGYYDDRGSGGFRNLTLASGEAMQVWVEYDGEDKRIDVTMAPLKMAKPSKPLISIAYDLSTVLTDVARVGFSSATGSFNSRHYVLGWSFAMDEPAPAIDISKLPKLPRFGPKHHAKLVEIVPPAATAVLIVAVGAVAILLVRRQLQYRELQEDWEDQFVFSGFAGANLTLDGTATITADGLLELTNGSVQLKGHAFLPAPMRFRSSPGSTVRSFSVSFVCHGIAFAVAPGTDFSSALAAQYMGLANIDDNGNTTNHLFAAEIDTMQNVEFQDMNNNHVGIAINGLHSVEAHAAGYCDDSTNGSFFHDMNLISGEVMQAWVDYDGESARIHVTIAPIGVTTRPVRPLVSATYNLSNVIKEPPYTGFSSATGPIDSRPYILGWSFAMEGLAPAIDVAKLPKLPRLALKPRSKVLEILLLIATAQH >Dexi6B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:6B:13312347:13318056:-1 gene:Dexi6B01G0009190 transcript:Dexi6B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLPSSTASRRRSIPPISFPQLARLTTATSFGVAFLCRPSLSFGSLQTTGAAGGGNASGEGSSEAPVAGWLDADLLRRVSGAADADQVLDIVAESAGGAGAALEAPDCNAIVAAALDRGNVELALSVFKAMRSGIAGEIAKGLPIMVLIPFGIIVRCPTCTLAVAVAQPQEGTQVVSCSKCRYQYELFSGDIASIESEEVRLLPQKAVDIVAVRQQLLSQYDILQSRLKELKQYAQKEVWMLARMCQLDNKILAVGEPSYRARRGRVKRVRESLESTLLARIELMESYAKLCSMIEIEVEMDSDVIAAEAASSAERISEQIQQLMEIDTLEEASISSALHSVRRILLKRSNIFFCNMLFSNGVYKLKLMMKPKGFLVLILQKHCLLSMFN >Dexi8B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:8B:16632247:16633458:-1 gene:Dexi8B01G0009360 transcript:Dexi8B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGFFPYGGSAYDPYAHNRAAPSYGRLPSYPPQPTTACGHHLYSDPYCSAASRAAMGPHCTGDSFSPADACWDAKLAEVVQMLRAAANPRPRPLSIPIHIRGLDAAAEPETEPADRTKEKEKRAPSAEEAAAVRASARGFLARRSVRAVRRVEREAGAVARIVAEKAAALRENGRARLAAWEVLMKMLLRLDAVRGAREYRRRVTRRVLALQDAVDALDPMAAPPPPGAHETEAAAAEAAAEESAVTPELLSDAAENSDEMGSNTDAGTKTEMDGSRSATGEPVAGDAVLDVCSEPQDSDAEGEWEMVAEEPATASSANAPPRQERSGQEVTTAAEAGAPGGMDTARLVGMVAALCERNAQQSAVISALTQRVDALERAVRQMQEEASRAVAEQTEETN >Dexi3A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:3A:13267502:13268402:-1 gene:Dexi3A01G0017370 transcript:Dexi3A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWTTALVGESGCGKSTIIALLERFYDPDSGMITLDGVDIKNLKVSWLRRQMGLVSQEPVLFNDTIRANIAYGRQQGEEAATDEEIVAAAKAANAHQFISALPQGYGTFAGERGAQLSGGPSRGPILLLDEATSALDAESERAVQEALDRAAAGRTTVVVAHRLSTIRDADVIAVLRDGEVVAQGTHEQLMASRDGPYASLVELRMRSERAGVSSSA >Dexi2A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:2A:121316:123721:-1 gene:Dexi2A01G0000180 transcript:Dexi2A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELASSFAAAASATAGASSSSSSYSAAAAAAAVVALRGWWNDVNESPQWQDGAFFSLAAAYALVSAVALIQLIRIQRRVPELGWTTQKIFHLMNFLVNGVRALVFAFHVHVFLLRTKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYIAVNSIIYVVQVCIWIYLGINDNTAVELASKIFIVAVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICVTCFLIRCVVVALSAFDPDVSLEVLDHPILDLFYYTLAEILPSALVLFVLRKLPPKRVSAQYHPIN >Dexi1A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:1A:10810214:10811336:1 gene:Dexi1A01G0011680 transcript:Dexi1A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRNRAAGMAPAQGLAVVSLLAVASLLVAATANDAATSTSSTSPAPAGWLKANATFYGGADASGTMGGACGYGNLYTQGYGTRTAALSTALFNDGASCGQCYKIACDRKRADPMFCKPGVTVTVTATNFCPPNYALPGDNGGWCNPPRPHFDMAQPAWEKIGVYRGGIIPVMYKRVPCVKRGGVRFTINGHDYFNLVLVTNVAAAGSIKSMDVKSSGSDNWIPMSRNWGANWHSLAYLTGQMLSFRVTDTDGQILEFTNVVPKGWKFGQTFVSKLQFK >Dexi1A01G0003030.1:cds pep primary_assembly:Fonio_CM05836:1A:2196741:2199101:1 gene:Dexi1A01G0003030 transcript:Dexi1A01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWALFIMQLASHGTQAEETMQFVPQFDHAEVSDSQPISSQLDTIEESTEHLASCEIKPVSVDDDNENIDANEETHLVIQDGPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVAFLGLVVYRFYGDELREIFGYEEHPYVFYAMAIFAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQVPDLDPSHVTELKVSPFSM >Dexi7A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:7A:14356403:14363399:1 gene:Dexi7A01G0004090 transcript:Dexi7A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVALGELVVLGTRLSPSREKRVAGGAAPSVAPGRSALLAAAAPSVAPGRSAHLAAAAPSVASGRTTLRRRWVAKGTCRRQMGRGRRAGVRTRRSGSEERRWGENEGGSTPNEITERGTPAQTSNTEHAATTHGDGGRGGRQRDGPGGRPRAARRGPRRRHVVVFEKSGRVGGIWAYDPRPDNGCLYESLRTNLPRELMAFSGCGLAGRVFAGDRHMFPGHQEMLAFLDAFADESGIAERVRLHAEVLRVVPGLGLGQGEEGWKVTWRREDGGEVAEEVFDAVVVCNGHHMVPLLPRIQDCIQDDGKVHFAEGSTLVADGLLYCTGYLYDFPFIDLDGFTVDDNRVGPLYKHTYELQSKWVARVLSGRGAALPSEEDMLASVQEHYREMEEAGKAKRRTHVVMPEWVGYMNWLADQVGEPHLEPRRRDVYEKALMCVFSVDEGYRDKWNKEEDEKNHTTS >Dexi6B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:6B:9963726:9964264:-1 gene:Dexi6B01G0008050 transcript:Dexi6B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPPPPPKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKKAAVALNPGGSLYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKT >Dexi6B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:6B:16673184:16674440:1 gene:Dexi6B01G0010300 transcript:Dexi6B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLPEADGFLRQLLGRGSQQDRAAAAAAASPPFRHFVERLTGGGAAPISRASSALAGADADATAASARGGTGGVDPASLTVHFLRHSCGLAEADAAKAAERVHLRSTKNAHAVLALLRDTLGMSPATVARLVAAHPAVLKNTTIEAKIDFYLRELGLTAAEVRRFILVSTYRFLHAGLDGRLRANYRVLRDLLGSDENVLTAVKQSIELIYENLEVVLLPKLQALRDYGVTEDVLVRLVTTHPKALVHRSSRFNEGLAAMKDFGVNPASGIFPYAFGVFAKIYQSKWDRRLENYLSLGWTEAQVRRAFIKHPYCMSVSDDKVRQLMLFLSEKLGWDPEYVASCPTVLSFSYEKRVLPRYKVLEILLSRGVLKKGIRMGHLTISEKKFMENYVTRHQDVIPEVLEAYGARTGCDVK >Dexi5A01G0035070.1:cds pep primary_assembly:Fonio_CM05836:5A:36944910:36947607:-1 gene:Dexi5A01G0035070 transcript:Dexi5A01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKLRQPLVPLPRRRLPPAAVVVAAAATAPLLVLLAVAAFSHSPVAVVTADGAPNLVELTLVAGAREKGAVCLDGSPPAYHLQRGFGSGSHSWLVYLSVSNMIDGTKLYYRGLRIWEAILDELMELGLAQANQNVRKVLPKDCLTKTKDPTECFFAAELIKSINTPTFILNSEYDSWQIGNVLAPNGSYPGQSWSSCKADIRNCSSKQFNALHGFRKKLVQDLKVAEGKSDWGLFIDSCFSHCQTPFNISWHSPISPRLGNKTIAEAVGDWYFGRSRGMKEIDCKYPCNPTCSSQLPTMIG >Dexi9A01G0040770.1:cds pep primary_assembly:Fonio_CM05836:9A:44493172:44493626:-1 gene:Dexi9A01G0040770 transcript:Dexi9A01G0040770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSATRCLGVGGLLAVCLLLGAADAATHRVDWSLSFSADSWSRGKNFRAGDVLEFNYDPSVHNVVAVDAADYYSCGSSGRAYSSGNDRITLGSGANYFICSLNGHCGMGMKMAVNAS >Dexi1A01G0026210.1:cds pep primary_assembly:Fonio_CM05836:1A:32203178:32203656:-1 gene:Dexi1A01G0026210 transcript:Dexi1A01G0026210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNAKKAEAEITALKISYLKKISKGSNIIIMDSYNDVSKTVAKTLNSVGFKNCWVMAGGFSGGKGWAQSRLGTDSFNLSVVEVVAPSRVIPAVAGRIGTTAGRIGTTSSASRAPSRKLLPGSVD >Dexi9B01G0027450.1:cds pep primary_assembly:Fonio_CM05836:9B:29887466:29888550:1 gene:Dexi9B01G0027450 transcript:Dexi9B01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARMAVAALTVVVAVLSLAASGSAQLRYGFYKGRCNSSDVETVVQGIVKARFAREAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTATPNLSVKGYDLIATIKTELEKRCPGVVSCSDIEILATRDAVALAGGPAYAVRTGRRDRRQSRATDVKLPGADYTSTQTTSYFSRLGLSPFETVVLLGAHTVGATHCSVIKNSRLYGYGGKPGTTDPSMDPATASVYKKYVCPNVMSSDGNAVFLDDQWTALKVDNHYYKNLQLHRGVLSCDQNLYSDGSTRQIIDQLANNNGAFLSAFGQVLVKLSEVNVLTGTQGEIRKVCNKFN >Dexi9A01G0016560.1:cds pep primary_assembly:Fonio_CM05836:9A:11563166:11563831:-1 gene:Dexi9A01G0016560 transcript:Dexi9A01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMEKLVNHCDMELMKMAMLRHEATFRQQVHELHRLYRVQKQLMSGGLSRPSELIGRRRHQIRRGRRALDLRLPADDFVLVSGAGADSAAPPPSRQEDGLELTLAVGESRKKRRDKGTGTPLGSDCSGGSLASTTTSNNTAGGSPPPYRRAMPAAFRLQEVTAVVNQPQWLVQCLSLKMA >Dexi1A01G0031740.1:cds pep primary_assembly:Fonio_CM05836:1A:36583217:36583648:1 gene:Dexi1A01G0031740 transcript:Dexi1A01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHQGEETLPLPEHEEEEEITRTSPACSEKKAITAPAFGSCNECFVLHRITALSKRCYQDKRAIKAMETPLV >Dexi8A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:8A:21033128:21033524:-1 gene:Dexi8A01G0012040 transcript:Dexi8A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPKSSTKGVLYRAVSICIILAIMSSISRCCQAEEVDPDDRRRKPGVTCYPYGGQHCMDNECQQLCDDTGIHGTGAFCSGHAGAWDWECCCPQLAV >Dexi2A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:2A:6974703:6978764:1 gene:Dexi2A01G0007230 transcript:Dexi2A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDEQPKPPEPPAAVAAGAVVTAAPPQSHAEWAASLQAYYAAAGHPYAWPAQHLMAAAAAGAPFGTPVPFPVYHPGAAAAYYAHASMAAGVPYPTTEAMAAAAAAATAAEGKGKGKGGATSPEKGSSGAPSGEDASRSGDSGSDESSGTRDDDTDHKESSASKKRKSGNTSAEGEPSQAAVVRYAAVESPYPAKGRSASKLPVSAPGRATLPNATPNLNIGMDIWSTPPPLAVVPGVQGEANPGLALARHDGATPLDERELKRERRKQSNRESARRSRLRKQQECEELARKVADLTTENSALRAELDNLKKACQDMEAENSRLLGGTAHSQAPSVTTTLGMSIEPPKVQHHDDEGQLHKNSSNNSNGNYTGGGHKPEANTR >Dexi9A01G0037720.1:cds pep primary_assembly:Fonio_CM05836:9A:42015845:42019158:1 gene:Dexi9A01G0037720 transcript:Dexi9A01G0037720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVEAAAAVPAANDDDDVEDLYADLDEQVTAALAAAGESGGSNARDSDPGTDGEEEIPEDDANEAVDLGDGTAGYSSSDEESDDGLHIVLNEDAGAPLPPPPVGGGEGCVADGEEGEDSCNRVKGSSGNGGGWAKVGGLQCEGILDKTTLPITGQVDRGLQHVFQRDYNLFLPRNSTIFDIDFESFQQKPWRQQGVDLTDYFNFNLDEEGWRKYWCSMNFYVQKQLRLGNRSRANETSGLDQELYKLKSTKAMPKVSNHSGFEGINGLAKPKGRSIHVEGSAHERVPSADLWRPIQRDSDVIQQVNMTCSPSNQSTSNDGSEFNHKCVTTERMSIDHPGDRRLKGSSFGVD >Dexi9B01G0029000.1:cds pep primary_assembly:Fonio_CM05836:9B:31564807:31565187:-1 gene:Dexi9B01G0029000 transcript:Dexi9B01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHDTVSQWGIASNTLRARAASAQRAYYIRRRQLATDAVGTIPDTSIRACAAWWSDDVVERKSEGGAWCGWDRGCKRRCAGVGGHALQGTLVLLHVITPAPACPPTCPPGRARHAACAREVAWPL >Dexi1B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:1B:9603577:9606179:1 gene:Dexi1B01G0010220 transcript:Dexi1B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSKTRSGGESVLCMVGDAVLPVRSSNDSTDPWDWAKNHHGGESKFEPPVVADGNILLVLRYPKTSTLTTRAVRGEMTSTSATSDVAYFDNIRLVSQISFGYDSSYQYQPEDAVDGCSDDHPLFHDAGATELLNRGAASPCDMDMVDQFASGSQMMEVIPNWNCKGTDAFCTSVGPFETTSRATQDMAALTRSAIAVQGVQCKPTASIDGTPAARFTAVFRYVPPWEHQPTAARRTGLSGMTLSAEGVWNASTGRVCMVACLGGGEEAACHHRVTLSIRRMFSMTRRGINIGQITAMDGSHAPLLFQQRVINPRLQRYGKPGETPRVSYIYTKVEQARELLRRSEPTGLRDTFVAKSLLSYPNIAGFADDLVGLSVLADDLNLRFRCVEKQPFVPEWIDGSFLELQIFSVGDLVGRYSEEFQQQFQGRSNSLVEYTQRVQQLGRVHAAVQKQQMLNVSAELTASRNNFLSPSPVMSLEGVYNPEDGRMYLIGCRKVHAPWRVLMRRRDDLEEGMDCSIEMTVEYPPTTTRWLISRTAKVSVASTRDEDDPLYFNETELRTLPVVYREQRCDFLTEPMVEGLLCATMLSAAIAATVCQLRHIKSHADVAPYVSLVMLGVQALGYSLTLVTDAKMLPAWPTPRHKSYYYPDHPGWNMDSSVKALTLVALLLTARLAHKVWRSRAKARARSPLVPGRVPGDGAVLLCCLAVHLGGLSFVLAGHQLSTNGMSRVIYDEAQRMCARVAVVGRYAGVVKEWFLIAQVIGNALWRVNCKPLAARYYAGLTAVWLLPHVYGYLRPPVASSYYSEARDDVMDFYSKVSAVVVPVVGFVLALVVYVQQRWNYKIVGWAMKTDQKNKLQHVY >Dexi9B01G0034270.1:cds pep primary_assembly:Fonio_CM05836:9B:36280581:36282164:-1 gene:Dexi9B01G0034270 transcript:Dexi9B01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSEAEDVLVGQMPTVMVPKHIKKRSLKNKALSVTLDKKALRDFVTGFHKRKKKRRKEAQKVLQEKERKKRIEDRKRRKQEKEIAMYGRVLSSDDVRLENEDNDNDGEEIENDESLPEIKTYEDDATRITVTTSVITPEDADIEPRTAGPMPVSYTNKNPSAVAKKNSSLGVKKKPQKRTFKNKSKTKKGDKKRGAVKGKRKGKGRK >DexiUA01G0024130.1:cds pep primary_assembly:Fonio_CM05836:UA:49737677:49739502:-1 gene:DexiUA01G0024130 transcript:DexiUA01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRGPDWSGVYASDKAILAHERLSIVDVNAGAQPLYNEKKTHALAVNGEIYNHQALRAEYGDRYAFQTGSDCEVILALYQEKGPEFLDDLQVILALYQEKGPEFLDDLQGMFAFALYDSEKDAYLIGRDHIGIIPLYMGHDEHGNFYVASEMKALVPVCRTIKEFPAGSYLWSKDGEIRQYYQRDWFDYDAVKDNVTDKAELRQALEDSVKSHLMSDVPYGVLLSGGLDSSVISAITKKFAARRVEDQERSEAWWPQLHSFAVGLEGAPDLKAAQEVANHLGTVHHEIHFTVQEGLDAIRDVIYHIETYDVTTIRASTPMYLMSRKIKAMGIKMVLSGEGSDEVFGGYLYFHKAPNAKELHEETVRKLQALHMFDCARANKAMSAWGVEARVPFLDKKFLDVAMRINPQDKMCGNGKMEKHILRECFESYLPASVAWRQKEQFSDGVGYSWIDTLKEVAAKQVSDQQLETASFRFPYNTPGSKEAYLYREIFEELFPVPSAAECVPGGPSVACSSAKAIEWDESFKSMNDPSGRAVGVHQSAYK >Dexi6A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:6A:22529464:22531637:-1 gene:Dexi6A01G0015160 transcript:Dexi6A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFSDFGPLTERRRVEKQRQQRRRVMVAAAGASVVLILIVMGGAAVAYNASVQDDDVDTSSSSSPSSPSSNGGSGSSSLLSVSKSVKMMCAQTDYKDACEKSLSKAVTANAAASTSPKDMVRAAVSVIGDAVAKAFEHSALVATTTSNKDPRVKAAVADCKEIYGNARDDLARTLRGIDAGGMGEVTRRGYELRVWLSAVIAHMETCIDGFPDGELKRNMTGAMESGKELTSNALAIIEKATSFLAALHITGFTGATTSHRRLFGVHEEEDMEKQPKVNYSGTFEQADRDGDSPAPTSRRLLGVEEEDAPPWVNGEERRMLKGNFQGRLTPTVVVAKDGSGKFKTINDALKAMPPKYTGRYLIYVKAGVYEEYVTITKAMENVTMYGDGAMKTIITGSRNFADGLTTYKTATFNAQGDGFIGIALGFRNTAGAAKHQAVALLVQSDRSIFLNCRMDAYQDTLYAHSKMHFYRNCVISGTIDFVFGDAAAVFQNCVLVLRRPMDNQQNIATAQGRADGRESTGFVFQYCRFTAESSLTKDASGRPAIRSYLARPWREFSRTLIMESEIPAFIDKAGYLPWNGDFGLKTLWYAEYANRGPGADIAGRVNWPGYKKVISKEEATQFTVQNFLHAEPWLKPAGAPVKYGFWA >Dexi3B01G0025280.1:cds pep primary_assembly:Fonio_CM05836:3B:20069618:20079796:-1 gene:Dexi3B01G0025280 transcript:Dexi3B01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCFENPPALNPSCGVGDVVDDFGGQKAYVAGSAGSKAAVQKAFEEAKPVIAAIKEKGVSKIGAAGYCWGAKVVVELAKVHEIQAAVLLHPSLLTVDDIKEVKCPISILGAEIDRSTPPELLKQFEQILSANSGEEPKWELRRSSSSSKEHKEMASSHCFESPPELDPACRGGEVVDDFGGQKAYVTGSGEAKIAVVLISDAFGYEAPKLRKIADKVASFGYFVVVPDFLHEVKCPICVLGAEIDQFSPQELVMQFEQVLSENSAVAHFVKIFPGVTHGWAVRYSDNDEAAVKSAEEAFADMTGWFDKYLK >Dexi7A01G0023330.1:cds pep primary_assembly:Fonio_CM05836:7A:31352117:31355778:1 gene:Dexi7A01G0023330 transcript:Dexi7A01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKLTLLLPATLTSTVSFPTRLQLKPFPPPQRLVVAAAAASSSSQTLSSSPSLESPEARQIRLETEYALEWGGVCARMADFASTAAGRVACVEGRIAVGRSREESERLIEQTAAAVLLSAPLDFTGVEDVSAIVAAATGGRLLAVREICGVGRSIRAARGLFDQLRSLAEETQNGRYSSLLDILQGCDFLTELVQRIEFCLDSTLSLVLDRASKKLETIRRERRRNIEMLESLLKDTATKIFQAGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAVELNNREVKLSGDERAEELVILGLLTSSIADSQLKIRNLMDKVLELDLACARGSYALWTKGVKPSFSDGYTTNQLDQNSDYSVYIEGIRHPLLLEQSLMAEGSTVEASEMPVPLDMWVKKDARIVVISGPNTGGKTATMKTLGLSSLMSKAGMFFPAKGRPRIPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVEVVTKDSLVLIDEIGSGTDPSEGVALSTSILKYLAGKVNLAIVTTHYADLSLLQSVDSRFENAAMEFCIETLQPTYRILWGSTGNSNALSIAKSIGFDQKVLERAQEWVEKLLPDKQKERQGLLYDSLLDERNLLESQANEAASVLSQVEGLYNEILSEADDLESRVASLRSRETQKVQQELKVVKSEMDTIIKNFEGRTPKQRSAAADANQGQSVSFGPVVQTSKNTVDLRGKRVSEASYELQMAIDACRPYQVLFVVHGMGTGAVKDRAIEVLRNHPRVAKFEDESPINYGCTVAYIQ >Dexi8B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:8B:2570063:2570525:1 gene:Dexi8B01G0003430 transcript:Dexi8B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLESITDNFSEERIIGRGGFADVYKGLLQNGPVAVKKLKLQVSSEDMVPVLEEKFYQEICSLMMAKHKNVVRFLGYCADAQGKVYNIAGKNIVGEERQRFLCFEFLPGSLDKHISGMFL >Dexi2A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:2A:8548310:8557565:-1 gene:Dexi2A01G0008370 transcript:Dexi2A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPASAAGEGDFLEVRCAGCGETLEVERGLTEFACPDCATAQALPPELMPQPPPPRRRRALPLPPAPSPAAAAARCHLASAPSAGAARLPCGACGAMLAVPPGLARCGCPVCGAELAVDPARLRKYLLATSTAPLVPVSLPPVFRALEGRQDYPNSDLPVGHIREHPNNHQLGHLERSQARRQHTQALLEFTDADSDDADTEMSNGITEMPCHKNRFVVASRAVGAKRRHLETLNHVMDQAHVQQSDNSVLAEHPSTHRVHVEEVQNESVDHAVHRLVGNIELIKEKNAVRHTNHPIVTTIGCKSVIAEKRQVRTINQITQDEPGETMPSKPLSPIEHDPEHSNDNIHVEQDEAEISQLTARLVHKSTKRNLKSPNEGFEHRRSGKEKRKCGGRGPNLCLKVWTMPEGVRIRVSFNDLGQPIGDEARTLSNFLGQIARDGTLAPLTYTDWRFFPEKNKQAMMCLVNMRNALQIEIFVSQKNNGNSLWHNGALRRQRLQAFDAKLLKNNILALYILELDQRVWRGYTRKSVITVDAPELEELDMGCMWCARSFTLQAPRLRCLKWSQLFAKRVLIDVGRPGGMTSGQIMFTWISVFKERPKMDFQAQMAKMLEGLLPELAPEFVADVARPYMLLDKLESLDARTPILQ >Dexi6B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:6B:24591739:24591886:1 gene:Dexi6B01G0017400 transcript:Dexi6B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGLRVAVGRSCRGVSSTGHDSRAALLY >Dexi9A01G0035770.1:cds pep primary_assembly:Fonio_CM05836:9A:40356631:40358315:-1 gene:Dexi9A01G0035770 transcript:Dexi9A01G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLMQRAAAAAAAQAMWRCVGEAAMAGAAGVVRLGAARRAAGGAVRASRPLGPVRAQFSGERSKELAGDGVVVEGGEEEAVRLFVGLPADVVVSDGRGVGRPKAVSAALRALKLLGVDGVELPVSWAAVQPGSGDWFEWAGYRAVAAMVRDAGLDLRVSLRTDGDALPEWVADAAFADPDVLFTDRSGHRREGCLSFGVDELPVLVGKSPLHAYEAFFRSFADEFKDLLGSTITDVTVSLGPNGELRYPSYPPGSNGGGYSGVGEFQCYDKYTLARLKRHAETSGQPMWGLSGPHDGPRYDESPENSAFFSEHGGSWKTAYGKFFLSWYAGELLAHGDRVLAAASRALGGGSGVELSARVPLLRGSLEAAVAGLHGGYGPVAEMFARHGCTVIASGVEARLDAMAEELMAEIKRACSEHGARLAAESTPLAVARGGEGSAGVWLSTGRTRPRQFTYQRMGADFFSPGHWPLFVQFVRALESPEGVHEDDLPGGIVGGERLTVPSAAAAPQGGAKEVQTV >Dexi3A01G0032010.1:cds pep primary_assembly:Fonio_CM05836:3A:36668811:36669454:-1 gene:Dexi3A01G0032010 transcript:Dexi3A01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDAPAGGSSSPSPGKKPVMVVGIDDSEHSYYALEWTLQHFFVHGQPQQYKLVVLTAKPPAASVIGIAGVGSAELLPTVEADLKRTVARVIDKAKMLCSEVTDVGYENMEGDARSVICEAVDRHHAEILVIGCHGYSKWKRAVLGSVSDYCTHHAHCSVMVVKRPKHKH >Dexi8B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:8B:27901318:27901826:1 gene:Dexi8B01G0016760 transcript:Dexi8B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCSCGKSSMYPDLEEKSSAGAQSTTVVLGVAPERKQAHQFEAATESGEENGCKCGSNCSCTNCSC >Dexi9A01G0027840.1:cds pep primary_assembly:Fonio_CM05836:9A:32446652:32447238:-1 gene:Dexi9A01G0027840 transcript:Dexi9A01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQTLFDRIKSSETIWFIDEDQLVVNLKKVERELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGCVSSGLHK >DexiUA01G0007690.1:cds pep primary_assembly:Fonio_CM05836:UA:14375213:14375713:-1 gene:DexiUA01G0007690 transcript:DexiUA01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDEPETVEMTLRAVGPSRPTTLRLPPLLSVAELRRRVSRDRRLAATEEVRLRLVLRGRTLPHQDDAHWPWHLSHLLSISVTMTMRRRKRKNW >Dexi6A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:6A:7310081:7312862:1 gene:Dexi6A01G0007400 transcript:Dexi6A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLVSSPLLPRPGASPSTSPTPASTPGPAATPKAVTACQSAANPPTAPGSSPVRTLSSAPVDAAYGCSKAQRWRNDSPPSGKSGSGGGTPLSYMEALISGVSLAVASQESAAPNQMAAVAAVDEAPRATPRIVVLKVPRGRRMVALAPDAESWTKMESRVWSINPVDWSLWISGANASTVSRLTTAQRAAGRRRTVSAAGLWGIVPPAVYGHLARRCSCPSSSLVGRTAPVVFLAPTNNGTETSHGRKRRQRRTRKRGKKAERMEDGDAGSDCSAQDGGSPIPVVPSEDDRTDAEDGQCFRPRRILDRSTSITQREDNLASRALVITVLSGSSGAILGNIAGRFEVEVSLMSLQRFGDARFLLILPDEELAGRVYNDGRPFISTELRLHVMRWTRLLNSTAATLSSPVEVAISGIPAHAWELATAELLLGDHCWIGGVHLDTTDHRDTFKVVAWSSRPASIPPVMDLEIVEPPMQDDDQHPEKRTLVYPVSLSVVPVGLPSRPVDAPSPPPADNGRRRQERRRPGSLRTPAAAGALRALVQERLGRWPEAADHVEPRDSASLEPSQSVALAPVHAASTPVEGIHVPAAVVPPEEAFAWETEGVSPGPEATPAYACSPITAGGAMAAESVQAVALEAPALEMEMGKEGLDGLIIDGLTEGDKGTIEGANGLGVLTGIAACVEACNGKQPVGGGLEQVEDMDGVGSLSSMGQSPGNTAQGLGETSAHVPRPLVPGFPKTYSRRSRHQTNSSLPLHEGKGDSGAMHPDPIPSSKQSSFMAKITKKTSSILPTPCANKTRQRGRTPMAPSRRSRRVAGVEPEAVEAAASTRHKKKVMRALNILGETEGISQEALDRYSKLFTQSSSLISPHAEVLAALFGWGIPAKVVLAQEATA >Dexi5B01G0028870.1:cds pep primary_assembly:Fonio_CM05836:5B:30138667:30138959:1 gene:Dexi5B01G0028870 transcript:Dexi5B01G0028870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGTGTWQQPPEGWSKMNVDGSFVSEDGRAGVGLVARNSVGQVIFTAWRMLSRCVDAAEAEARACVEGIRFSAQWAPGAGCPLAETR >Dexi1A01G0029640.1:cds pep primary_assembly:Fonio_CM05836:1A:35093032:35095974:1 gene:Dexi1A01G0029640 transcript:Dexi1A01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDGKDGETGGGYPLVAVCIDKDKNSQNALKYATETLAHKGQTIDAAGYKQPTDPQMKDLFLPFRCFCTRKDIHCKDVVLDDHDVAKSIVEFAAHAAIDKLVVGATSRGGFVRFKAEICSSISKTAPDFCTVYVVTKGGKVTSVRQAIRQAPAVSPLRTMIQGPKPDHAHAQKWTPPPPSRGDAAVAPPMVQDNPMPIMSPFARTGMGAGSARKAFPEFSLPESSDISFIGAAPRRSTERYPPRLSSGSDGLDQHSFESRTPNRWGDSFGNDSTSHSQTSTSSWCSQPTDDMEAEMKRLRLELKQTMDMYSTACKEALSAKQKAMELQRWKVEEEQRSQETRLTEESAMALIEQEKAKARAAIEAAEAAQRLADLEAQKRIGAEMKALKEAEERLRSMGSGGGGAAPESARYRRYTIEEIEVGTDHFNDARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGAGMPVIPWQHRFRIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARSPMGLTHHVGRALERGTFADLLDPAVHDWPLEEAHRFAEVSLRCCELRRKDRPDLATVVLPELNRLRALGEDNMDMCNPMSGRGGMYSSSGFHSGHYSQTRHDAASDPMVVERPHHSSNGSQAAMPTRRSNYN >Dexi4A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:4A:1571734:1574770:-1 gene:Dexi4A01G0002300 transcript:Dexi4A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCAFCCELLTATTTVAACTAYLICCDMDRGQNGRDDFFGGRDPFAGFGGFGPQRSLISGFFGGRDPFDDPFFTQPFGGQMMGGGPGMFGPSFFGPMGGPFGDMSHGVFIEQAPPRNNGRRPVITELDEEEGEDAGHGTEQANQDSYVQEPDDANDEMEGGQVQLRRDHDRANSGGQPQARTFTYQSSSVSYGGINGTYYTASKTRRTGSDGITVEESKEADTTTKEASHRISRGIHDKGHSLTRKLNSDGKVDTTQILHNLNEDELAGFEESWKGNAGHHLPGWNQNAGAPNSDSSGKRFYKFVTAVPVDVTGVQRGAGRFQEQSKAVIQGAMGSRNHESSRSPEESISSRTSREINT >Dexi8A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:8A:5238527:5240662:-1 gene:Dexi8A01G0005560 transcript:Dexi8A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMSTERNTVLHLAAEQGHDELIQELFLRFKEQSLLSRRNSALDTPLHCAARAGHNKAVVVLLQFAEDCGESIPILGCKNEAGDTALHLAARHGHRAIVEFLVSAAEEMATEVNNAGVSPLYLAVLSGSAVKAIISKCRDVSSAGPSLQNALHAAVFRSSEMVNVLLEWKPALADQVDCNGSSPLHFASSNGDHTVVQAILRAAPPLTAYRKDSGGLSALHVAAQMGHHYVVKDMLDIYPDAAELRDNHGGTFVHAAARAQASDVVCLAIRSPMLRAGFLDEQDRDGNTPLHFAVAAGAPGVVEALIRKGKVRTDVLNNDGHTAFDVATGSTGFFTMVKLVVNLVAFGAQLGPQRHDHMKPWSDHSTVEKIGKTSDSLAVVAVLVATAGFTAGFNMPGGYGDTGEASLARKATFKAFVFLDTVAAATSMAAAILFVYGKVSRSGGGSWKSFAWALQCMWVSLFCLLLAFYAALVSVETSKAVRYGFVVVCACMAILLLWTMMWIGTSTPKVSTILSYN >Dexi4B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:4B:2572724:2575507:1 gene:Dexi4B01G0003690 transcript:Dexi4B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEIGRRGIPSLLKPSSGDGSAGEQERIASYITQLIGWTPLIELKRIASKDGVGVRIVGKMEAYQPLCSVKDRSALRFIAVMPAKYSLDKQILLRYMGAELYLTDPALGFPGMYDKVEQLQKELPNVHVLNQVTNKANSEAHFRLTGPEIWKDTAGKVDIFVAASGTGGTVSGGAPGKHKIQGVGPGFLPEVLDTSIIDEIVMVTTEEAMENARRLAKEEGLLVGISSGANLAACLKVASREENKGKMIVTVFPSGGERYINSDLFAYVREECLAMTF >Dexi5A01G0031320.1:cds pep primary_assembly:Fonio_CM05836:5A:34055372:34055737:1 gene:Dexi5A01G0031320 transcript:Dexi5A01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASREPHAWRSGHGQGPGGEGWPYLRNSITCAPLHRASVSGGRWLRRYCRNVFQSRRFCDSYLLSCCVAGRCSGGAMAAAGASPEPPMADDGPGPDGSMQREV >Dexi8B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:8B:9597695:9598140:1 gene:Dexi8B01G0007740 transcript:Dexi8B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVGGMLASAMLKLVTQQIGSIIGGRLKLQWDFSDDLRNMEMTLESMEAVLQDAERRSIHDAAVRLWLKRLTDAMYGISDILGDLETARNLPRWKIVQPVW >Dexi3B01G0028430.1:cds pep primary_assembly:Fonio_CM05836:3B:24597526:24597797:-1 gene:Dexi3B01G0028430 transcript:Dexi3B01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPSTARLFKSLPPHRRPQGTDIAAVAGWTVASLATAIWLVQPFDWIKKTFFEKQEPKE >Dexi3A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:3A:12008860:12011340:-1 gene:Dexi3A01G0016080 transcript:Dexi3A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSLGLLHAPSFIAAKCQPVASRAAAPGRRASRALFAVRALAADAADKDAVLKAFRENRALKIISGLQNFDRSSVASVVTAADKGGATHVDIACDKDMVELALDLTTLPICVSSVDPSSFQAAVQAGAKMVEIGNYDSFYEMGIEFSSDQILKLTRETRKMLPGITLSVTVPHTLSLPDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCSSGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVAMIAEVRSIAQAMAPASRNMSENLRTVRH >Dexi1B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:1B:2413536:2416374:1 gene:Dexi1B01G0002930 transcript:Dexi1B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKTPAIAATLLLLMQLCSYAGVAAAAAGARGDGGARYKDPTQPLSTRIDDLLGRMTLAEKIGQMSQIEREKATPDVISKYFIGSVLSAGGSVPAANAPPEAWVEMVNGMQGGAMSTRLGIPMLYGVDAVHGHGNAYKATIFPHNIGLGCTRDPRWGRCYESFSEHPELVKNMTSIISGFQGEIPAGGQKGTPFVAGRRNVAACAKHYVGDGGTTGGINANDTVATFHELLSIHMPPYYDAVIRGVSTVMVSYSSWNGVKMHANRFLVTDVLKNRLRFRGFVISDYQGLDFITTLQHADYLLSIKLGILAGIDMVMIPLNYTEFIDGLTLLVNNGTIPMSRIDDAVRRILRVKFTMGLFEHPYAADDLTTELGKQEHRDLAREAVRKSLVLLKNGDDKPFLPLTKKATTGSILVAGDHADDLGAQCGGWTINWQGFTGNNGLTVGTTILDAIKNAVEPAGTGVVYIENPDAAFVENNKAGFDYAVVVVGEPPYAETAGDNMNLTIPAPGLDVVRKVCGGIKCVVVLISGRPLVVEPDLLESIDALVAAWLPGTEGEGVADVLFGEYGFTGKLSRTWFRSVEQLPMNVGDEHYDPLFPFGFGLETQPSEY >Dexi3B01G0029060.1:cds pep primary_assembly:Fonio_CM05836:3B:27936586:27939118:-1 gene:Dexi3B01G0029060 transcript:Dexi3B01G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKKQGARLVEASIDDHPGLVAAVAQADVVISAMSGSHIRSHNLLLQHKLVEAIKEAGNVKRFIPSEFGMDPLRMRHALEPGNITFVEKMDLRRAIEEANIPHTYISANCFAAIFCPNLCQMRTLLPPKEKVHVYGDGNIKAIFVDEDDVATYTIKSIDDPRTLNKTIYLRPQENILTQNDVIAKWEKLSGKVLEKIYITADEFLASMKGNYC >Dexi3B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:3B:784614:785683:-1 gene:Dexi3B01G0001030 transcript:Dexi3B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILESSTHGGCQVVLSEVEHQRALMMDLQDLILPILDPCSGQAKLIQELFQDIFSCSSKVISFLELGDNSVKEANLIKHKRKGGKNNTEKHILEEAMDNGNKRRKNAQHLGSVVTQAPYFDGYQWRKYGQKWISKSKHSSIVASSKKLTFLYVTKAELMRFCLIRSYYRCANSKAKSCLATKTVQQKETNGMVRLFNVDYYGQHICKRDEIIHPTIVDTAHHSAPIVKQNQSSSPALVNHVHGILDGNFENFSPACSTGFENSFMVPDMPEFLTDFTDNDMARALDITSMNLSLISEDIWA >Dexi7A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:7A:8960444:8962457:1 gene:Dexi7A01G0002230 transcript:Dexi7A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQIAHVELAVTDLSASRWLGGPTRRTRIRARFPPGRRYAGRGNPRRVGLRGAHLQGSHAGAYKIAGGRPLNSSLLPAASRPRIRKKHRARLSRAEENAAMEMGDSYEYYWEMQRLLETDELSSIYLGGAQDDAVSCYDSSSPDGSMSNSSWAPAATAADDKLGEAPCAGAAANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIEHLQAEERRMLQEVRELEAAGFGAEERYEGYEYDEGLVLEAVRTAKRMKRSAPEAAGGARAAAPAPVEVLELRVSEVGERVLVVSVTCGKGRDAMARVCRAVEELRLRVITASITSVAGCLMHTIFVEVDQGDRLEMKHTIEAALTRLDTAMGSPPSVISY >Dexi7B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:7B:3576032:3584900:1 gene:Dexi7B01G0002100 transcript:Dexi7B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPHGSPDELLPVAAEEAQGMALPWWLATTACAAPPPGAGSLAGWLAFLFLSPCPQRALLGAVDLVFLAACLVLLARRLLRGGASAAAATAAPEGEPLLQKPDRHPSPPLFPYALALGSSAAFAAASVVLLVLAVLLLPRSTPWRAAEAAFLAVHAAAHGAAAWTVAASRRAGVSSRAAHQAAHLRVFWLATALVALLFSASAAVRGAGGSLLLPDDVLAFAGLLVSLPLAYVAVTGSTSHGSTREGGDAEAEEHAGEEEASPYVAASFLSRATFSWIISLINKAYAAESLTADDVPPVPPSHRAEAAYALFMSNWPASSRHPVGVALWLSFWPQLVLTAFLGLARLGAMYVGPSLIDQFVEFVRRGGTPWEGLQLVLILLVGKAAQTLASHHYNFQGQLLGMRIRGALQTALYRKSLHLTAGARRAHGAGSIVNYMQVDAGIVSFAMHGLHGLWLMPLQIVVALLLLYTYLGPAVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRVKAITEMLNHMRVIKLQAWEDNFGKKVRDIRQEELGWLAKIMLFMCANTVVFSSGPLAMTVLVFGTYIATGGLLDAGKVFTAIAFFRMLEGPMQSFPQTIVTSMQAFVSLDRLNKFLTDAEIDPTAVERVESGGGAEDTVAVKVQGGVFAWDVPAGEEKRGNDSRAPHGEATNGLANGSDLVTVLRGIDVEVRRGELSAVVGMVGSGKSSLLSCIMGEMHKVSGKVSIFGSTAYVAQTAWIRNGTIQENILFGKPMHTERYSEIIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFMVMKDGSVIQSGIYRELLASCSDFSDLVAAHHSSMETTGEQGRHVQNTESSQASTGAVDVSSDNSKSNDVNGEKVDTAINKEAGSSKLIKDEEKESGRVSWRVYKLYMTQVWGWWGIVIILVVTLLSEGSSMASSYWLSYETSGGPVFDTSIFLGVYASIVATTIILEMITTIIVTFLGLQSAQAFFNKMFDSILRAPMSFFDTTPSGRILSRASSDQSKIDTSLVFYVGFATSMCISVVTNIAVTCQVAWPSVIVVLPLLLLNIWYRVRYRQNTPLILKGITVSVKSGEKIGVVGRTGSGKTTFVQALFRIVEPAEGSIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGQYSEPEIWKALERCQLKDVVASKPEKLDARVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFNECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPSKLMARPSLFAAMVQEYASRSSSSHGTDG >Dexi9B01G0034150.1:cds pep primary_assembly:Fonio_CM05836:9B:36197679:36201489:1 gene:Dexi9B01G0034150 transcript:Dexi9B01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCANIVGVAIGYTIAGCICVRRLAGLRPGGRRRVAWLTVTAPPRLVSLPISLPSAAAAAARALPSRALVHFLYSRLLFGPTMAVAFTNLVLSPLVPTPRAPGRRGSRASFLRSCAASLGPLACGAVVGRVGWRLAAAAAESQAVQEQPAQTEVSGETGAAGAPEASSKLVLVVGGTGGVGQLVVASLLNRNIKSRLLLRDPEKAVSLFGKHDENVLQIYKADTRNPNDLDPQMFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWDGIRNLVSALPRTIKRLVLVSSIGVTKYNEIPWSIMNLFGVLKYKKMGEDFVRSSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGKGDKLVGEVSRLVVAEACIQALDIESTEGQIYEINSVKGEGPGTDPEKWKELFSSVQST >Dexi3A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:3A:12689975:12693648:1 gene:Dexi3A01G0016740 transcript:Dexi3A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTQLLALLVMLALAAAAMASSGRQHHHQQHRHRQHGRKSSARLTLVPAAPGASFAARARDDRHRHAYIRSTLAASRRRAAEVEVGASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGAAAAGSASSSSPAPAPAREFRPADSKSWDPIACSSDTCTSYVPFSLANCSTPASPCAYDYRSVLLLDAIAVLRTPPVDHLVPLTRARYSTGSRYDTAPSGGGGSGGDTAAAGRRQRQEYKDGSAARGVVGTDSATIALSGSGHSGADSSRRAKLQGVVLGCTASYDGQSFQSSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPAPEASASAAPEQTPLLLDRRMSPFYAVTVDTIYVAGEALDIPAAVWDVDRDGGAILDSGTSLTILATPAYAALVAALSKQFAGLPRVAMDPFEYCYNWTEGAAPEIPALEVHFAGAARLEPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLRDRWLRFKHTRCAQ >Dexi9A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:9A:7294005:7294508:1 gene:Dexi9A01G0011620 transcript:Dexi9A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSASARRAMAFSAAFFFFLCWVVVLADAGTTYYVGDSNGWSFSSPSWPNGKHFHAGDTLVFRYIPWIHNVVAVDEDGYNGCTTPPGSRTYTSGADRITLAKGDNFFICTRFGHCNLGMKLVVYAA >Dexi8A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:8A:28303852:28304487:-1 gene:Dexi8A01G0016650 transcript:Dexi8A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKDEKKKKKSGDWRHPVSLVFRIAAMGLAVAAAAVMATASQCTVYVDYDLARPRTITFADFPAFVYLVVATAIAAGLEAVAIFLSVFCKKGKGKKVGKWLMPVLAAVVPALLYTSAGAAFAAGWDIFYYLEPTGRRLSISAALVHVSMWLSLGAALAVSLAELVATWPGGHGGGGGGSGSDGSDSDSDCDSVCGHGCHCKH >Dexi7B01G0007620.1:cds pep primary_assembly:Fonio_CM05836:7B:15421374:15423608:1 gene:Dexi7B01G0007620 transcript:Dexi7B01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGPSPLPSPYPRLRCGGRRGQHHGEACVHVAVGRSPEKTLGLLRWALRRFGNARIVLLHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKEEMDKVLLTYLAFCRRAQVQATLLLTENDQIHDGILNLVNHYKVTRLVMGSTPDSCFKLKYDKQSLTASNAPAFCQIWFVWRGRHIWTKEASAATDNNTLVHYQDGIMTAKRIRFSSYSNNTETILDDEGCVTGKALMAGDLNQGIVSVDDYEALGPHEANHFYGMNIAKWQDTESALNSTFLSDSSVHMDTLPLYSKEVLDRNLKQVMIEADGSRKEAFVELRKRKETESKVASAFARVKDYDSAKKHEIKMREELEILLVATRKQHEDLIKNKEGAVAGLESSMRRLAILDASAAKTKLRVDEASAELEVIQSTIESLRQWPNQPANPASRTGLMEVAGRLADLELRCSETSSQDHPELTPGTEIMHDPQVCADGITYEGRAIREWLETGKGTSPVTSLKLEHYNLTPNHALRFAIQDWLRHSLPMKL >Dexi5B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:5B:21207294:21208062:1 gene:Dexi5B01G0018850 transcript:Dexi5B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFRRVNVKELISNASVYASATETSGGMSLVFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHMLHPVYASGSTTAADLDAQL >Dexi4B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:4B:4686897:4687867:1 gene:Dexi4B01G0006710 transcript:Dexi4B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRTRTASTCTPKTARGTHVFKIAGYSLHSALGADASITSATFNIGGHDWFINVYPAGTSSSEEDAGHIAAFLYRVATNKKQQQQLVRASFDFRLVDQKTGQSMVLAKFLPRMISTLHGWGTRRLMNKTKLQASTYLQDDCLVIECDVTVISNGPHVEETAISPRFEVRVPPPNLSDNLGELLGEKKGVDVVFKVRDEVFTAHKIVLALRSPVFDAEFYGPASEERTCRQCITIQDVHPSAFRALLHFIYKDSMIAMEGFYADERMEIIKNLLAVADRWLR >DexiUA01G0008830.1:cds pep primary_assembly:Fonio_CM05836:UA:16858752:16859995:1 gene:DexiUA01G0008830 transcript:DexiUA01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVELVVITMTTLLLLACRGSHGHAASAADIEEELAPAWAVPHLRRLLARHKVDAVVDVSTRGGHHYGSIAEALAAAPPPPGRYTVHVRAGIYREPINITRSDVTLIGDGMGRTVISGNQSMHTGHGMLQSAILTVSGNGFMARDLTLQNTAGASAGPAVALMTMSDQSVYYRCELDGYQDTLNADCNRQFFHSCRIMGTVDFIFGYAKAVFQECQVLVRRSVDGKDNVITAQGRDGPDNQSGFVFQRCAVKALPGDHLQKNTRTFLGRPWKKHSRVVFMRCALDSIVNPEGWLQWNATTPVPDTVYYAEYRNTGPGANTQGRVKWDQLHLLKEPAEAANFSVHNFIQGDDWLPRFGITYDQE >Dexi6A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:6A:8520172:8521167:1 gene:Dexi6A01G0008260 transcript:Dexi6A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLALPYRPYSLARVSGCLLRHRCRRRCRTGHWQRRQRLREPYVADEKDMESDEALWAFYERWCKHYNLERDHDEMAHRFDEFKRTVFMVHQVNNANLPYKLEVNMFADVKLAEIYGSS >Dexi5B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:5B:6281326:6281796:1 gene:Dexi5B01G0009250 transcript:Dexi5B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSISLPSTPAALRHGSSCHGPALPRRRSPADAVRCAAAFRRSPSGGRGQYGGALVDEGMSVLRRRIREARMAETNYEAPAEWAAWEKRYYPAYVADVSGLVGTLQLALMATRPSVAIAVAALVLGSVPVSAAAALHHLAMVAEVVLQSVHHVC >Dexi9A01G0022060.1:cds pep primary_assembly:Fonio_CM05836:9A:16990000:16991930:-1 gene:Dexi9A01G0022060 transcript:Dexi9A01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRSTFLPRLAAAAAAARALSASARPRHAPSSSSAAAPAPSPHAFHAHLASVEPHLPSLLAALSRARAARLPLLPATRALAATALLRHGRLPDALAHFGLLPDSDPTAPLPAPLCNSLLAALAASAESLSHARKVLDRMLVGGVEVHTVGFGVFAKAVGRKDGGLAKVLQLVDVVDQQGNQINRSVVAVMVVDGMCREGQIEDAWHALEDLRLRGWKPDFVAYRIVAEGFRVAGRVDEEGRILKQKRKLGVAPRKAEYRECVLTLVSNRLFTEAKEMAEAVVLGDFPIDDDVLNVLVGSVSDIDVDGAAMFCKFMLGKGRFPSTEMLVHLCENLCKSKKGDEMLEIFRMLLEKGYCKNARDYHLIVSFLGMAGKVREAYDMLKEVKRKRLEPDISSYNALMEALCKNDLLRPAKKLWDEMFTSGCSPNLQTYNILITKFTEMGKSEEVQQLFDHMFQKGVGPDAATYTSFITMLCQENKYEQALEIFKKSLMQDAEVASSVLSMFILALCKQGNFKEALSVMCSVPSNVENLDSHIILLKNLTDVGEVEMAIEHLKWIRSNCSSNFQNIMNELMASLSTSASLQHVTKLMQYLHLERLVDDADPWMKLMGNVYA >Dexi4B01G0019700.1:cds pep primary_assembly:Fonio_CM05836:4B:21873590:21881162:-1 gene:Dexi4B01G0019700 transcript:Dexi4B01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAHLQHWPSPITRTSVQTTKTKLHRRIQRRDDTVRKAKKQTPRANPHLTSCPSSNCAVPTYAIEMPCTQETINVVVHSSKQIKIQGFSLTSAMTDDDFFPSGRWKVGGHDWEYTLKVLRELPIKATLPADYLLPSSALPHHLGDLLQKGTGADVTFVVSGEFFRAHKAILASRSLVFMAEFFGHMKEKRSPVVEVKDMKPAVFGAMLHFIYTDSAPELHRADDGTAMAQHLLVAADRYGIDRLKLICEDKLYDGVCVDTAATTLALAEQHGCSYLKARCVEVIVVNLEAVMATEGYGHLMATCPQGFLPQPPAGTEMSSASRVARAPALPQHRASHACDERNISLQPSTARIRPHAEPKSTNRGESTWGIHVEEKQPEAVRTCELFKVLPRAPVRSTWIRKTIDGAPLTSTIDSWLSTTRNARSVSSKSRRTMTRRGSPELAVMGVLLQLLASVGNEGGSVLTIPLLPNSPPAQSSPQPERVQHQQQQQPIHGGVLLQPHRRRINGYSATRATVKTDSLPSRHLAVGGYDWEVHYTPSLVNDSSYWIAFRLVLLAAPCRDDVKASLKCRLVDDHSNTSGQHQRQQRVACASRDANAQLNAGECQLSHAFKRANESSGWLPLRRRNVLEASGCIKEDSFTVECTVTVITEQLPDTAAGRHAGSSQSSLGHDLGELLRNGTGSDVKLVVSGETFAAHKAILASRSPVFMAQLFGHMKETRSQRVEIKDMKARVFGAMLGFIYTDMVPELDRHDGIFLAVDLLAAADMYGIDRLKSICQDKACHDAGVETAAMFLALAEQHGCSKLKARCVELIAANLDAVMETEGYKHLMATSPLVLNDLLRAVKLTTTTKSRRESRAPVSSATRQLISPPVQSSPPLQRVETDSTSDSPRFCSSSPSMGASSSNLTDAACAVHLFKINGYSATRATAKTDSLPSKRLAVGGYDWEIHYTPSLVVNDGNHWIAFKLVLLAAPRRNDVKAALKCRLMDVPISSVHQQRNANGQLVVNAVEHHLSHAFKRADESSVWLPLRRRSVLEASGCITEDSFTVECTVTVIREQLPEPDTAHVLPQRHTGGLSQSSLRHDLGELLRKGTGSDVTLVVSGESFTAYKAILASRSPVFMAQLFGHMKETRSQRVEIKDMKAKVFRAMLGFIYTDMVPELDRLDDGIVVAVELLAAADMYGIDMLKSMCEDKICNDTTMETAAMFLALAEQHGCSKLKARCVELIAANLDAVMETEGYKHLMVSSPLVLNDLLRAVRGRKN >Dexi4A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:4A:11839258:11841270:-1 gene:Dexi4A01G0012980 transcript:Dexi4A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKCRTGDEDTSKEECNWSRGQWVYDNVSRPLYSGLKCGFIFPEVACDKYGRKDVMYQHWRWQPYGCDLPRFDAIKLLEKLRNRRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKIHIFNGSLISFKALEYNAVIEFYWSPLLVESNSDNPIIHRVDYRVIRADRIEKHASVWRDADIIIFNSYLWWRKQNDGMRMKVILMAKAMSYFETLEPRGINVQILNITELSDYRKDGHPSVFRKQYVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >DexiUA01G0011490.1:cds pep primary_assembly:Fonio_CM05836:UA:23039289:23039978:-1 gene:DexiUA01G0011490 transcript:DexiUA01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAYSGSGYYCSSGTPSPPAWGDEDAYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGRQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRRLRVPPQGAGHDEIRRAAVEAAELFRPPPQQQNNAGGGGGGGPEAAAATAAPGAQGTLGGGVDFAYYPVDDGLEFEMQGYLDDMAQGVLIDPPPANAGQPAWIDDEYDCEVSLWSY >Dexi8B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:8B:5135125:5137905:-1 gene:Dexi8B01G0005170 transcript:Dexi8B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYPFGFGSSHCYWPGLNLTCDTSHGGTPRLLLGDGTLRVTEISLRNSTVRVMRAGSIMNTTRDFTSFGHGFVEHGQERYRSSGSTVERTTPWSSVWCRTCGNVRVPYPFGFGPSHCYWPGLNLTCDSSLNPPRLLLARDSTRQVIDISLADSTVRVIHQTSTFGLFDLIPTTSYDFDLDVGKSYMLSVKNECVVSGCDVNGTLYGKDINGGHANVISNCFSTCRSGSLVGGRGAGPLVPTQSQGGGYCIGNDGCCRSPIPAGSTPDHMEIVMPNQFLLTSQWELQPFTLITEEVKQGFPMPASNSGQCPGDIACRLCKSESGDYRQQNGGYTCYCHKGYQGNAYIADRCQDINECNNVIVRNSCFVDCNNLPGHFECRCPKGTHGDPSKPGGCV >Dexi8B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:8B:721475:725481:1 gene:Dexi8B01G0001040 transcript:Dexi8B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGSTLFVPGAAAQGSDVCRVLRLHLCLGLALRACAANPSQKVAADAVAKAELGVGDVGGAEGVGATLGQAMCATSAATDEPEYPDEVSSFPSSQPCTQTQMELGFSTLQWLRLLPPLSLCILLFSYLCTSLWLRPARLRRKLRSQGVKGPKPSFLFGNIPEMRKIQKQLVGSEQEQEGAKDWFSSNYVATLFPYFLHWSRVYDPDMVKEIANCKSLDLGKPLYQQKELGALLGMGILTSNGDLWAHQRKVIAPEFFMEKVKGAKADPFSSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHPKWQSCARAEVLDVCQGNPLNADMLRKLRTVTIVIQETLRLYPPAAFVTREGLNDIKLGSLNIPKGTNIRIPIALGHRDPAIWGPNFDRFDPARFANGIAGACKPPHMYMPFGVGTRTCAGQNLAMVELKVVLSLVLSRFEFALSPKYVHCPAFRLTIEPGNGVPLILKKLS >Dexi9A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:9A:7547631:7548609:-1 gene:Dexi9A01G0011880 transcript:Dexi9A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLPLSVPRAPGAPIAPPASPGSSVVACSHLPAPLRGRGRRRAPGIALAAAPAGRQDGSWRAGVSSFSFLPSFFSGNKGEKDAEKAMRLKEELLAAIAPLERGAEATAEDKERVEQQLEAVNQVKEPLKSDLLNGKWELLYTTSTSILQPQQRDLI >Dexi9A01G0040430.1:cds pep primary_assembly:Fonio_CM05836:9A:44176375:44182702:1 gene:Dexi9A01G0040430 transcript:Dexi9A01G0040430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMEMPDPDELEWMESHGLLPEEEEDLYIDDPDEGFVPPPGDSDQPRDSPQPHEPATPRANEASEGSLKRPPPPPPPEQEEGERSKRRNVEREDPDDEDWLRYSPPPAPAPEVVVAEKTISRFASEISGDCVPVTAPNGERVYAKLAMEGLVRGGISGTQGAHFSNPNPNYKGLLSESFHSLTRRAEQEALAKALQESTDSIDRETCSVTPVVTEKLWVEKYAPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATCDDVLSALRRHSSTIQKNANNKTFFPKSKGGSVDMPLNAPNSNLEGLSGSFSKKSSVHNTPEQKINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVDVILKMINTEKSNNSDRTTNAEETQVRKASRKSHRMAKLLRPVICICNDLYAPALRQLRQVAKVHVFMQPTISRVVNRLKYICKNEGFKTSAIALSALVEYTDCDIRSCLNTLQFLNKKGVALNISSFDSQVIGQKDKSKSILDVWKQVLQKKKQKRTGKVETHFSKDKDTDYLFTLISNRGDYEVTMDGIHENFLRLSYHDPMLQKTVKCLDILGVSDSLTQYVYRTQQMPILAYQPPIAITISRMVAQVERPNIEWPKALQRSRTLLLEKKDMLKTWQNQMSPAVSRHMSVESFVEDIASPFLHVLSPLSLRPVSCHALIVALNLLSEREKDELVELIDTMASYSVTYRNTKFAPQERANMSVAPHDVPSLSLYPSISDVINFKGYKSEHVDLSQAMKQVLVHEM >Dexi7A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:7A:15778112:15780123:-1 gene:Dexi7A01G0004820 transcript:Dexi7A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAKVGAEEMEEREREGEEEEEEEEVEVKFRLFDGSDIGPIRCNAAATTVAALKDRVVADWPKDKSISPKTANDVKLISGGKILENDKSVAQCRAPFGDLPSSAITMHVVVQPSSAKSKPGGLQVFTL >Dexi1A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:1A:27573609:27578336:1 gene:Dexi1A01G0020760 transcript:Dexi1A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRALSVAGRWQHQQLRRLNIHEYQVPLATQIPQFALPIFHRGSWNFVGLISEVRLTDLRFWDCVGLQGAELMGKYGINVPKGTAAGSVQEVKDALKNVFPSEKEIVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEAEGIASKMLGQILVTKQTGPEGKIVSKVYLCEKLSLTNEMYFAITLDRKSAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAAKVVDGLALKAADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >Dexi9A01G0027730.1:cds pep primary_assembly:Fonio_CM05836:9A:32396790:32399456:1 gene:Dexi9A01G0027730 transcript:Dexi9A01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSNARKRQGGKPSAAADPAPDNGSANANKRKQAGTGGDDRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTYRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHEEWLANADRWVAGFLEKFEQHCHNMETAIKDRIQERLGRQLSKGMNAGLVQQPVVA >Dexi3B01G0027550.1:cds pep primary_assembly:Fonio_CM05836:3B:23167723:23179083:-1 gene:Dexi3B01G0027550 transcript:Dexi3B01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSGSASSYKLQLALAALVGASSAAAAAYYLHCRAVAQVGGDLARSASTRRRRPRAPAGASGGGKPPPPRRAAAGSASLPDLSAFYDVGGRGGGGLASGGYLVEEEEDEEGLVGPHTNGGALDPTDFLQIPEGLPRLHVGPDVQPDPVAADILRKEPEQESFVKLLTSPKEIPTADEIEVFKILQKCLELRDAYLFREEVAPWEKEVINDPCTPKPNPNPFTYVPEPKSEHVFQMVNGVVHVYEDKDYTERLYPVADATTFFTDLHYILRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTINPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYFKRGPAGNDIHRTNVPHIRVQFRDMIWRNEMKLVYLNNNILITEDLDQ >Dexi1B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:1B:5635955:5639534:-1 gene:Dexi1B01G0006810 transcript:Dexi1B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASEERTVVGWAARDATGHLSPYTYTLRKTGPEDVVVKVLYCGICHTDIHQAKNQLGASKYPMVPGHEVVGEVVEVGPEVSKHSVGDVVGVGVIVGCCRECRPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASTMVVDQKFVVKIPAGLAPEQAAPLLCAGVTVYSPLKHFGLTSPGLRGGILGLGGVGHMGVKVAKAMGHHVTVISSSSKKRAEAMDHLGADAYLVSSDAEAMASAADSLDYIIDTIPVHHPMEPYLALLKLDGKHVLLGVIGEPLSFVSPMVMLGRKSITGSFIGSVDETAETLQFCVEKGLTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNIEEAAAGAPTS >Dexi1A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:1A:19644509:19645483:1 gene:Dexi1A01G0013910 transcript:Dexi1A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRFAAIVLAVAAIIFVAVDAQDSGQTFVDPQNSARAEVGVAPLAWDDTVVRRPGYYNCSDNSCSATGGSCRQYTQVVWENTTRVGCATVTCDAQQGTFVVCDYDPLGNLVGERPYAGCGQFNRSAQSSPQDLISLQNALRAGLGVGMLSWDSTLAAYAEAYAEKRKTDCQKIPSDGPYGENIFQGPQAPVPRMRSSPGSERSRTTTARPTNARVGKPAASTPSSSGQIRRESAVRLCERNL >Dexi9A01G0039090.1:cds pep primary_assembly:Fonio_CM05836:9A:43199253:43199814:-1 gene:Dexi9A01G0039090 transcript:Dexi9A01G0039090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPLAMPHAAASAGLRPAAAPVAPHPTPTCLRARGTPPPPPCHQAGSHRERRGGRAAEGLAQKLQGVEVFDLSGEAVPIVDLWRNRKAVIAFARHFGFHG >Dexi2A01G0030460.1:cds pep primary_assembly:Fonio_CM05836:2A:41387500:41388652:-1 gene:Dexi2A01G0030460 transcript:Dexi2A01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDKASFGEHEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASGGNREKVGVKKALVFYRGKPPKGIKTNWIMHEYRLTDAASSATTNRPPPGAAGGKATSLRLDDWVLCRIYKKTNKHVPGDQQQRSMECEGSVEDAVVVGMYPRHGTAASMAHGGNNNYTSLLHHHDGHEDNFLEGLLTAAASEAGGGLSSAGPSSLQSHLAAAARATAPSATTKQLLVSSSTPFNWLDASSGLTILPPTKRFHGYSGRDSTDVGGMSLSSPSERNLQAAVGDNGGSGGSNAIPTFLNPLGVQAAATYHHHAILGTPVSPEEAAAAAACTFHQHPYQQLSGVNWNP >Dexi9A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:9A:10192063:10193319:-1 gene:Dexi9A01G0015290 transcript:Dexi9A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPARARRAPAALLVPVRGLLEARVPWARDRALDHVVEREGHLVPFLLAKDALLAATPPPHAVPLHSLPSTIPFPFRPLRFLRLYPSAFALSPHPIEVSPTPRLSALHAAEAQVVDATRPDAADRLLRLLMLAPSRALPLRLVARLRLDLGLAPDFQRTLLPSYPDYFALSPDGTFLELVCYRKDLAVSAMQSYAQRTGGYKVGDALAFPLSFPRNFELDKKVRKWLDEWQRLPYISPYEDGSHLAPRSDITEKRTVAVLHEVLSLTVGKKMEKEVLVKLGEALRLPPGFRKVVARHPGIFYMSHKLRTQTVVLRESYRRHMLVDKHPMMGIRYQYLHLMHMGKEEVGKGKGKDRKSKRGEQMIGEEFGAEEEGGDNEEDYDDEDELDEDMEAGIASEDEESDDDIDKDTETQIAH >Dexi8A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:8A:16821220:16823530:1 gene:Dexi8A01G0010180 transcript:Dexi8A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTCNCITGLASPDPVTFLTSANEYFADNAFTTNHPSPPATDLFTFGGSGLLTIGTLGIAAVAVPSDVDDEEYDVVDVDAEPDSNDNDDTFNEEEGDDVIDDGAVTPTFIYPPPPPEVEKKAVAAVEVVIAEKDDDTTTEDDLMVVSAELEKVLGGRNSGVTGAAVTGDLVASARVSFAMGVDCPLQGFLFGSPVSNAESRLEQPRDSNGGGRRTSLGELFMRTRFADEKVALVAVEEVEDAGDGIAGGERDDGKAGKGGGGGGHKTMKKRRVKDGKVAGSEGGTASAAVTKSKFQKILQIFHRKVYLESTALARSLTKKSRKRVSSGADEPELASPKLRCREGQRAPGFGCCANRASFGGAASPVDEDDEELNCSKSGHWIKTDAEYLVLEL >Dexi6B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:6B:24736672:24737670:-1 gene:Dexi6B01G0017580 transcript:Dexi6B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAKMMCSGFLEFKLDYLETMNLNIGHYITSEKFYAGEHTWRIVCYPHGDKSEHKGDYVSLFLVLVSNPGNVKAVFDAFLLNRDGTPSLIKAKRSMLLFKHKIPLGWSRFIKRSDLQSAYLNDCSVRFMCGVIVVPENPMPTAMPPSDIGIHLGHLLDCAVGSDVSFIVDGEQFPAHRAVIAARSPVFKAELFGTMADATMPSITVQDIEPAAFKVMLRFMYTDSFPADGELGDSRIDMLQHLLSAADRFALDRFKLICSLKLIENISVDSLGSILLCAETYNCPELKIKCLDFFAVEKNFKEAAFTDGFAILVQKFPSLATELRRRVVNL >Dexi1B01G0020860.1:cds pep primary_assembly:Fonio_CM05836:1B:26859293:26859592:1 gene:Dexi1B01G0020860 transcript:Dexi1B01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHARSSAVAPQQRAERRDHAGADGRIPRKAGWGCGGARGGAAWPDWLARLRGGLCLLRPLALVSWLAGWLAPLRYASSFWLAAAAPRCAGVVLRINQ >Dexi5B01G0029730.1:cds pep primary_assembly:Fonio_CM05836:5B:30881443:30882069:1 gene:Dexi5B01G0029730 transcript:Dexi5B01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDVSRLSEEQVLVHWASPLLRDKKRFVRLADPLLGRRYPVKGLYQALAVASMCLQDDAPSRPGISDVVSALSFLADPAYYPPEGMGAEHKGAGESRPMENASPPLVTVVSEKRTDDEMKQR >Dexi4B01G0022710.1:cds pep primary_assembly:Fonio_CM05836:4B:24258302:24261524:1 gene:Dexi4B01G0022710 transcript:Dexi4B01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSMAGPARGSSRAAGKRAGGGASSSSAAASACVYYATTALLVALCVAGAYFLTSTSGVASAAADGDAAGGTVTAYRHTTRSSFAYEVTRERATPAPPRGAAAAGKVAAAGGGSDEDGADEESQPKSAVAAAAALVDDPHAKPDDGDQDHEVAAMDEEHRVSAAAMEDVKGEDGDHAGSGGEASAVEAEAAAKEQETVLEDTREPQLEMPHAAAVEEKNLDGGVEEESNAGQRQREEEQSALDDQAAAGGGALRREAQEESSQIDGDDRPGEETAEPRQPEEETTAQNDEQARSSSMSDTNGGEAAFRDHNGEEGGDGDGDKPAVVVNDGDSLVGDARSEEHRSWATQADQSHREKDRRDETTTSIDNGGADITGGEEHEWRLCNVKAGADYIPCLDNVKAIKKLRPENFRRYEHRERHCPDEGPTCLVPLPGGYRRPIEWPQSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQHLTFPGGGTQFIHGALHYIDFLQQSVRGIAWGKHTRVVLDVGCGVASFGGYLFERDVVTVSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKSFDLVHCARCRVPWHADAMTSLTKSMCWELASIKKDRLNGIGAAFYRKPTTNECYESRRPQQQPPMCGDSDDPDAAWYTRLNSCMHRVPAAGSSERGARWPVEWPRRLRTPPYWLSDAQVGVYGKPEPEDFAVDYDHWRRVVDRSYINGLGIDWSRVRNVMDMRAAYGGFAAALREKKVWVMNVVNVDAADTLPVIFERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKIKERCAVLPVVVEVDRIVRPGGGIIVRDEAGAVGEVEKLLRSLHWDVRLTFSKNDQGVLYAEKSDWRPELIEEPS >Dexi2B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:2B:25394380:25395780:1 gene:Dexi2B01G0015430 transcript:Dexi2B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNPSSSHNFTSSSLLTRRSIAIALYALIPLALLHYLLTLPPPLPPPPTTAAHSSPSPLNQSKASAAVSPSPEAPAARCDYSDGDWVRTTAGPRYNGTSCGDTIKAGQNCEAHGRPDTGYIYWRWRPRRCALPPFDPVEFLRAVRGRHVAFVGDSLARNQCESLVCLLSSAFPAQLVRGAGGGDGDGDGDELRKFRRWVFPSHNATVSVFWSPFLVNGTERPKAPPPAGEQYHNRIYFDQADERWAAEVQSFDVVVLSAGQWYLNPAIFYDRGAVIGCHICPPELNRTEMDFFGVFRLAVRNALREVIFRVATSSPARRPRLAVVTTFSPAHFEGEWDSPTSCARTEPYARGERVPLYMDEEMLRAELEEAAAAGADATAREAGLAVEALQVTRLAAMRPDGHPGLYSRPFPLAGGVRERMPNDCVHWCLPGPIDTWNEILLQIVRRWADGADAGAVSAAPTN >Dexi1A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:1A:27035053:27035337:-1 gene:Dexi1A01G0020140 transcript:Dexi1A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHTGSGGRSRRSQNPTQPSELRFSWMARKWRPPHAFFAASQASASCVAAGMYLDDTPADRIRLALPVSTSIAQISIPFIHAENG >Dexi3B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:3B:8903758:8905004:-1 gene:Dexi3B01G0012690 transcript:Dexi3B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGTNDSSGTMPPAPGKKTRKPYQITRPREKWTADEHERFLHALHRFGRDWKRIEQFVATKTATQIRSHAQKYFIKAQKLGLAATLPPPHPRRGAVIAAHAACSGHPDDGNAVASMAAPMWPTTAAPPMNSTDFVAPSSSAQQNADDWAARASAGAHHHHWPSCGGGKPSGAQGNETIQLPLSPDDPRFALVYRFVGDVFGSGAGPVEAQLQRLRGVDPLVVDTDNQFA >Dexi5B01G0022730.1:cds pep primary_assembly:Fonio_CM05836:5B:24995587:25000540:1 gene:Dexi5B01G0022730 transcript:Dexi5B01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPEQQPQPQQQRRKGSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAVDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTREHHQFFLYQMLRALKYIHTVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLTSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEASALADPYFKGLAKVEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSAVDNFRRQFTNLEENGGKSGAHIPSSDRKHVSLPRTTTVHSNPIPPNGNSQVPQRIPTGARPGRVVGPVIPYENLTAVDPYNQRRVARNPVLPPATSNLSAYTYHRKSDNSDRELQQELEKDRMQYQPAQRFMDAKVVPQMSPDLRSAYYIPKGAPKSDVVERAALQPSMIHGIAPFSGIAAVGGGGYNKVNAVQYGVSRMY >Dexi7B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:7B:19405248:19413719:-1 gene:Dexi7B01G0012640 transcript:Dexi7B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLVSSLVAATPRWLPLADSILRRHRPRRSPLPMLLFDRGTWSKPRKVSRGISMASRKANKQGEYCDEGMLSHILWWKEKMERCRKPSSVQLTQRLVYSNILGLDTALRNGSLKDGTLNMEILQFKSKFPREVLLCRVGDFYEAIGFDACILVEHAGLNPFGGLRSDSIPKAGCPVVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGTSRWGEFGEGGLLWGECNGKSFEWFDGSPTEELLCKVREIYGLDEKTVFRNVTVSLEGRPQPLYLGTATQIGVVPTEGIPSLLKMVLPSNCGGLPSMYVRDLLLNPPSFGVAAAIQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEIMLMSSNAELSAILDKLLVPASVATGLNVEADMLMNECSFISQRIAEVISLGVESDQAITSFEYMPKEFFNDMETSWKGRVKRIHAEEEFANVDRAAEALSTAVIEDFMPIISRVKSVMSSNGGSKGEICYAKEHEAVWFKGKRFTPNVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTVKVENALNRYHEACDNAKNKVLELLRGLSSELQDKINILVFCSTLLVIAKALFGHVR >Dexi4B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:4B:9508974:9509770:-1 gene:Dexi4B01G0011910 transcript:Dexi4B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPPLQRRTNSVRLRWLVPPSATALAAASRTRAAFSATVSSPIAAATCPAIASAPTVFSSSPSQLQLSTHTPSPARATTRAFTRWSANLGHASTGTPARRRLRHRWLTNRPTAGCRSTSPCSTHDLVTIPRPPCTRRRKPSSSSPRRSPSSPASSLSTTAPFTTHRNLWPHASSPAASSLICSFARALRLPKLTYTTDRGGCASSHAM >Dexi2A01G0036480.1:cds pep primary_assembly:Fonio_CM05836:2A:46014991:46015768:1 gene:Dexi2A01G0036480 transcript:Dexi2A01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTAPAPPSLPPPATSAEPRHPRLSGFEQLDARVKELTSSQAELLEKIQKLKQEVQNWRSNVETQAKTCQNELQSLKKGLDSASTLKWSS >Dexi4A01G0023010.1:cds pep primary_assembly:Fonio_CM05836:4A:26202190:26203577:1 gene:Dexi4A01G0023010 transcript:Dexi4A01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRWTTRSTAARRATLCLWLALGTAALTLPQAHGESAADLTKVTNKVFFDIHINGKPEGEKGMGTHGKPLFYKGSTFHRIIPGFMIQGGDFNLDGKHVVFGKVLSGMDVIQKIEAQGQPSGVPKAKVVIADCGELPKSDEL >Dexi5A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:5A:24874382:24876353:1 gene:Dexi5A01G0020990 transcript:Dexi5A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGASVASVPWGFLLGGLLGLVLLWQAGRLLNQLWWRPRRLERALRAQGIPGTSYKFLTGDLKEYGRLAKQAWSKPLPLGCHDIAHRVTPFVHNLVQEHGKMGMSWFGPNPKVTIVDPELSKDVLSNKFGHFEKLKFPALSKMLGDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSACCEELVSRWSESLGSDASLELDIWPELQNLTGDVISRTAFSSSYHEGRRIFQLQAEQAELVMTNIQKIMMPGYMSLPTRSNRKMRKNNKEVESILRGIIGKRIQAMKQGEITKDDLLGLLLESNMRETEENGQSSTGMTIEDVIEECKVFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVRSLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAISFVRKTYKEMEIGGITYPAGVILELPVLFIHHDPDIWGSDVHEFKPDRFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFAFELALSYTHAPHTVITLHPMHGAQIKLRSV >Dexi7A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:7A:30201578:30202133:1 gene:Dexi7A01G0021650 transcript:Dexi7A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLCVVLVSSLLLATLAGASSSSLTSSLSRDQAQVLGRKGRDHYHPQISKNMQQPEEVLAVEVKKSTETKAGLAVDNGEDAEEGLIDSADYSSVAMHAGSPPKPKHKHPKP >Dexi6B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:6B:159769:163043:1 gene:Dexi6B01G0000260 transcript:Dexi6B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAASRRPPLAPPPKRRSSGDLLQPDLLTYKRRRRATSANATGRSAVALSPDQNQMGLVANPTNPQHQALARHWRSWRNTLEGLLQSPAVSQGSEGIQTCIRDALRHNSCQLHGNLGESQGGARDVPSGAVLAKENNGALIKAVDATANNGALVKSENGTAASLEANKAKCNTALFEILVSEKFALLCDLLVATFHVNKPDDVIGLQKIDAKMRSGDYAQNPALLDQDIKKIWKKIGQFGQEMVGLASSLSVISPCDSGHSTIPKQSGTSGLDGICKDCGRKADSEGRIICDRCEAVFHVSCLKPAIDDVPAKWYCPTCNELDAAVKNNSNGKSHEDCNVCEWLEFKEPEEHPEAEDVGKTELAIKTQESSVASMDGDSEPDLSTTALSNLCKHCGTCEDEDKKFLVCGHPFCGYKFYHVLCLKESQIAREKQKNRACWYCPSCLCRSCFKPKHDEWTVLCDGCDDAYHTYCMNPPRNDIPKGLWYCSSCNARRSADGLQKYEKLILESLPSAKRSKTLAGGAPENK >Dexi3B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:3B:5301457:5303931:-1 gene:Dexi3B01G0007450 transcript:Dexi3B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSTLPANLPPPPSSSPPARTATPSYHRKPLATSPAEHAALQPSEAASLLTAAARARDLRLGRAVHARLLRTGTHLETDTVVANSLLTLYSKCGAVDAARSVFDGMPPGLRDLVSWTAMASCLARNGVEDEALRLFGETLQAGLRPNAFTMCAAAQACFVSELFHSAGHAVLGLVFKTGLWGTDVSVGCALIDMFAKNGDMVAASLVFDGLVERTVVVWTLLITRYAQGGYADGAVDLFVDMLENGFRPDQYTMSSMLSACAELGSYRLGQQLHSLVLRLGLVSDSCVSCGLVDMYAKSQIGPSMHNARKVFDRMSKHNVMSWTALLSGCGYLEDACRAFDEMNDHNVISWTSMISGLAKHGHAGLVKEGKEHFRMMQKDHWLAPRMEHYACMVDLLGRSGLVEEALDFINEMPCKADALVWKTLLGACKTHNNMNIGEIAANHVIELEPQDPAPYVLLSNLYADAGLWDQVARIRSAMRDKYLMKETGLSWMYVENTIHEFRAGDTCHPQAEEIYTKLDRLIRDIKGIGYVPDTSIVLHDMPDELKERCLLQHSEKIAVAFGLISCTSATKPIRIFKNLRVCADCHSALKFVSKATGREIILRDSNRFHRMKDGKCSCGEYW >Dexi5A01G0022240.1:cds pep primary_assembly:Fonio_CM05836:5A:26145521:26146498:-1 gene:Dexi5A01G0022240 transcript:Dexi5A01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRKVRIFWDDPDLTDSSGEEDGCGSRRIGRMVRELPPLALPPPAAPVPEPCSAGDGDRVRRLSGASGGVPAVSSGGAARRRLGKGGGGPGASTSSKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDTAAIQLRGPNATTNFSGAGNSGAGEGHPDPATPGGYESGAESSHAVSSPTSVLRKVPSVSSLAEDCYSKDYYSDAGAPWCEPVADDAWRRSGSSSLAVLEEEALGEFVPFEDAPVYATSGFWDLQPDHGFLYAEPSSPEASWNPAAGGSPGEAPSSWATSPTMLENDYFEELRDLFPLHPLPAIF >Dexi8B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:8B:411758:412263:-1 gene:Dexi8B01G0000610 transcript:Dexi8B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMERMSSSVQSCVEEHKLATIGGVWAAAVGVSVAYSRRGAPQRATSLIHGRKKALSLAVLGGAAAAWMHYRTSSNTSRREQMDLDFYSQLPPATDADGNENERWSW >Dexi5B01G0032510.1:cds pep primary_assembly:Fonio_CM05836:5B:33141270:33146447:-1 gene:Dexi5B01G0032510 transcript:Dexi5B01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGAHVADLLRGPHLLRAAVLAFAVTLAFLAGYHWHDASPRLVFFSSAASSSSAPGASSRSPAVALSPNSNISFDPSVIPATSTAPPASPTPNAWPPPSLPPPMPAPPPPLLPPPPPARLGIVGEDGAMRDDFDMGSFGANDTDLVTDETAPQEPGDAGGDSVVPRARIGKFPVCPESMREYIPCLDNQEIKRLPSTERGERFERHCPANDKALSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWITKAKDKFRFPGGGTQFIHGANQYLDQISQMVPDVAFGSHTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERDGILLLEVNRLLRAGGYFAWAAQPVYKHEEAQQEAWKDMEDLTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPAVKPPLCDTDDNPDDVWYVNLKACISRLPEIGEGLTPVQWPARLMDPPKRLQGVIMDAYLSKDVLFKAETKFWDDILEGYIRIFKWRKFKLRNVMDMRAGFGGFAAALINQKFDCWVMNVVPVTEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHASGLFSKEQKRCNISSILLEMDRILRPGGRAYILDRREVIQEIKEITNAMGWRSTIRDTSEGKYASRKVLMCDKPMVH >Dexi3B01G0037260.1:cds pep primary_assembly:Fonio_CM05836:3B:40058144:40058404:1 gene:Dexi3B01G0037260 transcript:Dexi3B01G0037260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACFPCAQHITSSAAGCRRLLPLAALPSEQQPPPFEENHYPNPGLPWQARAIADLIAPESTAELSRQAPPQSSNLTPKNRQKEPK >Dexi7B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:7B:25446685:25447123:1 gene:Dexi7B01G0019990 transcript:Dexi7B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDELDYYRLQHQVEGDTIAWDNQPQGTDENGGSRENSGIPNRNDRKKRSKAWEEFTITEEDADGKPVKAECIHCGMQLKCETSKGTSVLHNHLKSDSCKRKRSAIEQTPNPSRYYLS >Dexi8B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:8B:19575548:19578253:-1 gene:Dexi8B01G0010970 transcript:Dexi8B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEEEEERAAELAGPLRDLLPAVDFCCAYGSTLLHARPDRTSMVDYILGVADPLQWHSQNLERNPGHYSGWMRRLGAGAITGLADHVGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKVNTINLEMATSASLLLLPEEFNEYDLYAQICSLSYMGDLRMLFAEDKDKVKKIVEGSFQSFQTMYRPLLQEYIAEGLLKISSQGQHKTFWQDCGPSTTNELFSVLPCTIQKQMQGGYRDTPTRTVVSSKDMAANCVRRALRRRVMVSSTRQAVSGLLASGGAIAAQYLGKKMAKAWQSRAA >Dexi8B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:8B:23730955:23731358:-1 gene:Dexi8B01G0013470 transcript:Dexi8B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNAKRQHPIIRFNTLEAERESHKNARLADVCITTSATPTYLPAHNFKTKDSDGDPYEFELVGGGVAANNRTIVAMSLQVKDDDRSPELVEGDPEEDGMAAMVAMEKTHMKWNNGVEAPPPAVHKNILV >Dexi7B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:7B:2749949:2750992:-1 gene:Dexi7B01G0001670 transcript:Dexi7B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSSGAGAAAVVPHVVPHATDVLAYHVAVLRDKVQQLEPLVGMFLSPPNPHHHHSRYEATSMVAHNACSVLQEITAAATTMAHRLSFNRASNEHMFSAASGAAETLPFFGHEPTALFSCNGASTSTAAHPQEAVSFSIQAASTSGHQTNTRDLGLAGADVSGVELDASYLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTSAALSNPSSSSAAAAAATERRSYSCPAEGCRWNMRHPRFQPLKSVVCAKNHYRRSHCPKVYACRRCDGKQFAVLSDLRTHEKHCGELRWRCTCGTFFSRKDKLMGHVALFATGHAPVLETVAPRGDRDRHSNSGDASLPPA >Dexi1B01G0025740.1:cds pep primary_assembly:Fonio_CM05836:1B:30741423:30745524:-1 gene:Dexi1B01G0025740 transcript:Dexi1B01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPLLLFLLLLIVAAVELPALAAAQPQRNIQTTFPSVRTPAIATPPPPPIVSPAPSPAATAPPSPPGSSSSSTAPPSSSSAKRSDIAVAVVSTALSSFAVSGLVFFLFLRHGKNKDLVAAGGNGYPDGRQDFAAGKLPEREPRRPPRGGGGGGGGFGMVDENGLDAIYWREFEKDGDGRGRKSWRPPQPPPPRQQQQQQRVEMWPEPQQSSSPPRRSRRNKIDQEPLIPSGSVDSASEVFDESLHPPPTAGSSSSFSVAAAGSYARPPPPTPAIAVSSAPRPSPPPAPASASPGLPPPPGRASPPPAPPIAAASAVPSPPAPPKPAAASPPPPPPPKGPSPPPPPPPKAGGPPLPPPKGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGASASSSRPPTAPGMPSGAEQQAKLKPLHWDKVNVQATDHSMVWDKITGGSFNLDEGIIEALFGTAAANRKPKTADSKDSAESSAGLGRSNTPEQIFLLEPRKSHNISIILKSLTVGRDEIIDALRDGHTELSTEVLEKLSRLNISKEEESTILKFSGNPDRLAPAESFLLRLFLDVPNPLARVNALLFKVNYGAEVAQLKHSLRTLELASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTSLLHFVVEEVVRSEGKRLAINRNYSLRRSGSLARSGHEGGSSAAGQGPSREERQNEYMNLGLPIVGGLSTEFANVKRAAMVDYDAVVSECSILGSRLTDIKRLLETCSDDGFARGLRGFVNAAEQELKVLSAEQERVLELVQKTTEYYHAGATKDRNAHPLQLFIVVRDFLGMVDQACVDIKRKLQQQKKPLPSSSQPTTAAASAAPSTAAAVTKEATDGKPPPAPAQKPAEEVDSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >Dexi8A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:8A:24920458:24921509:1 gene:Dexi8A01G0014430 transcript:Dexi8A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIFTEGQLKKITNRYSTPIGEGAFGKVFMGITDAAQRVAVKRSYAKGEALRQEDFVNEITVQFRISHSNLVRLVGCCLETDIPMLVFEFIPNGSLYNVLHGTNRQNVLPLQVRLDIAIGSAEAIAYMHSQCGHVHGDVKSGNILLDEDLTPKVSDFGSSKLVSIATNANWSVAGDMNYIDPVYIKTGRFTEKSDVYSFGVVLLELITRKTAKYEGCRSLPIDFAMSCRDEGRRRGMYDRDILCGDDGRLHVYMECLDRVGELAVRCLKEDVEERPTMAEVVVELKQVKSTAYGGSLVS >Dexi3B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:3B:12801059:12802840:-1 gene:Dexi3B01G0017410 transcript:Dexi3B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTTITLMYTIPYGLGAAARVANELGGGNPEGARSAVRVVMCIAVMEAAIVTIILLASQHILGYAYSSIARGSGWQHLGAYVNLGSFYLVGIPTALLLGFVLKMEGKGLWMGISCGSIVQFLLLAVITFFSNWQKMSDKARERVFSDDPSDKEHLESDGSDLP >Dexi1B01G0002750.1:cds pep primary_assembly:Fonio_CM05836:1B:2310373:2310841:-1 gene:Dexi1B01G0002750 transcript:Dexi1B01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNVFTWNCMISGLIRNRMLADARAVFEAMPFRNSVSWAALLTGYARCGRVAEARELFDRMPDRNVVSWNAMISGYLRNGMVDRARELFDVMPARNDVSWLTMISGYMKRKRVREARALFDRRSCLVG >Dexi7A01G0022760.1:cds pep primary_assembly:Fonio_CM05836:7A:30929872:30930576:-1 gene:Dexi7A01G0022760 transcript:Dexi7A01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVAVSGVTTAAHRRCCLASAPRRAQHRRRRPAPPRASGAVEVRVCTSRTCARQGAREVLAALAGLAPPRIDVGSCGCLGRCGAGPNVAASVAGSAAVFGHVGTAGRAAQLLEHLLGAAEFDAAAGLAALAAREKAEAALEKGNADEAEALLNEV >Dexi3A01G0023830.1:cds pep primary_assembly:Fonio_CM05836:3A:19470105:19471768:1 gene:Dexi3A01G0023830 transcript:Dexi3A01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSPWPVSQDLAALAGRARSLSSSRGDAGLLADLAAALLRIQPVARELERRSSWLPPGSCAGEAPALHAWLLDLTAAVAEAEDLLDDLHRRRLLGCFGAAAALRGPARELRRLARRLDAARDDSERLGSGRAAGCGVRSPHRVTGSVPAERRVVGRDEECDDIVGRLIGGGEEICCSVAPVVAVVGHGGMGKTVVAQCVYNDTRIEGYFDLRAWVCVWDRLDEAELTREILHATGGADDTSCDDSLQRLQERLGEVVASKRFFLVLDDVWNDEGKTELETRTVWNKVLAPLSSAATGSKILVTTRMKIVAEVLNATYVAGKAVDVVSSQKLIVILESFP >Dexi3A01G0023890.1:cds pep primary_assembly:Fonio_CM05836:3A:19517973:19518185:1 gene:Dexi3A01G0023890 transcript:Dexi3A01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGISPFIARSTSGSSPTNRASLDTRATGSRYCPDGFPALLSTANTAHLREWLLRLRASTFG >Dexi3A01G0023890.2:cds pep primary_assembly:Fonio_CM05836:3A:19518192:19518925:1 gene:Dexi3A01G0023890 transcript:Dexi3A01G0023890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLSPALPEPNDLLDLLLRDCQHARIPPDHQVDAIVVEAEAPVDRNLALHRGGRQLGEDFEEVREHGAPGLGAEAPGCVDDLVDTVGGLHDDGRLESNELGDIAGGQAVDVAEERQPAKECGLWVATDDEWHLAEHGDDAGVGTAEHLAEGGKERDEVVLDAAVEDDRGERDEPREERRGDADGGQEVELGLQRLGRVGGEHDGELPDGRGDVGVGEPVAAEERKDGVRLRGGARGGEAD >Dexi9A01G0023270.1:cds pep primary_assembly:Fonio_CM05836:9A:18501803:18502873:1 gene:Dexi9A01G0023270 transcript:Dexi9A01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSPAAADGGPWRSASAIVAGTVSGYHVLKVVGYSHTKDVPNGGAIKSRPFLVGGLEWHIEYQPNGDKAENIDFISLFLCLDDTTMKAVKANSKFSLLDKDGKPVPSYSFTTRVVNFSDQRKWGYPKFVERDVLEKSQYLKDDSFADVSTQDTPVIVVPPSDMHRHFGELLSSKEGADVKFRVRKKTFSAHRSVLAARSPVFKKALLGPMKEGTTTSAIPIDDMEADVFEALLTFMYTDDLPDTIKEQEESAIAQHLLVAADKYNLERLKLICEDKLCKHIDSGSAATILALAEQHNCHGLKEACLDFLSTSTALKQVMETDGFEYLTKNCPSILKELLSKGASG >Dexi3A01G0025130.1:cds pep primary_assembly:Fonio_CM05836:3A:20756877:20759597:1 gene:Dexi3A01G0025130 transcript:Dexi3A01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKQTLFEKILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVMIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNKELAVERCTLSHAYKYLPKVFERYSGADGFVFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLESNKEEWFVKQGALVKQVIGNSPVHFQTNYKENMGEDKIAFCGSELFYVPRRFVEDFGDLVGLIGDLDLHHKIAVPMMFLAMDSPQNFDSEALAGTVFKTQLPANATFSTIYTAQAPAVFPVKVMNEIDFIKVIRLMSIGDPLLMELV >Dexi5A01G0039270.1:cds pep primary_assembly:Fonio_CM05836:5A:39990659:39991411:1 gene:Dexi5A01G0039270 transcript:Dexi5A01G0039270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETATAVCYTLLCALVVVVAALFKLKQASSARRGRDAGLTPASGTMAAAGDREHALPAGRPAAPRHAEASAEVRPRGAIFADRPLYVTMDIFIYGGKSISFAPYGSRHWKELRRLCATELLSARRILSFRPIREEETAAMVRSVAAAAALVNVSDRVRLLLNDIIVRAVVGGRCPQREAYLQEMEKALRLLAGFNLIDLFPTSRLARVVGGRSLRAARRVHARIHSIVGDMIRDHATAMESRGAAADGK >Dexi3A01G0034420.1:cds pep primary_assembly:Fonio_CM05836:3A:39595238:39599564:1 gene:Dexi3A01G0034420 transcript:Dexi3A01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARNSDSAVALQAAVDGDLPLLKNMASKVDLRDAKDAKGRNALHFAAVKGHLEVCRFLLEESGLDVNSTTEEGDCDELRNEILPFSESVLEYLLDRGGDPLVTDCRRSTPLHDAAEYGRCEAVRLLLSKGVDVEPMNYFGTPLHLAASKDQDGAVKILLEHGADAGADVNFTCPWGPVILMEAVDDGLTDIVEFLLEAGADPNIANEDGKIPIMWAAGHGNRELVELLFPLTKPVPSVPDWSVNGIIRAMKYLHLEAQDAVLVGKWIADAKSLGKEAFAKGDYFAAIHYYGLVVDKDPLDATLFANMSLCWLRMGEWEHALSDARKCKNMRPGWSKAWYREGAALSFLKRYKEAIIAFMQAQDLDPTSDEIYKALRAAMVHGGYEERLQELNL >Dexi9A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:9A:9714132:9714966:-1 gene:Dexi9A01G0014750 transcript:Dexi9A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCIYRHTKQEAAVLFTSSGTIESPSAYHLALQQFRDAFTYNSTKELCGHPFLPDQTMLDEAPYMWIPIHLSGWDGDEVTLLFRNDNMKLAGFANKTGTWFSFKGFEHLIPGSIPTGITTGTFSDIADNLSKIPLGKDALKLAVRNLTAGFDPTTARSARDKDHATVSAAQVMIMFPGALRFFPIRRKVMEGWESGCFLDELGERALLVSWKDITVSLIKWNETRRWEPLILTAVTSLYKIESAEDALRVGDLLFIPLRYGF >Dexi9B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:9B:3717762:3719204:1 gene:Dexi9B01G0006280 transcript:Dexi9B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFGEVPVVVVSSGEAAREVMRTHDAAFATRPQTATVRILSKEGQAIALAPYGDHWRWLRKVCAVELLSAARVRSLRPVREMMAARLVGAVASGGSSGNKLVNLSEMMAAYVADTAVHAVTGRRRLLDDRDGFLRYVDEAIRVASGVSLADLFPSSWIAGALSWRQAHKAEVYRQGLFQFLDGVITEHMERKSQDRQGKLQEEDLIDVLLRIQSQGSSPQFLTMGIIKAVVFSLFFAGTETAATTLQWAMAELMRNPDVMSRAQAEVRGAFMSQSKVLEEGLSNLTYLHWVIKETLRLHTPGPLLIPRECQETCKVLGYDVPKGAMVLVNAWAISRDPQSWEEPEQFKPERFQSDTRDFRGNDFEFTPFGAGRRICPGMSFGLANVELALANLLFYFDWSLPDGILPNEVDMTEAMGITARRKRDLWLRAKMCLNLPH >Dexi1B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:1B:4747435:4747848:-1 gene:Dexi1B01G0005830 transcript:Dexi1B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELEFDLLPTQLPPIRTASLDVRGGGDDNAVADGCSTPTMAASVLPPPLVCPPAPRKKPRPPPPAMAKIKKLQRRCGSAGLRPAHVRWFVAVPEDVLAAVFVARPAAAPATNSPPCPTSSSSPETSKKIRVHVVG >Dexi8A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:8A:3856277:3858553:1 gene:Dexi8A01G0004410 transcript:Dexi8A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQLLTTIILVVTVFLAIIYRQRSSKRSSNLRQPTIKVRDPTITRQTLIDQADAFSNRPPTPFPIPLITGRRHRHGITTVPYGPHWRALRSNLTAAILQPWRQGLMAPLQREAIDALVTSISTRCADDGSGDLVIRDSIYGAVFSMLTTVCFGDDVDFNHVRSMELMMQEFRVAIGEARVLARSTIAKIKHWRQWRRFLGFRTQQVDLFVPLINAICQTRRSTKHGTWPYVDSLIDLRIPDENDPSGANRRALTEDEMVSLIVEFLGAVESIVAVLEWTLAHLVIKPEVQRKVRHELLLVDDVSSKRSGEPGSYLHAVVMENLRLHPPFPLIMREVRSEGAVVGAEIVPATTGMRVQFMLGDIGKDEHVWKDAGEFKPERFIAGGEGEGVGLVPGGSKEIKMVPFGAGQRSCPGAALGVQFIKDLLAAMVREFEWEMPVEGGGGVDMTELYGFITVMKTPLRTHIKPLCA >Dexi3B01G0035000.1:cds pep primary_assembly:Fonio_CM05836:3B:37655696:37656701:1 gene:Dexi3B01G0035000 transcript:Dexi3B01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLRFVRLGLTEQGHVLFHQEDQAYLVHQAVEGGHQALQVALVGQEEAEEGALHLEIQQVAEAMEFLLVLQRVVEGEELLQFLRVEAAVAEPLHILQVEAAVAEPLRNLLEVAAVAEPLRILREVVAVAVAEPLCILREVAAVAEPLRILREVAVVAEPLRILRMVAVAEPLRILREGAAAAVEPLHILH >Dexi3A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:3A:16119095:16120690:-1 gene:Dexi3A01G0020310 transcript:Dexi3A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASPGTDTSTDLDTDEKNQMAYVEQLENSRLKLTHLEQELQRARQQGIFIPSPGDQLHPTRENALDYARWQEDHNKQIDELRAALNAHAGDDDLRRIIDSIVAHYGEAFRLNGIAAKADAVHVLSAMWKTPVERCFLWLGEFRPSELLKLLASHLEPLTEQQLASLCNLQQSSQQSEEDLSQGVKSLQQSVVEILASGSLCPAGSSGNAANCSGQMAVAIGKLGTLQNFLQEVHLL >Dexi2A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:2A:330040:331222:-1 gene:Dexi2A01G0000610 transcript:Dexi2A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVRINTKVLNVSPRRDDDGIMRWTVRCAAEQGDDEATVVEEVFDAVVVASGQYSHPRLPTIDGMESWRRRQLHSHSYRVPDSFRDEIVVVVGCGESGKEIALELREVAREVHVSVKSMDAVVPGMRKAVSRHANLHLHLQAIPKQYKSSIHSSESLMIERMCEDGRVMFADGSCVVADAIIYCTGYDYSFPFLDTGGLVSVDDNRVGPLYEHTFPPAMAPSLSFVGVPSQVAAPRFYEVQARWVAQVLSGRRSLPETEEIMCAAREVAGGVTRRLSHAIFFDLDYCDEFAEKHCGFPRMEGWKKELLLAAIARLRDDTESFRDDYHDSELVVEGLRSEGWLAKASPDTR >Dexi5B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:5B:5667804:5668223:1 gene:Dexi5B01G0008370 transcript:Dexi5B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRADVDCTGGIAKRGSRGSGRTNRREGSRFAGSRATVPERSPGPAGRAAVVCTPCGPVTRGRKQGSPSAAVAVVIGRLYGRDAASPGAAIAERNRRPAAAHREDFWSPSLGGSAAVRRARTLGCCADERKGQGRFANC >Dexi3A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:3A:7720738:7721262:-1 gene:Dexi3A01G0010780 transcript:Dexi3A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQLPAGYYGNACVLAMATATAGALRDGTLGDAVELVREAKALVTAEFVRSTADLFALRGRPNVSPTNLLVVSDCRHAGFHTVDFGWGQPVYGGPIHKHELVSALLSTVKNGDEEDVMVLPLTLTRPAMDRAAITMP >DexiUA01G0012220.1:cds pep primary_assembly:Fonio_CM05836:UA:24515594:24516124:-1 gene:DexiUA01G0012220 transcript:DexiUA01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAAETQNKGAAAETQNNRTIAVLTTSLSSWYSDQIQKKYDEHQTVDGTTVKVYQPSHEEYQRSKNKKHNMKALAEIYLLSMNDELITSGFSTFGYAAQGLAGLKPWIMFRSENHLVPDPPCGRAMSIEPCFHQAPYYDCKAKRDTDLGKVVPYVRHCEDVSWGLKIVNQTQL >Dexi9B01G0031890.1:cds pep primary_assembly:Fonio_CM05836:9B:34214720:34219270:-1 gene:Dexi9B01G0031890 transcript:Dexi9B01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGASDPPRAGKAHGHGPYLLVVWSGPPKSAHGSDSFPGLLAPRRPQGEAQGTAARERPTTTAPPRGESPSRSRAPHAVERESPSRSRVSLALERESPSCCRTGLEDSTPDAINAGHDRTLRFATPQMGHRSPPTEPGTVSLNRTLPYHGANNSTTGLPPEHDARPRRRTPEERQKRHDTISTLDQHPSKQRLANEKSAMATFMPTVHGMAPQINPCVPSLIDQIRHPTIAGTSHSNSTINSNLRSRKHKLISSHNLLRHPKHKLISSHNLLRHPLFPVTRSHTQNSNPEHLQNKDGETEGQQPQIDEQHHRSKNYSVLEEEKEEEERRRAPVAGGDECEWRESGGEWRETDAFRLPRARALHHASLAGEERSSWPFPSAWPGDAFCTRGAWLGGWTRLPNTRCLHASGLGSPSTANGTVLAMVRYLSAYSISRYRLVSRLSRLETYFQNPYTPVRVISLSE >Dexi1A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:1A:4224080:4224476:1 gene:Dexi1A01G0005750 transcript:Dexi1A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVTAFVASVLLLALVFISYDAGVEAWCLEYPSPDINACRGKDGLRYCRDDCVAIGHGFAGGECLKNPDGSFGDCLCLKCADEPPAANTL >DexiUA01G0005940.1:cds pep primary_assembly:Fonio_CM05836:UA:10837316:10852404:-1 gene:DexiUA01G0005940 transcript:DexiUA01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPGADFFRVVRMMQERLKANPVPIVIPVGAEEHFTGVARQALPRALADGNYDELVDPRLDGDFDPTEAARLVACAAASVRHAARRRPKMSQIVMALQGEMPLEELNDGVRPGHGATFSSSSGGSGSGSGGLSYTAQMERIRRAALPSPEYSADYPGSIPEFGHPSPASSVATSAAERDDRRRHGGRR >Dexi9A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:9A:11544884:11545325:1 gene:Dexi9A01G0016520 transcript:Dexi9A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAVVERRRRRPRRRIEELAGAGAGGVGGAVVMSAERTAAGLHSGWALRSSAARPLTCGHDMDVPEMMLNPTRRSSAASPVGPTFPVHAARMLTPGAMTSGLRISGVTVLGPLDENAATTGDGRTPTCVPATTIVAVGLGTDAT >Dexi7A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:7A:26859731:26860389:-1 gene:Dexi7A01G0017040 transcript:Dexi7A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYSKLPTMKRMTWSPPPKGWIKLNFHGIGCSKGRPACIGGILHDDKGEVLFYYAGQCPGT >Dexi7A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:7A:17031611:17032507:-1 gene:Dexi7A01G0005790 transcript:Dexi7A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVHCGLSRMKFVAAYLLAVLAGNNSPSAEDLTTILESVGAEVDNEKMEFLLSQLSGKDITELIAAGREKFASVPSGGGVAVAAAAPAAGGAAPAAEAKKEEKAEEKEESDDDMGFSLFD >Dexi7B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:7B:24069228:24069654:-1 gene:Dexi7B01G0018220 transcript:Dexi7B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLVNVGCNRTLIANFTNPPGDPIPWPSASCTTWCNGASDAIISGSCSGQACCEIPIPDQVNGAQAFTLSSFSRTVEHVAGEEYGTCSTAFFLDDGEQAFGGDDGKKVPLDKALVPQGERRMILDWSIGSSTPRLTR >Dexi6A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:6A:25912855:25916523:-1 gene:Dexi6A01G0018100 transcript:Dexi6A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNLARKPPTSMAMASTTLLLALALLASTVAALPSSDADVISRFQEYLRIDTAQPAPDYAAAVAFLRGQASEAGLEARTLELVAGKPLLVLQWPGRRPSLPSILLNSHTDVVPSEPHKWDHPPFSADLDEASGRIYARGSQDMKCVGMQYLEAIRRLRSAGYVPDRNIYITFVPDEEIGGHGGVEPFVSSKEFKDMNVGLVLDEGLASPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTAQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPMGFVMNLQPSEAEVGLDIRIPPSAHVEALEKRLVEEWAPPSRNLTFEFKQKMSVLDNFGKPAMTLADSTNPWWLLLEEAVKSAGGKLGKPEIFPASTDARYFRQLGLPAFGFSPMANTPILLHDHNEFLRKDEYLKGIGVYESIIRVLATHKDGAKDDESRAEL >DexiUA01G0000500.1:cds pep primary_assembly:Fonio_CM05836:UA:2100755:2108261:-1 gene:DexiUA01G0000500 transcript:DexiUA01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREYTHEDYDYKTSSKILTIKDDSSMVSQHALDVVNRRVRYITKVRSQLRGDDDYIYAPQYMPIGPCHLDISSREIEKEKMRSVRLLKSLSDEAGLRALMEKLEPLARKCYADNAGDMMSEQFMSMLLNDGCYLLLFFVDYVSNDNRAPPCDDDEAPVAAVSRNTLVRDTVFLLENQIPLVVLQRLHEHVTGGTTSVVDCIAEPVQELLQKMFFISKKPRHAPPHTCSHLLHLVHTYFEPTLPPVPGKKKKTNKASGHRRPTGRWRRAAEYMRYGNVCFRVREFADDVASSILDVQLRRGGTVWVPRLRVDSNTWTILRNLMALEEQEEKRPVTAYCLFMSHVACTAEDVELLRRAGIVDHFLSNDEQAAQGFAELCRGVVMEVDDGEKNYLRSMWHELEERCDSRAQRLMGWFRHGQNVWVAVAVLVALILLTCQVTQTLNADGAGSSNGGPDFDLEAQHIEMVRQTGSTGPQQLRHAAEVVGAPGSSELGSHGGQGPNSQTQQTVAIIPKIHYYSFPWMEEKRKAVDHLKRLMGDARFEDLKTMLAQLWPQQVRRFYTHLPIYQEDDPAAAVFGNMLLHDGCYLLSLFFEFEEQRLQGNNESGGGDNDDPIIVDAIDSTLVRDILYLLENQIPLFVLQEILNYITPAGHEETVLNRIASNVTTLLQTQLYISNRAWEVPSESSDLLHLVHSYFRHRPPRAAPATQPGCWPLDQGRPRVAQRQKRLLTGKWRRATDYSRYANLRFRPRTFSEDGAWTVLDIDLQGGTLWMPRLRVDSNTWTMLRNLMAMEEQEDQQRPVTAYCLFMSQVACTPEDIELLQGSKVLEHFLGTDEQVAKGFAELCDGVAFDIDKPERNYLRKMWHDLDERCRKPGNNFQGFFRQRYCGNVFYRMVFFMALILNICQMIQAIYAVVGYHKPSK >Dexi2B01G0026300.1:cds pep primary_assembly:Fonio_CM05836:2B:35410501:35414247:-1 gene:Dexi2B01G0026300 transcript:Dexi2B01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTVVESPGCPPLRALTTDILGLVKVVEARAKPAGVAKVVETWGAPDADRAVVAASLADHATDPVLAVARKNGLVELLNPLNGDALAAVKTVGPAANDGGAEVDPLVALHLFTRQASDSMLGTFIACTNKGKASIRSIMKENASSGSDAGPSTTWDVCSGGNVQFCSVDHGESYAIFGGKGIEVNLWDIASCSKTWSAKSPRANSLGIFTRPWFTAGTFLCKDDHRKIVACTNDHQVRLYDTALQRRPAISVDFRESPIKAVAADPNGHDVYIGTGTGDLASFDMRTGKLLGCYIGKCSGSIRSIVRHQELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTTVVIDSHFSVEEPEETKFKHPESSVEADAEVGKEKKSKIIEEGEDEAAVLKLKKKKKSKTIEEDETKAEVRKEKKKKKSKTIEDDDQSAVVDGDDSDGEMYARKEKKKKKSRTVEEDEELDSDGEVCTTKRRKSGERSKGAKKKSKKQHIS >Dexi9A01G0042900.1:cds pep primary_assembly:Fonio_CM05836:9A:46412311:46412682:1 gene:Dexi9A01G0042900 transcript:Dexi9A01G0042900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGMLPINATPHVSRGSRPRPDIAVSRPRSSFLCQSRLPSEPPASGGGGGGGKKGPWWAATAERLRGDVVKAGMAARESLSPKRKGDWKDVTLMSFSFAVYVYISQKLVCTYCAWLSMINR >Dexi8B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:8B:8486042:8487988:1 gene:Dexi8B01G0007230 transcript:Dexi8B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLLRSCPNSANFSLVAYLHGTLHLGIVSSSTVITFLVGAVSVSTAPMNFVSDEFIKCSTAIFVFGPFVFLVIVAALRKRHSVIHDSVALQEIGEAVCIDGEENLHTKRKE >DexiUA01G0005440.1:cds pep primary_assembly:Fonio_CM05836:UA:9679682:9680258:1 gene:DexiUA01G0005440 transcript:DexiUA01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLEKGQPYIFRVGSGQVIQGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPSSPVVFDVNLLYIPGLDDE >Dexi9B01G0023920.1:cds pep primary_assembly:Fonio_CM05836:9B:19364505:19364708:1 gene:Dexi9B01G0023920 transcript:Dexi9B01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHCVSAGQAVSQHHCSAKATAASARGSSVRRNSIRVRKATAAVLHPVATICVRVAEEPPALSWI >Dexi3B01G0032240.1:cds pep primary_assembly:Fonio_CM05836:3B:34610502:34610828:1 gene:Dexi3B01G0032240 transcript:Dexi3B01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFFSTAGMPLNMFGLGIGGGCGVGIGLGWGFGNAYGCQYRSSRVQFQGIEFHKKAEGDEAPKVVSPEIAEKSRPYG >DexiUA01G0023960.1:cds pep primary_assembly:Fonio_CM05836:UA:49030155:49031300:1 gene:DexiUA01G0023960 transcript:DexiUA01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQDYYEILGVPKTAEEREIKKAYKRLAMKFHPDRNQGDKEAEAKFKEIKEAYEVLTDAQKRAAYDQYGHAAFEQGGMGGGGFGGGGFGGGADFSDIFGDVFGDIFGGGRGRQRAARGADLRYNMDLTLEEAVRGVTKEIRIPTLEECDVCHGSGAKAGTQPQTCPTCHGSGQMRQGFFAVQQACPHCHGRGTLIKDPCTKCHGHGRVEKTKTLSVKIPAGVDTGDRIRLAGEGEAGEHGAPAGDLYVQVQVKQHAIFEREGNNLYCEVPINFAMAALGGEIEVPTLDGRVNLKVPGETQTGKLFRMRGKGVKSVRGGAQGDLLCRVVVETPVGLNDKQKQLLKELQESFGGPTGEKNSPRSKSFFDGVKKFFDDLTR >Dexi3A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:3A:2925347:2926110:1 gene:Dexi3A01G0004470 transcript:Dexi3A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIITLHKILGNKWSQIAGRLPGRTDNEIKNYWNTHIKRKLLSRGIDPKTHRPIVAATAAAAPSCSHRQDDDDDDLGPSCSPETSGPCHHSDDDDSAPTPHPGGIDLNLSISPPPREPPSPSPLPDDEDARRNTKGQAARP >Dexi2B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:2B:983407:985121:1 gene:Dexi2B01G0001500 transcript:Dexi2B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTQLLDELVEEVLIRSLPDDPASPVRAALVCKRWRRLVSSRHFRSRFRAFHRATPMLGAVFNNHGFVPTSSFRRQAPADLRGGSIVLDARHGRYR >Dexi5B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:5B:19356436:19356832:-1 gene:Dexi5B01G0017570 transcript:Dexi5B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRKPGDWSCRSCQYVNFCKRDACQRCGEAKLGGERTDYAALGGDWDVKPGDWYCCRCGVHNYASRGSCFKCSAAKNDAAAAVAQGWGYTVAGQAGMKPGDWICPRYML >Dexi9B01G0038240.1:cds pep primary_assembly:Fonio_CM05836:9B:39355032:39358864:1 gene:Dexi9B01G0038240 transcript:Dexi9B01G0038240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKKEEVCSHRLVPPLDEPALGVPIKKRPVLVSDKSIASSMPLSVWPPSSANASTARAGCGNESFFNSAKSDTNVITKGKGITDNPIQDHTKRSFTTLSMTSGHGGLFNASSEIPSAGSATRSFPSIDESQRQNFLALDLQLPSHQNGKDSKYGSIVKEERVDQGLSALSSAEPHNNVHVATEINASSNSNSSDGRLPKLDLNVPLDPSDSVEGLPTMHGFYHHRTIQHQKDQVTPTAPISTTSNGVSQNVGSTLKMSNSYGPSHKCGPADVTLDLQLKPPARPELGINWKGLAPAPELSLSLFGKPMDEPKSLSAPNPLFDTETAGSFKKGNEETAAALVLDEALVEKTVALGPCSANPQNPQDTASATVSGMHQMPSNNLVKKEPEETSQRHIFKGPEKAHLLERQTVGLVSSCTESEKTDNLPQVSSKTGFDLNSDIFLNNSLHDGLDVAPDNVTMPPESLPDIAHAKTMPAVPEADTNVKHEESMGPATSPTVATVSSHSAPLMEAKSLPSQSTNGSPAVGLCESSSKPSVSAVCKPPASHVHEYEDTRQWPCDANVDALPGPPNPTAKPLPLNSQGNATIDGTSQGSAEMDCSDDEDNTISRLPTINKPHGRNAPTTEEDNVKANNLCKELKKEHDSDMHQDCSSVTTNKVNMGAVGGDKLIKTRASVVNRSGEQGHRNEVEKPKDKHSLDSGKNPQVNKTVSSIHVVKTATGSGSTDLQRPSALQKVTSPKLQPTRQSPKTLDSCLEKPKGPVKSEMSPHGKQAASCNENHAKIAAVKMEHHTENEEVSRGSDLQRRDSVLDEDSEVDGASGSQQHSECAKEKPASEKSENDKFKPDLCKTSLQNESDGQLVGSHWRGLGHAFVNRNERWERFMESEREKNNGEYHGGRQGNQRTTDHRGGWRGAGPRGHPRNFRGPRMSNEFADEPIAGGRRSFEDEPGHSHQVLRRRRRSPPPDCLMREMDIDGFHGREIPNPRLLARGQIDDLPDDMMEDRFFMPRSHRRRGQGDHGFIQRERSHSPAQRRGGHVHFHRGRSPEAMPRSPPLMRTERPYLPHCRHSRGHGERGGMQRNARRSGMEGDTFEPQLHPAHLAELHAEEELAGRRKYRERRAYVRSSVSDEDEMLSYHTEDDMEFAEAGGGPREHDGRFRNRMGHNRVREEQEDGYRHRGPQGWRDADSTDSRPKRRRY >Dexi4A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:4A:8677469:8678461:1 gene:Dexi4A01G0010750 transcript:Dexi4A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFTVIDDLFAKTRVEPNKIDILIVNCSVTTTIPSMADMIINRYKLRSDVRNMQLSGMGCSAGLIAVGLARNLLQTIPYGSCALVVSTEILTGNFYLGKKRGMQLTNMLFRMGGAAVLLSTSSANARFELMHLVRKSTSAQDSAYHCVYQEEDDEGNMGANLSKDLVATAGEALRANITTIAPLVLPVSELLSFFLSSIAQKVFIIKKNASTKPYVPNFSLAVEHFCVHAGGRAVIDAVQRSLNLSDEQVEPSRMTLHRFGNTSSSSLWYEMAYCEAKQLMRKRDRVWMISFGSGYKCNSAVWKCILPALSPDSAWANCIHRYPVDVPK >Dexi7B01G0022540.1:cds pep primary_assembly:Fonio_CM05836:7B:27415649:27420194:-1 gene:Dexi7B01G0022540 transcript:Dexi7B01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSSKIRYIVWLRQTLRRWRSRAAARAAVAAETAAVVPAGHVVVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKSSRFLTLEDLKTGAFSGCCVAAAAGDSLPLLHGIAADKAVCSHPKRLLSRTDFYDYKNEKGHREGRVTQCYRLVDRDDYKKYYPARYTYNGICLMVQKQVSIIKQMDTRDPFRIQMTDMLLDKLYNMGVISTNKSLVKCEKLSVSSFCRRRLATVMKKIKMAEHLKEAVTYIQQGHVRVGPQVVTDPAYLVTRNMEDLITWVDSSKIKKKAMEYNDAFDDFDAMA >Dexi7B01G0023830.1:cds pep primary_assembly:Fonio_CM05836:7B:28337052:28337726:1 gene:Dexi7B01G0023830 transcript:Dexi7B01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLQVLRRHHVVHLDLKPQNILLSSPSSDAILKISDFGLARVLRPEEYADTAFYGSCLYMAPEVMLFQDYNVKTCGVSGDLV >Dexi1B01G0027880.1:cds pep primary_assembly:Fonio_CM05836:1B:32515632:32522566:-1 gene:Dexi1B01G0027880 transcript:Dexi1B01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATAQSARPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDISGPYNPEVLTTQKRQWSRFQLKSLVCILLYLFHIPYIHELENIALGRNDEDGKRSRNLFGNSHNQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVNAVERTPSFSELNEILLGQEQLKESNQSFVFRLQRPSKLVSMLMNEKPIFPRRSRYVITTPRCYCIMSRLPFFELHFGVLQSILMEERLEWLTDGFSMLTSLSLEEACEENDICEGTEVTAQKQYLDGNTTDADRSSESSTGVSSKELSDTDSSSGCKETQLDFVSKERQGQEFSCAKEQSDVETETATHCDSPEVSDHCVPEDTPLDNSEVHHELDSAPDVQTESGARKNCDDDDSPQGNVDDEQLDLFISDTILPLMRSRLCEDCESSPSSQDSPSESRNLRSDTQESDSEEPSSIGHGDLVRHNNILQWAKARKYGSLQVVCQYYQLRCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIAIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPFIVGVQNKTSDVLNRLANAVVVDANRNQVLEQPIKSSSVPQLPQHKELLSALRPYHSILVGESYLARKRPVYECTDAQVVYWYLALHNLVSAYPFFYVSNLLSMQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPSRDRPFMKVSHCILSLALDRISFCGHTIVLSTYRPSPILLSKGLSVCYRLEECLCHIAK >Dexi3B01G0023860.1:cds pep primary_assembly:Fonio_CM05836:3B:18612238:18613171:1 gene:Dexi3B01G0023860 transcript:Dexi3B01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAAGRRRRHPSTRAFPSGRLLSLPAGGPERSNSKKRAASVDGIPDDALVEVLSRLLVKPLHRSKCVARAWRDLIDGPEHRKRLPQTLEGFFFMDEESHSRRRVGGLD >Dexi5B01G0026420.1:cds pep primary_assembly:Fonio_CM05836:5B:28213060:28214775:1 gene:Dexi5B01G0026420 transcript:Dexi5B01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYNDKLESFLRSIPRERLHSLVIDLFCTHAIDVATKIGVPVYKFFASGAGTLAVFTQLPALLAGRQTGLKELGDTPLEFLGVPRMPASHLVRSLLESPEDELCRTMMKILKRNAGAHGVLVNTFDSLERRALQALRDPLCVPGEVLPPVYSIGPLIGEVGDDKERHGCLAWLDAQPERSVVFLCWGSKGALPKEQVKEIAAGLESSGQRFLWVVRTPAGSDDDRKRYWEQRGETDLDALLPEGFLERIKGRGLVIKSWAPQVDVLNHPATGAFVTHCGWNSTLEGVAAGVPMLCWPLAAEQKMNKVFLTDEMGIGVEMEGYKVGFIKAEEVEAKVRLVLEGEEGKELRKRAIELKKEAEEALEDGGSSRAAEK >Dexi9A01G0025780.1:cds pep primary_assembly:Fonio_CM05836:9A:26464100:26465968:1 gene:Dexi9A01G0025780 transcript:Dexi9A01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTMERALRSSSTRKTEHIFEPILGLVFDSREEAREFYNLYSWEVGFGVKLNNSRTGTKKNKIDGDEEYRSMQEIVCQRSGYDPRVKSKTKRCGCPAKIQLLRTDDYGWHKWAKPWAKDKYCARMSSTQRSESANFMLKRFVPRNSSMNHFVSQYNRLLFDRDREEDIAEDKTKQLVVVHERLWAVERHALSIYTKAAFELFRKEEGLGTCPF >Dexi5B01G0030570.1:cds pep primary_assembly:Fonio_CM05836:5B:31484730:31485570:-1 gene:Dexi5B01G0030570 transcript:Dexi5B01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASCHNVEVPGKPTETGTALLETATGTIQGFAPVNQIHQHLCAFHFYADDMGRQVEAHHFCSHLNEDVRQCLVFDGPGAGARLIGVEYIVSEQLFLTLPDDEKPLRHTHEFEVKGGVLFMPGVPGVVERRDLEKVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTREGQLRQDLADCVEKKFGVSFQKERENRAYMSGLEHGIHPLANAAGKGLRTEIREVDIPATTTTGAARIFT >Dexi4B01G0023280.1:cds pep primary_assembly:Fonio_CM05836:4B:24672444:24673350:-1 gene:Dexi4B01G0023280 transcript:Dexi4B01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDACMHVQNPGKYIPLSQQLRYFGATRAEMVATLGASAATELLSSSLFLISIGTNDIGVFVAAQRQPSSSSPTNTSAAGEIAAAYLSSLVSNYSAAITELYGMGARRLAIVNVGMIGCAPWARLQSPTGECAAAANELAAGFNAALLRLLVESSSSLRGLGYSVGDLYGLMQQATMASPSPPGLGLRNVDSACCGGGRLGAQRGCWPNSTTLCVDRRRYLFWDSSGHPTQRAAQIIASAFYDGPAQFTAPVNFKHLVRAA >Dexi1A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:1A:5099376:5099636:-1 gene:Dexi1A01G0006630 transcript:Dexi1A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGVGKTELGKTLDTLRNTTDSKEVVYEIMKKKQVIEMARQTFRPELLNRIDEYIVFQPLDTSEINHIVEIQILTL >DexiUA01G0023160.1:cds pep primary_assembly:Fonio_CM05836:UA:47232761:47236441:1 gene:DexiUA01G0023160 transcript:DexiUA01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPPKKAIRNPGAAGAGPGGSSAGGQRGPAGNTVKFARRTASGRYLSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMMDGAEPASVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVSHPQMAGSKGSSLCAMPACDGKVMRDERGQDIDPCECRFKICRDCYLDAQKDGCLCPGCKEHYKIGEYAEDDPNDASAGKHYLPGPGGGMNTSKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDEGGGGGGELPEQKPFKPLTRKIPMPTSIISPYRIFIVIRMFVLLFYLTWRIQNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFSIKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINSLPDSIRRRSDAFNAREDMKMLKHLRETGADPAEQPKVKKATWMADGTHWPGTWAVSSPDHAKGNHAGILQASRITYILLSIVMLKPPSPDPLYGMHDEEQLVDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREAMCFVMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRATEYTGLLFNKKKKVSSTSFDPETDTQSLKARDFDADLTSMLVPRRFGNSSALMASIPVAEFQARPLADHPAVQHGRPPGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINMTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLCYLLTITITLIALGILEVKWSGIALEDWWRNEQFWLISGTLLTRTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADENEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEATAGGRGAGFQFP >Dexi5A01G0035930.1:cds pep primary_assembly:Fonio_CM05836:5A:37597092:37600606:-1 gene:Dexi5A01G0035930 transcript:Dexi5A01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVEQAANPEEEEHGEADRRSLKRRAGRRRRGRVDSEEEEEAEKVVKRRRRRRKKACDPHKKRACADCTNRCARIHGRGSSSSPLPSSSNARATPAVPSFFKVMMGYFSEDMDIPPPFARTILDLAGSNIYLEDAFGLRWRVRVCLSDGVMSFGHGWKNFVLDHAVSCGEFLVFRQIARSVFTVQMFAPSAVERLFLCERNKRQSRKRKPSQKISSRKIPRAGAAESQEISEVPIRHHCQAQEVLDAEVELGDDCTVSQEKRSQCNARVSEHPTYDATEMEHDEGLNSLTNVDTSEPLALMDLNEVSIDFLSADINEFETDGWNPEAFPVDLNMEEPITTGQGSAHDIDINALPANEPSVCGEENSSSPADPEVHSGEGALRGCDKDNDLLYCKDNQAEHKQVKQDGEGNKRDSTWQNTAEVISSSAKLHEHPDLGQNLYRSENNSERIQNGNSESDGVLALAASSSKFCITVPAPCQTWLELPNRLPVIPRTKKQGRKVVILKDPRMRLWPVLYQCTPRFSGFIAGWVDVCSENNLREGDTCEFELSGNSELSFQVVVPNTQ >Dexi2B01G0025370.1:cds pep primary_assembly:Fonio_CM05836:2B:34629871:34631984:-1 gene:Dexi2B01G0025370 transcript:Dexi2B01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSGFPGFHSHSYDRDYTRPLFRVASFSDSGDEQERNAPSPRGRSHSMSRTASSKVAAPSRLSQSASKMSMKKLQQVVDEMSMEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLEPLPPEKRSMWNREMDCLLSICEYIVEFSPTVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLGILDSFDKREFWYADQRNQSFNESKKSFQRSEDKWWLPEPCVPDSGLSDRMHRELQQKRDQASQIHKMAMEINSGILSEMQVPLSYLETLPKSGRVGVGDAIYRYMSSGDQFSPEHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASTTHVVSKWEDVTEMNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAHNIVSWIDDILMADENAKKGHKIRMQKQVFSQFSPQR >Dexi5A01G0027760.1:cds pep primary_assembly:Fonio_CM05836:5A:31143903:31145982:-1 gene:Dexi5A01G0027760 transcript:Dexi5A01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAMEEALPNPNQRRKGGLRTIPFIILFIYLMGILILFPAANEIFEKVASFGLTANMILYLTERYLMSSALATVVLYNWNAFSNFLPIFGAVLADAYLGRFRVIALGSFVSLSGMCLLCLTAILPVYKKTAACAASLGGCEVVPWQMPLLFTSFALMSIGSGGIRPCTLAFGADQLDKRDNSAKNVRTLQTFFNWYYTVLGLSIVFAATVIVYIQQARGWVVGFVVPVVLMVTALTLFLLGSPFYLRAMADRSAILGLVQVLVATYKNRHEPLPQETSDPSCFYNKAGSKPRTPTNKLRNPAKELNAEGAACDPWRLCTVQMVEDTKAVIRVLPIWSTGILPGVIVGQQMFPTLQAKTMDRKVGHLEIPAATFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGLSLRQRMGFGLALFAVAMAVAARTESVRRAAAVAEGLRDADPRTGPPVHMSAMRLVPQHCLTGLAEGLNLIGQIEFYYSEFPKTMSSIGVSLLALGLGFGALLGSAIVAIIGTATRGSGDGWLPNNLNRGHYDDYYLVLAVLGAANVVYFVACAWAYGEEGQNRVAAAADDAVVEEDGKEEEEHKAVIM >Dexi2A01G0030190.1:cds pep primary_assembly:Fonio_CM05836:2A:41176682:41185917:-1 gene:Dexi2A01G0030190 transcript:Dexi2A01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVMAPAAATQKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPTTRRPSLPAGFKLENGMTTVAAVETAPVDRKPDVEKEIAGLETKEEKPVKGCIFGTKRKFHNAEVLEESPYVEKFHERKGMTGCKDPPSVSSATAKLNGKPAHTDVMDYVLQKKLRVWCSSPNAKWELGQIQSISGDDAEILLASGEVLTMSPERLLPANPDVLDGVDDLIQMSYLNEPSVLYNLQLRYSRDLIYTKAGPVLIAINPLKEVPLYGKTSIMQYKQKIKDDPHVYAVADLAFNEMLRDGINQSIIISGESGAGKTETAKIAMQYLAALGGAGGMESEVLQTNVILEALGNAKTSRNHNSSRFGKLIEIHFSETGKMCGAKIQTCKLSLHLLFGWLATTFLNFLLTCFLGHSRVVQRAQGERSYHIFYQLCSGAPLLLKKKMFLKSANDYSYLKQSNCLRIDGVDDSKKFTVLVDALDTIQISKDDQMKLFSMLAAVLWLGNISFSVIDTENHVEVISNEGLATAAKLLGCTANQLVTAMSTRKIRAGNDSIVKKLTLTQAIDARDALAKSIYAHLFDWIVEQINHSLGMGRQCTWRSISILDIYGFECFNKNGFEQFCINYANERLQQHFNRHLFKLQQEEYLEDGIDWASVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSFANKLKQQLSGNSCFKGEQEGAFKICHYAGEVGESSHLIYILVTYNTAGFLEKNRDPLHSESIQLLASCKFELPKHFASVMVADSQNKSNLSWHSVVDTQKQSVVTKFKVQLFKLMQQLESTTPHFIRCIQPNGKQRPRLFEHDIVLHQLKCCGVLEVVRISRTGYPTRMTHQQFAERYGFLLLRSIASQDPLSVSIAVLQQLNIPPEMYQVGYTKLFFRTGKVAALENARKQMLHGTLCIQKHFRALQSRQTYQGVKKGAITLQTFIRGERTRIHFDNLVKRWRAAVLIQKYTRRRLAATMFNDQLKHIILLQSVPNSGIQWQETNGHYPHRPVVTELEGRISKAEAALRDKEDENVLLKQQLEQYERKWSEYEAKMKSMEEAWKRQLSSLQLSLVAAKKSLTSDEVAARAARTDFTPAHAQYDSEDTLSTGTHTPEVIESRHHNHHPEAKVSAGNSDRRVNAVNHLAKEFEDRRQVFEDDAGFLVAVRSGQVGSHMNPDEELRKLKDRFATWKKDYKSRLKETKVNLQKVSTHDEKSRKRWWGKKSSK >Dexi4A01G0023380.1:cds pep primary_assembly:Fonio_CM05836:4A:26489776:26490526:1 gene:Dexi4A01G0023380 transcript:Dexi4A01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSARTSGTTPALPLRASILTSATTRSSWRSASLSWGAAGCRRDMRARASRAARRSVPARAHASASAAAYPVAARAEERDGAWRAGERGRTPVRVRRAAAAEGAAGAALGGATAAAAEEEEWSLMCGSARWWRWWGGGGGRVEGNGSGGPAPWWGCKERETAASIGASATTRRGEDRGDDGQGEAGKGDRDGAVEEVDTQAHGGGRRRATVACSFWTGAGDVAVWTGT >Dexi7A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:7A:19282737:19283717:1 gene:Dexi7A01G0008110 transcript:Dexi7A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAATTAAENDDDEIVHDFAPLLLVHRSGRLERPLSMPHVPPGHDAATSVVSRDVTLSAHSFARLYLPPVSASANNNNKRLPVVVYFHGGGFVIGSASSAAYHRCLNDLAAACSAVAVSVDYRLAPEHPVPAAYEDSLAALKWVLSAAFESDQWLAAHGDPARVFLAGDSAGGNICHHLAMHPDIRGAGLKLKGIVLIHPWFWGKDPIGGEPRGPTKMQKGLWEFVCPGAADGEDDPRMNPTAPGAPGLESLACEKVMVCVAEGDVLRWRGKLYAEAAARARGAEHGVELFESEGVGHVFYLLEPATEKAKELLDRIAAFVTAK >Dexi3B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:3B:482379:489039:1 gene:Dexi3B01G0000620 transcript:Dexi3B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAPRPHHGCCGLKCEDTQQASMALGDLMASRLVHSSLSPSPSSAAPSAPLPNHHHHSRVTDGLAVANGPEPRNGLEAAEVERPAPVAYLPQVVVLCEQRHEGLDEAAAATGPSTSGLVSKWRPKDRVMDAIQYSDAASADSAITQTMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHTQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTTNGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSVEFPADVFTACLTTPIKMALHCSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSATELRQILVFIWTKILSLDKAYFPEAQLLGLHSNAPEILIYLLSEPQPEVRAAAVFALGNLLDMGSTSVNGVDDDSDDDEKLRAEINVVRSLLQISSDASPLVRSEAAIACKMTSQIASWDTRFESVAEETMGACLSFTMLPFLSFMVSQIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPIVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi4B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:4B:7590288:7593722:1 gene:Dexi4B01G0010290 transcript:Dexi4B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPREPMKQRVNRCLLRLSDRDTEAMAAAELDMIARELEAEELPVFVAAVSDARPTDRTPLRRHSLRLLALVAGEHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAAGAAQSPPAALGPLTDALLHEQDQCAQLAAALAAAAAVEASEPTDDLAAYLRALLPRLLKLLRSAAFKAKPALISLIGTASAASGGGAASTAVPTLRDALTGDDWAARKAAAEALALLALEHGDDLISHKSSCITVFEAKRFDKVKIVRESMNRMIEAWREIPDVDEEVCSYDVPLSSQTRSSLTETVSDGRYPGDSLGSVPSITRRNSWPTNRQPPPDASPNAGNRNASPPSTISKKNLPPRRNTDKAKNYEDKVDITVAPDATPIKMVTEEKLLKEGNVRERLEARRMLFQKTGEKGYKKLIGGPKSGSRVVPYNGDGDSEETTETEDDAPEEFQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRIPNSEPDTNACCILSPKFWRRHDGGRYTSRYSIVDAPNCSEESKTSYKWERQKFGAQGGFVTNPLAAPNATSVRSTGITQEGRRRDSAQYRSRLD >Dexi2A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:2A:6698520:6701334:-1 gene:Dexi2A01G0006950 transcript:Dexi2A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSPSSSRRGFIFFLALLSAACLASPGAGAAAAGDGAAMQALRRGLAPPGWGPGEDHCAWRGVTCAASAGGGAVTAIDLPRRGLRGDFSAAGALPALTRLDLSANSLRGGVPPALGALTRLEFLDLSMNALTGAVPASLAGATGLRFLNLSNNALSGGIPDELRGLKALQEVQISGNNLTGALPGWLAGLPGLRVLSAYENSLSGPIPPGLGASSELQVLNLHSNALEGSIPSSLFELGNLQVLILTLNKLNGTIPDAIGRCRGLSNLRIGDNRLSGAIPASIGDAASLTYFEANTNELSGGIPTQFARCANLTLLNLAYNRLTGEVPDVLGQLRSLQELILSCNGLGGEFPRSILRCRNLSKLDLSYNSFRGDLPENICNGSRMQFLLLDHNEFSGGIPPGIGGCTHLLALQLSSNNLGGDIPAEIGKVKSLQIALNLSFNHFVGMLPRELGRLDKLVTLDLSSNEISGQIPGDMRGMLSLIEVNLSNNRLSGAIPTFGPFQKSAASSFSGNAKLCGDPLDVDCGSIYGSNYGMDHRRISTRVALAVIGSILLIFSLVSLVVGLFMWRERQEKEAEVKKAEAGEVVVAPPQVVASTVFIESLQQAIDFESCVKATYKDGNELSDGAFSTSYKAVMPSGMIVCVKKLKSVDRAVTHHQTKMIRELERLAHINHKNLVRPIGYVIYDDCALLLHHHMPNGTLLQLIHEDCDTDGDKQKPDWPRLLSIAIDVAEGLAFLHQVATIHLDISSGNVYLDAHYNALLGEVEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTSKLPVEEEFGEGVDLVKWVHTASERGETPEQIMDPQLSTVSFAWRRQMLAVLKVAMLCTELAPAKRPRMKKVVEMLQEIKNS >Dexi5B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:5B:1775323:1776587:1 gene:Dexi5B01G0002770 transcript:Dexi5B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSIRRFAELARGTPAADLGPLDLAAQDLPPMEVRLFRWWEDEVTAIKAPAAADVEEEEEEADVDEEEEEAAAGKGRTPKKRSLSDLIAEVPAMDAVAVPKAAGEGAVDVSEPLCTMLRRSKEMRRMRRLEDAAVDVPESSAAAERRAAEGNFAGKDLR >Dexi2B01G0021610.1:cds pep primary_assembly:Fonio_CM05836:2B:31353897:31355994:-1 gene:Dexi2B01G0021610 transcript:Dexi2B01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVEGAVAEDGRKPSIWDTFTHDGYSIDKATGDVTADQYHKYKEDVKLLHEMGVDAYRMSIAWPRLIPDGRGAVNMKGLEYYNNLINELLNYGIQPHVTIYHLDFPQALQDEYNGLLSPRFIEDFTAYADVCFKNFGDRVKYWSTLNEPNAEPFSGYDLGILPPRRCSVPFGSGCDEGDSTTEPYIVAHHLLLAHASVLSLYREKYQVEQGGRIGLTLLGWWNEPATETPSDIAAAARMNDFHIGWFMHPMVYGDYPSVMRKNVGSRLPSFTDEERKRKLRDYMFDAAVICDVPLPKSKNKFPFLLKNDNVKSTPWALNKILKHLRVKYKNPAVMIHENGNLGKSDTRKYYFSKK >Dexi2A01G0028380.1:cds pep primary_assembly:Fonio_CM05836:2A:39670152:39671655:1 gene:Dexi2A01G0028380 transcript:Dexi2A01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Dexi5B01G0038060.1:cds pep primary_assembly:Fonio_CM05836:5B:37338535:37339547:-1 gene:Dexi5B01G0038060 transcript:Dexi5B01G0038060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAAPVVQRVAGKLGDIAWEKLQLLWNFKEDVQDIEGKMVDLQVAVSFADKHSRGTEDSPVQHWLKKYKFVAYDMEDALDELEADAMIWKHSPSKIRLVRTRRNSLRCYCLPQQAKTITKGREKRLLVKEMKLRW >Dexi8A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:8A:4320913:4321356:1 gene:Dexi8A01G0004890 transcript:Dexi8A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDSYELRFSGVERSRVITSGERRRPRAGSLHERWCPAGGNVGVQAASDWRRSAAAAASSCRHPPRLCERWCPAGGNVGVQAASDDDVVDDGEMGPGGRARREATSSGGRMFRGEDHGRRQLCHDRGHGRDRRADQWKERSWEVKP >Dexi5B01G0004700.2:cds pep primary_assembly:Fonio_CM05836:5B:3171365:3171637:-1 gene:Dexi5B01G0004700 transcript:Dexi5B01G0004700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQALSRNGYVNRSPPVKESPPPLHGSGRRLSMPTYTPTASRWRWFCAWRFGAGTCANTRAACVAARPWALQRWMIWVAT >Dexi5B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:5B:3170444:3173289:-1 gene:Dexi5B01G0004700 transcript:Dexi5B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSRHTAGDANAMESTSSTNWLPRPLPRRMEPAAAAAGEGVELDDLVRRRHAYAGNGSSKVDDLDMFRSNRDFYRRTGMPWKRGYPLYGPPGTGKSTMIAAMANYLNYDIYDIELTNVHTSDDLRKLLI >Dexi1B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:1B:24852306:24852953:1 gene:Dexi1B01G0018670 transcript:Dexi1B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFVGSDTYRCEYRRTPALGFGIVAALLSLSAVTLVTGASSCFGRCGAIATESGRGCVWKVLASIAWFMVASATVMFLYGAAWNAGGTRGFTTVSRPIGDNGRGFAFVCPELRDGVFVAASIKAGIAVACAITAYADILKRRGRTPTLGVEMEQAAYPAAPVAYPHAPPPPYGGGYGAKY >Dexi6B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:6B:22907333:22908027:1 gene:Dexi6B01G0015610 transcript:Dexi6B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCSVLSLAWFMSNQEISFMTIGQRVLANSLKWLS >Dexi9A01G0025940.1:cds pep primary_assembly:Fonio_CM05836:9A:27419333:27422488:-1 gene:Dexi9A01G0025940 transcript:Dexi9A01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTADPSHAAGGGQGHLCFRPTALDHLSIGTRGPDGYPMSSSAAAHPVGRAAGSSGGSHWPPYHAYSLNQRECCGAYDSLDHRPPPFDGHPPDHRDRARGAGGLSASSTPPPPAHRRAGYGVDSPSVVPALYPHMMSSGASSGRPLRSRRESDISPAPSALIPSTEGEGYRGHQHHRARDESGHNPRFHSPLRGSKSVPPLPERHPSEDGRKGSKSLPSAKRCRLEDGRKGSPSGGHWYHDGQRCESRGRYGDGWHTQELAYPSRCDQGLHNLGSSKRDHSLRDCGERAQHQLSLRVKPPSPMPMEISPWDDGYESPTSWLGSDFDGSGISGRDPSFKNEDHVLKTKEDSAYTALDAVMKSWRNPNPNIRVDKEAHQKQADRFAELALKRYNKNKNNKVKYALIEAIVGAAILEGSELYGHVNFYAKAKNGPKKDEGKVLVFAELQQIGRCPNAMALTCFRLLDENNQLCGHRNQVRSCHMIQDQDKSHCYACSDRIKHPDGSCYKAGHFVNMLCYHNN >Dexi4B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:4B:20434087:20435365:1 gene:Dexi4B01G0018010 transcript:Dexi4B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELRSFQKECPIVLTFCKCRKKHSYVCPIFEATGECPQESRCKLYHPKKKNKSKRRVDTLQNNSWGRYFDTSIDHGSGTRVGSSEEEERQKVEQVSGDEFADFIDLGAVIEVAGDVVASDDMQMMELDSGNLKMQADNLDALIKPLRIMRTARV >Dexi6B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:6B:24874418:24877989:1 gene:Dexi6B01G0017800 transcript:Dexi6B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAGSVFDSCSFTHQFKLNFEETKNADIGKHVSSGDISAGGHLWRILCYPRIVLEKYNGEYSYLGVFLSLESETKYAKAIFEAFVMDGNGVPSSSRKHSLLESTDGSDVSFVVDGEEFPAHRAVLAARSPVFKAQLLGSMAEAKMSSISLQDIAPATLKAMLRFIYTDDLPIDEDGDEELPTEAFQDLLAAADRFALDRLKLICAKKLWDDVSTDTVGATLACAETYSCRELKMKCLDFFADEKNFKEAVLTDGFVQLVQTFPAILAELRVKVVGFTHQFKLSFQVTKTFDIGQLLCPHPSATKDAKAIFEVFVMDTDGAPSSCHRRRLVHVFKPKGNSDRTRGWSKFVNLSVLESLYSSNGWVIIMCGVKVVRDDIIGAPPSDISSHLGILLDSADGSDVSFVVGGKEFPVHRVVLAARSPVFKAQLLGPMADAKMSSITLQEITPSTFRVMVRFIYTDEFPSDAELGDSPTEKLQDLFMAADRFALDDLKLLCTRKLWDDVSADTIGATLAWAETYSCLELKKNCIDFLGDESNFRKAVLTDGFIQMVLKFPSVLAQLRVKVWA >Dexi2A01G0037350.1:cds pep primary_assembly:Fonio_CM05836:2A:46904003:46905996:-1 gene:Dexi2A01G0037350 transcript:Dexi2A01G0037350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLHHKRKAVESSLVKRRAQSKRAAEVPARQIQGIRKPGLPRKRESSATRTPLSAAGDSTTVSYVLRNRQVVVPIAPATTRPNDTSSYKNDKEPELAPSRQTPVCAQMALEHYNTTNQGDEHELVKAVESNAFVFNGVWIHANFLAKPIGASSCDVPNYFFAELKSDYKGFCCLSCVKMDPGEPRKLGGCGVCPLQIMHPADGGYRNAQPFNKSSTSERCGYTF >Dexi8B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:8B:18743972:18745326:1 gene:Dexi8B01G0010290 transcript:Dexi8B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEPVVLDVLRQAQRASGPAAVLAIGTAVPSNCVLQDEFTDWYFRITKSDHLTELKAKMKRMCDKSGIKKRYFHHTEEAISSHPEFLDRAAPSLAARLRISGDAVVHLAAAAAGKAIAEWGRPASDVTHLVVATNSGAGEPGADLQLASLLGLRPTVRRTLLCLHGCNAGFAAIAVAKDLAENSRGARVLVACAHAVLLPFRSPDGAHTDALVAMALFADGAGAAIVGAGHDDPTTHPGESPFFHLVSSSHTSLPGTERAVAISLGEAGVDYRMSVEVPAIVGGAIERCVASSMAAALAMANAGGGGWNGLFWAVNPGSRVLLDSYEVALGLEAGKLAASRRVLSEHGNMMGATIFFVLDEMRQRWRRRDGEEEAGEEGQWGIMSGLGPGLTIETIILRAASRWNEH >Dexi9A01G0024460.1:cds pep primary_assembly:Fonio_CM05836:9A:20782734:20788765:-1 gene:Dexi9A01G0024460 transcript:Dexi9A01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSSANQAAALADAVRAVGEQLIAANPIELAVGNVVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDREDDDRPSLSAAVLAAHARNALRAPSLQTLLEDIPVSPAISRTASSTGDSDGKSKSAGDKTSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDYVPPKLVSLFVTDTGGHSPSYMYRLIAEYYSADDLVVRRKSSA >Dexi5B01G0025860.1:cds pep primary_assembly:Fonio_CM05836:5B:27769660:27775422:-1 gene:Dexi5B01G0025860 transcript:Dexi5B01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAVGTGGDRPASIRGMFRFADKVDVVLMAMGTLGAIGDGCSTNLLLIFASDVMNALGYGRGAQGGGVDFMHEVEKSCLNFVYLAFAVLIVAFMEGYCWSRTSERQVLRIRHMYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFVSGLAFATYFCWRLALVSFPLVLLLIIPGLIYGKYLLYLSRESRHEYAKANSLVEQALGSIKTVYSFTAEKRIIQKYTAILDKTIKLGIKQGIAKGLAVGFTGLSFAIWAFLAWYGGRLVMHHQASGGRIYAAGISFVLGGLALGMALPELKHFTEASVAATRILDRINRVPQINADDPKGLVLDQIRGELQFESVRFVYPSRPNIPILKDFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKVDGFDIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDEIYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAIIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGRIAEIGTHDELISKGGPYSRLVKLQKMVSYIDQENEQFRASSVARTSTSRHSVSRASPMPLTPAVFKEIDSDVSPPAPSFSRLLAMNAPEWRQAVVGSLSALVYGSLQPIYAITIGGMIAAFFVQDQNEMNAIIRRRIRVQVLEKILTFEAAWFDEEANSSVTMGLIVAWKLALVMIAVQPSTMICYYAKKIVLSNASRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHAQEEPLKRARKKSWVAGLTTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEDFSLDVKAGTSVGLVGRSGCGKSTIIGLIQRFYDVDRGAVRIDGMDVREMNILWFRGFTALVSQEPAMFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSITFLGEGKVVERGSYPQLMNKKGAFYNLATLQK >DexiUA01G0026970.1:cds pep primary_assembly:Fonio_CM05836:UA:57528256:57529607:-1 gene:DexiUA01G0026970 transcript:DexiUA01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIRVLSLLMALAVLACLLRPGAPVELHRKLSGWSNDAGATWYGSPTGAGSDGGACGYQNAVDLPPFSSMIAAGSPSIFQDGKGCGSCYQVKCTGHAACSGTPVTVVLTDECPDGVCKEEPVHFDMSGTAFGAMAKPGQADQLRSAGRLKIQYTRVPCNWQGMDITFKVDTGSNPNYLAILIEYESGDGDLRSVELMQNGAWAPMQQSWGAVWRYNSGPALQGPFSIRITSGSGRTLIASNVIPAGWTPGGTYRSVVNFN >Dexi2A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:2A:156501:157593:1 gene:Dexi2A01G0000260 transcript:Dexi2A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRIMGAVVLLLLSAAAGSSAGGGLQMDFYSSSCPRVEEMVKEEMVDILKEAPTLAGPLLRLHFHDCFVRGCDGSVLLDSTPTSTAEKDATPNLTLRGFGSVQRVKERLEQACPGTVSCADVLALMARDAVVLARGPSWPVGLGRRDGRVSIANETNQLPPPTANFTRLVQMFAAKGLGVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNLADVDPALDATYLARLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAALLTHPATRAYVQRQATGLFADEFFRDFADSMVKMSTIQVLTGDQGEIRKKCYLVN >Dexi3B01G0032880.1:cds pep primary_assembly:Fonio_CM05836:3B:35328378:35332675:1 gene:Dexi3B01G0032880 transcript:Dexi3B01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCCLLRARVRAMATLPMAPLAAASISSSASSSTRPVFSSSRPSRLLLARFFPAAPAPVGQRGLRTSAAAAAAVEVGGVKIARDDVVKEDDPTNNVPDTIFSKIGLQLHRRDSHPLGILKNTIYDYFDKNFAGQFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWSGSGMDGTAYAAADLKKTLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLAEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQLNVREAVKNKLNVELR >DexiUA01G0027230.1:cds pep primary_assembly:Fonio_CM05836:UA:58344861:58346369:1 gene:DexiUA01G0027230 transcript:DexiUA01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSEAPQSLHGSLPLQQTIGKSHNSRMQAPSDYSRNSTIGTVFDSEQDLSKKFYSINEMTKELDALLAYIEKDGGFRDACITFQQRPLSMFEYGLQNFLELLQIFKSKVEGQCSKIEDLRNKMFQVSARQTYMKGIVSQSSDTQYWDMWNRQKLSPEFEVKRQNILKANQSKQLC >Dexi9A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:9A:15257865:15259669:1 gene:Dexi9A01G0020310 transcript:Dexi9A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKVADASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPAVFTIGPKISEDGRNRESLLLYAKLIAPHDKNSSHVRELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAVNQAKVDVAEARMFGAVGAKEREGTTLQKAAEVDAQTKVFRVRQEAIGVKEQAKVEAEVKVFENEREAVVAAAKADLATKKAAWDRQTKVAEVEASKAVAIREAELQMEVEQKNALRLTEKLKAEQLSKATVQYDMQVQESNAAFYSRQKAAEAKLYEQQKAAEARKTQADAQFFEQKLAEDAKLYAKQKEAESLATVGKAKADYVASMLQALGGNYHALRDYMMIDGGLYQDMARINAGAVSGMQPKISIWTNGGADGAGDLAAAGGGAAMQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGVLPAKEEAN >Dexi5A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:5A:22429207:22429769:-1 gene:Dexi5A01G0018940 transcript:Dexi5A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVAAAAAVAVAALLAGGAYVDCFETCFKNCISNDKSMADYCNYACSLTCGGGGGGSPNDAIRRPIDCQFACVRDSCRPLRADGKDMEACYGQCYDGCKTKASLPRPLGVGATGDVVWPAALPDHPFHKTPEAVKPTSEPDPDDFSRRASGPLLP >DexiUA01G0021960.1:cds pep primary_assembly:Fonio_CM05836:UA:45148570:45150978:-1 gene:DexiUA01G0021960 transcript:DexiUA01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSAEEDDDHAAGAGPELSESGESSAEEAGSDGDETSAPAKPASKPRRRPNPKGGDAGGGEGDSSLPSALEAFADVSGPPEFLRHRVADPEEGTEALGVLDRRGKGGSKHPPPGAVVVAKPQLVAIRERVTTTSSNTPGSVTSGSSDGKRIIGAANPGPEDAADLLSDLNCKFASNFRMCLQCGVPKTYSHAKGMVCPLCGDRPAQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMVLRQQFD >Dexi7B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:7B:22869307:22873120:1 gene:Dexi7B01G0016840 transcript:Dexi7B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDIRTDGRKRLQFRALSVETGVIPQANGSARVRLGATEIIASVKAELGKPSILHPDKGKVSIFVDCSPTAEPTFEGRGSEELSTELAVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSAANDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRHYIVDATSEEESQMSSAVSVSVNRHGQICGLTKRGGAGLDPSVIFDMISVAKHVSQQFIGVLDSEIAAAEAEADE >Dexi3B01G0021080.1:cds pep primary_assembly:Fonio_CM05836:3B:15991752:15993638:1 gene:Dexi3B01G0021080 transcript:Dexi3B01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGPSELFTTRTSFPMEQHLFLRGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANASTSKNGIGCTAIADRLPGTSVSTISSTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSNECFSNTISDIKENSSMHRLEPKQIQFVESSTNSYLTAAEGFIKDHRLQHHGVLKTYDDSLLFCRKGSHEHDTQFALNRSLSERRMAHLQNEKEFSKAGFAYESDTEMAPEYITPQKNDGGSTTSSASGSKGDAEKPYLDEPNCTRQEVEYPRESKFLDFEHPCPGKKLDLNTHNVDDTDQSFRHFDLNGFGWS >Dexi9A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:9A:7790546:7791130:1 gene:Dexi9A01G0012320 transcript:Dexi9A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin 18 kD [Source: Projected from Oryza sativa (Os03g0699000)] MADREARTGGIYSGGHGGIHGQQGGAGGRQVGEQVKGMIHDKGPTASQALTVATLFPLGGLLLVLSGLALSGSVVGLALATPVFLLFSPVLVPAALLIGMAVTGFLTSGALGLGGLSSLTCLANTARQAFQRTPDYVEEARRRMAEAAAHAGHKTAQAGHAIQSRAQEAAGAGGGGGAGAGAGGGAGGGGRASS >Dexi8B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:8B:1064088:1075788:-1 gene:Dexi8B01G0001630 transcript:Dexi8B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQDDSENDEQEQPLEIEPLRSLAPMIPTPLGYDVATQSTDPMLVIVAPFRPRTSPEQSPSSFGQSLPKSPNPLRATPISAAFPMPQCKDESSDEDYKPFCDQKKATSLKTAKRTQEAGYSDAANIKRRSTRRSLNLELTSCPSSSSNPNESVGEIMMMFDSLRRRILQLDEKVDASRRADLKAGSLMMQNGLRINNLKTMGPVPGVKVGDIFFFRIEMCIVGLHAPAMAGIDYIPAKNAGKDETLAVSIISSGGYENDDGDSDILVYTGQGGNSRHKEKHDQKLERGNLALMNSMKKKNVIRVVRSAQDPFYNSGKIYIYDGLYRIEGSWMDKAKNGFSVFKYQLKREPGQPDGISVWKMTEKWKENPATRDKAIVLDLSSKIENLPVCLVNDVDDEKGPSFFNYVTGVEYLRPLNKTKPLQSCKCPSVCLPGDPNCSCSQLNSGDLPYSSSGLLVKQIPMLYECSSRCQCSQNCRNRVTQKGVYLNFEVFWTGDRGWGVRSWDPIRAGTFICEYAGKVIDETNMKMDDEEDDFAFRTSWPSEKIAKLCMIPASCLLEVAFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNVKGLIAAVIAVWSTALQQYYVHFLQRKHSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGQRVDHFNFSSLALFFLVLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMVLAVIGMIWYGNASAKPGGKERRSVLPVRSVSLKASSEEPDGDEK >Dexi4B01G0022860.1:cds pep primary_assembly:Fonio_CM05836:4B:24392587:24392927:-1 gene:Dexi4B01G0022860 transcript:Dexi4B01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSHSFRTGCFSLRGVAAVAFFFGVGVTSSGGASSWNRRAWFLALSRSLMPVGLAPEGVEGWVAVSVAGSGAAAGIAEAAAWVEDMGLGGAAMEDER >Dexi4A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:4A:5334575:5335174:1 gene:Dexi4A01G0007220 transcript:Dexi4A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVSVAVPVLCVAISALELAILLDPQRTPSGTQQAPPPLRGAIWAFLPLPAVGALFASVALVYLHFYRAAAATAAGHRRLPELVVFMLCASVAFLHFFLFVQEAPAPGGVDYGHEAARELGLAALRALPAAATASFFLGMMLIIVGHIRAGGEGGGGAVAVAGHGPIEAPVVGILVKVASAMAAALVLLMGMAVLFR >Dexi4A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:4A:9482655:9484250:-1 gene:Dexi4A01G0011450 transcript:Dexi4A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTASDLQQQRDRGCPIELLVCPRRCSRTRQLVKDVARAIRKGVDPIPVNSGLGGAYYFKNSKGENAAIVKPNDEEPFAPNNPKGFVGKSLGQPGLKRSVRVGETGFREVAAYLLDHDQSANVPPTVLVKISHPVFHINGVSCANKNVAEGGTQAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKQTGAGKFGNQTELIPIDHGLSLPECLEDPYFEWIHWPQASIPFSEDEIEYIENLDPIKDADMLRMELPMIREACLRVLILSTIFLKEATAAGLCLAEIGEMMSREFTGTEDQPSELEFVCMEARRLATEQEDSSTEHDSGDEETTQFELDSEDHEMLKEPAGHQFEFNRRNSRNQLSKLDEADEEEEEDDIEVESDAGKLACPKPVNKWLANISKLSTSLKGVSLTDKTQRQLSAGPKVVDSVKTSKSNSNDNGSQLCNWGSANDMLPTSVSFVKLADMGPETWGLFLEKFQELLPEAFRSRKCSVTAQRAKQRLGTSCQF >Dexi2B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:2B:13941654:13942511:1 gene:Dexi2B01G0012050 transcript:Dexi2B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAEGDRVIEIVSAGALYRRGGDWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYAMEIQQGPRGPIFLVTSTEGDSATGDTPDFTWKNLQKKIGAKVRIWQRRGSFPQKIDGAEACPSFPHL >Dexi1A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:1A:8717302:8718037:-1 gene:Dexi1A01G0010180 transcript:Dexi1A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVPDPGFRKVDPDQWEFAHVSFLRGQTHLLPQIVRRSGGGGGGGAAKRSSKDDGGDAVDEDAVAAEVVRLRREQRAIEDQVAAMWRRVQETERRPKQMLAFLVKVAGDPQVLRRLLASSSAAGDASSGGGGGAGSAAAVGFASAGPEDAGAGAVAKRARLFLDGGAGAEAMGYGGGSSSDAAVPSAAADYGGFYSADGGEDLGFGGEYLQPPPYVFPVNSGY >Dexi2B01G0024460.1:cds pep primary_assembly:Fonio_CM05836:2B:33894907:33895361:-1 gene:Dexi2B01G0024460 transcript:Dexi2B01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKNRHDGPAAAGPARATAADSARLGAVAAATSWWGLPRGRGVVGAMRRHLAGDVDPVAPLELGAVPQQPLGLVGLEQAHDAALSPLVPLLRRRGRCQRRRVGVGEAGVHGERADAGTEKGAPNLAAPQQVNCG >Dexi5B01G0036910.1:cds pep primary_assembly:Fonio_CM05836:5B:36471213:36473614:1 gene:Dexi5B01G0036910 transcript:Dexi5B01G0036910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFGRKESGSNVASFLLGAALPTALLIFLASDRLGEGLSSVSRSWGSAGRTQLPSADGAAPNTRDPEVEFAGLAELLPKVAMEDKTVILTPVNEAWARPGSLLDLYLESFKNGEDIAHLVNHVLVVALDSLGFDRCKAVHPHWYLLQVPTAGNMSSSKRFMSKEYLELVWTKLTFQQRDADMIWFRNPFRRIALYADMSCSSDDFDPSRAPMDQPLNTGLYYMKSTNRTIEMVKYWRAARARFPRQHDQQVFVRIRSELVSKLQVKIEPLDTVYFAGFCEYHDDPERICTMHADCCIGLENKVHDLGDMVADWKRYTSLTPEERKKKMKGDGFKWTYPARCRKSSHWRKPSDEHKG >Dexi5B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:5B:7514185:7517691:1 gene:Dexi5B01G0010620 transcript:Dexi5B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPLLLILILFLLLLPTPFASSSGDDLAALLALKAAVTNDPDGALSAWSGASATSYCRWRGVTCNPSSLAVEAIDLPGTPLSGTLPADLPLPPRLRRLDLAANNFSGPVPDAFLASSTLRYLDLSFNGLSGPLKIPPPLVNSSSPPCAALTDLRLAGNLLVDQIPAEIAQCRSLRVLDLSRNVLEGAIPRELGRLAALRVLDVSRNSLTDRIPVELANCRELAVLVLTNLTASPGEQPEFNAFVGGLPTEVLTIPALEVLWAPRANLDGRLPLSRNGTCALRAVNLGHNYIAGAVPPWFGECHGMTFLDLSSNSLEGSMPAELAIGCLTYLNVSANSLSGQLLSSTKSQCPSSLNGDDIVMQYYDELVHNVLIGNPFAPEMGNIANVALHDFSNNDLSGPLPSLTVSLDRNNSYGQIPGLFGDLAALEVLDLSRNSLTGSIPMHLTDASRLQVLRLDHNRLSGSIPPSFSNLTQLTVLDVSFNNLSGDIPNLRHPADCGGFGATYKAELAPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHKNLVTLIGYHIGDSDTFLIYNFLSGGNLETFIHEMGSRNVCWTEVHKIAVDVAQALAFLHCSCTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLIEVTQTHATTDVAGSTKMVC >Dexi3A01G0023450.1:cds pep primary_assembly:Fonio_CM05836:3A:19103821:19106261:-1 gene:Dexi3A01G0023450 transcript:Dexi3A01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRPGNGELDAWFKSLMVSSSSQRGQAETGGPLPTLSGWKDLPVELLLRIISNVGDDRMVIVASGVCTGWRDALGWGVTSLSLSWCKQNMNNLMISLAPKFTKLQVLTLRQNKPQLEDSAVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPRLTRLNISGCSNFSDTALTYLTCRCKNLKRLNLCGCVKAASDRALQAIAQNCGQLQSLNLGWCDGVTDKGVTSLASGCPDLRAVDLCGCVLITDESVVALANGCPHLRSLGLYFCQNITDRAMYSLANSCVKSKRGRWDAVKDGLANLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRALMPNHAY >Dexi4B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:4B:17928033:17932024:1 gene:Dexi4B01G0015930 transcript:Dexi4B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSPYSSPKQAAALLLLLILCAGIASPTAAQPLASSQAKALLRVRRLLGFPPALEPLRGAPDPCALPPSPTLAVACEGGQVTALSVVGDRDRGAPAWRAALPPSFSSEALFTTLARLPSLARLSLVGLGVWGPLPGAKLRRLQALQQLNLSSNYFHGDVPGDLARLYSLQSLVLSWNLLNGSVPSFSGLQFLEELDLSHNRLGPAAFPDVGKAMVRLVLADNNFTGNIPAGVSALGQLQYLDVSGNRLQGWIPSSIFSLPALRYINLSRNRFDGQLPATTACAVALAFVDVSSNLLTGARPACMRGNSSARTVLVAGNCFADAKQQRASTYCSPGALAAVLPPPQGNGGGGHGRGKGGEIGMILAIAGSIVGGALLIALMLMVVLRRARRRHPEVSVLPKSPASTPAKKAEGWKAPSAQKIITPADKRHASQAARVNTLEVPAYRVYTLEELQEATNNFSSSNLIKTSPLAQIFFEIPFALHEDNDIGSAPNTEQGDKQDIYQLGLILLEVITGKPTDSEIELKSLKAQLGEALTEEPDRLKDMADPAIQGTFAAESLSTVAEIALNCTAGEPSDRPSIDDVLWNLQYSMQVQDGWASSESLGLSVKSQA >Dexi3A01G0027840.1:cds pep primary_assembly:Fonio_CM05836:3A:27663159:27666088:1 gene:Dexi3A01G0027840 transcript:Dexi3A01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPATGDDLSAAAAAITHRTVEVGSGVRLHVAEAGPAGAPAVLLLHGFPELWYTWRHQMRALAAAGYRAVAPDLRGYGGSSGAASADDGPGQFTALHVVGDLVALLDALGEKKVFVAAHDWGALTAWNLCLFRADRVRALVALSVAYTPRSAARRPVDGLRALFGDEYYICRIQEPGAIEAEFARLGTELVLRKFLSYRSTGPLFIPKSGWGSPDDQVPLPSWLTEENLKYYTSEFEKTGFTGGLNYYRALNK >Dexi1A01G0030460.1:cds pep primary_assembly:Fonio_CM05836:1A:35765046:35766076:-1 gene:Dexi1A01G0030460 transcript:Dexi1A01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSMRACLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLMFFLGSDNSSVMSNGGDGWVNFTKFLTGASIVGSIAIPAILKHAGVIGWGALAMELSSFVVFGVAILWFLQMSSEDEYSSMF >Dexi2A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:2A:31620507:31622074:-1 gene:Dexi2A01G0019430 transcript:Dexi2A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRNNGACAASPTLALAILVLFAFCARSASAVGSTPHSAFSYKDSDPDGPAKWATLQEDWATCDSGTEQSPIDIAEVEVSKDLGPLEHTYRARPAVVQNRGHDFMLNWTEGNGKLTVGGKEYALLQAHWHAPSEHTVNGTRKLLGDLRPYFEKLTGKQNGTEEVKDPVDPATWIDKASGYFRYEGSLTTPPCTEGVIWTIMSKVEDASKEQIESLKSETKVTS >Dexi6A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:6A:13103268:13104809:-1 gene:Dexi6A01G0010660 transcript:Dexi6A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMFFGNLMLWTREEKEIAIVSHSGFLYHTLSMYSKECHPTIRDEVGKHKLGSYSPSLNYAGKIPAGLDLPSDIADKKHVEESQKN >DexiUA01G0022320.1:cds pep primary_assembly:Fonio_CM05836:UA:45464948:45465443:1 gene:DexiUA01G0022320 transcript:DexiUA01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSRGNISEDEINELISKLQALLPSSRRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >Dexi3A01G0035680.1:cds pep primary_assembly:Fonio_CM05836:3A:40975674:40979049:-1 gene:Dexi3A01G0035680 transcript:Dexi3A01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKKEKGEALGRALIRQRNKAAAAAKERGEALAFARRRAMPLESVIDVSDIDAVLQRAAEADQLAEAASVSSDSDLVIDLDATGETAEERRRLRKEQEALHAGSLRVPRRPPWHNQMTVEELDANERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAKEIDEHKRTMLLVNKADLLPLNIRKRWADYFKAHDILYVFWSAKAATATLEGKKLSGYSEEESASLDLDTKIYGRDELLMRLQAEAESIVAQRRTSTPEEDHDLSSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRNTLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGVTDVDTEHEVMTRSEGPITSSAANESDADDFDEEDEDTVDPAEPDMRDVLDDLESFDLANEGSKTAAKKKKETSHKHHKKPQRKKDRSWRVGNDGGDGTAVVRVFQKPAVNLPTVTASSRV >Dexi5B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:5B:19614260:19619278:-1 gene:Dexi5B01G0017740 transcript:Dexi5B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTGSSGRAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNVTQYERPMPPESQLPPPPPPLPPPPPRSRDRRERSRSRSRTPPRRDHRDRDRDRDRDRRHDEHASSKSASSHHHPAPAAAAVADDPSTEAYRRRHEITVTGDNVPAPITSFEAGGFPPEILKECLYGGAPKGPQLKDLDRGVDVVVATPGRLNDILEMRRVSLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPHRRQTLMYTATWPKEVRRIADDLLVHPVQVTIGSVDELVANSAITQHVEVITPSEKLRRLEQILRSQDSGAKVLIFCTTKRMCDQLARTLTRHFGASAIHGDKSQSEREKVLNHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYATDLIKILEGANQKVPRDLEDMASRGGGRGKKRNRWASCPDRGGSRSELDRYSGRSPRGSRGRDDYGGRSRYDNGETDGRSRRSARGRSRSRSRSDSRSRSPSPKRPRRHEATRGRTKSRSRSRTKSRSRSYSRNHRASRSRSRSPVASRRYEKTAAVSGSARLNSGHTEHKSPPRSHSGNDHVNHSDHKDDHHMEEGKMEKVDLDRSPSPQDDKSAPYSPVYNGKASGSMSPNGQPEAGAKSVEVSEKPEPASPVRQGKSRDDDEEGIIDEDGEIAEDDPRSTAAKQNGEN >Dexi2B01G0024600.1:cds pep primary_assembly:Fonio_CM05836:2B:33995085:33999172:1 gene:Dexi2B01G0024600 transcript:Dexi2B01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGSRLLHARPAAMDAAKVAGCFRDRTILVTGSTGFLGKLLVEKILRVQPGVKRLYLLVRAPDDAAAEQRVLHEVVGKELFGVLREKHGDGFRSFIKEKISPLAGDMTHENLGVESTRAKQLFQEIDVIVNGAAITNFYERYDVALASNTFGTIHACKFAKQCSHLKLFLHVSTAYVAGCKQKGRIPEKPLHMGQALKKGRCIDIDAELQLANEAKAKFVMAPSDTDTSHKPLEKVVMKELGLKRAKYFGWPNVYSFTKAMGEMVLGTMRGDLPIVIVRPSIVLYLANYLLLCGRFTECHKKLNRSLNYLMVVAKLYAPYIFFKGCFDDTNLRMLWETTGAVGHGDGYMFNFDPNSINWRSYLYNTHIPAVLKVAGQMKKEDRT >Dexi8A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:8A:26919193:26922614:-1 gene:Dexi8A01G0015860 transcript:Dexi8A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTGALPSLLPKLAALATGEYNLQKGLKGEIKFLHAELESPMIRRKIATEIRGVKNHVIELHQLRMRYKIDSAPTSAIADVDPRLYAQSTETAELVGIDEARDELVNALTDRNDEVSLQQGKIVFIVGFGGLGKITLANAVYGKIRGQFDYSAFIAVSQTPDMKNLFKSILYQLRKTESINQDILDEWQLISELKEFLQNKSEENFVTILNDTMDHTSPSNTVRRLSLHDCPSIIQDNISKKRVSLKYLWKLINLRYLGLGLTELGHLTELRVLKIELRRGCTDGLQKSLVESLVDCLQKLKKIQIVDIKVLVDCEGPLDAWQAPRSLRKIKVRSNSWFSARPDWMNPLHLQHLSVLDVYIEELQQEDLEILGRLPALHDLCLSVEYKKLKICPGLVVGASSFPCLIREIAGSISGFELGLANLTSLRSIKVRFPYDSDSKEDKEEAMGVLRHEAEIHPNHPTIWRIF >Dexi2B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:2B:25183139:25183543:1 gene:Dexi2B01G0015140 transcript:Dexi2B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKLVVFLAVLCFAAGVVLSCEPSCPTPTPPVAPSPPAVPTPPSSSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAAICLCTAIKANVLGINLNADIDVSILLNHCGKTCPEDFKCSSN >Dexi9B01G0020880.1:cds pep primary_assembly:Fonio_CM05836:9B:15542003:15546616:1 gene:Dexi9B01G0020880 transcript:Dexi9B01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVWERARRALATRLCMRFTARHVVAEEVPRELVGLEEPLPAAEVEEEQQQRDEREKSLGAVSPAPASASARRASRSGSQSPAKLCAICLGAMKSGHGQALFTAECSHKFHFQCISSNVQHGNKICPICRALWKELPFQGPLAADAAHGTARVNPSHGTARVNPSGWAQAGMLSANPLDAIPVFRTPASAMYSDDEPINLQPETAVDGGRDGNENETPASLEITTDTEFPAVQESVAQESFAILIHLKAPHAPASMDTRAPLDLVTVLDVSGSMVGMKLELLKRAMAFVIQNLRPSDRLSVIAFSSAAWRLFPLRKMTPFGQEQSLQSVDSLVANGGTNIADGLWKASRVMEDRQARNPVSSIIILSDGSGGYVSGVDADGRRGFVDVGRLYADEEMDFLATVHVPAARGDTELIRARCAYRDAVTYEIVRVGGDPAVVTVARPAGAVTAAMSVQVEREWHRVHATEDMAAAQAAAEEHDYERAATTLQSRRLELESRVSLSSDRQTQALVAELAEMQRRVLNYQRGLVHSYQTPSMVDMLHRSQALLPEVVASQQLLNRSPTIAPSSRNPPPPVVRRGVFRTTKPFAGRSSS >Dexi1A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:1A:25622811:25625028:-1 gene:Dexi1A01G0018380 transcript:Dexi1A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWNLDLIPTQPHRHLPPPTQLDRTDVLHVQAAKATKREHDHTKHLLGESKVSPLAHARRLPQPLPRLTHAADASVRASARISTSNNRSSPRSGTLSCSPSPPASASTGGCSPSPSLASGPDCSRCVGGGGACTDADAGVDEHSPAPLELEEGSSVRRFLLVSPEQPSPVAAAAGIRRSGTSWLKPSSLARRSAAACEEERGRTCGKQGSLSIYTGNDSSSTASAVETTPNSQTPTKLKTLQIWLDRTTNAPNLGTRRIRRRPPPIEPRHVSPRDDKPRALVFWSHNVIERWLGFGAPVGPTHATVEVGGVTVPHPPSWDPSVSLPGAEHALTPRQTKKRRRAQRPVRHQGPRHVTTADCLSCMDYGVIAMGHMGTPAGEIASQVPASRDSWAARDNNYNFDDDEAEEVNDALIDHAGENIDDIRQEREEVEP >Dexi1A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:1A:26001903:26004714:1 gene:Dexi1A01G0018810 transcript:Dexi1A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVGHRAGNVGFPSRWRIRGGFFIPSRARFLSVEGTATAPLRIDSLPQLRAHGGGGFADLEAVDGETPRRPRALREILRDHQKMACPDLLKAALLSTLPLLSVPLEASAETCQPPNSFANMPIFIAVALIGAAVGGLLARRRKEELKRLNNQLRQINTALRRQAQIESFAPSLTYAPVGRITETDVVVDPRKQQLVTNLRNGKNYMRSQDLDKAVVEFRTALELAESIGDRFEEKKAARGLGASLQRQGKYREAMRQYAKVLELSKETGEDSGCTEAYGAMADCYAELGDLERAAKVYDKYIARLQPGE >Dexi1B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:1B:463644:464702:1 gene:Dexi1B01G0000510 transcript:Dexi1B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLCELGFDANGASSGFFRPVSDDATPTSHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDISITRGSDLAIVTAGARQIPGETRLDLLQRNVSLFRKIVPALAEHSPDAILLIVSNPVDILTYVAWKLSGFPVSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAIWSTVSVAGMPVLKSLQESHSSFDEEALESIRRAVVNSAYEVISLKGYTSWAIGYSVANLVSSILRDQRRIHPVSVLATGFHGIADDHEVFLSLPARLGRGGVLGVADMELTEEEARRLRQSAKTLWENSQLLGL >Dexi5A01G0026230.1:cds pep primary_assembly:Fonio_CM05836:5A:29920459:29928660:1 gene:Dexi5A01G0026230 transcript:Dexi5A01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIPFSSLSLARNPIRLRILLPASAARLARLAMSTSPQVAVTVSVEYAKSSRSTCKGCSGAIASGALRLGASARDPRGFDATKWYHAACFPCSSHPLGPVESIKGFDSIKDDDREELRQIEKTDQTTVIPLEESSPKKVKTSMSSAVEGVSDEASLSVEYAKSGRSVCKGCNENIVKGALRVGSSFHDPRGFDQTKWYHVECFPASSYPVFVVENLKGFDIIKDDDRQKLHELEEAHSMGGSKEDTDKNVVEVKKRISGKTAVDDEPSPKKVKAYESSPAKGVSVKASISVEYAKSGRSICKGCNENIAKGVPRLGASAHDPRGFDSTKWYHVACFPASSYPIFPLENLKGFDSIENNDREKLRDLESHMSHDSAADHSNEPNLKKEMVQSPGDSKHGAENDLGEVKLPAGNNITDPLVPFSVSDIKKTYKDATLPAHWKVFETVIFRDQQDGLHASAKVAAFDFDGCLAKTSVRSIGADKWSLQHKSIPEKLQSLYDDGYKLVIFTNESNIERWKNKRQEAVDSKVGRLDNFIECVKVPIQVFIACGLGKGKATPDDPYRKPNPGMWWLMAQHFNSGIKIDMDQSFYVGDAAGRENDHSDADIEFAKAIGLKFYVPEEYFGH >Dexi2A01G0023530.1:cds pep primary_assembly:Fonio_CM05836:2A:35229783:35230236:1 gene:Dexi2A01G0023530 transcript:Dexi2A01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQVVLPL >Dexi5A01G0039070.1:cds pep primary_assembly:Fonio_CM05836:5A:39799345:39800045:-1 gene:Dexi5A01G0039070 transcript:Dexi5A01G0039070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLGDHALFLGPTSCAFGVLHSAFRCAGAGAAAAAPAASQVITFSMKAGRTLTSGAPPSTPLTYSNGHCPAGPATSRVPAAAFLLPPPAAGADAAADRAASVAAVRTLREGSLDAGRTKSSSAGGTTGIGRPTKKASAWQTVWSVAGAGSSAATSPAVMTPVISLARPPQYTTLAAAADAAGRR >Dexi6A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:6A:28389696:28393902:1 gene:Dexi6A01G0021010 transcript:Dexi6A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGALAGAAAGGEEQSGRRPAGQAKRPSGSGAGAGRQAATGHSGQAAGGWRLGSTAPASSGSSAPPPRPPPSAAAAAVTPPPVAHHQQQGVHQQGHARAAAIAMAPPPPSRPTTPTASAAAATSSSRIDSPSLKAALAMALIHYNRLPGKAAAAAAAGASSSSPPLLHWKRKAKERKRENLHLLEEIKLLQDGAHREEMELPVASCRCHFFDGCGDLTPQPGGSCGEHWDEVLRRRFLRLVRLKQKRRRVDRLSSLIDFNTEDEMQQLSMATDFLVELSDGIFAKKEASLSFATLSHQAVDFILASLKNILSSEREKELFWEIIDGLVSRLMKRMCAVPENAGTSNSGSIDCSDAQFSVQHLFRKLGNEQFVGQRIILAVSQKISNASERLLLLDPFDDAFPDMHGNMFIMIQLIEFLISDYMKDWLCCEHFDKRLFEECVRSILKARNDLQILENMNGLYVVYIERVVARMAREVAPAAHQGKLDLEVFSKLLR >Dexi5A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:5A:4706913:4708808:-1 gene:Dexi5A01G0006400 transcript:Dexi5A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVGAESLSASAFASTGVAAKEEEEEEEEDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTQLVLKFLPLMIGWFSLSVPSGLSIYWFTNNVLSTLQQVWLRKMGGAKPVVSEGGSGIITAGRAKRSNAQPAGERFRQLKEEENRRKLNKALAAGDSNASAFDAEDDDSDDETTEEGGTVEEASSTGSDKKLPSYSGKKGKRSKRKRMVQ >Dexi2A01G0032280.1:cds pep primary_assembly:Fonio_CM05836:2A:42833907:42834230:-1 gene:Dexi2A01G0032280 transcript:Dexi2A01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPKPEIPKHSDVPDIPKPELSEHPIVPELPTPKYPAVLEIPKPEVPELPKLEVPEHPAVPEIPKLEVPEHPTVPGTTQA >Dexi9A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:9A:5300927:5301586:1 gene:Dexi9A01G0008950 transcript:Dexi9A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPDRIECPEPPEQPEPTNPGRVFDTERVATPRDATESVTEQLAHGGSHGAVDETYDTRFKIAEALEGSARAIGDKPVEPSDAAAIRAAEASAIGRGGAGMIPEGVAEGARAAAASNASAVRGENMVTMADVLTWEATMKLPTGKAEDERGCGGSGGGGGGERASREDEPAWGERGARHGGEAQF >Dexi2A01G0026080.1:cds pep primary_assembly:Fonio_CM05836:2A:37661514:37664476:-1 gene:Dexi2A01G0026080 transcript:Dexi2A01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTTAATSWRWRLLLLLLVTVAALCWIPPAIAAAAAAAAAASTANGGRRSLLGFVEAQGNASYHCSPSGPCVPCQYSEKNDEKYSCSETGYRLPLKCVQAQNVSTKEGNKSKQRKILDDASSSSGTKSTSGGTKATTGGPKYYITYRSCVPLEGEEKISFLGFEVIMAGMLLVSGPFIYYRKRRTNLLQGAARIPTSPRF >Dexi5B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:5B:5725671:5726243:-1 gene:Dexi5B01G0008500 transcript:Dexi5B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSHKRAREAADLAVAAESALPESAADAKRLRPEDLLDLLDDDADAAAAGDLASVMRSLEEEISSFDEAEAAAAVPTATQHQPELGFLLEASDDELGLPPAGASSSSDEAGAAGETDVAASLDDQIWGFDDEIDGGFGGYSPEAAAARAAAAAWDDDGFDAGLFAFGDDAACGPSDLAALRHETMPAV >Dexi1B01G0026080.1:cds pep primary_assembly:Fonio_CM05836:1B:31010356:31012894:1 gene:Dexi1B01G0026080 transcript:Dexi1B01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALTPPMARPGRRWPSTSVPRRGRTVAALPRRCFCGRRHLIGASSAAALLPLLAPPSRAAPPIDPEVMLERVHPPRPDWYEEFYAVAMDQGMKSYEAEVAEALPVEDNSMDVVIGTLVLCSVNNIDMSLREIKRVLKPGGLYLFIEHVAAPDGSLLRLVQGAFDPLQQFVADGCHLTRKTGENIRDVGFSSLSLDSVRLSNAYIISPHVYGVASK >Dexi2A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:2A:9969656:9972134:1 gene:Dexi2A01G0009420 transcript:Dexi2A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRAPPLLPPPPLTPSSPSSRCPTCCGFTAAIRPRPAPSRTGIHGGARGAKKSLFARRRRPGAEGAPCLAATEEGVGAAGAARGEEDDEEGEDGYYLVREAGWGVRRMGRVGEEMRMVAQVQAEAFHVPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEETEPATQQSLAPYEKIVGVVDCTVQDEDSVIKHLQGADEYLYVSGIAVLPSFR >Dexi9A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:9A:14182535:14184364:-1 gene:Dexi9A01G0019270 transcript:Dexi9A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLANEVSDLCIGKPAVRSLPLSAAAGELAAALRRVARSGAAACVAVTGPARAVVGRVGLADVLCFLCTDPEALARPAAALAKPVSALLLPKDGAGEVRRVDPRSSILEALDAVLSGAQVLAVPLRAGGRRKQLAGGGGAAGDFCWLTQEDLVRYFLNSIGLFYHVAARSVSSLGLVRTEFLSVRPGEAALSAVPLIRRAIATETAVAVVTEDGHLVGEISPALLAACDETAAAAIATLSVADLMAYVDYFGSPPEHILRAIKAGLKDKGLDAMLELIEDETLSSFSSLSSASSSSDEETGRAQLRRPSSGSYGRRSAEEPVVCSPASSLVAVMVQALAHRVSYVWVLDEDDDCRLAGIVTFADVLRVFREQLL >Dexi7B01G0024810.1:cds pep primary_assembly:Fonio_CM05836:7B:29037026:29041969:-1 gene:Dexi7B01G0024810 transcript:Dexi7B01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSHDSGGKNKSLETARRLLEETSPAESDSLPSLPSGFYDAFVLRGIRVVQALQPGTLLCHFTVPSRLLNSGGFLHGGATASLVDLVASAAFTTAGLRTRGSPLEMNISYLDAAFADEEIDIEAKVLRAGKAVGVAIVELKKKSGKIIAQARYSKYLGAAKL >Dexi9A01G0027930.1:cds pep primary_assembly:Fonio_CM05836:9A:32520144:32531256:1 gene:Dexi9A01G0027930 transcript:Dexi9A01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRLEAAEIAGDIKKKRKRNEKLRSKSEEKKARKHKRLRAALTEKCERARAVTHLDLVEGSIVDICLEEEEESLPGRVEKSVVGGEKEKREREAAKAAERAQTSAATGRQADHITQTRRKRPSSPLVVSPRRDRHEEDDEAGRCGLSGYGKVHCRSLYCGRRPQIGAPNLRHPHTHHTHLLADCEHGGVTNYYARHRGQHMQPARLQQRPDIGISSDPFPVAADGTGSRSTATHSAGAGAPHGRTARALFLLLSISSAVVYEYHNASDAMLCNRRYDWCKLRAAVDVLYYATALPALLCIIAYCLHAFLCTSIVLDGRASSLRTVSQKDGRDGDLPCNNGRRPGTTEKTRGATRAVSPVQCPRPVEWSFSVACVGRLRRARHRPRAPPFFSFPQNQKAAAENGGPTNKSLSLHGTTLRPSFKLTDAWSPLGRGPRPDTPDGTERSARHEEFPKNKTQAAESPSTHSAAASKPAAVVHPPPPSAPQCPFHGHSGCCPSTAWITLAKLAPPETDGGCQWCTRMIRLLAQIRRTPCSSDCPSSTVLPRQPSVVPRASAIPLVRAGRKTPPRRSAATHENRQSGNTPPCSTSWPAVYVLHCLVGSGEGSPPSRQGGDIIGMGYPSICYACMHTNASVVSRLASLTVHVCTFYSAQAGNLPSAVLPEFAHLAIMTTSRTTYDVMVPPLARSPLPMMHTPPAHDRAKACIYLRHHIHPDLEYLEVKYPLVLWTTKMHERFGKQKTVLLPQARCDWAQRRFVDFKTDETYNTAIHRIVAQLCFYGQIVTELEMIEETPETFHPTNMMATNPGRSARIRPNGRLPAPLKALF >Dexi5A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:5A:6539248:6540314:1 gene:Dexi5A01G0008740 transcript:Dexi5A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTQQQSRSKKLRVESPMAMTEEEILKEDEDTGADALVAVEGAAAGVEIMVRIAKARLHCPICMLPLKPPIFQCAVGHLACGVCRELPGDGRCFTCGHTGGAYARSTPLEAVVRATRIQCPYDAYGCRSYVTYYHAGEHQRGCPHAPCLCTEPGCGGFAGPPAALRGHLRDAHSWPVDAIRYGTALQLRVPEADPAQHRRLLVAADEDEEGDGAVFLLAVGAFGDAPLRLVSLVCARPGGAAAVGPRYSCWMRAAGPGDVGTGRDAESAAVELAVPSSAAPGEASMEEAATLVVPRRMLHGAPEEKEMHLHRQDHLAGG >Dexi9B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:9B:114434:123267:1 gene:Dexi9B01G0000110 transcript:Dexi9B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVHHNCHRLHALLLRPLHAPSRHPRLSFLPCSARLAAPGCRLGTHAAVALAEPDLVPPDPDPEGEEEGDDDDAQFVVVTFYKFVPFEDPHAEVASHLRFLQPPPATSSHRQPPLQPWTAAAPLLPFPPSLLSPPPMRQLSWLPPDLLCRPSSRGRRRLLSSRRPPPPQPSRLLLAQLLAMLMRLLGPASSSRRQIQPPPGPMRTPAARIWFVGSTSTETAYLLLYVDDIILTASSQTLLQQIIQSLQQEFAMKDLGTLHHFLGVTVEPHASDLFLHQRQYALDILERAGMTNCKPCSTPVDTQAKLSADGGAPLADPTAYRSLAGALQHHLWPYAIQQRLLRYVRGTVDYGLVLHRAPSTELVVYTDADWAGCPDTRRSTSGYAVFLGGKLVSWSSKCQPVVSRSSTEAEYRAVANGVAEASRLRQLLTELYSPLLKSTLVYCDNGGALYLSTNPVQHQRTKHVEIDLHFVRDRVAVGHVRVLHVPTTSQFADIFTKGLPSSTFLEFRSIRAEFSGQARAGLWAGSGFPLGLGPRAGPGHKCSSLVVIAGGVATDLLPPRPYPNPNRSTNPQIEVAANRTEVMSTRPTPFPAQIDGIHTKIQWMRAKESRL >Dexi8A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:8A:2969503:2970351:1 gene:Dexi8A01G0003900 transcript:Dexi8A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVMLLTITLLSPCCVASSRALLADRPDGSQAATREPAAVDNIIPPAATPESSAPATISQSPAEPPTANNTSPPPLQPPEPSALPPLKSRHGEHIKSKHGGDNHGHHHHAPPPESPDVSPSPPLPPSEPPYAGEPPATPSSPDGQTPPPWPPHHPGPGEKWPPLPPFPFHPPPVTPSWPWPPLPPFHPPPFPPAWPHPGPGGKWPPLPPFPFPPPPWPHPGPGGKWPPLPPFPFHPPPFPVWPWPPHQGKPWTPTSSSLSGKGNGGGTSPVHQEHEN >Dexi1B01G0023550.1:cds pep primary_assembly:Fonio_CM05836:1B:29119404:29122143:1 gene:Dexi1B01G0023550 transcript:Dexi1B01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEEDVEEYSWREVVLPHLVPVVPDAQPELERETVERRRGRDLLVAVDFGPNSKHAFDWALAHIARIADTVHLVHAVRTKARIVEGDAGKVICREADRLKPAAVILGTRGRGLIQRYTALKPHITYICATFRCSGLSDSLANLCVLQGSVSEYCFHNCKAAPIIIVPGKG >Dexi3A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:3A:6370066:6372231:-1 gene:Dexi3A01G0009190 transcript:Dexi3A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPKKAYGGEGGAYYEWSPADLPMLGVASIGAAKLSLAAGGLSLPSYSDSAKVAYVLQGNGTCGIVLPEATKEKVVAVKEGDALALPFGVVTWWHNAPDATAELIVLFLGDTSKGHKAGQFTNFQLTGANGIFTGFSTEFVGRAWDLSQDDAAKLVSSQPASGIVKLGSGQKLPAPSAEDRVGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGTDGKRVLETQVEGGYLFIVPRFFVVSKIADASGLEWFSIITTPNPIFSHLAGRTSVWKAISPEVLEASFNTTPEMEKLF >Dexi5B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:5B:2060748:2062169:-1 gene:Dexi5B01G0003130 transcript:Dexi5B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPISDQLRPPGESPPLTAALLSPSAPASEPSPTASRLLLLLTAALAAATAYLLLIPIRPPLLTAAAAASATARPLSKLQKPVVLLISSDGFRFGYQFKAPLPHIRRLFANGTSAAEGLIPVFPTLTFPNHYSIVTGLYPSSHGIINNYFPDPISGDKFSMSNHDPKWWLGEPLWATAAAQGILAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDTILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITDAVIHIDEMLGRLIAGLEARGVFEDVNIILLGDHGMVGTCDKKLVILEELAPWIKLEKDWVLSMSPLLAIRPPDGVSPAEVVSKMNEGLGSGKVENGEYLRMYLKENLPSRLHYSENYRIPPIIGLVAEGYKVEMKSSKTNECGGAHGYDNAFFSMRTIFAAHGPRFQGGRTVPSFENVQIYNVISSILNLKPAPNNGSASFPGAILLPSK >Dexi1A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:1A:6173068:6173652:-1 gene:Dexi1A01G0008030 transcript:Dexi1A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPRTRAFASRRLLSVLAGGGGGAPQAGSVYGFGDNSHGAVGQPPPAADAYEPTPVPSLPPSVSTVAAGHYHSLSVSAAGEVWAWGRNDEGQLGRGLHSPRYT >Dexi3B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:3B:1527560:1528336:-1 gene:Dexi3B01G0002180 transcript:Dexi3B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFQGMKKHDAVKTDAYKAYSSNNDRNEQLPGGGESLNQLSERCVSCLNEIAEKHKGERVIVVSHEEVIQEICRHADPTSSARRKIPNTSITVLHISGPDGHWILEKVGDIGHLNED >Dexi1A01G0023880.1:cds pep primary_assembly:Fonio_CM05836:1A:30487715:30488722:-1 gene:Dexi1A01G0023880 transcript:Dexi1A01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRNEIIGFVTTRMIAAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDSYRNLGIG >Dexi3B01G0037420.1:cds pep primary_assembly:Fonio_CM05836:3B:40146044:40150245:-1 gene:Dexi3B01G0037420 transcript:Dexi3B01G0037420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAVPNGHPTAGEDAPPASSSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRYRLLLLDSLLVSCRCNTSLLIDYCQDGGVHKYIIIDVGKTFREQVLRWFVRHKIPCVDSQFQSLVGANWICSSWIAYTGLVSLVPSVTGSHNVHLCWDQTLDAVKRICPKRALLIGLTHEMDHHKDNQTLEEWSRREGIDVQLARDGMRVYIDL >Dexi5B01G0026770.1:cds pep primary_assembly:Fonio_CM05836:5B:28453758:28455617:-1 gene:Dexi5B01G0026770 transcript:Dexi5B01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSELGSPATAPPPPPKRRKIEPLRRTRPSQVTQDKDKSAASSNSSVSGVLPPRIDLNKVRETKRFVVLQAQHEGCLGSYKSFDSLFGNYLIPVIPSNGIFDQIGIK >Dexi3B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:3B:4251039:4256749:1 gene:Dexi3B01G0006130 transcript:Dexi3B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLQSVALGTMFAGRISTQRWRSNATRRPASMLAMSLSRPVKMSAFVGLGSVHSFSITPTVSNPRSAVASYRPSRRTRRSRFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDEALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQVPEEARELDKELKQITKQKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAEEESGETGPMVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHKRVIGQDEAVVAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALATYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYGRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLQEVFDRLKAKDINLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNSQGGIPELPTPAVTV >Dexi1B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:1B:5130667:5136390:1 gene:Dexi1B01G0006240 transcript:Dexi1B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDGGDIFVGEAEGATATTTSRRTIHDILGEGEDDGEAAASSPPPSSPETPPRLRLPRLTCATFRFGRKRGGGRKDATEAEAASSVDSSSGSSKQVEGASSTRSAAAHTGMGLSMLLLLARTCVELNRMAEVRAQMEALLKEIRDEASRVKEVAVRPETCNNLQALTTTTASSSCVSDTSTNCLKTGRGEDEKRASEGDEGCAGMDALELEPEPEQCRQAEWWKCDTEQETPESSDDDEFIELVGGRFGGGGGVGGENHSDNYSGGDDGGGWSSRERDEGGVSAIELERRLHELRHRRDRERIEALESALRRSERRLTEKEMEARLWQDTATLALGQPAPRGGQEQKGLTFILETMYFEGYGYRGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEIHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPADKEPTTEAPAEEEPKFIPFTGSGRRLDGKASKDKDVLASSPAKRQANATNSVQPSTATTSQGSSSRKATGKLVFGSGGSRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLKG >Dexi8A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:8A:1418044:1424172:-1 gene:Dexi8A01G0002130 transcript:Dexi8A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFFYKWLVTKYPRIVSHAKEEPSPDGIGYDNLYLDMNYIVHSCFHPQNQMHADTDHVCPPMTASEVFESMFQYLDRLFCIVRPRRLLYLAVDGVVPCAKMNRVRWGRYHAAKQATNEAIQQEKMNKELRDQGKEVPRREISDPNVIAPGTEFMVKLSQALEYYIRARLNTEPGWKDLMFAGDLLIEVYKTTFNKMGGYIVNTDEVKDKHGAYLEVSRLEIFFRELSMYEEKIFLKRYELEQDFLHEVYYEKLCEASESERPELRRKLDNILYNEERPYDRIRLGLPGWKSRFHREYFGIDTSNEIGKLQNEMTEKYLEGLCWVFQCYFADVPSWSWHYTYNVAPFVSDLKGLSRFEISFTVDKPLRPFDQLMAVLPFHSRCLFPACYRWKIMGRQEYDYPKLHADMDGEHFWWTGISEDLLSVKRAVDEELTTQEMRRNATRQEKIFLLRNSDALPHIKQAIIQTLCCSPQKLPIDSSTSGIGGWLSRDDDDGLNNGFFPSPTKNLQDITDDEAISALFFNPESANPISRLLTNVRVPEKTVTGADISKRPLWHTYPGSRPPRPIVQRPDSIWKPSSPATPREEHKHAGTGWLGRGRGNAIATTAAQTQLAISSSYRKGFSQNRGSSSSSSRFDNNGDALWTPGGGGAGHGGRPGGDVGLGLGSISSAAGGDVGLGHGIAIAVGTLVLIPTVMLASYICVLTKAGAALLAATTHAPVGPPTSASSPSAVVPGLDGAAIDALFPQ >Dexi8A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:8A:18987223:18987891:-1 gene:Dexi8A01G0010880 transcript:Dexi8A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHPIISGASIHPTPGLKLTLSDEEIMMHYLHPRAMNEPLPSKSIIVDVDVLSYNPWELLPEGSVGKYYFSQRVPRGTQGKRCKRVASDGFRKASGKEIPIFSYGINGTVPLIVGMKRTLVFYRGKATASQNTEWAMQEYRLAEAGLMPCPVMRLRGGRNLEKCGCASAVIAK >Dexi7A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:7A:16231472:16237764:1 gene:Dexi7A01G0005120 transcript:Dexi7A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEQRRHMPPAHFLPAESESSPFTDELRLRPERQVGLFKQGCFSEHVVRLTPKNSGVGQLVEKIDINQADDEPFEMTKEIETRVIGNLLPDDDDLFSAVPGDVRYNTQGNNQDDIDDDIFCTGGGMELEADGNDKPSKVNDGVSHSHTMPNDQLKGECTFGQQSSRILFVRNIDRNIEDYELKFLFERYGDMHTLDASCKHLGFVIISYYDVRSAENAMRALQRKPLRHRKLDIQYFIPENYPLEKNINQGTLLLNLDPSVTNDDLHQIFAVYGEIKEINNTSDNNRLKSIEFFDLRAAEAARRYALNWSDIAGNKIKLEPSCLGGTKRYTSKMLLAAIDESHRGTYDFIYLPIDFKNKCNVGYAFINMINPENIVPFYKTFNGKRWEKFNSEKVASLAYARIQGKPALVAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGSNIRSRPGRSRMLSWEQNHQDALSDPTSVA >DexiUA01G0010340.1:cds pep primary_assembly:Fonio_CM05836:UA:20540540:20541604:-1 gene:DexiUA01G0010340 transcript:DexiUA01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTYKAYEPETPSNSSGYRKVKGRRKKLTAQKKKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVDMMTDKMGERDARDELHKAFRIIDQDGNGKISDIDIQRVAIETGEHFTLDEVREMIEAADENGDGEIDMEEFMKMMKRTNLGSQF >Dexi9B01G0021150.1:cds pep primary_assembly:Fonio_CM05836:9B:15799972:15804755:1 gene:Dexi9B01G0021150 transcript:Dexi9B01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPKSTGIALFPLLFLAATASALPLLNSSVPDPAAVVADFHSKVATSRRRMQQAATGGGGGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDAVNPVPGTLRHAAIQEGPLWIVFAADMTIRLSQELLVNSYKTIDGRGANVHIGAGGACITLQYVSNVIVHNVHVHDCVPTGNANVRSSPTHYGWRTRSDGDGISLFGARDVWVDHCALWRCADGLVDAIEGSTAITVSNSYFAHHDEVMLLGASDAYLPDSAMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWQMYAIGGSASPTINSQGNRYIAPGDPNAKEVTKRVDTAEGQWSGWNWRTEGDMMVNGAFFVPSGEGMEEIYEKASSTDPKSAALVDTLTQNAGVLGDPRNDAEETGYTGVNYAGVGNGRSGSAGNGYGYLGMVYANGATDGGCRSQSTLSLASSVITLVALGCLRLL >Dexi6B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:6B:2065316:2065924:-1 gene:Dexi6B01G0002360 transcript:Dexi6B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAACFGAAPMTPTYYYSSASGRKPWRWWRAKCAGIAAAVGSRIRRSVKSINGRRRRRRTPSSAAHALRHHHHSRRSFAPVYIDDLYTHHHQPPPKALLRVVRADEPDANKPAAAATPPVHAAAAARAPSSKAAAAGGGVGGAMRNVLLRSPGRGGGVLGAVKGMGEVDLRAELFIRKFKEDMRLQSQRSAEEFQAMLARGL >Dexi1B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:1B:26774404:26775672:-1 gene:Dexi1B01G0020710 transcript:Dexi1B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTYEQAGNSAANLLGSYKNPGFGWMAGFMAAISFSGLLSLIPLRKVLVIDYKLTYPSGTATAILINGFHSKQGDKNSKYEFYHPPVGSSIPYE >Dexi1B01G0027680.1:cds pep primary_assembly:Fonio_CM05836:1B:32380540:32382175:1 gene:Dexi1B01G0027680 transcript:Dexi1B01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRTIGMAMDYSPLSKAAARWAVDNLVKAGDRIILVHVLPKGSDASHKELWKSTGSPLIPLSEFMEMNVQARYGLAPDKEILDVLQAASKAKQVEVLAKIYWGDAREKLCEAVDDLKLDSFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRGPTA >Dexi7B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:7B:14842735:14845125:-1 gene:Dexi7B01G0007070 transcript:Dexi7B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKVEGPVIGIDLGTTYSCVAVWRHGRSEVIANDQGNRLTPSCVAFKGAERLVGEAAVNQAASNPTNTIFDRLGRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVASHERRTVLVFDLGGGTFDVSILNVEPSIGIDRGVFEVMATAGDTHLGGADFDNEMVRYSLREFTRRHKNSDISSNQRALRRLRTACERAKRMLSFTAETTIEVDALHGGIDVCATVTRSRFEELNKDLFSKCMKGLEQCLRDAKMDRSKVHDVVLVGGSTRIPKVQNMLREFFDGKELCKTIKPDEAVAYGAAIQATVLSGHTDEGRLVDLILRDVTPLSLGTEVGVNSTMSVIIPRNTAIPTKKVGYFTTTYDNQTRVRCPVFEGESASTKDNNLLGDFELNGIPPAPKGVPRLKATYEIDANGILNVTEEDMDTGRKNGITILNHGGRMRAWCREIGSSK >Dexi9B01G0025810.1:cds pep primary_assembly:Fonio_CM05836:9B:27218684:27219504:1 gene:Dexi9B01G0025810 transcript:Dexi9B01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVATVCSAVAALLVASVAVCCVRGRRARRAGSITVGDTSVQIDQLKEYTYDELKKATGNFCKDAEIGRGAFGVVYKGTLENKKVMAVKKLQRKEKVEVEQFMNEVTILSGLKHKNLVKLEGYCVHQGQEGLLCYEYLPDGNLEDRLIHGRRGATLTWKERRHILEGICKGLQYLHNESPNDIAIMHMDLKIDNILLQVQEDKKNGGVVITPKISDFGISRNIEADKQHEYVQQVVGNW >Dexi3A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:3A:2392475:2393116:-1 gene:Dexi3A01G0003620 transcript:Dexi3A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGGGVVGKCCCSCCGFVFAIGFIVLIYWAIFQPHHIRATVESATLANLAVISTNASSSAATAAVSYSLAVRLGLYNPSLRVIIYYDTLDAELRFRDDAVIGPVANNTSSSVFFQRGRTGDEVKLEFEYGRPGVSVAGDVAGELEKEMKRGGPVRLELHVDARVRYVFRMFKLRQKPRIRCSLRIPVRAEGRRSGVVGGDLSSGDRCRVKY >Dexi7A01G0022410.1:cds pep primary_assembly:Fonio_CM05836:7A:30692904:30693336:-1 gene:Dexi7A01G0022410 transcript:Dexi7A01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSIPAAGSSSGGGRFVVWLHGLGDCGSANELIADHFSAAAFSAARWAFPTAPTAPK >Dexi1A01G0031110.1:cds pep primary_assembly:Fonio_CM05836:1A:36249487:36250501:-1 gene:Dexi1A01G0031110 transcript:Dexi1A01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSTPAGSTPYGRPVRVVELGVTHLPREVFEDYLRDIAPRYTAETYRLLTHNCNNFSNEVAQFLVGAGVPDYILNLPNEVMSSPMGPLIMPMIQNLESTLRSNAAPQATQFVPTPASVSAPPAAAEKAAAKQEEPAPAAAAEKVAKEAPAPAPTPAPADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQG >Dexi1B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:1B:18390273:18426771:-1 gene:Dexi1B01G0013110 transcript:Dexi1B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDRQKLFEAKLQQIEAAEAATLEATSRNSKGGPVPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFATGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSNPWKLNKRWEDLNPTDWSEIFQDGIDDHSGNSIWAVNRNYLVSPINGTLKYKRLGTNERGDPDTPVEKASLVLSDVSLTVTEAQYYDCIKLLETFSRFRTRVDVSHLRPIVPVKEDRRAWWRYAVLAGLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQATTVDISEIREIEKILDTKVIILWRLLGHAKVETVKSKETLHRKGASKKRWWPFGWNSAGLPPEEATHQESELDEEEQLTKEEWQAINKLLSYQTDEDLSFPLEKVPPNAIRFMMDVSIGQAAARIINIDQTEVLCGRFEQLQVATKLYPKSTRCDVTLKYCGLSSPEGSLAQSVVSEGKSNALDVSFVCAPVGMDLDWQLMAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVTMETATALQLKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANEPTVGNEYFVLDFGHFTLQTRDGTREEERQSLYSRFYIAGRDMAAFFICDVAQDIYSIPENLVQDILPGHSSDDNQFSSLLDRCGMSVIIDQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVLYHLKGNNNEDSSSHKSGTLPPWYPADLAADARTLVWRGLGYSQAEWHTCYIVLSGMYLYILESELSQNYQRCCSIGVCSRGADTQKALESTNTLVIEFLNEIEKANWMKALVQATYRASAPPDVNILGEPVSPPEPSTPRLSSLGSVDLVVNGSVIETKLSIYGKLDRKSKDPQELLMLELLGNGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSKYLACSVIDEVVEPTCSGTPEKEGDLSTFSVDEDSFMDALTDFTSDQNCNLHENEIPKLVSDANDYTETSSKDGSWFDGDPQKVKPSEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLGTVNSVPRNNPDKAPATQTVKPSGKEDGAPTIVKGLFGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDMKVHPSSFSIDGMLGNMRLCDMSLGPDHRWGWLCDIRKPGVESLIKFTFQSYCVEDEDFEGYNYSLTGQLSAVRIVFLYRFIQEFTSYFMELATPHTEEAIKFIDKVGGLEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDCIQLDLGQLKVQNEFSWHGGEETDPSAVRLDILHAEINGINMAVGVNGTLGRCMIRDGHGINIEVRRSLRDIFRKVPMLCMNVQIGLLHAVMSDKEYNVMTNCVSTNLSEPPNLPPSFRENVNRTKESIRLLADKVNLSNHPLLSRTVVVMTVDVQYALLELRNGPDGESPLAELAVSIYRIELYKPYFIGDYTKSEMRLMLGSYSETANLCAENSSTDVGNGALLRRCVYLNTGSSYSIAAEDGVEVSVLESSFGNDDEDLLKLEEHSKRSLQNASNAPANQMLNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVIEPVDISWKYTSVNEKTNIVLTSTDVCIHLSLSVASLMLKLQNQTLAALQFGNISPLISCTNFNRIWSSPKGDLPGYNLTFWRPQAPSNYVILGDCVSSRIISGFSIWRVDNVIASFCAHTSTEQPTKTQALDLHHVLLRNPNCYIVKDLNADSSVENDQSSGQLTHHRKNTSGWDVLRTLSRSSSYCMSTPHFERIWWDKGGDTKKPFSIWRPLPRFGFASIGDCITEGFEPPTLGILFKCDTVVSEKPVQFTRVAQIERKGLDEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKLSLVSQANMAEDPITRSSSSKGPYSWSIWKIENQGCTFLARPDVKKPSARLAYRIADHAKPKARENITAELKLGSLSVSILDSSCGMVTPPFDTTIANINLATHGKFETMNAVLICSIAASTFNRHLEAWEPLIEPFDGIFKFETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLELLIETLVSWRRQIDLEKKSSKKHEDTVGSTKKTDNSSCSALNEDDFQRVVFENKLGCDVYLKKLEDTENITELLQHESKIALLMPPPRFSDKLNVLSNSTESRYYVIIQIFESKGLPIIDDGNDHSYFCALRLLIGSNASDQHKVFPQSARTRCVKPVRTTELQTHYAKWNEHFIFEVPEQASANLEIEVTNLASKTGKGKILYLVCSFLLNVLNTLGEVLGSLSIPIGRGATTLKRAPSMRILQQSSDVKRVLTCPLTKKGQIPNYEDRKNSGVLVLSSCYIERSTHSNFQSLKDSISNAVSDFWIGLSPDGPWESFTAALPVTILPKSLNNNHFAFEVSMRNGRKHATLRGLAVIANDADINLEVSLCPVNMLNSSTLNTRSLSSSNAIDEVFENQWYRPIMGWGANPSSDHGNNLKQWSTRDCSYSSKDAVLILDLLSLEHVSSFWMVQKQSQRRLRVSVEHDLGASDAAPKSLRLFVPYWIKNHSSIPLSYCIVEGETTESSDADSLSRPDSLSRVAKSSKFSLKYSSKSLVRRGTMPRNTQVLEVIEDCSTNYVMLSPQDYLNRSAGMRSESRDNNLSPARVAISVSVGSCTQYSIGVSLFELENKEHVDIKAFASDGSYYWFSAQLKMASDRTKV >Dexi7A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:7A:28022293:28029008:1 gene:Dexi7A01G0018700 transcript:Dexi7A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHSDEKENLPNGNSNLQVKEAHNDEDDGTGSDGFELIDVKENFDSAKVEEETAPPRSTTVDTSQQSDEPEEKTTALEEQSVAVNTRHLDSSMLNQQTEKLDELTRRIEELESEKGKLIKDLAETENKQSLHHSSLQEAQSSLAIKESELAEATESLKELSSELEASKKRIQEIEAELDSSADKLRKLEELKDERSLHAAQEAKRASELDKMLELAQSNTKEMEKHISSLQEEIKGHQVKATEQQQIEETLRSTISELKGVQEALELSKSQVADLEQKLSSQDADISKLTEELNLRCSSEESLKEKTLKLENELTTVHEELQAKLLSLQELEAKLDEQAKDREIGEAALEKQNEQIVILQAELEKLKDEKETQQGSLVDLNTKLSEKDSMLHQAEDELAKSKLSLSEALSQKQELELNLNSLTEKHGASEAFAENANNKIIDLEAQIQKMHAAEEALKSQLKEAEASVEAAEKKGSDLEQQLNEIENKLVASSEEIELLKERIQQEVAVSAEKGMQLEEAVANAEGYKEKISELQLSLDSAVSKSQLLEQEVKELTDKCSEHQEQAHSVRQRSLELEDLLHTSKTDAEGAYSRTQELEQELNSTCEKLKGVEEELEQYKSKASQLSDDVEAYQTKAASLEAVVEAASEKEKDLMDSLSQITEEKKKIEELMAEYEAKLQETLKEKQSFEESLQSQESKVLDLQQELVKLREEKEHHENTIAGLNLELTTKNDMYSQLESQLNEAGEDHSKTRSLLTEAQSHKEELELNLRSLNDLHTASKTAADAAMQRVSELETQIQELTASEQGLKLQLTELESKLASAEKKGIDLEHELEAATVECSNCHVKIDEISGELEAYKEKSTNLETSLAQAKQTESELSEKLAQVDEEKEKFEELAKKTTIKHLEAEKQVQTLQDELESARVKIEEVENELQSLGIRESSVLEKLKSAEEQLEHKGRALEHATSKKIDLEALYQSLLEDTEMKLQQSADNLAQKDTECQQLSEKLKLAEEQAASYQSRATTATEEVESMKVELEAFESEISTHEATIEELKTKASDAESKAEQVLAELAMLSGTNQVLKEELDAKLALLHEVQEQLNSTQAEKEEVAAKLAEHEKTVEHLTEVHSRGIELQSAAESRNAEIESQLREALEAAEQKEVEVKHLNEKLVALESEIESLTHVNETLKQEINAKLVMVDELQEKCSSINSEKEEFAEKLAVLEKKIEHLTEEHSRGLEIRSVAESRNAEIESQLREVFEKATQKEEEVTDLTERLTLLKAENEKLAVSNKALKEELDAKLAMFDDLQERFSSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIEAQLRDALEMIAQKEGEVKELSKKLDALEIELGYYEEQATEAAAAEENHKVKFDEASQKLMILQEQLEEAQSKLEHFLTEKESLAQANSNLNVELEVHQNKLNELQLALASAVAEKEGASEEIHSLRKTLDGMIERKAELEAQVSSTMEDHEELKSIYQNTLEEKQILSEKYENTKAELEEAIAKLEEEMNVDKSEKESHISKLERQITLSEIKYMEEIKTMQVETSEKDEALTAKMQEHANLQHEKDELEQQLLEIRKELDGAYHTIANQEEQASVREIKWDAYRKYSEDQLEAEQQRAAELELQVTALKQQLQEADVHYKQKEEQVSLRAVQWEADKNHSLDELEAQRQYANDLEKQIEALTQKLQSVDAHYKQKVTEERDKLAEVTTELNKLTQKVSKSVELEKKVQDLEQKLQVAYSKSEEQAKDSVELRSQEFTLDSSAISSKQNDKPQAADTASVNPTQQEVQEPSGIMAFKFILGVALLSILFGVFLGKRY >Dexi9B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:9B:10305212:10312214:-1 gene:Dexi9B01G0015220 transcript:Dexi9B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGGRGGGGGGRGGRGEYGGGRGGGRGRGRGRGAAELGGRGGGERGGGRFFHGAQPCGRGGQQQHYGVAAQGQGRWQVAAPSATAPAPTSAEVEVLSGELERRAVVVAEEAREPPVGQGARVEGGGTVSLESGQQEEAHQVVAAPPAGRLPPASGKALVFPARPGYGTIGRRCRVRANHFLVQAADREIYHYDVVITPESKSRVRNRWIVTELVELHKQFLDGRLPVYDGQKGLFTAGPLPFKTKEFVLKLTNPERANRGETEYKVTIKDAAKIDLYSLKQFLAGRQRELPQDTIQTLDVALRQCPSASYVSISRSFFSQAFGHGDISATAFYKAQPIIDFALGYLNIRDTSRRLTDQDRIKVCNILEGQRYSRKLNERQVTSILRMACERPTQRESSILEVVNRNNYIHDPYAKEFGIKVTNQLALVDARVLPAPRLKYHDTGREKVCDPFIGQWNMNNKQMSGRPCVDIGQVRPDNLEAGLKNIHGQSAQVLSQQGVPGQALQLLIIVLPDANATVFYGNEHVKRLSKLIAFLYWINKFQFSFHSCAGRAKRLCETELGVITQCCLPRNVQKGGQQYLQNLALKINVKVVASMDWPEVSKYRCLVSSQGHREEIIADLFTQVKDPQKGLVHGGMIRHVGCVITLLFFRDGVSEGQFSQVLLYEVDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEDHRARDQTDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSRPTHYHVLFDENNFTADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDEGLSDQGSSSAASSQRHGHATIVKPLPKVKENVKQFMFYC >Dexi9B01G0048330.1:cds pep primary_assembly:Fonio_CM05836:9B:47304064:47307975:-1 gene:Dexi9B01G0048330 transcript:Dexi9B01G0048330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGAVHQPIEQPQWMASSVDRSPTLDLSSDVRGDDFESSSFSFPTEAPMESMHGGFGMQMNTGHEDGKWMQFLSEDAFNATTNPFFMNAASSSFSCLPSKVDVALECARLQHRLSLPPLEVEDFPQDVSLDTKTSILRSNPNEVDILQEFLSVASASQELINGTTSSYPPEMWTGAGTSGAASTHYYMNELSSLVELGVKAKEESDNFYHMGCIGTSAGFASKAVHVDEPVRLVEIAEMEDELKEEKKQVENLRGVRLHNNDLGEIVVEGDESNPAECITQYPISDTADNSGGLGTAPIFSQSQPDDFAIGFDDVNPNTSFDLYEKVDVKHGLFISRVGAAKTFFHRVEPSKKVSFHLNPLASDVSKAIEKFHFPISATTKVISGRVSIFSKFKALIRDKFLMTKASSYQRSLGSKETAAVSELLQIVSSLLLTPMEVTGPTTTEQELVKNKAKKVMKPGSGCEGSDAWLVPLSKRSKSISSMFFSGKWTFLTSALAIRTPGCNH >Dexi3B01G0026100.1:cds pep primary_assembly:Fonio_CM05836:3B:21015767:21016603:-1 gene:Dexi3B01G0026100 transcript:Dexi3B01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTARKRKRKAPSSSSSRRTRAVSRLDFRSAADGAWYAARVAAQGGALRVMYEEFPEEQDEWYDPAALAAVSSPSRHGVVAALRARFRMASPPLDDARCRDLRPGTPLCVSCPLDAGLLKFYDAFLESVLPAAHGTVDGEERCACRFAVRWTEGPRAGSREEVGVERVCCVQSSPVQDPVLNEFLDGVTRLLGNGSGVGATASQATGAVAAAEGGGVPGDAPPGFHRKFGARP >Dexi1A01G0030960.1:cds pep primary_assembly:Fonio_CM05836:1A:36128248:36131896:1 gene:Dexi1A01G0030960 transcript:Dexi1A01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSKYAHSPAHLAVARRDHAALRRLVAGLPRLPRAGEVTTEQESIAGEAIADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERSESVGQWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNNEEESEERGEECDSGADHTDANGVVKDKKGWFGWGGKKGSKTDDKPSKAGGKDESGDLGKQKEKGSKKKKNSGSSADSLKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKAKESEGSGSWYSWVKGGRGTQSSDSGDSRNWKDEVDPFHIPSDYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSEGGGHHPMMDGFEE >Dexi2B01G0026580.1:cds pep primary_assembly:Fonio_CM05836:2B:35677162:35683098:1 gene:Dexi2B01G0026580 transcript:Dexi2B01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNAGAAAAGRVLFSLLGVVVLLLPLLVAGEDPYRFFTWTVTYGDIYPLGVKQQGILINNQFPGPQIEAVTNDNLIINVFNKLNEPFLLSWSGLQQRRNSFEDGVAGTTCPIPPGANFTYIMQAKDQIGTYYYFPSLAFHKAAGGFGGIRVLSRPQIPVPFPPPAADYTLLIGDWYKANHTALRYMLDSGKALGFPDGLLINGRGPNDYTFTVQPGMTYRFRITNVGLATSLNIRFQGHTMKLVEVEGSHTMQTTYSSVDVHLGQSYSVLLTADQPGFDYAIVVSTRFTTKILSTTAVLHYANSAGKAPGPLPGGPTIQIDWWNLTASGPRPNPQGSYHYGMVPVTRTIRLANSAAIINGKQRYAVNSVSYVSPDTPLKVADYYKIGGVFSVGTIADNPSSGGAYLQTSVMGANYRDYVEVVFENNEDEVQSWHIDGYAFWVVGMDGGKWSPASRQGYNLRDGVSRYTVQVYPRSWTAIYMPLDNVGMWNIRSESWARQYLGQQFYLRVWTSSTSLRDEYPIPKNALLCGRAAGRRTRPL >Dexi2B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:2B:26633599:26634815:1 gene:Dexi2B01G0016480 transcript:Dexi2B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAAEGDDGGGGASEDGDAGGGGRTGGGGVKRPAALNKGQWERRLQTDIHTARQALRDALSLDPSSSPAPAAKVAAAAPPPPVTTHPGSTAYASSAENIARLLEGWLRPVGKGPEASGSTSTTATTTQCSGEGGAASASGGGGAAANTAVQTPEYSTETSKMTSSGGAAGSAAPAFSMLESWLLDDGMGHGDDEVGLMADVVPLGDPSEFF >Dexi9A01G0047310.1:cds pep primary_assembly:Fonio_CM05836:9A:50460770:50462493:-1 gene:Dexi9A01G0047310 transcript:Dexi9A01G0047310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGVANSIIQLLSKSTAKGDGTRWRRVVGAKGLEKAAASALPPLQLLAILAVIAWTLFLYVQFSVLSATVEVEVSHGDGDTDSADPCRGRYVYVHDLPPRFNADIIRDCGKTEDHWGDMCGYVSNAGLGRPLTDDADGVLTGEAGWYGTHQFALDAIFHNRMKQYECLTNQSAVASAVFVPFYAGFDFTRYHWGYDNAVRDAASQDLAEWLMARPQWRRMWGRDHFLVAGRTGWDFKRSSNVDSNWGNDLLGLPAGRNMSVLVLESTFLHGMDFSVPYPTYFHPRSDADVLRWQARVRAQERPWLMAFVGAPRPEADARKYIRVRDLVIAQCEKAPGACAMLGCKRAPGSPQCHAPGDIMRLFQTASFCLQPPGDSSTRRSVFDAMVAGCIPVFFHTASAYKQYRWHLPDDHLNYSVYIPEEDVRRRNVSIEAVLRSIPADVVEKMREEVIKLIPRILYADPRSRKETIKDAVDIAVEGVLDAVARIKRGEWVDSGRPVSEDPPNLYVSTESRFRPKSAVTRIMMRLKQWIKG >Dexi3B01G0027490.1:cds pep primary_assembly:Fonio_CM05836:3B:23042879:23047348:1 gene:Dexi3B01G0027490 transcript:Dexi3B01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERRREEHSRRSRSPARDRERRVIPPRRGSPPERRKSSPARARSPSGVSHRDRERSPPREKAKERARSPRSPAKASLSHKERERSPPREKTKDQRVRSPKHAREQSPSPSTARRRGSRSLSPRSKRLRKAKGEREAVEVTDSDRRKSSHREEKESGGKHREHDEGRDASKDRKSEREDARGSAKDKKSDRDDGKDHSRDRRAGKDDDKHDSRGGRPDRDDRKAASSREHRVDRSDKKDSAREKMTDREESNGGSGRSSRHGRSGSPDEHRHQGSHGSHPSPRVSRSAARTEGINSRGGEASRSGDPDALARMNAATEALEAKEKQKPSFELSGKLAEETNKVAGVNLLYSEPPEAHKSDIRWRLYGFKGGEPLNEPLLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINDNRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTE >Dexi6A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:6A:9667032:9667338:-1 gene:Dexi6A01G0008910 transcript:Dexi6A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >DexiUA01G0005540.1:cds pep primary_assembly:Fonio_CM05836:UA:9968128:9968379:1 gene:DexiUA01G0005540 transcript:DexiUA01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEMAAALGSLAKAVHVLDTRVNNLSIKLDTFAPSVPMASRLASLPESSAAMCLQAAARGLLACQQGRALRQGQQERAAAQR >Dexi4B01G0023350.1:cds pep primary_assembly:Fonio_CM05836:4B:24702597:24703727:-1 gene:Dexi4B01G0023350 transcript:Dexi4B01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSAMFMPYKLAMEGFVLLCLVIVISMDVLGAAAVSDVLQPPPMFVFGDSTLDVGNNFLLPGLSVPMYYGIDLPGVPAGRYSNGFNVADFIGSKENGIREQPSALSDGGIIPLSRQVQYFKDTKAKMVAAVGSAAAVDALLARSVFLISTGNNDLAGFSALEAKLNKSPAQQQSDAATFLPYLISNYSANITVRTYGTHRS >Dexi3A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:3A:12364610:12365359:-1 gene:Dexi3A01G0016440 transcript:Dexi3A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTLLAAAAAAFLALSLPAVLCQAPGPASPKGPPNVTAILEKGGQYATFIRLMKSTQQDTQLNSQLNNSFGSGYTVFAPTDNAFSSLKPGTLNKLSQQDQVSLVQYHILPQFYSLDSFETASNPVRTQASGSDGPFSLNITADSNSQVNVSTGLVATRVGTALSEAPPLAVYSLDKVLLPNELFGVKPPASAPPAPAGKKPAKGGSVAEGPTGGSADSEHTGAAAGGVRVAGWSLAALVLAAAGSLL >Dexi7B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:7B:19823181:19823675:1 gene:Dexi7B01G0013290 transcript:Dexi7B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEHTRRQKETQTTSDPGLTEVELPEGSAPDLLPELELPPDHLLHPGSQTRKLALHTHHQLPTDRAPARAPPHLPLLPDPSRAPQAARQADRIAPAPLASIGPSRKRPPNRPSSRAARSAARHPRRNASQPPRRGRRLSRSRGDGLGIRVGEIRGEEEVPRLD >Dexi4A01G0023290.1:cds pep primary_assembly:Fonio_CM05836:4A:26411517:26411798:1 gene:Dexi4A01G0023290 transcript:Dexi4A01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDGRRRHAADPGRRPRTAAASMDGGGVTARVLQTTCGVHERAGGRWTAACSAFWDGEATCSRERIMNPTSKRPEHLHDERLSWGFRNSGF >Dexi3A01G0031280.1:cds pep primary_assembly:Fonio_CM05836:3A:35714794:35716671:1 gene:Dexi3A01G0031280 transcript:Dexi3A01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECSCFDFLLVPTLLSFFQVEVWLQTFGPGKKTPIHRHSCEEVFVVLKGKGTLLLGSSSFKHPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVLVIISRPPIKIFIYDDWSMPHTAAKLKFPYYWDEDCLSAPKDEL >Dexi5A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:5A:4509011:4509472:1 gene:Dexi5A01G0006080 transcript:Dexi5A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRIPAGKSAGKEGGEGKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi9A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:9A:9009329:9010475:-1 gene:Dexi9A01G0013800 transcript:Dexi9A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTQFMQWALTTLQHEQPPPPATPSATAYDNGCDDTVSSLPGPLGYSPSPDSLVPQEPPAREGQRATNSWSSVDTVTDSGGGASVTAWSPTQHSAMVSGSCSSGGTTNQPVSWDFNSASAQLIREAAQPSSAAAAARAAESGGGGGGAPPQMAQHGSPPTRRASASKTSAHSSSAPCSQSQDHIIAERKRREKINQRFIELSAVIPGLKKMDKATILSDATRYVRELQEKLKGLQENGGGGGGGGGGGRGMESAVLFNKKPCIAVPDVGDEEDGGGGAPSSYAAAGPEIDVRISEGNVVMVRIHCQDAKGVIVRLLAEVEELHLCITHTNVVRFSASILIINVIAKASLR >Dexi6B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:6B:10373771:10375906:-1 gene:Dexi6B01G0008300 transcript:Dexi6B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSNAVFASFNILTLLLGAAVLAGGIYAGTLHHRGIATDCERILRTPALILGAAIMVVSAAGIVGACCRASLLLWLYLFLAALLILAVLCFAVFALAVTNAGAGQAVSGRGFKEYRLGDYSSWLRRRVEDGRTWGRIRSCLAESGVCRSLQSNRTFDEFVNDNLSPVQSGCCKPPTECNFAYLNETYWTKPSGPSNSSNPDCNTWSNDQSELCYSCQSCKAGVLGNLKNSWKKIAIINAAFIVLLIVVYSLGCCVLRNNRRHKYTLVGNGK >Dexi4A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:4A:19013757:19018076:1 gene:Dexi4A01G0015710 transcript:Dexi4A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSKPAMRLPLLAMVCCALLAFAAPPHCAEASKARHFKWEISNMFWSPDCEEKVVIGINGQFPGPTIRARAGDTIHVELKNALHTEGVVIHWHGIRQIGTPWADGTAGISQCAINPEETFTYRFVVDKAGTYFYHGHYGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHESIHTQLVALSSKPFRWIGEPQSLLINGRGQFNCSMAAAHTPGATQCAALNRQCAPVVLPVQPNKTYSLRVASTTSLASLNLAIGNHKLTVVEADGNYVDPLAVDDMDIYSGDSYSVLLTTDQNPSSNYWVTVGVRGRLPKTSPALAVLNYRPNAASKLPSLSPPVTPAWNDFEHSKAFTYRIRALAGTPSPPAMADRRVELLNTQNRMDGWIMWSINNVSMVLPATPYLGSMKLGLLNNSTLAATRPAEPFSRGYDVRSPPANPNTTAGANVYVLAHNATVDVVLQNANALAANVSEVHPWHLHGHDFWVLGYGDGAYAGDDADVARLNLRDPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEAVERVGKVPKEAVSCGATATALMNGAHL >Dexi4A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:4A:4031671:4033646:1 gene:Dexi4A01G0005550 transcript:Dexi4A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVTENWISATLLLGPVVGTYQYAMWYKEQEKLSHRY >Dexi2B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:2B:22752034:22752420:-1 gene:Dexi2B01G0013740 transcript:Dexi2B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWATTGAPSAISTATLIDSLSHAGAAATRPDSSVRPRLFELELVLNVMLRAVTGDRDRRADVREHVRGDVHGDFFPALTAYVASMPRYGVFTHARRDTFVGGLVDDGATPVLMAVTTRRRKASLMKD >Dexi5B01G0040070.1:cds pep primary_assembly:Fonio_CM05836:5B:38707919:38708087:-1 gene:Dexi5B01G0040070 transcript:Dexi5B01G0040070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFEGIEAPLIN >DexiUA01G0016270.1:cds pep primary_assembly:Fonio_CM05836:UA:34652433:34663314:1 gene:DexiUA01G0016270 transcript:DexiUA01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVADVKISMETPDGVVAYVHGAARELGLAALRVLPPVATASFFLGMLLIIVGHIRAGGEGGGGAVAVARHGPIEAPVVGLLVTIASAVAVALVLLMGMAVLFSSFLVYWAELQQQDNRKAQEEGAESLKNMAIQLHPQQASPYYAGTVLIR >Dexi2B01G0022580.1:cds pep primary_assembly:Fonio_CM05836:2B:32210585:32212231:1 gene:Dexi2B01G0022580 transcript:Dexi2B01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEDSVRQSVAEKDTEALLLRDVLLDGILAIGTLGHHVDSLCPEACIEEDDCLIMDEEEAIEEEKDEEEPRNDKVKECTALAATLSEPVVPVIEPAKMHSSSMKEDTFTCFVAEEILMHEVEDGGAPNIQEQPLLLVEKVEKVRTTLADLFAAEAFSSSAPGEKNCQDIVIVAGASTSKTTLCHEKVHQKKPTKPTPKPLKATRKLSRVVRKMLGKKIHPEQINVRSNAEGPLTA >Dexi5B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:5B:3822771:3825772:1 gene:Dexi5B01G0005640 transcript:Dexi5B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVGGVVRWVWGGKLSVLLACFPGARLEMGVAGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLVGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIVSFANSKLCIDFATCFEFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKT >Dexi4B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:4B:6537857:6538302:-1 gene:Dexi4B01G0009100 transcript:Dexi4B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQEGHGVVVWWTRPGPEGASWTVREVLDRADALRLRAVEILQPAQAAELLVAAANMEIGFREFAAAGAHLDLRRGR >Dexi2B01G0028880.1:cds pep primary_assembly:Fonio_CM05836:2B:37412042:37412360:-1 gene:Dexi2B01G0028880 transcript:Dexi2B01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTSLLGLTNGYLTVCVLMEAPKGYKGPEQNALGNVLIVFLLGGLFSGVVLDWLWLIGKGWH >Dexi5A01G0035360.1:cds pep primary_assembly:Fonio_CM05836:5A:37155067:37157427:-1 gene:Dexi5A01G0035360 transcript:Dexi5A01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAARKGCCVLLVWALAAAGLGSASARAPLVGSSSKPQREFDYFALSLQWPGTICASTRHCCAINGCCRSEPLQTFTIHGLWPDYDDGTWPSCCRRTQFDLDKILPLMETLQKYWPSLYCSSSSTCFSGKGLFWAHENFVRTAWLNDFNTEIHFPEKHGTCSAPVVQDELQYFTIALDLYFKYNVTEMLSSGGIQISNGKEYALSDVIDTIKHAFGGSPQIVCKKGSIQELRLCFDKELKPRDCLTTSLTNGSVSSKKHCPQYISLPSYDPLVLRNSTGDIMTHFDDFEVSASLYTA >Dexi5A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:5A:7317850:7318134:-1 gene:Dexi5A01G0009760 transcript:Dexi5A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLAPRFMPPCTGLHPAPPPPQLPPHPLVGVESSLEHARSPPPPPPISTPSTPAAADAGSTGRADPPGPRGSAGRRAGTARGGAEREAARS >Dexi5A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:5A:3408838:3418200:1 gene:Dexi5A01G0004530 transcript:Dexi5A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAEEAAFSRSGSWREAEDEREALRWAALQRLPTVARARRGLLRSPAPEGAGAAAAAAVEGDDVLCEVDVAGLSSGDRTALVDRLLADSGDAEHFFRRIRSRFDAVHIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMSEAFLRHLRIYRGGRVKLPILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKTFIIGKRLHEELAVPYNRHRNHPAALCTSSYGVKRLELLKSNYQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLYESGMWQFANQNITMGEAILTGYGLFKEKYWFWIGVGALFGYAIILNILFTMFLTILNPIGNLQAVVSKDSIRNKDSRRKNDRVALELRSYLHSNSLSGNLKEQKGMVLPFQPLSMCFRNINYYVDVPEAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVTVEFPYILVQSLIYGTIFYSLGSFEWTAAKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFSGFMIPRKRIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGVTSTTVAAFLEEHFGFRHDFLGVVAAMVAGFSVLFAVVFALAIKYLNFQRR >Dexi5B01G0023450.1:cds pep primary_assembly:Fonio_CM05836:5B:25608805:25610874:1 gene:Dexi5B01G0023450 transcript:Dexi5B01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASASSPLPALSNGYQPLPSMYLGFLAIWAASGFSWAFSSWRNRHFQANNLQWILAMVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGAYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAVLGCLLYLSLIGYKAAVPYFTVFLLINYFASFYIIFRRTSQNLMVLQEQLSFVEEEEIHSLHGTLNTKYTMFKRFQGTMQVAAVAFIMVYMRADDTPENYWFRVLVREWVQFCIFMWNFRIPEASLHLPVIPLVTSAWEITMPPIYSVEMDAADFKGLVSDQWHVGVRTGSGCSAQPLLVLVQNPSPTASPSGRTPKFQLDRDNQV >Dexi3A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:3A:13403637:13404383:-1 gene:Dexi3A01G0017570 transcript:Dexi3A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVIAFLLLGLLASAAAVEGRVVPSVFEDDQPSKRSGDRVLIGVDLFHQACGLVHFKTMCQSLTKLPGVTTPRQVLLASMRVAAAKAMEAKARVDEYAARTHVTGPMVSIVDGCRKGYEDVATSLEETRKRIEAQGTQLVDLNNQVSGALTHTDDCQNGFDDFEMASPFAAVQKNVFRLVDNVLNIAVEVQKAEAKQNPVGHGPHVH >Dexi6B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:6B:22596794:22597992:-1 gene:Dexi6B01G0015240 transcript:Dexi6B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAALITMLFSAWSPETAATAPYENASCQRRCGDMDIPYPFGIGHGCYHYTGEGDITFGLTCNLTTDGGHQTISGESVEVISLSVRHGQARILSDIQPWCYNHTSMSMDDNSLWWTDLSDSQFHLSDEANRFTVVGCNSLAYVQSVNTGTTYMTGCVGCIATCPDAGTLVNGSCSGMGCCQAEIPGGINTYGVQFDDRFNTSGILRFSPCSYAVLMEAAAFDFKTTYVTAGEFVKSTGGKVPLVLDWVVGKETCREAVRNTTGYMCVS >Dexi3B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:3B:4170805:4179159:1 gene:Dexi3B01G0006050 transcript:Dexi3B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGVKDASTTATNFFGTLCFFSFLGAFISDSYIKCFYTILIFAPIEIMASIGYMLLAFQAHFPSLHPPPCDTVNNPNECTPVSGRNLSLLTLGLYLVPIGESSIRACAAALGGDQFDGDDPAEIPGKISFFNWYEICISLGGFLGLVVLVWVQDNKGWGLGFALAALMVLVGTAVVAVGLPFYRHREPTGSPVTRILQVFVAAFRKRKLPLPENLVEVSDGAGTSVEFIERTSGFRFLDKAAVDDGDRRRWSLCTVTQVEEAKIILRMLPVLMSSILAYVPFSLLLSFTVQQGGAMDTHLSAMSIPPGSLFVVPILFQMLILTAYDRAVVPWLRRATGLAGGVTHLQRVGLGFACSAMALAIAAVVEGRRRRRGASSAAMSAFWLTPQYLVISVMDVTSFVGLLEFFSSEASAGMKSIGSSIVFSVLGAGSWLGSLLIQVVNRATAHAGGGHGWLDGASLNASRLDLFYWLLAVLGLVAFFLYLFCAWNYTYRHDPRMQKDNDDKGYVLLAVQAYHPSLHPPPCDMLTNPSKCIPVFVAAFRKRKLSLPEDLTEMHAVTDSTGTSTNSEVLERTSGFKFLDKAAVNDGDRRRWSLCTVTQVEEAKIMIRMLPIFLSSILGNVPIPLLLSLTVQQGATMDTHLGATTMPPASLFVVPIVFQMLTLIIYDRAFVPWLRRATGIAGGVTHLQRVGVGFVFGVMALAVAALVEGRRRNSGDSTAMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKTIGGAIVFCILGVASWLGSLLIQVVNRATARRGGGHGWLDGASLNASRLDLFYWLLAIFGLVSFFLYLLCAWRYTYRHDPRMQTAIVVDEVSSSASTKDQATV >Dexi4A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:4A:3101960:3106165:-1 gene:Dexi4A01G0004350 transcript:Dexi4A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDFRGRQGSGSYGGPPPGSAPGGSSLYPRVGQPSHGGGGGGAAAASPRVAPYHHGPGGGSGSSAPIVTPLAPTSSAASSKVGIQVAIKPEFRISPPPQLPPQMVEIPRSTFNFDFEYEKRILAEAEKENPSWSKFVVERQAPPPVPQQASYIVPSTPFYWINKVLPKLVSFALPPFLCFVDVIDGMVKEFVRSYNILHEMGFTSSNVPELLAIHDNDPDKVIQRLLSSPS >Dexi7B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:7B:6888502:6890114:-1 gene:Dexi7B01G0003060 transcript:Dexi7B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEESNSECSHARAYLLQTASPNASTISIRSASLQPFSPDNWNQHREDRIQMSSGLIMTIWHFPPCQIRKRFVNIHVSDGNGSRVVGAKVAVHQISRDFPFGSAISKSIIGNKPYQDWFNRRFNAAVFENELKWYATEPSPGKEDYTLADQLLQFVQSNDVMARGHNIFWEDPKYTPAWVKNLTGSQLRAAVVGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGANATAEFFTTAKSADPLATLFLNDFNVVEVCDDVSSSADSYISRLRQLADGGVIFEGIGLEGHFGKPNIPYVRAVLDKLGMLRLPIWLTEIDISSSFDQKTQAAYLEEVLREGFAHPSVDGIMLWTAMSAKASCYQMCLTDANFTNLPAGDVVDRLLTEWQTREAMGATNDRGSFNFSAFLGEYKLTVSYQNLTTEGTFSLARSDDTKHINVRLSRPA >Dexi4B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:4B:5292886:5293227:1 gene:Dexi4B01G0007420 transcript:Dexi4B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPEVAMAALYIALGCREVLKPVLEFMDSSAAARGPVVDMAVAAVLLTLPTAYLFVVGVILPIALHVTLPAAAPFSPAAFWQSVALGFVLPLLFVAVPLVAFLFLTAGSV >Dexi5B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:5B:4953664:4956523:1 gene:Dexi5B01G0007390 transcript:Dexi5B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSATAAASAFATLLTSAPSTGSVSSACVCPLPRRPLLGPLHAAKSSNSVPVELESKVKGKKKKGSGAGNLPGALDVEIREAQQYLDSDEQEAVPENFPFEILDEEGMSVVILKRDYKDEKIEVIVSMPNLEGGPEFDDDDGEGDGESAGKDEDEEEDGESAGDSSVSLKVVVSKASGPKLEFTCTAFREEITIDDMLIVEKTDDDGEEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLSATNYMHDYMVTKQAQEYIRWMRKLKDFVSQ >Dexi1A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:1A:24341128:24345925:1 gene:Dexi1A01G0017110 transcript:Dexi1A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTEPPCGLQPSLVRYEQVHKQSWTLTTRTGQGSPKSEDPETPPIPKNLSFPGMGGRTTLVGLPFSRLTSTSHCADANFIRQPTREAAIASAITERLRRTPISTRLQNPIPDSPPSQLAGRPRTPPLRYRPRRTPGIGAARRSTIQPKHTMRKNKESARVSGSHRQVPRFDTARRLVRRGTDPAGLQHGKRGRPAGAGARRLRDAATAGEEAGDGAAFGEWFGAFVFESSFARFGVARKNEREEWTVGLDANVGYGDFKSRRLQQGVPPRPSEASPSNSFTPQSPSPMPSGAAAGMESEPEQPKAAAGMEPEPEQPKSAGGGAHRKHLAMLERLSKRSSSAAAGGASSDSNGTSPVEAFLARFAAAKLAAESALSACRASTPEGDAATSLAAAAAAIDSLDRLVAESSHALPPYELRSALATAADLRAAHRAAASEIRPKKSFSFRNKSRVPKNPPQDPATVPPPQPPPPEQPKPRIDAILPGFGFRGRNGATLVKDLRVSNDKDGDFTLADLVSCEVYLKGKCRALYVHKLRDCRVFVGAVLGSVLIEDVEGCTFVMAAHQIRIHEARATDFYLRVRSRPIIEDCSGVKFAPHALKYDGIDEDLKESGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQTVDISEIH >Dexi5A01G0025760.1:cds pep primary_assembly:Fonio_CM05836:5A:29544800:29546683:1 gene:Dexi5A01G0025760 transcript:Dexi5A01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSCYSLAASATAMEVRRLVWLVAAVSLALASMARGDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAATSGDQLLGGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVETLVNILGDQDTASDHLSRCIFSIGMGSNDYLNNYFMPAFYNTGSRYNPEQFADALIADYRRYLQVLYNYGARKVVMIGVGQVGCSPNELARYSADGVTCVDRIDGAIQMFNRRLVGLVDEFNALPGAHFTFINAYNIFADILANAASYGFTVTNAGCCGVGRNNGQVTCLPYQAPCANRDEHIFWDAFHPSEAANIIVGRRSYRAGSPNDVYPMDISTLAAI >Dexi1B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:1B:24767727:24773974:-1 gene:Dexi1B01G0018610 transcript:Dexi1B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAGAGHRRAPPNPICGAAAAALVPAKVKAGLGKSRIRLYPAAAFGSGHGGCRAAGPSDGGGAMAEAKEWQGGISGAHRRGPAAHSEAESSSPSPTDTWARGDGQSGRACPFTWSLSPAVTPTAGRKQTIPMGAGASFPIGGQQFPSLEKITEGGENIRISYSASAMQGHRGAMTDAVSILLRAVVPDLDDHTSFFGVYDGHGGESVALFCAKQFHVELCNHQDYQNNLPAAIRSVFFRMDELLLQSDEWKESLRAGSKCLMQFLESGFCAPKKVTTPYIAPQKTGSTACVAIIRNHQIIVGNAGDSRCVVSRNGQAVVLSVDHKPMDQAERNRIQRAGGEVVRDKIHTAEGFRGRRVGIPRINGILTVSRAIGDFEFKNNKQMSPEQQVVTCEPSVRGLTINHDVEFLVVASDGIWKSMSSQGVVDLVHHYTRSGVDDRSICEQLCQRSLKSMDNSTVILVRFKPVCQLAAPVDMNAPLGALQEEEEEQEDEESAGEIRPA >Dexi1B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:1B:23646701:23647320:-1 gene:Dexi1B01G0017300 transcript:Dexi1B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMLIRLQGVSEKGHAKAMQVAAAVDGVESVTLSGKDKSLLRVVGEGVDCNHLTTRLRRKVGRADVVELHTLNGGGYYGGYGSSYSRSGSGLSRADATAGYSSSYPTTARGGYAPEYCGGGYGSHQQPPASYGYGYYSQQPAYGGAPTVVHHDQYYPSSTDPNGCCIM >Dexi3A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:3A:9020458:9023976:1 gene:Dexi3A01G0012420 transcript:Dexi3A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIDTPPPAPPTPPTPSAAAGRQTRAAESVRLEHQLVRVPLEALRSTVRSNHRLAEKEIAAVISSASAAPAESSAAAVDHLTSLVSRLHGLKRKMEEGARVEELQVQRCRARLDRLATACTGDDAEWEDMRLKRILVDYMLRMSYYDSATKLAEISGIQDLIDIDVFLDAKRVIDSLQNNEVAPALAWCAENKSRLKKSKSKLEFFLRLQEFVEFVKAKNCIQAIAYARKYLAPWGSIHMKELQRVTATLVFRSNTSCTPYKVRNIFSVLSNDLPKHHESDNSWSCPKEDPLSLEGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPRVLPNGYVYSEKALQEMAKKNDGKITCPRTGEVCEFSECVRAFIS >Dexi7B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:7B:13844487:13844720:1 gene:Dexi7B01G0006220 transcript:Dexi7B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPDAPPHQPPRELEIPLASSSPPAPLHQPLRELEIPPVSSSPRVPSAPAAPMEVPSRDGVPRQQRTSWDLSDEQL >Dexi6A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:6A:9381949:9382398:-1 gene:Dexi6A01G0008700 transcript:Dexi6A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKIATTTALCLLLMTCGVEALLCSVRSSTFIGWCKYNMSCVHHCVTEGRTGGYYKGIPFFKYCMCTLSAILVVAAMLVVTVVVAGLGEGEHNLGSQCLHGQRRQH >Dexi4A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:4A:6020450:6021445:1 gene:Dexi4A01G0008030 transcript:Dexi4A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQGEETNAMADLDGEVEHDFSPFIRQYKSGRVVRLLPADTVPAGTDTASTGVSSKDVIIDPISGLWARLYLPALPAGHQHNKLPVIVYYHGGAFVIGSAAHRPTHEYLNTLASDAGVLIVSPEYRLAPEHPLPTAHDDSWEAFKWVASHAAGEGPEPWLVEHGDMSRVFLAGVSAGGNIAHHMAVRAGEHINSLGVRVSGLLVIHAYFNAEESTTTGALREKSAAFWRFVCPGTPGLVDDPLCNPFSEAAGGSAARIAAGRVLVCVAGKDMLRDRGVWYYESLKGSGYRGEVELRESVGEGHVFHYTKPGCEQAQLLRARVLSFLRHE >Dexi6A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:6A:25343931:25346293:1 gene:Dexi6A01G0017510 transcript:Dexi6A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNITIPYPFGIGDEGCYREGFKLVWNMEQDPPMLYMNSAGYRVVHIQFREDQKSGAYWCLSDNSDCHDDPPRGYECRCQPGYKGNPYMPNGCQDIDECAQPDPPLCFGRCINTVGSYDCVCPYGTYGNPRLKDGCAPRKLKFSVSVIHRDIKTTNILLDDQFIAKVSDFGASRGIPIDQTAVTTTIQGTFGYLDPEYYQTSRLTEKSDVYSFGVILVELLTRRRPSSYISSEGFNLVSHFVLLVSEHRLCDILDSKITEAVKAEEADKVAAIAVMCLNPKGEDRPTMRQVETGPEALQSSAGNAGSNQTTEEHAFRLSHPSVEESNSNASAYNLSRRYSMEDEFWSSMSFPR >Dexi2A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:2A:28757016:28758131:1 gene:Dexi2A01G0016930 transcript:Dexi2A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHAFPEAPQKGGPRVSYACGVWHDSARALKPAYRDLAATSFRSTVRAVDFRTMPEEAREEINSWVAEATNNLINSIVGRGSVNSSTRLVLANAIYFKGSWAKPFHKSRTKEDKFHRLDGSVVDAQFMNSGCSRQYIGVHDGFKVLRMPYAAPPDLPRTVPPRYSMCVLLPDEHSGLQSLQDKVASSPGFLQDHMPESRVRIGEFRLPKFKLSFDTSARKALQDLGVQAAFSPGAELRDMLEDEGSQELLYLQDMLHKAVIEVNEEGTVAAAASAGLECAAARPRSPPPDFVADHPFAFFVVEEVSGGILFAGHVLDPTRP >Dexi5B01G0026860.1:cds pep primary_assembly:Fonio_CM05836:5B:28516569:28521064:1 gene:Dexi5B01G0026860 transcript:Dexi5B01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRLTYGSRRAAPGTSGQDAFFKSRQEYSLAHKTAKGWQYKGYLDEQFCQVEDLQDEASPNFAEEVITLFFKDSARLISNIEQALEKYPKDFNKWDAYMQQLKGSCSSIGASRMKSECMSFREFCGQGNVEGCMKSFQKVKREHGVLRQKLEAYFQLLRQAGPAGAATRPGM >Dexi1A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:1A:8888061:8892566:-1 gene:Dexi1A01G0010280 transcript:Dexi1A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGGDETAAAAAQQEEQLIFFPPPWRRRHDTTARGRAGWRKDLALTAPLGWEAGIEAAATESQDGSQSGAASTMTTKGMPLERRGRRGGADHTNCCGPLRARGAPTTRSGLDFEIRRYLLAVHTTLGVAVALKAGLLGSPMDMKKTAKRKTLQGAVQMKPQPKDGPKPAGFCLTRMALIVEPSA >Dexi3A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:3A:9076707:9079208:1 gene:Dexi3A01G0012490 transcript:Dexi3A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRNLFLALSLAIWIVGTCHADFTPADNYLINCGSMIDATIDQRVFQADNSGGAILTSSNSTAATTSPNLVAGFDSAMLYQSARIFSVASSYAFNLKSRGRHFVRLHFFPFKYQTYDLTTANFKVSTQDVVLLNNFTAPSSSSPVFREYSLNITRDMLILTFVPLVDGTQAFINAIEVISVPDDLITDSAQTVNPGQYLGLSMQPLQTSYRINVGGAKVTPDNDTLWRTWATDEGFFLNSTATKAVAFQGKLNYQKGLATQEDAPDSVYNTARQLLVQNNTSNMSNMTWQFNVDGRSSYLIRFHFCDIVGKAEYDLIFDVYVDGESASKDLDLSSASFGTLAAPYYMDIVLPSSDPSGKLSISIGPSSLNKAEPNGILNGLEIMKMNISTGSIVVVPPPSAPKKHLAVILGSVLGGVAAFIIAAVLCICCRRKKKPRTPPTSRPSSSWTPLNGLSFLTTGSRTTSRTTLTSATSGDTSYRIPFVVLQDATNHFDEQMVIGVGGFGKVYKAVMQDGSKLAVKRGNQKSHQGLREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGSDMPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLLAKVSDFGLSKVGPEFDQTHVSTAVKGSFGYLDPEYFRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPREMINLAEWAIKWQKRGELDQIVDQRIAGTVRPEALRKFGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAKRVVSSLELSTADESRTGVNYSDMSTSNAFSQLINAEGR >Dexi3A01G0028160.1:cds pep primary_assembly:Fonio_CM05836:3A:28600433:28601935:-1 gene:Dexi3A01G0028160 transcript:Dexi3A01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIPSEFGMDPLRMRHALEPGNITFVEKMDLRRAIEEANIPRTYISANCFAAYFCPNLCQMGTLLPPKEKVHVYGDGNVKVIFVDEDDVATYTIKSIDDPRALNKTIYIRPQENILTQNDVIAKWEKLSGKVLEKIYITADEFLASMKDTDFANQVGVTHYYHIFYEGCLTNFEIGEDEAEATMLYPEVKYTSMDEYMKIYL >Dexi3A01G0033080.1:cds pep primary_assembly:Fonio_CM05836:3A:37896604:37900160:1 gene:Dexi3A01G0033080 transcript:Dexi3A01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIRDEKIASKLAADDKKKIEDAIDAAISWLDANQLAEADEFEDKMKELESLCNPIIAKMYQGAGADMGGAAGMDEDAPAGSGGPGPKIEEVD >Dexi6B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:6B:1611824:1613624:-1 gene:Dexi6B01G0001890 transcript:Dexi6B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVVGPDENGLKKVIEYRFDDDGNKVKVTTTTRVRKLAKARLSRSAIERRQWPKFGDAVKEDAGSRLTMVSTEEILLERPRAPGSKSDEPAASGDPLAAASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTESFTDRPPTSDGPPAAGGATKGAYVPPTLRGGADRSGGDVMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >Dexi8A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:8A:5934198:5939164:-1 gene:Dexi8A01G0005980 transcript:Dexi8A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPTSAAVLFLTAVAALISMALQLSAAAAPPRPPAPPIGLDGCNTTCGDVSVPYPFGFGHSGNTTCYWPGLNLTCDTSHGGPPRLLLGDGTLRVTSINVSHYVRDPVVRVVRAGSLINSTAGSGWNAPFGRGFTEHGYMLSFENELVGATSLCAKKFNSGGDFFIDMGDVEDGPSGDYCSGGSSGCCRYQITMPAPPSEVRALRLHSESDTVEENQLPVNVFVAENGWIDNLSVRADEVSEVPFVLKWSVKHGLPPGPKLDDSSECTNEVRRMLCRSENSICWNANPGPGYTCQCEVGYDGNPYLSGDGGCNGYQTPKYNFGSHDINECHSSEENGCFGECINAIGSMYCRCPHGTYGNPGVKGGCAKINPTTDDEPLPTVAPAPIALGNDCNDTCGHVRVPYPFGFGPSHCSLPGFNPTCDTSHGPTPRLLLDGNGTLQVVGISLSGSTLRVVHHTRITPFDVTRNYSRVDVSMAAVNFQLPDISESYMLSARNEFVFFGNGVEATLYGHKYRNGSGGDSSNITGCVSSFSSDPFKEYRNCLGRDGCCHGSIFPGSTPKRMEFRGLVNTDLDNDMPLAFISEQGLTAHWWQTTLNSTIDLNVWDRRYFSSPLVLQWAVKQGFPPSAGISSGQCPSDVARQLCKSKLGSCHQENGGYTCYCEKGYQGNPYIIDGCKDINECKITPQICFGVCHNVPGKYKCRCKLGTFGNARKLNGCVSLSVVLHKFIKKNKIVLAAASGPVLLLLGLGITADIAERMIIPLDELAKATNNFDKSRELGGGGHGPRSLSWVNRLRIATEIATSLAYLHSEVSIPIVHRDIKSTNILLDDTLTAKVSDFGASSFGVILVELLTRKKPFLYLSPEGDGLVYQFIDLHAEGNLVQIIDPQVIEEGGEEVQEVATLAASCINLLRSDERPTMRQVEHTLEGLRGSTTQKNNGMDIKDLENASADCSSSTKQGQGIEESSRRYSFEQEMIMSSRYPR >Dexi2A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:2A:4956785:4957670:1 gene:Dexi2A01G0005210 transcript:Dexi2A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVAAVATEVPATEVESEAPAAVEAEAKPAAKGKKGAAAKEKKAPKEKKAAKEKKPAAAKKPAAHPPYAEMITEAIAALKERTGSSSVAIGKYVEEKHGGKLPTNFRKQLTVQLKKLAAAGKLTRVKNSFKLPADAKPKAAKPAAAAKPKAAKPAAKAPKPAAKPKASPKAKAKVAAKPKAASPKPKAKAKAVAAAPAAAAAPRGRGRPPKVAKTSAKASPAKKASPAKEKKAAATPKKVGRPKKTAAASPARKGAARKAKK >Dexi5B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:5B:4261202:4261537:1 gene:Dexi5B01G0006240 transcript:Dexi5B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDTPPSGGGGGGTCALRQQKTDWTPEEREAANEFLRAALDEYDVYEAMSEDEIQDEYRRAGKLHKAAKKHPPPPGYFPLLEQDFKLIEDDEDLEY >Dexi3A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:3A:10065839:10067207:1 gene:Dexi3A01G0013810 transcript:Dexi3A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCRGHENSISTSSNSSTSTSCGGLRLFGVQLQVGSYPPLKKCLSMDCLSPAIYYGAAVAASSLSPSVSSSSSSLVSIEENAERVSSGYISDGLMGRVQERKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDAVECAKKAAMPRTASVSDLQFPSLLPGSVDARTKEAVVLPPCLNLTGNTSPYAGDGGGGALNLHYPSSLNQMAKPQMQLQMPDLELKMSTSRLSDQPSPSRSISFFGTVRVT >Dexi5B01G0034420.1:cds pep primary_assembly:Fonio_CM05836:5B:34660791:34662034:1 gene:Dexi5B01G0034420 transcript:Dexi5B01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHGMAVRARAPAAMAPTAAGSRHRQCRVSAATVAVATPTARARVTHSMPPEKAEVFRSLEGWASRSLLPLLKPVEDCWQPTDLLPDSSSETFEHEVRELRARAAGLPDEYLVVLVGDMVTEEALPTYQTMINTLDGVRDETGASASPWALWTRTWTAEENRHGDVLAKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLAKAHGDDVLARTCGTIAADEKRHEAAYGRIVEQLLGLDPEGAMVAIADMMRKRITMPAHLMHDGRDMGLFEHFAAVAQRLGVYTARDYADIVEFLVRRWRLETLESGLSGEGRRARDFVCGLAPRMRRAAERAEDRAKKDEPRKVKFSWIFDREVLV >Dexi9A01G0031550.1:cds pep primary_assembly:Fonio_CM05836:9A:36538178:36539212:1 gene:Dexi9A01G0031550 transcript:Dexi9A01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHIMQTCTQMDGRAIWDKKTTEVFLDLCIAEKNKLNFNKKGFTKVGWHNLYREFRKQTGRSYGRKQIQNKFNTLKRQYKSWRKLKEKSGTGWNQKTGTIDCDPELRRERIAENESNKQFHVNALPYNNELSILFSSMDSKDGKLSCVGGIGDKTPSSGSEDNLDSMSPENVARSEDNAGRSSVGPVSQRPGKEHDVDGAPPKKTKSTECYIEHRSESLIKSIRNERIAMTREQEEVIELLQLVEEDGVPNGSALYFIATELFRSPARRASYRSITAAENRIAWLKWTWENVKT >Dexi8A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:8A:6993893:6994266:1 gene:Dexi8A01G0006730 transcript:Dexi8A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKPLACILKHTPVLEKLILQVLSKRRKHDVEMKGRYISGQKSAEISQHLEIVEVKCKEVGRMVVKVLKFFGTFGI >DexiUA01G0024690.1:cds pep primary_assembly:Fonio_CM05836:UA:51223866:51234363:1 gene:DexiUA01G0024690 transcript:DexiUA01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLSPHVSILSKARTNLGEPAVRPTRNSEPNREPRANRSDRNGARTRTIPHPLPISTDQPTGNQKRTMKERERRSSGRTSVETREMSANLLPWLLFRSDQAGTPPFSSLLSMRCPSSVQLRGKAHARAAHSSCSHHALLPLPLLHRPARSEQPSTAALLPLHPFHMVRRAARRTAYRQPQLHKTLEPADPSWQFDHGAKQLEALEVFQRPSVRRLFTFLIPLGSTAPYGFSLLDAKCREHNVAPPWRRCVLLLEGRIRAEGTHKRSAKLPSGEETMASGMERWAR >Dexi9A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:9A:5019600:5026300:1 gene:Dexi9A01G0008500 transcript:Dexi9A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAPRRDVHCGAPPRPYATTPPWARTMALCYASRLATQPRPIRQCRPGSAAATSWCGGGCAYGGVRGGAASEHALYVSGSALEGGCLARADPARPGRVGGWPCLLKTLERYQRYIYSSADAAVPSSDEMQNNYQEYVKLKTRVEVLQHSQRNLLGEDLAPLSTSELDQLEIQVDKTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEVEAEAPPPSQLPWQGGSSDAMLSDGPTQPEHFFQALESNPSLHPTFHTMDMNQQPVPTPGGCYPPAWMA >Dexi5A01G0023050.1:cds pep primary_assembly:Fonio_CM05836:5A:27139659:27140048:-1 gene:Dexi5A01G0023050 transcript:Dexi5A01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPWLLDSIRFCSGGTVVVAASSSSSAAAILLLTLLPRGDDGDDDDDDVDGEDEKDGLGDTARRLLDRSLSRLWPRRRNPPTITGSCQSRSTPTREQVEWFWTRAWARGAGGARGKKGGGGS >Dexi9A01G0048990.1:cds pep primary_assembly:Fonio_CM05836:9A:51716177:51719246:-1 gene:Dexi9A01G0048990 transcript:Dexi9A01G0048990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEEQGRTLFGVSLTDRPRWQQFFICASGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGFVYLALIRLQGFTTKQMVNPWRMYVRLSAVLMGSHGLTKGSLGFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFQEYVSAVMLVIGLILFTLADAQTSPNFSMVGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELMTAWTSCSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHATGLLLITMGIVIKLLPENKEGAPRRHQAKKVERSDEDDKQRGIRELAEDESTTLL >Dexi5B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:5B:3712088:3717249:-1 gene:Dexi5B01G0005510 transcript:Dexi5B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGTVVVQPAVGGAAKIRRELARLVKDAGPKDLLFFLYTRHGTRVRPTAGCHGGRRNLPREKTQFRPICTGTLHRLRLRLRLAACGLRADPTSSPPPSPSGPVAAPPALRRRGRRGGSILWKLHPSRAPPRPMDPPPQQQQGQSPRAPSPPPAPSRRYGVHFSASSFIQAPLTALLEYSGILRPDPGGGPQQEGAGAGPGEVSIRIVAPGEAGTSSERAEEVIVEEEEEEGHAARARAAEPAPAASGGEGGRESSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKNGDLIRPLVMLPPKEIPPFWHAIFIILVNDTMVRQTSMIVKCLLLMYYKNSRGRSYRRQVIAAGDMCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGRSACEQSGPDVVLKVYKVPSRLSRDALST >Dexi1B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:1B:2370699:2370970:1 gene:Dexi1B01G0002850 transcript:Dexi1B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAACLENLTSLNLLQLRFCHNIKCILLNSIGSNTLKCLVVLVCRELSSIGGLHALASIQHVQISDCPKKKELRAEEEELLKFLS >DexiUA01G0002300.1:cds pep primary_assembly:Fonio_CM05836:UA:5206511:5208462:-1 gene:DexiUA01G0002300 transcript:DexiUA01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGVAVAPAPAAGSSAAAGKKAKRFEIKKWNAVSLWAWGIECQANQASATSEECTVSSSVPEGKGVSSSASVEVASMSAIAAAYAVYAKDIPEVITGDAFLEKYGDHNDAVTQVDPKRSYCVKAPTRHPIYENFRVEV >Dexi2A01G0030550.1:cds pep primary_assembly:Fonio_CM05836:2A:41460866:41461163:1 gene:Dexi2A01G0030550 transcript:Dexi2A01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGYVQPVPPAGYPGNFNGALMNPPPPQAVSTQTQSRGDKTFWEGCCAALCCCCILDMCC >Dexi3B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:3B:11263327:11264276:1 gene:Dexi3B01G0015510 transcript:Dexi3B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQVLRLLASRRRAVATTITAPAAPASSSEAADSDAEEEDEGPFFDLDFSASSVRASSSSAGSASSGSDSDDAFTDLDFIISLHRSRSASPSPYDALSFFAAGLPPPPPPLPRLKFCASEPNAKATSALQTQYGGKRSGGLRTLRFGARKAAFYGGRPSFARSSSSARSLRLFMESPADDEEVTEEPRRTPSGDVIRRYLTKISRRLRGVRPRAAGEARGSRRLRKSRSASAAVSASSRRDDSLVEKQDGIASAIAHCKESLHRASLSECDSPLLRSRSDPGKPLHG >Dexi9A01G0030630.1:cds pep primary_assembly:Fonio_CM05836:9A:35629161:35629859:-1 gene:Dexi9A01G0030630 transcript:Dexi9A01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKVLGVWTSPFVIRVRIVLNLKGLAYEYVEEDLGNKSALLLGSNPVNKTVPVLLHDDRPINESQIIIQYIDEVWAGPGTPAVLPSDPYERAAARFWAAYVDDKVGSAWHGMLFRCRNEEERADAVARAGEALQTLEGAFEECAKGKPFFGGDGVGLVDVVLGGYLGWFGAIDRIIGRKLIDPARTPLLAAWEERFRAADVAKGVVPDDVDKVLAFLETLLAIGSAK >Dexi3B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:3B:7382758:7383285:-1 gene:Dexi3B01G0010540 transcript:Dexi3B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEVEMSWNVLISPSQLDRKGLLLRKAIIVRLLEDVTNRRASKEHGYYVAVNKLKAISEGKVRELTGDVLFPVSFTCITQKPLKGEIMVGYVDRILKHGVFLKSGPVESIFLAEKSMSDYKYIAGENPVFMNDHSKLEKGTAVRFKVLGFRWMEADREFQLLATIACDFLGPL >Dexi3A01G0025850.1:cds pep primary_assembly:Fonio_CM05836:3A:21805169:21807138:-1 gene:Dexi3A01G0025850 transcript:Dexi3A01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi3A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:3A:9591981:9592250:1 gene:Dexi3A01G0013190 transcript:Dexi3A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASTSASVCSAAYHHLSAAADSDGGAQVASEQKLARRPRRKKRGGGCAGLRRRCYAVLKQQRTRLYILRRCVTMLLCWHEHDDLSD >Dexi5A01G0010710.1:cds pep primary_assembly:Fonio_CM05836:5A:8038898:8040142:1 gene:Dexi5A01G0010710 transcript:Dexi5A01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMEAGERRKKKVIIDTDPGIDDAMAILVALRSPELEVIGLTTTFGNVHTPVATRNALHLLEAVGRTDIPVAEGSHVTLKKDTKLRIASSCHGSDGLGNQDSSPPTTTKPVDQPAAAFLVEQANLYPGQVTVVALGPLTNLALALELDPSFPKKIGQIIVLGGAYSVNGNVNPAADCS >Dexi1A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:1A:1278576:1283128:-1 gene:Dexi1A01G0001920 transcript:Dexi1A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHSLLARPNTAPLAFSIPSAPSRRPKPPPAPIACRAASRWADRLFADFHLLPTAAADPPAAASPSSSSSSPFVPVFPDAADRALPLPVDLYKILGAEPHFLGDGIRRAFEARVAKPPQYGYSTETLVGRRQMLQLAHDTLTNQSSRTQYDRALSEDRDATLTMDVAWDKVTGVLCVLEEAGEAQLVLATGEQLLQDRPPKRFKQDVVLAMALAYVDLSRDAMSANPPDVIRCCEVLERALILLQEDGASNLAPHLLSQIDETLEEITPRCVLELLALPIDEKHKNKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFMRMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIISKRPQFILMADDLFEQLQKFNVGSQYPYENEMNLALEQALCSLLVGDISNCRMWLRIDNESSPYRDPQIVEFVVNNSSINEEDDLLPGLCKLLETWLVSEVFPRSRDTRGMEFRLGDYYDDPKVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSSALQAFSKVFPLIEQLDRSDKDTPSDDLQKSLEKLAQQNVTGDDIHDSRNTALKIVSAGALFALFAVIGIKCLPRKKSLPAVKSEYGSVAVTDSIGGPVVDEDPLEVPRMDAKLAEDIVRKWQSIKSKALGPEHSVAALQKVLDGNMLKVWTDRATEIERHGWFWEYALSDVSIDSVTVSADGRRATVEATIEEVGQLTDAADPKNDDSYNTKYTTRYEMAYSKSGGGWRITDGAVLKS >Dexi7B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:7B:4014108:4016214:-1 gene:Dexi7B01G0002350 transcript:Dexi7B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRADGPATVLAIGTATPPNCVYQEDYADYYFRVTKSEHLTDLKEKFKRICRKSMIKKRYMHLTEDILLANPNMASYSEPSLNVRQEILVEEVPKLGAAAAEKALKEWGLPRSQITHIIFCTTSGVEMPGADSRVIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEDHLDSLVGQVLFGDGASSVIVGADPNERVERPLFQMVSAAETILPNSDGAIEGHLKEVGLTFHLQERVPDLISANIERLLEESFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRATRHVLSEYGNMSSACVLFILDEMRKSSVKDGRATTGDGMDWGVLFGFGPGLTVETIVLHSVPITYAA >Dexi1B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:1B:1842406:1842624:1 gene:Dexi1B01G0002240 transcript:Dexi1B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDDLKTSWPELVGSPAHYAVDMIHKDRPDVQIPVLPVGSQVPPGFDDKRVRVFVHQDYNLKVALMPVVG >Dexi6B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:6B:1848789:1849513:-1 gene:Dexi6B01G0002140 transcript:Dexi6B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTEPGTCAIVACTVCVEAVHRLEHDKLHGEGTFKWSAAPSAPDRLFIACILDATWTPAKGANVGEVLTKIQQMGGVLATSTAPYALQLPLRSWRSHTWHDGSGLSPEHVAALLDSHGPCVGVLWVCPWYYHFDARGHEYDALVYRGCGRGEDDREQSKRLYPGLVGSHAVVCFAYRFCGGGDEMHVLVRDNHDAAANGPQRWIDVEEIDTISVERA >Dexi4A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:4A:21027334:21027950:1 gene:Dexi4A01G0017300 transcript:Dexi4A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSLRGAILQSPLLSPRLAVRRAPTARRRAVPAKISCIGWDPEGILGAPQGGHIARLEFRRRLERDSEAREAFERQVREEKERRRSEREARVIPDTDDGLVEFFLDTDAREIEVEIGYGQG >Dexi4A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:4A:24803586:24803966:-1 gene:Dexi4A01G0021120 transcript:Dexi4A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIDADDVCQRADSLRTDIVAKIVGRLGLEKTMFEASNPNTSEWFVRRYGPRVNLFVDHSDVMNLERLRGFNMRRGNPSSKFASPFFLM >Dexi3A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:3A:5309794:5312948:-1 gene:Dexi3A01G0007660 transcript:Dexi3A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQTAVAATGVWKTIKPFVNGGASGMLATCVIQPIDMVKVRIQLGEGSAGQVTKNMLANEGLRSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEISTVVGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAVKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >Dexi5B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:5B:21447517:21455679:-1 gene:Dexi5B01G0019130 transcript:Dexi5B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYSIRGVDVEFPFDAYDCQVTYMDRVIESLQEGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLRGGRGGGGGGGGGGGGRGSQLPHYGSQPSGSQQSEEPSSQQQHSQYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHGEVSKLRGRAQNNACHFLCKKRQCRHNNLVSEFMKKHREFGNEPFDIEDLVNIVKPNGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNAIPWDNAVLIFDEAHNLESICADAASFDLLPSNLTACVAEAQECIKLCSAKRSIENSADKQFDPENYAILKACIFYHTLTTILSFQFHVNESQQTSGDALKVLGKSSRALSWWCFNPGLAMQEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISTDQIWVGVVPVGPSGYALNSSYRTRETIQYKQEMGNAIVNFARIVPDGLLVFFPSYSMMDKCVEFWKNRDYAAKLRDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQGTPSNKSTKMLTGEEWYIQQAARAVNQAVGRVIRHRHDYGAIIYLDERFARSNYQSQMSYWLRPYIKCYSKYGEVVQGLTRFFRDKATSDPLKLKQTDCNDCRAPVASKCMPQENLSDLVAGAQNECPQVTLSVNSTTRRSNFMKLAQITPANRSTLATKHNSTSTSQLFSEDQLSQDTKVVDMTDVAAHGNLKEHTFKPLGLKKAKLMDRSKDAGGSGCISSKLPQNIESRALARYQGEGCTPQSKRSTTEKACGKNEAICEKSEGQECNSGTAFLKLAREKLSGAEYGEFVGFMKALKLKTMHIKDSLEAIAKLFSSPGRLPLLEG >Dexi3B01G0025500.1:cds pep primary_assembly:Fonio_CM05836:3B:20226152:20226818:1 gene:Dexi3B01G0025500 transcript:Dexi3B01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLALAHSSLQLQPRGTLFVSPPRRLSLNHPPRRAHCRLLACTPQRSLLTPRCFAFAARASASAAEPAGGEGYGVSAAGRKGAGYRNRFLDLARLGAVVEGAAEAFFRSEIRRRLAVTAALIVLSRVGYFIPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVR >Dexi5A01G0034360.1:cds pep primary_assembly:Fonio_CM05836:5A:36432751:36433264:-1 gene:Dexi5A01G0034360 transcript:Dexi5A01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVDVVVEFKDSATEGCADGGEPTTKRNLKRLRKHTMDSNAADTKEDVVDVVVEFKDSATYFPMRV >Dexi4B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:4B:22796464:22798057:-1 gene:Dexi4B01G0020700 transcript:Dexi4B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLGASSLKKRRAGEEGEEIEAAAAMAAEEEDRISELPEDLRLRILTLLPLRSAIRTGALSTRWRALWERRWPAPSSMDLHIRPGDDPEELLRSLERRGERRIDRFSLTIHPNKRPLQHHRVRDPQRFLDYAAACAVEDLHIDAAGHFVSMLSTFIFPPGCSHLARVFIRHTGRVSFRSFPCSDASRFPALEVIHLYLVRSVDINELLWACPRLQTLDLRYCDVLGFQGVINLESSGAHLRSLTVAECNRITRLDASRATGLRSFCLSSAQLPTYNIPATASLDDLYLSLRGQNCEPIKHWIQALPNLANLTVLTICSVALRLVMLEMDIANLAHMFVFLRCCWCPQLMRLFVQLPSSSHDIFVDNSLEEAEEDEPNEVLFKMKSYLRTMLQTKREMSQMKSYLMDMRPRKNYY >Dexi9B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:9B:14135462:14136320:-1 gene:Dexi9B01G0019550 transcript:Dexi9B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPLPKRLRRMASGPSSPTSGSPIPDDVIFFQILVRLPVKCLLRFQTVCKPWRATLTSTHFAHRHLEHSRTRPSMVMMPRRYLRHHRMFNLCGVGFYGFQPVATRKLQFFAKGQRVAFGFDPWSGKYKVARHFVRSGSETPQADGEKCSAGHEILTLGDGEEVWKWKSTMDPPYAINARTPICMRGSFYWSAVSSVTGGDGHNKGFPK >Dexi9B01G0039800.1:cds pep primary_assembly:Fonio_CM05836:9B:40487982:40490302:-1 gene:Dexi9B01G0039800 transcript:Dexi9B01G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHRLPNPDAPQSRRAFPNPPPRHSGLHPDTCPRPTSRGVWRRTRRPDACSPVPPGGDTAHGEHPIRLTALLHLLHTRRSDCTWIVDGTSAAIASGDPASPMAESVQLDAPKLEAPKTPISLMQLRLPPTRSPSTTAANPARSAGGVPSRFTTASGIPVLASSLMPYSFTRKLVHKSFTRLYYNDLMNNCSSINDDAKEKLQLFSHLTREGSYQSHCMENVASDKFMKK >Dexi3B01G0035100.1:cds pep primary_assembly:Fonio_CM05836:3B:37721949:37722552:1 gene:Dexi3B01G0035100 transcript:Dexi3B01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVLSTDISGSSYLRTYVHCGKQKGGIALLLLNLHQSMGFMVSVRNDLNVNLAEGQGIIRDNVFVHGLKRTVSWVGSKASDGYSKREEYHLSAKDGNPYARTMLLNGVPLELTEDGDIPPLYPVEVSVNSPIYVAPLTIAFVVFPDFEAEVCSR >Dexi3A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:3A:11738838:11739338:1 gene:Dexi3A01G0015770 transcript:Dexi3A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIRFTRGVRAYWRRRKYHRLEATDGGKARVGATQQLGATARRGGGAMRRLRVRVVLAAPRRALARARDAYVGAMLALAKRASALALPGGADGLWAKRVPRRKQLPASGGVRTTEFEQRLIFEIYKSIVASKELTTMLHSSAAHLPAGTATPMPVRHLLDM >Dexi4B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:4B:2015187:2017154:-1 gene:Dexi4B01G0003010 transcript:Dexi4B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAPTQEVKLFGRWSFEDVQVNDISLADYLAVSATKHSIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVRIIKHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNRYICCFIPVFF >Dexi9B01G0032930.1:cds pep primary_assembly:Fonio_CM05836:9B:35101052:35101348:1 gene:Dexi9B01G0032930 transcript:Dexi9B01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRGWSRLLALSAFVCLLAMLHPPALVNGLRREELVVGHEPAPAPAEAPSARGGANAAGVDAAGKPFVAPAATTVGAVQMSKWRVRRGSDPIHNRS >Dexi4A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:4A:3022452:3023416:-1 gene:Dexi4A01G0004230 transcript:Dexi4A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSSRTTQTASMSSLEATRGTHTFKIAGYSLHRSLVVNVFVTSTRWSSLFTQATPLPFSTKSGSGGDGALLIGSRPAARAKKRTEFEASAFLRDDCLNLSENLGKLLEEKKGADVAFKVGGEVFAAHKMMPASRSPVFDAELFGSMAESSTSSGQRCIPIEDTHADVFRALLHFIYTDTMPAVDMGELDDDDGKEMTRHLLVAADGYGMERLKVMCKAILCESIDVDNVATLLALADQHHCEALGNACAVFIAASSNRMGNVVASQGPRVRAPQKGLP >Dexi4B01G0021610.1:cds pep primary_assembly:Fonio_CM05836:4B:23561464:23562611:-1 gene:Dexi4B01G0021610 transcript:Dexi4B01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKSDRANKAAAAGSGSGDRSWREEAAAAGSLRQVDLDRGTNGWASPPGDLFHLRARGYFTGGGGKRGKAPSAAEWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFIHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMSAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQEHAGGKVGRSMSYPDRESGGEG >Dexi6A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:6A:3652362:3653750:1 gene:Dexi6A01G0003990 transcript:Dexi6A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQTLRPAPNGIILISLLPVDVLPFAAGEEPLRPELLRRVPHLAVEPDVADGEVDRHAGGDAVAVQRGVLVNGVREHVVPRRVSPERLENHRLEVLLPHLAGVVVSDDAGDLVAEAGLHRGVLDEVGEDPLQRGGGGVGAGGEELGAEADDLAVGELPPAVLRDGHLHQRIDVAEAVPVDAGAGDLPPGFNQRHEELLLPPPQRDELLPPSPEHELGDRREEGEDLEAEEIREELPLRVLDLPHPLVADTVAEAHVHEEAEHGVLERLHDGDGGAAVSIAGGGGADAGGEDVEDPPPCGGEGAESRGVEDPRGEVAAEGAPRGAVEELTLRPPEVRRALEGEFTGGREAKAAPRVTRARWAAARPATKMVGRDFPSGAKVKTGPWSRAARRRMGSTSKSRRARKRSGPTTGSGDGPGGRGGTAESSPPAWALLRVRVRRRREQRRQRSVVRE >Dexi9B01G0044260.1:cds pep primary_assembly:Fonio_CM05836:9B:44070934:44077035:-1 gene:Dexi9B01G0044260 transcript:Dexi9B01G0044260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRALLLRRFHHHRLRQLSTTPNPPVPPLRPSTSASASLPIAANSPPPHHLAPRRGAARRLAPLLAFSTLSLAAAGTVYLTTDNLEETLQRSRDSAGRVVERMQHTLTAARMLWKSLLSVLSSANQEVRSGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDSVVRGATQAEAARALAHLVADPWVAPVVLGRPHAVPCLLKFIFSYQPTRGKKGWLALVLSEILGDSKAQNSKGTTQPEPERVKNPVDYHNASTATQVLNQLGSAVVKLACAQSGYEPGSDDKGKVPLADFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGALSLLRHILLGDDYEKLAAIEAYDASRVREVQDKNVSASNTSTTDTSADPSSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEDCASGRIPCNDIKLKSYCRLTLLNIFCSENTRRGSDEYPGSESEYKRNCPQFGDALFLLNPELPLEVHLDNSGFRISSVPIDNCKDVGGIEDSNETGSSEDGADAALKTTPLMDVVFVHGLRGGPFNSWRIADNKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARFFTVKYKVCILFNSLCLAFLLTLTDLCSYLFQIIYADKFDSMDWSQLAPSGGELNAAEENGGCWDCMGGLVVKQLLYQAKLNNYDNFLNNTVGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHNKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGFGELVVLPSTDHINSCKPVNKNDPSYAETLAFMEKNFKLRLKSVES >Dexi4B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:4B:2227569:2235294:1 gene:Dexi4B01G0003260 transcript:Dexi4B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSAPCRSRISNPFAGFPRAQFATYAQRSNQCCFLLVFAAAAQVGDQGAPARPHWISRKFAQRSERVKSVDLHPTEPWILSSLYSGSVFIWGYQAQAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSFGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQVLLCSLLLKFEKKSIRPTFSAERIFGGVLLAMCSSDFICFYDWADCRLIRRIGVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLDAGKPVDEEGVEDAFELLHEINERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLDVAKAIAIEAQSESKWKQLGELAMSTGKLEMAEECLRQATDLSGLLLLYSSLGDAEGIEKLASLSKGHGKNNVAFLCLFMLGKLEDCIQLLVEKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASQRGHYPPANEFLNHAERSYTTLVEAFKRMQVIEDEEPVDQA >Dexi3B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:3B:6761961:6762165:1 gene:Dexi3B01G0009770 transcript:Dexi3B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSAELRTTKQAGRPKGKLPAGTKRGSAELQCRDTWLACWTRVPSCLYSSDHA >Dexi7A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:7A:26849010:26853240:1 gene:Dexi7A01G0017020 transcript:Dexi7A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDPIFTLPDLLSVFAQGKVSADRVAKYLEEEELNCDAIIEVPRNDTDYDVEIDHGIFSWELETTSPTLTDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPKIDGIVKVSGSKAYVPQTAWILSGNIRENILFGNPYDKEKYEKIIEACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSLYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPAADLILVMQDGKIVQKGKFDELLQQNIGFEAIVGAHSQALESVMNAENSSRVLSDNQKSADSEDELDTENEMDDQLQGITKQESAHDVSQDISEKGRLTQEEEREKGGIGKKVYWAYLRAVHGIAGLAVTYALNLNSQLASIIWNICNTENKMISVERIMQYSRIPSEAPLIVDHYRPPNIWPEAGTINIRSLEVCVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTFIQALFRIVEPRQGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDHRVWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIRKEFGNFTVLTIAHRIHTVIDSDLILVFSEGKPCDMKYQFPTCYQTSCMRSDELS >Dexi4B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:4B:19376726:19377127:1 gene:Dexi4B01G0017270 transcript:Dexi4B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKQKTTNSAVALGSLQLSRDCSRSPCVARSPTTVWILPATLRSRSYRIRTMSGGRDVGKVSNSSSSSSGWAVSACERRVSMCGGCLGRHLYSGYRTSIMKRTWISIVVSGFLQ >Dexi5A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:5A:20930150:20934085:1 gene:Dexi5A01G0017640 transcript:Dexi5A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARLRLALLLSVCLCAARARPSLDPTIRLPSERAAAAAADETDDAVGTRWAVLIAGSNGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPKGGDVYAGVPKDYTGREVNVNNFFAVLLGNKTAVTGGSGKVVNSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVNVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNAEESSWGTYCPGEIPSPPPEYDTCLGDLYSVAWMEDSDFHNLRTESLKQQYNLVKDRTSVHNTFTYGSHVMQYGSLNLNTQQLFSYIGTNPANDNNKFVEGNALPTFTRAVHQRDADLVYFWQKYRKLAESSPGKDDARKELLEVMAHRSHVDNSVELIGSLLFGSEEGARVLKAVRAAGEPLVDDWSCLKSMVRAFEAQCGSLAQYGMKHMRSFANICNAGILPETVSKVAAQACTSIPSNPWSSIHKGFSA >Dexi1B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:1B:1940365:1944507:-1 gene:Dexi1B01G0002370 transcript:Dexi1B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSAAAKASAAFAHKKELAAATASATTQHRAGSSRRTKACRVRAVASPARAPSAPASTGSVKTAMTMTEKILARASERAGLEPGENVWVDVDVLMTHDVCGPGTIGIFKKEFGEDAKVWNREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVLGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVEYEPVYSDAQARFFSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM >DexiUA01G0009730.1:cds pep primary_assembly:Fonio_CM05836:UA:19132293:19137251:1 gene:DexiUA01G0009730 transcript:DexiUA01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCPVPSHQVARFFARYAVAEIRTHDLPLAPESKLFPISMAQTGGEIAAKRPKPSDDGDGDGIGEDRLSALPDDVLVLILLRLDGVAAAARTSILSRRWRRVWALLPELRFDLVPDGHRIREIHDAPEAPELRSISITTEGAGPDSASAWLPVAARRLIGGLAYSNMVPGNDDEEEVVEEGEAGARGEVQLPCFEKDTVIVLDLGLLGLALPSAGVFARITKLYLSRVWFRGPCDLGNVRLKVSESRGVRNLSIHSESFLRIKLENLHLLRQLTIVAPKLPKLCMDFNFIDDDPTEPVANISAPQLQQQQGQGGVIAGEGDDVNGSPGVAAAGRWRTSPVCLSSATITTTAAPAASEDDEEELRQLPTVVQLASTCH >Dexi9B01G0030550.1:cds pep primary_assembly:Fonio_CM05836:9B:33047277:33047510:-1 gene:Dexi9B01G0030550 transcript:Dexi9B01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLLSSIPLRNPSIAASARRCDGVASQIERREVLGAQIKGGAAGRGCSQLQRSSEREGAMAAAVVVAQQHAFPTA >DexiUA01G0017970.1:cds pep primary_assembly:Fonio_CM05836:UA:38223485:38225018:-1 gene:DexiUA01G0017970 transcript:DexiUA01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGHGWWSVNNLRPPFEQQHHPSLFMPSTTTTAAAPSSSSPVHSFSSLLLSNHYPLPTTSTSPWQHDTSSNHGQQGLSQQDSWSQLIQVGLATNGEERYKGQMLFPTSICSEAGGSGSYLYSAATASHGSSSSDEIGQLPWGNVNQHHSKALQQKAASSPRSSSITSTNSLGSNMLEFSNNNSSSPRESISTASGSAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKVYCICAKS >Dexi3B01G0025840.1:cds pep primary_assembly:Fonio_CM05836:3B:20768952:20769261:1 gene:Dexi3B01G0025840 transcript:Dexi3B01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRACVVVCRAGVSARSHLLEFVRQLEEVVRVVIDLHAWRPRRPGELVAHGDVERVILQIVRNGRHGG >Dexi7A01G0023860.1:cds pep primary_assembly:Fonio_CM05836:7A:31723017:31728696:-1 gene:Dexi7A01G0023860 transcript:Dexi7A01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEGVGESCWPPADAAAAAGRGASSPGGGGGYTDIRKEIFNRLMAKGIEEVVSDPLAFRDQLHRHFERLPASYSIDLDVEKAEDVLLHRRILDECADPDKRPVFHVRFITVSTTPLLCSALLCSSYVSLSINSGAATACVIFCVEGNSQDKSQGPSTKENGNSGGSLASTLRDAEFRNSEPCQRLMEDLNLERVTDDSEASSARKDEGILRIHEIIFSTVDQKKLLARLSALLSELGLNIREAHVFSTTDGFCLDVFVVDGWETEETDGLLLKLKEIVAQNHALFSNPTNSAASEKIQELQEKIGDSNCDRSSLHIREKIASGSSGDLFRGSYQGRDVAIKFLRAEHVDDSSKVEFLQEIIILKSVNHDNVVRFYGACTKERKYVIVTDHILILLLVVPLSEYMAGGNLYDFLHKQKNTLEITMVIRIAIDISKGMDYLHQNNIVHRDLKTANLLLGSDHVVKIADFGVSRNPSQEGDMTAETGTYRWMAPEIPYENMTPLQAALGVRQGMRLEIPSGVHPRLSQLIQQCWDENPNMRPSFSEITAELEDILRQVQAPKGTNRHSKAKVLKKSQTDR >Dexi9B01G0048910.1:cds pep primary_assembly:Fonio_CM05836:9B:47780526:47780975:1 gene:Dexi9B01G0048910 transcript:Dexi9B01G0048910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRWSSRSFTTRRAISAARRRPSSAAAAAASSSAAEARKARRAFSPSSTRRSDSSTSTRLPCSSIFRAASARRRSASSARTSRSTHASTSSAATSSASSTSSPWLSESEDGWCWNWKERELSASEEQRSSTSEEEQRWSSAWCCLA >Dexi9A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:9A:1493268:1493941:1 gene:Dexi9A01G0002770 transcript:Dexi9A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPALRSSRCRRSSREAAEGESASRYVQCNRQAYGAAEKNLPVGSSGGSAAPPGAPRSPAATRRPCPDIRARAVTGWPLIRWLF >Dexi1A01G0023500.1:cds pep primary_assembly:Fonio_CM05836:1A:30153507:30155124:-1 gene:Dexi1A01G0023500 transcript:Dexi1A01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNQKTFRPKKNAPSGNKCGMQLSCMLITYQLLLRNCRFLQPGEHLVRHFDGVLHTKYMSNNVSRTQFKPLILNSYFRFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDNKSIFPQKIGTQFPQNFKDVVKTIFKRLFRVYAHIYHSHFQKTVNLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLTESIVSVC >Dexi5B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:5B:1591045:1592775:1 gene:Dexi5B01G0002460 transcript:Dexi5B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSHLLLLCLCLALVSGLATASPSPRDLRRFSAGDIAAVEATLPRHRRSSGTTFFEVDRPLRPPKGSSGPCSTLLLSHSFAFTLTKPPVTAAYSPPSCLLGAGASVSLAVLEWRAECRGVQYDRIFGVWLAGVELLRGSTAEPRPGGVSWSVSEDVTRYAPLLAAAGNNATLAVYLGNLIDDTYNGVYHANLTLHLYFLRRAAARPSSPAPADVVVPISRSLPLNDGLWFVVQNSTDVQSTPVSVPPNAYRAVLEVYVSSHYADEFWYMNTPEENGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPTYDIELTPFLGKLLAGGDHEVGFAVTNAQSSWYVDANLHLWLDAKSSKTTAGLIAYDAPKLSGSIVSRSADGIDGEYDATASRNITATGWVSSPSRGNVTTTFTQRMSFANTNVVSSHGSAQAINQTTDAVTTVVFAGEQKQEVHQSFPLYIFLGGDGSGTSSQRLMRRVEIGFDESRSGGAETSTLRNRQAAAAEVTVKDDAVVGASWRMHQTYAYSDSDGGGCYVRNVSSVGYDVLFDHRDASCDGALVGR >Dexi2B01G0025210.1:cds pep primary_assembly:Fonio_CM05836:2B:34501565:34502278:1 gene:Dexi2B01G0025210 transcript:Dexi2B01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAATPGPALDPHGSLAATGAPAGLDGAEVGPLGRVGLAEDDCAGAAEAGHHAGVAGDDGAEQREGSRRGVEPVARGDVVLEQDGDAVQPAGVGGRALGVGARRLRERVGVDLDDGVEERV >Dexi7A01G0022440.1:cds pep primary_assembly:Fonio_CM05836:7A:30706704:30707363:-1 gene:Dexi7A01G0022440 transcript:Dexi7A01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQGFRPLDEASLVAYINATPALASRLGGAGGLDSIEIKEVGDGNLNFVYIVKSSSGAIVIKQALPYVRCVGDSWPMTRERAYFEASTLREHGRLCPEHTPEVYHFDRAMSLMGMRYIEPPHIILRKGLIAGVEYPLLAEHMSDYMAKTLFFTSLLYNNTTDHKQRGELLLCLLAR >Dexi7A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:7A:21835210:21839506:-1 gene:Dexi7A01G0011260 transcript:Dexi7A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEHPGGKCKVARLPGGAVLDAVGTVGDELKKLSMQRLLALLADLSSQLLPTTARRDRPVLTSEQQQIERYPTRSHHQVALRPAPAEYVPPPITNTWESDVIFTRFWGQENRGSHRVWEQLASPQWEPDYVERPNAVKIRAARPWRGEARTRTLSFVHGDSVQSNGELVRANPSPPDGRRWIGAVLIGRGKRRPAPTPFTGQPPPPALQNSPTPATLLSSRLATARPPIDQARPAERPPPRAADRARKRSDQEARLARGRIGAARGASRLASSPPAGRPAS >Dexi9B01G0028160.1:cds pep primary_assembly:Fonio_CM05836:9B:30773383:30776202:-1 gene:Dexi9B01G0028160 transcript:Dexi9B01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPPVSERRLPAVLMLLLLAVTAATAAGERRGLAARVEAPPARHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLTRVRADADRVLIASSDVPRDWVRAMAEEDGMRVVIVDNLRNPYENNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLHNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRENSDGADQGFLVGCYPDLLDKPMFHPPENGTKLNGTYRLPLGYQMDASYYFTRLAKPGMTKLCYNRRPEKQSALVQWLIKLAAIVAMVAAYSIPFFVIPRTVHPVMGWSMYLFGALALSVLVVNVFLLPPLDVLTPWLAIVGMLFVMAFPWYHDGVVRVLAIFAYAFCSAPFLWASLVRTTDSLQTMLERDPFFPRLGEPTQETEFSKLY >Dexi2B01G0033510.1:cds pep primary_assembly:Fonio_CM05836:2B:41084299:41087672:-1 gene:Dexi2B01G0033510 transcript:Dexi2B01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEFRCYWNNRWSGYYGSFEDTTKIPPMRFTYTPVPKVVVDSDTLQIFSVKLAATRGDLELPLDVFGTSTIIAILSSIAKERTAKPSPKRIHIWYW >Dexi2B01G0030900.1:cds pep primary_assembly:Fonio_CM05836:2B:39133812:39134087:-1 gene:Dexi2B01G0030900 transcript:Dexi2B01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQYVVMGLYTPLVRAPAWLSFDVMPS >Dexi2A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:2A:27287487:27289048:-1 gene:Dexi2A01G0015870 transcript:Dexi2A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKSPSSTTPSAPSKAAAACSELRAAYHECFNRWYAEKFAKGQWQKDDCADHWLKYRACLEEHLEDKHLRQILLDAETSAFYARPDADQGATK >Dexi5A01G0023210.1:cds pep primary_assembly:Fonio_CM05836:5A:27268979:27271588:1 gene:Dexi5A01G0023210 transcript:Dexi5A01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLHSHVLTLLLLLLPAATSATYSSLCHFPTAAHDNGSTVSPLPLPWITAGGHFSGGGGDLNFAPGRDYNRVFSLLCLGTPGTATDDPTVTHVSATLTLEGARRYDVASRHSVSFHLIGYYYSTPTNATAELCMVTSDSFAVRDDGSHIVLHLSVPRPSSLSRPFVTGSLEGASFNRTALVAYAEDDYAYGETAPASCPAATSHGAQRQVLSARFSCNRLRALIGSSYSVEYMPATDGSSDGGFPLRLRHGSMYVNQVHCGANGAVRAYMVFFASQADAYWERRWGRGFLVGDEALVADGFWDSSRTRLCLKACRVVRSGESGEEELAVGECGIGVSFWFPAVWSIRDRSVATGMIWNATSNSDGNTSAGVISVSRTWSYMDMSGIKYNYTRVEEARKLYDSMSPTTPLGKERKKQGRIPGSYSYRDFAFEFSGQRFAGYASPITIGSALVQAEELLADAAFQAEEVNKQRLLNVSYTLRYDRTHVSGTNSPQLRHISAEGVYDTKNGTLCMVACQVISDVSPDPDCEVLVTVQFDPMGGATRERAVGTISSLRNEDDPLFFRALDFVGYGMSVKDMERSRSRMDMESVMLLASMVLSCVFTGLQLRHVKRHPEALSATSITMLVVLALGNAIPLVLSLQDMYRDSLKRYFAKLMTGGAPGLNEFMQRVTTLLALVLQLRLLQLALSRGLADQAAGKSEDSSSSSSSADAERSTLWICLPLYALGAVAVCIAYLFDGRIGIAAYAGLVLDGFLLPQVVWNAAAGSSPAVRALSPWFYAGGAAIRAAPHAYDAFRKRSYVPSRRTSSVYASPRDDIFGVGWDVAVQCGVALLAALVFLQQRFGGAFLCCLKRRRPGYEMVSTPAVWRA >Dexi3A01G0030590.1:cds pep primary_assembly:Fonio_CM05836:3A:34708561:34710524:-1 gene:Dexi3A01G0030590 transcript:Dexi3A01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAVALVLLGTWPVVLAVLERRGRLPQHTFLDFSITNFLAAVLIALTFGQIGPDTPEAPNFLTQLTQVTNNWPSVLFAMAGGVTLSLGTLATQYGWAFVGLSVTEVMASSLKVVIAILGSLVHSSNAADNQEKLAKSLAPKDLEEAKLDTPEATPKPEKVEAGTAEFLVLGSHTLLGLAIVVFAGIFYALFAPAFNLATNDQWHVLPPNVPHLVVYTAYFYFSLACLGVSVGLNVWFLYRPMVGVPQSSVSAYLADGEGRWIALLAGMVCGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLVSMLLMFAVAMVVLMASSNHRKPL >Dexi9A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:9A:10176104:10177939:1 gene:Dexi9A01G0015270 transcript:Dexi9A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSPSTSVSIHRRAAPAAGGSRARAPNSVRFTPRAVSSVPAECLPPASFKPAELTAPRKAAAIVAPPRPAAASPATSSPTRKAAAAKKELNLFQRAAAAALDAFEEGFVAGVLERPHGLPKTADPAVQIAGNFAPVGERPPVHELPVTGRIPPFIHGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRNGAAESYACRFTETARLRQEREIGRPMFPKAIGELHGHSGIARLALFYARAACGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTDGGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHATDASEMAWVDVPDCFCFHLWNAWEDEVTGEVVVIGSCMTPADSIFNESDEHLESVLTEIRLDTRTGRSTRRAILPPSQQVNLEVGMVNRNLLGRKTRYAFLAVAEPWPKVSGFAKVDLETGELTKFEYGEGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNAADMRLEATVQLPSRVPFGFHGTFITANELEAQA >Dexi9B01G0018480.1:cds pep primary_assembly:Fonio_CM05836:9B:13202772:13203302:-1 gene:Dexi9B01G0018480 transcript:Dexi9B01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGALRLWGRGVGERRSRLASPRGGCGGGVLGVVRSAEAGSGTLQFAFCIKTVLNRRLTGKSPIVPCVK >Dexi5A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:5A:4757883:4758943:1 gene:Dexi5A01G0006480 transcript:Dexi5A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCKCGSGCGGCKMYPDLAEQGTATTQTVVMGVAPSNKGHADGGFEGGAAAAGAENGGCKCGPNCTCNPCNCGK >Dexi1B01G0030710.1:cds pep primary_assembly:Fonio_CM05836:1B:34594710:34597136:-1 gene:Dexi1B01G0030710 transcript:Dexi1B01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDLFAGLPPPAAAPPGGGAALSPPPPAAPALPPPPPKPALKSSLKRNKPSSDATASPPSAPAAAAPEPHELEQISKLLFVFLAVPEKRLRFRTTVDASETQILEAMQKIKSHIGNPSKFSKASKLALQLIEAGSVKPGTIDHFFAILEAAMSSPGACNEPSVRADYHTLFSAAQGVTELFSQQQKNQFDIWVLHAVLANDLFTDDSFVFSKAVGKIKDGMSALPMATVDDDNDEAAALAAASKNDTTTQNEAGAASKSLPDDSAHAAASESGEESSDPFGLDDLLARKPKKSERAREKEVSALNIKAEEEESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVNRFTQQQRDAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >Dexi2B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:2B:18641268:18645930:-1 gene:Dexi2B01G0012520 transcript:Dexi2B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITWEELMRYLCLVQLLDCLNWRIQNDIDSVLAVSTFFSWYSSHFIYYMLLIQKPIVPSDLYKAIRDTLLVGMTGYSKQGQPVYAFGVGLSTFDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSALSQIKQYLSIFPHVQMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVMDYESLPHFCKREGSGSSGESFDGVDCYSYDHPFHQQLYNYVKQQSLKLDSVGPIKQCSLHVDVPSPGLEQVKIAETIESEFLSLRGGNGLTRPFNRIKIEGP >Dexi3A01G0002160.1:cds pep primary_assembly:Fonio_CM05836:3A:1442764:1443522:-1 gene:Dexi3A01G0002160 transcript:Dexi3A01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPHGEHFAEVVVVRHGETSWNTSRVIQLARRLCKEAKPVSVYSSDLKRAAETAQTIATACDVSNNQA >Dexi9B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:9B:579832:580596:1 gene:Dexi9B01G0000990 transcript:Dexi9B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRPPEPATNGASLGVVTALPAVDLAAASEAAAAATAAGGAQAPAAAPYSKRRRRPSVRLGDIDAPPPRRNHKTSSSSHPRPPRRAHPDDAAAAADPHNRRGPKQPAQRRPRTAWIPAAPSGAAAAAEGYEDEDERYYDDEDQSDSAAAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPSAMGYSGVKAWLDGLGLSRYAPVFEIHEVDDEVLPMLTLEDLKDMGIGAVGSRRKMYAAIQKLRSDNAS >Dexi7B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:7B:4079121:4081183:1 gene:Dexi7B01G0002390 transcript:Dexi7B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRADGPATVLAIGTATPTNCVYQEDYADYYFRVTRSEHLTDLKEKFKRICRKSMIKKRYMHLTEDILQKHPNMASYSEPSLNVRQDILVEEVPKLGAAAAEKALKEWGLPRSQITHIIFCTTSGVEMPGADSRVIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEDHLDSLVGQVLFGDGASSVIVGADPNEGVERPLFQMVSAAETILPNSDGAIEGHLKEVGLTFHLQERVPDLISANIERLLEESFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRATRHVLSEYGNMSSACVLFILDEMRKSSVKDGRSTTGDGMDWGVLFGFGPGLTVETIVLHSVPITYAA >Dexi7B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:7B:17707632:17709757:-1 gene:Dexi7B01G0010360 transcript:Dexi7B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLYGSAAAVTTYRRTRAYSIPSSCQGMRNLLAGSPKTNRLLPWGFYASLSTAMVCFYGYVLLAGGNPPPKKLVAIPPQ >Dexi4A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:4A:8445831:8448928:-1 gene:Dexi4A01G0010550 transcript:Dexi4A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVSPAKALAVAVVVVILAASSTVLAVHDYGDALRKSILFFEGQRSGRLPPNQRIRWRQDSAIHDGADAGVDLTGGYYDAGDNVKFGFPMAFTTTLMSWGLIDFGRSFGAHEADAREAIRWATDYLLKATATPGTVYVQVGDASRDHSCWERPEDMDTPRTVYKVDASHPGSDVAAETAAALAAGSIVFRDAGEAAYAARLLERAAEVFEFADAHRGAYSGSLRDAVCPCYCDYDGYQDELLWGAAWLHRASHRREYREYIKRNEVALGASEAVNEFGWDNKHAGINVLISKEVLMGKDEYFQSFRNNADNFICSLLPGISGSGGHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSSYLSHAGAHVSCGGGGAAASPAQLRRVAQRQVDYILGDNPLRMSYMVGYGPRYPLRIHHRASSLPSVSAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSNTTDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPDPAQQNGRD >Dexi9B01G0036720.1:cds pep primary_assembly:Fonio_CM05836:9B:38207242:38214048:1 gene:Dexi9B01G0036720 transcript:Dexi9B01G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKGSDSSSQRSEQPDQGMGGDAAAASVAIHGKVTQLVRQIQHERLAYIKEKLEVNRKTLQRHTCELFDVAAAAEVASRGTEGCNALSQRAAEGQSRPVGPDLANRMGERDVVYVQEENPAAGTLVLSGSGGAAQRTVVRFVKLPLIERIPPYTTWIFLDKYGAVAPLLITYFGNEALICSDSDDEIPEPEEEKHFFTEGEDQLIWKATQEHGLNREVVNVLCQFVDATPSEIEERSEILFEKNEKNSGSSDKIRSQLSLDKTMDAVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPCEKQPYSFELDENKRPCGDQCYLRANFDSSFPRGEKDLKEQDMAARTRIYRRRGRNRKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQTCGKDCLCVENGTCCEKYCGCSKSCKNKFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDVAGWGAFIKNPVSKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKEHIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHRRAHKVAR >Dexi5B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:5B:13716519:13717638:1 gene:Dexi5B01G0016140 transcript:Dexi5B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGPAASTNVARVLVCLEEVGAEYELVPVDMPSGEHKSPAHVARNPFGQVPAFQDGDLILSESRAISKYILRKGGSDLLRESNLSESAMVDVWLEVEKAHFSSAMSPIIFQTFVVPKFMGGKTDTKIVEENLTKLKTALEVYEARLSKHKYLAGDFVSLADISHLPGAFYLMGGPHASVLDAYPHVKAWIAEVMDRPSVKKVAELMKQPSA >Dexi5A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:5A:2849628:2855337:1 gene:Dexi5A01G0003740 transcript:Dexi5A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDGEKAAPALPLETLLALGLDQRTAENALVNSKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLIKYVLSTKIKNPAQLDAALSFLTNTGPDSLDVEKFEEACGVGVVVSIEEIKSTVTDVLQENMEAIKEQRYHINVGTLCGQVRKKLPWGDAKATKEEIDKRLAEILGPKTEADNIKPVKKKKEKLAKVEEKKVAVATSAPPSEEELNPYTIFPQPEENFKAMFIDFGLAKERNGHCFLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLMAEGTATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSFENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRTMVVLRPLKVVITNLEEGKVLDLDGKMWPDASDTDASSHYKVPFLRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPDDIVEIRAEYDPLKTSKLKGVLHWVAEPTPGVEPLKVEVRLFEKLFMSENPAELEDWLGDLNPHSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >Dexi3A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:3A:10551300:10556066:-1 gene:Dexi3A01G0014500 transcript:Dexi3A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVSAAFNVLTALAFLLAFAFLRLQPINDRVYFPKWYLRGMRDDPITSGAAVQKFVNLDARSYLKFLNWMPAALKMPQDELINHAGLDSVVYLRIYLIGLKIFVPITVLAFAVLVPVNWTNNALEGTTVVHSNIDNLSISNVPYGSKRFIAHMAMAYAITFWTCYVLLKEYQIIAKLRLRFLASEKRRPDQFTVLVRNIPQDPDESISELTEHFFLVNHPDHYLRHQVVYNANKLSDLVEKKKKMQNWLDYYRLKFERNPSERPTTKTGFLGCFGSKVDAIDYYKSEIEKIGKEEAEERKKVMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYVIPIAFVQSLANLEGIEKALPFLKPLVELIPKIIGMSIPMKATFFITYVMVDGWTGIAGEILRVKPLIFYHIKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLVYAAVTPFLLPFILVFFGFAYVVYRHQIINVYNQQYESGAQFWPSVHGRIITALIISQLLLLGLLSTKGFEESTPVLLVLPVLTFWFFKYCKNRYEPAFVRNPLQEAMRKDTLERAREPNFDLKTYLAGSYLHPVFKASDDDDKYSAVDDDGWMDEEVIVPTKRHSRRTTPAQSKYDGSDGLSSVPEKS >Dexi8B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:8B:24247315:24250959:-1 gene:Dexi8B01G0013850 transcript:Dexi8B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLHGTLEGTIFEAKFNNGPGKFLEGLLPHFEGQRTGAQLFATVNLDRVRVGRTRVIDQNYGHPKWNESFHVYTAHVASEVIFSVTVQMPVGIVEPVVAYAHVPVQDLLNPKGQLVDRWLNLLGEGKRPLPNSPKIHVQVRFTDVADDPQWGSGIGTAQFVGVPKTFFKQREGCRVTLYQDAHVLDTFEPSVQLDGGQPYEPRRCWEDIYDAIDGAERLVYITGWSVYAEITLVRDEKRSHPGGGTTLGELLKRKAKEGVHVLMLVWDDPTTLLNLGLTQGLGTKDANTFHYFRDSGVHCVPCPRIQDPTDNVVQGLKVWGYSHHQKSVVVDVKDGAHRRIVSFVGGLDLTNARYDNQEHSLFRTLDTAHSSDFYQGNINGATISKGGPREPWHDIHCKIEGPAAWDVLRNFEQRWRKQGGTDDLIHNALWPWKNQKDVLVDLNGMEDVVMPQSSPVLPNGDHETWNVQVFRSTDTSACDSFPKTPADAALSGVVNGKDHLVDRSIQDAYIHAIRRAKNFIYIENQYFFGSSFGWKPDSITPQNIGALQLVPKEISLKIVSKIKAGEPFAVYIVVPMWPEGDPSDWKVQAMLHWQRKTMEMMYDDIATALKAKSSNADPKDYLSFFCLGNRELKQTPSPTVRPEYEPRDHPTKGTDYYRAQQARRSMVYVHSKLMIVDDEYIIIGSANINQRSMDGGRDTEIAMGAYQPFHLNTKGQSARGQIHGLRKSLWFEHLAELKPEFEDPGSRNCIQKVNTMANNYWQLYTSNTVSELHGHLLSYPIDVARDGTVSSLKGMTVFPDTNAPVLGSLSEIVNVGGIFTAYTFTT >Dexi6A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:6A:23090923:23093086:-1 gene:Dexi6A01G0015630 transcript:Dexi6A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTCNGLLCLRRRWEDDFIVVNPVTGEKLAVPPPPEPHGGSQKADAYGFAYHPATGLYKIVHVPWIGEVNVFALGDGAWREVTLPVPIWLRRVPSFGLTNVGGAMYWVATDPHFQSHSVMSLDVKDERVVFVATLPVWILDDGETSKNPPEWVLLCTVVDSGQELPQGIALPHVIHGEHILTTQARAGYEYDRRVSLHACHLSEARTLDGVVRMEGSPSIGMYDACRSLQTFAYVETMEPLALYRGNGCGIGDSEEWDWSFLTRYISSLFQIRQQEPPDLQGKQKLCRAENGCRPAEAAKPAKSWSPPTGMALKINVDGAFIAELGATALGVVIRDKEGQPLLMACRRVFHCRDAEEAEDLALRVPGWQSDGLIML >Dexi5B01G0026070.1:cds pep primary_assembly:Fonio_CM05836:5B:27921581:27922067:-1 gene:Dexi5B01G0026070 transcript:Dexi5B01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASADEPFSIRGFAMRMRAVDAAECYPFGGSGCRVEGEPLPPMDPTPRYRWWKHELEAVRARLAAAGARGGEAATAGGEGGAPRKGTKRKESRSSSAAERAKKRRRMLQFRSFLKNK >Dexi9B01G0037350.1:cds pep primary_assembly:Fonio_CM05836:9B:38817133:38817504:-1 gene:Dexi9B01G0037350 transcript:Dexi9B01G0037350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRRRRLLPPLVAPGLLFLLLLAVAPPPAASAVGVNWGFASSHPLPAARVVQGLLLPNSVPRVRLAAASSDALSALAGTGIAVTVGVPDALLRPLASSTKAAAAWVHDNITRYASSVRFE >Dexi9A01G0044040.1:cds pep primary_assembly:Fonio_CM05836:9A:47655904:47657546:1 gene:Dexi9A01G0044040 transcript:Dexi9A01G0044040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSKHLRFRLRGSGEQRLLPSRASTSRALSSAPRPPPGSAAPPPPGAAKEASAWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTQRLEMEPVAWNEVDSSTALRDPDSLEFRKIVCEGDFDEEKSVFVGPRSRSISGVTENGYYVITPLIPRSTEPSSLQSPILVNRGWVPRGWRDKNVKDHQILDEASESKAAEQLDKKGSWWKFWSNEPKPSPENDKWYGM >Dexi2A01G0002360.2:cds pep primary_assembly:Fonio_CM05836:2A:1863773:1864092:1 gene:Dexi2A01G0002360 transcript:Dexi2A01G0002360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKVREKDCTVPLGEGREERVSLDVGVLVCELKETFPGDDGRAIKGEVVTGTGGEAREGIGLVSGDTEAGEVDEAKTEEEEGYKEGRHHGKKMVMSGL >Dexi2A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:2A:1863559:1863763:1 gene:Dexi2A01G0002360 transcript:Dexi2A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDVIEFFVLDGVELHSDVVVAAAHGRSRVVAVELDQAQKSMPGAMTKAMPSLLASE >Dexi4A01G0015070.1:cds pep primary_assembly:Fonio_CM05836:4A:17644452:17647775:-1 gene:Dexi4A01G0015070 transcript:Dexi4A01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRLYPPIEPYDLEAPQVPAAGDGEEKARACDGPVMWDEELDASPTPDEVPHIFEPGAKDHPPRYFKDESLGVVDAYEFSDEMTTMKESRGNANDDFPLCDQTDIWVPASVPPMTKHDRDEWQKGFACNSGCFPEEEYQWDIDEENLELTMWDVLSEMVVAGKDKMLSIASFDLGRLVKRISESQNHSKVGELKAEKSIPDSILREAKGLAIITEVKVGMMLTYKIGTGLVVARRADGSWSPPSAISTCGLGYGVQAGGELADYIIVLRNTDAIKTFSGNAHMSIGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGIV >Dexi1B01G0028290.1:cds pep primary_assembly:Fonio_CM05836:1B:32860684:32862849:1 gene:Dexi1B01G0028290 transcript:Dexi1B01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGRATSPRRQVNIVAWSCAMATVLALATVVPVAAAGAGKGDETAAVSSSGANVTLICRSTPHPGACETALASAEAAVADPFAASVQFAMARATTARALARNLSKSKPPPASGMHDCAELLDISLAQLRAALSGRDADATTWLSAALTNQATCNDSLAAPAHAGHDAVHKQVAALAQFISTALAMHVNKVKGSGSVSPSAATMTTASANGTTFPSWLSEHDRRLLESPASKTIITPDAVVALDGSGTHRSINEAIAAVTAPPVGTEASGGGGGGSRRKVIHVKAGKYKESVRISYQQEHVMLLGDGKGKTIIDGDKSVAGGYTIFSSATVAAMGAGFIAKGLSIINSAGPGKGQAVALLVGGDRSVVYQCEIKAHQDTLFTHSNRQFFADSDISGTVDFIFGNSAVVFQGCVIQARRPVHGQEDVITAQGRGDPNQNTGISIHRCRITGAPDLGKTPVYLGRPWKQYSRVVVMETAMDGSVSPAGWLAWPGQPAPSTTLYYGEYRNTGAGAETKGRVTWSGVHTSMSMVDATGFTVEKFIMGESWLGATGVGYISGL >Dexi4B01G0021500.1:cds pep primary_assembly:Fonio_CM05836:4B:23465524:23466499:1 gene:Dexi4B01G0021500 transcript:Dexi4B01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTPPAAAMASGPKLIILRALCAAALLVAAVAGNFNQDVDITWGDGRGKILNGGNLLTLSMDRSSGSGFQSRSQYLYGRFDMQLKLIPGDSAGTVTTFYVSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTMDFHTYTVVWNPSHIIFYVDGTPIREFRNLAGTVAGVPFPTSQPMRVYASVWDAEEWATQGGRVKTDWSKAPFVASYRGYAAAGCTTNDVASCARSNGAWMFQELDAAGKAELKRAQAMYMIYDYCTDKYRFPQGPPPECSAK >Dexi1B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:1B:23267908:23270742:1 gene:Dexi1B01G0016800 transcript:Dexi1B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSSMSGAGEAGMRTVVWFRRDLRVEDNPALAAAARTAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGRLVTRRSADAIVALLDLVRSTGATHLFFNHLYDPLSLVRDHRVKELLAAEGITVQSFNADLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSRCPSDELVFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLIDYFVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCTLFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPRPIIELDEANSRLQDALAEMWELEAASRAAMENGMEEGLGDSSDVPPIDFPQELQMEVDRQPIRPANQTPMTAGRRREDQMVPSMTSSFRRAETELTADFGNISEDSRPEVPSNMHLQTRTEREETVDGGTGNAARMNGNQQQHILQNNMHRVLGVAPSVSEASSSWTGREGGVVPVWSPPAASGHSDPYAADEADITSRSYLDRHPQPHTMMNWSQLSQSL >Dexi2B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:2B:5453467:5453830:1 gene:Dexi2B01G0005880 transcript:Dexi2B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSALHRNDNKRSKLNAPLAAAAANDGALPTDVLRDVLLCLPADELCRLRLVCRSWWSLTSDPIFAKAHSSRHNPLVVGLRSNEERPGHHEVQFLDPSSGRIATKTIT >Dexi5B01G0040110.1:cds pep primary_assembly:Fonio_CM05836:5B:38736618:38744567:-1 gene:Dexi5B01G0040110 transcript:Dexi5B01G0040110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAANGAAAQERDGGGKKKTKAEEVANKVPFLKLFSFADQWDCVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSDRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRLAYLRAMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFVAGFAIGFSQVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKRISNGGESFTTMLNVVIAGLTAAYPIFKMIERSSVNKASSKAGRSLPVVNGHIQFHNVHFSYPSRPDVVILNRFSLDFPSGKIVALVGGSGSGKSTVVSLIERFYEPLSGSILLDGHDIRELDVKWLRRQIGLVNQEPALFATSIRENILYGKEDATMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHDQLMANPTSAYSSLIQLQEAAQLQQKPSFSDSASITRPLSLKYSRELSGRTSMGASFRSDKDSISRYGAGEVHEEATPKGKPVSMKKLYSMVRPDWFFGVSGTLSAFVAGSQMPLFALGVTQALVSYYMGWETTKQEVRKISVLFCCGAVLTLVFHVIEHLSFGIMGERLTLRVREKMFSAILRNEIGWFDDTSNTSSMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLVIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLSKSYLKANMLAAEAVSNIRTVAAFCSEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSVLMSKELATFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVRIDTGEDIKRVEGLIELRGVEFRYPSRPDVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGRILIDGKDVKKLKLKSLRMHIGLVQQEPALFATTIYENIMYGKDGATEAEVIEAAKLANAHSFISSLPEGYQTKVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQHALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIEDKNGAYHKLVSLQQQQQLQSRAHETLE >Dexi5B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:5B:7467568:7467799:-1 gene:Dexi5B01G0010530 transcript:Dexi5B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEMSRWCSNMLGAGVDKIDNFLDDPHIWQFLDVWVEQIKIAV >Dexi1A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:1A:1161476:1164807:-1 gene:Dexi1A01G0001740 transcript:Dexi1A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGCNGKEREGGDHKVALLRQKKLKAKTFKWRSSNCKDMNGKVEAGGSDEVYDDTVLCSLPTASFSSLVSQKRVRTLGKVAEQCDAVDPPVPRKLRSAINKRVGRFASASSRHVKKRRHLSAISAQISFVDQETRFSGNSLFTEEEEAIADVLLSIYQIPSLSEATADKAIANRSNTNVASTSYSEEATKDGEGIVILPNAPNEVASQATCTNKVVEQTKSVTHVNPVPCSTDQSNNINPPLLENEQMKDLSLGIVVNLPSPSKDSNNSPLKQQKVQFDDSLSFPAQKPEAPNCLVLHRYLSESPLQANVHLIKILYLKPPDLLHLEIRTRSLHKKSTNKFRICADGKLVYMQHSLARNVDSTKAWKRSITHVYVSHVIQMHMNKEKASQTQVKPEERPHVRSSRSPNGPAIHKNNARDEKFYTVHFDMRVPVQPSPGMCDMSADRQKIVSGNFLNMPTSTGMPGTQHLQYLHPQMAHRGAMPYPFPHLPYSRGNLAPAAAIQQMPQQYMANIGYAPRPGLPASSSAMMKPLHQLMPTHQQQQMWQYHVSQYQPRPDTTPPPLPVAAWHNMSSLRPTTMAMLPPPAMSAQMELFCAPYQGGSRQPQQLRLI >Dexi1A01G0026030.1:cds pep primary_assembly:Fonio_CM05836:1A:32038913:32040868:-1 gene:Dexi1A01G0026030 transcript:Dexi1A01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDERRGEKQRQQRRSGSQHSKIEALCHRAAEEDQDKRQASSLRLEILRELLPHGDQKRDKASFLLEVIEYIRFLQEKVQKYESAHPQKINHEDSSMPWNISQVQGGGLSPPTQDMNKEQYCPKKSTVTPTDLLSTQSAGETSIDDISSQKATSTPQIWADDSTPSKQPPWLSMSTSDSGNRPLSKNDKQTLPEDTRSLSNAYSQGLLNTLTQALKRSGVDPSQASISVEINMDKRAPEPSKIRGNLKDNECEETIHVTKKLRC >Dexi1B01G0024950.1:cds pep primary_assembly:Fonio_CM05836:1B:30162239:30169264:-1 gene:Dexi1B01G0024950 transcript:Dexi1B01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRAAPYRHSSHLCAATLLVLSPSQHRYFASFPSSPPTAARRVLPSPLRVPIRAVESSPGPTKEEQEPSPAADEAQEPPTPAASAFDVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDMISSTHGKKREEIEEFINSGVYQVARLKEEGWITDLMYDDEVMAMLKERVGQKDKKSLRTVDYSSLHFTESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKLYERIDFNKEILSKGRYAELSAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSIDQMETVAQGRVWSGQDAFSRGLVDSVGGLSQALAIAKQKANIPKDKKIQLVEVSKPSPTLPELLSGIGSSLLGVDRAVKGALQDVSSLSGVQARMDGILFENLGDMSGENQLSVLIKDIISYFD >Dexi9B01G0048420.1:cds pep primary_assembly:Fonio_CM05836:9B:47381138:47385196:-1 gene:Dexi9B01G0048420 transcript:Dexi9B01G0048420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKDVGILAMDIYFPPTCVLQEELETHDGVSRGKYTIGLGQDSMSFCAEVEDVISMSLTVVKSLLKKYNIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEEYGNTDIEGVDSLNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHAYDFYKPDLASEYPLVQKSFARLYYNDFLRNCSTVDEESREKLAPYAGLSSEESYQSRDLEKVPPEQFIESLKLMEHRYGAKDFVTSQDTSLLPAGTYYLTHVDSMYRRFYAVKGDSVTPAVSNGH >Dexi3A01G0028180.1:cds pep primary_assembly:Fonio_CM05836:3A:28653354:28654980:-1 gene:Dexi3A01G0028180 transcript:Dexi3A01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIPSEFGMDPYRMRHALEPGNITFVEKMDLRRAIEEANIPHTYISANCFAAIFCPNLCQMRTLLPPKEKVHVCGDGNVKDPDSPVRTSLHSRRQPPTPPLPKAAARRRPRLYPRPPLDANPALTPGLVCA >Dexi5A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:5A:5978162:5978449:-1 gene:Dexi5A01G0008040 transcript:Dexi5A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVRPCMHRATYGVRRPQDNMVPSPRHARPADRLRIEQVVPSIHACRGGRRMADALRLRAPSPASRKKDPGRTAPAGPREQEHDEQRLSQRM >Dexi4A01G0021980.1:cds pep primary_assembly:Fonio_CM05836:4A:25354813:25355453:1 gene:Dexi4A01G0021980 transcript:Dexi4A01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLNSSSNLWTFLGQASNVVQLVGVDALGLVSMVVQAALVAHRHRGACRRLAQHVEIVGDLLQELELADLMRREATRRPLEQLAGALRWCYALVTACQDCGYLRTLLTGARMAEELRAAEQEIDMYIRLVPLISLVDTNHVRRVKF >Dexi5A01G0031980.1:cds pep primary_assembly:Fonio_CM05836:5A:34551673:34553085:1 gene:Dexi5A01G0031980 transcript:Dexi5A01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFGSFLSESASSQNLFGHLDVERCPFLRNMNGATTFSFASALPVAARGGKGPIFEDGPGFESAFKLFHGRDGIVPLSERSYVPNENHNESINVKTEPALPFNPLAARAASISLSAFGPFGFGFFNGKGKKQNKKPNNLDQSHQKPKTPDQSSMKQKGVNPPSHEAFSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAVVAVRAALARTALVKSLRPQPLPSKMIAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVVMPRTAMVFTIAASIVGQTIGSRAERIRLRNLAAKVTVDSTTAAMYPEKNGSCSDAEGKAWDPLATKMPGSAARGAPAPTPSMCF >Dexi3B01G0027220.1:cds pep primary_assembly:Fonio_CM05836:3B:22754421:22754828:-1 gene:Dexi3B01G0027220 transcript:Dexi3B01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSWLVPFSPRREHTAANVSEPSPHTVPDDLSTAGAAAFPSPAPPSPLLSFPTDSDRARPCSSDEICRILSDHFFRRRPDFRWCYQSRMEAMIERLPAAMAMVDGTHGHGC >Dexi2A01G0028060.1:cds pep primary_assembly:Fonio_CM05836:2A:39362758:39365517:-1 gene:Dexi2A01G0028060 transcript:Dexi2A01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSSPSAAGGGARPKRTSSAPIRPADYAHSPAHHCVALRDASGLQAILAGLPPLAHPSRVLTAADAAREARLASSVAAALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCRDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGADLRADTTLAGFDGLRIRRADHSFLFFGEEASAGGRRLPPGSLLVLHRGRREVHDAFAAAAAAGDEDAATSDAAAYRPGLNISAARLVPRTTWLRKEKTENVGEWKARVFDVHNVVFSFRTLKAASAGRKDFTFELAGDDDGGGDDDDEFLPLEIRDDDEDGDFLVADIPPPPARRSCYVPGRRSVAGPPSHLGTPQRRRNSVDVPRRLPACASVGRGVDGIFGRHSGTTTTGGAKWKEEETVKTLRPSVWLTEDFPLSVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLIEPEEFFTPMSSPSLLASPGPGSIMAKPDTHKSSYLKWSSRNARSKPANLSQVADNADPFTVPSDYTWVNSLGSKNHDKKSSKSKKGKTKETSRD >Dexi2B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:2B:10284953:10289904:1 gene:Dexi2B01G0009550 transcript:Dexi2B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSQLLLLLLALLSALAPTPTSSTTTTIGSTLTLSGTLAGNQTLASTGDVFRLGLFAASNRTRWFLGIWFAVSPDTVVWVANRDRPLTSPSGVLELTGDRGGALALRDGATNDTVWLSSSSTAAAVAAELRDTGNLVLTDASGAMVWQSFEHPSNTFLPEMRVGKSLITGAEWSLSSWRAADDPSPGDFSYVMDTSGSPELYILSHGGRRKTYRTGPWNGLRFSGIPEMTTFADMFEFTFTDTADEVSYMFTNRHGDASPMSRVLLNESGVMQRMVWDKNSGAWSEFWSGPRDQCDAYGKCGAFGVCNVVDAVVCGCVAGFAPRSPAEWYMRNTSGGCARRTPLRCVAGGGEDDGFYVLRGVKLPDTHGAAVDAGATVKECGERCLANCSCTAYAAADIRGGGDGSGCIQWFGDLVDTRFVDEGQDLYVRLAKSELDAPKNNRKLAAVVALVITGFVLLLLSLAFLLIWRKARHSKKVAMLDDAVEFMSSECPTYPLGVIRTATDGFSADKGQLPDGQQVAVKKLSAENTAQGLSEFMNEVVLIAKLQHRNLVRLLGCCVHCSERMLVYEYMSNKSLDAFIFDERRRASLGWEARLGIILGVARGVLYLHQDSRLNIIHRDLKAANVLLDADMVAKISDFGIARPFRCTADRQETITSTIIGTYGYMAPEYAMDGTVSFMQDVYSFGVLLLEIVSGRKNQRSFNLIAHAWGLWEAGRTHDVVDPAIRGGCTGDELAQAATCVHVALLCVQESPSQRPPMADVIPMLLSQHKAPPARPRRPVVCTPMQSHAAALAVQAEITGGNGELTITSLEGR >Dexi1B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:1B:1904335:1907283:-1 gene:Dexi1B01G0002340 transcript:Dexi1B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAAWEMALLAGPLWAAALLGLLLGWAWRPRWASGLVSTVDGEAAAPVQPPFATLDFWKAQLPARLRAPLGYAGTAAQQQEEDEAAAQGSKEMANEELAVEKDDLVNLWRLVEGRDGGPSWIKMMEKSLPTFTYQAWRRDAQNGPPQYQSSTIFENATPEEVRDFFGDDEFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGIPCSSIPRRNKPRRVDLYYSSWCIRAVESRRGNGGLTACEILLFHHEDMGIPYEIAKLGIRQGMWGCVKKIEPGLRAYQKARAAGEPASKSALMARINTKVGDNFVRSLESNSDESEIVEAEEKPARNNVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRKPL >Dexi3B01G0029140.1:cds pep primary_assembly:Fonio_CM05836:3B:28172428:28175648:-1 gene:Dexi3B01G0029140 transcript:Dexi3B01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGLKASVKEESERRLRYKVDESIANTGTIQIDPRLPALYVEADRLAGIDGPRDKIVDWLMKDDSTRKLKVVSIVGFGGLGKTTLARQVFNRIKSEFVSLAFVPVSRNPNIKKILTDMLKEIGCGVDTSDDERQLIDKLRAHLQDKRYLIIVDDIWSTAAWESVNDKLGDHDIGRYQKALESSLSLLAKHKLNSLDINFGNYPASDKLMDSLCSDAPCLKKLVELCILGGIPTLLYLNLLSSEAPSERLTISSKQFCCLKEFVFRSKGVGGLRIVCEKEAMPKLKNFTLEFNAKETEFCLGFEFCFEHLASLDQLSVNINCNGATRSSVEAAEAAIMNTASIHPGRPALQIHMWTNREMAEDKDESETQMEDNTRNNEKVQLVHA >Dexi7A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:7A:3221345:3224117:-1 gene:Dexi7A01G0001260 transcript:Dexi7A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTWRKVMPYMAMVFLQFGFAGMFLVSVASLRQGMSHYVLVVYRNVAAAIVMAPFALWFERKTRSKMTLSVFFKILALGLLEPVLDQNFIYIGVNNTSASFSSALTNILPAVTFVNAIILRMERINVKQRRSQAKIAGTAITVGGALLMILFNGPIVKFPWTKDVSHAVSDGGAHNSGHWLMGTFMILLSCFCWSAFFILQSYTLRSYPSELSLTTLICALGAMESGALALVMERNTKAWSVGFDMRLFTAVYSDRGPVFVTAFSPLCMITVTLLGSIILSEVVTLGRLIGAIVIVLGLYALIWGKNEDQVNAHDTENSFEKHKTFELPFSTSDVNRNEGAVQAK >Dexi4A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:4A:24884540:24884851:-1 gene:Dexi4A01G0021280 transcript:Dexi4A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSKKASSFVVAASMSAVEALKDQAGLCRWDYAIRSLYNRAAAGRVLTGRAVPVSLSSSSSHAADGGGAAAASAAGRAARPRRSEEEKLHKAYHLVCWGPN >Dexi9B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:9B:13499237:13501677:-1 gene:Dexi9B01G0018940 transcript:Dexi9B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLRPVPAAAASASPVPVASSSAHVAVVGGGGCGARSLRHRVSVRAFRAPSPQVLAMRWQRRRDTVVRSDVVAGGAAAAAAGDSTQSLSVILTELHVASRVRGACFYLVTAVTAIFLFVAMVAVHPLVLLFDRYRRRAQHYIAKIWATLTISMFFKLEVEGIENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVGKGASVFFFPEGTRSRDGKLGAFKRGAFSVATKTGAPVIPITLIGTGKLMPSGMEGILNSGSVKVIIHRPIKGNDAETLCSEARNVIADTLLLHGYGVH >Dexi9A01G0047970.1:cds pep primary_assembly:Fonio_CM05836:9A:50873039:50873904:-1 gene:Dexi9A01G0047970 transcript:Dexi9A01G0047970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLAARLAVPAAAAVLLLFAASASAANFTCATPGATCQSAIGYAVPNATTYGELVARFNTTTTLAELLGANNLPATTSPSTPIPVKATVRIPFPCRCGSNGVGQSDGGPIYVVQPQDGLYAISHDVYDDFVTYQEVATANNITDVNLIVIGQKLKIPLPCTCDPVDGESVMHFAYSVAKGDATSGIAAKFGVNEQTLLSLNKITDPKKLEQGQILDVPLPEDECQS >Dexi4B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:4B:4125096:4126178:1 gene:Dexi4B01G0005840 transcript:Dexi4B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLLLGLATAIAVAGEDDKHDGDGAASASHGSMIGPVVLFVLVIVATVLLVSGLLHLVVRCLRRRRRARGEGGADEAAAGAGEESSALQRQLQQLFHLHDAGLDQDVIDALPVFLYKEVVGAGAKEPFDCAVCLCEFAGEDRLRLLPPCGHAFHIDCIDTWLLSNSTCPLCRWVLAADALLDAFDGGEEGWDHDEDAAAVLPVRLGKFKNMSMAAAVAPGGFAHDGDDIGTREAGETSSSSLDDRRCYSMGSYQYVLAEASLQVSVHRRHGDGHARGGARVIGVGANLAGGEVAAAAAVAGAEGKKIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDDSPAMNGRLPWQRRSPGDS >Dexi3B01G0026180.1:cds pep primary_assembly:Fonio_CM05836:3B:21150824:21156082:1 gene:Dexi3B01G0026180 transcript:Dexi3B01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFILSDSGEVIVEKQMVGHRVDRAICVWFWDYVLANAAGDPSKVTPLLLARIRISELVGLWKILQVVVSPTHYLFQIYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVNKMLNVVTGKSSTLGSKLPDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGVLVKCEAYGEIQVNCSLPGVPELTMSFANPTIMNDFTFHPCVRFRPWESDQVLSFVPPDGQFKLMSYRVKKLKKTPIYVKPQLTSDSGNCRVSVMVGIRNDPGKPIDSITVQFQLPPLIVSADLTANYGTVDILADKTCLWTIGQIPKDKAPALSGNLRLEEGLAQLHTMPTFQVKFKIMGVALSGLQIDKLDVKNTPSAPYKVEGTYYHSSDQQMPCA >Dexi1A01G0027250.1:cds pep primary_assembly:Fonio_CM05836:1A:33084829:33085480:-1 gene:Dexi1A01G0027250 transcript:Dexi1A01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFDTAVTSLLHLPEVLDRLAAADGDRRSATHNGAHHHHHHHGHARVHGLGGVGGGAPVDIVETPGEYAFLIDVPGLSKSDIQVTLEEEDRVLVMKGGNNNGKRKRDEEEEGEGCRYIRLERRAAPRSFVRKFRLPEDADTGGIAARCENGVLTVTVKKQPPPEKKTKSVQVTIA >Dexi3B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:3B:5313660:5322674:-1 gene:Dexi3B01G0007480 transcript:Dexi3B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDESQSAYGWADLHSTLSTAAATLDPEQSRAEQQCRAERRDYGTARRGVEDPGQHQPSEGGTGTGKVGRPRARPAAASACACPAWRGAVGRSIAATFRRSVAALTALASPANVHAATSTHLYFPFTTACQVSPLASSRHVAPQTTAACRPIPHAGAQRDRGASVAEKSGPSDVVRGRAILQLRDHPSRPCPSLPAVISNRLRGARRNAIPSGCRAPPEFLIVGVRRRCNAPFAPPSPPRARCPPPRRARPGHRQLNYGGRTRKRRGGVGRCCFDCRDDKPPMAGADAVDVSEASGQWWSTTKDDACFDEGGGRGALPLRLAVQLRRRLRAAALIGLCRLGEAVRGVRARIPVVRPRVYQRIVSSDKVIVVAYNVPVEEFAFLHAHAA >Dexi5A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:5A:13634893:13638216:-1 gene:Dexi5A01G0015820 transcript:Dexi5A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTDPEYGLSPKNTLDIDDEEAYNPPPLHWTGLLVLAYQSCGVVYGDLSTSPLYVYKGTFNGSLHRFLGEEAVVFGVFSVVFWTITLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSSYYRPGYGTEDTPILRALRSFLERHRKSRTCLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSALTHGEVVLLSCIVLVCLFTLQHWGTRRVAFLFAPVVVLWLLLLAALGIYNIVVWNPRILRALSPYYVVSFFQRTGKDGWISLGGVLLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPDCDIHFIFFESIPRPIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSNRIHGQIYSPEINWLLMLVCLGVTVGFRDTNLIGNAYGMACAGVMVVTTLLMALVMVFVWRQSFLLAAMFLLAFGSVESVYLSAALMKVPQGGWLPLALSLVVVAVMYVWHYGTRRRHQFDVQNKVSLKWLHALGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKAVPIPHVRSYERHLIGRIGPREFRMYRCVVRHGYKDVPGDDQDFENDLVVRIAEFVHMEVAEAATGNDASAAARSSDGSVEGRMAVVSRPFDLSRTGLLMRAPQLNNPADDSVVVRAATAASAATAAESGGGKTETMQSLQAMYEAESPGFAVRRRMRFEIDDATSESMDPAVKEELSALVEAKHAGVAYIMGHSYIKARKSSSLVKKLAIDVAYTFLRKNCRGPAVALNIPHISLIEVGMIYYV >Dexi3A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:3A:10529068:10531224:-1 gene:Dexi3A01G0014450 transcript:Dexi3A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGPALESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEDLDARLDLSKLRAHPLKPVIH >Dexi9A01G0042520.1:cds pep primary_assembly:Fonio_CM05836:9A:46114583:46118765:-1 gene:Dexi9A01G0042520 transcript:Dexi9A01G0042520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEARGADFPVGMKVLVVDDDPTCLVVLKRMLRECRYDVTTCPQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVISEYNFLFFPLLFFLYVRLDDTDRNRPTNNDNEYASSANDGEAVPKKILELMNVPGLTRENVASHLQSPNDVAGSWSPSQDIDGFGNTLGQGHPGSTSSNFQGSNVALGKLPDQGRGRNHGFVGK >Dexi1B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:1B:6614434:6614658:-1 gene:Dexi1B01G0007980 transcript:Dexi1B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNATYESLLGSTKPFAQPIPLSEMVDFLVVSWEQEGLYD >Dexi7B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:7B:25832213:25837132:1 gene:Dexi7B01G0020560 transcript:Dexi7B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPDAGSASSVAGQPHNLPVLQAKMKRDPEGYEEELRQLHRHFESSVFLFQQQAALATTSSSAGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLREHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIIHSIRRMNQKHKNDTRNRKLQNIIFKFLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAIFEACFHPSSRIMIAAIQFLLGYENTEQQEDESDASSSEDEADKNPQILLSKQDVYKANHKGTVASKKKKQAKLQRVIRSMKRQQRKSVEESGSSYYSPLTYLKDAQGFAEKLFSRLQKCNERFEVRMMILKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPESIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPVDPKARPKAFGEVTVASDVPGAELLDEDMSSEEEGSDEESDAFDSDNETALPSAPPGTEENMEGSSEANKCDANEDTNEEDEASDEDGVDEGQDNSDNDSEEADEELDDDSDMDADTDMSDEDSDDDELKESINGSEDEGSDQDEDTDEEDKSKGSDSKVQKRKLSDYIGELNAADASLRALKRLAGAKKAQVSSDETGKILSDEDFKRIKELKAKKEAKLALAQHGLIKGVDTKSATFKMPSSDQLSRKRVDPLELEAHVRRKMSKEERLAMVKAGREDRGQYVARAAVKQKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >Dexi9B01G0024540.1:cds pep primary_assembly:Fonio_CM05836:9B:20905390:20908111:-1 gene:Dexi9B01G0024540 transcript:Dexi9B01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPLIQILLLGDKLKDALDELERTCLSSTTAIPFRLRGRLLEYFDQSQVSTISSCYEESLRRDPTCSYSMERLIKMHRKGYYNTIQLLEAIALHLDSVSGKPCIWEELALCFLRLFSNKTADYEDYMSSTNAHRDEALEAFSKVSSFFFEEQARESWKVRCRWWMHRHFSQNAYASETLTGDCKLLVAKAACAAHLFGPEFPYVKAVGSYIAKQEALDEISLLVRNKQNSVRLLQTLEKLTS >Dexi7A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:7A:26994412:26998999:-1 gene:Dexi7A01G0017240 transcript:Dexi7A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGAADEIAEERDPAARRDAGAPDPKKTKSAATIDGASGDICDDVVRNIFARLPARTAVACTALSTHHRRLIRSPEFRSLHLRLAPPLPRRHIAYVATAPIRRRPEHDPVSTFHGFHVAGITGNKEPPKAPMRMLAGVKYLGTSYANTCNGIVLISGEEFSAPARCVLWNPAVADDVKEVNVPSPSPEKEFLEQHLQDTPVPQGHARSYHSHYGGRCPGTGKRRQIPEETSSRVKGGTEGKDQPQPVILAIDVDEESVSTIDLPGPPDERLWWHERVQLLELSDDCRTTLWLLTVDHQWETRCVIAKESSAYYCDDYLYDCPVAGVWDCDDVLVLLLLDDMLYKLCLYHVPTEKIFKADLPADLTPKWSDYKVCWGYRPTLVSPASIVDKLNQQGKETRQGHSLELRQLLKPVKEQEMRKGKKSAPFCLSVWKFPRAFQRPHQCRAGPVLLTAHLGLPRGPPSHAPIHISKRQHLLSYKSTVSPAAIPLPLQSTAQPTGHCSRNHQDHLTTSMGPLDVEAGVSTIGADTVTAADMAEATLDVAKLRRVLVTGGVGQAAATLYMMLFRTPAGVFLLSGPLLRAYYFILAAIVLFGVAEAWTGLWLAHGDDPAPPRRRAVGVVVLWASVVPMLVLAGVGGLAALRGADRPPSPIKQNNPSQAVTFHGSIMPWCEEPELSTSTITFDIVFFVITTTFFANRFGPHAVTSDPNMKLYFSLPSGLHLQTAHHWPETKSHACRSFGPRAMPLPPTSSPTELPAYHYIYKSTCSSKSSQTKLAREHRAISSDPAVNDDERTMPSAAAPTEMADAARDDRKLVRALVGGGAGKAAAALVLALYRSPGGVFLHGGRALLYAYYGVLVAVALLGGLEVAVGFWASGDLDRRRRWGRVALWVSVIPLVFIAGLGGFAVLK >Dexi2A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:2A:24445707:24446979:-1 gene:Dexi2A01G0014630 transcript:Dexi2A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIAVLFQTEKCGFGLIAEDDIKKGEFVVEYVGEGIVLFKLIVSKFVIVLCITNQMLDNQTNIHKFCINVIVIDDRACEKRLWQMKRLDDTNFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYNLGMIKIVIVDLQTVEKCSEQRSMSDVGSLTSIKKLKYIL >DexiUA01G0018580.1:cds pep primary_assembly:Fonio_CM05836:UA:39297338:39307103:-1 gene:DexiUA01G0018580 transcript:DexiUA01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAAAHVGGIACMAVLDLSDEVEGDQDGTTDFVFRLAGDPIPLLPTDSAPLPLFDLQSPPARPLAVSDRHATVFLAHPNGFMAVKTKELIEASKEVREKGKASTRCAQDCCVADVPLPGVSLLAVSHDDSMLAACTNAEIHFFSLASLLTHKDVVPSSSCSLGRAGSVKDFKWLNHASAAYIVLSNGGLLSHGSLGESLKDVMENVDAVDCCKEGNHIAVAKENKLTILSSDFKETCCMSLLFQLWSDESDSEGTTIK >Dexi8A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:8A:28899874:28900768:1 gene:Dexi8A01G0017150 transcript:Dexi8A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALGGVERIFKAVMAIKEAVETVHQNKKDCHDIERCVDDVYALLSELHHETDMMKNPAMRRPYDNLADSLEEALELIERCQGRNTLHRYLAAGDMAKQLRRVREDIVLKLMLGNFANSVQITITLTRTIRCGGAHPLLTHTGI >Dexi3B01G0021270.1:cds pep primary_assembly:Fonio_CM05836:3B:16170308:16170742:-1 gene:Dexi3B01G0021270 transcript:Dexi3B01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDDDETAASAAEAATTDVELLKRAWRNEKAAPEVLRFDSPLVSRVREQIQLLEETLNDFADSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKVRHIKKKN >Dexi7A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:7A:16638935:16641819:-1 gene:Dexi7A01G0005400 transcript:Dexi7A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTMPSSIFLSFATALFVVLLIISLKKSKQRHRRRLPPSPPSLPVIGHLHLFKKPLHRSLSALAAAHGPVLLLRFGSRRVVHIADPAAAEECLTTHDAIFANRPRLPSARHLSNGYTTLGSSSYGPNWRNLRRIATVEVFSSQRLIGSSDVRAGEIRHMARRLFKAAAAAGAGAVASSPARADVKAHAFELALNIVARMIAGKRYYGDEDGDNGDAGPASEEAERFRAMVQEYFKMHGASNLQDFVPMLALVDIGGVNKRAMRLSKARNEWAQRLIDEHRAATVAGRDQQRKTMIGELLEMQVSDLEAYSDKVIRGLCLTGTDTSSGTIEWAMALLLNHPAAMAKARAEIDEVVGSARILEESDLPNLPYLQFVIWETLRLQPIAPLLAPHESSADCSVAGYDIPAGTMLLVNVHAMHRDERLWGEDAGRFSPERFEGGKSDGKWMMPFGMGRRRCPGEGLAMKVVALAMGTLVQCFEWRRVGGEEVDMAEGSGLTTPKAVPLEALYWPRSEMISALREI >Dexi5B01G0022050.1:cds pep primary_assembly:Fonio_CM05836:5B:24279126:24282387:-1 gene:Dexi5B01G0022050 transcript:Dexi5B01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRTMSLLLLLLVPLSVAAIHNDETALLAFKAAAGSGGSDDPLAQWNGSRGGYCSWEGVRCRGRHQRVVAISLPSQGLTGVLSPAIGNLSSLRFLNLSSNKLTGDIPETVGRLHRLRVIDLSYNGFYGELPANISSCTSLKVMDLHHNQLYGCVPYELGSKRTPLELLDLSFNNLTGAIPTSLSNFSSLIILSLAFNKFEGTVPDGIGANLGLRFLGLAFNNLSEYGEGSSVSSAGDVYSLGILLLEMFTGRSPTNDMFRGSWDLHKFCEDALPRRILDIVDTTLWLHTVIRDNTMRSTIENCLLSVISLGISCSQKQPKERTPIQDAAIEMHAIRDLYLKSAASVVQEHSEIATESADL >Dexi2A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:2A:3450949:3451152:-1 gene:Dexi2A01G0003900 transcript:Dexi2A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGAPGRRRALRSVQEEMMQPASRTEKRTAASPAHGVAPDALLQMRRQRKGGAVWEWDGNWEIRA >DexiUA01G0015810.1:cds pep primary_assembly:Fonio_CM05836:UA:33769835:33770375:1 gene:DexiUA01G0015810 transcript:DexiUA01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMVGHIAIVGGWGISARRHPPQKNKELWLTRAVADGRFISFSRVACGAPGEPQQDAYCHLGGVQKEAEEHTDGLVFQ >Dexi3A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:3A:7328318:7330429:-1 gene:Dexi3A01G0010260 transcript:Dexi3A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDDKDIEDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPKLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHGKSAMLTSFALIKLSKSVV >Dexi9A01G0045740.1:cds pep primary_assembly:Fonio_CM05836:9A:49280174:49280893:1 gene:Dexi9A01G0045740 transcript:Dexi9A01G0045740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGSSRITEEQISELVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHQEVDDLSVRLSELLETSDMSSAQVAIIRSLLM >Dexi2A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:2A:33552235:33555253:1 gene:Dexi2A01G0021480 transcript:Dexi2A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDLRTRDLRILVPDLSSPSTIFIRVVVVNLEHYKAIEALVLDSRSPLFTLHARVASPVLTVFLSSFLERTTLLCSCNLVHGTKAFVPVLDELTSEQLVPKSNRSSNTDEHFLLTRSSRGRRAANYLAVVAVTLSAAIVGVGIRGTRQRAAPLLGPWNDTRGASRSSQLEKEGCSHSCAAVLPCSSISTSVEQLLTAGRRLLPKEVSNEPRGLVAMVAAAAAAVGEATPPPELPPSRVSVSSPSPYSRRRCALASRFREPAAPRRHAWVSLQGRLVGAKEASSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSIHLRDEVLEGMQQKLDDLLVEMNFLQQQYVMCDSYISSEREKADSAGSKKIGDEEGSRCCVCAKPEVAATPEKTKNHSGTTDDGRSDVIDRSSLSLMDHEERRMSDLSDFCWSVVSSVDNQINGDNQLSFLAADQQLYNLQKECEEKDATIKELAVAAHASSTADAKRIAELQEVLKRKNMVISKLKKDMDALKQMVVELSRDKRSSSAISPICTDLPVMSNNVLYDMSGSSTSSSDSESPVAPRYHDERLVDSAPGDSDSKGSHEVSATKTTVPSKMSFPHKPRPSSPLKEIRINPKVETNAFGRQKQATSSNGDFKKIRRQSHQDSRTKASRRWV >Dexi4A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:4A:24765450:24768671:1 gene:Dexi4A01G0021070 transcript:Dexi4A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAAMVALVAVTAAAVLAGGGARAQLIEGFYSHSCPQAEKIIKDYVMEHVPHAPGIPATLIRTHFHDCFVRGCDASVLLNATGGKKDAEKDAAPNLTLRGFGFIDRIKAILEKECPGVVSCADILALAARDSVNFIGGPFWSVPTGRRDGTVSIKQEALDNIPAPTFNFTQLLQSFQNKSLNLADLVWLSGAHTIGIAHCNSFSKRLYNFTGRGGPGDADPSLDKFYAATLRRNKCKTPTDNTTIAEMDPGSFKTFDLDYYRGVLKHRGLFQSDAALITDAAAKADILTVVNGPPELFFKVFAGSMVKMGAIEVKTGSEGEIRKHCALVNKH >Dexi3B01G0030070.1:cds pep primary_assembly:Fonio_CM05836:3B:29532043:29532387:1 gene:Dexi3B01G0030070 transcript:Dexi3B01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLVRFAGEEEEGEERVRTLLRMQNEVGETALHGAIPRVPEEDGGVSPLYLAVALRRYAIARDLHARDKQLSYSGPAGQMPCMPRFFKAKFLFR >Dexi2B01G0024700.1:cds pep primary_assembly:Fonio_CM05836:2B:34073468:34074295:1 gene:Dexi2B01G0024700 transcript:Dexi2B01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTLWGRQIAHLPRWANSGVAAAPPFLAVKQRHPQFSLVHRTSSFSSQHNQLTRGTAGPLMAERELIGKDKLFHGFHGVKQEEKTLGQKFAVDVDAWMDLSTAGESDSISDTVSYMDIYRIVKDVVEGPSRNLLESVAHQIASATLLKFPQISAVRVEVKKPHVAIQGIIDYLGVEIVRYRKDVAGSSPEAPVH >Dexi3B01G0029610.1:cds pep primary_assembly:Fonio_CM05836:3B:28879456:28881069:1 gene:Dexi3B01G0029610 transcript:Dexi3B01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDANNNSGSGEAATTKYPLNAESYRLLCKIGSGVSAVVYKAVCLPLGPTAVVAIKAIDLERSRANLDDVWREAKAMALLSHRNVLRAHCSFTVGTHLWVVMPFMGAGSLHSILSHGFPDGLPEPCVAVVLRDTLHALCYLHEQGRIHRDIKAGNILLDSDGSVKLADFGVSASIYETSPAAPSTAALSLSLAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLLRITSRVRLEDDAEVVSSSSSSSSGRKKKRFSKAFRDMVSSCLCQEPSRRPSAEKLLRHAFFRGCRSNEYLVRNVLVAVPTIEERCKDATDLCGCASGGARCLSPCRHGGGGGGGKNRRISGWNFNEESLEFDPTEKSCLPFHEEDSGDELESNSTAGDKEDGRPAAAEGSNPEEKEAAATKTIGLKEVVIPQLVTILESLEMQRGMVMHVLQSGGCHVTGGGGDGIMADQEEKREEMLVGYVRQLEHRVEELSTEVEEEMARNARLEKQLQERTSSGSN >Dexi6A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:6A:2761364:2766396:1 gene:Dexi6A01G0003000 transcript:Dexi6A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDPAHLQNELEQLQPKVLTVKAHLKVMAEVDKVLFLMGLDRLERLQLLEQLDLSFNNLDGEVPSEGIFNNATAIRIEGNQELCGGPPELHLLACHVMPLDSSKHRLSSVAEKVAIPVVIVVLLSVAISIVFFIRRQKQKTESISLPSIVREFQKISYSDIVRATEGFAASNLIGQGRYGSVYKGQLFGDGNVVAIKVFSLETRGAQKSFIAECSSLRNARHRNLVPILTACSTIDSTGNDFKALVYEFMPRGDLHQLLYSSRGSVSEDSPVLTNVSLAQRLSIVADVSDALAYLHHEHPGTIVHCDLKPSNILLDSDMVAHVGDFGLARFKFDSATSVSTSDTNSTSSMAIKGTIGYVAPECAAGAQLSTSSDVYSFGIVLLEIFLRRRPTDDMFKDGMSIVKFTEINFPDNVLQIVDPQLLQELDLSMETPMAVRDSEAQILQSVINIGLCCTKTSPNERISMQEVAAKLHVIEDAMHILAETEGRAKL >Dexi5A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:5A:12689569:12690076:1 gene:Dexi5A01G0015170 transcript:Dexi5A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERGRDVEQELMEAREGRQKRLAQLLEVDSTPLAVDGELSPLHLPQPPGERVVVHQRPGDSILDNRHRITVGHGLVAPVQEDARDVDSYRLIWSSMSDRSFSSRGVGAAGVTSTAGRLRTVNFNDDDDAVKLSMGTLISYCTIMESLVVTSTVRFIM >Dexi2A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:2A:10638787:10640538:1 gene:Dexi2A01G0009680 transcript:Dexi2A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRGELGRQLPLRGPLKALEADIHHANAMADAIQRNYGGACVQMRLSFSSLAPFFLYLVQWFDCGCCYALPSYLGLFHVLICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDVVNRRRIDDWKKLSGKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSRDLWVLTNYNDVIDPANLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >Dexi6A01G0003610.1:cds pep primary_assembly:Fonio_CM05836:6A:3354903:3358563:-1 gene:Dexi6A01G0003610 transcript:Dexi6A01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRYGIVGVGMMGREHLHNLAHLAAEVEREQSVKVRITGLADPHHESLRLGLQLADELGLPAPQTFSGHRELLDSGICDAVIVSSPNMTHYEILMDIIGHRQPHHILVEKPLCTTVQDCKKVIEAAKQRPDIIVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDELYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRFGKRTEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRAQGASGPSVNLSDGLLSVAIGVAGQLSIEKGRFVTMEEVLGS >DexiUA01G0021900.1:cds pep primary_assembly:Fonio_CM05836:UA:45102024:45106463:1 gene:DexiUA01G0021900 transcript:DexiUA01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVPIPAPPPMPPSAAEEAEKEKRKNRRRPTRRSKQLQQGAAPAAAAPQGAPHADAAGPMSVRSMPPMHVGGGARADAERRRPRRGRATPAPCFPRRGPRRRSWRGRVWELPGCGTSSLTGLSGPWRRPSRGHVFVGKFRAYCTIDGIPVDILITGLAQNRAVEGDLVAITLDPVVHWTRMKGPNVACSPVTGGDSVAHEIGETNGNHCRRKGQADAGCRFENCSNGVPVMDRTHLHHKNSGFSQAVKCENGNATVPESNERDLSDVKSEAARALQRICAMIYSHPGRRPTGKVLSVIKKSPRRDAIVGFLASFPEFPDGDQQKNQMDVKRMNNRAQSVVTGLIHLLPTDPKFPRMVVSVSTLPDSGRQRLREGDAAIEKELVAARLDEWNEESFYPYARVVRFLGKGGHVKTHMDAILFENAISDAEFSPESMACLPDNCWEIPQKELEARKDLRKVLTFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETALDAEAQSRSTSVYTLRRKISMLPSRLLEELVSLNPGVDRLAFSVIWDIDPHGNIVSRWIGRSIIFSCCKLSYDLVQDLISGDASQSRSAVSSLQVHGIFERDDVIKSLRGLYEVSKNLKEIRFKDGALSLDTAKLMILFDEDGAPCDSYRYVRNDACFIVEELMLLANMSAAEVISNAYPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSKIKEKLQDDPVLFDILMFYASKQMQSAEYFSTGDLISKKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEEVYMKQKKSFTGRNGVKASCDLMDRCFTGLQFSKDAAESEEGKKALSAAAKKFKVPSPENLGEVAEYCNERKWAGRRAEDAGHKLYMWAMIKNKEIVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATGTLVLDACRNKPAQRRGTQMKCRAIEEVAMMVNPSESMLSEEDEESGATEAGGCTAKSVLLSGDAVKARAAPAVLPLVIHYLSDIPVVLHATGGEDCAVDIGVRLYMASYFK >Dexi3A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:3A:9475743:9481424:-1 gene:Dexi3A01G0013040 transcript:Dexi3A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKGMVAGSHNRNEFVMIRNDGDAPAAAKPTKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRQKGSPRVHGDEEEEDVDDLDNEFNYKQGNGKGPEWQLHGQGEDADLSSSARHEPHHRIPRLTSGQQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQIFFFKETEYRVTHPVRDAYGLWLVSVICEVWFALSWLLDQFPKWNPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGRRKKKNKSYMDSQDRVMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMIQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEVSYKISSDFISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >Dexi4A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:4A:22668217:22670730:-1 gene:Dexi4A01G0018700 transcript:Dexi4A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSCWLIEPETHLPSPMGFAGRVSALLLLLVAGAVASDQIFTASGVPFGRSSREPRYHVEYHPVDSPFEPHEGWWSYEFCYHGRIRQVHVEAEKVRIVQEYVLGEYDNDATIAYHENSTSEFPDDNNHVKDISKRLDLSARNLLS >Dexi8A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:8A:1772042:1773671:-1 gene:Dexi8A01G0002720 transcript:Dexi8A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTRKEPAADHAAVEPEAIVPEEPREVESATPSNPPSSVEAQVEETIAKVLVDIDQLVTQAAIEETEVERRDQNTAEPPSAVPEAECSRGKQAETSTQEQLIEEIPRVPRSTGAKEEIGSFRIGSYDPMLNPNPQAFEYILDAEEDEEHIDRGLNHAERAVAYFKAVGEASRKKTEYIHNISLMHAKADRLQKELECEREDRKLQEAEDANMIRTLHLRTKELVAEKEDMKKKLNAAKTELKAGVQQQLTTAQSKMTDWSNFANCHEEALKTLSEEHHTIKEQLRVAVEQRKDADAQLIQVIEQQKKFAKDLEDAREERNQLSKELVQLQKNLADKKALDEKLEQATRRMSELEETIRQMKKSDDDLAEALNRISLLEKAVNPVVKALVPEDPSSPQSFLEHIGKLVEGAEPNCTEAAFRDLKQEADPVAKAIAQSLRL >Dexi3B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:3B:1154104:1156735:-1 gene:Dexi3B01G0001630 transcript:Dexi3B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFLSILLHFAAADAETSRSPATALFVLGDSTVSCAGSILPLNLTITPSLTGAGGPCLFFPSTRRLLPDLLAAKMGLPSPPLISTLNGTASAAARGVNFGGPQYYHYGGDRGIFRMGAVGQQLRLAAETLQLLQLETGTAQDASSAAAEAAVFVLSFGTDAYARLLSRGGAEAADAAAPKHGRRGLGRLLADRIARAVSELYEAEVRRVAVMGVAPLGCAPRVMWEGIGSGHGGCVEEANELIEGFNARLAARLDDLRPQLPGADVVFCDVYKGMMEIISNPGRYGLEETREACCGLGPFKASVGCLSKGMACATPERHVWWDLYTPTDAVATLLADWSWSPPTTTMSICTPLSLQQLVAAHGHGLTT >Dexi9A01G0049280.1:cds pep primary_assembly:Fonio_CM05836:9A:51928108:51929421:-1 gene:Dexi9A01G0049280 transcript:Dexi9A01G0049280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPNTTPHDAGAPTSSASAESALARADDDWSSHCCPGPDQLVFRLCTFADTWWSLHDLAELGGAEACAAVEAFLHLRVELVVLEPQVVAGQRLEGLEVAEREVDVHVEAPRAQHRRVDGVLAPAHGEHHHPLLAAAGGDAVAVEVLDEDEGARGGGEEQRAEVVGGGAGEVDVVDVVAEEARHGGGEGGLAGARRAVEEVAPAPDAAEAEVARPLRKVSRSARTRDLRSGSMATASSVAGWVKGADAHRGAAPPPKRGTSTYWYACAHSSAHRQRRRSAYSADL >Dexi8B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:8B:770249:771773:-1 gene:Dexi8B01G0001130 transcript:Dexi8B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGTDGFSVYDAGGALVFRVDNYSRRRKIFAGELLLMDGQGSPLLTLRPQCSVLLKDHEAEVSMSGCSTASDHTGHGSSFWIEGCFRRRSCKIRSSDGKKVARIMRKKAEAASSSLTLGDDVFSLVVEPNVDCTMIMAFIVVLDRICWRPYTPMICSS >Dexi2A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:2A:8428892:8429503:-1 gene:Dexi2A01G0008200 transcript:Dexi2A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDIAAGGGGSGGSGGGGGTSIHVTALDGIVNVNSLFTLAAFVGLAWRPSSDGPGLADGADRTGNPCAAGDRAESDLVSFHVLAFACFLFSSLVALCLKQLVRTYPRRYRHGTPSSSSAVVGRTARINRAALRVGILASAVGSVAGCGFLMLALVNVVQVKLGRLGCGDGGSAAWAAVVPLVTLVPAAMLIYIGIVFYAFTR >Dexi1A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:1A:2803384:2807657:-1 gene:Dexi1A01G0003840 transcript:Dexi1A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRRDYSSSSNEKQKANRSPGNSDLDDSSHVRFSSFGVTVEMHRKLQQKLCESVVSLSFIGDREAYQYTGLVIKSGSFGSRFVTSATAIRSKEAGRNINTDMSIRVSLPNGEMALGYLLSVDFDYNIAVIGSGPFPVLKEASLDQPGGVGDINVAAIYCNSTGQMMISTGFQTMKSINLCGQNLIMSKCIIPEFGIGGPLVDSDGNFIGMNMSCVNNEGTLFLPIDAILVSIVPYWLPSTSVAGQAVRLRARIKIKQRRDNQIERSSSEICKSSTPAALNSEAYLSPHHKKEKGIGSDKSPQMSQKSSVSPTSDSESESSQDTSDLSDEPLPDNEFMKAFTNDLLSRGYPLPKMLDGDMKLRRNFEEEFSEDIWNRLPEKVALDTSLSVVSLASFRGETRFFACTGVLIGRHKSIARILTSASLVRFSNKNEIDSSLKAGIGGPLIDFCGNFIGMNFYGAKRTPYLPKHIILEQLKDFDRKGSAAAKINKDSDPNRFSVPGPYWWYPSLAPRFIFERWRRH >DexiUA01G0008140.1:cds pep primary_assembly:Fonio_CM05836:UA:15327872:15329612:-1 gene:DexiUA01G0008140 transcript:DexiUA01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAFACSRKLRGRISFVLPVSDRDRDDRSTTTTTTTSTSASASPSQSPRKPGDTTTAPAVVVRTTAAEFTRRYSLGKELGRGEFGVTRRCKGTTTGESLACKTIRRPRPRARRLSGGGASPAQQQQPQPQQDNAADVQREVAIMRRMSSRGGAAVVRLREACCEDGGAVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSSGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFNPGDRFTEVVGSAYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGGIDFSREPWPRVSGNAKDLVRRMLDPDPTTRPTARQVLEHPWLKNADSAPNVSLGDAVRARLQQFTAMNKFKKKALGVVARSLPVEELDKYVQMFRVMDKDQNGNLTLEELMEGLHINGQPVPEPEIRMLLEAADVDGNGTIDCDEFVAVSLHLRKMASDEYLAK >Dexi1A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:1A:9429402:9429708:-1 gene:Dexi1A01G0010850 transcript:Dexi1A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPREPPVRGGTRAVAVDDIPAYKQRDDAKLPDGPSSECAVCLGEVQSGETVKRLPVCLHVFHQTCIDPWLLSGKSTCPK >Dexi2B01G0031380.1:cds pep primary_assembly:Fonio_CM05836:2B:39569037:39570567:-1 gene:Dexi2B01G0031380 transcript:Dexi2B01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEEGQLGDFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVFTALSFLIFSRENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGREHLAALGGSLQSIAIAKSGIIKQQRPVM >Dexi1B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:1B:5531515:5534427:-1 gene:Dexi1B01G0006780 transcript:Dexi1B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTTEELRRTMDKKHNIRNMSVIAHMDHGKSTLTGSLVAAARIIGQEVDGDVCTKGTCADEVADGITIKAAGISLYYEMTDSSMKTFKGERDGNKYLINLIDTPGHVDFSAEVTAALRVTDGALVVIDCIEGVCVQTETVLRQALGERVIPVVVLTKIDKFFNLQDDGEEAYKKLSWVIDKANAVISTYEDQTFGDVHVCPLKGTVAFSACLHGWAFTLANFAKMFAPTFNFTESEMMKKLWGENFFDVKTKAWTTMNTGSGTCKRGFVKFCYEPIKDMIKYCMNNQKDALEKPLRKLKITLKDDEMNLTGEDLMKCVMQYWLPASTALVKMMIFQLPSPSTAQKYRVDNLYEGPLTDKYATAIRNCDPEGPLMLYVSKMIPGSDKGSFFAFGRVFSGRIASGMKVRIMGPNYAPDQNKDLYFESVQCTVIWMSKKYEHVRDVPCGNTVAMVGLDHFITKNATLTDEVDVDAFPIRAMKFSVCPVVVVTVQCKVASDFPKLVEGLKLLVKSDPLVLCTIEESGEHFIAGAGELHLKICLKDLQEYFLDGTELTISTPFVSFRETVLQESDHPIMRKSQNKHNCLHMKAYPLMNEFTKAIDEGHIQLSDHMACSKIFSEVQSDRDLSRELWCFGPDTNGPNVIINTCKGVKYLDEFKWASKEGALAQENMRGICFEIYDAAVNANAADRVSGQVIPMVMEAIYASQLAAKPMLLEPVYLVEIQAPKHALGVINSVMNQFRGQVLEEIQRPGTSIYNLRAHIPVCESFEFSRTLRDVTYSQAFPQYVFDHWDMVPSDPLEASSHAAQLVLSVRKRKGLKEQMPPLPF >Dexi6A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:6A:26848004:26850349:1 gene:Dexi6A01G0019210 transcript:Dexi6A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKNLAAKELIYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSTLMMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDRLEIVVINSSGTQREYIELRKD >Dexi3B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:3B:12575092:12575488:-1 gene:Dexi3B01G0017150 transcript:Dexi3B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPWGVAVCIVDMVWVVLAGWVSTCLVVANEIARAMRNGEIGPFVGKLADRSSFPLQDDCNATILASTAQFGLSY >Dexi6A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:6A:18054728:18059699:-1 gene:Dexi6A01G0011920 transcript:Dexi6A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEKMASIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGEDLREMVQECYEIAAEYERNHDSQKLDELGSMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRVKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRARADELHRSSKKDAKHYIEFWKKVPPSEPYRVILGDVRDKLYNTRERARQLLSNEYSDIPEESTLTNVEQFLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPTTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEGAPAALARLFSVDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSSELWPLGEKLRANYEETKRLLLQVAGHKDLLEGDLYLKQRLRLRDAYITTMNVCQAYTMKRIRDPDYHVTLRPHLSKEIMDWNKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >Dexi8A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:8A:6119993:6131475:1 gene:Dexi8A01G0006110 transcript:Dexi8A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVQHLGNATSTTSIHHLRYLWKSPRGTVIRIETLAVAAIVLTFFLVAFGSCRRWSSRWIVQKGFFAAQALSLSLGTYSIGLMQSSSVRSEMYPIWTVSLFALFGCIDPVTNYNGFDYKGPLSKVVYAICLYCGYTLLMSISGLSSVVGNTAICVLSAITFIKGFHRTLAFVQQSRMRNTIARLGDDYFGQLSVLKCKGGPGSHLIPPPGPQRSDLIPPPPGPLGSNFMPPAPSGSNLIPPRPEYFLIVESAIIGATGTGIPTMNPHAGMPIVDPNVRIWDVVKLLNEKKGGVFINNEKEDELQSCYDACVAYSLSHSLQRHVLLLSSRKARPIQPLDGVNYEWGLKVIEMELAFLHDIFFTGNAFLHYYQAKTACMWALASLTGICFVGVAAATPGAIASSHGGSTNIVGTTTADLVITFSILVSLAMLQLMQLLRCWTSNWARLSVVCAYAKNSKRNQGTSDDSQELLKKLKSFVGLKKVKWRSNWWASYWIGLRWFVATRTNWFDKHYLWQEKIGQYSLLPAGEKEAEDWVLLEVTRSNYRSNRGCGKMVGLDYIWEVLWDLLGSDTDKRGDARLHNDVKKSITDFLCQIKNDTLDGSWSSYPGHSGIEAFLLPYTCEPQLESEPLGSRYTRCVLAWCVATWHCELAEQEQEREQERTKREDNMEKVRDCVGTDDASNLENIAAARGAAPAGGEAVGGEGEVGAAGGEAAAGGGGGGGGGGAAPGAGEAGAGGEAQGGGAGEAGAVAGEKGVTSEKRDHRLVANALAKYCAYLVVSVPELLPGLVLDTKMACDYFAKNSARSARTRDRDGLLKQVSDPVYWERMYRALGGSPKFLGVHGDYSAHRNLSTITSSPDRWKTLAAVWVRMLVYAAPYGIPGAHMRQLSQGGEFITHLWALLYHLCIREWKPPGSNKPTQLVGPHGVIKTDIIDTDDAKRIISNFSQDSEAVVVAFLHTKKIIAYSRKDQIPIAHDSEVSLDTIKKFAQDFYEDKLYMSEPPDVKIVVGDNLDQIVLDERKDVLLVV >Dexi4B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:4B:16073542:16076045:1 gene:Dexi4B01G0014830 transcript:Dexi4B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHVHHLLLVVTLAASLLASATSSSSSCAGRDDAATIAAAFRHVRNFRPPRVRACRPVRDLRLPSRNLTGAVSWGALANLSALAALDLSGNALQGAVPGGFWRAPSLRAVDLSGNQLGGALRVEAPNTRLLYLNVSRNRFTGVEGLEGLPWLVALDVSANRIRAVPQGLRRLARVKQLDLSGNAMQGRFPGDLPPLAGVRSLNVSYNNFSGVVDSGSAKKFGNSAFVHAGNASLVFSSEHSAVEPPPRRPSPSPPPPRGKGKKGGSDGTTSETKKTTRSKARRRHLSVVALAVIIGVASLAMLLCLVGCVACGVTRSRKNEGKDEERKKPQWSEKEDEEEEDVVVVATKGASAAPVVLFERPLMQLTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGAMAGLGGEDDDPAAAATAFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDVSTGGDIWESSAAEDKRSISDWPTRHRIALGVARGLAFLHQGWTGGGGGASAVVHGHLVPTNVLLGDDLEPRISDFGHPPLAGGEHHHTSASTPEGDVYAFGVVVLELVTGQAGWDEASVSWARGIIRDGKALDIVDPRVRDVEAAGPEAEKEMVEVLRVGYLCTAQSPDKRPTMQQVVGVLKDIRAAPATPGASQSQPA >Dexi6B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:6B:4079742:4080408:-1 gene:Dexi6B01G0004800 transcript:Dexi6B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVITSMLIGPLVSMVKDKVSSYLLDEYKVMEGMEEERKILERKLPAILDIIEDAEEKAAYRAGVRAWLKALKEVSYEANDVFDEFKYEALRREAKKRGHQPQHNMLSIDVVSFFTAYNPIMFRVKMGKKLQKIVQGIDVLVAEMNAFGFSHRQEAPPSKPFRQTDPVMVESEKDIFTVIPK >Dexi2A01G0036810.1:cds pep primary_assembly:Fonio_CM05836:2A:46266020:46270790:1 gene:Dexi2A01G0036810 transcript:Dexi2A01G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSRIYISGGTMATSACCLVALVLVLAALASSASAQLSSTFYDTSCPNALSTIKSGVDAAVAQEARMGASLLRLHFHDCFGCDASLLLNNTANFTGEQGAFANAGSIRGFGVVDSIKAQLEALCPQTVSCADMLAVIARDSVAALGGPSWTVLLGRRDSTTANLSLANSDLPAPSLNLTKLLAAFSNKGLDATDMVALSGAHTIGDAQCKNFRAHIYNDTDINAAFATSLQANCPATAGNGDTNLAPLDTTTPTTFDNAYYNNLLSQKGLLHSDQELFNGGSTDNTVMNFASNTAAFSSAFTTAIVKMGNLSPLTGTQGEIRLVCSKHFGAGGGTDGLVGVGAAVVDVLRHVVPQRAVHHQERCHDGRQQRAADGSVAAQAALPRLLRRCKSRAPCPALLYVAQTFSGHELLTRFNTLINDQGCDGSVLLNDTATFTGEQGAIPNKNSLRGFTVIDNIKTQVEAVCNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTNASKSNANSDLPGPSFNLANLTQLFANKGLNVTEMVALSGGHTIGQAQCRFFRDHIYNDTNINSTFASSLQGNCPQASGSGDANLAPLDATSATSFDNAYFTNLLSQKGLLHSDQELFNGGAADTTVQSFASSSSAFSSAFAAAMVKMGNISPKTGTQGEIRLTCSKVNS >Dexi4B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:4B:6896496:6898006:1 gene:Dexi4B01G0009510 transcript:Dexi4B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAAKWQSRSVTGTDDCSSSTKEASVPQTRVPCSARSLRRRAALRPRKKPPPARSPSHSEPATAPDPYSSREPARAADRPGPTAPTHPHPHPHPHHTTATLLFSATAGKEKRSARQLARPRDTGRRTAPPIRPGRGRSVRGVKLKQPPPSTVTLTPSSRPSTSLASPAHCASALPPRLLARSHLPPACLLQASLLASSTSLPRPAAGGAGRERVRVRARGPPWGPSSRVAAAAVIPGEWLWHWAGCIAWRFPAKFSGFACSCACLLSASSLISLAAACARFRW >Dexi8B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:8B:26244779:26245998:1 gene:Dexi8B01G0015450 transcript:Dexi8B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTDAITRRTTSAIPATKGPRIERAALAARPNSAPRLIQHKKEAFWFYRLISIAYDELLNPPHYTEDMLEAALEPVDLHSRHLKVIDVGGGTGFTTLGIVEHVDPENVTILDQSPDQLKKARHKEALKGVTIMEGDAEDQPFPADTFDRYVSAGSIEYWPDPQRGIKEAYRVLKTDGVACVIGPVHPTFWLSRFFADMWMLFPKEEEYIDWFERAGFKDVKLRRIGPKWYRGVRRHGLIIGCSVTGVKRGHGDSPLQLGPKAEDVSKAVNPITFLLRFLLGTLCAAYYVIVPIYMWIKDKIVPRSMPI >Dexi4A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:4A:5998797:5999375:-1 gene:Dexi4A01G0007980 transcript:Dexi4A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTRSISFSAASVPGRWRWKTCTSPGVSSNSASPLHPLASNAAWYALPFDARKSSPATATSTRAVGIIASDGAAADVGDSLGSSTRASGFPVTNLHSLRMRSSSLGPMVFDASQKYGCISITPRIAAGWPSAAARMATLCAMLAPALSPAKNTRVGSPCWASHGSGADPPGAEETQAAATAHKSAAHESS >Dexi8B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:8B:1395762:1398788:1 gene:Dexi8B01G0001980 transcript:Dexi8B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSEAFEAWTRPTRRRGHPPAGWFVVGGDVRAGTETEREEALQRKPLRPLADPSPMSSLEEPLGLGDLPKLSINRLGGFLPPSSRKASADDHNTGRYNDSCNGMVFHGHSHQWHPQCRHADSSCDTVEIRDLPRKVMWDLPRFVKIVEVGPRDGLQNEKGTVPTSVKIELIHKLVAAGLSVVEATSFVSPKWVPQLADAKDVLKGIQQLPDVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYKMGCSEISLGDTIGVGTPGSVVAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQMGISIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKHLGRPLGSRTAAALRKLTT >Dexi2A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:2A:27917585:27919988:-1 gene:Dexi2A01G0016340 transcript:Dexi2A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVKAFLNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAGIWRRKKQRPNSKGVYKPAFTFPIRATSRG >Dexi9B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:9B:7973791:7976669:-1 gene:Dexi9B01G0012000 transcript:Dexi9B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCPSSSTSAMPKRVAVDECPAKKTPSAAGVSESDAAPRIDAAPPYRLRFHQFRPVARPGDVRTSRRTPSTSSYILHGCHALPAATLSWSPPPKGTRARYYVTWVGWDGMREREIEWQARERAGSTGAGRARQLEASRGSRPVCVIVAMATPATSALGGRQRAGQAPMRPFACPTMPCLPPTGPHPRRFPAFFFALPTLLSLNIRTSDPPTPSPGIPCVGPPPHARSWLCGLYSCIIRKHAWPDADTLMEPAKLALLAALFLCVIRILGKGQEGRRARVSRQHAGANDRQYHWSGTTSRPVCPLPPRRTASHSSIVTDQSTACSSHTASAPPLALLSSVRVRAGGSSELTETEEEE >Dexi3A01G0025660.1:cds pep primary_assembly:Fonio_CM05836:3A:21372617:21379094:-1 gene:Dexi3A01G0025660 transcript:Dexi3A01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAASSIFSPTTPRRLPFAPTPAPVRKPLAAAAPKALTVSSQKPQRLPCPPSSCAPAPPPSPPEGTEKPDPIKLAFARAAAYKKERDTPSPPPAPLPTPPTAPTLPSQPQASAGESGSKEAFQRALEYRNGNGTGVRAGGGEAPLLGGSLDFGQNALLSEDVMFGKKGEYVFDETDFLGLDFFEKKRYKGPPPGLAPAFEPLTNDDFPEVEIIVGDPSKFEKSQLSTEIQPVDDKESKDSQSTSDMNEANKVEKAPPSTVIEQEQDEDDVYRPTVRSWGMFPRPQNISKAALREGDEMMNTGRLKQALPYYEKVMNAVDFKAMDFMKVNSTPVPKNTGYETYFTKFSGQKNYYASLDEPEVGLEQIIPYMLFLVSPIFIVAFVALRKSFQL >Dexi1A01G0026350.1:cds pep primary_assembly:Fonio_CM05836:1A:32296523:32297648:-1 gene:Dexi1A01G0026350 transcript:Dexi1A01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETAAPIGLSWAPKLPSLATTSGGGKTNPAPKPSTALGSLWKPASELVGGLFVPPNDPRKANKLARKNVKDTAGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQSPSEFYSGRLTKKERKTTLADELLSDESLKRYRYAHHANLFMSCH >Dexi9B01G0044000.1:cds pep primary_assembly:Fonio_CM05836:9B:43865945:43870643:1 gene:Dexi9B01G0044000 transcript:Dexi9B01G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPSESSMESQGPKPIRCKAAAVCRAAGEPLAIEEIVVDPPNAHEIRIRIICTSLCHSDVTFWQMKDFPGIFPRIFGHEAFGVVESVGEHVEEFAAGDAVVPTFLGQCSECVDCSSPRSNMCSRYRFAVRPGMPRDGATRFSDAQGRPIHHFLGVSSFAEYTVVDVNHAVKVNPAMPPALACLLSCGASTGVGAAWKLAKVEPGSSVAIFGLGGKKFGVTHFINPKELGEKPVSQAIVEMTDGGADYCFECIGLAALMNDAFLSSREGWGNTIILGVEMHGAPLSIPSREILHGKSVIGSMFGGVKPKQDIPILADKYLNKELELDKFITHEVGFKDINKAFDLLQQGKSLRCTIWMDM >Dexi5B01G0023040.1:cds pep primary_assembly:Fonio_CM05836:5B:25291382:25293784:-1 gene:Dexi5B01G0023040 transcript:Dexi5B01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAGLSVDLLIQFVVRLTVIDWLKFRGVCTAWRHAAKLALGSGRRPKPEPPWLMLAGRRTDRTTASFLTFSDDGHRRTAPLPEPAIQIRMWIGSAHGWVVTADDEFSLHLLNPVTGAQLRLPCITTMGFFHALPRTEDGRAAGFLFHHSSFLALHWPEERASAFPPDELPIDRMPLRFLRKAVPLRDPSGSGEYFVVMIHGPKFKLVFARQRDARWVILPSAYQFHDAILYRGQLYTMTACGALLVWEPDGETFKSRVAVPEHDEGEEYVSFKKYLAESLDGDLVLIWRERRSSRGEDDSSASDEDDDDYAEPDPTVGFQVFVLREGYDNISIAWRRKQEPRDMGIFNMKKKA >Dexi2A01G0033220.1:cds pep primary_assembly:Fonio_CM05836:2A:43498944:43499468:1 gene:Dexi2A01G0033220 transcript:Dexi2A01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPKSITSLPILTKGIVTADDARKVVEAGAAGVIVSNHGARQLDYAPPTIYVVKAVAGAVPVLVDGRVRRGTDVFRAPALGAKAVMALL >Dexi1B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:1B:11459456:11465373:1 gene:Dexi1B01G0011350 transcript:Dexi1B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRMMRVTMEVGADGVAIITMCNPPVNALHPAIFDGLTEKYGEAMARDDVKAIVLTGDMSLLPASVKLVSHMMEEGAKPSVAAIQGLALGGGLELTMACHARISTPEAQLGLPELTLGIIPGSGGTQRLPRLIGLPKAIEMMLVPGVTNVQLKPRQIRKVAVIGGGLMGAGIATALLVSNISVVLKEVNAQFLQRGQNMIAANLEGLVKRGSLRKDRINKAMSLLKGALDYSEFKDVDMAVIENISLKQSIFSDIEKICPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFRLQDVAGYGVGLAAKHIFAAAFGERNFSCDLMDLMIQNGRQGKSNGKGYYIYEKGRKPKPDPSVQHVIEEYRKRARTTPGGKVFILM >Dexi4B01G0002390.1:cds pep primary_assembly:Fonio_CM05836:4B:1515336:1518870:1 gene:Dexi4B01G0002390 transcript:Dexi4B01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPMAASLFRIPLPLLLLLLTILAASARNEEDARALAALRRALDPAGRVLGSWNPSGDPCGGSFVGVTCDPAGRVTSVSLQGRGLSGTLPPDVAGLRRLQGLYLHYNGIKGAIPREIGKLSELTDLYLDVNHLTGPVPVEIAAIPNLQVLQLGYNQLTGSIPPQLGSLNKLTVLAMQSNQLTGAIPATLGDLTQLRRLDLSFNSLFGSIPSKIAEVPLLEVFDIRNNTLSGSVPVGLRRLNGGFQFMNNKGLCGVGFSLLELCPSSEDGLKPSKPEPFGPDGTVKTREIPQSANPESCSGSHCSKSTNGSAGVLIVAVVAVVIGAAFCGLFAFSWYRRQKQKIGSSLEVSDSRLSADHFQQKEACRRSASPLISVEYSNSWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSDVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTLLRHDNLVSLRGFCCSRGRGECFLVYDFMVNGCLSQYLDVKDGSSASVLDWPTRVSIVRGIAKGIEYLHTKKSSKPSVIHQNISAEKILLDHNFVPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTDKSDVFAFGMVVLQVITGKRDVSQLKVGAAAVSDLDGLVDGNLNGVFSRAEAARLTAVAAYCTSETPSQRPTMEAVVQQLSH >Dexi2A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:2A:33518711:33519190:-1 gene:Dexi2A01G0021430 transcript:Dexi2A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPLQLVAAPLLLLLLVVVPAARSSRVFSVADYGAAGDGSRYDTAAIQAAVDACAAAGGGRVLLPAPGNYLTATVHLRSRVVLDVAPGARLLGGTRQEDYPAVSSRWYVVLAESTTGAGVTGGGEINGQGGAFVVTPSEVKNIMVSWNATGDCLGDE >Dexi9B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:9B:9119503:9122213:1 gene:Dexi9B01G0013600 transcript:Dexi9B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAIPRLRLVTRPAARLPSARSNWLRPLSSATHVTPPAAAAGAGSLEPPDLHRLANAARISLTPQEVEEFEPKIRQVIDLFGQLQAVDLESIEPSLRAGTATGSSLREDKPETFVNRDAIVEAIPSYDDPYIKVPRVLNKE >Dexi9A01G0041490.1:cds pep primary_assembly:Fonio_CM05836:9A:45161989:45164939:-1 gene:Dexi9A01G0041490 transcript:Dexi9A01G0041490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPRLALRAVGFLVLLMLHCGSELVAAVPPDGWYDYSAYTDCRGQPEPALYNGGILKFGNSGDPDGYRTTETGVFSPAFVVYNLNKTTMYTFSCWVKLEGANAALITARLAPDNSGARCIATVLARSDCWAFVKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRVATIHVADPQGARVVGASVSVQQTTKDFPIGSAIANTILGNQAYQQWFVDRFNAAVFEDELKWYSTEPMSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTGDDLRSAVNTRIQSLMTRYRGEFAHWDVNNEMLHYSFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYVAKLKELRSGGAILEGIGLEGHFSKPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAVYLEQVMREAYSHPAVSGVMLWTALHQSGCYQMCLTDWNLKNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYVLTVSYNNRTTQSTFSLSPGDETRHINVQM >Dexi3A01G0032830.1:cds pep primary_assembly:Fonio_CM05836:3A:37705263:37706204:-1 gene:Dexi3A01G0032830 transcript:Dexi3A01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKYMHGGNLENRLRGLEYLHKSCQPPLIHRDVKTKNILLSADLVAKIADFGLMKAFADEFRTHVTTQPAGTLGYLDPEYYNTSQLSEKSDVYSFGVVLLELITGQTSSVPISNTESIHVAEWVRQKLSEGDITGIAGPRMGGYYDVNSV >Dexi8A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:8A:3113438:3135016:1 gene:Dexi8A01G0004000 transcript:Dexi8A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLNKEPPDEEEGLLDLNQQPDDEEGLADEQPDDEEPAAEQGDIPELNDLYGAETGEQERVRRTCRRMALKLNCVTANPVDPARGPGGLADSQPTPVHSAPGGSSAMAGPSSSHGAGKAPASPQASDEDVPGDDSEDSAAPGTRTAMHNLGDVVGVTARTFRAVPIAYRPGYVGSVGQQMSSAHALLQNGPVAVKKLKLQVSSEDMVPVLEEKFYQEICSLMMAKHKNVVRFLGYCADAQGKVYNIAGKNIVGEERQRFLCFEFLPGCLDKHISGMGYMAPEFYRGVVTFRSDIYSLGIIIQEILTGTKEYLLVENESNEHLLVENGSNLSLIKVVLESWHARFQKSQGGTWLEHVSVCMGIALKCMEFHPLKRPLTPEILKILDELERTNGFIETQAPPLEPLGISKLLDIFPRDLHCCSVPYELTECPVTLTNGTDFYRQWQLPKDTCDFEVLMIVMRSKEGHRKLESKIGSKKKIDSNYVKRELDLDSDSDVDFGNGRIKLNFGDKDDYLKRTVEALGGMVHRITMTAVVDKLESDIGIMVDLSRDHVNTILDLDRDRDIDIMNTIMYMNDDIDKVDRKVQELGGKVYRVMVAAVCDKETFSMDHRSGGRLPKEIPFHLLKEITDGFSNERKLGSDTYANVYMGVNKDGEKIAVKMLFAMPGLDKMQFANEFNNLSRLQHPNIVRLVGYCHETEKIYVEHKGRLIFADKVHMALCFEYMHGGSLDMYLSDECTGLDWNVRYKIIKGICKGLKYLHEELRSPIYHLNLKPANILLDENMLPKITDFSLSRIFQNESTQVTMNVIGTPGYLPPEYIESNIISNKSDIFSLGVIIIKIMLGPMGHFGSADMPPQEFVELVRQTIYILCNIFRENL >DexiUA01G0022190.1:cds pep primary_assembly:Fonio_CM05836:UA:45351774:45356464:1 gene:DexiUA01G0022190 transcript:DexiUA01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPPQAHLATTAAAAAAPAPNPADDWVDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRGVHTSFSCHRCRRSKRAPSSADEAEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGLPGGGDAALFRGAPAFSAALWRCTGYVPKRFGFRYCEFPSWADDNDGADALFEMAREKPREIADAVSLGIEPKKEKHYVRSLSCRGKKVDGDQQPTPPLTETKKRESGSWKDGHQQSGACAMRDATREDRHAQTNMVSSDLQTVKTKKKMEESVDLNGEKKSSEQVPGMLSKNDKKVPSKLEFLSGARTTSSLAEQEVQSGCVAVEVSISHVTKQQSEGDHNAGLRSGVTSSGPIKMQDMQDLQKQSDQTSNVQDVAGAPDLRIGQSKSQIIKTELSSLENEKADCIHLVSDDHESNKQGLRDATGVSIVQRDSSKLTYDSVSVYREYPKSETQNLMHIDVDPSSTLGSSKVCTSVSIPRELSHTSASKEPSSARNSDRSKKEELVSPTDSKHDSTKFSEDSSHEVKRGSEKVQLKGSLSSAPKSSQASKIPVSTVKPRLPVSKEQPQKIAVTGGTSARSFHGEVPPLQSRNKAVASSSSQKKDKIHQRIINVTQESSNNSASTELRASDLAAPLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGTQMLHPTGASVFSKRSSAHGGRDHAAVLKKRNREDSVKDSEDTKRIEKRHRDASTEHASSAKDSCRSAENVASEQKNRGICSTGADTGLAKDDSIDSSVSHNLLGLIDEIISKDRNITYGELCDAIHQRLRRSRKSSGGDCAYPSYLHAINDCLRKRKEWSYLVDQASKMNSNKRRRGDSNSLLEVENVRNRPERDSEGSVDLHQEELRRGKRKSRKRRRLELKGRRVRDTRKRSSIGSSSEDAAASLSDSSNDKNDDPMVDMNQEDNSVAPETGGYIEAKSADSSS >Dexi8B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:8B:14743473:14744513:1 gene:Dexi8B01G0008870 transcript:Dexi8B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQPLPSLTEGELLAARRRRWLLRARVPSPAPKSPAPSPPSAATTRNDQGRLTILDSYKRLKKIGEGAFGTVCKARDRRTGEVVAIKSAVGNGPGGAEALLREAALLAACAANPAVVKLREVARGSEAADLHLVLEYVGMSLHDIVSERRRRGLPLTESETRRVMEQLLTGVGTMHAQGMVHFDLKPRNVLVGDEDRRLRICDLGLAKSVAALPLEGEPEGTPGYIAPEMLLREKDCGAPADVWALGCIMAEIVNGQSLFAEEDLYQQLASIVDLLGIPDDVSLMPLGITAAAPSKLREKVPEERLSPAGFDVLQGLLQYDPKDRLTAMAALEMPWFQATKDD >Dexi1B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:1B:4874206:4875226:-1 gene:Dexi1B01G0005990 transcript:Dexi1B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQTRAETILRGACAAMAAAAALLMGLSAQTKTVLFVQRRAVPKDVQALWVMIVTASAAAGYYVVQLARCLYMSHLAAGGGGCRRLRRRVSCVSFLLDKGCAYVVFATTVAALQACFVGLIGVEALQWSKLCNIYTRFCEQVAAGIICGMLAAVGMAVVAAFSARDLFRSSSSSSRAGLDHTEARSSSGLL >Dexi1B01G0028700.1:cds pep primary_assembly:Fonio_CM05836:1B:33170148:33171286:-1 gene:Dexi1B01G0028700 transcript:Dexi1B01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEPRQETWHRPMQVNETGAIGEKQNRRKWSSAYQVTVGALEVEHLNGDDLVERLAERAVDDGADALPDLLVQLVVLHVDRVLAPLRSPAAAARLLTRPRHAADPRRIPEPSSEIHRRSIGRAEAPVLRARVPAVDGWRIDDETLVARAARWIGRREGIGGAPVKGRALRGRKELKRGDSTYGGACLKREPDIWMQGQPGFLISNRTAEPPPGADRLDRLAGRFVGKQAHRLADRFDRLAGLVA >Dexi1A01G0027860.1:cds pep primary_assembly:Fonio_CM05836:1A:33558965:33561841:1 gene:Dexi1A01G0027860 transcript:Dexi1A01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPATGDSLPVSSPSAASSRPLPLLTLPYLFSLLALLLFAALLLPWGPTRPSSSVPASPWHAYTLQEAAAFAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLDRINAAWPGHAVLVPPAPDAQTAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYLVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRAYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKQRKSNDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >Dexi3B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:3B:5905860:5907054:1 gene:Dexi3B01G0008400 transcript:Dexi3B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLSLATVLLLFAGAAALLLAAADARPHGDDLDSDFYSIVGYAPEDLGSHDRLIKLFEEWVAKYRKAYASFEEKLRRFEVFKDNLKHIDEINKKVTSYWLGLNEFADLTHDEFKATYLGLNQQPAARRSAAAAGEFFRYKNAGDDVPKEVDWRKKGAVTDVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCSTDGNNGCNGGMMDYAFSYIAGSGGLHTEEAYPYLMEEGDCDQKAEGEQVVTISGYEDVPANDEQALVKALAHQPVSVAIEASGRHFQFYKGGVFDGPCGAELDHGVAAVGYGTSKGQDYIIVKNSWGAHWGEKGYIRMKRGTGKPEGLCGINKMASYPTKDQ >Dexi4A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:4A:18510593:18514584:-1 gene:Dexi4A01G0015520 transcript:Dexi4A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILPSTPVASVDDLADQVADVLDFFGLDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWFYNKVMSNLLYYYGMCNVVKDILLQRYFGKGVRGCSTEPESDIVQACRSFLDQRQGMNVWRFIQTINERKDLTENLKQLQCRTLIFVGENSQFHAEAVHMTAKLDRRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLYRPSQINCSPRSPLNPFCISPELLSPESMGLKLKPIKTRANLKA >Dexi1B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:1B:18575298:18587659:1 gene:Dexi1B01G0013170 transcript:Dexi1B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLAVVLRAALSHVPEERKAAEDSLNQFQYTPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFIAKNWSPNDPDESPKVLESDKVMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPSLLHWVTHNLELQNQIYGALYVLRVLARKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFINLLERPVPVEGQPIDPEIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQMFQKTYAGKILACHMQLLNAIRTGDYLPDRVINLVLQYLTNSVTKNSMYQMMQPQIDILLFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKTNLQKFIHFIVDIFRRYDEAPADLKPYRQKDGALLAIGTLCDKLKQTDPYKSELERMLVQHAAWVAGQYAHINFSDPNNFRQAMHCIVSGMRDPDLPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASSEADDEADDSGALAAVGCLRAISTILESISSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGTDHFLACKDPDYQQSLWNALQSIMMDENMEDSDIEPAPKLIEGALSVATIQALALQIDLHQSESSSTGCELISGAANRVPAAQTIEQIANALYYNPALTLETLNKLGVAADIFNHWFTMLQQVKKSGARVNFKREHDKKVCCLGLTSLIGLPADKIPAEALDRIFKATLELLVAYKDQVAENKKQNEEDADDMDGFDADEEDDEEVDSDKEMGLDDEDGDEVSSLQLQKLAAETVQLHLLSESIGIVIEDAISDPDAGLCFFEMIQARGFQPADEDDDSDDDFSDDEELQSPIDEVDPFIFFVETIQGLQSSDHSRFQNLMQTLDFRYQALASGIAQHAEERKVEIEKEKLEKANAQ >Dexi4A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:4A:24285068:24290747:1 gene:Dexi4A01G0020590 transcript:Dexi4A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRFLEHVMESHNQRWLCVGKSFVAKAQGHAIRFVLSDMFQNLRRVDRGALLHEGAGHSVVSAFVEIVFDNTDNRIPVDKEEKCNILLPSQDLFVIIMSSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRCESLKIMKDTADNRRKHIDKVVDYLEERLRELDEEKEELRKYQQLDKQRRSIEYTILDHELNDARNELSLNEDNRRKISENMYHADIEMVEVCKRIKSCDKGITVSSKGINDTMAQNEGLEMRITEALEVVAQIEFDLRDIKDRIVNEKQAKDEATRDLQSMRREIEKSISEMAEISDVHKEILMQEAEISRSIMDHDRQLSILYQKQGRATQFANKADRDDWLQKEIKDLEAVLLSNTKQESTDWFSVEIRVTFLEIWKEGLLHEEIQNLRDGINNLTNNIESWKSDSSKLEAALVNIPNQYKNKTKERDELQEKRKSIWKDESDLTTEINRLQEDLIKAQKGLDHAMPGV >Dexi5A01G0030450.1:cds pep primary_assembly:Fonio_CM05836:5A:33381007:33382485:-1 gene:Dexi5A01G0030450 transcript:Dexi5A01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLADFSAKGVSRSSCLCHNTCENPGSKHSCACWKDDVKSVISCRQDDLVVEEIGVALTEMMLAYDDEDDDDEGPDLDEDSGDDGNDDPVLSLESDSTDDLVDFDSELVIPPACPSVDALESSISKSVDGKSSINGTARLVSAMKGTRAKQGIMTRLSVSWAPDVYDPPVTSDSHTVRGHQRSSRKGNYKYKPSKSSSTSSRSTGGTKRDKKHSRHSSSSGKKDRKHNYRSISSGGSSSSRTDTSSSHYRKAYSGDGISSSRTVTYVPESGKVSPLVLAESATLPEIVPVLKTMEPIKYSTSCGKEKPFALLSRQFSPARYKGMFSFWSQNQLAS >Dexi3B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:3B:6682486:6683603:1 gene:Dexi3B01G0009640 transcript:Dexi3B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSIRIALIVAFFGVLAFLLGVIAENKKPAAGTPIQGKDVVICKFPSDPTLAMGSLSLVALVVAAIVGHVAIFFPYSGKSVPRGALFQSTSLTVFFVVAELVSGLAIAMLLWATITEGLHRSNTIHHDMNYQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARADYLDEDDNKGEYGQVYAAEVDGSKV >Dexi3A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:3A:688357:692945:1 gene:Dexi3A01G0000940 transcript:Dexi3A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLWSPDEDERLYGHITNYGVGTWSSPNPVFFRGPVLFPTQLARSPDLLLFLFSLADMWAPPVGALFFLAPWSKRTRRRVRSPAVFASAFPSRKPSRLSTQRRAFPRHLELHSRAQTLALAADSIRVLGAAFVRVEVRKPPSPLSLFLSLSRAAIARRELPPFLPSQSRRALEPPSPSFADSGEVPARRRRVQPRSGRRLAPLIQSHRFRLEPNRAVPVSQNGPFEGDQDQVYEEEPPQYFEQGKTDNEIKNYWNSRIKKKLRRMGTGHYQSKSTEMPEGQLLLAFFLPPPPAGTPSRLPPYHSGRGRVAPSLCAAFFPLSLSSSCCLDLRTIELNQALQQHRGGPTRSASIHHRGAGPSAFMVATIGVGQWEEEDKQDGGARGREDDAKGPRGADGDSHAVGIYA >Dexi9B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:9B:11400408:11413417:-1 gene:Dexi9B01G0016540 transcript:Dexi9B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGASANLWVLLGLGIAGVLLAARRLRRPARPDHGAFIARLELLPPPQPPPPQAPHPLTGLCFAIADALHVSGYITSFGSLEWAKTHDADAQTSPVVSTLVDGGAICVGKTVIDEMAYSIHGENKHFDTPTNPAAPDRVPGGCSSGSAVAVAGGMVDFALGIDSIGGVRIPGAYCGVLAFRPSHAVVSSTGVIPVAPSLDTIGWFARDPSVLRRVGHLLLRLPYADIRPPRHFYVADDCFELSKIPARRLTQVVTKSVEKLFGSSKVGLLFLQRCEFKDQHMEWINSAKPAVDTRIVNNLSEDGDSDLDVCQVARNETRLALGALLKDDGILVIPTALGCPPKLNAKELSSESYNSQTLCLSSLASMSGCCQVSIPLGTHDKCPISVSFIARHGGDRFLLDTIRTMYATIQEQVEILAKSNVSSKQAMNEEAAEAAKEKGNAAFKEKQWQKAVNIYTEAIKLNGKIATYYSNRAAAFLELTNYRQAEADCTSAIELDPKSVKAYLRRGTAREMMGYYKEAVEDFNHALVLEPMNKTAGVAINRLKKLFQ >Dexi1A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:1A:25939155:25943926:-1 gene:Dexi1A01G0018750 transcript:Dexi1A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALADPTHLSTLDLASSPRLLPPPPGRKKKPDAAAAADDSDSDHPYLHHELPGGSPYLRHLGAVAVDPPVHDPRDAGTADAWVERSPSLTRLTGKHPFNGEPPTSELMRHGFITPAPLHYVRNHGAVPRGDWATWAVEVAGLVRRPARFTMDELVREFRPLELPVTLACSSGRRKEQNMTRQTLGFHWGPGAVSTSLWRGARLRDVLGRCGVERGAKYVCFEGGDDLPGGGGCRYGTSITCVRAMDPTMDVMLAYMQNGGPILPDHGFPVRLIVPGYTAGRMVKWLRRIVVTTAESDNYYHHRDNRLFPSHVDAKLAEAEGWWYKPEYVINEMNINSVITTPANGDVLPINATTAESSYTVKGFAFSGAGKKVTRVELTLDGGETWLFCSVNHPERPTKYGKYWCWCFWSVDVEVADLLASKEIAVRAWDQSLNTQPEKLTWNLMGMMTNCWFRVKINVCRPRKGEIGMAFEHPVQPGPGNQSGGWMARQKHLDIPDPTATPATTTSKETVVNSNATTSTKKFTMSEVREHASRDSAWIVVHGHVYDCTPYLKDHPGGADSILLNAGTDCTDEFDAIHSDKATSLLSSYLIGDLHPKFPSGGRMTQHLDALPVGSYVDVKGPLGHVEYAGRGVFLVAGERRKKPVRRLAMVAGGSGITPIYQVIQAVLRDQPEDETVMHLVYANRTEDDILLRDELDRWAAEFPDRLKVWYVISQVRRPETTRWRYSVGTVKEEILREHLPEGDDDTLALACGPPLMIQHAVSPNLEKMKYKSDSVIVF >Dexi5B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:5B:1861895:1862686:1 gene:Dexi5B01G0002880 transcript:Dexi5B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSSDTSSTSSYASSPSTSSTTSSHGGGGGASNSKKRPRAARDEPAGGGADTVPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPHLAAALPRPASLAPRDVQAAAARAALMATSHGTGATASSSSSSSAAATPVAGAAADAVTAAAAAAAEDARRGLSDHHHDEPEMETTEASGDREARQPAAQGVEVAELVFDELAPLWVEDVVEFGPCDHTWTPYDGLDAVAFQSPLLWEY >Dexi2B01G0034460.1:cds pep primary_assembly:Fonio_CM05836:2B:41717653:41718369:1 gene:Dexi2B01G0034460 transcript:Dexi2B01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLLRWWLSARQRWHRRRRRGRRTAPRLVMWGGEARCAEPGKLAGEIMVEHAGRVVCRADAFTIGRPVPVLDIEDRLEPGVTYLVVPVDRLPCAATDGLVTAASLAALSSHSSASGNGKASSSSAPAAAALAGSGRSPFEYVKDEDGRTVIRVTEEFIVRAVAGGGGGGGGGGYGALCSTPELRKHYEQLVGAARGRAWSPGLDTIKERKGRRLVDVVSPGRLSPVRLLGIDKGHS >Dexi1A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:1A:4827917:4831564:-1 gene:Dexi1A01G0006390 transcript:Dexi1A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCCTLGPVPLAGLEHFFELIYQRPSFVALQETKLNNLNRSSLRSFLPARLTGYAELPAQGASGGILSAWDDSVWNVRSQCVRRYTLTTNFTLYRDGTSFSVTNVYAPTNHADKPLFLHELASIAATIQEQCRTPPLHQREHDTKLLINALDLLEEECPLSIPEKTLRRLAIQGLQSMQSEKLAFWRQRFNIRMAVEWDENSKFFHATTLPVWNVTASDTTLMTPSIPTHWGFDLRELYPELAVAGLELSRPFTSDEITSALLAMDMHSSPGPDGFGPSFYKTFWVTLKPCVLKLFAAFHDGSLDLDALNRAHLVLLPKHDGANDPSNFRSISLQNFPMKLFSKALANSLKAAIPIIIDPDQTGFVHGRNIAENFVYAADLLTCRGFDERWRGWIMEIRVTGKIAVMLNGVPGNWITCKRGLRQGDPISPYLFLIVADVLQRLIQQAAALGLLQHPVDPSLPCPTLKRVLDDFSQATGLVINFQEAANMANILGCNIESFPQKYLGLPLSPHKLRHAGKLDFLAPTVIEVLDARRRSFLWTGEEKCHGSCCLVKWEDMCYEKEVGGLGIKNLEQQNHCLIMKLVHRLYEPTSWPWKRWFFSRNDQTLDSHDDNSFIANLIHVELERYRSITHIRRLTLCAQLRPRLSRSAAEEKILLEDCLSHVSLTAQPDCRILNHSSGTEFRTSDVYKALQTTLQPDPDAARLWKTKLPKKIQFFGWLLHLFDHKSSSAEDVIRRVVNDLDTWHCRYGKLKDHLKAWRDHLHSCL >Dexi7B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:7B:15101118:15105283:-1 gene:Dexi7B01G0007160 transcript:Dexi7B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAATSPPPSDPHPPPGGHVSPSLPSPPKPNPPITTTPRPLDTSANTAAAAAAAPSAATPGPTIAAAPSRKAKTKRTNAIAPPRDAPRGPGATAATPVRPINSSGAIAFTPMVYRHPAVASAAVAPAKPLGVGPAAAPARPELYTPRMGQEFGSEQEAYEFYRYYGWMVGFNVRKEYANRSKKTGEITSRKFACSREGNRPAGHISKGPVPDSRTGCNAHLIVRRPRPSAKLEVYAFQPRHNHPLAPSSVPCPFLGAATTPGAVQPPDYLDLEATPNMVPVGNQVPAYKACRGLDLSNPMEPINYEGIYPGLNPALTQELGFVTYHSSLASSNSPQNQ >Dexi5A01G0015240.1:cds pep primary_assembly:Fonio_CM05836:5A:12766174:12768074:1 gene:Dexi5A01G0015240 transcript:Dexi5A01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPATPSPAAAPSKGKSSAQNPSGHHTGATAPSGTPSKGKSAAAQAAAVGQGSSSHHHSAGGGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDLRKLHRATELLSMNEELKQARKAFDVDEETLATNAV >Dexi8A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:8A:6416260:6418320:-1 gene:Dexi8A01G0006300 transcript:Dexi8A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMLHLTSSSYTSLPEGFSVPADELQSAVTSTVVTLPVIDLSGSRDEVCHAILDASKEFGFFQTLREMEAVCDEFFDLPVEDKMHLYSDDKSKPNRLFSGSSYSKMYWIDCLRLTHTFPVGDSKNNWPNKPQRLREVFENFTEQARVLGMELLHMLCKGMGLPLGYFDGDLSGGDMVLAVNRYPPCPEPSRMLGLPPHCDRNLVTLVLSGTVQGMEVFYNGDWIKVDPMPNALIVNFGIQIEVVTNGIVNSVEHRVVTNMSVSRTSVVTTINAANDCLLGPAQELLSDSNPPRYRTITCRDFLRIYTEWLE >Dexi4B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:4B:19052643:19055578:-1 gene:Dexi4B01G0016910 transcript:Dexi4B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQDLKCVVSFLRNNKQVSCIGLWGRSMGAVTSCILVIHQNLCPYICCSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTERIHQAYGFPSTCSDKFDKYYNLGAFKGAAGANESLLYEIINGLRAAGTDAGSSSAATTNFTNDTKSVVELLTERVNELSVKNDNDLDFLLDENHNLTEMGGNTTECHLEDKANRQTEECCSYTSSNRESWGRCSSLGAASDGSSSGERPEVPNHKHK >Dexi7B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:7B:18383136:18385460:1 gene:Dexi7B01G0011290 transcript:Dexi7B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGEDGKQLPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDGSTSAAPPPFIEYASNWRRFFGFDLTGVFAADMAALYESLAADGVLEMDAALLAEMRARIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGAKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFTYDTFIFYTVLTSVISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFIAFSGLTEQVKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFVDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Dexi6B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:6B:7943395:7944498:1 gene:Dexi6B01G0006930 transcript:Dexi6B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAMATAGKNSSISSYGAVDVVKNRPVRFSSIQLQEFTNNYADKLGAGGFGVVYRGQIQYTTQPVAVKVLDTDMGRRAEEQFMAEIGTIGRTMELGETEAVAARALAGGKEEGKEGRKKVERMCAVALWCVQYRPDDRPSMSGVVRMLEGDEDVAAPAVSPFVHLDSDQLVSQTFTADTTTTFGSTT >Dexi2A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:2A:13129754:13132589:1 gene:Dexi2A01G0011280 transcript:Dexi2A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDVIESGGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVLTVIGYKHQTDANLAGTDACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAICGVGLVKAFQRAYFDRYGGGANSLADGYSKGTGLGAEIVGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDHWIFWVGPLVGAAIAAFYHQYILRAGAIKALGSFRSNA >Dexi7A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:7A:1583234:1584316:1 gene:Dexi7A01G0000600 transcript:Dexi7A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRIMEEEEAGTPPAAMAVPPVLVKGKRSKRQRVHAPPVVLTSSAAVAPEWSSSAASAATAPAAAEEESAGTSPSDEAASSGGGGGCLTEEDEDMALCLMLLAHGVPATAAAKEDEEVVASVAVAKEARFRSRRPADGAAAGEYVYECKTCNKCFPSFQALGGHRTSHKKPRLLPPPAATSEDNNNKVTTSTEPTAPSPSPPAPAESTADATVLAIPVPAAAPMPPPKQEHQDAVVATATAAAMIAAATSSSSSKHQRVHECSICGAEFGSGQALGGHMRRHRPLVPAAAREDAPARKEKSLLELDLNMPAPCDGEAAAAVTSPRFAFAVAAAERPPAAAQLLFPASAASALVDCHY >Dexi3A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:3A:601790:602056:-1 gene:Dexi3A01G0000820 transcript:Dexi3A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAEVVVVAQQLAAALVAEQRQVTPKRIPNRSPTQRYPRLGSELDLAVKKQLLACAALRLSARHWSPTQQRQRLPWGGCEIGKGMK >Dexi7A01G0020690.1:cds pep primary_assembly:Fonio_CM05836:7A:29420605:29421674:-1 gene:Dexi7A01G0020690 transcript:Dexi7A01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVVDLAPFLARDDKAGDVARATEAMRQACRTHGFFRAANHGVPTELMTRTLQLTAAFFTLPDEDKTKVRPAEGSEATFPVGYARQPAHSADKNEYVLVFDPKLGFNVYPADPAGFREALDECFSKLTKLGLLVQEILNECMGLPPGFLRDAHEDGNCITFVIQDDVGGLEVLKDGDWVPAEPIDGSIIVNIGDVIQVLSNNKLKSATHRVVRKPVHRHSLVFFFSIHGDKWIEPLPEFTAKVGEAPRYKGFVHKEYQQLRMKDKTHPPSRPEDVVNITHYAI >DexiUA01G0024050.1:cds pep primary_assembly:Fonio_CM05836:UA:49388539:49391325:-1 gene:DexiUA01G0024050 transcript:DexiUA01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFANELQACVARANDALRRFIEPQPFQNTPLVEAMHYGALLGGKRLRPFLVYATGNMFGISANTLDAPAAAVECIHAYSLIHDDLPAMDDDDLRRGQPTCHIKFGEANAILAGDALQTLAFSILSDAPMAEVADRDRLSMISELAMASGVAGMCGGQALDLEAEGRQVNLEQLERIHRHKTGALIRAAVRLGALSAGEQGRKALPILDRYAESIGLAFQVQDDILDVVGDTATLGKRQGADQQLGKSTYPALLGLEQAQRKARDLIEDARQSLNQLAAQSLDTSALEALADYIIQLDSTQELRLLPKESLPKLCDELRRYLLDSVSRSSGHFASGLGTVELTVALHYVYNTPFDQLIWDVGHQAYPHKILTGRRDKIGTIRQKGGLHPFPWRGESEYDVLSVGHSSTSISAGIGIAVAAEKENKQRRTVCVIGDGAITAGMAFEAMNHAGDIKPDMLVILNDNEMSISENVGALNNHLAQLLSGKLYSSLREGGKKVFSGVPPIKELLKRTEEHIKGMVVPGTLFEELGFNYIGPVDGHDVLGLVTTLKNMRDLKGPQFLHIMTKKGRGYEPAEKDPITFHAVPKFDPSSGCLPKSSGGMPSYSKIFGDWLCETAAKDNKLMAITPAMREGSGMVEFSKKYPDQYFDVAIAEQHAVTFAAGLAIGGYNPVVAIYSTFLQRAYDQVIHDVAIQKLPVLFAIDRAGIVGADGQTHQGAFDLSFLRCVPDMVIMTPSDENECRQMLFTGYHYQDGPSAVRYPRGNAVGVELQPLEKLPIGKGLVKRRGEKVAILNFGTLMPEAAKVAETLNATLVDMRFVKPLDEALILSMAESHDVLVTLEENAIMGGAGSGVNEVLMANRKAVPVLNLGLPDHFIPQGTQDEARAAIGLDAAGIESKIRSWLA >Dexi9A01G0048950.1:cds pep primary_assembly:Fonio_CM05836:9A:51687023:51691081:-1 gene:Dexi9A01G0048950 transcript:Dexi9A01G0048950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKDVGILAMDIYFPPTCVLQEELETHDGVSRGKYTIGLGQDSMSFCAEVEDVISMSLTVVKSLLKKYNIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEEYGNTDIEGVDSLNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHAYDFYKPDLASEYPLVQKSFARLYYNDFLRNCSTVDEESREKLAPYAGLSSEESYQSRDLEKVPPEQFIESLKLMEHRYGAKDFVTSQDTSLLPAGTYYLTHVDSMYRRFYAVKGDSVTPAVSNGH >Dexi9B01G0024330.1:cds pep primary_assembly:Fonio_CM05836:9B:20251958:20254008:1 gene:Dexi9B01G0024330 transcript:Dexi9B01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALEPFFFTPPPAPRHLAELRIEPAHTAVAFSAPCAADAGRKRRCLLPXXXXXXXXXXXXXXXXPRRSSPRIPRTYSAMAMALEPFFFTPPPAPRHLAELRIEPAHTAVAFSAPCAADAGRKRRCLLPASSVRKRMLLELAPFDPAPGTPPPAPTPSPSPVAPRAGSSTAAEFSFAPGLRPIQPTPAAGNMFAFAENAPRTPGGSEASSAGNMFAFLAAPERPNTPTGPTSRGGFVFAAASPEAVLTPTSRGSNTSGLSFLASPKQPLMPSGSGGAASLHSPKTARTGATNSGGFALVPSTAPACLHMGSTSSAAAKDTTLPAVGTATPAFVFSASQSPPPPRGGSRKRPRPNLRIKTTQRRMSPRLWAEDTPTPPQELTPPPQKLAKTNSSDNGEGPRSSLMSGPCCLFVTSPVKAAKQEAKKASSEESRSPAGSPCKSPVRPSSQKKPSSPKKPSKLDREMEVSSVACSGADVVVRITCKCGVHKDFSFNDRL >Dexi5A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:5A:9087116:9087919:1 gene:Dexi5A01G0012170 transcript:Dexi5A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGSAQDLKNVNWRNGDLKPYAVVWVDDGPKSSTHVDTHDGENPDWDEKLLVPVPPSAARLEDAVLHIDVVHANAAQGVKPLVGFARLPFRDVLDGAKIGSKVNRNLRLKRPSGRPQGRLDVRVAIREASGRGARYPDPAPYGHRSDKGGYAAAPPPAAVSAPAPAYAAPPVGYPAPAYAAPSAPAYPVAAAAPPVVVAPVVDGRRHGGSGMGAGTGLAVGAAAGMLGGLALAGGAHYIGGAFDDDCCDDDYYCDDDC >Dexi9A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:9A:3894895:3895717:-1 gene:Dexi9A01G0006880 transcript:Dexi9A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPTATSGSATSSHLALLVLLSSTSLFFLYKSIRLRRKPSPATGPARTTTPTLLYASATGTSKTLAARLSARLTAGAGVSVRATDASAFDPDDLPSVPLLLLVVPTHDGGAPPPSAAFLARWLEESAADFRAGALLLSGLRFAIFGVGSRAYGETFNAAARSFSRWLRALGAVEVVPLGEGDVDGGDLDSMFEDWSGKVLRVVKGEELDEGLLGESNGLNELELEGEEDDDDDEEEAVAGEIDMEDIAGKAPARRQNGKGRMV >Dexi1B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:1B:7121858:7128274:-1 gene:Dexi1B01G0008510 transcript:Dexi1B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRMGSIASGSMRRTASSWRASGRNDTFGRSVREEDDEEALRWAAIEKLPTYDRMRRGILTGAVGDGGIEEVDIEGLGMQERKNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLNIEAEAYVGNRGVPTFTNFFTNKIMGVLSAMGVVSSGKRPISILQDISGIIKPGRMSLLLGPPGSGKTSLLLALSGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDIHVGEMTVRETLKFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADAMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIINSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSEGQIVYQGPRDHVLEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKAFHVGRKLGSELHVPFDRSTNHPAALTTSKYGISKMELLRACLSREWLLMKRNSFVYIFKVVQLIILGSIAMTVFLRTTMHRGTVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGAFAQLILLILGGFLIARDNIKKWWIWGYWSSPLMYAQNAIANNEFLGHSWDKIVDPATSNDTLGVQVLKARGIFVDPNWYWIGVGALLGYIMLFNILFVFFLDWLDPLGKGQTVVSEEELKEKHVNRTGENVEMLQLGTDYQMSPNGRGEIIRADTRRRGMALPFTPLSITFDNIKYSVDMPQEMKDQGITTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISVSGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPKEVDSEARKVFVHEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIEYFEGIRGVKKIKDGYNPATWMLEVSTLAQEDILGINFAEVYRNSDLYRRNKALISELSTPPPGSTDLNTRQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAYAQVLIEIPHIFLQTIVYGLIVYSLIGFDWTVEKFCWYIFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRMPIWWRWYSWACPVAWTLYGLVASQFGDITDVKLEDEEIVKDFVNRFFGFYHDDLGYVATAVVGFTALFAFVFAFSIKVFNFQRR >Dexi7B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:7B:20581376:20584005:1 gene:Dexi7B01G0014280 transcript:Dexi7B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAPPPVGKGGDESTHPSTRIRAPPPCLPLPLTRPEGGREGAMGAGLEADEGVMATDFFWSYTDEPHASRRREILSKYPQIKELFGPDPWAFLKIAVVVSLQLWTATFLRDASWLKLLTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNAISKSVWVVLQLFFYALRPLFLKPKPPGLWEFTNLAIQVALDASLVYFYGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPHQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLHKVKEIAPEYYDTLKSYRSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >Dexi5A01G0026840.1:cds pep primary_assembly:Fonio_CM05836:5A:30413135:30415421:1 gene:Dexi5A01G0026840 transcript:Dexi5A01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVALASPFRRLLRAPHPLGAIPAPYYVITRGRCGAAVAVAAAAARDSAVKGNVDRNAAEEVRNILDMICTNIYLIKAERASKRRDVFHTNFLTPPIIKEAMLAIDKLADIKAVAQGGYPQAERCRISVGHADSMPSNPDVVAALSISGNFRLEPCSHGDFLGAILGTGITREKVGDILLQGERGAQVLVDPELVDYLISTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALASAGFKISRTKLASLISAGDVRVNWTPVLKSGVNLRSGDVVSVSGMGRIKVTKNEKCDNLLL >Dexi7A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:7A:22248495:22249912:1 gene:Dexi7A01G0011890 transcript:Dexi7A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDEKVRPHIVLFPFLAHGHAPAFLRLAGLFSARRPGLEVTLVSTPRILGSLSLPPEASRLRLHALHFSPADHGLPPGAHTLADVQLHQFIAFFQASESLRPAFQQFISGIDSPVCIVSDAFFGWTADVARARGASHAVFLPGGAFGNAVFFSVWEHLPHAHTAADEFPLPDFPDVVHARRDGGDPWTAFFRRVIAFCRKTDALLVNTVQELEPAGLDMLRRSLGAQTWPIGPVLAAPTPSGSLDDAGIIQWLNTHPPRSVLYISFGSQNSINADQMRELAQGLEASGRPFLWALRPPVGFDAKDGFKPEWLPAGLEERTARASTGMLVRGWAPQVRILAHPSTGAFLSHCGWNSILESLSHGVPLIGWPLGAEQFFNAKLVVEWGVCVEVARGNMESSAVEKEKVTEAVRTVIGETATGEEMRRKAAVIARTLAAAWEAPGGSAAESLEGFMISVETSVH >Dexi9B01G0048070.1:cds pep primary_assembly:Fonio_CM05836:9B:47036580:47041302:-1 gene:Dexi9B01G0048070 transcript:Dexi9B01G0048070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAAADATAMEGGPPELYGLHADMEFLGMRGLAAMAPGAAHHGHSKAAVLDDDNGGGSTMQFLSAAGHQQQQPSHQAPLSLSLCGHDGGAVTTTLHEHLGGSSARHHHQPAAWMMQHEYTATPTQGQHAGAWHLRSSRFLLPTQQLLHDFCSLPVDDSKRAKASATTPKQPSSQQQHEDGGGEGSSASASWAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYGEQMRAVAGGFEAVAGARAAAAYTALASRTISRHFRSLRDGIVAQLQATRRALGDKDVAVPGMTRGDTPRLRVLDQCIRQHKAVAQAGMMETHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKEDGAQADDGGQHQGVNPNPSSSHASEAHGEAAPDDNNGGVDRKPTRAQLMHDAGSLASVVNIGVSRSRLENLGIMDHLDFDAYGGGGHQQAGGFGGVSLTLGLQQHDSHDGSGVNIAFGAPPPPAHHHQHHHGAGYLFATGPGHHQQMDGGVHPGHQHVHQFGAAGDIDGDAPPHAGQEHYRSLGAGFHLLRDLAG >Dexi4A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:4A:5740287:5741678:1 gene:Dexi4A01G0007600 transcript:Dexi4A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLASLLVLLIIALPYPSHAAPVFPGAGVVSLLLTHADAGLGLARPELVRRMAHRAMARRRRLLSSDNLPVRAPVSSGLAGGGIVTNEYLVRLAVGTPPRPVSLTLDTGSDLVWTQCAPCRDCFDQGALPLLDPAASSSYASVPCGAPPCRALPFTSCGGDRSCAYAYHYGDKSVTIGNLATDLFTFGEDNNGGRLPTQRRRLTFGCGHVNKGVFQSNETGIAGFGRGRWSLPSQLNVTSFSYCFTSMFESKSSLVTLGGGSPALYSHAHTGEVQSTPLLENPSQPSLYFLSLKAISVGPTRIPVAEEEEPRRRHRRRSAIIDSGASITTLPEDLYEAVKAEFVAQVGLPLITGVEGSALDICFELPPMASSPATFWRRRRRLAAVPELTLHLEGADWELPRGNYVFEDIAAGVMCMVLDAAPGEQTVIGNFQQQNTHVVYDLENNRLSFAPARCDRLVDSL >Dexi1A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:1A:8820794:8821024:1 gene:Dexi1A01G0010220 transcript:Dexi1A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVKMLCGQIPIEVIPDDSDLSEDLRFNIAQSHRSMNNSKTDWSQMTSSDPSILLHNSRDSGYLPSSSSSSLKL >Dexi9B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:9B:2220891:2224936:-1 gene:Dexi9B01G0003870 transcript:Dexi9B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKITVGDGRLVAHGRTILTGVPENIVLTHASGAGLVDGAFVGANAGEPKSMHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPSGGAGAGDDDGGEPVYLGTYMVYVHAGTNPFDTITQAVKVVESHMQTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEEESSNAVVVQEGAQFASRLTGIKENAKFQKKKKNEDHHNNIDGEREATNKQGIAGDEQSGHSTTTPGLKLLVEEAKRDHGVKYVYVWHAMAGYWGGVKPSAEGMEHYESSLAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLSFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEASVARNFPDNGCISCMCHNTDMLYSVRQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMLPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFSLLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGATLLKIWNVNKCSGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGTIRAEDVDAIADIAGPGWDGEVVVYTHRSGELIRLPKGATLPVTLKVLEFELFHVSPVMAVASTGVSFAPIGLLDMFNSGGAVERCEAHAAVQEAAAVVELKVRGCGRFGAYCSRRPVRCTLDAAEVEFSYDDDTGLLVIDIPVPEKELYRWTLEIHVV >Dexi3A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:3A:7462647:7478995:-1 gene:Dexi3A01G0010470 transcript:Dexi3A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTSWLLLLLLGLAATAGVLEARAQPDSNGFVSIDCGLPGTANYVDDATKLSYAPDAAFTEAGSNQNISDQYITSTLSKRYLSLRSFPSGMRNCYTVQSLEAGLKYLLRAEFMYGNYDGLNKPPIFDLYAGVNLWSRVNVSSSGDVEILEAIVVVPDDILQVCLVNTGSGTPFISALELRPLMNSIYPQANATQGLLLLARINFGESDAIVRYPDDPRDRIWIPWVDDTLWDVISTNDTVQSNLDYDSFEPPSKVMQTAIVPRNSNGSNDINLYWISEMLRRGQAPEYIPIMHFSEVQVLQSNDMREFTVGINNLQWPAFSPVYLSSYALYTSDPLPPATSYDVFLSATTNSTLPPLINAVEVYSIISTTNVGTDSSDVSAITAIKGEYRVQKNWAGDPCNPKTYAWDGNISFSGLDGDVSDSFANLMAVQDLDLSHNNFTGSIPNILSQLTSLRFLYGNNPNLCTNVDSCSTSTRNTVKPQNEEPMSYAVASLPPSDAYGQSSLKLENRRFTYKELEMITNNFQHVLGQGGFGKVYDGFLEDGTQVAVKLRSQSSNQGVKEFLSEAQILTRIHHKNLVSMIGYCKDGQYMALVYEYMSEGTLQEQIAGKDRNVKHLTWRQRLRAALDSAHGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLSRAFNYDNEAHISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGRQAILYDPKPTNIIQWVRQRLARGNIEDIVDKRMCGEYDVNSVWKVADIALKCTMQATAQRPTMTDVVAQLQECVELEEGHRTGDGTRVNFYNDGNSDLDLGYTTYVADSQLTEVSQSSTAFEMDHNFGQVPRMGGGFVNIDCGLPGTANSVDDTTGLSYAPDAAFNDAGSNQNISAEYIKSAFSKRHLTLRSFPDGVRNCYTVRSVVAGLKYLLRAEFMYGNYDGLNKPPSFDLYAGVNIWSSWRPSSWCRKRPCRYPDDPRDRVWYPFVDVFDDTTISFWDVISTMNSVQNTVYNLFESPSKVMQTAIIPRSGSNTISFYWNFEPKPRDPTPGQYFAIMYFSELQLLSSNALRELSVKINDVRWSAFSPGYLLCDALYTGDPLPISASYVTSINATTNSTLPPLINAMEIYSLISTTNVGTESSDVSAITAIKGKYRVQRNWAGDPCNPKTYAWNGLTCSYAISSLSRITGINISFSGLDEDISTSFANLKAVEYLDLSHNNFTGSIPDALSQLPSLRVLYGNNPNLCTNVDSCKPPIKKKSKLTIYIVAPVVLVAVIVSVVALLFLFLRRRRQGSTSSTNNTVKPQNETPMSYATAPLSSGGAYGQSSLHLENRRFTYKELEMITNNFQRVLGQGGFGKVYDGFLEDGTQVAVRLRSESSNQGVKQFLSEAQILTRIHHKNLVSIIGYCKDGQYMALVYEYMSGGNLQEQIAGNVHNGKHLTWRQRVRAALDSAHGLEYLHKGFNPPLFHRDVKATNILLNAKLEAKIFDFGLSKAFNYDNETHISTITLVGTPGYIDPEYQTTMQPTTKSDVYSFGVVLLELVTGRQAIVDDPKPTSIIQWVRQRLSRGNIEDVVDKRMHGEYDVNSVWKVADIALKCTMPETMQRPTITDMVAQLPECLELEEGHRTGDGTRGNFTGSSNNDLDLGYHTYVANRQSTKVTQTSTAFEMDQNFGKVPRMGKGPVAR >Dexi9B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:9B:296613:298678:1 gene:Dexi9B01G0000440 transcript:Dexi9B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVATAVARSSVLTYSSALRVQVGLGSFLGSSVLGMDAVSFRVSASFPRLRASFPSIRVQRIPRRFQVSCSAKQETIEKVCEIVKGQLALPEDSTVTGETKFVDLGADSLDTVEIVMGLEEAFQISVDESSAQEIQTVGDAAALIDKLIADKDA >Dexi9A01G0047250.1:cds pep primary_assembly:Fonio_CM05836:9A:50425206:50426691:-1 gene:Dexi9A01G0047250 transcript:Dexi9A01G0047250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQEPGAAAGHLLLFPFLAQDHLTPFLNLAKRLETQAHRRRLEVTIVSTPRNVASLRRAVPAGSRIGFAELPFSPSDHGLPPDTESTEVVPLGAFPAFFFSTEKLRPAFESLVTELMARAGRRNVCVLADIFLGWTAESTKALGVQHRVFLTSGAYASAASFSIWLHPPTFAGAGDEQPLHDFPDEDVRVRYEDFLNVVVTEDPATDPIWQHDPGVADDGAGVWAGGERPAVHLGGPAAGGVPRGGGEWLPVGFEERVAAAGQGVVARRWAPQVAILGHASTGAFLSHCGWNSVLETLWHGVPVLGWPLVADQVFDSKLLEELGVGVEVASGRMFGGLAGKGWEHVRDAVEEVLGDGEKARRMRRKAAELKELARAAVSGGEDGEVKGSSVLAMERLLDTAFG >Dexi2B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:2B:8458974:8461069:1 gene:Dexi2B01G0008420 transcript:Dexi2B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVAFAGAGARASHLPSSLAAASSRPRLLALSPRLRRPHGHLASPPAADCRRRLRVRMARTESTGVAVGFRAPEFELPEPLTGNLWTLDDFEGNPALLVMFISNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSVRTHPQDGPEQMAEEAKLFKYPFPYLYDESQEVAKAFGAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNLPVTGRDLSRAIDCALSGQELPFVQKPSVGCNIKWHP >Dexi9B01G0049010.1:cds pep primary_assembly:Fonio_CM05836:9B:47830841:47831256:-1 gene:Dexi9B01G0049010 transcript:Dexi9B01G0049010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGAQARAVPLLLRHPASLRTSVSCAGSRRSWAAAATAEGDDTRGYDKVPMDTPGAYRLVDRATGRSVIVWGGIDDGDQAAMPSPAVLSRTTNRPTRVH >Dexi7B01G0023330.1:cds pep primary_assembly:Fonio_CM05836:7B:27926293:27929380:-1 gene:Dexi7B01G0023330 transcript:Dexi7B01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAEPGVATAAGAAKPLTPEEEALRRSTDCVYFLASPLTCKKPIDGIFGASTPGIPPVTSHYGAYNSGKQMVPCYYFQKGNCLKGDRCPFYHGQQAVGNNPTDQVAKVSSSPLETPQAKKNEESAAANISTQQGARIVDDRSMVHVAKSGVSAIPAEPASDAVKSRPNSEQAPNNTMAVKKSFTAEEDHPMDYQNQLPVEGDSVQDWNQNFHLPPTDDLPQNSREADDFLGESSPGFDVLVDNDADGAAYLHDEEDFGRDMYPVEDYEYAPADFDSRAHHDSEQFNGMGENGQVGQLYDGYDRKRRRSSSERNIDRHFQSDRRFLHRGLDRDEIDGSDLRHQLRRRRISGPSTALSPERANGGRHWRDERYRERPHGGHHTHSDRQQGLRGSTLSSRLQARIKLPGRSPDRADNRFDDERDRRRLRERFSPARRADFHGGRHRESAQHQEGSHRRSSELVSSVRHADGLSFRRDAVDSARFASRRNLGEPRKVNGIVESEASLDFEGPKPLSVILQRKREAAGGNNLSSSYERSAEVAGMQTGSLFETEKKRGDKIIISEDCKSGSGEEEYKEEDHIPMEVHGQSLSHGDKFEVEDAAEVDPEGNQEADNYEQKGGESDDYEAIDGQDYKSEDENAYQDDEDFDDDDDDFAQKVGVVFS >Dexi3A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:3A:5722549:5724220:1 gene:Dexi3A01G0008170 transcript:Dexi3A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYDQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >Dexi2B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:2B:28831642:28832892:1 gene:Dexi2B01G0018530 transcript:Dexi2B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGISPRGKEQRTTYDDCWAALRWASAAQDSWLAEHGDVSRLFVAGDSAGGNIVHNLLVRASSLMFGTGIEGAILLHPFFVGSTAVEGESERAVAITAKLWAFACPDAAGGADDAWINPIAPGAAAAGLERLGCKRVLVCAAEMDWLAARDRAYYEAVVASGWPGSAAWLESEGVGHVFFLLKPDFEKNKQLMDRVVAFIAGS >Dexi9B01G0029950.1:cds pep primary_assembly:Fonio_CM05836:9B:32447665:32451342:1 gene:Dexi9B01G0029950 transcript:Dexi9B01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRFKSIASGRSSVSDPGGDSGSKRPKFEQDGAGDIVIEPHFTEDKSMRIDQESSSSSSHRDAEASTSCNMKLVKTEEPGADLLPKELNGMTISDDKADGQNNKEGEGVTLDGNGTETGQIIVTTIGGHNGKPKQKVSYMAERVVGTGSFGVVFQVNPHTHQLKICDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTAAIDIWSVGCVVAELMIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYFEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKVTLPNGRPLPPLFDFTAAELEGLPVELVHRIIPEHMRR >Dexi4A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:4A:3358268:3367040:1 gene:Dexi4A01G0004770 transcript:Dexi4A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLAYAAFLPLLLLALRILPLPSDSPDGSGGEGKTLAPPASRHVVRFLEYRTAEEHREYLGAGLRGAALAALSWRWVERRNPAAAFPTDFAVLEIRDAHREAVVAAVRELGRVRDVHADATYSRSALSAADRPPPGRGKLFTAMSCEGEEGGGEMGNSSSATWRRKLLLQERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYILYLSELAFSEICIRFCSYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDKRKSILNPAAMKQALVEGASKLSGPNMYEQGAGKLDLWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPMYAGAMPVIFNATILNGMGVIGYIKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLGLHLQVKDEGSQFSGIISGNVTLTIYTPAAHGESSPRTSTCVLSLKIKVVPTPVRSKRILWDQYHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVVHKGLGIAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQENSKAAQDHIGTLDTENAEAKSKLSSILGLMEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGNRVKDPVLFLEAAQLKFPVFENIHQPSRRTDVNFSTYSTVIGKELICHQDSRFEVWGTKGYGVQPTGTARKLPEYQMDESSSYPNVTIQTPDNKQDKVERLQINLSTPNTDKFDDKRDYFGFIGHEEVDIGMLMASQWMIPCLAATACK >Dexi6A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:6A:7258291:7258569:-1 gene:Dexi6A01G0007300 transcript:Dexi6A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSATVAACILMIIVLISGQQQQAAAMSKFCRCYQNCYTECRKTLGTYPCNIQCVQDCINGQPPPSSAAGCRNVCQLDSICGVMQTGEAS >Dexi3A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:3A:10774563:10776961:1 gene:Dexi3A01G0014680 transcript:Dexi3A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAAVSSTAAAAGLTLFATVADIMEEATAPFRIGAALPAPPLPPVRADREGGEDAGGDASACGSPCSVASDCSSVATADFEGFAEAGSALVLDDLVAAAAASLPVPEPASGARIAGAGARSVFAVDYVPRWGLESICGRRPEMEDAAVVLPRFFDVPLWMVAGDAPVDGLDRASFRLPAHFFGVYDGHGGVQVANYCRERIHSVLIEELSKAEESVSGADLSGLESKKHWEKAFVDCFGRVDAEVGGNATTAAKPVAPDTVGSTAVVAVVCSSHIVVANCGDSRAVLCRGKQPLALSVDHKPNREDEYARIEAQGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTVVARAKDDECLILASDGLWDVMTNEEVCDAARKRILLWHKKNADSASSSAQRSGDSPDQAAQAAADYLSKLALQKGSKDNITVIVVDLKSHRKFKSKT >Dexi6A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:6A:10340687:10341994:-1 gene:Dexi6A01G0009200 transcript:Dexi6A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGIVPLAATLATEEVFESFRSDSKLTALLHGHSYTAHAMGCAAALKAVQWYQDPSTNLNLDTNHMKLKELWDGTLVKQLSSLPNVKRVVSIGTLCAIELKAEGSDAGYVPYGF >Dexi1A01G0025060.1:cds pep primary_assembly:Fonio_CM05836:1A:31389294:31391626:1 gene:Dexi1A01G0025060 transcript:Dexi1A01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRVSGARTILLLLLHCVAAFHRAAAGTLPDPAPLDPALVFPSATTPVQPAAAIAGGGTIPAFPEQSDSLAGSSSTCPLAPAPALLPAVRSSCSADDGKLPARLRCCPALAAWLFAAYAPAALSSPQRRRSSSPAAAAVDMPLPPDDSEACAGAADRALRAGGAALPRPPGGNGTCDVAFCYCGVRLRQLACGPTPVEAGGMWAPEDEVARRLEKDCAQKGTPGCSKCLRALTTIKPSNNPSGNDQTGGKGKKQAGRPSESDRDCELMGLMWLLQRNATRYGAAATAVIQALMAVDEASAAGVAAAAADAEPAAACSLPVDDMPLPAEYAQRNRASGGVSGVRRCFHLVLLLAVLSFRVVSSL >Dexi4A01G0022760.1:cds pep primary_assembly:Fonio_CM05836:4A:26058111:26060750:1 gene:Dexi4A01G0022760 transcript:Dexi4A01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAVAAEPAAEKATSYRYWVRESTGDAAPVPAPRKLDAADIAANPAPATLGSAWNKAGTWEEKNLNSWANSRIKDLLGSLGPLEFSTGKASVYEVSKCSGDAFLVIVRNKKRVGYTYELSLKFKGEWLIKEENKKIKGHLEIPEFSFGELEDLEVSVRLSDDKDLTSDEKSQICKDMKTFLSPIQEKLREFEEELKGR >Dexi5A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:5A:24313918:24317921:1 gene:Dexi5A01G0020490 transcript:Dexi5A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRPSGAAAVQRRRQRDADERLSFSRVVTRRDAVDEDEEDVEGEALQLGAVTSGGESGGVDGSYLSNTRFDQCAVSPLSLKAVKDAGYERMTEVQAATLPIILQGKDVLAKAKTGTGKTVGFLLPAIEVISTLPHQRNQLRPAINLLVMCPTRELANQVATEARKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIEKIISSVPRERQTLLFSATVPEEVRQISHVAMKKDYRFINTVKEGDEETHSQVSQMYMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRRSKGLILVSSDVSARGVDYPDVTLVIQVGIPADREQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLGTVKDLSISEAAVPAVDSSVETEVKNAVRKVEMKSKECAYQAWLGYYNSNKTIGRDKSRLVHLAEEFSQSMGLPVPPAIPRNILRKMGLSNVPGLRSS >Dexi2A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:2A:14361224:14361624:-1 gene:Dexi2A01G0012390 transcript:Dexi2A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSDGGGGLAWSRRNGRFYFMGEPPRLSVAGAVVDVEEEEETNLRRIRAAWRDVDRLMWASRGSVRWRKLRLVCSPVALESRSLLIWFFISLSLVVSVDMIAT >Dexi3A01G0025120.1:cds pep primary_assembly:Fonio_CM05836:3A:20738034:20743984:-1 gene:Dexi3A01G0025120 transcript:Dexi3A01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVGKRVLDTGWLAARSTDIALTGVQLTTTQPPAAVPAAPWMSAAVPGTVLGTLLKNELIPDPFYGLNNQAIVDIADAGREYYTFWFFTTFQCAPSGNQHVALNFRGINYSAEMYLNGHKEVIPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWICPIRDRNTSIWDEVSVSITGLFFYKPNLWWPNGMGKQSLYNVEVSVDVKGFGESDSWNHYFGFRKIESTIDDSTGGRMFKVNGEPVFIRGGNWILSDGLLRLTKERYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINRALKNDLKLHPMFASNQVSNSQEKYLSEESTDPSQYLDGTRVYIQGSLWDGFANGKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPEGWTIPIFKKRIDGYTQEVPNPIWDYHKFIPYSKPGKVHDQIELYGHAKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTADELADVAVEISVWDLDGASPYYKVTDKIVVPPKKVKQIMEMKYPKMKDAKPVYFLLLKLFRLSDNAILSRNFYWLHLPGNDYKLLEQYQQKNIPLKIYSDISVSGTKHKVRMTVENRSKNSVAESTLSVSTIDLGDGSGSHSTGKETPQEGNGNGSVWRKICGGLGIARSSDNLRTLEVNGTDSGVAFFLHFSVHTSGSSSTAQEKYRDTRILPVHYSDNYFSLTPGEKKTVDISFEAPLGSSPRVVLRGWNHHLDHAVMI >Dexi9A01G0048130.1:cds pep primary_assembly:Fonio_CM05836:9A:50962678:50965084:1 gene:Dexi9A01G0048130 transcript:Dexi9A01G0048130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSRCLFVTGPPGVGKTTLVMRVFEALRASHPNLTIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKVSSPESVRWPTVGEYKVDVASLESLALPELQIQEETDLFIIDEVVARLRNHPGAAVFTLDTV >Dexi1B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:1B:4377466:4378788:-1 gene:Dexi1B01G0005370 transcript:Dexi1B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRAAALACSRRNLEGNVVCMRVLLAAAAPSLVWLDGVRRAARRPRPSWATPPASGWGRWNHGDVDRLDQCKLLLARASGWRRMRAYSTTSTWRGVSRSAPGQGAPMTACLLSSVPAFPPFRGAGAAPAPGRQCCGGGGCGLGLARLVRRLRRRGRRALRGAAGAAASSQQRRRACRYEYDPLSYARNFDLGGDGDARVCHGCSFSSRFVLVPAASATATSSASSAGAGAIDASAGGAAPAAVVTS >Dexi3B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:3B:4463816:4468866:-1 gene:Dexi3B01G0006440 transcript:Dexi3B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNFLFNRLLTPPETPLFHSLDDEEDQRIGMAHRGRTQIKPISISRSSTVSDYLMTEESLATVQGSREQIQRCFTLEEATDTILFCSSIAHDIAYKAATIRLEREQQSELDSVPRPTVTMVGQSISRGDCSLKPPNRRIPRHRKRSEGGNVTETAKMEAGTKDPVPVRPDPEFSRTSDSMKPPKVESKCNCAIM >Dexi1A01G0022220.1:cds pep primary_assembly:Fonio_CM05836:1A:28918874:28921438:1 gene:Dexi1A01G0022220 transcript:Dexi1A01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLQRLLAVSGRLSPRKAGAVAPASAASSHGAFFVRALQILAQPGPVRLQKLSAPDSGIIELRLERPEAKNAIGKEMLQGLRSAIEEVEADATANVVLVASSVPKVFCAGADLKERRLMDHTEVRDFVNSLRSTFSSIEALSVPTIAVVEGAAFGGGLELALSCDVRICGKDAKFSLPETGLAIIPGAGGTQRLPRIIGRARAKELIFTGRRFDAVEAVTMGVVNHCVPAGEAYQKALELAREINQKVRIR >Dexi7A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:7A:30265715:30266761:1 gene:Dexi7A01G0021750 transcript:Dexi7A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIQDSEVDIVIAALQPNLNTFFEAWRPFFSRFHIIVVKDPDMAEDLKIPTGFDLKVYTKADIDGVLGASSINFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDAAGSTVDAVAQHMTNLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPGLRLRNEGKHRWDTLEDIWNGLCAKVVCDSLGYGMKTGLPYVMRSDAEAGKALESLKEWEGVKVMDDVLPFFQSLKLSRTAVTVEDCVKELASIVREKLGPKNAVFTKAADAMVEWNNLWKSHGAHHA >Dexi9A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:9A:6994765:6995679:-1 gene:Dexi9A01G0011230 transcript:Dexi9A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKVRVPDSPARFLFALGSLRGGLGRAMIGLVDGADIRELISLDDVMEERGMGPNGGLIYCMEYPVV >Dexi4B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:4B:4920303:4932607:1 gene:Dexi4B01G0007110 transcript:Dexi4B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETRRTGLPFRSALSEPKDLLPGASRPALHPNAEAFNERIQIQTMKHHSDIAQRDPCSNYLECVAPGNQTWRLGQLAQPAYLRDGGLFVPSGGHIWFSPIAPPPSLLRSCVGSMARTRPQVDRYPRKRRRAVGDRSPHHIRFFFAGSVASAMAESGRKQLSRRRRWHLAAPPPNPSLTGRRRYRCHPPCCSFEGTSFLSSAPPRRSPPSSPVYHRACLLFNSKSTSVAAPFSLEDYLVAACGLAPAQARKTAKRAFDESSKDSKKAFEDLPSSRSRLHSACTPDAVLALLSGVGLSRADIAAVVTVDPLLLRSSPKNIGPRLFRPVSSLRPITPSPPTRKLSLSSLTYKLTPHVILSSLFSSSSLPIADRWARAVIPNSPLSLALTDQRVPVVISFPQAVRAELMPRRCLRGAHAKPSPYRLALALPFSSAAPSRPGAKPKMLAAGRKRRPSRASLRWDESNSPVLVALPPSGELHHVRPTSPPPPFEFRPLCFDSVAGESLFAIPVHPSLFSPSSPISPLPESPPSRSSRALSAMASKLLSFCV >Dexi1A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:1A:5893652:5898283:-1 gene:Dexi1A01G0007640 transcript:Dexi1A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRMANEATTLGGVRWLQMQSASDLDLRSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVEGGEPLPEGLLWLLLTGKVPTKEQVNSLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLSKAKFWEPTYEDVLNLIARLPPVASYVYRSDHEGGNVSAHTGHLEVLLWIKSVIEETGSGVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >Dexi1B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:1B:15322731:15324473:1 gene:Dexi1B01G0012440 transcript:Dexi1B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDAYDPDGDVSRYLQTPPLESHLLTAPDDDPAVASHVGATEDVPAAVQTPLNANPACIVLPNLENMSLHDQFMYETDDVVGNTDIGNASAEHTVPPIEDLNFVPFVRGQLDCSNCRSVRDVLHESANNRLYFDVHIADPGTFQHAIFDRTYVDTDGQTVLNELVYLDLRQRMPEWVQNFIHNNVEMLKNDTSGQLKDSLNTINTPAANNNDAHKELELAMLKQIFSTAANTEAVAPEFAPGPSQLATARNINADGILFDAGRPGLSPAILESCQVSVQDGASSASDTDYSLLANKQRNRISQLSMEDIIRLMHMNREDAAKELNISSTSLKRLCRKNNTSRWPARKQIIVINNKIKKLEEAALRNVGTTGLLAIKEKMDKLKLEMAQLYASVMKTIQDNQKHNNDGAGPSGSKQ >Dexi9A01G0010270.1:cds pep primary_assembly:Fonio_CM05836:9A:6233916:6234843:1 gene:Dexi9A01G0010270 transcript:Dexi9A01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSLSARRLPPKPPGPATPNPYPLFANPRLPRRRLALSGAGDDQAPRRAASSPAAAGEGPSGSSAATEDPVLVRVADDGVPLEGVIQIEKPGDAGAESKLVSYAKLGLLAGGDVLCLLLFSAIGRFSHGLPLLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGSSVITAAKSWAVGIPLCM >Dexi2A01G0027880.1:cds pep primary_assembly:Fonio_CM05836:2A:39186935:39192025:1 gene:Dexi2A01G0027880 transcript:Dexi2A01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQECFEIRTEEAWSAEGKDGTRAVGLSPPLPLVPPSTPKPRPPLSPASSLFSRRFRSLLMAGLGAGLGASPAAVFDASRSRPLASPLRPVRSGKLIVPKRYFSVSRRLAWVEDELMEIRKSQEQNTVKSKKRPPLRRGKVSPQLPVPEHIPRPSYVGSKGLPELCKGQLHDAQGIAGMRAACKLAARVLDFAGTLVKNGDIINIDVNVFLNAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYYVVERFVGHGIGTVYHSEPLILHYGEYLFLISNENSGRMVEGQIFTIEPILTMEKTECVTWEDGWTTVTADGSWAAQFEHTILVTRNGAEILTKP >Dexi3B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:3B:10550252:10552402:-1 gene:Dexi3B01G0014650 transcript:Dexi3B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGPALESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEDLDARLDLSKLRAHPLKPVIH >Dexi7A01G0022090.1:cds pep primary_assembly:Fonio_CM05836:7A:30484430:30488151:1 gene:Dexi7A01G0022090 transcript:Dexi7A01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCKREIYSSSGMAVAVALTPASPPLVPSFRSRRDGRVRLSPRRPRYSAGRCRAMAQTFQGGPAASYAREMERLSAKESLLLAFKDAGGFEALVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGAFAARLLFERSPTTVAHFMGLDVLIKDGYSKISSNLKFLNTAVSSCIICELNILIQSKFLLTTQLSVEGPIRMKEEYIEGLIEIPKISEETLPEQLKGLLGQTAGALQQLPSPIRDAVAEGLKLPLGGAFQRLFMISYLDEEILIIRDAAGAPDVLTRLEGPQPNPIDGTADAVISEYES >Dexi9A01G0030200.1:cds pep primary_assembly:Fonio_CM05836:9A:35110253:35116672:-1 gene:Dexi9A01G0030200 transcript:Dexi9A01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAPTCKVIDSHLHVWATPQQAKEEYPYFPGLEPTLRGDDDLLLQCMSEAGVDGALLVQPRNHMFDHSLVISVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKFRAVRFNPTLWPSGQKFKLYYCSLFLFMQGIGPYIQEIEELCRDYPATTVILDHMAFCKPPTNDEEEKAFSSFLNLSRFPQVYIKFSALFRITREPYPYEDTAQLLSRAISHYGANRIMWGSDFPYVVAECGYKGIREAISHVAGKVPASPSDLEWILGKTISQLFQGAWVAP >Dexi2B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:2B:15728937:15732946:1 gene:Dexi2B01G0012280 transcript:Dexi2B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRAREDDDHHRSRDRDEDRHRHRRRSRHDPDDHHKHGGGDDGRRHHRDKDGGGDDEDRRGHRHHRENEDRRSHRHRNGGDDDDRRRGSRRSVSSSESPPLSVKRDRSSSRPRGSIERCDSADSEPLSSSRKRKGHEGSGGGDEADRDVGKRARASVYLPPPKEERPRRERRRFEDVDANGKNDDASKDISSHEQKAELVVNGDLQSGAVGNAVSQQPLDAKSVVVPSSVSVSSKVSSITTNNENEGVSIRSDEVTGKSSTDGSATSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPMLNNKLGTTGTDTQISKKQDIKTLVSAVEAELPKGEAKSPGAVSSLPTSSVSGTPAAARVIGIPGLPNIPNLDAVKRAQELAARMGFRQDPQFAPVINLFPGTSTELTVPQRPPKAPVLRIDAQGREIDEQGNVISMTKPTNLSTLKVNINKQKKEAFQIIKPDLNSLAKSSAHFDERMGINQNKLLRPKRPGFQFIEEGKLTRQAELQRIKSQFGEAQAKELKVKQAQLAKAKAEVDTNPNLIEVAPGVRAPKQKLKEAIPDIEPWDAKILLSATYEDFTVDKLNMDRITIYVEHPEPFDPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLEMEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPTNTVETIVCVYKIRDLSHPQTRFKVDVNAQENRLTGAAVITDGISVVVVEGGKKSIKRYNKLMLSRIDWAAAVGGEDEADEEPDKPMNSCVLVWQGTVAKPAFHRFTAHNCRSEAAAKKVFTDASVPHYWDLAVNFAEDSS >DexiUA01G0014090.1:cds pep primary_assembly:Fonio_CM05836:UA:29963234:29965170:-1 gene:DexiUA01G0014090 transcript:DexiUA01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNVSTTATADADDTELAKLQASRAAIASVLSAAADAELDIDAVGDHLAELLSTTALPSSSSHLQSQAVAARALHARIDRAVAPAEPLLAAFRRVSELADEAAAPPPANPGDAGSAVAFVDRVDQLRDAIEDAVARGDEAVRRVEEAVGFLGRTKAAGRARVRRLTEAAAALRAVYETEAEQMRFEGPLDDALLGLQELFEALLLKLKHPTPPVNDDDDVAGDDGDASGYELGTDDEVQAAARMARTLAGNDCLDICLDIYVKTRYRRAAKAMMRLNPAYLKCYTPEEIDEMEWESLESAMALWSPHFHVAIADVLAAERRLCERVLEPLPPAVWPECFAKIASRIAAAFFRFADGVSAAAREPQRLFKLLDMLDAVIRERERLDALFSGESATLIAIRDRTREVERALARTAASVFFEFGLRIETHHHVVVSGAGDAGHVPKIVRYAVNYLKCLASDDYRALMDTALRAERDRAGDEENDGGEPLAEAASNVLEALHRHIEAARRACADTVAGHVLAMNAYWYIYMRARGSELAKLVGEDAMRRRYKAAAEEAAWEYQDAAWTPLVRLVSGSSSGAAKTWPSPDEARGKAAAFAGMLEDRVRRHGG >Dexi3A01G0011380.1:cds pep primary_assembly:Fonio_CM05836:3A:8096505:8098170:1 gene:Dexi3A01G0011380 transcript:Dexi3A01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGAPAAEGGGRGRGGARYYPPLSALVVSAIAAFSAVIVLAVLHSVYDGAVSRTRTLCPAYFAAIRRDLAPWRRRDAGGGGVTRALLEAARRRASMRVTITGGGRRLHVDLYYACVQSRALFTVWSLLQLMRRYPGRVPDVDIMFDCMDRPAINRTEHAGGDPPPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWNVEFRSIKVGAKATRWVDRVPTAYWKGNPDVASPLRVALLGCNDTNLWHAEIMRQNWTDEAKAGYQHSKLSTQCTHRIEIYAEGFAWSVSLKFILSCRSTALLIEPEYEDFFSRGLEPRVNHLPVSRQGMCESIRDAVEWGNGNPAEAERVGRRGQRLMQDLRMSAVYDYMLHLLT >Dexi2A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:2A:31674473:31675012:1 gene:Dexi2A01G0019500 transcript:Dexi2A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADSGLPCSGTKKACAPSDSKHRSSPRHPLPRTASQYAYPLGALGSSDAMETSILCPARFARLGAPAAIGLILGSSSPPFAAAPGKMTAHLSLLFVPAAMAMLWAMLAPALTPERKTRPRSPWSVSHGSSPDATHLRAAQESS >Dexi1B01G0031080.1:cds pep primary_assembly:Fonio_CM05836:1B:34820317:34822605:1 gene:Dexi1B01G0031080 transcript:Dexi1B01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRAGRASPYALALTALLLVSAFLLALIAFGVFSLPVAAPNAAATTNAAGGESESADSRPARPRPRRDLGEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNSSSLPWYNELSECARGGLSVKPKMGDALLFYSMKPDATLDPLSLHG >Dexi1A01G0012970.1:cds pep primary_assembly:Fonio_CM05836:1A:15018242:15024399:1 gene:Dexi1A01G0012970 transcript:Dexi1A01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNGGAGATRWRISRPPANGAPLADAGSMSIRTVLGRVISSVDASGPRPVLALGSGDPTASACYRPPPEAEDAIVEALRSREHNGYSPTVGVLPARRAIAEYLSLDLPYQLSPDDIYLTSGCCQAIDVMISVLAQPGSNILLPKPGFPLYESRTMFSNLEARHFNLIPDRGWEADLESVESLADENTVAMVIINPSNPCGSVYSHDHLAKVSIYSFVDKSIENYINITNDPATFIQAAVPQIIANTNDDYFNKILNLLRNSADLCYGKIKEIRGIKCPHKPEGSMFVMVKLDLSCLDDIQDDLDFCCRYENIGSALGMKDWIRITFAMDVSTLENALERIKSFCQRHAKLEAQSLAEHGAYVLARMRRAPLRNQSCDTREMRWRLIHRPPIHLGRRRRRPIMVREAWSVPVPVAAPEELVLE >Dexi2A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:2A:14228086:14232437:1 gene:Dexi2A01G0012230 transcript:Dexi2A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTMEETRIPGYFKNKGILVTGSTGFLGKILVEKILRVQPDVKRIYLPVRAPDAESAKKRVETEVIGKELFGLLRETHGKRFQSFIDEKVVPLAGDIIQENFGVEGAQLAEMTREVNVIVNGAATTNFYERYDVALDVNVMGVRHMCQLAKQCPNLEVILHVSTAYVVGERQGVIQERPFKLGETLREDEPELRLDIDAELRLAMDYQRQLAGDDAEQKNERKAMKELGLARARGFGWPNTYVFTKALGEMTLAQQQQEQPELAGVPVVIVRPSIITSIQRDPLPGWIEGTRTIDAILIGYAKQSLSCFLADLDLTMDVIPGDMVVNAIMAAAAAHASPPPPQPQPPTVYHATSSLRNPAPYAVLYRTGLRYFSDYPRVGPDGRPVRARRVHFFATVAAFTAYMVLRYRLPLELLRLLNALCCGLLSGLCADLGRKYDFVMRLVDLYGPFALFRGIFDDANVERLRLAMAAGDRAEFNFDPKTVDWDDYFYDVHIPGVMKYVLK >Dexi3A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:3A:10535339:10536063:-1 gene:Dexi3A01G0014460 transcript:Dexi3A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQATPAADANTSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNATQKLYLLFLLAQMENV >Dexi3B01G0035070.1:cds pep primary_assembly:Fonio_CM05836:3B:37708762:37710990:1 gene:Dexi3B01G0035070 transcript:Dexi3B01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVLLMTDEVIKEVITSTPATAEQEGEDLLINCPVITDSTSEQPNVKRKEKSVPHYLRASSRSCHDNCKFGIKHAPEPKKYWPITRKQLRRATTGSHEQGRIEIILPKTTRPRKEAQELKNSHENHGDATAPGKPEFANTKALLERAPDHLDNISCVEDLSAEVSEPIVAYALPTDAECFVISHDDLADCEDGVSLDGAESIELAMPLAIQDIDESDEHTDDVILPSNSVCEAGEPSLGDHVPEQSANESANSDKNTSPGVIASEKHEQAVLGTKSKHSASEPDKPKAKATSSVTRNTVSSQRNGRALHQKATGAAVESSSGPKTMRKAADATAVKRFSKPETERKFSSTVASAAPKAKEIKVPSSFNATDSSAKPARLAKLKASTVKKASTPSLSSEKQIDREVTEKNVAKNARVLQKKVNEKVISGPLKLSRSVNMSGKSISSLRLRSIRKDKIAPPVKSSKKVSETENSAIDAKNTKEKFLKIASPKLRKAEVNNKESRPRKEKPDAVTPRTAITRRLKPATITPSSTVAPAPSPRKLTFRRGTVLNPNDSSSSSTPRRLRFRPALATADSSSSRSRVNRITGRRNSSSATARDTAAEVVVLRRRQDGKETKKQEQVLFNNVIEETASRLVAEARKSKVKALVGAFETVISLQENGKAAAPAAATAGVATT >Dexi3A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:3A:7636147:7637720:-1 gene:Dexi3A01G0010670 transcript:Dexi3A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAHLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEADRSGAAPTISTERTTGSSDVNPSSTGALGNLSHLLSETAQSSMLLPVYEKNHSETPNLARPKVPPKELFLDQITAGHESPSTCRSSGQTLYFPFQQPLGYSSESGNDDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTPMGLNVPPDNPRHGGTGVGSASVDSFYWDGTNPCSSSSTGSRGSNSLGFEPQSTSSILENSVFPWTDIVQEKDTRACLVEELKWPDLLHGTFAETTIALQNQSQSLYDDVIKAESQFNMEGICASWYQNQQPQQQLQAAPDMYDKDLQRMQLSFENI >DexiUA01G0024320.1:cds pep primary_assembly:Fonio_CM05836:UA:50341260:50341614:-1 gene:DexiUA01G0024320 transcript:DexiUA01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVRSLMSPLKKLWVRMHSAQHKKRGIYILYEDVKSCPCEDVQILWSILVESHPPPPTLRLKH >Dexi5A01G0024140.1:cds pep primary_assembly:Fonio_CM05836:5A:28040624:28047927:-1 gene:Dexi5A01G0024140 transcript:Dexi5A01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQASWVEEEADKSDLGGSEHGKVEVMWQAVDAGGDGDARGGDGDGDASPGLSVNLERGLVLHGGAADGSSDEEEFDFDEVEDDGNVQVQWECPEEDFDPDGMRFGVELRTSPFKRAMSRQLTFQRSMPMAKKSLNYSGLQKEVESLSTSLSSGGRARMAPRPGSAAGYKDYDVPKASGMHDKAPTSHPKGPMPMEEDQLEQDLEGGEDDDTGGFDKVGAGEEELCKDQGRVSGLDSFTGSSGDRWAQLLWGW >DexiUA01G0000660.1:cds pep primary_assembly:Fonio_CM05836:UA:2416075:2416473:1 gene:DexiUA01G0000660 transcript:DexiUA01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQRRSLSPNLADRDAWCRSNKYSRASVARSFWSFCFRRKFQIFQVPTTFLAILLIWFTLLHINKTITAIFHHLTCINNVQVFITPVSTSSFIIFLKLCPSSSIQSTFKNPTHHPQQWPIATGCERCRGN >Dexi5A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:5A:19167693:19169156:1 gene:Dexi5A01G0016650 transcript:Dexi5A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLKTSYELVVNNFLAIGAVAFASSVVQRGWPVSVDDLTAWLHAQRRVHLLTAAILLLAVAKLRRTRRPKNVYLVEYGCFRPKPCFRAPFATCKEHVHLLPYLLIDKESLAFVIRLLERSGLGEETCVPYSYHYLPPERTLAAARDETELVVFSAIDELFAKTTVKPADIDVVIVNCSIFTPTPVFVDMVVNRYKLRHDVQALNLSGMGCGAGLVSIGLARNLLQVAPPGTHVMTVSTEILSSQYYVGSERSMLLPNCLFRMGAAATILSNSPERARFKLGRIVRTMTAARDADYRCIFQEEDDKGVVGVRLSKDLAVTAGNALKRNIVAFGPLVLPMSEQLLVAVSLLKRKLLRRLGAKVRLYRPDFRTAFEHFCIHAGGRSVIDEVQRGLGLSEDDVEASRMTLHRFGNTSSSLVLYELAYTEAKGRMRKGDRVWMISFGSGFEVSCLAMECVKPAADADGPWADCIHRYPVQLPDLGKEII >Dexi6A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:6A:880032:883164:1 gene:Dexi6A01G0001030 transcript:Dexi6A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKEQLHEVKKVIKEKKDDPGISEYLDMEKELQEVIMLTEELLATAKQAESSQNGAGLSSPNYSAGVQSEGLGDLSNSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSGDASSDDE >Dexi3A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:3A:8224489:8228171:-1 gene:Dexi3A01G0011490 transcript:Dexi3A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYQPLTAAVASAAESGSSAAAEAEMASVAAAEGAAAALRSVLSRAHQAAARAGRAPGSVRVVAVSKTKPLPVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKAKALLAGVPNLDMVESVDDEKIANRLDRVVADLGRKPLKILVQVNTSGEESKFGVEPSGCVQLAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >Dexi1A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:1A:26510036:26518976:-1 gene:Dexi1A01G0019380 transcript:Dexi1A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAILYFRVLPWFWKKSGELVKIVGLNAENEIIHTLAFLAGAAIWLQIIGLSFSLYSTFVIEAQHGFNKQTIWLFIRDMVKEIMLSMILGPPIVAAIIYIIQIGGHYVAIYLWGFTFVLAVLMMTIYPIVIAPLFNKFTPLPEGVPKDKIEKLAASLKFPLKKLFVVDGSNRSSHSNAYMYGFFKNKRIVLYDTLIQQVLCLRCSNEDVIVSVIAHELGHWKLNHTIYFFVAVQLLMFLQFGGYTLTRNSKDLFRSFGFNDKPKIIGLIIFQVLAFEFQADAFAKNLGYAPQLREALVKLQEENLSTMNTDPWYSAYHYSHPPLVERLQALEVPDNEERQLLEII >Dexi6A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:6A:9012230:9012460:-1 gene:Dexi6A01G0008480 transcript:Dexi6A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAAAACCGMEEETVMGEQKAPGACPRCGGAVVATDVESARRVLCCLPLCVKNKRKFSCTRCRRSLAALYTNA >DexiUA01G0020070.1:cds pep primary_assembly:Fonio_CM05836:UA:41960303:41960509:-1 gene:DexiUA01G0020070 transcript:DexiUA01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVSPAAPSPPENRS >Dexi8B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:8B:18360065:18361283:1 gene:Dexi8B01G0010020 transcript:Dexi8B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGIKTPAVATATPVPVAAGHVLRGGVVIDQRPSGEESEVDDGAVLGEQEHEGLSGGEDFSDEDDTGDEHVDSHSEFAEYDYTDGDDVIDSGAIEDVVDTDNEDAIDSDIEDVIDEDDVDSDSEFEEDGQPVDTGHGSISAGTAWFLGQPALVASVHNTTGFMRVAAAKASPGNHGVVGCDILVHYQYTRFSRSQSGAGDDDDDDDVVDMHVLGPKEASVRFHVPSHAAVSADPATTLRLAGAALGSLYPSRFRAELHDLWRGLVTVAVASLHVPPRATRLVVTVDVGILRPGDRTPGRMRSVRAAMEAVACERGGRRAAAEYDGVGVMELHLPAPLLASSEDDAIRPTKRRRVTAREDCPICLEALERGLAAWPRCSHVFHGRCLEEHLVRGRLG >Dexi5A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:5A:14240242:14246105:1 gene:Dexi5A01G0016040 transcript:Dexi5A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGDCSGGGAGLEEEAAQREREWEEAAEAVAYDSCTWPPPVVAVCGPGNCGKSAFSRLLLNTLIARYKKVGCLDIDVGQPEFTPPGFVSLHVLEQQANGTGLHVLTKMLKYVSPTHVIRVSTTVERKNLPSGTFWMNQSDEGPPVNLVEIRAAQNSPRHLLVKKEAGIIRDLRMVSDTDEHRFLNGTIVGLATSVSYLSVESSNPCCIGLGFIKAVDVSEGCIHLITPVSQELMEKVDIIFQSYIAVPSCLLKVIVNTVLLQLFRKYFERIVNKFPGCSRCV >Dexi3A01G0022930.1:cds pep primary_assembly:Fonio_CM05836:3A:18531401:18535002:1 gene:Dexi3A01G0022930 transcript:Dexi3A01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLCARSYSTTPSFTLPAKASPGTGSVSFPRARESRKCRPRMATVRAEAVDTTISPRVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPAVIAEAGMNAIRDGATRYTPNAGTLELRKAICKKLEEENGLSYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPFWVSYPEMARLAGATPVILPTSISDNYLLRPESLASVINENSRILILCSPSNPTGSVYPKELLEEIAAIVRKHPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQYTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFRELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKNSESLCMFLLEKAQVALVPGDAFGDDKGVRISYAAAMSTLQTAMEKIKEAMALLKPPVAV >Dexi3B01G0025140.1:cds pep primary_assembly:Fonio_CM05836:3B:19969070:19975765:1 gene:Dexi3B01G0025140 transcript:Dexi3B01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGMPGSPVGSAAAAAAAAGGPAAPEVAARDAVIGWFRGEFAAANAMIDALCGHLAQIGGGGAEYEAAFAALHRRRANWFPVLHMQKFYPVADVTAELRRVAEARAAAAAAAGSCCYSEEEAASTVIHEPMEDLPAEPEQEHEPEPEQEPIQQDPAPETEEADAAASASASVEYHEQDAEVDSSGDSSERKAASTEDDTVADGPQRTSLGRSGARKCAAAPRLAVTLSASGAWGFRILLLVAGHGMCRSEIGAGTCRKAVASTKLVTSSLDGARRAVTVAVDRGGSPSSVQPPALVAGVYALSLDDEIVFEALSRCCGNLPESYPICSDHEECIARPERIKIQKGFVAKESVNVVKGLKIYEDVFTTSEIVKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEEANCHIEPIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPMDLSPLPSPTKAMTPWQPQPGAAAPTCMAQKAPVNGGAIIGYAPAPQAVLAPTAWGMAVRAPVMMVAAAPARPMVMASSGAGAAGGNVGKRMGRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >Dexi1B01G0024440.1:cds pep primary_assembly:Fonio_CM05836:1B:29732257:29736278:1 gene:Dexi1B01G0024440 transcript:Dexi1B01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGHVTTKNLPGMMKKLRGLNEVVSEEEIAAFLSDSYPDNDQEIEFESFLREYLNLQAKVSAKVGGGGAGGGGGKTSSSFLKSSTTTLLHNLNQAEKSSYVAHINTYLRDDPFLKKYLPIDPAGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPEHSPETTLDTKDPDERAKMVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTKQVTLTQTATRDDVLLSREERAFRMWINSLGVDSYVNSVFEDVRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHPQGSQGKEITDADILNWANSKVKASGRTSQMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEADEDKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSEMPEQSEPSSLTSDAASDIASEDGASTTAPSESEEVNSLSDGMSNLTTDDATSNAPSTENGNGVAGS >Dexi3A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:3A:10614789:10617525:-1 gene:Dexi3A01G0014590 transcript:Dexi3A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGSKDEAPDKVMGPLFPRLHVNDTVKGGPRAPPRNKMALYEQFSVPSNRISSAAAPPGPAPAPPWHAQRPAAGAATSSVPSTSASQAGGSDRPLFPSFCVPSTEPVCSSHGRAGNTTRAESGRQSTHLNSKDTNAAGPTAEHSSKHRENTNQNSSGKKLTNDDDFTVPSVLYSGMPPHSTQEKFTPFPTTSPYKSVSAVSKSSAKCSNTDKRHFEGMNDSDAKSRESPAIKEKEPAKVRIDLEIGGSTSQFQTSKEKLGRQDPKVSSYRDRLNKDNVGDRQHSEIESYQTRGRKENAVETQNPAKAEKVPSSKPYAGMVQNGDSDLLGRGLRVIGEKRKMLHHGVDQNGDLSESSVESLPEMEISPDDVVGAIGPKHFWKARRAIVK >Dexi8A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:8A:3047500:3052689:-1 gene:Dexi8A01G0003970 transcript:Dexi8A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGASEPPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDSTKTTSAGAGRIIERWVIKYEANRSGNGSKNTGKKSRSSSAHDHSLYRRAYSGSTVLFRSLYLVVRLLPAYHLFQELNSSGRIRPLSLSHKISSFVEPFTRAEDADMKHYAFAPIETHSGLLSLSVSYAPVLEVAAAPEPTTPMATELIMDYVGSPTTDFLRKFNSLPSDGIAPACVAMTRRHSWSTEHGAGPSASPSHMPTNNSPTTYPHQHSTSSSGKKRDTVNEECYPSPPLSPSPSRSPSSHPRNPFFRYESAPLSIATARAGGGGTRLPPSPHRKDKQQCSFQNENLIHSPSDKSIVTNDLVRVGEVRNEKSLQKVLSFGKDDLVYFPGVKLARTSSKLFIMDELDEQELVFAWEDKDAIIDQLNRIDLSDRENPDSSQEAGGLLTRSPDAAIGILMRILKSAPGLRERLLTAPAAPVPQEPSSLQRVVTEEHGSGESSSAMVPSALLRSRTAADALEELNRYKEIRESILNRGKGHPRDTKMEEKPADGDP >Dexi6A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:6A:2175086:2175754:1 gene:Dexi6A01G0002280 transcript:Dexi6A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVGTCSIVACIVCLEAVHRLEYEKVHGEGTFPCTLMAEALQKLFDECCRDETWKPNEDCYVEDVLNKIQEMRPVLLVTAGVPARALPLGSWQDHGLAGRSPEFVAALLNSHGPCIGVLCMCPWYHHFDAGRDDTLVYRGCGRCERDMDLAEELYGDQVVSHVIVCFAYRFCGDDDDLTNQQMHVLVRDNHWAADNGPQRWVDVEELTTLYTLSVESLID >Dexi6A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:6A:7525903:7529991:-1 gene:Dexi6A01G0007610 transcript:Dexi6A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEASVAPEATATGAKQQQHTGKAAAALAAHDASGRLEPLTITRRSTGDDDVAINILYCGICHSDLHSIKNEWRDAMYPIVPGHEIAGVVTEVGKNVTKFKPGDRVGVGCMANSCQSCASCDEGFENHCQSIIFTYNSVDRDGTVTYGGYSTSVVVHERFIVQFPDTMPLDRGAPLLCAGITVYTPMKYHGLNSPGKHVGVVGLGGLGHVAVKFAKAFGMKVTVISSSPAKKEEAMERLGADAFIFSKNAER >Dexi3B01G0022940.1:cds pep primary_assembly:Fonio_CM05836:3B:17651027:17654879:1 gene:Dexi3B01G0022940 transcript:Dexi3B01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPQVPFSRTSPRMRQGRLGGAASPHCLSVAAPASAPETAAGAGSVSFPILVNGCTGNMGLAVAEAAARRGLHLVPISFSSREKVKKTIQVGTTDICIYGPSAREDVLLSVADEFPDVIVVDYTAPDSVNSNAELYCKLGLPFVMGTTGGDRQLLYKSVEDSKNYALISPQMGKQVVAFIAAMKIMAEQFPGAFSGYHLEVLESHQAGKKDTSGTAKEVIACFEKLGVSYDMNKVVKIRDPEQQVEMVGVPEEHIGGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTIDAAIFLHMKVQSKDPKRIYNMDDVLREGHMR >Dexi7B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:7B:19812764:19813114:-1 gene:Dexi7B01G0013260 transcript:Dexi7B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRACVHSMKLWADLEFEWARRTAEALNGEVEQRQQVRRMRDEGDVVIAVTETEPTTDLAEINDEWWRPHAGELRRAERALRRRRQTEEKLATEDPLKWPFNNKTLKWIELVAVQI >DexiUA01G0016030.1:cds pep primary_assembly:Fonio_CM05836:UA:34178411:34179038:1 gene:DexiUA01G0016030 transcript:DexiUA01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVTLLLTILERVAPESHCLRVKVYRYWDSRNYPHVPMG >Dexi4B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:4B:4629353:4629688:-1 gene:Dexi4B01G0006600 transcript:Dexi4B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATASEAEDVVVAQDGSPAVRPVPVHVSPVAMAGAVPQQRQGWRQQESEEGEDTKKMRAMWFKDMRGWLMVLAMQIASSTYQAGLNPPRGFSGDTSHSTPILESTSHSR >Dexi6A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:6A:2910634:2914233:-1 gene:Dexi6A01G0003250 transcript:Dexi6A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLLDMCSPRLSKNTSGEEVSSLIDPSLDGDSEADLFADLCKVFCLLGYVSEAAGVVAAAEAEHGHHRVSSSSSPATCRAVIQTAEGFPKPVWKLGGRGASGVAFRGRALEIRAEGDLVTARVT >Dexi4B01G0020900.1:cds pep primary_assembly:Fonio_CM05836:4B:22990822:22992227:-1 gene:Dexi4B01G0020900 transcript:Dexi4B01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGALHLRFEKGMVGLSFCDFAGTREDKAMMATYRQQQWPRRYKVT >Dexi9A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:9A:9224229:9224795:-1 gene:Dexi9A01G0014020 transcript:Dexi9A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPDHVLADILRRLPPRGLAACVSEAWRGVVDGRCLLRADLLPLSLGGIFINFHNYDATELFSCPASAAASVSGTRRYLPEASGCHSWGEIQDHCNGLVLVEGYDDKIWYVLNPATRWVAPLPPCPPPAVDMGTLEVKYLAYDPAMSPDYEVISVSRFRYKRRPGDLRYDSSTDAVDSEIEESE >Dexi9B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:9B:10762740:10762964:-1 gene:Dexi9B01G0015730 transcript:Dexi9B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRWHEEAEECEVDDGRIRRRYGRGGRREDGEEAAEKKSSRIRRRRGSAARTLEVGSPRPGEKPRMGPRSPV >Dexi3A01G0028500.1:cds pep primary_assembly:Fonio_CM05836:3A:29314266:29327973:-1 gene:Dexi3A01G0028500 transcript:Dexi3A01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVGGGGGGSAGSGGADVGSLLEKAKELDQLKKEQDDVSSEISKIHKKVLTSPEIVDKSVDAILLKLRGLYARAKELSESEISASTALIGLLDGLLQSGASTVQRKKIEGGEQKKKRIKSETDTARSSAAYMRNQLDQPANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESTQKYESYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >Dexi3A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:3A:5332981:5334019:-1 gene:Dexi3A01G0007680 transcript:Dexi3A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFCLQAFGIVRARGAPLYLTYSIPHLISFESQTQARKGYGERIPWRHGLPPRELGDLLTDKYKLLKEAKKEIRSLRCELDNMYAFLKDMSAGTQNPNEQAKCWMNEVRELSYDIDDSVDEFMLRVEQESSSRPQGFKGFIDKCLSLLTTIKARHQITEEFRGLKRLAEEVSERRKRYKVDDDASKQQHDDTTIDPRMLALYTETARLVGIEGPRDELIQLMMGEDDQLKVISIMGFGGLGKTTLANEIFRKLEGQYQCRSFVPVSQKPNIWKVLRKVLSQVGYAAPENTNMEIWDVDEFISTLHKFLTDKRYANL >Dexi4B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:4B:222718:227557:1 gene:Dexi4B01G0000350 transcript:Dexi4B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLLVGAAPRPPPAALRLLLRRQMASSGGARAFQLRLNPLTGDSEWLVIDEAEDAPAAPTHHKQLLAATSYLDMLNDAARNRAYRRAIDATVTDPNSRVLDIGAGTGLLSMMAARALAAVGGEGRGTVSACEAYLPMGKLTRRVLRANGMENKVKLFHKRSDELTVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDELLVKNLKTVPYRATTYGVLVESTFLWKMHDLHSSEATAQDGVWLTPRGTENILSVKLQQHAMQCDALEDEIRLLSEPFKVFEFDFWKRPDSHHETKIEIKATADGHAHAIISWWVLQLDSAGSVFYSTAPRWMSERSSPTCIVADDSVFLALVVSSLSPSSKVIAMLPGLRDKGFNYLQAVTDANNLSMDRIKVIGRKASSLTMSDLEHEKVNLIVGEPFYHGSEGMLPWQNLRFWNERTLLESLLSEDVFIMPCKGILRICAMSLPDLWRSRCSLKDVEGFDHSVVNDSFGACGDMPGEQQGPCLPYYVWQSGYTKKLSKVYSLMDFDFSEPIHPCFGKTKIKFAYDGICHGFAVWIDWVLDNKSSTVISTGPESRYWKQGVQLLSRPVQVNPSNSSMHVEAHFDPDTAELSFQAKFVM >Dexi1B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:1B:26289902:26297263:1 gene:Dexi1B01G0020080 transcript:Dexi1B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWAREIRVDRLSPPSERCPPLAVMHTVAVGARCLVMESRPPAATDVAPLPLVAMHAACLRDNKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDALQRKQSNETDPQRRNGMLSEIKRYLDDKSILKQYIEGDQVYDDGKLYKAQPEVVPPLSDNQQPMTRPVIRLQDKNIILTRINPLIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLHDRMVCVKSGISIIQMLILKLPFIGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEENCSVPVLCVARNVACSVRGGFFKDFDEGLLPRISNVHYEDEINDISSAPDVGNYLITEDENVAAVNGNRDALPFDGMADAEVERRMKEASGNSQAFPPTVAGFVMPVVPPQNFVSSSVAPVAPPLGMIPPPFNQPVVQPGFSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAVPPVQVPVPPVQPHGNWFPTEDGMNPSNSSRGSAGFTVDSDSVLYEKKQPPHPPFFHGGDSSVSSDRFSYQNQRFTPQLPHAEDHHMLQNHVPPKYRSYSGEELSARHVPPSQRNNQSESGRRFAQYAGTSAGILEGIALKCGSKVEYRSTLCDTAELQFSVEVWIVGEKIGEGIGRTRREAQRQAADMSLRNLADKYLSSDPNKMTDLKENGFSSNRNFFGYSGNTRDDMLPVSCTPEESRFMKMEENNSRKTGGSVAALKELCTVEGYNLVFQAHPSAPDGSVGKESYAQVEVGGQVLGKGVALTWEDAKLQAADEALGTLRSMLGQLGHKRSGSPRLLAPHLNKRFQPDFQRTMQRVPYGTYSRMEGHVP >Dexi4A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:4A:154120:155450:-1 gene:Dexi4A01G0000210 transcript:Dexi4A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSSTLSVSAPPPPSPNPLLFHLRRPLIAAAAALAALLLLAAAYAPWRVDLPPKAALLACPRFYSFDLVREYHHDPDAFTQGLLYGGNDTLFESTGLYHRS >Dexi5B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:5B:8247333:8248301:-1 gene:Dexi5B01G0011630 transcript:Dexi5B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKIPTPSTSFFNILKDGALLPFRNRSLFIAVFALTVAYTLLRRLVNDMAVSTDELLRDVMAFSNDTDATRSPDEVHRFLRDLAKDTWNLFWPGGAQRLLDFTVGNAVWIVSLLAAVATYAGETSCSFATLLGKAMAQLKGAALTIAFAYVMQVAYAVLLISAMAALLVLDRLFENVPAGLLILGWLLLAAAAVFLEYFAFVCRLSLVVAVAEPDRHSASAVRRAWQLLRGRRRRAVLLIAVTSALAFVCNRAYGLARTRAVSCEASLFAVCAITAFYYECKARNDAATATEFVKLASEELLSA >Dexi2A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:2A:30956317:30956754:-1 gene:Dexi2A01G0018780 transcript:Dexi2A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTYNLPPGPKPWPIIGNLNLIAGELPHRSIHELSKRYGPLMQLRLGSLPVVVGSSAEMARFFLKTKDATFSNRPRFAIAKYASYDASDILWSQYGPYLRHVRKVCATELFSAKRLESFEYIRHEEVCGMLRDLRERRRPGAS >Dexi8B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:8B:26502221:26505238:1 gene:Dexi8B01G0015780 transcript:Dexi8B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGSIAFFGTYRPPVPLDIFSCPADPPPSSSKDEHLLTDDESYNQNGQPIPAAALMELLTFLSKKNPKLASKSGATPEDADKGHVTGMVFVSERDCGLETLHVALRRRRSISSGEVVVKVLSLGDVYGADTFGGVRMEDSGCIAGGFKVGDVTVGHSLVYVSTKEAAKARRTPWNAVYRTDLATGETERLTPPGQYDLSPAVSPSGKTVAVARFQLNKWNGEIENLKTDIVIMNVDKRKQGGLGRRRLIKNGGWPTWGSDKVIFFHRGFDTMPPSNTAKWAVFRYDMDTGKEERVTPEGIDAMTPAAISETKVAVATIRQKSLQVQMEVEREVSQYRHIEIFDTAMPGKPPVQITQRIRPERDHYNPFVLDGGRRIGYHCGRSDKLLKVQSDEKSTSIERKFDKVQAPESHADVGLFRVTGVFPSISKNGKKLAFVDNEFKAVWLADSKGLRVVYEVRSSKSVFSTSWNQNDDLDTLYVCEGPAFTIDQPVQIMILANVSRDDVDEIEVYPLTDEAYNCAFPSSNAEGTKLVFRSSRDRVKGGERKHKNLFVIDAVEGETAGVHQLTDGPWTDTHCSWSPREGCDWIVFSSSGRPEKDIVKRAGEPELDHGLDPGYFAVYLVNAKDIEKGKIPVPVRVIHSAPTIAGHVNHPVFSPDMRSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVDLRDNSDDITKNKDIQEFHRITHSRYEYSTPTWAAIADGEEDPNAKWKMLASVPDFTPHCPYMQGEAGKKESWHMTGHLIIKKRCC >Dexi3B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:3B:9412172:9412438:-1 gene:Dexi3B01G0013190 transcript:Dexi3B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVKILLLVSLIPLVLRGVSLLGNAIPSPDDDQPSNSKNSASVSVSASGVGHGRLSDFSQRRFGGDGGSFRDEKRFSPTGSNPLHNL >Dexi7B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:7B:1365660:1367265:1 gene:Dexi7B01G0000600 transcript:Dexi7B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPGKAVSSVMQLSAFTKITLIPKENKYRDFPVAVRLKAAEMTVRVKPPVDIVAAIDISGSMGEPAGGPSKKKKMVLIEQAMGKVIKNLSGAMNSIAVVTFDDKIRLVTSLEEMTEKGQGIISAAVKDLDPQGETKFQGALKKAATILKDRKDHNDRLAFIIFFSDGEDDKFQVENIKDNLGYPIHAFGFSLQEEKDLTTLKAMADASSGSYTLVNEDLDKITEKLDQLTAERTTSMVAVDTIVHLKTLHPGVFLSKIEGSSDSSNSKISEDKQSADIFVGVISSGEQREFTVFLDVPEGHGNGAHGAMDLLAVAGSYKQSWDRKTVALGESIVTVKRPGPTSCNELHWIKERMEYWCKVKLNLSAMYEKETAEAEAGVKCKCHIQQVLREASLEVINKEMHHDIYTVST >Dexi1B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:1B:10128299:10129908:1 gene:Dexi1B01G0010480 transcript:Dexi1B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQTEFVTAPSTPEPDTLQIVVEQKLRRHHHDGGNSSSSMTIFRVPAHVRDASKDLYEPRLVSIGPYYRGRVELRAMEQHKWRYLHDLLAQYTEASLADCVSAVRDVEHQARRCYSERTGIFDDSGDGFAEMLLLDGCFVLKFFINWYARVPDKLCYVGWGLPQILSDLELMENQIPFFVLESLYGALSPDGANVRLDLLMLIVPKFGLDNSALCKQLLDGVLLPTRAEEMEIDHLLHLLYLAFVPTAEELEAIPASPTLLARCVAWLRQMWLSFNTAVSERFAFVRDMPRVPGWTRLCTFMALLHKVSAWFTKLLAMIRVNNTRTEQEEEEPPPEPPIMVVPTVTLLREAGVRFETKPSARHMLDVTFDVARGVLRMPRVKVDYADKAQLVNLIAFEQTRSSSSNGNNKLLSSYAALVGSLVRTAEDVEHLKRHDVIDNLLSCDHDAATEFFQRLGDCSSLDYSEEHHFADMFEDLSQYYHSSWQRHKVGSSPWAVLAFVVAGCAFFFALVKFSTTIYSLSHPYCHC >Dexi9A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:9A:2966384:2967246:1 gene:Dexi9A01G0005330 transcript:Dexi9A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKCSHDAEACYPPAPGGDMYPYMMESPQIRWAFIRKVYVIVLLQLLLTVAVAAAVNHVAAIGAFFRSRTLASLGAWIAVVLAPFVVMIPMICLRKRHPINLVLLTLFTICMSFSVGLSCLTAKGVIVLEAAAMTLVVVVGLTAYTFWAAKRGHDFEFLGPFLVAASLILIVFLVMRMLFPMGKTGTLVYGCIAALIFSGFIIYDTDNLIKRFSYDEYIVAAIELYLDIINLFQAILSVLEAIDG >Dexi1B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:1B:10972723:10980785:-1 gene:Dexi1B01G0010990 transcript:Dexi1B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEKERARNWRGDVGVESRDREIAGGDAGVESHDRDTGDGTELRRHGVAGVDHYTLDSFYKDKEVGWLIMDIVLPFKVGDTVESRFFSLGFRGAWFRSKINLMCIRQGHLECLLEYLDFTDETYRFLFALTEKTWTRLYKVPPASRKRKSNENRMIMLRPTFPQWYLEHEKPDELPKANVVAIVSNPWKVGDLIEWWYADCYWTGKIIELLGDDKVKIALHDEPIGEGGHYDADCKDLRPALDWSLENGWSVPLSQENGNSWYTAQLIIQNTDSGSSSSDEDIEQSCDGEEVQKCLNEPSDVPAVAEATGCGTNLSAKVSDKFFINNQEDCKEESPKCLSGTSNMPQQVTDSEGELPPNQNGHCWKNSETNSPVAKRGESPDALLHGQSSPISLKRRKTSDISVEETPDTVDDAIMELEKVANKIRRLESLLLSVVSPPSKVVKPSWKFLEEGASAKLT >Dexi8B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:8B:1353935:1356497:-1 gene:Dexi8B01G0001920 transcript:Dexi8B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITARDLYHVLTAVVPLYVAMTLAYGSVRWWHIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLAFLALASRLSHFLSLDWSITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEYRAARSLVMDHFPGGAAADIVSFRVDSDVVSLAGKEDLEADAHVAEDGRVRVTVRKSTSSRSEAAGSHSQYSQSMQMQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGGGDEEKGATGRHSPAAAKRKDLHMFVWSSSASPVSDRAAAGAVHAFGSDHGDVLAKGGGTQAYDEYGRDDFSRAKNGNGADKGGPALSKLGSNSTAQLYPKDDGEGRPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGIIWSLAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >Dexi5A01G0026420.1:cds pep primary_assembly:Fonio_CM05836:5A:30062474:30067132:-1 gene:Dexi5A01G0026420 transcript:Dexi5A01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDAASLLAAPSPPRAKHHTRGRFLYLVSATLAVLCASLALLIFLALRPSAPNPNPSPNYAELFLSLGSNDTAAAHLRALTLHPHVAGTKANSLTARYVLSTLSSLSFPSHITPYSVLLSYPVHRSLSLSSPGRGAPTSFSLKQETYRDDPYAAAAAETIPTFYAYAASGSVSAEAVYANYGRAEDFAYLASRGVAVAGKVALARYGKIHCEDIAHNTRAAGAAAAVVYPDPKEYGGAAGEAPFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSDGCERISVEEAMGTDDMPLIPALPVSARDAMEIHGAMGGAAAPAGWQGRKDAPVYHLGPGPAVLNLTYLGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRFSMLQKQGWKPRRTIIFCSWDAEEYGLTGSTEWVEENQEMLSSKAVAYLNIDVSVVGPGFLPSTTPQLDELLQEITKVLSNITHFSLLISCIKFLRLGDGGSDYSAFVQHAGIPSMNIVFGEGPGYPVYHSLYDDYVWMAKFGDPGFRRHVAAASIWGMMALRLANDEIIPFNYMSYAIELEAYTKVLEKEVKGRNVTCSPLYNSIRDLRTAATEVNNEQKELQKQLMSKQLNKDSLKIRQLNDRLMQAERAFTSREGIFKQEWFKHLVYGPSDQNDWDTAVYPGIANAIASARSSNTSASWKSVQHEIYRVARAVTQASAVLAGRLT >Dexi4B01G0008380.1:cds pep primary_assembly:Fonio_CM05836:4B:6042704:6043696:-1 gene:Dexi4B01G0008380 transcript:Dexi4B01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAAAMDPDSEVVFDFMPYLCQYKSGRIHRPGGAPTAPAGTDPVRGVVSKDIRAGPASVRIYLPPGTTGKIPVVVYFHGGGFVVGSPARPGTHNYLNDLVARSGAIGVSVYYRLAPEHKLPAAYDDAWAALRWVATLGDGEEPWLLDHADLSRVILAGCSAGANIAHNTAVRASAPGALPDGVAIRGLALVHPYFTGSEAVGGEIDFGPEIRGYMDRTWRYVVSETVGLDDPRVCPFVDGAARKASAGIPCERVLVCLAEKDFLLRERGLWYYRELKASGYAGEVEFFESKGADHAFHFDKLDTEEGVKLQEQLVAFIKK >Dexi8A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:8A:293336:294007:1 gene:Dexi8A01G0000410 transcript:Dexi8A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTIVPPAAATPSPSPVPTAVSSADNNDANPAAARAFLSRLLESTRRALSGARPWAELADRSALSRPESLADATSRLRKNLTYFRVNYAAVVALSLAAALLAHPFSLAALLALLAAWCLLYILRPADAPPLAAFGRTFSDKEVLGGLIASSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEPEQGAGSGNPLLSFIAGATGGRV >Dexi9A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:9A:3211882:3212379:-1 gene:Dexi9A01G0005780 transcript:Dexi9A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRKSVEVNEIAAAAATCANGCGFFANDATGGLCSKCHRDQVAAADDEEKMVRSVFDDTSGVFAPPPEKKARTIVCAADNASAAAEATVPAAAEQKKPAAANRCTACRKKVGLLGFRCCCGETFCGAHRYAEKHACTFDYKTAARERIAKNNPVVVADKIAKI >DexiUA01G0005910.1:cds pep primary_assembly:Fonio_CM05836:UA:10774287:10776853:1 gene:DexiUA01G0005910 transcript:DexiUA01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEQNRIEQGNAAAELLASGAYGDGWPSSVPHEWVCDGGYQASPVAAVSLFSHLAAKSSSPQSICSEPVDESGTTDGCAAAAAGHAREWAEPWVVVAAAGSRGRRAAPQLLDLTNAKRRRSYWILRTPGAAAAAPRWAYDWFVHILSFCCPVLEDIELKSCAIHVTMFSSTKLKSLTIIGTHNEKDFPIEFQYLVIDMPNLASLRLEEIPRRNIHLVDVSSVEVASIYLDSLSFGNSQVDFSILSSLSNATILTLVSPSVFEDVVPKSGDDDYEILPNADAEIDPPCQEAVTTFSCKNLRKISIHCDPRGDKRAQIIVRIVSAHLCPLPEIKIKPLVTQD >Dexi3B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:3B:8040707:8041345:-1 gene:Dexi3B01G0011410 transcript:Dexi3B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETETEPPSPASQRRHQCAAAVAAAAAACIAPLVVFLGVLVLAPSIVPRLLLRPHHAVPYVASAELRLMSFDAAASALAYNLSAVLRFDGPPPTLRAAPFYAGQELGAAVALPDFTQRRGGGGAATLPVAWAGVQHVTPGRGARSVAAALARERARGRIVVKVAVRATQGDGEESDFECFLSFPVPHGERSNGSIVFDGGSCADAVRGEF >Dexi2A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:2A:33179575:33179904:-1 gene:Dexi2A01G0020980 transcript:Dexi2A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSQPAVPDDLAAPRLAVAAAGFAAFVAAAAGFFVLGSSSSLSWEWRPQMKTASETTRTENTTTQSPMNHEDPRAADAAAPLAPAAPGAEARILAMESSLEEHRVSR >Dexi9B01G0026490.1:cds pep primary_assembly:Fonio_CM05836:9B:28681292:28687934:1 gene:Dexi9B01G0026490 transcript:Dexi9B01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQAASGGGAAWRRLLVLLTVLPLTLAAAAFVLQWRGGGVDDPTARWPPHAFPGMAEPTRVSLPSSDCTDVLAGSSVPSFPYLHNWSFPSDKGASPKVCVQTSTSAGLEQILPWLFYHKVVGVAQFLLFVEGKAAKPKVAGVLESIPVGDNFWDLGMDWIIHLDTDELLYPGGAAEYSVRHLLAEVPHDVDMVIFPNYESSVERDNIKDPFSEAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSVIASGQPSVNEKLLRMKTDAQGQNVTKPGNLPTKQIRSSDSTASARKILQAAELAFRDRDVTAVPPLSPPGLDDVHRHHSE >Dexi2A01G0036730.1:cds pep primary_assembly:Fonio_CM05836:2A:46179846:46180394:1 gene:Dexi2A01G0036730 transcript:Dexi2A01G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASNKVVLALVAAAVVAAACVLGTAEARGLGKLGRLVISGVVPCNTGSLIDIATSPVFPNADVELRCAGKVVAGATTSTNGTFSMELDMTSAVAAFIGGCTLVVDTPLVKCNADLTDVGSLVSYLQGPLTRLLGGIFHLFPAGFSFHARRA >Dexi9A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:9A:5800859:5802924:1 gene:Dexi9A01G0009550 transcript:Dexi9A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAFSRFMSVSSAQLEDRGFETATVADVLKSKGKSADGSWLWCTTEDSVYDAVKSMTQHNVGALVVVKPGQDKAIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTKVLQAMQLMTENRIRHIPVIEGKGMLGMVSIGDVVRAVVNEHREELNRLNAYIQGGY >Dexi4A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:4A:8258616:8260300:-1 gene:Dexi4A01G0010360 transcript:Dexi4A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLQLPAAAVTVLFLWFFNCGKASVDFANMTALEKHVEFFDRDKDGIITASEIFEGYVAIGCDTEFARTTAASISANVGPITSPVDAPLPHSSIYIEYVHRAMHGSDTGAYDAQGRFVPEKFEEIFTKHAKVRPDALTSAEIDEMILANRDPLDPQSW >Dexi7A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:7A:17376857:17378074:1 gene:Dexi7A01G0006100 transcript:Dexi7A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLLPSLAAPTARQHRRHQLQHTQLQRGSLASGALRPPLPRRRLAVSAVQETKEGEAKTAEEITEKYGLEFGLWKVFSSKEEEEGGEGRKKSRTDQAKELLAKYGGAYLATSISLSLVSFTLCYLLISAGVDVQDLLAKVGIVTGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVHGIN >Dexi3B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:3B:5164699:5174927:1 gene:Dexi3B01G0007280 transcript:Dexi3B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVRLIVGIIGSVVCVLLYAVPILTFKRVIKEATVGEFSCLPYILALFSALTWGWYGFPVVSNGWENLSLFGTCAIGVLFEFSFIVIYVWFAPKEKKKFVVLMVSLILAILCMIVSFSSFMLHTQHTRKLFVGSVGIVTSMSMYSAPLVAVKQVMKTKSVEFMPFYLSLFSLLTSLMWTLYGVLGRDPYLTAPNSVGCLTGIVQLVVYCIYSRCKEPPKTHDDIELARDLEVATSREDTNACKP >Dexi9A01G0043690.1:cds pep primary_assembly:Fonio_CM05836:9A:47294219:47312019:-1 gene:Dexi9A01G0043690 transcript:Dexi9A01G0043690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPVVRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISEEQAHSGIVVRVTSPAQSKFKLLYFEQEVNGGYGLALQEDSQKTVKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAVFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYMIEAMCAKSYEDTTERLKEIESKILAKRNDLRQFETDYRKVSIGTISRSHQQIYAREGSGLQLFDNVDKELPYVQVDDMLRERDNIHCSFTTERTLVNPVGAGSSSRYTTEHSIPESPENVNIDGRDKSGKKKWFNLNLNRSDRKA >Dexi5B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:5B:22038143:22038847:1 gene:Dexi5B01G0019800 transcript:Dexi5B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAGFYSFATLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYLAHLLSHGQLDGSG >Dexi1A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:1A:5164873:5170340:1 gene:Dexi1A01G0006700 transcript:Dexi1A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEVDADAVAADIICSLRGADLAGWTPPWCKPERRREGELIWPVVARGKRSRRRSPSAAGSLAAAAAGKRGRWGRGSPASPLDYSGASGSAASTSGGEDGYGGGGGFCSAAHGRAPATKVGSIGRPQLTFPTPPTRATGQRPRKKLRLPEVQQLVRSLAVENENLREEMRTLQRACKVLSKENDKLETRLEQSNSQNEITSKDQKGKQQIDQQLVMQSAQENFVLPDLNLLPQDTADVPSVH >Dexi2A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:2A:11394220:11397576:1 gene:Dexi2A01G0010240 transcript:Dexi2A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEQSLASVQAFVAEHMTSKDLDMDWSKEAVGLNGFRYVGCNDLRDIALNSLRMFFTTAVQMLSSEAFVHMIGYGNGQSEGHALRQCNICEGRENTTWVPKGREEELALKLVQRLGELKLEVKAWTDWANERVMQSTNRLVNERTVLLSLKKDKTDDEVPDVFNKKKLEETQRALDSTSDELDRVTSRVQELTDKITHSRHEKKAVQLQAKQADESFANLLSKETEFMDRLKSMETEKILLQEELVAGKSKLSNLLKNLEQARRSEDIVKKRCQEGEKMLNALEKQVNFERTELERIDTSARAKSSKLLLKAQKDQEWLQANIRNLKEQVDEMSSGSKLQMVAKFMTPPGFMIDSVQREQECAMCLEEEVSVVFLPCGHQVVCAGCNQRHRDGGLTECPSCRAPIKRRICARFADS >Dexi8B01G0005270.1:cds pep primary_assembly:Fonio_CM05836:8B:5320520:5321430:1 gene:Dexi8B01G0005270 transcript:Dexi8B01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKALEVSVEAGNGHDSSWLDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTVMLLFAFVIYYTSTLLAECYRSGDPVSGKRNYTYMDAVRASLGGAKVKLCGAIQYANLFGVAIGYTIASSISMLAIKRADCFHAKGHKNPCRSSSNPYMILFGIAEIVFSQIPDFDQIWWLSIVAAVMSFTYSTIGLALGIMQTVANGGFQGSLTGVAIGADVTSTQKIFRSLQAFGNIAFAYSYAIILIEIQAIKPPRFWNQL >Dexi8B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:8B:2513323:2514048:1 gene:Dexi8B01G0003380 transcript:Dexi8B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIVTNDDDTKLTIVVGTLDADEAATAMSSPPSPASKQPSPRHHVGQWLRAAVLGASDGLVSTAALILGVGAAAHDDDDDRRRTVLLAGLAGLIAGACSMAIGEYVSVHAQLDVEVAELKRASSSSPAAIAGDGGGGSPEKQDAAGLSSPGQAAAASAVAFAAGGGVPLLAAWLVGGYRARVVVVVATASVALAVFGSLVAVLGRAPGGRAGLRAVVGGLVAMGITYGLMKVFRIHDV >Dexi1B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:1B:8704470:8705237:-1 gene:Dexi1B01G0009530 transcript:Dexi1B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVEVSSSSPASSGGTSASSPPLSPTEAAAAARPAPEKRARNTGGEKHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPTAVLNFPDLAAALPRPASASPRDVQAAAARAAAMAMEPALSPSPATAPGPAAAAAALQQAEEELEAIVELPRLDEADDSAASELAVAATAFGAAPYLSFHDVAAMTPAAWCDDVWIDGSSGGYVAAAQDDLFGIDGDHGWAAQSSSVGALLWNL >Dexi5B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:5B:17070282:17074233:1 gene:Dexi5B01G0016750 transcript:Dexi5B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGHADGGAGGWGDGDPPPPPMKKQPSRIASGMRRLASKVVPDMRGLKRTHSGAQSGLRGLRFLDKTSGGKDGWKSVEKRFDEMSTDGRLQRENFAKCIGMADSKEFASEVFVALARRRHINPDDGVTKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGKLTEDEVKEVIVLSASANKLAKLKKHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTASGPPEKMNMASASLARTMVPSSYRSPFQRRINKAVDFIHENWKRIWVLSLWGVLNISLFIFKFVQYRRRAVFEVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTWLRSTVLSKVVPFDDNINFHKVIALAIAIASATHTLEHVLCDFPRVVSCPKEKFMEKLGPFFNYVQPTWPILLTSIPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPFHHLAGFNAFWYAHHLLVIAYILLVMHSYFIFLTKQWYKRTTWMYLAVPVLFYASERSIRKIREKSYHVSIIKACEAEVTSKKATLARLETTVIADGLAEDTRFPKVFIDGPYGAPAQNYRKYDILLLIGLGIGATPFISILKDLLNNIKSNEELQSMHDAELGCSFKTNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANTHKNSRIGVFYCGSPTLTKTLRDLSVEFSSTTTTRFHFHKENF >Dexi1B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:1B:3020128:3023694:1 gene:Dexi1B01G0003830 transcript:Dexi1B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARNLQKEVDVFKEATEQIDSEDALDEDWLSEYSGDEDYDPEENEASNSCMNSGDEMSDDSNGSGSPLYSPNDDIPDFISADFNEVEGFCQANLDLSIDSGDDCAQILTYQRPRRDVDYRRLNEEMFGKIIENEEQSEDEDWGHGGRKKRRTRAGCVRDNSVEGFSNVTSDEQTQKKGRKLFRIPPAAVEAERNGQIAAPSNNLRTNEEKADISGMVDLGDNSCSVPLSEIINVPTRLQRNIEKKKMESTNSTVRPHNKGACSPTGQVKETTPHTSKSYLRTDLSHETNNEVRAEEQAASQFSEEQAACQMDSGAYAEEEAAPCVDIGAADYQPFLDVIDEMCGLECRLQRLKKNMLSSGAEGCAKSGSDMKNQAVVLVPSAELKEKA >Dexi2A01G0032620.1:cds pep primary_assembly:Fonio_CM05836:2A:43042623:43049088:1 gene:Dexi2A01G0032620 transcript:Dexi2A01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLSIGLGIDRWLVVERPRIWLGAAMGKCCSAQSDGWKMISTANCGSGTRKLEDDTSVQFFTCSPAGLACVSRIEMTFDDEKIKGYNPHVPGDEIMRMAELYTEEAMERESATTYEPKRNSEIRVFESSDEMATDLAEYISQISEISVKERGYFAIALSGGPLVSFLGVHIPISEFSLFQLLQRKLCEAPYNKTLDWSKWYIFWSDERAVAKNHADSNYKLTKEGFLAKVPIPNGHVYSINDNATVEDAATGYEFVIRQLVKVRTIGVSESTDCPKFDLILLDMGPDGHVASLFPNHPALELNDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGNDKVKAVNLAVSDGTEGPDIPASLPARMVHPTDGKLVWFLDKAAASSLEVENGDASSSYKHHEY >Dexi9B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:9B:8093345:8096344:-1 gene:Dexi9B01G0012140 transcript:Dexi9B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAPARAGLVPQNGILGSYSKPSAGFMLETARQVRPLSLRARGSVASSPRKLFSPKAAAAAAKSGDGVRIAVLGASGYTGAEIVRILANHPQFQIKVMTADRKAGEQFSSVFPHLTSQDLPRLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPRHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELHRDDVRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMKRGMQSTMYVELASGVTTNDLYEHLKSTYEGEEFVKLLHGSSAPHTSHVAGSNYCVMNVYEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP >Dexi1B01G0012420.1:cds pep primary_assembly:Fonio_CM05836:1B:15299754:15302418:1 gene:Dexi1B01G0012420 transcript:Dexi1B01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHSSPRVHLHRLSGTRRLPLASSFAHPGRRIRCSTAIRAEPEAPPPPPSSAAESESLDAEGEGPVELRAPTLFSTDDNPTPLQTATSLLLTGAISIFLFRSLRRRARRAKELRVRSSGVTKKPNNLTQETLEGLRMVSALPVETEKPPSPIQALLGGIAAGVIAVILYKFSTTIEAALNRQTISDSFSVRQITITIRTIITGLCYLATSVFGINAVGLILYSLQLTFQSIMDDDSSSSSAGKISEQSNTMASSDNSTSNRESASSDLQQISDKSKNSAE >Dexi1B01G0026780.1:cds pep primary_assembly:Fonio_CM05836:1B:31574851:31575381:-1 gene:Dexi1B01G0026780 transcript:Dexi1B01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCHGVFPVAAGLVLTMPSLPASTLYLSLRVRLVHSKSERDGEYPLHVVGYVHEVLPHRPPRTSPPRGATGESQSPAASLEWTTGVDEGKHAAVHDDGATEDRCDVGDGPHWHYEADGDADEGDQRAEGQPEEYDD >Dexi2A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:2A:24735540:24738525:-1 gene:Dexi2A01G0014710 transcript:Dexi2A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPEWKSLPPRHVLLFMYGVLIFLSSNNATFSSAQTTNRSEADRQALLCFKSGISGDPGGVLGSWREDSLDFCSWRGVNCSTTLPIRVVSLELRSAKIKGQLSSCMVNLTSLVRIDLSSNDLSGSIPEEIGALPSLETLILDTNRFSGNIPRSLGTAASLRNVNLGRNALSGVIPVSLAKVPSLRVLVLSMNNLSGEIPVVLFNNASNLVTVDLQDNSLSGGKTTQPSESYRETMKKVSYGDILKATNWFSPVNKISSSHTASVYIGRFEYDTDLVAIKPSNILLDYDMTSRIGDFGLAKFLSSSLSSNPEGLSMGWDAKSQPVVMFMVLGCYY >Dexi4A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:4A:1399173:1400001:1 gene:Dexi4A01G0002060 transcript:Dexi4A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPMLPAARCCFVPNPRGAIPNRRSPACTTVSAKPRRWTRGPRRDRSWDDDGGSDTEIDDGFFGQEPEREEAAAGRVASPASEGGQLRGSDVLRALQRAVAAKEAAKKKKEKKPAARRVGKEEKREGGDVEVGEVRPVVIRPEWAARIRELELRVQQLADRL >Dexi5B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:5B:19696597:19701992:1 gene:Dexi5B01G0017810 transcript:Dexi5B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAHELRESAMDEINLLRQAQRQHQHQHHLMVRGMGEEIDLEIGPGDDPSFSGTALVAVSSGHHDTAVPADDHKGLLIPCSQPGAMDGHAQPPAPQPQLAQGEEHGDMLLLPSAHTKKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEHGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKLQPPEIERPVYVKALSKTAASILESVLRRDPHEAEFIQSIQEVVHSLEPVLVKDNQYAQILERLLEPERCFIFRVPWIDDRGEAHINRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMDFNFSTVVLMQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPITVSDSKGYLLDEDGFDYVKYSLLRDIKAQQRSLKEYLKSYPHAKYIDDAKPWSEQYDVAFPCASHNEIDQGEAGAIINSGCRVLIECSNMPCTVQALDILRKAKVLVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQQDAIKQTYERSIKAAQDCGIMKDNPEFVFLCFEVKQLIISPSSAQKLISITHAVKKLKLEHLVTA >Dexi7B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:7B:10288221:10290595:1 gene:Dexi7B01G0004190 transcript:Dexi7B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVAGGVACCCPPPLAGRRAAALLCRAPRAVASGAAAVVEEGEGKVRLGESGVAVTKLGIGAWSWGDTTYWNDSEWDERRLKEAQEAFDASIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQKKEQVEVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVEVYQLHWPGIWGNEAYLDGLADAYEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKPACDELGITLIAYSPIAQGVLTGKYTPENPPTGPRANTYTPEFLTKLQPLMNRIKEIGASYGKSPTQVSLNWLTCQGNVVPIPGAKNASQAKEFAGALGWSLTGDEVEELRTLAREIKGIKMPIEES >Dexi3A01G0033940.1:cds pep primary_assembly:Fonio_CM05836:3A:39263144:39268650:1 gene:Dexi3A01G0033940 transcript:Dexi3A01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKKCESCRKWQEHYYWEHMDVTKVRFFKLMTGDFTQGISIPEKFAKNFKGQITGGFELKASSGKTWHISVDKRGDELFLTSEREDFVKAHELQENDLLLFTCCGNSSFKVQIFEASGSEKVFSLFGNRISPDTCKHVNDTVRQHGETESQNTSNFTAKRLSVGEEDSEDEYANSNCYYSMFANRLRDKEKEEIIGLASIRLKNPAFVIVLMKKHVQRKDNSLMARQECEICRKWQEHCYLEHMTASNVRFFKVMTGDFAQGIRVPEKFVSNLEGQITKGLSLKAPSGETWLIEVAKNANELLFMSGWSDFARAHELQENDILIFTRSGNYSFDVQIFNASGCEKVSCFFTSKKGPCVHKHFDSVVDRHAENCILSDSDDSRMPLRLIGSQNKASTSKKSGKTKPRKEPESPISSNYHIKPEPISDDEQSDDSLVDSKYYYSRSASNLTSDERDQIFSLASIRPDNPAFVAILQKSHVEHKNSMLTIHHGFAADHLEGRSHDIQLLRPRRKEKWSVRYYHGGSTRGFNCCRWIKFIRDNRLRKDHICIFELMKGARRTTMVVHVLRKVDGRFVLLA >Dexi5A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:5A:7413841:7415634:-1 gene:Dexi5A01G0009860 transcript:Dexi5A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAKKSRSSDATAAAGKRSSTSNNSGSSGTTTTAAVERKEIERKRRQHMKSLCAKLASLIPKEQCSRAIQDAMTQLGSLDVAASYIKKLMERVDELEEKRSSAQLLMAAATRGGSGSASTSAATTTTSGGARSSEEAAEESMEAPVVEVLQHHDGSSLDVVLISSVERPFKLHEVVTVLEEEGAEIINANLSSAGRKIFHTIHCRYYG >Dexi2A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:2A:8246777:8249110:1 gene:Dexi2A01G0007980 transcript:Dexi2A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPLLAQEGGGSTRRGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRAAGWVAGSVGVAAAGFATLYCMLLLVDCRDKIEEEETEECCHAHYTYGDLGERCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHSIFSQLMSQAGFIFAILLPVQIVLSFIRSLSSLSPFSIFADVCNVLAMAMVIKEDLQLFDHPFASRSAFNGLWAVPFSFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVAAIITVYVCFGVCGYLAYGDATKDIITLNLPNNWTSAAVKVGLCIALAFTFPVMMHPIHEIFETRFRSSGCFQKLTLNVRGAEWLGLHSSRILVVTILTVVASCIPAFGSFISFVGSTMCALLSFVLPAIFHLSIVGSSMSLWKRVLDYGILLFGLAFAGYGLVSAFSSH >Dexi2A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:2A:22667246:22677445:-1 gene:Dexi2A01G0013910 transcript:Dexi2A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGQQTVELGAVVRRAAEESYLALRELVEKSQAEAEGKGLSAGANGSWQRSDTEKKIDLLKFITRTRQRMLRLHVLAKWCQQNGEKKGLSDFGNEVLQVRAYGQAYISLGINIRSGRFLLQSPENILPPAALMDCEEALNKGNTSASEVFSSLRTRSILHLFAAAGSFFGLKVYQQSQGTLKIPSTMLHGLDFMVMGFPHCANAYYLLMQLDKDFRPVFHLLETLCDASDKTNANEDAKETIRMELSLVHSFLLVRHRLEKLITAGSDGASRKRSLSDFLPNSVQKLVSDLRRLSNARSFACGMRRLIGVKVDEKLDDNQLPTEVKSQSVNKGNNDSSDKLSDQMRKTFRIEAVGLMSLWFSYVHLIINFAYMQFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTISSGYSSLPKQANIPTQGPLANGSSSSTIHHGPVPSNPAAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASGHVNNNAGAPQTAPSASRLNATPGVSMSRPTSGVANHVAASLSRAGNAMLASSALASGIGASVRITPGAGFPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELAAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIELCLENHSGSVSADNTESTLAKSNIHHDRAHSSVEFALTFVLDLALIPQMNVAGGAAWLPYCVSVRLRYSFGDNNHIAFLAMDGSHGGRACWLQLEEWDRCKQKVARAVETVNGSVVAGEVGQGRLRMVAEMIQKQLQLCLQQLKDGPLSAGSTAP >Dexi9A01G0034010.1:cds pep primary_assembly:Fonio_CM05836:9A:38844807:38847571:-1 gene:Dexi9A01G0034010 transcript:Dexi9A01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGSAETALNALLSLLPDHSLDLLSQVDLPLQVTPPPVTAGLPCASAFWVSSPWKSLLGIRCSAGRRVPFDRVVFVTKCAFVASGAENFCILFQVCMDKENMKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSDEMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDNGFIACFLIKKDGKGTRGYMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >Dexi3B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:3B:4404089:4407766:-1 gene:Dexi3B01G0006330 transcript:Dexi3B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFTQLSEREEWSGLEPGRKYFFTRNHSTIVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIIREKRDVGVSYAHKLVWVQEPILRIPTLAIHLDRTISSEGLKINNQNHLIPVLATSIKNEMQKLVGENGSKGSTENKNMKHHPLLLQLIAKEANCEPDEICDFELQLCDTQPSAVAGAMKEFIFSGRLDNLCMSFCSLKALIDSTSTEHSLDHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSMLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKMHGGLVIKHNANQRYATNAVTSFIFREIAERHQLPIQDFVVRNDIACGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDISHSYEHFKAYFEEFTELDSKVKVDY >Dexi5A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:5A:21664793:21665500:-1 gene:Dexi5A01G0018140 transcript:Dexi5A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSRDWAGLVVDILECISSRLTDPNDFVSFRAVCPQWRDSIPITHARFSPWILNNDKVHGSGDIQFYSLGSGEIHKKHVPALEGKATRIAGGGRVRGHQWLAVGTDRAGAP >Dexi8A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:8A:27027019:27028630:-1 gene:Dexi8A01G0015970 transcript:Dexi8A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRILVTTRTADAAKALGAQNLVAISDLDEEQFFSMFMHYALEGAKISDQNLLRSYQSIGRKIAEKLGRSPLAARTVAGQLKLRLDIDFWTSTMNNDMLNNGTAATLWWSYQQLEEHLKQCFTYCSIFPRTYKLQRDELVHLWMAEGFVKTTKETEDMEDMGHYYFNLLLSTSFLQLKVNEFSKEYFTIHDLFHDLAASAAGSDCFRIEEGMVGNIPKDVRRLFILSYDEIVFQEEILNLKSLRTLIMSSSSNKSMNIEDFRSMLKILKKLRVALVEVENFPTISPCVGQQKHLRYLGLFGKIPMMTLPRQFTELYHLQKFAVRCTTSVDFHFKNEIANLVNLRYMICRVLNSPDIRRLTLLRTLPVFRVKKTRGYEIQQLEHLDNLCGNLSILGLENVESKEEACQAKLANKVHLSYLVLQWNSDQKSDSEEVIEALRPPFLISSLKIVNYNGSTYPCWFSREKDALKNLQHLELSD >Dexi4A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:4A:21222243:21225100:-1 gene:Dexi4A01G0017530 transcript:Dexi4A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRGFRRLAAAVLLVRRSASAVAALPAAGAALFHDYDAAITECVERRALREGRKVHARMVAAGYRPAVYLATRLLIMYARCGELEDARNVLDGMRDRNVVSWTAMIGGYSQNERSAEAMELFVMMLRAGRLLQPHGGLIDEGLDMFDLMVKEHSTLLNVEYYGCVIDLLGRSGRLQKALDLIQKMSFEPTRAIWGSLLGACRVHVNVHVGELVAQKLLDIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLKKTVIKEPGCSQMIIDKVIHTFHSSERFHPRKEDINAKVKEIYVAIKAAGFVPDMSCVLHDVDDEQKERMLLGHSEKLAIAFGLMSTPSGMPIQIIKNLRICTPRAI >DexiUA01G0018290.1:cds pep primary_assembly:Fonio_CM05836:UA:39007482:39007916:1 gene:DexiUA01G0018290 transcript:DexiUA01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAITMPSLVCLRRVLRRWRSRGGAAAEATSSSSSSGKGAVVPAGHVAVRVESGEGSRRFVVRVAHLGHPAFRELLRRAEEEYGFPAADGPIALPCDEDHFLDVLHRISSSTPSSCCCGPALKRRGDSRPLLQGMAVEKLIC >Dexi9A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:9A:1062111:1067774:-1 gene:Dexi9A01G0002060 transcript:Dexi9A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQPKQMHWVRADSSDFGGDRPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDVSVYDVDNKLWYTPECTGNGSDGQAGPSPRSFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDLWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTNDPIAKRDLAPNVDSARKPSTVAGDTQQSNLKGSQAAESPITELAKRLGISFSEEVSASFVDEINDKELVDLSSRLAGQSLPASDQVASIQLRMDDIPILLSEYGRLLST >Dexi1B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:1B:9318126:9319804:-1 gene:Dexi1B01G0009940 transcript:Dexi1B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPCRSLIARSIPHLRDVVAAASPPLAALVPDLFTAATLPLAAELAVPGYLFIPASLTALHVMRALVELHDDASLGEHRDLPDPLLFPCGAALRHEDVPVGMEDSKAPVYAQIVEEGRRYRLAAGLLVNTFYEMEPAAVEEFKFAAERGTFPPVYPVGPFVRSSSEEDAASRCVTEWLDRQPNGSVVYVSFGSAGSLSVEQTAELAAGLEESGHRFLWVVRMPSLDGQNHSDMGKRGDLSRGEEDDPLAWLPEGFLDRTSGRGLAVRSWAPQVAVLSHPATGAFVSHCGWNSTLESVSYGVPMVAWPLYAEQRMNAVFLSESVGVALRPCARVSDGVIGREEVAAAVRELMEGEKGRAVRRRTGELRQAADMAWAPEGSSRRAMEEVAERWRAAALGREEVRQ >Dexi8B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:8B:2607186:2608627:1 gene:Dexi8B01G0003460 transcript:Dexi8B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSFCFALEMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELESAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >Dexi6B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:6B:20806892:20810361:-1 gene:Dexi6B01G0013110 transcript:Dexi6B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDALRREILKESILQEIILAELAERRELGPEVRHGLGPENAGPLSLGTLPLLQLNTLPHHDASPVRQGAQLHLDMPVLLEPCLEEGVMEPQGALVPRVSVKDRIDEWYQPPWQRGSAAEDALLDWARLTKKTLSGVKRKRTTESSKSDNKRSSEKLICALCHVNTNSEVSFKEHCAGYRHQSNVAELEWAKGTAELKRIATAESNRGMQHNPTAWNCSICHVRCSGELDLDNHLKGRRHQENTE >Dexi2B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:2B:30478257:30478492:1 gene:Dexi2B01G0020410 transcript:Dexi2B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding PADLVVAADGSGTYTTVTAAITVAPSNSHKTFTIHVKRGLYKEFIIVPQDKPNITLISDGLDATVISGSRPTRV >Dexi2A01G0026400.1:cds pep primary_assembly:Fonio_CM05836:2A:37924260:37927743:-1 gene:Dexi2A01G0026400 transcript:Dexi2A01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASLSPSLSSDDVEVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAYDVQSQVGPPSLAPSIILATTLIRLRSRRLPAFPAIVGGTKDRPPFASAIPALRFRYVALKIQKSAPEFAQAALHEIEFLSEITKRDPSNCKCIIQLIDHFKHTGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGFDRVKRICKSMLVGLDYLHSELGIIHSDLKLENVLLVSTIDPSKDPVRSGLKPDLERPEGNPNGEVGLNPIEKKLKMRARRVLAKLAEKRKSVVESSRLERSLDGIDLTSFELATGEMLFTPKEGQGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKMSSIECILVDKYKISESDAREFANFVCPLLDFAPEKRPTAAECLKHPWLQYNEDKNCMPLNNNDAKNIDLTQSTESTTRGECTNIDAACKKGSLSGSCDKTADAKFNTNSINKNASINTDVQPNIGSIASRLTKNADVNMNTGPITNRDAKNTDTKPHIGTTANSDTKSSNVKLDTANVTNRNSKITDTKLNTGSISRRDDKSSNVDPTTSSVVNRDVKRSIRSVVNSYIKNFDAKCNTGSLANSDARNSDTKPSTRSVATSDDAKCVDIKPISGNVKSNDTISADVKPDTGIVANNDAKNTDLQTDTGSGISSDDNSVDTTPNIGRVAASIQRLESSMSKVQIGRYR >Dexi9A01G0047720.1:cds pep primary_assembly:Fonio_CM05836:9A:50745734:50747637:1 gene:Dexi9A01G0047720 transcript:Dexi9A01G0047720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYMDRRNGLHDEGTIPEFSGRPGEDQDPTIAVSMVQSDLGQINIKKALPSDEKKSKSCQSCHESPCLCGGDTHHSDLFSALPAKMMILEFLIRSLRHPTRTHNVTDLDDLITDGVNQGSVNLGPSEKKVLDSLQALVNAKTRPKSPSPFLAGSKMRKTRSKSHIITQSEILKLISPETWETSSPGTSSMQKDTAEARIHEKMSPSCSHMTSMNSSQPVLSHCPSSLSAGLLQCIWKDGLPHFELSLDNPILAYTANPIRVQDNDRTLDYVYMIHSGEQGRKDWMGHSSNVSRLVGKMKVSSSLVLNSEKSTILETEFVLYGSPDDYLRQMHNSYSVPKGKGLVKRVTEIIRTGNVSSSPRHPWRFGKSSSHQFDDLTEILEREMISAGESGLTNLDADDLPTNQELAAIVVREQRQKRQKEPVVGGWGLKFLEKAGLNHSEGTDGSDVQNRNGAAKYITAIVPRGYHGGVVLKSSGPSSLIKRWRSGGSCDCGGWDLGCPIKVLNNDGCASLPEEESQDSRSVELSIKGAKEETIIRLVNITEDLYILYFDTSLSPLQCFSTGIAVVHSQAPQLCPKL >Dexi8A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:8A:26825672:26829371:1 gene:Dexi8A01G0015730 transcript:Dexi8A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATSSPAVATGGTSTSAITAATVTGSHVLRIYGYSRTRGLGVRRYIESSKLLVAGHTWIVRYYPNGSSQEYADSISLFLFHCDRRSVRTQFTFSLHDPEGSHVQVHSQTTRCPVSFDPASRPSWGFPNFIKREDFEKSKYLRDDRFTIVCDIAVINGFYNGGTEKLVDAVPPSDLHQDLGWLLATGDGADVKFKVGDKLFFAHKGVVAARSSVFRTELFGPMKGVTVKDVVEIHDMEPEVFMAMLKFMYTDLVPIMRMGEEIAMAQHLLVAADRYDLKRLKLICENELCSRLTKKTAMTTLVLAEQHGCHGLKKACFAFLSSLGSLKEAMGCDGYDHLQRSCPSLHDELSATDADATAALTGGSSSTVRPAIIAGYHVLKIECYSYAKQLGVGEDNKSATFNVGDQRWYLRCYPGGYNNDSANSISIFLSVDDHQQPLPKGEVKATFVFSLLDHAGEPVPAYTNTIKSHTFSTENRCWGIYKFIPRAVLERSYIDGDSFRIRCDIKVLKEFIMEDTTVAQLPAAPQPELHRHLGQLLAIRVGGDVTFSVAGEVITAHRCVLAVRSSVFMAELFGPMKEKASGCVITIDDMEARVFRAMLHFIYTDTMPEMEEGDKMTMSQHLLVAADRYDLERLKVMCENTMCSRIDTGTVANTLILAEQHCCIALKEACFKFLKAPGRFREVMASDGFDHLMCTYPFLFMEVLDKIAP >Dexi9A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:9A:1870917:1871540:-1 gene:Dexi9A01G0003540 transcript:Dexi9A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARITVPFRPAEFPEWLGSVLTSPNCPPSIHLRFSAASICLPTTLPSSSRLPRRTRRPMEPVPRRAPHRPPTHGVKVLFGYLPAHLQLRGTVSAAAGYQPGLLPPPPDGATTRPTAGTAACDLRPPPGAFSACRALATGRARSDRMVQDDEARSDKTKPGNLAGRFSPSPPVRSNRNVHAALATRLHRTSCSLRFFFLFLFGWR >Dexi8A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:8A:811041:811451:-1 gene:Dexi8A01G0001190 transcript:Dexi8A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPASSIRSINLPVPPELIFNPDLDAAVDRLFDEVDRSRSRKRARAAASNEAIKGLVLVAGACRSGEDCPVCLQNFCTADETLRAMPCSHAFHYGCISQWLRRNASCPLCRHQLLPDEDEDAEEHQNQRRRTTT >Dexi5B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:5B:4264255:4264469:-1 gene:Dexi5B01G0006250 transcript:Dexi5B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGPGAALCDESRGMLRAAVERLASPMPQDDDGRARAGLIGVELLAANIGLASAAASLAAA >Dexi8B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:8B:25065659:25069156:-1 gene:Dexi8B01G0014450 transcript:Dexi8B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVQWFGCQNAIACLKGEQEVRRPWWAPSCLSIVFMRVAKRNRQSRVVSLKLEA >Dexi7B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:7B:18209160:18210188:-1 gene:Dexi7B01G0011060 transcript:Dexi7B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDLASLAAVVAAVTACMGYARFAARRLRPGLPRLAALLPVLAILPLPPLAFRAIHPRVISAFFLAWVAEFRLLLLAFDQGQLHPSLSLPAFVAFAALPITLRDPKSAARRQGLGLVEAAAMATLVAAIVSLYRHAERMNGYVLLSLYSLHFYLALELILAATAAAARAFLGLDLEPQFDRPYLSASLREFWGRRWNLSVSALLRQSVFRPVRARHGTVAAGVVVFAVSGLMHEVLYSYVTLRAPTGNAVALFALHGAWAGAEGWWAAHERWPRPPRALATLLTLAFVAVTVFWLFFPPLMRAGADKQMIAECEAMVAFLRDAVGSLLPGRL >Dexi5B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:5B:22066260:22069263:1 gene:Dexi5B01G0019840 transcript:Dexi5B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSPVAAMARRPSTVATPAVCPGAAATAAPSSSNTARSHAAAARSCNATPPQPYQPEQWPVPRPPAGELVQFRALLSALTRCRASSRGRARSFVRSFGVRCGSSSPGCAGTTGVCDSTSPWRWSALGSETTTAASSVTESKPTSPVQTLIIHGFGYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFYPHIKFVRVSYFASLWKFNIVYAASQGKSVDPNITKYSVKVLPFNYDQSLYGFREYFKKHGFKYSETN >Dexi3B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:3B:14007254:14008470:-1 gene:Dexi3B01G0018860 transcript:Dexi3B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSWLPLLVVVAAAVLGVHGQGAAPDSTGFISIDCGIPEQSSYVDVATKLPYVSDAGFTDAGANHNISAEYIKPSFSKRYLNVRSFPDAPRSCYTLGSLTPGSKYLLRAVFMYGNYDGLRRPPAFDLHLGVNFWTTVNITAPTDVCLVDTGTGTPFISGLDLRPLDSALYPQANATQGLVLLARRNMGPTDATLVVRYPDDPYDRAWTPWINPEEWSEISTAEQVGGLPTAPSAVMQTAVTPLNGTKMSIDFSWVAVPNHVYPVPRV >DexiUA01G0022530.1:cds pep primary_assembly:Fonio_CM05836:UA:45748852:45750946:-1 gene:DexiUA01G0022530 transcript:DexiUA01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLDLSVDPLSHRSPGLRRAQIRAATPSSRITMLSRQQNPGRASDGAAGTPLYLGIDFGTSGARYALIDGRGAIHSEGKRAYAPVGDAAGWASSWKEALFQLVGDIPPAHRPSISSIAIDGTSATTLIVDSKTVELLAGPFLYNESFPDALPAVASIAPPNHTVCSASSTLCKLVSWWNTSGGGGGGSGEDAVLMHQSDWLLWLLHGKYGVSDYNNALKVGFDPEADAYPSWLTSQPYARLLPSVRAPGAPIATVKDDVCSQYGLSKECVVCTGTTDSIAAFLAAGTTEPGRAVTSLGSTLAIKLVSKVRVDDARFGVYSHRLDDTWLVGGASNVGGAVLRRLFTDDQLVALSRDIDPAAASPLDYYPLLKNGERFPVNDPDMAPRLEPRPESDVEYLHGILESIARVEAKGYSLLRELGATAVEEVFTAGGGAQNEKWTAIRERVLGVPVRKAEQTEAAYGAALLALKGAERRDDIVRI >Dexi5B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:5B:1808740:1809568:1 gene:Dexi5B01G0002800 transcript:Dexi5B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIVGAAALAMLAVLLAAISGADGRDFHVGGRGRWAPNPAEPFNAWAERNRFQVNDTLVFRYNKDVDAVLVVTPSHYDACNTTDPTLRLAGGDSRFTFTASGPYFFISADEGRCKAGERLIVVVLAVRNNNNTPSPSLSPPKSSSSSPQPPPPKSSSPTTPTSPPKSSSSAKTSPPHVTTPAPPHAMPSPPSEGKNASSPSLAPVPAKTNGTSSPASPSSAVALMRGDVVAWLVIIGGAAMLI >Dexi4A01G0015930.1:cds pep primary_assembly:Fonio_CM05836:4A:19345698:19346887:-1 gene:Dexi4A01G0015930 transcript:Dexi4A01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYECLTDDPTTATAVYVPYYPALELHPHLCGSFNATARNGASEAFLRWLSSQPSWATHDGRDHFMVASKTTWMFRRKESGDDQGCGNSFLEQPESRNMTVLTYETDIWERPRRGYFPVPYPSYFHPSSAGEVATWQARLRATSRSWLFAFAGARRPNGTLPIRDRIFDACDGSDGRCGMLDCGGGHVSCRRSPRKVVSLFVSSRFCLQPPGDSFMRRSAVDAVMAGCIPVFFHEASTFEKQYRWHERDDPNREGDRGSYYVFVDQNDVLQGKVGIEEVLSRYNDEEVAAMRDEVIKMIPRFMYKDPTVSFDGGTRDAFDIAVDEVLARMRRIKDGEILGGKDDD >DexiUA01G0012550.1:cds pep primary_assembly:Fonio_CM05836:UA:25478610:25480601:1 gene:DexiUA01G0012550 transcript:DexiUA01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHQGNSTSCTVEEEILAPLLARLTTMSTLIESSAALPPPPPPPNGPATTHNNAGAGDDGTKVQARELLAKVRREVVQLQAVFRRVDDAEKRIRYSFDPLEHHLDDALQLQLQLQLQLQLQLQLDGDDPHPLDALRIHTSLLDVHACVEAIKAAIRDTYNDLPCGVTAGDPVVVGGPPTQLTAGVVMTEKMSDVRRGPQMSHLSIAVAGLVERLRSCALCLAAFPEGAVVKKRLLLHWWMAEGFVRSADDGKSRFDELVARGFIIPAAGPGPTTAAAPLCGTVHRCTVRLWMRDLLAGVAKRKGFLELGNAGDVAFARRACLRGGGGGGGKHPAVVGFSAGVRAIYNIGHKYVELDEKWFPGKGDLRVLQLGQWRKFTTRDQIANPMDSHIEVSGVDRLRDMGRSCKNLRYMSFRGISRIESLPDSIGKLQELQVLDLRACHNLEHLGKGITKLDRLEYLDLSECHLLVGVPKGLGQLTRLQILKGFVVANSNSRDLCHLNELTKLDKLRKLGVVIGKMAMPNDDEFIKLAQFKALESLKISWGVLNNLSAKSGRPCMETIKYALPPNLKKLDLHCFPFADFEQWVQPTGVRKLYIRGGRLTTFGDGKEGWEAEVLRLRFLSDLRCDFDRFQRLFTKLKPENTEIHECPNFIHRLSDDVGEK >Dexi4B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:4B:204115:206448:-1 gene:Dexi4B01G0000310 transcript:Dexi4B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRHLDDPFFPFPPPPPSSSCPFLDLDFSSTSPFPPLDDLFLPPSSDPFLPAPSPYPFLLRDLTDRVAALEIAVAGRRRPEPTTRRCTYVTEAHGRKVKWTSVEKPRSGDRTLKWEAEVKSPSEDGFDRKWKWEAKGGGPSASAAPRKLKWGAALKGKGCLEPWSQAYTWEEDFTASDTDDSDDDVKIHKNKTLANKVVTDKKNKDKKKEDKAVVNKEKKCPVATIKIEEIPDDNQAGCVAIRKAFAKGNGKGKKRKELSPQDAALLIQMTYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRIAHDHEERQRFSEKIIVLLITVDALEGPDYMVRTAQKSMLEELEAMLEVVDPQPPGKQRSLSRRKFDLPEGGAVSGEKADGVNKAVKIIQEGK >Dexi5A01G0024380.1:cds pep primary_assembly:Fonio_CM05836:5A:28236594:28241249:1 gene:Dexi5A01G0024380 transcript:Dexi5A01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDENVCKDQAEVEVWFSVLEGLISSCRKSSVVDEHKDRVSFSDFKDLGFAAQFGALQPMGMSPALGISPAIPAFSLAPPSPYTKKTKSPPAAAIPQSSRVDFDNLQKSNELLNQELLKLQSQVDDLKQKCEAQHEQLQKSDKKAKSVASVAAEESTKHNTAVEFVRLLDSELKGIVDKLPSDAVDSIKALQAQMQSLLREQSSHPSELMNTMERDHLHLSSAGSGRYDLVSHKSGGVGYLTMSQDDRPASGSAISITSESPSHRFMENSAKTQGDFAPKHGTHGEVQLIEQFEPGVYVTLIQLKDGTKVFKRVRFSYLNLPPSVK >Dexi2A01G0031620.1:cds pep primary_assembly:Fonio_CM05836:2A:42360148:42363970:1 gene:Dexi2A01G0031620 transcript:Dexi2A01G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAPLLRSHPHRGALCPSSPRHRSAAAAVSLLGFPPRKAAGSSRRLPYTAVRSSSTASPSVPVEEAAAAEAPTNDAEEQERVVLPTNETSDRLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRQNLPLVREEVSREEAQKRIEALNEPYKLEILESIKEEPITIYHIGEEWWDLCAGPHVESTGKIDRKAVELESVAGAYWRGDEKNQMLQRIYGTAWENEDQLKAYIYFKEEAKRRDHRRLGQDLDLFSIQDDAHIFCLEDQIQDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGNDDIWEKATIALKNALNDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQLQYCNEVASELKSRGFRVEVCHGERLPKLIRNAETQKVPLMAVVGPKEVQARTLTIRSRHNGEIGTMPVDEFITRLQLAVTNKSSL >Dexi6A01G0019700.1:cds pep primary_assembly:Fonio_CM05836:6A:27340115:27344193:1 gene:Dexi6A01G0019700 transcript:Dexi6A01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAWCAQHRLRFLLPALFLAPVLYFLLSPPPAPPFVGIPASGELLPSGSRLIWAQRRLVEWRPCRWWQTAPMPAPLRRNGYVRIDCYGGLNQLRRDGASSDPNVIVLQLCDGIGIARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEIVKEIPAEIASKEPFKVDCSKRKAHFDYVETVLPDLLEHQYISLTPSINQRRDRRLGAVAFGGTAGAEVVVDLPIGTGGSDADVVVGGGDVDTDLGLDA >Dexi5B01G0026780.1:cds pep primary_assembly:Fonio_CM05836:5B:28468862:28470688:1 gene:Dexi5B01G0026780 transcript:Dexi5B01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVMRWLKHLLTGRKEEHRGLEGIPVGADWSDGPEREKRRWSFAKHRKSGADGGARSSGRLAAAIAVAEPPQVWPKEDARARKEKAAIMIQKIFRGYLARRALRALRSLVKIQALVRGYLVRKQAAMTLHRLQTLMRLQADSIAVKNASHRKSMEHEVIQMEVRVKPPAATPSHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMTTRYNNTDHSSEYYHYHHHHHRLAAPVVMTPSCSPLPGGKQHQPARLSFRRSGEPRGGSGSKTAQNTPRFALSHSHKSSSSPAKSIEQSLSSGTPRRASTRDRDALVSPRYMAGTASSAARTRCHSAPRQRLSLTGPGEAPPPRVSLTARAGTPGRRSCSHARGGGFCFRCLDATRTAGSSGISASDEVARDYYLDSFW >Dexi6B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:6B:4263442:4263921:1 gene:Dexi6B01G0005020 transcript:Dexi6B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGTGRKLGKVAGSKSIAGGCSSSTAAPSPASGASSAAAGGSPAPFLAGGGASSNGSGANACTAASCPARHKPREEASAEAARVVSERRPCRRSASAGDTTPLRVSLELEHPMAAGREADASTRKEVMNW >Dexi1A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:1A:24564228:24565394:-1 gene:Dexi1A01G0017210 transcript:Dexi1A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGNSGMLVLGHWSQCLAKASWFTTSLRQSEPTSADPEPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLFE >Dexi4B01G0022380.1:cds pep primary_assembly:Fonio_CM05836:4B:23998607:24002252:-1 gene:Dexi4B01G0022380 transcript:Dexi4B01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGGGGGGKGGGGGGRSGGGGGGGGGKGGGSGSGKSGGGGGGYAYHGGSCGGAGKASSGGGGGGGMMKAPGGDGAYISRPGFESNPQGYFQGLHQGAKSVDQRTMEGCFQLNPNASPFIPGSLSSFAVSDKAPENQAESSSKGGTSGDTFDPSEYEENDMDPVALATMLFSMFPNVSTDFIDELFKANDFDINLTVDMLHELSSQDMLHDDADDINDLHDGQGLPGDSYRHAEVSESNSNLNEDLQNEKSATTSDVESVLPKFSNISLVDNDLGLPDDDKPAGTSVAK >Dexi2A01G0035290.1:cds pep primary_assembly:Fonio_CM05836:2A:44964185:44967959:-1 gene:Dexi2A01G0035290 transcript:Dexi2A01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLILNLGKWAKLYRLASMGFAAFGVFLLAKRAVQHFLERRRRHELQKRVLHAAAQRQAREAEGGNGTSDTEPNSKKDQLVLDICVICLEQEYNAVFVP >Dexi7B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:7B:16563145:16564798:-1 gene:Dexi7B01G0008850 transcript:Dexi7B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPMWVFGYGSLIWNPGFAYDARVVGFVRDYRRVFYQGSTDHRGTPQFPGRTVTLEHQPGATCWGVAYRISREEDKQIALEEL >Dexi2A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:2A:30027116:30027525:-1 gene:Dexi2A01G0018070 transcript:Dexi2A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGICAAMESYKPCAAMVAVQCIFAVSTLWIKAAFGHGMNPMVFVVYRQAMATIFLAPVTILANRTRLKEMRVTGFFLVFLGSLIGYH >Dexi1A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:1A:13952591:13952905:1 gene:Dexi1A01G0012750 transcript:Dexi1A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSGGGELRQQRRGRAPGARHRGCAAVPCFSAPESLPLTQEHTQIETKSKLTRVPSSLHKPPYLQATIPWPPPTIAAVTGAERGEQGKEQACFLRVAVLPSR >Dexi3A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:3A:5558107:5560254:-1 gene:Dexi3A01G0007950 transcript:Dexi3A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWTCSSCLRGFTRSVWRKHYPLLSPSSRPPSLLPTHFFSSSSRRSTKRSATKAPTETDMEPFYVIRKGGVIAIYKTLSDCQAQVGNSVCDPSVTVYKGYSLRKETEEYLAARGLRNAIYSIDAADVRDELFDDLVPCPFQQPDGSAQSTLKRSEEMDTGPSKHKKVTELELFSDSDLSCILECDGACKGNLGKSGAGVIVRRLDGSVVQDLWRCKSDNMAVLCKEAKKLKGEFLTFQIDHVLR >Dexi4B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:4B:7777976:7778518:-1 gene:Dexi4B01G0010430 transcript:Dexi4B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDLNEPINWDEIDDFEGNVHDLDYDYVWESGNEGDGNTTDEEDEIVPEDVLVEPEAGGDAHTVQQVEEASMHHVEEADAVPQADAGDEAVFVAFDSGTPANIKRRRYYPPDIKRI >Dexi9A01G0026610.1:cds pep primary_assembly:Fonio_CM05836:9A:29955633:29966887:1 gene:Dexi9A01G0026610 transcript:Dexi9A01G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPLLMLLVVALLAPAATEAMAFAAASNATATAPVAGKLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVLNTLDSVVDALARDPARKFVVVEQAFFQRWWAEKSPTIQAIVHKLVDAGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSIHFARIDYQDRAKRKVDKGLEVIWRGSRTFGSSSQIFTNSFPVHYSPPDGFGFEVLDENIIPVQDDLSLFDYNVEERVNDFVAAAIAQANVTRTNHIMWTMGDDFNYQYAESWFRNMDKLIQHVNKDGRVHALYSTPSIYTDAKHASNESWPVKYDDYFPYADSTNAYWTGYFTSRPTFKRYVRAYSGYYLAARQMEFLVAKNSLGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRIALGASKVEKGVNTALTCLTRSNGTCMSVKFNQCPLLNLSYCPSTEETNSATKSLVIVVYNPLGWERSDFIRVPVNDENLTVKSSDGTIVESQLVVVDNVTGNLRQFYVKAYLGITPDKTPKYWLVFQASVPPMGWDSYYISRGTRAGYNSTVSTMVSPSNDTIEVGPGPLKVSFSSTSGQLKRIFNSISGVDLPIQQSFLWYRSSEGDAMDSQVPLKVIRGPLVDEVHQQFSSWIYQITRLYKNKEHAEVEYTHISGKLSFETELINLFLSHVKKIGPIPVDDDVGKEVITRLTANMVTNSTFYTDSNGRDFIKRIHRDFNFQVRNYREDWDLQVTQPVAGNYYPVNLGVYVADGKYELSVLVDRAVGASSIQDGQLEIMLHRRLLKDDARGVGEPLDEVVCVDKDCEGLTARGTYYVNVEKLGHGAHWRRTYGQQVYSPFLLAFTHEEEAIWKSYSVPKASMMDANYSLPDNVAIITLQNLDDGTTLLRLAHLFQAAEDPQYSVLTKVDLRKVFAKRTIKEFTETNLSANQKKSEMKKLNWKVVGGTENGPSPIKGGPVDSQALVVELGPMEIRTFLLKF >Dexi5B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:5B:20275835:20277733:1 gene:Dexi5B01G0018200 transcript:Dexi5B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASAAAQVNASALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGVRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGSGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVRQPGSALGNSGQQQTYNGIKDVFKTVYKEGGARSLYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKKSVILKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKQPQNSSDGFRIRGTFQGLLLIIRCQGWRQLFAGLSLNYVKVISQANIFFSKDKI >Dexi1A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:1A:11542439:11547323:1 gene:Dexi1A01G0012010 transcript:Dexi1A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMEGPQAHAWRGKRSSRGEGVVLEPRLSSSSSSISAGDAECHASSDRDGQELVDGRDACAASTCLLLFFVRLLLAACLLCTGTLLLADVRLLLACCAVACASELVCMGCVSSKQFKRAQGYEDPNILAKETTFSVNEVEALHELYKKISQSIIKDGLIHKEEFQLALFRNSNKKNLFADRVNSVHITSFYWSTYMQIFDLFDLKRNGVIEFGEFVRSLNIFHPDTPMAEKIAFAFRLYDLRGTGFIEREELKEMVLAILNESELVLSDDAVEQIVDQVWQTFKQADLNGDGKIDSEEWKAFASKNPGLLKNMTLPYLKDITMAFPSFVLNSGASDEEL >Dexi3A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:3A:17326732:17329334:1 gene:Dexi3A01G0021740 transcript:Dexi3A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQQSSSGVNSIGFAVIRKGCLKLRCFAIGDELGGPEQETGDFFVSDAEGDPDKPTDGFSSIDQAINALQEGKFVIAVDDENGDNEGDLIMAATLVNPESIAFMIRYCSGIISVGMKEEDLTRLMIPMMSPITEIEEISTAASTVTVDARVGISTGVSAADRARTILTLASPDSKPSDLRRPGHIFPLKYRNGGVLKRVGHTEASVDLVALAGLRPVSVLSTVMDPKDGSMAGITVLQQMAMEHDIPIVSITDLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHVAAVKGDIEDGEDVLVRVHSECLTGDILGSARCDCGEQLALAMQLIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDEGHDTVEANVELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFIGLKGYGLAVVGRVPVISPITKENQKYLETKRTKMGHVYGSDLPGSLPGEFVSPEDINASNQEDNQN >Dexi5A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:5A:843915:847854:-1 gene:Dexi5A01G0001250 transcript:Dexi5A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPASASASASASASAADELAADLTAATLSKKQQKKDARKAEKAEKAAQRQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRTWTKIGDLDDAAEGRSVLIRGATQTIRPVSKKMAFVVLRQSMGTVQCVLVANPDTGVSTQMVRFATSLSKESIVDVEGVVSLPKETLKATTQQVEVQVRKIYCINRAIPTLPINLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGYERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLQYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTDFFILYRYPLAVRPFYTMPCYDNPAYTNSFDVFIRGEEIISGAQRIHTPELLAKRATECGIDVSTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >Dexi9A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:9A:6171213:6171722:-1 gene:Dexi9A01G0010160 transcript:Dexi9A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVATAPATMTTTASPGKQGALAVLGRCVRAPFRVLVRARDLYVSRLAACAGGGGGRGVVAMPRAQSHGFYRSSTGGGIDDDVRELIRAASRAAPPKAAVAPRSQSVAIGRIDEDREFGLEDEERVQALGPRSKSCAVVPSASRTARRNGSVAKRNAVAGMAQTRL >Dexi5A01G0036100.1:cds pep primary_assembly:Fonio_CM05836:5A:37776362:37777180:1 gene:Dexi5A01G0036100 transcript:Dexi5A01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDRDIQAMEKNGETCQETVKKLSTGLLGKLGKMAQGVDDLLNTAASKCRPMSTEEKIELGKRIRKLPEEALNRDDATLWRLYYHVEYVLNENKM >Dexi9A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:9A:13881766:13882822:1 gene:Dexi9A01G0018850 transcript:Dexi9A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKENKMTIIGEMDAVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Dexi4B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:4B:16549535:16550464:1 gene:Dexi4B01G0015200 transcript:Dexi4B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASTSTWPPSTDAASSLTGNGESVILSCLTCFGVRPKLAHSAGDGVAIIASGDACSAAASSSPAPERRCSFLLRISKTLAMSDASLAAVGAAVGVAAAAAVVAAAALAGGDEVEGRRVGRGVAAAELHLAAGARVVDGEGWVEGAARELLVRRRLGRGGLVVVVVVGAHEVAEGLAGDLAALEEEVEVHGELPLGHARLAEHEQNGAHHVPQAPRHHEEEEEGGGAGVFKGGNNGGGWLLKVASGG >Dexi3A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:3A:2937186:2937907:-1 gene:Dexi3A01G0004480 transcript:Dexi3A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKDEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIITLHQILGNKYIKNYWNTHIKRKLLARGIDPKTHRQLISSVPTTATATPTSSGRREGQQAAASPASSGGAGHSSDDDSASLGGIDLNLSLSPPSQPSSPVAAVVAN >Dexi2A01G0024300.1:cds pep primary_assembly:Fonio_CM05836:2A:36024914:36026915:-1 gene:Dexi2A01G0024300 transcript:Dexi2A01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKRSKNRKAVKFYSTCFGFREPYKVLIDGTFVHHLLTQRLLPADDALRDLLSASRTPTLFTSKCVVAELRRLGKSYTESFDAAQLLATTKCEHDKVVSAVNCVLSLIGDKNPEHFFVATQDADLRERLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLMKAASEGKTTASENGSDGEQHGRPISSLVKNALGVADKNKFKRNRAKGPNPLSCKKKKSKPQPAAIQNQVFLFGLMISVLQGAAVDGEAKRKRV >Dexi4B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:4B:17953888:17962800:-1 gene:Dexi4B01G0015960 transcript:Dexi4B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFTSAPSFDYDDSAREARDGVVAPVVVRDKAKSSFNPTKQKKPKPSLRERKEALDSCPYHTRWPAGGDSDHAAAVKTPHETSCRATLRLSSHHPAGPARDGAESHEWQYVLTNKLTVKKGHMVAFTDFLLRPKPSPRADCPAAYAWRVGDDRHIHAALTCASRISKGFSGPDELITDSRLVHVSPARPHPYPGAIELFWSVSQGSPILLQEPYIGVLAAACCGCGCCSQPTSLQPAALLEWETEPERNGISHGLHMLRPRHNLAKHVGLFASSSRRDPTPPHASATITSSPCSLTRRLSPHSQATHPVLARRELSWPLSRLFEEKSSPFPVPAARRGS >Dexi2B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:2B:13278710:13279186:1 gene:Dexi2B01G0011680 transcript:Dexi2B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGYMDPVFFMTGELTTESDVYAFGVVILQLLTGLLDLNVAEQVREAVKMDAVHSVLDASAGPWPEVQAERLLKLALRTSEN >Dexi5B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:5B:22353452:22354706:-1 gene:Dexi5B01G0020090 transcript:Dexi5B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSAFLRRAAAMSSSPSSYSGRGYPRPRRGYSARPSPPPVAPPDAGAELVSGDSHLTAVRAANESLRRGGGGVRGSTPPYSQGPQPHQPYYGYGYGYGYGYGYGQPQHPAPAYGAVPYNYGYPQQQPLPPGPQYGYGVPNPYVHGHPQLQTHWRGPAGAGFRPGAQQLTPRLAEYRRRWRFAKERPPRQAERFKIFYAFR >Dexi2B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:2B:29103995:29105800:1 gene:Dexi2B01G0018890 transcript:Dexi2B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCSYEMAASILLCAEDSSSILDLGAEGEGEEDVMARSSRMRAEPIVEFPVPSEECVAAFLDAETAHMPRDDYAERMRGGGLDLRIRMDAIDWIGQVHTYYNFRPLTACLAINYLDRFLSLYQLPEDKAWMTRLLSVACLSLAAKMEETYVPSSLDLQVGESRYVFESKTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHRLNGGDAPNRRAVRRSAELIMSIARGTHCLDFRPSEIAAAVAAAVAGEDHAVDIDMACTHRVQKERVSQCLEAIQATMVLPASTMPLPPKTETPSSSEGRASSAVSVPLSPTGVLDAGCLSYRSDDTAAASHASSWSDENDSSPVVCSKRRKISR >Dexi8B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:8B:14790047:14794982:1 gene:Dexi8B01G0008900 transcript:Dexi8B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIPGGLRRGPIGEASLRRRRGPARLWVAVAALVVGTIWLCSSSSVGLLGASYRVRDVDVNKLWRTGDSNGWRASSAPRTYWPPPPTESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFVGIYDVPHFIKTLKYDVRIVMSIPEITAKGKTKKLKAHQIRPPRDAPVSCNPFYLYFVQVGLILRAMRFDNKTRIYLASGELFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTQGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRMYYGFRTTITPNRKALAPIFIDRAQGRTAGFEQRIRQVMFNTHFGGPHKRIHPESFYTNSWPECFCQTDARNRADRCPPDNINDVLESQFQSEEEIEEVRTTNQTDSTGQAEEMMI >Dexi6A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:6A:7659223:7661578:1 gene:Dexi6A01G0007750 transcript:Dexi6A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLPAAELNQHPPSPFTASLCLRPEVPGSGGGGRRREPLRRGAVSARLPVPDHIPRPPYVGTDAMPDVCPDRQVHDGESVMRMLDACELAARVLQYAGTLPSVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPNSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGDVDESTKQLVKPTLSMGSTQCVLWDDGWTAVAVDGSLSAQFEHTVLVTRDGVEVLTGCP >Dexi1B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:1B:26145655:26146598:-1 gene:Dexi1B01G0019940 transcript:Dexi1B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGSHVIGVPVTSKAYAIEETATRDRPAAAKTDGDRLAVSLTHPSPYTSFGYKHSSKGQVIHWVNKLGRRAQSFRDHVTLGPKLSETVRGKLSLGARILQAGGVERAFRHAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRRIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSEDAEKPEQKYIQVATVDGFEFWFMGFVSYQRCCKYMMQVISEL >Dexi1B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:1B:7765848:7766360:-1 gene:Dexi1B01G0008870 transcript:Dexi1B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLDLCCLLAADLCFLNLGGVMSSEKKLCMDEALVEQPENQSAPKNQDGRWPSLLTVLGFMFLTLNSVMAVYKSNGDVWAISFVVFSYLDLLVLFYFLRQFERTPPDSPRRERIKMVVWLLTTMLTAAFSYKVAEIMPLPVQVLVWAMASVTVIGGFYAFFLHREGTKA >Dexi9B01G0039250.1:cds pep primary_assembly:Fonio_CM05836:9B:40015262:40016340:1 gene:Dexi9B01G0039250 transcript:Dexi9B01G0039250.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLVDGSGDPFFVLNSDVISEYPFAELIQFHKAHGGEATIMVTKVDEPSKYGVVVMEEGTGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPCIAADAGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPSRLASGAHVLGNVLVHETAVIGEGCLIGPDVAIGPGCVVEAGVRLSRCTVMRGARVKQHACVSSSIIGWHSTVGKWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Dexi3A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:3A:1498843:1499373:1 gene:Dexi3A01G0002270 transcript:Dexi3A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDKKNEGELGARSLRRCVRLATIKEAATSEQSEILRLKREAKDLMKRTPEEEADIRSLKREAAALMNRTVAAEEEPQPQPATENKRRKTVIKKTLVSRAAIEFMILHPHMPLKGFREEKLAIYTQKVHEMYFRRKAIADNFLEYQGALIKQFRKKGYAENYTEVEVTDNEDN >Dexi9A01G0041870.1:cds pep primary_assembly:Fonio_CM05836:9A:45442262:45447131:1 gene:Dexi9A01G0041870 transcript:Dexi9A01G0041870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPEEERQHRLLVRATEPEFADKFQATLLCAQDLAEYTGDGSVDFRGFPILKRNTGNWRACSLILVAVAALVEIKRLQVARAEDLVHQKYFILCL >Dexi5B01G0000190.1:cds pep primary_assembly:Fonio_CM05836:5B:104097:109334:-1 gene:Dexi5B01G0000190 transcript:Dexi5B01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAERQSAPTSLGIHTSHHPPLGRKLLFVPSLPIRSPGPLDGRELSRELPWPLELASMLRPRLRTSSDLIADGRHCCRRARLNEANHFQNLPLASMNLPGPFTDQQRHHHRPRQTRNTATPAAHVAGPLRSTSDLDDASNRSWLAELSVSARDLIAKEQIADGNYKLVPDVDEDVQGGDLNTIIVEQAPSHEAEARVEQEGKPRIGVGICIRLVNADEDEESRADRRDNSPLHLRPPRREKQFKSGLKRALTSTLAERTRCTTALILCLLQAKCQASCAVRDESPLAGDGEGGGGFG >Dexi8B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:8B:7818257:7818733:1 gene:Dexi8B01G0006660 transcript:Dexi8B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQHHHLPLPLVSAIVLCLATLAAAAPTPTLPPSPSPEPEPEPTAYQMLERYNLTQGILPEGVTGYVLRPDGSFEVYLPGDCSFRAGSIQVRYSSRIAGSIRPESITGVEGVKVKLLLAWVQVTQVDRDGDQLRFSAGPISKSFPVETFAHSPQCS >Dexi9A01G0045880.1:cds pep primary_assembly:Fonio_CM05836:9A:49365326:49367032:-1 gene:Dexi9A01G0045880 transcript:Dexi9A01G0045880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWLRRAAAAAAPRLPSGLPLLPPPPPAPLAEAQSLVVPGLGAGLGPAMELMAVPKKKACLQIQEGLEEWTQSPETCASDCPLQVLRPSEATSLLLLQRRKRNARRIQLINILSSPL >Dexi2A01G0022810.1:cds pep primary_assembly:Fonio_CM05836:2A:34605044:34607510:-1 gene:Dexi2A01G0022810 transcript:Dexi2A01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTKRSDHNPADRGRPSIGHGKGREGSGTPYECSSVAKDPAKLVAGRKGNQERIETEKGRGKETARRRPGEDVADGAAARPVVAGRKGEQRRERERRPDGKDGRSKRNGEKGSLERDARGVGASPPTNHVCAASFPSLFSHLDGVTDLGLTVPASRPRTKAFFVKEKESPGDAIRLDCAQSWLSSSVKLEKVCWGVATEWLMCARSRAGLDGCGDPRLTGFQSRI >Dexi7A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:7A:27638154:27639922:-1 gene:Dexi7A01G0018180 transcript:Dexi7A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVRFGLLVAMFQAMSSDKGSAKKRSRLRAFLDRAYVPYGGGFILGAVHNAILLIVVVIFAFVAALLIWNACWGRRGAIGFVNRYPDADLRTAKDGQYVKVTGRHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVAQRNDNVLMIVSPSEPISTGCQWGKCVLPTNIDGLVLRCEDTSNIDVIPV >Dexi9A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:9A:3947751:3950462:1 gene:Dexi9A01G0006980 transcript:Dexi9A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDAPSRRLALVAAHLNPQMKEEGGAPALRLAACRAKGGAPGFKVAILGAAGGIGQPLSLLMKTNPLVSVLHLYDVVNTPGVTADVSHMDTSAVVRGFLGSQQLDEALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRTLCEGVARCCPDAVVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGVDPRDVSVPVVGGHAGVTILPLLSQITPPSSFTQEEIKYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGEAGIVECSYVASEVTELPFFATKVRLGRGGVEEILPLGPLNDFERAGLEAAKKELSESIQKGIAFMNK >Dexi9A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:9A:3280866:3281125:-1 gene:Dexi9A01G0005850 transcript:Dexi9A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYLSTTSRPQSSLTMGIVAGTSIWKMAVEFAGPVHTENSFLAALMASLIAQCTEDARTSGGSPDAAKKFTLSRS >Dexi1B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:1B:23683282:23683844:1 gene:Dexi1B01G0017370 transcript:Dexi1B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLSYRMRVKVVEYGAVVEVVFQDTAILGAEPHPMHLHGFSFYVVGRGFGNFDEGKDPDTYNLVDPPYQNTVSVPTGGWAAIRFRATNPGVWFMHCHFDRHAVWGMDTVFIVKNGKTSDSQMLPRPRTMPKC >Dexi1B01G0019340.1:cds pep primary_assembly:Fonio_CM05836:1B:25539887:25555366:1 gene:Dexi1B01G0019340 transcript:Dexi1B01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDMGEPTREETCREITEARSHVKWARDVTVPHGYTAKDRRSSRDFRQALAHQPLVEPHKDPGPPPAARDTRRPATGFPTCLGGGHGARVMPCRCRVRGALPSLRSAGFTPRGPRVPSDHASPHVFPGGCGGGHTGAATWDPCLVSLWPDPTVATDGARLRPLGSSWLAWARAVAHEFVCGFDRVVWCGRCFVPPIVAFVWPLHNCCSAPPAPARSCHSPSISSRKAPPQPRSPMASGSNPESMDTDPPGGGGLSISVERNPPESRLLQLGYRRRRPITSHCRFLHHSLPSFPDRCSVRAYRWGCPTGKFPVKFDARQTCYLLKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSGGPVVPGAALIRVIPSLDSCGSDRPWTASAAGRLALHLHGWKVLLDDTIRASGHRNEGALLIEHFDDYSGCLCPFPPPRTTTVGVPRHSPPAMASPDRSDSKGVNSSHVEEKKTAPGSGDGNKKATAARPGMTRLPRMEVLNILARDPRSIDSLRDVEPLRKLVGEEGMQVPEEVLRFVSQSFSEGMREKAIVFQNWVYEELERNGYVEVPDQFISDREDAQREMGDHIDLYLPDRYFTTVVGDDGEEKLVFSFTGKEGKAVSECNSSEKGNIFFSYSAELEPKIKAACDKGVGVKLSRTAGEKKKPAAAAASDRGNTEKAPATREGMTRLPVWEVIKILSRDPTSIATGNVEALRRLALQDRPGMPEEVLREAAEQMKEQFVAFQDWVLAEFEEKGYVEIDLHLPDRHFATVVDDDGSERLVFSFKEKEEVDPESKSNRDSIFLSFSAAVEEPEIKAAFRMLLESSEDEESDEDEDACRSEAQKTMVPSQRPALVRPMTPLPPRPWAPSRRRPRTMPNPHANENREDVVMLRSAAPPVTEARGPVKPGDDAPFDGHALLPVIDPLIELTTRYIFTDGRMASTSETFFTRRFTLLVSSGDHDDLPGCIGSPRVHVACVIWGRSFVCLFFVIVPGSLVGTEWVCLTACHVWRCITLLDHFLPSYKSPRHAVLPPHGRDISPASYPPMAATCAASLAPLLGPAAANATGYLCDRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAYGTPSNGFIGKQFFGLKSLPEVGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWVWSADGWASASRTSGSLLFGSGIIDFAGSSVVHMVGGIAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGTFLLWFGWFGFNPGSFLTILKSYGPAGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVLDVCNGLLGGFAAITAGCSVVDPWAAVICGFVSAWVLIGLNAVAARFRFDDPLEAAQLHGGCGAWGVLFTGLFARREYVEQIYGAPGRPYGLFMGGGGRLLAANVVMILVIAAWVSVTMAPLFLALNKMGLLRVSAEDEMAGMDQTRHGGFAYAYHDDEDPSGRPKGVMSTQIANAPSGEF >Dexi2A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:2A:22172907:22173681:-1 gene:Dexi2A01G0013550 transcript:Dexi2A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIVLKLPLDDERKRRKAFKAAVGMNGVTSATMEGDKIIVVGEGVDPITLTTMLRRSLGYAELLSVSSGDDKKKGDGYGYGGGMMYGGAGMGYGGGKEGKEGKEGKESGGGGGGGGKGGGGGYSQYQAMAPVSYPAYQQQYNGMPSYPVYSYPVYPQQEQDPGCSIM >Dexi1A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:1A:21421028:21424418:-1 gene:Dexi1A01G0014750 transcript:Dexi1A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLHMLLVAAATLLLAASATDDGAALLAFKAGLSDPLGVLRHNWTNGGGASVCSWVGVSCSSRRHPGRVTSLELPDVLLQGELAPSLGNLTFLLVLNLTNTSLTGPIPPALGSLHRLRYLDLNQNSLSGSIPGALGNLTSLQLLDLYHNELSGEIPLELQNLSNLEYIRLSTNYLTGMIPDGMFNNTPLLSVLNLGNNSLSGPIPASVGTLSRLRLLVLQDNRLSGPMPPAIFNKSMLQVMALAKNSNLTGPIPGNGSFNLPMLQLLSLSRNQFHRRIPSGLAACRVLQKLSLSDNFFHDVIPAWLPTLPQLTVVSLGNNNLVGPIPAGLSNLTNLSILDLSQSRLSGDIPAELGQLRQLSWLNLAVNQLTGSIPATLGNLSMLNNLALAYNQLEGNVPVSLGNLRILQSLVVEANNLSGELDFLNALSNCISLQSLDIGLNSFTGSIPNSVGNLSSKLQYFIANGNQISGGVPPVMANTPKEGSTQLPTLVHAINHMLVSYHEILRATRNFSGENLIGVGSFGKVFKGQLSDGLLVAIKVLNMESEQASKSFEVECKALRMARHRNLVRIISTCSNLDFKALVLEYMPNGNLETLLHTEGRPQLRFLKRLDIMLDVAMALEYLHHHHSNVILHCDLKPSNVLLDEEFIGHLADFGIAKLLLGDDTSIISVSMPGTIGYMAPEYGSIGKASRKSDVFSYGIMLLEVFTRKRPTNPQFLGEISLRRWVLDAFPNRIAEVIDPYLLQERADGLVDDISTSSNATFNKIDSCVVSIVELGLLCSSESPDRRIQMDEVVNRLKKIKSDYNPQSDE >Dexi9B01G0021210.1:cds pep primary_assembly:Fonio_CM05836:9B:15875184:15877386:-1 gene:Dexi9B01G0021210 transcript:Dexi9B01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPSSPMKTSGHSGRRQACSPVTPIRWTGVAGWWPRQRERRRHPGQTDKALSPVLARLPSANVVRSAATCRRWAHVITKDGAVLCRALPPLLIVHNRRAFSGARRLKGISDLPLGWRSLGMGSRREAYIRRWFDANLCMDHLDDTVLGKGLGAGVRLHHLVVATRSVFCSGSGDDDCSGEWEQKDRCFIKLKQLKVRCEGFGKEKIPPFTLGEGTSSPRAFVLNITTEHVEKVADGVDCESTIRGGTLLATKWIPSCPYHVDDGLQKKIFSWY >Dexi4B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:4B:11416671:11418572:1 gene:Dexi4B01G0013170 transcript:Dexi4B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDPLVMGRVIGDVVDLFVQTVPMSVRFGIRDLTNGCEIKPSIAVDPPAVQIAGRASDLFTLVMTDPDAPSPSEPSLREMLQWLVVNIPGGADPSQGQVVVPYMGPRPTVAIHRYVLTLFQQKAPMNPPPALAPGSDAARVRFTTRAFSERHQLGLPVAAMYFNAQKEPVSRRRNCGGCSTTSAGRWA >Dexi9B01G0028450.1:cds pep primary_assembly:Fonio_CM05836:9B:31087433:31090460:-1 gene:Dexi9B01G0028450 transcript:Dexi9B01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVARAGATASGSILPRSTGRRRRNAAVVVATATGTGAAAPQEGALERPAWSGETPLSRLVGALIAFKPLYALMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPDCECAAAEAEPATMSIAKRAIPEATSIQEANQIVRGNWLNAIEEHHLKYSGNREINDILDIGCSVGVSTRYLAEKFPSAQVVCHECPARAITGLVKEAFRVLRPGGTIALADNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQVGFINVCSILTDARHRTVTATVP >Dexi4A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:4A:15594575:15595737:1 gene:Dexi4A01G0014110 transcript:Dexi4A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASFLSPPAPRLPCPRARLPPPSAAARASLGFEPRRAAPAKEWRLRHVSCFRNDSDVPTTSDDDSCFKYVAQSESSGAAEAKEEEVGSSNGDQEQSFKDEGWFLQLQKASLICLLLLICL >Dexi6B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:6B:21265432:21266405:1 gene:Dexi6B01G0013560 transcript:Dexi6B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGVSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCGGAGSSGGGADAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILDAMSHTGPAENGKGESLEDMQRQFEELFLRPSPSSFCPPVRAPH >Dexi3A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:3A:10464595:10466120:1 gene:Dexi3A01G0014340 transcript:Dexi3A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSALSKGKSSIGSASGSAKFEAKGKFSSSSSAAAAKRATTTTATRGRKKAEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKLKRQQQVRMGTPIKPIVRKDRPESSKKPAASSNVDSKAKKRVDYSDDDNDFIVKMKRSRG >Dexi5B01G0031880.1:cds pep primary_assembly:Fonio_CM05836:5B:32545759:32547221:1 gene:Dexi5B01G0031880 transcript:Dexi5B01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGGGARSPAMADDGGSHDGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYVDPLKIYLQKYRDVSDGSVLTGDSKLSTKSGEGSVKKDAITPHGGTSSSSNQLVQHGVYNQGMGYMQPQYHNGDT >Dexi4B01G0023300.1:cds pep primary_assembly:Fonio_CM05836:4B:24674725:24676147:-1 gene:Dexi4B01G0023300 transcript:Dexi4B01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWRYQAINVVVVVTLVIMALAVAGQATAVPAVYVFGDSILDVGNNNHLPGEGVPRSDHPYYGVDFPGGATGRWSNGYNLADLVAMAMGFKRSPPGYLSLTRRSSRLVGRGVNYASGGAGILDSTFAGMNIPLSKQVRNFGVTRAQMVTNLGATTANDLLSKSLFLIAIGTNDMAAFAATQQQQQQSGDVAAFYRSLISNYSTTITELYGMGARKLGVLNVGQIGCAPLERAQSPTGACSAAGFDDAVRSLLVRLGSGDDHQQHQRLRGLAYSLGDLYGLMQATIADPGAAWLSNVDDACCGGGLSGCLPNSTL >Dexi1B01G0031040.1:cds pep primary_assembly:Fonio_CM05836:1B:34786396:34790145:-1 gene:Dexi1B01G0031040 transcript:Dexi1B01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREGNPMEQTSLKHKDDENKEAASRQPGHQKPARKKDDDEGEIWVLPEGPKELSRVFHRLRVVDLVDISEECDLNWTVFILQGAPFLEELNIMVRDHLCEMLLDDELRTEFACSMEKKGVDWEGGTSDFKHHKLATLKVFGFRPEDSHVECRAHLNIFSLDSPAINRMINYFYLTGTLILNALQDAMDRLSKMPDDILVSVLERLNIREAARMGTLSRRLWHIPTKLNKIVIKVNSFDPSEGNRSSITFDGLSQANAAMAEATKSILAARKASQYAIHLLSLQFYLGDEALSVAQTVAGTMENQAVDAVEFAILTKKRRARCTIYDVFLHGRQLMLLVDACPNAFSYLTCIKLENVSLNEPDFPKIFSICKRLEFLRLDNCTMGILFFLEVEHLLLSELEIDNCRFERVHLKWVPRLATLTFNAWVSQEDPVSFGYVPLLQSVSLTNIGLSWHKMLKLSEVLGNATVSTLYLNFKSEKIWVQPEGPSQLFPIFHKLRRVSLINISEECDLDWTMFVLQGAPSLEELQITVQDHFCEMLRDEELRKLYAYSEEKKSVDWEDGASGFKHHKLVVLRIFGFRPQDKFVRYVRRVIVAAVNLEDIFLHNKLVCERCKHKVPKASRSPWPKKQRFSLRNRITSGTNSFVAIHFPSSSRD >Dexi1B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:1B:11009035:11020708:1 gene:Dexi1B01G0011030 transcript:Dexi1B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLPLRSSPSQCLPLRRLLLFRCSASSSATASSSLSPYVASFASRMALAGIRPHHRIAVGVSGGPDSMALCVLATAWKKAAGKKAAAEEGSVSSAFVNGLLSIVVDHGLRSESSDEARLVRDRVRNMGVECEIARCDWPDGRPKQGHVQEAAREVRFLKMQTELFVLRLSRNSGVLGLAGTALVSQLFAPNIKYDGEKFQRYGILLVRPMLDFSKDDMYKICQVSNQSWVEDPTNNSMMYARNRIRASLRNLSAEGTFLSGVHKLISACRLTRAHVDGTWSIVSNQSVSISEFVSQRHRPLRGRSARLLLDYIRTIPCKAALTVAGCYLCAAPRSKGTKVLICCSVDWMESASAEISYKCSYEEQPPPVPEIDQIVLEGLLQSNQFVQNHSNIPFMYCKSSIDVLNKAKDLNIIDDFTLEKLCYLGTDEHNKFIAKQDKDGEHDLEETKFCDCSLCPGETCHFMSRFLITWKVPEDVNEICLQENEEYLICTVNLDASLEVRHMIDADWLFLAEVCNICSVEDTQIDPEVSSGKMQMDNAPQHHRFLQQSAQKALQILKCIPAAARRTLPVLTNAQGDIVCIPNIGFRCCPGLSIQSVFYPRVPFHGGYSSYL >Dexi7B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:7B:25972634:25974871:1 gene:Dexi7B01G0020690 transcript:Dexi7B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHAAEMLHAAPAVYSGAGAGGGWWNTAAVPAGATCSTELTGFGTWSSALAASSYDIAVDGKATKSVATASSESPGNNSSVTFQEPTGVADPVGIGAAAVHQHQPLAGYTDWTHSYMSSGATLHGFLQDGHHQDMSSRTEHQSPMVASSLMGPSSNNLAALQGHQQEHQLLSSFGSELLLSPTSPYGSSLLRSLMEPVAKPAALPGFQQYDQYGQPMGQQVTSPAAARFAPGAIREQPLQFTNDAPFWNSSASGFGLPAAVAVPDQASVTALEGVGESSSVITKKKANGEPAYKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGVLSAPYLKNGHHQVPHLKSSSPEKSKDSHGEISLKGRGLCLVPISSTFAVASEVPVDFWTPFGANFR >Dexi7A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:7A:4253390:4254388:-1 gene:Dexi7A01G0001590 transcript:Dexi7A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCRPSEALPDYMKAVYYAIYNTTNELADHSLREHGCRMHHLLQKSWHDLCMAFMMEAKWYQGKYRPRLQDYLENGRISPSAPLLLLHAFPMLSSVVNMKTLDQIQTNPRLVHSASLILRLCNDSATHTDELKRGDAPSSIGIHMFENGANEQDSRTAMQDLILEAWNTVNEEAFDNCQLSVPFKKACVNLARISYCIYQDGDGIGAPNGLKKKQIKELFLEPIDEMNHH >Dexi7B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:7B:16438718:16442057:1 gene:Dexi7B01G0008680 transcript:Dexi7B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFWGVEVKPGKPYTLTHRDFGGRLRLTQATLGDEVGKGEKGASRKKCVLQCSVESKDPVYLCALVPEQSETCHLELEFDEEEVTFSVIGQRSVHLVGYYIADAYDEDMSDSDDEGDSLQGSDEDGYLENDDDTNVVMGYSDSEDDSDYDSETDDEEMAYDQRRGKSSVVIEEIQEDDKPSVGEVQKGSNKKQSSANGDKSQLQLVVRAPATESLESEDEDGFPVSFSETKESSESVSKTKGGKDKEASNEDRKRKSGAITNLGDSSGDVKAENDGASKKKKKTKDKSTAVDNKKVNNDVKEIKQQDPVSAKQKKKNKNTSVSEAGTDEQSAKKNNTQKDVEEVAAQETGKKNKKNKAQATDKSKNHSPKSESKEPLQKRTFPNGMIIEEMDLGKPDGKKASNGKKVAMRYIGKLKNGTIFDSNVSGRPFEFRLGVGEVIKGWDVGVNGMRVGDKRRLTIPPSMGYGSERVGKIPQNSTLIFDVELVNVK >Dexi3A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:3A:11228026:11228331:-1 gene:Dexi3A01G0015180 transcript:Dexi3A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKALAASVPFPVHAMHRRAVRAHQSATQASTRMRRDEDAIGDGERTNDAPSGLVDAGRRDRQASRPGAAATCEERPRGRRRDGWGNWWGSNPSDPPLFL >Dexi5A01G0034660.1:cds pep primary_assembly:Fonio_CM05836:5A:36666438:36667993:1 gene:Dexi5A01G0034660 transcript:Dexi5A01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPGTGLVSYNAAISRCARAGLYRRALALFHEMRGHGLRADEYTLPPLLNSAALLRSPPAARALHALLLRAGLASHLHVANALVDAYAKLARPAAARMAAAGVDPDEFAVAAVLSSCAGSTMLDLGRSVHAAAARRGFEPFLSVGNSLVSMYAKTGALRDARTVFDAMPARCTITWTAMIVGHAQNGRGRQSLDIYTDMVRSGCRPDYVTFIGLLFACSHSGLVDAGRDHFRSMVNDYGIAPGPDHYACMVDLLGRAGRLEEAMDMLNRSSTELDATVWKALLGACRVHRNVELAERAAEMVWRLDMTDAVPYVMLSNLYSRARRWGDVARIRALMKSRGISKEPGCSWVGVNGVTHLFHVEDHGHPRAAEIFRKVEEMTERIRAEGYVPDTDWALQDEAPEGREKGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHAAIKMVAKVYGREIILRDANCFHHMKDGACSCGDYW >Dexi8B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:8B:25274240:25276228:1 gene:Dexi8B01G0014640 transcript:Dexi8B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFAHQGNSTSCTVEEEILAPLLARLTTMSTLIDSSAAVPPPPPNGPAAMANGLAARNNAGAGDDGAKVRARELLAKVRREVVQLQAVFRRIDDAEKRIRYSFDPLERHLDDALHLHLQLQLDGDDPHPLDALRVHTSLLDVHACVEAIKAAIRDTYNDLPCGGAGDPVVAGGPPTQPTAGVVMTEKMSDVRRGPQMSHLSIAVAGLEERLRSCALCLAAFPEGAVVKKRLLLHWWMAEGFVRSADDGKSRFDELVARGFIIPAAGPTAAAALCGTVHRCSVRPWMRDLLAGVAKRKGFLELGNAGDVAFARRACLRGGGGGGKQPAVVGFSAGVRAIYNIGHKYVELDEKWFPGKGDLRVLQLGQWREFTTRDQIANPMDSHIEVSGVDRLRDMGRSCKNLRYVSFRGISRIESLPDSIGKLQELQVLDLRACHNLEHLGKGITKLDRLEYLDLSECHLLVGVPKGIGQLTRLQILKGFVVANSNSRDLCHLNELTKLDKLRKLGVVIGKMAMPNEDEFIKLAQFKALESLKISWGVLNNLSAKSGRPCMETIKYALPPNLRKLDLHCFPFADFEQWVQPTGVRKLYIRGGRLTTFGDGKEGWEAEVLRLRFLSDLRCDFDRFQRLFTKLKPENTEIHECPNFINRRSDDVGTSEIKEV >Dexi9A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:9A:2081699:2082694:-1 gene:Dexi9A01G0003980 transcript:Dexi9A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGARSRIHFVLLISRQGKVSLQFIVVLAGACHVCIPWCTKDSNPISAFTEKVIKEISTLVLSRGPKLCNFVDWQGYRVVYKRYASLYFCMCIDPADNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKSVLRLVTTQ >Dexi8A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:8A:1677413:1678795:1 gene:Dexi8A01G0002580 transcript:Dexi8A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATETPPFHVLAVDDSLPDRKIIERLLKTSSFQVTTVDSGSKALEFLGIHDDPVSLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYNQQSDGNIDESSSNPTNSSNSSNNSSSRKRKPEGNEEILPKANRSRHS >Dexi7B01G0013880.1:cds pep primary_assembly:Fonio_CM05836:7B:20301061:20304591:1 gene:Dexi7B01G0013880 transcript:Dexi7B01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAIAIATMVLRLLAAVLSPTAVTAKTSGRNCSAGCGVGFDYFEIPYPFGIGPECSLPGFNLTCATGPGNTSVLLLGNPSIMVHDFGGSYDHSPTIGASIGYSVEMAAGVRDYSIYWEAPGTPFAISGSSNMSLFVVGCGVEASLFIGDSDVEVGNCSVMCVQEIMERLPHGLCVGIGCCYIDITVNLRAFTLNISRTGHAARVLTQVKSFITSQSYYQFNSLDLGSHSHILTGYYPADVMWTIPYQPNCRHAMEDRAGYACLSNHSKCQEPLIGGYVCYCMEGSYGNPYVSNGCTAEDEEDYKEIEQFINEVSILSRINHRNVVKLHGCCLEVEVPLLVYEFISNGTLYDLLHSEQNDTLSPLPWEERLRIAVEIAGALTYLHSAASESILHRDVKCMNILLNDSYTVKVSDFGASRSIPIDQTHLVTSVQGTFGYLDPEYYHTGQLNEKSDVYSFGMILLELLLRKKPIFENENGEKQILSNYFLWAIGERPLKDIVDERNLGEASAQAITATARLAQECLSLTRGERPTMKEVEMRLQILRTSQVVAPRARIDEVPRPHCE >Dexi4A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:4A:2825366:2825986:-1 gene:Dexi4A01G0003940 transcript:Dexi4A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSADALLPANPPSHPAMRRRDGGGGYENRLLIPLLLAASALLFFVTYQLFGLAAAGAVVAVFVVFALAAHRVRFSRRFPFLHLSLDAAGSGVRRGGGVGEEEGVVLVFPAGTAGGGMDAAAISALPAAFRYKRGGGGGAHAAATGWAQCAICLGLVRAGEAVRRLPACGHLFHAGCIEKWLRAHATCPLCRAIVTAGEPEVPV >Dexi4A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:4A:1864826:1865576:-1 gene:Dexi4A01G0002710 transcript:Dexi4A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKEAAVKTHRQDTSKRPAMEWHLTERVRLGHGEEAIVIALRQLELGATTRKGSRRKKKEEHTGMLILELGIWVLPFTLLLAPARRMVSLVAELQRIFLAIACRRAPPPGLGEVWSRLDRLDSATVMP >Dexi2B01G0027480.1:cds pep primary_assembly:Fonio_CM05836:2B:36400939:36401253:-1 gene:Dexi2B01G0027480 transcript:Dexi2B01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAADMELDHPNLEDYLPPDSLPQEAPRNLHLRDLLDISPVLTEAAGAIVDVSVSPNL >Dexi5A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:5A:21861326:21862559:1 gene:Dexi5A01G0018340 transcript:Dexi5A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAATAGAAQLMWGDVPDNILVHAFSFLRCRADRVHMAGLPWLFFPNTEYPAFYSPVTGLYHFLYRLPLDVRRARCCGSGSRGWLVLAPDAPAHALALYNLNSGQRIPLPPRFLPPGDPGIPFDTRVAAVSSTPPYVVAAIALVADGSTAAAFWGEGMGGWFSPGDNRLRVGAGLWDLICYHGTFFFLTAREHVIGFKPVYEHDPTTGHATPQSLRRHEYKVQRRQDYDADSLLGELAIRRYLVWSRDTLLMVVRYQEDVMDGTNLIRVFKLRAPPTTNCHKPDPLWEDLHGDLDGRMLFLGLGCSVSLEAADYEGFEGSMIYFFDEDMVSTPLADGRTLYLLTDMGMYSTEEMTKRPLPPGRGHPLNSDNAPPTWWLH >Dexi1A01G0028830.1:cds pep primary_assembly:Fonio_CM05836:1A:34398072:34399834:-1 gene:Dexi1A01G0028830 transcript:Dexi1A01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFPLISDHSLLLQGHAMFGAEGRLGILSPSGVLSSEGKTVPTQDGRKNKGKELFSCDWPELLDFEPSLRNFDSSFEIGSKYFDDTLWSSLFSPEVQHVPSSYFDDIDFSIDQNESIVLKTNPTKTKQQTRNGASDTPLYCDAHASSSSGLPDAELFRHLDDIELANQIGGCDGLEAIFSSSQETRTPTPSSSMCSDETLASSAFSGPVSVATHIPPPSEKPHDPFRGAPDMVLEEMAKNPLDMYFPPLPMYEQPEMLMSMSDTTSAAQFPGSYALNCAESQFCSKEMAPAGGLHGQPGSAVVLEAVPVKDLGFQKLQEGVNQLDLATRARIRESLYRLANRVEQRHCAAASSGAGSSVSKRFRSGGWTEAQTNPMDQSVAQLLLQKASYRKTVRPHRVT >Dexi2B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:2B:9483926:9484712:1 gene:Dexi2B01G0008750 transcript:Dexi2B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGELMDFIIVLRDPEAVQTFCSRMHFSLGAGVSAAAGPVGRVLEADMRAGDKGSGVCYTYSCSKGAFIGVSLEGNIVATRMDANLRFYGDPYLTTNDILMGNVERPNAAKFLYKALDDLYSGLDC >Dexi8A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:8A:2623351:2630484:-1 gene:Dexi8A01G0003540 transcript:Dexi8A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALPWIIIELFPGSSFMAIGNQNKDEGEWVIVGGTGFFTFAQGTITIRTEIDSGSSNIKEICICAFGCTGLSTPVQSEPDVASNVAPFLPLRTYRLSWGFSSLHVCSLPTGGAPTYKDNMIEGNECSPSTANPHSGYVTPQSLGTEYNQIWYMHQIISGPNHNQVNIADAKQPQAFGFTNVHDYPIYDSLGPGKKIVARVQGLHTKTSMSGDGWFHWSKVAFDYERLGPGRKLVARAQGLHTKTSMKYDGWFHWSSIVFSDERFQQGSSFKVIGNQEGEWAIVGGTGAFTFAQGTITTYRIQDNGFSNIKEICIHALCYTTYYSK >Dexi3B01G0031400.1:cds pep primary_assembly:Fonio_CM05836:3B:32697049:32700246:1 gene:Dexi3B01G0031400 transcript:Dexi3B01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETLFQIANRPPRRTPRDNDWEEVREINSYAVFMGYLSMAIRGMGILVVTWTTVVLLGGFVSKLNRHDFWCITVITFMQAAGLVPSLFFIFCPSSLIILLERGCMVKLHNIITSVEIFCLYIAGPYAPIWLAVWRIKHLGYYGNVKEDDSKANLKVALFVLYALVIAQGVLIYYRTIFTLAVKKIVKKLVTKYKFDNWASASVWAYLSETRIGCSKNPSFTRRRNLITYAAELIKSESADRNLWGARILDTLIQFQLQKPSSTIEEGMLIGQNMLIKQLIGSTDIIKKLLRMLDSRCPYDKEMRKRATRVVAHLAGSINLKQFPGGIDCITSLLCSLDEYHLLVEPYRLDWQLHVCEQDWYPQPQAANLPASLNFGPDEDDIAHINGYKELVQQGLTILRKLATTEGNCVIMSSTRGLLSKVFKEMLGQDMAPITQEMAIASLLSLCLTACDRFPLQLQAIGNSICDDDTINFPLWLKAIVDCKDTQGRQKA >Dexi6A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:6A:25788125:25791410:1 gene:Dexi6A01G0017970 transcript:Dexi6A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGRPDDVIVQMSAASVAAVDERSSTSQIEEVDGGDGNKFVVDGEGLTRRTFSESYRLRHRNPLEFTPWQVALLGYQSLGVVYGDIGTSPLYTFSSFTLPDPDKDDLLGILSLILWTLTLVSLVKYVFIVLHADDHGEGAEAMFADLGHFNKKSIQMAYSCLVYPSLILAYAGQAAFLIKNPSMLSTTFYSSIPEPLFWPMFIVATLAAIVASQALISASFSIIKQSIALGCFPRVTMKHTSERYEGQVYSPEINYFLMIACILITVGFKGGPEIGQAYVHVVSYQSRVVALARKVSQ >Dexi5A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:5A:1089332:1090186:1 gene:Dexi5A01G0001520 transcript:Dexi5A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPAAKPSSLKAELAAKCSRISELEDRVSLLQAENARLRKALARRRGLESARDPERLAAGLRGSKHEEEAVKPGGTASCGVFDCGDDEEEGAELVDAHSDDKGPNPEEGVVAVEDEEDEADLGEEGGEGGGGSDSQQHCCAGLEDDDVSATPEGTNRRAAARVVTSDSEDEDHGELGSGNKDDVHDQEEEGVTVSRKRGLSAISDSDDEDVTEVVPVVVSNAASRVGAPQIESGDDDADDMVPICQVLKKMRKERQDDADDGSPEARGRSALDNKALGAVG >Dexi1B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:1B:5730063:5735356:-1 gene:Dexi1B01G0006920 transcript:Dexi1B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEGVPETGKTKAAAPTSRPFASLFMHADAVDVALMVLGLVGAIGDGMSTPMMLFSPTNARNILYLAAGNWVMAFLEGYCWTWTAERQASRMRLRYIRAVLRQDVEYYDLNSGGSTSSEVITSVSNDSLLIQDVLSEKLPNFITNCSMFLGGYAIGLALLWKLTLVMLPSVPLLVVPGFLYGRVLTGLARRIRDQYAGPGAIAEQAVSSVRTVYSFVAERSTMARFSAAMEETTRLGIKQGLAKGVAIGSSGISFAIYAFSIWYGSRHVPWRKGRHGLRRLHCHHHRRNVSKKCRTKCRTTLLQTSSLYKFVLSMNAIDELCRALGSALSNVKYFSEASAAVERIMELIRRSPKIDSESDAGEELANVAGEVEFTSVEFCYPSRPESPIFKSFSLRVPAGHTVALVGSSGSGKSTVIALLERFYDPSAGEVALDGVDIRRLRLKWLRAQMGLVSQEPMLFATSIRENILVGKEDATAEEVVAAAKAANAHDFISQLPQGYDTQVGEGGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTNSERVVQEALDQASVGRTTIVIAHRLSTIRNAHLIAVMESGEVKELGPHDKLIAKENGFYSSLVHLQQTSHSREGTEVRGSGTGTGGTPNVEQYKKHNTSGRLSADCRSSLTQSMGDAENDCNIEKSKLPVPSFRRLLLLNAPEWKQALIGGFSAIVFGGIQPAYSYVMGSMISINFLRDHEEIKDKTRIYSLFFAALAALTFLVNIGQHYSFDAMGEYLTKRIRERMLEKILTFEIGWFDRDDKSTGVICTQLAKDTNVVRSLVGDRMSLVIQTISAVLIAFIMGLIIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIQAQSECSKLAAEAVSNLRTITAFSSQDRILCLFDQAQNSPRNESIRQSWFAGLGLGTSMCLLRCTTALAFWYGGRLIAEHHITARALFQTFTILVGTGRVIADAGSVTTDLAKGADAVASVFAILDRETQINPDDPEGYKPEKLLGEVHLKGVDFAYPSRPDVDIFKGFSLSIQPGMSTALVGQSGSGKSTIIGLIERFYEPLRGVVEVDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTELASEAEIENAVRSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALNSQSEKVVQEALDQLLVGRTSVVVAHRLSTIQNCDLITVLEKGIVVEAGTHASLMAKGPAGTYFGCVSLQQGSPNQP >Dexi1B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:1B:13890846:13891187:-1 gene:Dexi1B01G0012100 transcript:Dexi1B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEIRLDLTRSSCAWINLYIYFLYLNNSSLQNMWDLTPRTDLLQEVPAESSTESALVDLIDNALQALWLNGKEERKLV >Dexi5B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:5B:9103126:9105433:-1 gene:Dexi5B01G0012840 transcript:Dexi5B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAARKAAAAGGGGGMGRGPALVLALVAAGAFLISYNFFAMLLHGGAGAGAAAVARDPVVPMPAWMREAAGGEARRRPFHVALTATDAAYSRWQCRVMYYWYKRMQALPGGEAMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLQKAKIEEEYVLMAEPDHIFVKPLPNLAHDNDPAAFPFFYITPSEHETIIRKYYPKERGPVTDIDPIGNSPVIIKKTLLEKIAPTWMNVSIQMKEDEETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTQLGNTFIIHFTYGCDYSLKGELTYGKIGEWRFDKRSFSDGPPPRNLTLPPPGVPESVVTLVKKVNEASANLPRWDDGI >Dexi7B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:7B:24372055:24373295:1 gene:Dexi7B01G0018740 transcript:Dexi7B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLPFRSPSPVAATLPNAAFLLPALTRVPLRLPPQRASMSASSSAEPESAAQSPGAAGGEEGGKEAADVLVQYVVLRRDLADTWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKACSTVTLEVKGETQLKNLAEKLQAAGVRHKLWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >Dexi4A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:4A:8072078:8072311:-1 gene:Dexi4A01G0010140 transcript:Dexi4A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFAALVPVILLVIMGFLAISGAARPLSGEAVSGDGVLQFLQQMYLQKLGAGPSCRTNSSNGGCPQRP >Dexi4A01G0024120.1:cds pep primary_assembly:Fonio_CM05836:4A:26960759:26964190:-1 gene:Dexi4A01G0024120 transcript:Dexi4A01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVSTSHLLITASLPKPKPSSLRPPRFPLAKPLPATLLALAAAPALAADAPAPLPAPSPPAPTPELQAEAPTPTANPFANSLLTAPKPSSAASDLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFVAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFLDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVERAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Dexi2A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:2A:15404652:15416135:-1 gene:Dexi2A01G0012960 transcript:Dexi2A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAARPPRRRPRSPLQSVTVDLSELRYLVLPSAWRDWAGLTPELISCILHGLDPVQIMLGADKVCRSWRRAVREEPELWRRIRMRRHKALLDRSLVDLDQMAIDAVERSQGQCQEFRGEGAGLHDDTSAASPTNGEAMAIAKMHELRSLQLIHNGLTNQGLVAILDKCLRLESLCISDCCNIRMSRKMKAKIKTKKLRLVDYIYMDDEEREETEHGSPNSECHTCLLYFGRDNEERYVKRYVNDTEAMVILTVRELRSLKLYRNDLTSKGLAAILEKCTHLESVDVWNCVNIVMNKALRQAKSGWIKAKKLTTKLLTDYFNDKIFNPEGLDIYLCRKIILNNCRGVFKDNAHGSKFDQIILRKKTRNYHRKTNKMKAKIERYMADRQHVGNVDYMEFDGVKFDSYRMYSPMEDHYREFNPKKYHHSYRYNCWKLDHVKFEHGYGYNYYGKLDEKVKPGSPIIECSTCLMFEYFAERWGVLDLDDYADYYDPSYGLDAHDETDFGMHDRMVGKRLRRSRESIAKSCPPRPHALLEAARQRRRRPRDARPRWPSRRDWSELPLDAISYILGKFSVPELLAGGAAGACRSWRRAAREDPSLWRHVDMRNFYRSAYRKNARPGAVARAAVRFSAGQCDAFWGTYDVNDDLLLFLSEQYLCEDANGGGVAEADAELGKAVGGVVYSLSLRRPRRRLVKLPVAMLSLRRPAGGRGGAWQSCRWCRRCGAEVERCEATGGVVVPGAEEEGGKAAGVAEHGDSVALTTREARTSWRRWHAAGATGGRERAPLLKSLRLSRCNISDEGFEKAIEKFPLLEELELELCESVGGSSVYEFISEACPQLKHYGHRKERYRWRIWISDGDADCEEALAIARMHELRSLQLFRINLSNEGLVAILDGCPHLEYLDVRNCCNIIMDDTLRAKCARIKKKKLLVYRSNDECMDFEPGSPISYCSTCCGMGDESDGDNDILDIADLEDFSDSSYDLMESMRLILMKNPLS >Dexi6B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:6B:11252706:11254227:1 gene:Dexi6B01G0008890 transcript:Dexi6B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTKTVLIILSLASRPSTAMWRMRSNTAWKLVFIFQSMRLMKLIFWARVLPSILWICGVELNVITLPAHGFSLSSEMRRPSATHTSIGVPLMLSDTSQTAIQLLSDPFLRRSSTMRRHAAPKRRLPRRGRRRPRSRGAVAHRLQSWLCQPRRPRGQLGPPLRAHVHLRELQDLPQRHQHLAAETAEHTEGVVGVGVAREEQAGDGLAEQRRPVERRARRGAPLGSEPDIPLLAAGSELLKIGGEKVGEELRDAVEQEAVATITVVRLYRLHGELGNLPHLLGHGDLLRSSRFLVIHLHLLRFLLHDLRRLLLCLHAGGSRLVASLGLGLGFGESGSGLRRGAFASGSLAASQSCGTGLSDLTPNLLGAHLGLGELADVGAVDEAVEQLGEVLEVAELRKRGEVAGERLGVALVHPERGGVEALLVGLARAANAAEVRHELERGERVVRPGDGDELAHALRRRVRDYLEEE >Dexi5B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:5B:21740634:21742673:1 gene:Dexi5B01G0019450 transcript:Dexi5B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGGEPMTYDQVEDLFNSMEGPSAQERIDCIFSSIISLLPPPFVPAPATAASDDSDNERFSLTSSDSEATDAGADPPAVHPAALGDGVDHISRLPDALLSNIISRLATKEAARTVVLSTRWRALWAATPLLVDDAHLGGADGPTDIPLVRALSRCVAAHPGPVRGVRITRVSFNSHEYALRRLVADLADKDVQDLILFNRPWPLNMPLPEDILRCASLERLYLGLEILSIVMAYGSPSRLRIVSRSLKVVMDWNSTLDEVVLQDAPCLERLILQTMDTRRPRLEVLGFLDLKLHELQIGGIAIKAGMNVRARATVPSLKILAVTVRFARNQEAKMLPTLLKCFPRLEALHVLAIPSESVNSGHDLEFWESLGSCECLESHLKALMVHGYLVHNNEIGFLQYIIREGKALKAVGVDPSPENKVAIDLLLASFCESNATSGDVSSGDIFHANINSCFNFQNAIDVTLDNPFCVDDVLV >Dexi4B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:4B:8016309:8023710:-1 gene:Dexi4B01G0010660 transcript:Dexi4B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRKPQGCCAVVLGALKEQEQGSRLQANWSSAQVANYVDELMSSGKVSARALADGASSNMTELQKHVSFFDRNKDGIITPLETFQGFVAIGCEIAFSSAAASTVHGALAPFTNPPGALPPYVNIYVKYIHQAIHGSDTGAYDSKGRFVQEKFDEIFTKHAHIKQDALTLPEVEEMLTFNRDPLDPASWPAAEAEWQLIYQLAHDRYGFLTKERARGIYDGTIFVELEERWKSLASDAPAAEAEWQLIYQLAHDRYGFLTKERARGIYDATIFVELEERWKSLGSDALSDLSAAAS >Dexi5A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:5A:20076137:20077437:-1 gene:Dexi5A01G0017050 transcript:Dexi5A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAARPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQQGIDPATHKPLDLDDANTDEAPRDESNSKHLPAVSATDDFAAMAAGDDPLAPPPHSPTVSFDPLSVVTNVPAAMQGSSSYGAAHSSFRSDNLCDYGGVDVASSDAATYTAGGGDSSSHSNGTWTCGSNVVGSGEPSMMAHLDMFARDAYHQFDPAKYSPWQQHSFPIRSMSRDLPDSSCFDLARSALEDEFSVDFL >Dexi5B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:5B:10541615:10546624:-1 gene:Dexi5B01G0014170 transcript:Dexi5B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVDLGRLLDERSSQEELANLGSACHHWGCFQVKSLPSARIGYCCANGNSRQSLSPSRHMQLINHGVPEEVIQDIRRDIVEFFKLPLEAKKAYAQLPDDIQGYGQGFVFSETQKLDWADMIYLKLRPMESRNMRFWPDQPPSFRNSVDTFSTEVAKVTSSLLRSMAMDMGVAPERLMEMFGYQPQSMKVTYYPPCRQAGKVIGLSQHTDACAVTLLHHVNDVQGLQIRRDDGKWHAVEPLEGAFIMLSNGKYKSVEHRASVQPDKERISVAMFHQLLPNTTVGPLPELVNGSSESVQCVSQTAPVRRTQLINHGVPEEVIQDVKRDIAEFFKLPLEAKKVHAKPPDGLEGYGQVFVFSDTQKLDWSDMLYLMLRPVESRDMRFWPVQPPSFRSSVDRYSSEAAKVVTCLLRFMAEDMGVEPERLLEMFGGQPQTMKVTYYPPCRQANDVLGLSPHTDACAMTLLLHVNDVQGLQIRRDDDRWLAVEPLDGALIVSILSNGKYKSVEHRAVVHPDKERISAAMFHQPRHSITVEPLPQLVKKGGGGARYKSVGYAEFMRHFFSAKLDGRKSHLDHFRIG >Dexi8B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:8B:206875:210444:1 gene:Dexi8B01G0000260 transcript:Dexi8B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEANVYNDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYASISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELIALMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKALYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMVDFAFPYLLQFIREYTSKVDDLVKDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >Dexi5A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:5A:24946210:24949820:1 gene:Dexi5A01G0021050 transcript:Dexi5A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGALLKLLYVLGGLAALWFTWRVLEWGWLSPRRLGRALRAQGLRGTAYRFPSGDLPEEVRLLAAEVAKPMPLLSHDISARVAPLLYKGVKDHGKVSMGWEGPTPRVILNDPKLVREVLSNKFGHFKISQLPANFIKMVANGLANHEGEKWVVHRKIINPAFHVEKLKKMLPAFTSCTSELIKRWEDLVESGKAREIDVWPELQDLTGDAISRAAYLPTKLNRRVKANSREVEELLKGIITKKERAMKNGHADDGDLLGLLMQSNIKESKDNGSSKPVMTMDDIIGELKLFYFAGMETTSVLLTWALILLSKYPEWQDRARLEVVRIFGKKQPDHEGAHQLKVVTMVLYEVLRLYPPITFLERQTYKEIELGGIKYPPGVKLVLPVVTIHHDPDIWGKDVNEFNPERFAEGISKASKEAPAFFSFGWGPRICIGQNFALLEAKIALSMILQHFSFGLSPSYTHAPFPVSTLQPYHGAQIMLEKI >Dexi5A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:5A:1310933:1314495:-1 gene:Dexi5A01G0001850 transcript:Dexi5A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSISINGSTALVRRAAQVLVPITAGTEPVEASVPIDILRRAGADVTVAAAGVGVDDLLVAAMYGVNIVADASVADCADVSYDLIALPGGVPGADNLGGCAALESIVRSHALNGGLYAAICAAPPLALARWGLLHGVKATAHPAFVERFPAEVTAVDANVVVDGKVVTGSGPATSMEFALALVQQLYGKDKVDQIANPMLVRYELGYTFKELNPIQWQCRGTPKVLIPVAHANEEMEVITIIDALRRANADVVVASAEEGLEVVGLYSMRIVADVLFDAAVDQQFDLIIGGMPGAKTLAGKEKLVALLKEQAEANKPYGAISAATAHVLEPHGLLKAIE >Dexi4B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:4B:17424169:17427248:-1 gene:Dexi4B01G0015610 transcript:Dexi4B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFTIRPAAAAVLATLLLVLVAAGATGTGISNNCSRSCGGVEVPYPFGFGVEPGCYLAGFNLTCSHHGKLFLGDGTVPVLDISIPNGTVRINSSLIYLPPGATLRRTGAYQAVVTNSTWGGVALGGPYFLSEGGRNKLVAGGCDIQVLLQGQNGNTISTCAAFCPQIEIANDGGGGFVVDHAGVGCSGVGCCQAGIILGLASYRFEIRQLNGSRTNSAAAYLGIVSSEVSFDPLADSFTRVLPAVLDWTISNGTCSGNGSSPACRSSQSFCANSTALGHGGGHLCYCSAGYDGNPYIPNGCQGFE >Dexi9A01G0049330.1:cds pep primary_assembly:Fonio_CM05836:9A:51977651:51980637:1 gene:Dexi9A01G0049330 transcript:Dexi9A01G0049330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPPFSPAAGTPPVAPSPISSRPPPEGQQQQQNQQVLVVDDHEAGTAAGDEAADRPSSGGNRWPRQETLALLKIRSEMDAAFKEAALKGPLWDQVSRRLEAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGSSSPAAATPVAMAPPATPVVVMGGGAPGPGMVAPAIMHVQQAPPPPSTPAAAAAASDAACMMTTPGGDLSSFSSGSSDGEDTAEETGGEDAGGKRKRHGEDGGSRKMMRFFEGLMRQVMERQEEMQRRFMEAMERREQERMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPSVVAPPPAFTLTTPLQPTPVASAGPAHQQPPPSIQLSSPKIHTATMATTTKPAAQPPPTQPQSTNKQETMMMVRAPAEDTTGGGAPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRMGYSRSAKRCKEKWENINKYFKKVKESNKKRPDDSKTCPYYHQLDALYRTKALAAAAAPPPVAPPQATVLAAVPLSQTAPHHAGSNNGIIASSDNNGGMQTSQANNGGNGVAPNKQEESGITTTKQQEAATEPRPQPVSMNDSYVNDNMDSDSSMDDDDDDFDDDDEANAGGGGKMQYEIQFQRQQQQHNASGGAGSGPAPGPGPAAPTSGSFLTMVHH >Dexi2B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:2B:754118:755164:1 gene:Dexi2B01G0001180 transcript:Dexi2B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQSGGDLAANPSNDSEHDAMVDRLSALPNDVLLRILVRLEDAAAAARTTVLAGRWRRLWTLLPELRFPSSSDPRHIASALLAHQAPLAFLDVATEDAAAESVAAWLPAAARRLSGSLVFTNRTPAANAADEGEAPCERKRGAAFIDLPCFGNATAVSLDVGYLGVAVVPLDGVFARLTKLRLAHVHFRRPCALGDAVSSRRCPSLEKLTVRYAMGVTDLAVRFSSLRRMKLENMDGLEKLTVATPALEHLTVLACFYRGRRRRPVADIEARQLKVLRWGDLFDRSSVKLGKMKHLQSVCPDVFLVYGFPPNDPCLALLRCFKIIQQLCLTLVYLPI >Dexi8B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:8B:2233249:2236220:1 gene:Dexi8B01G0003090 transcript:Dexi8B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYAVQQALSSEAAAVVRQAVALARRRGHAQVTPLHVATAMLSPAAAAGLLRAACLRSRATSHPLQCKALELCFNVALNRLPTAGGSPAASAVMFHHHGGGGGAGHHHHAAPPPVLSNALVAAFKRAQAHQRRGSVEGGAAGAPPSQLPAVVAAAAVGKVEIEQLIISILDDPSVSRAMREAGFSSSQVKANVEKAISSPEHHRNTTTSTIHATSSPAPTCSGHPTRLPSAAAADEDDAMRVLDYMASESRRKCGVVVVGESAATAEAVVKAVMDRVSKGELQPRHEPRLKNLQFVPFPAAAALKRMTKEEVDAMAGELGALVRQGCAAGKGVVLVLQDLVFAADAWAAASERRKTQGSGECYCPVEHAVMEVSSLVSAAAGDGGGGGGRGLDRFWLLGSGNKKDYIKCRAGQPSLEDVWALHPVVVPDGSGGLALSLSCASDAERANQESSRRQWPPFGNGASAGESELTSCAAAKTPSVPPWLRGYQDPDMTRTGNHSTNLQLQDWNPNCYGSAAHRTSELTLSFSSPATNSPDTSSFSGFSPSFNMMMSSKPWQFNLTQPWPNHQHDDPLSKYDHNQTSQANNSPESYSVSNSSVGGLAESPRFMELTAENLKILCNTLENRAPRHKDVVADIGSVVLKCRSGMTRRMRWCQERPSAVTWLLFQGGDSDTKKAVSQELARLVFGSYSKFTSISLADFTQVHSDSSSSLLTLKRQRSSDTGHGYFQRFCEAILENPHRVIMIDGIEQLEYDSEISSIRNAITNGKIKGCNGDEISLEDAIIILSCETLNARSDFSSPRLKQRAIANGGKEGNNGMNIENGMEPSGLILDLNACAEDGEEDEESVSNNVRIVNIVDGAFFFQLTEDL >Dexi8B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:8B:21267656:21268301:-1 gene:Dexi8B01G0012010 transcript:Dexi8B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFVHQVVRGPEQNQQVVVPRSNPPFGLVVANDWKVFDGLSSGASLVGNAQGMHMLGSMTQDSWCIYFDLVFKNGSFGQVDGEWAIVGGTGEFTLAQGVISFKKVQDSKDMNSRELKLRVFYTPIKV >Dexi5A01G0037910.1:cds pep primary_assembly:Fonio_CM05836:5A:39055940:39064693:-1 gene:Dexi5A01G0037910 transcript:Dexi5A01G0037910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPFKPATGALAEVGSVAGAGSIPAMVAAQQEMLHEQVDQLQRLVVAQCRLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKCMQSLFALKDTIGKKETREISLLCGVTATQVREFFTSQRSRVRKFVQLSREKALTTEAPKEHDNACSMSTEQIPLDIEAHAVVIEPLRTLEPVVPQSSSQPMGIPQVSPHPMGLPQSCLQPMEIFQNSLQQTSAQQNFVPPVISLQQTTAQPNFAAPVMPSGTMAVQPTDANISLDSVQKEIKQEEVHPSVESEDKKFLESIFALMRKEESFSGQVKLMEWILQINNATVLSWFVTMGGLTIMSTWLSLAAVEEQTSVILVIFKVLLHLPLHKALPAHMSVVLQSINRLRFYRSQDLSCFFGLIIRTTLIPRSDISSRARNLLSRLSKVLIRSQALKKPQKDVICKQRISEILNDESWKSEVGITEEILALTDGANESSKPEPRNKPMLLTASADETNKRSTMQTSILSVWIDPSWSVGAGDNSKELEVQTQRNRREKETFYASQKDIPVNPKDPWDLEMDFDDSLTPEIPIDQSPDADTMETDSVGAGPIAAVPVEDRQIGSTSSTSAVVADGANGQDPEPDLELLTVLLKNPQLVFALTSNKGENVSSEQTVALLDTLKRTGLGLSELVNTLGNGAGAPKEPEPQPEPIPASLPSPTPSERTSRVVWGSEHLTQARAPNLQQPHLPNRGNTPPIANTVQQSFSSVISSLSSQPYASVSVLPAQIQANVPSLPPLAISVNPPIQHVSPVNNHLSRASVHQHGQQYALASDPVVMPLHQQAAVNNSTHGLQSIPNPVVAHTSMPDSNASYTPLPWQSNAAHVTSTGRNATAEPWTARTTNSYNTSSASTVPYANHNAYGDQSTRSAYTAYGSAAVSSNTVLPGHGLDRNGYRRPMEYQATGRDSHQRNSRSPDPVAGRDYGGTQGYNQQPVIHWSAGQGQQSYKPEPSRQWSSAQQSYTPAETSRQWSSAHQSYAPAESSRQWSSERQGYSAESSRPWSSERQGYNAESSRQWSSGQNAETSRQRSLGKQDPYNPSDGRRSYEQRWRR >Dexi9B01G0047710.1:cds pep primary_assembly:Fonio_CM05836:9B:46732209:46732427:-1 gene:Dexi9B01G0047710 transcript:Dexi9B01G0047710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLRDLESEKNGTDPPICPGDDADEDGYEEHGGAAERRRRSRHLPAARSCFAARCEAEAL >Dexi9A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:9A:2208987:2209798:1 gene:Dexi9A01G0004210 transcript:Dexi9A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEMRGVQSQTEQPVTVRQLIDHDQQLMHFDDADDDIAAARVAAGGKAVEAIKRKPVSRRSLRKILSRLHVDVWTAQHDAKFLDLLLRHTRGGGGGGGGRGVGELTGDEWCAIRDELNGATRSAFPVEELQRRVAKFRREFEAVSRIKEHHRFSYDARRRVVVATEAEWKRYVLDNPEAVAYEGGRSTHFGRLRVIFSGNGGGGAETRGGRGGAAKQRRESRAKRCIGKLLRSFGLRKL >Dexi3B01G0019080.1:cds pep primary_assembly:Fonio_CM05836:3B:14150004:14150909:-1 gene:Dexi3B01G0019080 transcript:Dexi3B01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQSSPMESTGGPPCPHHGGGDGGAPPAVSAKKVWRAMQAAYLVVVKKHQPKLTALGVHLHHLLSGSSKRNHHNHHRNLAAAPEQHPALTYLSSLSCRSMDPNAAVVHPYPRGRGHRHRRASSSSRPATHSLSCRSMDPAAAVCQYQYRPREVEFSCKSTPLHKRRRREQRLLRRLQEDRAAAQERDLSSETEYYYGSAVAVTRLFELMDVEEASKATDLADYDGDELDLEATVAWPALTAGPAPRQVRITDSPYTLWDDDDEEGWGGVDRRADEFIMRFHEQLRAQQPQPQRSTRWVR >Dexi1A01G0031460.1:cds pep primary_assembly:Fonio_CM05836:1A:36439152:36442865:-1 gene:Dexi1A01G0031460 transcript:Dexi1A01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAVAIHQFAECITCHAWSPDQSMIAFCPNNNEVHIYKLFTDKWEKLHVLAKHDQIVSGIDWSRSSNKIVTVSHDRNSWISKVIRKRHESSVTSLAWHPNNQIAQLDLSSTWAFGVRWSASGKTLAYAGHNSMIYFVDEVELAPAAQNLALRDLPLRDVLFVSESVAIGVGFDCNPMIFAADDTGLWSFVRFLDERKATPSTSKASQV >Dexi9B01G0034240.1:cds pep primary_assembly:Fonio_CM05836:9B:36245282:36250325:1 gene:Dexi9B01G0034240 transcript:Dexi9B01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSLALVLLLSLSSSCSNQEERSLLQFLAGLSQNGGLAASWRNGTDCCRWEGITCNANREVTEVSLASIGLEGHVSPSIGSLKGMLRLNLSGNSLSGGLPLEVMFSNTMVSLDVSFNRLSGDLLELPSSTPGRPLQVLNISSNFFTGSFPSATWEKTRNLVALNASNNSSTGRMPSSFCISSPPLAVLDLSYNRFSGPIPPDLGNCFALRVLKAGYNKITGTLPDELFDATSLEYLSLSNNGLGGTLYAAHIYKLSNLVFLDLAMTGLGGKIPDSIGQLKKLEELHLEHNNMTRELPTSLSKCTSLTTISLRRNNFQGELTKINFSTLSNLKILDLYGNNFTVVKENRNLKSLTFLSLTHNNFTNITNTLHILKSSRNLQALLIGVNFKHEVMAQDETMDGFENLQVLGEIPAALMELPMMQSEKTQAYLDINYFEVPIYLSSAYQYRSINALPQELASLIKDNLHSKHLILSTEESLIAVLQQLRCGNDDDDGDREEDDDEADTIELQPAGAYHRLLLHRLAEIYGFAHESVGEGEDRHLVLQRCPETAIPPVLVSDMLWKFDNSDDFASVVLTRNDTESSNPPI >Dexi5A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:5A:13415940:13419900:1 gene:Dexi5A01G0015670 transcript:Dexi5A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPPLHTQAAALWRPRRRWHSSLERTSCQLKHGGRLLKASYRGLQPLYDDGYQTVKNLDDYYESLEELVKHDSGPPRWFCPVDAGSPIEDAPLMLYLPGVDGMGTGLFMHHKALGRIFELRCMHVPFHDRTPFEDLVTMVEDVVRKEHATSPNRPIYLLGNSFGGCLALAVAARNPHIDLILVLVNPATSYEKSGIQQLLSFFSLFSDQACMAITALLNYNIENEAYMAVSSIINGKHPLAALNRLTNNMTSFLKHSNILDKIPEDTLKWKMKLIKRAASYANYRLQSVQAEVLLLASCGDKLLPSKAEADRLQKLLPKCKIYFFDKHGHSLLLEYGVHVASIIKCTDLYRHSRRFHPVFDFIPPSATELKEVDKATRDLRFRTCPAMYSTLEDGTVVRGLAGVPQDGPVLLVGNHMLLGIELISLAAEFLRLKGVVVRGIAHPLLFPNKNRAWSEGHDFFDFLKLWGGVPRMYKYIYKLLAAGEFVLLYPGGHREALHCKGEEHRLFWPSKAEFVRLAAQFKATIVPFGVVGEDDLLEVLCTFEDIRNAPFGKEMMKAYSDHLKLRDVDHEVFFPGLYLKMPGRFYYQFGKPIPTKGRQDVLTDKQAAHDLYMHVKSEVERIISYLLEKRMEDKYRSLIPRMLYQAARGPTCEVPAFDP >Dexi1A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:1A:26006092:26006596:1 gene:Dexi1A01G0018820 transcript:Dexi1A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLLLALLAAATVLATATGTQTQAHDDSKEKKATKDDAGVNDWHGGGGHHGGSGGGGYHCKYRCCYYGRHHGCERCCATPDEVPEQQLNN >Dexi9B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:9B:13513086:13514215:-1 gene:Dexi9B01G0018960 transcript:Dexi9B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAIRTQATAVLPTLRKGTVIITGASSGLGLATAKALAETGKWHVIMGCRDFLKASRAAKALHIVHVDLASLNSVRQFVKNVRQLDMPIDIVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGDFLLARNTNTLAGNVSPKANMGDLRGLAGGLNGAGGSVMIDGREFDGAKAYKDNKLCNMLTRQEFHRRYHGETGVTFTSLYPGCIDTTGLFREHISMFWLLFVPFQKYITKGYVSEEEAGKRLAQVVSDLSLTKSRVYWSWNKNSALFENQLSEEVSDAEKAKKLWEISEKLVGLTA >Dexi2A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:2A:30339756:30340423:1 gene:Dexi2A01G0018290 transcript:Dexi2A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPSQRSIFHLGEEGGCYDSDHRAAGDEHVKSATADTPRRQDHGRRQRPKRDAAVDDADAAGVGLQILVQHRHRSPEPPHSRSIVLKQVVVLPTAARHLHGRPCGSFLRACSLCRRELSPDKDVYMYRGDQGFCSEECRWQQMLNDEAREHEAMVKKERARRGLPPHRHHAARPAICGAPGRQVAVAY >Dexi2B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:2B:12744736:12749197:1 gene:Dexi2B01G0011170 transcript:Dexi2B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAITVFFLLVVAFYAFFAPFLGTQVLEYVAIGVYTPVALAVFILYIRCTSINPADPGIMSKFEDGFINVPADSAGVEGTNLPHKANNATRTNSPTSTFRSSLDGHSNRRGSSLEETNINLGSQVPKKRSSCWLLGGLLCAIFVKEDCRKHDDSEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMAISLIWLAIEFGSGIAVIVLCFVDKNSSRNIHDKLGNGLSRAPFAVIVSIFTLLSLVACIPLGELFFFHIILIRKGITTYDYVVAMRAMSEAAPEDEEGANVIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDHDEVIPHLDPGMVPSTVDPDAAGYAERANKAKKAVKISARSLAKLDKNEVKKAAAKARASSSVLRPVDTRRGHEADVISSGNASIRSSMSVDYSGTKESNSEMRLSPLQNSYPQSLASQDDYESGTPTASSLSSPVHIHKLASHSQFNAGPHVPPERPAPGMMRPPVPTTQITNPGIPPRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRMGPGAEQAARNPRFLANPSGEPSSHGRSLAPGNTSSSAMPLGQPSERLTYSGQSIFFGGPILGTSGTNTQRNEAASRARPEGSRDPNAQQRDIRGEKARTGSLPVFAPGTFQRNPPFDK >Dexi5A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:5A:9588967:9590630:-1 gene:Dexi5A01G0012760 transcript:Dexi5A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSDVLQRSNYDSEPMLMACGISIAKSFTEVDGRLKAGNGEDIFTRNGRWNFNNKKVEQPLDAFEENPSMRRAPPVRRVEDMFQQVETKLCELPKFLLCVLAERKNSDVYGPWKKKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGLNSLLQVETSPAMPLVSKVPTIILGMDVSHGSPGQSDVLSIAAGVPD >Dexi1A01G0028720.1:cds pep primary_assembly:Fonio_CM05836:1A:34325114:34330235:-1 gene:Dexi1A01G0028720 transcript:Dexi1A01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSGAGGSSPAHAPPGGGGAGGSGGGGPYHHRSRFGDTTLTKVFVGGLAWETPSDGLRQHFEVYGEILEAVVITDRETGRSKGYGFVIFRDPESAAQAVQNPNPVIAGRRANCNIAAFGPPRPAQGGRGRGGGGARGPPVQDQPPLGAPYRVPSQMTPPHGAPVFYHPQFGFWYPPDYQYQQASNPITISSPPLQTHFHCFYSHFKHASFSLALYNPQVLQHYYPPMYGPTSPSGPPYLMGRYMPGGPSPRTGFSLMQQPARPPFFQPQMDGSFPPGPSLPPNFRLQLPPHAVSRESDDPSGSQSTQPTTSAAPTSTNQEASGPLTSNSDPNTSN >Dexi2B01G0025360.1:cds pep primary_assembly:Fonio_CM05836:2B:34623906:34628973:1 gene:Dexi2B01G0025360 transcript:Dexi2B01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLAAEPCAGGVAERRCGSCGAVAYCSRAHQHQTGGLPVAAMECAAKGLAAEPCAGGVAERRCGSCGAVAYCSRAHQIIHWRVHKDECDRFAEQMRRMDMLSQFPFTFLEPPALVPLFTPLLQLRFFIWHCYFSSVENCEFPSTRCFFLQKIKLHQKGLWKSECICGSDVASVNDLSIAAEWNLQSSLCPCTEPESPVPAVLASWKDYYQWRSLPLHSPVAVLLHWPLTLYHCLQLARFQTSRYNGQDTLCIHYLGNSISSFVLEDSNPHIIVAPNAGVAAYPSWMPTIFYG >DexiUA01G0007480.1:cds pep primary_assembly:Fonio_CM05836:UA:14119396:14119764:-1 gene:DexiUA01G0007480 transcript:DexiUA01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIITDGFYHLIPADGEGIPENGANKVTVDPEANIQLEQEGKPRSIT >Dexi3B01G0027140.1:cds pep primary_assembly:Fonio_CM05836:3B:22663789:22665645:1 gene:Dexi3B01G0027140 transcript:Dexi3B01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDDRLSALGDSLLRRILHFVPFKEAAATSVLSRRWGSLWRSSGAVNLDVRIRNDKGEHPSYEQRQEAFFSRRDAFVRAAMAALDAADAPVTRLTLRVVDEDPHADPAERLPYRFLHCTSDRFAAAGRDVIRDVVAHRAARGVEELRVAVGAEDLFRAFDHHEIYRLSLAVPLIHLRVLDLTRCTGLTPPPPPAMDGDAFFPRLETLRLRLCSLNTSDVQALMDAAPRLATLHLETVLFSMRGWTTGEARRVRLRCLAVTELVMELCGVDDRRSIQINAPRLRYFRYKGPERTAFSLTSLAPDMVEVDAHFVLERYPCPQGLNPDGKRDAFWQFVRNFSSARVLKLKVNNLEDIAVGKARRAELLCTFRNAVRLELEGVHHATTSKAAAVAIANLLRCCPALFDLRLRLSTVPSNSFKDHEYGKAFLEREKRMDYDKSVSRFMDRRRLKPAVISSLDDNDKLDEVVTGHIPGLSGHSLTCLQTSLRKVGLQFRMDNSSCFGIKLVKFFTDNAKVLQEICVDSGNRKLCEHMVITVERRVAPNSSKVIL >Dexi2A01G0029110.1:cds pep primary_assembly:Fonio_CM05836:2A:40234108:40234623:-1 gene:Dexi2A01G0029110 transcript:Dexi2A01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYQMRGNYSVKSDAFSFGVMALEIVTGRKNSSSSDGYRTQHSEEDLLTTVWEHWEAGTVAELVDPSMDSNFPADPAARPVMSSVVMMLGSDTVTLQAPSKPGFFARKNSADDTAASKVSLTG >Dexi9A01G0026440.1:cds pep primary_assembly:Fonio_CM05836:9A:29409583:29410434:-1 gene:Dexi9A01G0026440 transcript:Dexi9A01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAACTRMGGSLSAVAKEEHTPACTSFSTLSASHPSSPSARAAASWPSSVPSLSSLSSGGTASSSIMSLAKCWLSRASEARLAAESARAAGEPVCRRATWSQMKKRMGSFCAMLGRPSSESSSPSPAAPGEEMRSSHATSSSAVSSAATARGKPAAGLSVKRLRSRGWPRAHRPARPEALDSAVVAPAIIRAAGRSSGARAACRSSAASLSARALSSVHSARKLRAAAHCLATSSASWIGRASIAFTPSPISVPRSKSGFLWPGNGASARDTGDWGPN >Dexi2B01G0025800.1:cds pep primary_assembly:Fonio_CM05836:2B:34993914:34994677:-1 gene:Dexi2B01G0025800 transcript:Dexi2B01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMNVEKSFAQQHYADLSDKPFFTGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEAAPGTIRGDFAVEVGRNVIHGSDSVDNGKKEIALWFPEGLAEWRSNLHPWIYEA >Dexi6A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:6A:26815396:26817869:-1 gene:Dexi6A01G0019170 transcript:Dexi6A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGHAAAAGLLVVLALSVCVSVSAAAADQVADGAAGNATGAGAARLDRRTKMFLHTAGARGAATGEQDQGLGLLDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRVFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >Dexi4B01G0022920.1:cds pep primary_assembly:Fonio_CM05836:4B:24439169:24444876:1 gene:Dexi4B01G0022920 transcript:Dexi4B01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFHQEPAPRALTDAYTARRGRYGPGDYDSGELAIPPPKPPPSHKVSDMDLKNECNREELTVFSKEVIRFGDLCKDPIWHNLGRYFDKYSPEKYALLATDNTPQDHSKESMEATVQYLVNLAQNTSIVEKLVDIVIFLHKQIRDSFKEAETGFFNSEHTQNQKLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPIAVKSALRSRLQSSDTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMGKKSGSQYGITRIQTLHHADKDTTEQFILELVVLLHHLVLQVKNRGYGNKSTKHERSKSKVAIDLHAPETRHNTSPVNASVVASSPLSECERAALDHVSFRRASYGRSQSCEPPPGRGRNKTHRSWDACRSQGSSPARERGRSPAGAAMDRDTARDLDVIDGLDRLTSYSHPSSPTFC >Dexi2B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:2B:23088711:23089196:-1 gene:Dexi2B01G0013930 transcript:Dexi2B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDILQLQMGMLTDCRLRWRRAAYTTMAEKLLLVGEAGGVRGGGRRLMMEPWRCWWCRGGHPVVEVLVVSSWAAAGWRTRRRRCGGERRHRGNGGSVDLELGGNGRRRNAGSTRSDLAEQRSLEAGPESPEKRWVAAEKIRATVAAGGDLFVRDVRTLFS >Dexi7B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:7B:2721593:2722716:-1 gene:Dexi7B01G0001640 transcript:Dexi7B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLLQISATGNAARNRVAPPAVLLILQLLFTALGADAAASFIFTNACQHPVWVGTLHGATSPPLARSGFYLAPSATSRLDAPSSGAWSGTFWARTGCAVDSSTGRFSCATADCGTGDVACDGRGPSPPVTLVEVTLAGGGQDFYDVSLVDGFNVPVRVAPSGGSGGDCRAAACAGDVNAMCPADLRVVSGAGGAVVACRSACNAYGSARYCCTGQYGTPATCGPTNYSQVFKSACPAAYSYAYDDASSTFTCVGASSYDITFCPGS >DexiUA01G0022090.1:cds pep primary_assembly:Fonio_CM05836:UA:45272433:45273357:-1 gene:DexiUA01G0022090 transcript:DexiUA01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVMFPVLLLAAAAAASEAEAAATLSSRMVHRLSDEARLEAGPRAGEWWPRRGSGEYYRLLARSDLQRQKRRLGGKYELLSLSKGGSIFSPGNDLGWLYYTWVDVGTPKTSFLVALDTGNIIL >Dexi9B01G0023820.1:cds pep primary_assembly:Fonio_CM05836:9B:19271779:19272246:1 gene:Dexi9B01G0023820 transcript:Dexi9B01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPASGATGGGAWPATSRFAAACGALSQYVRAAAEAERGAQHARPRPLPLMPGADVDAAGEDPAQMTIVYGGRVVVLDDVPADKAASLLRLAAAAATEAVSGQGSAAADLPVARKASLQRFMEKRKVRAAARGAPYRRTDETCPEDNLKLAL >Dexi3B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:3B:9458843:9461485:-1 gene:Dexi3B01G0013270 transcript:Dexi3B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILLHGSIHVTIYEAEEISNSSRPSSQAPGFLRKLVDPWIQYNSSIQPDDAGMQLVEGIEDTVGVGKGNSKVYATIGLGKARVGRTRTLTDETSSPRWYESFHVYCAHLAADVVFTIRAKNTLAGASTLGVAYLPVRDVFAGDELDRWLPLCCDDGNARTPLESGARVHVKLQYFDISKDHTWGRGIRSAKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFVPRIALDGGRFYEPHRCWEDIFDAINGAKHLIYITGWSVYTEITLIRDGGRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGSLKKDGLMATHDEETMNYFQGTDVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPVAAPASRRQHRRRIVSFVGGLDLCDGRYDTPVHSLFGTLDGAHHDDFHQPNFATAAIAKGGPREPWHDIHSRLEGPVAWDVLYNFEQRWRKQGGKDLLVNLRGDLADDIIPPSPAMFPDDGETWNVQLFRSIDGGAAFGFPDTPDDATRAGLVSGKDQIIDRSIQDAYIHAIRRARSFIYIENQYFLGSSYCWKADGIKPEDVGALHLIPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDANPRDYLTFFCLGNREAKKAGEYVPTEQAEPDTDYIKAQHSRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAANRPARGQVHGFRMSLWYEHLGAVDEAFTRPDSVECVRKVNAMADRYWDLYAGDELARDLPGHLLTYPVGVAEDGAVTQLPGVEFFPDTQARVLGAKSDYLPPILTT >Dexi4B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:4B:8166295:8171859:1 gene:Dexi4B01G0010790 transcript:Dexi4B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVAGAGDTARLTREVARVMDECRASVAVHQRKLRELAALRASSSGGGGRFLTAFCAAVTPLFDFARRTAGSERAARFVAGFASASASPADGGGDGFLEGFLRFLITASEAAHRPARLRACQIIFEIIIRLPDDTDVSDEIWDEVIDGMKVRVQDKIPAIRSFAVHALARFASDGDDGGIIDLFLETLDNEQNAEVRRTIVLSLPPSNATLESVIESTLDVSESVRRAAYSVLSTKFPLQSLSIKQRTTLLHRGLSDRSASVNNECLKMLKDEWLVKYCGGDIIALLRFLDVETYEAVGESVMAVLLKDGALRVQDGQSIRQYCTANGENEEQGSNIQLMDAEVALYWKIMCKHLQTEAQAKGSEAATTTGAEAAVYASEASVKNDLLDNILPSTITDYVNLVKAHLSAGPNYQFASRQLLLLGEMLEFSDTVNRKIASSFLHELLVRPLEHEVDDDGNQIAIGDGVSVGGDKEWAKAVAELAKKVHSSVGEFEMVVSTVVEELARPCRERTADFMQWMHCLAVTGLLLENTSTLRNLQVTAMEPSELLHSLLLPAAKQNHVDVQRAALRCLCLLGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDRAIGIELPDPSHEKSQFTRVDLSDMNSDDLNIGVLDILFAGFHKDDWEFSLEGDNHDNVPTILGEGFAKILLLRENFPSIPSDLHTVILSQLTRLYFSEEIKELERLVAHLSSVVSRHLLYAYSHSSIYSAFIPVMKAMWPGIYGNAGGSPHVISKRRKLAVQASRFMVQMVQTPLFSAESTDQASKSPESTSGSADVSNNFDIGEEGLAIRIAVEVATCPDKKTPAWKAYALALCKVVVLLRFRQSEQKAIKCMRGLVNSLAASVASDKELGKELTQMAARLRSLDASPDDDLPQDEVEAIFKKLGLDSGIKLSTNQAATPTPAARSVRPPAPSRRRARRAPSSSYESDADGEVNLPAASVSRVLATPSMTAARCQRASKTVALSKMSAKPAAASSDESDDQSDVTDDEDSSDEESS >Dexi7B01G0023910.1:cds pep primary_assembly:Fonio_CM05836:7B:28375017:28376309:-1 gene:Dexi7B01G0023910 transcript:Dexi7B01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLQTHDVSDLCIGKPALRWLPASATVADAVAELDRGATAVAVWDGDEGSPVAGRVSMADVLLFLCAGDNLASPAAALQATLSDLLLAAAAPPPVRRIEPDASVVEAVDSLLGGAHCLVVPVRDRWRAGRGELCWLTVEDVARFFLSSIGLFSPTASRSVSELGVVRPATLAVAAGDTALSALPLLRAAVASHTSVAVVVQGMSPPRRSLVGEISPSALCSCDVSAAAAIAALSAGDFLGFVDQPEIRIHAVRSRLRRRNLLGMLDLLLYGGVDPSSSSSSASSSSSSSSDEDEDDEEGKSCVAASPCGRRGKRGGVFSARGAMGEEAIACQRGSSLVAVMVQALAHRSTHVWVVDYEEEEEGELVGVVGLLDVLRVLRHHLL >Dexi2A01G0029100.1:cds pep primary_assembly:Fonio_CM05836:2A:40233340:40233553:-1 gene:Dexi2A01G0029100 transcript:Dexi2A01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFTKICGCDATSSWCVANAGVGGARLQAALDYACGHGADRSGIQPGAACFQPDTKAAHASHAVQ >Dexi3B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:3B:5195121:5195567:-1 gene:Dexi3B01G0007320 transcript:Dexi3B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCWSSVLAILGGRAPDDEQRLGEESKAAALHQRLLDGWAAAAAEKEEAKKLAAEVKKLTAEVDALERAVEEAGAARETAEAKRREAEARAEAAEEQLRAAAERHEAQVEELRRALDAQEDRDARIGELEEKIRELNNASSKWRFF >Dexi3B01G0034400.1:cds pep primary_assembly:Fonio_CM05836:3B:37164543:37167231:1 gene:Dexi3B01G0034400 transcript:Dexi3B01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLALVALLLLGFAAAAFAADAPFVVAHKKVSLSRPKPGVERVAVSLDLYNQGSATAYDVTVNDDSWPTEAFELVTGEKSKTLERLDPGATVSHTFVLETKTQGRFQGSPAVITYRVPTKTALQEAYSTPIFELDILADRPPEKKFEWRLVAKYGALVSVVSFVVLFIYLVATPSKSSSKASKKRR >Dexi7A01G0022550.1:cds pep primary_assembly:Fonio_CM05836:7A:30766863:30767800:-1 gene:Dexi7A01G0022550 transcript:Dexi7A01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNSGLSARSTPTPATARHSNSLTRVATKHSRCSMARPRPGQILLPAPNGIILISRLPVRSTPAPSPPSMNLSGLNSDGRSQTTSSIETSGTEKFTGVAAGMLYPSRDASSAAACDSRNPSSTTAFRYGMLFRSSSATMMPSSSSSVAEATALISARSFACTSGWITRFAMIHWSAVTVVSTLAVKNSVQRLTISPSLVCEERVDVAVRQALAVVSSIVVRLRHAAVAISSCSDQWKSSSCRRRIESTFLHRRRNTSLVTAGKKANTWKLMYDAKSCLCTASISPTRRPCSH >Dexi8B01G0008950.1:cds pep primary_assembly:Fonio_CM05836:8B:14993857:14995064:1 gene:Dexi8B01G0008950 transcript:Dexi8B01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGSGGSGGGGGGGRDMKSSPAPTKSGGGSAANFLAGIPSRGNFSSGSVSSSLVRTLPLKIPKRFYLRAFSGVSIRTARSILKLLFWLSSAQGGLRVYVCEHSTDPPGLF >Dexi4A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:4A:2874317:2874799:-1 gene:Dexi4A01G0004030 transcript:Dexi4A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNPVKIPTKAMTLWRPRDPVASSAGSSAEPAAEASPGRRSQATETESGREESGQRLPEAYGERERVRAAARKPARPKRRQAAEKAGVDSSRRSAPARRDEEVAEDAKNTLGELGMAGGGLPCGCGAGTDAETAAWVGSELGNGGAAGPPAG >Dexi2B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:2B:27187491:27190864:1 gene:Dexi2B01G0016860 transcript:Dexi2B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTAAATTTTTTTTTANATSAAAAGDVGVRVKPKRTRKSVPRESPSQRSSIYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQGAYDDEEAAARAYDLAALKYWGPDTILNFPASAYEEELKEMEGQSREEYIGSLRRHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGGAAAAQDPHPMLGALAAQHHHQLPSAADAMDAAAFQHQFQLSSPRTSLGHTPTTSALSLLLQSPKFKEMIERTSAAESATTTTTSSSSSPPTTPSPSPPPMQQQQQLQVTKDGGAASPQSGFPEDIQTFFGCEDVAGVDVDALFFGDLAAYASPAFHFELDL >Dexi5A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:5A:13003132:13003461:-1 gene:Dexi5A01G0015440 transcript:Dexi5A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMARASMAGSMDAAAVDEVLRRLVEGGRGGRQVQLSEAEIRQLCVEAKQVLLSQPNLLRIHAPVKICVRLDSCRAYR >Dexi3A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:3A:4424350:4424592:1 gene:Dexi3A01G0006690 transcript:Dexi3A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRPAAADQGARGGAGRQHHQQQQQQQQKHQAQELVLRRLLPCNKGKACRFKRSCFSEEEDAASSAMLLLACVVCAPSI >Dexi9B01G0041720.1:cds pep primary_assembly:Fonio_CM05836:9B:42156469:42157110:-1 gene:Dexi9B01G0041720 transcript:Dexi9B01G0041720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQPTHRSPPRFPAACCLLTLALLFASAAAKSSRRAITDNEIRAKKEACYTDVEKSSPTEKENCVLRCLSPECYDLIYGGDPLEEGELDYVRSQEYKYCMHK >Dexi8B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:8B:2540367:2543187:-1 gene:Dexi8B01G0003400 transcript:Dexi8B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIHAQQPIVQDVRSIPLAPTSPPATPTRRRRPAGREANGRDLVGWGKHGKPPPEAPSSTAMRRRPDPLLVRSLATATASPAAPPPPPPPLPASKPPRHAAPFLAVLLRRGAEAAARVLNLRLREAPASEALSLLSALPTVRDTVSYNTVMRGLCAARRAGEAVGLLREMQACGVRPNVITYGTLIHGLCVAAEVDAAVELLHEMCESGTEPTVVVYTSLLRGLCKEGNTEKAAKVKDMMLKRGFKPNAVTYNFLINALCNEGLMREAMTLKKEMLENGVVPDVVTYNILIKGYSGVLEMDEAMRLLEEMIQGDIVPEPDLITFTSVIHGLCKIGQMFKAAKVLEMMAERGCICDLVTYNCLIGGFLRVGKVNMAMKLMDELASSGLEPDSFTYSILINGFTMCQQGMMERATVLFNEMDKDCGLDVVAYNTMIHGAYNSGDTKMAKQMLKDMLDEGLTPDAVTYSILIDMLAKSGDLEKAKMVFKQMKASHIVPDAGVFVSLIKGYSTEGQINKDGIAWGTDSQKEDQPGSLMLLLLIAEHKPSWDEEDLVVIALQNLVVSEPNVNLNGEEDVIYVVFMRCHCQQRSSWIARAGFL >Dexi1A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:1A:26445327:26446645:-1 gene:Dexi1A01G0019280 transcript:Dexi1A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGGVVSASLLLVLLLGACLPTPAAAGVLLSKLPKALAVTASAKSGQVLHAGVDKLTVTWFLNTSEPAGTDAGYKNVKVNLCYAPASQKDRGWRKSNDDLSKDKACQFKLTQQAYAASGSSFEYTVARDIPTGSYYVRAYALGASGTQVAYGQTGADAAFDVAGITGIHGSIKVAAGVFSAFSVAALAFFFVIENRKKNK >Dexi6B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:6B:22599726:22607119:-1 gene:Dexi6B01G0015250 transcript:Dexi6B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARSPISLHHRTIAGGQRRRCVGGSERKRDRPLGRHFTQALESLWRQSPRIPPPPRPAAAAATRGEANPSWQPPVLENAAFEEYYKVQQIVRREEWDAFMSVLRKPLPATFRINASCQFYKDICSKLENDFRRSLESEVSNEYGEDAIRPLPWYPGNLAWHLNFSRKELRKNQALEGFHEFLKHESEVGNITRQEAVSMVGILYQFVPPLFLNVQPDHCILDMCAAPGSKTFQLLEMIHQSKEQGLLPRALVIANDLNVQRCDLLIHNTKRMCTANLIVTNHEAEKFPDCSFEKDYSEAYMDSYMPQRLEFDRILCDVPCSGDGTIRKGHDMWRKWNSGMGNQLHLLQVNIAMHGIELLKVGGRMVYSTCSMNPVENEAVVAEVPSLHCSLLPLLRRSGNSVELLDVSGELPELVRRPGLSSWKVQDRGSWLQTHDDVPKDRKNVVLPSMFPSNSTEQRRTVCGDVEVNIDNGISFSRNHNIEETSKVNHDMDGVSVNSNKNSDHGSDIVNSKFPLHRCMRIVPHDQNSGAFFIAVLHKSSPLYGYQRHGTKIQHMLAKGRIVHLQKEPEQETRPYETILTRQQNNDSEEVDDAELLSRRQNLSTDNQTTKGKNSIEPEMVFDDVESGQAEVGERRTKLQKQSRWKGIDPVLFFKDEVVIKSIISFFGINESFPLQGHLVTRSTDNARRIYYISKSVKEILELNAEVGEQLKIASLGVKMFVSINYKERHRSKDGCSCAYRLSYEGLSLLLPYINKRILYASPLDFHRLLQYRTINFARFSDARFGEEAASLMPGCCVVVLLEGHKHVHSIKDSSTIAIVCWRGKGTMNVMVSPPDRKDLLERMAYRFGLKACTDDDKIN >Dexi3B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:3B:6945935:6948466:1 gene:Dexi3B01G0010050 transcript:Dexi3B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPSLLLLVLIAAPAASASTLAVYGGASPVVCGVVKGNLTLACAPVSPSSSSESNASSSLVAPFLTFAEVSAGSGFVCGLEAGGAALFCWPATAAPRWGQVKRIYNGPTTLSGLAVGADQVAAYDTGADPERVRWWRGAGRFPTQAEGAFRSLVSGDGFSCAVETNASAAVRCWGPTGSAVQAAFANASAIPYLAAGGSQACGVLASGAALCSGAADGVSASSANASAALPGNLFPYGLAVGDSHACGLLRPNHTAVCWSLGGPSTTLYYPALGIKFQFLVAGGNLTCGVVSIDFSVMCWSMGSDATTVPLPYILPGVCVSDASSCGGCGFMEGSQQYCTSSGGGICDTLLCSNVSSAPPPPQTSPPVSPPPPPPPASSTKRVSKAWIAFGVVGAVGVFAGLCSIVYCLVFGFCSNKRVHNSVQPNITTAGAAAGDTNTTTNNNNGGGVGGSPYGSPNGSRARGLFRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLEAATKGFALETKIGEGSFGTVYRGRLPDGREVAIKRGGESSGPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEEDDERLLVYEYMKNGALYDHLHPKPGTVAPSSPVASSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILIDAGWTARVSDFGLSLMGPSPESEETTQSQSQRHLTVKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGRRAIFKEAEGGSPVSVVDYAVPSIVAGELAKVLDPAAPVPAPHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETALALCEGSAGGGDRGGGGFGNSSSSASLSVTSMDRSGALA >Dexi8A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:8A:11089574:11092356:1 gene:Dexi8A01G0008900 transcript:Dexi8A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPRKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEARKFGCTEFVNPKDHNKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMEN >Dexi6A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:6A:22851032:22851820:1 gene:Dexi6A01G0015400 transcript:Dexi6A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRTRLVSLPREIHERIISFLPIRDAVRTSAVYRAWRHRWKSAPGLAHDWDCDEDPSHVDTVLAHYSCRVSSFFFDLPEPSFQRADDWIPLLAAKEVEKLTLHFSQDNDDDDDRPHYMDVSIFSCQKLTSLNLIGCDIPAAPVDLAGFPNLTKLFLEGVGFPDNRAPNLQDLTIVSEYDDGWHIVDQLPHIKRVDIHSDIYTNNRDFVKLLTRVAGVRELRLKMPVS >Dexi2A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:2A:13668813:13669933:-1 gene:Dexi2A01G0011760 transcript:Dexi2A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMILLALHPTGLNLNIPDLPLPRILPCPPWFPKIPLIPCYNVTPPPPPPPQPAEPRECRSSLRSLTPCAGFLTNASVPAPPNACCDGFDPFFADQSSDAALLCLCHIASADIAELLPAPANHTRVASVMEECGLGLPIDALSRRRSATN >Dexi7B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:7B:21264594:21268986:-1 gene:Dexi7B01G0015230 transcript:Dexi7B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCLGACGGGGLALPVALRRASSYCGAAPPRASVSCSAGGGKASPRGKENVWSVDNDRAAKEASRGPKHRRRRRPGGRRLPPPPRRQGNDAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLCGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIEKCFDSHNGSEIQRKTNWVPINPFGEAEKNTSYDGGNYDASSGNGTADLPSYESFDLNRSSVLDEETFAMEPGIVFFEDGSYSRGPVDIAIGEYDESKYFLSPTYKFEQCIVKGCHKRLRIVHTIEFNEGGANIQIVRVAVYEEKWSSPATIHVEDFLTNCIYFLCSDTLVDIKPFSQRSRTKPSELTGSWKVYEVSATPIFSDEMQELEGSSPFVYLCMETVKKRTLPESSVLFGEEEMLDMQDVTVLWLPGGVTAYVDINEEGVLCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRRWYQSDP >Dexi4A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:4A:22736114:22736543:-1 gene:Dexi4A01G0018810 transcript:Dexi4A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVARWWERGAAGGGEGGSRELKFRYRKAAAGSSPHAPPSSPSASYRNSPAPAPPRRLHLTLSAPPPPPLVPAPKQQHTVVRSLCRSFDGDDGEASAVASIDLATRALMDQ >Dexi8B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:8B:8269482:8274686:1 gene:Dexi8B01G0007080 transcript:Dexi8B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIMPVVLSLLLLVLCRPYAVTASAGQAPCCYKRLFSFGDSFTDTDNLARADPNMPPLVLPYGETFHRPAGRFCGSLIAAADRQHSKQRAGRSSVQAEKCSVASPQVRVQQQLFSPRAQQDIRQGANFAVAGGTALSQQFFKDMGLDLTFIRPFSLDVQLECFKLVLHMLGQTEQERKEIMSSSLFLMGEIGANDYSHSFFQNRSFSAEIKPLVPKVIERIENAIKTIVPQLLHAAYPFLLPLLSAPLRHWATYIPPVPHTLPVTAPLGPRAPPAAALPGISWSRDTEGPKDASCGGGSSKDSSRWVAVGGIAGEGRTGVGDFMASIAATLV >Dexi1B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:1B:24656642:24660795:-1 gene:Dexi1B01G0018460 transcript:Dexi1B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRNRLVVPSPRSVSRAYDKHRSKMSSKFRALNVFLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVMKVIFAIVMLIIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRRFSVIQVRVTLKLSCFYFHVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPADLLELEDAQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT >Dexi6A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:6A:22809521:22810516:-1 gene:Dexi6A01G0015370 transcript:Dexi6A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDASRPGLKSWVVCLPAAALGLSAIYQGYVRRMKRIGERFSAFIDHVVDEHAERRRREGDSFVARDMVDVLMQIADDPTSEVQIGRVGVKAFTQDLIVGGTDSTSATVEWAISELLKKPSIFATITDELDRVVGRGRWVTEKDLTCLPYLKAIVKETMRMHPIVPLLVPRVARQDAAVARYDIPKGTVVLINVWTIGRDPTLWDKPEEFTPERFVGSKVDVKGHDFELLPFGSGRRMCPGYNLGLKEVQLSLANLLHGFTWSLPEGMAKEDLSMDEVFGLSTTRKYPLEVVVQPRLPSELYAYA >Dexi7B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:7B:17964040:17967530:1 gene:Dexi7B01G0010720 transcript:Dexi7B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWYDGLAAPPRVLISPPKLPLAPRLDFDCLCQFAPIRPTIATILYLAGPSDAGAQGKVLSLRHPRSGDETGYLFIDCQLQEINWFKERYGAWFLGDYVCEDGGLYYCTPVDPIFIFLPAFEAARMSNGKDPGKFRQLDEILYVEGYPGYQLLMSIAGHHMELVCEVKEVANMKFFRLDDSKVLSWLCCKVQNLKEVFPKLGKNYAAQAEREQLKEAVQMVREYLKDEPWLTLLCKKLKLDMNEIINDTTTKTSEASFYADSSPVPACAPESKAGNGSAKSSKVRPAKKPKTEVGSKNIKDMFRRVTRSGSGS >Dexi1B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:1B:4748844:4749283:1 gene:Dexi1B01G0005840 transcript:Dexi1B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPVIGFPSAHRAFNRLLPSFSLYLSPFSPSPNQVSLAQKNKKTREEEDQLVLKVVGDLFSLSVPVYSPTRFGFRGAGGLGTQPPALEDSGGGRPARRWWWVRGGEAGGDGAAGRVVVEGG >Dexi1A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:1A:125880:126086:-1 gene:Dexi1A01G0000220 transcript:Dexi1A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETESRAVVFSTIHRLVALGDVAMGQERKTAVFVEAGIREVEQLQRRPAAIVGLVHQVVNVSPAVE >Dexi9A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:9A:5741689:5743877:1 gene:Dexi9A01G0009440 transcript:Dexi9A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPCARFCTTGLPSDVVFEVHDMTFHLHKVCSSASFPLMAKSRKIHRMLTEQEEQRPARGRRRRERSSSTEAGGEDGAAETEIEEADEEDEEAEQQQQQQVKREGDGQVYSIVFPDFPGGPGTFEAAAKFCYGVRVDLTAWNVAPLRCAAEYLEMTEEHSEDNLAARAEAYLEDTVLQHPGEATKALKSCEELLPLAEELGIVSRCVEAIAARSSSATAPAPRPWFDDLAVLGLGMYKRVMAAMAARDDARAAEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVAQRELLEAVVASLPAGKCSGCVVTAKFLLALLRTSHILRASDASRAALERKAATQLEQATLEDLLIPSYSGAAETLYDVDCVERIVRFFLAEEEEPGGEAAASSIEEEEEAGAEVSRPSAVAMVQVGKLVDSYLAEVASDANLKPGKFCELALLLPDHARIYDDGVYRAVDIYLKAHPRLTAEERDRVVGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLAPGPPRVMMRQQQQQQQQRAGPGETTAMALAQESQVLRLDMDSVASRVQELERECSSMRRAIKKIDGRGGSRSPGGRGTDGGADAPGPAAGGWRARHGCKFSTQVCDSHARNVVASRASRMGMSP >Dexi1B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:1B:24781817:24787156:-1 gene:Dexi1B01G0018630 transcript:Dexi1B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDKPWKANPDMGASNSRETPKTAGGENVRFKYASASIQGFGAEMEDAYAVLPDLDQTTSFFGLYDGHGGAEVALLCAKLFHVELQVHPNYHENLDIAIRRMFSRMDELLLQSEEWRTLVKPTGDGDFVFKQNNNLPPEEQMVICDPDILSMEITNDIEFLVIASRGIWASLSRQAVVDFVHDELQFGETDLRLICERLVAHAQPTVFDTTVILIQFKHAAADEAVENQEVINPAVGDDDNPAAGDDNEPAAGDNDKPAAGDDDEPAVVGEFEHPLAPNVKPAVSEEPFAPNG >Dexi8B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:8B:2221446:2221916:-1 gene:Dexi8B01G0003070 transcript:Dexi8B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVVESPLRQRQRLRSPLSSSGSGGGGGDFEFRHWRPRVTGMRRRWAPPEIEIPNGSNGLSGCGGGGRGSYTSLRDIMSSPEYAAKSSSPDEAGSGDVHMIRHPLVKHAAYAYLQLTPSAVEERARLMRRRRGSLCRLIMGCLGFVGALFGR >Dexi5A01G0028510.1:cds pep primary_assembly:Fonio_CM05836:5A:31753719:31756138:-1 gene:Dexi5A01G0028510 transcript:Dexi5A01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAKYLETARADRSLWLMKCPTVVSRAWQEAAAAAPGPDAGGANPNPSPVVAKVILSLDPLRDDDMPSQASLLPLPSRKLACEGKVEKKFDMKPHRENLMDYGKLCRERTNMSMVKPRKTELILDDNGKGMRPLPVHQLIPPGPKQFLKEILNEICVYNKRGPNQGTHELKPEYKKYTEDKATN >Dexi7A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:7A:18980142:18981898:1 gene:Dexi7A01G0007690 transcript:Dexi7A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQGIRVGLNLEFHNLEVNDFEAKVVLKPLDYDRKWKFQYKPISGDIQLLSKKIPVTKYLNLQVGIGHNFQLNATGWKWKLSTCLGGDGISQIRNKSKISMFPGFDLRIGWKAEYVLPEIHG >Dexi5A01G0029020.1:cds pep primary_assembly:Fonio_CM05836:5A:32186512:32187880:-1 gene:Dexi5A01G0029020 transcript:Dexi5A01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTPAAFEKHSGKETVGKWRNTIWVMIQGEKVPLSKTALLKYYYLAHKSGNGTHKGRNGQPSHRDEFIRCTRCGKERRFRLRSKEECRVYHDALAKLNWTCADLTTDRVTCDDEEERASRKVLRGCSRATSCNGCMKCVCFGCETCRFKDCDCQTCVDFYRNSKE >Dexi2A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:2A:3690699:3694981:1 gene:Dexi2A01G0004130 transcript:Dexi2A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSKKRDDPQPGELPVKPASPAPPRAGRRAPTRAARGRKVAAVKRAPPAPKPKRKGVEIVNLEADPACEDPPKAVAGVAGAAKKLAVNKVPEVGVNKGLRMEGESAEKIVGADDDSTATPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGAARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQAMSANMAACIAVEGISILEKLHSKGFVHGDVKPENFLLGQAGSPDDKKLYLIDLGLASKWRETNGHHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLCCFCPAPFKQFLEIVTNMKFDEEPNYAKLISLFDGLIESPASRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQVYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYCNQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSGHVKEKWAKNLYIASICYGRTVC >Dexi6B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:6B:16796018:16796803:1 gene:Dexi6B01G0010390 transcript:Dexi6B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAKDSLDLVLVPCGLVIMLGYHLILLYRVLRHPGTTVIGYENHNKAAWVRRMAAAASTDETALALSVVSSNISASTNLASLSIALGSLIGAWISSTTKVFMTELVYGDRSQATATVKYISLLVCFLAAFTCFIHSARYYVQASFLITTLDSDVPAAYVERAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFSCSLLMVVILHMLDTNSLPLHQHQFAVRKRREQRGLSPAMLAARQPSPQNPILSSPVLSPVTFFS >Dexi3B01G0036150.1:cds pep primary_assembly:Fonio_CM05836:3B:39038420:39040559:-1 gene:Dexi3B01G0036150 transcript:Dexi3B01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTAGLGIGKYICSTRFTIGGHDWRIRYYPDGATEEFKGYVAVHLELMSELTNVRLLYDFKLRNNQQQQAISSTILLAAGGGVHVHLLLQAVLTSEGPSTTCGTHKFIHKNELANSTSSYLWGDQGFFTVGNRSGSTGSRSNRSGPVAKNHSYRSQTDIIKPDRSANRLVSPIECEVTVIKDSRIREIAVRSCTWNVQVPPSDLSLHLLPWFSSGQEADVTFKVEQLVLAMRSPIFKQQLFGQGQGQGQTPRDRLLYFIYTDELLAEHEGEDMAKRLFAAAETYAMGRLKLLSYKTAMASRVNEEEQLAYDYNEGKL >Dexi3A01G0033780.1:cds pep primary_assembly:Fonio_CM05836:3A:38597756:38599091:-1 gene:Dexi3A01G0033780 transcript:Dexi3A01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFCSADASPGKAHGLQLEAFALALTRLDPDFPKPKLQFVGSCRNQEDLERLQKLKDRAIELHINELVEFHKDISYMDLVQLLGGAIAGLHSMTDEHFGIVVVEYMAAGAIPIAHKSAGPMMDIVLDEDGHQTGFLASEKEEFADAIIKVLRMSEQARQEMAAASRKRAQRFSGQRFHEDFTEAVRPILLPREA >Dexi9A01G0033320.1:cds pep primary_assembly:Fonio_CM05836:9A:38221058:38222138:-1 gene:Dexi9A01G0033320 transcript:Dexi9A01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMFERIQLLRLQRNENRNGKGRKSLQKWVDTGVVAAVEVLAEQKPKKVPFSLALQFPVTLSFTK >Dexi2B01G0031160.1:cds pep primary_assembly:Fonio_CM05836:2B:39336441:39337563:-1 gene:Dexi2B01G0031160 transcript:Dexi2B01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANTLNVVGPVPFTDVCGARPDPVAPPNESNGDDSTAAASTLIGGSTIRQVLRLYQGAWLAKMWVPGVVAIQQGSFVPRRGDVVLASQPKCGTTWLKALALATMARSAYPPAHAEHPLLRLNPHDCVPFMEMLFADGTSGRCKMDAMPSPRLMATHMPHALLPASISDNPDCKTIYICREPKDMLVSMWQFTRRSNPNRAFSDVFELACEGVSASGPIWDHVLGYWNASKESPERVLFLRYEEILRDPVENGNRSRRLRSRVIKDIVGLCSFDKLKGLEVNKHAGSHYFFPNSSYFRRGKAGDWANHMTPEMARRLDVIMEEKLQGSGLSFA >Dexi6A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:6A:6654059:6654921:-1 gene:Dexi6A01G0006860 transcript:Dexi6A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSGGTVATLLSRLYYNSGGNSKWMTTLTQSGGSPLLVVPLLLTPPPPRGEEQPRQPPPAASKLAAVYIGIGVLIGVDNLMYAYALLYLPVSTFSLVAATQLAFNAVTSRLINAQRFTPLITNSVAVLTFSATLLAVSSSSDTSGGDHLPRGKYAAGFVLTLAASATFALILSLYEVTFEKVIKAQTPRWVLRVQMYSSLVASAVAVAGMMTSGEWRTIAGEAAGFRGGKVSTLAGTAVAWQAANLGQVRLAARVSSLFANATGTVQLPLVPVFAVVLFGDR >Dexi3B01G0027510.1:cds pep primary_assembly:Fonio_CM05836:3B:23059705:23069933:1 gene:Dexi3B01G0027510 transcript:Dexi3B01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAARRRTPPPPLLPAALAAAFFASKPRPPPPPPPSPLPTPRIVDAAVSRCPSDALALSFFLWCARRPGYFHPPSSFDRLLPAAARLADRLGTASALLRELQGLGCPIKAQTFLLLLRLYWRGGLYPLVLELFEQMPLWGFQPNAFARNVVLEVLLRTGHLAEADSCLRDNLSPNYLTFAIVLTHLCKSGDWSRVRCCFVEMLRHGFLPGTASLTDVFACCSKAGTMSELLQLLSFAHVSGCQLNSAMWTCLIARLCCEKRLDDAYTMLAKMLGSGCSPTAITYTPLLKGFLQAGMYDVAGFPSEAIEFYLDMIELNIRPDSYTYVGLLHSLCQSDMIERTIPDITLVLLWHSEHEDMQSVESRDSLIDGSDYIPYSSADRGATWCRSLMITFTIMLLVWHLVAVVTVEATDHCAFSLLTIYLLRAAGILLPFYIAMQLILLVQRGRQQHRLQMLEVSPGFLLLILEGTD >Dexi5B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:5B:578339:581787:1 gene:Dexi5B01G0000900 transcript:Dexi5B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLASHPVRTQVVSSGILWGLGDIGAQAVTHYSARRPDSRDSKLPDPEVAAAVDYPLPLASSVLVVYRLPIQFPGGFVPGLDKDKEFKVDWKRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRFQPNTFKFVASKVAADGFLFGPLDLLLFFSYVGLGQGRSIYQVKEDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDASWKQWFTSFHKIEDHKSNV >Dexi3A01G0022300.1:cds pep primary_assembly:Fonio_CM05836:3A:17876482:17878720:-1 gene:Dexi3A01G0022300 transcript:Dexi3A01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRFKPIEQCTSEGRSRQTVAADLDGTLLLSRSAFPYYLLIALEAGGPLRAVALLMSVPLVYLTYVAVSEPLAVRALLYVAVAGLRVSDVEAVARSVLPRFYAGDVHPEGWRVFRSFGRRCVVTASPRVMVESFAKAFLGADKVVGTELEVGEDGRFTGFVAEPGVLVGEHKRRAVVREFGDGALPDVGMGDRESDFDFMSICKEGYIVTRQKYRAVPREQLRSRVVLHDGRLARRPTATNTLLTFLWMPLGFALASLRARLHLLPLPARAVSYAYNLLGIKLQVRGHPPPLSGKGRPGVLFVCNHRTALDHVMVEAALGRKVTCVMYRAPGFSEPVSPIMATVEASGRERETDAAGVRRLLDDGSDVIVFPEGGAPCREPFLLRFDTLFAELSDRIVPVAIDARESMFHGFNGRGDRSLDPYFFFMNPRPTYQVTFLSQLPRELTCGGGRSPAEVASYVQKVLAAQLGFECTGITRKDKYGILAVAGTDGHACR >Dexi5A01G0038910.1:cds pep primary_assembly:Fonio_CM05836:5A:39683794:39684274:1 gene:Dexi5A01G0038910 transcript:Dexi5A01G0038910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASAAINLGLALVTLSLFSLLKKQPGNAPVYRPRRMAAGDPGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKLGSS >Dexi8A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:8A:1758372:1758980:-1 gene:Dexi8A01G0002670 transcript:Dexi8A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLVLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi9A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:9A:152959:153845:1 gene:Dexi9A01G0000190 transcript:Dexi9A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAFMLGLAIPYHHQATNHVGFIVMYARTIFFSNFGPNSTTSSCCPRSSRRACAIVGFFSFLYAAQSQNAAKAAEHDYPAGIGVRKSLFVLAVCNLLGSSPTSFACPSPMASQSRSRLQARILLLLWTSYLRYGRSA >Dexi6A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:6A:9093848:9097368:-1 gene:Dexi6A01G0008560 transcript:Dexi6A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIMDLRGSTTFEFVGVLAYGDGGDDGAPLHYIPLHYGGSTVFAAGGYYWCAWVYPTNTVRTRTASDNHFSVSLKLCSSDVRVTAAHEVSVLDPSANLPPMLLSTWPPLCFASDTGDDTDHRSRGGMDLDKFVGYVHHGRILFQSTVTVLSPEDPAKIDLPPSDMLGQLGDILETAENADVTFSVDSELFPAHKIILAARSRVFKAELYGEMKENGVAQAIVVDDMRTDTFRALLRYIYTDGAPPDIIGSNDSRQEEEEGGGEGGEDDRKAWELLVAADRYGVERLKLICERVLCKRLDVAKVAETLALADRHHCDTLKDACIEFMTTSHRMGQVAVTPGSDPYPSSIMELRGSTTFDYIGVVPYHGVAYYWCAWVYPTDTITTRTAKKSTRTANSDFFVSVQLMSSGVSAVTAAHELSVLDPWAILPPMILSTLSPASFASNDSDDDHDDHHSLGGLDLDDFVGYVRNGCILFQSTVTVFPEDPGKMDMPPSDMLGQLGKVLGTTKGADVTFSVDGELFPAHKIILAARSPVFKAELYGGMKENGAAQAIVVDDVRADTFRALLRYIYTDDAPPAIIGGRQDQGGENEDENKVWELLVAADRYGVERLKLICERVLCKRLDVDKVAETLALADRHHCDTLKDACIEFMTTSHRMGQVAATPGYKQLKSSHPYLLFEVLEKSSEFHKCQSSKRHRNN >Dexi4B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:4B:20750770:20752057:1 gene:Dexi4B01G0018420 transcript:Dexi4B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTLLKSSFAGARLPSTPRVSSPSSVVVSTPRSSGPICASISSSTPPYDLTSFRFSPIKESIVSREMTRRYMTDMITHADTDVVIVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELDIAYDEAEDYVVIKHAALFTSTIMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTTQTVPPVWREEFVIASKDDEVVDA >Dexi2A01G0026750.1:cds pep primary_assembly:Fonio_CM05836:2A:38317393:38324444:-1 gene:Dexi2A01G0026750 transcript:Dexi2A01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQATHAADSAEEPRQRFAGPAVQPSMSAAWTPVQQRSVNGSSLGCYKIRWQAMMNNVKLGVEVQSAHDLLPKEQDTANAFVEVEFDGQKFRTAIKEGDLNPVWNEQFYFNISDPSRLQELHLEAYVYHANRANNSKACLGKVRISGTSFDTQPDASPLQYPLEKRNILSRARGELALRVFLTDDPSVRVSAPGPEFDMLSTPTTAQEQAAASSMLNPFQETRPNPVRQFQHLPREQQRPAPMTAQPYYPEGSYGDQQQRSFAAVANKAGVPPPQIARMYAPGSQQQPVDFQLKETSPTLGGGRVIGGRVYPGEKAGAYDLVEKMQYLFVRVVKARDLPNMDLTGSLDPYVEVHLGNYKMKTKYFEKNQRPEWDEVFAFPREVMQSTMLEVVVKDKDVIRDDYVGRVSLDLNEVPVRVPPDSPLAPEWYRLVGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPVDSHLHNYIRGKVYPAPRMWYVRVNVIEAHDIIPRENHIPDVFVKVRLGHQILKTRQVRSPTRNFMWNEEMMFVAAEPFEEDLMITIEDRVAQNKDEVIGEAMIPLTVLPRRADHKPVRPAWFDLRRPGLIDVNQLKEDKFYAKIHIRACLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGMLEAGILSANGLHPTKTRNDRGSCDAYCVAKYGSKWVRTRTIVDNLSPRFNEQYTWDVFDHGTVLTIGLFDNCHISGDSNPSSPGHMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLINVLFTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQVVAQRLGRMEPPVRKEVVEFMSDARSHLWSMRRSKANFFRLMQVFSGVLAAGKWFGDVCQWKNPVTTVLVHVLFVMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISYADVANPDELDEEFDTFPTSKSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLIFCLITAIILYVTPFQVIALCLGFFWMRHPRFRHKVPAAPVNFFRRLPAKTDSLL >Dexi9B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:9B:7204522:7206603:1 gene:Dexi9B01G0011330 transcript:Dexi9B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGGGAQEVVIVGGGIAGLATAVALRRVGVARGVVVLERHPELRATGAALSVFPNGWFALRALGVDHKLASRYDTYDTSRVTNLETGVLIGCDGVHSVVARWLGLSEPASCGRSAVRGLSVYPTGHGLKAELRQFLSEGLRAGWMPVTRTLVYWFLANNTVPAEAEAAGDPAKTLREVTENLARHMPSEYLDVVRHADLGNLSWSPLLYRNPLDVLTGNAAWGSVTVAGDAYHPMTPDMGQGGCTALEDAVVLARALSTAATPAEGVAAYVAERRRRAAWIVAGAYLSGWVQQGGTNVHGVRGYMVKLLRDRVFYRFVYPRLADTMWYNCAQKGSPKP >Dexi5B01G0038490.1:cds pep primary_assembly:Fonio_CM05836:5B:37714388:37714997:1 gene:Dexi5B01G0038490 transcript:Dexi5B01G0038490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKLIGSGNSPFVHRAAVALRLKGVPYEFIREDTRNKSELLLKSNPVHKKVPVLLHGDRAVCESLVIVEYVDEAFHGPPLLPADPHDRATARFWAHFLDDKLRKSLWPALWTEGEVQAASMAAARENLALLEEQLQLIEGKNKRFLGGDSIGLADIAGAGMLA >Dexi9A01G0022630.1:cds pep primary_assembly:Fonio_CM05836:9A:17786280:17788033:-1 gene:Dexi9A01G0022630 transcript:Dexi9A01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLVLRRLSNLHPSPFPTPAIGSSPPPPTLAGLLAPTGRRHFAFSSAEEAAAERRRRKRRLRIEPPMNALRRGPPPPRDPNAPRLPDTTSALVGPRLSLHNRVHETTWL >Dexi8A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:8A:543407:544669:1 gene:Dexi8A01G0000820 transcript:Dexi8A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRTSLRTPTCTVPGLLVGLTKLCKLTKVCAAPTLGDEAKSRLGTSCGGYDQRLLLIRLFEAMGSLKSAYVKLQRAHFPYYDPGKIAFADEIITSELDSVTALQSLCSSSCGIGSLVNDRWSVVQELEAEARKRDSDIVLLKKELERLQRDNSRLNKQIKSGKPSSVKHPNKGFDVRKELATATPSALLELFKVASASVHDFAEMIASSLMSSDGCSVSNADAAEQSCIRRYSVEAHLWRTIMVGASPVSNEEEKEVFDGIMRFCDPMDALMQYPRSSFSVFCRSRYLAAVPSEAEAAMFGNKLEQRALVSHGGHPRTWFYRAFAAMARSAWALRLLMARHGDVRMFYARRGSEYAEEWMQSVAAPASDGHFCGGVAFTVAPGLKFGDTVVPCRVLLLSQHLGELQPSPTTAVISRLL >Dexi1B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:1B:22007746:22010392:1 gene:Dexi1B01G0015440 transcript:Dexi1B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSELSRSTVVFGLRMWVLVGVGVGAAFVLLLVLISVLCLLGFRRRRRHRPTNPVQQLPTTAPPKNPANTKSPKDIREVPFSAAASASAKPQLAQVLQLPTPQVSEQIVAVKENRITYPEQQRHPSHRSEGPSPHGSDGSRGGGVRRGGAADHATPVVPEVSHLGWGHWYTLKELETATGMFSDENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGEVGPVNLVDWLKTMVSTRNSDGVVDPKIPKKPTSRAVKKALLVALRCVDPDASKRPKIGHVIHMLEVDDFPYREDRRGNRAPGQARAPEKPAGVSGDHETDSITNGATIAEPFRWRNPDA >Dexi3A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:3A:13280276:13281220:1 gene:Dexi3A01G0017400 transcript:Dexi3A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTELLFCGDCNRATEVVLDHASGDTICTECALVLDARFIDEGSEWRNFADDGGGEDRDPSRVGGRSDPLLSNAPLVTRIAYNSGGPHTKAQADGGGLPRMRVAGGPDPEQSLVEAFGAIADMADRLGLVATIRDGAKEVYKKVDEAKACPRGKKRDVFYAACLFIACRNEGKPRTYKELATATRGGAGAKKDIGRMTTLIKKVLGEDAGGGGQQVLDFGVVRAADYLRRFCSRLGMGNTEMRAAEEAAGRLEAHLDVRRNPESIAAAISYMLVQRAGNGKTVKDVSVATGVAEATIKEAHKELAPHVQMLFG >Dexi2A01G0023630.1:cds pep primary_assembly:Fonio_CM05836:2A:35322459:35326466:1 gene:Dexi2A01G0023630 transcript:Dexi2A01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALAAAEPMAVDDSASKKAKRKQLKAAAAAAAEAEAEAASGKKKEKKEKKRKAKEPSPSSDEEEKSSTSSEEAAPTAKKAKKEKAKKSVEASPAASEDDGEITAGSDVDPADPNALTNFRISEPLRQALKSNGIKALFPIQATTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLVNGTHKASRATGYGRPPSVLVLLPTRELAKQVHTDFENYGKVFGLSACAVYGGSAYRPQEMALKKGVDIVVGTPGRVKDLIVRKDLNLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATLPDWVNKLSMRFLKVDRKTVDLVGNEKLKASASVKHLALPCNKAARAQVIPDIIRCYSHGGRTIIFTETKDNASELSGLIPGSRALHGDVVQAQREVILAGFRGGKFQVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYEPRYKHSVSRLERESGVKFQHISAPQPTDVAQSAGTEAADAIASVSDSVIPVFRQQAEQLLSSSSLSAADLLAKALAKAVGYTDIKKRSLLSSMEDYTTLHLQTGRPMWSPGFAFTILKRFMPEEKLADVKGATLTSDGTGVVFDVPAADVEDYIQASESAAQVTIDEVKQLPPLQEKEQSRGNSGGGRFGRGGGSRFGGGGGRGGGGSRFGGGGGRGGGGSSRFGGRGGGGGFNRRN >Dexi7B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:7B:18233038:18233625:-1 gene:Dexi7B01G0011110 transcript:Dexi7B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTATEGAAAAAAAANPALEPDTDAPAGEGLEVAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHLHKPTYELVCAHGTGHAEVVRVHYDPEAVPYAALLDVFWNKIDPTALNRQGEGVGTQYRTGIYYYTAEQERLARESLAEEQNKWEDPIVTEILPARRFYPAEEYHQRYLEKGGQSAEKGCTDPMRCYG >DexiUA01G0021220.1:cds pep primary_assembly:Fonio_CM05836:UA:43953930:43954796:1 gene:DexiUA01G0021220 transcript:DexiUA01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLVSFPQMNLSFPKAMRWWEKGLHPNMREIESAQDLADSLRNAGDKLVVVDFFSPGCGGCRTLHPKISQFAEKNPDVMFLQVNYETHKSMCYSLHVHVLPFFRFYRGAEGRVSSFSCTNATIKKFKDALAKHGPNRCSLGPARGLDESELMALAANKDLQFTYEKPGLVPLAQAIAKEAAAPGGPWLPLPASATQLLTQGSENSLLSSGR >Dexi5A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:5A:2343820:2344432:-1 gene:Dexi5A01G0003180 transcript:Dexi5A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKHLHHLCSFTHTREAFRLHKKRPLNTVNIKVKMDCEGCVRRVTSAAKSMRGVTSVAVNPRQSKCTVTGYVEPARVLARVRGRTGKNAEIWPYTPVGVVSYPYVGGVYDKKAPAGFVRSAPQAMADPAAPEVRYMTMFSDDNANACAIM >Dexi1B01G0022310.1:cds pep primary_assembly:Fonio_CM05836:1B:28033617:28039032:-1 gene:Dexi1B01G0022310 transcript:Dexi1B01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGTPPALPPQSDAAGSGEDSSKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSIHRNSGMNTTVSSWAVSSIPPAVASSVVKVMPDFAQVYSFLGSIFDPSTSGHLQKLKEMNPIDVETALLLMKNLSINLTSPDFEDQVSSSTTNLLGDASAKLFPLVDDFVVRLTSNYYRKLLSSYNTSDGLELGSSRSSALATSAPFM >Dexi3B01G0031780.1:cds pep primary_assembly:Fonio_CM05836:3B:33824379:33826720:-1 gene:Dexi3B01G0031780 transcript:Dexi3B01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLRSFCLHRIRSAGAAASSTATPPSICGGAAKDANSSSDSKPLKDDDEEEAKKKGGGSSEAAAAAVVVVGRKVMVASEGGGEEARTALQWALSHAVRPCDTLVLLDVVRGPSSSAKNRRDGLRGCQHLEAMRSICQAKRPEVRVEVSVVEGKERGPAIVEAARKQGASLLVVGQKRRSVTWRLLSMWMAGGGGGVKGGAATGGAAADYCVQHAACMVLAVRRKSRRGGGYLITTRRQKDFWLLA >DexiUA01G0013340.1:cds pep primary_assembly:Fonio_CM05836:UA:27736695:27738553:1 gene:DexiUA01G0013340 transcript:DexiUA01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLYRAGEVSTVSVKAMAYEFVANVIMAMVAGEGMAEEQVVLFKEMTEAGIAAAGAANRLDFLPEVMRMMDFGRTAKRLAEVGKARQRFGQSLVDDYRRRHPRDGGGGGISGEQETTRTVLGDLLRQQREGSPEQLDDVVIRTVCLSLLQAGTDTSSSTIEWAMALLLNNPNILKKATAEIHSIVGTSRLIKESDLAELPYLGSIITETLRLKPLTPNHVPHEASCDCVIAGHAIKHGTMVLVDAYSMQRDPNMWEDPEKFMPERFMVDGKVVDGDGRFMMPFGKGRRKCPGEGLALRTVGMALGVMVQCFEWECVGEEVNLSEGSGLTMPMAVPLVALCRPRAEMEALLKTL >DexiUA01G0007430.1:cds pep primary_assembly:Fonio_CM05836:UA:14035008:14042376:1 gene:DexiUA01G0007430 transcript:DexiUA01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSKELDDLRAEVEALARQLRAKSDLADGLKRANADQAARLRDARAEAERHAAEAAARGEEAAAAAGERCGQLEARLAEKEQALRHLCGVHEALKGTLREKTEGLEADKRGLLAALEDAEARQAEQEAALRARDDEVARLRGLLSEKERRCGEAEKRAVAPREVLMRDDMLVKLEEEKAAVEGKLKWKVEQFRHLEEALKKVQDDFRTAKREWGSDRSTLVDRIGTLEVDLDSKTRIAEDFRSRLEMCSQALAHEEGRRKRVEAEMSELRHMYGNVISEYEEAKSMVESLTANRDGEIASLRSSLAEKVTLLKEMGYSRAHLEQENEDLRSMLKEYQEAQIGGADAVVSLKDLREKFRALEQTHRSCTEKLRNKEAEWRMQMEKLGDDLDGCLSQLESKDTLIRELRNELLCSFKSLELQTVENWEALIINAVVQSKFCESCSYVGTVKLNMQRQYELLLSEIASVRKQLEERNSTIVQSQAEQKQQSEVIAKLQGRIEELEHTEHEHEKMQRQLDTYKEMLENTSRDVHCLKDEVSEKENNLQEKLREALGALDEANCALADRKNELSQLEINLQQQRQAIEHLEKLKVELQCELKGYMDNNNILKRDLDAALVAKIEAEEMLRHEKKLFRSSGPLENQEAECSVCYDCPRLH >Dexi6A01G0003950.1:cds pep primary_assembly:Fonio_CM05836:6A:3639410:3640973:1 gene:Dexi6A01G0003950 transcript:Dexi6A01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGKSAKGTDLGDRISGLPDELLHSVISLLPAKEAVCTSVLSLRWRNLWKSAPCLNVDAEDFTSQSSFIEFVNTMWLSRGGSRLESFWLRACPGIFLENFRETVYLWIGHALRSNVEELGVIDHDLNEFEYHHQLFHLGHCPLTSSCLKKLHLCYVNIDNHAIKNLFSGCPALENLEMINCEIYATEFSSATLKSLSIDYADFPHPVYYTIQEDIVINMPSLASLHIGGLLGIAMLSFVDVQSLIAASIRLDRGTFTGACSILGALSNVKKLELLFRGDVEGEYSFQIDMQLCRVVFANLTSLSLSDWCLYDNCKALLFLLQHSPNLEDLTLKIRENPKALFFTCCV >Dexi1B01G0013390.1:cds pep primary_assembly:Fonio_CM05836:1B:19028451:19029204:1 gene:Dexi1B01G0013390 transcript:Dexi1B01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLVASLLALACFHATASDPSLLQDFCVVDKMSKVRVNGFPCKDIKDLVAEDFFFSGLQLAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAGLSSQNPGVITVANAVFGSKPSIADEILAKAFQVDKMTVDRIQAQF >Dexi8A01G0012390.1:cds pep primary_assembly:Fonio_CM05836:8A:21688825:21691806:-1 gene:Dexi8A01G0012390 transcript:Dexi8A01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKDGTGNGVAMAAAASAAAWNFAPNEALLGLTAHSVRGALGRVKAGMVADDGGGGGGARPVIPMGQGDPSLFPCFRTAPEAVDAVAGALRSGEHNCYSSCVGLEPARRSIAQHLSNDLPYELSPDDVYLTNGCAQAIEIICSVLARPGANILVPRPGYLFYEARAVFNGMEARYFDLLPEKDWEVDIDGVQAIADKNTVAMVIVNPGNPCGNVYSYEHLAKASSNRLVAETARNLGIFVITDEVYAHLTFGERKFVPMADTGRGCHSFKNMGRGVDMRMADKIAKLFVVDSIKSYLDISSDPPTFVQGAIPNLLKNTKDEFFNKTIKILRETADICWEKLKGINAITCPSKPEGSMFVMVKLDLTCLPDIKDDMDFCCRLAKEELVRHLILIRYDVTKQSSSISGNIYKLFIDSFPCFIL >Dexi6B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:6B:789787:798534:-1 gene:Dexi6B01G0000930 transcript:Dexi6B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNESWAGSGTGHASSSGASTSGGASTSRAAAGPAGDAEGASPDSLRNTASNIRRLQDAIGHCAARRKYLARTKSPSDGQEVRWYFCKLPLADTVLSSSVPRTEIVGKGDYFRFSQRDSLALEASFLEREEELLAYWWREYAECSQGPRGSMVKSEDSEYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVSEQLELAYNCQPLALGMTTKLASPARKIVEHPVVVEPRSGIVVNFNLQANLQHGLISKEVYRYDLHALFTGEDDTWEAWLVFDIGPKLGSSTIKLRRGFSSDPEKVSQVIYHCITGLMILCQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGEHTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLWAPFPTEYLNMECTSDRSQGEKSANEVNGHDSDTEGHDTTILRHSCADNVNGGVDESSTRTDASHMDGVLPSCVLENSQNSDDTVVSAGTVDAEQNEENTVENHQAIYTEEGTTAAVSTKHDEGSSISRSAEEVHEEVLDKDKLIDSLEEEVKRLKARLELLEQQNHLVTESISGVEHHEGKSSNHAMNSGKLFTTQSSTNQSYSLQIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGKGQDYWQNENIIEEMPCCRQMFNIFHPFDPVAYRVEPLVCEDYLKKRPVIVPYHRGGKRIHVGVQEFTEDVVARSQAIAHQLKSLKVKAVAAMLALSRNDTEEDGEGANEKDRSYGSMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGAHTNYWRDHDTALFILRHLYRDIPEEPPADAIERMPIKLFYERDLFVEETPLTFADEASVKEFSRKMRTYSRKEENDANCEAS >Dexi9A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:9A:11608336:11609329:1 gene:Dexi9A01G0016580 transcript:Dexi9A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFRYLPVSEALEYLRLAGADPIAAARSVLEDRNYSTSSFTFASRTTETALRCAAIAAWHPKPRSLVNRSYSFASRMEKVSHLLATDDAGGGLSCAAVEAISGLLKRHRRKIRGLAGVITPPPPQFDLELNRPPPFVPTKSLQSVLLDRVYGFYLDAIARLPAAELRRRYHRSLLKAGHCYGPFKDPVSNIVLNTVWYDAIFPPPQDELSSVAMICSRSLVLVACRSVRGLVAYLRACFDTISEHQAIRYLVFAEVDLWGAIEMARREGHVERSTVTVGKESACKAAAIAAMHPDADAVVNFHVSTR >Dexi3A01G0024060.1:cds pep primary_assembly:Fonio_CM05836:3A:19687055:19687653:-1 gene:Dexi3A01G0024060 transcript:Dexi3A01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDEVPFLGSFGMRSHCRLGFRGFRLACVLVIGRCSSSAGGAGGRGVVSPHSSTLAAKGRLAVPLVASDCVHPRPWPGFSPPPPLRRCSAIAAADEAKP >Dexi6B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:6B:1565197:1565732:-1 gene:Dexi6B01G0001780 transcript:Dexi6B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASAPSSPRSNETSVEEQLQSLKATISAPPAAIETVVGGLSKLRSIYDRIDELLTCLPRSQRKAVEEELERSLVLLDLCSTVQESFVELKSSVQDMQLALKRGDDAALQTTAQCYARSAKKAQKVLKKINKTTAEIEGCRF >Dexi5B01G0023420.1:cds pep primary_assembly:Fonio_CM05836:5B:25583376:25584107:-1 gene:Dexi5B01G0023420 transcript:Dexi5B01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLRPLLPPKTFLSARRTHLTSATTPTTTTVRCTAAPKQTISTPKPSQEEANNREQPQEPNGAAATPEDEATSANPNSIPDDDTPPSATATTSFAVARRVPSAISPDRRRRTALTQEEPPNYEIGWKRTKDLPLEKPRGWAIADFLEKLEGLMARGRYGSGQLLGTVAGVVTERAREEAEILVAEGGVEERVVTELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >Dexi8A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:8A:1098536:1100021:-1 gene:Dexi8A01G0001570 transcript:Dexi8A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIPLPPRNKRRAKAGGAPDKPKRPRKDRQSKEDPNATAASAAGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTSVQNKKKGRQGAYDTEEAAARAYDLAALKYWGPETVLNFP >Dexi2B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:2B:5270352:5271779:-1 gene:Dexi2B01G0005710 transcript:Dexi2B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGETILRWFELIASLICSNWRGEGPLEVGPSFFEWLAWLLAKNKTTFIPIISAVLRAMLYSVHSTESGTSSNSPLLAVDSLQFEHIGSMSSTVGKSSTPQVFHVVLLGLELCLMMGSRDAFL >Dexi3A01G0034360.1:cds pep primary_assembly:Fonio_CM05836:3A:39551455:39551741:1 gene:Dexi3A01G0034360 transcript:Dexi3A01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTPTAAAVYPVVPPPPVAPVAMPMPAAAPAMVMVVPLGAATLPAPAVALMTMPAGGVGYSSSGGHRHGSSSRNGNKGGSIRSLRQKQ >Dexi5A01G0022180.1:cds pep primary_assembly:Fonio_CM05836:5A:26103023:26103592:1 gene:Dexi5A01G0022180 transcript:Dexi5A01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKGDTFTGVLIGAAGIGLLCFLIAMAVDLSTHTYVTVSLVNARGLDAGEETGTNPVEFDVAIGFLRLGNLAVGHDGGQVTISHAGVKLAEGSVPKFYVAGGHKKRVEATTAVASAGKDQAPLPQVFRDHIWVDQQLHGEAEFDVTLSFTDVNITTGKTTQNYHYCKAGLALHGKPKPSNCGQPQSFL >Dexi7B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:7B:9769302:9770238:-1 gene:Dexi7B01G0003970 transcript:Dexi7B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDLICVDVVPTAESVASSVEWALAHVVTQPEVQNKLYREVVGVERGFVPDESLRSTPYLRAVVLECLRMHPTVPLVVCEVGAQ >Dexi8B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:8B:26637698:26638682:1 gene:Dexi8B01G0015820 transcript:Dexi8B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEASRAEVALLFSKIPFQSDERWARPCRRQQRFLHGRRREPREHPPPATCLFMATEVCAAPTAPNKQIEKMVGEAARIARLFCDRNWPIFAFLDCHFPDKPELPFPPHCIIGSGEENFVPALEWLENEPNVVIKRKHCIDGYISSIENDGSSIFADWIGKLQIKTVSRA >Dexi7A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:7A:22826263:22831842:-1 gene:Dexi7A01G0012640 transcript:Dexi7A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKAVDLRSDTVTKPSDAMRAAMAAAEVDDDVLGADPTASRFEAEMAALMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPRTVANNPDGTMDVDRIVAAIRNPGGELLYPTTRLICLENTHANCGGKCLSVEYTDKVGEIAKCHGMKLHIDGARIFNASVALGVPVDRLVKAADSVSVCISKGLGAPVGSVIVGSKAFIHKAKILRKALGGGMRQIGVLCAAAHVAVRDNVGKLADDHRRAKALAEGLKKIKQFKVDSASVETNMVFFDIMDPRISPDRLCQVLEQRNVLTMKASSKSIRFVLHYQISDSDVQYALTCVEVGAALMASKVVDLRSDTVTKPSEAMRAAMAAADVDDDVVGVDPTAQRFQAEMAAVMGKEAALFVPSGTMGNLISVLVHCDVRGSEVILGDNSHIHVYENGGISTIGGVHPRTVRNNPDGTMDVDKIVAAIRHPDLHYPTTRLICLENTQGNCGGKCLSVEYIDKVGEIAKRHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQVGVLCAAAHVAVRDTLGKLADDHRKAKALAEGLKKIKQFTVDSASVETNMVFFDIMDPRISPDMLCQVLERHNVRAMPASSKSIRFVLHYQISDSDVQYALTCVEKAVEEILKGGSNLEHLTNGAAKSSYGH >Dexi4B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:4B:16668761:16673685:1 gene:Dexi4B01G0015270 transcript:Dexi4B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLELAARRADDGAYYPPPQPGAGGEDLDDDGRKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWVVGPVTLMIFAFITYYTSSLLADCYRSGDQATGKRNYTYMDAVAAYLGRWQVWSCGIFQYVNLVGTAVGYTITASISAAAVHKANCFHKNGHAADCSQYDTMYMVVFGIVQIFFSQLPNFSDLSWLSILAAIMSFTYSTIAVGLSLARTISGSTGKTTLTGTEVGVDVDSAEKIWTALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLAGCLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAAVETELATRWPNSKFVTGEHPLVAGRFNVNMLRLTWRTVFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIKQRRIQKFSTKWLALEALSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >Dexi1B01G0026190.1:cds pep primary_assembly:Fonio_CM05836:1B:31081445:31082830:1 gene:Dexi1B01G0026190 transcript:Dexi1B01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIVSSTIHIIDSSSSRPAASLVLPPSGAEHQTMSVLSAIPLDLPAGMDITSMVLNASPTTLQNLARGLESESIGLFTGTVRVRGLRRSVPRFPHAIQHRSAQPSPFREEVFSGAFRRTDGAHAQAPGRRLRILLRWLRLVSTGHRRKTIAESMVSKRDPHGAHGLDEMIKEQLAQPLSPAAALS >Dexi3B01G0021490.1:cds pep primary_assembly:Fonio_CM05836:3B:16344888:16345625:1 gene:Dexi3B01G0021490 transcript:Dexi3B01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIVSPSGRPRLSPSLPLAPPLAAFAFPAPTTTGRRHVGHEPRDSSQASTHGTWNPCPHRGSTRTFSPSANSPRQMAHTSSSSPSSAPPPSHTSTGMLRSARFLIPRAQDAPPDADADAPSPPAPAPRPPHRSAHRASELSPTANSSAKKSAARMITMLVSKLASPGPGPGAAAPPSPPPVAFTAAALPADAAGFLTTGDASESRRGE >Dexi1B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:1B:11669149:11669637:-1 gene:Dexi1B01G0011500 transcript:Dexi1B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRTKKTAPKPGRKKKKTDPSPSEVNTPRTRAALAREAATKAAQEAQEAAAKAYAAAEVVAVAERDVLYVLPIESDPAPSTSTRRNLCLDLQVVDEVDETNIAPADPPLKKMTPKKKVATKVKKTPAKKYVKSPAKKGKK >Dexi1A01G0024830.1:cds pep primary_assembly:Fonio_CM05836:1A:31260673:31263595:-1 gene:Dexi1A01G0024830 transcript:Dexi1A01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGITVDGHATEEVPNGANSSLNNEHLSAPKSTAASTMVASMQSEALEMHVEGSGAREPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEENASVANAPPPVENGTPVKAQSSSSSKKSKKASKSQLESDASVGPNGKASTEEGEIEVSKPASRASRRRKSNTNPQNGTDDAGLDNPDLGPFLLKHARDLIASENPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYEEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTFGMLGQTALSLQWYASGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHREHGEPASLEETADRRLMGLICDTKGEHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFAYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYESMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGESYGSFKSAIAKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLGELLKEAGRVRSRKAKSLENLLETNPYTVTKRNAVQRNTVAA >Dexi7B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:7B:8275288:8277366:-1 gene:Dexi7B01G0003380 transcript:Dexi7B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWLAESYITDTFTEFVRSGEEMGSRERRMVFVTVGTTCFDALVKAVDSDEVKEALLHKGYTDLLIQMGRGTYTPSKVSGNSTLQVDYFSFSPSIACYIKKASLVISHAGDNQIIYLVRSMVTSFSLTGSGSIFETLRLRKPLIVVVNEDLMDNHQSELAEELADRKHLFCACPQTLQETVEAMDMNNLLPYMPGDAKQVVTLINKFLGFQVD >Dexi5A01G0026880.1:cds pep primary_assembly:Fonio_CM05836:5A:30451017:30451454:1 gene:Dexi5A01G0026880 transcript:Dexi5A01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTALRTARRSRPLSVRPWPSHTDEATLRSSAHRCLAARTCGGTIPTDDEAALLLLTTIPSSSSSSAVGMRTGGDDGCWWWCATAACGCCRWLARWWWRWHWWCTAASSGHRTSASWNSSMSNSQSWWWWRG >Dexi8A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:8A:2771321:2779571:-1 gene:Dexi8A01G0003630 transcript:Dexi8A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSESSIYDLLEGILLYGREPTNLPIWFLQSITINFSDDHKIGSGGFADVYKGLLRNGATVAVKKLNPAAGANPAAAAIGRLAQIQPPPLRPLLPPLPLQGLARAQAREEAPRRRLRSLPLVRPTSASSRTSSRSSLTRRRSRPCSSSRHLLLFWRRGRSRPCTSSRHLLLFRRRGRIRTPVPLPLTLAVAAAYHVELAAASGLVDPAGLTDLAATSCPRGYAGSFPLDRGSRVLKSWNARFQTSQGGTWLEHVRVCAEIGLDCLETDPEKRPMTKHIIKTLHELEETYGFIETDLWTPNVSLLIFSLLKLGGDDGVVVEQLTGVELRRSSGKLLPSMGDLGISSMIINSTGSSDFAIGKLLDVYPLELLFPFEPNKFIKWPVTLTNKTNGHVGVWIARSGPGVVPYSWEEPGKKQKQHENISSIFCVLRPHSTKVLYMTMRTQQQPPPQEDTCIFQVVIIAMESEEA >Dexi1B01G0023080.1:cds pep primary_assembly:Fonio_CM05836:1B:28742023:28743935:1 gene:Dexi1B01G0023080 transcript:Dexi1B01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAGFLLAAAAWVCLSAAVASAFDGVPTAAFDERFSPLFGDGNLVRSSDDRSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPRDYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSHGREERYLLPFDPTLEAHRYTVLWAPTHIIFYIDDTPIREVIRHPEMGGDFPAKPMAVYATIWDGSAWATDGGKYKVNYKYAPFVSEFSDLAVVGPRADPVLRRAGAGNADEEGLLGVMTADYAVMTPQKRAAMRAFRARQMTYTVCYDAVRYASGPFPECDNSEEERENFSAWGESKTVVMRPRSRGRRRGRKAGAGARGRGDVASS >Dexi2B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:2B:9445531:9450605:-1 gene:Dexi2B01G0008720 transcript:Dexi2B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECVLSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPAQIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKISSIEDPHFNLFSRKFGLDDNTIDFIGHAVALHRDDSYLSEPALDTVKRMKASLISEDKYSLPFFMRNQWLGFARLSAVYGGTYMLNKPECKSYDATTHFESTVMDVLSLYTKLTGKVPSSNVDLSVDLSAASASEDDM >Dexi3A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:3A:12266498:12266845:-1 gene:Dexi3A01G0016360 transcript:Dexi3A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDHHHHHHDHSHRDGDGHAAAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLASRTFADRAFTVGIGGPVGTG >Dexi3B01G0036540.1:cds pep primary_assembly:Fonio_CM05836:3B:39416594:39423372:-1 gene:Dexi3B01G0036540 transcript:Dexi3B01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEEDPPKPPQPEPAGSEPDDARHTPPPPPPPPPPAPVPAPAADAASAAAVSAPPAPSAAVSPAAAAVEANGNSDRKKKRKVEDGEGCKTCSCKKSKCLKLYCVCFASGSHCSESCGCEPCLNKPVQGAPRTAPVLPLKPVQISEAGQDSVEQLIRSPMDLIRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCEDCRNPFGRKVGVILEGKSALAAPMLNERNGAEVESSDDEDDYYMNRQLSPIPPSPVSRESSFQQETLVGVEVHTMNGHLYPKPLTQVRPEVPSWQLSRRPAEETRVEQWRFSRRPSEDGTPDAMEAHPMAQRDKKPGIQVDRFSIPRCIEVMSAMADLSQIEKSLAPDVFLDPSNREIFLSLSVDIRPIWLRRKMKSLV >Dexi9A01G0037420.1:cds pep primary_assembly:Fonio_CM05836:9A:41698052:41701757:1 gene:Dexi9A01G0037420 transcript:Dexi9A01G0037420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQRLENGQSGAIVMASNSSDRSDRSDKPMDQKLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYSRWQEEQNKQINELRTALNAHASDSDLRLIVDGIMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQMMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQQ >Dexi4A01G0003930.1:cds pep primary_assembly:Fonio_CM05836:4A:2817396:2818325:-1 gene:Dexi4A01G0003930 transcript:Dexi4A01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAFKGFPLAGRLMELPAAPTAGTGKPIATAVRLLNVKRDADDDDDSDDASSVDGANYGLRRALALADALNLLGEPSKMTQMVEGGGGTALGTGFSFSASGWWVSKEDDDSMQLKVVMPGLGKEHVRLTAEKNVLVIKVVGDKDKLEDGGNKGPVVKSSRRILLPVDAFKMDQIKAEMNNGVLKVTLPKTKDEDRKDVFQIKVE >Dexi3B01G0024080.1:cds pep primary_assembly:Fonio_CM05836:3B:18761463:18765671:1 gene:Dexi3B01G0024080 transcript:Dexi3B01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRGRAALVFVAQRAAGDGNGELEMRAPPLGWLPLEGPKSRSAWCVKKDAEKRAETVVCRVENRHLADAVLRHEILGRVADSLWPMRSKSAVASLPARNEDADGGEG >Dexi3A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:3A:874666:878747:-1 gene:Dexi3A01G0001220 transcript:Dexi3A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGSAACSARTLAACVIGGIVLGASVLALHHAGSAAIPSLPPLDAVRRRLRRRRRQRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKVDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEITTFNISLVVHGTIAENMDYAKDDSNPYAVPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >Dexi7B01G0014110.1:cds pep primary_assembly:Fonio_CM05836:7B:20456733:20457745:1 gene:Dexi7B01G0014110 transcript:Dexi7B01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAALLRPRPPVPASLSERRPPRAVAGRTTRRTRGAPGVVRACFNPLGDERILREAIKEPVAFLGGVFAGLLRLDLNEDPLKEWVTRTVEASGITEENGSEESSKADQDDTPQQIEIE >Dexi2A01G0034910.1:cds pep primary_assembly:Fonio_CM05836:2A:44720546:44720980:-1 gene:Dexi2A01G0034910 transcript:Dexi2A01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETYRVGAEVVNGDGVACKKAVVELLSNIGLPKGLFPLDDMQEFGYNRFMWIVQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKVVGVKTKELMLWLSIVEVYVDEAAPGKVTFKTGTGLSDSFDATALELGM >Dexi8B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:8B:8328797:8329639:-1 gene:Dexi8B01G0007120 transcript:Dexi8B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKAALSSLIPKLGSLLSAEYNLQKGVRGEIRFLEFNLVRKAKIRHRIAMDIEDIKTRVKDVSDRRERYRPDVTMVPERKLNIDPRVIGMFEEANRLVGIDGPAEKLTNMLTQGDSIQKQKLMVASIVGVGGLGKTTLANKMYKKLGGQLFQCQAFVSVSLKPDMKNILCSILRQISRGKCKDGGENDPVEVAIQNIRDCLSDKR >Dexi9A01G0024850.1:cds pep primary_assembly:Fonio_CM05836:9A:21564367:21565351:1 gene:Dexi9A01G0024850 transcript:Dexi9A01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKICLTRFTICLHRLSGSTSAGTRYFLPFLPTTLYPAPVTVSRLTAPGYPGYAPRPYTPGQAAATSTGAPGSPW >Dexi1A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:1A:2763180:2763632:-1 gene:Dexi1A01G0003790 transcript:Dexi1A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVKGYFRAPRRNHHQQQQQAAAAALRGDELIYGEESPSAALLAADEVSAAVPAVPKGYFAVYVGEEARRFVVPTSYLRQPPFRDLMERAAEEFGFPQAAGGIRIPCRAEDFEATVAALEAAAATPARRRRRHGRIGMAAAKLPKTMSL >Dexi7B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:7B:25221720:25226970:-1 gene:Dexi7B01G0019740 transcript:Dexi7B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESRPRRKPLVLASTQALLDSLPGDRPPPPPREPVRLRAGVLRFPSGGGGAEFEELASFVLVKNADNNVGRIVKAILLDHPPLDESKTEQIDHVASASPLGHAMGVLPCCSFPSTGFASVDEGIGYVSPLLAFNLGFHVTSMEPSDEPILRVNCNETALVLGGAASAAIPPYSFFAASGDSVPLHGEMVEHLASIIAPALCPSDILPKIKFSTFIYGPSGCGKRTMVRHAASHLGLHVVECSCHDLMTSSENGAPAALATAFKEAQKYSPCIILLRHFDAIGNASSNEGPQSEQSGMASNIESVIKQYTGQRWVVKDSMLGRDVNGNSYFVNPECVSPLQNILVATADSAEGMQQSIRRCFRHEIDMKTLNEEQRNKLISETLQGVAKSADESIDDKFVKDLAAQTSGFMPRDILALVADAGVSFALKIAAEKDNKGISNPEEILPECSSATQNEETRFCKEDIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHRNVKTFETDPSKNDDASAEQVIVEIDDFMTVLGDISPSLSMEELQNYEQLRQKIEGPSR >Dexi9A01G0036160.1:cds pep primary_assembly:Fonio_CM05836:9A:40696710:40699376:-1 gene:Dexi9A01G0036160 transcript:Dexi9A01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDIRDLVASLFIMLNQKMFILFRVEFLVAVVTVLFLAMFVMDVFRRHFHNTVMKAIFSLFDAVSDTILIYLMGAMQAAPFMNELFPVWALVLVIFRQSVGFIYGYGVLDPRGRRFTELAQLWLGCSSELISEHMRDPSKWRPEDCNPVTMEGYRYLVFGERSSEIQKPQHDPSSLEADNNIHETAEQKDLSLAFALSRLLRCRFEDVKLQENIRCRINRKLVKLRIVEEDPKRAFGIMESQLAFVNDYFNTRYPMVFWSGLHTLVLSLLQSVVTVGFVIWLSVDIRRVYRPPRGELVHLVKGVNVDMIITWAFMFLVMFKEIWEMVTYFLSDWTRLLLMCRYASRENDELGRDICMERLILSLFRFKINAKMWHGHLDQYIFLQSYKDRPKFWNLIHYVTTGLIAKRDDGAKLGRAIDVPQCVQPAILEKLSALLDVLAKPGSQDHSEPPTDPHGYSEKPVNTDGSRLPKLLTTLLCGDRFKRYGWACFDLPTSSHIILVWHIATNLCEMALAKEQGVDLGKPGILRSFMSYKPYLINVDELKESNPGFMCSLLSRFTGCLSKSHGKLTEELRKRYIVANSLSQYCAYLLVKKPDLIPDSFLVPKMVFQETVKSSRDETLIHCDSLEAMYNKLYLEAYRASENSNNVKEGQDVVKQGALLGKLLLDHEEKEDCWEILAGVWSELLVHIAPTWNAEEHITCLESGGEFITNIWALLWHCGIEKSNLWPEYDAPDDDAPAKSQGNSAGNNNFVNPGEKTQQSTADVTNHLTRTEVHGADDIQGTRKPEAISLRIPPGGIMEGQRSCEIEEAF >Dexi5B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:5B:10439693:10442788:1 gene:Dexi5B01G0014090 transcript:Dexi5B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASHLLLRTTTAAATRRCHPQPLLAPPPLLPLAPPWLASASSYATQAAAAPAPPRVAKPLRTVASLLRLNDLRDNPGATKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELISMKTLKETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRTTARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRDAARVTA >DexiUA01G0025720.1:cds pep primary_assembly:Fonio_CM05836:UA:54071622:54072995:-1 gene:DexiUA01G0025720 transcript:DexiUA01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGPGLVLSPISHPRDASGDSAPDAPSARPVASMPPSPPLPPRLNPSLPVPELRPPVHHLLRRPPAPVQAPVAASASRPGLHDQLRPFDADHRQAEVVCPSPRVAAPPEGARQCGSASRRGTRRLPSTRIDEYPLVARNSDAYEELLRQEAEEGNPEELETESVELEQQQEKNEELQHQPEFGAQSPPRRRTRYSTLGYHTTIDP >Dexi9A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:9A:5223652:5229942:1 gene:Dexi9A01G0008850 transcript:Dexi9A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLRRGLGILLVPTPNAPSRPPPISLEALLLQPRRLYGFSRRSLCSFTSGGRAVEQFSDDEYDHEYEDLRPSSSVVNIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQLRVEGLVQEHLDRALLSLDRNGGNTESCSEVGKKADNVNMDEQHDSLLDRSVMEKILQRKSIRMRNFQRSWQACMFHMHNNSVFLLGSDRESPEGVKMLEFRKSLPAYKDKERLLAAIARNQVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPDALKNSSFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKVVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQPNLKILSPFVTSRIGGAELAVFNLENAIISILDIKSLQVGSIGEFLSAALQPPEPLAVQNAVEFLKMIGALDGNENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPLNPFYFVLPLQHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMGGHLKMLDGYVDFFMDPSLSECYLQLKEELDKLIQQKLEDPNFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLRSPEEEDGKSSLIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAMVEFKGMQFVGKPKRNKQLAERDAAIEALGWLTQTSGVKQQDQGDDDSPLDLTDSMLKLLTRPRRHSKNNQKKR >Dexi1A01G0023830.1:cds pep primary_assembly:Fonio_CM05836:1A:30447482:30449977:1 gene:Dexi1A01G0023830 transcript:Dexi1A01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRPKSAAAEGKSGKVTPPTPKGTRASKPAPAKLANGTPPQAPRTADRSPRSADKPPSGDRRTPKVFDRLSTPPAEKQSSAVKQSQELQAQLAAIQEELLKAKEQLVEKEKEKGKVLEELEDAKRLADEANANLLVALAARKKAEEASETEMFRAVELEQTSIESMQKKEEELQRKLESMRSQQESDAAALRSTVEQLEKARYELADAIDAKNLALSQVDDATRLGEVNAHKVELLNAEVARLKELLDTELESKEREGAEQIMKLEAEVSALKIELKKAKDAEEKVAELGDMIEGLRVDVANATKARTEAEELVDEWKEKAEILEIKLEAANQSYMVKVDSLNSVMKELDAASTLLAEKESELSDLQDKLQALEDEVARQNEDINMSNERLDVAEKEAVELREEINELQSKLQALEEEKMDAINNENNASSQIESICEEKEKLAKELEASKDEYEKVKKAMEDLASALHEMSGEAREARERYLNKQEEIERAKSQIEELNMNLKNTQENYEVMLDEANYERVCLKKTVERMEAEVKNTSDEWQSKEVSFVSSIKKSEEEISAMRAEMDKATETARDWENRNAELEEKLKELEAQVEEANRAKDEAKAEALDWKEKLIDKENELQNIKQENDELQVKESAASEKLKELSSMLGNAKDRMPNGTGPKGENEKGKEDDPVVVVAKMWENSKVTDYDLSTEKEKDGESELDLESSKGDAASDCHRLSTDTRINNNTKLAIKQQQTKKPLMRKFGGLLKKKSQH >Dexi9A01G0032320.1:cds pep primary_assembly:Fonio_CM05836:9A:37221058:37221570:1 gene:Dexi9A01G0032320 transcript:Dexi9A01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDKSAGDWPYTQAKQLALVALSCVEMSKEKRPDLLTKVWAVVEPMIKRPPAASWPYLQPDSDGNRAPAHFFCPILMEIMNDPQVASDGYTHMKQKQFGVGLMAGTIGLQ >Dexi4A01G0022880.1:cds pep primary_assembly:Fonio_CM05836:4A:26132515:26143079:-1 gene:Dexi4A01G0022880 transcript:Dexi4A01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPWPTPRSVRQASQLHALLITSGKIAHPPSAGHLLNSLASCVSTPLHLGYALSLFDRLPHQSTFLFDTALRACLRASSGADHLVLLFRRMRRGGVRADAFTFHFLFRCCAALPFPGARGRAGLCRMLHAACHRTMLPSAATLVANPLIHMYAVLGLTDDARRVFDEVPVKDAVVWATVIGGLAKAGMLYEARGLLAQAPERNVISWTSLIAGYSRAGRPAEAVDCFNSMLSDGVAPDEVAVIGVLSACSQLKDLDLGRSLHFLIGEKRIKMSDNLVVALIDMYAKCGDISCAQGIFDAVGRGQKPQPWNAIIDGYCKLGHIDVARSLFDQMDAPDVITFNSMITGYIHSGRLGDAILLFMQMRRHNLRADNFTVVSLLTACASLGALPQGRALHASIEQRLVEEDVYLVTALVDMYMKCGRMDEATFVFHRMRERDVHTWSAMIAGLAFNGMGMVALEYFYQMKRDGFQPNSVTYIAVLTACSHSCLLDEGRLHFNEMRSLHRIHPQIEHYGCMIDLLARSGLLEEAMDLVQTMPMQPNAVIWGSILSACRIHKKIDLARYAAEHLLKLEPDEDAVYVQLYNIYIDSRQWVDASRIRMLMEERGVKKTAGYSSITVAGQVHKFVVNDQSHPWTFEIIAMMEEIAQRLKSVGYSPITSKITVDVDEEEKEQALLAHSEKMAIAFGLISLAPNLPIHVMKNLRVCEDCHTAIKLISKLWNREIIVRDRKRTKSTIHLKHKCFMSQIGVQFGNFEFAHAQGTKPPNVAGPRRCSSSQATALRPGLPCDLPLPGVLLPPYSVRALASAPVRFEAVRPTPDSRCQPSTETEPRGACLRAFAYRGGEDPNVICFTSVISACARYGEGAQGMELFNKLVSRGLKPDDVTFLCAIAGCDQAGLFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVEEAMKMMEHSPLRHYTKAWSSVLQSCRAHGENVLGKRAANMLIDVGQKDPATNLQKPQDDARVDANANAQRRAAPAAAAAAGGPRRSSPPPPLQSLSSFPFRGVVEAGQLLRGGERGASPGEGKELGQGAGSGRRSAASGMASEELLHKVQALIEGCLHVYMNQKEAIDAVSQQAKIDPGITELVKQNSCFLPETASGSAMPNGIMHNGSSSGMINGTPSGDQLLNAGKDLHGLHSGIDASTSLQSDQNAAAVMFGVDNGTSATIKTESGYSSNADFAFCGNNFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSYFLNQIPQSFIFSDLAEDFSQSAEMAPFLTSETNNFSDSTGGDHTG >Dexi4A01G0023460.1:cds pep primary_assembly:Fonio_CM05836:4A:26530064:26533276:1 gene:Dexi4A01G0023460 transcript:Dexi4A01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVWLSALLLAFLLAASPFTQELTFPSVFIVARAESEEDAATAEVVEGADLGIVGDDTQVSSDGPLSPAPGVETVCVFPKNAGKIVPAGEETELLVGLQNEVLTPFAYIVVFTGESTLNVVAVHSTLHLPYDHRMYGQNLTLQNFFNASVPVSVQATFPYKFAVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTVEVVEAGGLLSVESVFLITLGIALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTSFAQRSKSKKKQT >Dexi2A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:2A:13515419:13517706:-1 gene:Dexi2A01G0011600 transcript:Dexi2A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQVVVILLDVMAFGLGVAAEQRRSRATVTPDPAQEYDYCVYDSDIATGYGIGALLLLAAAQVVLMTASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEACLMAGSVRNAYHTRYRGIFNGEPLSCETVRKGVFAAGAAFTFFTAILGEVYYLSYSKSRDAAGGAPYGGSSIGMGPYN >Dexi8B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:8B:25457556:25458909:1 gene:Dexi8B01G0014780 transcript:Dexi8B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETACLDYLHYPLNPNKILRTQERTHPLRPPHCSCGRRRPLPPLAGHAATTAGGGATQFQCAHTAALAGTPPTAIPDPHPPGLRRRGDLPGDLAREPVTIQVHRAGGGELGGDAPGERVVAEVHRGARLDMPRWTELAGERVLAELKVTDPEREDLTGDLAGEPVPAEVEEREPRQPPQHAADLAGEAVAGEREVHERARERRDAAGEAVAAEVEVDERGPHRPRDLAGERVVGEDQRPEPPELEQRRRYSPREGVLAEVDGAEPGGERGGEVAGELVPGEGEEAEGGEGGDGGGEGAGDVAGDEGEGCEGGELGERGGREGAGEAGGSGPRVAEREGDDAGAEIPAKAQGSAVKSQDEKKREPGSRLGGASPPPPPLPAAGGGGAWREGGAAAVARARGPLGLGVAGGPVREGGSERGEVR >Dexi4A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:4A:5727159:5728619:1 gene:Dexi4A01G0007590 transcript:Dexi4A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRQSRADGEGKSNKSMPNQRQIEQIKAKSNNRSQDRKKRQQGGSQQHHPRTGVAQRAAAAAEMEASSSSTPSGEERESAEQHAATYCSGRRRARRAPPASSALRPASLFALLEPVTSREARRGHETGCCYASEAESTQSLSTPLPTPLATLSLSLSLKKSLVFYRGRRIAFDRGRRIVNNG >Dexi1A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:1A:2440335:2443059:-1 gene:Dexi1A01G0003380 transcript:Dexi1A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMDMDSDVDAVLRRFDLRLDGRRGCGDPCGSDRSRSGGGGGGGAEGKGAGDGDGGGGVKERIARALRIYKEAAAVAGDGSGDGGVGGALVQVWAPARDGGRRRVLTTRGQPFVLAPPQCHRLFQYRTVSLTHAFPVGGAGVPGERGLPGRVFDAGVPEWTPNVQFYGTGEYARISYALIYDIQGALAVPILDPVDGDCVAVVELVTTEPRLCFAAEVDKLSKALQEVSLRGSEICRPAPEVCNEEADDQAMSEVSEILTAVGEAHELPLAQAWVRCKRCSSDTSTEQKHASLTSAGTPFYLAGGDQSLLAGFHEACVEHHLRRPGRGGLVEEAAAARGPRFCADVAKYSMDAYPLAHHARFCGLASCLAVGVQRRRRDDDDVASMEECVLEFFLPLDCRDGPAQKKAAEAVAATIMERFGDGHLKAIVISGLQDLAFEIVADGECMIRPDRVTIADDHELELNDYCGDERDSDEEGLHLAEVMGTVDVEAPNMNNGDQNGGEEPIPEVGEKKKKAKRKGEKTVSLEVLQTFFSGSLKDAARSLGVCPTTMKRICRQHGISRWPFRKIAKANRSLDKIKRVFESVPGSLNPMAPSTPAAAAAAASQQAPAVATAHRDHALPCLSSALGMASSQGSCHAPPKEAAVRVPLHGVDATGVVTVKASYRGDIIRFRVACSAGVATVKGEVAKRLGLDASEFDVKYLDDDNEWVLLSCDADFQECLDVVPAMSGASTASGSGAAQPVVRLMVQEVAEIHGSSCGSSD >Dexi5B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:5B:17429308:17433081:1 gene:Dexi5B01G0016790 transcript:Dexi5B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSPPLSVSRTLSPTMLPAVAAASLALSHLPRHHHLGRCFHLRRQRLLPATPAKASCGSGRARRLLLAGAFASGDGPSGQDVDYSAGATSSGSAYLGLFVRLLGLDNDARDREHAVCTLYQYSLGGRKSIDEIMQFPGCIVLIISLLKLESTGACEAAAGLLRNITSVQVYRKMAAESGAIEEIISLLCKSTITPEMMEQCLCTIWNFSIDENWRYKILRSDALTKIVSYLDEEDIKVKEAAGGIISNLALSPCNRGALVEAGVIPKLVHLLQTKEDDYKIIRKEARSSLVLLARDDYYHSLIIEEGLVRVPLVGSAVYKAFKPLPHSWPSFPDGSEIQRTSRPSKYGATELLLGLSVNEKDAKPDEAKINAMIGRSNQQFLARVGAIELDDEGNEQSGSEKNDLYTILPWVDGVARLVLILGLEDVSAIKKAARAIGDASINERMRTSFKEAGAVKPLLQLLKHDDVPVREAASYALEKLSDSSVICQKIKAEGGLEPLINIVKDPKTPVKQLEKIVYVLSRMVDLPESYAREEVMSAERSIQGDKASGNSAVSHTFVNQEMTRFVIALWLQF >Dexi9A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:9A:11625275:11632838:-1 gene:Dexi9A01G0016590 transcript:Dexi9A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMEADDAEQNRDLSYDEKDQMEEKELEAKIKGVLMKEVKLEQAECSLDERVYCNKCRTSIVDFHRSCECCFYDLRLGCCWEIRKGEMSGEEVKSVWYEDGGRDYVFGSINLRKHKESPNSMATSEDPNTPLLLWKAKNDGSIPCPPKELGGCGGPFLNLKCLFPEKLLSELEERADRIVRSEIFAKAVEKEVMTYLESTTVVTGQWVHVVKKASGMDMQMKRKDQVNILTHTAEVAYNTYQLQMMEKTRKKMREQDLNELYGGLESGTDHGLSPSADFRDGACEDISDGTDINAVPIDDSKGVAKGQPSSHDSEVIHPIHDHSFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPYQVRNMKSCIKVAMGFVSPENLGECIKLSEEFRRLPCDHRAKEDKLESPGVLVRCSELTADAAAVCALGRPALCFPSCQFLYGPPVTS >Dexi6B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:6B:4471189:4471956:-1 gene:Dexi6B01G0005190 transcript:Dexi6B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKGYGQQQAHLLSPVGSPPSDNESAAAAAAAAAGSSAGYGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGGGGGGRHGEGAAEDCGGGTAAAAHGDVGAGGGHDGGADVGLMMGVGVGFNAGGGASYYGGAVAGRGYGGDAAAKVVEFDGEEENGGGGGMQRGFGGHFHGAVQW >Dexi7A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:7A:27419494:27420576:-1 gene:Dexi7A01G0017830 transcript:Dexi7A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWGSLNDKLQGRRWKERQVRKITDKVFDRLTEDAQKREKEALTFEEVYIAVLCVYNDINKYLPGPHHDPPSKEKLKAMMNEYDVNLDGLLDREEFAEFIRKLTADSLSAISLKLVITLVAAPAVAMATKRATEGVPGVGKVVRRVPNALYASAITLAVVLVQKSSEGVE >Dexi9B01G0030760.1:cds pep primary_assembly:Fonio_CM05836:9B:33194955:33196134:-1 gene:Dexi9B01G0030760 transcript:Dexi9B01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAGTTSILLATCCLMVAAAAAADASASGVAGDNLMMGRFLRWQAAYDRSYPTEEERRLRFEVYRRNMEHIEATNRAGNLTYTLGENQFADLTQEEFLDMYAMKGMPSSSGGLRRDAGRKQANLSAVDAPPNIKTGKLVSLSEQELIDCDPYDGGCNLGYFVNGYKWVIQNGGLTTEANYPYQARRNYCSRSKASQHAARISDYVQVPAGEGNLQQAVAQQPVAAAIEMGGSLQFYSGGVFSGQCGTRMNHAITVVGYGADASSGLKYWIVKNSWGQSWGERGFFRIRRDFTRSGLCGIALDLAYPVV >Dexi3B01G0031190.1:cds pep primary_assembly:Fonio_CM05836:3B:31796363:31804774:1 gene:Dexi3B01G0031190 transcript:Dexi3B01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLAGSPMAGGQEQARYGNARGPQPAECTWARPCPAATSFSGFAPFVPSLSLARLTPFLCVSPSLVPQPLPTAASAAAARGEPERLGGGSRPGSGKLRRLGAIPTRGPVAAAPSALVAPQVSIATAMVFCHRFYLRQSHAKNDRRVVIKSKYVPMLLNLISIQIIATVCMFLAGKVEETPRPLKDVIVVSYEIIHKKDPNAGQRIKQQKEIYDKQKELILLGERIVLVTLGFDLNIYHAYKPLVEAIRRFDVGDKGGLPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPSDGDKIWWQDFDVTPRQLEEVSNQMLELYEQNRTPQSQPSQGSEAEGSSAGVRNLHSSVKSEGNSKEASAHGHQVSKLSNVQHSGHSNSDKHISGHKMPQNDNGNHGGTKDKSSRSGNKSDAGMDRSHHEKKSSPAHNYPKTSPEFRNPMEEHKPHRAHDNSHETRDVLGGNEAPSVSTSRVDAMNRIDKDKVKAALEKRRKSKGGVAANVNMMDDDDLLEREIEHGVELAVEDEKTKQDKQQDLSHGSMPPVDLQHVDHVMENGQHGEQSMPTTAEAGDFPVDSKEHPQPFNQPNDGAELKSKQDDRTLKGHDDAQLAGRREQEGKDVYKRPKLEGVLDNEV >Dexi1A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:1A:1340362:1340919:-1 gene:Dexi1A01G0002030 transcript:Dexi1A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTKLFGSLSCLDDPVIKELADKYGKTPAQLVLRWGLQKKTAVIPKTSKVERLRENLEALDFDISEEDMEEIKAIDRNCRSNQPAKFWGIDVYS >Dexi5B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:5B:12827773:12836754:-1 gene:Dexi5B01G0015590 transcript:Dexi5B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRVEELRAELQRRGLNDSGNKPALVRRLDAAVRKEEKAAVAAAAANAADGDVSTAADCLVMDGDENGGVNKKRKRSGDREEEGNGTGSLEAAKLEGMSYRELQGLAKSRGLAANGSKKDVMERLLLAPANSADGVQDDKRAPKDGAEKVEEEVKKEKMVTATKKGAAVLDQHIPDHIKVNYHVLQVGDDIYDATLNQTNVGDNNNKFYIIQVLESDAGGSFMNFKCYAKKYTWLEMDYGETEKETKKTEKKGSITDQIKETKLETRIAPFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISKADRRQLEQLTGEFYTVIPHDFGFRKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSSDQDDPLYARYKQLHCDFTPLEADSKEYSMIKTYLMNTHAKTHSGYTVDIVQIFKVYRHGETERFQKFARTGNRMLLWHGSRLSNWTGILSQGLRIAPPEAPVSGYMFGKGVYFADMFSKSANYCYASEASRSGVLLLCEVALGDMNELLNADYDANNLPKGKLSTKGVGRTAPDLSESKTTDDGVVVPLGKPKQEPSKRGSLLYNEYIVYNVDQIRMRYILHVSFNFKRR >DexiUA01G0009390.1:cds pep primary_assembly:Fonio_CM05836:UA:18341792:18343615:1 gene:DexiUA01G0009390 transcript:DexiUA01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYDFSGKVSLVTGAASGMGLATVRAFCESGATVVMADIREDALSREADALLAEGYTVKPVVCDVTDEEQVRRMIENAVQAFGQLDAAYNNAGIQSPIAETADASGEEFDRVNAINLRGIWNCMKYELQQMRRQKSGAIVNCSSLGGLVGIAGRGVYHATKHGVLGLTKSAALEYAARGIQINAVCPGIIRTPMVENMLNSEPDAMAELMKLQPIGRLGEPEEIARAVLWLCSSDASFVTGQALAIDGGYRLIDTAAVYGNEDAVGDAVREAIAEGLCTREELFITSKLWVQDMASYETAKADAYDAGKLKAIGVSNFYAHVLTNFCETTMKYYNVQPEAWAPLGGGRHKPYENEMLKGIAEAHQKTIAQIILRWNVQRGVTVIPKSTHQNRIEENLAIWDFSLTDAEMAQISSLDLGYVGDAVKHFNPEFRDAACHPLPLLQRM >Dexi1A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:1A:25612700:25616707:-1 gene:Dexi1A01G0018370 transcript:Dexi1A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSSCDGSGNPSPPAAVAGAAPAAAAWPRRQCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRFNIGRYANLTAEPWGTAAESPEPAPAPPSVYRSEDPSVPASELTETYWKFYGAAGGVGAALAWAWLAAAWRKDGGKVVMRTAVHSLTAYLAVVSVLCFWGKHLFWGVALAVGSGLHFVYVMSVLDRFPFTMLVLQKAARMVWELPDVMGIAYAFVLVMLCWMALWSFGISGIVAFGIPNGGQWWLLLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAATMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCIDFLFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALVAYDCSGAILLMSTILGGLITGTCTGVWTYFKQNDKAIMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFELLSEALHERLQYRSARARQILNGRLDQLPHTSSI >Dexi9A01G0046940.1:cds pep primary_assembly:Fonio_CM05836:9A:50136788:50144880:1 gene:Dexi9A01G0046940 transcript:Dexi9A01G0046940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDPSSSDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVLALHPNTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFIKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPEEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEDVDLENIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNDHFKTALGTSNPSALRETVSISSTVQYPVEHPEKFEKFGMSPSKGTELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNVKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDEIAEIKAAHFEESMKYVRRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPAAAAASAGAADPFAAAADDDDLYS >Dexi2A01G0030620.1:cds pep primary_assembly:Fonio_CM05836:2A:41506514:41506930:-1 gene:Dexi2A01G0030620 transcript:Dexi2A01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYADGFSLPYTFIDTMTLCPGWLFLMIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSMAFIQIFTVLECVRCALCGMYPDVPFISHAAFIHSDLNLFR >Dexi3B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:3B:12203411:12203833:1 gene:Dexi3B01G0016720 transcript:Dexi3B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVPVELGEAGRAGEVAAECGEHGGDVGEDEVGEAEAGEAESCRTASGAELHSPGAGEAEHVGERERRFAEAALDELDEDQGRGPHRGAHLEGVVVLLERQHRASHRKLHHRRRGEPHLLSAAAAADPSSH >Dexi5B01G0027020.1:cds pep primary_assembly:Fonio_CM05836:5B:28660509:28660966:-1 gene:Dexi5B01G0027020 transcript:Dexi5B01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEAAVAATLLFKTPLRKLTVLALDRLKRGRGPVMVRTVAATVLVVLASSLHSMSQIRGHAEGELDGAGVVGLTPTDQVLIARHLLEASLMDKSI >Dexi8B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:8B:24894791:24895500:-1 gene:Dexi8B01G0014310 transcript:Dexi8B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAVPVLLLLLPVVVAAAAAAPAAAADSSNGTTICSNISIPFPFSVEPGCYRPGFYVTCSDEVPPRLLLHNISEVFDIERIMRLLQKDAQVQK >Dexi3A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:3A:12080954:12085079:-1 gene:Dexi3A01G0016170 transcript:Dexi3A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRAGGGYESGSDHDGALQKPLLPNSGSWYRMGMGSRQSSLNAGTSSMAVLRESHVSALLCTLIVALGPIQFGFTGGYSSPTQDGIIRDLNLSISEARPDSSRCSARCPMWAPWSEPSPAVRWLSTLAVKGLYSSTLTGLLAALQSLMIAAIPNVIGWLAISFAKVPVYIAEISPQNMRGALGSVNQLSVTLGIMLAYLLGMFVPWRLLAVIGTLPCIVLIPGLFFIPESPRWLFSFPSWQRAVTSANKRTTIRFQELNQKKFRTPLILGIGLLVLQQLSGVNGILFYASSIFKAAAFHQVLATGVTTTFLDRAGRRILLIISAAGMTISLLAVAVVFFIKDNISHDSDLYNILSMVSLVGLVAYIIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLSWSSGGTFTAYMIVSAFTLVFVILWVPETKGRTLEEIQWSFR >Dexi5B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:5B:2647868:2648790:-1 gene:Dexi5B01G0003860 transcript:Dexi5B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEDRPWATFSPHRRPLSARIAILEARILKHQTGMLYAPTSQRPLPLQIHSIRDLDLNSLSLSFDESVEGLRRLLPCQAVADQQQDDRCRGFSTHDIIPRSPLLDLREPHCLRPEDKREEHHRLAVAGEGTCCSRPRPRPHTTQVLRVLLAPRSSVGSLLASRRIEDVARAHAHAPSPCPCPLPRKERSRGKARGQALCSPRFLCSNKDPYCEASSQDPGH >Dexi4B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:4B:22471887:22474045:-1 gene:Dexi4B01G0020260 transcript:Dexi4B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSTKSDAAAAAAGGGEPVVEEKAAVGVHGVEATITEQQGKAIISMAKRAVEEEAAKAKTRGDGGAAAAGEPSLKRSLECFLESRKKAASSAASRRRRLESPSTSSSSSSSNYQLSEESLASEASRSINHHDEAGHEQWRCGAAATVGTPAPGKTITEEQARDIMSAAQRAVEEAKRGDAEGMSLKKSLEWFLEGRKNKAAMATGETQRHIIDDSCSSS >Dexi7B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:7B:15845291:15847631:-1 gene:Dexi7B01G0008050 transcript:Dexi7B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSSRAPPPPPARPLAAFADDDDDDVEAEILRQAAKKKALQKVEEQQKKAMEEDPSVFAYDEVYDDMKEKEARPKMQDKVVRESKYIAQLKEKAEQRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEERLRQLREEREDVTKKTDLSDFYFGLQKNVAFGAQTHGNTKHADPQKLENKPEDIKSSNLDAEVSEPSPKRRRESSVGSERAKSVEEPSRSRSRDPTEAASTEKSATDVPSNASQTPQNIQPAKVTDDHYKRSDDALAAARARALARKKAKEQQL >Dexi3B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:3B:10627722:10628592:1 gene:Dexi3B01G0014790 transcript:Dexi3B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKRQAKRKTKSKQSSSSKKELQQKQAAAEQRHGDLISELPDDILSLVHHKYAVRASATCRRWKDMYLQAPDVCLYVVSRCTAAAAAAQSMERALRRRRSPVRRLRVVYSADVAAERECMARLVGLAGAAALEVHAACADDELPEGGAGDWSLELPPGTAELTLLMTGFAIRLPTIHGPGVGSLRSFTLEAPLARAPNDRVLAPRLRVLTWRVCWAYEVRIDSVGLLTDVAVELACGVMPRILPEVVRTITKEEARALMRSILAGLYPGLRHIGVALKQLVR >Dexi9B01G0021450.1:cds pep primary_assembly:Fonio_CM05836:9B:16170930:16173886:-1 gene:Dexi9B01G0021450 transcript:Dexi9B01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRSTFLPRLAATAAAARALSASARPRHAPSSSSAAAPAPSPHAFHAHLASVEPHLPSLLAALSRARAARLPLLPATRALAATALLRHGRLPDALAHFILLPDSDPTAPLPAPLCNSLLAALAASAGSLSHARKVLDRMLVGAVEVDTVGFSVFAKAVGRKDSGLAEVLQLVDVVDQQGNQINRSVVVAMVVDGMCREGKIEDAWHALEDLRLRGWKPDFVAYRIVAEGFRVAGRVDEEGRILKQKRKLGVAPRKAEYRECVLTLVSNRLFTEAKEMAEAVVLGDFPIDDDVLNVLVGSVSDIDVDGAAMFCKFMLGKGRFPSTEMLVQLCENLCKSKKGDEMLEIFRMLLEKGYCKNARDYHLIMSFLGTAGKVREAYDVLKEVKRKRLEPDISSYNALMEALCKNDLLRPAKKLWDEMFTSGCSPNLQTYNILITKFTEMGKSEEVQQLFDHMFQKGVGPDAATYTSFITMLCEENKYEQALEIFKKSLMQDAEVASSVLSMFILALCKKVV >DexiUA01G0025360.1:cds pep primary_assembly:Fonio_CM05836:UA:53493682:53494252:-1 gene:DexiUA01G0025360 transcript:DexiUA01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGTDVKVANLVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPSEKQIARSVSQAFRQAVQLKLFLSNYSS >Dexi2A01G0035500.1:cds pep primary_assembly:Fonio_CM05836:2A:45126261:45128049:-1 gene:Dexi2A01G0035500 transcript:Dexi2A01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVLPDAAVAVAAADVTPRTHPLPHRVSVSPSRAPRRESDPKKRVVITGMGVVSVFGNDVGTFYDRLLAGESGAAHISRFVPTGFSTRFAAQIRNFSSDGHIDGDGDSDSDRRLDDCQRYALVAARKALESAGLALGSRAIDKVDVERAGVVVGSGIGGVNRLAAGVESLVTRGASNVSPFSVPLAIPNMASALVSIDAGIGFMGPNYSVSTACATGTHCILSAADQIRPGRADVMLAGGAEAAIAPAGLAGLAALGVLSRRNADPATASRPWDRDRDGFVLGEGAGVLVMESLEHAMRRGAPVLAEYLGGAASCDAYHVAKPRPDGRGVSLCIKRSLEDAGVAPEEVNYINANASSTLAGDMAEVKALKQVFKDTSQIKMNATKSMIGHCLGAAGGLEAIATIKAITTGWVHPTINQFNPDPAVDQFDTVRDVKKRHQVNVGISNSFGFGGHNSVVVFAPFKR >Dexi2A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:2A:3751113:3754196:1 gene:Dexi2A01G0004170 transcript:Dexi2A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSTGRDPYDEEAGGRRPFELDGRDAATAASSSDHRPGNVMPRYQVGSTKGDTSNRLVEGPQQPSTDDVRKSKTGSRYYTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGFSSLPWLIKPLYGFIRSDETLCNHLFLVCVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVSSCK >Dexi3A01G0027060.1:cds pep primary_assembly:Fonio_CM05836:3A:23669618:23680359:1 gene:Dexi3A01G0027060 transcript:Dexi3A01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAALLAYGRACSSDLGLRRLEVVVEEAEALKALILERMGKPDEALSVSLNAKELLYSDNIFHFDDLTLSTLQIVFQRLDRLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTALKMYKTVGEERFLLWAVCSIQLQVHFSSGGVKLLALAEALLKKHINSHSLHEPEALSLYISILEQQEKYDAALEVLSGELGSLMGREEDKLRLQGRLLAQASSYAAASEIYQKILESCPDDWESFLHYIGCLLEHDVNLPKPCTGEHTCPSCSVDSALSSKTSLSQELVESRLTNALSFVQRLQENNSSDCVRGPHLANIEIERQRCLNGNLNNIKLMEALVKYFHSLSFRTFECCLGTCRFGHLSCSASDVEKYLHMLSGEEISKLLDEISGSFDASSVSVNTLGLTITLFKVQELLGTLFTKSTAELQGIAKRMVDTFYKNLSLSNDLDPQESMYGEELLSMASSILVQLYWHTRNLGYLLEAILVLEFGLAVRKYVWQYKIILVHLYSYLGALPLAHKWYITLEVKNILLESVSHHILPQMLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLQRSMQYLSVKSDSVMLHLKQKAESLDEVESILVNVNLGTKLVELSNEDSMKHLTFNEDLEARPWWTPTSSVNFLSESFDEGSTPASYRTKMCKYKSNEKDGPKLKDAERKSVIPRLVYLSMRGCTTFLRESESNGASSDVTVAGEMKTLLEKYARTIGYSLDDALSIVLGMSTSKKAVKDFAPDIVRWMSFAAFINAWNLCSSESVIPGTDRSSPSSWQIVGDLFKTCIEAQLTDAKQMLTSPGNNIPLLAQMVTEPISWHLLVIQSCMRAMTPQGKKKKKGGPLERPNTPHLQAIQSSVNCMVDTLQSVQAWLSDQVRPEEQALDILMSHLQGTCADGPGQISRTLDESAAVANAEIGGRIAQSLEPWSSTGVMRRIVGAGDETITELKKICAKKLKMLASASASLSSVLH >Dexi8A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:8A:24656388:24657823:1 gene:Dexi8A01G0014210 transcript:Dexi8A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPQPWQICSPRLYKANSTYQFNLEMLSFSFTGADDSSRLFDKVSTGASPNTVYGVALCRGDVNTSACSNCVHAAFQGATQQCPLSKDVTIFYNKCLLRFSDKDILIMDSVNRVNTSAVVDGELVLMNITSEPMLPGWEVKNQSANITSLFQTMLDNTIAQVFSKPRHYATIRMDAGDGSTTTISHLYSMAQCAPDMIEDICYNCLTDFSNLAMENFAGRQGGRVLGLRCNLRYGTSKFYDGEPTWSSGLSGAFVPSPSPEPEPLIPSPKHKKSMAKVLVIALTGVLLGLLICVIISLIFRRHNKGKLNAVEDEALILELEGKNTEFTIYDFSQVLEATEF >Dexi9B01G0024820.1:cds pep primary_assembly:Fonio_CM05836:9B:22658010:22660359:-1 gene:Dexi9B01G0024820 transcript:Dexi9B01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSDLAGKLSDLTNQFAALKPLIPLAKKLDGIPEKVTALQASAFEQNEQLRALGLAVSRLEQRLRDGKQPSDQDTSPEAPNL >Dexi5A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:5A:12992716:12998622:1 gene:Dexi5A01G0015430 transcript:Dexi5A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRTELEVGADGVAVITICNPPVNSLSVDVLYSLKESYEEALQRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQTVQPKVGYIAIDILTDTLEAATKPSVAAIDGLALGGGLEVAMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHELGLVDALVSPNDLVNTARQWALDIYELRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVVEEGIVAGPRAGLWKEATAFQDLLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKISKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTDERYEKAMSLVTGVLDYEHFKDVDLAVIENVKLKQQIFSDLEKYCPSHCVLATNTSTIDLNLIGEKTKSQDRIVGAHFFRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRVGEATQKGFYKYEGKRKATPDPEIMKYIEKSRSMAGVTPDPELLKLTEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMYWADSMGAKYIHGKLEEWTKRYGGFFKPCSYLAERAAKGIPLADSEYCSPFAKISAAH >Dexi3A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:3A:3371045:3374222:1 gene:Dexi3A01G0005230 transcript:Dexi3A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVHMSAPCDGECAPLPDHTASRRRRGTARGATALKGAALARSGPMAIVPCRAWAVFSACSTSTGTARKWVGTALARLRPDNGPFNNITYINLQTLIPFHSLSLFLPASRRPHPLFTPLLRSRRKPAAIAPSLLPPRLTHPSALAIGGRHRQLAIHRAVHGCHAAPLLLPRPPGVAAPGARHGAAAAGSWAWRRRTWHPCMPSTAEMCLVLGTTALRGTARLALVPCSASAAYVVPCRHARLAMYTWSYWSSNVLSEFPASRVYSDLQRQAAMNPDTKLVLEEISKLSKRFADQDAKWEARWAEGDDRWERKLSDLSIPHDARVAALERTATSFDDWRPVIETSVDKMRLEERSVMEHAPPILEKLPSAAWRPSSAGGADSPHGHHIDPAPRESDFGRVTAVVHSPVKG >Dexi3B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:3B:3901625:3903264:1 gene:Dexi3B01G0005740 transcript:Dexi3B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRYVFPFVDYEKINPIKYIPALVDGDIVISDSLAISLIANIVCSSIQPLQGYAVIVAIEKLLDGCESKYATGDDVQLSDVFLEPQIHAGINRFQIDMSKYPILARLHDAYMEIPAFQAALPKNQPDAPSS >Dexi3A01G0025450.1:cds pep primary_assembly:Fonio_CM05836:3A:21183373:21185545:1 gene:Dexi3A01G0025450 transcript:Dexi3A01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQDLSSELDPTLLMSTTSTTSSSSPPDSASPSFSFSPDASPPHYTFSSVSFTASSSNILAVVGPSGAGKSTLLRILSGRGTGSELSNPGTAVSLNGHAITSRSKLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRTSSAATARERRLERVDALIHELGLSEVADSYVGGGGGARGVSGGERKRVSIAVDMVHDPPVLLLDEPTSGLDSRSAMDVLALLHGVSRARRQVVVLSIHQPSYRMLAGYISSLLLLSHGAVAHSGTLKSLEDALVRLGHKIPIQLNPLELAMEVTDQLKEHNHHHHAHADNDEEEEDDHDEMSLIVNNNDDDDEVPDEQGYCSRWTEVTALTVRCWRTMYRTRELFAARAAQAVVGGLGLGSVYFRLSPDNPDGVALRLGLFAFTLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANAAVFAPCLLAVSLLFSAPLYWMAGLRAAAACFGFFVLAVWLIVLMASSLVLFLSAVSPDFVLGNALICVCLGVFFLFSGYFIPKDSIPRYWGFMYYVSMYRYPLDLLLINEYGGSARDKCVALVGGHGGGGNNSAGAMAGGVCLRTGADVLRDRGIDEGMKWVNVGVMVGFFLLYRVMCWAVLVRRASKTTL >Dexi9B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:9B:13189658:13189967:1 gene:Dexi9B01G0018430 transcript:Dexi9B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETHGEEQGERGCTSTPARCDRGLETLNSLAALRSESFSELESRLGIVSRVGAAGKSTSAAAAAGVGRGTRVALNGTGSGGVWGKVTWACQTVGRAS >Dexi9B01G0014290.1:cds pep primary_assembly:Fonio_CM05836:9B:9564645:9566957:-1 gene:Dexi9B01G0014290 transcript:Dexi9B01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDQFVVILGLVALLSASAVALPTQRAGKDEEPASPCDPILLAGMAASCVGNLVKPSEVCCEMVIASVGFGYGGTDPVPCLCRVVKEHEFMATGLSADMIVKMYRVCDGVLPVDPFMGHVWASRQIRHQRGGDMAVPLSLAASPPWASSLPDDLVRTMASRLLAGDLLDYVRFRAVCHPWRSGTASPRGRGVVDPRFHPRRWMMLSEGHGLYPGHPKLHGYVRFFNLDTGAFVSVHIPLFEDHCVLDSFDGLLVLQRDHDTAIRLLHPFTGDILDLPPLSTLVPQMQQDLPGVPTRQKLPFLKSISTAATFSDDGGVVTVMLAFANIYRVAVATPRDSQWTMSTWLYSLGSPPFSCQGKTFVVSVTSKIFQIDTPLPGQVLQPPKLIATCSVDKLCRPIFFVECDSEILVIGYTDILCSKMLIYKLSDLLAQRYHVPMTSIGDKAIFVFQRALIASAKPLLPTIVGDTIVHFHPMKQYLAQYHITDGSWSPAMDECSWEGIDPGPCSLIQHIFTCCSYKHWYV >Dexi4A01G0014380.1:cds pep primary_assembly:Fonio_CM05836:4A:16430041:16434194:1 gene:Dexi4A01G0014380 transcript:Dexi4A01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTCLGGHASWCRFARALRQLHHLAVLAAAAAAAVLFLPGVHGAATAGDQKFPAIFMFGDSIVDPGNNNHRFTEAKANFPPYGQDFPGGVATGRFSNGLVPGDLLGIFKTHCLRLNATCCRWYNPVNSTILSVTTLSSTDQLQLFSDYKEKLIALVGDEEMTRVISQGVFFTVMGANDIINDYFSVPLRRHEYDLPSYVEFLVSSAINFTKVSRT >Dexi6A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:6A:20799495:20800671:1 gene:Dexi6A01G0013550 transcript:Dexi6A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPESSRARSHRAPARRRRPRRRRRASSRHERAAEVAPSVADFLLELQEPPRGSYLLVPERLAPDPRMRSPFDNFAYIIAARRGSLDPVYFLCDVRAGIATRLPAVPREVPIKLFPRRTVGLVADPRFPGHHMVVQLHPAEGADMRRHDALLCFSTATDQWTVKTLASAPDHEPWGAHGVFAHGRFLCWVDIAYGMLTCDPFEDHPDLRFVPLPPGSEMQGLGGGQRPTRLMDQRRCIRPSQGTLCYIEIQGLSYDRATLYDPPINPSVSVWTLSNPASPNPWNFECDAPFSDIWDHPAYISSGLPQGKVPNLALIDPNNHDVVYFFQDASILAVNVRTRVVVACEEYWVDRFLQDAQF >Dexi6B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:6B:2977077:2977964:1 gene:Dexi6B01G0003630 transcript:Dexi6B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHTTPSGDDQRQVAISMCSTPSDRSIAGAAAGAITGASTPRSSKLVPVDSLQKLMLKSPLASIHDDVEQEGRRRAPPVPLVKKMAAEFIGTFILIFTVLSTIIADAQHNGVEGLLGVASSAGLAVVAVVLAVVHISGSHLNPAVSLAMAIFGHLPLSHVAPYAVAQTAGSTAATFLAKGIFRPPDPAVMATVPRVGAGEAFFLELVLTFVLVFVITAVATDPASSKEVVAISIAAAIMMNALVGAPATGPSMNPARTVAAAMATGKYKDIWVYLVAPPIGAIAGAGTYTLIKP >Dexi6B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:6B:23260249:23262716:-1 gene:Dexi6B01G0015970 transcript:Dexi6B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTRFQCLPHQAMALLEVKRTFASSSNDPSMTLPLSDRDLTGNVPIHLAELIDLVSLDLSFNDDLRLHEPSFQTIIANLSKLNKLHLDRVKISSTAADCFRAMAKSITQLEILTMPGCKLSGPIHFGDRVNNLESLYLSFNSLSGTIPLSLFTHPALNRLDLSRNQFSGALGEFSNPSLTLVEVDLSVNQLGGPVPKSFARLAALESLSLDHNYFTDTFPSWIGELPHLRVLVLRSNQLYGSLSSLEENLTMEYFQDLQIIDIGNNTLNGALPTNLFQSSKLMTHPAGSGGGIYATSPESDEWFRPYLIVVNVAMKELYIDVSKIQADFVLIDLSHNRFHGPIPTTIGKLSALHVLNMSGNAFTG >Dexi2A01G0032320.1:cds pep primary_assembly:Fonio_CM05836:2A:42839577:42840197:-1 gene:Dexi2A01G0032320 transcript:Dexi2A01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSTMASLVLLMALLLSCSGMSGAARLLDEAAPKEEYPHPELPKPELPPHPTVPELPKPELPPHPTVPELPKPEVPEHPEVPELPRPELPPHLAVPELPKPEEPAHPAVPELPKPELPRHPTIPELPKPEVPEHPTMPELPKPEVPEHPAVPEIPKPEVPELPKPEVPEHPTVPELPHPDVPEVPKHELPPEPESHYPVPESKP >Dexi7A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:7A:28830802:28835860:1 gene:Dexi7A01G0019800 transcript:Dexi7A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPDAASASSVAGQPHNLPVLQAKMKRDPEGYEEELRQLHRHFESSVFLFQQQAALATTSSSAGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLREHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIIHSIRRMNQKHKNDTRNRKLQNIIFKFLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAICEACFHPSSRIMIAAIQFLLGYENAEQQEDESDASSSEDEADKNPQILLSKQDVYKANHKGTVASKKKKQAKLQRVIRSMKRQQRKSVEESGSSYYSPLTYLKDAQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPESIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKVRGRPVDPKARPKAFGEVTVASDVPGAELLDEDMSSEEEGSDEESDAFDSDNEIALPSAPPGTEENMEGSSEANKCDANEDTNEEDEASDEDGADEGQDNSDNDSEEVDEELDDDSDMDADTDMSDEDSDDDELKESINGSEDEGSDQDEDTDEEDKSKGSDSKVQKRKLNDYIGELNAADASLRALKRLAGAKKAQVSSDETGKILSDEDFKRIKELKAKKEAKLALAQHGLIKGVDTKSATFKMPSSDQLSRKRVDPLELEAHVRRKMSKEERLAMVKAGREDRGQYVARAAVKQKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >DexiUA01G0002190.1:cds pep primary_assembly:Fonio_CM05836:UA:5015839:5018727:1 gene:DexiUA01G0002190 transcript:DexiUA01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSQANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGIGDMLRKAISVALSQSAQYDQLNVLLDKVMRKLDGTVSTFSRIDNEFAYMIQMCDMLFSQLCLRIPSTTAMHAGGLQSLGQLFGCTTKNMDSHLEILVPQQSVGNKNICRSETLQDIGINQSAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >Dexi2A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:2A:29035227:29035585:1 gene:Dexi2A01G0017210 transcript:Dexi2A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQYYYMTLRMSIDCNGCYRRIRGALLQMRELEGHQIDKRQGRVLVFGAFSPQDVAIKIRKRTNRRVEILDVAEGSPPGQDGGPGHMP >Dexi3B01G0032170.1:cds pep primary_assembly:Fonio_CM05836:3B:34559097:34559774:-1 gene:Dexi3B01G0032170 transcript:Dexi3B01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINSQSVWYPITRQASKNLAVTFKPRSRSTRALIAPPEEEEKPVVAEEAKRAKSPCSLDEEEYADVVVAETTRPIPAHRRAKSMSISNRSVWYPIARQTSKNSVVTFKLQSRSTKTLIAPSEEQEKSTTARMRSRRVEDASSGSTGDRGTHLRIRSFRRQGLGDGGFVVPAVTLRHQKTLEKKKSQMLYNNVIEETTSKLVKTRKSRVKALIAK >Dexi9B01G0026110.1:cds pep primary_assembly:Fonio_CM05836:9B:27923848:27926537:-1 gene:Dexi9B01G0026110 transcript:Dexi9B01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVALFLVIVFLAPVVPNVAGEPWQACGDTGNYEPKSSYFASINSIAATLPGNASASPDLFGTAEVGAIPEQVSALALCRGDANATSCSSCLTQAFRTLPDACAGKKDAALFYDNCMLHYSNIHFLTDDSSWPTGYLMEYFNFSVKSEPERFNLVVAALLNATADYAAYNSTRRYAAGEADFNQEYPKVYSLAQCTPDLTPERCRSCLAYIIEASLSMYANLIGARTLAIRCTFRYETKPFIIGPLMVSLGGTPASSGAPAQAPAAVVTRTTAAGRDESYPTGEEAMESVDSMLMDISALRSATGDFAESNKLGEGGFGAVYKGTLPDGSDIAVKRLSNSSTQGVEQLKNELALVAKLKHRNLVSLVGVCLEQQERLLVYEFAPNRSLDLILFDTVKREHLDWEKRYKIINGVARGLKYLHEDSHLKVVHRDLKASNILLDANMNPKISDFGLARIFDQDQIQRVTKHVVGTYGYMAPEYVMRGNYSVKSDVFSFGVMVLEILTGRKNKRSSKPRQSEDLLATVWEHWKAGRASETIDPAMNGIFSEDDARRCVQVALLCVQDNPVDRPVMSSVVMMLGRNTVSLQVPSKPEFFVRNGGAAKPGIASDASTVSVQLE >Dexi7A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:7A:5096248:5097129:-1 gene:Dexi7A01G0001930 transcript:Dexi7A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGRDHRHGVPRPPPLALYRGWEEEEVVKANRHTHSPIVQSTSAAAAAGNSNKKRLTKQVSMKETTREVKWEKRRRQIQRQRSSMCLNEADHIPGASSACHADGEVSSTTERVAKRLTDEDLDELKGSMDLGFRFDEQKGGQDLCNTLPALDLYFAVNRQLSEPKMRWSTSSAPSLSATMSSPNLCGTPSPGSPCAHSNLMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >Dexi2B01G0036660.1:cds pep primary_assembly:Fonio_CM05836:2B:43400216:43403695:-1 gene:Dexi2B01G0036660 transcript:Dexi2B01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQDMENRVKIMLKLLGQEADSFGKRAEMYYRTRPEVISHVEQLYRAYRALVERYDHISKELHKANHTIATACPEEVQYAMLEEEDGDFPKAITPINSHKIHRSTVQEILNRKRQAPSGRNMPASAPHMTTEEAEQEISRLQKAILVLQTEKEYVKSAYESGIARYWEIEKQIADTQEEICLIQDKFDAHAAIHDDEARALMTIAALRSCQGTISRLVRHFEELIRIAAMELEKTKSLRPQLYTMSGNIDSSSREASITETSNRRVYPITQRLLELQPAYDKIDSFFANVSESSAEEIADSVDELVDKVVNLELKLPKQSAQINQLKQENDNLKNKLDELQDEMALCDDQSSLNAQLKLLEDELNRVRILERSIIEEEVSVSIGFSEVFSCIMNISKALGSLEHEDLYNFSNDVGDSATPSTDMSVEYFTEGSKVGEFRDIEAPALNDCLGQDREDFPEVFSDKGNDGIHGSKNGDEERFSTDNCLMQLVRNKTYCSSGNENASGNFIQGQILNGEYPSTEASESLMEIAEGNIGHGNAFTGSSVVQEERLGDIKPQNICGQISTVSSSEFRTLKENEPLEESSLPETTCFSGADKSLESRHTNETKSVENLPNQGGNLNAPQSVESLNKCSQVIEIKEDACVSLSHVDNIQGMNDRINADAYSSDATSLCVRAGDSEGTEGSCGQALGVLTDLENMASDVRYSQLEKKSSNGKELASKATTSNNHGGWSEGENAAMVGEECVPSWQEFLLDGLEGREAILLAEYTSVLRNYKETKRRLTELEKKNQEHLEETKAVIRELKNANSMKYVEIRSLRNLLDSSEMPPSKAGSNSTGLSSMRSFREIDRSNCILDREISTVEGSSFSNIESPENTSPFEARFRNDIDTLVEENLQFLVRYSMACHHMQEFNRRYQEVQKEMEDTEDNKGGSDPAAEPEPAEKKLRELRTELDVWFEQNALLDQEVQLKSASLCRLQEEIAEALRGSPEIAGERFTAYEAAKFQGEVLNMQQSNSKIESELQAASERMKSLQAKVNDSLRELHENFEISSRRLSQPEAESSYEKQFKHFPSRTRVPLRNFLFGTKRKKKSIFACINPSLQKQFSDL >Dexi2A01G0034700.1:cds pep primary_assembly:Fonio_CM05836:2A:44543408:44544619:-1 gene:Dexi2A01G0034700 transcript:Dexi2A01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNPPIQLPSHPPAAGSPVYPSPSPSPSTYPTPSPSPSPSSSISSPPSYPPSPSPAPSGLSVGYYRYSCPNAESIVRDAVKSATDSNRGTGAGLIRLFFHDCFVEGCDASVLLNTTGSTQPTERSSAPNLSLRGFEVIDAAKAELEAVCPGVVSCADIVAFAGRDATFFLSGSTVDFDMPAGRYDGRVSLDSEALANLPPPFAGLEDLKGRFAAKGLDAGDMVTLSGAHTVGVSHCSSFSDRLPPNPSDMNATLVDKLMISQYCNGSGGDPAVPMDFVTPGGLDSQYYKNLLNHEVLFESDAALMDSNQTAQMVRDNAFTPGLWEAKFKAAMVKMGAAGIKTSSNGEIRKKCWMVN >Dexi4A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:4A:1025379:1028965:1 gene:Dexi4A01G0001580 transcript:Dexi4A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSASVLDKMRKIKRGGDDLIRSSRENRRAKRASRIRKGEKTQISDAANEELFGDLDSMGYPKLPKTMLDAGMTLVNTYEEVFGDLWDKSVWRELGKKASIINRSVVALASFNGEKMVFACTGFFIQWNGSIAVLTSASLVRNPGDENKIVENLTVGASLWSLISILLRVSGIFVQQIYRLSLIMAGIGGPLVNMGGNIIGMNFYSEKIGTPFLLWKEIDKILSYFEEKRYIYISFLNIEEFISRFFLG >Dexi3A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:3A:15218073:15219012:1 gene:Dexi3A01G0019370 transcript:Dexi3A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALFVAGKLSCEGKVEHKFDMEPHSDNLVNYGKLCRERTQKYMVKSRQVQVLDNDHGMSMRPMPGMVGLIPSGSKQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDIDAA >DexiUA01G0006870.1:cds pep primary_assembly:Fonio_CM05836:UA:13243188:13246859:1 gene:DexiUA01G0006870 transcript:DexiUA01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVAMDLVVGASNNAVKSLVDKLGSLLAQEYSLIGGVRDDIQYINDELASMQAFLNRLKRAQGSHDEQRLDWMRQVREVSYDIEDCVDGADHRLSGEPRGTSMVTFVKKKWYLLTTLYARHCIATEIGNLKTRAQHISERRMRYGVKELQNDVGPRVNAPPDRPVPPPQLIGNKEPVGTKEVMAKLQQWHQRKEQGTHDLPRFFAIAGPGGLGKTTLAMEFYRKFGFEFDCRACVLASQKFDILTVLRDLVKQFHEQQSGASQEPLGCIEDWNLKQLNGKLVDQIKGKRYIILVDDIWSVSAWESLRDCLPKGGSIVVTTRFNSVAEACRRREGEFHKLEPLSDKSSCSLFYEIISSTAVDGSHGLTDDEKYVMLKCTGRLPLAIVLVAGLVSTKVKSEPKSKIHHHLVKVEKALSAVLGEGVNLTSDGVTQILVHCYNDLSADMKTCLLYLSMFPKGCQISRKRLIRRWIAEGFITANHGKTVEEVADDCFNELIGRNIIQAINNSSNGKVKNFYIHDMVLEYIVFKSNDENFITIVGGHWQTPFPTYKVRRLSIHKSDGKEKETVEKMKLSHVRSLTALGSFEALHPTLHKFQILQVLDLEGCKDLSSKKLKKICKMHQLKYLSLRRTEVKYIPSKIGRLESLEVLDIRETGIRELPESANKLQKMEHLLAGNKNKRQDALKLTEGITKMTALQTLSGVEICTGSGKELLRALQNLTNLKKFTIYKVGHFTKGNDELLLSAIEHLSSCSLKYLAIDDDFTGFLDSSLNVSQAPPEHLHTLGLSGKLSKVPEWIGSLHNLEKLTLSITSLSTESLLELGKLTELFSLIFSLDSAKKNDKVKILHDNALKTHGMIFMEAGGFRKLKLLCFAAPALPPLSFLEGAMPGLQRIELRFRMVEGVYGLENLESLQQVVLTISSQAPKDARMKASQIKELATMVGHKLSAPSVVLKEYNESLLPGQGNAHTRE >Dexi5B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:5B:19601535:19605174:1 gene:Dexi5B01G0017730 transcript:Dexi5B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRRSAGGAVSEVSSAAGSEAEDDRYCSANSALGTPSSLATLLPTSDFWDHQMDLLLDDHPVVFGFPKNHQLSRLLAQTPAQSPPGTEPPPPAAAGGDALARQGSSPSSQAVPPCPDHSQPMLCVSAVNPFLFSKVPTLLNIMTVRKKIAAMLPCVQCPFRNSILKGLGVQRYLLDGNDFFALRDLVDLSKGAFAALPIKVQTISNRILEHITEQCLVCYDAGVPCAARQACDDPLSLIFPFQEDEAKKCGSCASIFHKQCFRKISVCPCGKASSAGRKIVALEQAVDGVMGMPSTESVQPPQFSSSSGFFSDILSKARPDKLWRPKNSSPVILMGSLPDTSV >Dexi4A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:4A:2627095:2635721:1 gene:Dexi4A01G0003690 transcript:Dexi4A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSATMLLRSPSSLRSLIRLLRPPTPPLRRPLSPRLPGHALCARPRFLSSSSSPAPGSLTDSLSASAALPSHSTPDAPADSDSWSVFDPVAGRIFVQGSPPHSSSTTAAAAAEEEEEEEKEDDDDEEEDGEDGEVAGEQPPVKKPATARGAKAQTSWSSVVAARKPAGKGVKEKFTYVCSNCKEAEGQWWGTCPHCSAVGTMDKYVVGPAGPVPVAADASAVGSGRVERSSWIPQKSKEMVPQSLQEVNKGVNRAAWRIPLSGSFGMEISRVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILEGFKVEESSPVVYVSGEESIEQIGNRADRMSIASSKLYLYSGTDIEVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEIMAGLAVAVILDGSRTFALEVQALCVSGSHRSGQVVGIPSKRADVIISVLMKQAGLKLQDSGIFLNVVSGIELTETAGDLAIAASICSSFLEFPIPNDVAFIGEIGLGGELRTVPRMDKRVMAIAKLGYKKCVVPKTSEKLLKPLNLDIEIVACSNLKQFINAIFRPQD >Dexi9A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:9A:11061209:11064681:-1 gene:Dexi9A01G0016120 transcript:Dexi9A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYNDLIIFFCLVIGGTTSSEEHEAHSVPRYILPRRTNLTNVQKKKVEEKVEAICSEIPIYGCAMKKNNISGKRQDVYFSGEYSDAYLPFKERMLKLHHRGKIWEVMCRIQTNTVEGSASSVRKMRKPGKRCKERDACSYPSYSDVQERYFFKVMTGDFREQLGIPDKFVQHFGDRIAKTVKLESRIGYTFDVEVTRSLRKIVLKTGWKAFVCAHDLKMGDFLVFRYNGTSRLKVLIFDISCCEKMSPRLVARSPVRGRGKREGHIDISNSCDDLAMKLPGSKRKDWEQREASMNVNNSPSTLPSGSSGYEAPY >Dexi9B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:9B:8861026:8861938:-1 gene:Dexi9B01G0013130 transcript:Dexi9B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKGSSCGALVLLNCFVSPFGNRVRIALKRKGLPYEEKSENLAAKSPLLLSSNPIHGGKVPVLLVGDKPVCESLVILEFIDEAFSGTGEQLLPAAPCARAHARFWASYIDTKLPGCAVRVWRSPKGAAAVEEGKKDMVAVLKTLEAELGAKPYFAGDALGYVDVALVPFAPWFLTYERFGGFSIATECPAIAAWAERCVKENEFVAASLPEPEQVFQFVCGMRKAFGLD >Dexi5A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:5A:6674066:6674893:1 gene:Dexi5A01G0008890 transcript:Dexi5A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFLQLVEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKLKSRKHRKRGDSSSDSDTDSDSDVDRKHRKRKDRKRNKKHGHSDSDDARKHKRRSKRRSSNSSDESDSEYESGSEEERRRKKHSHRRRRHRHSSRSDSEDYSSDDEERRSTKKAHSRSRRHHPRSLDDDSDSEGKFRSRHRKSLRSSDEDAPSDSNNHKRHRSHSLEESSDDEFERMRNGKRSHKNGHHHHSRHRQHHHGRRSSSAEPNSKSLVLKDDQKALEGDSAD >Dexi5B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:5B:10091939:10092211:-1 gene:Dexi5B01G0013840 transcript:Dexi5B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKKSVIASLFGFKKQSTGEKPPEEEVVGRPQQPRHYQGATRVRPSDDDGDYYYGRHWYADRDIDRRASEFIERVYRGMLTNGDQDGG >Dexi2A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:2A:10703989:10710154:-1 gene:Dexi2A01G0009730 transcript:Dexi2A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPQGTCRSNASHGRGGLDSRPRSYLASMSWGGPGMKGLVRFHCGHEFNFCGEGHGLLQARLRWLEVDVHLMLTVLLGYAALTDTSLPFNKYAFLTTHNSFAKTRYTRLTTYNQEDEVFEQLSNGVRALMLDVYDYDNDIWLCHSKRECNGRSAFEPAIYTLREIEAFLLMNPSEIVTLILEDHISSQDGLTKLFDKSGLRKYWFPVQDMPRNGEDWPIVSNMIRNNRRLLVFTSNRSKESSEGVAYQWNYMVENQCKLPYGDVGMNHEACNNRSESPFLYNRTRSLVLVNYFRTVALPWTASTEHSHGLMDVLKICHIAAGNRWANFLAVDFYKRSEGGGVFQDTDMLNGRLICGCNDVYACRKEILKPLLNDLLLKLGLTWK >Dexi9A01G0029330.1:cds pep primary_assembly:Fonio_CM05836:9A:34173816:34175304:1 gene:Dexi9A01G0029330 transcript:Dexi9A01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAVAVELAAPLVRTGTGTTAAASSSPTQRAPFPRRRAAGAIRASPSSGRSEGAGELPAPLLPNARRRGRDPLWNGGGFSLGVDLGDARTGLAVGRGITLPRPLTVLKLRGQKLELTLLDMARQQEADELIIGLPVSADGRETPQSNKVRSLVGRLAVQAAERGLRVYLQDEHGTSVDALDYMISRGVKKFARDVKSDAYAAVMILERYFTSSGHGAKIVLPRQPELQDKLIVQSRRAAEI >Dexi4A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:4A:2061561:2066653:1 gene:Dexi4A01G0002890 transcript:Dexi4A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPGSIVASLIFLLVPLTLLIQSYGAADTYELQSKNCTSSGDLTSSGGGLFQMNLRQFFAELPSNVISNQGFFNGSVGSVYASAEAPKACPSTNTSVAYRGCVLRYWDTPVRADTLDGVFNNVVAYYAQDNAGNVPADTHSAFQTARDNLFSDLNSTVLTSPLRIATGNRTFNSTHNLYGLAQCNRDLPDELCSYYVRWVTALVPRIATDVQWSEGVSLIGYAFYLRYDLKPFQVYWPDDISSPPPETTKDAAIQYKDCSSSSRNGSSTSSSDPYQANLNKFLTALPSNVISNSGFFNGTMGSDQNTVFGVAMCQADIAWPDQCKTCLQSASAGAPTSCPSSNDASVAYRGCVLRYSNTPILATSNQASDSIAFYTQDHAASVPNTTAFQQTRKDLLADLAGAAVSSPLMIASSNRAFNSTHKLYGLAQCNKDLPSELCSQYITSAVTKLAPDVQWSEGMSITGFNVYIRYDLTPFQGRLRLSDGNEKEVAVKRVTNKDTAKQLMDELETLAKLRHKNVVRLVGFCIKGKKLFVCNEMLSNGSLDTHLHGAAGQARLTWPTRYEIIRGVCDGLHYLHGACNNTIWHMDIKPNNILLDEQLKPKIADFGLSKVFENKNKNNTMTMNVVGTRQVSNLKGYMAPEFVKDGRVGCEYDIFSLGVLIMVIVIGKGPGGNELSGTTFINEVSIYGFKC >DexiUA01G0000430.1:cds pep primary_assembly:Fonio_CM05836:UA:2023144:2025000:-1 gene:DexiUA01G0000430 transcript:DexiUA01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQFQDGKEEAIQAWYMDDSEEDQRLPHHREPKEYIPLDKLSELGILSWRLNADDWENDENLKKIREARGYSYM >Dexi2A01G0030680.1:cds pep primary_assembly:Fonio_CM05836:2A:41545431:41545664:1 gene:Dexi2A01G0030680 transcript:Dexi2A01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLLAARCSAPLLFSTARCSAPLFLSVARCSSLLRGREHGGGAGREPGLALARVPAAGHACGKEQGTVAGRIPAQ >Dexi1B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:1B:8568883:8570223:-1 gene:Dexi1B01G0009450 transcript:Dexi1B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGIIILLTVVSVVVLAGAAGWCFVLRRKAHGRHDLTTWKVTPFRKVDFTESDILSKLHDENVIGSGGSGKVYRVHLHRSGNGGAGEVVAVKKLWSRGKAEEKVCREFESEVRILGDIRHNNIVSLLCYISSDDTRLLVYEYMENGSLDRWLHPRESPAVAAVPLDWPTRLGIAIDAARGLSYMHHESAQPIMHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPESVSVSGGTFGYMAPECGRGAKVNEKVDVYSFGVVLLELVTGRAANDAAAECCLVEWSWRRYKAGGPLHDVVDGSIPDRAVHAKDAVAVFLLGVMCTGEDAPSRPGMKQVLQQLLMYDRTSSVAAACRDGHGDVDVAVAQQAKGKKGDQGVRGLMDSGEFWDGGDTETSSGFVAHPV >Dexi4A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:4A:7263958:7266567:1 gene:Dexi4A01G0009230 transcript:Dexi4A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVASLAAVAAGWLDLDGSSSSSTTTNLLLLRRWWTSSSSSSRVVAVPSQLLGWWWSSGSGVEAVLREAWDAARAAAVAPTLAAASWVLLALSAMLLADAVFLAAASLLAPRRRMRYMPAGPIAGAAAAAAEDEEEEGDEEAGGRDVGGCYPMVLVQIPMYNEREFFVLNVRVVRTYNTYHLVVWVYTKVYKLSIGAACGLAWPSDRVIVQVLDDSTDPTIKKSLYSIFPPTLSCSMTTSITEFFRWPPRSYVTFVVCSRQDMVELECKFWANKGKNVKYEVRNNRKGYKAGALKQGMLYDYVQQCDFVAVFDADFQPEPDFLLRTVPYLVHNPRIALVQARWEFVNPNEFLMTRIQKMTLDYHFKVEQEAGSSAFAFFGFNGITENSFSQNSIIRNSVRLTYLTSGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRACLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGAEIILTKVNFLIILVATS >Dexi6B01G0017040.1:cds pep primary_assembly:Fonio_CM05836:6B:24267688:24268242:-1 gene:Dexi6B01G0017040 transcript:Dexi6B01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNNDQQAAENAVGHPAAVPARQQKRRSAWTGVRFRQGGRWAAEIRVPRTKERIWIGVFEKEWEAALAYDAAVFCFHGENPPPRRKFNFPAAPRPGDIPEDVRAHLTIVDIKYIAKNHAMTAAGRLLPPPPPANTMAAEPEPLQVAALAPAAGAAPPPASSSQ >Dexi3B01G0024040.1:cds pep primary_assembly:Fonio_CM05836:3B:18746149:18747057:-1 gene:Dexi3B01G0024040 transcript:Dexi3B01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASAAGSSRGAPARVASRAGGGGAGPGSPRASPASAAFAALRRRWRWAPSGASPLERAAHAFILASVALVLSCALYLYVFRYLGRGGRAVDAAGFVGDAGLSLGLGLGAGGEPCDVFDGAWVPDDTGRHPLYNSSECPFAERGFDCLANGRNDTGYLRWRWKPRRCEVPRFAARAALERLRGKRVVFMGDSMSRTQWESFICMLMAGVEDPRTVFEANGNEITKTIRHLAVRFASHGLTVEFFRSVFLVQQHPAPRHAPKRVKSTLRLDRMDNFSRKCLNSDVLIFNTGHWWTPTKLFDT >Dexi4B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:4B:19250802:19251247:1 gene:Dexi4B01G0017140 transcript:Dexi4B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEEPILNLQFHDVRFQISNLKERHTISRKTEQPDMGGWKRSSTAAQSGSLQLPGRWGAARGKGRGARCGGEDVDVWLRMKRRQATTDGWRRLWVGPRAVDPSARQLADAICQYMKAPLKSVDEHVTTLA >Dexi1B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:1B:929344:932417:-1 gene:Dexi1B01G0001160 transcript:Dexi1B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTMTEICDQFIEFFMNKKPETKDWRKVLVFREEWQRYQEHFYKRCQVRIDTETDSSLKQKLVVLARKVKKIDNEIKKHMELFNELRDNPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGVIRLGAKCLSAIHAYDCTLEQLDIESAQSKFDDILNSSSLDDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDEVKDIMYHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALAIAFSPGDEREAKDADALYTTPNELHKWIKMMLDSYHLNKEETDFMDAKKMSDPVIIQRLTLLKETIEEEYMKQYIHPDEAESVDDEESDD >Dexi9A01G0045650.1:cds pep primary_assembly:Fonio_CM05836:9A:49210348:49210725:1 gene:Dexi9A01G0045650 transcript:Dexi9A01G0045650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLLLPLLATTLLVAFTAGVVDATWTPIANPRSLVIRQIGNFAVIVYSNADPRHLRPLALVNVVSGETQPAGLSITDYRLVLNVRNTATGSTELYQCVVEGKPGSRATIWELHSFVKYKQPAV >Dexi9A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:9A:5317491:5318576:1 gene:Dexi9A01G0009000 transcript:Dexi9A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKCGVDPVEVWKVEDNEGRTTLSAGENSPPTHGVTVLGTRQVPEVVVLPGHPDLVDPLGNNDFKTAVENIPVYITEDHNIVSAKFEDEIGLALQELKKSQDAEYRVAEERLYSQKGHILSLYRQLESQRAQLADPIPLSDNSHYDVILANVLSLVEQAKREEVKFKSMLKVAGGFGKAPERVTKEFFGLPADK >Dexi4A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:4A:20904617:20908048:1 gene:Dexi4A01G0017130 transcript:Dexi4A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSMLRPTAAAAASSACFILVFLCSAATAAASTKASSYIVYLGVHPRRDDGVSPEDASRSAADSHHDLLAAVLGDREKASDAIFYSYTKHINGFAATLDPADADEIAKYPGVVSVFPNRGRKLQTTRSWQFMGLEKGGDSKSFDEGEMGPIPDDWKGICQNDHDPNFHCNSKLIGARYFNKGYAAALGSGVPLDDGLKTPRDENGHGTHTLSTAGGAAVRGASAFGYGAGTARGGSPRARVAAYRVCFRPINGSECFDADVLAGFEAAIADGVHVISASVGGDAMSYLDDAVAIGSLHAVKAGVTVVCSASNSGPDPGTVSNVAPWILTVAASSMDREFSAFAVFNRTRIQGRSLSERWLHGKGFYPIISGAEAMAPGSTQKDATPSGFVIKGKMVIGTRPAPVMADFSSQGPNTVNPEILKPDITAPGVNVIAAWTGAAAPTGQPFDRRRVAFNVLSGTSMSCPHVSGIAGLIKTLHPEWSPAAIKSVIMTSATDLDADRNPIMNTSHVAATPFSYGAGHVFPNRALDPGLVYDMTIVDYLDFLCALGYNATAMEVFNKGSFVCPSVAMSLHDLNYPSITAHGLHAGTTTMVRRRVKNVGLPGTYTAAVVKEPEGVHVAVTPAMLVFKEAGEEKEFDVSFTVKDTAMVTGYTFGALVWSDGSHQVRSPLVVKIQGDE >Dexi1A01G0030360.1:cds pep primary_assembly:Fonio_CM05836:1A:35720349:35722255:1 gene:Dexi1A01G0030360 transcript:Dexi1A01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGVDDHLIEKILDPSYLDGEASTFSDLQGILKSSGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRAYAHCAKKSEGITLLLINLSGNDTNHIYVTSEGAQTRSARKEGRRFGHIPGLGLAAEITREEYHLTPNDKNLQSQQVLLNGNVLATDANGEIPKLEPVQVEGKQPIIVGPYSIVFAHIPSFYAPACR >Dexi3B01G0024900.1:cds pep primary_assembly:Fonio_CM05836:3B:19588284:19588797:-1 gene:Dexi3B01G0024900 transcript:Dexi3B01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARAGRSGPVTVPSRPSASQPLPDREFGIRRTKRARPARLQFGWSRSDPPRGPRRDATNKKMGEPRCPRNERRSVDPSPLTRGPCPGVAVARRPPTYQRGRPDQFQSLRHGACSSLQPQRVSSPFLPSASAES >Dexi1B01G0027190.1:cds pep primary_assembly:Fonio_CM05836:1B:31975837:31979298:-1 gene:Dexi1B01G0027190 transcript:Dexi1B01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPSPHAASSSSSRRRSGRARAAVSMPSSSPAAAASEVSAERLEPRVEQREGGYWVLKEKYRTGLNPQEKVKLEKEPMGLFMEDGIKDLAKIPMEEIDAAKLTKDDVDVRLKWLGLFHRRKHQFRPFPGPLLDWSYSYILRIETFLIGLALTLRRNGNAADDRFHSILADGRFMMRLKLPNGVTTSEQTRYLASVIEAYGEDGCADVTTRQNWQIRGVTLPDMPAILEGLRSVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNSQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAILEAFRDLGNRGNRQKTRMMWLIDELGMEVFRSEIEKRMPNGVLERAAAEDLIDKKWERRDYLGVHPQKQEGLSYVGLHVPVGRLQASDMFELARLADEYGSGELRLTVEQNIVLPNVKNERLDALLAEPLLQERLSPRPSLLLKGLVACTGNQFCGQAIIETKARALQVTRDVEKRVSVPRPVRMHWTGCPNSCGQVQVADIGFMGCLTKDSEGKVVEAADIFVGGRVGSDSHLADVYKKAVPCKDLVPIVADLLVERFGAVPREREEDEE >Dexi3A01G0031430.1:cds pep primary_assembly:Fonio_CM05836:3A:35882724:35883128:1 gene:Dexi3A01G0031430 transcript:Dexi3A01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPQEPENKGEGRGRGGGHAREEERAELAGDGAGDVAGVGRVHAMEAAAARARRKGEEHTLAADFAKLWREMVAGGDVGEGECSRSSSGREKEELLRRPGI >Dexi1B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:1B:22234998:22242162:1 gene:Dexi1B01G0015670 transcript:Dexi1B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSPVTDGEATAKTDAAAAAAPTPARVSWRVMSVFTHADKVDVVLMLLGLVGALGDGMSYPLSVLLYLRIINDIGRGPDLVQDFTSRINTNARNLALLACAFWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDVRSGTTSEVVTSVSNDSLVVQDALAEKVPNFVMNVTTFLGCYAVAFASVWRLTLVALPSVLLLVVPGIVYGRILVGISRRIREQYARPGAIAEQAVSSPRTVYSFVAERSTVARFAAALEETLRLGLRQGLAKGLTIGTCNAVIMAVSAFNLWYGGGLVVYHGYQGGSVFSVSGAIVNGGLALGSGLSNVKYFSEAVSAAERIQEVIRRVPKIDSASDTGEELSNVSGDVEFKNVEFCYPSRPESPILARFSLRVPAGRTVALVGPSGSGKSTVFALLQRFYDPSAGVVALDGVDIRRLRLKWLRAQMGLVSQEPVLFATTIRENILFGKEDATEEEVISAAKAANAHDFIVHLPQGYETQVGERGVQMSGGQKQRIAIARAIIKSPRILLLDEATSALDTESEHIVQDALDLASAGRTTIIIAHRLSTIRNVDMIVVMQSGEVMELGAHDELIANEDGLYKSLAQLQQAFYSGEVDRVSGASFDAGQANGYNMNRRLSWPTGSSPAQSLMGDANARVNDSTKKPTVGVPSFKRLLMLNAPEWKQVVMGSFSAILCGAIQPALAYGLGSIVSSYYLTDHTEIKAKTRTYVLFFVALAVLSFLFYTIQHYSFSSMGENLTKRVREHILTKLLTFEIGWFDRDENSTGAICSQLAKDANAVRSLVGDRMALVIETISSVLVSWTMGLVISWRLALVMIAVQPAIIVGCYARHTLLKNMSKKSMREQSKSSKLAADAISNFRTVTSFSSQDRILGLFEEAQDGPRKENIRQSWFTGLVLGTSVFLITCTWSIDFWYGTKLMVERHITTKELLQTFVIIVMTGRKIGEAASMTTDLAKGSDAVASLFAILDRETEIDSDNNEGYSPGEVKGQVEILDVDFRYPSRPDVIVLKGFSLSLQPGNSMALVGKSGSGKSTIIGLIERFYDPVVGVVKIDNRDIRSYNLRALRQHIGLVSQEPTLFAGTIKENIIYGTETASEAEIESAAKSANAHDFICSLKDGYNTLCGERGFQLSGGQKQRVAIARAILKNPAILLLDEATSALDSASEKLVQKALDQVMVGRTSVVVAHRLSTIQNCDKIIVLEAGGVVEDGTHASLMAKGPSGTYFEMIKMQQGGNRH >Dexi9B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:9B:5196799:5200550:-1 gene:Dexi9B01G0008490 transcript:Dexi9B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDVAVSSSRRRMEDEEGAAAAAAMEADARAGARGRGEEEADDENEEGESSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTAHFRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSKCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTNYRGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLASTIDPSKDPRKSGVPLVLPSTKTEEPTPKVPAPSTNGGLSKNQKKKIRKKAKRAAAATSEDSSAVASADTDGSDDKGDLGTANEGSPSQDGAKKRAKGDRQGNKGAKKKMAMEADLQCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLMEKYEFTEKNANDMADFLVPILDFVPEKRPTAAQLLQHPWLDAGPLRKQPTTLSDSAPNSADGVSEKQRKENEERDAMAVELGNIAIDGASTSRTASDPQPIRNKATAIPSKK >Dexi1A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:1A:26553802:26554110:-1 gene:Dexi1A01G0019440 transcript:Dexi1A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGEALVSAVLKEVLRQLGSAAGEQIKARWKLKEDMESIKSTLELVQAVLRDAERRSVREEAVNLWLKMLKNAAYDVSDMLDEFEAKLSQVKRKIFSLVL >Dexi5A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:5A:5930532:5931104:-1 gene:Dexi5A01G0007990 transcript:Dexi5A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSHKRAREAADLAVAGESALPESAADAKRLRPEDLLDLLDDDADAAAAGDLASVMRSLEEEISSFDEAEAAAAVPAATQHQPELGFLLEASDDELGLPPAGASSSSDEAGAAGEPDVAAGLDDQIWGFDDEIDGGFGGYSPEAAAARAAAAAWDDDGFDAGLFAFGDDAACGPSDLVSLRHETMPAV >Dexi7A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:7A:27207952:27208977:-1 gene:Dexi7A01G0017590 transcript:Dexi7A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCGRQSRRPAAAATLALVSTAAALPRGALSSSEVLALPPMLPRPPPRVNTTCHDVPYPFGARNSSLPGFVVSCGRKREAMLHIGEDTYRIDYVSVPGSYVVILAGPITQVCYDRHDGKPTPAPATGTGGGTITSLEGTPFTFSKMNKLVNVGCNRTLIANFTNPPGDPIPWPSASCTTWCNGASDAIISGSCSGQACCEIPIPDQVNGAQAFTLASFSRTVEHVAGEEYGTCSAAFFLDDGEQAFGGDDGKKVPLDKALVPQGERRMILDWAIGSSTCDQAQTYTFEPLCPGAATCVHAPNGVGYLCKCREGYEGNPYVSDGCQGKGFLHHPQHRVPLI >Dexi1B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:1B:23291701:23292219:-1 gene:Dexi1B01G0016850 transcript:Dexi1B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLVSGRRKKTKAVMITTHPALYAKAPYLKLQSMLRNDCVCTKVSASEMASLIPCPADLTSSGGPHDTPNPAVNTHTTATTATAYPLGIASPPPPPAPSSAPNIHAMATRHASRTPPPTMNSDLLPTLSTATAATAIDARLTTSVTMDPRMAFSLVNPTV >Dexi9B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:9B:14970409:14977398:-1 gene:Dexi9B01G0020210 transcript:Dexi9B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPARRDAAALDPELLQLPELAPGALRENSIIADALYSQWLVLPDTAKLVKSLIEDAKAGATLNVTGTSASTNAASSSSLPSMFPAGSAPPLSPRSTSGSPRVMRRGPVAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPPPRDLKEQCLSRIDHLFFGGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDVACTGSVSRDAFVDYWINDNKITMDMASQIFEILRKPGYNYLTQDDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRSGNGQLTLRELKRGNLIAALQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFTQIPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFRCIDLDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >Dexi3A01G0025140.1:cds pep primary_assembly:Fonio_CM05836:3A:20761452:20762901:-1 gene:Dexi3A01G0025140 transcript:Dexi3A01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLNLKEIRERRLTDPRFPAQYRNLAAKKKFTPWPIEMRFCEDLASQHKPRIWFHKPVKADDWLLYSESPSAHGGRGFITESMFSRQGELIMSLTQEALIRREKTRPNPRPKL >Dexi9B01G0035350.1:cds pep primary_assembly:Fonio_CM05836:9B:37057908:37059908:-1 gene:Dexi9B01G0035350 transcript:Dexi9B01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSLMANKEGYRLVRCPKCLNILPEPPSVTVYRCGGCGTTLRAKIRATNGQHVTTKRQDSDNYSVATAVSNGVPAQTKDHASTEVTINNSCIADAPSTEHDSNGTGNTQSGDIMLPEKKDDGVENGDSKDHHNIEGQDTNSGMEGPADLENTNANSTFRDSGEAENQIMEQPEENSETCRVGEGDVTECHLKASENNMLSSEMIKPAVVSMQDAEQKEAGQSEHAANKKSHLVRVLSRSCDLRASSINSLEFHSARTSLQSKSFRASEPLQSKIMNTVDELKGDLSELFKKPSEPKPKAYPPRPPKQDAPMTRPAITSSAPLAAYHPTAKHSGYAAPLSRSGQVAPRGLPSLRYRRHRVYSYHHNVQMDMRPCRHECCHSCQPPCYRSCNQEQPAAMHNPPAKETKRRPLPRNHCRPVLRGAPFVVCSNCVRLVQLPTDFAVPSKGTRRLQCGSCSEVLSYSYRDPSRKKKQPQSPFGGDEYSTDGYEIHQQADPVSYSEEYGLSFGVSHSTSTEDGQPLYVSRNSSFNTGDEWAGRDGKLHRLMGYSSASELLRHSPDLFESFDGRTPNARARVDRKGKGVCVAGSDAAKRPKSRSGNLQGILKKGFQSLESLKIRS >Dexi2A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:2A:5540229:5543868:1 gene:Dexi2A01G0005790 transcript:Dexi2A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLLPLFLAAAAANASVADEPPPPMAPWPEQFHALVITNLTSSGGRLQLIDLYYDWPRGRDLNLIRDQLADSNSGDPPVMNVEWANGTAYLFDATSCRTFQFDIGLLPPNWKAHGGAAYLGRRRVDGFDCHVWSNFLFERYYEDVVTGRPVAWSFMGASSVLLLLPPNPDSLIFEVGGVLEDSSMWQAPAYCFDGSNGDVGDGVDGHGSRDDEVNSLISFAGPGLRRLPRASFGHGPHCTDRFLSQLDSPEALGHSPSDKIDSEGSRNSRRCFSHSSSSSSPPDPSPRPMPRSHCADGPAPVPTPWPEQFHAVVFTNLTESGGKLQLIDLYYDWPKGRNLNLIQNQLSGDPTYDVEWTNGTSYYFDSGTCRTVRFPVGLLPPDWLAGAVYLGRELVDGFDCHLWTKVDFIWYYEEAATGRPQHVMSFEVGGVLEDSKWQAPAYCFNGDNADTANVASDGVDVMNSSLTSYSCII >Dexi1B01G0024360.1:cds pep primary_assembly:Fonio_CM05836:1B:29693810:29694475:1 gene:Dexi1B01G0024360 transcript:Dexi1B01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDQTAEGAAPVDSASRYQQIRSTTSLPLALFCRNFSAHRVSTFSATAARAASGRHRIVVSCLNCEARSSTSMRPRRLTLRTTTSMARCLASTSELSTQWQKAEPLGDAVAEGSRRRRMKGSRGVRTVMERAAWRRPVSGSRRRWRVWTSATWESTVRESGSVNLRHAAAVTRSHGCR >Dexi3A01G0030140.1:cds pep primary_assembly:Fonio_CM05836:3A:33494875:33497352:1 gene:Dexi3A01G0030140 transcript:Dexi3A01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKANTDGPDRAPQPLASHLTRCIKITHPYPAAPLAQSPSPRAAAASPAATRARGARRPPPCLRDAATMKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVLGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPQVLQRKRARIAEKKKRIAKKQADAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAAAKASAATST >Dexi5B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:5B:11012909:11016400:1 gene:Dexi5B01G0014530 transcript:Dexi5B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGAAMVLYFVLSRRLAGEDVSVSGGGAGKRRRGRAARRPTQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSNCIELKGPEIMEELIVLRRLIDLCFIFSKKPFPVFRELAGFSQEDVLIEEPKAGIVGHSLGGGTAALLTYILRENTEFSSTTCVAFAPGKHFVTTIVNGADLVPTVSTASIDDLRSEVVMKQAQNVAQAVARSRSALSSWSCMGARRRGVGVVPANSKEDMTTETHVTSTVESESFIVDQHGTKAIEELQYTAASVSVHGEADEEEALLSEHEHENETSREHAEEEITDGDLWYEFEKDLDRQVEVEAQTREEEAAAAKEIMEEESAVLKNVEDRQSFSSESLERQQFYPPGRIMHMVAMPPTDASPDDPVAADECSVGIFETPRDLYSKIRLSNTMINDHYMPMYKKMMEILIEKFAKDEDNICTGSTVQSQMT >Dexi4B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:4B:16086313:16086988:-1 gene:Dexi4B01G0014860 transcript:Dexi4B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFQCKDITIKDVTITAPGDSPNTDGTHMGDSSKVTITGTTISSGDDCISIGPGSTGINITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDYTLTKTSNGIRIKSYEDAATAPKKICTSKGASKVTIKDVTFKNITGTSSTPEAVSLLCSDKLPCSGVTLDGVKVEFKGTNNKTMAVCNNAKGTATGCLKELACL >Dexi9A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:9A:2488951:2490411:1 gene:Dexi9A01G0004580 transcript:Dexi9A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAAAATTKLDVVLFPWLAFGHMIPYLELAKRLAARGHAATFLSTPRNIARLPPVPPHLSPRLRLVALPPPAIVDGLPEGAESTADVPPEKNELIKKLADGLASPFAAFLAGAVAAGRRPDWIVHDFCHHWLHPIAASHGGSVPCAAFLIVQATTVAFLGPRWAHAAHPRVTREDFASPPTKWCPSFPPGVAYRRHEADWAVGAFQPNASGISDIERMWQIIERTRFTIYRSCDEMEPGVFTLLTDLFRKPAIPAGVLLQPDLAGDNDGSLSGVRSEVLQWLDSQPPKSVIYVALGSEAPLTTNNLHELALGLELAGVRFLWAFRKPTGMSAPGTDVGELLPAGFEERTRDHGLVWTGWVPQVAVLAHGAVGAFLTHCGWGSTIESLVLGRPLVMLPFVVDQGLIARTMTERGVGVEVARDDGDGSFGTDDVTAAVRRVMVEEEGKTFATNAERMKEVLGDQQRQDEYMDELVGCLIRYKDDNC >Dexi3A01G0032190.1:cds pep primary_assembly:Fonio_CM05836:3A:36950854:36956477:-1 gene:Dexi3A01G0032190 transcript:Dexi3A01G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAPAGEEQPAAATKYVLITGGVVSGLGKGVTASSIGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFIDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKAHEAIIKQLNLSGSAGQPELRDWTEMAESYDNLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATAINAPDAHAKAWETLKGSACVLIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGMADADSEEFNKDAPNLVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGSPPHVDERHRHRYEVLSIFVNPSFVPMLETAGLHFVGCDESGNRMEIVELQDHPFYVGVQFHPEFKSRPRRPSPPFTGESLFFFVILANQN >Dexi4B01G0022260.1:cds pep primary_assembly:Fonio_CM05836:4B:23934516:23935062:1 gene:Dexi4B01G0022260 transcript:Dexi4B01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYLRKSGAFDSDSAIEEAAGTPQDLWWVKHGTGTPALQSFAALILGQTCFGASRYNLDKSLSERLHTEKRACTEQERLRVIEYVYYNRRLAQSSPRVAGHPAAQHCRLTIHLGDWVST >Dexi7A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:7A:15221754:15222796:-1 gene:Dexi7A01G0004420 transcript:Dexi7A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSTGSQLSTSTFGLSTISAASAAGTIPSSSTAPEAAAKSTKDAKYSPLPTRAISPSMSSTACRSGRATSSRAAAAGRAHRTTFPVYPSGIGGLILALPSTAMHTLVETETSASSPARPRAAVMRRHRCATTHRSVLHERDDAPGGDAWDLSLEMNSRLKCTLWTTTTSSAAIFTCGGAASFLNSTARWSNSTRGGWREGGAVVDGGRVDGDVTAGGLAPRDEEVGGGAAVGDEVVGGDPDGEAAAGEPGELDEHELLGVRARRPRVEAGHVGGGVEWEDGVERDVAGGGLDEPRAVVGEDDGGGWRAAGEAACPLTVVC >Dexi2A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:2A:29041837:29047271:1 gene:Dexi2A01G0017230 transcript:Dexi2A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRALLERLARPFTRRSSTDSSSRRDREEEADLEAIAAREQRAFRYEALDAATRGFSEKNRLGQGGFGPVYRGRLDDGRDVANTLSLPSSWKHGCTITALACITVFSQCPASSNSQRLLRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSRVHTRVAGTNGYMAPEYLMHGDLSTKADVFSFGVVVLEIVSGRKNSAFVSPDFQTDSLLEYAWRLYKKGQSLELLDPAVKSSAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRPHGLRSSHYSAGSSSGTSSLSTSATSHASASPSASASNAMTTSSMRSPGGLPSHREEQE >Dexi4B01G0019850.1:cds pep primary_assembly:Fonio_CM05836:4B:21979458:21980916:1 gene:Dexi4B01G0019850 transcript:Dexi4B01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCCQCSLGDSMSGEQDHVSKSSSSSISSSTQESEEEVSVTIGSLLAQANSSGRHSLGKRLSHLGSIPYTPRVNGKIPNVDNATLDHERLLERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKHYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRVI >Dexi9B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:9B:9309021:9309545:1 gene:Dexi9B01G0013890 transcript:Dexi9B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLLRGGCHTNAAGQEEALEGGHIGVRLRAPPLLAAPHLWSSALFALVEAGSAVCTCILPQRPSPGSPPSPTYGVISGRCRDDQRACNPVWRGSNWRLPVCCRVIETVGKGTLSEAHRVRQFRTKHGHAPSGNGARHGGGGCASDVLQELRHHQIFGPASRARRGTARLTRR >Dexi9A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:9A:1952429:1954440:1 gene:Dexi9A01G0003690 transcript:Dexi9A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEEGKGETVMVTGASGFIGSTLVRRFLDRGYNVHAGVLNPDDRAETDHLLALAAGAGEARLRIFRCDLFDGAALLDAARGCSGVFHLASPCIVDAVSDPQRQLIVPAVEGTLNVLRAAMDAGGVRRVVVTSSNSAIIPSPEWPAGEVRDERFWTDIDYCEKNGVSLPSYNMRDTINATLAEKAAWKFAEEKGLDVVVVNPGTVMGPIIPPTINSSMSVLLRLLQGCTEEYMDIFMGAVHVEDVALAHILVFENPSASGRHLCIQSISHWSDFAAKVAELYPNYKVPKFPKDTQPGLARAEVGSKKLIALGLQISPLEKIIRDAVESLRTRGYIS >Dexi1A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:1A:2141179:2142779:-1 gene:Dexi1A01G0002960 transcript:Dexi1A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLRPPLPGPSLLARRRVSPSPAGERVGLAAVVVVGGRRRCRRGLAVVAASAAPSWMEEAGLELLEEGVRRNPSVSDSYRPVGLPRPNATVLEAQARVCTGPGQTRPLGEEQAMRVLDTILRSAMGELKEEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSIFWPRLEQVLPPEVKFIADPEGTIMGANGIMGPRYTGQGTGDMRLVGALREVLAGGHLGYEEQFEPAMVNLASYTGGITEGQMLKFMGANIHLSPTQAKTLLEVR >Dexi7B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:7B:19261348:19262026:-1 gene:Dexi7B01G0012500 transcript:Dexi7B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAEETTGGCDGGRSTAAPVVVVERVVTVEYLEQSMSRELLGKFPDSSAFGFDYSQSGIWSPLNKLPRGSPSPASRRSGDAEAEAEAAASSTDFLIANPKRRARAGGCFKDSGAGGGKSRWRRRRLRRDGSFLELHETGRARLDFSPPAPSPAKEGWRRVLKAAIRKFKARQRRTRQAPLLQMMLPML >Dexi7B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:7B:1192249:1193529:1 gene:Dexi7B01G0000520 transcript:Dexi7B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTVEDPPALPALLLLRRMDAVFHAALRQRYHVLDFFASGEPLPAFLAGAAALPDPPRAAVVVGGGTVRVDAVFLDAVPSLAFVFSTGAGVDHIDLHECARRGVAVANSGTVYSADVADHAVGLLIDVLRQVSASERFVRRGLWPVQGDYPLGRKLGGKRVGIIGLGNIGSLIAKRLEAFGCVINYNSRKPKDSAVSYRFFSSVHDLAAESDVLVVACALNKETRHIVNKDVLDALGKDGVVINIGRGANVDEPELVKALKEGRIAGAGLDVFENEPQVPEELLSMDNVVMTQHVAVLTAESRSDLRAITIGNLEAFLSGKPLLTPMLPL >Dexi6A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:6A:5437790:5438765:1 gene:Dexi6A01G0005930 transcript:Dexi6A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARAATTAAASKPKPNPKPRSRAKPKAKPSPASLLSGGSSPSSAGGKSPPADLSFLSPSRSPAKPRTRSSPIASPAASPLAAPAAMSTIGDLRSLAASHLDSLKRRLDALHGDSVRDLASHSRLSKRVKMQTHGCLQLAEEAEKEHKKVADKITERMEVVKTSYKKFVAEVQASTSRVCKVTVTEMAKSAERAIDGLRSRYISATIA >Dexi9A01G0048980.1:cds pep primary_assembly:Fonio_CM05836:9A:51708873:51711722:-1 gene:Dexi9A01G0048980 transcript:Dexi9A01G0048980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVLQYEKQEKIGEGTYGVVYKALDKVTNNTIALKKIRLEQEDEGVPSTAIREISLLKEMNHGNIVRLHDVVHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKYIYALPAACELILNVAIFPFLQSYLHQMLRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPNEQTWPGVSYLPDFKSAFPKWPAQDLATVVPNLEPAGLDLLSKMLRYEPSKRITARQALEHEYFKDLEMVQ >Dexi1B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:1B:20110127:20111295:1 gene:Dexi1B01G0014020 transcript:Dexi1B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDGSSAATAAPPLASSSSSASPSPEKEPTWSRRDDKFLELLLFARNAAINAHDASSFLGGKTPAQMHERCSFMFEEIRHVLQAMYVETPREWDDTEITAAASTPKSAAEEEEVAPGAVVVAAQPVAAAAAAENSAAARDAGGGGGCGNRQTRRKTKKPVQWTHEEHKLFIAGLDLYRGNWNVMSREYLTNKTASQIASHHQKFRKREKQRGEQPRASIHDITEHGIAAIAAAAAAAAARGAAAAAWKDGASARGQDDEEREPAESGEDGLGPVEEFPWRR >Dexi9B01G0045450.1:cds pep primary_assembly:Fonio_CM05836:9B:44922297:44927440:1 gene:Dexi9B01G0045450 transcript:Dexi9B01G0045450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLSPPRALLTCSSTPIAFGLRSHSAAPSRRAWPLQARRNKNYRGDEDAAAAAEPKIITLGRPGKSRRRRNRKQPQKEEAGGDDDGGEEEGDVAIPEVVTNRMMRRVGLSVGLPLALGVGFFPVFYYLKAVRKVDVPTWIPFGVSFVFFGAALLGVSYGIVQGGLPARLERGTPQLARLLGLAPVALASSPRIDRLANQRQKRATRDLNASPELGNEGDAKENCPVEDRRHTPVPGGGVVTVATANSSQIATAYTTSSMAEAIMLTVLSLLVPFLLLTAVSEVAAGAGELATFIVHVHPDEDQLLTSASDRDAWYRSFLPDDGRLVHAYHHVASGFAARLTPEELAELSAMPGFVSALPDDTLELQTTHTPLFLGLDSRQAKITDGGSDHRGAGVIIGMLDTGVFPSHPSFSDDGMPPPPAKWKGRCDFAGGRHVCNNKLVGARSFISNDTRAPPVDYTGHGTHTSSTAAGAVVAGAQVLGQAMGVAAGIAPGAHVAMYKVCNVTFCFESDILAGVDAAVGDGCDVISMSIAGVSVPFYLDTVAMGTFAAVEKGVFVSVAASNRGPNVSSVSNEAPWMLTVAASTMDRSIRSKVRLGNGVVLDGESAYQQSTSDYPLVYAGDSRNPYGELCGNGSLDGFDVRGKIVACEYGLGPGGNFTPILKGAVVRSAGGAGMILMNKFAQGYSTFAQAHVLPASYVDYAAAVAIKTYITSTEKPTAQIVSGGTLLGTSPAPSIVFFSSRGPSLQNPGILKPDITGPGMNVLAAWPFQVGPPTTSPLPGPTFNFDSGTSMSTPHLSGVAAFIKSRHPDWSPAMIKSAIMTTADVTDRAGNPILDEQRKPAGLFATGAGHVNPEKAVDPGLVYDIAAGDYVGYLCGLYDSRNVSLIVRRPVDCSAVAVIPESMLNYPSISVAFEQYHARTPPVTVERTVRNVGEVPSVYYAAVDVFDEDVTVGVVPSELEFCEENQEQRFKVVVWARKSGAAAGKVVQGALRWVSDTYTQLLIRARTTHHHQYTALHGSNAAAKSQSTRPPSQPQRMGTAGGLLVNY >Dexi7A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:7A:12294277:12295673:-1 gene:Dexi7A01G0003210 transcript:Dexi7A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGAATAKHPRVLLPFTSDTLRIPDELGAVIGAGEALVVGPTAGKVKPWRVESGGTFKLIVCNSFFLSVETKATTSGKGNRKVQFIRVLPTDFMEKMLIPAKLVEQYIPNEDLNKHTAIVLRPLGKVSHIEMETNQSDVFFAGGWSQFLVFHDITEANALLLRYEGNMVFTVKVFEPDGCQRESKCKDIRMQHSKKR >Dexi2B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:2B:20488154:20489019:1 gene:Dexi2B01G0013110 transcript:Dexi2B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding RILVRVAAPRELAFASAAHPHFRRIIADAAFLRQYRSLHPPQLLGVLSPWGIMLAEAPHPNAPSARSLALHADFSDNHLPDGGGSNWRRSDARDGRVLVMRSYRRGDSVLPELAVCDPFTRGYKLLPPIPDDLVATVSNDWLDCLLHFEALLVPSGHYEDAHFRVIGWTYDGEKVVAFIYSSLSGTWASCTPASWDALVTNLDFAALESDHWLPSYAYGCFYWQLPGHKILLKLNINMMEFSAVGLPPNYQGRHVVVVEAGEGRLGIFSRSYHPTILHSPK >Dexi3A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:3A:14912246:14913812:1 gene:Dexi3A01G0019070 transcript:Dexi3A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTDANKPINLAAPLLSVQRHGGDGTTEPTATSPVPLGAHAGAVPFGWDHRPGHPIPKSVRTRRPPAASPPSTIAVDPSRVTTQQKPTAVIVASECARQEEQFPDALSRDDVISCVTVNCSATGLSDAAGGGTRSVPDGARGGVMMDRFLPAAHAVAAGSPQNTFRKAGSARDARRSPVVPSARTGDRLPLQRRMPLQHIAAYHLPPLPSERKNNDDDDAESDAHSTAGFASKRCGFLPGRCVKTALQLSRGARRGAGRPFLLSGGGSRRAMDNPLLRRSRNVKHTGDDDDPGMQSWEEVYIKSLLRSGGGGGKGLMGPAAAVASELDRTVRELYKHRGGQAVQPKASHLGLLLVLDTSNEDCGRRRISKAGDTAAVLLPATTKTSPDAGKKYGFPLLLEDSDAVAGREMAMSSPPQTLLLPLPLPKSPTEPWLSRALPSVSTRRPPATSFLGLHVQPKKHAPLPWCSSVVMDYGKDVDHDRQRQGRVHDLQK >Dexi9A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:9A:8631594:8637851:-1 gene:Dexi9A01G0013410 transcript:Dexi9A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIRRDGGPGGGDFGMQMEAFMGLIGSAAMGRRTAGISTCDDAPPPEQSEGFLCSEMADQLKRDIASADCDGRSFMGEIDIPASQKMAFILSAFSFFVWRAREGITEDSDDKDEHLGPLHDAAREGKMDTCKHLVENLGFDVDVPANDGSGKTPLACSVSCDKVVAVRYLLHKGADLNKQDVMGFAPLHYAAKRGYDGIARLLLSKGANVDMISSEGTPLHVAAAHGRFRVMQVLLEHHADPDRVSPDLCTPMAEVLCVALEKVAESTCLKCIKLLVKAGADLNSMNPDTPLVIATSKGLSSCVDYLLEVGADANIPAKDGGKKPIEIAAKSGSRSLVESLFPFTLPIQTISDWSAEGIIAYAKSRHSKDKESDGGSNIQKNLYDESAVRKYAGASRACTEDKLSEEDRKAQLKLHGGQAVARRDYASASKFYTEAIMLDPADATLYSNRSFCHLKMGEKRDALVDANACISLRPDWPKGYYRRGAAHMSLKEYKEARDAFMDGLKLDPSNLDIQNAYWEADEAMIKKHSAGQSA >Dexi2A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:2A:331259:331666:-1 gene:Dexi2A01G0000620 transcript:Dexi2A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRDNGVVVQDEEGVRDRAGVSGLASARELLREGHAVTVMEQSAGVGGQWLYDPATDAGDPLGAAGVHSGVYASLRLNAPRDSMGFSDFPFFPQATTPAAIHVGIPAMASS >Dexi3B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:3B:7730525:7731658:1 gene:Dexi3B01G0010990 transcript:Dexi3B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHATAARHPVATPQEAGAAEEEEEENHHDHHHPGRASVDLGDCRFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTALGAEQARAAGRGILDVVASGGGNWKVYFYVSPYARTRATLREIGRAFPRERVIGAREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLGQDPSCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFENCEFRVMQLGPGGEYSLLVHHTKEELEQWGMSPEMIADQQWRASANRRSWAEECSSFIDSFFEDPKDSESSSEEEDEEANGRINDLE >Dexi7B01G0020420.1:cds pep primary_assembly:Fonio_CM05836:7B:25738743:25740589:1 gene:Dexi7B01G0020420 transcript:Dexi7B01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHFASLMMHSMVSRLLFGFALPFFLQSSSVLSNQLEFGLQSSSSVLPNPSGLRVGFYQYTCPNAEAIVRDEMAKIISQVPSLAGPLLRMHFHDCFVNGCDGSILLNSILGLPSEKEAIPNLSLRGFGTIDRIKAKLEMACPGVVSCADILALVARDAVVLTKGPHWDVPTGRRDGRRSVKQDALDNLPPPFFDAGRNLYQFFIPKGLDAKDQVVLIGGHTLGTSHCSQFSDRLYNFSGTMMPDPSMDKRYVPRLKNKCSSPGDTTTLVEMDPGSFRTFDASYYRRVAKGRSLFTSDQTLMNDPSARAYVQRQAAVADAGAYPAEFFADFAASMVKMGGVQVLTGAQGEIRRHCAFVN >Dexi2B01G0018180.1:cds pep primary_assembly:Fonio_CM05836:2B:28518025:28519488:-1 gene:Dexi2B01G0018180 transcript:Dexi2B01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGPAGPGGAGKLTRTPSSLLRSPTVRNCSSFQAVVVEDLEPDDKKAQAHPKAPPHHFHPGGVVGPAHPLLVLALPLAFLLILLLLRGGDGHHLALLAASAAAALGAAAGAARLLRGRLRLRRSPGSGSVQWFIGGDDDKPQKRGDKASTAAAAPHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLPPSDPAVQRAVQAAQRAAENAFGLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >Dexi7B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:7B:17367363:17368054:-1 gene:Dexi7B01G0009910 transcript:Dexi7B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITTLNCLASGGRPASSSCIGKPAHEVGPRGHWDANAAAEREEEEGAGVGQEALFGISFGELRHERGRVAGRGCRDEQREEPWWGVAAQAGDEETEEGVCEVGQAVAEEEAGHGEERLRGVSEEALSGGGDEEDAEEGQERERRDGERVAAEEGEEVRGGEVREEAEERRRRGGREQRGVDGECERDLGRGDEERVGLAWGRHRESRRRRGVPWGRNRW >Dexi9A01G0048180.1:cds pep primary_assembly:Fonio_CM05836:9A:51035844:51036784:1 gene:Dexi9A01G0048180 transcript:Dexi9A01G0048180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFHPTTATVLGGHLPPAADMSSSFLHDAHPEVTDALLGFVYDPLDPSNAALDELLSLPLPDNDGEVEGHCAKKQRAYGDHAPAIGAGQQCTIGGVNQEAAPVLPELSSDFVLPLPPLPQQQQQQLPEAVAEAKKGTGNVPSQSVQSAAARQRRKRISEKTAELSRLIPGGHKLNTAEMLEAAARHVKLLQAQVGMLALMRNSTEKEKMQPFMAKEEQMMDALLACGGVQERLAAEGRCLVPTKLVGAMAKDSSVMSHALVNRDLGRFVASLQVQGQ >Dexi5B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:5B:20580422:20590340:1 gene:Dexi5B01G0018390 transcript:Dexi5B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKACFCGPVAIELCSMGGIAECGVSVDTKASPRRAAIEKAQEELRQEYDVREQRRRELEFLEKGGNPLDFKLGHVAPLSVQSTSVTDQITEQNVISEAKGSFAFAASPHGDSVESSGKPGNSLCPEANTADNLMLLDGDTSNVGGDKTAKRGIKRANATQAEQFLHCDGQNNAKEGEDSGLSRLGPKSQAYARRRSKSVRENANSALVRYPPVLPLNSQQKDVTGLIPEAKTEDNGVSSIGDSKPTSPKCQNMLKNESLNDKVVMETDSVQAIHEGSQTSNNELSNINNGSQGMEISPNSVTDNSHLTVGDQVATETASAVSPDALSKEAASRMVCSLPSISNEILKEAQTPEKAGNSPSIVIAVDVHADSMDNKSVASHPAVECTSLNENELDPARAYATNKAANEHPGQNEDLVPVKASEMVDEGLNSILPEDKHDKKDGQLEISSQPVVLDDSPSRQPELSCPVSVKDETEICNNAVDAQNNTEQHATSNQDKENKEECSDSHKNNGVESSVAQKLGSATVPPASLTSDVTNPVENDVEKCSGDQEKIAKKECEDSIVAKKDHEDAILRRARYIEANIKRAGERSLCNISLEKKRKSHWDFVLEEMVWMANDFMQERLWKSAAAALMSHCISSRGRAVFEEANIQRKQKSVARVLANVVTNFWRSVDTSRASGGMSKPMQIEQSNEIEKKKLAGAKAGKLESEENLEQDKSRQSHQSPIRCYALRLLEYNRNESECRLLAEAPQTPDRLNDFGILKVPGQLSEVKLFYCVAPGAMHAYRESMERLFVYNKKIGNSVLKNDYEPSTYASTADVPMENAYGDDEGEAHTYLLPGAYDGGLASKLNHKKKHPVPQRMNGTRPYEIGSDMPYEPFLDSKPGNHQFMSNGKRTTDFLSIPIKRIGTAARQRIGPYDPRSQVDLIAQYDQMAAGHSGPGSPWSSFEDQALVVLVHDMGENWELVSDALNSIIQLKGSARQLFQRLQGPFEEETLKTHFEKIIFVGQKLHQTRRKGEIQELRQINPLHTSHIFALSQACPGNLSGVLLTPLDLCDGPSNTDTLSIGYPGSHTSGIALPNNHGSIGSTLPTSNANSRLPGSPGMVLGSNSPLPLNAPSRDAQRFVVPRPTSLQGDEQSRIHYGQMVNGRNLQQPGVPVPGVLPSGVDRSARMMPPAHGVGIMTGINRGTPVPRPGFPRVGSPGMAVVSHGNMSPNNGQGLQNTVNVHPGVIPGPGNTMLRPRDSMQMLRVSNQFHMH >Dexi8A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:8A:29006515:29008008:1 gene:Dexi8A01G0017280 transcript:Dexi8A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNGTTPDNRRGVQHAEGTAAVLAIGTANPSGVIVPQEQFTDEFFRVSNNDHLTHLKEKLQRICNKTGIEKRHFHLNEEMLRDHPEFLDRELPSLDARIEIVATAVPKLAESAARKAIAEWGRPATDITHLVFSTYSGWHAPSADLQLASLLGLRPTVSRTILSLHGCYGGGRALQLAKELAENNHGARVMVACCEMTLVCFAGPDGGNLVGHALFGDGAGVAIIGAGPFAGGECPLFEMVAATQTTIPKTEYALGMQVTGGGVDFHLAIQVPTLLGQNIEQCLVDTFRLVFGDDVDNLTWNDMFWAVHPGGRPILDNIETVLKLDPGKLAASRNVLREYGNMSGATIVFVLDDLRRRCKDQLPEWGALLAFGPGITIETIVLRSPRSLSEQNIEDIKSD >Dexi9B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:9B:10223548:10224274:1 gene:Dexi9B01G0015120 transcript:Dexi9B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEKYGKTTKLKLKLDGDKAVGRKDYLSASKLYGEAIELDSTDAILYSNRSFCVLQIGEATRALTDANTCIRMRPEWLKGYYRKGAALMSLKEYKEACDAFLAGLKLDPTNADMERIFR >Dexi5A01G0025290.1:cds pep primary_assembly:Fonio_CM05836:5A:29162470:29163299:1 gene:Dexi5A01G0025290 transcript:Dexi5A01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESNGQGGTAPAAAGFFRVPGLFVRLSSNSKGAAASNAVDPDSVWSPTSPLDVKSLRSSPPRVGLGLVDALTADGSCSVHLGCRSSFLDSIRPFLELALPKSCVKAASSAGVATTTAADEVGEYAESEEYTCVILRGPNPRTTHILAGETLEVRGKGDEAGGEGCSKAIFSIEPFSDLQPSSRAASPTTSASGRCRCCMKRLPEKMDIFMYLGKAFCSNECRKGYIEEEIEEAEELMILDSALNP >Dexi1A01G0028480.1:cds pep primary_assembly:Fonio_CM05836:1A:34125589:34128402:1 gene:Dexi1A01G0028480 transcript:Dexi1A01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGYDCAASVLLCAEDNASILGLDEEGEESSWAAATPPRDTIAAAADGGGWIAADGNMVFLHSNVVPPAAAAATTPAALNLDSVSVLYYGTLLPHPLKAVITDFLLSPSRANNISRSTTASAPTPSRVEAVEAAAAMKRLDLFSGSCQSTPAQNFFPPAEGYPQKLQRRYGDLDLAALRRDAVDRIWKVTEHYNFAPLTAVLSVNYLYRFLSTFELPVLEKNFVFEGRTLKRMELLVLGTLKWRMHAVTACSFIEYFLHKLSDLGAPSLLARSRSSDLILNTAKGAEFAVFRPSEIAASVALAAMGECRSSVIERAAASCKYLNKERVLRCHEMIQEKIAMGSIVLKSAGSSISSVPQSPIGVLDAATCLSQQSDDATAGSPATCCHSSSTSKRRRITRRLL >Dexi9B01G0023650.1:cds pep primary_assembly:Fonio_CM05836:9B:19056055:19057731:-1 gene:Dexi9B01G0023650 transcript:Dexi9B01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPVSMAPFHPRLPTTILFVLLVAAGAAGHTATGDCRRQARYSRIFCFGNSLTDTGNATIFPLTAGGSFTRPPYGETHFGHPSGRASDGRLVIDFLVEELKVPQPTPYLAGSTAAEFLNGTNFAVGSATALDPEFLASRGIASLVPISLSNQTIWFQDVVQLLNSSGGYVQWLPDLPCIHMHVYTVAFVQLERAGGDEITASSVFFVGEIGFNEYQYALVNHSVDVAASLLPHIVDAIHSALTTMVAAGARTVVVTGMLPIGCEPLLLAAFPDNPPGGYDPASGCITGLNELAERHNRALRRMLGELRRAHPGRSFLYADAYRPIVNAVTSPARYGFGDKPLAACCGSGGDPYNVDVTVFCGTPGSTACADPSKFVSWDGIHFTEAADRLVARAMLRGLLSRVGGPASAVH >Dexi5A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:5A:19382501:19401346:-1 gene:Dexi5A01G0016740 transcript:Dexi5A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAKKRRSTLSTTTAPPRALLLLRGCSSSLLRRRCLAPLPSLPHLAPSPPPLPPQPQPLSTSVGIAGAMASAGPSGSVPRSQSGRRTVSRAPTRAFTMRPDGFSGEDGVEIVEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEQETKRRLATTDAREIQKFYEYYCKKYLEDDHEKKKPEEMARYYQIASVLYDVLKTVTPGKHHAEYDMYAKGVEKEKASFSQYNILPLNISVPRQPIMEIPEIKAAVGILRQMHGLPMPKIELQNSDEMHKPVVHDLLDWLWQTFGFQIRNNTVIDLMDKIFENYNSWCKYLHLESNIRSMARDLHDIISDRSEGLFDPPFQREGSDDAFLQLVIQPIYSIMQKMSVQQHDHVIRRRRASKTNFVEVRTFLHLFRSFDRMWAFFILSFQAMVIIAWSHSGSLSSIFEADVFRNVSTIFITAAFLNFLQTMLEIVLNWKAWKSLECSQRIRCILKFVVAIAWLIILPITYSSSIQNPTGLVKFFSSWIQNVQSESIYNYAVALYMLPNIFSALFFIFLPIRGMLERSNSRIIRFLLWWTQPKLYVARGMYEDTCSLLKYTTFWILLLICKLAFSYYVEVVLIFLTIIHWQIFPLVEPTRIIMSLERPPYEWHEFFPNLQHNLGVVTTVWAPIVLVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGRKLVPNHGSHFKREEDDKAPPFDKFADIWNAFINSLREEDLLSNREKNLLIVPSSGGETSVFQWPPFLLASKIPIALDMAKSVKKKDDELMKRIHQDPYTEYAVIECYETLLDILYSIIVEESDKKVVDRIRERIKDSMQRKSLVKEFRLDELPQLSAKFDKLLSLLKDYDENDPVKKNTQIANLLQDIMEIITQDIMKNGQGVLTPYFKEEVLFSQDDLHKKNEDGISILFYLRKIYPDEFRNFLERLDFKPSNEEELKERMEEICHWASYRGQTLTRTVRGMMYYRKALEIQYLQDTKDPGKEIYRIKLPGRPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYIEEAFKMRNVLEEFESRKYRKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGICSVMGGYAPSSSSPEGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVLNLTISDVFASILGFLPTGWCILLIGQACSPLLKRTVLWDSIMELGRSYENIMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE >Dexi7B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:7B:22625379:22627624:-1 gene:Dexi7B01G0016590 transcript:Dexi7B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSPRADASLDSAPLLGGAAGGGSRRRGGALRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRDEESPMPLRTWVAGYALQCVVHMVCVAIEFRMRHGQRGGGPVPVDEERGSDGSSSSSDEDDRELDRRDGRTDYASIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQDVDPMGPFGGIMTECGTNQPIEKVLAAEDAFEL >Dexi5B01G0028180.1:cds pep primary_assembly:Fonio_CM05836:5B:29602495:29604038:1 gene:Dexi5B01G0028180 transcript:Dexi5B01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVPGLDKGKGLYFLFIKSETTTPGGLPARPVLTSYYKSDIFKHRPYDPFNVYTSPTAAILCTDSFQSMYSQMLCGLLARTEVLRVGAVFASGLLRAIRFLQLHWEELAHDIRTGTLSSRVTEPSIRAAVAEVLSKPDPDLAAFVESVCAGAAKENKWEGIITRVWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELLPHDPDAKPVSMDDDPPPRLVDLADAEVGREYELVITTYAGLCRYRVGDILHVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASRLLAPYGAGIVEYTSEADATTIPGHYVVYWELMVREEGGEMPGADVFERCCLEMEEAFNAVYRQLRNGDAIGPLEIRVVRGGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVVSKHVSPACPKYSPHKK >Dexi9A01G0034180.1:cds pep primary_assembly:Fonio_CM05836:9A:38959505:38959801:1 gene:Dexi9A01G0034180 transcript:Dexi9A01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRGWSRLLALSAFVCLLAVLHPPALVNGLRREEVVVGHEPAPAPAEAPSARGGANAAGVDAAGKPFVAPAATTVGAVQMSKWRVRRGSDPIHNRS >Dexi9B01G0049340.1:cds pep primary_assembly:Fonio_CM05836:9B:48027674:48032483:1 gene:Dexi9B01G0049340 transcript:Dexi9B01G0049340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLDGSRSTSKGKSSSHRRTPSAKRQSSHYGSSAVKSKGTHRPREEPPRPARSQVVPPTDARHHINNIRAGRTRATSHTADSTGGRETPSSDALPCYTRTIRVSSFPRKFKPSGITKFDEKQDPNIWLRRYSSAIEAAGGDYISKMLYFPVAIEQGLLTWLESLCTDSIDSWHALKKAFVSNYQGSYERPGSKYELRACKKKSNESLCDYNRRFFAIKASCVPIPDSEVIDYFQEGMIDRSLFRDFGHNRPRDLEEFRELNGSATANAPQDDNKDQPRDSFKRNGNNPRKRPNNTVATVQSIRAAQTTQQRKEEFNKLLKKCYPYHPDNKHTMDECWLLLETFSKPNKKKKTGGDGDDKHDKGDEGFPDVNNTVNSVIPRAIAKTHDARHMQQNQRWSDTPITWSREDQWAEITCPGRYPLVLETVVANSKLTKVLFDGGSGLNLIFAKTLRQMGFDTSKLQPVDSPFYSIVPGKAAIPLGQITLPVTFCTASKYRTEYIKFEVTDFETSYHAIFGRPHGVLSLRGDIKRSYVCDKEAVEYAVRAASTIDQQELHPLAATVADEDGDAPTQKKARAIKPVDKVATKTVDLHTGDPTKTTESALVNFLRAQADIFATKPSDIPRAIKKELAKLLAAGFIKEGYLQDQLHRNVEAYVDDVVVKTRNPEDLIADLTETFDNLRNERGIEANPEKIEPIMNIEPPRTVKDVMKLTGFMAALNRFISKLGERGTDIEFRSCTAMKSQVLTDFISEWTEHNLPVATTKPEHWIMYFDGSLKLEGGVERANGMLLDGLKARMERTLKKAEGRWMKELFPVVWGLRLPSSWSMDLRQFYLWTSCMALLGLKNFKKLRRMNNG >Dexi5A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:5A:21745497:21746164:-1 gene:Dexi5A01G0018220 transcript:Dexi5A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYCTAEIGLSMGIQGTVVEKESSDIIILDGNIASVVWVVRWARSVYSNNKKFIQFHLTVNAATLIINLVAAASSGNVMPLNAVQVLVTLLWTNLITAALGAFALAIEPPTEHLMQRPPVSRRSVLDLIFHVP >Dexi5A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:5A:24757399:24761165:-1 gene:Dexi5A01G0020890 transcript:Dexi5A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLIPPHLCVLVAPQRREANGGSSSVDLLVASPWALAGATAAVALLWLVAWTLEWAWWTPRRLDRALRPQGLKGTKYRFLVGDVRENARRNREARTEPLPLGCHDIIPRVQPLLHDLAKENGVIILLPIVFIHHDPNIWGKDASKFNPERFADGISNAAKHQAAFLPFGGGPRICIGQNFSLLEAKMALCTILQRFSFELSPSYTHAPYTVITMHPQHGAQIRLKKLSL >Dexi7A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:7A:27642204:27643073:1 gene:Dexi7A01G0018190 transcript:Dexi7A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDGGRELAVSGHGEPAALAVSGHDERAALAVSGHGERAAVEVLDSLDYPCTARLRFRRLLGYLRENTCGEIYSVYDLVEQVKEGKLREASNYVRIYAPFEQSSDEAELLVSFLNDLMAISSFAEGDIMVAGIVCDWFKNLYKHPLLSKYPCFASLVADVLFLRPHHVRNSLDWQLVRNKAAELVEEMAYKAPELRDATHYPRGQNNLYE >Dexi9B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:9B:8532192:8538112:-1 gene:Dexi9B01G0012680 transcript:Dexi9B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPTNTRRKYSWWWDSHICPKNSKWLQENLSGKLFQRCNLKPQYNVCLCLLECLINADMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPADTASIETDMDNPDMSPYFLSFINASDSKKRNKDDQDYERLHKELASLSEENQDLKDRISSMLEQNNRAECEMLRLKESLTQQEAEKEAAVSLYQQSTARLQNLKSEIMHTQEKFNRLKEDMQTGPQPLGKGDEQFFLLERANQDLLLELDNMKLLLKQKHDELNDKKAELEKLHISTEEEHLNRMQAEMAQLSLEKQLLLAQDKLRQLALEKQSEVSKMKIITESKAVLQKELEKTLEENQKLNDQSHSSSAMIIRLQDEIISMKNVQRRLEEEVCQHSEEKKKLQNELCHLKEDRSDLERNHSSIKEQIQSVNLNVESLQALAQELRDDNFELKEIVKNHESIELLRIDNLRQLERMSETNARLEKSLSAVTIELEGLRLNKVALEESCMHLKSKITTHQSERAVLVAQIEVVSQTMEELLEKNVFLENSLSDANAELESLRRKLKELEESSQALQNQNSILQHEKKTLVHQVDSITVTLLSLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFDALQKKINLLLEEGRNREVQLGKEELKNVKAQIEVFVLQQCLNDMAEVNSDISAQLQKKTETCKVQEDKMYSLSQHNEKLTEGIGSVVRVLHLDRKYESVNQMKLEIIVQLILNEISCLLNNISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLKQDQQTKDEELLQLQREKEELMKISDEFLEEVEARNHKVDELKAEAKFLVGRLSELQESRRSLQSEMTKLLQTNSFLSNELNDSIEKRKMFEHDLSNLVTEAVNKDILSVIFRSLHEERTLQLKSLHNNFGCLQTAGSELYQEIKMMNKRLGDIEIENNYLGKELSRTMSVYGGCIVQTAAGKGHPGQRDASVLNSDRNSQEDYHVNMEVGQQKEFGEADLQELNEVLQEEVFKLRSEVEMLRSNKKTVFDIRSCDEEVMKLLANMQMAITNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFTMLGSLQTEVNALEERTLSLSNDCLQSNKLRMEENVLSPEMKTAIQSSGDENATRKVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDIIEMNYEQMLKDIQLDLIQTSSGRRTSPFGQEKRSVPQVDDKTVNLRGTVGPSCGHMADDLRPPQSESFGRDNNQMVVKELSIDKQELPRLASTEPHQEWRNKVVERLSSDAQRLNALQSSIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNSKLSKKAEEFTSADDLDAENTDLRSRHQRKILERARKMSEKIGRLEIEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRSSPCGCMRAKTIDD >Dexi2A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:2A:28506239:28507835:1 gene:Dexi2A01G0016770 transcript:Dexi2A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVKGWHMSKSRRRSRLFWSELETQHREQRAGMAEEEPRPQPWWLRIDHGSSSANSNTDSSETGSSMEEDGGSISVEVEPYAARVRARMGIANGGGIARGVDGGAGSSGGGAAGRGGVRLPQVVQEKFGEAKGILEHNRTLIQEISQNKEADDAAALARNVALIRELNNNIANAVDLYGSLSGRLARVVAAKKAADAAKKASDRGGPSRPRSTGAGQ >Dexi8A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:8A:19552565:19552831:-1 gene:Dexi8A01G0011060 transcript:Dexi8A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTPPVTCLSLDAETPGPGSHGTPTDAPPRCLRRPTAAQQRGPVHRRTPTQMPKQPALLGPRAREERREMPRRVLAEDPMSDRER >Dexi9B01G0039610.1:cds pep primary_assembly:Fonio_CM05836:9B:40245330:40247524:-1 gene:Dexi9B01G0039610 transcript:Dexi9B01G0039610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKVGCPPAALPLDRVLVELAANAEQLGRRWEAAVRGRCSKGGGDVAAVEKVEGNGQAIQMHTPLFYATCALGGVLSTGLTHLAVTPLDLVKCNMQVDPSKYRDISSGFRIMFQEQGLGGFFRGWMATLVGYSCQGACKFGFYEFFKKCYSDIAGPENAERLRTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARCLTDGLPKIVQSEGAFGYRCCKSCIICFTKDCFHFGAVKFPVSQNVFTRSDTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAQGATMADVSWLSYVKRIRPTSGGVSHSCAAVSPLHQVGHKKKD >Dexi5B01G0032790.1:cds pep primary_assembly:Fonio_CM05836:5B:33362910:33363383:-1 gene:Dexi5B01G0032790 transcript:Dexi5B01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVRSGGSRASTKGPFTVYPSFSNAALSPSALSLTVFSVATPLNFTTPNFLSGTSRITTRSSVGRGASSGLVYLDGSRNCIVTLPDAFWPPSVVITGAVIATGSGSPPVVMTGAVMAIFSDDSEEEVMTGAVMAIFSGLVFCGLPRAPM >Dexi5A01G0024660.1:cds pep primary_assembly:Fonio_CM05836:5A:28525401:28525804:-1 gene:Dexi5A01G0024660 transcript:Dexi5A01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMASFALASHHRGVAGFPSSAREGGRVGRSGVTISMRAQKKKSSGDSGSSNGDGGGVGDGRISSERRVWRRRKLGR >Dexi8A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:8A:22777166:22781151:-1 gene:Dexi8A01G0012900 transcript:Dexi8A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGFEADPPCRTVVRVPRELQAARPASSSHQLLHSFDQGCGSIAMASGTDEKSKMESLTSAAAFVEGGIQDACDDACSICLEAFCESDPSALTSCKHEFHLQCILECQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVVGNDAELEERILQHLAAAAAMGRAHHLGRRDGHRGRSGSHGRPQFLVFSTHPNSPTAGTISSSSAHMEGDNDSNPATPRASESSPRAIRIGDAGSQSPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPDRAGPSDAQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSSRTGDSQTASTSEVPPTESNNERASENNSATTSATTTTTSASNTPTPCVTTSGSN >Dexi5B01G0038940.1:cds pep primary_assembly:Fonio_CM05836:5B:37985144:37987799:1 gene:Dexi5B01G0038940 transcript:Dexi5B01G0038940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDELADKEQSSSEEVQLAQPDSKFRSLNPGPIPIPTAPSIRSLVDSVTDERLDVMASHQIENGSGSISTASSTVSSLESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRKVAEGKAAAQAEAAEWKRKYELEMTLKEQSKIKGCGSYISNDLDKLASQLTLETSASDQLGCCGKHGICSHEVLQDEVPGPIPRPNHKMVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQVRSFILLKWESPAQTVLFITKPNSNSVRVLCAEMIRWLKEHRSINIVVEPRVSKELLTEDSYYNFIQTWDNGKMFNFFHVLQIRQFRT >Dexi9B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:9B:3953355:3955881:-1 gene:Dexi9B01G0006580 transcript:Dexi9B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHQQQYGDPYRGLVSSPQPDHHLHALQYHHQQQPALMSPPQPQPGLMSPQQPQSQPGLMSPPQPQQQPGLMSPPQPQQHHHASLASHFHLLHLVTRLADAIATGTKDQNFDALVEELTSQFARCQQLLNSISGTISSKST >Dexi2B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:2B:25025224:25029175:-1 gene:Dexi2B01G0015020 transcript:Dexi2B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPRLLCCLFLSLSLAAAAHAATRHHEWEISYQFKSPDCVRKLAVTINGQTPGPTIRAVQGDTVVVRVKNSLLTENVAIHWHGIRQHGTPWADGTEGVTQCPILPGDVFTYTFVVDRPGTYMYHAHYGMQRSAGLNGLIVVSAKPGGPDAEPFGYDGEHSVLLNDWWHKSTYEQAAGLASVPMGWVGEPQSLLINGRGRFVNCSAMAATGGACNAAHPECATPVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKANQDPNRNYWLASNVVSRKPGTATGTAILSYYGGRSSPRRAPPTTPPTGPAWNDTMYRFNQSVATVAHPAHVEAPPPRSDRTILLLNTQNKIDGHIKWALNNVSFTLPHTPYLVAMKGGLLGAFDQRPPPETYAHRSYDIYAVQKNPNATSSDGLYRLEFGSVVDVVLQNSNMLDANKSETHPWHLHGHDFWVLGYGIGRFDPAVHPKTYNLKDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIESHFFMGMGIVFEEGVEQVAELPQEIMGCGKTKGH >Dexi3B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:3B:12443081:12444883:-1 gene:Dexi3B01G0016960 transcript:Dexi3B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFIGFMPFFSVDVYKKIVSENERLPFHERVIETEMDTKELETVLVEKEVELIDDPEVAESPPVPAMLDDEADFAESSPALPAIKESDVPESRFIEKLVKNERQVEGLPDNCNRVMVWNFNLEPPELRYPTGWAIYKNLEANMPVI >Dexi8B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:8B:23069972:23070963:-1 gene:Dexi8B01G0013240 transcript:Dexi8B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLGPSWSDIPLDLAGRILRHLPAYVDRLRFSAVCPEWRGAARQGGLPPSMPLLLLPDSTVYSLPRSKPLHFPACTGYTGVCGTGNWLVFLKEDGCLLRDPFSNETVTLPALSRARLQDVSDESSDEAFHTWIEMDQKRGVDASKIMFCSPHLIAAIFRFKRDKTTRIAVCKPGASSWWYIYMNYQAPQFADIVFHQGKLYALDCLETLFAVAISIDQGTGDPWVSQIQQSMFEVYEGNFGQSRWTKVTTLGDDQICQHFS >Dexi9B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:9B:2438303:2438697:-1 gene:Dexi9B01G0004260 transcript:Dexi9B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAFRNWFRKYCKHQVGWGTPQLDQLPPTPTKDKLLERYWSHVVQCSSCSAALKAMKALEVALQVMSVATLGFLAVAKETLLCFAS >Dexi2A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:2A:13383136:13385634:-1 gene:Dexi2A01G0011470 transcript:Dexi2A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARNLTDEEKKGHKELKWDDPDVCGPYMARFCPHDLFVNTKSNLGTCPRIHDPKLKESLRRSLHTNVIDLDRKIRRGRERLAQDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVSDDALERTQSHVTGKQHIGYGMVRDFLAEYKAAKEKAKEEERLAREQKAEERRKQRGKEYDSGGRDGVTRRERSGERDYDRDRQYERSRGRDRSYDNRARGSEYRSNSYRNGRDSERGGHRYRSGDMINDRGRMRSRSRSPSRHGYRRSGSPDH >Dexi7A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:7A:18973499:18974743:1 gene:Dexi7A01G0007680 transcript:Dexi7A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIPVVSKIFCSGTPTMLMIRRRPIVVNGGGFVVTDLSHNVVFVIDGCGILGSKEELMVKDGEGEPILFISKKMGRKEHIGGKDFYHVEVQSGYDQAFIIGVMAILDNIYGESTRC >Dexi5B01G0027470.1:cds pep primary_assembly:Fonio_CM05836:5B:28990790:28995526:-1 gene:Dexi5B01G0027470 transcript:Dexi5B01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNMVGGEGEERRPAAVCRELWHACAGPVVALPRRGSLVVYLPQGHLAAAGGGDVAADLPPHVLCRVADVELCADAATDEVYARLALVAEGEVFGRNLRSSGAEGEDDMEDLDAERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIFYLASASEYVIPYQKFLKSLNHSVCIGANINFQCQNEDVSERRSGMVVGISEVDSIKWPGSKWRSLLVRWEDGSDCNGQDRVSPWEIEGNLDVPTMWNSCTDSVETGKLPRVLQGNLTVQNSGVTYQSVGFNESLGFSEVLQGQEISRAVPMFQGIMSEACSVKGGYGLRGYMRTPAAVNGLSAAAQECSLTLSTPAAKVPSPHPNHMFNQSVASQLGLASKTGGEGANGCQPRPVGVAWEAQTRLLHETPGQISLDQFESRRALASGDAAKTGSGGREVRKTSCRLFGFSLTEKILPADDDSVMEVSYEAERQNPRMLDLFGYNRSTPNATLPALCAAPFGM >Dexi3B01G0029940.1:cds pep primary_assembly:Fonio_CM05836:3B:29247317:29251164:-1 gene:Dexi3B01G0029940 transcript:Dexi3B01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVAAARMKGEYPYRVGQPECQGEPICTFYSRYGICKFGPNCKFDHPMGTLMYGTATSPTGDAPTMHYQLASSPGHSERLLDGGSGRSHRISQSDSQQIPSGNGSTEREAS >Dexi1B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:1B:1781434:1781658:-1 gene:Dexi1B01G0002160 transcript:Dexi1B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGWFELWVNAADVLPHGYVECFKEQMSLVFDPAINDYRNLASWCRSRPAPPTLARQEDSMIRSLYISPELC >Dexi1A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:1A:1741906:1742575:-1 gene:Dexi1A01G0002590 transcript:Dexi1A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQLFLQ >Dexi2B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:2B:25759712:25763058:-1 gene:Dexi2B01G0015680 transcript:Dexi2B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLSMGEAHRRIGDYLSRVVNAISSSDGAALASLISVSSAPGSTPLSDALAAFPDFPRLAGDRFPHLADLLVPLLRSIHSHSLQRFSDAYSSFEKAASAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELATTGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMHCNPQSESNLRRILKFLIPVKLSIGVLPKRTLLERYNLLEYADVVTSLKRGDLRLLRQALDRHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLDVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >Dexi2B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:2B:1248631:1252927:1 gene:Dexi2B01G0001720 transcript:Dexi2B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGRGGDGAFTGADREQRRGRGGGGGRAAGDGGRGDVCRYGRGQLSGIRGKLVLLPIRTVKQLLFIPHHNLQRSKVAGHHFLSILSKGKGTIHAWVLMAEMVSSAVVNEAVSQIMSDLISRHEEKQKSKAKESLERLEMVHIKLDAALGTSEKWHITDASLLRWRKKLKRAAQECDDTLQKCKHRIIEEEQIEQEGRDAIEALLWSMK >Dexi2A01G0035250.1:cds pep primary_assembly:Fonio_CM05836:2A:44940912:44943967:-1 gene:Dexi2A01G0035250 transcript:Dexi2A01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMWWPWSWQGTALTAAAWLCLHVAVARLMEALWWRPRRLERHFARHGVRGPGYRFFFGSSLELIRLMVDASSRPAPPEAPHDVLPRVLAFYHHWRKLYGPMHLIWFGSTPRLVVSQPELIREVLLSRAEHFDRYDAHPLIRQFEGLGLSNLHGDEWARRRKILTPGFHTENLTLLVPFVGDTVQRMLEDRVFSGAGAGEVEVDVAEWYQRLPQDVMTLATFGRNYDEGSVVFRLQGEHGSYATEAHSKVFIPGYRFIPTRKNRRVWQLDREIKRLLGTFVNGLQSGDHRSGGRDHGRAGGMREFMSFMAPAMSVDEIIEESKNFFFAGKETLTSLLTWATVALAMHPEWQDRARREVVDVCGRRSLPTRDHLPRLKTVGMIINETLRLYPPAVAMIRKAKHDVDLGGCTVPAGTEIMMPIMGVQHDAEVWGTDATEFNPGRFADDRDRPRQQMAFLPFGGGGRVCIGQNLALIVAKVALALVLQRCEVRPSPAYVHAPRVLMILNPQHGAPVIFRPL >Dexi1B01G0023940.1:cds pep primary_assembly:Fonio_CM05836:1B:29398198:29399884:-1 gene:Dexi1B01G0023940 transcript:Dexi1B01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLHQHTLNIREQEVSSTVPPVKVSRALMAEHFGNIKEETEDLPSEFARPTKKSNLSVAELLEDLQGRSSSSVGTALLHQHMGAKDWKPKLPTFEEKTLANMGERSIDSEDPLEHIIDGTSSEEENVTENHLALVNKDVKQQTMADLFQEVFNPTIMEVAVLPMRSTGAGYHGRMQQIMQMEKYRHAEFLRQLNIEQGCLGGAKLAVMNTYGFTSGVSWYHFYSSMLHRLHIFSTIRSEASTDPATNESRTKRTVIFSPKICDNVNLLVGNIIHIFPPW >Dexi9B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:9B:13213030:13214572:1 gene:Dexi9B01G0018510 transcript:Dexi9B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPASRASSTRASPPSRPIFHHPPDPHHTAAGTITTVPVVDLHDDDKQGLVAQIRAAAETVGFFQVVNHGVPGDLLAETLASVRRFNEQPAEALLHPYNSFHSPAANWRDTLFLEAPEEEVPPPCSAAVPEYARRVRGLGKRLLGLLSEALGLHAGYLPDYCCLGDDVGHGGPVVGCHYYPPCPEQSLTLGTTRHSDPCFLTVLLQDGVGGLQVLLDGGAEGGGRCWADVPPVAGALVVNVGDLLQLVSNDRFKNVEHRVVAPAAGGGGARVSVACFFRTTGDAASARVYGPIAGVNPPPRYRPVTVKEFMGYYRDKGLDGTSALARCRLPIPSSRLLTMI >Dexi3B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:3B:12785422:12787338:-1 gene:Dexi3B01G0017380 transcript:Dexi3B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRPRHGEERRTADGAATAAAAEEYDPWTAWLYKPHTISVLLVGACLLMGVWAMIAVFLAYCTLQAPSTTVTMLASS >Dexi6B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:6B:18979612:18981330:-1 gene:Dexi6B01G0011730 transcript:Dexi6B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPESSRAREEAAEREAATAEEEARQQPRSWVALASIVVVCDSKHERAAEVAPGVADFLLELQDPPRGSYLLVPEHLAPDPRMRSPFDNFAYIIAAVPDRLLFLATDRAQRRGGLDPVYFLCDVGAGTATRLPAVHREVPIKLFPRRTMGLVADPRFPGHHMVVQLHPAEGADMRRHDALLCFSTATGQWTVKTLTSAPNHEPWGAHGVFAHGRFLCWVDIAYGMLTCDPFEDHPDLRFVPLPPGSEMQGLGGGQRPTRLMDQRRCIRPSQGTLCYVEIQGLSYDRATLYDPPINPSVSVWTLGNLASLNPWNFECDAPFADIWAHPAYISSGLPQGKVPNLALIDPNNHDVVYFFQDASILAVNVRTRVVVACEEYWDAQFQDSRFVDAWVLEQPPTSPASSEGGSQMKGSDGEEELDAAKGSDEELASLFGQLEIKSQPDMELSSSQLLSMLVGEDMETPSEPSLEAEAGDQADEP >Dexi9A01G0041740.1:cds pep primary_assembly:Fonio_CM05836:9A:45322869:45324693:-1 gene:Dexi9A01G0041740 transcript:Dexi9A01G0041740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSAAMAAAVVVLVALLCAPAAGELERLEHPAKNDGSLSVLVVGDWGRKGTYNQSRVAEQMGKVGEQLDIDFVISTGDNFYENGLTGTDDQAFEQSFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPDLDEAIKKSTATWKIAVGHHTMRSVSDHGDTEELLKLLLPVLQANGVNFYINGHDHCLEHIILHERRRFESMERSVPAQ >Dexi3A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:3A:4893760:4897110:1 gene:Dexi3A01G0007210 transcript:Dexi3A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKYENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDMSEGLLEDCAQLVKANSIQGNKINNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRSIKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKAQLRDKKRKEEMERLEKEKQAEIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFM >Dexi9A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:9A:1983551:1986496:1 gene:Dexi9A01G0003760 transcript:Dexi9A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGANNAASSSRGAPLPPLPFPFSAAAHFPSRVAVPFLPQQHHPPATSDGDDEVDEDEGGMDDDNSEEDEAELVGPQQRRASSAPALRLAVQAFKREEESAKALKRRRERLEQDKCGNDDSNPTDLSRGKGVQFPFAVFDRVIIKGNKRTPKRFVGRQAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKVGDADRSSAMVSSNAQSASWL >Dexi2A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:2A:9358365:9363611:1 gene:Dexi2A01G0009170 transcript:Dexi2A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVLGVLFATTATVVSSSPPPHPLDPLSPVELTAVRSAVLASPLVPDRPINFHYVGLDEPDKGDVLSHAYSSHGRQPTLPRRAFVVARAGGQTHELRVDVSNASAATVLSHNVHHGAGFPRFTVDEQVAAALLPYKYPPFLESVRRHGLDVGDVTCGLFPSGWFGGGGGTAKPTRARLAKLQCFVTTAASVNLDARPVEGITLVVDLDKMAIVGYKDRVVVPVPQAEGTDYRAETVGPPFNGPAVAPGVVVQPHGTGVHIDGRIVRQVLYRGFVSEIFVPYMDPEEEWYFHTFMDAGEYGIGVSSLPLQPGSDCPANAVFMDAYHTGADGKPVKAKNVICVFERYAGEVAWRHTEATLPGPMITEVRPDVTLVVRTVVSAGNYDYIFDWEFKTVGSIKCVVSLSGILEMKATSYTHVDQIKEDTHGTLLAANTVGVYHDHFITYHLDLDVDGTNNSFLKNTINRMRNTGNPATGGANTPRKSYWTVNREVAEREEDGQINVNGAPAELLFVNPGKTTKVGNEVGYRLIPAGATATSLLSDDDYPQRRASYTKKQVWVTPYNKSEKWVTGLYAEQSTGDDNLAAWSRKNRSIKDKDIVLWYTVGLHHIPYQEDFPVMPTVSSTFELRPSNFFERNPLIRMRPPNANSPNCSCHV >Dexi6A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:6A:18405867:18406318:-1 gene:Dexi6A01G0012170 transcript:Dexi6A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQRVGVQHVKDDHHEQGAREHRDGAEDPHEQRGGEVERPEPHGPEVAAADDGALHVGGRHVGVEGGDEEAGLDYLAEWMKQVKAARKQTRREMYFTVAVAWLRSP >Dexi8A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:8A:1000365:1000661:1 gene:Dexi8A01G0001380 transcript:Dexi8A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSKDGDAGNLPCSACRKLDAGPPRRQPLPSRPQVLHIVDRASPLQLSSLSSPLVPASPAPTPAACLLQPPSLVVLSTDADGLLPAAAEPASRSRR >Dexi6B01G0010530.1:cds pep primary_assembly:Fonio_CM05836:6B:16922654:16925721:1 gene:Dexi6B01G0010530 transcript:Dexi6B01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREEKKTIAPEHVLKALSDLGFREYIDEVYAAYEQHKLDTLDSPKAGKFTGIEMTEEEAVAEQQRMFAEARARMNNGAPKPKEPEQEAQQQPHPHLQLHTPPQQPMQPQQQLHSPSQQSLQPQLQLHPQPQQHPQVQLHPQSQQPQVQPQQPPQVQPQQPPQVQLNPQAQQAPQVQLHPQLQQPPQVPLHPQPQQTPQVLLHPSPEQPLQPQSQAHLQSQEPPQAQLQAQPEPQVQTEHGVDS >Dexi9B01G0036180.1:cds pep primary_assembly:Fonio_CM05836:9B:37737273:37737788:1 gene:Dexi9B01G0036180 transcript:Dexi9B01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHERAPRVVFLSGLPPHARLASAAAPDCARFSVEPRQAQPPPPPTPGRSCQEASSLGPVLADRARRACAAARATSGVWDWEGLLPWLAASLGPGSARQNAAATVLVWRHHHGLRGSGYGLRGRFGRPPVAAMPTSPRRAALPCLRISAVFGNVLLGVASRGVNGLSAYYG >Dexi9B01G0034830.1:cds pep primary_assembly:Fonio_CM05836:9B:36682034:36688980:-1 gene:Dexi9B01G0034830 transcript:Dexi9B01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGGSGGGSRHGGVVAGGGGRLPAGRADGGGERLPWLANGGVQVQRGVIAGCGRGIPFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISESSPLLDVDYDYGIVKHPFPLSCFMRNWYLGPDFYHAVKIGIVQYVYDPKAHLCCLGNFHGTSGNLWRRKVCMEIWMVDDVKYTVSHVVEPMERSFTKINRTIHQISENVKQLEKQKRKAKDDSHLIPLEPWSEEFSEAHDHVVGGSVSDSGLAKTSYNRNSDRPRRSFESGLRRWF >Dexi1B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:1B:26247759:26248232:-1 gene:Dexi1B01G0020050 transcript:Dexi1B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAASLAAPSTSTSSVLLLRRLPSPPAPASAAFARRLGPPSPPLRRARLLLQVALTSEVSSDDVAAEEAAAAPKIGRRVRVTTPLRVYHVLKAPDLDVQGMEGVVKQYVCVWKGKRITANLPFKVEFQIDVEGQTKPVRFFVHLREDEFEFVDEE >Dexi4B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:4B:9118447:9121635:1 gene:Dexi4B01G0011670 transcript:Dexi4B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRQAVAIGVSAGVAAVVLAAACVAIWMYRRRASVAARTRSVESSSATLRADGWRCASLDSSVSVSVVSESVADWGHLPPAKRAAFWAWRGGAGHNGREPPPLTVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEYMSNGSLARLLYGDNKRSLSWEERLQIAHDISHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGSKSGLKGTYGYMDPDYMSTNKFTKKSDVYSFGIILFELITAINPQQGLMEYINLAAIGGEGRVDWDEILDKDLLVGNIPEEVRMLADVAYRCVNKSPRKRPWIPEVTQAISRLRQRQLTKHDALTLPRSETRTVLRRIEYQHVELSDLTSMKELTPIRA >Dexi2B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:2B:28833414:28833929:-1 gene:Dexi2B01G0018540 transcript:Dexi2B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGDSCHLT >Dexi3A01G0023990.1:cds pep primary_assembly:Fonio_CM05836:3A:19607754:19613205:1 gene:Dexi3A01G0023990 transcript:Dexi3A01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGRGEETDATEKKAKQKGGFRTMPFILANDFCDRLANVGFSSNLISYLTLQLHLPLVEASNIISNFNGTANLTPLVGGLVADSFAGRFWTITSGSVMYQLGMVFLTLSAMVPSLSPPPCAKNATDCQRASSSQIAVLYLSLLCTSIGTGGTRPCVMAFGADQLELDAHGRPRDGGAKPKWSFFNIYFFGIELAKLTAATAVVYVQENVGWGWGLGIPTVAMLAAVVAFVSGYSLYVRMPPGGSPLVRLAQVTTAAFKKRKAVVTDPSLLYQDKELDAGISTAGRLLHTDQLKFLDKAAIVTGGDTLPSGEPKLWRLSTVHRVEELKSIMRMLPIWAATILLVTSGSHNSSFAIQQARTMDRDITPRFRIPPASMLIFTNVAMLLTLTLYDRVLVRVLRRYTGNPTGITHLQRTGVGMTLAMLANAVAAAVERRRKAVAAASGLLDAPMDTVPMSVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESLRSTAAALYWLTNSMGSYLGTVLVTVVHDKTRGSGQWLQDNLNRGKLDNYYWLVVALQVLNLVYYFVCVRYYTFKPLETTVGDDKEVELVGNGDQDADKGASKLQVA >Dexi7B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:7B:18593391:18601568:1 gene:Dexi7B01G0011570 transcript:Dexi7B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEAEDDAARDAEAASQRLVEAVARGDAREAGELLAAGRADVNYAGVVWLRARRVAEAEPREGAAAEARAVHEEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGRAEVAELLVRAGASQPACEEAVVEAALQGQAALAAIFMGSDLVRPRVAVHALVSAAARGFVDVVDTLIKCGADPNATARVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRHLLQAGVKRDTKVRLGAWSWDTATGEELRVGAGLAEPCDAIWCAVEYYEYTGSILRMLLQHGYALSSTHLGRTILHHAILCGSAGAVQTLLASGADSEAPVKTSRSNRSRPVHLAARLGQPEILQTLLDNGCDVNVRAEAGDTAAILCSRHKHDDCLRVLVAAGADMALLNSAGESAEAAASSAGWKSGFEGAVLAVIWSATIPRSSDRNVFSPLMFAARCGEAAAATAAMEVLLAQPDMDVDEQDLDGWSPVMAAAKAGNVEAFRALVFAGANVKLSNRRGETAIGLAQQSRKSDLFEQVMLEFTLEKGIPGGGFYALHCASRRGDAAVVRHLLATTTTTGGGCDVNIPDGEGYTPLMLAAREGHAGVCELLISYGARCDLKTPRGETALSLARAAFNKAEDVIMDELGRQVVLQGGRVRKHTKGGRGKPHGKSLRMVAAAGVLRWGGGWWSRRNVICREAEVGGSSAFQRHRQRKGDAYEPGLFRVVTATGREVHFVCQGGEEAAELWVRGIRAVTRAAYGKRGEE >Dexi9A01G0028850.1:cds pep primary_assembly:Fonio_CM05836:9A:33576797:33580387:-1 gene:Dexi9A01G0028850 transcript:Dexi9A01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKVEQEDTVRRCKERRRHMKDAVAARQQLASAHADYLRSLRVTAAALSRFAQGHPSLAVSHHTAPVLLSAAAPPPAPGAARALPTPPPSTAASSSLPPPTPLAQHPPPPPAAPQPQMAAAGPAPVRAPRPRRLRVPHILSDSSVASPAQSSFRKQPPVGTPSSSSAWEWENFYPPSPPDSEFFERRKADVEQANRLRELEEEEKARAYLQHHHPYNLKEEDEFEDDDDDDKVDHEREEMHCGGWEDDEEHYASTTTSETRSEDEGEMGTRSECGFAARSECGFVARSEYGGTAVSEYAAVPLPLRRDERSEAGDSSSTVTAATEMRMVVRHRTLEEIVAAIEEYFVKAADAGNDVSELLEASRAQLDRNFRQLKKTVYHSNSVLYALSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGCTLERLLAWEKKLYEEVKARENVKIEHEKKLSTLQSLEFRGRDSAKLDKTKASLNKLQSLIVVTSQAATTTSSAIVRVRDNELAPQLVQLCFALLGMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVASWHSNFNRLIKFQRDYIRALYGWLKLTLCQVDNSAPQDAHASIISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRSIEKKYYQSYSMVGLGLPGSGRDGIESHVYDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGIFQAIAGFSATVVEALDVVCRRAGSVR >Dexi6B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:6B:25461906:25463760:1 gene:Dexi6B01G0018520 transcript:Dexi6B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERAMKKQEGAAYEDARQALEEYDDPLRKLEDDSTERNEDSIKVTGKRTFGPAKNTPKDVNKKQKLEESENSYSEYDSDAAQHFGNNEATAKKDDVQLGTALLDDKQNSLNNSFDDILKNRGSKTTFEIGMLAGDSWKTVKSSKGNDNSNVNGITNKSKLQAPIIVPNPKKKDSNPDSDSDSDEDMVEGFFTISNTKENYELPSQAELICQAFTSDDVEAEFEKDKMEVLNEENPEPEKPVRVPGWGQFKYLPRNLPFPFTSKDVYEQSIRMPIGPDFNLVISVSALNRPAIVKKPGIIIKPIQYEEVDFHQKLD >Dexi5B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:5B:759009:765662:-1 gene:Dexi5B01G0001190 transcript:Dexi5B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSSKKKSKRKKKASPPPSAAAAAPPPADSEAGSPGPETLTLAAVSEAESSSSGEASTSTSVFTASCSGTASFSPFSSSASSAAGEERRDFAWLLDAFASATIDQVDSAYREAGGDPFLAAGILGSAQDTQPPQPAPQPPPPPDLSLRSGSGGRKAGRRPKRVAVAATGMVADVIGKDYTRPATPVSAPNAWKSRDGEKDGGPGGHKYSVEEAEQFLCSMLGDNSDLGMGVVRDVLGQYGYDVEKVSNTNLQSQSGLGHGLWDEPQISYAKAVMEAPRSSTLPLRSAEVKADPHQVLDSLFKIPEIRTYEPSSMDWKKVVKKLQSFNSIATSDNQERPKGDGYRELRGVAARHYDKMKEYYQKAALAYSKGDKSYAAYLAEEGKHYRELARVEDEKASRNIFEARNKHITNTVTIDLHGQHVQQAMKLLKVHMMICICMPSVLLRVITGCGSEGTGKGKIKRSVIELAQNEHIEWREENSGTIALRLGGPREYRFLEHDNDSD >Dexi4B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:4B:13970053:13976998:1 gene:Dexi4B01G0013830 transcript:Dexi4B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVLLAGGTSETLSPLVSRDVPKALLPVANRPLLSYPLDLLEASDLKDLIVVVEGQEAARLVGAWVSSAYLDRLRVEVVAVPEDLGSAGALRAISKRLTANDILVISGDLVTDVLPGAVAATHRRNGAVVTALLCSVPVSGPSDTPSSSGKDKAKKPNRLNIVGLDKTKQFLLHIVSGTEVEKDVRVHKRKIQAVGQMEIRSDLMDAHLYAFKRTILQDVLEQKEAYRSIRLEVLPYLVRSQLRSAPSVGNGRPVDETGNSAVLSSGNLQCLSQHRVIAPSAFKQDVLSRSCGGHRCCAYIAPKSKYCHRLNSIQSYSDINRDVIGEASHLSGYSFSAHNNIIHPSCVLGSKTTIGPHCILAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERAVLKDCQVLFGTLAKKGKIF >Dexi2A01G0029520.1:cds pep primary_assembly:Fonio_CM05836:2A:40559376:40567736:1 gene:Dexi2A01G0029520 transcript:Dexi2A01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQRARSSEELKAEDFADSVLNFGGAGGGEGEGEEEQEEGEAAAGGDGQPAATEYKSKNLEAERRRRGRLNSNILALRAVVPNITKMSKESTLMDAIDHIKKLQNQVLELQRQLADSPGEVWEKQGSASCSESFAATENIPYQGQVELVPLGPYKYHLKIFCKKAGIFTKVLEALSSYNSQVASLSTITFYGYTESVFTIEVKGEQDVVMVELRSLLSSIVEVVPGPALGLPPRWIAPSSPRNRLLWKGNWFVQEKSCKILTDIISARPKLQNGMLPNGDSSNSKSKLTSTHDVLRGLVDWLCSQLRNPTHPSCSIPTAIHCLSTLLREPYVRTLFVQADGIKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTKVIPKLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEVGLKDNLKKLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENTEVRKNALLCVQRLFLGAKYASFLQA >Dexi9A01G0038580.1:cds pep primary_assembly:Fonio_CM05836:9A:42764979:42767444:-1 gene:Dexi9A01G0038580 transcript:Dexi9A01G0038580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVALLRSKLHGLIERNRALEEENKQLRHQVSRLKVQVSSLEVQDTDKRMLWKKLENSPTSISYSKEKLFVQSNDDAKEAVDLNNSLCHIRQQFSRATLVRSRAPRVPNPPPSPTCIQPKTTVRKEGCMAPPPPPPPPLPSKLQRSSKAIQRVPEVVELYRSLVRREGKNDARSGSVGIPAATNSREMIGEIENKSAYVLAIKSDVENQGNFVNFLASEVQNAAYREIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMALKSMQALQDKIEQGIHNTERVRDSASGRYRDLKIPWEWMLDSGIINQLKMASLKLAKEYMNRIVNTLKSDPFANDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE >Dexi5A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:5A:11038754:11042276:1 gene:Dexi5A01G0014180 transcript:Dexi5A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARAHLPSTTAIYPRAPSSLHRRCLPHRPLLCPRPLPAVTTPISPPATAMDPVATWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDPASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEYGKLLKDFNKGLVNNKDIENLKAEVEKFAGSFDMPGFTLESMKYKE >Dexi9B01G0034460.1:cds pep primary_assembly:Fonio_CM05836:9B:36422277:36423916:1 gene:Dexi9B01G0034460 transcript:Dexi9B01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSASSPDRPPPQPPEPAPAPPQEAAATGKPEQPAAPAEKPARRERRSRLSRPAPGGSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEGSVED >Dexi9B01G0049330.1:cds pep primary_assembly:Fonio_CM05836:9B:48026166:48026641:1 gene:Dexi9B01G0049330 transcript:Dexi9B01G0049330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAGASQGGGWPAVAGRSGGWPAGAGRCWPQAAVASRCWRQAAVVVVRWARAWPWPAVAGRRWPWWWFAGRGRGRGGPLLATGGRGQPLLPMVDGGSVRVPPALPDLGHGPGDGGVGASCVWATGAVARRWRSSPP >Dexi6B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:6B:17755170:17757209:1 gene:Dexi6B01G0010910 transcript:Dexi6B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPGIEPPRKRMATEAAAFSAARGWPKRFGDRYYSPPPRPRSRPPSPSRRAAEGRGGRGDAEEAGDATLGAWRGGAGKAEEAVDGSPAGRGCGRGGAVKSGVEARAGVGAAGGNAASDGDSAAGAAVAAPRERGGSVGGEVGSKRPFATVGSRSRVYAPPERRGVSATRSFPLGRGRGITAPLAGADDGLGLVPAPARPDGGSAALRKSATRPLSIEKAGNRRVPKKVSTPAGGALKIRDGCGGVPKEASAHAGSCGVLKKVSAHAARSLSIREAGSRAALKNVSAAVVSHPIADSSRHGPDAAARRSSDPSRRSAAAAADGFLDTGSKAVAEGGRFGRSKELVQATPLLPKSRNIPTIRRFQLEHRRVRVSQSLKSANKRPLKNMIVDRPAYLRMKVASACTKGSIDKQDDVLASIVEDDDFWKELDAYEESDHNVSSDVPSVRCQRQCGTQNTDARSKVEMMCKKFQFVLMAIVKVVERRSLKIGRIDFAAHSVVKNMRGFTKRWPIVGSVPGVEVGDQFLYKVEMALVGLHIQFRKGIDTTRDGNGALIAISIVASGRYPDELSSSGELIYTGSGGKLAGKKSDENQKLKGGNLALKNCIQTQTPVRVTFGFKVSREARAKGASAFIYDGLYRVVKCWIDGEQGSKMFRYKLQRIPGQPELPHSKTGIMRLGQ >Dexi1B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:1B:22731158:22731518:-1 gene:Dexi1B01G0016190 transcript:Dexi1B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATGGGEMRLVDRCIDAAAHSAATVEVWRRQRRSMERLPAQLADALLQRLAARRLLFPSLLDARWKR >Dexi6B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:6B:26193214:26194242:-1 gene:Dexi6B01G0019450 transcript:Dexi6B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDSFDGGVGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVTPAAAAVPTGTVTVAAVAPAAGIPMALPVGSPVYSGDDHALSSSSSPEPPALQPQAPSGSGSGGAAASGDTGEENDRLRRENARLTRELGQMKKLCNNILLLMSKYAATQQLDAAAQQVEAATRAAAAGNCSGESSAAAVPPPLPSVLELLPSCRGVLDTLPAAVDAAAAAGAEHGDEDDDDDAKAGARLFGVSIGRKRVRDDSCSGDAGGVEDPVSRRAAAEVKTEPVDAPPPDHHHQQQQDDDEEDHHHAATEQQAWPIYRPTPVYHPLCNGGGSGGGSAGSDHDGSNSSR >Dexi8B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:8B:975772:976101:1 gene:Dexi8B01G0001480 transcript:Dexi8B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLRGSVIMISAMLIFFHLLLLSSPAAAAATPTGTTLQPPDDAAQGWAAARRLLLRQQKPAATGTATFFHVDVAAHQAATTSPAAKPNVEFNASTKSAPGSKFNPKQN >DexiUA01G0013130.1:cds pep primary_assembly:Fonio_CM05836:UA:27352401:27354188:1 gene:DexiUA01G0013130 transcript:DexiUA01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEVAAETGGGVLRRYAPHVLMTLAQLCYTLMYFITEAAFNRGLNPYVYITYRHLLVAILIWPFAYYQEKGLRPKMTLMLFMEIFVLSLLGVSLTLNMYFASLKYTSPTFVTSMVNTIASMTFVIAIILSSADIVRLESRMEIVNVKSLRGLAKIAGTVVSFTGVTTMTMYKGAAISSLWKSPIHINGGGGGSVAHDSWVKGSVLAVASCICWSIWYIMQASSLKRYPAQLSLTAWMCTVGGIQSTIFTVFMQHKPEDWLIGFGLKFWCIVYSGIACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILADFIFGENLYVGSIIGGVVVILGLYMLLWGKENDQEQDARKENELELDCEKQAKKVRDVYAAQDGTGAARTIK >Dexi3A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:3A:3016985:3018142:-1 gene:Dexi3A01G0004640 transcript:Dexi3A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPFQKLPPGVYFNPTAEECVRDYLRPWKAGVMPATDRVVTDVNIYSQSPDALVQGREPGFSRGSDHKWLMLTDCVRVSGGKGKGKARAKRDVVTGGNWKVEQRSKPVAGDNDDDDAGDDDPPGGDRRRTNGFYVSSGGGGSGGNKDTSAKTPWLMEELTTAEDEEEAAAGWKGDRTVQVFCKLYVSPRASDEEKIKIFGEDGVPFDRDGKPKTAREAIHEDLFDAVAASIHRARGPPAPAPASAPPRVLGGGCQQQQGRPARRVVAVGHQHGNGQPTAPARRRRELLGFSEAFQGTTTGRSAFLDTTMATQCCSGAFLLIPRRRSLDTTILPLRRRSIPSNSNCKGTR >Dexi3B01G0034560.1:cds pep primary_assembly:Fonio_CM05836:3B:37305273:37306919:1 gene:Dexi3B01G0034560 transcript:Dexi3B01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHALTATFVILALTSVVVVVVVWTRRRRHHPNPQNLPPGPKGWPVIGNLHLLAGSLPPHRALAALASRHGAPLMHLKLGSFHVIVASSAATARLVLKTHDLAFADRPPTAFGSILAYGYKGILQTPYGAYWRMARKLCAAELFSPHRVASFELVRVQEMRAMTRAIFLVSGAGGGGVQVKEHLQNLAMRNILRMAVGDKWSGCYGSEEGEIFRRTLEEAFAVTSAVSNVGDWLPWLEWLDLQGLNRRMRRVHHLFDRFFEQILDEHERDRDPRKRGEEFAGGEELAARDLVDVLLERAEEGGGEEEAEEARLTRDGVKAIIQDVIAGGTETTAITSEWAMAELLRRPDAMSAAAGELDRVVGRGRWATEHDLPALPYVTAVVKETMRLHPVAPLLAPRRAREDTTVVVSGAGGEYCYDVPAGARVLVNAWAVARDPASWPDSPEEFRPERFLAGGGGEGVDVRGAHFELLPFGSGRRICPAYNLGLKEVAAMVANLVHGFEWRLPEGMSVEDLSMEEQFGLSLCRKVPLVAVAEPRLPAHLYAALD >Dexi9A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:9A:7079777:7082098:-1 gene:Dexi9A01G0011350 transcript:Dexi9A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGMAAAPIRRALSTAAATPPPPPLSSLTDALLATRLASHLLTTPHLPAALLPASPLPLPVHLHILRHPALPPASKLSFFLAATPPASPLLASTFPLLLRTLAAGSPPLLDALLPFALSSPCLATLLPSLLASLLSASRLDAALALLDAAPPDLLPRLAAAALPSLIASPDPIAAVPAIRRLLPIASHPPPVRATNRLLQVLSKENLCDDFRYVFDEMSRRGLPSNVRFYNICIHAFGKWRQLDMSLKLFGAMKAATPPVVPDICTYNSVIRALVVGGRVADALVIFDEMRSFGIEPDVFTYRAVMNGCCKSFRMDDALRVFQEMRSRSAVSDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRRKGQLLDGISYSIMVREFCKEGVGDQVAEALELVKEMEQRGFVVDLGLGQMGKAEVASTIIDRLSKKGVYMDIVMYNTLIKQFGKVGKVEEASCLFEQIVRSGMKPDVVTFNTLININAKAGRLKEADKYLRRMIAEGIAPNHATETILVFLHKEIEKKRQQDK >Dexi6A01G0020900.1:cds pep primary_assembly:Fonio_CM05836:6A:28287100:28287556:-1 gene:Dexi6A01G0020900 transcript:Dexi6A01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSARVPSSPCSSETSVEEQLQNLKVTVCSPSVTIETVVDGLTKLGSIYCHINEFICVLSSQRHVVQESFAELKTIVQEMQLLLKRGDTTAVQAKIQCYIRLARKVQKKFKKSGQAAG >Dexi7B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:7B:25078486:25079371:1 gene:Dexi7B01G0019650 transcript:Dexi7B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTEGASYSWEKKQRRTTTPTTAGSCAASDGVDLISGLGDDVLVRILELLPDTRDAVRTHALSRRWRGLWTRVPALRFASDEPEFFTDAGAGERHLAFVDAALALRAAHTAPAVEHLAVTFKMKHGRTEERKRLTRGDQEIERLVPRAAEAVAGWIRYAAQHGLKSVAVELRLPARAYIRHGGFGYPVMLLHGLPSSTKLETMRLDLGGAVVCPPTAAQFASLTDLSIEHVVVADVEIGGYFARLLSSACCPSLKKLRLADLALGGPNELLVEVDELSELWIERN >Dexi3A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:3A:2154901:2158912:-1 gene:Dexi3A01G0003310 transcript:Dexi3A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATALALYSPPAAAHRSPARADFASLRVRCSSTASFRLRGSRNPAFGALSPGWGRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFVSSVSEKALGADTINGVRPDQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQDTYARVRLQGKSCMLVAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPPQITKNAVEEAKNKNIDAIVVDTAGRLQIDKAMMDELKEVKKAVNPTEILLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGRPIKFVGRGERLEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEEAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRERRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMGMVQGQDAIAGMGDLMDSLKAEEKAPPGTARRKRRNSKPMQRDLDAVLS >Dexi5B01G0033810.1:cds pep primary_assembly:Fonio_CM05836:5B:34119849:34121338:1 gene:Dexi5B01G0033810 transcript:Dexi5B01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSTSVSGGRALPSVQPAPYRRLALPSVRRHSNKSVSVKTLAIFGKSKPAKAAPAKKAPAPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGTFVDDVTGLDKAVIQPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVLFFFIAAINPGTGKFIISDEEDK >Dexi5A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:5A:12101555:12111390:-1 gene:Dexi5A01G0014880 transcript:Dexi5A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPEAVAAVCPDCLERLVRSDLDGSGLSFVHGLSDSPLPFSASAVVQIASDGAEESNGSHPWIGNLTLSNGGRAQEYSHLKVALLQDSFVRDLWKKLGKQTYIEKVHPWVISNLYNSPNKITASAASTVLIVSSEELGIPVTIHQASLSELLLVYYPNPCIINQFIHFLQTILPLIHCFGKGLCADGIDTLVRIGGLLGETFVVKQILPLLRNVILSCIDLSKMSKPEPQHSWNSFALADGLSALEGLVSVLPVKAVLKELLQDQLCLHVKVLMQIHLDLRVIQAITVAAIALVNLCRRIGPENTSIYVLPQLKELFAELAFSQESSGLNLLAKGLRTYEGNKSEAITMESRIDLVFLLYPFFASLVGLEKLRECCSTWFLLEQALQRLYNWKWEPSGDRSKNAEKMKGQRVLLGNYMSSDFVPTKLLFNGAGWSLPQSETTKMGQNAASSKPGYKLENETSSDNSYASTSGDQPWFWFPSPDSSWGAPEFLGRGGGVRDELPWKIKASILYSARAHPGALRSLAVHDDECTVFTGGVGPGFKGSIQKWELPNMNCTSGYYGHEEVMVTVKGKGVVNSICILSITGRVASCDGTIHIWNGQTGKLIAAHAESSTTFPLQTSSIEQANMLNQDALSGGILSNAFRGSLYTSMQYMESDGILVAGMGNGSMRFIDISRDQKLHLWKSDSAEISFSSLVSAICSCSSDRLTKGTPMASSWIAAGLSSGYCRLLDKRSGNIIAVWRAHDGHITKLAAPDDHLIVSSSLDKTLRVWDLRGYYSVNISFLPSSLNLATQSNIFRSHSDGISNFSVWGQDVISISRNKIALTSLSRPAASDMGHQQLALQNLYSADRGMKHKNLSVLSTIAVLPLSRLFVVGTEDGFLKICH >Dexi5A01G0035690.1:cds pep primary_assembly:Fonio_CM05836:5A:37430030:37431235:-1 gene:Dexi5A01G0035690 transcript:Dexi5A01G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRLVPFFFFVFVVVFVLLLLLEPIVVVPVVVYGDEGDHWIGAELEHAQVGVTGVEVELAPACCDRADDAFFVEVLLDVQNLRSDVVFVLHPALAVVSGAAVPDERVVAALDKEHDAAGGGGLVGEDAVAVHQRGVLETFKWREVDLGSVAGEQQEELEVTGGAVGRGWVMRATAHVAAQGE >Dexi3B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:3B:8392056:8395677:1 gene:Dexi3B01G0012020 transcript:Dexi3B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERHQKLAGAGGGRPKVGAEKLIIRSEKVRFIDVLSMLFRRRPFTSYQFVDAGDQTAADVGSTPGDILVALTQLILKALEAIYWPALMIGAVVEFLLNFIALNNGILGIFLNIFRCKLVIPHKDGPNYRSMIGLIDGRTELKPLPVGGGPDDRRLQVVGVPTGAGEDDYYVDVESGESNASVPLIQQQFVDGRLVRLQSFSGFEITVMAAKLAYENAAYIENVVKNVWKCNFVGFYNCWNKFANDHTTQAFVVTEKASESSWMVVSFRGTEPFNMRDWSTDVNLSWLGMGEMGHVHVGFLKALGLQEEDGTDAARAFPKASPSNAAADKPFAYYKLREVLKSQLKIHPNANLFVTGHSLGGALAALFPAVLAFHQEREILDRLRFVITYGQPRVGDKTFAGYLAGKAPATAALRVVYRYDVVPRVPFDSPPVAEFAHGGTCVYFDGWYEGTAIAKGGDAPNPNYFDPRYLLSMYGNAWGDLVKGAFLGMKEGKDYREGAVSLLYRATGLLVPGVASHSPRDYVNAIRLGSMSIKEEEA >Dexi9B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:9B:1737924:1738325:-1 gene:Dexi9B01G0003030 transcript:Dexi9B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQELRAGRAHRFVVFKVVVDKVGPRESSFDDLTAALPADDCRYAVYDHDFTVGDATAVAADGEAPRSKIFFVAWSPAAADVRSKMVYASSCEGFKKELDGVQIDLQATEPSELTLDVLKDHVS >DexiUA01G0009420.1:cds pep primary_assembly:Fonio_CM05836:UA:18532016:18533211:1 gene:DexiUA01G0009420 transcript:DexiUA01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQKAPALATTRRKGAAASRKWLVVQAAGEPREAELGKHMIMEMTGLPTRDLRVLDPDLSSPSTILVRDRAVVVNLEHVKAIVTATEALVLDSSSPLLALFLKDLRARVVSPRSWRGAGNAKTLPFELKVLETLALENEAYPALDELTSKVSRLNLEHVRHIKNRLVALSGRVQKVRDELEHLLDDDMDMSEMYLTRKLASQGFTETLSRVDSNKDASNDHDM >Dexi1A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:1A:25921481:25923320:1 gene:Dexi1A01G0018730 transcript:Dexi1A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVKDTELRLEEDLVFNLCKPELRGNALAELAKKRETFNDLALLLWYSFGTIAALLQEIVAVYPALSPPTLLASAASRACNAIALLQTVAAHPETRTPFLQGNNHKSMTLSMSEL >Dexi5A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:5A:6947986:6959716:-1 gene:Dexi5A01G0009230 transcript:Dexi5A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRIMFNTAFIRSNVLMLNSDDVDILWGSKERYPKNFRAEVLFCEIGGMSPTRAPTATLNGDMKGGLPIEAFSAVQELFNGVDWIESSDDAAYWLLKEFSANSLQEKFQKLILNDMKELSKMQAKVGLQMPLMSPLDSDEEKYSVASDSVCSADHEKIQHGGNSSDSENIDRDFTTEDSESSATLSINSSSPPHQPQPHPPPHGAPSSLLDSSVPSTGTSASQTKTKPPQELPSNRLPFSAPAPPPPPPPPPPPPSGSKHAVSSPPPPPPPPPPRISSSAILALDTSVLDNDQVENLIKFCPTKEEIEMLKGYNGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSTQVNDLRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKIQLKLLAEEMQAINKGLQKVEQELAASENDGEISIGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQGCLCECQDFGALCGYLL >Dexi1A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:1A:2483988:2489521:1 gene:Dexi1A01G0003460 transcript:Dexi1A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDTKKSAASGPAASTQEIAVARGSTLDLLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGANKDYLVVGSDSGRLVILEYSPDRNRFDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAANQAQKILTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKTLFFFLLQTEYGDIFKVDLEHNGDTVSELRIKYFDTVPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDADIESSSATLMETEEGFQPVFFQPRALKNLMRIDDIESLMPVMDMRIVNLFDEETPQLFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVTLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYIAVIESDKGAFSAEEREAAKKECLEASGAAENGNANNGDSMENGDGQEDGAEDGNTFPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAGGFIHIYKFVEEGRSLELLHKTQVEEVPLAMCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPKTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAAQHIDFDTMAGADKFGNIYFARLPQDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >Dexi7B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:7B:11916230:11917579:-1 gene:Dexi7B01G0004890 transcript:Dexi7B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLTTSLALLTAPLTHNLSLAIADHTRVANSSLSSGLSLRLTSSHEGPSYSTRRGSDGFLYLKHSLNTSVDSITPITEDNSGGEVIDLIIGTGSARHQYILKVDATSRLTWIQCEPCVPHAPQQGPIYSPNGGHIYSKSYRPIAASNRVCMPERGMEPAEGRCVFHATGPGGMSAHGEVAFEHVVIVNTGTVFPNLVLGCAHSTENFQNRGTYAGVAALGRAPALLTMQLAAHGMPRFSYCLTKGTSRYGFLRFGTDVPHNSRYQTTRILTALDASEAAYYVDIVSISLGQHRLDRIQPQMFARAKDGEGGSVIDLGTSVTVMAEEAYRVVEETMWSELKEHGAERVERRGYGLCFRVTESVKRNLQSLSLHFAEEEEATLVVSPEHLFLMTVDEHVGEIACLAMVPGHRTIIGALQQVDMRFVFDLKDSKILSAPELCIKDTVPVV >Dexi5A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:5A:3554248:3554625:1 gene:Dexi5A01G0004700 transcript:Dexi5A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGMAAFSVRPPAPARPCVASAAAGGVRMRASGAGGSGAKWWAPLLGWSGQPDYIDAQPPAAAPDVEEEPRPRSAAARRFGVLTEDKARQLRVRMMETESFHDAMYHSAIASRLASAAPDKH >Dexi5B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:5B:20274889:20275221:-1 gene:Dexi5B01G0018190 transcript:Dexi5B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLAPGTEGESSGQQPPRRVARRRQDRGEGGLSPGRSLASTGSLQGVGRKILIKCAGRAVVREGRGKEEPAVVAVVGGWAAADADSIRPDPVEESRGV >Dexi4B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:4B:11782127:11782920:-1 gene:Dexi4B01G0013340 transcript:Dexi4B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIVEEALLVAGRPEVNLGVCQEVEKQLWLAGPMVIGGLLRYLIQMISLMYVGHLGELPLAGASMANSFTTVTGFSLVTTH >Dexi3A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:3A:16544578:16545401:-1 gene:Dexi3A01G0020890 transcript:Dexi3A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGFPSNLEQLMFGRALRGVGTLERDALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVITRRGQVKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPVPPPAPIAAILSPILWQLNNPDLFDMYSAGIVLMQMAIPTLRTQTGLKNFNAELRSAGYDLNRWRQSTRRRPDLQILELDSGRGWDLATKLISQRDANGGGGRLSAAAALRHPYFLLGGDQAASVLSKLSLSK >Dexi2A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:2A:1487318:1488400:-1 gene:Dexi2A01G0001990 transcript:Dexi2A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTGLERRFFHHTEQMLNANPSFFLDVTPSSSSSSLDARLDIVAKAAPELAASAAAKAIAIWGRPATDITDLIVATSSEARSPGADLALASLLGLNPTVRRTSLQLAGCSGGCAALRLAKDVAENNRGARVLVACVELTLTAFREPREDDTFETLIPQALFGDGAATVVIGADPELPAGERAIFEMVAASQTTIPGTERMLNMRLGERGIGGDVSSALPSFAAEHLGRCLVDALAAPPLAGVGVSVEEGKRKWNELFWVVHPGSRGILDHIDVALGLEAEKLAASRAVVREYGNMMSATVIFVLDELRRRMEEEEGDEAEWGLMVGFGPGFTVETMLLHTANNC >Dexi6A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:6A:26553852:26556132:-1 gene:Dexi6A01G0018950 transcript:Dexi6A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPRLLLVFLLAAAAASPARRASAACASDSLPRNRVYTTCADLPRLGASLHWTYDAAASSLSVAFLASPPSGGWVAWGLNPTKEGMDGTQALIAVPGSGGTYEVQTYSISGYSLGSQGALSYQTSDLAAELGSDGRVRLFGTLKLQNGTGEVNQVWQVGPFSGGAIGVHATTGDNMNSKGKLNLLTGASTAASGGDSVLRKKNIHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSVHRNIGITVFALGTLQIFALFLRPKKEHKYRLYWNIYHHSIGYTIIILGIVNIFKGMSILSVDQKWKTAYIIAICILGAIALILEAVTWGIVLKRRKEDSKTYNGTSNGHLPLSM >Dexi8A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:8A:11534660:11537502:1 gene:Dexi8A01G0009070 transcript:Dexi8A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRDRANALRKKVSQFFAVTKDMAEKIELVDTVEHLGIRHHFEDEIADALRNSQHMELNSSNLHEVSLRFRLLREHGLCVSPGIWDEKAVCLLPDYLKKFYLRIIISFKEIEDMLQPHEKYKVSYAKESFET >Dexi5A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:5A:9039663:9042159:1 gene:Dexi5A01G0012090 transcript:Dexi5A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCFNFVSQDTRLAKDDKSRPSIRQAFSTEEASRISDALYHYETLHGRRTNNQRGTQADTADVNSKPTDGSKGNHVGSGANGTEETGQPIPQSPPGNSVGYFYGSTPENHSYKSSKLSSSPHGIPTGSSPVGSMPKSSPQSQHLNYHLLEKNKLQQQRYNKFKHHCLTERKKLGTGHSEQMNSLYRFWSYYLRDNFNEDMYTHFKKFALEDAAASYRYGLECLFRFYSYGLEKNFQSNVYEDFEKLTLEFYHNGDLYGLEKYWAFHHYRNPDSGPIDKLPELERLLREEFRTLDDFKAKGKAHDSSEKETGGSNSTKVVAASHSAAETK >Dexi5B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:5B:5291239:5291805:-1 gene:Dexi5B01G0007790 transcript:Dexi5B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLLRSLLLDAVATFLLQLSMIATSQTSKPEQPAVCSPKPCGNLNITYPFWLEDPGQSPCGSPPFQLKCNISGASPEVLPGFRRLNCSGNDSFVGFGRRFGSSGVRYKAIPPGCLVAVVSVLPVLVADRHDYVASMRKGFLLEWTPVSGDCSRCTASGGECMYPDNGVGFSCNCPDGIHYPTSCG >Dexi1B01G0026440.1:cds pep primary_assembly:Fonio_CM05836:1B:31314342:31315664:-1 gene:Dexi1B01G0026440 transcript:Dexi1B01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGGSPTSLSRNSAFRRSLSSDATKIRGALLMARLTSSCDHPSVSLRTATLASTFSWPMHPAVESAWRNIWEPGATPSCVARRTASWTYSGCMVTSRGAATSSLEMSAELRPHCLRNTTLGSTTFSRSESSTAKVLVEGAGVAAVLAAAARVRVTRRRHRGGRSTEGGHGHTAGKGKRRGMAGGVGRGTRSRGRVQRASERVVTWVVSSAVGWAVAPWRRPLPQSSTLRGAPGRPSSQ >Dexi9A01G0026990.1:cds pep primary_assembly:Fonio_CM05836:9A:31203516:31205687:1 gene:Dexi9A01G0026990 transcript:Dexi9A01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METADVERPLIHHLPPQNLVTFLTAELRETNVDAAKNVSTWIGSCFLTPVIGAFLADTYWGRYKTIVIFLLIYIIGMLILTVSASLPLIMYVPHNSGIRRVAAYLGLYLVALGTGGIKPCTSALGADQFDSADPVERATKGSFFNWYYFSVNIGSLLSGTLLVWIQQDIGWGVGFAIPMLLMVSGLAVFTAGRKVYRYKKQGGSPLTSVAQVVVAAVRNYHLVLPEHSSGIEHGKQFRFLDKAAIVVPCTGEKGKAATTSPWKLCTESQVEELKMLLRMLPVWASMVLFFTATAQMSSTFIEQGAVMDTGVGPFTVPPASLATFDVISVMVCIPIYDAVLVPLARRATGKDRGLSQLQRIGVGLALSVVGMAYAAVVEGRRLALVRAHMPAMSIMWQAPAFAVLGAGEVFAAIGTLEYFYDQSPEGMKSLGSALAYLTIAAGNYLNSAVLAAVAAATTASGGAEPGWIPDDLNKGHLDYFFWMMAALCLMNLAQFAHRSIRYRGQEKQVC >Dexi1A01G0003180.1:cds pep primary_assembly:Fonio_CM05836:1A:2296103:2297770:-1 gene:Dexi1A01G0003180 transcript:Dexi1A01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDILKRQRQATAMQRTALVARGAAAGLCLAALAVLAADTHKGWALESYSNYSQFRYSEAVNVIGFVYSVFQFAALVSLMRKDKHLISHPKRGLFDFAMDQVLAYLLISSSSSATARVGDLIDNWGSDPFPSMANGSIAISFMAFIVFAICSLISAYNLFRRDM >Dexi5B01G0029070.1:cds pep primary_assembly:Fonio_CM05836:5B:30333616:30339519:1 gene:Dexi5B01G0029070 transcript:Dexi5B01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGPSFFSFPPALSASRPLLSSPLLPRKPTKSLRIPGFHHLLPRTREKRGEEAGAGGGFAAAAAAAAAMGIQGLLPQLKSTMAPIKVEELRGQTVAVDTYSWLHKGALSCGDRLCKGIPTTRHIEYCMHRVNMLRHYGVKPFLVFDGGLLPIKSGQETKRARSRKENLERAREHEAAGNSRAAFECYQKAVDITPKIASELIEVLKKEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLERNRELDFNGFTRQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKCHEKVIKHLRYSAFSVPPLYEENFKKAIWAFKFQRVYDPATEDIIHLSIFTWLPQNIAKGIALGNIDPLTKEPFETKPECSAPAVEKVHPTREPIAYSNGKKRLDLPVQKNILTNYFCLASLEAKRKFRAPKWSSRDSGSDPPYEDTGIEDGKVEANDCTENKPPTSPCLVGKTPRISEPSLLSHNMEPSIPVQPHTEGTTTSKNKDIVRSSYFKTVNKRVSTNQEEQLADEEDYDIGTCNLPGDRLRKSGMLKRRKFCGIQNFKDDSLQPISSDDSPPVIDEGHNIDDADDTNLSEGRFGCNVSHVNIYSGIAEKSMDKFAALISSFRYPGSRASGLRAPLKDVKNTLSVRSILKGPEKATFRSTAKKSDLGPPSRSRYTGDNKKTAASPPDISTFAYRPVKSVHSDQDRTTSKTKNPTDAYTPMLPTVCPPDRSKFTGTAMKIADGPPDLSSFAYKPTKGAVRYSAGSRF >DexiUA01G0024390.1:cds pep primary_assembly:Fonio_CM05836:UA:50730752:50737377:-1 gene:DexiUA01G0024390 transcript:DexiUA01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSENNAPSTPGSPGFSTDRLPPNNTTSRGATDPSSYSDDDGEAEVDPYVLPEDDDPAAAAAPDEEDEEGEDLYNDNYLDDYRRMDDHDQYESVGLDDSLEDERNLDEIIADRRAAEAELDAREVRTGAAADRKLPRMLDDQDTDEDMNFRRPKRHRTSFRPPSGPRTPRSDDDGATPSSPGRSQRGYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVDDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDALHFEEIVSGSTSRLTHVEIKVDDLKNKAQEYEIYDLKPFFSSAHFRDNSFVLDEGRGIIRHPLAA >Dexi3B01G0032250.1:cds pep primary_assembly:Fonio_CM05836:3B:34611537:34612035:-1 gene:Dexi3B01G0032250 transcript:Dexi3B01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHALAKSTALFREVKNKQRTNLMRRLESAEVRSAETSVWVPHPRTGIYYPKGFEWVMEDVPSGAASFRQSYWFRTGEAESASSTTSKNDAASLDHPFI >Dexi5B01G0025580.1:cds pep primary_assembly:Fonio_CM05836:5B:27610539:27612998:1 gene:Dexi5B01G0025580 transcript:Dexi5B01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGLDRVLECFSLSLCANACACVHSVEEDEDEANERIALVSSQLQELVKLRDFVDGAAKTLAFHLEPKTVELKVSMHCYGCAKKVQKHISKMDGVTSFEVDLEKKKVVVMGDITPYEVLESVSKVMKFAELWVAPDPKQQQEGGEESEDVGVHRRSRPQ >Dexi9B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:9B:3342144:3345315:1 gene:Dexi9B01G0005670 transcript:Dexi9B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSAAGRNGFLANVTLWRPRGEAAPAPALPPPSSPATSDKQAPDPVTIPESEHSSHHSSRSSDQPPPASAAATQTPPQDNPPAKKPVPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGKEFACKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKAIDFGLSIFFKPGEMFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDGHPWVCIDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDADNSGHITLEELKTGLQRVGATLMDSEINALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGHQSNANFGLGEALKLR >Dexi2B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:2B:30585719:30585937:-1 gene:Dexi2B01G0020530 transcript:Dexi2B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGEGGRAAPDDHGEVAGRLRTTRVRRARGRLVALPHRRRERAHQVEVRAGEQQLPATALSMARRRRCRGA >Dexi1B01G0022470.1:cds pep primary_assembly:Fonio_CM05836:1B:28219659:28220741:-1 gene:Dexi1B01G0022470 transcript:Dexi1B01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMEADIDIVDPPEVSRLSMVRQISLQRPSCGIISSTDRALVLLYAGIPVSTGYGYLVYDASTNSISRVPMLDSGRSLCRAAILSLGKGEYVLAEVVSRFDDATLYLWYYTSYQSRWRSLACLPPRLFPPKYSFKIDMAFSYADTSVCFVDLLKGVLVCKLHNSPEPEITFVPLPVGYSIDFPSEVPREGYPMGRPRPEEFRTMRCVGGAIKFVSLVGYYERHSHSGMVLKTWNLSQDLKNWELGKSLSVTDIWASQSFCERNLPRVTPTFPVLSLDDAEAIYVTLNVIDYEKYVNNYGEICPGNMLHKAHYMICIDTVQNKILSSNKIIPENWKPYFPDLIPSNFNAYLQEVLLSLIA >Dexi3A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:3A:2963585:2970269:1 gene:Dexi3A01G0004540 transcript:Dexi3A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKDRNSNELKALLDRLTPLARASRPTSVVPSLAGTLSATPASSAAAGAGDDAAQGFLQLRYAPRASPLRASQFPHPQNPNSLRLPFPSPDSRAKWRKRKRAAASPSPSKQPADHSDDSDSAAAANGDEDAPRGAFANGGGGTLATGGGGDDDPVLDLRGAEVLSSPAEPVSVFPAAVRRAVGRPHPSVLAVVDAERAAAGADGAPTTLAPVPVLENISHGQLQVISAMLPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGRLHIVPMHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPQKYMMLRNKVIAKYLERPGKRLVFAECQWLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELQLVSAPMKSIDGLILFDRPKCSVKVDDIASVVSTSSASVVANGDADSALDEKIWERLSETSCSFCSQPLPSLHYESQKEADIALCSDCFHNARFVTGHSSLDFQRVDGMKDRADTYGDRWTDQETLLLLEGIEKFNDNWNHIAGHVGTKSKAQCIHHFIRLPVEDGLLENIEVPEASLPSRMQSNGFLHSDSNGSTSGCLPQSSQPGSQIPFINSANPVMSLVSHCLLVAFLASEVGPRVAASCASAALSVLTRDDSRMCSEGIDGMGHAARLNYGPSSSISPETAKHAAMCGLSAAATKSKLFADQEEREIQRLAATIINHQQLKRLELKLKQFAEVETMLLKESERFELMRQQLAAQRARILSTRLPSTGGTLPGGGSSMASNPMNQGASLRPPMIQGSMPQSSMPAMYANNMQGHPQMAALLQQRQQMLSFGPRLPLSAIHPGTSSSSAPSMMFNPGMPNSGAPHHHPLLRPPSGSNSNVG >Dexi6A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:6A:5984029:5984577:1 gene:Dexi6A01G0006260 transcript:Dexi6A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYFLIVVFLALASSQAIASDPSPQQDFCVGDKDSPVKVNGFICKDPRHVTADDFFKAADLDKPRNTKGKVGSNRHAARWPQHSRHFFGPHRLCALRREPATHAPTCY >Dexi9B01G0038120.1:cds pep primary_assembly:Fonio_CM05836:9B:39297889:39303277:1 gene:Dexi9B01G0038120 transcript:Dexi9B01G0038120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSWLTAVPPDPRAYGHLIQLCADSGHLAAGRQLHARLVSVSVTPSNFLASKLISLYSRAARLDDARRVFDAIPRPSVFAWNAILIALSLHSPEPSAAIRLFATSGISPDEFTLSALLKSLAASGPGLSALVSGELHAVALLRGFGADVFVSNGLIAAYANAGDMRSARAVFDEMPRRDVVSWNSLISSYVRAGWYRECLDLFQQLTRLHTSGGVGPNSVTVSSVLHACAQLKAVDFGVKVHWLAAENGLDMDVAVWNSIVGFYAKCGQLKYARELFEGMPKKDSVSYSAMITGYMNHGHVDKGMELFRRADAQGISIWNAVTAGLLQNGRQSDVLGVLHEMIGSGMLPNSATLSIIIPSVPLFSTLLGVKQAHGYAIRNNYDQSVSVASALIDAYSKAGFFHKAVKVFELSGVKSKIVWTSIISAVAAHGEAAEALRLFDEMISAGTRPDTIVFTAVLTACAHAGKVADARKVFDSMQLVFGITPVMEQYACMVSGLSRAGMLKDALEIVNIQIKPRKQHRQLVRQRETGTLDAILS >Dexi7A01G0024230.1:cds pep primary_assembly:Fonio_CM05836:7A:31997663:31998618:1 gene:Dexi7A01G0024230 transcript:Dexi7A01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGAFCFSSSSSSAAAVTSRRMGGCRLDPPRFLVVSCDTRTADVYTSLAAKLLGPPTTFNAAKLKVEFAGEELLLRGKKPFPRAYTLTHCDLTANLTLAVTGPMTGEQLQSWQSTLQRDDVVAEWKEAAAGAGAVEMTLHVHCFVSGANLLQDLAAGFRYYVFSKELPLVLKAVVHGDAALFVERPELMEAKVWVHFHSRSRKYNRIECWGPLREAAKRNLLDELKNAISKRRRRRWASPETIFNALVALLL >Dexi1B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:1B:9635896:9636519:-1 gene:Dexi1B01G0010290 transcript:Dexi1B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPLHRPRPSPGPRPRSDDGSLACYAVVVTAASLLLFTILAATVSLVKAGALAGAAAVVFGATGCLSRVCTNVEAAPVLLTTAMSAARARGACGLVDAAIDALPAFAYARPGHGNGADGGSSSSSKARRSALCSVCLEDVEAGEVVRRLPACGHLFHVECIDMWLHSHATCPLCRSGVSPPGRVGVTKLMTAEGGPPDGDDALPPV >DexiUA01G0024830.1:cds pep primary_assembly:Fonio_CM05836:UA:51636058:51638642:-1 gene:DexiUA01G0024830 transcript:DexiUA01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLAGHFRSCSAWKLSCIDCGEFFSQDTVQGHTQCISEAEKYGPKGQTKPSNGAQGKPDKPKPNADVDINVGLSTRPPWFCSLCNTTTTSKQTLLLHADGKKHRAKAKAFHASQKQADGAEQTADVKETGAVPTKESSQVNGVKGGDHETDEEKDAGKRKRMDDMSIEESDNTKRQHLTSSSAGELIQSKAGKSENNAKSTANELAGGADCKSVQTQKIKWKKIITKTLKTDPDGVMKLKKLQKLIIKELQECGVTEDKEGLCATLMDKIASSSRFSLDGKRIRLVAKNDEES >Dexi1B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:1B:1855976:1856197:1 gene:Dexi1B01G0002280 transcript:Dexi1B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGGGDHRQKTSWPEVVGMGFLWAAMKIRIDRSDVDVEVHKVGDSVEPGYNNKRVRMFIYNGVVAQTPVIG >Dexi8B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:8B:20478246:20479574:-1 gene:Dexi8B01G0011440 transcript:Dexi8B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALITLLLLLTPLLISTAPPPSGYRFTLTHVDSKGGFTRSELMRRAAHRSRHRHRATTTMSSSGYYSTTSSSSDTRPSRLFSGQAEYLMELAIGTPPVPFVALADTGSDLTWTQCKPCKLCFAQDTPIYDPTTSSSFSLVTCASDTCLPIWRTNCTASSHCRYRYVYGDGAYSAGVLGTETLTFGSSSGDGSVTVVAGIAFGCGVDNGGLSYNSTGTVGLGRGTLSLVSQLGVGKFSYCLTDFFNTTITSPVLFGSLAELTDITAAQSTPLIQNLQSPSTYFVSLEGISLGDVRLPIPNGTFDIRADGSGGMIVDSGTIFTILVEPGFRVVVDHVAVVLGQRTAVNVSSLESPCFPAPAGAQRLPEMPDMVFHFAGGADMRLRKENYMSFDEEDLAFCLNIAGTEPQWTSVLGNFQQQNIQMLYDITVGQLSFVPTDCSML >Dexi9A01G0045100.1:cds pep primary_assembly:Fonio_CM05836:9A:48715169:48715773:1 gene:Dexi9A01G0045100 transcript:Dexi9A01G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHLEEGVGTSTSTTPMAAASAAAELVGLRLIIQPSPRKQVPMVLRRSAVRIPASAASRCHDKVFVGLEFMKSCFCCHKKLDATMDVFVYKGEHAFCSAERRCQHMAREERREIEALIRKRRDAFHRRHAGAARMPGSNRLLRLQTTAAR >Dexi9B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:9B:8048214:8048648:-1 gene:Dexi9B01G0012090 transcript:Dexi9B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLRGKAAAAAGGGVAAPWRRVASASYHHTIQAVPRETTGPRAAARERRHGRVPAVLLTLAGAAPGNGIAHRKLLTADRKQLAEMLKQSPYFLSTPVRLQVRAGERSNAVVHSGTVLPIKK >Dexi7A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:7A:19374502:19375339:1 gene:Dexi7A01G0008210 transcript:Dexi7A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi9A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:9A:15123161:15124969:-1 gene:Dexi9A01G0020190 transcript:Dexi9A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLRWPRLPFYERVSYGLGYCTVRKEYKVVRLFSNPEPEAGDDDPNHDISPARAEVFVLDSTSGYWRPSAGNPHLCSVKKKDPAVFLNGYLHFLCVDGGIATFNVSDETFGSLLPPPPGFEGVASVLTELDACLCLCNGEPDSDDPINVCVLRDYKEARWEKLCCMDRTAWPESERTLLDSLWIAPIGTYYSGCRQKIMFGTGSCIVFTVDPDGGDPEILFTPDDTIVGSCDDDYEPACVLLEESLVPMGGVTIEDMICSSLSTEAWFDILKWLPTRSVVEMRLVCREWRAISMTDSFINSHVIHANLKRSPRIKLIVDPRVGTYMDLEEGTEFDDNDGAFFAGRIGLGYNSEICKHVMVHITYKTKNLETRHYELQCKMKYVDDGYEWDPINPPPRPVAGIPPNFVNGKIYWMVDPNIGPVSAGCEVIAFNVETEEFEVLQGPPCTYGSRHMAILELQGTVCISYSDQNRNTVDLWMMKDDGIWLMEYHIVFDKLTEEAAPLAVDPTDGRILLNTGWSLSYYDPRTAAFETIYTMAFPDIKFCPIVCHESLLYPLN >Dexi6B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:6B:24586794:24588352:1 gene:Dexi6B01G0017370 transcript:Dexi6B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRSRRSPCSLTFVVALALLSHCCNIAMASCSFTISNYCSHPIWPATLAGAGTPQLSTTGFRLDPGQTVQVPAPTGWSGRLWARTGCVFDASGNNGTCQTGDCGGRMQCSGTGATPPATLFEVTLGKVSGDLDYYDVSLVDGYNLPVLAVPRAAGGGGCNATGCMADLNRSCPKELQVDSGGDTVACRSACEAFGKDEYCCSGAYATPTTCKPTAYSSVFKSACPRAYSYAYDDRSSTFTCSNAAGYTIAFCLPPSGSQNSGDVPVGSPPAYGQSTSGGTSGSSDSTPPPGTDNNGIGSTNTPPPATDSNGVGSTSYPPPPPPTTDNNGGGSTYQPPASTDNNGFGSTDQPPPPAASYGAGSTYDQPPWMTLSSASTLHEELWLLLPAVLLFLLWSFSP >Dexi6B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:6B:25720689:25725770:1 gene:Dexi6B01G0018750 transcript:Dexi6B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLQFLLFLLAAAATATAAGGDACSLAGCNALASYLIQRNQNLTYVAALFGYSDYRDLKAFNPGVSNLDYIQAGQSVNISFTCGCQSLPNSPSTSYLAGSFPYKVAHGETYGSIATNFSGLTNDGWLAATNIYPATNIPDTGVTVNVNVNCSCGDRDVSLDYGLFLTYPLNGQTLDVVAANYSFSSPSQLEMLSKYNPNMPVTNTTGLVFIPVKGACFVALVPCELERQWKLPLFIATRKAAIKKMDMQASHEFLAELKVLTHVHHLNLVRLIGYCTEGSLFLVYEYIENGNLSQHLRGTGYEPLSWAARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVLIVADFGLTKLTEVGNTSLPTRGIVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKDAIVRSTESASDSKGLVYLFEEALNTPDPKEGLKRLIDPALGEDYPIDSILKLTVLARACTQEDPKARPTMRSVVVALMTLSSTSEFWDMNALHENQGLVNLMSGR >Dexi9B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:9B:904168:904851:1 gene:Dexi9B01G0001570 transcript:Dexi9B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTHHTIRRAAARPRGWCCSFSGVPDSPEHRAFPPAAHKQSLPPPPPKSPLAPPFHSPPSSKLAGRIDPRRILSPGRVSPIDSEGSPAVAPADAEEALPREQAPFVAVREDEEEEGAGGLDLRLSLRGRDGRCVFMELDSAVLRDSSAFFADMVPDASGGAGKRIEVDGVDNLEAFKEAVELMFEPDAMRWLARAGVSRAIAVLETV >Dexi8A01G0016270.1:cds pep primary_assembly:Fonio_CM05836:8A:27553068:27554015:-1 gene:Dexi8A01G0016270 transcript:Dexi8A01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQLAASALLLQIVIASSTLLLLVLISSAVIPSPIGLENCITTCGVVDVPLLGHPQLLLGGGLRVIDISVAYHSVTVAHTGGSVNNITSSAVGPPLPAHYYMLSSRNELVLIGCNVQVMLVGSQGDDTEQIIGGCASFCSDADSKFKWFSSAPGFCSGIGCCWAAISDSSKHWGALYWQFDLNTSRVPAIGKAEREPAGALMFVAREGWFKMMAKYLGPSPTTAGLELPVALDWSVPVNDDLVDGEHCSNRTARSVCRSSNSTCRIAIGGAMCECETGYEGNPYIEDGCYG >Dexi7A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:7A:20971550:20971792:1 gene:Dexi7A01G0010120 transcript:Dexi7A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPLSTQIPPGRRVQTTSKPPPVVCPPPPPSCTGLLPPTASAQAHRSTLTPSARDQSPLWAPPVRVSGDDVQCYIESRL >Dexi1A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:1A:28382649:28406015:1 gene:Dexi1A01G0021670 transcript:Dexi1A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTLSTFIEITSCESHDYAVQHLASCRWNLDEAINLYFANCGGGGGGVPISSEEPTVMNGFGCSDDDVRAPIPARVEALYEDDAYDGYRGTPYDASFFGDQAPYPPSVPLEATGWGEAADLANAATGWEEATPGYGGQVGSENVGGGHQAHDGAEEEENNNVDNDEEGTEQSDDDNMSYNDSDNEIEDYGLEVDEDSYYASIEDEDSTDAPTMQRQQQSSLEELYQPPLDLMYNGWFHDAKVHAASEDRFLLVNLQTRGGAGDFQSMLYNRDLWSNELVKNMVKDSFVLLLLQRKGNGGNAHDDTGHLECSKVCSFYHLQDHQLPAVLVLDPITGQLLAKRSGLMTPDDFIDYVVEYTKSKPSTMCMPKFIRKASASSAIAESAAAPEVSAAAAEAGEKAPEIIPDGSVPAGVCCSEQEQPAPAPMEAEMEDVDDEPMEGEKMYKLRIRFPDGTMVAKEFGCKRKVASLFAFCRSAVNGKAFRIVTLVRGAFQAVQGDGSATFEELGLNCTTVVALVTGGNRGMGFEICRQLASSGLTVVLTARDEKKGTEAVEKLRALGLPDVVFHQLEITEPASIARLADFVRNKFGKLDVLINNAGSMGVSMEVSHQAAVKEIMRSGKDQNEIAEWLKQRTTQSTEQAEECVRINYHGTKNVTEALLPLVRSSSDGRIVNVTSSFGLLRFFSGEELRQELSNVDTLTKQRLDELSALLLEDLRRGELELERRGWPTDRVYAAYQASKALVCAYTRVLARESGAALRVNCVHPGYVETEMNCNTGNLTAAEGAAVSVAVALAEQGGVTGAYFDRTEVAVAVVTGGNRGIGLEICRQLASKGITVVLTARDEKRGAEAVRTLGSIGLFDVVFHQLEVGDRSSTERLADFIKEKYGKLDILVNNAGIVGATTETSDPESFQKEFFSGEELKKELNNIDNLSEERLDELSDLFLKDFKDGQMEPHGWPAEGGYPAYKAVALVTGGNRGIGLEICKQLASSGVMVVLTARDERRGIEAVSALGSLGLSNVMFHQLEVSDPSSTARSADFIKDMFGKLDILVNNAGIVGTTTQIGDPETFWHELASIDPVERLEWITKRTTEPYEKAEECLRTNYHGIKSVTKALLPLLLSSSHGRIVNLSSRYGQLRFFSGEELKKELNNIDNLSEKRLDELSELFLIDFKDGQLKPRGWPTDGGVAVVTGGNRGIGLEICKQLASSGVTVVLTARDVKKGAEAVSILGTLGLSNIVFHQLDVGDPSSAAHLADFIKEKFGKLDILVNNAGISGTTSEVGNAETFRQEFFSGEELKQELNNIDNLSEQRLDELSELFLKGFKDSQLARRGWPTEGGVAVVTGGNRGIGLEVCKQLASNGITVVLTARDQKRGAEAVSILGELGLSNIVFHQLDVSDPSSAVGLADFIKEKFGKLDILVNNAAISGTISDIGNPETFRQEFFSGDELKKELNNIDNLSEHRLDELSELFLKDFKDGQLEGHGWPTEGGFIAYNVSKAIMNAYSRILAKEYPSFPPAPAAAPPRTPAARPHPPPPSSSHAPATSAGPTAILHSPAGGATAAALAAVSAAGHRRAGRPPPNPPLSPGMRMAPQQPRNPNLAGVSPELEKMRKIEDKVERGGANLSHPLF >Dexi2A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:2A:31299060:31300391:-1 gene:Dexi2A01G0019140 transcript:Dexi2A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGETMADAGRDLVLGLGMGALPRRDEEAERTETRRDLEFGAGRCGRSSPEPAAVRLTLLPGLMPSLGVPWPPSSEPTRHLEARRFDVNRAPSMSVAGAAGAEDDEEQDDAGAGAAAMSSSPNNSAGSFPNDFSAQGRAGPGGGGGGGSRASDEDDGGSARKKLRLSKEQSAFLEESFKEHATLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPTGPAAAAPAQATSSPSTAGGSIAAASPEQRPSSFAALFSSPLNRPLAAQPQPPPSS >Dexi9B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:9B:12038143:12038561:1 gene:Dexi9B01G0017280 transcript:Dexi9B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDSVIDHEISHPPRGSHDLHGTRGANENNRFCATRGVKRLKEYTASHGQGHSSEIHGSGNGLSGPYCLTSCSYGRCHGKDRGCLGNPSKQASRSISPGRDPFLSHR >Dexi3A01G0024770.1:cds pep primary_assembly:Fonio_CM05836:3A:20392260:20392814:1 gene:Dexi3A01G0024770 transcript:Dexi3A01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLELDLLFSLDFRLKVNLETFRSYCLQLEKEALALVLERPIQVQATNGTKPSICKGSVDETCKHELVRERDGYLITKHGIN >Dexi7B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:7B:16502597:16504647:1 gene:Dexi7B01G0008740 transcript:Dexi7B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVGSASARSPRKIPEFPVGPAGRLVPAVGLGTSAFPFQFVEEEVKAAVLAALELGYRHLDTASLYLSERAVGEAVAEAARRGLVASREEVFVTTKLWCTQCHPELVLPSLRESLHSEKVAALIDLVFNCRNLQMEYVDLYLVHWPMAIKPSKPQFPIKREDIMPMDLSGVWQAMEESHRLGLAKMIGVSNFTTRKLKELLATANIPPAVNQISLRWIYEQGASMVVKSWKQERIKENTEIFDWELSDEDRLKIRQMPQHKMATVTGILSPEGVSSVDISEVDVIET >Dexi9A01G0030270.1:cds pep primary_assembly:Fonio_CM05836:9A:35196615:35196926:1 gene:Dexi9A01G0030270 transcript:Dexi9A01G0030270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Dexi2A01G0026930.1:cds pep primary_assembly:Fonio_CM05836:2A:38423761:38424012:1 gene:Dexi2A01G0026930 transcript:Dexi2A01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPPRSSSSGMQSIRRELQRRRAKPLAPKSSVPISLCRRPRDATAAAPGSAFLALRCHLSLHAFVAVPLRPILGWVRLQSQH >Dexi9A01G0034510.1:cds pep primary_assembly:Fonio_CM05836:9A:39287790:39290140:-1 gene:Dexi9A01G0034510 transcript:Dexi9A01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDVWAAALPVAAALLALALWRLVWRPRAVARALARQGIQGPPYRFLAGSMLQAKRLAATSRRRTPPLDVASHDIMPLLLPAFQGWVADYGRTFLYWIGPIPAIFSTDLELIKEVLTDRTGLFAKDFMVPGLKFFLGHGLIVENGDVWKRHRKVILPAFNHERIKSMSAVTAEATEQMTKRWRDQILQRGGVHESTEVLVDRSISHLTTEIIGRVAFGTTHREADEVLLLLHEIQTMGVEAMLDAPILW >Dexi4A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:4A:8261189:8263595:-1 gene:Dexi4A01G0010370 transcript:Dexi4A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVSLQENQKTPPFKFPIYVKNIHKGKHGSDSGVYDASGRFEEIFKKHAHTRPDALTGKELQEMLQANREPTDFKGWVGGFTEWKVLYSLCKDKEGFLHKDTVRAVYDGSLFERLEQERKAKKESTKKK >Dexi9A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:9A:8721608:8722159:1 gene:Dexi9A01G0013570 transcript:Dexi9A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSDYYDCIETIDLHGFSSMSPPQLDFEQSQPTASTFPPSHQPPSPASPEADAAAAVADFSDERRLRRRISNRESARRSRARKQRRIEELRGSVAAMEHRRRELAAHAQAARGRLALARLANAGLRAEAAALSRRLAAARRALELGRLYHAAAAAAAAGSGACCSGLGFVDIEQTIASLIA >Dexi8A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:8A:9643915:9646747:1 gene:Dexi8A01G0008230 transcript:Dexi8A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEFMPGAMPKLQRLKLELKAWCQFKYVDGGLVPGMQNLTGLRHLALRMNCDAATTDVVQALEDNIRDAADAHPNRPILHQRSYLYAGGWGRCARTHPTPAPDLAAGGQELATLVDLRERSGRWEGREAITDSHGRHPVEEHWCLGREQENSPRDGYCEEQENTCLYTALRGDSKYVCDAAGKPIMFNNGFTGGGSRRGSRRARRWCACGMQRLELAGNDLSGEILGDHLASSTTRTMDTNQPCTG >Dexi3B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:3B:1226130:1229145:-1 gene:Dexi3B01G0001710 transcript:Dexi3B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGPSSPGPEPPPPAPPEEGDGWVLLPPSEVEGVEDPKVIHWEDLQQELARLWSLSAALQAARDRKTHLAARIESALEARRAFLRQDNELAEIRQRLQDHTDHLGDLKLCAKKSADDAEDRRERLCVNIRTLSVASKTLGAARNNLEEANKLLTGENGHGRLKNMEQKLRMRQQYMITQVAQIYPVRPLDEQSPGHKPIFTPSIIKISNAESILPNGYQKRPLAILGLQLSKPTAKKTGYFSDKTDFQKSSTVLGYAGHAVSLIASYLNVPLRYPLRFGGSRSYILDPAPSVEPSSIVSVATSIPPSTSMRILEFPLYFDAQETTKSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLRQLTAIIQSQQYISVD >Dexi2A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:2A:30884719:30887551:-1 gene:Dexi2A01G0018690 transcript:Dexi2A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRFLLAVALALLLRPGDASVHEYRGLAFANKGNAFILHAGSEGLYAASPANANATAEDDEDAAAAADAFIRFDKIIFRRPEAIDSVKDATSAKVQVLLFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQYAEFNETGVRPKGITFWAVTFGTVKRTAAEVIVLIVSMGYGVVTPTLGGLTSKVVMLGGTFFLATETLELVENLGAVNDLSGKARLFLVYPVAILDAAFVIWIFISLAKTIGKLQIYFKSTDVFNERWQYAWIIPAFWHVLTFSLLCVICYLWAPSQNSMRFAYDASEFFDRKDNLSLIRPAPSASKNGWSLSSTPDDKATKNVNTVTSFDEDDEENKRE >Dexi2A01G0026290.1:cds pep primary_assembly:Fonio_CM05836:2A:37793581:37795478:1 gene:Dexi2A01G0026290 transcript:Dexi2A01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLENSTKVHKVEVPPKDGEEKVTMKGVLSWAKANLIKERPEMFVKGDSVRPGVLVLINDCDWEICGGLDAVLEEKDVVVFISTLHGG >Dexi1B01G0030860.1:cds pep primary_assembly:Fonio_CM05836:1B:34673745:34675993:1 gene:Dexi1B01G0030860 transcript:Dexi1B01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDADSLSELRPVYGLIFLFKWIPGEKDERPVVRDPNPNLFFAHQVINNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAIGNSESIRTAHNSFARPEPFISDEQRAATKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCGGPDDLDWLRMVQPVIQERMERYSQSEIRFNLMAIIKNRKEVYSAELEELEKRREQILQELNKTSATESLNDSLTEVISAIETVTEKVIMEEEKFKKWKTENIRRKHNYIPFLFNLLKMLAEKQQLKPLVEKAKQQKSSSPSTR >Dexi9B01G0040770.1:cds pep primary_assembly:Fonio_CM05836:9B:41295620:41297915:1 gene:Dexi9B01G0040770 transcript:Dexi9B01G0040770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQVIIPNPNRSARSMDFDGAAAAPATNDAGVAQEQRLGWPLLRRAHAAAVPAPCAKEQEPRKQSVVQWVMSLPRRTTPSESAEPHAAAGELATELKAMLDGGGGARCRWFRYEELYESTNHFSAENLIGNGGNSRVAIKLSKASAEASKDFLREVDIITKLQHRRIVQLIGVCVEGPKLISVYSYLPRGSLEDNLHGERSKPALTWENRYKAALGIAEALSYVHSGGSRPPVIHRDVKSSNILLTEDFEPQLSDFGLAIWAPSNPTSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLSGRKPISSDGSSPKGQESLVMWATPVLSSGDISDLLDPRLDVEHDDAEVRRMASAACLCLRRSARLRPPISQILSILRGESTANIDDHGSAAEMDCLEDEAYPAANVRSHLGLALLDVDDSESISSTEHSSGLSPLEEYLRERWSRSSSFD >Dexi5B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:5B:3454626:3459988:-1 gene:Dexi5B01G0005180 transcript:Dexi5B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLGGRKPKGSNSRTLANQLPLPSYWSSQHTSNIDILDTSRTRATSSSVLSKRPTTNNPSQSFCQPPPSSSTVLGKRHTMSNPVRSFFRPPPPLPYNADDVGPLCRLETDELLSLIQSMGTPEFLATSEKVLSSGNLHDPLHTTSAHVPVDGTNASSTPNLILGLGNGSGIDKGKSKEVSSYWDLDAMVEMLECMNKRQSKVTRQPVQDLALGSSDGQGASKNQINLSSGNGD >Dexi5A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:5A:5142261:5146450:-1 gene:Dexi5A01G0006900 transcript:Dexi5A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGENQNPRTRMHPQPASPTDTPRVGRRSGIPSWREEAVVVPLSMAAAGGDAGEESGARVAGLGTDLGAGRGSGVGGAREEGAASGWRSGAIGTALHHGAEQGKGMEWDY >Dexi4A01G0024270.1:cds pep primary_assembly:Fonio_CM05836:4A:27107452:27108003:-1 gene:Dexi4A01G0024270 transcript:Dexi4A01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAQQVFFYGVAYGQYLAGVGGSSSGGGGAGDDPNRRPWIPKSAHEQQQPKKKMKKKAPAASAAGEMTREKKGPFPCPVCHRLFDAVKAVHGHQRSHPQREWRGMAPPRPPPPPVAGDAKHYRYACDRCGAPFETRQALGGHRASHSGKMGCYWLSRQQQPAPMPVFAFDLNEPAQPEDDEE >Dexi3B01G0030760.1:cds pep primary_assembly:Fonio_CM05836:3B:30971216:30971647:-1 gene:Dexi3B01G0030760 transcript:Dexi3B01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFDDADDDPPAAASASEKRKREDGPAEAAAGGGPLKARILAARGGEPEGSAVVGAERAEGSGRKAVETVFGGEADGISVRIDPDVLDCSICFEPLRPPLYQD >Dexi5B01G0029530.1:cds pep primary_assembly:Fonio_CM05836:5B:30745500:30746855:1 gene:Dexi5B01G0029530 transcript:Dexi5B01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAAASPARRLASIFSSATQRAQPLKTAPAPGPVTAPKAKAAAGDAEAKPNGGRGNLGKPLTKIVRNIFRERDPDKLVSQFIAASSASQRFRHKHRVYEVSVARLVSFGRHDAITAIIDSQKPFLEASGEGFAACLVRLYGRAGMPSHAAATFHDLPPKLKSIKTFDALLAAYVDAGELDALDTAFRQIPASHPTIVPNIYSYNILISALCQKPDLSAALDVIPLMEKCGIKPDVISFNILLNGFYKNGRFDDAENVWEMMKERNVEPYTRSYNAKLRGLVSEGRIEDAAALVEKMQKDGPKPDTVSYNELIRGYCKEGRLDAAKKVYDDLAKNECAPNRGTFHTLVPHFVEAGELDRALSCCHEIFSRKLKAECSLLQEVVTALVSASRVKEAKRIVDLGRMNHYPRKDLRMPPRNGNSNDAKTENDLEDHEQDGEGREEKEESKNAC >Dexi7A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:7A:10213883:10215353:-1 gene:Dexi7A01G0002380 transcript:Dexi7A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAIDPHPHVPRGFEVLPRDPNAPPTRLHTYIGGVLEAHNEDLAVAFLLPAMAKEDFRELVEALKHLFAQDQPVHLLAVQLSPIDDAYVWFGSPVERERFLDRVIQFGHGYTLWFIKHDEGLHVREHPLDREVWLMMMLFPNDARTNSAIAKAVAGFGLLRYWYDSTNNARVICKVHQNDDARIPDDVLMLAFLMMWLSLLDCTQGWMGMDGSQDDNSAGHAASHSAHGPSADDVMSKDPAGNGGDNEQVDIGAAEEVSGHGQVNISAADEVSGHGHQINQDATENEDIMPQLSVVPVNSAPVMSVDAVFPVLHPNAPLIPPGFDHVQIQVNSSCPYLFGSLITTPFRYMRHFLVNLDTIVPSYVSDHDLRFYLASIAADLDAQAARGPSFIGPLPMPLVPYSSSNDDSVVEVSSPPTRSSTCKRHRCLLKEPLDDAFLRRSAQLQ >Dexi7B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:7B:23904144:23907232:-1 gene:Dexi7B01G0018060 transcript:Dexi7B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFPSTTSLRTPEPHSRGRLLSLDEASSSSCSTSGCAESSAVACKGGGEAEEGLLDLDCPWVAEAEAESRLEAAAAVLEGLGFCAEDGHEEEEAEIRDNQLRQEDELMALEAIYGDDLVVFENKGGLRYFQIYIRYDVADGVEGPWHPGKQCLTPEQKIQLRKASGRMTEREVAQELLNIRQLYKDVRLCPGCRMAIAKTEGESGCKLFGAREIAEWERQLEAMQPEGQMRIAMSPIGATVRCPKCRARNFKEDERYIFCWACRASYCTLCRRKVENKKTGHYGSPECMGLDNIDF >Dexi8A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:8A:4417185:4417733:-1 gene:Dexi8A01G0005010 transcript:Dexi8A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHLDEYRTGLLRRSGEDDEFAVAELKLVEAASEDTPEKKQKQKQMVAELLLFRHGRWSVERPKTINGEGEHAAADLLSSWTTRTVLPVGDADLCWVDLYRGLLLCRVFDKCPVLRHAMEMEPLTGPGSARNVCVTGGGHMVKLVVVFPRCCCGGAVSTHTADTLTMPTPSVPGH >Dexi3A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:3A:2373453:2376057:1 gene:Dexi3A01G0003580 transcript:Dexi3A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCAVQQELAVEAAAVMRQAVSLARRRGHAQVTPLHVATALLAADAGLLRAACLRSRLSSHPLQCKALELCFNVALNRLATAGSPAASMFHHQFHHPRPPALSNALAAAFKRAQANQRRGGGGGGAEGQPQVAAAGARVELEQLVISILDDPSVSRVMREAGFSSAEVKANVEKTVSSSPEQSSTNTAASSTSAAASPNPPKPKAKVVHHVAGDAARVMDAMASGRSHRSVVVVGESAAAAEGVVKAVMDKVSKGELLLKHECLKNAQLVPFSAASFQSLTREEVVARSGDLRALVREGRAAGKGVVLVLEDLSYAAEAWAATASSRHHGHGRFCPVEHAVLELSSLVRSGGHGMFWLLGFGGYASYASCRLGHPSLETILELHPIVVPEGCSLASLSLGGEHSEITHCGGADMVVATTASVPSWIRRCQPQPQGPVLTGSELTLSFSLAPASSSMYGFTHHYDANMMSCEPWQHDHLITIDRRQPQPNHGHDVLPIMAESCYDQQLMLANPNNPAGSSSNSVSKSNSSDAATTEPAAAARHRCPKKFTELTAANLKILCSALEKRVPRHRDIAPAIASAVLQRRSGVTRTTRPSSSATWLIFYGRDNDGKTDMARELARLVFGSCSEFTCIMTTTAAPELTLAPSGSNSGDCISLKRRRQRSPDNDEHGYMQRFYEAIRQNPHRVVMIDSVVDHDSSEAGAMENAMATGTVRGCNGDVVSLEDAIVVISCCQVLGTCSRVSSPRPVKQRVMGDDVDSSKVEDDAAAEKGDAARFGLDLNACAATIDEEEEEGTSTLPNDMRILNAVDGVFFFQY >Dexi8A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:8A:17092426:17093111:-1 gene:Dexi8A01G0010280 transcript:Dexi8A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRTQDGQEPMEDIQLPRDVLLSVICRAAAGPRAACVAATVSPAFRAAADSDTVWSRFLPAELAPLVIPTPPPRSKKELFLRLSGAHALLEGGLMCVAGQGDRRHVLHDCGQGNGNYMGRHARLLALDPA >Dexi7B01G0021160.1:cds pep primary_assembly:Fonio_CM05836:7B:26292144:26292497:1 gene:Dexi7B01G0021160 transcript:Dexi7B01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRPAIAGVTEKRRCGGGGRRARAASVRTEARDERAASVEGGGSWWWLPGLLYKEAVLTDESGEVVD >Dexi2A01G0035010.1:cds pep primary_assembly:Fonio_CM05836:2A:44755949:44756541:-1 gene:Dexi2A01G0035010 transcript:Dexi2A01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGLEGGVAADELGDDLLPLAVEALPGAVLAGVDAYAEVVVDGLGRRRARALGAVVEREARLQHARDVEEARVEGVHLGVHLGGEVLHEVRERDGRARLLLGPPRLALPGPGTALAAGVVGARVVVGLFPAAAAVAAAGGGVPGARTGAVAAAVGLGGGVPRRLGGGVPGRVRGGVARGRAG >Dexi2A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:2A:32430838:32434030:-1 gene:Dexi2A01G0020330 transcript:Dexi2A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGCLPLVVAVLVAAVLCRAEAAVRELKVGYYAETCPEAEGIVRDTMARALAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMLGEKDALSNINSLRSFEVVDEIKEALEERCPGVVSCADIVIMAARDAVVLTGGPNWEVRLGREDSLTASQEDSDSIMPSPRANASALIRLFAGLNLNVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPNMDCAYRRALNALCPKGGDEEVTGGMDATPRAFDNRYFKDLVALRGFLNSDQTLFSDNAKTRRVVKRFSKDQDAFFRAFAEGMVKMGELQNPRKGEIRRNCRVANGVPPSPVTPKEVAPYRVLDF >Dexi5A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:5A:4796388:4800193:1 gene:Dexi5A01G0006520 transcript:Dexi5A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPLRPTAGGGTGSYSSPLQHHHRWRRGRPTGGAAGLRRPRVRRCKMKLMYFLMDRDERREKRLELELEVSELETVLDKEQRLGRVLQCSLQGRVVCHCCLSALVPTNIRGLLGELAMVEDEIFYLEKKVDDLRLRLRREQKWTDQSIQQQQQQSWPQNHQPRHSVSRREIQLALQLPKLPPCPGSDEALECESKASVGSVSAKGDEMEHDVRRSSHCRPSETPTPMERNVCLNSPNKLSEELIRLMVTIFHKLNKTTAAELELEGTSSKLNISCIGPRSLVPRVAAVSGAAAAMSPLRNRRASAAKGAGHGGEKEAAATAGCHRRFVEFTRASVDVSRISLCLVDIKNLRGLMQKLCTADPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPEKLLALLNQASVNVGGTMLSVLSIEHLILRHSPDGKQGIMDEGQRHLLHSYALGYPEPNVVFALCRGSRSSPAMRVYTADDVSNELERAKVEYLETTVRVAGRRQRAVVVPKLLHWHMRDFADDDASLLEWVHSQLPRASGPLRRAIREVLGANNGGAGRGPATPATATAAKMVEVEPYDAEFCYMLPVW >Dexi2B01G0028310.1:cds pep primary_assembly:Fonio_CM05836:2B:36996911:36997462:1 gene:Dexi2B01G0028310 transcript:Dexi2B01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQALLDVFVEHHNNGDRTANGWKPHVYTAAVRNVRDKCNVDITKDHVVSRCKTFDKHCNVLGKILAHGGFEWDQNRNKLKNKAAASYQHKVIKNWDAISLIFSRDHAATSEDVSAGAENGCRRFSWPYFKFTFNI >Dexi8A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:8A:6237322:6238991:1 gene:Dexi8A01G0006160 transcript:Dexi8A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKALEVSVEAGNGHDSSWLDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTVMLLFAFVIYYTSTLLAECYRSGDPVSGKRNYTYMDAVRASLGGVKVKLCGAIQYANLFGVAIGYTIASSISMLAIKRADCFHAKGHKNPCRSSSNPYMILFGIAEIVFSQIPDFDQIWWLSIVAAVMSFTYSTIGLALGIMQTVANGGFQGSLTGVAIGAGVTSTQKIFRSLQAFGNIAFAYSYAIILIEIQASKAPRFLHYFFLSNFASNFNCSDTIKAPPPSEAKVMKKATMISVATTTMFYMLCGCMGYAAFGDGAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFVQPLFAFVEKWAAATWPDSGFVSGEVRVGPFVLSVFRLTWRTAFVCFTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPIEMYVAQRGVRKGSTRWICLQMLSAACLVVSVAAAAGSIADVIDALKVYRPFSG >Dexi4A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:4A:18439590:18441191:1 gene:Dexi4A01G0015430 transcript:Dexi4A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMERPRQGHSSSSRAGASTPTPTPTCTSLVAQRVSAPVRAVWPIVRSFGNPQRYKHFVRTCALASGDGASVGSVREVEF >Dexi8B01G0011240.1:cds pep primary_assembly:Fonio_CM05836:8B:20160956:20162280:-1 gene:Dexi8B01G0011240 transcript:Dexi8B01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACFILDRDVRLVASTGEKTSGGWRIDGEDKQNPNGLANWVPEGTIKVVADNLVDPPEISTLSLHLPAVPADIVGELEEVHVTSSDENLLVLSTGPASFRLASSGRYLVYDVTAGSGDLFLAPPIDTFEVKEVFGCRPVIVRHGGGGGFVMAIVVWSNRARKYSLLRWSSPSSSQGSNNNDDDQGQQQQWVWSDVCLPDDMAHYPFRADVAFAFQGRLACWVDLYLGIRTCDLLSPDPPRFELKLLPEDYVVRRRRGRPDGYSTVSCVHGRIKFLYMEGFIDEEAPRDLVTITTWSLVGHLPGQCVWVRDENTKLRAGDLWADDSFVRVPGLPERPPTFPVLSLKEPDVAYFFLSDIDYAGGAITTKGEYVLGLNLVSKKVQSWSKCPPGRSLLLFPSFIVVELYV >Dexi9A01G0026100.1:cds pep primary_assembly:Fonio_CM05836:9A:27672634:27676725:-1 gene:Dexi9A01G0026100 transcript:Dexi9A01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSGGHGSMVPTRSRSRSVIKQHVQAEIALRSSSTKAGDEHKVFYLDNLDFGVINTSHRLVPRAREYTYPVLKKLVAADKNNNIGTGISSFGRTKVLRADDEVMYKRKFAVATEVEGLDTKENDTMIKSCMRVEDVASSSNAVTTPPASGSQPAIINHETPAYSNKEFSPEIDGSDVSEDYSISPEICAPNVRDSQGLTDSDDTRLRYGIEGYPDERRTDMSYWSWSTNREIEGEETMRLELAMFDHFGDYVCDDDIGCDDVCMEDNKHLETENTVVLRNRDAVMNVGGKPEWHYTTVGRSDKGKPCGSHEVVKVSGSPDALAFATPVEDDGCPEDSCNYIVKVNGIEFNAALLQPTTTIGMSPFAVDLTHPDAPFSSVLTLYKCLMETSGEDKDRTWFLHYDPIEVRLEGRAIQMMFASDVDFNKQVVTAITRLYHTMDDDIYACYAEKRCRHFLPPGFADVMLQSDQFNESTRLKDMFVGYHVTYDVGECKLILAPVCVDLDWSLYVWNFERRTIVVIDPVTMANGVEAVMNKHNGIVEKMHKGVSICKEQLFQHPQVHMADWEIEYLTVEGGHGVSSSSGLYTMFYARFFDGKTLTRILTV >Dexi2B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:2B:4904880:4907237:-1 gene:Dexi2B01G0005230 transcript:Dexi2B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHTKMVEKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDITSIDIWVENHQDCVFFYEDFSDTGTFVLGIQTDWQLQQMIQFGSRSLLASDSKFGTNKLKYPVHSILVFDNQKNAIPVASMDDCLKGHSFGYCRDWLVHKLATKVHSYYWLDEYSGKDSFSRYWRSEWSNGPNPWRQGLQIPDSDVVIEGNCARVISQRNREESHVVWNPDSEFVLCDCNWSLKGNLCKHAIKSTKICRDRGLAPPSLALFRYYQALAKLVHCPPSDTLIGDHAMAVAVSVKTQLDAVICATNGSSSNMPVFGDPKSVSKPRESEIEETNTQNGVRASPSKATSGDDEDVPVDKDSPAHKKRKSGNAYSDNGDVCTYQDSSARKKKKSGEASDGDEEASTEENSHAYERSKSAEACADDEVVSMDQDSPDHEKRKSREASDYKGTSATQIVQTSETESSQATDGLNGSSVEVRLAEGATGT >Dexi3A01G0034260.1:cds pep primary_assembly:Fonio_CM05836:3A:39472538:39480097:1 gene:Dexi3A01G0034260 transcript:Dexi3A01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRVMAGTLVEDNTGDGRSSSTEEMPSDQQSHSGDSLAEWRSSEQVENGTPSTSPAYSDTDDDDCGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLNDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELIRVYLSNVEQICRRFIDERRSKLSRLIDDKLRWSSFSAFWLAMDPSVRRHMTREKTDTILKVLVKHFFIEKEVTSTLVIDALYSGLKALEYQSKNKKGIPKLTETDARSTPMVFIDQDMFVLADDVTLLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGEEFNKDSIERDDRRLIELGWKTLELFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRAKKKLAKQKKSSRKSNKGKNGKSDISKEILMGSSPSDDRILDDFSGQAEEMSSNADNPEEVSDISDNRDDNSDALHADIEERESSPVNWETDASETQATVPGSGEVQNGQAGKRTSFVDDSSSTCSSDSVPSVILNGSSTGGAWTNVRSSSNRGNNRRNKDTDARAAYVQGGPNSMYNGFVGSGSNASVNAKDARHESEVLKVPLMS >Dexi8A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:8A:28780550:28782094:1 gene:Dexi8A01G0017070 transcript:Dexi8A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESATTAAALPDDVLAGILRRLPLRSLAVSRCVCKSWRAAMDEHQLLLPRSVRGLFINYGNHCYLRRSHFFARPAVAVDDGPMIDGKFSFIDPDKLSVVEFVDHCNGLILFFRPLDQAMYVCNPATQRWARLPPHPAGTLRRGRRRPPCSGEDPCVSRRRAFLVFDPAVSPHYTVMLAPDELTRDEAKGNHMEWPPSPWMWHEFSSRSGRWEEKVFVREGEAAGTVQGLLFDSFGYAFNPKWRYAAYWQGELYVDCVANMFRGKLSLLNNKYQVIKTPIDLEERNKDVRSYLGRSENGVYFAAIDGMDTLWVWILGEYGDEMEWVPKHQVNLNARSWWLHEQRYDKLKYDEPWILDKYNKEKYRKVSAQRKVCWDSDEDDIIDASDDKEEEIYSGSVKILGFHPFKEVIFLCNKDSAVACHMNSSKVQYLGLTGLEGLYDSDERESFVYTPCLIGD >Dexi7B01G0004990.1:cds pep primary_assembly:Fonio_CM05836:7B:12039621:12040048:-1 gene:Dexi7B01G0004990 transcript:Dexi7B01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHCANRVFVTGGSSILQSPKYWGRTTRCQSAVRFRGCCVRANFWKSDHHLPVKVAPSEILEVMQASDVFSSIRRWSRLQLVTMTGSMLSRRALAC >Dexi4A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:4A:20753541:20754068:-1 gene:Dexi4A01G0016970 transcript:Dexi4A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVATNPKSSGGAPGLTMGMVYSTAMKSRRPHAKSAGSGCLRMTPATPAAEHHSGSPPHPSPPPAAAAPMATFSSPSAAAGWTLASLQRRRVVVAAAAGSRAAARLGGGMYGSMGWAGASVGDGGVGWRGGDHEDEAGR >Dexi6A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:6A:21001554:21002159:1 gene:Dexi6A01G0013740 transcript:Dexi6A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIKPLNGHGGYLRWKESMLLRLHTLGVARVLSDDRPFAADGDDAATAKKFDAFELDMGTGEVLLEQIAHAEALGVAAELPDDYVARKLRAKLPEAMGSAVVIRSGPDESGMSLVWDVARRGVASGAEPELLWMAMDDVDHQGGY >Dexi8A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:8A:6697109:6697868:-1 gene:Dexi8A01G0006460 transcript:Dexi8A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVVPQQFMSRRAVTARLRTGSGGDDGEEALQIRACAVEEGGAPDPPLRCRGRRPALDMCHRRRGRRCDGEGTGGQQFATDEGERGRATGETEREIEERGQLGE >Dexi3B01G0023490.1:cds pep primary_assembly:Fonio_CM05836:3B:18302566:18303919:1 gene:Dexi3B01G0023490 transcript:Dexi3B01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSGDEKDTAAMVTLISSDNERFEVAEAAATLSQTIRHMIEDGCTDGGIPLPNVTGKILSMVLEYCNKHAPESTDGEDAAASSDKDDLAKFDKAFVEVDLATLFDLFLAANYLDVKGLLDLTCQTVADMMKGKSPEEIRKMFNIVNDFTPEEEAEVRKENAKPGRLEPIIGGDDRKQQDLARMFFFFVGGVGQGAERALKEAAGRCLRCGGTADLVETEKVLKLFFVPVWRWPGKDPAYLCRECGLLAPGSLGAEPGPSLLPREARCGACSRAVDPQFRFCPFCGSAL >Dexi5A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:5A:4345652:4346677:1 gene:Dexi5A01G0005830 transcript:Dexi5A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAPPHVVEDLFGVVQLLSDGSVVRGDESAIMPAGPFPDVPGVQWKDVVYDATRGLKARVYRPASATGAGADDARKLPVLVYFHGGGYCIREYDQPPFHSCCQRIAAELPAVVLNVQYRRAPEHRLPAAVDDAATFFSWLRTQATALGAATGGEPWLAESADFASTFVSGVSAGANLAHHVVVQVASGQLVVDPVCVAGYALFSAFFGSVERVASEAGPPAGVSLTVETTDKFWRLALPAGATRDHPLANPFGPDSPGLEPLPLPPALVVVPGRDVLCGHMLRYAARLKEMGKDVELAEFPGERHGFSKGQWSEATEELMRILKRFVHRRDASSHEMN >Dexi5A01G0023420.1:cds pep primary_assembly:Fonio_CM05836:5A:27435245:27438976:1 gene:Dexi5A01G0023420 transcript:Dexi5A01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSPPLLRRFFSCAASSASQGGGNAAGKKNLVFLGSPQVAASVLDTLLAASGSPDSVFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPEELIFTPERAREESFLSNLKEVKPDVCITAAYGNILPQKFLDIPLCGKLEFTSSYFVSTSVIYRTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVDECIKAPELLAILFDIGSKLLISELPSILDGSAKEKAQPQDDSKATLAPKLNSDESWLSFDQEAKELHNKVMDQPGLRFVLELQLPGKKVTNARGFWNGLRGRKLLKSS >Dexi5A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:5A:5846677:5846945:-1 gene:Dexi5A01G0007850 transcript:Dexi5A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRERAWGSRGSAVVADRPPAEGAGAPPAGQGWNLGGRAGSRAVVDGGRCGKETGAAGRGRLPVAAVGSGGA >Dexi1B01G0021860.1:cds pep primary_assembly:Fonio_CM05836:1B:27630742:27634689:1 gene:Dexi1B01G0021860 transcript:Dexi1B01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAEPGTRLSDRARRSSLGLRFMVLLMHVLFVGAVFILDPTLDWRIHEEPWYIGVYGVLVLLALVQYFYTAGSSPGYVVDVMRTGSTMHATFVNTAALSKWYIFEETILSVWTVALYIESLRLDVDKAWWKDFVGVILLAVLIFILIFLLLLLGFHSYIALTNQTTYEVARRKRIFYLRKDIFWKLCPQLRSWKPELLVTHAKMSFAAVAADRLCQVP >Dexi5A01G0024290.1:cds pep primary_assembly:Fonio_CM05836:5A:28145405:28146397:1 gene:Dexi5A01G0024290 transcript:Dexi5A01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSTEFHPQCVTNPPTDAWASTSLCGAHDLTTRPLPLVRARNPSGRSASRSGSGGVSNLFAGSSHGGARTTHRNLCPLASIPTATSRICSALNRPMLPKQRNTTLADGCASSHALLAALGYHLLGLDQRADAVDRRRREPRRRAPAGDHGLDGALLEETERVDDDAIGAAELGAGVEEAVVRRVVAVHERQDGVRRRERRDARDVNELLPHVLKAFGGPLVEHREVEEEGEDLCAGGEEEVAGDAELPGDVERDAAEEVDDEHGRVRGGEEAAEVRVGDADELVSASWWLVATGGRRSTLGRRWKEMHGLAAVTPARNGPVAPVL >Dexi8B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:8B:24168985:24170412:-1 gene:Dexi8B01G0013780 transcript:Dexi8B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGSSPSPSSLRIIICPWLAFGHLLPYLELADRLASRGHRVTYISTPRNIARLPPHRHHTTGGAIDLVTLPLPPVDGLPDGAESTNDVPADKLPHLWDAFDRLAAPFANFLAAACVAGKRPDWILADTFHHWAAAAAHDHGVPCALLQPTAAVITATAAMITAISDEQMTTRNSPPVTMPKYKWESDAAMFTPLGLSGLSIARRCSMALEKSTIAAMRSCPEWELDTFTMASNLLGKPLVPLGLLPPSPDGGRGAGMHRDHAIVRWLDAQPTKSVVYVALGSEVPLSLELVHELALGLDIAGTRFLWALRKPADVTDDGEVLPAGFQERKKSYGHVSMGWVPQVAVLAHGAVGAFLTHCGRNSLIEGLMYGHPLVMLPIFGDQGPNARLMEGRKVGLQVPREESDGSFDRHGVARAVKAVMVEEGSRRVFVENAKKMQEIVTDTELQERYIDEFVQRLRCYTTADDGNSSASV >Dexi6B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:6B:21068347:21070373:1 gene:Dexi6B01G0013370 transcript:Dexi6B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTEPQAETVLFRSTLPDIAIPDHLPLHDYVFEHLSHRGDRACLIDGATGETLTLADVDRLSRRVAAGMRASPLNVRPGGTVMLLLPNSPAFVGKVRDIAGVSAAVVVTGDGAEGCVSFSDLLAAADDSSELPEVVIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQIVDGDNPNFHLREDDVVLCVLPMFLIYSLHSILLCGMRAGAALVIMKRFDTARMFELVERHGVTVAPLVPPIVVEMAKSDAIHRHDLSSVRMGYGMTEAGPVISMCMAFAKEPSPVKSGACGTVVRNAELKIIDPETGLSLPRNQPGEVCIRGKQIMKGYLNNPEATAKTIDEEGWLHSGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIVHPGIADAAVVPMKDDSCGEIPVAFVVTSDGSEITDDEIKQYVAKQVVFYKRLHKIFFVETIPKTALARF >Dexi1A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:1A:108422:114334:-1 gene:Dexi1A01G0000160 transcript:Dexi1A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSASLSSCQPSAISPHSLLSPVFLPPLAGYILDIDITKPVCLAARSTEVAWQWHARFGHLGFQGLKTLSKGGMVRGLPPIEQVDQLCDSCLAGKQRRRPFPATSKYRAQHLLELVHADLCGPITPETPDRKRLFLLVVDDKSRYMWLILLASKDQAAAAIIHLQARAEAESGRKLGTLRTDRGGEFTAHAFGDYCAEQGVQCHLTAPYTPQQNGVVERRNQTVLGMARSMMKAMKLPGWLWGEAVLTAVFILNRSPTRSVDGMTPFEAWYGIKPPVHFLRTFGCVAHVKVAGGHQQKLADRSMPMIFIGYEPGSKAYRFYSPDTGRVIISRDAVFDKARAWDWSSTAEPEAEGSEPFIVESLTVPIYRGAMAGPAGGETAAEPVAEPDASDDPLVCDVRRWNAYSGWDTDVVHALAELHASLRRRVGLAVDGHLGQEPPHRRRHLEPVPGEPDSDHHVLPEFPAEEHMHDCRITGPPAASTWLGMASSIHLATRSVISLSPARLFWPIFFFLARSRALRRRPPGWTPRVAHRRRLVGAAAVDHLTILGARAGWEANRGAPEQRRVRRAHAATGRRSVAAPSGHAPVTMAGFIPFYRPSSDATFRVLILLPAICHFPSFFALASLSSSSGRCSARRTGYILDIDITKPVCLAARSTEVAWQWHARFGHLGFQGLKTLSKGGMVRGLPPIEQVDQLCDSCLAGKQRRRPFPATSKYRAQHLLELVHADLCGPITPETPDRKRLFLLVVDDKSRYMWLILLASKDQAAAAIIHLQARAEAESGRKLGTLRTDRGGEFTAHAFGDYCAEQGVQCHLTAPYTPQQNGVVERRNQTVLGMARSMMKAMKLPGWLWGEAVLTAVFILNRSPTRSVDGMTPFEAWYGIKPPVHFLRTFGCVAHVKVAGGHQQKLADRSMPMIFIGYEPGSKAYRFYSPDTGRVIISRDAVFDKARAWDWSSTAEPEAEGSEPFIVESLTVPIYRGAMAGPAGGETAAEPVAEPDASDVGPVPCSPRTPSSATFAGGTPTAAGTPTSSMPVEFVSPPQATPDLDADHDDAPLRFRALDNMLGPVEIPDLAEREFVVNEELLLAGGDNEPATFEEARGDVHWRKAMMEEMASIEENETWALVDLPPGHRPIGLKWVYKLKRDEQGVIIKHKARIVAKGYIQQPGIDYDEAFAPVARMESVRMLLAVSAQRSWLVHHMDVKSAFLNGELKEEVYVRQPPGFVAAGHEGKVLKLKKALYGLRQAPRAWNMKLDRSLRELGFTRCASEHGMYTKGVAASRLLVGVYVDDLIITGAKQADVQEFKKQMQRLFKMSDLGLLSFYLGIEVKQGSAAITLGQAAYAHKLLEKAGMVGCNPCHTPMEVRLKLSALSTSPEVDATMYRSLVGSLRYLVHTRPDITFVVGYVSRYMEKPRQEHLAAVKHILRYIAGTIDYGICYPKHANAGNVLNDYELIGYSDSDLGGDVDDRRSTGGIIFFLGGMPVSWQSQKQRVVALSTCEAEYMAGAAGACQAVWLVRLLEDITGVKVRPPLLKMDNQSAIALCRNPVLHDRSKHIDIKFHFIRECVENGKICIGHVSTEEQLADVLTKSLGRMRFAELRDKVDIVKIK >Dexi7A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:7A:28608996:28610229:1 gene:Dexi7A01G0019390 transcript:Dexi7A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNAMDAAAVTSEQRWLTWARRQAAAYLAAAGWGDDDGWAASAVAAARVVLCFLAMTVTTAVWAVVLLLLLPWPSERIRQSNIYGHFTGRMLLWILGNPIKVEGLEHLKTRGIFVCNHASPMDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYALANHLRIDRSNSAAAIASMKKVARKVVNNNLSLILFPEGTRSRDGRLLPFKKGFVHAALQTRLPIIPIVVTGTHLAWRINSVRVRPAPLTIKILPPVSTDGWEESRINEYVEKVRSLYVDNLPDSYKPLDALAARTKAD >Dexi3B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:3B:6703669:6705619:-1 gene:Dexi3B01G0009700 transcript:Dexi3B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGMRVRASACSSLHWEWKESESPTPRSLPRLPTKSDDAGSSTAGCPNQEGF >Dexi2A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:2A:6203667:6204018:1 gene:Dexi2A01G0006580 transcript:Dexi2A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAVLVAVAVAMSSAVGVGGDFAADRAECSDKLAGLATCLTYVQDQASAPTPDCCAGLKTVLQTSRKCLCVLVKDRDDPNLGLKLNVTKALGLPAVCNAPANISDCPIPHIS >Dexi7B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:7B:23884951:23885523:1 gene:Dexi7B01G0018010 transcript:Dexi7B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADHVAAAADPGRPLRLRAHRLHGKPGTIAAVAFAFDKGYMHAFATAFYPETLGIANLVDDTDAGLASLQEPMTTITRGYAFARPLPN >Dexi3B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:3B:12566999:12569544:1 gene:Dexi3B01G0017120 transcript:Dexi3B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSGARRMTAQDAAEAAVGAVGCGYDLTRDLRLGRAKPAGRLVDIDAAPARARDLSLPGGAVVAGVPAGIVSDKGERTRFRSDVLSFAQMAEQVNQSQSLAGKIPTGAFNAMFDYRGCWHRDAGATRSLCFDGRFVELYSVEAVRAQLALQDRVKQDLPPFWDPPALAEFIDKYGTHVIVGVKMGGKDVVCVKQLKGSNLTQSDVQARLKKLADDKFSQDRAGNSTAGDDRLLAHGLNGNFGPGSAAWQTFRSPVVSHKDDIVCIHIRRGGVDTGQGHGKWLSTITSYPDVISMSFVPITSLLTGIRGCGFLNHAVNLYLRYKPPIEELQQFLEFQVPRHWAPEFGELPLCLQRRKNSLPSLQFTLMGPKLHVNTAKVDPGNRPVTGIRLFLEGKKNDQLGVHLQHLSVTPSTITVIGEATSADDVAVDERDYIEPVKSPLLSHVCTAPVQYNGARIDDCAAIVTSAWLEVRDTCCLKKVLFLRLGFSGVAAMKIRRSEWDGPSVLPRKSGSLSSRLSAALSGGLSQAAAAAAPAEEKVEVNSAIFPKGPPVPLPVQKMARHVDTTEVMRGPDDQPGYWVVTGAKLCIEGGKVALKVKYSLLIAVQEDTDV >Dexi2A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:2A:10644710:10646314:1 gene:Dexi2A01G0009690 transcript:Dexi2A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVATRRKKTKTNPKDRINNNQEPDPDRISTLPDCVLGHIVFLLPTTDGARTQILSSRWRHLWRSAPLNLDCHGFGGAWPACVNLISHARHAHGGPVRRLILSTSRHPAVQSCIDHFLLRCPAMDTLEELEFFYARSSPPPPPPSFPAPAASRFASTLCSASFGFCHFSEETARTLVFPVLKNLVLREVSISEESLQLLLAGCHALQSLVLSETFGFRSFRLVSPSLRSIGVSVGAAREIVLEELIVEDAPCLEWLLFPSLLCSRLHVRVIAAPKLEALGWLPDARPRLNLGIDVPRELKTNTLSLTMVMRSVKILALRTLYLSLDVGINLMVCFPCLTSLYISSIERGDEESNVRHIEQLGHIECINHHLKKIVISRFVMNCMSQVNFVKFFVLNARVLQLMRLELPEGCMHCKWMEMLPELLQIKDRACDANFDFCTDGKRWLSCPVSLKRVTDLSRADPFV >Dexi4A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:4A:842884:844300:1 gene:Dexi4A01G0001270 transcript:Dexi4A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPSSSVVWLPLNHRQGPCSPFPSSESETTPSTADVLLHGRARADTIRRLLNATAAFVDGAGDAIITVPTKLGTSLGSYEYVVTVGLGTPAITQTMTIDTGSDISWVQCRPCPVPSPCHLQKDKLFDPSRSATYSAFSCSSGECLGELGRAVFNGCSPGSSLCQYIVDYGDGSNSTGTFGSDKLTLTPAFAIDGFRFGCSHGDQLFNDRTSGLMALGGGSPSLATQAAAAKDAFSYCLPPTASHSGFLTLGVPRVASSRFVVTPMRRIRNIKTYYGVLMEGITVAGRRLDVPPAVFAAGAVVDSGTVITQLPVTAYRALRTAFAEEMERRKYRRVAPTNGFDMCFDLTAGEVELPSVALVFDRGATLELDTSGIVFDGCLAFYSNGDDASVGIIGNQQQRTFEVLYDVGGRAIGFRRGAC >Dexi9A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:9A:8444092:8444352:-1 gene:Dexi9A01G0013170 transcript:Dexi9A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALDGPKTSSKRISEHDRNWATVGDGGGGRHLDGEGGRGGAAEVASELALLGDLLQQRVAGDLPRARPP >Dexi4A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:4A:4998909:4999601:1 gene:Dexi4A01G0006880 transcript:Dexi4A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEHPLEAIAFRLYSLPEASAATGAAAAWTCLAAVLAAAAAAGIWRLRYSAPTSVTTADTAAAMKPLEIDRRPAEEVLTAASSSPETATAASSAAPSPKERYTAYYSEACRIGCCGDDGDDDVYRYREDDAEERDGDGEDAGVVYGAPPETTKATGTDPFGWEGEVVRSLPLSPTAAELGLGSRQYRCLTPLGGSVVQLWDHVAGGGSTPTASPRRRGRGLATAVPGF >Dexi9B01G0037730.1:cds pep primary_assembly:Fonio_CM05836:9B:39033978:39039693:1 gene:Dexi9B01G0037730 transcript:Dexi9B01G0037730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRGGCRIEDPYAAVERHGARIAAQAHGGGEVGEARGVGETHGVRESRKLRMVGGGDGRGQRRGWLQIGGLHHRRRPGQSRGEMGGLPRCSRGRRRLSRGRRRLSRGRRQRRTGRQPTCGCHARADQGRRLEALLQVVVEERRLDDVEEGRRRLLQDLQRQRPLGRQRRRLWKLAWAQGREREGEGRRRGLVRGSIPLLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETIVIDLTDKRGDEGDLSNAFAAEMGRIRDVRYVHFDFHHVCHGGNFDNLQVLYNQIEEAIQKQGYFLVNSKGDILLEQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGGLSSSESISISDNINDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQYLPVASAIIVGGITATTFTLSQELQNLIFIVSSYLQLDGMRNTSSHP >Dexi5B01G0035230.1:cds pep primary_assembly:Fonio_CM05836:5B:35282804:35284732:-1 gene:Dexi5B01G0035230 transcript:Dexi5B01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGEGHVEVEVSVGADGKGVIECRICQEEGEEDAMDSPCACTGTLKGRLTYHVACMQFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPPKCCSDEMDMDLRQNWVGRIDTHDSHFLAIAIAEQQLLQAEFEDCISVNSSGVTCCRTIALILMFLLLVRHVIVIVRDVSMLQDATVLFSVSSTYLFIVTLFY >Dexi5B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:5B:22394709:22396043:-1 gene:Dexi5B01G0020160 transcript:Dexi5B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKKGDQVEVWTQEAASPVGAWRVGEVTWGNGHSYTMRWHDGDGEVSGRISRQSVRPRPPPAPVPRDLDAGDMVEVFDDDDCLWKCAEVQGAAADDGDRRRFNIKIVGAAKVLTVPPQRLRIRQVFRDDDVWVALHKDNQIAVASTMPSHANGARVGMGIGRGKGGCKPMLPGFTPLLQKRSFGMLGSNTIANGKRFEDTTKRFCAKEEPRYEVEAIVPNVFLNKQDEMSSEDCHVVGAGNNNANDDQQHQQHENEVDDQETDSEPASSSDDSSSNSSDSRTRSVETGKDCEAAPAIRHCNDQKADQLKLLPREEEHCDNLAESREIKHETLDDQKAMVQEQIHRLELDAYTSLMRAFHACGDALSWEKVELLSDLRGHLNITNDEHLKVLNVIMNRKGRSAGPHRF >Dexi9A01G0041420.1:cds pep primary_assembly:Fonio_CM05836:9A:45094232:45094711:1 gene:Dexi9A01G0041420 transcript:Dexi9A01G0041420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPALSPSFLAFRPSSPAASPPLSPRPHAPPPLSASFSSSSAAVAAPDHAAAAASSFYDVLGLRPGASPREIKAAYRRLALAVHPDAAPHPSAEDFIRVHAAYSTLSDPAKRADYDRRLVLSAAAGHRRAQPVTLGRSPTFPARRSRRTWETDQCW >Dexi6B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:6B:24305108:24305765:-1 gene:Dexi6B01G0017110 transcript:Dexi6B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQWSTAANLDRWVVGPWRRSSPAPPCQVPVQAATATPLPRRGKREGRIGCASVQRELGAAAEAEQAAWPVADGDSEEEGDACGECGGEGWMICDFCKGKKNNVKSEGTRVYRRCPTCKAAGFILCPRCRVFKCITFPESNES >Dexi3B01G0038120.1:cds pep primary_assembly:Fonio_CM05836:3B:40948236:40948760:-1 gene:Dexi3B01G0038120 transcript:Dexi3B01G0038120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAISSVLFIVLAAFAASGARAATFTITNNCGYTIWPAGIPVGGGVQLDQGQTWTVDVPAGTSGRFWGRTGCSFNGGSGHCDSADCAGQLSCTVSGQTPATLAEYSIGGAQDFYDISLVDGFNQPMDFSCSTGVNLHCGGPGCPDAYLFPTDDTKTHACSGNSNYQVTFCA >Dexi2B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:2B:2958954:2959659:1 gene:Dexi2B01G0003290 transcript:Dexi2B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTGSAGGAPSGEEAVALVVAVRAWVHLGSAGGEHGGDGGEASPPVERLLDGARDEEAVDAVDESVGADHVVGPREEVEEEEGLEGAEVAGLEEEAAEVWVEAAVEGLVAWGEDGDVVAAHGVLEGLEEEGFLHQLGELRVARVEEGYEY >Dexi6B01G0005550.1:cds pep primary_assembly:Fonio_CM05836:6B:5012786:5014305:-1 gene:Dexi6B01G0005550 transcript:Dexi6B01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEWATLALVGPLLRLASVVTSLAENARRYRRKCALLQDRVRVIVQHLNDLQRAQCTPDPPAMRDTLECLRQVLVRAQVLLQSCQRKKNAFDFLKARKNTGEFTFLNERITNLMESFHVANRTLIMGLYSDQIFVVVLRMLLQSDAGMNHEYEITEVENIAKDIVLKADAMNHKEEIHRVAQLARHVLFFLPNLRFPQLTGHPDTRRYLTKLRLELHAANETIESRPLVCVGAVSVVTITNNFWKEQAKLISGHGNRIEDCLQSLTFVALNQLIRNNP >DexiUA01G0018770.1:cds pep primary_assembly:Fonio_CM05836:UA:39509704:39510620:1 gene:DexiUA01G0018770 transcript:DexiUA01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKITAIRIDHNTLQRLYTCTQPGLRLVASHKPPSKNGTLLVSRALAPRKCESIPPELPIGGGVVVLLGEVVLLSQYSSGGGS >Dexi5B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:5B:18081777:18082193:-1 gene:Dexi5B01G0017080 transcript:Dexi5B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLLLVLAAAATTTPCGATTVAYNDRAVVIDGQRRIILSGSIHYPRSTPQMWPDLIRKAKEGGLNTIETYVFWNGHEPRRRQATMNHHFIDHNIYT >Dexi7A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:7A:24672676:24673054:1 gene:Dexi7A01G0014730 transcript:Dexi7A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLSKGIIRNNLEYGVIEPAMSKFATEAAITILRINHMIKLTKTSARGHEDVFKTSAREIQFDM >Dexi8B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:8B:11684100:11685589:1 gene:Dexi8B01G0008560 transcript:Dexi8B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFERIGFYGVQGNLIMYLTGPLRMSTAAAAAAVNAWEGTVLVLPLVGALAADSRLGRYGAVMAASVLYLLSLGMLTASSALEASQPRPPPSTTPPARLAFFYGALYLLALAQGFHRPCAQALGADQFAPGETEAASRSSYFNWFHFFVAWGYAVAAAGISYVEDNVGWTVGFGTCWASMVLCLAVFLLGTRTYRAEEPADSRPLVDAVRAWAAGVFRGKDTIGRERLLDQEAEEGAGLVSVKLLPIWLASTVFALVISQVSTLFTKQGATMDRRVGTAGFAVPPAALLSFISVSFVILVPVYDRAVVPMSLWWIVPQYLLVGLARVLGVIGLEEFFYDQMPAALRSVGVALSLSAMGVGSFASGAVVSAIDLVTRGGGGSWFSDNLNRAHLDYFYWLLAGLAALDVAVFFHFANRYVYTNKG >Dexi4B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:4B:150409:151504:-1 gene:Dexi4B01G0000220 transcript:Dexi4B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPDGIPKPRLPEFQRMRVTLTIGVIGLCVTSYILGAWQGTSNSIKPSFISTKTRCDDLVRSSGAHLDFQAHHQVDFNESSLAPEKFPPCQLKYSEYTPCQDPRRARKFPKKMMQYRERHCPKKQDLLRCLIPAPPGYKNPFEWPKSRDYAWYNNIPHRELSIEKAVQNWIQVEGDLLRFPGGGTMFPLGADAYIDDINALIPLNDSNIRTALDTGCGVASWGAYLMKRNIITMSFAPRDSHEAQTASI >Dexi5B01G0028690.1:cds pep primary_assembly:Fonio_CM05836:5B:30032107:30035932:1 gene:Dexi5B01G0028690 transcript:Dexi5B01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFSDMTSSNASWVCLLLLCFAAMGDAEYMKYKDPKQPINTRINDLIGRMTLAEKIGQMTQIERDVASADVMKKYFIGSILSGGGSVPAPQATPSIWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRLSKNFLSYPHNSAYGLCRDKVAACAKHFVGDGGTHNGINENNTIIDEHGLLSIHMPPYYDSIIKGVATIMVSYSSLNGVKMHANHALVTGYLKSKLHFRGFVISDWLGIDRITSPPGANYTYSVQAGINAGIDMVMVPYNYTDYIGDLTSLVSKGIVNMSRIDDAVRRILRVKFAMGLFENPLADLSFADQLGKKVGKLLTFRSFPHFGILHTTFSSYIMIKTELLWTIRLTFMIEEFSYRVLQFIQEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARRILVAGSHASNLGYQCGGWSIQWMGGSGDITTGMCTCYIISAGTTILDAIKSTVVDSTSVVYAENPDDSFMNHNDFSFAIVVVGEPPYSETVGDSTNLTILDPGPDTIRTVCSAVKCAVVVVSGRPVVIEPYVPLMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLYPFGFGLTINSSLPG >Dexi7A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:7A:26210365:26215924:1 gene:Dexi7A01G0016340 transcript:Dexi7A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQMRFGLQISPARSDDEEEEEDEEEELEEEEEEEYDGMESEGTTASPPMMLRAGRGGGGGLVGAVVGALRRSLVMCSTGAVGDEDGDEEDSDDEGIEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPDVPRRTPSASVSVFGVSPTSLQCSYDQRGNSVPTILLMMQRKLYLREGLKIEGIFRINAENSKEVNVRDQLNSGVVPDEVDLHCLAGLIKAWFRELPAGILDALTPEQVMHCNTEEDCALLASMLPPVEGALLDWAINLMADVVEHESHNKMNARNIAMVFAPNMTQMADPLTALIHAVQMSEHLEKPSVLSTQKDFDFHMIDRGTPVQVLGAEKALHHYSQSHSDEPKKFGNDMDHNKSHSNNDYLVTLSKANAPPYGVDFAFSSGKPTGRYYAVSGEALGQKSLAPPYLAPNSSAAMTNSGINYGSGSSGIFDDTGSFYIGRIPLRQQINYFEKTKVQILETMGEEAATDFIKKALFVVAVGSNDILEYLSPSVPFFGREKPDPSSFQDALVSNLTFYLKRLNDMGTRKFVVSDVGPLGCIPYVRALEFMPAGECSASANRVTEGYNKKLKRMVEKMNQEMGPESKFVYTSTYEIVMEIIQNYRQHGFDNALDPCCGGSFPPFLCIGTANSSSSLCTDRSKYVFWDAFHPTEAANLIVAGKLLDGDAAAASPINVRELFEYEHK >Dexi6B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:6B:3793364:3795694:-1 gene:Dexi6B01G0004520 transcript:Dexi6B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWTNAAPSAAGESSIWATEDDYRQWNADSGYGDRNPSSRAGSEQPPPGKKARGGGGSGGGGGDGGGGNSTSKSRAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGMEELRKPPPNWQEIVAAHEESTEQREEHQIPIMTSGSVVAGDGGGGGGGGSQGGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPTVGGGGYNAATANGGMVQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDGRDITSTPDSKQAGASAKAPADSAVASTAMPPHADVYHLGIQSQRSTIVSQRSGQMQRPIQKWKGPDKISRIYGDWIDETE >Dexi9A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:9A:53770:54678:-1 gene:Dexi9A01G0000070 transcript:Dexi9A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSLVPAGSSLSLFSSTVVSSCGRPAAGGGTAAELAATCCVMLSTSNESSSSSSFIRTSPGSDMSSSEESLMSSTSTGAADIPPPHLRAAAVGPPWCWWSLAALEEEEEEEELAAEHLSLPPRAAASPPSGTSATKYGLKRDDDDVRRATAPAWWWPRRDDGAEPGGSLPAVSITAGMWTSRSMRFRWMVRLDMSFSSLASLSSSSEPMLRMLCSSSAVQAMAAALSSFSFSPTRCSSSSSMLVAVAEEDPSTSEEEEELLLTPRCRIFL >Dexi6B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:6B:9063407:9064520:1 gene:Dexi6B01G0007710 transcript:Dexi6B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNARPGRRHRHKAALGPQRAGAPGHHCGGAAGRPTGARGGGAELIREQVARVDDAYFRSFIDFASSVGVVEEEGLVPPLADPEAAALGAHMAMYCSLRVPFYDMDFGGGRQFLYTPGGGRWRCSTSTWESAQPISSGS >Dexi5A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:5A:13532515:13534027:-1 gene:Dexi5A01G0015740 transcript:Dexi5A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTMDSVARIDAIFLDFQHKSDRGPHVYWLSKGTLDARPDHILNLIHYEDAASLAIAIMKKRLRSRIFVGCDNEPLSRQEIMDRVNRSGKFETEFQGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSNL >Dexi5B01G0030920.1:cds pep primary_assembly:Fonio_CM05836:5B:31755327:31758216:1 gene:Dexi5B01G0030920 transcript:Dexi5B01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMPITDGLPSNVTAQMHNVTHPKASSTVVCYSPMMITTNGIWQGFNPLEFSLPLFILQTAIIVVTTRFLVLVLRPFRQPRVIAEILAGVLLGPSLLGQIETWSTMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDIDVIRRSGKKALFVALAGMALPFCMGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLGTDLGRIAMSAAIVNDMCAWILLALAIAISEVESAALTSLWVLLSGVLFVLFCFYAVRPGMWWLIRRTPEGEGVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPTGPLGVTLIEKIEDFVTGLLLPLFFAISGLRTNIQKISDPVTVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLELSNPSKRSPIFIYALHLVELTGRASNMLAAAAASASKTSRSGTASTLPPVTEHIFNAFENYERHTGGVSIQTLAAVSPYQSMHEDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINPHVRGFNENLLSTSPCSVAILVDRGLSAAAARMATEHHVALFFFGGPDDREALAYAWRMVEHPGVTLTIVRFLPPDYRARSISGGGSTYRPSPAAVSDSDSRAITISTEGKSELEMDEDYLNEFRARNHGNEAISYTTKAVANSEETVAAIRGMDTSMHELYVVGRRPGEAGSPMTSALEDWMENPELGPIGDMLVSSDFSMSVSLLVVQQYVVAGAPAPVVPAPAATSDPVRQYLSNANQRPAAAASGAYRTSASSAGNSRWSGSSGSTVGF >Dexi7B01G0018780.1:cds pep primary_assembly:Fonio_CM05836:7B:24410003:24410931:1 gene:Dexi7B01G0018780 transcript:Dexi7B01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCEQAGAVLGFVDDKLAAASGPGEGERSISHSLEYPCTARFRLRRLLAYLRRWHSHAEVYEAARANTRVIFHVKDLVKKVKEGQWREAGYYVNRFAPFYESGYEARLLMMFLHDLMALGDFSNGHVMVASYLCDWFMSIYKIPMLDKYPCFATLVADVLFMRSDHARAFLDWQLVRNKAAKMVEKMAHKTPELRDKMQYPCGQNNLSNLAS >Dexi8A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:8A:28683337:28687152:1 gene:Dexi8A01G0017000 transcript:Dexi8A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALTPPPPPPSSRRAPPSIAGRMGAKRVAAAAGAAALVYVALSGRLSSAPGDAAAEVLRRERRREDEEDEACRKGEERWPERAPASWREAMAVTARTAGFAYAETLGKWPIGDIAFGINHYMRIQGNLQHEYTGSGCVPLEGPGVRKELIGLLRYLRLCMFFSKKPYEVFLEFGGYGHSDILIRKSKSKLMKPAFTVVRDESTKCFLLFIRGATSTKDRLTAATAAEVPFHHSVLQDGRKPNLVAGHAHCGMVAAARWIADQAIPCLSKAVEQFPDYRVKVTCQCFLTLFQGIIGHSMGAGIAAILTYMLREDNKLSSSSCIAFGPGSKGADVNVLLSDTPMDELNLSANAHATVQKHSALSCLSSVAANGQTLEALMNPTQGMDIPALMPTYAGTGQNTDKSTTAGETAPCSSEKVNCLKSDAGESGQEEKATDQEHMEQLLKSLRSMAMPSHPHQLYPPGRIMHMVVLPSLEERSTGIQRDQGDVVAIYQTPRSMYGKIRLARSMIRDHYMPRYIETMEMLIEKLAEDDRDGTTGQLG >Dexi7A01G0018150.1:cds pep primary_assembly:Fonio_CM05836:7A:27618140:27626798:-1 gene:Dexi7A01G0018150 transcript:Dexi7A01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVRFGLLVAMFQAMSSDKGSAKKRSRLRAFLDRAYVPYGGRDDFFSALRLVLPGLDRERGTYGLKEAALAAVLVDALGIAKDSPDAVRLINWRRGGGYRNAGNFALVAAEVLQRRQGLTSGGLMIKDVNDALDRLAATENRSEKASILSSLIKKTNAHEMKWLLMIILKDLKLGISEKSIFDEFHPDAQDLFNVTCDLKFVCEKLNDRSQRHKRQDIELGKAVRPQLAMRVRDASAAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAPGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAREGLETDRQVIFLILFHMLFCAVSFAFYTSCILTLGPDEPCWSIIAHNLEDVEKFFKDTIDNRDEGIILKDLDSKWEPGDRNGKWLKLKPDYIHAGSDLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEERDALVTKLKPYFMKNEYPKKQPKFYEVTNNSKERPDVWIESPDKSVIMSITSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQAFVDIVHSSNGTTQRAADDNGLKNNGTKRSRTIKKGEKKKNVSVIPSHLMKTDVSGLKGETMIFANMIFYFVNIPSSYNLDYFHKLVVENGGSFSMNLNDSATHCIAAEKKGIKYQAAIRQGRIIHYGWILDCCKEKRPLHLQPKYILYIADFARHKFPEEIDSYADYYFCDIDIADLKQIFSNIDKVSGDSNMVNQYKKKHCIDERFCFFQDCCVYFHIPPSVNADYNVISDIALKRVKQDLTMHGGQVCSSIVPATHLVVVSVLQTYNFDTLYRSFSPAERRYLHDKRLHVVSNKWLEESVEKQMKLSETAYNLKPDTLEELEIERSEENVRPLDHKIEEHKVVEREHAKHAPRKRSRAASSSRAAKAAPRPVRRTRARRGNQPAKIDDDVGSEESLPGECQDAQTMDTDYTSNEIGKGMSKEEQGMPRTASRPVLRTRARRENQHAKIDDGGSEGMEPCETGKYQKFDVDYVSKMEGDNSDKDLGPPPGAQFVTLGDQEPKGLEPNVLEEKPGSSFQRTSAAEVMSSAPGEKIEQMVDPLHAMLLDMIPTLSQTRTEDASRVPLNKTEKAPPGVGSYTPKSDIPVPDAGAGTSGVPAPDPNGAPPKKKKVSYKDVASELLKDW >Dexi3A01G0027110.1:cds pep primary_assembly:Fonio_CM05836:3A:23844526:23846281:-1 gene:Dexi3A01G0027110 transcript:Dexi3A01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAHHHQAPYTLEMLGAYGGGGYAAKDEPRDDLFESVGYMALLNLPVFHCFCHKTLQQVKVNESSSSSSEAFLFESREPGFFFFQRTQHGSRSNNTS >Dexi3B01G0022410.1:cds pep primary_assembly:Fonio_CM05836:3B:17221701:17224301:1 gene:Dexi3B01G0022410 transcript:Dexi3B01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPSLVGAEMPRIQALLLSIIMECLVSTVRFTGAWPPSSPVHCATAGLTDCTVTNAYGAFPDRSTCHAAAAVYPASEGELLRVVANATASGTKMKAATRYGHSVPKLACPGGDDGLVIGTDALDHVLGVDTGRMEITVESGVTLHRLIDAAASAGLALPHSPYWLGVTVGGLLSTGAHGSSLWGKGSAVHEYVVGMRIVTPAPESEGYAKVRELVDGDPELNAAKVSLGVLGVISQVTLALQPMFKRSVTYHKDDDSNLAERVVAFAKEHEFADIWWFPGHRVAAYRTDDRVSVNATGDAVNDFIGFRPVPVSIIKSVRYSEDTAEATRDAQIRCTAAAMAEVSFTDSSYGLTTAHGLLPTPLGQTAIGYQNHIQSSGSCLDDTESDRLAACLWDPHVPNGVSVFQAGISVPVSKVAGFIRDVQALRDLDPGALCGLEVYGGVLMRYVRASTALLGKPEDCVDFDMTYYRSRGAPRLHEDALEEIEQMALEKYGGLPHWGKNRNAAFEGAIGRFGARAGEFLRVKGEYDRDGLFSSEWSDQVLGVNGGGGVSVVKDGCALEGLCVCSQHAHCAPDKGYFCEQGRVYTEARVCRYRDSY >Dexi9B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:9B:5446728:5449753:-1 gene:Dexi9B01G0008880 transcript:Dexi9B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTPPAAASLVVPTAVLCSPLQHPTAPDLLPLLPYAPLRCATPGCGAALNPFSRVHHGSARWSCPFCGAGANPFPRHLAPDALPAELFPTHSSVEYALPADPAEAGGPGPPALVFVVDAATEPAELAVLKDEVRRVVQGLPEGVRVALVTFAASVWVHDLGFEGCARVVVINGERELGSDKIQELLGVHRSRYNKLAMPRSTDTQRFLLPVSECEFNITSAIEDLSSMSASPRGHRPLRATGAAISTAIALLEGCCSPSTGGRIMVFTSGPATVGPGLVVETDLGKAIRSHRDIFNSSAPLFDKARDFYKKVAKRLTDHALVLDLFACSLDQVGAAELRNPIEVSGGLMVHAESFESEQFKSCFRHMFKREGTDYLNMNFNATMEIVTSKEVKICGALGPCISLRRKNSSVSDKEIGEGGTNYWKTSSLSSKTCIAFFFRVDCGHKAEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVYRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAQALMVERFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQG >Dexi4A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:4A:2250956:2255842:-1 gene:Dexi4A01G0003070 transcript:Dexi4A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEMARGYMTNAELESAVHAFGSRCSNISRIYSIGKSVNDFPLWVIEISDKPRQREAEPAFKFIGNVHGDEPVGREVLMHLANWLCNKYLKDPLATLIVENMHLHILPAMNPDGFALRWRGNANNIDLNRDFPDQGALVANYPWDGTSDTGKRYYECPDDKTFRHMASVYSQSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYINAGCFELTLEISDAKWPKAVELPVIWEHNRMSMLNLLASVIKSGVHGRIFAADTGRPIPGSVMIKGIDSKVRASRTFGDYHRIIVPGEKYEVVASMEGFRPKSTNIVLEQEAANLDFILDPDGADGQTKLPSNDYGCRCDNNMFHVQEAHLWLYLLVVCILLTLYLVFKRKTASRLLTYRYSLRRPVAV >Dexi5A01G0039020.1:cds pep primary_assembly:Fonio_CM05836:5A:39753304:39759119:1 gene:Dexi5A01G0039020 transcript:Dexi5A01G0039020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAAAARRKLGGYLRAVVSVDAASIAAASASIPRPLTPCTLSACGALPLAPLPDDGGASQRNKLRASGGSVVRLLRTLVANRCLEVEGTLLRVVTRRAGEGDADDGAAVEARAVVLLDVYLPVAAWSGWQFPRSRTAATAVFKHVRCNVWCRLPVITPCQHLLCLDCVALDSEKCTLPGCGNHYEMESPKSREKATENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLIEKLISLRETGMNYGNNIANSAGQPQAMLDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMHLGTKRSALAKFREDPTCMALVMDGTAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIQVETLAMRGTIEEQMLKLLQDSSACRNIVNKVTNSTENEGGRPHRSLHDFAESSYLAQLRFV >Dexi5A01G0038440.1:cds pep primary_assembly:Fonio_CM05836:5A:39440086:39441473:-1 gene:Dexi5A01G0038440 transcript:Dexi5A01G0038440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVGQLGAFWDVAAVAKERASAGFIFTHFNVDDGTGIKDKAYILALGLLMSQYSIVGYDASAHMTEETKNADWSGPMGIITSVALSSVFGWVYLVALTSLVTDDIPYLLDPGNDAGGYAVAQALYDAFHGRFGTGVGGLSLGSQVAFQAMLSIATVGQYIAYALPIFFRVTTARRSFVPGTFHLGNYGVVVGWVAVAWVALVTVLFSLPVAYPVAADNFNYTPVLVGGVVVLSVGAWVLHARFWFRGPITNVEL >Dexi3A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:3A:1684157:1685617:-1 gene:Dexi3A01G0002500 transcript:Dexi3A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSPQRNCRLAPPRRLHHDELLVDEILTRLPVAAAVRFRLVCRAWNKALTSDHFLKAHHARLAAARQPELLFLLPPPAANGTAASLYACSLRDGEPPSAARELLTIGNLSSGEHVLILSSPRPCHGLTLILDARHCFYYICNVSTRQHAALPPCEPAMCSPAGPSRIGMGFHLRPPPWTPFEISTAGLGFNHATGQHKVVRLFKTRIGETICAVCALGRPAGWRPCAGRVPPAAASFIAGLPPVFIDGSLYWLLDNQLDTTGGQPPAIMSLSVDDEQFGFVRTPPLLSRRICHLTDLDGSLCATVDLRHVAERYAIFTWSGGGGSTSWSVRCSINLQRLPRPIADEFVEEQDVVPLCSAGAGGNKKILLATGRHKVFAYDPERDSMERLVYMLEFVDIPRRHREAPLLLNISLHEERIACVHDPTPSDCSSSSSKRRLHFRPGNKTLGKRQVPSNDYHDDRFRHLRDLFKEMAGLPLPHMNI >Dexi5A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:5A:2079217:2079777:-1 gene:Dexi5A01G0002890 transcript:Dexi5A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDLRRACSEIANKLEKLVTIGAADVASKNSDDQQAAAAVETVRCACCGVGEECTAAYIRGVRASFCGDWLCGLCVEAVKERARRDPAAGGVAAALGAHEAECRDFNATTRLNPTLSLAGSMRRIARRSLDRRTASCQDRRSSLGGGEPAASNMAAVLARSASCDPWFMADVVKNEASGDRRRR >DexiUA01G0004960.1:cds pep primary_assembly:Fonio_CM05836:UA:9089650:9091062:1 gene:DexiUA01G0004960 transcript:DexiUA01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIHLLTLTTAFIIFAAIFRRRTSSHPGRNPTTIRISDASIAHVALIDHADAFSNRPMPPFPTGRPITHSISSLPYGPRWRAVRSNLTTGILQRSRLGILATLEREAAETLVNNLSSHTGCDVVLRDFIHGAVFGLVARQCFGDGINERDMSSMLQTQKDFLESSFTKVRPQEVSSWLTRLLRSWRQSPRHDDIFDRVDQVFIPPVVAARRRGSRHGNDVGFRSHLDSLLELQVPNEDDEHTLRQLRDEEVAFLCWEFLGGGTLSALTCLEWTIAHLAVEPEIQNKLHREVADAQHKGTMAYDELTLPYLHAVVLESLRLHPPTPFAVRHVHIDDSIAAALGKSSVIIPPGGAAVSFVLGDMGRDCKVWMNPDEFRPERFMEGGEGKGVSLVPGPNKEIKMMPFGGGHRRCPGVVMGMSHIKCFLAQLVYAFQWVSPADGGDTDFADVDGFRKMMKTPLRVRITPRSPN >Dexi8B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:8B:18294617:18299944:1 gene:Dexi8B01G0009970 transcript:Dexi8B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEDTGGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRLLYFEQAFWRTPEKPFRQLSSYAIRDAEEYKNFCDRPKDQRPQPEEVIADVAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWSGASYCTSDLSIHKNGEVHIWDKGFDEEGNQVWGTKAGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKKLDKAYVIDDQ >Dexi7A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:7A:27458330:27461101:-1 gene:Dexi7A01G0017900 transcript:Dexi7A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPFHLLAQPHHPRLPGLLPSCPTHYLPTSRLRFRARRRRPKRLAAVPLRLPSGSQADSPGRRGWEWAPSAAASLVLQLAVCSLLFLFPSSARAHVLPPPAAAAAAVEATQEEEDQEWEAALQKWKTKTYALSVPLRVVALRGSFPPSWIKDFFEAQGKRLKFSPELRANLDGIFSEMSQCVDKGQVQPKSAMSADIVSIGDSWLGYAIRKGLLEPVKNAEEQDWFRSLSDRWKVHLRRNQNGEADPNGSVWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTLDMEADVSGGREAVLKSFTQLQKQVQLFDSMNYLKSFSVGDVWVAVGWSSDVVPAAKRMSNVAVVVPKSGSSLWADLWAIPCATRFQTDRIGGRTRGPSPLIHQWFDFCLQSARSLPFRQDVIPGASPLYLENPVPEVPEDKKRKPKLDTNFVRGVPLPEILEKCEFLEPLSGKALEDYQWLVSRMQRPRLSLFGNVLQKISSVLDLKSRM >Dexi6A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:6A:1952050:1957618:1 gene:Dexi6A01G0002090 transcript:Dexi6A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGGVLDCSPLHLSRTRRRAPLPPAPSLCSCFSGEPRRFRPCLAASLTAALPPATAPRDEEPRESSINPALLLAAVAATAAAAAASPRAALAASGGVMGGRSYSSASRSSTSSSSSSFSSSSSSSSSSWSSPSSSSSSSSWSSPSSSSSSSSTSSWSSPSSSTSSTPQQQVEATHVALLGLAKSFQKDLNEIAEKVEASNQRWYKFILTGTADHMLHASSQQLLYLFKLIDSGDSWEHHFDKNSIEERSKFDEETLYNLEGIKKKKSYSRKPDGFRNEYIVLTILVAADGALKFPEVRSYADLEVVVEKLNSIPARQIQGIQVLWTPQDENDVLLEEKLQEDYPYLKPLCDD >Dexi9B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:9B:14486906:14489866:1 gene:Dexi9B01G0019840 transcript:Dexi9B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKVADASEYLAITGWGIDDVKLAKKAWCKKFDITPVNYEFEVRAMSSEKLPFILPAVFTIGPKISEDGRNRESLLLYAKLIAPHDKNSSHVRELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAEAIGVKEQAKVEAEVKVFENEREAVVAAAKADLATKKAAWDRQTKVAEVEASKAVAIREAELQMEVEQKNALRLTEKLKAEQLSKATVQYDMQAAEAKLYEQQKAAEARKAQADAQFFEQKLAEDAKLYAKQKEAESLATVGKAKADYVASMLQALGGNYHALRDYMMIDGGLYQDMARINAGAVSGMQPKISIWTNGGADGAGDLAAAGGGAAMQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGVLPAAKEEAN >Dexi8A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:8A:2329478:2334112:-1 gene:Dexi8A01G0003240 transcript:Dexi8A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPTNLASPASRDSASSSLRYLANGDSDVLPGSGSPEQPERSTGTRGLQERRGQQAGGSEEEEEERWSFLALLFELLRKSLHGCRAVGAGGGEGESGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEEVSLPQKDSSDENGHQKPSVTLDSLLEEGSRRPSFVNEEPLINSPAHSPEDKPNEITVAFTVQTSEALASREGSTSCSQPTLASSAAPTDASGVTATDSLQSKGSRSLNRRRTRKVKGQSGTRATPASEKSRGASIVSRINSKVERIEAWR >Dexi7A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:7A:12859909:12860561:-1 gene:Dexi7A01G0003400 transcript:Dexi7A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEPTAAAAGRKRKKPHGPSKTLAKKRSDPKSKSGEKKKKKEKLKHTTHNKEKQPRPTGPQAKEPAEPDQTAVGDGASGGGVLLSATMPPARQLEFLLRSFERAVKMRLSPLELDSYSEGCMVQLGEHAQDVDSFAHHVKDAFGVCWKKELCEGQVVEGEIGVGSPSLLLCDH >Dexi4B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:4B:21853275:21855928:-1 gene:Dexi4B01G0019650 transcript:Dexi4B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLKEADLKGKKVFLRADLNVPLDDNQKITDDNRIRASVPTIKFLMDKGAKVILASHLGRPKGVTPKFSLKPLVPRLSELLGVNVVMANDCIGEEVQKLAASLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAQGYAVGKSLVEDDKLELANTLIEKAKSKGVALLLPIDVAVADKFAPDAESKIVPASSIPDGWMGLDIGPDSIKTFSQTLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLAELTAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >Dexi7B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:7B:11967395:11971507:-1 gene:Dexi7B01G0004920 transcript:Dexi7B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASESTVKSLLGKLGSLLAQEYTLIRGVAGDLQYINDELASMQSFLRDLGGAAGDGRGHGHHDHRMKDWMKQIRDLTYDIEDCVDDSAHRIRGLPSDMCCYFLVNSAYEVVTWWPRRDVAAKISNLKTRAQQIAERRHRYGVDNPKSSVVVGDGGGGGAAAPGGGAAASAAAFNAAENQDSSLKLVTPKTPVGVQKDMEELEKWVTGPGQGGTTSVLSIVGFGGVGKTTIATALYHKFRDQFEHRAIVTVSQSSDIEGILSKILSQVKPHSSDDNHQHVSSPKKSLAAAARGLVDHVTALTGDRPKVLFRQAFFESKGTEDVMFMSEVEEFQSKSQEITEEPVQLPQESTASQATPKVFEEVLKMCGGLPLVIVTMAGHVACSSQKSTKEWIDLCKILLPESKKDRGSELTQDEAGRIVSHCYNDMPPEIKTCSLYLSIFPKGHEISRKRLTRRWIAEGFVNEKQGLSVEDVAETYFNHLIRRKIIRPVQHSSNGRVKTCVVHDMILEHIVSKASEENFITVVGGHWLMHQPSSKVRRLSLQVSDSKRAKDTEKMNLSHVRSLTVFENLHQLPSGSFKFGIVQVLDLEGCKGFKQQHIKDICGMLLLKYLSLRGTDTKLLPKKIGKLVNLETLDVRGTDIVELPTAVCNLERLVNILGGHKKTHKALKLPEELVKKKKMTALRILSGIEIVEGSVDLHHLIELRKLSIYKVNLIEDEKLKELSSSIEYLCGYSLHTLVIDDISSKFFKLLSEMSSPPKFLVSLAMSGKIIQLPKWVAQLDALNKLTLSLTALLTDNLSHLSNLKALFSLTFTIAAGKQDPETLAILVQNKLCSDGEVLVPAGGFDSLKLLRFSAPVLPLLSFSEDAMPKLERIELQFDILEGIFGTENLGNLKEVYLRLNEKHGEGMAKKIVHEVKSAVERIDMMKRPRITLDH >Dexi5A01G0024350.1:cds pep primary_assembly:Fonio_CM05836:5A:28223674:28224408:1 gene:Dexi5A01G0024350 transcript:Dexi5A01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAINYGFFIGSGLQLPAHFSPIYFPIGNAATGFFVIFAVIAGVVGAAAALAGLQHVRAWSSESLPAAASSGFIAWTLTLLAMGLAVKEIELHGRNSRLICMESFTIILSATQLFYLLAIHGGAR >Dexi6A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:6A:4956483:4957883:1 gene:Dexi6A01G0005490 transcript:Dexi6A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRIVVIVFIAVAVLLSLFSIFETSFPFSLHAKVFPKQALPTRSGYLPIPPTNASLFFVFHEATDPITPPSSTPLLLWLQGGPGCSGLVGNFFELGPFFVNPDGASLSRNPFSWNRRFGLLFVDSPLGTGFSAAASPADIPTGQPVIASHILSALQSFLSLDRSFRSRPLFLAGESYAGKYIPVAASHILDVNPTLPEHRRVNLVGVAIGNGFTHPVVQVATHADRAYSAGLINTRKRAELAALQAEAVSLTMAERWVEAAAAKERVLLALETMTGLATLYDVARQRPYQTAPVAVFVNRPEVKAALGVRRDGARWVMCSGAVRAAMESDVMKSALPEMETILMRRRGTRVLLYQGVRDLMDTVAATEAWMGELRWGGLRAFLDAERVLPGGDLAGYVQWSGMLTHVVVHGTGHMVPEMIERWVLEAVLPRRSRGGNGGTRRAGPPL >Dexi9B01G0024950.1:cds pep primary_assembly:Fonio_CM05836:9B:24138154:24139519:-1 gene:Dexi9B01G0024950 transcript:Dexi9B01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNLFIQALYQIAVLLIFNFDGKRILHLQNESRENADKMKNTFVFNAFVFCQIFNEFNARKPEEKNVFMGVTNNHLFMGIVGATVVLQILIIEFLGKFFDIARLHWRLWLLSIVIGAVSWPLAYIGKFIPVPVRPFESYFKRCSCRRRSRQDEEQGGRS >Dexi1B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:1B:10081575:10085473:-1 gene:Dexi1B01G0010450 transcript:Dexi1B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEGSSAKVKLSLPCVLVIVMAGLERFANKGVGSNLVTYLTDVVGMSTAAAAKSVITWNGVSFMLPLASAILTDSYWDPVSAWALLRTRVPRYTWFFPLYLTSLGQGGYQPSLQAFGADQLAIGEDDGDTESGTTAEEKGKVKGMFFRWWYFGMCSGSLLGNSIMSYIQDNFGWGLGFTIPTAVMALSAVAFLCCTPLYKHTQPKGAGNRTSSSSYSILKILKSIVASRKISLQSRDDDGNNGNEGALSELEMQKKPLKAEPPAASKAESQEDEAAPSVGKIILGLLPIWAILLVFAVIFQQPTTFFTKQGMLMNHTFAGGSFVIPPAMLQSSITISIILLVPMYDRMIIPLSNAITGSSDGITVLQRIGVGMVLSTVAMAIAALVESWRLHLATAGAGDEGATPRLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPDTMRTIGIGLYLSVFGVGGFLGALMITGIEMSTARPGNGRGWFSDDPQESHLDYFYWFLALQCFISFVVFTRLCKYYSIK >Dexi9B01G0033100.1:cds pep primary_assembly:Fonio_CM05836:9B:35298634:35300810:1 gene:Dexi9B01G0033100 transcript:Dexi9B01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQENQTIEPLLQQHQGDEDATTTLQGAGAAAGNGNHHHHRGSSSKLTLLPLVFLIYFEVAGGPYGAEQAVRAAGPLFTLLGFLVFPFAWGVPESLVTAELSAALPGNGGFVRWAERAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGRAAIPSVAGAGKARTATVVAMTVLLSFVNYAGLSIVGWGAVALGLVSLAPFVLMTGMAVPKVRPWRWTVEVEGRKKDWRLFLNTLFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIAASYLLPLMAATGATDATPEAWTNGYLADAAGIIGGSWLKYWIEAGAVLSSIGMFEAQLSSGAFQLLGMADLGLLPSVFARRATRFRTPWVAIAASSAVALAVSFLGFDDVVATANFLYSLGTLLEFAAFLCLRARQPDLKRPYRVPLPLPALVAMCAVPSVFLAYVCAVAGWRVFALAGALTALGVGLHGAMKLCRSKDWLRFNTAVVAAAEDRGGVQAAGHTV >Dexi7B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:7B:24023762:24025462:-1 gene:Dexi7B01G0018190 transcript:Dexi7B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKVHTSATTSLYSPACRTILLRSRRRRVAFPSRVRVSCSTVVIGLGGDSGCGKWTFVRRLASVLGDGAAPPRGGNPESNTLVGDTATVICLDDYHSLDRPARKARGLTALDPRANDFDLMYEQVKAIKEGRAVEKRVYNHVTGLLDAPELVVPPKILVIEGLHPMYDERVRDLLDFSIYLDISDEVKFAWKIQVLPTQLIPDEEEVGKVLRVRLIMKEDVDHFAPVYLFDEGSTINWIPCGRKLSCSYPGIRFAYGFGTYFGHEVSVLEMDGQFDRLEELLYVETHLSNLSTKFYGEATQQMLSHADFPGSNNGTGLFQTILGFKIRDLYEQIVAERAAVAADALKA >Dexi9B01G0031130.1:cds pep primary_assembly:Fonio_CM05836:9B:33557282:33562321:1 gene:Dexi9B01G0031130 transcript:Dexi9B01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTADSAQSRRSPPPPSPSPSMPRYQQQPSGRQPPPPGTDPFAFGVVAFIGICFVLISLSVPSSILHQVPEGHVGVYWRGGALLKTITTPGFHLKLPWITQYEAIQVTLQTDQASDTLCHLNVFILFVTMNQVRDIPCGTKGGVMISFDKIEVVNRLRKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPGTIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMILKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRLLKNYLDDVPRKDHSEL >Dexi3B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:3B:6855072:6856210:1 gene:Dexi3B01G0009900 transcript:Dexi3B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDPTAPVHWATTQYIAEYLIGNPAQQAEAITSSTPAGSNLVWTQCTACQQPNSNCFSQDLPFYDPSQSATSQAVSCTDTACGLGSETQCSSDDPPFRRLVGRLEPQQGNNAPVTSVPFVPNPTDYPYDAFYCLPLTGITVGATMLDVPEDVFELREVGPGQWAGTLIDSGSPFTSLVDVAYQALEDELTRQLGDSLVQPPAQGSDLGGGDLVVPPENYWGPVDDDTACMLVLESSDSTTTIGNFMQQNKHLLYDLANAVLSFQTADCSSM >Dexi5A01G0037920.1:cds pep primary_assembly:Fonio_CM05836:5A:39066247:39073543:-1 gene:Dexi5A01G0037920 transcript:Dexi5A01G0037920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEPSQVRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDVYGPSKYTGLLQATKDILREEVHESSYMFLLFKIVKFQGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKTRGVQGLYSGLSPTLVEIIPYAGLQFGSYDTFKRSMMAWNRYKYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIENSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWLESILM >Dexi9B01G0037230.1:cds pep primary_assembly:Fonio_CM05836:9B:38721846:38722757:1 gene:Dexi9B01G0037230 transcript:Dexi9B01G0037230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTAAGVSSPSASRKSLTRAAPSCAATTGIDALDVPTFQNTTCGTANPSAANLSQMPWYSVFHAAPVHPSKSMSLSTNTLFTIMSLCSPPATASCRILLNTPCRLAPFFCAPMAATSGRSFSLRLAPCTLCSWCVSLKVMTRPFHGSWLSSEIRRPSAMAAEAGWPAATPESPQTAMQLARRPSAASEAAMRRHWSSAVSSCCPLSAAAISSCGSTPSAGILAWPVRTSSKKLVTRCLSCFWNTSLYLRIATDGTRAYGSSSNLHAHMYTSGSSSALRSGTSTSRRRRSRTR >Dexi3A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:3A:11133763:11141943:-1 gene:Dexi3A01G0015060 transcript:Dexi3A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASALPLHPTLPPPSLRFRPVRAPAGTRLLAEPLRAGRPRLQAAPPAPASAEEVAEEQDATPPLRLLEPPQEEDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPEVNMDWDLRLACLLLYAFDIEDNFWQLYGDFLPGPDECTSLLLAPKEDLMELEDEGLSSEMLKQQQRAIDFWQKHWEKVAPLKLKRLASDHERFLWALGIVQSRSVNLKMRMGAFIQDANVLAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSAVNSKFMGRYGFSSPTNPWELINFSSPAKIHMDSFLSVFNIAGLHDELYHNSALSSVETNFVDGAVVAAARALPTWSDGDVPAIPSVERKSAQALQEECRQMLDSFSTTIEQDEQILDSNVHISKTREIAIKFERLFRGAASAGGSGSSRERRPVVPAALPSVETNYVDSQIVMFCSDMTGTNLVCPKRPQLQSSGAPTVADMGGFLSSTAA >Dexi3B01G0023680.1:cds pep primary_assembly:Fonio_CM05836:3B:18443100:18443780:1 gene:Dexi3B01G0023680 transcript:Dexi3B01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSEPLLASADAMADAAVDHRGLPAEHGATGGWRSALFIIGKSKSYLAPVRFLLTAAATIAERFAFYGVSSNLISYLTGPLGEDTAAAAAGINAWNGVAQLLPLLGGALADKWLGRYRTIVLASLLYILLLVV >Dexi9B01G0033050.1:cds pep primary_assembly:Fonio_CM05836:9B:35241168:35242348:1 gene:Dexi9B01G0033050 transcript:Dexi9B01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVHVSVLPLLLLLLLAAALPAGALRFDLRAGHTKCISDEIKVGAMAVGKYHIVAPEDGTPSLSSSSQQQELPDSHRISLRVTSPYGNSLHFAENVHSGNFAFTASEAGDYLACFWAPDHRPPTTVAFEFDWRTGVSARDWNAVAKKGQVEIA >Dexi4A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:4A:18415019:18415422:-1 gene:Dexi4A01G0015420 transcript:Dexi4A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDPSLLLHTPSGVTTAEVDPPHQPAPPAEPPEVMYRTRAVDFLGRRTSVIYQNVNGPCPLLAICNALLLKNIISLNPDASEVSQQKLLSLVADRILNCTDAEQ >Dexi4B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:4B:553561:555006:-1 gene:Dexi4B01G0000860 transcript:Dexi4B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVTVASRRAVKPPPRPRERIPLTAWDLALLPFDYLQYGLLFAPPPIPTAHLVDHLHAALADALAVYYPVAGRLATEQLTTGCSVSIDCASQGAEIIHAVAADGVSVADVVPPDDDIPAAVVRSFFPLDGAINYDGHELPLFVAQVTDLADGVFVAFAYNHALSDGAALWDFLNTWAQIARAGLAAPPQTPGAAAAATASRAPPVFERWSPDGGAAAPIVLPYTDRTWLTKKTHPPPPPPELREMMLHFSPESLAGLKERAREELLAAGDEDGATAVTRFQALSSLLWRCVARARRLSSEQETVCRFAIDNRERLRPPLPAGYFGNSVYTISTEAVVPASELHARGHGWTAAAVGRAVAAHTDAAIRARVAAWMADPAIVPPATETTTRPLHGNGVVIVHSPRFDVYGCDFGWGKPLAARSGRAAKYDGRVSLFPARDGGSGGVDVEVALAPENMAALELDGVFWAAVTPDASRQLLWK >Dexi5A01G0017800.1:cds pep primary_assembly:Fonio_CM05836:5A:21176572:21177512:-1 gene:Dexi5A01G0017800 transcript:Dexi5A01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELSDVVSALSYVLTELPSTLRHLETLTRRSEELERATLRNRALNFLYIRHLRLELNFGYLLGADVLDLAFLLQAAPIIEKLEFHMLMCTTLQRYHKCHSKLRSLPSHRHPHVKLVEITGFYGQKAQLELALHILRNSAMLKAMKIHPKPTFTRVDGPLFMKYGLCFVDGYKVAKKYLRKADHHGVVSVIEVRRRDVEGVNPYHLVDPYWINALAEDES >Dexi8A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:8A:11100516:11100770:-1 gene:Dexi8A01G0008910 transcript:Dexi8A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLMHHYRSRSCLLPHDHGPINRHLRLTFRFTSSSILISGSLLLLLFFSCYKLLCRFRLLSGHHRRSSSKATAQAQNHLRYF >Dexi6A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:6A:28385417:28388626:1 gene:Dexi6A01G0021000 transcript:Dexi6A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAMLRWAAAALALAALLAASPASAFYLPGVAPNDFQKVQSPFSPTSLLLRDMCCMCSNRYIHICLQKDQLLVKVNKLTSTKTQLPYSYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKVPIDDKQAKELKEKIEDEYRVNMILDNLPLVVPIARQDRDAVVYQGGYHVGVKGQYAGSKDEKVFIHNHLTFLVKYHKDETTELSRIVGFEVKPFSINHQFEGQWNDKNTRLITCDPHASKLVTNSDTPQEVEAGKEIIFTYDVGFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRFYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFAFFVLTGAIGFCACFWFTRLIYSSVKID >Dexi6B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:6B:22609538:22610563:-1 gene:Dexi6B01G0015260 transcript:Dexi6B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPSTPTLPANPALPSPGQGGPPRSRGALLRPRAVRAAPRPPSRWSVGSWRDRPALQQPEYPDKAELDEVLRTVEAFPPIVFAGEARTLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIVKVGRMAGQFAKPRSDDLEERDGVKLPSYRGDNINGDAFDLKSRLPDPHRLIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQHCEQGDR >Dexi2A01G0022470.1:cds pep primary_assembly:Fonio_CM05836:2A:34338097:34341209:-1 gene:Dexi2A01G0022470 transcript:Dexi2A01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTPPQCGRAPLRHHGRREAHPAAAAPGVVVRCARGAPQVSGLEAATKAAAARAHGGGARPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATRYLLFEYIAGPKTECTVQVTGSYRLLSSKWVMMNQNTRRLQRVSDDVRDEVFIHCPRTPRLAFPEENNGSLKKIPILSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEGKSMNGSASAAPHKQEEQQFLHCLRFAGNGDEINRGRTVWRKLAR >Dexi3A01G0033660.1:cds pep primary_assembly:Fonio_CM05836:3A:38360585:38361652:-1 gene:Dexi3A01G0033660 transcript:Dexi3A01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDQKHRPTLATTIPDDLLISEILVHLPAKSLARCRCVCRSWRAGIAGAAFVRRHRDLSRARPPSSVLIVPREYDRLEYRPRATTTTEISFHHRLVLPSPPGGQHMATEAEAADLMLDKAWPDGITNVIFPTHCDGLVAISTATDRVLVCNPATRELVALPLGTHNAELDGDGEPNAVPVAIGFDTWRNSYVVARYYFYRMYGEMVVGGDAPGEWSQDYDIGHEVFTLGSGDGDGGSWEVTDHPPDAIGAQRPICRRRGVFEVVAYPPTGAWRPFDEMADLDDVKLCYIHAVADASFHVWMAEEEDDGPDLHWSLRCCIDLPDAVPKRPFVS >Dexi9B01G0043260.1:cds pep primary_assembly:Fonio_CM05836:9B:43262298:43264178:-1 gene:Dexi9B01G0043260 transcript:Dexi9B01G0043260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDGGRSPAASGSGSSSEDDGDAAWKAAIDSVASVGFGVPSSNGVAKAASGGSGEANNDVEHPLEGQPHAPGLKLYQIKVRNMLDDMLEKNLEIVKAPCLNLIDPTETEGGIKLFKKAPPGIKMDSMDKLHVQLKRPRIVPGEEVDEKSKKFRHMLRSVVVDGGDILVSAKKVSERSLARLEAREAAAKEKAKREEERVQKLKKVRGEKWLPSIARQMKEEKAWEQRRQ >Dexi7A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:7A:26168816:26170388:1 gene:Dexi7A01G0016300 transcript:Dexi7A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKVYNRYVKRTSKFMAHDEADVCNIGDRVRLDPSRPFSRHKHWVVAEILRRAKLYVPPSATMSTENDTKVHQTGTAMK >Dexi2A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:2A:14624783:14625914:-1 gene:Dexi2A01G0012610 transcript:Dexi2A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQQDDGTVNAAAMVLAAAAGATTSTGHQRLRQELDDDLEHASCAATKKTRWWSRLKATLACFRPHGHPRRIIDASLEPGAEHHAAASSGTSTYGHRHTLHAAQPALAFVAPPPSPASSTLTWGSPSPAGLMNATTSISSYSSPTASIFAVGPYAREPQELVSPPAFSAGLTEPSTAAITPPVEPTASSSPRLLATHTTPSSPEVPFARFVWPSFAVAADQQHYSGGGTEGLLNAYQLQPGSPILVSPGSTSSSPPSWTVMMQSMVRARIRISEDGSGCGSGSGSRYEDEMAKSGGNFVFGNNVDAAAGGGEVGGGGALALGNATEQWPFHLAHV >Dexi1A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:1A:2772905:2775428:-1 gene:Dexi1A01G0003810 transcript:Dexi1A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAVHFLTHCTAVLPKQIRQTKEKYNNLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTNKSGNRISWGMSGKEADPAALFRLYINYGRHAEATNLLVEYLESFASSRQADVLHRKKMSAAWFPYTAVERLWCQLGDMQRAGHSVDQCDRLKKLLHGALMSHLQQVVVDSEDVLSSVGGGQGMEG >Dexi8B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:8B:4090465:4093005:-1 gene:Dexi8B01G0004490 transcript:Dexi8B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPPSSCAGDRAGHHCSAPPLRPPAFDPLDPDADPPPRALTRDQVRRCKKALKVLEKKLTQPEAILQEYRSLPVRKDVLLNTDLFTVARDAANYEDRNRHTDVLPFNENRVRLQSYLINQTSRNDYINASLVKTDGKDQTKFICTQAPLPKTFEDFWQMVYENRCPVIVMVASVAVGKCDEYLPLNKGHEDYGTFNVRIMRTKQTGHLVLHGLEVRHCKVNVACLALLFKLNSINIVMATVTEFSCSAGIGRTGTCITILNTVERILRGEWAALELVDTVRKFRNQRVGMISREGQYMFCYAAIVEELKEL >Dexi7B01G0014770.1:cds pep primary_assembly:Fonio_CM05836:7B:20889940:20894180:-1 gene:Dexi7B01G0014770 transcript:Dexi7B01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVWLCGLFSLLAVAAAVAAADGEYWEPLIRMPTEEGVGAAAAAPASGEDEVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGVIINHPKGENVYTGVPKDYTGDQVTTQNFFAVLLGNKTAITGGSMPNLPYLYAGDFIKVIYVEACESGSIFEGIMPQDLNIYVTTAANAVENSWGTYCPGMDPSPPPEYITCLGDLYSVSWMEDSQAHNLMKETIKDQYEVVKTRTSNSNKYKEGSHVMEYGDKTFKNEKLFLYQGFDPAHANVANTLLWPGPKGAVNQRDADLLFMWKRYEQLNGGSEEKLKALREIKETVRHRKHLDSSMDFIGRLVFGFENGPKMLEAVRAAGEPLVDDWDCLKRMVRIFESQCGSLTQYGMKYMRAFANICNSGISEAEMRESSISACGGYNTARWSPMAVGHSA >Dexi5A01G0025210.1:cds pep primary_assembly:Fonio_CM05836:5A:29027874:29028409:-1 gene:Dexi5A01G0025210 transcript:Dexi5A01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPFLALQCVQCDTMQVKQEKKSSNKWVCVVCNQRQSVLRVHARGYRAADLRRFVQDANLARGRREFAPLAEPEAGWDPAAVEEQRDEFPTEKKRTDWSEYLEDTGEHRDGCGGTGADASDEGVFC >Dexi9B01G0021970.1:cds pep primary_assembly:Fonio_CM05836:9B:16642728:16644192:1 gene:Dexi9B01G0021970 transcript:Dexi9B01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRAVSPSLLVVIATAVLMRPFFCAADASSTTTPMPVVGIEEMPSPPPANQTKPPALFVFGDSIVDSGNNNAITTLIRCNFPPYGQNFPGHNATGRFSNGRVPSDILASRLGIKEYVPPYLGTELSDFDLLTGVNFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLNRIAGAARAGEIVSTSMYMVVTGTDDLANTYFTTPFRRDYDLESYIEFVVQCASDFIKKLYGHGARRMNIAGAPPIGCVPSQRTNAGGLDRDCVSLYNQASVVLNTKLEKEIKRLNGSDELPGSVLKYIDLYTPLLDMIQRPSAYGFEVSNRGCCGTGVFEVTLTCNRYTADPCRDPSKFLFWDTYHLTERGYELLMAQIINRYGL >Dexi9B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:9B:1696829:1698414:-1 gene:Dexi9B01G0002990 transcript:Dexi9B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWNQHQQQQQQPHHGQLPSGGGGGAGAGVEVHAHHHHHQLPPMPPPPGALMAPRPDMAIAITASGGAGAAAGGSSVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSSNSSSAAASASASGTGTSSSTSSTTTGGNSAAAAAMMAPPQGHHQQLPFLASLHHTLGGGDHYSTGASRLGFPGLSSLDPVDYQLGAGIGMDQWRLPQMQQFPFLSRHDGSGLPPSMSSIYPFDVEGHGDGGGGGFAGGHMLGGGSKVPGGGSAGLITQLASVKMEDNNPAASTAMTTSDSPREFLGLPGNLQFWGGGGGNGASGGGNNNGGGAAGANAGGGGASAPGNSWVDLSGFNSSSSGNLL >Dexi1A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:1A:16289213:16290742:1 gene:Dexi1A01G0013300 transcript:Dexi1A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDREKEREAELESAMYTNCLLLGLDPAVLGPSTPAARVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKAISSLLDFDKVWPIFDSAQSREFRKIVQGIISDLEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPVTKARMTNS >Dexi8B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:8B:19126444:19127470:1 gene:Dexi8B01G0010520 transcript:Dexi8B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVDGCFLLEVMRATGLDRTAKNTGDYAPNDPIFSHHGVLYMVPYIRCDMLMLENQLPLLLLERLVAVESSKPPDDSKVHVDVTPSAAVGCQPGSPRTRPPPPEHALRPLPAAALAVGDVPEADIIHSAVELYEAGSRFKKSHSESLHDIRFRHGVLSMPAVTVDDSTEYMFLNMMAFERLHVGAGQGSPYR >Dexi3A01G0020560.1:cds pep primary_assembly:Fonio_CM05836:3A:16319992:16325643:-1 gene:Dexi3A01G0020560 transcript:Dexi3A01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHRLSLEHIWLVVALCFLAHGKTTSGLRPHVSHPGLPIRAVSLGGWLVIEGWILPSLFDGIPNKDLMDGSSLQFRSLAWNANLTAEQGGGAAVVAVPDSQINASYATFKVWRIDETTINFRVLNKQFVGVGSNGAVLATAAAPGQAETFKIVRNAGDKNRVRIRAPNGRFLQVKRDYSVTADHGESTSWGDDDPSVFAMTKVGDMHGEFQLCNGYGTAKATPNHWNTFIVEEDFKFIASNGLNAVRIPVGWWIASEPNPPAPFVGGSVYALDKAFKWAEKYKLGVIIDLHAAPGSQNGYEHSASRDGSQEWGTTDASIAQTVQVIEFLASRYGASPSLLGMGLMNEPQAPGATLDSLRKYYKAGYDAVRRHAPRTYVVMSTRLAGDSGELLPFAGGLPGAVIDVHYYVFNTTFTNMTAQQNIDFIKTNYAADLRDLSTRNNPLSFVGEWVAVWNVPNATKAEYQRLAQVQLEVYGQATFGWAYWTLKNVNNYWSLEWMIKNGYISLKH >Dexi4A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:4A:4073934:4078595:-1 gene:Dexi4A01G0005620 transcript:Dexi4A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASMPKNVCVVGAGMSGLAAARELRREGLAVTVVEQRGDIGGQWLYDPRTDTDTADPLGATAPVKVHSSMYASVRLISPRECMGFSDFQFVPRPGAGRDARRFPGHREVYCYLKDFCAAFGLEDAVRLNTKVVRVAMAPPPSETTPPVGYYSSDVKWQVRSVRVEPDGDERVAVEEVFDAVVVANGHYSQPRLPSIKGMDVWQRRQLHSHSYRVPDPFHGEVVVVVGCGESGLDIATELCGVASGVHLTAKSMEAATTPTPVVSKLLSNHAEIQLRPPVDHLCEDGTVAFADGSCVVANTVIYCTGYVYSIPFLDTGGLVTVDGGGERVGPLYGHTFPPAMAPSLSFVGVPTAEAWEFVYRHSDLPRMEDWKVEVFMTSIVKNKEEDREAFRDRDDDSESVREELRRWRRVAGAQYKAALAAAAASGGDHADDAGAASVHNKPPAPCQFSVLSGAEPQNVA >Dexi3A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:3A:5136174:5137776:-1 gene:Dexi3A01G0007460 transcript:Dexi3A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVDGGAARAAGRLGPGETASGGGGGEADHVRRLHRHAPADHQCTSTLVKHIKAPVHLVSFPLPPYSLLASRRTYLSGIASLPGSGVPSSIPDALVSDRCVRSPLGVSLSAIPNGSVPWIHRALFRLLAADCRVADAIRSQVWELVRSFDQPQRYKPFVSRCIVRGDQLEIGSLREVNVKTGLPATTSTERLEQLDDDEHILGVKFVGGDFSSFHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEH >Dexi2B01G0034390.1:cds pep primary_assembly:Fonio_CM05836:2B:41636137:41637657:-1 gene:Dexi2B01G0034390 transcript:Dexi2B01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEQRQLPLELKTTQSKSTAEAGKGGGCIERRLGFAAALRWRTDGPAILLLAYERRHMIFLPFASSFCSRFLFLPPPRTFCSCPQASSSAAGYSEQGVRAARAAAQTSGVLVQTELEVLFDPGREYHDTMPLLPQQAPPTSSAHLDLESDHHDNEFAHHSLKSDHHGSESSRRSLESEHHDSKSARRSLDRTTKGGYSVCVTTWWIHAGIPRTEARQARRPLARWSSGAREKKNAREKREKTREE >Dexi5A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:5A:17276635:17277163:-1 gene:Dexi5A01G0016400 transcript:Dexi5A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPLVLSVAAKTKGSPARTTKVALALPEQWQTVAEIKRARRRHMSQLYAELGVLLPHLPPRAERARILDEAIAYVAVLRGMVAALKSHDAFAVAGRRAVADCASASGEVFAVGKASCFAARMPAARRPG >Dexi3A01G0036350.1:cds pep primary_assembly:Fonio_CM05836:3A:41764156:41768129:-1 gene:Dexi3A01G0036350 transcript:Dexi3A01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVIDSAPPELRQEFHASMIDRMKDRVDKSAFWGNLLRHVMQQGQKNMVEFFDLLLSPASKILNNWFEVSEVLKATLATDAVIGTMAGVNTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVQIVTNAEVSQVMVNENTGKVEGVALADGTEVHSPVVLSNATPYKTFADLVPANFLPEDFLCAIKTADYSSATTKINVAVDRLPQFHCCKDINPEGGPEHMGTIHIGSESMEEIDVAYREAAGGVSSKRPVIEMTIPSVLDKTISPPGQHVVNLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLIKTK >Dexi9B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:9B:15239236:15239799:1 gene:Dexi9B01G0020500 transcript:Dexi9B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHAATLNASMRHSVERAMSSTTASAVAASLSTTSSGTSPSPHVQNARFRLMKSSSTAAWSPRAKLRSRCAATSATAGTDVCAAARARWKKPEAEEREAERAWRWVST >Dexi9A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:9A:6250442:6257529:-1 gene:Dexi9A01G0010300 transcript:Dexi9A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKPTREMEEEAEEVRQEVEGAGGAEEIPDAADTGSGGEEEGKEEEEKEVTFDELGLDEQLKRALRKKGIAKATPIQQEAIPLILEGKDVVAKAKTGSGKTFAYLLPLLHELLKLSSEGRIRKPAPNAFILVPTRELCQQIYNEASSLLEFCTSKLKVVQVTASMSDKDITVALSGPPNILVSTPACVATCISKGIMRGASIKESLSMMILDEVHFICLLKCFLFMHIFFSQADLLLSYRCEDDLKALIPHIPRSCQSILMSATSSSDVDKLTKLLLHNPFILTLTEVGRAKDDVIPKNVQQFWISCDAKDKMLHILALLKFELIQKKVLIFVNSIDAAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDTKTKEEKQTDKENKKESKVSRKHLQQTLDSEFGVVRGIDFKNVFTVVNFDMPLDPAGYVHRIGRTGRANKTGASISLVSPEEDSTFEEIEHMLQDVEKKDRDCISPFPLLTKDAVESLRYRAQDVARSVTTRDIQEARCQDIKNEILNSEKLKSHFEENPRDLDLLKHDKVLSNKEIPAHLRDVPDYLIDPKTKEASNVVKLLRAAMGIDRPQRRKRQGFKGGSGKSRDPLRTFSAEGKSRRRGRKDREGEPDRRKKSKKTES >Dexi4A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:4A:5185005:5187586:1 gene:Dexi4A01G0007060 transcript:Dexi4A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding FELQFFFSVMKMLASKSCAFSNKRKGTCNDGDSSISDTWSLLSEDIASYLHRSVVSLVLTDDLCVAYGFHSLFTGIAIECQGDVTKFVTTGTLASALLTGLYKEEIEVYCEGNVVTGHLYEYDSSSQLAVVKVESALNVQCVRLNRSMECRPCKQLIAVGRRFDKLITASGEISYGFEDREFLIFSRISKTPAYQYANRAHHGHVYIIKLLRGSMFKHNINVCCFGLSSSFEDYGQSKRVSSEISQNVVAFASFRGDTRIFACTGVFIDFDDKYPKILTSASLVRDPGDQNKIIVDLRVSVPDYTVICVLP >Dexi2A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:2A:33217980:33222941:1 gene:Dexi2A01G0020990 transcript:Dexi2A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRDVAGKRAIHFSSARPPARRRIERGPTDTLATAAPELVERPPEHRAAATTWSYNAAARKDWPFDLESSEQCCAQSQVDRGIAIMSVSHADEHEISLCDPNSEDDRRRRKIGSLPRKAIHALRKKRARRRVTDFRFPAAISIEDVRDAEEERAVAAFRDRLAAQGLLPDKHDDYHMMLRFLKARKFDSEKATQMWAEMLRWRKEFGVDTILEDFEFSELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPSKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGFKNFSKTARELVQRMQKIDSDSYPELIHSMEGGGSMRETKQISDGYERSGSSLRAENLKASDVSNAESESDVDDASLLVIHKSSDHSFLTPVREEVKGSDSSTSYSSDSKHLQDMNPGSPQGTQQLEKVPIQLACQKHFSTFGWLHYLAEQAKGETVREEAVSACLQRLEKLEALCNHLMSKPPDMPKDKELVLLQSFDRIKSLETDLERTKTALQAAIMKQMELEDTVEALQRRSVSVVRRRFCCS >Dexi5A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:5A:3765283:3766632:1 gene:Dexi5A01G0004920 transcript:Dexi5A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDMHGHNGGVKGFVSNLVGGGKGHGQYGQGYGYEHGGGGYGHGGYGHGYPPPAAGAYPPPHGAYPPAAYPPPQHSAPYGTCSAQIIERTPARHMGSYHTGHGGGGHHHGGYGGGKHKGGMFGGGKYSRNRAALYARPYVRTCTAADEEYANTVRYGTCGTRLE >Dexi5B01G0031240.1:cds pep primary_assembly:Fonio_CM05836:5B:32043392:32044605:1 gene:Dexi5B01G0031240 transcript:Dexi5B01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGRRRWRWSGRSLLKLAGLCLAVAICLFGVRSLACSDSGCRARTVLLRSDIWRRTAAPCGNQGCSTGGEQWRRRRLMAEGPGSYPPRCTSKCGDCSPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >DexiUA01G0000630.1:cds pep primary_assembly:Fonio_CM05836:UA:2300432:2310336:-1 gene:DexiUA01G0000630 transcript:DexiUA01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLQPSPVAELHPLEFVDGRRTTRWSRAPDEAPTSRQTRILEDLLAKKHFRRGKAEGLFWQTVGTKLALAIKELSSIAGAAKDLQELQDRVEEIDIWLQTVGNQAKRNDQSSNWLKKLKDAAYDTEDLVHEFHMEAEKHDATVADVKNIVVKYLWTKPKSVVFQCKTAHKIKVINKRFDAIVKERSDYSTIANSMPILRSVLHISKTTGEVPLWTNVDETSIVGRDQVKNQIVSKLIDSIDQQNINIVALIGLGGSGKTSLAKLVFNDGNIIKENFEVKLWVHVSREFSVEMLVKKLFEAIADDKPDHLPLQRVSRIISEKLAGKRFLLVLDDVWTENRICWEQFMVHLKCGASGSSILLTSRSRQVAEAVDATHTCDLPFLSVVDSWKVFQQSFGISMEGLDPEFLQVGTIRFPPPLSSTSSSAVVAAAALSLPLALQPPAPSPFCHLVDADDTLSLPTTTSPPPPPIHLPLCHRTAATFCFVPLAAGGYSELKGRVAQRELLGLLASSPTATPAPLHGSVGLLKLKPLKDDGDIDTIVVAPGTDYTQSVDPTTGVIVISFGTIFSGESRKVILTLTLKNSTVTTSRYDAELADAQNIFTAQGKRHVTGAPEAELAPRAEADAIRQARLKADAGDLDEARYTLVDAQSALEHVVLSDGQKLVKAVSPQRYVAAHVIMRCSLLRDSCMSNLHVPAPLPGLALVSLAAVTGAQLPHYFYQSPTPVPVVFKLYLLRHCCV >Dexi9B01G0038140.1:cds pep primary_assembly:Fonio_CM05836:9B:39306644:39309014:-1 gene:Dexi9B01G0038140 transcript:Dexi9B01G0038140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSTPADTAAPSPPAASTGEAAPSPASSTPAQNPTAAATAAAGATDLEKKMRRAERFGTPVVMSEEEKRSNRAERFGTGSSNGKDEEKKKSRAERFGLASSSSSDEEAKKKARLERFGQGANVDKAEEEKRKARAARFAETSSENGKGKSKP >Dexi9A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:9A:4415832:4416671:1 gene:Dexi9A01G0007590 transcript:Dexi9A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMELLHAVIPEKQQHEEEASLATSSATATGGEEGAHVPPQGWAKRKRSRRQRSEEENLALCLLMLSRGGHHRVQAPQPPVVPAAAPTAAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPASSSAAAADLAAAAPVVVEAPVPITAVPPPIEVREPATSSTAASSEGTAVSSRVHKCSICHKEFPTGQALGGHKRKHYDGGAAAAAASTDHLMVAGETSEVGSSGNGSAAARAFDLNLPAVPEFVFRCGKAGKMWEDEEEVQSPLAFKKPRLLMTA >Dexi4A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:4A:21605851:21609209:-1 gene:Dexi4A01G0017750 transcript:Dexi4A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVLSSSAGAASRVGGVGMELVAALAAHPGLRDAAGRLKATPESRISAGQEGAPRHVYVFQREYATVDPARVEVVEGVSCVPVTPDSVTSCAVKVNFVFLGQGKSCVLLSGTFDDAFDLPLFDPRTSVSHLDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFSDASTKVVRSSGKKHIKQEGYSYPLCCKIVEVLHKFQQQFSLRSFCVLDNNTTTDSLGNALPVIGGFVVQTSSGVVTPAGFDMNSRCPDEVVRRIRVSVSSYDPAWQGRMPDWADIASSLNQLSDSEVLMQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKPRVFHRTSDGSWSRYS >Dexi5B01G0025150.1:cds pep primary_assembly:Fonio_CM05836:5B:27252963:27255085:1 gene:Dexi5B01G0025150 transcript:Dexi5B01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARVLLLILALAAPRASGSSTSPASATNPDAAALLAFKSVCSDRAAALGSWTESSSDPCTAKWRGITCQQRQSSSPSTSPPRVRRVVLEGLDLAGHAAALELLAGLPALSSLSLKNNTFTGALHAVDFSRLAPHLKLLYLSGNGFSGRFPDSVLRLRHLRRLDLSGNRLAGTIPPEIGHRLRALLTLNLARNSFVGPVPVSLEAMGNLAELNVSGNHLEGRIPSRLVATFPFSSFAGNPGLCGAPLARRCNNVPPQQIVYSNNGGGEASNGSGTVKRGKRRRDRWMVVMIMSAVGAAVASLVAAALCAVLLLKNKKPATRRPRATTSSMSSGNSTTVAREETVRFDGCCVEFDVATLMQGAAEMLGKGATATTYRVVMRAGGNNDTVEEEEEQGEAVVVKRMRRRREGASREDERRRRELAREMGTWRHANIVSLRAFYASAEELLLVFDYIPNGSLHNLLHENRGPARVPLDWQTRLKLAQDAAHGLAYLHGVSGGNLSHRHLTSSNILVDGSGDARVSDFALLHLLAPGESSSSSSSLMQRQDVHDFGVILLESLTGRQASGDGDLPRWARAVVREEWTSEVFDVELLRGKGAEDEMVALLQVALLCVAEDPGERPRMAVVAKMIEEIRERGSKRSNKYSASPSQVGHSYESSPCVSEDTTRSTPASSS >Dexi2B01G0021180.1:cds pep primary_assembly:Fonio_CM05836:2B:31083380:31085417:-1 gene:Dexi2B01G0021180 transcript:Dexi2B01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAITPCAGGSNDAVPHLDDGVVSEFLYRLPSKDAYRLAAVCTRWRALVSSPAFLSRHLSPRPLLLPADDLPNTLILQPRKKIGYTHLSLVSTDPAAAARVALNMPLLPKYTDAGRRRSRKDKRPPPPTPVIELGEGDEASVFFERTVPVLDFTIAASHGRLLLGRGRTRYFVCDPEANRWLALPPPATIPPTRDTACGFCYDLDAATGRLVVSFTVVLLSRARFRRVLVETFSSATGRWATSVLGAQGVSRCLGAASPGIHVGGCFYWLSHRRGRVIRYDAGVGRATVVREPPDAEGSVTRLARSLGSTGGQLRLCGFDLRDEHPERSLPHEDGLEGVHGVWVMDAASTGAWQRVHEAVVGDITSWYFKNLAGKETPLDFAGAPGGRIVVDRDHYLLRYDLESGEKVELMSIYRSDGNLGALYRRYRVFPFYGSIHPKAH >Dexi6A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:6A:5307714:5308116:1 gene:Dexi6A01G0005790 transcript:Dexi6A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEEVAHATSLHLLSLFVSFCFGIGVTPEVDSHCAVGALDDAAPKFDDANVVLVGSVLVTAGDQPPHLADPQLTPKIATTPFNLFCFSSGT >Dexi4A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:4A:22759574:22759846:-1 gene:Dexi4A01G0018880 transcript:Dexi4A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFDRGQSRVVDLVACSLKAHPACAACHLLFAAVAATRSSLCSHDVLSLGDLKNLVADDNAKPAGGAAGRVRGHAPAGDAQRGQGELA >Dexi3B01G0021160.1:cds pep primary_assembly:Fonio_CM05836:3B:16058656:16063993:1 gene:Dexi3B01G0021160 transcript:Dexi3B01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRRRASPPLLMLALALLAASVPAVFCAINPQDGAALNALLSQWTNAPASWSSASDPCDGGWDGVTCSNGRVTNLRLLSNNIQGTLSNSIGQLSRLTYLDLSFNIGLGGPLPASIGSLSQLSVLILAGCSFTGSIPQELGNLQQLTFLALNSNKFSGNIPPSLGLLTNLNWFDLADNQLTGSIPISSGSTPGLDFLTKTQHFHFNKNQLSGTLTGLFNSKMTLVHILFDSNQFTGPIPAELGTITTLQVLRLDRNGFTGAVPPNISNLVNLNELSLASNQLTGSLPDLSSMTNLNVVDLSNNPFSTSVAPDWFTTLTALTSVSIGSGNLSGAVPKGLFTLPQLQQVVLSNNAFDGTLEISGSINKQLQAINLMDNHISAINVTAGYNNTLVLVGNPVCTVDPTVSGKPYCNVQEENTMAYTTSLSKCSSMAVCSSDQSLNPANCGCAFPYTGKMIFRAPFFTDLTNTNSDTFQQLENTLATNLSLRNGSVFLSDIKFNSDNYLQIQVKLFPSSGVSFNVSDLIRIGSYLSNQIYKPPPNFGPYYFIADVYIPLAGTSSPGGKKSQISTGAIAGIAVAGGLLVIALIAMVLFALRQKRRANEALTGRTDPFASWGVSQKDSGGAPQLKGARSFSFIELRNCTDNFSDTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDHLKAKVADFGLSKLVSDTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIESGKYIVREVRLAIKPDDRDYYGLRGLLDPAIRDSARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQIEVAGAEGGGGVSSAGSSANEFNGAGGGARAHPYSDTEITRGSYGDNGSEYMPYFEVKPK >Dexi5B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:5B:8645905:8654132:1 gene:Dexi5B01G0012220 transcript:Dexi5B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding THLAGKVFEYNEQGPLPTDLVVEFCKDVQKRSQEGYIEFGRFVSSRSFLTGSDPIDYIQEFHNGDLVHCETTFEKMGRTAQVDIICGHCSNRMCKATRIEEACADTYNLSDEQGCICSISYHERMCRFIMDSLSWDLNNFIMDLGTILIVFQTEQIHVSLYLSAISSHSGLVRKPIFKVNPVKGLGVTLTGSGFSGARPTTLSPAVLNVDWRCEIPRSSPYEVNVLIPVEGYDPIEFTLTKECGLCRIFALLKWGILNIRSCIFSFLNGKWSKEHILFISMSSFNFFVRNIFIVLSSLLCCGGFIYKTRVEHLNGLDALPGMAILSALLDAVGRRRGYLLVDNPSENHASQASWEQAHGTTRTAQRTNDTACGSI >Dexi1A01G0029260.1:cds pep primary_assembly:Fonio_CM05836:1A:34809225:34810819:-1 gene:Dexi1A01G0029260 transcript:Dexi1A01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAPARRRAALAAVITLILLASVSFLLSATATGSSSSSSANSPASRLAVVQRHAEDHAAVLAAYTAHARHLSAVSSAQTEAFLSISARLSALASRLSVSTVGALEKEVKAQVKRARSLAGGAGGAKEAFDTQSKIQKLSDTVFAVGQQLLRARRAGVLNARIAAWSTPKSLHCLAMRLLEARLANASAIPDDPPVPPPEFADPTLYHYAVFSDNVLAVSVVVASAARAAAEPSRHVFHVVTAPMYLPAFRVWFARRPPPLGAHVQLLSVSDFPWLNASYSPVLRQIEEGNRDVALLDYLRFYLPEMFPALRKVVLLEDDVVVQRDLAGLWRVDMGAAGVNAALHTCFGGFRRYGKYLNFSDPFVKGSFSPRACAWSYGVNVFDLQAWRREQCTQQFHRFMEMNENGTMWDPTSVLPVGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDISGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >Dexi4B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:4B:20238649:20240631:1 gene:Dexi4B01G0017810 transcript:Dexi4B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDGSSNGNQQSARKEIRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASFKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVYGQWGRIALQASVIINNIGVLIVYMIIIDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLVLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNKRFAIITIALLAVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKVLAVTMIVLAVLSNSVALYSDTMNIFRKKEVA >Dexi8A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:8A:24420190:24420601:-1 gene:Dexi8A01G0014120 transcript:Dexi8A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTHSSDMSVSMAAPRGRSERSTGGREREATAEGREARKARWVEEAVEAKVMSVEVTPWRVARRLASSAMGIRWPIPGVTSIATCGGELPWKEVPLPLAAACSINSGGECCSGGAG >Dexi1B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:1B:21198712:21199126:1 gene:Dexi1B01G0014790 transcript:Dexi1B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVCRRLGVAVRGRHMAVAERAIRTRLPLVDQVLEVPDGLLCFQSSPPPRAPEPYGRLVVVLNKADLAGTSETAKWMACMKKQSSRGRPRASQLIRTRNAPRR >Dexi4B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:4B:7213487:7215841:-1 gene:Dexi4B01G0009910 transcript:Dexi4B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMEISTDDVPHEEDVLREPFKLGAWLRYLSAHAASPLTKRASIYERALRSLPGSYKLWHAYLTELAAAASPLPITDPAHAALNAAFERALATGNMSRMPRVWHMYATALIDQRLLTRARRALDRALRALPVTQHRRVVWPLALRLANLPGCPAETSTRVLRRYYLQFDDRAHAEEFVDFLVSAGRFREAAEQLAAAIDDEGFCSAKGTTKRQLLLDLCDLIAKHPEDVVGMPVEATLCGAVRKFPEEAGVLWTTLAGHYARKGIHDKARDVFEEGITTASTVKDFRLVFESYLNFEHALVAAELDKGAQEESSDAVLQGCWLADNKDDADMNMARLERLLERRPELLNSVQLRQNPHDVQAWHERVKIFHGNPMRQATTYGEAIWSVDPTKATGKPHTLWIAFAKMYEGHGLLDTAREIFRRATQVNFKSVDDLATVWCERAEMELRHHNHEAAIDLIRKATSEPSIEVTTRVAAADARGEPPAAQMKLHRSLKLWCFFADLMETHGTVDSVFSVYEKIHDLGLATPLLVLNHAKLLREHNRFEDAFRVYQRGVTSFKHPHAEPIWAAYLTAFVERYGTSKPERVRDVFEDAVRQAPAPGKKAMFLRYAKFEEDHGLATRAMKVYEDAANAVPSCDKLGVYDVYVARATALFGALKAREVYHRAISGGGLPDEDARAMCVRFADLEIGLGEVHRARALYVYASGFGHPGAQPEFWRRWNEFEVRHGDESTFREMLRLKRTMAMEGGVQGTEIGTLKRPCAGLQVEDGGMLQQECKRIRAAY >Dexi9A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:9A:1944882:1945319:-1 gene:Dexi9A01G0003670 transcript:Dexi9A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQLAPRRPISTRRRASVGGEVAMAMERASLATASFRVYYSLRPGAVPFLWESTPGTPKSAAAIAAATASVAPAEVAAGAGLPPISPPPSSYHAYSQLKKTGTRGRCRARSLCPAAGRVLRALLAALGIRRRSSRRRPASPQL >Dexi9A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:9A:14540937:14542999:-1 gene:Dexi9A01G0019570 transcript:Dexi9A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGDEAREGRDLDLSSSDGATKFEDAAAFEELMDTQFDEARDGKDLDLSSNDAATKYKEAAEILNAALKLVVSECKPKAKIVNLCEKGDAFIREQTRKVYLNAKRKIERGIAFPTCVSVNNTVCHFSPLSTDKLFLEENDVVKISMGCHIDGFIVVAAHTHVITNAPVSGRAADVVAAANTAAEVAMRLVRPGKKIRDVAEAVEKVAAAYDCKIVEGVLSHQLKQFVIDGNEVVRVSNGHTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDVNETTIYKRAVDKNCYLKTDAARLMFSEISQKFPYHAFHR >DexiUA01G0009140.1:cds pep primary_assembly:Fonio_CM05836:UA:17625670:17627097:1 gene:DexiUA01G0009140 transcript:DexiUA01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKPLPPAQQERVLTVLSIDGGGIRGLVPATILACLEAQLQEKDGPDARIADYFDVIAGTSTGGLIASMLSAPGKDNRPLFAAKDISQFYLDNGPKIFPQRRVGCCVPSMVQFVVDSLRPGPKYDGKFLHEKIETLLKDTKVGDTLSNVILPTFDVKRMQPILFNTFEAEREAHKNARLVDSP >Dexi7B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:7B:23367322:23369731:1 gene:Dexi7B01G0017400 transcript:Dexi7B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYSLTRKDEDDGGDGDAGGAVDELLWSRDLARHAAGEFSFAVVQANDVLEDHSQVETGAAATFVGVYDGHGGAEASRFISNHLSAHIVRLAQEHGTMSEDVVRNAFSATEEGFMSLVRRTHLIKPAMATIGSCCLVGIIWRGTLYLANLGDSRAVVGCLNGPNKILAEQLTRDHNAGMEEIRQELKSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKKEFALDTSTARFHLSEPIRRPVLTSEPSICSRVLSSQDRFLIFASDGLWEHLSNQQAVEIVYNSPREGIARKLVLAALKEAARKREMRYGDIKKLDKGVRRYFHDDITVVVVFIDHELRQEGSSSVPELSVRGFVDAGGPSSFSGLNDIT >Dexi1B01G0028910.1:cds pep primary_assembly:Fonio_CM05836:1B:33328920:33332649:1 gene:Dexi1B01G0028910 transcript:Dexi1B01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSSSSPANGSPSMPAFGSATLPTTGTSTMPASGGDAMAGLLAPSYATMSVQPPTTPAGLSSSNRCVENTFSATTSTAPFRHAPPILYGIRRIAASTVMKKLAADLRDVGHPVEDSQLVLNLLRGVNPRFTNTADDIANATVLPDFASARDLLALKELRLANESKVAADTAFIASSGSSSCSAPGGCRASAPAGRGGGYKPKKKKGGKQAPYGQQAGARLQVPRPTGPWICFNLWATSQGAQTGSGGGWRAPGPHGLCSPAGAGQTWDQARLIAALNQMALQNPGWVMDFGASGHMSVSDGILLSRLPPSHSFITVDNGHQLPVTCRGNSTLPTTAVGDLYTIPAASSTAPHANLAVSSTLWHHRLGHPGPAILNTLRHNSSIACNKKSEVAARITDFCTYATTQFRLPVRNVQADNGTEFVNQTLAALFSSLGIHLRLSCPYTSPQNGKAERIIRTLNNITRTLLIHAGMAPPYWTEALATATYLLNRRPSSAVHSAIPYELLFQKTPTYDHLRVFGCLCYPNLSATAQHKLAPRSTACVFLGYPSSHKGYRCLDLSTRRVIISRHVIFDETRFPFCLQDSPISDLDFLLTGRAAPVPPTTAASPSSAEAPSLADVEQPRPTLPAYLDDPAILYQGPVLPPLVPLAAVPVSVPAAPPAPSAAGPDQLRPTGQDVPAPRPLRTPFAKVYASCSHGLSFGATSGCSPFSTDATSTSTSFLADWDFTVDEAHSNYRSALADPNWRAAMANEYQALLDNDTWRLVPRPPGANVVVRGFSQRHGVDYNKTFNPVVKPATIRVVLSITTSRSWPIHQLDVKNAFLHGHLEETVYCQQPPSFAEPSALDAVYLLQRSLYGLKQAPRAWYQRFATYIRRLGFSGSASDASLFVYKDGDDIAYLLLYVNDIILTASSATLLQRITARLHDEFAMTDLGELHHFLGIAVTRSPDGLLLSQRQHAVDLLQRAGMAECHPTATPVDTHAKLSATDGPPVADPSEYRSLAGALQYLTLTRPDLAYAVQ >Dexi3B01G0028950.1:cds pep primary_assembly:Fonio_CM05836:3B:27630437:27633482:1 gene:Dexi3B01G0028950 transcript:Dexi3B01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYVCADAERARRETLASAPTPSRRVVRRLRAFSLLLSLDSVRLRSIDDVRQLLGDLPTEMPSFLSDSTIRRFLWTKSWSTEQAAKALKEAVKWRRQFKPEKIHWDDLPGIENEARRAYIPDYLDKNGHTVFVVMTSLKMVKHIIEPTLQEKLKFVYSDNSESQRIMADMFDMDKLESAFGGRNTASLDIIKYAERMRRRDQLRGASKKNINDNISSPNQE >Dexi5B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:5B:631491:634644:-1 gene:Dexi5B01G0001000 transcript:Dexi5B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHLSPPPPLNVHSSGSASNHSGGEGRPPQSPGTLLSFSRSTFTYEQLVSATNGFSDANLLGQGSFGFVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGANRLLVYEFVPNNTLEFHLHGRDRPTLDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDLRFEAKARPLMTKAFEDGNHDALVDPRLGSEYNDNEMARMIACAAACVRHSSRRRPRMGQVVRALEGDVSLDDLNEGVRPGHSRFMGSYGSSASDYDTNQYRDDLKKFRKMALGGSGVQSSSQHTPTTSEHGQNPSAASSSDGHQATKETELGSVKKDDDSSGDSQSQAL >Dexi1B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:1B:6223737:6224282:-1 gene:Dexi1B01G0007510 transcript:Dexi1B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHGFVPRIIHRDMKSSNILLDENMEPRISDFGLARIISAYDTHVSTMVAGTLGYIPPEYALTMKCTAKGDVFSFGVVMLEVLTGRPPTGQEVEEGGGNLIDWVRWMIAKGREGELFDPSLPDSGLLREQMVRVLATALECTADEPWKRPTMPDVVKGLRMAQLMETEPHDHQGCVVLT >Dexi8A01G0006410.1:cds pep primary_assembly:Fonio_CM05836:8A:6565763:6566697:1 gene:Dexi8A01G0006410 transcript:Dexi8A01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYDNLPSELSHNAHPAHNLKLITTDGPPFRCDGCKEPGNGHGRRYRCADDGCDFDLHIACALAASTVKHPLFGGHELKLLPSPPPPVDATFCDACGGRAPGLVYHCSDKDIDLHPSCAALGMEVSVGVVNGERRSMQLCWEGGELRRCGVCGDHRSSSSSTTSRKEKKFWAYRWRRDDGAHACVHVACMKKVAVMSWERAYQDSIGAGIVQASVRVVFGAMMQKRSPVIDTGIRGLLIK >Dexi7B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:7B:13463826:13467409:-1 gene:Dexi7B01G0005890 transcript:Dexi7B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPHVAVVAFPFSSYAPKLLAVARALATAAPSATFSFLSTAASLDRLRASAAILGNLRFVEVSTGFGEDDDDDTPPWRRMELFVNAAEAGGLKQSLEAASAAAPGAAKVSCVVGDAFMSMAADAGVTWVAVWTGGPCALLAHLRGDALREDIGDQAASRGDELLTSHRGLGSFRVRDLPFGGANASGDMHSVMDTLLKRMAQRLPVAATAVALNAFPGLFPPEISAALADALPNSLAIGPYHLLLGAAAPAAGDPHGCLAWLDRQPQRRSVVYVSFGTVAAPPPDELRELAAGLEATGAPFLWSLRRESWPLLSPGFMAAAKGGLVVPWAPQAAVLRHAAVGAFVAHSGWGSVAEGMAGGVPMACRPFFGDQRMNARAVEELWGFGVSFEGGRRPVARGSVAEVVAALLAAGGEEGDRARELRAKVDEAFLPDRGSMNNFRKFVDIVCAPAPRRGIMEAASNKIVHRDEITEDAFTDSAQIPEKYIRTDEVSAGAVVGEDEAYELPVIDMARLLDPELSASETAKLGSACRDWGFFQLKNHGVDEAVIQRMKDSTVQFFGLPVGSKNAVAVRADGFEGYGHHYSRMSKLDWAESVILITQPVEDRNMELWPTDPPMFKLALEEYSAEVTKLMRQLLVSMAADLGVDAEALTGAFEGKRQSMAIHHYPPCQHPEKVIGNTAHTDGLGLTVLLHVDDTPGLQMLRGGRWFPVRPAAGALVVNVGDILHILTNGAYRSVEHRVVVGADRGRTTAVVFQDASVGGMVAPLPELLVANGGGEKARYRSIPRFEYLKVRFSALAKRKGFLDSLKL >Dexi6B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:6B:21236630:21237401:-1 gene:Dexi6B01G0013540 transcript:Dexi6B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEPVQQHHHDPCSICMESMAPSDAHRGGAACAHAFCGACLSGHVRAKVETTGAAVVRCPDPSCGGALDPELCRAALPSDVFERWCAALCESLFAAARRTYCPFPDCSEMMVADNDDDGGGGEDCVAQCECQVCRRLFCARCHVAPWHAGVACDEYQRLHEGDRGKEDMMVLEMAKGNSWKRCPNCQFIVEKVWL >Dexi9A01G0031780.1:cds pep primary_assembly:Fonio_CM05836:9A:36702940:36703656:1 gene:Dexi9A01G0031780 transcript:Dexi9A01G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVGSIVVGEVVNRTSSFLIGRHRESLSPREGVERLEMAHIKMEAALEVSARWHGAAADVPLLRWRRKLRRAADECDAALHRWKLRELQEEEARERLARAPLPSRVAHHVLRFATALLGLVRIGGGGGGGEASRARAAVERFERLADGAAEFLGYVEFNSAPRKRRLSSSSFGFGPVVTELGDGGVEYGGMLQGGGGARHCLLGSGSQSPAEMWMESKPCRAYGKRRASEKKLLLV >Dexi6B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:6B:1521746:1523181:-1 gene:Dexi6B01G0001760 transcript:Dexi6B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPKVVIDNGVCPTATLVQVDSARKRGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGRKLTDDSVISYIQQSLGTWNGPASPTAAMEGLTALELTGADRTGLLSEVFAVLADMECSVVEARAWTHRGRLACVVFLRGEDTSDDRVSRILARLGHLLRGDPTGDESPAGAVAAVPASGVDHADRRLHQLMAADLEHHRGQAAFPTPAVTVDSWAERGYSVVTVQCRDRPKLLFDVVCTLHDMDYVVFHGTVDTTGDQLARQEFYIRHADGSPIRSEAERERVSQCLQAAIERRSLEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDVASNVFYVTDAAGEAVDQSAIDAVRERVGTDRLVVGEEPRPQLYQKPSPGDRDHGVGGLGLVYLGNFVKRNLYNLGLIKSCS >Dexi2B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:2B:8256969:8257625:1 gene:Dexi2B01G0008110 transcript:Dexi2B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLDDMLYKLGSMWEDSKPCLACIAVTTALLLFAVLLAGFGFVRHVSISVTDASLTDFTLTTSPVTSLSYNLSLTLVIHNPNWAMGLKNTKPLEASYKFDGQVFDRYELAGEGVKHPPGKTRVFHLGGGGGGGAPARPVVLGNAGEGEYRRESRTGVFEVEVDVTGEVRYTLRYTRCKIEASCPLKLQLGGGDGGGGGAVVFQRVKCKLAKAEKNC >Dexi5B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:5B:512793:513308:-1 gene:Dexi5B01G0000780 transcript:Dexi5B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAYPVATPLSPPGHLVCSLRVSAPLTDAEGRWHAGAIAAAVDNMSSTVVFMADGAHVITVHVALSYFSPAHLDEEVEMEGRVVSRKGKLTATAVEVRNKQSGELVALGRQWMTPAAFPTSTNRSSKL >Dexi2A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:2A:33422522:33424421:1 gene:Dexi2A01G0021290 transcript:Dexi2A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSANKMVEPGYQEILSKDIACTSAEGVTVRVIAGNAMGVRSPVRTRTPTLYLDFTVRPRAAAVRQPVDASWNAFAYVLEGEGVFGAERCAPVGAHHLLLLGQGDGLEVWNKSADKTLRFLLVAGEPIGEPVAQLGPFVMNTEEEIDMTVDDFERYANGFEKARHWKSQAMVALGVE >Dexi8B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:8B:25115686:25117262:1 gene:Dexi8B01G0014520 transcript:Dexi8B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTVVLIVGAGPAGLATAACLSRLSVPYIIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPKDAPTYIPKDQFVKYIDNYIECFNIRPRYHTAIESCSYDECRKCWFSVARDSKTSVVIRYTARFLVVASGENSAENIPVIPGLRGFAGDVIHSSRYKSGATYSGKNVLVVGCGNSGMEIAYDLASHGANTSIVVRSPVHVVTKEIIRLGMTLVQKIPVSVVDDLIVRMSNFIFGDMSRHGIVRPKVGPLLLKAETGRSAVIDVGTVDLIKNGTIQVLGNISKIKGNIVEFEGGKKGAFDAILFATGYKSTANTWLKNGESMLNNDGLPKKQFPNHWKGANGLYCAGLAKRGLAGIAIDAKNIANDILSSYHA >DexiUA01G0024750.1:cds pep primary_assembly:Fonio_CM05836:UA:51374542:51376650:1 gene:DexiUA01G0024750 transcript:DexiUA01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIHDHEGNVVGEREIDSRLIQKNDVIKIVPGGKVASDGFVIRGQSHVNESMITGESRPVAKTKGDTVIGGLATPTAVMVATGVGASQGVLIKGGQALESAHKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAATEDNSEHPLAKAIVEHAKKLHAEENHIWPETRDFISVPGHGVKAKINDKSVIVGNKTFMLSSDIDIPMEALEILAEEEEKAQTCIIVAMDQEVAGIISVSDPIKPNAHEVISYLKFMNVESIMVTGDNWGTANAIGKEVGIENIMAEAKPEQKAEKVKELQLSGKTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVVTAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGALFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKKFGN >DexiUA01G0007950.1:cds pep primary_assembly:Fonio_CM05836:UA:14866919:14867110:1 gene:DexiUA01G0007950 transcript:DexiUA01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDGSGYAADIDSIREAQARIAPYVHRTPVLSSTSIDAIVGKQLFFKCECFQKA >Dexi2A01G0025550.1:cds pep primary_assembly:Fonio_CM05836:2A:37165064:37166851:1 gene:Dexi2A01G0025550 transcript:Dexi2A01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAALQRSGSNSLASLLRAENADDVVAATVADPKLGDRDNARRRGSRARSRRRSCLRLPLGAAGGCRVCDCDEMDSAAPAAAPRRRPSGNYDEEDEDDGAVQCFSWKKGGAAAAVPHRASGASGDAVALKEEQEASSMAELPDDVTEMVLGRLPLASLLAARCVCRRWRDLTVAPQFLRMRRDEHPAPHRAPWLFLFGVDAGDVGWGAAPTPAVHALDVDARRWRRVGAAGLKGRFLFSVAGVGDDLYVVGGRSGGCGSDATEVRIHKGVLVFSPLTGLWRKAAPMRTARSRPVLGVFEMSATCRILHARTAAEKEKHVRRGKSRLGRGASAVYEDPHRLSLRRLRLKDMLNDDTDSMDLASSHGNSAGHESEEGQQQPRLAIVAVGGRGHWDEPLVSGEIYDPLIDRWVEIAGFPADVGLACSGAVCGRVFYVYCESDTLVAYHLDRGTWTVVHTSRPAPPRLRDYAPTLACCASRLFMLCVSWCGDRHGPAVSRRGEKVVRKVFELDLASLQWSEASAHPDAPMDPNAAFAAGRDRIYAVEMFRIFGKVLDFVTACRVSDAEQRWSRIGRENAATEADAMSCRMKSMAVLHL >Dexi5B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:5B:17511230:17515270:-1 gene:Dexi5B01G0016810 transcript:Dexi5B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRPAAPAPPPWRCSGVSFSPHCGDTFHADKIFHVPLPVDRAARFSLSAKRSASRLVQSRTDRTDFRSDVIHAANEHGHDLTRSISDLQEVVFSCFGKACLFSSCIIYVLPPAALAEPCEQEYSLPNMPLLFAIAMVGATVGGLLARQRRGELARLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLIAYLRTGKNYLRNQAPDKAFPEFKAALDLAQALGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >Dexi2A01G0030630.1:cds pep primary_assembly:Fonio_CM05836:2A:41511441:41514561:-1 gene:Dexi2A01G0030630 transcript:Dexi2A01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPKADGGGGKKHKESKPKEAKQKKGKKPNPFSIEYNRSAPAGGVPRLAVLREPTGRDIAARYELGGELGRGEFGVTYLCTDRESGEALACKSISKKKLRTPIDVEDVRREVEIMRHLPKHPNVVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVRGMLNPDPKKRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEAADIKDMFEKMDLNKDQMLNFDELKLGLHKFGHQIPDADVQILMEAADADGNGSLDYGEFVTLSVHLRKIGNDDHLHKAFAYFDRNQSGFIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQMTNTR >Dexi9B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:9B:3235817:3242105:1 gene:Dexi9B01G0005520 transcript:Dexi9B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVKPATVVLAVNGRRYEAAGVDPSTTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTEFSASSCLTLLGSVDRCSVTTSEGIGNSKDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKAADRPAPPVGFSKLTTTEAEKAISGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGSEPAEVGKLPGYNSGAVCTFPEFLKSEIKASVQQASSAPVPVSEDGWYRPKSIDELNRLFESNSFDENSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGIELGSVVSLSKAIDVLSDGSMVFRKIADHLNKVASPFVRNTATIGGNVIMAQRLQFPSDIATVLLAAGSTVAIQVASKRLCLTLEEFLQQPPCDSRTLLLSIFIPDQGSDGITFETFRAAPRPFGNAVSYVNSAFFARSSGGGLIEDICLAFGAYGVDHAIRARKVEDFLKGKSVTSSVIFEAVQLLKATVSPSEGTTHPEYRISLAVSFLFTYLSSFAKSLNEATKINVPNGTHTNGVTNGGIQHSPEEHLKVDSNDLPIRSRQEMIFADEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPYAHVKGINFKTSLASKKVITVITAKDIPSGGQNIGSSFPMLGEEPLFADPIAEFAGQNIGVVIAETQKYAYMAAKQADVEYSTENLQPPILTIEDAIQRNSYFQTPPFLAPKPVGDYNQGMSEADHKIISAEVKLESQYYFYMETQAALAIPDEDNCITVYSSTQIPEVTQNVVARCLGIPFHNVRLITRRVGGGFGGKAMKGIHIACACAVAAFKLRRPVRMYLDRKTDMIIAGGRHPMKVKYSVGFKSDGKITALNLDLGINAGISPDVSPLMPPAIIGALKKYNWGNLAFDAKVCKTNVSSKSAMRGPGDAQGSFIAEAIIEHVASALSVDTNTIRRKNLHNHESLAMFYGESAGEASTYSLVTMFDKLASSPDYERRAAVVEHFNRNNKWKKRGMSCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGQLCPDGGDCLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRLSCAALVERLKPIKENLEAKAGTVEWSALIAQASMASVNLSAHAYWTPDPSFTSYLNYGAAISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYTTNSDGMVINDGTWTYKIPTVDTIPKQFNVELINSACDQKRVLSSKASGEPPLLLACSVHCAMREAIRAARKEFSVCTGPANSTATFQMDVPATMPVVKELCGLDVVERYLESVSTNGPTSVKA >Dexi5B01G0032540.1:cds pep primary_assembly:Fonio_CM05836:5B:33156776:33157956:1 gene:Dexi5B01G0032540 transcript:Dexi5B01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLQCGQGNTEDVLSPTCVSSILGIKMQDVAAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAELGLGDSMDRNVPCNVPVDAYHPLNVSCGWWHTLVLAESPT >Dexi6B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:6B:23852366:23858870:1 gene:Dexi6B01G0016560 transcript:Dexi6B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIKPSGNVQTTCCCCSTAPRRRSPLFLLATSSSFSSSSLVQLGRPGRGRGRKKVSIGCVASSSSARSETPSSSSGGADVSPAMAAAATHVKAVATIKVTVGGFLSSLRPSRAIDDLKDLIGRSLYLELVSSQLDAKTGQEKPTLRSYAHKVAVNDDEVTYEADFDVPADFGDVGAVLVTNEHYFTEMFLENIKLSSDDSSSSDDVSATAAPVLDIRCNSWVQPNSGDGNGNGDEPGKRVFFANKPYLPSQTPAGLVSYRKKDLEEKRGNGHGERKSTDRIYDYDTYDDLGDPDANPDKARPVLGGSKQFPYPRRCRTGRPMSTKYPDTETRKGSNYVPRDEAFSEVKQLQFSVTTLQQVLHAAVPAVQSTLINPNLEFPSFFVIDKLFEDGVKLPKAEDLGFLRSALPRLLQTLRDSPGDQVLLFDLPANVKKDKFGWMRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITAEVLERQMGHVMTVAQAMKQKRLFMLDFHDLFLPYVHKIRALKNTTMYGSRTIFFLCDDGTLRLLAIELTRPASPTQLQWRRVFTSSTDTTESWLWRMAKAHVRAHDSGHHELVSHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMEINALARSALINAALDKLWNFDTEALPADLIRRGMAVEDPNAEHGLRLTIKDYPFANDGLLIWDAIKGWVKAYVARFYPDAGSVAGDVELQKFWNDVRTVGHADKKDASGWPALDSPESLSHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPMDAAAYAAFLDNPDQALRECFPSQVQATLVMAVLDLLSTHSPDEEYLGGPETAPWNDDGEVRAAYGKFNARLKEIEGIIDGRNTDRKLKNRCGAGILPYELMKPFSQPGVTGKGIPNSTSI >Dexi6B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:6B:23104931:23108283:1 gene:Dexi6B01G0015810 transcript:Dexi6B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARRGRRWKCRPRDVVLALLLASVLAPLALYSGAPISPFSGPIRTIARSEMGKRLNALSQDRSGVVLKEPIQEGVVVAVRHGTQLGQNGVIRQYVDQRSVSDRSSGSKARNGNILWNGEDKKEIESEDPVKRGHGADAAITVKEGVAQLGKESDRSGDVEARHHIAAAMRSNPNTLLKKNPASHVFHIVTDKHNYAAMRKWFLANPIGETVVQVQNIEEFKWLNSTYSPVLKQLESHFMINYYFNTHQDKLGKNPKFQNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLSYLWSIDLKGKVNGAVHTCGETFHRFDRYLNFSNPLIAKKFDRHACGWAFGMNMFDLTEWRKQNITDVYHYWQNLNANRQLWKLGTLPAGLVTFWNHTFPLDRSWHLLGLGYKPNVNERDIEHAAVIHYNGNRKPWLEIGLARYRQFWSKYVDFGNVYVRECNINP >Dexi9B01G0046280.1:cds pep primary_assembly:Fonio_CM05836:9B:45565111:45567349:-1 gene:Dexi9B01G0046280 transcript:Dexi9B01G0046280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSKPWQSRPHRTVVFLSLGLLAAAAAAASATAQGLRSTSKQRRLRSRRAFQLGRRSNRSSSPSVFRVSSTRRPPPRPYSASTPPRELTTSLLGVDSSPSARSTFEKKFVYVNGQINVIGNEIKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLVKENIQLNRKVLSELSMHEPYSFKALVDVSRNAFPGNRPVAAKEGLASIL >Dexi7A01G0022290.1:cds pep primary_assembly:Fonio_CM05836:7A:30642750:30645662:1 gene:Dexi7A01G0022290 transcript:Dexi7A01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLARLGAALPRARPRAAARVLPPVRWDAAALGASRRAVLNGKRKLPVAASQKLNLLSHALIFVQVNMLRERNKSYGAIKFVDISSKDYSPDDNQGLDYETAMGRIHAILSDGTIVTGVEAFRKLYEEVGLGWIYAVTKYEPVATMANAVYGVWAKYRMQITGRPPLEEIMASRKAACECKDDKVCKM >Dexi3B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:3B:13606540:13615567:1 gene:Dexi3B01G0018330 transcript:Dexi3B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLAHDSMALKDYKLAHDSMALKVKGLQDSTDARYKALEKRLAALEGSQTKATPRRLRRQKSEILRAQYIDVRELRFQEIIPLEVGYMIGTEDTYPVANLQLTLLLWQLKKELGKGCAFDGWVEGAISFPPTYKYEFNSEKYVSDATKSGRRTPAWCDRILSYGKGTRVLSYKRAELTFSDHRPVTAIYMADVEVFVHRKFQRALTFTNTQVDDNLLLEKEAFLGLLNHETSNLLAQVPSKVIQCQILESA >Dexi2B01G0005670.1:cds pep primary_assembly:Fonio_CM05836:2B:5218665:5220635:1 gene:Dexi2B01G0005670 transcript:Dexi2B01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPVSPPSGTVVVVAERPAARWSIRWALDDAVKFVWSNLAVPCLLQPFRDQLQKLAGGFLRRHARRLRGVADPYLTVTVAEHDGGEGTMRPRNDAYGGQGRARDDAGRRRFVLSLGDSEEVVDEFRGATVWWFHSAAPRRDYHHHGDVVAVEDDAAAATAGRTYRLTFHQRHRDLVVDSYLPHVCREGRAILSATRRRKLFTNTGERYAKSSWSHVVFKHPSTFETLAMDPRKKKEIMDDLDAFRNGEDYYKRIGKAWKRGYLLYGPPGTGKSSMIAAMANYLDYHIYDIELTSVSTNTDLRKMFIDTKGKSIIVIEDIDCSLDLTGKRGGSKKKQLPFPVTLSGVLNFIDGLWSACGGERIIVFTTNHVDKLDPALVQSGRMDKHIEMSYCCFEAFKFLAKNYLDVDAHHLFDAVAALLKEVDITPADVAELLTPKQRAAADEHADSCLAALVEALQKAKEEDAAQAKAKKAGGKKGKKVEVADGNGAGDVRVLLREDDVAELLTSKCAVDDEGSCLDGPVEVLREAEETAMAAAKNASSDKEDEGVVEDY >Dexi5B01G0029480.1:cds pep primary_assembly:Fonio_CM05836:5B:30707650:30709574:-1 gene:Dexi5B01G0029480 transcript:Dexi5B01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSSTSHRSHGTSACSAAPPPPLTVTDRVACGWATTTSPTAAAESTSSITFQDPYRSSTHQPLSDAASSLGDPPVDWTQAFLSGRSDTSFQAVLQDDMVRAQSAAAADQTPALNHPLINAGGGFFVDQAQLEPSPYGAPPPSQQALFDTAGMYGDSQSSIRYGGDTASMHQFPQLLTKPSSVAAASAPMQSLSGSYQLPFGGPLPSQLLLQALQTKPSSRSSLTVKDACAPAARKSVTESSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSSLSSPYLKNGMPLQQFQKKGSEDAKDNSETKPDLRSRGLCLVPVASTYTVAAETVPEFWHPTFGGSFR >Dexi2A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:2A:28764516:28764733:1 gene:Dexi2A01G0016960 transcript:Dexi2A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANTDRKLAEGILLMEKNKAVKILCEDELVQVLGMERHLVQNEPMVSSRTGAAAGSRWVDMQLT >Dexi6A01G0005000.1:cds pep primary_assembly:Fonio_CM05836:6A:4572313:4578142:1 gene:Dexi6A01G0005000 transcript:Dexi6A01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRRLLHPRSPVPHDSPPGRQGGALLASQALLPDHPAITNGRPAVVARYYDAAAAAAALSALVCANSCVSCNRHHSTDLAAPCPCPDHDLQPNEFTMKVVTSQMAQGLAHFWNEWGIQVLVLFSFTLQVMLLTLAGTRRHKSSAPLRLLLWLMYQLADSTAIYTLGHLSVASSKAQDQHLVAFWAPFLLVHLGGPGTITAYALEDNRLWLRHLLTLSVQVLGAAFVIYKYITGGRTLLLLAALLVFVVAHSLFHVCKIRFVDATERVSEFEQTCTRWYGGKDLSGLLETELSLMYDILYTKAAVVHTWYGYTILVISPVATAAALLLFHYSSHKQGDSKVNVGITYALLSGTLALDLISGQGHRVDLGMHPDVLFRVGAASGCRHLPPLAHRGSKQEKMVRFHGAVQLAPPLHPRQDRAGRQGGCQAGASKYSGTTSISTTDLKDRMLPLFSYIRPNLQGVGALESMMLFENSAEYAYSTLSDFAFDDSILYWHIATDVYLSESKVEHEEKLVVAVQVLSNYMMFLMIAQPEMLTGPIQQGQYVDTFNDLDRLWDHHCLSNQHQGHPQKWWTMVKKLFQRDQPNASRMRQRKELATKFITVSADIIHEEGYNGPAFFHGRGIASWLLAMDSDSDSDSTLDVIFRMWTKMLCYAAHHCSRDSHARQLNNGGEFLTIVWLLSHWQKGMTM >Dexi5A01G0039740.1:cds pep primary_assembly:Fonio_CM05836:5A:40197574:40200487:-1 gene:Dexi5A01G0039740 transcript:Dexi5A01G0039740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSIDKLQSTFHRWEQTASNTGEYVHLTKELLTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRKKWTGSARNQVGTVRRAVEKGKSNSAASKYQDTSRTNLYSSQDNDDFISSESDRQQLLMRQQDEELDELSAGVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLIVFLVVLFIILFVLVFLT >Dexi9B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:9B:1929674:1930797:-1 gene:Dexi9B01G0003350 transcript:Dexi9B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSTKGHDSELRCYQQPQHVVSFPKPSDHEESITHASEDGLKHQPDKTVALSDTAKHSALGTVLKEGQILQEQIMGSSEDDQLGGPLPSSESMAPQDYPRDDNRHQPSKPVQLVAWPGDKNNFFSGLDEASFLCADQPPVMGWTVGPQMIHPKYISIEESQFETNITDNHLIKKPISIKNIPRNPLVDAVAAHDRSSMRKVSELAPSTDKPKPNERNQLLEQIRNKTFNLKPVASANPTTMRTPARADTRNLKVAAIIEKANAIRQAVGSDDEDADSWSDA >Dexi3A01G0034430.1:cds pep primary_assembly:Fonio_CM05836:3A:39601943:39603038:1 gene:Dexi3A01G0034430 transcript:Dexi3A01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKNKDVLAHVLDPSRGPLKSSKPSTAETVWYLTSGSHHVTGNLDLLTDVTPVYDRWIGSILGIGPPSQVLARGSVNSDGIILHDVWFVPDSNVNIVSVPRLGLEWHIGADQCFFRRKVDEAVVGKGHLGTDGLYELDSFNLSSGSVWYIASSVSQHMTGDLHLLSDFVPIRPSHTVKTHTGASLQVCGKGSVKSGPFSIPDICYVPGLGENIISISQLTDTGFALVFGADGFVVKKRSDGNVVGRGSYGGNQLFHLDSLNIPISK >Dexi1B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:1B:8889677:8890400:-1 gene:Dexi1B01G0009620 transcript:Dexi1B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVPDPGFRKVDPDQWEFAHVSFLRGQTHLLPQIVRRSGGGGGAAKRSSKDDGGDAVDEDAVAAEVVRLRREQRAIEDQVAAMWRRVQETERRPKQMLAFLVKVAGDPQVLRRLLASSSAAAGDASGGGGAGSATAVGFASAGTEDASAGAVAKRARLFLDGGAGAGAMGYGAGSSDAAVPSAAADYGGFYSADGGEDLGFGGEYLQPPPYVFPVNSGY >Dexi4B01G0021020.1:cds pep primary_assembly:Fonio_CM05836:4B:23072446:23073957:1 gene:Dexi4B01G0021020 transcript:Dexi4B01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPLATAMLLAVALAVALTATASAAAVPWERPDEEVRHLYEAWKSEHGRPSLGAGDEDDDLLRLEVFRDNLRYIDARNAEADAGLHGFRLGLTPFADLTLEEFRGRALGFQSLRRVRNDTAASGDEDDDDYLDGLDDEQVQAVPAAVDWRKKGAVTRVKNQGSCGGCWAFSAVAAMEGINKVVTGKLVSLSEQELIDCDRRSHGCKGGRMDWAFQFVISNGGIDTEADYPYTGRDGKCNEAKKKKKVVSINSWGRVPANNEKALQVAVARQPVSVSIEAGGRDFQHYKKGVFDGACGTKLDHGVTAVGYGRTGRKDYWIVKNSWGPKWGEAGYILMARNVAKPQGKCGIAMDSSYPVKNRRRGNTEVTVQSVLEEVVELA >Dexi3A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:3A:16444865:16446278:-1 gene:Dexi3A01G0020720 transcript:Dexi3A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGETYLYWLRRRPALYVSDPELIREIGRCVSLDMGKPTYLQKGQEPLFGRGVLKANGADWHRQRKLIAPEFYMAKVKGMVELMVDAAQPLLRSWEDKIAAAANGVAEIHVDDDIRSFSFDVISRACFGGDYTRGQEIFRRLRALSGLMSETSVIFTIPSLRHLPTEKNRRIWRLTHEIRSLILQLAAERRRTTASPSSSSSPAPASDFLGSIIENSEGQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQRRARAEVLDVCSAITGGGSGVAAPDFEAVARMRTVHAVVLETLRLFPPSSFVVREVFRDMDLGGRLRAPKGTYLFVPVSTMHHDAAIWGPTARRFDPGRFRDGVAKACKHPQAFMPFGLGARTCLGQNLALVEVKALVALVLSRFEVALSPGYRHAPAF >Dexi9B01G0046440.1:cds pep primary_assembly:Fonio_CM05836:9B:45712254:45720970:-1 gene:Dexi9B01G0046440 transcript:Dexi9B01G0046440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSGGASTWRRSDAGGGSGELSRVSAATTASTASARSVSGGGRGASFLDAFRSCFAPQEARSPDNSMSDDFHPSHQLSQSMSSQGSNSGSTFGSRRSIKGMYSPMHRNSLERGTPGSTKFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLADGTLVAVKRAKKNVYDKHMGREFWNEIETLQRIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGKVLEFSVRLEIAIDVAHAITYLHTYSDHPVIHRDIKSSNILLMNNFRAKVADFGFAKLAPTDSSHISTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELVTGRRPIEPKRSIIERVTAKWSMEKFVEGNAIQTLDANLESNDAINLAVEKIYELALQCLAPTKRNRPSMRRCAEILWSIRKDYRELVVPTSVMN >Dexi5B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:5B:2307759:2308765:1 gene:Dexi5B01G0003450 transcript:Dexi5B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKAAVKRGPWSPEEDEALRSYVQRHGSGGNWISMPKKAGLKRCGKSCRLRWLNYLRPDIRHGGFTDEEDTVIISLYSQLGSKWSLIASQMKGRTDNDVKNYWNTKLKKRLLAAAATTDDLVSTPTRPRVPRLPAALAPTPASTAAAHASLLPSLLAIPTVKTETYTCDDFLAPAAGLQDDDPFAADGSTSASAASSASTNWSAENGGAVGGGEGTFFLDFGAAGSDLGTADDHLQLPDGYYYPLDPSLSLV >Dexi3A01G0033570.1:cds pep primary_assembly:Fonio_CM05836:3A:38288580:38289142:-1 gene:Dexi3A01G0033570 transcript:Dexi3A01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGADNVPVKLNVGSYVQGDVVLECLHVDAGPEDEKLMFRVMFNTFFIQSHILLLNFEDIDISWDADHKFTKNFKAEKWQTMMMIWMLPLLTSSLKRKKSSVTLTPKKDIRMPILFH >Dexi3A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:3A:3947310:3948084:-1 gene:Dexi3A01G0006110 transcript:Dexi3A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQPPPRPPVHLAGAARDAGLRLASALSREEVLRRRRRRLLQLCSLYRAQFWALADELPARHGQYWWDHGASPALDDELPPSLTLLKGNGAGAGPLGNGCRGALAPPAAAAGGRASCAASNCDAKAMPLSQYCFRHILLDPKQQLYEPCAFPTRKRL >Dexi8A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:8A:3581899:3583678:-1 gene:Dexi8A01G0004310 transcript:Dexi8A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEELQIQNHGGTASAEEEQNKPLSSMTSSLLPAHSLRSTAMPAAQAQSLAMGDLGIRSLSFSKLFSFRMASSLRISDYTDQPNHATATSNSSLDNNTKEDDQAKKVCRSQSVPTSVKRFKPTKGLRRVADSSSLSPAPAGTLRIRVVPGDIASAAATASADQKEEDIAAEEAVCRICMVALSEGAVLKLECSCKGELALAHRACAIKWFSIKGNGTCDVCSQEVLNLPVTLRRLHDLQAQGALLQADTTATATATTTGIGGRYGSVWQWHGTPILVVVGDHGTAALAISLPFACVLGLFSSLTTTKMVSKKYVWIYSALQFLFIVLFTHLFYRYVRMQAVIAIILSTFAGFSVAICTNSVLLQILKWRASYVASTTNAEDGHGSREPSAADLEIALPPP >Dexi3A01G0005740.1:cds pep primary_assembly:Fonio_CM05836:3A:3721930:3726382:1 gene:Dexi3A01G0005740 transcript:Dexi3A01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNKGRMEGPPVALFDSLKAAKPFFLLAGPNVIESEEHVLKMAKHIKGIATKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPIVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNFEWLRESNCPVVADITHALQQPAGRKLDGGGVASGGFRELIPCIARTSVAVGVDGIFMEVHDDPLHAPCDGPTQWPLRNLEELLEELLAIARVTKGKKPFKIDLTPFQE >Dexi8A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:8A:787235:787558:-1 gene:Dexi8A01G0001140 transcript:Dexi8A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPPRSSPNVAAATTTRVHPSDTAHVSVPAAADDHLRQPPPAVYTVWKRSSMGFQGTDGFSVYDADGALAFRVL >Dexi4A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:4A:19277702:19279572:-1 gene:Dexi4A01G0015860 transcript:Dexi4A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSFLPTINHFHMVTTLARPGDDTFTAVDIVSAIHTDHDSITKASSDFGHIVEAIPNGVFHPTSPPDIAALIRLSVSQSKPFTVAPRGQGHSSRGQALASGGIVIDMRSMGRHDHDYHVNMSPNELWVDVSAKQLWIDVLHATIKHGLTPRIWTDYLHITVGGTLSNGGIGGQAFRHGPQIANVHELDVVTGMGEMITSSPEKHSDLFFAALGGLGQFGVITRARIALEHAPKRVLWVRIAYSDVESFTSDQELLISKRSSGSGFDYIEGQVQLNRTLTEGRRSSSFFSASELDQLAKLVLRTGSGAIYYIEGAMYYSDDNASSVNQKLQRLLDELRFAPGFTFVRDVSYVEFLDRVGREEQKLRSAGVWDVPHPWLNLFVPRSRILDFDAGVFKGILKDTKPIGLILMYPMNKDSWDDRMTTATPDEDVFYAVGLLRSVVAAGDLERLEKENAAVLEFCDRESVGCKQYLPHHASQDGWRRHFGEKWDRIAALKRRYDPLAILSPGQGIFPAAAMTGGDEAGFDSL >Dexi9A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:9A:9989217:9989505:1 gene:Dexi9A01G0015030 transcript:Dexi9A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEADGSAASSPRCAAPPGGARPPGVVRDPDTGELLRLVMECVEGPTIDRFLSDQRRRGRLPLPEATVRAVMWQAGGC >Dexi9B01G0040200.1:cds pep primary_assembly:Fonio_CM05836:9B:40789084:40792285:-1 gene:Dexi9B01G0040200 transcript:Dexi9B01G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAIARAALCPKARRATPALGCRSAPAARVGHVPRSLSSGGGGGKRRVAGERARDVATPRSRGAEDARPVAEEGRHAAAGAPPLAARRGSVAGAVALIVGTSVGSGILAVPQRTAPAGFIPSAACMVTCWAFLVAEALLIAEINVHLRRRRSKDVGYGRGGDQDDLEVISVKSMAQETLGTWGGHVATVAYLFLSYTSMVAYASKSGEVLSRLVPAGVPEPVSGGIFTAALALLVAGGGTGVTDRVNQLLAFVMIGKYLLLAISLYVSAVAIGGGLSLTANANWEQVPSALPVIIFTLVFHDIAPVICAYLEGDLARIRLSILVGSLVPLLCLLVWDDIALGLDTDLAAGFDVLDMLKTDWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTNLASSSAQEHVKMKEKISKGAADGSGHPGAVAILKKKNRLSYIATGIVVVPTMIIASTVPDSFSIATDIAGGYCMTILYGVLPPLMAWAIGSKLPGTSAELVDEERSKDSWGKWDMTSASAKPVLVGMGVFSALMVLEQMLQDFLSFNAALVS >Dexi3A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:3A:13976115:13984739:1 gene:Dexi3A01G0018280 transcript:Dexi3A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVVLYPGLAVSHFLPMMQLVDVLQEEGYAVVVALIDATMEWDVALAAAVGRVASSKPSATFHVLPRIQDPPAITNDVNLLLGYLELLRRYNKHLGEFLSSLPPRSIHAVVVDSLSNVALDVTKELGVPAYSFFTSNASALAVFLQLPQVRKEQSFKELGDATLHFHGVPPMPASHLMDQMLEDPESEIYKATTESHCKNLEADGILVNTFASLEARAVYTLTDPQFLCESELTMPPVYFVGPLVQGPGAVTDDETQERHECLAWLDEQPDHSVVFLCFGGVGSGNYSEVQLKEIAAGLQRSGHRFLWVVRAPLGENPERQFGVSHADPDLHMLLPQGFLERTKGRGLVVKLWAPQVDVLHHKATGAFRMNKVFMAEEAGIGVEVIGWQQGLVEAEEVEAKVKLVLGSEEGEKLRARVMAHKQAAFLVTYSNLISRHSKHLHEYLRSIPPRSVRALLVDFMCTDVFDVAQELGIPAYSFVPMNASGFALFCQLPSIFTAEGQPPSLKQLGGDSLPLDLHGVRPGTITASHIDAEGLEDPENRLAFAVMMATAQQKANGVLVNTFLSLEARAVGALRDPRCFPTMPPVYCVGPLVAEAGQAYQADEKKHECLSWLDKQPERSVVYLCFGSVGAGSHSEEQLREIAIGLEESGHRFLWVVRAPPHHNVPRSDPDLHVILPEGFLDRTSGRGLVVKLWAPQLEVLRHRATGAFVTHCGWNSVLEGITAGVPMICWPLYAEQKMNMVLLVKEARIGVEMLGWQQGRLVKAEEVEAKVRLVIESEEGELLRERVTALKEAAAMAMKDCGSSRVAFGQLLSDVGNRGLGYHDTLRLANPALRELLLSLPAAADALLLDMFCVDALDVAAELGVPAYFFFASAAGDLAIFFNLPYLYPTLPSFRDMGKALVRCPGMPPIRGMDMVITMQDKESDQTKVRLYQFKRITEGRGVLVNSFDWLEPRALKALEDGVCVPGRPTPRVYCIGPLVDDGKKGKGENGQMQHECLAWLDTQPKRSVVFLCFGSKGAFSAEQLQEIAQGLESSGHRFLWAVRSPPEEHDQFPEPDLERLLPEGFLERTANRGMVVKNWVPQAEVVQNEAVGAFVTHCGWNSVLEAIMSGLPMICWPLYAEQGMNKVFMVEEMKIAVELQGYEELVKAEEVEAKVRLVMETEEGKILRERLAVARDKALEATKEGGSSEVAFAEFLRDLEKSSYGNGECH >Dexi8A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:8A:27214972:27219605:1 gene:Dexi8A01G0016060 transcript:Dexi8A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPETVAAAADSGMTTQLANGSSSAVAGISKFVTSTVGKSTNILWHDCPIGKSERQNLLNQKGCVVWITGLSGSGKSTLACALSRELHARGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRSLLPKSSFIEVFLNAPLEVCEARDPKGFTGIDDPYEPPSDCEIVITCKIGDCPSPKLMADQVVSYLEANGFLKD >DexiUA01G0001090.1:cds pep primary_assembly:Fonio_CM05836:UA:3474690:3475071:-1 gene:DexiUA01G0001090 transcript:DexiUA01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGSSSSRRRRNIKGRSRHGHAAAAAAFSTMLLVTLLLCSSPAMALTPDGEALLELKLAFNATTQRLTSWRPSDPNPCAWEGISCSVPDLRVQSMWVLLA >Dexi4A01G0021610.1:cds pep primary_assembly:Fonio_CM05836:4A:25067960:25069239:-1 gene:Dexi4A01G0021610 transcript:Dexi4A01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKVDKKEYDESDLAYLQKKKDEEKALKELKAKAQKGAIGGSGLKKSGKK >Dexi2B01G0012460.1:cds pep primary_assembly:Fonio_CM05836:2B:18312679:18316156:1 gene:Dexi2B01G0012460 transcript:Dexi2B01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMCLLEVVVNNAASQIDYPPRSTQITNNTDVELDGGAPSQTQAAPSILEQDHIQDTNQSKDVEVLVSSAWQDVHVHAILTQLPNAELHNLCNILALEGLVAKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYYKNLKWMLENDVSDLPDLTFSMDPDEEKHILYEKTEVTDYELKPGGRNIRVTEETKQEYVDLVAEHTLTTAIRPQINAFLEGFTELVPRDLISLFNDKELELLISGLPEIDLDDLKANAEYIGYSAASPVIQWFWEAVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLEERLLLAIHEASEGFGFG >Dexi5A01G0034690.1:cds pep primary_assembly:Fonio_CM05836:5A:36673834:36674422:-1 gene:Dexi5A01G0034690 transcript:Dexi5A01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPWSQHPRWIAGPGRKIVLVGRASFLRNRVIFLAHWRKWQTHVPKCQLVVDILEWPAGPCRGGGGGVAVALLYAVAELAVPRCHIKMPTTQVGPTKVDAAPRSPLRRKQTVERKGTIVTWRWRAARVASLVRGGAGCVFSERNRAHAPAHSSCRSLQPRSKP >Dexi3A01G0025560.1:cds pep primary_assembly:Fonio_CM05836:3A:21282379:21285227:-1 gene:Dexi3A01G0025560 transcript:Dexi3A01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGMEGNNLLHFLDTPSAHYRRTCDGFEAENDGDDHSDASDTDPANAREMLECLLNQPANKFCADCGIPDPKWAALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAESGGNSVVNKRYEAFLPENKKIKQDCSTEERNDFIRKKYQFQQFVCDPQFSCPLPLNKRHAADKHQQHNNNKHGFGHAFRNSWRKKDSDNKGLKKMSDVGMIEFVGLIKVNIVKGTDLAVRDVMSSDPYVMINLGHQQVFDKDTFSSDDRMGEADVDIRPLIAATKEHENSTINELTELYRWSASEDSNGVLAKDSVISVVNGKVKQEITLKLQNVERGEVEIEIECVPLSQ >Dexi9A01G0041950.1:cds pep primary_assembly:Fonio_CM05836:9A:45522906:45526698:1 gene:Dexi9A01G0041950 transcript:Dexi9A01G0041950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRGQPATAAAPAATTSPQVPTASHPLPRAFLATSPPRAPAAAATAAPAPPLFTARPLNPSAPAHVSPAPHGILYPVSRPVPPSGAPQLRRVPPMAVGYPRSHVAVPIAQPQQPLAHSQLRSYAALPRNLVAVNPVHPVAPSGEQGNPKDRERSREDSTIVVINDRKVNLMDSESGSLYALCRSWVRNGVPHESQPSFGNGEPILPRPLPASVVDSRISDKENNDAADVDSDEEPQKNAYGEYNTSDLLRQHVKRAKKIRAGLQKERLRRIERYKQRLALLL >Dexi4B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:4B:22079489:22082173:1 gene:Dexi4B01G0019940 transcript:Dexi4B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVSGNGGEAVAPAPAKEVSAKVEAKEAVAVTKNASFREESNSLDDLKESERKALAELRDKVEAAILEGKLFDDGKPEVKEKEEAKKKAEKAPVEKKEEEEVKKEPEAEEKKEAEEEDGKKGVEAEDKEEEEESKENPEKEKEGEEEEAKQEEAGEGERVAATEEEDKAAETAAVVVVDKDISLWGVPLLPSKGDEATDVVLLKFLRARDFKSGAAFEMLRRTLRWRRDWAGFNAGGDADADLAEELAGACYLDGVDREGHPVCYNALGVFADDAVYKKVLGTEEGKARFLQWRVRAMERHVAELELKPGGVASLLQVTDLKNSPGPAKKDLRVAVKQVLDLFQDNYPELILINVPFWYYAFSTLFYPFLTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDGDTEFSADDGEVTELIVKGSSTETIEIEATEADTTLTWDLTVLGWEVNYKEEFVPADEGSYTIIVRKGKKMGSGEEAVRNAFRASEPGKVVLTVENTSHKKKKVLFRYKARSSCAKKKGKKMGSGEEAVRNAFRASEPGKVVLTVENTSHKKKKVLFRYKARSSCAKKC >Dexi3A01G0025830.1:cds pep primary_assembly:Fonio_CM05836:3A:21754758:21756875:-1 gene:Dexi3A01G0025830 transcript:Dexi3A01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi3A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:3A:8028895:8030739:1 gene:Dexi3A01G0011250 transcript:Dexi3A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWSPLLSYAHLSGLLARCGRAGDIRLGATLHAVVTKNPAHFHLCPHRAGLPHVLATWNSLVAMYARCGRRGDAARVFDEMRVRDSVSWNSLLAAAASASDALALLRRMLRAAPGAGACDHATLTTVLSACARADGGAGAAPLAAVHGLAVSCGLDAAVPVGNALVTAYFECGSPGSAERVFGAMAERNVITWTAMVSGMARAERYRESLSLFRQMRRAVDANRATYSSSLLACAGSLAAREGQQIHGLVVKTGFDTDLHVESELMDVYSKCGLMEDALRVLRSCQDPDEVFLTVILGGFAQNGLEEKAFKLFAEMVSAGIVIDTNMVSAVLGAFGATAPFALGKQIHALVIKKCFGGNTYVCNGLINMYSKCGELEESVRVFDGMPSKSTISWNSIIAAFARHGHGSEVFQLFESMKADGAKPTDVTFLSLLHGCSHVGSAKKGLEILNSMSSQYGIHPRVEHYACVVDMLGRSNLLDDAKAFIEDGPFKDNPLLWQALMGACSFHKNSEVGKYAAEKLLLLSPDCTAAYVLLSNIYSSEGRWDDRARIMKRMRELGLRKDIGKSWVELQKEVRSFAITTSQRGSAGFHDVLQQLSAAPSDQEDLVQSNGS >Dexi9A01G0044320.1:cds pep primary_assembly:Fonio_CM05836:9A:47937791:47941512:-1 gene:Dexi9A01G0044320 transcript:Dexi9A01G0044320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGGAAAAAAAENGVSPGNVPVCYYGTAGRVPAAVERRVRAAELFLRCATCGLAVLAAALLSADRQTRTFFSIEKEARFTDMQSLVFLVIANGMAACYSLLQGARCMVMAYFTISAVAVAMEAAMISKYGTMPFQWMKTCQLYKRFCAQAGGAMACAVAASLNMVLISLVSAFNLFRLYGSGKGSK >Dexi8B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:8B:24947998:24949491:1 gene:Dexi8B01G0014330 transcript:Dexi8B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALTCNVLTNTLPRALSLRAEKYQKIEFDVQTSRMEAKCASIWSEESEMIANLQSMFWNSSDADASLSSPNSSTSSCIETSTLPTALFLPLVETESYDTTSLRNTATDCCFGHQIQAFAPIDNAVIGDKRAPLMGESRKKSKKPCIVSPVLRTLNGLDDKTNTELINHSSSWCCSSEDDSVGVCEESVVLKQSISSRGRSRSSKNSQSIYAKKRRERINEKLKTLQQLIPNGTKVDMSTMLEEAVQYVKFLQLQIKLLSSDETWMYAPLAYNHMSIDINLNPSAKQ >Dexi3B01G0028320.1:cds pep primary_assembly:Fonio_CM05836:3B:24459349:24459865:1 gene:Dexi3B01G0028320 transcript:Dexi3B01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQPEQGQSSTTKLWRAKVLPTMGIGLVIGVLVILVACLLVGTTSSGGWIHISSSVTR >Dexi2A01G0028690.1:cds pep primary_assembly:Fonio_CM05836:2A:39891236:39894289:1 gene:Dexi2A01G0028690 transcript:Dexi2A01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALATPQPVEFGPEQMTLGTELLRVLTAGDVTRLKDLLRSEDRPGADGHVAIEVNGASQGAASSPVGTGCLLGVTSNRNTALHLVASRGHAELAALVCERAPSLVATRNAGLDTPLHCAAKAGSRGVAACLLSQMRAAGEADAAAALRAWNLLGATALHEAVRLSRAAVVELLMAEAPELASVTTEDGVSALYLAAEVRSEEMVRLLLRSSTDGTPSPTSFSGRHGQTALHAAATVSKGITNKDGLSVQDLADRAVSPSRWRYFLDPHFIILHCLCCLGIGITLDRRQPLHYGDPNPTEEVSDDKEEHDMLRNGAIGSVLIATVAFAAAFTVPGGLVAGDDHRSAGTAILARRFAFRAFVVSDTLAFLCSIVATSFLIHGGARENLRSHRIWYKILASRLMPMAARFMIAAFAFGFHLVLGGDANRGLIVFVYVVSLAPVLFCFPDVWIPLLLLGMAKAIWRRAGWTGLVNMNERPMSLLHLVKLLVSSFMCQYLVGTLVAVLIATTFAAAIALSISLPNY >Dexi9B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:9B:185809:188293:1 gene:Dexi9B01G0000260 transcript:Dexi9B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSLLSSPLFASSSPNFRSNASIPSSPSPSRTSVPMIHDNIGRAMTVCHYSPSLVAESQLHGSKDTLTLKGEKALLELLLDMALDQHVDGKKLIRHETEDSDFESYLRDATSRVIYQPTLIEEDGVTSESSSASIGKQAGSLDHLPPSVTQTEEVTLPAEESGTSATELDLPQLHRFNLMKLEEAQRKLEVQCGREPTVAEWAEAVGMSCRELQSSVSIGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVFALLRKVGKARLECIMEGEQPTNVNVARRAGITIEKLAKLRAKTRKPRSMQDRVWSDDGVTYQEITEDPNVEPPELSVDRLMMRQQVHNFLGILSPREKEIIEHRFGFHDGEPKTLHVIGDMYGLSKERIRQVQNKALDKLKRSVSAQGFDVYFDLLT >Dexi5B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:5B:17875011:17886487:-1 gene:Dexi5B01G0016960 transcript:Dexi5B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLGILKSIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSHDPLQTECPKIIYLVRSQLSFMKFIASQIKNDELKGLQREYFLYFVPRRTVACEKTLEEEKVYQKLTLGEYPLYLVPLDDDVLSFELDHSLQECLIEGDTSSIWHVAKEIHKLEFAFGVIPNIRAKGVASTKAAEMLNNMQVEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQIHNGSVEVDTSIMGAQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRKLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFELCFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMHLLYNLEKAGLFKRQESRSNWVGITRALQLIVDVNDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTINSLLEALPGSGSGSQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFIVATTKVVNGNTILKPIIASSKEGMM >Dexi2B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:2B:30356681:30362352:-1 gene:Dexi2B01G0020250 transcript:Dexi2B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGTEGAAGSGRRETVCVTGAAGYIASWLVKLLLARGYTVHGTVRDMGEKKIAHLKRLENAPENLKLFKADLLDYDAMAAAIVGCQGVFHVATPVPSGKITDPQREMLGPAVNGTTNVLKAASAANVRRVVVVSSMVAVEIDPKDWPKDKIKDEDCWSDKEACRNNEDWYSVAKISSEWAALEYGKQTGLDVVTVNPALEALIK >Dexi4A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:4A:25154065:25156908:1 gene:Dexi4A01G0021740 transcript:Dexi4A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSSVATAARSAAGGDGSAADGGGGAGAGAKAPASSTFWFLLHALCCLISLFLGFRFSRLLFFLLFSTTALYHSTTSSSSAAVLRATTTTTTTTTTTTTTTNTFTLSFAAANPPPSNPANRTALEAAAAGADKGGTSGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHQIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGSTNATASLLARSGLTFVHIPFPDRMPHEWADRHATENRMRIHALRAIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTAEQPRLSEEEKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPKGLEWAGFVLNSRMLWKDAEGKPDWVKDLDAVGENGEEIENPLNLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLDVVVPAKRTPWPETTTELPSELLEDKQEQDDRRLSRANKSSRPRSTTKRQGKEN >Dexi9B01G0023900.1:cds pep primary_assembly:Fonio_CM05836:9B:19327831:19336320:-1 gene:Dexi9B01G0023900 transcript:Dexi9B01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVHPGARSASAAAAPSEKPAAVEAEGSDGFVERVKDVNGIRISPVCCREVLPEHEVSFTFSLYDRGYLVSKSASAIEAGRLPGDILDEIPSKYCNGSVVCEIRDYRKHVSNQVPASSAELGLPIVNKVRLRMTFENVVKDITLLSDDSWSYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPIPHKLSLGIGRKRRLRQTTEAVVTSSNMSHGKKVCIDRLAENVKADEVGITGGNAANQSVDNITIQNISGSSQPLRPNNSSQDAARIVLSQSGMPQTVSYSAAGNDRVSGSTANFSGINPSISSQSMIGYNDTVAANGLLSVKREMQDAPLQDPKRIKPTGGIDDVQQQQIRPQPLAGQEMQWKNQQLHPPSDVKGMQYASSLSGQRYPPSMMNNMQELGSSFYFNQPGLRYGAKQEQMDGSDRSKDPLQSMTPESSVLDQQQPQAQHLSQQAMARNNVSNMAQWQNTRFAAEKDLKKEEMIQRRKLAPSSRVPSGPMVQSPVSSKSGEISSSSMGGQFGSAVTSAVIGAQKDKFSANSNAAVGYPSVASSPSDSMHRIQQPAVAASKRKTNSVPKTQPPVSAVGSPASVSNMHAPLNASSPSVGTIPMGDQAILDKFAKIDNLSHRYQLHNKKNKVDKIPQRKPMVNASQDFVAKCLSSCFHTEDYMDPIRPLCNSMISGTINTFKTRIINFSSTNRMYQGHARPFQVVFKEMPDETVRMQYGDLEDFDGPNSYDCVFILPAKYYADLLGEELIRLMSKDGHSKADDKVVRGNPPANFNTLSGIIPDNLASDVKQEGGVSHQLNAAAHANVAPGAPMQQLPVNRMLSSANSNLAMQQGYMQGAAMPPRSQQLDQNLVQQQQQPQQQPLQQNAQAQMQQPSSSLPLNQMQRPQLLPTSPLSQMLGPGSNLPMGSSQMGNNKATPTSLQLQMLQQQAQQQQPMSRKVVMGLGSAMNMGNMVNNVVGLGGLGSVMGMGNVRPIASPMGSMSSLGNSSNPMNMGMSSNLAAAGLRPATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGKEV >Dexi4A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:4A:19680393:19680790:1 gene:Dexi4A01G0016160 transcript:Dexi4A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQTRTDATGATWLKTPRSTVSSTLSARSPTYKDAIETTGNAAAGAPSTAETPSGAAAVVVAGGGVAIIVVRSGGEARVRV >Dexi7A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:7A:19378662:19380512:-1 gene:Dexi7A01G0008220 transcript:Dexi7A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSPRRSGSFEAGLRVTATASSVSSRDHHKHQPSSPRLQRSRSPAGGASKVSPSPERRRSVGGAGAAMQQQQRVTQLEEELRREREEKAKATRELEEVRRDGESAAEAVAEKVQLLEREVDKSKESERKMLESLIYQTKQLEQTKISLEEAKLEIAALRQANKGLESAAARRGGGGGGVQEQRSVRDLMFGGADEEIRVLRGELRTATQAEERSRKAADDLSVALSDVTMEAKQVKLWLSEAQAELESANAEAERLRAALAAAESRLRAVSGEHERCRLEAEEAAASWGDKERVFLDCVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEASAVKDALELARAENERLGDAVADKDAALQSLRQEYECVKVSEAAAQGSLKELNSLLAATTTNTTACSTPAPDYGFDQRLANGSKDATPQRWMVEKPRTPSSRRRYSIGEPGKLKGGFSQSARMGNLNPKERVFASLSNIADLKSAADAAMDDFDDEFDHIDESHYVDMEDSINKHKKKRPIFRKFGDLFRRKSFYKPNLAPVHTL >Dexi4A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:4A:10711264:10716279:1 gene:Dexi4A01G0012160 transcript:Dexi4A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWQAVLPETAMPQAIRDLLTQSKGEYESRKATMPYRTQADDSKVVTTSYGSQGEDSRKVTMSYGLKEQDDARKVTTSYGSQDGDESRKVTTSYGSQGEDRSRKVTTSYGSQGKDTREVNTLYELQGEGDSRKVTTSYGSQGEGSRKVTTTYGSPGEGDSRKVTISYGSQGDDKSRKITTSYRSQAEDSRKVTTTYGSLGEDDSMKVTMSYGSQGDDESRKVTTSYASRGDKDSRKVTASYGFQGEDSRKVTMSYGLQGKYDSRKVTTSYGSQGNGDSRKITMSYGSQGEDSRKITTTYGFLDGDDSRKVTTSYGSEGEDESRKVTTSYGSQSEDPRKVTTSYGLQGKDDSRKVTTSYGSQGNDDSRKVTMSYASLGEDSRKVTTTYGSLNGDDSRKVTTSYGSQGEDESRKITTSYGSHGEDTRQVTTSYGLTGEDDSRKVTTSYGSQGEDESRKVTTSYASQGDEDSRKVTTTYGSSREDTMSYGSKEDRDLRKVTASYGSQYDEDSRKVTTSYDSKSHNHLSKTTISKNQQILSEKGESSNGDRHDHVHSHKNANKLADVFFFHDVLRPGSMITPTIPVTTSLPTLLSRREADSLPFSTERLDDILAMFAPVSLTMADEIRWTLDTCEHPRPLPGEKAGCATSLESLAKLPAALLGTRNVRAFSGDMPIDPMGTTTAQRGRYNVKAVQKLSESSPMVAVCHDLTYPYAVFFCHTTDPAAAYLVTLEAEDGTAPDMEVLAVCHLDTSQWSSRHPFLEAHNFKPGDVADVCHFLSKLSIVWVPAGEQGGALEAR >Dexi6B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:6B:20342918:20343913:1 gene:Dexi6B01G0012640 transcript:Dexi6B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASLRSSPSAFLGHSSRLARAATPTRRSLKAEAKGEWLPGLPSPSYLDGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLADPWHNTIIQTLSG >Dexi3A01G0033820.1:cds pep primary_assembly:Fonio_CM05836:3A:38674175:38679548:1 gene:Dexi3A01G0033820 transcript:Dexi3A01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPHLHLVDEKPISWVTTRPHGSGAYEPSMVDLDLSYQLGRGKFGRGKLGSIMWRLKVAEGDGPWLRSTNNFVGRSFWEFEPDLGTPEERAEVERVRHEFTEHRFNRREPADLLMRMQNEDGGWGTDIRCSSTMFGTCTNYVTLRLLGEDPNDKKLRKGRDWILSHGGATLIPEWGKIWLSVLGVYDWSGNNPIFPELWIAPKFLPFHPGKLWCLCRMLYQPVAVLYGKRFVGQLTPTILALREEIYTVAYDKIDWNEARSACAKPLNMVCCWVEDPNSDAFKRHLARIPDYLWLEEDGMTSLVQTTLPTNFISLVKV >Dexi6B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:6B:1381798:1382723:1 gene:Dexi6B01G0001540 transcript:Dexi6B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEIACTYAALILSDDGIPITAEKIATIVKAANIKVESYWPALFAKLLEKRSVEDLILSVGSGGGAAPVAAAAPAGGAAAAAAPAAEEKKKEEEKEESDDDMGFSLFD >Dexi5A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:5A:8906997:8907363:1 gene:Dexi5A01G0011870 transcript:Dexi5A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVTDESKEEAVTVQRLHASTDEPKATKHTSTPYSQFPTESFRGNRGSPAAGATLPRLPFRRLERGDEGILFQDTTDEALAPRGSPASTAARPRRFSRPTPLR >Dexi7A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:7A:15878121:15883907:-1 gene:Dexi7A01G0004880 transcript:Dexi7A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIPIATGVVVAIVILVLILRRRGKQPVQMGHVVDGMQGKKLYDQEDERLAMEDEDMDGEITSCQSYSYQVLEAATCHFSNRNKLGSGGFGTVYKGTLENGKEVAVKKLRDSKRNQQELEREIYMVANLCHKNLVRFLGYCLEDEGKFLVYEYVLNNSLEKFCGYMAPECTSGPKFLLSIKSDVYSYTVLVRSSPATRSTSSKAKTPRASWN >Dexi3A01G0027370.1:cds pep primary_assembly:Fonio_CM05836:3A:24624873:24626426:-1 gene:Dexi3A01G0027370 transcript:Dexi3A01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSFLLGIGAASRGRNGRPPHHAPPSSDVPRVPILLSCANETTPSAHACPRATSPPSPSPAAALPSSDQEVRPSPSPTCPEHFRYIHSDLSPWRESGITREAVESARDKATFRLVVVGGRAYVDKYRPAYQTRDVFTLWGILQLLARYPGRVPDLDLMFFCGDIPVVRAAAYPDTSKAPPLFMYCTDDRALDIVFPDWTFWGWPEVNIRPWAPFLEEVERESRSMPWKDREPYAFWKGNPNVSGLRRDLMRCNGTDDGGKDWHVRVFRQDWGYANRNGFKDSNLAKQCTYRYKIYVQGRGWSVSQKYILACGSPMLRIDTPFRDFSSRGLVAGRHYWPIDSARKCPSIKFAVDWGNAHEAEAQRMGEEGSSFVRDELSMDYVYDYMLHLLTQYARLLRYKPTVPENATELCLDSMACSARGRAREFMIESMEKYVADYEPCTLPQPFTADEVKELAQTDEDVRSKVKEMEEQEKET >Dexi8B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:8B:5759360:5760316:-1 gene:Dexi8B01G0005570 transcript:Dexi8B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRAEFSFPGSQARGLPPRPPVNTVAPRYGEEEVRWLQASRQGSPDYNSGGSSTPSPQLWAYNPDHLQNHHHRAYPASAGSSPSRAQAIAGYRREMLDLVRGLPESAYELSLRDIVEHPLATSSSSPPPPSDPIPQASIPAVPRQGGAAMAAAAREHDLAGGRKEAAAAAAADVQVQDGNKKQSSFGKKQGRKQRTTMRKQRSLERSVSLDTGLLIKFFLPISIGGKKKVSPKPDAAKDGKKKKEKKEGKKKKDVAEAAAAAAAQEEEWWGKNEFSEAGSSSRTSSTGSSNSSNGSIRNGPVNGGVNPTAPSRSWSR >Dexi1B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:1B:1289736:1291135:-1 gene:Dexi1B01G0001620 transcript:Dexi1B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARQRGTVKWFNDTKGFGFISPEDGSEDLFVHQSSIKSEGFRSLAEGEEVEFSVSEGDDGRTKAVDVTGPDGSFVKGGSGGGGGGFGSLLGEKRM >Dexi2B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:2B:9855175:9862614:1 gene:Dexi2B01G0009050 transcript:Dexi2B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATAATMAKAAVVERVVFALNGRRYEVAGADPSTRLLEFIRTQTPFKGTKLGCGEVITTEGLGNTRDGFHAVQKRMSGFHASQCGFCTPGMCMSIFTSLINADKSKGPEPPKGFSKLKVSEAEKAFSACKEGWYHPRSIKEYYELINSCVLSDSVKVIIGNTSSGIPGYKDEDLYKHAIRVKKVEKFLTDTDYASNMPWPSRRETISNSDYKPVGDPIKKYGVELQASGEAVYVDDIPAPKNCLYGEFIYSTKPLAYVKSIKFKSSLASEKIIDVVSAKDIPSGGENIGSSFIFGDESLFGYPIAEYAGQALGIVIAETQRYADMAAKQVIVEYDTEGLSPPILTVEQAVENSSYFNVPSEYYPNEVGDVSKGMAEADHKIPAAEVKFASEYYFYMEPQTALAIPDEDNTLIVYSSSQYPEFAQSVIARCLGIPLSNLYELVRPN >Dexi9A01G0044150.1:cds pep primary_assembly:Fonio_CM05836:9A:47777701:47778710:1 gene:Dexi9A01G0044150 transcript:Dexi9A01G0044150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEEDERLLFPSFAFPESFAEVATPGSAGGEQKKQARQRRRRKPRPSGDGGEGGDDQAKKRRLSDEQARLLELNFRKERKLETPRKVQLATELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRAAHDAVVVQNCHLEAELLRMKERLEEAEEEKRKLIAGATAVAAGGGAGAGSSSPSSSSFSTVTHNPAALVGQFGMEAEEVAAGDLTYISEYTYNSYMNMMDGYFGGVYDQFNWAYLQEIRKD >Dexi1B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:1B:264057:266160:-1 gene:Dexi1B01G0000170 transcript:Dexi1B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVSERSLIIESDDEEEEQHPIPRRRRGGGGGGSDSDDSGGSDSSSSCATPRAPPTASSYTQQWPQSYRQSIDILSSVQSPNLSFITNSFRGNSFITNSFRGKTPEIISNLVKPLLRPTTTTTDEQHHQEETVQKSSHYLLPASRKPSLQQIPEDQNKPRPAVAAHHETYQTKCSYTQAVVNGINVLCGVGILSTPYAIKQGGWLGLVILCLFALLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTAGRIAISIILYVELYACCIEYLILESDNLSKLFPNAHLTIGSFTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILGVICLFWVGAVDNVGFENKGTVLNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKDRNQFPSILFTCIGFSTILFAGAAVMGYKMFGEATQSQFTLNLPENLVVSKVAVWTMGVSVLLCAFK >Dexi1A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:1A:3276830:3277410:-1 gene:Dexi1A01G0004430 transcript:Dexi1A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVTTCTEQEKSSLLQFVAELSNDSGLTNSWKNSTDCCKWEGITCNSDGAVTDVFLASRSLQGHISATLGSLTSLQILNLSHNSLSGYLPHELVSSSSLVVLDVSFNQLTGQLQELSSSVSDLPIQILNISSNLFSGEFTSTIWAAMKNLVALNASNNSLSGQMPGHFCINSPSLMREHSP >Dexi6A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:6A:2769538:2770630:1 gene:Dexi6A01G0003020 transcript:Dexi6A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHCIPLRAILVALPLLSLLVTVSHHLLYRPSSPLPPPLLTHLPLRLPLRLPLLRLWLHTPSPSPTTPHGFVFLDAPAPAAVARALPHGIYLRVSADASRFPYTHPRGLPSAVRVARIAGELISALDAEEDDDDGNPTLRPAPRWLVLADDDTAFVVPNLLRVLNKYDHREPWYIGARSESAAQNAWHGFGMAYGGAGVAISWTLARRLGRVIDSCVLRYPHVYGSDARIFACLGELGVELTHEPGFHQVREFLCF >Dexi2A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:2A:28470463:28471515:-1 gene:Dexi2A01G0016730 transcript:Dexi2A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVQVAKQQLVHRLAKMAGLRRRAVTVDDAGTHLGSQGQAASDRTESSVGMKKEERRYSSNSSRLSVVLLHGFAGDGIFTWVGALAKHYDVYVPDLLFFGGSTPSTASTDRSPAFQAECVAAALRRLGVERCAVVGFSYGGFVAFRMAEAHPCLVASVVATGSLVDMPRSTGDAILRRLGAVSIAELLLPHDVAGLRSLFAAGTHRKWWFPDTILKDYLELALLQMIFNRKERTELLEGMVISDEEASAPSFRRYVDTPEVSI >Dexi3B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:3B:4567387:4568618:1 gene:Dexi3B01G0006640 transcript:Dexi3B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVTKSSSSALVAPWKPTPTGDLPLTSTDKSRLFLYFTSFHVFEHPIHEPAETIRHALSRALVHYYPIAGRVSVGDDDVKISCTGDGVAFFAAAASCDLRDVRFLDVDDIDGSCHVTEFACGGYVLGVTTNHVIADAFGLAQFLQAVGEHARGVPSPSVAVPVRHDASLPDIPQLLPAMPRSPSTLKHVDFAYTDRTIPWSFINRVKAEFMSCTVFEVVAAAIWQCRARAIGAAHDAQAPLVFTANVRKHVGAKDGYYGNCVTSQVVAATSGAVASSAIVDLVKLIKDAKERLTTSTLLAAEQAIMTEEIADALCGYDALFVSSWGGIGLDGVDFGGGKPARVVPNMERTVVPFCWPCLPCSKKDHHGSNVIACCVTQVHVDEFHSQLDRLR >Dexi1B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:1B:12169518:12172355:1 gene:Dexi1B01G0011760 transcript:Dexi1B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVKKQLCLAGPLVAGFLLQYAVQMISVMFVGHLGELTLAGAAVAASFAAATGFGLLLGMVSGLDTLCGQAFGAGEHRQLGIHKQRAMLVLSLVSVPVAALWACAGKVLARCGQDPEIAAAAGSYIRWLIPALFAFGPLQCHVRFLQAQNLVVPVMLSSGAAALGHPAVCWLLVRRLGFGFRGAALANGVSYLANLFFLALYVRLSPSCKTTWQGFSREALRGIAGFLKLAMPSALMMCIEGWSFELLLLLSGLLPHPKLETAGLSICFSISAIAFMVSSGLGVAISNDKEVIKYTRRMLPLVAASIMLDCQQTALSGVVRGCGWQKRGAFINLAAFYLVGIPAAVTFAFVCHLGGMVFALHINPWVFLYFLIYFYLK >Dexi5A01G0030940.1:cds pep primary_assembly:Fonio_CM05836:5A:33770440:33770908:1 gene:Dexi5A01G0030940 transcript:Dexi5A01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTIGKQIQEWSNGQFKTAVGEVLFEMTDEPDPFISLELVYSPDDRHVSEVGRQGGCIDRPKIVSFRDQILVALVLLFLFYLFR >Dexi1B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:1B:918674:921014:-1 gene:Dexi1B01G0001130 transcript:Dexi1B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGFGSFMLSMSPRETVENKIGTDVSAPSLGKLIAFYFLISFVGLFAIVPMRKTMIIRHRLTYATGTATAHLINSFHTPQGAQQAKRQVCVMLQSLAGSLCWDTFQWFYTGGPDCGLAYIPTFGLKAYQKGFYFNFSPTYIGVGMICPKFVSVSMLVGSILSSAVMLPYIEYKKRVWYNSSYKESSMMGSNGYRVIISIALMLGDGLFQLLMIPFKTIRNLRRKQEQLAAATNCFRSLDAIRRPGLSFDDRRRTHVFLKDNIPSSYAIIGYTILATVSTIAIPHIYNQIKYQHMIVAYIFAPLLAFCNAYGTGITDLNLYPQYAKIVILIFGFWISAAKGGVIGGLVICAVMTLIIATAGDFMQDLKTSYLTLTSPRSMFIAQAIGTAIGCIINPIIFCVFYNCYKSTGTYPAPFARVYRVIALVGAGGFKELPKYSIALSIPFFVVAIAVSAIRDVAVHKNWRIQYYIPSIAAMSVGFLVSPTTSIDMFVGSLILGAWNRADEESAKLLAPVVASGLICGEGIFAIPYSLLGIYNINPPMCIRFLDSDVNLKVDLLLAKQALSRS >Dexi4A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:4A:3898689:3904348:1 gene:Dexi4A01G0005370 transcript:Dexi4A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVAREVAEIAAEPDRVAAYAWLLHLQRGCADDPSAAADLAAELPSPLLPLLLRDAADPDEAVVDSALKCLGFALYHPVLVSTISEYNDTADGPGYIGYLGAANHEYSDEGGSGQPFFLSACNLGAWCISVQKLEPLIIEDRADPVVTAIVHALDNPFGSLSTTFEAAQAIMKLACQIHTKMRDLSSLWVPPIYRRLLSADKPERDMAERCLIKVSRVILPPQPCLSKAVALDLEQKLLSRMMNMLDDPSKKVQAVKSWGWIISLLGQDAVNNRPLLNKLLKVPEHMFIDLDTQVQIAAMLDILGTILNPELLQDMIPDKMLIVMNSSTEIFRFMRFSRVGFKANPTGQHQVTSSFFSSLSNFVGHVVLKKDILLLFKIIGDQLTDWLSLSTTLYCEMQQGKIIYQLEKLWLKVLDCLNNNHLIHDLPFSQNQQLLQVARNHPHQAISVATNSACRAFENIKTSLRSGFLGSELDGLSLDKRKDHNSSSGADKTIAREEIGVSSRPTLPMSKKRTKHAVHDFGSLKISAGLGRKQLKITKYSTKPRELDKNTASIGGLSSRIDTVLSPRCIESKECRKPELILEMLKRKR >Dexi4B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:4B:20093600:20095220:1 gene:Dexi4B01G0017740 transcript:Dexi4B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCSIVLPLLLLVIISNNHNGHSSLPRAPWPRLPLIGNLFFLHHTPTVASLTDVLRRLHAVHGPVQASHLHRLPWHRALVNMGSTFAHRPTSWYTGLNSHGVNSATYGSRWGRLRRNLCSHLAGEHVAGVLRSSSGRLVKNLESAAGKNGGDVVTPSGTFRHAVFGFFAALCFGEGVEEDKLGSLRGLHAEIISLIVELDAFNLVPVFVQVVCYFPRWRKLMRAQRRHHVLVTDIISARRRRREEGVGCDVAEPRCYVDTLLGLGLGEDEMVSLCWEYMNASVKTTTTALEWIMARLVLHQQKLRDDIARQASGDHTCGERRRRPFVEAVVLEALRLHPPAHYLLAHTTDKDVTLDKHVIPKGSIVNFDVASIGRDATLWAEPSVFRPDRFMGGGEGFDVHCTTGCGGGGGPETMKMIPFGAGRRVCPGAGVAMTVLQSFVEELVRRFKWIPVDSKVGTDVDMTEKPGIITEMRVPLQTRLVVKRDNLLFE >Dexi1A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:1A:5717193:5723867:-1 gene:Dexi1A01G0007400 transcript:Dexi1A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGLPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERLYMGIVIAGVRLLRLRPERRYRCDPLPEDDPELGSSAFPSVLVQIPMFNEREVYQLSIGAVCGLSWPSDKLVVQVLDDSTDQVIKEMVRLECERWARKGINITYQIREDRKGYKAGALRAGMRHAYVRDCEYVVIFDADFQPDPDYLKRTIPYLVHNPEIALVQARWRFVVGIILTSSGPCPRAAHACDSGGMAWHGMGSFPRGSVAAWVRVTGGVGGQGISILTAGGVTIVVGLFPLGFYGSSSCRARCPKRQRLAGVGLAAVAVAVGRVSGLGSDVAVGSGLNADECLMTRMQEMSLDYHFKVEQEASSSVCAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVTNKKVTIWKKIHVIYNFFLIRKIIAHIITFTFYCLIIPATIFVPEVRIPKWGCVYLPSAITLLNSVGTPRSFHLLFFWVAFENVMSLHRSKATLIGLLEAGRANEWVVTAKLGSAMKMKTANKAGLRKQFMRIWERLHITELGVGTFLFSCGWYDLAYGRDHFYIYLFFQSVAFFIVGLGYVGTIVPQS >Dexi5A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:5A:6909990:6911877:-1 gene:Dexi5A01G0009200 transcript:Dexi5A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKVKLFLGVLALQFLLAGFHIVSRAALNMGISKLVFIVYRNVISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSRRHGLAKVVGTVVSIGGATVITLYKGMPLFHQNLTIKSLVTLSSSSPILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAVFTEEDLSRWKVHSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAAVFGDQLYTGGIIGAVLIVIGLYFVLWGKSAEKKAATRNHQGQLVQGGGDMTRHLLGGDDNASAKDEEAPAIDMLA >Dexi7A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:7A:21643190:21644261:-1 gene:Dexi7A01G0010960 transcript:Dexi7A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKGKGKEDVDGPARERTITWDEENTKFMLDWDYKERWGIIAKALSKSGNSFDHVKCKLTISESEKSELSDRARRLLAKPIKFYHEMEELFVGSSADGSLAMDQETCLNDDGNSSDNSESEWMNDTTCYAQHVDLAGDDSDTLPEIKGHKKGLFSIASGDDSSSSMPHAGKKRPRGKFPSKKPQKSRSRFAEATKEINTTMKAIVQALAEPPPPPPLPTPQPGGAHASLWKKIEALPINTEDKVSIGVYLARPEQEGMRDFLSASSDNTLETWVYKFLCTGDGH >Dexi7B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:7B:1990056:1990268:1 gene:Dexi7B01G0001060 transcript:Dexi7B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAANKKVQLAAVLYLALFMAASSRSALATEQQECTVPKEVDACVEQIKQELAKMSVRVPLLTPSCCK >Dexi9A01G0034750.1:cds pep primary_assembly:Fonio_CM05836:9A:39494083:39494911:-1 gene:Dexi9A01G0034750 transcript:Dexi9A01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLFVLGEIGDNDYTYFILENRSTDAVIKPLVIPKVVAKIENAVKLGAKTIVVPGDFMMGCLPRFLTIFQSSNPDDYDESGSIRRLNELIQQHNHAVMAMVERIRRRCDDPAVTIIYADLSGAAHEMIHNPLKHGFDKNGTLVACCGDGGPYNSNSFVSCNATSGLCPDASKHIPWDGDHLTEAANRFVARGILHGPYAVPPILSTCKC >Dexi9B01G0027280.1:cds pep primary_assembly:Fonio_CM05836:9B:29773651:29774068:-1 gene:Dexi9B01G0027280 transcript:Dexi9B01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRQQLCMVLLMAFLVASTMNAVLVDAGRSLAQASYGALVPGDTPSVPRGQPYSSRGCTDIYAY >Dexi1A01G0032310.1:cds pep primary_assembly:Fonio_CM05836:1A:36912543:36915682:1 gene:Dexi1A01G0032310 transcript:Dexi1A01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLRRSDSIADMMPEALRQSRYHMKRCFQRYVAKGSTVMKRQQLLEELRRSAADDGRLQEEEGFLGYVISCTQEAVVLPPYVNLAVRTNPGIWEYIKVHSANLTVQQITPSGYLKCKEALYDNQWATDDNSLEVDFGALDRLSTPHLTLPSSIGNGMQFVSRFMSSRLAAGTRMKPMLDYLLALSHRGEKLMVNATLDTVDKLQTALLLADAFLAGLHNNTPYHMFEQKFHEWGLERGWGDTAEACRETINCLSEVLQAPDPANMDSFFSRVPSVFTIVIFSVHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLYRIKRQGLDFTPRILVLTRLIPEAKGTKCNVELEPIENTRHSTILRVPFKTEDGQDLPHWDSCAKILDMLQGKPDLVIGNYTDGNLVASLVSRKLGVTQGTIAHALEKTKYEDSDVKWRGMDHKYHFACQFTADMIAMNTSDFIIASTYQEIAGSKEKSGQYESHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSIYFPFTLKQKRLTDLHPQIEELVYGKEDNDEHIGYLEDRSKPVIFSMARLDKVKNITGLVELYGQNKRLRDLVNLVVVGGLLDPSRSKDREEIEEINKMHSLINRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVNEVSGFHINPLDGKEASNKIADFFQKCKEDPMYWNKIYTWQIYATKVLNMGSTYGFWRTMDKEERQAKQRYLQMFYNLQFRRLVS >Dexi5B01G0037420.1:cds pep primary_assembly:Fonio_CM05836:5B:36929746:36936234:-1 gene:Dexi5B01G0037420 transcript:Dexi5B01G0037420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTSNLLHHDSKSLSSYKPCFHPRQYCVGIAAQLEASSAAAARQEHRNRPAMADDDEPAAAAAGTTASSSRGAGTGAGDDDGDWLQLCLSGGGAAASSSSGDNHGMDPAAPPPPMELDLFTYDDDDKRNARMMMMRPPPLFPLPLRSYHHQSSYGRGRHRPPAAPTTSPFMPPFIIKNSGDAIRVISPPRRTAAGLWLKLEAAPNQDSNIKVEVVVKYVAEKLGISSRSHQVELTCRGQLIPPFLLVKHVRDTIWCSTAPPEETLAELTASLRSPAAATPTDHVMTLCYSTIRNSKLVLDL >Dexi1B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:1B:6173268:6173943:-1 gene:Dexi1B01G0007440 transcript:Dexi1B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWFLESLPLVLYLDSIDLGELNMPHTIFPRAQCFTADRMKAMAAADVVRVKSGDRMDPVYGASK >Dexi2A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:2A:32092119:32106405:-1 gene:Dexi2A01G0020050 transcript:Dexi2A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGAVALLVAVALMALGMAASGWLQRAEARREEVRRLAWQAAEEVEIAEREEAYCYGQYGGAFVPAPDVPEAPQLWTAPEVPPSPKAPEDDVAAPPAASPPAGKGVCAMCARPTTLRCKRCKSVKYCCYANAVLQSFLYFLGSKREWCFMCELEKVMTEGKHGTSPVSPTGILSHLNEIGTSFGQGREEDAHEFLRYAIDTMQSASMKEAKKNGVHKLAEETTLVQLIFGGYLQSKIKCTKCQVSSAQSERILDLTVEIDGDINTLEGALHRFTSSEVLDGDNRYHCSRCMSYERANKKLTISEAPNILTIALKRYKSGVFGKISKDVKFPEHLNLSQFMCETDDYSPVYSLYAVVVHHDVMNATNSGHYVCYVKDPQGKWHELDDSKVKPVSLKKVLSKCAYMLLYARCAPRAPDSVRKAMLDQGESPLGRGSFVSVQQGEKSCKDHPVHNLTYTLDASGAWSLPVPGFVGSDSSSLFSSSDAGSSSTLSSESTDSTRNSEPMDYGHRHGASDHMRPVSTMVIPEEDELNCLRQRSSVNPSTSGHDMDQAGEFARQYHHKLQVGRGVLDKSGETPPFSTDQGKHQGSSSSRNRSSSRNCKLTEQRTYTGAAFFLEGQLGRGLSKHFTGRGGLGL >Dexi1A01G0021890.1:cds pep primary_assembly:Fonio_CM05836:1A:28593760:28598522:-1 gene:Dexi1A01G0021890 transcript:Dexi1A01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMAGPEAVNGGGGVGRMDRIQVLVRLRPLSEKEVARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVREVALSVVSGINSCLFPPMTASIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVEKLTEVVLRDWNHLKGLISTVESSAREFLGKDKSTTLVASAMEKEIKELKSQRDVAQSRLQNLLQTLGDHQKHSGSGKRSARSPPSIGMPPGISRDDSSQISHDDADLYKEVRCIESSETGGNEHLDLSAGEGSSPRVSNMNSSLRGNDSNTSVNSRRSRLLGESPITLEQHLENIRRPFTNVGRDLGSSTRNSSGSRILGRSRSCRSLSASTMFDGIEVDDGTPLHRSLVGFPGRRPEGDHRRGSALNCDAESETLSRAGSIVSTKTNGACDAEFTGIGEFVAELKEMAQVHYQKQLGGQNANGEFGEGTVKSIGLDPIADAPRSPSRWPLEFEKKQLEIIELWQACSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLKDTYSRGGTPSNVVVGSLNSSPAASAKKLQREREMLARQMQKRLTTEEREHLYTKWGISLDSKKRKLQVARRLWTEAKDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQQPPPRRRSSNGWKYGLPSFG >Dexi3B01G0037340.1:cds pep primary_assembly:Fonio_CM05836:3B:40108484:40108713:1 gene:Dexi3B01G0037340 transcript:Dexi3B01G0037340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERSGGRVEADRRPEDEERSELEPGGEGVRRGAWTWRVETMAREVEAAGGGALHSPRNG >Dexi6B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:6B:8161390:8162164:-1 gene:Dexi6B01G0007060 transcript:Dexi6B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPRRPTRRTMSRSKHETEECTHVFEISQYSLHEFLDASVFTQSATFTVGGHDWCIMFYPSGSGSGRENEGYVSVFLKLMSEATTEVTASFDCRLLDPTTGVSSSVVHVAAVFRSEIPSLGFPQFKKKSEIEATYVQDDCLVVECDVIVYMGIPQSKSEIICDNIQVPPSVLLDNLGNLLESGEGADIKFKVKGEVIRAHKVVVAVQSPVFKAQLYGPMSNKRKTTIVFASLHLQGFIAFNGGP >Dexi8B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:8B:18729568:18729908:1 gene:Dexi8B01G0010280 transcript:Dexi8B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGGMLAAGAIKLAAGKLAEAAGARFMLQWRFSDDLEAMKTTTEFIEAVLKDAETQSLTNNTVQVWLQHLTKASYDISDMFDEFELDATKKSALRKPLVL >Dexi2B01G0025680.1:cds pep primary_assembly:Fonio_CM05836:2B:34914385:34925314:-1 gene:Dexi2B01G0025680 transcript:Dexi2B01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGARDPLVASEIHGFLTCADLNFDKLMAEAGTRWFRPNEIYAVLANHARFKVHAQPIDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEDDPNFFRRCYWLLDKELERIVLVHYRQTSEESAIPQPHIEAEVAEVPPINIIHYTSPLTSTDSASARTELSSSAAAAAAPEEINSHGGGAISCETDDRDSSLESFWADLLESSMKNDSVLGGCGPLTPNQQTNNGMRDSGNSVLNTNATSNAIFSSPDNVVSEAYATNPVLNQVSESYYGALNHQVNQSPNLLTSDLNSQSKAPASSLIRTPMDGNMPTDVPARQNSLGLWKYLDADIACLGDNTSPVLTSRPVIDEIPFHIIEISSEWAYCTEDTKVLVVGYFHENYKHLAGTNLYCVIGFSLDFRDSSGWTALHWAAYHGREKMVAALLSAGANPSLVTDPTHDAPGGHTAADLAAKQGFDGLAAYLAEKGLTAHFEAMSLSKDKRSASRTQSIKQYSKEFENLSEQELCLRESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEAATIVAAMKIQHAFRNYNRKKVMRAAARIQSHFRTWQMRRNFMNMRRQAIKIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIATGMPVAMATDTEAASTAEEDYYQVGRQQAEDRFNRSVVRVQALFRSHRAQQEYRRMKVAHEEAKVEFSQK >Dexi3B01G0013220.1:cds pep primary_assembly:Fonio_CM05836:3B:9434647:9435439:-1 gene:Dexi3B01G0013220 transcript:Dexi3B01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSPSPSKGTTTRRKSLISRTLERCRSGLGRIGAAAAGGRAAPVAGCFPVYVGPERARFVVRAEFASHPLFRRLLDDAEREYGHAARGPLALPCDVDAFLDVLWLMEHGGGGEDDDDGEGPAAAAVSSPICGLRSCGSKGRAAGYRIMNPRSSPVVARLWSGVH >Dexi6A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:6A:5306404:5307147:1 gene:Dexi6A01G0005780 transcript:Dexi6A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAAVVGVTYRPAPPLLVASGCRRLQHQTAGTTQGVSAAAPPEDGRIISVKKTKQGQQQAGEKDLYIYVDRADHGGGEELMPVGGEDGLLVELRRPRWLAGSLSDDWRERSEVEDDERWDWIYRRVSFSLPPCRPVMIARRVVAGWHGRRGLASLRLGALSLRFPAPALASVPVRCDQSVPSQGGSRSIAVVA >Dexi6B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:6B:2025774:2027830:1 gene:Dexi6B01G0002290 transcript:Dexi6B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTLQLASLALAPSKGRTDSQEGMWAPPEKPVVEEWRAINSRRNAKWWYAAFHNVTAMVGAGVLSLPYAMSELGWGVGITVMILSWILTVYTLWQMVEMHEIVPGKRFDRYHELGQYAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHDLVCHGRCNNIKLPYFIMIFASVQFVLSQLPNFHSISSISLIAAVMSVSYTAIAWIASVQHKMNSSAEVDYNLRATTTPGKVFDFLGGLGDVAFTYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIIAACYFPVAFVGYWAYGNSVDENILITLKKPKWLIAVANMMVVVHVVGSYQVYAMPVFDMIETVLVRKFWFTPNLRLRLVARTVYVGLTMFIAMTFPFFSELLSFFGGFAYAPTTYFLPCIIWLIICKPKRFSLSWFANWTCIFIGVPLMILAPIGGLRQIILKIKTYKFYQDYHMV >Dexi9B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:9B:11087707:11089160:-1 gene:Dexi9B01G0016200 transcript:Dexi9B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKTPVAVPLEFRAFKDKTSAINATGVNEERVSMITHWLDPEMLLGVGKPEYKTILESQLGITCVHNEIVMEVMWGIQHLLRKLVPEEKAEVAKEDRLPMSQGLQTLLSSYGFDVKPEMVNEQIVKTASALFGCDAVEEKFSTYLRYASKSLKKISGINCENWGLLKLATALKVIFCPEGEKRRQISS >Dexi6A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:6A:11565755:11566892:-1 gene:Dexi6A01G0009720 transcript:Dexi6A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKALLLAVLGCAFFGGAIVVARELTDDSAMAARHEQWMSQYGRVYKDADEKARRFEVFKANVRFIESFNAAGNRKFWLGVNQFADLTNNEFRATKTNKGFKPSPMKASTGFRYENVSMDALPATVDWRTKGAVTPIKNQGQCGCCWAFSAVAATEGIVKINTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYTAADGKCKSGSTSAAIIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKKGMCGLAMEPSYPTE >Dexi5B01G0027370.1:cds pep primary_assembly:Fonio_CM05836:5B:28945646:28946329:1 gene:Dexi5B01G0027370 transcript:Dexi5B01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYVSFSLERSSSRDVPAPPVGGGGASAAAYPRVVAAAGMDTSLSLGGAAAAHGHSHGQYLPLNEDDPLDMVLFDVLREASGGGGGSAAPATYPPPLQGSTTLPPLLAAALDSASGAARKGVVGVGCNDRSAHAPKAAAGTGRHYRGVRRRPWGKYAAEIRDPTRHGARLWLGTFGTAEEAAAAYDRAAFRMRGAKALLNFPRAVATAGCGAAATAKQAAGVTEAT >Dexi3A01G0027480.1:cds pep primary_assembly:Fonio_CM05836:3A:24744845:24747566:-1 gene:Dexi3A01G0027480 transcript:Dexi3A01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAAHPAPPLALLGGLDHRDDNTKVLFVGPTMDDVLSQIEEAFRLAEELRAELGAAQNNPVYLAERCHGIARAYLAASRMLGYPHGSDDLSPPALPPQHPFGGGSGSTSHGNLPQLELLRPFLSGAPSSSPFQQHLGRLLEASSSPFNTPATDTFGAGTSGGPVRRQASSSRSSPPVVQPRQQHRTRRRESGERMTMMVPVQRTGNTDLPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPLMYEVTYCGNHTCLTSTTPLLTLPAPTTTAASTAAAVSILTNSPTGSAAILASQDLVMAPSEHPTPTLSTAIQLGISWMPSALAGTGEGSSAQVNVPGASGRDTEYPVMDLADAMFNSGSSGGSSMDAIFPAHHHDQRD >Dexi4B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:4B:6167938:6175346:1 gene:Dexi4B01G0008580 transcript:Dexi4B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVAGIEHARRRAEVARPTRCVTSAAVGAAPLPTGAAPTAARSLAGRSGSAADAAAPADHACGGRAGAPPPPAHHLPREASIRTTRLGFGLGDHSLRQGIGMEGGGAEAAAAAEVKNPRCFMDITIGGEMEGRIVIELYASVVPRTAENFRALCTGEKGVGPASGKPLHFKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGSKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGIVRSIEHVPVGEADCPTLDVKIVDCGELPEGADDGVVNFFNDGDKYPDWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKHDYKAALRKYRKALRYLDVCWEKEEIDEEKSTALRKTKSIILTNSSAHIALNDIDAAVESFKHALELEPNDSGIRRELAAAKKKIADRRDQERKAFARMFQPSGKSDKSSEE >Dexi2A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:2A:27541676:27546381:-1 gene:Dexi2A01G0016040 transcript:Dexi2A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPRLLCCLFLSLSLAAAAHAATRRHEWEISYQFKSPDCVRKLAVTINGQTPGPTIHAVQGDTVVVRVKNSLLTENVAIHWHGIRQHGTPWADGTEGVTQCPVLPGDVFTYTFVVDRPGTYMYHAHYGMQRSAGLNGLIVVSAKPGGPDAEPFVYDGEHSVLLNDWWHKSTYEQAAGLASVPMDWVGEPQSLLINGRGRFVNCSAMAATGGACNAAHPECATPVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKANQDPNRNYWLASNVVSRKPGTATGTAILSYYGGRSSPRRAPTTTPPTGPAWNDTMYRFNQSVATVAHPANVEAPPPRSDRTILLLNTQNKIDGHIKWALNNVSFTLPHTPYLVAMKSGLLGAFDQRPPPETYAHWSYDIYAVQKNPNATSSDGLYRLEFGSVVDVVLQNSNMLDANKSETHPWHLHGHDFWVLGYGIGRFDPAVHPKTYNLKDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIESHFFMGMGIVFEEGVERVAELPQEIMGCGKTKGH >Dexi5B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:5B:5164307:5165360:-1 gene:Dexi5B01G0007630 transcript:Dexi5B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGIEVAFITAAASNSSPPARPAGAAAADDDMAAALQLLPSRHRQPSRFKGVVPQPNGRWGAQIYDRHVRVWLGTFPGEEIAARAYDVAAVRYRGRDAAVLNFPGERASAGELAFLAAHPKAEVVDMLRKHTYADELRHGLHRGRGGAPPSPTPSWARKLLFEKVVTPSDVGKLNRLVVPKQHAEKHFPVMNQQRSPETTTTTTTAAAGKGVLLNFEDGGDGKVWRFRFSYWNSSQSYVLTRGWSRFVREKGLIAGDTVAFSQSVYGQEDQLLINYRKTSRNNKQQGQGTVDAIAAVEARSIKLFGVDIAGGGRREAGEGHVL >Dexi7A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:7A:12682708:12688235:1 gene:Dexi7A01G0003320 transcript:Dexi7A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVPSASSASAEGLLALADEAERRRDFPAAAACLESALRPPHNAALLPLTEARARLRLAALLLTPRGGAAAPRSGGAPAAAKAHLERALLILSPLPSAPPRLKLLAHSHLAGAYAVLGAVPSQKHVLHRGLGLLASASASGLLQRGPALMWTCNFHAQLASALTADGDAGAALSALSAGVSAAAELGSPQLELFFAASELHVHLLCWEDSAAVESSFTRATQLWDALPAGQKEHWVGLFFYIELLRTFYLLRICDYKAASQCVERLDTAVKSELQRGRRIKDLAEELRAVERTLGQPGLKERERSALSHKQRQLKAQLRALCGYDKLSDVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVGRPKGIFKECGKRIDSGLQLIHDELLKLGIVDGVTEANLEHSTLWTVGLYLTLLLQFLENKVAVDLTRSEFVEAQSALAQMKSWFTRFPTVLRGCESTIQMLRGQYAHSVGCFDEAAFHFLEAIKLTESKSMQLMCQVYAAVSYICKGDAESSSQALELVGPAFRTVDSFVGVREKTCITFVYGLLLMRQHNAQEARQRLASGLKIAHQQLGNIQLVSQYLTMLGTLALQLHDAVQAREILKSSLTLAKTLVDIPTQIWILSVFTELYRELGERENEMENFEYERKKEDELQRRLSEACSHDFHQELVEKSRIQIQPLHDTSRRQSEMTGPTANGDLDIPESVGLPTTQPSLKRLVDQGSGRRSTRRR >Dexi1B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:1B:6816648:6825399:1 gene:Dexi1B01G0008170 transcript:Dexi1B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLVGSGIVPFKNMGRKKNPIVFLDVSIGDEPDERMIFELFADVAPLTSENFRALCTGELGKTKKPLCYKGSTFHRVIKGFMAQVISFLFPFSWCRSKTDDIDCFSYHIVFKGGDFAKGNGPGGESIYSGKFEDEACVLRHDDRGLLTTADTGTQFCITFKPNSRLDRKHTVFGKLVVGNDVLKRIEQVDVHGPDSAPVNPIRIVDCGQLTDGRHGSETTENDKKRAAKSKLLKDMSSDEQSNEGQEKGHRKKSSKRKRKKRRYSYSESDSSSESETESSDSESDSDSYSSDSSDVSSSSDDRRRRRKRHSKKNKRKRSRRKRDHRREKRRRKRDRKSKQKSKRMVESDSEAESASDSSSSEDARSKRHRRGRKSKASSQVSDTWVTGVPVTDRLLDDIEAHPEADHHHQVYDPINFISRRIRYRRRSRSTSRSPVHRDRGRGGGYSRSPVRSRSPPAGKPRSHGERPRSVSRSRMSRSRSRSPPPAQDRSPPDSPPPKRASDVKSRSPSRSRSLSASPSPGGKKGLVSYGDGSPDSAGK >Dexi5B01G0021070.1:cds pep primary_assembly:Fonio_CM05836:5B:23306677:23309363:1 gene:Dexi5B01G0021070 transcript:Dexi5B01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVAAAAAAASSPSLWSLLLGLLAVLVAWGAYWAAERYWLRPRRLDRALRAQGLTGTEYRFPAGDLVENARLNEEARSKPMKPCHDVVPRVLPHVLHTVKEHGKICITWFGPIPRVIITEADLVRDILSNKFGHFEKFTNKRLGKLLALGLASYDGEKWAKHRRILNPAFHLEKLKRMLPAFSTCCTELIDRWESKLASSDGPYELDVWPEFQNLTGDVISRTAFGSSFMEGRRIFQLQGEQAERLIKAFQYMYIPGFMFLPTKNNRRMNEINGEIEGILRGMVEKRERAIESGEASGNDLLGLLLQSNMDSGKGSLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRAREEVLSVFGKDKPNFDGLSRLKTASVTMILYEVLRLYPPAVTLNRRTFKDMQIGGITYPAGVILELPIIVVHHNPDVWGKDVHEFKPQRFAEGISKATKDDQPAFFPFGWGPRICIGQNFALLEAKMALSMILQRFEFQLSPSYTHAPYTVITLHPQHGAPIIVKKI >DexiUA01G0008980.1:cds pep primary_assembly:Fonio_CM05836:UA:17092250:17092542:-1 gene:DexiUA01G0008980 transcript:DexiUA01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHPASMKGDPEELETESVGLEQQQEKNEELQHYPEFGAQSPPHRRTRYSTLAYRTTIDPKCYHKL >Dexi6B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:6B:188326:188751:1 gene:Dexi6B01G0000300 transcript:Dexi6B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSSSLRAPACFSSLRAAPAPAPATVAMPATTGRFARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPFSCRAGSCSSCAGKLVSGSIDQSDQSFLDDDQVNAGWVLTCAAYPTSDVVIETHKEEELTA >Dexi5A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:5A:22074668:22076303:-1 gene:Dexi5A01G0018540 transcript:Dexi5A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYKDYSTHQKFSITTCSPHGVAITAAGTRKNESIFGELHTQIKNKKLTVDVKANSESDLLTTITMDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGVNASVGLNSNPMVNLSGVFGSKALSVGVDVSFDPATSNFTKYNAALSLTNPDLIASLHLNNHGDTLVASYYHLVKQHSGTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSFVTVSGEVDTKAIEKSAKVGLSLVLKH >Dexi5B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:5B:3279188:3281542:1 gene:Dexi5B01G0004830 transcript:Dexi5B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRARIRAYLVTTPVNAARNRATVESARRAGLDVELAEIAFPGPSLGLPEGMENVDMVVEKEHFMAFFHSIWAMATPLEEYVRSLPRRPDCLVADSCNPWTAGVCDRHGIPRLVLHCPSAYYLLATHNLSKHGTYDLVAGELDTFEVPDFPVRAVGNKATFRGFFQWPGAENLLRDVTEAEATADGLVLNTFRDIEGVFVDAYAAALGRRTWAVGPTCATITGGLGDVDAMAGRGNRADVDAGHIATWLDARPPASVLYISFGSIAHLPAKQLMELAGGLEASGRPFVWAMKEAKSNADVKAWLAGEDGFEERVADRGLLVRGWAPQVTILSHPSVGGFVTHCGWNATLEAIAHGVPVLTWPSFADQFSSERLLVDVLGVGVRSGVKVPAMNLPEEAQGVQVTSAEVEKAVAELMDEGPQGDARRAKAKDIAAKAKAAVEEGGSSYADLTDMIRHVAELSREKSGQEGDTSAVRALPSAAPELGSKKNSGDTVEALSVQS >Dexi1A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:1A:24886248:24886478:1 gene:Dexi1A01G0017600 transcript:Dexi1A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERAKMLVGMEVDEESALPPPEEQSFFDDINRNCTLNTTQASTHAPMDPRSLPPLLDPGGRRRSSRGSR >Dexi5B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:5B:10042452:10045269:1 gene:Dexi5B01G0013790 transcript:Dexi5B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAMMNHDQPSAKDQCGKIWSGIQLLKDTTENKHFLPTGLEGIDTLLGGGLRQGQLTEVTGPSSSGKTQVCLHSASLVAAKNMGVVMYLDTSNSFSASRVATIIDGSSDLFGQRELGLDLQPKDMRLKSVMRSIICESVFDIFALFEVLHQLEVSLLNDKARRSMMISVAMILKKLADEHNLSVLVTNHMVSAGNGAVKPALGESWRAVPHVRLVLSRECGSNICTATVLKHTLKVFPLGIFSFHCYISIVTA >Dexi1B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:1B:23227128:23230840:-1 gene:Dexi1B01G0016750 transcript:Dexi1B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAPPSSSVAALTRQPVRLLKGCSFSKETKGSVCSLFTLNSNNAKVKSVGLRIASSLKRDDGYTAGGASRNGDMLLPRSTSARGQDNPVADSVLPTDSMVAPEILSANLARVADKFADDDADSELDLDSPTEGFASIADAIEDIRQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFIVRHGTGIVCVSMKEEDLERLNLPLMVTTKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPDDLNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDADGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLIERASVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGEDILVRVHSECLTGDIFGSARCDCGDQLAMSMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDEGRDTVEANEELGLPIDSREYGIGAQILQDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLLTPITSENRRYMETKRTKMGHVYGHAKQPSDSNSAEEKH >Dexi7A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:7A:19084425:19085639:1 gene:Dexi7A01G0007810 transcript:Dexi7A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSEVALCSGSARPMPAVGMGTALPIPVPHEVTKDAVLAAIELGFRHLDTAAVYDTEGALGEAVADAVRRGLLRSREEVFVTSKLWCTQCHPDLVLPSLRETLRKLQMEYVDLYLIHWPVCMKPGPITWPAKREDAVLFDLEGVWLAMEECHRLGLAKSIGVSNFTTKHLERILSVATIPPAVNQVELNPVWQQRKLRAYCADKGIHVAAYSPLGGQDWSGSGEGNAVLESEVLAEIAQARGKTVAQISLRWIHEQGVTWIVKSYNKERLKQNLDIFGWELTDEDRHKISQIPQRKFVTAMALFSPEGEFTSVDLSEMNVVEE >Dexi1B01G0021880.1:cds pep primary_assembly:Fonio_CM05836:1B:27640141:27641176:-1 gene:Dexi1B01G0021880 transcript:Dexi1B01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVDQQLQHLSRSHVFTPSPGRPSLLTSSPFSSSSSGGSADCQSPSISCVQPAETNKKAMDLPRLQCHRAERNGTGRVIPLELPRIEKKRKTVRHLKAEQSRAPPPGRHHRHDGTTHGPLSAPCRRDWRLRHHITAPLIAPSSPLPRFGADRAGKSLVQIATPPIESVQCHSSMIRPRSRLDLTSSSTTTCSESTPTRVHITQLRTSARG >Dexi9B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:9B:5351565:5352264:-1 gene:Dexi9B01G0008770 transcript:Dexi9B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAVTGLSLPQPSPHGAPRGGGGSICQRGAAPPLQPLRLSCTHAHELQRAVPRCSATTPAPASSTVADGFAGEERDHENTEERKEQE >DexiUA01G0015990.1:cds pep primary_assembly:Fonio_CM05836:UA:34171361:34171973:1 gene:DexiUA01G0015990 transcript:DexiUA01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNGSGDCTVWVDYHAAEHQLYLYVDGSGKQRPTKDYRRWKKGQDKVAKLMKELPGGPPKLSFRT >Dexi3B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:3B:7238013:7243082:-1 gene:Dexi3B01G0010390 transcript:Dexi3B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPKNIFTIKVEDGKPGKDGQLAVGPVFRSVLAKDGFPQLEPDMKTSWDVFRVAAGKYPNNRMLGWRPLKDGVPGPYLWKTYKEVYDEVLQAVSALQQLGVQPGSRVGIYGTNCPQWIVSMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVVFIQDKKIKEILSPNCKSAKRLKALVAFTSATSEQIKEADNIGIKLYSWNDFLKVMTTDDVFLSFLPLAHILDRMIEEYFFHKGASIGYYHGDLNALRDDIQELKPTLLVGVPRVYERIYEGILKAIAELRPLRRVIFNALYNRKLASMKAGYSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFMRVATCAYFVQGYGLTETLGPSTVCYIDDMALVGSAGVPATYTEIRLEEVPEMGYDPLGVPSRGEICIRGKSVFAGYYKNPELTNEVIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSHGEYVAVEYLEKLYGFPPIVEDIWVYGDSFKSNLVAVVNPHEENTMKWAESNGYKGSFGEICRLESLKEYTLKELTAVAQKNKLSLHFEVFYMVTSLHAPNQLRGFEYIKGVVLDPIPFDIERDLVTATMKKRRNNMLKYYQSEIDTVYKKLDAQKNAAKAK >Dexi9A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:9A:11257162:11258022:-1 gene:Dexi9A01G0016320 transcript:Dexi9A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSPCAACKLLRRKCTQGCVFAPYFPPDNPAKFANVHRVFGASNVSKLLNDLPQAQREDAVNSLAYEADARLRDPVYGCVSYISVLQLRIKQARDELAAARKELAGYIGPAAFAPFVAPPQYHPHQYAAAAAMGLGIGVAPPQHGHHQQIMAAQHQHQLHHHHQQMAEAQQLAAAVEVAREQDLMMRQAAAYAHAVPGGSSAAAAGATVAVVPPDAVPYEGGFLFQQQQPSQQAQTAMALTYQMEQSPPPSSSGQSHPEVSHQQNTEGSDEGSGGGGVVPPPA >Dexi5B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:5B:2890090:2892508:-1 gene:Dexi5B01G0004270 transcript:Dexi5B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLFPLLLLIKLRKGSGNNNGVRLPPGPRQLPVIGSLHHLAGNPFVHRALADLAHGLDAPLMYLKLGKVPVVVATSPNAAHEIMRTHDVTFATRPWSSTMKILMADGYGLGFAPYGDHWRQLRKISVLGLLSARRVKSFRHVREEEVARLVAAVAATPAGEPANLSELVAETIADTTVRALIGDRFERREEFLKTIEEESKLTSGFNLTDLFPSSWLVSLLSDTARRSHAMHQKSMELMEHAIKQHEEARATMAASGKMTEEGDLVDVLLRIQKEGGLNDDLGEMKYLKLVIKETLRLHPAAPLLIPRESRESCKVLGYDVPKGTWVLVNAWAIGRDPKYWEDAEEFKPDRFEPGAVDYKGMNFEYIPFGAGRRICPGILFAQANMELVLASLLYHFDWKVEGGLEPTKLDMSEQMALTIKRKMTFACIPLFVCRRSNPCPSS >Dexi6A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:6A:26448980:26449677:-1 gene:Dexi6A01G0018770 transcript:Dexi6A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEAQATMLGSTLVEFKVDYENNQHLAIGDGVHSDAIAVGGHMWRMNCYPFGVRERDKGEHVSFFLELLNKSSTFNHSFPPFNPNHTFSTAQSFSILSYCDA >Dexi3B01G0033540.1:cds pep primary_assembly:Fonio_CM05836:3B:36278744:36280443:1 gene:Dexi3B01G0033540 transcript:Dexi3B01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPGPRHRSLINGQNLIDVVITGNNGTIDGQGPIWWNWLRSNTNVVVHNTTIQTSLDAPLNHGIVPDSCSHMHIEDSSISVSHDAISLKSGWDKYGITFGRPTSEIHIRRVDLQSPLGAGLAFGSEMSGGISDVHADHLRIHALVQFSPKFFQARHIVKESFAVPTISLAQNTFFIAFLMSKPGG >Dexi1A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:1A:5406216:5409180:1 gene:Dexi1A01G0007070 transcript:Dexi1A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHRQFAIAHCTSHYRSRMQALLLPARAAPPPAAAAAASILRQHAVSASACAWQVRRPLCCSSGSLSCGVRATGRRRPLKAAAAPSSSSSSPGPLYPAPPPSEQEVERVKLEQVTKRLEKTARYFKNLGTLGFWSQLVCTIVSGGILSFSTVVTGKVTAPLTFYATAAGIAAAFISVFWSFGYIRLSERLKRSAKEPAKAPPRADVVKSLRNGIVLNILGMGAAVLGMQATVGALVAKALTTSTVPYYQANPGQSPVLALDVFLVQASANTILSHFLGLASTLELLRSVSLPPAEGAPSPAPARA >Dexi3A01G0033960.1:cds pep primary_assembly:Fonio_CM05836:3A:39275664:39277450:1 gene:Dexi3A01G0033960 transcript:Dexi3A01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTASRVMTTAAPDLSLHISPPSPAAAAGGGEMQAAEPRLLLGRLELDTAAPAAKKTDDAAAPPRQGGLIHQVLHRPNQTTHGGFKKSSGGGGGRRSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQARLLLPTFTSMHMYRTVKGTDRSCVAGHGQASDMVFLRRGNSAGEVDGFDVFNSNNTVNTTTTFDNNNASRFAH >Dexi2B01G0028900.1:cds pep primary_assembly:Fonio_CM05836:2B:37422138:37429723:-1 gene:Dexi2B01G0028900 transcript:Dexi2B01G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASTPVEIDGDHLVDRPSRPPWLALWMPAILHSSEAPHRTSPSSFRARPAESAGSLVQWAADSPVFGNPAWMAATFPPPLGATAQGPVRPPIRPSLLKERIGSERFAGFDDYHPTRVITLAYLPFVLCTTAAFTYHEAKINTRFRTLVGYSLFLLGTLALITLDVATSGRGGAATFAGVCTIAAVFGIAEGHAEGAMAGDLSLMCPEFIQLPIVKFYHCKAASEGSMTVNADLAAGGIEGSSNPSTEEGPVFLERLSSKQVLLQNLDYAMDMFLIYTLSLSIFPGFLAEDTGSHSLRSWYTLVLIARFSTWDLVGRYLPLIDCIKLTSRKGLLVAICSRFLFVPAFYYTVKYSDQGWVIMLTSFLGLSNGYLTVCVLTEAPKGYKGPEQNALGNLLVLSLLAGLTFGDALDWLWLIGKGSSSYSLIPGHQSELSVGHDDKDAGPAETQGKYWGIFICWLLGNGCLFGFNGMLTIEDYYTYLFPKYHPTRIITLTYQPFVLATTAIFTYHEARVNTRMRNLVGYMLFFLSSFGVIILDVLSSGGGGIGPFIGVCIIAAALGIADGHVQGGMTGDLSLMCPEFVQSFFAGLAASGAITSALRFFTKAVFENSRDGLRKGAMLFSAISCFFALLCVLLYAFVFPKLSIVKFYRSRAASEGSLTVTADLAAGGIKSQPYTLAEEAPGHAERLSNKQLLHQNMDYALDVFLIYILTLSIFPGFLAEDTGSHSLGSWYVLVLIASFNVSDLIGRYLPLIEQIKLTSRKGLLIAVISRFLLIPAFYYTAKYGDQGWMIMLTSLLGLSNGHLTVCVLTEAPKGYKGPEQNALGNLLVLFLLAGIFIGAVSDWLWLIGKGW >Dexi1A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:1A:25932349:25932896:1 gene:Dexi1A01G0018740 transcript:Dexi1A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMHLQVATFIVHKIMLDEVGLQHICATPECFFQAASILANMVIALTEQPSTRLLKHVICCYLRLTDDPRACTALQTHLPEALRNGTFSNCLRDDPAARHFLQQLLDKLASPAGAPHQA >Dexi1A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:1A:28174356:28175310:-1 gene:Dexi1A01G0021400 transcript:Dexi1A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGSHVIGVPVTSKAYAIEETTTRDRPAAAKTDGDRLAVSLTHPSPYTSFGYKHSSKGQVIHWVNKLGRRAQSFRDHVTLGPKLSETVRGKLSLGARILQAGGVERAFRHAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRRIAFRSDRSLAVTSPSGDVVARVPYKVAVPLRRIKRVRPSEDAEKPEQKYIQVATVDGFEFWFMGFVSYQRCCKYMMQVISEL >Dexi1A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:1A:2599554:2602219:1 gene:Dexi1A01G0003570 transcript:Dexi1A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASSGGEEGVVEGSGTGTLVRIFVGGLAESVGAADLEALFASVGRAAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKIRLEKAKEHYLTRLKREWEQDAAAAEAAAQEAVVKDNAKQDKPKLDKAALEGMKINIYFPKLRKVKALPLKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANSEYAKVLNAAAYEKERNIMNSVMNKLFGKENEDFDSSETKKCDVHNDTIEPSSTVNNMRIEETRDAPEEDLQMEESEESSDDDLDDDLVMNIAPRKPNKSAGQANMEKHEVNKDSQLRKRSSIEEASLPNKRQRTEYSSEPRKGKQEPFSVISDTRTRGKTLPAKSEDKKRQLKSPALTGKQTYEFPSTLPRDKSSANPQGVEAQTSSTKTRSAQNIVANGPKKGSMWTQKSAWRDLVGGMGSTPFSISQVLPSTYTAPSELPNATETVRSSEVLEATMHLPSEQKLSSSVGMPSTGTTDESTGGECKDSNKPQKVRVVPKITIGEVCPFMRNAESQKQWSKAKKAIAGFSKKSNERSGSKSNAGKGNPFKKR >Dexi2A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:2A:5060742:5061119:-1 gene:Dexi2A01G0005280 transcript:Dexi2A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAAFTGRSQRRLAAAAPVSVPLPLASAAAAVVPGTAKLTAHPPRLPAPRAFPLPLTEQRRPGLRPRLAFTTSHPPPPAAAPLPQPQPACARVRLGVGRGQARGRSAVCGGGAEREGGRGGARR >Dexi6B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:6B:5101979:5103521:1 gene:Dexi6B01G0005610 transcript:Dexi6B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMIPSVRLSPAPAAFSGSNLRSKSASIPSISSLKPSKYVVSSLRPLYLAPLEGPRTAELKPQRRQPLEFRCAASAADDKESNAQVPVQSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMIFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVFVSRFLLGETFPVPVYLSLIPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIW >Dexi9B01G0048890.1:cds pep primary_assembly:Fonio_CM05836:9B:47766854:47773214:1 gene:Dexi9B01G0048890 transcript:Dexi9B01G0048890.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPRRFALADEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGDADDSKPEKEADSASDSKNGAKPMDVDKAKAKRKIYVGQELEFRRDNMEVISPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNTAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSVATSPVGGEFLTDCMMKSLESKGVVIRPRYAFKKKEISPGEYKIVDLDLPNTTESYRLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMPVRGLPRMVIDSVNRCDVDIRKELFSSILLSGGSSSILQLKERLEKEVLEESPQAARVKVMASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >DexiUA01G0018530.1:cds pep primary_assembly:Fonio_CM05836:UA:39195877:39203846:-1 gene:DexiUA01G0018530 transcript:DexiUA01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAWRSAMAPTAWIRRETPAEGEETLALSHCAGVKRGRLKEREYETVSHIISGLAQKFEDNEESNANRNMERLEMAHIRLEAALATSDKWKITDASLLRWRRKLKRAAQECNDTLHKCKQRIIEDEQIEWEVKDSSFPNRIVHATKSFVFSVLNHNNNELSRSVAQRFERAEDQDRKSGAGPTLSQSVDSVRPRRILANPAGSVGAAADFGFRELEQPVMEAARASRLKLGVGMDTVAALTPPRRPSSSASKPLGTSSRTAVASPRPLVKAKQRRSISCSINSPPAAARPARSPCSCASASASSAAAAAAEPPQKEKDLVFVAGATGRVGSRAVRELVKLGFRVRAAVRNAQRASSSSLVQSVQQLKLDGDAAAIPPAEKLEIVECDLEKQPQDGIVKAIGNASLVVCSIGASEKEILDVTGPYRIDYMATRNLVEAVLFAKLYRFVRGKFTYQSVAYMYIHRRD >Dexi5A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:5A:4362150:4362830:1 gene:Dexi5A01G0005870 transcript:Dexi5A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISNLYRSVETLDETYVRSATTKAALLAPPAGAYNQQAGGKLLQLPEPASMELFRCNKYAECVYLSKARGARCRNCDQQMNTRMVLDDGDSSGASAGGAGDAAAPALADTGFVKGVVTYTVMDDLKVAPMSTISGITLLNTFGVTDIGSLQEKTVQLGYDEGLKILKSSLQSKTVLTDVFLVKK >Dexi6A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:6A:26821518:26824435:-1 gene:Dexi6A01G0019180 transcript:Dexi6A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRDASGWCFCSGGAKLERIKSSLLAAKGAAVAAVSFPSGGGGGGGGGAGGKGGSGFLIHRGLLLTTHGTIPSAAAAGAAEVWLSHGRLLARLMPQRFFITSPILDLTIVGIDVVADDSSSHGQQPHFLKTCLNPSLDLGSTVLLLGHNRKDLAVGEGKVVIATDNLIKFSTDEVLWRPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTVLHASRKDVPTQFGIPIPAVCEWLKQHWNGSLEDVSKPMMTPARLTTSGERSGRSSFGHLHYIKTTEREGGDVLSSSQIPPRPTWQHGACSSASVKISHGENDSIVSHSFHGQHELTSKMCKPKNEQADSLMDTSLPPGHSRSIRLPLPLKQMMPDENKNEANRPAPHGAHPSNVQINCGTLHNVAYQENCWSEVQSSSSPLAMSELGDERGGFSSGEETMYSAETRESRNIPSPKDKKAEVVGRSQSFVNHNKWDSPKSVESSKGVPSKSHTFIPLRKPHLQAAAISQKSQVYFSPTVSSNMKKRNLSQTPMKPRQRAQVTSKWIT >Dexi4B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:4B:1694899:1698730:-1 gene:Dexi4B01G0002650 transcript:Dexi4B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPTWPNPVYVYAIRSNVKNPIEYPPHVPTPLLSCPPAAAAAVAHRRAFKPDPRPAVLDLLLLPVRPSVICSDSPSGHLCTAHLLQLAALPWTLVDSSSLFTCLASSTHLRPSSPYLAWNKTLGLPEHHCAVSKMARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQKIEVRPGIVMKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >Dexi6A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:6A:2125954:2127150:1 gene:Dexi6A01G0002260 transcript:Dexi6A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLAALAAPATAVDQQQAADQRPIALPGCPDKCGNISIPYPFGTKEGCYFDPSFLVVCNELTAPGANLAVPLVYNMTGYYIGDSDNPAAIPMTNASWWTVDLIDLDVAHGEARVGMPVSSDCSLNESYHELNMFSMQVNVSDEGTYVFSATRNVLIGVGLSVTARVFGSMSTTNVSASCTSLFDTPDAAQNGTCSAGLGCCQAGLPPGLGVIAVGPKRERNTMWFEFPCTYTMAVERSWYNFSLQDLYDVGKFPRKVPIVLDWAIRNGSCPPEGNYAPPVACRSDNTRCVDATRPPGYLCKCKDGYDGNPYIAGGCQGEQRQRGR >Dexi7A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:7A:2226:2729:1 gene:Dexi7A01G0000010 transcript:Dexi7A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGTRRNLFTTAYDELKEILGDCEPAPVEQKELMERLISTMKEKSRKASEEGMWQVIEKVWVEMLCFSASRCRGYLHAKPLGTGGEFLSAPHVIHGDGELDRPAAQGGASNSKLPLLGREQQHRSIDDF >Dexi1B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:1B:10608149:10608391:1 gene:Dexi1B01G0010750 transcript:Dexi1B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTTTAREELHDTTSPPLPRAAAPPPLCHGSEREKPIKREIEGGHTIVGSPPPAMGIGRRSSIGCRPWGRGPCSCAPP >Dexi5B01G0028530.1:cds pep primary_assembly:Fonio_CM05836:5B:29902040:29904916:-1 gene:Dexi5B01G0028530 transcript:Dexi5B01G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLAAGGGPLHCCACASSTPTTARPILDVTPLRILRVRASASPPLASPAIEGRDVGLTVTTRRGRMLPVLKGCSLHVPPGQIWMLLGPNGCGKSTLLKVVMPTVESDVAFGLGKLNLPLDEVKSRVSKSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEHDQMGVIKAVRNSVAADGEVAALWVTHRLEELRYADGAIYMEDGQIIIQGDVSTISRVIKRKQARHFGHFEL >Dexi7A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:7A:28982914:28984772:1 gene:Dexi7A01G0020040 transcript:Dexi7A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSCGLRTRPASPHQLRHPLESGNLVVTSGQSSSSSSVNVILWQSFDHPSNTLLPGMKIGKNLWTGSDWHLTSWRSSSDPLPGRFPCTTDTRRGVPENVLWDGDVERYRTGPWNGVSFSGVPEMTTYSDMFRYELTVSSGEVTYGYVAKPGAPPFSRLLLTDDGVVQCLVWDATTRAWKSFFQGPRDICDAYGKCGAFGVCDAGAASTSFCGCAAGFSPASPAEWKMRDTSSGCRRDVALDCAGDGTTTKTTDGFLRLRGVKLPDTSNATVDMSGIELEECGARCLGNCSCVAYAPADIAGGGAGSSCIMWTDGLVDLRSVDGGQDIYLRLAKSELELGETKPQHQPFRTKLVIGASIASSLVVILTVILAILFVITRCPRPRISGNFIFARLTHCTLIRVPAAHSIQPIPAPTVPSVELSSMKAATKDFHGSNIIGRGGFGIVYEGNLSDGKKVAIKRLIIQPSHTDDEGEKAFDRELELMSKLRHGNLVQLLAYCKDGNERLLV >Dexi4B01G0011710.1:cds pep primary_assembly:Fonio_CM05836:4B:9176269:9177699:-1 gene:Dexi4B01G0011710 transcript:Dexi4B01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKVAPGGKAAAAAGDKLRFPPSSAAARSRMKLWVIRATTTVLLWTCVVQLTAVGDNWGPRVLKGWPSCLTASEEEAALPGAAARPQPVVEKDALPPKNL >DexiUA01G0003240.1:cds pep primary_assembly:Fonio_CM05836:UA:6588958:6590808:1 gene:DexiUA01G0003240 transcript:DexiUA01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAANSHGRALHTRTTSSRALTGWGRRTLAMAAHHANSPRARVVVRASAQRATWLPGLDPPAHLDGTLPGDYGFDPLGLGEEPAALKWYVQAELVHCRFAMAGVAGILFTDLLRVSGIKDLPVWFEAGAAKYDFANTTALFFVQLLLMGFAETKRYMDFKNPGSQAEEGTFIGLEAALAGSQPGYPGGPLFNPLGLAKDIENAHEEKLKEIKNGRLAMVAMLGFIVQASVTHAGPIDNLLTHLSDPFNKNIIHAFSSS >Dexi3A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:3A:3280010:3280285:1 gene:Dexi3A01G0005060 transcript:Dexi3A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQQNCCVPDEEALVRSGLRAELMPQHVAIVMDGNRRWAQARGLTTAEGHEAGGQALGKIIELSAAWGIRALTVFAFSQENFRRPQASS >Dexi6B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:6B:1436265:1439921:1 gene:Dexi6B01G0001600 transcript:Dexi6B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKANGDKVCFRKLDSESIVVKDEREEDVIFSFDKVFYEDAQQSDVYNFLAVPIVSDAINGINGTIITYGQTGAGKTYSMEGPSILHCNEQKTGLVQRVVDELFGCLRSSAGTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYIAGATEISVVNSSDALENLSQGIANRAVGETQMNLASSRSHCLYIFSVQHGSTSDERVKVGKIVLVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGKQNHVPFRDSKLTRILQDALGGNSRAALLCCCSPSPSNAPESLSTLRFGTRTKLIKASPKSIPEVVDNVKKPILGTHDQDDLRDRILSKLRLSLKEEDVDLLEELFLQEGIIFDPNSITDVDSVCQDTATEEISLLMQAIEELKGTVEELTDENEKLRHELGVAQEIAAQAKLAAAAAVAAGAEARSHTLLDFVPAPLLRPFGFVPD >Dexi7B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:7B:1412611:1413915:-1 gene:Dexi7B01G0000640 transcript:Dexi7B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSFAVTKSSPPELVLPSKPTPAGDIPLTSTDKSRLFLSFTSLKVFERPIHSPAKTIRRALSQALVYYYPFAGRVATGTGSDGDVHIACTGEGVAFASATASCSLQDVRFLHTPPAIPLEELALRYGAGRLSMTSPLLMMQVTEFACGGYVVAVTWNHGIADGYGLAQFMQAVSELTRGLPSPTNVVPVRHDESLPDIPQLISAVQRRSPVGFELKHVDFGYTDVTIPWSFINRVKGEFRSRYVGGGVESSCTTFEVVTAAIWRCRTRAINSKPDVPAPLMFTVNVRKHVGCKDGYYGNCLSSQLVEATADAVANGAIVDIVRWIKDTKGRVQESLRRSEGEMELSDEVVAVLHGGYNMLCLTSWGRIGMDAVDFGGGRPARVVPNMERTVLPSCFTCLPCSTNDHGGGVNVVAFCVTEDHIEQFHSELAELR >Dexi1A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:1A:3744292:3747446:-1 gene:Dexi1A01G0005130 transcript:Dexi1A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSERRNGQPDCTSHDERLPLSNGNCFVVVVVSLSRPPVDPALLTANQPRSHHRSGVSSKKAHGVLRILGDRTRAAEPSAPLRSSQPSDCLPSPSHPIMASPAPTAPPCPARAPISQALITRQPTAGARGTAAYDRCPCATVRRRPPCWVWPGSPPRPCVSPSRSGASATIANLFAFPGAPVLSFQLFRVLSRLQEWDRGQNLGLNDRGQNLAARALLAPAPDAMPMPCYRANAKQSSSQKQSSGKESLARVKKDAAAKTKAMNAGSSGSGEQKDIRSVLFEKRQHGIGLAVAGDGGGHAHTHTHMGAPRHGRTWRRTVPDQRHTWRLRLLLPCLNLHHHQLMAGEQHPDPFHRIRMMRT >Dexi2A01G0031550.1:cds pep primary_assembly:Fonio_CM05836:2A:42314761:42320818:-1 gene:Dexi2A01G0031550 transcript:Dexi2A01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWAPGARLAGEVSRPAAQAGANGLPTARPRRVAVTRRWRGRGVRCCAVGSLGSGAAAAAVDPGEEFVAFFREAWPYIRGHRGSTFVVVISSEVMSGPHFDRVLQDISLLHGLGIKFVLVPGTHVQIDKLLSERGKRAKYVGQYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLRRGVVNGIDYGFTGEVKKIDVSRIRERLDSDSIVVVSNMGYSSSGEVLNCKYVVFSVTSIFFISLHCIYIAASILNIISMPCLDIFSTYEVATACALAMEADKLVCIVDGQIFDEHGRVIHFMSIEEADLLIRKRAKQSDIAANYVKVVDEEGINSLHNKGACEPSLNTRTRVNGYAASFQNGLGFNNGNGIYSCEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARYSKAHLPIFLSKDVYEGTRMATAEDLPGIRKIIRPLEDAGVLVRRTDTELLEALSSFYVVERDGSIIACAALFPFPVEKSGEVAAIAVSEECRGRGQGDKLLDYIEKVALSLGLEKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLQPKHAGVITNNFVIR >Dexi9A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:9A:958523:962904:-1 gene:Dexi9A01G0001840 transcript:Dexi9A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALASPAASSLPGIRNPGANPGPRAPLRSSATLWLGASGRSVAAAAAAATGDHWGADHYQGGGGRVGSSESASRAAHGVKCDVDVVSWRERRIVGSVAVAADVDTLWQVITDYERLADFIPNLVHSGRIPCPHEGRIWLEQRGLQRALYWHIEARVVLDLQEVPDSINGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILFYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACRAEKIYLENQSCGSGTFSGVDSKLSSSSSQLKLHSTAIETISSKFKEAPPTTGARSVLPSPSSELIGKWGVYGNACRIDRPCVVDEIHLRRFDGLLEHEGAHRCVFASITVKAPVREVWNVLTAYENLPDFEQVEGDFYSFKGKWRFEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAGAEGSNSTTYSDASRHSYIDPAENRQSEQESASCSIGSTKQRPKVPGLQRDIEVLKSELENFIANYGQDGFMPKRKHLRSHGRVDIEKAIARMGGFRKIASIMGLSLSYKNRKPRGYWDNLENLQEEISRFQKSWGMDPSYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRTDSDDDRQSESPAGSDMTMKHGMKPDKAKTSPDAQKWLLKLKDLDINWVEY >Dexi1B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:1B:17953747:17955778:1 gene:Dexi1B01G0013010 transcript:Dexi1B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPVKAAIAATAEYISGLLPSHLVYSHAHETAVEVRHLAEKGFKIFKAHESVMIEKYNAVVSLWRRVSPMSKGLKYGDAVKLMSMLEDASRGSVILAPYALI >Dexi3B01G0023330.1:cds pep primary_assembly:Fonio_CM05836:3B:18137219:18141111:1 gene:Dexi3B01G0023330 transcript:Dexi3B01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASKHHHHTLSRAGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLGDVLQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKVPKKGKIYSVNEGNAKNWDGPTANMVADIHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGVGISTENAASKFTPQALELAPTKLHERSPIFLGSYDDVEEIKALYASEASAV >DexiUA01G0004670.1:cds pep primary_assembly:Fonio_CM05836:UA:8753138:8759847:1 gene:DexiUA01G0004670 transcript:DexiUA01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPEDEPPFSPSDFLDLPPTPCLEEGNDDFVLPFIARVLMEDEDIDDDHPALLKVQQPFAEILSAGSAFAANDATWPYDPVELSQMLLLSNSRTQPPPGMGAVGQHGATLPDGNNRVTMEMLNQAFLKGMEEANKFLPKSNNSFLTDTSIDRLSMSQQPANDRRGRKKSHDIDWDHVLEAETGRNSKLMVPDIEEASEMVDEFIQNGYQSLLDRMMDMNISMDRETEKNARKKEKGSANEVVDLRTLLIHCAQAMATGKRHAATELIREMKQRSSPRGDATQRLAHCFTQGLEARLAGTGSQVYESLMSSRVSAVEFHKAYQLYMAVCCFQIMAFKFSNITICKAIAGRKKVHIVDYGEHYGFQWPTLLGFLAKREGGAPEVRITGIDFPQPGFRPAARVEQTGRRLSNFARQCGIPFKFSSIVAKWETIGVDDLNIEPDEVLIVNGLFHFGTLIDEGGDIDSPSPRDMQELFRWCALNAIACEGTDRVERPETYRQWQVRNDRAGLRQLPLEPDVVKAIKKKEKEEHQRGCGPTHLAHPLRTSRATGDRHAATELIREIKQRSSPRGDATQRLAHCFTQGLEARLAGTGSQVYESLMSRRVSAVEFHKAYQLYMAVCCFQMMAFKFSNITVCKAIAGRKKVHIVDYGEHYGFQWPTLLGFLAKREGGAPEVRITGIDFPQPEFRPAARVEQTGRRLSNFAWQCGIPFKLSSIVAKWETIGVDDLNIEPDEVLIINDLFHFGTLIDEGGDIDSPSPRDMRMLVEQELFGRCALNAIACEGTDRVERPETYRQWQVRNDRAGLRQLPLEPDVVKAVMKKSVPGFHLARSPAMAATPEEFLFVDPEPPSPSVFLDLPPTPPPPLHDDDDDPGAFDDMVLPYVARLLMDEEATDEDSFFYQYPDHPALLQAQLPFAQILSDAAAIASGDTTTTTSSPSFSVDTDDTGSPGGGEDRRDISISDDSDMVTSAFLKGIEQATKFLPTITNNALFPIDHSSYDAHARGRKNSWHPDAAPEPETERATKIMAPDPYDEEATRQMFDEMMLNERDISMKGVEQQQVPAGDKKRRRGRPRRSSSSITDGDDTVDLHELLLRCAQAMSTDDHRTAHSLLAQIRRHSSPTGDATQRLAHCFAEGLEARLAGNGSRLYNSLMVRPTSTIDFLKAYQLFMSACCCKKVAFAFSNKTIFDAVAGHRRLHIVDYGLGYGFQWPGLLRGLAARDGGPPAVRITGIDLPQPGFRPAFHVEETGRRLGRCALELGVPFTFRGIAAAKREDLVDIAADPADDEVLVVSSLCHFSPRDQVLGNIRRMRPDVFIHGVVNGGHGSGYFPTRFREALFFFGAQFELLDATVARDSPERMVVERDMFGAAAMNVIACEGGDRVERPETYRQWQARNQRAGLTQLPLRREVVKVVVDKVRDKYHADFAVDQDHEWLLHRWKGRVLYGLSTWTSRD >DexiUA01G0011800.1:cds pep primary_assembly:Fonio_CM05836:UA:23552491:23554068:1 gene:DexiUA01G0011800 transcript:DexiUA01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVESAAGYGWWQAVLVLPVIFSAWASPFELAVERAATLPFLVADLIADAFFAVDIVASILATCSRRPRGNTNMPFIDDRKKAAMRHLRPWTLAMDVASTIPFQAIHHLDAGRGAAAAWSSPCRVLSLLRLWRLRRVSELFAKLEKDVRLSYFWTRLVKLGGVALLAVHAASCAHLWLASHYRGPKERTWLGRGFEARSVWEGYTRAVYWSVATLTTVGYGDLHPAFGCRNTRFAPIFVQ >Dexi2B01G0035500.1:cds pep primary_assembly:Fonio_CM05836:2B:42478686:42479577:1 gene:Dexi2B01G0035500 transcript:Dexi2B01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRGGAEGGGVVDDVPAGLDDRLPHAVADEHEVRLLGPDLHITNLASLLLGAAATASLTVVKLPLPSLATTMSAFTTCCCSRLRSAADIHDGNPSRRTLPYPSSNLRRRRRCGRRRTMARELDTRWATW >Dexi2B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:2B:27137092:27140397:1 gene:Dexi2B01G0016820 transcript:Dexi2B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNRAAILILLLHLVLSLLVSASMSDTPAGAVRRRRRQVLLREKATLLALKKGLTLPSTALLDWNESNGHVCSFTGVSCDRRREHVVGLALADMRISGAIPTVIGELSRLQSLDMSSNSISGTIPSALGGLSHLRILDLSNNSISGAVPPSIGNLTLLRDLFLNNNVLSGDIPRSIGNLSSLYNLDVSSNMLTGKIPTELSNLHSLGAIQLGYNQLHGVIPPSLAMLANLFYLSLEHNNLSGSIPAAIFLNCTILGVIDIGNNSISGEIPRAASGKLAYTFAALNLYSNNLTGKLPRWLANCTILRILDVENNMLDDELPTRIISGKKYLMYVHLSNNHFQSHDNNTNLEPFFAALSNCSNLQEVEAGGVGIGGQLPSRLGSMLHRNMWHLDLELNAITGPIPASIGDIINMTLMNLSSNMLNGTIPASLCRLPFLEQLVLSNNNLTGGIPECIGNATHLGELGLSGNALSGTIPSSIGSLVRLSYLSLQRNKLSGAIPPSLGRCASLLLIDLSNNSFTGAIPEEIAGIAKVTLNLSRNQLGGKLPSGLSSMQQVETIDLSWNNFTGEILPGLGDCIALTVLDLSHNSLGGHLPPSLGRLKNLESLNVSNNYLTGEIPITLANCDRLRYLNLSYNDFTGAVPTAGPFVNFSCLSYLGNHRLSGPVAKRCWRRRRSWYQSRKFLAVICICAAVLAFVLTIVCAVSVRKIRERLATMREDMFRGRRSGGSSPVMKYKYPRITYRELVEATDEFSPDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAAAELSLVQRVNICSDIAEGMSYLHHHSPVKVIHCDLKPSNILINDDMTALVSDFGISRLVMSIGGVANTADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRRRPTDDMFEAGLSLHKWVKMHYHGRADAVVDPALVRMVRDQTPEVRRMSDVAISELLELGILCTQEQASARPTMMDAADDLDRLKRYLGGDTTATFASSLGFSSTTFEDDID >Dexi2A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:2A:1492792:1494835:-1 gene:Dexi2A01G0002000 transcript:Dexi2A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEPVSPVGRLMKDLYVVAAIGLAAPLNPAVFRAGIESQLARHPYFSSIQVTDKHGGTPRWTRTAVNVDDHIVVVSNLAGAEEDDDPDKAVEEYLSSLSTLPIYAPPTYAPPTSASLVWSVWYYLVVAWHTVVDVAAFVATIFFLRDPHTLFKRADHGEPHHRRRMRFVHRSLSLDDVKFVRNAMHCTVNDVLVGVTSAALSRYFFRKNGDTKTRETVLRSILPVNTRPTTSLQMDVDKIKSGKSNAVRWGNRLGYIILPFHLALHNDPLEYVRKAKHIIDRKKSSLETIVLHMAVETIFKIFGPKALTVHYQSYSNSIKVILAVDEAQFLNSRQLLDDFAEFLNLTKEAAAKTPTKSIKDE >Dexi5B01G0033100.1:cds pep primary_assembly:Fonio_CM05836:5B:33629226:33629520:1 gene:Dexi5B01G0033100 transcript:Dexi5B01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLEEAIVADGNGKEEEVGVMGIGAAGDDGEHHGGGGFSMKSFLWHGGSVWDAWFSCASNQASASHHSAQLNTQWRRCY >Dexi1B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:1B:5963216:5963488:-1 gene:Dexi1B01G0007190 transcript:Dexi1B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRVSLLLLAIIGYCAFRGCSAQVPIPARTDGFVYGGKPPAWGETVVVEAFFDPVCPDSHDSWPALKKIVDHYGGRVSVVVHLFPLP >Dexi2A01G0022110.1:cds pep primary_assembly:Fonio_CM05836:2A:33988008:33992559:1 gene:Dexi2A01G0022110 transcript:Dexi2A01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRRLFLAAALLLLLAAGPAAAQDAAVEGVAPAAEEIAANARAKEAAVLASELGQLRAKISALDYHILYAFFLLSPVTLQRTSDDQKRRIQKTEHALKVAEEELMRVQLETTTKAKQLREVHGAWLPPWLATHAARSMEVMSNHWNEHGKPAVDSLLQKASEKSAQAKKWAEPHLETAKTKWVPIAKEKWVTLKTNAEPYVQIVSEKSVEVYQTSSDFIRPHLVNAHQVAEPYFQEAKRLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQACALLLMPVFVVYTLLIETFWFVFSFQVFS >Dexi2A01G0023700.1:cds pep primary_assembly:Fonio_CM05836:2A:35375087:35375881:-1 gene:Dexi2A01G0023700 transcript:Dexi2A01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRLEHSASSSTTTSASSSSSDQNKASCWSASSSSSPHQPAPKKRPAGRTKFRETRHPVFRGVRRRGAAGRWVCEVRVPGNRGARLWLGTYLTAESAARAHDAAMLALGRGGGRSGEGVLNFPDSAWLLAVPPPSANSGLEDARRAALEAVAGFQRRYGSGAGAEEVTSSVSAPSQPLLDISSSWPATSKAPDAAPVTKADEPAAPVVDVFEPNWFSDMDLDMYYASLAEGLLVEPPPPVAAAAWEHGDCGDGGADVALWSY >Dexi8A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:8A:23251927:23254775:-1 gene:Dexi8A01G0013260 transcript:Dexi8A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQSSKETSRFRAFAFSNCATQESAGDRELHNVGIQSNQEPSRFRAQNEVSGAVQESAGDRELHNVGIASQSAGVYLNGSHQHLLGFSRSVNLYDEQYLSSALDDMTLDLNTHTAARQDDSLPLQISAAQAKQKSNLEHQEQGHGFPPHLGKFSRTSGQQSFNTNFGVPYNPSTAFAPPFQQHCYVDGQSQMYRPHDQNVSSNFTWQHDIGVQPYSFVQPHYICPQMQQISSFDVYQHGSNEHAAVYASANVPSSRIGTPNSHGLETGYPCFSVAAFQKRNNRLNNMFTNSFCSTSCADSSCGSVDFHHFQQAEKFFHPSGQGFSHHQQVDNLAHSNGLGFSHHPHQTCGRFNTVSYPERILMSPDGGNSVRAINFAPSVNGYADMDHRINDYSHEHIGIQRNNSMLQLLPSTEHLTVDNAVGRVCILAKDQTYCHFLTKILAEGTQEDADKVFYEMIDHIGELMMDPVANNLVQKILGTNDQRMHIIRKITKSPAELIKVCCDTHGTRVMQKVIETINTIEASMVVTALSHGTMRLMTDANGNHVLFRCLEKLLPEHKAFITETAASRYLQLARDRHGCCVLQKCMEHSNDEQRNDLLSKITSSALRLSEDQYGNYVIQFILGLKIEWATTRIVDRLAGHFGNLSMQKCGSHVVEHCLKLAPQLMCDRIIDELIHDPKLPHIIIDQYGNFVIQTALKQCQGEQHVAFVEAIRPYTGALQSNMYGKRVLSKTYLKNKHNLFGFF >Dexi5B01G0023090.1:cds pep primary_assembly:Fonio_CM05836:5B:25322113:25324538:1 gene:Dexi5B01G0023090 transcript:Dexi5B01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIISRLAAASSSDSRPREQLLCRVISAYGHARLPAAARRAFAHPAFPVPRTARALNALLHALLSYRAQLGDLLVVCGEARIAPDACTYNILMRAAAALGSIEHARYLFDEMLQRGIAPTVSRLAPSSPLCAMLASWKKRSRDVDAAVTVRLKEEMVGNVELVLDSAVYATLVQLDPSAAFAVLDDMKKNVCKADAVTYNTLVAGLCKLGQWQDTAELVEDMPRQDVLQMCKDGVRKFFQGIEREGDVVLLESVLYRLAKVNALESSGWEKGYPHDAIILKSNELKMSDNCRVEESWQDDKEDDLRPPPQVMFTALQRL >Dexi5A01G0025890.1:cds pep primary_assembly:Fonio_CM05836:5A:29609747:29610349:1 gene:Dexi5A01G0025890 transcript:Dexi5A01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEVAELVLLAAALALAAALILVVFRSRLHRRRSSSRKRPPPPPELPVFHDHEPALPAAAAPAAKASLVLLLTMLFSGRRQHARVEVEPAAAAADTTQAESSPAVTAAAGAAEGVASWRERWSRALYTIDEEEGEEEQQEDEAEAEPPETPFYTPAASPARLH >Dexi9B01G0022520.1:cds pep primary_assembly:Fonio_CM05836:9B:17198385:17200143:1 gene:Dexi9B01G0022520 transcript:Dexi9B01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLKSIITGGRKDAGGGGKKALPLPGDATPLPASSASSSPREKKRWSFRRPAQQGRPAAAAPSQLSLDSAGVAAGMSASGRDIDGQSEHAVAVAVAADAVVMAEAVARLAAAEEVEDGEVSAICLVEEAAAARIQATFRGYLARKALCALRGLVKLQALIRGQLVRRQANATLRRMQALVDAQSRLRAQRARMVDADHIISAAAAYQQRRSPQHPRRRSSYEMDRSGEEHVKIVEMDIIGDLPPGRRGRSSCSAVATESRDRRLAEYSYYGGEQCSPAPSSTAFGGAAELMSPERAYSGHFDDAFAFADHAAATTRSSPYVSPYDVTGAGADGYGVVPSYMANTESSRAKARSQSAPRQRTDAPALERQPSRRRGGAPRKMMQRSSSHIGVPAVAAASGYGYGGYGYGYQLQPQPWAGVRLDRSSASLVGSECGSTSSVLTAATVGYCRSLVGFEVQRGHY >Dexi1A01G0026280.1:cds pep primary_assembly:Fonio_CM05836:1A:32233857:32234318:1 gene:Dexi1A01G0026280 transcript:Dexi1A01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHSVVFLVTGFAMVLVVHVLVLFSALNWCCRAQPSSRVGERAEEGGGAGGLSAEQVDELPCHDCKEGPGGGGECAVCLEAFSAGERCRVLPGCDCEHGFHAECVDSWLRKSRRCPICRAEVVVVVAGGQGKSAGGVAEATAVEIVVVTER >Dexi7A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:7A:22674613:22678384:-1 gene:Dexi7A01G0012500 transcript:Dexi7A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAWRWRPLLAGACLLLLMAPWASAAVRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHVSEGRFGKVNPAGIEFYNELIDSLLLKGIEPFVTLNHYDIPQEVEDRYGAWLSAETQLDFGHFADVCFAAFGDRVKYWATFNEPNVVVRKGYMLGTYPPQRCSPPYGTCARGDSGVEPYVVNHNIVLAHATAVEIYKRKYQSKQKGMIGTVVSTTWYEPLTDSQEDRLATERVLAFDVPWFLDPIIYGDYPPEMRQLLGSRLPTFSPEERMKLGYKLDFIGINHYTTLFVRDCMFSSGCPLGQETHQAQAAVTGERNGLPIGPPTPMPTFYVVPDGIKNIVTYVMKRYNNLPMFITENGFAQGGDGYAHVDDWLDDQGRIQYLDAYLTKLAEVIRDGADVRGYFIWSLIDNFEWLYGYTLRFGLHYVDYQTQERKPKSSALWYKRFLQSLHEAQ >Dexi2A01G0022870.1:cds pep primary_assembly:Fonio_CM05836:2A:34647198:34655323:-1 gene:Dexi2A01G0022870 transcript:Dexi2A01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLDGGGKQDAASSTATRTTDGVNRTTAMQSLEEGAELLRERCLKFHKGCRKYTGSPGLLNPNFSCGLREGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHEMKDARKRFDKATLLYDQAREKYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTSLSNIEAKKRFEFLEAVSGTMDAHLRYFKQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMNDSPNGDGIQAIGRSSHKMIEAAMQSASKGKAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHHRTASESSSFSSSTELEHSISEDCMLEKNLGSGYFEHSGRATQHHRTSLMKPDKPIDLLRKVAGNGNCADCGSPEPDWASLNLGVLLCIECSGVHRNMGVHISKVRSLTLDVRVWEPSVINLFQSLGNAFANTVWEEMLPSSSCADHGDISRADGLESTSHGLALSKPKQSDPIAVKEKFIHAKYAEKDFVRKHSMDEIQLAQQMWDNVSSNNKKGVYSLIVGSNADVNFSYGHTSFNSALTLGKALLLQEQPTSPSNGSSRCFDRNPLEKDSPGDSISPASTSARIDELDDYVEGLSLVHLACRVADLGMVELLLQYGANVNSTDSRGRTPLHHCILKGRHAYAKLLLSRGADSQARDRDGRTALQYAIDSGTIDDDEILVLLEDPSR >Dexi9A01G0035530.1:cds pep primary_assembly:Fonio_CM05836:9A:40185678:40186672:-1 gene:Dexi9A01G0035530 transcript:Dexi9A01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATDRIVLSRDDRTISMVEIAMATPPTLDAATVGFRIVVVQRGGGGDAGEEDDDADTMEDVACRVPVDELMIKESGRGAAAAAIDRAFEELVSKLDHPTLIPEVSPEARKAAATVRAICAEREIAALAGVEFRLRVVFLDEEESEPESDSEEEAGSDLEFDDECWELGQIDCDGGALVYHGQFTSDAAGEWRYEHGEADLSEDEHDGGQFTARPFDGALTREGGPSDGTLLLSGFEASADGPDELGDQQHELTPRDVRRLVRLAFSGGDVEGDEAYQRALAAGGGPVSPATLAAMLDRALRSVTQRPPAPSGSGMPPGRMRTGW >Dexi2B01G0024350.1:cds pep primary_assembly:Fonio_CM05836:2B:33822093:33823144:-1 gene:Dexi2B01G0024350 transcript:Dexi2B01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTYTPTYYSGLQDTIASLCKSILPSSFRVGRRLTADQAAARRHAEQLKWQQESFHRILHLAALHREGIVPASDVAAFRAPMLAALVAPPPHPEQPAVLRDKLLFLQELLYTKCISAAEYNASKAPLVHRLAALGVVADCPDAEVSAEEWSEIDLQDPPPPASSAAASDKPKHKAFITPWKSRSKKDQDATRPPLAPVDQNNGKNASVLMAESSPSGGVPSGKAEKGKRRHLAAMFSGGAGSGGENKDPAAAGEEGVNEKETAKGKKKSSWGFDGLKKWKKVGCSNPEAAATAEQAERALPRSSYSECRLEASPMAASGPDAKRAKTKLHTATSTVN >Dexi1A01G0028340.1:cds pep primary_assembly:Fonio_CM05836:1A:34011304:34014923:1 gene:Dexi1A01G0028340 transcript:Dexi1A01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPPRLLSSFLGDRLALSARPLAHRSAAPEVGMMQWKITLDAYARIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIIDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTIYLNGYHGDTSATFLCGDVDDEAKKLVQVTKECLDKAISICAPGVEIKRIGRTIQEQ >Dexi1B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:1B:1021262:1023509:-1 gene:Dexi1B01G0001350 transcript:Dexi1B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRAAAASQEASAMRRLHSLLLPFLVTVTVLFLLSRFARRVSPPPEPPLPCGAAPSDATAGRWVPTPRPVPPPLYGASCPFHRNSYNCLRNGRPPLAALSWAPTRCGGAVVPRIDAAGFLAAARGRRVGLVGDSLSENLAVALLCALGSADPGARRWKRRGAWRGGYFPREDVTVAFHRAVLLAKYTWQPMENSGELQKDGRKGIYRVDVDIPADEWINVTNFYDVLIVNTGHWWGTYKFPKETPLVFYRGGEPIEPPLSIPDGLKVVVKSMASYIDREMPSKALKLWRTQSPRHFYGGEWDHNGSCVTNKLVEEDELDSWFDPRFGGVNKDARTVNSAIQEALAGSDIRLVNLTYMSEFRADAHPAIWLGKKDAVAVYGQDCMHWCVPGVPDTWVDILAAQILHYFKHGKS >Dexi3A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:3A:5046198:5046644:-1 gene:Dexi3A01G0007390 transcript:Dexi3A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCWSSVLAILGGRAPDDEQRLGEESKASALHQRLLDGWAAAAAEKEEAKKLAAEVKKLTAEVEALERAVAEAGAAREAAEVKRREAEARAEAAEEQLRAAAERHEAQVEELRRALDAQEDRDARIGELEEKIRELNNASSKWRFF >Dexi6A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:6A:21537137:21537692:-1 gene:Dexi6A01G0014140 transcript:Dexi6A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNEDMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEEETHAERHARTIALAQQVQKSKKDSGRQRQNRRKKVIESLLCIYTFCEAHIEGF >Dexi5A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:5A:24323106:24326358:1 gene:Dexi5A01G0020510 transcript:Dexi5A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASANPKPKRRRRGPRKQGPAEPMVATVGAMAAREVGGEGARRDARQAPTVARVVAAAARGRGSGVEGAHGLEDSAPNAGGSAQEAGGVDGSYLTETRFDQCAICPLSLNAVKDAGYERMTRVQEATLPIILQGKDVLAKAKTGTGKTVGFLLPAIEVLSALPRSTSINLLVMCPTRELANQVAAEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQANPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMRKDYEFVNTVQEGDEETHSQVNQTYMIAPLDLHFSILYDVLKKHVAEDTEYKVIVFCTTAMVTKLVAEVLSQLNLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVNDAVGKVEMKSKESAYQAWLGYYNSNKTISRDKARLVRLAEEFSQSMGLAVPPAIPKLILRKMGLINVPGLRST >Dexi8A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:8A:10594689:10599902:-1 gene:Dexi8A01G0008660 transcript:Dexi8A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNAKLQKQALLPPRSPFPTATAAAPYVDRGPIARPQGAAAHHRHGYGHHQRTSSESFIEEQPPSWLDDLLNEPETPAARQHGRAGHRRSSSDSFALFDGGAAGAGAYANGFEGMVGGGQPALWGGVQEYYAKPGSFGRAHGRPWEQATPNLAGFRHGSGLPVPTKDKVGGYHGPPTPLRDHDHGMDKRTPDDAGHDQKLGTKEVALPKHAQSEADNKRAKQQYAQRSRVRKLQYIAELESRVQALQSEGVEVSAEMEFLTQQNIMLDLENKALKQRVESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQQAPALVRSNSRDLDAQFANLSLKHKDPNSGRDALSGPLRT >Dexi6B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:6B:23014547:23018854:1 gene:Dexi6B01G0015720 transcript:Dexi6B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGELAGARGEGGGVEARRVFIGAGCNRVVNNVSWGACGLVAFGTQNAVALFSPQRGEIVTTLPGHKAPVNCTLWLPTKKDVLQVRGRDTYYLLSGSADGTIMAWKIGSGKGDWSHVLQLPGMHKKGITCLAGRMVSDTVAIFASTSSDGIVVIWELVIEPTTAGSCKVSCLHSLSVGSKPMVSLSIAVLPEQGSHLILAMGGLDHKIHIYCGDKSGKGSGNHRFISGADEKVSRVFEAPLSFLKTLQQATLLKPDISDDFDNVQVLGANMSALGLSQKPIYTHGVKESPSSNSSDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSLCCDYEGKLVASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSIFSIKKTREGVEHHLVAKHEAHKRIIWACSWNPFGYEFATGSRDKTVKIWRVQDTSSVKLLATLPQFRDSVTALAWIGRDSASNAGILAAGMDNGLIELWTVSGGRASAGNTSDSSPLVAACMLQFDPLMCHVSTVHRLRWREPDSSDEKSALELASCGADHCVRVFDVHCRT >Dexi2A01G0024180.1:cds pep primary_assembly:Fonio_CM05836:2A:35910749:35919461:1 gene:Dexi2A01G0024180 transcript:Dexi2A01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSNKSAHPPWSPSRETEEANGPTLIADSPFSITVSLSLVWCFRSPQGGSRQRRACRAGGGIWLVDCWWRLHSIHCTGPIQMAANNSGSIQEELGEGGTGVKREAEEEESTSSSAAQATATAMLPRSSSRPQLDLSGAAIHGTLEERDPTILLPNQSDDISHLALDIGGSLIKLIYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETEKLNECLDFISSKQLHRGGVDSPSWRSGAQPDDILIKVKTKETTCLIYLMKTVNKWWDSRHLSLFCGKATGGGAYKYADLFKERLGVSLEKEDEMDCLVAGANFLLKAIRHEAFTHMDGHKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKARASSSGHIYISWMEKFVQKGTQIIAPVPMGVPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFSHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEIDDFDMFKKRMLTDRKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGSEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLLVDAMAGIQDDLKDAPESVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDCICKFEPVS >Dexi7A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:7A:8203559:8204411:1 gene:Dexi7A01G0002080 transcript:Dexi7A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSENLLVLLVGGILRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDKLIKDLNNIDIVFHIGDISYANGYISQWDQFTQQVEGITSRTLEGSVGC >Dexi9B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:9B:5242891:5243812:1 gene:Dexi9B01G0008580 transcript:Dexi9B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPQGHAPQVPDQPIKYGDVFDVSGELAAYPVAPRDAALLQAAEQETLGQTQKGGPAAVLQSAATVNARAGHVGKGQITGPIADAGATVVETELPGRRVVSESVAGQVLGKFVTPPPVSLTEPSGALGRDAVTVGRALEAVAATAAGDKPVDQSDAAAVQAAEMCATGSSVIAPGGVAAATQAAADENARAAREDGKVKLREVLANAREKLPADKGATRMDAERVVSAEIRNKVDMATTLGGVAEAVTSAARRNQERP >Dexi5B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:5B:3678710:3680036:1 gene:Dexi5B01G0005440 transcript:Dexi5B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLMNAMFRHMFTSAGLRPASATVDAGDDTVIHFWAHPSLLQPPPSSSAAASESEQRRPVVLLIHGFGPDPTWQWAPQVGPLSRHFDLVVPTLLLFGASSTRAPARSDAFQAAALAALLSGGHLPGLAAGDRRAVHVVGTDYGGLVAYRLAGELVERHRQQVGKVVLCNCDAWRSAEDDAAVAARAGVAEVTELLAPADTRALRRRWAACTYRPSKYVPECFLRDLFKKHFADKREEKAALVKGMTNGEGGFQLTPLPQEVLIIWGEFDQIFPVDKAYKMKEKLGEKATVKVIPKTGHMPQLEELKLFNRVLLDFLLQSSPSNGSTAAK >Dexi7B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:7B:18566355:18566627:1 gene:Dexi7B01G0011550 transcript:Dexi7B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPTRRPWGEEMLPCPGDAPVYLRERVRDRERRGGGPLEDDTASAIGGPRAAGVFVVEVGGYSAAAVVAGFGGSAAAAVAVDIIDRA >Dexi9B01G0044480.1:cds pep primary_assembly:Fonio_CM05836:9B:44227462:44230877:-1 gene:Dexi9B01G0044480 transcript:Dexi9B01G0044480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDQWTRTAATPRLPPHRLDRRRRRKAPPYRHVFALPPLASDTRDAPELNRALLMGSLRAPPAPAPLVGSARVSFGSGFRFVSARVLKPRVLTTSSAMKSYRLSELSDAEVSGLKARPRIDFTSIFGTVNPIVEDVRVRGDAAVKDYTEKFDRVTLDDVVVRVSDLPDAQLDPAVKEAFDVAYDNIYAFHVSQKLPEKAVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQGCITFLLTVFLPIQVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPHVAKMAEVEGLEAHKRAVTLRLQEIEATVTV >Dexi6A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:6A:25162297:25165299:1 gene:Dexi6A01G0017310 transcript:Dexi6A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKQKAASFLDVPKDIPIATKSLTIRTSAAGCGSGSDRSCPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVVEPPPPPPPQPRREVKAAGASVATTAAPSPASCSNGSQSEDIDAPSGTSAPRTPPSERYDSSGIDAAKISDGGGPLPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGSLGRFCKWLKSELELQGIASFVADRAKYSDSQSHEIADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPAEIGGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNSRSCVSRTVTLLRSKLGRKNIAEKENEASEGLPFPRNRNFVGREKELSEIEGMLFGSTVDIQEVDCPRASSTNERSSGVSDGFADEDSDTARKSNARYISLEMRKCKEPTLEAWIDPVIELSSGKSRSLQKQRSKHRRSRFRCNSKGYNSTNVICINGSSGIGKTELALEFAYRYSQQYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKDRGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLSENLFPIGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVVAGSWLAPAPVSSTLLAATASKLPMKGSGMHLFGESLKTAFLCGTHCFLAPNGRKAEVESALLLVNLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVLFIKKTALPLAIDSFMSFSRCGSALELLKVCTNVLEEVEKSYASRMQDWNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAQTLAAQETLAKLVRYRSKI >Dexi7A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:7A:5063041:5063871:1 gene:Dexi7A01G0001910 transcript:Dexi7A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSAGVAMAWNVFRFCTALRGLGSIMILLVLAIIGVTYYAVVVCNYGPALLVGGGTTLSALAVLLLFHFLSSAAR >Dexi6B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:6B:26930885:26934867:-1 gene:Dexi6B01G0020500 transcript:Dexi6B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPSSSSSSAPPPAAAAAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATSGGYWKTTGKDREVRHGPRIVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGDEAPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEGDAVVDHEVPPGPKEIPGALEKGYLQMSDLIQGLGDQNGNGTIGLPVSDTSNNSNHSEDVDGNSGDILSDPNLGSNFLQYVELGDQNSLMLNENMISNANAGEFFNNSSPSDGFLELKDFADAANLDYPLGNESTVWPSDGWAWKTPDSLETVNGANNDIPPLPDDQTFQPDELEQLLQSIQADSHLGSSIIDPPHSSITNSVMPEDDSVMFYDAPFDSTVYDDGFRQMNGILSSPTTNLSGIGMVDDDGQAKSSAIGKGFVKILDSISAPPAFASEGFSANLHKSLAPISGARPNAIRVSAEVISIGSLAVASGPDKWALQKERGMELLITDFEPTRMHCGCNAITTVLRGGFCLVFFSAIMLLVSYGVGMCIYGK >Dexi7B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:7B:3004222:3004446:-1 gene:Dexi7B01G0001790 transcript:Dexi7B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSADSKGCDATPKTEWPELVGSTIKEATEKIKAERPDLNVEPVPVGTIVTDEFDPNRVRLWVDTVAEVPKIG >Dexi9B01G0027000.1:cds pep primary_assembly:Fonio_CM05836:9B:29445896:29454710:-1 gene:Dexi9B01G0027000 transcript:Dexi9B01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAAEAVVQQMPVEPPPIAADAVVEPPPIAADEAAETVVEPPPVAADAVVERPPAIVADAMETVGTPSPEAADDEEAAAAAPMSAVPPPPPPPEQKLEQKTIQKQPEETVQRSGHLLVEDQLAMDSDDLSDDSPTRNSNDDSDYSGGAYHLISSNFERGVQQDAQEFLCDLLEKLDEASIAPKSSLEEPSSTEGGIAKEIFGGLLKSQLRCPKCNRCSDKSEPFLDLSLEVTMVDSLIDALQSFTKVELIEDYMCDGCKCRVNMEKHFKIEQAPEVLVIHLKRFTNSGGKICDKVKYPLELHVDSFMSSSDYTQQKYDLYGVVVHHGIYGRGHYVCYIRSSVDD >Dexi8A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:8A:4390847:4391266:1 gene:Dexi8A01G0004980 transcript:Dexi8A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCSLSLTALENLVILFCSNLKQIFYQDGQHDVAPSPLPSIKRIYLQDLPHLQRIHDDVMFRFETPKWEKLFVRGCHSFHHLPLLENEYPKSKVEVSGERDWWGKLKWSLPEQSDYYLHLPPPEFVSRKKHIIRSYLR >Dexi7B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:7B:21304041:21306573:1 gene:Dexi7B01G0015310 transcript:Dexi7B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDKIAEPKDPFVLTARKVQSLEPPIPIKASWKGNNSQQQEEKDLPADGEESFRSLDSSDEGGRSSFSGASHPPEPIDMDIMKTVYVAIDEEKSEAPVCLVRGVSMKGPFIDVTGTKANLVAGEGGAEGFAEERKVSSSAVPSVATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLNICTNTHKSEAVASEGMLAMERNCGSVKGVRGDLLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGSFCVQPACMEPTSACIQPSCFLPKFFGQKGKKKIRKTRSELGQNAGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYASRDLIRGLLVKEPQNRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >Dexi4B01G0021490.1:cds pep primary_assembly:Fonio_CM05836:4B:23449652:23454477:1 gene:Dexi4B01G0021490 transcript:Dexi4B01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSLLLTIAAAATCLAAVASAGNFYQDTEMTWGDGRGKVVNAGRGLDLTLDRTSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGDTHDEIDFEFLGNVTGEPYTLHTNVFTHGQGQREQQFRLWFDPTRDFHTYSIVWNPQHVIFAVDGTPIRDFKNHEARGVAFPRSQPMRLYASLWNADDWATQGGRVKADWSKAPFVASFRGFSADACVWSGGRQQCPVGTMEAAAGGRSWWNQQLSDMSYRRMRWVQRKFMIYNYCEDAKRFPQGVPAECHLRGADGSRRESMDTEWTATPWKGPREDEREAYQDSIILTEEEDDIHALRAGTEVEADRDSRGPTFSARYRPPSPTENQTEEWWNQSLGPMPHDDYYTRQHSHYYIDCRWPTLLRVLRQRQACALDDDGVMGWTGPSPHHNGRTEDRLAQPSKKTFVHARRCSPRPFHLRTSPGISHIHHHRFIQSLSGRASRPHHPASVVPWAQQRLARRVRYLGQANATSYCPSHPLCQQQPVHPPSMLPAPRPPPWRRLGHRDASPQKSLSAHAGVVLAFGESTTDYYVPSKSRALASFSLPAWSCLLPSFRRHLFRGPQFAAVVQLQCFHYASVRQIVPSRSPCGFRSSGMGASGLCWPALLLAVVALALPAAAAAGDHDFRRDFDVVWGQGNARFRDGGREVELSLDERTGARLQSKRRYLFGRFDLEMKLVPGESAGTITSFYICTGGARHDEVDFEFLGNASGEPYLLHTNIFSDGKGEREQQFALWFDPTVSFHTYSILWNPHNIILYIDGTPIRVFRNNAEHGVPFPSRQPVHVFASIWDAEDWATQGGRVKTDWSMAPFVATYRRYNVTNACVWEEEEEDGHGGGGGVRCSTAVGGVRRRRRREASWMAQKLDWWSWMTLSWVRMNYMVYDYCDDRRRFPSELPPECVIPIGRS >Dexi3A01G0031900.1:cds pep primary_assembly:Fonio_CM05836:3A:36447891:36448103:-1 gene:Dexi3A01G0031900 transcript:Dexi3A01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRRGQAVAAVATSFSGRADALLQHSRRTRGQAAAARSSGTADARGCRLRRRAPPAGRRATTENRCAF >Dexi5A01G0026070.1:cds pep primary_assembly:Fonio_CM05836:5A:29818910:29820976:1 gene:Dexi5A01G0026070 transcript:Dexi5A01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEFLVTSFILCSPGPHAPTDTRSPLDSPQTRQTRTYYFTLFFTGRIVVFVAGVSCGCGRSPLTHSATPRTGGPAGPRAPPVRLRQSPRPVGMHDIGLSARAAGASSSSSSSPSGAGDEDDESKTRKSHPTFVAAAYSRLHSSHRAAVSLLLLLIVAVAAFLAGRARPSLSCATPRLDARFLALPDAAAASDFGSLGVPWCKNSRRCYFLRSGSFLQTLWFVVVLWPSRSKTGKTVGWTSKDLLNGLEEFVPIYKTRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYIKKGPAYVDGNCTYLAGKDFVDFGSVDWEKLLRNHGISDPSRVLVFFDDHQSELKRLKQALKAGFRHLIFEDNYDTGTGDHYSLRQICDQPYIRGLWLLTFLDSL >Dexi5B01G0032490.1:cds pep primary_assembly:Fonio_CM05836:5B:33132989:33134295:-1 gene:Dexi5B01G0032490 transcript:Dexi5B01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRRRNNRQKSRYTQSLPRIKLASTQTHVLDVDMDSLVCRMPELEPPFRPREKLLELQKHFQNIHKHTYLKGRYDAVTSVGIPLALAASSLFLIGRGVYNMSHGIGKKE >Dexi9B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:9B:3590850:3594787:1 gene:Dexi9B01G0006050 transcript:Dexi9B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHADSSVPATGEAPTTGEHRMGTTIVGVCYDGGVILGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALLDHEWREGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHEELEPHNSLLDILAAGNPDPMVQ >Dexi9B01G0026750.1:cds pep primary_assembly:Fonio_CM05836:9B:29107076:29107757:-1 gene:Dexi9B01G0026750 transcript:Dexi9B01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAEVGTDEETDESNPEAIDDLELSRVSEMRIILGGPAQ >Dexi9A01G0026120.1:cds pep primary_assembly:Fonio_CM05836:9A:27684687:27687187:1 gene:Dexi9A01G0026120 transcript:Dexi9A01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGFLLSPPPLPLPSPTAAATAASYLVSAVYGRRHRPLERSCRCGRPPETALSGRGSYDGEEASPRPLGVGGSSASSSKRQMGEARRLSYLSCRAIRACGSLEAACNVWMQSRPILSCPFIFIMLSNVVYIGSAAARHHETLQEGGTSTDADGFSKCKSKSGYFKEFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATIVDDKYSAALAIILGSLAVAVSDVKCWNFWFVFIIHLKNIQVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDIYGVRFVFGVTALLPLLTSTVAVLVNEEPLPLGERSVSLSVSGSELIESSKQRFMQIWNSVKQPSICLPTLFIFLWQATPQSDSAMFFFMYVPHTHLSA >Dexi5A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:5A:12095580:12098516:1 gene:Dexi5A01G0014870 transcript:Dexi5A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFSSSFTTSVLAIVVLLPVVAMGAGLKVGFYNKSCPSVETLVQQAVAAAFKNNSGIAAGLIRLHFHDCFVRGCDGSVLIDTTSNNTAEKDAAPNNPSLRGFEVIDAAKKAVEAKCPKTVSCADILAFAARDSIALAGNNLTYKVPAGRRDGNVSLSSDAVSNLPRPTFNASQLVANFAAKNLTVEEMVILSGAHTVGRSHCSSFVNRLYGFSNVSSVDPSMSSAYAFLLQSICPSNSSQFFPNTTTEMDLMTPEVLDNKYYLGLTNNLGLFTSDQALLTNATLKKAVDEFVKSESKWKSKFTKAMVKMGSIQVLTGTQGEIRLNCRVINNGSSSAAGVELQMTTAPGSGDGDSSAEEFVATN >Dexi7B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:7B:12806770:12810191:1 gene:Dexi7B01G0005390 transcript:Dexi7B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAAAGGGGGGQGALPTYRTAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKKPEEMEKLVSELCKTHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRIESPESQRMLMTEFQLPYKALPHSEFEAVKDKLTQVARSMGQSASVESLFFKVPFQEVPDLVASRRVYLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAAEISLKDIDQLAKSSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGYNFILNYL >Dexi9B01G0031440.1:cds pep primary_assembly:Fonio_CM05836:9B:33815112:33815766:-1 gene:Dexi9B01G0031440 transcript:Dexi9B01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYVTDNDDFVIPSLSVEESDLGDWEASRVSDPQPPPKPTKDTESIYLGPHGAPPSRGKKPEDTSATTGYRDKNSKGREAGEQKALGSGRNNRGGNAGDFHRHNGANHGKDPFKRAG >Dexi8B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:8B:4290700:4293289:1 gene:Dexi8B01G0004650 transcript:Dexi8B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNEDNSKKNAVPGIVDPEFLNPDRRTPELDVDATLTLLKVPRSKLLGKGVPAEEGAPAAWLVLEMEEYEEGRAQVPVAMTDGGGGGGRGVGAGPIAVHLFFLLGFSLQGGGCIDGRVGFFSAQAGCRFRFPGSSAAAASAMEVPTAGSAAGQCCSMGPRWPARACRQALPARLARGAVLMLLPLDTASLALLRACTLAGLQLHMNLALLGVEAEDTLLHCSSTVDTSPDGYW >Dexi5B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:5B:13527883:13529121:1 gene:Dexi5B01G0016040 transcript:Dexi5B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVDRRHSISVAAQMASLLRWKDKYVKERLQGLSGLSCSSTASTSVVVASGRAIDRHSPRLRDPHRRLPPPVPRPPGSPYEDGKEKRKKSAEAAAAPASSRSSTSSSSSEHKKKKKNKKKQAVVQLQQVSPASSSRFLLNSSRLAMHSDDDVITVVDALPPPLPSPPRPSFFDDDDDINVVSDDSLPPLPSPRPAFVVDDDMFRSPGDAALQLQPAVRSGTPHQIEALPVGFLASPSAGAGSSSSWSSLETGRVAAGDKNAMMRSCSTRTGQQQVVVLRVSLHCKGCAGKVKKHISKMEGVTSFDIDIPTKKVTVVGDVTPLGVLNSISKIKSAQFWPDAMSSLSTPPRVSASF >Dexi3A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:3A:3398691:3398927:-1 gene:Dexi3A01G0005280 transcript:Dexi3A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPSAGGGAAAAKNSWPELVGLPSEDAKKKIKEDSPDANVQVVPADAFVTMDYNTGRVRVFVDSNDKVAKSPRIG >Dexi3B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:3B:2459662:2460291:-1 gene:Dexi3B01G0003640 transcript:Dexi3B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGEDDLRRSCRRLLGCLLFLAFLVAIIALIVYLVLRPTHPRFYLQDASLRQLDLSNGSSNLLSTTLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPNVPFAPYLADALQQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTTGGNGAPGASGFKFQTTTTCHVEV >Dexi1A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:1A:26363720:26366175:-1 gene:Dexi1A01G0019190 transcript:Dexi1A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADNLQGNCKEDKVAMQWPGLPAGVKFDPSDVELLEHLQEKIGLGGSKPHVLIDEFIPTIDNDEGICYTHPENLPGMKADGSNAHFFHRVSKAYGCGQRKRRRVISCSDTTVTDEHVRWHKTGRSKPIYDNGVKKGWKKIMVLYKISQSGGKPDRAHWVMHQYHLGEEEDEKDGDLVVSKIFCQMPNKSMEVSETETAYDEPDVSASVIGPKTPKTTAPQTRHPNNSSPCETEQNVSILQDQLQLQDEEEPTISIVSLEDGAVNPAWCTGAEEQQAVGGESRAQLNPDEPLLCLEDPNSLDYPILSQCRNEILDRNLNTFYGLPDLHNVDLGTPPDLQLADFQFGSQESLGSWLDRI >Dexi4B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:4B:17835844:17842120:1 gene:Dexi4B01G0015870 transcript:Dexi4B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLVAATGDEPTPNSDLGTFHDFQVARCAAAAAYGLRGVTMAAQGSLVAWRAVFAALGVVMVATLVYTCATDGSPFRLDLLTPWMVATLADFYVNVIAISAWVIYKEANWISSAVWVLLLVCFGSAATCAYIVKKLFEVIPAGSAQDPLDLLFIRQGNLSQKKCSYVIVGRIIFSILGIFMASVVIYTVITDGLPFRKELLTPWMAATLIDFYINVFAISVWVAHKESSWISTTIWIVLLICFGSISTCGYIVLQLFHVSYQDPIYHVLLNSHSKYGIATSV >Dexi9B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:9B:9846466:9847388:1 gene:Dexi9B01G0014740 transcript:Dexi9B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKGLNSHLLIALSFFIILLFPILTIFLIPFFTIIPFALFSLLPLLLIIIVLFVIRLQTFVAFGVGAIEILQAGNQREDLVPVECLDPELGQRLELLDPGEAVVPQIEVAQRGAAGLRDGGERGGPGEAVVGEREAAERREARQALERGEAGPRDGELLEGGGEALEEGRGGEAARGGLDGAVEGEGLGRGGGLASGGDRALPRRVVLADGGHRYGGGGSGGRRGKP >Dexi7B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:7B:19640380:19642882:1 gene:Dexi7B01G0013070 transcript:Dexi7B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFISCFGGDGDRRRRHRKSRRPSPARSPPRSIHVAVGREASAADVVVKEVSPPLRGAKPSTLAAAVEVPDEAAADVVVKEASLPLRGAKPSMLAEALEVPDEVAGESATEAQEASLLASSPPSAVVVADEAVNDVNSGKALTPRKLIEQTPSPMCSLLTEKQATPPLSPVKCSPVVAAIVSPQDSVECSPVVAVVSTHVSELREVSEHGSRSSGKKKVTFDLNVTTYETAVLPDQEEEPPEEGEKHALKTVVLPENHRYQNCSGSDDDVEDEYAEDDVYDDDSDEEEEDFMDCKIDLVDEEEVRIEENKQESHESLFSLSMSNDQQNDQEVISPAPKNSGTSADAESPLITRNNLRDRSQCVHPMLNPVRNLSQWKELKSLKTQAVPGKRLGKENVNLVLDVGPRHVSIATQTRMSTTLSGKREVSVDASLSTWLNSSESSTVDKEQSKSPCSISSVSREERPVLGALAVDDLKQSSPQSSPRNNLEGAPILATVGSYWQCTEQDKEYCSSRSDSGTNGIPNTISRYREVWIKG >DexiUA01G0027530.1:cds pep primary_assembly:Fonio_CM05836:UA:58768864:58769303:-1 gene:DexiUA01G0027530 transcript:DexiUA01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPACRPPLRWIVRPSPPSPPLFGCCRRASSLVTDAEAADWPASLRRETEEE >Dexi8B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:8B:4569661:4573814:1 gene:Dexi8B01G0004900 transcript:Dexi8B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVRELRASFAAGRTRPAEWRAAQLKGLIRMIEEKEADITAALHDDLSKPSMESYLHEISLAKSSCKFALDGIKKWMKPEKVPAAITTFPSSAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSTLFAKLLPEYVDNTCIKVVEGGVPETTALLEQRWDKIFYTGNGTVARIVLAAAAKHLTPVALELGGKCPVIVDSNVDLHVAVKRIAVGKWGCNNGQACIAPDYIITTKAFAPELVDSLKRVLERFYGKDPLESADLSRIVNSKHFQRLAALIEEKEVADKIVYGGQTDEKKLKIAPTLLLDVPQDTALMTGEIFGPLLPIVTVEKIEDSIDLVNSKSKPLAAYLFSRNKKLQQDFVANVPAGGMLVNDTALHLANPYLPFGGVGDSGMGSYHGKFSFDCFSHKKAVLIRGFGGEATARYPPYTAEKQKILRGLINGSFIALILALLGFPREKR >Dexi5A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:5A:1635866:1636633:1 gene:Dexi5A01G0002300 transcript:Dexi5A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEGSPSAASAAAAKAAVEYQAGVQKLVDLLSKLNPAAKEFVPSSVAAASPPKKALSADAPVFDYHSIGAGNGGAKDSATDAAFYTGNPQRKSHSQ >Dexi1A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:1A:24592044:24596855:-1 gene:Dexi1A01G0017220 transcript:Dexi1A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGSPRPPRRPEREGSYNYDIESMDGSGAGGVPPWRSQQNGSSEALLRFDDGGSTGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEYLKAFFVYGGCNVVLAASAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIRPTQNYSNSIFYCLQIFGSVLGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWRGAPFKILLTVTISIITSMCSYGLPWLAACTKCPVDAVEQCPTVGRSGNYKNFQCPPGYYNDLASLFFNTNDDAIRNLFSNGTVNEFRMSSLFIFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPITVTPELVGLVTRSHLLVLLNSKNFMKGQVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLLVVPKTPDFERSDDEYFFSCGMQRPPIVGILTRHDFMPEHIHSLFPNLNPHKYHSASMAG >Dexi3B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:3B:12577634:12583072:-1 gene:Dexi3B01G0017160 transcript:Dexi3B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPASGELVAMLEAARDFASYPGNALLHYLLQIWAELVARFVSGDAVLGLHSDDTVRQFLERCPLPKLLGALQSEADVPGMVETVTECLDKVFSSRYGASLLPSYGTFIQAGLLTDSKDIRKLACKAVLHLLDKAEDGSAAVETVVEHNLYPILINCLIEGDEEMSAIILDAVKRLAEIPKGAEIIFPPDGQGSVQLGKVAAQSSSLARIRILSLIAKLFTVSRYTATAIRDSNLLSIFEDEIKDRRDMLKTLSALEVLYELVEHPHSNVFLLKTNLLQLIIDVINDSSADSVIRSRAVLISVTNLIVAIDKILNTEENQNTDEVESALETLGLIGTTTQGAHFLLTSSNVARHVVKSSFDRHGRGRQLKLYLLCVMIHVQAALHAFGSICGVDRQEQMKLDVQAEEHLKRLVYTTAANSSKLTPSALLLSILQQDPDIRIAAYRVISGLVVREWCLREVCLNSEIIRLVTNPTMETTKLGMEARYNCCVAINKSLSSSHLVHEKSLSELIGKLNDAVRRGPYLSERKRVEARPVVVPAERF >Dexi9A01G0022510.1:cds pep primary_assembly:Fonio_CM05836:9A:17676601:17676948:-1 gene:Dexi9A01G0022510 transcript:Dexi9A01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTASMLLSHAGASAGRRGIPQALADVLVCPLSKKPLRYCEATGSLVSDAVGVSFPVSPVHPCSDGLL >Dexi5B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:5B:3892980:3896800:1 gene:Dexi5B01G0005760 transcript:Dexi5B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLTVFCSIGLPSGHISYVKNVKPGMPLFLFNYSDRKMHGIFEAASAGQLNIDQFAWSDGGRIKTQFPAQVLISVKTQCHPVPESQFRSVISDNYHRPRHFYFELDHAQTRALMSLFKPAPVHDVTNKWDPSKSLQYPTTKSYLNPGPTKSEPYTKDLGPFGVSSESHYVAPYKLPDPEGEYASASRTSSHLDDESSNWDDLDDVMTKEGTESVNDDHQHMNPPHEEFNDTVAIRRKLQELYVLRQQDTQSSNDAVDSASDKSMPQEAQFGAALPTDPLDSTPKADTPIEDLTSLGKCYGNAELLHIINELSKRTQTMEKQLRFAPAAAVFNSALYVTGGYDGNMYLQSAERYDPREGFWALLPSMNARRGSHSVAVLGDALYAVGGYDGSNRVSTVEIFDARANSWRIGSPFSIARGYGCAVTMDDNLFYIGGVNDAGETVNTVEVYNERQGWSMSGCQSVGGRAFACAITV >Dexi9A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:9A:3764309:3770935:1 gene:Dexi9A01G0006620 transcript:Dexi9A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGAAALAQAASSDPPPEPGATPRPNGAADEEGGAPAGVAAAAAGPFPPQQDGDGDEEDAADSPVGAAGERCRAMMEVVAMDGAGGKWKVSKLVVEHNHELQVAPGEVAATVPALGMEFDSADTAKEFYYGYGERVGFKARTGSNRRSVGDGEKIMQRFLCWRGNYAKRKGKGKDSDEGKETEEVAEAAADAGKRKREPYKTRSRNPGKKDAEVIEAEKGVGMRGAENGLEVQNVRGSRRGRSKKGMVEQDGESAAGLEVEKDVVEGEAAAAASDDEEDQGGVEEEVQVEVKRGRGRPRKAVTEDNTQQARVLRELGVRASQYNNEERKKILNKYLSKRQSRPASSRPTKIASRQALAERRKRGDGGRFLSSEGQLPSRQPSERRSKRLEKQNLKMEEKAESKEDEIIEAEPDPEVEVVAGPGREPKIGMVFLNEDKAYEFYANYAGAEGFTKASESNEAYAFLENQYEQLVEQVESEVSNSEPRRVTAKKNKNVEPRRQQQSPLDSSLLEPEEIEIPARALPPTVSNDIPNHLRSPTNQFLAPSHIMQAPYVAQQFGLGSLQGFPGMSPFGQIQEPTLLQQPSHLQPPPFHNGPQIPQRGN >Dexi9B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:9B:5312677:5314505:1 gene:Dexi9B01G0008700 transcript:Dexi9B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAAVLKALATGPGSRVTRFAKSTAASVTPVRPGRTHALSPLDNAMERHTVHVVLYYRAAPGLDRDQLKESLSEVLSLYPAMTGRLTRGEGGEGEGGPTAVEGETPARRGWVVKCNDAGVRMVDARAAPTLDEWLATATGDEEMDLLYYEPVGPETYIWSPFYIQLTEFADRSYALGLSCTHIHNDPTAAALFFQAWAAAHRRTTSTYPPFLHAPAFEVSPASPPPPPPLLADKSKAAASLPIADAPAMSSATFHFPASAVRALLASLEPGTTPFAALAALFWLRITGEDGERELTVALDFRKRMHAPLPWGYYGSAVHLTRARADLAAGLPAVAAALDRHVAGVPEDDLWRAVEWLHARQQQQLEDGGAGEPFQVYGPELTCVALDHVPMYGAEFEAGAPPARVSCRVGGAAGEGLVIVLPAAEGGEARDVVVTLPAEKTAMVCRDGEVLRHGGQVVFGAKAGKVA >Dexi6A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:6A:209207:212372:-1 gene:Dexi6A01G0000310 transcript:Dexi6A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKHKQRRVYQVWRGNNIILCGGRLLFGPDAKATLISFSLIAIPVAVFCVFVARHLIHIFPAYNAGYAILAVTIGLTIYTTYENIKYKYSNQPNVYDHGCVQNCHEVLCTRRKPSKINLRAIVQEEHEVAQPQTSYSNAPEENAPHRPRAKVEDDLEMGLDILKTSRHGTDELSDEELGSGSNGVKYRTPDSDTEIPITRCKTEISGEVKDLDLSVSNAALPSSPEQKQHPGELC >Dexi1A01G0025200.1:cds pep primary_assembly:Fonio_CM05836:1A:31522317:31526904:-1 gene:Dexi1A01G0025200 transcript:Dexi1A01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRAGGRVAAARRALADAAGCSFPLPVLRITNRLAMISLVLSSCHLLRLCSDRDRPLRFPLGGREVATVLCQLASVAYLLSLLGITSAAHPKVPTSDDDGDGEGGSPRPARAAAADAVAPMHDGVEGEDEEIIAKVVFGALPSHQLESRLGDCRRAARVRREALRLMTGRGVEGLPFDGMDYQAILGQCCEMPVGYVQVPVGVAGPLLLDGRQYHVPMATTEGCLVASINRGCKAIAESGGAESVLFRDAMSRAPVVKLPSAKRAAELMSFVEAAANFETLASVFNGSSRFGKLQGIKCALAGRNLYIRFTCSTGDAMGMNMVSKGVENVLRYLRNDFADMDLISLSGNYCSDKKPAAVNWIEGRGKSVVCEATIEGAVVENILKTTVRKLVELNIIKNLAGSAVAGALGGFNAHASNIVSALFIATGQDPAQNVESSQCITMLEEVNDGEDLHISVAMTSIEVGTIGGGTCLASQAACLNLLGVRGPNLASPGANAKLLATIVAGSVLAGELSLLAALASGKLVESHMKYNRSSKDVASAASSEDT >Dexi5B01G0021030.1:cds pep primary_assembly:Fonio_CM05836:5B:23262113:23263270:-1 gene:Dexi5B01G0021030 transcript:Dexi5B01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDWQERARQEVLTHFGRSIPDFDSLSRLKIVTMILYEVLRLYPPIVLLTRRTYKEMELGGIKYPAGVSLLLPIVFIHHDPNIWGKDASKFNPERFADGISNAAKHQGAFLPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYSLITLHPQHGAQIRLKKL >Dexi6B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:6B:1052510:1053802:-1 gene:Dexi6B01G0001280 transcript:Dexi6B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATGDLLHGNGKKPPPPPRRRCSRYCNTTTLTLLMFLLTNTVSIIVSSGAGPSLLRRPSTIRLWDTSAALLADLNATQSDLAASRAELAGLHARVGTANELLRTLLDSASVAASVPDGWKRDLAGELKLAVTGDEAAVLGHACVHVQDELERYMAYKPGEVCPSDEALAHRLMLAGCEPLPRRRCRAPSPARYPQPTPLPASLWTMPKDTSVIWDAYHCKNYSCLSATSSINGGGVFDLRRVKARWARDDGKLSYSIASVLASRPNGTVRVGLDLAGNEDGGTFAARMLERGVTVVTAAVSAAAPVNSFVASQGLVSVHVTAGHRLPFFDRTLDIVHAAGELELGGGGSMASGGVKLEFALFDVYRVLRPGGLFWLDHFSCAGGQLNATLTPMIGRVGFKKLRRNTGRGKGKEKDQWFVSALLEKPMS >Dexi3A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:3A:15923017:15930871:-1 gene:Dexi3A01G0020030 transcript:Dexi3A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVLSDDEENEMEDLDDEEDQRPPQRGRDDGDDDEDDDEDDEDGQDEFEKDGFIVDDEDEEDEEGEEEEQKSDDERHVRVVPRESEDFMLDEDDYMLLQDNNITGISRPKPGNKFKRLKKAGRESEMDERGLSDDDGTGKKRTGKERVEYSLFGDAQDAAPFEEDFEDEQPLEENEEPDDEDEMADFIVEEDEIDGNGQVVRRKKFKKKVPRQAAGVSSSALQEAQDIFGDVDDLLARRKQEIEREAANSGEFRGKRLEDEFEPFILAEKYMTTKDEQIKENDVPERMQLSEELTGYPPMDDKIIEEESAWIHNQLTGDGFLSLYGNEHVYRDINQKDIVNVLTMLHVNKFEIPFIAMYRKEMCPSLLRDPDSNEHANENEANKDDKPEMRWHKLLWAVQTLDRKWLLLQKRKVALQVYYEKRFDEEKRRIDDVTRQDLNQQLYNSIIDALKDAKSEKEVEDVDAKFNLHFPPGEIEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKGSPEEVAANFTCAMFETAQDAAVEIGCEPIVRKHIRGIFMKKAVVSTSPTHEGNTIIDPYHQLSGVKWLREKPLSKFVDAQWLLIQKAEEEKLLKVTVKLPENAKKELISDARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTARAKHWLHMEYGKQLWNKVTVAPWKKKDSDKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAEQQRKKNDQQRVLKFMTDHQPSVVCVGASNYNCRQLKDDIYEVIFRIVEDHPRDVNPQMENLAIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGPGKEILSWKLHALEQFLTPDEKYDVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFIAGLGPRKASALQKDLVREGSIFSRKELVKPLGRKVFMNASGFIRVRRSGAAAASAQIIDLLEDTRIHPESYVLAKNLAKDVYAEDAPHEVNEMDDDEQEMAIEHVRERPLLLKNLLLDEYIKSIPEEFRKRETLEDIRRELVSGFSDWRITYTEPSPDEEFWMLSGETEDTISEGRIVQVTVRNIQENKITCTFDSGLKAIVMADNYSDEGFDPESSQLHEGDVLTGKIRNVNKNRFMVYLTCKASEMRRRPFSRGDQDPYYHEQDMTSQTVEDKARKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLLDKEPGEKVIRPSSRGPSFLTLTLKIFDDVFAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEEEGLIQGTVLVVVVEDVGVVEATSAMTTVVEAGLITLAVVEVDGTQVAVVAPPGELAVLVEDLGVGMLLDGLELVVVTVMVAVAGEQLVVVLTVRVLEVEEGAGELLLVVRMTQDGAAPKRLYQLRMVGTVAGAPEAGDEFVHHTCCEVVHPIR >Dexi1B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:1B:3075536:3076283:1 gene:Dexi1B01G0003880 transcript:Dexi1B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTTPSVAPTAASAGGGRRRKLVAGSVVIRLLQAPAVVALAAALAVSAPTPPSEPLCNLPPTLSGEEGGRQGEANRIRHPKSDRAARCTAKCVSTCVLGGYGAPGVAGPFNIRRPLVVFKDTFRSRQYCLVECSDICNLLKDGEDDQ >Dexi2B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:2B:5316581:5318976:-1 gene:Dexi2B01G0005780 transcript:Dexi2B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARYIHVVFLYHSLVKYKNCPCTSSSAYTATKQWCAYQNALNPATFFTNSGSHRPRCGARRNHGVASVKATAMIATITSPVHPSRCPSGWYSASVAWRRRGKSQARWEAAWSAAAPAMATAITLWKLRLSAASGMTEVAVVWLRIQVMVFRLTGRRTSAMLSLSSSRKYATWRSRRSSLGSGGDGGAAVSAVIRVVVRNRLRLLRRRLCEDDDGWLGDWRRRRLSTLCSAMKPRLSGSRASMMTRAYSSAWSRIKESLFSDALSMIICSGND >Dexi6A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:6A:24995729:24995974:1 gene:Dexi6A01G0017130 transcript:Dexi6A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAAMLRRGRDQASALVIPRLAPRGAPAPAPSVPRVGSGSGGGCLLPPRLGSTVPFSSASRLASLHAFRSLAPKVS >Dexi1B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:1B:9527382:9528026:-1 gene:Dexi1B01G0010160 transcript:Dexi1B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPVTAVQMEWSLWSRDIEPEIVPLCRRVLLNIAEELGIGIVPYSPIGRGFFGGRGVTEQVSAESNLHGHPRFTAENLEKNKQIYLKIEDLAKKHQCSPAQLALAWVLHQGDDVVPIPGVTI >Dexi9A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:9A:158811:162321:1 gene:Dexi9A01G0000210 transcript:Dexi9A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDSAFLLGSSAALHGRRSRRASAARLPGPARRRPQAVVRASAKDIAFDQSSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGASLIREVASKTNDSAGDGTTTASVLAREIIKLGMLSVTSGANPVSVKKGIDKTVQKLVEELEKKSRPVKGGGDIKAVAAISAGNDEIVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIITGAEYQSKDLGLLVENTTVEQLGIARKVTISSSSTTIIADAASKEDIQARIAQLKRELSQTDSTYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTFVPAIKEKFDDPEERLGADIIQKALVAPAALIAHNAGVEARLWVELFS >Dexi5A01G0027970.1:cds pep primary_assembly:Fonio_CM05836:5A:31306478:31309716:1 gene:Dexi5A01G0027970 transcript:Dexi5A01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATEAAAKGRVTALGVAACERDAEKLQFIEEKTRDFDAEQARVLAEILARNNGAEYLRRHGMEGRTDRASFKERVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELSRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETTTPGGLPARPVLTSYYKSDIFKHRPYDPYNVYTSPTAAILCTDSFQSMYSQMLCGLLARTEVLRVGAVFASGLLRAIRFLQLHWEELAHDIRTGTLSSRVTEPSIRAAVAEVLSKPDPDLAAFVESVCAGAAKENKWEGVITRVWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELLPHDPDAKPVSMDDDPPPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILHVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASRLLAPYGAGIVEYTSEADATTIPGHYVVYWELMVREEGGEMPGPDVFERCCLEMEEAFNAVYRQLRNGDAIGPLEIRVVRGGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVVSKHVSPACPKYSPHKK >Dexi3B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:3B:10966939:10968474:1 gene:Dexi3B01G0015110 transcript:Dexi3B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEKALAVAASAAASLMVVRSVVNELLPDEVRDVLRSGIGRLHSHMSSQHTITIERKLDTFCYNDVYEAVKTYLAAHINTKTQQHLCVSRFNEGDKMTVTMAEGEEMTDVYDGTEFIWCLIYRSVSTNDSGNGGSNGKQLEAHSFVLTFHKKHKEKALDSYLPFIMDTVKAMEDQERTLQIFMNEGSDDWIPMDFHHPSNFDTLAMDQKQKQSIIDDLNRFVKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFNIYDLELTAVQSNSDLRTLLVGISSRSILVVEDIDCTIKLQQREEAEEDTSKSNSTDQDKGRDKVTLSGLLNFVDGLWSAGGEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGYCNPESFRILAHNYHLIDYHATYPEIEKLLKEVMVTPAEVAEVLMRNDDADVALQDLVDLLKSKLNEANVTKTEESNANDKLDEEQDDKYGD >Dexi1B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:1B:22317098:22317493:1 gene:Dexi1B01G0015770 transcript:Dexi1B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGKKRFGGGGEPAAKRQATGDEGPSESAEDGTVVAEISKNKRVSVRSWKGKVYVDMREFYVKDGKTLPTRKVPGI >Dexi1A01G0020210.1:cds pep primary_assembly:Fonio_CM05836:1A:27099413:27105383:-1 gene:Dexi1A01G0020210 transcript:Dexi1A01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQPVISLRPGGGGGGIGLRAPRLFPTAFAAATGAGDFLRPRGGSSTRFAAKLGDSRFEPLERIRYTRDRLLEMREIVDIAKDILKLQQDINVVLLGEEPEDQIWARNESNVQTQSNNRYMETDSRDWRSRSQTSLVVSEERSWDKIREAKESRASSGKQEQLSSQFAAKAQNSAIVAQIGIYDVNADAMVVSTIKFKCWPLPCSCQGRSAQRENLSEKQRVLKSVKGILNKLTPEKFDLLKGQLIESGITTSDILKDVITLIFEKAVFEPTFCQMYAQLCFELNESLPSFPAEEPDGREITFKRVLLNNCQEAFEGSGNLRAEVEKLTGPDQEMEKRDKERLVKLRTLGNIRLIGELLKQKMVTEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRLKELTTNSQLAPRLRFMARDVLDLRSNNWVPRREEIKAKKISEIHTEAEMKLGLRPGSTANIRNGRTGSGGPLSPGDFPMNGPGTGGMMPGMPGVRKMPGMPGLDNDNWEVPRSKSRADPLRTHNQLVNNPSSVNSGLLPQGSGGAPTSGKTSVLVGSGGPVSRPSSYSPGPIVAPVPSVAPIVEKAAADSRPNSASLQKKTISLLEEYFYVCILDEAQQCIEELKGPDYYPEVVKEAINLGLDKGANSINPLVRLLEHLHSKNIFKTVDLETGCLLYGSLLDDLAIDLPKAPDHFGEVIGQLILSRCLGIEVLEITLKKMKDTFFRSAVFKAATRAIGSNPSGQAILSTHVAEIDACNNLLSSK >Dexi8A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:8A:20800459:20801982:1 gene:Dexi8A01G0011810 transcript:Dexi8A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQQIHERRLVVGLLVGLPLELRVHRLPPPRVLRRGGPHGGPRVHQVERPLAVSDEEDAGVEPHPRPLLVHLPVPPVHHQVVVFVAVEREGEIHVGEDGVGVDPPEPLGVRVGHHGGAEDGDLGPVGDHGGREVRGVVEERDPVEAAVVELVLEEAEEEGSGWDPDTITTRRRTDGAPASRPRVRSHAARLASQANVRGSLPTSAVAAAAEEVVEEKGIVETMFGAGVGERMEVEQRRRSADATAPAANASNSRARPGGTIGGSLCGGRAPPPLAGVAQNADAGGGFGSAVAGRGSGGRGSGGGRVEFVWLAGDGDGDG >Dexi1A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:1A:581363:585003:-1 gene:Dexi1A01G0000900 transcript:Dexi1A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSRVSEDDFDLDCKISKAWEGGHVLSMDGKQKKTGLAQSRTLKVNRFEPIVEKSNSHLEARLSASKFADLFFSCTTNNYSTMHISPAGMILVNTFEDAFGDIHGEGVWRKFSTRASNVNSNVVALASFNGEKRFFACTGFIIEWNGSKIILTSASLVRNSGDENKIVENLRIDVLLNNQCIEGTLQHYSLHYNVALVSVKDYPDLCPSNTLLRWNSKFGEVGNGAHFRKMHKDRNIKLNRWPVPMPRWCHPGTIAEDKSDDDDELGLNPDLAASGDTVTLRERKSSSIR >Dexi2A01G0029310.1:cds pep primary_assembly:Fonio_CM05836:2A:40351645:40352673:1 gene:Dexi2A01G0029310 transcript:Dexi2A01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGDDAGMDDMQRRLMFEDECILVDEQDNVVGHESKYNCHLLEKNLLHRAFSVFLFNSNYELLLQQRSATKVTFPLVWSNTCCSHPLYHESELIQENDLGVRNAAQRKLLDELGIPAEDAPVDQFTPVGRMIYMAPSDGKWSEHERKLA >Dexi2A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:2A:13615157:13616068:-1 gene:Dexi2A01G0011720 transcript:Dexi2A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQCNGARFSRAELLQASVELRHHALGYVKSMALRCAVKLGVANAIHRRGGVASLDDLLAELSLDASRLPCLRSVMRALAASGVFATASDDGEHYRLTAVSSLLVDDSNGISGSLAPATLLFVAPPFVAPVVNLAEWLLAGAGGAAATTAFEMTHGEDLWGVLGRDAALRGFFDGALASDTRFVMDMAIRVSPKVFDGIASLVDVAGGAGAAAQAVAAAFPRIKCTVLDLPQVIDALAPADGLVDYVVGDMMEFVPPADAVLLKNRTNSG >Dexi1B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:1B:25486166:25489314:1 gene:Dexi1B01G0019250 transcript:Dexi1B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRRLVRCDYGPLVSWRKRRSFSGFLSFRRSRPHLLSPPAAEHAAWPSRKADGEDDDVPWRGAALVGRHARISWDPPGKRIPFVPSPAILCPLQIMEDGWQEQTGKSEIGNGELL >Dexi1B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:1B:12364879:12366645:1 gene:Dexi1B01G0011910 transcript:Dexi1B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPSPPPPPPPPQEESSEESSSEEEEEEPPSLHPPRMPPPAAADNVADSSEGSEDDSDTDAQAFQLRQVPAAQGAPHRVTQPESDDEEEEGESSESESESEPENPEPVVQKKASPAAAGKSKAEQERKRPAEDPAPSGKAKKAKAGADKSAAAAEVTAAGKGKKGKTELEKVAPEATPAGKSKKGKAGLEKAAPEATPAGKVKKGKAELEKAVPEAVKGKKGGANAEKPVALDSSSSRKPSRVQRLWGTNDEMKILEALAAHVKSEGTLPKTDFLIATVGDRLDRKNCTYTDVYEKVRMLKRRYERAVSTGIVPSKEDELQMYKLSEAVWGEKAKEAIAATTARNDGAVTKSKKGQANKEKKDGNSKGGAPKEAATSTASQNGDSQKGSKKGQAIKEKTDRGVKSRLSKESTTTGSPTKRMKRDTHNEALDKDAITTTGTQNGSDFAKSKRGKTDKGKIDIDADSLKQKDATTVTQNDGTLTNNKDGETHDEQIERDDNVQRRRRGFDELQGLYSNLSANVEQIEVRHPCGETLKRAFGFIDDEKAQSLESKLKKLSVDEAKVQIRRGDLKKEVLNALISLMD >Dexi5B01G0031820.1:cds pep primary_assembly:Fonio_CM05836:5B:32464360:32464965:-1 gene:Dexi5B01G0031820 transcript:Dexi5B01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQQRGKAASQAWRAVVGWIGFLLQVLLQILRGTPSCSQLLSFVGFRYPLLSGPAASEPSPEVAFMPLRSEIPADVAPTPVAPPEPLGRLTTL >Dexi1A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:1A:9007688:9008875:1 gene:Dexi1A01G0010400 transcript:Dexi1A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSSRRQVVVVGRMAVPRRLLPMMMPALAILLVAGGAGAQLSPGFYSSSCPTVDGVVRQAMSQAVMNNSRSGAAILRLFFHDCFVNGCDASLLLDDTPTTPGEKSAGANAGGSTFGFDLIDTIKTQVEAACPATVSCADILALAARDSVNLLGGPSWAVPLGRRDATFPNATGAATDLPGPDSDLDTLVSAFAAKGLTSRDLAALSGAHTVGMARCLSFRTRVYCDADVSATFAAQMRGACPSSGGDDAEAPLDALTPGEFDNGYYRSLVTGAGLLHSDQVLFSNGPLDSLVRIYSNNGEAFSADFAASMVRLGNVSPLTGSAGEIRINCRKVNS >Dexi2B01G0032900.1:cds pep primary_assembly:Fonio_CM05836:2B:40660713:40662244:1 gene:Dexi2B01G0032900 transcript:Dexi2B01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALFSTSLSPRFLALSSPKPAAPVASAFLPFRLPLRAVAAPGRRVFEPVAVAVSSEYETEGAEQDEEGAEEFSEDLKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDSAPRAPRGGGGGGGSFVDSGNKLYVGNLAWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGSSEEVNNAISNLDGVELDGRQIRVTVAESKPRRQF >Dexi6A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:6A:23795256:23797318:-1 gene:Dexi6A01G0015900 transcript:Dexi6A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWRGILGFDYGIVQAPLGPDISGPELAAAVANAGAIGLLRLPDWPAPDHVRELIRKTRSLTSRPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHRAGVKVLHQVGSLEEAAKGKEAGVDGIIVQGREAGGHVIGQVCGY >Dexi9B01G0040060.1:cds pep primary_assembly:Fonio_CM05836:9B:40691639:40694460:1 gene:Dexi9B01G0040060 transcript:Dexi9B01G0040060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHPYGPKVVKGDGPAATLQKHHQHLHPAAPAIATSDSSLKLTHVLNNLSPAGTSSSAIKYEKGSFITSTGALATLSGAKTGRSPKDKRVVKNELTAQELWWGKGSPNIEMDERSFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMYNMCIRPTDEELKNFGTPDFTIYNAGMFPCNRYAHSTTSSTSVDINLARKEMVILGTQYAGEMKKGLFGLMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGNNASQQDCGTGKTTLSTDQNRLLIGDDEHCWSDNGVSNIEGGCYAKSIDLSREKEPDIFNAIKFGTVLENVVFDERTREVDYADKSYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFLMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGNRIKLAYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEINGVPSEILDPINTLAPSAAILNCTTHWTEKAAYKETLLTLAGLFKKNFEVFASYKIGDDSSLTDEILAAGPNF >Dexi1A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:1A:24268617:24270323:-1 gene:Dexi1A01G0017040 transcript:Dexi1A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDIDSTFKRLEEWYPQDSVALLAYEKPAESSIGYLLDSPQREFVADAVNAAVLSTNPVMKDDHEGCLYSCLERLLRQLTVCSFEQRTFNNNQGDAFLLHKELRSCERSRCS >Dexi9A01G0040290.1:cds pep primary_assembly:Fonio_CM05836:9A:44113256:44113735:-1 gene:Dexi9A01G0040290 transcript:Dexi9A01G0040290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFEGFPFGSGSSSNSLFPSFPRSSSETAAFAGARIDWRETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKTEQIRASMENGVLTVTVPKEEVKKPEVKSIQISG >DexiUA01G0017340.1:cds pep primary_assembly:Fonio_CM05836:UA:36676722:36678978:1 gene:DexiUA01G0017340 transcript:DexiUA01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSSRDTVQEKTEGWTETGRQNGTSQLERELNADEQEEEDRKRILALYKQNRDEEGRARGRIRNQLQEDERERIRAAKDLTEAKRTLEENQRKRMIESRIADQEEEKRARERIQQRIADDRAERRRRLGLPQGNPEPSVAIITPTKVKPVEHVVTSEQLRDCLRTLKKNHKLKCFLADSDDSARVTRAYQILLKIIANIVKNPAEEKFRRIRLSNPVFKDRVGDLQGGIEFLELCGFQRLSASGFLFMPRDKMDMALLNAAGVEIASAMENPYFGMLSK >Dexi7B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:7B:6743545:6744435:-1 gene:Dexi7B01G0002970 transcript:Dexi7B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALCLAVLSIAFLFLLHSVVNRDGGGKVKAAQRLPPSPPSVPFLGHLHLVKAPFHSTLASLAARHGPVFSLRMGSRRTVVVSSPECAKECFTEHDVALANRPRFALQQLVLFGGAALTTSSYGPYWRNLRRVTTVQLLSAHRVGRMSPVISAEVRTMARRMSRDAGNAPGGAARVQLKRRLFEVSLSVLMETIARTKTSSGIEAAGAGTDMSPEADEFKQIVDEVVPYLGTANKWDYLPVLQWFDVFSVRKKLMAAVSRRDAILQRLVDAEQRRLDDGGGVGEKMSMIAVLLSL >Dexi9B01G0026910.1:cds pep primary_assembly:Fonio_CM05836:9B:29300903:29301620:1 gene:Dexi9B01G0026910 transcript:Dexi9B01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARSSVSVASGGVVSVAEDVADSIDALYRKDEAVAELKSEVMEALQKEVRSLDEDSWMFAAPRSRIHLVSRPGKTTKKAYSEMINGG >Dexi2B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:2B:2085711:2087971:1 gene:Dexi2B01G0002510 transcript:Dexi2B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRARPQQRPPPPRSWPSFFFSEMGVDLSSDPKRRPRYLSKLIMLALLAAMCILMLTQPPCHRRIPSVFSVHQPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKVLQNLFPEPGRLQFIQADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPDKMPITEETPQFPINPYGKAKKMAEDIILDFSRSKKADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGRVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINRELNWTAQHTDLHESLRVAWTWQKAHRSGYEPPQAMIL >Dexi8B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:8B:2790258:2790834:-1 gene:Dexi8B01G0003650 transcript:Dexi8B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSDNVINLPSLETLHITHRGDLRQVFTWDDMQVLSTQEHIRQASTAVKEFPTLKQIHLHNLPSLEEICEGRMWAPMLESINLTGCWGLRRLPAVGRRSNGPAAAVHIERDCWEKLKWDGLDVGHHPSLYEARFSCRYYRKKGLLRGTLY >Dexi1A01G0023100.1:cds pep primary_assembly:Fonio_CM05836:1A:29692302:29693193:-1 gene:Dexi1A01G0023100 transcript:Dexi1A01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSMLWIAVVPFQIWSGRRTHYFSLSLSLFHLFLPTTTYLPPSYSSSPPTFPASSPCRPPLRTAKSVPRLAWTRSFHVRRHRLPVFVAAAAGEEPPPMEDADRRRMRCCVCRVSSAGCRAMGVAGRANSASSLRSRRCLRMRPSYAPFSEPFTERPAPAAGVAAARRSRWSISFRTLSPSPHSRS >Dexi1B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:1B:25513423:25514159:1 gene:Dexi1B01G0019290 transcript:Dexi1B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGIGSRVLPALALIVVVFVVLLAPGVAADEAAAAPVASGLEFRVGGPRGWRVPDANTSYGWWAMNNRFHVGDHLYFKYAHDSVLVVDRPAFDACNTTEPLAAFTDGATTVRLDRPGFFCFISGEPGHCEEGQRLVVRVMVHPATLAAAPGPGPAASGPGASTQPGHGGASSSGADTAVAAATGVAIAAAMALLVGTLLMQQ >Dexi1B01G0021660.1:cds pep primary_assembly:Fonio_CM05836:1B:27533741:27541632:1 gene:Dexi1B01G0021660 transcript:Dexi1B01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRARSLPHGPRPACIAWLRNPPAATPAATACHDPCRTLLSPLKTHRCNVPEDRIESLQAYLPRKEPLAISVAERSMAGPRRTRPSTFRCAAATLLAVAVVVVIVVLLWLFLHPSKLDISVDHASTKGFNFTSTGGLAGAFDLTLHAVNPNERAGVYYRWVDVGVWYNGTYLAGAHAPGFFQEPEGETRVDVAARAPEGGWTLPGEVEESIKRERTNGRLTVDVHVVAKVRFRYGVVKTRRYTVRASCPGVAIDFASQTSFHRLPCYSPSDGNRALTESGFAVNSSGSHHPHILSSPLKSSTTTTHPILSPSSASPAAMAMSMAMSKAFTARHASHLHHRRLVASSSQAAAPRLPLLPRRPPSLAVTVASPPRQRRILPASPRSSSSSSESDLSPTPKSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSAIQGVATVAFANLVVLVTLVLTAAPAVTHGLPFPVLARAAFGVRGAHIPAVLRALVGCGWFGIESWIGGRAIFLLLPSSLKSHPPLLAPVPGLGAAPLEFACFLFFWAAQLGVIMRGMDGIRKLEKFSAPVLIVLTSALLAWAYASAGGFGRILSLPPRLTGVEFWKVFFPALTANISFWATVAINIPDFARYARSQADQMLGQAGLPVFMGMFTFAGLAITSSTEVIFGHVVSDPIELLGRIGGPVTTFLAIFGIGLATITTNIAANVVAPANALVSMSPRRFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLVGYSALMGPIGGVILADHYVVRRTALDVDALYSEDSGSPYYFQGGFNVAAMTAMAAGVAPIVPGFLQKVGVLPSVPKAFVTAYNNAWFVSFLVAGAVYSLLCARRGIGRQRSKVGRGDSTDLHRAIEMKGGEGILKKRFLFSSFIFFAPCFAMAALLKVRLPPSARCWELGILFGTEKPTESVRYANSFLLGLVLGRAASPCLLIYFGLDRVLKPIDPLASMAAVAFKATCSAPVRFHNGLARATKASNKPTDGKNRGAIEGGKARERARKMAQVWAVSLAVASLAIGMLGVLGVWLCYLFDAVARGRAPRAPPPTPQEEEEGDGKNGLSEAELMRLGGVSVVDSTDGGEEDEEEEGEALCPICLDAMEPGRAVRVLPGCNRAFHQDCVDRWLAISPRCPVCNVWAVPPQSPAPAGILEVGAASLLRRLAGQFLVPDEFLAGFWSPIKKPS >Dexi2A01G0027070.1:cds pep primary_assembly:Fonio_CM05836:2A:38492787:38495721:-1 gene:Dexi2A01G0027070 transcript:Dexi2A01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAKRMIPAPPAGYERSPVFYGGGGAPSPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVESNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRNTCNMKKCGAPRPTPGATMSSSRKDTKEAPEGSWTCPECNNLNYPFRTACNRKGCSYNKQAPTNN >Dexi6B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:6B:21643795:21644564:-1 gene:Dexi6B01G0013950 transcript:Dexi6B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQEELTTQDLLQGYLELYHHGLSHVKPMAFGCAIQLGIPTAIHRHGGAATISDLATDTGVDPSKLQYLRRLMRVLTVSGIFAADHPPSSPSTGENETVYKLTPASRLLVGGEVLTTTSCDMSPMMRLLVRPTTSVSTFFSLEEWLKADGTASLFETAHGMSPWMMTKNDDVYNEMLNEACAADSNFAMDTVLKDAGVAKIFSGLGSLVDVGGGHGAAAVAIARAFPHIQFRLW >Dexi4A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:4A:2360935:2361309:1 gene:Dexi4A01G0003270 transcript:Dexi4A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAVRGGDDGPSLLTKLGVGVLTFNSAAAAYRSRGDPSSLAFVVTAYLALLLLLHSLRRFERAPPAGRGRGRAKATVWVLTTLLTVMFAAKVAPLMPPPVAAAVWAAAAATAGGGFWALFLGR >Dexi9A01G0040780.1:cds pep primary_assembly:Fonio_CM05836:9A:44503772:44506934:1 gene:Dexi9A01G0040780 transcript:Dexi9A01G0040780.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLLKEMLAKMGSGAKAYGAVVLIRLMYSGMHVVSKVALDQGMDPLVFVFYRTTTAMLVLIPVTFVLERRKAKPVTFKIGWKMFIHALYGVTASGDLSSLGLNYASATSSSALYNVQPVVTFILAVIFGKETLKLTRFHGKVKFVGILFCIAGVTVMSVYKGPLFRSFNHHHLFQSGGGSSSEAAETHSKKQWVLGIFFMTLSNVFGGMWTVLQEPLIEDTSKLMNTTLQVSCASVQAFLVAIAVERDFSKWKLGWNVSLAAIIYSGVIVMALSYYMQMWTIDKRGPVFLAMSMPLTFVFTIIISVSIIGDAVCLGRHATNDHRLYNVLWGKRIEKQGDLAKISATPGELGLELPPQQSKADLEAPQVIDDDEDKEAKV >Dexi5B01G0026880.1:cds pep primary_assembly:Fonio_CM05836:5B:28532519:28534101:1 gene:Dexi5B01G0026880 transcript:Dexi5B01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFVTYLQRPRELPVPEFRAPPPSPVTGVLTGSSSGSSGYGEGQGDDDTGRFLRCSARVPVLRLPERPGPRRNKKKQAAWAPPVIDMRVMCSPSTGAGAGPAVEALTSAAVAFGCFQVIGHEVDEGLVLAALRAATARERSSASKEDGGGDEDSEELWWPPSREGDREMAGNRPLRNGIKQIRNAADDLFSQVEQASSKLLDALRQGNEAADTTEPLAKAAANGSRLCIRKNHAIASSASGPVSQDDVLRMLVRSSRCSRALALALHLCPGASAFHVFSRRGWSRFRPLDGAIVVTVGDQLQAWSGGHYKSVSGKPAYSNDDHQENGGDSAISAEFFLSCSSAGAAKDTLNLDAGKVFPLNLQIMVAICLVLIYHFFLSCLYAR >Dexi6B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:6B:931853:933283:-1 gene:Dexi6B01G0001150 transcript:Dexi6B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKQHWARNFAFLDYFKKVYGRAEPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALAGALVGATHLGGVAFKYSKAPHGIVLATGFGAITGAVLGAEVAEHWYQLYKMDKQGANLRFIYWWEDKVSGQKS >Dexi7A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:7A:24640882:24641900:1 gene:Dexi7A01G0014710 transcript:Dexi7A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQLLSFVAIAAISSLLHPCESIEFHRKLSSWSTDGGATWYGAATGAGSDGGACGYQGAVDQAPFSSMIAAGSPSIYKSGLGCGSCFQVKCTGNDACSGNPVTVVITDECPSGDCMKEPVHFDLSGTAYGAMAKPGQADKLRAAGVLNVQYTRVQCSWPGVQLTFVVDAGSNPNYLAVLIKHQNGDGDLSAVELMQTGAGAAWASMQHSWGAVWKYDAGSALQAPLSIRLTSSSGKQLVASDVIPAGWKPGAAYQSAVNY >Dexi3A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:3A:10973519:10973991:-1 gene:Dexi3A01G0014890 transcript:Dexi3A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKGQERCRMEQKYWHDYLHSRMENENNKQFRGKWLPFEDELALLFDSMDNEDGDMLCVGGMGDRTPSGGSEYNLDPMAEDNNAWSEDKIGWSSVRS >Dexi4B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:4B:20376798:20379519:-1 gene:Dexi4B01G0017950 transcript:Dexi4B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPVPDRRRERRLSSGSAATPPYTGGDVTRSGELGRMFDIAASQSQAPSPASSSRRSSGPLPRPSPSPASGPLSQLSHQPGLLVGPSPAPSPARGSSRKGSSRRSGGGGRKEMAGETGGATVAACATARLGVPFACYVLVAVAAMAAIGAGVFCAVSWRRWEVLAAAGGAVALVAAVFASNAWRRSAEAERFFRRFPDTVFDGHGDMPVGEIVKITGQVTCGRHPLGAYFHDAARCVFTSVQLFQRRGWARGCCCRRWQLRHSEARVANFYISDRNSGKRFYVRAAEGAKIIPMIKLKTISFEADSKGTSVNLKNWMASNDLSCNGVMRAKEGFIREGDTASVIGVLKKHHACDIVDVPPGVVTTGCQPMRFMFPILMEGLILIGNEDPDEAVYMV >Dexi7A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:7A:29438061:29446342:1 gene:Dexi7A01G0020720 transcript:Dexi7A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEEGSNGEGGGAWGSSLLGEDGSVEEQLVGVELRRSSGELLVPSMGNLGISSMFPPLWFARDPNLGSPRRTASELGATASLCKLESARRHPHAAPSALRKAAGHDLCPPRLLVTSLEIKRLEESSPRSSVPSEVGGSNTLRFSMPGFGNGSFNALRSFWSVYPEGLNCVLGGWQIVVWRIFPVTTDLKRTAGVFIATAWAGAAYYLLWFMLAGHLLCLLSSFPFPFYSTLGQGLVTSTYPGEVLFSIAICVLGLILFALLIGNMQSYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPDIRHRVPLFSNMDERLLDAICERLRPALYTENEFILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPRSGANFPASSRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRKEEEAASRPSSSHPSLGATIYASRFAANAMRGVHRLRSKAAPAIVRLPKPPEPDFGVDDAD >Dexi8A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:8A:9436558:9438318:1 gene:Dexi8A01G0008090 transcript:Dexi8A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHLPLLRFRPPRRHLRLCELAKMVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNSKITFPLVSRKIRPPTRKLKTTFKASRPNLFM >Dexi5B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:5B:6167680:6169893:1 gene:Dexi5B01G0009070 transcript:Dexi5B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRRGPTRGKLQVPLTLAAELAYVCLRAGRCEAGHRLCRGEGGCRRCGLDTTFVHCGPDLDEYAAGFTAPCPFEAYGSASAVVYHTAAAHRDASASVSGPVDRLLVVDGDERRLFVMSVRPRGASCCAVTVACVRASGAADAGPRYWCYLTAYGPVASGVPGDCRYLAAMANVASCSVPGGAAGEEGMHGAGRCFTLM >Dexi5B01G0025810.1:cds pep primary_assembly:Fonio_CM05836:5B:27739368:27741120:1 gene:Dexi5B01G0025810 transcript:Dexi5B01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATERRSPPWATGERRPHFFKVLMGDFRKRLKIPPDFCKHIPWEASRKAKALREASMAATLEGPSGRTWLVVIRRSAEGTFFTSGWPKFVQDQALRELEFLVFRYDGDTRFTAMVFDTSACEREDLLLGGGSDVDDDPRRGRRPAKRGRPRKTTTPKLDAPKRARKDSVGKEMVPYRPPPGDRQLQAACSKGTPGSAGGAVKTEIEDADELALCVTIPSSPPPPPPQQQQDPAPVRRTGPQPQDGAAKTRSIHKDLLQAAALADDADIPASVRRYKGYVSRRRAVTGAERQRAMELAYAFRSSVPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVGAAEFRVHVFRVVDPPVPAVRLRSTC >Dexi7A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:7A:24667468:24668466:1 gene:Dexi7A01G0014720 transcript:Dexi7A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQLLFFSAIAAISSLLHPCESIEFHRKLSSWSTDGGVTWYGAATGAGSDGGACGYQGAVDQAPFSSMIAAGSPSIYKSGLGCGSCFQVKCTGNDACSGNPVTVVITDECPGGDCMKEPVHFDLSGTAYRAMAKPGQADKLRAAGVLNVQYTRVQCSWPGVHLTFVVDAGSNPNYLAVLIKYQNGDGDLSGVDLMQTGAGAAWASMQHSWGAVWKYNAGSALQAPLSIRLTSSSGKQLVASNVIPVGWKPGSAYQSAVNY >Dexi9A01G0025300.1:cds pep primary_assembly:Fonio_CM05836:9A:23405727:23407162:1 gene:Dexi9A01G0025300 transcript:Dexi9A01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPTSPAVGPKVLLPTMAEIMAASRAQGLRVRLRTVGPFFRVTASRGDGGDAMEVGRAEGGVRPWPGGAVLHLDSMRMTRATLSISDRPLFGLGMFLGAVAIRHGFDAGCKRAELLAINDTPLYHDKLVRFYTRLGFKAVHEVDGSSITDLAHMLVWGGRGTRMDANIEELLIKWGKRFRPQD >Dexi9B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:9B:8639697:8646017:-1 gene:Dexi9B01G0012820 transcript:Dexi9B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHDLSLPNLLKVLLNTGDQDQWPPEATLVVAAYDGNIRHLKEIAARMDVAGRGVNSMLRRTNYEGLNALHAAVGGEGLLPMCRYLVEEVKMDVNKRDTFKGKKMTPLEHAVFRGNLPVVRYLLDHGADLHQEGRLEGLNGFTALHIAALKGRCAIAKLLLSMGAYVDGKSCHVTPTHIAVGEGHDSTLKILLDHNADVLFLAAVDVWPSLGISEQPNKEVNLSTPLLIALRTPSLPCLKLLIQAGAEVNGSGEPLAVAAQRGLTEAIKCLLKAGANPNVPDMDDDFIETKKSELRKQGDIAYGKQDYINASAFYTQAMRVDHYDATLLSSRCLCWLSIGDGRRALRDAVRCKILQPKWAKAYLQKGQALILLKDYEEACDVLTQGLELDPLNDELDKLYWEAMELKDGSRVVA >Dexi1A01G0027450.1:cds pep primary_assembly:Fonio_CM05836:1A:33218427:33223461:-1 gene:Dexi1A01G0027450 transcript:Dexi1A01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEPEAEAMSSYIVHVAATHAPRSPLAARGFAARLTGPQAAHLEAQPAILAVVPDEMLQLHTTMTPSFLRLSPSSGLIPAADGATDVVIGVIDSGIYPLNRDSFAADPQLPPPPNTFRGGCVSTPSFNATAFCNNKLVGAKFFHKGNEAVTGTSLSAMGQSMSPLDDVGHGTHTASTAAGSTAGDASFFKYGKGTAAGVAPGARIAVYKACWKTGCASSDILAAFEAAIEDGVDVISISVGGNKEGRIRIWAGSWPIISPSNKAQKFHKDSLATGAFRAVRDGIIASASTGNTGPAESTVSNVAPWILTVGASTINRLFPDTVVLGNGETFTGTSLYAGGAPLSATEIPLVHGGDAGSRFCEAGKLNTSVVAGKIVLCDFGLNRGVEKGEAVKLAGGAGAILAGIKELGEFAYASPHMFPTTAITFVSTEKIRKYMSTDASPVATIVFHGTVVGGRTPSSPRMASFSSRGPNTRAPEILKPDVTAPGVNILAAWTGESSPSQLDTDRRRVRYNIISGTSMSCPHVSGVAALLRQARPDWSPAAIKSALMTTAYNVDDAGDVIRDMATSEASTPFARGSGHVDPNRALDPGSVYDADADDYVPFLCALGYTGEQIAIITDDSEVDCSAATAGDLNYPAFSAVFGPTMKEVTQRRTVRNVGCNFRATYTASVTSPAGVRVTVKPGKLRFDAKQRTQGYEITFTPQGAGNLTDKYSFGSIVWSDGEHRVASPIAITWPWPARQVAAM >Dexi3A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:3A:8658765:8662184:1 gene:Dexi3A01G0011960 transcript:Dexi3A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAPVVGGGGGGGEANGVPPNVTIYINNLNEKIKLEELKKSLNAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKRMQDFPFYDKPMRIQYAKTKSDIVAKADGTFVPRERRKRTEEKPEKKQKREQHHDATQMGMGVNAYPGVYGAPQLTQIPIAGGQRVMMPEIIVPNNILFVQNLPHDTTPMMLQMLFCQYPGFKEVRMIEAKPGIAFVEYGDEAQATAAMTSLQGFKISKENQMVINYAKK >Dexi5B01G0035860.1:cds pep primary_assembly:Fonio_CM05836:5B:35724009:35727990:-1 gene:Dexi5B01G0035860 transcript:Dexi5B01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAGAVAAALLYPAINKPAGVVHWLKHSSEADNVDWVVILDADQIVRGPIIPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYAFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYGLPFQVGNWSFSKLEHHDDGIVYDCNRLFPPPPFPREVEMMESDPNVKRGLFLSIECMNTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKYWKGQNVDSMMSVQHIALSKTNSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAILWLHKSEEVRADKAHYATNITGDIYESGWISEMYGYSFAAAEINLRHIIKRDIMIYPGYVPLHGAKYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPSTIMQEDLDARERDLLSIECGRALNKALYLHHKRRNCPQLDTMHSTSKKTGQVSSNKIERISHESSRSRNRGKFETVDAARDKTLERAAATISTVHRSRRLARSSRMWIIAVWAVSIIVFLLVISISFTDRRRSVSRSRVSRSMKAHV >DexiUA01G0009800.1:cds pep primary_assembly:Fonio_CM05836:UA:19260497:19260844:-1 gene:DexiUA01G0009800 transcript:DexiUA01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEIRRPLLLVFLLVSSRQRREGGARRRRRPVAVAASCSAPPPPPRPEALKPRATRREGLRSSVDRGDEIRGGERGGTRFVTPSPRLLTAPEPRKSREIGGRGIPRRFAKAPKW >Dexi5A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:5A:25281521:25284317:1 gene:Dexi5A01G0021440 transcript:Dexi5A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSCLLAPKPPRENPMHRAQEPLVRQGSSATGYLHPAQMGMGAAERLKAGFRTFKKTIYDTNPMLFGPLKSAQSPKYMVFACSDSRVCPSVTLDLKPGEAFTVRNIASLVPPYHQNMHSSVASAIEFAVTILKVKCIVVIGHSCCGGIRELLSLKEDRPQTYHCIDNWVKIGLDIKRKVERLHASLYFDEQCTMLEIEAVNLSLRNLMTYPFVKDKLAKETLKLIGARYDFVHGTFQTWHP >Dexi5A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:5A:5087959:5089451:-1 gene:Dexi5A01G0006810 transcript:Dexi5A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAVASAVERLQAAAQDAANSSSRSAAAYSEQAQQALVSRTAGRVVSLSTCTKVSAISFAVGVVVGFTLKKRLRRWAARLLKRIKDDD >Dexi9B01G0034580.1:cds pep primary_assembly:Fonio_CM05836:9B:36498002:36500079:1 gene:Dexi9B01G0034580 transcript:Dexi9B01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVGHQLVFAVGILGNILSFLVTLAPVPTFYRVYKKKSTESFQSVPYVVALLSAMLWLYYALLSMDFLLLSINAIACVVESVYLAIYLVYAPKDAMVFTMKLLSIVNMGCFGAMVAILQFYVEGQRRVTIAGGVGSAFALAVFVAPLAIIRQVIRTKSVEFMPFWLSFFLTISAVVWFFYGLLMKDFFIAMPNVLGLLFGLAQMALYFMYRNPKKNGAVSEVQVAQEAAVADAEKEHQKQARQPPHVAAATPDEANDGEVAITTREDGHNMDDVVVVDIMPPPLPAERAPSPPLAPPHPPAIIIPQPRAVEVV >Dexi5A01G0025880.1:cds pep primary_assembly:Fonio_CM05836:5A:29607554:29608680:-1 gene:Dexi5A01G0025880 transcript:Dexi5A01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSVPAFVFDLVSSILGAHPEAPVRSFRTSSGSIRGDEDPSSDGGGWLQELGRHGVQELFLSFSERWQRIPSSLFACASLTRLRATCCTFPSPPDEAAAAGSPLLTRLTEIELWDVEVPEDALHALLSHCTALERLKMRRMRQLCGRVRIRSPSLKILRNDGHFDELFVEDAPNLEWLLGDSLYQRGVHFRVARAPKLEFLGYVGMGSLAIEIGESIFTLLKLFPCLETLYIRVR >Dexi5B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:5B:4291751:4294367:-1 gene:Dexi5B01G0006350 transcript:Dexi5B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELHSVLILAGIISALCYCFSAAAYSPADNYLISCGSSAGTATMVGQRVFVSDDSGTVTLTSSHSAAMKAQPDSVAGLDNDDAALYQTARVFTTPSSYAFKITHPGHHFVRLHFFPLPCQSYDLAAASFKVSTQDAVLIDTFTPAARKTTNGSSQSPVMRDEFLLDVARDTLVVTFVPLAAAGIAFVNAVEVVSVPDDLMAGMATTSFDQQLVPIALPLQTAHRVNVGGPAIAADGDALWREWTTDESSLIGSIVTREVIYDGRLNYLAGEATRDDAPDIVYATARELVMSDGFDSSRQMTWQFDVDEQPASYLIRFHWCDIVSTAPFLLRIDVYVNSYTAVQGLDLSTIGNGTLAFPYHKDFILDSSDPSGKITISVGSSSTLNMNNSLPNPILNGIEIMKMNFSSGSVVVVEPTLGLKKKQNLPIVLGSICGALAVVSITVVLVTFLVHKKEDKVLPSPSQSSSSTPWMPLLNRLSLRSRGPGANGPESPSFTVDKDMPGSTIPIAVSSSSSPSYRFPLSMLLEATDNFDERLVIGAGGFGKVYLATLPDGTKVAAKRASSESRQGVLEFRTEIELLSGLRHRHLVSLIGYCDDADEMRLEACAGAARGLLYLHTALAKPVIHRDVKSSNILLDGDLAGKVADFGLSRDGPEVGETHVSTAVKGSFGYVDPEYARTRRLTAKSDVYSFGVVLLEALCGRPVVDPRLPKPMVNLVEWALHWQRRGELDKVVDRRVAAAVRPEALRKYGETAARCLADRGADRPAMEDVVWSLQFVMRLQDDGGDLDFSDVNSLNFVRELSPPVDGSSLENEEAGEGEGVTDGEYTDVSMRGVFWQMVNVGGRYVKGTR >Dexi6B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:6B:21480062:21480502:1 gene:Dexi6B01G0013710 transcript:Dexi6B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGGAPNNNRNIAVIWKAKNFTIHSVVKWLDAQLPHHPQLKGAVRGTRIKLLDVTALSRLRDEGHISRYSIKATLGVQDCLHWCLPGVPDTWNEILAAQL >Dexi2A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:2A:5275298:5275589:1 gene:Dexi2A01G0005460 transcript:Dexi2A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPYWAKVRSSVDSVVSHESPPTKIRPTFSSAIPDQIKSNPLVAAAAATTCTGAQQPNPDKMGGAAAAHLARRPRSRR >Dexi4A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:4A:20509747:20513456:-1 gene:Dexi4A01G0016780 transcript:Dexi4A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGAGGAGNGAERQPKRARREDAGEADAFAAAAGAAAMTPEEADFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGQESKEELMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCETMLEQLLPTSEPSVSREKGKGDSNDEEKPAKPTSSLVNGGGIPELDEIEYMECMYMKSTVAALRSLKEIRGKSSTVSRFSLPPLQGNNAPEEPERWNKIPVLEQAAK >Dexi9B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:9B:14181227:14184795:1 gene:Dexi9B01G0019640 transcript:Dexi9B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWALTPVDAVRGTQKYYIFAAGTYKVGRKDCDVIVQADTSISRVHAEIEVEKMVSWDPHSGGPASPSCVRVVDRSKYGTFVNKVHGTQGSRLHKNEDVMLTDGDTVTFGTGNATFRLLFVPIVAFFHGRKSARIDPSLHAVMTSIGAYATRKWSDECTHVLADEACPLTPELLDAVIGQKQIVLGDWFKAMAEKNIHTEIPSCTLYIPNLTLDGTVIKMVEVNLIQSCLAGYTFILGPSDKYQFGEKLLGLLESTGAKYLHIDEFCANSQDSITGDTDQQILVFPARYPLEFSKIRTLFPLSKISDVKLFAAALSGRLEATAIEPPAFIVTSSNSTDETIVADSDVEMETATSNPIGASNKFQSHIENISDDEKEITNITNEVAATVGGTKADVVQLNEPQKVLTEVEAPKSVQDDVTVEAPKSMQDDVKVIEKASIYRSKARDEDVRVINKVPKDENLDISRDGACDVIFNQDLVVKKLPQSAPAASTEGGGVNFKRFRKRETVSGNSFKDLVPFGQEPESDYESGTLTDFMREEKQRKEMEAIAEDLFNNAKSKKRAAAGSSIHTLLTGRR >Dexi2A01G0023260.1:cds pep primary_assembly:Fonio_CM05836:2A:35003942:35010936:-1 gene:Dexi2A01G0023260 transcript:Dexi2A01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSNAGSAVADEVARLIGVPKEVDFIRNELEMMQAFLKVSSTNPVPSDTVRTWVKQVRDLAYDVEDCLLDFALYAARTSSSRATGSWWLTPGAIAARHRIAARIRDLKASVEELNQRNLRYHVVVGSPAVAPRAADEQPAVLPDGGQDASSAAELAFQVLDVIGRGSEKKQLTELISGSNGAVGVVSVWGMGGMGKSSLVRMVHNDPDLLDEFDYDVWVTVPHPLDNPEVFRRRLRKELGLARDRSVAEYLHEKRYLVVVDDLHSQEEWENICQVFPHQLFKNRKGSRIIVTTRREDVARHCAAHVAGWDGHVYELKPLGDDESLDLFCQKVYKKTDYVCPPYMMDQAKRILERCHGLPLAISTIGGLLANRPKTGIEWENLHQHLGAELGSDLRNITKVIVSSYDGLPYDLKSIFLYLSIFPENHEIRRTRLLRRWMAEGYIAKNRDMPVENVAERVYSELINRSMIQPSKASLGVRADRCRVHSILLKIILDKFIEENQLFLIEKQSGEVPQSKIRHLVVSRWKKKYEKLQNINPSYIRSLTIFGECPASLISQKMRLLRVLDLEDTSNLKNSDLKHIGKLQHLRYLCLRGTDISKLPSSLQNLRYLETLDIQDTQVRELPGGVAKLEKLRYLLSGVSFTKDLLQKMGESGKVNYKTRLFGNIGACLCCNSSECCKVYNVDQFSVRAPDGIEKLKNLHMLGLVNVGKGNGVAARLKNLTNLTNLRRLGITGLSEKEGMELCKSIGELRRLQRLEVRSYSLKFLIKTDGESSTQPRMPRHLVSLRLCGDLSNMPRWISSLNDLTKVKLLGTQLKQGDIEQLQNLCNLALLGLWEHSYMDTSLHFCSGTFPKLKFLDIDGIKEIETVTILDGAMPELEQLWVNKCPSLCDDSPGLSGVPYVLKLNELVLKNCGEKEKLIKILQAQVNGHIRRPKFLIGKSILRTTSTQDTSQQ >Dexi5B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:5B:1834998:1836741:-1 gene:Dexi5B01G0002840 transcript:Dexi5B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGRGGEQHSPRSSAAAKSSPSTRGGEHYSPPPPPKTTPRSPKHLPASAAWLVDSRWSLSAVLSLLLFLAVVLAVTSFSSSSSPYLSASSFFSSSSSAAATVQHQQQAPANTSSPSSPPPPPPSPPPGAGVPRLAYLISGSKGDLDRLWRALHALYHPRNQYVVHLDREAPVGERLALAARVANSTVFRRAGNVHVIRRANMVTYRGPTIVSNTLHACAILLRRGGAWDWFINLSASDYPLMTQDDILHVFSTVPRNINFIEHTGYLGWKEGQRAQPLIVDPGLYRTKKQDIFWMSQKRELPTAFKLFTGSAWVALSRDFVEYTVWGWDNLPRTLLMYYANFVSSPEGYFQTLLCNAARFVRTVANHDLHHIQWDTPPRQHPHSLELADMPAMVRSGAPFARKFPRDDPVLDAIDADLLGLPPAPPPVLAAGNGTGGSPAHAVPFVPGGWCGGDAGCGKVENDWVLKPGPGAERFQRLIDRIVRSEAFANRQCK >Dexi2A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:2A:31009362:31009792:1 gene:Dexi2A01G0018850 transcript:Dexi2A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAPIAANPKPPPPRSPSSPGSPLSPLRAPHVPRPRGADVRGVDRRAVCGGGRRRAPPPLAGRRGHRLAPGRALYASVKLFWSFLLPSPPAATAAVQWEGAGAVAVVAVIIAAVGIVVAVAACFVAAGGLAYRYAPSRS >Dexi5B01G0029900.1:cds pep primary_assembly:Fonio_CM05836:5B:30996384:30996911:1 gene:Dexi5B01G0029900 transcript:Dexi5B01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYPSMLPAHRVDSLPPPPPPLSSSSSSGSANHPDKGEARQTHPRPQGIERDACVEVERRQRRRQAFVSPSPPSRGSWHGALSRGAVASPSIPCEPPSPLAAACITRAATRPSESPGADNGSGRFCAGISSPAAPPESRSSRRLWRRSHSRRWGEGRGRWRWFRGEGGGEREEG >Dexi7A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:7A:4640116:4641760:-1 gene:Dexi7A01G0001780 transcript:Dexi7A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPSPATAPGHDRVAELRALDATFAGVRGIVASGATRVPRIFRLPEPEQFHGRKEAPCIPTIDLGAADHEALVANVRRAAAEWGLFLVTGHGVPGEVAAAALGAARAFHEADGGEGSEKARLYTRDPAKGVKYNCNFDLYDSSVANWRDTLYLRVAPDPPADGEMPENCRDAFFDYARHTKRLLHTLFGLLSEALGLGPTYLTDMECNKGQMILFHYYPPCPEPELAIGTTQHSDSGFLTVLLQDDIGGLQVLHDDQWIDVPPTPGAFVVNIGDLMQMMSNDKFRSAEHRVVAKNTEPRVSIACFTSHSDSTRMYGPIKELLSDENPPLYRETLAKDYIAHYYAVGLGRKAAIYDFRI >Dexi2B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:2B:28926628:28928151:-1 gene:Dexi2B01G0018710 transcript:Dexi2B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTTTLFLVTVVAFLLGTSLATFAHLPEGDVSQEAQLSKFLSSRALKRLRARAQADEPEESDPWADPDTFAHLPELCKGPPSGSKEADRVLGLPGQPPRVNFQQYSGYVTVNQAHGRELFYYFVESPYDAESKPLILWLNGGPGCSSLGFGAMKELGPFRSPAGVGFSFSRNTTDYDTVGDQRTAEDAYVFLAKWLERFPEYKGRDFYIAGESYGGHYVPELAAVIMYMNHFPGVLTPINLQGIFFGNPLLDDYLNGKGNLEFLWSHGVISDEAWANILENCTFTESDDWQCFVAAHKFQKGNIDRYNIYAPVCLQSSSGTHYFSSSHEKLDARI >Dexi1A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:1A:12697174:12707946:1 gene:Dexi1A01G0012620 transcript:Dexi1A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVVLILVTLLLTVHIALADEKQSGDKHGPDSKNSGDGNSQGGDGAQSSNKGDNKDEGGDGEKSSNKDNGDNGVGPVKNPHCEKPGRGPDPHGDGHGPPKNTECDNQQESPPPSSTPSYPVHVAQVNANAEGNAHRSDTNDVGEQKSNNSVGPVKNPHCSKPGKGPDPHGDGHGPQKNTGCYDGQDSPSQPGTPSDQ >Dexi1A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:1A:10469931:10470978:-1 gene:Dexi1A01G0011460 transcript:Dexi1A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARVFAVLLLALGWALAVAADGVTTSPSTPPSPPPPATTAVVWQRGHATYYGGADASGTMGGACGYGNLYAQGYGSRTAALSTVLFNDGASCGQCYKIACDRKLEPTWCKPGVTVTVTATNFCPPNWGLPDGGWCNTVRPHFDMAQPAWEKIGIASRGIIPVLYQRVPCVRRGGVRFTINGHDYFNLVLVTNVAGAGSIKSMDVKSSDSADWMPMVRNWGANWHSLSYLSGKMLSFRVTITDGQTLEFTNLVPPGWTFGLTFASNLQFK >Dexi2A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:2A:9532951:9535059:1 gene:Dexi2A01G0009280 transcript:Dexi2A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQGRSRGARAMSLAVTGAGARASHLPSSLAAASPRPRLLAVSPCLRRPHGHLASPPAADCRRRLRVRMARTESSGVAVGFRAPEFELPEPLTGNLWTLDDFEGNPTLLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSVRTHPQDGPEQMAEEAKLFKYPFPYLYDESQEVAKAFGAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNLPVTGRDLSRAIDCALSGQELPFVQKPSVGCNIKWYP >Dexi2A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:2A:4693205:4695663:-1 gene:Dexi2A01G0005040 transcript:Dexi2A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEEMPDPFLDLPILEQPLVIGDGAIDGVVTHGDGEGVNTMDQSPTEWSFESLLEEELLADAPIENSTSSSALPADPVVEVDHAAMSPMQVSVVGNPMEYNTVLKRKLEEDLATVGIYTFLLTGLITGNMNLMKNKSMVEGPINHARDAYIRARLATCSSSRDPSPSDDDDDMDGEVEILGFRMPTEEKMRRRKESNRESARRSRYRKAAHLKEMEDQVAQLRVENTSLLRRLAVLNQKCTDATVDNRVLKADMETLRAKVKMAEDALNRVTGMSSSQPSRPILAPTNADASGPILDNIINYLMNATDATTNNNFVPRMMAPALPQAEMPASGNKGTSNDAMINRIAAHHAVAVELLHKRLGSMPTSSEAAPLESAPSGVDESIDDIDTMH >Dexi5A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:5A:19622930:19625067:-1 gene:Dexi5A01G0016910 transcript:Dexi5A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTATATLCCRLIRLPYSRRPPPSRARCSAAQSPDVVDKEYADLNLRPLYPNEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWHAKNSGQRQNYLGLEIRQKLVERTQFWVNELGLRNVYFMFANATVSFERIISSYPGPLSLVSILVLIQSDVLEVAADMRERFDGYSDILAHVDCVDKDLHCDNEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKIRDSSH >Dexi5B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:5B:331089:334659:1 gene:Dexi5B01G0000500 transcript:Dexi5B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASGGLTGHVASRGPPEWGGVSPDPSRSDDTWPARAHAEAGMYGKTASDWLPGCRLGLVSWSSRADGVHSTETSKTKRTRAEPPMGSDLSRREGEPASSSSLAGLEAERRGEERREQPSIHPFGLGRRDSQQQHREPHRPALHSRRALHRRSTSVLALPSRRTTHSRGEEIRAPRLGFGRIMVMKMEIEDDSAAGGTAGTWTEEDRALGAAVLGIDAFAYLTKGGGAISEGLVATSLPDDLQNKLQELVESEGPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVVGAAATAGSDDTKQRMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEMFFLASMYFAFPRRVGGPGQVFAAGTPLWIPNNERKVFPANYCYRGFLANSAGFKTIVLVPFESGVLELGSMQHIAESSDTIQNIRSVFAGARGNKAAVQRHEGNGSTPTPPERSPGLAKIFGKDLNLGRPSAVPAVGGVSKVDERPWEQRSAAAGTSILPNVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHRNNGAADSPSATQFHLQKAPQLQKLQLQKLPHIQKTPQLVNQQALQPQVPRQIDFSAGSSSKSGVLVTRAAVLDGENAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMETERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMDNHPVKKVFQAFEEAEVRVGESKVTGNNNGTVVHSFIIKCPGAEQQTREKVIAAMSRAMSS >Dexi7B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:7B:19037392:19039689:1 gene:Dexi7B01G0012230 transcript:Dexi7B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEDGAIQLQDVAAGGGSASASYTKDGSDGQRCVASPGGWLGRLSRELHWSFLLAIVSVYGACQGVGDALNGVASGYYWKDVQRVQPSAAQFYQGITGAPWVIKPLWGLLTDVVPVAGYRRRPYLVLAGAIGVTSMLMLSLHRELGIIAAVLALTAQSTGAAIADVTVDALIAQKSITHPPLASDMQSMCGFSSSVGALIGFSISGLLVHSMGSQGVLSLLAIPSALVFSAGILLKESPATDFDYTQVHQKFYKTVQSMGTILKCPEVWRPCLYMYLSFNLSLDIQGGMFYWYTDPVAGPAFSEGFIGLIYSIGSVGSILGVLLYQGALKDYPLRSMFLWSQVLASLAGMLDLVLVARLNLKMGIPDYFFAVIDNSVSQMVGQLIWLPLLVLSSKLCPSGIEGTFYALLMSIQNAGGMMSAWWGGIMLDMLNVTRTEFHNLWIAVLIRNISRLAPLMLPFLVPQSDHDSRLLPVEMLDNIKSSEAVKAGSDSTGFSILVADDSSGLSSNALAQKEKIEEFHAGTADVELIPLVNKSRPNVDS >Dexi8A01G0006020.1:cds pep primary_assembly:Fonio_CM05836:8A:5992957:5996547:-1 gene:Dexi8A01G0006020 transcript:Dexi8A01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARRHRLLQCEARVPPATSPAPPSPAPAPSSPAPAPSPSPSGTAAGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAAPHAAQGLLMGPDDRSRAADSLASVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASCGAAHLILGVAKNSRSFGSSSTSVAKYCSKRVPAACSVLAVNNGKVVYHKDGGHTMQHELYQSTGTIPETPRRSYRKLLSSMIGEKLWDEHGKDNRCISRAVTMPMRSPVRPKEVSLTLVPVKGFRHESPQVATGWPLLRKKFLPDRKASLPDKSKMSVVQWAMRLPSRYSEVSPVHSEYNRTTGPDSTSVSHILRDRVVVPVRSNSGKSSVVIEELDKETPEELTLLKEKFSSIYSSFSYSDLAKITSDFSEECVVGQGGTSQVYRGCLANGRELAVKILKYSDEVVNEFVSEIEIVSSLSHKNVISLIGFCFKNDDLLLVYEYLQRGSLEEILHGEKECKKIFGWTERFSVAVGVAHALDYLHGNGNSRPVIHRDVKSSNILISECFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELISGRKPLGNGSPKGQESLVMWANSIIQGGKLTQLVDPNLPTEGHTDEVERMTLAASLCIRQAPQNRPQIDVVLKLFEGDNDILKWARSQVGLSYEVDSDE >Dexi2B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:2B:27105995:27109915:-1 gene:Dexi2B01G0016790 transcript:Dexi2B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETVLVPPSEATPRHALWLSNLDLAVPKTHTPLVYYYPKPAAGAEGFFDPARLREALARALVPFYPLAGRLAAGPGGRIEIDCTGEGALFSVARADFAGDEVFRDFEPSPEARRLLVPFAESGDPPCVLAMVQVTFLKCGGVTVGTGMHHVSMDGAGAFQFIRTWTALARGESPPTVAAAPFHDRTLLRARSPPHVTSEHPVYSPSNLNGAPRPFVTRVYAVPPKLLADIRSQCAPGASTYAAVTAHLWRAMCVARGLPVDSDTRLRVPANIRQRLRPPLPATYFGNAIVRDLVTVKVGDVLSQPLGFVADRIKRAVSRVDDAFVRSVIDYLELESEKGSQAARGQFMPESDLWVVSWLGMPIYDADFGWGRPGLVAPAQMFGSGTAYVTQGPEKDDPINVLFALEPEYLQTFEKAFYGE >Dexi6A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:6A:26813995:26814261:-1 gene:Dexi6A01G0019150 transcript:Dexi6A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIDTEWFIPGGAGDESSRGSAACGDSMPTMITTEGIATNTNGIEIMVSFRSRSPGAV >Dexi9A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:9A:3320996:3322160:-1 gene:Dexi9A01G0005900 transcript:Dexi9A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVLARLPPGSVRLVAAFGLLLLVSLLVLGRRRPPGPVARTSNGGSRVFDPAALFLSLSAGANATIKADLRALTAGPHLAGTPAAAGPVAHVLGRFRAAGLRTLTREYAPLLSYPGNASSLSLLRADGSFLARLSLEEPADVGGRGGLVRPYHAYAPSGAAVAEAVFVNLGREEDYAALDKLGVGVRGRIALARRGGGYRGAVVARAAEKGAVAVLIAGRADGGVERGVVMLGGPGDPLTPGWAATVGAERLGFDNEAVKRRFPTIPSMPVPAETAAAIIRSLGGPAVPAEWKVGLGVDAGGLGPGPTLVNFTYQGAN >Dexi5B01G0029650.1:cds pep primary_assembly:Fonio_CM05836:5B:30829104:30830546:1 gene:Dexi5B01G0029650 transcript:Dexi5B01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTIRRALLACLAMALASSTASAFVFKAGGTGEWRVPAQQPAGGANATSAYNAWAQRNRFRVGDAIAFTYQPGNDSVLLVDQRAYDGCDTSAPMDRFADGNTVFTFTRSGPFYFISGNRDNCNRGEKLIVVVMGERSAVGNDTVPPGAGMAPSPNGPYSSAYSPPPPPFGIDISPATYPPPPSAAAPKVVGVAGAAALAVGALFYALV >DexiUA01G0026500.1:cds pep primary_assembly:Fonio_CM05836:UA:56534529:56535117:1 gene:DexiUA01G0026500 transcript:DexiUA01G0026500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLRLDALAESHPIEVFFVGPVPVNENGSFRFHAIILFKNVIPQYRK >Dexi5B01G0030350.1:cds pep primary_assembly:Fonio_CM05836:5B:31280282:31282705:1 gene:Dexi5B01G0030350 transcript:Dexi5B01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGDEPRARGCHIVAVPFPGRGHVNAMMNLSRLLAARGAAVTFVVTEEWLGLLRASSSSAPLAAAGVRLRAIPNVIPSEHGRGADHSGFLDAVNTEMEAPFERLLDGLDEGPPPAALVGDAYVPWVIAVGNRRGVPAWSLFPMATSFFSAYYNFDRLPAWLTGDDEHAPDSGEDVDKSDHRLVHYISSQASSSIRLLDLEPLIHNKRTVKHILSSISSIRNAQCLLFTTMYELEASVIDSLQSVLSCPVYPIGPCIPYMTLEDDHTMSNGNASQGDYFTWLDSQPVNSVLYVSLGSFVSVSASQLDEIALGLVASGVRFLWVLREQSPQVRDLIGDTTKGMILPWCEQLKVLCHCSVGGFLTHCGMNSTLEAVFAGVPMLAIPLFFDQPVDGRLIVEEWKIGLNLRDWASKDGLIGREDIAVAAKRLMSSDEAEAKAVRRRALEWKEASRRAVDKGGSSYRNLSALMEMACTPE >Dexi7A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:7A:21072585:21072916:-1 gene:Dexi7A01G0010290 transcript:Dexi7A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGIVRLVALVLLVVFSSSLHQQAGVGAIRLHDQRKHAQQWTEERNRLRSYMTMDYHPWSRRVPKHN >Dexi2B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:2B:26501815:26502507:1 gene:Dexi2B01G0016340 transcript:Dexi2B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAPALCLLTTLLAFYLLVPSSAVPLARLQKMSMQEAEQMPSVGSTSEPKMKMEGIVPEDDGSSNSESQRMAFETQDYGPPTPNNHHKPPGWR >Dexi5B01G0037040.1:cds pep primary_assembly:Fonio_CM05836:5B:36559720:36561392:-1 gene:Dexi5B01G0037040 transcript:Dexi5B01G0037040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHRAIEAVLATEESVEEEKVHLVLSMDDVGGTVHATILKDNIVKDYREEQRGQAQQALDGYMEICTRAEVQADKLSIENENVAAGLLELIVSHKITTLVIVGIGKSWVNRSRRNLAADLQKGVDPSCNIMFMHKDRLISVSEQDGSGFAFESRWTPYSISSRRISLSCSSNGSPSPRIWDSRSAPSSILWDSRSPPDSLDPSQLDDPSLEITGSIFVDSRLIDILGHEATNIFRELTGQPNFVECSHQLHQAFQSKYSEIASRCQFVGGIDSALGADSENCGEEYWKTIKAWPAAFEHIISVLQLLKQNSFRYNGLTPDKILIAAEQLIERFLNVASAVTE >Dexi6B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:6B:25976000:25976607:-1 gene:Dexi6B01G0019130 transcript:Dexi6B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESKKTASRYTTEAETGTHSFKIGIGVGKCIRSGTFNVGGYDWAIDFYPDGVNKATKEYALVYLVVVSENVEVRASYSLSWCTVTVVKESLMETIGDFDIEVPPSDLSEHFGKLLLAEEGSDVTGPLSSRRSCMDK >Dexi4A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:4A:6934974:6936150:-1 gene:Dexi4A01G0008980 transcript:Dexi4A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGCCISPCSRGGFSRCGRYASAQIHPRDAYVVGEDFGSLGPVGREPFVVDDKDLESDEALWALYERWCKFWGEERSHEEMQRRFGKFKRSVLFVDHFNKKAIRDGNSCRLEVNMFSDEKLQEERLMHLPMTILDRLPYSKSPIFGRAGHRPFSEGTEEVDLTEGSEEVDFTKGFK >Dexi9B01G0021980.1:cds pep primary_assembly:Fonio_CM05836:9B:16645013:16646430:-1 gene:Dexi9B01G0021980 transcript:Dexi9B01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVTLKQKKRVKHAKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVKDELEISPQPEILLESTAPILSPARLNFEPFGTNKGQLLPKTPRTPDASDFGGDSRLESLPIDLLIKIMCCLHHDELKAVFHVSKRIRKAVELAKQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLSRIDGKDLRISTPRTPRAPKHAARLSRLKLVDVKPITAVLFQECSTSFPSKRLRRSVPPGLPRPVSKAAPSPRVLRYEEELCEAVAQNKLL >Dexi1A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:1A:4181664:4182089:-1 gene:Dexi1A01G0005680 transcript:Dexi1A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDGGPAAALPPLGGAGAAAAPGRQCCGGGGCGLGLARLVRRLRRRGRRALRGAAAAASSQQRRRACQYDPLSYARNFDLGGGGDDAARVYHGCSFSSRFVLVPAVSATATSSASSAGAGAIDASAGGAAPAAVATS >Dexi9A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:9A:511565:513502:-1 gene:Dexi9A01G0001000 transcript:Dexi9A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFATIPRPLSGATVPVPRSARRQADRVAVLPRAPRAVQGLSLGRPAGLPARASRSVRCLAALSPEMRATLDKVVGSSKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVGYAKL >Dexi8B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:8B:1385056:1385809:-1 gene:Dexi8B01G0001960 transcript:Dexi8B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >Dexi5B01G0024030.1:cds pep primary_assembly:Fonio_CM05836:5B:26112640:26113689:-1 gene:Dexi5B01G0024030 transcript:Dexi5B01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGPRTSRSHAAASASRPAPARRASTVGVASRRRTGHPQSPAMRRILPTCAAASTRVLALISSIADARLRRPAKWSPSSGTPMAFPSPAAWEARSAVLPELLHHPLPHHVVHAPVEVLVQHRRVHVHPEEAAREPQRRPVVPAGCERVVAGEVAIVGVGQERVDEGVRPAGDDGDPAARGDGGRCLGGERAVGRRGELAGVGTDGAEEVVRDAAAVGEGHLVGGDVEARSCILSAFTTSPPSATAASIDSFVFPVPVAPTITTSLVAVAAAGSSALLQEQEQEQQVASDPQLLPSILTMLLGRRGRFRRGPSFLATPETAAAAMGKRTTVAILVMRPVI >Dexi4B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:4B:8705760:8706266:-1 gene:Dexi4B01G0011300 transcript:Dexi4B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRLSSTTLLAFLGTQDAIFRHAARAIRLADHRAVRCGDGSSLSWTTDSRIPGGTAFHLPISSGDFLDACRFAGGSGESPEAEAEAAAAAAVESVRWRRCPGKVVTEMRGKGRARVGGAPARRHGRHGREGLGSFGRSISPVEEVLEGGDARADERPEVVCGVEEL >Dexi3B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:3B:6381731:6382330:1 gene:Dexi3B01G0009280 transcript:Dexi3B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGEDAIASFEDDYVMVDGDEDDYIVVLTSVPSSTSSHVTGAGAGSASSSPDVDAEEEEKMPSPSSSSGAASSASDERDTAEMAAEIEVDAAPAAQDVCAVAAAAVPPPPPCAAPSIAGARLWCWGADDAVADEVTYGDDEDDEDEDDYLYQAHYDRADHGRSRHVKRSSGRRGRTKKASTMGRPRGGHFSHVSAAG >DexiUA01G0018690.1:cds pep primary_assembly:Fonio_CM05836:UA:39462856:39464012:1 gene:DexiUA01G0018690 transcript:DexiUA01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDASTHRRQPGDRQAPILQGRPEAFKAVGDVEAMGAGGWKTAMGLFLLRPVVVAASCVLRPATAVSANYADNVLEGGGPPGLFSPSGLYTHKPPSTPATAGGCSALPSCLLKPHHQAT >Dexi6B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:6B:10294139:10294447:1 gene:Dexi6B01G0008260 transcript:Dexi6B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNEWLSESNQNQETEAVASPHPPTGEEGRIFRRRPAPGKPKPLLLFSRLRLAAADANSSRNQQVARSRDSRAAAVGGRTERNDPPRNQWPQVAPPPARF >Dexi5B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:5B:20386827:20399121:1 gene:Dexi5B01G0018290 transcript:Dexi5B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNTLCCGTCGKVLDQEVYTDEPNFVKDATGQSRLAGKILSSIDSEYSMSRQRTLDKGRDEISQIVNNLHVSGGESIISKAYNFYKIAVDRNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVYVLGAVFLQLCQILLLADHPVVQKLIDPSLFIHRFTDRLLPRRDNAVTDTALRIVASMKRDWMQAGIPSYVSVVHVCEATLTKRLIEFENTDSGSLTIAEFLATADEYNQEPVSKRSVKSGELLCKHKDKEGFEHFAHGLCEKCYNKFTKLSGGLEGGANPPAFQRAEKQRLEAAKRAEEDAAVKEAVLEESLCDTLNSDVENTITPAKGITRDKSSTVASGKLTNDSVLSKDAEGGDENCEGDADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRKRNEDTKSSTPAETPAEATYNMLKRKGLGSKVSEGAVGELYKTKDEDENDTVNKKEEMDFDAQYGQGNADGETFDHGYYDYDGYDDGAGAGNGIDDFDFL >Dexi7A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:7A:29262541:29262992:-1 gene:Dexi7A01G0020480 transcript:Dexi7A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRSRTRRAGSSSLSSSRSISEDQISELLSKLQALLPESQARNGGALRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLSSADVTSDQAAIIRSLLM >Dexi7A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:7A:23763612:23765646:1 gene:Dexi7A01G0013820 transcript:Dexi7A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDETDQPMSEYERQRLSRICENEARLEALGLRSLAASPLLRNPSAAAAAKGKQKKRSADEDEEYIPSQDSGGEEDDGSSSESGQDEEMDEEGKSVSRSRTKGKKNKLSKSGKKSTKSTPTKCSSFSTDFVDDDAALQQISKHFSNANMQAIALSLAESSETSMTTMGAETSSTAKGASENTPYKNNGKTSVQDSAKNRKIKMLGKSRIQLTEDDVVAFFFSFDEVGKGFITPWDLERMATINDFIWTDSEISKMIRCFDSDGDGKVCLFFLYPN >Dexi2A01G0027550.1:cds pep primary_assembly:Fonio_CM05836:2A:38927106:38928946:1 gene:Dexi2A01G0027550 transcript:Dexi2A01G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKGRAREGDMECLLGLLKVKVVRGVNLAICDPLTHSSDPYVVLRLGHQKVKSSIKYKTINPEWNEELTLSITNMMHPVKIELFDHDTFTKDDGMGDAEFCILDFVEIAKRDLSDVPDGTVMKTIHPEKGNCFATESHITWKDGKVSQDIVLRLRNTETGELVLHLHWVNIPGVAR >Dexi3B01G0034410.1:cds pep primary_assembly:Fonio_CM05836:3B:37168557:37170066:1 gene:Dexi3B01G0034410 transcript:Dexi3B01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Dexi9A01G0038720.1:cds pep primary_assembly:Fonio_CM05836:9A:42861928:42864106:-1 gene:Dexi9A01G0038720 transcript:Dexi9A01G0038720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARKNGAPLSLAALVFALFVFATFLYNEDIKSITDFQFTSGATRAKSPDLHLLQEAQAAAHAAVNTLAKRGEEVIVRVLEAPLSLQATSTSNATAANSKVANGNAAAVVDVVQEKERDVTLPTVTGGGGADEARRRADEEAAEKASSAKAAAETAALRTVVSVPETCDLYRGEWVYDEVNAPVYKEGECEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGSSNVFYAHEYNATVEFYWAPFLVESNSDNPKVHSVPDRIIQWHAIAKHARNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGSTEYVEVDRPVAYREVLKTWAKWVDRNIDPNKTTVFFMGMSPNHIT >Dexi2A01G0034900.1:cds pep primary_assembly:Fonio_CM05836:2A:44717587:44718021:-1 gene:Dexi2A01G0034900 transcript:Dexi2A01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETYRAGAEVVRGDAVACKKAAVELLGEIGLPKGLFPLDNMQDFGYNREAGFMWLIQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYVDEAAPGKVTFKTGTGLSDSFDASALELGM >Dexi9B01G0026170.1:cds pep primary_assembly:Fonio_CM05836:9B:27982043:27985081:1 gene:Dexi9B01G0026170 transcript:Dexi9B01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRHALHCDALLASLAAARRAAAVSVRHCGGVQLHMADSEERWSGPRCCAGSSALLAPGGREEMASTGATCCSFSYGFGMEVGDGAPVAECSSTVISKDDTPAESAIHSVVFPCSQQGMVLLTLSAVIPSNMYTVVIPHEFLPSMGLFLTALGLGGMWPCVPTFGADQFDDTDGTEKLQKELYYNWYYFAVNGGFFVASTLLVWVQDNSGWGWGFGIPTLFSAAGIAGFLACMKLYRYQKPGGSALTRICQVVVAATRKLHVDVPDDSSLLYEMPGKESAIVGSRKLVHTDGLRFFDRAATITDSDKTSGNMPSPWKLCTVTQVEELKILARMLPVLLAGVIFNTAEAFFPLFVEQGQVMDNNINGFSIPPATLTNFNCLCILILAPSYNKVLVPILSRITGIKRGLSELQRIGVGMVFAMLSLISAALVEMVRLDIAKKRGLVHHNSVVPIKILWQGPQYIFVGVAKVFTVVGFIEFAYEQSPDAMRSLCQAFSLIMITLGSYLVSITLKFINSVTGKRGSHGWIPENLNEGRLDQFFWLMAGLQLLNVLAFVYCATRYKRKLATYGVLLQDCA >Dexi4A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:4A:3169861:3177577:1 gene:Dexi4A01G0004470 transcript:Dexi4A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFHDRSHVWLRSRVRGAYLHADEDGVGVSLRARRASMNAAWQVHLVPGEDGVTYLLLHSAAYGRNLARTTAEAPPGHRGNAADLRLYTTSEQKDVLWVAVRNGNHVHLRHVSNGLLRANGRYRRWLNGVSVDNDANTQSTMTHWTVKVIPPREQPPALPLQTPHPEELRRIITYVQADDLGNFDPHATRSCWFHGRSVTNLKSSLANHLNEDCVDNITTCVRAGFQGRLTPLVVDLPRNQEPMFIVLLTTGSPAAVELRHPNVDAQ >Dexi9A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:9A:4468844:4473090:-1 gene:Dexi9A01G0007650 transcript:Dexi9A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAAAAAAPRLNPSPSPHRRRASSALSPAKSNANANADAGGARPKPKAKAVPSRYLLAPSSKSTSTSTSTSTSSSSNSTSTSTSASTPSRRFASPLPRRSVSVDRPRPGPPGNAAVGEAGGPNAGTTTTTTRSLSVAFQGRSFSFETSKAKPATSPSPSRRPVASAAGAITPERRRPAMGTVPERGKGFEGGHNHHRWPMSARLSQGFEGNPLTKSLDCSLHKRDAAVLAAVRSLRQSMVFEEGVRRSSFDGGDYLMSSDTESVSSGSNSGSQDAGIGISHRARPSPKGISVPARFLQDAAASRSQRFADPGTPYLPYTSGSAASPRTAPIKKSLLNGFTSSPLNRPIRQSSPSKLTGNSTRRMSSPSRARNSVRSGTSSWVHQGRSSSGYGLDEARRRWHGGSKVDCEHLLRILSNRQLQWRCVNAQADATLAAQKLTAEKYLSDAWITTLGMRKSVALKRFQLQLYRNNWKLMTVLKGQMAYLEEWSLLEKDCTDSLSGIVEALTASILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLAGTSVLVSDLSKVATQERILMEQSRELLSTLGTMHVKYCSLQGQRVQITDRRSMGS >DexiUA01G0007130.1:cds pep primary_assembly:Fonio_CM05836:UA:13652542:13652745:1 gene:DexiUA01G0007130 transcript:DexiUA01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAAGGPPRQSINQSGMALECGDAGTAWLDVVAVVALVAAPTAAGHWRHRRVCTSEPPIHLATKG >Dexi9A01G0023290.1:cds pep primary_assembly:Fonio_CM05836:9A:18528941:18529731:-1 gene:Dexi9A01G0023290 transcript:Dexi9A01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEDTKVLLQAQVELWNQTFSFMKSVALAVALDLRIADAIHHHGGAATLSQILSEIGVSPCKLRGLRRLMRVLAVAGTFTMEPPEATSIGHEPLYKLTTASFLVTGRNNDGESSARSLSPMLNHVLNPFRDSELSMCLAAWFRHEGSETTCVHGAANASINNAMAADSRFLMQIVLKECGHIFHGIDSLVDVAGGVGGASAAIAVAFPSLKCTVLDLAHVVAKAPSLSNVQFVAGDMFERIPPTNAIFLKVRS >Dexi7A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:7A:24850209:24852095:-1 gene:Dexi7A01G0014990 transcript:Dexi7A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTGLFTAAFGAGYWFNIHSFYYFLGMQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKYGWCWSFALPGMMIALVGLTVFLFLPVGPDVIGIQEDLHLKDSEKTDMDTPLLEQRSETKDKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGQYLSDTSAGVLSTLFDVGGVVGGILAGHISDHLDARALTAASFTFSAIPALYFYRVYGSVSLAWNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISSWSWGAVFTMLMASALVAGLLLTRLVVAEVAAKMESRRTPPTHDASDLPVSSMGEP >Dexi1B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:1B:6508717:6509543:-1 gene:Dexi1B01G0007850 transcript:Dexi1B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKLITAFGSPFAHRAEVALALKGVPFELITEDLYNKSELLLRHNPIHKTVPVLLHDDRTVSESLLIVEYVSKPFWMSFWTEGEVREGFVKEAREMLAVLEAQLDGKRFFGGESLGLVDIAASALAFWLDAMEEAFGVGLMGSDDEFPALRRWVKEYTSHEAVKKCTPDAQKLIAYFVENEEKYQQIAKATL >Dexi5B01G0022820.1:cds pep primary_assembly:Fonio_CM05836:5B:25115614:25116834:-1 gene:Dexi5B01G0022820 transcript:Dexi5B01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAATAPSSSGATQPPVPPPPTEQQGASRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARNPAAPGAVPAASGGEFRRAKIRSAPTDPLGAKNSGVDARAHNLKP >Dexi9B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:9B:11259848:11270905:1 gene:Dexi9B01G0016470 transcript:Dexi9B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCAPLVPVSPAASAASSAAASSAEAGDPAMMPPPQPPPLPPPPPRSNSTPGGERRARAAGTSGGGGGGGSSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRILLSRETERGAKVIGEDSLRRLNRPSTSSTSHSNGHHQPRNPIGEIHLKVSTVRESRSDDRRFSIFSGTKTLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRAEFEALHKQLVLSKQKYALLVDTLRQLETEKVDLENTLVDESQRQSKEYGSASKSRHEKYSEGSASESDDYNEPQDPAEETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDPSMKSVGISYPYVRRRKKLPDPVEKEKGGNSLMRILSVAAFAVSGYASTDGRSCNREYSCKLKFKEQSIIDRNPHQARKMQESGWKPRWFAKDKATDTYRYTGGYWESREKGSWEGCPDIFGQGWTASLLFIATTAAGPPSCRVNRRHRASPLGIVPRQASKCSGQAQQQPPAARHASRCPSPSVGSA >Dexi9B01G0044070.1:cds pep primary_assembly:Fonio_CM05836:9B:43942860:43943493:1 gene:Dexi9B01G0044070 transcript:Dexi9B01G0044070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFRNPWPSFVRSPSLYHQLWILENLDHHFTPVPLYGIILAQVAVINELETATRTTRRSHKARLRPSTQLDCSVSFSGGRDSRWRMAELVRLVMTSRVAVSPSCKQRLTMYR >Dexi7B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:7B:25861378:25862846:1 gene:Dexi7B01G0020600 transcript:Dexi7B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTIVDVSYVAAPPHAPTKLNAMEAQWVDAPLLQYLLLFDADDHLPPFHDIVQSLRSSLVATLSTHAPLAGKIHYLADTGDVAICCSTAEDSGVRFVVAETDADAGRLARDEDHDVLTFERLVPEVDMTSLPAPVLAVQATRLAGGGGVALGFTVHHAVADGRSLWRFVEAWATTCRGDAPPQPPPCFDRSRVRMPGGDDLARTILRKYAPDLPLVTTTAPEVSQQDRLTRRTFSLDAAQIARLKETIVAETQLGGRAPSTFVAVIALLWTCAVRGRSIPPDDDVFLFFFADLRGRLDPPAGADYFGACLAACLATLPARELHADGALVAAAAAVQGAIQKMADDPLGFWPGWEFLNMPGYGDRTVGFPSSGGRVMNVSGHSGFSAYEAGDFGWGRPRRTENVTMNKDGQVELVRARDGGGVQVAVSMLCREHVDAFQSELRKLLG >Dexi1B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:1B:4040171:4040976:-1 gene:Dexi1B01G0004930 transcript:Dexi1B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKVILVALLVAAMASPLVLAYDPSPLQDFCVADKASNVFVNGQACKDPAMVSAGDFAFSGLQNAGNTANPFGSKVTLVDVKAVPGLNTLGVAMARLDLAAGGLNPPHTHPRATEILTVVEGEMFVGYIDTNGTLFAKVLHKGDVFVFPKGLVHFEFNFGDCPAFGIAGLSSQNPGLVRVADSLFGASPAITNEVLAKAFGIDAATVQRIKAQFSTKK >Dexi9B01G0031490.1:cds pep primary_assembly:Fonio_CM05836:9B:33845877:33847507:-1 gene:Dexi9B01G0031490 transcript:Dexi9B01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVPADVHVPATKITMPAPAAGGGEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSSSGDLASFSGDLDAPLGEDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDTQVRDAAISEIARMSAHKLELEQTVNEN >Dexi5B01G0035540.1:cds pep primary_assembly:Fonio_CM05836:5B:35509644:35510836:1 gene:Dexi5B01G0035540 transcript:Dexi5B01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDRLPVSIPVDKNQWQTKAILGGFLLSTLSVTGSQRRRRRRDDLRLHSAQAHAAVSVAQLAAAVAGMVSVCELRPPAAATTRRGVDPSDGGGRRMDAVLASAAALVATVCAEAAQTVGANRARVAAAVRAGRESLSPGELLALTATAATSLRGAAALKIRGADVRGIGDGNSVSIIRDSIQKGTALKVCLPCGRVRVRTASIFLQAGKVVLRLGKKLLRGTFTTHKDFT >Dexi3A01G0029770.1:cds pep primary_assembly:Fonio_CM05836:3A:32096862:32099891:-1 gene:Dexi3A01G0029770 transcript:Dexi3A01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVESPELEFDSTPIGGSSLLHIKLRDEEKRSKVHDYPVEDDLDQLLKAIDSRTFRRALSPGTTGIDALGKSVHKKPARSGLSQNAGIGVSSKTVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSSTEGLLHDDEKMNLIEISITPEKIDKSSSRGTSDFSEDCEFETADGSAVTSIRSVSSASAEIRKIRIQDVISGDPIDSNHQPRKLTGPCAENYCINSSCLQQPSCAQTSCFTPRLPSIPKPRKPKSSQKRLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFKGPGNDETLANVVSQNLRFPDNPAVSSNAKDLIRGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETQPCNVVTLAKERKKEGKCLEFRSNSEDLEFEVF >Dexi3B01G0037350.1:cds pep primary_assembly:Fonio_CM05836:3B:40109383:40109707:1 gene:Dexi3B01G0037350 transcript:Dexi3B01G0037350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSYPRAPVAAVVRIMAVPALVLAILPSRRQDIGPPVAIHGIPSPSLHPLSPASVADTRPSPDVSVPRGFQRRHARRPGVPVFGRRHQGHVPPYI >Dexi1B01G0028420.1:cds pep primary_assembly:Fonio_CM05836:1B:32959211:32967739:1 gene:Dexi1B01G0028420 transcript:Dexi1B01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRTPDSLAAYGQNAHAVWSPDAKTVAVLTSSFYLHIYRVQLSGKPLIVGGKQLQGLCLAGISQIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLGFSACLSEKPTAVVDALVFDPPSLQEKSNARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIAICTVGKKGLKQPGSIRVERWLDTDDAMCTSVASEQQILAVGCSRGVVELYDLAENTRHIRTISLYDWGYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVMISGELSPVSNPVLQLSTVRELSIMSPKGLPVSMRFIPEQNDKGVLEQDTNGSSDLLSQQPSRCLILRANGELSVLDMDDGHERALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSQGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKLEEALLLANLSAEKPHFSHCLEWLLFTVFDADISRPSSSKNQNPQKVDSPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTDICLKKVLNQILMLLVQPIFHDRMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDECLYELAGELVRFLLRSGRDFENATSDSEKLSPRFLGYFLFRSPYKRQSSDLKSNSTKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERHGSARLENFASSLELIGQKVLVYWSQVLVDLFRHDLRLWKAYSITLQSHDVFREYLDLLNMLEVQLSSVSDHTLQNGALS >Dexi9A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:9A:15808010:15808229:1 gene:Dexi9A01G0020750 transcript:Dexi9A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERMLLYSRTAHAFALVRDTGAGTVNGEGRVCYTPARGDVDELRNGLRRALRILPGQPRSEPTAAMGSG >Dexi2B01G0031750.1:cds pep primary_assembly:Fonio_CM05836:2B:39763023:39763736:1 gene:Dexi2B01G0031750 transcript:Dexi2B01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHFDPLHQLVKTRYADETGHHCDICLSKLAGDVGHSCRACNIDLHDACSGYFKETISFFAHPWHTLKLSRIPSAAVGRWWLCDLCMEELPPGSFVYRCAVCNFDVHPLCTMLPQTIHIPQHPEHDFRMVPSSGNCSMCEKSLPVWHYVCPCSARLHIGCASGVGSAGQDDDDAKGDEVAHATSSSSSAVTVSKHRRRKVIAKMLLKTSIRIAINAATGGTASPVLDVLAAVFSS >Dexi9B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:9B:1970781:1972972:-1 gene:Dexi9B01G0003420 transcript:Dexi9B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGSGGEKTPASYAQPRKGRPPHGSVYGRSSERQKQGECIFSPSVSSKDSPQVLVTPVQPLKSVDSRSSPCSAGPVAVPFDICMSDNKCSIKLKPSLLEVNRDKRRARELSKDASWHHLRPGMVLLKRFVKPNDQVKIVKVCQKLGVGPGGFYRPGYRDGAQLRLHMMCLGKNWDPDSCSYGDTRPFDGAQPPTIPEEFRKHVQDAIQTSHELLKQRKGAANALEELPLMSPDICIVNFYNSSGRLGLHQDKDESRSSLDKGLPVVSFSLGDTTEFLYGDVRDEAKVSKVDLESGDVLIFGGKSRHIFHGVSNMKPKTAPTWLTDETSLRPGRLNLTFRQY >Dexi9A01G0039170.1:cds pep primary_assembly:Fonio_CM05836:9A:43248110:43255535:1 gene:Dexi9A01G0039170 transcript:Dexi9A01G0039170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSWLTAVPPDPRAYGHLIQLCADSGHLAAGRQLHARLVSVSVTPSNFLASKLISLYSRAARLDDARRVFDANPRPSVFAWNAILIALSLHSPEPSAAIRLFATSGISPDEITLSALLKSLAASGPGLSALVSGELHAVALLRGFGADVFVSNGLIAAYANAGDMRSARAVFDEMPRRDVVSWNSLISSYARAGWYRECLDLFQELTRLHTSGGVGPNSVTVSSVLHACAQLKAVDFGVKVHWLAAENGLDMDVAVWNSIVGFYAKCGQLKYARELFEGMPKKDSVSYSAMITGYMNHGHVDKGMELFRRADAQGISIWNAVTAGLLQNGRQSDVLGVLHEMIGSGMLPNSATLSIIIPSVPLFSTLLGVKQAHGYAIRNNYDQSVSVASALIDAYSKAGFFHKAVKVFELSGVKSKIVWTSIISAVAAHGEAAEALRLFDEMISAGTRPDTIVFTAVLTACAHAGKVADARKVFDSMQLVFGITPVMEQYACMVSGLSRAGMLKDALEIVNSMPFEPNAKVWGPLLNGAAEFGDVELGRFVFDRLFMIEPKNTGNYVVMANLYSNVGKWEEAEVIRGMMWGVGMEKSNHGAKDPAAAVAARGPNMVHRTDPGNDPTENVAVQIKPRKQHRQLVRQRETGTLDAILS >Dexi5B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:5B:6587723:6589573:-1 gene:Dexi5B01G0009580 transcript:Dexi5B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEQRNDDMASTERKDAASEEAQRGSNAAGAAAGSNSDEQAESAAAATEDDASKDKSLLTAATTAHPAVQTTSPPHERQLLCDTSNSRADVCNLAGDVRMDANASAFIVVVTPSGVKNAWPTTCKIRPYARKGDVTSMSRVTELTVRTAAAGDEPARPRCTATHAEPAVLFSIGGYTGNLFHDFTDVLVPLYATAQRYAGDVRLVVADAYRSPRWWLAKYAALLRGLSRHPPLDLAMAAAAGEVHCFRRAVIGLTAHRELMIERERSNNLDVGVGMPEFTRFIRRALSLPRNAPTWLSGDKKPRLLIVSRSGTRLLVNTDAVVRAAEEVGFEVVVSELSSMAATAAGDDDVALVGRVINSFDAMVGVHGADLTNMVFLPEGATMVQIVPWGGLQWIARLDFGDPAEAMGLRYIQYEIGVHESTLKDKYPRGHEIFTNPTALHKKGFKFLRNTFLKEQDIIVDVERFRTVLLQALENLKQ >Dexi3A01G0017350.1:cds pep primary_assembly:Fonio_CM05836:3A:13251197:13256978:-1 gene:Dexi3A01G0017350 transcript:Dexi3A01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESTATAAVDAGKPGKGSEEEETMAKVPFHGLFMYADRTDAVLMLAGMVGALGNGMSMVVITVIFGQMVNAFGAATPGNILHRVSKEALNFIYLAIGTGFASFVQTTTGQVVSSISADTTLIQGAIGEKIGRFLQLVTTFFGGFVLAFIKGWLLTLVMLSTIPPFVAAAGIVAKVLSKISNQGLASYSDAGDVVEQTIGSIRTVVSFNGEKKAIELYNNLIKKAYKGAVKAGAAHGFGMGLLALIYFSTFGLIIWYGSKLSLTKGYSGGDILNVMFAIMIGARLDWIRGKIGLVNQEPLLFMTSIRENINYGKEDATFEEIKRAAELANAASFIENFPNGYAQVKFLQGFSQDAKTMYEEASQVATEAVGSIRTVASFCAEKRVMDKYNQKCQASRDQGIRTGIVGGLGFGFSYLMLYASSALCYYVGAKFVSQGKSTFGDVFKAYFALLLAMLGVSQTNAMASDSAKANDSATSIFSILDRKSQIDSSSEEGSTLANVKGDIDFKHVSFKYPSRPDVQIFTDFTLDIPSGKTVALVGQSGSGKSTVIALLERFYEPDSGAILLDKVEIGSLKISWLREQMGLVSQEPVLFSGTIRDNIAYGKHKEVTEEEIASAARAANAHEFISSMPQGYNTAVGERGAKLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQDALDRVMVGRTTIIVAHRISTIQGADMIAVLKDGVIVEKGRHETLIGVSGGAYASLVELRTM >Dexi9A01G0044830.1:cds pep primary_assembly:Fonio_CM05836:9A:48430955:48439299:-1 gene:Dexi9A01G0044830 transcript:Dexi9A01G0044830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEKLRWPFSDGSVTDLLDARSLHGSHDIKKRMQFHSSLVQKLALEKEMEGHTGCVNAIAWNSNGSLLISGSDDTRINIWSYNNREMLRGIDTGHSANIFCTKFVPETCDEVVVSGAGDAEVRVFNMSRLSGRRRREISMEPTAVYQCHSRRVKKIAVEIGNPNVVWSASEDGTLRQHDFRECSSCPPAGSANQECRNVLLDLRCGAKKSLGDLPKHPLALKSCDISSVRPHQILVGGSDAFARLYDRRMLPPLSSCRNKRKPPPCLKMFCPLHLADNVSSLLLLIVMHCCYSRAMKKTYLHLTHVGFSPNGKEVLLSYSGEHVYLFDVEPDGNTSSMRYTADYVREQLFVPPFHKVPAKEHAKQRRVLANTTSRKLSRVGRLREASEYAEAANSLLPPHSELRKQVDDIKQRVASAELEKNRTDQNGNAKANTQGKLRSLSDILFRSDVSGSSSQEGREDSDNDDEMELDYETSVSGDESRENDQGVLRGSLSFRFHQRDDQTNEHAGENGSTKSTHNDDSNSQSDVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPFDCAVATSGIDNTIKLWTPDANSTSMVAGPELDVLSVIENNQRKLCRNRQIVLPFEFLERFRMHEFAEGLECAQS >Dexi5A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:5A:10037017:10037727:-1 gene:Dexi5A01G0013350 transcript:Dexi5A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAASSMPHSHSAPLYLLYDLSGPIFPKHGLAPPPVHDDDDDGFEFATSATRRKGGAAAALRACASDVSSAAFADELLRAGALLPLSLPPRLQRRPAGCYSAGASAATSPTPSSSSMVAAGSSSRKHRGFDPFAAALEKVRRDGPVPAAAARARARSVSPPLRSAADADASSAAHKKKSGGVAARRGRKKGGVRHLVCRMVMAGAAVAPKALWPRRKDGVAYRPGLLVCLGYGV >Dexi3B01G0023160.1:cds pep primary_assembly:Fonio_CM05836:3B:17934721:17936978:1 gene:Dexi3B01G0023160 transcript:Dexi3B01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHERKAMQDSTSDAKFHAPIQYERDVITALGTTKWQLEQFEREVNAAAFSDKSKLCISGQRLSRGD >Dexi8B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:8B:26242377:26242790:1 gene:Dexi8B01G0015440 transcript:Dexi8B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVHLMATSLLVLVMISSNSPPSQAVALALILHCISCAAILASSLRIGTTAQLRSALLFVVRENITRIVPTAQSHTLIVNGCAAAHDLWNQSICNRVIVSASMMHDG >DexiUA01G0023020.1:cds pep primary_assembly:Fonio_CM05836:UA:46946557:46949690:1 gene:DexiUA01G0023020 transcript:DexiUA01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPATTMMALQVAGGAGGVLPPLLARRRAFRPPRASAASAAAAATAKDEDGKVALGGSGVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEQVEVAIATKFAALPWRFGRGSVISALKASLDRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPDNPPKGPRGRIYTPEFLTKLQPLINRIKEIGGNYERTPTQVVLNWLVCQGNVVPIPGAKNAEQAKEFAGALGWSLTGDEVEELRSMAREVKPVIGFPVEKL >Dexi5B01G0024780.1:cds pep primary_assembly:Fonio_CM05836:5B:26801967:26802689:-1 gene:Dexi5B01G0024780 transcript:Dexi5B01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRHLAALILVAASAASLISVVGARVVHPIVINDGRLLFATAGRDELLALCQQMHYKTLCTTMATLPGVTTPEQLLDTSLRITAVKAAMAEMKLDEAIKSGEGKGEVGMASSLQSCRESYASLVDSLNSTRETLKSGGRSADIMTELSAAGTYSTDCDDIFEERPELQSPIPGAQRHITRLVSNCLDLAATIKDLP >Dexi1B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:1B:7292156:7294385:1 gene:Dexi1B01G0008690 transcript:Dexi1B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSSDPSWSDLPVDVLLCILDRLELPPALAFASVCTTWRSTALAAGVPCCSGPWLMTWADLLEEREKQGRSSSAVTCNFYHLLDVDKAYGVTFPQGCFVACCGASHGWLVLVNELSNLVLYNPFTTAIIHLPPITDFACVNAVHAHLEVGYPDRIRFQIHKLRAKCGGTDVLTVTTTAAKDQPSVSYFHAMQFEMPKRGLRISQVGEDAQLGVMPVPDQRQGDEVFGVPPGFVTTVMAAFKLVEWRRRS >Dexi2A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:2A:9944894:9945475:1 gene:Dexi2A01G0009410 transcript:Dexi2A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding APLLTGSLSPTFLNLTILKLDTNFYTLEAYIATWSLLQEVPLLQILQIRDCFRDGEANVDLVAGCMHTTLRSCFDLFKNLEYLRMVGISCSINDIYFIKHVVHEATNLKEVSVDMFEGSRKTAQVVSRELKDCQRASAGSRITIKRGDSVCDV >DexiUA01G0010810.1:cds pep primary_assembly:Fonio_CM05836:UA:21484563:21489283:-1 gene:DexiUA01G0010810 transcript:DexiUA01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPSPAAPPPATLEPRDLPTHAAVATEVEPCSMNQLADDSRSTEIVAAAGARSSEIVAPVAAPPKKQRLRELFVLPKPSRHEPAHVVSSEAEAEPRSVLQFYDNGTIQLVDRSSQSPLWEIATGPPLSDHITTTESSLNYLIYPLSANEDMNGNGTELWEVYNGNNEMLPWKLEEFIARSPYIRDSVVTVGSKASTVFVVDADSGEIIYKRSIPAPLNELEGPGVEAAPSKLNARTSDDSDNIIVVVRTDYSLSASDLGKHLFNWTRTSFSASYYVKYNHPDMLDQSSCLQGDIPCIRTEGLPLALPDSESPNAIVLRDETPIISRDVPAEELFEAFRESSSAPLPDLLSPKSQQVASSS >Dexi3A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:3A:12584153:12592302:1 gene:Dexi3A01G0016620 transcript:Dexi3A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDGEEASGSRTSNSDGIGFFNPVMAFMGHNGEEDGIEVTKKPQSPKNLSPEEENRSTPTEQLISEVDASEVSGTPKSPKQPSKLEEAHSISTESPVSEQSMRPQTPTHASGGEEKLDGSTSPVSKEDDSEVSEMPQSPSHPSPVEETTSSSIENTSSLMNENQDDQDNKHSGPNDEALLNQLGESEGDISDGGPSSSPTKIDQSDDTETGESIHTGKEDTSDGNTLQSQPAETMLANSDTVIEAADKIDQQSDAPKEVSSPHDSSGTVDKGAHLEVEVHDGTINAQTHEDENNKTEAESASGQEDNVQEQPEDFRLKSIIVDHDSHLQNESVVNSADIPAGLVEVVSSANGFRKEEKVQESAGSTNSLTVESDGSVVELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKATIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQIRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELESLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAMKEEVAIQEGRAKQLEEEIKELRARHKKELQEAAEHRELLEKDLEREKAARAEIEKTSSRDVPKVPLPDQTRNTPLRKLSSSGSINSLEESHFLQASLDLSDNPSLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTESAALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLQALGARV >Dexi2A01G0027230.1:cds pep primary_assembly:Fonio_CM05836:2A:38605759:38609171:-1 gene:Dexi2A01G0027230 transcript:Dexi2A01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARSPAWGPRRRALLLALLLLPMLLLLHLLISSPSLRPLPAPRKPSQSCDYSAGEWVRDASAGPALRYDHTCKEVFKGWNCIANGKGNGRDLLRWRWRPSGAGCELPRLDPRRFLDRHRDSNIGFVGDSLNRNMFVSLVCMLRGVSGEVRKWRPAGADRGFTFLRYNLTVAYYRTNLLVRYGRWSASPNGGPLESLGYKQGFRVDVDIPDQTWIEACSFHDILIFNTGHWWFAPSKFDPIQSPMLFFEKGKPIVPPLLPDAGLDLALQHMVTFLKKAVRPNALKIFRTQSPRHFEGGDWNEGGSCSRDQPLSSEEVEEFFSLDNNGTNIEARLVNKHLMKALRQSTFRVLDITRMSEFRADAHPSTTGGKKHDDCMHWCLPGLMDTWNDLLAVNLEVAES >Dexi4A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:4A:6915201:6915683:-1 gene:Dexi4A01G0008970 transcript:Dexi4A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRCGRTEGVVATWSGRPVAQGGERANAVSMAVRGRLRGEVPLVDDGHGREDGVARTRSRRHTQGGGPHVDDQHLRGGRYDRSCVARGSAGSKGEEEVPVVLVRGRSRRRGFRGDDDGAGCRRIPRAGRPRTLAGSEGRKAMSTLGAPSVGGFGGFRD >Dexi7B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:7B:24869137:24871117:1 gene:Dexi7B01G0019350 transcript:Dexi7B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLPHTSNVLHITLFQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVVKVIPAGSTGGSGGKKAFTAA >Dexi7B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:7B:9550278:9551494:1 gene:Dexi7B01G0003850 transcript:Dexi7B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQMEWSLWSRDVEADIIPTCRELGIGIVAYSPLENLEKNALIFEQVNAMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIQNFNNNVAALSVKLTPEDMAELESYASADVEGDRYHDFLNTWKDSDTPPLSTWKAK >Dexi3B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:3B:11175364:11179987:-1 gene:Dexi3B01G0015400 transcript:Dexi3B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAPEILVPFPPPASPSVPASSRRRPGPPSVVTDEAASKLPRPPGTGGAASPGRAEGQQQQASCQRSSCLLPLPSAGDDGSADPSGLGFISSGPETISEFETLTRDAGRVQQDTLKKILELNADAEYLSHFGLNGRTDVESYKSCIPLCVHSDLEPYIQRIADGDSSPLLTGKPVTSLSLSSGTTQGKPKFLPFNDELLETTLQIFQTSYAFRNREYPIGKGKALQFIYGSKQVVTKGGILATTATTNLYRRPRYKEGMKDIQSQCCSPDEVVFGPNFHQSLYCHLLCGLIYSDEVHQVFSTFAHSLVHAFQTFEEVWEDLCADIRDGVLSDKITVPSIREAVTKILKPNPELADSIHRKCMGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPRLPPEQVTYAVLPQTGYFEFIPLEKPKGEEMENSASIHYIESDPIGLTEVKIGKIYEVVITTFGGLYRYRLGDIVKVAGFRNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAGKLLEAEKLEIVDFTSFVEKSSDPGRYVIFWELSSDASEDVLQSCANCLDLAFVDAGYVGSRKIRTIGPLELRILKKGAFEEILDHFLSLGGAVSQFKTPRFVNPLNIKVLQILNRNTTKSYFSTAYGL >Dexi2A01G0004640.1:cds pep primary_assembly:Fonio_CM05836:2A:4226155:4227260:1 gene:Dexi2A01G0004640 transcript:Dexi2A01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKLRQGASCSHRGGGGKAVPCWSLHMDLTQLIAARVLATGDFLDYLRFRAVCSWWRAAAASPSGRGLADPRLHPRRWMLFPEGFGEYPGHPSHGGHARFFNLSASSSSVAGGGSFVRVPLPELRNHCILDSPDGLLLLQRDEDLAVRLLHPFTREVAELPSIQCLAEQLSRIENPQGDDDSWYYVCLPQVRRVRAAVDVTATGVVRVMLALERFGRVAFASDGDDEWTISSWKVEGLDRALAHQGKLYSVNCKHGLTQVSVITPPQPWHEREGSSSSSSMAELPPPRTVATCSSEQIHLPSLVELDSEIMLVGYNDPSRSRVLVLRLADLALGRIVPLAAFHRQ >Dexi7B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:7B:20159327:20159662:1 gene:Dexi7B01G0013700 transcript:Dexi7B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHGYLQKLELNLGLMKRAQRQGQGEEKAPLLEDRSPPGSVLASALELAWDAVLLFTCCCCCFCCGEP >Dexi9A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:9A:7432228:7435770:1 gene:Dexi9A01G0011810 transcript:Dexi9A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGMFPKSCSSDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKPPTNGEASSSSAAIRYRDWESGLVLPSLEDHEQERLCGLPDIGGHVMKIPLIAFQVLLCMRLEGTPPSARYIPIFVLFSPLFILQGAGVLFSLARLVEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRSCAEKCKKCPICRVPIEERMPVYDV >Dexi5A01G0033140.1:cds pep primary_assembly:Fonio_CM05836:5A:35526289:35528065:-1 gene:Dexi5A01G0033140 transcript:Dexi5A01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCAMESGEPMGSPFFHWLKPRCSSPPSSRSSSGSSSSTSMPSGHQQAAAGVGGRVEEATSNMMTCLPLLSKLGEGTKGTGDPEECPVKEEIISGSTSSLAQPVVDLNIGLPVGGSCNEDVAMEEKDDEEEEEENEVEEEEYEDDDEGEEWKQHVHGECKVEGMDHQHEHGEVVVASAAEGSNSNGLGEFGVVGMESGLPIGRQYWIPTPAQILIGPVQFVCHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLALLKLPCYCCAPGCKSNISHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGADHRPVDEPAAAPAAAAAAKDRIAMRFHNR >Dexi9B01G0027590.1:cds pep primary_assembly:Fonio_CM05836:9B:30063316:30065723:1 gene:Dexi9B01G0027590 transcript:Dexi9B01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMTAKRGPSDGEMRGSGGRARTCPVEGGEACHPSAAELRASLSTRDQEIEEMRRRLQELEKLELVIPPAAPSHEEESKTSVAETTAEKAEVDARSIYVGNVDYACLPEEVQQHFQYCGTVNRVTILTDTFGQPKGFAYVEFDEVEAVQNALLLNETELHGRPLKVCPKRTNIPGMNQSRGRHPFYPSYG >Dexi2B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:2B:4970717:4976383:1 gene:Dexi2B01G0005310 transcript:Dexi2B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGWWPQPIRAPTHAAVQSGLRCSPHHAKIPRRSRSLLASPRRLLFRRAAAPACAALWGVWCGAVACQTASYPARRIWQGRRTALCRRGGGRPGEPRMEDKAANLDAVLKEAVDLENIPIEEVFENLRCSPQGLSTAQAEQRLAIFGPNKLEEKQESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKILHLAHNKSDIERRVRAVIDKFAERGLRALGVAYQEVPDGRKESPGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIASLPVDDLIEKADGFAGVFPEHKYEIVKRLQAMKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGVVLGGYLAMMTVIFFWAAYKTDFFPRVFGVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWAFAAIKGIGWGWAGVIWLYNVVFYFPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGREERELRWAHAQRTLHGLQAPESSIFENKTTFNELNQLAEEARRRAEMARLREVNTLKGRMESVVRQKGLDIETIQQSYTV >Dexi9A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:9A:9297003:9302233:1 gene:Dexi9A01G0014180 transcript:Dexi9A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGTILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLQEQSSTKQVCEERSESCSGADNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDSTEVQLAVDGVDIRPIGDAEWGSFQQSEAMIADVREVSPEADGGSLDIPVVNPPPVSDHMQGGTTHP >Dexi2A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:2A:10880995:10882582:1 gene:Dexi2A01G0009840 transcript:Dexi2A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLDIEPSCQEPDLISCLNDDILGDIITLLPTKDGARTQVLSRRWRRLWRCAPLNFEAMVAGSNANVVVATILSTLQAHKGIVRRLSLTWHYNYNYFSMVDSLLESPRLNSLQEFELFFSYNVNEYQVPRSVLRWSPTLRVLNILTVGEVLKFPMETACCFHFPHLKQLTLNGVSISEGTIHAILSGCPVLESLVLDGNIGYRCLRISSATLRSLGVSDAWNYKEVRLEQVIIEDAPQLERLVPRPPKCDDLEIRIVKAPKLKTLGYLSKRISTFEMGTMIFQKMVLVGHPNPTRTVKILALNTDPNLDVVVKFLKCFPCLEKLYIMAFIQGRFKNVRHYVSLECLDLHLKMVEFINYQGSMSDVKFIKFFLLNSRVLESMKFVARRHECDAKWVQEQHKKLQLNERASQGVTFDFRADYRSSCLVHLKHINDLTTDDPFDTSLCRCTDEDFI >Dexi3B01G0036940.1:cds pep primary_assembly:Fonio_CM05836:3B:39738788:39743037:1 gene:Dexi3B01G0036940 transcript:Dexi3B01G0036940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFLSMVEAELPPGFRFHPKDDELICDYLAPKLGGTVGFSSRRPPMVDVDLNKVEPWELPAAASVGPREWYFFSLKDRKYATGQRTNRATVSGYWKATGKDRAVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEATLEQSSKDEDWVLCRVICKKKLPGGGSSSKASRSLASNGGLDTAPTSSPPLPPLMDTTLAQLQAALNTNSGAIEQVPCFSSFNNIASNSNTAAAAAPPSYLPMVTGSHGMSYLDHGLPELGYDPLNCDKKLLKAVLSQFGGEVVPSLPHEMAAGAATSTWMNHF >DexiUA01G0011770.1:cds pep primary_assembly:Fonio_CM05836:UA:23495910:23501790:-1 gene:DexiUA01G0011770 transcript:DexiUA01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAQAHQESIPTRAAAERLAPSPRCPQDVGPKAQGLGQGRRLPQSPDQRTRTADEGYSDLAGSLPSNADAPATSVDAAGCGRARTSPGSIGDGPDPKFQTRPGKDQLSAAESPHSRRRHRRPTAAMGKSPLPPPPLMGRYKLGKLLGKGSFAKVYHALHLETGEQVAIKIMDKVHLSKSGVLQKQVMREIDIMRRVRHPNIVRIHEVMATKRSIFVVMEFVAGGSLDAYLAGRGGNGASEASARRVFQQMVSVVDYCHSLGVYHRDIKPDNILVDATGNTIKVADFGLSALADASSSSSPAAMLKTICGTPMFIAKEVFLRRGYDGAMADFWACGVVLFALAAGSAGLVRFVRRLMCPDPARRITIAQIKENSWFKKGFKEIPRSIVEPENGDSNSDDSDDESIVSLETSSEDSSSSPVEIYQQQQRGYRSRMHTSVSAPSLTTLESNGGSVVGVQGAPRVRRLKSLNAFDIIASSPSLNLTGLFEEPGEQMRFVSAAPVAKIISKLEEIAGHVSLKARTKEYQVSIEGDGSRGALLVSARIFELTPELVMVKVCKKAGDAAEYRQFCDNELKPGLRGLVDGLPEEGGEPF >Dexi1B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:1B:2958252:2958842:1 gene:Dexi1B01G0003730 transcript:Dexi1B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDLPPAEAAAAVKEEEAAAEEEDLIEIVEEGSGRLDISRYVDHVRDLSAGAIATFEGTTRDQFAGRRVVELRYEAYAAMARRRLAGILREARSRHALRRLAVAHRLGPVPAGEASVFVAASATHRADAMEACRYVIDELKASVPIWKKEVYDDGEVWKENREFLDRLSGDGSAPAPAAKAGGCCGSKVRVLDA >Dexi5B01G0031410.1:cds pep primary_assembly:Fonio_CM05836:5B:32165242:32166840:1 gene:Dexi5B01G0031410 transcript:Dexi5B01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLRALDDLISQFLSLNRSLWSNSDDADAFLEAVDELTSTIHGLEHTSQDDVLLESFDHLLERCSVRLKDEFQRLIVTTGFDDNYDDPNIKRSQDKDDSHTFVALPIRDFDIIVDALPEGVATEANRVARRMIAAGFGDTCAETYASARLSFINESIARLGVHPNLAERFNSASWDELETQIMRWIPAIRVVFHILIPSERHMCNCIFDGFTSHSDLAFATACKPFLQLLSFANFIAAAGQNPESLFRIVDMYDAVTHILPVLDEAFDREVASIHECLGLTIKGIFVALEKLIRCDSSESAPPDGRVHPITRYVMNYLMAACASRHTLEEVMHLEFGSAEACVINPDHPTSSLAVGLAWIVDVLLGNLESKSRIYGHVPLRCVFLINNGIYIMKKVNDCELKILLGEDWTRVISAKVHQWVLEYRRATWGRAIVILERDRRSGGSSSIVVEKLNHFHSFLQAIYQVQSRWVLVDKKQAMDLSTMVEELVIPVYRDTIEMLKATEALGASYVPPEDVKSQIQQLFEAMTKL >Dexi4B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:4B:4750774:4752607:-1 gene:Dexi4B01G0006790 transcript:Dexi4B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSALVAAPRPAAAIGPQVHGLALRLGYLPADAFVACAALDMYFKTSRLALARRLFEEMPNRNVVAWNAVMNNAVLDGRPLETVEAYFGLRKAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGFEMDVSVNNSMVDFYGKCRCVEKARAVFHGMGVRNSVSWCCMVVAYAQNGGEEEAFSVYLGARRAGEEPTDFMVSSVLTTCAGLLGLELGRALHAVAVRSCIDANIFVASALVDMYGKCGGIEDAEQVFFEMPQRNLVTWNAMIGSYAHIGDARNALAVFDDMIKCGETAPNYITLVSVLAACSRGGLTGEGYELFKTMNDRFGIKPRIEHYACVVDLLGPAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGWWAEATDVRKEMKNVGIKKEPGRSWITWKNAVHVFQAKDTKHEMNNEIQALLAKLRSQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICADCHRAFKFISGIAGREIIVRDNNRFHHFQNYECSCKDYW >DexiUA01G0004700.1:cds pep primary_assembly:Fonio_CM05836:UA:8769567:8772508:-1 gene:DexiUA01G0004700 transcript:DexiUA01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGPKVDISFAGRFTASAIAACFAEICTIPLDTAKVRLQLQKNVAAGDALPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGKDHVGDVPLSKKIAAGFTTGAIAIAIANPTDLVKVRLQAEGKLAPGVPRRYAGAMDAYAKIARQEGVARLWTGLGPNIARNGIINAAELASYDQVKQTILKLPGFKDDVFTHLVAGLGAGFFAVCVGSPVDVVKSRMMGDSAYKSTLDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKVFVRKPAS >Dexi7B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:7B:20486098:20486983:-1 gene:Dexi7B01G0014140 transcript:Dexi7B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGISLLDKRFLPAMDKVGRVCHVFLTPTHAMLLHNLIGATAAGPDGGGPQCVAQFAKDLLFREYNLASRNGGIAFSVEVALLHRALRSVLAVHAQPPAAGDAPGAPAIQVKLVNKLAAGSRSATPFLTFETKGARAAVVQDVPISRPLSRSDIERLQAALDAAKDLPQLKG >Dexi2B01G0022930.1:cds pep primary_assembly:Fonio_CM05836:2B:32534947:32535735:-1 gene:Dexi2B01G0022930 transcript:Dexi2B01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFITRLLTLALGYAYPAYECYKTLERNAPEMEQLRFWCRYWILLAFLTAFERLADCTVSWLPMYGEAKLALVGARRVYDDYLRPFLAAHETDIDRGLLELRAKATDATASHLQAAVTLGRACLFEAISLVSSRLQATRRPKPQE >Dexi7B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:7B:22146749:22147241:1 gene:Dexi7B01G0016150 transcript:Dexi7B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQVYSITRAEIDEFWRRKEVEAEEHRLAAEKESARIKAKTLKQTEDYALFEQMIREILKEGTIGDGATMAPDAPGGTEARIIGIKHW >Dexi8A01G0009450.1:cds pep primary_assembly:Fonio_CM05836:8A:12503312:12504396:-1 gene:Dexi8A01G0009450 transcript:Dexi8A01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRPWILVSCTLLLAAACHALQVGFYQKTCPSAEALVRAEVQKAVVKDAGLGAGLIRMLFHDCFVEGCDASILLDPTPTNPKPEKKGDRRGEGRRGEGLPNTVSCADIIAFAARDASDLHSGSKIRFAMPGGRFDGRRSQATQTNALPPPFGKLSDLTDKFTAKRMSVEDLVVLSGAHSIVRSHCSSFVPERVSSPSDMDASLVSQLKNQCPASPGPGNDPVVAEDVVTPNALDNQYYQNVLDHKVLFPSDAALMSLPQTAQMVRDLARKDGSWEKKFAAAMVKLASIGVKTSRDGEIRKNCRVVK >Dexi9A01G0048280.1:cds pep primary_assembly:Fonio_CM05836:9A:51098896:51102041:1 gene:Dexi9A01G0048280 transcript:Dexi9A01G0048280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGRDLPSTPTWAVALVCTVIVILSVAMEHGLHKLGHWFHTRQKKAMREALEKIKAELMLMGFISLLLAVGQTPISRICIPVKAGSVMLPCRPKVDGGKSSGDGRRRLLWYPEEEFSHRRFLAGGEGGGDYCKSQGKVSLISTNGVHQLHIFIFVLAVFHVVYSVATIALARLKMRTWKKWESETTSLEYQFATDPSRFRFTHQTSFVKRHLGASSTPGVRWIVAFFRQFFASVTKVDYLTMRQGFINAHLSPNAKFDFQKYIKRSLEDDFKVVVGISLPLWFVAIFILFIDIRGLGTLIWISFVPLIILLLVGTKLEIVIMEMAKEIQDKATVIKGAPIVEPSDRFFWFNRPEWVLFLIHLTLFQNAFQMAHFIWTLLTPDLKHCYHERLGLSIMKVVVGLALQVLCSYITFPLYALVTQMGSHMKKTIFEEQTAKAVMKWRKAAKAKVKQREAGIDGLMSVDTTPSHSRATSPSRGNSPVHLLHKYRARSEDPQSAPTSPGRGPELGDMYPVTEQHRLHRLDPERKRTASSSAVDIDIADAEFSFKMQR >Dexi1A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:1A:5491928:5494273:1 gene:Dexi1A01G0007160 transcript:Dexi1A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAILKSPKILLLDEATSALDTNSERIVQEALELASMGRTTIVIAHRLSTIQNADMIVAMQSGEVKELGSHDELIGIENGLYSSLVHLQQSKDLVDADKAQAECSKLAAEAVSNLRTITAFSSQKHILHLFDQAQDAPRKENIRQSWFAGLALGTSMSLLRCTWALTFWYSGVLMAGHQITAKAFFQTFLILVSTSIVIADAGSVTTDLAKGADAVASVFAILDRETKIDADSPDGYKPDKLKGEVYIRGVDFVYPSRPDVDIFKGFSLSIKPGKSTALVGQSGSGKSTIIGLIERFYDPIRGVVEIDGRDVRTYNLQALRKHIGLVSQEPTLFAGSIRENIVYGTEMASEEEIENAARSANAHNFISGLKDGYDTWCGERGVQLSGGQKQRVAIARAILKNPDILLLDEATSALDSQSEKVVQEALDRMLVGRTSVVVAHRLSTIQSCDQIIVLEKGIVVETGTHAFLMAKGPAGTYFGLVNLQQGGNQTTCTTTMHENTSI >Dexi1B01G0027370.1:cds pep primary_assembly:Fonio_CM05836:1B:32098873:32111591:1 gene:Dexi1B01G0027370 transcript:Dexi1B01G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEMARAEVTPEGAAPGFGADLYAQATKALSLRTPFEGEEAASRVPTLPARLVSWAGTGDARKKHKKIQLPPPDDAAVEPPPPAAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYSNLDSCMLIPFLGSGNEFINQAETYDVAVAETSSYLGVGGAEVVSSRERGEQSAHLLSHKEKRDQSVDPDIHDVVVQQMVSDKDLRTCRAAFHPICARDSKHQMEIWGKSGHSNVELRAFCSKHSATGYTGSQENSNHASGQSPIESAPNNADLISGKIPKLRFTRKNKDKFINSETSASSSGNLIRVETIEQDALAYTVRNANAQLNRSWETDTWHPSVEHMSSEDIAVVLRKLIDSGKVSVGDIASDLGISSESLEAALVGETTTFSHGLMLKIIKWLKNSVHMHAVQGNSHKGNSLVLQDNNSDGSDTTDAVNVRIPLVPDDDKDMFVDALDSSGAEATLARSKSNTKTLEDDNTTCATAVTILQNGNKNMLKDGADPECSTEAFAKESTREFSPIGSKGVSKEEKGKLILNNTSGNKEFGTSMEIPNENRGILLGRKSDNLTEAGPCSGLKEGLSSLDHCSSQGDYARDGENLIENGFGTPRDCDSNCSHVQPFFKEAKKRGRKEKRHKEAQAVLAAAAAAVASSSRNSNMRKDAKEDLAPAILENSPKLVGSSRVGQRPKDPSKSSNSKVPQDNRFGSFHMPISKENALYCDVCMRTETVLNRIFICSRCKAAVHIDCYRNLENSIGPWKCELCEDQDISIETSITSDKSDCNGKELPFARCSMCHGTSGAFRKTTGGQWVHAFCAEWLLETNYVRGQENPVEGVESLVEGKDTCCLCLRKVGMCLRCSSGDCHITFHPTCARNSGFYMNTKGFGSTFQHKAYCGKHSAQQKEDDAQLYGPEELRNMKRMRVSISYVLYIDFLSLVNEHITMQQREMFQKELVMTSDQASTQNQRLPKGYVYVPRDSLSKERPLNRNTQPHTPQEPGG >Dexi9B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:9B:12924246:12928746:1 gene:Dexi9B01G0018200 transcript:Dexi9B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGNAMARNPDAGVQSRSKGQIRAEVIQQKLIAQSHVTGLTSNLLKLFEPRPPLEYKQPIEKSRPPAYTGIAQFVSQFAEPGDPEYAHPFTKGETRAEKKARICQLKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVAGLNYETPEHRIKKVFEAYGPIKRVRLVTDKETGKPRGYAFVEFAHTRDMKNAYKHADGIKLDNKRLLVDVERGRTVPNWRPRRLGGGIGSSRISGEGADQKRAAREQQLVGRHRSEEPRRGDHHADRNLEKSRKRVRERDQDERTREHALDRMRDREPRGERSSHRDRGKTLDKDQERGRERGRGRDHDSSRHDREKHRDHGRRYDRGERAQGHSHNRHRDRGHLHEWGAYSNDEPRHERNMGGSGQDRGYNEQRKSHDAYGYGQDGDGLGHETKYSTQHKHGYRQDDLYSKMAEAGPISTEPVALEEGEA >Dexi6A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:6A:18001285:18004251:1 gene:Dexi6A01G0011870 transcript:Dexi6A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAACMPQLVPPSSPLHGSAAQQQPAMAAAERRQPGATETAKIDCHGIEEQRAEDNSDGVSAVGKPRPVVRTLTCERKAYMEGFALWRSIGRPELQELDPILSFDEFESGRYEFHLHLSLSLVFSVSAPAGFTDHPHRGFENVTYMLEGSVSYHDFSGHKGTINTGDVQWLTAGRGVVHAEVPGGHGVQRGINIWINLSASNKMVEPRYQDLASDAIPVATTDEGGVSVKVIAGECLGVRSPLRPRTPALCLDVTLRPGACLRQPVPRGWTACAYVIDGEAHFGGEGGAKSSAGARTLVVFGDGDGDVVDVCAEASGARVMVVAARPHGEAVVRDGPFVMNTREEVEQAREDFRHRRNGFEMANGWTSDHAVAATAR >Dexi5A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:5A:20042417:20049563:1 gene:Dexi5A01G0017020 transcript:Dexi5A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSAMAAASLVALAAAAVLFSVSAAAAASPPVQWGKNKQLDPHFYDHSCPQAQQIVASIVGKAHYHDPRMAASLLRLHFHDCFVKGCDASLLLDSSGSIVSEKRSNPNRDSARGFEVVDEIKAALEAACPATVSCADILALAARDSTVMTGGPGWIVPLGRRDARGASVQGSNNDIPAPNNTLPTIITKFELQGLDIVDLVALLGSHTIGDSRCTSFRQRLYNQTGNGLPDFTLDASYAAALRPRCPRSGGDQNLFFLDPVTPFKFDNQYYKNILAHRGLLASDEVLLTGSSATADLIKLYAANQDIFFQHFAQSMVKMGNISPLTGANGEIRKHCRRVNHN >Dexi5B01G0020980.1:cds pep primary_assembly:Fonio_CM05836:5B:23224837:23225040:1 gene:Dexi5B01G0020980 transcript:Dexi5B01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAFRPDLMAMMHPNPSMFLPSMPPPQIPAPSPPPPPPLQQHHFSDYALLQDLFPSTMPNNP >Dexi1B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:1B:24021988:24022452:-1 gene:Dexi1B01G0017690 transcript:Dexi1B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETTHKSHSGKPAAQIISKATLVMVHGPKQKHKQVSSFLQRCFLCHRELAEGRDIYMYRGDRAFCSEECRRRQIFMDENAGSSSNCVANGATTARGNRRAAAGGVAF >Dexi9B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:9B:6212513:6222335:1 gene:Dexi9B01G0010030 transcript:Dexi9B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAPGDDERRRCCCIAGADLLLLPAGEKERYADTGGWACEPDESVGGPTTYVTLALSLLAMALRKSYILPPPPSPRGACMVMMERSAGSLYGRLNRASTRGFVAYVAAGAACAAVLACFVLSAADPRAAADRDDGLLRAPLSSRSARVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFVGTSSRSFYKGILMWREETRILMESREREEQSKSACAPNDVVIDPSYEEPLLTQPQPKEKSALETFLFNLRWKNILVLVSVWSSFLVLQILKNNSKSCSTFYWVINVLQVPVAVSVFLWEAVQLCRESRARRMNGNLECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLNRFPIPFAGYLIFISILAGFWGQCLVRKIVQVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCE >Dexi3A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:3A:16151835:16153718:1 gene:Dexi3A01G0020330 transcript:Dexi3A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFNCCSIHMMYHAKNFSVPFAPQRAQNNEHASNIGAIGGSNINNPANPVGGGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGGSIKASEDQKLSHSPPSLDEYPESTQPSPKKPRIDALSPDSERDTTQPEFESHLIGPWDQEICGKNICGVAFPVEEFKADPGMSKS >Dexi6B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:6B:2410621:2414145:1 gene:Dexi6B01G0002880 transcript:Dexi6B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPPQPRRKRSPSEVTVPVAAASNPNRRPLPVKKKGPQEEEEEEEEEEEGETCPAEPEFIPLPPVMAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLDNGSFAVLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILVFSGAGGHRNEVLSVDFHPSDIERFASCGMDNTVKIWSMKEFWLYVDKSYSWTDLPSKFPTKYVQFPVLIAAVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQGAGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWEVQSSPPVLIARLYNQQCRSPIRQTAVSFDGSTILGAGEDGTIWRWDEVDHSSAKN >Dexi9B01G0044920.1:cds pep primary_assembly:Fonio_CM05836:9B:44587488:44589040:-1 gene:Dexi9B01G0044920 transcript:Dexi9B01G0044920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLCVHCLCAAKSEEDSSSCFDHEPRVVGAEGCFVLLHVRFANAGTSFDYFMYKADPGSPSLEPPLPDAPDAGSIVVDKVISLGDGVIGWVDLRTGVVVCDVLREPLDVRFIANRERLKEFHPGDPAARLRDVTFSNGAIKFIEVEHRWIVTTIFPEKPIDPSEKDVLYDSDLIMDRKRKDEKPRQIRKRDGWRAVTWSRTVSSNCWHKGCVIDVDEISVDDAIYSSSMAGLGEDQDTSLKFRNLHSYLPTLSTDVEDLVYLKSVVKTNDTNGWVQARLQS >Dexi9B01G0044230.1:cds pep primary_assembly:Fonio_CM05836:9B:44039515:44044407:-1 gene:Dexi9B01G0044230 transcript:Dexi9B01G0044230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRHRASPAAPSCSSFTRGSLLALCCLTVVLLAFSVSAAAEDCAEESDVADGDGRCLGFRDACADQSTFCFSSSVAQTLLASEDGIKAMDLEMSSYWGPSSAPLGFPMPGGAGVVSCSSVDTTLARGRHGLGRDGDAGVGYNVASCQAPLVPDNWMRASTGVPMELDGTAADIHPSALQSSLSMNVAINPPVLDWGRSDLYAASKATLTVVNLNNDSALRLYEPFSTDPQFYVYGYEDLELQPGDNATVTFVFLPKLLGSSSAHLVVQTNFGGFIIHAKGMAVSSPYQILPLTGIDVVIGGQLERNLSIYNPYDDTLYVQEVAVWMSSLESTRAMKEHKRTEEALAEKYSSSIVDSSKSTVDKNSPDEQLHTSTTVSVSPANPVEDKTIREAPQTSENLTIRIARDKGRRRKRKVGGSGLAGKFEVSSSHSGNSTPSSPLSQCSTPKQGWSFSGTPSELKHENKLETGIDVEATTSSTGSNREKKTWSQIAKEQPRSRSASPATTSPSAPALTTTAWRSPMLATSSPIAPHARAPGSNLVKDKAVKRGEGAKLKKDYTYDIWGDHFLANLLGIARNGAPCKIPAASEGAPYSLFAREPPTLMMKPSSAPPVSRGRGSPPSDVATGSGIK >Dexi3B01G0031160.1:cds pep primary_assembly:Fonio_CM05836:3B:31619209:31624146:-1 gene:Dexi3B01G0031160 transcript:Dexi3B01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNITVTRKSQSFLVPSEPASSSSEPETLELSAIDRVPGLRHTVRSLHVFRNNKDKSTTTHCDDDAAARPAETIRAALSRALVHYRPFAGRFVGSVEAGEACVRCTDEGAWFVEAVADCTLEEVNGLEDNPLMVSEEELLPAPEEGAEPTSIPIMMQVTEFTCGGFVVGLVAVHTLADGLGGAQFVTTISEFARGVDKPTVAPIWARALIPNPPKLPLRPQPSFQSFGFEHFVTDVTSAHIAHMKTEYFQATGQYCSTFDVAIAKVWQARTKAIKYKLDSEVHVCFFANTRHLLTQVLPNDGGFYGNCFYPVTVTATAEAVITTGLLDVIKMIRDGKSRLPIEFFSWAAGEAKVDPYQLKFEHNVLFVSDWTRLGFFEVDYGWGPPNHILPFTYADYMAVAVLGAPPSPKKGTRIMTKCVEEKDIMGFKDEMKAFL >Dexi2B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:2B:30035862:30040271:1 gene:Dexi2B01G0019880 transcript:Dexi2B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLAAQFTGLPLSQSPAPQPSFRVGLRSSPAVRARSLAPRAAASTAAVSAIPEAAAAPQVADRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGKGNEDLVVVHDALEFGHCRLSLAVPREGIFENINTLEDLLNMPEWTEERPLRVVTGFGYLGDKFLREKGFKHVRFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIEGGIVVESQATLVASRKSLHKRKGVLEITHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSVGGSGVLVTKLTYIFDEETPRWRNLLSELGL >Dexi3B01G0027040.1:cds pep primary_assembly:Fonio_CM05836:3B:22487010:22487835:-1 gene:Dexi3B01G0027040 transcript:Dexi3B01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADLVPGGAPRAASSSTGDTIFSASVLSGDEVLQAAATRLPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATPEDAARAYDVAAREIRGAKAKLNFPPAVGAGAGAPAAPAKKRRKVAVAAEESSASSTPAAVVAAPDGGGGGGAETLRDCMCGLEAFLGLEHHADAAAAEEGDDVEAAAWDDVDLMFA >Dexi2B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:2B:28487080:28489078:-1 gene:Dexi2B01G0018140 transcript:Dexi2B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNIAASLGHRFSSASASATGGRLLHDGAWRPFCIFTSTRQPEQHHSGGSVGDRHDGGDRNHPKPQAIAAGAHSLLLTRACLSSKSPPPSLAVGLLSVLTQGTGPTAGITGSAASLSGSSWISLGFNPTSFLPFLQTAKWLPCSDLATSSSSAPSSPPRASAPSPPSKKALIGGASSSAGASGPAGIATSRGASAAMSRSNWLSRWMSSCSDETKTAFAAVTVPLLYGSSLAEPRSIPSMSMYPTFDIGDRILAEKVSYIFREPEILDIVIFRAPPVLQAYGYGSGDVFVKRVVAKGGDYVEVCDGKLLVNGVVQDEDFVLEPHNYELEPLLVPEGHVFVLGDNRNNSFDSHIWGPLPVRNIVGRSLFRYWPPSKITDTIHDYEPDAALHTHTVLS >Dexi8A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:8A:4777402:4777947:-1 gene:Dexi8A01G0005280 transcript:Dexi8A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISSSSFVFCLLAAVVLLAATPAPATAAPWDEKETHIRVYWHDQVTGNATVVTVAEAATTNTSSTRFGAVQVIDDALTLEPNKTVIGRAEGIYVSSNKETTSVTMAMNFVFMDGPYNGSSIAIFGQNRIELKVREMSVIGGSGVFRLARGYVQLRDYWLSPTTGDAIIQYDIYVRHYDH >Dexi1A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:1A:7593991:7595577:1 gene:Dexi1A01G0009340 transcript:Dexi1A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGSTTTTGDEPPQQKLSPSGLPILKIPGSYGIPFFSPLRDRLDYFYLQGADEFFRSRVARHGGATVLRLNMPPGPLISGDPRVVALLDARSFRALLDDSLVDKSDTLDGTYVPSLDLFSGHRPLAFLDSGDPRHAKLKRVALRLAAARMRHVAPAFGTAFSAMFADVEAAMAGTAGDGVEFNKYNMRHMLDFTCVALFGGAPPSKVIGDGDDGGAAAKKALKWLVFQVHPIASKIGIKPWPLEDLLLHTFRLPAFLVRRDYADLTAYFAGVAAAVLDEEAENSDDNSAIITRDELLHNLIFMSIFNAYGGFKIFLPSLVMYLARAGPELHAKLAGEVRSAISGEVAIITLAAVDKMPLVKSVVWEALRMSPPVAFQYGRARRDTVIESHDAAYEVKKGEMLFGYQPMATRDERVFERAGEFVADRFVVVSGDEDGDGERRRRRRRMLEHVVWSNGAENGEAAEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFTATVEENPLEPVVTFTSLTKAAAVAGHGGGEEA >Dexi8B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:8B:2487022:2493152:-1 gene:Dexi8B01G0003350 transcript:Dexi8B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHAPIDLVTLINISHNMSLPAKSPTEAPSPSRLDLLKKAIKFIARHLDDDDRLAIVAFNDQVIKEYSTELSEMSSSGRMAIEKKVDGLVAKGDTAFKPSLEYAVKVYKQIKWSDESIATTDPIQALLRKYPVHTLGLCKPHDPKALHYIARTSYYGTYSSITDDDHLDTKIIEAFAVFFAGFKTTVAVDTSLDIRSSSLQVGNVKPQALSQPSTFFYPTVGSPHMRLYFSHTPSRPKIREIDSGGYTLRAASSGAILVGTLYAGEVKDFVVYFSLPHRQLATGLPHDSQRDQRHRHLQVRQQAMSTSTATTTDTCSVSLPVHVADAGSPPANPCPPHPVVLQQTIRFKVVDLLTNVLKEFHLLKEEAAGGGAVHGGEDPVLQAVAASSLHRKWAEFKNSDESWKGAPTSFLNNLGCIDDDVTAMVSVLKKGMGVGCVYSWLSSHQMQRATTAAVTGRFRTPAMVVMVEEAQREIVKEASDKDVGSSAVGRRAVELLDGVNKRFELWCKLDHDLPPARQAASDMEDGELAAALRGDINRAKQHHIYLAADHAIKEWRSFLASVGKTHDHGPAK >Dexi1A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:1A:946551:947558:1 gene:Dexi1A01G0001450 transcript:Dexi1A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTAALMAAALLMLLVSPALLMLLLSPAASDTYDRETRRMFVQWKAKYKITYKFAGEEECRYALFKHTLRWRVTRTNAAGVTLSGLNGFSAHASEEIFLGHGFQIGEESYEEETRRMFVGWKAKYKKIYRDVGEEDCRYNLFKGNRRVVVDLNAAAAAAGKTAYGLNQFGDLTNEEVCESCDGHGGEMEGKLSAMCQAAVEEYIDVHHRPIWSQA >Dexi3B01G0032120.1:cds pep primary_assembly:Fonio_CM05836:3B:34540319:34540608:1 gene:Dexi3B01G0032120 transcript:Dexi3B01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKGALSDAKQSRMLRPGWAKACYRQGAAHMLLKEYKQACEAFLDAEKLDPGNEEIKRELQ >Dexi2B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:2B:28325717:28327938:1 gene:Dexi2B01G0017960 transcript:Dexi2B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQEREVQLLQGRQAWPFHMMGMATTAGGCFDGYGYGGGGGDCFVLGWEQQPPPAPFGCFGLLAADVQDLFPFFAAGMESPVLQVPSAPSAPPPPAHDAVAPAIPGELDDLLLNFWDGSCHDGDVGKEEEQLQLGAFNSSCVTHEQTTMATCAAATATTTNCFFHYDDDADDPLSSIFCTGPTSLQPAEKAVVFQAPPAAAEVPLLSSSSSSYCLGAQSDGDAQAQAPCAAATPSASRARTPPLPRSSSTSSTPSLKRATREAGSSSASDQTAPECGQSESSKRRKTAAPPSSAGVVCPFALLKPDGLDGGATLADINTRILMRPARPVRHPVGEFACAPRVSADQPGISGKAVSSFTRLHTPGRGTITIIRTRG >Dexi1A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:1A:26089036:26090529:-1 gene:Dexi1A01G0018900 transcript:Dexi1A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQVEVQQITEQSVASCICHFVLNRYGKMKPTPRADWLSPEYLTTWAAALTKSARDRLVRPPHPPTHPPTLPARHPRADQHSKRAFWRSRHCRRPPRCFVRLVAGDGVPR >Dexi9B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:9B:8160725:8162268:1 gene:Dexi9B01G0012240 transcript:Dexi9B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSSSDESGEYIPSENVYLESDALKSPLGADYVLSYRTYLSEEQKKKVMMLIQEIQPDFTVYIATMRKTTVQPPGPYLGITKEYALAYFPDKTTKVTLEMPGKSKKWHPKFYKEDKSRKNFLMGQWLDFVRDNHVQEGDIVVLSPTKDGKRSIFAVYLLHETAINSRGGSGAKLASQVHIEEELITGKDVTQESDIEIPFEYIVPCQSHLSKSQKRIVEERARVIQSEIPLCVAVMKNNNVGVAQRWMLELGSRFGSVYLPTKGQIMMLQCGGKTWETKMMFHNGRRWFINGGWPNFARGNGLRVGDICLFELKEGEEKLTMAVHIIRKEQF >Dexi4A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:4A:18452583:18454584:-1 gene:Dexi4A01G0015450 transcript:Dexi4A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNASYQHQHQQLGGVDAMMSGCFVSGGADTPAFHDGSSFVFAKPADVAGSFFIDGGGSMLAAGQLQLIRAAAPPPGVSPEQTRGGTYGVSGYGPSPSDDVTVAHAPYHCSSTWFVSGDDGGFRDPFAAAAASELSLRLPAPLEPFSLPAGALNVSLTDQSSSEVSCSGLTHASSSAGVFRPSCGGGEMAARPPGPLHFSQVLSRWPGYSHIAQDTLDEFVGCLLQDVAGFAGEVGGGGEASCPMPMSSCSNTTSSDPSVFLGSEEHAHHKLKNDLQKLLQLLDQGCNQWMDEIQSAACKYGGMVRPGTGVLLAAFANRAVSAMHRRLRARITGEIAAAAAASRRRGSPSQSPAVTLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAVRSGLSRSQVSNWFINARVRLWKPMIEEMYDDLKKASGGGDGVVA >Dexi1B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:1B:21498992:21499850:1 gene:Dexi1B01G0015100 transcript:Dexi1B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAGKMEPVIGRDDEIDRVIRILCRCNKNNAILVGAPGVGKTAIGKGLAQRIAAGHNVPATLSGARVVELDLAAMMAGAILRGMFEERLKNMIQEAEVGANGKVILFIDDMHMLLGARNNNTGSLSAANLLKPALARGHIRCVGTTTCDEYRKHIEKDAAFERQFQVVLVKEPSLAATIVILRGLKRKYEEHHNVVIEDAAIVAAARLANRYITGN >Dexi6B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:6B:22150252:22150915:1 gene:Dexi6B01G0014620 transcript:Dexi6B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEQQPHPPPPPQQHMAPPRMSFSSDFALEPPPPRGPPGRADADFEFSPVGSRPMMAADQLFSKGRILPLRDQAAPRGAPTTLRDELRGHDGGDRGGARRGPRWKELLGLKRAAHKKQGAAAAASPADAHVDLGDHGETGE >Dexi3A01G0026140.1:cds pep primary_assembly:Fonio_CM05836:3A:22217155:22217403:-1 gene:Dexi3A01G0026140 transcript:Dexi3A01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSELLVLCFCRQATGGLMRALATWGASMQACSSLRSAQGLAGGGRLRGDWSAERVWGRLACCSTATGASTSVEMAAPAAAL >Dexi6B01G0015630.1:cds pep primary_assembly:Fonio_CM05836:6B:22924932:22928606:-1 gene:Dexi6B01G0015630 transcript:Dexi6B01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARTRGRGGGGGSGGSDGGGGGNPGKIFVGGLPRDTTDATFVRHFGEYGEIIDSVIMKDRHTSQPRGFGFITYSDPAVVDKVIKDTHVINGKQVEIKRTIPKGAMQSSSKNFKTRKIFVGGLPSSLTEDDFKNFFARYGTVVDHQIMFDRETKRSRGFGFIVFASEQVVDDLLANGNMIDLAGSKVEIKKAEPKKSSDPPPSVRVRSSRSSYDSGSRDHPSADNYGGSVNAYGSYRGGGFDPYRSDAGFSASRLGSYGGMGDFGVGYGRYYAGLGAYGAASSFGYPSRFGLYGGGFGGPYAGGDMSGYRRPVADESFGAPGNSGFGGNADESFGGPGSSGFAGAGYGGAYDPALGGYGSASTPDRNKGSFTGGFGRYHPYG >Dexi4A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:4A:9140971:9141605:-1 gene:Dexi4A01G0011260 transcript:Dexi4A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAAHAAETCANVLNGLINVNLGTPPRTPCCTLIQGLADLEAAVCLCTALRANVLGITLNVPINLSLLVNYCGRRVPTGFQCP >Dexi2A01G0036390.1:cds pep primary_assembly:Fonio_CM05836:2A:45930833:45931781:1 gene:Dexi2A01G0036390 transcript:Dexi2A01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSCRFASPATPFAVVSTSAKPPSMVAFAPPGHGFPAGTKTKLRQDRTVALRPCVVVRAQHHGGARPAAGKPAEYYFADERKHVMDRYHMIVSVDYGCLASAGFNVGAPNEISIDTVHRTIRAFVESFTNTADVSYNKRVRKHTGLRFLGALKGLASISHILLETALEALGPKASLSEYAFNCDIKAIHEEFNQGMSDIEDELRKASSPVEVCK >Dexi5A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:5A:24215248:24216396:-1 gene:Dexi5A01G0020370 transcript:Dexi5A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSEPRPPSSFIESLLTGRDQQQQQDDKVGKRKPGQPTDPLPKSQVLGKVKDFLGEIAKANQKLQLDTQDLLLGVADLHSDQAVDAAEATMNGFQPSGMSFGCSSSDSEEDSDDSDEDGGGDIMSDKGKCEGPDEAEADPAERKKPNKRQKIVVLN >Dexi9A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:9A:2338035:2341103:1 gene:Dexi9A01G0004380 transcript:Dexi9A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLAVESAAGEAPAAVTPEPKEETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEITRLSAELEARHAAELASFGYKPSGSSEKGNLDNLVKAIAGVSVSSNSESAKLSKGAKRREKKAKEEAAREQRIQEEQSNLVSDRMLENEKLEKKLEPLGLTIQEIKPDGHCLYRAVENQLLLHSNAPYSYQDLREMTAKYMREHAADFLPFFLSEGKADAGSDPVESFEKYCQEIESTAAWGGQLELGALTHCLKKHIVVYSGSLPDVEMGQEYKSGSGSSSAGDASIKLSFHRHAYGLGEHYNSVIPAESS >Dexi3B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:3B:3175014:3176551:1 gene:Dexi3B01G0004690 transcript:Dexi3B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMFPEPMDTMEIFFVKVVQITGGLEWPLQVYGDVAVRDSLDQKRNYLFRRGRDDCQALTSPQDSLLELTGPSRAVILLDNPVFEIDLKVKGEEESEDKATISIQMTTGSGNFWARLTARTASIGEKVVLLDTGGREVSVAEDAKVYLQRRVVVVEEQGKLILGFEAAQLGGDSAESSITTAKEITFPARCALRSDRYFVIGPTRLHVVVAWSLLP >Dexi1A01G0022830.1:cds pep primary_assembly:Fonio_CM05836:1A:29420200:29420652:1 gene:Dexi1A01G0022830 transcript:Dexi1A01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVWAVSLAVASLATGMLGVLGVWLCYLFDAVARGRAPRAPPPTPQEEEEEEGDGKNGLSEAELMRLGGVSVVESTDGREGEALCPICLDAMEPGRAVRVLPGCNRGFHRDCIDRWLAISPRCPVCNVWAAPPQSPASSPPAPKTGWDS >Dexi8B01G0003530.1:cds pep primary_assembly:Fonio_CM05836:8B:2680006:2680521:-1 gene:Dexi8B01G0003530 transcript:Dexi8B01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLPWPWRRRKQQPGHDDEVPVAVGTHLHVAAAGDDDDDGAVSTASSDGSHLTLPVEEPVHVVDGDTTEAHHRAHHYGEVAAAGSGYSEDQSCSGEESDGGEAMERDDTGNDGRRRRRRRGGRRRRRGPPASGVGVGVPTLMVVGPVAAVMLLAFVALVAWKKRQRVAAT >Dexi4B01G0022960.1:cds pep primary_assembly:Fonio_CM05836:4B:24466678:24471497:-1 gene:Dexi4B01G0022960 transcript:Dexi4B01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWALSSALLLLFLLTTLPDPAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDSEVAQREAESISRKTLRSSAEKFEFQAEVSRLMDILINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGVGMTKEDLIKNLGTIAKSGTSAFVEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWSTKEVDVEVPADEEETTPETTEEEETEEGEEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHTLAKDFSDDKPMAWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNNNKSNLKLYVRRVFISDEFDDLLPKYLSFLKGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKSDEEKSEMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALETENVDSVKISNRLHNTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDNESEGLKQTARLVYQTALMESGFNLPDPKEFASSIYKSVQKSLDLSPDATVEEEDEAEEQPEVEEKESAKEEDSEPSYDKDEL >Dexi1A01G0028270.1:cds pep primary_assembly:Fonio_CM05836:1A:33950343:33951115:-1 gene:Dexi1A01G0028270 transcript:Dexi1A01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAARHRIMGGGGGGGGRKMGPAAAPPAMGLQKQNSWSPDIERDEAWERRRRGMRRGGTALRRVRSVTDDDLDELRGCIDLGFGFEPHAAGSGCAACGSAGRSRLVETLPALDLYYAVNGGGGGAGADGCTCSCGAASEVSSDESPLGSPMSILSPDDTPETVKMRLKQWAQVVALSMLSRH >Dexi1A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:1A:26463367:26468580:-1 gene:Dexi1A01G0019320 transcript:Dexi1A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFERVELRCPRALDPHQSWTLGDVLAELDALDATRRAAPPTPLKQPPDCVGGAIEKAFVMRVDDEDDTEDEDDNISSGESQALMAKGARFSFNGLESSDSEDELDGQVTPYHLMEKRNLEKSILLELEREHHLKVQFRLVSAEVLDKHLSMVQRDHEQKSQIVERRIRDDAAIEEAKRKEQAIKEDKLRQERARQEAEARQKEAAKLAAEARKTAFEAAQKEAAQKEAAEKETAKLREAAASQSGQNSQNNIAATDMWLIGVVACKRLTFR >Dexi1A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:1A:25728039:25728567:1 gene:Dexi1A01G0018560 transcript:Dexi1A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRKACSSTLLMVILAIVFVLTINQLPVAHARHVRSPSMSTGEHSCVDQKGSRGTSNNDHGVEHTKNTETVSVEKGSTAEGAAGASFGRRGGSTSPAASDEKVVVVARYGPRPHPKKHN >Dexi8B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:8B:2561739:2561978:1 gene:Dexi8B01G0003420 transcript:Dexi8B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLNKEPPDEEEGLLDLNQQPDDEEGLADEQPDDEEPAADQGDIAELNDLYGAETGEQEGAETGEQEVISARNYLMA >Dexi5A01G0033630.1:cds pep primary_assembly:Fonio_CM05836:5A:35943663:35944431:1 gene:Dexi5A01G0033630 transcript:Dexi5A01G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRAGGAAGGGDTSAFFAATLVLWAVSVGFEIGVRGRRELAPVAAGFAFFQAANAAVRASVSRDPLFVNTAVSLLHSSLTSASVVL >Dexi2B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:2B:2620567:2621169:-1 gene:Dexi2B01G0003010 transcript:Dexi2B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSPSSPPRAAAAADLPLSLAPATGSVLAGGKNLRLYPCLFCDKAFFKSQALGGHQNAHKKERSASWNPFVYDNGGHHAVATGGAAAMSIPSHGGSAAAVVHTAGGGHDGDGEGRRDDDAPSFRAQMQRRRAGLFGPVSINGIVRREMSAGADDDAGTIDMLNWVRASSVAPSGGGDIAMAPSSSSCSGEDLDLELRI >DexiUA01G0022480.1:cds pep primary_assembly:Fonio_CM05836:UA:45680638:45681361:1 gene:DexiUA01G0022480 transcript:DexiUA01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVPIANGTEEMEATMIIDILRRAKATVLVASLEDKLEVVASRKVKMVADVLLDDALTQQYDLILLPGGLGGAEAYAKSDKLMGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPGMWNKLADQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALELAKTMVFV >Dexi2B01G0030990.1:cds pep primary_assembly:Fonio_CM05836:2B:39212555:39216011:-1 gene:Dexi2B01G0030990 transcript:Dexi2B01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILLGFLGLLFIAVTVAIVRRLELEGEVVVIAVAQGDDKEVLVELKRFLQENNKVNRGAYDEWQETDASPCGWHGVQCDGASRVTSLDLSHASISGPAFGNFSRLSALASLDLSDNTITGAGDIGQCRGLVHLNLSHNLIDGSLDLSALTALRTLDVSWNRLVGGGVAANFTAICADLAVFNVSTNGLAGDITGMFDGCARLEYVDLNSNKFTGELWPGVARFRQFSAAENNLTGSVPPTTFPDGCKLESLDLSANNLTGSFPDSIAKCVNLKYLSLWGNGFNGVIPAGLGNLAVIETMVLGKNSFDRRIPPELTNCTKLQFLDISSNSFGGDVQDTFGKFASLRYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNEFSGELPPELANMKSLKYLMLAYNQFSGSIPPEYGRLTQLQALDLSHNMLSGEIPATIGNLTSLLWLMLAGNQLSGEIPREIGKCTSLLWLNLADNELTGKIPAEMAAVGSNPGPTFARNRRDTTVLAGSGECQAMKRWIPASYPPFSFVYSIMTRENCRSIWDRILKGYGIVPICTNSSSPVRSNTISGYVQLSSNRLSGEIPPEIGAMQNLSLLHIDGNRLTGQLPPEIARLPLVVLNVSRNNISGAIPSEIGRIRCLEMLDLSYNNFSGELPGSLSQLTELNRFNVSYNPLLSGDVPVAGQFGTFDEQSFLGDPLISLQGGAASGGKQPPPEATGVPAVRARGIMSPRTIAVWFMFSLLVAFVAGALVFFMANLRARFPVDQDPDPESSFSCENPKCSGKCSLQQSSTTSSSPPSGSSSSATGCSSSTDGVKVFQLCVSKTAFTYRDIVAATRDFSDDLVIGRGGYGVVYRGELPDGRAVAVKKLARPRDGGDCEREFRAEMEVLADRMGSTWPHPNLVTLYGWCLSGSAKILVYEYLDGGNLESLIGDVEAFGWARRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLDGKDGRAKVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWARRMAKEGWVSRETTAAAVPGAVSWELLKLGMRCTADAPHERPDMPDVLAALVEVAETGGVGLEFT >Dexi2A01G0032290.1:cds pep primary_assembly:Fonio_CM05836:2A:42834288:42834617:-1 gene:Dexi2A01G0032290 transcript:Dexi2A01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSITVPALLLLMALLLSCSGMSRAARWLEEAAPKEYPHPTVPELPKPEVPEHPAVPELPKPELPPHPTIPELPKPEVPEHPTVAEIPKPEVPELPKLEVPEHPAVP >Dexi6B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:6B:22658211:22658724:1 gene:Dexi6B01G0015310 transcript:Dexi6B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASATAHFSLTATTVFLLVLLVSVLHQATCLPRLHPPPTPCSSPLQGGARTTAREEEEKVRLGSSPPSCRGKCYECSPCTAVQVPTLSVGPSVPSAAAARPRTRVSDEVATLSNYKPVGWKCQCRDRLYEP >Dexi9A01G0039980.1:cds pep primary_assembly:Fonio_CM05836:9A:43855012:43858385:-1 gene:Dexi9A01G0039980 transcript:Dexi9A01G0039980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRADIEAGGFPGFAPDRRAMLWLVLGVFLMATSLRMYATCQQLQAQVQAHAAVANGFIGHTELRVHVPPTISLATRARLQSLRLQLTLLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAQQGNAPARKSDGPSQLSASSTGPGNEVIDKYFENILLTSKKQDGFKADETSKTLEDELTCSVCLEQVAVGDLLRSLPCLHQFHANCIDPWLRQQGTCPICKHQVNDGWHGASNGEEDASYMV >Dexi9B01G0042870.1:cds pep primary_assembly:Fonio_CM05836:9B:42991049:42991291:-1 gene:Dexi9B01G0042870 transcript:Dexi9B01G0042870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPVVHPMEVAAAAAPAPAPAPANPAQHPPGVLMKDLPGMPGTPSGLALRVTQLLLAAVSLAAMSSTSDFASVSAFW >Dexi1A01G0012640.1:cds pep primary_assembly:Fonio_CM05836:1A:12734984:12736400:1 gene:Dexi1A01G0012640 transcript:Dexi1A01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAATAADERRPVWLPVDPTHHDDALASCINNALLMNAFCKAAIRQTLQIDDDRPAGRIAQRYTRTTQGRIPASFTLCARAERKPRERRMCTHTATSRQR >Dexi9A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:9A:8809577:8809828:-1 gene:Dexi9A01G0013660 transcript:Dexi9A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGTCGKPTWAGCGRHVASVHAQIPEGQHCACRDWPGVAPPAEKKATDVAAADATKTSGAAAEASSGSAEGGAAAAAQ >Dexi8B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:8B:6441881:6443581:1 gene:Dexi8B01G0005950 transcript:Dexi8B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALHSTAAAPAVAHQYTRLITAAAASSPATLRALLPIHARAVVLGISANLAFTTRLLAAAAPASLAYARRVFDAAPERDAYMWNTLLRAHAHSQSHAVDALVLYKRMRAAGVAPDHYTYPIVLPACASAGVPRLGRAAHGDAVRFSLAGDGFVRSALIAMYFHEGAVADAEQVFAESNGSSRTVVSWTAMVAGYVQNYFFGEAVALFGRMIAEGVLPNEVTLISFLPCLQGQEWLDAGEMVHGFVIKLGFGANIPLVNALIAMYGKCGSTAMAEALFEGMKVRTLVSWNTMVAMYEQHGNSVEAIKFFRRMLTEKVGFDCVTLVSVLSACARSGALDTGKWVHEFARSHGLDTDPRIGSIDVTFYVLMSNMYFEAGMREDAERMRRAMKDMELKKTAGRSAVNQ >Dexi6A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:6A:1122898:1126835:-1 gene:Dexi6A01G0001300 transcript:Dexi6A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGVSPAAVSSPLRALSHCLPQRQAVLTHATIEEIEAEKSVIEEQAKEKMDKAIETVQTNFNTVRTGRANPAMLDRIEVEYYGTPVSLKSIAQISTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEDGKVSIRNIRRDAIKAYDKLQKEKKLSEDNVKDLSADLQKVTDGYMKKIDSIQKQKEEAGELAGLERLFWRYFLAIMVPF >Dexi5B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:5B:21981015:21981774:1 gene:Dexi5B01G0019660 transcript:Dexi5B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGEGSIGRWKEGESQGGEAEEIDGGLGHDGGELLEADDAVAVGVGLAHHVGELGVADGVAHPRHGARELGGGDEAVAVAVEGAEGLGELGLVDGDGGAAGPEEQRRQGGGELVELHGAVAVGVHGGDERVDLVPGGRAEPQRPEQRRQLQLGEAPVAVEVEAEEELPELAQLLVAEPRPPARRRGGLAALAGATDGADLAGGGAAVALLSRTRSSGAGRRFCMLSRGDKI >Dexi9B01G0017870.1:cds pep primary_assembly:Fonio_CM05836:9B:12601372:12602549:1 gene:Dexi9B01G0017870 transcript:Dexi9B01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRYRFFLCACGANAAAGDLEGDDDFVFEDEKVEKGAEAGPRGLSWAQVEAMTGRFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERLHRVFRQELDALQRVHHPHIVRLLAFCDQQEEGVLVLEFAANGNLHERLHGGGKASGTMPWARRVSVALQVARALEYLHDRCEPQVVHGDVKASNVLLDASMAAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGVVTKKSDVYSFGVLLLELLTGMEAFCAEEGRLLTAVLAPRLRAGADADARGLVDERLGTAYDAGEAAAVAALAVACVGENPSLRPSMADVVRTLEERGQGSISAVGRRSDGHGKV >Dexi2B01G0002870.1:cds pep primary_assembly:Fonio_CM05836:2B:2456857:2457941:1 gene:Dexi2B01G0002870 transcript:Dexi2B01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEELAEMGISKEEKDKLVAEVMRYMLFKTHQTSGCPIKREELTGIVTKNYRQRALPTLVIKEARDRLAATFGYEMRELQRSRDPSTRSGRPSQQPSSVDAKSYVLISQLDPEVYSKYVEDKEAAPLTGFSFTRLGLHETDENHPVLGNNKQALELLVQQRYLLKEKLAGPEGHVMMYELAERALDENISGKIKDDISQVCMH >Dexi3A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:3A:4548381:4551029:1 gene:Dexi3A01G0006810 transcript:Dexi3A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCPELLPVKRCLLCFVVLLLLCCLSHVNAKRTPPRATPKPSPSPSPSPSQAPAYPSLPVRAVSLGGWLVTEGWIFPPLFGDIPNKDLLDGTQLQFKSALRKTYIAADQGGGGAVLATNLTQPSDWETFKLWRINETTFNFRTSGSEFVGIGASDGLIVATATSPGLPETFQIVRCPFDKNRVRIKAANGYFVQAIATGEVIADYGEPTRWSDWDASVFLMTTVGEQMQGEFQLCNGYGADKAASVLREHWSTYIVEDDFKFLASNGLTAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQAWGTTDDTIAQTVQVIDFLASRPVSSHTTVQIQYAASPSLFAVELLNEPRAPGASLESLKRYYRDGYAAVRRHSPTAYVVMSNRLSSGEPKELLRLAGELQGAVVDVHYYTVFHKMFDNFTVQQNIDFVRGNFSAELASITTQNGPLTFVGEWVAEWKVPNATKEDYQRYATAQMSVYGQATFGWSYWTVKNAKNHWDLEWMIKNGYISLIG >Dexi6A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:6A:304208:306390:1 gene:Dexi6A01G0000530 transcript:Dexi6A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFNMPGGGDAAASSSSSAAQECRLRRRRRLAPPPHRADAGAGGEKEKRVRPSTPSSSSEEEAEVDDDDQEQPGRVAFGWVSVAGRSREMEDAVSLRPAFCTNWLDGSPIHFFGVFDGHGGSHVSTLCRDRMHEFLAEELATEAAAFRERRKQQQQPAAAEQEDAGTTTTTTSATSQGQQQPTTSRMKSAHGARRCRGRSTGWTRWRRWRAPALAPPCRCPLAGNSGIVGSTAVVALLVRGRLVVANCGDSRAVLCRGHDAVPLSVDHKPDRADELARIEAAGGRVLYINGHRVRGILAMSRALGDRMLRPEVIAEPEITVTRRTPEDECLILASDGMWDVISNDVACSVARQCLQDGGGNVDDVAAAAEARCSRAASLLVRLALGRATWDNVSVIVVDLKHRGGS >Dexi3B01G0030620.1:cds pep primary_assembly:Fonio_CM05836:3B:30647790:30649078:1 gene:Dexi3B01G0030620 transcript:Dexi3B01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATCAREASAAVGDRLAAACDMIEWFRDYRTAITGRRAAAISSMIADIAAAATAASDGKHTPSSPIGRRKKKTRMGSSSWYEEVYRLGKGHFGVVSKARHRATGHVVAIKSLRPKRRCGCGGGDDEGDYDLLREACFMAACRGHPSLVGLHAVLRAPVTGDYSLAMDYVGPTTLVDVMSLARHGEADVRRMMRQLLEGADAMHRRGIVHRDIKPRNILVSDGDGGDHLKICDLGVATYVGERDPPYVNTGTLPYMAPEVLVGSSMDHLDHDDTLVDSWSLGCVMAQLLLGGHMLFKGESTSDQLYKIFDVLGVPGKKAWQSLKPSSGGRGSSSNRLRGMFPEKVLSKDGFEVLSGLLTCDPRRRLTAAVALRCQWFAADNVEVVDGGDDPLVPAAPAAVSETPTTVLGVC >Dexi2B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:2B:27014045:27016229:-1 gene:Dexi2B01G0016690 transcript:Dexi2B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPDVKAETMKLMDRRGALEAEMDAIIARLTAPGGPGITGGLVDAEGFPRSDIDIPNVLAQRQRLAAWR >Dexi9A01G0031490.1:cds pep primary_assembly:Fonio_CM05836:9A:36491168:36499684:1 gene:Dexi9A01G0031490 transcript:Dexi9A01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTVGVVMSCDTLLSNPATSLVLPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISSSYLQPLLLWTGATLVCRGLDPVVMPSAASQAVKTRLITFVRSLSTVLAVAYILTRCTCYLVQQVQKFLVDMRNPNDTRNMGFDFITKALYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEFSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLAISHMDAGKIGNIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKNQALMIYISCFVKTSHFEEYLNVQETVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYSRVRGRPLLIDTSARISDDKAKPRPVAPREEQKVKTNDSAEIKSASPENASLSNTEKQEQKKLVPDDARAKIGKSDNFTQVATSSDPVMSTSKTGKGKTHEPEATEGQDGSMAMANPKKESRPAFEDNIVLGVALAGSKRTLPIEEGDPHLSLSETEPDTVEAASSSKDKMAQSPKHSGQEKSDQRNIDR >Dexi5B01G0039900.1:cds pep primary_assembly:Fonio_CM05836:5B:38572125:38576368:1 gene:Dexi5B01G0039900 transcript:Dexi5B01G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGKLKSDGRRRKSKLELTCSAGWVSQPPRPTALQVSRRQIEVNPLSYPLASQLIVAAAAASSSCSCAIYLAAASLLSICSLSLHTHIYSGQARPQLGSQARSMEAMEESRSLADDDAPLERRRRSSCNNNEQYYHYWNSDGDGLRRRRESMVNKLISTVYSGPTISDIESALSFTGGDQQQLLTMDNSSATSSPSAPKVLSKMENKYTLKIKTASGNGGLAEDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERATDEADTLLVTYEGLHLHYTYSPQHLLLQQPVTSGSSKKPKLQQPRGPAAVIDDGGQTAQQPAGPAAIIDDNNAELFRQQQQQQSSQQPLTATYYCTTSSSSNNEGGLLEDVVPLLVRRPCTAATSSPPSTSSSVVSWNPYTIDMAILSNIF >Dexi6B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:6B:23924995:23926081:-1 gene:Dexi6B01G0016630 transcript:Dexi6B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAPRPPWSRLEGQVVVVTGASSGIGRDFCLDLARAGCRVVAAARRADRLHSLCDEINASAADAGPLAVAVELDVAAGGSVLEAAVQRAWDAFGRIDVLINNAGIRGAVHSPLDWPEDEWDKLIKTNLTGLWLVAKHVCRRMRDAKIKGSVINISSIAGLNRGHLPGSIGYASSKSAVHYATKLMALELGPHGIRVNAIAPGLFKSEITAPLLQKRWLNTVASKIVPLKEHGTTDPALTSLVRFLIHEASSYVTGNIFVVDSGVTIPGVPIFSSL >Dexi1B01G0031490.1:cds pep primary_assembly:Fonio_CM05836:1B:35029878:35033033:1 gene:Dexi1B01G0031490 transcript:Dexi1B01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLRRSDSIADMMPEALRQSRYHMKRCFQRYVAKGSTVMKRQQLLEELRRSAADDGRLQEEGGFLGYVISCTQEAVVLPPYVNLAVRTNPGIWEYIKVHSANLTVQQITPSGYLKCKEALYDNQWANDDNSLEVDFGALDLSTPHLTLPSSIGNGMQFVSRFMSSRIAGTRMKPMLDYLLALSHRGEKLMVNGTLDTVVKLQTALLLADAFLAGLHNNTPYHMFEQKFHEWGLERGWGDTAEACRETINCLSEVLQAPDPANMDSFFSRVPSVFTIVIFSVHGYFGQEKVLGLPDTGCQVVYILDQVRALEEELLYRIKRQGLDFTPRILVLTRLIPEAKGTKCNVELEPIENTRHSTILRVPFKTEDGQDLPHWDSCAKILDMLQGKPDLVIGNYTDGNLVASLVSRKLGVTQGTIAHALEKTKYEDSDVKWREMDHKYHFACQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSIYFPFTLKQKRLTDLHPQIEELVYGKEDNDEHIGYLEDRSKPVIFSMARLDKVKNITGLVELYGQNKRLRDLVNLVVVGGLLDPSRSKDREEIEEINKMHSLINRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVNEVSGFHINPLDGKEASNKIADFFQKCKEDPMYWNKIYTWQIYGTKVLNMGSTYGFWRTMDKEERQAKQRYLQMFYNLQFRRLVS >Dexi6B01G0012330.1:cds pep primary_assembly:Fonio_CM05836:6B:19919091:19925797:1 gene:Dexi6B01G0012330 transcript:Dexi6B01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGDQQSEDMEVDLSAAAAEEKPVVRFSINGEQPSRHLVWRSGPVARFLDRSCLSRSAGAHEGGADAARSPPERLHPVQVRGATSALHPILLHLSQIYALISLDSMRVPEAFLTCDCRYLHIVFYMAERAWSHAMEKKTAGTNAQQRIYMLGRFRKAVKWASLFSQLCSLKGDSRTSLEAEFCSKYFFQAYASYMKGALFFEQDKNIEAAMINFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIDFCSHKLGGSSLQAHELLNNANDLLKAKMEAVLSETRSQQAASMTEFNWLGRRFPITNAKTRVSILKAQQLEKDLTGPATESMAADKRLGIFDKIFSAYHDARSCIRNDLASAGSAENIRDDLNGLDKAVSAVLGLRTIERNQLLVSIAKSKFAKHRDEKNERITKPEELVRLYDLLIQNTTDLTDLVSSGRNKNEEENSFIHDYELKGMAFRAERCFFLAKSYSSAGKRTEAYALFCHARTLTDSALQKLANSPDKALIQELKSLSDNCRSNSCIEHATSIMEEEKVPSELSKGVSTMSLGDDKTKENKYLLDMLQSYESAIGEQNTKGPYRIAQFPPPFQAVPCNPIVLDMAYNAIEFPSLENRMKKEKKGLLSRFWG >Dexi5A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:5A:2074118:2075066:1 gene:Dexi5A01G0002870 transcript:Dexi5A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHPTTDAAARRGERRRSMTAKDLLSGPNPERFSESKIKRSWELLLEALRLNPCAHGGHALLVAATALRTFHYHFRLPSASGAHSPYSVFGLIPEVPTARDPAAVEKYYRQASDLLRRAAADPDHPCYPAFFKAARLVEDAWAFLSDPDRKASLDARYNS >Dexi2B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:2B:181915:182262:1 gene:Dexi2B01G0000430 transcript:Dexi2B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLWSPEVSSRLAHTISTAPIPCIRPSAAEVADEVSVSASSTTVVSPKAMPSVVAVMDPGPLMTLTAVGFVPDTMSCMKKLRCVVALSSAVAAVAMAARRARRRTV >Dexi8B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:8B:26444242:26445382:-1 gene:Dexi8B01G0015710 transcript:Dexi8B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGPKYDGEALHRIIRKELEGITLDDTVTRIMVPTFDVFDRSVRVFDSGATAEPLREIDLADICIATTAAPIYFPAHDFNNNVEDSDKKEEEYIKHNLIDGGVAANNPTLDAIWCMMRQVINGPSQDNNLKPYFEKCFVISIGTGSGKHKYKAKECARWGIIGWLYKDGHTPLLDIFSKNTASLIHYTTGFLFYVYDCQKNYLRIDPELTSDAAKKPDTALDNATEENMELLIKEGNDLLTEKVRTVIYGDSVWKSTTVESNETNEEALRRFAGYLCEERHKRLRDQN >DexiUA01G0026160.1:cds pep primary_assembly:Fonio_CM05836:UA:55207803:55208884:1 gene:DexiUA01G0026160 transcript:DexiUA01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTWQNCCWKKVMKYTVLSVVLLHSTPNDPHAANPKFHLHYGDLTDTSNLTRILQEVQPDEVYNLGAMSHVAVSFESPEYTADVDAMGTLRLLEAIRFLGLEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESPRRGETFVTRKITRAIANIAQGLESCLHLGNMDSLRDWGHAKDYVKMQWMMLQQEKPEDFVIATGVQYSVRQFVEMAAAQLGIKLRFEGTGVEEKGIVVSVTGHDAPGVKPGDVIVQVDPRYFRPAEVETLLGDPTKAHETLGWKPETTLQEMVSEMVAKDLEAAKKHSLLKSHGYEVAIALES >Dexi4A01G0019150.1:cds pep primary_assembly:Fonio_CM05836:4A:23008012:23009385:1 gene:Dexi4A01G0019150 transcript:Dexi4A01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRGRMYPPSSLLVVPVLLPPEHEVAKAADDDEATDGQGLDGCDDADDALFSGSPAMAAHAFIFSDHMMVLLVMVISTTG >Dexi9A01G0037980.1:cds pep primary_assembly:Fonio_CM05836:9A:42218644:42222619:1 gene:Dexi9A01G0037980 transcript:Dexi9A01G0037980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRAGRGSGSAAPERDIDDLPPNDANYTALTPLWFLERAALAQPGRASVVHGPVRYTWAETYRRCRRLASALARRSVGCGSTVAVIAPNIPAVYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSMAEVVMVDQEFFPLAEESLKIIAEKKKSSFRPPILIVIGDPTCDPKSLHYALGKGATEYEDFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMIWGMPEGAVYLWTLPMFHCNGWCYTWALAAFCGTSICLRQVSTKAIYNGIAKQGVTHFCAAPVVLNDLINAPASETFLPLPRVVNVNVAGAAPTPSLLLALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDELPLEERSRLHCRQGIRYIALEGLDVVDPKTMAPVPADGKTYGEIVMRGNAVMKGYLKNPKANEEAFAGGWYHSGDLGVKHPDGYIEVRDRMKDVIISGGENISSLEVEKVVCTHPAVLEASVVARADERWGESPCAFVTLRDGAGDGSDDTASDIMRFCRERMPGYWVPKSVIFGPLPKTATGKIKKNELRAKAKELGPVKKSRM >Dexi1A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:1A:11031995:11036828:-1 gene:Dexi1A01G0011870 transcript:Dexi1A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFAGRSLPARRHRHRHLPLFTASSSHPIGSHRYLVFPSSCSAREVLDVTPQRDDGRRPRTEEDRAGSTGVRYGTNGGTVRRDTTTREAGTPVQREGSRGVPRPWKKGDRVVVKEREDWESQEKNRRRGPIQTGEQEWRRDANSWTRGGKGMFAKESGNAGNSRDVGRETRNETKKNKRVKVGEQGGKLRVLLDMCSKRGDVMGAISLYDSAVEDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTGSGITSIDPAQKLDLSPEGSLGCSDEGDTSQGHVREEKEKPELPSGDLNVQTVTIPIGDELREYARARGFEIFEKMCAEKERVQMSEAALTAKARMALSMGDGDMAFEIVKQMKDLGITPKLRSYGPALTAFCNSGNVDKAFEVEAHMLKSGITPEEAELEMLLRVHFTYDESSVALQMPPPYSVVIQVMSRYMN >Dexi2B01G0030310.1:cds pep primary_assembly:Fonio_CM05836:2B:38577390:38580595:1 gene:Dexi2B01G0030310 transcript:Dexi2B01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQLPRPPPLRLAGVRLSILLPSPAAPPRGDSASAPATALRARFGGVAFSLQTNVRLLKPNRRVRRSRDPYYDLDEDDDEEEFEDEEDDEGYDSEDDMSGLEYPGVLYSNSPHAPSKRPGLQTPFLIKEKWEGRQPKTRDKYGSLEKNKFLHPRNKVGRSSTDITNMDSEVELKNERISRSMFQKLQEEYDFDDKWLPLVDYLCTFGLKESHFTYIYERHMACFQISQASAEERLDFLLNSGVKSKDMKRILVRQPQILEYTLSNLKAHVDFLVSIGVPSTRIGQVISSAPSMFSYSVEQSLKPTVRYLIEEVGIEESDVGKVVQLSPQILVQKIDIAWKSRSLFLSKELGAPKDSMVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYLYLVNDLKNEKTALCQNGWEGSRFI >Dexi1B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:1B:8570582:8572032:-1 gene:Dexi1B01G0009460 transcript:Dexi1B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNSGEIDALLKVKKAWGNPPALSSWNLQNSSSYCRWAGVSCDTDGHVIKLSFQNFNITNPIPASICSLKNLTYLDLSYNNLTNQFPTVLYGCSALYYLDLSNNLFSGVLPADMNNLSSEMEHLNLSCNGFIGNVPSAIASFPKLKSLLLDTNSFNGTYPGSAIGDLTELETLTLASNPFMPGPIPAEFGNLKNLNYLWMSGMNLTGSIPDELSSLTELTTLALYINKLEGEIPEWVWKLPKLEFLYLYANRFTGGIGPDVTAFNLQEIDVSTNFLTGEIPDAIGGIKSLTTLYLYYNNLTGTIPASIGHLPNLEDIKLFNNMLSGPLPPELGKNSPLGNLEVSNNFLSGELPDTLCSNNKLYDIVVFNNSFSGEFPAILGDCPTVNNIMLYNNNFTGEFPEKVWSAFPLLTTVEIQNNGFTGTLPSVIATNITRIQMGNNKFSGALPSFCQPHRT >Dexi2B01G0026800.1:cds pep primary_assembly:Fonio_CM05836:2B:35896268:35900802:1 gene:Dexi2B01G0026800 transcript:Dexi2B01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRILSKQHKWKIAACVMLVSLLLIAFDSPFRTFFSNHLSSIIPSSDSSQSTTLTQENLNLSSEHATNLSNSKPGQQIEHGTYNINTSLTNATSSWSIVKEEFTFPAAGRPFNNCHASTIVEIEKDNFLISYFGGSIEGAPDVKIWTQRYSDGYWHPPVVADEENATAMWNPVLFQLPSHELLLFYKIGEHPQNWSGAMKRSLNGGISWSQREQLPPGILGPIKNKPFLLDDGRVLCGSSVESWDSWGAWLEVTEDAGRTWRKYGPIFVEDEKLGVIQPVPYQTSNGTIRMLLRSYQTIGRVCLADSSDGGLTWGYVRKTELPNPNSGIDGIKMKDGRVALAYNTVSRGTLKVAVSSDDGISWCEVLTLENTEGVEFSYPAVIQTMDELIHVTYTYNRTQIKESGGDLAAAQAQAAVRETGRGKSHRRASSGRRKVTYGFHLVEGRMPHGMEDRHVAEFRQLDDGNEVGLFAVFDGHSGADVATYLREHLFDNILNEPDFDFWTEPMEAIRRAYHRTDRKVLKTTKGGDDDGEGKGSSRRPRGGSTAVTVILVNGETLVVANVGDSRAVLCDAGGTARQLSLAMSRAFGDGSIKEHISSDPDVCIEDVGEGAELVVVASDGLWKVMSNQEAVEEARETRDARKAAVRLVDEAQTQNPLRNCPVTNSPSPPLLPARPLLVAAADEGSGS >Dexi9B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:9B:871988:876802:1 gene:Dexi9B01G0001500 transcript:Dexi9B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGQRHGGVGSSSRPGNGFKGPASSVEFLGREMLEMQLRDAKADADDERDMGSGSDVTDSSSNEAGHRKVTIIHGHNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIIGLKHYFFSTTERDELYLNLVLEFVPETVNRIARQYNRINQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPEAVDLVSRFLQYSPNLRCTALEACMHPFFDDLRDPNTRLPNGRPLPPLFNFRSQELKGVPPEVVERLVPEHARRQSLFMALRT >Dexi1B01G0022540.1:cds pep primary_assembly:Fonio_CM05836:1B:28280752:28282849:-1 gene:Dexi1B01G0022540 transcript:Dexi1B01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRRATMAQFSVTSLRRFRGNSPLPPSRASALRRAAAMLLLAAAVTLPCAVLYRAAVDTTATVYVPRARRPLLEWDPPPLPPADEVPEEDGDFDPFPTGDLDSEDLKLELVLQQASMDNKTVILTTLNAAWASPGSVIDLFIDSFRRGVGTSSLLRHLVIVAFDWKAYKQCVKIHPYCFALTTEDVDFSQEKRFQTAGYLRMMWKRLDFLRLVLEKGYSFVFSDADIMWFRNPFPSLVTKPVRYAYGVET >Dexi1A01G0022660.1:cds pep primary_assembly:Fonio_CM05836:1A:29278431:29279423:1 gene:Dexi1A01G0022660 transcript:Dexi1A01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANSSGQSSFYHTLRRTLVERGVLGLYGGLASKLACSAPISAIYTLTYEIVKGALLPALPEFHVIRNALVGCLKRGGVASLYAGWGAVLCRNIPHSIVKFYAYESLKQSILKSSPDNAKLSSGETVSFSALSC >Dexi6A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:6A:24615954:24617378:-1 gene:Dexi6A01G0016720 transcript:Dexi6A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAAIAATGSSSDASDDEADAARFYSRRRSNSPSTTPSRSKIASPDLRPSATAPSSAPTPAGAGVAAASDPDAVREGSARDQLDADAGADTGRRAPSTIPFRLGRGELSISHSDSDATAAAAGREPSPRRSRERTARLDADSDNSAATATVIITSSEDDGGGAGDASPSPEALQSSYIKASAIKPIRTRPMDLSGRDAAKSYELRTRSRPSPSGFGEHQKRASRVWSPEDEVTILSALIEYRAKKGRLPGSFQDTNEMYFQIHGRLTANVSTTQLSDKVRRLKHKYKLLSLEGSPRAHEDAGGAESNEEREIRESDEDMENGRGHHPSKKRKTPGFENGDGNATFTAGWASRSNNSGRDEAEKGKQKYPYLWAAVEELSKEHPSGPIFRKALGVLEKSKARAIEEKLRKFRMSVIRLHLNRMDLTKLSVGMVLDVLEGA >Dexi3B01G0034810.1:cds pep primary_assembly:Fonio_CM05836:3B:37503031:37504742:1 gene:Dexi3B01G0034810 transcript:Dexi3B01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVDLIDSGEKGNVATDVWVPASHPPPAAAARSNDDDGYEWTKSSNPTSPEHAGGIGGGGGGSGTTSPPFSPPPSRYLTTSLIVGLIAGDGWEHHSPTFTAAATSATSYPPSPETTSRGSTTFSTSPAAYLSHAHSASTSSSGGARGSIGRRPATTSSTTAPNANTSTAVVAFPVLVSSGASVPTTLVVLGFAPLSWSFANPKSPSLADMSASRRTLLALTSRTTLSHPSCKYSSADATSRRILRRSGHPRALEVVVAEEVAVEASVGHVVVDEEEVAAAATPTLELDEVPVTEPADGGDLGDELAVALAGLVADALDGDGLAGAREDAAVDLAEAAGAEELSLAEAAGGSPELAKPYAAAPASTTAATNAENAAARMRDRREGRDVERRGGAAAEAEAPAADWELARSPVDAEKPTYIWSRKIPDKSTGKEMSGFAGFVDELVVALAMEMLRSLAAPSGGGFVGDEAVEVDADVVAIDVPKLEVLDGVELDGEHVVGGVAVVGGAEEA >Dexi1B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:1B:10646551:10647229:-1 gene:Dexi1B01G0010810 transcript:Dexi1B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISSFLATLIAEQVIEEDNMLLALDIIDLYCKRLIEHASKLDSPQESDEEIREAIAGIMFAARWCGDLPELLLARDLLADKFGRDFAANAKEGTGIVDPMMSPVKTTSG >Dexi5B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:5B:3701153:3704272:-1 gene:Dexi5B01G0005490 transcript:Dexi5B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGVGRRRRAWRWAMRAAASAVLWTAVVQLASIAGLFRPRVLADCGGGGGGGGVGAAAGLAALAGEDSVAARLSPPALLPKTILLALELIRLMLLSTGIYKSNGYLRVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPRYNFHITYMSVEAAINTMGITSDLKAFLLQILPLARKHRVIHFEKSDTRLANNGLPIQLQMLRCRVNFEALRFTPQIEALGKKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMRYAYPWWKEKEIDSEAKRLQGFCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGERRLAALKAAYPKLFEFFVEKYMGFRKTIVLDRKKLVELLDLFQGGALSWDEFSDAVKEAHKNRMGQPTDRKIIPGQPKEEDYFYANPQECLGYNGGLRDVS >Dexi4B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:4B:10251675:10252277:1 gene:Dexi4B01G0012490 transcript:Dexi4B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAVVLAKGPTWPVPLGRRDGKVSSATEAADQLPPAFADIPLLTKIFASNGLDVKDLAVLSGAHTLGTAHCPSYASRLYDFSGAHSADPSLDSEYADRLRTRCKSVGDTATLSEMDPGSYRTFDTSYYRNVAKRRGLFQSDAALLTDVNTREYVQRIATGKFDDVFFKDFGESMVKMGNVGVITGAEGEIRKKCYIVN >Dexi3A01G0023680.1:cds pep primary_assembly:Fonio_CM05836:3A:19353591:19354514:-1 gene:Dexi3A01G0023680 transcript:Dexi3A01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPFRTMEVCLAGGTSDGDADETGAEEERLRHALLAVAPDFLIRRFAPGSFLVLFTSPCAMDVAGTTSLAMPPAVEATQLAQEVVLVANPSTERLPAAPMVSYSELVAFEEQCPVRSGHESQTTDPMLFEISAPMPKPTQQTTNTQDGNGGLQQKTTTTTVLKTYQRRARIAAAQSSDDRAALPPPTTLRGGSASGPPCEPTSTMILAQQDEVATTPTLECAKTTPNNLQVEEAKARTAAFLASVSQALQRPLADLPFRRDACTRMPAPPTPAPTLHRSDRLASQPLNSMVRASRRVRCFCRANWA >Dexi9A01G0030960.1:cds pep primary_assembly:Fonio_CM05836:9A:35846587:35847372:1 gene:Dexi9A01G0030960 transcript:Dexi9A01G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSPLRRWKPFFPAFGIVDAAIEASVVPGLSQDNVRSARGEVVELLHGVPAADARKAEELCVLLDGFMAEALLTLRAVPVEAVPRVLAASADLAKAVGSLRRHESERVRGLARDVIRGWTSAVEDDIARTSAAMKMLDDVCGTKAAAEASHPKTTNKAAPVAAVGHGQRKMENTKAQAPATNRDSRGIPAEKMEAAKRKLQQGYQEVEDAKRQRKIQEIQAPKMPEQRQRNIVHPILRERSQARSGKSTVVRRCAVSSS >DexiUA01G0012390.1:cds pep primary_assembly:Fonio_CM05836:UA:25051509:25053341:-1 gene:DexiUA01G0012390 transcript:DexiUA01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRHVKISSIEANDVALYFSASWCPPCRQLTPKLIETYKELASQGKSFEVVFVSGDKNEEAFNAYFAKMPWLAVPFSDSEGRKGINGWFKVSGIPHLVILDAKTGEVYTEDGVEFVTGYGVEAYPFTPERINEVNEQEKAAKDSQTIQSVLSTSTRDYLISNNGDKVPISDLEGKYVGLCFVVGGFGPVDQFTSVLAKIYEKLKEVGEKFEVVAVSLDSDESSFKESFAKMPWLAIPHGDKMCEKLVRYFELSSLPTLVLIGPDGKTLNNNLADIIEEHGFEAWEGFPFSAEKLDILAEKAKAKAAAQTLESLLISGDSDFVIGKDGAKVPVTELVGKTVLLYFSAKWCGPCRAFLPTLVKEYNKIKEKNSDFEIVFISSDREQS >Dexi3A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:3A:517171:518843:1 gene:Dexi3A01G0000620 transcript:Dexi3A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRASAAARCIALLLLLALAGSSSAQLSTSFYSSSCPGLYGAVKSVVKSAIAREKRMGASILRLFFHDCFVQGCDASLLLDDTASFQGEKMAKPNNGSVRGFEVIDAIKSAVEKACPGVVSCADILAIAARDSVVILGGPSWDVKVGRRDSTTASFSGANNNIPPPTSGLSNLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHIYNETNIDGAFARTRQSGCPSTSGTGDNNLAPLDLQTPTVFENNYYKNLVGKKGLLHSDQELFNGGATDAQVQSYVSSQSTFFTDFVTGMIKMGDITPLTGSNGEIRKNCRRIN >Dexi8A01G0000200.1:cds pep primary_assembly:Fonio_CM05836:8A:163229:165603:-1 gene:Dexi8A01G0000200 transcript:Dexi8A01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMREEAAAKATEDDDTRFDAGKPPPFRIGDVRAAVPPHCWRKSPWRSLSYVARDVAVVAALAVAAASSSNGWPVVIWPLYWAAQGTMFWALFVLGHDCGHGSFSDNATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPQITEKLYRKLEPRTKKLRFTVPFPLLAFPVYLLYRSPGKSGSHFLPSSELFSPKERGDVMVSTTCWSIMLASLLAMACTFGPVQVLKLYGMPYIVFVMWLDLVTYLHHHGSKDQLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVVHHLFPQIPHYHLVEATKAASPVLGGYYREPQRSGPLPLHLLGVLLRSLRVDHFVSDHGDVVYYQTDHTLSTFLE >Dexi3B01G0026190.1:cds pep primary_assembly:Fonio_CM05836:3B:21156876:21157459:-1 gene:Dexi3B01G0026190 transcript:Dexi3B01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAHEELQKAGTRATTYAPFDPRFSPPQCPRITNLSALGQLVQKNSGGSYGDDVAVAPGDVVAAGEEVADGLEEAPHLRLHRSGWSSESVGIRGEVAGEEWRQGRVFPWRSSRSMRTPHGGDPRVFGAKKEENDGVADDSSTVTDAWVPRHSGFESASVV >Dexi2B01G0024890.1:cds pep primary_assembly:Fonio_CM05836:2B:34140462:34140734:1 gene:Dexi2B01G0024890 transcript:Dexi2B01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSATMRPRSPAQARNGFTCRQQSIPAARPAGGWGSRLALGEEAMADAAASAREPEEEAEERELRLCRWRRELRCCRLGLVFVAD >Dexi1B01G0014520.1:cds pep primary_assembly:Fonio_CM05836:1B:20861064:20862314:-1 gene:Dexi1B01G0014520 transcript:Dexi1B01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGDGDAWADQEQGNGGSSRGGGGGEAKRSEIYTYEAGWHIYAMNWSVRRDKKYRLAIASLLEQVPNRVEVVQLDEASGDIAPVLSFDHQYPPTKTMFIPDPHALRPDLLATSADHLRIWRIPSADDSADDAAANNNNSGSVRCNGGAPHPGVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGGGNNPGAGDGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDMQALIWDLSSMGTGSNGGGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFANKLQILRV >Dexi4B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:4B:8249539:8250558:-1 gene:Dexi4B01G0010870 transcript:Dexi4B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSADARHWDQTLSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRSKLDEARVSEIVADAVDIEREFVCDALPVALVGMNGALMSQYIEFVADRLLMALGCRKMYNVTNPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGNAANHVFSIDEDF >Dexi4B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:4B:17340287:17340686:-1 gene:Dexi4B01G0015530 transcript:Dexi4B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRLPAVSTWQSDSCGKLAGASRGLYALQNPTDSPAREQNPPCAPPRLPLPPKAIKSSSFRFPPSPASRSRSIPRSI >Dexi1B01G0028880.1:cds pep primary_assembly:Fonio_CM05836:1B:33323010:33325102:-1 gene:Dexi1B01G0028880 transcript:Dexi1B01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLGVAAASANPPHFIHLCYKPTDGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVNNTDAEGRLTLADALVYACNQGIFTPSDELAKEVTAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSS >Dexi1A01G0022280.1:cds pep primary_assembly:Fonio_CM05836:1A:28970203:28972194:1 gene:Dexi1A01G0022280 transcript:Dexi1A01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLLVDSAAASLCATTAPRRRRAAGWNGVRFLSCSCSSRDYAGTLAWASYCPAGKMQREAKPVALMKGWRGNGHGWWTTAWEESSIVLEALEDEYGGMVVDADRLPSDTDGFARSLAASLSSWKSAGKKGVWLKLPLDRSEFIPLAVKEGFRYHHAEESYLMLTYWIPDEPCLLPENASHQVGVGGFVINDQMEVLVVQEKYSASTLLDAWKLPTGFIHASEEIFTGVVREIKEETGIDTEFMELIAFRHAHNVAFQKSDLFFICVLRPLSNDIRIDEAEIQAAKWMPLAEFIEQPFIQEDHMFRKIADICVQRLRKRYCGLTAHHVASKFDGGTSTLYYNAGETEPGDLTCDAA >Dexi6A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:6A:12609323:12611599:-1 gene:Dexi6A01G0010240 transcript:Dexi6A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVHMAGGAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRIVPDKATKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGATDVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEDSSSSKGKEKEGDIEEVEDEEEKKDSKKKKKVKEVTHEWVQINKQKPIWLRKPEEITREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFFEIAENKEDYNKFYDAFSKNIKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEDDEEAKKRREERKKQFEDLCKVIKEILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPDNGIMEELRKRAEADRNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDEDAAAEDDADMPALEEGAAEESKMEEVD >Dexi7A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:7A:20496246:20496845:-1 gene:Dexi7A01G0009510 transcript:Dexi7A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGGDRGAAVPLIADLEKHAGGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPAAMLVFAAVTALQSTLFADCYRSPDPEHGPHRNRTYAGAF >Dexi9B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:9B:10272168:10277282:-1 gene:Dexi9B01G0015160 transcript:Dexi9B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKKKMTGLLHLLVLGRILPFLSPLGAASRCRCLCGAARYLSSPLAFLAPSASAGTQRPGRRGARAMTSSSSPSRKPRARSPPDSIDLSVSLFVWVILAALSKIAASRLQKELAEWQVNPPAGFKHRVTDNLQRCYSPSLAALSAPLLTEQIPSRGHIRLLTFVHSFPCDSTLRHFVFLHIRLLTDPHCVALCCVALRTLYTGETYQLQVDFPEHYPMEAPQVTDNDRYVRNCRNGRSPKETSVMGKMAFPSCQQPLRDGTNMSGSTVFSHSPFFLFPLCSHRHGEG >Dexi5B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:5B:10635461:10637922:-1 gene:Dexi5B01G0014260 transcript:Dexi5B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPSAGARLHLLRRLLSTATEAVAEAAAPSAAAAAAANANAKAGNKGSRLLYRRLSALGSAGEGSVSRLLNKWVREGGTPRVDDLVRHVKELRKYKRHAHALEMMDWMVNARGMNMSHTNHAIRLDLIYKVRGLEAAENYFAGLPDPAKNHRTYGALLNCYCTAKMEEKAIDVYNKMDELGISPSALCINNLMSLYMKLGRYGKVDSLFEEMKVKNVKPDSLTCCILMTSYASSNKIDAIEELLKEMVEKDVSLGWSAYSTLASIYVNAGLVEKAESALKKLEGLVGDDDGRQPFDFLMSLYASVGNLSEVNRVWDVIKAKFSKVTNTSYLGMLQALYKLNDVDRMKQIYVDWESSYEIYDVKVTNMMIRGHLKLDMTEAAESLWEKAKEKGAEFDSKTCELFLDHYMGKGDIKLALSWVENMIKLTKKAGKLDQNKIHKFQKYFEEHKDADGAERFCNCLRTLGCIDGKAYESLLRTYLAAGKKSRSLRQQIKNDKIEICYDIGKLLKRMGDKGQ >Dexi5A01G0026040.1:cds pep primary_assembly:Fonio_CM05836:5A:29749931:29754282:-1 gene:Dexi5A01G0026040 transcript:Dexi5A01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSAEAGSSAAAVSASGRLLVLYASQTGNSMDAAERVGREAERGGCPAVDVLSMDSFDPGRLPSERFVVFVVSTTGQGDPPDSMKVFWRYLLRKDLGAQWLEGVHHAVFGLGDSGYQKYNFAAKKLDRRLSHLGAEQVVDIGLGDDQHPSGYEGALDPWLLSLWKSLNETNPSLLPRVSDINHPNLSILGNPKVHVIYYSSNEVPRDSVVSEPNKIIKNARSMSPALQFHDDGGPPCMLQMVTNQRLTKESSDRDVRHFELEDPSSAISYKVGNTLEILPSQNQSAVDAFIERCNLDPDCYMTIQAKTGDTISKGSLVSSQMDSIKLKTFVALTMDIASASPRRYFFEARANILNVMSFFATAEHEKARLQYFSSPEGRDDLYRYNQKEGRTVLEVLEEFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSVVSWLTPFKRTRHGLCSTWLAGLNPSKGTLSIH >Dexi6B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:6B:8077584:8081370:-1 gene:Dexi6B01G0006960 transcript:Dexi6B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDIGSDKNKEFLKNLLVAADRYDVQGLKFLCEKTLSGSLTIATVAAMFALADRHNCSKLHDSCVEFITGSDTLADVVETKGYHHLKSVLIDKGSSTLSSMGNIWSSTPTARMTSRSPPPARGVLTFEITGYSQHRGLGVGKFISSAGFGVGGYRWCIRCYPDGDSREKSKGHVGVYLELLSKNAKARAFYEFRLVDQVNDCNSTLVIGYTGKAPTLFSTRDGPDGSKNCFGMYRNESLEKTALEGSPYLKDDRIVIEFHITVIKEPCVVVKTSCSAAAAAALTTEPPHRPNLSHDFSRLLETKVGADVTFKVQGQDFAAHTSVVVARSPVLRELLSGQAREEQGADAHGHVAVNVRDMDPGVFEALLRFIYTDSVSATMAGLDTQQRSELCRSLLVAADRFDVKRLKFLCERTLSDGLDVDTVAAMLALAERHKCDALREACVEFIATTNRLHDVVATKEYAQLKASSPAVFVDLFEKAAGLR >Dexi5A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:5A:2210096:2212246:1 gene:Dexi5A01G0003000 transcript:Dexi5A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGGLPTHRGRASPGAGPIALASALLRRESRRRRALAGGAALASALLLVATPRLRHSPAHHLFADMRNFLGVPNTLNVLTAYPLLLAGVPGLILCLCGSGCFGVSLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPVSEILNLLSCCHLLIFCLLVLGLECKSMMISSSSLLSILVIERVAERVGLSCLISLLSLIFVSSACERVLDDMRLWVILNFVPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLAKFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNIKIARYLHEQVFKMIDAFE >Dexi7B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:7B:10817565:10821446:-1 gene:Dexi7B01G0004470 transcript:Dexi7B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYGPHLNNNSEQVFDFMGESMENDVRQLINNNNEQVLDFMGGIMQNAGHQLMNSNEQVLGFMGGTMQNDARQLMNSNNVQVLDYMGDDTSLNYSVEYDVPALLGTEDQHDVGSNQRGNDEDRHSQSGPPPSKRKKHFSVHQVHELEALFKACTHPGPEARKELGERIGLDERQVKFWFQNRRCIVKLKACGEENKDMLEENTKLRAENIELKKQLLMDPTCLACGNPTGTIQTTSDKWLLLSENARLKDELLRAKDYLNMIRGSQQHPSMTASASNDLVPPTNQQVTFVSHADRALNEFVMLATKGQPMWLPTIDGEVLSDQEYDLHTFPGILGVCPRGYIVEATRDTDMIKATAIDIINVLTDVAQWSGLNDSVECLQIDAEFWVQSPRLPTRNMKFLRFSKMMENRTWAVVDVSVNGNHGVEQESSGTSYMGYRLLPSGCLLEDMSGGFCKVTWVVHSEYQEATLPPYFRQFFHSGQAFGARRWLKSLQRQCDYMAVLLHSSINVPTSSSSSSAGTTMSALGKRGVLELAQRMTASFYSAVAGPIAVPATNIVDQLCVGSGTGAKRLEVGVRMVTWNCAEVMPGEPAIMALSATATVWLPGTPPQRVFEYLCNLQRRGAILTVAFQEMLSKGNIDAETFDSAGQKLCHAIKKIRNAVGANNVIPV >Dexi9B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:9B:11067610:11075935:1 gene:Dexi9B01G0016180 transcript:Dexi9B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAQADAAAVLGADPAALTALLADLTSPANEARSRAEQQFHALRGSHPDALALSLAHLLLSPAHPSAPMAAVLLRRLIAPSSQAFVYPAMSPATQSSLRALLLSAASAPALPRSVSRKLSDAVAELASFLLPANAWPDLLSFLYKSIDSQSSPPGLQESALNILARLASHLAAVFPNLHGLLLAALSHPSSADVRVAGLNAAISLIQSLPSAGARDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGSEPRFLRRQLPDVIASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRIAIAVGGNTILPVAAELLPSFFSSEDWKRRHAALVTIAQIAEGSAKVMIKNLEQVVGMVLNSFQDPHPRAHAASAILNFSENCRPDILTPYLDGIVGKLLLLLQTANQMVQEAALTALASAADSSQEHFQKYYDAVMPYLKAILMHATDKSSRMLRAKSMECISLVGMAVGKQKFKDDAKHVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVSVTSAGPEDRNGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVTTTLVPLLKFYFHEEVRKAAISAMPELLRSAKLAIEKGQAQGRDKSYLKQLSDYIVPALVEVMHKEPEPQICSSILESLNESVQLSGTLLEENQVRSIVEGVKEVIVASANRRIERTERARAEDFDSEEEELLREENEQEDEILDQVGDCLGTLAKTFKTYFLPFFDELSMYLTPMLGKDKTSEERRIAICIFDDVAEHCREAAVRYYDAYLPSLLDACTSENPDVRQAAVYGIGICSEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICRFHRDSIDASQVIPAWLSCLPIKNDLIEAKLVHEQLCEMLEKSERELLGQNNQYLPKIVSVFAE >Dexi1A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:1A:1560465:1568771:-1 gene:Dexi1A01G0002360 transcript:Dexi1A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSPAMASQHPPPRQELDLNAFLPSSPTSSAASDADAADADHRRAVDDLLLLLSSSDSDSEEPTPTPIPSTSGRALGRVQAPAPPAEASPLRSPPASPSPRRSTSASPSETLSSLVARTFSGNGASSSASKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSVSAPVEKLLEEASGSEVSEELPSTGSLEAEVELEEKGNSEVVPEPTEQTASGSGTDGFDDEKHGEVEIEESSETMKLVEVSTLDSVVADDFSAHEQTAEDGNLAETNQSENQTAVVYEENAYDQTGDVNYAQSTQSMDPIGYVSEESFNDEGKGDESDSIAEDQVGSESLIDKVIEERMEQLEISRKAEKNAEKRQKVSMKPLELAEELEKRQASFGQHWEEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMSSPSFRPDHGSPQVLAVHRSYIAMGTSKGAVIVIPSRYSIHQADDTDAKMLFFWNQGEKTQSPVTAMCFNQQGDLLLVGYGDGHMTIWDVQKATAAKVIYGEHTAPVVHVCFIRQSKAITGDSKGVVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGFSNSSALGNQTTSSSGGLGSMMGGVVGGVVGVDSGWKFFNESSSTVEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKCTSSLNDSTSSDEERVSWLALAWDRHVQVAKFVKSKITKHKEWKLDSAAVGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTTFVPDGLLFDDTILHHSHFSNRFGNPERHFNSSVAVRGATVYILGPTFLTVSRLLPWKERIEALKRAGDWMGALDMAMRLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYISYVFEYISIALSNHTGKGGDSDVLIEADRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFAAAQSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVVQNSNRKDAASTGYRMLVYLKYCFQGLAFPPGHGIISRSRLHSVREELLQFLLEESKSLTSEVFKGFHAACGKCPNICYLLWMDTEATLEVLKCAFTQDSFDPRDESSTIVNASVSEDEDGIIAGNTGIQNNMVQNILDAIIDIVGLENEVIRSVVMGTAESEFWPSEKEFGYLIEFVSFFVSHKRAVASKRVVMHILTYLTSSYDDTRARTQKEKEVLQLFNAVPRNDWNSDFVLNLCSDAHFHQAHPVLDALHASIGLCQRNSQRLDPEESQSLWFQLLDS >Dexi2B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:2B:6492300:6492763:1 gene:Dexi2B01G0006560 transcript:Dexi2B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALLDFKAKISSHSGLMASWNQSTSYCSWHGVTCGKRHRQRVVILDLGSQGLAGTISPAIGNLTFLLSLSLSNNTLHGEIPPSNGALRHLVIIDLSSNNLTGVIPAEIGNMPSLSEIYLISNSITGTIPSSLGNLSQLAVLELVSNYLE >Dexi7B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:7B:24337641:24339703:1 gene:Dexi7B01G0018700 transcript:Dexi7B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCVCVWLASEATSKGRESGIESVEAPGRVLETVQREGAAAEAHARVSGAKARSYAQLRESETTTLWGPARGVAVVDVTPEWETARATATLVSGGFGRPDAQTAGSQEEEEEEPSTGGQPPRLNASRPRGVAVWPAGGQLEATSVISRVYTLVGRITDECCCLVLLLLVVRRFLFADTVMWVFGFRPSFPSVRHDTLCDRIGGNLQLTAGDQCSERILS >Dexi1B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:1B:26754268:26754924:-1 gene:Dexi1B01G0020630 transcript:Dexi1B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLYSFEEVSKHSGRKDCWLIIAGKVYDVTAFMEEHPGGDEVLLACTGKDATTDFEDIGHSNSAKELMPQYCIGEVDAATVPAKRIYANSDAGTKNNAATTSGGAWGMLLRLAVPVLLLALALAMQSYGKAKAE >Dexi2A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:2A:15674608:15676786:-1 gene:Dexi2A01G0013070 transcript:Dexi2A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDEPETVEVTLRAVGPSRPTTLRLPPLLSVAELRRRVSRDRRLSATEEVRLHLVLRGRTLPHQDDAHVSLRDGDTLIVAVAPKPPAKHLRDDDDEEEEEEELILGTGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >Dexi3B01G0022910.1:cds pep primary_assembly:Fonio_CM05836:3B:17623817:17626421:-1 gene:Dexi3B01G0022910 transcript:Dexi3B01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQTEDAIVAGIAGGHGTEKEARAMGVSGDEQRRDGGFNMKALLWHGGSAWDAWFNCASNQVAQVLLTLPYSFSQLGMVSGVLLQIFYGLVGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDALLGPYWKAAGLVCNCTFLFFAAVIQLIACASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVCSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPNKLVLYFTGATNILYTFGGHALTVEIMDAMWKPRKFKYIYLLATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTRWRDVAVVLMLIHQFITFGFVCIPLYFVWEKMIGMHETPSVCLRALVRLPIVVPILFVAIIFPFFGPINSTVGAFLVSFTNAAEKPPFFVRGWTGMFVVNVLVVAWVLVVGFGLGGWASVVNFIKQISTFGLFAKCYQCPPKTHVPTTTGPASPRD >Dexi4B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:4B:7717357:7718445:1 gene:Dexi4B01G0010380 transcript:Dexi4B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVVAAVFLAVAVSCAAAAAAAALPMFAEAPEYRNGEGCPAAAAGVCDPGLVHIAMTLDAHYLRGSMAAVYSLLKHASCPESIFFHFLAAEAVGVDPGPELLRRAVAASFPSLRFEIYPFRAEAVAGLISASVRAALEAPLNYARNHLAELLPRCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFTRYFTPAFWSDPGLGARVFAGRRRPMCYFNTGVMVIDLRRWRAGNYRQRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIPSDGNAASPASGPALSASLFSW >Dexi8A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:8A:1597135:1600975:1 gene:Dexi8A01G0002410 transcript:Dexi8A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGVVRACAPPAAASLSREAAAQRKSSRTARVLVLGGTGRVGASTAAALSNLRPDLTILVGGRNREKGESLAAKLGEQSEFVQVDTRNATMLEKALQGVDLVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKDAGVQAITTAGIYPGVSNVMAAELVHAARSENGEPERLRFFYYTAGTGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALGIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPAEFLRDRNMVQKLVESVDPLVRAVDGIAGERVSMRVDLECSNGRNTIGLFTHKKLSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIAMEARKLLLERASQGTSNFVMNKWPSWMVETDPKEVGLGIYV >Dexi7A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:7A:1209631:1209984:-1 gene:Dexi7A01G0000430 transcript:Dexi7A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLAAATTVTAVSSSARAAQKVGACEDIKTMSPYVEEIGNWAVTAFNELTDEKLKFRGVVRGCKQVVAGTNYELDVETEGPASASYLSGSYLVKVFDPLPSSTEGRQLKKFVKVLL >Dexi9B01G0024550.1:cds pep primary_assembly:Fonio_CM05836:9B:20912488:20913033:-1 gene:Dexi9B01G0024550 transcript:Dexi9B01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTLPPSPSLRTVAKREIDGVEATTHTPAPPPRKRRRRGGRLPATPTQQIPLSLSPNLFTPQTIPSGASGDASLAGLTPTPASSTFKRELGAHTDVETRGRAAGKESRKRGIHPGARPVAAQPPILWLNRRRLGRILRELSGAHRWREAAGVVSTYLQGTGRPGSFEETRSLFVVSNHA >DexiUA01G0006720.1:cds pep primary_assembly:Fonio_CM05836:UA:13017435:13021544:-1 gene:DexiUA01G0006720 transcript:DexiUA01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGWLQSSAAAEFQVQALVDMKMDLNDNNDVLSDWKDNQMSPCYWDHVYCEDNKVITISLSSSGLTGVLSPSIAKLTTLQQLILEDNSITGGIPQEFGQDDHRLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLSGPDSIKIAVKRLLNVESRDGELAFLTEVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVTLLEIVTGERAIGFYPEAGEIMLIDRVKLLMERGQLQDIVDCNLGDLYNFEELEKITQIALLCTQMEPDHRPAMSEVVQMLEGELVPAERWEEWQLAELSRRQQYEMRKQRQPFSFSEESLNIQEAIELSTGR >Dexi4A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:4A:6360970:6361962:-1 gene:Dexi4A01G0008500 transcript:Dexi4A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRTKPLAFLPMSIRFVLAVFVMLSQQGGAPRCAAFNPRMLFLVKPDPIVLQDHGGALLTGNLTVNLLFYGRFSPSQRAILADFVCSLSSPPPRHHPTTTAPSVASWWRTTSLYRGGGARLALGRVTTLDDEHMSLGHGGPLSMSNVTALARAAGHHRGAITAVLTAADVAVAPLFCVSRCGVHGRDLGGAHGKSRYTYVWVGNPAQQCPGQCAWPFHQPLYGPQTPPLVPPNGDVGVDGMVISLAALLAGTATNPYGDGYYQGDAGGLEAATACAGIFGSGAYPGYPGKLLTDRVTGASYNAVGVNGRKYLLPALWDPTTSHCKTLV >Dexi1B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:1B:23292369:23295276:-1 gene:Dexi1B01G0016860 transcript:Dexi1B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPEAAVRQLTTTVNVIAPPPPPEPTASAPSPVIFSVVLSRREEPCAVVPRFFYALRFTRGQAHPGP >Dexi1B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:1B:7037711:7052100:1 gene:Dexi1B01G0008420 transcript:Dexi1B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRVQLPPRCSAAAANAGSRPLGCARFAPARRRDPRRGWSESAWIWRFPAPCTDVIAFFVCLWWRLLGDGRAAAAAPVGYQGNHDAHSLGSIWFSAFVRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADNEIADGDRLPLAVKELGSCDLYPQAAAAVGVAAVVGPHPGPPCQAAAAEAGGQGVAPAPVPEEEEAAGGAGQEEEQGLLLPLRRRLKRRREDCTRRREEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLDMAEDCLLHATDLSGLLLLYSSLGDANGITKLASVAKEQGKNNVSFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPDEYPNLFDDWQIALNVEATVAPKRGIYPPAEEYLNYAERSNESLVEAFKNMRVHEESLPDDNEDTVDEVVEDGGVEESQEDAVEVDAEDDGVEESQDDAVEVEAEGSTDGAVLVNGNSSEEQWGTKNEEPSA >Dexi2B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:2B:922329:933239:-1 gene:Dexi2B01G0001420 transcript:Dexi2B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMHPRLTHLAMEIVKMLGNFFISANGTARLLRDNLNVHFWCKVWLDTCALWKDTEKLENACGTNRLESMHLQLANSMFGRQMEAQLVINVLLHTQPNRDEDLEVLPIVGPGQVGKGTLVAHVCKDERPTPDGKRQGHFAGVGRAEKARALGEGQGPRGQQGRHAREGAVDSRSGLSMGRAGNGHAVERDWCGVAASAPRRKALLSELTSRSLNFIFTKFSKPTPVDVEDRLRRVLLRAQVIAGEAMGRHVTNQAMLLQLGMVRDAMYRGYYMLDSFSCQPNDEEKKDKAVRSSSSLSKTLTFESMDPEMHPRLTHLAIEIAKTLGNSSIIAANVTARLLRDNLNIHFWCKPMPLDVEDCLSRVLLRAQVIIDEAMGRHITNHAMLLQLVILRDAVHRGYYTLDNFRYQPHDDEGTIDQAKMRFQR >Dexi1A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:1A:214976:223517:-1 gene:Dexi1A01G0000330 transcript:Dexi1A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASPAPPTTVRRNTPRRARPPATPKPSSLARLLDDQAEDAPPASVPPPPPSHEERLNVFLRIRPMPDRDRGKAPTRSIPAKDPRRKPRQAPAGPGAGDPCLVPTGPNSVALTVPHSRLVDPKRGRTEVFDGFSAVFSPGSTQHDIFARVMNPLLDDFLGGKSALLVAMGPTGSGKTHTIFGSPRNPGILPLALRQIFNAPHGNNAATKPARSFSLSMFEILSEGKGERILDLLSDAVECILQQSAIKGLQEVPISNFADAESLVSRVYRAFLIVATNVMFILYYSRSQCIITIHHPSSSAVLTIADLAGAERERKTGNAGSRLLESNFINNTSMVFGLCLRSLHEHQKNQKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILTVKSADDDYLDTSFLLRQASPYMKIKYTSLEDSSDLVSQKRSNASLICQENKKKRKVEKPEVLVVQITVELPMLNERKTLIQIILLKFLQKESENAAGSMKELIRDQDIQIMELKKELELVEEVSKEFTCDGPENSSTPFSLKGECVSCDTSSISFIAEQELSSSGLKSRSKDTQDEEAAEKERETFASRPRDTKKTRRRLQPAASLMLKEFTGVDLDVDAKR >Dexi2A01G0037310.1:cds pep primary_assembly:Fonio_CM05836:2A:46884612:46886243:1 gene:Dexi2A01G0037310 transcript:Dexi2A01G0037310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREACLPPPPPLPLATLIGRELRAGGSERPTLRYGHAGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVYSKEHLLEHVISALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGGYIDESLLLSFHLNQSITYSTSLLAGEVSGTTATLVVIDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQIKLSNAGGRLIIASDGIWDALSNEAAAKACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHLTSPQLSPKKNQNKLKSLFRRRSHSSVGKLGGKSASIGSVEELFEEGSAMLEERLRYATL >DexiUA01G0027030.1:cds pep primary_assembly:Fonio_CM05836:UA:57573212:57574099:1 gene:DexiUA01G0027030 transcript:DexiUA01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding QISESKMVKLAFGSVGDSFSTTSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKDAALDPAGLVAVAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVAGISAVEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLVGGGLAGLVYGDVFIGGNYQQVSDQDYS >Dexi2A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:2A:3772193:3773139:1 gene:Dexi2A01G0004200 transcript:Dexi2A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGDGRGSAAGKPRKRQLVMESSDSEADEYCISTRQNAGAAASVGNAGASSRGDGDQSGEKAVAVDSDKVSEVKSTAGGASEKHKGVELERSNPQPVAKKIRVEAVHGSGSGSSGGAAKGGTGGKMLPRGLPTWRFEKPEVRGGRVLDEKDGVDVMKASSASKVKEPVSSLDDKRRRVEQQKHEKRTPLKADQGKSVDSGQQEVIRLQGKRGVLRILPKSDKLARDTGDDKIIPKKDEVDGEAGNGKILPPNIKADEKTGDGRIPTKRGVLKLLPKNKGMMTETNDGKHLPKNIMGG >Dexi5A01G0032500.1:cds pep primary_assembly:Fonio_CM05836:5A:35022663:35024757:-1 gene:Dexi5A01G0032500 transcript:Dexi5A01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQRWLRELRDHADANIVVMLIGNKSDLNHLRSVPEEDGQAFSEKEGLSFLETSALEAVNVEKAFHTVLSEIHQTVSKKALAAQESASANGRSMQGTTINVAESSTPAK >DexiUA01G0003130.1:cds pep primary_assembly:Fonio_CM05836:UA:6456309:6457691:1 gene:DexiUA01G0003130 transcript:DexiUA01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACARLMDFRLRGSLPSLEELDLSNTSVKTLDFKDEVVQVPHLQRLILLGCEKMRAILWPKAGIPKLMVLRIDTRGGTEEARSKKQSDDYSLFTKEHEDRCHAHVSVTDMRFLQSLLLASGDKFCWNTARFKLDLYLSSASNEKGQQNYRKQKMIHKSYRIYSVINFDKANGNHDGSSVQKFQPLDFHIDFGEEISNANVATENGNRAVRFLIDRVKSLHVHDNFSIDTVIPGSMVTGESWSGLKCCSIERCPRLNTVFATNNAFCFPELETLWAANLLMTRSIWSKGWTSPLHPGKLKVLHLHLCPRLTFILPLSWSQTLSSLETLRITNCGDLSQVFPVEAEFLKKPSSSGHQRGELELPKLNHIYLHDLPKLHQICGVKIFAPVLETIWVRGCWSLRRIPATADRAHGRPVVDCEKDWWENLEWEGKKAHHHPSLFKPRHSKYYRKKNLLRGTVLR >Dexi2A01G0034120.1:cds pep primary_assembly:Fonio_CM05836:2A:44198202:44200791:-1 gene:Dexi2A01G0034120 transcript:Dexi2A01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIALLGPCVPGGDNDDTFTAEKSVGWWTIYAVLLLAVLMVTIIRMEFPGIIKLADYALGDKQECCRRLVLSLCMIGAIGVVSFSFSLDLYDRIVMISAEVCTILFVSFGNFQIPGAIVRVVLPLIRLIQHNYNTPCKENAASSTASAPAPSDDNAKANLAPSLGIFYGMVLGQGALYLVACGLEVFSFIPRRCLVRRAGFRGQWGVDSVKMYYKYAMQKCMERNVLASNVLAPEISLCNFAIDSLNSDSTKRQLHGIRIVHILLQRDPATRTRLLVKLTAETMTRLLRMLDWTGQGHETIRLVAVKVIDELARDLLIVTVPGRVQNVSLLLVCADGPSSTDGDRFLRKVAGQALAMLAMGNVDNCRAMLREDTGYSIVKELRSMIQVARYRCVAASLLRSVCMLARPELKEEDLEALSRSSQEVLERILREEGAELEIFIGLSSNIYKAIPEEFTRDLEYSQIKETFVKRLVDALNANMEPDADCPGIRRVILEQAINLMECDSRNVKCFHDHRMMEALSMVESTMSEAENYSIFLGDVGLMEAGEPLSSLVARAKQLLAAVR >Dexi5B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:5B:18947582:18948451:1 gene:Dexi5B01G0017370 transcript:Dexi5B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKWLKKQIEQSLREWREQFLRYKELKRCVKAVPCGCPPSPAAEAEFVAALDAEMERINSFFLEQEEEFIIHHRELQEDIARALHRKAAGLVTPEQHEAAVAAIRREIVDFHGVMVLLLNYSSINYIGLAKILKKYDKRTGAVLRLPVIEAVVEQPFFETETVSQLVRECEAMMEAVFPEAPEGQAAARRDREALAAAEAEQSIFRNTVAALLTMEDVRAWSSTRGRHSLPPLNLPDSDWLRSFQMASGSPIPTQ >Dexi9B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:9B:15119693:15122994:-1 gene:Dexi9B01G0020380 transcript:Dexi9B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPMLTLTLLAYSAALLARLLVSRARRRRCYLLDYVCYKAADDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNMIEGGEARPDRLREAMEEMDETFHAVLDELFARSAAPGGVGVRPGDVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNNFFRTHAGQVALVMTSESIAPNWYAGNRRSFMLGNCLFRSGGCAYFLSNDPRLRPHAKLRLRHVVRTHTGASDESYGCALQMEDDAGRPGFHLGKDLPRAAVHAFVHNLRVLAPRVLPLPELLRLAFATLSARLRSRNKNKQQQRGSSSANHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLQLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKGRLRKGDRVLMLTFGAGFKCNSCVWTVEKPATEAGVWKDRIDQYPLKDVSNPFLEKYGFVKDMMNL >Dexi5B01G0029430.1:cds pep primary_assembly:Fonio_CM05836:5B:30671520:30674660:1 gene:Dexi5B01G0029430 transcript:Dexi5B01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRAPTLSSHLLLILLIAAAAFITRSTSTTDTIDRNTTLTGNQTILSAGGVYALGFFTPDGATDGRTYLGIWYASIPGPTTVVWVANRHDPVLNPPASLHLSASSAGARLVILDGNNDTVWTSASPTTSGNITASAAAQLLDTGNLVLTTDGSSAVAWQSFDYPTDTLLPGMKLGVDIKAGITRNITAWRSPSDPSPGNVTFKLVIGGLPQFFLFRGTERIYTSGAWNGDILTGVPYLKAQAFTFQVVYSADETYYSYSIRDPSLLSRLVVDGAATQLKRFSLNNGAWSSFWYYPTDQCDFYAKCGAFGFCDPDRSPICSCLPGFVPRSPDQWGQHDWSGGCVRNTNLSCGDVGGGGGGDGFWVVNRMKLPEATDATVYAGMTLDQCRLACLGNCSCGAYAAANMSGGGAGVGCVLWTVDLLDMRQYPIVVQDVYLRLAQSDIDALKTAADNHQRSHKSMLIIIVVATISGALLLLGALGCCILLTKKGRKKRESDDMAASLPPSTSGDFGLPYRPRIHQSQSPSQQQLADVSEEMGYNDKDVDLPTFSLEVILVATNNFAEHKKIGAGGFGSVYMGSTQGAREFMNEVKLIAKLQHRNLVRLLGCCIDDNERMLVYEYMQNQSLDTLLIWQKRFDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDKNMIPKISDFGIARMFGGDQTTEYTRKVIGTYGYMSPEYAMDGLISIKSDVFSFGVLVLEIITGKRNRGSYEPELDCNLLGYAWMLWKEGRSLELLDEALGGNFHHSRVLRCIQVALLCIEAQPRNRPLMSLVVTMLSSENAVLPEPTEPGLNPGMTTSSDTESSRTRSATANFLTVTRLEAR >Dexi8B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:8B:6883540:6883851:-1 gene:Dexi8B01G0006050 transcript:Dexi8B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKVLGTCTQEQKEALLNDCQKFIKQGHENPRPIPVMGQTCCNAVNKVPKKGTRIDMQCIVDLLTEDEKTNHNARKILNLPNHCQE >Dexi1B01G0001290.1:cds pep primary_assembly:Fonio_CM05836:1B:991836:992284:1 gene:Dexi1B01G0001290 transcript:Dexi1B01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQADGSYACVAESEARFTLGQAKEELLRVIGLQEEEGSSLEFLRRGYKNATWWEENVDQETSAAWRT >Dexi5A01G0032480.1:cds pep primary_assembly:Fonio_CM05836:5A:34994882:35000091:1 gene:Dexi5A01G0032480 transcript:Dexi5A01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGAVAMEAADSTRSFVRDVRRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYELILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMDFDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFALLDLKVIPLFNENDAISTRRQYEDPSGIFWDNDSLAALLAVELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNQKHGRLISFGEKSCGGRGGMQAKVAAAANAASKGVPVVIASGFATDSIMKILKGEKIGTLFHNEANLWESSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADIEAAQVAGYEKSLIARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQVITGVIPDAVGKKLVGLVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHSDGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNKSEGLDDLLVELEKEG >Dexi1A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:1A:3306799:3307264:-1 gene:Dexi1A01G0004510 transcript:Dexi1A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASLPSSPSSNRADVEEQIQSLAATISSASVTIETIVDGLSKLGSIYSSLMSSFAFPATNEELERSLVLLDLCNIMQESFSELRTSVQEMQLALKRGDVVAVQAKAQSYARSVKKTQKQFKKIINKIVLDTDTCKVAIV >Dexi6A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:6A:7156913:7157448:-1 gene:Dexi6A01G0007200 transcript:Dexi6A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKDAAALGAPGGGGDRLGVLPDRILFRVMGHLKAWEAVRTSMLSRRWRDLWASASRLDIRQPCTCGNPIYDHDRTLEFAKFFKNLLLRRRPLGLLDSLRLCWSHKAVDGDASTWIAYAVRHGAEAIELSGEHDMWYPSPEYMSFIVAGDDLAKNPLL >Dexi7B01G0021330.1:cds pep primary_assembly:Fonio_CM05836:7B:26414362:26416926:1 gene:Dexi7B01G0021330 transcript:Dexi7B01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVARAAVAAGALPFGRAHRLGSGRLSLAALPGHGALGLPGRRDGTGRGGVLGVAFTAAVLAFRLAMEVFKIAQRPMALVGSPTDMLLEETFNTAKDCMVIVEFLLVKAPVHQDIVKELIPAGHSFLIVESQAKEGYLKAETVVNAIHALKVVANTFFRDAKFPEYYVPVVPLSSDDIDRLFLQGAHWLVHCVLHRFILGGSEEVPVRHRRGKLRKDQKVALSRL >Dexi8A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:8A:1710286:1710739:-1 gene:Dexi8A01G0002610 transcript:Dexi8A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPIYEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi2A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:2A:9012985:9016327:1 gene:Dexi2A01G0008950 transcript:Dexi2A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMELNILERIVAGSEEPSHLDLPLLRSITDNFSKNKIIGVGGCGQVYKVNALPIDRDKVEITVLSTRVDGSLAAMDIAGDMFIDEDGKVVDEVNVMVVQGSGRVG >Dexi3B01G0021410.1:cds pep primary_assembly:Fonio_CM05836:3B:16249986:16251074:-1 gene:Dexi3B01G0021410 transcript:Dexi3B01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIPPAATLAKNYPTLLHPFGANKPHPQRLIFRCGATSDGADDAWGSFLDELKSSLQVDPPDTVPGGAAGAAGSTPTPDDLVTALPLDPSSAGPAIGDTTTNAAAATAVNDLLGMDASSSDAAAAGVPDGLLSALHLDASSPWARAAGGAVARLDALTAGLSDAQRWALVGFLGVAWLYLTARPGVLSGAVDAYVLAPLQRALDTVLGRRSLKMSDFVLGERIGEGSFGVVFFGAVVPKNGAVIEERSGRARTTLQNDDRYKEKVILKKIKVGTVGAKECGDYEEWFNYRVARAAPETCAEFLGSFVADKTKSEFVKGGKWLVWKFEV >Dexi2B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:2B:2105713:2107351:1 gene:Dexi2B01G0002540 transcript:Dexi2B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPFPFPSPKLSGFDKPPFGATVAVVYLVYRCLNDHGLPSINIKTTAGVRRGGAAAGTAELTPLLPDSAIRGTTIEKFLNEIAREKPIQFTSEQLDRYTQQRSAELGAGGYGVVYKGMLPNGLDIAVKFLHDHMGTDATEQQFMVEMGSLWRTNHANLILIGFCFDSGSHRTLVYEFMAKTSLDKYLFDRSHRVSSPSTLLAIATGVARGLRYLHEECQKKIIHYDVKPGNVLLAGDGEALTAKLTDFGIALLLSRADTQSRTRRCTGYVAPSGSSSPVTEKCDVYSFGMLLFEIIGRRKNMDNDAVEEDHRWLPLLAWTKFDNGELVDLVKEWRSVSDGEEEEERWKETAERMCKVAFLCVRELLEARPTMSMVSSEVS >Dexi7B01G0004250.1:cds pep primary_assembly:Fonio_CM05836:7B:10391530:10393372:1 gene:Dexi7B01G0004250 transcript:Dexi7B01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGTQLCSSRVLSLPRDLSGDEELSVLPQHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDIDGNNSFCSSSDMGEKDMDYSSIEYHKPTKPRVRHTKPWSSCAKPSNRGNVQSTSKLRAKVNLRKLGTPTLWRYWKHFNLVSMNPNPSKEELFHGVQQHFQSQVILDFIQTAKRLNVAW >Dexi2A01G0028490.1:cds pep primary_assembly:Fonio_CM05836:2A:39789331:39790413:1 gene:Dexi2A01G0028490 transcript:Dexi2A01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRDSDEHRRCVAACIVNGIYIMESDEPSLAPAWWESFGFRLLGAPLTFKCECVLCLTKTKLFAHRPCSIYGAILEHVMSPPGAPRRHPSAPRYVVAFRGTMPRHPGDMHLNLKILVNKQRACGRFRDARDKVAVFLDSIAAAAAPNGRSDAVWLAGHSLGASIALDVGRDMMARRNNGWWNLPAFLFNPPQVSLAPSMLPHTLRRVAKGVIYPTSYAAKAALGTTVLRTHERDMDTLFDTLAPWVPELYVHERDVICQGFIDYFEQRHKMLNRWFRPVAEVAMKLSLRDMIISLSTSGTESGEDQRVRPHLLPSARLWKNSSYHDAHGLEQWWRPDRELRLSSRRYSDHGAEAEIFYG >Dexi1B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:1B:4456998:4457385:1 gene:Dexi1B01G0005450 transcript:Dexi1B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTNGAGKVAIAEKIGGDQCYSVYHSNTFKGPCVTDPPCIAACAREGRPGGLCFFDVGHRVCICTAPCPPPPATTSSRGDTV >Dexi4A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:4A:8921816:8926273:-1 gene:Dexi4A01G0011040 transcript:Dexi4A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESGRGAAGARKKSWRSELVLAYQSLGVVYGDMATSPLYVYKSAFAGGDIEHSEGNEEIYGVLSLVFWTLTLITLLKYVLLVLRADDDGEGGTFALYSLICRRVGAGLLPSSAGAGDDPMEQRGGAPPPVPASSVRAALQQRRELQWFLLLFALLGTSMVIGDGVLTPAVSVFSAVSGLKLSMVNEQHQYVLLPVTCVILVGLFALQHYGTHRVGFLFAPIVFLWLLCIGVIGVYNIIYWNPHVYKALSPYYMYRFLQKTQVGGWMSLGGILLCVTGFRDTKHMANAQGLAVITVMIVTTCLMSLVIVLCWNKNVVFALAFLLFFGAIEAIYFSASLVKFHEGAWVPVILSFIFLTVMCVWHYGTAKKYEFDVENKVSISWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVSLPHVQPEERFLVGRIGLKQYRLYRVVVRYGYRDVQQDSLKFEKALVSSIAEFIRSGDSDQNGYLDGSDSPYEMLSVIRKGLPFQEDAEPDGSESSTHKETNLKLVSSRSKRVRFVLPENAQINSEVRSELQELTEARETGMSFIMGRSYMKAKSGSSLIKRIAINFIYEFLTRNSRGPAYAANVPQVSTLEVGMVCQV >Dexi3B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:3B:9947888:9950738:-1 gene:Dexi3B01G0013870 transcript:Dexi3B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAERVVAELREACAAPAARLNEVAAAMEAEMRAGLREEGGSKIKMIISYVDNLPTGNEEGFFYALDLGGTNFRVLRVQLAGKETRVAKRESKEVSIPPHLMSGNASELFGFIASALAAFVADEGRNQLFQGKQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQTAMEKHGVDMRVSALINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKFEGELPKSGNMVINTEWGNFSSSCLPITEYDQALDDESLNPGEQIFEKLISGMYLGEIVRRVLLKIASQSTLFGKVNHTKLKTRFILRTPDISAMHHDETPDLKIVAEKLAENLKIKDTSLETRKMVVEICDIVTSRSARLAAAGIVGILRKIGRAVPGDERRSVIAIDGGLFEHYAEFRQCLESTLVELLGEEASRSVAVKLTKDGSGLGAALIAAAHSQCQH >Dexi2B01G0031290.1:cds pep primary_assembly:Fonio_CM05836:2B:39438913:39443689:-1 gene:Dexi2B01G0031290 transcript:Dexi2B01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARMRGGGAAFADDARELDRQMGCMAGIFQIFDRQRLITGGGRRGGRQAQKRLPPPSSTPDNTVPKSSYNVAVQSSSTSKIVLEKTFSKCTTENSSLSIESSRASSSSSSCSSFSSLDGNKSVQQELPDINEELFVQRSLKSSPSLKETERNSKSAHPNVGFRDIVKDSINRDSGGLTVKTSVQEARRNGQYKDSPRPLLLSKSMDGTCIIGIDRSTKVPATVTESNRRFQEQSRFSCDDRRLLRPAETQENRKPSSRLKELPRLSLDSRKESLSPSSRQKNFSYKRTDDILLDTLRPQDSPSHRRANSVIAKLMGLEEATNATGVLTADNHETSRSPRPAQATQHEHPSRSPRSTCQDSHMLQLKNDSSVLKTKPASRILTEAAPWRQQERSAKNIKAQQCREAEPGTRTASVYADIERRLGSLDFLECNNKDFRALRILGALNAKDAKSQNNSNAGSVAVQRIEYDLTTDPGRFQPPIVVMKAARTTDKPGVSLASVAPIAGLRSLRKLPARDSSSTGMNDKIHLRVSRAQSKSEEPVSSASSPRPTSSSSPRNLQKKAESERRSRPPVSPKSPSKKSNEAVSPEGRIRSKPSQVKSHRDEVLPSTGSRISLAKQVDFSIMDCPKHPGVNSSVAQPCNVATTSSFKGPSILGSDQNIHSQDNIPSPVSVLDTSFYHKRISDSFKDGETHSSDECWNPNSLPDTPQSKASSEVSQIKPENLEVLIQKLEQLQSINEEAANIKEVMASVTANKDHQYIYEILVASGLLHEEISFTALLGQFRPSSHLINPELFIILEQTKADIISEIQTVSGAKKFSKPCTEKLHRRLVFDMVNEIIAQKMNICKSGSQPVKFLQSKKLSGWQLFKDLCTEVDRLTKCPEEDENENMLAVADEVDGTKDLMSFDTVLHGMVLEIERSIFKDLIAEVIDSGATEKMQIGQWKLRRQLSFSSVN >Dexi7A01G0023370.1:cds pep primary_assembly:Fonio_CM05836:7A:31367841:31368201:-1 gene:Dexi7A01G0023370 transcript:Dexi7A01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRYPSAAAGAGGGDEDELGGGGGAAAGSIIRHNRRCRDIAFLVLFAAFWVAMIVNSSFGFNQGNPLSAR >Dexi1B01G0027170.1:cds pep primary_assembly:Fonio_CM05836:1B:31965260:31966991:-1 gene:Dexi1B01G0027170 transcript:Dexi1B01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAANSHGRALHTRTTSSRALTGWGRRTLAMAAHHANSPRARVVVRASAQRATWLPGLDPPAHLDGTLPGDYGFDPLGLGEEPAALKWYVQAELVHCRFAMAGVAGILFTDLLRVSGIKDLPVWFEAGAAKYDFANTTALFFVQLLLMGFTSRGRNIHRARGCACRFTARGGWQW >Dexi2A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:2A:6920311:6925655:-1 gene:Dexi2A01G0007190 transcript:Dexi2A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAEAVKSGRRGGGQVCQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDVTDADDASDFNYPASGNDDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKASKSKKKGSDKKKSQKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >Dexi1B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:1B:2898199:2901779:1 gene:Dexi1B01G0003650 transcript:Dexi1B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGHGAAAAAAALVLVSWLCAAVVGVGAIGANWGTQASHPLPPDTVVRMLKDNGFQKVKLFDAEDGTMSALKKSGLQVMVGIPNDMLSMLASSMKTAEKWVDTNVSSYLNDGVDIRYVAVGNEPFLETYNGSFLQTTYPAIKNIQSALIKVGLGNQVKVTCPLNADVYTSSSTKPSDGDFRTDIHDLMIAIVKLLSDNGGAFTVNIYPFISLYGDSNFPVDYAFFEGAASPVVDGSLTYTNMFDANHDTLVWALKKNGFGNLPIIVGEIGWPTDGDKNANAQMAQRFNQGFMTHIASGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIYTYDGLPKYQLNLGTANSGGLVRAKGVKYLEKKWCVLKPSVSLNDPKLADNVGYACSMADCTSLGYGTSCGMLDVRGNISYAFNNYYQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSWRLQRLGGNFLLMLLLVLLQLCLLFS >Dexi3B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:3B:10716800:10722561:1 gene:Dexi3B01G0014890 transcript:Dexi3B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSFPQDDATADPAPPPPAAEKEKPKKGGKKGKKGGKAAALDDDDYEPPPPPPPAADENDDEPVNLVFTGKKKKKKGAPAPATSFSAFNALEEEADEDQDDEEPAPAAVAEPEAADADDDDLDFDFSKAKKKKKKKDKVAHSAPGEDEDDEPAPPPPPVADEEDEDPSVAAAAAAKKSQKKKKKKGTFVTDDEDIVKIMAEIGEPADEPELEEVKAQDSVPAPDADDATGKKSKKKKKKGAFMVDGEDVDQILAEMDDHPPPVEEPEPNNTKDEAPVAAAAPTDDAEGKKSKKKKKKGGRTAQEEEELEKVLAELGLGSPAEEDKPVQAPHSAAVPKEDVGAADDGNADDKAGEGGEVESAAAKKKKKKKEKEKEKKAAAKGVEAKKEEEKEQEAPKGKVDMKKLPKHVREMQEALARRKEAEERQKREEEERLRKEEEERLRREEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQKAEGAAPETKKRPIYDSKKKKGQPKTTEIAKVVEEQQEEVNEANNDEEEYVLVDQESQSQVEESEERTEPDQEAEEPKPEEEEEEDEDEWDAKSWDDIDVNLPKTSAFDEEEAKPAVKKSEPVQKQESSKAQPAITSVKTVNSKKSEPEEGGANNGNISKRNKKKGPVKEDSSKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKRCLNAPIVKALKQQNEDVKREFNMRVTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSALSGEGIPDLLLLLVQWAQKTMEDKLTFVDEVQCTVLEVKVVEGHGTTIDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYLHHKEIRAAQGVKISAQGLEHAIAGTALYVLGPDDDLDKLKDAVMEEMARVRSRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVKIFVADIIYHLFDQFTAYIKNLKEEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEFIDIGKIASIEINHKQVDMATKGQKVAIKIIANNTDEQQRSFGRHFDMEDELVSRISRRSIDILKQNYRVSPPEDLSIEDWKLVVKLKTILKIQ >Dexi5B01G0033910.1:cds pep primary_assembly:Fonio_CM05836:5B:34210232:34210803:1 gene:Dexi5B01G0033910 transcript:Dexi5B01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFLVHSVRIDPGNAFRLVVKVSKYVADGEYGNVEMADQEHDRIGHFTLEKFHDEMATKIIWGPSQTLSVWAAYHGRIPSITDRGQWPEVDKGFKCFPPIMKKREPGRQKKNRYLAPSERSGKTTRQVKCNTCGENGHIAGSWRCELTGTKKR >DexiUA01G0018080.1:cds pep primary_assembly:Fonio_CM05836:UA:38607374:38635870:1 gene:DexiUA01G0018080 transcript:DexiUA01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGVQLRVRGKVQGVGFRPFVWQLAHQLQLTGDVCNDGEGVLVRLAGNGGAFTARLHQDCPPLARIDAVDSQPFTWATVPQAFTIRHSAGGAMDTQIVPDAATCPACLAEMRDPRARRYRYPFINCTHCGPRFTIIRAMPYDRPATSMAAFPLCEPCETEYRNPADRRFHAQPVACPDCGPELEWRAGETVATRESALSAAVHMLKNGGIVAIKGLGGFHLACDASNAQAVATLRARKQRPMKPLAVMIPQAEGVPESVQTLLRSPAAPIVLTPKAWCPPLPDAIAPGLDTVGLMLPANPLQHVLMMDCQRPLVMTSGNLSGRPPAITCQQALDELGDIADGFLLHNRDILQRMDDSVMDQDGMMLRRARGFVPDAITLPAGFSAVPAMVCTGADMKNTFCLVRGNQAVLSQHFGDLSDDGVEAQWRSALSMMQQIYAFQPQRVSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYMWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIVEFAEKPKGEKLKSMMVDTTILGLDPERAKELPYIASMGIYVFSKDVMLRLLRENFPSANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIKHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETEDDKKVLSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINFDNVQEAVRETEGYFIKSGIVTVGLQQMVIANAH >Dexi5B01G0022170.1:cds pep primary_assembly:Fonio_CM05836:5B:24396362:24398268:-1 gene:Dexi5B01G0022170 transcript:Dexi5B01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWRELHGSGHWEGLLDPLDADLRRCLITYGEMITATYEAFIGESRSPNAGMCAARRRDIVVAWRGTQRALEWGADLKLALASAAGILGPEGADGSDPSVHRGYLSLYTSADEGSKLNKQSARMQVLTEIARLMDKYKDEDTSITVVGHSLGAALATLNAVDIVANAYNKSPGFDVDSRRAAPVTAVVFGSPRTGDRDFRDIFHRLPDLRMLRVRNKPDRIPHYPPVGYADVGVKLLIDTRRSPFLKPHGNESQSHDLEVHLHGVAGWRGGSGEFGLVVERDVALVNKFDDCLADEYPVPVGWKVHHNKSMVKGPDGRWVLEDHEPDCDDEEEDDNNINL >DexiUA01G0000160.1:cds pep primary_assembly:Fonio_CM05836:UA:1306694:1308412:-1 gene:DexiUA01G0000160 transcript:DexiUA01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESIYDPEFPDTSHFRSGRGCHSALGRIKEEWGTSRWFLEFDIRKCFHTIDRHRFISILKEEIDDSKFFYPTQKLFSAGRLVGGEKGPDSVPNNDRALIVGRVKSIQRKATFHSLVSSTPRRRGDQKMPFVFPPSAALAAFLNKPSSLLCAAFLIEAPKKTQANPRGLFDQRQRQRHSKLVSGHRDKSSVLLQVLR >Dexi2B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:2B:28664237:28666903:1 gene:Dexi2B01G0018340 transcript:Dexi2B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVCWMAFFVALVVCILVSLAAVVSYVHYALRRKAGEGQGGYGGHEKPSPSSKLPPGSMGWPYLGETLQLYSQNPNAFFASKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVKQAHLFKPTYPRSKERMIGPSALFFHQGEYHLRLRKLVQGALGPDALRALVPEVEAAVRSTLASWDGRVMSTFQAMKKLSFDVGIVVIFGGRLDERRKAELRKNYSVVEKGYNSFPNSLPGTLYHKAMQARRRLQGVLSDIMRERRERGEPGSDLLGCLMQSASRGGDDDGAPVLTDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDNPKLLEAVRAEQAAVREATDGGRQPLTWAHTRSMALTHRVILESLRMASVISFTFREAVADVEYKGFRIPKGWKVMPLFRNIHHNPDYFQDPHKFDPSRFQVAPRPNTFLPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKDGLPVRLWREKRTVERKCCESDNVEDIIV >Dexi4B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:4B:4084009:4088674:1 gene:Dexi4B01G0005780 transcript:Dexi4B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYMHFNERSGLTAPLVAEDIYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLAGKVVERPQHMLMRVSVGIHKEDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGEEFETLYKKYEREGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSTDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWDWPSLRQTISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPTLKNQIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGVANGKPTEEDVEAKMAQMVCSLNNREECMACGS >Dexi1A01G0026390.1:cds pep primary_assembly:Fonio_CM05836:1A:32337559:32338602:1 gene:Dexi1A01G0026390 transcript:Dexi1A01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAFPKNIFTIQKLTILLVSLVVLAKGGSKLEATTAQLARKPQGSVIHQENLELCRRVNIMSQQKMELQRKLQASEGVVADANTSFSTPYSFNIAQDADVTCNLERRHSHQKVVEHRETGAPELG >Dexi8B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:8B:7039851:7040939:-1 gene:Dexi8B01G0006180 transcript:Dexi8B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTLSSSQPQPQPAQCPSSPTTEAKAEPPYVVEDCCGVLQILSDGTVVRFDPPPYPPGDAYDASRVEWKDVVYHPGHDLGVRIYRPRTDDDDDIVAGEEGTSKKRRLLPVLVFFHGGGFCFGSYSWPKIHLCCLRLAGELPAIVLSFDYRVAPEHRLPAAVDDAAAALLWLPGHMSSDPWLADDAPLSSRHVYVCGNSSGAGLVHHVLVRFGTCGNNLPGGQVKITGYILLMPPFLSEEPTQSELDTPETAVLSRKTSDKYFRLAFPVGADKDHPLSNPFGPGSPSMEPVDVSRLLVVAAECDLVRDKNVEYAQRLKAMGKDVELVMFAGQQHGFFVLKPLSPATDELIRVIRSFIAAE >Dexi5B01G0023270.1:cds pep primary_assembly:Fonio_CM05836:5B:25514238:25514801:1 gene:Dexi5B01G0023270 transcript:Dexi5B01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAAMKGGPSASSHAADGAVRSNGGSGATVVTDAGQEVTVSQFVAQLDEAARRRLDSIHQRLRLLEQQMETLEAEVGKARSSSTMDTYA >Dexi8B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:8B:3606283:3607014:1 gene:Dexi8B01G0004130 transcript:Dexi8B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFCLLRHGTRQWELKEPVPMIIHDEGSTERPRFLLCDMGDEESPKVRYVPLPPGVCWDPKEYDDGNPKYSMNMGAAGAGASAVRFVSVDPHCCCGGPGRSTCVRSRYSFTINTWTMNLRMDDPLVWVKDGEIHCEELWGQPGYEGLPKEHLQCPIVSLDDPHIVCFLVANFPFVSSYEDRKVWMIQLNIKTKALLSAVQYTNDLRGVYHHLPVRIQS >DexiUA01G0008770.1:cds pep primary_assembly:Fonio_CM05836:UA:16452859:16453222:1 gene:DexiUA01G0008770 transcript:DexiUA01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISTRHAARQRWLGPPGIGRIRKAARPGGTAAAAAELALMREQAERIPPEQRDRVKLWANSLRDAFYEAEDILDIADYHRLHNQY >DexiUA01G0009790.1:cds pep primary_assembly:Fonio_CM05836:UA:19237833:19239862:-1 gene:DexiUA01G0009790 transcript:DexiUA01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDINIPELNPETDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDESNGKEKYVLIAEFTDKPTPDDITNAFKGRK >Dexi5A01G0014340.1:cds pep primary_assembly:Fonio_CM05836:5A:11325388:11325960:1 gene:Dexi5A01G0014340 transcript:Dexi5A01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLLLLLLLPLLLLGAANAEPAPATIVRKDGTTCTLCASCDNPCNPSYYPPPSPPPAPVATPCPPTPSFPSPSGGGGGGGGGGPIVYSSPPPPASIGGGGGGLYYPPPTGGGGGGNNGASQQGGGGGGGYPAPPPPNPFLPYFPFYYYSPPPPHFSGAWAVTTASSTSSVATLLLSGSLLLVLQWW >Dexi2A01G0027300.1:cds pep primary_assembly:Fonio_CM05836:2A:38703571:38708431:1 gene:Dexi2A01G0027300 transcript:Dexi2A01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSIDWNDELNDDPGTNEDIPNIDNDGCEPVSDSKQGAATRAEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFAALPNEALSEDSDEGDEPKREYTAAGLALKEKYGRLRARQKDRIAQRHIIKNYPDDRLEEFMTPYDSIANAENPLIIVEDPNSSQISGAKRFSESTSEMRQSSKKSKRYAEIPQDLYARIPGNAPPSKHHSKGTDAFNPGTPNHLLPVLGLCAPNADQVNSYKNSLSGPSIKEHKKASGDVTNKPLSTAADHSSEHGNEPLSASDKAIFPGASEEALRRLNNIIPDSYFPFSHIPPMSGKGVDPVENSGHSVASFQGKLGLPNFGLDDNIPLKHMKSIPDMLPNLSLGAQKDYIRNSVPELPDSSLLPNFMADIAGTSKQKSFMSGLLPGLGLNPVQPIHSAMPDNHKKVLDSIMMRAQYASNKFLKKRSKLDYWSEDELDALWIGVRRHGRGNWDAMRRDPKLKFLNNRTTEELAARWILEEQKIIEEPMSTATRRPSATPFPGISDAMMSRALNESNFSKLRMEQPKLLSHLTDIQLGSSDILSRLPHIEAANYMNSGEGGPSQIPWQDLKHRSGYGGDFPGNTFDKLEKPDIGMIPPFMPNPFMNDSIGSLPINRKNNSTVPQNEIGPSSRESIFLHGVSDGQINLRHEMQHRASARLGKQPMENLDRTDQLNPHLDDTSDLGGLKSNKLPHWLQEAVRAPSSKPPERELPATVSAIAQSACLLLGDQEMAIPPFQIPGTPLSRPKDPRINSKKRKLRKVQQSTDVEHSKTVSSPGDQDATPTPPSIEASCAPPTVDCNDGVPSLNLNSLSSSSASSQGKSDAPPTFEESHPNVEGSEAIEAACRSKSEAYEISCQITGLSPVDDKASGSHGSPIKNTPDNKTRLPESDNLAPAAPELPVVGEAPGTSSSIAADTSVPFDDNNLKQSDPLGSARSTINPGEPTEKPAPPEETIDSDASPQM >Dexi9A01G0042100.1:cds pep primary_assembly:Fonio_CM05836:9A:45644053:45653089:1 gene:Dexi9A01G0042100 transcript:Dexi9A01G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMMASITSELLFFLPFILLALLTFYSTTAAKCHGAHRWHYRTKKKRPNLPPGSSGWPFVGETFGYLRPHLATSVGRFMEEHVSRYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDAHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRSWPPSGDGPFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRASILGVIERKMDDRLEKMSKENSSVEEDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELRELQEEHLEIARRQRLRGASKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPKGWKILPVLAAVHLDSSLYEDPNQFNPWRWKSNAPSSFMPYGGGPRLCAGSELAKLEMAIFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVQRIADEHSVLTGSTV >Dexi9A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:9A:10206752:10207984:-1 gene:Dexi9A01G0015350 transcript:Dexi9A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIQHLSSFIGMIAFAALLHPCVSTEFRHELSSWTTGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSLIAAGSPFIYDSGNGCGSCYQVVCSGNQACSGYPVTVVITDQGPGGGPCLSQASDGMCLNEGAHFDMSGTAFGAMAKPGMADQLRGAGILQIQYTRVQCEWPGVDVTFSVDSGSNPFYLAVLIEYEDSESDLLGVDVMQSSTGQWVPMQHSWGAVWRLNSGSALQGPFNIRLTFSSGRMLIASNAIPAGWNAGMSYRSGGVAVTRARPRSSGYRGYKAAGVLSHLAYNLLLLFVVLAL >Dexi7A01G0023040.1:cds pep primary_assembly:Fonio_CM05836:7A:31186978:31193893:-1 gene:Dexi7A01G0023040 transcript:Dexi7A01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKSLSGHTSAVESVGFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKNCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHEFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSKLADLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSESKTVSSGTMPLQNDSGSRANIGRSSVLQNSENNVKASLGRLSVSQNSDSAPKETKSTPSSGLVPSTPQRTGAGSNNRSFGNSAFASGGTTLKRSSLRSQNGSSASNFSKADVVPVIVPRTSSGGELATDSRSDAADVAPVLSKASRRVDPAIDSRKESTDVEPVIPRASSRMESSSDSAPVIAKSGRRLESSVDSKKESADVAPVVVPRANTKMEMASDSAPVFQKASRKVDLGTDSNKEITDAASVIAPRTSSRMEMASDSRREPSAGRVSPFRIQSRYAELRKLTHAKADANKVDSGSKNSETDDLNCQIFLPRRNGFVQTMSSEETREDIKHGAVDRMAFSNPAELNASVRSENYVSRMRKPRDNCYVEVSRAGMVMLFLLKNRNCYYLVLPIMFSVDLNKLLYSNETFCLSQRGSNQASETPTIASDEDVLSLLMDQHELFLSSTRSRLTKLQIIHQMWARNDIRGVLSAMEKMCDHAVSADMASVLMEKSETITLDLCTSLLPVVTDLLESKTDRHLGVSLELLVKLVRTFGPMIHSTVSAGPSSVGVDLEAEQRRERCNLCFIELEKVKNKLPFLTRYWAI >Dexi8B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:8B:11685992:11690093:1 gene:Dexi8B01G0008570 transcript:Dexi8B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLPRAPCLASLSKPAPSLLRQPPPAMSSSFVAAPASAWADATGRPRKLPVLLFDVMDTLVRDPFYHHIPAFFQFRTPLSPPGLYGLGCDPLDELAKKFFNDGRSFDLEGLKECMVRAYEYIDGVEDILSCLKKNNYEMHAFTNYPVWYQLIEDKLKLSKYLSWTFCSCRTGKRKPSPDFYLQAVDHLNVEPASCIFIDDRMVNIEAALSVGMVGLQFKNAEALRKDLCALGVELSPVCEGEAQVQ >Dexi4B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:4B:1059484:1061124:1 gene:Dexi4B01G0001740 transcript:Dexi4B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQAQPWKIPPIVQELAAGVPEPPNRYVVREQDRLAMALATAMPEPIPIVDLSRLSAAADAGADEVAKLRSALQDWGFFLAVGHGIEQETLTKMMQVTRGFFNLPLEEKQKYSNVVNGNEFRFEGYGNDMVVSEDQIMDWNDRLYLIVEPEPLVNHSLWPARPPAFTGVLREYTAGCRTIAGVVLATLARILLGLHDERRFVDMMNDGVAMTHARFNYYPPCPMPELVLGLKPHSDASVITVVLVDDVVSGLQVQKPNDASGVWYDIMSNGFFKSPVHRAVANAESDRVSLVMFYTLDMEKEIEPLPELVDEKRPRRYGKTTTKDYLAVMFDRFATGARAMDTVKISTAEHNSGTMSEDG >Dexi1B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:1B:8047347:8054218:-1 gene:Dexi1B01G0009110 transcript:Dexi1B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLAGGAPAGGGGGTGAAPDHHRPRHRRSGHPAAAATTTTPPPPPPVVVAAAAPETAATPAVAVVAPVTGEPRGLEAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAELISLGGAAAGAAHDRSPAEALAARYWNHSVVNYDEKLPDGFYDLCGAQLHPGFQAKFPSLDYLRAAPLVRDVAFLAVLVDRERDPALKRLEDRAAAVAVQTRAQHGAAAAAQLAQKIAGLVVNAMGGVVEDADGMNREWSFKSRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDYGSTEYIIDLMGAPGTLIPSDISGSQFQDSNNNQLGSDAIEESVAELCLALEQINGGYENRNDVGGSSSDHSSILALNSNLADLSQAVLKQNLISEKDLEGDISEHIKANDVSKYVLPEVVDQQFAQNLHDLLLESGALLPTDLLTDQNSHNIHDKESGGWLLVAQTRQNLPNGLVAKDSSPPHENAQHPAENAEETIRDLDLHDHTSSAISNEDQRAAEDSLMNMSGSSNGNLDKLSWSSTKTISSVIDDVAEYEIPWEDLEIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVTLPFYTVSFNAKTQLFSSLCATVLHFGEDLVSDFGMSRLKHHTFLSSKSTAGTVIH >Dexi3A01G0036750.1:cds pep primary_assembly:Fonio_CM05836:3A:42298447:42299003:1 gene:Dexi3A01G0036750 transcript:Dexi3A01G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLVVSLPATGAVVYRCKHCDTHLAYGTDIMSRTFCCKHGTAYLFSKIVNVNVGEKEDRRMTTGDHTVCDIFCVACGSILGWKYLAAVSKSQRYKEGKFILDMSKASTMAAVSVVWPHAAADQQQQQQSDDEEDSSSSDHQDPMSD >Dexi5A01G0032150.1:cds pep primary_assembly:Fonio_CM05836:5A:34747226:34748202:-1 gene:Dexi5A01G0032150 transcript:Dexi5A01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICIAFFVQYNFCTSAQMPNDRANWSDMATKTLLDLCIEQKRLFNWNRLGPSPHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYQTWKELQNSSGLVRDRNTGGVAADDTYWDTSSEQQTHGKPPPFLEELELLFGHTPQDRGTLLTAGGVRESTPTIGSDDTPQEILEDPHSASAVRNTSKRTSRDEVVDNPQKKKSASMEDYVKEISESVAKRCERRSREQEECDRTLQILEEDGIMEGSELYCMATYLCTKAANRRFFTQMKTKEGRLNWIKFHLEKGTK >Dexi5A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:5A:23837828:23840565:-1 gene:Dexi5A01G0019960 transcript:Dexi5A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAHKQYPARFSDRSKWFNISWDEKLQIADDQGRLVYIQNLDIRFAAADIEELVRGALQLSCTARPINHPTYDDPNNGELFTNQIELLYICLNGGKAYCIFKSKNAADLAVSKINSGLVIGGRPLYSSKGLLKVPKSSGTLVGHLSVHNIKITQKQREEQKKAVSTSHCSQPNTIEYDLALDWMLLQEKQEQKFRILHKKHKDDRQGFASMGSKSVKAGK >Dexi5A01G0030950.1:cds pep primary_assembly:Fonio_CM05836:5A:33783901:33784464:1 gene:Dexi5A01G0030950 transcript:Dexi5A01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSVIISSIDSLKSRLGSRDVFTTAKLSANGGGGLVVARPGDLAAAAVAGGLHLLAGAAATRGVLARVLRRRGDDTDRLGLMSCLLWVSRCVVACLRTSMCLSSSSQPASGSLLLAGVVLVMIGGSVFSGGLFFFFVRRSMMISVAEVNTFPSSLSSPRRTASVGFLRSSSASSSSSSSLL >Dexi5A01G0030950.2:cds pep primary_assembly:Fonio_CM05836:5A:33784474:33785293:1 gene:Dexi5A01G0030950 transcript:Dexi5A01G0030950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHGGGVSGASAPTTTRSGRRPQRHPTAEAGEDATPLLELTDESASLTDRRLRWWRRLELERRGGDGSCSGGKRDVSKSFEGWWSGGGDPRTRWSSPARGWDALCGSHSPPACLGVLGSGRDAAAARGLGAAAPAPRGRMSRSLKNQALGIMSESRNLCRPMDGLYCGWTLTKECRIEAPATIAARKTGLSPWHCSNASDGLSLLGLLCTRSRGLARLDENESAGKWRALEWGEAREETRRGGDARRLLSSRSRVASVRSSP >Dexi3A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:3A:15527394:15528516:1 gene:Dexi3A01G0019650 transcript:Dexi3A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGCIRDLCDGVLADILARLPSASVLRCRVVCKSWRRVTTHRSFLAAHAARRPREMILRPQFYPKAVHSGLFAICNPTTRQWTNLPALTPEPCCSAGSGCGFYLHRSSGEYRLLCDGIERNEAAGSNPELRRYYYIIAASTARPRRLARAPSNPSLLYEAPVAYRGVLHWLYYQPEARRTGKMLAFDTEAETFRHMSQPIDRDTKRNLFELDGCLGVAAVKSLTSLDIWVLEDYKMERWELRHRIDNLPMPRLYYEREVSVSRVISVGSDAILIGSPGCYVARMYDLKEKKP >Dexi9B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:9B:11981429:11981896:-1 gene:Dexi9B01G0017190 transcript:Dexi9B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFPREAAASSSVPRWPEKIWTSTAGAASRTSSRISTTVAAPIRRPHGTDSSLRMLSSCPLTSPWPPPPLAVAGLSSGLSSLLSPVALPIAADPRSIGWVWADM >Dexi2A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:2A:29811736:29815124:-1 gene:Dexi2A01G0017790 transcript:Dexi2A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRRGRATAVADGDVSDGRRFLDPAPHGYSALVIREALQAQLEKERLRQEILEAKLAEIDRAMALRPASLGCISLADWQQGKPVSSVTEFMPRCGYLGAVQYPNKQDERNGSSELKPWKYDKRYHPSECSSDGKAGLESKLQESNGIKQLMPHSPTWDWELTEVTLPVKQPKAPERWSCTVCQVEATSEHNLQEHLVGQKHQSKVLSLNRNNGGRHLTTTHALQQEQSTAMDYVHSGSPSAGGKLLLSGTDGRNVASSEMSRDMTSLYFCKDLKSPFGMDSESA >Dexi9B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:9B:10155930:10163558:1 gene:Dexi9B01G0015070 transcript:Dexi9B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFNITSSFILVSIHLHRLRDHLVHPCPFAATHSLSLQFTQLPFHGGQLQNHLGHRHDCVWAAPSQLLQIEPLENTIDASWGIRHLRSLTTLVLLTSFNLQIFDASPLPGSYRLAVISPLVFLAGEVALVVSGFGRGDSPPRIPEDHRKAPCPSPSARKGSEVPSALAGRPLLTVVPSRPGIAGELRTQSRASPSPRSMIRVRPRAGGGGAGDGRPQILQFAEQGWPPATRGSWSGAAGRGPGSPRSQDWASRITAHTRKIGTARTKHDASNIPIRLEDEHEPIAIETYKCQLILVGNAVGLDPSRGFFMAAPAAARKRPALDDEAFPAKTHKKKRVLFSKRYSFVSIYDYEMVEEIGEGTYGVVAKAQNISTGAKVAIGEGIYCRWPGVNSLLRLTAADALKNRWFAEDGELAAEPPVTVQAVVEPLLPESQQSFGNGSPRRSAILPPRLLPIKAGTFYQKTHGFTSPPRRRHPAETRAPSLRHSVVVWKPTMVKARDITITHGSKGLEGAEATPTIVAHDQQAGFLSDYHLAATTFCGKFVKVALRLRKGRSTLVEVGKWLKETRLGGRS >Dexi9B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:9B:11156939:11157211:-1 gene:Dexi9B01G0016300 transcript:Dexi9B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDERQTTSCLLIIFPAPRPRRPPPDSLVGWRVNGGAGEEDAEASAGRNQIGDGEGK >DexiUA01G0000540.1:cds pep primary_assembly:Fonio_CM05836:UA:2140276:2143665:1 gene:DexiUA01G0000540 transcript:DexiUA01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFLAAAAKLAVLVVAAATAANAASFARYRRRHLRRIPNPIDEAADPIADFRALPSAGAGADDASAIRWLACAAAFFAEDGGFFFGLATAPAHVEDRLEDAWLQFATEHSCDDKEAVRDHKTADAVMASAAGDGGAQLASMSRREEKAGVDGEKRKPLKVAMEAMLRGFEMFVEGAESDSDDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGISVFRMGIDWTRVMPKEPTDEQLKSSVNFAALERYRWIIQRVHEYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVKYFMDFVRLVVDSVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAIAHAEAYDYIHLESKNERKPIVGVAHHVSFTRPYGLFDVAAVTLANSMTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRVLIQFNERYKSLNIPFMITENGVSDETDMIRKPYILEHLLAIYAAVIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKGV >Dexi8B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:8B:2621313:2623535:1 gene:Dexi8B01G0003470 transcript:Dexi8B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSKRGFEVRLLGHRRGKSHGAVHELHDPVPVVQNSCWASLPPELLRDVIERLEASEDTWPSRKNVVVCASVCRTWREMCKDIVKSPEISGKITFPVSLKQAGPRDGTVQCFIKRDKSTQIYYLYLCLSSSKFIALWTMDFLIKHWSNFIGTKFVIYDTQPPYNAASATQSGKTSQRFYSKKASTKVSCSRYSIAQVSYELNVLGTRGPRRMNCAMHSIPVSCLEAGGSVPCQPDSIVAHSLGGSFSSVSLSKSSVMDHSMHFSSARFSDVASGLGLGTEGRALFEDEERNDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQSAAGAPTPSQPAPVPPPAPDQEKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi9B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:9B:11492140:11493303:1 gene:Dexi9B01G0016720 transcript:Dexi9B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLDVLLGRTTKQTARLRSLLGLAVTRLGVVRGHRQVRCAQARGDVEQLLRLGRPDRALARAEHVVREQNALDVLADLETYCNLIVDRAALVDAHKECPQELREAAAGLVYAAARCGELPELQEVRTILAAKFGREFVSAASELRSGCGVNPKIVQKLSTKQPSLESRQMVIQEIAAEKGIAVSVYEPPRDDYGRSNRSHRKTKQDEERIRMPPVDDLDEDISGDSAQRYKDVEAAAQAAFESAASAAAAAKAAMELSRGEPRGRGDGRKPGRTQMDHEGKKADEMLDGKKFEKIGHARNYSSEIEIVSEDEGDHGKTAANELKHQEQREPARGKPSSVRTKWGF >Dexi1B01G0023760.1:cds pep primary_assembly:Fonio_CM05836:1B:29277726:29277985:1 gene:Dexi1B01G0023760 transcript:Dexi1B01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVLTTTFLGSLTKRKTVTCSTRHGQQQHTTRQSMATRPVSAAHDAIVEQRTVEAEPQEQREEEDETVRTWVRKQLAGLGA >Dexi3B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:3B:15337957:15339145:-1 gene:Dexi3B01G0020340 transcript:Dexi3B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAVLALLLSATAFAAAAAQLDEKFYSQSCPSVEDVVRKEMVRALAAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSTANNTAEKDAKPNLTLRGFGFIETVKAAVEKACPDTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSISNETKQLPPPTGNFTKLAQIFATKNLDTKDLVVLSAGHTIGTSHCFSFSDRLCNFTGLDNARDTDPTLDTQYMARLRGKCPSLDDNTTLVEMDPGSFKTFDLGYYGNVAKRRGLFHSDGALLTDAFTRAYVLRHATGAYKEEFFADFAASMVKMGSIDVLTGSQGEIRKKCNVVN >Dexi5A01G0024460.1:cds pep primary_assembly:Fonio_CM05836:5A:28329231:28335105:1 gene:Dexi5A01G0024460 transcript:Dexi5A01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISSLICCQICLGMSAMAPHYQAATLIASPSYPNAIAWSSDNLVAVASGHIVTILNPAAIDGPRGLVGLRRSDPFPIGVVNREDLFEPCLVPTSLARDTEPCARSISWSQQGFAPNSGCLLAVCTVDGHVKLYRSPIWEFCDEWVEVADISQSLFNYYKIINFGEDNLPSLNNTNTEEIEVLGSTCELQDPSLRGSGQRKRKPPRFDGYVYDGNQDDVDASEDADFSLKPCSKSKKKSLKKVTTGLSAPVSSISLAVPARSQYAVNLAIGRVSGSLESWIWNTSSCKIENTNTCHAHDQVLSEVSNRCFGLTLAPGQQMVAVVRSLDLNLLDQMYQVRINSACEFSVEETCPYCSAPVHFESMDTAICRERHTLSRCRASMLLCSVLHPVWHCVCCGGMVDKLLPESFFAMQASPLDANQDEGSLDLSGAAVPLCPFCGILLQRSVPAFLLSTSPV >Dexi8A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:8A:1354723:1360950:-1 gene:Dexi8A01G0002010 transcript:Dexi8A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGHAATEQQSSTPLLEKTIAGCPGCAMDRRKAANPGIPYGSFIYVWIVTLCTASIMGDEGTSPLLEEEEEEEYQPGCPGCAYDRRKDLLRGMPYKEFLYVWMISLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVVFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLAMPAENFPGVFSPDSLFGRFPYFLPCLCTSIFAAAVLISCIWMPETLHKHKVRENENQSIEALEAPLIDPKEKVEESGSLDTKKSLFKNWPLMSSIIVYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFVYPRINKVLGPIKSSRIAAILCIPILFAYPYMTYLSEPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQNQRGAANGLSMTAMSFFKAVAPAGAGIVFSWAQKRQHGFLFPGNL >Dexi2A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:2A:24527104:24527367:1 gene:Dexi2A01G0014660 transcript:Dexi2A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPVAVVACASLYVAHQEGLLSVKKTVAMGYVPLVVVLKRLEAMLEEAGFEVAAVSTVVADSMSWWMAHGEEDWSVAVVVNGHVL >Dexi2A01G0029830.1:cds pep primary_assembly:Fonio_CM05836:2A:40840671:40841042:-1 gene:Dexi2A01G0029830 transcript:Dexi2A01G0029830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKENAQSPLQDGGRRQRDGPRLMWMEGLELEWLVVITEAMLNFWCAKRSSDVQVLQKLKRVPFSWECVWRLSGLRGRCFWRRTALVWLTLCRRGRIGLSLASSSGRYASRLRC >Dexi1B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:1B:7931293:7932663:1 gene:Dexi1B01G0008990 transcript:Dexi1B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKNKEGGNKNKTATGGEAINKATGGKKKKATGDASSSSSLPAPSVAFNPKDVTGPFELLDMSIAALHDKRASASTRESALSSLAAALERVLPLDEATDARPLVVFALCGASIKKGNTTSPTSGKEARLAFRAVGLLALTLLNGGSTEILAESFPMLAKTLQFAPAMEAATVIAALDCLAAVTFAGALGPEEAERSLKAIWSVIFPNPKSATVASTKVTPQVLAAAASTWTFLVTTASLTDAAQRKSERAAWTATVASLASLLDAADDRAVRMAAGEAMAVCVELNLTQHASRKDMEAVIARVSDLAAEAGGKGADKTLFVEQKVMFRQILAFMERGERAPTVAVRTTSSEKKEMIKASTWAKIAQLNFLRRFLGGGFLAHVKGNKMFKETFDVGADEKAALSIAKRKLNVKLKQKVMKMNRELSWAVKNVYCLPQGAQPPESNKPDQLLKLGWH >Dexi9A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:9A:12408192:12410703:-1 gene:Dexi9A01G0017380 transcript:Dexi9A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSPGAALLASSSPEEEEEKSAAAEEGRHAAMAAAEQQQEEEDEAAVPVALKKGPWTTSEDAMLVDHVRRHGEGNWNAVQRLTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMAAHLPGRTDNEIKNYWNTMTKRRQRAGLPVYPPEVQLQLALSNSKRCRFDEFSNVQALDAAASAAGYTSSRPAPLDLARQLAATSQMVQFLSSPPPAFSAPASPWPAARPFARNAQFFQFAAHHSSAVSPSTPMHPADLSLGYGGGVRAGAEQSRLAPLSPASHGPRVLELPSNQFLQPMPPASAAAAGGGAAALLPDHHQHQNLNAESLEKMLQELHDAIKVDPSPAASGGGGGVLERRHGGGGENKSGGQHRDDDMDTLFDMMIPTLNAPAAAATTNHSGSTSQHSNDDQEPSAVDLAVDLQATGGASSSAQDWGLDGVCQWSNMSRIC >Dexi6A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:6A:28439598:28440795:1 gene:Dexi6A01G0021080 transcript:Dexi6A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPSDCGLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEAEGAPAVAAHH >DexiUA01G0018520.1:cds pep primary_assembly:Fonio_CM05836:UA:39180785:39181674:1 gene:DexiUA01G0018520 transcript:DexiUA01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDDDGEGKGSSRRPRGGSTAVTVILVNGETLVVANVGDSRAVLCDAGGTARQLSVDHEPLRERDAIESRGGFVTEMYGDVPRVDAQLAMSRAFGDGSIKEHISSDPDVCIEDVGEGAELVVVASDGLWKVMSNQEAVDEARETRDARKAAVRLK >Dexi5B01G0030100.1:cds pep primary_assembly:Fonio_CM05836:5B:31119677:31124604:1 gene:Dexi5B01G0030100 transcript:Dexi5B01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGASFLRLYQYDVFLSNRCSCGPRGSNFLSPRKPVLTNLNFMRDGMRATYSRRAVSKNTEIKKDKKSILEKEDAAEVDLEIERVKSDPSQLQSMTVKELRELTRRMGVSGKGTKKDLVSAVMDSLGVEGNGKEGKSSAEMVSPLEEPLKRKGGASVVVEQKLESSEVISETPSKKRSRTKQKSIKSTILEDNSVNNVKINKTSVQKETLVVQGAVPKAGLSPNNDSEPWTVLVHKKPQAGWIPYNPKTMRPPPLSKDTRALKILSWNVNGLKALLKSRGFSVQQLAEREDFDVLCLQETKMQARFESLWLNSLEKDVEVIKETLLDGYTNSFWTCSVSKLGYSGTAIISRVKPHSIKYGLGIPDHDSEGRVVTLEFDDFYLLTAYVPNSGDGLRRLTYRITEWDPSLGNYMKELEKSKPVILTGDLNCAHQEIDIHDPAGNKKSAGFTNEERESFETNFLSKGFVDTFRKQHPNVVAYSYWGYRHNARKTNKGMYYILVDMVLPPGWRLDYFLVSESLADKVHDSYILPDISASDHSPLGLVLKL >Dexi5A01G0028850.1:cds pep primary_assembly:Fonio_CM05836:5A:32041669:32051566:-1 gene:Dexi5A01G0028850 transcript:Dexi5A01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELDRKRAELDETTTAEDGRTAEATTGEGGDGRTAEATSSDGGSECPAAAVNSSECPAAAANSSGGGRSSRRRHRSSSGRRPWRRQKEPMAATEETCGSGGRTSLDVFRMPPSNYTSGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHSEVKLKSALQLQDLLDATRILVPRARSGRESDSDVEVEHAEKLRQVRAVLEEGGHFSGIYRKVHLKPSNWVRIPKDNREGEEEYPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGAVGINEAKAQLHDIITSSKTANFNEPVEFPWMVDGAGVPKNAVQLLTNLAELTKQITAQVKMLSDDEDEKAAIDSPNHHYDQAKALGKAEIDMDRALTLRRFLIYMTLASRYDLLHNSHLNLTGLSDLFKVSQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRQEVTAAAESNAYNGTKAVPSSKRKEMCYYEEVRNEYFEKSIYNNNSVDLDDSHKETKYCLDPKYANVVEPERRVRTRLYFTSVPLEHPKRFRIEMTFSRGADISTLESGGKASLLPDDHTMPIMEPERLQEVGSYITLDKFDKMTRPFAMPAEDFPPAAPCQPLPVRFCKDTLQGGRLQHKSDMLGGTKRKTKKLQE >DexiUA01G0004430.1:cds pep primary_assembly:Fonio_CM05836:UA:8558596:8559078:-1 gene:DexiUA01G0004430 transcript:DexiUA01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMARRSSELLQLYLSLSPASKAIAKKTSVVEHHHRARVDDGGGAFRCRTCGRSFATFQALGGHRTSHKRPRVRADGHDLLLGARPGKATGAADVHRCNTCGVVFATGQALGGHMRRHRAALLLFGDDDAVQAPETTRMFALMEEGDDRPNTTLTLFI >Dexi9B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:9B:10060358:10062517:-1 gene:Dexi9B01G0014980 transcript:Dexi9B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVPLLSRRWLPPPSGMCKINVDAAVLKNGKVSTVSAVARDANGLFLGTSAQVMSSVTDAKTTEALVRIEGMALVRDLFLQRFRLATDCANVVRSFNGL >Dexi2A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:2A:3570813:3572214:1 gene:Dexi2A01G0004060 transcript:Dexi2A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEETSPCSLQPPPHPSLEPENQIKQPKFQTNPSAQKNKDPSLIGTRVDLTFSLVAKTSPASAVVSRASFCSKSERTHTHGRIKHPNNQTSSATNNAQSPQRFELSKRKTPEKTPRGKGGAKSRDREIRAGNKNPEPRNTRERRRKNRITVGFKDTVGGGGGGGHGGRGLLGFGERARRGGGKEGEGTERALLNN >Dexi1A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:1A:27141160:27142007:-1 gene:Dexi1A01G0020290 transcript:Dexi1A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKELEAQRELVDYGGSFQPNAPPPYERSERKRGYGDRNDRGDYQRKRNRNDDRAAAEGSRRAPDSDPAEKNPRFREKGDSDEEEDDYDKRRRR >Dexi2B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:2B:25244364:25245152:-1 gene:Dexi2B01G0015190 transcript:Dexi2B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDEPCESRRFWNESRRSAEDVSCWKVSDAAVGATGLVDDADAVVVAAVPVAALALATPMLDEDGVEDDDVDVKGGKVVVGSLCGKGRRRYGGREAVAASPAPAPERSEDEGGGKMWSKRVRSDAAGDPTPIPTAAPKGGDGMPVNSCTMARKLEVSVVSTVVGARRDARVRFREPLGGGCCAGAAAGAPIPAASCPCVVAATAASTPCGRAAAASVCATRGEDTPDVPANDPDAPDHTPACCCCCCWWWW >Dexi3A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:3A:8897776:8904336:-1 gene:Dexi3A01G0012290 transcript:Dexi3A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSWAVLCAPLGHRENHRQRLFKPRLLRRARRPSAGPGGALDAASKPILAPFGTARCVWALWSKALPPPPSAPPQPLVRGGKEEREEEGEPEARKAPPVSSSTESHPQREDKRPEGCSGHISSWREDEYWGAAAATCSSRREDRDWRPATAASSSSASTGPRVTVATRSDARTCDSGRRRRAPPVVCYARGWAEEHLRRADLVAWREASSFIQIPVYTGLALEMSDEEKELGSHEIFEMHREEWILMNGKNDAASFHKSNSHQMGKWGSVGHPFSLLCWPREPSPESGRRALRRCPAAALAAGSGRRAAGYGLRLRGREGRSPEAEARQHAVAEAEAGGRRMGLAASLPPYAKTKEVSTENCKMEFRFAHVKRSVEATISARIISGSGSFIARFTAHTTSITGEDVVLLDSQGREVAVAEDGEIELRRRVVVVAEQCELILCTETMPLGGGDAAENTSFLRKIPFYARSALRSRVYFDIGSIRIQIVVAWSL >DexiUA01G0001940.1:cds pep primary_assembly:Fonio_CM05836:UA:4829702:4832915:1 gene:DexiUA01G0001940 transcript:DexiUA01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASTHDATLAGNMAAVVDALYECQRATGSGYLSAFPAVFFDEFEALAYIMQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVITKYSIERHWTSLNEETGGMNDVLYQLYTITKDQKHLVLAHLFDKPCFLGLLAVQADSLSNFHANTHIPVVVGGQMRYEVTGDPLYKVKLTTVLNQEIATFFFDSVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKVSRHLSRWTKEVAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIVQFIPSTFNWRTAGLTVTQELKPLSSSDQYLQVSLSVSAKTNGQYATLNVRIPTYLNGAKATLNGKDLELASPDDRPEYNSIQAVLFGPFLLAGLTTGDWDAKTGGATATASDWITPIPPESNSQLLTLVQESDGKAFVLSAMNGSLRMQERPKDSGGSDEAVHATFRLIPHQGSVSGAGAATNATYSAMMLEPFDMPGMVVTDKLSVSADKSSGALLDVVPGLDGSPGSVSLELRAMPGCFLVAGGEEVQVGCGGGGGGGVVFRRAATFVRAEPMRRYHPMSFSARGVRRNFLLEPLFTLRDEFYTVYFNLGA >Dexi6A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:6A:16885698:16886078:1 gene:Dexi6A01G0011600 transcript:Dexi6A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPNQTQAGHVGDEMSIDERSATEQNSRDSRASSEALALTRPWMPKARSPRMAAPGGTCGRLHASSSLRGAGIGNIMRPFTMGRRGQGVGMRCRMATASKDPAPPEVEKGAGSRARPAGGARLR >Dexi1A01G0021860.1:cds pep primary_assembly:Fonio_CM05836:1A:28569695:28570034:1 gene:Dexi1A01G0021860 transcript:Dexi1A01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGNDLGYRAHLLPYPEEPATSTAHLVPTPSSSSSSSGSPPRAVAGSSSPGIRITGSHSPSSAPAPAAAASATTSNTRHQYHRAAIATCVPHQSPLESSGGRRKGAGM >Dexi8A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:8A:19230445:19239479:-1 gene:Dexi8A01G0010960 transcript:Dexi8A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRHHLLKFRHEELSGADLHNLKVDLAVSPDEDHTSSKSVNAKEHNKDRRSLLVLAASNNLRSLDEEETDAQTDCEVQSLMAIDISDDNEVSGGSEGRDDFADIVHPQMLTVYEVVKLLRCIHSLWRDEISCNLSEEIEMAKMPMNNDEGFQQNEMGELLEKVLESGDDVNKALIALCRESYYYLSSNADECQNIVGEGKDIDNFSDNFMRWLASQKKDLCTKAYHAAPNEFDGWEIEWNWEFEEEFRRYLPLYIGMLKEVDAIDCSSKDDHSDREILEKLNPEFRFKYAINSVEHHHFITISKIRRRQYYPMAEVRNHNQMAMFLSKIIAMKPYIKGADHFDSVMNRLENIETPFSTFYFNDVEDSVRGHKSLLLWIIEQLAKGKEFEDFQPLTPDPRDFPIHLEPYALLYIRTKLKTSE >Dexi5B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:5B:7308650:7309834:1 gene:Dexi5B01G0010350 transcript:Dexi5B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQQQQMQGMSVGGDLKLPPGFRFHPSDEEIITFYLTPKVHKKSFTCTFIGEVDLNMTEPWELPGKAKIGEKEWYFFYHKDHKYRTGTRMNRATKDGYWKATGKDKEIYRSTKEIVLPVLVGMKKTLVFYMGRAPSGQKTTWIMHEYRLEGNYKVPYPTSISTSTTTVQPSSASEVVVVLDEWVVCRVFHKTRGVKKAVSPPPYNNGMTDNDIHNNSNHVLPPLQFPMLPDFTMDPAESYNSTIGMSSSLMLPVIPPIIASMVNAPVVPPISLYHQMSIGTTGGNGFMDAPESEPSFMVPQKGVGMSLDQINATDISSMVSVALGPMATMDMDEIWKY >Dexi9B01G0032220.1:cds pep primary_assembly:Fonio_CM05836:9B:34466085:34469381:1 gene:Dexi9B01G0032220 transcript:Dexi9B01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAKGEEKPGGADDWCYQFGNKVRFPHLPAGFCAFVGLRLPVGVKARILARYKPRLNTTSLVWQLKQADEYTRDALENLKSTRHITLYYEDLILNRTKLFDVLDFLKVPRRKLVSRHVKIHTKPLSEQIENWDEVYSTLNGTQYESFLNAADYIT >Dexi3A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:3A:13651734:13655437:-1 gene:Dexi3A01G0017910 transcript:Dexi3A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLLAAVSISPRARRRQAKHHKTDTSSQLLCPRINAASSPLQVHASFSSTDGGGGGGSGGDELHLLQKPYPSAAVEDVEEKPEPVPLLSTEEALAPFLRFFQVKSTDPDAEPDAAAAGGRAESDAEDEAARSAGVSAAGRGVRYYDPKPGDMVAGVVVRADGRTLDVDIGAGGEPALMLSKEAAPAPGEEFGYLACDVGSERAAEFATEGRVGVVVRQVGGAEEDGELTSGRNWKEKVTPVKGVGSIVFAEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNIPIKVKIYEWNAGGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVDQELHVCITRIDEGTNELIISEKEAWVVTYLREGTLLQGTVRKLFPYGAQIRIGETNRGGLLHMSNITHGQLRSVADVLEVGETVKALVIKSTTPDRIALSTKDLESEPGLFITNKERVFSEAEEMAQRYREQIEEPPRSGEAEDSWNDIVPFDNEAQSYANWKWLKFGKSDEVVNSKPISGS >Dexi2B01G0032920.1:cds pep primary_assembly:Fonio_CM05836:2B:40673274:40675523:1 gene:Dexi2B01G0032920 transcript:Dexi2B01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLESPASRRPRLSALLLLVAFAILSAPRPARALRFDLESGHTKCISDEIKVNSMAVGKYHVVGPDPNFPDAQLPESHRISLRVTSPYGNSMHYAENVQSGHFAFTATEAGDYLACFWAPDHKPPVTIGFEFDWRSGVSAKDWPNVAKKGKVDMMELELKKLEETIKNIHEEMFYLREREEEMQDLNRRTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >Dexi2A01G0036630.1:cds pep primary_assembly:Fonio_CM05836:2A:46119899:46122929:1 gene:Dexi2A01G0036630 transcript:Dexi2A01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAHRCRKRRHDDAHGGASPTTRRRRRLAHSPLPAVRSFGLRFALAGAPQRPRKRRHDESASCLARPRRQRGSRRLLSPSPFHAVRPFSLRVALTTSPRRRRELHVDTALHPVCNPRRRRRRSSSSPFSRARYFPRPRPLAFRFLLATRASATRRRRNPAAASMGNFISQLLGFGKTTSEGGLEEHSERLQGSPEVVDLTLEPDHEPEKVDVVRRGIGDWSVPVLESPTPPEKRPLEWTKRRDGRLQESRFEVFQELAHAELPGVLDNRSKEDLSELYTPLTDKDEREVNTLLYDSAPRTAGYDYQSVRRWTTSKKLGYGLVECDKIFIPVHRDIHWCLAVINMKDKTFQYLDSLGG >Dexi3A01G0028510.1:cds pep primary_assembly:Fonio_CM05836:3A:29337613:29337876:-1 gene:Dexi3A01G0028510 transcript:Dexi3A01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHGEAEAVEVESSTGGEDYWRGGSSMGKRIGSQAPSMGKRRQREEEEEVAQGIRRQGRGATEPAPTQGNEMGGRDREEQRGSPA >Dexi9B01G0038720.1:cds pep primary_assembly:Fonio_CM05836:9B:39680399:39682823:-1 gene:Dexi9B01G0038720 transcript:Dexi9B01G0038720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKDDGPAVGIDLGTTYSCVAVWRHDRGEVIPNDQGNRLTPSCVAFTDDAERLVGDAAVNQAALNPTNTIFGENYTRAIHHLVCLYSNSQRQATIDAGTIAGLNVLRIINEPTAAALAYGLEKMPVTNEGRTVLVFDLGGGTFDVSILNIDPGKNMDKGLFEVKAIAGDTHLGGADFDNEMVKYALQEFKRKHGNMDINSNQKALRRLRTACERAKRMLSFTAQTTIEVDSLHDGIDFCTIITRSRFEELNKDLFDKCMKAVEKCLQDAKMDKSSIHDVVLVGGSTRIPQVQSMLRDFFQGKELCRNINPDEAVAYGAAIQASILCGQADDGRLLDMLLRDVTPLSLGVEIRDDNTMSVVIPRNTAIPTKMVKGFTTRYDNQTIVSFPVYEGESASTKNNNLLGEFELTGITPALKGVPKFDVTFDIDANGVMNVSAEDRDTGRKNHITITNHSGRLGKKEIEGMGQEAERYRRKRIMEREAGQN >Dexi3B01G0032200.1:cds pep primary_assembly:Fonio_CM05836:3B:34584307:34589510:1 gene:Dexi3B01G0032200 transcript:Dexi3B01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSGNSEEMSDYEEHHFESKADSGASKTYPQQAGTVRKSGGGPPLQSIAQRGLGTDMDCITDVTGALNLDVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDLIDISEDGFVSLLTGNGNTKDDLSLPTDDNLLSQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPRN >Dexi2B01G0033140.1:cds pep primary_assembly:Fonio_CM05836:2B:40815626:40817672:1 gene:Dexi2B01G0033140 transcript:Dexi2B01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWLVWAALTAALVSLAFDAVVRLVWRPRAMERRLWAQGLRGPGYGFFHGNLRDMRRLRAGGAAVKLDVGDHDYIPIVLPEFREWIPRYGRVFLYWLGTTPNICVADYAVVKKVLADRTGMFPKNRMNASVLRLFGDGIVLINGDDWKRHKRVVHPAFNMDKLKMMTATMADYARSMVTGWEADLASQQKKGYQQLRIELSDTLEELTADVICHTAFGSSYKEGKQVFQCLKELQSITFSSFFVVQIPGFRYLPTEENRRVWKLDKEVRTTLMKIIKNRLAAKEKTGYGNDLLGLMLEAWAPEHGGDQLLSMDEIVNECKTFFFAGQETTERLLTWVIFLLCTHPEWQDKLREEVRRECGGDRDRPPTHDMLNKLKLMNLFILETLRLYSPVPFIRRRTRSPVELGGVVVPKDSLLTIPIAMLHRDREVWGDDAGEFNPLRFDAGVTRMAPKNLSALLAFSSGPRSCIGQNFAMIETRAVIAAILQRFKLALSPEYVHAPTDVITLRPKYGLPMIVTSADA >Dexi1A01G0023640.1:cds pep primary_assembly:Fonio_CM05836:1A:30258513:30259368:-1 gene:Dexi1A01G0023640 transcript:Dexi1A01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRCYWPMVAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSLRRLAEGYWLKQVSMSPYSGTLRAPSFLPLLISHLTSVNK >Dexi2B01G0028370.1:cds pep primary_assembly:Fonio_CM05836:2B:37039629:37041611:-1 gene:Dexi2B01G0028370 transcript:Dexi2B01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRAHLARLLLHLRRRPRALPLHFSPTSSTHGPLQGPSLWPPPAPQAPLASVWGRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLADFVRRYVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDIYMYKDLIIALAKCKKMEEAMVIWGNMRDENLFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMR >Dexi5B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:5B:17522680:17528371:-1 gene:Dexi5B01G0016820 transcript:Dexi5B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIPSLGPDVATALAPDVEYRLREIMQESIKCMRHAKRTVLTADDVESALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDAIVPPAENKRSEHGKDDGHPVDVKLPVKHVLSRELQMYFDKIAELTMSRSDTSLFKEALVSLAKDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVRSLLHNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVALVCRRFGHVYHNLQNRLTKTLIHAFLDPAKSLTQHYGAVQGISALGPSAIRLLLLPNLVTYMQLLEPELQLEKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPVLLSPSTRPLLRSDKRVLTNNPNKRKSSTDLSASQPPLKKMAKDASVNSMAGNMQGAMDGFSTQLGNPGMMQASSSGQIVESIASAGIRRDQGRDLAQRVSTVLRQAWKEDQDAGHLLGSLYEVFGEGIFSFVQPPEISLFV >Dexi7A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:7A:20586838:20592276:1 gene:Dexi7A01G0009570 transcript:Dexi7A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGHVCSVLASLMLVWLGVAAAQEASSWKTLSGKAPAIIANGGFSGLFPDSSEDAYGFVKYSSSPDTILYCDVRLTKDDVGICLPNIKMDTCTDISDVYKQGQKRYLVNGVPTSGWFSVDYSITELDQVTPVMRSINSRSPRFDANFYPPLAVEDVQSKFKPPGIWLNVQHDRFYNQFNLSMRNYIISVSKRVVINYISSPEVSFLSSILGRVSNKTKLAFRFLDESTLEPSMNKTYGSMLKNLTFVKTFASGMLVPKSYIWPTSPDNYLQPHTSLVEDAHKAGLEIYAADFANDFVFSYNLSYDPLAEYLSFVDNGVFSVDGVLSDFPVTPSEAIGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGVLHAAFVAEKLGFDVVDTVIKALGDAGYNNQTVQKVMIQSTNSSVLEKFKQQTKYDLVYMINEEVRDAAPSSLADIKKFASAVSVHTSSVFPQTDFFTMYKTNLVQTLQTAGLSVYVYTLMNEFVSQAYDFFSDATVQINWYVKGAGVDGLITEFPATARRYKLNNCMNRGSSTPIFMDPARAGGLMQTLSKTAQPPALAPSPLLTDFDVAEPPLPPARSSNNSTAATHSGATRMHARATHILVLVILSMLFAWSSLV >Dexi9A01G0036250.1:cds pep primary_assembly:Fonio_CM05836:9A:40762029:40762661:1 gene:Dexi9A01G0036250 transcript:Dexi9A01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSFVLAALLIVLVTGGGQVPRCAGLGAAASATTNSVLVSGVVPCATGNSISAATAPVFPDAAVQLVCSGTVVASATADGNGAFLISLSNVEKGLVTAVLGNQCKVVVTTPLAACDKTLASATGTLTAQLKLLGIDTGSGSGLDLGGIIGLIGQIVGGLIGGILSIGTQSFSLV >Dexi6A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:6A:20995795:20997473:1 gene:Dexi6A01G0013720 transcript:Dexi6A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDLQSVATLPTITPLNGDVSYLRWKESMLLVLNTAGVAHVLTEDPPPPPPPSCDGGGGASPDAAAAAARRKWARDDAVCRGHILAGLSEAIFPDYVRHVTGRSLWRAVARTYDLDAPSVSWRKFTELEFNFTGGGGGAPSFLEQLAHAEALGIAGEPSHRDLVDYTLGQKLPADMASRATVVLSDGSISVSMEKAWEVARIWERNRISEEDELGVRAAMAEDEEKGWVCCNFGNNAGIGLGAKNTRA >Dexi9A01G0035630.1:cds pep primary_assembly:Fonio_CM05836:9A:40237234:40238541:1 gene:Dexi9A01G0035630 transcript:Dexi9A01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIATAGPARRNSPSNPINLSMEPGWIQERQQLFAGLSGRVAGRPRASLSMILSAPPGYYTCSDSRPNGGPTWPRRIGDKAEGRCYPLNYGHDGSAGPHQLPYQRLQMIIMDLGLKPTALSRLPHLSAAATTSAAAASQPPIASRG >DexiUA01G0010690.1:cds pep primary_assembly:Fonio_CM05836:UA:21237952:21238355:-1 gene:DexiUA01G0010690 transcript:DexiUA01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVALKEVYQLHKFPLHRGRQKYSLEIDCSHYETEVSSAVVQLALLG >Dexi6B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:6B:24007344:24009434:-1 gene:Dexi6B01G0016710 transcript:Dexi6B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVDAAAFGSVDGVVGEIMRLHRSLPARPALEEVEAAEALAHAADREERARLDAVARLRRPPAVPDELFGVALEMHRALAAFHCREQKRDATRLLELDALHALVDDLIQRASQCVPSSSTSTRAAPRITSAAASTSAASSSSASSSAVAADRNADRYSSTGTNGFSAARTGTGRVSMDDSYVKKAKAAVWNDGVVTTSSHMPRGAVAANSAVARVDGSYGDNDEKLTHIKLASMIEVAAKQGSRELNLQGKLMNQIEWLPDSIGKLTGLVTLDISENRILALPDVIGRLSSLAKLDLHSNRIAQVPESIGDLSNLIYLDLRGNQLASLPSSLGRLVKLEELDVSANHLTSLPDSIGSLTRLKKLIVETNNLDELPYTIGQCVSLVELRAGYNHLKALPEAVGKLESLEILSVRYNSIRGLPTTMASLTKLKEVDASFNELESIPENFCFVTSLVKLNVGNNFADLQFLPRSIGNLEMLEELDISNNQIRVLPDSFGNLQRLRILRAEENPLQMPPRDVALKGAQAAVQYMTEHVAKRATARSQPTKTKKTWAQFCFFSRPNKRKHDRIDTAL >Dexi9A01G0023700.1:cds pep primary_assembly:Fonio_CM05836:9A:19127268:19128751:-1 gene:Dexi9A01G0023700 transcript:Dexi9A01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNFLKTQQLQNDKQLFLLPKRQLVTYALYVLVAVALLYLFVDPAAPASSTVKPSVAAPWMQEELTPPSSSPPHYHERSRSAATTQVAVSSSSASCDYTDGEWLPDPRPPPYNGTACEAIKDGRSCMANGRTDTGYLHWRWQPRQCDLPDFSPEAFLRWLRNKHMAFVGDSLARNQAESLMCLLTSRSPAELVRREEDGRFRRWVFREHNATVSIFWSPFLVRGVEKSEHDGVRYNQLFLDAFDERWMSRWLAAGGGDVTIDAAVVSVGQWFRIPSVYHEGGKVVGCYGCGKELNHTETSFFAVFRDVLRRTLAEMTRRNHHEHGHGEKLVALTTFSPSHFDGEWNKGAPCTKTTGPYRKGEKEMGYTETEMRKIVVEEAAAAAAAAAAASEVRFAAVDVTAMANLRPDGHPGPYMRKNPFAAAEEGRPVQHDCLHWCMPGPVDTFNQILLQTMLRR >Dexi6A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:6A:25986340:25990667:1 gene:Dexi6A01G0018210 transcript:Dexi6A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPLFLHQIQPLPPHAAAAKKRAHPWPAAVSTAKAAAAVPGDGNWNPKMWDWDSRSLTARPSSDALRLGGTPQGQQQQQQQPASAAAKAAEANRAGAGGNGGALNLHLGLREDAATAMDASPTAPMASSPSPPASASAAGQEPVVRPSKRVRSGSPGSAGGSAGAGSANGGASYPMCQVDDCRADLTSAKDYHRRHKVCEAHSKTTKALVASQMQRFCQQCSRFHPLAEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAGNRTQDIVNLITVIARLQGSNVGKAPSIPPIPDKQNLTDQETRPYLSLQLFGSTEEDIPPKMDSANKYLSSESSNPMDERSPSSSPPITHKFFPIHSVDEEDRHPHDYGEDAAMVEVSTSRGWCAPPLELFKDSDRPMEDGSPPNPGYQSCYASTSCSDHSPSTSNSDEQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWEELEENLLQRVNTLVQNPDLDFWREGRFLVRTNSKLVSYNEGMTRLSKSWRTWNTPELTFVSPIAVVGGQKTSLILKGRNLTVPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPDLILGRCFIEVENRFKGNSFPVIIASSSVCQELRNLEVELEDSQFPDVSSDDQVHDPRRSKPRDQVLHFLNELGWLFQRAAACTSSSRFDVSDELVQFSTPRFKYLLLFSSERDWCSLTRTLLDILAKRSLVSDELSQETMDMLAEVHLLNRAVKRKSSRMVHLLVKFVVICPDNSKVYPFLPNFPGPGGLTPLHLAASIENAEDIVDALTDDPQQIGLNCWQSVLDDDGQSPETYAKLRNHDSYNELVAQKLMDRKNSQVTIMVDKDEVHWNVGGARALQTQSCSQCAILESGVLRKPLRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRINSGRSFKWERLHYGTE >Dexi1A01G0023130.1:cds pep primary_assembly:Fonio_CM05836:1A:29727616:29727831:1 gene:Dexi1A01G0023130 transcript:Dexi1A01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAFPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGTAWTVVNLFHFAVTSSPDPFP >Dexi3A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:3A:588259:588513:1 gene:Dexi3A01G0000770 transcript:Dexi3A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSRCRLCFLLLLLLLVFTRPSACRPLNGNIIVILQQLPHQDAAAPSSSSMSIIQQQQYQWVLNSKPRGKPPPSAPSKRTN >Dexi4B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:4B:2637432:2638817:1 gene:Dexi4B01G0003760 transcript:Dexi4B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARPFHLVIASRTLVQASRPPPGFPAVLAVSNLDLILGPFPIFLVSVYPAPAAGLDAVLAAVRGALPGYLSSFFPFAGRITRDLETMIPEVRCNNAGAELVVADATAPLAALDFSEVDRSLGLIQIPFEASLAMSIQVVRFACGGFALTLGTTHLLADGRAFTVLLNAFAEMVRGGGLSREPLLDRSLFKPRSPPWHSASLDAEFARFTPETMINPLLAAAMRRRLYRIEAADLAALQAAASSPPGRRASRFVALCAHVWKLLARAVGDADPSCRMAWIVDGRKQVEPSEGALDRYIGNVVTYTSREVTVAELLRAPLPAVAAMVRSAIAGVMTATRFQELTDWMEERKAAFRDGGKWTEEVNLGLGSPALVMSGLLPFAIDGDLGFGKPRLVLPWVRHGRLGSAAVTVVPSPSGDGSWFVGATRMWPRLMEVVESDPLLNLKPAGNLGLAAPPCSRL >Dexi4A01G0022130.1:cds pep primary_assembly:Fonio_CM05836:4A:25439318:25444484:-1 gene:Dexi4A01G0022130 transcript:Dexi4A01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGPLFVAGLSGLARPKNSPQARAWAVASARRPARFGPVGGRVGPSSTQPHQATTMLLRARVRRAAGAGDSPLRPIRPSPAPLTTTSATTETSPSSAPDPEGVAAEVATLLSRCSGDWKLAIAASDLPSRLSHAAVSSLLLRRASSSPRIHPKLLLDFFYWSRPRLGPSPPSPDAFAHLAVSLCAAGLLPQANGLLDQMIRAYPTPPLVLSSVHRALSVSKHDRRLAVLDVLVDTYKKTGRVREGAEVVLLMKDLGLAPSLRCCNALLKDLLRADALDLLWKVRGFMEGAGISPDVYTYATLIEAYCKGRDFDSARKVLEEMRETGCNLNAVTYNILIVGLCRAGAVEEAFGFKKEMEDYGLAESGEVLAGRDVFCRRGRLAEPPNFSVIKSPPRALSLSLFRVFVFPVHTGRRRHAPMPLRLLPPPRRAAAPLLTPTIPHLARLLLSHAPSTPPLLLALLPACPALLTPLLSHLLLSHSPPLPALSLYRRLLALPHFPVPETSLPVLLRLLARSRRHAHLSFPLLESLPPTHPHLLSTPALAVLLSTALSASAPGASFDAAVTCFDSAARVWARAGRAFGAAELNALLRAFCSRGRVAEARALFHRYCDAYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKRGRFANALELLDEMRKKENCKPTLQVFTTLIYGAGIVRDAARARLLFDEMEKWGVKPDREKGDRFG >Dexi1A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:1A:2138782:2139622:-1 gene:Dexi1A01G0002950 transcript:Dexi1A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKERSAHASKGLPVNHCSGFRTPNNINSSEADGIPRESFRVLKNLELGLAALGGQKGAAYDRIVLNAAMADHLLGCSGAEDINTALDRAREAIDSGKALRRLMSYIKISHKVT >Dexi1B01G0025150.1:cds pep primary_assembly:Fonio_CM05836:1B:30309925:30315418:-1 gene:Dexi1B01G0025150 transcript:Dexi1B01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDEPDRGGASTRVPFPEDTCDSYAVSDGGTVNLLSRSLGEYNINEHGFHKRSAGPDESDSDEKAYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKVRINIPYYGQRDIKPANLLVNLKGDAKITDFGVSAGLDNTMAMCATFVGTVNYMSPERIRNENYSYAADIWSLGLTILECATGKFPYDVNEGPANLMLQVRCMSLNSSRFCFIPYCFDYIQYILQLLSHPFIKRYLKTDVDLAAYVKSVVDPTERLKQIAEMLAIHYYLLFNGSDGNWHHMKTFYMEQSTFRYFKP >Dexi4A01G0004720.1:cds pep primary_assembly:Fonio_CM05836:4A:3318466:3323602:-1 gene:Dexi4A01G0004720 transcript:Dexi4A01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQFPSRCSPAQAFSCRGRRSQCPGGRQEMEANGRAEEAQRWKEAGNVAYRKHFLETAVEFYTRGALLDPSDVSFLTNRAAAFLLMGKYKECVVDCDDAVERGTELGADSKLFAKALSRRASALLELAGCAGDHAPAIRDLHQLLEEYGSEEKLEKVDEAERWRELLEDQKRLALEAADRHRERGIRDRAQCCISLGHLPQGFKDAEKCVELDPAFLEVYVCKAKVQFLMENYKNALETYLEGLRCDPNNLEVLDGLMRCAACVKRVNSGDVDLEDFEKMLLHKLQKTIEETAIFKKKASDESLRCIEFEQKVAILRRVRHPHLVTLFGACSESSSLVYEFLPNGSLEDFLLCEEKRRMLPWRSRIRIIAEICSVLIFLHKNKPHPVVHGDLKPANILLDANLVSKLTDFGISRALIQSSTNMSALHCITDPVGTALYMEPDFLTTGELTPHSDVYSFGIVVLRMLTGKPPGGIKKIVEDAMEKGDLNSVVDTSAGEWPDVHVQQLAHLAISCTESRRSRPDPSGEDVMDEPHFAADGFTYEGEAIRCWLSSGHDTSPMTNLPLGHSQLTPNYSLRSAIQEWLQQQRAASF >Dexi7A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:7A:19242186:19244241:1 gene:Dexi7A01G0008060 transcript:Dexi7A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAEAGGGRRGTLAKVSLSSVSAAMAEASTYPLDAVKTRLQLRRSPGGAGGGGVLRVAAELARDGGVYRGFSPAVLRHLMYTPLRIVGYEHLRSTLASGGRDVGVVEKALAGGLSGIAAQVVSSPADLIKVRMQADSRLLSQGIQPRYTGIADAFTKIIGGEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKHLIIRKQICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKEGKALYRNSYDCLVKTVRHEGVMALWKGFLPTWARLGPWQFVFWVSYEKLRQASGISSF >DexiUA01G0012520.1:cds pep primary_assembly:Fonio_CM05836:UA:25385659:25390773:-1 gene:DexiUA01G0012520 transcript:DexiUA01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSVQWRSPSRLLRIPIGDWLVGAGRRPQRRRRGEPASLGLDAPNGRGRSELRRPAFDVRSPVARLQREEPKKQSSSEAGQRDEEMNRRSSMAATCISIVLLVLSSAAAAAAPIPTNGNGSDTDLAALLAIKAQLPDPLGILSGNWTTAVTFCHWVGVSCSRHRNRVTAVELQHLPLNGVLPPQLGNLSFLTVLNLTNTSLTGTIPDDLGRLHRLKVMDLMMNSLSGSIPPSIGNLTSLEVLVLNLSGSIPHSIGSLPLLEYLNLQVNHLSGPVPPTIFNMSTLQILALTYNYGLTGPVLGNISFSLPMLQRISIGMNSFTGQIPSGLRACRFLQHTQLHSAIPESIVMLENLQWLALERNDMFGPIPSNLAMLKNMPSNVLFDEDMTAHVADFGIARLLLGDDSSVISVSMPGTIGYIAPECGAYGKASRKSDVFSFGVMLLEVFTRKRPTDAMFVGDLTLRQWVFQAFPAELVRVVDDELLQWLSSCNLEDFLVPVLELGLLCSSDSPEQRMTMSDVVTRLNKIKVECNKSIATAQKIAQ >Dexi1A01G0030790.1:cds pep primary_assembly:Fonio_CM05836:1A:35967368:35971655:-1 gene:Dexi1A01G0030790 transcript:Dexi1A01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDIKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQATQNYHEYQMIMNIVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHAYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSSLATSGTHRSPGGYGGGYDNDRYEGSYGSRYDNRNGNGREREYGYRDDDRYGGPGDTPNREGDRYSRDSNERYGRDYREDEYKGSHSNHEYAEGSGRRSYGRERDSYGDDEAYSSRGRGSNADGPTQDERPIERKLSNQQIASPPPNYEDVTRHGQDNLHDDRNGGSVPAAIPKVSSPVPATTVPTGQVNGVHDSTVEDVPAPPTAHAEPNGFDEFDPRGFVPDPSPPVNPAPVANSLEMDLFGSDPISSLALVSVPQPTTTSNAEPLANSGFEANSFMGMPPISGFDEIDASNPFGDPTPFKAVHDENHAVPQTNAAPAGSFQSTGPSADANPFQPASAASFGFGDTLGDLTFASNTVPEQQDIFANTASLPSEVSAVNPSAFPQQPVSTNFPSQVSQPAVAGPSPVTHAAPTAFAPSQAPQAAPAFAYSQVPHPADSNQSQFPQAVATSFAPSQVPQPGAPNLQPGQSNFFMQPAPGAGFNSQTGFPTQNGAPTYIPPQTSQFTAPTNQQPSQPSFLPQTGTPAPQPSLISRGASQPLGLPNSVPSATSFPLQSSSSAPPETLISAMQVSQTEPVKKFEPKSKLWSDTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKINQAPVVSTVTMGKAMGAGSGIGRVGAGAMAPAPNPMSAGRPMGMGMGTGAGYGGGMGMNRPMGMGMGMNQQQMGMGMNQQPMGMGMGMNQQPMGGMNMGMGMNQGMPMRPPMGMGPGGMTGAGYNQMGAAYGGQQPYGGYR >Dexi1A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:1A:6075660:6080936:1 gene:Dexi1A01G0007880 transcript:Dexi1A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSDVRFVSSGVKLPSASAPSPAPAPAPQLLSAALPFAYVGRAVEAAARRLGACLPRVPAARADPAPPPPPARRHGKDAGGGAEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANILPSKFLEDAFRDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRKTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGRSAKIFSLECSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNFTDPWIDGDNKRTSRTVMVQNSRTPGTLVHGGDHPDHGPITIGRVTAGVEYSRPFRPKWSGTLGLIFQHAGARDDKGNPVIRDFYNSQLTASGNAYDDTLLAKFESVYTDSGDHSSTMFVFNVEQGLPVVPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSFGPLEGVVFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >Dexi1B01G0022870.1:cds pep primary_assembly:Fonio_CM05836:1B:28544841:28546924:-1 gene:Dexi1B01G0022870 transcript:Dexi1B01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDDLAAACYALPVLVALFTVRFFYVLWRSGQPSSRPQAAGLRCLIVLGSGGHTAEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEESLIQVESGEKKTVENAHFMQIYRSREIFCNGPGTCFPLCVSAFLLKVFGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >Dexi5A01G0026810.1:cds pep primary_assembly:Fonio_CM05836:5A:30397685:30400037:-1 gene:Dexi5A01G0026810 transcript:Dexi5A01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALKQKGTASGFKLHGLNMEPGRFSTSSITRMSPLSTQPKPKDLSSDAKPTQHEKAISDRPSQQAPPPHDDARPTQHEKEISVRPSQQAPPTQEDDAKDLSPDAKPTQHEKEISDRPAQQAPPPTQDDAKIAIGRKGSCNLSVTVPREPAVQSADDGSPGALEFSFHPDEQSLKLQRACSSPAPFPRKKAPGDEALTLTRSSSLSVLDEGPKLMQRARSPLPSRHVPEVFQEAKSASKRFSTPPPPRRSASSLDLNGTPPVPVRAPGKTNHRKEGHANGRVKVSTTELLEKWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPDDEEDAELAAQLEKQFNTEVTTLSRLHHPNVIKLVGACSSPPVFCVITEFLSGGSLRSFLHKLDHKALPLDKIIKISLDIAHGMAYIHSQGVVHRDVKPENIIFDEEFCAKIVDFGISCEEEYCDPLANDTGTFRWMAPEMMKHKAYGRKVDVYSFGLILWEMFSGSIPYEELNPFQAAFAVFDKVIASYSFHSLVILYSLASY >Dexi9A01G0027950.1:cds pep primary_assembly:Fonio_CM05836:9A:32557963:32560350:1 gene:Dexi9A01G0027950 transcript:Dexi9A01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEENYGASIRTPPDLSQEEHHINHCHVVSRSWKARFPIASTLSTSVPRMHVLSRPPSYAYKRGREAHAKGQVTAAQGLRSSPPSPILLVKPYYEQYETGARHHCWTYGPVAGTRIKTPVSSPAIGATSGLAPQSLVCAGVTKSGTDRSIHYAIAGCSTGMPFFPPQRNALRGALLCRTASHGNRGRGVGPTCSRAIPTTGLRRRRREARRPACLAALPASPPEQITKSKQRREMAGHRIEAETTKSKHKSKIGSKNHGIEAQINSEITNWTQQREREGYRSRAAAASPLDLRLQHRANESHRRIEPGRAPAPRRIELTRCRIDPGPGGAQVGADHGGAQARAESRRREEVAAEGAGELEAAAAATRREPLCSIEGSEQQHGERKREGKKRGRIGQVEGISLLIFLF >Dexi4A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:4A:958494:959210:1 gene:Dexi4A01G0001520 transcript:Dexi4A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTAYDEHEESPELTTGGEYATVMSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRAACLNFADSARLLRVDPSTLATPEDIRRAAIELAEASAHQDAAAAVASSSSSSSSAATGGAASDAMATMMHHQQQEYADDYAAMYGNMDFEQSYYYDAMAGGGAVAGGGDWHQTGWHNMDGDDDGVAGGAGCGSDMTLWSYY >Dexi3B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:3B:278706:279461:1 gene:Dexi3B01G0000350 transcript:Dexi3B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAIMAGSGSSSGSSSPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQHDAHHAAIASSPSQHLKQQQLMEMECEAAAYGSLLMQNGRLMNSTAAAHHQQMLGSLGSAGNSAMMLQEITCLKKESLWT >Dexi1A01G0024340.1:cds pep primary_assembly:Fonio_CM05836:1A:30932303:30934896:1 gene:Dexi1A01G0024340 transcript:Dexi1A01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTHAALFHHALPSTSTAAAARHRRVPPAPRRPRSRARRLLRPMASSTTAQAPAPAPPGLKEGIAGLYDESSGVWESIWGDHMHHGFYDSGEAASMADHRRAQIRMIEEALAFAAVPDHEEKKPKTIVDVGCGIGGSSRYLAKKYGAQCKGITLSPVSLQVADALEQPFPDGQFDLVWSMESGEHMPDKSKFVSELARVAAPGGTIIIVTWCHRNLEPSESSLKPDELNLLKRICDAYYLPDWCSPSDYVSIAKSLSLEDIKTADWSENVAPFWPAVIKSALTWKGLTSLLTSGWKTIRGAMVMPLMIQGYKKGLIKFTIITCRKPGAS >Dexi8A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:8A:24666060:24666422:1 gene:Dexi8A01G0014220 transcript:Dexi8A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSRKSLSAAAAIVVLQLIIVATCEPASVAEQTCRHLSGSYKGICVYNLSCYFVCTDESTANIDGSCDFFQCWCYTNCPSEIVAADASAPIQP >Dexi2B01G0027320.1:cds pep primary_assembly:Fonio_CM05836:2B:36307695:36315115:1 gene:Dexi2B01G0027320 transcript:Dexi2B01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEFFHSGPRHMMVRVDAGSYPATMMKINWDSDEHRRCVAACIVNGIYIMESDEPSLAPAWWESFGFRLLGAPLTFKCECVLCLTKTKLFAHRPCPIYGAILEHSPPPGSRRHPSAPRYVVAFRGTLPRHPGDMHLNLRILANKQHACGRFRDARDKVAMFLDSIAAAAAAPNGRSGAVWLAGHSLGASIALDVGRTMMARKNKQGWNLPAFLFNPPQVSLAPSMLPHTLRRVAKGVIYPTSYAAKAALGTTVLRTHERDMDTLFDTLAPWVPELYVHERDVICQGFIDYFEQRHKMLNRWLRPVAEVAMKLSLRDMIISLSTSGTETGEDQRVRPHLLPSARLWKNSSYHDAHGLEQWWRPDSELRDSNEHRRCIAACMVRGTYVMESDRAKRTHGTSRALAPAWWQSFGFRLRDVREDVLDCECDCLFCRNRFKFGSQHWSIYGAILERVPTAQDWRHPPSPAAPRFIVAFRGTMRPWHRGDTFLNLELLVNRQHACRRFCHARRKVGELVASVAYYYGGGGGGGGAVWLAGHSLGASVALDVGRDMATKGCYLPTFLFNPPQVSMAPLLNMLRVPEVAKRFMYRVGCKVKAKLGARTTKMVTLERKMEEQFEQMAPWVPELYVHERDLICRGFIDYFEQRQNMLEYYGSSQVALLGTRLSLRDMLLFLHAEDKEEKPAVQPHLLPSARLWKTSIQGHKHGLNQWMEPDWMLNLNYRLYSYPAGA >DexiUA01G0019870.1:cds pep primary_assembly:Fonio_CM05836:UA:41302782:41303186:-1 gene:DexiUA01G0019870 transcript:DexiUA01G0019870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKLAVFLTVLSFVAFVVHSCEPNCPTPAPPVSPSPPAVPTPPSGSGSCPIDALKLNVCANVLNLLKLNLPVGNDQCCPLLQGLVDLDAALCLCTAIKANILGINVNADIDVSILLNHCGKTCPADFTCPSN >Dexi9A01G0023640.1:cds pep primary_assembly:Fonio_CM05836:9A:19055787:19067939:1 gene:Dexi9A01G0023640 transcript:Dexi9A01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSARSSDLVARGRGGGREGGMSARIKEMVRVATARLGGEQTSGGGASSSSGYGRRESTARLGGGGTSLRRQPQPMPPTVRTVYCNDREANAPVGYKGNSVSTTKYSVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDILQGQHWERTPWKRLQVGDIVKPNSDGTSLEQIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALERTWDFVTPEKASAFKGELQCEQPNNSLYTFTGNLIVDKQTIPLSPNQLLLRVMMNSMNVPSKRSTLEKKLDKLILALFATLFSMCVIGAIGSGVFINEKYFYLGLRGHVEDQFNPKNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGTGITEIEKGGAERAGIKIDDDEGKRSASAVHEKGFNFDDARLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDVPYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNHDMKKTSREHLEQFGSAGLRTLCLAYRDLNREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQEGVPACIETLCAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDVIREAEGRVRCTYCSLDRYVNEVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKRYPQLYKEGIRNSFFKWRVIAVWGFFAFYQSIVFFYFTASASRHGHGSSGKILGLWDVSTMAFSCVVVTVNLRLLMACNSITRWHYISVAGSIVAWFVFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWLFPYDYQIIQEQHRDEPHEYSRVQLPDTSHLSPEEARSYMISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKAWDVARRASMKPQRAGKS >Dexi7A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:7A:29414615:29415144:1 gene:Dexi7A01G0020660 transcript:Dexi7A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPARCGPALSGPHRAELPAQARHYGHFLPDQPTGPSKEMGTKFARHYISGRAGTGPIAHVSGPGPFGHL >DexiUA01G0008510.1:cds pep primary_assembly:Fonio_CM05836:UA:15942624:15950226:-1 gene:DexiUA01G0008510 transcript:DexiUA01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPRVIPDNEPAEVEHQAATGEALGPSVFIQAKTNATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEVQQDQDVHVPPHSQLARNKERPYENVGQPASAVAAHLLPSSPAPASSSAGSCLLHRPLLPPPAASDWNGVVSAPYLVGAALERRCGSILPGKPCRRLPPPAAAMEVLHEEMPFDLDFHPSSPLVVTSLITGELCMFRYGPESQPERLFSVKAHEESCRAVRFVDSGKVILSGSADCSVLASDVETGKAIARLEDAHENGINRLICLTETTIATGDDEGCIKVWDTRERSCCNTFHVHEDYISDMTYVADSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCSDRFLGHTQSVDTMLKLDEETLISGAADGVIRLVGILPNRIIQPLAEHSEYPIEALALSNDKKYLGSLSHDKMLKVTIPSFSLYICPCIYLTWHLVCMQLWDLQELLNGPQAVNGGEHAESGSDDSDDDSDDDGMDVDMAPTSSKGVTLSSYVDQGEKMWARIRVQVDRDQISLLIYRPKASKVEAASCILFVTV >Dexi5B01G0028250.1:cds pep primary_assembly:Fonio_CM05836:5B:29639312:29640634:1 gene:Dexi5B01G0028250 transcript:Dexi5B01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPSDPPAAAAAACPRWVMLSRHSDRRAIADDAKATSAASHTSSGHLLHVSFPLTSPPPACSTLYHDWPHIADPAARIQGPQIFASHGDCLLLDVTSGDPDRWRDTVVTDYFLYEAAAGARPPALLLLPTCFIPMIFERKKKHDDEDQDPSPLWSDYTGILRRRDGEVVVARLEVPKATPRRTAELCVLDTGRLKWEIDQEVPIIHGESTARQAQELTQWWKTDEAVPVGDRFLCFVDYLRGFLLCDMAATAAAQELRYVPLPLEESPNRFPGFYDDERPTTQMFRNLAAVRATAVRFVSVDRRCCCGGHGVSTCERGKFLFKVTTWTLSLTADDDEPPATWVMDSELECEELWAMPGYGSVPRTERLTFPVVRCDDPDVVCFVVHSPYRNGITMGPEDRKVWVLEIDMRSKELRSVTVYDNSDRQAEYQVAAQLKCF >Dexi7A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:7A:23164513:23167781:-1 gene:Dexi7A01G0012990 transcript:Dexi7A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNLLEISKAVLENLQCLQMADDPHVNFGAFSQSLCNQHVVSFQTSATTSGSGGMSPYLDCSTGMDASVGMLSATPSVVVSTGSSNMPADPGQNLKYGGPLAADWTHLELQILRDGLEKYVHEQGIMKYIKIAASLPNKTVRDVAMRCQWAGKKVNTRRRKPQEHHTGRNIKERKDKSVEPALWGANHPLQTGMRANSFVPHNVQNNLFLSGASEIDPVQRLLEENNRLLTQIEANILTSQAQYNIDLFHRARRNINELQDITIQLPGMSTKMPPLRVSVNENLANFVLPGITMVRI >Dexi6B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:6B:23694366:23695672:1 gene:Dexi6B01G0016430 transcript:Dexi6B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKGAAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDETD >Dexi4A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:4A:15553526:15555903:1 gene:Dexi4A01G0014100 transcript:Dexi4A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRKRPLNRKEISRKEDDIITVDAYASSLTVHETKLKVYNETVEPIIPAIFQRTKATCFAYGQTGKKLCMREDAKQQVCIVGLQEYRVSDVQMMKELIERGNACRSTGTTGANEESSRSHAILQLVIKKVVEAKEPFRVVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFIGNSRTVMISCISPNRIWSS >Dexi2A01G0021840.1:cds pep primary_assembly:Fonio_CM05836:2A:33811486:33812166:1 gene:Dexi2A01G0021840 transcript:Dexi2A01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSFSRSPPATSTATTADESSQRPVSAAKVVNLDGSMAQFAGPVVTASEALRALGDGGGRSSSPRRFLCSSDKLGFDAPPHALAPHEALQPGQLYFVLPAPMLRRPLSGNDMAALAVKAATALAVDAGLVTSSSSPRRKEHDGGAAGKGRRRRKSSAARVAPLLVVSSSNDDDTHGGFTARKAEQDGDRTVGKARQGAAGRRSGGARRRPTAQRLSAIAEDGE >Dexi5A01G0026380.1:cds pep primary_assembly:Fonio_CM05836:5A:30033264:30033910:-1 gene:Dexi5A01G0026380 transcript:Dexi5A01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSGATGLPAYREEDDEELFETSSSISGDSDDEDQFSDGEGAGGFEHQFMQQGASPAQQSVRRLNSDSLYDLSSMMAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKETPYKTIKPSKSYVTLDEDHDCYLPAPNSRGIAKKPSGNSCANLAARNSSKNMLYRPPPISVNKSGYHQ >Dexi7B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:7B:18079382:18080334:1 gene:Dexi7B01G0010870 transcript:Dexi7B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSMANPPTTTLTVLALGLLALLCAAVGPAAAQNCGCQPNLCCSKFGFCGLGDPYCGDGCRSGPCYSGGGSGGGDVASIVTDAFFNGITSQAGAGCEGSNFYSRNAFLNAVGSYPGFAQGGSSDDSKREIAAFFAHATHETGHFCYISEINKGNVYCDPSFTQWPCAAGQKYYGRGPLQISWNYNYGPAGQSIGFDGLGNPDAVAQDPVIAFKAALWFWMNNVHNVMPQGFGATIRAINGALECNGNNPAQMNDRVALYQQFCQQLGVDPGSNLAC >Dexi5B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:5B:17422347:17423389:1 gene:Dexi5B01G0016780 transcript:Dexi5B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVATTTAWTREEDKAFENAVAAAAAPHADGQPDNGWFTALAASVPARTAEEVRRHYEALVEDVAAIEAGRVPLPRYAAEETSAATPDGSGAAASAPKDGGGGHRREERKSSVDVGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGEVAAAGAPITGGPAAAGAMPMGPAGMKHHHPGPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHSPYVVPVGYPAPPAKMHQ >Dexi9A01G0025670.1:cds pep primary_assembly:Fonio_CM05836:9A:26359553:26360623:1 gene:Dexi9A01G0025670 transcript:Dexi9A01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFAVERNSKTRLLLDVSSGKIRGLSSSVFFPDATCAFENGGWLLMLQRKPPSGFFTERAAGAVFLLHPGTGRRLDLPPYDSSSDDELFVFHVDSHGTPLVATRVETLGFVPTVHVACPGDTYWSVYEHDTTDIAVVDPPPPRGESRATPRRRQRRLLDPASFVDVALLGTQAVFLDANGEVVVFDLAETAWRRRTPAVRPDSGFGQYARSLVAAGGEVLLVSRPRRTAAEKNAFRFFKLDMEALEWSPLERRELEDTSWFLRRGQSFRAKDTGRRRVYTFSGPEHCGGGGGSVAAEASVAAGSFSLGQGMSMKAITNVYACDLDDGSVEMVMPASIVTEAHHWVRPSVFATPAT >Dexi7A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:7A:28828065:28829535:1 gene:Dexi7A01G0019790 transcript:Dexi7A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEALVDSRRTRRDYAARPVGEPVRDQGAHRAQPERPRLQLHESNIILQYLDEAFPATDSHPILPADPYNRAVARFWASYVDDKVFGTWLRVSTGRTNEERVEAARQVVAALQTLEKEAFKEEEAPVLFAGDTSSVLGLVDVVLGSLLGWLHATEAICGVKVIDGAKMPALAAWAERFRELDCVKGLIPDAKRLVEYNMLRRARKGLPMLSPYVE >Dexi8B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:8B:21887197:21888087:-1 gene:Dexi8B01G0012530 transcript:Dexi8B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVHRDWSGLPEDLTAMVMRSLDIPDLFSAGAVCTSWYAAYSAVRRVRIPITDTSPCLLYSCADDDPDTATVYSPAAGVSFKVRLPAPAFRSRHLLGAAHGWVVTADEASNLQALNPLTGAQVDLPPVTGLHHVEAASDDPGRPGYNLYNELYPNTPAFYTADELRMYLYNRVCLSCSPSAGHGCVLGEMSYARLGDDRWTLITENETVPWSYGYRTAAYNDKDGLFYVLTHECSIYAFDLNGPAPKARRVSQQFKFFDYPNSYIVFAPWDTPYFKH >Dexi3A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:3A:3735290:3735699:1 gene:Dexi3A01G0005770 transcript:Dexi3A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMSASVWITATATLTYRMDVKVHIDECALRNEYPDLQKDYPCSNNGICVNKLGGYDCPCRPGMKGDGKNGTCTEQFPLPAKVVAGKLILSTRSA >Dexi9A01G0030670.1:cds pep primary_assembly:Fonio_CM05836:9A:35651223:35652086:-1 gene:Dexi9A01G0030670 transcript:Dexi9A01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRGAARGEEELTLLGVWPSPFVIRARVALNLKGLPYRYVEDDLDTKSDLLLASNPVHNKVPVLLHGGRPVCESLVILEYLDEAFPTCGPRLLPDDPYDRAVARFWASYVDDTLFSTWKPVYNGRTTEDRVEAARQVVAVLERVEQALVECAKGKAFFGGDGVGLVDVVLGGFLGWLRACEAMCGVSVIDPAKTPLLVAWADRFGALDGVKEVMPDVQRLLEYNNMRRARRGIP >Dexi9B01G0048840.1:cds pep primary_assembly:Fonio_CM05836:9B:47722599:47729042:-1 gene:Dexi9B01G0048840 transcript:Dexi9B01G0048840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGHLRPASYLGDVSALSFLPSSPRPLLLAGTGSELLVYEVDAAGHVAAFQVFDGVRVHGIEPRGGSPKCSSYSLAVFGERRVKIFSLGFAVSADATQVGELRLELEQRLPGFDHWVLDARFLEVDGLLAIGLSDNSVALWDLKDRVLVSRVKSPEKCLLYSMRMWGDSVRELLVASGTILNEILIWKLAPKNRKSSLLCLNEGDTSDVNSREDNNLGAKEYMAVQLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARMWILRFKPEGFVNQTASHENVEIIPKLTLFGHSARIWDCYVSDSILIAGDKKGNITAFPFPKILVLYDSGVTQQKVPPCDRFKGAHGISIVTSVHIKSSAFDHIEIHTTGGDGCICFFKYGRNVRKIEFFGMRQVKELGTIQSIYTNLAPESQLSTYAIGFTSADFIIWDLENETKMAQVSCGGWRRPYSYYLGPVPEYQNCFAFVKDHDIHIHRHWAPCQDKKVLPQVLHLQFHGREVHSLCFIDPASYSDLESSSDLLIATGCEDGTVRLTGLTVCFVVVACSDATLVLRALLLPSRLWFDVALLVPQTSPVLVLRHIIINGSHYKDDVGDRYLIVSGSTDGSITFWNLTETVHGFMQLISETQPHMTIDCQKRPRTGRGSQGGRRRWRSLVNHSLKKIDEDISLPDGRNLSTPYVAENSSETSGVENTQNVVHERSDRSNSEMPSSTQSCDIPELRPIQLLSGVHQSGVNCLHISYSTPDKSFCIISGGDDQAVQCFHFTVGSLGDCSTTTARLNSHDNGTLQILYQHKVPSAHSAAVKGIWTDGIWAFSTGLDQRVRCWKMGLSGKFTEYSHAITSVPEPETLDVFHDRAKMKYQIAVAGRGMQMVEFSPPEND >Dexi5A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:5A:2018379:2023187:-1 gene:Dexi5A01G0002800 transcript:Dexi5A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSSAFDDGQCRATPPPPGKLSAAVRREEEARKQQQRQHARAGSGREEAMERRRAMMAMAAACQVRSPVTRVVEAEQVAAGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQTISIIIVQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSAGCILAELYAGKPIMPGRTEVWLSSCIKYLNSVVRRQRTIGGNLNFPMPPFSNLNTHPSDRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGVAGGKQHKHDPERRTRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPCKEDSASGFRIEPPRPTPVTESSEDSQRAYPTRIFHSGPLVNQNQASKGGGVKNGDIQVPGAVNHPVVASTRSSLRSDDSSRTMVVQAEALAHGRRLSESINEHFNNSGKYDQVFPKKDDRSSRADGAIGYGSKGNKIHHSGPLICPSGNVDEMLKENDRQIQEVFRRTRVEKSRARRDHGHHQGGGIRPGDFGAIPVFPSSRSSYQAVQQSGA >Dexi9A01G0041050.1:cds pep primary_assembly:Fonio_CM05836:9A:44700990:44707647:1 gene:Dexi9A01G0041050 transcript:Dexi9A01G0041050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSWPLSARAFTPLAAGGIGASVASLRFSVRRRRAARLEVKAFGNVFGNFFQVATYGESHGGGVGCVISGCPPRIPLTEGNVQVELDRRAGMKGLSHRRPGQSRITSSRKETDTCKILSGTHEGMTTGTPIHVIVPNTDQVGGDHSEIAKMYRPSHADATYDFKYGVRALQGGGRSSGRETIGRVAAGALAKKILKLKCGVEILAFVSKVHHVVLPEDAVDYETVTSEKIESNMVRCPDPQYADKMIAAIDKVRVRGDSVGGVITCIARNVPRGLGSPVFDKLEAELSGAMLSIPASNGFEIGSGFTGTDFTGSEHNDEFYMDKAGNVRTRTNRSGGVQGGISNGEIIYFKVSFKPTPSIGMKQNTVSREHQNVEFLARGRHDPCVAPRAVPVVESMAALVLTDQLMAHIAQARRSEVCLFLTLSLKRGAMERKEELGEDNNVEEQLVGGVLRRSSGDVSGELLGGGTLEAPP >Dexi9B01G0005990.1:cds pep primary_assembly:Fonio_CM05836:9B:3542330:3543350:1 gene:Dexi9B01G0005990 transcript:Dexi9B01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACSSPDLMVLPDMLVVNLPGHKQGLEWLSVECSSKAAYGCGEHGEGLIQGLSLSLRRLDRLDLNSSLSINLNSAALQSIQLELGVTDQIMRSGNPVPNVVATIQSAAARVLVVFVVFRLYQNLERRAYYLVYDATDASLYMIPCLPEHSLGARYTMAPVPARAAGAGGHELALTARAYFSIRDCGRLCVCTPATRESPCPGKNGPWAVKMQRLPKLPHALSADLTFSLDGNVFWADLTQGVAYSNLRHQSRSDVVFVELPDVWMPG >Dexi1A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:1A:253198:257738:1 gene:Dexi1A01G0000430 transcript:Dexi1A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSASRREARSGELPKATTIALRDAATKAVDLAKTAAAREATAAAVRHEGWMVRYGRRKIGRSFFHTRYFVLDSKLLAYYKKKPKDNMVPLKSLLIDSNCRVEDRGLKTHHGQMIYVLCIYNKKEKEYQITMGAYEIEDAMAWKKKIELVIDQQQDSMTAKNRKAFASMDFDMDLGGQFAFSDHDSAAEDDEERPTLTRRTTIGNGLRIFEELLEFDYLARSCSKAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRHGSLVEEVDGHTAILYHRLQLHWCSMLIWPRDLCYARYWRRNDDGSYVVLFRSIEHPNCGRQRGFVRALIERLREYFSQTDELHITPRIPVMETMVDADSEPKNRKLQEVDSKTKPVDPRQADNKNMGMIDEESDEDDEYQVAEADLEEDLNKSDNDAKRTDEPPEKNDLSCFSGILHRDVEEKSRNCWTVPDSKLFKVRSKNFPQDKSKIPAASYLMELAAIDWFKDTKRMDNVARQKGCVAQVAAEKGMYTFVVNIQIPGSTHYSLVMYFVTSSLKKGSLLQRFFDGDDEFRNSRLKLIPSIPKVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPATAVVPDLSDNTRADKNGGDASSEDDLSKKIN >Dexi9A01G0024110.1:cds pep primary_assembly:Fonio_CM05836:9A:20239447:20243278:-1 gene:Dexi9A01G0024110 transcript:Dexi9A01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLAAPLIPRCSAGARPLRGRSTTALRAGAASSSMRSMREEAVARMPRLAHREVMLALAREAEARLGGALLPSEVPAEVTCFGDGAALGSVDVRRGAPGSSRMMATLLAPSSSGDYNRCFAGGSFAYPALQGGPSEAGGAHREVMLALACEAEARLGGIRRFEIDFMLEAWFHRILPTGTAIDITSLIIFLNGTTDAPHFLMELIQGGPASLVVLLDLFPRRDLPLHPDYIDKYYGATGVDDHRRSITRVPEVRPYVSPSLLVRSLWSPTAVVVDVQCGEKNGAVLEEIVVGQVATSAMAVLGVWLEHCVASVVEMETAERESLVARDKMISTTSVKPNLSANLPKMFENDVSDRVVAEINKAFLGL >Dexi4A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:4A:22835081:22836561:-1 gene:Dexi4A01G0019000 transcript:Dexi4A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVAVTGGVHVPVRYLRPEVAADAVVSNGEAAIPIIDFEKLLHPDESRGESARLHEACQEWGFFQLINHGVPDGIIQGMKANIQGFFGLPAETKQQFAQQRGQLEGYGQLFVVSEDQKLDWADMLYLSTQPPQNRNMRLWPDKPGTFRSTLDAYSAAVKNVADRLLMIMSKNLGLQPEVIANKCVDALQSVRMNCYPPCAQADKVLGFSPHSDADLLTLVLQVNEVQGLQVKRDDDTWVPVQPLEGAFIVNVGDVLQIFTNGRYRSVEHRAVVDTERERMSVAAFHSPSIHATIGPLSELVADQEMMYKTVDHESFLRFLFSAKLDGKSLLQRMKL >Dexi5B01G0023010.1:cds pep primary_assembly:Fonio_CM05836:5B:25268383:25268712:-1 gene:Dexi5B01G0023010 transcript:Dexi5B01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVGLLLLSSMHDKEILTISSACRGLNCPCNLPSTKGMTFPVSTACLSNRAICDISSRSPPAVVWSETLLPITISSRTMPAHSGAMYPVVPLM >Dexi9A01G0025130.1:cds pep primary_assembly:Fonio_CM05836:9A:22996801:22997034:-1 gene:Dexi9A01G0025130 transcript:Dexi9A01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEHMVAAAAREILKDEVMRKGIGELRRRRRWRRSGRPPRELRWWWWRRCEGQGKVAATPIQLLLQRGRLCELNN >Dexi4B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:4B:7282147:7289243:-1 gene:Dexi4B01G0010010 transcript:Dexi4B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCASCGRTVYPVEELTADGRVYHRPCFRCHHCKSTLQFSNYSSVEGVLYCKPHYDQILKSTGSLDKSFEGVSRSTKPEKSNGLKGQQSSRFSSMFVGTQDKCVVCNKTVYPLEKVPLNGSSYHKSCFRCTHGGCTLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDSSGNAKVATEKQPEIEEATKNPGQGNELTEKPSEAEFTAEKSPQNDVSAEMQPQSSTGSIKPSESITTMQPPAGDERATDSESKSIAVDKKPSENSVEKPLQNSVIDVKPSGSGAAMRKPWQRSLLPNSTTTEKPSLSSASIEKPSSNNGLDEKQSENSTVVKSSWQRSVPTENPQQSILPSDKLSTTSADDAKPSESSKLVKKPWQRIVGAEKQTQNSAPTEKSQNNVRTEKSSPPTDMKPLDNPTEVRSPWGRRMFNHKPQKSNIDTEKQSASSVVDVKPDETSTVAPVPQERTESVEKPSDTSADDMKIANDTKSTDAVNPSDTSADDTNNADDTKPTDDVKPSENTAAVVRKSWQRNVVAEKQYQSSAVDAKTAESSGSIKRLWQRNVATEKPLQSGTAVVAPSQSTVAVSRPLQSNTVAKRPWQRSVPPEKQKASDLTSNKQSQNKPLQSKTVIKSSLESKTVVKDTMQSIAPAEETQKVTLATENILQISKDAKKNGDTSEKPPTSETAEALSLDASILQRDVSPEKPLEADMPTMAPSQIPEFTESTESIVKTEKSTESVVKTEKSLQNEATEKIPQSIISEKAESVSKPPQSDTDQNSERKLATELESSTSVEKPPPSTLITNNPSIEAVAEEPVQTNEPSVQPLQDKEIVEKPYQSDKIAADMTGSKISCETTLETVSDATPHKSNKDSSEGLSFGESSVDSQSNPNAEQPLEPQGAVVEKAAVQITEAENDAVAKLSLESQSIAPAEIPKEQPSEHHNDVAMEQPLEPQHEKDPENPLEPVSGTTAKESSEAESNITAEKPVESQNDTDLSAEQSSEPQSDESTEKPEVHESSTSSDTPSGLQSSATADKLLVQPLEAESNESVDKPSESQTDGAVSEPPETQIGTAPDKATDQQLKPHDDASVEKPPGTESDSVSDKPTESSAPIETPSGSDTVIKDSTHDGSKPSEDSIAFEKPTGEEEMSAKPSDDDATSEKSSKENKANAEPSEGNVSLGKQMVADEVSAKTSEDIVTPEEPMEEEEASVEPSENSGSPGKPSEVDEESAKPAEDSVTVEKPLEEGGASMEPSADNKALDEPKEEDKVIHEPSEDGVALEKPSEETTGRGETKEEDEAIAKSSEASAALDKPLEEGDATTEPSDDNAATGKPLEKDEASNEPSEDSVTLGKPQEEGVDSVKPAEEDVVPEKSAADKPSEEVVDEKLSHVESSSQDDTATEEDVVPEKSAADKPSEEVVDEKLSHDDAVVEPSSQDDTATEKPSDTGDTAETA >Dexi3A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:3A:2271801:2272232:-1 gene:Dexi3A01G0003480 transcript:Dexi3A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTSHCLIEIENLADYLRSSRSRPPHAASIRRESKGTPRRRLWPPAGQLDSCSPGRLDLGLQVSNSAFKVGREGGRGRRNVGAVCRLLWLEPKGQVRRPAALAFGVGSRASLVDRARQREEERASEAESKRAVRLPDGPKD >Dexi3A01G0024250.1:cds pep primary_assembly:Fonio_CM05836:3A:19885056:19892045:-1 gene:Dexi3A01G0024250 transcript:Dexi3A01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDIPVGVGGDGGISDSGTIYPNVGGYLPLIDQGMTTVGGCRYRQAIPLEGGGRLDVDTNFGIRRGFLPQVFHSGIPITLVPLDATNTIPIDKEFFYEFEHHQSTYEAQYCFKSLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDKNGKFGNDFAQLEYMNITVVTSNKPYGVHDGSNPLFDGRTIPKFGLQKFGVHSGHVQTGITDNFCRVKGSIKGRCEDGYTKEVSGPEAAHIRVATKAKPNLDKNSPLDREYFKSFLEALNLQENSGRFDIKGQFPFYKEILYKPAFKSKRMGRPVIIDMDMSPGDFVSLIYLLKAPVEVIDLKGILVSGNGWANVASIDIIYDILHMMGRDDIPVGRGNTTALGTPSLGCDYVNVIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVKFGAPRNTDHPELRQPLAFEVWQSIKEQLGPSEKVTILTNGPLTNLANIVLSDKNASSIIENVYAVGGHIRDEDDLKGNLFTVPSNRYAEFNMFLDPLAVKTVLESSLDITLIPLRSQRKAASFQSMLQALKHTDRTPELSFVQRLLLSLHDLQQKHELYHHMDIFLGEVLGAVYLVEGLNLRPSLQMKPIRIIANNSTSTDGQIVMDKQSASLVKVLGDFSTEDYYSRVANSLGKKEQSAVIGSFEEQTAVWSRPPKNLET >Dexi9B01G0023280.1:cds pep primary_assembly:Fonio_CM05836:9B:18355547:18355959:1 gene:Dexi9B01G0023280 transcript:Dexi9B01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASPYGALPLREGEPAPSAAGEELLLVPPLNFAMVDRGVYRSGFPDVSNLPFLESLRLRSVLCLCPEPYPEVNLEFLHAHGIRLFQFGVDGSKVMKSGKLNY >Dexi9B01G0035170.1:cds pep primary_assembly:Fonio_CM05836:9B:36919073:36922310:-1 gene:Dexi9B01G0035170 transcript:Dexi9B01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPSTSLLRGGRSARAAGPRRRQLSAVRCSAVGEAVAEEASVGTAEEPLLVSAIRGKKVERPPVWLMRQAGRYMKAGPLPLLFCFSRFFSYVFLPTSYLWYFLQSYQLLCERYPKFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAVAVNEVREFVPEEWVPYVGQALNLLREEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTMIKKLAFSEPMILHSLLQKFTTSMTNYIRYQADNGAQAVQIFDSWATELSPADFEEFSLPYLKQIVDSVKETHPNLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNVAVQGNVDPGVLFGSKEFISKRIFDTVQKAGDVGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >DexiUA01G0021810.1:cds pep primary_assembly:Fonio_CM05836:UA:45036239:45037354:-1 gene:DexiUA01G0021810 transcript:DexiUA01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNVEFHPECVRNSPVDGCDRTSSCGHQLRNIPLSFVSSKNSSGSTAFSPVTRSGRMTHRNGRPQLAKPHANSASCPGVITVMLPKLTYTTEPGRRASSHWRQLASSFQRLPAIAAVSGRATMVFTNVTSGPMVYTRGKMRRRASTTSCSSSSNVLTMIPCAWSAFCASPPSKWTMKSSGSVVRMKLGMSRRRMPGIPGTQSSTVSSRSLNGAAAFRDRDSQSAGMSCVTSSSPDGGRPSGMASKRKSSSPAMASAPRDRSRRRWLYSELT >Dexi5A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:5A:2267252:2267842:1 gene:Dexi5A01G0003120 transcript:Dexi5A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSAAGGGGGVAPPLGGASPLDFISRAKARGASALATRRPWRELADPHAIGLPPSLGDAYLRVRANLTHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLYFLRDDPLVLFGRVVADVYVLAALAVVTLVLLLLTGATANILSSLLIGLVLVVLHAALHKAEDNADEEVGRWYAPVPQPPSH >Dexi2A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:2A:7709668:7711956:1 gene:Dexi2A01G0007690 transcript:Dexi2A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSITDDIIQRTFQKRIAGHIWWVNALVLAGGILAGVIVVIGAFRQQYRHHPITRFLFLGATTLFLPIISSVISTVGVQPDYLIPLTSDSSSGSDLSALGAICRPTHSAALIVWAFIVQIVMINTSTVVSVEDREGQSKGPPFELLVQGLWTLYLGVSLIKQYPHQFSVKDLLFDSVLPFGLLFAKLTLKYYAFEKARKSFALGRNPGLVFVYMLQLRARVANQNCERRISEEASPPQLLLMREDEQQVEQHPCGYAFKDGLGTKYKLVHSGSHETIAFFRSLFLKPSYSSGTSDNKIAATHLSRYCAYLMTWSPDLLPDSSSWSKSLYEEVKKDAERALAEVPADGSLTPEDEYQQVVQVLSVNAKHEVLKNGVKLGEQLVLEMIKAEEAVWKLLADFWSEMILYIAPSDNLKEHSEAIARGGELITLLWALLFHAGIVNRPGED >Dexi2A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:2A:29372099:29373035:-1 gene:Dexi2A01G0017490 transcript:Dexi2A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPAEVYIDTVGDPEKYRIKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGDPNTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWETDEVDEDTTNNGNAKRQAKLSSLGFTGFKKKTEEIKSSGKGRCKFFQARNLELIRKFQ >Dexi2A01G0024420.1:cds pep primary_assembly:Fonio_CM05836:2A:36156063:36156760:1 gene:Dexi2A01G0024420 transcript:Dexi2A01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVYWLL >Dexi2B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:2B:13950263:13953435:1 gene:Dexi2B01G0012060 transcript:Dexi2B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTMPSPLLADIASNWRDISKRTLLATFAALGNIVFQIIPIGFCSLKEDIIHALVDDLDKKPREILIRQMGRKIDQESFMTPPREDIAVWVLISSASVAEYQNDLRNKEHNARWRLALLAKKRVFMGNILDTRVTALDASGNYGFSGTHGDYQPVRIAGYHPALAGGPLSCVAVDAESGAVAVTDGGCQVLLYTQYKVLADAGADELMFRMNKVTVAPS >Dexi5B01G0030250.1:cds pep primary_assembly:Fonio_CM05836:5B:31214041:31216580:-1 gene:Dexi5B01G0030250 transcript:Dexi5B01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISFLSVALALAALIPISLFLLNRLLYGKLPPGPRPRPVVGNLFDVQPVRCRCYMEWARKYGPIMTVWLGSEPTVVVSTAELAKEVLKTHDQSLADRQRDRSSERFSRGGMDLIWADYGAHYIKVRKLCNLELFTPRRLEALRPIREDEVTAMVESIYAAVTAPGNEGKPLVVKNHLSMVAFNNITRLAFGKRFVNAAGELDEQGREFKSIVTNGIKIGASLSIARYIPWLKWLAPVDEQIFKEHGDRRDRLTVKIMDEHAKALKERGAQQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVISVEWAMAELVKNPRVQEKVQEELDRVLGRDRVLLETDFPNLPYLQALVKESLRLHPPTPLMLPHKASSSVKIAGYDIPKGANVIVNVWAVARDPNVWDNPLEFRPERFLQENIDIKGADFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHNFSWRLPEGTRPEDVEMMETPGLVTFMATPLKAVATPRLDKEELYRRVPYEM >Dexi7A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:7A:25791277:25791802:1 gene:Dexi7A01G0015880 transcript:Dexi7A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYLLSSNLTGGDALERVVGADGVEVDAGEVERAAAPAGGGEEEAEQPAPVAAVHLQHRRRVHGCPPRPRVCGEGGIGPGGEAGRARRLGWPCDCDCGVRAKSAEGQGFGAVKKQEGRPHA >Dexi9A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:9A:9191208:9197233:-1 gene:Dexi9A01G0013990 transcript:Dexi9A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAFSPLHCLIFGLLLVQLAGSVAIPPRGPSNQQHEQEPSKAAYIVYTDHLAKPSHFATHELWYTSMVSSLSPSAANDSSSRVFYLYDTVAHGFAAELTADEAQRLSNTTGVSGVFEDGVMQLHTTRSPSFLGLDRDFGILPDTNFGDDVIIGFVDTGIWPESASFVDDGLAPSAGAGRAGATTASASTPACATTSSSAPAHDPRDIWVTQSRSCSTSFDRVIIADTPAAAAAAPDADDFATTGARFRRRFPAASRPFWISSRLRARFGGVGVPPGLVALVGTGFRRAAVRCCRWMLRCCDDFLDEKEAMKDFSMVSGIRISPVGRRPPPAPGVKIPAIRPPCTRHGPRTWPQLSAPSSLHLARHGAKPSTSLTGHRHRIPYPPPRATRPHANSGGPPGSASRT >Dexi9A01G0035420.1:cds pep primary_assembly:Fonio_CM05836:9A:40097442:40098899:-1 gene:Dexi9A01G0035420 transcript:Dexi9A01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAAAAVPNPAQAAADAESLRKAVQALCGAAAVSGSGWGTDEQALIEILGRRTAAQRAEIRCAYAGIYRESLLDRLRSELSGDFRDAMVMWTMDPAERCARMANGALGAGRTSMRDQHAWALVEAACASAPDHLIAVRRAYRSLFGCSLEEDVAACPALQDPLRKLLVSLVRSYRCETELVDEDVARLEAAQLAEAIQKKRQPYGDEVIRIVSTRSKRQLRATFQWYQQDHGSNIDEDITRHCSSQFAKILRSAVWCLTSPEKHFAEAIRYSILGLGTDEETLTRAIVSGAEIGMEKIKEEYKVRFKTTVTSDVVGDTSGYYKEFLLTLVGSED >Dexi2B01G0025030.1:cds pep primary_assembly:Fonio_CM05836:2B:34293934:34297480:-1 gene:Dexi2B01G0025030 transcript:Dexi2B01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASLSPSLSGDDVEVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAYDVQSQRYVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHTGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGFDRVKRICKSILVGLDYLHSELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPDLERPEGNPNGEVGLNPIEKKLKMRARRVLAKLAEKRKSVVEYSRLERSLDGIDLTCKIVDFGNACWADKQFTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKMSSIERILVDKYKISESDAREFANFVCPLLDFAPEKRPTAAECLKHPWLQYNEDKNCMPLNNNDAKNIDLTQNTESTTRGECTNIDATCKKGSLSGSSDKTADAKLNTISITKNASMNTDVQPNIGSIANRLTKNADVNMNTGPITNRDAKNTDTKPHIGTIANSDAKSSNVKLDTVNVSNRNSKITDTKLNTSSISSRDDKSSIVDPTTSSVVNRDVKRSIRSVVNSYIKNFDAKCNTGSPANSDARNSDTKPSTRSVATSDDAKCVDTKPISGNVKSNDTISADVKSDTGIVANNDAKNTDLQPNTGSVVSSDDNSVDTTPNIGRVAASIQRLESSMSKVQIGRYR >Dexi9B01G0040610.1:cds pep primary_assembly:Fonio_CM05836:9B:41139868:41140474:-1 gene:Dexi9B01G0040610 transcript:Dexi9B01G0040610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESSVARRRQRAAAPAASRRGRSFSTLSPALVSLPGAAGTARRERGRAAERHRLRAAATDGGGGGGEDEAAVVVGALVGVGERGVGGVDADEVLGGGVRGGVRVDGEREAAELAAAAAWSGAATAAEEEEKEAERGGGAWGRGEGGGDEAAGELGRKARKLGDSAGRGEIMAAALLLVE >Dexi4B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:4B:21559721:21563702:-1 gene:Dexi4B01G0019290 transcript:Dexi4B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPGSPSRPSFSALRGARWRADLGVLPCSTAVSTDELRRAAADSRRRYANLRRRLLIDPHLSKDEEGAPDLVVENPLSQNPDSTWGKYFRNAELEKMLNQDLSRLYPELGDFFQTSSCQSMLGRILLVWSLRYPEFGYRQGMHELLAPLLYVLHADVQHFKQVRDLHEELLDDDFDGKTFPDRSKLNRSDRKNNVECKTAKIRSLADLDPDTKDLFLINDAYGAEGELGIILSEKFMEHDAYSMFENLMNGAEGVVAITDFYSLSPAPESSTGLTPIREASSAIYHLLASVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHSYCDDIRSTADYQFKVLCSPRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPEDIDLKSLIEKASLLQSFALEANIPSSPVRGKSLLTTPNYWEETWKILQSSIDQKKGGGVFKKKGRYFLRRSLSNTESNVSRSKAANFENNNLASTRQSTIDELHNTDVVPVKLINSVPHMPIEERNDHFGQSTSEPIGSNPKNARETGQHDGSCSTSGEIGDPLGAACGYLSRSSSTSLSCGTEYDHGTHHVEEPCAPCDDHVVNKADPLSVDGGRADEAATTTDQTSGIVDTHPVQQSKLGSIDGKLKMEDGQNPTMAEGGQREILAIGLISTVADKELTRTLRSLGESMVENIQVIELLFQPNSLSTSVEQLEKYFPRSSEQAKAVAALKELRKISDLLRQI >Dexi9B01G0036570.1:cds pep primary_assembly:Fonio_CM05836:9B:38063162:38063700:-1 gene:Dexi9B01G0036570 transcript:Dexi9B01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRGKSARVVDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYIVKK >Dexi9B01G0040820.1:cds pep primary_assembly:Fonio_CM05836:9B:41329046:41332198:1 gene:Dexi9B01G0040820 transcript:Dexi9B01G0040820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPREWTGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVANVSAFQSEGLRPTMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDEQDFRLPIALVENSGRCKTNEHGEKILPDGTPWVPNLMKEITVVISNGSMPIHVDQKLIDGPNPNNRWKVFIPLILAVEYFLVVKGIRRAIHADIANGKVDDWEQRYRDLVGSRDPVEQKGSASRNRKA >Dexi9B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:9B:9704487:9704858:-1 gene:Dexi9B01G0014480 transcript:Dexi9B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAANGKNKESGDESGNSKESPVDLDKFAKYSKFQEENHEKCLQILQVQQKLSSEKIEASKIAHLTAQENKEVKKLEKESKMMEAYLSISSQDTSSMSAVEKAERVAVMKCLRQKLFPVTE >Dexi3B01G0020830.1:cds pep primary_assembly:Fonio_CM05836:3B:15761449:15763609:1 gene:Dexi3B01G0020830 transcript:Dexi3B01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCPGSSANSQPPDSSAADSSRPLGGGGSTTGPTPTGVVSATAAPTPTKPPAPIGPVLGRPMEDVKTTYNIGKELGRGQFGVTSLCTHKVTGQKFACKTISKRKLSTKEDIEDVRREVQIMDLKPENFLLLNKDENAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSVGVIVYILLCGVPPFWAGKLSCLLESEHGIFNAILRGQVDFTSDPWPRISQGAKDLVRKMLNPDPKQRISAYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRRGLAKKGTKLTEAEVQQLMEAADADGNGTIDYEEFITATMHMNRMDRDEHLYTAFQYFDKDNSGYITMEELEQALREKGLLDGRDIKDIVAEVDADNDGRINYTEFAAMMRKGDPEPANPKKRRDVVL >Dexi3A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:3A:2866144:2871796:1 gene:Dexi3A01G0004370 transcript:Dexi3A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGRGGRGGGGGSYGRRDTRPAGVASRDNRDRRPDHRPRRTPSPDRRPRRPRGEDDDRDPPRGGRIGYGGGDRSPPRRERTGYGGRRASPRRGRVDYEDPRDLPVRGSNKDYGGDRHLSPRGSRGYGGDRQVSPRGARGYGRAPYREERDRPGRLDYESPPAYMLPDHPSDLGRPSQRIGKRESDYLGGSGDRSLMKDDYLGSGLGPRSISKDSELFGDGGMRLRISATETGRTTAMYSQDCRSPPLRRSLPLRAVLTPPPLYPSVHPDTGFLSGGSAMKASDGYGAGNTQLLHDDDDFKYNKHPHDPYIERSRDIERHYPASRDLVAEKGGTTDRFYSSEDVPAGRASETERIYNSRGMLEPDLVPSTQFKVLGDSSSSLLAKDHQYRIHTGPAYEPSNGYNMDGIGRSSHDSLGHVSGHPHRLSGSPLEHVSGHGDETIMHNARQIHSKHALRAPSMEYDANDEYLQRDPTNDAYAAPEDLRWNSSLKSRHVSGAASLRGIKDERIDHHPRMPHRIEDFESSFEAMHEDAEHINQRSYGGDAYLQYPTARGGNDRYTHSPGTDSAGIARRPARQHEFTSFENFSDQEASPMVSRKRYRSPAYLHHEIDAYQGGDGFARYDHYDDDMDTYDLSPPRVPMYDMVDDDDDEYDEGYDMSTNRSNVFSRLALPHETNGLWTDMDQGNHPHSDIVAYGYGRSKHLPMSQRLSRPSSHSQFQGTSMHGRGIGRGRGGLTKSAKKRLKMAQQFHGGYPLKKDEFIKPNKFPKLSEYDPNASEVKHEDAPEHEDHAVQKDPPEGSEEFSKQIHQAFLKYAKILNESPAMQKKYREASKGSLSCCVCGSVARKFPDVDALLSHAYDTCKAGLRTKHLGFHKALCVLMGWNWLVAPDTSKAHHSIPYEEVNAMRGDLMLWPPVVVIHDSSFVNKTEDSEEKIVSMEEIEGVLADIGLPREKVKVCYGRPANQNIFLVKFQPTISGFHEAMRIHDYFSSRNHGKEEFQQMRDGKGKRAAPIDNLEELLYAHIAVVEDLVYLDEEAKRRCKIRSKKEVEANADATLNLEP >Dexi6A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:6A:22659087:22661048:1 gene:Dexi6A01G0015260 transcript:Dexi6A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAWKIDEDDEDFEIDFQEFIKEEDEEEDKRHYESMALVGVLEPKRENSGVNFQNKDSMVVQKPTHTGDDPIAKPKQKRKNPYRGIRRRPWGKWAAEIRDPRKGVRVWLGTYKTPEDAARAYDAEARKIRGNKAKVNFPDEGLENMMSGIPKPTLTAMPTMLVTAEKFNTDVLIPRMGLSPNPNVFSVGSLSSGSINFSGNNASSIHTEDFGLLSLKIPHAPSEIPRMDEFPSENKFSIGSSSNGLSNEATRNLSAYSFLPYANMPIFSQPTFVCTSMMIEGNVCTMLPTLSNATSNVPFGLAGVNVRENMDQKPTLNVVENESIPSISHGDVSEDVAAEINMWKFYDSMLSKEDLRMIDQLV >DexiUA01G0026930.1:cds pep primary_assembly:Fonio_CM05836:UA:57507246:57508498:1 gene:DexiUA01G0026930 transcript:DexiUA01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCTLLFGALVMLALLVSPIACSRKLAKLPNRHRPSHKLAARPHTNHTVNPSASDAYGSGGWLSAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYEVKCDSNAACSGQPATVVITDECPAGGCLAEAFHFDMSGTSMGAMAKPGMADKLRAAGILKVQYKRVPCKYSGVNIAFRVDQGSNPFYFEVLIEFEDGDGDLNAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALRAPFSLRLTSDSGKVLVANNVIPAGWTAGATYRSLVNYS >Dexi6A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:6A:2621347:2622106:1 gene:Dexi6A01G0002820 transcript:Dexi6A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKGGAAAAAVALLAAMAFVAMAAARPAQAAVTCAEVDANLIPCVGYVTGKDAAPPAECCAGVKRIRALPTGTAERRQACECVKQAAARFQGLDADAIRDLPVKCGSPLPIP >Dexi4A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:4A:12803911:12811743:1 gene:Dexi4A01G0013380 transcript:Dexi4A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARVSQSPPSVLPSPLLQSIIRIFSSSACPIHSSRRISSPVAAAFSQVSQSPHDVREGHVMVAPRPSSRRHWKPTCLYYTQGKCTMVNDATHLEKFSHSLTIDLPVNVSAADKVKPQKLDYLLILDLEGKVEILEFPVMMIDAQSMEFIDSFHRFVRPTAMNEQRLREYIEGKYGKFGVDRVWHDTAIPFKQVLQEFEDWMENNNLWKKKQGGSLKSAAFVTCGNWDLKTKVPEQCKVSKIKLPTYFMEWINVKDIYLNFYRRRATGMMTMMRELQMPIVGSHHLGIDDSKNIARVVQRMLAEGAVMQITAKRQSSTGDVNFLFKDRIR >Dexi9A01G0049700.1:cds pep primary_assembly:Fonio_CM05836:9A:52286501:52290028:1 gene:Dexi9A01G0049700 transcript:Dexi9A01G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LATLRSQRTTYILERKKYLVGDHRGAKAKVETKVKREREEEGGGAAMSPSLGLPGAGGGASSAARVLLLGRAMEAPSGIYTLRAPSPFVRAMRTRIDPPPLPLAAAPTPPLPLPPPPPPPPQIQEKRRRGRPRNCDRLLAPPGFLLTPPARAPLALAAPLPAMAAHGEASSDDSRHGQLGGLQPHVLKVDVGEGPLEIIQVFGSILTSDSPGFGCLSVTLSCADCTVVGGIVAGPLVAARPVQAIVGSFHDDVFRANKTPNIIARYHDSQAATGYRVTYYPNSHVATGYRVTHYPNSQVGTSFRVTDYPNSQVDTSFRITHYPSAHVASATGCTPYPSSQVAVGTRSVRCLSSEVATGCLSEHESNSQVPIGDGSTNCSSSQVTVGYGGTQHPNSQITVGTATTPCPSYQVPVGNKTTPSSRVTVGDGSTRSANSQAVVGVGAGSRHELNSHVCVGIGCTSNTNPQANDGNGSMHEPSSHVSVGDGRTDNGNYPKSLAAVGDRSTNITDSEFALGSGSTRAGNSQGTTLVDGGTICPIYKVSVADGRPNYPNSKNTVGDGSSTSTEGCNPLYASCPAVEQGELSEIDVKPSEVVA >DexiUA01G0024810.1:cds pep primary_assembly:Fonio_CM05836:UA:51553404:51554008:1 gene:DexiUA01G0024810 transcript:DexiUA01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGGNEPRLAKAATQQLNKLPFYHSFWNRTTQPSLDLAKEILGIFTARKMGKVFFTISGSEANDSQVWS >Dexi9A01G0027590.1:cds pep primary_assembly:Fonio_CM05836:9A:32283486:32285600:-1 gene:Dexi9A01G0027590 transcript:Dexi9A01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEDKPERAPGSGSRAVPVALLVIVLCAFSFYLGGVYSTGRSLLDSIQPAPTLLALGTTVTSHRSSSDEQPQSTAVATVAFPECPADFQDYTPCTDPKRWRRYGNYRLSFMERHCPPPPERQECLVPPPKGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLAKDGDRFRFPGGGTMFPNGVGEYSDRMQSLIPGMRDGTVRTALDTGCGVASWGGDLLGRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGLYLLEIHRILRPGGFWVLSGPPINYENRWHGWNTTAQAQKADLDRLKKMLGAMCFKLYNMKGDIAVWQKSPDAGACYDKLTPITTPAKCDDSVDPDAAWYVPMRSCVTAPSARYKKLGLNATPKWPQRLTVAPERINVVPGSSAGAFKQDDARWKVRAKHYKALLPALGTDKIRNVMDMNTVYGGFAASLIKDPVWVMNVVSSYGPNSLGVVYDRGLIGVNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRESTYFLDSVAAIAKGMRWSCEKRSTENKTDKDKILICQKKLWAGKQ >Dexi8B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:8B:1976113:1977045:-1 gene:Dexi8B01G0002810 transcript:Dexi8B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASRRLASCSSHRRLLLPSQAQASCNPTVAAASAFLHSHATSFGTRLPYRSIAPASLEAEILKSRLSSGYKQVREEEKSRLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGYSY >Dexi2B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:2B:10342092:10343883:-1 gene:Dexi2B01G0009610 transcript:Dexi2B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEDKKPAEGGGVHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFYGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTGGYVPSA >Dexi5A01G0026350.1:cds pep primary_assembly:Fonio_CM05836:5A:30022198:30024965:1 gene:Dexi5A01G0026350 transcript:Dexi5A01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICELGDGDGGLAGQQEREEEEVEASGGGEVVRLKAKHALVGAGARVLFYPTLLYNVLRNRFESDFRWWDRVDKFILLGAVPFPSDVLRLKQLGVQGVVTLNEPYETLVPTSLYQIKYRSMTPEAALDHVRSIRPRVLLAPSQWQAVRTFGTLITGQLPVRSTNLGNFLEAIEACRTDTERDDYHAMEFDYDDGGLPLSQIMLPRPASPTGHIDAVLITEADLEGYETYVDTRKDALEVAARRPIMRRLSCLFGSLKLNSSNCEPAPSRFTEVRAC >Dexi1B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:1B:25090689:25096853:1 gene:Dexi1B01G0018910 transcript:Dexi1B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRGSEMVYPAAETPRRRLWNSGPDLVVPRFHTPSVYFFRRKDAEGKDLAGPDGSFFDGARMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAGGVLFQEADAPDATIDDFGDFAPTMDLKRLIPTVEYTDDISSFPLLVLQVTHFKCGGVAMGVGMQHHVADGFSGLHFINSWADLCRGVPIAVAPYIDRSHLRARDPPSPTYPHVEYQPAPAMLSEPPPQAPLSAKPATPPTAVAIFRLTRGDLARLRSHLPAAAPRFSTYAVLAAHVWRCASLARGLPSDQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPMADAGKVTSGVAEGAAVIQAALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKLIYDF >Dexi2B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:2B:20603427:20604932:-1 gene:Dexi2B01G0013160 transcript:Dexi2B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGSAACNGVRIMSRRMVRPWTTATAGDGETTTETVHLTLWDLQMLTVDYIQMGVLLPRPPTENLLKHLEESLAHALARFYPYAGRLAVDEHGGGKGITVSLLCTGDGAEFIHAVADDITIADVMASPRIPRVVWSFFPLNGMVGADAAAAGGTCSLPVLAAQVAELADGVFVAMSLNHGVADGTAYWHLFNTWAETSRRGSHEGQGTMAVLLERWFPDTCPVPVPLPFPTLEHAVRRFHGPPVEECFLAFSAESVRDLKKRANAEMMTTTTKISSLQSLLAHVWLAVTRARRFPPETETSYTLAVGCRGRVPVVAQAYAGNAMVRCAARATAGEILRGGGLGRAAWLLNRAVASRDEAALVGSVASWHEGPRFAYLDGWWHPALLVTGNSPRFDAFGNDFGWGRPVAVRSGAGNKVDGRVTVYEGETGGIGMEICQAPEPLARLLADQDFMAIVSH >Dexi6A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:6A:24770409:24770673:1 gene:Dexi6A01G0016930 transcript:Dexi6A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPRPFSPPPPTKKLFLERTVHCFHDAELSATAVAADPEQDRDDGLLVAVAAANPARRVVSSDADFFDPRFA >Dexi5A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:5A:929278:931398:1 gene:Dexi5A01G0001370 transcript:Dexi5A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPHAEGGASPKHETLMEKLADKLHVGDSSSSSDSDNDERPRPSAPPAEVKQPSFSDSAATAAADAKAKVFRLFGREQPIHKALGGGKPADVFLWRNRNISAGVLGGATAIWIIFELLGYHLLTFLCHGLIFSLGVLFLWSNASSFINKSPPRIPEVTIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLMVIAGFWFLSVLGSSCNFLTLVYIVFVVLHTVPVLYEKYEDQIDSYGEKGWVEVKKQYAVFDEKVLSKVPRGPAKDKKH >Dexi5A01G0026890.1:cds pep primary_assembly:Fonio_CM05836:5A:30471942:30474069:1 gene:Dexi5A01G0026890 transcript:Dexi5A01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQPALLLVVSALAVVVVRGEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFPGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASSDQLLTGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVSILGDEDSAASHLSRCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPEQYADALVDQYSQQLRTLYSYGARKVALMGVGQVGCSPNELAQHSPDGTTCVAEINGAIDIFNRKLVALVDQFNTLPGAHFTYINANEYLFWDAFHPTEAANILVGRRAYSAVLASDVHPVDLRTLAQL >Dexi9A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:9A:14383438:14384972:1 gene:Dexi9A01G0019460 transcript:Dexi9A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFRRAKAPSPAAYPPAPMPMLSFPGKKALRFAVVDTTAAEKDPGLAVLMLARTHVVDASENARASAAALRAYLRAIHAAKTNAAAPDQHKLCFAWRDAGDVESDKKKSSKAASSSSSAAAQGHSHTSLATEWAVALFAMAAELARVAAAEDRRDADGIRRACGALCDAAGALRAARAGAPDVVGDQMMCHMTDACLAVFERLMLAQALECYFELAVAGGKPPALCSKIARQVSLDYHEVFVKLGILQQHQQPIDKSWAPHAQGKAAYFDAEASLQLARALREQGPGYVGEAVARLRHAASVLDAATGKTGPLPKKSSSSSCTVAAALAPVRDAAARLRKEVEAELAAAENDNCQVYYERVPAADALREMPALPESLVRPTAVEKLLKEPDGEPGVANGGGAPAICH >Dexi1A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:1A:23864872:23870038:-1 gene:Dexi1A01G0016620 transcript:Dexi1A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAGEGKGKRKRPLSEDDVYLLLHRYAPGTILTALQEVAQHAEGRRIDWRAVVAKSATGITSAREYQMLWRHFAYNHDLVETVDADDEPLGDDSDLELEPEPVPNPTKEALSEASALAKRWTLISKRPGGSTKPASTKHVTVTSSEERKDALKALSMAVGPRSSSMLRPGAHQQGIQNKSTVFAHKIPEVKSAAASSPAVALPVPLPVPVPVPLPLPVKVQVKSPLPQGQQALVQRAPSKSANASNKTRKKQAAQPNPTIGPSSIQAAALAAGGRIAPASTAATFLAHIRSQVTGSSKSSASPTAPSLVVEPGTQPGSTQDLEPLSTSALKSGPSVLTTHATEQVHGGSEVDAAGAHSLDTKKTLGTTPVLVSCDSEETEDDSTFCVITIDDLFPEDAKEPETVDLKAMQPEIADQKAKQPVEIVGQRAKQLETVDPKAKQPETLDHKAKQPETADPKAKQPETVDPKVKQPDTLNPKVEIVDPKDRDMLEFDQFVASQGSVNTDQLDKSKNGSNALQAQGLAGSQKKQVKPAPSIGKGNPISSGVPVTMKRTKTPAPHLVNPVPAGTPRGIVGTVNANAPNKTLVRKAATPVPSGVQAPPLKKHAANLKGNQALQPSAAAVGSGVPASSQASVAVNGAGKANPPSNSAQASTVVNIGSKANPPSSGQASAAVNVGSNVNPPSSGQASVAVNDANRAANPLSSSQASTAASGAANKVNPPGVAKQ >Dexi2A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:2A:25499166:25499503:1 gene:Dexi2A01G0015020 transcript:Dexi2A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSADVERLQRRLASGGYGMELPPNIVADLSRVARGLHRLQGVLTAAEKQPFEASRELRLRKIKQHVYDVEDILDDLEDGSIRGRKLQENSNLWSQP >Dexi4A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:4A:19394113:19402544:-1 gene:Dexi4A01G0015990 transcript:Dexi4A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTKRRHQPGGANPTRRKVVEEPFHPAAPTPTAAAAPPSRLVGAIVEKGFSAAAPSSAPRPSVLPFPVARHRSHGPHWGPVAKGAGKDGAEEEDEMDMDETDYQPVAAAAAGPVRRKEKKGMDFSRWREFVGDAPPKRRQGKPVQANKQGEQNIDAGAVTSKVGAASAGGRELEGDSMQIDSGNAKGPPGAVISVSDVVSKKRMNQAESRVELVKTSEVSNSVLQGERMELDGGESSMEAEINAENMARLAGMSAGEIAEAQAEIINKMNPALVEILRRRGREKSGGTKGVDKDKGVGNSALQKAKRATAGDWLMANEHNGGSWKVWSERVERIRMSLDDNHDSVVLSCAKLINVMLSFEFNESYFESSERVTDHGKYICTAPVFRSKPDLEGGFLEGGFWKYNTKPSNILPQCGDDEEDKGDEKPTIQDDVVVSGQDVAAGFIRMGILPRICFLLEDDEKVLESYAKSWTSGALDKAVQRDSMAFTLVKHHLSGFVFQCSASSKTLRYKVVKSLLRCYTLKRHHEAMLKSFVLQGITQESERSSNELDRRFQILKDACEMNSSLLAEVQRLKASICQ >Dexi5B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:5B:9435812:9440607:-1 gene:Dexi5B01G0013310 transcript:Dexi5B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWGDEPSARRRPKTKIVCTLGPASRSVEMISRLLRAGMCVARFNFSHGSHEYHQETLDNLHAAMERTGILCAVMLDTKGPEIRTGFLKDAKPIQLKKGQEIMISTDYSIKGDEKMISMSYKKLAVDLKPGSVILCADGTISLTVLHCDKEQGLVRCRCENTCMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILAHSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDHASVFKSIMNSAPIPMSPLESLASSAVRTANSAKAALILVLTRGGSTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARQSLIVSGVIPMLSAGTAKAFDSEATEEALSFAIENAKAMGLCNTGESVVALHRIGIASVIKLLTVN >Dexi8A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:8A:23010940:23012882:-1 gene:Dexi8A01G0013040 transcript:Dexi8A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRSFFTSKMKATNPFESDSDDDKKPQPQPQRRGGASSAPPPSGQREERGGGGLFSSYSGAPRNAHYRNDFRDAGGLESQSVQELERYAAYKAEDTTLRVQGCVRIAEEMRDTASKTLVTVHQQGQQIHRTHQMAIDIDQDLTRSEKLLGDLGGLFSKKWKPKKNGTIRGPMLSRADDSFIRKGSHLEQRQKLGLADHPPRSNTREFHSEPTSALEKVEMEKAKQDDALSHVSDILTQLKGMAVDMGSELERQTKAMGDAEKDYDELNFRVKGANTRARRLLGR >Dexi9B01G0045770.1:cds pep primary_assembly:Fonio_CM05836:9B:45245617:45250529:1 gene:Dexi9B01G0045770 transcript:Dexi9B01G0045770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSTESNGAAARVPMPPARPFLDTFRGNLKETFFPDDPFRSVVRERGAARRTVAALRYFFPFLEWAPAYTLGSFKSDLIAGVTIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLSSQVSPTENPALYLHLALTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVRFTTSTDIVSVLQSVFSQTHQWRWESVVLGCGFLFFLLVTRFISKRRPKLFWISAAAPLTSVILGSVLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGIIALAEGIAVGRSFAMYKNYNIDGNKEMIAIGTMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIVSAMLGLIDYQGAIHLWRIDKVDFCVCMGAYLGVVFGSVEVGLIVAVTISILRVLLFVARPRTTVLGNIPNSMIYRRMDQYTTAQTVPGVLVLRVDAPIYFANAGYLRERISRWIDDEEERTKGKGEMGVQYVVLDMGAVGSIDTSGTSMLDELKKTLERRGIQIVLANPGSEIMKKLASSKVLELIGHEWIFPTVGEAVASCNYVLHSHKPGAVMDSVAPHENMV >Dexi2A01G0034940.1:cds pep primary_assembly:Fonio_CM05836:2A:44725714:44726148:1 gene:Dexi2A01G0034940 transcript:Dexi2A01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETYRVGAEVVNGDAVACKKAVIELLSNIGLPKGLFPLDDMQEFGYNREAGFMWIVQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKTTGVKTKELMLWLSIVEVYVDEAAPGKVTFKTGTGLSDSFDATALELGM >Dexi3B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:3B:9675527:9676679:1 gene:Dexi3B01G0013560 transcript:Dexi3B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETPAKKPKPCSAGDTSGGDTAAALVDRLSVLPDAMLHHVMSFLRAWEVASTCVLARRWRHLWASAPCVDLRIWRLGRHSDFARFVYRFLLERDKSALVYTLRLLSEPPCREPYWRRQSPSPEEGVADYNGRDVDMWIHAAIKRKARVIQFTWHPKAKDISDFEYVNMVSCHLKVLVLAECLLRDRMLRQLPFQCPSLEVLDLKDCLLEGHEISSASLKSLTIIQCRITEDEDLTIAAPNLLSLHYVTPHHRAPLFENMGSLATASVVLNDCFLHDGYEYKYKDIYHDVLDECNDSNSDCSSAEDLNYYSLATLVATLSTCEYCEMNSAVTKIRAKITATIANAMMARFGSVVVMAMDVGTDLMMMKS >Dexi9A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:9A:9749352:9750318:1 gene:Dexi9A01G0014790 transcript:Dexi9A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRESAYGKCEWLEIAHPWPACTDKRYPSVRPPTCCRVDSDGIVGDGYCASSATGLLGAAGSTGFLSSPIPAPGERWELAPRCRPACNGLPRAHGSRYLCVLVGRCGRPFLRAPSVFARADARTETPVRVCRRMKVAASVEVPHDESAAAGTRT >Dexi3A01G0022210.1:cds pep primary_assembly:Fonio_CM05836:3A:17780412:17782766:-1 gene:Dexi3A01G0022210 transcript:Dexi3A01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRAEDAIVAGVAGGHGTEKEARAMVVVGGGEAEQREQRRDGEFSMKALLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVLLQIFYGLVGSWTAYLISVLYVEYRTRKEREGVSFKNHVIQWFEVLDALLGPYWKAAGLISNCTMLFFAAVIQLIACASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVCSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPNKPVLYFTGATNILYTFGGHAVTVEVMHAMWKPRMFKYIYLLATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTRWRDAAVILMLIHQFITFGFACTPLYLVWEKVIGVHETRSVCLRAVVRLPIVVPIWFLAIIFPFFGPINSAVGAFLVSFTVYIIPSVAHMLTYRSASARLNAAEKPPFFVRGWTGMFVVNVLVVAWVLVVGFGLGGWASVVNFIKQISTFGLFAKCYQCPPKTHVQTATGPTLPAPPYH >Dexi3B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:3B:3157755:3158889:1 gene:Dexi3B01G0004660 transcript:Dexi3B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGRPPPELTDDVIREILLRLPPSEPAHLVRAALVCRRWRRLLADRGFLRLHRAFHGGAPPLLGFLHNRDRTDGFPRFIPSALGAPATAEAAAWRFSLPEPEPHAWLALDARHGRVLLHSSDPKRLVVWDPITGDHHKLPLPAHPYRSLAAAVLCAAMDGCDHLDCHGPTSLSIPLGPASHVATTPGLLADGALYFTLRRGDDGVGILKYDIVRRSLSVIDPPFLRHDVVSMSMFPVPPYRLVRSVVLILTAEDDGGGGGLGVAAVECVRASPSDLQRHFLHLWACRAGDDDGWVRRRVIDLDALLPAAVPKKCAFAVKRVSGFAEGADTIFVSTNVGT >Dexi7B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:7B:5110532:5112238:1 gene:Dexi7B01G0002640 transcript:Dexi7B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPSPATAPGHDRVAELRALDATFAGVRGIVASGATRVPRIFRLTEPEQFIGWKEAPCIPTIDLGAADHEALVAGVRRAAAEWGLFLVTGHGVPGEVTAAALGAARTFHEADGGEGSEKARLYTRDPAKGVKYNCNFDLYDSSMANWRDTLYLRVAPDPPADGEMPENCRDAFFDYARHTKRLLHTLFGLLSEALGLGPTYLTDMECNKGQMILFHYYPPCPEPELAIGTTQHSDSGFLTVLLQDDIGGLQVLHDDQWIDVPPTPGAFVVNIGDLMQETRTDFVVRVYAQMMSNDKFRSAEHRVVAKNTEPRVSIACFTSHSDSTRMYGPIKELLSDENPPLYRETLAKDYIAHYYAVGLGRKAAIYDFRI >Dexi4B01G0022450.1:cds pep primary_assembly:Fonio_CM05836:4B:24042233:24042874:-1 gene:Dexi4B01G0022450 transcript:Dexi4B01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHQEAHPRLPADHRRGSWDRETTSLWHDSWSKASMLRDVLPALYSHCRDDAITVAEVVAMDGFPPEPLQPRLSSAARAELALLDDALLAVTLQPRQDGRRLRKARSPSARAGDFYTARQTPVGGAPLLADVNWACGNGNGVPAPGSSFTAAASCRLRTACPFYPGVTEDKDHLFATCPRLAPLWDRLLSGRVPLTTALGAAEAICMRPPR >Dexi5A01G0028180.1:cds pep primary_assembly:Fonio_CM05836:5A:31500037:31506750:1 gene:Dexi5A01G0028180 transcript:Dexi5A01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPEASCSRDAPPAAFSSAAAAAAGGGVGGPNPCCAKLWKKCQKLETSRTALREAVKLLQAESEKLQKENSDLSKVCKEERLRGDSAEAARATESDARDLLEKELIELKTQNSILQQSQNICKNDNELLRISELEEENRKLKQVLGEERKKIISEKKKAEEEKSKALEMQKVLKSETQKSEEFRRVADTERKVANDWRASCERLRSEANEVRAQLAAQIRKTEEALKRVETEKQTVAREKKRADSEKSLSEKNKTLIEVERKKVSAEKSRADNLFAKLEEQKRLNEHLRTSIQVETKKTIDEKKRADHLFQKLEEEKKQSEYLWRKTNELRSGRDAISSGNGGRKHVDTASGGANVKLLKEKLKLKKEQLKHVKNVSKLDKAKNALIRRELQRLKQDWMQLLSRFNMLDDHLAGGVGGIHVLTELKQHPEIHGGFEQKLLPNDSVPAPYFGLQAGMVPFVSSIPREYASYHVFKQGKLAEDNDKHPERINLCYFTELISLFELFGIYMSCEWTYTNVVVRLLEILESCMCDEFAAALLVLVSQLGRFFVDDVGYEQRRVSEMRDKLSSLLGGTSFTKSRSLSVQFSAIGALLSVIPLPFDSIVATQSTQLSGPFAMSARQISEWFVQLSDEHQSLAGSFFG >Dexi2A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:2A:12202623:12204399:-1 gene:Dexi2A01G0010860 transcript:Dexi2A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLTKATLNQGFSTFVLVFYCQAAASLPLVPIAALRERRNLRSMSYMLLLKLFLCALLGNTFSINILNVSLRYTSATVQSAISNSKPVVIFCLALVLRMEVVKIRSSYGIAKVIGVALCLAGVFVIAFFTGQSISPVNHHHAFHGHSSSNLMSRGTWIKGTFLKLLGDVAWAMWIVFQFLASHIYIFKHGMKAALLKEYPNRMLVTVTQCVFGTVQTGVVAAMAERDIARWKLRLDITLVTDLYSGFVVSGLSNYLQVWCMEMKGPVFLAILFPLGFVFTMFCSSFFLGEVIQLGSILGGILLTGGLYSVLWAKSKEPAIEPCSEVNAIETIEIAYDEKEEKKLEGDQKKDKEEHEGEASITICS >DexiUA01G0023590.1:cds pep primary_assembly:Fonio_CM05836:UA:48278992:48279336:1 gene:DexiUA01G0023590 transcript:DexiUA01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERKKGAYRSGGVGEEGGAGEADALDRRLSPPRERPFGGAVAAGASPHHCSPSHSFPSPAAAYTTIIPFSLHSASPPVIRSLPLSSLWPCVLVPDRPDSLTKLEV >Dexi5A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:5A:2831236:2834152:-1 gene:Dexi5A01G0003700 transcript:Dexi5A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKVTRISEGPVKPASATPEETLPLAWVDRYPTHRGLVESMHIFRSGADEAPAVIREALGKALAYFYPLAGRIVEGDEPGCPAIRCTADGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQVAVGADGRSVKVVGGWSGSVDMAVPWCAFVLQREELARSDADAGQNGLEISRLLTPGVLELDARRVSWLGRQGRSSVLNDILVWFQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLTEPKVKPVWHREKFPNPKIKPGPLPELPVLALDYIVLDFPTGYLDDLKKQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEASAEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLGSSIMEVVDMIRQAKDRMAVEFFQFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPVFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFVRGMKADLP >Dexi4B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:4B:5749225:5750622:1 gene:Dexi4B01G0007930 transcript:Dexi4B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLAALLILIALPVPYRAHTASVFPGAGAVSLLLTHADAGLGLARPELVRRMAHRATARRRLLSSDPPVRAPVSGGLAAGGIVTNEYLVRLAVGTPPRPVSLTLDTGSDLVWTQCSPCRDCFDQGALPLLDPAASSSYASVPCGAPPCRALPFTSCGGGGGDRRSCAYAYHYGDKSVTIGDLATDLFTFGEDNNGGRLPTRRRRLTFGCGHVNKGVFQSNETGIAGFGRGRWSLPSQLNVTSFSYCFTSVFESKSSLVTLGGGSPAIYSHAHTGEVQSTPLLKNPSQPSLYFLSLKAISVGSTRIPVAEEEEPRRRHRRRSAIIDSGASITTLPEDLYEAVKAEFVAQVGLPLITGVEGSALDICFELPPMASSPATFWRRRRRLAAVPELTLHLEGADWELPRGNYVFEDLAAGVMCVVLDAAPGEQTVIGNFQQQNTHVVYDLENNRLSFAPARCDRLVDSL >Dexi1A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:1A:21658435:21658785:1 gene:Dexi1A01G0014850 transcript:Dexi1A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSKTEPAKNQQHQERRRARPSGEASGAGDGNASGGGGSRSAGGGGAADADGGDAISATGGGDGNASGAREAASGGQAVVASGTTAGPRRTSGHSSSAAHAAAELGLGLADQRRT >Dexi5B01G0035680.1:cds pep primary_assembly:Fonio_CM05836:5B:35604597:35605020:1 gene:Dexi5B01G0035680 transcript:Dexi5B01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLENSLAARGGTISGPDLEVHGGGLALAKQGSLKGTTLPSPRPSTTKPSSSDPCTSAWWSSGEICVAVTRSPAAWLGWLAMAGRAASVSASMPQNTSSRRSSQSELRRRETVLACAGKLGHGSEILRGDEGLAT >Dexi7B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:7B:24819726:24821862:-1 gene:Dexi7B01G0019230 transcript:Dexi7B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFLSQLKLSHPDHVLVKNAASSDANFERALQAVA >Dexi9B01G0028240.1:cds pep primary_assembly:Fonio_CM05836:9B:30855407:30861351:-1 gene:Dexi9B01G0028240 transcript:Dexi9B01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWEMHPLCCLAGEWPGGGGLAAGDRSPLPPPAAEGEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRIAAEPHPASEAAGGVRLIGVARGAGGAGERPIGFVHLKVTPNFLLFVQVVNSVDTTVTEKPQLELFKHDCSSSGKCSAIFLSSIFSEICLLSLLLFFSSEYSNTESSDDVGKQEISELSDGDEFHFYDTRQSFSDWATSPDLSQRCLNNGNEHHKSVESLTVDKANEYLLANSKRRTKLPEPAEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDRAYESGLKGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVTTTINNLILGRLYCHHHGTMHISGNRRYSCKLTFKEQSFLDRNPRQVQGVVKDLDGSKVATLAGKWDESVHCIIGDDACKVKCHGPHQSAGATLLWEKNEPSANPTRYNLSSFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMVARKMQESGWKPRWFQRDSKDGTFRYVGGYWEARGERKWDGCSDIFGNLSGNPKLQPSALYASSSI >Dexi9B01G0025720.1:cds pep primary_assembly:Fonio_CM05836:9B:27103377:27103637:1 gene:Dexi9B01G0025720 transcript:Dexi9B01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARTLAQHSGEAIDVIDRWLGVWLRETSAPGSVVLSIAPTLTRLSPAPSGVLTSKGETVNQCCPAAASHQTAAWTNTWSEPVMD >Dexi4B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:4B:4577391:4579217:1 gene:Dexi4B01G0006530 transcript:Dexi4B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCAMVTARLVLLVATALCCASASSSASPAVRTAGRCRSFPAVFNFGDSNSDTGGFWAAFPAQGPPFGMTYFGKPAGRASDGRLAIDFIGTHALSLQHVLDFLSPLHLQAMGLPMLSPYLQSIGSDFRRGANFATLASTVLLPNTSLFVTGTSPFSLAIQLNQMKAFRDRVISSHGKNGRLPSPDIFGHALYTIDIGQNDFTSNLGSGGVETVKQSLPSVVNQISWTIQDLYNIGARNFMVFNMAPIGCYPAFLTELPHSSNDLDEFGCMKTYSSGVVYYNELLKNILAEVRKKMQDASIVYVDKHTVMLELFRHPHAHGLKYGTKACCGYGGGAYNFDQNVYCGNSKIVNGQTVAAVACGDPQNYVSWDGIHATEAANKIIATAVISGSYSYATLCRPEA >Dexi6A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:6A:9279034:9279802:1 gene:Dexi6A01G0008680 transcript:Dexi6A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGRKRLREEEDVPMAPQAVRDPRPQLTTEDSVQFVLTLKSELAGERGKLQDLAGAVDRIKALLAGHPNLIRRFNEFLPWDYIRSQGQAGGSGSGTGTGTGI >Dexi6B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:6B:11065338:11065877:-1 gene:Dexi6B01G0008760 transcript:Dexi6B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRVRHHRVHPLVSALATRPPAIPVAIAFLPKLASPSSRACFADTPATTLLENRPPSNPVCPHSAASRANPVHGTFYRCLPLTKLQVSAIWVPPFQSIQRFNSFVLVYMRMICCLTSLELICGSAIKDPGFASLAGSSSGTQVRTNWLTLDLSTSTRSQGYLNLDLLPLVIACCIL >Dexi6B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:6B:26769790:26771893:-1 gene:Dexi6B01G0020220 transcript:Dexi6B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYGYGGGYGHGPPPSAPPAPTSAPPPSSSSSYGYGYGQGGYPPSYPPRPPPPGGFGFGYGAVPVAFPPGTHPDVERAFRAADRDGSGAIDEHELQGALSSAYHRFSIRTVRLLIFLFNDPASSSPSRMGPAQFVSLWNCLGQWRGIFDRYDRDRSGKIDSRELTEALRSIGYAVPPSVIELLIANYNNGVPSNGALDFDNFVECGMIVKGLTEKFKEKDTRYTGSATLTYDGFLSMVIPFIVP >Dexi1B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:1B:21391284:21391853:1 gene:Dexi1B01G0014960 transcript:Dexi1B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTSSARILALVAALALTSVVSGVGATPETTCAAAAARDHRVDYGFCVSRLSHHHDSPDADMWGLAKVAADVGVATAGDAVYDIKKALQQLANKPGAGGARAAALERCRELYDAAGLAFAEAYDGINRREYAAGKAKAAEAASLARRCGEAFARAGGAPPPPQVARWGEESAKIAVVCTAITDLLIV >Dexi5B01G0028030.1:cds pep primary_assembly:Fonio_CM05836:5B:29525037:29540186:1 gene:Dexi5B01G0028030 transcript:Dexi5B01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSTPPALPNGSTSATFFFPAELHANVAAVRPPSVRVSEADAAGPDRRTDATRRLLHANVAAMVNRSHTKPPILRPSPSRRVRPVSDPSFELFAACLQITGELLFGPGVEEQACILASKTKNEEKRPIQTFRPYNIAHRGSNGEIPEETADAYLRAIEEGADFVETDILASKDGALICFHDVTLDDTTDIAKHKEFANRRRTYEVEWFNVTGWFVVDFTLEELKRLRVKQRFSFRDQQYNGMFSIITFEEFISIALDADRTVGIYPEIKDPVFINKHSYWEITSDDYLAYIGKYVVGLGPWKDTIVPAAENYLMPPSDLVARAHAHNLQVHPYTYRNENQFLHFNFHQDPYAEYDFWINTVGVDGMFTDFTGTLHRQEPVAAAPPAIAEGSRDVLGWSCELFHSLVEATTENSTPGIPGRRRADIQSDTYVLMEPGMDEQFVSREELEDRLRGWLERWPRDELPPDLAKFERVDDAVSYLVRSVCVLEIDGDVGSVQWYQAAAGVRKEPQLLSASGKVHNMMTAELVNQYANITLGGEGSEICDIKHELKALRKKILSLDFDNSMHVHDPQDSFEYLDVLCKIRRLSERLRSSLDPGGEAKQLDELTVYADDLSEMAMARLEEEFVYLLTHYKQPLEQDVLSFRSTEDGSVEDFSSSSFSEEQSDGKTTPNDVTGGPEYLVPDLIQPCALSAVKSIATFMFLNGYDKECCQAFINSRQIAIDEYFGSLRLEKFSIEELMNTSWNKLNILIRRWNRAVRVFIRVYLASEKRLSNHVFGELTDSTADLCFYEISFNSVIQLLNFYVSVAIGPPKTEKLFRLLDMYEVLNDLLPEVESLFESGYDDMILNEYHETLLQLGESSRKTFAEFKYVIQSYTSSNVVARGEVHPLTKYVMNYIRALTAYSKTLDSLLKDTDRRHLHLASDIQLMANTYPNFTATALHLQSVAAILEANLEAGSRLYRDDRLRNIFMMNNIHYMVQKVKNSDLKSFIGDDWIRVHNRKFQQQATRYERASWNNVLSYLSDDGLCAAVDAASRKTIREKIKNFNMSFEEVYRVQTAWSVPDDQLRDDIRISISLKVIQAYRTFVGRHQSVLDGSRHKDRYIKYSSEDLEALLLDLFEGTQKSL >Dexi9B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:9B:4265059:4269510:-1 gene:Dexi9B01G0007090 transcript:Dexi9B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTTQPSTAPYAEGSGEVGFFANPSPSGAEPPGLSLGLGTPARGGDVDAGGRRGHHLEPQQGCSFKRAATRASGGGGGSKRSARAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSLTISTGEGEALPLQRTATGMEEAAAAAVAQGGGIAAAAGGGVVMVRVPLPAACDDIVGICSSPSAGSAATTSAAHFLCAPPATAPLAVAPSPPPPIPPRSVSASHPHHSSVRSGKIKHRTDHSSMTMYHQAAQEEVNRHLAMGLHPSAEAVVDTNCSSPASSSPSLASAELLTDDMYAPNLEISLGRQDWGMERPEELSLKYL >Dexi2B01G0035200.1:cds pep primary_assembly:Fonio_CM05836:2B:42337652:42338489:-1 gene:Dexi2B01G0035200 transcript:Dexi2B01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKVFGHPMLTNVARVLFFLEEVGAEYELVPVDFLAGEHKRPQHLKLNPFGKMPGFQDGDLVLFESRAISKYILRKYGKADLDLLGDNGSIEESSMVDVWTEVEAEQYYPAIAPVVFECIINPFIMRTAPTNQTVVDASLERLRGVLGIYEARLEKTAYLAGDSVSFADLNHIPFTFYFMATPYASLFDDYPKVKAWWERLMARPAVERVCKNMPTKFPLSTPEPGNK >Dexi3B01G0026010.1:cds pep primary_assembly:Fonio_CM05836:3B:20956851:20957699:1 gene:Dexi3B01G0026010 transcript:Dexi3B01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRDEDPPSPEDRQEDTRRSKAAPMSNLGGGAEAHARFKQYDYRTNSSLILNTDSRPRDTHEPSGEPETLWGRIDPRSFGDRAVQARPPELEGKLTKSRTKKTKRDAADPDLPRRDAKRRRRAASAQNVSVLSLTDGVVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLATLKNDKIKNPDKKKDIEKLLNPIPNQLFDQLVSIGKLITDFQDPAAGNATGVPSGDAMDITSG >Dexi5A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:5A:3757579:3760230:1 gene:Dexi5A01G0004890 transcript:Dexi5A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHSVLVLAGIISALCYCFSAAAYSPADNYLISCGSSAGTTTMAGQRVFVSDDSGTVTLTSSHSAAVKAPPDSVAGLDNDDAALYQTARVFTTPSSYAFKITHPGHHFVRLHFFPLPCQSYNLAAASFKVSTQDAVLIDTFTPAARKTNENGTSQSPVMRDEFLLDVARDTLVVTFVPLAAGGIAFVNAVEVVSVPDDLMAGMATTSLDQQLAPIVLPLQTAHRVNVGGPAVAADGDALWREWTTDESSLVGSIVTREVIYDGRLNYLAGEATRDDAPDIVYATARELVMSDGFDSSRQMTWQFDVDEQPSSYLIRFHWCDIVSTAPFLLHIDVHVDSYTAVQGLDLSTIGNGTLAFPYHKDFILGSSDPSGKITIGVGSSSTLNMNNSLPNPILNGIEIMKMNFSSGPVVVVEPTSGSKNKQNLPIVLGSICGAFAVLSIAVVLVTFLVKKKEEKVLPSPSQSSSSTPWMPLLNRLSLRSRGPGPTSGPESPSFAVDKDMPGEAIPIASSSSSSPSYRFPLAMVQEATNNFDERLVIGAGGFGKVYLATLPDGTKVAAKRASSESRQGAREFRTEIELLSGLRHRHLVSLIGYCDDADEMVLLYEHMEHGSLRRRLYGHGGSAATALSWAQRLEACAGAARGLLYLHTALAKPVIHRDVKSSNILLDGDLAGKVADFGLSRDGPEVGETHVSTAVKGSFGYVDPEYARTRRLTAKSDVYSFGVVLLEAQCGRPVVDPRLPKPMVNLVEWALHWQGRGELDKIVDRRVAAAVRPEALRKYGETAARCMAERGADRPAMEDVVWSLQFVMRLQDGGGDLDFSDVNSLNFVRELSPPVDGSSHENEEAGEGEGVTDGEHTDVSMRGVFWQMVNVGGRYVKGTR >Dexi3B01G0021550.1:cds pep primary_assembly:Fonio_CM05836:3B:16427731:16428039:1 gene:Dexi3B01G0021550 transcript:Dexi3B01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHCRSPSAFPRASSPSRAPLPYLCRRRSRANAALAPATSQRPTAPMSVHLALSAGVDPASCRVARGERGAPYRSTAVSGGELTDAALPAATAEANRRLRR >Dexi3A01G0024950.1:cds pep primary_assembly:Fonio_CM05836:3A:20556862:20560907:-1 gene:Dexi3A01G0024950 transcript:Dexi3A01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPTLFGLRPASPFLLSAGSRVAGRRLASPLRLRPVRPARPLIPISGSLGAAVLAGAGHPSRTDLAALVPNKRASFSAAVEKTKGATAAEDGELEGMPPEFYDEVSAHLSKQRRSLVEAKVGSGPCFFLEIVRYHIYFHMQEWQARQRERTKEWHAYRQKEEAEEERITNEYREIGMRLKAYPQEEVRKARILVSSFIRAGAGIEEEINKAAERGDLTELVLMVIWNRLDIARRDDERDAIRSLDLLYRRVETEILKSEATPAMRLLNELLNLHDGGDDDKWLKKCRKHMLEVFPREDPFTVVFPASFNMEKHEGRIELPPQDDDLLLRVDFVREVDELLKEVQAEQEKNKLQTGYDPESVANMLKMQEKLQTIRQVESLLELASSLKW >Dexi4B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:4B:5173958:5174710:1 gene:Dexi4B01G0007300 transcript:Dexi4B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMELNVPSSSGERKKKYKGVRRRKWGRWVAEIRLPHSRERIWLGSYDTPEKAARAFDAAYVCLRGPTGGADGLINFPSSPPDVAGRTTDPDEVYAAAVSHANRATVFVSGAGEAPWDANANATEPSSEEVHDGASPEAEAAAAVEAAPLEVADDESSGEWSARLVADELPPLYSPMYAGSDAYTYMPAVSSYDMHMEENESASCCCPGLWSFDPSECHVVAERQVVDVQRNQTNVRSNFPDVSDRDG >DexiUA01G0021870.1:cds pep primary_assembly:Fonio_CM05836:UA:45094720:45095982:1 gene:DexiUA01G0021870 transcript:DexiUA01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLMEYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTQEEEQTILRFHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFSALPQLIALANLRQLVEQRPWDDHAARLKVEAVQAAKLQCLQNLIQSAASIATSPSSSSINTIPDLEQIGLLSPPQMSSLSSLPSPSFLESISGQDIVAGQLPDIQIPSSFFEQPTCNDANQNLDFTPKSSVEGENGTPKTLLLSENSLPPLTDFPISNLGDACSASSCDGSGIQFPSWPELFDEQFLSEFV >Dexi1A01G0024850.1:cds pep primary_assembly:Fonio_CM05836:1A:31270304:31270833:1 gene:Dexi1A01G0024850 transcript:Dexi1A01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQCAVRGFDRSLLLPPAPLVSPRLPHHCWSPSLPTSNNQHFA >Dexi3B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:3B:4532458:4535539:-1 gene:Dexi3B01G0006550 transcript:Dexi3B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRMEDRFNYLEDRIRRSATLFSAPGFCGEPADATLASEEKMFAVGMVTCDGEGRLNEKSILLQGSVEHSRGERVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVVSKLIDSIPVSVDAQLPSAKKQAIDNESHQNSDAGTLSRTLSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLIILMGPFIDSDHPDIKKGTVDQTFHDIFHFEIMRKIQDFTQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQISCLANPSLFSCNEIHFGCCTVDILKQLSGEEISRKSPGAVGKPGDRIGRLATHVLKQQSYYPLYPPAAGVPLDFSLSKEALEISFPPDVLLLPSDLAPFVKVLSLHEGSVAQKQFMCMNPGRLAKGIGGGTFVELNYNEDTEKANASIIRI >Dexi9A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:9A:4374631:4379280:-1 gene:Dexi9A01G0007530 transcript:Dexi9A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTSELVAVKYIERGEKIDENVQREIINHRSLKHPNIIRFKESSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYAIPDNVNISPECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPGDLMDDDSMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVLADGLDMDDDMDDLESDSDLDIDSSGEIVYAM >Dexi9A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:9A:6960561:6964148:-1 gene:Dexi9A01G0011170 transcript:Dexi9A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSGGRQLSFELLARDLSADDADDDSPRSLPDTTSDGQRRRRRRSKRKRGFRSPPIDEAASEGEQPRGDGIGDAVAAFRVTDLRSTAETVCESSEADRSAASCVTYVGVELRQRSVCGGGRVLAASTEDGTSSCGSSTRESAAAAAAVADVAAAAWRPEANGGVKKKLEKEESLDWEKYMKENSNILGEVERLDNSPFRYFLGELYGGNSLRSTISVGNEKKRQRVYNTMFHVPWRCERMFDYDKMLQLIVAGFFVCLDSFLSLLTIMPARIVVTIWRVLKTRWCICGRKFLRPNAADLSDYGCFIVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSSCSTDNVTFELMRFLLDEAIAVLAFDILFYRLHQFIFLVLTIG >Dexi3A01G0022010.1:cds pep primary_assembly:Fonio_CM05836:3A:17573168:17575667:-1 gene:Dexi3A01G0022010 transcript:Dexi3A01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAVTQDDRKSGAPVPQDAAATSRLQEFPPAPGGNGGGYRPPGAPADGDVENQANWKGYFNIASYAPYFNVDTDVVVDRLISSVYPMDGFYRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQKKTDPKIGNFDVGYFNWAASVMYGYAIIVPAVLLLIPVEFLRWVIIALAGGASSWFIALNLKECTEGADLMVLIASAAVLQFALALFIKVFFFA >Dexi5B01G0024940.1:cds pep primary_assembly:Fonio_CM05836:5B:27031716:27034983:-1 gene:Dexi5B01G0024940 transcript:Dexi5B01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGEGPAVEKATGFEVGIVVPKLSRAAASGAADDCVARLVRELEDAGLLVERVRGVPAEFIKLAAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYCCFRYLIYGIVNKTNSEITLKFDDKEFHWKENESLLTRLESEGVVKQLPFELAYAHLYEITETEVMRYLLTAVYLVAIQYYTRIGGRVSVNMIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLVVSQVLENLIENSIPYLKYSYKKYIAVHKKKQEKESPSGKSVRLSTRVEKEYLKPSYTASIGAELEDGLFDDFLELTLQFGMIMMFA >Dexi3A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:3A:1445199:1446563:1 gene:Dexi3A01G0002170 transcript:Dexi3A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCHPRGLPWLPRSCIPADPARHIAVPVPISAATTTNPASDTDEESPISALPDELLLECLARVPRASLPQLPAVCRRFASLLASEGFLHLRRAHGRLRPCLLAVNVSAFARALLHLGASSRPDIEKFAAAAVGARIYVAGGSARTSAVEEYDPSADAWRVVAEAPRRRYGCAGAGAAGVFYVCGGVAVS >Dexi2A01G0022230.1:cds pep primary_assembly:Fonio_CM05836:2A:34105771:34108817:-1 gene:Dexi2A01G0022230 transcript:Dexi2A01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLVSRLLGMFQSRTQVGVDKAGNRYFSRVEEVDGATNLVVVAFSCHSLRRNTGAVKERRWVEFKGAEQDSTTVPGYLTSPPGPFVRKKAPGPEELAELEARRERVRKNIERMYLMFSTCKITYAFIILKKKEEEEERKAGVRPVKKIGKSESPNLQSFVKQQFPGTPDQQKAPEEVSRPKDATDTEDSTTDNERYSEPTGTGATFKPGTWQPPT >Dexi5B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:5B:2240047:2241714:1 gene:Dexi5B01G0003390 transcript:Dexi5B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKLLLDASHKAKAAAAAAPPLSKVATSGLHGEDSPYFAGWKAYDENPYDAVSNPGGVIQMGLAENQVSFDLLEGYLRDHPEAAGCGGAAAGSGMASFRDNALFQDYHGLKAFRKAMASFMEKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCDSSNGFQVTAAALQAAYDAAEAAGMRVRAVLLTNPSNPLGTTVTRSALEDVLDFVTRNNIHLISDEIYSGSVFSSPDLVSVAELVESRRAAAGDDLAGNLIASRVHIVYSLSKDLGLPGFRVGVVYSYNDDVVTTARRMSSFTLVSSQTQKTLAAMLADETFAGEYIRTNRERLRERHDHVVDGLARAGVPCLRGNAGLFVWMDMRRLLAGGGEEEATVAGELRLWDRLLREVRLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARMSRFMDRWNKERTISSTQQKQH >Dexi5B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:5B:1185043:1186177:-1 gene:Dexi5B01G0001840 transcript:Dexi5B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPFSDAGLFDGVFYGGFDVGHGYGHGEYEYGLAGQQVVGASTSSPVVLDGGVTTEEADVAAAADASAAELDAPPERKGDDRRSEKAAMALKSHSEAERRRRERINAHLATLRNMVPSNDKVMTSSLLQTSLPLPLASSRTRCTPADEGETMDKAALLAEVITHVKKLKTSAARIRSHCAVPADDDDVTVELVAPGAATPSPSPSHGGGVLVKATLSCDDGADVYADVKSALRPLGLRVVGSEVTMLGGRVRFTFLMSSSPCGGGGNVSGGVADSVRQALQSVIDKANSALEFAPRTSLLNKRRRVSTFESSSSSS >Dexi5A01G0036980.1:cds pep primary_assembly:Fonio_CM05836:5A:38356862:38358234:1 gene:Dexi5A01G0036980 transcript:Dexi5A01G0036980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGVGSKEVGSHAMSFLLGAALPTALLFLLASDRLGEGMSSISRSWGNGAVLPAGADPNEAKSTLGSVDTQVIFKGLPELLQKVAMEDRTVIITSVNEAWAQPGSLLDIYLERFKNGEEIAHLLNHLLVVALDAGGFERCNVVHPHCYFLNATTTDMSSAKAFMSPE >Dexi3B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:3B:8954557:8955539:1 gene:Dexi3B01G0012780 transcript:Dexi3B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSVASLATLFAWPIAGTGADAAATDSTFRSTLNAEAYATSSEYRMNTSSISTPSGESASTAAAWSKTGTRSRAVCRAMVESTKDRNAGARGAEDGGGHVALAGPRRGFGDDVEHLGEVTELVCCTAAGSHTEHEGAPALAERVGGGGVEEAAEQID >Dexi9B01G0045210.1:cds pep primary_assembly:Fonio_CM05836:9B:44780483:44785350:1 gene:Dexi9B01G0045210 transcript:Dexi9B01G0045210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSSPYSRSISPPPMEFLDRRSSDLEVGGEQAAASGILSTGGGRKDEKGHLEGPISLLWPSLNLKDPRAGLPLHHHLAHAAPSFRPRRRNLSPTLPSPAALRAGTGGRRIEDRTGEQGSTIEAPAAPRLLTSTPILPFDWNWNFAPARTTSPFPIRSKNDRPLSMITNSGSVNARSNSFSVLDHHTEDLQSTTGLQNPQAPTAGCTNPAVPLDQENDGLTQRPALPPPPPPALQPIATLPAPPAPSQSPQTPQSLSKMQIQMIFPQAHRLLHCLQDLASRKSDEVYSERERSTRRPDWGHRAQMSELLKDNNTSRELSKNTKVPSSSRAHTPSSKSTKAPRKAHPLLQANFLTGTNSTPQTDRHKRSPGEKYETESRTKLSIASMETTRAHGSPDAALVAGVSGVAHQELWVPLRPPWLLPTVAVAAGISPRPTPQNPAAREIGGRERGEERTHTRLDEQSDGHAAPSVLGAEILDQSGCRPPPPTPQGLGLDSAATSEDRVYGSTATKRRNGDIHEFMTTSGHYPKGHLAPSCWEDTTTASVQDTLAAHDGRQRRERRQQFPRVPYGLPVGIRAIETSTLWIRTIAIHCCPIRTSGVRVHTGEWVHTGMGIREERSGKGKEQG >Dexi9B01G0048570.1:cds pep primary_assembly:Fonio_CM05836:9B:47492480:47495618:1 gene:Dexi9B01G0048570 transcript:Dexi9B01G0048570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGEVPAAAAAAVANGLGGGSEASPAPFSAEQLDVEAYSAQYSGRTRLARLLFVADRCGVEAMQLEALRMAYDDIKKGEDVQLHRDVALKINGRLGPRYGLDQAWVDAVSRRAEQRKEKLENELNGYRTNLIKESIRMGYNDIGDFFYAHGQLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFTHVTNYVSKAEQTPDSLDPIIVAKLRAAAGLANLAQKKYKVAARKFVETGIELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNINFRNFLELVPEVRELVNDFYASRYGSCLGHLEKLKPNLLLDIHLHEHVETLYRDIRHKAIIQYTLPFISVDLNTMATAFMTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNTTFQRVLQTGNEFERDVKSMLLRANLLKHEYIQRTGPRKM >Dexi3A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:3A:10080529:10083131:-1 gene:Dexi3A01G0013820 transcript:Dexi3A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSASVSVDIERISFGGKTCPVSKDCSSAQKLRLCCSTISAYTTSILRVMRVLQLGAAPIPSDVPVPSVDDLADQVADVLDFFSLGSVMCLGVTAGAYVLTLFAILLNFLYYYGTRGLVKECLLQRYFSKVASDLTVQDCGSLVTEEQPYAMLMPMEYFLMGYGLYRAYQLSSSPRSPLSPCCISPELLSPESMGMKLKPIKTRVPINF >Dexi5A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:5A:5996013:5996475:-1 gene:Dexi5A01G0008080 transcript:Dexi5A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGPRITVPRSLRWASCGAISVSASSALLVRLFSPECEPQNIAAYDRPELKPE >Dexi9B01G0031000.1:cds pep primary_assembly:Fonio_CM05836:9B:33465510:33466999:1 gene:Dexi9B01G0031000 transcript:Dexi9B01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAKQSKKLRILVMPFFATSHILPLTDLAFHLAVVRPNDVEVIVAVTTANAPIVRSALARRELPSHASVEVATYAFPEVDGLPWGVENMSTVKAEDSTRLEMAATNEALMRPAQESLIREISPDAIVSDLHFFGWNAGIAFQLGISCVLFNVVGIFPTLATWRLALSGNVKDAPPGSSVTVPQLPGPEISLPVTELSEFLRNPPVFDDETGAQYMMLLKSCGFVSNTFFDLEREYCESYEDSGYTKRTYCVGPLALPMPPPARAGSGRPACLDWLDTMPTHSVVYLCFGSLTNFSEAQLDELALGLEASEVPFLWVIRVKTWEPPVGWKDRVGKRGMVVMGWAPQTDILQHPSVGAFVSQCGWNSVLETIAGGGVPVLTWPMVFEQFITERFVTHVLRIGERLWPEGAGRRSTRSAEHDVVPGKAIAQSVAKFMENGGAAEAARRRVMELSPKAHAAMAEGGTSYRDLHQLIDDFIAARASVAGAATS >Dexi2A01G0024650.1:cds pep primary_assembly:Fonio_CM05836:2A:36402130:36405213:-1 gene:Dexi2A01G0024650 transcript:Dexi2A01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAWVPVRILFLAAVAASAAEALSLDVHHRYSATVREWVGHRAPPAGTAEYYAALAGHDLRRRSLAAGAPGAGGEVAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCIKCAPLVSPNYGLWTGTDRFISGQCCTQWSAWPCPYYNISITGAMVGSKSINARFSAIVDSGTSFTALSDPMYTEITHSFNSQVQDKPSQLDSSSLPFEFCYSVSSKGTINPPNISLTAKGGSIFPVNDPIITITDTASNPIGYCLAMMKSEGVNLIGENFMSGLKIVFDRERKVLGWKNFDCYSVDSSSNLPVNSNSSAVPPKPAMGPNSYTPEATTGASPNGNQVNVLQPSASSSLKLHFNISVFITAALLFIAIL >Dexi6A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:6A:4552109:4554075:1 gene:Dexi6A01G0004950 transcript:Dexi6A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRLLPALLKTLAPAGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGAAEGVGLGHPIEYICLDLEAPNVCKYCGLRYVQVRHH >Dexi1B01G0029850.1:cds pep primary_assembly:Fonio_CM05836:1B:33991681:33992793:1 gene:Dexi1B01G0029850 transcript:Dexi1B01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAPAKNLSPPSPPPPPIRIPLPIQQQHCHHQLFIHLHQSRFMLTPKDDLTT >Dexi3A01G0026400.1:cds pep primary_assembly:Fonio_CM05836:3A:22607281:22608007:1 gene:Dexi3A01G0026400 transcript:Dexi3A01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRASSVSAAGAPVFPFPADAAAGEPDHFSDYGFDPQLVGFFAQPEPKRPSWSKRRHQPPPPLESARFKLQKPISKRQHHQQKQQQQRRRRWWSSAASAALLLFKRPCSSPSSSPAVAPAPPPSAPSASVVMPMYLADDDDDGGEAACACWAPAMRSGRLAAAELGASAALVPYVSLRSASLRAGGADGGAPAVVPMYLVT >Dexi9A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:9A:7306046:7307164:1 gene:Dexi9A01G0011660 transcript:Dexi9A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQALPVLSPVPPNPNPTAPADPTPTPAGARKLPIKRRSPRPSSSPPSSSSPDDSSDPLRAPSAAAGGGGSDHNQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKFRSVSARVAGGLDPSRLAPHDRDVLHLCSRLWDPANAATSPFAATAGSSGNKRRRANPRGTPLPPPDASGDSNSHDYNGISSSAPGLFPDGSNGEDMFFLEQESGHLGDHEGAALVPDSKFGVIVQGQPETVAVLPNGNNGVGNEINGDHKIVVPCSNEHRMAIAVLDVFEECLREAKANGIINGVNVDGSTGDSELAKRWRVQRMDELDVLSRRLRLLVEDAAAAGH >Dexi2A01G0015970.1:cds pep primary_assembly:Fonio_CM05836:2A:27365473:27370259:-1 gene:Dexi2A01G0015970 transcript:Dexi2A01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKGAVLFFKLLACFLCFFELSHGKKQFTLKNLPPLRKASSYPTGSPARYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYMENFHIGLMNMAPDSSSQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVHKIVFDSRQGRLKPRAIGVQFTDENGRHHQAFLNNNKNSEIIVSAGAIGTPQLLLLSGIGPKDHLKSHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYAHNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVRNNPTVTFNYFSHPKDLDRCVFGIKTIERILKTNRFSELAAEGTGFSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGISGLRVVDGSIFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGV >Dexi6A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:6A:22543419:22551916:1 gene:Dexi6A01G0015170 transcript:Dexi6A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGEQSPPPPPPTSQSAAAAGQPQQPLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCSYCFQRWLEEEAAARGDMAAPAQQPSSPSMSAASLGSDKSIFTGTNGQMSSYANVSYADFASMPVHGEGNCGEDGCYPEKQQPVKEPAPTMEAAAYVDHSSDPFNFCMQRSDDEDDDYAVFHSDLEGQHLQNSDEYYGPMYFDGHRVDCSDDAKEPASPRKDVTSLVDSLGEDKNEDHSVDECCNARSSSMYSMEVLDNEPVDFENNSSLWVPPEPEDEEDDHDGERDDDEGVDATGEWGYQRSISFGSGHCRGRDKSAEEHKRAMKDIVDGHFRALVSQLLQAEKVPLIDKTGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSRKEKPQILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAQIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILPIPSASSPNSNLQTLDLQTDDLAFNSNKKMEQTTSGVHSVEINSQNGHNTFLLDMAPQSSSPLVQHSNISSCHCAECTKDVNRKMDLQDSQPGTTRHALITDSGVLPSHSTNLLSVKSDSSSAKNSEIGDMVANTHTAPLNVQIAHDDDSVKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCHSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDGEHDGRIWMWHRCLRCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPSKLDFTSQHQEWVEQEAEQVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILLAEKADFMVGVIKQSTSTEKGCGKYCDALNGLRIGSGSCDFNEKPVSASAAPKLEKGSKVMEIPSIASEESLQQNSGHPFHGEDEGINQANQSDENSLKNVADLNHATSADVKDQLDNQGSRIGVRRVVSDGQFPVTADIPDTLDAKWRGQNGPVPESNLVKPIHSVEGTAVDVKSQTKAVPSHTFTVRSGEAAEELLRWLKVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNGSPTVVSPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNIGEEDSQNASQE >Dexi4B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:4B:7998396:7999609:-1 gene:Dexi4B01G0010630 transcript:Dexi4B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLSSPAAALAVLTTLILFSAFPTPARGGDDYTAFVYAGCSQARYDPGSQYAADVDTTLSSLINSAAYSSYANYTSPSTPQLAGVYQCRSDLPAAVCGACVKSAASKLSSLCNSASGAAVQLRACFVRYGNDSFLGKPDTTVLFKKCGGENAGDTGVFAMRDAALAALVNAAAAPVAGDGSYRAGASGYVQAMAQCVGDLGAKACTDCVSAAASQLKAGCGYANAGEVYLGKCYARFWSNAGGSSNGGNGVPVGGGGGGGGAGINNGVPVGGGGMGGAGNGYAYGGFVPNPYAQHGKQLLHLQFVHPESEL >Dexi1A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:1A:1304478:1314907:1 gene:Dexi1A01G0001970 transcript:Dexi1A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFVMRRNGGLWAQYEKEEKIGEGTYGVVYRGKDLQTNDTVALKKIRLEQDDEGVPSTAIREISLLKEMNHRNVVRLQDVVVKNNEKCIYLVFEYLDLDLKKHMESSQDFKNHRVVKYFLYQILRGIAYCHSHRVLHRDLKPQNLLIDCRNNVVKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGAKLYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEETWPGVASLPDYISTFPKWPSVDLATVVPTLEPAGIDLLSRMLRLDPSKRINARAALEHEYFKDVEVA >Dexi6A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:6A:9109525:9110592:1 gene:Dexi6A01G0008580 transcript:Dexi6A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEPSSTSRPTTRTASACAAAEAARATHAFKVIGKTLHSGFGVGNSVDSAAFDAGGHRWCIQYYPDGGGDEEGSDDHVTIYLTLLSGDDDDDADATVKYDFCLVDQATGLLSPSPVYSHQAVFNTHIASTRGFTMTKTDLHAMGYLKDDCLDIECDVTVIKRDDVLDVDAPPHDLPGDLGKLLEDYKLGVDVTFSVKEEDIHAHRIVLAMRSKVFKAELYGPLSSDKRNQSIVVEDMEPLVFKALIRFIYTDSLPAMDDLDAGEYEAMVKHLLVAADRYGMERMKLMCESILCGWLSVDRVASTLVLADQHHCSQLQDACVRFINVSNRMDDVAASEGYQHLKRACQTPQALG >Dexi5B01G0034790.1:cds pep primary_assembly:Fonio_CM05836:5B:34938892:34942691:1 gene:Dexi5B01G0034790 transcript:Dexi5B01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSTPGCAGVRPPLGTLPVLVYDHGVNPNNRRQTAFAIGDQSLRHTIVVPELANNYYHVTPQGWVLLVAPGGPSPRATRLWDPRSDESVSLPAMEHELLPEVWECYLSDAPTAASSCVVLMLDIKKPSFLYCRVGDSRWSTHDYDIGDARRPPPEPPTKMVVQQTAAVDGKFYFQVCGKKLGFIDFSSATPEFTFMDYPLVEFPEGSNCANNQLVASHGELYNVYIYLKEFTPEILTVCIYRIDDPSGQPTLSKVDDLGDRVFLLSDANTQLLCSASKYGVKGNRVYFNHNVMGDMDELEDCEAVPRDDGASHVRSAVAAFVFVASLKVKVTRSKMRSQRCSTELTDVETMTHGWTGLAPLKILTQLRSLLPYRPARHRPPPPEMSPRRLHSQPSPVPPRAREHKPRTSRTQATSFERSYTGGKDEATRAAERGGEPEAEQRRGYKGVRRRRWGKWVSEIRVPGTRDRLWLGSYATPEAAAVAHDTAVYLLRGGSAAVSGAEGGDVAALNFPERAAAAYGSGAAARLSPRSVQRVASDAGMAADAQLVAARDSAPAPEPAQSHGDRAGIGVSIAHGGGGATMSRAHASSSSTGGSREQQLVSGEISVDDIEILM >Dexi8B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:8B:28087468:28088027:1 gene:Dexi8B01G0016920 transcript:Dexi8B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHVLILSRGSQRFGSQCGTLHAKILQKSESYHIYGTLALCYLMYMQAVMVMIPQGGLARKIYLRNNAW >Dexi5B01G0038160.1:cds pep primary_assembly:Fonio_CM05836:5B:37425544:37425862:1 gene:Dexi5B01G0038160 transcript:Dexi5B01G0038160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGVFNEVNSREMEKINVFRGIFSSWIISAVVGATTAFQVILVEFLWAFDSTAQAHHLSRRPSAS >Dexi1B01G0027910.1:cds pep primary_assembly:Fonio_CM05836:1B:32565010:32567283:-1 gene:Dexi1B01G0027910 transcript:Dexi1B01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLFRCFAGSEPAADSESADDEASRPAAKASMRRAQSATARLRSLSPDDLSRTLATSGLRAFTVAELRAATRGFSCGNFIGEGGFGPVYRGILRPGAGDGDGELQPPPQQHVAIKCLDADGPQGHREWLAEVVYLGMLSHPHLVKLIGYGCHDEQRMLVYEYMARGSLEHHLFKNLLSSLPWCTRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDDTHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQHLVDWARPYLRHPSRLHRVMDPSLDGQYSGEAAHEAAVVAYHCLRSVPKTRPAMRDVVAALEPLLHVCADVPAGPFVYTAPADDGKPEEAAPAPEPRKKCLASAVHAEGELVVGNRRYASSVAGRRRSSPEQSRDRGA >Dexi9A01G0023890.1:cds pep primary_assembly:Fonio_CM05836:9A:19367445:19367955:-1 gene:Dexi9A01G0023890 transcript:Dexi9A01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNEEQQGAAVGWMTVPAFGEWDVKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDEDLLQAQQQGKAQKQAAQPAKSSAAVAADDDHRRPLDDGFPTVTTFFGSVIGLQD >Dexi2A01G0036270.1:cds pep primary_assembly:Fonio_CM05836:2A:45836776:45837021:1 gene:Dexi2A01G0036270 transcript:Dexi2A01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGGATPAPAGRCLVWDLEHLEDVVHGDQVAALCLVLCLPAARLRRCGGGGLALGFGEGENEWSRGARTARGTSAGRGG >Dexi7B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:7B:23159666:23161856:1 gene:Dexi7B01G0017190 transcript:Dexi7B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVHDRPPPPTMAPACPPLPPPSLRFLLLVLVVAASAAQAAGNSSCGGGTDRCGDLVLPFPFHLNSSSACGVANSSSLFRLSCDANATLTLTLGSAVAFRVLAFLPSGSLLLDYAPAASASSPCDAAYAPFSRPTSPASAIDAAAPFLGVTPANVLRLYACEDSSLCRAGCDDTVATCGGGKKSSGCCYPLSDGTVWKPGNGLGVFAEYGCRGFSSWVKNRSAPAGGVVRGIEVEWGVPRGSAMARCADGAALVNATAVHDGVRCACAPGLVGDGFAQGTGCSKGTSCSNGGQASDSRDCCQGRFCSKKAVILAGFFVALFFLAAAVSFWLFLRQPSKDSRRWDLDPACIPKILRSVCDAKQFTYEQLEEATKRFDSEKAVDTVDGTVHAGVLDDGSLVAVQRIGYETQAKLRLVLDRIELLSEISHPSIARVVGFCLDSTNALLLVHEHFAGGTLEEHLRQIKCRVLSWYHRVNIAIELASALTYLQAHETAPTFLHDLKSSEIFLDTDFTAKIAGYKLTRPATYYSASYDQDVVCNFGHLLIELLTGLRQQIPFDLVAPKVREGRLHEVIDPALLSGKQLPASQDEVRKVFELAVRCLSSAENGLCMLAVAKELMHILRDNNGSSSKIEISLEETFSSSSLLQMISMSPDTLHHQLP >Dexi4A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:4A:20704144:20705448:1 gene:Dexi4A01G0016950 transcript:Dexi4A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPPRTVLLLCCCLLAFPIPGPLAFESFVGGYGINYGRIANNIPSPDKVVELLRKSKIRNVKIYDADHSVLDAFKGSGLNLVIAIPNELVKDMAANESRPMDWLNQNVKPYFPETRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYNGLKKLHLERQIETFTPHSEAVFATSYPPSACVFKEELMPYMKPLLDFFATIGSPFYVNAYPFLAYISDPEHIDINYALFKPNIGIDDPNTSLHYDNMFDAQVDAAYAALHAVGHDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRKGTPLKPKCPVKAYIFALFNENQKWGAGSERHYGLFLPDGRISYDIGLSALLPSSASPSVFNNKVRSCFCPF >Dexi6B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:6B:25264310:25266760:1 gene:Dexi6B01G0018230 transcript:Dexi6B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKNLAAKELIYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSTLMMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHREYIELRKD >Dexi2A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:2A:235123:235421:1 gene:Dexi2A01G0000440 transcript:Dexi2A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRFGDTVVMDDLLTEGPTRASRHVGRAQGTYVTASLSRDHREGGGGGPAMTNSWKGKSAVLELDVYLIYR >Dexi8A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:8A:11481197:11481517:-1 gene:Dexi8A01G0009040 transcript:Dexi8A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAALGNLLPKLGTLLSDEYKLHKGVRGEIRFLQAEMESMQAALERVSKLPTHQIDELNKIWVRDLKQLVYDIEDSVDAFMVRVDGAHDKPQRVCLVVRPSLT >Dexi1A01G0024740.1:cds pep primary_assembly:Fonio_CM05836:1A:31194169:31194953:-1 gene:Dexi1A01G0024740 transcript:Dexi1A01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISELSTMVTTAILRDGRRLPADIVVVGIGARANTTLFEGQLAKEKGGIKVNGQMQTSDASVYAVGDVATFPVKLLGGDARRFEHVDCARRTARHAVAAALGPSSATAAGDIDYLPFFYSRVFALSWRFYGENAGEAVHFGDMSLSASPAKFGAFWVSAGRIAGAFLEGGSTEEFEAIAQAVRRGVAVPDVAQLARDGLAFVVREGQSGGGSGGGGDKANYAWHATVGVAAAVSIAALAYWYGRNKAPCLVKRSF >DexiUA01G0003750.1:cds pep primary_assembly:Fonio_CM05836:UA:7729579:7734613:-1 gene:DexiUA01G0003750 transcript:DexiUA01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYWTSSWPRVQQMPAWPRSSDSSRRRLLRLVPTRRPYSGAACVVADADQALAMDGVADAVGVEFLTEEEHEKLDERERLRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKQNLTEGALHSGSRRGSGGPRRVRTAPCAIPRRAVPPAGCVAHPCHGEEMPAPLAVGERRPHGGASPPLSALCLSMAALILPPCASKPDGASWTSHRLRATRAPLLACCLSEPPSSPECHHVLRSQLLDGVPLPLVKKKLMNLGHAQSCPRISSHQTRDASFVIGACTSYSEETRVKISEGVRRGWSLRLQRLMVQDGCFVEWRDMVADAARKGFAGGVNLQWNSYKILTKQMRQEWLGNLQKRRSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLNQEYRERVCNGIAHYHGSSPGTKSPRKPRPAEELGLKRETSKKKYLQARAVSLEDADGKGTTVKRKKSAIPYKDPMAGEKLEMLSKIRAQRAALEIEKKEATKRARSLIAEAEKASDALESAAAMSPFAQASLIEARKLVAEARVLLEGVEGLPEHASDDMSEDSALLEHHRGLETQNESNALKQESKPVNGTKLTTSNVNGIGFHFDVSAVTGLKQLYQTIEYSMERAFLLPSALSKPKAVNGDFSIIDFQVRQSMANDMENHESIVAESTEPPGTLEEYNSTSAEKAETSKDCPLGTPVEDTPSEKKAKMRWVRGRLIKLENEPEDPEI >Dexi5A01G0025630.1:cds pep primary_assembly:Fonio_CM05836:5A:29469107:29473766:1 gene:Dexi5A01G0025630 transcript:Dexi5A01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRGAVGGGRRWGDADAEAEAEGDAAAEEGRRPEEAGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSCSGSDASEDADPDASPPRRRDGAARGEERTGKTFPLKSVLAMELSWYTSPEEAEDGGESGSTFASDVYRLGVLLFELFCTFETMEEKLRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSEVLQSEFLNRSRNSLEEREEALRLREEIEEQELLLDFLQQLQKRKQDLADNLQDTVAFLSSDISEVLHQQSALGQCVNFSSDLEKEVCSGTVEDQSDCGSRKRFRPELQVIIVWRCFQVWDVTRNQVFVEMREHERRVWSVDFSIVDPTKLVSGSDDGSVKLWDMNQAILFLHLLYVSFRITDNMNFVGLSISDGYIATGSETNEVFVYHKEFPMPVLAYKFSVADPISGEEIDDPTQFISCVCWRGQSSTLLSANSSGNIKILEMN >Dexi3B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:3B:8656489:8658402:1 gene:Dexi3B01G0012360 transcript:Dexi3B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi5B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:5B:22411159:22413488:1 gene:Dexi5B01G0020180 transcript:Dexi5B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVQTLAPALRRAAAAAAAASAPAAPASSAARAAPLSSAAAAFRRTSPLLSGDKPAKVEDVMPIATGLEREELEAELQGKKRFDMDPTVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEGHH >Dexi8A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:8A:21340137:21341750:-1 gene:Dexi8A01G0012280 transcript:Dexi8A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSGAESMHVILVPFPAQGHFAAFLSLADRLHAARPSSTTITLVSTPRNVASLRASSSSSSPFLRFHALPFSPEDHGLPTGAESADAVHVTHFLALFQSTSSASLQSSFDAFVVNNGVADDDGIVVVVADPFMAWTTGVARRRGARHAFFDSCGAFGSAVYHSLWNHLPHHSLRRSAVAAAADDESFDLPDHPEVTVHRSQLPAHLLVADGTDPWSVFHRRQISLGYDTDAVLVNTTEEFELAGLRMLRRAMGGVVPVLPIGPLVRVPTTKHITSDSERDSDIVRWLDARDKSSVLYISFGSQNSLRPEQMMELGAALELTGRPFGDQFFNCKMLEQEWGACVEVARGNDGAVVERARLAEGVEMVMGGDSNGKGPEMRRRVKEIQELMALAQRKDGGSSAQALDEFFTTMLRGGTV >DexiUA01G0011820.1:cds pep primary_assembly:Fonio_CM05836:UA:23663079:23664215:-1 gene:DexiUA01G0011820 transcript:DexiUA01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRNYYSQVTVAAPVVPLHYAPSTSAAMAMTTFPFAGVNGAAVRWSTAAALAAATCQLVSVQLPLISYEQAAMAGVSSPAPAMEEALENIKSDTLGRLLSAVMRSCCDPPMMLQPQSWTGPPPPWWPASPSSTTPVPFAPPYRLRKEEKVALLVTIVKHHAPDLDVFSGEAFCEKAKLSNDPEAANWWKRALSIEAARRNNPKAAPVVVNLIRLAAPPHAAGGGGANNASEQGVMVAAGVDSMTVPADDSGGHGQVVTGAEPEQQQEQPCDGELDGGADMAGDDDVDSPVNFLGDEFRSAYMDQLLDDMLAPLQMQQLEEAHQAIDAEAEAPSEEAIADAAQEEGLWYMEDDMTSFFEDFEPLKDENGKNYLDYFDF >Dexi7B01G0012820.1:cds pep primary_assembly:Fonio_CM05836:7B:19530370:19532554:-1 gene:Dexi7B01G0012820 transcript:Dexi7B01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEPRLLGSALAAEDAGEIDGAVGEQGLPRGDDDDEEILRFMDSVDGYLHLMDSLSSTLRQGWLDLASARHSMGPTRVSSTLLDHKEQSAATKLQVVDHAGLQLSEDGNHERDATKAGSSTGSDTSSHVQRARSKALSVFGALVSPKLRTAQISFETALELILELANSRSSMLASFSQLKE >Dexi6B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:6B:25883999:25885622:-1 gene:Dexi6B01G0018990 transcript:Dexi6B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEDVGGAAGGRWCGGARWVLLGLALGQFVSLLITSTGFASSELARRGINAPTSQSLLNYILLALVYGCVVLYRRQPLTL >Dexi1B01G0016050.1:cds pep primary_assembly:Fonio_CM05836:1B:22578158:22581510:-1 gene:Dexi1B01G0016050 transcript:Dexi1B01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPAVLESAEEGRREGRGRGPLRRGYPAVECPPPTAISLEENFSTVSCNARLAVEHKQQGRPPFRLFFSCRFSVEDQHLLVFGPSWWPIKKMEDWSKFYALTRFFPEVRPADQLTHNRQKVIYTTISLFIILIANQLPLYGIPVQKANVAPDPLNWDHPFYASNGLLALGIGPILLSEFITLILVASKATNVDITAPDAHSIHGNIVWKAFSPVMLVYPEGDTEFEGAVPAWVHLVITGTDKSSAMREAFYRQNLPNVTNLLATCLFVLVAVSFQVMSSVVLPVRTNGVPRFQVNCLIKISNILYGPIILHHLLVTFMYNISELLCIKYGGNKLLNLLGTWNRPNHFQQPFLVGGILYYITTPPTLANLYRAPFHAFIYVVYVLGACAYLSVFWLRLVCAPSTRSIDGFIVSNVWTKSCTAFSPTSYLLIFYILIYSMQEEQRVLAQPDSIPLYEFNSHVLKAARLGGFCVGGLIILGDFIGVFGSGTGIMLAVTALYPYFDGRAGEVGAFGF >Dexi1A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:1A:26291213:26294964:1 gene:Dexi1A01G0019130 transcript:Dexi1A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSTFYAGEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEVTKRHGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPDELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQETVAPRRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >Dexi9B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:9B:4987142:4988317:-1 gene:Dexi9B01G0008090 transcript:Dexi9B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDREKHKESIEFKRAAEEEWAARQRQLQIQNEEAIQLKEQYRCVVRKELEDMERRYWDMTSILRALGIPVEGGEPISKHF >Dexi3B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:3B:14207794:14210438:1 gene:Dexi3B01G0019170 transcript:Dexi3B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGDAVFVGDSPVLLSAVGNRVASTDLAASSSLTLPFESSSNVTRLAVSPSGDFLLATDDNGRALYANLRRRAVLHRVSFKSAPSAVCFSPDGQLIAVAVGKVVQIWRSPGFRKEFFPFHLLRTSPGFAAGVTSFDWSPDSAFLLVSCKDLTARILPVKKGLGGKPFLFLGHRAAVVGAFFATDKKTGRVKGAYTVSKDGAIFTWNLVEGNEENDTSPPPSPGTPEQESEQNDAMELDSGSRKRKNLGELGKSDTTPLHLAKWELQEKHFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPEFVCLHLLSISRQKITTAIFNKLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNTVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPVNAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPSDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNTKYICMYDVGEQVSKSFL >Dexi9A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:9A:15894318:15900952:-1 gene:Dexi9A01G0020880 transcript:Dexi9A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMAAAASSRAFLRPHRLLLLPHTHPLRRRLSAAATPFSTAASASVLDVLRERGLVEAITSEALAAARPGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALIGGATGRVGDPSGKSAERPELDVAAVEANSDAIKSLVAQILGRVPEPAHAHDSQPVKNDQPLVNSGSATMGSFVILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLASEDGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSSKMLSPYKFYQYFFAVPDVDVIRFMKILTFLSLDEIQELEESMKKPGYVPNTVQKKLAEEVTRFVHGEEGLAEALKATEALRPGAQTQLDAQTIEGIADDVPSCSLAYDQVLKSPVVDMAVSTGLLASKSAVKRLIKQGGLYLNNIRIDSEDKLVEEGDIVDGKLRQLLVSELRIEDTSLATWFGCFGGQARAEKMAEVVGDGFCPYDGYPVKLHIYDLSQGMARQLSATILGKAIEGIWHTGVVVYGREYYFGGGIQQGQPGRTPYGTPVRAVDVGVTHIPREVFEDFLREIGPRYTPATYNLLTHNCNNFSNEAAQFLAGTTVPSFILELPKEVMNSPVGALILPMIQGLDTSLRAGAVPQPPQLRPTPSQGAIAATTATATQPSANGVEARSTAADKPENGKMAGNGVDKSSMGVPPAVQPAPVATATMTRPLKDDVEQGSAAAGKPEDGETPDNGATLTRSSTDDVEQGSAAADKPEDGEKAGNDATLTGPSTDDVEQGLVAAGKPEEGQTAGNGDDNGNTAVPPSVQSLAPPTAAAEVSVAPAVVAAKVAPPDQLAEAKSRVQEEIKREFAAIMAAGTARAGEAAALAMRRVMERHGLRRPTVAVQRG >Dexi5A01G0025060.1:cds pep primary_assembly:Fonio_CM05836:5A:28917386:28920001:-1 gene:Dexi5A01G0025060 transcript:Dexi5A01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVDSQGEIGELQRKLEDADGRNGLLQDSLQRVQQQQMLFTYQKGKSTIKRRNRSLKLKR >Dexi3B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:3B:1060513:1063438:-1 gene:Dexi3B01G0001490 transcript:Dexi3B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAVLAVLLAAAQVASAAQVVAPAFLWAPKNYGLHSDEAKEVVHYQTLSPKSLAKSVLEESGWSNLMCSREDVQKNVDVAIVFLGSKLSFTSSEFSMAFPYVSTSDDEKLENSLLSGFAENCDNGFEKNHITYTDTCTITGQDLKKHHNMDSVRDLVASRMGNKPSGQTNLIVFCSGGFEDLDPAKSEGDLLSELVDVLKKSGVKYTILYASQPSGLLEKPSNLPLGRYLAEKTNTTAKAARGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >Dexi6A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:6A:1046262:1047590:-1 gene:Dexi6A01G0001180 transcript:Dexi6A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIDHIQKGILLPKPPVSGDHLVEHLASSFARALARFHPFAGRLTVDESCHGDGPTTVTVSLRRCTGDDGAEFVHAVAPDVTVADVVGSLYVPRVVWSFFPLDGMVGADAAVTELADGVFVAMALNHAVADGTTFWHLFNTWSHISRRSMSAVGEHEHDDKTTISIPPPVLERWFPDGCPVPVPLPFGKLEQIIRRFDGPPVNECFFVFSGESVRNLKATSNAETATAGNPSTTTTATTTISSLQSVLAHVWRGVTRARRLPRHQETTYTVLVGCRGRVRHVPHAYAGNAVVRCTARAVAGEVVDNGLGWTASLLRRAIVELDEAALVGSVVTWHQDPKFAYLAGWWHPAAMVTGNSPRFDVVGNDFGWGKPLAVRSGRANKVDGRVTVYEGIDGGGSIGMEVCLSPETLARLVVDDEFMSAVTTTESTGR >Dexi5A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:5A:5463999:5464853:-1 gene:Dexi5A01G0007350 transcript:Dexi5A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHSRLLLMSTLLLPYLVALLHAATAAAAPATSCAPRVCGNLTIAYPFWLPNQPSSSPCGPAAFQVDCHNNQASLARSFHGAYKILHISYANHTVVVSNGNVQTDATTGCPVPRVDVSASLSLAPFTASADANSQLIFLFNCTTAPVGFAAVKCTAANAVVRLDPRYDVSEARAVAGDCDYSVVPVLLPGSSSGGASVGEDYPRLLREGYLLEWRAAAGDCAACNASGGRCGYNSDADAFACICSDGSSRPARCGCTI >Dexi7A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:7A:14924600:14927086:-1 gene:Dexi7A01G0004300 transcript:Dexi7A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTAAGNADPPPPSPSPASSKTGFLKTCFNGVNALSGIGLLSIPYALSQGGWSSLAIFLAIAIICCYTGILLQRCMDASPLVTTYPDIGALAFGRRGRDNLHKLFPAAGFHVGGLRVSAKQGFVLAATLAVLPTTWFSSLGVLAYVAAAGALATVVLVASVMWVAVFDGVGFHERERLVHWAGLPAAVLFICFLVSTLSYGFMGIIGYLMYGDALMSQVTLNLPSGKVSSKIAIYTTLVNPLTKPSLAWVPGRRLCGLGPEPALPIAEAIEGALGVRKSRVLCVLLRTAIVVATAVVALAVPFFVDVVALTGALLSCTATMLLPSLCFLRVRAKVGSKKLWMETVACVGIVVVGAAIVVLGTYSSVKQIVQRL >Dexi3B01G0025380.1:cds pep primary_assembly:Fonio_CM05836:3B:20159486:20161896:-1 gene:Dexi3B01G0025380 transcript:Dexi3B01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPDGGEGKVVDSFGGLKAYLAGSDESKAAAILISDVYAHGWSVRYNHDDVAAVKSAEEALQDTIKWFNTNLK >Dexi7B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:7B:21637853:21642418:1 gene:Dexi7B01G0015580 transcript:Dexi7B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAPTPDILGERQSGQDVRTQNVMACGAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVKNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSFINCAKTSMSSKLINSDSDFFATMVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSVKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQVGIQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMITTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEEGNEEE >Dexi6A01G0003460.1:cds pep primary_assembly:Fonio_CM05836:6A:3067264:3069577:-1 gene:Dexi6A01G0003460 transcript:Dexi6A01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATITTVCTPAAPPLQPTVAAEQDCRRRYAPSVWGDFFITYQPCTPEELVSMQEKAMAMKEEVRKIVLAVAVVSGDLWRKLDLVNTLQRLGVDYHYKKEIDDILRAVYDDHEDGGSDGDDLYVTSLRFYLLRKHGYAVSSGNISSDDVNTLLTLYDAAHLRVHGEDILDNIIAFNTSRLRTLMKTNLEPELLEEIRVTLETTLFRRVERVEARHFISVHEKKATRDDTILEFAKLDYNLVQVVYCNELKELTIWWKDLRSRVDLTFSRDRLVEMHFWMMGILYEPYYSYARIMLTKQVPFVALLDDIYDNYSSTEESNIFTTALERWDEKAAEQIREYLRSFYRNVICCTDKVVEELKRQNNKHAEVVREMALHVAKSYHAEVTWRDEHYVPADVDEHLQISLGSIAAMQTVVLTFVSLGDVTTREAIDWAMTYPDIVRGVTVIARIMNDIMSHEREQASDHMASTVQTCMKQYGVTVEEAIEKLNVIVEKAWMDMVQECIDQKYPTLLLEKVVSFAQSIDFFYKSEDLYTLPYNLKDTLTSMYAKFI >Dexi7B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:7B:25166468:25169177:1 gene:Dexi7B01G0019710 transcript:Dexi7B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHWRLQQWRRRSRLGHQLLGSDRNIERRGGQREKGREHCYPLTMAPKHKIVRPAIPPPDPSFPIELPKNATIEILTQSRKLTVTKSKVPGTDAFYASLAYPDDKKESCIALCKRPSDAREAFKRSYQGNKDKSQGARGGNRRRNKGKGPDLETINWNGLGKFLTELGVNIKPISELANLAALLGKNSLK >Dexi6B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:6B:16106677:16107232:1 gene:Dexi6B01G0009970 transcript:Dexi6B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAARWAAKKGKPKMAPIELTAPPEQAQSITRTIFDVVREHGPLTIADVWDHVKDCGLRGLTSKRQMKIMLRWMRERQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKGELKAKAGEKLFPSTPKQP >Dexi8B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:8B:25785648:25789200:-1 gene:Dexi8B01G0015030 transcript:Dexi8B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSSGERWSLAGATALVTGGSKGIGHAIVEELAGLGARVHTCARNAAELEECRRRWADKGFAVTVSIRDVGVRADREKLMAIANDTFAGKLDILVNNAGQVIFKPAEDYSGIMATNLESCFHLSQLAYPLLRNASVAGGGSIVHISSTAGFLGMAGVVLYGTTKGAINQLTRSLAAEWAQDRIRVNSVAPGLVMTDMNKNVDTKMVEQECKRIPLQRTGDASEVASVVSFLCMPAASYVTGQVICVDGGRTTSA >Dexi9A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:9A:7410293:7412400:-1 gene:Dexi9A01G0011780 transcript:Dexi9A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIASASWARRLQVGGAGAAAAGLSCRVLLAVAAPRSTASLLRARVLLAPQCAALEGTGASAEEEANMEDEGNKSGDRKKRARGRPVWKRILFASKKTRSIIMLNALAVIYASDIPVLKEVEALTDPAVFNMVRFVLAAIPFVPFAVRAFGDRRVRSAGLELGVWVSLAYLAQAIGLLSSDAGRASFITAFTVVVVPLIDGFLGASIPKLTWFGAIVSLLGIGLLECGGSPPCVGDVLNFFSALFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWFMFKDGYVDNGESGFESWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDDAAWIGAALVLLNRGPELTISSS >DexiUA01G0022010.1:cds pep primary_assembly:Fonio_CM05836:UA:45224500:45228013:-1 gene:DexiUA01G0022010 transcript:DexiUA01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPTTGAPAEEDAVVVVPAGDIATDDEQGAEPGRAPVRRPRVAVELHAHSTRSDGTLSPAELVERAHRNGVKVFALTDHDTMAGVKEAVEAARRYPIRIIPGVEISAVHSPRLHVARAMVDAGYVDNLRQAFSRYLYDGGPVYAKGSEPTGESVVQLVCRTGGLVVLAHPWALKNPSAVIKNLKAAGLHGIEAYRSDGKVSGLSDLADIYELLKLGGSDFHGRDDKEEPDVGSVDLPVLSVSKFLDMAKPIWHNAIMEIFSSISERTTDFNGSKGFRRISSAGDFCIVHLSSEDQS >DexiUA01G0007550.1:cds pep primary_assembly:Fonio_CM05836:UA:14162315:14163116:1 gene:DexiUA01G0007550 transcript:DexiUA01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAGNRQFAIATTEDVLTPAQRLALMHDIRSYVGVAFRKDIRTYSIHPHGIGIYEFMHPCDRDILVRNQIPDDEVPPPPNNGNPHPHEGPVLLEEPEQVAQWADEPTWI >Dexi7A01G0022220.1:cds pep primary_assembly:Fonio_CM05836:7A:30598435:30599196:1 gene:Dexi7A01G0022220 transcript:Dexi7A01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVVLKLDLHDNKDKQKVLKAVSTLHGIDSISVDMKDQKLTVIGLADPVDVVAKLRKVGAATIVSVGPAKEEKKDDKKDGGGGGDKPKEGEKKDGDKKPEAKPLLVGYPPWMAAQYAYPPPQYPPQYFVRSAEEDPNSCVIC >Dexi9A01G0044230.1:cds pep primary_assembly:Fonio_CM05836:9A:47839672:47842363:-1 gene:Dexi9A01G0044230 transcript:Dexi9A01G0044230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIARWLALVLLVAVAAVIAAAEGNNKHEGWGRMSGRVGGQVVEKERRRVVAETEAGRVTAVDVADAAGTAYRLHFITMDPGALFLPVQLHADMVFYVHSGRGKVTYIEEESGERSSLEVERGDVYNLEQGSILYIQSYPNATRQRLRIYAIFTSEGINAEDPSVQREVAEAIKSAKTPPSIIPYNPHEKRHEDKPNWTEDIVDALLGVRDPEDFLNKKKKKDKHKHKSKDKKSKSKSFNFYSGKPDVHNCYGWSKTMTNKDLDALHGSNIGMFMVNLTTGSMMGPHWNPKATEIAIVTAGSGIVQTVCPSSNSSKSRRCSHGDKRRGPGGRGDDEGKDKSTAQCRSSVFRVKEGDVFVVPRFHPMAQMSFNNDSFVFVGFSTHMGENHPQFLAGKGSVLQAIGKEVLALSLGHKNSSVVEKLLSAQRDSTILSCVSCAEELEGKAAEEEERRRREEEEGGEEEERERKEREEEERRKKEEEEERERKEREEEERRREEEERERKEEEERQREKEEERERKEEEARQRKEEERERREQEEEQREEEEERARREEEERQREEEERRRREEEEEGGGGRGDEPEREEEEETGDEMPYWSSKKLKHHHRFGMFRSG >Dexi5A01G0038350.1:cds pep primary_assembly:Fonio_CM05836:5A:39370552:39377003:-1 gene:Dexi5A01G0038350 transcript:Dexi5A01G0038350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAAGCAAATCAIAAVLVARRASARARWRRAIALLRDFEEGCATPPPRLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPTGNEEGTYYAIDLGGTNFRVLRVEVGAGSVVTSRKVELPIPEELTKGTIEELFNFVATALKDFVESEDGNDEQRALGFTFSFPVRQTSVSSGSLIRWTKGFSIENAVGTDVAQCLNEALARSGLNVRVTALVNDTVGTLALRHYNDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTAYDISLDDETQNRNDQGFEKMISGIYLGEIARLVLHRMALESDVFGDAADNLATPFTLSTPLLAAIREDDSPDLSEVRRILQEHLKIPDTPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGVALSGRTRGQPRRTVVAIEGGMYQEYTVFREYLDEALVEILGEEVARTVALKVTEDGSGMGAALLAAVYSSNRQQGSI >Dexi3B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:3B:4422070:4423753:1 gene:Dexi3B01G0006360 transcript:Dexi3B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDIFRGMEWWDKWQLRILVVASLGFQWFLLLAAPLRNYTIPRWFRTCIWLAYIIADALAIYALATLFNRHAKGSCDYGAKASSLEVLWAPLLLIYLGGREEITAYNIEDNELWTRHTVTLVSQVTIALYAFYESWDNNSNDRRLLLSAILLFVIGIISFCEKPWALRRASINRLGRGEALAGGGNGASPSSTTGDKVQMMLSDLSLFAAKVTLETRKKGADQEVLEPLIVGEDMMKPYLRQAFGLIYTRANVIFTPAYLACHVLLHGIDVKMTYFLLCFTSVLDVFGLLISETMYWVMSSKTKVPALCENLPGYNLIDSVIRTMRPSTAGSLLKLAKRLGYKEDYFWQQKGSAWDFIVAELVGISSKIENADFSSYRSFTKEYWALRPVLRDECYKRPEIQRSLRSSPFDASVLLWHIATDLCFRCRPPKYFDCR >Dexi5A01G0028100.1:cds pep primary_assembly:Fonio_CM05836:5A:31436265:31438709:-1 gene:Dexi5A01G0028100 transcript:Dexi5A01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWWGREARGGGGGTSVVVKMESPDWAVPQSEAAAAGGKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDADAGAAPPGESPALRTRFYGFLRVFLILSMLLLAVDVAAHLQGWHLAVDVPDLLAVEGLFAAGYASWVRIRLEYLAPALQFLANACVVLFLIQSADRLILCLGCLWIKLRGIKPVPNAGGKGSDDVEAGAGEFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIRSKVWAFFPYAYSKISIAYININF >Dexi4B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:4B:10748967:10749276:1 gene:Dexi4B01G0012770 transcript:Dexi4B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDETSGAEQSRVRAHGQGEDDGTSKVEQPHAQALDEQRREAMGERRWNQQGQGCEAMGEAEHRHGAMGETAVDESAGQGTCKRGRWAKMRARNGQRDLWS >Dexi1A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:1A:9486200:9488618:-1 gene:Dexi1A01G0010910 transcript:Dexi1A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYPRHRLQESSVDDVHENVEAECYNPFYAIAVVCVSIFLFCVLAAFVNVWKALAIAALAGLLLGVAGCFAPKGWFRRPSGRAASATELVVVTVDATAGAARPGYPCAQVNAPPAFAFQCPLEVVVCSVCLEDVRGGEMVRQVPACRHVFHVGCIDMWLHSHRTCPVCRCVVSPPSQVVAPKAVAAEESFPVLKCLRTESCNVSSRVVRHDSKYFGWDDVWFEEYTASARRADVPPAFVYECSGEDDGGGSGKPGGSALCAVCLEDVRRGEAVRRLPACGHMFHVECIDMWLRSHTTCPLCRCDLLPRTCTLKSTTAVVAVAAAAESSRDMLPPV >Dexi2A01G0000820.1:cds pep primary_assembly:Fonio_CM05836:2A:472899:474634:-1 gene:Dexi2A01G0000820 transcript:Dexi2A01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCVVVDTRGHMVGRLASAVAKELLKGRRVAVLRCEEMALSGGLVRQKSRFLRFLRKRMNTKPSHGPIHHRSPARIFSRAVRGMLPHRTARGEAALARLKALDGVPPPYDRTKRMVIPDALKVLRLQKGHKYCLLGDLSKEVGWNYQDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGTQLDVLAPVTY >Dexi1B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:1B:445963:451385:1 gene:Dexi1B01G0000490 transcript:Dexi1B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLRSLQNAVDCERSRLVRYFSSSSGSFIVKENGVGKRTGGARFSKHSQPAKEIGTFSLGVNRSYTWTGASNGRIPSAVSGLNGSFSCGQVATARSFSSSADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAITVEEEGDIEKFKDYKPSSSAEPAPAESKAQPEPSQPKVEEKEPSKTPEPKAPKIEEASQSGDRIFASPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGQREAFAAPGLGYVDIPNAQIRKVTANRLLQSKQTIPHYYLTVDARVDKLVKLRGELNPLQEASGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLGTIAEEVKQLAQKAKDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIENPTTMLL >Dexi8B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:8B:292774:296812:1 gene:Dexi8B01G0000450 transcript:Dexi8B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKSSWSQVVKSSRTTNLSISARNLQPQDLAGVIFGCTNNTIAECHSRQLFGLPRAHISYVRNIKEGLPLFLFNYDDRKLYGIYEAAGNGKFCPESNAWSNDDKGKTSYPAQVAMRVRVWCFPLAENQFRNAIVANYYQNSPSLPGQKLHFFKFELDHAQTHVLMDMFTPSPPPNNFWMPPAAAPANDHVRELVLSPVWATECEGNSGIKSEKAVRSYAEMVKKNTFEKVGKGAVDAEHVSSGDESSDGFDYLDCGYTPPAREEYAPSDKAVEMKQSEVLSFNRVLEGHAPLPAQQWNPDLYANATETEDNDACSFKYAQEVKCAILDGHSNLPETLDAEVNQLSLGHSNLLVQLFDSESCTEAKLIDVVKELSGRIELMEKKQAWSNKEVKHLQGVNERLLKRILELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGFSFLPSLDSFSPSLDILTPLKPMAAGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWTMCPSLTHDKGSLAGVSVNGRIYAFGGGDGSQCFSDVEIFDPTHGKWIKNQPMLEKRFALAGVALNGVIYAVGGFNGVQYLSSAERLDPREPNWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTGAKAMVATVEVYEPRMPSWVTVEPMNYTRGYHSSAVLGGSIFTFGGVKGEADTILDVVERYKEGCGWVTTGVKSIGRRCYCSAIVL >Dexi9A01G0011950.1:cds pep primary_assembly:Fonio_CM05836:9A:7570397:7575574:1 gene:Dexi9A01G0011950 transcript:Dexi9A01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSRKQSSSSGSPSSSSSSSSRRRRGSGADASMDSSSRGGVGSGSRGRSPRLDRRNAAKRIDYEVGGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPGTDLRISGSAEGEVDELCRSLGLSGPEDFAIPVAAWEARKSRSNSDLLPRPRHAPSPPSDEVSPIARAVSAPNVQPTLSVPAPIPEESLHSSSTSTATESAEEPTVAAESPKVAPAVPVVPPVGGLPLPSPRRGGGEVGIRGARPPLLSPPPPITALAPPPVRRSVVADDMTGSAWDIVQSFAPSEERIELGGGHESADTHNVSDREDDEVDDGVAAVEGELKELRLGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRESQVSAYTRQILNGLLYLHERNVVHRYKTGCMSKINMLRSCKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTNAFFMIGRGEQPPIPNYLSKEAQDFIGQCVRVDPENRPSASQLLEHPFVNRPLRSSFDSSSPPAVRF >Dexi2B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:2B:25337325:25339804:1 gene:Dexi2B01G0015330 transcript:Dexi2B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVELFLGSSSAPVDWEAEAYPTYGDFAVLPLLVAFFPAVRYLLDRLVFEVISWLLRATWIRNSRAKLRYSIVLARRFIFGKGHDKLAETDDSRKKINKFKESAWKFVYFLSGELFSLSVTYNEPWFKNTRYFWVGPGDQIWPDQKMKLKLKAVYMYAAGFYTYSIFALLFWETRRSDFGVSMSHHVATVVLIVLSYIFRYVSKYFLRDSEGEDEHED >Dexi1A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:1A:7276169:7276414:1 gene:Dexi1A01G0009080 transcript:Dexi1A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPGGGVSVDGGDGGGGVSVGDGGVAGGGGTWPQGGKMEGLLPRQPVVAAASKTATASCTSARKPAGRCGSAAIAQLFVV >Dexi2A01G0034760.1:cds pep primary_assembly:Fonio_CM05836:2A:44606107:44606661:1 gene:Dexi2A01G0034760 transcript:Dexi2A01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRTAKDMAKDGVEVEGAQENVNIVPGDSHKGVDGADDGVKENSDRRMVLVGEVDSVKGGEDEEDEFVFEEEDEVEQAPAKWMAIARFYSGQQFKTWVLFSELSKAWGQSLEVPVRDLCDNHFLVEFGSEWLWKKAVHGGPWTFKGDAVIFTPYDGMKRFSEIVIDSINLWVRIYDIPVKMM >Dexi1A01G0030120.1:cds pep primary_assembly:Fonio_CM05836:1A:35463582:35467067:1 gene:Dexi1A01G0030120 transcript:Dexi1A01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGSEMQGGGGGGGQDDFFDQMLSTLPAAWSELGSGKSPWELPAAGAVSEDAAAFDESALLVSRLRQHQIGGGDKPVMLHLSDLHGLAAGGEDGGAAGFLPLPLFTDRAREDMDAAFKSPNAAGGDQALYNGFGAAGIHGAAAVQSPFGQGGSMPAQSFGAGTEAGGGGSAQAGAPAAGVSSGGGGAAPPRQQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGVGPLVANMTSEGNGNGTSDSGDGNAANGDGNGENGGSSLKVTEQQVARLMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSSLLSRPSIGSMGGASRGHVHEGGNPASPPLMNGTGGDGKDAVAGQ >Dexi9A01G0026860.1:cds pep primary_assembly:Fonio_CM05836:9A:30913003:30917451:1 gene:Dexi9A01G0026860 transcript:Dexi9A01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGHGGGQMRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMSQFVSQFAEPGDPEYAPPVPKCETRAEKKARIRENKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEQKIKREFEAYGPIKRVRLVTEKNTSKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMVGADTDKKDSAREQQHGGRPRSEEPRRDDRRADRDREKSRERPRERDRDDRIRERSHDRTRDRDSREEKHHHRDRERTRDRDRGKDREREHGRDRDRDRRDRDRDRGRDYDREKDRARSHDRHRERGRDRGERDYERTSHDRDRGHMHERDADYGNGGPKHDKNLSDYGQDYGYGQYEQHKGHEAYGYGQDGRGHETEHSKRHDREYYRNDSYSKMETNYPVQPNNAEPEGPEEGEAYEEGDYQYHRAGEHMNEA >Dexi5A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:5A:3317559:3319886:-1 gene:Dexi5A01G0004370 transcript:Dexi5A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGGAADEVKLNTGNVFAALESLKKKKKGDKGKAAASSSSRKKHGDGAAQQQEPPQKEVFWAPAPLTTKSWADVEDDDDYFATTAPPRPVWGASDHGDEAGKDEEDVEDAVRAALQELSKKELKKKELAELDAVLAELGLSGNSSNAAQDAEKKGANQIGDGEKKEDAPAPSESKTSKKKKKKDKSSKEAKETQEAADGSEETASAEPDEEAASADVKERLKKMASMKKKKSSKEMDTAAKIAAAEAAARSARLAAAKKKEKSHYNQQPVR >Dexi4A01G0023330.1:cds pep primary_assembly:Fonio_CM05836:4A:26441664:26445556:-1 gene:Dexi4A01G0023330 transcript:Dexi4A01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRSPAMVAGGGGAATAATPVGMPSQAAVPVRRRCEGTAMGAITLDLRPGLGVGSFTLGMPISDAFAQIELHPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAAVPALPAGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEAEGTYQPGNVSKNCITPRTKWEQVKEVLGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Dexi2B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:2B:9597:14612:1 gene:Dexi2B01G0000040 transcript:Dexi2B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTREEAQPESCRCPDADDPETSRPERDDPETSRPERGDPETSRSEHDDPSPGAPPPPLRQQIVGACRADERLRPLLTLNVSCTAADDRFIAHLAQHFEVSEVGMLARCLCIPLVSLRVGKVQRDGTLLCPTHIRGKLNLGLLPSSSICLTFVGDDGYSEQLALLSNGFEFLEVAIEEISADNSGRCFLVRISESKVFYYWCAEKSKEHGIELLTKVPTFTPLMSDPIVHIPVIDVCSAGQAYLVNKNVDAEPKDKGFSTSVFGNGIGGAELHSGDAFSSGDDSQEPFAEYDNTTTDCDVQHCQKI >Dexi7B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:7B:23008174:23009397:1 gene:Dexi7B01G0017020 transcript:Dexi7B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHPSPATSTLTTGHRVGSATVFHRRRETVPRSRLLSRARKPVSGGSPAAGAEDTGTSSSRSENAVLKAAWYGSELLGIAASLFRPPPTEVGSDGEAEGGGGAAGSSLDRAGVVEAIKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFRGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDRSIGHWRFSCVMSFPWRPILSATGYTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWAWEKR >Dexi8B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:8B:8434016:8434279:-1 gene:Dexi8B01G0007170 transcript:Dexi8B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPKQLKVISIVGFCGLGKTVLARELYNSDVGKQFEERAWVCGAHGDPGKLLSETADLVTSNVDQLSTDLYNYLNNKR >Dexi5A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:5A:8465821:8470577:-1 gene:Dexi5A01G0011290 transcript:Dexi5A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNLSLLAPGVEEDADVRRPLPGTPTVGGWHTGYLTPASTDTPNSFSPRVAMRGAHVLAPSGPSEGRARRNLPTCLSLELPGSSSINAPFAGVLTVGPLVHDPHSNLPLYLAELARAWLERLPNGKIRNWADLRSIFVGNFQGTCARPGKKDGETLRDYLRWFSRQYNNLLDATDVDAIAALMGGTKSQPMVNRIGRECPKTIKEGEKAVLEHFSEDRGKKKHEETADEAGPSDHREKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRKKKERRVEFVAVADPKDPKDGRRKGRRPNEGQTPDYFEQLLGGPCPNHATPVKHKYCECGLMRKFLMGKLDGVSPTSQPQGKGTVTDSFPEPDKCLMIFGGPESQASKRRTKLMERDVEFLRWSEVVITFDRSDHPKYVPHPGKLLLVVAPIIGRKRVSKVLMDGGSGLNILYARMLDALGVPRSHVRPEQAPFYGAVPGKEAVPLGQITLLVTFGDRDNFRTKTFCFEVVDYDGSYHAILGRPCYAKFMAVPNYVYLKLKMPGPNGVVVVGTSPQVAYECEKESCALAAALVASRQLAKAKAAVEASKELAVSQAAVDAMAVLASAPEVREARDARSDDGPASMRAMLKPEVERQFQPSSDRKKVLLDPQSSGSKTESALIDCLRVNIGIFAWVPTDMPRIPRELAVYCEVACRAQEKFKGLGFVHLRREYNKVADKLTKLASWRQPVPSGVFADDQHQLSVNFNDEAPSLGSNPDLDPMRVVAAIASDPDEGPNLEEGQPLEDTPEPERDWRTPFLSSLKPDALIVEDNLYRRGHNGVLQCCILNEEGRSLLRDIHGGVCGHHRIVRTCEGCQFYAKKTHLPAQALQTIPITWPFAVWGLDMVGPLPRAPRGFTHMFVAVDKFTKWIEARPPTEIMSEEAVRFFRDILCRFGVPNTIITDNGTQFTRKKFTRFYDNYRIEVAWAAVAHSRKNGQVERANGMLGAKWVEELPSVLWSLRMTLTHGTSFSPFYMVYGSEVILPTDIDYGSPRVQAFDEETNATNLEDVVDQLEEAREVAVAYSARYQQGLRRYHAQRVCSRAFQVGDLVLRVAQDRRGFTKHSPPWEGPYTVVRVLRPGYDPNPPDPTEGLAADLYPDPRGRKAQKAETEVGLELNAGGAAQLKSSPSMGEPFGSNASKAEAAAQSLERLSLGALSPTLNLRSYSNREPLVVTCPHTSHKLTVLRQISVARQKTQQGDRGSPLPGLQPAR >Dexi9A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:9A:12480949:12485138:1 gene:Dexi9A01G0017410 transcript:Dexi9A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQDSWAGTVRLAFQCVGILYGDVGTSPLYVYSAAFEHGVGHPDDVLGVLSLIIYSFILFTVIKIAEDEMVSSCSKHGTAPSATLRRANWLKNLLETSKPAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKLPGLTTDQIVWITVAILVLLFAIQRFGTDKVGYSFAPIILLWLLLIGVVGLYNLIKYDVGVLRSFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFANLGYFSIRSIQAKEMLENHDLKRIPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVLIFVSIKHLHVPHVDASERFLFRQVEPKEYKVFRCVARYGYRDSLDEEADGFVIALVECLQYYIRDVNLYSADEVQNISYPISRDQSLSREKPSGRHAIHAEEMITPIQSFSELTTMSNAASNRLPQFQASKMNIEELAKIEEEQKFIQREAEKGAVYILGESEVVARPQSSLLKKIVVNYIYSFLRKNFMQGEKMLSIPHGKLLKVGISYEI >Dexi3A01G0023820.1:cds pep primary_assembly:Fonio_CM05836:3A:19467542:19468336:1 gene:Dexi3A01G0023820 transcript:Dexi3A01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLGQVRHRNLVPLLGFCIAKKERLLVYKHMAKGSLYDQLNQEEGSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELVTGEKPTHFLKVACSCTLATSKERPTMFEVYQLLRAIGERYHFTADDDLVLSPLNTDGETLDELIVAK >Dexi6A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:6A:10803751:10806845:-1 gene:Dexi6A01G0009400 transcript:Dexi6A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPFHQWPPAAAAPPPPGAPGTAAVPPPPPVPGAPGTAAADEVRTIFITGLPVDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFASAHQAIAAKAALQDLVFDAETNSKLHTEMAKKNLFVKRGVGTDANAVDQSKRLRTGGDYTHSPYAPPPFHPPPPAVSMWGTAGYITAPPPYNPYGYPVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRSLFSVQPGFKQMKVLRQDRNTVCFIEFEDVNAATAVHHTLQGAVIPSSGRGGMRIQYPSICQYIHMNCPNLYLFLTPSVPKKSNWESVFIFLRRREYM >Dexi9B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:9B:1164440:1165009:-1 gene:Dexi9B01G0002030 transcript:Dexi9B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSLRVLAVVLLAVSLRLAGATVSIEDACKQHTKHPELCVKELSSANPEMRAAALNGGLAGLAELSLSLASQQGAETVAFVKGLEKMPGGMPPQCLEDCVAKFQEAVADLKRSEAAMAEPKAKDVPSVQGWLAAAKNDGDTCMGNCNRIEGGGDLEIVDKIGDLTKMCSIALSLTDASVHNRTGTA >Dexi2B01G0034790.1:cds pep primary_assembly:Fonio_CM05836:2B:41996726:42003232:1 gene:Dexi2B01G0034790 transcript:Dexi2B01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEEEMENQAEEMESQEEEMENQAEEMENQAEEMENLPEEFLNLWKKEEQEVYRREEDGDFWTEKEKAEFRRLDKYLEELELEKKSKEQDDRGHKTLTAWKPSEMDFFMDFSVMLHLKVMNIVEGVHQKLLPLKQGRQEGVRAHNKLMAHFRHYFPDYDKFRLSWEAFKDLHFPWMAGNSYITFEDISPFKAMKYTGADEGVPRFVYEGSSDSLQIYSVKVAGMSVQQKKKRKVAGSSTQWPLKVFGVVSVRDRIDPRRNLIFYRDRDNCQIITQEDPYLELIGPTRAVGMNHDVMIEAELKVKGAVEREDKYLIADGATLSPLFGLDLVELTSHEWKLGIAVGGLKKCVEAMIFIQVINGTWPIGFRGQFAAYTKGMKEKIVLMEFNGDDVISNDVNDVYCIIEKSRHIVSVETSGELIVSYQAWKGEEGVISGKVVFKAEMSGRSFQYINVSSCSLGVLVVWSRIEPFCGEMLPMSERWDMMSAADLALKVKERELLRLAAPHRQASYRDPAAASNRAMAPTTRAEGKRKSLDADPGRSWFCQKQSSEADIPILPINVSGGHVHLSDALSIARRREESISPFKIVVGEHSIHVTPLPLEDSLSSHHILQLYNPSLQELKVDVLIEDATLYFTAFRRHVRKRTRRTHDYPLGRGPWYVFKDKVNSLPSFLKENAVQIEMDSGYGRPFPVGGKNAFMRWIFEHLAYFDPYRRLTLEFMEAMYAFMAVYCETRRSRGMMNMVTKRMKESDKPSELEPKQDKTKNMWKSFSRETIILKRDGDLGAEKELPEWCWPKDSVVLDFRYLKQLIGENGELLIAKQDAKVLRDHVTEKRFDEEAQKAKKSRPNPTMFTVPARFMPNWLLGDWTSDERTALGFRNPNPQ >Dexi7A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:7A:23452642:23454684:-1 gene:Dexi7A01G0013370 transcript:Dexi7A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQQAKRRDGQPPNDARKSGGRKSGRNAAPVPDAKKAPQSKTPAPTKKAVARPEEPAADKRTVFVVKAAAAAAAAEVAAASKGASGEPEVKRVPPPEEEEARPVAVVRAPVRTSSCTKEEVDAILIQCGRLSRSSSASGKAPSGEHGGGGHRRYAGSKRSYDFDHERRGGGGGDADECDWGREGAAVSRPSPRRRTPERKRSASHDGRTGAGSGSRSRRVSRSPGRRVDLAPAAGSSGTAERGARQQPGKMVSVPARDKGRAPSPVKAASSGKRYPSPRSNSPARAAAAGNENAVVHPTHGPSLSRSSSRKAEQSPYRRNPMAELDENALGNNLPHNNANNGKLQKKSGDGAVVLPQKTAERAKDQIPSSRAAKEKEIVEEAVASDTKASSARMNATHSVSIVAENVTNLRPGSRSSRRSSRDFDHNGNSYASLLLEDIQNYHQQSTSAGTTTAAPTFALPACVSKACSILEAVADLNSTSSENKSFELERSVNDKESVNGRYAGKGPGNTVVVESEVVVKDDLMEPSMHKYVSVRDIRGVGENEPQESAGSNSFAGNAWTCSWEPNSVDSTDRTRSASQSYKGDEVEQVTEQSWQSKQEPSRRGSTSTSNVQVQRVRGPYRGSAISGRSNVGGVSGSSSIA >Dexi9A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:9A:16504412:16506619:1 gene:Dexi9A01G0021650 transcript:Dexi9A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARVLPKAVTFVTGNAKKLEEVRAILGSSVPFQSLKIDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYM >Dexi8A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:8A:20378005:20378646:1 gene:Dexi8A01G0011550 transcript:Dexi8A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGLHPLELFHESFRDLQPDLARLDSKMEDTVPSAVELSEAGIYFKKSATQRVGDIDFEDGVLRMPLVRVYDETEKIYLNMMAFERLHIYAGNDVTDYMIFMDNIINSERDVALLRSKGLIKSGLGSDMEVAELFNRLSKGAVMSPFCKLLDVQQKMNDHCRKPWNKWRASFEHTYLSNPWVFISLLAAVVLLIATLMQTIYSIMPFYAKK >Dexi6B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:6B:4204624:4209027:1 gene:Dexi6B01G0004910 transcript:Dexi6B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDQKAEELRKATTFHPTLWGDFFLTYKPPTAPQQEYMKERAEVLREDVRKIVNGSTEIRETMDLIITLQRLGLDYYYETEIDKLLHDIYNLDYNDKDLNLVSLRFYLLKKNNYDVSSDVFLNFKIQDGSFANADTRSLLSLYNAAYLRRHGEKMKRLVQQYSKEVEWRDEDYVPETMWEHLQVSMESIGSVALACAAYVGMGDVITKETLQWVLSYPQFLTSFGVFVRLSNYLVSTKVEQSKPTSSADPGAAVLDAAASHYVEQLVPAHMARLHAVPKRKQPTAPVRQ >Dexi3B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:3B:10615788:10615988:1 gene:Dexi3B01G0014760 transcript:Dexi3B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMARPRFLLLLLVQLLLLLSPAAGARWQDFLRLPSEGDGAAAGTRWALLIAGSNGYYNYRHQAS >Dexi4B01G0017170.1:cds pep primary_assembly:Fonio_CM05836:4B:19264690:19268719:-1 gene:Dexi4B01G0017170 transcript:Dexi4B01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMAVSSAAAAMAAALLLLLCAAASVRRGAALHLCTDRLFHNMQGRHDDGLPHLAPAEEATWMALLPRRLRGGAAAAEFDWLALYRGLTRGGGTGSAAARRPPGAGEMLSPAPLHDVRLDAGGESSSSSMYWQAQQTKLEYLLYLDPDRLTWTFRRQAGLPTVGDPYGGWEAPGGQLSGHFTAAGCHCHVCVCLHWHCTGNETLRENMARVVDILHSCQKAQKKMGTGYLATYSDAVFDAYEQLDEAWSPYYTTHKIMQGLLDQYTLAGNKKGLGVVVWMADYFSSRVKNLIRKYTIQRHWEAMNEETGGFNDVMYQLYTITKDDISGLHANTHLPVLVGAQKRYEVVGDVVYKDISTYLFEVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNFLKVSRNLFRWTKEAKYADHYERLLINGMMGNQRGTQPGVMLYFLPMGPGRSKSVSGRPPSGLPPMNPGGWGGPNDTFWCCYGTGIESFSKLGDSIYFLEEGKAPGLCIIQYIPSTFDWKSAGLTVRQQAKPLFSTDTYFEASVTISAKGDARLAKVSVRIPSWTSTDGAITTLNGEKLDLTASSNSSNGGFITVTKLWLEDTLTLKFPIALRTEAIKDDRPEYESIQAVLFGPHLLAGLTHGNLPVTDSNHSNDGLTSGIWEVNATGAASVAGWVTPLPSASLNSQLVTLTQSSGERTLVLSVSIADGRLEMQDQPVPGSDACVHATFRVYAIAGGEVEGPDVTIEPFDMPGMAVTNALVVGRAGSSGGQDTVFHAVPGLDGAPGSVSLELGSRPGCFVTAAAGANATQVGVGCLGDGDDRGGGTEFRRAASFVRAAPMRRYHPLSFAARGMERGFLLEPLWSLQDEFYTVYFSLVSGDADS >Dexi6A01G0009180.1:cds pep primary_assembly:Fonio_CM05836:6A:10271732:10272004:1 gene:Dexi6A01G0009180 transcript:Dexi6A01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIRRPPERSGRLTKSSGSIWIKGEKKEGWKEKDLTFREGVMPALAPPQAERRRAPLEEDARRELEETPPLPKRGVLRKQQQQRGDLDL >Dexi3B01G0016200.1:cds pep primary_assembly:Fonio_CM05836:3B:11738244:11741104:1 gene:Dexi3B01G0016200 transcript:Dexi3B01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPRPSSSSSARKAPAPAPLQVPGSAAAAAGQPETPRRRSSGSPAPPSGSGSKAGPFSRYFPRSSAQVQPARTASEPAELVRLVEELQERESRLRTELLEHKILKETVAIVPFLETELAAKSSELGRCRDALSRLQAENARLRAELDAAVATSLSNEQRVLEMEKELAEVRKRRREAATDPDDCSSSASSENSELSNAASNSAKPPQVAARLSVLPPPAPPPPPPPPMPAPYKSRSYFSGSSRASPANSSSSSSSSAPSTPTYSSDTAASRSRVPELSKLPPIPAPPPPPPPPPPPPSMPTRGRRSTSSSPSTSSCSSGSRGAGPPAPPPPPPPAARRTSKSSSPATSASTPASGPCVRRVPEVVEFYHSLMRRDSRSRDGGGAGDAGAGGGAAAARDMIGEIENRSAHLLAIKSDVERQGEFIRFLIKEVQGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDLKKIESEASSFCDDPRQTCSSALKKMQALFEKLEHGVYSLVRVRDGAMSRYRGYQIPWEWMQDTGIVSQVLQATYLFALVDDWGRSKQHNSLMGDQ >Dexi1B01G0001380.1:cds pep primary_assembly:Fonio_CM05836:1B:1045535:1046065:-1 gene:Dexi1B01G0001380 transcript:Dexi1B01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSNPRVFFDITIGDMPSGRIVMELYANEVPKTAENFRALCTGEKGIGKSGRPLHYKGSIFHRVIPDFMCQGGDFTAGNGTGGESIYGAKFPDEKFVRNHTGAGVLSMANSGPDTNGSQFFICTVECDWLDGKHVVFGQVVEGLDVVKAIERVGSDSGETARPVKIADCGQLA >Dexi5B01G0032810.1:cds pep primary_assembly:Fonio_CM05836:5B:33368850:33371505:-1 gene:Dexi5B01G0032810 transcript:Dexi5B01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSSLSPASSPSSSSTSVTSGAHRRRLTDVERDAAAVANYCGGVPCTGTGSGGDDDDEAGSARRGHGHGAGVAKALSFFARRNGKRVPVVDRAWVRNAVACLLGVAVVVGLAMSSHRGDVGVGRLVRKVDAGDAQVLGWREENLTAFARRPPDPPMTQIWMKLDSKDYTKCIERPKNRYRINSATSGYVIVNANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKETLKDDIMIVDSLPPDYKNLKPYIRAPKSWAKV >Dexi3B01G0026280.1:cds pep primary_assembly:Fonio_CM05836:3B:21243977:21245173:1 gene:Dexi3B01G0026280 transcript:Dexi3B01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSITKEVAVAVSAEQLWKAAFAKSDESTLCKALAGMIDAVKVDGDGGPGSRLSLKFNPAVSPATVLKGRLAARDNTARVISWDEVAVEGGQIAPAQFKKQVVQMKVEPASAGRCVTKVAVDYERLDGAPLSPADQAKLINGYIGLVKKAEENIIARPGVFA >Dexi6A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:6A:3542797:3545836:1 gene:Dexi6A01G0003840 transcript:Dexi6A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKRTCPVCKQACGAAHPPTRLYFQSTGTCPTQACPSSQDGPGGPDPEAVAAELARLEQKAASLGKVVEEQRDGIKNLNAEVARWMAKAASAEAMQEAARKEKECVHMLLNARTEELSRKTSECGRLQEKSLALAKELAALKLSSDMNLQEDEILKLASLGNHGNLENAVDVLKRSLAIRNKSYKELMIQCNILGRSESRMQQKVEKAKELVKRLKARVQELEKELEEKENSLIRDLRSSKKFKAGQTNSGNITANDGFPCRSAGYRNQTTKIDEAMQDLCNDKPDLNQLKPEAKSDLNSNGNLINKNADVIELDADDSAFGNEHRTQFSAKPFGTDDSTLDSQNKSTVCQNDNRQFTTFETTTTHVTKEASSLKYREASGKSTALKNLRAKLHIPQDSLFERSTNVTTATWEKGTLTIDGISKQATRLTSGTGPQQIHNFNSLSDDLQAPGISGADGARKSIGKWCKGSTALGSTSANPNRGNLIAVGPDGRGGKVKILRDLGGLQDSKSQALWPKAQKVGSKGGQSQIDHFFGKR >Dexi4B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:4B:7553919:7554398:1 gene:Dexi4B01G0010280 transcript:Dexi4B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLGCSSGPNTLRFVSEVISIIARYCKELGLPHNHPELQFFLNDLPGNDFNNLFELVNQFEKLTAKNRQGEALPPCYISGLPGSFYTRLFPGQSVHLFHSLFCLHWRSQT >Dexi4A01G0013280.1:cds pep primary_assembly:Fonio_CM05836:4A:12593989:12598668:-1 gene:Dexi4A01G0013280 transcript:Dexi4A01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKEAFVDYWQLKKDVKKLQAAAGESVAVPSAVSSPWLRQTPPTAHWVMRISTEHTAQEKQVEGRATATNKFAPRAMQVHKKLMIDGSVDDAIVGEVYETGVADGAGFVDEEVAKVFFQRLDQQLNKVNRFYERKEGEFLERGESLRRQLQILVELKAAITQQQQARHGGGSSKGSTDTDDPPVSCSIQLGDQSLRRIAEQEEDGDNKLTNDETAKSTDEGLSISQGVVESGKLGRPDEEVARKLRTHSGRVVTCQGRNVRINIPVTTPSRTVTAIRELLFEDMLSQSKKTSTHAADGNEKLSINKRKLRQAEKMIRGALVELYKGLGYLKTYRSLNMMAFVKILKKFDKVTDKEVRQIYLKVVESSYFNSSDKAVRLMDDVEELFVRHFTEGDKRKAKIPIKLPGLFTGGFLALFIGYCIMAHIAGMFTQQSDKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDIFLICTTSMTIVVGVMFAHLTSIVKGNSSRAVQAIPGSLLLVFLSILVCPFNIIYRSSRYQFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPVLRSLEYLACYYITGSYMTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQLVVLFFTVVLQCARRWFDEGDINHIVNLGKYVSAMLAAGTKLAYENNDSAGWLSLVVIVSSIATIYQLYWDFVKDWGLLQFNSKNTWLRNDLILKQKYIYFLSMGLNLVLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKIVPLPFHEVGDD >Dexi3A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:3A:13558518:13561419:1 gene:Dexi3A01G0017780 transcript:Dexi3A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKDSIDLSGIGAALPNSAELSAEDKANLVASIKNTLEGLASRHMDVLDSLEPKVRKRVQKLQEIQGQHDELEAKFFEERAALEAKYQKLYEPLYLKRYEIVNGVIEVEGVTESGDETPAEQKSGDETTAEQKEEKGVPSFWLNAMKNHEILAEEIQERDEEALTYLKDIKWYRISEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWHPGKCLTQKVLKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGRPRVLLEGKGRRVNDLRSASSSEVLHIQCLQTVILE >Dexi9A01G0035820.1:cds pep primary_assembly:Fonio_CM05836:9A:40395931:40397719:1 gene:Dexi9A01G0035820 transcript:Dexi9A01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAEARRGFARMGFGCKHYRRRCRIRAPCCGDVFHCRHCHNESTVAQVCCNCGVCMGEYFCRTCKFFDDDVDKEQYHCRDCGICRVGGKENFFHCQKCGSCYSTTLRDKHCCIENSMKNNCPICYEYMFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSTSIFDMDKFLRALDAEVRKSLSKVLSMF >Dexi2A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:2A:29051129:29051629:-1 gene:Dexi2A01G0017240 transcript:Dexi2A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGGHIKLQQLSRSDNFSLAASSWPPQQKRSSSSSSHTCGYCKREFRSAQGLGGHMNVHRMDRARLIHHQCSSHRLVPPPNPNPSPTVLDLLSSGCRCCRTHGAASDGGSLAMPTAKLGISRSSAMTITTKDFDVKNLELRMGACSHGDGAEERLDLELKLGYS >Dexi4B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:4B:518342:519339:-1 gene:Dexi4B01G0000800 transcript:Dexi4B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSVHKKLLKKQRLERKAEELMLTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >Dexi5B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:5B:130350:131066:-1 gene:Dexi5B01G0000250 transcript:Dexi5B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTTTMRSPSCPCPPPHHHHHRRTTPALELLDEYWFFSNTLAGSSNTNNKPPSCGSPSSSSSSSKDRHGTTTSPRFASSRGRRLLRTPSLPPPRPRVDDDDDLRRGSGDRQQQQPVQDDDDDLNWSSIYEGVLRTRIAEGGSGGAPPALRRAPSMPVPSVKPGPAPSSTSSSRMPRSSTSGRSNSIKWRSSGDLEPISSSTRRPPALVRVQSAAEMKDQLRMWAQAVACNVRRQDS >Dexi4A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:4A:5067600:5068404:1 gene:Dexi4A01G0006970 transcript:Dexi4A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNVPSSSSSGERKKKYKGVRRRKWGRWVSEIRLPHSRERIWLSSYDTPEKAARAFDAAYVCLRGPTGGADGLINFQNSPPPAIVLRTTDPDEVYAAAVSHANRATVVSGAGEAPWDANATEPSSEEAHDGASPEAEAAAATAPAPLEVADDESSGEWRPSARLVADELPPLYSPMYEEGSHAYSYMPAVSSYDMNMR >Dexi9B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:9B:12454432:12470421:1 gene:Dexi9B01G0017720 transcript:Dexi9B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEMFDERVPFAFALYVTASTNYMANAFTKSDSDSQEINKILTEAGNELSEIWKNVHAVADSNDNGFASGVDEEMPMTKILVELFNRCFPYYKNVSLLDVQCPSQSAVVKVISDLPADKLSTDGIPFLISASVDLAEMSGSAANRILSVPLNSDELLWVLWELCAISRSDSGRQALLALGYFPEAISVLLRSLSSYKDLDSVMDKNGGSPLGLAIFHSAAEILEVLVADSTASSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGARGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADSNSSSDGQIIDNLLGKLVADKYFDGLALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGAVTVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQAIVDLMIPSLGDLFPDEGIWLWKVELPSLSAIRSLSTSTVLGPQVEKEVNWYMHPEHVAILLVRLMPQLDRLARIIDNFATSALMVIQDMLRIFIVRVASEKIECAVVLLRPIFIWLDDKVDETSLSERDIFKVHQLLQFVVKLSEHPNGKALLWKMGIARILRKLLQNCSSASFSDDATFGRASCTNDLMLKWRIPLFKALAYIFSIDPSNNGKAVAEETMSEKSVSECSSIMRHLLMFCQCWKKIIKYIGSNQPTDYLVETIYSLTLGAIALSQYGENLQGLLILRYLFGLPSDPSGSSNSSGESLNEIALFMKTFEEKICQGFENSKTYVGKSLLPQVLNSITLLRSILEDSGLSADSVQMVLEEGTDSPSRVARSVVMTAHLMPSLVDVPVNDESPFLFSNAWKVIVDSEEPVDSQEGEFAKRLVWELPDSSLDRQLGQSARRKLALGENARSHRPVVAAMEGAVVKVA >Dexi1B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:1B:25492594:25494375:1 gene:Dexi1B01G0019260 transcript:Dexi1B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTTSSTTAESTENKS >Dexi3A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:3A:12123014:12127853:-1 gene:Dexi3A01G0016230 transcript:Dexi3A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQTLLPASSAEGLVRIALKKRSIDQNSREFIEATKEPGLTFMVAKFDGILGLGFQEISVGNAVPVWYNMVKQGLISDPVFSFWFNRHAGEGEGGEIVFGGVDPSHYKGNHTYVPVTQKGYWQFNMGDVLVDGKSTGFCAGGCAAIADSGTSLLTGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAGIRSVVDDEAGKSNGGLQSDPMCNACEMAVVWMQNQLAQNKTKDLILNYINQLCERLPSPMGESSVDCASLKSMPDIAFTIGGKKFSLKPEQYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLRVGFAQSA >Dexi4A01G0008890.1:cds pep primary_assembly:Fonio_CM05836:4A:6852903:6854096:1 gene:Dexi4A01G0008890 transcript:Dexi4A01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNHLLPLVRGPASQLTSPIHHGGFRRLLSTSPSPTPFSFKDYLVATCGLAPAQARSASKKALADATAVSKKAFDEFSTSGSGLNPRFDPDAVLALLSGVGLSRADIADVVAADPTLLLCRANRLEPRILALRDRVGLSPPEIARFLLVGSSVVRRVKVDANVEFLISFYGSFGRVLVALKRNLGLLTSSIEKMIKPNIALLHQCGLSARDIAQLCSQTPRLLSFNPKRVKEFLLRAEGLGVPRTSPMFKYAVSSVAYTSKENVAARLQFFKRTLGCSASEVSIAVSKCPYILGFNEENLLRKIEFLINEVRLEPQYIVKNPTLFTLNLEKRLISRYRVMKVLQETGLISRDKSFYTLAVMAEKTFELMFIDRHKDSVPGLADAYAAARAGSMS >Dexi8A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:8A:1123564:1124567:-1 gene:Dexi8A01G0001610 transcript:Dexi8A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATHAMLAATTTSPQLPASSSCRLRVSTLQYLQQPTSCPLGGNHGRAGGDLIRCRRRLLTARGERPSHEDDDEVAGFEAAVALFNRGEYHACHDVVEELWYAAEDPARTLLHGLLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLDDDNNNPFSLFRDDVSSVLQFLYRTQKELAACTDDMCLTMNGSPTSYQLLGDFAAGRQLYRLKAADTGDGVSMSIIFSLSDDDRASEPAAPHTVVKLPTLHATEQNLTDLQRAYKFM >Dexi9B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:9B:7170626:7177371:1 gene:Dexi9B01G0011270 transcript:Dexi9B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQIPFLAVFFLLVLLIFNLIARYITPPSRTKPPPSTLRLPPGPWQLPLIGSLHHLLLSRFSDLPHRALLEMSETHGPLMLLRLGSHVLAFCSRHLSATIDIISCGGEDIIFSHYNERWRELRKVCVLELFNHRRVLSFRPVREEEVANLLRSVSGECSAAAGDDGGVVDIGEGIRHAINDIAVRTVIGDRCRYQDEYLSELEEAVRLTGGFNLADLYPSSRLVRRFSAAARDMARCQKNMYRIIEMIIQERALAPAPERERDLLDVLLRLQREGGLQFALTNEIISTVIFDIFSAGSETSSTVLVWAMAELVKNPQVMHKAQTEVRETFKGQERLTEGGMAKLRYLHLMIKETLRLHAPVPLLLPRECRETCRVMGYDVPKGTKVFVNVWAIARDNKLWHDGEEFRPERFDGSGVDFRGTDFEFTPFGAGRRICPGITLGLANTELLLASLLYHFDWELPGGIKPEELDMAEVFGITLRKKSRLWLKAKPHINVVANQTVDL >Dexi7B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:7B:22768320:22772066:1 gene:Dexi7B01G0016720 transcript:Dexi7B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVFKCHKASNSGDHVGLLFLSKNLKKYRYGLEYASLEVKNHLERVIFSDLVETIMITYDGCDRTRKGGPWTTHFHISKEMMKMKRLGLGFVVEELTKEYNTTRNMLNNAIPPVCFSKRKCSAGDDCVQNSACCITLVAQAEPNSMSQLDIIKKRVIPSFFEFKDVEIQCRHDGELLVKVGMSEHCKAGRFWPTLQNACIPVMELIDWERSQPKNVYDFFCSYGIDSAWKCFVDSLKSVTADIGRNIRREHLLVVADSLSVTGQFHALSSHGLKQHHTQFSISSPFSEACFSRPAQSFINAAKQCSVDNMCGSLDAIAWGKEPFNGTSGPFEIMHLGKPHEPEQNESIYDFLRNPNVRNFEKNHMDTCRQRTENASGCRLACKCKGNVTVNDGVVTIDQDFLHGKVGIWDNIIDMRTSLQNMLREYPLNGYVTDPDKSQLIEALKFHPKGTEKIGVGVREIKVIIKKTVLFGRALLD >Dexi9B01G0038900.1:cds pep primary_assembly:Fonio_CM05836:9B:39767741:39770376:1 gene:Dexi9B01G0038900 transcript:Dexi9B01G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGASCGRPSEEVDFFGAAQSGDLTRLAAALRSRPTLLSRTTLFDRLSALHIAAAHGHLQVVSLALDLCVHPDVVNRHKQTALMLAAMHGKTDCVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRTILSAAKSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGNLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHVACAALLNPSAAEPLVWPSALKFISELEPNAKSLLEAALMEANRERERRILKGAKNALPSPSHSDDGAHDTTIAEASDAEVCSICFEQACSIEVRECGHQMCAACTLALCCHAKPNPATQSQPVPTCPFCRGGIAALVVATTRPRAGDDDDEGSRLASPRHRRSRKSMNLSGDAGSTSSTLMGSIASSIGKMGRRRTDSSEQVDDKP >Dexi5A01G0024500.1:cds pep primary_assembly:Fonio_CM05836:5A:28363008:28364560:1 gene:Dexi5A01G0024500 transcript:Dexi5A01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPSSSSSLAAMAAPSVAGGGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMLLTTAVVATSPRERPAWPLRLWVAAYNVGNVLSLPLLYWRHRHSSSSAAAGRGDAMSDDLEMRGASDALRSRSFLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHGAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPMVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPDVPRDRDHDDQECCICLAQYREKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELK >Dexi9A01G0047200.1:cds pep primary_assembly:Fonio_CM05836:9A:50390653:50392732:-1 gene:Dexi9A01G0047200 transcript:Dexi9A01G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVQAAISDKSYSALAPLCDELLLQAASRGATTDDWPYAVHLLAHLYLNDLNSARFFWKSLPQEVKDARPELAAVWRIGQCLWNRDYAGVYTASQGFEWGPELAEFITAFLATKMLTVKKPKAQTNQKLDATKLQRLTECVFHLEH >Dexi5B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:5B:915609:915947:-1 gene:Dexi5B01G0001390 transcript:Dexi5B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEPRLAHLGCLRRRAPSLPSANLFEPFVHASPPGFGGVPVASTPLSSILPPPLAGEPPKSFFGHEGTYVM >Dexi7B01G0023820.1:cds pep primary_assembly:Fonio_CM05836:7B:28335294:28336622:1 gene:Dexi7B01G0023820 transcript:Dexi7B01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAALLPSALSVPKKGHLAAAVKDTAFLTVPQKRDELSVRRITGVILLNTSVVIDNQKLQVASLSVRAQAVATAPVATPGASTSTKDSKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAAKAAKGAGMAEGSYTIMHLDLASLDSVRQFVDNFRRAGMPLDSLVCNAAIYRPTARSPTFTADGYEMSVGVNHLGHFLLARLLLDDLQKSDYPSRRLIILGSITGNTNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMIDGSESFDGAKAYKDSKICNMLTMQELHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >Dexi3A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:3A:4118135:4128808:1 gene:Dexi3A01G0006290 transcript:Dexi3A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEDALYEIRRHASGSHAIPPKEGYQGAATSSGGSDAGGGVLSYLSLQGVSKLKERLTRYSVLGGRQRKRGDAVALFVSTNVEPGFYIFTSDCMVHRFDDNQEPEASLCEVPISARTIQLPRSLSCIDYDKRHSQFVLVADSNVSFSSNSYSAPYELNNVKYLDMLEKRLKVAEGHVEVGRLFAYYQVPKPTRFFLSAHLDEKNVKQLIRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAISLSTEKAENLVIQAAREYFFSASTLSGNEIWKARECLNLLPNNKNVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRVVIMSQTGAYLHFEEIIDVAKLLGLRSEEEIAAVEEAIAREAVVNDDLDQIDMANTTFWREWKSKLEEEKQLADQARMLKQILPDIDTSQFLSGDANYIKRVVFSFVDSVKLEKKHILKEAVKIAETYGLERTEVLLRFLACSLVSEYWDNNDILNEISEFREDIVKSAKVLEAVLEEWEQLFSPKEEHAPPHESPKETSEWSDGWDDGWEALPEELESTKNKQEGAPLSAHPLHSCWMEIIRKRVETGELHKVIELLDRASLKHSVFLEEEEVHSLVELVSALDCFVALKIVLLLPYEALRLQCLQMVEVKMREGTVSTSSNADDHELLALVLLSGTMQKVTAEEAYSHFFSYLCHLVGHLARSFQTDLLMQWNDEATSKSNRSLLFGRVLFPCFISELVLRGQYLLAGFIVSIWMHTHPSLGLVDIAETSVRQFLQGQVAQAEQSGGGDASFTDGEVSVKHTISTLQLKLESVLQAALSALPNQEL >Dexi2A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:2A:24305210:24307155:1 gene:Dexi2A01G0014590 transcript:Dexi2A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAQNLRFVRCPKCHQLLVEYPSIPVYKCGGCSTVLRAKLRVVPVAQASSGSEDLNSLPCSLKGSPKSSKSISSDEQKALSSIDQPREAMTDGSISSTINSCEGTTQERTMSTTESETHAEHPNEETCCVIDGNFQNSGVMVKETHDKNNKADSISVLTEKVENVDTSQNAHAGNFGTNDVSTLYEKTEVFHREERMHTFEGMHAQSHKALIEELERSLSFSSDDDYFSDEAENSGLSHTLCNQMGSRRFMLGIKMHDASRNDPHGRLIEELEMSFSDAEEPAEQHALVADGVHRNVHDMDPQTLGPESAHPDQESFLSCHNGHLKSEQISHQENRLLGNDNHGKEYVEDDNNTASYVHEGEHIVISSEEIPERFHDIEQSKDMQSPDMENAYPYEGSTSSVDDGTIKIKQSFQPDDLMANVTQEMEDICTEDDRITNCVHGNDNPVLADEDIAEGVSGNKDISACGIQEMEDGNLANCVHVNDNLVLADENIAERVHGKEEQTADGTQEMEEGYMEDDNVSNHVHVNDSVVLADEDIADRVDGNEETSGGTGETEESCMENENENVAVADEDVRGHEQVADMSNLNNVFNKMSQ >Dexi5B01G0022220.1:cds pep primary_assembly:Fonio_CM05836:5B:24462549:24463180:-1 gene:Dexi5B01G0022220 transcript:Dexi5B01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESSDAYTTGPMRAGMDWMFWPPRRMQQMLTNNFLIFSGGGGGGGRARESIGGKVCAACIFNEQRKRRRFPLAASPPYIRVLARSPRFDPGHRSPERSAAAAA >Dexi2B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:2B:28529785:28531968:1 gene:Dexi2B01G0018190 transcript:Dexi2B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLLLPLPSFPWLPPPPPPGSSSGGRGGGGGGGDGDDWRPNVVAAFAGAQVGRALRSRFAGLLRSPEVRHLEAWPKMDDVCFGGSHSLATHPILGVLGNVLRASFACSLTLFNGNGSGGTYIGKGKVLSRRPRRIDSKKRLWTNVLLAINVLAYIAQVASEGKLLMWGAKVCAPFRISHSVFNCFSLNSIGPMVEMLTGPRRFLAVYFSSALTGSLMSYRFCESPAVGASGAIFGLVGAYAVYMWRHRSYSGNARESLEHIARLVVLNMGMGLLSRGIDNWGHLGGLLGGVAVAWFLGPAWQYQYVAKDGRVVFKDRAPILRLLKG >Dexi4A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:4A:16568848:16569630:1 gene:Dexi4A01G0014440 transcript:Dexi4A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFTSGAYTSERNAEYGIVELSSITNGPDIPIWPCSTAMALAETFWVKSPRSGKINGPPPKMATPTLLPVVVLPSLGRQSASSLATLCVRATCAVSDWVDGPSPAVPMAPAGSPVCCAQETAAEKETGKSGFWPLVAFDFI >Dexi9A01G0040240.1:cds pep primary_assembly:Fonio_CM05836:9A:44074267:44076977:-1 gene:Dexi9A01G0040240 transcript:Dexi9A01G0040240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSGGGSGGGSRGSKFGCTNVKAWMRSHATDRSGAGEPCSMTRGELQLMLGVIGAPLIPLPVHHAKQSPSSVLCEQLKADPIESSSAKYIVQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDGDGAHGGGGHGHRGGKKGGKGCGGEVGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSLCVGERCVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGGAGGSVFWETTMESRLDDYRAVDGVNIAHAGRTAVSLVRFGDCQDGNTRTRMEETWSIEEVDFNIWGLSMDCFLPPSDLREGGGKESHEVAVVKADARPPPIRIPAVTVRVGPSQVAAVNMDDSESLIARS >Dexi1A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:1A:3048966:3054217:-1 gene:Dexi1A01G0004160 transcript:Dexi1A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSRSQSSLSLSFQKCSHNRTTAAEKSHKPNHLRFVESSSSTSSGWEATVLRRPRTHHPSPLRRPYESAAQAFVLVSTRRGGEGDRERPGVAEQEEGDVSSLLTSSSLSAASVPRALSATYYQQTQRYGSQPPRWRFLGRLLLCACRQTVEAALEHSESSRSASLQLDPGGKAVKLSEDLPQTHQILRLSLQEGSLQHDQGEEVDQLQQDYKLLASRCHHQTEPAGIHLGMTACGPSDQEELSSH >Dexi2A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:2A:5913881:5914090:1 gene:Dexi2A01G0006290 transcript:Dexi2A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRTRRKKKERGPPAAPRPTTNQWRINELLPKDGPLSEAGFDCGGGGRQPNPAIGVEEAPADDDD >Dexi4A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:4A:14782819:14786552:-1 gene:Dexi4A01G0013830 transcript:Dexi4A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASASSPDAEKKTTTVFVAGSTGRTGKRVVEKLLAKGFGVIAGTTDVDRARGSLPQDPNLQLVRADVTEDADKLVEAVRGVDAVICATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVMRFVLVSSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAEKYIRRSGINYTIVRPGGLTDQPPTGNIVMEPEDTLYSGSISRDQVAEVAVGALLCPESSYKVVEIVARADAPKRPLQDMFAAIKQN >Dexi3B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:3B:790496:791623:-1 gene:Dexi3B01G0001040 transcript:Dexi3B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHSNGYLPQSAPSDHPSSLSDHRSAMKEIARGQSLVTQLRAIVLPALQADERCELVAQMFQNILDCSSKAMTELQLHHQSESQADEALVDDKKRVRRISSDESVKEGATGNPHHQHKRRKPGDLVSLETPVPHYDGRQWRKYGQKHINKAKHPRSYYRCTYRQEQDCKATKTVQQQDGSTGTDHPVMYTVVYHGQHTCKDNNGVDSSTDGSETNTQSSSDSRSTISTTCTDPYDHQPSIDDNKLSEKSADLVTKSMYESFNTTPFAPLDLDSWELDALLRFGSW >Dexi6A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:6A:15762725:15763114:-1 gene:Dexi6A01G0011000 transcript:Dexi6A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVDASAALLPAKQGPPWNRRGKSSSAPNLATLPGPSPRLKSLSPPPVSLESGDQEAPAVPAATINLSADLVERTKTKRTGPEQVEAGEGTDRPRGSGICLPRRRAEVEQVVVCACFMPRHRKRRARA >Dexi4A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:4A:16107924:16110567:-1 gene:Dexi4A01G0014220 transcript:Dexi4A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHESTKQHGSAGRRLLLWLLLTPLLVLVVLKTDFLPQAAHSREAGFTRFKDEMVHKVSSLGLDSARWQQQSLDTEKPESAKGYNQQNEILATNVAKDASLINSDVGAPKISTFTCNFSHRHSDNCRMEGDLRIHGRSASVYVVSSSTFNPKNSTIPIKPYTRKWEPETMARIREVNIRTSPPAPYSVVIPPRCTVRHDVPAVIFSTGGCGKNFFHAMSDLIVPLYTTAHEYNGHVQLLITDYEPEWVAKFRPILDALSMYPVIDFDSDTAVRCFPAAHVGLESHRILGIDPALSRNGYTMMGFRDFLRSVFSLHRQWRTPISRSSGQKPRLVFVLRHHSRAVTNEADAIAALADVGFEVVAAGPEDVSDMAKIAAVVNSCDVMVGVHGAGLTNMVFLPHNGTIVQIIPWGNLKYPCRYDFGDPVPDMGLHYEEYEVTAEETTLKDKYPRDHPVFADPISIERSGKLWEVFLEGQNVTLDIDRFRGAMQHVYQSVTTE >Dexi9B01G0027070.1:cds pep primary_assembly:Fonio_CM05836:9B:29533915:29542347:1 gene:Dexi9B01G0027070 transcript:Dexi9B01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIAKDLTAGTAGGVANLIVGHPFDTIKVKLQSQPTPAPGQLPKYAGAIDAVKQTVAAEGPRGLYKGMGAPLATVAAFNALLFSVRGQMEAFLRSEPGVPLTVKQQVIAGAGAGIAAAAASGVALPKGPIDVAKHVIRDAGTKGLFKGLVPGNAVMFGVYEATKQYLAGGRDTSNLGRGSQILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPKYSGSLDAMRKIGLYKGFGPAMARSVPANAATFAGVDCPSNGDPMARESCVVLVIAMVRVALVRHSHFLGLNFIPSSCRSGRCGGAANLSCTLLGEPVRRHASLGIASIADLRCRSSPCTIQTVIQPAPHRAHQPLDALPREFCRPAVGLGSAVAVAVFVGSMGAPSSSWVGSRRKRPELPLIACTKCGQRTVLKLETKTNVNGNRGRIFYMCPTHKMGDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPSPPPGQPPRYAGAVDAVRQTLATEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEAVLRSDPGAPLSVAQQVVAGAGAGFAVSFLACPTELIKCRLQAQSVLATATPAPAAAGVGAGGAAAASATVVAAAPAAAVKYGGPIDVAKHVIRSEGGTLGLFKGLFPTLAREVPGNSIMFGVYEATKQLIAGGHDTSQLGRGSLIMAGGLAGASFWGLVYPTDVVKSVLQVDDHKSPKYKGSMDAVRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVISESARRLS >Dexi9B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:9B:857284:858657:1 gene:Dexi9B01G0001460 transcript:Dexi9B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTPLVRLLSLLLLLLLPPALREYLLPSHNTTTTVHPVVLVPGMGCGDLEARLTEAYLTSTPRCSAMKGKGWFELWKNVSELAAHDYMDCFLEQMRLVYDPSTNEYNNLPGVETRVPNFGSPRGFRNKNPLHSKQCFDYVREGLERLGYRDGDTLFGAPYDWRYAPPLPGQPSKVYSSFFKEFRALVEAASTKHHSKKVILVGHSYGGFVTLEFVRNSPLAWRKRYIKHLVLAAPTLPQGFLNQLLRLVTGPSDLTYIGPTALSLRPMWRSFETSIVDLPSPEVFGHRPLVITQPRNYSAHDMEDLLAAVGFADGVEPFTRRMVPKMHYFRAPMVPMTCINGVGNRTPKQLVFWEGEYDWAPEMVYGDGDGYINLISMLAFDKHMRQQPGQKNQFKSIKIDGAQHSGIITEDWAVKRVIQEIMEANK >Dexi9A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:9A:1588971:1589550:1 gene:Dexi9A01G0003000 transcript:Dexi9A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQVMFITFALLAVLAQSSDRHHHHHSHVQSKGHGGGRGGGGELASRAKAAARAWPCCDSCGGCTKSEPARCQCLDAAPRGCHPACQDCVKSSLSVDPPVYQCMDRVPNFCLRRCTAAAAAH >Dexi2B01G0030830.1:cds pep primary_assembly:Fonio_CM05836:2B:39109766:39110491:1 gene:Dexi2B01G0030830 transcript:Dexi2B01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILFVSGVLLTIGLKPTVQFFTKPKNHKGSIAFGFGFFLVLIGWPALGMMVESYGFIMLFSGFWPTAAVYLQKSPSIGWIFHHPFVTSLITRFRGRRVPV >Dexi3B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:3B:13772165:13772813:-1 gene:Dexi3B01G0018550 transcript:Dexi3B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVKCVVLISAVLLLSLGGGASGMGLPQPPPLVNFTIGVQGVVWCKSCRYPGYFPPMDASPLPGADVYLRCKRGKRALTVTGRSGPGGYFLIQTSQQASAFTSQQCRVYVPRSPVRACGVAYYPSGRRGLPLKFQEFVKRDNGLQGMYSVGNRLFRPKYPGKCY >Dexi8A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:8A:5921990:5925981:-1 gene:Dexi8A01G0005970 transcript:Dexi8A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNVSVRYPFGLSPGCYWPGLNLTCDTSHGRLLLGDGTLRVTSISLYHSDAGPTVRVVREGSLIYKCNRCHHRWQLRLLRRVERPVRPWLHRARLLAVAGAGGCKDINECTFSEDNGCFGECINTMGSMSYRCPHRTYGFSNVKRGCATINSTTDDVQFPTVAPAPIALPNECNDICGDVRVPYPFGFSPGCYKPGLNLTCDTSYGGTPRLVLDSNGTLEVVAISLSDSTVRVIYHTRVTPSDVTSKYSRVDRRDVSMADVNFQLPDIGESYMLSARNEFIFFGNGVLATLYGTMFRNSSDNSTIASCVSIFNSGPSLKKYRNCSGVDGCCHSTILPDSTPKKMEFKGLVNTDLDNDMPLAFISEEGLTAHWWNTILNSTVSLNEWGGRYFSSPLILQWAVKQGFPAPAGNSSGQCPWDVASRLCRSDLSSCRQENGGYTCSCLKGYQGNPYITDGCKDIDECKITPRKCFGVCRNLPGKYKCRCRFGTFGNANKPQGCHNLTIVLSASAASSPVLLLLAIGIMFVPRKIQQHRMKVMKQKYFKQNRGQLLQQLMSQRADIAETMVVSLDELAKATNNFDKVRELGGGGHGTVYKVIYQICMS >Dexi2A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:2A:31480584:31481681:-1 gene:Dexi2A01G0019250 transcript:Dexi2A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSFPFIDMGLLDGEERPAAMELLHDACENWGFFEILNHGISTELMDEVERLTKDHYKRVREQRFLEFASKALTKDGCDDAKVAENLDWESTFFVRHLPESNLAEIPDLDDGYRRAMRRFAGELEALAERLLDLLCENLGLDKGYLARAFRGPSKGAPTFATKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVITNGRYKSVVHRVVAQPDGNRMSIASFYNPGSDAVIFPAPALVKDEEAAEAYPRFVFEDYMKLYVRHKFEAKEPRFQAFKSMETDSSNRIAIA >Dexi1B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:1B:6192835:6194239:-1 gene:Dexi1B01G0007460 transcript:Dexi1B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLTSDQSPGSIGECDRLSKLPDDLLHHILRFLDSRQAVTKLSTLSRRWRYLWATTPSITLRSGDNVSEKFGNLLLLLRDGAVPLRTFCLHSYTYGNCDYEYRWLRHAVTRGLCVLEINLRCASDFQLPECVFGCATLEEFNLSAAVVRNYIAPRSICLPSLKKMHLNLVEFVDPYLAENINIGCPALEDLSLSRCSLGSFKMLSETLKILSITHCYYEEIHVSAPNVCSLRLTVSRKVHLDAMPFLVSAWVYLYDGGVKHLAQNGYDLAAALCNGHHLELFGFNLFLQDVIGNSALEGLSFCKLQSLYIGERRVTDFYGPLAYFLRCAPNLVALTMDQWKLPQLRHMGNTNLGSTEKKPTTELKLVSALTKDLERLLIRLSKSDDIREFRKMRRLLKEKTKPKEIEIVWF >Dexi6A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:6A:22678020:22678415:1 gene:Dexi6A01G0015270 transcript:Dexi6A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLGKLRCMMRRWHSSSRVARAPSAASEDSIAGGDARGASFHGADDVPKGLHPVYVGKSRRRYLIAEGLTLVHRTGGAADEPAGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >Dexi9B01G0044950.1:cds pep primary_assembly:Fonio_CM05836:9B:44601235:44601786:1 gene:Dexi9B01G0044950 transcript:Dexi9B01G0044950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSVLVVLPLVLATLIATVNSQSYTPPAPSPLPDSSSSPPAPPPQDYSITPPPPSSPPPPVPSAPPPSSPPPPTPSPPPPSPPPPVPSPPPASNWTPVANVNDPTIQQVAQFAVRIYALSTTQLKMQLLSVISGETQPYNGGYNYHLVVTVTGGKKTQYDASVWGILGTMSWKLWSFTPRS >Dexi7A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:7A:23627249:23628637:1 gene:Dexi7A01G0013630 transcript:Dexi7A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTISNSPNTRIAVVTGGNKGIGLEVCRQLAASGITVVLTARDETRGAAAVEKLREQGISDVIFHQLEVTDASSIARLAEFLKTRFGRLDILVNNAAIGGVELVDDPSLGPKPVGDQFSGMDWQQRIEWMYRNSRETYSTAEEGLRTNYYGTKHVTEALLPLLQSSSDGRIVNVSSGFGLLKYFRGEELKQELNDTDNLTEERLDELLDTFLKDFEAGALDVRGWPGEFASYKVAKAALNAYSRIMARRHPTLRINCAHPGYVKTDMTRNSGLLTPEEGASNVVKVALLPAGGPTGAFLAMGKEASFL >DexiUA01G0002120.1:cds pep primary_assembly:Fonio_CM05836:UA:4948932:4949476:1 gene:DexiUA01G0002120 transcript:DexiUA01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPTPSPHCCAVTLGARSPTRELRLHTMNAPSPPPRPTFIVPPPPTSTAPPPNLLRVLRRRRLPLHRRIRPMHHRRINPLLCPHSPSPPPTTSKALAATCSEW >Dexi3B01G0027360.1:cds pep primary_assembly:Fonio_CM05836:3B:22879722:22881211:-1 gene:Dexi3B01G0027360 transcript:Dexi3B01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLHTKLAAFLFSLSLLLSSALGVKVVCEQLTPERCAFAVSSTSRRCVLENTHCAGRPTAYQCRTSEVVVEDERLAGMVETDRCVRACGVDRATVGISSDSLLDPRVAGAICSTACLQGCPNIINLYSNLAAGEAN >Dexi9A01G0048410.1:cds pep primary_assembly:Fonio_CM05836:9A:51201064:51203846:-1 gene:Dexi9A01G0048410 transcript:Dexi9A01G0048410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSGTASTAAAAFLLLFLLLPHWAAAATDAERRILLDFKAAITDDPNGVLATWTPTGDPCDFVGVTCGASSSSGPVQRLRIHGADLAGTLSPSLARLPALESVSLFGNRLTGGVPPAFRSLAPTLRKLNLSRNALAGEIPPFLGAFPLLRLLDLSYNAFTGGIPAGLFDPCLRLRYVSLAHNGLTGPVPPGIANCSRLAGFDFSYNRLSGELPDRVCAPPEMNYISVRTNSLSGDIAAKVASCDGIDLFDVGSNNFSGPAPFALLATVNITYFNVSSNAFDGQIPNITACGAKFSYLDASGNRLTGPVPETVVRCRNLRVLDLGANALAGAVPPLIGTLRSLSVFRLAGNAAISGPVPAEIGGIEMLVTLDLAGLALTGEIPGSLSQCQFLLELNLSGNKLQGAIPITLNNLTYLKMLDLHSNQLDGGIPVTLGQLTNLVLLDLSENTLAGPIPQELGNLSNLSHFNVSFNNLSGMIPSVPVLQKFDFTAFMDNPLLCGPPLNTLCGGQGQGHRKRLGVPIIIAIVAAALILIGICIVCALNIKAYTLDSRDVEDSKEEEEVLVSESTPIASPGSNAIIGKLVLFSKGLPSRYEDWETGTKALLDKDCLVGGGSIGTVYKASFENGLSIAVKKLETLGRVRGQDEFEHEMSQLGNLSHPNLVAFQGYYWSSSMQLLLSEFMANGSLYDHLHGNRPYALSESSSRGSGGELFWERRFNIALGAARALSYLHHDCRPQILHLNIKSSNIMLDGQYEAKLADYGLGKLLPILGSTELSRIHTAIGYIAPELASPSLRYSDKSDVYSFGVVLLEIVTGRKPVDSPGVATAVALRDYVREILEDGTVSDCFDRSLRGVAEAELVQVLKLGLVCTSNTPSSRPSMAEVVQFLESVRTSS >Dexi8A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:8A:908986:911523:-1 gene:Dexi8A01G0001310 transcript:Dexi8A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSLRSFHRLPAAGLAACDPVPLHRPKLFAPLAQLFSPYLIGVTSMLPEISVLPINLPEIVYITKRSYRQLSLLSFKTVALYKLLGLEESREAVYGTLDAWVAFEQDFPLASLKQALSALEKEEQWHRIVQVIKWMLSKGQGNTMRTYEQLVCALEKDNRAEEAHKIWQKKIAHDLQSVPWRFCHLMLAIYYRNNRLERLVKVWT >Dexi5B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:5B:266261:271667:-1 gene:Dexi5B01G0000430 transcript:Dexi5B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPPTSAPGDACVVSADAWPFDSLTTSLLFSSVSASPPLPSLPANSSSWLTPPSPLWLFEDRHLLPLEAPQAPEAAVAAAVVEEVQRARSNGNSDTTSKRVQQINHKWHFNLSLDEDGTDNSSLFKEKLTQALRYFKDSTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSIDGENVGELGLPGRVYKLKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSAQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLRSTEILDHPNVQICNEGRQTALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGEVIESVQGSDAAFNLTSITGPLPIPVGPSSDSLSVEKVAQSKVKEPLNLTVDGDRDSSLQKSLDNDGHFGVLMAQQGFIDNNNDRQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANGTFVCKPIASTFTEPQLNPEEFHKETFQEPQLPLSRMLIEDSGSSKDLKNLFTPAAGQPFLAPPSNLASVKHSGTVTIKASFKDDIVRFRFPCSGSVTVLKDEVAKRLRMDVGTFDIKYLDDDHEWVKLACNADLEECMEISRNSGSHVIRLLVSDIAAHLGSSCGSSG >Dexi9A01G0028970.1:cds pep primary_assembly:Fonio_CM05836:9A:33717264:33718398:1 gene:Dexi9A01G0028970 transcript:Dexi9A01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDKRRPYLLVMDAATRVATSPAMYREDVNMPHATDEPRNPASLSTTLPHTAESVEEPTGDAEVVAEKEAAAAGDDAGKHHERSHAAAVPFFPAVRRSSLHERPDGHLSASAWQAGQLFGRAHTPHAVTHSPTPPVAPPRVDEYFSTPAGAAGGRLTSL >Dexi4B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:4B:3365525:3367936:1 gene:Dexi4B01G0004710 transcript:Dexi4B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAAERGRRLPWRLTVAVQAALCVALYAAFSLGEPQLFPRGGGGGGVDALGRGARGGGGVAFLSVAGGERTPAEQARLLRQMEAIAKFYEIKFVLDIAQSGENDPLWQDGSMYFQALNIPWYSTTSSHGQIVGNFVKKVSMPHDQILDIVGLDTGALQEPLHDGKISTSYREQTKWLEQSLALTNGNWKIVVGYDPLVVCNEAEIPETAKFYVPFQHIFAEYEVHIEFGSYGK >Dexi3A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:3A:1039055:1043972:-1 gene:Dexi3A01G0001540 transcript:Dexi3A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFLSILLRFAVTTVADSETSRSPATALFVLGDSTVSCAGSILPLNLTITSSLSGAGGPCFFFPSTRRLLPDLLAAKMGLPSPPLISTLNGTAAAAARGVNFGGPQYYHYGGDRGIFRMGAVGQQLRLAAETLHLLQLETGTAQDASSAAAEAAVFVLSFGTDAYARLLSRGGAEAADAAAPKHGRRGLGCLLADRIGGLGAGIGGGHGGCVEEANKLIEGFNARLAARLDDLRPQLPGADVVFCDVYKGMMEIISNPGRYGLEETREACCGLGPFKASVGCLSKGMACATSERHVWWDLYTPHGRRGHPPRRLVMVAADGDDDDQHLHSRLAAAPGGRSWTDLMIELRA >Dexi9A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:9A:9549440:9549738:-1 gene:Dexi9A01G0014440 transcript:Dexi9A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKRKSSGESAAAGVDEEDKVPRGHVPMVAGGEGEGGERVLVPVRLLSDPSVAELLDMAAQRYGYSQPGVLQVPCDAGHFRRMRGHKLGL >Dexi5B01G0033770.1:cds pep primary_assembly:Fonio_CM05836:5B:34091176:34099469:-1 gene:Dexi5B01G0033770 transcript:Dexi5B01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKQRISSMFTSTVFKKPGSDRAKGSALAADSIVDDVIAEFAPDENDREERRRRVGRVCAPQHPPPTVSYFNSEKVALDAETVVRSDSGFETDGFSDHANDMTVELKSDAEIDTKLEENPGSSAELVVEDKSSEELKQEANGQVKIEKAHRLNAKITEGSKNGDMMSATAGWMKVCGDGENAGGEGAVAAGGNADVDENSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVIVKNIQRCIYAIPNQSVFPRESISGLEKKSTSSDFLPSFRATLHELASGLKSEIADKLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPADLRGEYFHAMLGTNNSALELFLIKRKIKGPSWLSVSKFVTRPSTQRVSWCKFEVAVDCPKDISVLTTSTSLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHGVKIDSPMRPEDWQKRGMLSHFSVMRKLEGSIFPMGLAKEATDRNQKAGCNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSIMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRTEVSPHDIPSMFQSSGELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKEQNSTKRKMNADTEGANADDGAVDPSFDVEGHNGDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPNLPASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKSIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHSSLVQVQEQMRSGQIELQKYVITKSLTKAPEDYPDAKNQPHVQARFVALRLRQNGYSGCSAGDTVPYIICSQQVDSDNTHSVGIAQRARHPEELKRDPDKYTIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRSTESSNQDTSAMLLSVIDDEDERYRGCEPLRLSCPSCSGTFDCPPVSSLIASASATSVSDSDEGKDATTNFWRRMRCPRCPDNIDDSRISPPVLANQMKRQADNFINQYYKGLLMCDDEGCKYSTHSVNLRVMGDAERGTICPNYPRCNGRLVRQYTEANLYRQLSYFCYVLDATRCLDKLDQKARLPFEKEFAAVSQTINLALMEIQKIRDRCAFGWVQLKDLAVSI >Dexi1B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:1B:10254384:10255007:1 gene:Dexi1B01G0010580 transcript:Dexi1B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYPRHRLQESTVDDLHENVEVSECYNPFYAIAVVCVSIFLFCVLAASVNVWKALAIAALAGLLLGVVGCFAPKGWFRRPSGRDASATELVVVTVDVTAGAARPGHPCAQVNAPPAFAFQCPLEVVVCSVCLEDVRGGEMVRQVPACGHVFHVGCIDMWLHSHRTCPVCRCVVSTTEEVSVSPKDDGAVEEAPESSDDDHELPPV >Dexi5A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:5A:3978008:3980444:1 gene:Dexi5A01G0005300 transcript:Dexi5A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEEGALRTGVAKHGVGNWRTILNDPELGPILCSRSNVDLKDKWRNMNVTVTASSSRDKARSTVKKTRAAPKNNDNSAAISTITSDADDEIVDVKPIASVSSEAWNASNSKKSHSRLDNIIMEAIKNLNEPAGSHRTTIANYIEEQYWPPNDFDHLLYAKLKDLAASGKLLKVNRKYRIAPSSPRLEGRTPKMVLLEDVQREPVKVGSDATKNLTRSQVDAELARMASMTPEAAAAAAARAVAEAEAIMAEAEAAAREAEVAEAEAQAAQAFAEAALLTLKNRNAAKLAIH >Dexi2B01G0025120.1:cds pep primary_assembly:Fonio_CM05836:2B:34395765:34398095:1 gene:Dexi2B01G0025120 transcript:Dexi2B01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVGGKVSRMFTLSEIEHDLPMPPHLMSRPILDAVKAELERLFLDKVSFRLVMFKPFNGEVLVGRISGYDDKGLQGYKPNSTLLGNINFISASNVSLGFFNDICIPGHMMQYGTVRGPDGRWMLKTEDGDELYLDLDDEVLGI >DexiUA01G0027970.1:cds pep primary_assembly:Fonio_CM05836:UA:59769327:59771634:-1 gene:DexiUA01G0027970 transcript:DexiUA01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLAFPLFVVLAAAGFAAATRSPSAFVQNAIYSNRITIFSKTYCPYSIRAKRLFRDLKEDPYVVELDLRDTVNALANGQLKKLLGKSQSQ >Dexi1B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:1B:2456302:2458426:1 gene:Dexi1B01G0003020 transcript:Dexi1B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPSVNWEDVADNWFGGCCTSFGGAGEKLVSQFINAYGRLEGTSLLDTTAITIETDYLEADLVAQLSCSAHSSDFVAIREAKSDISIGKDHATEKIKSSAQPQFILEARPNASSSETNGVTLQTDQSGSCQMDVGIDVNFEKSKNDCCVEKMEESNKEVDLSLVDPCHCCHVNLYSEKAEDNPLQMSSENQKKQTMLETKRDYKLTKTISLGSSFIVKASKLLNDFDWIELLCGRCSSPLGSYPSQCSLVPCDGRVRLFKCYTSTELPVTGPHDVFRIVDEWSSKYRAEELYMMKRQIDELIECLSSTMDNFPVSCSSLEGMHLSSLRR >Dexi9A01G0013150.1:cds pep primary_assembly:Fonio_CM05836:9A:8436914:8440725:1 gene:Dexi9A01G0013150 transcript:Dexi9A01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPEAQKRQLTRLCDLVAACLLPHLEAQTSPPRQLTREDERRVLLALSKLNKAIRGWNQEEEEEEQEEQRLSQSDQENVSCSGEVHNCCLLHGQHPYDGFSCLANMVSILIGILGFCSDYVKHSTANILVSISSALIKFAVFSSYCARYLSKVIWKVQMVKTFLVICSLFIPISGRYAIQYSLTAFRRSTRFPENR >Dexi5B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:5B:4529089:4529550:1 gene:Dexi5B01G0006770 transcript:Dexi5B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Dexi3B01G0026710.1:cds pep primary_assembly:Fonio_CM05836:3B:22152497:22153135:1 gene:Dexi3B01G0026710 transcript:Dexi3B01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAMAPTVMASPATSVAPFQGLKSTAGLPVSRRTSNSRFVSNGGRIRCMQVWPTDNNKKFETLSYLPPLTTDELLKQVDYLIRSNWIPCLEFSSVGFVYRENSNSPGYYDGRYWTLWKLPMFGCTDATQVYKELEECKKEYPNSYIRILGFDNIKQTQCVSFIAYKPPGAN >Dexi4A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:4A:23963955:23965067:1 gene:Dexi4A01G0020200 transcript:Dexi4A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVSMDLTEAARYVQVFKINGFTVTKEKPGYTASTVCAVGGLDWRIEFHPKSSNPHRRYDASDWIMLRLRLISRGGATTSGVAASFSCRLMDPSSPAGSYLDPEEITSSVFHENHSLEIFLARRTDLQGSSSGSQRQYVKDDSILVQCAINVLADGKPPKYPAAAKASLRVPSSDLRQQFGELLRSNKGADVTFLVAGEHVAAHRSVLAARSPVFMAELFGDDDIMKEEKAAAPPCVVEVKGLEVEVFRAMLGFVYTDTVPELDRLEGEQATAMATRLLEAAERYGLRRLWRICVDKVCTDINVGNVATTLALAERHGCSKLKARCMKFTLANLGAVSATEGYRHLEAFCPSVLTELLKLVVEGHRHK >Dexi4A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:4A:2858570:2860071:1 gene:Dexi4A01G0004000 transcript:Dexi4A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAVAFLAVSSACFLAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLKPSKQGGADFKKGANMAIIGATTMDSSFFQSLGIADKIWNNGPLNTQIQWFQQLMPSICGSTQACKSYLSKSLFVLGEFGGNDYNAQIFGGYTPEQASGQSSTIVDAIGKGVEQLISLGAMYVVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSTRHNQLLQSKVTSLQGKYPGAKIMYADFYSHVYDMVKSPGSYGFSTNLRACCGAGGGKYNYQNSARCGMAGASACSSPASSLSWDGIHLTEAAYKKIADGWVSGAYCHPAISA >Dexi9B01G0045610.1:cds pep primary_assembly:Fonio_CM05836:9B:45047603:45048511:-1 gene:Dexi9B01G0045610 transcript:Dexi9B01G0045610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLVEARLPRPKMTFYAPSSEDNISSLQFLLLDRNKLLATDQMGHAAIYDAGMNALRTAPPLSRPKYSPISVAVGHKHYVLDCSGDKDNCFEALVYEGGETSCGVYHDWRYHSLPSTPYRPGDIDAYAVVGGSDVWVSTEAEGTYSFNTVRGAWSKKGEWSLPFCGLAEYVPEYKLWFGISKKEDRNLFCAFDLAAATSRRRCTAPVPRNVWQDLLPRKGWLPVTSSLVHLGSGRFCIARFFYDEEQIEAQADDDPWKMFAVFAAVRPCGEAGKGLEMVRYRSECYSLRDGSLNQWVL >Dexi1A01G0031200.1:cds pep primary_assembly:Fonio_CM05836:1A:36280880:36282093:1 gene:Dexi1A01G0031200 transcript:Dexi1A01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATPPQPLPLQLRRPPGPSQPRHLSLTRRLSSRLNRISASQDPLTALSRLLWGRALPPGQLVIAVRHGWTSAWKLLMRQLAPSDPATGAFTRTPAGFPAVVGTPSTRLHLYVGLPCPWAHRALVVRALLGLESRLPVSVAVPGDDGAWSFTPDSPDGLYGKRKLREVYAVRSGGFEGRASVPMLWDADRREVVCNESIEIIKFLCGLADTDGLDLWPPELRQEIDRWYGFIYTSVNNGVYRCGFAQSQEAYDAAASELFGALDRLEAHLAGARYLCGDRLTLADVCLFTTLIRFDLVYNTLFRCTRRKLTEYPSLHAYTRDIYQMAGYFKTLFPLNPGEIQPLTPASCDNESLLTPHGRETLSSAAGTPLQAAGVS >Dexi3B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:3B:6693201:6693800:-1 gene:Dexi3B01G0009680 transcript:Dexi3B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRSSAASLVPSIRGAAELVRQEALRRELDGCQLLAGIWCHGLTVAQLRSIRASLPPTARLLVTKNSDMAAAVAGTRWEALKPCARGMNAWLFVRSDEIPPALKPYRDFQKEWKLQLNDFTGAVYEGRLYGPDDFAQLEAMPTRVQSYQYLLGCLQMPAVNLLAVLRARQEAMLAEADKPPAEGEAVAAAPEPAAEK >Dexi2A01G0028680.1:cds pep primary_assembly:Fonio_CM05836:2A:39886229:39890895:1 gene:Dexi2A01G0028680 transcript:Dexi2A01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASADEPARHRKAKKSKPEKEEKKLNKRSQKRPAIEEEAPVADASERKKRKHKGEREEKHDGKKSREKGKREGKDVELEEEAEVRREKKMKRAMEDERFAAARMDPRFRPMRKKEAKVALDSRFSSMLTDPRFASSAAPYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSIYPSEFGLKCMEIESTQGPAALVNANADDKGSEDEEYDKDEEEYNTDDEENTDDDNDEEEVDSDKENNRLRAYELSRLKYYYAVVVCDSSATANHLYMTLDGTEFLKTANVFDLQFIPDSREFKHPARDVATEAPPSYKEPDFETRALQHSRVKLTWDEDEPERKKVLRRKFTDDQLDELNMYLASDDSASDDDGVDNSDDESLPNVGAKRKLTKEERLAILLQGDKSDEEQTDDQDMEITFNTELEDLSKRVQQRKNNEEKTVWEKHQEKMKEKRRARKRGLKDEGDNDDYSSEDDPDEDDDFFAAEQSDEERKPSKSKKQKAKAKDKGKRKGKDDSTEEHLEQAATKEELELLVAGDLDTASGAKGYNLKRKKGKKGKKGKEESVEDKLPDIDLSKDERFSEMFRSHLFALDPTDPQYKRSAAFMRKQTGKPGANARKAEGSSLEGTLPPDDAAAKINDYQKPDEASTQKLQIFLNTVNPVG >Dexi9B01G0049000.1:cds pep primary_assembly:Fonio_CM05836:9B:47828110:47830618:-1 gene:Dexi9B01G0049000 transcript:Dexi9B01G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTTGSGGSTGIGNFGRLKAQKIKSLVTRSTQLNRETSDRSSAKRYDGFSFDDSGEEESYFERRKPVSDSARRATESSNSRNERTRGAHSLNSVLSEYRGDDLDSPDSEATSGPKGWGNIADVTYGRQNRKPREPLNFPQRKGPLDSGFFSRRSFKEIGCSNEIVGALRNFDFPRPSHIQALAYGPILEGRSCVIADQSGSGKTLAYLCPIVQNLRKEEVQGLHKSSPRNPRVIVLTPTAELASQVLNNCRLISKSGVPFRSMVATGGFRQKTQLESLEQELDVLIATPGRFLYLLQEGFVQLANLRWYRSSLCFSIFMFVVLDEVDILFGEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPGVHRTSSRLEEILVDCSGDDNEDKNPETAFLNKKSALVKIIEESPVRKTIIFCNKIETCRKVENVLRRLDRKASQIKVLPFHAALDQAQRITNIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPCV >Dexi3A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:3A:611301:611767:1 gene:Dexi3A01G0000840 transcript:Dexi3A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYQQQVVVLAVLAAVLLAAAASVSDAAVTCGQVNSAIAPCLSYARGMGSAPSAGCCSGVKRLNSAASTTADRRAACSCLKSAAGGISGLKLGNAASIPSKCGVSIPYTISPSVDCSKVR >Dexi5A01G0039380.1:cds pep primary_assembly:Fonio_CM05836:5A:40037299:40041236:1 gene:Dexi5A01G0039380 transcript:Dexi5A01G0039380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRAVPQRAAEDAIRSIGVGFDVVTDVRLKFCKQRGAPDPWLIELDHGEVHDVVLPGGIAVSGVTRSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGFFNTMFEFTGSWQKDAANTKSLAFDGWYVTLYSVALSKGQIVLRDHVKQAVPSTWEPAALARFIKKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAADVQKRLKEMSDRRFINANGQSDMSFKDMNGNNKVDVGKRPVTGLRLFLEGRKSNKLAIHLQHLCSLPQIIQLEDDPYNHKTQEYDRKYYEPIGPWKRFTHVCTAPVESDDLSIVTGARLDVVNHGLKKILFLRLLFSKASNAALVKNPEWDNSPSLAQKSGLISTLISTHFSSVAQKPPPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDSPGYWVVSGAKLNLERGKISLRVKYSLLTDMMSDDEFPLDDEC >Dexi8B01G0009610.1:cds pep primary_assembly:Fonio_CM05836:8B:17132203:17134646:-1 gene:Dexi8B01G0009610 transcript:Dexi8B01G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSIPKKYTIGGKGRKRKSIIQEVAVFVPTVRIPVASDIVHPLRGIVSKDLVDRLSTLRAHVVELAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESRLESSVQFRWRTLEDDQECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNCQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKNFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLFFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSDSKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEQNKNSALQSIPDLPEFALSLRPEGYELPSTDSIWENVNGQPQIQSLKEHLNDDEDEVDTK >Dexi9B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:9B:12310450:12312028:-1 gene:Dexi9B01G0017490 transcript:Dexi9B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRKKQPSPDFLPTPLSSTSSIHPAAAQRHDAMARPRMIDRAETDAVLRELRRRSDDYDYDKFDCENLHRFELDAEGRLRRLFWVDAESRIGYNFHGDVVVLDTTCRANKYGLPFVAFLGLTHHRTPAFFGCGVVSDDSLDSYVWLLRAFSLSCDKPKSVITDGCDAVVAAVKIVFPDASHRICSSHVERGVREHLHGSPSAQNAFRSLMCDGTCSPGLFEARWSCFMARHRTSVNGRWLDAMYGKKELWAAAFVHGRFFLGMANDQTTECLATRLHRDLHVGMSLADLVAHVDACERRLRLDMAKLDVAAARSRVELTTRHRCLEEGAARWFTPTNFYLVREEIKMADSFEIAKIVATVGNPTFNKNDYMVLFKELPGVFFDVERSGDVIKCSCRKMEREGIPCRHIFTVLIHSRKLLVIPDCCRLRRRGDIKEERIDEMNELGRQVFELASEDAQEFREIKGFFEALLKRTTLTMPRMRTAARRW >Dexi5A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:5A:24193697:24204370:-1 gene:Dexi5A01G0020350 transcript:Dexi5A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQVGAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVSHHLNSKYLVPYGDFCVKHNCISLYEECGMLDRALEEMQKKESKIVDKLSFKEQMASVLFKLGRFAESESIYRSLLLMNPDNYKYFIAVQKCLGLYSDNGQYSADDVERLSTLYNSLKEKYAWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEHPGKANILEQLFLKIEDSIRTSGCFPGSSQKEPPSTLLWTLFLISQHYDRRGQYEIALNKIDEAISHTPTVIDLYSVKGKILQHAGNFAAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAERTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDTPTKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDETASSNSTKPGKKQNARPVDLDPHGEKLIQIEDPLAEATKYLKLLQNNSSSSLETHILSFELNMRKQKILLAFQAVKQLIKLDENNPDSHRCLIKFFHKINSLPTPGTDSEKLIWNVLEAERPDIRKLHGKSLVEVNRTFLEKHNASLTHRAAAAEMMYLIEPDRKMEAIKLIEDSTNNTSSGNNVLGPVNEWQIKDCIDVHKLLETVFGDQDVANRWKARCAEYFPYSTYFEGIKSDIAAYAVDHSLESSSENGIDPNPQLKSKEGLNGTVHIVDDLSSLSIR >Dexi2A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:2A:31891160:31895262:1 gene:Dexi2A01G0019730 transcript:Dexi2A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLELEAQDGIRMPWNVIPGTKQEAVNCVIPVSAIYTPLKPIPDILVLPYSPLRCRMCRSVLNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYMSSTETGPVVPPVFIFVVDTCMIEEEIGYLKSALAQAVELLPDNSLVGFITFGTYVQVHELGFGLLPKAYVFKGTKEVTKEQILEQMCFFAGTKKPTTGVIAGTRDGLSSESIARFLLPASECEFVLNSVIEEMQKDPWPVPADQRASRCTGVALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSADDNLGLSFNGIIEINCSKDVKVQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDRKTSICLVYDIAKKDDGPDSIVQSTNNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGANNVEASFLFILI >Dexi1A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:1A:4535492:4535770:1 gene:Dexi1A01G0006070 transcript:Dexi1A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALYNEIRGMKVREVPAYLKPRLTWGNVKKSADQAVDRYIEKYIETSSPDPLFHVCFGGMAFSYLVALPWERAHLAHLEEMERTGGKHH >Dexi2B01G0029930.1:cds pep primary_assembly:Fonio_CM05836:2B:38241777:38244755:-1 gene:Dexi2B01G0029930 transcript:Dexi2B01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVRYLGSLNNRQTPQNPHGSTQGEQRKRDRETRPGVTDLPPWQYIRSTHTYLAPSISRQEGNNKEMQRARQNFEADEAENLDPAAAEARLQKNKFGERARRSGRGRHSPGHGAIGLDAVLEAVELPAGVADLDPGLADVDADDLSHLARPLPPPSSLSSSSPSSRRTELLSFLGQGAPLSLLFPKCVRGGVKRGRRREKEALERLLFESQRGFVGVVHVSRPAGRCVWPPGRGSRSRAAGPCPLFHQHRVYVRTQAEESWELAWWAPRPCAKVSGQRLHLSWVRQRAAGSAAAATRPLGLFGHYAAQNLAPLPVPLQRKLASRAVPCAHGRRAAPVTRPCRLPCF >Dexi4B01G0018610.1:cds pep primary_assembly:Fonio_CM05836:4B:20971574:20973902:-1 gene:Dexi4B01G0018610 transcript:Dexi4B01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAGAPRVRSLNITAPEVEARPVLVPGGNKARSAPANARKPSPKPLRKAAPAAVGTPEKPAAAPAKEEEGAKKNAGGSAPKGASPVPSPRRTPPGPAPRRNDAPAPLLQPSLALSASCSSNASADSVRARASVGKVEKGRSWPTATPKQGKAVGKATESKSGVVNFVAPVTTEPVEGKRRCAWATPTTDPCYVTFHDEEWGVPVHSDRGLFELLVLSGALAELTWPEILKRRQLFREIFMDFDPAAVSKINEKKLVAPGSMAHSLLSEQKLRAVLENARQILKIADEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADIISKDMMRRGLRGVGPTVIYSFMQAAGLTNDHLVSCFRFEQCNATPTLCTNDINRANMKEDPKTNEMTMKICCEEIATNTEMPRMSDALIVS >Dexi8A01G0012980.1:cds pep primary_assembly:Fonio_CM05836:8A:22929482:22930098:1 gene:Dexi8A01G0012980 transcript:Dexi8A01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMASWSEPSKLMNPIFANTIAPEFRDDPVDFCMLDVDEFKDLARSLRVEALPTFLLVKEYYVKKRVVGVDKEELRDSIRGL >DexiUA01G0017440.1:cds pep primary_assembly:Fonio_CM05836:UA:36763093:36765313:-1 gene:DexiUA01G0017440 transcript:DexiUA01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPGNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSHHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQPDNIVAARKQ >Dexi6B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:6B:7149508:7151115:-1 gene:Dexi6B01G0006510 transcript:Dexi6B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAARGPCEFQRAQWTAEELVAADEVWAPAAGLPLDYASIDIFPSIWSLQPYVPSSPSGVVEYYNYYAASTQYAGPIVEEVEAAAQESFEVDLRHDMEMEIDKKNAIGLFEEAARKFKSDIDMMKQKIHRYPPSIEGMDTYYTVPRIVAIGPYHHEKWKLKEAEKVKHVAAYHCIKESGGLYVQEMYEAVVSVMEKIDARRLYDKEVMEGMSDNEFQPMMFYDACFLVMYMMIRTSNDADYNEYGLRDFFESNDRDIAHDIMLLENQIPWSVVDAIMKYTSVHLENFITIWKDDCLLDRVVGELDAIMWNDNYKPPHLLGLLRFYIVGTISKPEVSGVNNMRSITISVSAIELTEMGISLADNETECIADMELTKRWIYFAKLSMAPLSLNDLRASHLVNMAAHELCTTPDFLDGNAAFEDSAVCSYLLLLCMLMCNHDNVEHLRTSGILQGGAGLTNKQTLDFFTSLQSLRQGRCYSRVMVQIESYKNSRPLRNKAYAFVYNNWKTILKVGSAVVALITILGTLKSLSSPGN >Dexi2A01G0030690.1:cds pep primary_assembly:Fonio_CM05836:2A:41551626:41552426:1 gene:Dexi2A01G0030690 transcript:Dexi2A01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEAADAFPSTAPAASSDSDSEDLLLFPNLLPSAGAPSSPSHAQLHHFNVPSLPSPITVRALPSRGLSFQLWPSASTLLRVLPASPHLLPRAPSPPLTVLELGSGTGAAGLALAAALPARAVLSDLPDAVPNLRHNADLNAPLLASAGAAASVVPLPWGDAAAMEEVAAAAAPFDLVIASDVVYYEALVDPLIEALWFFVKGEVVFLMAHMRRWKRTDKKFFGKARKIFNIEVVHEDPPLKGWRHGPVVYRFTAKKQHAKK >Dexi2A01G0027660.1:cds pep primary_assembly:Fonio_CM05836:2A:39000437:39000928:-1 gene:Dexi2A01G0027660 transcript:Dexi2A01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKQATKRRSRAPPPPPPRQLSGSSSIASSLAGAAMDRKGHSASAAVPMDMAALAAAAAAGQSSSGQATDGSLPPHANGEEENTPATLAAVGCVASTASSPVVARRGAAAGGGPSCQVERCAADLQEAKRYHRRHKVCEPHSKALVVLVAGLRQRFCQQCSR >DexiUA01G0003310.1:cds pep primary_assembly:Fonio_CM05836:UA:6718644:6719345:1 gene:DexiUA01G0003310 transcript:DexiUA01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPFVVVNMPAPGPRASLRLAAPPCATLLVVPDHLVRPPRDLDPPGDTVYAVFGGYITATSGDGLLLLCFFDVPAHAPVLPGVIMPGGIRGRMLTGVIKEPEVMRFVCNPLSGQLVRLPDIDGTTKTQQYPDIGILTQSERPDQPPDKYAVAVLSNSKDRSFVMRRFLSQTGKWDKMVALPSPLPLARPMEMDLSHEPVAFAGRLWWVDVTCGAFSVDPFSDRPESSIHIHV >Dexi7B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:7B:21764460:21764843:1 gene:Dexi7B01G0015710 transcript:Dexi7B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNMVVFAMASAGSVPCPPPPSTPTPTPASYGKCPRDALKLGVCANVLNLIKAKVGVPPTEPCCPLLEGLVDLEAAVCLCTAIKGSILGINLNLPVDLSLILNHCGKTVPTGFKCL >Dexi6B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:6B:3042408:3043292:1 gene:Dexi6B01G0003710 transcript:Dexi6B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGKSAKGTDFGDRISGLPDELLHSVISLLPAKDAVCTSVLSLRWRNLWKSAPCLNVDAEDFTSQSSFIEFVNTIWLSRGGSRLESFWLRACPGIFLENFRETVYLWISHALRSNVEELGVIDHDLNEFEYHHQLFHLGHCPLTSSCLKKLHLCYVNIDNHAIKNLFSGYPALENLEMINCEIYATEFSSATLKSLSIDYADFPHPVYYTIQEDIVINMPSLASLHVGGLLGIAMLSFVDVQSLIAASIRLDRGTFTGACSILGALSNVKKLELLFRGDVVSFIWTRDTCN >Dexi2A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:2A:23226500:23231794:-1 gene:Dexi2A01G0014210 transcript:Dexi2A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQASWVEKEADRSDLGGSEHGKVEVMGQAVDAGGDGDACGGDGGGDASPGLSVNLERGLVLHGGAADGSSDDEEEFDFDEVEDDGNVQVQWECPEEDFDADGTWFGVELRTSPFKRAMSRQLTFQRSMPMAKKSLNYRGLQKEKVESLSASLSSGGRARMAPRPGSAAGYKDYDVPKASGMHDKAPTSHPKGPMPMEEDQLEQDLEGGEDDDAGGFDKVGAGEKELCKDQGRMSGLDSFTGSSGDRWAQLLWGW >Dexi5B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:5B:22506060:22507763:-1 gene:Dexi5B01G0020280 transcript:Dexi5B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESTLESAWQMLIANFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVIIFSYPAFKFMGLRSSLPLPHWSVIVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMMLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKAKAVDEKEGKCL >DexiUA01G0021140.1:cds pep primary_assembly:Fonio_CM05836:UA:43567758:43568009:1 gene:DexiUA01G0021140 transcript:DexiUA01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGDRVPEPKRAEPTTSSSSPALPTSRPRHAAGGGRLTFGGWPLGASRGLIPGSAKAPSPVRRFPFTPGLSRRPRARAPRDA >Dexi3A01G0030260.1:cds pep primary_assembly:Fonio_CM05836:3A:34223005:34226669:1 gene:Dexi3A01G0030260 transcript:Dexi3A01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRNHLAAAVRSINWSYAIFWSVSSTGPAGVLRWRDGFYNGETKTRKVTSTSSRLTAGQLALQRSEQLRELYESLQPPGDGRATPRPIAALSPEDLGDTEWFYLVCMTYAFRPGQGLPGKSLARNEHVWLRNAHLADSKTFPRALIAKTIVCIPLMGGVLELGTTESVMEDHDMVNRATAPFREPPPQLPACTEEPTSSPSSDDNRDQQASEDTIAFDFEDIDDHTAAMEPMIFTDTEHPPDASNLEDQVAMEIGELYGLGLEDVQVQLVEDDWFLTIPPSPPAQPAATKLAGADDGDHALMNTPGDGSRFTSFKPWTRSDAGDMAGGTAATGREPQKLLKKVVAGGGAWTSNGGGGDGSMTRATTQESGIRNHVMSERKRREKLNEMFVVLKSLIPSTRKVDKASILAETVAYLKELERRVHELESRNEPVEVVGATNKKKVAVASGGAKRRKKGSDDELDAGDHRRQSWVGSPSNVISITVEDREVVLDVKCRWEERLMARVFDAVKSFHLNILSIQSSTLDGIMGLKVRAQFASSAVVAPGMMITEALQEAISSRKD >Dexi9B01G0033590.1:cds pep primary_assembly:Fonio_CM05836:9B:35724723:35729043:1 gene:Dexi9B01G0033590 transcript:Dexi9B01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRVAEDVEAGGVVGTGGGGGGAGGEVTEPLLRHCGKEEEEAEAEGSECGSEGRRANGGSLRMVLVSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISEFAIFGSILTIGAMIGAVTSGRLADFLGRKMTMRISATICMFGWLSIHLAKSAIMLYFGRILLGFSTGVLSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGVVPCILLLGGLFFIPESPRWLVGVGLMVFQQLGGINGVGFYASYIFSSAGFSGKLGTILIGIIQIPITLFGAILMDRSGRRVLLMVSASGTFLGCFLTGISFYLKTQGLFPEWVPTLALSGILIFSINMKATGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVAKLVPETKGRTLEQIQNSLSSRR >Dexi2B01G0026690.1:cds pep primary_assembly:Fonio_CM05836:2B:35809746:35810705:-1 gene:Dexi2B01G0026690 transcript:Dexi2B01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTSSLVDYLKAYQLYLRASCFKMMGYKFSNMTIAKASAGRRKVHIVDYGMHHALQWSSLLAWLGTMEDGPPEVRFTGIDLPRPGFRPAALIEETGRRLSKCAHQFSVPFKFHSIMKKWEAVTVDDLNIDTDELLIVNSMFHFGNLLDDTIDIYSPSPRDMVLSNIQKMRPDIFILCIKNASSGAPFFVTRFREALFYYSAIFDMLDATIPRDSDHRLLLERDFLGQSALNVIACEGSDRVERLETYKKWQVRNHRAGLRQLPLDPDVVKVITKNVKDRYHKDFVIDVDQQWLLEGWKGRILCAMSTWVADDAVSKH >Dexi5A01G0033820.1:cds pep primary_assembly:Fonio_CM05836:5A:36049015:36050202:-1 gene:Dexi5A01G0033820 transcript:Dexi5A01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDDTMERDFAARLRLAHSPSPAPPAAAAAAAASSSPTAGAGGIAFRAPQEQFTAADFELGKIYGVGSYSKVVRAKKKDTSNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSVGLIHRDVKMKGLVHLLEQPHTYHLRF >Dexi2A01G0017480.1:cds pep primary_assembly:Fonio_CM05836:2A:29334303:29335635:1 gene:Dexi2A01G0017480 transcript:Dexi2A01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDAGGGGGGAVHVEAGGCGRRRGEDRRGSGDGGRVVERRCGGASGGGWGVELRRAGAGDGGGGGAAAGAVAAEEVTLKTPLPTPMSRHHSSTMASSRFCSRHPSRSDSSCIRCFCSAVNLVRNRFLGMAPPGGALDGVSWWAGGASLCAAAAAAAPGAPPEPRESSMCGGPAAKYGGGGGATGAGSTWCGGGGDTDRSSSYSEASESESESSTTPGSRSSGLSSPPPPPPRCGWPWSSTLAGAGSASGADGGGGGGEPSTARRWKLRWHPHAAHLSDVGSAGLAAGEGMNSPQPSTAWPPRLAAWFLRHSRYIGSPGAAAAAGDTAAGFTFLAPPPLGNMRGLYLASMASMRLRPHLGLTGGRETQARTGERLPLAPNPGALAWDDGSREEEGREGGRKTTGKEVKRGRGDKNKRRAAAAGRRLVERGE >Dexi4B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:4B:21918492:21921403:-1 gene:Dexi4B01G0019760 transcript:Dexi4B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRTNLTESVRSVHLLKINGFCATASMDRSECIKSRWNVAGHEWEVHLYPNYFYCNNYPSSDIHGLALKLFLRVRKTWATLSCRLVDPSRNRDPSEEKWSICDEFERRRECLKVVRLMERDRVLSSGYLVNDSLTVQCTLTVLKELPDMLVIPSVTEVPLPSSDLHRHFGELLQGQRGSDVTFVLDSGERFPAHKTILAARSPVFMAQFFGEMNERSSHSVRVQDMQAAVFRAMIHFIYTDTAPELDEEPQTAPAMAQHLLAAADRYGLERLKLICEGKLSGGVDVYTAATTLALAEQHNCSLLKAKCVDFITRSPETLNAVLATDGYRHLVESCPLVLTELLKTIACAGELGHRTASLTVAPVLAAAAEERGGVEVQSDQHKPTFTYLLSVYLVAPPPSLPRAPVALTMEHGRTNLTEAVRSVQLLKIDGYCGTSVMPMSDCIKSRWNVDGHEWEVQFYPRYSIPYCVGCGIALKLVLISEPQRDKLMANLSARLVDPSRNVDPSEEKSVSHVFRSVQCTITVLKPLPNVVVPATNREALVLPPLPSSDLHRHLGELLEGQRGADVTLVLDSGDRFPAHKNILAARSPVFMAEFFGDMNERSSQTVQIEDMQAAVFKALLHFIYTDMAPELDDEPEAAVVMAEHLLAAADRQARP >Dexi9A01G0028930.1:cds pep primary_assembly:Fonio_CM05836:9A:33684617:33685998:-1 gene:Dexi9A01G0028930 transcript:Dexi9A01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVNARDHHDQRGDSRLGLGLSLSLSIATAAAPVEPPPQRAISAAPISSHPAPPQPLWWNGAGLFFPPSSGMDRSLERKQQQQPPSLYGGHEMPFLRGIDVNRAPAAGESRRGSCSEDEEPAASSPNSTLSSLSGKRAAATRSGELEGDHTPRAGGSDDEDSGAGGGGCSRKKLRLSKDQAAVLEESFKEHNTLNPKQKAALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQREVAELRALKLVAPHQYARMPPPTTLTMCPSCERLATAADDAAGRAARPAPTGPWGPVPVRPVFVDGRARRS >Dexi3B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:3B:9562714:9564015:-1 gene:Dexi3B01G0013410 transcript:Dexi3B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLDIDLRSAERVPETYAWAGRDDHPSVDSSSAAAGRDAVPVVDLAGGGDPGAVARAAEEWGAFLLVGHGMPPGLLARVEEQVARLFALPAATKARAARRPGEANGYGRPPIELRFDTLMWSEGYAFPAADVRAELRRVWPDAGDDYLRFCDVMEEYHGEMKALGNKLLDVFFRALALTDDQIAGGEAERRIRETMTATMHPIMYPRCPEPERALGLAVHTDSGFITVIMQSPVPGLQLRRRRPDRWVTVPAPEGALVVVLGDLFQVLTNGRFRSALHRAVVSGERDRISVPYFLGPPLDMAVAPLASAVPPGRKAAFRAVTWPEYAAVRDKARRTDSSALTMLRVAEEEEGEEDDGVAPNQGLPSLMNKGCENNA >Dexi8A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:8A:2599472:2602538:-1 gene:Dexi8A01G0003500 transcript:Dexi8A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYKQTFNKMGGYIVNTERLKEKHAAYLKVSRLEEYFHELSLYEEKIFLKRYELRERLQRNILRQAAEEDCNNNERNFDNMEGNPDGLDLTVKSFSTQCGVSACSTDKSDITANTLELRQSLKDILRNKQDLIKSGACKHDTIRLGLAGWKSRFYREKFDVERYNEVGKLKDDMVQKYLEGLCWVLQYYFAEVPSWSWYYHFYYAPFASDLKGLSQFKISFTMDKPLKPFDQLMAVLPQERHVDHSLYLQIDTHGKRFLWQGIAKLPFIDEKMLVSATKTVENELKVHEMSRNTVRQDKIFMRNSKTLAKSAASVQISDCSSKRLSLDPSTSELGGWLLPVDNIGTSCAFYRSPISHLEDIRNDQTVSFLFFNPEPVKIIPRLLEHVEKPEKTVTEADISTRPLWHTYQGSRPPTTITATAEPQPRISGFGRGRGRAMAETPQWRGTNGRGFHGPDTAQSRGSSGANTFWPGGRACAGRGQYGGTIQRQQQAWRPVGSWARGDGGENGRGHGGSAQPRAW >Dexi2B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:2B:8298770:8300030:-1 gene:Dexi2B01G0008180 transcript:Dexi2B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQFNLQVAPCAAPIECNELNFQGLYLYHTALGPKANQTSIVESKGSMGATVVNNWAVYDGPGPDAKVVAHAQGLHIQAGNWLNSFSLVFENERFSGSTLQVMGITVESGEWAIVGGTGQFALANGVISKRFHEQRSDGNVIELTIRAFCPLLKGTRYPVTKIGTWGGPGGNPMDITEVSKRLESITVKSAPNVVVSFAFSYVDYAGQKHSVGPWGGSGGEPHTIQLAESELITEVSGTVNASISGITELITSIKFVTNRQTYGPWGEAKGTPFKVPVQPGSNIVGFFARSGIYLDAIGVYVSSL >Dexi3A01G0033910.1:cds pep primary_assembly:Fonio_CM05836:3A:38942711:38943899:-1 gene:Dexi3A01G0033910 transcript:Dexi3A01G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGLITEIGWTEFDFLSHGEESEVMAQLLVAFPSYGEESPQELPWSDQASEAYSGNIGSSLAVPSAYEGYYLSNSSEALRITSCTAPEDLSLVQEYGATEFVNMFSNHSLDFYGNGDRNCGDLDDPSMSMLDSVSATNKRKHLAEGVEGQRRGQKCARKGETKRTKRARQSRDEDASMAVASGSPTSCCTSDSDSNASLESADADARPKGKARAGRGVTTEPQSIYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVKFLQHQIRLLSSDDMWMYAPIAYNGMNVGIDLSLDRL >Dexi3A01G0028560.1:cds pep primary_assembly:Fonio_CM05836:3A:29400636:29401238:-1 gene:Dexi3A01G0028560 transcript:Dexi3A01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHRRRRRHGGSRGGAPPELRLAYGARARTLGAAVLSLLPPPLPQGAPCPACRGGAAAGCLACRRWAYLLRDGDPVAYRGLVTRAVCAVAAAPPPPRYTPGSAGHSQAKVPSPTAALLFD >Dexi5B01G0035550.1:cds pep primary_assembly:Fonio_CM05836:5B:35515568:35520577:1 gene:Dexi5B01G0035550 transcript:Dexi5B01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLSTVRWATACAALLNAAAASTGAAVAAVALRRCGGGGALGPASAVASAAAAARLLASAVAGFAQGAAASAIAAGAIGAHVDSERDLRQLSRTLSPEILWRWVLAMPHTFLDRTTSSTSCFCPEEFHERSEMVVAVGLLIANLDLYFTPSVLSLPFLVAASETLFFCEQLRYKRWLWWARFGMIITMLQFVLALYLMCIIMKDVLAGGPLKQCFSGQNQGNIDWKRILSVLEDDDICVVAKLLGDLMAYHASGTGHLELVAGLSLLQKSKSSTVISKELVEAPQDLIQEAVLFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGVLTPWTRARRPILEGDNCWRGHAAAFLKYANVAPEVLRKGRVSQTKREAAYFVVVLHDLSTVVIAVRGTETPEDVITDGLCKECSLTLDDLDGLINSDQLSPQLKNVVLSSFPHYGHAGIVESARELYTVLEGQPIHQDNSETAAAGFLSSLLGYGCECHGYNIEIVGHSLGGSVAALLGIKLYSRFPKLHVYAYGAAPCVDYVIADACSSFVTR >Dexi8B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:8B:18564102:18565601:1 gene:Dexi8B01G0010110 transcript:Dexi8B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGSASRQGRLLISPSLSTPTFSTRSPSPSPAASPAPHHHHERRNSTSSPKPLVPFPSSSSAGTYRPRSSTGGAGPRGAGASASSSSGAAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAVGLAAAYLLDALQLRQGAFFTVWAALIAADVAFFFSASLSSAAAASLPLTTLALLLCAQTSFLIGVWASLQFRWIQLENPTIVVALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNNRKQDAPMQDSDGILGPLESCVHSLYLLFVPVLFHAASHHATLFTSWGSVCELLLLFFIPFLFQLYASTRGALWWVSRDARTMDQIRMANGLVALIVVVLCLEVRVVFHSFGSYIHAPPPLNYLLVTITMLGGALGLAAHAAGKLLS >Dexi7A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:7A:21935347:21935909:-1 gene:Dexi7A01G0011460 transcript:Dexi7A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKISAFFKRKETDPDPNSGGGEEHGQGSGGGGGDPAEAKRKPKNGGGRGELVSKKRSYAQFHLELGQPDFVLHTCSVCGMMYARGNEDDEKVHKSYHKNYFEGIPFKVLLLSVSYPCASLESA >Dexi1A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:1A:6999029:7004489:1 gene:Dexi1A01G0008850 transcript:Dexi1A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKEDERHERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSVSMAKFTAQEVTSLQEGGNERAREVFFKEWDPQRNGYPDSSNADRLRNFIKHVYVERRFTGERTTDKPPRGKDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEVVDDRSGKTTPVQRFEDRRFSEPRKPDTGSPDFQKEADGSSPVVRPVRDILGDNAPQLRVGEPSKPAAEPPKPSVPRPIDPPKPVVAIRPIDPPKPNGTRTIDPPPLAKTISSASSIASSEGISEPVKVTNAVSLIDFSADPEPTAPAAAPQPASTTQQHPVNVHGPQPVLELDQSHNLFDAPFGISGNQASTVMSNQGPSVQQSPLAAPIAGQPSQRAPQFGMGYGMQYPAGVGMQAYPQAVFPQPSYQQPAYPQQAYPQPQPQPQPVKASNPFDLGNDPTPVQAHMPLSGPPGASVGAAPQTLLGTSSFGVPPQQPHQFYQSAAPPSHFMMQQAPSSMPQQPPNSMHAMQQGLGSFNMGFDQQPPRYPQPSTPPSYGSVGGSNPFG >Dexi9A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:9A:3134594:3136651:-1 gene:Dexi9A01G0005610 transcript:Dexi9A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDESNKKNKLSWSKSLVRKWFNIKTKAQDFHADYDAGQGRDGHGDEWRTSCSEREAGTAKKSRTERLSKRSMDRILGGRNDFDATRLTEVQNYRIFASTWNVGGKSPPRGLNLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDHLPAKKWVSLVRRTLNKNPGSCCYGGYRTPSPVPDPVVELDADFEASSRRQDNLSFLHRRSFNLSRSLRVEGNHMPSHPRLDRRFSVCDPVSLGSRPSDFDGNLPFMGSPDDQCIEEDTTNGTYFSPLPSGPMEENENQPNKS >Dexi9A01G0047070.1:cds pep primary_assembly:Fonio_CM05836:9A:50290562:50291922:1 gene:Dexi9A01G0047070 transcript:Dexi9A01G0047070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAWPGALAAAFLLGAAVGVALAAKRVPIPDDLRDVVDDEEDDEWRSWGASHSRGGDGPPPDLSRMDPDALQAELLRGQTGPSFGFVKLRPGTRRSREDVAGIATRWSNVLRTGSVETKFVAVDFGTLMFTMEKGRDILELKEFILSQTEAYEFKIGDKIFRRHGDPPLDQVLEKLQKGKSHKSKEEL >Dexi1B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:1B:22187465:22190426:1 gene:Dexi1B01G0015590 transcript:Dexi1B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATARRRVRWVKEWVPQDLVVAGGPCALFKWVREDRLAALKIRDKEQGAEPATPEPNTEVLFLCSYEGCGKTFFDAGALRKHAHVHGERQYICHYENCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKVAGLQNPGASTVNHNALGDYHQPPKPVKVSATPPAPSAERPYVCPYEGCNKAYIHEYKLNLHLKKEHPSHFQDGGPQGSAPSKRVSKSSRRSKPDITTRMPPVKAPKRRGYAAPLPAINVPDENQWSRIATYEDDSEETEEEGDNNVEDGWRYNKAVGSDDEETEDEE >Dexi6B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:6B:23382440:23383368:1 gene:Dexi6B01G0016110 transcript:Dexi6B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVESMGFLPFAAVATIATLLMLPSSIGGAAPAAAAATGPEGSIINCTTSCGNISIQYPFGIEPGCYHGPGFNLTCRNDAASHAHGHRRLPKLFLGDGTVQVLDISVEDSTYNGSDRSINQTWGLGIPDSGPYFLSESTNILEAIGCNIQVSILGGVNNSLISSCSAICPPVNNEGWRVSNGTCTGIGCCQASIVLGYPLYNIQMNWLGPPYIPLSAYIVDKVPTAIPKTVLHSSVGISANAMMVIKEIHMFMVGVKVK >Dexi4A01G0021880.1:cds pep primary_assembly:Fonio_CM05836:4A:25275958:25279137:1 gene:Dexi4A01G0021880 transcript:Dexi4A01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNLPCSSSSTSKLPVPFFGLAFVLLFSSASLVSSCTEQERNSLIDFRDGLSWEGNGGLNMSWINGTDCCQWDGITCTNGVVTVVILASKGLEGEISPSLANLTGLSHLNLSRNSLYGSLPANLVFSSSITILDVSFNHLSGPLLEQQSSIPGLPLQVLNISSNFFTGQFPSTALEVMKNLVALNASNNSFMGPMPSSICNNALSFAMLDICVNEFSGTISPEFGNCSVLKVLKAGQNNLTGALPHELFNAASLELLSFPNNNLEGILDAFNLVKLRNLTILDLGSNGFTGNIPDSIGQLGRLEEVHLDNNLMSGELPLALGNCTRLKYITLRNNSFTGDLSKVNFAQLDLRIADFSTNKFTGTVPESIYACSSLIALRLAFNNFTGQFSPRIANLRSLSFLSITNNSFTNITDALQKLKSCKNLTSLLIGTNFKGETIPQDEAIDGFENLQVLTIDACPLFGEVPVWLSKLTKLEILDLSYNHLTGPIPSWINELKFLFFVDISSNQLTGDITTTLMEMPMLQSEKNAAKLDPKLLELPVYWTQSRQYRVLNAFPSVLNLCNNTFTGPIPREIGHLKMLDVLNFSSNSLSGEIPQEICNLTNLQTLDLSNNQLTGEIPAALSNLHFLSWFNVSNNQLEGPVPTGGQFNTFTNSSYGGNSKLCGSMLSTHCDSVKVSPASMRRRHKKTIFALALGVFFGGLAILFLLGRLILSMRSTKSANRNKGSNSRDIEATSFNSVSEHLCDVLKGSILVMVPRGKGESNNLTFSDILKATNNFDQQNIIGCGGNGLVYRAELPCGSKLAIKKLNGEMCLMEREFKAEVEALSMAQHENLVPLWGYCIQGSSRLLIYSFMENGSLDDWLHNKDDPNSFLDWPTRLKIAQGAGRGLSYIHNTCKPHIIHRDVKSSNILLDREFNAYVADFGLARLILPYNTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVQVLTRSKELVQWVREMRSQGKDIEVLDPALRGRGHDEQMLNVLEVACKCINHNPGMRPTIQEVVSCLNSVDMDLQVHM >Dexi9A01G0035660.1:cds pep primary_assembly:Fonio_CM05836:9A:40243059:40243405:1 gene:Dexi9A01G0035660 transcript:Dexi9A01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRLKPSMTCHGTAAGGGKNGGGAATLRRLGPPVRDSRRFLWGATEDTGEVRGEVIDVLFGGVDDANVTENLPWQQPVDVVELVMHVRAVAVVGHCELD >Dexi1B01G0023220.1:cds pep primary_assembly:Fonio_CM05836:1B:28879152:28887610:1 gene:Dexi1B01G0023220 transcript:Dexi1B01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERETRPARLARMTPSPASASDYGMLGRRLALLPAAAGARGAATTRARMTVGCVLLDHMAPKLAVASTALVGAREVIAAAAAAGAGGSGAAHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYQIFEDPKVQKAIVFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVVDDTSESLKTIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEVCSLPLVFSACQANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPVPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQIFKKIRSELTLMWNRTGKSKSMRRSSIRSELLASMKDRHMTSIHDLFSSPNQEKTNMKDLLQAVLPFDIFLDRKRRSYFLSNLNSSSGEPIPNPKIVEDAAVALASLASCEEELERELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKDKGIRQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEHGLAAHWLYKESKVEYRSGMSKRIGQSTSYSSSSSEDESSIQDDIPSKYSSLKVGHPVLRIEGSHLLAAVIVSIDKGGKELIVAVSFSLEASEAVAQRRSSFQLKRWEAYARLHKKVSEKWWCAPGHGDWSTNLERLSEYKTDLACKLGIIPQSENTRLIQQDQFGRLLPTFIQIIDLTEEEEEEYWMVVSAIFEGRETSNLPSELSYTEKSSDPLSSTPLSDPINNKVRLLRTMLQWEEQVRRDVSLAEKSLGVSTCTKPILREVAIIFWPNGKIMRMSTGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRV >Dexi9B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:9B:8927416:8932745:1 gene:Dexi9B01G0013260 transcript:Dexi9B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPPRSLSGGVEPKIRQVGFFTPDASAPSEPLAPAAAAVPAPSTKQGAAAGSPPASDDLSPGRLSPVMIPPPRHADHLAPGSPSPVVADSILATSAPARSSARLDVASEIADDDSWSRAPSSVELEENKRSLAGNEGAPTSIPQKQKTSKAERRAIQEAQRAAKAAAKEAGKSASAAAAAGPVTSKQAKSAKTAKKEVPQAASTVASEKKITERLPERERKLDAPHPRMQFDDVHKVEKAKKRAVVNQLEARNRVELFRHLPHPNDSLTHVGFTMFQVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYTTPPNKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSRIAKLPHTLSESEAKASLQSDIDRFINEKIVVADKAIVSHAITKVRDNDVLLTYGSPSVVEMILDYAHELGRKFRVIVVDSRPKLEGQGLLRRLVAKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKAEDHLKNWAENTNLQLLNLTYDATPPDYVSMIITDYGMLPPTSVPVIVREYRKEQLWV >Dexi5B01G0024420.1:cds pep primary_assembly:Fonio_CM05836:5B:26461137:26464970:1 gene:Dexi5B01G0024420 transcript:Dexi5B01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAVISVNGKMEVEERQAQKSYWEEHSRDLTVEAMMLDSRAADLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTGELAKTAGNLLALDFIESAIKKNESINGHYKNTSFMCADVTSPDLVIEANSIDLIFSNWLLMYLSDEEVEQLVQRMVKWLKVFKECHAFDQDGNSFELSLVTYKCIGAYVKNKKNQNQYKTSGILRYERIFGEGYVSTGGIETTKEFVDKLDLKPGHQVLDVGCGIGGGDFYVAEKYDAHVVGIDLSINMISFALERAIGRSCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKNAGFHDVIAEDRTDQFLGVLEKELAKFEKNKDDFLSDFSQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATKK >Dexi2A01G0035700.1:cds pep primary_assembly:Fonio_CM05836:2A:45261523:45264960:1 gene:Dexi2A01G0035700 transcript:Dexi2A01G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSCAESKETDADDAEASLSLPVPKLNLSASKSPLNSSFGKENSSPTLSENENLLKDPDEIDQAILARAFQRSSKARPVHQSNMLFFPVCHEDHWSVFVVDIKDKKYVVLDSFFTGSDEYQQILSEKMVSFTLVHSLIVFYF >Dexi2A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:2A:1770176:1770658:1 gene:Dexi2A01G0002270 transcript:Dexi2A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVATVLVTPCTAQNSPQDFVDLHNAARAEVGVGEVTWDDTVAAFAQSWAEHLAGDGGCGLQHSSGSGYGENLYGGPGGDWTAEGAVGLWVAEKQWYDHDSNTCSAPEGDTCLHYTQVVWRDSTTIGCGRAECGGDAGGVIISCNYNPPGNFEGQSPY >Dexi4B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:4B:21682543:21684729:1 gene:Dexi4B01G0019510 transcript:Dexi4B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSKKQPSTGAGSSNDRPMCVQGDSGGLVLTTDPKPRLRWTAELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHTAMEMQRNVASSSGVIGRTMNDRSVNVNEALRIQMEVQRRLHGELEVKRSSDLFACLHDMQVQKHLQMRVEAQGKYMQSILEKAYQALGTSDCATWPSGYRSLGNQAVLDIGSSTGFSSIPDMHFYGGSSHMDQLLQQMDRPMDSFLTLGDSFIGSSADKKGSNHCSSSGNKSSMMWTSEEQQQAKSCNDQLQMGSSTTMEGGIDVMDPIISLYEGAMSGDSMGSKGFEGSSSKLEMKSPPQQAPVGSQRVRI >Dexi7A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:7A:24537258:24542695:1 gene:Dexi7A01G0014570 transcript:Dexi7A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRPGGLCIKPHDHRFANSLSVAWVERYAALLRVVLPVLGSTDSDHILEFVTDKDAIFANLFGVKWIEMFGRVPRRSNNTKYYEVLGVSSSASQDELKKAYRKAAIKSHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDGLKEGMSGRGGFHNPFDIFEQFFGGGSFEGSSSRARRQKRGDDVIHSLKVSLEDVYNGATKKLSLSRNVLCSKCKGKGTKSGAPGTCYGCHGVGMKTITRQIGLGLGMIQQMNTVCPECRGSGEIILERDRCPSCRANKVVQEKKVLEVHVDKGMQHGQKIVFQGEADEAPDTVAGDIVFVLQVKEHSGFKRKYDDLFIEHTISLTEALCGFQLILTHLDGRQLLIKSNPGEVTKPGQYKAINDEGMPQYGRPFMKGRLFVEFNVEFPESGALSPDQCRAIEKVLPQLPRGRLSDMEMDQCEETIMHDVNIEEEMKRRKYQRRQEAYHEDEEDAGPRVQCAQQ >Dexi1A01G0019180.1:cds pep primary_assembly:Fonio_CM05836:1A:26346262:26348648:1 gene:Dexi1A01G0019180 transcript:Dexi1A01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTSDAVRSVCTEVATDLQILVDGCVYHLHKFPLLSKCMLLQALCADSGGGGDAVELPGFPGGAEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSESADRGNLAGKLDAFLASCLLRRWKDALAVLHSTRHHAPLCEDLGLTSRSVDAVAALIVNPDTPSPAARSTSSSASPWWAHDVAELGVDLFWRIMVAVKSTGAVHDKTVGDALKAYARRWLPNVVAKDGQAFDVDGDDAGDVEDVKRMTTRHRLLLEKIVSLLPTEKDAVSCGFLLKLLKAANILSASKAELVRRVAWQLEEASVADLLIPSMSCVAGDTLYDVDAVAAILDEFALRCAAAISPAPAMAMSGTSTGDEEDDSPAGAMAHHRRSRSAESVSFDGTRRSVSAAPVSQSALARVGKLVDGFLVEVAKDPNMPLDKLLAIAEAVPDCARPEHDGLYKVVDTYLKAHPEMSKSGRKRLCRVLNCRKLSEKACAHAAQNELLPLRVVVQVLFFEHARAAAMASGGNGAAAAAELMPSNIRALLSKASGSSEEDEAADHRAVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRTKLAEEEEEEEDDGEFARKAGLARSASMRFRAFCAIPAGKPKRMLSKLWPLGRSGVSH >Dexi2A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:2A:9399620:9402942:1 gene:Dexi2A01G0009200 transcript:Dexi2A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHIFSILAVVATMVVSSYPHPFDPLSAAELTTVRAAVIASPLVPARPLFFHYVGIDEPDKSNVLSYTYGTTTTSPTLPRHALVIARAGGQSHELIVDITNASAPVVVSHAIHLGPGFPRLTVQDQTAAMALPPEYPPFIESLRRRGLNISDVGCGVISRGWFGSGEPSYGGARVAKMQCFIYVVGETPNFYARPLEGITFVVDLDRVAIIGYKDRVVEPVPKADGTDYRSDKVGPPFTGPVAAPGVVVQPEGRGLHIDGHLVRWANWEFHLGFDMRSATVISLASVFDADAGIRRRVLYRGFVSEIFVPYMDPEEEWYFRTFMDAGENGLGVSASSLQHGTDCPANAVYFDGYYADTDGKPVMAPDVICLFERYAGDIAWRHTENAVPDGPITEVRPDVTLVVRTVVTDGNYDYILDWEFKTSGSIKCVVSLTGILEMKATSYTNVDQIKEDVHGTLLAENTIGVYHDHFITYHLDLDIDGTKNSFIKNTMVSVRNTGNPATGGAATARRSYWTVHREVVETEAEGQVNLNDAPADLLFVNPSKRTHVGNLVGYRLVPAVATATSLLADDDFPQRRASYTKKQVWVTPYNKSEKWASGLYTEEGTGDDNLAAWSKRNRRIKDEDIVLWYTVGLHHLPYQEDFPVMPAVSGGFELRPANFFERNPLIRTKPPGHGYSNCSCSIRSE >Dexi7A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:7A:16694429:16695655:-1 gene:Dexi7A01G0005430 transcript:Dexi7A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHLPHDFPFPPVMCASSLFSDEYAACCAPTTAPAAGVADTTVLSDFPASDLTGNYGFLPRKRARVAALPEPGGFFVDQRVPAQGLMPLPVTPGNVQSRVGSGAASTSGRVAVHGATLPSYHDEGDEIDALIRLERLRHAVCFA >Dexi8A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:8A:26642722:26643627:1 gene:Dexi8A01G0015540 transcript:Dexi8A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEWEGLAPMFVASGTSGMSVARRSTLTFEQSAIVATRTCQEWEPEAFLLAARLLGKPLVPLGLCPPSTDGGHGPGMHRDDATVRWLDAQPAKSVVYVALGTEVPLPVELVHELALGLELSEVRFLWALRKPGGIVHDDNVLPPGFEERTHGGGHGLVTMGWVPQVTILSHSAVGAFLTHCGRSSLMEGLLYGHPLIMLPIATDQGPNARHMEGRKVGLQVPRDENDGSFDRHGIASTVRAVMLEEDARRVFVVNALKMQEVIADTELHERYIDEFVLKLRSYSTIDSNSSNVTPHIDP >Dexi2B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:2B:790798:793404:-1 gene:Dexi2B01G0001230 transcript:Dexi2B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESVIRNFTRHILRGLAFLHGQKIMHRDIKGPNLLVDVQGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLMKDIGYDLAVDIWSLGCTIIEMFDGKPPWSDLEGPAAMFKVLHKDPPIPENLSHEGKEFLQCCFKRNPAERPTASELLDHPFIRNSSHYNKHEWHTYDGTAVLQERDNKPTSKSDSSGKGKSANIEPSRAARSSESAFRLAPLTIQEATQNFSPRPLCFSSNPGSTVNFVNTMNFPSANPQPSPLPRPTGKEVLF >Dexi1B01G0017570.1:cds pep primary_assembly:Fonio_CM05836:1B:23923788:23926890:1 gene:Dexi1B01G0017570 transcript:Dexi1B01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHIKFSQNYKMPEMRSATRPALTDISGGGFFIRKVASPGAVLVKGAVQPLARQARTPSSNKENVPPVGALAAPKIRSPLPDWYPRTPLRDITSIVKAMERRSRLQDAAARQPILWTEDSSRSVGPVTPVQAESMPTTEEAQALATPATSLANGKLKTSSPSDCSLQATPYKPIDPALSELTEKKLSSSIEQIEKMVRRNLKKTPKAAQPSKRVVQRCILMSMR >DexiUA01G0023430.1:cds pep primary_assembly:Fonio_CM05836:UA:47861290:47862137:-1 gene:DexiUA01G0023430 transcript:DexiUA01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLAQLHDIITSSKTANFNEPVEFPWMVDGAGVPKNAVQLLTNLAELTKQITAQVKMLSDDEDEKAAIDIDPPNHHYDQAKALGKAEIDMDRISAGLPCGCESFLLMFARWKKLERDLYNERKKRFDTTQIPDIYDSCK >Dexi9B01G0042410.1:cds pep primary_assembly:Fonio_CM05836:9B:42640824:42645344:1 gene:Dexi9B01G0042410 transcript:Dexi9B01G0042410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQASRPPVASNSGWKPALCVVLVELFNTGTILLSKVALDGGMLVFSLLFYRSILGAVFILPFALFFESDKWRDLHTKAFGWLFLNAFAGYSLPMAMYYYGLRDTAASYAVIFTSLTPLFTFVLSILLRMENLHLKSKEGSSKVIGALVCFVGALLISLYKGKDLHLWHEIIRGSRKDSNGAAGRHHLRGTLHFGTPYRYV >Dexi9B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:9B:15050778:15054149:-1 gene:Dexi9B01G0020310 transcript:Dexi9B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTRSFSRPALACASTRLRDAVADDYPWRRFYAEDLGLDAPVDPGGQPLPSFQYPVLSPGEDESVYESCMSLPEGPQSVEGSFSFVPGRLSYPVGKPFEVAVAPFPLEVPEYIF >Dexi5B01G0036510.1:cds pep primary_assembly:Fonio_CM05836:5B:36218060:36221173:1 gene:Dexi5B01G0036510 transcript:Dexi5B01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVDVPAPAATNGGACCHAAKGPGYATPLEAMEKGPREKLIYVTCVYNGTGINKPDYLATVDLDPNSPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRAPSLHKVVEAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAIDVKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPFLAGQVWVGGLLQKGSDVVYVTDDGQEKQYNVPQVKVISPLETFRWDEQFYGDDLVKKGSHMLQIDVDTENGGLAINPDFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >Dexi2A01G0030170.1:cds pep primary_assembly:Fonio_CM05836:2A:41159479:41160179:-1 gene:Dexi2A01G0030170 transcript:Dexi2A01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQGDARKGSLATSLSFSNCRSSTRILGRKRVAVSPTPASRSPHSPVRTLRKQRSVRFHMDDTVSLLECLPQDVLIKVLCKVNHSDLRQLLLVSKPVSEATTVARELHFKFATPLSKAGFRGDEDGEDEDGPGAPRQHRVARSRLRGKNLEGIAVNLSASFESLLSGV >Dexi6B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:6B:5761925:5763450:-1 gene:Dexi6B01G0006150 transcript:Dexi6B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPLALSKPDDQAAGKDWSELPLDALVQIFARLGAAVEILMGSGLVCRSWLEAAKEPELWRSVDMASHRVVEEMKGDVLRAMAKVAVDRSMGRLEVFLGKYFVTDGLLKYIGGRSASLKILNLISCHEVSNKGFAELVTKSPLLEDLSLELCPKIGGRSVYESTGKACPQLKRFSLRRECFRFSLNYPRRVAEALGIAAMCELRSLSLTSSNITNDELSS >Dexi4A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:4A:8904665:8907815:-1 gene:Dexi4A01G0011020 transcript:Dexi4A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTYTTVPKMRVTRLSSTTLLAFLGTQDAIFRHAARAIRLADHRAVRCGDGSSLSWTTDSRIPGGTAFHLPISSSDFFDAWRFAGGSGESPAAAAAAAAAVESVRRRRRPGKVVTEMRGEGRARVGGAPARRHGRHGRNGLGSVGRSISPVEKVLEGGDARADESPEVVCGVEEL >Dexi2B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:2B:8036244:8037230:1 gene:Dexi2B01G0007850 transcript:Dexi2B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQQAAQDRDYKLMKKAVDEAYRAVKTGEGAPFGAVVVRGNAVVASCHNMMFKNTDPSAHAEVTAIRQACKRLGKLDLSDCEIYASCEPCPMCIALIRSTKIKKVVFGAKAEAAVAAGYDASIPDAFVEYYRKSGMEIRQVDGEAARIAERVFEKAWEIPDEAMQRSRTGVDGWFEKAKGMVKCSGFCGLWN >Dexi1A01G0025510.1:cds pep primary_assembly:Fonio_CM05836:1A:31692164:31697174:1 gene:Dexi1A01G0025510 transcript:Dexi1A01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPLPSIPHARRARVRSLPDSPRSGSGHAAAWRPAAADCCHRDPPPPGPATPCHLRLAPPGAATSQHLPPPPGTALASDARPPLAAPTARRNGSSPPRGGTGAAPTQQPPPRPATFPRRAPSASMALSHLSRRLLSPTAAAAVHLPRTFPHGRDPFVLLHPGRRFLSASANPSPNSSTASASEPNPGAPTTPTSPDEMRHQEIEGPTVERDTSPLADETRGELDALRRNVLRLSGSLALLGGAHLAAGAWIAYGAPPLGVESAAAVQGVAAFAFPFTVALVLRRAIKPIAFFQKMEANARLQVLTLCLQATKNVNLMLLRTRVMAIACALGVSVASVAAILMR >Dexi2B01G0022150.1:cds pep primary_assembly:Fonio_CM05836:2B:31829195:31829743:-1 gene:Dexi2B01G0022150 transcript:Dexi2B01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLISFVYGTIKKRRRERRATEYNRLSSGGAPSTQRRSESERYITSPAYGGGGGRSQSCRLVARPPADDFDLSHGERSLQLGGRATQEEGLPENERRLSSRSRRFSSSLRGLFGFRGLPEKEPFPPAGDERRLSSRSRSSLRLFGFGGLPEKEPFPPAGDGRRLSSRSRRFSSLRLFG >Dexi4A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:4A:17082441:17083013:-1 gene:Dexi4A01G0014720 transcript:Dexi4A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHSAMQGGGTGTGTGTGTGAAAQEWEWFYASGGQPDAEQGVVVDGAFLMELLADAPVTEQAPEDVDRLSHVIRSLEAEIGGAGPPSSAPEDGESKVEHVAGEDDLSSGGLEEYYMMLDLDASIIPGACVAEPPLEYWTEVPAAVVEHDMCGWYVDGEGAMVGYEFREQGYYGYSGSPQVEHVYSPLWE >Dexi9A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:9A:14632781:14633270:1 gene:Dexi9A01G0019730 transcript:Dexi9A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACYGTFEAFRYRIPGLLKIRYIGQTTVGSAAIFGLFLGAGSLIHCGKSY >Dexi2B01G0032440.1:cds pep primary_assembly:Fonio_CM05836:2B:40321451:40327979:-1 gene:Dexi2B01G0032440 transcript:Dexi2B01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRKYAMSNQAPDIPQILLEAQNRWLRPTEICQILSNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEIKGGKQNFSRAKEAEESAGLSNVDSPACSNSFASQSQVASQSMDAESPISGHISEYEDAETDNCRASSRYHPFTEMQQPVDGIMMNSLLGASAPSASVNNLGKPLTLSSKPTPANFTNHFVAHNDIASAFNETGAGIRGGPKNPIDSMRFGEPFPEYQGGFTEPTLYSSVATMGNSLDESLQTFMSEALYTNNLTQKEVDELSAAGITQAENDGYRDQSARYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSNELPEVADLDIKSSSDAFWSTTETVNVADGSSLPINEQLDAFVVSPSLSQDQLFSIIDVSPSWAYKGTKTKVLITGTFLAKKEDVENCRWSCMFGDVEVAAEVLVDGSLRCYTPVHHSGRVPFYVTCSNRVACSEVREFEFRDSETHYMETSDPHTAGINDMHLHIRLDKLLSLEPEDYEKYVLSNGNKSELIDTINSLMLDDNLSNLALSSDEKELSTVRNQNVEKQVKEKLYYWLIHKIHDDGKGPSVLGKEGQGVIHLVAALGYDWAIKPIVAAGVNVNFRDIRGWTALHWAACCGRERTVIALIANGAAPGALTDSTQQFPSGRQPADLASENGHKGIAGFLAESALTSHLSALTLKESQGGGNMDEICGLPAAEDFAEPSSTQLACVDSQAESIKDSLGAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLVSLKNAKPGHSDMPMHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRKVVWSVGIVEKIILRWRRKRRGLRGFQIEKQLEGSSSQTLQIQPAKSEAEDEYEFLKDGRKQAEGRLQRALARVHSMTQYPEARDQYRRLQTSVNGLQESQAVQDSMLSDSGGADGGDLMAELEELCRGDGDATMSNIS >Dexi1B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:1B:2115078:2117169:1 gene:Dexi1B01G0002650 transcript:Dexi1B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSTVPTTIIKDPLMANSIILVFANKQDLRGAMSTDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQESGHATSVAGPSI >Dexi9B01G0028740.1:cds pep primary_assembly:Fonio_CM05836:9B:31326220:31326653:-1 gene:Dexi9B01G0028740 transcript:Dexi9B01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTQITHLSSQDRLTSRRVKTKITGVSSRPQKRSADPKPTGHWVPSHEPTREGAPHVTTRSIQRFVIVSHHAKAAPRVGRSRTHTPVTGELAGISPRELVLSAAPLKARLVSSRRESRGRAPARSASKAGDASPIHPTLL >Dexi9A01G0040020.1:cds pep primary_assembly:Fonio_CM05836:9A:43880598:43885632:-1 gene:Dexi9A01G0040020 transcript:Dexi9A01G0040020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASQGISLRSPPAGLRGHGGSRSSSVTAAAASRGWGQPHAGQALSISSARYESAARPRRGGSSSSSSSAIRTAASTGAHPGGDRVPAEPRIELPAVFTLFSESAKTGAAFFIASSGAAFLLGSFGGFDGGAGGLFGGGGGGGGGWGAGGGGAGGGGGGDFWSRLFSVGAANADDKSSADWDAHGLPVNMTVPLTKLSGLKRYKLSELKFFDRAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEVKPKPDNTIGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTNEVVCEVVEGDITKVEYQFQDKLGNIVEGNTQLPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWIDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAIIGDRYIFQLDQGLGIGSKNPFFNRHQLTMTKFINLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFALGGPYSVRGFSNGELGASRNILELATELRIPVRNTHVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGLVRGEYIVDHNAGTGTIFFRFGERF >Dexi1A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:1A:26044393:26047699:-1 gene:Dexi1A01G0018890 transcript:Dexi1A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVLHKAERKRCVLSASISSPPESSICSDCLIDFPALCPCPRFLIPPCYLHSVAAAGCRRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTCVCIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTRDNNIRLADFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKATDMAALVNKINRSSISPMPPIYSSALKQIVKSMLRKNPEHRPTAGELLRHPHLQPYLAESCTYSPIYLPVKPTKSNMGDKQSKKPSSGRKRTVKANGSNGIIEAAAEHTVEGRDSSTNFSDASTIGTQEALILQMPTDLDARNKEQQNSEVLSFRHAEENLTTTTDRNIDSTIRLKAIRTSNMKDEAPVSVLNQNPNEAPIPNEELTIGVVQEERKDVKPRSYQVPKPSSGDTTMTEESSPISTLKLAHTESAPAEWDHLNIVQQRADALESLLELCAKLLEQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMSPPKFGESPTKLL >Dexi5B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:5B:6918072:6922725:-1 gene:Dexi5B01G0009920 transcript:Dexi5B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSAASASSSSSATRPPAAAGAAPGGGAAASPESYIGSVISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPQAASLHSDPAIIQSHYSQPASTSTSLPSAGGAVLPDLSSQAAQYGLQRPSFQSNLPPWASPAAPQPGIVTPWGAPVTPQPGSASTLSVPSMYWQGYYGPSSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLDPSLPSGLQKLSELQPSLMPPVTSQGPSSSILPATTAPASAALLAPESSKPLLLNMGSLFTPPVTSLGATFPFPSQPTSAAETNATVSHNLTSFGSSKAAGLLGSTLAYQTASQSVSSTVAPSSSSQVEMPVPLLAPSGQLLQNTASMLSSSHSMQTPLQIGSKEAKLVEPKAKVAEPLLPDPLLPDPPARALPDNKEPILPLPKQTPQKYNGSGSHNHHNYRGRGRGRGSAFSQSVTAFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGEIGGDVFDEDLVIEETENPELAVKPVYVKDDFFDSLSSGTFGRGGGPNGRGRFSERRRVDTEVQRLVSFQDIDSPTVVVPVVTVAVAVPVVGTMVAEAMETWGRVAMETWGQVALEIRILSVAGRMGEIEFSSRRVHPAVLVGVSLCSLPYA >Dexi3A01G0022880.1:cds pep primary_assembly:Fonio_CM05836:3A:18507480:18508145:1 gene:Dexi3A01G0022880 transcript:Dexi3A01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKHHQIDGDGPMKKTSSPLPQPRSHLLILLFLTAAAAAMAAETEQNAAPAAAQEAAVHIVYVDRPEGADPEEFHLRTLTPVLGSEQKAKDAVLYHYKHAASGFSAKLTPQQVEELKR >Dexi9B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:9B:12312908:12313407:-1 gene:Dexi9B01G0017500 transcript:Dexi9B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVTSVVVLATVAAIATMVSPAMGTSGTATFYTPPYTPSACFGNAAEGTMIAVASEVFWNGGAACGDSYVVTCTGATNQGVPHPCTGQSVTVRIVDLCPTGCRGTIDLSQEAFAVIADPDAGKILIDYSRY >Dexi2A01G0029970.1:cds pep primary_assembly:Fonio_CM05836:2A:40972524:40974632:-1 gene:Dexi2A01G0029970 transcript:Dexi2A01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGENEGHSVPAVQRYHPIRVLTLTYQPFILIVSAVLTYHEAKVNTRLRNLAGYTLFFLCSLALVILDLLISERGGIAAFIGVCTIVAVFGIGGGSVEGALIGDLSLMCPEFIQSFSAGMAASGAITSALRLVTKAAFENSRDGIRRGALLFFSISCFFALLCLLLYAYVFPKLPIVKFYRAKAASEGSLTVMADLAAASIGRHPNPLVREGPAPPERLSKKQLLAENVDYALHMFLIYALTLSIFPGFIAEDLRSHSLGSWYALVLIASYNAGDLIGRYLLLVECIKLTSRKGLSVAVLARFLLLPAFYFAGKRGGEGWMIALASILGLSNGYITVCVLIEAPKGYKGPEQNALGNLLVFCLLSGIFVGAGLDFLWLLGR >Dexi9A01G0039280.1:cds pep primary_assembly:Fonio_CM05836:9A:43315628:43317910:-1 gene:Dexi9A01G0039280 transcript:Dexi9A01G0039280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGYGARGPSSTAIAPDAAPGHSSAATPSLTLDQLLALRSPSSVSKATTDTSGHLVVDVHTTATDDDGDGSSNGPDHDGFIARPAVHFVLAFDDLTYSVKRPRSSFRRSPRHDNVVGADDSRTRTLLEGISGEAREGEIMAVLGASGAGKSTLIDALADRIHRESLRGSVTLNGEALDGRLLKVISAYVMQDDLLYPMLTVAETLMYAAEFRLPRSLSRSKKRSRVQALIDQLGLRAAADTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGRTVYYGPPAGLPLFFSEFGHPIHDGENPAEFALDTIRELESTPNGTKELVEFSKSWQEKPLSRTISAAASTTGPDHTPSLTLKEAISISIARGKLVSGASSGDGSSSPAAAMNTKVATYANPWWVEVWVLSRRAFTNTRRTPELFLIRLGTVTVTAFILATVFWRLDNTPKGINERFGFFAIGMSTMFYTSADALPVFLTERYIYIRETAHNAYRRSSYTLSNALVSFPPLLLLSLSFAAITFFAVGLAGGAQGFVFFALVVLASFWAGSGFVTFLSAVVPHVIIGYTVVVAVLAYFLLFSGFFVTRDRIPRYWIWFHYLSLIKYPYQAVMQNEFGADPGRCFMRGVQMFDGTPMGKLPVETQVNVLNAMSRSMRVDFNSSSCITAGPDILAKQAVTDLGKWACLGVTVAWGFLFRVLFYLTLLHGSRNKRR >Dexi9A01G0025390.1:cds pep primary_assembly:Fonio_CM05836:9A:24518609:24520445:1 gene:Dexi9A01G0025390 transcript:Dexi9A01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRSMAVLVLLFLVMWRREGEAATFTFVNHCTDMVWPGILSNAGSARLEPTGFELAPGAARAVAAPSGWSGRMWARTGCTHDGATGRLVCATGDCGSGAAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGGPSGGPTAACAAAGCAADLNAMCPAELRAGGGAACRSACDAFAQPQYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGRPDYTITFCPGATPSQKSTTMPGTTPTTTPGTTTTTVPGATPTMVPGTTSMPGATPAMPTGTMMPGTTFTDATPDSAMPMGSGGLGIEGGAGEQGSVLLGSSSSSEGGVSWLANMATGDAAAAAAPPVASAAQLVAAALATLLCLHLRQFLL >Dexi9B01G0047290.1:cds pep primary_assembly:Fonio_CM05836:9B:46454988:46459171:1 gene:Dexi9B01G0047290 transcript:Dexi9B01G0047290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKKSHKLPEFIKLRDGNKTLYGTGSLERASIEQWLQAEAQSFDAPSSELVFQLAFAPHLKDVHPDEARIAENEKKLQSMLGVYDEILSKHNYLAGDEFTLADLSHLPNSHYIVNSSDRGRKLFTAKKHVAKWYEKISSRESWRQVVKMQKEHPGAFESVLAGGWWLHSIVRLHIYRDVVVEFVVSYVLEMASVKVFGSPTSAEVARVLMCLFEKDVEFQLIRVDAYRGPKRMPQYLKLQPHGEALTFEDDNTTLCGGGNGTEMLELIFVAESRGILRHISHKYAKQGNPDLIGTGALERASIEQWLQTEAQSFDAPSAEMVYSLALLPPNLPKQHNDNGNGNGNSFNGSSGNNSFNVAVGNNNNNTNASFPTKRVAAGSQQQHGSAQKEEEMLKLFEQRKKDLEKLLDIYEQRLEEARYLAGDNFTIADLSHLPNADRLASDPRSRRLFESRKNVSRWWKDVSGRDTWQYVKSLQRPPSNSNDMNRQQQQQQQHLHSTDDHNKNQQQQIHQRY >Dexi5A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:5A:4875347:4875784:-1 gene:Dexi5A01G0006640 transcript:Dexi5A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETAVVPIDVTSGGRGGGGGGGEDQERPKSERHRSRGPGHHGRHGPNQRGRPPPPPPPVFRPFRRWFPFLVPLFVVANVALFVLTMFAFQSFKENPLVGPSSAT >Dexi3B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:3B:4213179:4215052:1 gene:Dexi3B01G0006100 transcript:Dexi3B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILRAAASSSPPWADLPAELLGDIAGRLHATADYVRFHAVCRSWRAVHVEEEDHKPRLPWLLAPSSSTFDDDQQLEEQRCRCVFSKETYRMPGICDVRDRRVACTSGAAGWLEVTGGGQHSKGVVVRLVNPLTGKQEQVPDERIISTEWLGRRHRIISGAGAVVLVYELDDLNPPPDYDEYPRHRRFRASFLRPGCKRWLSVSSYLRYTDRCCAVAYYRDSFVVCVGLVHCHVLKPYGAPTSGVHGTREVRAALPGQPAGKVRRSSYLVERDGGGLLLASVLQDTSTGGLSVSLHELRLENNGGQDHELAVEWVRRDDADMMRWLGDHVLFLGFPASFAAKAAPYNGEVSGGTAYFVIESGGQGRRRPLSVAKTCSVYRYSFLNNEATLVETLPPGWHDARCLWFLPRPQIQTRFEHQKEGSGEPPGNFAGESDGARQQMQLRIYAGDLSPKVDNARLREMFSVYGKVATARVAYDKRGRSRGFGFVTMATQDGFDKAMAALNPVVKEEPDDSFDFIDVCLGLLVRSQVFGTGCTP >Dexi9B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:9B:8393946:8400373:-1 gene:Dexi9B01G0012490 transcript:Dexi9B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPARRAERSRTTKTRGVHAKRSTYHHVGCVSFGHAAFFSLSCLPGSPTSLASPPRSPLLLPSRPGPDLLFPPTPRRLPTACAMQPGPTSPDETPAPEMPEEDAAQPDDAAAAEDAEPAEEEEEQEEEERKERRSARGRKRRRRGGAPGSSAPAAAAPLLAPAGPRGLVMVKRDLLARCMTCPLCRRLLRDATTISECLHTFCRKCIYQKFNDEEVECCPIPSNAEASNHSSNKDTEGDSKDSADKAELWQPLNCLVEAANRTKSFRSNSQNPVVKGEQFNGSPSSTYASKTKARENLQKSKIEDDKKDVPMPPVLPKRRAQGSVRRRREVQPPADAKPAAAAAQKEKKFSSIWFSLVASFDQQGDPPLPQIPSHYLRIKDGDVPASSIQKYLMQKLSLPSESEVEIKCCEQPVNPMQPLRNLVELWLKGRATQTTQTMTGSSAKEFVMVLTYGRPKASAL >Dexi6B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:6B:21615837:21616472:-1 gene:Dexi6B01G0013870 transcript:Dexi6B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRGSPCLALLCWHGLQEARQRGRLHASPVRFPVQAHCVSLSQLASLLV >Dexi5A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:5A:5425264:5425817:-1 gene:Dexi5A01G0007260 transcript:Dexi5A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTELAPHTIQTHGMRLARRHTHDWVVLILLAALVVALHYAPPFNRFVGKDMMTYIRYPVKPSTVPAWAVPCAELWSDQLLNNSFANETGRCSVRCAHNCGFH >Dexi1B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:1B:12445761:12447787:-1 gene:Dexi1B01G0011990 transcript:Dexi1B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVATLSPLEDQLALVPQCLYGPAQPLDSILIFLAVPGMPMVPMRVLDSESVASVKLRIQRFKGFVVTKQRLVFGGHELTRNSSRVRDYGLADGNVLHLVVRLADICTIAIETANGKKFKFQVEGDRKVGYLKNKIATETGEQVESLSDHRLVLHGKELEDNQLITDIAKDNAVVHVFVREPAKVRTQTFDNETVVTVVTPEDEGNIHVSALNRTELANHGHAPVEPIVVNKNVKLSPAIMEMIGTTIAGLEKGYLPVMSAEGSGGVYFMKDQSGESNVAVFKPIDEEPMAENNPRGLPLSVDGEGLKRGTRVGEGALREVAAYLLDYPIDVCKSDDGTGFSGVPPTVLVRSFHMGNELKIGSLQMFMENQGSCEDMGPQAFPVMEVQKIAVLDIRLANADRHAGNILVCQDGDQMQLIPIDHGYCFPEKFEDCTFEWLYWPQAREPFSTETLAYIESLDAGKDIALLKFHGWELSSQCARVLHVSTMLLKKGAERGLTAYDIGRIMCRETVNRESEIEALIEEAEDSVLPETSEETFLETVSEIMDRYLDNIL >Dexi9A01G0018870.1:cds pep primary_assembly:Fonio_CM05836:9A:13911668:13913867:-1 gene:Dexi9A01G0018870 transcript:Dexi9A01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTRKHVPEDVGLRNAGEDGGQGQLHRDDKEHKPVLKKVKEKVKKIKSTLTGQGHGHGHGSGDEHGGGDERMGDDAAWSNSNEEGEEDVAEREAAMEKGGYMEDVEDKPVLTEADPEVHGAPMYESERTPAVQDLVAKYDPAARAPAAVQERQGHGAPGVRLGDLGGPVVEDPAAPRSTTPAAREGEDIGTTPVVKQFENMNLSDDPSHVGAGKEDARVEEWKDAAADKMGGGGAAGGATYTDKLKNAAMGTTEYSKKLASTLYEKVAGAGTAAGAAKSDGERAETVPEASDMTGVEERKMDAPAAATDATNATSGGVGYTDKIKSAAAGTTQYGKQLASTAYEKVAGVAPNLRPQVGAAKPEDARSDEAVMPVSDNTTGTEEEEEFKDAPAPATTTDTNTTNASSGPGYTDKIKSAAMGTTEYGKQLASTVYEKVAGVGSTVASKVPGAGSQQQQDTNTNAGVGQDKGVTMTGYIAEKLRPGDEHRELSSAISGAVQQRKEDVGSTVAQRVPAPGDVITKAREAVTSLTGGNRVSETVQPGTATGEEVKEGYAAEAPVIHGEEVDAPRLNTNTM >Dexi5B01G0011570.1:cds pep primary_assembly:Fonio_CM05836:5B:8218694:8220580:-1 gene:Dexi5B01G0011570 transcript:Dexi5B01G0011570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMSAAFLLLLTSVLLGAARHVGAAAVFAGVSFETQGEAEAFEDALLRQACFNVSASGARLGEEACVSRLDTARGGAGSGPVPVLRAALRDTLGEAVGAVVSVRALASLSNHARDEMAVRDCVELLGYSVDELGWALDAMAETDDEQDDASGSRRAEDDLHAWLSAAMGNQDTCVEGFHGTDGLLLRRVEVAVAQLTQLVSNLLAMHKRLRSITPLLHHGDPARNNGTGLGSELPPWVTDIEDGDDKGDEELLQRCGRGRAGGGKPRTRVDVVVAQDGSGRYRTVGEAVARAPSHSKRKYVIYVKRGVYHENVEVRKKKTNLVIVGEGMGETVISASRSFSGGWTTFRSATFAVSGTGFVARDLTIRNTAGPAAHQAVALRVDSDRSAFFRVAVEGYQDTLYAHSLRQFYRDCHVSGTVDFVFGNGAVVLQRTLVATLPMAAGQVGSVTAQGRRDPNQGTGFAFHGCVVEARHPTFLGRPWKPFSRVVVMESYLGPGVQARRWLEWAATGEYRNYGPGAGVAGRVKWPGYHVIMDAAVASRFTVRRFLDGLAWLPGTGVTFTADLFRK >Dexi2A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:2A:11325057:11325493:1 gene:Dexi2A01G0010180 transcript:Dexi2A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVRVGGEEAGAEREEVELAAGGEGAGGGGGAEGRGQGRAERGGVGAEGGSEGGQRGHGVAGGEEVRDGGGPRRGAGRVHRAEKERVEGRRGGFSSALGVGGGSYGITRRS >Dexi9A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:9A:12551166:12554313:1 gene:Dexi9A01G0017490 transcript:Dexi9A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVGGEASRDSNLQSPLLGAASRPATTSGDGGGHGEVSGHLESILSDESLPWTRRMAAATAVELRLLVRLAAPAVVVYMINYIMSMSTQIFCGHLGTLELDAASLGNTGVQMFAFGLMLGMGSAVETLCGQAYGALKYDMLGIYMQRATIVLMATGVPLAVVYAFSRPILILLGESPEIAGAAAVFVYGLIPQIFAYAAIFPIQKFLQAQSIVLPSAYISAATLVVHLVLSYLTIYKLGLGLLGASLMLSVSNWVIAVGQFVYIVTSRRCRLTWTGFSWQALSGLPEFFKLSIASAVMLCLEACMTISGWVLMIAVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVFSLIASVIISVIILLCRDYLSYIFTEGEDVSRAVSQLTPLLAFTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPLGCLLGFYFDLGAVVQPLSMIFIIIIILGIWSGMLGGTLMQTLILVWVTFRTNWNQEDR >Dexi1A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:1A:413032:415323:-1 gene:Dexi1A01G0000660 transcript:Dexi1A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAAAIPLLRRPQLLSLKPARLLSTLAAPSPGFRRHPRALRPTGPLPSDAAEDTDDPDAGDVPFKKSRNELKREARRAVHWGMDLAKFSPQQIKRILSAASLEPEVFDALMLVKKFGPEVREGRRRQFNYIGRLLRNAQPELMDTLIQASKVGGDSKLDTVLSESTLLAEEEEVEDLPDQEKDDEEYMKIADRWFDGLLCKDISVTNEVYAVHNVEFDRQELRKLVRTVHMVEESTQIKDGEEGSNGKLSRAKKQLLRFLRSLAKEACVE >Dexi9A01G0031010.1:cds pep primary_assembly:Fonio_CM05836:9A:35927047:35927445:1 gene:Dexi9A01G0031010 transcript:Dexi9A01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTSQSGRSFRRWRAPTRVDLLTFLVAVMLCSASYCLGIWHNSRGAADSRVLGPSAAMSSCGGDGARAVDADEPLDFVAHHTAESAGLSVSASARTTGARRALLGTGAAHASRGVASTETTGAEAAAGKR >Dexi9A01G0039120.1:cds pep primary_assembly:Fonio_CM05836:9A:43212448:43213006:-1 gene:Dexi9A01G0039120 transcript:Dexi9A01G0039120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARSETRQRKDEAAMGGAVGKRPAVTWSKWRWAGGGCGARAPAKIGRDLKPRAPCVPPDPQIARAHVERRARVRRGWDSGVWGRRSRTGPHVGVFWRWECAREGRGPRLGGKDHHGGGLNGV >Dexi5A01G0033420.1:cds pep primary_assembly:Fonio_CM05836:5A:35801771:35802181:1 gene:Dexi5A01G0033420 transcript:Dexi5A01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi8B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:8B:7025304:7028048:-1 gene:Dexi8B01G0006170 transcript:Dexi8B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLAPHCLLHLGRVVASTRASLSPPSAASPSPPSRPRHRLLASFHDCIWWRGWRQRVKGSRWRERDDAEGGMGGGVGWRGESDLLLGRRELARLVRLGPDDEFQLARPAAQAGNRGPARPVSSADPRRRSSSPGRRHSPRQSSTSLGKQCGPVAELAVPSNRSFNLSPTFLLRPLLQLNVKRMGWRGVDEEEVREGRAAPWIAMAIADSGGRRKTALGHRAALGCRRGGGADRWHPRPKTDVTASAPTSSPGASAMDSLLLKAATTGDAIMHKLAMHDPGVLLGTTPQGNTCLHTACIHGHDRSCKDALALNKSLLTTVNLQGETPLLTAVIRADIF >Dexi9A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:9A:16480438:16493145:-1 gene:Dexi9A01G0021630 transcript:Dexi9A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVKEETSITDDDSEADSYESFLRESDNEQASACDEDTEVPLTEEEVEELVYEFLEVESKAAEAQESLEKESLDKIETEVRLELSERLQGDELESAVSTEMEQFQLQWENVLDDLETRSSVLLEQLDAAGIELPRLYKSIESQVPNVCETEAWKSRAHWAGFQVPEEANLSIRKADEYLQSCRPVRRKHGRMLEEGASGFLAGKLPVGDADSVEYKENSWSSFNEFIKSKEIAENTFGSSNWASVYLASTPQEAAALGLQFPGVNEVEEISEVDGIFGDIKGVDEVELSEEQRRRYRKVKEEDDAKIMNCLQRRLKGKRTRGTKENFGLDSSCHEVAKSDLPSFKKLKTDETLEHTCEDDEELFQRRSETVIIESDDELQIDSKPTLQNDGEGSSARVEKVVDIIDLDLFPSQSPKLSAKTLPKDFKCTICTEMLNASDVHRHPVLDVTVCGPCRFLVIEKNRLEGPVSGGYCTWCVQNILLQSCSSCKLLFCKYCLSKNLGEECLSEAKATGWQCCCCVPSQLEILISECDKALSGVESSDSDSSNLDLSGLETSGPVSKQRMKKKIRRIIDDTELGEETKRKIAMEKARQDHLKSMQEQSASKLKSENVGTSFGAPLDVSDAGDGHIVNLAREEDEEPVRIPSSMSSKLKPHQVEGIRFMWENAIQSVKKVKSGDKGLGCILAHNMGLGKTFQVITFLYTVMKCAQLGLRTALIVTPVNVLHNWRKEFSKWCPAELKPLRVFMLEDVARLKRPDLLTKWRAKGGVLLIGYSSFRNLSLGKHVKDKNVANEIAYALQMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVWNHPGLLQMAREQRGNLRREDGVENFMMDESSSDDNTENYLPNGEKKDRPDQQSKKCNFLNEENNWWEELLDDNTYMEADYSGKMILLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKYWKQGKDWYRWIAGYHEHEALLQENDEDRLTKEEQDMALSEWEALRRAGRDPYRKSNMTAVPTDPNLVRPVKAASRSRQPQQPKVNSNNQKKCNNLAHLLTLRSHGTKAGCTTTCNECGQEISWETLNRDGGRSR >DexiUA01G0023410.1:cds pep primary_assembly:Fonio_CM05836:UA:47851165:47853190:1 gene:DexiUA01G0023410 transcript:DexiUA01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAAAATPCRSPLAWLFALAAALFFASWYLLLDSAAGPPAAAARAYHGLRLGGGGGRSPGPGRKCDPAKALLRVFMYDLPPEFHFGLLDWRPPGFGGGVWPDVRGGGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAVRVPRHADADVVFVPFFASLSFNRHSRVVPPARGSEDRALQRRLLEFLAARPEWRRTGGRDHVVLAHHPNGMLDARYRLWPCVFVLCDFGRYPPSVANLDKDVIAPYRHVVANFSNDTAGYDDRPTLLYFQGAIYRKDGGLIRQELYYILKDEKDVHFSFGSVAGNGIEQATQGMRTSKFCLNIAGDTPSSNRLFDSIVSHCVPVVISDEIELPFEDVLDYSKFSVIVRGTDAVKKGFLINLIRGISREEWALMWNRLKEVEKHFEYQYPSQTDDAVQMIWKTIARKVPSIRLKINRLQRFSRFETNKTVESPPHSSSWLQNQAP >Dexi1B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:1B:3231518:3231898:-1 gene:Dexi1B01G0004060 transcript:Dexi1B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQSCRTGSSPPPRCGERRARPATGEQPAEVRATKSALGHVVVVVGGGGGGGREGGERSGGCEQEGGGGGACCCCCERLRIEGDTETEEAERGGARDDASPRSRCACGGSPGEGWTAGMAGSG >Dexi9A01G0047890.1:cds pep primary_assembly:Fonio_CM05836:9A:50838235:50839281:-1 gene:Dexi9A01G0047890 transcript:Dexi9A01G0047890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGLCCLASPLRGKASDADSRHRRSTAACICCIGPHHKPSAGAPDADLSVRLPLTSCCGTGDARGGARTPRTPCTPTARRLCGVRSSSSRTPRRRGQVCCFSSAAAASAPAPAGGTAAAAGGAAARTPTTPLGRRRQGSAKLGRRRSWFRSARQAVAQTTPRFRGGAGGSTRAGGNSAVKVYDARHAEAEAAASAAAAAAAVVMEEETCSNEEYALLCREGFSREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVATHCMQAMVRLQMRVRARQMLTKPRDNKDGQQQQLLQS >Dexi7B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:7B:22926678:22927672:-1 gene:Dexi7B01G0016910 transcript:Dexi7B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTDCLRSLTKLDLCEVRITGDELGCLLSSSFALERLGLRYCNELICLKIPFYLGRLSFLMVFECQMLQIIESKAPNLFTFRFSGDPVQLSLGDLSHLKLLNVGFSSKISSCTYAVTKLPSIVPHLETLIVSSFSERGSNPIVGNKFIHLKYLEINLGPSCGAFSPDYDYFCLVSFLDVSPVLETFILSVHQGAMKHDSVFTSDSCMRNMPEHKHDMLKNVMIIGFCSAQSMVELTCHILEDVSSLEYLAVDTVYDEEDDDRIGRCSVRKT >Dexi9B01G0041730.1:cds pep primary_assembly:Fonio_CM05836:9B:42158238:42163414:-1 gene:Dexi9B01G0041730 transcript:Dexi9B01G0041730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRPAPRWPPSSSMSATALFLPANPNPTPSPGFSLSPPPATTSGELHFRLRLPRHARSRTSLPAAYGRGSPAAGRREKDYYATLNIRRDATLQEVKAAYRTLACALFPFLSNHYHPDMNKNPGAEEKFKEISAAYEILSDEDKRSMYDRFGEEGLRGDYVHGDIGAHGIDPYELFNAFFGGSDKLFGDSMGPGGFHYSAKVSNNRGLDISYDLLISFEESILGGKREINIFRHKTCGTCHGTGAKSSNGITECTRCRGQGRLMKTQRTPFGIVSQISSCLNCSGSGKVITEHCTECHGSGKVQVERNIKVDIPGGIDHGSTIRIRGEGSVDKQRGASGDLYIYVRIHEKQGILRDGLNLYSDVSVDYTDAILGTTVKVETVEGFKDLHIPSGAQPGENLKFPRLGVPDIKRPNVRGDHYFLIRVKIPKNISDRERALVEELAALNKAQNISVPACSFCLFRNYKHRKFSEQKPSVCKEEKIILGVHLEFVQVT >Dexi2A01G0000190.1:cds pep primary_assembly:Fonio_CM05836:2A:125640:127594:-1 gene:Dexi2A01G0000190 transcript:Dexi2A01G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESGDVKCTGAANSKVAAEEADAGGAASSAPATPFKFNVHAPEFVPMSPNAGSPLASPMSAPAASGYYSPFMQMQAGMGPADWSFFHDHEPVFFMPDFAHAKFGAAAAAAGSNSSAQAKGTGATADVTQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQAFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARASSKSSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDMHFVGSDDDSPHSSQMSNESPTAADYSSSSSSPAAAAAEAAAHHHHHHQHHHQQEENSSSNKQQQKGSWNRGRAANKLHVTAPASPQSAPAGMAGHFEPSSFSPRQQQQQSKCPSSPSSKHQQQHKQQQQQQQCPLSPRQPPPHPHPHGPRMPDGTRGFTMGRGKPAAAPPLSSSSPAPRSPVAAAPAPVLV >Dexi1A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:1A:24188456:24190874:-1 gene:Dexi1A01G0016920 transcript:Dexi1A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDFLSSGLSKKDCIGRYYAKDAKYKPFSALLPEGSSGKMLYAKLVLVLLMCGSFMGLLHSPSIHLADEHHHTQSQVSKASWMSHPDEPNSGYSSSLKIDWSHVEAAVKEVSPAEDGRGGVRRVALLNFDAGEVEQWRVRLQPDTAEASTVRLDHVSRDVTWEHLYPEWIDEEELYGSPPSCPDLPEPVVAETEAETPYDVVAVKLPCRRAASWSKDVARLHLQLAAARLAARHAGRRAAHVLVVSRCFPTPNLFRCRDEVARHGDVWLYRPDADDLNRKLALPVGSCKLAMPFKALGEPYVSSAPEREAYATILHSEHLYACGAITAAQSIRMAGSGRDMVALVDESTIGARHRSALESAGWKVRPIRRIRNPRASTDAYNEWNYSKFWLWSLTEYDRVIFLDADLLVQRPMEPLFAMPEVSATGNDGTRFNSGVMVLEPCNCTFRLLTEHVGDIVSYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDTPERVAAKRRVMAADPPVVLAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWKVHDAMPKKLQGFCLLGERQKALLRWDVARAREANASDGHWEVRIKDPRRSICCAGDGDEDRREREIAGRRVEGNRITTSYAKLIANF >Dexi4B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:4B:21659404:21661387:-1 gene:Dexi4B01G0019480 transcript:Dexi4B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSVSSLILRHHTPLPPPLPPTSNRPPPHHRLLLPPPFARRRAFVCRPLAAAATEDMAVAASPPTLLEHMGQAGAAADLTVLVAHIQSACKRIAALVASPGNAELSRAKAGAGAVAAGRDAPKPLDELSNDIILSSLRSSGKVAVLASEENDLPIWISDDGPYVVVTDPLDGSHNIEVSIPTGTIFGIYDRLVEVDHLPLEERAQLNSLQSGTRLVAAGYVLYSSATILCISFGAGTHAFTLDWSTGEFILTHPSLQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQYPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYEV >Dexi9B01G0021390.1:cds pep primary_assembly:Fonio_CM05836:9B:16142692:16143114:1 gene:Dexi9B01G0021390 transcript:Dexi9B01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSSGQPHDLTVRYRKRTLSTKRVTPSPPQNHVISHDKLTISRSKPLNTTKQPGYPSFQAHPLVWCHRSGKLPLPSDSPATRPHYPPKL >Dexi3B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:3B:1440429:1442394:1 gene:Dexi3B01G0002010 transcript:Dexi3B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAGVRRWLVDVAHWRPSPAQFDAVVALLPSHERPAIARFVREDDRKRALVSRLLHYSLVHHVLRIPFRQINICRTPEGKPYLKNGSALPNFNFNTSHQGDYVGIASEPFCLVGLDIASVSKPQGETASEFISNFSSYLTHHEWNYIVRAGTPSQVLTEFYRYWCLKEAFVKAIGAGVGFGLQRIEFHHEQWTNISIHIDGGVSNKWRFWLFKLDEMHLASIAKGHPEDAVSSYKKTLSNATVAEEQLHSALESPEEAFTLWTVEQLTQLLELME >Dexi3A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:3A:15297235:15300128:-1 gene:Dexi3A01G0019470 transcript:Dexi3A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAEGKAEAAAPAPTPAAPAEGSVIAIHSLDEWSIQIEEANSAKKLVVIDFTASWCPPCRMMAPIFADMAKKNPNVVFLKVDVDELKTIAEQFSVEAMPTFLFMREGDVKDRVVGAMKEELGQKLTLHMSAQ >Dexi3B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:3B:5505363:5510844:1 gene:Dexi3B01G0007780 transcript:Dexi3B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVKATIERYKKATSDNSSAAGTVAEVTIQHYKQESARLRQQITNLQNSNRTLIGDSITTMSHKDLKQLEARLDKGLNEVLCSELEHMQRREMELQNDNLYLRSRVEENERAQQTVNMMGTPSTSEYQQGFMPYDPIRSFLQFNIMQQQPQFYSQEDRKDFNIGGR >Dexi3A01G0027690.1:cds pep primary_assembly:Fonio_CM05836:3A:27124481:27130672:-1 gene:Dexi3A01G0027690 transcript:Dexi3A01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSNVYISVIDDVISKVREDFITYGVGDAVLNELQALWEMKMLHCGAISGNIDRTKAAAASAGGTAGTTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTAPSDYAPSPISDVRNGITINGSDPKTGRPSPYMPPPSPWMNQRPLGVDVNVAYVESREDPERSVQPQQLTQDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADKIVEFVVSKENGHQLWSFVINKQETSTKTVNPVIPQCDGIQDDYNDQFLFPGVPTEDYNTPGESGTEYRAPTPAVGTPKPINDAGDDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >Dexi2A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:2A:1936407:1939000:-1 gene:Dexi2A01G0002450 transcript:Dexi2A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKISTNITPAMDPHSPSRICSNAALQEQIHCPSVAVADFYNTMGRQIWLGNTLLLVSAAMAAIMVGIGGYGRRYQHHRFTRSIFLGANILFLPFISYVVSTLSDNSNDYADSHNDGTTLAADDEHDRVFRVIADELSFLNDYYYSSLPVHMEKQGRNQIQCEFWCTKLQACRWKIVNHWDETIGQCSVLALHPTARTTLFGHLRRLFHLPDKKTKVKLPTAVKVCIMDALRSAASSNGCHLGNGRTSLHRSQVGKSFLWACNGKSTSDIILTWHIATCILEVRHPLPISDKNKIAATHLSRYCAYLMTWSPELLPDEVAWCKDLYETVKEDAARVLATRAMTGPSTPEAEYHDLVQLLSEGSRHLVLKNGVWLGEQLVGLDEGGETAWAITAGFWAEMILFIAPSNNLKGHKKAIARGGELITLLWALLFHAGIVSKPGQTSSAATSDSDGGV >Dexi9A01G0048550.1:cds pep primary_assembly:Fonio_CM05836:9A:51301663:51301903:-1 gene:Dexi9A01G0048550 transcript:Dexi9A01G0048550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEACCGTMFWVYLSACVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPKDKLNAAPPLLVGAGGVAK >Dexi4A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:4A:753322:755260:1 gene:Dexi4A01G0001140 transcript:Dexi4A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRLLSRRASSSSSSLSALLRRGPAAAVPEQPLLRPAVVAAASRLGFRRGMARRPGGDGYSPMRSGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGANKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQR >DexiUA01G0015800.1:cds pep primary_assembly:Fonio_CM05836:UA:33728335:33730300:-1 gene:DexiUA01G0015800 transcript:DexiUA01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRLLPRAVGRLRPLLALGATRGFSAAAPEPLHVCVVGSGPAGFYTADRLLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGRDISLSELRETYHAVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCSLSPDLKNTESAVVLGQGNVALDVARILLRCKSELATTDITEYALDALRDSRI >Dexi4A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:4A:8969904:8970437:-1 gene:Dexi4A01G0011070 transcript:Dexi4A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNPTEILSVVLLIGGVSLMLVVHILVVFWALRRGLGSRGTSLTDEEQQRQRVPEDADVACGLSSGELAALPCHDFVKAAAAVGGDCAVCLEAFEPGDRCRRLPRCEHSFHAECVDSWLRKSSACPVCRADVVDRSPKGEHKAAAAAAGEAEVGVAGALEMVERRSPVALGTVAER >Dexi9A01G0047560.1:cds pep primary_assembly:Fonio_CM05836:9A:50636783:50638379:-1 gene:Dexi9A01G0047560 transcript:Dexi9A01G0047560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARAFRKKGYIPLTTYLRTYKIGEYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Dexi3B01G0031740.1:cds pep primary_assembly:Fonio_CM05836:3B:33777199:33777749:-1 gene:Dexi3B01G0031740 transcript:Dexi3B01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKATMPLGLAQHLLVAADRCGLDRLKMMYERKLAIGIRVGMVATTLALAEQENCSRLNTKCIEFIAGGSCKNLDAVLATEGYKHLAVSNPRP >Dexi9B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:9B:13137137:13138640:1 gene:Dexi9B01G0018400 transcript:Dexi9B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRERFTRKPDKLCSPFKYGVMIRPPPIVDASLNLFARLCADDSIYRSTTVMQFGTTHLTGSFIAKSFADDALPDSVFMSCFVKCLQYDDFWIRPECFGYRIFLNPEVSCHPHYELLPQYADSSSSSTPLPLVCLMDYDSNNYHSWDMFHSDMGAKIMNRLSDALSEAAPHKFKSFKNWRHVQVKVPIHKNPSDSLFFAMKFLEYYDGEGHGSLKTNLDTAGSKELRAEMLYYITFHSENNVATLPDDLIQFRQTDLQPFFY >Dexi8B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:8B:1212045:1213099:-1 gene:Dexi8B01G0001800 transcript:Dexi8B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTTTNQKKMLATLVGCNYGGTRYELRGCINDVLAMRDTLVARFAFAPTDITVLTDDDGPSSAAVVLPTGASIKRALADMVSRAAPGDVLFFHYSGHGTLVPRRHGHGHGVDEAIVPCDFNLITDVDFREVVDRVPQGATFTMISDSCHSGGLIDQEKEQIGPSVADDINSRSVRGRFLPYGAVVGHLSATSGVDASHHVADHLLALFGDDASAKFHGHHQQEEQRRRRFHDDGGVLLSGCQTDETSADVPAGEGGNNKACGAFSSAVQAVLAAAQQEAAAALSNREVVIRARKVLAEKVFQQHPCLYCSDANADAPFLCQPLHQGN >Dexi5B01G0038260.1:cds pep primary_assembly:Fonio_CM05836:5B:37499245:37500371:1 gene:Dexi5B01G0038260 transcript:Dexi5B01G0038260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQGVASSMLALALLLLATFAAIPTGISSSMGVCYGVNGGDLPSASDVVQLYKSNGIGLMRIYFPDANALQALNNTGIGVVMGVRNEDLPTLASNPRAAAAWVRDNVIAHHPGVSFRYIAVGNEVAGGDTATILPAMRNIRNALAHAGLADTIKVSTAVHSGATQGFPPSQGSFSQSYMGPIARYLQRTGAPLLCNVYPYFSYLGNKAQIDIHYALFTSPGTVVQDGDMAYQNLFDALVDTFYSALEDAGAGNVDVVVSESGWPSAGGDDDVATAGNARTYNQNLIDHVGQGTPKRPGRRIETYMFAMFNEDQKTGAETEKHFGLFNPDKSPAYPISF >Dexi5A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:5A:11898460:11902560:1 gene:Dexi5A01G0014710 transcript:Dexi5A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAAGAVSSLLLVIRNETVLLRGVQGDVQFIKEEMESMNSFLAHLARSVPPGGEHNEQVRTWMNQVRLLAQDCNSCIDLYLYRGNPDIHRAKGRLRRHLWWVYWFLRKMIAQHRAAVQLRQLKDRARDVGERRLRYGVEVPGKSAAGQSPSAAAGSCAAADEEEEYQDEDQAVKIQLVVPITHYYGRRSFFEPRTLDDYVKAKLLEWVYEIKLDAVETLSIAIVTPDADKHVLALAHETLVVPYLPPTYERNGYGCGILVNIPAMHHDFLPLGPEEVLYYILRVLKHGKNQSQGIYHGEWEEKNLDSWQNFKKKLGIHYKKEMELQKIRRSIEKMKIYEKLNKIKSDIKDKVKSDIEALAAHQQKDDQQLLLGLKKGVEDIDLSVLLKLLIQSGATGTSQLDQVKNNDMHKLLAWDDGNILKIAKKLKDHMNAEEKTMELNGEQIGAKEETAKQTGEAGEAEEKKEREEEIEEGKAEQDCTGENDDDNGPIRLRKVQYAQIIQEVFLNTSRREPLQAQQQDSSVTKQATKTMMATLDEERIKQMTHEIKLEVLRELQESKQDKNQAIRKPGIPDQNQLAEIFEEVEHKIEEIKQGLEEQMRIKGIVDKIIYHLEGKCPLVILKVDRMMDVSRWEEIRKALSLLKCSADAVIFTTTESIQEAKGYCYQTREPIDYSLVGLYYDTVLELTSKQKNEDNYNPQVFRDILEECEPHEFCMKIFTHALYANPKRSNVELTKFYGTLKASEKSFDIMAKKMFMYSYNDLPKEYKSCLLYLAIFTKGQKIRRSTLIERWVVEGLTLEEDWASSMRQAHRCFGMLIHRWLVYPADISANGKVKSCLVGDLVHGFITTIARKQHFVETRLSHHLARHFSIFNDLKLRSSDRIDKFFEGLSKSSQVSPLKVLDLKGCRCFGGKNQRYLKDICTKMLLLKYLSLRGTYITQLPREINYLRELEVLDIRQTKVAPSATANILLLKLKRLLAGDIDMSSSDFGSVQIPHRIDKMDNIEVLSNVKAPRSNDLKHLKHIGKLWQLRKLGVVINNKDTHLKILLHTISDLHECLRSLSITLSVATPHEGTSSVELPPYNVFHLEHHPKILESLSISGTTLMSLLPVITKGDNDKLAKVTLSRTLLNEDGMDILAKLPKLQCVRLRHITFTEHMLNFKKGEFRCLKYLLVEDSDLTNITFEDGAAHELEKIVLSSTSTMSVSGAGKLPKLEELELNISDSGGRLLSSFDNAKQIAKLTLRGAFPKQDALKVLAKKPNLRCLVLMDVSFDGTHNEITLGKDEFIWLNLLVVDCSSTAKIVFTNGSAPRLEKITWSSATSLSGIDNLPRLKELEFKGISVPKELKETIKKHQNKPSLKYGAET >Dexi7A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:7A:21952980:21957891:-1 gene:Dexi7A01G0011500 transcript:Dexi7A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQPVISLRPGGGGGPRASRLFSPAFAAATSGSSDFLRPHGGGASGISKIGDSHFEPRERVRYTRDQLLELREIADVPEDILRIKQEIDAELHGDDQSWVRNDSTVNVQAQAPAQTPAPNRYAETDNRDWRARTAQPPQPNEEKSWDNIREAKEAYASSGRQQEQVNKQDQLSSQFASKAQVGPAPALIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNDKLPKFNAEEEGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVLELLGAGPDRKPCPEEEDVEAICHFFNTIGKQLDENPKSRRINDTYFIQLKELATNPQLAPRLRFMVRNVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAAAVIRNGRSSPGGPLSPGGFSMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDSLRNQTPSLNKPSTVHKTSSINSRLLPQGSGAALLGKSALLGAGGPPSRPSSFASVPTPAQTIPSPKPLSAAPAVAPVPDKPASAPKGNSANLQKKTVSLLEEYFGIRILDEAQQCIEELQSPDYYPEIVKEAINLALDKGTNFVDPLVRLLEHLYMKKVFKTQDLETGCLLYSAMLDDIGIDLPKAPTQFGEIIARLTLSGGLRFEAVEEILKKMEDTFYRKAVFNAVMNTMEANPSGQAVLGSHAAVVDACKSLLE >Dexi1A01G0029440.1:cds pep primary_assembly:Fonio_CM05836:1A:34924072:34925513:-1 gene:Dexi1A01G0029440 transcript:Dexi1A01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAAAPAPADEGGRQLDEHGAALYLPRLLAGVVSGALTGLFALAGALTGAVTGAVAGRASDSGVLRGAGMGAFAGAVLSIEVLEASRAYWCSDRLGSHGASSMVRTVKLRSSTTLSLSVSNADFIEQLIQARFAQEQFASSGYTPSRWQVSTKHQQVSISDFAHDDLYDIFGDISSKGLSQDSLKKLPHYVVTDQLRDSFGEILSCPICLQVEFI >Dexi2A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:2A:829892:831557:-1 gene:Dexi2A01G0001230 transcript:Dexi2A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRRNAVITFAKKSTKRESRDFRNKSNDSLLISEEASSGSEGSTSSSLEVNSEDVATDEQNSGAPRSAVLQACTLTSGLLLAGGLLLRQVSHLASLNGWPIADPTDVSCKFFSPSLQINEINILTSLEPLDYIVVAYLPGISEELLFRGALMPILGLNWISALLVGTIFGALHLGNGRKYSFAIWATFVGFAYGIGTIASSSVIVPMVSHSLNNIIGGLLWQFTKNPQK >Dexi7B01G0023510.1:cds pep primary_assembly:Fonio_CM05836:7B:28095394:28097609:1 gene:Dexi7B01G0023510 transcript:Dexi7B01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALAVTDEVALPIRAVGDLAAAADVSREEVAVITQCAALVGAVLAVIKNVESLREQLVAEINRVLKAGGRVLVQSPASSSSQKPNTDIERKLLMGGFVEVQASAASSQDTLQSVTAKKANWSMGSSFPLKKATRALPKIEINDDSELIDEDSLLTEEDLKKPQLPVVGDCEVSATRKACKNCSCGRAEAEAKVEKLELTAEQINNPQSACGSCNLGDAFRCGTCPYRGLPPFKPGEKVSLAGNFLAADI >Dexi6A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:6A:25053935:25054424:-1 gene:Dexi6A01G0017210 transcript:Dexi6A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATNPQTRLTTGSSAGPPSVNTAATHSSPTPASTRQQSALRCTVLKMSVWMTSRAQGTDAFTMDCTQLAAAAPVGTAALAVAGGGVAGDEPARELRRRRWGNRRGNRPCVRDGDEGEDEEDAMAASGCQTARSVLGKSRAQPRSAQYSCEQR >Dexi2A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:2A:14901079:14902486:1 gene:Dexi2A01G0012760 transcript:Dexi2A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCCFQSHAGDGSSQHDHGVVSSSAASSSSTSSSCRNKERPIPERQPGEDMSSRNDNSVDYSNLVALVNEIVADSVSYRHKRVAEEILKMGKAGKVTARAFTYAELSEATGGFRPESLLGEGGFGPVYRGRLGPKSSTGLPEVAVKQLDRNGMQGTREFLVEALMLSLLKHPNLVTLLGFCTDADHRMLVYEYMAMGSLEDHLLDLPPGRAPLDWATRMRVAQGAARGLEYLHDAARPPVIYRDFKASNILLDAGFHARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGRRAIDTGRPPDQHNLVLWAGPRFKDKRRFAEMADPLLQGAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGGGAADDEPASDTDLAPDDQQQKTDDDDDAQA >Dexi6A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:6A:21287422:21289575:-1 gene:Dexi6A01G0013950 transcript:Dexi6A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVVAVTLVVLAALLAAAAAQDLAGDRAALLALRAALDRGRLLPWDTTAATPCQWRGVTCAPSNTGEQRVVKLQLPGKQLSGTIPAGTLGNLTALTKLSLRHNGITGEIPADVGDLGELRVLSLRNNRLTGGVPDGVFSLATLRHLDLALNGLAGGVSQEFNRLKQLDTLFLESNFLSGELSPGLYLPSILRLNVSFNAELTGPVPPSLARFPASSFLGTGLCDGPLTACSSGAPPPAPEKTKKKLSRWAIVGIIVTAAFVLLLIMGLVAFLRRRRSPAEAPARSAAAANVHGGTSPITVTVARTDRDAAVTKQSHAPPLAPAMVSEGKKLVFLGSAPERPYDLETLLRASAEVLGKGSLGTTYRATLDGGEPVLAIKRLREVRLSEREFQDKVAELGALHHDSLPRLRAFFYSKEEKLLVYDFVGAGSLSSLLHDAGADGRARLDFTARARIALAAARGVAFIHRAATSSTTHGSIKSSNIVVTAARDGAFVSDYGVAGLAGSGEVPRRDAAAGYHAPEVTDARAVTRSADVYSFGVVVLELLTGRAPGIPAGAGGGEGGVDLPRWVRSVVQEEWTSEVFDAAITNEERVEEEMLRLLQLGMDCTEKHPDRRPSMDEVEARIERIVEDACRKADFSSTDGSRSVSA >Dexi5A01G0036140.1:cds pep primary_assembly:Fonio_CM05836:5A:37796958:37797568:-1 gene:Dexi5A01G0036140 transcript:Dexi5A01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTPSARQELLMSIRGDAVDGCHLAVEADGVVVAAKAGEGVENNVVELGGEWGVSGTAKRGEGFGSCGDVAGAGEGDDGLAEGGEELGAREYGVVGAGAGTGENELQEAPERGEAARGSEAGGEERRQAVVGAERGGRVRGGQEDVEVERGESRVVTRSAEGTEERGGGGGVRRGERRERARWREVGDGEREDGQ >Dexi1B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:1B:911855:913176:-1 gene:Dexi1B01G0001100 transcript:Dexi1B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQGKLFGCTVDGCGSRFSIKANLQRHVKEFHDEENVTKSNQQFICKEDGCGMRFSIKANMQRHVKEFHGDENVTKSNQQFICKEEGCNKAFKYLSKLKKHEESHVKFDYVEVVCGEPGCMKMFTNIECLRAHNQSCHQHVRCEICGEKHLKKNIKRHLQTHGEVPSGERMKCAFEGCERSFSNKSNLTKHMKACHEQLKLFTCRVAGCGKAFTYKHVRDNHEKSSAHVYVEGDFEEMDEQLRSRPRGGCKRKAMTVETLTRKRVTISGEASSLDDGAEYMRWLLSGGDDSGQAAQ >Dexi5A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:5A:12835161:12839980:-1 gene:Dexi5A01G0015320 transcript:Dexi5A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGQAAMSPAPSGGKRGRGPEEDIYVDNLHSHKRYLTEIMASSMNGLSVGDSVADNIMVSPVRLENASCFRDEIGTRCSPMSEESDDYRYYDTQANTNGSQTDATSSPSNSPISSPHRFLKPHTWFSSANPYPLTSCSLSAVACHLARRGSEHEGPIPSSPNDMCHGGDLRRSALLRSVQMRVQGPHPCDLLSSSEQGQDQERGHMHIDEVDHDEKQAKGLQLDQRSFSCSKSIQDAEYQRPANCSQRSDRDVDFVEDQITA >Dexi3B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:3B:2803741:2805853:1 gene:Dexi3B01G0004040 transcript:Dexi3B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSARMSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPKKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFVDTSSKFGHGRFQTTDEKQKFYGKLKA >Dexi3B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:3B:5233162:5235785:1 gene:Dexi3B01G0007360 transcript:Dexi3B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCRSLSMAEAAVVAAATIFFFLSTTALPAAAATVEHTFVVSQMTMTHLCKKTVVTVVNGQLPGPTIEVTEGDSVIVHVVNTSPHNITIHWHGVRQLQNGWNDGVPMVTQCPIQPNQNFTYRFNVAGQVGTLWWHAHVSCLRGTLHGAIVIRPRDGTNSYPFPKPDREVPVVIGVHEDSFMLDVEPGKTYLLRIINAGLFSEYFFKVASHRFTVVGSDANYVTPFTTDLIVIAPGETVDALLVADARPGKYYMVALPNQAPLPDTQTPEPATRGIVRYTTSDHHHDRLGNAGNAGKPAAVLPVMPNQHDVVQSLYFHSNLSALQHPRHRVPQVPKRVDEHMFVTLGLGTACRHGGFKCNWDVESETGLVATMNNISFMVPTAPLLPEHYRHVGSQLSTLVELPDKPPKVFNFTDVSLIPVGPKEKKLETTYKATLARWFRYGSAVEIVFQSTAMLQGDSNPMHLHGHDMFVLAQGAGNFDEARDVAKYNLVNPPRKNTVVVPNLGWVAVRFVADNPGVWYMHCHYEFHLAMGMTAVFIVEDGPTANTSLPPPPVGFPTCSNNEYLVPREVSLQTPQHTVSRLMD >Dexi9A01G0048940.1:cds pep primary_assembly:Fonio_CM05836:9A:51684444:51686120:-1 gene:Dexi9A01G0048940 transcript:Dexi9A01G0048940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWADEIGGDEETLSELIEASRTPDGRRARLHELADTLYLLPASPSHLLLLRLRLLRNLLAGDELNQYAFIERSGPSVVAASVLSSPSLAPDAARAALQALGNTALAGEFHRDAVWEALFPEALLEFAGVRDAGVLDPLCMVLDTCCGGEGGRQRLNELCHEDLGLPILVQVVNTASQVEHKEEWLEWLLFKVCVEEQKFETLFNALCSNDVECTDNGEYNAKHAFLLGTLSMCLNNHPKEVTVSDSFAHHVFNVHKHAAETVDFTHRGMSPLPTGCPAVDILGYTLQLLRDICAWESPSSETQSPVDSLLQTGLVKDAKVCPYIGYRRDLVAVIANCLHGRKKVQDEIRQLGGVLLLLQQCVIDEDNPYLREWGLLAVKNLLQENEENQKEVSELEMQEPVITPEIANIGLKVEIDKETGRPKLVNTSD >Dexi1B01G0028200.1:cds pep primary_assembly:Fonio_CM05836:1B:32785800:32787731:-1 gene:Dexi1B01G0028200 transcript:Dexi1B01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAHSFRDNQIQEIQGGPSPPDPYGLLGLLGVMRMNDAELSALALGMDLTTLGLNLNSTDNLYKTFGSPWSNEPAKGDPDFHIPACYFSEQTAPLLTMLFPKFPIVTLFYIFYRCNRGWFYHKELGLWLTRIPNVEPLVKTPLYERGSYCCFDPQNWDTVRKDNFVIHYELVEKRPALPSVAQNVR >Dexi7A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:7A:9845771:9846362:-1 gene:Dexi7A01G0002330 transcript:Dexi7A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGFSASSTAEEVTSCIDGSSLVAIVTGGSHGIGAETCRVLAFRGVHVVMAVRNTSAGGHVKEEIERQVPTAKIDIMELDLSSMKSVRRFANNFEALNLPLNILV >Dexi3B01G0033440.1:cds pep primary_assembly:Fonio_CM05836:3B:36139048:36141568:-1 gene:Dexi3B01G0033440 transcript:Dexi3B01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCGEGDRQGGHDNGLLWGRRVGENEEAMVTRWQGMPENLIYNTNYIVRLWVSEGFLKPKKGKTMEDIGQSYLKELISRGMINLVRKDPSGGVWLVTIHDRVHAFAQSEAYEESFLEVHDNADLLMPNSRTGNSVKNRDHNGLRYHGLQFIPRSKFLRVIDLRGMRIKKVPREIGDMVHIRYLGIRSHSLVDLPSSIGRLINLQTLDIESSHVKISGLTTGHWEALADAFKKLESLLHLHLAAAESADDKIPFQLLTKFTLQRVQVLELYGAIDMSNTDIDERYVLPNLNRLVLKGSVVNQTFMNRIGELPSLKELVLCENSYTESKLMFSDSGFNSVTNLVMADMENLVEWTIRPMSIPMIRRIALSGFSNLKIKLEGHKGAECPKDLMVNLEEVVVCNMTGDILIEPADSGFSEKINKVAIRTKSEDIMDATLRAGRWRESMVAGNMF >Dexi1A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:1A:24142558:24144264:-1 gene:Dexi1A01G0016860 transcript:Dexi1A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVVNLDPANDALPCNSNVSLTVLARYECAINIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSVINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALVLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQQHLEQDPRSAKYR >Dexi9B01G0031720.1:cds pep primary_assembly:Fonio_CM05836:9B:34033202:34035637:-1 gene:Dexi9B01G0031720 transcript:Dexi9B01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGGGSTSFRGLYGNIHTPVVLTGAAFALVALLISLLLILQHLRSYSNPAEQKWIIAVLFMVPVYASESMAIAAIAHAYVFNVEPYQHIPLLDHGKVRCEESKMEVKVDVNDDRISTPAAVKRKDTHVEAPGTSIKESVQDVVLVGGQHVVKDVALTISQAIGPVEKGVEKGVGKIQEKFHHISLKPGDKKEPEVDVEEHVTENVVDGKPIKVDTQVEVEQKVQDDS >Dexi7A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:7A:27942500:27944071:1 gene:Dexi7A01G0018600 transcript:Dexi7A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVVKVIPAGSTGGSGGKKAFTAA >Dexi7A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:7A:18924852:18926807:-1 gene:Dexi7A01G0007600 transcript:Dexi7A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNRSSSSSIFLDVASSTSQVDDGSEDGDRVLPHISRMLMEESIFDKFLYQYPDHPALVQAQQLFSHILSDASSSSDALAAQPCSNSPVEHSTVQPWTPAPELYVAQGHSIEDPVFVFNGTAMDAVEHTGSFKAESSSSNDFKDVVSLAFFKGMEEASKFLPSNGATARSGGRGQKKRLDGDDDEAVKAGSARSSKKMAADCEDMEEEEDAALEMLDQLMLNGCEPSPSVADMSSLRATMGLELEKTPRGRRRRGRNGVEQVVDLHAMLISCAEAMAGDDRHGVASLLDRIRRHSSPAGDATQRLAHYFAEGLEARLAGTWSRRRLLMARPPRGSSLVEHLKAFQVYMTTCCFLPVSFLFSLETICSAVARKKKKKLHIVSYGVGHGLQWPELLRRLGHMEGGPPEVRLTGVDLQLPGFRPAQLIEETGRRLSDCARQLGVPFKFRGIAARPEAVRAGDLDIDPDEVLVVDSLFHFRSLTDGEDDGTDNRANTIDTVLSAIREMRPAVFVHAVVNASRDAAFFTTRFREALHNFAALFDVMDAVLPRGDERRALFEREVLARCAMNAVACEGEDLLRRPRSYRQWAARSRRAGLRQLPLDRGVVRMVRDKVKGEYHRCFEIGEDREWLLQGWKGRVLYAHSTWTADDDLA >Dexi8B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:8B:3566111:3567598:-1 gene:Dexi8B01G0004090 transcript:Dexi8B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQQSPPPRLLAGGDHHPPPTSASSPEQPFITAHLLLPSPSPSPADLSSPHLPHALAFAFLTQPSPLPRRLLVALHAAAGRFPAFYQAFASALLSLPFPHLLPHPRARLLLAASELSRAAAPGFAPLLASFLRRLPFPGDARLLEIFAEHATFLADEEPQLLASAVFAFLRLLARNRLAPVPNSSECKDCEECKSAKCLQECREKLVSFCVSILQDHFQVCALIGRDLVRCLHELALVPEFQPLWRDLVVGRVGDVCRMSTPGWCMAVAITVEMETQLLFMMNNVKWGDQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNEVIQSGVIARWAVIGWLLTGCSKGYVVANAKLALFYDWLFFEEGRSSVMNIEPAMLLMVNSVPQYTDITNMLLEFLFLLIDNYDLTRKDAIARCVRSAFRVLVKKRVVPSLEPLTCCEKLSPLLRQKLVAFLSSSNPGAAEDSCGKPTDVSKETEFKRRVCAQTRP >Dexi9B01G0039130.1:cds pep primary_assembly:Fonio_CM05836:9B:39944815:39945135:1 gene:Dexi9B01G0039130 transcript:Dexi9B01G0039130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVEDTEQFTRPERQPAAPPAGSLNTAARVERGYLNCGGARNAIVGGPSQQMRVAGVGAERRIPRSRTASSCSSMLRAREAAVKVNAWTSRGVRGGAAEKARSGG >Dexi9A01G0029960.1:cds pep primary_assembly:Fonio_CM05836:9A:34874672:34876698:-1 gene:Dexi9A01G0029960 transcript:Dexi9A01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSIALVSLAALSLLPHRLLCSHAAIDFVYNGFQHAANLSLDGSASVLRGGALQLTSDGNHLMGHAFFDSPVRVVRGNSVVLPGVSYGFYLGLLEDNTTGNSSSNHIFAVEFDTMQIKRPDETKSNLVGVDLNSIVPNVSEPAGYFTADGKKTSVLLESAQPIQAWVDYHGRTKQDMYVGFTSSTGEKLASSHYILAWSFSTKGAAKAIELSRLPKVPKRAAPSPSLSTTIKITALSCVATLVVIVLAAIVLALWIQRRRALAEMLEDWELAHPHRLPYKELHAAANGFEGSQILGAGGFGKVYKGVLRRSGDVVAIKRISSNGTQGMREFVAEVASLGRMRHRNLVELRGWCKRGQDLLLVYEFMPNGSLDTHLFGRARDGSIMPPKPLLNWEQRVRILRGVASGLVYLHEEWEQVVVHRDVKAGNVLLGDDMGPRLGDFGLARVYEPAGSGPATTRVVGTVGYMAPELTVTGKASTATDAFAFGVLLLEVACGHRPVDPVTGELLVRRVRDHGAGGDLVGAVDERLDGCYDEEEAKLVLWLGLMCSQSRPEVRPSMPQVCQYLDGELEMQEDAVLVFSGDVDYPVDVGLLASLTWSSCNTMSVGSLLLGR >Dexi2A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:2A:17502604:17507219:1 gene:Dexi2A01G0013180 transcript:Dexi2A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAATPSSRDLAERLFNKNNELEDQLRKSAQSKVPSDPNIWLQMRDNFEKIILTDHEFSEQNEVEYLLWQLHYKRIEDFRRNISAASSAASQSGKSNANPDRVKRIKSAFKSFLSEATGFYHDLMLKIKSNCGLPLGYFPEGFENASNSVRGEKKTAQLKKGLISCHRCLIYLGDLARYKSLHGDGDSASREYAAASSYYKEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADNPFTTARDNLIILFEKNRQSYGQLLDNNKVPVAKALPPRSSGSGRGRGEVSLETFGELFSSVSNDLQILLSSGLEEELNFGSDAAENALAIVRLTAILIFTVHNVKKEPDSQSYAEIVQRRVLLQSAFTAAFEFVGRILRRCSELRDVVSSCYLPAVLVYIEWLASHPELAVDSEMEEKHANARSFFWNQCIMFMNKLVLTNLATIDGDDDEACFSNMSMYEEGETGNRLALWEDLELRGFLPLVPAHIILDFSSKHTFGNVGSAKEKKARVQRIFAAGKSLLNFVQIDQLKIYFDPSSKKFVMAKRPPVAKASAPLHESPDALKTNAVEMKHEAARRFDLVSSNMGGNQSKMHLCPEADDDEEIVFKPTASDKFPKAPADLPVNGYTPHPVQMSASLVSVQVQSTALSAAGSYNNTSSVSTSGNYNINQSLPMSSIHWALNGEQKVIPSIPPRFEHMQPVEVPASSWTSNGAQHVGPQNTISTFPDVVSDPRVSASMVPHFTGPDYLKLLSEHEMLLMNGLKNVNTTGNGYLEQRLQAGLSGLESMGYSPQIPVESGGNITNLIHNQLKITGETIPSTLDSVVPSIAPSCSVPLKFTDTTLVASKKNPVSRPSKPVGPPPGFNHINPKRQDDFVSVEKLQSPQVDDYSWLDGYQPSVDHVHNLRAVYPGVNASNTAFTTPFSFSGKEQVSGIHPQGAIEKTWQDFHLFEPAKQSIFPNYQLRNQQSGQMAEQEPTNSIWSNSYHV >Dexi3A01G0024170.1:cds pep primary_assembly:Fonio_CM05836:3A:19777524:19779938:-1 gene:Dexi3A01G0024170 transcript:Dexi3A01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPGAGEHHELQARDTSDAGELLQARLHLWSHALGYVKSMALKCALDLRIPDAIQRCGGAATLADLLSATDLPPSSLPYLRRLMRALTASRIFALRHDDDPAADDAAAAVSYQLTATSRLLLTGGDASCRFSQLPTISPLVQEGLVSPMLSMHDWLKRHDAAATSLYEMAQGKGVWETVQASAAYRAAFHDSMDADTRLVMHAVLGRSPGVFQGLTSLVDVGGGRGTAAAAIARAFPHIECTVMDLPHVVAEAPAAAGVVFLAGDMFDHIPSADALLLKR >Dexi8A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:8A:389155:391754:-1 gene:Dexi8A01G0000590 transcript:Dexi8A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLKRRTTHNATTPLIFRFEAAAAAGRAMDGGGATQPDAATNIPPAPSISAAPAGIRVENCYVFKSRLQEYAQKAGLLTPEYHTVKEGPSHEPIFRSTVVVNNTKYDSLPGFFSRKAAEQSAAEVALMGIVKSVPATETKSIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFVCTVEIGGIRYIGAAARTKKDAEIKTARTALLAIQGQLEGCANGGSKYIVVPGQRQVKDTDKKPTETPKSLKVKKSGGKNKWNKRKFMRKTDQIVDTEKDGAGEALDVHDSDVPMQAAITEEPPNDSIMPHPDEESRRVEHELLLPVKETRNVKQGLAWLLHYEEARRVSHDLSRNTAMVQSNKEAVMLQCEDEARIMELAPPRDPATVQHKEDGTIVELDPLSSAEAVKRNMKVRTVEQESASDYVALQSDRDATDVEEPPSHTAMMQREETETRKQETPQSGELVQPN >Dexi3B01G0027740.1:cds pep primary_assembly:Fonio_CM05836:3B:23395447:23397250:-1 gene:Dexi3B01G0027740 transcript:Dexi3B01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEQKKQAAADVLFHYSQFVMVCIGEDVRPTDLRLHLMKEVSGMPTSLKEPQQAAASPDSCGEPSSSGTMKTEIS >Dexi5A01G0028230.1:cds pep primary_assembly:Fonio_CM05836:5A:31546816:31551002:1 gene:Dexi5A01G0028230 transcript:Dexi5A01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHPCRLFPGMSPAAVSGPVSASAPSRSCKPSKVFAGLPHRRTPLFLGTRRARIRCVKDNSIHFDPSKIEPPPYSSYFDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGSPSFGTKPGSRRRGYKGQVTSASGTEGAQTNDGKDDGEPVVAIVESVEDASDEPKDSVDEYVIYETPEEEELSEYDMDKMMGKPHPFIDPAKAMSLGEPKTSEELWWNWRRKSQKEEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPSRTEAALAKTRRHLYKEERLEEERRRLEEIGPIAYYSEWVEAYKDKDTSREAIQKHFEETGEDENAQLIKMFQHQTAGEYRIMMGTDDPEEVIDYRGPEFHEPTPEVVPYLMEHGLMITKEELYARLNEERDDVNQDITYIPEVKDPMATAVDIGEHSYNEDSDDEDEDVDKAAAQPESLEDEDDAEEGEEKVTQNWSVLKSTGQAEKPKEKSKKGEMSIKEAIDDSENLTDFLMDFEEDE >Dexi1A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:1A:25271340:25273713:-1 gene:Dexi1A01G0017990 transcript:Dexi1A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTRASAAAAAAPSRRKVALYLTLLTLQYGAQPLISKRFVRQDTIVTSLVLATEAAKVWQKQSSKQILALALLISAAVLLSVGESSSKGSKGGSSDYVLLYGIIPVTVASMLSGLASSLCQWASQVELTHHITFEDTTYGHLLTAKIFNNNAG >Dexi8B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:8B:4533170:4535019:1 gene:Dexi8B01G0004880 transcript:Dexi8B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVSVVGLLLLLLLLLPSTSYADMWHIPLSGNVYPIGHFYATMYIGEPAKPYFLDIDTGSSLTWIECDAGSGSCERCNKVPHPLYRPTPDKLVPCASPLCNALHQDLGTTNDCRDRPNQCDYDIAYLDGSGSRGVLLLDKLSPAANADRPSIAFGCGYDQVGPSRQQNVNVVVDGILGLGRGSIDLVSQLKQQRVITNNLFGHCFSSKGGGYLVIGMDRLPLSIPWVPMSRNTNYYSPGPATLNLDTELILTKPMEVIFDSGSTFTHLPEDLHAQLVAAVLKATLSQSLEEVHDDSLPEHPCWKQPGGFKSLDDLKKEFKSVMSLEFQNGATMMIPPERYLVVTVNTWNSHSTSLKEQKIG >Dexi5A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:5A:8838940:8839658:-1 gene:Dexi5A01G0011750 transcript:Dexi5A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDDGTRATRVQAVSAYLWKALATVDGMVGERAPAADVVAELRAAMRSYVGNVVTVVVKEASADELQRMALPDVAAMVREAIAAPAYEEHFQELVDWVEEHKTQRYVDTASLGLGSPTVIVSAGGASPTDTDFGCSRAVLLAPTSALTARLCSGYVQTVANPSGDGSWFANAVVWPRLAAALEADEPRVFKPVTAEYLGLVLPARKSGMSVSDNKLSAPKLDSTTCEL >Dexi3A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:3A:1599336:1605071:-1 gene:Dexi3A01G0002370 transcript:Dexi3A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCTEETGRARRLAHLGWALCECAADIEAGSMEKAAHRLSQAMGLKAATGDGPLPRLAVPAVDCLARRLIRGMVPAVADALIDPSDHLDRRCAMAARRSFFDLSPFPKAAVAVSNRVILEAMENEKNVHVIDFAGPAAQPCQWIQLLREFKSRPEGPPHLRLTIVYDDAEFLATVSESLVDEADELDVPFQVHCVAAQIEALDFNDLHGVLGLKSGEARALVCTQQLHRLLAAAEDDGGGARSFSAAGCHFNKQMANTARLQQMASTSSCSPSIGGACEDDDESAYRSPATPLSFISPPLTTPPPQFEMPPPALASFLSAVRTTISPKVAVLVEQEAIHNGVSFRKRFAEALHYYGAVFDSLDAAATAYGRPDAERAEVERAVLGEEIRDVLVREGPRRRERHDRLHQWGFRMEVAGFRRVPLSYMAIREGDDMVRRCGLRGCENKQHGGCLILCWRSLPLYSVSAWRPDRGAAADGIEVQNRMFRRPAGHMCLHVITVMYRPLMQDQGTVDHLAKLGPILYACAAHVIEGSFEKTDICLRQIKRLASIVDGPLQRLSLITADSLARRLLCPIQGFAGALIHPSDYFEQPILQTARCNHAELSPYISTGFVTINRAILEAVEDEKVVHIIDLSCSTSHPRQWLNLLRDFHGRKGGPPEVRLTVVHDDKDFLATMRRLLSEEAVMLNIPFQFNSVIGRLETLDFCNLHDTLNVKYGEAIAISCSLQMHRLLVVDDNMSYSGIGQLQKMANIARLKQMASSGYSPVSTLSPQCQTPKLLASFLNAIRALKPNIMLVMEQDADHNALLFCDRFVEALNYYAAMFDSFRAVAAGNPRRADERTRVERMILGEEIKNILVCEGVHRHERHERLRQWATHMDRSGFEHVPLSFDAMRKARQNLMSFGLDERNGKVENDCLLLCWGSTHLYSISAWRPHQGSTSGSRDHMDVQPQAIKHLRSL >Dexi3A01G0027860.1:cds pep primary_assembly:Fonio_CM05836:3A:27702094:27702406:-1 gene:Dexi3A01G0027860 transcript:Dexi3A01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDLTPTSIPSTPSCSSEVLLPEFAEYVAVSPVSDGEESDECCVCDNAVEALQFGRRQQDRLRDAKGFIRR >Dexi3A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:3A:12529519:12542194:-1 gene:Dexi3A01G0016580 transcript:Dexi3A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPVSGEAVRRDGVGAAAEKEEDRWARLLPELVAEVVRRVEASGGERWPARKDVVSCASVCRRWRDAAATVVRPLPESGKITFPASLKQVKE >Dexi8A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:8A:18661383:18662863:1 gene:Dexi8A01G0010770 transcript:Dexi8A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding AELTDPRGSKFLMKIHARMQIKRDISVMKMVKHPNVVRIDEVLGSKTKIYIVLEYATDGGLFETIVSDVQTVHSSLYTAAAWTSALVTGGVDVGVGVGDAVDLGVGGMDVGVGVGDVVDFGIGRGARRAEGDVDLGADGDVDLTSAAAAAAAT >Dexi9A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:9A:3914887:3915301:1 gene:Dexi9A01G0006930 transcript:Dexi9A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRKDADFFFCSRSSSFGPAAPCQRDRKRKKSEDAAARMPREKAAAASGRAGAAARTRARSAARREARLGGAAGGGCGSEDPRSREAEAATVPRRRGGLAGGPGGAGSEWMARIGPLVA >Dexi1A01G0022550.1:cds pep primary_assembly:Fonio_CM05836:1A:29218508:29220736:-1 gene:Dexi1A01G0022550 transcript:Dexi1A01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTGEMSDASPPAPWEHGYDDRFAAESLGPFGDEAYAINDEEVSDAEVSSGSPPPPSEPPPPLRRRLAPVVASEVPEEVVRAVDAVIMGGGVERLREMVSEENGEVSHFIVDVLMVTMGGVDGIDEGAGDGIGAATGLPHSIMSSSRAAAIAAELVPFLPCGVEPSPRTRMVRGLLATLSACTRNRTMCSVSGLLAVLLDVAEKLFVRMGQGSMWDGMPLVQCIQVLGGHSVSVRDLHSWLLLIKKALGTRWAMPLTLALENAVASNEAKGPAVTFEFHGESSGLLAPGDNRWPFSNGFGFATWIYVESFSGSLNTDTASAAVAATAASTSEMSSPSEAAAATCTPAGEGTEHMPRLFSFLTSDNHGIEAYFNGHFLVVESGAGKGKKASHHFTYEFKPRCWYFVGLEHTSKQALLGKAESELRLYVDGDLHESCPFEPLRIVQWHYYP >Dexi1B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:1B:816412:825387:-1 gene:Dexi1B01G0000970 transcript:Dexi1B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHNHEQQQAYQSSAPHGMMGPGGGGNFPQSSSPMPPFQGQRNLPLSGVPQGMVGGQVHNQVAMQQQYLKLAMQQQQQKAAQGMLLQQQAKMNMPGSSSRDQDMVNNPAKMQEFMALQAQMFKRQAEQLQQAEKQKEQGQPSSNEQRSGDMRPPMPPPGVPGHQLPSVGMMRPMQPMQGQVGMGSAGGGGGPLTPLQFQAIQAWAKENNFDLSNPANMSAISQLLPIWQSSRMAAMQKQNEATMAAQQQATPSQVNSDTAGHGNVPSQGAPSKPRQPLPPSSVSGGEEAKVVNSSNMQLQQQLSVHNRDGSNERPVRPPMSVGNGAQTMHIPQSSGHVNKFPEQSNPKNAITNSEAAQMQHARQIQQLNQPAAPKATPGESGGSQPPTPSARPQTGQTGFTKNQLHVLKAQILAFRRLKRGDRTLPPEVLELIVSGRAPDSQGQQISGPQATHNRERPGISSADEHGRHMESSDKAPEKPAPSKGPCLPKVEVSASEDKASPSSGPGPMQVMKASPKESLKIGPVSVPEPSNATVIKSEQDLERGVQRTPGRIDCSAERGKSLPAESGSTDAEQAKRAGSTSSAPAPRDVPRKYHGPLFDFPSFTRRHDSLGPANYNSNLSLGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIRPDLVLRLQIEEKKLKLLEHQARLRDEVEHEQQEIMAMPDRIYRKFVRQCERQRLDLARQVQQMQKASREKQLKSIFQWRKKLLETHWSIRDARITRNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKSQQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVSERVTKQPSLLRAGTLREYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNFGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQRLFSQEVLAMKFNVLVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFQDWFSKPFQREAQTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVLSFFHMSLIGQFVWRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETVHDVPSLQEVNRMIARTEREVELFDQMDEDFDWTGDMMKHHQPDDLEEGEIAMSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRIRPRPNAEKQEDRSGGEGVFPQRGTYLTFQGDGDYNSQFKSDLDARVFADPAARQQDAIHPVVKQKRNMPSRKVSPASRTGKLTYLSGAGEGSAERSKENWSSKPIDSATPEFRSTKMSDSMQRKVCTQTYAMCNTAFRVCKNVISKLWRRIDKEGHQIIPNISSWWRRNENSSFRGPAGSTLDLQKIEQRVDGFEYSAVTEFIADMQQMLKSVVQHFSFRHEVRIEAETLHNLFFNIMKIAFPDSDFSEAKNAMSFSNPGGAASGAAGPSTKHAALGHKRRASTSEAEQHGSGHNSRHTEVPSRPHSSRFERDSRHSGSGSRDQLPDGAGLLHPSDMFIVKKKRQERARSSIGSPSSSGRAGPLSPTNPGRPGPLPSPRGSRTPFQRDAHPSQQSMHSAIWGAHSDHGGGSSTPGIGDIQWAKPAKRQRTDTGKRRPSHL >Dexi5B01G0022310.1:cds pep primary_assembly:Fonio_CM05836:5B:24611189:24612362:-1 gene:Dexi5B01G0022310 transcript:Dexi5B01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSEEKCALVAELMRVLEMVRQLEAHMSSQHQQQHGGGGAAAGGGDQRCRALVCTMRESIDRAMRMAMSCCADVGRAAVAGQPDSPPSGGDGSPRSAGSDQAGDFRGRGNAAGQCKKSTQVRVSAVQDVTPLDDGLSWRKYGQKDILGAKYPRSYFRCTHRHTQSCHASKQVQRTDGDPLLFDVVYHGHHTCAQAQGAPAVGNQLAPDAAAAAAAAAAGPVLQFSLPSNKPAAVATASPFASPATPECLAARDVPRHDVELVSATNSPMGAMGEMDFMFTLDAADFLENPASYF >Dexi7B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:7B:18004184:18006379:1 gene:Dexi7B01G0010760 transcript:Dexi7B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKLGARPDTFFTSGPVRSVYTEVATDLDVLVDHCLFRLHKFPLLSKCQLLQTLCAESDAVELAGFPGGAEAFEACAKFCYGIAITVGAHNLVPLRCAAARLGMTEAADNLAGKLDAFMASCLLRRWRDALAVLRSTARHAASCDELGVTSRCVEAVAILATAAGTTNASSAAGCSTSSSSPWWARDVSELGVDLFFRVMVAIKAAGTVKGRAIGDTLKVYARRWLPRNVGYLVDVEQTGRSSSSSSGIAGEEVVVAAASHRILVEKMVSLLPSERNAVSCSFLLKLLKAGNVLRASPATKTELTRRAARQLEDASVSDLLIPSPCETLYDVDAVMAILEELALRQAAAAAEASPAHARRGGHRRSRSAESSEFEGAARRSTSAAAASHGEMVRIGRLVDGFLMEVAKDPNLPLDKLTAIAEAVPDCARPVHDDLYRAVDTYLRVRPEMDKGSRKKLCRVLNCRKLSETASMHAAQNELLPLRVVVQVLFFENARAAAVSGHLPGASNRVAGVAGGVKALLAKAAATTSREANGGEEAINKGEQRLRGLAAGELGDGDDGWSVEGLKRAASRVSTLRMKLEEDDDEDDVDAGAFVHRARPGLVRSASSRVRKLCAIPAGKPKRMLSRLWPSSRSAAESDRH >Dexi3A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:3A:7188514:7196904:-1 gene:Dexi3A01G0010120 transcript:Dexi3A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKARSNGTSGASTGSFGGRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLDPNNPELRKQYSEIKTLHMEDSFMEVDPPARAAVEIRESASGRSKGGNVMQQSRDANQKPRPEESVKELASRAASRGDAALAVSILENLARVPRFDLIIMCLSSMHKSELRKIWDQVFLAEKASADQGSAGRGVTSRSTVSRDVVHAAPASAPAGRSALSSSNRRGCEGPPDRDSACFEGAAAEVEDDHHLLDASAARVAAGSLADEAHNYGGAGRRRPRLATSFITIIATIVINESRRIKYSKEDVSRVFGLPCNGRSVFQNGMPRKEIVSKVTTGYLGIQAKGNRSIKAAQEVIERDYGRPMSQDEENAFKAAFVIYVASTLLAPGAKYDCPSVDYWNALADPSDIDKYDWADYVIRRLFDAVLKVKSDLKKGNVKAPSITGCSLFLQLSGTIDLNYEALPPIDGHDLTPRKVMRQSVIVKTPWDLGLRPVLSAVDEAHALKVLRKLDDCVGYAEGPFWLVLLISMIRLFEDN >Dexi4A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:4A:5862812:5864395:1 gene:Dexi4A01G0007800 transcript:Dexi4A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSGGGPALAREGSIYSLTFDEFQNALGGASKDFGSMNMDELLRNIWTAEESNAMAAAAPTTGAASVDAHAQQTGGAGIQRQGSFTLPRTLSQKTVDEVWREIVGLTGGEDVPPVPPPAPAAAAAPVAMPVQAQAQRQPTLGSMTLEEFLVRAGVVREEMGQQHVVLPPQAQALFSQANAVAPQTLQLGNGLVTGIVGQGLGGAMTVAAPTTPIVLNGMGKVEAGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEHNDELQKKQV >Dexi5B01G0031980.1:cds pep primary_assembly:Fonio_CM05836:5B:32617834:32618465:1 gene:Dexi5B01G0031980 transcript:Dexi5B01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSMQMFLWLDALLLLLLPLPLPPEPELARRSSEKPSSLRPVTSSVSVKRLSSTATKATTPFLICFRYLEPMPAVSRAEELRFSA >Dexi8A01G0008850.1:cds pep primary_assembly:Fonio_CM05836:8A:10976378:10977202:-1 gene:Dexi8A01G0008850 transcript:Dexi8A01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTPCTFTSYSHYCYPAADHPSTAPLPFDTAGADAGDDDMYVQLNALLLQMEADDEETSISSTFSSSSPSSSSDMETVSASPTIAEAQPSSTSSTRPPESFIGVRKRPWGKFAAEIRDSTRRGARVWIGTFDTPEAAALAYDQAAFAARGAAAVLNFPVDRVRESLAELALAGGGGAAGGGGGSPVLALKRRHSKRTRRSKLSPASKSLLKTTTPRQTTFHFSSVPGASGMDAVVDQKFKDASSPWPYGRVELEDLGSDYLEELLRVSSELQY >Dexi3B01G0036410.1:cds pep primary_assembly:Fonio_CM05836:3B:39261272:39262642:-1 gene:Dexi3B01G0036410 transcript:Dexi3B01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMDSKQSKKQQQSKTLLGKYELGRLLGRGTFAKVYLAHPLAGGDPVAVKVLDKAEVMSTPSMAPRVLREVDAMRRLRHPNVLRLHEVLATTSKIYLVMDLATGGDLLSTLAAARRRRRGGGLLPEHAARRVFVQLAGALAYCHARGVAHRDVKPQNVLVDGDGNLKVSDFGLSAALIPSDSDDRRRRVLLNTACGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDANIADMCRKAHRREYAELPEWVSPPARRLVTRLLDPNPATRVAVETLVTTHPWFIKRSLSVGSQLGGLLDGEEERAAAFRTSPSVMNAFDIIAMSPGLDLSGLFEKRNREKRFMTTASPEKTLEKLGLAGGKLGYVVVGKKGVECLPMMERLSLSGVVVMTVEMSEVAPPLMLVELRLEVADDGDGDDDDEGKRFGWEELRQELGDVVRAWHSCQDF >Dexi1B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:1B:24629504:24630883:-1 gene:Dexi1B01G0018410 transcript:Dexi1B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPQQLSLSDLRALSVLGQGARGVVFHVVPVAEAAAAAAGESSAAGEHMSMAFKAMSRAAARHKGAVTEGACAGGDDGHRRIWFERDVLLALRHPLLPSLRGVVATDAVVGFAIDRCPGGDLKSLRRRWRSETTFPESVIRFYAAELVLVLEHLHGIGVVHRDLKPENVLIQDTGHIMLVDFDLSTTLPPPPPPPPPPPDTAAAQRVSSPSLSRPSSSRHRSRRKNRNAAAAMVLACFSITRHAASPETSSSSHSPQSTSMASSSSSCCSSPAAKSNSFVGTEDYVAPEVVAGAGHDHAVDWWGLGVVLYEMAYGRTPFRGRSRRETFRRVLTAPPPELAAGDGDGETTTTLRDLIAGLLEKDPRKRLGAHGVKRHAFFRGVDWGRVLDVARPPFIPPASDGEDGGDDAGVEAEGEALDVEKVVHEVVVGTSGNGEMPPEDVGSDEGRDYDFSMFF >Dexi1A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:1A:5438694:5440199:-1 gene:Dexi1A01G0007100 transcript:Dexi1A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAAMPAAVSSTAAPPHLVMICFPGQGHVNPMLRLAKRIASKGLLVTFSSIATVGAKLAASAGVLAGGDGVPVGKGRVRFEFLDDGELPSPDLDDLMRHLETSGPPAFEALLRRQEEEGRPVACVVVNPFMPWAVAVAGDLDIPAAVLWVQSCAVFSLYYHHVHGLVEFPPETETTARFKLPGLPEMTVADVPSFLLPSNPFKLLADAIVTQFRTIDKASWVLVNSFAELEASVLAALPGVTPRPPELIPIGPLIELAGDEHDDEVRGDMLKAADECVEWLDSQPPRSVVYVSVGSVVVLTADEVAEMAHGLASTSRPFLWVVRPETQPHLPEGFVASVAGRGMVVTWSPQDRVLGHPSTACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDARFLVEELRMGVRLKKGSSSLTLRREDVRDAVEAVVAGPEACDMVARAQRWSKAARDAVANCGSSDVNVQAFVDEVTRRACGSKDQKPSSVAVAEPSIATCVET >Dexi4A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:4A:3222283:3223731:1 gene:Dexi4A01G0004560 transcript:Dexi4A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPGHRGRRAVQRDYDEADLTAVMWRATLAGGDGGGGDDVVLRHRAFIRILRANGRYRRWHTGVTVDLEDNHGERSTMMHWMVEEIPPRPVPPPPPTPSTVNLGGPGMISLFRRRAEPRVEPLRTIRYVRVNDQGQFNQNGWATFQFYGRSLYLLICRVLYLLDEPIFIGDEGNFTITLCVRAGIYGQLTPLVIDLPRCEEPMDIFVLTTVSPDVQSIVVLYR >Dexi1A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:1A:11687128:11690140:1 gene:Dexi1A01G0012160 transcript:Dexi1A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRAASSLVLLLLAGCAAASATEASPSAHLRAALDAAARGVEQALATMSATAAAPASLGDDDDPFSTPLAAAAREDCEELLEEALALLAGASAPGATRADALTWLSAALTNHDTCSNGLTESGVAFVSGATSHATRHHAHLATARAAVRDSLAMYAASSAVTATRTASEAAGCGCKNVTKREGTCGGFPRWLPARDRRLLLLSTLAESADFVVAKDGTGTHATIAGAVKAAPECSQRRTVIYVKAGRYEENVKVGMKKTNLVFVGDGKGVTVVAGTRCVAGSNYTTFRTATFAASGFGFMMRDMTVENAAGPSGHQAVALRVSADRAVVHRCAIAGYQDTLYAHSNRQFYRDCDVYGTVDVVFGNAAAVLQRCTLWSRAPLPGQKNTVTAQGRNAPCQLTGIVLHACRLLPAPDHAAAPSPSLMAAPAPAPEKKGAAAATYLGRPWRPYSRVVVMMSYIGAHVAPRGWLEWNASAYALDTLYYGEYRNSGPGAGVAGRVAWPGHRVITSDAEAESFTVAQFIAGASWLPATGVSFVAGLEL >Dexi9B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:9B:13471335:13474327:-1 gene:Dexi9B01G0018920 transcript:Dexi9B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAAARGSRPLLGGGGGGGKRGGRPSTAVVAALLLACTALLLLLALGALSLPGTSDGTGHRGAGLVRPRSRLRRSASESGLRGEKGEPWTEVLSWEPRAFVYHNFLSKEECDYLISLATPHMKKSTVVDSATGGSKDSRVRTSSGMFLRRGQDKIIRTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFHDDYNTKNGGQRIATLLMYLSDVEDGGETVFPSAPVNSSSSPFYNELSECAKRGISVKPKMGDALLFWSMRPDGSLDPTSLHGGCPVIKGNKWSSTKWMRVHEYKI >DexiUA01G0011850.1:cds pep primary_assembly:Fonio_CM05836:UA:23673399:23676287:-1 gene:DexiUA01G0011850 transcript:DexiUA01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFARNRSNVASRSATSSAGALPGRSATSARGRQWPPHGRRAAATGTGRPNRGSRVAPPHAHANVRVGSARALCNPSHRSERRAIMASLAGPLAAGELGEQRCPAGGIPFRYAPRASAEDLHSSPAQSPSPNPVARSVRALQAINGAAGRPSHPARAPEATRCPLTHLGTPPSSVTPPLNQLSASLALLALAHFIFNLQPSSNSLVLATRTIPNLNRAVCAGKSEFPPPLVHFRHEHHLYVEPHTPAIIDFAKPHDELRHRPVKPSRLSAPRSDHHRRLSTSSEQAAPPLTVDEPRRFTSGHETYPNRRAVSPSSFSPTSPAPVRRSLAGARTPASPRTSSQGTLADSIYNLVPANEEETPEGGADVIVIEPETDPSVAQEGKPQSIT >Dexi6A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:6A:17206991:17218792:-1 gene:Dexi6A01G0011620 transcript:Dexi6A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQQGQSDDSHVVNIPPLARELTEQLGNDHHLAVQTSEGSPPCSITIAQVRRLMRNVDEAMYDPDHVSIGPYHRIAMDSDKLTCLRTVRSAASTGTALDVYVEELERLEVQARRCYAHSLENITSREFVRMLLLDGCYLLVQFGEISGGESRNHVVEGAGLSNGHVTAGSRDGLEALAVVRDVLYLAENQIPFFILDKIIQITVSDTSAYAGDKIMLFVQALLKRHQYSVAPPPAMRPGNLLHLLHMHLKPTNPPSDNTGNVKLGRWRTANEYYFVGVSFKPRPLNAGDRVQSILDVNLRSGTLEIPPLNIDAETWRILHNLMALEQSNPEVAGSHVTAYCVFMSQVACTAADVDLLCSKGIMAHLLGNSGEVADRFAKLCKGIVFDLDDSHSNYLRATCEELEERFQSRVRRWMAWLGRKYFNNPWLFIGLMAAAVGLTCSVVQSPWPAASVQDRTLVVAAEKAGAKERPLEEAADEEEDGETWPAASFGRYRTRVELPENADVERITAEKKQWARFVQDKIKSCVALLVLSKLGLAGTGQSDSLAVAMEITSLEELMWAQLAGPQDLAMQQYTGTPPCPITIGEDGELLRNMEPRHVSIGPYKRLKKSGFLARDEEKIEILRSVLSATSTGATLRMCLDEMAVLVPIARSCYANRFDSISMQDFVRMLLLDALYVLVRFGRVQTGLGSNGHVPPGGDVQLTSQAAAAAGGGGGGGGERLEDVAVVHDVLYLAANQIPFFVVDKVHRLITPENGVSAAVRIAEYVRELLRTRYSVFEPNVTEAPGPGNLLHLVHMHFKPTAAMPPSSSTGEPVRRWRRATEYHFAGVEFKGRPIGRTNGARCILDVKLDRRGRTLEVPHLNIDAETWSLLRNLMALEQRNQDVTGSHVTAYCIFMSQVACTPEDVALLSRRGIVTHGLGNDVEVADFFADLCKGVVFTVNDPDRNYLRATCRELEKQFQSNWGRWAAWLRQKYFSNPWLAVGLAAAAVGLTCGVVQTIYSVLSYYKPGAH >Dexi9B01G0039510.1:cds pep primary_assembly:Fonio_CM05836:9B:40174923:40175292:-1 gene:Dexi9B01G0039510 transcript:Dexi9B01G0039510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDEAQAPLLQTAEEADGEWSSRPRRIALFVEPSPFASVSASSSQALSSDASLIGIDQIELLGSRLHLGVQEPVPELHQASTRDGR >Dexi9B01G0029020.1:cds pep primary_assembly:Fonio_CM05836:9B:31592232:31594040:1 gene:Dexi9B01G0029020 transcript:Dexi9B01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIREGGPCGGSPNDLKASRLGGGRTCVDISEVAWRLRGKGRFGWFGPQNHQACEFPSFVKAACPLHPHLFIHRCPISIHPPPPPMAPSEGRRPGYAPVFQGIILI >Dexi2B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:2B:7815462:7816956:1 gene:Dexi2B01G0007570 transcript:Dexi2B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAEHRRRRRGVVLFPLPFQGHITPMLQLAAILHSRGLEITVLHTDFNAPDPSRHHPDLAFVPIHETFPEVVTSPGADVARQLLALNAACEAPFRRALSSLLRGGGGHPPREHVACAVVDGQCYAALRAASDLGVPALALRADSAATFLTLLAYPRLCDAGFVPMLDEMVPDLEPLRVRDLIRIDGSDADSLAGFIAGVVNAARESSFGVLVNTFEGIEAPELAKIRRELSRPAFAVGPLHLLSSSPSPSPAPAADRSCLGWLDARAPRSVLYVSLGSVATVDRDVFEEMAWGVAGSGVPFLWVVRPGLVLTGAGGEDAPQPPLPEGFVEQTESRGKVVAWAPQREVLAHAAIGAFWTHCGWNSTLESVCEGVPMLVQPCFADQMANARYVTHKWGVGMEVGEVVERGRVAEAVAKMMVGEEGAQMRERARHLKMEASAATSSSMDSLIEFISSL >Dexi3B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:3B:9605752:9607737:1 gene:Dexi3B01G0013480 transcript:Dexi3B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKAETRSGVTHCVMSRAPGLWAQGLTRMHVAMQSRFVSGTTRAPPVVHLGEAQARPVRHQQGPVVGGDLGSPASSPAAHRANSDVAVESDASAREAGGEERARVHGEAEVDGGVGVERGGAGAALPRLGDEHLERGAAAPAGRRRELGLEVVDVVGGDVDGQVLEALGAVELGEAADVRAGRVRDGVAAPGRGRRDLVRPARKVPHRAHELGGAQPVRHRVAEPEPHHEAAAPERRHLHASNRKGVNQGGGNLKSAPNRSNPAQCSSNRPARGLSAYLDEQDELVLLLVGGPRQRVREELVLGDLQRVLEVVDVLEAAPHGGLDEPDALAAAVDVQPPGVLGHGEAPRERVVVDERPREGALDGGGGADPVPRRVGLEDVERLDERHLGRVAVEPGEAAGGRAARGESGRRGGVADAGREQDSRDG >Dexi1B01G0022320.1:cds pep primary_assembly:Fonio_CM05836:1B:28044177:28048326:1 gene:Dexi1B01G0022320 transcript:Dexi1B01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSEVDTAVDGGNTWIDMSENAHCSAIDATTSAGKNLKDLNDAITLRVQELLNNHADLEKVVPLGGTLIGAAMAWFVMPIVLRKLHKAAAIAPSISDFLPQAWKGAFVVSFVWFLHKWKTNFIANAMAKRTAIGTDLERLSAFDKVSSLGLIALGVVALAEACGVPVQSILTVGGVGGVATAFAARDILGNILSGFSLQFSRPFSVGDYIKAGSIEGQVVEIGLTSTSLINTEKLPVIVPNSLFSSQKTEEWSSIEQDILLKAASILKRHQLWTAL >DexiUA01G0015050.1:cds pep primary_assembly:Fonio_CM05836:UA:31538317:31538694:1 gene:DexiUA01G0015050 transcript:DexiUA01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSFAIPSGKLHVNPWSKFAKLSCTSFSPRAESLPIVHTLMSTSVPLGMSYPATVASWRATRGMRRGTIGCMRIVSLTIALR >Dexi6A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:6A:24950490:24951527:-1 gene:Dexi6A01G0017100 transcript:Dexi6A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVPDWAFEAVGLEMRVGQDTAYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRSTSEPDHPAASASDVTTATAATRYVRSQPAGQSYLVRLQGLLLRPVRHESVYSGGEPAVANSSSVGPEELPSIVVDDGHQLPGR >Dexi2A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:2A:256134:259199:1 gene:Dexi2A01G0000480 transcript:Dexi2A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCALLLPFLLLLLPLARAAAAAVAPCHPDDLAALRAFAGNLTAGAAAAALRAAWSSSSSSPACCAWNGVACGADGRVASLRLPARGLVGPLPAPPLAGLARLQDLDLSGNALAGPISAVLAAVSPTLRAANLSSNLLDGALPDLAALPDLDALDASNNSISGALAPDLCAGAPALRLLDLSANRLAAALPSSANATTPLCAATLRELSLASNAFTGALPATLFDFTGLHRLSLASNGLTGQVTSRLGDLKNLTFLDLSGNLFSGHLPDVFGDLASLENLVAHSNGFSGPLPPSLSLLSSLRVLDLRNNSLSGPIARVNFSSMPFLASVDLATNHLNGTLPVSLADCQELKSLSLAKNMLTGQLPEDYSRLASLSMLSLSNNSLHNISGALTVLGACKNLTTLILTKNFIGEELPGDSVGGFDSMEVLALGDSALRGRVPGWLTRCKKLEVLDLSWNQLVGTIPSWIGEFEYLSYLDLSNNTLVGEIPKSLTQLKSLVTYRQSPGIAFTSMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNFISGSIPDALSRMENLEVLDLSSNNLSGSIPSSLTELTFLSKFSVAHNHLVGQIPNGGQFFTFANSSFEGNPGLCRSSSCNLNLSMETRNGTEIQPAASMRNKKNKILGIAICIGLALAVFLAVILVNMSKRDVRAIDYEDTEGSCHELYDSYSKPVLFFQNSAVKELTVSDLVRSTNNFDQANIIGCGGFGLVYKACLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVALRGYCRYGNDRLLIYSYMENGSLDYWLHERSDGGYVLKWESRLRIAQGSARGLAYLHKVCEPNIIHRDVKSSNILLNENFEACLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSLIATTKGDVFSFGVVLLELLTGKRPVDVSKSKGSRDLISWVLQMKSEKKEEQIFDRLIWTKAHEKQLVLVLEIACKCISTDPRQRPSIEQVVSCLDSV >Dexi9A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:9A:15989203:15995772:1 gene:Dexi9A01G0020960 transcript:Dexi9A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGTARSLFRRKNPRDPTAPGYELRSKGPAFLGLKALDNLVSASRRSSSSTTSAATSPTPTAVSQPSPMAEPSNADLLALIKSLTESVASLQTSVAELKQANQDMGSFGHQLPPGPHHDWPPRFQKLDFPRYDGKSDPLVFINRCESYFHQQLIAEEEKVWMASYNLVEGAQLWHSIVEALHRASSPSIRTTPALQSPGELMACHRTTTVVDYQERYEALLPRAGPLTEGQRVQIFTAGLLPPLSLDVEAHNPQSLAVAMSLAHKLELRDQYTRPPQSSYSSSRYPSKGLLPVPAVRLALTAPVVLAAQTTTAVEGRPVRRLSQTEMEDRRRQGLCFNCNEKFVQGHNRVCQRLFLVDLAEPDDTDDALPTYTDPAEPLISLHALTGVRTSETMQVQLRLGDDLILVALLDSGSTHNFISEETVRIAALPLDRREDLRVTVANGERVPCTDVLRATCFSIDAETFRADLYVLPLAGYNVVLGTQWPILWDFRALTMIFWRHDHQQNRRPPLQSAAVPPSRTPFSPSLLEFLPSRRISHRPVPATIASPWSQVPSLSWCAQERAGTPMCLNVAPRAHPSQLVRPDGSWRFCVDYRALNALTVKDAFPIPVVDELIDELRGARFFTKLDLHSGYHQGLYEFLVMPFGLCNAPATFQALMNDVLHPFLCRFVLIFFDDNISNIFRHQLFIKHSKCAFGVDSIHYLGHNIRWRSSRELIGLMHAVRHWRPYLWGRRFTIRTDHYSLKYLLDQRLTTIPQHHWVGKLLGFDFTVVYKPGATNIVADALSRRVTEEGLILATSRPRFDFIDHLRHAQHDDPALVALRDEITAGTRATPWAVVDGMVTYDGRLYISPASPLLAELVAATHEDGHEGVQRTLHRLQRDFHSPNFRRLVQDFIRACSMCQRYKSEHLHPAGLLLPLPVPSLVWADLGLDLIEALPKVGGKSVILTVVDRFSKYCHFIPLSHPYTAKSVAQVFFAEIVRLHGIPQSLVSDRDPVFTSAFWHELMRLMGTKLHMTTAFHPQSDGQTEAANKIIVVYLRCFTGDCPRQWIRWLPWAEYVYNTAFQASLKDTPFKVVYGRDPPSIRSYEPGETRVAAVAKTMADRDEFLADVHYRLEEAQAVQKKFYDKGHRMVTYAVGDWVWLRLRHLFPASLPTTTSAKLKPRYYGPYRVIELINDVAVRLALPERARLHDVFHVGLLKKFVGAPPDAPPALPPILHGAVLLEPERAEKSRLARGVHQILVHWKDQPASAATWADVDSFVERYPSFQLEDKLLIEEGRAVMWGRHYVMRRHRQESVPATPLLALIEECYESNDLMRQYKVNRTARRHQGCYESAFLPAN >Dexi6B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:6B:7056158:7057283:-1 gene:Dexi6B01G0006460 transcript:Dexi6B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQRKKEAAEAQKKEAVSYEEQRRRQVEANKRKLEELQLHHLSAAVREAATAAAKPSPEVLDLGRKVRRTYGSGRKDLENRVYATDEERSYAITKAEELEEELGSAFPIFVKPMTQSHVTGGFWLGLPTPFCRKYLPKRDETITLEDEEGDEFETLYLARKIGLSAGWRGFSIEHELVDGDCLVFQLIERTKFKVSEIQFA >Dexi4B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:4B:8907002:8908594:-1 gene:Dexi4B01G0011470 transcript:Dexi4B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLCFRAFALSIAILLFAVPLLLAQDPSKLSLEYYSKTCPNVEHVVRTEMECAVRADTRNAALMLRLHFHDCFVQGCDGSVLLDDTATMIGEKQADQNVNSLKGFELVDKIKEKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDSKKASLDLANSDIPTAQQGLVTLIAKFWQKGLDATDMVALVGSHTIGFARCENFRDRIYGDFEMTSKYNPSSETYLSKLKEVCPRDSGDDNISAMDSYTSAIFDNAYYETLIKGEGLLNSDQEMWSSIAGYSTADTVNKYWADPAAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >Dexi9A01G0007940.1:cds pep primary_assembly:Fonio_CM05836:9A:4674214:4674782:-1 gene:Dexi9A01G0007940 transcript:Dexi9A01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNYPKVIKRAQAEIDATVGNSRLLNAEDLPRLGYLQAIVHEALRLYPATPLLIPHESTADCTVGGHHIPTGTMLLVNTYAIHRDPTVWADPTAFRPERFRADGLLLMPFGMGRRRCPGEALALRTVGLVLGTLIQCFDWKTVGGADGVDMTEGVGITLPRAVPLEAVCRSRQVMLDVLQKL >Dexi7A01G0023440.1:cds pep primary_assembly:Fonio_CM05836:7A:31428075:31430281:1 gene:Dexi7A01G0023440 transcript:Dexi7A01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRNAGVLALFDVDGTLTAPRKGVTPEMLEFMKQLRQHVTVGVVGGSDLVKITEQLGKTVITDYDYVFSENGLVAHKNGELIGTQSLKSFLGEDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSILREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESERTVGHTVTSPDDTAQQCRSLFMSK >Dexi1A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:1A:7789886:7793243:1 gene:Dexi1A01G0009500 transcript:Dexi1A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGAPSAAEAVRRRRILSSRLYLDDVPISKAPVVYSPAYDISFNGIEKQHPFDSSKWGRVRNFLEDAGILQSDRIVEPLEALEDELLVVHPESYLNSRKNSEKVARIVEVPAVALLPNLLVQQNLLYPFRKQVGGSVLSAKLALERGWAINIGGGFHHCSAEEGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEKDFGSDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDSGTKTEDYLENLVRALKGD >Dexi9B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:9B:13247296:13249838:1 gene:Dexi9B01G0018560 transcript:Dexi9B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELWETLKEAIVAYTGLSPATFFTAVAVAAALYHVVSGLFAPPPPPPPRPREEPEAEPLPPPVQMGEVSEEELRKYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTVKKTVPVEDGNAASTPAETSETTTEAPATEEKPREVAAEEVMEKEAAADESAKES >Dexi9B01G0028000.1:cds pep primary_assembly:Fonio_CM05836:9B:30581739:30582970:1 gene:Dexi9B01G0028000 transcript:Dexi9B01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFFKLPLEAKKVYSMEPGNLEGYGQHFVVSENQKLDWADLFYLILCPKDSRNMRFWPNSPASFRESIDMYSTEAAKIVSCLLRFLAMDMGVEPEYLLERFRGQPQSMRMTYYPPCKQAEKVVGLSPHTDATGLTLLLQANDVQGLQIRKDGKWVAVNALNGAFIVNVGDILEILSNGRYKSIEHRVVVHPTKERLSAAVFHQPCKDATVGPLPELVKKDDETQYSSSGYMDFITGFFAAKLDGRNYLESLKS >Dexi6B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:6B:588272:589381:-1 gene:Dexi6B01G0000670 transcript:Dexi6B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSAALRLKLKQEQADDGSKMQGSGVSSSLELRLGISSDNGAVGVGGNDPPWLGVGVHPWSLATRQAEKAAMEQTHQRPPPPQPVGWPPVGAFRKSHLAGAKAAAEEPSKVAKPAGGPAASMFVKVNLEGCGFGRKVDLLAHQGIAAGREDDEETTTKKDGRKTYILLCEDNEGDRMLVGDVPWE >Dexi7A01G0022450.1:cds pep primary_assembly:Fonio_CM05836:7A:30711904:30714151:1 gene:Dexi7A01G0022450 transcript:Dexi7A01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPRSLHALLGGGAVADVLLWRRRNASAAAVVAATAVWFVFERAGYSLPSVLANALLLLVAILFFWAKSASLLNRPLPPLPNLEVSDVVVEKAADRALVWINRVLAVGHDIAIKRDRKVFIQVILILWVVSYIGMLFNFLTLIYIGVMLSLLVPPLYERYQDQVDEKLGVAHSVLSRHLDTIITRAGQSAKQKKTE >Dexi2B01G0026120.1:cds pep primary_assembly:Fonio_CM05836:2B:35257309:35258912:-1 gene:Dexi2B01G0026120 transcript:Dexi2B01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATVHEIRRTLRADGPATVLAIGTANPTTCIPQDEYTDYYFRLTKSEHLTELKDKLRRICKRSGMEKRFFHHDEALLSANPDFADRTLPSLDARVEIASAAVPELAAAAASKAIAEWGRPATDITHLVFSTYSGARAPSADLRLASLLGLRASVSRTVLSLHGCYGGARSLQLAKEIAENNRGARVLVACSDLTLIGFYGPEAGCLDTIVGQGLFGDGAGAVIVGADPVGPTERAIFEMVHATQATVPGTEDAITMQLMKGGLDFHISDQVPKLLGASIERCLLDAFGSLGMSGVKWNELFWALHPGGRAILDRIEGVLGLEDGKLAASRQVLRDYGNMSGVTVIFVLDEVRKRRAAQGGGGPEWGVMMAFGPGVTIETMVLHALQSNET >Dexi5A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:5A:13806680:13809218:-1 gene:Dexi5A01G0015870 transcript:Dexi5A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLLLLLATASADALTPDGQALLAFKAAVVQDPTGALANWDATDADPCAWNGVACSSSTDATQPRRVVALSLPRKRLVAALPAAPLPSSLRHLNLRSNRLFGRVPPELVSGAPALQSLVLYGNALDGPLPEELGGLAFLQILDLSSNALNGFLPASILKCRRLRALALARNNLTGPLPDGFGAQLSALERLDLSFNGFSGAIPEDIGNLSRLQGTVDLSHNHFTGAIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPSLPNDGDSSGGGKGKGKGLGKIAIVAIVLSDVVGILIIALAFFYCYWRAISSRDTKGHGVAAGSKGSRCGKDCGCFSKDESGTPSEHAEHYDLVSLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGSLSAAMHGKPGTMAFTPLPWEARLNIMKGVAKGVSFLHEFSPKKYVHGDLRPNNVLLGTNMEPYISDFGLGRLANIAGASPFMQSDRVNLEKAQSQQSDASVSPLVSKGSCYQAPEALKTLKPSQKWDVYSYGVLLLEMITGRSPAVLLETMQMDLVQWVQFCIEDKKPSADVLDPFLARDSEREGEMIAMLKVALACVQANPERRPSMRHVAETLERLNGSS >Dexi2A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:2A:14890719:14893176:1 gene:Dexi2A01G0012730 transcript:Dexi2A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLGAAAAAAWRAVRWSVVVPVLQVAVYVCAAMSLMLFLERLYMAAVVAALWLSRRRKRLAADARQRVKDDEDDLEAGVDDHRCPMVLVQIPMFNERQVYRLSIGAACGLSWPSERLVIQVLDDSTDPSIRELVEAECARWARKGVRIRYESRSNREGYKAGAMREGLRKPYARCCELVAIFDADFQPDPDFLRRAVAPLRRDPGVALVQARWRFVNADDCILTRIQEMSLNYHFAVEQEVGSACHAFFGFNGTAGVWRLAALADAGGWKERTTVEDMDLAVRASLRGWRFVYVGDLGVRNELPSTFRAYRYQQHRWSCGPANLFRKVLPEILRSDRVSPWKKLHLLYGFFLVRKVVAHLVTFLFYCVVIPACVLVQGDVHLPKYVAMYVPAAITLLNAACTPRSWHLLVFWILFENVMSMHRSKAAVIGLIEASRANEWVVTDKLGSCKQQAAPVAKKKQQQARRREVHVLELAMGVCLLYCAVYDIVFFGQDHFYMYLLLQSAAAFIVGFGYVGATAPS >Dexi8B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:8B:21220258:21221652:-1 gene:Dexi8B01G0011960 transcript:Dexi8B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVQRSFTIPPPVTKSEEVPLTVFSLFMPSYYYTILFAFTSPNPTNAALLLALTATLPHFPLLTARLTNKPPPRRPCFVTGDQGSGALVVEAAVPASPLSDHLPLVPSPELVLLHPPVVDEQGAAATPHLFGVQITRFACGGVVVATSVQHQAADGCSLSTFLHAWAGAVRAGGAVPRRLGHTVPYGPGALAPRCPPRCEFEHRGAEFLPLSSPQPQNRGGDDDDDDDNAPPPKTETTTTNMLLHYTGEFVAELRASVQDRHTAFEAVSAHLWRKVTAARVCAAAGDGDGDDADTARTTSMVKVAVDGRRRLAGREAVFGNLALTALSRTDVGKLVANGGLADAAALVRAGVRAVDGRYFQSFIDFGALHGDEELVPIWEKPSAETNSCFLDLEVDSWLQLGFDSLDFGLGGRLVGILPARIPLDGVVVLIPSMREAGGIDVLVALHEKPARVLKDIAYTID >Dexi3B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:3B:7764707:7765072:-1 gene:Dexi3B01G0011030 transcript:Dexi3B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METITSTMEGMQQAQQTYPAILLLLQQTSKHHTMFRTKKPFVADGEVSIQKVEKIEPVYNLVRRPSVYTNPTTVTVVAKPHADVAVSSQKMFRGQIKGVPSKKDIDDYIARKKQQFIEPEA >Dexi2B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:2B:10053129:10058307:1 gene:Dexi2B01G0009290 transcript:Dexi2B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPSRPLRSSSMTPGQTLMSSCSSWSCWPVCGGRPADGAPVANAADGGDGARRVGCHPLDCVGAIGRGLRPEDASPVALLTWEDLSVTAASGAVILDKLSGHARPGEVWLLWGLQVVARPPCLMPWLGDLLGPTRKRQVTSSSMADERSSLSEHPIYPCLHCIDRMSKAYVTQETLLMATLTVTEAVHCSAQLQLPDSVPVAEKKARADRAIRQMGLAAVAGRRIGGRVCKGISGGQRRRVSICVELLASPALAFLDEPTSGLDSAASLHVISRIVRLAEEEGMTVVAAVHQPSSEVFQLFHGLCLLAYGTMVYFGPAADAIEFFEANGYPCPLRRNPSDHFLNMINKDFEDLEEGSTLMLPSASEVIQTLADSLITQGTLGMKNEAFTTEKARASMLMFTSTLLTMMSIGGFPSFVEDMKIFRQEQLNGHYGATAFVISNTLSSTPYLGIISIIPGAISYYLTGLQRGFDHFVYFAAVLWACTMLVEGLMMIVAAIVPDFLLGIITGSGIQGLLMLNAGFFRLPSDLPKPIWKYPTYYISYHKYATQGLYKNEFLGLAFEDLGGGGLTVSGDYILRTHLQVEMSYSKWVDLAILLAMVVIYRVLFLVIIRVGEAVKPMIKCISLNL >Dexi3A01G0034370.1:cds pep primary_assembly:Fonio_CM05836:3A:39557848:39558396:-1 gene:Dexi3A01G0034370 transcript:Dexi3A01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLMRSFLLASAAAVCAGAAGVTNTTALDTVCSFLGGYYVTPELCTSVLCHDPTSPCRAARDGPAVAALAARLAAANATAARDSVAAAAAASTPDVETKAGLRACLQLYTGAAAALEWAAGSVAAGRLAGAREVLQAAQYVAAGCDGMVAGEAMPKENGGFDTMVIVAHAVVASLSTAY >Dexi6A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:6A:13032527:13032818:1 gene:Dexi6A01G0010580 transcript:Dexi6A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRRLAGGGHSSGEREASTRRPYHPGDGSEQCGQLADRRQAGYARASAVVNEGVGVPCHGLCREAGKD >Dexi9A01G0043190.1:cds pep primary_assembly:Fonio_CM05836:9A:46696019:46696381:-1 gene:Dexi9A01G0043190 transcript:Dexi9A01G0043190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMRRHLDHTFTPGHRQAWEQQPCAQGGLQLRRPILLACTCARQHFSSAGNLGCVTWIRPRQAATSVQRPGAGASGGQVSTVTAVCRPQLSRAAREPVAQAAQGLAGTAARGRKGAA >Dexi1B01G0024970.1:cds pep primary_assembly:Fonio_CM05836:1B:30187982:30188386:-1 gene:Dexi1B01G0024970 transcript:Dexi1B01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTETEKKKTPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCLVSL >Dexi6A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:6A:4846697:4847512:-1 gene:Dexi6A01G0005330 transcript:Dexi6A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWYLSVFGHGAEKARLNPSMMPFSGFCSTKWRKKRGIEEEQEQSARKVGPLEAYEPSVPPASSSSPAYAGARLPPPALAATRRRAMPERDRPPRRAAGARRRRGQRAAAAGGISKRLSPASPKRDVEAEDTGGERWGQGYFGQKIEAANTREGEGRKPKDQTLNPPRPPPLAAAAKPAGSSHCVASGFARWPPDLDCSSLVSSAAALTGRAAAASRRSFRTVYKAKGYYS >Dexi3B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:3B:3363132:3363949:1 gene:Dexi3B01G0005060 transcript:Dexi3B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNSSVVGLKPAAAVPQASSPAKRVQVVAAKAEGRRAALLGLAAVFAATATAGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKFKCGSNVFWKW >Dexi2B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:2B:30180368:30182276:-1 gene:Dexi2B01G0020030 transcript:Dexi2B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASTLPWTSSFPSSSSSLPLAERRLTASRRAPSLVIVAQGKVKKYRQVILTDDIEEVGKKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRRLSFTQM >Dexi8A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:8A:20099104:20100249:1 gene:Dexi8A01G0011410 transcript:Dexi8A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASGAGGKRITIKSICCRGVRAFVPFQKPPLYAAVSLGGRREKTPPDADGDENPDWDGAAFAFDLDGDGGGQQQQQQQLVVEFEVKAQVPLLGNKLVGTASVPVADLAAAGGGGGDGAALRHVSYQVSAPDGKPNGTLSFAYAITGGAAGAGVPPPQPQLYPTASAPNPSFCCAPPATAAYPPAPAPASFAPPQGGGYPPPPPPPPPPPSASLYPPLHDLLPPSGYPPPPTPNPLFPNPAPNSSSYPPPPPPATAAYPPPPTSCAACPPPAQYGCYPPPPASSNYPPAPPSGGYPPPPTLNLTPPASTYPPPPEPASSAYPVYPRSAPSPPPSAVERSLPYYPAPPGGSYYPPPGTRYPELDGAARSPHFYPPPGTRYS >Dexi9A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:9A:13084198:13088049:1 gene:Dexi9A01G0018010 transcript:Dexi9A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRPAPPAPAAAVTVPRRHLLTVHSRLKAPPAPPPLACLRLRTAAPPRGIPPRTGCRATAADADAAPSQGPGGDGGARSALVRLGEMLSLGFPLWVSSACALALWRPSSFLWVGPTTQMIALTFTMLGMGMTLTLDDLKTALLMPRELAVGFILQYTVMPLSGYFISKLLKLPAHYAAGLILVSCCPGGTASNIVTYLSRANVALSVLMTAASTFAASFMTPLLTSKLAGQYVAVDPMGLLVSTSQVVLAPVILGALLNQYCNGLVQLVSPLMPLIAVAAVAVLCGNAIAQNASAILSSGVQVVLSVGCLHASGFFFGYVLSRILGIDISSARTISIEVGMQNSVLGVVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPPTDKTE >Dexi2B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:2B:28921582:28922301:-1 gene:Dexi2B01G0018700 transcript:Dexi2B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKSSAFSAHMPAFTITSTLQAEYSGTDQSALKAADKITALPGQPSNGVDFDQYSGYVTVDEKNGRALFYYFVEATNNAATKPLLLWLNGEVP >Dexi3A01G0025800.1:cds pep primary_assembly:Fonio_CM05836:3A:21722424:21723609:-1 gene:Dexi3A01G0025800 transcript:Dexi3A01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSILQYLAFFLSFSFGGATTAHVPDQDVPASLSKLHLDGHFSFHDVSTVAWDFGNLSSFMPAAVLHPGSVDDIATTVRHVFLKGEHSMLTVAARGQGHSLQGQCQAAGGIVIKMESLPAARMKVCSGASPYVDASGGELWINVLHETFKYGLAPKSWTDYLHLTIGGTLSNAGVSGQTFRHGPQISNVKELEIVTGRGDIVTCSHEQNSDLFHAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFTSFTEDQEMLISAARTFDYIEGFVMINRTGILNNWRSSFNPKDPVWASQFETDGTVLFCLEMTKNYNPEEVDNMEQVSSQLAYH >Dexi5B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:5B:6187660:6189495:-1 gene:Dexi5B01G0009100 transcript:Dexi5B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLTPCGTEDEEESPPPSTPSQAAPREEHREQHHYNRDAPPGGMAAEDVAAVEAVLGYEFADKYLVELALTHASFYFPYRTGDTYERLEYLGDAVLTCLVSREVFRTYRTLPPGPLTRPRAANVDKEKLARVAVGRGLHRFLRHKAPQLEGQIHVFVEEMGKYPVHSSGLLDPPKVLSDIVESLIGAIYSDSNFDQEKVWRVHISLKTETPFYCLLGIIIFLIL >Dexi7B01G0022790.1:cds pep primary_assembly:Fonio_CM05836:7B:27607954:27608259:-1 gene:Dexi7B01G0022790 transcript:Dexi7B01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAASSSASAALARLPGPTQPRALPRSGWREHRRPRRATVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQVAAALPDPSWFGIEFALSAVRNNV >Dexi6B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:6B:4718323:4719958:-1 gene:Dexi6B01G0005460 transcript:Dexi6B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVSYAFHSERKRNPEKFKNQLTNQREYTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPTDDDTVVVEISHLGQVAMLANEPCRSKSINDPSLPSPSHDSHDDAKEDVEEDEDEWEDGRRKFGAADTFKLPDEIDIAHIS >Dexi3B01G0035730.1:cds pep primary_assembly:Fonio_CM05836:3B:38709688:38713614:-1 gene:Dexi3B01G0035730 transcript:Dexi3B01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGATDYSFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSPPASPSASSSPLPVVRPLTPSSLCSAXXXXXXXXXXXXXXXXXSFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSPPASPSASSSPLPVVRPLTPSSLCSAIHAHAHAHAHAHPAPRSAAPAPAVGGAVVDSPTSVIENHHAAAHHSAELPSSPSDDEGADDGGGGPQALPPKPRHQAAVTFAETSGSLLQSSDDEDGFEDEDEDEDEDGDDAAAGDSRPRAAAGQSSGSLSPAHWRGGRSRGCYRCGKGGGFWGRDKESCLACGARYCAGCVLRAMGSMPEGRKCLDCIGRPVAECRRDALGRGSRVLRRLLSAAEVELVMRSERECAANQLRADDVYVNGSKLSPEELVVLQGCQCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIISANLNVGGLLDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKAANQCGEEDVHMGNRAIPEYLEQRTIQKLLLVGSGASTILKQAKFLYKNKPFSVDEREDLKLIIQSNIYNYLGILLEGRERFEDEALADRRNSQHDPCSSGRCESGLCDEVTEYSLIPRLKAFSDWILKAMALGNVEDIFPAASREYAPLVEELWKDHAIQATYKRRSELPFLPPAANYFLDKAVDISRTEYELSDMDILYADGITSSDGLATTEFSFPQMSLGGHGADEPDPQDTLLRYQLIRINDRGLHENCKWLQMFDDVRLVIFCVSASDYDEYYEDANGAIVNKMIESRQLFESIALHPTFEQMDFLLLLTKFDLLEQKINTSPLTSCEWFNDFTPLISRNLLSGNSRSTRGSQTGATLAQMAAHYMAAKFKRLFDSLTGQKLYVSYVNALDQESVRSVIRYSREIIKWEEEKPVFGASDTVYSEEPSSFTH >Dexi9A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:9A:1426335:1427163:1 gene:Dexi9A01G0002640 transcript:Dexi9A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSGWSSSVLGDKEVRLMRNRSLTKEEVEAFWRQHGRSPAENGDRSHKEKETIPPLAARRLEVVRSMPPLRGGTRSDDDPSSPSPRGGLQAQSLFSPRSEPCSPATNRGQSLSCFFPENAADSSSTSNGWWTRSSWAFLNEAPKDKEEVVLGMGRAQRQRQSFVCDQFHAARILTGNA >Dexi2B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:2B:12429289:12437411:-1 gene:Dexi2B01G0010930 transcript:Dexi2B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTRLLLLARRADRRRSLPLLLPRTVHAAAAVEGPSPTAPPPPRLPASPPVRSYSSAFTSVHGGRPSSEYAKIRKESLETQFGRILGSNVIFQIATGKLIKCPFTLQLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALRTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLRSGELVAVKVQRPGMASLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYIMEGKNAERFATLYSHGSGGHKSEGNTSIKAPKVYWNYTRKTILTLEWIDGIKLTDAERISKANLNRKRMIDELVHYVNRDSLGLANDFHSLGFVPEGTDLHAVAAALRISFGDVRRQSTDFQGVMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICGDGSIRWNRLERLISAISAQSANASGSGSGSAENANGSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFDKDGKMGELNPERSKMIRRLVNAVQSFRQAIKLAPDAWSAMLIRTLLKPESQNFILDVFFSLASHSSYKIPETFWLCMSRFLNYLDKQDTL >Dexi5A01G0036810.1:cds pep primary_assembly:Fonio_CM05836:5A:38244217:38245540:1 gene:Dexi5A01G0036810 transcript:Dexi5A01G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPRTVHLRRSSSSSSPGDTVAIAVDGSAGVDLARVGLALGLDPASVRPNGYFLSRGPGHVCTAVTWRALLAFFAARGLPTGADAAAPIAVDGKPTAPPAPTADPTTIVCSKRKYGLEVERHPKKSKPQENRSSLSKQRDDILSEEIVLGLKRRLRLDDTIPAKKMKLSRTRLG >Dexi5B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:5B:6700064:6700807:1 gene:Dexi5B01G0009660 transcript:Dexi5B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPRPRRPPTPPAPPPVPSPPQAMAPAGTAGGGGEEPRQEFEIRNDEGFVYKVPAGLYPEAAPSSTKAAAGPDPEVAGLRRRRRALLRLRDKRLRQLFRWEALASELLAPLPAARPPAPQSPPASPPPVSTAASDSILDDLLAQADLQAELLKKASALCGEINALCDAHEAALVDDIAALPVWGSSPRELVASFGACPDEQSADPGTS >Dexi5A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:5A:20481416:20482996:1 gene:Dexi5A01G0017280 transcript:Dexi5A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCCDGDADWYQYSLDDDFPPLCSSASPLAILSAQDYPLPIAAAPPPHLSRSNGDELIEVSCHGALVAAADYPSSALMNLREDESYYGLPFFSAPPAATGDQYHYSNQLPPLPADIATVGLDDALLQPLGDIDLEAFDTADVEHKPPHHMQADHHPVAQEYAGLDVDFHEEDQKPMVISDTFRPRAHAFEMMNMNHHAIADHQEAKPAVPALLPPPSLPRPRGRRTGGDYRSSAPAAGKTRLDHIGFEELRKYFYMPITRAAREMHVGLTVLKKRCRELGIARWPHRKMKSLKSLILNVQEMGNGMNAAAVQEELAALETYCALMEENPAIELTEHTKKLRQACFKESYKRRRAAAVNVIDHHFYDFGNQYNHRQLPPPPQPGNAESHGHDSSFFGY >DexiUA01G0001230.1:cds pep primary_assembly:Fonio_CM05836:UA:3652926:3654200:1 gene:DexiUA01G0001230 transcript:DexiUA01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVLHCPAETSKLVGELAAELPDAAGEVVPFPAEFVFLPPELVLLPPERVLLPPELVVLLAEHVVPPAEELVVLPAAELRHLVMDFGEVREAALEAGDDVQARDRVPVADDGAAGGTRLWRGLYVPEVEGGGGGVIVACRQIGRGGAFVKPGCRVQAVGAPQRGTGGRARSGDGGTLQRWRLRPCHRSVVDDGAGVGEDPRESRAARGDGVESASSSPRRDPHRS >Dexi1B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:1B:14680752:14684280:-1 gene:Dexi1B01G0012210 transcript:Dexi1B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADTIRTAIGVIGNGTALVLFLSPVPTFITIWKKRSVEQYSAIPYVATLLNCMMWVLYGLPVVHPHSMLVITINGTGMAIQLTYVTLFLLYSAGAARRKVFLLLAGEVAFVGAVAAMVLTLAHTHERRSMIVGVLCVLFGTGMYAAPLAVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIKFDLYITIPNGLGVLFAVAQLVLYAIYYKSTQQIIEARKRKADQVAMTEVVVDATRASNNTGY >Dexi2B01G0026780.1:cds pep primary_assembly:Fonio_CM05836:2B:35884500:35885182:-1 gene:Dexi2B01G0026780 transcript:Dexi2B01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSRATAPDSGRGGTNGYGYSNQAKPAQTPPSYNAPQPPAEVRYTPPAMNPPVVPPVVVPPKPTADAILGKPYEDVRTVYSLGKELGRGQFGVTYLCTEISTGRQYACKSISKRKLTSKADKEDIRREIQIMQHLSGQPNIVEFRGAYEDKSNVHVVMELCAGGH >Dexi1B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:1B:2580232:2583274:-1 gene:Dexi1B01G0003200 transcript:Dexi1B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVTPTASAAAAAAADGAGGEGSSSAARTPSLRHRAMSASSKLLRSSLSRKSMGRRSSKVMSVSIEDVRDAEDMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIEKSKQMWSDMLQWRKEFGSDTIMDDFVFEEMDQVLEHYPQGHHGVDKDGRPVYMEKLGQIDTTKLLQVTSMDRYVQYHVREFERAFAVKFPACSISAKKHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSADLHEADEKLITEDEIVPVPKKQESMRRSTVDSPKVAREKIEHPQMSPLHEMKTANDPPAPSGKKESYDSSLFHGVDWSGEVSEEKLQIARDMYAQLPDAYKQGDPGDRQVVSGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKKTRQMQMQQQQLAGPDSVVVSAAQYQALVKRLDDLEGKVAALAARPPEMPADQEEILKAAVSRVEALETELENTKKLLETSVGQQEEVLAYIEKKKKKRGMQNPFRW >Dexi4A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:4A:3302534:3306408:-1 gene:Dexi4A01G0004690 transcript:Dexi4A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAYPYRPALKTPRGRQRRQCLSLAAAPRLAGCKSSTTSTPRRPSRAPLAREQRRRAGEEMKLKQLEGLLGGLTQFPAPKVELEQYPTGPHIASRMLYTLYHTHSDINQAENSFDDITGKVVADFGCGCGTLAVASALLDAEHVIGIDVDPQALELAQENAADLEMDVDLIWSDIKNLNLKVFQSIPSLLSDRKQFGAGILVDTVVMNPPFGTRKKGADMEFLSMGLKVCVASQAVYSLHKTSTREVYFSQNNRKFTDIQTVPIISRRQPCVIAMPSALRFYASCDTIFRRHISSTRKRRSTLPSTCGALFLTPDAAASSAQALRPRHEQETQR >Dexi1A01G0031900.1:cds pep primary_assembly:Fonio_CM05836:1A:36678431:36683158:-1 gene:Dexi1A01G0031900 transcript:Dexi1A01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGINGGVSSLAAAPHDHWVLIGPAGGSPRPPARYKVRTGRRGLAPFLVSFVSCRHCYGLWRLYVILRRRYLVHRNFNGKSRRVFRHAAQVVQDKLYVVGGSRNGRSLSDVQVFDFNTFTWSALKPTRDSNQLNHENNGAVRSFPALAGHSLVKWKNNLVIVAGNTRLTSSSNKVSVWLIDVETNIWSAVDTYGEVPTARGGQSVSLLGSRLLMFGGEDNKRRLLNDIHILDLETMVWEEVKTEKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFNDLYLLDLRNLEWSQPDTQGAHIAPRSGHAGTMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSVSARDQLACEGLTLCSTTVDGENFLIAFGGYNGQYSNEIFVLKLKARNLVQPRLLQSPAAAAAAASVTAAYAVITSTIEKTKDIISTDDFDIKKAKPARSSKKFVAEIGVVNGEKDKLESRLAGVRDENSKLKDKLDLTNLSYGELEKELKSVQNQLAAEGSRCQKLESQIAASRKRLESAASLENELEVLRRQISQVEQTMTTTQRQKSGGVWKWVAGSAEVSDDE >Dexi2A01G0021460.1:cds pep primary_assembly:Fonio_CM05836:2A:33536652:33544485:1 gene:Dexi2A01G0021460 transcript:Dexi2A01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGCAAGGGLEVGGGRRAPSRQATSALVVHGCCGGVLGIICRSLASWTTAARSAVAAVQACEICVAAVWPSGPKQVASQSLNRVEAKAAVVTMQSSRFWGQGDSESEEEEEEVESEQGSDSEDDGGRGAGGRGTQNRYLKTQEDDSDESDSGHRVIRSLRDKRNDEMRSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVVRVNESTEIPKMYTKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQIQKCREHPESFEDEAADDIDDDTDDDEDSGAEIEDPLEVGSDSEADDKEDPDNDNTGPWTTVNKKDKMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVKVWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYNGTIHVTGDLVAFLERLDTEFFKTLQCTDPYTKDYVQRLREEPQFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQPEDSVEEGDAETGDEPQAMDDNRGPPPFVVIPEIVPRKPTFPDSGRTLMDGLMSLIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLINEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLEVSERQTFVGPPENVRDHVMAATRALNKGDHQKAFSVVSSLEIWKLLRNREHVLEMLKLKIKEEALRTYLFSYSSCYESLSLNQLTTMFDLSEQHAHSIVSKMMMHEELHASWDQPTKCIVFHSVDQTRLQGLLFHMADKLSVLVESNERAYEAKTGGTLEGLPPRRRGEGQDSSNLGRWQENFVQGRQGGGNRSGYAGRGGGSGQGGGYQRDRGNQGSRGGYSGGGSRFQDGRGRNQSGSSARGGDGGARMVSLNRTGRV >Dexi6B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:6B:26784453:26784763:-1 gene:Dexi6B01G0020260 transcript:Dexi6B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRSTYAPPVPLASSPLFSRAGGGARSAPRLRSAAALIVQRVLPPPRRPFSDDELRSNAPQVVTCNDYQREVAVTQTIAGKQFDRVFTFDKV >Dexi8B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:8B:913274:914377:-1 gene:Dexi8B01G0001340 transcript:Dexi8B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTQHEEGIIVHLQSMLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPASESSSIVPTTTADATGHVIEHHHHVDMIPHHNPLSKNNYAHINPGEEVTQLIARRSPFAATIDGDSSSSPYASSMDNISKLLNGFMKSSSPQNGATDIKPSATEINPLLSFNHMSSSTSPPPVFSNILPLPPPQQPALMGHRGYYGDELKQQHQQGALSPIEKWLFEEATEQVVNLMDLSDDGCCSVPMMF >DexiUA01G0004520.1:cds pep primary_assembly:Fonio_CM05836:UA:8613286:8615363:-1 gene:DexiUA01G0004520 transcript:DexiUA01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKKKTPAELLRENKRMLEKSIREIERERQGLQAQEKKLINEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVSQVLDEIGIDVNSELVKAPATAVAKPVAAGKVPAQAEAAGGPDGGIDDDLQARLDNLRNM >Dexi1B01G0022780.1:cds pep primary_assembly:Fonio_CM05836:1B:28460299:28464575:1 gene:Dexi1B01G0022780 transcript:Dexi1B01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >Dexi9A01G0038380.1:cds pep primary_assembly:Fonio_CM05836:9A:42660415:42661290:1 gene:Dexi9A01G0038380 transcript:Dexi9A01G0038380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKVGAYWLGQRANKEISSAGDDIESLSTSVSDGAKWLVNKFKGKMQKPLPDLLKEHGLPVGLFPREATNYELAPETRRLTVYIPSPCEVGYRDGSELRFDATVSGTLGDGRLTEVEGIKTKVLVWARVTAVKADAAKVHFTAGIKRSRSRDAYEVVRGGITVDEF >Dexi7A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:7A:27631784:27632430:1 gene:Dexi7A01G0018170 transcript:Dexi7A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASSGDGAPELAVSGHGERAAMPISGHGERAAVSKGTPLDSLDYPCTARLRFRRLLGYLRENTCGEIYSVAKARLGVIFHVEDLVEQVKEGKLMEAFNYVRIYAPFEQSSDEAELLVLFLNDLMAISSFAQGDIMVQGLSATGSKTCTSILCSPSIPASLPSLLMYSSCAQIMSGIFCRQYYF >Dexi2B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:2B:2534360:2536367:1 gene:Dexi2B01G0002950 transcript:Dexi2B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPKPWERSGGEGTSGPAPFKPPSGSSTSDVVEASGTTKPGENVATAERNASANVNSTVTRPMPQRPWQQTGYGNTYGGYSGSNMYSSYGGYGNTYGTGGLYGNSMYSSYGGGYGGGMYGGGMGGYGGGMYGGMGGYGGYGMGGMGGMGIGPYGNQDPNAMGPPASPPGFWMSFLRVMHGVVNFFGRISFLVEQNTQASYFFMTAMLQLFDRSGMLYGELARFVLRLLGFKRKPKKGSLQGPGAPAFDGPSQQFMEAPKAGNNWDNVWGN >Dexi9A01G0043940.1:cds pep primary_assembly:Fonio_CM05836:9A:47622642:47624722:1 gene:Dexi9A01G0043940 transcript:Dexi9A01G0043940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACARAAGEGLPMVRAPTGRALARSSAGAVNRIYEIKGRIQTRPLAICVADVSDISRFALVDHLPHGLLDSLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPDQDFIRSIARGAGSALALTSANLSGQPSSVSIKDFEDLWPHCSFVFDGGILPSGRAGSTIVDLITPGAFKILRDGSSRHETTAVLGKFGFVEAC >Dexi6B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:6B:25246426:25249334:1 gene:Dexi6B01G0018210 transcript:Dexi6B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKAVPATIGAGAVPGGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGSPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPPQLPPPSYARPGRPRLSEFHDRSFEGDYGREVDDIGNGIGETGARGKLVKRNKKSSGELDIYGGHRINVEAIGTLGEDSRSEIRSRGDRDIDNQQILQAEEKEVVSSVATVLSDLCGPGEWMPMAKLHTEACYWNFDAKLEALHFSLISPNSHNIIILYLLATDYSVFFDCLQLLEQFGNVWHPSRVRKYLTTDDWSPTETKGRPWFGLLALLRKYPEHFVINTRSKGRMTSEFVSLVSLLS >Dexi5B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:5B:22646411:22646932:1 gene:Dexi5B01G0020430 transcript:Dexi5B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQLLRGGCHTDAAGQEEALEGGRIGVRLRAPPLLAAPHLWSSALFALVEAGSAACTCLLPQRPFPGSPPSLTYGVISGRCRDQRACNPVWRGCNWRLLVCCRVIETVGKGTLSEPHRVRQFRTKHGHAPSGNGARHGGGGCASDVLEELRRQQIFGPASRARRGTARLTRR >Dexi2B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:2B:11749056:11750320:-1 gene:Dexi2B01G0010480 transcript:Dexi2B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFSRLQTLTRTLLLAVTAAAVLLPQSSSSQDLQIGLPGCPTSCGDVSVPYPFAPGCSLAGFNLTCDTTHTPPRLLVGNGTLHVTGVSLDDYTVRVLGPAMDFSSVLRLKEGWSTIGTWGGTPWGLSYAGPYVLSETHNEFILWGCNVFAEVRLASAGQLITSCGSVCEDPDSNGVSECALHYNGSGHCDRCYGVSCCQMPVPIASMSYFVKLTSMLDSPEDFAGVIAEEGWLEPSVAAEAARTLI >Dexi9B01G0037460.1:cds pep primary_assembly:Fonio_CM05836:9B:38868329:38868907:-1 gene:Dexi9B01G0037460 transcript:Dexi9B01G0037460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGEPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPEKCLEKGRQVNCEQLW >Dexi2A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:2A:25036152:25037264:-1 gene:Dexi2A01G0014880 transcript:Dexi2A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHHLTEVALLASASADLAAAGAGEREGWLDDPAVLPSLGPRGRDLAVASAARSVLVIIPVAGGGAGGVTVNPALGPEEGRISAVEWVPLTAEDDGEGEEGVAVAVGTDTGWLLFYSLAGDLLHKQSIYPAKILKLNFRERKENVWEDSGSDELSIVFPGLIARFDGADLQVL >Dexi9A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:9A:8330626:8337036:1 gene:Dexi9A01G0012990 transcript:Dexi9A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGREDEEVSRKLKSMEVDKADNGEESPRPAIKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASIASLIGMFFLTLTAAADSLHPPECAVGAACEKATSYQFAVLFIAFAFLVLGSAGIRPCSMPFGADQFDPNTESGKRGINSFFNWYYFTFTAAMMISATVIIYVQSNLSWPIGLGIPTALMFLACVLFFMGTKLYVRVTPEGSPFTSVVQVLSAAFKKRSLKQPKDPKQDLFSPPHTSAIVTKLAHTDQFRCLDKAAIVASPDEVRPGGSSPADPWRLCSVQQVEEVKCLIRIAPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRGSFEIPAASFTVFAMLAQTLWIPLYDRILLPRLRKVTGKEEGLTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSQPSLGKTMMGGDISAMSSLWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHKTTGSGQNWLAQDLNKGRLDLFYWTIAGIGVFNFIYFVLCARWYRFKGASH >Dexi3A01G0035840.1:cds pep primary_assembly:Fonio_CM05836:3A:41132157:41137567:1 gene:Dexi3A01G0035840 transcript:Dexi3A01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAADHVCYVHCNFCNTVLALFDTQENIKVHGINGNHLEFGSSSSKFRLPMMYTPLNEHHLLQEQTLNARRAGRDSGKKLVDEAVSATTPAPKKIQGLY >Dexi9B01G0039450.1:cds pep primary_assembly:Fonio_CM05836:9B:40126211:40135482:-1 gene:Dexi9B01G0039450 transcript:Dexi9B01G0039450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSGTTLMDLITSDPSAASGAGASSQQQSSSGSGGGGGVGSLLGKPAAADRKSRKGTLTQIQNETISAAKALNPVKPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSDDVEFHARRLAALKALTSASTSSSEMMEKLSEIVFGILEKVADNKQKRKKGIFTKQGGDKESIIRGNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHSLSIISEIAAKDPYSVAMALGKSAQPGGALQDILHLHDVLARVYLAKLCHSISRARALDQRPDIKSQYSSLLYQLLLDPSDRVCFEAINCVLGKVDNTESTEDRAAGWIRLTREILKLPEAPSVASKGVLSKSSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAYALGAYDEGAPLDVESLDSDLENPMAEATRKPNPLSNGHGGMDTIASLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFDELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSVSGLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFAELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWSDDELKKLYETHERLLDFVSLFCFVPRTKYLPLGPTSSKLIEIYRNRHNISASVGLSDPAVATGISDLMYESKDVHKETATMQSGIDPDLAMAWAAGLEDDDWANNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYEAEEDDGRYSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSSHGASQQTIREEPPSYSTSVLQRKESFENPLAGRGGRSFGSHDDEDKSSGNPQSGKAMYDFTAGGDDELSLTTGEEVEIEYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >Dexi9A01G0040360.1:cds pep primary_assembly:Fonio_CM05836:9A:44130185:44139288:-1 gene:Dexi9A01G0040360 transcript:Dexi9A01G0040360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSGTTLMDLITSDPSAASGAGASSQQQSSSGSGGGGGVGSLLGKPAAADRKSRKGTLTQIQNETISAAKALNPVKPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSNDVEFHARRLAALKALTSASTSSSEMMENLSEIVFGILEKVADNKQKRKKGIFTKQGGDKESIIRGNLQYASLSALRRLPLDPGKSAQPGGALQDILHLHDVLARVYLAKLCHSISRARALDQRPDIKSQYSSLLYQLLLDPSDRVCFEAINCVLGKVDNTESTEDRAAGWIRLTREILKLPEAPSVASKGVLSKSSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAYALGAYDEGAPLDVESLDSDLENPMAEATRKPNPLSNGHGGMDTIASLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFDELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFAELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWSDDELKKLYETHERLLDFVSLFCFVPRTKYLPLGPTSSKLIEIYRNRHNISASVGLSDPAVATGISDLMYESKDVHKETATMQSGIDPDLAMAWAAGLEDDDWANNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYEAEEDDGRYSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSSHGASQQTIREEPPSYSTSVLQRKESFENPLAGRGGRSFGSHDDEDKSSGNPQSGKAMYDFTAGGDDELSLTTGEEVEIEYEVDGWYYVKKKRPGKDGKMAGLVPVLYVSS >Dexi9B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:9B:7820038:7821557:1 gene:Dexi9B01G0011910 transcript:Dexi9B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADSSLARFLVTSIKRAARLRCGEQLHALAAKSGLLVSNPFVRNSVLAFYSRLPSSPGSAHQLFDETPPPLRDAAARNILLAALARGGQLDRAQRFLEEMPHGHRDAVSYTTVVTALARAGHAGRAVAVFRCMLAGDVVPNEVTLAGVVTAFACHGAPATVGVAHGVALRRGLDVFVIVATNLVHAYAGVSELCSARAVFDQMLDRNTVTWNAMLNGYVKAGVMEMAAEVFWRIPEKDEVSWLTMVDGYIRADLMTDALKAYVYMVGEVGSNGNETLLVDLVKACAQYSLVAEGQQLHSVILKNGFDAHAFLQATLIHFYGCCGLIGLARMQFTLSDKSHIASWNALLASLSRKNLMHEAQQLFDDMPERDTISWSTLISGYVLNRCSDIALQLFFSMLNAGAEPNEITLASALTAVAESGTLAQGRWIHDYIISRSFRVTDNLSAGLINMYAKCGSLADAVQLFNHYKD >Dexi6B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:6B:20768762:20772948:-1 gene:Dexi6B01G0013040 transcript:Dexi6B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGVSASPVLHLPSLRMPGNRLLPRRPATGAPAVEFYRIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPEESQPIKFLRKFMPDYA >Dexi6A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:6A:20353143:20354793:-1 gene:Dexi6A01G0013290 transcript:Dexi6A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDGFDFRQPFKSLPRVVTSPGIISDPDWDMRSDDDSVGSASSAERKIIVANFLPLNWTRDEAGQLSFSLDDDALLMQLKHGFSNETDVVYVGSLKVQVDPSEQDQVAQKLLREYRCIPTFLPSDLHQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRNLFQAYVRANKLFADKVMEAINSDDDYVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESVLKLPSTVSKVREIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLERNPKLREKLVLVQIINPARSTGKDVQEAITEAVSVAERINRKYGSSSYKPVVLIDHRIPFYEKIAFYAASDCCIVNAVRDVLLKIWKEHAKIIIAEGVGLLGLV >Dexi1B01G0030770.1:cds pep primary_assembly:Fonio_CM05836:1B:34630104:34632206:-1 gene:Dexi1B01G0030770 transcript:Dexi1B01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDRRRGSSGAHLAPSSWGPPEHDRWAWSPAWSERGGGTTSSSSSSFKSLFRSIGVWFTSATTSAASRRKRRSKEASPAADDVTKKPPPAALTAPTGKPSGRGLYGVGSGYRNGSGRQQLRASFQSSVFSMEEILRATSNFSPALKIGQGGFGAVYKGVLPDGTAVAVKRAKQRMQNPNVDVEFRSEVKIMARIEHQSLVRFYGYLECGEERIVVVEYVPNGTLREHLDRCNGKFLDLGTRLDIAIDVAHAVTYLHMYSDHPIIHRDIKSSNILLTNSLRAKVADFGFARLGIGEGSESEARTHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVELASARRPIETKREMKERLTARWAMARFIAGAAADVLDPHLARTPAADAALHMLLDLAFRCMGPLRNDRPAMADCCRALWAVRKTYRDMLAAAVTPQTSDRPTGADLWRI >Dexi1B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:1B:7639889:7640206:1 gene:Dexi1B01G0008780 transcript:Dexi1B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPGGGVSVDGGDGCSFFGGGGVSVGDGGVAGGGGTWPQGGKMEGLLPRQPVVAAASKTATASCTSARKPAGRCGSAAIAQLFVVYNCTKILQLRSVRAHNAVY >Dexi7B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:7B:23303239:23305083:1 gene:Dexi7B01G0017310 transcript:Dexi7B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCYSDWPPIPPFHPSRRTTPPQSHTPLWAIRRQLASFVLHCSRSSCASPLLEPKNLPADEFPAVPAAAPVSSPMPDAAPNLGISNKFIRGLCGDPQTEQLAFECYRRALLQQPGFLPEKKTANALTLHLLRAKQWSSLELLVEDFRLYGVLPEKRTCARLVAGCIRARRFGLADAVLGVLESKKGAAAVMAFAAAMQAYNKLHMYRSTVLLCGQARLAGLPLGADAYRAVMAACAALGETDMVASLFELYRSHEWYPAADADGAVEAYAIVCDALGKAAGRALDALRCLREMEADGLSPNAAIYSSVIGALADAREKAAAEDLYHEAWDSEMLGDPDMFLKMTVMHVEAGAVEETIEVAKDMRQIGLRVTDCILSTIVNGFVKRRGLKPAIRAYDRLVFIGCEPGQVTYASVINVYCRLGRSDRAEAVFSEMIGRGFDKCVVAYGNMISMYGKIRRASEAMKLLAMMKQKGCEPNVLVYNSLLDMHGRLGNAKQAEKIWKEMKRRKVWPDRISYTAIILANNRGGEFERCMELYQEFRETGRKVDKVMAGLMVGVFSKCSRFNELIDLLKEMNGTKLDRRLYTTVLRSLRDAGLEVHVKWLQSNFTFMEEKT >Dexi2B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:2B:9980624:9983438:1 gene:Dexi2B01G0009200 transcript:Dexi2B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPRSPLRAAALFVLLVGVAFLAGAGGTEVLSKSRLERCERDSDAGGALSCKQKLVLNLAVPAGSVLSKSRLERCERDSDAGGALSCKQKLVLNLAVPAGSSGGEASLVTKVVDAENGTEAATRSIQDPPVVTIEKTAVSAVYAINYLMDVAYRPEERVVETRKCEPDAGANVVGGCERLWNGNGAVIEHTEVRNIVPVCISFPFVVPVGLINVHHHLVETFVSLEIIIIPSLFCFSTIPNSSFTLVHFVVDKMVKGKRNTAHCLRFQDDCGLPKLD >Dexi2B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:2B:18800036:18800899:-1 gene:Dexi2B01G0012540 transcript:Dexi2B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPEVIAEKKCDKAVAGNAAPLTSGGQSKESAENASKLGHASPSEITTSDAPTSCGVKESSMDVSNSADDSVKLEDSEDAEKCSLRGSVKDSSVSAKCSDRASSLSKASGSAKVSDQAADMIESGKSSLYRASGGSDVSDESTCSSICSSVSKPHKSNDSKWEAIQAVRTKEGSVGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEQAAK >Dexi9B01G0038070.1:cds pep primary_assembly:Fonio_CM05836:9B:39264560:39264876:1 gene:Dexi9B01G0038070 transcript:Dexi9B01G0038070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTCQAVLDGTSCKDVRGIVNGATENGHHGTDAHADEWREKEEDLPNGHSVPPGVQQVDEQKEEQAQTIMWERFLPVKTLRVLLVENDDSTLLL >Dexi3B01G0031460.1:cds pep primary_assembly:Fonio_CM05836:3B:32920104:32921759:1 gene:Dexi3B01G0031460 transcript:Dexi3B01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDGGDEDEE >Dexi2B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:2B:28977811:28978133:1 gene:Dexi2B01G0018770 transcript:Dexi2B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASGGGAAAHRHHAVSPVGVGGRRCGGPEAGGGGAEEEPVSHVRTVVRVAVGGRGGSRGQTGRRRRRRTAMGRRNRGRSGGVI >DexiUA01G0027340.1:cds pep primary_assembly:Fonio_CM05836:UA:58472977:58474332:1 gene:DexiUA01G0027340 transcript:DexiUA01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSYWNGSSTSIHSGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALSDKASDAFYKLSNTREPIQSYVFDVIRATVPKLDLDDAFEQKNDIAKAVEEELEKAMSTYGYEIVQTL >Dexi1B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:1B:433184:435221:1 gene:Dexi1B01G0000470 transcript:Dexi1B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKPFASFHFSGWLLPPQRPAAARRNACRATSEASRLSGHRGGREMPRDRDEPAALRVYTVCDESKYLIVRNVPSLGCGDDLANLFGAYGPVEECKPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVTYAPHFETLLDTKEKLEVRRKEVLGRIKSSAGRPEGTSQYSLAQGSSSGNHHQMNSNKREYVKTIHALHIEDPRFSHVPSNKVCGKHMLTRLTRNLPCEDYFPSESMNATVNLVREKLDKIQSGGDNSNASAASKKPRVDNRRRI >Dexi1A01G0023590.1:cds pep primary_assembly:Fonio_CM05836:1A:30193163:30194520:-1 gene:Dexi1A01G0023590 transcript:Dexi1A01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFHDRGEPERKEMDMPRPPQLAGVSPAAVYFSGGGASGNWRKRPREAVMGPPPPATKEEYVNLFTLQPHQSTSFANMAAHFQSQNNRVSSSPSPAATALVSTGLRLAFDEQQQQQQQQESKQMNALRYSSSPSLFSSVSDELAAQVKQHDDEIDRFIREQGEQLRRAMADRLRRHNRALLVKADQSAVRRLREKAAEAEREARRGAELEERLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAAAARASVEELAAGEAVGPAESSSSAYVDPRRGAGPSSDRACVGCRLRPASVVLLPCRHLSLCGECFAAGDAEAAMACPVCLCVRTGSVEAILC >Dexi6B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:6B:16631665:16632945:-1 gene:Dexi6B01G0010270 transcript:Dexi6B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDYYEILNVDRGATDDDLRRAYRRLAMRWHPDKNPAAKADAETRFKEITEAYNVLSDADKRAVYDEYGEEGLSGEVPQASGGGNDDIFAEFFGSTPFTYCNTGGGGGGSTRGSRQPPPPPAWDGGFGRTYRRNQSGGAASSKAPPPPPTAVETRLACTLEELYVGVTKKMRIGRNVMDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWNQVPADLVFVVDEKPHPVYRRDGNDLVTDVRVTLAEALGGTVVVLAALDGRELAVDVGGGGGEDVEDAPVVCPGYEVVVPMEGMPIARERGRRGSLRIRFDVAFPERLTRRQRAQIKRVLEGAGPARPAAS >Dexi7B01G0024670.1:cds pep primary_assembly:Fonio_CM05836:7B:28970530:28977576:-1 gene:Dexi7B01G0024670 transcript:Dexi7B01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGGGGDDMMLGFKEDDAMRFIFGEDIVGMDDPGAFDRSLMELQVFKEVFCGPTDTPTASEPQPATLAAVPQEHAQPHGPDLDAAAYGFMGYLQPGHPLPDQHRFPAATTTLEDTPQGVTCNAVGLGSSTTTSAVDDPMPSYMEALAEISQFQSATTLLSDPFLHHWLQDQQHYPSFTYDQDQGDDAAYPLRTTLKDLSDTGAIEQHPFYREQAHGTPALPQQSQFWFSPTQLTETEAICQNGTPDVNVSSLDEIDLLGGSSSVQSGSATTVSKKALGRDIPDQLEVHAHRLFKDAGWTIKPRKRNDRAKMASYFTAPNREAVHTSLTQAWKFCGNKLYEASTDSERGRYPKEWSDVDAFWKDLTDTMAYVDRMLANQQNALTLLQRWQILDPFIAVVFISRKITALQQHKTLRAVNSTTFVLDGSTYMSSETTMDMSATGIINAPEEYGLHSGVDTLKNYMKAESKSEKLYEDDQNNKFGMLLSSEGMQLNMPLTGRRTEVLRDCNTFSETHCIARDPQSDATVSFSDDKAQEKITSSHGQFSEDSQVGPTGNPVLVESSHEHSAAVLETDPTCDSRTCKTATAKMKPKGREKYMKKRPRELRISDEDLLMTAVVKNKDLVCCHKFAAGFSGAKNFKKLKSHKKCNKLQLKTGKAGTNLLGGKRMVANYFAVTTAHQLIIKLACLLRNFQKVVGTVIIAPVRFVGGQLVKRRFRHSQLFSNVHNVEKHVKLYHPHFSLHCSSPINFSNAVIGEFYLTYFCFCWQTMILALSKRSYLSRVKYVTHGFVGKIVKRVHGTKAAELPFIATSVDYRRQGMCRILMNIIEKMLRSFNVKMLVLSAIPELVSTWVSGFGFKPIEDVERKQLHNVNLMLFPGTSLLTKRFDGFITATKPGDEKDLHEVSGLPNGKFTPNGKSRDHFELHDLDLSGKEFKAEISMSSPFRTLKHECGSGTWFQSTKV >Dexi9B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:9B:5475214:5480481:1 gene:Dexi9B01G0008910 transcript:Dexi9B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLYCVCFVVDIEPLAGCRGSNPGWSSAGVYDWTGCLRVCRECIMGKINDEDVDCCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKKKKVDSPKVSTTLPAKRKQRSLSSLVVDTPSIVMRNGLTGKRTKTKRRATASRVTSPNNNGTMKVPAKSAGRDQKTEKTSEPQSMKVATTAKKNESRDQKKIEKTSAEQLTKAATTAYKNESRDQKKIEKTSAQQSIKAATTANKKQVNMKNSNVCAISEHFMSKFEVNSRVIFLVLTYHDLSKQRNTDAEVSSKPSYEDRKNAKTADKEELQKPSNRPVHTSSKTKAPRTTPKFHATIEVKIKKKEGEVPIRKEETENDVNIPGTRVGEHPNKPNHKEENNGGSSESAPLTDQTTAKGNSNQGFSGPASTLRDPIATPIWFSLVSSPNLKGGPELPALSKSFLRIKDGGSQISSVQRYIAKKLDLVDENEVEIICRGEPISPSSTLQGLVELWRRREPAERVQASLGAPAKEFVMALGYRRRPCLVPSTAVSPPGETCTAEALSKAAKVTAPPESA >Dexi5B01G0028910.1:cds pep primary_assembly:Fonio_CM05836:5B:30188532:30189115:-1 gene:Dexi5B01G0028910 transcript:Dexi5B01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAAAATTVVARAAIARPNALGLPQLRARSERVRCSYSKGDGKSVVSAKGAGASLLAAAGAVTASAGPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSDLDEDEESGLSL >Dexi2A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:2A:812190:818690:1 gene:Dexi2A01G0001210 transcript:Dexi2A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGGELTAKSAKPSGEDRLSALPDDVLVLILLSLGTREAIRTSGISRRWRRVWALLPELRFHLAPGGAVIRGILEAPEEAAPLRWISVTTQGAGPESAAAWLPVAARRVSGELVYHNMPPAMNEHGDVDKDDDEPVGGGDLQLPCFEKATTIALNIDFLGLALPPQGIFARLTELCLRRVRFHSPSELGSVVSSPRCPCLKKLEVSNSRGLQNLSIHSESLLQLKLLDLHHLLHLAIVTPILQELGVGSCIRAHGPSEPVAIANISAPQLAESKFFPISMAQTGGEIAAKRPKPSDDGDGGAGEDRLSALPDDVLILILLRLDGVAAAARTSILSRRWRRVWTLLPELRFDLVPDDHRIREILDAPEAPELRSISITTEGAGPDSASAWLPVAARRLIGGLAYSNMVPGNDDEEEVEEGEAGARGEVQLPCFEKATVIVLDLGLLGLALPSAGVFARITKLYLSRVWFRGQCDLGNVVSSPRCPCLQRLKVSESRGMRNLSIHSESLLRIKLENLHLLRQLTIVAPKLLKLCMDFNFIDDDPSEPVANISAPQLLATSPPLRLPSHR >Dexi5A01G0037550.1:cds pep primary_assembly:Fonio_CM05836:5A:38869404:38872828:1 gene:Dexi5A01G0037550 transcript:Dexi5A01G0037550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRKGTATPLGAVFSPEETKRAVARVSESVVDRRAELGRLQGFVADNAALVSLVNRLPDEISHEIMVPFGGAAFFPGRLIHTNELMVLLGEGYYAERSAKQTTDILHRRGMELEAQVEAMKATISDLEAEAKFFASTAAEASEGLVEIREEYDEDTESNSSKSAASVATEGMSDKEKEHARIMARLDELELEEMEAGSTEEEDDNADDDAEDEAVGAGTSEDGDEINESRNALSDGNEHHSSSFGPSFSGNGGNDRSHGNIQITNSEIRVRKGFFRPSSISKDNIKWAAGSIIERDDNLSPLPPPVGSSSVKPGTSASSRPMSRFKMQKGDR >Dexi4A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:4A:6072880:6075447:-1 gene:Dexi4A01G0008100 transcript:Dexi4A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHATGIAGKRTAPYGSWESPISAAAVSAAGRTAEGLAVAGDGRLVWVETRPEEGGRAVLVKEPAESGGKARDVTPQGFAVDLQMKHEGFWILVCSTGQAEDGHLLMLTMGEAQIADLASLTAGMHKFEAYYIDNLVGNKQAYFERSPINFVDKFSCPVILFSRIGRHISALLTSLNLYDLQVVSPDQATKIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQEMVFFARLVGKFKVADDITPIKIENFD >Dexi3A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:3A:10223066:10223662:-1 gene:Dexi3A01G0013960 transcript:Dexi3A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSPAPPGASSSASSTYPLDFISRAKARGATALAARRPWRELADPGALAIPRGFSDAYRRARANLAHFAANYALVVLAVVFASLLWHPVSLLVFLACFLAWLFLYFLRDRDVDQRLLVCGRPVGDGVVIVLLSAVTLVLLLLTGATSNILISLLVGLLVVLFHALLHRPADSIDEQAGRWYTPVPPANY >Dexi9B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:9B:4988345:4989736:-1 gene:Dexi9B01G0008100 transcript:Dexi9B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFNNFNLCQGPARAGMRRCPAPKPAPCNVVVIDEDDDGDDDASDQEVFIIDGASVAGEAVRTAPPSGRQARKGKGSSANVINIDDDEDVGTGWDKAGPSTSRASGSPASMTPGRGSPGNRYGLDSLSDSSDSDFSEEWETDTEDGSSSDCEILDDAAGTAREMWETAASRKKMPHGVHEREDGRATAFASSMGSEAQPDKNSEGLFGAGRDLDETDFSAAWKDYSQNNSDGTKEGSEPAQSSANGPSVPDAEECSNGNVGKGTEDLQDMNSGAKDVTAGRHPNESMFQSSSGACEGGVQNSTDGSEDDHGPSSSPNVKECSNGEGVVPENTSEAFQSPCPYGPSVHNFVFANRVFPGSSSGDRKDGSPPMSVSTPEKIDEKIPEGVYSQKDQSPQEAYNGTDMCSAQDDGSAGSQQKVPPFTSR >DexiUA01G0016650.1:cds pep primary_assembly:Fonio_CM05836:UA:35559130:35561387:-1 gene:DexiUA01G0016650 transcript:DexiUA01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSVEEPLLVPRPIVSGSALEAAETKRLLRLAGPLVVSFILRNAVQMVSVMFVGHLGELPLAGASLAASLANVTGFSFLAGMAGALDTLCGQAFGARRYGLLGVYKQRAMVVLAVACVPIALVWACAESILLAIGQDADIAAEAGAYARWLIPSLAAFVPLTCHTRFLQPQSIVVPVMVSSGITALTHIFVCYALVYKVGMGSKGAALSAAVSYSTNLTILALYVRMSSVCKTTWTGFSREAFAGLREFAKLAVTSAMMVCLEWWSFELLVLLSGILPNPKLETSVLSICATLLYMVPLGLGTSTSTEKEVVTYIARMLPVLAVSFFVDGLNGSLSGVITGCGKQKIGAHVNLSAFYLVGIPTGVLLAFVFRLNGMGLWLGIVCGSISKLALLLWITLRTDWETEAIKAKERVLSSSVQTK >Dexi1B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:1B:19126488:19127229:1 gene:Dexi1B01G0013450 transcript:Dexi1B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHHMASHLLLLALLALACSSANGSDPSLLQDVCVADKMAAVHVNGFACKDIKNISSEDFFFSGLDKVGNTSNKQGSAVTAVNVAQIPGLNTMGISMARIDYAPNGINPPHTHPRATEILTVLEGSLFVGFVTSNPNNTLITKNLNQGDVFVFPKGLVHFQFNNGTGNAVALAGLSSQNPGVITIGNTVFGSKPSIADNILAKAFQVNKETVDLMQAQF >Dexi7B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:7B:24658563:24661537:-1 gene:Dexi7B01G0019040 transcript:Dexi7B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQTASSSASCSPSAAASSSSACGGKKRPDFLNLIRSAACLNSSSTDTGKGRSKLSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYEKNHELGLFAIFDGHLGDKDIISLRCEYTVLTVSLLWLLQPVFWNSPQDAITNAYRSTNKYILENAKQLGPGGSTAVTVIVVDGKDMWIANVGDSRAVVCERGCANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >Dexi1A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:1A:22583488:22583784:1 gene:Dexi1A01G0015490 transcript:Dexi1A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAGAAPPAGEDPAWVGGAHTHLAPPPWLWPPAEDADEKLRRMVRGDDAAAPVGVVLVLWWCGPWNLPSSPVVST >Dexi5B01G0023850.1:cds pep primary_assembly:Fonio_CM05836:5B:25955716:25957327:1 gene:Dexi5B01G0023850 transcript:Dexi5B01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSGFDGDVPIFHAENLVSNIKSINYSRTFLSIISGVVAGIWGFTGLKGFVFYFLVMMVASLGLLAKAKFSVHTYFDSWNRIVIEGVLGGLMSFVLFWTYP >Dexi5A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:5A:11269444:11270484:1 gene:Dexi5A01G0014300 transcript:Dexi5A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECTAEVTVARWLLIAAAVALVSPHLSPAPAAVAAQIGLPGCPTICGDVVVPYPFGFSRGCYLPGFDLTCDTSSSQPRLLLGNGTLQLMDISLDNSTVRALGPNIHLQTSGKLAYGYSGNGTWGGPGWGLGDGSPYSLSEWRNEFILWGCFFFGELWLAGSDRVVTTCGSVCDPHEPLPLLPDPDKINGNKCSGTGCCQEPVSIGRASYDVQLNSIRNWSPDNEVTARYIMFIAEEGWFDLNRISTKLSSNVESIPTPVVLSWEVHSNTLQGPNATRDGNATCPADLGTTMCHSSYSSCTRMNREDRDYHYTCKCWDGYQGNPYLPQGCQGITLHSAYVHLHNYI >Dexi5B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:5B:6120863:6121225:-1 gene:Dexi5B01G0009010 transcript:Dexi5B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSQQQKQGHLQSALVASSSPEAPPHPPCFLLPSVRHGLTGGRRVGERERWVSGRRGQVYSGGRRWPGGSGTRGGGGLQCWRAGAVCCRRAGAGLRSAAWEGGYWSVEEENWRFARV >Dexi5A01G0032960.1:cds pep primary_assembly:Fonio_CM05836:5A:35403012:35409034:1 gene:Dexi5A01G0032960 transcript:Dexi5A01G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQLEEAIVADGNGKEEEVGVMGIGAAGDDGEHHGGGGFSMKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVILQIFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVAHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFSLLPKTAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRTASARMNAAEKPPFFLPSWTGMFVVNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAQSPAPLPHH >Dexi2B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:2B:4350590:4352294:-1 gene:Dexi2B01G0004690 transcript:Dexi2B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHGLTTLPLARTPLSKPYPRRRRFRLLPSPAAAAAQRKFTATFNHGEFPADVDVEPEVAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Dexi5B01G0027380.1:cds pep primary_assembly:Fonio_CM05836:5B:28947719:28953870:-1 gene:Dexi5B01G0027380 transcript:Dexi5B01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWIAAAGRRRPLPSRPLARDLSCGRVVDSAARDGELRVFVVAGEVSGDSLASRLMASLKALSPVPVRFAGVGGELMCKEGLQSLFPMEEIAIMGLWELLPHIYSVKRKIENTVDAAMLFQPHAVVTVDSKGFSFRLLKKLKCCELYLIKFAPFYWNSEVISNDEVRQMQIESTDQVFQVLYEPFKKQGTLFVEELGLPSNVLSPSMIAALTVLYTDKHQN >Dexi7B01G0022290.1:cds pep primary_assembly:Fonio_CM05836:7B:27246684:27249941:-1 gene:Dexi7B01G0022290 transcript:Dexi7B01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQKAVVVPVKRAWIVVATRLRRKKDDGRGTLVKLHDDIQTCAYEDVQVMWEMLQRSETERLAREPSPKGARALVWLRRHHKMDPRRRC >Dexi9B01G0033360.1:cds pep primary_assembly:Fonio_CM05836:9B:35520429:35520790:1 gene:Dexi9B01G0033360 transcript:Dexi9B01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANTSTRPVDAASRLTTPSASPGSPRRKYPAAREPHRRWRTRSLTESLESAVRPDARSCAWSVCDSARGGEKSMKAWTAAASAAAVARDAPRGE >Dexi9B01G0026470.1:cds pep primary_assembly:Fonio_CM05836:9B:28638693:28640950:1 gene:Dexi9B01G0026470 transcript:Dexi9B01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRLPGELRWCIFLSLLFFASASSPYPPISNGSGVITHLPGFQGPLPFHLQTGYVEVDSSNGVRLFYYFIRSERSPADDPLMLWLTGGPGCSVLTGLAYEIGPLSFDANGYVDGLPKLVYNQDSWTKVCNIIFLDSPVGAGFSYSNTWQGYMSSDTKAVNQILIFLKKVTSHLAGYLVGNPVTDSNFDDPSKIPFAHGMGIIPDEIYESYKESCSVGNNSHQIIQCIKSLNAIEEAHIFKLLSHSCFQA >Dexi5A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:5A:25195158:25197704:1 gene:Dexi5A01G0021340 transcript:Dexi5A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRAKGLSLLLLLVLLALCSTIDVSEARRGKHWRPRSSPSSSQLKKGKGKKNNSHRQHGSSRPSPKPPVNSTSSPGASKGNQNPYQPSPTPNAPLGPSPANSSRHSSPKPTPSCGKGQPSQPPPAATSQGAVFNVVDFGAKGDGVTDDTKAFEGAWAAACKQGASTVLVPSGLEFLVGPISFSGPYCKPNIVFQLEGTILAPTSAKSFGSGLLQWLEFTKLNGIVIQGNGIINGRGQQWWTYSDPEDEYDDDMYDAELERMPQIKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTQMSCGNY >Dexi9B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:9B:9528376:9531711:1 gene:Dexi9B01G0014240 transcript:Dexi9B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSSRFRDTIPEPRHGLLLAGTSEEDTQMAAAAGRRKNSAGAIGRPCKPACRGTGCALPVGSAASAALCPRAERAASAAGLTCGRILGPHVMGSELVVMGARELLLLCLLCSPLTAHTPASAGLLSPLGSPIPLPCPALLVFSNSASAQTRSSLSVRPSLPLAPLLPPRTFKSAAAPSTEPAPVLALLEADAALLRCSLALIYFFRCSSSSGVNCVRAFIRLPSRAVVFDSLKARI >Dexi7B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:7B:24810355:24811662:-1 gene:Dexi7B01G0019220 transcript:Dexi7B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACENRVIGRRSLVVVVAAASLLLLLLCSTRCEARAIRRLEQQSKSSNPLLNALFKLNFIRTVEPARPLPPPGADAESLAAGDANSPFCVNPPDTPPSSSSPTPPFTSTPFTPFVPEQAPPLPPITPVPPSFEPSPPESGAPGGGQGQGGGQGQGGGGGQGQGGGQGQGGGGGQEGPPASPTPSTPPEVGPGAPFGSAPPSPIVVVPSPPEFGPGGGGGGGGGGGGGGGGGGGGPFEPPIVYPPPLAPPMASGSGSGETRWCVAKPTVPDPIIQEAMDYACGSGAECDSIQPSGACYHPNTVLAHASFAFNSYWQQAKTTGGSCDFGGTATIVTSDPSKHQLATRSFTINIQYLKKSISNSPSFSVCMSGSFAQF >Dexi7A01G0010040.1:cds pep primary_assembly:Fonio_CM05836:7A:20945760:20946235:1 gene:Dexi7A01G0010040 transcript:Dexi7A01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVVLGRLVALRLRPVKVESFVADIILAYFDLDGHNREPPSSTPHHSPEHRNGESPFLTPPQSPAEHRLEAVHLLDLVHQRYLGRGRAPDTGGGAVRYCKSATTLARSRPSRRPWSSPRPASTSKAAARAA >Dexi3B01G0031170.1:cds pep primary_assembly:Fonio_CM05836:3B:31768042:31770323:-1 gene:Dexi3B01G0031170 transcript:Dexi3B01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVAVGAKEDRHLMTGLHTVADIYCSDCREVLGWKYERAYEETQKYKEGKFIFEKSKIIKEN >Dexi6A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:6A:12180524:12181881:-1 gene:Dexi6A01G0010030 transcript:Dexi6A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEFLLLAFLFALHGTSTNVHGANIIDRCRRRQSNWTANRQRLAVCSVGFAGKMHQNRGPEVIPYTVTDPGDDPVRPRPGTLRYGATVLPGKVWITFQRGMHIRLAQPLFVKSFTAIDGRGADVHIAGGAGIMLYQVNNVIIHGLHIHDCRSQPAGKVVVPGGAVQPAGGMDGDAIRLVSSTKVWIDHNTLSRSEDGLLDVTVGSTDVTISNNWFLNHDKVMLLGHDDGHVADRQMRVTVAFNRFGPNVNQRMPRIRNGYAHVVNNVYNGWGNYAIGGSMGPSVKSQGNLFVASGPDNKKVTRRMPAGGEKWDWASIGDSFQNGAFFKQTGNRVRPNYNKQQAFTAASANEVMSLTKDAGALNCSAGSAC >Dexi4A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:4A:210258:218893:1 gene:Dexi4A01G0000290 transcript:Dexi4A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQPAENVTQFKICRCGEGDTSENGDSPPTSCPSCQYILNLLYTGIGWTSWKKRWFILTRASLVFFRSDPNVPPPRGAEPIVTLGGIDLNSSGSVVVKEERKLLTVLFPDGRDGRTFTLKASWFYALCQLAETTEDLNEWRSALESALAQAPSVANTTDQNPIFSTEVAAEPAEAPAEQWKIEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCSALVKAYRTDKTRRLDEINRVIYEVFPEPNRQLLQRVQAHLVSFRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDNEFSMGGDGSFQLLQAAAAANHAQAIVIIMMEEFDQIFDDLDEGSCSSEAYTESEDDDVDKEYSTDNDIHDDDGSYDSGEDDIEGLDDNSETYSDGSEHDSKINANVKARKDLSSEEIEFSGDDETLIDKLEHNKIDLQSKIAKEAKENAILHASLERRKEELRERYLALEKEVENLRDQLHKERNLRSSLESGVMNLRRGQTKADLEEVATAESDNMNLKQKASDLRGQVIGQQMCCESCNKRLLNTDRTGGPESVEASSVVGSDSASDMGDVEQSRTHPPSTDKTGQEAVGSITRRAPQRMQSFVVDQEPGGSAVPAASFALAKLTNRLNFLKERRAQLASEMQSLDLGRPPAAAAAAGAPLVKSPTPKGPEKRRS >Dexi2B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:2B:140933:142594:-1 gene:Dexi2B01G0000320 transcript:Dexi2B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDGQAESKQQPGTAVDESQQLQSRVDKLREDMYNEVVDGNVAGRDDLAEICKVLKDISDKMTAGKQEEQGQQELLPQSKREELEKLLPLIERAFQRRRSSPPPSQEEDEAAADKKKKLLLLPSCNPFINKARSSSASKQHKEEEQEEDEGVSVKLLLRLAQNVLEPEQYYEWTTSYVDESRIYGWDKEADAVVDALIAPGHPTEDDDSLLFRAAGIAGVHGSGKTALAQKVFVHDRAKDNFALRLWLCVGPPDSEDRFCLLYRMLDNLGLDTYKVEDIVDNSNAVKETVARIRADPARVAAIQKKAADYMTTHAQELQHKTPDSIFDQLLREEADVESSKIGVLLYILHMTLSKTSYMIVFDDIREYGDDGWYRNLAQLPPPDGEWGARLGYGLPKGSQHRGAVLLTCRNEEHARNMVRTGRVFRPPKLELDDAWKLFKREYDQAKDAIGNKKKGEDDDDMLFKELEQMKVQIVGKCLGLPVAIAEAAKGFAALDPLPDDDGDDANKTPDAPAAKDQTTPAGAAASSKSNTDMQQPAATTTDVDDGPRPS >Dexi2B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:2B:25119642:25120172:-1 gene:Dexi2B01G0015090 transcript:Dexi2B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGSIPMVRCASRINTAVASGRRSRSCKVTAMAAGTTSTMPSRRCSESRSDYYKLLSLEHRVDVGTEDVKRAYRRLALRCHPDVCPPSRRAESTELFLELRRAYETLSDPARKVRYDAGMRTTGVGEPATARPGVGLARDVWESQLCVLRARSEQRHRARSSTGRSRRRSDGPLA >Dexi3A01G0032810.1:cds pep primary_assembly:Fonio_CM05836:3A:37681635:37682464:-1 gene:Dexi3A01G0032810 transcript:Dexi3A01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQAAAAAASPPIPPVAGGSSAGAGAGGNEKQATVGGVEEWDAADTTSCGATASGGSSDEGGAALQLPPCCRRPLKTLDLFPTKSTGGGLKDECSSSKSSSCSTSTN >Dexi8B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:8B:8009004:8011452:-1 gene:Dexi8B01G0006850 transcript:Dexi8B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLGRRRQPPPLPLFPAAKRSSTPASLVPARLLRFLPSSHLLRLLLLLALLSLVPPAFFHLRLRRFHRMRERKCGWITSPPMVCAHGGDSTNAFPNSMDAFRMALDARVDCVEVDVSRSSDGVLFALHDRDLQKMSGNSTAKVGHWSSDEIKALSTRFQLSKRVQNEEVPKAEDALAMISQSLRQVILDVKVGPPSFEKGLAEDVLSLI >Dexi9B01G0033440.1:cds pep primary_assembly:Fonio_CM05836:9B:35590267:35599267:-1 gene:Dexi9B01G0033440 transcript:Dexi9B01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAGGEGGELPPPAKKKSPAEEAAEKRRKKLTPGSLMKGLIRSGSGDTTPAEGDQVIIHCTTRTMDGIVINSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMQPKIHYAEDDCPVAAPDGFPKDDELQFEIEMLDFFKAKVVAEDLGVVKKIIDEGKGWETPREPYEITARITARTADGKEILPLKNEPYFFTIGKSEVPKGLEMGIGTMARKEKAIIFVNSTYLTKSSLMPQLEGLEEVHFDVELIQFIQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYRGNLLDESKSVFYDTRVDNEGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGATVQWEIELLGFEMPKDWTGLTFKEIMDEADKIKNTGNRLFKEGKYELAKAKYDKVLREYNHVHPHDDEEGKIFADSRSSLHLNVAFCYQKIGEYRKSIETCNKGTKLENLFSRVLFEQVLDANPVHVKALYRRGMSYMLLGEFDDARNDFQKMITIDKSSEPDATAALLKLKQKEQEAEKKARKQFKGLFDKKPGEISEVGVESEGGKDSVDDKRSGEGTNADRDADTKGSPSGDSEYAFEEERPGLLGRLWPSGRRIFSSLGLNRCTIL >Dexi4B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:4B:3127722:3129059:-1 gene:Dexi4B01G0004330 transcript:Dexi4B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAPAPPPPPAADAVPSMAGGIERKMSPGVVLLIAILAMVFFIIGLLNLLIQNLLRLRRARRRRGRVGDASGDGSPTAFQGQLQQLFNLHDAGVDQAFIDALPVFPYHAVAGHRRRCRDGKEEEDDTPFDCAVCLCEFAGDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPLCRGSILLADVECYSPESNPALLVQLESSERLRETIAGDGDDPGDRDMGEAPKDVEVEEILEVKLGKLRCVDGNVSARDLAVDGNGRESLGKRRCLSMGSYEYVMDEHAALRVAVKAATPKRRDAAAGSWSRRRHALSAFDFGSSNSKKGAWETAVTEAAAGCCGGADGAAARLNKDSFSTSKIWMVAAAGGKREEEDSRTGGSERRAASFRWPAMSVGCKKHRGGGAEDETTWDVEVGGGRGDNGAPATAEEWPSLARAAMIWVAGGRQGSHS >Dexi5B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:5B:10987134:10987643:1 gene:Dexi5B01G0014490 transcript:Dexi5B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPPRANLDQHLLVVLLELPRREGDAAVVRGGGAVGRAGAVPDRRGGGQPEHGRHVRVAEPHQPPPRRQRAEQAPAQLASAAAHAPPAAEATGRRRWLVAGDAIAAASELGGGERRAALRGGGVDAVPRRFQYALMPPLYVRPSIPLFLSLAAGLLAAARA >Dexi3B01G0025640.1:cds pep primary_assembly:Fonio_CM05836:3B:20474864:20479498:-1 gene:Dexi3B01G0025640 transcript:Dexi3B01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRRARGRRGESLAAARAEAPSSSSRARRHGKGPVVVIDLGDDDDDDDRGGGTRGRAREAADGAAGRGRRGRSTAASSPAPAPPSPPPPAPMMVPAGAVAMRTRSRRRAIQAAVEAPEEARPKRRRKGASSDVAEASVGRVSKAAGASRSTPRDKRRGRDRDRDRSRRASEPASTGRTRKRRGKQLEAETGVVEAPGRGERAKLSRGNANDDDGGRGDNASDDGSAEARTGGANAKKGNRDRRRATGGDQIQEHCVAGEATALDLNYLTNELVSAGAGEVEGSGDEVGRRDGGSNVNEETGDSGNREPAPITNAVADETAPFEDDYDNEMLEEQLVADVIRAYSNGGDLDADGVDWEAEDEMEFDDDDADFMDDGDEDGMTGPMQDHDEMGMHELVNRSVVLGQGRCQEEEAEDEMEFDDDADFMDDADEGGMPGLMQDNDKMGTQELVNHSVVLGQGRCQEEEAEEEEQGGEQQEEAADIKDGVISKGEATPGSDQQGLHVEILDSDEEVKVLENVSIAPSRKASVQAKLPTMPCVAWRTRSSWGISQDRLSYDTYFEALSDEPKEEDDDTEVELDEEEEDSDDDGNSETCNKDEEDEEEEEEEEAERRKLKNRIYTSDDDMIDSTFSTSRFGDSTVPTSRFGDSTVRTSRFGGSTVPTSRFGDSTVPTSRYDIEWEEDNKDANVDILQPISFKKATKWNPVAVGNDTFTEQQKQSRFTWELERRKKLKLGVTETHHLYERDLDSDSSASGSDQIKRYGLKRDGDHKVGTKKKHPSTKSGKKSSHATMLKRQSLLKLLIDKMSSDKNGESFLFDQNPQIQFIFKEMHPLVFSFGDEDLTPADRPEQERALDMLWADFDFALESENIGTYYDDEGQENDNQLDFALAPVTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIKFMFPSLVSLRNLHGQMLKV >Dexi9A01G0034500.1:cds pep primary_assembly:Fonio_CM05836:9A:39284395:39285182:-1 gene:Dexi9A01G0034500 transcript:Dexi9A01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMEARVAAAKVDRGGYGEDLLGLMLEAWSQERRQAGSARKTRIPPASARTHSGRRKSGRRSSENSPVMWPPTPTFTWFYSRHWLYPPIVYIQRTATTDAVLGGIRVPRGTAISIPIGMLHRDREVWGPDADEFNPMRFEHGATKAARDPTALLSFSLGPRVCAGQRLSITEAQIVMAMILSKFSFSLSPAYVHKPKSVVFLTPKSGMPLVLRNLDG >Dexi5B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:5B:12409715:12410054:-1 gene:Dexi5B01G0015300 transcript:Dexi5B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCAKQRRETNAVTTPTDATNVMRKVTSHVAAQRMPSLICPKASHHHTVREKKDGKNIPVLDHLLTMAVKQVKGKAPILSRHTSA >DexiUA01G0014410.1:cds pep primary_assembly:Fonio_CM05836:UA:30574743:30575933:1 gene:DexiUA01G0014410 transcript:DexiUA01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHISTQQYSYIIAAYSAAYTIMQPVAGYVLDILGTKIGYAFFAIAWAIFCGATALAGSWGGLALARGAVGAAEAAMIPAGLKASSEWFPAKERSIAVGYFNVGSSIGAMIAPPLVVWAIVMHSWQMAFIISGVLSFAWAMAWLIFYKHPRDQKKLSEDEREYIIGGQEAQHQTNNGKKMTVWQILGTRQFWGIALPRFLAEPAWGTFNAWIPLFMFKVYGFNLKEIAMFAWMPMLFADLGCIVGGYLPPLFQRWFGVNLIVSRKMVVTMGAVLMIGPGMIGLFTSPYVAIALLCVGGFAHQSLSGALITLSSDVFGRNEVATANGLTGMAAWTASTLFALVVGALADTIGFSPLFAVLAIFDLMGAVVIWTVLKSKSAEEVLKESLGGPATQS >Dexi9B01G0039050.1:cds pep primary_assembly:Fonio_CM05836:9B:39909354:39910133:-1 gene:Dexi9B01G0039050 transcript:Dexi9B01G0039050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYTINSPLKKRKSQYELVDPRLLSFKYKFGSRLSHQDDDSATTESLGNDGIFMNKNSSMDMVSIPEELDSCENTQSLFGGCIEVDSKNGIQGQSMRKIFKIRTSASSSSSNNFSSEAFSTSNSSGTRDTDSWIMHDVEHDHSGLMLEPHDDMEMIYNVLEQYDDLMKDDLASGNVYGSAAHIMNEKLYSNGVDEFQILPAGQIGHHGEKKLTIDQEFEQYFSNLML >Dexi6A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:6A:13431645:13434853:1 gene:Dexi6A01G0010790 transcript:Dexi6A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSYLIYQWCNQVCTIPLDTAKVRLQLQRKAPPPTLPSAAAATGVGWAAGAGGTLATILSIAREEGVSALWKGIIPGLQRQFLYGGLRISLYEPVKAFFVGGSAVGDVSLLSKILAALTTGVIAIVVANPTDLVKVRLQADGKANTAKRNYSGALNAYATIIRQEGIRALWTGLGPNVARNAIINAAELASYDQFKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYRSTLDCFAKTLKNDGPGAFYKGFIANFCRIGSWNVIMFLTLEQVRRLFL >Dexi3B01G0027560.1:cds pep primary_assembly:Fonio_CM05836:3B:23205941:23206545:-1 gene:Dexi3B01G0027560 transcript:Dexi3B01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQESREELDRKAKEGETVVPGGTGGKSLQAQEHLAEGRSRGGQTRSEQLGHEGYQEMGSKGGQTRSEQLGHEGYQELGRKGGQTRSEQLGHEGYSEMGHKGGETRREQLGHEGYSEMGRKGGLSTMEESGGERAAREGIEIDESKFRTKS >Dexi1B01G0025530.1:cds pep primary_assembly:Fonio_CM05836:1B:30539445:30539837:-1 gene:Dexi1B01G0025530 transcript:Dexi1B01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLAKAEAAATVAAPTPPRPGKLEKAAKAGWPPTTLLDVQEVEWITRELERLLAREQVGGGSDGADGRHRRKRAKLSPAPKKGGFLAELLGRHAASICSGSGDAVDTSAARAGRRRGGWGSFQEVEKV >Dexi7A01G0022390.1:cds pep primary_assembly:Fonio_CM05836:7A:30688951:30691166:-1 gene:Dexi7A01G0022390 transcript:Dexi7A01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPPPPPAAGGFLLWLHGSGGSGDESRAEVAPYFAAPGLASSVRMSFPTAPTARIACYGDAVITAWFGIGEVPITAKTVRDEKEVLKAVDYVHELLDKEIASGTNPSDIFVCGLSQGGALAIASVLLYPQTLGGCVVFSGSVPLSKSFADKVSPEARKTPVLWFHGMADGLVLFEAGHAGCAFLEELGMSCEFKAYPTLGHSIIDEELQYFQQWILNRLGISGASETPRPSSSYQDKNVQ >Dexi1B01G0021070.1:cds pep primary_assembly:Fonio_CM05836:1B:27027196:27027399:-1 gene:Dexi1B01G0021070 transcript:Dexi1B01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDPGRSQAVSPSPPRKHNARGLATSAVGLHLTLVAMGKHHTRGPRHTRLKLRITDPFHKSLSRW >Dexi2B01G0035070.1:cds pep primary_assembly:Fonio_CM05836:2B:42180583:42180831:1 gene:Dexi2B01G0035070 transcript:Dexi2B01G0035070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGRRRRKRRELAAPHRPLLLVGQVAGRRHAAAARERDGRAVGKSTAGRRKRDWLGFVGLLGYAAGPDHRATAP >Dexi1A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:1A:24753181:24754134:-1 gene:Dexi1A01G0017410 transcript:Dexi1A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDSNQGVAGAAYSPWQNGGDAATTPAGGGAGGVMTLGSILTVAGILLLFIVFAFGLVSLQYCFNSWDRERQLQAAPSRRRRGGNAGGGGVRRNRGVDPELLRSLPVTVFHAAASKEEEGAAVVECAVCLSELEDGEEARFLPRCGHGFHTECVDAWLASHTTCPLCRLTVAKPDDNDAPPRSVALLLPPVPPEPANYAAANNLPATVLLGMSDDHGGVAMATSASRGVPRLAIEIPELAVTTAPTTPCDAAVSSGSARLRSSIKRLWSFGMQGVGTSSSCTCAGASEGGDLEQGISVTITTERPESNSSPLAFQQ >Dexi5B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:5B:19324134:19325270:1 gene:Dexi5B01G0017530 transcript:Dexi5B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLRPLSAAAAAATTLRAPAAPLTASAVAASAVSGRRWRSVRANSGGGWLSGLLGGKGGGAPTAMTVTPGTVKAGDPVLHEPAQEVAPGDVPSEKVQGVIDRMIDVMRRAPGVGLAAPQIGVPLRIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIINPKIKNTSKRKALFFEGCLSVDGYRAVVERHLDVEVSGLDRNGSPIEVQASGWQARILQHEYDHLEGTLYVDKMVPRTFRIVDNLDLPLPIGCPPLGAR >Dexi2A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:2A:25508589:25512113:1 gene:Dexi2A01G0015030 transcript:Dexi2A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSSGTGAIGFACWPRKTQKHFSDEPERPGSEPPLDWQTRRRIALGSARGLSYLHDNCDPRIIHGDVKAANILLDEDFEAVVGDFGLAKLMDNKDTDINTEVSGTIGHIAPEYLLKGKSSEKTDVFGYGIMLLELLTGQRAIDLTRVAKDDNASLLDWVKGLLENKKLEDLVDNDLKDNYVEDEVESLIQIALLCTQSDPIKRPKMSEVVRMLEGDGPLAERWERKKLKSAFNLRATIGTSSSGTGAIGFPSWPLKMQKHLSDRQYAQELARVANNDNARLLDWVKGLLEEKKLDNLVDNNLNGKYIYVEVESLIQIALLCTQSDPKERPNMIEVVRMLEGDVGLAERWEEWKKLQVVHQVVELSSPPREDWIVESAYDPRAVELSGPR >Dexi6A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:6A:16515494:16519174:1 gene:Dexi6A01G0011560 transcript:Dexi6A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPPKKAIRNPGAAGAGPGGSSAGGQRGPAGNTVKFARRTASGRYLSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMMDGAEPASVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVSHPQMAGSKGSSLCAMPACDGKVMRDERGQDIDPCECRFKICRDCYLDAQKDGCLCPGCKEHYKIGEYAEDDPNDASAGKHYLPGPGGGMNTSKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDEGGGGGGELPEQKPFKPLTRKIPMPTSIISPYRIFIVIRMFVLLFYLTWRIQNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFSIKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINSLPDSIRRRSDAFNAREDMKMLKHLRETGADPAEQPKVKKATWMADGTHWPGTWAVSSPDHAKGNHAGILQASRITYILLSIVMLKPPSPDPLYGMHDEEQLVDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREAMCFVMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRATEYTGLLFNKKKKVSSTSFDPETDTQSLKARDFDADLTSMLVPRRFGNSSALMASIPVAEFQARPLADHPAVQHGRPPGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINMTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLCYLLTITITLIALGILEVKWSGIALEDWWRNEQFWLISGTLLTRTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADENEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEATAGGRGAGFQFP >Dexi9A01G0037190.1:cds pep primary_assembly:Fonio_CM05836:9A:41526913:41527402:1 gene:Dexi9A01G0037190 transcript:Dexi9A01G0037190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLQLSCRQRSPQRCQQSQSQGLVASQPGRANAKHLRVGGSKGTDGGLPGICAPGTPGAIARLAVQGGYTHDDTGSSDPGNHIAQSLKSTVRASKKGELLLMCKLGLPPPERAAAIDEQQPDLAAVFMGPMDDHYFAAMRDLFPAA >Dexi3B01G0008720.1:cds pep primary_assembly:Fonio_CM05836:3B:6052857:6053385:1 gene:Dexi3B01G0008720 transcript:Dexi3B01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGRVDVALWRGAVAAMMSRQLAAGVLQPVLQLVDAPRLVLATTSPPPRYRMTLSDGAHLQPAVLATSLNGLVNGGELRRGTVIRVLEYISGVIQKQRNGMPA >Dexi7B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:7B:17383785:17385310:-1 gene:Dexi7B01G0009960 transcript:Dexi7B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDAASPASAAAARDAKKKRGNRTAAKLKQSKLETRREQWLSGQGKDGKEAKVAASPTAGSNAGSPILASPHPPLPRRRADTRSRGSDPDDREETGAAGLEVGGSDLDSPMHSPGSDKSQGGGCTQRKGFSGNGGGPSLSSGSSVWSSSRSVSDAEEDDTGGCPEDESEVLDDWEAAADALYDEDSHQCDQSSVPTTPSPASANAAPASAARPEPIRSKARAWAPDDIFRPQSLPSISKQVSFPASIGNCWAGMGMTAAQQGILSLPLSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPGCRKQYNTVSAAEGGGDPKATAVGTGREMANIAPVRLSRSCSMGPRY >Dexi6A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:6A:14662959:14664037:-1 gene:Dexi6A01G0010840 transcript:Dexi6A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGEATSRWSGLYKFTKEEIERAINYANSRIYLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEQLSKVRHPNLVCLFGYCDEEGDQYLLTNILLTENKVPKLSDFGLAKMLEMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVVLQLLSGRKVIELDIVARDSLTKKWEKATGRIHRPTC >Dexi9B01G0047880.1:cds pep primary_assembly:Fonio_CM05836:9B:46881187:46883220:1 gene:Dexi9B01G0047880 transcript:Dexi9B01G0047880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGGYVWALAAGFNAALAAISAKFFATLLIKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFHEPLPSKVTWEFSIFCDEVDTEKNVKEPLGYFLFHEPLPSKWFAGASLIILGVFILSKSSIEEKQNSD >Dexi4A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:4A:22672831:22682700:1 gene:Dexi4A01G0018710 transcript:Dexi4A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPKQEEAVLQLWNRLDAFHEQLRRTEGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVWRRFGWDCHGLPVEFEIDKMLGITNRQQVFDLGIGKYNETCRSIVTKYVSEWETVITRTGRWIDFKNDYKTMDISFMESVWWVFAQLWEKGLVYRGFKVMPYSTGCKTALSNFEAALDYRTVPDPAVMVSFPVVGDADNAALVAWTTTPWTLPSNLALCVNANLTYAKVKDKSSGAVYIVAESRLGQLPVKAKASGKKQVPSKGSNAEAVQDGLDKESYELLAKIPGSSLVGLKYSPLFDFFMELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIFETAGLVVAVDDDGCFIEKISDFKGRYVKEADKDIINAVKASCYCNSPWTHLDKGRLVSRGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIKDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWMSQDGEEIVVMDSIEKLEKLSGVKVTDLHRHYVDDITIPSKRGPEFGVLKRVDDVFDCWFESGSMPYAYIHYPFENKELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPMDVIDEYGADALRLYLINSPVVRAESLRFKRSGVYGVVKDVFLPWYNAYRFFVQNAKRLEVEGLAVFSPIDQASLLKSSNVLDHWINSATESLVSFVQQEMDAYRLYTVECLTVFLDICSLALVTTCIAMAPFTPFFTEVLYQNLRKASNKSEESIHFCKFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEINVKTVTPCNDPLMYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEESGEITFFGHCLKQDDIKVVRQFKRPENVAEKDIDAAGDGDVLVILDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPSDPVDVYYKSAGNDKSTLEQILKSQDQYIRDALGSPLVPIEMSPTDVVVLGEESHDVHDMSFVICIARSSPVLSPDVAHASGKDHSEALTVYLSSRSLSRLKSQFQAGNGMITVDCIEGFPPISLQLGKDQSF >Dexi6B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:6B:8660144:8660570:1 gene:Dexi6B01G0007430 transcript:Dexi6B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEASSSSSPSPAEAGDGYWAAREEAAARLEAMAARALGEDDLSAEQLETNNQLQADEES >Dexi3B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:3B:14224728:14228069:-1 gene:Dexi3B01G0019190 transcript:Dexi3B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLGLRSSGSYGSLPQQQQQLGGCHSSPTPSVSPPLAARKPAKMSLGGAGGGAGGPRVFARICKLAGRRQRMLLLLLVAVAVAFCFFFSSLVSKDEDASPGVETMLVFSDHVRSFVNPVWTSSGRPVAQNGLSSSQKQVQDLMRSFPPAVVLNHHPCENFSLSPPPIDRKRTGPRPCPVCYLPVEQALALRPAQPSASPVLQRLSYMSEKNLVSEESSGGSLFGGYPSLEERDKSYDIKDLMTVHCGFVRGKIPGLNTGFDIDEADRSEMQQCQRTVVASAIFGNYCFVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNASIDYQIDFYKREGLTHYSSAKLPITSGNYFPP >Dexi8A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:8A:9785757:9786485:-1 gene:Dexi8A01G0008310 transcript:Dexi8A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLTLGVVNVDFARGKTILRELVKLTQLRKFGVSGISRNNIKDFCLAISGHAHLESLSVRLKKDNQDCLNAIPPDFVPPKNLQSFKLYGLADKLPEWINQLYNLKKLVLELTMLPENTISSLRDLKVSILRLSIKELPGGKLNFCVMLNGEQLRCFETVKVLDISCCSSLDVTFGSQALQNLELLKASCCSEPGSVKFSGLEKLSELKEVHIKGSHDGTLKKDVEGQLSRHQKKPVLKIE >Dexi3B01G0002780.1:cds pep primary_assembly:Fonio_CM05836:3B:1865883:1868042:1 gene:Dexi3B01G0002780 transcript:Dexi3B01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQPQSPAGPTPPPPQEGQHADAPDQPAAVAAGPGGEIAALDKQLAVGGGAGDERKPGGGGKLVAEAMRKYAAPRSSRFHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHGSYVTEEQAARAHDLAALKYWGTGPNIKLNFNISDYEKETEIMKTMSQDEFVAYIRRQSSCFSRETEQEAAEAYDIAAIELRGAHAVTNFDISNYCEDGLRKLEGPSEVARLEGPSEVMKLAGQ >Dexi6A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:6A:28873341:28876648:-1 gene:Dexi6A01G0021710 transcript:Dexi6A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRHRRGIAEAEDDDVHEGSSGTAADTDTATEEEEDEEEEEEEEEEEEEEDHRLRLGLHSMTAKVRFRFGSGIQHLCSELLEIKKASEQDFRANVYVSYLSFVRMFQEAGELEQDVQRLRRQAIAHGRLVQHLGSGLYSSSSMLLAAGSVADDEDMDNVEDDDADDEDEVLNLLLSSEQQLLRAPRQQQQQQQHRRIAERLASVAGNPRTPRAELLRAASGLCRLGEPERANRLVLDWYSARVVRGVEELRLQQQQSQQQQQSNYIKEVARMVFSSIAEAARSLHHHLHGGQQQLLLLWAREEMEDFGAAFSEYVASVPVSSQQLALALEAAECAVVSYAPQLVGISGDVVDVLRGLMAPSIREAVATYARHLKEVVRLLVASDAWVLGRFPVPGAGSCLLTASGRKLVTLVQEVVDDVASPLQRIGMDSAATQLVADLFREYVRCSIVPAACTTPPPPPLRGMNNEHVSILINCATLASLFPAIAASTPAAAACREAAAQVWDCFCQQFVRDTMGMASEQQQQLPQHPMPSLGLQVVFLRVRRLKDAYGAILSGEDGTMKRLLGELMEAIVSWLSANMPDSAQQAHLDVHFLLEIAQLGGVDITATALALLRRPREVDDDDGVSSWAAHAARRAAVQLLSNLHNTSTDDDAEAASSNSATAVALEDDDDDKASRKSSDEFISIEDDDQDKPTMLNTPLLMGQRQDRWSEQEKEGGGEHRQQQAQMALINSITAIAFPLSWLKRLRPMMLRATTTSGANTPLPAFTLPIIRAGARTPDRGRDMATTEQERWSSPEPDTELDHVGRALTREKDTEAAMPPCCFLVFRSSSYLRALQVSLAACNVARSEKKKMKKANGGGWCSGSEQGWNGRKKC >Dexi3A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:3A:4219138:4222079:1 gene:Dexi3A01G0006390 transcript:Dexi3A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKTKEKPNKTPYPTQPRESQSQPAAAPATSPTHASRALLLAAADMAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKAPGVDDVTGEPLIQRRDDTAEVLKSRLEAFHRQTEPVCYFLNE >Dexi3A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:3A:3935697:3938156:1 gene:Dexi3A01G0006090 transcript:Dexi3A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNLVSYLLETMHMGVKDASTTATNFFGTLCFFSFLGAFVSDSYIKCFYTILIFAPIEIMASIGYMLLAFQAHFPSLHPPPCDTVNNPNECTPVSGRNLSLLTLGLYLVPIGESSIRACAAALGGDQFDGDDPAEIPGKISFFNWYEICISLGGFLGLVVLVWVQDNKGWGLGFALAALMVLVGTAVVAVGLPFYRHREPTGSPVTRILQVFVAAFRKRKLPLPENLVEVSDGTGTSVEFIERTSGFRFLDKAAVDDGDRRRWSLCTVTQVEEAKIILRMLPVLMSSILAYVPFSLLLSFTVQQGGAMDTHLGAMSIPPGSLFVVPILFQMLILTAYDRAVVPWLRRATGLAGGVTHLQRVGVGFACSAMALAIAAVVEGRRRRRGASSAAMSAFWLTPQYLVISVMDVTSFVGLLEFFSSEASAGMKSIGSSIVFCVLGAGSWLGSLLIQVVNRATAHAGGGHGWLDGASLNASRLDLFYWLLAVLGLVAFFLYLFCAWNYTYRHDPRMQKDDDDDKVVSPASTKQAAPVV >Dexi7A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:7A:15487444:15495014:1 gene:Dexi7A01G0004560 transcript:Dexi7A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKWQKHRSVEVAIQENDFKSIAARSRGRNRPSISSSGHFPYPAPGEQERHASLPAAFKSAQQLFHHLGTTLDSLWGITEDNTDGVNVDQRASSSAAFSGGAARAMAPRLQEEIRKVMLRNAIPIDATGLYSSLGRLSLGSMDDLDTSSEFNLVDDPAIPHSQDGGPGQGKTARSAAIAGNNPSADQVFDLVRPEAVGELRAIADLMVRAGYADSVDEVQRAGWKHLDVKMKKWIHVVKTVIRPLLTSERRLCNQVLAAFDDELQDQCFVESTKACLMLICDFGTAVSVCTHSLLEKLFRIIEMYEALAKAIPQLKGLFFGNHGNHAIHDLEEVLQRLGNAVKATLLDFGMIIVQESSPQPMMAGEIHPMTRYVMNYLRLLVVYSNTLDTLLDDSGAGDVDHNPLHYGTDEDEEYLKSLTPLGRRLVKLISFLEAKLDEKSKLYVDAALRCIFSMNNTLHIVKNVKDSEIERILGDHWIRRRRGKIRGNLKTYLRVSWRKVLAYLEDDDGHGTSGSVSFSGSRGSSSRIKEKFKNFNLALEEIYRSQTLWSVPDPQLREELKISISEIVIPAYRAFMGRYGSLVVTTHNRSAPPSITPPAILLDECLTTLGVEWLSIELQRVELKHLNCEMCDELRDECLVASTKHEVARPSAARGLPTLPALRRSVVAVHGCTQHAAVGRSRTGRTAAGPHFGRGLVPSCTLQRLRKKRSSPQPTAYSASAAWQWSPMKTLDLSHTDTEELPGSIVGLRNLQYLSVNNTSIRALPSEICSLSNLQTLEAKHCRFLTELPEGIKKLLKLRHLDVTKELDYVHLPHGLESVKTGSKAQEANLKDKHQLKDLTLQWNDGGIDTDDADEDEDPENVAEQVLENLQPHTNVQELAIRGYEGSVFPGWMQSYSSLPNLVSLTLDSCCNCPQFPALAQLPSLKFLSVRKMYDVNRLSSSIHGSVMFPSLELLNLWEMYGLKELFEASEGDCPRLRKVCISRCPDLKRLPCTPVRELVLHCGHQLPDIPELASLVSLKIEGFHSVKSFTLPAAAALPVLRKLEIRSCKELSSVDGLSVLTSVQRLKIAGCLCLSPAARHRRNPRAFLPSRACAIHLPREIPLPRCRFRAPSFHAAVV >Dexi6B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:6B:19158133:19158444:-1 gene:Dexi6B01G0011810 transcript:Dexi6B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARQRTLAPAATRAQSSTVATLAASSQVAARNAHRKVSPATLTRSRPVTAASTAWATRWCARAREAAMLRDNDGGGGGERTFASAATMAAR >Dexi1A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:1A:3508889:3511239:1 gene:Dexi1A01G0004820 transcript:Dexi1A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFDGPLACHPFLSWISSVPDKHRDRRSTCSIPLSAMAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELRKGVQPSSSAVPSRQAMSRIFSHSVDINMDDGDNNSEDGEMHITLQKREKGKTWSSPIQGQGVLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGLRSE >Dexi9A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:9A:11545428:11545838:1 gene:Dexi9A01G0016530 transcript:Dexi9A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPASRTTSPFWTRALTPRSHTTIFPATFSGESVPAMQRFPAVELDALAAETPVKFLPLPRETYAGKSRSSVPAPTVVIHGATLESVELPGPAFPAEQATRTPCSMAANAPMATLSRE >Dexi6B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:6B:3074144:3074960:-1 gene:Dexi6B01G0003770 transcript:Dexi6B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIARSKMRRLEEEEAGHRQQDQQLPPPTDTDLISNLPDDVIGAIITLLPYTEGARTQILSRRWRPLWRSAPLNLEADSLAAAEAILASHGGCGPCRRLSITCRGIFCSFPKAAADEVLRLPALNGLQELELSLSWTPIVISNVLRFSRTLRVLSLCCSINGGLVFPAAEAAAAMLIGFPQLEVLTLKAITIPEKTLHGILSGCHALQSLVLHCNTGYNHLRINSRSLRSIGITGTDHPFLGHKFQGECIAKRS >Dexi3B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:3B:1784811:1789235:-1 gene:Dexi3B01G0002680 transcript:Dexi3B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKSRATKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDTKGKNNLASVDYDEAVKPSQTNGSALRNQSEKNMPPSPPPQNNNFNGKEKQSAPIARADDDDIFVGDGVDYTVPNKEMSQSPISEDMDESPHNHQKQSYFTEPPMYGPAPPSEPAQAWQQPHGYDAVQAQMVAAGYQGDWSGYAYAEQQLGYPEQYVQQSTQEYDVLTDPSIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEVAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGTDDGGHYDDDLPSAKKQRG >Dexi5A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:5A:12726938:12731894:1 gene:Dexi5A01G0015190 transcript:Dexi5A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAAADVFIPACAAVGIAFALWQWFLVSKVKVSAYAAPPSSNGHHHGGPVFRMEDDEQEDVGMGGGDHRDDEEEEGAGDGTIAVARCAEIQNAISVGANSFLFTQYKYLATFTAVFAVVIFLFLGSVHRFSTDSRPCQYTTGQTCKPALANAAFSSIAFLLGAATSVVSGYLGMRIATYANARTTLEARRGIGAAFATAFRSGAVMGFLLSSLGLLVLYATIKAFGLYYGDDWEGLYESVTGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHDFAAVAYPLLVSAVGILVCLVTTLFATDLFKVKTVRGVAPALKLQLVVSTVLMTVAALVVTFAALPASFTLFDFGEVKQVKNWHLFFCVAIGLWAGLAIGFTTEYFTSNTYSPVRDVADSCKTGAATNVIFGLALGYKSVIVPVFAIAVCIYVGFTLASIYGTAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVADVNVLNPKVFVGLLVGAMLPYWFSAMTIKSVGSAALKMVEEVRRQFVTIPGLMEGRAAPDYARCVRISTDASLREMMPPGALVLLAPLVAGTFFGVRTLAGLLAGALVSGVQIAISASNSGGAWDNAKKYIEAGASDHAKTLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >Dexi2A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:2A:23062484:23064786:-1 gene:Dexi2A01G0014130 transcript:Dexi2A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAPPLPAALPSGSCSAPPASAPRLLLSSSRAPASLRPPRAVARSVSVSVEAPAAAAEPALAGAPSTTPRRRLILLRHGESTAGGRSTKDHDRPLSKAGRADAISVSNKLQQMGWIPELVLCSDAMRTKETLKILQEHVQGLSQAVVHFIPSFYSIAAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEASGKSWVEVSITLSDKDTKAFSMAGLGGWKLHGIVKP >Dexi2B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:2B:27403472:27406504:1 gene:Dexi2B01G0017030 transcript:Dexi2B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSLAATATVAAALVATAAAVVLSSVLYRRKCGRLAARVREVEAALAAATEKAASERRGRVRAQQSLRRAVSEQEPRSDEARSAMAAAAPPGSYPMAPIGTVQSCFSTRNGTPRQPLVVTLARATVALDPARVPAAALEGLANYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGDKMGVLATRSPHRPNPIGLSVAKVEAVDGHAVLLSGVDLVDGTPVLDIKPYLPYSDSVKGAAVPNWLEVDGALAVESIHFSEHFISALPICWAHAQKQSLYTSADEFQDLIKQVLSWDIRSLSQRIRPHQVNMETEANDHIGKEADEHHGDKACCGVIYHLHLEGIDVSYRIDQCSNVVVEDATLIPGARNQNRHGYLAWRDKLAGSGL >DexiUA01G0013700.1:cds pep primary_assembly:Fonio_CM05836:UA:28787974:28805911:1 gene:DexiUA01G0013700 transcript:DexiUA01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQISLNGSTGHSQTTDSSGSLRNSAAWGLSLTQLVYDFGKTNNSIDQSTAQRDSYRYQLMSTLSAVAEKTALSYVEVKRYSDLLQAAKENVQALKNVEQLAKLRADAGVSSTSDELQTRTRIAGMQATVEQYNAALNSARARLAVLTGMEAERYSPVPAKLAVEQDSLNRIDYSAIPAVMAAQNMERSAQYGVATAKSQHWPTLSLKGGRTRYESDNRSYWDDQIQLNIDAPLYQGGAVSARVRQAEGARAMASSQVDQARFDVLQKASVAQADWTGAQGRMQAGKLQLESALRARDVYRNEYTLSKRSINDLLSVEQDGKDLLIYMKDGSVIRCNNYFVEDPQTHNQSELVFNDQQELTHISFADAGEASGVAVAELTAQATPVASIEPFLEQGSVLNDAPWGWIAGAALGGGAIGALLAHGGDGETKTKVIDNTKDVESATPTFLLTDNAGDKQGVLSGKAITDDNTPTFSGTGQPGATIQVKDSSGSTIASTMVGKEGTWTVKLPTQADGEHTWSVVQIDGSKTTQAGSITVTISTADASITLGTTAGDNVINASEHSAGFTLSGTSKNLAQGTGLTVTLNGKTYTAEVGAGGAWSVNVPAADAQALGDGTWTVNVSGKDAAGNTVSGSQTIGVDTNAPALSVDTIAQDNIINAAEHNQALTLTGKTDAEAGQIVTVTLNGKNHTATVGSDGTWSVTLPASEVQSLANGDHTLTVNVSDKAGNGSSTTVDFTVDTTAPVVTINTVADDNILNTSEQGQAQIISGTASGAAAGDVVSVTVGGQTFTGVVQADGSWSVGVPASVVGLLGEGNHNITVSVTDAAGNTGSATHGITLSGNPPEFTVHAISQDNVLNAQEAMQPLSLTGTSTLPDGSAITVTLNNVNYQTVVENGSWSVQVPVSDVLQLANTLYTVSVSGTDSVGNSGSAEATLLVDTVLPQVVINTFAGDNRVNNAEVAADQTISGRVTGAAAGDTVSVSVGGKHYSATVGSDLTWNLTIPSADLRAFGDGDLTITASVTNSHGNTGSGERDININATLPGLRVNTLSGDDVINAIEQHQDLTVTGSSSHLAAGTQITVTINNVDYVTVVNATGGWQIGVPAIDLQSWTPGHIAVHVSAEDAWGNAVAAEHPIELDLNAVAVTVDVISGDDRLNAAEKGSDLTLSGQTQGVEAGQTVVVKFADRTYTAQVQQDGSWSLTVPASAMETLIDGRAQVSVSVTNVNGNSADASRVVTVDTLPPDITINNLTDDNIVSAAEAQQDIVLSGSSTAEAGQTVTVALNGKTYQTTVQHDGSWQLTVPAADVGALADGTVTVTATVSDVAGNSSSTDRVGLVDATIPQVTINDFVTDTNTINQLAHTQAQVLSGSVTGAAAGDWVTVTINNVDYNTVVDAAGNWSLGLPASVVQGLADGTWTITVSVTDQSGNTGSSTLEVVVNTVTPEIGVHTLAADDVINATEKGENLLLSGTSNQPEGTPITVALNGIHYTAITDASGNWSVTVPASAVSALGEAHYTVTASVTDSVGNSASATHDVLVDSSLPVVTLNTLAGDNIINAAELAAGQTLTGKVANAAAGDIVTLTLGGQTYTVAVQDDLTWSLPLTQSQLTALGNGELTVTASVTNAHGNTGSAALDFTIDAQLPGLRIDTVAGDDVINIIEHGQNLIVSGTSTDLAAGSSVTVTINNIEYVATVLADGTWKAAVPAADVSQWPDEKGHDLALSGTTSGVEAGQTVTVTFGGKSYTTTVDANGNWAYTVPATDVSGLKEGDARVQVSVSNVNGNPASSSHEFSVDTTAPAVTINTVSHDNMLNAAEAAQDLTLSGTSTAEAGQTVTVTFNGNQYTAQVQADGSWTLDVPAADLAGITDGTAVVTATVSDKAGNPASTDASVLVDTTVPQITFNTVAGDDILNIAEHGQALIVSGTVTGAQAGDVITVTLNGKNYSAMLDASGNWSMANVSALGEANYTVTASVTDTAGNSASTSHQALVDSALPVVTINAVAIDDVINAAEVTAGQTLSGKVSGAASGDTVTISMGGNTWTATVEDDLSWSVNVPPEVLKAIGNGDLTVTASVTNGHGNTGSGSRDITIDANLPGLRVDTVAGDDVINSIEHGQNLIISGSSDGLKTGSALTVTVNGKTYAATVLADGTWTAAIPAADVSALNAGKVTVTVEGQSTAGNPVTIAHDVTVDLAAVAISVNAIATDDVINAAEKGTDLVLSGTTTHVEANQTVYITFGGKSYTATVDASGNWTATVPSADLGSLKEGDASVQVSVTNVNGNSASAGREYSVDTTAPTVSIDIVSDNNIINASEAQQDLVVNGITNAEAGQTVTVTLNGKDYTTTVQADGSWSLSVPSTDLSGIIDGNYTITAAVSDKAGNPASVDRDVLVDTTVPQLTIHTVSDDDVINSVEHAQALIVTGSVTGAAAGDVVTVTINNKSYTATLDAAGNWSVGVPAADVNALAAGDHSITATLTDKAGNSNSATHDVAVNLTAPVLTIDTVSDDDVINSTEKTQDLTLTGTASGLAAGAIVTVMLNGKAYSATVDDNGLWTATVPADQVGALGEAIYTLTASATDAVGNSTSVTHTVNVESVLPGVTINTVAGDDIINTAELATGQTLSGTVVNAEAGNTVTVTLGGNTLTATVQDDLTWSVNVPESVLTALGNGELTVTASVTNGVGNSGSGERDITIDASLPGLRVDTVAGDDVINSIEHGQNLIVTGTSEGLATGTALTVTVNNKTYPATVLADGTWSAAIPAADVGALAAGTVAVTVEGQNSAGTPVSITHNVTVDLAAVAIGIDAIATDDVINAAEKGADLVLSGTTSNVEENQTVSILFGGKTYTASVDADGKWTATVPSADLAGLKEGDASVQVSVTNVNGNSASAGREYSVDTTAPSVTINTLAADDILNATEAKADLTVSGTSTAEAGQTVTVSLNGKSYTTTVGADGNWALDVPAADLAALTDGSVTVTAAVSDKAGNPASVDHNLTVDVTVPVVTINTVAGDDVINVAEHAQAQIVSGSATGATAGDKVTVTLGSQTYTTVLDAAGDGANVVDEAEERRRVVGEMCPQGRSIWGPAMSYRRMGTMDQHLGKPMTTTLLHEPRDLWKSPRGTIIRIEAFTLVAIALSFFLAAFGSCRRWSNRWIIQKGFLVANAVFLSLGAYSTGLMQSSSVKSEMYPVWAVSLFSLLSCVDSITAYSLEFKSQLWKKVYQLCLYCGYVLLMSISTKSSGVCNIAVSVLSTITLMKGFHRTQALVVPGRMRSMIRVAKDQDHWRRNIRIYDAKLATETMLVVHLSLDHLEMRPIGGQDPKSEHSDSESKHSNWAHGFAFLEQINSRPNAVEEYKEVEADVDAYKDVCLALSLSHVLQGRLLWHNKRILEVRIEDCNDLLVSSWILLRRENDGVVDFEKAFKVVELELAFLYDILFTSNAFLHYYQAKAASVWEVASIIGVVFVGVAAVAIPSRTSGQQAGAVMETTTGDFIVTGVVVVSLALLQVLQLLRCWTSNWARVAFACDYVRNNNNQRLWNQIQQEVGASQMRLVARRNGIQKGIGGWQMRLRASLTRRSHWFHNYLWQNKIGQHSLVESVSTSRECNNKMYRKFKGWLSQAYSRVSRMLGFMYFEQMLHELLGSSHTGTAVELHADVKAAVADFIRQVIKSDGAGDWSRTFVGNRDEYSPSRYEMMMMINPGEPLDAKSYTSMILVWHIATCYCELVEQKEQYCTAKREVVEKDHRVATALSRYCAYLVASVPRLLPGNSVDTKDTHSRVAEKLGELLVMRGAEEDKLASMGRAIEMEAICSMEDHLHDLEKSRATEGEDLREIKDVLGALGGEGAQGTEEVLTGVRRKLDWICWLSRSWLKDCIDMQDTVGAVELRMEWQAVDMEKEREAVRQVKDELSAIARALESEVGALRRLMGELGRSETTCRGWESSQRLLAVPELLEEERQRVTQAMRGASDKLRLLKEERKSRGIRRCPKDNASPQDKILRSGLGLADRLQREPAPERWNLLKVFWVKALVYAAPSDDVEEHMQHLAQGGEFITHLWTLLYHHGVLSWQQGEDEDPESSSPRPQQPPKEWNSYLTWDQEGEVQARFAGGHRQLLLLVLGDEDIEETHDVCSRSLM >Dexi4B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:4B:4372459:4373480:1 gene:Dexi4B01G0006210 transcript:Dexi4B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWRSRIRIIAEICSALIFLHKNIPHPVVHGDLKPANILLDANLVSKLSDFGISRALIQSSTNMSALHCITDPVGTALYMEPDFLTTGELTPHSDVYSFGIVVLRMLTGKPPGGIKKIVEDAMEKGDLNSVVDTSAGEWPDVHVQQLAHLAISCTESRRSRPDLSGEDVMDEPHFAADGFTYEGEAIRCWLSSGHDTSPMTNLPLGHSQLTPNYSLRSAIQEWLQQQRAASF >Dexi9B01G0022560.1:cds pep primary_assembly:Fonio_CM05836:9B:17249240:17250947:1 gene:Dexi9B01G0022560 transcript:Dexi9B01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIWLLFLPIISLLILVRKSRADRKKSRRPPGPCGLPFIGSIHHLLTSQPQAALRDLAKKHGPVMYLRLGQVDAVVVSSPEAAREVLRANDISFASRPKLLGIDTICNGDLDIAFAPYGDYWRALRKLCTLELLSARKVKQFASIRDRETMSLVAEVQAAAAAAAGGEPVNVGELLVSFSNSITGMAMFGDRCSSERRERFLAAVAVALNLSSGFCVSDLFPSMLFVDVATGVRYRLQRAHRQLDQVLDEIIADSEARRRRNDDQGDRNREGEDDDLLSVLLRIRDEGEFEDLFTGGTETTSSVAEWVMSELVKNPEMMAKAQAEVRQAFNNKNTCDHESNLHHLHYLSMVIKETMRLYPPLPLLLPRLCRETCDIGGFEVAKGTRVIVNAWAIARSPEYWDDSEKFNPERFEKIMADYKGTQFEYMPFGSGRRMCPGSGFGLASLELILARLLYHFDWSLPAGMQPHELDMDMRVGATAKRSNQLHLVASPYKDSAKS >Dexi4B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:4B:1053257:1055158:-1 gene:Dexi4B01G0001730 transcript:Dexi4B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDILPYGFSKRSNRGDGGAAAAVPRVAAGDGAATCSFRAHPPPPVTQAVSWGAKPEPGNGATAATAAGVWERTRAVKRAHDEVAAAEEYCAAGPVVRAKRTRMAGDVDEVWFHQPIAGTVQAAGGNSHGGEEEPEEEKVFLVPSAAAFPHAAGPSLAAAKKEEFSKSPSNSPASSGGTDGGSSAPPPPELLQSRNGVPAAAHGDAGREAMELVVSLTACADSLAARNHDAANYYLARLGEMASPAGPTPMHRVAAYFAEALALRVVRTWPHLFDITPPRDLTDAVAGDDDDATALRILNAITPIPRFLHFTLNERMLRAFDGHDRVHVIDFDIKQGLQWPGLLQSLATRRPAPPAHVRITGVGESRHELQETGARLGRVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGERVAVNCVLAAHRLLRDDDAGGAALTDFLGLVRSTGAAVLLLGEHEDALNSGPWESRFARALRYYAAAFDAVGAAGLPDANAARVKAEEMFAREIRNAVAFDACERFERHESFAGWRRRMEEGGFQSAGIGEREVMQGRMIARMFAAGNSYSVQGQGNGEGLTLRWMDQPMYTVSAWTPVGDGGGGGSTVSASVSTTASHHSQQS >Dexi1B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:1B:1700940:1701770:-1 gene:Dexi1B01G0002010 transcript:Dexi1B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRFGPGLMWPVCPILITVDNEKIFALDKENFSMVSMKPVYPLQEWLWCDLPLPPFKRNDVTSFAVDCDGCIIFVSTNCATFAFNIINSEWRQSSNCSLPFTGPANYVHALDIFVGLSKAPDTYGHLCFCKKLGGDENGRPSKENLLSKDPAESHCLNEGGGNCGELDQLKKHVDEGDGSSGIMHRKYLYRLTTFCLSFDNNGDLTTGETCVVQCYNVPEEVSEETLANPVAFWL >DexiUA01G0021840.1:cds pep primary_assembly:Fonio_CM05836:UA:45068655:45070261:1 gene:DexiUA01G0021840 transcript:DexiUA01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQPWWAALLLPFLLAGVGPSAASSLPTDACGVPTIVESVLGTPEMCSTLDRLLGDPVGVIEGDEVTLAKAVNLLHMNKDDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYTDVSGINASVKSTAGEAMVHSLDDIEHRKDAEQENCPFWWARSPEKMLQQDTYLALATAFVILRLLYLLFPKIDSFARWAWRRHNLFANLTGAHEYFLSYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGEPSAMGRTNSSSELR >Dexi4A01G0023720.1:cds pep primary_assembly:Fonio_CM05836:4A:26739994:26741419:-1 gene:Dexi4A01G0023720 transcript:Dexi4A01G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWSPKKKIPSGAPNWAAPEPRLSLKKKFETTQKSSTSSPDPNPIHTKSQEIAMAGDMDAYCEEVRKLEKHFLGIEFHHVERDYNVAADVLSKLGSSRAEVPSGVFVNELSKPSISAAPTSDNIASAPEVMLIDAAWSAPIIDYILHDRLPAEKAEAQQIARRSKAHDND >Dexi2A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:2A:21865422:21865952:-1 gene:Dexi2A01G0013360 transcript:Dexi2A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSPGRRDLDQVAGRVAVAP >Dexi3A01G0034900.1:cds pep primary_assembly:Fonio_CM05836:3A:40180756:40181630:1 gene:Dexi3A01G0034900 transcript:Dexi3A01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLLLTVVVLLWAVAVAAAQDDKQMVIPAWPSCSTTDNYTDGSQYKKNLDELFTTLSTAALDNGWYYKGTAGAGADEVFGLIMCYADRNATQCQECLAGAPAGIKRWCPGSRNANATYDTCVLRYSSAPIPATADLGYVFSVYASGEPVASQGLTTAWLPLMSKLTAGVTASPLRLANDTAPYSSSQEMSGLAQCTRDLNGTECSKCINNYIGRLGDMFPNNTGGAIKGYSCYLIYQVGALDITLPPPPVSPPPAPAALPPSSLQPSPAQQR >Dexi7A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:7A:378934:380440:1 gene:Dexi7A01G0000260 transcript:Dexi7A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAKLGVGKQEEDDFFTSEENVQRKKLNIELEETEEHIKKRESVSTKSVVKSRRYRRADAHRKQQQQEKSEAPAESVAPKKIAGPSNQDQRQALKFGFSKMAPSKAPVGNASKKPKVLTKVSSVFGNDSDDDS >Dexi1A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:1A:3379226:3383166:1 gene:Dexi1A01G0004630 transcript:Dexi1A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARAAAGVSCSKEHQRIYAEWFAFADPDGDGRVTGADATSFFGMSGLSRADLKQVWAIADSKRQGYLGFAMQLVSLAQAGNEITQDSLKREDLITLNPPVMEGVDELVAKSKAVVKRVHPDDNGTPKVQATSMYHWFGSKSAQKVQVPLTAVTSVIDGLRRLYLEKLKPLEVAYRFNDFGSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFVVVMSGSDGRTVPGNTIAVQADMPFIGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNESAVGPIGKDLFEREQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLEDEFAKVQREYHLPAGDFPDVEHFKQVLAGYSIDKFEKLKPKMVQAVDDMLAYDIPELLKNFRNPYE >Dexi1B01G0028240.1:cds pep primary_assembly:Fonio_CM05836:1B:32827958:32828168:1 gene:Dexi1B01G0028240 transcript:Dexi1B01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQATTAGCPEFVVQQNNGPMIEAPSTRKPRGAQPDRATSAGQPERIQPSRCSSYPPS >Dexi1B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:1B:20946921:20949527:1 gene:Dexi1B01G0014590 transcript:Dexi1B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCNDVYLGLLDDDYFGLLGDDDLLPPPLPMGFDLPRKPPVNAAAHFCETQTPGRSDNHAAAAAAAAKHGASGAVAEEHCDVGVEYCSPYLKSGSEEETDGCGVGAAHHYWKHSMEEWSDGGITILLDKDTERFKDLNGGQSRGDDSRAGDQQQQHIDKRLGPLMYPRWKRALLKIGGSVLAGSTSENVDPKMIMLIAREVQVASLRGVQVAIVVGSRNMYCGDTWASETGIERAATNPIGMMASVMNAVLLQASLEKIGIEARVQSTLVMQDAAEPYIRRRAMRHLEKGRVVIFGGIGAAMGNPLLTTDTAAALRASEINADVLLKGITGDSLCGCAPESNGNAEFEHISYQELAARGISKMDVKAATFCEENRIPC >Dexi4B01G0022500.1:cds pep primary_assembly:Fonio_CM05836:4B:24063215:24064290:1 gene:Dexi4B01G0022500 transcript:Dexi4B01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVPDLAESIAGLILSSTDKEFQDECITLLGLGSDQDISEGLVQSSMCEKMVSIIELNDTKENVEDNAPYLFECERLDEDSQLDEDWDEDMVFDF >Dexi1B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:1B:22024864:22032299:1 gene:Dexi1B01G0015450 transcript:Dexi1B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGKETITPPPPSPSPETETMDGAQSATETTDYASEFTSEAATETTVSATPPPPPPPPPPPREVDPTDDDVASELDDSTLSTEDESDVPDLPAPPQEKPEATAAAGQPQQTATEATTTQPPPLPTKAEEEPVVTTPPSSETPPPLPPPPQGMVAEKVDAAEKQLPETPPAPPLQPPPAQQQQQQEGGPTEEASSVHEEEKNKTPVMAQEQGEETKLEGEKKKKQQAAGPSGVHEARRRWRQLQAAVRLIFCKKRDRSTPQPHPESPLPEGEGEGQTKLHEGDMKPAAPAGNQPASGEEQTAPVPPPPETPSSQETPATTADAEKPLPTAPPTQQQPPQKQEGIRHPEQASTVQEEEKDAAAAQEGGEKAARRWRWLRAAVRLLFLRPKHKEVSGVAEGKKTTTPAEEELAQRKDSEEKEEKPKPKPKPHPKWRREEERLEKILEDAFTRLLATEYHQLRPIRRKCLLTFSVFELADEVKKQAMVYWWVSEFNLKHQIDQSAATDAAAAPAEMRSRKRKLFLGWERKPAAAPAAGGSNNSPAPQRKDGGDSGGSNPPDDEAAEGIFSELSSNGFLEPKRNWCSKVIHGCKVNPLVHWMVKRRARDDGFADLDVDGKPAKLQRGSSIACLTAANRHLLQEMRMKDDEPQLQHAANKPNTTRTTSPTSLQSTTQDKAPGQGKKNTQTILDYEHEDISPSFKRKRVILNVNAHVYPVSKSMFLYLADYLVVLQLGRWCNLDDKTYMEVDGLESLSEIGLLRNLRYLSLRGLRLTQLPKGIQQLKKLAILDMRGCQNLVNVKITMPLKQLTHLDLTECYMLEHIGRGITSLSELQVFKGFVFATGTQGNRACRVQDLKKLKKLQKLTISIATEANVGKGDMAELKHLTSLHKLTITWSEIPRILDGDSENVKSMREGLVEKWTSFDVPQELLKLDLRCYPKKELKLKVHPNLKKLYLRGGDLEKFSIDEPQPINSSDKTNCITTLRLRYLKNFNMDWEEIRSVLKDIEYVEIVLKDEKVTKDVDKDQKDNNMNIKDQKVDVDKDEKDKNIDMKDQDDKHTYRKDQKDIGEAHKLMNNIDMKDQKDIDAEQKFLLKKRMLYSNLDESGVWVKDSTEEANLLRLKALGDVEKSKGPIEGPSTEDTSIITNDNDNENDIANQGKGK >Dexi5B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:5B:22329748:22330229:1 gene:Dexi5B01G0020050 transcript:Dexi5B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCIACRPLYCSKGLLKVPKSSGTLVGHLSVHNIKITQKQREDQKHKDDRKGFASMGSKSMKAGK >Dexi9A01G0032980.1:cds pep primary_assembly:Fonio_CM05836:9A:37814487:37818105:-1 gene:Dexi9A01G0032980 transcript:Dexi9A01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALTTTGGGIGAILAAGDRDFLVRNSGEQVKISSIEANNVALYFSASWCPPCRQFTPKLIETYKELASQGKSFEVVFVSGDKNDEAFNAYFAKMPWLAVPFSDSEGRKGINGRFKVSGIPHLVILDAKTGEVYTEDGVEFVTGYGVEAYPFTPERINEVNEQEKAAKDSQTIQSVLSTSTRDYLISNNGDKLPISDLVGKYVGLCFVVGGFGPVDQFTSVLAKIYEKLKEVGEKFEVVAVSLDSDESSFKESFAKMPWLAIPHGDKMCEKLVRYFELSSLPTLVLIGPDGKTLNNNVADIIEEHGFEAWEGFPFSAEKLDILAEKAKAKAAAQTLESLLISGDSDFVIGKDGAKVPVTELVGKTVLLYFSAKWCGPCRAFLPTLVKEYNKIKEKNSDFEIVFISSDREQSSFDEFFSGMPWLALPFGDEREALLSKAFRIRGIPSLVAIGPTGQTVGRDAKTPLMAHGADAFPFTEERLEELQRKLDEMAKGWPEKLKHELHEEHELVLLRLGTYRCNGCREMGSTWSYRCGECDFDLHPKCALAEKGKKGEDGKAAEEAPDGYVCEGDVCRKV >Dexi3A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:3A:7242010:7244410:1 gene:Dexi3A01G0010200 transcript:Dexi3A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIECRFLLRRLCCRHSRRRLSTAAASSSLPRRKDAEELSRTAGDAARAHEAAVRRLAAAGDVDGLQLALQEMRLRGVPCTEGALVAAIGAFARAGAPDRALKTFYRAVHDLGCARPTAPRLYNHLIDALLRENMVAAVVPVYENMKKDGVQPNVFTYNLLVKALCQNDRVGAARKMLDEMARKGCPPDEVTHATIVSALCKLGRVDEAREVLAAEPAVCASYNAVVLALCREFRMQEVFLIVEEMVQRGLQPNVVTYTTIVDALCKARELRMACAILARMVVTGCTPNVATFTALVKGLFDCGSVHDALSMWKWMVAEGWAPSTVSYNVLIRGLCSIGDLEGALSVLKGMEQHGCFPNVRTYSTLIDGFSKAGDFDGAMSIWNDMTAAGCKPNVVVYTNMVDVFCKKLMFDQAGNLMVKMLLEHCPPNTVTFNTLIRSLCDCGRVGRALSVFHDMGRHGCQPNDRTYNELLRGLFREGNHEGALQMVIEMLNHGIELSLVTYNTVVSGFCQMRMSREAMLFIGRMIVQGIQPDAFTFNAIIHAYCKEGNVKMAAWMLGGMNIVNCPRNIVAYTVIMTELCNQHRLEDAMVYLLKMLYEDPE >Dexi9A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:9A:2280378:2281560:-1 gene:Dexi9A01G0004250 transcript:Dexi9A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSCYLLKVVRLSGPCKSSVTLTVKGTLVASPNMADWSDKDRRHWIVFRSINKLTVNGGGAIDGNGEIWWKHSCKINKAMPCKEAPTALSFHYCTSLRVEDLKIVDNQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSRDVKVTNLKIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQDYCDKTKPCKEQRSAVEVSNVVFKNIRGTTITKDAIKMKCSKNVPCRGITLQNIDLKMQGGKGATESTCRNAKWKKSGTVHPQPCTAMN >Dexi7B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:7B:22174351:22175913:-1 gene:Dexi7B01G0016220 transcript:Dexi7B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGALVLVVLWSLLELGAAKTKPLVPAMFVFGDSLVDVGNNNQLAKCNVTCQANYQPFGVDYPPCHSPTGRFSNGYNLADQLAQLLGFAESPPAFLSPSNVSASRRTSTGINFASGGSGLLPTTGSQFCGEVFTMAEQVGNFTSLVRSSGRKDRTAADLVSESLIFISVGSNDLFEYADYITKANISSSNRNDTEYLQGLIASYTSYIKALYAAGATKFGVLSPSLVGCCPLQRALAKEFNVSDQSGCLGVANNLSQQLYPMIASMLQDLSLELPDMSYSLGDAIGMAGFVFKNTNLTFDHACCGSGDFGENVCNSSAPMCQNRSSFFFWDRFHPTDAVSAITANELFQGPAGRFVHPINVHQLVAP >Dexi1B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:1B:9112766:9117196:-1 gene:Dexi1B01G0009780 transcript:Dexi1B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVSPRLSPTFAAALAAAWVLAAAGWAAAGDPPLSPKGLNYEVAALVAVKSRLRDEKGVMAQWDITSVDPCTWSMVACSPDKFVISLQMANNGLSGTLSPSIGNLSHLQTLSLQNNKISGDIPSEIGKLTNLNVLDLSSNEFVGDIPNYRNGKPSLDWSKRMRIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAQSQKGMILDWVRELKEEKKLDKLVDRDLKDSFDVAELECSVDVIIQCTQTNPILRPKMSEVLHALEANVTLSESSVELHREPVPYGGTYSFSIRHEDPHDSSSFIIEPIELSGPR >Dexi8A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:8A:10333869:10335412:-1 gene:Dexi8A01G0008530 transcript:Dexi8A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRSMDASVEPEVVVPPGLSASRFVKVFMQGGVVGRKINLATHKNYASLSFALNRLGNNYCMPACELNGLVNNEDDGALDDNNYILFYDNMDGDRFFLGEVPWEVFIISVKRIYIVPVPQDQENVADNEEEEEDRENGDDNAATSAAPLDGDDIPANDDEVPHSGDATAADGASEE >Dexi5A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:5A:7022934:7023685:-1 gene:Dexi5A01G0009350 transcript:Dexi5A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSSPWTLHLLPLFLLLPVGSSIKFSIINQCSTTIWPAVVPGGGVRLDPGESWTLNMTASTGPGRVWPRTGCSFDSTGNGSCQTGDCGGVLACTTSSGKPPVTLGEFTIGGGTDFFDISLVDGFNVPMDFLPVPANGQGGQACSKGPRCAANITSQCPSELKAPGGCNSACTVFKQDKYCCTGNASNACEPTTYSVFFVRGCPDAYSYSRDADSSTTFTCPSGTNYQRHFSFTSSCKYSCT >Dexi1B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:1B:3522904:3524185:1 gene:Dexi1B01G0004390 transcript:Dexi1B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRFLVEQLSKVNPSSMNRDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKSLMLALNKFKITEDHKKYSIDEFEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVCTNDRGKLLIPKLVQSYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGVRSFTVLTFESKFRYLFLPDSSGSQKLEAKQSYKLPEPCSQ >Dexi9B01G0043810.1:cds pep primary_assembly:Fonio_CM05836:9B:43751065:43753854:1 gene:Dexi9B01G0043810 transcript:Dexi9B01G0043810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAEGRRAVCRAAEASPERGRPTYAAAARPAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDKLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSADRFQHLSRVTDRSPAKPMALPHSHKQYVDSYRDDDADDDELAYSYHHRRAASGPHPGARLDKPAAAVVVSARTNRSSRPVELPVEETSPPSSTSSDKPPVQPSLLQPVGRAEQDLQEPEPSRPGSMLLQLIACGSTLGPASSGGGSSKCRAEPRRSCGLVSRLSARAGADEEEEDEDAAGGELGRRFGRLAVNDKAEYFSGSIVEGAGGRGTPLPASSLKRSNSYNEERCSRPGVGAIGEDGSGEQMEGDGGIRGRCIPGRKKQPPLK >Dexi1B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:1B:4226373:4226992:-1 gene:Dexi1B01G0005160 transcript:Dexi1B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASPHLPLASTSASVPTSLFSNRLLRSPRLLPPRRGTRLRAGSVKEWREFEDEAGAVREWREFEDAVRRRDLSRALRFLQSVEPAAAGTAATQVVAAPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLASFGKCKNIEK >Dexi7B01G0021490.1:cds pep primary_assembly:Fonio_CM05836:7B:26499777:26500451:-1 gene:Dexi7B01G0021490 transcript:Dexi7B01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKLSALLVLAMLALSSSPLALAWEKAETECGSCEKGSPPATGGGLPLPPVTVPNVPLPSVPLPSVPLPSVPLPPVSVPDVPVPSVPLPKLPLPPIIGLPPVSVPPIIGGSPPKTPGGRKGKGCPPPPTPTPPTPVPPSSDKCPIDALKLGACVDILGNEVHIGDANVKCCPLVKGVAGLSAAACLCTALKAKVLDLSVYVPIALEVLLNCGCAVPPGYKCA >Dexi8A01G0009400.1:cds pep primary_assembly:Fonio_CM05836:8A:12333025:12345629:-1 gene:Dexi8A01G0009400 transcript:Dexi8A01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPARETPRQRLWLSDVDLVMPRLHTASVYFYRRPEGVSPEMFFNGQRMRETLAEVLVPFYPMAGRLAHGEGGRVEICCNGEGVLFVEADAPDTAVEDYGNFAPSMDLMRLIPAVQYTDNITAFPLVMLQVTYFKCGGVSLGVGMHHYAADGISGVHFINSWSDLSRGTQIPVMPILDRTLLACRIFKLTPLDLSRLRSQLPKGGGAPHFSTYAILAAHVWRCTSLARGLAPEQTTKWYCATDGRQRLQPPLPDGYLGNVVFTAAATAEAGRVTSGLADAATIVQEALNRMDNEYCHSALDYLGLLPDVSAVSRGDNLYRCPNLGLTSWVRMLIHDADFGWGRPVFMGPSTIEGLGFILPSADGDGSLSIAIALEAAHMEKFQKLILEI >Dexi2A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:2A:8764957:8770295:-1 gene:Dexi2A01G0008730 transcript:Dexi2A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGASSSSRGLGAVGVDRFYSPPHVRRQQQEEHLQRLKAQRPSSPAAPAGALTPRAARQQKPLPEPPAAPPKEAERRPDALSSKPSAAKAADAAPVVAPPPAADEAGNLERFLSSTTPSLPVQYLPKTSVRGWRIGDAANSPPYFCLGDLWEAFKEWSFYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSKLSARTRYPIYRIPTGPTLKDLDACFLTFHYLSTPSKDTDLGTPACPRLGGLNHCMNTAGKLTLPVFGLASYKLRSSIWSSNRPEEQQHAASLMQAADDWLRHRQVYHPDFRFFLTHYNTALR >Dexi6A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:6A:20443367:20443815:-1 gene:Dexi6A01G0013400 transcript:Dexi6A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARVDLRGVLQPGEPGWEAARDAVTASMAAHGCVVTGISMPAHRDDGMMTAIVQHEVEGLEVQAKDGSWLAVPLDADTVTFVAGWMFMVLHAPACRLSSSHRRPHSAHNV >Dexi9A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:9A:9763754:9764293:1 gene:Dexi9A01G0014830 transcript:Dexi9A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYDWATAGGSTATPASSSVPAASTRRLAKLVLDADLRAPCGNLALFPESGGNIHRFAAATSCAVLDVLGPPYSSGERDCTYYQDLPYYSQHDAGSEAAGEHQEPSRMGWLVETGKPKELEMYEVPYKGPPIL >Dexi3B01G0015270.1:cds pep primary_assembly:Fonio_CM05836:3B:11075220:11078305:1 gene:Dexi3B01G0015270 transcript:Dexi3B01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADRMRLRAAALSLHDDEGVRDKPDRKADVFADLGSPVSPLRLRPAAATPSSSSSSAGSSKSPALGNAAAAAVGRGAGAARGNHSGELEGSNPPRPPGHRRSGSGPLIFSGGSSSTGSVGGCGAGGSTASSPLTNALPTGNICPSGRVAGAAAAPQLPRTRPVVLGSGTGHYGHGSIMRGGSGSAGGVTPARSSIGAGPLHSNSSRSPASCPAPPPASSAGLQEITNAGNELYKKGRYGEALRYYDRAVTMCPDSAACRGNRAAALIGLGRLAEAFRECEEAVRLDPASSRAHGRLASLWLRFGMVDKARMHFTLIGSANQSDHAEWQKLHDVESHQGRCIDARKIGDWKSVLREADAAIANGADSSPLLLALRSEALLWLHKLEEADSTITSLLKLDNASLPSMPTTLSGMAADSYVLIVQAQVNMAFGRFDSAVALAEKARLIDRGNSEVEGILNNVRLVAMARADGNDLFKAGKFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGRWAKAVEDCNEALRVQPNYTKALLRRAASYAKLERWADCVRDYEVLRKDLPGDTEVAEALFHAQVALKTTRGEEVSNMKFGGEVEAVTSLEQLRDAIHSPGVSVLYFMATMSQQCAQIAPYVDSLCSECPLVNFLKVNVDESPMVARAENVRVVPTFKIYKDGTRVKEMICPSLQVLRYSVRHYAVSSS >DexiUA01G0026180.1:cds pep primary_assembly:Fonio_CM05836:UA:55242135:55243541:1 gene:DexiUA01G0026180 transcript:DexiUA01G0026180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQIGVVGMAVMGRNLALNIESRGYTVSVFNRSRDKTEEVIAENPGKKLVPFYTVKEFVESLETPRRTDAAIDSLKPYLDKGDIIIDGGNTFFQDTIRRNRELSAEGFNFIGTGVSGGEEGALKGPSIMPGGQKEAYELVAPILTKIAAVAEDGEPCVTYIGPDGAGHYVKMVHNGIEYGDMQLIAEAYSLLKGGLNLSNEELAETFTEWNKGELNSYLIDITKDIFTKKDEEGKYLVDVILDEAANKGTGKWTSQSSLDLGEPLSLITESVFARYISSLKEQRVAASKVLSGPQAKPAGDKAEFVEKVRRALYLGKIVSYAQGFSQLRAASDENNWDLNYGEIAKIFRAGCIIRAQFLQKITDAYAENAGIANLLLAPYFKKIADEYQQALRDVVAYAVQNGIPVPTFSAAVAYYDSYRAAVLPANLIQAQRDYFGAHTYKRTDKEGVYHTEWLD >Dexi9A01G0039700.1:cds pep primary_assembly:Fonio_CM05836:9A:43565915:43566272:1 gene:Dexi9A01G0039700 transcript:Dexi9A01G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGAVAKPCMVEMVAFLNCLALNKQEDDKCLKQKDLLVMCTQTQKGKPKNAAKTINYHLQRLGRDKFL >Dexi9B01G0024000.1:cds pep primary_assembly:Fonio_CM05836:9B:19489712:19494779:1 gene:Dexi9B01G0024000 transcript:Dexi9B01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAWAAWLLVLLCCGAWTPRRILVSAATDANDVTALNTLFTSMNSPGQLQGWKASGGDPCSESWQGITCSGSSVTAIKLSGLGLSGNLAYNMNSMDSLVELDMSQNSLGGGQQIPYNIPNKKLERLNLAGNQFSGAMPYSISTMPKLQYLNLNHNQLSGDITDAFSNLPSLTTVDLSSNSLTGNLPQSFTSLTSLKTLYLQNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPHSPSNRKKSPGQHSNGSNSSSSSGSSGIGAGAIAGIIISVLVVGAVVAFFLIKRKQRNGAIPEHYEQRQPFNSFPSNEVKEVKPVQETTTVEVLAVKKLNSTVLPSQSSDDFYELVSNISKLHHPNLSELVGYCMEHGQHLLVYDFHRNGSLHDMLHLSDEYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGVSSFVPDAEFQASDQGSGYGAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDRSRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMLDGEEASRRPDDQDHDFV >DexiUA01G0017500.1:cds pep primary_assembly:Fonio_CM05836:UA:36802024:36802899:-1 gene:DexiUA01G0017500 transcript:DexiUA01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEEARHSLKHHHGATSTHQPATNPTTKAALDQRRRWGRDRGSTSHLPSKPVARHCHGHRQLQPRLAAGSPGRTATDTGSCSHGRPAPVSHSRLAAATASHGRPAAATASHRRLPPWPPRPQQANHGHEQLRPRPAAAGHDHHRRRAGHSRLPAPATDAAAGPRRPQPSPAPSALATAAVVATLTTHRGAPPSRGHRIRPGQRQIRGQTPPQPRRAAAPTKHLQRTRRPRTPTSHWREGGEPRRLRPHEPHELLAVRSGGGAAREVAGGGGRLGFGGHLRRERHLTGRV >Dexi8A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:8A:23871651:23875202:-1 gene:Dexi8A01G0013710 transcript:Dexi8A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKGALPSVLSKLGGLLINEYNLQKGLKGEIMVLETELERMQAALEKLSSIPPEQVDKQDKIWAKHVRELSYDIEDSIDAFMVHDKGSNDLGFKNFIDRSFQSWSQFCIRRNIANEIRGIKRRVREVSEQSVRYKIDADVARPVMIDTHVFARYKKVTDLVGIDEQRDALINILMEGDQVSKQQYNIVSIVGFGGLGKTTLANVVYEKLRGQFDCSAFVSVSQNPDEEKLFMEMSRQLATYGATIDAIRDFLLTKRKEPCGGSKGLSSRSMCWRREMANGYGGLEFGLGNLPSLQELFLKASGNSKEVAEVKAAIILRMNPDLPKMK >Dexi2A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:2A:6434837:6436201:-1 gene:Dexi2A01G0006850 transcript:Dexi2A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAAAAAQAPVLLVTNDDGIDAPGLRFLVEQLVAAGHYRVLVCAPDTSLMAWFLIW >Dexi1B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:1B:8461870:8464455:1 gene:Dexi1B01G0009430 transcript:Dexi1B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYGEQLKAGFSGLRRRRTEADESGEVSDSLLSAIWLKVYQIAMRGCLGGLFLQIVSAKSSVKLLYDIISRFDDFKRDLVKSIGFGGILCFPPLRQLNRRFAVWLMSKVDPRSQTLVIDDSRRIRFTKEDVFRVFGIPCSGRSVFCNGIPSKEVISKVMSCYLGTDVREHQSIKAAQEVIERDYGQTMSVEEQNSFKAAFVIYVMSTLLSPGAKYDYASIDYWNALVEPSDIGKYDWGDYVIRRLFDAVVKVLYLDSIDTGFLNMDHTVLPRVMFFGPENMRSMILADTVDECNGGCLSVSMGKARCFELRDVYCGWKAAVPSPRPVDALRAVDFIARFDSFVDIAR >Dexi5A01G0024640.1:cds pep primary_assembly:Fonio_CM05836:5A:28466050:28472570:-1 gene:Dexi5A01G0024640 transcript:Dexi5A01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHPVCASWFPPATTVAAANSWHIARPTSKVSHVQPLGRRGSSPAPIRIQVRGRDAFRFRSQKKGADHHPAGPEPERDDNLPDTAAKKEIPFVDLIPEYPDACPVMQELRRYHVALAAAAGMREAPDMFRKEKDFISRHRIEIASSSAKVRPLPPPPPAVAASAGRRRRPPPSTSAQAARPREVRQPASRACQALPASRASPAPGRPASRSGHPVTIASLSQEQERHSFSNGGAGGLLGGNVKQENQPLRPFFDEWPGTRDSWSEMDEARSNRTSFSTTQLSISIPMPRYIASLDLGTTEFSQDTSNQMIMSYASIIRDVADDAYQKGIKIDSIPSFIDALRGLGVVCRILVQDTVAMLKDGPLKNSISSAMETQSHEFDKKLDILLEELKVATEKKHTVVMDVLLYGMKHAESYTSQLILHRVDAIIDLLYDKTCSMVSTL >Dexi2B01G0027910.1:cds pep primary_assembly:Fonio_CM05836:2B:36682762:36686571:1 gene:Dexi2B01G0027910 transcript:Dexi2B01G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFHGRGGGGGGGSATLLVAATSAFHNGSRNMASTAGIKCEDSGEPDNDEQDGRGTCVHDDEEKHARKKKRVTRDETFLRCGEGPPGARTVMALYTGWPKDGEETTVLQTVTRGSLIEITGPKRGIELCYATLIEYDMRIKTGKQEKDDLQLIDGVSLIDEMTTFARKPFTRQVQSNFSLCVGCFISGLHPEIRLFDGTISESRGLRRSVVAGVMDTWMDLKFKNTLLIYFLRSKKKCNALAKSHVPLARPSPAIIALVAAIERVRSVRRLGVRLEKHAAPGWMPLQSAPWPHA >Dexi1B01G0020030.1:cds pep primary_assembly:Fonio_CM05836:1B:26214951:26218057:-1 gene:Dexi1B01G0020030 transcript:Dexi1B01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLSSSAASKKVIAGVSSAITRSLYRTTRGKAHAAPLSAHEPPPKGQKKVSKQERKIRIAEFVDKFRASNDGKFPSKSYVYQQVGGSYYTVREVLQELEYNHTKIPLGNVKAAPLQRTVEAAEHSRPNDETMVAQHKGKSEVDENLRVSFNNSQEMQDVDDVLISHKDAATSIEIVEKTETWISMGSSHHNVETEAAKHDQNNSETFRTADGPTLSDQTESESMKVITNKSSVSLGVEAGSDPGNQQRETEANKLCVGSSHHNVETEAAKHDLKISETFKTADGPTLSDQTESESMKVITDKSSVSLGVEAKSDPGNQQRETETKELSLENTEKILNASESSVSDQSGSDKVIKANEHDREHNQKHEADESTSTGLFGTLKSFAYGFRNFWKKL >Dexi2A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:2A:23085:26339:-1 gene:Dexi2A01G0000050 transcript:Dexi2A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNAASASSSSSSFTTAQETEDLRLQFDDDHHQRAPLLLPAKIMDAADEKGERPDLSDDTAHQISVDPWYQVGFVLITGVNSAYVLGYSGSIMVPLGWIAGVCGLLLAAAISMYANALLARLHEVGSKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGFIILAGQALKATYALFRDDGLLKLPYCIAISGFICALFAFGIPYLSALRIWLGFSTLFSLIYIVIAFVLSLRDGITAPARDYSIPGSHGTRIFTTIGAVADLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSGYLLNNVKGPTWIKAIANLSAFLQTVIALHIFASPMYEFLDTKYGSGRGGPFAFHNVVFRVLVRGGYLTVNTLVAAVLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSAIQKSWHWLNVIGFSGLAVAAAVAAIRLIMLDSSTYHFFADL >Dexi5B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:5B:104194:105487:1 gene:Dexi5B01G0000200 transcript:Dexi5B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADADSDADFMYRLLSFHPPLSLNPITGLLPAIVSILVCVLNMRLFPNENTGKVWDQSVMQRSFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQRSYSADSVKAGEL >Dexi1B01G0026050.1:cds pep primary_assembly:Fonio_CM05836:1B:30991711:30992098:1 gene:Dexi1B01G0026050 transcript:Dexi1B01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHVTIEAELKVKGAVKSEDRYFILEAKAVPLNWGGRDVEFTGTQGSRLKISLEELHNCVEATIFLRVVGATWPAGLQGHFAASTAESPNKKVLLIAFGGDYGESIRGDGNMTHLRHVVSIRESL >Dexi7B01G0024340.1:cds pep primary_assembly:Fonio_CM05836:7B:28696498:28699941:1 gene:Dexi7B01G0024340 transcript:Dexi7B01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAVSAARCLLVANPIVHHSPVSSSSSALRLPRRPPLSSSPSSRSCSTSASPLLTVASMDAPPQGYRTNVGICLANPSLTKASSPRPLQPRWIFSASRIDIPSAWQMPQGGIDAGEEPRAAAVRELREETGVTSAEIVAEAPNWLTYDFPPDVRAKLNARWGTDWKGQAQKWFLFRFTGNDDEINLNGDGSEKPEFAQWTWMTPQEVIEKAVDFKKPVYEEALKHFAPYLQSDPTASS >Dexi7A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:7A:21710809:21711627:-1 gene:Dexi7A01G0011070 transcript:Dexi7A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSATSRILALGLALLCAAGLAAAQNVGNIVTDAFFNSIKNQAPSSCEGKNFYTRAHLCYISEINKSDSYCDPNNKQWPCVPGKKYYGRGPLQISWNYNYGPAGKAIGFDGLGNPDRVAQDPVISFKTALWFWMNNVHGVLPQGFGATIRVINGALECNGKNPAIVNARVGYYKDYCKQFGVDPGSNLTC >Dexi9B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:9B:1847217:1848405:-1 gene:Dexi9B01G0003230 transcript:Dexi9B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEERKGETVMVTGASGFIGSTLVRRLLDRGYTVRAGLLNPDDKAETDHLVALAGGEGRLSFFRCDLLDGAALLDAARGCSGIFHLASPCTVDANQLIVPAVEGTLNVLRAAKEAGGVRRVVVTSSISAIVPSPGWPAGEVRDERCWTDIDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVVNPGTVLGPMIPPTINASMAMFRSLLEGKHIAQFNTVFSG >Dexi5A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:5A:24428632:24429051:1 gene:Dexi5A01G0020610 transcript:Dexi5A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNALRDGPSGPQASAAASRSWRAFSLTAAPLSPAISSVTDTATSSLGTTPSLPSVPTLGRSASPTRSHSITAFTLCSAGHASIGTPAATASSVEFHPQCDTNPPTARWFRISSWGAHSATTSPVSLVLSTNPSGR >Dexi8A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:8A:6459068:6460329:1 gene:Dexi8A01G0006320 transcript:Dexi8A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQQYGGGFSDSRAGHLHQGLPDWHRPHHASSKPSSRIRRPGKPAPRRRSPAAAVAVAAALLLLAAVFLLSRRISRSNAEISQDSGAGESLPDWNQSKSWKELKFGHGGGGRSARDSRYWDRDDRRRDEDYTEEEKEKISGGSGTATDAGGDKGVTSEAGGEDKVLTSETGGGTKDVPEVTEGGKGGTLYNEGGRKELEQYEAAAMGAVGSGVREVDPDDEYDDGIDTQDDLEDTHQHSSDGGRKLGDGSLENAERKDEVAIERHTEPGARVVDSHGVSSVDKKKAPGASDKKHGSKKKPKRKKSDILIPIMRH >Dexi3A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:3A:1859765:1860442:-1 gene:Dexi3A01G0002800 transcript:Dexi3A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQGLAPREEGPSSLRLVCNVTVQHASRRLGGGGLAEADHGKPATASSESKSKVHEDDPLAFLDYNRTREIVWRAFGRLPGLRGIDLDIDNWHEEFTSNTITSRILQVVRGNHDMGLCGGHYRFVVYLDAEVTLVFSEPKALLRYCSEEVMQTLEDTAAGERCGICLDALESTTRSPPLNLPCRHAFHSQCIARWFFKGTACPLCRHDFSGLVALAATSSTAK >Dexi4A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:4A:5045093:5050481:1 gene:Dexi4A01G0006950 transcript:Dexi4A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSGGVTPSPAEGDKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTIPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLTAAAPAVQSQYLASNALGKGICNLPTGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADGGMTGQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSVEVQQMSLDGDLGCIPPQAQACSASDDANAWRG >Dexi8A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:8A:23030298:23031368:-1 gene:Dexi8A01G0013060 transcript:Dexi8A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVETILMLLNGYDLTSQSTRPPLTPLVQPIVVPQDFDRTAAVSRIARGRGKFVSSDKSSENPVTDATALRCQIECCANCGESSEATPMMRQGPNGYRTFCNACGLMWAKTGKIRKLADPEGGEAESRAGQRVHDGASGRVKVERLD >Dexi5B01G0038100.1:cds pep primary_assembly:Fonio_CM05836:5B:37371299:37374788:-1 gene:Dexi5B01G0038100 transcript:Dexi5B01G0038100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGMLTPDASRSEDSEDLMLSTCKISEAWVGGPLFDIEDNFVGMNLFFVKGRTFFLPTSIISECLLHFRMSLRRSKFLTRLENLKAGRFVDVLNEDPFSNLDNMGYPKLVDVPSGEKRIFACSGSFIEWNGCAAILTSASLVSHFVGDKKIAENLRAGIGGPLVDYDGKFIGMNFCGMDLIHINLDDNRTWTPFLSSDVIHDVLAYFKTKRTLAEVGLDGYASGALDWVIDGDVSSVFPSRYFKLLIYR >Dexi5A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:5A:2770189:2775702:1 gene:Dexi5A01G0003620 transcript:Dexi5A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAPAAIPAPAAEPLAAVAEEGGGEGEAPEAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFKLERQLASSQVPKEQQIDLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSNNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGEDNLRESMDVDSSLSDAANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSMGAIMYEMLVGYPPFYADDPITTCRKIVHWRNHLKFPEDARLSTEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNGELDTQNFMKFEELENPPARTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKAIKISGPPGMDSPMESNGRDTHMHTVSSGDPMIP >Dexi8A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:8A:2719054:2721157:1 gene:Dexi8A01G0003590 transcript:Dexi8A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASSGSKPSLLSTLPNDLPLEFLQKITQGFSKDLMLGQGAFGTVYKVQKTWTLEYIASMYSEIDPVSLQEVRTCIEIGLKCVDVNQDKRPSIAEIVDELNGMKAH >Dexi3A01G0022080.1:cds pep primary_assembly:Fonio_CM05836:3A:17656816:17659689:-1 gene:Dexi3A01G0022080 transcript:Dexi3A01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGTAGEEEERAASEEALTADSADDDGRRGSSSSASSEAASSVSYTYTPPDEWHKVAIIKTCVSADVVVAPVAASKDDKPPPRGGDDRHRASEMEMMKERFSKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCISDHIVELVPTWQTFPDGTRLEIMTSRPRSDLYINLPALRKLDNMLLEILEGFRDAEFWYVDQGISAPDCDGSASFGRTFHRRDDKWWLPVPRVPHGGLCEATRRQVEHRRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSEQFSPDCLLDCLDLSSEYQALEIANRIEASIYVWRRRGGAGKPSSRGGAKSSWGMVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLNVDELSKQSDHLPAAAGVDVKIACKNNSSKATATVVPASGTPYATAYATPSFSPAQLSSPSKIGRALLVDRRSHHVKQGAGVKRTTTTTSTADRAGVEVVKGMLVGSAVFDIPKAVAAEL >DexiUA01G0018270.1:cds pep primary_assembly:Fonio_CM05836:UA:38956321:38956981:-1 gene:DexiUA01G0018270 transcript:DexiUA01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEEFVVPETRKFKLFIPGLPDVSKPTVDIGENAPGKYRLYEPGFFNISLPFVLSFAFRKTNDSSKGPILNAFEIYKYIHIDLGSPDAPVMASLASRYPSADWAMEGGDPCLPSPWSWVKCTSEAQPRVVSINLSGKNLTGSVPREVGALPCLAEM >Dexi9A01G0025360.1:cds pep primary_assembly:Fonio_CM05836:9A:23600508:23602756:1 gene:Dexi9A01G0025360 transcript:Dexi9A01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSVEEPLLVPRPIVSGLALEAAEAKQLLRLAGPLVVSFILRNAVQMVSVMFVGHLGELPLAGASLAASLANVTGFSFLAGMAGALDTLCGQAFGARRYGLLGVYKQRAMVVLAVACVPIALVWACAESILLAIGQDADIAAEAGAYARWLIPSLAAFVPLTCHTRFLQAQSIVVPVMVSSGITALTHIFVCYALVYKVGMGSKGAALSAAVSYSTNLTILALYVRMSSVCKTTWTGFSREAFAGLREFAKLAVTSAMMVCLEWWSFELLVLLSGILPNPKLETSVLSICINTATLLYMVPLGLGTSTSTEKEVVTYIARMLPVLAVSFFVDALNGSLSGVITGCGKQKIGAHVNLSAFYLVGIPTGVLLAFVFRLNGMGLWLGIVCGSISKLALLLWITLRTDWETEAIKAKERVLSSSVQTK >Dexi5A01G0037210.1:cds pep primary_assembly:Fonio_CM05836:5A:38606798:38610956:-1 gene:Dexi5A01G0037210 transcript:Dexi5A01G0037210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSLKGMISAISWVPRGAAKNVPVVVEPPTQEEIDEAIKTMALGGDSGGSDAEEDDETGTMELDGAEAEEQEELDEVARAKAVAKALAKGSGKADDVADELAELNMDEYDDEEEGIEIFSSGMGDLYYPSNDMDPYLNNNDDEDDDDEEEIEDKVIKPTDYLIVCAHSDEDIFSLQASLPVCNISGFARNYNGGAVNILEETDDGEQNMFVHHDVPLPAFPLCTAWMDFNLKGDDKGNFIAVGTMDPAIEIWDLDMVDEVQPHMVLGGLSKKKKKAKGKKGKKYKKGSHRDSVLGLAWNKEVRNVLASASADTTVQSVAWCPQSPEVLLSGSFDKTVAMNDMKDGGQSCHKWSLEADVESLAWDPHNEHSFVVSLENGMVQAFDKRTASSSSSGRSTYTLHAHEKAVSSVSFSPTAPNVKLWDLSNNQPSCIASMNPKLGAIFSVSFSHDNPFWLACGGSKGKLKVWDTQTEPAVAHKFGRQK >Dexi3B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:3B:7399586:7401069:-1 gene:Dexi3B01G0010570 transcript:Dexi3B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPCSADARHMFDGMAMNGRGKSVAMSGGSIDALPDGILENILGFVPAPEAVRTCVVARRWRDLWKRATGLRITCVADDVGKTETMKERRKFVENLLRLRGSTPLETCDLRFGNFYDNDDELLLNRWFRHIVACRVRIFRLENLWSDGFRLEGPPLVSQHLMRLDLVGVYINSRLCDFSSCPFLEHLEIDACYCWSGVNISSKSLKHLALKYCDFGAEFRALLHVPNLVSLTLDGHLSSAPVLGCMPSLQEAFVRVTHENVRFRDPDDYLWSFGCDYDHCYSCDGIVHDNSTMSVLLEGLSGAENLALLSQSKSFVFGRDLKQCPTFSKLKNLLLDEHWCVAPVFPALTCILKHSPVLEKLTLQLFSKGPHHKMEMIGRCSSMNRSVAVSEHLKAIEIKCEVVDEEVHKVLRFLCSFNICK >Dexi5B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:5B:10689313:10690260:-1 gene:Dexi5B01G0014320 transcript:Dexi5B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDTRTLKDELTSMDRRPLLDLGHPLLNRVADSFIRAAGVGAARAVSREAYFITVEGDSAGLDANGSKRSHFSSIRGDDGQKSLDVVVKTAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAIAGAIAGAAVALTGDAGGHSDKLVHFAITGAALSSAASLLSGIF >Dexi5B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:5B:7543974:7545964:1 gene:Dexi5B01G0010700 transcript:Dexi5B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSPRRRRAPWPLPAPLLLLLLLLFAGGKAMANAAATGDDGARPSPIKNVVVLALENRSFDHMLGWMRRLLGLPIDGLTGAECNPNSTTTSTSSTICVSADADLVVPDDPGHSFEDVLEQVFGAINIPTSQQPSMSGFVRSALSINTLLSSFVMRAFRPSLLPSFATLAQSFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKLDLLLGYPQRTIFDSLAADGHGFAVYFKTIPTVLFYRRLRSLRYASRSFHRYDASFRDHARRGVLPALSVIEPRYFDLAGAPADDDHPAHDVANGQRLVKEVYEALRAGPQWNQTLLVVTYDEHGGFYDHVATPTAGVPSPDGIRGPPPFFFRFDRLGVRVPTIMVSPWIKKGTVVGRPVGPTDTSEFEHSLAQEARKKKMTVKQADAYVRRAITGFLQASKQAVRLGANESAIVTMRSSLTSKSTAGSSP >Dexi9B01G0044640.1:cds pep primary_assembly:Fonio_CM05836:9B:44351388:44352295:1 gene:Dexi9B01G0044640 transcript:Dexi9B01G0044640.1 gene_biotype:protein_coding transcript_biotype:protein_coding KELHALHTMGACTTIAPVVSKIFCSSSQAVLMVHRRPPTVNGGGFVVTDQNQRAVFSVDGCGILGASGQLIVRDGDGNAILFIHKKEGVVQALSVNNRWRGYLMDYGEPSKLVFSLQDPKPVLCMKGDVQVTVEPKGRNRHWDYEVTGSFVQRSCAIKNRAGHVAAQIGVKGMTAGRDFYQVVVQPGYDQAFVIGVIAILDNIHGESTRC >Dexi9A01G0024870.1:cds pep primary_assembly:Fonio_CM05836:9A:21568406:21570919:-1 gene:Dexi9A01G0024870 transcript:Dexi9A01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSCFPTLLAAGRKKKNRKIADAKKAGGNECPKVKPIEFIDAPVAGRAGELEKKAAPLDVKLPSAAVAVPVAAREAGDQFVAKASSNGDDLSDFKFDFHTAKKSDGNGASNAAEKRATTDAAPIAGDEEAAPADPSPKLKRSCSNIETKRPGARAAPAMPARSSSYGDLGNLIGGVTMDMWATPHGAPPEASPVSVKTSHTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSSARPADACSPSRRGGYTSDTLEEDRKNKKVMVDDSPPPSVPNQWVAFCADNSIHDRVSAWVCSIENEPPFGIAEEDDNYDGGDDVDDDDEQHGECTARTRSLEVGESSSGKNHGKSKRCAAADEVLQANNIVQSLNAFSSVAHISGMGLKVMPMIAPFSSLRAVNLSSNFIGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKITTAKALGQLVANYHSLLALNLVGNPMQANVGDDALRRAVTGLLPNLAYLNKQPVKPQRSAREVATDSVARAALGGSGGRSGRKRASRRLTHSPRSSSLARARGGGGDGSVRSRSKSRHHG >Dexi5B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:5B:10329979:10331140:-1 gene:Dexi5B01G0014010 transcript:Dexi5B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAFALALVVAFQAAAAVVVPATAPGAPTVGYYNGKCNCSVEGIVNDTVKAALDADITKGAALVRLFFHDCFVRGCDGSVLIDPTYANPNPEKTSGANIGLRGFDVIDAIKAKLESACCPNTVSCADILAFAARDASRYLSKGGVDYAVPSGRLDGVVSSATDAANSLPGSTFNVAELIAKFAGKGFTPEELVILSGAHSIGEAHCSSFHDRLSAPSSQINPDFRDSVLAKDCGAGGDDPTVENNIRDMNAASLGSLARYAVPARGGAYLDNSYYVNNLNNLVLFRSDWELVGSNATLRHVREYADNGTLWNLDFAAALVKLSKLSMPPGSTGEIRKTCRATNNY >Dexi5A01G0038490.1:cds pep primary_assembly:Fonio_CM05836:5A:39479234:39481631:-1 gene:Dexi5A01G0038490 transcript:Dexi5A01G0038490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEAGELPLTDPADADRARLQQLGYKQELKRGLSVVSNFAFSFAIISVLTGVTTTYNTGLRYGGPASMTLGWLVVAFFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWACTTSVDFSLAQLIQVIILLSTGGANGGGYLASKYVVIAIYTAILVVHGLINSLPIHWLSWFGQLGAFWNVAGVFLLVILIPSVAKERASAEFIFTHFNTDNGMGIHSKPYILALGLLMSQYSSIGYDTSAHMTEETKNADWSGPMGIVSSVALSGIFGWIFLLALTSVVTDIPYLLDTSNDAGGYAIAQALYDTFHRRYGSGAGGIACLTVIAVAVFLCGTACSLGSQVAFQAMVSITTLGLYISYALPIVFRVTTARRSFVPGPFHLGRYGVAVGAVAVAWVALVTVLFCLPVAYPVAKDNFNYTPVAVGGVLFLSLAVWVLHARFWFRGPVTNVVDAA >Dexi3A01G0031120.1:cds pep primary_assembly:Fonio_CM05836:3A:35515445:35516539:1 gene:Dexi3A01G0031120 transcript:Dexi3A01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSNSSKLSIHLKMLGYSSNINVFFQDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSIVFVRAAKQNRKSRVVSLKLEP >Dexi9A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:9A:15029655:15037364:1 gene:Dexi9A01G0020060 transcript:Dexi9A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGLPVEPQSLKKLSLKSLKRSRDLFAPAHSLLFAPDAESKRIRTGYKVRVEYGAVKDLPAEQGRGQGKGTSAAPSNALALTGTQDTNDSHREGTSNAIVPAPLMLPKAPESTIPGKNTTLSIAGSSDRFSTSALMERIPSRWPRPAWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKLWDLVAGRTMCTLTHHKKSVRAMAMHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSLWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >Dexi4A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:4A:25030828:25035023:-1 gene:Dexi4A01G0021540 transcript:Dexi4A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRNTHDSRLARSAPPAPVRLAIHATMRHHRHPSPPLRGRHPSPRSPEERTPVPSSAADLASSPTSACLAPGRLAPCVPELERKSPLSGPVAHWRSSDLTCPCPSFELAGVHAPSSFVPPAPSSAKAARCRCLSCSRATSVGQGSQNRTEGPNRRPAEPAGVERDCEPWRRLPVSNAIRAKRNASCRCDSIHSGFSRKRVAERSVLPQAPKRQARRCVIVPILSSAPRARLGSTTGMLSVFDGWIGNQIG >Dexi1A01G0025580.1:cds pep primary_assembly:Fonio_CM05836:1A:31761581:31762210:1 gene:Dexi1A01G0025580 transcript:Dexi1A01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHHYASTSQFVFAAVDSDPNPARKHKTTVQIPITSPSEEGTTREDESRDAAAAAAAKIQAAFRGHQVRRHVAAVRAADAEATRLERLLRRQETVDAVRGDERERARFSEALMAVLLRLDAVPGHYPAVRDARRAVSRRVVGLQEVFDAVVAAPEAQTCGVPASLEQVIEGIWGAAGQAPMPAPVAVVVEDDVRRSGTCWGRFFGGA >Dexi7B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:7B:21654805:21656130:1 gene:Dexi7B01G0015600 transcript:Dexi7B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHLSASSRFAIVVAVASVVLSLLANPISCDDGAPEAMIGHSQQNDTSRRSLWESARGYGWSYGGATWYGSPYGAGSDGGACGYQDAVSQRPFRSMIAAGGPSLFNNGKGCGACYQIKCTGNRACSRRPVTVTITDSCPGGGACTAESAHFDMSGTAFGAMANRGMADRLRSAGILKIQYKRVPCNYNGLGINFKVDAGSNPYYLAVLIMYVSGEGNMAAVDIMEAGCNSWTPMQQSWGAVWRVNSNNGQPLRAPFSFRITSGSGKKKLVAKNAIPAGWGAGATYRSRVNYGY >Dexi3A01G0036280.1:cds pep primary_assembly:Fonio_CM05836:3A:41685016:41685816:-1 gene:Dexi3A01G0036280 transcript:Dexi3A01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGDGWLHPNWWRPVGYSSSSSRGSSSYTSTPGTTSTPSPSPYTNLTPSPQSSRRLDLMSCQHSEKEPEYIKCFLQGVAKGDVSISTLETVLGVGRQIADDASAMSLLHFTGFWIRALVGITESVLAPYCLELVGDGAPAPAEKLRPLIDVREAVSRASQDIRLSFCATSSQEAKRITDGVTNLLLAKGSKLDEAIWSTMEETSARLVASTDMEDDEDITW >Dexi1A01G0025490.1:cds pep primary_assembly:Fonio_CM05836:1A:31690886:31691197:1 gene:Dexi1A01G0025490 transcript:Dexi1A01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDQTAEGAAPVDSASRYQQIRSTTSLPLALFCRNFSAHRVSTFSATAARAASGRHRIVVSCLNCVHSSGQYGWGL >Dexi5B01G0028400.1:cds pep primary_assembly:Fonio_CM05836:5B:29773777:29776777:1 gene:Dexi5B01G0028400 transcript:Dexi5B01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTSVLAAKAYKYKAESLVKEYLLADSCVSYTAVLGGILMCKMAYDITSLISSLYYKGYGSLTKTQKLEWNNRGMSTVHAMFITVMSVYLVFFSNLFSDQLGGPVTFRSSNISNFTLGVSVGYFITDMAMILWAYPSLGGMEYVLHHMLSLISIAYAMYSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKKSKAYLVNGVAMFVAWLVARIILFVYLFYHIYFHYDQVKQMHTFSCILVFAVPTILLVMNTMWFAKILRGLKKTLAKRQ >Dexi9A01G0035650.1:cds pep primary_assembly:Fonio_CM05836:9A:40238679:40241999:1 gene:Dexi9A01G0035650 transcript:Dexi9A01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEAKGSFLDKSEVTDRIIKVVKNFQKIDDPSKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >Dexi4A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:4A:2081945:2083948:1 gene:Dexi4A01G0002940 transcript:Dexi4A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQLRLLPSPPAVLKLQQRRLGSRLPRQGGLSRRLSKVVSYYGLTTPPYKLDALEPYMSRRTVELHWGKHHQDYVDGLNKQLATSPLYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGLPEGGVLQQIEKDFGSFTNFREEFIRSALQLLGSGWAWLVLKRNERKLSVAHTRNAISPLAFGDIPIISLDLWEHAYYLDYKDDRLTYVTNFMDHLVSWDTVTLRMMRAESFVNLGEPNIPVA >Dexi3B01G0038630.1:cds pep primary_assembly:Fonio_CM05836:3B:41410843:41411082:-1 gene:Dexi3B01G0038630 transcript:Dexi3B01G0038630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRTPMYKRMTMSFVLNKAKKSFTRNTSSRALKSSVSTTSMAPYHERERLMGGGDCIEECKRRKQSAIIQGGDETAT >Dexi9A01G0032770.1:cds pep primary_assembly:Fonio_CM05836:9A:37638611:37642427:1 gene:Dexi9A01G0032770 transcript:Dexi9A01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAPVKVIARDKITDATAALFTGSVEVPERFIRADEVEAAGAVVGEDETFEMPVVDMASLLDPELSATETAKLGSACREWGFFQLKNHGVEEAAMQQMKDSAAQFFSLPLETKNTVAVRGDSIQGFGHHFSGATSDKLDWAECLLLFTHRVQDRNMNFWPSEPPAFRHALERYSMEIAKVTKRLLGFMAADLGVSEEALQGAFFSGDGDDAVKGQSMSMHHYPPCRRHRHKVVGIPPHTDSPALTLLLQVDDTPGLQIRRGGRWFPVRPTPGCLVVNVGDILDVLTNGEYGSVQHRVVPDAERHRWVAPLQELLLLKGDEGEARPRRYPSMGKVEYENGIAGAVAQGKRFLDSIRM >Dexi2B01G0029190.1:cds pep primary_assembly:Fonio_CM05836:2B:37662398:37663367:1 gene:Dexi2B01G0029190 transcript:Dexi2B01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMAAATSRVLAAKTPFLGQGRAAANATPLRDVAAAATGRITMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPVANNAWVYATKFAPGS >Dexi9A01G0031800.1:cds pep primary_assembly:Fonio_CM05836:9A:36715903:36716798:1 gene:Dexi9A01G0031800 transcript:Dexi9A01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVVVEETVKQIISGGLAADTRGEKPDAVEHVERLEMAQIRLEAALETSVRWPIRDASLLRWRGKLKRAAQECDEALHRCKQRIVEDEEKEHEAASSSFPKRLARATRSYLSSLVAGDAHGSSSGGGDARRFEWYADSASDFVRFVELGGTARRYMFFDPLIGQLLAGNELRYRLVRGSQYHLLCMRPASFEGRGMEAKMLYVYEDDDAPEKNFCLGLMLRLSESMDVVGITIKCLQLVTPHFRSTAEAAMREFA >Dexi2A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:2A:24750142:24755536:-1 gene:Dexi2A01G0014740 transcript:Dexi2A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQDLCVGDLLALVPGAGPCAWRRACAGRRQRSARASTALTLLLLDPSVADSPSGAAVPLTVPIPASSPQTLASYFAEPEAVRVQESPKSQSVLVRIFCSDPDATDSSDDEDGQNIKVKKMVREVYVPMKNFKTSKCLETLVPCGTKALKVSDKKGKSSRFIGVRKRPWGRWAAEIRDPVKKTRRWIGSYDSEEAAAAAYQAYSNKIRAEVLAMKDQHSVSERTTQGYPNKISAEVLAMKAQHSVSERAALSSSSSVSCVSSSTLLEQTTQDMQNGVFMEIHPDPVGETLLNFSTPKEISMDVLLGQQIDEIPVNDSVLPAEGLPHPLDDFARLEDVFPISDFIDATHNPLDDNYIGLADISHLPLPMKDPEFNMDAELDWSEFDFTAIEHELGVL >Dexi9B01G0035090.1:cds pep primary_assembly:Fonio_CM05836:9B:36861485:36863016:-1 gene:Dexi9B01G0035090 transcript:Dexi9B01G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSVHDFIVKDASGKDVHLSTYKGKVLLIVNVASKCGMTNSNYAELGQLYEMYKDQGFEILAFPCNQFGGQEPGTNEEIVQFVCTRFKAKYPIFEKVDVNGEDAAPIYKFLKSSKTGPFGDNIKWNFAKFLVDQQGRVVERYAPTTYPLSIQKDIKKLLGSS >Dexi4B01G0022370.1:cds pep primary_assembly:Fonio_CM05836:4B:23989541:23996106:-1 gene:Dexi4B01G0022370 transcript:Dexi4B01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPWPTPRSVRQASQLHALLITSGKISHPPSAGHLLNSLASCVSTPRHLGYALSLFDRLPQQSTFLFDTALRACLRASAAADHPVLLFRRMRRGGVRADAFTFHFLFRCCAALPFPGARGRAGLCRMLHAACHRTMLPSAATLVANPLIHMYAVLGLTDDARRVFDEVPVKDAVVWATVIGGLAKTGMLDEARGLLEQAPERNVISWTSLIAGYSRARRAAEAVDCFNRMLSDGVAPDEVAVIGVLSACSQLKDLDLGRSLHFLIGEKRIRMSDNLVVALIDMYAKCGDIACAQGIFDAVGRGQKPQPWNAIIDGYCKLGHVDVARSLFDQMDAPDVITFNSMITGYIHSGRLSDAILLFMQMRRHNLRADNFTVVSLLTACASLGALPQGRALHASIEQRLVEEDVYLVTALVDMYMKCGRVDEATFVFHRMRERDVHTWSAMIAGLAFNGMGMVALEYFYQMKRDDFQPNSVTYIAVLTACSHSCLLDEGRLHFNEMRSLHRIHPQIEHYGCMIDLLARSGLLDEAMDLVQTMPMQPNAVIWGSILSACRIHKKIDLARYAAEHLLKLEPDEDAVYVQLYNIYIDSRQWVDASRIRMLMEERGVKKTAGYSSITVAGQVHKFVVNDQSHPWTFEIIAMMEEIAQRLKSVGYSPITSKITVDVDEEEKEQALLAHSEKMAIAFGLISLAPNLPIHVMKNLRVCEDCHTAIKLISKLWNREIIVRDRMISACARYGDGAQGVELFNKMVSRGLKPDDVTFLCAIAGCDQAGLFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVEEAMKMMEHSPLRHYTKAWSSVLQSCRAHGENVLGKRAANMLIDVGQKDPATNLQVSKYFHEIGDNENASRVKAMASGQEVKESGHSLVEISRGI >Dexi6B01G0016890.1:cds pep primary_assembly:Fonio_CM05836:6B:24174333:24175427:1 gene:Dexi6B01G0016890 transcript:Dexi6B01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMVSGEEEVVQVVGKLFGVLLRSCSGELRRGEVDLVEDLSSLLHGLVWREGEGGAPLYRGSRERGEVLLLGLACSHPIPGERPKTPAIQQVLMGALPPPVVPPFKPSFVWPAMDGGIETMSTTAGTTTSQLSLTSASTWSGNYVKGSLKLELKQSEVFDLI >Dexi9A01G0046640.1:cds pep primary_assembly:Fonio_CM05836:9A:49974096:49977332:-1 gene:Dexi9A01G0046640 transcript:Dexi9A01G0046640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEASSSSPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHTLPHHPTTGTVFVDRDGKHFRHVLNWLRDGTISMMSESEYQQLLREAEYYQLLGLADCINERLGWKKAESSEAELTRKDVIKSIQAQRVRFRGVNLSGLDLSKLTVNLTSANLREANLEAANLKGAKLSGTNLQGANLQRAYLREVDLRDTQLTGAKLGGANLQGAIR >Dexi9A01G0031410.1:cds pep primary_assembly:Fonio_CM05836:9A:36367475:36368656:1 gene:Dexi9A01G0031410 transcript:Dexi9A01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPDFKKKRSPIVAGTAAGGGSGLTDDIIVDILSRVPVKSICRFKCVSPSWRNLISHPDNRKKLPQTLTGFFYFDEFDSCEFVSLAKPPPTPTRNWRLRPPSLCDFAFLPANTGGGALDCCNGLVLLNSRSASGSEAPVSYVVCNPATEKWTTLPPVPESAKISKICNASILCFDPAVSPHFHVVRLLAAEDDGFTEDDLFEGVQIYSSETGVWVFHPHNSGWSPVGHRSRRTYFNGLLHFITSDQRAIAAMDMKGQTQRIVYVPRSKEVELIGNSQGRLFYANRDDRNTYKGRLMLYNMDSRSARVIRSVTETCLWSFVPYVPLHMETSALENGN >Dexi2B01G0030450.1:cds pep primary_assembly:Fonio_CM05836:2B:38738307:38741621:-1 gene:Dexi2B01G0030450 transcript:Dexi2B01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPEDRGGRYAPLAGARRPVLRGSGAAAAVDPGEEFVAFFREAWPYIRGHRGSTFVVVISSEVMSGPHFDRVLQRRGVVNGIDYGFTGEVKKIDVSRIRERLDSDSIVVVSNMGYSSSGEVLNCNNGGR >Dexi1B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:1B:1148074:1152278:1 gene:Dexi1B01G0001470 transcript:Dexi1B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAAGKDAGGGLPARRLAAVLAPLLLFLAAALSFPSTLRLPLLLSTAAPPYYYSLLGSGGAAPRRPQPPPPRVAVCLVGGARRFELTAPSIARHVLGGLGNLKGSSSAEVDVFLHSPLDADAYKLSLLGRAAAPRVSIAGVRVFRPEPVEETPASVQVLTAANSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYAAVLRTRVDGFWSAPLHLDDLLLPNPLAAAATTNSSSYYIVPEGSRFGGLNDRLGYGDRDATTAALSRLTLLPTLAAAGHHGLNSESAFLAQLNSSAITPIERQFPFCVLSDRSYTFPPTPGYGVPVASVASAGPLSGAKCRPCRRPACGGECAARSVDALEDGWSWIEHRNGTAVELCDASGPWEDGWEAVFDEVVGEEDAAERRRVVRMGAKECVEEMEAFRERAEVWDAPSAVEICRIGIRARMAAATAAAAISAGGED >Dexi1A01G0026460.1:cds pep primary_assembly:Fonio_CM05836:1A:32368376:32368784:-1 gene:Dexi1A01G0026460 transcript:Dexi1A01G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGERSEKHHGHVHVHMLKIMTLLPFQAGERSARLSVCLPSPKHEKDTRH >Dexi9B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:9B:7282859:7284927:1 gene:Dexi9B01G0011450 transcript:Dexi9B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGFHVVVLLVGADEGYGPTWDSDDEYDNFIRKMNPPRIVIDNESSAEATIVRVDSANEYGILLEVIQVMIDLDLVIGKAYITSDGGWFMDVFNVTDKEGKKIKDEATLSKIEDYIRKSLGADSRYIPSRRRSVDVAAAADHNVIELMGTDRPGLLSEVSAVLASLNCNVVSAEIWTHKTRAAAVMRVTDKDTGLAVMDAERLERIRERLSYLFGGGDLSRGAAMAVSSGTATMHAERRLHQMMLDDGDCEQLQRQDPEQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLQYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTETERLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNLQVKGQPEPPDPQKKESPTWFLFANLFRPRSLYSLGLFMR >Dexi2A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:2A:24321552:24328163:1 gene:Dexi2A01G0014600 transcript:Dexi2A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAERQLVIAVEGTAALGPYWSTIIGDYVEKIVRSFCANEVPGQKLAGAPPELALVVFHTHGPYSAFSVQRSGWTKDIDAFLSWLSRISFNGGGFSEASTCEGLAEALTVLNFLCKLQIPFGPNFRFIIQYLNIVNPSYVLILQGSPNSTQSHQNHEAQKHCILVAASNPYPLPTPVYCLPTQNTDLKENTESSKEPSIADAETVAKSFAQCSVSLSVISPKQLPTLRAIYNAGYRSTTASETQYAGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSMSDKAGRLIGMLFPG >Dexi5A01G0033460.1:cds pep primary_assembly:Fonio_CM05836:5A:35817847:35819563:-1 gene:Dexi5A01G0033460 transcript:Dexi5A01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >Dexi9B01G0022940.1:cds pep primary_assembly:Fonio_CM05836:9B:17815885:17817292:-1 gene:Dexi9B01G0022940 transcript:Dexi9B01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKSMNDGEISISAYDTAWVALVPKLDGEGPQFPAALRWIVDNQLPNGSWGDSSLIAKEEQDSMPIGFELAFPSLIQTARNLGIDFPYDHPALQSIYSNREIKLKRIVKKFNGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYALD >Dexi3B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:3B:153075:153627:-1 gene:Dexi3B01G0000150 transcript:Dexi3B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPVAGHHFAYTSNRADHGEEDYSRLRPLSYRGVDVFILSFSLVSRESYENVLKK >Dexi2B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:2B:26585693:26586598:-1 gene:Dexi2B01G0016450 transcript:Dexi2B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEVFLPPNQRCDGTLELYNMQYNIAIVSIKNRFNAIRPADILCKEMEVSPEKVVAIGRDTIHGLLMGTTGQVKSNNKDCKLNCKELQCSTCNITKAGIGGPLIGFDGSFVGMNFYDGSDVTPFLPRLVIFNLLRGVVNSRIPSESGEYPMRILDDFMVGEKYRWPVPEPYWYHGELDVDMDELPKFIGRILN >Dexi1B01G0030520.1:cds pep primary_assembly:Fonio_CM05836:1B:34457432:34458184:1 gene:Dexi1B01G0030520 transcript:Dexi1B01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGGNNNKQGQAAAAPKGAFVLRVPMHCRCDGCADKIRAGVKDLMLHHDIEALDQSALWTKGELRVASSTADPDKLRRRLKKATGKSVDLLLPKPPAADKDAAAAAKDATAAAMEALLRRSIMQQQQQQAEAHQYGGGHGQVVLPAAWGAALQQQQQQPGYPWAGVQVQHHQPVAEAAYYPSSYGLAAAYPAADWGAYAYPPAAPHGGGAGYGGGWLY >Dexi5A01G0031330.1:cds pep primary_assembly:Fonio_CM05836:5A:34060720:34061874:1 gene:Dexi5A01G0031330 transcript:Dexi5A01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLTALKPSTPATAIRLNPIGGSHGRRRRPLFASSAPPPPPPPPPSSASRPPKPTPSPEPPKPTHEARGQNPDDATAAAFPTTKPRKPRRGRRGEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKDEERTPDEEEGEGVGEEEQKPVVEEEDPSWPLDADIGWGIRASEYFDKHSIRNVTVDGVEIDWEKEVDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRC >Dexi8B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:8B:11195878:11196089:1 gene:Dexi8B01G0008370 transcript:Dexi8B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWWTLMHQAPVTLLKERTFTGKEYNILTLMSY >Dexi6A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:6A:179349:179993:-1 gene:Dexi6A01G0000250 transcript:Dexi6A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASGVSSLLCCPCRCLFCGVLSCLFSVLTCIFFSAGLVALVLYLLFRPHIIRATAVSGDISTFALTPRTWILSYNLSVGLQLQNPNKRIALRYHDVAAHAFYEGQRIADVSLPDFFQDTGETTTLEPVFSGEAPLVGGVAAAGFRREAAEGSTFSVDVKVTAHVKLKLWVITVPGPKPKIDCPLRIHRCNNSDHGTAPAPEFQPKECRVWF >Dexi2B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:2B:19122428:19125769:1 gene:Dexi2B01G0012720 transcript:Dexi2B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAALLAAILLVVLGAARRADAAIGVNWGTVSSHRAPPGVVVDLMRANRISKVKLFDADPGVLRALAGSGIQVMVGLTNGELSSVAGSQAAADTWVAQNVTRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYIIPAMTNIQQSLVKANLASYVKLVVPCNADAYESASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQNSDFPQDYAFFEGSTHPLVDGPNVYYNAFDGNFDTLVSALGKIGYGNLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRITSNKGTPLRPGVPPADVYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNSVLKNAKEVPYLPSRWCVANPAQNLDGVSDHMKLACSMADCTTLYYGGSCYGIGEKGNVSYAFNSYYQQQKQDPKSCDFGGLGMITYLDPSMGECRFLVGVDDRCSFSHGAGGGGPGGQQLSPQQAWPQVTVGCCTSQQHWQAETNREKAMS >Dexi1A01G0022630.1:cds pep primary_assembly:Fonio_CM05836:1A:29266383:29267276:-1 gene:Dexi1A01G0022630 transcript:Dexi1A01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEFEDEYFDQDEDDLDDFIVYSDEEDDKLSKHKHQRQQELEDDMEGEAEEEVEKVEEDDVEEVEEVEEEEEAPVGQQEILSLREQLKEEIRSKNAAMAAGSCKASCSTYVNKTIIPPAKDGYGTFFGPSKPVLARRVIEEGCSSTIKELKNAPSKRLLIFVLG >Dexi6A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:6A:5118967:5119734:-1 gene:Dexi6A01G0005580 transcript:Dexi6A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKGYGQQQAHLLSPVGSPPSDNESAAAAAAAAAGSSAGYGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGGGGGGRHGEGAAEDCGGGTAAAAHGDVGAGGGHDGGADVGLMMGVGVGFNAGGGASYYGGAVAGRGYGGDAAAKVVEFDGEEENGGGGGMQRGFGGHFHGAVQW >Dexi3A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:3A:1025594:1026767:-1 gene:Dexi3A01G0001500 transcript:Dexi3A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNPTRIEVRSSDRDELEEHLRAAAGTTPPPTTTTGYTTPPPHTNPLLHLLHPPPGAAPSKAQRIGLSSTPTPSSNLSFTPTPSSNPSRPPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRAFQGPAHRPLLHPDPLLQPLLHPDTLFQPQQTPLFLDGGRDQAPPPRRRGPPPPLHLPLTPPPPHPRAAAPTRAVLALKRRPRLVAGVSRVEEIEEPLDPALALACADDPARLGGVDSPIVRLVADEYGVGGDAAPFVCLGGFRNTRAVYELEEEDGEGEGLVLELDETRFDFGTSYELECETAEPDRVKEVLERLLTVAGVPYEYSRSNKFGCFMAGKLLP >Dexi9B01G0044860.1:cds pep primary_assembly:Fonio_CM05836:9B:44547729:44550870:-1 gene:Dexi9B01G0044860 transcript:Dexi9B01G0044860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVASTLPALRPLLRRRPLLNPKPLLRSRLPPPPFRGLSSSAPAGAAAAPSAEAEGDAVALDEHLTRCAVAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHMINEYRCGHTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSVENTEAPSVLQLSKDKIKDQTYFLSHLSQSQLRRLLFPLGCITKDEVRRLATQMDLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGIILEAESGDYLGNHRGFWFYTIGQRQGLRLAGGPWYVVAKDVHNNVIFVSRNYYTLDKRRRTFRAGSLNWFDDSGPGNSEFLKCKVRHSPEFHDCTVTKEHTEENGDVLVVRLSEDDQGLAAGQFAAFYREDLCLGSGIILDSWDEMSFPICSRALEIAKLEDKSILGKPIRIINLEHIVKPEQEAIKVP >Dexi2B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:2B:7494832:7495625:1 gene:Dexi2B01G0007130 transcript:Dexi2B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEHQKLGPFMQVLPICSRLLNQELLG >Dexi2A01G0026360.1:cds pep primary_assembly:Fonio_CM05836:2A:37880440:37881602:1 gene:Dexi2A01G0026360 transcript:Dexi2A01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNFISPFLHFTIRDGVSEGQFKQVLEKEIPEIEKAWKSLYNEKPHITFIVVQKRHHTRLFPDNHNDRRWTDNSGNILAGTVIDKNICHPTQFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADGLQSLTYNLCYMYSGCTRSVSIAPPAYYAHKLAFRARFYVNQASDVAMSVGSGNAPAHVAVVNPLPQIKNELKRTMFYC >Dexi9B01G0018740.1:cds pep primary_assembly:Fonio_CM05836:9B:13346014:13348464:-1 gene:Dexi9B01G0018740 transcript:Dexi9B01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYRDSIKVLEADIQHANTLASEFPRDYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDVEDKKQKAVCMERYRKKDEDERSSLSDIDVEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTCPGDLWIYVEDQDVVDTETVSSENLRRLFMYISKLPLIVPDVIFTVYDSHIK >Dexi5B01G0037850.1:cds pep primary_assembly:Fonio_CM05836:5B:37220968:37225352:1 gene:Dexi5B01G0037850 transcript:Dexi5B01G0037850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGAVGVDQLPPDHPVPRLRLGSSSAYVTIVLSADDNGEVLSSMSGLQVRATGLEHRSEAMFADLGHFTSASVRVPQGGWVPLVLAFIFMSVMYIWHYGLRRKYQFDLQNKVSMRSILSLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPKEYRMYRCIVRYGYKDVQRDDDNFENMLVMSIAKFIMMEAEDASSSTSYDIANEGRMAVITTTDDAGTPLSMRDYNGLADSITTRSSKSETLRSLQSSYEQESPSVSRRRRVRFEVPEDDDMGQQVKDELMALVEAKHAGVAYIMGHSYIKARRSSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Dexi5A01G0010180.1:cds pep primary_assembly:Fonio_CM05836:5A:7756706:7757214:-1 gene:Dexi5A01G0010180 transcript:Dexi5A01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWYDRWCILKEATRLYGASWVRDIGPDLRPNDYKKEAEDEEDEPSNGKTGSDNGKKGKSSEPSVLEDLAVAARGGAETLKPALRRIYMTRASTYTEAMKNFVETYQEGLKDQLQEKAADGNAG >Dexi4A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:4A:13766615:13767376:-1 gene:Dexi4A01G0013660 transcript:Dexi4A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLHKVELRLLEEETAKRVEQAIRKKVEESLNRDEIKQEIQRRIEEGRKRIHEEVAVQIEKEKQAALNEAKLKAEREKQEREEQEKKLAEEKKKAEEALMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQRQNQMKLLGKNKSRPKLSFALGMK >Dexi3A01G0028890.1:cds pep primary_assembly:Fonio_CM05836:3A:29828413:29829328:1 gene:Dexi3A01G0028890 transcript:Dexi3A01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMVSQVMKSSLVHSKPRGLSGAALTRRPRFTVKAIQSEKPTYQVVQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVTILSVCLTMYGVASFNEGEPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVVWAYFLLYVLDLPYYFK >Dexi5B01G0025600.1:cds pep primary_assembly:Fonio_CM05836:5B:27623046:27624295:1 gene:Dexi5B01G0025600 transcript:Dexi5B01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEPGASEMPSVKDLFDASGPTYLTYVTWNCPNHRRSVMASLVQGVYVLERDRQWNLQGPDARAPPWWSFFHFEPRQVLVDAADGSIFGAVYSFQPPPPPPPPYHHLDPTSAAAAGAPHYVVAFRGTITKKGSAKRDLELDLQLVRNGLQGKSRFRVATQAIHDTLAAAAAAAGHHHHRVWLAGHSLGSAIATLAAKTLARSGSGVILPTFLFNAPFVSAPVERIGDRRVRQGIRIAHSFVKAGVAAVVLQKQKGVHAEASFAALAAWVPSVFVNPADPISGEYVGYFDHRREMEAIGAGAVGRVATRNSVKDLLLGIGKGGGGCEPLHLFPSAVLTVNRAPSPDFKTAHGIHQWWRPDLVLECTAHYYT >Dexi2B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:2B:7996209:7997429:-1 gene:Dexi2B01G0007780 transcript:Dexi2B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLIFSAILSDLTSRSVSFLIDKCLSMTAPAPSVEETLNSLQRLLHRAHVIVEESEERIVTNQAMLRQLNRLRKEMYRGRSFTTSRFNPAKRLRPRGGSSSSQHERAVDVLGDLETAIRDVRELVVFLSGCPRLCRQPYSAYLLVDRCMFNRQMEMEQIMEFLLQGEDYSRAPAVLPIIGPGRVGKTTIIEHACNDQRVRNHFSQILRFSQDSIRDVKTIATLGDRSVIKLHDGDRAVTGGDNMTTLVIIEVARDIDQGVWEKLYSDCRRQIGRGSKILVASRSDRIARLGRATQTQPLAVRSFTQEAYWYFFKARTFGSTDMKDHPKAAAIAMELARELNACACVYGANVFSRLLKNNFDARIWSRTLAQAREFKRMNLL >Dexi4A01G0020370.1:cds pep primary_assembly:Fonio_CM05836:4A:24020778:24033207:-1 gene:Dexi4A01G0020370 transcript:Dexi4A01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASPRTTRELMDALTAHLSLYHAAANPSPASSSASSSPRAAILRWLGSLSPAARAAAATSILSPAAAAALLSMLRRLRLRGHSSFFVLHSSSSPSTSGPARGGGEEPTVLSRLSRGLLARAAAGSRAHALLFGNLLLFPSSPASSSRCPDAITVAHAFLADLDGFVAAMDEISGGMFLEGEVDLAALACQDFPELPWLDAKGYYVIEEFVANRLEIALRMSWAAAGGGGVGGRKTVRVGKSVKEKAGLAANAFWREKGYVDWWMRLEPRMRARIMGAFFGKGAVALANEMVEGSDIAWDNFSSPLALAATAAGDILVKLRGLLMVVSTESINLELIGDGAPKKKDVEKNSGGSRKGKKKSNTSKKLAASSKPSKDNGCSSSESRNCKPLPNQGPASVGGTADCPPSKETPCKDIIPTVKVMQSSAWSGFPAQLMHRGGICSDSDIGDDTESYWFSEEESDSRAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVIRVVDDVANGIPSTYTNGVSSPPSTPSCSQNESFDPAAQSITGNDINSEALTSPSSMQESPEDKTSSVAKCVPCGNEVVKGDTLPYAMLRPIVVPISRRSSRSDIKGGHDHRSPCVSSTRRDIPLPRRPPSPVVLSVPRMPRPPPPSPAGESRKRGFPIVRSGSSSPRHWGMRGLFSEDKIFHRAQFCLDGPEVVWPSWGNKGTSSGTLVQSIEDTVLQDHLVKISQLSRDQHPDVALPVQPPDMLNGSSHKASLSLMHNVLHEEIDQFCKQEPIKEAGILEGRNGIKETCLQHAARCLTNQDWVRSDSLKTVENTAIPVIMLVADVPCDTNTFNEYSSVLDSSQEYSVNILGEQGSPPRSDTSSSEGSNMLVSSKLNKDDCDIVQSIRLDISFKSSSHTGLQTTELHEHHLGRPINQNLGSLLMDFLYFFGIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAALENELSQFKMNLLEYALLAAGET >Dexi1A01G0024380.1:cds pep primary_assembly:Fonio_CM05836:1A:30946765:30947438:1 gene:Dexi1A01G0024380 transcript:Dexi1A01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRDDFLKAVAEQGNSSSRGLFLNSCFVHCQSETQETWFSSDSPMLGNTTIANAVGDWFFDRSPFQKIDCPYPCDSTCHNRVYDNPSQA >Dexi4A01G0010960.1:cds pep primary_assembly:Fonio_CM05836:4A:8817317:8819533:1 gene:Dexi4A01G0010960 transcript:Dexi4A01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSLQVVHQWPGLPKGVKFDPSDQELLWHLLAKHGKSGIKPHPFIDEFIPTVEEEEGICYTHPQKLPGVKKNGSVSHFFHRTFKAYNTGTRKRRKINTDDLADVRWHKTGKTKPVLVDGKHLGCKKIMVLYMSTAKGGKAEKTNWVMHQYHLGTGEDEKEGQYVVSKLFFQQQFKPGEKNAQELTIADGVESMVAEADVPDFTSLPSDGTTMPSEETTMPFEETTMPSEELVDTIQEVVHDPELNPCQVNENCEINIEENAVEETIALPPSDKPEGEDNPESQDPKLWEGDSQFELLDSQQLVEGLALCDEFLLSQSQTSCGGGDEPKVIKPRLAVYAQLPAEDFKKDLEECQRLEPSDAMNLELDSTSEFRLSQIDFSQDSFTTWAGGKMIDD >Dexi2B01G0033620.1:cds pep primary_assembly:Fonio_CM05836:2B:41131037:41131471:-1 gene:Dexi2B01G0033620 transcript:Dexi2B01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETYRAGAEVARGDAVACKKAAVELLGEIGLPKGLFPRDNMQDFGYNREAGFMWLIQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYVDEAAPGKVTFKTGTGLSDSFDASALELGM >Dexi9A01G0040550.1:cds pep primary_assembly:Fonio_CM05836:9A:44276779:44277619:-1 gene:Dexi9A01G0040550 transcript:Dexi9A01G0040550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGDHHGNAGSWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVAPPTSPAATPAGVPPATPGAAYLSLNITLLFTAVNPNKVGIRYGATALDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAIRDSVELRIIGDVGAKILVLGFSSPKVQVSVACAIAISPRSQSLKYKQCGVDGLSV >Dexi9A01G0040610.1:cds pep primary_assembly:Fonio_CM05836:9A:44335178:44344082:1 gene:Dexi9A01G0040610 transcript:Dexi9A01G0040610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPLDSKASLKQALIDSITLDHSHPVRRASANVVSIIAKYAIPAGEWPELLPFLFQCSQSPQEEHREVALILFSSLTETIGATFQSHLNNLQPILLKCLQDETSSRVRIAALKAVGSFIEYVNEGGDIVKMFRDFVPSILSVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVSANQDLEINIRQQAIQIISWLAKFKSSFLKKHKLVVPILQVMCPLLTETASEDEDSDLAADRSAAEVIDTMAINLPRHVLAPVLEFASVSFHHNNPKYREAAVTSLGVISEGCCEHLKDKLEDCLKIVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLICRLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFTPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRGRVEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILGDSFTQYLPHVVPLVFSSCNLDDGSAVDIDDADSVDNGFGGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDLRLQAVISLKHILTAVRAIPPTHADVPEKQKDVLDTVLNIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAIEPYMLRLAEVTLILLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDKIMPLVLKELASSDATNRRNAAFCVGEICKNGGAAALKYYGEILRSLHNLFSNSESDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMPVYSCVCSLLLSSHPQILPLVPDVIHVFAQVVVSPDESDEVKTNIGKAVSHLISVYGQQMQPILSALPPAHANALAAFASKR >Dexi6B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:6B:1488785:1491257:1 gene:Dexi6B01G0001720 transcript:Dexi6B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAALLLQRHCLGAAAANPYIFSGHGLRHRKLEVILTTTIDKLGKAGDVVKVAPGHFRNHLMPKMLAVPNMDKFAILIREQRKLYQREEEVVKEVTKEDDDARLQEERLKQYQTAAKRLDNALLVLRRFISTGNELRTPVTKDEIVSEVARQLNINIHPDNIHLQSPLASLGEFELPLRLPHDIPRPEGKLQWTLNVKIRRK >Dexi6A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:6A:4568537:4571745:1 gene:Dexi6A01G0004990 transcript:Dexi6A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVGVVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDKTSQILCQKTLTKEEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKMDKDREQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDDSLEDQEETGWKYIHGDVFRFPKQKSLFAAIIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTGTSFYLQLEGKNWVRNLIFTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHDWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Dexi8B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:8B:22115650:22116727:-1 gene:Dexi8B01G0012770 transcript:Dexi8B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPWSSPPSCPPAAASTSAPRLLVPPKSLHAAPWSSAGASGRSRAERGSNRPLLSVRATAAAPPPPLDYSGDNATTDADYVASLKVKLLSAVSGLNRGLAASEEDLSRADAAARELEAAAGGEPVDLERDLDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDFDNIVELELGAPWPLPPLEVTATLAHKFEIVGTSGVKINFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIA >Dexi7B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:7B:18050998:18051576:-1 gene:Dexi7B01G0010840 transcript:Dexi7B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGHLDGLRAQDPGLMRHSSFAAGNLSNRSDSSSLEMLESKLAMQTAEVEKLIRENQRLATSHVVLRQDIVDTEKEMQLIRTHLGEVQAETDVQLRDLLETIRLMEADIQSADAVQRELHQVRMEAKRLSAERQMLTHEIDNANKEIQKLSAGDNKSLPELLAELDGLRKEHHGLRFVTMFPPYILSYQP >Dexi4B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:4B:4128544:4137390:-1 gene:Dexi4B01G0005850 transcript:Dexi4B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRLVVVLSCTAPSSLGSARGLTGSARAFVYRRDMIRSIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGAAVSLMKEKHHGVLISAVQLCTELCKASTEALEYLRKVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKEDLTAKICSIVEKFSQDKLWYLDQMFRVLSLAGNFVKDDVWHALIVLMSNASELQGYSVRSLYKALLACGEQECLVRVAIWCIGEYGEMLVNNISMLDIEEPITVTESDAVDAVEVALKRYSADVTTRAMCLVSLLKLSSRFPPTSESSLLERMPVLDEANYLVKRAASMQATVSPVKSASGVTPGGQLKLPNGVAKPPAAPLADLLDLSSDDTPVTTSAPTTAPNDFLQDLLGIGLTDSSPAGGAPSTSTDILMDLLSIGSTPVQNGTPTSSFIPPGIAETKPVSVVPQAVDLLDGLSSSTSLPDENASYPTITAFQSATLRITFSFKKQSGKPQETTINATFTNLATTTFTDFVFQAAVPKVVFIYDSFQTTDISFIQLRLDPASSSTLPASGNGVVTQNLSVTNNQHGQKPLAMRIRVSYKVNGEDRLEQGQISNFPAGL >Dexi1A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:1A:5961255:5969543:-1 gene:Dexi1A01G0007730 transcript:Dexi1A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLDPPPDAAPSPSPAPATPPAPPATAPAVLLRPRREAFEHGLLPIPKLIFPEGTLAQTLTQLREKLAAAAPGGRVGAAALAEALQIPPEQAALALGTLAAVLPAEDPALGDGAGEAAAADVRDVLLFLYVQSYKRLVPRNAHKDSPAVADVWPSTSAFDGYLSALSPIQVLTMETFEHLGFLIQFSEGTSLSQAATFFANSDPDMPAAPVPASQVLDWMSQNIASSLEYSAERSAAKESNQQTVSDLDVTMAEANTSHPKSSTPSSNPAYYRNVTFVEGFSKTSVVKHASDVKGNSIKVLNCHDSVIYILAPVKYATVYGCSDATVVLGAVGKVAPFNTCYPQLRDHLIQAGVDPSVNRWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFNNFLIPNWFGDERQETTNCNPFPLPETYGAFQRKKIPNMLFIFSVLLWKIFRRRFGSCRLMRIGKGNWRPHFMLSSRIGYM >Dexi5B01G0027520.1:cds pep primary_assembly:Fonio_CM05836:5B:29027499:29027900:1 gene:Dexi5B01G0027520 transcript:Dexi5B01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPAELATASTEPRSLLALGPVRRARRRILQLADHRGAHTLSLPPHPWGLAYGARHRTTGPRSSPALGLVRRARRRILQLVGRRGAHRIHGGLACAARRPLGPFAGLAGSSSAAWAAGRQQRLGGLGARKR >DexiUA01G0011500.1:cds pep primary_assembly:Fonio_CM05836:UA:23044416:23048262:-1 gene:DexiUA01G0011500 transcript:DexiUA01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFSALWRRLGRRGAALALALLAAALLFTLSRYAAVSSDLPSPSPTYGHRLPTLVDLTLVYDRDALCLDGTPPGYHFLPGFGQGSHSWILHLEGGSWCRNFKSCARRKKTNLGSSAHMDSRVEFVGILSDDESQNPDFYNWNKVRIRYCDGASFSGTVQDEVKNGTGFFFRGQRIWEAVMADLLSKGLSRAKQVEHVLSPEGSDPQNLWRNCRMDITKCSTKQLEILQGFRKALLDAINEFKNRRGWGMFIDSCFIHCQSMKAITWHSPSAARINNKHPYYRGIRSRSGKWVSEIREPRKTRRIWLGTFPTAEMAAVAYDVAARALRGPDAALNFPHLAASRPSLPPSTSADDIRAAAAEAAAALQQPDRHQPAAAAAAARGGIAPAAAGGGTQHQQQQAGGSSGEAGAQHQQGAAGSQQQQYFLDEEALFETPQYLRNMAAGMMMSPPRLGRNSSDDSPDPSEAGDSLWSYRDP >Dexi7B01G0007470.1:cds pep primary_assembly:Fonio_CM05836:7B:15352063:15354007:1 gene:Dexi7B01G0007470 transcript:Dexi7B01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQRQQQQARHAKTDSEVTSSMAPSSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGRASRDSSSSRFSASAKSRAAGAAGAGGGAVPTAGGGGGGRRAWAKEAAIEEEGLLGMDDDGDDDGYGGGGGGWSGIPRRVRYGILFVGAFFGLFFFFALILWGASRNQRPVVTLQTVTFHRFVVQAGTDASLVPTEMASLNATVKLTFRNTGTFFGVHVSAEPVTLFYTQLQLASGDIKYFYQARKSQRSLTVAVVGDKVPLYGGGSSLSSTPTTLPPPKKKMPSVVVPPPPVPLELTVRVRSRAFVLGRLVKPKFYSEARCSVTMDQTKLGKPVSLKKRCTYSH >Dexi7A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:7A:26613820:26616220:-1 gene:Dexi7A01G0016780 transcript:Dexi7A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYSLTRKDEDDGDAGGAVDELLWSRDLARHAAGEFSFAVVQANDVLEDHSQVETGAAATFVGVYDGHGGAEASRFISNHLSAHIVRLAQEHGTMSEDVVRNAFSATEEGFMSLVRRTHLIKPAMATIGSCCLVGIIWRGTLYLANLGDSRAVVGCLNGPNKILAEQLTRDHNAGMEEIRQELKSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKKEFALDTSTARFHLSEPLRRPVLTSEPSICSRVLSSQDRFLIFASDGLWEHLSNQQAVEIVYNSPREGIARKLVQAALKEAARKREMRYGDIKKLHKGVRRYFHDDITVVVVFIDHELRQEGSSSVPELSVRGFVDAGGPSSFSGLNDIT >DexiUA01G0007570.1:cds pep primary_assembly:Fonio_CM05836:UA:14171478:14171837:-1 gene:DexiUA01G0007570 transcript:DexiUA01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGHRLVLSYDTLLAATTGSGPPSRPSGERWRPGAPPIFAAGAHSRAVRLGLCSNAFVGSALVWAYQQCGDGDAMFCVFKEMDEPGAVCWNVHAEWGCAACCPGGCAGMDASLIGLR >Dexi1A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:1A:24520061:24522057:1 gene:Dexi1A01G0017150 transcript:Dexi1A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEGNAAAAAAAAVRVLVVDDSPVDRKVVELLLRNHKGGAAPFHGQLLLLRIFIARPFSHLVTAVDSGKKAMELLGPKGQGKLDSSAADANELTVDIVLTDYCMPEMTGYDLLRAIKALSSPNPIPVVVVSSENEPQRISRCLTAGAEDFILKPLKSKDVQRLRNCSQSGKPKDVDDAQCKSLSSRRKMPSDQIAKKASSEQRSQITRLAMARLALNASSIELSHYFQFLFKFILLAYAVLCLSELLHRWSNSSFLALWSS >Dexi2B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:2B:1637496:1637874:-1 gene:Dexi2B01G0002080 transcript:Dexi2B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATVMVLAAALAVLLLASASVASAGRADPAAAVTSAAASSHDHQMTTQGSTAAAGGGCEGANDEDECMMRRTLAAHTDYIYTQEHHN >Dexi5A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:5A:11590193:11591839:-1 gene:Dexi5A01G0014510 transcript:Dexi5A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCFLFSRFSSEDISGQNQVKASVQRRIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGVLDPEVEEETPVAIMAEGKQHALAIGFTKMSAKDIKTINKGIGVDNMHYLNDGLWKVLVFRCVSLITLLL >DexiUA01G0013230.1:cds pep primary_assembly:Fonio_CM05836:UA:27395334:27395774:1 gene:DexiUA01G0013230 transcript:DexiUA01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAFTVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITILCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGMLYFGYMLLASFAFFVLTGTIGFCACFWFTRLIYSSVKID >Dexi7B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:7B:1824906:1825367:-1 gene:Dexi7B01G0000990 transcript:Dexi7B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQAEPLNLKEATVTCIPRLRGVGGGGRRSRREAGAATQVSMLDRVRDVVLRLAMLSATSTAATTAATTKGASPPVNLRRSVTSAATPSRAAARVSPAASYTDSYRSEAVDDCIEFLKRSAAGGGAPATAVEASTAAVTSPLQHGPAVACDM >Dexi2A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:2A:29634831:29635581:1 gene:Dexi2A01G0017680 transcript:Dexi2A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSSSCKFTVSSCDHTFCLSCIGQYIDAKISDNDVRVRCPEPNCRDSTVEQEDCQGIIEPELFGKWGLALCELALGKQRLYCPFSDCSVSLFAEDGDEGAIAEAECPHCHRLFCARCMVPWHGGISCEEFQELGEDERSREDVMVRQLACEQKWQRCPQCRMYVDKSEGCMFMKCRCGYCFCFTCASPMSEELHYCKTCKR >Dexi9B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:9B:9257783:9258757:-1 gene:Dexi9B01G0013800 transcript:Dexi9B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVEQLSEEVDSLKETLDRHTLRQQKRILEAKERAELFERANGESSHVLRIFDEEAQAMQSAQSSKRMLEEAYGTGVAILHKYADQRDRLKSAQRKALDVLNTVGLSNSVLKLIERRHRVDKWIAYAGMIITIVVMIAFWRLTH >Dexi5B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:5B:17958193:17959668:1 gene:Dexi5B01G0017000 transcript:Dexi5B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPSRMDKALKASFELVVNNFLAVVAAASVATVLWTSWPISIEALVARLHTVHPIHVLTAAILLLAVAKLRRTRRPKDVYLVEYGCFRPKPCFRAPYATCLEHIQLMPFVVDEEDIAWGRRLLLRSGIGEETCVPYAYHYVPPDRSIEASRDEAELVIFSAVDDVFARTTVKPEEIDVVIVNCSIFTPTPVFADMVVHRYGLRHDVQSVNLSGMGCSAGLISAGLAKNLLQVAPPGTHALIVSTEILSSQYYVGNEREMLLPNCLFRMGAAAMILCNSPERGRFRLTRMVRTVAATKDAAYRCVFQQEDCEGNTGIRLSKDLVANAGQTLKSNIVAFGPLVLPASEKILVALSLLKRRLQSGRVKMYRPDFRTAFEHFCIHAGGRRVIDEVQRGLGLSDEDVEASRMTLHRFGNTSSSSVLYELAYIEAKGKMNKGDRVWMISFGAGFECNSAAWECVMPAADAEGPWIDCINRYPVHVPKSMEAAII >Dexi3B01G0029490.1:cds pep primary_assembly:Fonio_CM05836:3B:28783010:28790711:1 gene:Dexi3B01G0029490 transcript:Dexi3B01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSRPQIDQFFPAKKRRAPSRKDDPPRPGSQHGSPGGAKGSLEGYLVRSPSTRATVAASVAPAGSPRGGDAGAKRSLSAAMDVDVGSSVPTAEAVDDEADLELRRFTTDFLSHCCSAIPPLRDDSEYGERLEKNHKRCASQSFLVPCDNASVKKQCVSHCGGLEALKESDDNVAFKEQCRKVHHGGSEATEVGLSPGETPKSVSRNSLTSPGEDFWNAAMEFADGISAQADKVRGRTDFDAVEDKSSCAVAVCSKTLSRSGKDELNCMNTVGSNDTHQLGKLSNEVELLAANSQHKISSPLPVKHLDFFHEDDIHVSGVKCEEKSSNEASYNVQKNNVRMKDSGLQRNENRIDPVDAMKTSALDLHSDSADIIHCQGVFKSTTKEKVHSTREGDKDFHHQSKSLAAYSNGSKAKKDFKSKFVSQEVEASTPTSSVPLKHYSKLSSWLPPELCAVYMRKGISELHPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVGDQHRGYLLELMLTKLRYAAGEGSSESSSGETSGSSSGKLVTHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKEMNVVRVLPKVADLGGKDPDHIVELCNEIVLQGHSVLLFCSSRKGCESTARHVAKFLKTKSIGPNDVGPEFSDAASAIEALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMSGRAGRTGIDIKGESILVCKPEEVKRITGCA >Dexi1A01G0028110.1:cds pep primary_assembly:Fonio_CM05836:1A:33860098:33860499:-1 gene:Dexi1A01G0028110 transcript:Dexi1A01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASATAATRFTLLAGAGLRARARGCRLPTAVRFQRQRGLTTTALLKTVDLKPKEQGQPETLDYRVSPWHDVPLRAGDGVFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYP >Dexi7B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:7B:17611322:17615051:-1 gene:Dexi7B01G0010230 transcript:Dexi7B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISVAIEGDDRDQLVVIGDGVDATNVTNCLRKTVKVGRADIITVEPVTDEKAAAAASTTLEGEAAGEPVVWYPQGYHPGYGYYCPRTGQRIVIKVQMMRCDDKRRKKALALAATAYGVQSVGIEGEEQDQLVVVGDGVDATNLTICLRKKVRVSRADIIKVEAVVADDVKKPADDKTAASSSSSPSPVAEWPPQWCYPYCHRQGVVYPYAGHYYIEDSYPNEGSWCNIM >Dexi5A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:5A:2561395:2564653:-1 gene:Dexi5A01G0003430 transcript:Dexi5A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGTAPAPLEAPVKKKLLDLKNPFPAGAGAANGAKASPVGKWTMKKKLVGGDAGYVLEDVPHLTDFLPELPYFVNPDDTVTQKIVVHKTSPRGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVVRELVCGLYDMYGVTSIVGIEGGYKGFYSKNTIPLTPKSVNDIHKRGGTVLGTSRGGHDTNKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIERRLKENGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKPNFPITLKYIDPTYMIRAVKSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDHEHHLHNTQLLEGESSPAKNSSNCNGSVPA >Dexi2A01G0023660.1:cds pep primary_assembly:Fonio_CM05836:2A:35355065:35358564:-1 gene:Dexi2A01G0023660 transcript:Dexi2A01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVVDAGDAPEPTVRNLLDQESLKWVFVGGKGGVGKTTCSSILSVLLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPRLVQELAKFEIDSHNIIINQVIFDEEPVESKLLKARVKMQQKYIDQFHMLYDDFNITKLPLLSEEVCGVQALQNFSKHFLTPYKSALKRGTVEELEERISILKSALQEAEAELDRVKKGKQTA >Dexi1A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:1A:25781371:25781600:-1 gene:Dexi1A01G0018620 transcript:Dexi1A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDYAMTALATAIALHPSQQPPTAFLLAIYISTESLFSHHSIPACFTSSLAAYNTYQIFPIDAAFRF >Dexi2A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:2A:9005707:9006492:1 gene:Dexi2A01G0008930 transcript:Dexi2A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAATSMMGPIIAKLTTILSWNYRLPGNIEDGIHLLKDRLSTMDDVLQMLADMDQVHPRARDWRNKVRSKVNFISKALQKAEILLQDRGSVASQSVHLDPRVPALFEARDLVGIDGPQLDPRVTALFAEARDLVGIDGPREEIIQLLNVDEKQHKVVSIYGTGGQGKTTLAMQVYIKITEAFDCRAFVSLSPTLDIKKIFGDILFQLDKNKFNQTQSWDAEQLIRTTREHLVDKR >Dexi4A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:4A:14368476:14370285:1 gene:Dexi4A01G0013780 transcript:Dexi4A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIVLGPVPEDPAFLPICFKGSRSPHCLSGSQLQDSILIFLAVPGMPPMPMSVLGSESIASVKLRIQRFKGFVVTKQRLVLDGHELAHNNCPVKDYGLAEGNVLHLVIRLSDLRVINIETATGKKFQFQVDQNRNVKYLKTKLADEGDEDIGNLEDHKLEYDGEELKDHQLIADISRRDDAVIHLFIRKPAKVRTQQVDRDTLVTVVNPQEKGKRQNEAHGVKSARSAGVRPAPVEPVIVNRKVKLSPEVMKMISSTIAGLEEGHQPVMSAEGSGGVYFMRDATGQNNVAVFKPIDEEPMAENNPRGLPFSTDGEGMKRGTIVGQGALREVAAYILDHPVGDSKSDHSVGFSGVPPTTLVRSIHRGKSFKIGSLQMFMENNGSTEDMGPRTFPVKEVHKIAVLDIRLANADRHAGNILVHKEEGGNYKLIPIDHGYCLPEKFEDCTFEWLYWPQAHEAFSDETLEYIKSLDAEEDIKLLKFHGWELSPRCARVLRISTMLLKKGAARGLKPYDIGRILCRETVNRDSEIEDIIQEAEDAVLPGSSENMFLGTISEIIDRHLDKEFA >Dexi6A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:6A:21872450:21874388:1 gene:Dexi6A01G0014480 transcript:Dexi6A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQPLLLRPRPPACLCRCPCRSGSPYLHLSKKSLQALRPPRRCLQPVATLVSRPPGTGRRTVATGTFVCRCSYDTKNGLPTPPPDKEKSLAKWPVLRRWDVPWEWPTISLTMVACAVSFLLTGMIEQSILEQLGFQVGEATLDEKAEVLFLGQLYGSKFLPLYYRIHYILRNLTFSLLIICINISLVFPVFCKHSSTTVVVLGVIFGITNTFRPFSDDIFRYKFEEPFKLQNGWLLWAGIGLIVAIIAIALAGAAMTFLSGETPQRENGISTLVIHFLNFFQTDSLIILLPLIGSSGIRTACLLGITGVLAPILEETVFRGFLMVSLTMW >Dexi4A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:4A:2315673:2316080:-1 gene:Dexi4A01G0003190 transcript:Dexi4A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRRADDGAVPVLVRAGLAILTLTSGASVYRAAAAGDIVTAAFVAASYSALLLLFRRLRVYERLPPGGEEEAGGRTARLRREVWALCTLLTVMFAWKVAAAMMPSWPAAAVVWAMAVLPTAAGYVALFLAPPP >Dexi8A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:8A:9269655:9272442:1 gene:Dexi8A01G0008010 transcript:Dexi8A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEAALARVFATSTTVGAGEAAAVIILDDTRDSSDSDCQQRLLLHLVPRWSLGVPQHLSGDISADPSGDRGTSGGGKPSIRRTTSKSWISTLKSVGWWWYPS >Dexi9A01G0037180.1:cds pep primary_assembly:Fonio_CM05836:9A:41526622:41526849:1 gene:Dexi9A01G0037180 transcript:Dexi9A01G0037180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGLPVAVDRTGDKSNFPEEEEADNKGVPVTTALDTQLPARVGERNPASDLMLFQSNAQQEGPGYSGLQLTLQL >Dexi3A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:3A:1668078:1670970:-1 gene:Dexi3A01G0002450 transcript:Dexi3A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPSHATPPSMDTTRAPPPSVLFLAASLLAAALGWLIVFAARRPSRRRSARLPPGTTGLPLIGETLRLISAYKTPNPEPFIDDRVARHGTGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHCSYPSSIATLLGAHSLLLTRGAAHKRLHSLTLTRLGRPASPPLMAHIDRLVLATMRDWGDPAATVRLLDEAKKITFNLTVKQLVNIDPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIRKRMDEKVENGGAKGEDDEKDMVEELLDAEGGTFSVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRDIKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKEYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQVLSKNKLQSAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTLKGYPINLRRRSDSVV >Dexi9B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:9B:1267686:1268026:-1 gene:Dexi9B01G0002230 transcript:Dexi9B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKANMEIKQADGNGALIAEQVFEKTKEKFQMY >Dexi6A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:6A:5440095:5440349:1 gene:Dexi6A01G0005940 transcript:Dexi6A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHRICAWEGWICRLAAAAVPRRVGSPRRTATTPAPSFGRRKVDGAVSCIAACWRLRPLAAPCGGWARAGVLVAVLKCCGAHS >Dexi7B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:7B:1540971:1541180:-1 gene:Dexi7B01G0000770 transcript:Dexi7B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGAALGLGCSPIGKCGTGGSTVRPAQAGKARGIRRNLGHGQLQDRRLGNGRNVHRGEEGRGMSTCS >Dexi3A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:3A:367968:370148:1 gene:Dexi3A01G0000390 transcript:Dexi3A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLEGVDTSAILAEASKTVANVIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPSVNKKLSAGIASILESKLSISKSRFYLKFHDSKASSHFSLLTRHTIHMGPF >Dexi7B01G0021390.1:cds pep primary_assembly:Fonio_CM05836:7B:26442534:26443694:-1 gene:Dexi7B01G0021390 transcript:Dexi7B01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGALPVVDLAPFLAGDDDKAAGGAGRGAARATEAVREACRTHGFFRVVNHGVPPELMARALQLSAAFFALPDEDKAKARPAEGAEAPLPAGYARQPANSADKNEYVMVFDPKLGFNVYPADPAGFREAMEECYAKLTKLGLLVQEILNECMGLPPGFLGDFNGDRSFDFMIALRYFPAMAEENNNGLSAHEDGNCITFVIQDDVGGLEVLKDGEWVPAEPVDGSIIVNIGDVIQVLSNNKLKSATHRVVRQPMHRHSLAFFFNIHGDKWIEPLPEFTARVGEAPHYKGFVYNEYQQLRMRNKTHPPSRPEDVVHITHYAI >Dexi2A01G0028000.1:cds pep primary_assembly:Fonio_CM05836:2A:39335168:39342291:1 gene:Dexi2A01G0028000 transcript:Dexi2A01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPSPSPSPERHHHQPARRRVGAPSSQMLHHHRGGRSPSPPPRRSLRPRRAAAVSSRPLVDDFFPFPSSPSSSPSRPRQRRPSPEPSSSDSGADGGGGGSSASDRRRRKLKLVVKLSQLPPDQQHRRAPPPPSYSDDSDGAGEVGGDGSGDDEQVKPPKKRRIEPRADRSRHREVGSGGRSDPASAPRTKRLPVPGTARTTPLPDRKALETILEKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFSTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPIENHHIKIEQKARPSSCNRDPIKKPVLRYPDDDLDLLSRKEQAKRPNPKNLEDGISFKDQVKKPVPRNSQDESSLSHKERVKKPISRNSEDGLSSSFHKERPKKLFSRNPEDDLSSTFGKGQVRKAVSKNSENDDSSTFHKQQVKKTTPQSSKIDFTSQKKHIKKPICSNGEDPDFSSRKEPVENPICTSFEDVGFLSTERLAENPISRNSEDLGNCHWESSQKPSCRDAQDDMGNSCHGEPDKKPTRLNSQDAMGSDISAATIASAGDGGTNGLSMSQANATEPAGSSVANGVLDKDISSPLDEIRSEKTDDISAKPSYKSIVVDETRRKTYDTYEEQPSMEPDPVFDIFSMEPKELVNVGLDAEHSYVYARSLARFAGSLGAQGWRIASERIRHALPAEVKYGRGWVGEYEPPLPSILVVNDQSRHLKSSEANVRRNASLPRDNERLRPTESGNPKDMSLLRITTSANVVGVPGPLESPEFKPRLFGVTAEPQHRSTDALSSHENHRVSGNVAKTKRTANDQMRKGNSSSTARPMDMKPQKGASGVPDTPALNKMVGQPRPFFHRAESPRTQEMRKAESLKRNSPIELAPQRLECSKGASSGVHDMPSSNGQTKHFFQSQSAAAASGVHAIHSNEKPTHFFQPQAAASSRVHDAPSNGQIKHFFQSQAPASSGVHDLAASIGQPKPFFQPQEVTVPQPRNEATWVYHGRPGDGKVGTSNKSRPSTSAGLVNKSQAVNDATFAMNLNGERNVSDHAKSVGLAAMPGLASIPNRGLDASRNMFSAFPGAVRENQSIASAPSAQSWISFGASTENKPAIVSPTLPDNNSAWKMPFANGRSSDDMKISAVPQFFRQPVQVVRESPVQNNGLVIFPQLVQPDFMRSQGQSQWQGLVPHMQQKPSKDVLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >Dexi5B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:5B:4744663:4745312:-1 gene:Dexi5B01G0007080 transcript:Dexi5B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPNRVSGSGTQLPTRNLSPSTVFVFLPALHETRDRSRLELEGAVPDGSVIPRLRHRGATSSPVTFIGGIVDVQTLLTSTTNLADCQL >Dexi2A01G0036110.1:cds pep primary_assembly:Fonio_CM05836:2A:45706024:45707087:1 gene:Dexi2A01G0036110 transcript:Dexi2A01G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVRSVLGAATKVQGEPAMSAFVTRFSSASGSQRLAGKVAVITGGASGIGKATAAEFVRNGARVILADVQDDLGHAVAAELGEATYTRCDVTDESQVAAAIDLAVARHGRLDVVFNNAGVSGSLGPFPVSALDMDDFDRVMAVNARAMVAGVKHAARVMAPRRSGSIICTASTAGVLGGVAMAPYSVSKAAVVGLVRAVAGELARSGVRVNAISPHYIPTPLVMGAMAEWYPGMSADERRRAVERTMNEMEGPVLEVEDVARAALYLASDESKYVNGHNLVVDGGFTVGKPPNMPAPAA >Dexi3B01G0029760.1:cds pep primary_assembly:Fonio_CM05836:3B:29038473:29039634:1 gene:Dexi3B01G0029760 transcript:Dexi3B01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVATNGSKVLAAPAAVPAAAVFTGLKVQVTVPAGRAEEAVAFYKAAFAAEEVSRSTHPKRKGDGEEAALLCAELKVGAATLVVCDQASDDVPAVGKEGGAAASGLVLRLETDDVNTAVAQAATAGAALQGEVTEDCCGLGATLVDPFGITWVLGSSTSAKKCA >Dexi6A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:6A:15705087:15707684:1 gene:Dexi6A01G0010970 transcript:Dexi6A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSRRSVLLLLLALTVVSPLALYTSRLPAALSSIQTRDFPGEITNQGRGVKADKLNVLPLETVSFLKEPVGFVFSEELGGLVKESTESDGQELPLRKAGEHKIRMLSEVTEAADGTEVIEQVTRRQEAQDGDSASAFSEEKEKTTGSQQQLSSEESLHETVPKQTSPKVVEENSQTETARTDGKTKNSALSDTRIRNVKDQLIKAKVYLGLGSIRANSQYLKDLRQRIREVQKALGDASKDSDLPKK >Dexi3A01G0023850.1:cds pep primary_assembly:Fonio_CM05836:3A:19496387:19497850:1 gene:Dexi3A01G0023850 transcript:Dexi3A01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVLATEVCTMDRGGSQKKRNKSVANVDIISNLPDVIKDKILCCLPIKEAVGTCLLARKWRYSWASMTELTFREEDFDLVINLQVNTGYVPRAGCNFNLSQFISSLLDIENISLLGHAFECAAHGILPGKLPRLLNRLTEITLEIDLGNLKEANAAHCLFQVAPNLRRVKLQLVYRGYGAPTSNFWDSIDHQASLFNNLDTVVLNNFAGSCAESGFLKLLLEDAPVLRIAQIKDNSKLDKECLKRLLKMRRASKDAEVILL >Dexi9A01G0047780.1:cds pep primary_assembly:Fonio_CM05836:9A:50777723:50784206:1 gene:Dexi9A01G0047780 transcript:Dexi9A01G0047780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKAHGVNDPQRITSEGTAARTRPVSIQDIMLRREKKAASEAKKTKEELQENDKGTSNHLDQGRGYKSRKDSKDMPVEGSKKNIRDTSREESKKGSRVIPREGSRKEDMRYTTKDVSKKENSKDRSKGGSKMDDLKDKPKISEKEDLRDAPKKGPKKERPSTRDDYDSVGKDKGFGISQKHMSSTSSRADESKDRNLGETEIRARNVDATRSEYQKGPGKRGNDENIDNDRIKDKSERFHNETKRKGRSFDNEKTSEVDRPMLKKQDSAWFQGSKHSDRNDGRNEYAKPYHGEPRLKRRRSRSRDHNRERHGRSISPPPRERRHNYRGHDFGNYPHYSMEKSRRKYAEVDKQRSSGNGGYSSGSHQRYESRLGGYSPRKRKTAPQAEQAMTKTPPPVIQSPEKKSTTWDQPPTKANQFKFPTTLQPTVGQMAPSNPFNFGLLKDPSTAVETILAGNSLSADSVQLTQATRPLRRLHIENLPDSATEDMLIDCLNDFLLSTGVKYTQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKDYVEMVNAAPKKLAEETVLISDVVADSPHKIFIAGIAGVISSEMLMEIVSAFGALAAYRFLFSDELGGPCAFLEYADRSITSKACAGLNGMKIGGCVLTAVHVFPNPPVEAANVASPFYGIPDNAKSLLEEPTKVLQLKDMDNIIKLEDRPVKIECTGCGNTENVTRAGSESSVLNQSIDILNCPDATDTKDVDLIPESQDKKDGCIPSDAALCETEVPVADEHIYIDDIRTRATLPRSQYYENDYTEAAVDEDKHTLAAEATTTTKDDDAVEKRHQDPSTSEICSPAEPGDELEKPGRDFEQQGSIDVTEVHAEKVPAVETSDPVFKFEPGSVLVEFMRKEAACMAAHSLHGRRFGSRTVHAGYAPYDIYLQKYPR >Dexi9B01G0031990.1:cds pep primary_assembly:Fonio_CM05836:9B:34320105:34321613:-1 gene:Dexi9B01G0031990 transcript:Dexi9B01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLALRALAVAVWVAAAYPVVFRARPVQAAGLAANWGTRALHPLPGDITVRLLRDNGFGKVKLFEADAAALQALGHSGIQVMLGLPNELLAPVAASVNAAEQWVLQNVSSYVSRYGVDIRYVAIGNEPFLKSYKGKFESLTLPAVQNVQAALVKAGLARQVRVTVPLNADVYESSDGRPSSGDFRADITGLMVSLVRFLLDNGGILTINIYPFLSLDADPNFPVDYAFFPSPGAPPSQASVQDGNVLYTNVFDANYDTLIAALEKHGLGAIPVIVGEIGWPTDGDRNANAASAMRFNQGLFDRIIAGKGTPRRPTMPDVYVFALLDEDNKSTDPGNFERHWGVFNYDGTPKYRLNLAGGRPLVPAKGVRYLSKQWCVLRPDASPTDPAIGGAVEYACQYSDCTSLGAGSSCGGLDARGNVSYAFNQFFQAANQQKGSCSFNNLSVITTTDPSQGACRFHIQIDTGRHELTGKSDAAVRVAGLSWSATVLGLVGGLVAAAW >Dexi3B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:3B:1265120:1265691:-1 gene:Dexi3B01G0001760 transcript:Dexi3B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATASAILLCALLFAGTQAQAKRTEVTVGGGSVFFDVQFCVEALGSDDRSADAGMNYGAYSAIAADLLTANATTTAAKIDALLLRRGNDATARCLRSCQGLYGGVVRRQPGCAAAVRGRRNGEGTSCLERAVSAAMECEDGFGKSKLASPVTMENEDAFKLAKLAVALLTMAH >Dexi8A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:8A:12098405:12106308:1 gene:Dexi8A01G0009290 transcript:Dexi8A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIRSGLQIEWCNNRLELQSDSNVALIDELDKLLVLLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTIAIKNLEASNIDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDSMLNDKGNFSQRGHLQRPDHADMRYKCRTYARLLLHIKSREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITIFIPLLIDESSFLAHFMCFEVSTLSQSDASSGNPNTEATNTSSVTLEASSSIGKASNNPAELGVLNECLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGPKAEVSGYVQVLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRSIIMLFSQLAARMEQYINGSQDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHRFSSFFLMKLSEGLCPHSPQHGSAPVLSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFLKLISKIYPDEKVTSVNEMRDILAAL >DexiUA01G0019550.1:cds pep primary_assembly:Fonio_CM05836:UA:40798874:40801506:1 gene:DexiUA01G0019550 transcript:DexiUA01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAQPDHHRTAGTERPRGAKHRKPLLNHLHIQVPANHSGCHSSLRRKCQRERPASPSLLRSPSAWIRAKGHNFGSSSKRRSGNFHYDARSYAQNFDEGGDDEDAPRHQCFSPGIPTTASQVASPSSGLGASGNGKDEPAARETAGQRGLVKAQEKTCVVQPTRGSMYQEMRRDNTNLLGPSFLEVKAATCLKHPDKREIYMEPARKMHHAAGFLLLLLVVAIEMGPVQAGECLSRSTAFKSLCVNSDRCNDVCLKEGKGYSGGKCGGIYLTCWCITPCAAGGNAAVAGGNF >Dexi4B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:4B:2968488:2973199:1 gene:Dexi4B01G0004130 transcript:Dexi4B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFFASSATSSSRRAGALRRLLSSPGFSAACLLFGLAGFLAAALTLSRSPTASGSRCPDSSRPLSVSVAWDRLPGDASAAGSAELPAELATGSRGRHKVMAFVGIFTGFSSIGRRRALRRTWLPSDRQGLLRLEEATGLAFRFVIGKSNSKNKMAALDREVEEYDDFVLLDLEEEYSRLPYKTLAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERLHPQTYIGCMKKGSVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALKNNSFRMFSNEDVTIGSWMLAMNVNHENTHDLCARDCTESSIAVWDIPKCSGYFLSYL >Dexi4B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:4B:18575897:18584156:-1 gene:Dexi4B01G0016550 transcript:Dexi4B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCDGDKTDGSSILAGAHPLASKLVAVFVLLCCPHLNQRTAASTYFIYLEDYYRTKTNYGLAFHFVLGIDLAKALPLPSMEVTNRYVAIRHRIEGAPTEADFEVKEQTTRWSPDSGEVLVKNLYLSIDPYQHNRMKRGAVLAGQRIVSYAVGEVVASASPEYAAGDMVAGVLGWEDYTLFKPSPTAHMSKVDASAGFPLSYHIGVLGTSGMTAYGGLFEVCKPVKGEKVFVSAAAGSIGSLVGQFAKLAGCYVVGCAGTKAKVDLLKDKLGFDDAFNYKEEPDLKSALKRSSDTTFWLAGRYFPNGIDIYFDNVGGEMLEAALANMNIYGRVAVCGVISEYTGAGRPAVPDMLGVIYKRITIRGIYAWDFMPKRFAEFYGVISDWIRQGKVQVIEDVSDGLENVPAAFVELFTGQNVGKKLVKLACSTPSSPKPRQSLIGAPPELITAKQHHPDVTFFPTNGARGFTKLIFHPLLPSFKPKSKNELKSFPSIALHQPEHPSASALSCSALLLSEPTHGRLVSSIALPTSSSSTIFLAPVLPSVTNRYVATRHHIEGAPTVADFEVKQETTRWSPDSGEVLVKNLYLSIDPYQLNRMKRSSASHLAVDGILPGQHHDLMRCSSPLRPPYVVSLKRIASYAIGEVVASASPEYVAGDVVAGVLGWEDYTLFTPSPAVLMGKLDASSDFPLSHHISVLGTSGMTAYGGLFEVCKAVKGEKVFVSAASGSVGLLAGQFAKLAGCYVVGCAGTKAKVDLLKEKLGFDDAFNYKEEPDLKSALKRYFPNGIDINFENVGGEMMEAVLANMNTYGRVALCGVISEYTGASRRAVPDLLEMIYKRITIRGFFAWDFLPKFAEYNAGKVQVIEDVSDGLENLPAAFVELFTGQNVGKKIVKLATKQVSLAEPAADGATKPSWWKARHTTNSTKGFKHWTEQQPWDYARRRCLLCSPSCFPFPLPPPPPPKMMSQRLELPESLVGPESVAFDGHGGGPYASVADGRVLRWDVANASWTTYAYSPSYTENVCASPATSELPPVVRESLCGRPLGLRFHLDSGDLYIADAYMGLMRVGPGGGEATVVATETGGAPLRFTNGVDVDQVSGEVYFTDSSQSYQRWQHRMVTATGDSTGRVMRYDPRTNHVTVLLSNVMYPNGVAISADRTHLVVALTGPCKLLRYWLRGPMAGESEVFIDLPGVISGIGDARTPVAKARSYCACAFRAQEDQGGRGHERYTTSKKSVP >Dexi3A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:3A:9495581:9497732:-1 gene:Dexi3A01G0013070 transcript:Dexi3A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARGAARALLRLAGAGAGVQSGGGVPPLARAAFARGFLDFGKTGNKEELEKEKARLKDEMSRGYFADISEIRKNAGKIATASKVIIPEVDAVKFPDLAVESSQGGALHLPLVAPAPQEDGGKKMAESWSSRFLDAFGADKKIHVYEVSFVDSWLLSSSPVRRAVLKLMRKSDNPQRHVVYAFGDNYDFRKKLQIINLLTG >Dexi3B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:3B:4299466:4303511:1 gene:Dexi3B01G0006200 transcript:Dexi3B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPGSSAPAPERRLAVLLSHLRPCHEPPRAAASAERHGTEAEAEAEAGLSASPCDGGGESSSGAGRCVFCNIVAGTAQAFKLYEDDVCLCILDARPLTAGHSLIIPKGHYPSLQTTPPTVLAAICSKLPLLGTAIMKATQCDAFNVLINNGEKAGQVVFHTHVHIIPRSKDDNLWSSETYPRNPISHGQETKDLVCSIKEVLSSSPEDYSTVTSSTPKGL >Dexi1A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:1A:19462090:19463255:1 gene:Dexi1A01G0013840 transcript:Dexi1A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLITNVRELLSRELQIKQDKNKSLIERLEDVEKQLDTTNKEQEAIIELFAEERQRRDQEVENLKNKLREASSTIQDLMEQLNAAQNCRKG >Dexi4A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:4A:2535507:2537405:1 gene:Dexi4A01G0003500 transcript:Dexi4A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPFLCKEQESMEGEVGRKGIPSLLKSPSPPGETEAASLQQEHIASNITQRVSLQLVGWTPLVELKRIVEKDDVNARIVGKLEFYQPLCSVKDRGALRMIEDAEEKGLISPGSTTLVEPTSGNMGIGLAYIALLRGYRFVAVMPAEYSLDKQILLRYLGADVVLTDPTLGFQGQLDKLEQLKKEIPNVHVLDQFANAANPEAHFTCTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMKNPALKVICVEPAESPVISGGKPSRHKIQGVGPGFVPKNLDTSVTDEIITVTAEDAMASAKRLAREEGLLVGISSGANLAACLKVASREENKGKMIVTVFPSGGERYINSDLFAAAREECIAMTF >Dexi4B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:4B:4329455:4336771:-1 gene:Dexi4B01G0006150 transcript:Dexi4B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLAYAAFLPLLLLALRILPLPSDSPDGSGGEGKTPAPPASRHVVRFVEYRPAEEHRDYLDAGLRGAALAALSWRWVERRNPAAAFPTDFAVLEIRDAHREAVVAAVRALGRVRDVHADATYSRSALSAADRPPPGRGKLFTTMSFEGEEGGGEMGNSSSATWRRKLLLQRSQVTSLFGAERLWGRGFTGKKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIPSFSSRGMTTWELPHGYILYLSELAFSEICIRFCSYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDKRKSILNPAAMKQALVEGASKLSGPNMYEQGAGKLDLWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPMYAGAMPVIFNATILNGMGVIGYVKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLGLHLQVKDEGSQFSGIISGNVTLTIYTPAANGESSPRTSTCVLSLKIKVVPTPVRSKRILWDQYHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVVHKGLGIAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQENSKAAQDHIGTLDIENAEAKSKLSSILGLMEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGNRVKDPVLFLEAVQLKFPVFENIHQPSRRTDVNFSTYSTVIGKELICHQDSRFEVWGTKGYGVQPTGTARKLPEYQMDESSSYPNVTIQTPDNKQDKVERLQINLSTPSTDKFDDKRDYFGFIGHEEVDIGMLMASQWMIPCLAATACLMLYLSCRVQQKRRRRRKGSVASRLTSMV >Dexi1A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:1A:26620270:26622838:1 gene:Dexi1A01G0019540 transcript:Dexi1A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNAGEDGKQERHLVLAHKLFLLSHPAVDDLSKVDLRSEVLDAVKSDGTVPAPLLLSPPLPPPDARLRDVIRLSMGPPDMAPLFESLVSAGVLEPDAALLAEMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQATIKQGDFLLNRIQKLSRVIDL >Dexi1A01G0025590.1:cds pep primary_assembly:Fonio_CM05836:1A:31763891:31768008:1 gene:Dexi1A01G0025590 transcript:Dexi1A01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCPAAAAAKAPAASPAGKRRRGDEGAGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAAVAEGAVRPKDLFPGEAVRALVEDLGLNRAKDPAAMGFRPPKASIADRLMLTKRKMEEVKEAPVQPTVNTPQTAVSSGMSEFHGLNGPSKFGVGLPRNPQAATVASTAPLTSTPPVILKPPGSSPVKPVANSTGVALPHTGPPHVKLDKDVNGPLNLTRTGATVVHPNKSTAETSARSNVNAVQSSNQLLKNQDAKAVAVQAATACLTQSKGKPLPPKYGKVTRTVVASKAAPPGGGAQVSLQGSAENMAAKENHQKLAANGNLMKPISTPGGRTVHNGNVLALSAITAGSQSQLASTLRPPIGNTVKVETFSNGKEGTGQQCSSMIQPDVKSPPNKRPRSDSSNFVGPANDIMNNERTTEISGAEAKIKSEANSEPPVRRDEELVGSSGIYVEQTKVVATEENPRAEVTSVTDKMKCGEMTMDTGTSRRQERNLATEEKLPSEASSEALTINDVKMTSNSGIPVQQTNIAAVEEKFQIDAASDPHRIQDMEIGIYFLLV >Dexi5A01G0026670.1:cds pep primary_assembly:Fonio_CM05836:5A:30235395:30235826:1 gene:Dexi5A01G0026670 transcript:Dexi5A01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGGPASSSPISLSALSASSISRPLWPLSSPAATSAALISSRSLSSALTAALVVLRLGFAGGGAGAGAAAAAAAAAAASASRAFRAALRSTGILSHGAPWPRLRLLLHSSRDSAADPGEKLRRGEADAAARGGWHRDRDAG >DexiUA01G0004170.1:cds pep primary_assembly:Fonio_CM05836:UA:8200463:8202631:-1 gene:DexiUA01G0004170 transcript:DexiUA01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDTSRPVRRVRCPRCHSVLEEPSAPVYQCAKNRTGNTGDAATTRGGLPPQSRHTDLPSTPTSLGATSGRHHATDATSRHGSGDLVSARRHGSGDVASTSSTPTATSSRRQGTTSQGESGSDLVSVSPAADATSSRCQGIDTTSRRDESGDLVSEKTRHVSGDVASTSSGTPDATNSRRQAADTTKSGDLVSARNRVSEQVALIEQRGHDQTAANQEGFGNPEGRSRARDAGVSVHFAIGNEDAELQDDKEKRMKRQAESTDASRKKHSGDATVQPEYHHRQQELKPAAAPAVQSAAPIVSRKEEDDAAGARGKALSQELQAQNLGPLRQKILKTVDDLKGDLSELFSKSPELNPTPRARPPRLPKQQATRAVSSRLPAARARHAAAAGDVHRGSAVKAGPRGLPSRRYRQCRAADSWSHSMSCHHGCCGHHGKPECSSCRGYCCRPRTHEPSAPRNNKPPAAKEKRRPPPRNHCRPVLKGAPFIICSSCFTLVQVPADFAVATKTVRKLRCGSCSTVLSYSYRDPGRRKKDDQLSTDGGSEMHHTEPDPFAPFVDGFGLSSYSTEDEQPLHVSRNSSFGTIDGARGVGRLHRLMGYGSATELLRHSPDLYESFSERTTPDVGHCYDDRKGKGVCVDEDDDHDVDDSDEEDDGVLRRSAARGSGWPLGKGIPAPGAIKIK >Dexi9B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:9B:2938590:2940915:1 gene:Dexi9B01G0005010 transcript:Dexi9B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAFIPFITAGDPDLETTSKALRILDSCGSDVIELSTALLISPHFQASATRALKKGTTLDSVIGMLKEVIPELSCPIVLFTYYNLILKRGVRNFMATIRQAGVNGLVVPDLPLEEALFLRSEAIMHNIELVLLTTPTTPKERMVEIAKASEGFLYLVSAVGVTGTRSNVNLRVEHLLREIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLCEAATPEEGLKRLEEYTRNIKAAMPLR >Dexi9B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:9B:6199062:6199693:1 gene:Dexi9B01G0010020 transcript:Dexi9B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLSLLLLLLLSSHGLRATEGRALHPQLNDPHPPKIGDGAAAVAESLIGSRPPRCEGKCATCGRCEAVQVPVAPRVDGSRGEAVPGRSRAAGGGDRVQESYTDYKPLNWKCRCADWPALDP >Dexi5B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:5B:7170671:7171171:1 gene:Dexi5B01G0010230 transcript:Dexi5B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPAMAGPRAHAWLGASGRTARPRAMARLATAGSCAELTHEQQRQVVRSVESRRGIDDEPPGGDNELSGGKR >Dexi2A01G0036660.1:cds pep primary_assembly:Fonio_CM05836:2A:46131042:46132395:-1 gene:Dexi2A01G0036660 transcript:Dexi2A01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKKYARYMRGMEAELPAVGLKRLKKMLKKCPSHPAAADAEGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTNKGDKSHGTLIQQGKDLVTYAIINAVAMRKILKKYDKAWPF >Dexi3A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:3A:15109325:15112891:-1 gene:Dexi3A01G0019290 transcript:Dexi3A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGGSSCALAALLALASVAAVAGDVFFQEKFEDGWESRWVKSDWKKDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLTHVYTLIIRPDATYSILIDNEEKQTGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKTFAEETWGKHKEAEKAAFDEAEKKKEEEEAAKAGDDEDEDLEDEEADEDKADSDVEDGKDSDDEKH >Dexi3B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:3B:338688:341421:1 gene:Dexi3B01G0000470 transcript:Dexi3B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSMSSYCSSVLLIALLLVCSQLLQVATAREQYHEFVIRGASVTRLCRQRSIMTVNGQFPGPALEVNEGDSLIVRVINRGGYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRAGVAAYPFNVDGKPPAREIPVILGEWWDMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYRCSSSKDTATFTVKSGETNLLRFINAALNTELFVSLAGHTMTVVAADASYTKPYSTSVVMIAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAVFEYDDGSTSSAPMMPTLPAYNDTAAATTFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCSTGQSCGGPNNTRFAASINNVSFVLPSTVSILQAHYHANTADEVFTDDFPANPPVQFDYTAQNVSRALWQPVPGTKVYRLKYGAAVQVVLQGTNIFAGENHPIHIHGYDFYILGEGFGNFDAATDTAKLNMDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLQAPPPDLPLC >Dexi2A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:2A:2177293:2179553:1 gene:Dexi2A01G0002690 transcript:Dexi2A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRARPQQRPPPPRSWPSFFFSEMGVDLSSDPKRRPRYLSKLIMLALLAAMCILMLTQPPCHRRIPSVFSVHQPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKVLQNLFPEPGRLQFIQADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPDKMPITEETPQFPINPYGKAKKMAEDIILDFSRSKKADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGRVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINRELNWTAQHTDLHESLRVAWTWQKAHRSGYEPPQAMIL >Dexi2A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:2A:3540592:3542069:1 gene:Dexi2A01G0004000 transcript:Dexi2A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFDAEVLSRAEHIPPQFVWPTEERPAPAGEVEEIDIPVVDLADFLHGGGVLPGGLVEACERHGFFQVVGHGVDAGLLAEAYRCCDAFYARPLAEKQRARRRPGESYGYASSFTGRFDDRLPWKETLSFHCPGGDTPGTGKMAAGGGGRAVADYFVAALGDEYRHMGEVYQEYCDVMTRLALDVTEVLGAALGLPDRGELRGFFTGGDSTMRLNYYPPCRQPHLTLGTGPHRDPTSLTLLHQDGVGGLQVLAGGDVEWRAVRPRPDAFVVNIGDTFAALTGGRHASCLHRAVVSGAAARRSLTFFLNPPLDRVVRSPPALVAGGGCPRAYPEFTWREFLEFTQKRYRSDASTMDAFVSWIEGGRRDDGHGGTEEKK >Dexi2B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:2B:29707313:29714419:1 gene:Dexi2B01G0019550 transcript:Dexi2B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQQAPRCPLIFFPRESRSKKLYPSEAILFDEDWAPSNWNASVIEPDDLLSASCNGLVCLYSDKTTIKIANVATGEFLHLAKPEKKLKGDHYRFYNFGFHPVTKEYKVIRFPCERRSFPVGNVNFIQVYTLGDDKWRNVRSPKAQILDYEIRPGVINVGGAMYWLVDDKESSWGCTVVSFDLNEEHFEWIRMPTINLASSRHISSCTFQVTAIDGKVSVATDHNDLYRTGVFVVKLEIWTLDNKIDQSWSRKYNIQLPSLHVRIPNHFFIYGDKIAIYDCHRNMYFHKLMGQSIEIEDSKMVKLLNYGSRFDTMLQSYVHVKSLVGLHAYSRAGNGITRAQRMGLDVNPLLPDPHEKRWLRLNWLGQKWLLEKLDASTHRLTGLSKALDATRNKMRVHEIDQADSHASGPPMHVAERLHSTFNFSYIGLTTNHMLVFNDLTTRATLSSATDDVALDTGDGDQDGMIHNEAKHEHNEEVCVKSTQSKAIEARALSPEFVGDEDVESADRGGASARTHHHLRGRGKEPAMEAPSMG >Dexi2B01G0025480.1:cds pep primary_assembly:Fonio_CM05836:2B:34712594:34713605:-1 gene:Dexi2B01G0025480 transcript:Dexi2B01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIMDPNMKQLQEAMVDIETDAEQILLARHQLVENDKTRNGNREALTALRKQARTTKTSVPSPFEVIMKEMEGSSGKQLIKEVCPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVLEKDQERLDFDTKKLQSFVKEKSLVIAEKGALAGRYGTDTVKSLVNLTDTPKSTREAGGPEVKYQIG >Dexi1B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:1B:22311640:22313344:1 gene:Dexi1B01G0015760 transcript:Dexi1B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAWGKNAKTKRQPVVMSTKPGLPFGVETDTGEAEKEKEANCPGTKPFETAESLQRQGDKLAEEGKYHEALSRWEAALTLAPDNPVLYEQKAQVLLEVGDAWHAITAATRATELDPLWAEAWVTLGRAQLNFGEPDSAILSFDKALAIKVFSFWCCFAGSASLVHELPFFA >Dexi8B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:8B:6944627:6944851:1 gene:Dexi8B01G0006110 transcript:Dexi8B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLEAASCGHAAEMKHLALHHPGVLLGTTPVGNTCLHIASLFGYEEFCKHPPSLLTATNVDGESR >Dexi1B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:1B:9744736:9749623:-1 gene:Dexi1B01G0010390 transcript:Dexi1B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKLPTLLLVTVFIIIVLASNSDSARPKDAELRTLLTIMRDWGNPTALSSWNSQNTSYCKWQGVSCNNGRVAKLSFQLFYITNPIPASICSLKNLTYLDISYNNLTGEFPAAALNACSALHYLDLSNNMFSGVLPADINKLPPGMEHLNLSSNGFSGSVPLTIAGFPKLKSLLLDTNSFNGSYPGAAIGYLTELETLTLASNPFSPGPIPDDFARLKNLKMLWMSEMNLTGVIPNKLSSLTELTLLALYENNLEGAIPAWVWKLPKLEILYLYANSFTGGIGHHLTAFNLQQIDLSTNLLTGPIPEAICDMKNLTVLYLYYNFFTGMIPPSIVLLPNLEDIKLFDNRLVGPLPPELGKHSPLGNLEVSNNFLTGELPETLCFKKKLYDIVVFNNSFSGEFPAILGECVTLKNILAYNNNFTGVFPELIIFSVSITSFAVVSFAAVCVGWDIYRRKKNRRDVLTSWKVTPFRALDFTGHDIFSNIREENLIGRGGSGKVYLIHLGSKKVAGKGRDEAAGRSTVAVKQIGNAGKPDGSLEKEFQAEVAALGGLRHGNIIDLLCCVSGDDTKLLVYEYMENGSLDRWLHRRRNKHGPLSWPTRLSIAVDVARGLSYMHHGFTRLVIHRDVKCSNILLDSGFRAKIADFGLARILATAGGESEPASAVCGTFGYIAPEYVSRARVSEKVDVYSFGVVLLELATGRGPQDGGTESGSCLAKWASKRYKGGGLCAADMVDGEIQDTAYVDDMVAVLELGVICTGEDPASRPAMSEVLRRLLQCGRSHGVVVDDHSDKDVCGVDVDSLEGMLDINWGFSQESDVSMVSSKGDTVTSSSSTQFTSAPPSMFTLHKHGHEKLAVEAEIVASGENTAAATLTATVSEDIIPISLAAVLDVMDSDDNDDLPMPTIMESTITKEQVQVAIPVVVATPTLETDPSYINIEVSANYLMRFLEFNFSKYVHVDGLETILIPTNLDRSTLRLLMSSLSVSLHVNQSAHHVSETALSIQWVEKQPWPPPTQLEPT >Dexi5A01G0036830.1:cds pep primary_assembly:Fonio_CM05836:5A:38255698:38258202:1 gene:Dexi5A01G0036830 transcript:Dexi5A01G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHPSAAHTTPEAEQQPPPSPPPEQQQQQTELAVAVAPAAAAAEKGNGPADGGDGSPEAAAVKAPLLGGPRRTGLHLFAMNIRSVFKLDELGGEVLGIAVPASLALTADPLASLIDTAFIGRLGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAVLSKGGAKVVDGEEEEAEEVDPDHHAAVAAMDPEKQQQSAEEADKKNSDSNTESGETSSPAELAGAEGCAATAVVKSGKKRRFVPSVTSALIVGALLGLFQTVLLVAAGKPLLRLMGVKSGSSMMTLALRYLTLRALGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDLANIVLDPILIFGCRMGVIGAAIAHVLSQYLITMIMLSRLVMKVDVVPPSLRCLKFRRFLGCGFLLLARVVAVTFCVTMAASLAARHGPTAMAAFQICSQVWLATSLLADGLAVAGQAMIASAFAKNDHYKVAATTSRVLQLGVVLGAALTVILGLGMQFGAGVFTKDAAVIKTIHKAVPFVAGTQTLNTLAFVFDGINFGASDYAFAAYSMVAVAAVSIPSLILLSSHGGFVGIWIALTIYMSVRALSSTWRMGAARGPWRFLRQ >Dexi2A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:2A:22005945:22007465:1 gene:Dexi2A01G0013460 transcript:Dexi2A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQPCLFLFLVLLALSSQSAHSKILLQVFNWESWNTVGGSRWYDVLSGQVADIAAAGITHVWLPPPTHAVDAQGYLPGRLYDLNASQYGNETQLRALVAAFHGEGVKCVGDIVINHRTAERQDSRGIYCIFEGGTPDGRLDWGPHMICRNDSYSDGTGNPDTGLDYLPAPDIDHLNTRVRCELTDWLIWLKEHVGFDAWRLDFAKGYSAAVARYYVRATRPELAVAEIWTDLAYGPDGKPLADQDAHRQILASWVDAVGGPGAAFDFTTKGVLQAALSNSELWKMKDAQGRAPGLIGLRPARAVTFVDNHDTGSKTQHNWPFPQDKILQGYAYILTHPGTPCIFYDHFFDPSFKDEIAKMITIRTRNHIRPTSSLQILLAENDAYVAEIDGRVIVKIGSRFDVSSSIPQGFQVSTSGNDYAIWETV >Dexi5A01G0032630.1:cds pep primary_assembly:Fonio_CM05836:5A:35095431:35097997:1 gene:Dexi5A01G0032630 transcript:Dexi5A01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYILQNFQVATLSWPRICQPGNISITAVDGVPGPVIEAYEGDNLVVHVINDSPNNVTVHWHGVFQRRTPWADGPSMVTQCPIRPGSRYTYRFNVTGQEGTLWWHAHSSFLRATVYGALVIRPSRVAAAYPFAMPDGEHVVLLGEWWNNATAISSNANADAYTINGKPGDLYAGETTANRSAKFEVTGNSTYLLRIINAALNTAFFFEVAGHNFTVVAADASYTSPYRTDVIVIAPGQTVDALMDADASPGSYYMAISSYQSASPLSPAGFNGNTTTALVEYAGEQHSPAAARPAMPLPTSTRTANRFYTSMKALLRPGRRTVPLAVDTSMFVTVGLGLRYPCDPKLPSCKPIPMATMNNQSFTLPTTMSMLNAMYYYGNNTTRGVYTSDFPDKPPVTFDYTNETRTQVAAALLFPGRTVTKTKVLRYNATVEVVLQNTALGFGNYDAGRDSKRFNLVDPQERNTVAVPTGGWAVIRFVADNPGMWFMHCHIDSHLAIGLAMVFEVADGPTPDTALPPPPPDLPRC >Dexi9A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:9A:2886726:2892371:-1 gene:Dexi9A01G0005240 transcript:Dexi9A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRWAPGIRAAALVLLAVAAAARFAVGDPDPDELERAFPIVEPDYGHTKLRLSEQGLEAIRRIENPIAIVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGVWIWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKTSLLSQQSFSGLSREISSPHLQRTKLCDMEDKELEPLYVKRREELKQLVASIIKPKIVQGKTLNGRDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILERCLKAYRDKMDGLGLPVTVEKLQQVHEMANDEARVIFDKQHFGKHHAAQSVLKLEDEIKKMLAKSRALFIKEYNNKLFNWLVTFSLVMVVVGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIVIMLAFGVLYWRCFGGRRKRGRGSLLPLYKNSYNKNATRPRSD >Dexi3A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:3A:16995910:16997184:1 gene:Dexi3A01G0021340 transcript:Dexi3A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAAVASLLAVFLLAASSSPAVAAAAAAKQQHDIPAVFAFGDSTLDPGNNNGQLATMVRADHAPYGCDFPGGRATGRFSDGKIITDYIVESLGIKDLLPPFHGSGGLGVGELSTGVSFASGGSGIDDRTSEIALVSPFGAQINDFRVLLLEMHDQLGALRANEIANKSLYVISSGTNDVTVNYFILPTRTVNYPTFDQYSDYLIGQLQEYLQTLYNLGARKFMVAGLPPVGCLPVSKTIHLLSADCIADQNDAAERYNAALQKMLAKLEAESPGAMLAYVDVYNPLMDMVMQPQKYGFTSTSQGCCGNGLPSMGILCTSLLPQCRSPSQYMFFDSVHPTQAVYKALADQIVKSHIPKFK >Dexi7B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:7B:25040235:25041226:1 gene:Dexi7B01G0019600 transcript:Dexi7B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVSCTRTLTITNHCGHTVWPGILSSSGSPQLETTGFALEPRQSRSLPAPHGWSGRLWGRTHCTVDSSTGKFSCVTGNCGSGQLDCAGHGAKPPATLAEFTFDGHGGLDFYDVSLVDGYNLPMLVEPQHHGDGGPNCVLTGCMMDLNAACPAELRVAAGHGGGAAVACRSAIKSVGTDAAALGGGGRAGSSSSWRAAPRLGSTGSLVLLGVASAAALATVF >Dexi9B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:9B:15250582:15250986:-1 gene:Dexi9B01G0020520 transcript:Dexi9B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRSRPYTGRRDHARCCWRTGQDCRGLDRQADVVHADGTGGGGLVREHDERAAGRELYDLCGGRWAGLAVAAASTGDSNRNAVGAVRGGGGFDVAAALSEAWWQRCRGDDTAPVDDLWSLCRLRRLDGSLSP >Dexi9A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:9A:15970945:15971271:1 gene:Dexi9A01G0020940 transcript:Dexi9A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGPLSQPNPIQPNSHHLSYTLLFPSTSASRESRARQLCLSRPRRLCCPLASSIAAALLRYLRIPLPTPSHGRLERQQRGSVPRWRSSILDKADSIRAAG >Dexi5A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:5A:22772530:22773958:-1 gene:Dexi5A01G0019250 transcript:Dexi5A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPAQQAPGVVVVFDFDRTIIDWDSDNWVITKLGATDAFHRLRPTMRWNPLMDRMMGEVHARGKTAEDIRECLRSAPLDVHVVSAIKTAAALGCDLKVVSDANTFFIETVLAHHGVLGCFSEIKTNPASVDVDGRLRISPFHDSATAPHGCSLCPENMCKGKIIEKIQAIAGAEKQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWNLICSNKQLLKAEIHPWNSGEELEKTLLKLVNKVISPPAQVSPVDCSKCESVTTELGHHQALRVPH >Dexi9B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:9B:1014962:1015719:1 gene:Dexi9B01G0001770 transcript:Dexi9B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPLGDGRPAPGTASDGRAGLGHTSLVTRPRGECEPVGLEDAIRARSLVSGTAPPLNSVTRFLEARLASELRVMAAVKSADSDTLNVPGLAVVVEQVGHLLDMAAADEVAEEAKNQKRAATAESNNDRCVKIGKVECGGFKDNLYQMV >Dexi3A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:3A:3406164:3408753:-1 gene:Dexi3A01G0005290 transcript:Dexi3A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGRLPRRPVDDRRGYPQVRLVDPRGYPEVHVVERRSYSDIRGVDERRSYPDTRLVDDRRGQPGVRMIDDHRGYPAIRVDDRRAYPDIHEGPRMRGAPHPHPAVLEEELELQEVELRRLLADNRALGEERTELSRELQAGKDEIRHLNVIISDITAEKETYIGKLVDKKRKLEAELRENEHLRDEIMQLRGEIEKLIAARKELSAEAASLMEDLTRENSVKHQLPMLKAELDGLQQELIHVRNAYELEQKGNLELVEQRKAMEKNMISMAHETEQMRAELAKYEVRPWGVGGTYGMQMGRGSSEVTFTKAYEESYNIHAGVSEKGTLHPPASSSWGTYDKNRPQYH >Dexi2A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:2A:30958800:30960588:-1 gene:Dexi2A01G0018790 transcript:Dexi2A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPWAAFLAIVLITAVFLKTILSRRRRAYNLPPGPKPWPIIGNLNLIGELPHRSIHELSKLYGPLMQLRFGSMPVAVGSSAEMAKFFLKTHDATFSDRPTLSIGKYTAYDSSDIMWSQYGTYLRQARRICATELFSARRLESFEYIRDEEMRGMLRGLHVASGQVVQLRGYLQMMTLGVVSRMVLGRKYIHGGEVAGTEDGSPPPVLTPVEFRELVDELFVLNGFFNIGDFVPWLDWLDLQGYVRRMKRASERFDQFMNHILDEHSQRRRLEGEAFVVRDMVDVLLQLADDPNLEVPLSRDNVKALTQDMILGGSDTTTMTIEWAISELLRNPEALTKATEELDRVVGRERLITERDFPHLPYMEAVLKETLRLHPAAPVLAPHLAREDACVDGYDIPAGTTVFINVWSIGRDPGLWDAPEEFCPARFIWSEVDVKGQDFKLLPFGSGRRMCPGFSLALKVTMLSIANLLHCFTWRLPDGMAVEQLNMEETFLLALPRKVPLEVVIEPKHMDCLYTSD >Dexi8A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:8A:23868602:23868820:-1 gene:Dexi8A01G0013700 transcript:Dexi8A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVSSRMERLDDSREQQDGASLQNRYLAAPVSRSMTQRGPLTSRSCLADHEPPQRRKHSTTRPHRCLSRAP >Dexi3B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:3B:6873701:6876030:1 gene:Dexi3B01G0009920 transcript:Dexi3B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFLKAGGGGGGGGTRPQEQDSTVTRQIKEMIGQAREMIHEVQEGQGREGPSIPQVVSAGTEKKMQQIKTMVKEQMEQEWIANKIVDHLKYTKRPLFVILKIGEKIDGSTWEEHRYALTLLKENVAGALVVTTTKNTQQAREYCYPERKEPIEFSLAGLYHDIVLQLTTRHKNEHCCNPKIIRDILHECEQYEFCMKIFAHTFYAKPKQSNEELSKLRRCSIRRSTLIARWVIEGLITMDEWPTSVRRAEQCFDTLIDRWLVYPGDIGDTRKVKSCIVDDRVHGFITMIAKKQHIVDTRLSVHLARHFSIFSDIRLRPLDEIERDFLQMLSKLYHFSQLKVLDLEGCKCVGKNKHYLKDICCNLLLLKYLNLKETDITELPTEINNLHELEVLDIRQTNVCYKATKKVLLLKLKRLLAGHTDLITSSVQVPEKIKKMEDMEALSINGTTRMELLQLKTNEDNQLREKDLEVLSKLPMLRSVIFRQVRYSESKLIFNKDEFRTLKYFLLNGSNMTDIIFDDGATCELEKIVLSLGDGLKLYEVNKLPKLEEIELSDNNYSGSYNSKDINSSATNDHVNSNNITNSTETAAIILPNATPAPACSHIHHRLYYHHQQHHHHH >Dexi8A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:8A:1208552:1214108:1 gene:Dexi8A01G0001770 transcript:Dexi8A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQFQSNSTDMSWHSLLSLSNRHIHRISSHKWKPMGSKEASSVTIFCLSLLFCLGCRCLASELEATQTGTLKIDASPQLARKIPETLFGIFFEEINHAGAGGIWAELVNNRGFEAGGSHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNIVALRMEVLCDDCPTGGVGIYNPGFWGMNIEDGKAYNLVMYVNSPETTDLTISLTSSDGLQNLASATVTVSGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPKDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSLEFARGSANSTWGSVRASMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFNMRTTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPITISSGYSGSLAASAITWQDSDTSYLRVVNFGSDAVSLTISTSGLEASVNALGSTTTVLTSANVMDENSFSNPTKVAPVKSELSNAAEQMKVTLAPHSFNTFDLALAQSKLVAEM >Dexi2B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:2B:20775707:20779022:1 gene:Dexi2B01G0013210 transcript:Dexi2B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPYTHAYQADHGRLRVCQATLSNCDTAGRTVLQCNVGNKIPIKLCSLNPKLAEMCHLEIELEEVDNVIFSVIGPSSIHLSGYYVRSSSRSNIGDDESESYGEDVGQSDTDEEHDADDDSYESDFIDDRDVPTPEKYGSDFIDVSDDDDECSSPRHPKQKACGKQTRKAERRRRLKKQVDSPADSDDDSPVMKPAPISVALGKKDKAKVAVKRNACSIFDSGSDEEDNVPISVASGKKDGAKVAVKRNASIFDSGSDDEDLVPLSVAPVKNGSAKVAEEANPQNGQINDGTKKKSNGDRKRKGSSIIEDSASPMDVEDAKIKKKSKKKMRNQSGEKDEKQSNVRTLEDGLMVEDLSAGNVDAKVASDGCKVYIKYVGMLKDGKIVESNLNEKPYKFKLGSGKVIRGWDLGICGMRVGDKRRLTVPPSMCSGGKSVLEVPKNSSVIYEIELVKVK >Dexi1A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:1A:5591312:5593306:-1 gene:Dexi1A01G0007290 transcript:Dexi1A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPAAEAEEARQRDELAESLAELFANVSVMVRGELQGTNNQLALLEKMNDRVAQEYSNYGDVAAGLRVFVEQLNEKNRGFDEYVSQIDAIDQQVTEFEAVVSMLDKHVALLEKKVKSAYQISSSTQ >Dexi2A01G0022830.1:cds pep primary_assembly:Fonio_CM05836:2A:34616603:34617219:-1 gene:Dexi2A01G0022830 transcript:Dexi2A01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSAAAAVTTFVAPRPRRPLLLSPCRRAFAAHGETTGTRRPRAVASASASGAAPGRRGVTEYVEAAREMARRKDGGPPRWLSPLECGGAAAGGERVPGAPTLLYLPVKDITFRTH >Dexi4A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:4A:24010090:24011258:-1 gene:Dexi4A01G0020340 transcript:Dexi4A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMELGRTNLTEAVRSVHLLKIDGFCATEAMGRSECIKSRWNVGGHEWEVHLYPNCLCRTTDDHRDIYGVALKLTLLSEPQRKTFANLSCRLVDPSRNRDPSLVKCTSYKFGAGIDWIGECRKLVLLMERDEVPLSGYLVNDSLTVECTLTVLMKLPDMVVIPSVSEVPLPSSDLHRHLGELLQGQWGADVTFVLDSGDRIPAHKNILAARSPVFKAEFFGDMSERSSQTVRIQDMQAAVFKAMLHFIYTDTAPELDEEPETAAAMAQHLLAAADRYGLERLKLICEGKLSGGIDVDTAATTLALAEKHNCSVLKDKCVDFMARSPETLNAVLATDGYKHLVESCPLMFTELLRVAHGKRN >Dexi2A01G0036840.1:cds pep primary_assembly:Fonio_CM05836:2A:46283897:46284454:1 gene:Dexi2A01G0036840 transcript:Dexi2A01G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCLSLLVLVALASAVSAQLSPTFYDTSCPRAMATIKSAVNAAVAQEARMGASLLRLHFHDCFVQASVLLTGYDASLLLAGNEQKDGPNLSLRGFNVIASIKAQVEAVCPQTVSCADILAIVARDSVVAVRR >Dexi4A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:4A:25060843:25061043:1 gene:Dexi4A01G0021600 transcript:Dexi4A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMELRCGTAVGEWSVWGGTHWIVCPTCAAGFRHGSLSRWTPQQRKALEKKEILPSCGFLFSRA >Dexi5B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:5B:9085610:9088934:1 gene:Dexi5B01G0012800 transcript:Dexi5B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGNEAIQQWEDDWDDDDVNDDFSLQLRKELEGANAQKS >Dexi4B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:4B:4537777:4540022:-1 gene:Dexi4B01G0006460 transcript:Dexi4B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRPNATVRLRHSPRSRSSITSCASMELFPDGAHVRLRSRVHGTYLHADADGEGVSTGSQRASLNAAWAVHRLGRGGEDGGAASAYVLLRSAAYGRYLGLWAQPAPPLGVVHLPVLRVYDSPEQDDVLWVAVGAADESGDVLLRHGRHATSFLGVNTDSHGCRRAHWVVEVVAARQKPPILPAPVPSFRVVPSVTNLPVVVCLFGFPLQQLSRPMVLWRTITYVRADDEGNFDPHPLARRRFIFYGRSVFQLTGVLSILLREWFFGIRLCVRAGSQGRLTPLVIDLPANEQPMDIVVLTAGSPAAQGQALVYPDVDA >Dexi5A01G0037830.1:cds pep primary_assembly:Fonio_CM05836:5A:39033640:39035403:1 gene:Dexi5A01G0037830 transcript:Dexi5A01G0037830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRAAGDGAGAGGGGGAPPRKRVYVCPEASCVHHSPRRALGDLTGIKKHYCRKHGEKKWKCERCGKRYAVHSDWKAHSKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMATVASALQGHAAHHLALTSLHHHEDEPDDDDLDAAAAADDDFGLDTKSPQLRMLPTAISDDANPPLLPPPPLSMAGCLLSSLAAARPAPPSPATAFFSGGAKIGHLDWRPSDISSSMGGGGFSPAAGSASMSATALLQKAAEMGATTSGGGYGGGVGCFSTTVGFGPMFGGPDRLPTPFGPHHVAVEPYDGVPLGHAQLVGLDAGRLLPGGGQQQIFYGGGGSGGGGVGSMTRTIGSLMHGAQQQQMEHHRRRPDDLREVDYLGGAGVDSDQQRSFPSVSPFGGPHIGPWA >Dexi9B01G0030120.1:cds pep primary_assembly:Fonio_CM05836:9B:32617227:32618672:-1 gene:Dexi9B01G0030120 transcript:Dexi9B01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRCFRFRPPSARSKGKAMLQCGRLHPHGGGSWLHEMEAGPAAKRNPAASLTDELIVEILSRLPVRSVCRFKCVSRSWRNLISDPVHRKKLPQTLSGLFYTSFDGERFPCVARHFTNITGKGVPLIIPSFSFLPVPSDDVTLLDSCNGLLLFECRCSGPCPDEHNWYPPSLYVVCNPATEKWVMLPNGIASGENRIARLAFDPAVSLHFHVVQYELDEWVTGVEIYSSKTAAWSFKESEWDDDIILYDSGGSAFLNGFMHMVSFNESLAVLDMEGKTWRTIPVPSDGEYGCSEPGCIHQSQGRLCFLNVDGTDPSKLSIWILEDHSSHEWTLKHSVSLRFLFRQKKFLSRRKYIIFDLDYRVITFHPECNLIYFVYGEDNILIAYEMDRKEVRVIRKLGHESWKPYIPYVPWFPALYPLCSLVRRGISRWAVKLSYPLQLCVVTAMWHSS >Dexi7B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:7B:10373463:10374253:-1 gene:Dexi7B01G0004240 transcript:Dexi7B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEPTAAAAGRKRNKPHGPSKTLAKKRSDPKSKSGEKKKKEKHKHTTHNKEKQPRPTGPQAKEPAEPDQTAVGDGASGGGVLLSATMPPARQLEFLLRSFERAVKMRLSPLELDSYSEGCMVQLGEHAQDVDSFAHHVKDAFGVCWKEELCEGQVVEGEIGVGSPSLLNAAQ >Dexi2A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:2A:13155981:13157102:1 gene:Dexi2A01G0011300 transcript:Dexi2A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVTLLLAALVILSLLVSPIACTRKLTKPKHKAKPKPVSHRRPAPVAVAKPKPNPASYKPAPAAAKPPHRNHTSKHPPIVYTSGWLTGAGATYYGAPNGDGSDGGACGYQTAVGKQPFDSMIAAGSTPLYNGGEGCGACYEVKCTTNAACSGQPATIVITDQSPGGLFPGEYAHFDMSGTAMGAMARPGMADKLRAGGVLRILYRRVPCKYPGVNVAFRVDQGANPFYFDVLIEFEDDDGDLKAVDLMEAGSATWTPMSHNWGATWRLNNGRRLNGPFGLRLTSDSGRVLVVNHAIPASWKPGKTYRSLVNYP >Dexi8A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:8A:3151428:3152870:1 gene:Dexi8A01G0004030 transcript:Dexi8A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSFCFALEMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELESAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >Dexi2A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:2A:24937272:24937679:1 gene:Dexi2A01G0014820 transcript:Dexi2A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNAPATAGNGAALRPCKSLTKPGVRLPRRPRRLTTPPSIPHRRQRLIQARRPWSPSPDDPDPETLTQSPPCIKSERRTRSRRTHHAAGVYHHPTRVGRARIAGENRVAVEHGWRRRSCVWPALQQQGEGVLAN >Dexi5A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:5A:8314914:8315920:-1 gene:Dexi5A01G0011080 transcript:Dexi5A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPAAFVNAEILTMFVGRRVRTVVQVQRNEGGMVVGQSPDGHPLTIKSAMDIPVSHFMEVYGIAENNQTIRAEVCTDFGPSFDTKSFDGLCKLASDKFKHVFL >Dexi2A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:2A:9125010:9126506:-1 gene:Dexi2A01G0009120 transcript:Dexi2A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMADLESENPIANIAAMNHALVLIAGGKLPEPLLLPRIIAGLASNMAKPHHKSSIKRGFCSILKAIWTAIISNKMNMDSSASGALSSLSHVPGFTFAIARAYCDTLIALPPQSSIQISSVVLMLDRLKQIRMTMVDHPEFNDLAMDVLGALANCNFSVQKRVLNLAVSLLTPGNVNNVLQLLKNELDLAATGDIPIEYQQMLEEAIRECHSAFPESIMQFILNPNYREFINCISYIKEIMDRNPMLRSQLLIGILRAIRHVRSSPVCAATVWAISVCSQSLLEVRGSIDTISCLFEDLLDRRDMEKVILEGVGVDDECTLPSDNYGVKDGDAQGDHLKPWLMEMEELLFVHIWLTQQADGSYAIASSSESSSSSEDVYLFVPSLDHTDNLEFLVQSGDMLLADFVENILSKLVKKAEEFH >Dexi6B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:6B:22396784:22399921:-1 gene:Dexi6B01G0014950 transcript:Dexi6B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKETSRSFATQRNATPPVSVTPDPTPLDHALVLAPPPAPHPPQKPRPLRHLDATSLPSRPHPPSRAITTPSAPPIRPSPAADAGARDAQEPKAAQELKIGPEDSRSSTSARAMEPVPRKRKGAPRACSAARSLQDLASRKRACRGSDPQQSPRADAAGGARGGPPAAVVMTAPAASGASGAAGVLPGRGLKRKVGCIDSATRIGRRKRLESEYELGEEIGHGKFGSVRVCRAKAGGVTRGEEEFACKALPKNAGETAHREVEIMQHLSGHPGIVTLRSVFEDAETFYLVMELCRGGRLLDEVAREGRLSERRAANVIRELMAVLKYCHEMGVVHRDIKPDNVLLTKAGRLKLADFGLAVRVADGQKLTGVAGSPAYMAPEVLLGDYSHKVDIWAAGVVLHVLLMGTLPFQGNSVEAIFEAIKTVELDFNSDQWALVSHFARDLIRKMLNRDASSRLTADDVLRHPWISFYNECPLKAEFSDLWSTNKTAAPMIDWERVRSCCESSSSECSSDNSEEQDECGIVDALATAITEVRISEPKRRLLSPAAGLFPPSRNALQN >Dexi7B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:7B:9654178:9656640:1 gene:Dexi7B01G0003910 transcript:Dexi7B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECDYLMAIARPRLQISTVVDVATGKAIEKRISVFSQIPKENGELIQVLRYEASQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGEGQCSCGGNMVRGLCVKPNKGDAVLFWSMGLDGNTDPNSIHSGCPVLKGEKWSATKWMRQKMTF >Dexi7B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:7B:17618226:17618726:-1 gene:Dexi7B01G0010240 transcript:Dexi7B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVSMPCERRRARAMTLAARADGVISMAITGDAKDKLEVVGDGVDPVRLVGSLRRKVGPAEILHVEEVKEKKPEEKKPEEKKPGNPKPPQPMVVYPPPPQSCPGGGYYYYPHHPPPRMVVCEEPNTCPIM >Dexi1A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:1A:10528900:10533699:-1 gene:Dexi1A01G0011500 transcript:Dexi1A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTTMHA >Dexi4A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:4A:3372193:3372516:1 gene:Dexi4A01G0004800 transcript:Dexi4A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEPDPPAGPAGADSAEAQKCSHALSDSLSSDPRGTSFHPQGALVGDHLRIQPELCPEQQMVHWEAANAAHAVEMEELMLPEALVAISVPGLAVWEIDDFGRPLG >Dexi4A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:4A:1772204:1774342:1 gene:Dexi4A01G0002580 transcript:Dexi4A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIAARLSGVEGLYFPSSFLSPSASSSSPPSTRRRGAELRALLARDTPLFLERYGAALSADELAAFDTLSPNYEVDWHLRRLRAAAAGTPPPAARVRNRRRAYLDRLVREGDYFSEEAMREREPYLHHEYLGKFQDPLGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGPEEAMEEQEEEEEEEEEEEEEEITKENGTQADKPSATEVVPNGAAPMDSNSGGGSTAGAFKQTLSSEEMEDQLEQFTYVMQQKFLLGEDTEHMDYSQIDNDEMLDDHWSREANYDAEEKYFEED >Dexi9B01G0025990.1:cds pep primary_assembly:Fonio_CM05836:9B:27658704:27661690:1 gene:Dexi9B01G0025990 transcript:Dexi9B01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLAVAAAVLAVCAAAAEGFDILKILGKHDEFSQFCKLLNETHLAGDINRDRTITVLAVANGDMGQLTGGHYSLGTIRHILELHVVADYYDEKKLKQLSHAATAASTLFQRSGFAPGMAGYVNITQHRGGKVTFIVDDAADSVKPVSFVKEIESHRYDYSVLQVSSVLSSPEAEAPVAPPAPVNLTDLLSKKYCKSFAGLLAADAKAFDTINGTKDTALTLFCPVDAAVAAFMPKFKNLTAKAQTAILLYHAAPDYYSMQFLKSNKGKLNTLATTSDAKKDYTYEAQSEADTVTLDTKVTTATIQATVRDDDPLAVYAVSKFLQPKELFKAKKEDLAPAPAPEGPKKKTKKKPGSASAAASPSDDEAADGPGADGSSDDAADKAGAAPSLLARWAVGAATAAAALALAA >DexiUA01G0019690.1:cds pep primary_assembly:Fonio_CM05836:UA:40915140:40915902:-1 gene:DexiUA01G0019690 transcript:DexiUA01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISNTCTVFSHHQPGTCCFAVHFWAPTFKWCLNVANVADFVKPPEEISYPQQLALGCSGLIWARYSMVITPKNWNLFSVSSALAVTCTYQISRKIRYAR >Dexi5A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:5A:903988:904443:-1 gene:Dexi5A01G0001330 transcript:Dexi5A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVDKAKGFVADKVAKVEKPEAELSDVSLGHVGRDGATLASRIDVRNPYSHAIPVCEVSYSLKSAGREVASGTMPDPGSLVAGDTTRLDVPVKVPYDFLVSLARDAGTDWDIDYEMRVGLTVDLPIVGNLTLPLTKSGELKLPTLSSIF >Dexi9A01G0034170.1:cds pep primary_assembly:Fonio_CM05836:9A:38959456:38965507:-1 gene:Dexi9A01G0034170 transcript:Dexi9A01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPRSISSIYHLLGQSAQCRALRLGDRTWHLAPTLLPSFPFAGNGHAAGDLSLTLPCPSAIAVAHVGQVDETSELVGGDASPAGLTRSITYHPRLWLVGRRSLKLDLLAPRPPPCRQASNGGDSMGRPPCCDKEGVKKGPWTPEEDLILVSYVQDHGPGNWRSVPANTGLMRCSKSCRLRWTNYLRPGIRRGGFSEQEDRLIVHLQALLGNRWAAIASYLPDRTDNDVKNYWNTHLKKKLLPPHHHPPLPHRAAAHATPSPSPPSPPPPPPNKGQWELRLQTDIDLARRALRHALSAPPPPPLSTAGWAPPEVGKKSGSPAPPPPVAVAVADSASGSTLECSVVSTTSSAAALAAGGHLLARAEEKAAAGGEVALSEIESWLLLEDGVGAEHKPAHGGDDGLLLDAALQYNFAPNTLPHLRRYEARHDAAINKPEAAGELHRLRSRVVVEAFHPVDSIRSSGRCELLVWARLSAACAFGSAAGLCSRRTRTTSASPLVSVARCTVQFKQYGFQSYTNRYIAGFTLVANAYDSDSWKSSKSSGLRLCMGSEPRRTLHLLICTAPTVVAAGATNGFPAASTPAALAPPRAEGASAGAGAGSWPTTTSSRLRPFTNAGGCSTARRQTKAESAKSLDHPLVLAMSLLLLVLLPSRRHAT >Dexi8A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:8A:1667713:1668216:1 gene:Dexi8A01G0002550 transcript:Dexi8A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDIYGGNVSPVASDAESPQLVSTVDELIALVRAAPPPAPGVGPFTFQFMLTLHALELGDGDGVVAADAAAMAALPERTVGQGEAREEAECAVCLDGYEAGDALRTMPCSHSFHERCIFQWLRVSRICPLCRFAMPAAGAETESLMGEEEDNYDDGDGDTIVASN >Dexi3B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:3B:915924:916590:-1 gene:Dexi3B01G0001240 transcript:Dexi3B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNASSGDPSSSSSSEEAVLLTVWRKSLLFNCDGFTVFDARGDLAFRVDCYASSRHRRRAEVVLMDAAGKPLLTIRRINSLINLAEQWVIYDGDAAGVRAQAAPLRAPPRQPHQSIKKALAHVTPLGAASASSSSSYVVEGSYGRRACAVRDAGGDAVVAEVRRKESVGDDVFRLVAHPGRLGAALAMGLVIALDEMFAGTSSARSLLRRTWSA >DexiUA01G0022370.1:cds pep primary_assembly:Fonio_CM05836:UA:45515430:45517766:-1 gene:DexiUA01G0022370 transcript:DexiUA01G0022370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIVSSTIHIIDCSSSSRPAASLVLPPSGAEHQTMSVLSAIPLDLAAGMDITSMVLNASPTTLQNLARGLESESVGLFTGTVRVRGLRRSVPRFPHAIQHRSAQPSPFREEVFSGAFRRTDGAHAQVADSIALVIAGKPSLIRWCQNAIPTGHGAHGLDEMIKEQLAQPLSPTATLSKKYTAEPTKQEVKLRNEERQRRPPCDARDVDAASSNSTSAVNESKVDLSDQYEGTRSSFVLLLSTRGSGVLGDDEDAAGRNHEFHDV >Dexi7A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:7A:22638483:22642965:1 gene:Dexi7A01G0012420 transcript:Dexi7A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRFTDERQSLGDGVWRLMVALLQAWDVATDPEKQASPRWLTGVRDVTASLLMELNIAGGDAANDRIQELDAVPPRVPAQPPQARGLGQAIDAAVASLEELAVQRDVTWIKRAGGSEGSAEALMADTVRILGRCMELHPTSSPSSHLKAKVCAAVLRVVRRCTVAVEFRRPIQALQSGVFEIKMGTTDGNSRNICVRLDAVWPAPSDLGNTCFGPQILQKLACGDGHDPGSYHALERISGDVLYHCRGLGNIKLGTDAMEARLINKKYLTTLTLEWSDNSIYLDEDDEENSVKGLPALKNLEISHCSNLVSVVGLSKLSSVEKLKIVKCPRLDGCYGYLSPVEEFNPQPSELGIWLDVLWLSHCATSADVEEGHYPSRLPFGRREEGRRSRKAAAGRRRRCTTASRLERGDYAPGP >Dexi1A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:1A:26703231:26704636:-1 gene:Dexi1A01G0019660 transcript:Dexi1A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKMSAFRGRKEAVGAKPHGAPEWGTFGRGRWRNPSIGGARWRSRKRRRKPGPVTKRHQRLQTRAARARWAGSSGGERSRTCSTRSSMPATAAAGSIAAVAAGKGLVSGKWSGGEAKVNETELDWT >Dexi5A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:5A:16332199:16332978:-1 gene:Dexi5A01G0016340 transcript:Dexi5A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVDAWIHDMRAKVPEGNRRHSKIYLENTRMLAKFQFAQIDYSGLPLEDISHMRDIAQNYATHHMVKGLHCYLALLEGSDKKKYHWWLDFNVHNWVIHMLDGLPQQTDRTSSGLFLLKYMEYWNGRRLEKSFTQDLINGFRPKLPALLFKSDLNEEKTTIIEKTSIGSPEI >Dexi8A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:8A:4985306:4990068:-1 gene:Dexi8A01G0005430 transcript:Dexi8A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGNRAIVHVAPLLAIPASRAYFPFRLLPAQLPPPLCYIFPAGPISGPLHPSPKSQPRDVRAGGGQMGWTRSAQQLGWIRWDPMEGELSTAPDRGQHHHLAEGELTTEGKTAAEAAISFSCSYCEAPSDTDLLLLRSSHRRRHVVHLPGGGGRTAKSHGWAALPWKGNRGGDERGEMWGPHAPVAPPPLSPLPSTYGSLPEPSPRANCPGWKAQAPIHPAIHSPGRRSCSSFVEMAKNDSSMVKNNSSGETTKNDDDNGAADIHRIDILADECPPELDPAQWRYYNYTFGLPNMSLTGMILVTLLFIPLAVILLHHLPPSPAPAPSSSPPAATTPEPSPSPAPEWIDTRLQQSVYIVWTVSLLVVSYLSFWTYTLSRTMGATAIFLRVTYAAAGLLGPSRGSAGLMGYALAEYRMDDGGELAAGEAAARAPAASRSEAGEGTTFFDGVFLTVLGTLYLGSGVVWIVFFTPDDDDNGQVSVVYVLSDPLVSSDFMGRLVWYYVAVLVLMGRWFWAYYLGLKMMAMAAFFGYILAVNPKPPFAPALCRRSSSSGEMAKNDGSSGEMADECPSELDPAQWRYYNYTFGLPNMSLTGVILVTLLFIPLAVVLLCRLPHAPSPAPSSAPPPVPKWIGTRLNQSVYIVWTVSLLISSYLSFWTYTLSRTMAATSIFLRVSYAAALAYAAAGFFGPVTGMAFAHLTTAWAAGLLGYALAEYRMDDGGELAADEAAARTPASRSKGEELTLFHSTFMIVLMTLGLASGVVWIVFFPSDDDVGQFLVVYGLSNMIWWILYMWAVLIVRFSLHEALVSTDFMLRLLCYYLAFFVASMLCVLLGPWFFAYYFGLEMMAMAAFFGYILAVNARRKDIMAR >Dexi9A01G0019520.1:cds pep primary_assembly:Fonio_CM05836:9A:14428402:14433149:-1 gene:Dexi9A01G0019520 transcript:Dexi9A01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGREATVAVAAELEDTAVKTAAAAAPVLSPLSETLWRDRASAGALLGDVSARLAWRDLNVTVALGTGDTQAVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLSGTILLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPREEKQALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASVHQPSSEVFTLFDCLYLLSGGKTVYFGKASEACEFFAQAGFPCPAMRNPSDHFLRCINSDFDKVKATLKGSMKTRFERSDDPLEKITTSEAMRRLISYYQHSQYYINAQQKVDEMARLKGTVLDSGGSQASFAMQAFTLTKRSFTNMSRDFGYYWLRLIIYIVVTLCIGTIYLNVGTGYSSILARGACASFIFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTLSAMPFLILITFVSGTLCYFMVRLHPGFMHYLFFVLALYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFNNQDDELPKIPGEYILENVFQIDVNRSKWLDLAVLFSMIVIYRLLFFIMIKISEDVTPWVRGYIARRRVQKRRQRKVELASRSPSLRGYVVDVASLPDDQP >DexiUA01G0025940.1:cds pep primary_assembly:Fonio_CM05836:UA:54700137:54701142:-1 gene:DexiUA01G0025940 transcript:DexiUA01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLTISPASLLSAAADDPPSTTAFRHATFIAAAFSLTFLPSSPPPIISLTARSSSAASTKFPFFLSTSMLTATPMAPTIANALRCCSAYIGHGAMGTPHHKLSITEFHPQCVTNPPTAACAKISFCGAVVGHTIPLPPPPSSLSSPVTASRNPSGRSSPPARSASVGLLVPGAGGPRSTQRNRWPLRSRPWATSCACAAVRNPALPKQRNTTDAATARMDAPAMSAGERDHRADGVETRRSVAMRAPAGGNGGEDAGLELGGGVDDDAIGVGEPAAMVRVPRALRLRLLHHRRQEGERRDGGKPGDVHRRAAVHVHELAGDLVAERR >DexiUA01G0025940.2:cds pep primary_assembly:Fonio_CM05836:UA:54700169:54702324:-1 gene:DexiUA01G0025940 transcript:DexiUA01G0025940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGEVTCVDFWANGFGMRARIALRELGVAFRYVEEDLRSGDRSELVRRMNPVHRSIPILIHGGRPVCGSVNILEYVDETWGNDGGPRLLPRDPLQRAHARFWADFVDQKVFSTQTRFLKSKGAEKEAAKEELLDQLRRLEEVLGDKTFLAGDEFGFLDAVLIPFSSMFHGYEQHGGFSLETECPSLMRWVRRCKERDSVKSVLPDEDEMYELHKKWYGISE >Dexi4B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:4B:20227400:20227778:1 gene:Dexi4B01G0017800 transcript:Dexi4B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQAMTPSAKNAPVVFLLLVVLPIIMAIGGRESAYIGDYSCGHLSGNYKGLCIEWIHDNDCKRVCIDENNNNVGG >Dexi1A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:1A:15259428:15269770:-1 gene:Dexi1A01G0013090 transcript:Dexi1A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMLGLRGSASKDRGRGGDASPSSSSAAAAAAGSSGTPRSPWSASSPRSPFAAEAGGEGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDSEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRSLFPDRECFTLVRPLNNENQLQRLDQIPVSYSSIFIMELEKLRPEFQAGLDELTRFIFERTRPKQVAGTIMTGPVLAGVTQSFLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEIYMSSFGRSRLAEEDALRDAHEAALRRALDAYNTAAVGTGTSRAHYERVLNNFCRKAFQDYKRNAFLEADKQCSNAIQDMEKKIRVACAAPGVKVSAVLQVFVLETSLVEYEASCTGPAKWRMLAAFLKQCLEGPILGLCLKLINEAESEKTSFALRCRSNEDQLELLKKQLETNEAHKSEYLKRYETAISEKQRTSADLSGHLANLRTKCSTLEERCVSISKELDHVRHECTDWRAKYEQSSSQLKAEQDRYVAQLASLESRYSSAEGKLGAAREQATSAQEEAAEWKKKYESAALQAKTALERLASVQEQINKIAQERESAIGVEFATHLEEKEEEIRKLVAKIRQAESEESVLTERLQIAESKAQGHNKETAVLKDEIKELTSKLEFLRDRSVSYEKQARMLEQEKKHLEEKFVSECKKYDEAEQRYKSAERDAKKATELADVARTEAIASQKEKDEAQRLSMEKVAVIERIQRQVDRLEQEKVNLLGELQRMRNSESDAWSKVTLLESRVAEREKEMDDLLNRSNEQRSSTVHVLESLLATERAAGAEANKRAEALSLQLQSTQSKLDILHQELTSIRLVETALDSKLRTTTRGKRLRDNEVGVDSVQDMDIDLPERSRKRTKSNISPLKAVHTEDGGSVHIGDDSNTVSTDMKDGNPDGYKKFTIAKLKEELTKYGFGAQLLELKNPNKKDILALYKKHVLCE >Dexi5B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:5B:9289293:9290148:-1 gene:Dexi5B01G0013080 transcript:Dexi5B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHNHEADDLPPSPPLNAGDEALKAEETKKLVNLKYEDDTPVDLKGAGRSVIEKLQQTYATEMANKDFAYDGEKSLFTIGALPLVKNEFTVVVEDASTGKTPANGSPGNDSPPGGDRKRIRRPYNTKTYTVELSFAAKIPMSAIAHALRGQESEHTQESIRVIHIILRQHLAKQYVLFHTIQKA >Dexi1A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:1A:23017637:23019880:-1 gene:Dexi1A01G0016030 transcript:Dexi1A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAAPPAAPPVERARLHVAAPPSRARPRGPHCAARGTGWGGAGCGRRQLVLRRCSPAGESRVAGDGGPSSFCIIEGPETIEDFVQMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRTAESKVASIEENEMPEIPSTIPFMPHASEKTMKQLYMTSFSVISGIIIFGGLIAPVLELKLGLGGTSYEDFIRTLHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGNNLWSTNGRCQNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Dexi3A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:3A:10805572:10807559:-1 gene:Dexi3A01G0014720 transcript:Dexi3A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVRGSKVAKAKAEISSATIADKGRTPSRKTRRRGKKTSLTMVAVVAAAATPSVLSMQRLFDTSKEVFAHSLPGFVPSPDAVARLSGILNDLKPDDVGIDSNVKYFKHVGPGGPPPVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFSKILFGSMHLKSYDWAKSSPDSNDTALESSDGARLAKVNTDAIFDASSETVVLYPENGGNLHCFTALTPCAVLDVLGPPYNSDDGRDCTYYDESDYTSSSGGDEKYSWLKEVRTTFKMKGIKMPQEFVI >Dexi3A01G0026290.1:cds pep primary_assembly:Fonio_CM05836:3A:22379792:22380124:1 gene:Dexi3A01G0026290 transcript:Dexi3A01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLQSKPQPQVGHSRKFSIPKSAEAMPTENSRLSPWRETSMMLSQKKRTLTETPWRSEPWMENSQTDLPVPDAEASRVAVKAPVSLLSV >Dexi9B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:9B:10411119:10414141:-1 gene:Dexi9B01G0015300 transcript:Dexi9B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGILGFCSDYVKHSTANILVSISSALIKFAVFSSYCARYLSKVIWKVQMVKTFLVICSLFIPISGRYAIQYSLTAFRRSTRFPENLHYDHMVLVDYLISKDVGVHCAQYLLSLVRFEELCEQG >Dexi5A01G0028310.1:cds pep primary_assembly:Fonio_CM05836:5A:31632148:31632645:1 gene:Dexi5A01G0028310 transcript:Dexi5A01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGGHHMGTMAPAPGGAHVGGGGGGMGMRRKRYIHMTFFWGSKSEILFTGWPGAMYAAALVAVFAVAFLLEFLGSRRLDSLLPAAAAAAAAAGRGDRRRVAAGASRAAVRVGGSYLLMLALMSFNGGVLLVAVAGHAAGFLAFKAGFFGDGRAKVEADSAACC >Dexi4B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:4B:3635346:3639616:-1 gene:Dexi4B01G0005130 transcript:Dexi4B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSELATEVLIPVAGIIGIAFAIVQWVLVSRVKVAPGAASSSGSKNGYGDSLIEEEEGLNDHNVVVKCAEIQLAISEGEEQGLADLVPPLGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKGKDCKPALFTALFSTVSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFSLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVEKNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVSNWGLFFCVSIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRNQFKNIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASDHAKELGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKYL >Dexi1A01G0031680.1:cds pep primary_assembly:Fonio_CM05836:1A:36550341:36551830:-1 gene:Dexi1A01G0031680 transcript:Dexi1A01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVGIRNLAAHSCASRKSLDTLAATHDMSGRARGSLDTHRSASVVSASAASTGNESLSLRSTHLRIPSPPPSAAAASAVSISDVLSYSPVPRFTISYRTGSCPDTSSSSTTPSANTSERRDGGPPATSSGAMYPRVPSIRLPRLPLRRGPPADPEEDSSPPSSFCSTGSSPARSAAPKWAILARGRGPSPQTRTEDAERRLWMVDVVERVADVGGELEARVPGGERGEGGVLGVAEERRERRGVGDELVGEEERGAVGRRGGAQEARDGGVVAVAEDGEAGGDLARVAAERAAEDGRLVATEGAAEGVGRGGGRGGDEAVRGGEVGCGGGEVVEGEEERELGEGVAERGEGGRGGGVAGGGGGGEGVGGGELVEVARGERWFRVVEEAAAAMEDEDAVVATALLDLHIRFGLGLGPTMAGESGRGSEKAEEDKRLAG >Dexi2A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:2A:417855:419837:1 gene:Dexi2A01G0000790 transcript:Dexi2A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLLCILLTTIICINDAALLVSGQNSFTYNGFKDTNLLFGGASFVTQEGILSLTNGKPLVSGRGFHPERLRSMIEVNQDGTQAMASFWATFVFIVSPYFSGSPGDEMAFMISSTMDLAGALPGSETFFAIVLGVDLNYPVCISSSSTGYRYGNNPSTNNNESLKLSTGGKLVQLWAYYNSTSMELNVTVALLKLALLQPLEPLLSYTVDLSSLLLGESLGEPYAGLSASTGNNTGTQHHVLAWSFSLDGPAHPLNYTLMPSSPQLMQQAGRRVSIAEWLPAATVSAIAVLLAAAWLVLRWRRKRRAAAWQQEQGWEVELGLGPRRFSHKELRKATNGFSSRQLLGQGGFGRVYGGTLPVAASGTGTGSEAQHVAVKRISSESKHGQAQFMAEVVILGRLRHRNLVRLVGYCRHKDDMLLVYEHMAKGSLDRYLHDRTRHRELTWPRRFHVIKGVASGLLYLHEDWEQVIVHRDIKASNVLLDGEMNGRLGDFGLARLHEHGADAHTTHLAGTRGYIAPELLRFGKATKATDVFAFGAFILEVACGRRPMGINARWELLELTNWVPQVWRSRCIIDAMDPRLQDYAAHEAELVLKLGLLCSHPLPTARPGMRLVMQYLDGDLPVPDFSPDYLAITEDGQVFDTSPSGATTSTGLSAGR >Dexi1B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:1B:2397913:2398430:1 gene:Dexi1B01G0002880 transcript:Dexi1B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQRGRVSPAVLACCCPCQDGINVCERLVSASLSCSCLHTGPSCPVLSCSNSEFVPENWRADKRLMPLAAQTGPIDAETTAYQLMWTAASVRLLYCTPPSTLKGCRLSTIKKLMISDDDQNLLQLQCVLASCGSEQPAVIASRT >Dexi9B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:9B:13718779:13721906:1 gene:Dexi9B01G0019130 transcript:Dexi9B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQWLEKGMPPSNLQCFLDCTTPTVETHILPKTNGRLSNDTWNHAEMDSVEYFNLVDLWEQYYEWSAYGAGAAVQLPGGEKVVQYYVPYLSGMQLYTNKVLTASRSFGEDNGMDLWSDDDDNEKMSRSWSSTSDESLFNCDVFGANRKRPGHLYFEFFEVGSPYVRVPLVDKIYELSQGFPGLTSLKSSDLSPVSWMSVAWYPIYHIPYQRKVKDLSACFLTYHTISSSFQDHALETMTNGGCHPVVNGKQNGHMDRKSNTVSLSPFGLAAHKIQGSLWTNPMTGDRKTMDSLFGAADSWLKQLGVQHHDFNFFINHPM >Dexi3A01G0004290.1:cds pep primary_assembly:Fonio_CM05836:3A:2821388:2823336:1 gene:Dexi3A01G0004290 transcript:Dexi3A01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLCLPACAAVMKKKARLPSSSPSSSSTGTNAFLPVRSSSTTTAKLYTSLLLVSWLVSRYSGSRYPKVPCTSVATAAASPAGASRDAPKSETFAARPPSRRMLADLTSRWRIGGDADTTPWPPRPRTRASENPSVALAISSSEKIVKVTPELASEPSCCCRRRPPLCAAKKASSKRNTPELAATTALSAPGPLPDSRQTLWALRHAYAAVSCRAAAQVGASLMAQSSTTGTAKSPVRLKSSAAAGTSPPAEAAEVGSVRAEPERDVALAAAVVAAARSRQRALGGAAHGHAPRDVVPIAVVEVGEAWAADGHELALHRREEERASVERHGLLLLLEVGVAGVVVVVAGAAGVEEHGGLGRGQRDGGDLVAVAVHGEARRATPAPKPEATRGGAVVAAGADAERVRDGHVAALSPTSRRLRRRRRQH >Dexi6B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:6B:14644023:14644268:-1 gene:Dexi6B01G0009710 transcript:Dexi6B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSILWRGGEEERRGEAVRCGGGDLTPRRREEGVAAAAEGGGCCGGGDLTARRREEGVAAAADFRGEARWTGEDGKSTP >Dexi5A01G0026640.1:cds pep primary_assembly:Fonio_CM05836:5A:30219971:30227354:1 gene:Dexi5A01G0026640 transcript:Dexi5A01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYAFLTIATFGYNLFLANRRAEVIDWLGGLLPEFDLPLDSSDEELREYLIDGAALCYIAEKLMPGIQEGMWGGNASDQRSNVKKFLSVVAEMGLPGFSVKDLEEGSMSSIVECLLALKDNVTTGQNISNNSKTPLRRRLELRESDGPIVSVMTPRRSLKKERQKVHWDLKSPQRNILHSGQKVHDAFQLKRGSYTDLPAAKISEMMHSSSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVYLLRNVVQEIEHRIAIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEITVDRLELIEVEKSKIDEKRKLGEQDMVRLMQEKENAENTIASLQQEIQILRHDLKGLVEAADNYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKTSTVDYIGENGEILITNPFKQGKDICRMFKFNKVFTPRASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMPNGLVVPDASLHPVKSTSDVLELMDIGQTNRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVERSEAIGDRLKEAQYINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGNVSYLKDTISRKDMEIEQLLKDKSKSPNSSTDRNDISQQIRRISGAAGSGEADYEDNVSDDGCSVAGTEYSVGGASEAAGEHMQKTPSRIARLFLTKNGQPTNSKPKSRESTLKPPGRTKSTGSQVTGGGPSVKPPMRR >Dexi6A01G0013600.1:cds pep primary_assembly:Fonio_CM05836:6A:20835603:20835926:-1 gene:Dexi6A01G0013600 transcript:Dexi6A01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARQRTLGPAATRAQSSTVATLAASSQAAARNAHREVSPATLTRSRPVTAASTAWETRRCVRAREAAMLRDNAGGGGGERTFASAAAAAATMAAR >Dexi9B01G0032280.1:cds pep primary_assembly:Fonio_CM05836:9B:34535174:34535809:-1 gene:Dexi9B01G0032280 transcript:Dexi9B01G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDMVTVPLLTSHKEAKPRRRRRAFDGQLLLTEARGLVAVATRRRRKQLVLLLPPASSPCTLPPDTWAWYRYRPTKTPVISVWALNCASPARLSLLTMLADHSLGRKKMLVDRGPGVHTVGGRWRATVNLAAFSCFTLG >Dexi2A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:2A:934478:936220:-1 gene:Dexi2A01G0001420 transcript:Dexi2A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDAPSDSGGSASPAQSVVVGGAAGGGAHRRNRSRADPFLIVCRCFGVVTAATALLCVAVNVLSAIHSFRGGKDIFGGIFRCYAMVFAVFVGVLETEWELIIKFWKIFEYLPARGMLQIFVAVMTKAYPSIQRNDLILLQEIASYMLLACGAVYIISGILCLGVLKRRKQQKATSREQATKDLEYDLVLS >Dexi3B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:3B:5049281:5054033:1 gene:Dexi3B01G0007160 transcript:Dexi3B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGSNGGEAWRGAVSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDSLSGRLARNVVLTGKVLLNGKKRRLDYGVVAYVTQENVLLGTLTVRETVTYSALLRLPSSMSKSEVRRVVDDTLNEMGLWECADRHIGTWHLRGISGGEKKRLSIALEILTHPRLLFLDEPTSGLDSAAAFSVVQTLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGETVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEAELDPLLKYSTSEIRERLVEKYRISDYAMMVRNTIHEITKIEGVMEEVIRGSEASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAICLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGYYGVAVYIMSNFLSSMPFLLTVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGGYKNDLIGLEFEPMIPGQPKLKGEYIITEMMGLSLKHSKWLDLGMIFVLLFAYRLTFFFVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHNQPHPMAIQEGLNSPMPY >Dexi9A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:9A:8029779:8030197:1 gene:Dexi9A01G0012570 transcript:Dexi9A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALARASRGIVATVRPSGMDAGRGGQLQQARGIVVQVRDGNLDRALSIMERKMRSSGIERLIRARTHHHVKDSEKRVLARKALMQRVKSQELGKKLREILIKKISRCQ >Dexi5B01G0012540.1:cds pep primary_assembly:Fonio_CM05836:5B:8925462:8929272:1 gene:Dexi5B01G0012540 transcript:Dexi5B01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPPKTSKKHHARLNNPFPRAVPSAAIRAGDAAPRLSFAPTSKLAAHAHDFPVGTRFRLHWDPSRGGEVSLSRVVSSGAGDESRRAVMWGSVPGVAFLAAASASTEADECRGSFSLRDGRRGAGLLVPHRQHVDKIKAFYRCDAEAELLRAAGFQASDATRFPVLVITGLVSAKPPSSSASCSCCGLRRARSSAAARPALTARYWIILEEKTDTQVGFSVKIGDYQWTCPHADISKSSSPATATVNPRLHRPSLRLRLSTRVVQRPASKKTKLMMATSREESSPLLPAMERPEEFNRVFLTYASSRDERFYGFGEQFSRVEFKGKRVPILVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVQGRILDGDSPTQLLTSYTESTGRPPVLPRWITSGAVVGMQGGTDTVRRVWNQLQEYDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDAHYNGWKDLVSDLRRSGIRTMTYCNPCLVPMDQKPNTKRHLFEEAKKLGILVRDEAGEPYMMPNTAFDVAMLDFTNPDAHAWFKNILRGMVDDGVSGWMADFGEGLPLDARLHSGEHPIAAHNRYPELWARVNREFADEWRKSTTGNLSPENKEEDDDGLVFFVRSGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLTGGLSGFPLNHSDAGGYCTVDLPFLRYRRGEELLLRWMEVNAFTVVLRTHEGNKPASNCQFYSTNRTMAHFARCAKIYKAWEFYRDELVREAAAMGLPVARHMFLHYPEDERVQGMTWQEFLVGTEMLVVPVLDKGRSKVTAYFPAGAGAWRHVWSGEEYVVGDEEGGLEAEVEARVGYPAVFVRSGSLVGERFVSNLRHLKVL >Dexi9A01G0047030.1:cds pep primary_assembly:Fonio_CM05836:9A:50259742:50260928:-1 gene:Dexi9A01G0047030 transcript:Dexi9A01G0047030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVTGGAFGGNRGVRPVPPEKGVFPLDHLHECDVEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRNMDEVDTAPDKNSKLESPPNEPKENK >Dexi4B01G0023650.1:cds pep primary_assembly:Fonio_CM05836:4B:24947921:24949282:1 gene:Dexi4B01G0023650 transcript:Dexi4B01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNPILTALSAATLGFLIGLSFPVQIAPQLPCYVLSWSGGGGDAASNVLGRLSWVPFVVSNNNNNSSGTAAAAAAAAEVMNQNKTTITASSDQVVTSSTASVAAAAPKLPPTGAERLPPNIVVSESDLHLRRLWGEPREDTPVRKYLLTLTVGYTEKANVNATVSKFSDDFDVMLFHYDGRTTEWDEEFPWSQSAIHVSARKQAKWWYAKRFLHPRVVAPYDYVFLWDEDLDVTFFDADEYVRLVTKHGLAISQPALDFARGKKSYEITARRSSGVEVHLNTTGGPGNCSDVHQRPCSGFVEVMAPVFSREAWACAWHMVQNDLVHGWGLDVNFWRCVDEPERQIGVVDAQYVAHRAVPSLGRQGNPETGGGGKVRARAWREYSHFRARMTNADRAAQREAAAAAAATSPPRSK >Dexi9A01G0042150.1:cds pep primary_assembly:Fonio_CM05836:9A:45704832:45708369:-1 gene:Dexi9A01G0042150 transcript:Dexi9A01G0042150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTAAASTTLLLPILLLFLIATATRCAAATDSPSSPDAAALLNLSTALADPSGYLATHWTPDTALCSWPRVSCDAAADRRVVSLDLSGLNLSGPIPAAALSSLPRLQTLNLSNNILNSTFPDEIIATLKSLRVLDIYNNNLTGPLPAALPNLTDLVHVHLGGNFFYGSIPRSYGQWTRIRYLALSGNELTGEIPPELGNLTTLRELYLGYFNNFTGGIPPELGRLKALVRLDMANCGISGEIPPEVSNLTSLDTLFLQINALSGRLPTEIGAMGALKSLDLSNNLFVGTIPASFASLKNLTLLNLFRNRLAGEIPEFIGDLPSLEVLQLWENNFTGGIPANLGVAATRLKIVDVSTNRLTGVLPSELCAGEQLETFIALGNSLFGGIPDGLAGCPSLTRIRLGENYLNGTIPAKLFTLPNLTQIELHDNLLSGDLSLEAGKVSSSIGELSLFNNRLSGQVPTGIGGLVGLQKLLLSGNMLSGELPPEIGKLQQLSKADLSDNLISGEVPPAIGRCRLLTFLDISGNKLSGRIPPELASLRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNNLSGEVPATGQFAYFNATSFAGNAELCGAFLPPCHTHGVATTSAFGSLSSTSKLLLVLGLLALSIIFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAMGRAGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPSILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVHWVRMVTGSSKEGVMKIADPRLSTVPLYELTHVFYVAMLCVAEQSVERPTMREVVQILANMPGSTSTSIDVPLVVEAKEDSSPEKPQQQDEPHDSPPQQDLLSI >Dexi9B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:9B:3076645:3078084:1 gene:Dexi9B01G0005210 transcript:Dexi9B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARSSPRFMAMQERLVVHLPGDAPRQEWVSVECATKEAYCCGKRGDIMLEGLTLYVRRLGSSDLNSSLAIGLSHLALESIQGELGVPIQFHGISGCLPEIDGSIRIVDQEVLVLLVIFRFRNHSKLVYYLVYDAKDASMYMIPYIPEDLKAIFTVTPVPARPAGGHGHELVLMARKFWPQRAERGRLCLCTPSTRANPDSTGPWAIKEHRFPDLLQAFSADVMFSFEDKVFWADLSQGVAYSNLRKGDSATFIKLPRGYLIDFSVVPMYAETEPASRSRTMGCIQGSVKFVCINRSVHHHPGYLMVRVWTLDLDHKQWKQEKGFPCLWKDLWKKVCDINSDMRYVVPPQPEYPILTPDGALSVVLPKTLQRRGGMEADRICSFDIVSKRCMCLGEVSNYHSIEPIILPSNFFNRYPAPLEQKLATPKRQLPSTVRDLKLPTKETKLPTPKRKLNSIVRQEPKRRPAFVQVVAILRRL >Dexi3B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:3B:3728689:3729234:1 gene:Dexi3B01G0005510 transcript:Dexi3B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRRTQRRAPPHRLAPEPEKAEPHQIDPGSPPAPNTAALPRQDELQPRRSRGRRMATGALTGNQAERRCGAAAVPAVEEMGKRSFSTPRHRRRLQQLSSSCSRTNRRLGARPAAAGGRRQPRPQPARAAAAPPPRFTHGRRRLRRAREIERGQRRGSCEVVGSRAVDAGKLAAPGVGGR >Dexi9B01G0018010.1:cds pep primary_assembly:Fonio_CM05836:9B:12803368:12806187:-1 gene:Dexi9B01G0018010 transcript:Dexi9B01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEYPASPKAQQLQESKKQRLTYILVVSALCIAFYVLGAWQNTTLPKPISNSAAITRVGCDPSATTAQSSSSASVPSFGPGSGEALDFDGHHQLIINDTDGDAGLQQFPACPLNFSEYTPCEDRTRGRRFDRAMLVYRERHCPGKDEQIRCLIPAPPGYMTPFKWPKSRDYAYFNNIPHKELSIEKAVQNWIQVEGDKFRFPGGGTMFPRGADAYIDDINKLISLSDGRIRTAVDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGKHRLPYPSRAFDMAHCSRCLIPWYAHDGLYLAEVDRILRPGGYWILSGPPINWKTHHNGWERTKEDLKQEQDKIEDVARSLCWNKVVEKRDLSIWQKPKNHLECANIKKTYKTPHICKSDNPDAAWYRQMEACVTPLPEVSNQGEVAGGAVEKWPERAFTVPPRIRRGMIPGLDAKKFDEDKKLWEKRVAYYKRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLMAVKTYWTAKASEDQN >Dexi1A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:1A:1748424:1750259:1 gene:Dexi1A01G0002610 transcript:Dexi1A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIPPNRPRIRDFVYRRTRDVFNVAVAVHKNIQQRDIEVGRNLGNAILRWLDRMKPSAEIRPCLPEPPNGSSEQYKHLSSTSRSAGTQKTTSKTSPHDSNGKMLFSRLNIRPKSFPVLPTMTQPNRISASSQCRRMSYSPFPSVTAKRKSLMEGVFRKDIAQLMV >Dexi5B01G0036630.1:cds pep primary_assembly:Fonio_CM05836:5B:36305556:36306927:1 gene:Dexi5B01G0036630 transcript:Dexi5B01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRAGRARAHSHPLTWTRPPGRPLGQVKGTRAPLASARPASRPAPLPRGQRHEITGGERRMHAQAAETRAGAAPHMRAPHRPKREAIRQTQKVRVLTTRWRRRAPLKLGTMAKAQANEAACTNSDDDDQDGGTDGREREEEEPRRSTATQAPRSRVASVRPHPVVHMGSEPERGGGRQKRTTACDD >Dexi1B01G0025970.1:cds pep primary_assembly:Fonio_CM05836:1B:30935638:30942713:-1 gene:Dexi1B01G0025970 transcript:Dexi1B01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSVVNPARSTALRVPMETSEAAAAPQQKDSVKVAVNVRPLITVELQDGCTDCVTVTPGEPQVQIGPHVFTFDHVYGNSGPPSSLIFEQCVHPLIDALFSGYNATVLAYGQTGSGKTYTMGTDYSGEGNCGGIIPQVMETIFRKVEASKDGSEFLIRVSFIEIFKEEVFDLLDSNHASARLDSVPVAKSSAPARVPIQIRETAAGGITLAGVTEAEVKSKEEMASYLIRGSSSRATASTNMNRQSSRSHAIFTICVEQKKTSGTSDKSASSDYDILSSKFHLVDLAGSERAKRTGADGHRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETINTLKYANRARNIQNKAVVNRDPATAEMQKLRSQLEQLQTELLFSRSGGASLEELQLLQKKVSLLELKISELNHELKERELSCEQLAERARTAQLERDQLMLKIESARNEKSWDAFENAGNSQDIDLLKSYISKIQQLESELMRQNFSSACRHDQLVMERDILLNDLGAECEVGTPDASSEVDEEEKEREHSSMQDQLDKELQELDKRLQQKEAEMKQFAKSDTSVLKQHYEKKLNELEQEKKALQKEIENLRHALTNISSSTDESAQKLKENYLQKLNALESQVSELKKKQDAQQQLLRQKQRSDEAAKRLQEDIQRIKSQKVQLQHKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAAATKRLKDLLEAKKSSRETYGGANGSGMQAVMRAIDDELEVTVRAHELRSYYERQVQERAAISKEIAKLKEESKHRMSDCPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERLFSGKGRWYNVRSLPEAKNIMNYLFQLASSARCQVQDKEVSCNEKGHFNTELKEKVVVLNSRVRQLEMQLKDLVHQNMQLFTALNNAKRSASSSRNETCDSEDGQIYDLRKNVRSSQYFGTSKNFNCLDDMDTSDSDYSEELEYMSDESDVDWVRSTRKFRKVSSHHTSSNLGCQNTQENEEPEKPTNVKCILPKDVPSDGCSCSKASSCKTNKCECRGSGGQCGPGCGCKESKCSNRDSSDNTEIVNQGIMLLENAFAEKDVHDAKPRKPLTDIGNNVVNQTAETKKKPRKNWRKSTIQLVPAPSAPSLPETTDAAPRERADIPLKLPRAMSSTPESNPPPLTDRNAAKPDEPVGTGTKEGTAATRPPSQLRKNATTEKENQLR >Dexi6A01G0011760.1:cds pep primary_assembly:Fonio_CM05836:6A:17664054:17665752:-1 gene:Dexi6A01G0011760 transcript:Dexi6A01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPATLAGAAARRSSVDTPFPARLRRPVLAPICADSPPIPLSASASSAAPSRPAKPPVCTADELHYAPVDGAGWRLALWRYRPPRTAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMASQGFDTWIVEVRGAGLSIREYENSAASASLTFEDASGIQPLDKQSTFEAASLQSSSDYGSDCDDLGIVALDEPPLLTELSNFFDRISKLMEEAVVNGNFHEIAEKVSVLSEMVESSTIISPVREESLRLLKNFQDQLDSWERFVSTQMDLTSEYNWDFDHYLEEDIPAAVGGHALF >Dexi7A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:7A:21129752:21131218:-1 gene:Dexi7A01G0010340 transcript:Dexi7A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFTYHKPQPRFAVVCRKNKDGRDREREKEKEHKYPFKVVEITPPPQCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >Dexi5A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:5A:12341275:12344389:1 gene:Dexi5A01G0015010 transcript:Dexi5A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMTPELMYGQNVFVPATANPYQYGYAEVASPMEWYNHPSSLGYDGQDVIYPTDGMQCVYYAAPDNGSMHSAYSSYPIDPSFVPDGSFMPQEYVADPANSTCQIASTPYYVPAVLPYGQESLPGSATAPLISNVAFLPGMPSYAATSAHAAFPLVAPVTTKSDIAVNQPVQSTIVSSKQFQNHAKSQKIQLHNPVAQKQELSDRSMVPIKLPHASQASAHLIERPVSATKPSPMEKLSGNNCFGYASSDLQKWAAAEKFQPSSKSSAHLNGPGQKVHLSNEHRLGDSEKPSNLRNSAIVVKSYTSRLPVGNPDGTILIRTDEYNKDDLRVDYTYAKFFVIKSIGEADVHKSIKYGVWSSSSNGNSKLDSAFRDADRISRRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWSQDKWTGCFPIPYIPGMSVLKIFKDIKVNECLFDDFMRYEVEEAQYKPHRRCKLSYNAPDFVPVSQRTKDVSANQQTKSSSVLIDRTSEIQNVSEKPHDPKAVKPQEPCVELSEKKVAESGKENGQETQCSGSQSQEDAPKTATNQPPTSSLKTGADGKQQYWKKVENPRQHTDSAAQGTSKPPEKRLNGIRSSTSTVSESVQDNITAKLGSLKISSENVEAERKSKSSTVGIVTIGSMPVRVDSSMV >Dexi5A01G0036900.1:cds pep primary_assembly:Fonio_CM05836:5A:38299970:38303617:-1 gene:Dexi5A01G0036900 transcript:Dexi5A01G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMALRLNDVSLCHSPPLATRHRRGRAGSVRVLAVASTPSTVSTKVENKKPFAPPKEVHVQVTHSLPPQKIEIFESLDDWARDNILTHLKPVEKCWQPQDFLPDPASEGFHNEIKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVVALADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVDRWKVADLAGLSGEGNKAQDYLCTLASRIRRLDERAQSRAKKAGTMPFSWVYGRDVQL >Dexi6A01G0008240.1:cds pep primary_assembly:Fonio_CM05836:6A:8508210:8508751:1 gene:Dexi6A01G0008240 transcript:Dexi6A01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRSTNRDDWIEVRAVDGAGQARVRDCGLQKDIGAGKYIPSATFSVVLPCWIYCGGGQNYAAASLELVSKADKVRVIYEFGLVNLETMQSNVVLGVMKPWVFSSTSSTWGFAKFTKRSELEGLPFLRDNRLVLECNVTVLMGMPVSESRAICGIQVSPSDLVDNLGKLLE >Dexi6A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:6A:259128:263550:-1 gene:Dexi6A01G0000430 transcript:Dexi6A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQEDYKAPCHTEGFELIDPGTLRWDELLVRDTFWPEDAEVILAIPICEGVQDWPAWHFDANALAGNEWLTGGPSLISSQCWLQNSQPNKPKLPRTSHHRLERARGTLQQQQQLQPASRTSHL >Dexi6A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:6A:13094966:13098701:-1 gene:Dexi6A01G0010650 transcript:Dexi6A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNAGTAIYPLHRCKTIHLVRHAQGVHNVEGEKDHSAYMNPEFFDARVTPLGWNQVDCLREHVKKSGLAQKIELVICSPLLRTMQTAVGIFGGESYTNGISSPPLMVENAADSGRPAISSLNCPPFLAVETCRERLIENDEDVLWVPDVRETFESLAERGMKFIDCNILKLMQIKYWSHIITFTTFQIVSSDHWYWLTGARLAQIPLVTTTPERSQPDLTCLAMSLIRSTLRTKLKSELEPS >Dexi2B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:2B:26190989:26193286:-1 gene:Dexi2B01G0016060 transcript:Dexi2B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLAAIGNEQQHPHQEKPGGREESEFVPNPISAYFGGATAAGAPAMDWSFASKPGAAPSLMSFRSAAREDPAFPQFSSFDGAKNPASRILTHQRSFGESPQYAAVHRAQQPQHALTGARVIPVSSPFNQNNPMFRVQSSPSLPNGVASSGPFKQPPFTMNNAVTNSTVGVYGTRDVVKPKTAQLTIFYAGSVNVFDNVSAEKAQELMFLASRVSLPSSGPVARKPEAPIFAPTKVTVPEVSPAKQMLFQKPPHVSSPPSAISKPIPCVLLQAATLPRSASSCNLDSPVPKSSVPLAVAPLSQAPATQPAPMTTTTAATIMPRAVPQARKASLARFLEKRKERVTTAAPYPSAKSPLESSDTFGSGSANDKSSCTDIALSSNREELLCLGQPRNISFSQDSPSTKLQI >Dexi2B01G0035250.1:cds pep primary_assembly:Fonio_CM05836:2B:42356929:42360262:-1 gene:Dexi2B01G0035250 transcript:Dexi2B01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEAGAGEPLSDHQKREIAVWFLSNAPAGEIHYAAAAEAFPEYNKAHLVSLELPDRSGDIIISTYGELDKNNYLDPRTAQVTTVDHIKQVVFHNACYVFPAILYRSVTPTVQLMFKHDEELPSAYIEEFRSALDVELSKYVAEAYPKGVDAHYFEEGNVQLDTNIDRKDSTIMQSPGDCAVSITNIIRHHESEYLSSLEESYMKLSDATFKVGRNGQLEMTVNSYILKYVF >Dexi9B01G0030360.1:cds pep primary_assembly:Fonio_CM05836:9B:32894224:32896452:-1 gene:Dexi9B01G0030360 transcript:Dexi9B01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASGEHRRGASRSLRPRRGVLVLAVLTLLAATATVAGAQLSSEDYYDASCPAALLTIRSAVATAVLIDRRMGASLLRLHFHDCFGCDASVLLDDTASFTGEKGAGPNAGSLRGFDVIDNIKMLLELMCPQTVSCADILAVAARDSVAQLGGPSWTVPLGRRDATTASASLANSDLPGPTSNLNGLLNSFSNKGFTTTDMVALSGAHTVGRAQCRNCRARIYNDTDIDASFAASLRGSCPAQGGDGALEPLDESSPDDFDNTYFGNLVAWRGLLHSDQALFSGGGGGGGATDGLVRAYASSADRWGSDFAAAMVKMASISPLTGTNGEIRVNCRRVN >Dexi9A01G0026570.1:cds pep primary_assembly:Fonio_CM05836:9A:29885979:29890671:1 gene:Dexi9A01G0026570 transcript:Dexi9A01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTKARDMRGAYLKRLDVATLSQSGTEDGTFPNISWALAKGVCRGLFDQLRQRNGPAVTGFRSLPDDAKVEIFMRLRSAANLAMVECTCRDLRRVVAEHDGELWRRMYTCCSQSAPSRKRMWWPSVSWEDLMFIDKAVRRTQRFCRDEFEAVYERVQHHCQWRWFVPVDVRSSDEGVLRWKEKYLLARNRLCEGCLIPSPGVPLLSWTLCDPPKLEGDETGKIFVGQQQKLSRARSAYNEKRNGRRGAGASHRHLLAIDGVTGL >Dexi9B01G0026860.1:cds pep primary_assembly:Fonio_CM05836:9B:29258148:29261346:-1 gene:Dexi9B01G0026860 transcript:Dexi9B01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSVEAAAGAGVGEGKSVCVMDAAGPLGHALVDRLLRRGYTVHAATYGEEEDDAGEEAAALLEHLARTGGDAHAHRLKVFRADPFDYHAIADAVRGCAGVFCMFNTPDDQAHCDEVTVETEVRAAHNVLEACAQTDAMERVVFTSSVTAVVWGSDAKDEERLVADEKCWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLPTAPGLTAAHPYLKGAPDMYDAGVLVTVDVDFLADAHVAAYESPTAYGRYLCFDNAVCRPEDAVKLAQMLSPSAPRSPPSDELKVIPQRIQNKKINTLMLEFASGVYGELD >DexiUA01G0005400.1:cds pep primary_assembly:Fonio_CM05836:UA:9585367:9586260:-1 gene:DexiUA01G0005400 transcript:DexiUA01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALADLASYPNDVSFSDCLGWEGFPSILWSVLQALGYPTPPQYERTIIRDRGVTRSRVRLVVYRHPPCPSSPTWTVEVHGHHMETTCELAALNGISSFVAQNQELVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAENPVAAAALMMRWIRAYHRLQALLGRSQSEMLNIAMDMSARARDIGVERTSLSIEVTTRDAMIADLERQLNDLHIAHNNTQNELAQTREELEEVHGTLEHANAMLAAHDAQHLLDQQGGDVDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi5A01G0037510.1:cds pep primary_assembly:Fonio_CM05836:5A:38845430:38847711:-1 gene:Dexi5A01G0037510 transcript:Dexi5A01G0037510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAAGKLNEIAEGGGAAGDWSYLSSDGMASGSFPLFPFSRDALSAPPSASLLLSMDPTPFFDLGAFPPPSSSSSAGGGGGGSALNAFHDFASHNPFDHAGLFLAAAPPPAPAEHQQDQKGGFLAPPASDPDFIHGGMDWDDDEEIEHSVDASSMAISASMENAAGASTGGGGGAGRGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNEIESAPSSSLAAPTSASFHPSTPTLQTFPGQAKEELCPAAFPSPNGQQAAVEVRVREGQAINIHMFCARRPGILLSTVTALESMGIDIEQAVISCFNGFAMDVFRAEQCGDGPGPGADEIKAVLLHTAGLPPHPNAM >Dexi1B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:1B:3040540:3041079:-1 gene:Dexi1B01G0003850 transcript:Dexi1B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLTTMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISSEMIREMMWQEMLHYHPVTAISMS >Dexi2B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:2B:5122789:5129124:-1 gene:Dexi2B01G0005560 transcript:Dexi2B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATTTKKKSACVVGAGISDVGGQWLYDPRADAAGDPLGVTAPVKVHSSMYASVRLIGPRECMGFSDFQFVPRPGRDARRFPARREMYCYLKDFCAAFRLAGAVRLNTKVVHVAMASSPEARAGDVKWKVRSVRVELDGGEEVDVEEVFDAVVVFNGHYSQPRLPSIKGMEVWRRRQLHSHSYRVPDPFRGEIAPNTQPTTLETMEDESPEESCLHPRLKGCLVGDCDAAEENKPAGGGGDGDSSGQRRVPSICNLAGQLRRRRMREKDGSDGDRSMPMRHGGGHRKWRRLGLPDLFPAGASRRKASDMPQAEPSQRHVTATGGVEASIQPRRHLHPPVDRLCSDGTVAFADDVVVAADTVIYCTGYTYSIPFLDTAGLVTVDVDHVGPLYEHTFPPSLAPSLSFVGVPMGVFAPWFFEAQARWIALVLTGKAALPPETEMMTAVEEGRRAMEIAGAPAKHTHFIPAGVKPREVWEFVYRHSDLPRMEDWKVELFTTSNLKNAMEDREAYRDRDDDSESVREGGRRWRRVAGAQYEAALAAAGRGGDHAHAHHDDAGVAAVRNMQPLLPAPCQFSTLNGATPQKI >Dexi1B01G0023910.1:cds pep primary_assembly:Fonio_CM05836:1B:29370264:29372594:1 gene:Dexi1B01G0023910 transcript:Dexi1B01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRGAGARPILLLLLHCVAAFHRAAAGTLPDPAPLDPALVFPSATTPVQPAANAIAGGGTIPAFPEQSDSLAGSSSTCPLAPAPALLPSVRSSCAADDGKLPARLRCCPALAAWLFAAYAPTALSPQRRPPATRSPAVDMPLPPDDSEACAGAADRALRAGGAALPRPPGGNGTCDVAFCYCGVRLRQLACGPSPVEGGGMWAPEDEVARRLEKDCAQQGTPGCSKCLRALTTIKPSNNPSGNDQAGKGKKQDAGRPSESDRDCELMGLMWLLQRNATRYGAAATAVIQALMAVDEASAAGVAAAAADAEPAAACSLPVDDMPLPAEYAQHNRASGGVSGVGCFQLVLLLAVLSFRVVSSL >Dexi7B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:7B:16149912:16150430:-1 gene:Dexi7B01G0008280 transcript:Dexi7B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAASVSALLLLLGLAASTWAAEPYYPPTVPELMEKFGLPPGLLPETARRYLLQSDGTFQLFLDDGCVVEAGGYRIGYDIKVSGKVVPGAVTGLGGVRVRVLFAWVPITAVEVAGGEVTVHVGPLTKSFPVVGFKSSPRCIIAGAAAAVDASLPLVESAPVDAALPLVE >Dexi3B01G0032930.1:cds pep primary_assembly:Fonio_CM05836:3B:35349964:35351026:1 gene:Dexi3B01G0032930 transcript:Dexi3B01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRYLWLVATGLIEERPPEGRSSAFVLGAGAFPRAIECAFLNFVTVPSMFKLGAMPMVRRLRFCIRDWDFANGGDLGLGLDDLAMAHLPALEHVVAEIYTKRACSREVVVRLEEVLKQAEDQHPNNLLSLHY >Dexi7A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:7A:17885685:17888315:1 gene:Dexi7A01G0006500 transcript:Dexi7A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQGTLVFGLHLWELVGIGVGIAFVLLLVLLSLVCLLASRRRRRRRAAPATPVLHLPVVVPNAQPKHPAKPPKDIQEVPSRGAGAPAAPSKVPLAQVLQAPPPDSIQIETGKEHRITFPEQPPPPHHQRSGGPSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEDATCMFADEKVIGEGGYGIVYHGVLEGGVQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDIRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALNVAVARLQPKGDQSKHLQANQAIAVGTTRQKTHRKEENLSNGELERPSSEENR >Dexi6A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:6A:1783936:1785471:1 gene:Dexi6A01G0001810 transcript:Dexi6A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAVLASSEGKGSRVDIPLPDVELLERWGHIGDSRNATTAMSTTSTGLTISVTLCASRSPGLSYLSVDCPGLDLDPSDMTLAPKVVTTDGDLVLIRVPNHPHARCDSSISDYFVYRVHPERAKLDRLPFPPTRHLRDNNFAILSCGEGGYVVAGLQPYFEVIFTLHRYRSAPNGALGSWTSLELTVETPLREKVCPIPKSSLRVIFHDTTKVITLGGAKGTIGWVDLWRGIVLCDVLDKSPKLYDMPLPIPSKGNWSTYLTGCPRYSRDIVVNQSRDTIKYVEMEFNMDTPTPAARSYHEWLACQERPCHEPQWLVPGSWKATIWSMPIPVSSWNDWKRQCFVSSKRIDKIAADIKADYKLLCTRNKWRTTGKYLCLNRLHMAYPALSIADDVVYMLSKGTRSGTAPMIFSVNLKAHALQGLVEQATRYRGFMLCYLSSGISKHLKPTGWS >Dexi4B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:4B:20083270:20085547:1 gene:Dexi4B01G0017730 transcript:Dexi4B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPEAAKFTVRRQPAVLVAPAGPTPRELKRLSDLDDQDTLWLQISSIQFYRSKRGNKDDPVEVIRDALSKALVHYYPFAGRLREHDDGRKLTVDCTAEGVLFVEADADVPLEHFGDALLPPFPCLEELIFDVPGSSDILNTPLLLFQVTRLTCGGFILCVRLNHTMADAQGMAQFLGAVAELARGALAPSVPPVWERHLLEARNNPPPHAPALLHDKLDEPVPGNDSSSSIMVSLHDREQLRHRSFFFGPKEIAAIRAELSPELQKRATKFDTIAGWLWKFRTVALAPDDSNEVMPLAIIVNARGRRRTATATAASSSAGSIVIPAGYYGNAFVAPVATSTAGEICENPLSYTVELVNKAKDEVDMEYVRSMADLIVLRGRKTPLPTAPGTYYLSDVTRARFEDHDFGWGRPVYGGPAEGVGSQSFPWVLSFVLPFKNANGEDGVVVPVCLPGPAMDRLVEEMGKLRLQPPVDETTLR >DexiUA01G0009580.1:cds pep primary_assembly:Fonio_CM05836:UA:19009933:19010636:-1 gene:DexiUA01G0009580 transcript:DexiUA01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDRANWSDMATKTLLDLCIEQKRLFNWNRLGPSPHGWQNIYPKFEQQTGLHYGHKQVQNKLGTLKRAYQTWKELQNSSGLGRDRNTGGVAADDTYWDTSSEQQTHGKPPPFLEELELLFGHTPQDRGTLLTVGGVRESTPTIGSDDTPQEISEDPHSASAVRNTSKRTSRDEVVDSPQKKKSASMEDYVKEM >Dexi2B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:2B:5247587:5252280:-1 gene:Dexi2B01G0005680 transcript:Dexi2B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPAPPGTVVERPAAARWSIRWALTSGAEFVWKTLALPCLLKTLSGQLDQLAGAFLRRHARRLAGTVTITVAEHDGGGEGTTMKQRSEAYEQAKAYLSHRCSLGARALLAAGTAASAAGGDRGVVLGLVDGEEVSDEFRGATVWWSSVVPAPRRDYGNNNRHDAGGGRAYRLVFHHRHRDLVVGEYLPHVYREGRAIIVANRRRKLFTNAKGLVWRWSSSLWSHVALEHPSTFDTLAMDPARKKEIMDDLDAFRAGKEYYARVGKAWKRGYLLYGPPGTGKSSMIAAMANYLDYDIYDIELTSVSTNTDLRRMFIETTSKSIIVIEDIDCSLDLTGKRGTTTDATKKKKKTKKKKKSDSNDGSGTTSSAARNLTLSGVLNFIDGLWSACGGERIIVFTTNHVDKLDPALIRSGRMDKYIEMSYCCFESFKFLARNYLAVDAHHLFDAVAALLKEVDITPADVAELLTPKQRAAAGEDADSCLAALVEALQKAKEEEAAKKAGDKKGKEEVEVGDDVGAGDGDGSVLLQEDDVAELMTPKCAGDDEGRSCLDGPVEMLPEAKETAMAAAKNAISDKECSLDVQQLCFSILTGDRSKAKQLGRRPKNDRYDDDKDRSMVTLSGLLNFIDGLWSACGGERIVVFTTNHADKLDPALIRRGRMDMHIEMSYCGFEAFQTLAKNYLDIDGHELFGNVEEILKEAKLTPADVAECLMKAKRAGSGEPSVCMQVLIRELEKRAEEKAKAEAEAEAKARAEAEAAMAEADATEMDPDNSREDRQGDA >Dexi9B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:9B:5809970:5810281:-1 gene:Dexi9B01G0009440 transcript:Dexi9B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPHTQAATSSSSSSAAGDVDAKAKSFGLHAAPVGVMRPFAATNLDLGAGDRPVGHHQPHEFDTAAVIVYEVI >Dexi9B01G0026920.1:cds pep primary_assembly:Fonio_CM05836:9B:29316873:29326581:1 gene:Dexi9B01G0026920 transcript:Dexi9B01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAAAASDKTLAAVFAQLKPHTVALLDLLRSRRPASSSAASLREMVSFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKQGNALGQGAGDIDISDAVAEAGLACLEVLLTKCRLTSVNQMVAMLKKLTSGAMLSPLEASEEFRSGIIRCFRAMVLQLQPCSEGMCSCKQATLLPTTLTNTSSGAVSVVHSMLSEQPEECLLAFLRSQNASAAVGHWLSLLLQASELEASRGHRGSADVRKDALHALRILIAKVGSADALAFFLPGIVSRLGKVLYTSKSMISGAAGSSLSIEQAILGLTEALMIVLNDKENFSALDMATNEDWAHSSGGDGSSEHVLQMLRQLPTKNLPEQIGHGETTDDFTSDVNNSSADRKALHVKRTKKWLEETTSNVDKLLSATFPHLSIHSSEKVIAARFFDCLGLCISHSSQFSGSMEKLIVSKPLSVGYLYSVAELKSGAYSKDMANNSLHATYTSAASKISVVHDNGLSNAILGTVEYELPHVPPWFVHAGSHKLYLVLAGIIRLVGLSTVSGRETAASLSPFVDILLDHFRRLSTELRSKDIYKDGVHRWYMKGQAGHTLRQASAAVCMLNELIYGVSDRSLGMFLQLFQKRSAQMVRTACQSDQSACVQNNGVTNGREVWGFNEKKDTKDNIIHCIGSILHEYVSPEVWDLPTVKDAELCETELNLPLHFYRDTTALHTVTLEGIGVLGAVLGQDFARSGFMHSSLYLLLRELISSSAQIRIASDAVLRALAAAGGHCSVGQFVVANADYIIDSLCRQLRHLDLNPHVPDVLASMLSYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEIATACRHESTSLPDDAQSFYLKVSSEGQEVKILIEKRMESRATSGNIFTGFFLGRMNVNGQPDFMSLEYWEDLLCKLNEMRRYRRIVGSLTGSCLSAATPLLSSTKETACIVALDVVENAVISIAKVEEAYKCENQSKGVIEEAIQLLSFDELLDDTDATEEADENRLLPAMNKLWPYLVICLRNKISVPVVRKCTEVLSRAIGMSGGDFYVRRFHKDGHIVWRLLALSPFRRRRMSIMDEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIAAISSNKRSAIALESVLKKVCGLVVGIAYSNLTGLREAAIRALTGLACMDADLVWLLLADVYYSLNQIVPLPPNQIVAEISDLLPPPMSSREYLFVQYGGEGVRYDIDPSSVHEVFKRMQVEILT >Dexi3A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:3A:3518606:3519091:-1 gene:Dexi3A01G0005450 transcript:Dexi3A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTITYPILLLLLLLAAAAMAADAAAGVNETSSPTAYEMLEKYNFPRGILPAGVQGYELRADGSFEVYFPRTCEFLLARTWLVRYETSVSGKVADGKLTALQGISVKVVFFWVGVAEVDRAGENLSFYIGPVAKSFPLGVFAESPRCRGYDASPPAVASS >Dexi9A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:9A:12493462:12498077:1 gene:Dexi9A01G0017420 transcript:Dexi9A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIHEFPSCDDAEDEAHHEVHGEVEGGAAPAAGGRRRPMAHHGRSAAAGTRGSSMEIVPYSGELDLELPPVDVKRQDSLYRDATLPAHAGHQGQESWLRTLRLAFQCVGILYADLGTSPLYVYANTFKKGVGHEDDVLGVLSIIIYSFILFTMIKIVFIALYANDEGDGGTFALYSLISRYAKVLLIPNQQAEDELVSRYRQHPKQSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDCMLTPPISVLAAVNGLKLRAPHLTTGTEALFADLGYFSIRSIQLIISLHLNWYMTDDRQIIMVEIRNLIFIFQLSFTFGLLPSVLLTYIGQAAYLRKHMDMVDISNVFFNSIPSTLFWPTFVLALIASVIGSQAMISCAFATMSHLQALNCFPRVKILHTSSRYSGQLYIPEVNIFLCISACVVTLSFRTTGFIAKAHGLLHLYKSLTMFLPIWTEICVVLVMVITTLLMTIVMLLVWKVNIWWIAIFFIVFMSTESVYTAAVLYKFTHGPYVPMAMSAVLMLIMIVWHYVHVKRYKFELENTVSRDEVKDLLERQDLKRVPGLGLFYTELVQGIPPIFPHLIEKILTIHSVIVFITVKHLPIPHVDVSDRFLFRQVEPKELMVFRCVARYGYRDTLEMANDFVKVLVEYLQYYVRDLNLYGGYEKEPLKIIFHSARVDSFSWDRKPSGHSIYAEEMLTPAQSFSELTMHPVSMSSRLAHFKTEKMNLEEMLKIEEDQKLIQREVDNGVVYIVGESEVVAKPHSNLLKKIVVNYIYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >Dexi5B01G0035190.1:cds pep primary_assembly:Fonio_CM05836:5B:35245958:35249141:-1 gene:Dexi5B01G0035190 transcript:Dexi5B01G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVVAAAGWLFCFRVTFNWEVEEHGVPGAIIVKNINDTEFFLETITLDDVPGHGTIVFVANSWIYPQSKYRYNRVFFTNDTYLPSHMPAALKPYRDDELRDLRGDDRHGPYEAHDRVYRYDVYNDLGEPDSGNPRPTLGGSKDHPYPRRCRTGRETKEGCESRLTLPGDSTYYVPRDERFGPIKSSDFLGYSVKALVVGAVLKKNSDMDFNCFKDILQLYDEGGIKVPNAPDLDEICRQFPLVKDIMPISGDFLLKLPMPKIIKEDKKAWMTDDEFAREILAGMNPMIIKRLTEFPPKSTLDPSMYGDHTSTITESHIERNLKGLTVQQALASNRLYILDHHDHYMPFLKEINRLEHNCIYASRTLLFLRDDDTHAPVAIEVSLPVVNKDDDVITNAKSAVYTPTSNTGVEAWAWHLAEAYVNVNDYFWHQGISHRLNTHAVMEPFVIATNRQLSLTHPVHKLLHPHYRDTMNINALARQKLINADGIFEKTIFPRKYALMISSKVYGSWSFTDQALPNDLIKRGMAVEDPSSPYKVKLLIEDYPYASDGLAIWHAIEQWVTEYLAIDYPNDSILQSDVELQAWWKEVREVGHADLKDAPLWPAMETVSELTRACTTIIWTASALHAAVNFGQYPYCGYLPNRPSVSRKPMPVPNSEKYAELQSNPEKVFLRTITSQSQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQRAFKRFGEGLVEIEKRVTAMNADPLLKNRNGPAKFPYTLLFPSTSDNKGDAVGITAKGIPNSISI >Dexi2B01G0032620.1:cds pep primary_assembly:Fonio_CM05836:2B:40496304:40503145:-1 gene:Dexi2B01G0032620 transcript:Dexi2B01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGSAAAGGAGGTACNGAGAASNGGGMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSTSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDMTAIKSDDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >Dexi1B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:1B:23931462:23934574:1 gene:Dexi1B01G0017580 transcript:Dexi1B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVHQASPIVRLAISNPTDLLKGKDSEAGGGAELRKGLANYMMPEVRTASRPVLASHSGGGFFIRRVASPGIVVAKCTIKPLARRSRLRLSNKDKENVPPAGSVKVARKIRSPLPDWYPRTPLRDITSIVKVLERSRLEDGVARQQIQSVDPRTPVQVEQNDPRSTLQAQDTLGAVTSGLGSTSSVANLATSVSEGKPEASSSPSDSSSQTVPSKPNDSALADLMEKKLSSSIEQIEKIVSRRLKETPKAAQPSKVAVQRRTLMSMR >Dexi5B01G0036100.1:cds pep primary_assembly:Fonio_CM05836:5B:35937580:35937786:-1 gene:Dexi5B01G0036100 transcript:Dexi5B01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASALGDRWPPSLGAANVLAGGDASVGSEGGACRRVRAFQADQACGFRLDWAGMPEHQKGRTAKWAS >Dexi5B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:5B:4772930:4774312:-1 gene:Dexi5B01G0007130 transcript:Dexi5B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATTPAGVSGYCEATKTFRSLRPRVPLPPPDAPLSFTAFAFSLLPSPLPEHPAILDAATGEAVSFPALRSRVRALSAALRSPSRLGLRHGDVAFVLAPASLDIPVLYLALLSFGAVVSPANPVLTSAEIARLVSLSGASVAFAVSSTAAKLPTDLPTVLLDSDHFRSFLLHTDSDDGGVVVRQSDTAAIQYSSGTTGRVKAVALSHRNFIAMAAGSHALTNKPPRNGGESSRMLLAAPMFHSLGFYFVLKGVALGQTTVLVTDAVARRGIRAVVVEAAERWAVTEMTASPPVVTWMAKEGSDLKALERVVCGGAPLPTAAAESFRRRFPNVDLCMLSSCDR >Dexi4A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:4A:4519540:4519896:-1 gene:Dexi4A01G0006280 transcript:Dexi4A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDAWMAPDKLQHALACLLISLLAAALAGRSARPEIRRRAAAVGSAASLAAGAAKEAMDEAGFFGSAGASPKDAAADLVGVAAAALALALVRRRRRDRERKAREESNAPDGGVSMV >Dexi5A01G0035630.1:cds pep primary_assembly:Fonio_CM05836:5A:37381174:37388207:-1 gene:Dexi5A01G0035630 transcript:Dexi5A01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCIRTRHTVRTTSAPEHEEAGRRAHRPRTAQLPPWVAVPEARGYWPQAPASSAQPDVRDSRRGRGRGAATVDRRTPPVGRPVIHPPARSYGRLPIMDVTEAEEGPFVANDAKAITSETVLLKFEKSRGQLQESLRRVESIVTEDISCKIAEIVNELEEIVFTLDRSEKEAGDDVINLLQRNNKTNGSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKELVVLYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRGGGPRSENMSIPPEELRCPISLQLMFDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLDHLSLTPNYCVKALVASWCEQNDFPVPDGPPGSFDVNWRLAFSDTEATGCVPVESFDSTNVKSVKVVPMESMRKEEPANSESGTLDDSSCNDFDLNEGYGNLLLLLHERSNVNKQCRLVEQIRYLLKDDEEARIQLGSNGFAEALVEFLRNAVNDGNEKAQEVGAMALFNLAVNNNRNKGHLLSAGVVDLLEQMISNPRLSGPATALYLNLSCLPDAKAVIGSSQAVSFLVDHLYSQEAGDTKSSSCKHDALYTLYNLSNHQASVPALLTAGIVDALHCLLTESPASEGLGWTEKALAVLISLAATQAGRKEIMSTPGLVSTLATLLDTGEPTEQEQAVSCLLVMCTADDKCIAPVLQEGVVPSLVSISASGTGRGREKAQKLLKLFREQRQRDAPPPQQTQQQQNQLVEAGNGGAIMCHRESKPLCKSKSRKLGRTLSSLWKNRGFSLYQC >Dexi3A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:3A:5341561:5342904:-1 gene:Dexi3A01G0007710 transcript:Dexi3A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPSAAAIAMAGKKFSSCNLIAAIREETDPDAALRIFLNPPSARPSSQFRHCLRCYDLIISKLAAARLFPAMESILSRLPPSDASHGTGPRENLLCRVISAYGRARLPAAARRAFEHPAFPDPRTAHALNTLLNALLDCRSPLPDLLSVCRDAGVPANAGTYNILMRAAASSGSLERTRQLFDEMLSLGIAPTADTFGTFVAALCDAGQLKEALEVKDAMVTEHDVPPSARVYASLIKGLCQRGDVDAAMRLKDEMVGKAELLQNSGGYGALVRALFWAGRKGEVDGLLEEIRGRGVVPHRDRVVYNAMIAGFCEDERDPNAAFAVLEDMEKCGCKPNTVTYDTLVMGLCKLGRWRDAIKLVEEMPSRGCLPDVVTYRMLFDGLCAAGEFHEANRVLGEMVAKGFAPSKDGARKFVEGIEEEGDAVLLESVLCRLAKVNALESSG >Dexi4A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:4A:2861089:2861623:1 gene:Dexi4A01G0004010 transcript:Dexi4A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYRKAKAKPVAPKKKPKLDTAFDCPFCNHRGSVECSIDLKHRIARASCGECKEEYSTVANALTEPVDIYSDWIDACELANEGVDVRRRHMGDDDDGF >Dexi2A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:2A:32340178:32340857:1 gene:Dexi2A01G0020260 transcript:Dexi2A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLVLVAVLLVAGYTALASSATTYTVGGVNSWMTGVDYADWASDRTFVVGDKLLFSYVRMDHTVTEVMSKSEYDDCSGMDGMTEDNSSGLTTVTLATPGMHYFICIVPEHCDSGMKLAVNVSATPVDDDTAPMTSRAARAAGSLQVPVTVVVAAAATEALTKLTLL >Dexi5B01G0037930.1:cds pep primary_assembly:Fonio_CM05836:5B:37262843:37266524:1 gene:Dexi5B01G0037930 transcript:Dexi5B01G0037930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFVCFGSAQDGEGKKQVVDAKDGLLDRGMPRVGSDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQAATRPHIGDVVTALSYLASQAYDPNAPVQHSRSSSSTPRARNPAGWNDDQRSVRSPNHHSPDLRRRDTARASKYGADVSRTSSSGGSGRRSGLDDMDMAGSQVGSPAQTGRRRETPRAADRQRAIAEAKMWGEYSRERSNGHGSFDSTNE >Dexi9B01G0022310.1:cds pep primary_assembly:Fonio_CM05836:9B:16973085:16974826:-1 gene:Dexi9B01G0022310 transcript:Dexi9B01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAGVSVVGDGRPPPPASATTVVSVKYSGYHLLVVNGYSRIKEKQEHIASRRFRVGGYRWIIQCYPRGYRPYHIGHTAFYLFLDQGNVVDPVMVQYEFSIVVDHQVQNNDSSCLVRAKNTFKFSSSDPYSSSCLINRDFFETSKHLIKNDSFTIRCDIVMTKDVAITNADAASVPSNRDMLAPDIRQHLAHLLQSGVGADVTFQVGGETFAAHRCVLAARSAVFKAQLFGPMKEGTTAGVIHVSDMDERVFRLLLDFIYSDSVPIVEAEEEAIMWQHLLVAADRYDLPRLWFICEEELCENHINTSTVATILALAEQHQSRVLKEACLDFLNSPANLHDVMVADGLDHVINSCPSVLKELIVKLASLKFDVNPWNTSTSTPSLLEEEEKNEDDDDVMWQQLLVAADRYGLERLKQMCEMTLCRYINARTVATILALAEEHHCRELKEGCLDFLDFPANLKDVMEAGGLDHLRSSCPSVLIDLIAKLAQLT >Dexi2A01G0028740.1:cds pep primary_assembly:Fonio_CM05836:2A:39923969:39925246:-1 gene:Dexi2A01G0028740 transcript:Dexi2A01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAVLMSLVACAALASGAAGVRVELTRVHSSPFVRDAVRRDMHRNAARWLAASSDTVSAPVNKGPASLGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGDDQCFQQATPLYNPANSTTFSYLPCSSQLSICEAASLPACVCPGYNYTYGTGWTSGVQGSETFTFGSSPADETSVSDIVFGCSNASSSDFNGSSGLVGLGRGSLSLVSQLGAGTFSYCLTALQDSNSTNTLLLGSSASLNDTGVGTTPFVASPDMAPFNTFYYLNLTGISLGTKALSIPVDVFTLAANGTGGLIIDSGTTITSLVDAAYQQIRAAVLSMVKLPTTDGSDATGLDLCFALPSSTSAPPAMPSMTLHFDGADMVLPAGSYMTQDSNLWCLALQNQTYGMPSILGNYQQQNMHILYDLGQETMSFAPADCSTF >Dexi2A01G0036060.1:cds pep primary_assembly:Fonio_CM05836:2A:45673650:45674813:1 gene:Dexi2A01G0036060 transcript:Dexi2A01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDGDAVSSRGAQASRGRRKRQTPALPLDVLAEIAARSVDPTTLVRCAATCRDMRRRAADESFRRRRLRLRHTGGRFVLPLLRGHLTGPTHGLGISLSDGERDKQYLIDTTAAAMATKLTRLVFPPTPQGSPHETFEPLDSRGGLILLAVRDNSNHHYYQERRHLRVCDPVTRHSHTFPLGQPPPLNGSSFVFLVLEAKLTLSPYDRSARCLRIRIFTSEHGGVWGPRTRIPTPSLDGGLYSDYELPLAKHSKPLVVGDVVHWLCLTQNGSYVLMLHVGATPSPRARVTTLPASFPRGSSARRRRASAHVAAKRTRVDRRVGARRDRQHDVERERRRLPLMPPPPQRACRLRRRPGGGVAGVRHGNWINHELSHYFR >Dexi3A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:3A:726773:729779:-1 gene:Dexi3A01G0001000 transcript:Dexi3A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFSKLIYSPTNSSGPQQPTSIVLETDWTLASSAANSDAGEFEDGASPTLTAMVGPSSRAPQYGVPSPPDTGGPSLLSYRLPHMVLRGTAMPLPLPSPVPWLVLPRGDIISFPHGETFQLPPGVRCHNSCGEWLLLSRDDDSCFLMNPFTKATMPIPSLSSYSYYEEPVEIAEDCLAPENEMQGNWSHNKDTYEMSVLTLVVCSTHLIAAIVAVGDLGTIALCQPGASAWSVNAHEDCRWLSHMVFFQGKLYALDSNTDVEDLISIDIVDEHDSDKPRVSRIERVIEGRSLPSQVGQMSYMQESISRNLWGQNKRTLRRFNVEGLVLPVLLLIREAARKAKRNLHAVVCSYRKKAVMNSDALWISLAQSCMKAMPGLLPDSCF >Dexi2A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:2A:838418:838772:1 gene:Dexi2A01G0001250 transcript:Dexi2A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENMDGLEKLTVVTPALEHLTVLACFYRGRRRRPVADIEARQLKVLRWGDLFDRSSVKLGKMKHLQSVCPDVFLVYGFPPNDPCLALLRCFKIIQQLCLTLVFLPI >Dexi2A01G0037610.1:cds pep primary_assembly:Fonio_CM05836:2A:47114946:47124885:1 gene:Dexi2A01G0037610 transcript:Dexi2A01G0037610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPPSLVDARLLGNAVAGGYGEDDLRSSDPDGLLSGPNSGGQHSQPPVCWERFLQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNNIDLVLAEVFMPCVSGISLLSRIMSHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRSHSSSGSGSESGIQTQKCAKLKSGDESDNNSGSNDDDVDDDASMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDLADPPDSTCAQVIHPKSEICSNRWLPGTNNRNSKKQKDTNGMNWTVPANAAGKAKADEIANNTTKNGHPGEVQSNLMQHPRPILYVHYDVSRENGGSGAPQCGSSNVFDPPLEGQAANYGVNGSNSGSNNGTNGQNGSIAGASTAAANAERTNTDVANGAIDKSGPGGGNGSGSGSGNDTYVKRLDPAMTPRQAQLIKYREKKKDRNFGKKVRYQSRKRLADQRPRVRGQFVKQAVQDQGGREGAGDR >Dexi9A01G0002010.1:cds pep primary_assembly:Fonio_CM05836:9A:1035785:1037315:1 gene:Dexi9A01G0002010 transcript:Dexi9A01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEEYRCFIGNLSWSTTDDSLRDAFGKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGGGRDRNGDRDYDRGSRYDRGRDYGGGGGGRAPRGGGGGGDCYKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGSRYGSDRGGDRYSGRSRDGGGDRYNRDRSGPY >Dexi8A01G0016260.1:cds pep primary_assembly:Fonio_CM05836:8A:27530446:27530937:-1 gene:Dexi8A01G0016260 transcript:Dexi8A01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPYTLSADTNEVVLIGCNVQVTLVGFLTNGDGGDGTEQFIGGCASFCGDMEYKFGWLELEDEANRDDAVKYCSGIGCCQQLLLPVGEAERDPGVLAFVDQDWVIPVYDDLVNNLTCSNKTTRIVCRSSHSLCWNAVAAGSSCECETGYEGNPYIDDGCQG >Dexi8B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:8B:19954420:19956215:-1 gene:Dexi8B01G0011160 transcript:Dexi8B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKPLPPAQQERVLTVLSVDGGGIRGLVPATILARLEAFLQEKDGPDARIADYFDVIAGTSTGGLIAAMLSAPGMDKRPLFAAKDINQFYLDNGPKIFPQKGGWIPGFIQNTWDKVRGGPKYDGKFLHEKIKSLLKDTKVADTLSNVVLPTFDVKRMQPILFNSFEAEREAHKNARLVDVCIATSAAPTFLPAHGIKTNGSGGEPHQFELVDGGVAANNPTMVAMSLVSREMLRLRKQLLGEGRDINLVQGGAVRRQKKGSDTGVTIDNSDNPTMAAMATMIAMEEQNPHLRMSKQHDAQASVYKNILVLSVGTGTSKKAHMYTAAECNKWSLLNWLTKDGFNPLIDFFFDASADMVDIHAEVLFELLGVEDHYLRIQTDTLTGDAASVDCATEKNMKDLIKIGNDMLKEKVSRMNIHTGEYEPKDGGSTNEVALKNLAEKLSMERKLRKNNGGK >Dexi6B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:6B:25307369:25314244:1 gene:Dexi6B01G0018320 transcript:Dexi6B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRDVAAAAAALVMLLAAVAAAAAGSPEQGFQPLSKIAIHKTTVEMQPSAYVRPTPSLLGEQGQDTEWVKVKYGRTTPSVDDWIAVFSPADFNGEDPKLCTAPIKFQYANYSANYIYWGKGSIKLQLLNQRSDFSFALFTGGLDNPKLIAVSEPISFKNPKAPVFPRLAQGKTHDEMAVTWTSGYDIDEAYPFVEWGAVVSGAGGQAARAPAGTLTFNRGSMCGDPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHEMQDGSVVWGKNYTFRAPPSPGQKSLQRIIVFGDMGKAERDGSNEFADYQPGSLNTTDTLINDLDNYDIVFHIGDLPYANGYISQWDHGGFYDGKDSGGECGVPAETYYYYPAENRAKFWYKVDYGMFRFCIADSEHDWRVGTEQYKFIEECLSTVDRKHQPWLIFAAHRVLGYSSNAWYGMEGSFEEPEGREHLQKLWQKYRVDIAFYGHSQCMTSEKSHYSGTMNGTIHVVAGGGGSHLSDYSTAIPMWSIYRDLDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFAIDRDYRDVLSCVHDSCFPTTLAS >Dexi4A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:4A:13051445:13053941:1 gene:Dexi4A01G0013480 transcript:Dexi4A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLVFLLQNPPTHGKPHGAARAKLFDSSLYFASRDRALVSSTLDMASAAGQEERSRVLVIGGTGYIGRFIVAASAREGHPTSVLVRDPGPADPAKAAVLQGFRDTGVTLIKGDLYNHESLVAAIKSADVVISAVGYALLPDQTRIISAIKEAGSVKRFLPSEYGNDVDHVHAVEPAKSVFAVKARIRRAIEAEGIPYTYVSSNLFAGRFLPSLGQAGVTGLPTDKVTIFGDGNVKAIFAAEEDVGTYTIKAVDDQRTLNKILYLRPPNNTLSHNELVSLWEKKVGKTCERVYIPEDEVLKKIQESPVPQNIALSISHSVWVQGDHTNFEIDPSFGVEATELYPDLKYITVDEYLNKFI >Dexi9A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:9A:3955387:3958928:-1 gene:Dexi9A01G0006990 transcript:Dexi9A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLLLLLSLAAAAAADGTDADALLAAKAALSDPTGALASWNATTSPDHCAWAGVTCAPRRAGVVVGLDVSGLNLSGALPPALSRLRNLQRLSVAANGLYGPIPASLARLQQLVHLNLSNNAFNGSFPPPLARLRGLRVLDLYNNNLTSATLPLEVTQMPMLRHLHLGGNFFSGEIPPEYGRWPRLQYLAVSGNELSGRIPPELGNLTTLRELYIGYYNSYTGGLPPELGNLTELVRLDAANCGLSGEIPPELGRLQNLDTLFLQVNGLTGSIPSELGYLKSLSSMDLSNNALTGEIPASFSQLKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNKLTGTLPPELCAGGKLQTLIALGNFLFGAIPDSLGQCKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVIGIAAPNLGEISLSNNQLTGTLLASLGNFSGVQKLLLDRNSFSGAVPPEIGQLQQLSKVDLSSNKFEGGVPPEIGKCRLLTYLDMSQNNLSGKIPPAISGMRILNYLNLSQNHLDGEIPTSIAKMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGIAGSDHTPHGHGGLTNTVKLLIVLGLLVCSIAFAAAAILKARSLKKASEARVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPNGELVAVKRLPAIGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWAKMMTESSKEQVMKIMDPRLSTVPLHEVMHVFYVALLCTEEQSVQRPTMREVVQILSELPKPSTKQGEEAPNAYDGSASSPLHPAPVGSNEAPTGEARDHQEQQTSSPSSPPPDLISI >Dexi8B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:8B:24934269:24934980:-1 gene:Dexi8B01G0014320 transcript:Dexi8B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHHDMEREQMRMAILKQEQIFRQQVHELHRLYHVQKQLMEQIPTTALSNRAPVIIDAKPKPQQDIWQSEMATKPQQLISFSSYKAHAHAHPVKPSPAPAPAIGEACNLELTLATGPSCSGSGDRQQGKRLKSSSNSDSGTTAVSSTSTDSELAQFREADMSVSASAARFPDERRRMDQMGQGPWMYQCLSLKTA >Dexi1B01G0016500.1:cds pep primary_assembly:Fonio_CM05836:1B:22984150:22985918:1 gene:Dexi1B01G0016500 transcript:Dexi1B01G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSPAVRALVGCWLPLLLLIFLYGCCSCGAVERGRTLAMIKPDGLSGNYTERIKAAILDSGFHIVKETEVQLDAEKASLFYAEHSGRSFFESLIKYITSGPILAMVLERPDAIAQWRTLIGPTDARKAKISHPNRLNTKLSRSLSNFTNGIPFNSSIRAMCGLDSEKNCVHGSDSLESAAREIAFFFGDSDSGWFLHSLFQQSEDCRIKSLTLIFDHAETVEHDEL >Dexi9B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:9B:694337:699876:1 gene:Dexi9B01G0001200 transcript:Dexi9B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFLNLVTQNCKNGVYSLRRMDLSRLDLFHPTTPRKAEQKKLNLHEMEIIRLPAPSINFHPNPSPEGVHHKWKMDCFGLSENKIICTDNCGLAFLYDADLRSVVPLPALHSPKRCPISLSVPDIEEFGRGGGASLYVMEMVPWPRPDKGQFEAFVYAMPELSYDRSWYRHSLPPPPYVLEPGYVNSPTLSYALLGGGSHICISATDRGTYCFDTATREWSYSGDWMLPFCGKCEYVPELNLWFGISDEDLLPCASDISCALKGDKPVLCGIWRNHFPLEWESFQTFQIVSLGSGRFCMLDFFHTLREETDYAGSQVIGDVFAVFTGVELLACGKGGKLGNENGSNGKGSGDGSCNGNSDHGNGAGNGNGSSNGNGKRNGNGKRSFRMITHKSKLFSSDDNTIIEGKMSEPLFDDFFTVKKLDRDGKKFDKVSRVEATSDSGMHMQLDVAIEVYPMCVGDKFRMVLASTLNLDGTPDTGYFTQAGGETLADGFQYVMQGKLYRIANYVKPPPPPPPEKDGDKSKKDEDTSEKDAEASAKKDAEASAQKDADTYTNDDTSSNEDPKLEVLASFGGLLMALRGEPSFAKTLNLDNRIFLLIRKV >Dexi2B01G0036300.1:cds pep primary_assembly:Fonio_CM05836:2B:43168751:43172577:-1 gene:Dexi2B01G0036300 transcript:Dexi2B01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAKDSEDEIEDASRGSSSGRRIGRGTPVTEIRMKSHVHSAVDAPSRGPTISNSNAGGHLGTPEQIMEAGDASTKSSGIRTDSGSAPDAPADQVCRDGAKDDHKMEPDTRSLCTPSVHKSIPPAVPTGNKENIDGNCPHSSNQVNANNDLCSNSSEENRSKKKMRSTEMSRKDDQKDDEHMADLQPTISQSFVEENLNKRGSNRRSGDNSAARNNQISGYYRRRASKSVSPEANLRSAQQTSQFFEGNTSRIEHNATPRKNDQDFNEHAGTQSLQENEVIKHANRSCGVLARRKNILSSFISKAPSEAPDSGTGISSSPFSSKESASEVAVSNLGRSPAESAKVDGHLSSGPTMNLTEKQMPGSSKSNLLSCRRKSLKLVNSAEVEKLPQNSANDENMRARGEVKIPALHEPAIEKRCAISPSVNSEVRKESADVSLQDGDTEMTDAQQVNKTKAAAPCSKSDKVVSHQNLETGSEDIPVNKITDKHGTFPSKVSTSRVRNAGAKRSRTGVSKAIAESINRKSEVAPSKPMHDEVASHDNLGAQQAEGCGGLNATESTPSFVPEVLNNKTGNEVLISALGPSCKTNESLLASKAVSANKALQKNMKGKHRKLSNTATVDENRGSPSQRVPNCISSKSVSKGSRTADVNMADSPIIDKTETIPPNASFNEAVPPENGEEIHQKLSSSASADDHEICNNRVRKVVAKRKLSSVQKHKSDSEPFKAGSVLVSEDRAVSSVRAALGSRNAEKVTVDPDLQNTNDGRMNITVGPFCKDAMEERSKDMRSSKSRSSKRQRSADLVDGSTDHDKENIPVNSNLTSKARAGDNSMSSKSTKKASQNSKVVLVERGMIQGNKCGTLNMMEPTWFILSGHRLLRKEYKAILRRLKGRVCRDSHHWCFQATHFVTTELRRTEKFFAAAAAGRWILKPDYLTACNEAGKFLEEEPFEWHGSGINSSDTISLDAPRKWRQLKQRTGHGAFYGMQVVIYGECIAPTLDTLKRTIRSGDGTILATSPPYTRFLKSSIDFAVVSAGMPSVDAWVQEFMRHNIPCISADYLVEYVCKPGHPLSKHVLFNMHDLAEKSLQKLLRNQEDVMDAEAAEEGEGDVGCSTCGSNDQEGLMLTCGSGGNQAGCGVRVHVGCCNPPVEAAPDGEWLCSRCDEHKKPSKKVKKSAGKSRVLKCR >Dexi9A01G0039180.1:cds pep primary_assembly:Fonio_CM05836:9A:43255809:43257891:1 gene:Dexi9A01G0039180 transcript:Dexi9A01G0039180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAMQCRVNGGDGEGGGGMRTVECLRGRLLAERVASKAAKEEADQLAERLDELEKKLADEVKVRNKAERRLRKAIKKLEHLKILDVELSDGSISSLSSNGRSGHQEPEVEERNSPDSLTTDDSVPSGPQGGGDADADASSAKGSSAGSCTQGNFSQDGSWCSVVSEQSPVGARMDLAGTNNSRSSDESAADHDSERQHLDASSGCGSAKSEGESFHDSDDRLALVLVDPQLVADAEAGGGDSRTEDNDTRTAADRHAATHDDEAPQEEETNKLAIVLAEPQLQPTAGAPKPHGDVESVLLALRRVKEQLRYTIERRSELVAHRELYGH >Dexi9A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:9A:295295:301121:-1 gene:Dexi9A01G0000490 transcript:Dexi9A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLQEIKNICSILLVYNRSDEVGIVLFGTKETCNELAKELGGYKHVTVAHEIKVVDEGTSQALQNLPAGSVPGDCKVLDAIVVGLDMMIRKIGNTKGKRRLCLITSAQHSLRDPPEGTKEDQVDTIADQMKRHDIKMECIVFREPGVHHGAVMEENDRLLYQFRNRSVAKVVQVDSPTSLLGALKTRNVLPVTVFRGDLEVSSNFRIKVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSIVEPDKVVPPDQRIKGYRYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSILRYAIIFSFASEHHFMKDVCLFIPEPGNTKATLAVSAIARAMHQMNKVAIGRCVWRQGQGNVAFGVLTPNISSVNNVQDSFYFNVLPFAEDIREFQFRSFSSLPSSSQPTEEQQEAADNLVKMLDLAPPGREYTKSHAGGIIFVRFYSYLDLKAKQPDANVPPLERCLKRITEPDPDVIDQQTPLIQNLGKAFELKENPKKKKGRTQDRLAYTAGDQSKSAAEPSVEKDGILAVLYPPTENIGEIRDLNPVQDFEAMLAKRSSSTWVQKAFEEMQKYTTALLENSREGDNYHKALECFAALRKACIIEQEPDEFNQFLTKTYERLKNGDVASFFQLLSSKNISLISKEEAPDSDATEEMARSFYLKQEAASQ >Dexi1B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:1B:7249962:7254291:1 gene:Dexi1B01G0008640 transcript:Dexi1B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRLEQTNALFRKNLVIQMEILDLTPICLQRIDFTTVVTSLPITQRRACKTNCCLVLFPLLLCSVVGGLQIAIRRSSSTEPPTRLDCACSNVTVDENAMGGLSLGCPDECPLPRAPRWPPLLQIPPSQYRAVGDGLFPFTDLPDASCRATGSCPATFLVTGGNQSFVTSVMDNMFFPDHSASVNLTDATDDGTFKQSFLQNKCAPNQTLSYPEQFGNEIANRAYDFLSSDQGNFNLIISYNSSNSDDVYYAVEGLIPILNEGGSVDIPNFAQVPRLANMDSCLLYGLWSFSFRTELVLQVILTNLVYERQKKLRIMMKMHGLGDLPYWTISYCYFLLLSLLYVLSFMLFGSILGLSLFRQNSYGVQFIFYFAYMNLQISFAFLMATYFSSVRTAAVTGYLYIFVSGLLADVLFRHYIEDVYLSRQDGNADKIAVRGISLCMSHGQCLGVLGPNGAGKTTLINMLTGFSKPTSGTAYIEGMDIRLDMDRIYTGIGVCPQDE >Dexi6B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:6B:2226241:2227239:-1 gene:Dexi6B01G0002570 transcript:Dexi6B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDPNNLRPRRGPPPPSPAVGKPLPSGAVPRHSYVFDGEGGFAEAPWGLAAAARPGEFTWHHVELPRAAPYGAGGTAAAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCSSSSAAASAGAGEDAILLRVSSPGPVGSAYALRLAARVTESSVVTVSVGGVPRLAFGSTQASLLSEVPLGVVVAAADEGHGGGGGAVDGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMDIDAIELQLDRDFN >Dexi2B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:2B:11376598:11377049:1 gene:Dexi2B01G0010280 transcript:Dexi2B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTAGRSPIATGVRIIERSYSVFISEEGWFHRCNVSGSASWSASEIPVVLGWAIVSNALSNETYDGNMTCPKDLGSTACHSSYSTCRDTYRLYGKKNYTKSYTCSCWDGYQGNPYLPDG >Dexi2A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:2A:8235208:8237090:-1 gene:Dexi2A01G0007950 transcript:Dexi2A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYGAPPPPPAPAGPVAVVSPQFCAPYVVPLTVTEKALSLSDGDFTVTDANGAVVLRVKGAIFSVHRRRTLLDAAGQPILSMQQKVFSMHNRWEVFRGDSSNSSDLLFTVKKSSIIQLKTELDVFLASNTAEHACDFKIKGSYFERSCAFYLGNSNTMIAQMNRQYTVTNVLLGKDTFGVTVFPHVDYVFIAALVVILDEIHRERSD >Dexi1A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:1A:890605:891296:-1 gene:Dexi1A01G0001400 transcript:Dexi1A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPLPVFITKKPPPECSAGLINNDMFHWSATIHGPSDSPYAGGVFRLTMDFPQEYPFKAPVVKFKTKVYHPSIDSENGYVDLDILTEKYWSADMSVRDVLLSIWYLLRTPDAETPLAPEIGAMYRKDPDKYNDIAKQWTQKYAKE >Dexi1A01G0023770.1:cds pep primary_assembly:Fonio_CM05836:1A:30385736:30388719:1 gene:Dexi1A01G0023770 transcript:Dexi1A01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDALYAPPPSDAAALIYDTFSAAAAGFLFDGPAAPALYDGAGIVVAPAHVQQQQQLMQEAEAGEVAATSPETPPATRVRKRRRRARSCKSREETETQRMTHIAVERNRRRQMNEYLSVLRSLMPEPYVQRGDQASIVGGAIEFVKELEQQLQCLEAQKRTLLLHHHRPSNSNPDATPIHHTTTTTKAPTACVESTTTTTTTTSNCSSSVTEDAAAAEHAPPPFAQFFAYPQYVWCHSPRDAGAAAEDGGGGRPGVADIEVTLVETHASLRVMTRRRPGQLLGLVTGLQQALRLAVLHLSVTTMDALALYSISVKVEEGCGLTTVDDIAAAVHHVLCIIDAEATEQQQMMLAS >Dexi2B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:2B:30101857:30105653:1 gene:Dexi2B01G0019950 transcript:Dexi2B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTSFFRSHPHHHRYYYGHHPAAPPPASVPSAAAAYPSLPFFAAHLHPPPAQTPPPPPAPPSSPPLREALPLLSLAPAAGIITREAAERRGRRQAAADSDEDGDEEEDEGPCGASSRSNRHHGQHQQRVGGLFADLNAKAVGDPMDVESESAAVGDDVAVALRIGLPSTSAGAAELMSSGRRKDDGVEEAEDDDEGRNNIGRENGGGGEEEEDEEGEEEAVAAPLGFASTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGHGHGFVAGAGVGSCGGGGGIDDDDDGAVSDVEHDGAAAAGRSM >Dexi9A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:9A:10820187:10828080:1 gene:Dexi9A01G0015910 transcript:Dexi9A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRCDGDCGCLPSTVISRASWVDTSAALVYDYDTIIGRASRRIFFLSLSCLGLPPLSLLDPPSSSRPDADPTSSSPTPRRLPTACAMQPGPTSPEETPAPEVPEEDAAHPDDAAVAEDAEPAEAAAPEAEEEEEEERKETRSARGRKRRRRGGAPGASASAAAAPPPAPAGPRGLVMVKRDLLARCMTCPLCRRLLRDATTISECLHTCEPPSPSHHFSLLYAFLLPNFLGFVIFRADHSLQDVRSKLFPFKRKKIKAEEVPSPTSLPTKRKERSISSLVVNTPKVKPTGLTGRRTRAVARKAAAAAAAAAALRGLGPIIEDPVKKEIDSCDSHSQTSSLPANSSKAAQTRRQIPSNAEASNHSSNKDTEGDSKESGDKAELWQPLNCLVEAANRTKSFRSSSQNPVVKGEQFNGSPSSTYASKTKARENLQKSKIEDDKKDVPMPPVLPKRRGQGSVRRRREVQPPADSKPAAAAAQNEKKFSSIWFSLVASFNQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSESEVEIKCCEQAVNPMQPLRNLVELWLKGRATQATQTMTGSSAKEFVMVLTYGRPKASVL >Dexi5B01G0036470.1:cds pep primary_assembly:Fonio_CM05836:5B:36182382:36183068:-1 gene:Dexi5B01G0036470 transcript:Dexi5B01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGRRSYFAGGGQNNSNEDGYGGGHGQQYEATGGGKKRGSSSRLKKSSGSKIDADADDYPKYTGAGDEADDDNRYNNGGGDEDHNSGGNHYNAGSVVLGAPYYGGGGYGNGSPYAGRYGNRAPYGGGGGGGGYGSRAPYGGGGGDGGYAPYNNAPAGFWAHQDGTGSPLYINTREVHVHGAPGYDNDGHNSNDNQRRGGGGGGFFGPAFHAVGNFFDRRFGLNDRD >Dexi3B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:3B:1067301:1067807:-1 gene:Dexi3B01G0001510 transcript:Dexi3B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGGSCFWFQSRKPTMAAVLTRPAAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLLLKAIEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Dexi7A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:7A:20394441:20395231:-1 gene:Dexi7A01G0009350 transcript:Dexi7A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGDDRDQLVVIGDGVDATNLTNCLRKTVKVGRADIITVEPVTDEKPASTTPEGEAAGEPVVWYPQGYHPGYGYYCPRTGAFYPYAGGHCYVDDPDDGPGCTIM >Dexi4B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:4B:8525001:8525420:-1 gene:Dexi4B01G0011100 transcript:Dexi4B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTSATAPRFLRPFTAATAPRRAAMAASAGAPSDPPPQQPSSPAPKAVRVVVKGRVQGVFFRDWTVETARSLGLAGWVRNRRDGTVEALLSGDPDKVDEMVSRRIPVGPPAASVTAVVPSPADPVDPADGFHRKPTA >Dexi5B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:5B:1082845:1083548:-1 gene:Dexi5B01G0001710 transcript:Dexi5B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEVAKHLCGFDLLRLSFTCHWFSCLLDDDSIWRYAFFRDLDLSDANPRIHRPLYGSWRHLYFAAFGSWRIGLFLLDSPQMLLLGKLPVPRWLPLDPDDMKLGITMLGACRLQNARPGTCM >Dexi6A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:6A:5102149:5102706:-1 gene:Dexi6A01G0005540 transcript:Dexi6A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPVAHQVGEMGVQDLQQQQLPRKRRRSPASGEYLGVRRRPWGRYAAEIRNPVTKERRWLGTFDTAEEAAVAYDLSAISISGAAAARTNFYYPYSSGGLGVIRAATTTSPPQQQETRGVVPVAPPPSPMSSEGSVTGSTVDDYECQHSAAEEADDESLMIAAILQSFQHQTVASVSSASLYLL >Dexi7A01G0001940.1:cds pep primary_assembly:Fonio_CM05836:7A:5135311:5136560:-1 gene:Dexi7A01G0001940 transcript:Dexi7A01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMSEEKAAGGAADAAQPQQQLASGEAAAARVRPVETLLRAAPLGLCVAAMAVMLRDQQSNEYGTVAYSDLGGFKYLVYANGLCAAYSLVTAFYTAVPRPATVSRSWIVFLLDQVFTYLILAAGAAGAELLYLAYNGDKEVTWSEACGVFGSFCRQARTSVAITFGSVLCFIILSLISSYRLFSAYEAPPSAALGNKGVEIAAYPR >Dexi9B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:9B:4958818:4959755:-1 gene:Dexi9B01G0008060 transcript:Dexi9B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLYSMKEAALHNTPEDCWVVVDGKIYDVTKYLEDHPGGADVLLEATGKDATEEFDDAGHSNSAKELMQDYFIGELDPTTEIPEMEVFRKEQDTGFASKLMDGVVRYWTIPAAAVGISVVAAILYARRK >Dexi6A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:6A:11945024:11945293:1 gene:Dexi6A01G0009910 transcript:Dexi6A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALRPCRRLPVWSLRRRLLSSAVGEGGPPVDARAAAAAAAKARAEAAARARMEAYKQVQNFDWSSGADWKTAANILFTVPPKRKEFG >DexiUA01G0012530.1:cds pep primary_assembly:Fonio_CM05836:UA:25457818:25463308:-1 gene:DexiUA01G0012530 transcript:DexiUA01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASGGARPEEAAVTPSASASVASCMGTRPEELTARLAATGPAPGAGCGGSGAGVGAGGAEAEHERVRALREIKNQIIGNRTKKLLYLRLGAVPAVVAALAEAGASPAALVQAAAAVGSFACGVDDGARAVLAAGAVGHLTRLLTHPDEKDKQIKTKLITVLLELVEEPGDVGDEAPLALTTLIKDSLELQKQALTTNAVEKLSNHLLANSLETRRAVTILLALSELCSKLEESRSQLMSVEVSTLILEALKHDWADIRIAACSCLKNISRSPKVLSGGRLSCDTVIGPLIQLLYDSSTSVQVAALGAICNIAVNLTPRKSLLLHSGVVSQLVHLSKSMDPTLRLKSVWALRNLMFLLCPKDKDFIIKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDSANYVIGEDGMVTDAISRQLNNASSLGVCIQLRVRMVLEHCVDNADDCFM >Dexi8A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:8A:26911289:26913412:1 gene:Dexi8A01G0015850 transcript:Dexi8A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLAFRLLFLLTFLLPLTIAEDGADAAALQKLKQSFTDPTGKLDSWSSPSPPCDAASPWHGVQCYKGLLVGLRLTHMNLSGTFDFAAVAKLPGLHYINLKHNNFSGELPATIGGVRSLRALYLSFNSFSGEVPAAVFANMRWLKKLYLDHNNMSGPLPADAIAGAPRLIELHLDGNQIDGAVPFKVPATLKMFNVSHNRLTGLIPPEIAKRYDKSAFAGNPGLCGSPGSDAAVCVAAAPAPPPKMPPPTMADYMAVEEETSVFVVIGIILLVILLVSGAMTLMLRQDERNKAASAWDYYAGAADTGATATGGSSKPAASGEMVAVDVSGGGASSSNHGGGRRMGEFVLLNDEIPAFGLPDLMKASAEVLGNGTLGSAYKAAMRNGFTVAVKRMRDMNRVGRDEFEQHVHMLGELQHPNVLPPVGYHYRKEEKLIVSEYMPRGSLLFILHGDQSPNRVILDWQARVRIALGVVRGLAFLHEKLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLDADLEPRLVDYGFFPLVNTAQAPQAMFAFRSPEGATRGVVSARSDVYCLGVVLLELVTGRFPSQYLLTARGGTDVVHWAAAAVAEGGERGLVDPAIAAGGGDAAVRLLRVGVHCAKPEPECRPSMAETAWMVEEIATGGGAS >Dexi8B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:8B:2225694:2228974:1 gene:Dexi8B01G0003080 transcript:Dexi8B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCARTNLGGVCPMKSNRTSSGGVCPAKSDKNISGMCPVTGNNHGDERPGSAGNADGKSSDPRLVPAKCPFGYDSGTFKLGPLSCMICQALLHECSRCIPCSHKFCKACVSRFKDCPLCGADIEGIEPDSELQALVDSFIDGHARIKRSHGSGETEVLGGKNKVIYEDVSMERGAFLVQQAMRAFRGQNIGSAKSRLSMCAEDIREELKSSEDNLDLRSELGAVLGMLGDCCLYIHLQLVHTLAVSLNKIGDLRYYGGDLQSARSYYARSLDVRRNAVKEHPAVASQVIDLATSLAKVADVDRNLGNESTAVEGFKEAIECLEKLKLGSEQASLEERRLSVLHFLHNQLADK >Dexi3B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:3B:10429947:10434864:-1 gene:Dexi3B01G0014450 transcript:Dexi3B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPTSSDRRRRRRGRRRDALRLSRLYSFACGGRPSFAGDDDRAASAGSRIGGPGFSRVVNAGAAAAAAAAQQQLAGEGQPPPDHLAASTSNSISTTKYNVFTFLPKSLFEQFRRVANVYFLLSAGIAYSPLAAYSSSSAIAPLVVVIVATMIKEAVEDYRRNQQDTEVNNRRTRVFKDGAFQDARWKDVRVGDIVRVDKDEFFPADLVLLASSYEDAICYVETMNLDGETNLKLKQSLEATSASLPDDDSFTGFGATIRCEDPNAHLYIFVGNIEIHGGQGDDHQHPLSPQQLLLRDSKLRNTEFVYGAVVFTGHDTKVMQNAMKVPSKRSNIERKMDWVIYLLLFSLFLISVVGSIFFGIATGDDIRDDGRMKRWYLRPDDTDIFFDPKNAAVAAVLHFFTAMMLYGYFIPISLYISIEIVKLLQALFINQDIHMYHEESDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGVTEVERAMAKRKGSPLIADMDSGNQHFQPDEGKPAVKGFNFRDERVMDGNWVNQPNSQVIEMFFRLLAVCHTCIPEVDEESGKISYEAESPDEAAFVVAARELGFTFYQRTQTAVFLHEFDPMSGKQVDRSYKILNVLEFNSARKRMSVIVKNEEGKTFLFSKGADSVMFERLSGSQSAYKEVTQQHINEYADAGLRTLVLAYRELEENEYTNFDKKFTAAKNSISNDRDEKIDEVADLLERDLILLGATAVEDKLQKGVPECVDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIITLDTADIITLEKGSDKAATIKASKDSVVRQINEGKKLVNATAGEAFALIIDGRSLTYALEDDTKDMFLDLAIGCGSVICCRSSPKQKALVTRLVKKGTGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRITSMICYFFYKNITFGVTMFLYEAYTSFSGQPFYNDWSMASYNVFFTSLPVIAMGVFDQDVSARFCLKFPMLYQEGPQNLLFRWRRILGWMFYGVCSGVIVFFLSASALQHQAFRRSGEVIDQSTLGATAYTCVVWAVNLQMLITVSYFTLVQHACIWAGVALWYVFLAVYGAITPSFSTTYYQVFAGALAGAPSYWVVTLLVSAAAVVPFFAFAVAKSWFFPDYHNQIQWLRHRERAHPDPEASADVEFGHALRQFSVRSTGVGVSARRDAAVLRRVNGGATTQVHHAADSPQQQQVS >Dexi8A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:8A:29947113:29953611:1 gene:Dexi8A01G0017810 transcript:Dexi8A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEAAGAAAADASGPRFAPDDPTLPAPWKALIDGATLYYWNPETNVTQYEKPAAAAAAPTLPAGLPPPTPAQLILSLASLGNSSSRLNSRPSSSRISMRLNIKLHFSKCRISNSSRICLISQLNTPTHIPNICRTSRVLTCSNSKVHHIHIRQTSSHRCLKLLTIKVKASSHQCLKLPTIKVKASSHRCRKLPTIKVKDSSHRCLKLPTVKVKVSSHRCCNLPTIKQGMRNGPPYPRPDNFGGYNMGPPHSMPIAHNQGPLPIGASMRPPSGMFAPPDFPGLPSADAYRQHHEVTAMGENVPAPFMTFEATGFPPEILRECLYGGASKGPQLREIERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPRTRQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSINELVANKSITQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKLLEGANQHVPPQLLDMASRGGTGGPRNQAAGMSRWDGPGGSRFEPAVGGPVGYGGVREGPGGFGGREGPGVFGSHEGPGGFGGRGSPGGFGGRDGPDGFVGRKGPGGFGGRDGPGGFGGRDGPGGFGGRKGPGGFGGRDGPGSGDFGGRGGRGSGGFGGRGGASPGGFAGRGGRGDSPGFGGRGRGDFSGFGGGRGRCDSPGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRYVSDGRGRYDNRRGFGDKGRDRSYSRSPDRGRSRGYDRRSDSRSRSRSRSRSRSWSRSRSRSRSWSHSRSRSSSRSRSRSRDHGAALERRPRARSGFDVLPPATGAAGPSLTGPAPGQAVSPVPSATHAQSLTDASAMSPMSPGGLVQPLNGMNDSNFGGPRAEQPFHGTDDAMPSFHAAETFPASAVQQAAPDV >Dexi9A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:9A:11986802:11988240:1 gene:Dexi9A01G0016900 transcript:Dexi9A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIEKIGKVEEDEAVKLSVELKEKLALEENQKLAKQEEMWRKLEEEIEYYKRIILKKVESTLISEDHAPYYRGGVDQIRWINEGIRKDDKLAKRFALNLLRNLLQKHGITRGYIKCTESDVPLDFLKLLSRLHPLLGCGEPEPYPHYTNKQGHASSMYDG >Dexi4B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:4B:5732568:5733938:1 gene:Dexi4B01G0007900 transcript:Dexi4B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGPVWQVLSCVVLFLISITWPATPAAGVTMRADLTHVDRDRGFTRSELVTRMLSRSRARAASSLYRRCPSFHGHPATAAAAPATSGQAGTEYLIHLAIGSPRPQQVALELDTGSDLIWTQCASCIICFDQPSPRFNPSASTTIRTVPCSDPICAKSIDSLCTIRDHTCFYLDSYVDGTITVGSVVRDTFTFKGTTTSGDVVVPGLSFGCGFYNKGLFGNDSGIAGFGHGSRSLPSQLKVGKFSHCFTSMLDPESKSSPVFLGTPDDLTAHATGKIKSTPMRRNPAIPANNYYYVSLEGITVGDKRLPVSPSAFAINNKDGSGGTIIDSGTAITTFPTAVYELLYKEFVAQVPLPVVANSSEAGGLPLCFAVASAADADKVRVPRLVFHLEGADMDLPTENYMALIDGNQLCLMLNGLEGDLTTFIGNFQQQNMHIVYDLDNSKLFFVPAQCDKL >Dexi4B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:4B:750436:751161:-1 gene:Dexi4B01G0001180 transcript:Dexi4B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRIFPGDRVDPPVPVTDPLLAWAQEAHWSMGGLGVKRLRLQGRIEGSIDKLRRRARRDARSKSKARAAGHKPASLAALGSDDDADSDEEEVAAQERIMKAQLVDDDVDSDGSDSDQSDEEEEADDEPLATIATAAKKKRARKLSDEFDRIAAQQQQQQEKEKAVAEAPSRTSPRRQASVPASKAPAPKRKASAPAAGAPARASPRRKTAAPAAGARRTSPRNKH >Dexi1A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:1A:3412659:3416926:1 gene:Dexi1A01G0004690 transcript:Dexi1A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTAARASNSPAKRDSDASAASSPFLASPSARGSRGGEDDDGKDAHRSSPLLPHHPHHKRVHLLTSPFRSLLALEDPRSSAASSSYRILVAVLALLVGAGVFGAALLWSRINTPYLCHKEGITLHCPEICNAVAIAKIMSATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTSGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKELAGAEELAPFRRHVTSLAALDFLVCLRSDVFVMTHGGNFAKLIIGARRYAGHRLKSVKPDKGLMSKSLGDPDMGWASFAEDVVVTHRTRTGLPEPTFPSYDLWENPLTPCMCKA >Dexi1A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:1A:5208509:5211497:1 gene:Dexi1A01G0006760 transcript:Dexi1A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFVVAARRGVPLALLFLVAASLVAADASAAAAGPAAAVNLSFVLAAEKTRRKDPLDGMRYYSGGWNISDEHYWASVGFTAAPVFAAAAVWFVVFGIALFLAGCCFCCCPSGGGTSYSRACLVLSLVLLLVATAAAAVGCAVLYDGQGRFHGSTTATVDYVARQSGDTVANLRSFTGFMDTAKAAGVGPVTLPEDVKGRIDDVVRKVGAAADVLAARTSSNAAKIRAALDTVRKLLIVVAAAMLLLAFLGLVFSLCGLESIIYVVVGDTCEAMGEWVAHPQAHTALDDILPCVDTAAATEALDRSKEVNYKLVAILNGALANVTNRDDLPPQTPPPLNYNQSGPPVPLLCNPYTSDLHDRPCAPGEVIADAAAQTWQGHVCQSTTIDATSAAEVCTSPGRVTPSMYTQLAGAANVSYGLYHYGPVLVELADCTFVRETFRSIGDDHCPGLRRYSGQVFRGLLAAGVGVVMAVLLWVVHARERRRRSEAREELMMMAMASSPYKYPVEERAFLKSPARQYM >Dexi7B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:7B:18549455:18550351:-1 gene:Dexi7B01G0011530 transcript:Dexi7B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIATCLHQLFLDQKTNEGTNSLSLNQVLQSRGLIEVNQEQPYADIILSSTLQLEVEVQRCRN >Dexi2B01G0030010.1:cds pep primary_assembly:Fonio_CM05836:2B:38302246:38304841:1 gene:Dexi2B01G0030010 transcript:Dexi2B01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRSCLWCLPMGTAALPIEKQFVPPAALPSWPSSAVSDGGFAKGSIDLGGLEVRQITTFTKVWSTTQGGQDGVGATFFKPSPVPAGFSVLGHYAQPNNRPLFGHVLVARDTSGTGALLAAPMDYTLVWSSPDGAGHFWLPKAPEGYKAIGAVVTATSDKPSPDEVRCVRADFTDACETEESVLSSDKDGFSAATLRPSVRGIDARGVHAGTFVAQSSATPGAGASTLACLKNNAASYTSSMPDLAQVNSLLAAYAPHVYLHPNEAYLPSTVTWFFENGALLYQKGNQTPTPVATDGSNLPQGGGNDGGYWLDLPVDNNQREKVKRGDLGSAKVYVQAKPMLGGTVTDLAVWIFYPFNGPARAKVGLLTIALGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGTWVEASQLEYLVDGDGGNRPVAYASLHGHAMYPKAGLVLQGDARLGVGIRNDTAKGSRLDAGGRCEVVSAEYLGVAEPAWLGFEREWGPREEYDIGREINRVARILPRSVRERLAKLVEKVLVGEGPAGPKMHGNWRNDEREA >Dexi8A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:8A:2954688:2957983:-1 gene:Dexi8A01G0003870 transcript:Dexi8A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEEKSKRNDADTEGGYQPRTADISYDSASDLAAEIQKTTGDVEPSMNNYSEMFVEVKLKRNDADTEGVYQPKSDDISNDSASDIAAEIQKTMDDTTPSMNTTECPELSKEKGSCSLSLNYVEGSIPQGSKAHFLPLISAVEKSHKLVAGDSCPTPPIVEQECGYCQTLESAGQSHTLEVVHRDGSSHSPLDPALEIEEKGMKTCISASVNDFEQSHPSFTDKTSPTSSVDCVDGSSPTSESWEQPQPLEVVRPNDTPKSIVRSKPSSKYSHPMQASDLYVGITDILNLSKDCLDSRPMSNTVEPSSSSNEEGYSAVEKGHAERTKCLSGMETATAALRSSPLAEPSSSSSVSRRHIKKNSAERIECLPGMEPVTPALVNDHGSSTSTAKECLVDNSDEVNRSDNHFLCTTESKEGGQLQVPSHLNILSGKVHCSRSNLDESSNPKSGPKGRSTQNDCPKDLVSADKNVVCSYESQMEDVNSEAFPSKNVSPCKSKAIKSRMGKQLNRHAQHHTEKKTKLILKDGNFDPAQLKSCRSSKQSDLTYLSASSELSSKTKEVNDVNDAEPRSSSSARTFENAEPMKRKRLNLPHNVDAKAMQVEDSNPRCSADDGQVRMNTGHVESSMMNQRRSADNHKEVLCPGNSNQHVNNHTRTKKQRRDDKDKKVPLGNPSVQCTANDAEQLASEAPVAGGHCNLSRMPVVSAPADQQRFICPQPIDKPYWTFYADSGIMKIGQEYISMAAHLSNEARRKVQELSRSLPPVMKVTMHSKSKAWPKRFEASEPTAESIGLYFFSDNTRPNKELDRLVQYVTEHSIVLKYAFGFAKLLIFPSVLLPEQCQMFQGKHYLWGVFRRRSGTIEAATQAKQKVSTVPKTRAAEKKDHQDKAQSNAQSQETPASNGTTPSGSQPTPGAVHEVGTETDLSDHRKPQASSEAPPTKLLGIVVAQTPRSEQFIKELENQGALVFAVTGIAKPAPVGL >Dexi6A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:6A:2466233:2468070:-1 gene:Dexi6A01G0002630 transcript:Dexi6A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTETARPAAATRGARTHGEIRRPGEGFPQELRHSSLSLCNHSPMNKARIEQSTIHGEVLLSHTDLSRELDMRDGERMMEHTLALMVASSRALLGASPPAASAAAAGLRWGERNGRKAAAEGGEGSGTLE >Dexi9A01G0025810.1:cds pep primary_assembly:Fonio_CM05836:9A:26478858:26484546:1 gene:Dexi9A01G0025810 transcript:Dexi9A01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVMGKLGVLIGPDVSLLWKFKDDLESIRSTLLTLQAVLNDAEKRSSREERVRLWLKRLKFAAYDIHDILEEMESKNDMQDTVRGIALQKVSQFRAHIPIARKMKKVRQRATFSSISEDIVGRAMEKETIVAMLMAYSEEEILTISIYGFGGLGKTTLARLAFNDENVRRVFDYQVWVYVSMKFDLKKIGESILSEIDGGNCGHANLQEVSRHIQRVLASKKFLVVLDDLWEENGFQLLKLKEMLSGGAKGSKIIVTTRSEKIASLMRPCTPYKLDVLSDDDCWILFKRRAFVPGMDDPRIEGIGRDIVKKCNVFSKGVVIDKDMLIQQWIALGFIQPASGSLTLEKRGEEYIHELVSMSFLQASMISSLTLTNLTNLEHLNLACCISLEMMPGYYGCLKKLKRLNTLRLSTNYWYRDEGANIVPGGMHQVYRAKERYTPHMSNCSS >Dexi4B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:4B:5658928:5659215:1 gene:Dexi4B01G0007830 transcript:Dexi4B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAADERRKAPRLVPRRGQVLKRVLASIFACRLLFRRNRAPRGRRGNRVPAGGGHVEPEPDVAARAAAAAHHHDNTATNYPPLAEGDDATY >Dexi9A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:9A:5506815:5510143:1 gene:Dexi9A01G0009200 transcript:Dexi9A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAFLAGFPQLAAQPARGRVGTGAPARVALAAIGSAKVGARAGVKAVAARRGVRCRASLIEPDGGRLVDLVAPEEGGRREALRREAASLPHRVRLGRVEKEWVHVLSEGWASPLQGFMREHEFLQALHFNAIRGADGRMVNMSVPIVLSVGDAQRRAIQADGATRVALVDDRDCPIAVLSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLDMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTAKRDLYDADHGKKVLSMAPGLERLNILPFRMRTLAKNRESPPDGFICPGGWKVLVEYYDSLVPSEGSSKLREPVAA >Dexi4B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:4B:11437632:11440249:1 gene:Dexi4B01G0013190 transcript:Dexi4B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHRYTAALVLLLTISTRLLPLHAASSPALPDPASLEPSLLFPSTSTTTSPTQPQSATGASTTIPAFPEQSEAAATTSICQLTPSPPLLPAVVASCNANTGVGSSGGVLTPRLRCCPVLAAWLYAAYAPTALSSPRVAGGEASVAEAAAVVDMPVVPDDAEECAGAADRALRAAGAVLPRPQAQQGEGGNGTAACDVAFCYCGVRLRRPRCAAPEGRMARRLERDCAVPGIEGCSKCLRALNKLSGKGNATSSAKARQEKREDCQVMGLTWLLQRNATRHQEAATAVIQALMAADEAGAGHQATCALPGDDELPVAVGSSQINGAVTAASPFAAGRLLLVLLGASLAFVSRCL >Dexi8B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:8B:13815798:13816204:1 gene:Dexi8B01G0008740 transcript:Dexi8B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAPPDGRCGGCLACLRDVVQALSMGSCLTTEQQPAVAVPSGKEREARTREEEVPGRIARNGVSNVACLFPRQGRKGTNQDAMVVWEISLSSITESLGNIPYH >Dexi9B01G0017370.1:cds pep primary_assembly:Fonio_CM05836:9B:12211435:12213497:-1 gene:Dexi9B01G0017370 transcript:Dexi9B01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPAPAPPAASWRAAPWRRHGSATAAAPSTTPKSLLLLLPVLLLLLFALSRAPDLTFSSTSTAADAAADEAASFSSSSRHIRPFDCYASPQASPVVANLVEGVPYPFFYSLADMGTLPDHPHKNIARLLKGKRFRKPDISETIQELLGGEVGRGDPSGGVVVDVGGNVGMASFAAAVMGFRVVVLEPVFENLQRICDGVYLNRVLDRVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNQEVAVVVSTIPLDEVIPDTERVLMIKIDVQGWEYHVLRGASKLLSRRKGEAPYLIYEEDERLLQASNTSTLEIRAFLSSVGYNDCTRHGTDAHCTKE >Dexi4A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:4A:21580102:21583616:-1 gene:Dexi4A01G0017710 transcript:Dexi4A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAPGTPSSLLLSPRRASSSFRAAAPRFRSPRCVLGSEQLRVVDGGKRKAGIESRGAVWTPKAPAPEARLAALPRDTPDSRMKIFSGTANRPLSQEIAAYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIYGQPSCN >Dexi4A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:4A:2886760:2888088:-1 gene:Dexi4A01G0004060 transcript:Dexi4A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGERTPAAAAALVALLCVSWAAAAAAQKYNAIFNFGDSITDTGNLCTNGKPSQITFTQPPYGETYFGTPTCRCSDGRVIVDFLIRAAVLATVQVDDGGLQEGSQHGDHRRDGHGRTFLPLAGSLRQDLEQRANQLPVTVVTSSVCGTDCKSYLGNSLFVFGEFGGNDYNAMLFGNYNTDQASTYTPQIVSTIASGVEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNSGDYDNLGCLKKFNDLSTNHNNQLQSQLSTLQAKYKSARIMYADFYSGVYDMVKNPGSYGQFTFSFFLPPREYFGPG >Dexi5A01G0035530.1:cds pep primary_assembly:Fonio_CM05836:5A:37306455:37308211:-1 gene:Dexi5A01G0035530 transcript:Dexi5A01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTHPYNSPPLPYQYPSPPASHKSPPLPYQYSPPPSNQFPPPQIYHTSPPPYQQSMPPNSYQTPPPLQGTGSPVPSHKFLPPPYYYNSPPPQYQHNYVPPPLVHQYPPPPHIHKSPLLPSTPATPFSYNSPPPQHQYNYVPPPLAHQYPPPPHIHKSPLLPPTPATPISYNSPPPYNYQSSQSPAQYSPQLPLTAPNHLHPEVPHAKSPPASASPQPLYQHNSPPPSIEALPPTTPPLPRWTTLLASDSENSPERGKSGGRHAAVMGVVTVSPVSASTPATFGKHRDGAADLVGILTLRVGFGPW >Dexi1A01G0025560.1:cds pep primary_assembly:Fonio_CM05836:1A:31729359:31733394:1 gene:Dexi1A01G0025560 transcript:Dexi1A01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGHVTTKNLPGMMKKLRGLNEVVSEEEIAAFLSDSYPDNDQEIEFESFLREYLNLQAKVSAKVGGGGAGGGGGKTSSSFLKSSTTTLLHNLNQAEKSSYVAHINTYLRDDPFLRKYLPIDPAGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSSDVKDGEAYAYLLKALAPEHSPETTLDAKDPDERAKMILEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTKQVTLTQTATRDDVLLSREERAFRMWINSLGVESYVNSVFEDVRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHTQGSQGKEITDADILNWANSKVKASGRTSRMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEADEDKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSEMPEQSEPSSLTSDAASDIASEDGASTTAPSESEEVNSLSDGMSNLTTDDATSNAPSTENGNGVAGS >Dexi1B01G0030160.1:cds pep primary_assembly:Fonio_CM05836:1B:34236224:34236980:-1 gene:Dexi1B01G0030160 transcript:Dexi1B01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHAAVAMEEVLDLVRGFVDVLVLAGGRTSSGTTATWSSDEVKKALRWALFFEEIFKDLRESGHYEDSAAELDAALTELTSSPEFPKGLAGVRSETLSTARVLVIRHFLKARAMSVENLGALLEAVVEMDIDVTCASGNP >Dexi9A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:9A:6337822:6342098:1 gene:Dexi9A01G0010420 transcript:Dexi9A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSYLRGRGNPDDAARPPPGPSSFPSSSKVSVQVYTVPSSTSGGVGARAHHVAAPSSHASGRSGHHGGRVRDLDPVKARIVGCPPEAAEEIAAAAREREASQRAAAGDAHATRPEDPELDQFMVESYRELLVAWKEELSRPLREAKEFLTTVESQLNSITSTVPHLGALVSADDKTGLDDLTDNDQDEEGSGMESEEALGIDPCSNDKELKRRLLKKYKGCLGGLRKELCKKRKKGKLPKEARQKLLSWWELHYRWPYPSEMEKIALAESTGLEHKQINNWFINQRKRHWKPSEEMQFAVMDGYHSVPPNATALYVDAGLVGAAPAMLYARTDHGAHGLWHE >Dexi4A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:4A:19223681:19226667:-1 gene:Dexi4A01G0015840 transcript:Dexi4A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGPGNKNAFKGLIAAEYSGVKVELVKNFEMGVSNKTPEFLKMNPLGKVPVLETPEGAVFESNAIARYVARLKDDSPLFGSSRIEQAHVEQWIDFAATEVDAGLGWYLFPRLGFRPYAQTTEEAAIASLKRALGSLNTHLASKTFLVGHSVTLADIVLTCNLYLGFARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQAESVPPVQKKAAPAKEAKAKDVKKEAPKEAPKPKAVEAPAEEEAPKPKPKNPLDLLPPSTMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDDAQKERVNAMIEDQEPFEGEALLDAKCFK >DexiUA01G0009840.1:cds pep primary_assembly:Fonio_CM05836:UA:19359438:19365693:1 gene:DexiUA01G0009840 transcript:DexiUA01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDSVRLLAVEGCAALGKLLEPQDCAEHILPVIVNLSQDKSWRVRYMVANQLYELCEAVGPEPTSADLVQAYVRLLRDNEAEVRIAAAGKVTKFCKILSAEIAIQYVLPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVFSIRDAAANNLKRLAEEFSPEWAMQHIIPQVLEKINNPHYLYRMTTLQAISLLAPVMGADITCQQVPNMKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMVMSS >Dexi7A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:7A:22433065:22437323:-1 gene:Dexi7A01G0012160 transcript:Dexi7A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGGGGGFNAPSTTSGRRRNPGDEEEDEEEETGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAADAAVRIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIKALLGKLECSGDSSLQNALELVHGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIEKCKKSKIRCSIIGLAAEIFICKHICEETGGSYTVALDEMDKRASMFAAQNAANTSAWIAIFTSMRACTIAQVARVNAVSHRSEMQLRVLFRFAYKPGCISELDYMK >Dexi5B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:5B:845197:847842:1 gene:Dexi5B01G0001330 transcript:Dexi5B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVRRVGSSSRPALPTFLLFALLGGAFLAVPALAGDTILPGEGIPGNQTLVSKNGEFELGFFSVGTSIHRFLAVRFKKMPTTSPKFWIRNGAVVTDLSAAALEVFGGSLCIKEAGASLCCSSVAGDGPPPPSAAAVLLDSGNLVVRDQANNTRILWQSFDYPGDALLPGARLGFVRETGRNVSMTYKDSSHNGTISVDQSRKNGFVLTIDGLDSLGTFPDWMVTSQDNGSLLILNHPESPNVTEFLQFILGQVSLMRWSEGSAGANSIGWVARWTFPSDCKSGGFFCGAFGACTSNGKCNCLDGFEPKYPAEWGLGYFATGCLRSLPLSCETNGQTEHDDSFILVDKLQGLPYNSLNDLAQSDEV >Dexi1A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:1A:22812366:22812895:1 gene:Dexi1A01G0015760 transcript:Dexi1A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGHSKHPMIELTMCNRLPSLGLAPRLITERSRRHLSLSSMSLVTQNPRTSPSAAMTPASIALVAILVNRVLRLVDLVLVHCIPVAHLYMSNGQCRPGTTAHRAGPGRSPPCQAVSSCWPCRATTVPRAAASAHGPAHGLIDRAAFLVPCRPMTHQHSNF >Dexi1B01G0003290.1:cds pep primary_assembly:Fonio_CM05836:1B:2625601:2627113:-1 gene:Dexi1B01G0003290 transcript:Dexi1B01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYVSCMVAYSGISSNSQMVAIFEGKNFVFDHRISVGSLKENILGTCLICDSSYDDYSVRCCCSHCRMLVLVCPTWLHDSTKEHVCELCQKNGKEHSQISTRQDCDIEIGLSEPSCVGKPSASNHNVNSRVPWSNGKVCISFDCTLWTTEQLKRLRILCLHGFRQNASNFKGRTSALVKKLKHIAELVFIDAPHDHSFVYQPIKGHCSDKPSPPSVTPKRKFAWLIDPNSSCITEQDWKAADAPFDPLQYQQQTEGVEESYAYLENTISQMGSFDGILGFSQGAAMAALFCRRQQKTCGAPKFRFGVFCSGYPAPVGDFDGEPIKLPSLHCFGFGEGHDM >Dexi8B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:8B:13487462:13489028:1 gene:Dexi8B01G0008700 transcript:Dexi8B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRVLRLPFGTATLLLLLLAGGAAADDASSNDDAGAPSTPGCSNKFQLVKVKHWVNGTEGPTIVGLSARFGAPLPREMLEAQKSFAVLANPLDCCSNLTSKLTNFVALATRGECAFTTKAKIAQASGAVGLLVINDNEELYKMVCSENDTSINVTIPVVMIPQSAGKKLKNVLDHGASGKIPEILFADHVIK >Dexi2A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:2A:2089261:2092663:-1 gene:Dexi2A01G0002610 transcript:Dexi2A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALPHSHLRLHLPLRTPNHPSRHRLPSIVSASRLQNPTTAADPVLPAPSPVPSAALLAAEGASLAPRREHRFPGSVSTPTSSATGGGGISEAEDAVLRRALEVRRAVAAEVLVAALAGGKVGGMTYIKNLTARMGPFVDRVVVEAAAMRRDRPDLAHMSFNARAKVYIQESDLIELVNCGVRRDWIGYVVIRCPNLLNLSMDELETRVRLYTDMGMDEKDFGTMVYDYPRVLGYLSLEEMNSKIQYLKEFGLSTEELGRLLAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLLVQPTIFCLDLETVIAPKVQFLLDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVIFLLTKAGVKQEDIGKVIALDPQLLGCSIAHKLEVSVKYFRSLGIYHFVLGQMVADFPTLLRYNTDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHRTLVANRINMKLRYMLTGSDDEFAQRVRDAVERRARFEAGKGDVETFSDDSETTGEEEATEAAACQNSMQVD >Dexi9A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:9A:6215098:6215438:-1 gene:Dexi9A01G0010240 transcript:Dexi9A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAMDEKTDVYSFGVVVLELVTGRRPLGDFGDEIDLVHWARTAVSRPSDAAAVLAVADPRLPQEPASLIAGLFRVGMSCVRESSQARPTMREVVHVLSSFVPVADHP >Dexi5A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:5A:11395839:11397995:-1 gene:Dexi5A01G0014390 transcript:Dexi5A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTLIGEGTHAKVFLGELKDGRKSAVKKLGQNSVVKNLDGFFSEPDDEFVLQVQAVASLKHDNVVQLLGYCVDGNVRAVIYEYSSRGSLRDILLGTRPGQILSWAQRVKIALSIAQGIEFLHRTKPSIIHSDIKSSNILLFDNDVAKIGDLCISKNRPGYLDDIILDRVCPSPTNCYEAPECKETGEFTRESDIFSFGVVLLELLTGRISGYSQNGIMIGAMTGLSENMVQQCVDPRLGGNYPPKAAAKVKLFDLY >Dexi3B01G0032360.1:cds pep primary_assembly:Fonio_CM05836:3B:34716112:34717409:-1 gene:Dexi3B01G0032360 transcript:Dexi3B01G0032360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPCFGSAQAAEQERRAEADRRESQEARTKAAEAAQRRQEQFDKSAAGRAAKAQMKAMKESKTSNQGEPVLKWQMGS >Dexi4A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:4A:6309499:6313267:1 gene:Dexi4A01G0008380 transcript:Dexi4A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKVRPAGAAARRAAMRWWLLSLAATGAAVTAAAALLAVALHLSGSAAASASSGAHYRLSQPREAEELRWEQEVAPPQLASPQSRKLDGAAEKSLWLPAPSRRFAPCLAPSPEYKGPVASRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWHDRSNFSDVFDEEHFINSLASDVKIERKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWDHRQVIRAAKSDSRLANNYLPIDIQKLRCRAFFQALRFAPPIEALGKLLVERMRSFGPKALVRLFDKVNSGLLREGKRLSERILDIHRKRQGSPRKRKGPVSGTKGKDRFRSEEAFYENPLPDCLCQPGSPDSDDTLVSI >Dexi2A01G0026850.1:cds pep primary_assembly:Fonio_CM05836:2A:38382065:38382263:1 gene:Dexi2A01G0026850 transcript:Dexi2A01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLFPAVVGLGAGRISFGGGLAMVSEADGLGAQDGGRDGGEVVGASEGLIILTCRRGTWTVP >DexiUA01G0013360.1:cds pep primary_assembly:Fonio_CM05836:UA:27794591:27794914:1 gene:DexiUA01G0013360 transcript:DexiUA01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKRKSSGSGSRSPVRRWAGGADEEAEKVPRGHVPMVAGGDSAEDGGERVMVPVRLLSDPCIVELLDMAAQRYGYCQPGVLRVPCDAGQFRQVVDGAMHRCGITSA >Dexi9B01G0028320.1:cds pep primary_assembly:Fonio_CM05836:9B:30936325:30936762:1 gene:Dexi9B01G0028320 transcript:Dexi9B01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLALLLTVALLLSSASTSSACGSTCPPSPGTPSGGGGGGGGGGGGGEGGGGGGNPGSCPIDTLKLEVCANVLQLLRLKIGVPDDEQCCPLLQGLTDLDAAVCLCLAIRANVLGIVLNVPVDLTLLLNYCHKDRVAGFICPAN >Dexi2B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:2B:18286105:18297319:1 gene:Dexi2B01G0012450 transcript:Dexi2B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSVAYSQIDEESLVCRRRSPWRAAAAAPGAPPLPLVAWCAFGLRWTAGVAGWRHGAACSVRLTSSARTTAQHGSAWAAWPSGGWRLRPPNIKSFIDGVTTIPLENVELPLKDFAWEFDKQHLSCLLASTDADIVEASLQTLMASVNKSVGKSSIRISTEVGSTLHFEFYRGSDKSEKSQSPDSENRLEVIHVPNVNTCKETDLEVLDKLVKDYSVPQALRRCKDFGGGVFSLAANVMSDLIHKDPTCYAVLDAAGLPQAFLDAIMDGVLYNSDAIIFESSQWGHNRGLINEESDSHKECDNSSAAVPMDTDLEGATAQSEGVPSEVGCSGKMVETALDAMASSSIELFLPECICNVAHAEILKELGKVYIEVTWQISLLSDAKVDKQESDQDDVPLDASVSNASERDSDDDTNLKQSRNSVGVANQRVTSPTLDESAIATIVEMGFSRARAEEALRSVRTNSLEMATDWLFSHPEEFVQEDVQLAQALALSLGSSVETLKEDGSNKNDTANSDEKGVFVLPLDDILTVSTKLFCSSDSTMAFSLTDLLVTLCNRNKGDYRERVVLYLFEQLRSFSTDTVVDTGALDSVAHLLALLLSEDSGIGEIGAENGVIPHVLIMLENLKSRTDQPDQTEDFKSRTDQTDRTWNAISALLLILDNMLQFNPNLNTEATDGASKSTSDAFSADSKANPAPTDEKKIETMDSADDTSANVFEKILGRSTGYLTDLESQKALVFCCEFIKKHVPSTVMQAVLQLSARLTKTHALAAQFFESGGLSALLNLPSACIFPGFETLASAIVRHLIEDPQTLQSAMELEIRQSLSNRGSRTPRSFLTNMAPLISRDPVIFMRAVTSVCQLDSSGGRMGVVLLKEKDREKQKLQTETSVPCNEPVQVAADIKSVDKPNRYSRSHKKVPASLSQVIDQLLEIIVSYPSATKEQGFDGYSLLTPMDVDEPNTKGKSKVDDGQELEGDARSERSTLLAKLAFVLKLMSEILLIQSNLPVLGCSPDIAKPMVDGGMVQSLSGLLKVIDLDHPDAAKVVNLILKALDSLTRTAYASDQVLKSDRHNKNILPGSHEQTQEADDNVIHEQNTDNGIHHTDDIIQATNQQPQELSQVDGDHNESHGQPAEQEMGVDLVETNSIGSPPVIGVEFMREETINVMPASADVGLAFPMQHQADDEMGEEDEDIGEEGEDEDEDEDDVEIADEGAGLMSIGDTDIEDQENNVIGDEYNDDLMDEEDDDFFENRVIEVRWRESLTGMDHHLRFSRGHADSSGFVDISSDSFHGVGTDDSFNLHRSFGLERRRQSGSRSLLDRPRSDGNAFLHPLLVRPAQAREGTGSAWPSGGTLSTDFHTLSFGNSDIPFYMLDAGFPPESAPSLFGERVVSTAPPPLIDFSLRMDSLRMRRGPGDNPWTDDSQPQAGNHAAAIAQAIEDQFMSHLTVASNSNNAPQLQPEQAGNDGNAQLPSLDTENAEPIATDSPAQPAPENDGLCPTNDGLCPTNDDQPAHQQVHTVNQESAPENDGLCPTNVQVNLQLVDSVHDNCVEEAVQQTAAADRIPQSDEIMSIVDTQLGGCSDRDSLYGNQSYDHIMHNEISAPQQVQLSNDPRDAPSDLESSCHAFVTSSSVAPELSDAHVGSATVNADVDMNNVDIADNEVGDSACGSDGNDLSSRRHEEAHQEPQTEQQNANNEASSANEIDPTFLEALPEDLRAEVLASQQNRSIPAASYTPPAAEEIDPEFLAALPPDIQAEVLAQQHAQRVAHAQPVGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGGRRLPAVNQTVIDRAVGATVGRRVISATPGSSKGKDVQRTPLLDSDALHALIRLLQLVPVMSKLCAVSH >Dexi3A01G0025110.1:cds pep primary_assembly:Fonio_CM05836:3A:20729851:20730698:1 gene:Dexi3A01G0025110 transcript:Dexi3A01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGANGRKSIKDFQTLLHQGYSRASSSSSATPPVPLPLQAPPSRPCPRPPAKPKLLIFIRKQNRVLLNLPHVVTACRKAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNMKRFGELLKAARTHLKNTTACAKGAGAATALR >Dexi3A01G0022320.1:cds pep primary_assembly:Fonio_CM05836:3A:17919969:17924362:1 gene:Dexi3A01G0022320 transcript:Dexi3A01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGEQAEEAIVADVGNGKEAVRAMGVVGDDDAEHEQHRDGGGFSMKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVLLQIFYGLMGSWTAYLISVLYVEYRARKEREGVSFRNHVIQWFEVLDGLLGPYWKIAGLVFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPNKLVLYFTGATNILYTFGGHAITVEIMHAMWKPRKFKYIYLLATVYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHETRSVCLRALVRLPIVVPVWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRSASARLCHTHRGADGCVAGPVRSPELDRHRVSRYGRRRRGHAVRRGAGRVRPSDRPAGRDRHRNALRQPDGRWGVAVPAFLDLAAAKPGAPYLGTPKGTRNRNAAEKPPSFMPSWSGMFVVNAFVVAWVLVVGFGLGGWASITNFVKQIDTFGLFAKCYQCPPKPHAGSPLPAPPHH >Dexi3B01G0025010.1:cds pep primary_assembly:Fonio_CM05836:3B:19808467:19811233:1 gene:Dexi3B01G0025010 transcript:Dexi3B01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSFLLTTAVLATVPCVIRLLHRFLWVPYRLQRRFTRQGIVGPPRRLLSGNAAEFRALLAAAAARPGAALLASFHHHDGVVARVVPHYHEWSSRYGTPFVYWFGPRPRMVVSDPEIVKAVMTDSTGAFDKSGSGGGNPLAGQLFGEGLVGLSGEKWACHRRVVAPAFNMERVKAWIPEIAAIVSSMLDKWEVQGKNHAEFEIDIYEGFHTLSADVISRVAFGSSYEEGNRIFRLQQEQMELASLAMRTVYIPGFR >Dexi8B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:8B:21685277:21686119:1 gene:Dexi8B01G0012340 transcript:Dexi8B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTSTAPPRTKAGITRNQADERGLGRPRSVRRPHHPPKHLHGLSQAPRARVPADHGVPRHGVRARHSVEHLPRGVQVPGLGVHPDERRSDEEVGRDPGGRRGSPNAAAELRVRGARAGRGGEVVRVAVGRAGARREHGAEEPGGLAREAQGEVTPEHGVVKERLGRRRGDGHGGVEGPARGIGVAEAGVAGDEEGREVAVGGEAGDDGERVGAAGVRGSVGGGGDGGGEGFLEGLGRR >Dexi8B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:8B:3793691:3798570:1 gene:Dexi8B01G0004290 transcript:Dexi8B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSPRLAYNKRAPPEAAAGIHHIPHHHRRPPEVRHLAAGHLAATTPGRPVPGADAARPRRRPPPLSMAAAPAGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMASGGDGQKQLAATSVGDASLHQPVGLPSAIHAASVMAGVLGGAQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKGLTTRNKELSKKLLEGIPHLPKALFQAQIMLGMVTPQMMQMAKNQQPSSSLAQSSTHLNEQANMPPNPTVLPEQTATLHTFPPYQHSSQPPVKVFPHGHQSGLATHPPILSQPLGGSSSVPTQPLVASVGFMSHIQPPFMPQHPRPPVMPTSVQQVPLTHPHLPQVAAGVETLPGEIRMADQASHLAEFAHPSKLRKLEDGTSVPGMVNSSHLAYAAPPQAVGPDGPSGSYSAGAVSSQQPGSEAQLTPDIESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSAGK >Dexi9A01G0023960.1:cds pep primary_assembly:Fonio_CM05836:9A:19464696:19468399:-1 gene:Dexi9A01G0023960 transcript:Dexi9A01G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPDQSPRPVRFGILGCASIARKLARAMLLAPGAAVAAVGSRSEEKARLFAADNGLDAATTRLHGSYEALLDDPDVDAVYLPLPTSLHVRWATAAAVRGKHVLLEKPTALCAADLDAILAACDAAGVQFMDSTMWMHHPRTAKMRELVDGKEAIGDIKVINSVFSFRANEDFLQNDIRVKPDLDALGSLGDVGWYCIRAILWAVDYELPKTVIALRDPVKNRAGVLLACGATLYWADGKTATFNCSFLTNLTMDVTLVGTNGTLHLTDFVIPYEEKSAEFCVASKSNFAKLHTGWDPLPSKHVVTTDLPQEALMVQEFARLVQNIRDAGGNPEGKWPAITRKTQVVLDAVKASIDKGSEPIEVAS >Dexi1B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:1B:10492537:10494556:-1 gene:Dexi1B01G0010680 transcript:Dexi1B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGSKRGGGRGRKALVAVLDNEANISAGKVAQSGDLSASSAPKAKRAPARSGKAPKASAAAAAAASVVDDVAELQGMLERLRLEKEKAEEMVRERDEVIRKKEEEIETKEKEQERLQAELRKVQRAKEFKPTVSLPLVKSLLEKDQEVDDKGKKKKGKGKAVQERKKPCTAYVLWLKDQWTEIKKENPDADFKEMSNALGAKWKALGAEDKQPYEEQYRQEKEAYLQVVGQEKREAEAMKLLEEQQMQWTAKELLDQYLKFRQEAEEGDGKKGKRKNSKKDKDPSKPRQPMSAYFVYSQERRAALVAEKKNVPEIGKITGEEWKNMTQAQKVPYEEVAKKQKEEYLKQMEVYKQKKIEEAASLEKEEEEQKKIMKQEALQLLKKKEKTDNIIKKTKEQRQKKKQENADPNKPKKPASSFILFSKEARKQLLEERPGVNNSTLNALISVKWKELSGEERQAWNDKAAPAMAAYKKEME >DexiUA01G0021240.1:cds pep primary_assembly:Fonio_CM05836:UA:43959360:43960061:-1 gene:DexiUA01G0021240 transcript:DexiUA01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMKKEMIAESGSPCSSPTASTSTSSEHQTVWTSPPKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAISGAGACLNFADSAWLLAVPDSYASLAEVRRGVAEAVEDFVRREAVHEDDALAATSSTPSSPASDDGGATEGEESTDSSPDAGVSPFELDVFNDMSWDLYYASLAQGMLMELPAAAMEFGEANVIDVPLWSY >Dexi9B01G0023970.1:cds pep primary_assembly:Fonio_CM05836:9B:19414976:19417704:-1 gene:Dexi9B01G0023970 transcript:Dexi9B01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQDFRYSIPIETCNSCIVNDISSLCCSAGAILRARQILATIPGGATGAYSDSQGIKGLRDAIASGISSRDGFPANADDIFLTVKIHRLHLQPGDLSYASYKAAKDGILQSLARRAKALENAFNSLEGFTCNKAEGAMYLFPKIHLPQKAIEAAKAAKEAPDAFYVLRLLQSTGILVVPGYGFGQ >Dexi3A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:3A:17091092:17098502:1 gene:Dexi3A01G0021500 transcript:Dexi3A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKHFLERQPELSGQNAGDNGKGGGSESLQEKTSPGPRKIEIWTPIRKSLGNIEQMMSLRVEKNQSSAGEPQARDGTHSVKVEEGKLSEDSDDEFYDVDKVDPSQEVHSGDTGNADVGGRGHEETYISKEELECLVHGGLPMALRGELWQAFVGTRARRVEGYYDSLAAEGESENNTCSDSSTSEGIHEKWIGQIEKDLPRTFPGHPALDEDGRNALRRLLIAYAKHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEDLVREKFPKLVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQTVNETILQELSDKHRPSVISSMEERAKGLGAWTDTNGLASKLYNFKRDPEPLVSLSDSADQLSDVGDGGANQENDPGNMDDMYGGVTVNSEIDSLPDPKDQVAWLKLELCRLIEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQLEQEISELRQALSDKQEQEEAMFQVLMRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRTSMLDASPSQASQASSHEFQTKRKNLLGPFSLSWRDKNKEKQNNADDSTNMKLSNNNDEMVETPIKDDEKQRETLEFDSEQTAESPKDGKLRSDMPEKDNDVLGFPIATSDLNGHHEQMQEIKLD >Dexi4A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:4A:298789:300408:1 gene:Dexi4A01G0000440 transcript:Dexi4A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGMATPAALLLSNHSPPHRRRCLLRPPGFLLQKLHRPRTCITLHQDDKRLRHHVLRPPDAPAIIAPGDHWGNWAFLLSAAAFGTWSEENTPWGAALSGALVSIMAGLAATAAGIISPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRATGDLLKAFLIGSVATMIGTLVAYVLVPMRSLGQDSWKLAAALMGSYIGGAVNYVAISEALGVTPSVLAAGVAADNLISALYFMALFSLASNIPAEPPENAAAADTRTAGGRRFTALNGGMAIALSFVICKAGSAIAHQLGIHGATLPCVTALVVFLATAFPRQLGELAPAGETMALILMQLFFAVVGANGNVVDAVTKAPAVFAFALVQVSVHLAVVLGVGKLMGIDRKPLLIASNANVGGPTTAAAMATAKGWSSLVVPGILVGIFGISIATFLGIGFGMLVLRRMCG >Dexi1B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:1B:11308006:11308481:-1 gene:Dexi1B01G0011210 transcript:Dexi1B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDEHSLPRLRRPLAVSAACCVAAAVAGAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGASLTYLVAVCGIC >Dexi7B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:7B:24669182:24684464:-1 gene:Dexi7B01G0019050 transcript:Dexi7B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSAPSPAAAPVQVRCAGCHGVLAVAPGLTEFICPKCGMEQRLPPQLMPKSTSSSSPPPKSPVKPSPSPPRKPRRGAPPAQGVDPTKIQLPCAHCQAILNVPHGLARFRCPQCGVDLAVDHAKLQNFLASSKPSGPAPASGPTTQAPPVPFLPILPPGVTQPLQMVAGATIPMMLPTLEPEEINEVAIDVEREEDEGGTVGETFTDYRPAKLSLGLSHPDPVVETSSLSAVQPPEPTYNLNIKDELDETKTLSCLQIETIVYACQRHLHHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGHEFEGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQNHNSMNSSINLPNEAAFIYGNVSGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDVVEAPLEERMMVKSYDVDEFSAFKLLLNLIMFSFRFLIVLFIMQNMYRKAAEFWAELRLELISASELFAEEKGNSNQIWRLYWASHQRFFRHLCMSAKVPAVVRLAKEGMAENKCVVVGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIQRKRHSAPYISFKGRVRKVAKMVDVSDDDTDDYSPSESDHESTESDEEFHMCQICNTEEIVEDEEKEQDANVADFSKRYDAAVEKKLKILDTIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQDSFPVVPPDCTDDEASIQEFINEAKTALISVGIIRDAVVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPNVQNRLFDLFTSILDVVLHHARIEGQVDSGIVDIKAKKVEMKESPKLAKAKLEEKQKDFPGSSNDGFYESRREWMGRRHFILAFEGSSEGMYKIIRPAIGDALREMPSTELKSKYRKVSSIDKVGKGWQEEYDASSKQCLFTSFQCMHGSKCKIGSYCTVGRRLQEVNILGGLILPVWGTIEKALAKQVRQVHKRIRVVRLVTTNDAQRIVGLLIPNSAVESVLTGLQWVQDIDD >Dexi5B01G0026800.1:cds pep primary_assembly:Fonio_CM05836:5B:28483601:28484625:-1 gene:Dexi5B01G0026800 transcript:Dexi5B01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFLVQDCHVHAIARDYGALTAQNRQSMLEDTGFSFLSCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASYAGRVAWSHELTDDEAKPFISLSFIDGTEWVRL >Dexi7A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:7A:4711620:4725064:-1 gene:Dexi7A01G0001800 transcript:Dexi7A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQDVPFNIPHCIARRIHPQEGEEPKFFVRDQMLNCHVTSSQNAERESAYDIIAALMKIPFLDEDMPSPNQPLPPKEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVSKPIDMLMLNKIKESYSQIKVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDNLYSSGNGGFGMWDSYPMLPTRLKKFDNIGLVEAIVSSVLSTGRVDLQRKLFCSIQLVGGAPSTAGLAPVLEQRSILGVLDTGRDAWIHREDWIKNGVHIRSGRKYKDSYFLQAQVMCYYNI >Dexi4A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:4A:20191673:20193662:1 gene:Dexi4A01G0016570 transcript:Dexi4A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELPNTHAVDYSSFKLVLVGDGGTGKTTFVKRHISGEFEKRYEHAIHLSDSVALICLTFCSNDRCGGRPLDFATSHGKLRFYCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTSRITYKNVPTWHRDISRVCENIPVVLCGNKVDVKNRQVKAKMVTYHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGNMDLRFVAETALVPAEVTIDLDAQKKIEEEMLRASKMPLPDEDEDIMD >Dexi6A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:6A:19421390:19423429:1 gene:Dexi6A01G0012720 transcript:Dexi6A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPGIEPPRKRMATEAAAFSAARGWPKRFGDRYYSPPPRPRSRPPSPSRRAAEGRGGRGDAEEAGDATLGAWRGGAGKAEEAVDGSPAGRGCGRGGAVKSGVEARAGVGAAGGNAASDGDSAAGAAVAAPRERGGSVGGEVGSKRPFATVGSRSRVYAPPERRGVSATRSFPLGRGRGITAPLAGADDGLGLVPAPARPDGGSAALRKSATRPLSIEKAGNRRVPKKVSTPAGGALKIRDGCGGVPKEASAHAGSCGVLKKVSAHAARSLSIREAGSRAALKNVSAAVVSHPIADSSRHGPDAAARRSSDPSRRSAAAAADGFLDTGSKAVAEGGRFGRSKELVQATPLLPKSRNIPTIRRFQLEHRRVRVSQSLKSANKRPLKNMIVDRPAYLRMKVASACTKGSIDKQDDVLASIVEDDDFWKELDAYEESDHNVSSDVPSVRCQRQCGTQNTDARSKVEMMCKKFQFVLMAIVKVVERRSLKIGRIDFAAHSVVKNMRGFTKRWPIVGSVPGVEVGDQFLYKVEMALVGLHIQFRKGIDTTRDGNGALIAISIVASGRYPDELSSSGELIYTGSGGKLAGKKSDENQKLKGGNLALKNCIQTQTPVRVTFGFKVSREARAKGASAFIYDGLYRVVKCWIDGEQGSKMFRYKLQRIPGQPELPHSKTGIMRLGQ >Dexi3B01G0024950.1:cds pep primary_assembly:Fonio_CM05836:3B:19704508:19705062:1 gene:Dexi3B01G0024950 transcript:Dexi3B01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACPREPASLPVVSSQTNLHPISLPAPTASVSARAPAPCTCASSPSSPWRRPSNPARPSSAQISLHASAPSFPHRLGAQKVHDDDHGHGGGCPPDLQGMPAMKAKIAKHADYLNALNALREWMRE >Dexi5A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:5A:690752:691012:-1 gene:Dexi5A01G0001030 transcript:Dexi5A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRMPEVIIVPAPRPAASAGAGDAAKAVGKEPISPGTPSSSADACRGKERRVEEGVSLPGWKLEALCQESCPSPAMRARFPYF >Dexi4A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:4A:22850543:22857234:-1 gene:Dexi4A01G0019010 transcript:Dexi4A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPLVTFLPLLLILAAFSPEPTIATTHSKPKLFPPRTPLPTQALLRRHGRPSGSRVAGNKLAVTAAADGTNTTAVNPFTAHYFPQELDHFTFTPNASMIFYQKYLINDTFWRRPSAAGDGAAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIESESLNCFSVIKASWDVLEERGSSEKGLLELSKMFRACQPLKYADSIGNWLETAITYTAMVDYPTPANFIENLPAYPVKEMCKIIDGFPANADILEKVSAAANLFYNYTGNQSCNQIETEDNSQTTVLDGWGWQACTEMVMPMSASNESMFPPSTFSYEETSAACFKSNGVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIVALVTEKGAHHLDLRSATKDDPNWVVEQRRQEVEIIQGWIDQYHQDMA >Dexi3A01G0029430.1:cds pep primary_assembly:Fonio_CM05836:3A:31123486:31125088:1 gene:Dexi3A01G0029430 transcript:Dexi3A01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWVTTAALGSNQTTNNSDHEALLCIKSQLPQSNHTGAMATWSNSSLDFCSWQGISCSRQQGRPRRVIALDLEGEGIIGEIPPCISNLSYLARIHLPFNQFHGSMPPALGQLSMLKYINLSSNALSGEIPAELSSCSQLQVLALRNNSFNGGIPVALSNFSLIQVIDLNRNNLSGQIPSSLGNLSFLVSLYAAVNQLTGNVPDNLARLPKIQVLDLTYNNLSGMVPSGIYNLSSLRYLGLGNNGFVGRLPSTMGNTLPKIEKIVMSNFA >Dexi8A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:8A:27801991:27804304:1 gene:Dexi8A01G0016410 transcript:Dexi8A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKETLVTVVNGQLPGPVIDVTEGDSVVVHVINKSPSNITIHWHGLRQWLNCWADGVPMITQHPILPNHNFTYRLNATGQEGTLWWHAHVAGLRATLHGAFIIQPRHGASSYPFPKPHKEIPIIIGAVEDGFVLEVKPGETYLLRIINAVLFSEYYFKIAGHKFTVVGADANYVNPYTTDLIAIAPGETVDALVVADAPQGTYYMVALPNQSPLPDPQIPVFTTRGMIRYKQDDLDHGIGEQEALMPEMPDQHDTMTGFYFRGNLTGLRHPRQPHVPLQVDEDMFITLGLGSFCPHGKSCGDNWKGKSVGGASMNNVSFELPTAMAVPLLDAHYYHCNSVELHTLPDMPPRVFNYTDPALAIWWESKAASLERTTRATVVRRFRQGSVVEVVFQSTSLLQSDANPMHLHGHDMFVLAQGHGNFDAARDKRRYNLVNPQKRNTVMGSGSCIATMNFI >Dexi6B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:6B:18777469:18778653:-1 gene:Dexi6B01G0011580 transcript:Dexi6B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYGCVFVANGDDTLGPDLRRAVFGRALPELFALPFESKKRAYEEGYSSQINGMTHEIICIYKPDNSGTTSETMVSFAKNMLKLFEMLQTLVLEGLGVRAESVRGHLDQLDHTFRLAHYYGAQPDTETGINRERISVQFGVLAKPAMEVRALDDLVDVERPLAFNPLKDEECSTWRYSVEGFKFDDALKKFCGVENVPAMG >Dexi7A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:7A:23542139:23544724:1 gene:Dexi7A01G0013470 transcript:Dexi7A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLEADEGVMATDFFWSYTDEPHASRRREILSKYPQIKELFGPDPWAFIKIAMVVSLQLWTATFLRDASWLKLLTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNAISKSVWVVLQLFFYALRPLFLKPKPPGLWEFTNLAIQVALDASLVYFYGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLHKVKEIAPEYYDNLKSYRSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >Dexi7A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:7A:25995755:25996218:1 gene:Dexi7A01G0016070 transcript:Dexi7A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDASPHRAPSNIFSLELALRGLAACLNFADSPRRLRVPPQGAGHDEIRRAAVEAAELFRPQRQQQRNAGGGGGPDAAAAIAAPGAQGTLGGGEDFAYYPVDDGLEFEMQGYLDDMAQGVLIDPPPANAGQPAWIDDEFDCEVSLWSY >Dexi9B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:9B:3570496:3570771:1 gene:Dexi9B01G0006020 transcript:Dexi9B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTVLVIIFLVMMTQATMLPVHGARALERVELVAVAEASSPAKPPSSTSLRVPALIPFDGSFGEEEAYGPSAAEYLAPDCTYKTPVFGP >Dexi8A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:8A:669713:680224:1 gene:Dexi8A01G0001010 transcript:Dexi8A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCKQSVNMEEHFVLVHGACHGTWCWFKLASLLQATGHRVSCIDLAGAAGSLVDPDDVRSFDEYDAPLVEFMAALPDGHKLQLQLPAGMAVSNCWFKLASLLQASGHRVSCVDLAGAAGSLVDSNDVTSFDQYDAPLVDFMAALPDGGHKVILVGHSAGGLSVTHAMHLFGSKIKQVIFIAATMLPFGYQTQQDIKDVLDSLSSVFFQDSTLASILLRPWPAALSTARFGCIDEGVQSTVNLAEARLMGMAKEHFVLVHGEGHGAWCWFKLRWLLEGSGSGYRVTCIDLSGGGVDPTDPNTVLSFKQYDKPLIDLISTLPEGEKVILVGHGAGGLSIIHAMHEFVDRISQAFFVAATMLPFGFQTDEDKKDGLPTLPENEIELTLGAAADDPPTTIALRPEFQRDQQSQQSPEEESVLASMLMRPWPAKAISTASFEGDDERLNRVKRIFIKTQRDHMLDPEQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIVKSL >Dexi5A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:5A:24496107:24498857:1 gene:Dexi5A01G0020700 transcript:Dexi5A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVEPEAAEPPAPAAGPGADAEKDESPAPAAGLLMGAKVRRRAALYRDCKGDYIGIPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISNLSDNFFSIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEYEPEVASSNRFEYHAAAEVIKVVEFEDVDGMFSIDITQTQ >Dexi6B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:6B:22258599:22259857:-1 gene:Dexi6B01G0014800 transcript:Dexi6B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLCSGGPLDLLRSVLLGPKYDGKYLHSVVRDLLVDTKVSQVLQNIVIPTFDIKLLQPTIFSRYDARDDVSKDALLSDVCISTSAAPTYLPGHQFETNDKDGKPRAFNLIDGGVAANNPALLAMTDVSKQILLGNQDFFPIKPADYARFMVLSLGTGSAKIEEKFDAQMGHTGLALQKGATPIIDSFSQASSDLVDIHASVLFQALHCEKSYLRIQDDELKGDTSSVDVSTKENLNRLVDVGKALLKKPACKVNIETGKNEPDGTRGTNEKELIHFAKMLVDERRARLKKKANNIL >Dexi3A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:3A:11582429:11582935:-1 gene:Dexi3A01G0015550 transcript:Dexi3A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARRERRHHRKGAAAGGVGGVVGAGNGGGGGGAAAAAAAARAAYGDVFGGPPRFAAPFGGAPLDYAEVFGGVTATCTIPYLDLPPAAAGGVDGGFLACRGKGDYGEIFGRFDFADFALPYEDLFGGPGPEAEEREPEITFVTFASSSFLALQHSRVFR >DexiUA01G0003630.1:cds pep primary_assembly:Fonio_CM05836:UA:7382090:7384297:-1 gene:DexiUA01G0003630 transcript:DexiUA01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGEGRPPLGESNLLPAGQEIRFGSLRFQTCGDDYHMRILQEDPSDRPGPHHQPPATPRAADIGDPHPTREGDILWSGSQERAAPFLPGPMAAPRATTAHSYPYGLRNSADAYASFIRTTMSANGNQPGCHPASEQDFAGPSPGDPRAESDDGYAFMRGHPGWDYSGLRDPEAFMAFQTAADYCFGYSDDEYDPTRECFVINDGQLSEGSTSNDDRGGDDQGDNDGIDPIGAQPPDPTSGIRATCHELLAPTTWEVGDNDGIDPIGAQPPDPSDHSPSEDERHPRHLPRVARPHDLGSGDVSPPARSDHELSKQGHEHGTDARHAGRAKMTISPPRTSQKLIAAAALLRAMPEAATPEGRKLHLEAQKLVETAARQQAESSASRIRRSAASKGERGGESSVRSPRPNGRARAQSRSDSCRDSAQRHANEPRTPEARTLPARVPARSRLRDTRGAEEDQHVGGPQHDEPPGEVEEGGGDEHGAAAELVGDGAREGGDDGGADERRGHHEALHRGLGLQVELALDVQDGAGDGGVVPTLKKVADGGRDGHPNRALHAFLPSFLPSFLPLSLPLSLSLCASTRLLGLQCELASPLIDRSICFC >Dexi2A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:2A:13224323:13225287:-1 gene:Dexi2A01G0011340 transcript:Dexi2A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSKPEVAAMVGGGQPVGACCRGRDGRAEETGEGSGGAANKDGGRVVVVPVVDGGEVVVEPCVIAVDDSSVDRALVTALLRRSKYRVTAVDSGKRALEILGAESSELKQIPVVIMSSENVPTRISRCLEEGAEDFLLKPVRPADISRITTRMLH >Dexi2A01G0033430.1:cds pep primary_assembly:Fonio_CM05836:2A:43627010:43628203:-1 gene:Dexi2A01G0033430 transcript:Dexi2A01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHLRAALSRLVRSQSRLPTTSHAPSVVVFAHRLLASSAAAASSSRSFKFKVDDYLVSRCGLTRAQAVKAAAKLSHLRSRAKPEAVLTYLENNLGIPSADVGRVPVICPLFLCSDVERTLAPRVADLRYLGLSRDDIARLVLLVPNSFRYRHLTSNLEFWLAELGSFDKIVRAIMLCSQLLSTDLDKVTRPNVAFLQQCGMNISQIATTSLYSTRLFTMNPKLLKDTVQRAEELGVDRGSRMFRHALALVAFTDEEVVARRIRLLRNLGFSKDDVRTIVRKQPVVLALSEQKVQENANFLMKDVGLDVSYIVHRPVLLMYSVERRLLPRYHLLQVLREKGLLKGELDYYFTASMAEKIFLKKFVLPFKNHVPGIIDDYASKCLGEATDGIALPKD >Dexi2B01G0034610.1:cds pep primary_assembly:Fonio_CM05836:2B:41840520:41840732:-1 gene:Dexi2B01G0034610 transcript:Dexi2B01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTRTGEGSKTLSPLPPLISAAVGRPISGRRKWPLGKPPLGSSSATGARGSRGRRWETSKAAAATGGAE >Dexi2A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:2A:13766791:13771395:1 gene:Dexi2A01G0011850 transcript:Dexi2A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAMACVRLARVPGAFPLPPQCSPLHDVLPADIYGWAVDMELRIVMGEGTTDMSVSQPASLLERVQHSSGPACQSDTPKTMVAQVYSAYFKQFMELVEATFDKHGNKKAIILGHSLGGMVALEFVRNAPIAWRSK >Dexi2B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:2B:4471647:4478238:1 gene:Dexi2B01G0004790 transcript:Dexi2B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQLCDALAAAGFDGDDPLDPDSLEWAFLQGDDSRRMLAWVCARLRPGNVLSATDLELYEQLEMEGKLLEGEDLDSAFDSISAFSDNGENQDYTFLSEESLEDIRDSKLALRAEVSDLEKQLASLEWKLDLLTAQATTITQGKKSRSAAKTRANGQLTALDEKFAKRSLEMNAVLGKLAATTQELSYYHSEADIGVYLSYWDFKSYVRSNLACMKELSRWFSERFEKGPLQLVVKDDKSRGDFENSHHFVVELNRINSVFTKSKRRYIEAHAEYAKEEAIVSMLRTQLASQQSIIDQDSHSLRRKSYELAEELKDLNLHVQKYLSEIITGLCADLAQLAGANMLEGDHNLKLLRQEYYISHQKKLINHLVNQLAAHQFLKISCQLEKRAKISSAYSLLKAIELELQSYLSAVDFRLDRYHSIDQAACEMFEEGSVDDRDSFLHAVRDILSSHSNSQAMTPTYVSSYGLVEQILELQDELQYLQHEAENVLPRERGRCTDELCRMIQTLEQILGVPLSEEQPKLTTWPLAQSLEELEMVSQQVSASVSEVTLARDEKAEMFKQPSRNVQQERKVFVDFFCRPGRLENEVKELFSRVRALSE >Dexi4A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:4A:1265446:1266369:1 gene:Dexi4A01G0001900 transcript:Dexi4A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPKSPTRSHRLLLRRLGGPHKADHNTKRNHHHTRRPPPSSVSPARRLLNPRRPPDHLPRLSPGHLFRSGPGAMSVTLHTNLGDIKCEVFCDQVPRTAENFLTLCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTIFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAG >DexiUA01G0023260.1:cds pep primary_assembly:Fonio_CM05836:UA:47583261:47589925:-1 gene:DexiUA01G0023260 transcript:DexiUA01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFYKYLEEHDKDLLGFDDDEIKDDEETGISDDGEPVSKDEQKQVVKPIAMEMFDSWCDGVENEKIGSIRSILQAFRRACHYGEDQGDNSAPKFSVMSGSVLHKIETSFEFIKSKRAGITFSPNDPVAESPKGRGLQSFLKICCYSAPESTGQDGALDETSVIVGAESSTFSRRLTEAQKQQDEPDDDEGTIAFSKNFPTEKKPKTTKEKNKKRPRDHDAAATEEDLVEDLVLSSDDEDTDNQGSDEDDSVPVEDDSDEDFVDPDSEYKKQKKAELKNRNMRPPLSNNKTKRKARPKKKTKH >Dexi5B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:5B:2828302:2828502:-1 gene:Dexi5B01G0004190 transcript:Dexi5B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAAAYGAEGMPAPEYREFMDELLSCYLERNDRGVHQHVLAAFAELTARRWPTTKRRRPLRGLI >Dexi7A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:7A:28856188:28858292:1 gene:Dexi7A01G0019840 transcript:Dexi7A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGEKKRTACVTGGSGYIASALIKMLLEKGYAVKTTVRNPDDMEKNSHLKDLQALGSLEVFRADLDEEGSFDEAVAGCDYAFLVAAPVNIHTKNPEKELIEPAVKGTLNVLRSCVKAGTVRRVILTSSAAAVSSRPLQGDGHVLDEDSWSDVEYLTAKKSAIWGYPVSKVLLEKEAFRFAQEHGVSLVTVCPVVTVGAAPATKAQTSVPSCLSLLSGDQAAFAVLDGIERATGCIPLVHIDDLCRAELFVAEEEASTGRYICCSLNTTIAELARFLADKYPQYGVKTHLLSGDRLLKPRVFLSSAKLVREGFEFKYKTLEHIYDDMPLGDCDI >Dexi9B01G0004510.1:cds pep primary_assembly:Fonio_CM05836:9B:2581429:2582925:-1 gene:Dexi9B01G0004510 transcript:Dexi9B01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLLSGLNSGVVLSLIAVLWTVVWQNLQHLQLQQFFGRHLSRHARRLAAMVDPYLSVTIAEYEGGRMKRSDAFEEVKAYLSDACSRGVRHLRAEGAKDADKLVLSMVDGEEVSDEFEGATVWWWAYSKSPPRNDGAAAWWSGGGAAQEERRFYRLFFLERHRELVLDTYLPRVRQLGRAVMVKNRQRKLFTNISTHQWSDGGYMRSAWSHVVFEHPKTFATLAMDPAEKKKIMDDLDMFKKGKEYYARVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKKSAAAEEGDKDKKNAPAAAGEKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDEHEQFEAVGELLREVEMTPADVAENLTPKSLEDGPDSCLAALVKALEEAKEKKASGGKGLDEQDEEEDEEEE >Dexi9B01G0012410.1:cds pep primary_assembly:Fonio_CM05836:9B:8318283:8324885:1 gene:Dexi9B01G0012410 transcript:Dexi9B01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDAAAAARQPQPHLGLLRHLPAPPATRVGGVDGAHENEDEDIDHAIALSLSEEDQSKGKAIDTGHRLDEDEQLARALQENTGHSLDEDEQLARALQESMNDGTPHRDVPIEDVHSESAPASSLPPLVFPTSGSRSCYKERFHPKSLHFGNQIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCEWMEGHHLPETRGLCLSEEQIVRTILKRPIIGPDNKIIDMITGPYKLVRRCEVTAILILYGLPRYFSPINSKRIHVFIFIVLTANRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLDSEITAGSGTMATTSAASSSSSTSSSSKKGAKTEFEKRLGEFFKHQIETDSSAAYGDGFRAGMRAVERYGLRSTLDHIKLTGSFPY >Dexi2A01G0033530.1:cds pep primary_assembly:Fonio_CM05836:2A:43697234:43699002:-1 gene:Dexi2A01G0033530 transcript:Dexi2A01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWNHVFSKSEFHVLILGVHKAGKTTLLEKLKSIFLKEDGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQRKLSAMKICKERRYSYLQTSRIHQQLSRRKSWLGIYILKS >Dexi4B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:4B:21111373:21113608:1 gene:Dexi4B01G0018840 transcript:Dexi4B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILRSLIEDTKGEMKEPKTLSTKAQFIVSVESHSSSEDDDDKNDCDYSYNEHDSDEESSYIESSTEDDYNYSESNRNGDYTSDGDTDTDDSDNDDCTDAVCAICDDGGKLLSCIGHCKRSFHPRVKDGRESKCKTLGYSSAQLKGISDFLCQNCKYKQHQCFKCGELEPSDGLNAKVFKCNNASCGHFYHPKCVAKLLEPDDGACELAKRIISGMSFTCPVHWCFECGKMEDRTHRALQFAVCRRCPKSYHRECLPSIS >Dexi1A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:1A:6279247:6279483:-1 gene:Dexi1A01G0008160 transcript:Dexi1A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASLTSLANPFPCTSQRRAVSLKATCGLSRRRAVSGMVVVGAAASASCIDPLSLSMPVQAAMPEPDIIR >Dexi8B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:8B:25051855:25056096:-1 gene:Dexi8B01G0014420 transcript:Dexi8B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSLLSGCCRQCVPLPPTARSSATAPLTPTADASLRRALSPTGGNVGEMASRQKLSGIQKQVLALYRGFLRTARLKAPEERRRIESVVSAEFRDSARNVDRKNFVYIEYLLRRGRRQLEQLKNPDITGLATLEAELEMCQLKGNEAWQEAERLRSLEVGGGSGAGLGHREPPRRLPRSLESLGARCSPLPTPRSGGRDTPAGTMTTSRRLADRKTAKFQKNITRRGSVPETTIKKGNDYPVFVSPDLILVAGILV >Dexi7B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:7B:19813487:19818531:-1 gene:Dexi7B01G0013270 transcript:Dexi7B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASMSWHAWTTRPQGVAVSSNGASPAGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFVYCSRKFSLKTVLMLADQMISRVEYMHTKGFLHRDIKPDNFLMGQGRKANQVLCKSFPSEFTAYFRYCRSLRFADKPDYSFLKRLFRDLLIREGYQLDYVFDWTIMRYPQLGDKSKLQSSGRTSGLAGPSAERAE >Dexi8A01G0016950.1:cds pep primary_assembly:Fonio_CM05836:8A:28634298:28637102:1 gene:Dexi8A01G0016950 transcript:Dexi8A01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENTSGRQVTYSKRRSGILKKAKELSILCDIDLMLLMFSPTEKPTICVGDKSTIEEVIAKYAQLTPQERAKRKLESLEALKKTFKKLDHDENFAKQHLIGMQCATAQFQTEMQLPLGLTGDPGPTSWFQNSGADGHQTMMLTDDASLLHQRDIGCSSSTSLQSYPAYFSMSKQSTDTGCGSEHGQTAVHQQPPDFGQVDCLTSLQLGAQFPYTPFDASLFNERMFRPDAMELHDGTAGIDFGGGHFDIPRPGDEASFQNWASAACGAAMFDHHQQQQHHQQQQPPSAQE >Dexi9B01G0043340.1:cds pep primary_assembly:Fonio_CM05836:9B:43301731:43303565:-1 gene:Dexi9B01G0043340 transcript:Dexi9B01G0043340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFSKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDIQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTKEDVGVKLERPAEGDEVAAGQEVAAE >DexiUA01G0025500.1:cds pep primary_assembly:Fonio_CM05836:UA:53721679:53723646:-1 gene:DexiUA01G0025500 transcript:DexiUA01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWSRPPRRVIALRNAELTAAHYDNWHRMHAGGGGPHAVDAQAGQMGPLVRGVLEPCTRQLASSN >Dexi8A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:8A:13227670:13231589:1 gene:Dexi8A01G0009670 transcript:Dexi8A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEQSSQSELLQQLRKQLEYPRQLDAWGTPSSDPCYIHPTAVLAVTCEGNDITELKIIGDRITKPPKFSGYPVPNVTLSEAFVLDSFVTTLARLSTLRVVILVSLGLWGPLPDKIHRLSSLEVLDLNSNFLYGSISPKLSVMSKLHTMTLDGNYFNESVPDWLDSFSNLTVLRLQSNRLKGCIPASIGKAAMLTELALAGNSISGDVPNLGNLKNLEMLDLRDNQLDGKLPEMPTSLVTILLSKNSLKGDIPGQFGSSLEKTLKWCDRLQVLISIAKAVHFLHTGIIPGSLYNRLKSSSVLLDEQLMVKLSDYGLSIITEEIYKHEVGSRSEKIPTKQCCRNAVSISSQEELEEVLDPVVIGTSSHDSLSIVVSIMIKCLSVKSSTRPSIEEVLWNLQYAAQVQVTSDGDQRSEVSSQAC >Dexi8B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:8B:25952226:25963530:1 gene:Dexi8B01G0015160 transcript:Dexi8B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPAAADAGVLVAGPEEILEGLHPGPTQGGVEIHRVPSLPGVGGHGKGWSGWRQARGLREEVQHVASLTLSSLLTAFVASAAPQVVAGGGCASMAVVVTPVHLLGGKDGTVDAVAAALDDVGERKRCGRKGEARKGRRCTDPTVPGADLAAGKGEVGVGKVDAAAVALDGVGERKRCGTRGEARKGRVRTDPTVPDADLATGKGEVGFAKVDAAAAALGGVGEARALREERGRKSRAVDGFGRSVRWEGERLGSRVLLKGHLHDPFHGSRSPSRQTKRFSHPNGEDPVSTSVSGRADDLAWRCSSDTFDLNERAFESSENWAVLATEGDKPTPRFDHAAAMVGSKMIVFGGDSGHRLLDDTKILSLDKLKWDSVAPKVRPSSNGRSVKLRPCKGHCLVPWGKNVILVGGKSDPAYDKISVWTFNTETELWSHMEAKGDIPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLLYDDSPPSSRELADHLNNCAPLYSSSSAARSSLATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEQDCSLAQKLQKPIDADKYKDADGCAELPSITNQRPRNDTHQSPDTEAKARKIGRSSSDINHHQDTRITTLIRRNMALEEQLSAALTTKDEAEKNLSLVIDSKDELEKRLAERDREVEALKEKVTGLELAQEESNNLSNTVHAENVRLEREVAFLKAELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGSCYFASPPLVLLRPLLRHRNKKMEAFVAGFFRAPPRCGPAAAPAARASPPFPGIPLFHGRRRRWPSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAESVSELDQVVTTYRKFKDCEKQLEETKALQKENEEDPDMAEMIASELEALSSQLAELEGKLKLLLIPSDPLDSRNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNNWKFKPVSSSEAEMGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPLTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLLGDIESAVQSCATMEQQELLEEMAASVGAAKV >Dexi9B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:9B:505118:506772:-1 gene:Dexi9B01G0000840 transcript:Dexi9B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEEKTATVKTEEPSSPAAAAAEQQPTEAKPAAAGATRRAGPSAPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTQMAEQLQKTVVSPRRAPATAAEAALDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAMATMLGGLTNPAHKEQLEARIARMKEDPTLKPILDEIETGGPAAMMKYWNDPEALQKFGRAMGVGPSASSEATTAAAEAEEDGGEEEEYEEESIIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGEVKCAQVLLDAGAAVDAVDKNKNTALHYAAGYGRKECVALLLQTGAAVTLQNLDGKTPMDVAKLNNQDDVLKLLEKHAFV >Dexi8A01G0003170.1:cds pep primary_assembly:Fonio_CM05836:8A:2281429:2283469:-1 gene:Dexi8A01G0003170 transcript:Dexi8A01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVTTDLAFLNMHIMLYIETADRPGLLLEIIKIIADTNIDVESAEIDTEGLVAKDKFHVSYRGTELDSSLSQVLINCLRYYLRRLETDEDSY >Dexi3B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:3B:3239525:3241196:-1 gene:Dexi3B01G0004820 transcript:Dexi3B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHNGVNGNKHEMITPMEMSSMEAGNFHEADGFDDDGRTHRTGTLWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVAFCGVIQYANLVGVAIGYTIAASISMKAIRRAGCFHKNGHADPCKSSSTPYMILFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTVSNGGFKGSLTGISIGADVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKKATSLSVATTTVFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERRANATWPDSAFISRELRVGPFVLSVFRLTWRSAFVCLTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSVTCLFVSIAAAAGSIADVVDALKVYRAFSG >Dexi5A01G0020940.1:cds pep primary_assembly:Fonio_CM05836:5A:24793426:24796212:-1 gene:Dexi5A01G0020940 transcript:Dexi5A01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLLMLREASPWALAGAAAAVALLWLVAWTAEWAWLTPRRLDRALRAQGLKGTRYRLLTGDLRENARMNREARAKPLPLGCHDIAPRVQPMLHRASKENGKMSFTWFGPIPRVMIPDPELVKEVLSNKFGHFGKPKSSRIGKLLANGVVNHDGEKWAKHRRILNPAFHHEKIKVLFLPTKNNRRMREIDREIRTILREIIGKREKAIKNGETDNDDLLGLLLESNMRQSNGNAKLGLSTEDVIEECKLFYFAGMETTSVLLAWTLILLSMHPEWQERAREEVLSHFGRAKPDFDSLSRLKTVTMILYEVLRLYPPATFLTRRTYKDMELGGIKYPAGVNLLLPLLFIHHDPDIWGKDASEFNPARFADGISNATKHQGAFFPFGGGPRICIGQNFALLEAKMALCAILQRFSFELSPSYTHAPYTVITLHPQHGAPIRLKKL >Dexi9A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:9A:13201438:13214155:-1 gene:Dexi9A01G0018180 transcript:Dexi9A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEAEAQPRERKQGAPSVDGVETIRSCECDNHRARFSSAPQPAGHGVGKHGVGLAAGRPAARSLLVPPLRHRPRQIRAVCAPWAAAASAWRPRPWLVGSRTDRSGRGGTAISSFWLSPGGGGDLLPFAVNVPVGLEYLSSSHGYLALTDPSINPKAIVLPNPVFKRSLAFSRTHGLPGGIACDRRRTFFWAVGRILLDLGVWRGWCASRTLVHSGRATCFTIGSCTGPGCLPCARIAFHPDTWLLRLPPVGFFKRWVYLTTVVLSADPDTAAEWAAVAVGFPTSCLTHYTSATGAWAQIDYSVPGYTGVEHYNGRFYVAFRSQICVVEANRLVPAVIPLEHCGGELLLVSVHDDVVGPLAAVDDDRSASKSGGGTDVARAVEVYRVEWLGDAAVRLVREVDIGWYALFLGRNRAFALSAAKFPACRVNCVYLVDRQGHPDGVVRVLDVRESQWASREETIVCPDDGRRGPSSAGWARRGWFFPSY >Dexi5B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:5B:8086554:8087427:-1 gene:Dexi5B01G0011400 transcript:Dexi5B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTPAPSTQAAAGLRGHALRRQISVERREDAARRYLAIAGNVPREEQLDRLLDSTADDSDAAMRAALLSAPAAAEEEEQEEAAREVTEVERGLLELQQVFLDMATRVEAQGAPLDDIKRHVAAAAGDVGAAEAELGEVPSARPMATGKKMGDGGPGLRAGGER >Dexi7A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:7A:16761976:16762269:-1 gene:Dexi7A01G0005500 transcript:Dexi7A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEDYVNKRNELKREQRRRLRLQMLQVEQGNLDASRQAMASRESPRVPAQCLTPSGVRSPTAGELSSPATAAGEAAGSWSSEHRRLFDCLKPY >Dexi2B01G0028190.1:cds pep primary_assembly:Fonio_CM05836:2B:36843318:36844627:1 gene:Dexi2B01G0028190 transcript:Dexi2B01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGDNAGMNAVQRRLMFEDECILVDEQDNVVGHESKYNCHLLEKNLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWSNTCCSHPLYRESELIQENDLGVRNAAQRKLLDELGIPAEDAPLDQFNPVGRMIYMAPSDGKWSEHELTHMLFIVRDVKLQPNPDEVADVRYVNREQLKELIQKADAGEDGVKVSPWFRLIVDNLLMGWWDHVEKGTLSEAVDMEMETIHNLKE >Dexi8B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:8B:26260895:26262384:1 gene:Dexi8B01G0015470 transcript:Dexi8B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNGTTPDNRRGVQHAEGTAAVLAIGTANPSGVIVPQEQFTDEFFRVSNSDHLTHLKEKLKRICNKTGIEKRHFHLTEEMLRDHPEFLDRELPSLEARIEIVAAAVPKLAELAARKAIAEWGRPATDITHLVFSTYSGWRAPSVDLQLASLLGLRPTVSRTILSLHGCYGGGRALQLAKEIAENNHGARVMVACCEMTLVCFAGPDGGNLVGHALFGDGAGVAIIGAGPFADGEHPMFEMVAATQTTIPKTEYALGMQVTGGGVDFHLAIQVPTLLGQNIQQCLVDTFRLVFGDNIDNLTWNDMFWAVHPGGRPILDNIETVLKLDPGKLAASRNVLREYGNMSGATIVFVLDDLRRRCKDQLPEWGALLAFGPGITIETIVLRSPRSLSEYNTDDIKSN >Dexi3A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:3A:3192683:3193765:1 gene:Dexi3A01G0004900 transcript:Dexi3A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRMMSSDELRTSFSDLVVGSPTQTEGTTNSSGDASTQGGVQVSCFTEDLHDVTLHFQIVRLSKQIYVWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKDLGYVRSAAGEPNTSTAD >Dexi3A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:3A:6847384:6850229:-1 gene:Dexi3A01G0009700 transcript:Dexi3A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGAALAAGRARPFRSTVARLAAALFSFVTYSAAGPAAGEIDYSTLPMSSPLARFRDRRALAITDITATEWCQKQMEFVLEHGKPERTEAMKAGSDRHAQLEKETFEDVVKYFKITCHTLQRLQSQEQLLLRYELQADDSLLEEYQFTYDARWFKDQIHEVFSFWHGSREPKFVTDEER >Dexi2B01G0025430.1:cds pep primary_assembly:Fonio_CM05836:2B:34688487:34692606:1 gene:Dexi2B01G0025430 transcript:Dexi2B01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACDGSPAASPLEVVAAAFKSRVAELQDLVLARSMFPATALPDLASVDASVTAMESQVQDIRRHLQEELDAIPKAKKLIQRSLKQQEKLQHMLANLPSGMREDVFTPHLEQSSSRMQPECFNFSSSVPERELKIKEEPVAAPKKGRTPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADSNAHLVTCPKKKLSEEMWDKALELRDIAATEAVKGKPFFLEVDIKGPGLKLDHTGKAILTVSVHNFCCLLSFAILDASMKHGLGLIGSSYSQNRPEDVRASGD >DexiUA01G0002170.1:cds pep primary_assembly:Fonio_CM05836:UA:4978419:4980850:-1 gene:DexiUA01G0002170 transcript:DexiUA01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKVAGCFKDKTILITGSTGFLGKLLVEKILRAQPGVKKIYLLVRASDNVAAKQRVIHEIVGNELFGVLREKHGADFHSFIKEKLSALAGDIIHENLGLEITRAKQLFEEIDIIVNGAATTNFYDRYDVALASNTFGTIHVCKFAKQCARLKLLLHVSTAYVAGTQEGRILEKPLQMGQTLKEGRCLDIEAELELANDVKAKLVMERSGISHGQLEKVAMKELGLKRTMDVMIAASCEQKLPCFISGPILDSIPGDMVVSASMVLYLANYVLLGGRFTEYYNKLSRSLNSLMFVAKLYAPYGFFKG >Dexi3A01G0032340.1:cds pep primary_assembly:Fonio_CM05836:3A:37087048:37091577:-1 gene:Dexi3A01G0032340 transcript:Dexi3A01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPDFAADRALAKDFLSNFADAHGEPKYLKILYKDVDEEFLQRVTENTRRYIGLFAEAMDELMPEPTETYTVDEDRDILMTQRVDEVGEGGADGTDPLHRMPPEIKRFFEVYIKAFSKMTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEISGIFLPMPYYGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPITSEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMESDLEMARHVVHVHQNLESPALGFTPLEPSVLRQVQYSYSCFTFVVLILAYISAARRVIPSVPRELEEYIATAYSSIRQEEAKSNAPTSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTSSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >Dexi5B01G0033080.1:cds pep primary_assembly:Fonio_CM05836:5B:33589193:33592978:1 gene:Dexi5B01G0033080 transcript:Dexi5B01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDESIAANHALSSVLTGDEADLTYLPRSSHGGPRFDHDLVAPSSVQAGDAQFLSASLDKSSLVAGKGMTPDGFEVPEGAITAASYNRPGGVLTSAITPPEQQIGSDIKAAAFKGAWTEEEDSTLKDMVMQFGERKWSTVAQALPGRIGKQCRERWINHLHPDIKKNDIWTEEDDKMLIGAHKYFGNHWSSIARFLPGRSENAIKNHWNATKRSLKSKRRLKKKKSEQQVAPGQLSALEEYIRGVYPTSESTTMLPPASPPLQNLAYNGLIGPKAELPHVPKMRMNFTAPNLVGLPLPQLPGMINDNIPPLPDLNVTCDSQEAYHVSNLMGATAPVPQVQMVTQDPHQACFNNWFPFVAYIPAWKMEHVAGPSFYTSGPSNYIDENNTYNEAGPSNTYGYGSKPAHDANNIVQMESREFMTPSTSEATMGYNRYE >Dexi1B01G0023150.1:cds pep primary_assembly:Fonio_CM05836:1B:28808590:28813184:1 gene:Dexi1B01G0023150 transcript:Dexi1B01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAKAAVAAISAGAAVALCSEKAHAAEGGPTFRFPGFSTAPAPAAAPPAPHQQPPAPAGGRGEDAVEEAPRVSTQHPRTSAAGFDPAPLERGVEAINKLKQAKDPKKLFELMKKQEETRQQEFIAKKAEEQKALAQLELEKKRLDYEETKKLDQQRATINSQMAKYEDELKRKRMQAEHEAQRVRNQELVKMQEESAIRLEQIRRATEEQIQEQRRQTEKERAAIMQETIKKKSMAEAEARAVESRLTEDVKRRLLLDEINADREKWIQVINTTFEHIGGGLRTILTDQNKLVVAVGGLTALAAGIYTTREGARVVWGYVDRILGQPSLVRESSRGKYPWSGSISRATSTLTSKLKNGSNQGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKIYMSEAQRSALNALLFRTGDQSKDVVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERFKILKLYLDKYIVKAGDKHEKSWLRFFRRQPQKIEVKGITDDLIREAAAKTQGFSGREIAKMMASVQAAVYGSKDCELTPGLFREVVDYKVAEHQQRRRLAGEEPKQNA >DexiUA01G0021990.1:cds pep primary_assembly:Fonio_CM05836:UA:45178230:45180638:-1 gene:DexiUA01G0021990 transcript:DexiUA01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSAEEDDDHAAGAGPELSESGESSAEEAGSDGDETSAPAKPASKPRRRPNPKGGDAGGGEGDSSLPSALEAFADVSGPPEFLRHRVADPEEGTEALGVLDRRGKGGSKHPPPGAVVVAKPQLVAIRERVTTTSSNTPGSVTSGSSDGKRIIGAANPGPEDAADLLSDLNCKFASNFRMCLQCGVPKTYSHAKGMVCPLCGDRPAQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMVLRQQFD >Dexi5A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:5A:7342086:7345536:-1 gene:Dexi5A01G0009800 transcript:Dexi5A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDFVGAKRMIIKAQKLSKEVDDIDIPKMLTVCDVHCAAGVKVNAEIDWYGILQVPVGAEDAVIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANMTLTDQSKRQVYDMKRSTFRGGASRPPHQHLRKTAPARSNATPVNLHTQQPPPQPQQASNSAGPHPTFWTVCPSCGMRYQYYLSILNKALRCQNCLQPFMAHDLNGQAIPSEANQRSAGVWKNAGTPQSFPGPQTNVTGQKAWSTTPGVHVNIGSRRADANTKRKTDGDTGGQQNKMKSDRSTRNHSKAKSSAGLKKGRRGVIESSESSISENSSDSEEEILEHGPVANSAGPGQQTRRSSRQKQEVKYNEDSDEEDVEDDDNMVDNDFVNSPVLKRLRKSGVFHGDHSNRTSKLNEDISDHNGPTNVVNDCSNTEDKKKGGASYDEEKTLNGIEQMKGLAMHARENSCSNGLGPNDVGAPDEYTVMDPEFFDFDQLRDVNQFRANQIWAIYDDQDCMPRFYARITKSREAAPYVISQGETLRFSHCVPHHLMSGTEKEGIPEGSLELDPAALPLKLEEAFPSVSPECSSVRSQESDAKHAVNLELSCDEVSGTKCNLNGSAVFELWEASPMNCQKRHVCLLLWN >Dexi4B01G0021100.1:cds pep primary_assembly:Fonio_CM05836:4B:23136666:23140721:-1 gene:Dexi4B01G0021100 transcript:Dexi4B01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRERRRGGGHARRAVAALVLAVLPVVAVLVPAGGGGGSPAFMEEDMILGVATAGKVKQGGSANASGRGSRPSYYPAAEAGSWKEEIAAVAGRPEMAGWLRGVRRRIHERPELAYEEVETSRLVRDELAAMGVGFRHPLARTGVVATLGTGRPPVVALRADMDALPIQEAVEWEHKSRNPGKMHACGHDAHVAMLLGAARILKAREHHLKGTVKLLFQPAEESGCGAKRMIEDGALDGVEAIFAVHVSHQHPTSVIGSRTGALLAGCGFFKAIIRRRDDDHDHHPHRRRSSGDPILAASSTIISLQSLVSREADPLDSQVVSVAVVNGTSSYGDVDGEVVIGGTFRAFSNGSFYQLRRRIEEVIASQPRVHGCVAAVDFFEDESFYPPTVNDARMYGHVRRVASELLGVGAYRDVPAMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERFLASHAELTGASSGSSDEQEV >Dexi3A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:3A:9359047:9362549:-1 gene:Dexi3A01G0012850 transcript:Dexi3A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQIQGLAASAAAAASSPPASGGLADLMSPDAQEEAESHAHHPHHGGANGTGNGADEVLPSYDFQPIRPSASATASAAPVSSAPAAGSWGSLDSKAAPQNPLKSSGVLEPHHVLKKVSHEEERSNFSAVTIVDIDRTMKKYADNLLHALEGVSSRLSQLEDRTYYLENSVGELKLTIGNNNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQIQLAKLQVPKAEDVQSEIADAAQTDSRQQSTPPQQIIQSQNQAPPPSQPPAPLPALPAPTAPPPPPIQNQPPPHFPGHVPHPQVPSVPPSLPAPSVPSLPQESYYPPSAQPTEVTHQQYQAPPAPQPQAAQPPPPQHYQTPPQFAQYSQPPPASVNPSAALPPPMPQQPEEPAPYGPPAQGYAPNVHPPPPYMPPPSGPAAPFYGPNPGMYEAPAVRPNSGPPPPYNAGYKPPSAGGFSDSYGYSRSPSHRGNAGMKPPSPFAPPGGSGGYGRLPTAQVLPQATPVNSTPSGSSSGTRVPIDDVIDKVATMGFSKEQVRATVRKLTENGQNVDLNVVLDKLMNDADAQPQRGWYGR >Dexi9A01G0032490.1:cds pep primary_assembly:Fonio_CM05836:9A:37397063:37400612:1 gene:Dexi9A01G0032490 transcript:Dexi9A01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQLLHVLVAAIAVLAGFTSVAPFAEAYDPLDPTGNITIKWDIMQWTSDGYVVMTGIFSADDTGMLWGIKYYNDLLMVAGPDGNVQSELLFRKDPSTFTFEKGWAFPRRIYFNGDSCVMPPPDAYPWLPNSSPVLKASSSLVIPIAIWTAFLFVSLSMY >Dexi5A01G0011550.1:cds pep primary_assembly:Fonio_CM05836:5A:8662538:8667870:-1 gene:Dexi5A01G0011550 transcript:Dexi5A01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHAEEAAAAAGGGGGGGEGGRGSPGTGLEGPTLRLGLDGGGEGEDGEREADADADARLPERPGEADCGYYLRTGACGFGDRCRYNHPRDRGGTEVSASPVSRATLSLSLCPDLMRRGRVSASQALPRANYPIRRVIEGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSSSALPLSDMSMAPYPLGFSVATLAPSSSSPEYISTKDQSINQAVSPVAAPEPAGVVLPKGAFPPDTIMRTQTTGVGSSSPGGGR >Dexi9A01G0001380.1:cds pep primary_assembly:Fonio_CM05836:9A:738685:739056:-1 gene:Dexi9A01G0001380 transcript:Dexi9A01G0001380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRELLLLLLAAALVAALAAVASAEDAKPTILTPVAQTPLGSFDGDTPASDDDSVDDDDDAAPVGAPTGATMTEPKPEIPSPPGTEATAGGAEAASAATQLGAVAARVGAIAAVAAGVFAF >Dexi9A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:9A:4861502:4863344:-1 gene:Dexi9A01G0008230 transcript:Dexi9A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATPAPHVMVLPFPGQGHVTPLMELSHRLVDRGFQVTFVNTEPIHALVLDALRPSGGISALPDGIRLVSVPDGLAAGDDRRLRPLQVRGRALPVRSGLRGALEQLIRETKVKWLVRDVNMGMCFEAAVKLGVRVAAVFPAAAACLGALFMVPQLIEDGFFDNKGQEVAYRLVSSNTEAARRHAEIVVCNSFRDAEAAAFELFPSIVPVGPLFADAELCKPVGQLLPEDTGCMRWLDAQPDRSVVYVAFGSFAIFDSRQFKELAEGLELTGRPFLWVVRPDFTNGDLSKAWFDEFQERVAGTGMVVSWCPQQQVLAHCAVACFMLHCGWSSTMEGVRNGMPFLCWPYFVDQFANRSYICDIWRTGLAVSPGEDGIVTKEEVIGDEGMTERAMMLRDAACKCLGEGVSSRENFSRFVDLLRE >Dexi7A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:7A:21441929:21442825:-1 gene:Dexi7A01G0010750 transcript:Dexi7A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIATCLHQLFLDQKTKEGTNSLSLNQVLQSRGLIEVNQEQPYADIVLSSTLQLEVEVQRCGN >Dexi6B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:6B:17395691:17396335:-1 gene:Dexi6B01G0010720 transcript:Dexi6B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAAASTSLAAALPSSRVRRRRSRGGVVVVAAAAAREGGAERFAASSSITDYLRYRRPELGGGAGGRGGIAGGELQTAVVRFEKRFPWSLVNPFLHL >Dexi1B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:1B:12975423:12979038:-1 gene:Dexi1B01G0012070 transcript:Dexi1B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSIECVSYSDGMEDDDDAAAVTSSQLPRPFLKSASSAGSAAAAVNVVVVSDRAGTAGPGGAGTGAGPLVISPATGVHELLECPVCTNSMYPPIHQVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRK >Dexi3A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:3A:10345739:10347991:1 gene:Dexi3A01G0014170 transcript:Dexi3A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAMHRVEAASACQSHSLLLPPPTAAGAGTCYLVVSLITAFLCLLAASSAIYYCLCTAIVSSNKQTKRRDDPNTETTKKKSKHRDDDDGGDNAPRVPPGRSESWWWSVVETLAFVSANGSGRGFYDFVSARHRRHGGLGFRTALFGRTHVFVSSPGAARSLLAAEPAGFSKRYVRTVADLLGDHSLLSASHAAHRSLRRAVAPLFSNARSTSSFASAFDSLTRRLMLDWSSSGCGGAVVVLDAALGVTFEAICGMLVATLPGDARRRMQGDVLAVTRAMLAFPIRLPGTRFHAGLRARERIMEVLRGEIASRRQDGGGEDDMDFLQSLLVRSQQQQQSDNDEAPLTDEQILDNILTLIIAGQVTTATAITWMVKYLADNREFQETLRSVQLELEPKDQDSPLTIQHLSGMELAYKAVKESLRMASIVSWFPRVALEDCQVAGFHISKGWIVNIDARSMHYDPKIYDNPTTFDPSRFNGEDTKQPYSYLVFGAGGRTCLGMNLAKIMMLIFLHRLVTTFRWEMADDDTSLEKWAMFPRLKNGCPIHLTPI >DexiUA01G0011140.1:cds pep primary_assembly:Fonio_CM05836:UA:22382915:22383897:1 gene:DexiUA01G0011140 transcript:DexiUA01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEARMPPGFRFHPRDDELVLDYLLHKLSGHAHGGAAMIDVDLNKCEPWDLPGVPPILDGDMLVQFLELTGEQQQAILAHPLPGKAPRAPVSVFQVLRTLERVHYALN >Dexi9B01G0025330.1:cds pep primary_assembly:Fonio_CM05836:9B:25541160:25543973:-1 gene:Dexi9B01G0025330 transcript:Dexi9B01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYREDVGTVGMPEIFDTPELIQNKIEELADMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQVVLMSMLLALNNVDSLHLRSGFPREKLSELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEVSTTSSTIKLQTFYLAKYVIC >Dexi7B01G0010350.1:cds pep primary_assembly:Fonio_CM05836:7B:17705063:17706652:-1 gene:Dexi7B01G0010350 transcript:Dexi7B01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPGSLWDVVGAVVVDVVGAGGRAELYWSLVVSSSA >Dexi1B01G0013150.1:cds pep primary_assembly:Fonio_CM05836:1B:18520881:18524814:-1 gene:Dexi1B01G0013150 transcript:Dexi1B01G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLGGVAPAPAATAPSFLHLPLHTRLRAAAPRAAATASVRASHSHEDDAFLLRRAADVADRSAGLTSPHPNFGCVIVRPQRDTDSAVEPLVVGEGFLYAEGTPCAELLAAREAGEHARGATAYLNLEPGDCYGDSTAVGSLVQAGITRVVVGLRHPLKHLRGKAIKALRSEGIQVDVVGEDLQNKFFEEALMSCLTVNAPLLYRTAFHVPFSVLKYAMTADAGKIAASSGHASWISGKASRGRVFELRGRSDAVIVGGNTVRFDDPRLTARHVKGHVPVRIVLSQSLNLPEEANLWNVNDAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVCAFVAPKIIGGSDAPTPVGELGMSQMTQAINFIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSMDEIPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPYPIHMPDENGEYFTWPTVEHYYQAHKFVGVDNPQARTIVQDIKLAKSPEEAARIGRTRQKEFPELVCLNIMLSFDLTAGRRDEGTS >Dexi5A01G0036670.1:cds pep primary_assembly:Fonio_CM05836:5A:38108160:38111091:1 gene:Dexi5A01G0036670 transcript:Dexi5A01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFGEGIGLVWGRWTALQMAVENQWGGRDSRAKADQFGESILSWFCGSKGPHYYEDLVDMMYDYLSENFNADFEDNSVEEIAADEDGSDSSDDDDGNASMMEDEPAAARDEMAVDRPRPSKPVPDADGWTVVPPRHGGRARGRN >Dexi8A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:8A:2260198:2260590:1 gene:Dexi8A01G0003130 transcript:Dexi8A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVIADIDEDELFDLDIALLDGHVHCSQTVHSSTAVEAAAGHALLANCLLPVRSVSNAVPVVAASSVLSSSSSYPYSGYYSSRRLLFTGGGGGGSSRRFLGRLGGGSSARLCFSSRGFETMGNYFQRY >Dexi5A01G0033080.1:cds pep primary_assembly:Fonio_CM05836:5A:35460735:35462336:-1 gene:Dexi5A01G0033080 transcript:Dexi5A01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVSYPEGWELIEPTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISRELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCRGCASGD >Dexi7A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:7A:19324787:19326630:1 gene:Dexi7A01G0008160 transcript:Dexi7A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEGEGQQPEAQRRRPRFLCLHGFRTSAEIMRKQVLGKWPADVTARLDLVFADAPFPAEGKSEVEGIFDPPYYEWFQFDKGFLEYRNFDKCLAYIEELMIKDGPFDGLMGFSQGAILSAALPGFQEQGMALTRVPKIKYLIIIGGAKFLSPTMAEKAYANKIACPSLHFIGDNDFLKTHGERLIESCVDPFVIRHPKGHTVPRLDDKSLEVMLRFLEKIEEEASEHASTDVDEKEVCL >Dexi1A01G0023290.1:cds pep primary_assembly:Fonio_CM05836:1A:29942700:29950668:1 gene:Dexi1A01G0023290 transcript:Dexi1A01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYLEAVLCFMILMYIFETYLDIRQHRALKLPTLPKPLLGVISDEKFKRSRDYSLDKSYFHFVHEAVTILMDTTILYYKVLPWFWKKSGEVVTNVGLNAENEIIHTLAFLAGAMVWSQITDLPFSLYSTFVIEARHGFNKQTIWLFIRDMVKGILLSMILGPPIVAAIIYIVQIGGPYLAIYLWGFMFVLALLMMTIYPIIIAPLFNTFTPLPEGVLREEIEKLAASLKFPLKKLFVVDGSTRSSHSNCSNEDEIVSVIAHELGHWKLNHTVYSFVAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPIIIGLIIFQHTIIPLQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRVALVKLQAAMLSLTTPSSPPFPVPPLTHGASTAAPASSIALLRGAATRCDAELTSAVHAALLKSGALHPSQPLAASNSLLHAYLQCGLLSRALRLLDETPRRDAATYASLISAHCRRGAPLDALRAFLDMLAWGGADDDQAEDDAVRPNEFTAAAVLQACGLARDGRLGRMVHGYLVAGGFCGDPFVVGSLVNMYAKVGDAASARRLVLRLPSRDVVSWTAIISGCVLNGMLEEALEVFVMMLEDGVLPNNVTMLSVIQACSLMGASELFGPVHALVVLLELGDDASVVNSLVMMYAKNGFVEEAVWLFIGLYLKSGNLCSNEDVLAAILYGCTISGLQKNGEGIHAHLIKMGAFPSISVENSLMGMYARFELVDAVHLVFSAMEVTDIVSWNTVISCLAKSDRVDEAMELFSILHPGGGGLAPDYVTVLSIVQACSNAGLLHQGQMLHGCIMKSGFICDVSICNALISMYAKLGRIDFAEMIFERMDIKDLVSWNSMINAYGMHGDEGYKCFKSMRTEHGIEPSMDHYACVVDLLGRSGRFAEVEEFISDMPFPPNSSIWGPLLAACQLHGNVDLAEKAANELFHSGKLG >Dexi5B01G0025740.1:cds pep primary_assembly:Fonio_CM05836:5B:27700073:27700951:1 gene:Dexi5B01G0025740 transcript:Dexi5B01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRCGKSCRLRWLNYLRPELRHGGFTDEEDNLILSLYGEIGSKWSVIASRLAGRTDNDVKNYWNTKLKKRYLASTREEKRPPPSCDDDTSADDSQSQDDERPAPATPDLPALATLEEDMDETGVAAAAVDDDALLLKSEELYAELVCLIEQQSSSLATTTTGQASSMAGEALSSSSSAGTSPRASSSGSSCTTVWPSSMDVHDTMLLSESSSSIASGLLDAGYYGVGDDAFVGGAPLPVYSFQDLLAASYDEFTAVTQGLPY >Dexi9A01G0049800.1:cds pep primary_assembly:Fonio_CM05836:9A:52343684:52345053:1 gene:Dexi9A01G0049800 transcript:Dexi9A01G0049800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSSSSAKLTTSNSTDDPPPPSAAPVYLNIYDISPLNHYLYWFGLGIFHSGIEGSFFNCVLPESIKVSAVRDVNAHPEFSDDGLGSNASIIDGSDEDDLDQLLRTPNSDVVSSRDKALTPGRDSF >Dexi5A01G0031770.1:cds pep primary_assembly:Fonio_CM05836:5A:34396957:34401193:-1 gene:Dexi5A01G0031770 transcript:Dexi5A01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRSTQSKWLDGNIQEMETRVQAMIKLIEIDADTFAKKAELYFKNRPELVKLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPKGITGINIGRGTSPAPKRAQTHRRISSQMSKDKAQEAIERLQKEILVLQTEKEFFKSSYESSLNKYLDIERKATEMQEEVWSLQETFSTSAVIEDNEARALMAARALISCEDTLVNLQGQQKRSSQQARTEFERVMEAKKKLKSFKNECGHPDNQKELIDHQYILTSSAHPSTEDNDHIPQDRRLELQEISQKVKQRFESCSEASVVHLAGKVDEFVDKVIALEIAASSQNAQINRMRAEADELHKRLDSLEEEKAALVGDSSKLSERLKQVEEVLHTIQRIEKSVHSENGNINKQLTEACSSLTDFVEKLDAPLSEEILDPSEESEGVASQEDADKPGTLSESFHAGSGTTGKSTDEVSPDSFDISSDTQEEEADGTLEWQRLVLNGLEGKDKILLKDYASILQNYKDTKKQISEIEKKNREYHLEAMSEMKELKSANATKDDEIRSLRRMLNSLQTKLNTSQLQCAEKSRESSKADTNSSLEDKEIAAIEEYMTNCEDEEPDVSSLEGKFREEISRVLEESLDFWLRFSTSYRYIQKFQKTFDKAKAELDRLTDTNTQEGLDPGSTSQTARKQESAALEKIFRDLSTDLQVWLEKNVLLQGELESRLSVLCSIQEEILKVTTLDQTNGAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLEHVRGLQLEIGRALLKLGDNIELSIGRSNRAQHNLRSLSVKAGVPLRTFLFGSKPKKPSIFSCMPAMPRPVSDMRPGLFR >Dexi7A01G0013680.1:cds pep primary_assembly:Fonio_CM05836:7A:23662083:23663594:-1 gene:Dexi7A01G0013680 transcript:Dexi7A01G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGDEGVAMVVTTPLVVMAVTVIIARLFHLLLKRSSRGRRRCAATLPPGSMGLPLVGETLRFFVQSPSLDLFPFFQRRLEKYGPIFKTNLVGKDLIVSLDPELNNYVFQQEEKAFQIWYPESFMRILGDDNILSSVGSLHKLMRSLVLRVFGPENLRLVLLHDVQSAVKRSLDSWLEKPSIELKAAASSGRKNVLKVLKQLLDERKKAEPRESKDFFDLVIDELKKEKPLLNEKTALNLLFALLFASFETTSSGITIALKFLADNPKALQELTVRGA >Dexi1A01G0022180.1:cds pep primary_assembly:Fonio_CM05836:1A:28893937:28894347:-1 gene:Dexi1A01G0022180 transcript:Dexi1A01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTVSSADYSACSSSNSLQSYSDQNTKIALTAPGTRYFICGTPGHCSSGMKLAVTVSAASATTPATSPAAPGADDTPPETTTPSTSTPTTTTTAAPTTKASASVGAASGGEASLAMGVLAGAAGLVGLALMA >Dexi2A01G0032540.1:cds pep primary_assembly:Fonio_CM05836:2A:43001346:43004085:1 gene:Dexi2A01G0032540 transcript:Dexi2A01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFPAGDRRVICADQSGSCYLVDAKMRHVVTMPHLHKPKSLPLSLFVPSTDDHNGGSLFVMDSALEPEAGGNGQLSHQFEALVYRKQTPIYPFKSWDSQPLPPPPFVCDPKYSIHNPPKIRSYAVVSGGSHICISVEGAAGSYFLDTESYTWSHERSWTLPFHGKVEYVPELKLWFGLSAKDQTLAAADLSDMDSQPQLVGTWRKEVNLPEEWRVSQDPQLVNLGSGRFCITRFFFHAGTLNGDRRDEQSDSDQSGRSFLFDARTRNVATMPDLHKPKSSIPLSLFVPSADPDDGGGSVFVMESIPRLEVGHSGRLSHQFEAFVYRKPTMTSFSKSWHCQLLPPPPFVCDLSKYCENFPRITSYAVIGGGSHICISADGVGTYC >Dexi9B01G0038300.1:cds pep primary_assembly:Fonio_CM05836:9B:39399486:39400052:1 gene:Dexi9B01G0038300 transcript:Dexi9B01G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLAAGRFQEPSQQAALPCLLQVRPARLGPADDVAAGHWCVPRHGGSAFAPGGRGGSRLAPLTSTFPKRFLAARSEQSARGRRRVHASPLNAVWAWTRGGPTLQSLGSKEERPKGTNGSAVESLLRFFPCSRKPNGPGSPDPRTPRTRGVTRPRLLTSPCPARVKQRSEASIYPELERELRRLPVT >Dexi9B01G0039940.1:cds pep primary_assembly:Fonio_CM05836:9B:40623200:40624621:1 gene:Dexi9B01G0039940 transcript:Dexi9B01G0039940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWVDYNCHAMELNVTLAPLDVEKPTEPLMSTAVNLSEIVTGTAYVGFSSAIGLSIAYHYVIGWSLSLNGAAPVLNSSNLPTLPRLPHQNRSLTEILVIVLPLATAGFIVALVAVVFMFVQRWLRYAELHDNWEFEFGPHRFAYKDLFHATEGFVSKQLLGIGGFGRVYRGILPKSNLEIAVKRVSHDSKQGMKEFIAEIVSMGRLRHKNLVQLLGYCRRKGELLLVYDYMSNGSLDKHLYDGNKPVLSWNIRFHIIKGIASGLLYLHEDWEQVVVHRDIKASNVLLGSEMNGCLGDFGLAKLYDHGTNPRTTHVVGTMGYLSPELLRIGKASPGTDVFAFGMFLLEVTCGRRPLEHDQVGLLDWVLKHWNRGAVLETVDPRFCGEYIAEEVRLVLKLGLLCAQPMPNARPNMWQVLQYLDGSAAIPEMTMTNLDYSSLMFVQNEGFDSYVMLEASSYVTNIGPGSDLSGGR >DexiUA01G0018450.1:cds pep primary_assembly:Fonio_CM05836:UA:39098482:39101139:-1 gene:DexiUA01G0018450 transcript:DexiUA01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKAVKPIFERTKETDDHLGWVFPTTAEEEPGAEPDPLNGARSVRELYEIASPNYAGKPTVPVLWDKQLKTVVNNESSEIIRMLNAEFNNIAKNPGLDLYPVHLRASIDEINDLVYDAINNGVYKCGFAKKQEPYDEAVTKLYEALDKCEEILGKQRYICGNQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGMSSTVHMEHIRKHYYGSHPSINPYRIIPAGPNIDYNAPHDRERFGS >Dexi2A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:2A:3101762:3102619:1 gene:Dexi2A01G0003570 transcript:Dexi2A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPTATSTGGSSTEPRSGLSGPNGFATGWSLLAVAGSASRHIWSYNGSVRNTSEGQFVSDAVLFSPPKYGQRRTHPATRTGAAARLPEPTRTARWCAMLAPAESPETKTLAKSAAAASHGSPAPAPTRVAWERSQEKRVSASWSAAGRRRTSGAGARLTVPSLKSCMKPRQSSTTCGGGGSSPETPDAGEVVDDMVV >Dexi6B01G0013360.1:cds pep primary_assembly:Fonio_CM05836:6B:21061650:21064212:-1 gene:Dexi6B01G0013360 transcript:Dexi6B01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASLPQTYTVVQENKKTDSKNHESSRSEVHAASKGLMSSQRTNQIEANPAFASWDADFKSATSGGAAGDSNQPDLFKSSSAAESFSFSAPGIASNPAVGTENKTNMKGAIVEHHSEDLASASGTLFDDDLSNQKIAPSLESNNGTIPENSALEFTDPLDMNFAKGDQLPERDDTGDNDDEAFDVWQDFAASSDQGSLSNAGEHIVEPLKRDSSEIKTIDPLPVDSSDDWQAFASISGQGADLVRSVEGSTSALEQDLVRSVGEKIDNISLEYSSEVNPVGNVKAQNTAEMGKDTDDSFDDWQDFTTSGEVQATSLNQTGDMMEVPKVSHKETDMDSLFMGASREPANTGIVNENNMLDSWQGFTGSDQAQQNSSSISGEMMSVLSNKHEGTVSVQSWVHGSNKEAAKTSSTIVESDTFDIWQDFTKSGHLQENMSNLGREVISVSPEPAKQIDSLDLWLTSNFNEPTSSKGVGSIDASSDGWRNFASFDQTQTSTKIPEERHLVKIPSGAETLDFWASSHAKEKNLEQISDNNDLFDDWNDFQNSGPQQTSLQVSSDASLFDIPSASRPDALGGLEFGNILQLASSENQKDKKEDSNEAKSALSDEQFKSTNGMEQMGDVDPLSSLWPTNSLDNNAIRKQESVNANVEQLLAQMHDLSFMLKDELSVPDKPVDHMKP >Dexi9A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:9A:3886311:3890850:-1 gene:Dexi9A01G0006860 transcript:Dexi9A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSVEDVVTMEVSEMVVVDDIVPDSEDVMAMKDARAMHNFEMVPDSVVSPDSEMVAAEDLNMRKKKLGDGLPMVSSREEVVEVGEKLIDVLLQPCFGELG >Dexi8A01G0009640.1:cds pep primary_assembly:Fonio_CM05836:8A:13068394:13073573:1 gene:Dexi8A01G0009640 transcript:Dexi8A01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRPRRRARARTELGDEAAAVEEEPALFPVGALVEVRSDDTGFAGSFYEATVVGYQGDGLDYVVAYSTLVRSKDGSSPLREPAAATDVRPRPPPAPPRQFAVHEMVEAFHNEGWWAGVVCAVPVPPDSETAERPPGRVYKVCFPTSRELLEFEEAALRPNRVFQGGRWVSAAEAENGMPLFRDGSQVEVSRSAKTFGKYWSPAIILKVIGATSFLIQYRNVREDGEQLTEILDSQYIRPTRNIIRMDSKYRFPPYSHVEVFHEGSWWTGAVLEVLDNESTKKYVIKIKNEETDMDDVECVDLLTVDHTQLRPKYNWYHGKWVRSLPEKPANRRPQLTPHKSPISTTLASCNDIVDLDALAPCNDSEQIRDEPGSFLKKKVSDEDVIFKQVSPFSSRKQVPPELLVCNGNDQINCMPSYFPEEVVKQQNTVLSFESHLKVPSQKSVTGFCNLKYDPNLSLSGQLELSSSQMLSMPSLPHTGQLQASLFGAFGQLRPLPQGPLFGMQSHIIDFGSIVGSAKAFTDQRKQTNDKGHNLMTGSKQNLKFGSFSQTALPTKRLFTGQGKQAYSEGHCLMTGSKQNINSGSFSGSDLPRKRLKESVSPQTPELLGGTPKTMLKRKRLAGKKVKGGTKLAAISEESTELNNDDDNELHKNVDLGSVLHSEGNIVTCIDPRRLKDDKGSQEISVFLRESSVADEIIPTGVPIGADTFHQEDCIRGAQHGATKVSVLIEESALSITSTLDNSSQAHVFSSYYSTRCENKAANAEKSATIMEQGTCEEFCQQSSVLGDDANVHLLPSVESGEDTRGKDCMEAMMECVGSCVSTENVSIMGGVVPNLLLSSENCEVSKRYDHEQHGVSQNSLAMVEFAAEGSQSIKNSGITPFSSISMSNSTEGEQGDTLIDPKDSERTPVPKYVPSRTQGACLSLLQRSRDVHESIMSYPPTEPLAIGSVPFTKTSPMWEQVEAMEVFRNMPQRPNFYKFKQHVPEFREGMALGLMLSFANMAESIRRLSIHGDDALFEEKMKGLSSLEADGFDVRLLRSRLETLLHIRNGTAGFQGAIRDLAKKISHIEADDRHLDTQIDILNMTVRQLELQAYLFRCIMRSAISQKVNYASEIVGLKTEAGTLEASYLSAEQRFSSAAAAPW >Dexi8B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:8B:194972:198779:1 gene:Dexi8B01G0000230 transcript:Dexi8B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPPAPTATTSPSDPPLPTTAAAPKPLIASSARSLLAAARRSPVTTLVVAFFFLALFMYGEDVHTIAELSIDDYLYPDADLYNVSGLPPLLLPPPTCDLSAGRWVFDNVSTPAYREKDCTFLTKQVTCLANGRPDDTWQYWKWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGSFIVFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDQRIISPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRSWSQHDEVPRIEAYGRVIKTWSDWLNHNIDPARTSVFFMTISPIHNSPNNWGNPGGIKCVKETLPHQNYSQPLDLYHDLRIFDLVVKVASSMEKVPVTVINITRMSDYRKDAHTSLYTMRQGKLLTPKQKADPEKFADCIHWCLPGVPD >Dexi5B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:5B:2796140:2798940:1 gene:Dexi5B01G0004140 transcript:Dexi5B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATFPLASPNCESKGMTRLQRSRPRRVHSRLHSQLRRSNLSSPTHPPTMDGGYSPRFQRQALCSCAPSISRRGFVRAGFDLEGDDYCYYDDDIYHSSSSSSAAAYDKADGHYSHAGVPRPSSTRARLRGLWRRIMREKKRILLCTTGCVPAAAPPHREPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRGRPPAAV >Dexi5A01G0023580.1:cds pep primary_assembly:Fonio_CM05836:5A:27528165:27528678:-1 gene:Dexi5A01G0023580 transcript:Dexi5A01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQGTALKSAPMGAGRRARRGRPVAAPYRSGWQAYGGMSLEGSGFLGGAQRTEERVAPPPPRASARDAEVIRPLSKLPDSSIGLYDPSFERDACGVGFVAELSGDYKRETAS >Dexi8A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:8A:12849398:12851366:1 gene:Dexi8A01G0009570 transcript:Dexi8A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWCWSLLCHKPNKQVASESVHGAELALEHLDCRRRCETCDVEAGKPCKCGGGRVAVNEGHSSKPNSSFAHSVINMVGMLIGLGQLSTPYALANGGWASVFLLIGLGVMCAYTAHIIGRCLADDPGSKTYQDIGEQAFGVRGRVVASAFIYLEIFFALVSYTISLSDNLPLVFPGARLHLPCLHRLGTTTQLLTVIAVLVALPSLWLRDLSSISFLSFAGIVMSLLIFACVVCAAAFGGVDTDHRIPVLRIERIPAVSGLYMFSYAGHIVFPNIYTAMKDPSSFTKVSVTSFSVVTALYVALAFVGASLFGPSVSSQVTLSMPPRLAATKVALWATVLTPVTKYALEFAPFAIQLQRHLPAAMGPRARMLVRGGVGSVALLAILALTLSVPYFQYVLSLTGSLVSVAVCVVFPCAFYLKICWGRVSRSTVTLNVAMMVAGVILAVAGTISSAKSLVQSIQSGYAD >Dexi6B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:6B:10727968:10728498:-1 gene:Dexi6B01G0008510 transcript:Dexi6B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSTVLVISLLILITFASQSSGSAGEFAERRQLRPHLQVQQELDGKKGLEIQIPSRRLGLGHGVGTVEMKHHGRMVTGHKGGSMGGGGAGGGGAGTGGRNVGGGGAVTRPHNSKNAAAAQPVPVASVLALAFGCGVALSALSF >Dexi7A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:7A:12930359:12930893:1 gene:Dexi7A01G0003450 transcript:Dexi7A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKLCPTNGFSVIVVDEDKHHANSARSMLCALNYHATAYTSPIEALEFLEGHAQVVDLALVAVDMELMHGFQFLDIVREDHKNVQVISKC >Dexi4A01G0008560.1:cds pep primary_assembly:Fonio_CM05836:4A:6400840:6402413:-1 gene:Dexi4A01G0008560 transcript:Dexi4A01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAHAMLFPFPCSGHINPTLKLAELLHSRGVYVTFVNTEHNHERLLRTAGALRGREGFRFEAVPDGLSEEDRRSPDRTVKLYLSLRRSCGAPLASLARSLGDRGDGVPPVTCLVISGLVSFALDAAEDVGVPAFVLWGTSACGFVCTLRLRQLRQLGYTPLKDESYLTNGYLDTPIDWIAGIPPVRLGDVSSFVRTLDPQCFALRVEEDEANSCARASGLILNTFEDLEPDVLDALRLEFPRVYTIGPLAAAMHGRSQEHDGNGSAAGLSLWEEDAKCMAWLDAQAPASVLYISFGSLAVLSLEQLEELAWGLAGSNRPFLWAVRPGLVAGDRGADALPEEFLAATRGRCFIAEWCAQEEVLRHRAVGGFLTHSGWNSTTESILSGVPMVCWPGFADQYINSRFTCAEWGVGLRLDEALRREQVAAHVEEVMGGVTDRAREMRRNAAVWKAKAEAATAPGGSSYESLGKLVEDLWLGDDADAAATHDGR >Dexi7B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:7B:10196311:10196970:-1 gene:Dexi7B01G0004170 transcript:Dexi7B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRSAQTLAFRSLRSVRPLHGAVPPAAAAGARSCASLVPPPRLPPPSSRVVPPGVAGAVSFSLTFATLAAAEAKAKERPPTDLLPQNVVLYQYQACPFCNKVRDA >Dexi9B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:9B:4002263:4002644:1 gene:Dexi9B01G0006680 transcript:Dexi9B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMRRFYPGGFQGEMNRRETALILGVRERATMDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGSSVF >Dexi7B01G0022440.1:cds pep primary_assembly:Fonio_CM05836:7B:27368352:27371366:-1 gene:Dexi7B01G0022440 transcript:Dexi7B01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGVLQAGDAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDKSQLAPSCMVFSSYEQLLWGHIPELVDSVKQDCLAQAYAVHVMSKHLGASHVDGGVRVAVSREFLELVEKNVLSSRPSWRVNASSIDSTADAALLIADHSLFSGNPKGSNCIAVEIKAKCGFLPLSEYISKENSIKKQVTRYKMHQHLKFHQGEISKTSEYNPLDLFSGSKERICMAIKSFFSTPQNNFRVFVNGSLVFGGMGGGADNVLPAEKDKCLEDLSKVSGLELPDFIELLSEAIFRSGVLGKLLTTQKLDDHDIEGAIHLYYNIISQPCLVCKDITDAELLSKYTLLHSFSLDKSLKIIRDFLVSATAKDCSLMISFRPRDSGTTDSEYDSVFLESVKQTYDYKAYFVDLDVKPLEKMEHYFKLDQKIVNFYTRNREIMPSPKGSNTKDTSLIKLQH >Dexi4B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:4B:3750858:3753914:1 gene:Dexi4B01G0005320 transcript:Dexi4B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSQPASSACPASPSRPSRAQPVRPRLPFSSSPSSPSPSPHGRGEEAATRGRRGADPCSDCGRARAEMAILYALVARGTVVLAEHSASATNAGAVARQVLERLPAGGADSHVSYTQDRYVFHAKRTDGITALCMADEAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRSVMIDNIDKVLERGDRLELLVDKTANMQGNTVRFKRQARRFRNTVWWRNVKLTAALILLLLVIIYVVLVFICHGFTLPTCIR >Dexi1A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:1A:25973786:25975781:1 gene:Dexi1A01G0018790 transcript:Dexi1A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELAVRGAGAGADEEYSAPPWRKQGGVLVRRGAFGVKREVFAVAKMPAPARRLLRKRLISELDAVRDALRKAELVSCGARGGAGEGGQLMAAQAPVGEGGGGRSATRRKMSPSAEQKQSTGEAKRMMMLGGDRERLAGRLASLATVLPDHVVAFLQNQRAIGDYCDYLRGDDDGGGKIEKQDVTKSMNSGVFQLKILLDKFAPEKKSTPKGQEEEDEKGVDICGGVSRIAIRDIAEEYGELVEDIGVKLLSPLQRKYVDLAEKGECYVDICGDASPVVFPTKTTGHSISSSDSDTSSSDSDSSSSSFISDSGSDHDKSARSRSPSPLVPKKIGTCAPPPEPAPVGVQDQRAPSVPTVLPITSSPPAPAVLPPKLPEPAPETLKIAQQEDLQDLCPAAAPKVHLVTGIPSVPSATLPKENDGTYNKQPPLPAREAIQIAEPEEPRRPCVAAAAATVHPIAGGSAPPSTSLPKQNDTSEMAPPVATQVAVPEELHGVVAVPAPGDGITDLVTLAKEETERRRQQAKDRAKAEARRVLVEVERAALRDQRVNRRDMELLGLAAFEHVVSTVQQGARTAEMASQVREGGGLLRVLPGGPSILQQLGVFLKADDGSDDDDEQQAALASHVEDMEVEDGEIR >Dexi1B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:1B:5973953:5974634:-1 gene:Dexi1B01G0007230 transcript:Dexi1B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGLPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERLYMGVVIAGVRLLRLRPERRYRCDPLPEDDPELGSSAFPSVLVQIPMFNEREVYQLSIGAVCGLSWPSDKLVVQVLDDSTDQVIKQRHLQSFFYPLSVGGGH >Dexi9A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:9A:10202515:10203720:-1 gene:Dexi9A01G0015340 transcript:Dexi9A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSLLSFITITTFLLYPCASIELRRELSGWSNGIATWYGAADGAGSDGGACGYQNDVDQPPFSSMIAAGCSSIFDSGKGCGSCYQVVCTGNDACSGNPVTVVITDECPDCPCPDDQVHFDMSGTAFGALAKPGQEGQLRGAGAIQIQYTRVQCEWRGVDITFSVESGSNPNYLAVLIEYEDSDSDLDAVDIMQQGEAGQQWVPMQQSWGAVWKLNSGAPLQGPFNIRLTFSSGRVLVASNAIPAGWNAGVAYRSGGVAVARARPRSGGCQGSEPAGTLLMSGLVSHLLVLLVALEL >Dexi6A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:6A:2917059:2918486:-1 gene:Dexi6A01G0003270 transcript:Dexi6A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPTAAAAARLAALAFPSPRPSSASAALPRTAFPSLAVAAVPLGFGRRSRGVARPRPPAAGAGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPANRRPRQQAPQTESASS >Dexi9A01G0029660.1:cds pep primary_assembly:Fonio_CM05836:9A:34557337:34558512:1 gene:Dexi9A01G0029660 transcript:Dexi9A01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRYRRDDDDDDGGRGGSRDQRRRHHLCVVLDEWSKGYSLYNLDHRPDLVSAAGSLCHAAHPDGRTVPFSADGSGTFAFDAEAEAWARHGEWVLPFKGQAFYEGEAEAWVGLSWAHVGEGRRARCYAVRKKSNTFSFRAFGISE >Dexi4A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:4A:8991171:8992493:1 gene:Dexi4A01G0011120 transcript:Dexi4A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPVPVIPFLRRLLTGARRSRHAVPNINYVPALVGFLVLAVATFSVVFLSPFQWPPVSSSSLFSNAGAGCDMTRGEWVRDPSARPYYTNATCAFIEGYQNCMTHGKPSLEFLQWRWRPDGGCGGELGRRFDAARFFRLVRGKSILFVGDSLASSHVRSLVCTLSQTESPEERSPPQRSGEGFEHWRFPAHAFTVAFFWTPFQVTWRLTRGPPEAVGPERQGEVFAGPTDIHLDEPDQRWVPAAMDHDYVVVSASHWFARPAVYYLGGRVAGCHGHHDGCGAMANATKLKPEHAQRAAFRTVLGKLAGMEGLKRTTVILRTVAPTHYENGGWFDGGECTATQPADPEDPVEMAEPEGEFYRAQVEEFAAAEEIARRKNDVRMRMMDVTKMMLRRPDGHPDRYGHGAGEHEGFEIDCLHWCLPGPIDVWNDMLLHIIAGR >Dexi7B01G0001190.1:cds pep primary_assembly:Fonio_CM05836:7B:2146183:2147291:1 gene:Dexi7B01G0001190 transcript:Dexi7B01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARQFEEKEDDKCWKVLADFWAEMLIYVTPSENVKEHIEALTNGGEFITHWWALLTHAGILERPPRNANNDIENPGEGEGSPYCCPSTTQAAPAACATIQQPMTGDHIVELIERNVEDIENAGTERHYPEEISYGAALRLRRANSYISKCSEGATLATSATNKQATHGNCEQGPGFI >Dexi2B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:2B:6892160:6893108:1 gene:Dexi2B01G0006910 transcript:Dexi2B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCAINLGIPTAIHRLGGAATLSELHAALLVAASKRPCLSRIMTLLATGGHPCISQHLAVCSSPFIFTASLNLDEWLKEEEDARTAAPFAMAHGAGVYDVVRRDAAFGTCLDEAMASDSRFVSEIVVRDYGEVFAGVTSVVDVGGHNGTMARAIAKAFPHVRCSVLDLPRVVDAMPADDTVDILVSFGGINQY >Dexi3A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:3A:17086623:17087448:1 gene:Dexi3A01G0021490 transcript:Dexi3A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTQLGLATGLFGVLSFLLAVLAEINKPPYGTPIQGQNAVVCRFPRDPSVALGALSALAAACSAALGVLAVFFPYGGRHVPREVLFAHTPLYVFFHVAVGVTVAGAGTTVWATASEAMLHARNVHREPGYACPTAETGVLGGAAFLNLDAMLFWIVCLMLACNVREDYFDDGHGGDGGAGTGIEK >Dexi8B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:8B:25815054:25825026:-1 gene:Dexi8B01G0015080 transcript:Dexi8B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGAVDSLLGRLSTILINEAQLLGGFRSDVEFIKDEMESMNGLLLHLTEAQYRGHQVRAWMKQVVGLTRDCEGNVELYIHYVSSRPAKGGLLGYLLGVVQFLRTFPVRHRIVTRIQELKVRAQNVGDRRQRYGVTVPPAPATPGTDTSDHDIEPADKEEDLSRRAVLLHGVEPLADNKEVVKNGIDTLIKLLSREPPPDAAHGEQQVQHVVTACAGLHPHAADAAAASYAAASALKRRGNELCPMVHSHPLSHLKVHQALEYPWETSSSGKVKSCTVHHIVHEFITTDGPDSNGPPDVTQALLESMPRSPQWQLLKVLDLEGCTGLKKRHLKNICKILLLRYLSLKNTDVTKLPKQIEKLQCLEILDIRQTEIRVVSTKSSFMLPRLKYFLAGHRISPDSRSDRFQESFETVRLPGGIRRMKRLEVLSHVEVSNNVADLIDIGQLMRLRKLGVVLHNTKGVLNLLFQQIEKLHGCLRSLSVRINQQTSSESSPDSEVVPALVNPPKLLESVSIYGIKTGLPNWVADLDQLSKITLQDTFLGEDSIHILGKLRMLRCIKLLHKSYSESKLCFKLQEFQRLRFLVIEGTDISTVSFDNGTAPKLEMIVWSFSTLEALSGVNHLPKLKKLELNGECNLDPVKEAIEKHPNRPKLNHNQ >Dexi5A01G0029050.1:cds pep primary_assembly:Fonio_CM05836:5A:32219012:32222570:-1 gene:Dexi5A01G0029050 transcript:Dexi5A01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELTPSSSPHHAAPPRRAVERDDESMLPTPTPAAAATATSRGGCGRRRRWRWACAAALDPRAGWARETWDRAYLLACAAGLMVDPLFLYAVSLSAPLMCVFLDAWFAAAVTALRCAPDAMHAWNLVLRLRDARARSGRHNDDDDEEAAHPGRYNNDDGGGEGGVKGRKTSKSRKGIFLDVFVIVPVMQVVIWVATPAMIRAGSTTAVMTVLLVAFLFEYLPKIYHSVRVLRRMQDVSGYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCAQAGSGCAPWAVACAEPLYYGATTAAPGMNAVRLAWAGNATARGTCLDSGTNYQYGAYEWTVMLVANPSRVERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRGVELWMKRKNLPRSFRHRVRQYERQRWAATRGVDECRIIRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEVIVREGDPVKRMLFIVRGHLQSSQALRNGGTSCCTLGPGNFTGDELLSWCLRRPFRERLPASSSTLATMESTEAFGLDAEDVKYVTQHFRYTFTNDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDLL >Dexi7A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:7A:4397058:4418939:-1 gene:Dexi7A01G0001670 transcript:Dexi7A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGAWWAVLFAAAALVGGARGDREPRHDDRFALVPVEASGGGDGGGGAAAGLRGELPCQTYSSNSRTCKGLNGSGSFNTTCVISSSSSLDGDLCVYGNGSVEIRPHVKIICPVRGCYITVNVSGSIRIGEHVELIGGSVSLDAANVSLDHGSTINTTALAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSMGGGMSADQKFGGYGGGRVMLRALDFLNVDGQVLAEGGVGSLKGGGGSGGSIVIHAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHVSLWHTSISVSISALPSPFSYCQVTGQIRLLSKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGAKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKARRLFLSLFYNIEVGPGSLVQAPLDEAVQSSLDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTIVKNGTITASELGCKEGIGKGKFQKYGAGGGAGHGGRGGTGIYNGMISDGGLEYGNADLPCELGSGSGGSAVSADNMAGGGLIVIGSMKWPLSKLLIYGSMNSDGQSHRDKTGNSNVTFKGGVGGGSGGSILLFLQGVLLEKNSSLSASGGSGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASRYAIHLKQQVGFTGGSGKNDGHFGEDGTITGKKCPVGLYGTFCTVPGASKAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKYVSTAIWNRLVAGLNAQLRTVRQGSIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKSLHPHEHGPEFGERSRKNIAVLLKDFNQANQDQPCTSYAVSRKRLTGGVNGGIINEATLMSLEHKRDYLFPFSLLLQNSRPIGYAIVAIIYGVLYFWLSSFAVSSVHHPYNRKSFKSRDDNQWWILPAILLLIKSLQAGLVNWHVANLEIQDYSLFSPDPDRFWAM >Dexi1A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:1A:22793966:22795147:1 gene:Dexi1A01G0015710 transcript:Dexi1A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGPPGLFSPSGLYTHKPPSTLSPEEQHCAPNWAAPEPRLSLKKKFEALSKTAMAGGEGSSRSKGAKRKAEAMKEVVMTEEVAPAGDIPCVEDPISDWPTSTLKEKYIKNLETDGFLAAQEISRWRCAHGHEYPTEETEELAV >Dexi7A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:7A:23557798:23558411:-1 gene:Dexi7A01G0013480 transcript:Dexi7A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQNGSSRGVRGDEEEEEARRGSKSVETDREGRSKGSRDRGHRGKSKRREEEEEGSESSGEDSGERRKRRRKEKERRRRRSRSESSASSSESESESSYSGSSAESDSEPGLDSEEERRRRRRKRRKEREEEERRRRRKEKERRKRKEKEKERERRRKEKKKKRKEEKKDLGKKGAVTNSWGKYGIIREVDMCV >Dexi9B01G0031200.1:cds pep primary_assembly:Fonio_CM05836:9B:33621110:33622352:1 gene:Dexi9B01G0031200 transcript:Dexi9B01G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRFSTIKMSRGGSAGGGQSSLGYLFGGGEAPKPAAPAAPAASAPPAEKPAAPKPDVTKQIPAGVTSQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >Dexi3B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:3B:6177364:6178384:-1 gene:Dexi3B01G0008970 transcript:Dexi3B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAVTAGNRRRRSRAPAGGAGNDDAEEHHANPFIDTASSTSSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGFLVEKSALILLNDKDQTIGIEDIYEKIAGGKYGCSWDTFQAYKHLKSLGYIVGRYGVPWTLKNSSTSDTTVAPTSVVHTDQSFNKVDGTCSDITKSLKEMHIDRLTPSFEVYLPNSMFKKSSPGAPNFLLCLLR >Dexi5A01G0033120.1:cds pep primary_assembly:Fonio_CM05836:5A:35498834:35499277:1 gene:Dexi5A01G0033120 transcript:Dexi5A01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEAGREAEEEAAAVAPEEDEDIVMGEAREASFAVAVAARQRGWGLEPLGFERGVVMVESEVVAVEAAEVGGLGGGAGEGAEAEVDEGAAAAAAASGCEGGGASMLFDELRPARSELPIQDLFAIIILQNYV >Dexi3B01G0035300.1:cds pep primary_assembly:Fonio_CM05836:3B:38112686:38114601:1 gene:Dexi3B01G0035300 transcript:Dexi3B01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENATPEPAVVPRLTRAATKRRAAEAAVTGGGGAPAKQKRVALGELPANAGALLRAPPSHPVKPARGGGKPVPEEALRTLALAPAPAPVAEDGDDDAERCASSSPPRVVAGAGDGEPDRADGDSSASTSPPRAVVPPEADRDSSASSSPEPRAAATGDPQLCGSYASDIYTYLRALEVEPVRRPRSDYIQAVQSDVTANMRTILVDWLVEVAEEYKLVADTLYLAISYIDRFLSYNVLGRDKLQLLGVAAMLIAAKYEEISPPHAEDFCYITDNTYTKQELLKMEGDILKLLKFELGNPTIKTFLRRFTRSAHEDKKSSILLMEFLGSYLAELSLLDYGCLQFLPSVVAASVMFVARLTIDPNANPWNNKMQKVTGYKVSELKDCIVAIHDLQLNRKCSSVLAVRDKYKQHKFKFVSTLLPPVVIPSSYFEDLAE >Dexi1B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:1B:833962:838778:-1 gene:Dexi1B01G0000990 transcript:Dexi1B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRLLVFLCLSAVAAVAAAAGEDALRLPRDASFPAAQAERLIRALNLLPKEAGMGGSGDGPSVAPGELLERRVRLPGVPDGVADLGHHAGYFRLPHTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIADNMSLVWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKASEGIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINRFIPPCEFAIKMCGTEGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGEKAVKEALGVGDIDFVSCSTTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGKYDLICNWLGNSRWVHSMEWSGQEDFVSSSESSFVVDGAEAGVLKTHGPLSFLKVVVQSLFSLYLVHNAGHMVPMDQPKASLEMLRRFTQGKLKESLPESMVLKAVM >Dexi5A01G0023790.1:cds pep primary_assembly:Fonio_CM05836:5A:27761339:27762349:1 gene:Dexi5A01G0023790 transcript:Dexi5A01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPPAAASSHHLMKKTWAPPPPPTPKPRAHRVPSVPEVAEGGGTRDRRRSSSSHRRVGVGAGAVEEEDTPCEGRRLEDLRAKLMGHLRDAAGSLRLPPASKPPQPRSPEPRPPAAPLPPPPEQQQEAPAAAAAKPWNLRDRKCRRPTARGAAAALDASPAAWEPAAEKARRRSMLADERAPFAVALTAEEVEEDVYALTGARPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDE >Dexi7A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:7A:20039876:20040441:1 gene:Dexi7A01G0008820 transcript:Dexi7A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYEATRPAKVAADDGGGDGNASFVQTCLNGLNALSAVYLVALAFVILDGDNLDKLFPLPGAIVSVSLGGSCSSCLSRS >Dexi4B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:4B:3262371:3268956:1 gene:Dexi4B01G0004600 transcript:Dexi4B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTLGALLLARKRQTTATAAIAFPANASTVQRREDIKEYTLRIEPEPTKRAVTDEIHGETGGPAEDPRAPPPPPLRFPPWKQQPAAGEYSGERAGAALALPLLGGVVHASASFNAGAQRRTHSSPPLRCASLKSGTNTLMGLHVSGKEAVRGRNDGGARQESKAERQRWTSVSKNRLVRLLASAEQIEQVGAFGLWVFCRFPRVTWRAHMILFGGGGPAGVVGTPKHLSAFLRPGRFGPHDQPTLRGPSEAAEKPSSSFPSLPSSHQITQKTKSHTMRFPTRQSRAVDDDVDLAYLGRRQGRPAQTYASWRGPAQLGSTLSPPLSLSDQWARVVILSSSLPPLAVSKRDSTESDLASPFLSFSSPYKIRASSLPYSLALALPLLPAQRRRQVELAVDELQEPPLSSIRSDRRQRIPSRIDLSGHRRRSFAGGARRREGRSRRRHDSDSDRLPHPRDPLVLLLRFPCLAERLAHRIADDPFEQSSRPPWPSPVATVAQTSSPTPKTYQSRQETEHPSPSPAISGEAPPRNRAAPRQPFLSGEPIHPEETELPDGNPTANSRSKP >Dexi4B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:4B:395235:403775:-1 gene:Dexi4B01G0000580 transcript:Dexi4B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPEDSDYTVDVLTRCSVSKDCSGKKAMKIVPPKERGEPVVISLPLSQHSNYMPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMKVQSKSFRKASRRIEALASLFEKHDIRSSLHIQQKLKVLHDKQELSAKMKSIKKTMQASTALAFKDELKAQKRVLRRLAGTLKHGAVEQMVALLSCFVWQEKLQDASKPREELDLLFYQLQETARRVSNLQLECKAVNMLKIPEELENIIKGEAPRTSKGESLFERANGKVYTAEGEGTFLIQLIPTINSPESEILTLQYRWNDLYFVAFHVKGQWFRLRDDHPDDVQPLPPTTQIKCQKKDYIVQLPQGFSYDQLGGSDIQIGEKAFKMCYKILMTAPSLAQKKKLNLLKEDSALALPVVAFSEALRFPLFKAWILKVLRYRDRLNKSIPPEHLAMFEDWVVNSRLLFSPNPSPDLVKKLGILKKSNRVPYPRKGSHDHEASSSGTKNEDFGGNGRKLVRIPQSNDEDASLCKEGAKMRKMVRIPQAHAEEASLSSSNEDAPADMDSNAGGRPWNQASGGNGNNIGRSEVSDTADFNVKEENITGGSGGEVDCMDSNAGGRPWDQASGANGNHSGRGSKPVQQQRRCPS >Dexi5B01G0017130.1:cds pep primary_assembly:Fonio_CM05836:5B:18133981:18138792:1 gene:Dexi5B01G0017130 transcript:Dexi5B01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSIQLLSTFIGGFIIAFIRGWLLALVMLSSIPPIAVSFAIMSKLRIRLSTYMQANYGDAGNIVEQTLGAIRTVNILTTWFNLSTYALKVVSFNGEKQAITMYNRLIRKAYGAAVSVGVVNGLGLGSMELSLFGSYGLAIWYGSRLIIEHGYNGGLVISIVMAVTIGAMSLGQATPSVTAFAEGQAAAYRMFKIIDRQPDIDIYDTKGIILADIKGDVELKDVYFSYPTRPEHLGLDTMVGDRGVQLSGGQKQRIAIARAIVKNPKVLLLDEATSALDMESERAVQEALNRVMLERTTVIVAHRLSTVKNTDVISVLQNGKMVEQGSHVELMKIPGGSYSKLVHLQETQGKTESSHVDYNMIATDGSGSRSIHTKPRSKSFSTRSVSKGSSSSGHSNRHSFSTSPRQPDPTEFNPASNIEEDTTHKVMPIGPKKASLARLFCLNKPEAVVLALGSIIAAMHGTVMPLFGTLISTAIKTFYEPPEKLPKDSRFWAIMFVALGAYCFALTPVEYFLFGLAGGKLVERIRSLMFQSVMRQDINWFDKPEHSRSIPIKSFNSCFSGLIGARLSINALFVKRLVGDNLALNVQNLSTAISGLTIAMVANWKLTLIITVVVPFVGFQAYAQMLFLNGLNKNAKWHHPCLQTVALVGESGSGKSTVIALLERFYDPDSGKILFDNVDLQTLKVSWLRQQIGLVSQEPVLFNDTIRTNIAYGRQGEASEEEIVAAAESANAHEFISALPDGYNTIVGERGIQLSGGQKQRVAIARAIIKNPKVLLLDEATSALDVESERVVQQALDNVVVGRTTVVVAHRLSTIRGADTIAVLKNGAVVEKGRHSELMRIKDGIYASLVELSCSSA >Dexi2B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:2B:7310957:7313077:1 gene:Dexi2B01G0007100 transcript:Dexi2B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLGSMAATAALLLFQLVFSSVRRRSRNSFLHGALWLSHTLLPPLTTYAIGLMQSSPANSPPVYPVWALSLFLIAGGANAATAHDLADNFQWMRWLFVYLQSYFVFAFLCRILLSSTSTSVAVPFAILATTVASSHALGLRASSAAGDPAPSKVVADHMKGHAAASSSSQDGCRVARGGRSSPSRASYTCELPEDDVITIDMVWDLCDREENATFFVSHGVSVSRIKGACLSYSLSHLLKRRFFGLDCAEARRSETRRFVVDGLLSEDNSDEHTEAFKVIEVELGFLYDFFYTKYACVFDVETTFFFTAVLKIILSFVLGVVVILKSHFVLKNVPVTGPETKAVDLVVTVLVLVVFVAVESYHTLMYLGSDWAMVSLACSRLTTGKSTNRFLPFSLRKPFGFICSRLPLFGYWHNSIGQYSVIESSRFLRRSKAFSFESESELMSMFSVTGEYLRQAWGNLATSQGLHFVELPHLLKPHIISFLKSNNSGHPLSNGKASLQRNVVSRQLSWTLQNETQAETMIIWHIATEYLMISLPDEAKGNKQSLIYRDRELATKLSRYCAYLMSEAPELLPGNSVDTKFIFDHAMYEARETLGSKLRKIDRLRKVLTTSYGDEGTIFTRGLKLGAKLETIQEGSLRWKLMAEFWTETILYVAPSDNARAHMERLARGGEFLTHIWALLTHAGILTRNPIPD >Dexi9A01G0023670.1:cds pep primary_assembly:Fonio_CM05836:9A:19091552:19091749:1 gene:Dexi9A01G0023670 transcript:Dexi9A01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Dexi9A01G0024940.1:cds pep primary_assembly:Fonio_CM05836:9A:21778565:21779314:-1 gene:Dexi9A01G0024940 transcript:Dexi9A01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVVPPFMVDNVEQSSAMAVSASSSRYLITPAQAAYYYRIGERMGGPAPRVMKRYYYAAPVAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEQAALAYDGAAFRLRGDAARLNFPELRRGGRHLVPPLHPSVDAKLQAAATASASTRPESLDVKSEPAAGCCSGSEASTMTTAADVAADLSPSSTGSSPLAKAVAAAAPLPEPEMQHLNFTEVPWDEAADGTALRKYPSLEIDWDAILS >Dexi9B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:9B:8032786:8035056:-1 gene:Dexi9B01G0012070 transcript:Dexi9B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAGGTAAVRSLPAAAAAVRRMLHIGGRGGAGAEEPESVAYRMSMLRRPSSVGKRGLIWNWCSLIGRLDAPVQPYDGSSDEKPGAYTFLSVSPSSPASSSSSSKFTMFLYSIENVPGLLQLGSMKNLNRVTLQLKGQLANVSLKHLKLNDLVFVSGFLESYQRVNPYGDRLKSIMSQIDVKELNYVLDQTKKLQDVEGPVHSSLMPSATSQMLKENKYIDRLRLWQVFFANPYEWWDNRQSKPSARYPDFKHKDTREAIWLHPDDPPWVQKQLELHDLEVAENGHKSNGRLLKNHDWKTQDFDYSDDEVQHSSEA >DexiUA01G0018110.1:cds pep primary_assembly:Fonio_CM05836:UA:38653660:38657049:1 gene:DexiUA01G0018110 transcript:DexiUA01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRDLFRAVRQATLNHARVLYHRLIARLPHLLAITLLVAVAPPPSLAGARALWASARANAGLVAAACAGLAAAAFAYAASRPHPVYLVDLSAYKPGRAHEATRAASIRHFALAGRFTDESIAFQTRMLERAGVGEATHFPASLFSVPVDMSLRAAKEESEAVVFGAVDDVLARSGVHAGDLGVVIVNSSLFSPTPSFTSMLVNRYGLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQVHPDTYALVVSTENITLNAYLGNHRPMLVTNTLFRMGGAAVLLSNRRSDRRRAKYQLVHAVRTHRGASDRSYTCVTQEEDAEGHVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLAAVLLRRILRADVVKPFVPDFKLALEHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLHRFGNTSSSSLWYELAYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRTVEDAGETNPWADEIDQLPVHVPKVSPIAFDQETSPNKDEQHAAA >Dexi9B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:9B:12346530:12350036:-1 gene:Dexi9B01G0017590 transcript:Dexi9B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKECHAKSRTVAQPRKDTYMAAGRSQQTSPHELSGRLEGILSDREAPWARRASRAAMVELRLLAPIAAPAVVVYMLNNMMSISTQISSGHLGNLQLAASALGNNGIQTFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSTILLMAVGVPLTAVYAFSRRILILLGESPEIAAAAAVFVYGLIPQIFAYAANFPIQKFLQAQRIVAPSAYISAAALVLHLALEWLAVYGLGMGLLGASLVLSLSWWVMVAAQFMYIATSERCRRTWTGLSRRAFSGLPEFLKLSTASAVMLCLEVWYFQILILMAGLLDDPQLALDSLTVCMTLAAWVFRISVGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITVAMAVVFLVFRDRISYIFTEGETVARAVSDLCPLLAATLILNGIQPVLSGVAVGCGWQKLVAYINVACYYFVGIPLGILLGFKFHLGAKGIWTGMLGGTCIQTIILFWITFRTDWNKEVEEARKRLNQWEDKKQPLLEVPTD >Dexi2A01G0036920.1:cds pep primary_assembly:Fonio_CM05836:2A:46423769:46428002:-1 gene:Dexi2A01G0036920 transcript:Dexi2A01G0036920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPGDPPQRSPGRSPNLNLPCPLPPVPGGAPPTPTQQQPGGGLPAPRAGHHRRARSEVAFRFPDDLGSAGGAGFDEIGSEDDLFSTFMDMDKIAGADRDRAAETSSPPRPTKHRHSASFDGFGMGPSAGGTAGQLDGAGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKSFPLEIEDVRQKNVITEESAKIIANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKVATGEMSKSNEPYNMGMQHVTYSPSFFQLSEQHAVQHHGNIHLPPHFQQPPPNVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGSVAVKSEAEVVVKSEGSSVSAGESNSTF >Dexi7B01G0024560.1:cds pep primary_assembly:Fonio_CM05836:7B:28882944:28884204:1 gene:Dexi7B01G0024560 transcript:Dexi7B01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRREEKTMPHAKTDSEVTSLAPSSPPRSPPRGTRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMASPRHSHSSVGRDSSSSRFSGHPKRKGDKAAAGRKGAPPGKGWQEIGVIEEEGLLDDEEHTRIVPKKCYYFLVFVLGFVALFSFFALVLWGASRSQKPQIVMKSISFDNFIIQAGTDASLVPTDMATTNATVKFTYRNKGTFFGIHVTADPFQLSYSQLTLANGDLKKFYQARSSRRTVSVAVLGNKVPLYGGGPTLTAAPAAGGKQAASSSSSVAPVPMVLRTTLHSRAYVLGALVKPKFTLAVECKVLMHPNKLNKPISLDKACHYS >Dexi1B01G0001720.1:cds pep primary_assembly:Fonio_CM05836:1B:1353411:1356206:1 gene:Dexi1B01G0001720 transcript:Dexi1B01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGALARIFSFSYVEPLLLQASPAAAYGRRRRYRGGSIRSSPASKTLLMPSSDFDLQEGLTNIQEIMQQQRCRQKSGREMMATIDNLKHDVLRRFTDNTGEFKLALSKDIRGLLSLHDISQLDMGQEASLCKAKEFSGKHLAAGIRYLEPGVARYVRQSLDHPYHLSLMPYKARHHVSYLQSLPSRDTAAMEELAIAEFQLNKLLHQREMQEVKRWWMDLGLAQEVPVARDQVPKWYMWPMAILQGSSFSRYRIEIAKIISLVYVVDDIFDLIGTLEELSLFTEAVKMWNTDAPDSLPSCMRSCYNTLYTITNEIADMAEMEHGFNPINHLKKAWAVLFDGFMVESKWLATHQAPAAEDYLRNGVVTSGVPLTFAHLFFLLGQDHTSCYNEDAANKLSDHVIPPAISCPAKILRLWDDMGSAKDEAQEGLDGSYRDFYLMENPSCTLADAEEHMKKLIAREWEELNRECFSRRSFSSSFSQASLNAARMVSVMYSYDEKQRLLVLEEYMRMLLL >Dexi8A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:8A:23021541:23023669:-1 gene:Dexi8A01G0013050 transcript:Dexi8A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSVTMNFLQSYFAKWGQQGTVDLKHEFERLLVLISARCLIGKEVREKADDDFVTLFDELIENGVNLTSVLFPYAPTLANFRRDRARVKLVETLTEIVRSRKSSNQVESDVLQKLIDSKYRDGRPTTESEVTALIIALLLGGKHTSSTTSTWTGAHLLNNRKWLLAAIEEQKEIVRKHGHFIDYNVVQEMDILYCCIKEALRMHPPAAVNFRKVLKNFTVRCKEGAEYEIPRGHTIVSPILFNSNLPYIYKEPSMYDPGRFSPGREEDKVGGKFSYQAFSGGRHSCTGEAYAYMQIKLIWSHLLRNFELELESPFPDTNWWKIIPELKGKVMVSYKRRQLLGI >Dexi6A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:6A:17382256:17383785:1 gene:Dexi6A01G0011680 transcript:Dexi6A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILSEAIRSRFMVNSTLRRGTHLVLSFSVVFLYWFYVFSSKTLLRMTLSGGTFSSGSGTSSGSSHETRSFGSDGDMELQARMELKRKRRMESNRESAKRSRQRKQQHLDELNSQVEELRTTKQQLITALNITTQNYAAAEAQNSVLRTRMVELESRLSALRDIMIHMNANQVAHAATIRANPSSIMGTAANYDPFGTSACNSGIQMVQQPIDHLLYQCF >Dexi9A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:9A:10307682:10314120:1 gene:Dexi9A01G0015470 transcript:Dexi9A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRASRPTKPRAGSRRGGGGGDDDPFFESEPKRRRGGRDEDIESEDSDDDAVAFGGAVDEDEDEVEGVKEEDEETAGEKKMRIAKEWLKTLTDAAKREEDDEDEDEEEVGGRRVAEILQRRQLEESGRKRRELAARVLPPEPKDGFKVIVKHRQPVTAVALSKDSDKGFSASKDGVIVHWDVETGRSEKYLWPSENVLISHHAKPPLSAKRSKHVLSLAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGPISCLAFGPDSSELFSGSFDRSIMQWNAEDRTYMNCLYGHQNEILTMDALSKDRLLTVARDRTMHLWKIPEESQLVFRAPASASLECCSFIDDKEFLSGSDDGSIELWSIMRKKPTFIVRNAHPSLDSDDQELPKENGIHKSENFSMAQSWVSAVASRKGSDLAASGAGNGLVCLWAIEPDSKGIRPLFKFKLDGFVNSLAIAQSGRFIVAGVGQEPRLGRWGRVRSAQNGVAIHPIQLKEEKDDL >Dexi7A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:7A:633621:634926:1 gene:Dexi7A01G0000360 transcript:Dexi7A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMVDASVLNGQEMTKGSAGVDSSDKFAPSVWGDFFITYTSEECMRQQAELLKEQVREVFNASMDTMGVADLVTYVDTLERLGIDDHFTDLIEAALSRIRTEEPESDEVLNNLHIVALQFRLLRQHGIWVSADVFEKFRDEGSRFSTNLCNSPRGLLSLYNAAHMAVPGEVALDDAIAFARGHLEAIKGKVKSPIAEQISRALDIALPRFTRRLETMHYITEYEHEEAHDNILLELARLNFNLVRTLHLKELKALSL >Dexi8A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:8A:26642362:26642652:-1 gene:Dexi8A01G0015530 transcript:Dexi8A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMDAFRIITLGIPCSWAAAGAQWLSVSAITQSGRSLLGPSPAQAAARNSANGTALDARERERNEVDHASGMAPEWREAREVARRGDEGHVVAT >Dexi7B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:7B:14362994:14363342:-1 gene:Dexi7B01G0006640 transcript:Dexi7B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDALPAVGWRSPRAPPRHVPFPAATSAAGARGRRRHPRARGGAHHPASLFPSPIPMHCQCLATAAFRLRRTPLLALSRGNNISAAPPRPRQPPPTPHALFASGVG >Dexi1B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:1B:5272246:5275235:1 gene:Dexi1B01G0006420 transcript:Dexi1B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIFVAARRGVPLALLFLVAASLVAADASAAAAGPAAVANSSFVLAAEKTRRKDPLDGMRYYAGGWNISDEHYWASVGFTAAPVFAAAAVWFVVFGIALFLAGCCFCCCPSGGGGSYSRACLVLSLVLLLVATAAAAVGCAVLYDGQGRFHGSTTATVDYVARQSGDTVANLRRFTGFMETAKAAGVGPVTLPEDVKGRIDDVVRKVGAAADVLAARTSSNAAKIRAALDTVRKVLIVIAAAMLLLAFLGLVFSLCGLESIIYVVVGDTCEAMGEWVAHPQAHTALDDILPCVDTAAATEALDRSKEVNYKLVAILNGALANVTNRDDLPPQTPPPLNYNQSGPPVPLLCNPYTSDLHDRPCAPGEVTADAAAQTWQGHVCQSTTIDATSAAEVCTSPGRVTPSMYTQLAGAANVSYGLYHYGPVMVELADCTFVRETFRSIGDDHCPGLRRYSGQVFRGLLAAAVGVVMAVLLWVVHARERRRRSEAREELMMMAMASSPYKYPVEERAFLKSPARQYM >Dexi9B01G0046200.1:cds pep primary_assembly:Fonio_CM05836:9B:45516266:45518400:1 gene:Dexi9B01G0046200 transcript:Dexi9B01G0046200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTGGLNTVNNDSSSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFSKAQYWVKELQKHGTPGIVMVLVGNKADLHANRSVSSQDAQEYAEKNNMFFIETSAKTADNINQLFEEIAKRLPRPTVS >Dexi3B01G0003550.1:cds pep primary_assembly:Fonio_CM05836:3B:2375495:2376700:1 gene:Dexi3B01G0003550 transcript:Dexi3B01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLVSIFSRLVVDSSSPAAGPPATPPWPWPPCGTSPQTASFRAGDEPCCAPTTTAAGCASRCPPAATTAGNTKLMPPGEMYKTVNSVYVDDSAADVDLFSVAGDETEEGEVPDDDVHSFSTTTASEEWSEAVIRSLGRTSTDRFFFDAGPLPASNSILATSSTPSPPTKPPPAPLVTEAKKAMTETEADGETPPDDPDDDGGGDEEETQQQPCKSLAEGSVAVAVDSGDPYGDFRASMEEMVAAHGLRDWAALEELLACYLRINGKHHHHLIVGAFVDLLLGLASSSPSDATATSSSSSSGSACAGSRCTTSTSTSTSTAATTSSSAATATAAAAEQCGVGAEGVACCCSSSSSCAAEHDVVQDDVAEEEEEEEKAHSAAATGDDRATAAASAPLRVP >Dexi1B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:1B:8913638:8916293:-1 gene:Dexi1B01G0009640 transcript:Dexi1B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTSKARTPCRQFQCQTQCGGVEIPYPFGVGLDCSLAQYFNVSCKIRDGISKPFLSDDTELLDISLINGTIRLLNPISTSCYNHSSDKMEGTSWGFSLSGTPYLFSDVRNKFTVVGCNTLGFIANDFSNLVYSGCVSTCHNLSDLADGSCTSMGCCQTAIPKGMDQYYSDFDQRFNTSQIWKFSRCSYAVLMEAEAFNFSTAYITTTEFNDTSSGQVPAVLDWAIRNGSMSCEVAKQNKEGTYACLSDKSECVDSLNGSGYLCNCSKGYEGNPYLPGPDGCHDVDECKNNPCPSGGICHNRVGSYKCSCRVGRKLNGKGDSCDPDTALIIGVAVGFLVLVIFSFLGHMILQKRKLNQVKQEHFREHGGMILFERMREERGIAFTVFSEAELIQATNNYDKSTIIGKGGHGTVYKGIVKGNMAVAIKRCALIDDRQKKEFGQEMVILSQINHKNIVKLLGCCLEVEVPMLVYELIQNGTLFELIHGKNQVLQNSFSTLLRIAHEAAEGLHFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQHVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTSQEPLKLDGTETQRSLSSKFLTVMKENNLDSILPSHIKGQESNELIRGIAELAKRCLDMGGSNRPSMKEIADELGRLRKLSLHPWVQLDAEMETQSLLDGASAASFQIEGATTGYPTQESEDLPINPRSSYYAR >Dexi4A01G0023750.1:cds pep primary_assembly:Fonio_CM05836:4A:26746124:26746443:1 gene:Dexi4A01G0023750 transcript:Dexi4A01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVNATRILLRGDFVNSDQGSVDTTGLSAPLAPLAIGGPSIPDPVVQPLPVAALSTSPMAAIKQLHLTIWEGTQPNRSKKLHEIA >DexiUA01G0017360.1:cds pep primary_assembly:Fonio_CM05836:UA:36703850:36704245:-1 gene:DexiUA01G0017360 transcript:DexiUA01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNTQSTCRHSLSSTSPTSFFSLLILAAPGGKAAGVDAGAGTGADAGAKPGPGAGAGDPVSSSCSSDTLASGTWPLASCFPVAASAIVDAALRCPGGAAVEDDDVLEEVAHKMT >Dexi8B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:8B:7890908:7893545:-1 gene:Dexi8B01G0006750 transcript:Dexi8B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMQKIVLELGKDWKLVYHCGMTPQKLPDLVEHNPCIAVEVLSKLINSPDMDAYFDVLVHMDMSLHSMEVVNRLTTAVALPPGFVHDYISNCIRSCEDIKVCFLIKHVAFSDNDIVSECFILCSARSLRRGASILHRLLTNKRGGRTVQAPQISRMKSDVCLGSGLARK >Dexi9A01G0022670.1:cds pep primary_assembly:Fonio_CM05836:9A:17830454:17832150:1 gene:Dexi9A01G0022670 transcript:Dexi9A01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVGGSPNVNKVSSNAKPETPPNIQKPAELDRSEDRKLPSNPGEVEALRRGASAATRNPLVAFTFADLRKVANDFRKDAFIGGGGFGRVYKGSFAPAGDSAAGETLAVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLVGYCCEGDHRVLVYEYMSLGSVESHLFSRTSPPLPWAARMKIALGAARGLAFLHDAEPVPVIYRDFKTSNILLDGAFNAKLSDFGLAKDGPVGEQSHVSTRVMGTYGYAAPEYMMTGHLTASSDVYSYGVVLLELLTGRRSLDRSRPPREQALTDWALPSLPHKKRVQSIVDPRLLVDGGGGGGGGAPPARAVQKLAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEEPAAAA >Dexi5A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:5A:4417565:4418230:-1 gene:Dexi5A01G0005940 transcript:Dexi5A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTSPTLKMKLLIDTKANCVLYAEADKDVVDFLFSLLSLPVATIVKMLGKGSMPGSFGNLYGSVEKLDHTYVLPHVEKRDILRPTVMQSAACTSRSSLLFMPAHSSTGAGGKGFVKGVVTYTIKDDLTVTPMSTISSITMLNAAAVRNFGDLREKIVRLGYTEGLKIVKASLQSKTVLTDVFLAKKRRYCK >Dexi4B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:4B:102584:103540:-1 gene:Dexi4B01G0000140 transcript:Dexi4B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADEELRYLSGLGNTFSSEAVSGSLPVGQNSPLVCPLGLYAEQLSGTSFTTPRAHNQRTWLYRIKPSVTHEPFHPLQEQGKGVRLVGEWLYRIKPSVTHEPFHPLQEQGKGVRLVGEFDRATTVATPTQLRWRPAEVPLDPPLDFIHGLYTICGAGSSFLRHGYAIHM >Dexi2B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:2B:3481219:3483649:-1 gene:Dexi2B01G0003910 transcript:Dexi2B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRDHGDGVEPSPYVLMLREHEDAGRGYSLELAPLPGGGAAPRAHHGRGWTTEAPTAAAEGTSPRDRSGSSTEASTTTAGAGNASRSHAHGSSRWRAAGTGTAPPTREAATRTGGVTGSRADGSSPTRKAAAARLPRARDRGTAVEVGTGSPRVRGGRTATDGGAASPRRGDAADKNDHGEYDPTAAAREEILEEMREMIFGRATLEEQFDIIDAESKISDDIKNIREVLIPVFLSPKKKRKDDKAKVANELNAIIEYFTNFVDMLLQDDIWNKRQLIYGIALLGPLGIVPAIVAIMPQWVVFTFAVGWGLGSVGFPLGLYGNSRLETGYSRHMARLVFMGFSLLVIYTLYQYMTLAGSSSPSAPPPVADMLPSPAADMLPPHADTMWTVAFGILGVIVLAGHILSWVRGCWTGSDSDRDESESSEDENPSTSRLLGRY >DexiUA01G0017290.1:cds pep primary_assembly:Fonio_CM05836:UA:36551495:36553280:-1 gene:DexiUA01G0017290 transcript:DexiUA01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDYDGTLSPIVSDPDAAYMSDAMRAAVRDVAKHFPTAIVSGRCRDKVRNFVRLPELYYAGSHGMDIEGPSSNNVRSDSNLQQPESVLCQPAREFLPVIDEVYKLLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPKLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFASCSDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETNASYSLQDPGEVMDFLLRLVEWNRRSSSPAMIRPRV >Dexi7B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:7B:19533882:19541084:-1 gene:Dexi7B01G0012830 transcript:Dexi7B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNKMGSIIEKFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVSSFIQSRGRARMNKSKYIFLLERGNQSQEKLVNDYITGESIMDREINLRTSNDMFDCLEENIYRVNNTGAAISTACSVSLLHRYCDNLPRDMYARTINFYSGLSIKLSHPEQPFLKAKQVFNLRNLLHNRQLESTESEGRELMENFVELPPELCSLKIIGFSKDMGSSLSLLPSLMCRLENLLVAIELKDVMLSYFPEASQISASGILEALTTERCLERISLERFEVLGDAFLKYVVGRHSFISYEGLDEGYKFKHKGLLLQAFVHPSFNKHSGGCYQRMEFLGDAVLEYLMTSYLYSAYPDLKPGQITDLKSLAVNNNSFAYVAIKKSIHKYLIKDSKYLMAAVNKFEKYVNLSNSEKDSSEEPACPKVLGDIVESCVGAVLLDSGFNLNHVWKLMLMLLKPILSFSDMHINPMRELRELCQCNDFELGLPKPTKADGEFHVKVEVNINGKMISSTAANRNSKDARKLAAQNTLSKLKAWKSKLNGGNNESTFMQNYGYKHKSKSLEEILRVATKKESELIGYDEEPIKVEDYISIEMKNPYEEGNILFQNNEASSTGRSETSIQSIGHNKVDKNDANNGRINKNNVVTPNGCLPTGAADTINKKEYHGDMLQKTARSFLYELCAANYWKPPEFELCKEEGPSHLRK >Dexi2B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:2B:10313263:10319471:1 gene:Dexi2B01G0009580 transcript:Dexi2B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQLPADQAEAELPRSNKKDKKSKKDKKRKLAAEAEAAAAAAAIEEQVAKSSKKKKRAEDETADGGGEAANGAEKAVAVTGKGSEDLKYAPLRSFSAAELPSQVLDCCKEFARPSPIQAHAWPFLLDGRDFIGIAATGSGKTIGFGVPALMHIRKKVGGKAGKKAVPRCLVLSPTRELAQQIADVLSEAGAACGINSVCLYGGTSKGPQIAALKSGVDIVIGTPGRMKDLIEMGICHLNEVSFVVLDEADRMLDLGFEPEVRAILSQTSSVRQMVMFSATWPLAVHKLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLLALLDKYHQAQSSILKTNLRKVTSVQCNSNRVLVFVLYKKEAARVETMLQRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPQALTKFGTHVKKKVCF >Dexi6B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:6B:3368785:3369808:-1 gene:Dexi6B01G0004140 transcript:Dexi6B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSIALYLRLVGNAAAAAAAYHQRHHKERVRAQYKFSLLDLAGNAAFELPVETGVFVSSTAGGPNQGQAYGYAADHPTQAVVGCGYAAFGGDGERRDSLLAEDCLVVRCDVGVAEVAAVPNNPSAAAARRVGGYDPRYDYGGYGVVGGDETYGLGGGVRQGPPPTPVDDKEFIRRCLGAKRARE >Dexi5A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:5A:9622404:9622643:-1 gene:Dexi5A01G0012830 transcript:Dexi5A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGALPLSQPVLHEQELRPGKPSSPAAGDPTSTPMRSVAQACGRSYSDPAAPSSTPASMASSAGELSRTHQPLQASLS >Dexi6B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:6B:16797170:16797916:-1 gene:Dexi6B01G0010400 transcript:Dexi6B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAKEFWVVQKEVAELIKGRILVGHALHNDLKIEDARAAMFIYNKHKKGWEKNMKEQFRFKKKLKKRGKKKPAESNVNDPNIPTVLL >Dexi4A01G0023240.1:cds pep primary_assembly:Fonio_CM05836:4A:26387009:26387547:-1 gene:Dexi4A01G0023240 transcript:Dexi4A01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQEMKRMGRNLELTGMPSRLSKTLSETKEWKEDGDENGDSGLDQADLVIEYLKLCEQYPVPWRMIRSHVHKMLGDWFKVHPEVREELNKQNILTFEWLHDMVMRLKELGGRVPLYRKDSAQQTEANELAASNA >Dexi4A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:4A:11422972:11423771:-1 gene:Dexi4A01G0012690 transcript:Dexi4A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVIIYYGKGLMRSFLGDPECVFDVIPADMVVNATLASMAKHGSAPGAAAAGMHVYHVSSAMSNPLVYDDMFKFFFQHFTRSPFVDAAGQPSVVQPMRFCKRMEQYVSEVETTNALARGSRTQTLSRRAHDLRAKIVERIIHLGRIYEPYTFYGARFDNANTMALLAGMSLEERVRFPFDPRSVDWMDYFTNVHIPGLKKHVMKGRGSLRTAKHFCLVERPCDL >Dexi7B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:7B:18414868:18415740:-1 gene:Dexi7B01G0011340 transcript:Dexi7B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSSRSLRVTDDCAGMMLGCGCRSEEASPLSSCGVNSLWGDDLELELEGVVESDPVDLLPTDPFGMNLESSFTAAIASCIEDLTVMSGAGHFGNGDDNDFFADLSYYLNQAFVFAPEQWGGDYKGVFEGSFGPGGLSGGRGTDQFSWFPLNASCSEPNGSMEDPSSSCEATLACFDGVDAAPVQEGDDAHEGMVFVLGYLGLRDILSVEMVCKSLRSAVRNEPFLWKCIHIESDLGKKMSDADLLSLSQKSQGSLQCLSLVGCTKITDQGLRAVLDCNPQLTKVSTV >Dexi1B01G0025220.1:cds pep primary_assembly:Fonio_CM05836:1B:30347098:30347743:1 gene:Dexi1B01G0025220 transcript:Dexi1B01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELSGLGARDLQSLENRLEMSLRNVRMRKGSVIHQENLELCRRVNIMSQQKMELQRKLQASEGGVVADANTSFTTPYSFNIAQDADLTSNLERRHLHQKVVEHRETGAPELG >Dexi3B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:3B:11280608:11280875:1 gene:Dexi3B01G0015550 transcript:Dexi3B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLASSMDDLGLWLVMFLLAGRWRGGIGGGGDADEGGGGGGGAGGMAEELREPLPQPAAYAVAAGLGVGEADF >Dexi3A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:3A:13301609:13302545:1 gene:Dexi3A01G0017460 transcript:Dexi3A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGNKRRVVTSGGTQVLPEEMMAEVFQRLPVKSILLCRAVCRSWADVISSEEFCRLHMEKAEAASVTPKLFFALPTPGFDATALYSGSSSGPNDGMLFTLNDVRGDFVDMTSSPCHGLTLLYDAVAPAYYVFNASTRAVTRLPPCQEVAYASAGLMFDARTKEYKVVRLFHGRYLEKQHIKCEMYSLGALVVGH >Dexi2B01G0025060.1:cds pep primary_assembly:Fonio_CM05836:2B:34313321:34314164:-1 gene:Dexi2B01G0025060 transcript:Dexi2B01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIRWSPPPPLLLLFVSFAAAAAAATAAATEEDPSASASAPPPSPTPWPERFHAVMFTNLTNYSYASTGPPLRITDLYYDWPRRRNLNLVRHQLSGDPLHDVEWNNGTSFYFDSSSCRVERFPVGVLPPWWLSGGGAEYAGRAVAGGIECHVWGKAGFIFYYEEVATGRPVRWDFIDVTGIQQFVMRFEPGVALEDDKQWQAPAYCFMDDDDDEGEGKSNGDDGEEVGDGFEAAVRLLRELAGAAATS >Dexi7B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:7B:24932804:24933415:1 gene:Dexi7B01G0019430 transcript:Dexi7B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding QICKWGYSLLLMEASGSVTLASQPDEYGMAHLIHHESTSFFACPRQGHATRSTSQLPSAPPLPGS >Dexi6A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:6A:755841:760549:1 gene:Dexi6A01G0000900 transcript:Dexi6A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHVSGVDGAAAEKSAHSWGLLEGFAWIGNVENATTAVSKTSDNKHIQIIFCPPLAPPHLSRFYIHTPDGADMTVEPIIVATEDDIALLRVHRCRGMYTDYYVYQAADDSSGTPPSLTLLPPTPYIKFNTKDMGLLRLPGKQYIVAGFRFIHEDFPEGDLALCVYDSNRGDWKLHDLALSVQGRQVYGNKQLVHKNCKVLTIGGDAGTMAFVDLWRGVLFCDVLTLEREAAAVAHQGEHNEAFPLVGYVKLPDALRRTARIGGDARLYRDMVFLDRERSMATPHVSPGVDGAAAAEKSAHSWGLLEGFAWIGNVENATTAVSKTSDNKHIQITFCPPLAPPHLSRFYIHTPDGADMTVEPIIVATEDDIALLRVQTCVGMYTDYYVYQAADDSSGTPPSLTLLPPTPYIKFNTKDMGLLRLPGKQYIVAGFRFIHEDFPEGDLALCVYDSNRGDWKLHDLALSVQGRQVYGNKQLVHKNCKVLTIGGDAGTMAFVDLWRGVLFCDVLTLEREAAAVAHQGEHNEAFPLVGYVKLPDELRRTARIGGDARLYRDMVFLGNHLKCVDLPTRSLWIRPWPATTGGDWSLRYMIRSFKEVANRNPRVNLLPGHTSVCRSFTGLNIRQPVVGLHDDDARILYFVVKTDLTAAKGSVIALDMSTRKILGVSPFVTRHKFKYDFTYMPTGLFKHLSFRSICD >Dexi1A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:1A:7035179:7035565:-1 gene:Dexi1A01G0008920 transcript:Dexi1A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPESTHAVAVATHTVMVWRLARSTTANTSARADRRTPFQRPHTTAACTWEASFTVTMQIGFTVVLVKKLEFLLVETSMLVKLMEPGVKISSLGMCSVRHWMKPLEVAKQDG >Dexi8B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:8B:26428444:26428794:1 gene:Dexi8B01G0015690 transcript:Dexi8B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPPMKVTQRPAPQAVAKARRWTSLAAAAARPSPLRRSTSGAKRTPAARNETAVRNRAAHPIARDEDNGERERDELNGRPGLSSEPGEEEVSETKDGRRR >Dexi7B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:7B:17256317:17257598:1 gene:Dexi7B01G0009780 transcript:Dexi7B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATATDAATAERRRQLALPMEQELHHPMELPPGFRFHPTDEELITHYLARKVADARFAALAVGEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHVKLPGAMPKAASKNEWVLCRVFKKSLIVGVAPAGPKRDAVELTTKMDDMAAMSHLPPLMDVSGAGNPAAAAAHVTCFSNALEGHFFNQTAAPQQAAASAADHLGGLASSSPFMSSFAQYGALHHGGVSLVQLLESSGYGGGGLLDMPKQQQQPAAAAACKGGGERERLSASQDTGLTSDAHPEISSSSGQRFVDDEQLWGY >Dexi2B01G0029420.1:cds pep primary_assembly:Fonio_CM05836:2B:37823355:37824531:1 gene:Dexi2B01G0029420 transcript:Dexi2B01G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQATTTAAAPYLPDELIAEILSRLPARSLCRSKCVSRRWRHLITDPSPCFYFWTHYDGVQHRWDFVATNGDGGPPRQVDSSLSFLPSSSWEKVEVLDSCNGLLLLRCCCSPATGDGPPQPAFYVVCNPATKEWVALPQPSLEPGFDDFYTKTCRAALGFDPSVSSHFHVFQLEEEERCYDHYVSAVEIYSSETGAWVRKEKRWYRLTGHMTFLNGFLHLTTWENVLATRRRQGPDMEDHPGAVQWGDYDSEWWTLKHKVSQVVLFGLGELPRDLEWNPIAGFHPTCHRFFFYDRPGRRLMCYDINQGRVRVVCTLGAVVHDEMHPFFLYVPLYSGKLDHQIQVSSSPIKVSQAEIGS >Dexi3A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:3A:447862:450461:-1 gene:Dexi3A01G0000520 transcript:Dexi3A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLKRRTTHNATTPLIFRFEAAAAAGRAMDGGGATQPDAATNIPPAPSISAAPAGIRVENCYVFKSRLQEYAQKAGLLTPEYHTVKEGPSHEPIFRSTVVVNNTKYDSLPGFFSRKAAEQSAAEVALMGIVKSVPATETKSIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFVCTVEIGGIRYIGAAARTKKDAEIKTARTALLAIQGQLEGCANGGSKYIVVPGQRQVKDTDKKPTETPKSLKVKKSGGKNKWNKRKFMRKTDQIVDTEKDGAGEALDVHDSDVPMQAAITEEPPNDSIMPHPDEESRRVEHELLLPVKETRNVKQGLAWLLHYEEARRVSHDLSRNTAMVQSNKEAVMLQCEDEARIMELAPPRDPATVQHKEDGTIVELDPLSSAEAVKRNMKVRTVEQESASDYVALQSDRDATDVEEPPSHTAMMQREETETRKQETPQSGELVQPN >Dexi8A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:8A:3950133:3950758:-1 gene:Dexi8A01G0004540 transcript:Dexi8A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNNSQLWEAARRFLRVATIALSVASAGVMLSANDSTGGCCEGAPAGEVSYSDFNSFTYSMAANLLSGGLQVAAAYLTWHGGKDSGGEVVKCIAELIDAVTDALISSSFGLSLSVDNFGKCGGRRISGICKCNGSFCKGVRKAGGISIAAAVALAVSQYLNDRRELEEEGAQGQ >Dexi7A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:7A:25849540:25851020:1 gene:Dexi7A01G0015920 transcript:Dexi7A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGGPGPARPAPAPATTTGIKPPPGRYNMTGNGNAPPSYVPPSPFYYDNAAAHERHHWSWLVPLVVLANVAMFVVVMYYNNCPRGGGDCVGRGFLRRFSFQPLKENPLLGPSASTLQKLGALDWYKVVHGNQAWRLESCTWLHAGLIHLLTNMISLILIGVRLEQQFGFWKVGLVYLVSGFGGSVLSVLFIRKGVSVGASGALFGLLGAMLSELITNWTIYTNRFAAMMNLIIIAGINLALGILPHVDNFAHIGGFATGFLLGFVLLIQPQFGWLEQPFGAKSKSKYKAYQIILLLLALVLLAAGFAVGLIMVFRGENGNDHCSWCHYLTCVPTSSWKCDN >Dexi9A01G0035720.1:cds pep primary_assembly:Fonio_CM05836:9A:40315022:40315499:-1 gene:Dexi9A01G0035720 transcript:Dexi9A01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGMKPITGVSRVTVKKSKNMLFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGTSQEDNEEEQEVDETGVEPKDIELVMTQASVSRPKAVRALKAANGDIVSAIMELTT >Dexi3A01G0024210.1:cds pep primary_assembly:Fonio_CM05836:3A:19832183:19833721:1 gene:Dexi3A01G0024210 transcript:Dexi3A01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPACSLLPLLRRRRALHGSTTPMSFTSVSVPPDTILSTSIPRPPDSPPPTPPPPDPSGNRWLPSWSPASPPLPPFAAAQLRAAVSSIAASLLALPEPDPDPAPDLHSHAFPTLLAVSPLASLELLSLLRARPRLGLAVFSFRRALSPAPVLGEFALAISLASRARDTDAAAALFADAAAAHSPDQALYNALMAAYMHNGLLDSCLETFHALELDRRCGPPNVDSYNILIALFGRSLLVDHMEATLRSLDAAGHPRTIGTYNAIIAGYLTAWMWEKMEAVFQEMLSGHVAPDATTHLLMLRGYAHAGMIDKMEEAYGRAYKHTGKVDNVHIRAMLCAYCKFDHVDRIQKIEKLLQRLGPDEYRPWLYVLLIRVYAQEGLIEGMELRIAEALERNIIVTTAQVMRSIISSYFQCDAVDKLARFVRLAEEAGWKLCRSLFHCKMVMYGKHHRLEEMIGVLDEMECYKFDRTKKTFWIMHKAYVCCERRAEANTILGMMLKQGFGLPHSIFVQ >Dexi6A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:6A:1944455:1946889:1 gene:Dexi6A01G0002070 transcript:Dexi6A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRLAARSLLSRHTLTPPHRGLAASAALAGDYWSDWEEEEEEAARRARASAPAPGTDPAGGGPRGVQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELNPASQLYRKIANSVDEGRLLPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTRMQAEILDEIVDIDLVLNFKCADNCFMKKRSRGDICSHCGQLFDVSNSASLNRNPSVGSYTWHSQVEPASILGLEDSRLERMRTYAKQTKLLEDYYKEQRKIVELKTSARPGETWQGLVAALHLQHLDAPPTPHKLTV >Dexi6B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:6B:25667941:25673765:-1 gene:Dexi6B01G0018710 transcript:Dexi6B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCCSEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKIREKYLSLKKGTRPDITTAIEDVTSLSHIEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSVNGLNDCYNGDGIQTIGRSSHKMIEAVMQSATRGKGGCSIQRSVNPPEHGSGLLSRLFSSHYHGIVHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLTSQSPEQCFMSSPKGSGHDRSASESSSFTSSVEFEPSLNDDLVLEKNSGNGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNICADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNDIWEEMLPSSNNGRPDDNLSADGSDTSQYLSVRKPKHKDAFSTKEKFIHAKYVDKEFIRNHSMDESQLAQQMWNSVAVNDKKGAYSLIVRSRAIVNLVWGDMSSTSCLTLGKALQLEQPVSTPDGSPRFFDCNSHEKISPREPLSPASTSSRTDDMEDGCEGFSLLHLACRVADVGMVELLLQYGASVNMIDSRGRTPLHHCILKGRHQHAKLLLSRGADSQAMDRDGRTALQYAIDGGTSDDDILILLEDHSR >Dexi5B01G0038790.1:cds pep primary_assembly:Fonio_CM05836:5B:37869132:37869494:-1 gene:Dexi5B01G0038790 transcript:Dexi5B01G0038790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGMGLTLQGRKLEKEVAFHVRVVVRWNGMEQAGLGLAMRIRLFSCVRGRWAWPAEQGLGDARAPLDPLRRVAGASLL >Dexi9A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:9A:2059504:2059896:-1 gene:Dexi9A01G0003920 transcript:Dexi9A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEEEITRLQERYGIGSKTPGPGSSD >Dexi9A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:9A:6261602:6263317:-1 gene:Dexi9A01G0010320 transcript:Dexi9A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPVLAVLVQKGPCKGETRRGRAGAAVRVGRVVKGNHLAVRDAGASQSHLSLEFLKPPASRWAVTDLGSSNGTLLNGVPLVPTVPAPLSHGDLIKIGESTVLAVSISADEGPAPAPAATRRSTRSATAATAAAAEEEEQAPRVTRRGGRKKAAAAEASEAEMEVKEVAAVPMRRGGRKRAAEPPCVETELKEVAAVPTCRGGRKKAADPPEVETEEEEEEAPVPRRGGRRKAAARATLPPQPTTRSTRSAARRGDAVGSGNDEVERTGRGRGPATRASARKATQPVPEADEEEEEVPVTREEVGNPPRTTGVKGGEEEHALEIETRDGTSNASEEVPVAGRGRTKSNRGGRRSPPRARARKADEAVFEAENEKEQEESDMADGRGSRGSPLRVMAVNDGGEEDKVATGDGKLHRTSKASIDDEKMAEVEEDVPLALKGTAIEGMVSAQLATANNGGMEEEKRRVLSSQGDNEVDDGLREKMLPESKPDGVGEVEEKEKMEAIGGNGEEGPVEERPLRSSLENMTLGEWFDRIEKCLVAKNEEDAEKMIAEAREKHRRFCEHLKTLKKS >Dexi4A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:4A:7897275:7903074:1 gene:Dexi4A01G0009960 transcript:Dexi4A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAVSLTSRPIPLLSTTPAHRLHLLPPRSVSGRRLRPSHRHQGCGCVRDGLGERHSARKNGFLVTSSSSASVEPATQEVATVVPGEWSGDAIRRRFLEFYAARGHTILPSSSLVPDDPTVLLTIAGMLQFKPIFLGKEPRRVPCVTTSQKCIRTNDIENVGRTARHQTFFEMLGNFSFGDYFKKEATAWAWELATKEYGQYGLPAERLWISVFEDDNEAFDIWHNEVGVPKEHIKRMGAEDNFWTSGPTGPCGPCSEMYYDFYPERGSSEAVCMQDLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASLALVSYAKADDAMKTNLKIIGDHMRAVVYLISDGVLPSNIGRGYVVRRLIRRVVRTGRLIGIRGVSNGNSEVAFLPSLAEVVISLSTQIDPDVESRRKSIIGELQREELRFVQTLGRGEKLLDELLDEALLSAGNNGNKPSLSGKDVFLLYDTYGFPVEITAEIAGERGVTVDMEGFDIEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYESLAATAVVKGLLVNGNPVNEVSEGSEVEILLDRTPFYAESGGQVGDNGFLYVNGGEDSKQTAVIEINDVQKSLGNIFVHKGTIKQGSLEVGKEIDASVDAKLRQGAKAHHTATHLLQSALKSVVGSETSQAGSLVAFDRLRFDFNFHRPLSEGELLKIESLVNQWIGNATHLETKVMALQDAKDAGAIAMFGEKYGEEVRVVEVPGVSLELCGGTHVSNTAEIRGFKIISEQGIASGIRRIDAVAGDAFIDYVCARDNYVRRLCSSLKVKAEDVNGRVETILEELRATRNEVSALRSKIAVLKAASLASKAITIEPENVRIVVEKMGDVDADGLKSAAEYLIGTLQDPAAVILGSSPGDGKVSLVAAFSPAVVKMGLQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARAEIVAAVASSSS >Dexi9A01G0034740.1:cds pep primary_assembly:Fonio_CM05836:9A:39491585:39493762:1 gene:Dexi9A01G0034740 transcript:Dexi9A01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLLQPKKSAGGILLPETTKQLNAAKVIAVGPGDRDRDGKLIPVSLNEGDTVLLPEYGGTEVKLAEKEYLLFREHDILGKLEE >DexiUA01G0019510.1:cds pep primary_assembly:Fonio_CM05836:UA:40723774:40730630:1 gene:DexiUA01G0019510 transcript:DexiUA01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKASEISVSAEEVSFLPLRFLCLVEFNIEKLQLVEAEKKKIRQEYEKKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNKMKEDAMKELLRVSHNHHEYKNLLKDLIVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKHEYASKADVHEPEILVDHDVYLPPAPSHHDAHGQFCSGGVVLASRDGKIVFESTLDARLEVVFRKKLPEVPLPNKFPQFTIRKLLFGQNSA >DexiUA01G0004530.1:cds pep primary_assembly:Fonio_CM05836:UA:8623880:8624545:1 gene:DexiUA01G0004530 transcript:DexiUA01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSRIGVGLVVVSALLLLALTAELYYIFVHKRRLRQRAAAISDAASSPSSSSRELLQLFCFKKPPALASTYAVHEPPPSAVAVAVAGDGEEEDDETVEAQLMRLGNVVGPTRLLFTIKEETREDLESEDGRSRMSRSLGDLLHCSETPPFLTPRASPSPAAMDNSYNPLFESPAVSPGPPPTVSPPPKFQFLKDAEEKLYRRALAEEAMRARRSPPPQQ >Dexi5A01G0032860.1:cds pep primary_assembly:Fonio_CM05836:5A:35230775:35233730:1 gene:Dexi5A01G0032860 transcript:Dexi5A01G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLSRALSLGGVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDALSRKHVTVGFCYAVEPARLLARFPRLESLTLKGRPRAAMYGLIPEDWGAYAAPWVAQLAAPLECLKAVHLRRMAVTDEDIAELVRARGRMLQVLKLDKCSGFSTDALRLVARSCRSLRTLFLEECIIDDKGSEWLHELAVNNSVLVTLNFYMTDLRVEPSDLELLAKNCKSLISLKMSDCDLSDLIGFLQTSKALQEFAGGAFSEVGEYTKYEKVKFPPRLCFLGGLTFMGKNEMPIIFPFSATLKKLDLQYTLLTTEDHCQLIGKCPNLQVLEVRNVIGDRGLEVVGDTCKKLRRLRIERGADDPDQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDKQREVTDLPLDNGVRALLRNCTKLRRFALYLRPGGLSDVGLEYIGRYSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSEQALAVAVLQMPSLRYIWVQGYRASPTGSGLLLMARPFWNIEFAPPSPESIYRMMTDGQPCVDTQAQVLAYYSLAGRRPDCPQWLVTLHPA >Dexi1A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:1A:1498314:1498733:1 gene:Dexi1A01G0002280 transcript:Dexi1A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEAMVSNQPIWQSNCVPELPTSYSRHARLLVPVAGGLVELFAARYPSTPAEMSL >Dexi4B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:4B:8234451:8237558:-1 gene:Dexi4B01G0010850 transcript:Dexi4B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAVSPAKAMAVAVVVSLLAASSMVLAVHDYGDALRKSILFFEGQRSGRLPPNQRLRWRQDSAIHDGADAGVDLTGGYYDAGDNVKFGFPMAFTTTLMSWGLIDFGRSFGAHEADAREAVRWATDYLLKATSTPGTVYVQVGDASRDHSCWERPEDMDTPRTVYKVDASHPGSDVAAETAAALAAGSIVFRGAGEAAYAARLLERAAEVFEFADAHRGAYSGSLRDAVCPCYCDYDGYQDELLWGAAWLHRASHRREYREYIKRNEVALGASEAINEFGWDNKHAGINVLISKIAAAPVVALVCVTGTSRAPALRHRRVLRRTVHAASVVVQRGANAHGRTLTACSVLVPEVLMGKDEYFQSFRNNADNFICSLLPGISGSGGHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSSYLSHAGARVSCGSAAAASPAQLRRVAQRQVDYILGDNPLRMSYMVGYGPRYPLRIHHRASSLPSVSAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSNTTDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPDPAQQNGRD >Dexi9B01G0009840.1:cds pep primary_assembly:Fonio_CM05836:9B:6067653:6068531:-1 gene:Dexi9B01G0009840 transcript:Dexi9B01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEQAMAQVLVQGDLRPCTRLLLPLLLAATAVSAVASPAERDLYALSKLKSSLLSRSARNSTSLADWDMIKSSSHYYYHCNFSGITCDATNGHRVVAINLTGVPLPGGVLPAEISLLDALSSLTVAGCSSLSGPMPASLSSMPLLRRLNLSVNNLAGPFPSPPPDAAAAAYFPSLEVLDVYNNNFLGPLPPLGGSRLLHHLHLGGNYFTGSIPEAYGDMEGLVYLGLQANSLSGHVPPSLSRLRRLREIYLGYYNSFDGGISPEFCELDALVLLDMSSCGLTGIGGGGRTP >Dexi9A01G0036690.1:cds pep primary_assembly:Fonio_CM05836:9A:41067773:41068240:1 gene:Dexi9A01G0036690 transcript:Dexi9A01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVSRLALAPPRVGAPCQQAPRATTTTPRRGRASPFWRVAPSPRRRLRARASCGGGGATEPVEAAREGVVPAVDQDQDREEEEEGGLAAEELEVLEEAAIAGVDEGRRPTDYDRRAHIFEESSRVFRDLKHQRDGDAGVAAATAAETATREQQH >Dexi7B01G0021150.1:cds pep primary_assembly:Fonio_CM05836:7B:26290739:26292054:-1 gene:Dexi7B01G0021150 transcript:Dexi7B01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVGIRAPRRAWGAGFEKTQRGAYCTGGVPAVRFTAEKLPQRLVLGTDPRRSTGPVTRAAKLTASCCKKSAGTEKVHYSADEALILQE >Dexi1A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:1A:26994179:26996340:1 gene:Dexi1A01G0020050 transcript:Dexi1A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIAGLRIAASAVPQPQNLRRRLLRASCRGSPGRSGRLRARAAVAGPPEVDDEDAMSIDNLHRFFDLNIGRWNGAFYQFDAHGRVLQEISTRLSVSSYGEGDLISLLQSLYIKQASSNISVVDEDDSEPEWVEYKIKETNMFTVDKYQQIGFFPEEKAFALRYQTAGMLETVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGHGRVRAFHIMDPKGVLDTLIVFHEKQGVPQTLIDSSIDPESNSSDRINGLLGRWEGHSVTKRSGVYGATLAEADTVVVLEMDSNGKLIQDTISTKSGTSTTTTVNWTGSANNNLLQFDGGYEMTLLPGGMYMGYPSDISKCVAQLDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTFFLESKV >Dexi4A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:4A:106697:107971:-1 gene:Dexi4A01G0000130 transcript:Dexi4A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLSPTAWFEQAHRPGYTIVQKYGGELFAATQDFSPFNAKADGFLPGGASLHSCMTPHGPDTKTYEATISRADANKEPLRLSGTLAFMFESSLIPRVCRWALESPCRDLDYYQCWIGLKSHFSDDNGKEPSAAGVN >Dexi6B01G0013300.1:cds pep primary_assembly:Fonio_CM05836:6B:20984145:20989729:1 gene:Dexi6B01G0013300 transcript:Dexi6B01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPILWFSPPKKGEVIRSRKLGLAPPEGAVANDQRPELAVIFKGPLDDDYFTAMCDLFPVAQALSDAESMAAAMEGDTDSDEDEVESEGNLSDDIPVPITPHEEQRVGRYYLDNSSDSDELNTHRVVRPLKVKLKEEMWSTVEQIRNATKINDWVSLQGCFENLNKQLEKEDANDDGKDDGDNEYDSGPDNNHGGSQNEEPWKLIDNKDNPIDKEFPKDQSEITWEIVDKKLKEIVASRGKKAQFDINPSLLGHMPISVWQSCANNILLVLDILQQYPNIVIDNSVDPAEKETCAGADYDGTIHVSGDLAALLERLDSQYFKSLQCTDPHTKDYVERLRDEPLFMVVAQNIQSYLERVGNFRASAKERLEKRPDTLPYAYQPRTSGSSLFHFCHVDRGSLHGVCIVSMMMIQEELQARWDQPTRSIIFQNAEQTRLQRLLSQIADNLSVIVERNEMAYSGKSLVEEAPRHRAENQDPSKMGSWQGNLVSSQAKHSGRRPGYTGGATGFAQAGQMHQKNRNDKALHGNYGRVHIQAYPSATRMVNLKRAIGV >Dexi5A01G0038370.1:cds pep primary_assembly:Fonio_CM05836:5A:39394406:39395518:1 gene:Dexi5A01G0038370 transcript:Dexi5A01G0038370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQGVASSMLALAMTLLLLATFAAIPTGISSSMGVCYGVNGGDLPSASDVVQLYKSNGIGLMRIYFPDANALRALNNTGIGVTMGVRNEDLRTLASNPRAAAAWVRDNVIAHHPGVSFRYIAVGNEVAGGDTAAILPAMRNIRNALAHAGLADTIKVSTAVHSGVTQGFPPSQGSFSQSYMGSIARYLQRTGAPLLCNVYPYFSYLGNKAQIDIDYALFTSPGTVVQDGDNAYQNLFDALVDTFYSALEDAGAGNVDVVVSESGWPSAGGDDAATAGNARTYNQNLIDHVGQGTPKRPGRRIETYIFAMFNEDLKTGAETEKHFGLFNPDTSPAYPITF >Dexi8A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:8A:28310283:28310543:-1 gene:Dexi8A01G0016670 transcript:Dexi8A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCLLPVDERPRTEVAHLRIWGSGSPATRMRRDGDPWCRGGGPSRSRPARGSIEDRTEEEVGAAGAAGEADGGGGRRRRLAAVRV >Dexi9B01G0001760.1:cds pep primary_assembly:Fonio_CM05836:9B:1004349:1008753:-1 gene:Dexi9B01G0001760 transcript:Dexi9B01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALASPAASFLPGIRNPGANPGPRAPLRSAASAATLSRGASGRSIAAAAAAATGDHWGADHYQGGGGRVGSSEAASRAAHGVKCDVDVVSWRERRIIGSVAVAADVDTLWQVITDYERLADFIPNLVHSGRIPCPHEGRIWLEQRGLQRALYWHIEARVVLDLQEVPDSINGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILFYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACRAEKIYLENQSSGSGKISDVDSKLSSSSSQLKLHSTAIETISSKFKEAPPTTGARSVLPSPSSELISKWGVYGNACRIDRPCVVDEIHLRRFDGLLEREGAHRCVFASITVKAPVREVWNILTAYENLPEFVPNLAISRIVLRDNNKVRIMQEGCKGLLYMVLHARVVMDLREKLEQEISFEQVEGDFYSFKGKWRFEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAGAEGSNSTTYSDASRHSYIDPAESRQSEQESASCSIGSTKQRPKVPGLQRDIEVLKSELDNFIANYGQNGFMPKRKHLRSHGRVDIEKAITRMGGFRKIASIMDLSLSYKNRKPRGYWDNLENLQEEISRFQKSWGMDPSYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRTDSDDDRQSESPAGSDMTKKHGIKPDKAKTSPDAQKWLLKLKDLDVNWVEY >Dexi1B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:1B:26113345:26115332:1 gene:Dexi1B01G0019920 transcript:Dexi1B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIGRPRTNHWGGTNRRIASKGPESEQITGEERSSERASARSGVMEPEKTPEAAAKQPMEAEAGDPVDPRELVSSDDEIDYSVEPEFYDPDLDDVDERWMNRQRKGHTSDAVLSCPACFTTLCVDCQRHEKYVNQYRAMFVRNCKVKTDQILREGKNKRKNRKGKAADPSTAPEPESKGQVYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >Dexi6B01G0017450.1:cds pep primary_assembly:Fonio_CM05836:6B:24627781:24628646:-1 gene:Dexi6B01G0017450 transcript:Dexi6B01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTGAGSGGFDMEVVDHHQQQQDYGGGGGSNVPRRCAACKYLRRRCAPDCVLAPYFPASQPRRYADVHAVFGTSNATRVLQSLPVQERGRAADTMAAEARWRAEDPVYGCTGVIDRLQQEIRAVQHELATTRAQLAAVHARAAMAPPPQPMMMMPPPLLLLPPPPPQHLAAAASAAAASAAAAGVEVVHGAGAHGVAAVHEEEDEAPLMDPDEFLNLDGRL >Dexi3A01G0024050.1:cds pep primary_assembly:Fonio_CM05836:3A:19654065:19658109:-1 gene:Dexi3A01G0024050 transcript:Dexi3A01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVTTGSQTRVQASEDGRKVVDEVSGWLRVLDDGTVDRTWTGPPEALPLMQPVAPYSTPRDGHTLHDLPGEPNLRVYVAAGDRRRLPVVVHLHGGGFCVSHPSWLMYHHFYSRLACALPAAVVSVELPLAPERRLPAHIDTAIAALHRVRSIALSDDDPVAALLREVADVSRVFLIGDSSGANLVHLVAARACHDDGKDSWAPLRVAGGIPIHPGFVRATRSGSEMRTSDSVFFTLEMLDKFNAMALPVGATKEHPFTCPMGPQAPPLESVMLPPMLVAVGEHDLVRDRNLEYCDALRAAGKEVEVLISRGMSHAFYLNKFAVDMDPTTGERTQELIDAIASFATGVALCKPAISTAVVVSFSHNNAPAHSSCPSTTRPRTTTSSRLATAMAPANVEQQPQQQVPAASGRKVVDEVSGWMRVFDDGSVDRTWTGPPEAIPLMSPVAPYSTPRHGHTLHDLPGEPNLRVYLPEGPNAGEERRRMPVILQLHGGGFCISHPSWLMYHHFYSRLACAVPAVVVSVELPLAPEHRLPAHVDTAIAALRRLRSIALSSSSDDDEEPEAAALLREVADVSRVFLVGDSSGGNLVHLVAAEVMAAEENSWAPLRVAGGVPIHPGIVRATRSRSELETKAESVFFTLDMLDKFLAYSLPVGATKDHPFTCPMGPQAPPLESVQLPPMLVSVAENDLIRDTNLEYCEALRAAGKEVEVLINRGMSHSFYLNKYAVDMDPTTGERARELVDAIKSFISRH >Dexi5B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:5B:22423879:22424619:-1 gene:Dexi5B01G0020200 transcript:Dexi5B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVGLMTSCNCLTNTCCKGYCQCFVNKRFCSRSCKCQGCWNREHRRTFVEEHAELRLNTKPSAFHSKGLAPAVEQRMHVKGCTCNKSGCKKKYCDCFKKRVACTTRCKCQGCENSYGTGGKGLQENCDSVGSSGKPDEASDGSNEPAVVTGEELLHPAEAGMLENVAATADPQDSGFLASHEV >Dexi5B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:5B:9170964:9173370:1 gene:Dexi5B01G0012960 transcript:Dexi5B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTFTCHIWQGRTTAKTGYGVRVCVRDARHRLQEGHTANGVVDAVTWRDEFSLASRPQYTDTASASSLTTIVVMLVRYPKMAANDATAKTLTNTQESRLHHATSTSPKKCCTMNHCTSRQSSADHNATEATAAGLESSNEFRRAEQADPAWPPSRLEAPRWSRRGEATSARIQAESYKLNAAGRCRADQQSPKAEKGLYFAGLTGTGRGIFGSGMDAKFIADDISKQLQPVPPPPSPQGRSRCPIFWAFT >Dexi5B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:5B:9996854:9998872:1 gene:Dexi5B01G0013770 transcript:Dexi5B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAHQGMAECKSGGDGGRSPSSSMDSSTHPALSTTSSGSRTASRRRDLSTDLQLGLSLSPASSLLAVEAKSIPSTPRNQILPDWPPIKPFLRSALAASARRRRTLFVKVYMEGVPIGRKLDLLLLEGYESLLAKLCHMFKTPITYADVMEYHQRIPREKAAHVLTYEDQDGDWMMVGDVPWELFLASVKKLKIARKTSAS >Dexi9B01G0043180.1:cds pep primary_assembly:Fonio_CM05836:9B:43199926:43203357:1 gene:Dexi9B01G0043180 transcript:Dexi9B01G0043180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCKCIKEPEKPLYGGGIISSDKSKSSTPIKGCALEVDLKKDHHYALSGDQHLTSWLKFSKGTGDITAVIVTPDGKFTNAGAIVAQSECWTLLKGGATSLCEGKGDLFFQTNSTGAIMAESIALQGFSFDEWNAHRDEKKVKITVESGGKPLPDAELSVEWVAKGFPLGNAMTKEILDIPEYEEWFTRRFKWATMENEMKWYSTEYHEGDEGYEAADRMLALAQRHNISVRGHSVFWDDQSHQMGWVSKLGEVELRAAVAKHLESVVSRYAGKVIHWDVVNENLHFSFFEDKLGKDASAEIFKEVAKLDSKPILFMNKFNTIEQAGDLAPVPTKYLAKLRQIQSYPGNKGLKYGIAKFFIHFDKPNIPYMRGSLDTLAAAKVPIWLTEVDVAKGPKQVEYLEEVMREGFGHPGVKGIMMWAAWHAKGCYVMCLTDNNFKNLPVGDVVDRLLEEWRKVPEKPRTDGKGVFEAELFHGEYRVTVKHESLKEPIVQTVDLDSKSEAKLTC >Dexi9B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:9B:11941770:11944425:1 gene:Dexi9B01G0017150 transcript:Dexi9B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding DALDEVEIRQLLLDHVGHRCCWGSVPARQWNITSTEDFFVYVGSLETFIEERDIVTKKEPYESGEIDGRDKGPVSGVWELDVRSEFPLLFIPKKEVMVKIPHSEVIEKCSDCERHGVKPCPFCNAGQAYGFYKAKQMTRCGTCLGRGLLAHQDGSDTVCGMCNGKGMLPCVACGSRGYVTCNTCTGYGFLLAKSMARVQWKTLTARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVIASRLPVPPSARVISERHIISVVPVTRVTMAHLKQSFSFYIVGYSRDVFIRDYPSKFCWGLCCCFEWMGK >DexiUA01G0016910.1:cds pep primary_assembly:Fonio_CM05836:UA:36070343:36086793:1 gene:DexiUA01G0016910 transcript:DexiUA01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAADENPTRSRCNGSVDRLPPNFNSFPEWQELVAFAPWYLYLAAPQLGLSPVLHQCSSSLRRSEILRCRSAMSSLSPEKKSNTVDEENEVSLPEDQMPLGLDLRPDRGRPTLMVGGPLSPALYREGDNTTHAHPQSSPTRRLIHSTRDKKKPQSGRLLACTCRSSSPSLASRSSLPIPPFFLLCLATHVCSPPTHLQRAASRTRPGLISCSRHSALAAPSPLPLPNRISPLHPQMRFLRTGSLNPKSSSRQLDITPSSATVARASPPTSSSENEPDLAEGDIMVDDDACSALVEKIRDPVRREFARILTNGQVERANGMILQGLKPRIHNKLKKFGHKWVQELPSVIWSLRTTPSRATRFSPYFLVFGAEAILPTDLEYGSPRLRAYQEQRNCQAREDSLDQVDEARDQSLRRQQARRIRHRDLCKGDLVLRLRHDNRGRHKLSPPWEGPYIVAEVLKPGTYKLADENGQILTNAWNIQQLRLFAYASRLRQHLTSLRPSHSRTNDPSNALPSRHKHRTNAWITPAAKVVPAAAVHARKEGALKVAGKHSRRRVSSSIGLVHRRHCLFVVEVVGDDVPSGELREVCLVVGRNHSKGFLHARSEVAPKRSNAACEGAPGLQTGPAISLQAAEAACTSSSSSRWHGLLRLGLGLKRLLQCCTNKSQPKSNKTKGSELEPNQLTLDLSLSSLEGPLRLLGPLLHLSRLPLRRGPSSLLLLEPTPLLLDRQLRRATRSPLVLQPVIATIFEQHATIPLANRAVRARTELAVRPWRVASAITALARSQGETMTPSPSLALHSLARPSSAAPRALPLLLPPRDAQTEPELFEKFSSTPAPKPPTFPKQLPLLRREFEISPKSQIPAPSLSFLEHAISFPKHCWCSRTSSPSANDPELAGVEAAAAAPPPPRRRHDSDLPRPRNRPQTSRGEPRIISPHFPVPSSPWFARRNSGEVPGTKVHLRKSTNGYLPPRIDEYPLEARNLDAYKELLRQENEGGNPEEMQRPSSPHHYRP >Dexi5A01G0023550.1:cds pep primary_assembly:Fonio_CM05836:5A:27516492:27516989:-1 gene:Dexi5A01G0023550 transcript:Dexi5A01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVPPRMCRSKEAVVASGARRPRPKHTATGAPDAAAPRRAAVTTTPRKQRGADEKKEDEEETAREGRRPRVSWAGADDDDDAGGGKASTAATVATVKIVLKRKDAEALVARLNAQAARERKARMAELKGELRTGECCGGGGGESPASCREAWRPQLAPIKENY >Dexi6B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:6B:11157125:11158081:-1 gene:Dexi6B01G0008820 transcript:Dexi6B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLCCVTSHSGPEALKYRAPKRTFQKPPRHSLHEATNEPAAVASSTPQPLQGLSRRRTASADHQPNPWGAPTATLAGALARERVRRRGEVYAGPEEQEALPFWQKTWFLALLLAMAAASFALALLLYFGLDLPEAAPAQSYAADPDTVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWL >Dexi5A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:5A:5042879:5043232:-1 gene:Dexi5A01G0006770 transcript:Dexi5A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGGTRRRDEEAGNDVGAAPEPRHQAVCEFEAGVLGLAVASAAIALAAARQPPRWLNRDAYFIALSVVFFVGVAQVTASVWATTTGGRRAAAGRKLLHASAVALPRCSASPPASS >Dexi2A01G0030150.1:cds pep primary_assembly:Fonio_CM05836:2A:41143679:41145608:-1 gene:Dexi2A01G0030150 transcript:Dexi2A01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGGEESPASASSSAASREAREMDEQLMLAEALDAISSLVSASLSATLFPLKWQLIRDRLNRLHAGLADITVDESGAGEEDDRCEAFFTLLRDAAATAGEALEQLVPRSQGRHYGGGKLRLRSDLDVLAAALDALVARLDEVYASGALTRARALVVPRPAAGATRDDVRFYVRDLFARLRVGTSEMRREAAAALAEALRDDEKCAVRVVVSDVPDGVGVLVALLECPDPRVQEEALEAVSVIAGSEAHRGDLVVAGVIAPVVRVLDAGAGSSTAAKERAARVLCKLTENSDNAWAVAAHGGVMALLNVVSTDHGAGSGELVCASCRVLRSLAGVDEIRKYMVADAEAVPALVSLSQSAATDDAARIQSMELLAAIASFSGDGSAREAVIREGAVESFVRALDPSSPTRSSKAREVALRAIDAVCLSPPTTSTTDRLLAAGFLDRVLSLLRNGDGSTLQHCALKAAHRLCQVSEEIRKAMGDAGFMPEMVSILRAAKSPEAREMAAEALCALVSVHRNRKRFVQDERNVTQVLQLLGNDEEKPTPAKRFLLSTLMHLTDSSTGRRKIMSSEHVRNLEKLAETNVPDAKRIVKKLGGSKLRSIFHGIWSL >Dexi8A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:8A:16092191:16094917:-1 gene:Dexi8A01G0010000 transcript:Dexi8A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGERRERGRWRRGGAGRRRRRSSRGVVGWRCSGEEHVGLRLVAKPRWHKGCTLDPAPPALPWRAPAAAEEDWWWFPLEGLVMVVLGAVVVVVASGGAMVMVARGGGDVVVVAGGESSFRPSRRCAGAASPAVAPAAALLA >Dexi8B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:8B:3650169:3650588:1 gene:Dexi8B01G0004150 transcript:Dexi8B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCSLSLPALETLVILFCSSLKKIFYQNAPKWKKLFVRGCQSFHHLPLLKKEYQKSKVEVSGEREWWGKLKWSLPEQSDYYLHVPPPEFVSRKKHIIRSYLR >Dexi8B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:8B:9595316:9595531:1 gene:Dexi8B01G0007730 transcript:Dexi8B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPATAAEKNDVSVYGGVWKAPTEHGLRVELRARMVERPARRAPPVAGGRAEPRGMILQAGDSLQPPTIL >Dexi7A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:7A:14511738:14513504:1 gene:Dexi7A01G0004140 transcript:Dexi7A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRACCVNCSAPRTLAANPLQSAAPPLSAPLRRTGGRPLIGSAAPLCSALRWHRQGGMVTLSLAAKAYANSLSLCKETFFSPQMDDELVLRYQALMKESSKLPLLNLRKLNASLPVPSVPDNTTEILVMGASNDFIVDSEGLSETSRFYGVQPEL >Dexi3A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:3A:9579523:9582410:1 gene:Dexi3A01G0013170 transcript:Dexi3A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDVLMEKVLCMNILSSEYFKELYRLKTYHEVIDEIYTCVKHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADAKTLWTWYEPYLRDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRIPLPVTRQVTANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRNITHDSPRRSYSPSRRSGSREGPDRDRSDPDRSSRDQDHDRDIRDYHRRERDSRDRDYYRSRHSEERRDERRDRESSRHRRSSSHHRSRSRSRSRGRRSRSRRRNQNEQRSSPFGDGNKEKVATVSSNLAKLKDLYGDVTEKMEDGDAEKLRGDSCAEEVIRLGGPRWR >Dexi4A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:4A:11650401:11650789:-1 gene:Dexi4A01G0012820 transcript:Dexi4A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAPGPHAAAEAPAATGEASPRRLALEELPWDHSFVRELPGDPRSDTIPREVLHSCYSKVSPSAKVDNPKLVAWSDSVADLLDLDHKE >Dexi1A01G0023460.1:cds pep primary_assembly:Fonio_CM05836:1A:30127730:30131617:1 gene:Dexi1A01G0023460 transcript:Dexi1A01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding DERTLIWYSKEKEKSLSLNSVSSVVLGQKTTKLLHLHWPEKEPHSLSVIYKNGESSLDLRNALSKKLLDGQELDNSSIVPDPPDKTFVAGKIPPLNSIRDQLNIEEEDMQSTAFYNTLAVNDSAVHQNGRRASNSSRGYDGGTDSTVAPTDSNGVIEQIERGVYITVVTSPSGKKGIKRIRFSRKHFGEAEAQKWWEENESRLFARYNSMEYLVT >Dexi2A01G0024110.1:cds pep primary_assembly:Fonio_CM05836:2A:35815493:35817208:-1 gene:Dexi2A01G0024110 transcript:Dexi2A01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRCFCCVAGDDEPEPAAAPASRRRYTHMCNHQTTNPSRSRTPKTRSMEYPWEIYSLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGAPSSQQHQPLDWPRRVSIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAPQLRAVVEAAMLCTQSNAESRPAMAEVVEMLKFSGERRTTKEIVPVVAANSEETTDLDDVTGSSEPLDRRSWKLTKLR >Dexi9A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:9A:4401686:4407542:1 gene:Dexi9A01G0007560 transcript:Dexi9A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWRRSASPSSSSHSSSASTPASPARASTSRLIGGGGSGPSSRRDGGGGSGEPQPRLSRAPRVRYVVDDLEVGVSALGVDSPARRDAAAGFGLATVSSTPISRSPSNMEVAPARSSSTPVSLAHRLPLPGEGESSYRGPGRPLPSPTPKMVDLEWIMPAAEAPGVLETGSERMPPLLARRHTGALCAMKEVNIIPDDAKSIESLKQLEQEIKFLSQFKHENIVQYYGSETIDERFYIYLEYVHPGSIHKDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSAGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLRTDPPIPDTLSPEGKDFLRCCFKRNPAERPTASKLLEHSFIQNSNPPRKPTNTLGPDNHHGSTPSFAVIKSPDTGHNGARDKVPWKSDSCTRGKHANG >Dexi6A01G0005280.1:cds pep primary_assembly:Fonio_CM05836:6A:4808913:4817280:1 gene:Dexi6A01G0005280 transcript:Dexi6A01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDLSHRNAEGLRKYTKFHPSLWGDFFLTYQPPTAQKSASMKERAEVLRENVREILKDPKELPETLNLIITLQRLGLDSYYESEIDELLKGVYNSDCYNVKDLNLVSLRFYLLRSNGYYVSSDVFLNFKSEHGSFADVDTKSLLSLYNAAYLRTRDEEVLDEAISYTTRCLQDALQHSESPLATEVSSFLDIPLFKKVGIMEARNYIPIYEKEATRNQVILEFAKLNFNLQQLVFCEEIKECTMWDESAVHLLPEYVKGFYLCLLETFKSFENELGPKKSYRVFYLKEKASTLFDHMT >Dexi2A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:2A:24787870:24797678:-1 gene:Dexi2A01G0014750 transcript:Dexi2A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVETRRPRNIFCQPLFVIPHCIHVVNTAFTLHKLKFAIILVQAWSGPSVCRLCRDVAIRQNATTDIDKLNRRLQYIMASDLPVLPQLRQSMAAATRQAARNDSWVLTGPGHREPNTIGSVITNDCNDHMERLFPSAGGGGQALFAIVGAPGVGKTTLARKIYHQMKEKQQSVKRLWVHVHSGPGNQLTVWFGEMDSEETSTERLLETIGQQLTAPSGNKLLVVVDNVHGDTAGWSNFFQELRRPDRAGTIRIVVTTSNSDVARDIGADIRSCCHRVSALDEDDGWVLLRTAADLQDQHDVGEIQDIGRRMVRKCSGIPVALQVVGSKLRSKVREKQWLQELQKISMGKDRYIEVRRSIDAGYMELNYLLKRCFLYCSLYPEGSVIEQQHIVQQWVAEGFFEESGREGTENEPHQEPEVKARGCYKELLKRNLLIPAAAVHDCPDHSTMRNSASATMPALLRSYALYRSKDENCVDGDARRLKDRDASFKVWRLCVSDVNTANGIKAVHSRNMRTLLVRGTSSSRPQTTTSHSDMDAICEKFTNLRVLDLRDAAIEAMGKNLKRLLQLRYLNLSNTQITTLPAEVGNLVALQFLTVKGCRFLISLPEEVGRLTNLRSLDISETPALKDVRFRLAKLVQLNCFRGFLPVFHGGGYSGGSNGSSSSRSRSGWTFAELSILSKLTSLQILSIGSITDTAEAAQLMLHNKTQLRELELDCTPIHRLTDDGVDVERTTDDDVGGVLDTLEPHRNLDSLKLTNFGHRLPSWVSHTHLHELQRLTLDGNYHSGRLPPLGEMEHLKFLSITGSNTSISRIGSELRGAPRDGQVAFPSLEQLTVAGIANLETWSGLGATDMPLLRSLSLSHCHKLRSLPPWLQHCTALTSLKVQHADGLLGIGGLHALKELQVSTCHGLKRICDLTRLEDLRITACSRLSTVQGVPLLRFMRLVEHQQEEWLLKLLRRMQQQQQQERLRKLEIVASEGLLDQCSTGLAPYGLVIQKAADFVHAKLHDGSMYFSYTRSTYCFRRSRRCIERAFMYGMESTKAIVIRLIQGVITSLGALTTSNGVAQHLEAAKGEMEKFRDSLRSITLHTIDAVQLQADWTIAYSEATNGHLKTLADIAYEAENIIDRFNIETGVLGASPFQELKETLLEHMKSSSGTLELLQAMAASFEAGQSSCAVGPSGDDPYLIGSVNNDCEDLIRRLSQNGDEALFAIIGAPGVGKTALAQKIYHKMRGEFTTRLWVHVAGNLITIWFGGQETEIMLVTSAAAGKEMIMQEYLAGSDLLLVIDNLRDEVADEWKFTEEERRGFYDRGIRIVVTTTHRSVARKIGVNMRRSCCHRVHALDEDDGWLLLRMAGGIEERDGQDGYKQQAAWRRKVVRRCSGIPMALKVVASSYQNSSSSISPAEGLLSEDDAEPIIISYREIPMSIDASYMELGSRLKRCFLYCSLYPEGSVMERRHIVQQWVAQGFFGDDGDHQEEDAQRCYTELLDRGLLVPAVASVTGGGGAVMPPLLRSYAMDKSQGENCVGDPRHVGLNTWHLCIADGNAVGDIPKDFSRMRTLMVIGRNGPTNPATPLPLPLIQLICGNFTSLRVLDLRDTLVVAIGSNIKSLLQLRYLNLSNTWITTLPPEVGDLVSLQFLALDGCRFLVSLPEDVGRLINLRSLDISGARALKDVGFRLTSLDRLKCFRGFLPAAGGGGSPQATTRSGWTFAELSTLSNLTSLQILNLGGVTRAVEAAQLMLHDKTHLQDLELCCDTVRRLPDAGGDDDERSDGLGDVLESLKPHEHLASLKLASFTGPRLPSWLSHTHLSRLRSLTLDGCDDQCQPLPRLGAMRYLKTLEITRSSGALLDISPEVRQHEIGIQTNNQVAFPRLEELLVAGMGSWKKWSGLEAGDMPLLRSMRLSDCPLLATLPSCLEQCTALTSLKVQGTNQLQEIKNLPMLKELHVQACSGLKRICDLSRLEDLRVADCSMISAVGGIPLLRSLRLEEKLQEPGELPKWLLEQQQQPFSLRRLEIVGGETLLDKCSTAGAEYGRFVQDAADHVYGKLDDGSLCFSYNRSTRHFQRISGRGLGGAAQTLRASHMEIITPPSNGASDIQETNKGWTLYYVAVLIPAEAVFASEVEKLKAEQFKPSEQVTLEPFKRNHACVMGGYRMRKKKKASEHMGRSGGYSAGDEHSRRSSRHGRLRRRRPC >Dexi9B01G0027170.1:cds pep primary_assembly:Fonio_CM05836:9B:29602036:29602299:1 gene:Dexi9B01G0027170 transcript:Dexi9B01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAILFPLHARLSTSPPSERISHGREKGQSPVRLAVAFGLLQWPKAGGRSAAGSPRHLRFTASTYVADAAAGQAIVGLCYTTEYY >Dexi3A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:3A:2279798:2280853:-1 gene:Dexi3A01G0003490 transcript:Dexi3A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHLAQGHPQAWPWGVAMYTNLHYHHHQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGAEGIGGGDGSEKGLLLAFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVRFERVRGGLGASDRLFIGCRRRGESAAPAPTPPPPVRAPAPALNASEQQPWSPMCYSTSGSSYPTSPASPYAYHSDMAHAGEADTKNSGTPTAPSRKLRLFGVNLDCGPEPEPEAATAMYGYMHQSPYTAVSTVPNYWGSS >Dexi7B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:7B:20622595:20625800:1 gene:Dexi7B01G0014350 transcript:Dexi7B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAGEGVDRERRSSRRARRHGDTVGMEYRDKMVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVVNESVGTTDFVEKGTDSVVFRTCPQERDRVVFQMGTSHAVRALKAAEIVCHDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNSPKDTVELARRIETTGVPALAVHGRKIKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFSSKGKIPWEDVKREYVRKTILWDNDVKSTKHTLKEMIMHYSCLELPEGKGVIKCDTSADVA >Dexi1A01G0032230.1:cds pep primary_assembly:Fonio_CM05836:1A:36881414:36885251:-1 gene:Dexi1A01G0032230 transcript:Dexi1A01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAFLLLMVLLLLLQGGLASAADGDALLTLKSSLDRSNRLPWRPDTAPALCSAWPGVRQCRRDGRVTKLVLEGLNLTGSLTAALLAPLGELRVLSLRSNALTGPIPDALPRALPNLKLLYLADNRLQGRVPATLALLHRATVIVLSGNRLTGEIPRSLAAVPRLTSLLLDRNLLTGAVPPLPQPTLRALNVSANRLSGEIPPALATGRFNASSFLPNAGLCGPPLGVRCPDAAGPAPVTAATAAFAPLPPPRARSRRRKSAAVVAGATVAGVVVLGVLAVAALMASRRGRSKQRVAGDVDKGGGGGGAQQQEEEEHPEAPPAASTAAASRAVPCREFSWEREGIGKLVFCGGVAEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMRDPSASASAAEFGRRAEELGRVRHPNTVALRAYFQAKEERLLVYDYYPNGSLFSLVHGSRGPCSKGKPLHWTSCLKIAEDVAAGLLHLHQSGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPTSNAAAELLQPGSSSLLYRGPEVRGGGGGGALFTAASDVYSFGVLLLELLTGRTPFQDLQCEDIPSWVRAVREEEREADNSSGGDSAGGAEEKLTALVAIAAACVASDPARRPATAEVLRMVREARAEAMSSSNSSDRSPARWSDAMLAAPPPRDHQPTDHSFTDRD >Dexi5B01G0033270.1:cds pep primary_assembly:Fonio_CM05836:5B:33717738:33718702:1 gene:Dexi5B01G0033270 transcript:Dexi5B01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVRAEATVSRPASMKLTTMSRRYLSSSMEPMKRDKRSLPEAAPPSLRRCLMTSSASLSTRRTEFRSFLSSPRLKKRLSFQMTCNGTVARLADSVEAMSKAFSKDDTGNDSSRQPGSRPKEILHMQSNAKRRNTGMSRLRSSDATTRTAKARSAGELGGGELTLEQPRVTVDVEDAAAEEVGEDGREGRALGVVVEAAAQDVVDGGGVGGEHVAEHVDVDGLRRRGSEQVRVPVAEVVELAGPRRRQVGLAHGARAPPRRTEAKHGQEGGERHRARRGHEEHGGHHLRLHGLV >Dexi1B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:1B:6287213:6289386:1 gene:Dexi1B01G0007580 transcript:Dexi1B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHARWQEEHGKMMRHLRAALEAAEQQQQHAAAVADAQLRQLVDAAAAHHGVLAELKAAVARADAFHIVSGAWVSAAERCFFWIGGFRPSELIKVAVRHAEPLTEQQAMGVCGVQQWATEAEAALDHELQAMHRSVSEAVSPDAAALLSPYSDVPGYMAAMSLAIAKLSSLEAFADTLRLQALHRLPQIMSVRQSARCFLAVADYSHRLRALSELWHTWPRHEPPAAGSSHGPPPYQSRDGLL >Dexi4A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:4A:11166751:11172479:1 gene:Dexi4A01G0012520 transcript:Dexi4A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATTAAAAAASAARPHSRFFSPPAASASWNTRSLASTSRSVSTSSASTSRRRRQWHPVASAAVELREAAAGGGDSVRVTKTPQPGSSVSPPPSLSSALRFLNRSFTSATNPRYMSTQSVSRYHLRQTANTCTQIKFRSIFLPVNKVPGFRPGKIIPENLLINYVGPQHVQDATIEAILKHTLPQALSSVEDRALEDSVRILTQFDDMRSSFSLDGVFRYDVAVNVAPEVRWLSEDKYKNLKVVVEIDDAVDAEKAAQKELQRRHKALGLLRIVADRGLQIGDLVVLDIFAETINSDGSKGEKISSAESTGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPIQFPESFEQESLRGVRAQFTVVCKELFYRELPEMDDSLAGRLLPGCKTIDEVRERILERCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQRSVQAYLEDEKENITRIIKQMMAVADIFKSENLQYSTEQLVKEVENCVAEFKQYNQDYNEDNIKQQVQDVLEAAKVLEWLKENCTIEYVRQGA >Dexi5A01G0038820.1:cds pep primary_assembly:Fonio_CM05836:5A:39661327:39662086:1 gene:Dexi5A01G0038820 transcript:Dexi5A01G0038820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVTVIGAVGSLYSHSVEAALCLKGVPYELIQEDLSNKSELLLKHNPVHKKVPVLLHGDHAVCESLVIVEYVDEAFDGPPLLPTDPYDRAMARFWADFMQNKLLELFWLAHWTEGEVQKRFAKEAKENLTLLEVQLRGKRFFAGDTVGYIDIACCVLAPWLSVVEEVTGVIVVDESEYPALRQWEKEYNSYEALKPCLPDRDQLVAYFTENKERYKMFANAWAQR >Dexi1B01G0021600.1:cds pep primary_assembly:Fonio_CM05836:1B:27450007:27464925:1 gene:Dexi1B01G0021600 transcript:Dexi1B01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLHKPVARVHVRLLLAVSAFSGPLHFGTSGAVAAAVTTRGPSPSRGVAATAMPSSSSSPPPSSAEETTDAASPAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGAFIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGARLVVNSSWKNPSQEWHVGCKLIYELFTDTLTSRLKKERKKKWDEENQEAISDALKQLNEFEKKHTKVDDATLKKAHEDLQSRLDYLRKQAEGYDDKGPVIDIVAWHDGDVWRVAVDTQTLQGTNNGGKLADFVPLTNYRHGFILLERKYAIFSKLDACSFVANVYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEATLLPDYGRFIDLSNEVVDKHRIIFISSAGNNGPALNTVGAPGGTSTSIIGVGAYVSPAMAAGAHCVVQPPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSGMKAEGIPLSPYSVRKAIENTAASISNAPEEKLTTGNGLLQVDRAFEYVQQAKKLPLVSYRISINQVGKSSECTIFPKLRGIYLRGSTACHQTSEWTVELDPKFHEDASNLEQLVPFEECLQLHSTDTSVVQIPEYILVTNNGRSFNIVVNPANISSGLHYYEVYGIDYKAPWRGPIFRVPITVIKPITLLGEPPLLSISNLSFRSGHIERRFINVPFGASWVEVTMRTSAFDTPRRFFLDTVQVVFHGICIDEKVIALDGSESPLRIVARSLLASEKLAPVATLNKIKIPYRPVECNLSSLPTDRDRLPSGKQIIALILTYKFKLDDGAEVKPHVPLLNNRIYDNKFESQFYRISDSNKRVYSSGDVYPSFVKLPKGEYTLQLYIRHENVQFLEKLKQLVLFIERKLDKKEFIPLSFYSQPDGPIIGSGTFKSTILVPGEPEAFYVGPPSREQLPKNAPPGAVLVGSITYGTVNGDKEKAGSVGTKSISEQLAEEVRDTKIKFLSSLKQENEEDKSAWSELVASLKSEYPKYTPLLAKILECVLQKTAYDDKIGHEKEVIAAADEVVGSIDKEELAKYLSLNSDPEDEEAQKFKKKMEETRDQLADALYQKGLALAEIESLKSDESIEASAKDIFEENYKELIKWVDAKSAKYGTLTVLRERRCGRPGTALKVCYAYQFPIICKCSHILFHVLNELIQNESEPKKKLYDLKIQLVEEMGWSHVSTYEKQWMQVRFPPTLPPF >Dexi1B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:1B:20889578:20895872:1 gene:Dexi1B01G0014540 transcript:Dexi1B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANGEQPAAGASSDKLRHVESMSQLPSGAGKISGINAVVLGESLAAEENDLIFPSPEFSANALVSSPKQYREMYERSINDPAGFWSEIAETFYWKEKWNPSEVCSENLDVTKGPVEISWFKGGKTNICYNAVDRNIESGNGDKIAMYWEGNETGQDGKLTYSELLEKVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPIFLKDIVDAALVESEKNGVSVGLCLTYENQSAMKRDDTKWKAERDVWWQDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMLYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLIITVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDISTLADPGVVDQLIALSDC >Dexi9A01G0034090.1:cds pep primary_assembly:Fonio_CM05836:9A:38876742:38877418:1 gene:Dexi9A01G0034090 transcript:Dexi9A01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPPADPKRRRHHAPLHPAFSAAARGRVKKIPVRDTDEPAVGVRVTDRGLAYRLDGAPFEFQYSYTEAPFLPFGPEATPRPWTGRKPLPKSRKEMPEFDSFVLSPPGKKGVKPVQPPGQFHAGMEPRYQAPSREELLGEPVTKEEVAELVKGSLKTNRQLNMVGTA >Dexi5B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:5B:6008898:6010959:-1 gene:Dexi5B01G0008890 transcript:Dexi5B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASKQQLTRPAKMALIIGAELAALVLVFAVAAGVAAGFRPGPPPVSEGTLEQVAASLEMYVDVLPQMPKLLGYSLKYGRPAPAHLTIGMYQKKWKFHRDLPATTVFVFGTSAESATFPGPTIEALQGVPLWVTWENHLPDRHILPWDPTVPTAIPRSGVGVPTVVHLHGGIDPPQSDGHTYAWFTAGFRDRGPTWTTPTYVYPNVQSPGGVLWYHDHTLGLTRANLLAGLLGAYVIRNPATEAPLGLPSGDEFDRVLVLADRSFYADGSIYMNSTGDNPDIHPQWQPEYFGEAITVNGKAWPFLPVARRRYRFRIINTSNARFFNLSLSNGLPFHVIGSDTSYLPRPVVTTHLFIAVAESFDVVVDFSGCSETAELVNTAPYPFPDGDAPNSLNGKVMRFIVAPPEKVSEDDHSKVPARLPEYVEVVAEEEAVKRRYIVLYEYDDEATGNPTHLYINGKRLEDPATETPETGTTEVWEVINLTPDNHPLHIHLATFQAVRVRGLVGLEEFTACMEKLKDATKCDVGRHAVGEEVAVPEHERTWKNVVKIAPGFMTTVVVKFLMVDTGRPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >Dexi4B01G0022980.1:cds pep primary_assembly:Fonio_CM05836:4B:24484110:24485692:1 gene:Dexi4B01G0022980 transcript:Dexi4B01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSGRRLVSPPPAPQGGRRRRHLRPSEEDWINSLPEDLLLQVLCRLRNTASAARAGAVCRRWRGLWTKLPKMTFPGFQPRLLKAVLAKVTRPRLHLLDITLTFKRGAQVSSLLRAATPLAPEKLRVYLRYNYNLEYDPIELPCLDRTSCLALHLMFNHLLPPPSGEFTALKSLSLDFCRVGIGALLPLCPCLRVLKLRGLDLVDTLIVHSPLLKKFSYQTSATDICRNDIAAPVLKKVTLEANLAPEFSLSYLAPMVKALNWVYRCDSLKVGVNGPWILDSLHVRKKHGFHVISLNINCNGEVSCPVNCPCEQSSNWRNENIFLTNLEVLEIHGLQGVDDEVDFLRLMLRSAAVLRRLTIRFSNDVSPSDNGYKKIRRAMKEYSGVKYHVHSI >Dexi9A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:9A:14117454:14119077:-1 gene:Dexi9A01G0019140 transcript:Dexi9A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLRRRLSTTAEGAVAASPSASPSQPLPDDLFRRIAEVGRPGMPLYPVLEQWVREGRTIKKHALQVMIKNLVGLKRFSHALEMSFWMTDRRHLQLTAGDVAYRLELINKVHGLEKAVEYFGMVPRQLRKPQCYGSLLKCYVEAKDVEKAEQHFTKMVEMGMKSSYVYNCMMDLFLKTGQLERVHVAFRDMEENGIKPDMFSVENKLAAYIAAEDFEGAQKVIDKANPHEKLLSWHGYASAARLFQKCGMQARAVEALLEAERRIPPKNGRIAYSFLLHIYNDLEMYPEVERIWTVYKSKVPLCNSMYMSRISVLLRKNDIDGAEEALKEFETAHVSYKDFRLINLVVDAYCGGGLVEKAIVLVDDAIKKGWTPLANTWYKLAGGFFMTGQVLKAVDMTKKALASAGSRWKPDLAYVLMTLNHFMDQKDVEAAEEIVSMLQKHVPLTRDVYHSLLKTYVCAGKPASDLLERMKKDGLEADEETDRILAGECEKISPLPHP >Dexi2B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:2B:10480833:10488222:-1 gene:Dexi2B01G0009750 transcript:Dexi2B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSTAAAADAPEHAGDGAAAAAGKREKGRGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRASGDRVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKRFRDIVGSAYYVAPEVLKPHDWVREGGKASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFDAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIIEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFSKFDVDGDGYITSDELRMHTGMKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARIVQTPRGARMS >Dexi5B01G0034680.1:cds pep primary_assembly:Fonio_CM05836:5B:34876689:34881304:-1 gene:Dexi5B01G0034680 transcript:Dexi5B01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWKEPQDKKELAEVRCFTCKDGGDGLRVCDFENCFKSYHPLCAGKDDGFLSDEKFICDWHKCVNCRGSSDFQCLCCPLYSVCHDCIGHVQFAQLGKQNKGFCSMCLNRAILIEKKADPDPDVEKIDDRDEEIARILFTDYWEITRDREHLTLVDLEEASVFLRLNCKGVNSVENVLTDNDANDQTIPCKQNNVNTSLKKKPKRKKTFIGWGSEELIGFLSSVGKGTEKPLDKLEIAGIVKDYIKQKNLYQDAKKVCFLCDDRLQPLFARRKVRCKMIRKFLADHLASNAVSEDENSYGSEDDDDTLVMKKRPLNSLEPKIAKRVSERSKRCFAALVHKNINLIYLRRTLVVNLLSDPDTFERKVVGCFVRLMVAQPVHSYVKSTKAFVLGRVTGIKKASKEYKLKGTCTSTNILLCLAGPLADVTISMLSDEDFEEVECSVLISSVKEGLLERVTIAEFEEKVATVHTDIVNHWIERELVRLEKNIDRAQNKGLHYFSSSFC >Dexi8B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:8B:2727925:2728731:-1 gene:Dexi8B01G0003590 transcript:Dexi8B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTSCSFLVLLAAVAAICALSWLPPSAAAAAVSGGSAHRHLTAGFTRVRLKESQFVVQKPYDVPLRDRYKESGGVRIMWVFSTDKPISNTHPGGARTEIKVNEIYSSGVWQFEGDMFVPSGTSGASVMQIFGAATHATTLMLHVYDGQLTYYHDLSRVVAERVYDRWIRLNVVHDVGAGKVTVFVDGERKLNATGQGGTAHYFKFGVYKQSHHQPSHRMESHWKNVAVYTKNK >Dexi5B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:5B:3073401:3078523:-1 gene:Dexi5B01G0004530 transcript:Dexi5B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGLLPFSFLLLLAGHRAFVASDAGGFSVEFIHRDSPRSPFHNPALSPHGRMLAAARRSLRGDPSRGAPPAPSPAADVVGAGGVESKIISRSFEYLMAVNVGTPPTQILAIADTGSDLVWVNCRTNTSSDGVARGGDGGAAAGGVVFRPSSSSTYDVVGCETEACQNLNRASCDADSNCRFYALVACFKLALDCFFAVWICIVFLAFGFIGYTLPFILCTMICCCLPCIISVLGVHEDLDLNRGATTDTINALVAYKFKSRRIHDGDVEGNGGGVLAAGTDKERTILAEDAICCICLSKFSSNEDLRELPCTHVFHMECIDKWLQINALCPLCKAEIGSSKGVPETGSGRSDDNRVGNDVESQR >Dexi1A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:1A:6235162:6241711:-1 gene:Dexi1A01G0008080 transcript:Dexi1A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTDSPAPAHAPPRSPLAERPPLGQVAAPPPRSPALHARACGAGTGVGFFSPKGLPPVRTTGTRHSGLLGRHSALLSAADSDEWEDGEEESVASWGMPEDLYGGNFSETADEACSSDSSLVRRAMERCGGGWDEEVTSQLSRKGGGIVRGQSKEFLRVEVRAAGAFAAGKCSGAQDPLDSSAHGHYFDEHKFQTPSAPPIAGDEEDVMFDAVGYDVDIHIIDIFARRNVYMHARIAKLMQRSSERFHFSAISETREWRISTLSLAESSVWQSMVATIAMVAADLLPVHGGVVSRELFHDYIMVWIEDTRLHLLDNCKAEKASCPAVSTISPFVEQMYEQIKESIHEYGVAVADVEREIMKTLEKQYMETLMPLRDGIPKYLEKQLGAFMNTVKRMLDVLHCRVEDILKSWAAYLTITSGNTVFGEQMNSITVMLRKKYKNYLKAIVDKLVTNAQANRNTRLKRILEETREADGESDIRERMQAVRLQLSDTIHNLHEVFSSRILVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >Dexi4B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:4B:2201745:2203185:-1 gene:Dexi4B01G0003240 transcript:Dexi4B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATVALRAVMLVLVLVAAASTGASALVVNKTIESDDGDVIDCVDIYEQPAFKHVPPTEYFRWISTSLFNPKPERSMRSMAAAASLPHHHTEPTWRRHGRWPPGTVAIRRDSPFANPEAAGRRASPFRRPAAATNTTAGSDDSLYFPEDMDNPKGKVEVAAAYACNAAYLGARATVPYWKVDVAHPDEFSMSYLLIGYPLEDRFMPFPGRRPPSTIANQIAVGLVAWPSLYGYSLLRLFVYYTVSIYIFNG >Dexi8B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:8B:26671993:26673919:1 gene:Dexi8B01G0015870 transcript:Dexi8B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRLLAFRSIHHTGRLASPPIGQREPRRGGLVDLVRPAMGSEASAGEVNLLCSKIPFQSDGELVLPPRDEGGVGLVLVDVSNGFCTVGAGNLLSILLLLLDSVFMATEVCAVGFDRTVCAFQLQAPTAPNKQIEKMVGEAARLARLFCDRSWPIFAFLDCHFPDKPELPFPPHCIIGSGEENFVPALEWLENEPNVVIKRKHCIDGYISSIEKDGSSIFADWVGKHQIKTILVLGICTDICVLDFASSTLAARNIGRVPPLQDVVVYSEGCATYDLPVEVAMDVKGALAHPQEIMHHIGLYMAKGRGAKVVDRVVLEPSG >Dexi5B01G0040090.1:cds pep primary_assembly:Fonio_CM05836:5B:38725027:38726523:-1 gene:Dexi5B01G0040090 transcript:Dexi5B01G0040090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDRFPMWEAALLAAVAAVFAAALGGVYVSMPHSDYSFLKLPRNLQELQVLTDHLEGYTSDYTIQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLMFFQKQVTAGPALLLDIQL >Dexi4A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:4A:24242346:24242666:-1 gene:Dexi4A01G0020570 transcript:Dexi4A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGAREGEEAGREKGIRLVVEIVVGLAVADASRRSLAPALPLPCRATVAAIYATAAATFVASPPMLRTAAALRRAPLVLRPHVALRCAPLPVLRPHAATLRRRR >DexiUA01G0010890.1:cds pep primary_assembly:Fonio_CM05836:UA:21681874:21683630:1 gene:DexiUA01G0010890 transcript:DexiUA01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLQTTTVTMSVDSSYDRTAELHALDASLAGVRGLVASGVKHVPRIFHLPHPEEQLGGGDQQPPSATVPVIDLGGDRAAVVDAIGRATAEWGFFQVTGHGIPEEAMASAMAAVRAFHEAESGEGSDKARLYSREPGKAIKYHCNFDLYQSPVANWRDTLYLRMAPDPPASDELPESCRDVLFEYAKQVKNLGDRLFEVLSEALGLEPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLMQLISNDKFSSVEHRVVAKNAEPRVSIACFFSTHFHPASTRMYGPIKELLSKENPPLYKETLVRDYIARYYSVGLDGGQKTALTDFRL >Dexi3A01G0030620.1:cds pep primary_assembly:Fonio_CM05836:3A:34892912:34893931:1 gene:Dexi3A01G0030620 transcript:Dexi3A01G0030620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIRPAFQFKLLSGSGGPRAWLKRVQKEWKILETDLPDTIYVRAFEDHMDLLRAVMVGASGTPYQDGLFFFDMKLPPSYPVTPPQVKYHSFGLHANPNLYPSGTVCLSLLGTFGGEGPELWSPDMSTILQVLVSIQGLVLTEKPYYNETGFEAQVGTPEGHRNELPYCESTYLVNLNTMLHLIRRPPGSFRAFVMDHFRRRGQHILRACEAYLKEGCPVRTLDGEGCATKASTEQPPCSKGFRLALTNVVPRLVEAFTRIGAQGCHEFNHIVS >Dexi9A01G0027300.1:cds pep primary_assembly:Fonio_CM05836:9A:31826830:31827684:1 gene:Dexi9A01G0027300 transcript:Dexi9A01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTLSSLVAMAGVLLIIVTFLLRLPTSTAIGKACGHAGNYTGNGTYQSNLASLAATLPSNTSSSPQLFATATAGQGPDVVYALALCRGDMTGNLTGCSACVSGAFRYAQQGCPNAKAASVYDDACLLGFSSQAYSNINNVTQDASTLFEFWNSHELAGDATVVSAAVEDLLAQTAQEAADNTTRFATAVMDASSAVSQTLYSMAQCTPDLAAGDCLACLRWVVGMVNATTSVRNGGRVLVLRCNVRFETFLFYQGSPMKRITPSSSPPAPPPPAPTTNKSMR >Dexi5B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:5B:12615248:12615577:-1 gene:Dexi5B01G0015430 transcript:Dexi5B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAELLQLLLVLLSTLHGCAATTFSSTSPADCSCTAGTGRSRRGFHDDGERRARTEQRGNLEDEEDEQEGAPPADELVISGHACNENGIRWPEACTPWIVEPPCRRLQE >Dexi9B01G0040630.1:cds pep primary_assembly:Fonio_CM05836:9B:41152414:41154461:1 gene:Dexi9B01G0040630 transcript:Dexi9B01G0040630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTAFSFELPKRRQYGPRKSIRRDHGGAHQRLVEDYFAEEPFHISGRDARERAFSLALLSCY >Dexi2B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:2B:4467355:4467560:1 gene:Dexi2B01G0004770 transcript:Dexi2B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHIGNSKDVGSKAQCSPKSSPKTGSATKSRATKSREDLKGTMKKVGPPSAANVAVQT >Dexi2B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:2B:12889737:12893422:1 gene:Dexi2B01G0011300 transcript:Dexi2B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLLLGDAAGLGAHFAIRRRRTHLYLRSWGPRRLGLGAAAACVSRSRGRRGHVARFAASASGGGDEPGELSEDEAQREWEAELNRRLKEAEEMEELERTAEELQSQAAAEATEESEEEKRERVRRELQKVAQEQAERRATAKQMFELGQKAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRKMIAASNQVQPSPNIFGDFSFLRLPSEWKKSAWVIVTLWILLIGTAIYLQT >Dexi9A01G0039370.1:cds pep primary_assembly:Fonio_CM05836:9A:43359865:43362396:-1 gene:Dexi9A01G0039370 transcript:Dexi9A01G0039370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAPRLPDDALAAILRRLPQRAIAESRRVCKAWRAVVDGRGLLRRHLLPRAVRGIFVNFIDYRCPRFLARPSSSERPGIQGNLDFLPGYATSFAPIIDHRNGLLLYGDGWKFYVVNPATRRWERLPPRMELRDYAAAYLVFDPAASPHYEVVLIPRVPEDPRETPPPFDLDWVLSSLGDNEEEESPRSVQEGFFPARFMPVSGDPDPYRSMEWPFSPCTLHVFSSSTRQWEERPFVREGKAAGTVEDARLDSERPRFSGPRRRYGVYRRGTLYGRAKSKSCVADGLLLLARMSTAAALPSSAAVSPSVLGLLSPTPAAAREGGAAAAEVPSGGGGGRVAVPRLSLGNGTYQVIRTPIDIEEGKHWVMKHHSNLGRSAMWGAERFYNNQQIDGPWILEDDKNDEDDSNMMLHEESCEWDSDNDNVLDDEGGDEDRLRDYTYFLGFHPYKE >Dexi7A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:7A:24824526:24825192:-1 gene:Dexi7A01G0014960 transcript:Dexi7A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEAERLRAREPEAGGITEELVVVLEGRLHFARRGHDPVEECLLLAGRRRGVAEHGVPALLADEPHIQGPRYRRQLTAGPLLLPRSPASASSAAVLAGRRPFGGFGGGGAVLRNRRHAGRRVPGGAAQGRRQRRCGGGLGLRLQSSTEVGSSSSRSPPPRPPPPPAPAFPSGQISASIVPAPFPSARKGAALIYLLPHARARAPAGEIWRWCGACLLA >Dexi2B01G0026440.1:cds pep primary_assembly:Fonio_CM05836:2B:35527131:35531872:1 gene:Dexi2B01G0026440 transcript:Dexi2B01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLERTPSAAPGVASSPPRRSTPTVPLEAASPPSDPILPYLRSISRVMDELGTGPQCDASALDQLKRYLTECIRKYGDDYQYSTDPRLLKIWILYADVTETFPEVYTQLEEKRMFLEHALLYESYALYLCAEGKVQEADKVYAIGVSRKAEPLDHLKKTHLTFLKHLDQLVEEADMDAQPSKNKKNEPIVVDPWSVSTRNTLLDKINCDLRKFAGYHRSNKVYHGKVALTSSLNMLRNKVIELGGLKYQIKGPTGTGAFAKVYKATVDGNTDEMVALKIQNPPFPWEFYMYRQLDMRISEVERPSFGYAQEVHIFSDVSVLVCNYLPYGTLLDVINSHVVVNQHMDELLCMYYTIEMLKMLETLHSVGIIHGDFKPDNILVCYLSGDITEETFRSETRDEQNQGLCLVDWGRGIDLNLFRTGTEFHGDCGTSGFRCVEMQEDRNWTYQVDTYGLCAIVHMMLQGSVMSVQKVPRAGGGYEYQPKLPLKRYWNVELWTKLFSTLLNAPSNGSDVAALRGLGASFREHMCSNRQIVGKLNQMLAKQKASLCSS >Dexi8B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:8B:779874:780356:1 gene:Dexi8B01G0001160 transcript:Dexi8B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRPAAGGERYSYVPTFGPGGSISGVRRVPASSLPYNYTIPPDILFDEDLAQDLIDRGRSSKRARADATTEAIEQGLVHVAGASRSGEDCPVCLQDFNADETLRAMPCAHAFHYHCISQWLRRNASCPLCRHQLLLVMPDDHDDKDQHQSHRRRTTT >Dexi2A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:2A:26505879:26511208:1 gene:Dexi2A01G0015480 transcript:Dexi2A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPKEIKQLGFSMAAAASSTLLRASNSCSARPVPRTVCSHQRIPSRVGRSASSSIVVRARRGAAIVRKAAAGDREAPAAVLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNKTGWPAKAPKADEERKEFIASLHKRKTELFMALIEKKLLPLRPGVKRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKIIIFAGDVVPRKKPDPAIYILAATTLGVDPSSCVVVEDSNIGLAAAKAAGMKCIVTKSSYTEEEDFATADAVFDCIGDPPEMRFDLNFCVDLLHKQYVS >Dexi8A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:8A:19438343:19438970:-1 gene:Dexi8A01G0011010 transcript:Dexi8A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFDGDEERNGSEAVTLLLRLSTMALALTSAVVMATASECTIYEPHGARITVTFKHFPPFVYLTGFNIVATILEAVGIYLQVGKGGEDEEELPKLAKILLVIVDVLVPALLNLVTGAAFSAVVAYGPQISACAATAGRFCEQVHRSKLFSLAASISAVVSAATKDVPLPFSVWPVSSDDC >Dexi1A01G0024940.1:cds pep primary_assembly:Fonio_CM05836:1A:31317430:31318419:1 gene:Dexi1A01G0024940 transcript:Dexi1A01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSRGSTTTVTSTGGSRGNFPWLTKKSSSSSKPATQQRGAGQELSKSSSSSEELEGATVTAEGSSTEQSSSPSRKRADALKRLRAAFLAAITLRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKTPLAAGEKRRGLLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDVSSGSGGAASEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLQV >Dexi3B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:3B:8092391:8093464:1 gene:Dexi3B01G0011520 transcript:Dexi3B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETMSQIANGCEEWGFFQLVNHGIPLELLERVKKVCSECYRVREAGFRSSEPVRTLDALVEAEQRGEAVAPVDDMDWEDIFYIHDGNQWPSDPPAFKETMREYRAELRKLAERVMAAMDENLGLEKGTIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDQVGGLEVLKDGEWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVSGGGGAYPKYVFGDYMDVYAKQKFQPKEPRFEAVKAPKSSPAA >Dexi7B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:7B:25125316:25130839:-1 gene:Dexi7B01G0019690 transcript:Dexi7B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRAVATFVAEMAWNHGVSLLHDLIPRLISLSAKGACELLDKYTQDSFSDPSNQYMEDANQHECTVKAALDTAQAYAKWTPVTDLARYGLIQRCGSLLHSNIFRLHALQFFKSIMQSKRPVGIAIAEYDLAVSAVSPVLMDISEGSLKFVEPELQCLKALCSSLRYHAVHSKIMGKLSELFGSVPATCEDNSDGMSCHLVEDHNVLAEAFTLVASCPRVQKDPLLLSCILNPLSKIWSQPEWEINLLDYFCDTWFRTSVHNILVLVDEELKKYVQEKYNGTCPKGKPSCLSLLPLMLPILLKLLQYVHSLWTDEVASNISEELEEAKFIMCSVESSDLENEIRVWLQKIRETGYVCCLYYRAFLIESSSK >Dexi2B01G0023130.1:cds pep primary_assembly:Fonio_CM05836:2B:32686183:32687115:-1 gene:Dexi2B01G0023130 transcript:Dexi2B01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQWPGAYCEQNKAGCCKPSTGVSPALDFYISGFTVYNATTGEPVTDCNNNTPFDPNKITGIQGLDQYWSNIKCPSNNGRSSWKNAWKKSGVCSGLEEKDFFQAALSFRSRLNPLVRLKAKGIEPDFGLYGVKAIQNVFKSGVNATPLVQCSMGPSPFGKYQLYQLYFCASEKGTFIDCPTMEYEHTCPAKEIIFHPFQKWMLKQSSSAAAYDAFVLPGLAMDS >Dexi5B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:5B:17593971:17598767:-1 gene:Dexi5B01G0016840 transcript:Dexi5B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGAAAPRAGDFVTTQVSLGGFDATVKARGLADFLESEVGQVWRCRVKTSWTPPDAYPDFLLPAVAPAAGQTPQYDRVPPHAFVHFARPEAARRAADAAGRSELILSGKPLRAASAPDSSLRASRRCSVKPFRFTDSRLEIGDLPAPDAFVAAWHGPDAGLEFAVDPFDGTCRFVFTRDTAFAYQEYRQAAVVMRCDVKLEFPVRDIAEVRTFQLGSALLLRLSSAPLVYYRTADDDIHESVPFDLLDDDDPWIRTTDITPSGAIGRCSVYRVTFPRRFWPKMERALAYMRERRVEIVECGGGWGSRRGLTVRDEPEFGERMQDLFFCVQHAEGLKFPVLFLVNALVHKGVINQHQLTPEFFGLLQRREDEVNLAALMEFWGDKFPVFDACRRLKNLQDRVARNPKLLRHKIGDENSEVRRLVITPTRAYCLPPEVERTNRVIRHYREVADRFLRVTFMDEGMQQLNSNVLNFSAAQIVKDLMSNAFQLQHKTTVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRTRTVDSIRKWMGRFTSKNVAKHAARMGQCFSSTYATVVMQSHEVDECLEEVERNGYIFSDGIGKITPDLAMEVAKILQLTDNPPSAYQIRYAGFKGVIAVWQGENDGIRLSLRPSMHKFESAHTVLEEAMLSNLNNILSDTDVAFDVVTTSCAEQGNTAALMLSAGFSPGTEPHLKAMLLAIRSSQLLGLLEKTRIFVAKGRWLMGCLDELGILEQGQCFIRASSPLLNNCLVKHGPRFSSANRNAETIVGTIVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKNGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYTPAEAKQLPRQVLQHDIVDFFLKNMVNEKLGPISNAHVVHADISEYGAMDEKCIQLAELAATAVDFPKTGKIVSMPPSLRPKLYPDFMQKDEAITYKSDKILGRLYRSIQEASSGDLVPEETCTLNDLPYDTDMEVPGATDFLSSAWQCKCSYEAQLNALLNQYGVRTEAELVTEHIWSLPKYNSRKQGDIKERLKNAYSALRKELRSTFESIETDQIEMSDDEKNRVYEMKASAWYQVTYHPKWLQKSRELLGPDCEVMPARLSFAWIAVDYLARIKIRCHGEVKANSQRPVERLAAYISESI >Dexi3A01G0032770.1:cds pep primary_assembly:Fonio_CM05836:3A:37632069:37632963:-1 gene:Dexi3A01G0032770 transcript:Dexi3A01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGGPATGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTIGVEGQELHGGSGSITSILKGNPPDMDGYPMDQIWMEIETPEVPSGMGLDVGSDNGCSSLAAPLLPPTAWDYYQEACWKMDDEIKMAPQFSYSEGVGPCF >Dexi2B01G0031740.1:cds pep primary_assembly:Fonio_CM05836:2B:39756847:39757887:1 gene:Dexi2B01G0031740 transcript:Dexi2B01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHFADPHHPLVWTHHSPAEAGLCSICLLPLAGRSGYGCYTCNIQLHGACADHFREAISFFAHPSHALTLSRSPSPDGRACDICRGHCPPGSFVYRCVECGFDAHPLCTLLPETVGDDLRMVSSSSSSPAGCCSACPHPLPTWHYACSDSRRLHVTCAVDIIGQTTTGDAVVRDGPPADAFKGGVNNEAAARGIHGAQGRERVLDGPAGQGWSYGPANPGYSYSYSPAMPVYGGPAGPAQGGYFGGPFIHGGYWPPYQGGYYGASGVPVIQGGYGPPFQGGYYPPVMPAGGHGNGGGGGHGIFHNPGTAGSLMTGIAGFLANVAISAVVPDLASGLLSAILGN >Dexi6B01G0020510.1:cds pep primary_assembly:Fonio_CM05836:6B:26944241:26946064:-1 gene:Dexi6B01G0020510 transcript:Dexi6B01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGLQVDELYSLDLDALSDLQPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCASIRMLNNSFARSEVPEEQKPSSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGAVGEAGWLRLAQPVIQEHIDRFSQNEIRFSVMAILKNRKEMYTVELKDLQRKREGLLQQMGDPSARHVASVEQSLAEVAAQIEAVTEKIIMEEEKLKKWKMENMRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKQKSHGHNTNPR >DexiUA01G0007070.1:cds pep primary_assembly:Fonio_CM05836:UA:13563882:13564727:-1 gene:DexiUA01G0007070 transcript:DexiUA01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSSSGSPPSAPIFSPATAGHLLDLHFVSCDVTDQWRMGANINDWLDDPLDLDGAAAAWAADDYGSASASASAAGNNDDLSTGDPPAPEPEPKRRRGRKPGPRTNGPPISHVEAERQRRDKLNRRFCELRAAVPTVSRMDKASLLADAAAYIAELRHRVDHLEAEAEARQQQQHASAATTAITTAHSFGSGGVFAGEEKLEVRMVGRDAAALRLTTAARHRHAAAHFMVALRSLDLAVHHACVCRVGGATVQDAVVDVPAGLRDERGLRAALLHRLMS >Dexi7B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:7B:22149758:22150269:1 gene:Dexi7B01G0016160 transcript:Dexi7B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAGTSAATTTRGASYDDVPAPPARPAPPGAGDQAFSAEHAAAVTIQAYFRGHLARQAFRALTSLVKLQAFARGAYVRKQASIAIRFMKVLVRLQVRVRSRQLLLSAARRPRRNR >Dexi5A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:5A:5457815:5460387:-1 gene:Dexi5A01G0007330 transcript:Dexi5A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCGRRFAGGDATARRCNLERFLEATTPVVTPSSCSSSKEGMNSWGQSDADDSLPFFTLGDLWDAFRECSAYGTAVPIVLNGCGDGVVQQYYVPYLSAIQLYGGFRNHIGPSRTGAEESDSDMEHETSSSANAFSAQETSESSSASEASSSDEGESAGSCHEQQLLFEFLESESPYQRQPLADKACLTDIIGLDSALLICSLAKRFPELMTLRSCDLSPASWISIAWYPIYRIPTGPTTRDLDACFLTYHSLSTQFAGGSSHGPKPTAATKCSTPVTAMWLPTFALASYKLKGAAWTPGWRDRQLAASLAQAADAWLRLQRADHPDHRFFAARRAPSRRW >Dexi8A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:8A:2909471:2909917:1 gene:Dexi8A01G0003810 transcript:Dexi8A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESLAELKMSIQELRLVLKRRDNVAVQLKIESFVRLAKKAQKPLKKTTSKVSAEGSSCRLVRLLAEARETAVSILESTSQILPKQIGSPIASKWSLVSKKFQKTKVLCEDRQLEALERSMGNLEDGVEFLFRRLIQSRVAILNILSS >Dexi6A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:6A:1806913:1807500:1 gene:Dexi6A01G0001870 transcript:Dexi6A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAASLEQQEDDEIPSSVVFEVQGYFADRRNAAATTAWSKTSKGVPISVSFHLAHPPSLSTFSVHCPDLDLAKLSLPPKIIAAYADLVLLRVPLEPAARWHQRHNDYFVYRMHPQRPKLDLVPNPRHERFGDDEIAILSCSGDKYVVAALRLTFELHLYRSSTDGSKPGSRWTSQPVSVEEPIRDRVCPIPESA >DexiUA01G0006320.1:cds pep primary_assembly:Fonio_CM05836:UA:12167564:12168485:-1 gene:DexiUA01G0006320 transcript:DexiUA01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLLLSLDKFLLHYLKKRLFSAGPRIPPTAASKSRRSMASPAKWSETAMLVIDMQKDFVDPAMRSPMLVAGGEAVVPAVAEAIAIARKRGIFLVWDLAHMV >Dexi5A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:5A:8743588:8748748:-1 gene:Dexi5A01G0011630 transcript:Dexi5A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESRKTMQNLPGTLPSLMGFNKYLTPNWIESVSHIIKELSPTEAKTNVIVQMAQNIGPDDAEADAEIAKIQDELVSLNAQLKQITLQRRQSLNNYLDLKGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTPTDLGVIPRGIQALFDRASENNRRNSKLTTVLRDSLGKPTKFFQALQTAWVGALLGLGTMSLGLEQDFFQSLTL >Dexi3A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:3A:7574995:7576101:-1 gene:Dexi3A01G0010580 transcript:Dexi3A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQKLKVQWIEKNGPRNASFRKRRDTLIQKTRELSILCSVPAAVVVYGGGEAERKFWPEDPEEVKQVMRRYRDLPDSSKEAHRLDNVGFMRRRTEKMRRKVESYKATSVGLEVNLVINDVALGRPREFDEMPRELAGAVVSTLDALRSVIADRINFLLSGGADAQAAAAAAAALPPPPPLPIDEAAAPVVAYPPPQSGVVADALFLLPAPELEPEPEQSELMVAPAPPLLLPAPEPAPLLLPELVLEPEDPHHPPIVIPPEIAEALLLLLAPEPEVAPPPPPAQEPVIVEDAPPEVPVPEPQATAAAGVGVGEEEPVDLNFEPRNGSFLLEVADAIIDDGSGRLATEEDVDRLLREYGLEHMKPPK >Dexi9A01G0038970.1:cds pep primary_assembly:Fonio_CM05836:9A:43086273:43088957:-1 gene:Dexi9A01G0038970 transcript:Dexi9A01G0038970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGTACFRLPSLPARARGRPANPPPTASLHRRHSLRCSAANGDSSNPNSISSTSPPRGTVADGVESVDVNGLRRPSAPLSGLTVPGARDPHWLPTNPGVKIENLKSAMSHPQALAQCEHTLTELGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLLKNFDYLFYVDLEASMADPKTQNALGNLKVLFLG >Dexi7A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:7A:25548713:25549087:-1 gene:Dexi7A01G0015720 transcript:Dexi7A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKAGRLVFKGGEAASLKPKKHKKKNKKPASDAPADGDVDAAAAPAAADGAEAGVGSGAGDDYTIDAAKKMKYEELFPVETKKFGYDPANAARAAARNRSVEEALDDRVRKKADRYCK >DexiUA01G0016150.1:cds pep primary_assembly:Fonio_CM05836:UA:34413341:34413622:-1 gene:DexiUA01G0016150 transcript:DexiUA01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRELREEAVAAQLRGSRSAERRQWQTAGGWRLGLLWTGRRAELKLARGSGKIGAREGNAHAGISGGSAMANDGGAAKMPTGIHGKEKGKVT >Dexi7B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:7B:20309668:20311578:1 gene:Dexi7B01G0013890 transcript:Dexi7B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSVVTGISIDDGIINVLKPSNSSNAMADTETPLYAFSEEWGMVKWAVDSMTCKDAMSSESYRCFSHSDCVDVTEDKTLKQVGYRCKCSRGFEGNPYLKDGCTDIDECLQPDKYICKGICQNTFGSYTCNGCPHGTDFNDMSRKCKPSTVILGVTVGLSSGGGILFLAAVVLVLTRRWKRNVQKQLRKRSKLEESTEIEQFINEVSILSRINHRNVVKLHGCCLEAEVPLLVYEFVPNGTLYDLLHREKNGILLPLSWEERLRIAIEIAGALTYLHSEASVSILHRDVKCMNILLNDSYTAKVSDFGASRSIPIDQTHLLTAVQGTFGYLDPQYYYTGQLNEKSDVYSFGVILLELLTRNKPIFVDENGEKQNLSNYFLWATSERPLEKVVDKQILEEASEEAIVGTAQLAEECLSLTRGERPTMKEVEMRLQMLRTCQAVAPRARMDEVPRPRCESAKTNGIARSVPVDCWSQRLPPLQSRARVCVVLACAEVDELLA >Dexi8A01G0013980.1:cds pep primary_assembly:Fonio_CM05836:8A:24272637:24274019:-1 gene:Dexi8A01G0013980 transcript:Dexi8A01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKGTSAEKNHHASLPVCARNGGKASRSRAAAPAQTWCSTKCASASETPKSDDAQEPQHRPWADLPLDILGVVVGRLALVEDRARLRSVCRPWRAAASLHRHPPPPLPMLVMSDFSFASFCAEGTLTGAHRRVPLPERETTSDGSVHCVGSSEGWLVCVERNKGPYFCDLRCFLMNPFSLKIIHLPPPSVCAWHFGAYRRSLPVVNGSGVVNCTINAAQCVMSFCKVVLSTSPDSGSKCIAVAICIVKGSVKLAFWQSGMMTWCVCDGDCITEFIDIVFCQRKLYMLSSSEFTTNLIAFDICEDNKSLMISRVEGSVVDLPVVTDIYYETLSIVVEWRGKLLMVCKCSGDTEFGHMIVKFRVFEADLSTNPVRCTEIKDLDGDCIFISPCNSKSFRSSDYDGVGEDLVYLIDGELRPENSVYNMKDGTVASLAADELDDKFWVPGGGLMNATWFYPPE >Dexi2B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:2B:2123521:2124378:1 gene:Dexi2B01G0002550 transcript:Dexi2B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGAMGNLAPKLLQLLQDEYKLQKGLKAEVKSLAQELKSTHAALCKVAQVPPDQLDPQVRLWARDVREASYDMEDILDTFLVRVDDDHRSADADADKGKFERLQEKMGKLFSLSKLKARHDIASAIKDIRKQIQEVAERRDRCKVNEIVATPAESSTVDPRLEAMYKEVSQLVGIKEAMDELISMLSLQGGEEVSNKKLKTVSVLGIGGLGKTTIAKAVHDKLKSDFDCSAFVPVGRNPDLKKVFRDILIDLDKRKYSDANMLIWDERHLIGELRDFLSNKR >Dexi1B01G0029010.1:cds pep primary_assembly:Fonio_CM05836:1B:33428556:33432052:1 gene:Dexi1B01G0029010 transcript:Dexi1B01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGREMQGGGGGGGQDDFFDQMLSTLPAAWSELGSGKSPWELPAGAASEDAAAFDESALLVSRLRQHQIGGGDKPVMLHLSDLHGLAAGGEDCGAAGFLPLPLFTDRAREDMDAAFKSPDAAGGDQALYNGFGAAGIHGAAAAAVQSPFGQGGSMPAQSFGAGTAAGGGGSAQAGAPAAGVSSGGGGAAPPRQQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGVGPLVANMTSEGNGNGTSDSGDGNAANGDGNGENGGSSLKVTEQQVARLMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSSLLSRPSIGSMGGARGHVHEGGNPASPPLMNGAGGDGKDAVAGQ >Dexi9B01G0040940.1:cds pep primary_assembly:Fonio_CM05836:9B:41439994:41440536:-1 gene:Dexi9B01G0040940 transcript:Dexi9B01G0040940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHTFPLDATAFAQVAPNSWLLDLSTLALAAAPRSAVVFLLPAAAAALPPGKAVAVYFQAAANRPFAFLGALGPTRPSASFPLPEAGDEPEPAVGPAKLGVAVEDAAALPPPPDEQRAERVALSVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPMYLKSFDF >Dexi6A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:6A:28700143:28701912:-1 gene:Dexi6A01G0021450 transcript:Dexi6A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPTRRRAAGTSSSPSPGRNKVWVEPPGKSHHHQTPARSPPPASASAAAAKRVAVVYYLCRNRHLEHPHFIEVPLSSPEEGLYLRDVFNRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPPDKQQNGVSNPKVEGLKHPKEESPPSRGSQEGCSSSSSPSAVVKEISPPLITPRPQQQAQSALLPSSSASTNQEDEQCRATHSGSSGNQSPEPAGRNAPLSEASSPGLSEYRVCKPIGAQDAATQTDDSERDVFEKYTRVAGLSMEAGTSDAEIQECDERNFLVSPKGPEIVRESPAVCSSDASPGGRVETLESLIRAEASRRSSFRTLEEEHMFGPMGVKLKPANLLMQLITCGSISVKEHRGFGIIPTYRPRFTQVEFPSPVFSTPVALRHLDKIPCNAKAIGMRAPESECFSGSLVETQKQDESGRGISTLKRSSSYDEDRYNI >Dexi2B01G0025230.1:cds pep primary_assembly:Fonio_CM05836:2B:34508740:34509453:-1 gene:Dexi2B01G0025230 transcript:Dexi2B01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAATPGPALDPHGSLAATGAPAGLDGAEVGPLGRVGLAEDDCAGAAEAGHHAGVAGDGGAEQREGSRRGVEPVARGDVVLEQDGDAVQPAGVGGRALGVGARRLRERVGVDLDDGVEERV >Dexi9B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:9B:337304:337769:-1 gene:Dexi9B01G0000490 transcript:Dexi9B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDDWSSSENERFERALATYDADTPGRWERVAAAVGGGRTADEVRRHYDRLAVDVDLIIQEGRRHAAASAAANGHHAAATNSNNNNARSNSASNNNSSNNRRGNNSRAN >Dexi7A01G0011000.1:cds pep primary_assembly:Fonio_CM05836:7A:21685095:21687107:-1 gene:Dexi7A01G0011000 transcript:Dexi7A01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSPTLTAAWGLLRRAARACGALRREKFAASVWEWGRIDGGTAPRLASRSCGPYKHIVVAHGRKTLWVERRCGQVTKSWDCRRHVSLLRQSDRPRRYAKGRRSGFVSIQPASRAGRADPLPGGDVGASRARTHGRRAARRR >Dexi9B01G0025880.1:cds pep primary_assembly:Fonio_CM05836:9B:27398016:27399334:1 gene:Dexi9B01G0025880 transcript:Dexi9B01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDADKQGRTSTTAIVVPAVPLSSGKPMPRLGFGTATATLGHAEGHTGVMEAVLRAIGAGYRHFDTAAVYNTEAALGDAVAEAVRTGMIANREEVYITSKLWITDAHPGRVLPAMEKTLQNLQMEYVDMYLIHHPVSMRLPEAEGGPVVVKKDLVAMDVKGVWEEMEECHRRGHARAIGVSNFACKKLENLLCFAKIPPAVVQAEVHPYCRQKKLREFCRSRGIQLCGYSPLGASGTVWANNSVMESPVLKQIAQDRGKTVAQVCIRWIYEQGDVVITKSFNGSRMRENLDIFDWELTDDDRDKIDALPESRGNYDFLVHESGPYKTAEEFWDGEIAAGHCNDITAIVSSD >Dexi2A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:2A:30138952:30167954:-1 gene:Dexi2A01G0018130 transcript:Dexi2A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRPPQKGRPTDAYLLRRSSSVLQSSTGGHAWPHRYRHPLVPTALLVLGCFVWTLEAGRRRPAALAPLRVNVGQAWVKTQGTEMPSRTIFWLVLFFNITAAQNANETNSGNQNIGVILDLQSLVGNIAHTCILMAVEDFYSEHSSYRTKLVLHVRDSSGDIQAATAAQVNCIASLITAYGWKEVVPIYEDTDYGRASNDQVEQELYKLMTMQTRVYIVHMSSAVASALFMKAKELGMMSEMSAPYANSMPDLNPVIWLGELYVVPKGWQIPTNGKKLRVGVQTSGYPEFMKVERDPTTNATTATGYAIDVFEEVLKRLPYAVPYEYVAFDSADSGSYNDFVYQVHLGIYDAAIGDIAISGGRPPHGTPEGAVVCNVEHHVDISRRRREQGGLLHGYLKGVVTDVIRDRGRGAALAKRRINNAELTGSFLRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSILTVQQLQPTVTDVHELLRKGAYVGYHNGSYVVDLLEELGFDRRKFRAYNTPEDFADALSRGSENGGIAALIHEIPYIKIFLAEHCKGYTMVGPIYKSEGFGFAFPKRSPMVNDFSRAILSITEGDTIIRIENKWIKNQHICQNDGTISSSSSLNFKSFSGLFLVTGIASTSALLLALVMFLYKNKHRIRNTIGCRGGIPMALPSRISHHSPGGTVASLSSVGYSVGRPSPALEAASLVQDSGRRRQGDVATAVAFFPFLGELYEPTQMPKEECIDGCGGSHICSKKLGEAVRSEDANPARAQRPPPPVRTACRIGVVLSRSRKIAIGRPPPVGSHAPARSRRGRRPPIRSCALQRDRDGVR >Dexi5B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:5B:7503382:7505409:1 gene:Dexi5B01G0010600 transcript:Dexi5B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPAELELPGFVPLQLSQGQILAPFLGTSLFVFVAVWLISGRCRGLSKTDRLLMCWWAFTGLTHIIIEGTFVFAPNFFKKENPNYFDEVWKEYSKGDSRYVARDVATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFAVCLGQLYGCLVYFITAYLDGFNFWISPFYFWAYFIGANSFWVWIPTLIAMRSWKRICSVFRAEKVKKAK >Dexi9B01G0026550.1:cds pep primary_assembly:Fonio_CM05836:9B:28748916:28753361:1 gene:Dexi9B01G0026550 transcript:Dexi9B01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRAGGANRPAWLQQYELVGKIGEGTYGLVFLARLKPSHTAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNSPINTYTIKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKALCDNGIFQVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKNTPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENPGLHNIVHLAQKSPAFDLLSRMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQPGDKIVQYPVRPVDTSTDFEGTTSLQPNQPVTQLFLMPFVSVFQPSGNAAQGQSVARSMPRQMPQQPMVGMPRVAAGTNMAAFNAAQAGMGGMNPGNIPMQRGAGGQSHPHQLRRKADQGMMQNPGYPQQKRRF >Dexi2A01G0014070.1:cds pep primary_assembly:Fonio_CM05836:2A:22980620:22991478:1 gene:Dexi2A01G0014070 transcript:Dexi2A01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEGGGGVREMTKRAAAAFPPVASVGDDLLGDIFLRLPDTAVLALAALACKRWRRVASDPALLRRFHSLHGPPPLLGFVMSGPPPRLFSVEGPDRRFIPAPSHSPHLNAAAAAVDIHFEDFVAKNPRSGSVIDEWLLRGYDGGRLLLSYGFIEYEELAVYDPIGRTADLLRRPDIMRFDFEFLAVHYALLADEPDASFRVVGAQIWNGIVRGAIFSSRTGEWAPLPSSRAHRLWTWTMRGGMRAGRFAYWQSNTRLQRYVYSGEVEQCLVLDTTTMEWTLIQVPFPAKESYCVADMAEHGGLCLLASKEQVVQLWVRDSNGGWVIKKQVSLLKQFGSLKKLRHDEWMKRVRILAVRDGHVHMEFWSIRKPNSYLLVLNWDAMKLSVFANDTTDKYRGPAFPFFMTWAPPLLSPAEQQGLRLQVPGPDGFLKRTKDRGLVVKSWMPQVDVLGHPATAEFVTHCGWNSTLEAITAGVPMLCWPLYAEQMGIGAELEGYKAPFIKAEEVEAKVRLLMESDEGRELKARVVGYEKQACAAMEDGRLVIGVLPAPQGAVEIVPRMWPDLLALAHVQLDASPLATTK >Dexi3A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:3A:11509518:11511804:-1 gene:Dexi3A01G0015470 transcript:Dexi3A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLVRSGKLAEARSLFDAMPHRDEVAYATLLAGHAEAGDFPGAMELFCRLRASSPPHAAADPFVLSPVFKACASAAAAADDVDAGFLRHAAALHAFAVRSSAVSSVFVSTALVDAYAKAGRLELALEVFDEMPCKNVVSWTTLVASLARAGRRHDALRRFAEMRTSGVACDSHAYAAALTACAEAGLLPRGREVHALCAKLGLDSTPYVANTLATLYARCGDVDCALAAVSRMGSRDVAAWTTLIASYVQTGRAEEAIEAFIRMIHDESSNSASPNKYTFSAVIAAWEVELGLRYLDAMSKTYGVEPAKEHYGCVVDLLSRAGRINEAEELIGRISADERDGVVWTSLLIACAARGEEETGKKAAERVMEAEPWGSGAHVAMANLYASKGQWLESAQERHLMKQRGVVKGAGWSSVEVGGEDRGIGVFVSGDRTNPQDNAIYVMLDLMYCGAGMVRHIPDQLDLGSELELAVN >DexiUA01G0011560.1:cds pep primary_assembly:Fonio_CM05836:UA:23109004:23109480:1 gene:DexiUA01G0011560 transcript:DexiUA01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFFRHLLPACILLLLLMSHLPSSIFGLRTLREVRTDLRRHEHEIPPTISPPQKVGDGATAATASSKYAVSRRTV >Dexi5A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:5A:14801862:14802255:-1 gene:Dexi5A01G0016250 transcript:Dexi5A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVTAASAAGIQSLQYRQILDAVGTGAAALSLVGSSFIVLCYLLFRELRKFSFKLVFYLAVSAVQ >Dexi6A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:6A:28666146:28669244:1 gene:Dexi6A01G0021420 transcript:Dexi6A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPLVALLLVLCAAAAALCSLADGAGTADGSEEWGYVQVRPKAHMFWWLYRSPQRVDNGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDEELKPRETTWLAKADLLFVDNPVGTGFSYVEDKSLMVRTDDEAARDLVMLLDALQPRLRGSPLYIVAESYGGKFAVTTALRAIIQQQGANIAGVALGDSWISPLDFVLSWGPLLYQVSRVDENGLQQCNSVAAKIKDELEKQQFTDAEASWAELEGVVSANSNFVDFYNFLKDDASEDSTTTTMQQQRQRSTLSSFRSRNGYSGYLESMAAVSSFEGIMNTVIRNKLGIIPKDVSWGEQSADLDLICATKGTMDWVHKLKWDGLNNFLNSPRTPIYCKKSGTQAFVKSYKNLNFYWILGAGHMVPIDNPCPALKMLADITRSPAK >Dexi6A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:6A:25505229:25506904:-1 gene:Dexi6A01G0017640 transcript:Dexi6A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSAAAASAADSSSSSGIVQLLLRNIDSRTTVVQAQPDETLDSVLARLGNGAARRGELRVVYAGRDLPRDASIGELGLPRDATLHVSSRLLSTPHVGAWGLASEIADAARLAAAGHHSSAWSVEKLVTKFLDFDRAAKAFKSGGSSVWDVADHMDVFLRSGAPALIVRFYLSGHRGSTHAVPCLLPPDKAWTAPVLLEFCGSLAAAGARVGDHIYTDLRGMLVAELSDPKWTPKRWRDVPRPWVAEQLTRLARDMANAVIEEMSPSGPREAQAETETTTRNLAEFKIIWSVLREKMLELYVVETPPRRPPWRKTLSETLVSLVRSVNDCMAKMSSPPPPPRRKFGSSSSSSAVPRWTASLRTAVWAVLAELDAWPDVYHAMRTTLAAHAPVVTALVLSLSTGREVSQNARWITRHRDILESKARRHLAMAMLPELVTGGGVGAPPPFEMLIDRAWLLPDSFGYIAHATPRDLRGAMSVAFKHEQANGPGVLREWFCLVCQALFNPRLVLFSACPYDRRRFFVNPMYWPDE >Dexi2A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:2A:5429613:5431568:-1 gene:Dexi2A01G0005660 transcript:Dexi2A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGATGSSPPATAPLPPSGNPLRVILTRGFARQVLLGRWFTVFASLLVMSASGATYIFSTYSNTLKSSLGYDQRTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVSGHTSRPPLWLLCVYIFVGANSQSFANTGALVTCVKNFPESRGVVIGILKGFVGLSGAVYTQLYLAFYGGEDAESLILLIAWLPAAMSVVFVHTIRYMPLPRRRGGQETSSDPFFCFLYLSIALASFLLVMIVVQKQVTFSRDAYGLAALPLFILLLMPLGVVVKQEYKIFRERQLDAALLAADDTPPSITVVSGADDVQLSNTKKTEQDNKKTEQEPETLTPAPTSSSSSSSCMGRFGGCVKTMFRPPARGEDYTILQALVSIDMLVLFIATICGVGGTLTAIDNMGQIGQSLGYPSKSINTFVSLISIWNYAGRVTAGYASEIILAKHRVPRPLLLTFVLLLACAGHLLIAFGVTQSLYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYVLNVRVAGRMYDAEAARQRNVGVGVGGKHDRVCLGVECFKRSFLLITAATVAGAAVSLVLVWRTWRFYKGDIYARFREEQAEGGGGAGEDGRLPVGQPEAAAAAAEEEAAAVNGRKG >Dexi6A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:6A:27391581:27398224:1 gene:Dexi6A01G0019730 transcript:Dexi6A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPQLIFSSLPRAIPKPRTPPAATLAAAAARRRHHSTAVATSMAAPSEDALRRALAERQAAVDAQAEAVRTLKAGGGASKAQVDAAVEALKALKIDAGATARRLQQAVGAGAGGGGAAREELRQAVVNALERKLFYIPSFKIYRGVAGLYDYGPPGCRVKANVLSLWRQHFVLGENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDLTLPQEKADEFKRILAILDDLSPEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGRAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLMKLGDAVSKGTVNNETLGYFIGRVYLFLILLGIDKGRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGSQKMVLEALEAMSEKEALEMKAALETKGETNFNVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTVFPLVKNQEFDDAAKVIAKSLTTAAISIGRRYARTDEIGVPFAVTVDSPTNVTIRERDSKEQIRVDISEVAYVVKQLTEGQSTWADVSAKYPAHVGPQAVIHPGTLVMDSHLMEPLLDPNDAAGPDGIAGVDETKPVDDDDVEDDDEMSTSIQRRLDESADENDAAADEMAAIMARRLAALPGKPHESEPFTIFRVAGPMRDRNRHLYDPQMVSLGPFHRAAGHRHLAAMEAHKWRYLRDLLSRSGAAAKLATYSRAALAMEPRARRRYAEPVPMPAREFAEMLVLDGCFVVEFFLKGEERADDALVDASWAMQNVYNDLFLLENQLPFFVVDTFFNLATGGLLPPHHLVNNLLVNYLTVDMGASSQTARPPDGEIHHLLHLYYHWFLPPPEDQSPETFTGKSEDDLFEEWKSKPADERIPWQLPSASELKDAGVKFRAKKSPKSLIDVTFDRRSGVLEIPAVESYTNHAIFANLLAHEQSRGNKWEHQQRLVSYVLLMAAVVADGRRDVEILQRAGTVYTVLPYYNTSN >Dexi9B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:9B:833075:833827:1 gene:Dexi9B01G0001430 transcript:Dexi9B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPATWEQSGDDYDYLFKVVLIGDSGVGKSQLLSRFTRNTFSLDSKSTIGVEFATRTIQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKAATFQNARRWLKELRDHADDAHTVVMLVGNKTDLAHLRAVARGDAAVFAEREGLAFVETSALDATNVDTAFQTALAEMYRAVSRKALLASSDQAAATAVGEGQAIQVSPGDSAGLTTRSCCAF >Dexi4B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:4B:16078043:16078717:-1 gene:Dexi4B01G0014840 transcript:Dexi4B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFQCKGMMIKDVTITAPGDSPNTDGIHIGDSSDVTITGTTIGTGDDCISIGPGSTGINITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCTLKKTSNGVRIKSYEDAASTLTASKLHYENIAMDDVANPVIIDMKYCPNKICTKNGASKVTIKDVTFKNITGTSSTPEAVSLLCSEKLPCSGVTMDNVKVEYKGTNNKTMAVCQNAKGTATGCLKELACF >Dexi2B01G0023220.1:cds pep primary_assembly:Fonio_CM05836:2B:32759005:32759562:-1 gene:Dexi2B01G0023220 transcript:Dexi2B01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARPREEELVEAALAAAAAALLVSGVKRLVAPAAVLVAPWWWWPASLSVPSPALFLLLNVVIASIVVASVQPRRGAAAGASHVAVREAARPAGDGAKRVKRRRSKKRTEAAADGCCMALVATGKGRPIETDAAAATVVKEEEEAAAVNAEEVNKRAEEFISAFRHHLRVDSFSSGRNPAK >DexiUA01G0025340.1:cds pep primary_assembly:Fonio_CM05836:UA:53303725:53304190:1 gene:DexiUA01G0025340 transcript:DexiUA01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPSGKCAAQREKVLEKQLHSLIEQLTSKQSQAEILIRDVHANEKELERLNNLYSNLCISANETSAPRNRFSKGPFSGRDYYSDAKAGRRLYQPGLRTEGQKRLMILRSAIVMYVLLLHIVVFIKISV >Dexi5A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:5A:3393437:3396869:-1 gene:Dexi5A01G0004510 transcript:Dexi5A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEGPSASASSAENKLMQSLAARGWRFRDPIDEAIQALLLASPTPSPEAVESELVDMDLRTFGDKSLPDRATTAATAKRLSYIHGPIVLQIRLENKIPINNGILCLSAKTVSIIGGTVQSLYEEWQMNQKYSGLSRPSLRLSQSDDGAGPPPFEKLDIEAHPCRTTKVQAYPGFYYVVVTCVLSSFHKARKLAVTHDHTPVSSGGKPMNDGSKDVNKDITKSNVESKQITQDSRPKEVSETAPVQNQAAAQKLLQKMSQAVPEDRRGRGHRFKGKGKEEDSQVFTLDEWEKRKAIGSKSTAESYMQDTSRDEELARQLQEQLDLEDMHGGADGFHGGSESSDAERLRMSMFSFSGPDEAGGGRRDFGGRGRGRGRGRGRGRGRGRY >Dexi3A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:3A:49395:53819:1 gene:Dexi3A01G0000050 transcript:Dexi3A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMETGGAAAAAGDGEVGWYVLGQNQESVGPYAVAELREHFANGYLNESTMLWAEGRTEWMPLSSIPELNSAVTTKDQPEQAAPDTEDDFEKFQKEVTEAEAEVEALKGSAADGDVNQLDDERPATPPDGEEEFTDDDGTIYKWDRSLRAWVPQNDTSDKKDNYAVEEMTFAVEEEVFQAPDIPGPSALEEINTLAENINKEPGKAEKKGEKKRKSSEKPAEKKEANKPPESWFDLKVNTHVYVNGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKATGRNKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFIAKKNDKQKKRKTKKVEDKMLGWGGHDDKKLMIPTTIILRYMFTPAELRADEELLSELEEDVREECTKFGPMDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEESG >Dexi9A01G0036940.1:cds pep primary_assembly:Fonio_CM05836:9A:41266022:41269221:1 gene:Dexi9A01G0036940 transcript:Dexi9A01G0036940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKGSPRAAVRRRLGGAGASALGWALRVATSIVAWTLLLHLFTFLGIPRPPLPIARPSCLGSGRNSSTSAEAVVAAGEAAHLAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVAVARYLNLTMVIPELDKQSFWADPRYAYPWWKEKEIDSEKKRLEGLFRGFHKTIQLDRKKLVELIDLFEDQELSWEEFSVAVKELHMGRMSQPTRRRVIPGQPKEEDYFYANPHECLGPARKRMERLKHVEI >Dexi5B01G0035730.1:cds pep primary_assembly:Fonio_CM05836:5B:35633469:35637019:-1 gene:Dexi5B01G0035730 transcript:Dexi5B01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPAAATFLLHHLPLPGLRPKTLLRSRLRRLAASVNPSPPDETPAADPPVIPSISIKNTEPEEVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPAYRKKVEAEPGYTERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHREASERLDTEAAAAAGVTNVETLEDAPKDLALDRYKMFLKQYKEWVEANRDRLEQESYQYDQDYYPGRRKRGKDYREDMHELPFYYPGQICYGQVTTVHLYQGAFVDIGCVHEGWIPIKGNDWYWIRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVDTLWEWHNAEQMILDYEEQNPEKFKDTTYESTVEASSIDEKKRVEYTEGYFKETLLKKKVVNVSIKELDLDAARAERQMIKKLKKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSYEEREALIRDICCRKALGLPIEEPGRYDVDETEVFGKDYYDPEKPMYRYDYWGEPKNTEKTRLERDVERHNQQIVGDAKKWCEMSYDDYIRKKLQIEAAEARERQREASEPQNEEEEYDDGMDLDLKKMTDPRAPHNRFYLTK >Dexi8A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:8A:4467764:4472420:-1 gene:Dexi8A01G0005080 transcript:Dexi8A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKSGWLFNTPVDPVLFGIPDYFDVIRHPMDLGTVKKKLTSKQYRSTTEFAADVRLTFSNAMKYNPPGNHVHEIAKELNGIFDSEWESVERKLRGQNQVKIKFSVRSSEKTSSKEKARIEAQVKAAEAAAQQKLDEEIRMKRQKEREAARLALRMMKKTVDIDNSDFLKELENFSKTCQSNTPGKLIVEFVGGDLPPGLGSPLERLGLFMKQDFEDEVEQEMEDSVSPSMDVDMKKDSEEEVGHGMQGSVSPSTVMGMKEDFQDETRHEMEDSLSPPAVVDTKKDSEEEVEHEMVDSVSPLMDVDTEEGEISC >Dexi9A01G0030840.1:cds pep primary_assembly:Fonio_CM05836:9A:35737454:35738274:1 gene:Dexi9A01G0030840 transcript:Dexi9A01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASAVRVIGLWPSPYVIRVLIALKLKGVEYQFVEEVVGKKSELLLKSNPVHKKIPVLLHHGKPICESLVIVQYIDEVWSSGAPAILPADPYTRAVHRFWAQFIDDKLPPGIRTLRGMGGDGDKDQAVEQLSGALRLLEEAFVKLSEGKNYFGGDSVGYLDVALVSHVGWVKAAEKIAGVSVLDKAKFPNMVAWADRLCAHPAVVDAVPHADKFVEFSLKYGSFTKPTINSGAK >Dexi1A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:1A:26269952:26272072:1 gene:Dexi1A01G0019100 transcript:Dexi1A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLPAVRTPRSPSSASTLRERDLEQQSPRTPSGADEIDLVGRMPGLRHPSVLSAMTRAVADVASARDAIRLLGPRPDHEQVDASRALLLAAASAAAASGGDTPDAAEEVDEEKLEASREVVRQEEEHEAYGAMLRDAEEKLESVYRMAMHGRDIHEASGGGGRKGEEEEEGSGAVDEEVVRVLKEAEEGRTVERVDLADRQLRLLPDPIGRIRGLLALDLSRNQLKVVPDAIGGLEHLEEFSLASNNLVSLPDSIGLLSNLKLLNVSGNRLRVLPDSISKCRSLVELDASYNALVYLPTGIGHELVHLQTLRVHLNKLRSLPSSVCEMRSLRLLDAHFNELRGLPAAIGLLSALETLDLSSNFSDMRDLPLSFGDLAGLRELDLSNNQIRALPDCFGRLGRLERLRLDQNPLAVPPPEVVAEGVAAVKEYMARRWAEAVAEEERRQASAAAVADSPKASTPREWLTRSVSSLSTWVSDVTVKVVGQDTVAEEDEFLQQQF >Dexi9A01G0042550.1:cds pep primary_assembly:Fonio_CM05836:9A:46155703:46158612:1 gene:Dexi9A01G0042550 transcript:Dexi9A01G0042550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTDLVNLNLSDCTDSIIAEYIWIGGSGIDVRSKARTVKGPITSPSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGEPIPTNKRYNAAKVFSHPDVAAEVPWYGIEQEYTLLQKDGPYYCAAGADKAFGRDVVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGISAADEIWVARYILERITEMAGVVLSLDPKPIKGDWNGAGAHTNYSTKSMREPGGYEVIKKAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTILWKGN >Dexi5A01G0023670.1:cds pep primary_assembly:Fonio_CM05836:5A:27633008:27634123:1 gene:Dexi5A01G0023670 transcript:Dexi5A01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTTVKRGPWSPEEDELLRSYVQNYGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRVICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKALAMHHHQQEYYNHNNQHASGGRGRRAAVATPPRAAPQRQCASMHPSPASASSAVTTASAGGDAACSFGAIYSSPAAALQQAPATVLARYDGGGAATAPPLAPPQQQASSSSLAELFSSPAPPVTHAGGVAINSWAGGLLPFDDMFLPELLGVSEFAPGDCLLGGGGFAPLLQDRSSASSLQELSACYFPNAQAEMWAAAEHVKPPVAGLCHSLT >Dexi9A01G0037970.1:cds pep primary_assembly:Fonio_CM05836:9A:42196426:42197283:1 gene:Dexi9A01G0037970 transcript:Dexi9A01G0037970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAAPERGRRILVAVDEGDESVQALRWCLSTFAPAARGDTVILLYVRPPPPTYSVLDASGYVFADDVTAAIDRYSREVADAVVEKAQKLCTLYGKEEGESDHEMKVEVKVAVGDARTVICHMADKLGADLLVMGSHGYGFFKRALLGSVSDYCLRNASCPVLVVKS >Dexi3A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:3A:3515844:3517632:1 gene:Dexi3A01G0005440 transcript:Dexi3A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLLFSVLFLNVLPTSHLRWLLLYAPCNHGLASPPLLPPPCPPLPLPRQLREALRASPAHPPAPKNRARLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >Dexi7B01G0005690.1:cds pep primary_assembly:Fonio_CM05836:7B:13189000:13190326:-1 gene:Dexi7B01G0005690 transcript:Dexi7B01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAKVGAEEMEEREREGEEEEEVEVKFRLFDGSDIGPIRCNAAATTVAALKDRVVADWPKGL >Dexi8B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:8B:1669618:1671488:1 gene:Dexi8B01G0002420 transcript:Dexi8B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEADSYLSKMAMAPRGRPVQHIRSGGRRPRLGAGGEAAEEEEESGGGGGAGRCADEAFPLRCGSRPRESRWGQGPQGPRVWGSSEEERGGGENPGVKMIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >Dexi7B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:7B:22939428:22940804:1 gene:Dexi7B01G0016930 transcript:Dexi7B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGSTLQSLLCVAWVAAILPIAAAALPIPAAAGGRLLHQLLCAFSSRGKTVRASPSSSSSSKAVLRRLYETEHVFHYSPSARMHIMGYLTGLL >Dexi9B01G0048230.1:cds pep primary_assembly:Fonio_CM05836:9B:47227380:47228693:1 gene:Dexi9B01G0048230 transcript:Dexi9B01G0048230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLITFTVAAAVYALGGALLVATCVGFPAGGGNGGGALQPNFYGATCPQAEAIVRQEVIRGLHSDIGFAAGLVRMHFHDCFVRGCDASILLESTPGNTAERDSPVNNPSLRGFEVVDSAKARLEDACPGVVSCADILAFAARDSVALSGGPRYDVPGGRRDGTVSMASEVPDNIPAPTFTLDQLTKSFAAKGLTQEEMVTLSVHDAFTSLPGAHTIGRAHCTAFSDRLYNFSATAAADPTLDPVFLAQLRHACPASTFGDVDPGLVVPMEPHTPYSLDTLYYWGVLRNRGIFTSDQALLASALTAAQVRQSAYGSYPWKLKFAAAMIKMGQIQVLTGGSGQIRAKCSVVSN >Dexi3B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:3B:11936349:11941184:1 gene:Dexi3B01G0016380 transcript:Dexi3B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELANQTRYDCPCPTTAELKLDQPVASEANHELTTPVVLVQRGAPSRLAGGTGLAQSSSSPGGWRSTYTASFVIFIYLQDQPAPSPYPDWSTMQAYYGPGVMPPTYFAPAIAPGHPPPYMWGPQPMMPHPFGTPYAAMYPHGAAYAHPLVPMVSNQLGMETTKPANSKEKSSNKKLKEIDRTVVSAGSGNSKRTMSSSEDYSAEGSSDVNDQKVSKTSRKRSSVDGPGAETSAAAMMQTAIAPNHALGNAAILPHHFPAPVIKPSATNVASRAMGTAVSPSPGVMVPPHTGVSTDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEIGRLTESSEKLRLENSTLMVKLKDTAAPTPAEPSLNRAATSSSSPRPAAENFLSMIDSTNASGASRHTEHGEPKLRQLLDSNPSTDVAAVS >Dexi1A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:1A:7061953:7063097:-1 gene:Dexi1A01G0008960 transcript:Dexi1A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPASPPRATTKRPRHGHDAAPSLPDEVIVDHILTRVPAADAVRFRAVCRNWRAALTSDHFTRSHQTVRATAAKPPEIVFFAPGAAAGSTAFYSSRLYNSTTPQNDGSSPASATELVTVSDLRATDLVMSGGCHGLTLLFEPGESAYHVINLSTGEHVSLPPCAWANRAIPYGPYVLSSAGLGFHAAPNEHKVVRLFEDGWTKRPRCEVHGLSSGEGWRPIAGEEGLTGRPPVFVDGCFYWHMNTSFNFPGMEEEIFRTPEPILSLSVDTERFEWVAPPTRYGFLLAELDAHLCAVVNIRGFVERRYELWVRTPASWSLRCRISFESLLPRPTRDAMGRAIRMLLIGHHFTATQQRAHRMFTAARLLYSNMNMHQ >Dexi2A01G0030600.1:cds pep primary_assembly:Fonio_CM05836:2A:41497370:41498287:1 gene:Dexi2A01G0030600 transcript:Dexi2A01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASTTATFLPILARATASLSSSAAAAKVVVWFLPAQATTGRVRRRASLSTPRAAVSSGTEKSQPPASDNKDHQRVVKVSSIDEFDGALRAAKNRLVVVEFAARECESSSQIYPTMVQLSRTCGDVDFLLVMGDESEATRELCRREGITQMPHFNFYKGAEKVHEEEAIGPERLAGDVLYYGDSHSAVVQLHSREDVEALIDEHRGGEGKLVVLDVGLKHCGPCVKVYPTVIKLSRSMAETTVFARMNGDENDSCMQFLRDMKIVEVPTFVFIRDGKIVGRYVGSGKGELVGEVLGYNGVRVTY >Dexi1A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:1A:27240382:27243026:1 gene:Dexi1A01G0020420 transcript:Dexi1A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASCNTITVMEAANSKQQQPSKENGHAAAVEEGQEAVAVADAAAPPAAADPRLQGISDAIRVVPHFPKQGIMFNDITTLLLRPGVFKDAVDLFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPRKLPGEVISEKYVLEYGTDCLQMHVGAIEPAERAIIVDDLVATGGTLCAAIRLLGTLLIY >Dexi9B01G0046490.1:cds pep primary_assembly:Fonio_CM05836:9B:45750472:45752405:1 gene:Dexi9B01G0046490 transcript:Dexi9B01G0046490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSISTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVDVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPEANGEKEKEAEVEEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVISY >Dexi5A01G0029330.1:cds pep primary_assembly:Fonio_CM05836:5A:32441395:32442751:1 gene:Dexi5A01G0029330 transcript:Dexi5A01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAACSRARRLFSVFFSTSPRAQVPKLAPEPALAQDAAAGEAEAKSVAAGRNRRKPLGKILRVISEERDPDKLVSQFIAASTASPRFRDDRRVYEVAVSRLTSFGRRDAVAALLDSQKPFEASSEDFATRLLRLYGRASLASHATATFLDLPQKHKSVTAFNALLAAYVDSGEFDKLVAAFQKPGLSTALDVIPLMEKCGLTPDGISFNSLLNGFYNNGRFDDAEKVWEMMKVRNVEPNTKSYNAKLRGLVAKGKIEDAAAVIETMQKDGPKPDSVSYNELIRGYCKLGRLDEAKKVYDDLVKNECAPYRGTFETLVPHLVEAGELDLALNFCHEIFSRKCRVKCSLLQGVVTALVAASRVDDATRIVKLGWKNNYPPRGLKMPELIQKEKDVEVESDCVNSVPYEEGSEEELKSRAA >Dexi6A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:6A:1797621:1801253:1 gene:Dexi6A01G0001840 transcript:Dexi6A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKANGDKVCFRKLDSESIVVKDEREEDVIFSFDKVFYEDAQQSDVYNFLAVPIVSDAINGINGTIITYGQTGAGKTYSMEGPSILHCNEQKTGLVHRVVDELFGCLRSSASTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYIAGATEISVMNSSDALENLSQGIANRAVGETQMNLASSRSHCLYIFSVQHGSTSDERVKVGKIVLVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGKQNHVPFRDSKLTRILQDALGGNSRAALLCCCSPSPSNAPESLSTLRFGTRYLTKASPKSIPDVVDNVKKPILGTHDQDDLRDRILSKLRLSLKEEDVDLLEELFLQEGIIFDPNSITDVDSACQDTATEEISLLMQAIEELKGTVEELTDENEKLRRELGVAREIAAQAQLASAAAAGAEARSRTLLDFVPAPLLRPFGFVPD >Dexi3A01G0033880.1:cds pep primary_assembly:Fonio_CM05836:3A:38913137:38914738:-1 gene:Dexi3A01G0033880 transcript:Dexi3A01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGDEMMPVEAAAAAAEDWSACAFSLTCDEDCADLGDGSVVDEGESFSPYNAGDEEEEEYLEHLVFKETSFCSSSSDSAAADCDGDEDAVGEYHSALSEEWFRRARLDAVKWILETRGCFGFSHRTAYLAIAYFDSFLLRRRVDREAMPWAARLLSVACVSVAAKMEECQVPALSELDAGGDYDFCPASICRMELLVLSTLGWRMDAVTPLDFLPCFSSRLHPHGGAGAGGGRVALKAIGFIFATAEAGSVLDHRPSTVAAAAILAATYGPLLTKEALDSKMSYLSPSCLIIKEHVHACYSTMVRDMNRRGNKRSLPCSGCNEVATSIDSVLVDDVTDTFATAVAARNKRIRLELPGIR >Dexi4B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:4B:2968319:2968837:-1 gene:Dexi4B01G0004120 transcript:Dexi4B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNPVKIPTKAMTLWRPRDPVASSAGSSAEPAAEASPGRRSQATETESGREESGQRLPEAVGERERVRAAARKPARPKRRQAAEKPGEESSRRSAPARRDEEVAEEAKKTFGELGMVGGGLPCGRGAGTDAETAAWVGSELGNVGSRWAPRFVW >Dexi5A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:5A:112326:112775:1 gene:Dexi5A01G0000120 transcript:Dexi5A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGGGGGGAQLLIFSLGFVLLCFTSSAGVVAQKTWCVAKPSASNDVLSLNLNYACSQVSCAVIQKGGPCYYPDNLVSRAAVAMNLYYAANGRHPWNCYFNNSALVVQSDPSYGSCTYY >Dexi7B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:7B:18425722:18426974:-1 gene:Dexi7B01G0011360 transcript:Dexi7B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVSKDIITLRGSAAIVSEFFGYAANSILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLTSQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFNIVTDAEVVEKGAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKKDEWDDEE >Dexi4B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:4B:5376000:5376314:1 gene:Dexi4B01G0007510 transcript:Dexi4B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPEITMFVLCVAFTGLLVLEPVLDILHELSKHHPVTAAAATAATLIVVPTTYLMGVTLVYLQVTMVRPAAFRWFASLACAMASCALLLLVAMPLVHCLFSM >Dexi3B01G0021280.1:cds pep primary_assembly:Fonio_CM05836:3B:16172089:16173600:-1 gene:Dexi3B01G0021280 transcript:Dexi3B01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLPPAAALLLTRRLLGATARLSAPGCLLRALLHDEQPTARTNPHMTVRHLQWCGSDNDDGEEAVEAFKRDCSTTTSNSASDASASAAYIEKLCRSGNLVDAIRVLRHLHDEQTHVNLDTFNMLLQQAAEANSFGLFAKVFRYLLLSKFAPDSTSYMNVAKALQKLDGCELILQFVREILEITQDRDPTVTNCIIFATAKYGDIDKSLIIFEELKKDQTRLDVVTFNTILDMLGKAGRVDQMLREVKLMEELGHYPDIVTYNTIINCSRRLGRLDLCKRFAGEMLERGIKPDLRTYTSLIDSFGRAGHITEAMEMLDKMKMSHKPSVYVYRALISDVKKAGQFELAQKLSEEMNSSASDLLGPEDFKQKNKGRRFRDKR >Dexi5A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:5A:586397:592274:-1 gene:Dexi5A01G0000840 transcript:Dexi5A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETVIKNCGDILHMHVAERDILHEMVKIVKKKSDPRVKEKVLVLIDTWQEAFGGPRARYPQYYAAYHELVRAGAEFPKRSEKPAPLFNGQSQAARNMRSPDQQDEAESSTANDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTSTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTGDSGNQDANKDKEKGLIDIEDPTSEDSKNEPNQSNAQPADPFGLNSSSTIPGSQPYNTPTQHALPQSQQPQQAAALYPNGVTVNPGTSYDQASQFNNMSSGWNGQVASPLAPPPQQTQNYDDQSGSLPPPPWEAQSAANNELPNGQLGGGMQPLPTLPAVQQIGGMQPPQPQINHMGVPQTQPMYNNQPGVMLPQAMQPGHAAAAQMQPGFVNQQFGSLPPTSMPGMQPPQMYGGLQPAMMYPQQMPGAQYGAMPQQQPMYGGRLAGYMQHPAVAAAHYYNQGTTAMHGFPGANDLSQRMYGLSVQDNSYMGMSSSYQTAPSPAPSTGQPMRPTKPEDKLFGDLLSIAKTKRAS >Dexi1A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:1A:10670236:10671027:1 gene:Dexi1A01G0011580 transcript:Dexi1A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKISAMNPCMQYCMTQQPLAMNPCMQYCMKQQSFAMGSSAVNPCMQYCMMQQPFTMGSFASQTSMMLQQPWALPLQQYWTQMVMPFQQCHCGAISQVTQQPIMFNPMSMAIPPMFSQQPLVGVSF >Dexi9B01G0027690.1:cds pep primary_assembly:Fonio_CM05836:9B:30120520:30123111:-1 gene:Dexi9B01G0027690 transcript:Dexi9B01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRERRLPPPAFRMENPFSLKVLQVFTGFGVGCGVGIGVGRPIYLGMIPGLQQVMSATRGATDAFSGVTRHLRTSGLKNIEAGIGCGVGIGHGFGIGIALKPRVLHGIQSSVGEIMYKLTSKLKDTPEMPSTSNPMVRSLSSSQQTNAGMPMDLEAKTAENNLKHTTSYEMSRVQQSTQPEALSGSRTEKVIANFLQNPLFQNDTKMDFRDAPRNLQGMDNVLQLVLKHQRVIEELREENENLRQILVEELKVSPTKLQIDRKNGVKVYYPCSDCFECRRRSRKTAR >Dexi2B01G0026930.1:cds pep primary_assembly:Fonio_CM05836:2B:35973528:35973923:-1 gene:Dexi2B01G0026930 transcript:Dexi2B01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKSTGFFIASDILKKFCESKEGHETVRALRHHIKRLRNLVQDLEKKVEFNKRNGRAAAVKENNLRRLQEAATLYHLLTCDA >Dexi8A01G0011420.1:cds pep primary_assembly:Fonio_CM05836:8A:20102463:20104687:-1 gene:Dexi8A01G0011420 transcript:Dexi8A01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHGIAIVGGGISGLATSLALHRKGIRSLVLEKSETLRAEGVAITIHANGWRALDSLGVGAELRELATLITTDELRCLTRKDLIETLANCLPPGAIRFGCHIVAIDAADASSHGAVLTTVDGRTIKAKVLIGCDGANSVVAKFLGLSMPVQLPCLDILGLTRYPQGHPFGSEYLNILGKGYAFGQIPITENIVHFYVNMPNPSSTGATNKDASRGKDHVLRKLEECQCPAQIVEAIRSIDQESMKILTKIWYMPPWRLVLGRFQRRTVTVAGDAMHVMGPFNGQGGSVALEDAVVLARSLSMAVPAGGGVDAAAMVMTDHGLQKEVISAAIGRYVRERRPRVAKLSLECFVIGTLLGTKSLVKRLICVGVLAVLGNMSGFKANYDCGPL >Dexi7A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:7A:21549115:21554536:-1 gene:Dexi7A01G0010870 transcript:Dexi7A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRALGQAPFPSSVFATARLCNRVARLVLLAPARPRHERPGSSFPLRSLHMAGEEVYVDWRGNAVDERRHGGIRATLFLSVLFMLRSCPSSSNFSVVAYFHGTLHLDIVTSSTVIGYLVGAVLMSAALMNFISDAYIKRTTAIFVFGPCVVLALVISLRKRQAIVDVIELHETSRADRVTKPGATQVDETMGITRMLPIFISCLLIYLPFTLLMTLTIQVGSTMDRRVGTIKIPSASLIAIPTAFHMLMQPCYSRILTPLLRTTTGHEFSITPLKRIGAGSVCGTAAACVATLVEVKRLTVAEQHGLALTGTGVPMSIFWLVIQFFLLSIMDVASFSGLIEFIKSEAPPAMKPIAPAMQSFLAGVAACSCFAFTQLVNRATRGSDGSRGWLDGTNFNRTRLDHFFLVLAAFELVALINYTFWARRYTRKLQRISTFVLEDGN >Dexi4B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:4B:19031003:19034325:1 gene:Dexi4B01G0016870 transcript:Dexi4B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSMLRPTATAAATASSACFVLILLCSAATAAASTKASSSYIVYLGGHQRRDDGVSPEEASRSAADSHHDLLAAVLGDREKARDAIFYSYTKHINGFAATLDPADAAEIAKYPGVVSVFPNRGRKLQTTRSWQFMGLEKGGDVPPWSAWETARYGEDTIIGNLDSGVWPESKSFDEGKMGPIPDDWKGICQNDHDPNFHCNSKLIGARYFNKGYAAALGSGVPLDDGLKTPRDENGHGTHTLSTAGGAAVRGAAAFGYGGGTARGGSPRARVAAYRVCFRPINGSECFDADVLAGFEAAIADGVHVISASVGGDATSYLDDAVAIGSLHAVKAGVTVVCSASNSGPDPGTVSNVAPWILTVAASSMDREFPAFAVFNRTRIQGRSLSERWLHGKGFYPIISGAEAMAPGSTKKDAIPSGFVIKGKTVIGTRPAPVMADFSSQGPNTVNPEILKPDITAPGVNVIAAWTGAAAPTGQPFDRRRVAFNVLSGTSMSCPHVSGVAGLIKTLHPEWSPAAIKSAIMTSATDLHAERNPIMNTSHVAATPFSYGAGHVFPNRALDPGLVYDMTIVDYLDFLCALGYNATAMEVFNKGSFVCPSAAMSLHDLNYPSITAHGLHAGTTTMVRRRVKNVGLPGTYTAAVVKEPEGVHVAVTPAMLVFKEAGEEKEFDVSFTVKDTALVTGYTFGALVWSDGSHQVRSPLVVKIQGDE >Dexi1A01G0024660.1:cds pep primary_assembly:Fonio_CM05836:1A:31126612:31128044:-1 gene:Dexi1A01G0024660 transcript:Dexi1A01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCQQGTMESLCQGLLDLDDDKFGAMCSAFGCLQEWPDLTAMCGASLGAPGAVAPVAGDGNDSSCSGSGGGGFRKRRPDAYLDAKDDGNDTSKRPKGKQQQQTPCGLGEIAAPVKGKQEKPKGGTKKKAETASTAAGEKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCSKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVSFDIVEDLFGRQLKQACSPGALSAMALPAGQLEPSCLQMSPMQQVHPSAAAGSNGFGLDMVVSNMYQPAGASCPVSAATPVTAAAGPSIEPCLNVNGAAAAAAWDIGSQNFFSGFDAQFQSAESE >Dexi4A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:4A:2796509:2797173:-1 gene:Dexi4A01G0003900 transcript:Dexi4A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAQPPDTEMTEAGAGGGGQPPQQPAGGGGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETAEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENLV >Dexi3B01G0017750.1:cds pep primary_assembly:Fonio_CM05836:3B:13106870:13107743:-1 gene:Dexi3B01G0017750 transcript:Dexi3B01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPSSVYLFVRRRYSGFAPEAYCPLYKVSVPDSSLPGASATTREESHRQIAAVEIDLEGMSFVSLPSSEWIIGVGGVPGATIIIDDDTEPPTKVIRGPNLLAAKRYPILAAVGDKVYALCISPSYKTEPDFVPWFEVLDLSKGTVTEAADGSLRLDGCSWEELAWPSCFPRRLSPTDYLHPPIKNVRAYVVVPPYVLLSINPEMPSSCTYAFDTNSGEWHTINNSSLPFLGLAVPHGPRGCCVFLGSSQKDGRVYAYHIRVSTSSSAKAGVARAVLLGYPSQSAR >Dexi7A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:7A:27971410:27972435:-1 gene:Dexi7A01G0018650 transcript:Dexi7A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTTFLMVPIMLLVWKSHWVVVVTFIVLSLMVEAPYFVACILKIDQGGWVPLVVAMAFFLIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFLVRRIGPKNFHMFRCVARYGYKDLHKRDEDFEKMLFDCVLFFVRLESMMEGYSDSDEFSVPEQRTTGGNAFMAERMTCNTMCSNGELSFSSQDSIVPAQSPPVRGARWSTSAAGQGSTVGDELEFLNRCKDAGVVHILGNTIVRARRDAGVVKKLAVDYMYAFMRRMCRENSVIFNVPHESLLNVGQIYYI >Dexi6A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:6A:25127552:25129149:-1 gene:Dexi6A01G0017290 transcript:Dexi6A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTGGEVKAIHSSESTKTLLKSEALYEYMLNLMVYPRENEHLRELRLLTEKHTLGFMGSPPEEEQMLSLLLKVMGAKNTIEVGVFTGCSVLTTALAIPDDGKIVAIDVSREFFDLGLPVIQKAGVAHKVDFREGPALPILSNLLAEEGNEGKFDFAFVDADKGNYGNYHEQLLRLVRVGGVLAYDNTLWGGSVAMPDDAPLTEHDREVRAFIREFNAKIAADTRVEAVQLPLADGITLCRRVYCYEYFDLGLPFFHKAGVAHKVDFRHGTALEHLDALLAADEDRHVDAFVDADKTNYVRYHERLLRLVRVGGAIVYDNTLWDGTVALPPEDAPGLSEYDRMISAAIRDLNARLAADERVEVCQLTVGDGGTICRRVV >Dexi8A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:8A:25688214:25688540:1 gene:Dexi8A01G0014960 transcript:Dexi8A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTKNSDAADKHQARARRNRWMGSLTVVSLRLFRELGQAHDLLPLLHLLPRRSPSGSHKSPNFPVPLFTFLKRKGAVALPFSTFGTGRISSVLADDVPILIYVVPSD >Dexi2B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:2B:14523481:14523981:-1 gene:Dexi2B01G0012200 transcript:Dexi2B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDEPETVEMTLRAVGPSRPTTLRLPPLLSVAELRRRVSRDRRLAATEEVRLRLVLRGRTLPHQDDAHWPWHLSHLLSISVTMTMRRRKRKNW >Dexi3A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:3A:6311115:6312941:1 gene:Dexi3A01G0009090 transcript:Dexi3A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLFMGDGADAALFSSLWSFPDELQQPPQESMAELKQNLVATTLELEAAKDELKKKEQSIAKLADLVRQVAKERDDARDQLQQLRLLAAAAPPQALVTSSVTDSDCSLVSSPVDPFFDPVTSADRRCKLSPATPPPPPATKHQCQPGASVVGSAADAVLDMLASKRPLPQKGRLLASVMEAGPLLQSLLVAGQLPRWRNPPTVQAPDTLPLGGRAGFGGGAPMAAAGANAVTAAATLGYAASNACMKRPMTAMPMLQLTPMTAANCSAGFIAKRQRLH >Dexi1A01G0032180.1:cds pep primary_assembly:Fonio_CM05836:1A:36857624:36858175:-1 gene:Dexi1A01G0032180 transcript:Dexi1A01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAAAYYYHGLILDEGNTEKSHRMAVAALQSAEELLKESKDVAEAFHAAPPVSRQWQSIHASLSYFCVSWLSCGVHSNEKRWLRRSPPACGSMKYLHDKIQKDSSCKARINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVSVDAANG >Dexi2A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:2A:11318888:11319551:1 gene:Dexi2A01G0010160 transcript:Dexi2A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPSKEISSAEAVFVGALSSGVNAPTWFVLKITFLLLAFCFTAMLALAFSSKNFVIVGHVLLLVTIGTVLFVLLNRFLAEVGLVPVEQQMQEMGIHKTEATDKDKRK >Dexi8B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:8B:1424610:1426160:1 gene:Dexi8B01G0002020 transcript:Dexi8B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAMLLLLLLPILLYIFYHLTRALIKKKPTTHGLKSHPLLGHLPSFLKNRHRFLDWSTELIIASPDHRMGFWIPMMRTGIVTANPADVEHILRANFANYPKGEHAISMLRDFLGGGLFNSDGEQWLWQRKNASLEFTKRSLRKFVVDVVQAEVAGRLLPLLRRNAAAAGDLLDLQDVLERFAFDTICMVAFGHDPSCLADGGVLAEARSDFMHKFGEAQDLIVGRFLEPIEVSWKIKKWLNVGTERHPKKAIADVHAFAMDIVRARRSQSASSLDDRVRDDVLSRFVASDEHSDEVTYSAYAMGRLEAIWGEDCAEYRPERWLGDDGAFRPESPFRYTVFHAGLRMCLGKEMAYVQMKSIVASVLEEFVVDVDKDVAGGSVPEHVLSVTLRMKGGLPVQVRRKDGAAK >Dexi6B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:6B:9488545:9491149:-1 gene:Dexi6B01G0007890 transcript:Dexi6B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLYTHRHSRGLLILAVVASLATVITSQSHDIDNPFTAVDCQSSPSPSSSSSTTTNSTFWSNVMALLDELPSAAAPTGFASTSRGTGANRAFVRGICRGDVTPSDCATYLQSAARGIVSRCNSSSSSSSRASIWYDKCSVSYAGTNASTAHEQQYRAILYNVMNVSNKDAFENTYYALMSRLAQRVVNGSGSATSSSSLPVAPRFATGEAVYDTGAPNGTMYGMLQCMRDRTAAECNQCLQDSIPQLPGCCYGHQGGVVLGYDCKLRVEIYTYYDLALDVPPPEAPPPSASAHPEAPAPSSFAGESRVTMTNKAGKKGTDVALVVALTAATVVVAAVVVLGVFLCRKYAGNRKKTQTASVHA >Dexi6A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:6A:26438788:26439794:-1 gene:Dexi6A01G0018740 transcript:Dexi6A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSAPAIFEFSVNYEQAKHLAADKAVYSDAISTGGGHIKAILEPSAKAIFEVLLIDKDGAPGNGILVPPSDIVQHLGTLLDTADGTDLSFTIDSETFHAHRAILAARSPAFRAELLGSMAEATMTSITLHDIAPATFRAMLRFKDGELGDYSPLEEMFEHLLVAADRYALDRLKLLCAQKLWENVSVDTVGDVLACAEVYNCPELKNKCNEFVVADNNNFRHVVLTQNFMQLGLRFPSLIAEVREKAIRICRGAS >Dexi2A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:2A:13090524:13091628:1 gene:Dexi2A01G0011270 transcript:Dexi2A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLESGGGARDYVDPAPAPLVDVDELGKWSLYRAVIAEFVATLLFLYITVLTVIGYKHQTDTNISGTDACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSGFYGRYGGGANEVSDGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >Dexi8A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:8A:5481794:5483978:-1 gene:Dexi8A01G0005710 transcript:Dexi8A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLLSQINRDRHNWRIKVRVARMWQVSGTSKGKNFASMELVLVDEEVCPMTCLFRIINVAGLILCALLIILQGQGIMASIGQKDLNKFLKFIVEGHCYYIRNFHVSRQERKFKAIPSTYTIFFTSWTIMENVPSELSSSIPRYIFNFVDFDDLDHRARHRDGLVDIIGQLTVVHPVVRSSGLNGPSVRREVELRDMSDRNLSVTLWGECAMSFEDEVLIETIGNDEPVVIIFAGMQARLYLGVPTCRSSGTKWYINIDSPEVNAFHASLQGKGSEVLPSGDADVEAGAVDEENANRKTICDLLSLNPHDSNDIRFTCHASIKEIDVTNGWWYKGCSICKKGLKPTLQGFECTNCNEIEPVVLPSYKLNVVIEDTTGRAKIFLFGGVAEQVVRRTAAELVEESSSNQILLPGALRALVGRSYVFQVVISDQTFRTGQLCFQARRVFMPPKLQGDSATVTIKDNPKEKPAATGPSSTNPDKESSDGSPHIAESLVDPIEENTPPRDTQMSTPAKNSSTKRKEVSTGSQEEH >DexiUA01G0014990.1:cds pep primary_assembly:Fonio_CM05836:UA:31348797:31349784:1 gene:DexiUA01G0014990 transcript:DexiUA01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAHPKSSGESPGPPPSPLGAGGVDHISGLPDAILGEIISLLPVKEAASTQALASRWRHLWRSAPLSIDGDDLPDEGEISRAGLISRILVTRRGPAARRFSVSALQLLLCSSAVGSWISSPALDKLQELEFQIGEMMRLHSPDLPLPDSTFRFSATLRLLTVSKCHIPQGNVEALHFPQLRELALESKLMAP >Dexi4A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:4A:7321145:7329814:-1 gene:Dexi4A01G0009320 transcript:Dexi4A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAAGDGTSSPSVPPPPPTKKGGGEHKHASGLSGWLSSVTGRPHAPPPPPTLPSPAPAAAATATAAEVEAEESALATALASAVEERRVAREEESRKEAEDERKREVEMEKKEKQKAELEDYHMQLALEMSVRENPEAMQIEVAKQISLGSCPLQSSPAEVIAFRYWSFNALSYDDKILDGFYDICATGDEATLSTIPSLMELQALPFSHGAKTEAVLVNRALDSELVALEQKAFIMALEVRLKNAESVGWTLVQTLATLVSNYMGGPVVDPESMLLKYRDMSSALRANIRSAVIPLGQLKVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSEDGALDIVKFSDGSEASSSIHGSFENESLEKGFTPSNAGHSGPYGATNFQTDNDVSGSGVSSSFEELSISTYASENVPITHESNADHTVTSKNKDKSITSNNSSSSSPPSSETSNTPAVRRKKVKDVSEYMISAAKENPQIAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSVFQTKDEMIRTMNELESTSHECYGGHGPSLPHHPGHDLKIVPYRMPLDLKPVQGLGVHHPFDSRDNANPSMPLSTGTESARSEIALDDVAEFEIQWEDLTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRRSGWHQKYYEMNHQMRNVTSSAMG >Dexi4B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:4B:321077:323958:1 gene:Dexi4B01G0000520 transcript:Dexi4B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKSRSSDRHHDEPAAEEGDVKKPPSSRARAGAEALEAVALPVSMVMVQLFTLVMLLLSKLALNTGMRPFVLLVYRNLVATAAIAPLAFIFEWEMWKKLKLDVLGWISLNATFGVVLATGLYYYGLRATNPAYSVIFLNLIPVVTFVIAVVVRAERVALGKWPGRMKLLGALTCVGGTMIVSLLKGRLLHLWPTHLLNYSHGDTPAATGGHHHDMVAGTLFLCGSCLSYALWFIIQARLGKIFPSRYWATMLTCLSGTIQSFVVGIFLSHHIADWRLKCDLQLLTIVYSGLFNTGITFVLISWAVTRRGPIYPSMFNSLSLIIATVMDSVLLGTNIYAASIVGTLLVVVGLYAYLWGKGKELQAMAVAKKLEQAEEQAKRDVDEMT >DexiUA01G0003910.1:cds pep primary_assembly:Fonio_CM05836:UA:7957918:7958204:1 gene:DexiUA01G0003910 transcript:DexiUA01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKPRSTRGGSLRAVRSNLCVRLADVVSRPRHGFVGADLAALCTEAALQCIREKVDAIDLELEDDTTDAEILDSMTITIHDHATS >Dexi1A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:1A:21201747:21203515:1 gene:Dexi1A01G0014530 transcript:Dexi1A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLVAVSSFGLILVSIYAFQLITTARRRLPPGPIPLPLVGNLLAIGRGIPHRSLARLASRYGPLMSLRLGVVPAVVVSSATAAREILQRHNADLADRPLIDVWLANGHRADSIISLPPHAKWRAMRRLCATELFSTGRLDSLRPLRQQKVEEMLRHVASLGERPVAVREPVFTAAMNILTRTMFSVDLDDPASSPAGGGRGLHEAVKEATILAATPNVSDFFPAIAAADLQGLRRRMAPLVTDARRVLDELFARRVLDREAGESPKNDMLDAVLDKEHEWQHEGSVINRTAIKGLFSDIFVAGSDTSSTTIEWAMAALLNTPRVMEKVKGELKSVLGHKTQVEESDIAQLPYLQAVVKEVLRLYPSVATSFYRAEATVQVQGYTIPQGTTIILNIWAVHRNPDVWNDPEKFMPERFMHGDTDFSSKDCRLIPFGGGRRICIGFPLAYRTVHLILASLLHRFDWILPEETSKNGVDMTEKYGLVISMANPLKAIAKKRDL >DexiUA01G0015900.1:cds pep primary_assembly:Fonio_CM05836:UA:34035121:34035585:-1 gene:DexiUA01G0015900 transcript:DexiUA01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTPYHPPPLTLPSITLLSLLLLVASPRGAAAAPNTEALSVLCNGASYGAGDPFAASLAYVLSELVSATPTARRDGGGGGGRDFYDISPYPTAFAYGHASCRPAVAAAADCAACLRSAVSQMGGACGHSVGARAVLVDCSVRYEQYAFVD >Dexi2A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:2A:29062694:29076145:1 gene:Dexi2A01G0017250 transcript:Dexi2A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGEGGGAGGGATVLHQKLCVSIDMESQLIYGYTEMKVLLADNDTFALHADNMTIRSILVDGESVEFDYSPDWKNEDNQQNWSSVSCLKTAADAACSTYTSALNREAVPHLIVSYERSVKSITEQQLEENSEKHEESSERPEEHGGKPIQTSDDKIVNVCNGSVVEEQKENGSEKGKENGIEAENEKVKNIKLVHIDYILEKAETGVHFVGNVLHSSSQLRRAHCWFPCIDSTTQRCPFDLEFTVSTDLIAVSNGDLLYQVLSKEDPSKKTYVYKLNTPVSAQWISLVVGPLEVLPDSNDISVSHICLSPALSKLQNTITFFHDAYSCYEDYLAAQFPLGLYKQIFLPPEMTVLPTSLGASTCIFSSDILHDEKVIDQANCTVCESDVSGATALSSPAASSDLYGTQTIGSYGKVRSLKAVAVLQMLEKQMGPDSFRKILQVIVAPNRASRTLSTKEKIESYTDWNLLFFYFPLIQFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKKRNMIELAVSRGCTAKATPDSDNHTNGDTRESDAGWPGMMSVRVHETDGVYDHPVLPMAGEALQVVEIQCHSRLAAKRVWKSKKNTKIDGSDDNIDVSTQENRTSVDSPLQWIRVDPEMEYLAEIHFHQPVQMWINQLEKDRDVISQSQAISVLEKLPQLSSAVINALNNFLNDTKAFWRVRVEAAYALAVTASEATDLAGLLHLVKFYKSRRFDSDIGMPRPNDFHDIPEYFVLEYNDNNGNIYSDVYWLSAMVQAIGELELGQQGMGFLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIARRMSSSICLDRICELIAPFRNMDKPWKVRIEASRVLIDLELHHKGLDAALLLFLKYVDEEKSLRGATKLAVHVLRLCQASITHVNDQISLTTLIGLLHLLAGAKAYNNVFLRHHVFCILQVAAGRSPTLFGVPKVVTPPLVVQDICSDQHTKADSSIPQPSRPQEPSTSTPSVREVLPTSGPAKDADNISNCSERRNVVKIRVRLTASSSKASDTDHRGHSHGGRNENEVGPCSSMSVDAPMVGAGTEPLNVSNHNIEEQNSCHDRESRMSATVSNAKLMDMHEVSKELQCTADSRLDVVPMDQFSPAINPMGLVDKPGIQLEVVSTSYNGNQAPESMNEVEIKERKKKDKKDKKRKRDEKRDKKDDPEYLEKKRLKKEKKRMEKEFARKQLEGGATPSEQQKTVKHSGSQEILPPRPPAAAVRAEPAPSQSAEPVPVQSSEPQVSSKETTVDTARTVAKPRIKIRVKPLLRKPEGS >Dexi3B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:3B:6164107:6164478:-1 gene:Dexi3B01G0008940 transcript:Dexi3B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGREKRAYPDGSQDPSGLCPDVPIRPPQEMGAGAPPCPPALLPPCPALPRGPVAEDQATRRLLGAPVGEDQATRKGRCAGRRGAAPATPPTRVACAYACESEEGSDARAVEPNRSIRWTER >Dexi1A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:1A:9835039:9835683:-1 gene:Dexi1A01G0011060 transcript:Dexi1A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYTVHVQVFKAEAVARGCLGGAGAGVACNGRSSPRRQPQLQRTHRQNIQELPKQPSCISKKPYHQVPRVQLVNSKGGSRVGGGSATSRPLQPGARGRTSQAGRDRRSAEWQAAAGMRARPTTRPRPMERERERKTAAAASRASGAEAPGICGRGRAERGRRWARERILKVLDKDSNPWDPVGVGNREYWPARGDKDAAVVVRPLELGGTWAR >Dexi3B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:3B:4154575:4156458:1 gene:Dexi3B01G0006030 transcript:Dexi3B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGIADASTTATNLYGAICVFTFFGAFISDSYIKRFYTILIFAPIEILGYMLLACQAHFPSLHPPPCDVAGECTAVSGRNLSLLTLGLYVIPLGEGAVRVCAAAFGGDQFDDGDPRELRGKMSFFNWYTFCISLGGFVGLVLVVWVQNNVGWDLGFLLSALVALLAAVVLLAGLPFYRHQNPTGSPLTRILQAAVDDGDRRRWSLCTVTQVEEAKIILRMLPIFLSSVLGYLPIPLLLTFTVQQGGTMDTRLGAISIPPASLFVIPIVFQMLTLVVYDRAAVPWLRRATGLAGGVTHLQRIGAGFASSVVAVAVAAAVETHRRRRGGEMMSVFWLTPQFFMLGVMDVTSFVGLLEFFSSEASAGMKSIGGAVFFCIVGVASWMGSLLIRVVNRATAGGTGGGGWLDGADLDAGRLDLFYWLLAVFGLVSLVLYLVCASKYTYRHDPRMQSRMEDHRVSPASSMMKQAAV >Dexi2B01G0025850.1:cds pep primary_assembly:Fonio_CM05836:2B:35022163:35027128:-1 gene:Dexi2B01G0025850 transcript:Dexi2B01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAMPHETAQWRDPSRPTPSRGFFNILIPATQPAASFSNSSSSSPDAGSKHCSSAPASEPTPRRRRQILERWAAAAAAVTASAAPAPAEQRRRARDAELSALASATRPVAARAAVFREPSPAPSDASSSVPSELPPAGPRASSLIQRWREIEAVGPATPRPGSCAGDPPSDSDGSSPRGRVGCIVKKLSGASSLPEEELDDVAKSEVSFSQSAPPSPMRSGASSSHHPINGPRPPQLVVRTVRGRRAMEELAAAMAHRRRREVAALAERHAVSRFGHKGRIQMLFHILQSMLRLRLLRQRGTVEDELWTLLKPVRPHQPKHVPENNTLRYGSSDTDLQEANSYNQQNNGKCVADEQFCINRVPAEEKSSDISVEGLEMEVRVRGEMSQIHHELYELRKLVESCIASQVKMQHSIKDEVCSALREADLLPAVKIKLLLYDMPVHG >Dexi8B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:8B:24279480:24287792:1 gene:Dexi8B01G0013890 transcript:Dexi8B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATSSPTVATGGTSTSAITAATVTGSHVLRINGYSRTRGLGVRRYIESSKFLVAGHTWIIRYHPNGWSQEYADSISLFLFHCGRRGVRTQFTFSLHDPEGSHVQVHSQTTRCPVSLDPASRPSWGFPNFIKREDFEKSKYLRDDRFAIVCDIVVINGFYNGATDKLVDAMPPSDLHQDLGWLLETGDGADVKFKVDDKLFFAHKGVVAARSSVFRAELFGPMKGVSVKDVVEIHDMEPEVFMAMLKFMYTDLVPIMRVGEEIAMAQHLLVAADMYDLKRLKLICENKLCSRLTKKTALTTLVLAEQHGCHGLKKACFAFLSSLGSLKAAMGCDGYDHLRSSCPSLHDELVSKLDGSKGNKTPTRYHAGGALPYLKRCPRQPPALLSTAHILADSSRHACSATDANGHCCPHRRQRSSTVRPAIIAGYHVLKIECYSYAKQLGVGEDIKSAKFNVGDQRWYLRCYPGGYNNDSANSISIFLSLDDHQQPLPKGEVKATFVFSLHHYAGEPVPVFTNTIKSHTFSTENRCWGIDKFIPRAALERSYIDGDSFRIRCDIKVLKEFIMEDTTVAQPQLPAAAQPELHKHLGQLLAMRVGGDVTFSVAGEVITAHRCVLAVRSSVFMAELFGPMKEKASGCIITIDDMEARVFRAMLHFIYTDMMPEMEEGDKMTMSQHLLVAADRYDLQRLKVMCENTMCSRIDTGTVANTLILAEQHCCIALKEACYKFLKAPGRFREVMASDGFDHLMCTYPFLFMEVLDKIAP >Dexi7A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:7A:24548404:24549357:1 gene:Dexi7A01G0014580 transcript:Dexi7A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAQQDMEANVTHAPTTSSSSYSSSSTSSSSSLVVNVAPQEASPKNSNKATNNKRKQVPSPDDDDSQEVEANGSNGHQGEESSSCCSTDDNAAASLSNKQAQAAAAAGGGADNRQRSSSSSRSGYKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPDRAHELPRPASTSPADIQAAAAQAAAAAEVQCDASPSPSPSSSTDQLPSSPAADESPEAACCGPETMTTTHGDGGQGQGDNALFDLPDILLDLRDGLWWPPVWPAAMAAAEEYDGGDVVGMHEPLLWAE >Dexi2B01G0025460.1:cds pep primary_assembly:Fonio_CM05836:2B:34703986:34708838:1 gene:Dexi2B01G0025460 transcript:Dexi2B01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLLRQAPRRLQVPKTSPLAALSFPLPRTAPLAAASVRRQRFCAAAQASAPAPSAAAAATGTAGKAVGEFRKRLRVADVKGGEDEGAAWVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASILVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPATKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTSRHLAEFWVFEILTLMIEPELAFADLNDDMACATAYLQYVVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDHLEARLDEQNLSKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Dexi7B01G0023220.1:cds pep primary_assembly:Fonio_CM05836:7B:27856494:27859591:-1 gene:Dexi7B01G0023220 transcript:Dexi7B01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKKKAAAPAKLRKQPKRNAEKKLGKKADMTEFRAQLDSLGLKIVEVIADGNCFFRAMGDQLEGSEEEHMKYRAMVVDYIVKHREDFEPFIEDEVPFEEYCDSMLKDGTWAGHMELQAASLLTRKNICIHMLNSPRWYINNFSGREATNMIHLSYHHGEHYNSVRLREDPCQGPAMPVLIKTDANISSTNNNAQTKAKDPKKSSHRSTYDQTSVKMVMAGTGCSDVAIAEHVLGEMDGDVEAAIEYMIVERFAMGTNDAERDPYTDYALDELSEWHDENQAIENKDEASCSSKHETVEKPKNLHSAHSKEKSKTKGCSCGSAKKHNASCSLATASPREPPRAKGAQGKGQKGKKQKKKEQAAAVPVKVKESVVVPDLGALCI >Dexi9A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:9A:5128103:5135115:-1 gene:Dexi9A01G0008670 transcript:Dexi9A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSTPRQLPASTPCSRSSTAGAPTMLSDGVDDELGVGSAAPSPARFELQEDPAFWKDNNVQVVIRIRPLSGSEISLHGQKRCVRQNSSQSLTWTGHPESRFTFDLVADEHVAQEDMFKVAGVPMVENCIAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNANCGMTPRVFEHLFARIQKEKEIRRDEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEISNAREALQQLIEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSNCCAAETLSTLKFAQRAKYIRNNAVINEDASGDVLSMRLQIQNLKKEVSRLQGLVGSDKTQGVGSHGFICESPSTFKWDQGHGTFGSLIFDKRATQRDDCDAALVAAFRREQEKETQLKATIAAKQIAEHLAAQKTEEVRSFKMRLRFREEQIKRLEQVASGKLSAEAHLLQEKENLAKELEVLRNQLDHNPEITKFAMENLQLKEELRRLQSFVDESEREMMHEQIIALQDKLLEALDWKLMHEKDPANKGISLFGESAGDEENEFLRLQAIQNEREIESFRKKLAFCLEAKEKLERRVDELASELELRKKHDDTNNESKAVQLQEQGEAGLHNLSDAQIELKTLVDAIASASQREAEAHETAIGLAKENEELRMQLKVLIEENTRLVERYEHAMVNAEANQDGNHPTNPRIECASDQQGSHPYEDLPNGLPVGTSDLHAQNSSNMEEESKIVDVKCINEDKLSRNTSAELRLQLEEMHEENDRLMGLYEKAMEERDEFKRKILEQSNSETVEESDDKDVEMSEAADPANLEGKRVHDSTILALKELMQLVWIKLEFVQDKVVSAQDAVKYFKILERVSSKADELSASIQLHRLDVERGQKDINVLKSALSESQDNKGTFEGKYFLPAALCWNLDLKTKAIVSSKIGSNSALMRQKKEQLNLLQTRKNQLSAMRARAHESETELRSKIDGLKLKLRSYEAQRKEEEKVLFAIDNLDTSTALTHKPKNFGKATDLLKSEEERIKLSCELLNSREQLRMVQKELKSLQKRDYVDCEIALLETEIEDCYLSLLEADTEKFVRDNTLMEIWEGWAKDMETLLIDYQDCVFHVNLKEEEIKVCEESLQHQVRGLDELHSKLNQAMRDLCELLQDRRSLTSCSLDESMLPVGEKVATDLETVRTYVAEAKQLLLLDSQVNL >Dexi9A01G0031960.1:cds pep primary_assembly:Fonio_CM05836:9A:36803975:36807092:1 gene:Dexi9A01G0031960 transcript:Dexi9A01G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKEAQTKQARQFTYITEQIDVVVVTVSRTRTPKLNMSIFGDTLLRITFDPKAPHPHYDANQPSKKQDHDTLIVSMADESL >Dexi5B01G0022840.1:cds pep primary_assembly:Fonio_CM05836:5B:25119791:25120826:-1 gene:Dexi5B01G0022840 transcript:Dexi5B01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLLATGGAVLSMMNFENSFSNSHQRVGLALYGVMWLQPTIGFFRPERGVKVRSLWYFFHWFLGIAICATGIVNVYIGLRTYHERTTKSVRLWTGLLTVEVIFLAFFYLMIDRWSYMLNQGRATVEQLRPTDNRRTYPTTLRKELTMVQE >Dexi7A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:7A:29298013:29298318:1 gene:Dexi7A01G0020530 transcript:Dexi7A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLIITSGEQRSHQRYPKKCRFNGCSKGARGVKSQVATKALRAEQHIAKLMEEGNGVKS >Dexi3B01G0038570.1:cds pep primary_assembly:Fonio_CM05836:3B:41361852:41363647:-1 gene:Dexi3B01G0038570 transcript:Dexi3B01G0038570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTIMGDGDLLFSALVLSLLAIALLELPKKKKKKQRLCLPPGPWNLPVVGSAHRLVNTLAHRTLRDMAYVHGPLMHLRVGQVPVVVVTSKELARDVLKTHDANFATRSKLVAGGIVAYDWADILFSPSGDYWRKLRRLCIQEILSTKRILSFQHIREDERSNAADFLAAIKQSVVMSSGFYVPDLFPRFTGILSVLTGMRRKLRAIRRTVDGILEEIICEREEMLKQARTDLRPLLQGNNKEEENLVDVLLGLQENGHDFGFPMTRDTIKAIILDMFAGGTGTSASAMEWAMSELMTNPKVMRKLQAEIRAAFPGKQQIITETDLRASDLKYLKFVMKETLRLHPPAPLLVPRESIEACEINGYMIPAKARVIVNSWAISRDPKYWEDAEEFKPERFQGAALDFGSNYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWSLPDGMDHVDMSEAPGLGVRRKTPLLLRATPALYAFAAS >Dexi3B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:3B:15303299:15304336:1 gene:Dexi3B01G0020300 transcript:Dexi3B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPPSKVGLKLSLPPLPSRPSFSSPPRRSPPLPSLIFRSEPPRPQRVLSSSSSSPPSPSPPSSREDAVAQARSCLATALQKPLNNSVPLKKLKRQRQPRFRAEIPVVDDSPGSLARLALDVFSGTSRKKGAPARLLLVWPSAEALAVAVREFESSGDSATTAHTQLGSEAPDALSACDAAVFVAPEPEQVEKVKAAAAAVEPKPVVLFNPAWSFDDEEAGEAFGGAGVRGFVGSFDVVYSFTGLEVRGLLSKKTGVLLRCVDGGRFGGESWVLMVENDGGGGGGAADGKEEFKVVSRLKKRPTIGEVETMLYNLMAANSPVTKSARFLREMVSNVTGGKAKQ >Dexi1B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:1B:15005995:15006360:1 gene:Dexi1B01G0012310 transcript:Dexi1B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPSRGAEQGHGGLPILHGVARWFLTACASAGDPAGAAGGDPACLHERRLKVVVYAGAKWTTAGLPHLSLRAQRQVASSPASRSSGLRVVGWSRTARLEASNMDLDSFRFHSICFARA >Dexi5A01G0023500.1:cds pep primary_assembly:Fonio_CM05836:5A:27484107:27488997:-1 gene:Dexi5A01G0023500 transcript:Dexi5A01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMKHLVQVEESKEAADGAPSAGPTYRCAAGGKGAAPPAVPGLDCCWDIFRLSVEKFPDNNMLGRREIVDGKAGKYTWLTYKQVYDTVIKVGAAIRSCGVGKGGRCGIYGPNSAEWVISMQACNAHGIYCVPLYDTLGAGAVEFVLCHAEVQIAFVEEKKIGEMLKTFPNATKYLKTLVSFGKVNPEHKEKVEQNGLSIYSWEEFLQLGGEENFELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLINSGEELREDDVYISYLPLAHIFDRVIEEVFIHQGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQDKITAGGFLKKTLFNVAYKYKQGNMLKGSKHEEAAAVFDKLVFTKVKRGLGGRVRLILSGAAPLSRHVEEYLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEARLESVPEMGYDALSSETPRGEICIRGDTLFSGYYKRDDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSVWVYGNSFESSLVAVVNPNKQALERWAESNGITGEFATICEDPKAKEFILGELTKMGKEKKLKGFELIRAVHLDPVPFDMERDLITPTYKKKRPQLLKYYQSVIDGMYKSMK >Dexi9B01G0034050.1:cds pep primary_assembly:Fonio_CM05836:9B:36140326:36142635:-1 gene:Dexi9B01G0034050 transcript:Dexi9B01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNKVEVNLRRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKLSEYSEKIEALAARLAAPVPENEKPVVECTKEEISDEIAKVESPVSLSSGLRRRSSAHQERKGDVGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTERAVEHSLASTGRATSRAAEVYSLASKTTCFQWLLIFVMTCMFVMVVLLIRIT >Dexi5B01G0033110.1:cds pep primary_assembly:Fonio_CM05836:5B:33629550:33630831:1 gene:Dexi5B01G0033110 transcript:Dexi5B01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVILQIFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVAHTGPTKLVLYFTGATNILYTFGGHAVTV >Dexi4B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:4B:19133795:19134022:-1 gene:Dexi4B01G0016980 transcript:Dexi4B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLPYRWSRRANVAAKATRWTSPADMTRSKDINTRCDTVKLQEAPASEPWCRHRSNNPAS >Dexi1A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:1A:25236618:25238433:-1 gene:Dexi1A01G0017960 transcript:Dexi1A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAKPHLLFFPFPAQGHITPAFQLPSLLHRCHGFDVTFVHTEHNRRRLLRACGPDALAEAPPGTTIDWAPGLPKDTRLRDFPSFVRTTDPDDAVLALMLRLMECHRTVPSAIIFHTLEELERQVIGAMSDILPPIYAVGPLPLLLGQAGDPAGVGTPSSTSLSKEDRACLDWLDAKRPSSVVFVSFGSVVKLADEQLLELAWGLANSGHEFLWERPAAGRSATGPRGGDGGEGLVTSWCPQEAVLRPEAVGAFVTHCGWNSMLESLSAGVPMLCWPCVGDQQTNSRMACTEWRVGMQIGEEPSREEVEAVIRQVMGGERGEELRRSAAEWKEKAALATRWPGGSAW >DexiUA01G0022800.1:cds pep primary_assembly:Fonio_CM05836:UA:46528697:46530592:1 gene:DexiUA01G0022800 transcript:DexiUA01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAVVILAVTTLCHIAGASPPPPVVRCSGGGIAGCTVTNAYGVFPDRSTCRAAAAAFPASEDELLAVVANATAAGTKMKVATRFSHSVPKLACPGGDRGLIISTTSLSRVVSVDARRGHVTVEGGATLAQLIDAAAEAGMAVPYTPYWLGLTVGGLLSTGAHGSSLWANGSAVHEYVVGMRLVTPAPASEGYAKVRVLAAGDPELDAAKVSLGVLGVISQVTLALQPMFKRSVRFEERHDSDLSERVVAFAREHEFGDILWYPGHRKAVYRIDDRVPVNTPGDGVYDFIGFQPTPTVGVQSIRLAEEGIEAKNDTAGRCELAEEISAIISGDNYGVLMHDQQAPQPGRPVVGFQNRLQSSGSCLTGPDDALLTACPWDPRVSNGAFYFEAGVSVPLSRASAFIRDVQRLRDLRPSSLCGVEVYYGVLVRYVAASTAHLGKTEDSVEFDLTYYRSRGGDDPRLDQDVVEEVLQMALRRYGALPHWGKNQNAAFEGAIGKYGAPRVAAFMAVKRAYDPEGLFSSEWSDQVLGIGGGEVSVVRDGCALEGLCVCSHDSHCAPSKGYLCRPGRVYKDARVCRRVHDS >Dexi4B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:4B:6624354:6625547:1 gene:Dexi4B01G0009220 transcript:Dexi4B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNHLLPLVRGAASQLTSPLHHGGFRLLLSTSPSPTPFSLEDYLVAACGLAPAKARSASKKALADGITASKKAFDEFSTSGPGLNPRFDPDAVLALLSGVGLSRADIADVVAADPMILLCRANRLEPRILALRDRVDLSPPEIARFLLVGSSVVRRVKVDANVEFLISFYGSFGRVLVALKRNLGLLTSSIEKMIKPNIALLHQCGLSARDIAQLCSQTPRLLSFNPKRVKEFLLRAEGLGVPRTSPMFKYAVSSVAYTSKENVAARLQFFKRTLGCSDSEVSIAVSKCPYILGFNEANLLRKIEFLINEVRLEPQYIVKNPTLFTLNLEKRLISRYRVMKVLQEKGLISRDKSFYTLAVMAEKTFKLMFIDRHKDSVPGLADAYAAARAGSMS >Dexi3A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:3A:7423681:7425522:-1 gene:Dexi3A01G0010430 transcript:Dexi3A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVKSSSSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRSKLASQEQLFVRESQTRKVAETKARSMEEEVSKLQQCLQDKDEQLRSTKSSTEQYLHELDDLRTQLSFTQATAEASAASAKSAQLQCLSLLKELNEKDCSLKEHELRVNKLGEQLDLLQQDLQARELSQRQLKDEVIRIETDIMDAVAKAGSKSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVAMKQPNGPCRGIKENFWESQGFKFIASMSMLALVILAKR >Dexi9B01G0043410.1:cds pep primary_assembly:Fonio_CM05836:9B:43366938:43370743:-1 gene:Dexi9B01G0043410 transcript:Dexi9B01G0043410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLLSPPSVPSPPPPLLRNGAPRQCLAPLRVAVPLSPPRQLGLAAARGDGGRRSVGMLGSSRARVAGVFRVSAVSGDGGGGAGGSGIAAAATATVVLAVLNRVLYKLALVPMKNYPFFLAQFTTFAYVLVYFSILLIRFRSGIITREMLALPKAQFMLIGLLEALGVALGMAAAAMLPGPSIPVLTQSFLVWQLVLSVLILGRKYRANQILGCLLVTAGVILAVASGANGGPFLSEVKFFWPAVMMASAAFQAAASIIKEFVFVDGAKRLEGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPFVELPAYLNRGAACFLNIGGNLKDCHGAPLLPLLYITLNMAFNISVLNLVKMSTAVFASLTTTLAVPLSIYIMSLPLPYLPEGTNLSTSFVIGAATLVLGLLLYNLPQQSADQVKKD >Dexi3B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:3B:224446:226457:-1 gene:Dexi3B01G0000280 transcript:Dexi3B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGSERKGKVLTEIKRAVDQYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGIHKLSKFLQGDSGLLFTNLPRDDVERLFRDFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVCEEGKPLSPEAAQTLRLLGKQMATFRLYLVCRWSSDDFEAYREGLAHLGAVDSS >Dexi5B01G0021810.1:cds pep primary_assembly:Fonio_CM05836:5B:24128477:24131982:1 gene:Dexi5B01G0021810 transcript:Dexi5B01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKGWAERVRRAVRTIWVMVVMVASLLVASAPTLVAAGDVAVALWLEVRLGCLSGHGLRGHVQQYRFRSSLADIPLVSILRSLVITCLYLMSDTSGLSYGPYLGTTTFCSLASLLILLIKASVYSPGQDVGPELSPSLPDHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCRARRKLLIHRIDPESILACKNAFSGCYKVPRSPTPYGAKLFSRSENEIPLMSLDNGSADIYLNPLGFDPEAGEQGWGLTSRPRRKDWEDKKLPNPYKLESQVDLLISFCSEMGLRSVILVGHDDGGLLALKAAEKLRTYGDNVKVEVKGVVLVGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSMEDLPVLVVAGSEDALVSVKSAQAMASKLVNSRIVTISGCGHLPHEECPKALLSALSPFISRLVPSGDSSRRL >Dexi9B01G0040310.1:cds pep primary_assembly:Fonio_CM05836:9B:40882553:40885166:1 gene:Dexi9B01G0040310 transcript:Dexi9B01G0040310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAATMVIRDSVEPILDDYRPPGISSLKFSRLSLGTKGQITMDMDFRWGGDPNIILAVETLVASLPIQFKNLQVYTIIRMPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTSVIDDNLNPQWNQTFEVIAEDKETQYLILEVFDEDKMKQDKRLGIAKLPLNDLETETVQEVNLQLLSSLDTTKVKDKKDRGVVYHQFTKAQALEALELEKRAVEERRKVRGDTAAVTGAADAASGMASTVTNVAGTGVAAAGTVAGSGISAAGSGVGMVGTGIGAVGSGIGAFGSGLSKAGKFVGRTVTGPFSSARRSASSVPNIDD >Dexi8A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:8A:4872488:4884943:1 gene:Dexi8A01G0005350 transcript:Dexi8A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGEAFPETGGEVEPVAHVEEGAQAGEEDAMLRGAGGAGDAVFAVAIRLRVADDFLEVAGESAAPSCAAKSTAVGCSSGVTASGGGVVGAAVELGLGLRLERRRRRLWEGPREEASLEEGWEAEGAGGRAAVAQAQVEPTTHAIEMEPAAAAAASIQNEGTYSPTALSRRRAVTMFVLSLLFVWLAAMTLRHSITGGKEEDHPASAAVVWSLSPLVCGYLFYLTVALQGVKGAGSMCFRVTYLLLLTGAGARLVNPIAGAAAMFMTTVHSAVKLGGALAVHRQQMGTEVAAATAAMSASPYRSSAEVRQVQSNRTVVSVSVAFLVQGEVGLFLLMIFVGPLLLVTRQLTLLGNPLQVEACTFVVSLVVGIFVWIVAMVVACVVGGGNALGIVVCAAALAMAGFFGCGRGVEAQYEELDASGLLVGRDEEDACPAHIDAQQPPCRPPAHGAETEEGLPVAVLATTSLRRAGPQAEFQRFTFCLQARRRSTPSFPFAYHEVPRAFWNEVPGTRDYGSSHAFRVTTTICTRLIVSNRIEAMESDKPPQEFVPKYEVQENATTMVFLLHLAVRGHRRRANGALHSRFNMVFHLPATFDPDGTRARFDDGVLTITVPKKDVDDSDPEVDTIEARPEPRRGQGKPQEEDEAKTKPQAPEHMEAAETAAWKSKDNATPKEEDATSSKKPPAAEQPVDVRVQEELQGLAGSDWVEGLMEAVMKNKKVIAAAFSASRSASSPTHLGLRAPEVGALARPPVPAT >Dexi1A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:1A:10257950:10262425:-1 gene:Dexi1A01G0011320 transcript:Dexi1A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELSAASAAEQRRPPPPPPPPPPPQQIRVVRCPKCDKLLPELTNYSVYVCGGCGATLQAKNSASDTSEKSDGEHVKYLEVLESLPEKKGDATSEASWAVREADTNKVEARPEERFVPNRMAAAHSQSGFSFNVRQATCAPSSTMKPEPVLSDVNREVREAKYRRIRHEDKGESRQPARARDESPRSVAPPNAYPGEGPSEYPMKPGFRHGNGSHCSQEKDLPSNSSEADKMQCISSSVSISEDDDSPPRSNSQKNSSCSRDIPPDAEVATRVPSLPLRDHFGYSPAERAVDGSGKGSRSTRSEHEKGVLAESFKPSAVKDVPVASVLDLSDDEYDDPDYSQDPGDGAQYVDHPRATKSGDSFFSSLIKKSFKINGGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPEFNYPMPKNCAGGNTGVFINGRELHQKDLDLLVGRGLPDSPDRSYRVEISGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRLIQ >Dexi5B01G0024740.1:cds pep primary_assembly:Fonio_CM05836:5B:26756746:26758299:1 gene:Dexi5B01G0024740 transcript:Dexi5B01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSSSSSAAMAAPSVAGGGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMLLTTAVVAMSPRERPAWPLRLWVAATTSATSSASPSSIGATAILLPPLPPAAATPCPTTSRCCDYIDGIDGILMECRSRSFLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHGAPRLYALCIGLLAWNAVVYSVPFLLFLLLCCFVPMVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPDVPRTEITTIRHKALRFARNVLQWTAPFYLSDRSNLFSELGLQECCICLAQYREKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELK >Dexi6B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:6B:354733:355785:1 gene:Dexi6B01G0000500 transcript:Dexi6B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSREELEQALGILKPKWVVSTTPPSMAVDLSYVKKHCSLSRFGLDDPLWKLLGISDGMTTVTVSPQQLLTVESIKESEEECSPCTDECASDEISQVEAAKATLVDFEIRVEPPVTLFGSARFGLLQHESQLWKHEYASLEVVDDVEIAAKGSAAEIGLHNNNKPTEEVIDLTEIPTKEQNSVTESELLKDRKPDGGVQVVDLTGDERKEPSLSAEPDHCMNDKGNGEAELVEAREQKLTVHADLQQVCRHKVIDEGKNRIQVTKEISAVNVTVSATINKEATRKDTTTSETGERKSDSSTTVGSSKGLNANLRRLYRSMNVSVPRPLPSLVELMGATKRPRVSQTLQL >Dexi4A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:4A:7333607:7333816:-1 gene:Dexi4A01G0009330 transcript:Dexi4A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRRKRGGEQPPVVKVKLPGGFWAAGEWRVERCLVVARASRVALGWIVRVCDADGPAAYRTNGGK >Dexi5A01G0026990.1:cds pep primary_assembly:Fonio_CM05836:5A:30547590:30548767:-1 gene:Dexi5A01G0026990 transcript:Dexi5A01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDGYCSSDSPRAESPEESLAAAAAEAESPLAGVKRERDLRDIPASPSSPLPAAKRSRRSVEKRVVSVPLAECGDRPRGASGEGPPPSDSWTWRKYGQKPIKGSPYPRGCAINSPEPVIEQAELGPEHELAEPEAPEPEQEPEQEQEQKLEQKVVVSLVGPETATTATVAPVAEEDESFDFGWFDQYPTWHRSALYAPLLPPEEWERELQGEDALFAGLGELPECAFVFGRRRELSLAATAPCS >Dexi5A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:5A:1425834:1428225:1 gene:Dexi5A01G0002040 transcript:Dexi5A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARHPRSEVSIMRPRLVLFGDSITELSFQSGGWGAALTDRFARQADVVLRGLSGYNTRWALKVLPRAMEGAADADPAAITVFFGANDASLPDQVSAHQNVPLEEYQSNLRAICGYFKERWPSTAIILITPPPIYEPARIRDIHGVDDPSRQAERTNEAAGSYAQACISVAKELGHPVIDIWTKMQEFPDWQTSALSDGLHFTPTGNQILFHEVVKTLASIGFSQESLPSDLPRYREIDPKDPMKAFEV >Dexi3B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:3B:14106517:14108245:1 gene:Dexi3B01G0019010 transcript:Dexi3B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMGWLRKVLTAGTKKEGERDRGEHNAACAGGGLALGPPPPPVEKRRWSFAKPRHSVADGGRRASMTAVAAGELSQVRPCNCGMEREVEAAVVIQKAFRGYLARKALRALKSLVKLQALVRGYLVRKQAATTLRRLQALMRLQAKTASSRKSIEQERIIARVNVKPLSLPVVHRRRLSDGWDTGSPRIVEMDTCQLRCRSSRITSRRYAAANDHPSASPLPYYFHKHLKTPRLEGHHHQLEPRQQQQQPKTTPNTPRLGAFQGYLGSPAAKQPAAACRDAGSSPRYMADTASSVARARCQSSPRQQRQDAEGHAPGDEARRSCAARKQARPQQLQALDSFSFKSSEASRVEDSEMSDEVTRDYYLDRLW >Dexi8A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:8A:973852:975233:1 gene:Dexi8A01G0001340 transcript:Dexi8A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSVKSEQGGDLSLPPGFRFHPTDEEVITSYLLQKFLNPSFDPQAMGEVDLNRCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFKPSSASAAGGRELVGMKKTLVFYMGRAPKGTKTNWVMHEFRLDGKSRHNNANLRFNPKDEWVVCKVHHKNGEANKKPAAEELSAGTQNVSSVISDDAGAGEGGDEFLADSMLNPNPSMHFNSTINAAPPHNADYFISSAAAGATTSTTTIGSFLDLPNNYVFSDTTSFNNLHQMAVANSAAPRISSGSSYGSPWNMADYNQAMGSYNLHHQAMLAKALGGNHFAGGLPSSSVTGILQHNSEGVPQHKFGNCCYWTTY >Dexi1A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:1A:12014489:12015389:-1 gene:Dexi1A01G0012330 transcript:Dexi1A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARWGERRSRTFAVHNLPESNAIAWHRAARSRSCPPVSSSPCCAPASLGLLPCALPSPHSERRVSASLDDARTRRRCLHCPRRRASAPDRPTPPASPDARDGDGVREGSQLHCRPARVQSTPARGACPPRAGRAALLLPRCAADLAGHRLMLATEAATLAPPEYRRHPWGPRPPLAGAWSCGCRAALRLTAREREDKAP >Dexi1B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:1B:13544586:13546816:1 gene:Dexi1B01G0012090 transcript:Dexi1B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTVSSFAGHRTLAAAMAEAELGSNPSSSSAAAKESSMASLIERATSTTAPAVDPVLLRAIKSAARASDGAIRDAFRLLLSLMSKPHSHVRLLAFSIADELFMRSKLFRSLLADALDGFLPLAVGFRRAHPLPPPAASAALLRKAAVQALERWHHLFGAHYRQLRLAVEYLKVSARVQFPGLRATVEVRAAREARTQEILAAKVEQLLENFAPIKAEIRSTIVEIRNGLEVIRAEYEKFEGYVNDDDAELEIASLSMRSIRMASLMAGEWVPETQENEAVFDALREAYRLLVSKHLVTVKEWISVLVRVNLRDNRFRDSALKEFIDVKNEIQAVRGRCSELGLDLDNIRRRNGDQEEEDDEFWVEGNIDAPSPTRVQGSVDVATSSRDTGKGNKVVDGVKSDIGKMPVAVNGNINLDPTKSKLFAEAPVVQWSSVLDIWGSNRDAHVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSVYREAPVEILPCHAPLKKGGLCQRRDLKVCPFHGPIVPRDAEGNPIEQHSGSSDAKVDTVEHCDVRGSSNVLNIKSDGDYMEEASSSRLPDISHDYGNTAGTHYLGKITVEQLARQAIKNIRKRDIDHKAQERAQRQRIRQHNEDVLREAAIASTSHSAAAYEQPPEASGRRGRRGKTKEPTLASMLKKKVTSKDRIADRLLNTRATDATIREASHNEDMSYREAFPNQW >Dexi3A01G0027710.1:cds pep primary_assembly:Fonio_CM05836:3A:27350129:27350548:-1 gene:Dexi3A01G0027710 transcript:Dexi3A01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLPAVAVSTVWSAKPTMVAGSAKTSGMETISMPLTKAYDALFIAVGVKVSDSVPPVTGGSVNSLMMYAWLSALAVMYTLKLRRSVMPVDVDTEKKKCSAGRPRSQCLSLSSTEKLYAVSLVNTRADM >Dexi4A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:4A:10746947:10748306:1 gene:Dexi4A01G0012180 transcript:Dexi4A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPRILRRGPVRSVAALPSVRFVASPLHGSAAAPSPSAALFEHPCGGSGSESHGWSWWRWFRQPVRCGSTAVRLETEGGFARFEVGDMDRAREKGGQRLQPPKKKLSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYDVPRTPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELDDYQKHVALFKNREGATSVDEETTADLTTTSYSE >Dexi7A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:7A:27704444:27707612:-1 gene:Dexi7A01G0018270 transcript:Dexi7A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKAPIAAAILCLCFVRAQCDHSPEQEQEIQMLKSKVASLEDEISRRKEETSQLESVVRERTAQMVALVGELELLQKVNVADDESVIKANTNVDMLEEQIDRLGNDLEDQVRKGESLEARATEAEKRLHEFSRKLDHAESINVEQRMKIRDLDDKLQDAQDKISELENEAKLKAEELAMVSISELFMARGFHIGLQYVSFAEVASAKWQVDGKPVFDPLVQKAAGKWTYAQQLVEPRLQTAHNKWVPVAKDHLQFLRNTTSLYASAVSKRSSAVYRVCRDATQPYTVKAGEFAVHCWQEWKTFTQPYVSQIVAASEPHLSRATMVIEPYIKPVTSGWRRFVSLTSEYHHKVKNGVEGFLEESRLVTPLPADKVAWLTVGSRVVYVISGAQARRGSDTHQSRLQASALFTLPVISIYKALSATIRKKIQARKDGGSNRKNKRSSRQAEKPLERAT >Dexi1A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:1A:25065896:25066643:1 gene:Dexi1A01G0017700 transcript:Dexi1A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSRTAWIAGGLVARLLMIAFLAMTVQLTVNNQTKFKYEDDFYKLESYSYTVAVASIGMAGSLLQIPVSVYLLCKSKRMTPSTLVLDISMYADVVMVTVLLATGVGAGFGATVDALRFVRAPHTSWTGGDVVKQDFIHYYNKAFYPVVFLLTGMVLSMAATVASARLRARAANEDADV >Dexi9A01G0032410.1:cds pep primary_assembly:Fonio_CM05836:9A:37306315:37315732:1 gene:Dexi9A01G0032410 transcript:Dexi9A01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGSKRKDKNKVILPPLLPPDVDDDDVHISDEDLDFYGGKKNFAARFHQFDQKVIDRYVGRTAGDEAEVERLYEEREKRKASRRPQEEDGDLEVDPVDALPVKTLQGELVYNRAKKARHEENTGSLKSKAQENGADAKQGIKKDELKGKSKSKKGDDKVKNTQSQIEAPKGKLHSDVLEEVKEELSAEELFEKKKAQLAELGMAMLEDPEANIRSLNDMLSISNDKDQKVVKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRCYKAYLQKLISLEKQSHFYPVAVRCMCALLDTAPHFNFRESLLASVVKNLNSSDDVVRPNLQPFYNHCRKMCCETIRSIFINEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDEDIREDETEEQKMKPKKNKCWQNQESPKQLPVSDKKKTRQELISKAREEVDADLRAVSFTLGPKERKSIQRETLSALFETYFRILKHTMSISNSRSKANIVSPGASHPLLAPCLEGLGKFSHLIDLDFMGELIACLKKLSGYSDRQDEIPHDNTLSVSERMQCCIVAFKVWRSNLEALNVDLQDFFVQLYNLILEYRPDRDRGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALVTLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYNPEAKDPYLSGALASVLWELSLLEKHYDISVSSMASNILSMASLNPTQNPVSILNVNPLEAYRDLSIERELSKPPSKALSLNIKKKRRGKEFVALSPDVLQKADCSVDKDELEEKLQSHFAVLRGISENERLRAELNHTLSSINMYKEYKKQKKKNLKLKIVKKKLARV >Dexi6A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:6A:4858773:4861439:1 gene:Dexi6A01G0005370 transcript:Dexi6A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPRSSCSARPRSPATAVWFLPAAALLLVLFLRWPPPMGSYAPVSPRGSGSDSVLARRAELYSKMARDLDERGAAFLRGGETSQSLTLSDLFNTAEDGAVVPRLKIEAEVEAVKGITKTVCPLKIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREVLPRAPQKQLYDPVLLHTSFARILGHPKLPQEQSVSSFDHIKFFHGLVAQVSEKIRGFQATVSELWYVEEYDVLALALNGKMKVRKLHLSCNHQGNENP >Dexi6B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:6B:20508916:20510528:-1 gene:Dexi6B01G0012800 transcript:Dexi6B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLSGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKAATK >Dexi5A01G0036530.1:cds pep primary_assembly:Fonio_CM05836:5A:37999246:38000308:-1 gene:Dexi5A01G0036530 transcript:Dexi5A01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSSCRHSRGHVCSLQVSKPISSWPRARSALHTEKTEEVVRQLWRVFVKPPAGDAPRRLAVAAQRRWKGTVGHLKGRRGLSVMIYHRVSPLLALGWFQPPSLADDMGMDDGAPSGLCWIWITQHHCTRSLHHQLPNRLSSISRTRVNQPLVYERARITRNKIKKIIAELGGR >Dexi4A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:4A:2626700:2626903:-1 gene:Dexi4A01G0003680 transcript:Dexi4A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAWKANGLEPRGRVLAGVLGGGRRRVRAGAGRRGKVPSLVAGVGAVGRVRYGGLSRVERAGNAAA >Dexi2B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:2B:23454546:23455098:-1 gene:Dexi2B01G0014030 transcript:Dexi2B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEALVAIAVAKMVAQKIADFTIPPLKVYCCSIFCSFRDDLRDLEKELLCLEAHLEEKDKWLFGIDVAEDAQTVLAQSWHRDAKQLAFEIEDTIDEFVCSEELYHDSTCAHKNNVP >Dexi2A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:2A:28340654:28341047:1 gene:Dexi2A01G0016640 transcript:Dexi2A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFAAVQAEVQCPRPRTPWSTAGWHKAIPSLRLGACSGRLFHALEGDNDVMRNSFHPPPGPPIDVYAGAIEL >Dexi3A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:3A:357895:359896:-1 gene:Dexi3A01G0000380 transcript:Dexi3A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTKHHQAALVQYPSRDDERKGEEDHGAYIEAEEDTPVMIGYAVEVAVISVAEEYHWERKNRDGKREDGIEEEDREIQLSSRGPRRPKLAIPVLPFHSLSYSDLIRLSPLSSLLAAAGPLSPSSSRSPRPRSRSPLSSSRSVLRPGRRRPSLHLPPPSSQAHTWSASLGLLAVLMTGGGFLLPGRSTMCASPDEEEQASTCSCLPAAPPRAVCVLLPSIQLLCPSSHLAAATIRLLCWLTEECRI >Dexi1B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:1B:4235336:4238539:1 gene:Dexi1B01G0005180 transcript:Dexi1B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLGEADLKGKKVFVRADLNVPLDDAQKITDDTRIRASVPTIKFLLEKGAKVVLASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMTYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVIADKFAPDAESKIVPATAIPDDWMGLDVGPDSTKTFNEALDTTQTIIWNGPMGVFEFEKFATGTDAIAKKLAELTTSKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDEA >Dexi5A01G0034830.1:cds pep primary_assembly:Fonio_CM05836:5A:36812093:36814223:1 gene:Dexi5A01G0034830 transcript:Dexi5A01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRHEINGHGAAAAVGGGGGGRAGDSGGGGGEAQEDDLFMPGFRFHPTEEELIEFYLRRKVEGKRFNLELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENHRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPDHTDRYLKTEISLCRVYKRTGIDDGHLHPSSARSTPSRRGATAQQQQQQDIKPQASSSSTPTPPTTPSKMMHLLHGECTSPPMTIRDHHAAAAHTKATAAAQRQLPTKPPCNNGGYLLSTATSAAVGASDQVGGAAAMASSYDQPRNANAFASTYSLLSLVNAASMGGAAAIDELSSLVGHGSPAYFSHLQAGGGSGHSHSFLPLLSTPSSSSQPMVAALGTTTVPMMSVAAISDKIWEWNPAAVPDAAGRDYGGAGFK >Dexi3A01G0025890.1:cds pep primary_assembly:Fonio_CM05836:3A:21904750:21907471:1 gene:Dexi3A01G0025890 transcript:Dexi3A01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKWLAAAVFMCLLVFCYGRELKTKDAPIYDPALARTLAEYTSAVYTADLTQLFTWTCERCGDLTEGFEVIELIVDVKNCLQAYVGFARNMNAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTLRDGVVSGIQKTRGFYGSIPIMVTGHSMGGATASFCALDLVVNFGLKDVTLMTFGQPRIGNAVFASNFKGHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWIHNVGLGSFVYSIEEICDDSGEDPTCSRSVSGNSVQDHIHYLGISMHAESWGHCRIVTDDRTLQYQMDPAGNIVFSKQPGLSADELHSAQ >Dexi9A01G0033070.1:cds pep primary_assembly:Fonio_CM05836:9A:37924349:37924951:-1 gene:Dexi9A01G0033070 transcript:Dexi9A01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKHRVVTDLPKDLLVEIFSRVPYKSLCRCRCVSRSWHALCSDPDVVKKSPQTLSGFILHAGDKQGRHRLLNLPGSGRPLLDSLTPFQCHYYSSIRLVHSCNGLLLWRCCKHPASSYEVDYVVCNPATKQWTALPPDEMELRGIMWQAYRLCFDPAISSHFRVFMFLPGYICGGPFKGVAIFSSDFEPNDHQLFRCGT >Dexi9B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:9B:14550580:14558092:1 gene:Dexi9B01G0019900 transcript:Dexi9B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKLLDCHLAIADPAPDCRMRRKDVAPLTLAIASGMGPAVISRLVSGNCIAALNSFHIRKNNINSFPSRSARTTSLFSPSSPLVDLPGVAVSGHGGRSLGERRGSWRRSAAMYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGYFTTLRQAEHAAAEVALAALAQRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWFALKQLVRDEANSSSEPENNDEQEQIRIARALLNYRLKEKMAMANYPHASPFPKKFPMLAERKPSFGPSSQSSYSKILPLFRPKSNSRCRPESPASTDGASQMAVRTIENLNPKSRFPAAEAAPYVPVGHYRMSCHSMAPSVTIRTAVPVFSAPPLPPPAARTQQQLPPLMSHTPPIRMASPVRIRPASPMFSPSASVQGPKPVMSVQVKDVQQQSRREPVAPMIPVQVKDVQYRPMKGSVSPVIPAHLKDAQRHPLVGSVSAVIPMQMKNVQTQAPKESLAAPVLGIKPSVKIEAPAQAKEASAVVPSEVPCPAAGNTTAVDCTTSSDIMPTKQSKAADGSDGKAEAEHEAEAQAVAEAAIRQLEIN >Dexi1A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:1A:24223916:24225306:-1 gene:Dexi1A01G0016960 transcript:Dexi1A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKEWRVKKDDQPKPAVQAVQSVVQVVQIASAKEPPAQPVRPVEAEILPDDSSSARMVCCDELTSVPSAEDDEQLVDYTGLIGWPV >Dexi9B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:9B:14473067:14477742:1 gene:Dexi9B01G0019830 transcript:Dexi9B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETLVAMPLAPHHHHHHARLDALPHHNAPSQPPPPLQQQPQAPPPSEPVVAADTNVDEKRGRRGVAEPPPPRDPRPETPPPVLAAGEVGDVYYARKMLQGAVLRPPPHLPQPEAPPGLMTRALSAPAPRGYTEEEEGKQAGPAVMDRSASAVVGVASIGRFLRDRRDVLSSAITRRISSLKDSSSSPSAVDTYGVQEIHLPNVKVTVRLKDAIAADAEAEDEIALGDNDVGYSFSGGQIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREAELASRAAGARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVLRELAGRRCPDSAPRVPVYGFDAGEDEEERAEEDAMVGVVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDGIVNHLECSRNKAVEIGKELARKHFIHHVFRENDFEDGAQNLYRFLEHDPAVPKYYNLRGSTNDGEPKPAASIGHRMTKIMLAILEAYASDDRRHLDYSRIAASEEFRRYANLVQELQRADMTALPAEERLPFFLNLHNAMAIHAVIRVGQPGAVDRRPFYSDFQYIVGGHPYSLAAIRNGILRANRRQPYTLAKPFGSNDRRLELAQRRANPLVHFALCDATRSSPIVRFYTTQGVEPELRHAAREFFLNGGVEIDLESRTVHLTRIVKWYSADFGQDRDILRWLLNYLDPTKAGLLTHLLNDGGPINVSYMNYDWSLNV >Dexi9B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:9B:9532207:9534916:1 gene:Dexi9B01G0014260 transcript:Dexi9B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSSKNKLSWSRTLVRKWFNIKTKAKDFHSDYAAEEAGVHWRTSFSDREACKSKKSRTERLPRRNVDRDSRLGNGFDGAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQVSYYHADLTHSYALC >Dexi7B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:7B:7855771:7856867:-1 gene:Dexi7B01G0003220 transcript:Dexi7B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDLLSLGFDFCCQSPPRFFDDPYEHDLAVVDRVSCWLLHSSIMYAPTEAESVTGLYPSYREASSSPDGANSCSTQVAPASPPSPAAAGVATKNMVMERDRRRRLNEKLYALRSVVPNITKMDKASIVRDAIAYIEQLQEQERQMLAEVSALESSGDTAAAGVKTEDAATGNDVDTYPRRKRMRMAAEDGVSRSIEASPPLRILEVSTAGEKMAVVSIRCSRGRDAVAKVCRALEALRLRVVTGSITAAGDTVVHTMFVE >Dexi3B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:3B:12733348:12733865:-1 gene:Dexi3B01G0017310 transcript:Dexi3B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGQRVRMYVRGTILGYKRSKSNQYENTSLLQIEGVSTKEDVAWYGGKRIAYCTRPRPRATHQHPVTRPHGNSGVVRAKFRSNLPPSSMGKKVRVFMYPSSI >Dexi2B01G0026420.1:cds pep primary_assembly:Fonio_CM05836:2B:35516276:35521917:1 gene:Dexi2B01G0026420 transcript:Dexi2B01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHHNPLPPSFPRGGGGAGNHHHPPPLHHPHHPHLPPHHHIDDYREPPRLPPHHHPDDFRDLSRMPRGHPDSFLEQPPPPHLRHFAGHGAGGPLPPQPHVVAALEERLGAEIDEAHSLLAQNQRLAATHVALVQEVAAARHELGRTARAFASAQEEGDLRLREVYERSMKMEAELRSVHDVRAELAQVRLDIQNLGAARKELMGQAQGLTQDLARSAEDLQKVSALKAEIQEIKHETQHLRSGIELEKKGYAESYEQGQEMQKNLISVASEVEKLRAEIANAESRSRAIMSAGSQGYVGSYGNPKANFAPNPYNSGYSMNQINAADSASQYGPGATHASWGAYDMQRASGRR >Dexi4A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:4A:17340540:17341755:1 gene:Dexi4A01G0014870 transcript:Dexi4A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSLIQQEVAMAAPTLMQCLLAISLLSCAAHAQLSSTFYASSCPNLQSIVRAAMTQAVNNERRMGASLLRLFFHDCFVQGCDGSILLDAGGEKTAGPNANSVRGFDVIDTIKKNVEAACPGVVSCADILALAARDGTNLLGGPTWNVLLGRRDSTTASAALANKNLPSQTASLATLISFFGRQGLSPRDMTALSGAHTIGQARCTTFRSRIYGDTDINAAFAALRKQTCPQSGGDGNLAPLDVQTPTTFDTAYFSNLLSQRGLFHSDQELFNGGSQDALVRQYSSSPTLFNGDFVSAMIKMGNIGAGNAGEIRRNCRVVNS >Dexi2B01G0018120.1:cds pep primary_assembly:Fonio_CM05836:2B:28481024:28482857:1 gene:Dexi2B01G0018120 transcript:Dexi2B01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAWAAAAVWWWMAAARAGAVWLEIAPSGSKCVSEEIQSNVVVIGDYSVLYEHHHAHPNVSVKVTSPFGDIMHKKEKVSMDQFAFTTAEAGNYLACFWIDGDDRGLVVKLNLDWKIGIAAKDWDSVAKKEKIEGVELELLKLEMAAQSIHENLLLLKSKEANMRDVSEKTNARVTWLSMLSLSVCIAVSVLQLWHLQQYFRKKKLI >Dexi6B01G0008370.1:cds pep primary_assembly:Fonio_CM05836:6B:10527206:10529497:1 gene:Dexi6B01G0008370 transcript:Dexi6B01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCDTHAHPISEVLPDCRPSSTVANIRTVHREDPLSHSKAPAASSPLRPPPRSASPPLRAGRPHPDPDPGQTNQYTATMVLDTLSSPHRRSQNTFFVSSAKKPQSSRDDSCNLGG >Dexi1B01G0026600.1:cds pep primary_assembly:Fonio_CM05836:1B:31449003:31449688:1 gene:Dexi1B01G0026600 transcript:Dexi1B01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAFLLLLAVAASATALVHGRELPTRIKLIRGAAAGVGGDSMECVYTVFIRTGSIWKAGTDSNITLELAAEDGNGVGISDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMARPPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLDAVVDHCSSDAGTAAVAA >Dexi3B01G0024490.1:cds pep primary_assembly:Fonio_CM05836:3B:19126561:19127665:-1 gene:Dexi3B01G0024490 transcript:Dexi3B01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPGSISILFQAAQWEIDRSMATSSASSMPPAASTGSVITVASPPTTAISGGPAGGGGGGAGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKILNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISILQHRLRLVQQELARASYELSKFQAAAAAEAAAAVGSTGAAAGGMADFLGNPVPNCTQNFINVGHSTSASIAGAGGGGFMQHDHFASVQTMLARSYDGDAARLGMNGGGYGGFGYSAAALGAGGHHGVASGVLGQIGSGPFLKSGTAGGDEHPTAGQ >Dexi2B01G0006760.1:cds pep primary_assembly:Fonio_CM05836:2B:6718361:6719419:1 gene:Dexi2B01G0006760 transcript:Dexi2B01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLAPTAAIVATSATTLLPPPPIDLRRHERGMSLPMPPPPPSLVATNNRYVGTKHKAVVVMGATGTGKSRLAVDLALTFDGEVINADKIQVHAGLDVATNKVTDAERAGVPHHLLAVVPPNAEFTAADFRRDATRVAGEVTSRGCVPIVAGGSNSFIEELVDGGDRRKFRELYDLCFLWVDVSLPVLHVHVARRVDDMRRRGMVDEVAAAFDPRRVEYTRGVWRAIGAPELDAYLRWSGEVDGGERGRMLEEAMEEIKSNTRRLACRQRGKIQRLARMWRVRRVDATEVFRRRGGDADEAWRRLVAAPCIEAVRSFLNDEDDDEEDDDLLLPQVVPVFAPTPAAAAAVAV >Dexi3B01G0024530.1:cds pep primary_assembly:Fonio_CM05836:3B:19165118:19166192:1 gene:Dexi3B01G0024530 transcript:Dexi3B01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSPWPVSQDLAALAGRARSLSSSRGDAGLLADLAAALLRIQPVARELERRSSWLPPGSCAAEAPALHAWLLDLTAAVAEAEDLLDDLHRRRLLGPAASGCLGAAAALLGPARELRRLSRRLDAARYDSERLGSGRAAGCGVRSPHRVTGSVPAERRVVGRDEECDDIVGRLIGGGEEICCSVAPVVAVVGHGGMGKTVVAQCVYNDTRIEGYFDLRAWVCVWDRLDEAELTREILHATGHADDDTSCDDSLERLQERLGEVVASKRFFLVLDDVWKDEGKTELETRTVWNKVLAPLSSAATGSKILVTTRMKLVAEVLNATYVSC >Dexi7A01G0003330.1:cds pep primary_assembly:Fonio_CM05836:7A:12689957:12691297:-1 gene:Dexi7A01G0003330 transcript:Dexi7A01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSFLLSMCLLVLLQVCIAAQLPLISSSQPQIADAVLCRDPIRRALWMSSHARDEFHGHSADSISDEELTGDEYDDSNYGAEAGKGLLPPDGDGDGGSSGGTKDSSSGVDVQCPECGKFFKNDKSMFGHLRSHPNRGYKGATPPVKKIKLSPETAIASSSSAQLGTNRLSPPQQHSGRDPQLTPLEKLCACVMLTLKYGRDNNGKAAQQAPPPPTPSPLFGKVEAVGQAEGGTRGLLATGNSSTTDEFKCNNNTGVEAGNLINSDGHSGFIVKIPKKKRNMPKEIIEARSRKKAKLVLTPKEKRPYVCKHCKAEFPTNQALGGHVAGHHREKKMQRLNLNDPLGMVAESHNNGRLQRVIKGRGYDGDEDLSLPRGQQLPLQFPVGLNVPWHSSGMASGGQVRQLQSERRNLGLPSATPARTDHGDARRLLDLNIDLNVEAPELE >Dexi9A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:9A:12939417:12941853:1 gene:Dexi9A01G0017810 transcript:Dexi9A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTHGAVDSLLGLLSSAIKDEARLLGGVHGDVQFIKDEMDSMNGFLLHLTKTEGEHDDQLRAWMKQVRDIAYIAEDCIELFVRDLAPPDGGALAFLRHLPVYLWTAPARHRLATKIRELKVRVRDVGERRLRYGVSVPAAPELKRVAKLPGMDESAEEARREAFLQALDKEVAMLHSGGLASEQEEEGAARTESRAIALLPAGLPSEASTICTILNRYYRDDDKLSCSRMFLCALCMYPYVTAQELKSLHSKLEHGAQEPKEQVMTFCYSRLSTQQKSCLQYLMAFLQEKSISRTSMVRRWVAEGLVAREHEMTPEEVGERCFRELVFRGFVRPVHVGDAGTVKSCVMDETIMVFVTNIAKSENFVSELPAHLDRQLKIRKIVLRPRPKQTKVDGWRISIHDLICCDGGGGITSRLPQEDEDDTVVAGDNTAASDANKDPMDELVDFLRSLPELYRLNVLDLGGCKGLKKRHLKNICKVVSLKYLSLRNTDVSRLRPRHIQALRLLETLDLRGTDVPPSDMRRIFLPKLKHLLAGRYIMPASGGDAGMARRMKAAEASLSTVDAPSRIGMMRNMETLCHVNVSKHGNELEGVAKLQQLRKLGVVVAHVNETTAKLLRRVISGGVALRSLSIWVTAEDDGTGTLDISKKESSFFPSNMVLENLNIVGKCSLPPWIVEVKKLTNVTLRETGLKVDDLPSLGRLQGLRCLRLYRDSYTEHALLGFTAEQFIALRVLVIMGDRISSISFAPRAAPMLEKIVWAFRTMDKEKEEPLSGIAHLLRLKAIELRGDLDPKPSRNIQTDLP >Dexi2A01G0006070.1:cds pep primary_assembly:Fonio_CM05836:2A:5774135:5775792:1 gene:Dexi2A01G0006070 transcript:Dexi2A01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLWSCLMVSLTCVVSTMLWHGLHHLQLPHFLCRHSHRLSRRLAAFLDPYLTVTVAEHDGGGRIRHSEAYKEVQAYLQRATMDATGGVRHLTASPARDSDPDRLVLSMDKGEEVADVFRGATISWLASTSPPPDDDSLAHRAASRAAGRRLYRLSFLERHRDLVLGEYLPYVRREGRAAMVKDRQRKLFTNISGGSYDSDEIWSASSWSHVVFEHPKTFETLAMDPRKKKEIMTDLDAFRSGKEYYARVGKAWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDIELTSVSSNTELRKLFIETTSKSIVVIEDIDCSLDLTGKRTTSKKKKDTAAAGDGGHKKDGDRKEDDGSSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFRFLAKVYLDVDAHHLFDDVEALLKEVDMTPADVAENLTPKGPGEDADSCLAALVEALEEAKEKALAKKKAKEEAAVARAKKAGGKEEEVADEDGDVDDD >Dexi9B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:9B:10278464:10279490:1 gene:Dexi9B01G0015170 transcript:Dexi9B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPEVDTAVRSLTVMWDGSIVVAANNHGTCYVWRLLKVTQIFKLTKSITLKITCFEPLHKLQAHDGYILKCLLSPKFCDPNRYLATASSDSTLHLILPQDCGQCRPERPSGCIRDITRQTSVVPSMMGLNQLLDKNHMAFGSST >Dexi2B01G0027940.1:cds pep primary_assembly:Fonio_CM05836:2B:36693568:36694270:-1 gene:Dexi2B01G0027940 transcript:Dexi2B01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARIFGRDQTQAITSRVVGTYGYMAPEYLMRGNYSVKSDAFSFGVMVLEIVTGRKNNNDGNEPQQSGDLLTTVWEHWEAGTVPELVDPSMSGNFSVGDMLRCVHGDPAARPVMSSVMMMLGSDTVTLQGPSKPAFLARNNGSTTTLPTASVQG >Dexi8B01G0009800.1:cds pep primary_assembly:Fonio_CM05836:8B:17694459:17695670:-1 gene:Dexi8B01G0009800 transcript:Dexi8B01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEPKVSVAPPPSPVPARKRLEGKIALITGGASGLGKAATHEFIQEGAAAVVIADVNTKLGLAAAEELGPQAHFVPCDVTVEVTVARHGRLDVMLNSAGVAGSLSGTSRIAELDLAEFDAVMAVNVRGTLAGIKHATRAMSASPSIAGAGDGGGGSIICMASVSGVLGGLGTYPYSVSKFAVAGIVKAAAAELSGLGVRVNCISPYAVATPMVVEQFSALLGGAGVGADEARVAAIVRGLGELKGATCEAVDVARARCTSPLTTPRHNLVVDGGLTSYKRMNLPFPTKPQE >Dexi3B01G0030850.1:cds pep primary_assembly:Fonio_CM05836:3B:31059501:31061711:-1 gene:Dexi3B01G0030850 transcript:Dexi3B01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGVSLALEILVLLAALLCGVQCQSSQGGSGGGGGAANLTVVGAVFCDACSSSSFSKNSYFLPGVKVRLDCMIKVNSNSKEEIKITAEKVTNSYGAYQLDIPAIDGFECAAGGATAAESFCRAAVLDNPSELCNVPAVTTTAGHISFPTKDPSSCFYSLNSLYYRPGKPPEPAAHCGGGGGGVSPEPAVVNTSLFYCPPWPWPPIPFCTPRPWLPPIPFFTPPPQPWFPPIPFFTPPPPPPAFPFFPLPPIPFLTPPPSPPPPAFPFRLPPWPWTPPSPPPPPPPSFPFPHLPPIFATPSPPPPVPVCVTAASTATSLAALAVAAPTAAASSSAAASSSAAVISLAFSAITFLPSRFVRAISSTCKEDFSERSKHLVSFRK >Dexi4A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:4A:14309786:14310723:-1 gene:Dexi4A01G0013770 transcript:Dexi4A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPRREEDLLQGQEDLQPDQGQEDLQPEQAAIRYGHVFAVTGDLAGQPIAPRDAAAMRSAEESVPVVQVPEGAGGGFSAATAMETAAAYNQAIGAVAPGQASDVAAARGITVTQTAVPGGRIVTEFVAGQVVGQYSVADPAPAVEEDATKITIGEALEATARAGGGRPIDRADAEAIRAAEMSAHGSDVAMPGGLGDQARAAARANAQATRDGDKMKIGDVLSDATAKLAGDKAAGTEDATRVIQAETFHDAEAHARAGGVGAAVTTAARLNEDNNLGGA >Dexi1A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:1A:5258122:5259971:1 gene:Dexi1A01G0006850 transcript:Dexi1A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQVTHYLYLFLALLLPLFLLKLKRRASNNGLRLPPGPWRLPVIGSLHHLLSSKLPHRAMADIARRLDAPLIYLKLGEVPVVVASSPDAARELMKTHDVNFSTRPWSPTTKVFIVDGEGLVFARYGTLWRQLRKISILELLSARRVASFRHVREEEVGRLVAGVAAAAGDGKAAINVSERIAVLITDTAVRSMIGDRFGRREEFLENLAEGIKITAGFNLCDMFPSSRLARIVGRGTLRRAEENHRRNFELMDYAIKQHEQRRAAMAAAADGDGAVEEEDLVDVLLRIQKDGGLEVPLTMGMIKAVILVTNTLQWAMSELIRNPKVMQKAQSEVREKLQGKPTVSEDDLADLRYTKLIIKETLRLHPVVPLLLPRECRESCKIMGYDVPKGTTVFVNVWAINRDPKYWDDAMAFKPERFEAGTVDFKGTDFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGEMVPSELDMTEEIGITVRRKHDLYLRPIVRVPPHVIL >Dexi8A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:8A:22425379:22426641:1 gene:Dexi8A01G0012690 transcript:Dexi8A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPSIIVTPIAATVAMEFMKLTFRSLYIRRRGPNCEISMDGISNFGKRYFSDFPVYDGPGSDAKLVARIQGVTTQTGESYHQIFTIVFEIDRFKGSTLLTNGVITGGSDEWAIYGGTGVFAMATGVIKRKYVEPRNDGNTDEFAMDVFVPVMVPSGDSQTKQGSVTKVGLWGGKGGSAQDITEPPKRLQSVTIRSDIAIDSIEFTYTDESGQRHTAGRWGGPGGYPHTIDLADSEYVTEISGTYGTFQGVTVLTSFKIVTNERSWGPWANENGKHFTFSVPTSTSVVGFYGSGGTFLDAIGVYLHQL >Dexi4A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:4A:10341953:10344744:-1 gene:Dexi4A01G0012020 transcript:Dexi4A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETAAPAAWFFLLLAFVGGVYVAAFSFRFFAYAALCLHRPRDLRRRYGAWAVVTGPTSGIGRSMALELARRGLNLVLLDLDAANLRETSDVIRSRHAVKTRTVVFDLSLVGTPQGDVAIRRLRAAIEGLDVGVLVNNAGVSRPSSVYLHEADVEALLRMIRVNLWALTEVTAAVLPGMVERGRGAVVNMGSASSEAIPSFPLNTIYAATKRYVAAFSRSLYVEYRSKGIDVKCQAPFFVATRMVSSAIRDYWPSPFVPTPDAYASGGSLDRPRPALHPHRRPPAALVPRRRPAGRRARLAPGTEAAGVTSARSSPDSEVPGSELLQQRK >Dexi9B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:9B:11589711:11591509:1 gene:Dexi9B01G0016870 transcript:Dexi9B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSGASPASPAKEEDPPPQPPPSDPQTLARWYQLEALERALAGNTVAFLETGAGKTLIAVMLLRAYAGRIRSSPPPSFAVFLVPTVVLVGQQARVVEAHTDLRVRQFYGEMGVDFWSADTWRQALDGAEVLVMTPQILLDNLRHSFFRLRDIALLIFDECHHASGNSPYACILKRTSYSAKISKHEDLMNAKVYTVDSESALSQYIPFATTRIVQYDNSVIPYGTMDNWY >Dexi8B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:8B:24511772:24512270:-1 gene:Dexi8B01G0014150 transcript:Dexi8B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLLVGLWRVWSSLARYAASPSTFKVRAWNPLSLAPPHLRLLELYVVAGGAFADMCVEVLYSTHLRIFVDGGEINPAHLNDLEHGGMLLMFFLFGALALASQLFPRIR >Dexi1A01G0024430.1:cds pep primary_assembly:Fonio_CM05836:1A:30996623:30998579:1 gene:Dexi1A01G0024430 transcript:Dexi1A01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCLLAPFVLACAFRGSRRRRAAAATAACGKALPLPPGSMGWPYVGETFQLYSSKNPNVFFARKQNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPESIRASVPAIEAIALRSLHSWDGQLVNTFQEMKLYALNVALLSIFGEEEMGYIEELKQCYLTLEKGYNSMPVNVPGTLFHKAMKSRKRLGEIVAHIISARRERRQQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPSVLRAVIEEQEEIAKSKGSADEPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMTFTRKD >Dexi2A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:2A:22219932:22220414:1 gene:Dexi2A01G0013610 transcript:Dexi2A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSASAVESAKEAAANVGASAWAGKEKAKAVVQEKAAKARARDPAEKAAADARMEDRVRRVEAAKHDAMRRNAAAKERASAADHHPTPLGVGPAAEGPGGVHVLGRSTAATDAPLPDSGAETGAAARPAAAAGIAGNDGVVPPARGTAGGVH >Dexi8B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:8B:26472602:26475765:1 gene:Dexi8B01G0015760 transcript:Dexi8B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRDQLKGEVCRMFEAGKAISMADMVKLVDTLERLGIDDHFVKEIDKTLNRVHNEELDFCSSNDLHVVALRFRLLRQHGFWVPTDVFDKFRNNETGSFNVNLRNDPRGLLSLYNAAHMVVPGEMVLDDAISFARHHLGAAKGKLKSPMKEQVSRALDIPLPRFMWQMDTVHYITEYEKEDPHESMILELARLNFILLRSVHLKELKSLSLWWRDLYDSVKLTYTRDRIVECYFYSITLFHGEENSIARIILTKMYALLVLLDDTFDVRATFEEAQMLDEAVQRLDESAVSLLPDYLRMFYIKTLSNFNDIEDMLEPSKKYRMAYVKEQLGKCKNDFPSVVECYMKEYGMTGEEATATVADLMEQAWRRMNKDYIGMKPAIVPVAQYLLNTARCFETFYVQGTKDGLTYGRDVKELIATYFLKQVHL >Dexi3B01G0025000.1:cds pep primary_assembly:Fonio_CM05836:3B:19774141:19777688:-1 gene:Dexi3B01G0025000 transcript:Dexi3B01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVSLEGSESSEGFRASHPMLLVVAGDETNGLGAVQGGRLSALIRDTKSEPQAANCISTPTVVRFYSLRSHTYVHVLRFRSAVYLVRCSPRVVAVALSAQIYCFDAVTLENKFSVLSYPLQGAPGVNIGYGPMAVGPRWLTYATNTPLFSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQFATGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSGKIPSSVHPEADNAGMVVIKDFTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGTTRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRVKAMVVKAFLSYGSTASSSSFNCDKLSGSESSGSSPRIGSGPNSQLQDDEMHICFYVMDHPAAESGEALSSSGGEIEIEKLPHHEVEIRRRELLPVFKQFHYTEKNSSDR >Dexi5A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:5A:4688513:4692973:-1 gene:Dexi5A01G0006380 transcript:Dexi5A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGSSDRLEALSVEIERKLQKYLLQDVALVPDRLTIISSQAGRDLFLLLSRFMFFYDQDHLLSSFLEHFPTFPNSFLVGGPADYFVIELTDQLQKLKIEPVLLHYLSRMSVLQGRPLPKACDKPVLPAVVSMVLAFFLLELCDDLCHDHLLLHPKPARLKLGEPESA >Dexi7B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:7B:5196638:5204615:-1 gene:Dexi7B01G0002660 transcript:Dexi7B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSPTPPRWTPAASPRKRLNGVGCNNTGERKTSRGVFLTWQDVSVTAVDEKGKHKVILDRITGCARPSQVLALMGASGSGKTTLLDTLSGRLGLDLIGTGDVLINGRREMLSYGTSAYVTQENTLMPTLTVREAIHYSAQLQLPGSMSPAKKLARVDRIIREMGLSAVADSRIGGRVSKGISGGERRRVSICMQLLASPGLLFLDEPTSGLDSAAAYHVMAYVARLAQTAGITVVAAVHQPSSEVFDLFNALCLRMGGWNPSDHYLRIMNKDFDEEIKKGYNLETPSAAEAIETLVNSFRSLHNLATNMQAVGTENDVLPFIKERQAGFITKLLVLINRSSVNMHRDIGYYWLRSAVFTVVCFCIGTVFYNIGGTSVVSIQARISLIISILTLSTMASLGGFPSFVEDMKVFRKERLNGHYGATAFVIANTLSSAPFLGLMCIIPGAM >Dexi2A01G0029020.1:cds pep primary_assembly:Fonio_CM05836:2A:40114018:40117334:-1 gene:Dexi2A01G0029020 transcript:Dexi2A01G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPVKVVCRIEEPFFYLAYGLPFRPPTQSLQSMATPHRKHQLTSSLAAALFVAITLAPHAAGQPFGQICGSVNYTANGTYQSNIQRLALALPTNASTSRQALFAKATLGAVPNIVYALALCRGDANASACGSCVATAFQDAQRLCAYSKDATVFYDLCLLRYSNLDFIDSVNGGGNEQFVLMPARNVTTSGQKYVAVTSVLDNGDSALILTNTQNVTTTSFKVFDNAVAALLNATADYTADNSSMRFGTAVEGFPLDSKNPTIYSLAQCTPDMSPADCSTCLSGIIQDVAKYFSGKQGGRVVSVRCNYRYEQYPFFSSTPLLQLPEPTTVGAPAPAPATVMQPASGGDSTNPEDVLSIDSLTIDLATLRATTENFDEAKKLGQGGFGAVYKTLDLGEDPDKSNELDWEKRFMIINGIAQGLRYLHEESQLKIVHRDLKASNVLLDSDFNAKISDFGLARLFGSDQSQYVTNRVVGTYGYMAPEYAMRGYYSIKSDVFSFGILMLEVITGRRNGGSYDSEEYDHLLSLVSA >Dexi3A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:3A:6409622:6409933:1 gene:Dexi3A01G0009220 transcript:Dexi3A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKNVSPAPALLVLLALTMLSSMALALAAHHPPVGESHLQLIPPFFDGPVARAPHSPPPATAREEDDDDVSPQRKVPRGPNHSPNDPSPPPPSAVADAGRR >Dexi2B01G0029510.1:cds pep primary_assembly:Fonio_CM05836:2B:37886505:37891410:1 gene:Dexi2B01G0029510 transcript:Dexi2B01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSGGSEAGDRPWTVTSMWAPGPGGGAVEDAVSFETSDYDAEATPAGIVLSRPLPDEDGDVPPCEVTVSFRGKYEIHRVYVRSTARTYELYHSTDSKGTSKDYLCTVRCGLAVKEPEPCGEESMSQWSGGAPTSDKVEHEIKSVSGSSDEESWVDVKLPESPMENNTPEAQERKAIRICQENTLAHYEATAEMTDVSPCVSLTVRLLSIQSKTSVHIDEIYIFADPVESTNDNSVTGPGNMGGSSLLAMLVPGLMQMSTSRNLKIDDRYFSDGLRSQLTQDRAMKESSPSGKIVQETGLSSADNYKPTGIESGINPADNETVSNKKPNQGEFQIKDPNSLPLPVQATESIQATSVKDQRISDKDHLGNPAVNEKITPYNHIERKLDTLLSKVEKMELYCSRFADSMVKPLGSIEARLGRLEEQFTSFSVEIQSLRGSYSVSSAPDSMSNMNNSQEEARGAAPTTDIKPGLAVRAPDFSSDDSCYVTNENQADFRGPNAVPTLLVKVPEFIAQPDIPDGNLRDAPSSPVYCVPSSSEKERKISPGLVVKVPEFPDDDDDDEVEEERAEVGDHDNGHTQYDDTLSKSTVESTRSKKSVSINVALASALEALLTSTKGISSSKPVVRTASNLSAENTNESLGCSLSPGKTGKMCTKDRSVDQFLAASCDTDLVGTIRSSQEIDAIPHTSLSREMLDSKVEINEQNDDFIPEKVSNIASTESADVPSQPDTVEESIDNGSQVNEQNTCPSLDTLPYATSAGPLEPPTVFEVVESGVQVNENGPTISLAEFLAARNVSSGKNVTPEVCSSNDGAEKLSFERILAGADKNSKNINQLLVKKALEVDADEEKHLSSVPIGATFNLSSSAAPENAASGHNITTEQAVSDKCYDVENAENGFRLSVGMDTIFSQYHAADCKKEWIENTSSDWSPDDSFSKPNVMHSWSNLSSMESFNGEPGKEPVVSANATPGNYVEDLEDIGDYPTATQISGEELQKVCDLVYEFKDDMLGMTSTAKGTSKNSLSLEVLLAESSDSEAQLSDLEGIDNGSGIGSARLFSTFSSSDDDASAADGPLVDIAGLTPSETCASASKEPLVDIADLTNPSETGTSFVNKSSADNGRSAKPFRDICF >Dexi8B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:8B:22099179:22100821:1 gene:Dexi8B01G0012760 transcript:Dexi8B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGATQRDVAKLKRLVDPEDPSVLGSTTPQLNTVLHLAALHGHTEFAGEQLLVARNNDGDTPLHLAAKAGRLELAELLVRQALAWPQDEESPLAMTNKAGNTPVYEAVRNRSVLVALVLLDADTNGAFDLNERMESPLHIAAREGLIFVVPKIVGYHSVDPQYHPSISVNGTALHQAVVSGHTVIMEILLEKRPQLIDLVDSHGNNALHYAAQKDNQRAVELLLREGTHLAYERNHRGMSPLHVAAQYGSTDAVKAMLRHCPDVAEVVDESGRTAFHVSVVSGKVNALRCLLRHVCLSELLNQVDMLGDTPLRTAARMDRVDSALALIKDPRVDLCARDENGQTARSIIEMKLRIGETNTYEMHLLKKLQQQECKRCRKQQLPPTSSGGHRGLNDKDLDSVVHAYFLVATLIATVTFAATFTMPSGYDQTQGIPLHS >Dexi5A01G0035760.1:cds pep primary_assembly:Fonio_CM05836:5A:37466820:37467524:-1 gene:Dexi5A01G0035760 transcript:Dexi5A01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLWLRHRLQSLAAADPDPAAAAFGVSCRPDEATAPANPAFSAADGVASKDLHIDPKSSLSVRIFLPTPPPPVPAHLLSHPHPRRASDPTPTPASNGGAPYRGYLPHAVSSSPRAPASARRRLPIVVQLHGGGFATGSSSAAANDAFCRRVAKLCDAIVVAVGLAPESRYPAAFEDGVKVLKWIAKQANLALMSKVGGGVDTFGASTVEPWIAAHGDPAR >Dexi1B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:1B:2124141:2125247:-1 gene:Dexi1B01G0002670 transcript:Dexi1B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPRLVLLVIRINYIGSNHLPLMNQARNTEVETGNDKQLKLQMSLNSYHQNDAGQLVHVGNPSAVSTGLRLSYEDDERNSSITSGSGSMSSLPATAPLVDDLMAEIDKENKEISYYLRLQAEQIGKQMKEVNQRRMISFLANLERAVGKKLREKELEAEAMNRKSKELNEQIRQVAMEVQTWQSAALYNQSVANSLKSRLMQVVAQNTNLNREGTGDSEEDNAASSQKPNAGAAPGGFF >Dexi7B01G0024230.1:cds pep primary_assembly:Fonio_CM05836:7B:28597332:28598633:1 gene:Dexi7B01G0024230 transcript:Dexi7B01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKQAASRQRKQDDDAALQGRTGRTRARHGDEMLSLSVSLVWCGQCGGQNDEGVPDRPPRRHVYMPAHRTLHWPETDTYGHTDWNGSTNR >Dexi3A01G0033980.1:cds pep primary_assembly:Fonio_CM05836:3A:39287603:39289039:1 gene:Dexi3A01G0033980 transcript:Dexi3A01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQEEHQQIRVRRGNNLDSEITWEDYKSMKFTSHVIHESLRLANIAPVLFRKAKQDVHIKGYTIPEGWTVMVCLSAVHLNPTAYEDPCVFNPWRWKVFGGSKDFIAFGSGLRFCVGADFAKLQTACGEETPRCHSSTFPPRRSFAGGSLELYAGELLHHVAIFSKQEASPSSSFLPIALFLKLKVKSKKASHLLVLHQPATFVGVVHNTGEPFSLSIHSPLL >Dexi3A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:3A:14938049:14938823:1 gene:Dexi3A01G0019090 transcript:Dexi3A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEHEQDDTTRPYVHLGAVVAAAPQHLRRDVGGGAAERVEKPVGVELIGDGGEAKIRDLEIAVLIAVEDAPGVTEADGGDELLEVPARDVLLEAALGDAREELPAADELHDEVDLRLGGHDLEEADDVGVSHAAEDGDLALDVRDEAVAERLLLVEHLDGDGLAGVGVAGVVDLGEGAVAEDAAQLRPSRRPPRLVGPEEDAGRRGCGDGSRDDGGVEAIGVGEEASRAEKRRGKEGGSAD >Dexi4B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:4B:8506705:8510781:1 gene:Dexi4B01G0011070 transcript:Dexi4B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRHRQVELLLLQKLLQLRSNLPLLQQHLLLQLQGQHLHKHLLPQQKRELSPLYLLGDADVYSQAASNLVSGNSLEQTIQQILDMGGGTWERDTVIRALRAAYNNPERAIDYLYSGIPENVEAPPVARAAPASGQQTNLQAPAPAQPAVAPPVQPSAASAGPNANPLNLFPQGVPSGGANPAAGAGAGAGALDALRQLPQFQALLQLVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRMVNESPEGGAGGNILGQLAAAMPQTVAVTPEEREAIQRLEGMGFNRELVLEVFFACNKDEELAANYLLDHGHEFDEAQQ >Dexi6A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:6A:28777893:28779039:-1 gene:Dexi6A01G0021560 transcript:Dexi6A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLSCYDRHSGQWGLWVLVGILGTALLLLSYYLFLTRCGLLFFFCRTGTTTRIVSVQDDDGQPPRRSSSGGGGGGLDGAAIRRTPRFRYGRRSKCSSSEECAVCLADLRDGERLRLLPACLHAFHIDCIDAWLQSNANCPLCRAPVVAIADDDYQLLCIADATSSSGAEAAPAAATSGFLLGRRSLSMDSSSCAHKHCYLALILQQHNSNSISIGEEEDAGKVGEGGSSITSSRRLRRSFFSFSHSHSYSHSHSRGSSILPL >Dexi5B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:5B:8504572:8506089:1 gene:Dexi5B01G0011960 transcript:Dexi5B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLPPALHRVLSLLPRVASPRQLLQAHAFLLPRGGHRHPRLLSALLLASLRLAPRHHHAHAAALLRRVHPSVSIRAAARLPPWLLGGSLLAPQLHSLLLRAGLAASDAHVSASLIQAYCACGRVSAARGVFDEMRERDVVAWNVMIAGYVKSGDLVSARELFDVMPERNVVSWTTVIGAYAQMKQPEEALEVFRRMQVEEGIEPDGVALLSVLSACGDLGAVDLGEWVHMFVVRRGLFQKIPLMNAIIDMYVKCGCIKKAVEVFEGMEEKSVVTWTTLIAGFALHGLGLQAVEMFCRMERENVVPNDVTFLALLSACSHVGLTDLGRWYFNVMVSQYGMKPRVEHYGCMVDILGRAGCLAEAQDLVQEMPFKANAAIWGALLSAARTHGHTGLGEQALLHLIELEPHNSGNYILLSNIYAEQERWNDVRELRKAMKERGLRNVPGASSIEIDGMVHEFTSRDGSHPILHRICKVLCEINTTMKSVGFAAVLHEVLHDMEEG >Dexi1B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:1B:26458031:26460583:-1 gene:Dexi1B01G0020360 transcript:Dexi1B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAQGGHRSRALGAARGGEGREEEGKEERGRGAMGLGVRAAPFTYVAHALAVAAAVMVLVWNIHFRGGLAIEATNKNLIFNWVFGFVSFFFPGAAPNTRKSLLPWHILFGLFIYILALANAELGFLEKLTFLESSGLDKYGTEAFLVNFTALVVVLFGASVVVAAISPIHLEEPQGYAPIPEN >Dexi3A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:3A:16339530:16340779:1 gene:Dexi3A01G0020580 transcript:Dexi3A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKSQNKGAVQKGSKGPKLGGGGGKR >Dexi3A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:3A:1000175:1001271:-1 gene:Dexi3A01G0001440 transcript:Dexi3A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPASESSSIIPTTTADTAGHVVEHHHHVDMIANNPLNNYARPACSNPGEEVTQLIARRSPFAATIDGDRSSSPYASSMDNISKLLNGFMKSSSPQNNGAADIKPSATEINPLLSFNHMSSSTTPSPVFSDILPLPPPQQPALMGHRSYGDELKQQHQQGALSPIEKWLFEEATEQVVDLMDLSDDGCCSVPMMF >Dexi1A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:1A:26507592:26507968:-1 gene:Dexi1A01G0019370 transcript:Dexi1A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVGLVSLVALVFLLSFRSLLHQQVLVGEGASAAASGRHGRSQQRRQHAEEWAEERKRMRWFMTRDYAHARRHTPRNNRLDP >Dexi2A01G0026310.1:cds pep primary_assembly:Fonio_CM05836:2A:37815767:37820245:1 gene:Dexi2A01G0026310 transcript:Dexi2A01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGYLTDWPWKNLGNHKVVWLTFALYIIYSCSHGFKYALVAPWATLSTYRFVTGSDLDLLAFLVLPVLLLRLLYAQLWITVSRYHTARSKHRIVNKSLDFDQVDRESNWDDPIILTALVLYGLQAALPMARGLPWWDSRGLAVLFLVHAGPVELLYYWFHRALHHHCLYSRYHSHHHASIVTEPITSVIHPFAEEVAYFAIFVIPLLVTMATGTASAFHILPGADIPTASVGTRHSSRRRDAQVQQRKQSGTQAEVEHQKSVEYLDWIDVGATALVALGAGPPLFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYMYGTLDASTDHLHERALLRRKSKEEEEHVDVVHLTHLTTPGSIFHQRLGFASLAAAPLAAPAATAWPLVALTSLLGATTFRSEANRLRELNVETWVVPRFTSQTDEQLVCGQGYGELYITRKPNLKTKIVDGTNLAAAAVLHMIPEAANAVLLLANANDKMSYVLSLALCEREIRVRYTHATLKLDTLHMTRTYVRYSAMVWLVGDGLMDEEQGRAHEGVHFVPYSQFPPKIIREDCIYHSTPALLVPETFENLHACENWLPRRVMSAWRAAGIVHALEAWDEHECGGMVTGVDKAWRAALAHGFRPYDR >Dexi1B01G0029370.1:cds pep primary_assembly:Fonio_CM05836:1B:33692747:33696584:1 gene:Dexi1B01G0029370 transcript:Dexi1B01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVENPAKEKYIRDIFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDEFISYGRTSSHMLHMSYFKDDSSAEAWDYSAWVRNYAVYLEERIESFRVLNYDVEKDPLRTRDLDTVGLLDQLPALQQLLFRLLGCQPQGSSSYNVIIKHALSMVAQESVRIQTAINDAILNLVDKFFEMKRDDAIRALDMYKRAISQTEQLSEFYEVCKSIHIGRGERFLKAEQPPASFLATMEEYVSNAPLASTVQRNQAVLAIEYNRKPEAEEPSTPAPPAPEPEPEPVKEAPPVAEPEDLLGMDESTHDTSEIDQKNASALAIVQQDNAPKAPAPTRTESVATSWELALVTAPSSNGNAVTSSKLAGGLDLLTLDGLYNEAHRQAQQAQQNASYNPWETTSGPMMQQQMYDPFYASNSISAARNVQMAAMAQQQHAFMLQQEQQQQMMRAQQQQQASSNPFAANPYMHAGVHPYGAGMQLHAGNAYTGTGMM >Dexi1B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:1B:21256938:21258766:1 gene:Dexi1B01G0014840 transcript:Dexi1B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding PILLEADGVRFGYSGNTGPKYWGSLSPNFTLCSKGINQSPIDIVKDEAVYNPQLEPLERDYTATNATIVDNVFNIALRYNDTSETVKVGGIKYKLKQLHWHSPSEHTMNGQRFAMELHMVHFTEDGNITVVAILYRYGKADPFLFQIKDQLAELHAEGCKAEKGEPLPVGVVDMTELKQGADRYYRYVGSLSAPPCTENVIWNVLAEVREMTKEQAADLMAPLEGSYRHNSRPLQPLNGRVVELYDRSMKIRKMM >Dexi3B01G0024730.1:cds pep primary_assembly:Fonio_CM05836:3B:19388496:19391237:1 gene:Dexi3B01G0024730 transcript:Dexi3B01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCCLSTTNTKASLTTHNSNERGYLATSLQAAMAATTPAVDSNADGEQGRRKKGGFRTMPLVLASEVCDRFAMAGFNANLITYLTQQLHLPLVEATNTLNNFGGTSALTPILGALAADSFAGRFWTIIAGSAIYQVGMVGLVVSAILPSLRPPPCSPALAGTPSCRRASGLQLAVLYLSLLFTSLGSGGIRPCVVAFGADQFEQQQESEEAAAKAEAERKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIGMLVSIVVFLVGYPIYVLLKPGGSPFTRLVQVVAAAFKKRNAPVPEDPGMLYQDKELDAPISTNGRLLHTNQLTFFDRAAIVTPGDISASGQPDLWRLSTVHRVEELKSIVRLLPIWSAGIMLATAGSHNYTFALVQARTMERHMGPHFQFPPASLAIFSTAAMLVTLAFYDRVFVPLARRVTGLPSGITYFQRMGIGLAISILSVAAAALVETKRRDAASRHGLLDDPAGVVPLSVFWLVPQFAVHGIGDAFSSVAIMEFLYDQAPESMRSSAVALFWLAGSIGSYMGTVLVTVVQRATRGRGEWLQDNINRGRIDNYYWLVTCIMVLNFGYFLVCFYFYTMKPLEVAEDEHDKECELSSLRKNGGGGTNGMV >Dexi2A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:2A:32029627:32031904:-1 gene:Dexi2A01G0019980 transcript:Dexi2A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHILYCILLASLLAVPPLTNAGELDQAALLRQFIVKSRARAQSADGPAETDPWVDPVSTFGHLPTYCKNPKGSKEADRIKALPGQPPRVNFEQYAGYVTVDEEHGRALFYYFVESPYDAASKPLVLWLNGGPGCSSLGAGAMTELGPFRVNRDGKTLSRNRHAWNNVANVIFLESPAGVGFSYTNTSSDYEKTGDWRTAEDSYRFLLHWLERFPEYKGRDLYIAGESYAGHYIPELAALIVAVRRYTGKDPTSLKGVFIGNPYLDDYKNEEGALEYLWNHGVMSDEVWANINEHCSFGPSDDLLCEEAKWPFSLQNPHFNVAAGDISAYNIYAPICIQASNGTTYSIGHLPGYDPCIGYYVPNYFNRIEVQKAIHARIDTPWSTCNDSLGRIWKDAPVTMVPTLSWLVKNGLRVWLYSGDMDDVCPITATRYSVKDLNLTITKPWRPWYTPDNEVGGYVQQYKGGFTFASVRGSGHLVPSFQPKRSLVLFYSFLKGMLPPAVSLWQP >Dexi9B01G0024410.1:cds pep primary_assembly:Fonio_CM05836:9B:20506004:20511316:1 gene:Dexi9B01G0024410 transcript:Dexi9B01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSADAAVLGRGRLPLLLLLLLVCSSCWLLPQCDARKTLAATGAPATPIRAVNLGGWLLTEGWILPSLFDGIPNNDLLDGTQLQFKSVTQNRYLVADQGGGAAILADRVQASGWETFKLWRIDETTFNFRVFGNQFVGVNSTGGVVATATVPGPSETFQLVRRDSDKSRVRIRAPNGLFLQAKTMESVTADHSEDTDWGDDDPSVFVTNNVARLQGEYQICNGYGIAQATQVLRNHWSTYITENDFSFIASSGLNAIRIPVGWWIASDPNPPLPFVGGSLQALDNAFSWAEKYNLGVIVDLHAAPGSQNPYEHSATRDGSQEWGNSDANIAQTVRVIDFLANRYANSPSLLAIELLNEPWAPGATLPSLKKYYKNGYKAVRRHTSTAYVIMSNRLSISDPTELLQFASGFSGAVLDVHYYNLFDSKFNSLTVDQNIDFVRNNRSADLAAVTNQNGRPLTFVGEWVAEWAVQGASMTDYQRFTQAQQDVYGRATFGWAYWTLKN >Dexi5A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:5A:9540017:9541064:-1 gene:Dexi5A01G0012660 transcript:Dexi5A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMACFSGRAPPAPALSPFHHQEHKQAVVSALVYHGHVKDYPEDATCGRGHGGASGQGKLFARGHWRPAEDAKLRELVAQHGPQNWNLFAEKLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLAARRQRHHSGGATRRRKPSSSSPSSSSSFSTHFAPSAAAVRRHYGSPPLPFHAGGANAQHGIHTQAAAYSGGESEESASTCTTDLSLGGAAAAPCFYQGSPSAFSVCSTVRHRAGSSDEGGCDKLARPFFDFLGVGAT >Dexi7A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:7A:537949:539382:1 gene:Dexi7A01G0000320 transcript:Dexi7A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLESENLVANVAAIDQASALIAGGKLPEPLLLRRLIIGLASNMAKPQHENVIKLRVQSTLKAIMVAVMSNQMNMDPSACGTLSLLSLVPGFTFAIVRAYCNLLEAFPPQSTPEICRVVLILETLKQISMRMVDHPRFDDMAMDVLRALKIPNLDVRKVVLNTAVSFLTPVNVGDVLRLLMSELDLATADIPIEYLQMLQEAIRECHPVYSESIMQFILDPKYLVFSDCIRYIKDIIDCNPLLRAQLQKSLLRALRHVKSSPVCAAALWGISMCSESLFETRGAMFVISRLFDDLLDRYYVEKLIRGGGEMEFETRDCYGVKEGEHLQQCLMEMEELLFVHIGLRRQPDGSYAIASSSKNSASSEDVPSLNYTDNLTFLVQSGDVLLADFVENMLSKIL >Dexi5A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:5A:6291164:6292184:-1 gene:Dexi5A01G0008430 transcript:Dexi5A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKPNNDNTSKGTGDAQEQEESSSRRLKCSIKAEAFCCDVCSKPLKPPIFQCHEGHLFCSRCASDEILEKKCILSSGCTGTIMRSHGMDNAVQSISVDCTHAERGCTEKILYSDSYNHKLFCPHAPCRCPEPSCGFAGTAAELLDHLTTHHKWPSMMFQYWVPFDLRIVKPGTHVLQSKNDGQLFLLNVQSTEPPGLIVSVDSVQYFQSNDWGCSVSFSCSTGHRSTSTLDCVWPWWEFGWPPTDYICFVPKVLDGPGDAGIVLTINISTVTENEDTDDSSYVEYDSDDSSNDDSS >Dexi2A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:2A:29375206:29377513:1 gene:Dexi2A01G0017500 transcript:Dexi2A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASSVDNRTRSTVSICIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHHSKAGSSSSALVSPVKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIADLLCWEKVSEKGEMAIWRKRLNTESCPSRQDESTVQMCESTNPDDVWYKKMKACVTPLPDVKDESEVAGGAIKPFPGRLNAVPPRIANGLIPGVSTQTFQKDNKMWKKHVKAYSTVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNAVPTIANMPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASSLFTLYKNKCSMEDILLEMDRILRPEGAVILRDDVDVLTKVNNLAQGMRWDTKLVDHEDGPLVREKILYAVKQYWVGGNQTALA >Dexi6A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:6A:176776:177579:1 gene:Dexi6A01G0000240 transcript:Dexi6A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQQFVGGLTSLFSSNNKQLDTRGAVLPGHSSSSSMSSSSFSVWQWTSCGLHPRTISFREELEEEADGYGSQLHDNDNDRHMVAMKQPAYYKTMSSVDDSSMPTSDAEAEAVISALRSDRLFFEPEDTSSFKQPMTKQAVDEDEDMAMSSKSAAATEAFFGGGMATSVESRNPYRDFRESMEEMVMSQAQGGVNKDWRWLEEMLGWYLRANGKSTHGFIVGAFVDLLVALSASSPADLSSSPTTPAAANCSSSSECSCSSSSSSL >Dexi3A01G0029290.1:cds pep primary_assembly:Fonio_CM05836:3A:30734894:30735654:1 gene:Dexi3A01G0029290 transcript:Dexi3A01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPSLALLHAPLLSWCRAQPQRRRVTAVISDVFTGWARPLAEELGARHMTFSPCSALYIAIWRHLCSSGRSMPRNIDDGAGDDDELAIAWRQLSTEYQILKDGEEVSAPFVWATWTLTVLPEGFEAATASRGMVIRGWAPQVEILQHRALLEAVSAGVVMLTWPMGADHFVNRMLLREASLAVDVAEGADAVPDAIAAAVGDEGKPISERAVELGRKAVAAVAEGGSSHRDLQELIRLLANVD >Dexi3A01G0033430.1:cds pep primary_assembly:Fonio_CM05836:3A:38206260:38210542:1 gene:Dexi3A01G0033430 transcript:Dexi3A01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGKAVGAAVFVSCAARRWSPPRGIPAGEEVPQHIGDEAAPLLNLGFVGETGEREKQSMGIEGEGCESAGTLVHGRAKEQPPSAPALPGDNEDSSSGDDDDWYVSDSGEDEDYENQDIERRGPSPVMLIPPFKTGYHLFGSDYNLADKSEVTRSNDWDCSNKCRCHPMDLIQFIDVKIAGYQHTHPGRAKIFGFVAARDMIKPLRNYVYNRGLDNCEVVSVKKKDGNSICSMLCKLATTCTRATFIVKIPIHDTWGVACLSLASPARVISMSSRALIEFELHALSEEKTNNDDDVIIEGCTELYDMHATKSFIRNQRLYGQRCALDIKYLVLINAVEARVDINVIRVPVHGINLKLLAKTSGFSNVIRLFQGTVLEVGISASFAVAVGRRNFLDLCIEGSQRDDDSPVQKTQQYECWQCSFGSRYHGVEDLVAELGDFAAVSVKISWTSYEKTY >Dexi5A01G0014430.1:cds pep primary_assembly:Fonio_CM05836:5A:11484004:11486007:-1 gene:Dexi5A01G0014430 transcript:Dexi5A01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFRTTATTTLLVLSLLVVAVRSDTAATPVTPSTACNETTDPNFCRTVLPSNGTSNLYTYGRFSVAKSLANANKFLALVNRYLSRRGTGGLSAGAIAALQDCQLLSGLNIDFLSSAGATLNTSANSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRDGLAVPMVNSTKLYSVSLSLFTRSWVPKAKGGKSGGGKKSKPPRHGHGRGLFDATDDEMVRRMALEGAAAAVAVVGEVTVDKSGAGNYTTVGDAVAAAPTNLGGSSGYFVIRVAAGVYEENVVVPKNKKYVMMIGDGIGQSVITGNRSVVDGWTTFNSATFAVLGTGFVAVNMTFRNTAGPAKHQAVALRSGADLSTFYLCSFEAYQDTLYTHSLRQFYRGCDIYGTVDYVFGNAAVVFQDCRLLSRLPMQGQSNTVTAQGRTDPNQNTGTTLQGCTIAAAPDLAANTAFAVATYLGRPWKLYSRTVIMESEVDALVDPSGWMPWDGDFALATLFYAEYNNSGAGADTSRRVTWPGFHVLNSTVDAGNFTVGNMVLGDFWLPQTGVPFMSGLN >Dexi5B01G0029980.1:cds pep primary_assembly:Fonio_CM05836:5B:31043894:31044088:-1 gene:Dexi5B01G0029980 transcript:Dexi5B01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEEDRPRQSLRSGSSSHGSFGGSSHGCTTAWRHARHTTAARALSGSELARWSTMPRMSM >Dexi1A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:1A:24007008:24013268:-1 gene:Dexi1A01G0016720 transcript:Dexi1A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSPDMNGEATAKKDAAARRSPFMLLFAHADKVDMVLMLLGLVGAVGDGISYPVNLIVFIRITNDVGRGPDLLQEFSSRINESARITVFLALAYWVLAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLRSGTTSEVVTSVSNDSLVVQDALAEKVPSFVMAISMFLGCLVLGFTAVWKLTLVALPSVLLLVVPGIVYGRVHVGIAHRIREQYALPATIAEQAVSSVRTVYSFAAEETTVARFSAALEESVRLGLKLGLAKGLAIGTNAVTFAVSAFTLWYGSRLVMYHGYQGGAVFAVSNAIVNGGLALGSGLSNVKYISEAISAAERIQKVIQRVPKIDSASDAGEDLADVAGVVEFKNVEFCYPSRPESPILVRFSLRVMAGRTVALVGSSGSGKSTVLALLQRFYDPSAGVVALDGVDIRRLRLKWLRAQMGLVSQEPVLFAATIRENILFGKEDATAEEIIGAAKAANAHDFISKLPQGYDTQVGERGVQMSGGQKQRIAIARAIVKSPKILLLDEATSALDTESEHIVQDALDLASAGRTTIIIAHRLSTIRNADTIVGMQSGEVMELGSHDELIANEDGLYKFHQLRQTNHSSEVVKANGASGASFDVEQSKTHNRIRRFSSASRSSSAKSLGDPSDNDGTEKPALHVPSFKRLLMLNAPECKQAIMGSFSAIVCGAIQPAHAYGLGSVVSAYFLTDHAEIKEKTRTYVRSLVGDRMALVIQTVSSVLVSWSLGLVIALRLAVVMIAVQPVMIISFYARHALLKNMSKKSRGAQSKSSKLAAEAISNFRTITSFSSQDRIIRLFEEMQDGPRKDNIRQSWLAGLVLGTSLFVINCTFALVIWYGSMLMVEHRITAKELLQTFIIITMTGRLIGEACSMTADLAKGADAVASVIAILDRETKIDSGNPEGYNPGKLKGEVEILDVDFAYPSRPDVIIFKGFSLIIQPGKSTALVGKSGSGKSTIVGLIERFYDPIAGVVKIDNRDIRSYNLRAIRQYIGLVSQEPTLFAGTIKENIMYGTETASEAEIESAAKSANAHDFISSLKDGYNTWCGERGFQLSGGQKQRIAIARAILKNPAILLLDEATSALDNTSEMVVQKALDRVMVGRTSVVVAHRLSTIKNCDKIVVLEGGEIVEDGTHVSLMSKGHSGTYFGLVSLQQAMATDTEIYCDTDTLGV >Dexi9A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:9A:550893:555217:-1 gene:Dexi9A01G0001080 transcript:Dexi9A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFRGIARESPAAPAAASRLGREQDFACAAPRPGPQCWRRRGLVVRCQTAAAALLKTDDATAAHKAPHAAAGFTVVMKFGGSSVASAERMREVADLILSFPEETPVIVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIRELHLRTVDELGLDTSVVSGLLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYINKLGKKARQYDAFDIGFITTDDFTNADILEVTYPAVAKRLHGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAIPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRHAPGTVITKARDMSKSILTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKFAVVHLLQRRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVNDSEAKQCVQALHSAFFENGFMSEVEGADVPQNGALVNSNGAIYGN >Dexi8B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:8B:21747063:21751652:-1 gene:Dexi8B01G0012400 transcript:Dexi8B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKGALPSVLSKLGGLLINEYNLQKGLKGEIMVLETELERMQGALEKLSSIPPEQVDKQDKIWAKHVRELSYDIEDSIDAFMVHDKGSDDLGFKNFIDRSFHLWYQFCNRRNIATEIRGIKRQARELSEQSVRYKIDTDVARPATIDTRIFARYKKVTDLVGIDEQRDALIKILIEGDQVSKQQYNIVSIVGFGGLGKTTLANVVYEKLRGQFDCSAFVSVSQNPDMEKLFIEMFRQLADKKLSASTNAASIDAIREYLVTKSLTSLEELEVKVTNDDSSDNIMEELGRLTELRVLSIDFRIKGNKRWEKSVVECLCKLTKLRSLHMGWFAFELNLDGWATPRHLHRFDTRFSLWLSKLPAWMEHSSLLVDLSFIYICLTKLQQEDLEILGRLPALRILELEGRNQSGFVFGSGSFPSLLDCRLPRYIAKPVAFPVVFQEGAMPRLERLEFAIHVWETREIASGCGGLEFGLGNLPSLQHVELFLKDLEGVEGGGGGDGEGASGLSGGSEGWVAVVVGNATTVD >Dexi1A01G0024520.1:cds pep primary_assembly:Fonio_CM05836:1A:31039729:31041086:1 gene:Dexi1A01G0024520 transcript:Dexi1A01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVMAGAVVGSTFGALWFVLWHSLLQDVLASSQPAEIVQVQPHQTPNSCLRCPVLRLSLSPPIIQGQTPRSHPNPGNSLILSLSLSLRLSVPSRCFLPPLAQLPPRRLELAGRRSKNHALLVASSSTASLLPKRLGASVTTPQRSVLAAPDPEAAKVMARVGSGGESAEVGVSLESDPMLREDEPVGARGGDAKPDG >Dexi5B01G0010170.1:cds pep primary_assembly:Fonio_CM05836:5B:7100645:7100920:1 gene:Dexi5B01G0010170 transcript:Dexi5B01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLESKNKEKKTQIEVLARPHWRSRCSSQDPLLLRRSQSPNRACAADAHAPSLQPHTGLRKPPLGRSGHRRSGTVRAQVLPHNACAREQP >DexiUA01G0015360.1:cds pep primary_assembly:Fonio_CM05836:UA:32246439:32251632:1 gene:DexiUA01G0015360 transcript:DexiUA01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFSLSHRGRRIHPPPPPPAPASAHAADDWRPHGAASLDVPHPSPHPPCEAATVSRLDPGIVARSELPDRNETNPSESDLQPSFALNLFPDGYSFGELGKGMFSYLIDDDPKKRPYSRASKALLSDIEHGCLPQDILHGISCKFQNGSTLCEVRDYRSVFSNGDDYSGDDFPRVNRVHLRLGTECVVKDLPSIANASWTYHDQLTAESIILSALQPRLHLDPTPCLEMLCNSSAKKIDLGLNKGKDTSVLIMPTDPPENCKPKEFNNCEEPDIEKILSEAILTTQRHGLNEKAAKTDVLERSSILPPCEFFHPENIEEITSMRDETMTCNVPDGATSTWKIRRLTFHPSQYSRLVDEPQYTLCLLDSEALDHQITVGAIYGDEHIHISTFPNSSHAEKFVDQFISLMKRDGYNLYNDEVCNESSEHSQVIYGILLLWPSLLYRPNQPSGFRRWSQRC >Dexi9B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:9B:7856013:7856732:-1 gene:Dexi9B01G0011940 transcript:Dexi9B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNEQSTERQPMAWLDLTLAVKASHDELSAPPAAATDGDYSSSSADGDPAKPSSPSPAAAASGGSAAAAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSSSSSAAAAYHHHLHAQRMVMAGLPLEAHAAFMHASAALRVSPASSVIHKANQELAVARAAATAAATGTAPRFHDGDSTATAAATPWTQLLYEEPVSSTWPGSFRMRTQPEPPISEQQPSEQSKKIDLDLRL >Dexi9B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:9B:5669102:5671395:1 gene:Dexi9B01G0009150 transcript:Dexi9B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAEQVDAHEEGENQQLMMTTTTKGGPAPEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAAAPRGEEQGEEAPFVVVSKVGDDFAYASAPAPARRPPLVCASPTTSFHAQFSDATASAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVGHVALEDTPYARLLPRVAFLKASSEEAPHVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVAQVGVPTFHPKMLQALKEILEEKTTKSSPCMNGTTFSLERSNVHDELHTALQEVARLMFEQQQADPANGNGGDICSTQELNSSRTA >Dexi2A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:2A:14364725:14365293:1 gene:Dexi2A01G0012400 transcript:Dexi2A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAAGPGEDGDEEMGVKGEGEEEDDDDEEEDDAEDGYYMDPCPVPVVASPAGRAASTGRRRAREEKERTKLRERQRRAITGRILAGLRQHGNYSLRARADINEVIAALAREAGWVVLPDGTTFPSSPSAAAAAAAAQPATGSCQAAK >Dexi9A01G0043710.1:cds pep primary_assembly:Fonio_CM05836:9A:47390651:47390974:1 gene:Dexi9A01G0043710 transcript:Dexi9A01G0043710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVEDDVEDLQSLQASTRPSAAEAGERGGRRSMVRDRRDGMGERRSTRGRCCQWVRGERGRTTARGEVAGVAMARGEVVGAAMARGEVKYERASVDRRRDLVPSR >Dexi5B01G0025660.1:cds pep primary_assembly:Fonio_CM05836:5B:27650439:27651394:1 gene:Dexi5B01G0025660 transcript:Dexi5B01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLHTDSSQPPQRPRHVTTTTGPPAALDAGVVPSYPPPESDADESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLAFHLANKLSSSTLLSTLLNDLFLASLASHSHHSNPILSAAVADLVAARSRDPACAGFAHCLLSYKGFLAVQAHRVAHVLWAREGRRALALALQSRVAEVFAVDIHPAAVLGRGVLLDHATGVVIGETAVVGDNVSILHHAVGDRHPKIGDGVLIGAGATILGNVRIGDGAKVGAGSVVLIDVPPRCTAVGNPARLIGGRKGEDVMLMPGESMDHTSFIQQWSDYII >Dexi9B01G0022880.1:cds pep primary_assembly:Fonio_CM05836:9B:17777026:17778665:1 gene:Dexi9B01G0022880 transcript:Dexi9B01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARADEATAPHALLLPYPAQGHVIPFMELAHRLLDAGFAVTFVNTEFNHRRVVTAAAGSPPASSERLRLVGVVDGMGDGEDRDNLVRLNATMKDAMPPQLEKLLDGEWSAAAGDGGGLGKVTCVVVDAGMSWALDAAKRRGLPTAALWPASAAVFAVIVAAKKLIRDGVIDDDGAPVKLENNTFRLAESMAPMDATFLAWNYMGNADAERVVFHYLTTTAWAALATADVLLCNTFADLEPDIFSTTHHPTSPVPTILPIGPLRTWRRSTTASDDVPVVGHFWRADDEACLSFLDAQPHGSVVYVAFGSLTVMRPAQLQELALALVASGRPFLWVFRPGLTCGELPTAVGDMVRHHGKGKLVEWAPQESVLTHKAIGCFVTHCGWNSTLEGIRNGVPLLCWPYFTDQFTNQTYICDVWKVGLRVASVGREGVVTKEEIVERLESLLGDGGIKERVNRLKDLAESSMSGEGQSLKNLNAFMESMRK >Dexi9B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:9B:13273725:13277766:1 gene:Dexi9B01G0018590 transcript:Dexi9B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTSSPKLHLPVQSSLLPPPLRKWRIVAEGDARPASSVQEQLYRMPRTQERLGSGSPPGRMYEASTPSLKAVDGDGAGRPLRLRGCTEPLMEIWRWLRAQSRWLTEDGPAEQRPVILPPLEAGGIRCSVPRHRGLRQGAQQPNYATWNGQTAECSHRPRSKRHNWHPHHLNAPAWVTSSRYLLGEWGTRRTAAAPPLSRRSNAHEHGQRRRTLRVKVPAAPPPTVAPLTHPAKSFKFL >Dexi1A01G0027790.1:cds pep primary_assembly:Fonio_CM05836:1A:33501903:33502456:-1 gene:Dexi1A01G0027790 transcript:Dexi1A01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSPRALCVREAAAAAASSHPHSSAACSTVGSGVVGGRALWLWSGGGRGRRRERGGRVRAEAYFWDVSKPVEMDEIDSMEKLDDALRWSVENNQAIDG >Dexi9B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:9B:12301832:12303061:-1 gene:Dexi9B01G0017470 transcript:Dexi9B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLPRRQDLLLLLLLLLPIAVVHASPSTVPSPVPTQQLQLGFYARSCPRAEAIVRRVVRRRAAKDRSVLPALIRLHFHDCFVRGCDGSVLIDSTPDHPAAEKDAPPNLTLRMLDVVDDAKAAVEKACPGVVSCADIVALAARDAAAMAGRVRYELPTGRRDGTVSSAAEVNLPSPSVSFADALSAFRSIGLGVVDLTTLLGSHTMGFCHCGLIMNRLYNFNSTSPFDPTMDAGLLAVLRERCPPHVVTTPQNESRDVIVPMNFVAPLGPFGLDNSFYPSVLAGRAVLQVDQEIMSSGVARRIAAMFASRPGNFKRQFAKSMVKLGGVNVLTGRQGEVRINCRRFNT >DexiUA01G0000920.1:cds pep primary_assembly:Fonio_CM05836:UA:2945001:2945559:-1 gene:DexiUA01G0000920 transcript:DexiUA01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFRDSFRSSDPAAITTAPPLPSPIPALGVALSAADLRATAYELLVAASRATGAKPLTYIPQSAAASSTADGKLKGSFGLGSSASSNGEGGTAAVLELVRARMGITEQADARIRRALLRVAAGQYHGI >Dexi5A01G0021870.1:cds pep primary_assembly:Fonio_CM05836:5A:25712675:25714118:1 gene:Dexi5A01G0021870 transcript:Dexi5A01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAILLVSVVLLLNSPVGFCGCFKRIFSFGDSIIDTGNFVRTVSETPIKELPYGITYFNRPTGRVSDGRVILDFYAQALGLPLIPPSIPEEETSPFPTGANFAVFASTGLSPDYYTTKYNFKMPSPSCLDLQLQSFRKVLARIASGEAAARSLLGESLVVMGEIGGNDYNFWLLDRSHPRDTPSQYMPDVVGHIGAAVQDVIDLGARTVLVPGNFPIGCVPAYLNSFQSNDTSDYDQNGCLVWFNDFSQKHNQLLRQEVGRLRLQNPGVKIIFADYFGAAMQFFQNPKRYGIDDPLVACCGGDSRYHTSKGCDKNTTLWGNPAKFASWDGMHMTEKAYSIIAGGVLNGPFADTPLLKAC >Dexi5A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:5A:597886:604783:-1 gene:Dexi5A01G0000860 transcript:Dexi5A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAARLGRRRCSLLASVTQPPTEDEIIVQMVNKYGPKKWSTIAQALPGRIGKQCRERTQELTDAEEPMASTAKEQLPKDIETVPDEKKGDGALFYEPPRFPDKKLEFSDGNKENFYGAPEEARDSQNAGNKQPVDEQGGLQCSSQSVVNPNTELANNSQAAGILVEHNCIDLIAADRGAKPESLSLCKEAVSSKPKPAELVVEKSSPCINADYEYVNLCQKRIGISFGMEISLGFVSPVERTYDALGLVKQISKHSAAAAVEACEVLGSGSRTSDKENKENTDDKEPETRKSKTKILAEARVLDFDEYSTPTRTADKRLGGSCLGRSVSSPIVSSPSLRSFR >Dexi6A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:6A:7289303:7289689:1 gene:Dexi6A01G0007350 transcript:Dexi6A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLTKSVGALGLLVAYVPGGPIDPPYESVAVEIQLLNIRWSLASRWKFVSKEAPPVSVAIFAGHRISRSLCVSTNG >Dexi2B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:2B:8062594:8070824:1 gene:Dexi2B01G0007880 transcript:Dexi2B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWFGSYASRHLHSDSHVDKSWPEVKFINNYAVFMGYLSMAVTGTGMLVFTWSTVVLLGGFLDKLDTVDFWSVTVITFVQTRVFDVFLKGNISNIGYSFTRLGEAALYIGLTRKDRYRLCSVTGMVRLLVFTVLLCPLFALYMFGLFVSPWISLWRLLRTINGQGYRPLEETDNLKPALLMLYSLALLQGVLFYYWVVSKFEEKRLVKRVAEAYEIDEEDKARVEPVSNYLHAIRAACENDPSFARGRNFVTYAVDLMESNSPANYIHGARILDTIIRQINSNIDERQHKRIIRRITASAPHSDDDNAETRFSITVVKLPRSVVKSQETLIANMIGSSSSSDTIKTLVRMLDTKNPDDQETWFCVMRIVAYFAKEISLDKIMNGIRSISSLLEYQGDATREHEVFCAAMKILSELAKDEGNLKHMSNTDGITKKIVTITMKTQAMLTGCQ >Dexi5B01G0035310.1:cds pep primary_assembly:Fonio_CM05836:5B:35338546:35339949:1 gene:Dexi5B01G0035310 transcript:Dexi5B01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLQARRNYRSLRGLIRLQGVMRGAGVRRQTAQTMRCMQTLVRVQAQVRASRVDAMERRNRQHHGALLRDGGRWRGGSQDGGIWDDSQLTPEEADARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHDDMEPSYRPFDAVVTNKPRPSLTIAHVETKTPMMAMSTAATATPARSVVSTTAHSSSKPRATRPAAVKPSMSIRDDESLTSCPAFSGGVPNYMTPTVSASAKAKARAQLLLRQQEKQQKAAQEKPRFSFGLGQSIGSWAKSPFWKPTGGEQLSSRVATPAASVAGGRHRSTRSISGLSVDSTMSMPAGLGRRPFK >Dexi1A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:1A:3360145:3362991:1 gene:Dexi1A01G0004610 transcript:Dexi1A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLNPAAPPFHALHLAPPPPPPFPLAGATACRPQFPFVTYYCVAAAPPSSAHIGFCFPVQHPPASHPSSPAFRKGGVPAAAVHGRPPHKLMKRQAAAAMKPWKQQQQQHPPAPLTAAVASAAMVPARSPRKPRLARRKAERSMARPVAKPMPMPKPARAKVPRPRKAAGPRARRAAAPAAQLRGSSPAPEQTMYTTGRNPGPPLQEPKFNNTATTVMLRNIPNKLRSSDMISLLDDHCRRMNRGGGGVSAYDTLYLPMDFRRGYNFGYSFINFTTPEAARRLYYDLEECGWNVHGSKKTINIVQAKIQGTVALGRHFRAKKLECDDEEFLPAVFSPPRDGFTAGSIARIAWRPTTTKKTAMGSPAPAPAPAPSTPPKASC >Dexi9A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:9A:9699459:9699797:-1 gene:Dexi9A01G0014700 transcript:Dexi9A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPRTQQHCARRLHLGVEHRPLLPAEGRRLPRLLLLTQTAHRDNWRCPPQPPPFESPGLKRRMGGVGTGVAECSAGGSVPAWRPAAVCGRRGSGDLLALAAWPSGGDDVV >Dexi7A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:7A:17254497:17258024:1 gene:Dexi7A01G0005970 transcript:Dexi7A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGRGGWAWTCLVVVLLVELAGASHVVYDYEKLLEMEAAKEAVPPSIVDPQLKTGYHFQPIKNWINAPLYYKGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWHALETALEPSIPSDQYGCWSGSATILPDGTPVIMYTGVASPNNYQVQNIAYPKNASDPLLREWVKPSHINPIIVPEGGINATQFRDPTTAWRAAGDGHWRLLIGSVAPTGGTRGGTRGVAYVYRSRDFRRWTRVRRPLHSAPATGMWECPDFYPVSKDGRRVGLETSVMGTRVKHVLKNSLDLRRYDYYTVGVYDRRVERYVPDDPAGDEGESRRMRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRRKSVTLKDRVIKPGQHLEVTGIQTAQADVEVSFEVSSLKGAEPLDPALASDAERLCGAKGADVEGGVGPFGLWVLASANREERTAVFFRVFRAAAGSDKPLMVLMCTDPTKSSLNPDLYRPTFAGFVDTDISDGKISLRSLIDRSVVESFGAGGKTCILSRVYPSLAIGKNARLYVFNNGKAEIKVSRLTAWEMKKPLMNGA >Dexi8B01G0007360.1:cds pep primary_assembly:Fonio_CM05836:8B:8784599:8786123:1 gene:Dexi8B01G0007360 transcript:Dexi8B01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRSMDASVEPEVVVQPGLSASRFVKVFMQGGVVGRKVNLATHKNYASLSFALNRLGNNYCMPACELNGLANNEDDGALDNNNFILFYDNMDGDRFFLGEVPWEVFIISVKRIYIVPVPQDQENVADNEEEEEDRENGDDNAATSAAPLDGDNIPANDDEGPHNGDATASTAADGASEE >Dexi5B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:5B:9162180:9164577:1 gene:Dexi5B01G0012940 transcript:Dexi5B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQHLLKLICSVLIFAELILGKNDDMEVLIKLKDFLQEHNQVNRGAYDGWLESEASPCNWQGVGCDGDGRVSSLDLSSSRISGPIFGNFSRLTGLTHLDLSGNSITGELPHDLNRCLGLKHLNLSNNLIGGLLNISSLTNLKTLDVSYNRFEGEVSMSLHGTYDKLTTLNVSSNNLRGNITGLFDTCSRLEHVDLSLNHFTCQVPQGMARLIQFNAAENNLTGSISLSMFPKGCKLQFLDLSSNHLFGNLPNSIGNCSGLTYLSLWGNGFDGQIPPGIGAIPGLEKLILGSNNFSREMPLELMNCTALNYLDISGNNFGGEVQGLFGKLTSLTNLKLHSNKYTEGIVSSGILRLPKLTMLDLSLNRFSGELPTEFAGMESIKYLVLAENKFSGQIPSVYGQLVQLQILDLSYNNLSGGIPADIGNLSSLLVLMLAGNQLSGEIPKEIGNCTSLLWLNFAGNRLSGQIPTEIAGIGRNPGPTFARNQKDAVQLEIGTKKCLSMMRWIPLGYPGFYYVESEMTLKDCQNLEGRILKGYGIVTPPSVQPCIILGYVRFSGNLLSGHIPPMISEMRNFNLLLFDDNLLSGVLPSEISQMSLVALNVSSNLIAGKVPFEIGQMILLETLDLSYNNFSNELPSSLSQLFKLNKFNVSYNPLLSGNVPSTGQLSTFDEQSFLGDPLLSLHFADYGPHSEPNNTDLSTEDTKEHVATEETTMVSVIAFIVFFFATIVIREHHNFMYVSSYYKTQMRQYEDLWKTVTLELCNQFNKIGK >Dexi9A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:9A:355661:355885:1 gene:Dexi9A01G0000600 transcript:Dexi9A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTIHLGRLHLVLYTVCYGTSRYPTPFPECDVNMFWEWGESKVVRPHNDQQAAEEDGGWGDGWKPNYSGIN >Dexi7B01G0022490.1:cds pep primary_assembly:Fonio_CM05836:7B:27398257:27403811:-1 gene:Dexi7B01G0022490 transcript:Dexi7B01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSCGKAIDGVVEFGEVFGAQAKEERVAKFQPKVQLKPIKAAKSQKINQKVEPSALAVATQNETDHGIQTRLHYDQPEDPKCHGSLQTPEPEGLLAAGNNDVDSLANLDSILEQSIQEETIAKFRPKLRQKAGTVSSKVVGTNDNITAATPMVGVYAGNTDILTKPKDQETITAPCSSPQDVHATVELDYNDELINSPLDGTRLRTGEASEKGKGKSVSFALSDASGVVTPTDTNSEMGKFSDSCIDKLTDENLSNLSQQTAEKKEHNDNECLYDEGEPSEHAVEQPPKSGVGEIRSSMKLRSRKKSKKAGTSKNTDDYVDEDCVEPSLGEEDNDSGDDYTAVNNRKVRKKSKDGVEDSQQEKVQKGKSQVSSRGRKRTSKDALAEKPEKKLTHRIRQTRAKEVKTLLETPPGNINLMNLSAAHLRLLQEARERVNAKENPSGPSSNTGFQLNDMDDLDYRDEEERNFDNDRTENCVQNVTKLNYQSYMNKQTRGKWSKSDTDVFYKGLRQFGSDFAMIQQLLLDKTHNLYFKKVIKQLNIEDVAVQEVNNTHKQDGASSEQGLRKENALDHFIKEDDSNWLDEEHGVQKPDVQEEHASGNDDDDDLGDVFDWY >Dexi5A01G0017120.1:cds pep primary_assembly:Fonio_CM05836:5A:20310254:20311106:1 gene:Dexi5A01G0017120 transcript:Dexi5A01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDRELTVLGAWASPFVLRVRMALHLKGLEYEYMEMDLAEKSELLLASNPVHKKVPVLLHAGKPVCESMLIVEYLDEAFAGAGPPLLPADPHDRAAARFWAAYIDGELLSSWVAIHAAGTEEEVKPEAVARTLAAVDTLEGVLAGAEQRSGGKGWFGGDGVGFVDLALGGFVPGIQASEPTTGLRIVDPARAPRLAAWVDRFCALDAARAAMPPVDRLVEMGKKRLAEAHDAAAAPEASK >Dexi9B01G0021080.1:cds pep primary_assembly:Fonio_CM05836:9B:15750656:15753320:-1 gene:Dexi9B01G0021080 transcript:Dexi9B01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLPPWQLKRPDLLTKWRAKGGVLLIGYSSFRNLSHGKHVKDKNVANEIAYALQMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRMSMNVVKNDLPPKKVFVITVNLSQLQRKLYRRFLDVHGFSSIGYSEKSNSSFFAKYQTLAQV >Dexi6A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:6A:4486906:4490594:1 gene:Dexi6A01G0004920 transcript:Dexi6A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLSLQIGLPTTPTPHNHHHHAAALNARFFSAPGNGSPASMAPSSLQLPMPMPLPLPMQLPIPPTAAGVYYHPDASGAGAAVLRPIRGVPVYQHPHTPAATFPAHAAAAAGTPCFCEPCHVAAAGAAWRRAGCGGGGRVVAGFLPPAKRAARAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNSLDLHGYSNGGRPESAAAARHGREDWAGFPSESNTGSMHSFKEQMQSKSLEILSDMNSSCVSETTSSTSELNLEFTLGRPQNRPN >Dexi2A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:2A:14960110:14963061:-1 gene:Dexi2A01G0012820 transcript:Dexi2A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRHKLSDGQFTLTHVDEFIDELLTKDYSCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEEQPMEIDEPNGHEKDNHRGRSPARERERDRDRDRDRDRKHERHHMDRDYDRDRDHDREYGRGRERDRDRDRERDRNRDRDRDRHRLRDDDYSRDRDRDRERDGRERERRDRDRGRHRSRSRSRDRRDRDREDGEYRRRRGRGSASPRGRGEDGATREEPKRKKEKKEKKGEGNAPDPNDPEIIEMNKLRASLGLKPLK >Dexi9A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:9A:11545914:11546732:1 gene:Dexi9A01G0016540 transcript:Dexi9A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKHPPSQHTLYTATRALGAMPRAVPAANPNRLACSTAAPAAVEEVWVPWPSSSRGELDSREVSMGPMAASADELAVAGGGVEVGAGDALAFPEGRDLAEAGVGVAGALRPHAGVEHADDHVRRRAGLREESGAGAIAGDAEELGGACGVELVPGLGEDGEDAVEGGEVAGLRRREARREAVEDGVSILLLAGETERSDAPYHSPWLAYSDGIADFAMWTMYVFRSSSPAAMVVVAAAATASASASARSVTVLPIFLCR >Dexi8B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:8B:3378520:3391327:1 gene:Dexi8B01G0003890 transcript:Dexi8B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVEIPSLPPVLLRLNTSARRVDIDEILGGDLEQIQHELDVHACVVDACPCACRLVHRVVVEPASVSSSVVLAVRTYARSMVKVATCCCVLGRTAPTIHLFLRLLQGPPAAASRSQVVGNENNEHQIDIVKDIGMGIIHKCDGLPLAVKVMGGLLRQKRTRRGDWDNVLNDSVCCRASTTATPPRRTARSWEFKRLLGTFVNGLQSGDHRSISRDHGMKDIMSFIAPAMMVDEIIEEAKNLFLTSKEMLNSLHTWRQ >Dexi4B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:4B:22779405:22783528:-1 gene:Dexi4B01G0020670 transcript:Dexi4B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFTSRAAARFLEEIGRPGVSTAALLLTAASGGGLVAYADSAVGSAPESSQDAPKKKVLVLGTGWAGTSFLKNLDCSRYEVKKSKDVSFYEAECFKIDSSKKTVHCRSAVGTNLDGNGDFMLDYDYLVVALGATEVEDAQKIRRSVIDCFEKASLPNISEEERRKALHFVVIGGGPTGVEFAAELHDFLVEDLVKLYPAIQNFVKITIVQSGEHILNMFDKRIAAFAEEKFQRDGIEVNTGFRVVRVSDDLITMKSKSLGEEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQDDISMVFKMADKDNSGTLTLKEINDVLEDICVRYPQVELYMKSMHMLDIADLIKGAVGDSHKESMVVDIEEFKKALSHVDSQVKSAPATAQVAAQQGYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYAR >Dexi3A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:3A:16810234:16812901:-1 gene:Dexi3A01G0021170 transcript:Dexi3A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGIDCYVVPQTTGTGRNIFQGGSPMEESLPLLGVQLVLIVAITRVLYFLLKPFKQPRVGGIILGPSVLSRNPAFKETVFPARGDPVLHTIATFGLMYVIFLIGVRMDPMLVVRSGKKGVIIGLSGFILPLAMAAVGFSDAAMDAEPDVTRRSTFLFALSASISITSFAVLSPILSELNLLNSDLGRTAMSASMTTDGIAWLIMVGYTLAEAFLVSPVTSLWAFLSVAALAAVILFAVRPVALKVIERTPPGKPVDENYVFFFLLIVLLVGFYSDIIGTNSFHGALMLGLAIPDGPPLGTALGEKIDAMVSGLILPLYYAMTGLSTDVWRLHWGRLQLVIFLGWFGKLVGVMAPSLYLEIPFRDAVSLSLFMNSKGIVEVITFTFFLTNKLIGKSMFSVLMCSSVAITAVSVPVAACLYDPARRYAVYKRRTLQHLKADADLRVLACVHDQSHVPGTLALLEASHATPQTPIGLYLLQLVEIAGRSAPVFIPHNPRRNASRIGAPGAPSSESDRIINAFFRHELRHPEGAVSVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHHHKRHMLAGGARAAVGIRVVNRKVLEVAPCSVAVFVDRNAGSVGLSNFIPGALQQDYSGSSMGSRATSGAHQFHAAVAALFFGGGDDREAMSYVARMARHPGVTVAVVRFLPARGIKDDPADRRVDNRAIEEVKALAARSRNMRVREELVGDMERIVEVLRGLDKAGYDLVVVGMRHRWYPVMPANGLADWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQAGLNAAVPGAQDLWRGGGGGGSSQHRQ >Dexi2A01G0033930.1:cds pep primary_assembly:Fonio_CM05836:2A:44092659:44092910:1 gene:Dexi2A01G0033930 transcript:Dexi2A01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIVLYWVYAGGYQLILHRRPLERYRLHTRAEEEEKNLVSLPTVVRGVLLQQLVQAIVAMVLFMVRR >DexiUA01G0015840.1:cds pep primary_assembly:Fonio_CM05836:UA:33938653:33940348:-1 gene:DexiUA01G0015840 transcript:DexiUA01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEELGRRGVPSLLNLSSSSSEEQQEHIASNVTQARTLISSAAASVSFIFWLAFRGQYCAMRDLLFFVYVQQFLQLIGWTPLVELKRITDKDGVDARIIGKVEAYQPLCSIKDRCALRLIEDAEERGLISPGVTTLVEPTSGNMGSRPWLSWHLRQG >Dexi7A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:7A:21253694:21254225:-1 gene:Dexi7A01G0010450 transcript:Dexi7A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAAVAAPEQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRKYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRASCGSMAE >Dexi9B01G0042690.1:cds pep primary_assembly:Fonio_CM05836:9B:42886011:42887254:1 gene:Dexi9B01G0042690 transcript:Dexi9B01G0042690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGWRGSIGLRDKPGREEGGARDRRVRGGEFFVGGWGVIRDVNDAHVQEIGAWAVAEHVKRASDGLRFGRVAGGERLLVAGYNYRLRIDAVNLAGQNVTYNAVVYEQPWTNTRQLVSFDRAE >Dexi7A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:7A:17696152:17696985:1 gene:Dexi7A01G0006320 transcript:Dexi7A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYGRSGYGRPGGAGDDYDSGYNKQSGTDDYGRGGDGGYNKSGNDDGYGGGYNNSGADAYGRGGGDDYGRGGGEGYNRSGTDDYGSGGYNKPSGDDSCTGAGDGYNKSSGDDQYTGAVGGGYNKSGGGDDVYGSSRDDAEKYKKEEKEHKHKEHLGEMGTLAAGAFAMYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHEKKESKEQAEDADEDADRAEGKKKHHFFG >Dexi7A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:7A:26308749:26313800:1 gene:Dexi7A01G0016480 transcript:Dexi7A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAAIWRRSCQSSASQSLMETYVGSSLSSGGLGWFSSCAKRSRKPDLSVVKQIKAVGRYSAVNDVARIRKSPLLKHMDTNWLIASKSRHGSLPGFLGISSFHRGYSSDTGMKPDASQSTVSSIPSTESSEVGTAGGGGSWIEILENARKSTVDATTDAGKKVKELTDAVTPHVQQLFDTYPNLEKVVVPLGGTLCGTLMAWLVMPIILRRLHKYASQSPIAALLGNSTKNDVSYQTSLWSALEDPAKYLITFMAFSEMGTLIAPSISTYLSQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDASSVDRDRISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGKVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRANWRASVAKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSFDSDVPYCYLSRLESSYGELTTGCNLKNMVCLFYLTAMLLVG >Dexi2A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:2A:14950489:14957943:1 gene:Dexi2A01G0012810 transcript:Dexi2A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRNLLTADTSSTDGIAEQLRLRRVSAKDELVPGDILFLPVNIQESSVAEKTKKFGNKNEIDFLRSLEIYKDRAIIVLNKPPGMPVQGGVGIKNSIDILAPMFDDSSSEVPRLVHRLDRDCSGVLVLGRTQLSASILHAIFREKTADALADGTQQVLQRKYIALVIGRPRHPKGLLSAPLGKVVLQDGKSERLTVCAGSNTTSVQDALTEYRVIQSCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWTPLSMPQAIDKEMMKKRKLPFGLALGGGSIMEEQPKLHLHCKQMILPDISGALQRLQSSDTDLDFSDLEKLSFVAPLPLHMRLSWEILNP >Dexi3A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:3A:14972272:14976497:-1 gene:Dexi3A01G0019160 transcript:Dexi3A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLRHRRLLFPEARTAAAPSSLAASFSSYSRAASSHASATAAAAAAAGSESSAGAGEHSAPPPPPAARGRWGLLKFGALAAVAGAIGGVGYATYAYSLPEIDAKTQEFRKNPAPPIPEDASAFEKYKAMAYSTAVEVSVKAIELYLDVRSTIEDHVRGFTEPTSDKLLPDLLPQEEHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFLEHMSKFYEVVVYSDQPPMYVEPVFERLNSRGTIQHRLSRPATKYVDGKHYRDLSKLNRKRQQVIYLSAHALETCLQPENCVEIKPFKLENNDTQLLDLIPFLEYVAMARPTDIRTVLVSYQGHDVAAEFIERSKEHQSLA >Dexi7A01G0022650.1:cds pep primary_assembly:Fonio_CM05836:7A:30817338:30817681:-1 gene:Dexi7A01G0022650 transcript:Dexi7A01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWE >Dexi1B01G0024890.1:cds pep primary_assembly:Fonio_CM05836:1B:30045721:30048941:1 gene:Dexi1B01G0024890 transcript:Dexi1B01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKGVMGIKAAGKLKLRCPPSVAAAARSRLTPWMLRATTTVLLWTCVVQLTAVGNTWGPRVLKGWPSCRTAREAAAAAAVTTTRLAMPEPVVEKAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDIENFITSLRDEVRILRELPPRIKRRVELGKFHSMPPISWSDISYYHKQILPLIQKYKVLHLNRTDARLANNGCTPKEAEELTRMRYMGFKKTILLDRKLIVELVDQYTSGSLRWDEFSSLIKAVHANRMGSATTRTVIPDRPKEEDYFYANPQECLRDPNMFQTL >Dexi7B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:7B:396340:400673:-1 gene:Dexi7B01G0000050 transcript:Dexi7B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQNHRRFAINVGHSRRPFTFPKFSRQIPRARSPKLPPPSPSAASPPRPMAPSSPRRKEGPPAALPAKTAGAPPRKRKRTPPSPPKPFQRTWPPADEVHILEALAAHRRAHGGQLPTDLDLFDALRGRLERHGVGVRELHEKQRSLKRRFDRDVQKVAPPADEHECRLYLLSRQVWANDSPPEPPVAQVKAPSPLEPPAAEATQAKSKGSLAPKPRTLAEMRDLYPYLVDEAMVLNNSPVVHNLLPGIEDEEARALNKKIKRARKRLNNALTELGIRCEYDESRPQSIVAENQISCNIVEKKIQVPNDLPHGKNEVVTSKYKYEGVLPRNVPCDNLKLQGLIKPPFKKSRGRMRKIDTESQIQCNLVSYLFVVNKLQGLIKPPFKKSDGRTRKIDTESQIQCNL >Dexi5B01G0029240.1:cds pep primary_assembly:Fonio_CM05836:5B:30501895:30507403:1 gene:Dexi5B01G0029240 transcript:Dexi5B01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAWLFNEFLNIVLFIFQMHPILQGGSVPPASNSRMPPLPHEPAGFYNDRGATVDIPLDSTKDLSKKEKELQAKETELNKRERELKRKEEAAARAGIVIEDKNWPPFMPLIHHDISNEIPVHLQRMQYLAFSSLLGLTACLFWNVIATTAAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRLTESALKFGWFFLFYLLHILFCVWSAVAPPFPFKGKSLAGILPAIDVIGRSVIVGIFYFIGFGMFCLESLLSIAVIQQVYLYFRGSGKEAEMKREAARGALRNAF >Dexi9A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:9A:3337981:3340678:1 gene:Dexi9A01G0005950 transcript:Dexi9A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTVTNCKHDYHLQCILEWCQRSSQCPMCWQPISMKDPISQELLEAVEHERNMRANRSNGTALFRHPVLGDFEVQMMQNLKNALFSTWLPQLQCVGHTVIIEEMGTTADQDQIVIHNCLCFQQTTTQPQAKKEITNKPVL >Dexi9A01G0035210.1:cds pep primary_assembly:Fonio_CM05836:9A:39829657:39830005:-1 gene:Dexi9A01G0035210 transcript:Dexi9A01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPMASGGWTWRLLAEDFSAPCREDRFCVPCAAAFCDHCCGARHCGARHRGRGHEVVARAAAAAAA >Dexi3B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:3B:838480:840076:1 gene:Dexi3B01G0001110 transcript:Dexi3B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHFVLVHGEGHGAWCWFKLRWLLEGSGYHVTCIDLSGGGVDPTDPNTVLSFKQYDKPLIDLISTLPEGEKVILVGHGAGGLSIIHAMHEFVDRISQAFFVAATMLPFGFQTDEDKKDGLPTLPENEIELTLGAAADDPPTTIALRPEFQRDRQSQQSPEEESVLASMLMRPWPAKAISTASFEGDDERLNRVKRIFIKTQRDHMLDPEQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIVKSL >Dexi3A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:3A:7147272:7151383:1 gene:Dexi3A01G0010070 transcript:Dexi3A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVSPDGAAAGRMRKGRPLQVPAVRRGRRRGGAVAASPPTEEAVQMTEPLTKDDLIAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYNQIRDLLNGLAERFDWEKIMEENYVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGLGFQPKWALRDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMQGKLPQVPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSILDMTFDWTKEERDMLRRKVPVTGFKTPFRDGYVRDLAEEVLKLAKKGLERRGYKEVGFLREVEEVVRTGMTPAERLLNLYETKWERNVDHVFKHLLY >Dexi3B01G0021770.1:cds pep primary_assembly:Fonio_CM05836:3B:16658401:16661913:-1 gene:Dexi3B01G0021770 transcript:Dexi3B01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMKVAAFCLAVASLSVLAAADCECDASTEQDGGDKAKALTLKIIAIFCILVASSVGCAIPSLGRRFPALRPDTNLFMAVKAFAAGVILATAFVHILPDAFDKLGSPCLVDGPWQRFPFTGLIAMLAAIATLVVDTVATGYFQRAHNAKTAAAFGNDVDVESSDDHAHAGHEHGHGHAHGVVSVMAASTSNDANGGTTQLIRHRVIAQVLELGIIVHSVIIGMSLGASESSSTIKPLVAALTFHQFFEGIGLGGCIVQAKFRLKSVVTMALFFSLTTPVGVGIGIGISSTYNENSPRALIIEGVLNAAAAGILNYMALVDLLAEDFMNPRVQNNGRLQVIINMSLLAGTGLMSMLAIWA >Dexi5B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:5B:729470:729688:1 gene:Dexi5B01G0001130 transcript:Dexi5B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPHVPLRIQYELQVWRFGLHDDHFVPPCIVDSTVRSSSPAQGQNRKYQK >Dexi5A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:5A:7526410:7580803:-1 gene:Dexi5A01G0009980 transcript:Dexi5A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVATARDVEEVIQKLHSDRARVRDVGTPGLLQKQTTVPSCFPADRFLCVPQEGVKLLGTWLQGHRAASFCRLLGRNTARGKPGHGHLTGAATWPFLILALLNCVKEDISCKKRGATKSTTGRMLRIAIQCAEDVKLSGFVALYMKKVATVFDAKFSNHASSKDESFRCTLTLHVLLENPPGDYPDIMREDVINGFIAIFSHIRQSLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNANTGPGFLWCEAPRDEKAGSLRCFQEELMDLSATVFYLAHKITSKTPNKEKRLKTEHIMDLIIDGLSKGSLFCVMSHANAIRFQDSILWLLRALKEFSMVLTFNPREEAEFCLTKSEVRQVILLNLCRNTSASSETVSSMVHLINDTRDRGNQDILLDLVNKATELSSADWFSRIKLIDCISSFICLFPDVAQDLIGCLLDMLHDTDYRVSSTIGAKMVAFSINSPVTAREVLAVGPQPVPVIETALITLAHLSVHSEDVEVECVFMISAAAAIEPSQRELVYALFDSISRRLGYSSRSKYLGHVMGPILFRWVACGMSLVSLVEVQEMFGYRSAEPKNFVEHCSSWLLPFLILRGDAADLNWISKILSQPLSVIIKRYFVQIFGLSIAARCGTGPEKDLAETALCESLLQLGEIPEVERDDLIKKHMVSIVAFLLSISSSAHGPQIPYFSKEVIALAVKTVVDGFVDTMDDELADTVVIDKINIFRPDRVFKRGRPPPSSAPLLLIPPLPRCVSRQAPLPPLLPAGAEAGHQAPPPPPLPAGEVGVDLQPPLAGSVVGRTMGPTVGVVQQPPPLTPAVAAAYHVELVDLAAAAGLADPAGLADLAATAGPRGYAGSLPLDRGMRVVVPTLLNACLTNEKEERSGTPDSSRILSLLQQLTVDADPLLYDYIRELEPLPDLDCLKDIREFHTSLSVSYASRDQFLKGILSTAKGLNVLQSLDSLDRSLLMIHSRGVNIQIVEQTILGMEKYSSVSLEDSDTWQTDSRTYKQWLCTLVSSLICHCDDIILSCLRSLENGDSEEFHAKLCQAKTLGLLDKEWNFIMFQTEDNLDLYEPFLAFRRALLKLEEAKLLRAQGQHDMAISLGKYILQKHSDKKDISDVYRSRTIIEDYLRPSVDLTEFKKSTDKSFIESLILVTQVQSYKFIPLVYQIASRLGSSNTQGSTNFQIALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFVVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEDTNKRIPLPREVRSICQLELVPVVTATIPVDPSCRYEDGSFPHFSGLADSIMIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVVPFTPSAGVVEWVNGTVPLGDYLLGSTRISGAHGRYGTGDWTYLQCREYLMSVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKDTDYTDSCLDDSQEAYEGNKDAARAILRIKQKLDGSNNSYRMQ >Dexi9A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:9A:8112201:8112578:-1 gene:Dexi9A01G0012710 transcript:Dexi9A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRADAWCERWRRCDVQVCYATTTPTRRRKRDGGNDKCRRRLRHGALAWPLGRLARLCMLPSAQLSPCRVTSPRIRDLLRSRLDVAVERASSCMLGVARHPWTYVEASGCSEDAKRTRRLAQW >Dexi2A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:2A:1566426:1569062:-1 gene:Dexi2A01G0002060 transcript:Dexi2A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKIGRLDGQPPRIRNVPIAITPEGFWCCPSQATLHKTAKSPNQQGRPRGGASPAPSKASSVQRVPTVSSEKRAQSTPTRSRTNSDEQICPPADAVGAPDPPKVVSAPAPEKRPKQHKISVGFGQLDTSDLKVLLYGKEGVAVKMIVHKNILAENSTFFADRISRQSPVSCIEVLDCEDVEIYVETVGLMYCKDVKQRLIKQNVPRVLRILKVAESLGFHACIMSCLDYLEAVPWVGDEEESVVSSIRQLHDEDHRAKPLLKRVTSDVLTNPPNDTLAHIIDLVLKSSEDRGRREMKSLVLKLFKENSNICGSSSSADSSCVMTLYSYFQNCLDSLLALFREASDPEVLAEQSSDDKEQMFRKITLEAENLLWLAEILSERHAADELTVIWASQAELAELHPKIPAMHRHLVSCVSSRLLVAVGKGEALPSKETRRRLLDVWLPALMDDYRWLQHGCRWFDRAVVEEGVGQTILTLPLEDQQAVLLAWLGRFLKAGDGCPNLQRAFEVWWRRTFVRPYAEQPGSSSAPSRRH >Dexi3A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:3A:12845131:12847149:-1 gene:Dexi3A01G0016940 transcript:Dexi3A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGRAKKAAGGGELSRFLDSHIQTITDTFQMMAEAVPGSLERTEWSEVVKFGDQVSRQATVAGMIWSGDLPNVETLKENIVAYFNILQGFLLVCHGSMVGAGPTLHKSICGYAKNVVDSSFSLFKHAVSAYESRSPDRNTTVPQVTGTVWEACAALKKVPTSNCIAIGRAMTQIGVCLKDILREMKELPIGDSSDSTAEKSTNGVVDTTSCSDRDEVSSDLDMDDDDDFTEEEAAVAKLVVTVVSDSLAVVKEAIRFITGLLKSSGNKNGANEDKVEPMEKLLSHCKEIAGQVNDLGASVYPPQDASEMKLAVKRLYDGINGMRKEIGHLGGSPASVFAALEGLEKCLGSLEGELADDVVNDMENLTLSH >Dexi3B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:3B:4539394:4543721:1 gene:Dexi3B01G0006560 transcript:Dexi3B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFACSQVGAAAAGGASPFLAHRSRGGAPSSSLFVGRRLAATPAAVRMRGGRSARGGTALRVTCEKVVGIDLGTTNSAVAAMEGGKPTVVTNAEGARTTPSVVAYTKTGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDEAKQVSYGVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATAEGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALKDAKLSVSDLDEVILVGGSTRIPAVQELVRKLTDKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAAVKEKVDVKLQELKDAISGGSTQTMKDAMAALNQEVMQIGQAMYNQPGAGAAGPTPDAEAGPTPGDGSAKGPNDGDVIDADFTDSN >Dexi3B01G0036960.1:cds pep primary_assembly:Fonio_CM05836:3B:39749865:39755648:1 gene:Dexi3B01G0036960 transcript:Dexi3B01G0036960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTRSERLLGIVEGSCTHGTRSGSACLQTYLKNSGGRRRQETSENRRRRKLKEKKIRGGEAPAAAARGRRHRSRTCPPRTVLAVPQQDGKRGWLIGQVNTGDERLGTTRGKRTPVRIRVSAEKAQSNGPGGVGGCGRPVEFVSAPTSVSETRGRRFLQVRRGGGAMAEEAEGMDRFDMDGDFEGGRWGRDGEFYYQSRRERAPQTRDDALYGVFAEGDSDYDSEDDEGSRRRGRRKRRRDEPDLTKPVQFVSTGNFMPTQEPQPEPDQRPGLGRPATAAAAKEEEEDDEGDTEMLPTMQEEKEREREKAARRRQVAGVGAREPAAALGSLEANSKVAKMMAMMGYKKGMGLGKNEQGITAPVETTLRPKNAGLGSVEGFKEPKPMMAKENLPAPAAPPTTSGKKEKRWSKKASAKKAPVLTKNELLAMRAEQEQEEQPAIVQKVIDMRGPQARVLTDLRGLNEEQEMEANDVPMPELQYNVRLLVDEARADVLRLHGQLEREQEKVASLVREKEKVAKQEALQKRQLQVMERIAETLEQVRVDDTAGVLTLDGLLQTFHALKVQFEEEFKIVDSSELLNAGDIDGDRLNWTGSDVLTFRSMTSVIRPGLMILSLMAHSPFNILATIDMEGLILKPYLQQPTCFLGVKIALEGPVNDALEIL >Dexi9B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:9B:12190101:12193612:1 gene:Dexi9B01G0017350 transcript:Dexi9B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVEWLSAFRPKLSFGYKASTLSPYGEQWKKMRRVLSSEILSTAMERRLHARRAEEADHLIRLTFSKRYFVEPPLGSAGASPGPDEMDHVDAVFTVLNYLYSFCVSDYFPMLRGLDLDGHEKELMFPIVNPSNAVEWALAEIMNKPQVMEKAMSELNTVVGKERLVQESDIPRLNYLKSCIREAFRTRPYHPFNIPHVAMKDATLSDYFIPKDSHVIISRVGLGRNPNTWDVPLEFQPERHLSGSSNIVLTEPDLRFMSFGTGRRGCPGVSLGSSVTMMLFARLLQGFTWTKLPGVQTIELKESTTNLALSEPLILQAEPRLPVHLYVSVSS >Dexi1B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:1B:6156857:6158003:1 gene:Dexi1B01G0007420 transcript:Dexi1B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAAMNSDFGGLGGRPTNPQASPFGGALHGPGSGLIRTGLEAYGGKILDSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGLLGWFLQVILIKGLLYSLGSGESAMLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >Dexi5A01G0025380.1:cds pep primary_assembly:Fonio_CM05836:5A:29254720:29255914:1 gene:Dexi5A01G0025380 transcript:Dexi5A01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVKDLFDASGPTNCPNHRRSVMASLVQGVYVLERDRQWNRQGPDARAPAWWSFFHFDLRQVLVDAADGSIFGAVYSFQPPPPYHLLDAAAAAGAPHYVVAFRGTITKKGSAKRDLELDLQLVRNGLQGKSRFRVATQAIHDTLAAAAAAGHHHHRVWLAGHSLGSAIATLAAKTLARSGSGVILPTFLFNAPFVSAPVERIGDRRVRQGIRIAHSFVKAGVAAIVLQQKGGGAAVHAEASFAALAAWVPSVFVNPADPISGEYVGYFDHRREMEAIGAGAVGRLATRNSVKDLLLGIGKAGGCEPLHLFPSAVLTVNRAPSPDFKTAHGIHQWWRPDLVLECTAHYYT >Dexi8A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:8A:26263159:26272367:-1 gene:Dexi8A01G0015230 transcript:Dexi8A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKSAVEGTLTAAKSAIEEEEKLKKNMQRDLMLISDEFEMMHSFLSVSKERASDEMVKTVVRQVRNMALDVEDCIESVVLMDIRPHWWRRLIPYCMLAAAPAAPLDDAVTALELLKSRVEALGQRNERYRYIGNSGSSSTEKSHLQAVADPTAVGILIEAREAKKKHGSPKDLVELITKKEHELPLQVISVWGAAGDLGVASIVKKTLDNPEICRIFSFRAWVKLMHPFNPHEFIRSLLAQFYTIYCPEQESDFNKLKDIRKATEDVVMEEFMKQVSDQRYLIFLEDVSSTVDWEAVRVYLPDKKNGSCIVVHTQQLEVASLCIGQSHRVFELERFSTEHSVCVFYNEKRSTPRSLIELINKKDQALPLDVISVVGPADEIGMESLMKKICDDDMETCKNFKCRAWVKLKHPFNSQEFIRKLVEQLYTNYCSEHGSATDFLKLNGMVMATEDAPVKFVKKVMSDQRYLVFLEDLINTDDWEAVREYLPDEENGSCIVVHTQHLEVASSCVGQAHKVLELEQFSAAQSVRVLVNQNREEDAEKATNLEAAKEWWVAEGYSRDTKESTADEEGEKSFLDLRKLNMIQVPVKLLPRLKFLSLRGCKEITRLPDSFGILRQLQTLDIRHTSIVTLPLSIIKLKKLQHLRAGHVLLLWCPDGHAARNSGFVVLTMVALRFLEGLGE >Dexi8B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:8B:2001115:2001368:-1 gene:Dexi8B01G0002850 transcript:Dexi8B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVDGGEVLKMPSPGSLKTMQDALTEPLPSVLPVKAPVAAVVDPGAVRVKLVISKQELKKMLDKEGMSLDDMVNRT >Dexi6A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:6A:6904986:6908490:1 gene:Dexi6A01G0007070 transcript:Dexi6A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTGKRPRQHREYEREERKDQNKRPFAHSQESSNNEGLVVYRIICPDSVIGSVIGKSGNVINAIRQQAKAKVKVVDPYPGSDKRVILVYCYVQHRDLDADEGDDNEPVCPAQDALLRVHNAIVDALDTLHKNRRDSDKKNTEEANILVPASQAASVIGKSGVVIKYLRSTSKAFIKVSPKDPSDVTHSCAMSFDNFVQCTHFVVSYLYAKQITGGAEAVKKALFGVSTILYKYPSKENIPLDTKIPEPTPSIIVPSELPVYPASTFYSTLDAAIHSSHPSLSILGSTPHVPELTLAADGHGRLPIYQSVLPIIPTYSAPKCSGELEFRVLCPGNKIGLVIGRGGSTIKSIRQESGARIDVDDAKNDREESIITVTSTEATDDVKSAAVEAVLLLQEKIDYDEDRMSLRPLVPNKVIGCLIGRGGSIINDMRKKTRADISISKGDKPRRASSSDELVEVSGEADKLRDALVQIVLRLREDVLKESVADDDGYGVLSSYTSKPYEGRLPRVEMTIPESGLSKVMGKHGSNLDNIRKISGARIEIIESKSSRHDHVAYISGTSEQRQSAENLIKAFIMST >Dexi5B01G0036830.1:cds pep primary_assembly:Fonio_CM05836:5B:36430256:36436894:-1 gene:Dexi5B01G0036830 transcript:Dexi5B01G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHYSSASSAAPSSAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFRDIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARSFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFRTQPAYHGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLATELQQFPILRRSMDEVIGNFLRDGLNPAQSMIEHIIEMEVPTLLLVVLNIIFFLGVRPAAEAERPGSSGSGSTSFWNSIFTSNEDRTHASAKDNSANRSYAAPTPNLENSFSTIQLREVNHTKRALHNYLITKLYRDDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGYSLDSDVIGLPRAHGLSSSPYSTPKQS >Dexi3A01G0023360.1:cds pep primary_assembly:Fonio_CM05836:3A:19059110:19060401:1 gene:Dexi3A01G0023360 transcript:Dexi3A01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLARLFSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDETISDHHRRGLEAAGWKVRIIQRIRNPKATRDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEISATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDEEMKAKKTQLFGADPPVLYVLHYLGLKPWLCFKDYDCNWNNAAMRGFASDVAHARWWKVHDKMPRKLQSYCLLKTRQKAGLEWDRRQAEKANSEDGHWRRNITDTRLKICSEKFCFWESMLVHWGENNNRTKSSSATVTAASLASS >Dexi9B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:9B:12685034:12696579:1 gene:Dexi9B01G0017910 transcript:Dexi9B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSSSRRSSSPFSAGHRRPPTASSSSSSYFSSGRLIPRSSPSSVTSSYYGGGGGGSSRSTTPGRRRSSVAPAPEPAPALAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPATAYGYDKVFGPSTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSMIQDSPGREFLLRVSYLEIYNELVQYYYIDRFNLDISWQVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEVQCNMTEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVMYSQLVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRIIDEKSLIKKYQREISSLKNELDQLRRGMIGGASQEEIMSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDSHQRHDSASEQDKLSTSQDSSTAVQNEGTTKDSTSSHSPDSLDEINQLRSANGEHSSVTGSASDSMQIENLECEIRQKRRHMRALEQQIMESGEASVANASMVDMQQTISKLTAQCSEKAFELELKSADNRVLQEQLQQKNAEINDLQEKVLRLEQQLSVKADIPPEQETDYTPQETNYMQQETIDLKSKLQSKDSEIEKLKYEHRKISEDHRDLISQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHARKGRTVSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKLDKNFALVEKQISDDTVKSLTTEEHRSPEFEPLLVRLKAKIQEMKEKDSDPLSDKDGNSHVCKCVSPARLPVQSVLCAALESQTG >Dexi9A01G0049080.1:cds pep primary_assembly:Fonio_CM05836:9A:51823229:51825748:-1 gene:Dexi9A01G0049080 transcript:Dexi9A01G0049080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVDDAGARWDFLDWVGPDTSACIFHLLDDPTDLARAAAVSRSWRRFVIDSGFCKRLCHQICPEVATFTRAVVVTRSPPASESSRDAEARDHLVYSNLGGALASAKPSMDCIIRSIGASTTDNFPEETIDNTREPRDRVNHRPSYWSSGGHDDPDVPESLIYKLSSDLCIIDELRIQPFQAFFQPGSPIYSAKAVRIRLGHSKLPSGSESFVTLANENQRAIADENYTWMYASPEFPMMQENVLQTFKLPRPVLCIGGVAKIELLGRVQKQATDDRYYICVCHAQVMGRSLSPEFMVDISDPAGYSTLKYLPGASNLRVEDILNGDAKDSTEWHSLVARYRQIRHMAMVNMLLGPVHFMDDDDDDDGGGVTDDDMYM >Dexi5B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:5B:17943429:17944310:1 gene:Dexi5B01G0016970 transcript:Dexi5B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIFVVVVFLPCAAGPLTYVSSLLRASTRSLTFWSSSANSSASRTIRSISSSLSRLSSLVMVIFSDLPVPLSAASTWRMPLASTSKVTSICGVPLGAGGIPERSNLPSSLLSLVMLRSPSNTLMLTVVCLSWYVVNTCVFFVGTTVFFAISLVITPPTVSMPSRRNVEEDHVLGFVVHVAAEDAGLDGGAVRDGLVGVDPLVGLLAVEVVLEQLLHLGDPRAASD >Dexi5B01G0028980.1:cds pep primary_assembly:Fonio_CM05836:5B:30262929:30271411:-1 gene:Dexi5B01G0028980 transcript:Dexi5B01G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRRKRRRIYGGGGGGDTDGSFGDSMASGGGVQRRTSNELRYSERARGFYGETKDSDNHPSRWSRSAAGDSISHSAVAVALMNISAVREVRQALADERIVRVMVNLLDCGAVAKEHAAECLQNMTWSNNGLRRAVVPEGGLRSLLLYLGGSLPPPESVGAVSPDSLVCWRDREREREARRGVIQPIDIIFLLMQSVSDNDVRNMVLSYLMHNCFKETAETFLSSTGLKLPVDYSVNVDKRKAIFNFVLEGNALKAIELTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTSFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKVESLRFLPTIFFEGLSEQVKA >Dexi5B01G0024840.1:cds pep primary_assembly:Fonio_CM05836:5B:26873894:26875085:1 gene:Dexi5B01G0024840 transcript:Dexi5B01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAARLYGFDPLGSQSLGHMRNLNCATQNYGGLILQEFSGLPDAITLPFTQNYRDIINGGHGELWRVPLGKQSMIFAARIFARYTPMTPDDDVQDAFVRSAIMLCEGTRITPIRRVFSGNMWVRQTYISPNDCKKVVNWGKLSTLLVAWQRNGRRRFGVGTHRIEEIAKQVERSIKVRTAKEALTIVDFLLRPKFL >Dexi9B01G0019560.1:cds pep primary_assembly:Fonio_CM05836:9B:14138649:14139447:-1 gene:Dexi9B01G0019560 transcript:Dexi9B01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGDDGTLRSYDTASALGEVGGDEEDGVGRGGKYVAEVHRLTLPGVGGETVGQQQVGAARRLLELEQVVDDARGHLRGALVLQVVDDGNAGERYPRTPLLACLLCRGRLALRRLLACAQRLRALRRHGATATRREPGHGAD >Dexi9A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:9A:5204060:5204995:1 gene:Dexi9A01G0008800 transcript:Dexi9A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSLMSSHLQHHDRVELFHLNDRDVGPEEKRRQKGLSLSLSFSFSTALLALRHQASCTQTQLLAPEIQSDSTRAARIHPRLRSWHQKSIRAQNNETETSKALHAMQDAMDGCSTLLPPIIAVALD >Dexi4B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:4B:10629281:10631623:1 gene:Dexi4B01G0012690 transcript:Dexi4B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELVAWRFGAAKPELAAADAQIRMRALVGRIYGCVDTSDPRPLVPLASGDPTPFACFRTAPAAEEAVTAAVASGKHNGYPSATGVTEACSAVARYLSRYLPYELSTGDVVLTAGCNHGIEIMMAVLATPGANVLLPRPGYPLYEARAALSRLEFQHYDLLPEKGWEVDIQGVEALANANTVAMVVVNPNNPSGAVYSYQHLAKIAETARKLGIMVISDEVYEHFVFGSKPFVPMGVFGEIAPVVTLGGISKRWMVPGWKLGWIALTDPMGIIRRKKIFDSIIIYRGISVDPAAIVQGAIPQIIANTDETFFTNVMNVMRETAEICYQKLKDIEGITCPHKPEGSMFVMVKLEMPCFDGIYDDINFCCNLAKEESVVISPGQWK >Dexi6B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:6B:22161584:22162813:1 gene:Dexi6B01G0014650 transcript:Dexi6B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGITIAQWRKAGYQDQEGHEAFAQLLEAPQEEADAIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDLIFTDDVSFQVFMDHLQRLAVQ >Dexi9A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:9A:16095814:16096373:-1 gene:Dexi9A01G0021130 transcript:Dexi9A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALITIASSLQLGQHTGGGSSRRRAHGRSGGRSSSAVKQLLLRLRSAWRSGGTVRPRRAATRFGYDLQSYCQNFDDGGLGSSGHSLAVIPCN >Dexi3B01G0034590.1:cds pep primary_assembly:Fonio_CM05836:3B:37314164:37316949:-1 gene:Dexi3B01G0034590 transcript:Dexi3B01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVRIREPVVAIAEPRLPVHLYAAVDFFSVEWCRGAYHGKAHVAWLAERASSARHRWDDVVSSFPPLPNPKTPDMELIVPLDITIPSD >Dexi3B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:3B:13992627:14002452:-1 gene:Dexi3B01G0018840 transcript:Dexi3B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVVDGDEAESKPARMTMMHHASLPTAAVTGGGGSENGRDHISKLPDEILGSILFLLPLMEACRTTTLSKRWENVFAGSPISLDDEQVVRRRVGRPRRESVLHAERVDVISRILNKHKGQVRRLRLSMTRFQGDGDGQGLATDAFNGRGVEELIVDSTYPIRFFSSPPLRSIQLINCDWLPMGPPVLPPVFAKLKEVSLCAVNFSTAAVYALLEQCVELESLLLSSLYRVQAGIEPADSSSILQVRSQSLRCLFLEVLGLKEVVIVDAPKLERLLGEVLYKHSHCKVTLGNAPKLQIVGFLTMELPQPPVPPEMTGMSLELSRRIHSVKILGLCVNLCEMGQVKRMLQMLSYFPCVETLNIKIFTSPSIIRTLVRHKIPYTTDLLELAGRADCLRERVKTIVVGDLWLHTDTLGLDFAKILLESAKKLQLMKIFHIPVGKRKESRSYRQKLGLKSNPSIKARVVFPRDYISSRQVSDVLMDASSLAIPDPMFYQRTFRSWYIRKEWNCYMQAMEGYMSNNAVKPQNDSSSNLYGHSSSLRIGNRRFTYNELEIITNNFQRVIGQGGFGKVYDGFFEDGTQVAVKLRSHSSNQGDREFLAEARILTRIHHKYLVSMIGYCKDGECMALVYEYMSEGTLQEHIAGKNLTWRQRLRIAQESAQGLEYLHKGCNPVLIHRDVKATNILLNANMEAKIADFGLSKAFNHDNDTHVSTNTLVGTPGYVDAEYQATMQPTTKSDVYSFGVVLLELITGKPSIFRDPEPTSIIYWARQRLARGNIEASAQRPTMTEVVAQLHECLELEEARASGGDANGGYYTGGSSSDPYHSYNAYAGDGQSTTDVSQTSTGFEVEHNFGRVPTMPTGPAAR >Dexi9A01G0028060.1:cds pep primary_assembly:Fonio_CM05836:9A:32671803:32676920:1 gene:Dexi9A01G0028060 transcript:Dexi9A01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGSTSSSADALFIPNPGALAGFMSSSSAALPFHHFSTTTASLIPKEEGSIMGALRAAAKDEDMELAEMEMELSGGSGSGHLDGLLTFADVDDDRPDQKPRHSAGLELQTLDAAGQPQPQPLLTANGKKKRYHRHTAHQIQTMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKSDNYRLQAAIRNVVCPNCGHAAVLADMSFEEQQLRIENARLKDELDRLACIATRYGGGGGGRQPSMSSAMLCLPAPPPVLVPPLDLDMSVYSRHFTDQSPSVMGCGDLIQSVLAPPLQQQQIAEHYMGTAMAPVSEEDKQVVLDLAATAADTLAKMCRAGEPLWVRCCRGGASSSEVMVADEHARMFSCWPVDGGKQQGGGSDPAARTEGSRDSAVVIMNSITLVDAFMDANKWMELFPSIVSKARTIQVINHGAASGHLGSGSLILMQAEVQFPSPLVPAREVVFFRYCVHNGDEGTWSVVDFPAEGFQLEALQTSSVVKCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGDEKPLHQVFKDYVANGTAFCATRWVSLLQRQCERLASELARNISDLGVIRTAEARTNMMRLSQRMITTFCANISSSGSQSWTALSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLPFSHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNMELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATKPSPTATSASSGNSESSPGNPDEPASGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITTALQGAGESRAEPAVVGGSH >Dexi9A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:9A:14246582:14259967:-1 gene:Dexi9A01G0019330 transcript:Dexi9A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIEHAHLPIRGLNIHVAQVGKDEQGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEHEEASWDDLVADVLAILDAYSIPKVAFLVAKDFGAKPAYELALRHPERTRGVTCLGVPFSPVPFAFDTMPEGFYILRWRVRDLDQLPTHRSSFCAGGVEPGRAEADFGRYDVRRVVRTIYVLFSGAEIPTAKEGQEIMDLADLSTPLPEWFTEEDLDAYANLYEKSGFRYPLQIPYRAIDKIPNQQDAKFQVPVFMVMGEKDYSFKFPEFETALRSGAMENFMLDLKITFIPEGSHFVQEQFPEQVNELLLGFLKDHPVVAAPYHRWAVDPDPTIEGLLTQTLPKNLKHISLCPSSSKQAAAMAPEIEHTHIPIRGLNIHVAQIGKGVSRVLCCLGTVVFLHGFPEIWYSWRHQMLAVATAGYRAIAPDCRGYGLSDQPPEHEEASWDDLVADVLAILDAYSIPKVAFLVAKDFGAKTAYELALRHPERTCGVTCLGTPFNPKPKPLDAMPEGLYIQRWREPGRAEADFGRYDVRRVVRTIYVLFSGAEIPVAEEGQEIMDLADLSTPLPEWFTEEDLDVYAKLYEKSGFRYPLQMPYRATHKMPDRQDAKFQVPVLMVMGEKDYSSKFPGFQTALRSGAMESFMPDLKITFVPEGSHFVQEQFPEQVNGLLLSFLKDHPVAACRRDRAPRRSSNPHLPLPRREGVVILLSPLTYLPQVQFISARMGACPELGHDPMEGHDGSFPTDARPVSATMAMESPSDVLACLHANLVVNPAAPWIYIGHPSSNNNTRLVASSKRKGFDSLFALVTWQLWKERNARVFQLLRRIQREGEEWISAGAMQLGCLFTEDKCGAVDCPARAYHRCASRAAAQVAMAQEIEHTHLPIRGINIHVAQCSLMAWVMGVVVSLGFPVADELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDWRGYGLSDQPPENEEASWVWDDLVADVLAILDALSVPKAFLVAKDFGVVPAYEFALRHPERTRGVTCLGIPFSPVPFSFEDTMPEGFYILRWGEPGRAEADFGRYDVRRVVRTIYVLFSGAEIPTAKEGQEIMDLADLSTPLPEWFTEEDLDVYTKLYEKSGFRYPLQMPYRSVHKMPNRLDAKFQAPVFMVMGEKDYCFKFPGFEAALRGGAMENFMPDLKITFVPEGSHFVQEQLPEQVDELLLGFFKDHPVRCGLIFSSPSLAGTAPNERVWLWNQLRARILLPKESENLTVKYEAMDMDQQFEHRHLPILGLNLHVAQAGKGDKGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDEPPVEEGVVYDDLLDDLLGILDALSIPKAFLVGKDFGAVATYDFALRHPDRVCGVMCLGIPFSPFTATFPNMPEGFYMYDVRRVVRTIYILFSSSEIPIAKEDQEITDLADLSTPLPEWFSEKDLAVYASLYEKSGFREAIENPKFQVPVFVVMGDKDYVNKLPGFETLLKGGIMAMFAPDLKIAFVPEGSHFVQEQFPDKVNEFLIGFLKDHSVPAFARKRAGSGQQG >Dexi3B01G0033160.1:cds pep primary_assembly:Fonio_CM05836:3B:35508537:35511871:1 gene:Dexi3B01G0033160 transcript:Dexi3B01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQDGPPPPPPAFKSPSTDNKRRSTRFKDEDEYVEVTLDVRDDAAAAVRTVKSVPAAADLQDEAALLEPAAAPGAGGLSSKLRALRRIASAGKRAVPLSALLRGGDRSSTGGRLDRSAVTGAAAALRGLQFLNQAALAEGWPEVEKRFHRLAVDGFLLRSRFGKCIGMDGSEEFAAQIFDSLARRRGITAQVLTKDEVKDFWQQLSNPGFDAKLQTFFDMVDKNDDGQITKEELQEVLTLTASANKLSKILERVDEYAALIMEELDPDNLGYIDIATLESLLLLPPSQAQSRLLVTQSSNISQLISQKLAPAPNRNLLRRAATNLFYFLEDNWKRVWVMSLWLAINAALFTWKFIAYRRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITYLRSRTRLGAAVPFNDNINFHKVVAGGVAVGVALHAVTHLTCDFPRLLHASNTAYEPMKAYFGQRRIPNYWWFVKGVEGVTGVTMVVLMATAYTLAHPWFRRGKLSEGNPLRRLSGFNMFWYSHHLFVFVYIAFVVHGVCLYINRTWYKQTTWMYLAIPLLLYAGERLFRALRSHGLTAVRIEKVAVYPGNVIAIHMTKPHGFTYKSGQYIYVNCGEVSPFEWHPFTITSAPGDDYLSMHIRCRGDWTTSFRALFEQACRPPAAGQSGLLRADIAADISGSNKKLPKLLIDGPYGAPAQDYRKYDVLLLIGLGIGATPLISIVKDVLNHISPTAAAGHEDDDETFMTRRVYFYWCTREEGSFEWFRGVMNEVAERDAGGEVVELHNHCTSVYGDARSALLVMLQALHHAKSGGVDVVSGTRVRTHFARPEWREVFKRVACNHQGQRVGVFFCGDQKLTPELRRLSQDFSHKTTTKFVFHKENF >Dexi7A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:7A:29329035:29329480:-1 gene:Dexi7A01G0020580 transcript:Dexi7A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRSRTRRAGSSSLSSSRSISEDQISELLSKLQALLPESQARNGGAHRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLSSADVTSDQAAIIRSLLM >Dexi9B01G0028100.1:cds pep primary_assembly:Fonio_CM05836:9B:30719712:30720896:1 gene:Dexi9B01G0028100 transcript:Dexi9B01G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAALLAALLAVLVAAHGVRAQTTNHTYSAVRQLRGRGWLPAKATWYGAPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFKDGKGCGSCYQIKCTNKNNPSCSGQPKTVMITDMNYYPVAQYHFDLSGTAFGSLAQPGLNDKLRHAGIIDIQFRRVPCNNAGLAVNFHVEEGSNPVYLAVLVEYANKAGTVQQMDLLESGSRYWTPMRRSWGSVWRLDSNHPLRAPFSLRIRGESRQTLLAYNVIPANWMPNTDYRSYVQFK >Dexi5A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:5A:5989287:5989592:1 gene:Dexi5A01G0008060 transcript:Dexi5A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDSNNKEGTSPAAAAGKMAGPAASWRLNVSDFHMPERPKEPPFVTRVLLRSHGTVNLNLTHALDLLLALSDPTTAAPTMILSSRSITRIVSSMVHAIV >Dexi3A01G0009280.1:cds pep primary_assembly:Fonio_CM05836:3A:6472683:6474149:1 gene:Dexi3A01G0009280 transcript:Dexi3A01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELTAQVSSENDPVSFLPKVVALLFLQAYNKALQAPGRAVGAVITLLKDKLPESTYKVLADYHSTTVKLLALQAAATDDEEDCTSDRMREKKEDLEERLMPELKSLVLGTSKE >Dexi1B01G0030700.1:cds pep primary_assembly:Fonio_CM05836:1B:34592046:34592788:-1 gene:Dexi1B01G0030700 transcript:Dexi1B01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASGGAAAAAAAGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGGVQQGHSPVSHHQWDHGSITALLRQDAAACGGGARRAAVGGLDVDCFVPELMAGDHCAKADAGGDLQYLAQAMMRSPNYSL >Dexi9A01G0031300.1:cds pep primary_assembly:Fonio_CM05836:9A:36256588:36258491:1 gene:Dexi9A01G0031300 transcript:Dexi9A01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTKLVSQRAVVIFGMSSGGMCHAATDMFRNLGVNPTVVELDKDTRGKEMEKALVRLTGRNPAVPAVFIGGRLVGSIDTVMSLHLRSTLVPILRRIGAILDEVDACGDFVNLEDFPILAELPDNRQYETDMGCHSARGAAPDVLADPATPGSLVDFGSPLYTACPPRKSVVTKPTKEPRVSYSHTMMSTKALRLVAAAALLVTVVVATAPTAAAARAGRSFVAGGWSPIKDVSDPYIQELGAWAVSEYLRQGHVDGLRYGQVLSGEQQVVSGMNYKLVLDAMDTTATANKYRAFVFDQWTKTRELKSFEPAD >Dexi2A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:2A:29186805:29187380:1 gene:Dexi2A01G0017360 transcript:Dexi2A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHSASAAAPSPSDLSTLPSSAAPMKPSPSRSNTANAARASSTVASSSSNSAAASSNPTDTVAPAAPITPSTTSMSMAAGLAGDGAGGAAAAVVVGAGAASRRRGDEEEDDLMMAVRKTRMALMERDVLGADAAEAISMVVVEVSPLRISLASCSTVASGLRHLGVCDRLGLGGFGV >Dexi7A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:7A:29766504:29766824:-1 gene:Dexi7A01G0021020 transcript:Dexi7A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHVAALLAVPAVAGGFLQAFQFAFLVWPFNLVLPLARHLPRTCAALGEVASFYDAELRRYASGVARLLPAPPRSQQHASARGVQQRTHGDLVAHAMVALVDISY >Dexi1A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:1A:9063327:9063569:-1 gene:Dexi1A01G0010480 transcript:Dexi1A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRPPVAMALRRRAQPRRRPPVAMPCSAAPRRMGIGEEDERRRWRVERRRWMAQAAADGAGGGGWRGAGGWCKRRR >Dexi2B01G0028890.1:cds pep primary_assembly:Fonio_CM05836:2B:37418658:37420672:-1 gene:Dexi2B01G0028890 transcript:Dexi2B01G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEKQDPVLAANQGKRWGIFICWLLGNGCLFGFNSMLTIEDYYIYLFPKYHPTRVVTLTYQPFVLATTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIILDVATSGRGGIAPFVGICIIAAVFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRFVTKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAASEGSLTVAADLAAVGIQNRPNSMSEENPGYIERLSTKQLLLQNMDYALDMFLIYILTLSIFPGFLAEDTGSHSLGSWYALVLIASYNVWDLIGRYIPLIEQVKLRSRKGLLVAVVSRLLLIPAFYYTAKYGDQGWMIMITSFLGLSNGYLTVCILTEAPKGYKGPEQNALGNLLVLCLLGGIFCGAVLDWVWLIGKGW >Dexi5B01G0027800.1:cds pep primary_assembly:Fonio_CM05836:5B:29347033:29348607:-1 gene:Dexi5B01G0027800 transcript:Dexi5B01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPPPQSEALPSPQAGRPAPSAAAAAAAAAKRGVGGAAGAGGLLMGKYELGRLLGHGTFAKVYKARHVGTGENVAIKVLDKEKAVKSGLVPHIKREIAVLRRVRHPNIVQLFEVMATKTKIYFVMELVRGGELFSRVSKGRLREDTARRYFQQLVSAVAFCHARGVFHRDLKPENLLVDENGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLGRRGYDGAKADVWSCGVILFVLMAGYLPFHDKNLMAMYKKIYKGEFRCARWFSKDLTSLLTRILDINPNTRITLSEIMESRWFKKGFKPVKFYIEDDQLHNVIDDEDGLLEMGPADPVPPPLPPPPPLLPLQKVDADDSGSESDSSISSCPASVLSDESQRPRGSLPRPASLNAFDIISFSRGFNLSGLFEEKGDELRFISAEPMSDIITKLEDIAKLKSFKLRRKDWRICLEGTREGVKGPLTIGAEVFELTPPLVMVEIKKKAGDNEEYEDFCNKELKPGMQHLVHHMVRAPSVPTDAN >DexiUA01G0015750.1:cds pep primary_assembly:Fonio_CM05836:UA:33469651:33471431:-1 gene:DexiUA01G0015750 transcript:DexiUA01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASTSTPRRGGAPGDYVSMSPSPSHTPRSASAHKSTTRLRDRTPLLYSNSSISSGQASQQQESSTPKAPAASSKGPGPGGVNVQVFGPKSQQQDVFNHAVVPLVNEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQNGDLPSDAGVIPRAVKRIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEIVSSAAEIYRILERGSAKRKTAETLLNKQSSRSHSIFSITIHIKECTPEGDEMIKCGKLNLVDLAGSENISRSGARDR >Dexi2A01G0032150.1:cds pep primary_assembly:Fonio_CM05836:2A:42765415:42768196:1 gene:Dexi2A01G0032150 transcript:Dexi2A01G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTLSVSSLASTAFASFPHPRRSPPAPPLLRLLGPRPRALARASALSSTSSSAAAAADDEDGVDTVEQLLHPRPPSVSSPGATRGRIDRLMKLQRRADGDAVPGPAGPGGHRRWFPYLDAFRPAAGGAEVSSREVVEVLEPYILEARRERIRRAVDNRSYAVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDSSKRYRDNRHVSMGAEKWLDTEIWNSPSECFSALKKRGYRIATTCLGTDSVCVYDMDWSQPTAIVVGNELRGISADALELSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLVSSLSLF >Dexi9B01G0043720.1:cds pep primary_assembly:Fonio_CM05836:9B:43673348:43675682:1 gene:Dexi9B01G0043720 transcript:Dexi9B01G0043720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAPSIPAAIASLQTYSTALSAFTAAWRAVESHSAALDSTLAARLARFSELELICSAMDGAGLRAHLSEHRDELKELARALDAALLVAPDPGLLVLSAAAGFCRALPEEAKSDGDIKVPCRLLISLLDRLRAIGVKPSSEARDEARAVAADWKRGKRIGAEAMLKQETVAFLLLVGVFGLVEDVGGAGEVLDLVVSIAGRERAVDAFTSLGLDLDQHMPVLIEKMARGGKQLEAVKFIQALNVVHKYPLLPVLRSYINAAALAGKMIRIRGDDPASQNAADAKERTLLGTLQKFIKEQKLEELPILEEANKRMAQLEQLSAERKRAAAAAVAAAQQVSKDIEQQQKIQQMMQPAKRSKVDNVLQGSGQKVDNVLQGSGQNVHSAGTPSQQFIPRQSIHAAVVRNQYQAALNPAVLPVITQTPQIVTGNHPVGIQSQIPVAPALPTQYGGLAEYGPYRSGTLAPGPSALNVPSGRASSRSKLYSGDPLAAVSRSSGKKGSSYNYSLSNMSTYDPK >Dexi9A01G0028910.1:cds pep primary_assembly:Fonio_CM05836:9A:33639449:33647153:-1 gene:Dexi9A01G0028910 transcript:Dexi9A01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSASSRFTPCLTCHINALLRNLHSRISFCGLHRRRRTYCYKAAAVAAGGSRGRRSSVGCRRGFKPLKHSGAGVRRVMLRRSRRRRRVDLRRQGRPAPPGASSLHLLPFPLLTAAPGASPISVIEQGRQQPPGAGATRGLLLVNLRHPLSPLQGSKSWVAVVADLVAALADLVAALADSRLGCCVDLFKRVYLTWTDEMDAALLAVLVEHHNNGDHAQNGWKPHIYNAAIRNVREKCGVEITKDNISSRLTRQQLATRPK >Dexi2A01G0024600.1:cds pep primary_assembly:Fonio_CM05836:2A:36368438:36369122:-1 gene:Dexi2A01G0024600 transcript:Dexi2A01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASLSSTATAAAGTLVVLLLAPARRHVAGATEYAVGDSDGWTIGPNYLAWSLKYNFTAGDTLAFNYVATQHNVYRVTEDAFRTCEPSANQTMGSWATGRDVVSLPVPGDYYFLCNVSGHCLGGMKFAVNVSAPPPPPPPTPPPPALLPSPPPPPPPASSGVSSSWISRRRCLAVIGLLILA >Dexi9B01G0024190.1:cds pep primary_assembly:Fonio_CM05836:9B:20058771:20059717:-1 gene:Dexi9B01G0024190 transcript:Dexi9B01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGVNGIHGAAAAERDDVETAGAYDEEEEDDDELAGSRGGLGEKKRRLAADQVRALERSFEVDNKLDPERKARIARDLSLHPRQVAVWFQNRRARWKTKQIERDFSALRARHDALRAECDALRRDKDALAAEIRELREKVENQMGVKLESGEEMLPVAAGTAAAAAAVYKDGSTDSDSSAVFNEEASPYSGAALDHHHQAHHPSFTGFTSFLTASTTSLGSSFASLYHGGSHLDQEADGFLSASATVTDGFFAEEQAAGGLGSWYSGEGW >Dexi6B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:6B:20894763:20896996:1 gene:Dexi6B01G0013190 transcript:Dexi6B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRREVVTEEDGGGGAAAATGVRGKAAPKRARRKSGPRESPSQRSSAYRGVTRHRWTGRFEAHLWDKDARSGSQGKKGRQGAYDDEDAAARAHDLAALKYWGPGTVLNFPFRNQHHHNGRWEARIGRVLGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDIGHYVSHWQRHRDGSGDASLSATDAGPVQRPDDSPELWAPPPRVGPPPAHHTAGPTSSALDLLLQSPKFKEMMEQVSAAAMMADCSNSSSSAAVSSSSSSSSSPQPPFSPPLPPQQPEISSGTPAAPCISFPDEVQTFFDFDDMGLTYAEVVTFLFGDLGEYAAPMFQYCDLDV >Dexi2B01G0029460.1:cds pep primary_assembly:Fonio_CM05836:2B:37851989:37856038:1 gene:Dexi2B01G0029460 transcript:Dexi2B01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMWKQASYVNIKCRQHRPGELAPPRPGQTYDNMTDRVPYLQCFGLLDSSGEVGEVVAEGPERSSTRRGLELSRSRLVVEAVEESSGLVAIWRWRRRRCACADARREGRVTGSRRKRKLRNRATRRRESLRDSASASETTSRSLEG >Dexi2A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:2A:2263643:2264455:-1 gene:Dexi2A01G0002830 transcript:Dexi2A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLHGLLLLSCIALLVPAIAGGQREAAEALLKWKASLTDADASLTSWSNATSSPCNWAFVSCSSTGDVTALSIINARINGTLAGLDFSAFPRLEELVLDQNDLYGTIPEGIGNLTSLIWLGIYGQSLSGPIPRSIGQLKQLAHLQLADLELSGTIPVEIGNLTSLQEMQLSGNNGLTGLIPPAIGKLEKLSSLDLSSNNLKGSIPSQIGNMTELETMVLNRNYLEGELPGTLSRLQKLGTIAVSDNQLGGRIAQQLGNNNNLTSIFDCK >Dexi9A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:9A:8503071:8504098:1 gene:Dexi9A01G0013270 transcript:Dexi9A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEELTLPLHLLPDDVLAGVLARLSPRGVATSRCVCKAWRAVVDAHRLLRTELLPFSVGGIFLVPWAIGFPPLFAPPSPTMSHADDDDLGYLEGARDVSSWQIEGHCSGLLLQPCHAAIWSRLPPPPVSSLPLGMKDLCHNPYLMFDPITSPHYEVVLVPELPYWEELDPATEELEWPPSPCAMRIFSSRTGHWEERPFIRDGPALETIAGMRNFPHNFGYHNGVYWQGALYVQCHRNNFVMRINSSTERYHMAGRNGC >Dexi3B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:3B:122039:125279:-1 gene:Dexi3B01G0000120 transcript:Dexi3B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKSSRPTVARNTSSLTTVLKSNINLGSVPGLPPKSKINSVTSGSAIAKDVVPTITTIRDEANGSVKSKNFLPHPQSSFGGPASTFAKPSALRMPSPSVGFFAQENAHVPHGNAAKRNVGRNTKALDRSRTSTILKDHLANLIPFSEEWLAVMESRGEEVLEQKTGAVQNSPPDKIAPEPNAWSPVKRKAQNIGPFECTKYPKSVRTSGAP >DexiUA01G0013510.1:cds pep primary_assembly:Fonio_CM05836:UA:28365463:28366197:1 gene:DexiUA01G0013510 transcript:DexiUA01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEGKIALVTGASRGIGRAIAETLVARGAKVIGTATSENGAKAISEYLGANEFGEVDILVNNAGITRDNLLMRMKDDEWNDIIETNLSSVFRLSKAVMRAMMKKRHGRIITVGSVVGTMGNAGQANYAAAKAGLIGFSKSLAREVASRGITVNVVAPGFIETDMTRALTDEQRAGTLAAVPAGRLGDPKEIASAVAFLASDEAGYITGETLHVNGGMYMV >Dexi4A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:4A:6199721:6201528:-1 gene:Dexi4A01G0008260 transcript:Dexi4A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFCSNAAAGARAGHGLPLRRRGSPPSSAPVAVRRHILPTRGPALSLRCAGADWSEPALVTVAEKLDAAAAARNACVFAGAGGEEEKGRVEAINGVSSGVVEEPVAVPFAPLEQSLVPVDAVGDGTLSQMLSSKLGFFEGTSTYAIYGAGAFFAGWILSAIVSALDSIPLLPKILEIVGLGYTIWFGTRYLLFKENRDELLIKVDDLKRRIVGSGEE >Dexi1B01G0028810.1:cds pep primary_assembly:Fonio_CM05836:1B:33255366:33256102:-1 gene:Dexi1B01G0028810 transcript:Dexi1B01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDATDTTATAEDVQPDPKANLSEKRKALFEPLEPINGKRSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRAEIEKENSMLHEQVSMLMNMLDENEGFDEEGEAPPPDSFD >Dexi3A01G0032530.1:cds pep primary_assembly:Fonio_CM05836:3A:37398003:37398981:-1 gene:Dexi3A01G0032530 transcript:Dexi3A01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAEAPGRGGSARQPLAPAPARAPAKHLGESINVDHRLAKRHFTLRPRLNPRILVSAVSRGRTAAPLPCRTLIWRQVAEEAEEVTERASSAAKGGARRRGKRPSARHRWAGSHPPNEAPCPGQKLGRRALPTGTRAGNEGLRWSPALQWPPARGGRGDLLGEEWGRRSEPSLHSRDTETPEVEGLRMLHLDPKSTGYGRGDAPAADLVGRWAGGLSPSGYQKLEGQFDYRAFVSVSQKPDMKKILRNNTLPVQLPRVWW >Dexi5A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:5A:5142310:5146086:1 gene:Dexi5A01G0006910 transcript:Dexi5A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPIAPDRHPLAAPSSLAPPTPLPRPAPRSVPNPATLAPDSSPASPPAAAIDRGTTTASSRHEGIPDLRPTRGVSVGLAGCGCIRVRHRGEEGAWLVGFGREDGRMSRRSVNPSRRVSDGGLPSVGGLFHHKSRSPPVLTIALVVLGVILLIAYFNSGSGVTVTSREAVSRSEGSCTSEVMRALPYLKKAYGSAMQKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMSDIKFPLPYRPDSFKLVVVSDALDYLTPRLVDSATPDDTNILEIKAFAMVDGINCLTNVYSIPSCQEIQVSRKLRFQNYQNLEDRYVQMTYAKLRSSSWWTRYFVQTGLTENEGPLKKFEEAASKDQYKPDCQIFHLSS >Dexi9A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:9A:846194:848933:1 gene:Dexi9A01G0001600 transcript:Dexi9A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEEAVIFSTSDDASIALLRRLRAGATVPFVHHVDVCSAAPADLVADLAPAPGTDLAEDGYNSIWLFYCPKRFKNAQGKAIGHRQRAIAGGDTCWHSETAPKPVKGLEGATFCNLSFGRKEEGSSRSFNRMGWCMTEFDDKINGGGDHVLCKVHRSSSSLAKGKLKPSSGSSKSKKRKATGDHPQAPPSKTSVEQVDHQVQPPSLSGYEMTDFIPVDYESLFPTEEEQLQQNTLFPADEQHTLFAATEEQHNTLFPAAEEDQLQQNVMFTMDEFGLLDSDFTMDDLSSGQGDGVDYGACLPDSVFNMDEPSGIPEYCGHGAQQQLGQNTFFPAEEQQQNTMEELLRGLGCGEYGACTPTFEDLCCV >Dexi8B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:8B:16967206:16968081:-1 gene:Dexi8B01G0009530 transcript:Dexi8B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATGAITSLIPKLGKLLKDEYDLQKSVKGGIKFLMLELESMQASLEKVSCVPADQLDKNAMLWARHVREMSYDIEDTVDTFLVRVEGNKTAKPHNIKGFVDRTLHLLSKARIRRNSLSKTKIRRRIAIDIQDIMDRVKEAKERRDRYNVDSIIVTPVTTSLDPRLAALFKRETDLVGIDKIRDKLISMLLGGDDPSKENLKSVSVFGIGGLGKTTLAKTVYEKLKSEFECRAFVSVGQNPDIKKVLQDILLELDKHKYENIHNTRRDEKQLIDLLREFLHNKRLGPYPN >Dexi5B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:5B:20332665:20337525:-1 gene:Dexi5B01G0018260 transcript:Dexi5B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATVVNLMQIFILHIVSDEYFLIFCHTEYHLGQLKAKLAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVWTCALSLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSSDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLSHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >Dexi3A01G0030080.1:cds pep primary_assembly:Fonio_CM05836:3A:33371162:33374589:1 gene:Dexi3A01G0030080 transcript:Dexi3A01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVSAMVPLYFALALGYASVRWWKLFTADQCDAVNRLVAYFAVPFFAFDFATRIDPYALSFRVLAADALSKLAIGLALAAWTTAVPAPGAAKDKAMSWCITAFSQVALNNTLIVGVPLLDAMYGKWARDLVLQFSVLQIIVYLPALLLAFETRRAWEAATAEVEDGDVAEIDGNTAAFWPLVRAVWMKVARNPTLYAGVLGVAWACVINRWHIETPSIIEGSVVIMSKTGVGLAMFSMGLFVALQDKIIVCGAGPAILSMGLRFVVGPAATAVGALILGLRGDMLRFSIIQAALPQTITSFVFAREYGLHPEVLSTA >Dexi5B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:5B:18264924:18265182:1 gene:Dexi5B01G0017210 transcript:Dexi5B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQLHP >DexiUA01G0017280.1:cds pep primary_assembly:Fonio_CM05836:UA:36516325:36521676:1 gene:DexiUA01G0017280 transcript:DexiUA01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITALSLDKSVLDGALGYAKSAIAEEAALLFGIDRDHAFIRDELEMMLAFLMAAHEERDEHKVVKTWVKQVRDVAYDVEDSLQDFAVHLDGKPSWWRKLQKLLYWHRVAKQMKELRAMVEDVIQRNVRYRLIRGPDTKSAASIGQSSMTGETMSGIEEARRQRDKEKVEIIRLINSKDENLKVIAVWGSSGVMQEKFIIKIAYDNMIRSKKFECHAFVKILQHPLSLKGFLQNIVRQFYFDCIEEPTQQEATPGAAQDLRRMAMMNEGDLVDAFKKYVNEKRYLIVLGDLSSTEEWNQIKACFQSSKKGSRLIVCAEQVEVASLCVGPDTVQPECKQLSSDKTFYAFYEKFS >Dexi5A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:5A:6513721:6515237:-1 gene:Dexi5A01G0008710 transcript:Dexi5A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVGLARTRRRTTVADWKVAGCRQRWRVRGYQKIRSKKKRLSEEDVEGIVLLCSKWQDEIRNPNWHPFQFKVVDGKEVEVILEDEKLRKLKEDHGEEICALLTKALLEINDYNPSGRHIVAVLWNYKEGREVTLKEGIQHLRRKQLQLKKRFFS >Dexi9A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:9A:4458237:4460060:-1 gene:Dexi9A01G0007620 transcript:Dexi9A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNARVASRCYKGPELLIFRVDPFFSGKDNYDQLVKITEVLGTEDFYNYLGKYGLQLDPQLERLVGRLSIW >Dexi9B01G0001860.1:cds pep primary_assembly:Fonio_CM05836:9B:1075640:1076689:1 gene:Dexi9B01G0001860 transcript:Dexi9B01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMDMMGHGPISDKKLIDDYLLPKISGKRVAGGGFCIHDADVYSDHPYNLARKHVTAGGKIWYFFSPTRYVGGKASRRPGCSRQRSWTVVGADGRKKGTWNPRRTKHVVHGSAVAGGYFRTLTYQETSPDGVVVRPGWMMVEYGVSDEYGGGELVLCKVYKSPANGAGSSDAPSTSRSASASLAGKRKADAVERPDEAPTTSSQRRRKEMDVDDDDAMSFAQTLESELMCYFGIDKDSPGGQDQPANAAVPPCPARPEGAAANDDDDDVMEISVEEFLCSSAPSSQPEKADVSADKLVVASSPELIDYYSDDEVLACPPMDDEYVERITSEPFEFLSDD >Dexi9A01G0032480.1:cds pep primary_assembly:Fonio_CM05836:9A:37391284:37393788:1 gene:Dexi9A01G0032480 transcript:Dexi9A01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRANKTMEREIMASYETKKNCEIRVPILNGHVYSINDTATVEKAAADYEFVIRQLVKVRTVGVSESNDCPKFDLILLDIGPDGHVASLFPNHPALELKDDWVTYITDSPEPPPERITFTLPVINSASNIAIVASEEDRAKAVSCAISEGPGATSVPAGMVQPTDGKLVWFLDEAAATSLEARNDYYKHQY >Dexi8B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:8B:3153169:3155648:1 gene:Dexi8B01G0003760 transcript:Dexi8B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVRMLKEMGMDAYRFSISWSRILPKGTLEGGINYQGIQYYKNLINSLKQNGIEPYVTIFHWDTPQALHDKYGGFLSRRIVKDYTDFAKVCFEHFGDKVKNWFTFNEPHIFSSFSYGTGGHAPGRCSPGGTCAIPHGDSLSEPYRVGHHLLLAHAEVANLYKSYKGTDGRIGMALDVMYFEPYDEETFLDKQARERAIDFNLGWFMEPVFRGDYPFSMRSLVGNRLPYFRDDEKEKLVHSYDMMGLNYYTSMFAEHIDLSSGFSPTVNTDDSYAKLTTEGNDGKSIGPETGLYWLKLYPKGLKELLMIMKDKYGNPPIYITENGTADLDTGNLSKEDALDDNIRLDYLQRHISTIKESIE >Dexi4A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:4A:20107624:20108461:-1 gene:Dexi4A01G0016480 transcript:Dexi4A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRWKPESVKKGLGWKQNGFVPKAPYDNEPSRPSSSTNQTDRAEPSRAVMSRAGSNRPGSSSPRPISSRAPASTPPPTLPCPAAGRSSSPAGAAAPSLRRTTFCSFSPPRSSFPSGDDRATTGAWPATGIRKRYACLFPTFPILLREIKHPNS >Dexi1A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:1A:3474028:3474718:1 gene:Dexi1A01G0004750 transcript:Dexi1A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPSLSSGIHAQHHDESVVQAVAERAMPEYDRRGLAMSGVVPDPRPAAALSVRKLAVCSSGVVAAVVGDGHLGKIALCRPGAAAWSSLSNQGQWRRTKDMAMHQGTLYAVDHNEDLLAVSIVGGEDDDDGAPPALSAIARVITGDPPSFSGPRRLTLHYLVSDSGGGELLMVRREVCRERPGQGRGIDERFTVFKADLGSSRGAPWLFA >Dexi4B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:4B:1782073:1783353:1 gene:Dexi4B01G0002790 transcript:Dexi4B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTDDEKRRCSGMLTSLALRLTKQLAGGEKRNAAGDKNLVFSPLSVYAALGLVAAGARGAALDELLALLVGGASSSSSRDELAAFVRAVAERAFADHSTSGGGGPVVSFASGVWYDVDKVALKPDFLAAAAGSYKAATRAVDFAGGGGEEAREEINRWASQATRGLIADVIPPGAVDDLTRLVLVNAVYFKGKWERPFASRRTKKDKFFLLGGGAVDTPLMRGSGTHLIAVHDGFKVLKLPYQQAPPLGDRRRRGPPDPNAEYSMWVFLPDARDGLWSLVDEIASSVNFLRIHLPKRKVNVRDFRLPKFKMSFSGELTGVLRELGLEATLDPEPLRAPDLSDMAESAAPLSIDCVHHRAVIEVNEEGTEAAAVTGMYAMAATAPPQTRRETVDFVADHPFAFFVMEEVSGAVVFAGCVLDPSQTQ >Dexi2A01G0028070.1:cds pep primary_assembly:Fonio_CM05836:2A:39383920:39385544:-1 gene:Dexi2A01G0028070 transcript:Dexi2A01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASFKMEHELEKRQKESARIREKYPDRIPVIVEKAGKTDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >Dexi2B01G0035720.1:cds pep primary_assembly:Fonio_CM05836:2B:42704662:42708780:-1 gene:Dexi2B01G0035720 transcript:Dexi2B01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKPGDPPQRSPGRSPNLNLPCPLPPVPGGGLPAPRAGHHRRARSEVAFRFPDDLGAAGGAGFDEIGSEDGLFSTFMDMDKIAGADRDRAAETSSPPRPAKHRHSASFDGFGMGPAAGGTAGQQDGAGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKRIIANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNGALKQEVERLKVATGEMSKFNEPYNMGMQHVTYSPSFFQLSEQHAVQHHGNIHLPPHFQQPPPNVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGSVAVKSEAEVVVKSEGSSISAGESNSTF >Dexi5B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:5B:21429931:21432716:-1 gene:Dexi5B01G0019100 transcript:Dexi5B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVYVLLFTAFFFSGLMQLSMAQDKPATMAATPRVIDGKAIDQAIAYLLICKMAAVKVTLLVFTVFFFSGLMELSMAHDKPANLAATARVVDTKAIDQAIAYLLMFAALFVTYLAH >Dexi8B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:8B:9622428:9623189:-1 gene:Dexi8B01G0007760 transcript:Dexi8B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLEAFLLDGIDAEACSSDLSSSPSCSSTTSASSEPEVVAATAMASTTIHNYHQHWAPESSPVASPAAETKPPQGTFIGVRKRPWGKFAAEIRDSTRRGARVWIGTFDTPEAAALAYDQAAFAARGAAAVLNFPVDRVRESLAALALAGGGAAVGGGGSPVLALKRRHSKRTRRSNGGSPAVGGSSKKSTKTQRPSVRESSDVSGVDVAVPEQQETTTPAGQFAGGIVELDELGDDYMEELLRVSSEWGAY >Dexi9B01G0032270.1:cds pep primary_assembly:Fonio_CM05836:9B:34530747:34532366:-1 gene:Dexi9B01G0032270 transcript:Dexi9B01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTATAPLLTSHKAKPSPAKPPSIDGLIETYIGDTGALQLLKAIFVAFAWAFDAQQVFVSVFTDAAPPWHCVGNSSSCTASMTPATASPCALPPDTWAWDRPAETSVVSEWALQCAGHAALVSLPASSFFAGCLAGGFLLTTVADSRLGRKRTLVASLASMSVAGVLTAFLARNVWAYAALRFAAGLARSVVGTCTLVLSTELVGKTWRDVVSVAAFLCYTLGFLSLPVLAFALREASWRSMYLWISVPCLCYAFVLYFLAQESPRWLLVRGRTQEAVETLHKIASLNGMSTTDTTSLLSTTVDACNIVREEEGGGGMFATVRTMSERPWAIRRLAAIMAAGFGVGMVYFGMPLGVGNLGGSSIYLSTTYNAVAEVPSAVLSWLLIARANRRGSVVALAMAAGACSLACVAITNQGARMGAEVVSFFAACAAYDLILLYTVELFPTSVRNTAVGMVRQAMVLGGVAAPVLIALGRERSSVWSFGVFGIAIGCSGLFAACLPETRGKTMSDTMEEEEERSSVDQSGPIIGKYADSGDIV >Dexi1A01G0029500.1:cds pep primary_assembly:Fonio_CM05836:1A:34971391:34975311:1 gene:Dexi1A01G0029500 transcript:Dexi1A01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALETKVRGHFRGGARISSSGVGSNKVHTNSAEEGCSAELTVVATGVKGRERGGGRGGGGGSGGESVAFGFVFRVRLSLVSVPMGYTDPVAAVGRGGGTPRQTCSSRLTSSIAAFDATRRQTHGSDHASAPVPVGARNVARRRSPARQHGRASPISSWHVSKFWPFLSKNAQRTSGLAAEQHLRAGHSAGSDEPEMAGAPTSMLPNASGSLQHAVVHAALVDGVSGLVVVAGERRGMHDAPLVQARDAGGGLGRRRGLWKVAADWGEILTGSGDLEATPTRRVTRFVKPPQARCGPVSWPMSSKLRCTKFIYTTAAPQVTRRPNFSKSSGRALLALTRSPFRPSLDLSLSPPPLSPRGGDRSPTLAKRAASPTHEPSAACRCMSQSVVNPARSTALR >Dexi2A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:2A:9397075:9397437:1 gene:Dexi2A01G0009190 transcript:Dexi2A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRCCYQRGRHFSGARMLQKLKLGRAWKDSAPVILESDCARVVRAIQSRMDKSELSFLVAEAIEETQGQRLRAWFP >Dexi8B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:8B:5292773:5296545:1 gene:Dexi8B01G0005240 transcript:Dexi8B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGDEEASMFETSHVLGALLASSPLLARAWDRCAAATAAASGLVHGDDGGTVYVGFSGVQAALSAAGAAVAGGGADAFAPVGLGGESTRRMFAPLVAAETETAAVGEQVAVQALALQCFLKFCGAPDFQMLLDQIRGKAVVFTGHSLGGAIAALAALHYLCISSSRSTSGPAPPVLCITFGSPLLGNEALSKAILRERWAGNFCHVVSQHDVVPRLLFCPLDAVPAHIIVGMQLQQWPARVRQADALTTVTTPRMVHTDRDTLRQLIQTHVGAVAMEQKLADPAIPSGGPYRPFGTYVMCSPDGAVCVDNATAAVQMLYATFAASRCSPGVESPEAAHSCYGDLVLKMPQQLLLKRRLRSDDAPVTSNYDAGVSLALEASGIHAMVRAAHATEASTARQWLKTSKRAGRRPSLNCAQLATKLGRITPCRAQIEWYKALFDGDMGYYDAFKLQRSAKKFSKANIYRIKLGQFWDRVLSMLDAGHLPHDFHRRAKWVNAARFYQLLVEPLDIAEYHRHSLHRTRGRYLTHGRERRYELFDRWWKEKGRAGGEVVLASSASRRRRSKYAGLTQDPCFWARVEEARELTESARRGDRGDTAELAMMLEELQEFERYSGELVESKDVSVDVLAPQSSYTIWVEEWNQLKVRDEIRAILLQF >Dexi1A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:1A:5865900:5867046:1 gene:Dexi1A01G0007590 transcript:Dexi1A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAAINSDFGGLGGRPTNPQASPFGGALHGPGSGLIRTGLEAYGGKILDSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGILGWFLQVILIKGLLYSLGSGESALLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >Dexi3A01G0034270.1:cds pep primary_assembly:Fonio_CM05836:3A:39480833:39482076:-1 gene:Dexi3A01G0034270 transcript:Dexi3A01G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAPLITDDATPGPLLKSSLLIIGGLLLFAAAAVVLFRYLLRRWGSSSFEADVGEGGAARRSAAAAGRELVEAAAETGAARRIVEVVGRVGAIPARVRVDAAVEVERREVGDDIELLIASLPLFTMASALAAVPKNSPDCAVCLSPFDADAELRLLPACRHAFHAACVDEWLRTNPVCPICRNPVSFPLPPLPSAPAVPTAAVGQEPLGWRASWRSFRVELGSVSNRCSSCADDRRTYSLGGSFDYRVDEEVEAIVSSIVRPAASRPPRLVCPTAPAAPGEALAEAVGSRGWLGEYLDRVSGRWSGRLSLGRRSHSRRHGDSWRWDPEAAAAKSAMAAGEAAVGICGGLEEHRDRDNLASSAPSFSGRWSRRWSLGHRRDESWRWDPEARRGRSF >Dexi5A01G0032310.1:cds pep primary_assembly:Fonio_CM05836:5A:34879266:34879952:-1 gene:Dexi5A01G0032310 transcript:Dexi5A01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFWRWLATFSRVRPSTFMKRTMALGTAPLSPRCATASTNRWCSSGVHTILAFFLGLPPRHAQLPEAASSVSAAAAPSSSSSSCSPSSTTSSSPSSSSKRRDRWEGESSKAAKVAPAMLIRNAVASAGVMSGCQSGVSSEAEGRPISHSSTRPERDSPSASMPARRRGGENEGEREAGAAVVAWWGRPPRGDNITNSPGEVTQGLRPRRRRRLGFLTMSR >Dexi9A01G0034550.1:cds pep primary_assembly:Fonio_CM05836:9A:39340931:39342561:-1 gene:Dexi9A01G0034550 transcript:Dexi9A01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAPVVAAALVAVAAAWVWDYAVVRLLWRPRAVAAAFRAQGVRGPAYRFLTGSNGDVRRMKAEADGEVLDVGDHDYLRRVVPHFLAWKEQYGTLALPVCSALLCSAPDVSSGGPFLFWFGPQPRICLLDYELVRQVLWNKSGHFVKTDAHPTILAMLGKGLVLVEGPDWVRHRRVVNPAFAMDKLKMMTTTMVSCAGHLIKEWEQLVSNNGNKEAEVELNRNFQELTADVISHTAFGSSYKEGKQVFHTQKQLLAVAMATLLNVQLPGFKQVNMFLGQQ >Dexi3A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:3A:10353810:10357011:-1 gene:Dexi3A01G0014190 transcript:Dexi3A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGSGSGEQQSPNPAAAVAADADPGAGAEKLVFDAPAQPMREDYVQNAVKFLSHPKVKGHPVVYRRSFLEKKGLTAQEIDEAFRRVPDPQPGATTATASQPQQQANSQNQYTGVQTYAPVQSVQPGTAGPVIVRTQPRFSWYQAFLAAGLLLGFGASAAVFIKKLFLPRLKSWIRRVVAEGDDTQGNQLKAKIDEETAEAVKASASAVSAIAKTYQQLLASKDEGRSSFAPVPVEPTSGSFSRSYAEPWEMQQYSQQRPSYGSNSQLSDDGSYPDAQESYPPYHQNGKAPDFQADEPRPLTYNTGVEERPPPQRRWVPPQPPGVVMPEAVAAIRQPKTLPKQPSSVASETAGEVQVNGASSASAVVTEVPVNGAAASDTGRSEIEEQTEAN >Dexi4A01G0021890.1:cds pep primary_assembly:Fonio_CM05836:4A:25292184:25300518:-1 gene:Dexi4A01G0021890 transcript:Dexi4A01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNLPCSSSSSTSKLPVPFFGLAFVLLFSSASLVSSCTEQERNSLIDFRDGLSWEGNGGLNMSWINGTDCCQWDGITCTNGVVTEVILASKGLKGEISPSLGNLTGLSHLNLSCNSLYGGLPANLVFSSSIIILDVSFNHLSGPLLEQQSSIPGLPLQVLNISSNFFTGQFPSTALEVMKNLVALNASNNSFMGLMPSSICNNALSLVMLDICVNEFSGTISLEFGNCSMLKVLKAGHNNLAGALPHELFNATSLEQLSFPNNGLNGILDASNLVKLRNLIILDLGSNGLGGNIPDSVGQLRRLEELHLDNNLMSGELPLALDALQKLKSCKNITSLLIGTNFKGETIPQDEAIDGFENLQHPELNEFMAVRRRPMQLNRQVIVSAVALVLIFSLLFLCNRAGACTAEEREALLSILADLSPAPGDGIAASWSSPDCCAWDGVSCGGDGAVARLWLPRRGLAGTISPAIANLTALTHLNLSGNTLAGEFPSALLSLPSAAVIDVSYNRLDGSLPNLSSSPVAGGELPLQVLDVSSNLLSGHFPSVIWSHTPSLVFLNASNNSLEGSIPSLCASCPELVVLDLSMNQLGGGIPHGFGNCSQLRVLNVGRNNLTGELPDDIFDVKTLQRLLLPSNQIQGTLDPERIAKLSNLVALDLGYNAFTGELPESISYLPKLEELRLAHNNLTGTIPPALSNWTSLRCLDLRSNSFVGDLDAVDFSGLTNLTIFDMAANNFTGTIPPSIYSCTSLKAIRVGNNQISGQVSPEIGDLIHLQFLSLTINSFVNISGMFWNLQGCSNLTALLVSYNFYGEALPDAGWVGDHVRNVRLLVMVNCELTGQIPSWLSKLEDLNILDLAGNRLTGPIPSWLGAMKKLYYLDLSKNQLSGEIPPSLTELPLLTSEEAMADFNPGHMPLTFTLTPNNGAASRQGRGYYQMSGVATTLNLSTNYLSGEIPREVGNLVTLQVLDVSGNNISGQIPSELSNLARLQILILRRNHLTGPIPQALNQLNFLAVFSVAYNDLEGPIPTGGQFDALPPGSFKNNSKLCGPAIAVPCGAKSTTIASGEPSSSKLVSKRVLVAIVLSVCSGVVALVVLLGCVVITVRKVRTPKGSVADAGKMSMFDYSMTTELKGDDDSTAKDDTILFVPEVAAGDTAAKSVITFADILKATDNFSEASIIGTGGYGLVYLAELDDGTRLAVKRLNGDMCLVDREFRAEVEALSSAAARHVNLVPLRGFCMRGRLRLLLYPYMPNGSLHDWLHGDRPGGADELRWRDRLRIARGASRGVLHIHEHCTPRIVHRDIKSSNILLDACHVARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRQPVEVLPTQRQRWELVGWVMQMRSMGRHDEVLDRRLRGNGDEAQMLYVLDLACLCVDAAPLSRPAIQEVVNWLDNVDTIGNKLAEDVKISDGHGQI >DexiUA01G0023320.1:cds pep primary_assembly:Fonio_CM05836:UA:47694264:47697094:1 gene:DexiUA01G0023320 transcript:DexiUA01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVFTSHRSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPLGQSRSARPVVLKQGETTLARSLFSFCPAPRKQQLPH >Dexi6B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:6B:25281826:25288178:1 gene:Dexi6B01G0018260 transcript:Dexi6B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDSAVGPHFSGLRLDSRRLSSSSLPSPPHSNGNGAPKGLPSPTAGGGRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVQDYNFDHPDAFDTDQLLECMGKLKSGQPVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVASVLEQYGRFVKPAFDDFVLPSKKYADVIIPKGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVFVVHTTFQIRGMHTLIRDSNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEDRIIFLNLISAPEGVHCVCKRFPRLKMVTSEIDGGLNEEYRVIPGLGEYGDRYFGTD >Dexi8B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:8B:969663:970278:-1 gene:Dexi8B01G0001470 transcript:Dexi8B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFRSTTRRDLHSSSSTTTSRSDPPPCPRRSRSRSVSAAPRARGADSLREEDYANTRTNPLFDAAASPADSLSPSQGTASSNGGGDVPRRDRGREPFKSGGRAGGGRARSVSVAPQRRHTASAPSAGGAVDGRKASRARLVAEDARPYRGSETN >DexiUA01G0005530.1:cds pep primary_assembly:Fonio_CM05836:UA:9929024:9930821:1 gene:DexiUA01G0005530 transcript:DexiUA01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTGQELAGTLAPEIGSLQRLRSLLLPKNNFHGRIPREFMGLSALEVLDLSSNNLDVTIPEELRAMPLLKQLSLHDNQFQEGLSLPYRSDFKDWISFSVLREKYYTNVPSFRKAEIMQNLQSFASAMRRRLLSEADNLPALLGNDAKSSALGNSKEILRSADVLSLGSGSFPAFPNSYGQALTPLVPEAIDAAALQQLSTEVAQSTNVEMSGTKYSKRAYLITIPAAVLLIFLIVVILLVWRKRGGAQIAPWKTGLSGPLQKALVTGVSKLNRVEVQAACEDFSNITNTYPSCTVFKGILSSGTEIGVVSSVISSSKDWSRSAETCFKK >Dexi5B01G0028160.1:cds pep primary_assembly:Fonio_CM05836:5B:29600831:29601172:1 gene:Dexi5B01G0028160 transcript:Dexi5B01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATEAAAKGRVTALGVAACERDAEKLQFIEEKTRDFDAEQARVLAEILARNNGAEYLRRHGMEGRTDRASFKERVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTR >Dexi2A01G0037100.1:cds pep primary_assembly:Fonio_CM05836:2A:46570976:46572393:-1 gene:Dexi2A01G0037100 transcript:Dexi2A01G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMPLPPGFRFHPTDEELIVHYLRSRAANAGCPVPIIADVDIYKFDPWELPGKAVYGDGGEYYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHHTATGDTVGVKKALVFYKGRPPRGTKTNWIMHEYRLANTTTTTLAAASYRPPSKFRNVSMRLDDWVLCRIYKKSGQASPMVPPLADYDHLDHYDETSSGFDDICSSFVYAPTSSGGSSTTATSAAVIMQQLPRLPKIPSISDLFDEYALAQIFDAPVPADHLAVHPSLNQLLAVGDSDDLTTIYDSPSPATAAAGKRKAATAIPADVCAAGTAKRINHGSCFDDAPQPASGLPAAPSVLGALNHHMLPQF >Dexi9B01G0043660.1:cds pep primary_assembly:Fonio_CM05836:9B:43623672:43625475:-1 gene:Dexi9B01G0043660 transcript:Dexi9B01G0043660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSWLLVGAAAAMLLLLLAPAATAQDCLSATFSGGRTFGKCNSMPTLSATLHWTYHPENGTADIAFRAPSSSSGWVGWGLNPTNGLQMLNSNVFIASQDSSGTASVLMTKLESTSNPSLQPETLSFGVPVGPTVEYSGGAYTIYATIKLPGNNTVQNTVWQAGPLSAGKISAHPQAPTNLASTQSLDFLSGTSIGPSNSRLHRKNIHGVLNAVAWGILIPTGAIIARYLRVFESADPAWFYLHIACQCSGYILGVAGWGLGLKLGSESVGITYQPHRNIGIAIFSLATLQVFALLLRPDTKNKYRFYWNIYHHSVGYSVIVLSAINIFKGLDILQPSSGYKTAYIVILATLGGIAVCLEAITWPIAIRKRKRDADKASNGTAGWQQGA >Dexi9A01G0029580.1:cds pep primary_assembly:Fonio_CM05836:9A:34513762:34517002:1 gene:Dexi9A01G0029580 transcript:Dexi9A01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLAFRXXXDSKALTPSQPPMATAPLAFRLPLPFPSASRPPPPRTLAPPAPRRLPARLAAAPARRFRPPTADDEPPEAAEDSSHGLNRYDQLARSVERARSRQPEITPDHPLFSSPPSADGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPASPASSQSPPEEEGLADELSPEEVIDLDEIRKLQGLSVVSLADEEDEEAERGEDEDGDYGLSLEEDGEGFDVAGELGIEGARIQQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQHDASLVAAGDLFVCVGEEGLAGLTEADKRGAVAVVADQDVNIEGTLACRALVIVDDIAAALRVLPACLYRRPSTEMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGMVGVLGAYAFGSNKVDARADASGDPIAVQKLMATMLHNGAEAVVLETASDGMPPSGVDSEIDYDIAVLTNVRHTDGEDGMTYEEYMSSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGHDVPVVTYSFDNKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGAPLEDIVRGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIVTVVGCCGEKERGKRPVMTKIAADKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKNEFFDDREECREALQYVDQLHRSGVDTSEFPWRLPESH >Dexi7B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:7B:19110723:19117241:1 gene:Dexi7B01G0012310 transcript:Dexi7B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASVSRSAGEPRGPRRGCCPWSAPPPASGGRAPAAAACSVSRAPPGASTPQLLPLPGTSAVPKMPRRHGQQAAMPARARPSSWHHQRWAAEDGGRPGVHAGRSPRRALRASDSDPQLVKPRRVFVLTLLCHRISISTMSLDVPVTAMDTKKGENRRLAYAVSAMQGYRENMEDAHKVDLNLDPRTATSFFGVYDGHGGPAVSKYCAKHLHIELRKDAGFRNDPVAAIQRTFLRMDTMMASRKAGKELCEYGAGSEYWDNCKKEIRAARFTFCGQAIVLSTDFKPSLPGERERIENTGRTVYVPAGRGNIERIDGEIAISRAIGDLAYKNVEGLSAEQQAITAYPEVRTEAITHDDQFLIIACDGIWDCLSSQQAVTFVNMYLNSNVGLSVICEALLQHCVSVPSGRDNMTVMLVRFKNPPPAGPAA >Dexi5A01G0023840.1:cds pep primary_assembly:Fonio_CM05836:5A:27815995:27820836:-1 gene:Dexi5A01G0023840 transcript:Dexi5A01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHHHDRGLLLMLLLLTMAIVTSRGDATSACRRLPYLCGGVNISYPFYLASDTKAIPDHDGESYCGYPGLAISCHGSSSNKAILNLSGDSYAISSIDYTNLTVSLADADASSNGNCPTVDHNVTIPPVVKLALPISAVDYLFFFVNCSFGHPDADDLDPFTTKPKPPKPPTIKPITCGGFDEAREPMTFVLPTGDVPPGDWSGACESVFEAPVLRGAVPRDAMDPKWRSDGYGKALRDGFRLAWDRSSGRCGQCEQSGGMCGYGRGGEFLGCLCADGRVEDGGCSKILADSYSLGISVGVGLAVLAVAAIVFIVRKRKKKNVINSSSKLLKYSGSGGTPRYRAGGDMESGSIEDPPAHLFSYEELEEATNSFDENRELGDGGFGTSAAALNYLHAIEPPVVHRDVKTNNILLDADFHVKVADFGLSRLFPLDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAVNKIQKCQLEELVDLDLGYESDPATKKMMTMVAELAFRCLQQNSEMRPPMKEVLEVLRSIQGECRVEKDGDKNKDGAVSPTTVHAPWDSRATTPNTSRD >Dexi5B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:5B:8941256:8944028:1 gene:Dexi5B01G0012560 transcript:Dexi5B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAMDQGGEDCRASLLHGEARKEEEEKWQVAAAAAPGCCSLVGRVYEESKKLWVIVGPAIFTRTTNYSMNLIMQAFAGHLGDLELASVSFACTVLLGFNYGIMLGMASALETLCGQAYGARKYAMMGVYMQRSWIVLFLCALLLLPMYLFAEDLLVLTGQPRELAAMAGRVSVWFIPLHLSFAFLLPLQQFLQCQLKNSVVAATSAAALCFHVAVTWLFVSWLRLGLAGVAIALSMSWCATALMLFAYVVCGGCPDTVRVANELGAGNGKGARFAAIVSSTTSLLIGLFCCGLVTCLHDKIALIFTTSAAVLAAIDKLYVLLAFTILLNSIQPILSGVAVGSGWQSKVAYVNIGCYYLVGVPMGILLEWVFNLGVLGIWGGMIGGTAIQTLILAVITIRCDWEKEAMIASTRMSKLSQVQ >Dexi9A01G0030210.1:cds pep primary_assembly:Fonio_CM05836:9A:35120378:35122573:-1 gene:Dexi9A01G0030210 transcript:Dexi9A01G0030210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSRSGGTTPVGGKPPRHHLTSIRHCASSARIAAASAEFELDAGTLSLISPTDIRPGFLPVFRSGSYADIGPKSYMEDEHVCVDNLVEHLGLRGPGIPAPGAFYGVFDGHGGTDAACFVRKNILKFITEDCHFPNSMEKAIRSAFVKADHAIADSHSLDRNSGTTALTVLIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPNCTVERLRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSVCPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQCAVSMVRKELMAHNDPQRCSRELVQEALKRDCCDNLTVVVVCFSAEPPPQIEVPRFRVRRSISMEGLHTLKGALDSNV >Dexi9A01G0038230.1:cds pep primary_assembly:Fonio_CM05836:9A:42542814:42543729:1 gene:Dexi9A01G0038230 transcript:Dexi9A01G0038230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETEIPLYKTLPNSEGLIANLTITRGAYKTNPARLPNLHHHPLQQPRSLSRAGKKPSSPREEKRSRGPARGSRLELATHHQGKGAAVPRPNEAAELAAHEVGEESEEQRNRFVVLRLYEALNAGDARRAQELLAPDLEWWFHGPPARQHMMRLLTGAEKGGSAGGFVFNPRTVDAFGSTVIAEGADDARQLYWVHAWTVGADGVITQLREYFNTDLTVTLLSGAAASAKNAAAIAAAPPKQDAASSSSSSSSSSPSAGPKCLWQSRRADSAHKSLPGLVLAI >Dexi9A01G0030040.1:cds pep primary_assembly:Fonio_CM05836:9A:34969564:34970812:-1 gene:Dexi9A01G0030040 transcript:Dexi9A01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLAFAALAAALLLAVAAADVGSIITQDVYNSMLPNRDNSICPANGFYSYDAFIQAANAFSGFGTSGDDEANRRELAAFFGQTSHETNGGAAGQYTWGYCYKEEINKATSPPYYGRGPIQLTGQANYQQAGDAIGEDLVSNPDLVSTDPLISFKTAIWFWMTAQSPKPSCHDVILGNCTPSSADAAAGRVPGYGAITNIINGGIECGVGPNDANVNRIGYYEHYCDMLGVGYGDNLDCYSQQHF >Dexi5A01G0024600.1:cds pep primary_assembly:Fonio_CM05836:5A:28430501:28433167:-1 gene:Dexi5A01G0024600 transcript:Dexi5A01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQHQHQHNGRHGGHRHETAIDTAHHHQHNGRHGGHRHDTVIDMAQQHQHHGRHGGHRHETVIDMAHQHQHQHQHHGQHGGHRLETVMDMAKQPPPPPEKAGGGARFGFTGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHEITGHAPKGCVTAVMGPSGAGKSTFLDALAGRISSLDGRVALDGVEMSPSLIKRSSAYVMQDDRLFPMLTVYETLMFAADFRLGSSVSASDKKLRVENLIEQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILLLLDHLIILARGQLMYSGGPKEVTSHLARMGRKVPKGENSIENLLDVIQEYEQSEFGVKALAEFCLTGLKPPKLTATYGAEGLSTVSSIAQTPISGLGGGEDFDHSLRSQHSRSPWNGAQLTPSRRPKNKDQHNNRYTPEIVMGTPTPMSSAYTVNEDDYLTPTTRRAAVATNAATGAPGVGINALGHRGKFANPYVGEVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDDPQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGIITYLPFLLLQSATYAAIVWFALRLHGQFIYFLVMLYASLLSTNSFVVFISSIVPNFILGYAAVIAFTALFFLFCGYFLSSHSIPVAWKWMNTVSTMKYPYEGLLMNEFNGGRVFSSQPGLVLTGDDILRQLGISTVEDRKWWMVLYLLGWAVFYRVLFYLVLRFASKNKRK >Dexi4A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:4A:2432366:2435748:-1 gene:Dexi4A01G0003380 transcript:Dexi4A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYRAYVPSSSHYRDPRGGYPEYIAPEGSLASSYAPRSYAVPGGPDVLRNDVAVQSRAYVLDGPAGVINPALPGHSGLAAVARARGPSPLEDPSLAVMSRLAPARVPGPSRLEESAVAGRYSPLGKGAGTPDVLRHSPLLDFDGPSDDESNILFVDCLPTDCTRREVAHLFRLFEGFKDIRVVHKEPRRSGDKAYVLCFVEFESAKYARTAMNKLREYRFDDRKPDSPCLKIQFASPFAVESEGHADDGEVNGDRGSARRTCLSGASAVVVAWKPR >DexiUA01G0024720.1:cds pep primary_assembly:Fonio_CM05836:UA:51264927:51265284:1 gene:DexiUA01G0024720 transcript:DexiUA01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLRDEEAVESIRNTGGQLHLGDDLQRRRPRVHHHEPVLAGAFVVDHTKYPSSSFPSPSTSSGTKNGSPAKHPGPKSRRATVQSPVPASSKSSLATPAILGMGSPIPMYPYLLRRPR >DexiUA01G0024720.2:cds pep primary_assembly:Fonio_CM05836:UA:51265294:51266259:1 gene:DexiUA01G0024720 transcript:DexiUA01G0024720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSRFPAESGVRDTAPVRRSMRTFSTHECTSSIRSSACSTDSTLGATSTSSSPSLSSHAFTVCMMLKPGTSNHRISDSSAACLGSTPIRGTLPESDPTGAPPATIPMGCITIWMEDGVAFCHGEVVEERRLLHGENGHVGALVPRRVETEVGDERWDRPVTERVDLAGDGVFLGVGGHAHGEAAAEVAAAVGGHLAGGRVDAHGVGEVRLAERVEAAAEEGEAGVGEPDALGWVHLPRQHPGRHHGAAGEPGEAPEKTLGITGAPASRSTRYLYVCTNLEHRIGRSDGDDDGGGRRREWSMPSPSKRWWGPRGRC >Dexi3A01G0027310.1:cds pep primary_assembly:Fonio_CM05836:3A:24255092:24258395:1 gene:Dexi3A01G0027310 transcript:Dexi3A01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPASVHLLPSAAAASLPRGVSLQLSCASGGAAPGWRSRGHRLAVVRAATAEAAAPAYTFDSLILYFKAEGTMDERAIPKITETLQAMEGVKDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFDEATAGVGGGVQSSE >Dexi1B01G0030670.1:cds pep primary_assembly:Fonio_CM05836:1B:34560656:34562625:-1 gene:Dexi1B01G0030670 transcript:Dexi1B01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAAATLLLRSRLRGPVSTPAHRTLNPLPAPPRRHFSPRPRPPVPASAAAVADAAEEAFEAARTTNDMLASFSRLEAAVPANDRRLALACLKLGQHLEASGSVDPSRVLSLALRCLGILEATPNASASVSASDAVSLAMALHLAGSASFDLSRFHDALSFLARSLRLLTPLIPERGVSFGTGEEAAEGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAHASLLDFKQALPLCHKALELHESTLGKNSMEVAQDRRLLGVIYTGLEQHEQALEQNEISQKVMKSWGAAGPELLHAEIDAANIKIALGKFDEAIGVLKNVAKQVEKDSEMRALVFISMAKALANQEKAGDTKRCLEIACGILEKKELAAPDKVAEAYIEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHLEGNVAARIGWLLLLTGKVSEAIPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNTMGSYALAMEFQKRVVDSWRSHGPDARDELKEAIRQYNQIKTKAFASLSPGGSANVLPELQAHETDSDSDKAVQK >Dexi8A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:8A:26570292:26571839:1 gene:Dexi8A01G0015470 transcript:Dexi8A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEETAEEKGEQSCLELCRRNIVHVPGSTSMSYMMRMPPCQVNGFFREYIVSRSMEENLVFALEGHCNVNKQHTGRHLAIGSTWDRDMSVYRGIDVSRLRSLTVFGKWDSFFITDKMKLVRVLDLEDASSVTDCDLKLIVKLLPHLKFLSLRRCKEISHLPDSFGSLKQLQTLDIRHTSVVKLPQSIIKLQKLQHICAGTAVPMDGDSSTVESISPPLEAASASASMNRPCDATLVSQLKSWKPWTRCSQELPTCHNGGIEMPQGIGKMMALNKITVVDVSIACGRPILEELKNLTQLRKLGLSGIKREICEALCSAISIFIHLESLSLWLEKNQTGCLDAISSPPEKLKILKLYGYTGKLPAWIHMLSNLSKLKIQKDMIMQDDVDLPNSLPNLNTLNLSSKEFQHGQFRFHPHFQQLQVLEINCTNRLQAVKFQPGVMPRIEVLRIFCHDVSSLRFSGLQQLINLRDVTLSGSYDERVKQSLELELGEYWQPCSTSSTSHGALVRWRRASL >Dexi1A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:1A:28100224:28102009:-1 gene:Dexi1A01G0021270 transcript:Dexi1A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKQIRKTQSQINKRTPDVCLSKFVDSVLARCRGPEHAPPEQEDDTDDDDDAGQAPIDSSAPQRSRSGSRARALRMVWASCVLPRHGEDAHFGHAKAGFIGVADGVGGYRDQGVDAGAFARELMANALANVKLASKARKLRRTHPKNVLEKAYETAVTKGTPGASTAVILSLDRTNLRWAYIGDSSFAVLRGGKIVYRSVQQQSRFNCPFQLCANGGGDELSEAKVGGMSTVKDGDVVVLGTDGLFDNVHDAQLERAVRMGTELGFSPKNMADIIAGIAYGVSRNDKAWTPYSVGYLKAYLKACGGKKDDITVIVANEDDHRRRPAEAEPDDGTTLPVALTGSAGHASYGWTTTTRTSGTPADAGVVGVYMLHGVDAGAFSRCLMERAPAQRPEVAVATETGGPYTFLELAYKKTVASDAPGGVHGVVVLSLAAGEALKWAYIVGGGFAVLLGGSRQDRAPPLNAAAALVHQGPVPAARR >DexiUA01G0026450.1:cds pep primary_assembly:Fonio_CM05836:UA:56486048:56486740:1 gene:DexiUA01G0026450 transcript:DexiUA01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQHASARLQQQAAATAVGPTLCRPVAMPSFACLEELAGGLRPMLSHLSVTGCRGGFDRPTKCASLLANKGRDEETGRAEGHWERES >DexiUA01G0016080.1:cds pep primary_assembly:Fonio_CM05836:UA:34245117:34249682:-1 gene:DexiUA01G0016080 transcript:DexiUA01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMYAATVACRVCYRDQYGTPPPRELSCAPHKKWINADPLIFRLCQWKPKGRGCDVRCRSCGCPNGSETKECQGSEDVAGPYRDFKQHSRGNTQFSDDQVASKKKLAYASQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACEDAAVLGMGFLKLDARARKDTQKIDHSVKERAARLNHFARAFKERAESDLKKAADRHWSDGALEADLRRADLVVKRRAMEDAFMALKFVRDVHDMMVNRLYEQLPKDGASSRTNPTGFITLEKNGKTLELFPGEVSADQIFAIEEAYRSMASAFSEADGIDYTDPEELELLVATLIDLDAMDGKRSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDPNYAVARAASRAIDELKKQWELEEGDSLRFVMNQNVASEATDDESSAADDTS >Dexi4B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:4B:21688490:21691383:1 gene:Dexi4B01G0019520 transcript:Dexi4B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKLDRGWGSTSLPSPINPLRRRKKKRAPSRGCPDAQFRAARPGGGLLRGVPCPLAPAAAGVEAAGEMSSHAAGTSNGGSGDAGAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARDVVEIIDRYDEACVPSNMTDNKLAYIQNETISKECIRNLTVTKHMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDKRKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYSFTRGNQNLTVDKKDISWKSDRDHKFGKDVYPSNFQNGALKGGATLDPEIPLSEQEDLIVWMRTAALPTFRKLYGRIYFDLKENETITVRLSNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGFCIFLAFAFTLLYFIKPRHPVAFYQRLVA >Dexi2B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:2B:26423662:26424201:1 gene:Dexi2B01G0016250 transcript:Dexi2B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYASPVWPWFCDIYVSISDEEVGSKLLPFEVEVEGINEDISEREIRRHVRPRAMEDVKQWRLALRILVRLDWPHESLDIHVECIDYDPRWRKTVVTYGGPHTSDYTTMIGRARDDDEQTEAEDKKKRHRNAMMEKLDTKLEGMVEFGKTVLLLVWEMPPLRDTATKP >Dexi8B01G0012610.1:cds pep primary_assembly:Fonio_CM05836:8B:21978531:21980386:1 gene:Dexi8B01G0012610 transcript:Dexi8B01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTLTSSTPRSAMAVGLAVTGGGDGRQYGGRITGFVALSCLTAAMGGAIFGYDLGTSGGVSSMASFLKEFFPDVYRRMEGDGHVSNYCKFDSQLLTLFTSSLYISGLLTAMLLSSWFTARHGRRPSMIVGGVAFLAGAAISGGAVNVYMAIFGRALLGVGLGFANQAVLLYLSEMAPARYRGAFSNGYQLTLCLGSLAANIINYGADKITGGWGWRLSLGLAGVPAAFFTLGAIFLPETPNSLVQQGKDRVKVRALLQRIRGTDAVDDELDDIIAANAVAQGGGGNGLRLILLQPRYRPQLTIAVLMPAFTQLNGINAIGFYAPVLLRTVGMGESLALFSMVITVVIYTMSTVVFMFVIDRFSRRALLIAGSLQMLVSELLIGAIMAAKLGDEGGMDQGYAVALFVLIGVYVAGYSWSWGPMTWLVPTEVFPLEIRSAGQSVTVASGFVFTIFIAQGFLAMLCRMKAALFFFFAGWIVVMTGFVYLFLPETKGMPIEQIGKVWREHRFWARVVGVDELQVSDKV >Dexi8A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:8A:559863:561461:-1 gene:Dexi8A01G0000850 transcript:Dexi8A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYTPATVLSLLRRLPRRRTAAAAGHQLHALLAKHGLLLHPAFLPALLSRLPATSPSSLSILLAAPPCVLSPSLFCPVIVAFSSSPIPSSSLLLFNHVSSLSIPTPLPAFPALLKSCARAFRLSARAGTAAVFAAKDCELHCRLLKLGCEQDRYVQNGLVSMYGKFEWLGDARRVFDQMPVKNAVSWNALAGALGVAGDLQGADAVSQAAPARNISWWNTEIMKNVRLQDMAEAARIFREMPERDAVSWSSMIGAYAKLGMYDRALDAFQEMQENVVELTELAVVSVLGACAEMGELELGRRIHNYLASKGIAADGYVGNALVDMYAKCGRLELARLVFDSMSIRDVTCWNTMIIGLSVHGQSRDALKLFDSMKIEPDQITFLGVLTACSHGGLVNEGRTYFNSMIEDYKILPSMKHYGCMIDMLCRYGEVHEAYQMIKDIPISNLNSVLWKMVMAACRVHGHFDLANKAFHELHQLMPMDDGYVITVSNAYAQAERWDDVEHLRTKAIQCSASKHAAHSQVHAATNYMEQ >DexiUA01G0009770.1:cds pep primary_assembly:Fonio_CM05836:UA:19216947:19217512:1 gene:DexiUA01G0009770 transcript:DexiUA01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKFSNHRDVLEGAVPENDLNLSDSDVDNHGRPDQLTASWLKRPGDGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGDGHNYEYQEEWSESDGEYPFARQLTITKAKKLIRKKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHE >Dexi9A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:9A:16130816:16131064:-1 gene:Dexi9A01G0021170 transcript:Dexi9A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLAPYTGGRRKVVHGRSGGRSSSAAPVKQLLSRLRRRAARPRPRRAAARFAYDLQSYRQNFDDGLAASSGHRRL >Dexi9B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:9B:260438:262739:1 gene:Dexi9B01G0000400 transcript:Dexi9B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSCWLSRLPSLGGNAPPRLLGSTKPNKLPSSSSRPSLLPVVRRRRVVRRRRLGATEQQQAQIDEVVDSNILPYCSIDKKQKKTLGEMEQEFLQALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQILLEASMAYAAGKPIMSDAEFDELKLKLKVYSDLAVDYFKMFLLNVPATVVALGLFFFFDELTGFEINVFQLQC >Dexi3A01G0025540.1:cds pep primary_assembly:Fonio_CM05836:3A:21269171:21270628:1 gene:Dexi3A01G0025540 transcript:Dexi3A01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGATTSTLNLRSTAAATVFICMYAISFPRHTLGPAWNTAYLAASTGTNSPPSPSHRSGTNSMQSSPHTFSILPMASSASSRTATRPLNGTGGYRRIASVVHLPLDVGGGLLVGAPLAARVRGEEPEEPCERRRRRVLPGEHEGDHHVAEEPALGCRRREHGAELLADTVLLLLGHGRHEQRQQVVVGVPVPMACLLRLDDGHGVAVDDVDGLPEPSRPADVEELGESPHERRRRQRPPERDLEGDVERLEERLLLLLQPRVAIDAERDVADGGEPEPAERGLEVDGTARRRGGVEGREEASPEVDAEDAVGEAAEGAGGEGVGRQLPLEAPEAAVGGEEPVADELGGGWPPFAYPGKPARRRWSTSAGVAVVTAWPAPKGPHASMAPEGDARRSSVYQSKKRWRLRMKSRVLPRIGLGYGQWAVVDVVRAPRRRRRRRKTARRKKRST >Dexi1B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:1B:1530561:1531037:-1 gene:Dexi1B01G0001950 transcript:Dexi1B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSASPHAGESSEAYEDLLPVMGEKLGTAGLLSELRAGFRLLADPARGAITPASLRRGAAAALGVAGMTTDEADAMVREGDHDGDGVLSEAEFCVLMVRLSPGIMGDAEAWLEEAIADELAAMLQEEQQESQQQPLHDADDVATGTAPASRRSA >DexiUA01G0025660.1:cds pep primary_assembly:Fonio_CM05836:UA:54026618:54026833:1 gene:DexiUA01G0025660 transcript:DexiUA01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLAAADMPEVLQTEIVHEKLDKLATGHGGTTLASSPRSSGAATASASPARPHGHYVVPLQSRHRPSER >Dexi4A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:4A:20282532:20285831:1 gene:Dexi4A01G0016660 transcript:Dexi4A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSPEIVPVNSPASDPHDPDHESSESEDGNGDGPPEPLSEKLPVPRAELSLYRAAVALRLLLLAAFFRYRVTHRVPDAPWLWVAALVCELSLALAWLLSQLPKLSPTKRATHLDVLASRYEHDGDEELAGVDVLVTTAADEARRAEPPLATANTVLSVLAADYPAARLACYVSDDGAEMVAFEAMFETARFARRWVPFCRRHGVEPRAPELYFARGVDYLRDRASPSFVKERRAMKREYEELKVRINGLAATARKVPEDGWVMSDGTPWPGNNPRDHPAMIQVLLGHPGDQDAEGNELPRLFYVSREKKPGFQHHSKAGALNALLRVSALLTNGAYVLNLDYDHCVTNSGVLREAMCFLMDPVAGNRTCFVQFPLRVLVDDHGGDPDATRDSVFFDIDMKCLDGIQGPVYVGSGCCFNRKALYGFDPALAEDDDTSGNSCCFGNRKDPALRRTMSTDSDDDHAGADNNARASRRLRSYHAALERHFGHSPAFIASAFAGQGRAGGGSGSSSMATAASSSLLREAIHVVSCVYEERTRWGKDVGWTYATGAGVVTGFRMHARGWSSAYCSPAVTAFRSFALASPSDVLAGASRRAVAAMGVLLSQHCPIWSGIGGQMRPLQRLGYVACVAYPLVSLPLTVYCALPAACLLTGRSIFFFFPEDEVGYYDAVMLILLISSTAATVALELRWSRVAPRAWWRDQKLWVVAGTSASLAAVFQGVLRACAGIDFGFASTESTSAKIRLSSDDDGEEEESSGGQRRSVRWSNLLIPPASLLVGNLAGVVVAVSYGVDHGYRAWGPVAVKLALAGWVVAHLQGFLRGLLARRDRRAPTIAVLWSVLFVSVLSLLWVNVDSYSAPPARSSSASQQPVL >Dexi5A01G0032880.1:cds pep primary_assembly:Fonio_CM05836:5A:35276194:35276821:1 gene:Dexi5A01G0032880 transcript:Dexi5A01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPPCAAPGKGGTIPVDDGVNLGTVKLHGNIDMARFESLLFQCGNGLCQGANLPLPVPLKVGEKKGHADMTRIISQLTTQTLVYIDCLVSQALDGTGLVFQAMRNGPMKDKEPPGEPRIMRSLLQAL >Dexi6A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:6A:2651861:2654309:1 gene:Dexi6A01G0002870 transcript:Dexi6A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFGALFGGHRRSSTGGGRATAAVRHRGLISQPSSAHHDGGRRKAMLSKKYSYIPDTFTSLDQVAAALRQQGLESSNLILGIDFTKSNEWTGKQSFGGQSLHRLGETPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDSNVFSFHHNNSPCHGFEEVLACYRRIVPHLKLSGPTSFAPIVEAAVDIVEQSGGQYHVLVIVADGQVTRSVDTGDSDLSPQEKRTVDSIVMASAYPLSIVLVGVGDGPWEDMQKFDDKLPARDFDNFQFVNFTSIMARSTTAQQKESAFALAALMEVPIQYKATVELGILGRTTGNAKRVQPAPPPLPQRQTSLRRGSSNVSAVSAPSPREDQVCPICLTNAKDLAFGCGHMCCRECGESLNRCPICRQPIRSKLRLYSG >Dexi3A01G0022530.1:cds pep primary_assembly:Fonio_CM05836:3A:18155306:18155995:-1 gene:Dexi3A01G0022530 transcript:Dexi3A01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSCKHPPRTPSATAKTIASLFLDSGDSSSFANSSARTTTTTRRASTDDNSHSTEYSEPSNNKLAAADDMADAIVRGLRSDDRLLFEPQAPSSSILETKPPPAPAAKKRATVAASNDASSSFGDSVAVLFDSADPYRDFRASMEEMVSAHGVGDWEWLERMLAWYLGANGKDTHPAIVTAFVDLVVSIAAASACACSSSSRVSSFTLAASDDPGESSSAGGNFSFSLR >Dexi1B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:1B:3638734:3639778:-1 gene:Dexi1B01G0004500 transcript:Dexi1B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHRSISLPSRPASKVEEELQILEACTSSSSMTIETTCDGLRRLGDIYSSIEGVMCLPSNQVCSSQQRKLLDGEMESSLQLLDLCNAMHEDFIELKAIVQDLQVSLRKGDDAAVQAKIQCYFRLVKKAKKHFKKAAKKVTSDKEDCRILRLLIEAREITTSLLESTVQLLAKQIAMPKSSVVLKAFQKKISVVCKEEQLQVLECNIKDLEDGAELLFRRLIQSRI >Dexi4B01G0023310.1:cds pep primary_assembly:Fonio_CM05836:4B:24680837:24683701:1 gene:Dexi4B01G0023310 transcript:Dexi4B01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGGGGQDRLSALPDDVLVLILLRLDTTTAGRTSILSHRWRRIWALLPELRFPADADLRLVASALAAHEAPISYLDVRSLDAVPESVEACLALAAGRLSGSLVFQNRVSPGGNAGGGGDGGTLGFDLTCLHNATAVSLDLGLLGLAVLTTGVFARLTELSLERVRFRSWWELGDAVSSPRCPCLQKLSVIYAHGLANLAIHSESLLQLKLKDLYGLQQLTIVAQVLNELDLGHCFGADGGVVAHISTPQLVSLFWVDLHDSTSVQLGNWPRLQNLTSFFLVYAPHDSLLNHSFLRLLKQFQFIKSLHIRLVYLENIGNLHYALEDLTKLPCITALSITVNDKGHAFGASLFNILRICSDLRFFVLELDDVSDSEVPYECPSGCVCDQPSDWKTEEFTLNCLQGASIDMEGSDPQIAFVKRLLTWAVALKHMQINFDSSMSECKVMELRQTLSSFAGPETRVNFYMYENEGVKFLGTRYLLAP >DexiUA01G0005670.1:cds pep primary_assembly:Fonio_CM05836:UA:10253497:10255790:1 gene:DexiUA01G0005670 transcript:DexiUA01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDAVFACCLLLLLGSASGNGGSSVSTTTPLPAGGGSLPLWVQQLAVASGVPAPTLGALDGKHLVVSPNARGGDGQFSSINAALAAAAAEDLSGRNRFIIFIDEGVYDETVNFTMEKVILVGQGIGMSVITGFHGKGFMAQGLTIRNTAGPAGKQAVAFMSRSHNSLIFRCSIQGYQETLDADTGFQMYVETDIHGTVDFVFGYAHAVFIGCRLLVRHPGAAAGHNVVTAQGRSNPEDKSGFVFQNCSLTADQGANLTGVETFLGRPWKNHSHVVFMESFLDAIVDPLGWIEWNRTHGEIPSTVRYLEYGNSGPGADTTGRVKNPAVRVATCSEAAEYTADRFVDAKDWMVPATEPKVTIPYPRGLQHPCPAA >Dexi6B01G0001510.1:cds pep primary_assembly:Fonio_CM05836:6B:1364023:1368757:-1 gene:Dexi6B01G0001510 transcript:Dexi6B01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAAAADHAAPRQVDEAPGSGSDAGEREMRDLEELLSKLNPMAEEFVPPSLASPVAAAAVAPAPVPAPLSPAAFGYYPANAGFAVASPGHRGVVGFPAVADGPAGRGRCVSLSRLLQKKGGAGGFGGHGHPGGRRRTNSRTSMAQRDEVIRRTVYVSDIDHQVTEENLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVTQADLKLFFESICGEVFRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLIH >Dexi3A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:3A:1500307:1511481:1 gene:Dexi3A01G0002280 transcript:Dexi3A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRASSSGMAASLVPHAQGAVPTVGFGGYHGAVRVEPAAPSDPDVPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSILFAQKPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMFCVKETFLYLSENLKLTTQALSDKATPMDELEDMHQRSFLWLLENVSRYSGEVSSDDTPIKLITDILAKIVWRDYLLLSGDTTSGGVLLSHKNSGLAANTQYPVYYLQDLEKCIVEILDVIADTEDHLLNVSCELLVRDCLDIIQQGDKLPKFQDHVEQLVSFFLSLDQLVVHKGKTWPLERLARPLVEQSLPAIKFMVKDSVYADENFSVTVNRSAYEIVATYNKEETGIDLVIRLPNCYPLRHVEVKCTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSVPRLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Dexi2B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:2B:26033635:26035857:1 gene:Dexi2B01G0015910 transcript:Dexi2B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLRSDLSGLADHRGWSLLGAFASELLGLLGAGSRKELEADVLGMVGHAFPEAPQKGGPRVSHACGVWHDSARALKPAYLAPFRSAVRAVDFRTKPEEAREEINSWVAEATSNLIDSIVGGGSVDSSARLVLANAIYFKGSWAKPFDKAWTEEDKFHRLDGSVVDAQFMNNSGSSQYIGVHNGFKVLRMLYAAPPDLPRTVPPRYSMCVLLPDEHNGLQSLQDKVASSTGFLQDHMPESRVRVGEFRLPKFKLSVDTSARKALQDLGVQAAFSPGAELHDMLQDDGSQELLYLQDVLHKAVIEVNEEGTVAAAASAGLRCAAARPRSPPPDFVADHPFAFFVVEEVSGVILFAGHVLDPTRH >Dexi5B01G0036460.1:cds pep primary_assembly:Fonio_CM05836:5B:36178632:36180849:-1 gene:Dexi5B01G0036460 transcript:Dexi5B01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRQLIWLVAAVAAAAILLTASAKKSRDVTELQIGVKEASFLVGVAFIFSFSSSFQYKPESCTLQAHKGDKIKVHYRVSDMMQGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCIGEKRKLRIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSGGEKAESNSEL >Dexi3A01G0029070.1:cds pep primary_assembly:Fonio_CM05836:3A:30400282:30401202:1 gene:Dexi3A01G0029070 transcript:Dexi3A01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCVSWPIIASERERGGDCVHGVDDTAAHAGGDPGLELRGLEESAGAAMHQALEATTEHHCTRMEDSPMNSMSERGYHDMNADASASSNARFTSGSELTTTVDDGSATNEERARTRGAEVAAGGERERTAGMRVGESFLSKPSLGRVGMWWRGEEEPDGRGNDKTAAEEKFGGK >Dexi6B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:6B:21962883:21964344:1 gene:Dexi6B01G0014430 transcript:Dexi6B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGAAVKLTRTPSSLLRSPTVRAGAATLDDPEPDDKKAQAPPLAKPRALLRRAHYHLRPGPAQSALLLLPVLALAALLLGGGGGSHHLALLAAAAGAALAAAAAVARLRTARAGIRAPRAPSFVGASVQWFIGEGDGEEQQQRMKKGRKAERAAEVREGVEFYSNGDRYEGEFHGGRCSGSGVYSFFGKGKYEGDWVDGKYDGYGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYAGEYFADKIHGFGVYTFANGHCYEGSWHEGKKQGFGMYAFRNGDERAGEWDSGILKNSLSLSDPAVQRALQASRRAADSAFHLPRVDDQVSKAVMAANRAATAARVAAIKAVQNRIDGKLCFIDV >Dexi9A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:9A:8940783:8941228:1 gene:Dexi9A01G0013730 transcript:Dexi9A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKKNGGGAMPALGWWLMAVGGLRIGLTWSGFFGATSLGAATYAQAEMTGVHGRTFGVWTLLSCTLCFLCAFNLGNRPLYAATFMSFVYAYAHFIIEYLVYHTFTAANLGAFALVAGS >Dexi9A01G0038420.1:cds pep primary_assembly:Fonio_CM05836:9A:42679152:42682139:-1 gene:Dexi9A01G0038420 transcript:Dexi9A01G0038420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLVIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDSILDALTYEEKETGPDGQEVTKVVPAVTPEVKDSLVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMKKAEASGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKYKGKLVVKEPPRAVSEREDKLFLDQIDSLMEQNAEVDGDDDSEEEEDTGMGDVDLTNSGVTAY >Dexi2A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:2A:30952:31854:-1 gene:Dexi2A01G0000060 transcript:Dexi2A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLLSLVLLLLLGAPSAASSSFSLDFFPAAGAGAVAQLALSGGANATGAAVSLASPGARVQYRTPIVFPSAGAAFSTYFAFALPASPSSLAFFLTPSAAAPRSDPALAVVFSATHVRVHLAGRAAIQAHYSHARGTLHAWIDYNATSAALHVRLSAATTHPTPPLLSCPLDLSPILRRGPVRAGFRTPSGNCTLFSWAFHGAPYRMHSQPLNPAGLLAMPPPQPPGRRYSPWGAAVALFFAAACGAMVTFFVLFLWYSVATRRPVAPVEHPSDVVYEKIVLVGVKDEDEHPPPGAATK >Dexi9B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:9B:8473551:8479043:-1 gene:Dexi9B01G0012620 transcript:Dexi9B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRARPSAVAAAELRPPEPPLDPLEFLSRSWSASGRAFAPPPSTPPPAAALVSPIAEDAACELEDCGFAAAASGSSFSFANFLQEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQAYRPGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTVGGGHHQKLGAPPKQQHRKLESNGSSISDDVSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGMVMVKMKSRHVAGTITKKKKSVVIDVCRDVPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSLAGDRKRLA >Dexi7B01G0024540.1:cds pep primary_assembly:Fonio_CM05836:7B:28862556:28863523:-1 gene:Dexi7B01G0024540 transcript:Dexi7B01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAYDFRGDVWFCHSFGGRCLPFTAYVPAIAVLRDLEAFLAANPDEVVTVFLEDYAAPGSLSNVFNAAGLSRYWFPVERMPTRPGQEWPLLQDMIARNHRLLGLVDGRCPRRAESKPMDSPAQSLVLMNFFTSNPSQSWACGNNSAPLLSRLSTCHRAAANRWPNYIAVDFYMRSTGGGAPLATDVANGRLHCGCDNIAHCKPNSSSCAAAAAKQPPAATSISLPPGPAPAPAPWAH >Dexi8A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:8A:26246629:26248902:-1 gene:Dexi8A01G0015200 transcript:Dexi8A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSVPLPPMQQGRVLTVLSIDGGGIRGLIPATILARLEAQLQEKDGPDARIADYFDVIAGTSTGGLIAAMLSAPIKDENDHVEEELSKKRPFTAEHIIQFYLDNGPDISPQAQADMWHTTKNALKKVWGGPKYDGKFLHKKIDEIIKDVKVADTLSNIVVTAFDVKHQHPVLFNTLEAEREPHKKACLADVCIATSAAPTYLPAHSFKTKDSNGNSHEFELIDGGVAANNPTMVAMSLLTKEMIRLRQKLKHRNVQLVEEAPPPPVYKNILVLSIGTGTPKQEHKYTATECNKWNILNWLTKDGFNPLIDFFSIASAHMVDIEAQTDQLMGETASVDCTTKKNMDKLIEIGKKLLKEPVAKVNVDTGVYETVPGGPTNDKALEKLATKLL >Dexi2B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:2B:2004103:2004561:1 gene:Dexi2B01G0002420 transcript:Dexi2B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTSSRTAMSIVVALVLVLGTSTCHAARRLADDTEPAAAPAAIPAIPAVPKPTVPAVPTVPQIPAVPAVTGVPPMPAVPTVPAVTMPKVPAMPTAALPPVVPAVVVPKVTLPPMPSVPAGIPTVTLPPMPSMSIPGVPTIPFLAPPPKA >Dexi8A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:8A:5454445:5455602:1 gene:Dexi8A01G0005700 transcript:Dexi8A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAKAVVGFGLVRYWYDTTNNSRVVVKVFLHDEARIPDDVVVSAGIEPRVSSWTCHVVILKRKNFAINGDEDLFPPADGGVAHPVPPQPPRWMGMHGPAHNPQNQVVGEGSHSAQGPSGDVDMSNAASGDVGDVDNDRVSDILDMNNVSNGDSAPVIVVLLPDNVAVEGSADGVSPSNIVVPLIPPGFEQAMKVHQVVSGPRIIGPALPPAPLVPYSDSEDDDEIMVIPRLPSSVTPRKRCSRKLKEPLDTSFLRCNKRLQPARDGFRDEASAKEALEYPQVYEGCANVGASTAPYLDLATVQGIGAGFLKMRPEDVSAATLLDLDDADE >Dexi8B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:8B:15051467:15052346:1 gene:Dexi8B01G0008960 transcript:Dexi8B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQGRDPSTDFRGAGFISLENLLFFAKTFSDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEVLKSTRTQLERELMLDDVMRIEDMPSYSLLC >Dexi5A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:5A:1298005:1310499:1 gene:Dexi5A01G0001840 transcript:Dexi5A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGGGGGGGRGDGGDIYNVQAAEILAKEALLLPINEAAPIYEKLLATFPTAAKYWKQYVEAYMATNNDDATKQIFSRCLLNCLHISLWRCYINFIRRINDKRGSEGLDETKKAFDFMLNYVGNDAASGPVWMEYITFLKSMPTMTPQEESHRMTTVRKVYQKAILVPNNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNVLAIPPTGSYKEEQQSMAWKRLLAFEKGNPQRIDVTTANRRVTFTYEQTAKTIYESLLGENARVTSLGHIQFIRFLRRTEGMEAARKYFLDARKSASCTYHVYVAYATMAFCIDKDAKVAQSVFETGLKRFMLEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESTEVWKRFVQFEQTYGDLSSMLKVEQRRKEALSRTSEDVLSASENTLHDIVSRYSYMDLWPCSSKELDYLARQEWLAKNNVKKVDRSAMLNSGSMLDKGTVGISASARLLPQSAKVVRPEISQMVIYDPRQMKGPEFSTPASGYTKEIEDMVKMLSPPTTSFIKNLPAIEGPSPDIDVVLSVLMQSTLPVTQSAAKAGGVSDGKSGLNQSGSVHRPPRERRKDAGRHGAQEEEDAVTVQSQTAVPRDIFKLRQIQRSRGLGVGGAAGASQSGSSAFSFSGGGSAFSGDHSASTE >Dexi9B01G0022180.1:cds pep primary_assembly:Fonio_CM05836:9B:16800434:16801279:-1 gene:Dexi9B01G0022180 transcript:Dexi9B01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRRPPNRSRSHSQPDRSERAPPPSSSPDHRHSRRSPHRSSSSGKKRSSSDRYDDLPPPLPLPRHEPEAAKAQAAASKSKASVFSRISFPGAGGSDGANASDAKRSRRASSDKPPAHSSSSSSKKGAAAAEDGDARHHRGHRHHQNHRDAAAAAAEEDKRRAAATEFYGGGGEDEEESDEEEQHFKRRPSSSSRREREQEAQDEQPRHSRRSRDHKRR >Dexi1A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:1A:3874540:3874890:1 gene:Dexi1A01G0005290 transcript:Dexi1A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRTVAAADDCGGEAQRRRPKQKKGKGAGVPEGHVPVCVGEEGGPIERFAVRAELLGSPPFAALLRRAAQEYGYAHPGALRIPCPAAEFRHLLLRLSDQDPSAAAAAAF >Dexi7A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:7A:25136047:25140781:1 gene:Dexi7A01G0015300 transcript:Dexi7A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATRGQTPESARHSFRTAASRFACNTSEAAESPTVVVDDRIFVAVPEELKHGKSTLLWALQNLANASSRSMVFITHVHVPAQMITMGLGANVHYSTMRPQELRAYREHEREKMEKKLNEYVLICRRFKVNCDKAAIQNDDIAKGVIELIASHGITKLVMGAASDKNYSKAMRAPMSMTALKIMEGAASSCKIWFTCKGSLIFTRDANAGVPAVPSSPAATNTAPLPVSNTSSQMRSMMTNKLENQASSSNVSTMNDMGISRRDVSCSLSERAGGTLLQQSEDAGSTFNGNPRRPWDSEDFSVDSDISQNSGCSSSPNNGPVGIPRTAVVDNNDISEVGSSMHLSTNNSCEHILPAPHDLDKLKEALTEIQFLKNEVEQECNKRRNAERELHSALQKLSFDVDGEQEIMNDPHMAADGFTYEGEAIKGWLDGGHSTSPMTNLKLEHSLLVPNRALRSAILEWKQQEQH >Dexi2A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:2A:2088383:2088841:1 gene:Dexi2A01G0002600 transcript:Dexi2A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTSSRTAMSIVVALVLVLGTSTCHAARRLADDTEPAAAPAAIPAIPAVPKPTVPAVPTVPQIPAVPAVTGVPPMPAVPTVPAVTMPKVPAMPTAALPPVVPAVVVPKVTLPPMPSVPAGIPTVTLPPMPSMSIPGVPTIPFLAPPPKA >Dexi9B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:9B:8911034:8912872:-1 gene:Dexi9B01G0013240 transcript:Dexi9B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSPSTSVSIHRRSAPAAGGSRARAPNSVRLTPRAVSSVPAECLPPASFKPAELTAPRKAAAIVAPPRPAAASPATSSPTRKAAAAAKKELNLFQRAAAAALDAFEEGFVAGVLERPHGLPKTADPAVQIAGNFAPVGERPPVHELPVSGRIPPFINGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRNGVAESYACRFTETARLRQERAIGRPMFPKAIGELHGHSGIARLALFYARAACGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTDGGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFRPDGSKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHATDASEMAWVDVPDCFCFHLWNAWEDEVTGEVVVIGSCMTPADSIFNESDEHLESVLTEIRLDTRTGRSTRRAILPPSQQVNLEVGMVNRNLLGRKTRYAFLAVAEPWPKVSGFAKVDLETGELTKFEYGEGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNAADMRLEATVQLPSRVPFGFHGTFITANELEAQA >Dexi4A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:4A:25090877:25091564:-1 gene:Dexi4A01G0021670 transcript:Dexi4A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPSKRDAEAASASSPLVASPRVGGGKDGLRSHQRWSLPLPVRSLLVLEDPRSPAASTSYRILVAAIACVALAALFATPSVWSRLNAPYLCRKVGIRLHCPRVSERDSLWENPHAAATSWKPCAERRNDEISGKYKILLL >Dexi7A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:7A:3342015:3343119:1 gene:Dexi7A01G0001300 transcript:Dexi7A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKALVLAILCSTCFFSAVLAARQLSDDSAMVVRHEQWMAQYNRVYKDEAEKARRFEVFKANVKFIESFNAGRNRKFWLGVNQFADLTNDEFRATKTNKGFNPNAAKLVTGFRYNNVSIDTLPATVDWRTKGAVTPIKDQGQCAVAAMEGIVKISTGKLISLSEQELVDCDIHGEDQGCNGGEMDDAFKFIIKNGGLTTESNYPYNAQDGQCKAGSNSAATIKGYEDVPANDEASLMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTDSDGTKYWIMKNSWGPTWGENGFLRMEKDISDKRGMCGLAMQPSYPTE >Dexi3B01G0031350.1:cds pep primary_assembly:Fonio_CM05836:3B:32468379:32479567:1 gene:Dexi3B01G0031350 transcript:Dexi3B01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEGLRAAPDLTPPCSVSPPPQQQQEDEEMLVPHQELPVAGPEPAPEPMEVVAQTETANTAESQPAEDPQTSRFTWTIESFSRLNTKKHYSDVFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAERHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKDQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEEAQSVGQLREVSNKAHNAELKLFLEVELGPELCPIRPPEKSKEDILLFFKLYNAEKEELRYVGRLFVKAVGKPSEILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRSLDKPKDDDFSLELSKLHTYDDVVEKVAQQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVISARFQASGFFGMHTCTPSLVHYVRK >Dexi1A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:1A:2330099:2333438:-1 gene:Dexi1A01G0003240 transcript:Dexi1A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTEYGEANQFQIQEVIGKGSYGVVAAAIDTHTGERVAIKKIKNVFENVSDAARILREIKLLRLLRHPNIVQIKHIMLPPTRREFRDIYVVFELMESDLHQVIKANDNLTPEHHRFFLYQLLRALKYIHAAHVFHRDLKPRNILANSDCKLKICDFGLARASFSDSPSSIFWTDYVATRWYRAPELCGSFFSSVSQSLTSKLTVYNNILSDKARKYLIDMQMKHPVPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFRGLSKLEHEPSAQPISKLDFEFEERKLTKDDVREMIYREILEYHPQMLQEYIEGGEQIHFLYPRERVCSSSEDGHNQDPYNEEGRASSYVARTTISSPRSQEEGCAHQSAYHSGDSTSCAKSYLKSAANISASRRAIKGRKGPKEKGIPEDVKEEVVHGLLDKVSRVLS >Dexi4B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:4B:17500400:17500780:-1 gene:Dexi4B01G0015670 transcript:Dexi4B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLQQRASWVLITSLAASGEDTTTVGTEPSRSSMTGPYCLASSRRLRCGSGPIWCRLPRKGSFRGPGGRCRAGLLHLLCFLERERIPRKKSNSSMVTLAW >DexiUA01G0002960.1:cds pep primary_assembly:Fonio_CM05836:UA:6314858:6315643:-1 gene:DexiUA01G0002960 transcript:DexiUA01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLEKDSLDLVLVPCGLVIMLGYHLILLYRVLRHPGTTVIGYENHNKAAWVRRMATAASTDETALALSVVSSNISASTNLASLSIALGSLIGAWISSTTKVFMTELVYGDRSQATATVKYISLLVCFLAAFTCFIHSARYYVQASFLITTLDSDVPAAYVERAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFSCSLLMVVILHMLDTNSLPLHQHQFAVRKRREQRGLSPAMLAARQPSPQNPILSSPVLSPVTFFS >Dexi2A01G0022590.1:cds pep primary_assembly:Fonio_CM05836:2A:34442579:34445053:1 gene:Dexi2A01G0022590 transcript:Dexi2A01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPAKKAPAAGLEYCELCRHHHDLGRRHRYGRNHRDKLGAALTRFRSKLSDLRRALLHGSPSSQPPRSRLWCPFCSIDLVDLDDRSACSNAIYHLASGEHLKGVKDFLRKHGGGMDQVDSLRISEDEVAKWEKGCKSLRTGAKTGTEELIGPSLGPMKDIQNESTCDNSDTFARTNIPSFSNTASYVVMPLQNPTNGAYHPISTECHGAFGSGNASYSAPYGTVGLPITAWGSAETHKQQGVLSTNCFHGTGPEMNGKSHQPTIPGNGPRPLISFAAHAQKQMCIRVLLLLG >Dexi3B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:3B:6082903:6084116:1 gene:Dexi3B01G0008800 transcript:Dexi3B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATAAESFAAEVVWLGLPRRNTVAVSIEMDRPVLSRKLYGFLLPHRALRSFLNVGAAAACKVAAEDAFDCLTTGGVSRHKKNAAIGGALAGALISAANSSHRDTKQVIKDSIAGGAIGTVIEFTRT >Dexi7A01G0023980.1:cds pep primary_assembly:Fonio_CM05836:7A:31831461:31832798:-1 gene:Dexi7A01G0023980 transcript:Dexi7A01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIRRRQQLLLLVLASSSSSFSAAWGGALVGDSCSSSSWTTGGSKGGGCGGGLRCTACVAPPGTGPSACARTTPLDPKKGRAGASLPFNRYSWLTTHNSFAVVGTRSPLGSAIISPPNQEDSVASQLRNGVRGLMLDAYDFRGDVWFCHSFGGRCLPFTAYAPAIAVLRDLEAFLAANPDEVVTVFLEDYAAPGSLSNVFNAAGLSRYWFPVDRMPTRPGQEWPLLQDMIARNHRLLVFTSRRGKQGTEGLAYLWDYVVENQYGSEGLVDGRCPRRAESKPMDSPAQSLVLMNFFTSNPSQSWACGNNSAPLLSRLSTCHRAAANRWPNYIAVDFYMRSTGGGAPLATDVANGRLHCGCDNIAHCKPNSSSCAAAAAAVAKQPPPATSISLPPGPAPAPAPSWAH >Dexi2B01G0033880.1:cds pep primary_assembly:Fonio_CM05836:2B:41224677:41225899:-1 gene:Dexi2B01G0033880 transcript:Dexi2B01G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLLCFLVLASSLLHCARSDGNDAQLLKGINSYRSSLKVPALAENKNAACLAEQLAKQFKGQQCTNTTGANTVIGTEQQFPDYPKYLDHCHLNASVTEDSQVMPACVPGLVPAVVLTNYTKSQYNRFLNDSQYSGVGIANEGDWVVVVLSTSTGSGDYAPAPPGSNWAASVQPFNLMVLLLVGFVVLLMK >Dexi6B01G0018400.1:cds pep primary_assembly:Fonio_CM05836:6B:25371451:25375890:-1 gene:Dexi6B01G0018400 transcript:Dexi6B01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKSDAEEEWSDSDLDDVSDTEVGDALDWLDAVEGPDGAARPAGAFSASGGGVAARRPNAHGGVLSRPFQPISNRTQKLTSHIRASPLEEWEGRMNVGMSNSVTTAIRDSIRDGAIGKIRNTEKADRATVEQAIDPRTRMVLFKMLNRGTFSNINGCISTGKEANVYHATKADGKELAIKVYKTSVLVFKVKAAGIRTPEPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFEIVTIMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHVSDFFKKRGVAVMPVIDLFNFVVDQNIADEDVDAYLEKMQQKIFENGGTVPNDDEITPTVMVQTLDYMKQCEADIVNMSMMQRSSSGYEPPADKLYDQPLLGFVRTKHMQQDQLPKNIEDAPLDLQNKCILEEGEEDDSESCSSSDEDDSWHEADPKLGPEERKAARKANKKKVKEEKREARKTKKPKAEKKKRKKMAKAKCKR >DexiUA01G0004280.1:cds pep primary_assembly:Fonio_CM05836:UA:8373844:8376224:1 gene:DexiUA01G0004280 transcript:DexiUA01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYINYRAAAEGQTTTKAGATLLGRSIRGRTDNNPTTKRRPNHYSRQEEAQYYIDPATASTATAASEAQVTAASGGCLEERMTAARRGGKDWESVRAVGWACSTEERDARAAIWAARHAVNTRRVKKHRSHLSPPPHPRGKKNWMGNDPVEMSTTWPRTRYNVADSRALLPWKCSARLKRNIAGSLNSAIGSSFSSPQGTQGISLPHRRGRRTGHHVVHVEVERPGGRDREAEHDLARRGLRSEKLRRKLYLQAPPWQEIRSKTIMISTCHTVKIRGEGCKPTMEPSLICESRVNPSALYQQSRTEEHMICSLATWRSSGLGPHGGQGKDVQVSVSDLGAGVRFGRIFGDTTNNTWNPTRVSESDTGAGNPTRNRFPGAALYLPATASSSSPPAPAWLRDDGGEPAADAATRLCAIRTRRVAVSWPPPGSPSSSAGEVMLSVFVEPLVSFLILIVNATKVLEALREIQSNYAAVLQDGAWLPALPMTDLVPGTSCRGQDGALLGMSNVHLLVRDFILKLKHW >Dexi3B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:3B:344173:348210:1 gene:Dexi3B01G0000490 transcript:Dexi3B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKSSWSQVVKSSRTTNLSISARNLQPQDLAGVIFGCTNNTIAECHSRQLFGLPRAHISYVRNIKEGLPLFLFNYDDRKLYGIYEAAGNGKFCPESNAWSNDDKGKTSYPAQVAMRVRVWCFPLAENQFRNAIVANYYQNSPSLPGQKLHFFKFELDHAQTHVLMDMFTPSPPPNNFWMPPAAAPANDHVRELVLSPVWATECEGNSGIKSEKAVRSYAEMVKKNTFEKVGKGAVDAEHVSSGDESSDGFHYLDCGYTPPAREEYAPSDKAVEMKQSEVLSFNRVLEGHAPLPAQQWNPDLYANATETEDNDACSFKYAQEVKCAILDGHSNLPETLDAEVNQLSLGHSNLLVQLFDSESCTEAKLIDVVKELSGRIELMEKKQAWSNKEVKHLQGVNERLLKRILELKGTVKTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGFSFLPSLDSFSPSLDILTPLKPMAAGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRSRDDWTMCPSLTHDKGSLAGVSVNGRIYAFGGGDGSQCFSDVEIFDPTHGKWIKNQPMLEKRFALAGVALNGVIYAVGGFNGVQYLSSAERLDPREPNWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTGAKAMVATVEVYEPRMPSWVTVEPMNYTRGYHSSAVLGGSIFTFGGVKGEADTILDVVERYKEGCGWVTTGVKSIGRRCYCSAIVL >Dexi4A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:4A:23772607:23773841:-1 gene:Dexi4A01G0020000 transcript:Dexi4A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELRSLSSMSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKAAGMPCPDYNRMHEGFKLAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFMRWAREKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGMVGIEKPDPRIYKIALEMAGNVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRQSGETVLPDLVATQEWLTKNHNEEQKQETVASQVLNRMSEKLKMGA >Dexi3B01G0029190.1:cds pep primary_assembly:Fonio_CM05836:3B:28263687:28265554:1 gene:Dexi3B01G0029190 transcript:Dexi3B01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPESSPPPEPELTHSPEPEIPCHDNRNWKADMMSALGESVSFGRYLTEPLEWGKWSAFAHNRYLEEAAVQARPGSVAQKKAFFEAHYARKKRKSEDHGAAADDADGGLEAAAEEEDGGTGTASWPSSPAESSCMTDQAPAPGDDEMCGDGETGVVNCGGCGASNELVEVTEELAAVANAVSPSCRMDEHVDELRHAENGNEQVARAVLASLEKKDVCSSNLVAVDAIEKQPLKESSIINQDITDSAKKRRIQMSSLFQKPTRFRSPPSGKKGQSSSVKRRSALHSAKENTSPPGTEDNMQGVTFVRQKRSTLTALHMHKSFTRCEMGNAASGSRNLGTTIAERISQLESASRPVETTRLEEFGPPRNKFSTVLPETTQGKSQVDEQRSSHIMRIKEKLFGSTSPSVHQKNSVTKRKEVGTHSPNVYCVANRGLNDDT >Dexi4B01G0020090.1:cds pep primary_assembly:Fonio_CM05836:4B:22318920:22319652:-1 gene:Dexi4B01G0020090 transcript:Dexi4B01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWIRNLLTRSLAILPSLIVSIIGGSSAAGKLIIIASTSVLTWVIGSFIVVINTYFLITSFVKLLIHSGLSTVSQVFSGIFGFLGMLIYIAAILYLVFRKNRKSTQPLLESDPELEVADRSTGAGTEGSLGHLPREDISSMQLPQQRAAATDLD >Dexi3A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:3A:4223764:4228470:1 gene:Dexi3A01G0006400 transcript:Dexi3A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPATAAAAVSGPHYTRLLLPSSPRRLPRPRPRPRARLHLAACHADTLLPSSSSEVRAPPAPAAGPSAESAADCFVDWLRAGGLPPGRVDIRERPVPCLREGKDRPLRYVAARDALQAGDVAFEVPMSLVVTLERVLGDESVGEMDEVIARDEAIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQVMCCKVSLARRFALVPLGPPLLTYKSNCKAMLTAEGDSVRLVVDRSYKAGEPIIVWYYNLKLCRLYRCGPQTNSRLVLNYGFVDEDNPFDRITIEASLNTEDPQYQEKRMVAQRNGKLAIQNFNVFVGKEKETVAEMLPYLRLGYISDPAEMQIILSSEGDTCPVGHPFLMLLSIDLLGKAEYALFTCSFENLVGIKDFLQAITLVSPCTERAVLDQLVGYLESRLAGYPTTLDEDEAKLADGSLEPKKEVASRLVRLEKKMLHACLQAANEFINDLPDHTISPCPAPYAPELK >Dexi6B01G0007440.1:cds pep primary_assembly:Fonio_CM05836:6B:8678856:8679076:1 gene:Dexi6B01G0007440 transcript:Dexi6B01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNRKERTGENQHYLLWFHRVARTRLRPTVMEYNMEDVDIDAEDDYDVDTRWGNQPERAPLHDHM >Dexi1B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:1B:5204530:5205138:-1 gene:Dexi1B01G0006300 transcript:Dexi1B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVDKHKGEAWLNGGGGGHGHRDHSGGGGDGHHGHNGGGLNLLAGMVSAAGASQNAAHAAAEMVVLPDAAAVVAATATESEPPQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRADAEPLVVLGHGHGAPPFAVHGAAAFLRQAAPAMGWMHTPIGVRTPPVAAVATGEWRFTGGYVDGPGAGQEELPKLDLTLKL >Dexi4B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:4B:13762094:13763278:-1 gene:Dexi4B01G0013750 transcript:Dexi4B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAESASGEERAETEESLLATTGAGRGAGNGGEVSHEEWQRWGMSSPLPSSVAAVIRELLKMEAATGEKMRFGGVGSKLKGDFKDMEDKKHRAVYETLRDSDQKLQYFSARQIGCRLLGSRGYLCQKDFLRQNNTGKLLWQVFGIQAAQLCLFGIQEHEDIMWDAFQRSDYLSLDAMDPS >Dexi9B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:9B:3044929:3047426:1 gene:Dexi9B01G0005190 transcript:Dexi9B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGFLLSPPPLPLPSPTAAATAASYLVSAVYGRRHRPLERSCRCGRPPETALSGRGSYDGEEASPRPLGVGGSSASSSKRQMGEARRLSYLSCRAIRACGSLEAACNVWMQSRPILSCPFIFIMLSNVVYIGSAAARHHETLQEGGTSTDADGFSKCKSKSGYFKEFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATIVDDKYSAALAIILGSLAVAVSDVKCWNFWFVFIIHLKNIQVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDIYGVRFVFGVTALLPLLTSTVAVLVNEEPLPLGERSVSLSVSGSELIESSKQRFMQIWNSVKQPSICLPTLFIFLWQATPQSDSAMFFFMYVPHTHLSA >Dexi1B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:1B:1633765:1634056:1 gene:Dexi1B01G0001980 transcript:Dexi1B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPRLSEDKVKQCVDPKLGEDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLKAAAATEQGSL >Dexi4B01G0007010.1:cds pep primary_assembly:Fonio_CM05836:4B:4867451:4868039:-1 gene:Dexi4B01G0007010 transcript:Dexi4B01G0007010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Dexi3B01G0035270.1:cds pep primary_assembly:Fonio_CM05836:3B:37999192:37999512:1 gene:Dexi3B01G0035270 transcript:Dexi3B01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLQVVDECRWRLATTTSRRSRRRLGLATPGINRKRPGRRLRGEAGAAEANDGRVKQGRPGPTRRPGKAMAWQRGAVGAAAAEVEQEAIGASDGRAARRRSDEQR >Dexi7B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:7B:10498259:10498892:1 gene:Dexi7B01G0004320 transcript:Dexi7B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRAQHPTNGLSVLVVDEDKHHTNYLRAMFPQHNLHLKVYNSPIRALNFLKDHVQEVDFLLVAVHMEEVSGFQFLNMAIQMHRNIQVISKFFDVNNYHNGLDDEMC >Dexi5A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:5A:9989835:9990035:-1 gene:Dexi5A01G0013270 transcript:Dexi5A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPINPYAASAGKDRAMYCYCGVLSRWSIVRKPGPMQGRYFYGCGNWTATRRAICPYFAWAS >Dexi6A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:6A:10791178:10792069:-1 gene:Dexi6A01G0009380 transcript:Dexi6A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRRVLRTGPRRPPLRGLTKAMSGDSGASSCAAASNHGFPSPSPSRSSSANNPAAGSLARIAACTAARRRRGSAARDLRSSFSNADAAARPNPQPRKESDSMSAPTSGGAGRGGDRGASRSEGVGEAAADDESDDMEGATSEHDRDRDRQRGRGRALAGRRGGEGGGTEGSFVRLLFPAGRKEGEKAQEQGASLHRQAS >Dexi2A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:2A:1844635:1850483:1 gene:Dexi2A01G0002340 transcript:Dexi2A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRLEAMPSSMARNRNKLLLTNTISLCLVILAAAATTAAADEQFVYSGFTAANLTLDGAAVVTPSGLLELTNGTLRQKAHAMHPTPLHFRNVTSSGKQQARSFSSSFVFGILCHDPNACGHGVVFFVAPESYDLSGAFPSQYIGLVNGTTNGDAGDHLFGVELDTDQNNEFRDIDGNHVGVDVDSLMSVSSSTADYYSGDHRGSGGEFRNLTLASGEAMQVWVEYDGEEKRIDVTMAPLKMAKPSKPLLSIVYDLSTVLTDVARVGFSSATGSFNSRHYVLGWSFAMDGPASAIDISKLPKLPHFGPKHHGRLMAEIVPPVATAVFILGVGAIAILLVRRRLRYREVKEDWEVEFGPHRFAYKDLFKATEGFKNKNLLGVGGFGRVYKGVLLVSKMEIAVKKVSHDSKQGMKEFIAEVVSIGRLQHRNLVRLLGYCRRKGELLLVYEYMSNGSLDKHLYGASDVPVLDWDQRFRIIKGIVSGLLYLHEEWEKVIVHRDIKASNVLLDSDMDGRLGDFGLARLYDHGANPHTTHAVGTIGYLAPELGRTSKATPLTDMFAFGIFILEVTCGQRPIMKNSNGDQLMLVDWVVEHWHNGSLTDTVDARQHGRYNIELSSFTQIIMAHIEIISFLVTVIVLFHSLNPDASVSATADQDQFVFTGFAGANLTLDGTATITTSGLLELTNGSVHLKGYAFLPAPVHFRSSPHSTVRSFSVSFVFAILTTYPGLSCHGIAFAVVPGTDLSSALGAQYMGLANIDNNGNTTNSFFAAEIDTMQNVEFQDMNNNHVGIDINGLHSIEAHTAGYYDDMNGSFHDMNLISGEVMQAWVDYDGEAARINVTIAPVGVTTRPVRPLVCTIYNLSNVLKEPSYIGFTSATGPINSRHYILGWSFAMEGLAPVIDVAKLPKLPRLGPKPRSKVLEILLPIATAALIVILGTLVVVLVRRRMRYAEVREDWEVDFGPHRFSYKDLFHATDGFNDKHLLGRGGFGKVYRGVLPKSKVDVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYKGARPMLDWAKRIQVIRGVASGLLYLHEKWEKLVIHRDIKASNVLLDKEMNGRLGDFGLARLYDHGTDPQTTHIVGTMGYLAPELVRTGKASPLTDVFAFGTFVLEVTCWRRPINEDMQGDQLLLVDWVLDHWHNGTLLETVDTRLQGNYNTDEAYLVLKLVLLCSHPSASARPTMQNVLDYLDGDAPVPELASTQLNFNVLALLQNNGLDPYIVPCSASMLSFGTISELSGGR >Dexi7B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:7B:20223543:20226974:-1 gene:Dexi7B01G0013790 transcript:Dexi7B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYLDCSTGMDASVGMLSTTPSVVVSTGSSNMPADPGQNLKYGGPLAADWTHLELQILRDGLEKYVHEQGIMKYIKIAASLPNKTVRDVAMRCQWAGKKVNTRRRKPQEHHTGRNIKERKDKSVEPPLWGANHPLQTGMRANSFVPHNVQNNLFLSGASEIDPVQHLLEENNRLLTQIEANILTFQAQNNIDLFHRARRNINELQDITIQLPGMSTKMPPLRVSVNENLANFVLPGITMDQVYGGSRLKEEPRGW >Dexi4A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:4A:21984746:21985261:1 gene:Dexi4A01G0018080 transcript:Dexi4A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVEQLGEVLEVPELGEGGDVAGERAGVEVVEPQRGGVEPLPVRLARAAHAAEVGDELERRERVARPRCGDELTHAGGRRVGDHVEEEVEAGVAGVGEGAGRLPRRRLRGGGGGGVEAGVEKVEERVGGGGVVGVGGGGGASGADGGRAEEDGSHGEEGMDRSG >Dexi3A01G0033740.1:cds pep primary_assembly:Fonio_CM05836:3A:38486847:38487386:1 gene:Dexi3A01G0033740 transcript:Dexi3A01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGRQHWTPGGWGSRDPHETCDGFMGRAGGFVLTVLWHCLYGHMPRQPIYDGRVVRVVGGEATGSLRIVRVVDDGDLEVLVRTHGAGECTVERKVGLCELAGIDATPDWSWGFLDVAMASSMDLVLSAFSRSTRTMEYYFSFDVENMKLQRMKKRMPCSSQTMFPYELPWPRTINACL >Dexi9A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:9A:3699503:3702249:-1 gene:Dexi9A01G0006480 transcript:Dexi9A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAARHGLRLQHQPCRSAFSTAAAVTNALSSDGLLALLRGCVSVSHLPLGLQIHARAVASGVLASHPALQTRLIGMYVLARRFRDAVAVFSALPRGAAASALPWNWLIRGFTADGQHRLAVLFYLKMWSHPAAPRPDGHTLPYVVKSCAALGAVALGRLVHRTARGIGLGRDVYVGSALIKMYADAGLLRDAREVFDGMAERDCVLWNVMMDGCIKAGDVDGALHSLAVKCGLEPKVAVANTLLSIDVVFDQIQLRWDVRMAQNVYDAARAIDVVIGSTMISGYVLNGMSEKALQMFRLKKVFDFFNPDAGIWGALLHACRVHRNVELGDIASQELFKLDPGNSGYYVLMSNINAVAGRWDGVSKIRRLMKDNKVQKIPGYSWVDVNNSSHLFVAADKSHPDSEDIYMSLKSLLQELREEGYVPRPDLCHPIHPDNSAQSLASQQTDPFCQPKILFLLCCLSMHKLQFNPTLE >Dexi9B01G0032920.1:cds pep primary_assembly:Fonio_CM05836:9B:35093427:35096590:-1 gene:Dexi9B01G0032920 transcript:Dexi9B01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPRDNMIYRERHCLADKEKLYCLIPAPKGYVAPFRWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEENVFRFPGGGKFPQGADKYIEQLASVMPITVGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKEDLHNEQRKIEQFAELLCWKKISEKDGIAVWRKRLNDKSCSMEQDSSKNGKCELTYDSDIWWVSFLLSPPDRICLGSFAAALETPKLWVMNVVPTIANTSALGVIYERGLIGMYHDWCEGFSTYPRTYDLIHSNSIFSLYQNKCKFEDILLKI >Dexi7A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:7A:2511383:2514794:1 gene:Dexi7A01G0000920 transcript:Dexi7A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVAEGYFQDSDRNTAGEKGEKLFSDLLELSMIQQSCLTASTQMRIVLYKISSFFHEFIMSRPEEENITIALEIFALRGHCHPTNRRRGRHLVIEECWDRDKFVFENIDFSRLRSLTVFGKWESFLVSSNMKVLRVLDLEDASGVTNKDVEKILKLLCRLKFLSLRGCSEVTCLPSSLGDLRQLQILDVKGTSIAFLPKSITNLKRLQYIRSGSATPAEGPSPSCSFTSWLPSLCGACQTIGVEVPTGIEKLKAIHTLSVLNAGMCRGKTILKELQKLTQLHKLGVCGVNKKNCKEFCAAISCHVHLESLSVWLSKGSQDCLDDILPPLEKVLDKTQQKPQEKPLGKLQEKSQKKPLENLQSLKLYGIVETLLIAIDLAAEGSNYGPGNKKGSNSAEQKGRGASWPSLLPLTMEPLSRRTASARRCQPSGPDTEEGRTEAMGTIAKYEPFECE >Dexi5B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:5B:20349211:20353454:1 gene:Dexi5B01G0018270 transcript:Dexi5B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVCVFIVLLQIAKHRPTKAIYNELLASSPLGTLRSEVTAGVAASTRGEESFGSIPALIGAGQVTKDGVLGTANAPIHMVTAEAGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPEKDETSVSRKQMLANLDVCMGGRVAEELIFGDTEVTSGASSDFQQATATARAMVTKYGMSKQVGLVSYNYEDEGKSMSSETRLLIEQEVKNFLENAYNNAKTILTKHNKELHALANALLEHETLTGAQIKNILAQVNNKQPQEHAIEAPQKTPAAPASPTSPTASAAAAAAAAAAAAQQAAAKAKGVAGIGS >Dexi9A01G0042260.1:cds pep primary_assembly:Fonio_CM05836:9A:45852315:45860004:-1 gene:Dexi9A01G0042260 transcript:Dexi9A01G0042260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGGGAAVETHRVDLQPTATLADVKALLAAKLSAAQPVPAESVRLSLNRSEELVSPDPAATLPALGLASGDLVFFTLSPLTALAAPAQALPRNPSPVSGSAPTAMAVDGVQRSEQPDAGVSSPQVRAVVMNPNPASGSVPIGMAVDGSKGSDQPGAGSSAPQVRAMAVSVPAASNPPDVVMAEAVNFTKCWSSFVLRDLKREMGKVGGAEGTAGGRLVAALHAALLEVGFITSNPMGSPLTLPQDWPSGASKPLTIKYTIPELSAMLPVAEGGKVAVLNFSLMANFVMVYGYVPGVQSEVRRLCLELPRLEPLLYLDSDQLSVEQERGILDLWRVLKDEMCLPLMISLCQLNGLRLPPCFMALPADLKTKVLDVLLGEDLAKDQTGALLQREVGMECTDEKAGDSGTKREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMILDEIINYVQSLQRQVEFLSMKLSAISPELSFDLNLQDVR >Dexi3A01G0032020.1:cds pep primary_assembly:Fonio_CM05836:3A:36670221:36671423:-1 gene:Dexi3A01G0032020 transcript:Dexi3A01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASSASPAAEKMTVVVGVDESDHSFHALQRVLRHFFPPGQPQRYRLVVVTAIPTAIGVVSPGVVMDVRAFVEADLNRAAQRVLDKAKDICAQVAGDAEFEAVVGDARRVLCDAAERHHAEMLVVGSHGYGAIKRAVLGSVSDYCVHHAHCTVMIVKKPKYKH >Dexi2B01G0030470.1:cds pep primary_assembly:Fonio_CM05836:2B:38744231:38745220:1 gene:Dexi2B01G0030470 transcript:Dexi2B01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQPADPAVKANEILARFRPIAPKPTLAAAAAAAAAAPMAQAAADGVVAANRVLCQLQSRPCRARKRGRPTVVPPPAMPPKSPGAKRKSAAAPPYPPLRCAAATDAVVATATRAHVSVVVPGSSACLAGEGLVGMKVAVEERDVPVERDLLRKLLEPKVISPRAVRPVCSTIYAECIRRRRTDASSTAAAAISKTAAEVEAELEGEALPAVVSDSSNRVRLVNDAYKEMVGQPECPWLDAVAALSRRISGEVVLVVADESSLPESYDTFTCAAKIEWEYDGKLSSVSAPCDVRRLQCESRDYLFTWRFRTADADASVGRRSSETSDS >Dexi9B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:9B:8933434:8941207:1 gene:Dexi9B01G0013270 transcript:Dexi9B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLRPGGHLPELSREAAAASLDTDPHPAPAPAHQPATRARVPAMEDDEYEEGMEMGYGAHRGGHAGYVEDDEEEAGYGGGGGDEMEEDGDGDAELRDDEEITQDDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVTKKGHDCEEVTETADYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEETPDEGWHELVSKGYIEYIDTEEEETTMISMTINDLIGARTKPDVAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGREGDATPFTDVTVDNISKALHKCNYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDMKTGKDQKKR >Dexi9A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:9A:4258379:4259644:-1 gene:Dexi9A01G0007330 transcript:Dexi9A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTDQDGWTQGCYHDRPGFTRVLWQVLQEKGFEEPPLYIWKQFDTLQQVGCTVYVHIPESETHATWKLDETRVQGFEFEDTVQTAALAALTELCQKNKFEIGTSSARFFPLQDPEDGVWKRRVKALKNKSRVESDEIAASSVDYMVSMFSLLQICQKSYHRQMEKNTDFKMKMLGMKSGLEEAQRAMYDREHEMERSMRDMARRMDEKDAEIQHLKEVGAETTAAQAGTMGANTQELQARISMQQDEIVALRTALEQYEQLVAAANHQVEAPPAPVEDQQPAQEGADEEVDIVGMEPALAAPPAPEDAQFADEILEGFEGFEFEVEEHNEALPEDPNAFAWANANADGFDPEGLGPLEFHPVVVDEDSDEEDPIEIQGESGMTTVTSSSSSSTSSSASSAVRSDGSENSVNQPAPMEGGE >Dexi6A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:6A:7993809:7995939:-1 gene:Dexi6A01G0007930 transcript:Dexi6A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVPRSVAACRPAPALPAARALALPRRALAVSIAAASTRRLHLTARRAADGEGADTAPEAGFLPSPASWTSTRSARSSPTVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >Dexi5A01G0031880.1:cds pep primary_assembly:Fonio_CM05836:5A:34466536:34467150:1 gene:Dexi5A01G0031880 transcript:Dexi5A01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPSPAHAPAPKSAKPTRPGPRSWIGYLLREQRLLFVLLGALIASTFFLLRPYLSLTASSHLPDARPLFSFASRSGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIISFILGSASSFDFAYSESDLI >Dexi9B01G0047790.1:cds pep primary_assembly:Fonio_CM05836:9B:46803302:46804678:-1 gene:Dexi9B01G0047790 transcript:Dexi9B01G0047790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPVFNNVPPRAYHGMNATVGLPSAMTPSPIFASAPKEKGFSGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFGRTMKDEGFISLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGICGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLVGTLEDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >Dexi9B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:9B:1768097:1769156:1 gene:Dexi9B01G0003100 transcript:Dexi9B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRSRARVPILSQLPRPAPHTSISFVGFVASAHAARVRSATCLLCATMAVSEVAVDGVVFPPVTRPLGSGRSHFLAGAGVRGMEIGGNFIKFTAIGVYLEEGAAVPALAKKWAGKSADELAADVAFFRDVVTGDFEKFTQVTMILPLTGEQYSEKVTENCVAYWKATGVYTDAEGVAVEKFKAAFKPETFPPGSSILFTHSPAGVLTVAFSKDTSVPESGGVAIENRPLCEAVLESIIGERGVSPAAKQSIAARVSELLVKEAVPVSA >Dexi7B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:7B:1619356:1619847:-1 gene:Dexi7B01G0000810 transcript:Dexi7B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSPRWEDAGDMRWGSRKEQRPVKVPVGFVVRADEGEVARILLVRRRRGFLIFWDGGGTDSWSLSCALTAVAGASQLQVMRHRGHGAGRQPQTAHHHRPSSLHPIWNLRGAASCHRCLLLSVFLPARSALRTTAPVAAAMARSPGGRAKQGAHDRLQNKAD >Dexi2A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:2A:32758997:32759417:-1 gene:Dexi2A01G0020570 transcript:Dexi2A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAELAVAQTAAIAVVLLLACWAAGAATPTASTPPVRHQLAAAQLDLVHRPGPAVPTIFFWISASRISCYSKLSLWKLVELSLWKLDEVVTGDVGAPSCTPAPHASAAAPPPRTPACTRPLPTRPRPVPRHS >Dexi3A01G0023130.1:cds pep primary_assembly:Fonio_CM05836:3A:18711316:18716466:1 gene:Dexi3A01G0023130 transcript:Dexi3A01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMAVAAAALVVLAALHTSAAAEIQRKEVSYDGRALIVDGTRRMLFSGEMHYTRSTPEMWPTLIAKAKEGGLDVIQTYVFWNVHEPVKGQYNFMGRYDLVKFIKEIQAQGLYASLRMGPFIEAEWKYGGLPFWLHDVPNITFRSDNEPFKEHMQRFVTQIVNMMKHEGLYYAQGGPIIISQIENEYQMVERAFGSSGSSYVRWAAAMAINSCNGLTCGETFSGPNSPNKPALWTENWTSRFLTYGSDTQLRSPEDIAFAVALFIARKKGSFVNYYMYHGGTNFGRSASSYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQLSEPLLFGEYSNFSLGQKQEVHVFETESNCVAFLVNFDNSQMSRSVHGSHDEGDNIILKMPISLKEGQNTISLLNVMVGSPDSGAHMERRVFGIRKVTIQKGKQTQLLNNRLWGYQVGLFGERNYIYTQEGSRNIEWATINDTAYHPLTWYKATFATPAGNDPVALNLVGMGKGEVWINGESIGRYWVSLKAPSGNPSQSLYHIPRQFLKPQGNILVLFEEMGGNPRQITVNTVSVKSSGLFG >Dexi2A01G0034310.1:cds pep primary_assembly:Fonio_CM05836:2A:44319848:44320426:-1 gene:Dexi2A01G0034310 transcript:Dexi2A01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWTCPAPERPACSLDQHGEPPPLPPEPEEKLLSSARSLELLLVLAECESTGSPHCDEKTMDGQSAAALAATAAATRRTSSATKAASRRAGRRSTSRTSRSRSAFLQPSAAAAGEPLHLIPAAALQLLRRSRSGRLALTTLLLLTTAASPSPSPASSPRRRWYRHAERGRSCCCSCSLAMLPMSISTPM >Dexi5A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:5A:6465455:6465985:-1 gene:Dexi5A01G0008650 transcript:Dexi5A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLTPCGTEDEEESPPPSTPSQAAPREEHREQHHYNRDAPPGFPPAMAAEDVAAVEAVLGYEFADKSLVELALTHASFYFPYRTGDTYERLEYLGDAVLTCLVSREVFRTYRTLPPGPLTRLRAANVDKEKLARVAVGRGLHRFLRHKALQLEGQVLVPFLLRFRFRCAGVAL >DexiUA01G0017910.1:cds pep primary_assembly:Fonio_CM05836:UA:38172069:38172431:1 gene:DexiUA01G0017910 transcript:DexiUA01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQEGYPPPATAYPPPGQQAAYGAPPPPEAYVAPPPAYPPSQDAGGYDGQHQTTSRGGDDGFWKGCCAAICCCCVLDMCF >Dexi8B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:8B:26945171:26962170:1 gene:Dexi8B01G0016040 transcript:Dexi8B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAREQLHPALECQSLEGSFIDLPGQREGEEVPCRLVAESVQGMLGSEAGLMGEAGALTINGVGDMTPEQFSSMLLNGRALRSGAGNGQRRAGQRLPWQPEWHGLALSGQVEQDEARQTLVEYTHEDYDYKTSSKILTIKDDSSRVSQLALDVANWRVRRIFKVRPQLCGDDDYIYAPQYMPIGPYHLDISSGEIEKEKTRSVRLLQSLSNEAGLRALMEKLEPLARKCYADNAGDMLSEQFMSMLLNDGCYLLLFFVDYVSNDNRAPTCDDDDEAPVAAVSRNTLVRDTVFLIENQIPLVVLQRLHEHVTGGTTSVVDCIAEPVQELLQKMFFISKKPRHAPPQPCSHLLHLVHYYFEPTLPPVPGKKKKTKARRHRRPTGRWRRATEYMRYGNVRFRVREFADDVASSILDVQLRRGGTVWVPRLRIDSNTWTILRNLMALEELEEKRPVTAYCLFMSHMACTAEDIELLRRAGIVDHFLSNDEQAAQGFAELCRGVVMEVDDGEKNYLRNMWHELEERCDSHAQQLMGWFRHGQNVWVAVAVLVALILLACQREDETDGAGSSNGGPDFDLEAQQIEMVRQTGSTVPQQLRHAAEVVGAPGGGSEPGLHGRHGPNSQPQQTAAIIPKVRAQLRRAAGDYVFTPQIMLIGPYHKWEDYYSFPWMEEKRKAVDHLKRLMGDARFEDLKTMLTQLWPQQVRRFYTHLPINDHEDDPAAAVFGNMLLHDGCYLLSLFFEFEEQRLQGNNESDGGDNDDPIIVDAIDSTLVRDILYLLENQIPLFVLQEILNHITPAGHEETTLNRIASNVTTLLQTQLYISNRAWVVPSESSDLLHLVHSYFRHRPPRAAPVEQPNCWPLDQGRSRVARRQKRLLTGKWRRATDYSRYANLKFRPRVFSEDGAWTVLDIDLQGGTLWMPRLRVDSNTWTMLRNLMAMEEQEDQQRPVTAYCLFMSQVACTAEDIELLQGSKVLEHFLGTDEQAAKGFAELCDGVAFDIDKPERNYLRKVWHDLDERCRKPGNNFQGFFRQRYCGNMFYRMVFFMALVLNICQMIQAIYAVVGYHKPSK >Dexi3B01G0024560.1:cds pep primary_assembly:Fonio_CM05836:3B:19205879:19208369:1 gene:Dexi3B01G0024560 transcript:Dexi3B01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDRWRDFFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTAHRRDALAPPSIGSAAASATPATPVEEDKGSVRRVAEKESKVDSSSNGAHGGGHGDGDEDDDSDSDDERLRRAAASNYGHSYDDDDDDQEEDEQQHVVDDAVDDAEEEEEDHEVEELEALTNEMDEESQIVGEVLRIKDLLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQQIRHLVRTLIEGWKVLVDEWVNTTNAALAENSPGSSNPSVVDEEEEEEGLPSPPLDEGAFFATQPTSIQLSEFFDEMDEDGNLRHNSDASLGNKRGNNGGRPANYSAVATQEPHRPSPGAVEKVQFRRPESARQEPPMRQANTQKPQSSSLQAKPHGALNNNKQSKPSSYESGPGRPLKATPSQKPFGDMKPKQTHIAERRPMTSQTDKSRLAAQSSAGAKLELAKAKIYDDSLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >DexiUA01G0008530.1:cds pep primary_assembly:Fonio_CM05836:UA:16016138:16018135:1 gene:DexiUA01G0008530 transcript:DexiUA01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQDADIEAAVPAVAEKGAKAGQAPAAEAEAEAEAPTKKVAEEEDPRLRWAFVRKVYAILSLQFALTAAVSIVACYVRAIPRFFVDGPAAAVWPVFIFILLSPLIAMIPMLKYREKHPTNLVLLGVFTLCCSLSIAVSTSTTLGSVVLQSAILTAVSVVGLTLFTFWAVKKGYEFTFMFPFLFTCLHVLLVYIIIQIFFPLGRVGMTIYGLLATLVFSGFIVFDTHMLLKRHTYNEYVIAAISLYLDVINLFMAQMSLSIQ >Dexi6A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:6A:26647458:26654472:1 gene:Dexi6A01G0019030 transcript:Dexi6A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRIYSILRRLRWILTALPSYFSFIRTAMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMTATCERYITYAKEEEAIRCIQAVHNFVLEGKVIRTRVPRMASSVSQRRAGTVLPPPADDFSYSAVVSAKHTVKNGTVNTTSQSRFSPPNSSSGRSTLPPAASWGHRDLNGRATANGVTSSHSHLKSKSEPQIFAFSGTSRSKISAPPGFSAAARIPPPGFSSEISSQGGLNPPPGFSSGISSHAVSKIPPRLPSPISSGFSSQDGPIPSPRSFSAFSSGLPSQDGPNPHSRFPSAFTSGFSSQDGSNQVYGSTYPETLLRDNVLGSNNNHYHALFGRHTSDIEFNDPAILAVGKGRMPGIGDSGLEMKNTPAFPGQLQASNNDPRFHLRMQSNVQSHQNLRFTDHMQDAFNPMNDNYLASRFLQQGHPVSPYAQMPQQPRNTQLTNGHLDVWSDLRQGNNNLISDISRMLHPNEVNNFHMLGSNDIYTRAFGM >Dexi7B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:7B:16618198:16619337:-1 gene:Dexi7B01G0008960 transcript:Dexi7B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGPVAAALMILGNVGVILGLFPAHVWWTIYSLIKTDRINAGLKLAVLVALPVLFGLWLGLSIFGSVLVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICVHSYPVYLKELRESSQNRCWMCLHV >Dexi1A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:1A:27174968:27181064:-1 gene:Dexi1A01G0020330 transcript:Dexi1A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAIPDPDEVRRQLEERLRGVGERLQAPPDEAQDLLQLLKEVEECLLKVEQSPPESTLNAFLPVAAALVKKELVGHVDPNVKLAVASCISEITRITAPDAPYDDDAMRDIFSLIVGTFQHLDDIESPSFKKRVAILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSQHPDSVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAERVIDSCREKIKPVFLQSLKGTSLSEYSQFVALVCEGGSEDMEDNNADPSGKDTVDDGKPSERTISDELPEESSKVEQDVIRPEQDAAPADTGTEPIAAEPKNKSAIDADKSIKLNPSDKSEATAHSGADVIAADTSKHADITPVKPRRGRPPGAKSLEKKAAGKNKPISLDSAGKLTKRSAKDAVQSSEKKANEGESSKKPQKNSLKHQKDDTLSEEDPANDMSLKEMISAKSLTKRLGRTKGQSNENSTSKREQEQETEELPRSRKNKRLDGSLVGARIKVWWPDDKIFYNGVVESFDSDSKRHKVAYDDGDVEVLLLRDEKWEFISEEKGASVASETDAVDPPKKRGRPKGWRSSNGTPSNNSASTSAKGKTARKDVKETPKTGSNRKNEVEKSSKDKVDGFTKDGDGKSSSKPKETSSEAKDSKDIGKSNEGKGRPGRKPKNASDADKEKQEKEGKTSEIEQEATANASAGKKRRRKA >Dexi3A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:3A:6540995:6541264:1 gene:Dexi3A01G0009340 transcript:Dexi3A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTPAAAGGDCPSKKRMRAATDVCAVKVNVKGLKASCWERSEDEHVEAIREMRRESSLRNQAIMAMVDRVCSQTNDLLRKLPNLAR >Dexi6A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:6A:1880878:1882323:-1 gene:Dexi6A01G0001970 transcript:Dexi6A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPKVVIDNGVCPTATLVQVDSARKRGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGRKLTDDSVISYIQQSLGTWNGPASPTASMEGLTALELTGADRTGLLSEVFAVLADMECSVVEARAWTHRGRLACVVFLRGEDAEAHSSDDRVARILARLGHLLRGDPTGDESPAGAVAAVPASGVDHADRRLHQLMAADLEHHRGQAAFPTPAVTVDSWAERGYSVVTVQCRDRPKLLFDVVCTLHDMDYVVFHGTVDTTGDQLARQEFYIRHADGSPIRSEAERERVSQCLQAAIERRSLEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDVASNVFYVTDAAGEAVDQNAIDAVRERVGTDRLVVGEEPRPQLYQKPSPGDRDHGVGGLGLVYLGNFVKRNLYNLGLIKSCS >Dexi3B01G0025810.1:cds pep primary_assembly:Fonio_CM05836:3B:20715106:20718488:1 gene:Dexi3B01G0025810 transcript:Dexi3B01G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPCRDLPARLPPTLPHCAATAHTQLSFLRAPPPLRPLTAATTASTTSTLLRLPVVLASTTRDEAATVASLLSFLRAPPPLRPLTAATTASTTSTLLRLPVVLASTTRDEAATVASLVGHLEHDVAAHGHHQHHHRDDDGDDVHLEEAEEEDEVDLRHQAELPSRWREIHGRDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPASRYCGSCKYPRRDFFDRLGMPSASRGYAVSRYLYATSNIRFPNFFPQSRAGAKIWSQSANWIGYVAVSTDEEAARLGRRDIAIAWRGTVTRLEWVSDFMDFLRPLADEGIPCPDRDVKVLAGFVDLYTDKDPTCRFCKYSAREQVLMEVRRLVTRYAAMGEDVSITVTGHSLGSALAMLSAYDIAESKANVVAAVAGDDDGRTVVAAPVCVYSFAGPRVGNAAFRRRFEELGVKALRVVNVHDNVTRMPGILLNEGAPEPVRRVAERMLRVPWCYSHIGVELALDHKRSPFLKDTLDPACYHDLEAHLHLIDGYHGRGERFVLASGRDPALVNKACDFLKDHHGVPPCWRQDENKGMVRGRDGRWVQPDRHGWHLDDHDDHEDPPHHHHHHSHRDHDDDGHHHRGHRRGGARRHH >Dexi5A01G0033900.1:cds pep primary_assembly:Fonio_CM05836:5A:36104045:36104993:1 gene:Dexi5A01G0033900 transcript:Dexi5A01G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPLSGGSAAAASGLTSSSTAGFPSQSPAAPRPARPAIVIPPNVMFASAPAPAEDRHSSSGGGSTDAPRCPDLNLDLSVGPPPPSCSPPKTPGSAAASTPTSQQQQQRTATICLCYHLGVRSGEACSCKQTASPAAAAGFRFLRPLEEGQYI >Dexi3A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:3A:12000474:12003844:1 gene:Dexi3A01G0016070 transcript:Dexi3A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRFANSTDRSSGLEVVRDWNGVAQVVLRSPKGASARVSLHGGQVVSWKNDRGEEHLFTSSKAFFKPPNAMRGGIQMCFPQFGYSGTLERHGFARNLFWALDDEHPPINHNDNGSKVSVDLILKPSEDDLKCWPHCMTIICFSFEFRLRVSLSKDGDLSLISRIRNVNGKPFSFSFAYHTYLSVSDIRSGSVDRVYVSSPNVVAVLDHEKKHSFVIRKEGLPDVVVWNPWEKKSRTMVDFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKMELSAVSSTNCSDHLDHPVNI >Dexi3A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:3A:1980505:1981772:1 gene:Dexi3A01G0003020 transcript:Dexi3A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNLTHSITALHTTVLHTTSTKPSLQLAVDMARLGALLPLAFVAVLLLAVAVAAAPEDRPPKAQGPKPDKPPHDQEKEHDNEGPKPDKPPHDQEKEQDKDKKDKPKPKPMKVKCHDRKLYPYCYGKPMECPAECSQSCYADCNACKPVCVCSVPGACGDPRFIGGDGNAFYFHGHKDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDGHHRLYIGARKTATWDDDVDRMEITLDGEHVRLPQETDATWTSTSVPALSITRTKAANGVLIVLDGRFKIRANAVPITEEESRVHRYGVTSDDCLAHLDIAFKFDALTGDVHGVVGQTYRSDYVNGFDVKASMPTMGGESNFTTSGLFAADCAVARYALGRHHDDDGVAMG >Dexi9B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:9B:6763002:6767803:-1 gene:Dexi9B01G0010760 transcript:Dexi9B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLLQLTERGRNLLSSRRRTLAVVSGALIAGGTLAYTQSGRWKKQQKQDSCSDGNAHTRTKDGICQNGIDGKLVKPRKKKSGLKSLHFLAAILLKKIGPNGSNYLLGLIITSVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLRFKKILTDLVHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSEISDLVQDDLAAIAEGLIYIWRLCSYASPKYVLWILAYVIGAGGTIRKFSPAFGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNILSGYAYRIHELLDVSRELSGVRDRLNSQNSSVGNFISEANYIEFSGVKVVTASGNVLVDDLTLRLESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVSQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEHLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKRVQAMGTSCITISHRPALVAFHEIVLSLDGEGGWNVQDNRNGSSLSPEVEFDVKLSETDRKSDALAVQRAFITSKKVHMF >Dexi1A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:1A:5549386:5567608:-1 gene:Dexi1A01G0007220 transcript:Dexi1A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEGEGPPETKSVPAVRSLFASVFMHADAVDVALMVLGLIGAMGDGMSTPMTMFIASRIFNNAGSGPDRLQHFSSKMKENARNLLILAAANWTMAFLEGYCWTRTAERQASRMRSRYLRAVLRQDVEYFDLNAGSTTSEVIASVSNDSLAVQDALSEKLPNFIMNVTMFLGCYAVAFALVWRLALVALPSLLLLIIPGFLYGRIHLGLARRVREQYTNPGAIAEQALSAVRTVYSFVAEPSTMARFSAALEESTRLGIKQGLAKGVAIGSDGISFAIYAFNIWYGTRLIMYHGYKGGAVYAASASIVIGGMTLGTGLSNIKYFAEASTAGERMLEVIRRVPKIDSESNTGEELASLAGEVEFKNVEFFYPSRPESLVFASFSLRVPAGHTVALVGSSGSGKSTVIALLERFYDPSAGQVMLDGVDIRQLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDAMEEEVVAAAKAANAHNFISELPMGYDTQVGEHGVQMSGGQKQRIAIARAIIKSPKILLLDEATSALDNNSEGVVQEALELASMGRTTIVIAHRLSTIRNADMIAVMQCGEVKELGSHDQLIANDNGLYSSLIHLQKTKESMDTEEVGGTGNTSVMWQPSSHGMSRRLSTASRAIITRSMGNVDGNDNIEPKLPVPSFIRLLMLNAPEWKQAVMGSFSAIVVGAIQPVYAYTMGSMVSIYFSKDQEEMKNQTRIYSSLFVGFAVVSFLTNITQHYNFGAMGEYLTKRIREQMIAKILTFEIGWFDRDENSSGAICSQIVKDANVVRSLVGDRMSLTIQTVSAVLLAFIMSLAIAWRLALVMIVVQPLVIISFYARRVLLKSMSRKSIQAQSECSKLAVEAVANLRTVTAFSSQGRILCLFDQAQEGPCKENIRQSWFAGLCLSTSMSLLRCVWALTFWYGGILMAEHHITSKALFQTFLILISTGRVIADAGSMTTDLAKGADAVTSVFAILDRETEIDPDNPDGHKPEKLKGEVEIREIHFAYPSRPDVIIFKGFSLKIQPGKSTALVGQSGSGKSTIIGLIEWFYDPLMGIVEIDGRDIKTYNLRALRKHIGLVSQEPTLFAGTIRENIVYGTETATEEEIESAARHANAHDFISSLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSHSEMMLRLLEGHYMPSRCSRVLRTYLIITTSETERKEPDGSSSECAVAAAAATAEGGESDGDRRFVRWRTEERGDRLGIYRKIASSGRGQRRAWVSKPRSDELDRSVDMAGKDGAPGMAKAKRQAVPAQRSLASVFMHADAADVALMVFGLVGAIGDGMSTPNARNLLFLAIANWIMAFLEGYCWARTAERQASRMRQRYLRAVLRQDVEFFDLNSGGSTSEVLAGVTTDSLAVQDTLSEKVPSFIMNMTMFVSSYVVGFALLPRLMLVSLPSVLLLVVPGFLYARVLMDLARRVREQYTCPGSIAEQAVSSVRTVYSCVAEMNTMARFSAALEESARLGIKQGLAKGIAIGSSDIRLAIFAFNTWYGSQLVVDHGYRGGTVYAVSCVIVIGGLALGSALSNIKYFAEATSAAERIHEVIRRVPKIDSESNAGEVLANVSGEVEFKNVEFFYPSRPETPIFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERIVQEALDLASVGRTTIVVAHRLSTIRNADMIAVMQYGEVKELGSHDELIAHENGLYTSLVRLQQTRDSREANEVSGMGSTSAAGQSSSHSMSRRLSAASRSSSARSMGDAENDSTTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAIVFGGIQPAYAYAMGSMVSIYFLTDHNEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDRDENSSVQPLIIICFYARRVLLKSMSKKSIQAQSESSKLAAEAVSNLRTITAFSSQDRILRLFGQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGKLMAEHHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPEGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVKIDGRDIKTYNLRALRRRIGLVSQEPTLFAGTIRENIVYGTKTATEAEIENAARSANAHDFISNLKDGYDTSCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSIVVAHRLSTIQNCDQITVLEKGIVVEKGTHASLMAKGPSGTYFGLVSLQQGGTQH >Dexi3B01G0035660.1:cds pep primary_assembly:Fonio_CM05836:3B:38680519:38683040:1 gene:Dexi3B01G0035660 transcript:Dexi3B01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRVVTVCSSIASWQMVVEKKCESCRKWQEHYYWEHMDVTKIRFFKLITGDFTQGISIPEKFAKNFKGQTTGGFELKASSGKTWHINVDKRGDELFLTSEWEDFVKDHELQENDLLLFTCCGNSSFKVEIFEASGSEKVSSLFGNTISPDTCKHVNDTVRQHGKHQAVSDSEDTTTPSHLVGCPHNTSVSRKSSGKTKPSETESQNTSNFTTKHLSANEEDNDDEYANSNCYYSMFANRLRDKEKEEIIGLASIQLKNPAFVTVLTEMHVQRRNNSLIIPCKFAADHLEEKTHEIILSRPNRKEKWRVSYYCSRYMRSFQNLAFFRFVCDNKLREGDICVFELMKGKMNVTMTVHVIRKANGRFVLVG >Dexi9A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:9A:7647110:7648516:-1 gene:Dexi9A01G0012070 transcript:Dexi9A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDSTMHIVIFPWLAFGHLLPYLELAERLAARGHRVSFVSTPRNLARLPPVRTPALARLVDLVALPLPQVDGLPDGAEATSDVPYDKFELHRNAFDALAAPFASFLDAACDDSSNRRPDWVVADFIHHWVADAAEERNVPCAMLLPCAAGIAASAGRPGDPSSHAEQRQAIAQAMSGAPAFEAQQAAEAFATEGVSLGPSLISRFVQTLTRCRFVAVRSCPELEPDAFRLLTRLYGKPAVPLGMLPPRPDGTRGVSSKNAEDDDVAITRWLDAQPGKSVVYVALGTEAPVRVELLRELAHGLELAGTRFLWALRLPIGAEEESIMPSGFAERVGDRGLVATRWVPQVRVLAHGAVCAFLTHCGWGSIVEGLQHGLPLIMLPIFGDQGPNARLMEGRQVGVVVPRDEKDGSFDRDGVAGAVRAVVVEEEGRAFANNARRMQEIVADRECSERCIDGFIQHLRSCKG >Dexi9A01G0037570.1:cds pep primary_assembly:Fonio_CM05836:9A:41834446:41834926:-1 gene:Dexi9A01G0037570 transcript:Dexi9A01G0037570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGLAALRAARAVKETTGIVGLDVVPNAREVLIGLYTRTLKEIESVPKDEGYRKAVESFTNHRLQICKEEDDWKRIEDRIGCGQVEELIEEAEDELKLIAKMIGGFTDLGDIS >Dexi2B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:2B:30136892:30144633:-1 gene:Dexi2B01G0019980 transcript:Dexi2B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSSVSRLKDSRLSQQESPSVAVISFQALRAEISLSLSFWYIGRDSEMGAKENGQERDDHSANVERDGKQGKESESDYEPGRDSLSSQGEATSNEDTKAKRVSRVPKKLAKKESKENSPRSARSISNRQIHTKLQYISSNSPQNKSPKTNKVANGARTTVEVKKTETVKVPSCSSSEVSEEGDGKAIEDRPTDDKTVEGKAKDDKAVEAVEDKTRDDKSVEGKATDEFVEGRVRDDKSIDGTKDDKAIQDGMKDEKAIQDGTNDDKAVEGGMVGDKDVEGGTKDAEATEGKKTDDNAVERRETDGKAIEEAKEIDVLDEAPKCDQSTTTDDEIADTEENIVDNGNSDSYEMNEELDSKIEKLEQELREVAALEVSLYSVVPEHGCSSHKLHTPARRLSRMYIHASKFWSSDKKASVAKNSISGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGTSRQSGPVMKSFSTNGNAKKPDRNFSPMRWKSNPNGKNARPNILQLPDDWRETSTLLSALEKIERWIFSRIVESVWWQAMTPNMQTPMGDLSTPKIDKLLGPSLGDQQHGNFSIDLWKTAFRDAFSRICPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESANEIPSDPLSDPIVDSRVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMFGMNGAESGKDGQDAEDNVDDRRHAAESNCFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGMVLEELSSEGLMERFTEKDMISAFPASAAPVVYCPPSLEDVEEKVADTGCRNPELDRRGSMVQRRGYTSDDDLDDLDSPLASLYDKSASPSPCSNGIAHFSTSQGAASMANVRYELLREVWSERR >Dexi6B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:6B:11245908:11246728:1 gene:Dexi6B01G0008880 transcript:Dexi6B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAATIESHHTLSRSGICEKTICMTPKIAMYGLVEAWQGSSCPLLWKQPALLIALLIGRDGDADADADAEEVGELDGAAELLLRIAQRGTHGVDVSAVAQAEHGVAAAVALGEEGGGVVDDGGEEHHHGRACHPSQLGDAPRKGEHARTDDRRDDVCADRPQRASAQGPAVIVNQRLGELAKIKVSTKN >Dexi3B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:3B:13541585:13547164:-1 gene:Dexi3B01G0018250 transcript:Dexi3B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRWGGSGRPESRARGPSDQPPSTTSTPAPAPKIGRGNEGGLLCLVRAAVPPPAPPFEPARSAAGIARPPHARARDGPHRVRRLFPRGGEAIGERPKGAIERRRASAAQPPAAADPDSTSPTTAVVLDRVAHGSHIWLEDKHLAWIDGEVFRIEGQNAHVHATNGKTVIVSISDIHPKDTEVPFNGIDDMTRLSYLHEPGVLNNLAIRYAKNIIYSNPVLEAFGNAKTVRNNNSRYKLADPSSFHYLNQSTCIKLDEISDAKEYLATRSAMNTVGISEEEQEATFRVVAAVLHLGNISFVKGREVDSSLLKDEKARFHLKAAAELLMCDCGNLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDTSSDRLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEAW >Dexi5B01G0010430.1:cds pep primary_assembly:Fonio_CM05836:5B:7362075:7362521:-1 gene:Dexi5B01G0010430 transcript:Dexi5B01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERAWNWRSTKNYLLRAAAVAGDTVEEGPIDARDVVDQRRELEPQHRGRRRRRLPAGPEGGRGLLLRVLCLRTHTEGPSELTPVSLRWPSPRREEEETVAGAGDVGAGLGPCREQMGLQASKGRASSAPFYRR >Dexi9B01G0021120.1:cds pep primary_assembly:Fonio_CM05836:9B:15788306:15788572:-1 gene:Dexi9B01G0021120 transcript:Dexi9B01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPVLAPLPAVSPPAASPAKKALAAVLTSAPKSKAARRKTLCDITNLNRRNPSDSACPAAAPGAAGAEGFAQLLKARSPIP >Dexi4B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:4B:22718146:22719168:1 gene:Dexi4B01G0020600 transcript:Dexi4B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALLATALAVSAMGFTENDLASEDSMWALYERWCTHYKVACDPAKKAQRFTVFKENARIIHEFNHGDTPYNQSLNMFGDMTDDETCSAYNCFMAPSPTRHVPDDTFTQVAIHDLPWAVDWRERVYGAGPTRYVTGAKNQGPYCGSCWAFAATAAVESINAIRTNVLTALSEQQLVDCDMSNGGCSGGNPNKAFDYIIRSSGLTFEHAYPYMGRCQGSCTMSTPIAATIDGFQHVPQYGASALMAAVAAQPVVVAVQAEDVPFKRYGGGIFRGPCGTKPDHSVMLGEKYWIVKNSWGPNWGENGFIRMKRDVAKREGLCGILMDPSYPVKH >Dexi5A01G0033440.1:cds pep primary_assembly:Fonio_CM05836:5A:35809000:35811709:1 gene:Dexi5A01G0033440 transcript:Dexi5A01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAEDAHRTDLMTITRHVLNEQSRNPESRGDFTILLSHIVFGCKFVASAVNKAGLAKLIGLAGETNGEEQKKLDVLSNEVFIKALVSSGRTCVLVSEEDEEATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLEDVLQPGKNMLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNARNWDTPTATFVEKCKYPKDGSPPRSLRYIGSMVADIHRTLLYGGIFLYPADGKNPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHDRSPIFLGSYDDVEEIKALYAEQAKSSSD >Dexi9B01G0046390.1:cds pep primary_assembly:Fonio_CM05836:9B:45602076:45603484:-1 gene:Dexi9B01G0046390 transcript:Dexi9B01G0046390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLLEVEKVTVEALKREARPLTPSLRRCSGTAAGGAIGAAAVALLPADGGHGGLSRCGARGPSVHAIVSGAGRGSPGQGQHCAHRAGRPRRKGGRRRSDGAAGVVTSRLVVDTGGGGGGRACRELVATAADVAVPRDSTPHRRQDSVHRAGVFFRRKVERVTTTARARRELLQVKWIARAQASKEDTVDKPSYGGGARHGTITIHIYPDRATFH >Dexi7B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:7B:19586077:19586519:-1 gene:Dexi7B01G0012950 transcript:Dexi7B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHVSQVCRAYKEHFGLAFTAAVPWLCNITLVACRDKEIASGAARLGLSRRDFVLGKLLSKSDMVEPISS >Dexi3B01G0028520.1:cds pep primary_assembly:Fonio_CM05836:3B:25474002:25475094:-1 gene:Dexi3B01G0028520 transcript:Dexi3B01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPSNPLTLGPPVRFPFSPSRLDALQKRIGSRASQPSGRRHCSGVKTDQHRRTALAVPPCLCNTGHLVWLLGDGASRATVGRRFRGACWSSSKDLIEIFPGEL >Dexi9A01G0040930.1:cds pep primary_assembly:Fonio_CM05836:9A:44614227:44614880:1 gene:Dexi9A01G0040930 transcript:Dexi9A01G0040930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQYPPMYPTSAPPTPTNMEAGLIPPFPAAHGLPVHHHQQQQQHLGVRAPANRDDRSGGWAGNDPNTLLVVATLLTALAYLLGLSMPGGYWQQDILSRADGSVVYRAGDPIMRDLHRPRYWVFRAASWVGVASSMVMTLSLLVRMAVCSRHVVWSFAVAYSSLVLTFIVSQSRTHLSLDIGVWVAVLALIGVIVNLNSESRARFIRALCCCDRDN >Dexi9B01G0044670.1:cds pep primary_assembly:Fonio_CM05836:9B:44368859:44375232:-1 gene:Dexi9B01G0044670 transcript:Dexi9B01G0044670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEAATEGRIRSYEDFARVHAYLLAAAGVPASLHERLYRKLADEVFDGGEVFAVEPCEGGRQRRLVLAAEGTLGRESDVFLVDHAWSFRLPDALKQLREVPGLAERMAALMCVDLDRRIETEDSDEHDGERSGSLEHVLQIVEKERASIEERGSDSAAWLELEELGIDDDMLVALDLSTKFPNMVALNLWGNKLQDAEKVMQEIRKCPKLKALWLNENPVLGKGSELNLSFSSAFCPDKFPSLSKLNIRGNPLDQISGEDLLKLISGFTQLQELEVDIPGPLGNSAMSILESLPNLSLLNGVNSSSIIESGKHIVDSALQPRLPEWSPQESLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDIANFRIAPFLFMPEGKLATAISYTILWPTHDVHTGEECTRDFLFGIGEEKQRSARLTAWFHTPENFFIQAILIFNTSLGIGGWGTTDPKEADIIWVSIQVDSEVKNALGLTDQQYTNQFPFEACLVMKHHLAETIQKAWGSPEWLQPTYNLETHLSPLIGDYCMRKRDGTDNLWILKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIERPVLFQGRKFDLRYIVLVRSIRPLEIFLSDVFWVRLANNPYTLEKTSFFEYETHFTVMFVKEFEKEHQVKWLEIHESVRSMIRCVFESAAAVHPEMQNPSSRAIYGVDVMLDNRFKPKILEVTYCPDCGRACKYDTQALVGDQSTIKGSEFFNTVFGCLFLDEQTHVSPL >Dexi2B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:2B:15389492:15389770:-1 gene:Dexi2B01G0012260 transcript:Dexi2B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGEHKRCADDGDSQVTPKREGCLGAAVSRVRAAPLARSPASGLLFRATPQPAAPLPRLAASPAVEEERNRGREQPRRRGVAGGSEELDLD >Dexi5B01G0024580.1:cds pep primary_assembly:Fonio_CM05836:5B:26614681:26615414:1 gene:Dexi5B01G0024580 transcript:Dexi5B01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEMVTPRAAASEADEEERKAAAAEVPVERAGAGEAGEEVMVDALAPETAGHEEHEGKVDAAVEVHAVAEQEPEVKDVVVAEESVVQEPGVVDAPAVEVPEVKKEVAKVHPVHEPEPKVDEVVVVEQTHVTPEVQEPELKGDDANVVAQEPETKGGNVVVKDSVEVSRSRDAVDVHTTEVARGPAVAVAASGQRATWWNCCGLFDAFTGSGR >Dexi9A01G0034830.1:cds pep primary_assembly:Fonio_CM05836:9A:39554181:39557993:1 gene:Dexi9A01G0034830 transcript:Dexi9A01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRFLFARRLCSASASDTAAALTPSTISDAAELAAATALRAPSFESRLLSLVPGGVLSDPDFARLTLARLLPVPGPSLRFLRFVSSRLPDPAPDAASAGASPALPEVHEFLLRLPPHLAADAVDLLASHLGIHLSVGTINAASRAALRAARPDLVFRLFSAFSSSPDYPGDVTTVGCLARAYAAQGRPLEGLQLLRDAARRGSAPPGDAAADIVAAFADNGNWAKVSATLHLMITAGCTPDIVVYQRIVHALFAQRNCGEALRVFREIKQRGYDIDRVMYTTMIHGLCKMNRIGDAQQVWDEMVDKGLEPNEYTYCSLVNYYCKAGDFEKARKLYDEMLEKGFKQTTLTCNIFIKGFCAHERVYEALEVFEEMSVKGIKHDVITYNTLIWGLCKAGMLPQAIRMYEWLPSSGLEPSALTLSPLIATVCKEGQLEAAAKLIMSMRARGLEPSQWSNDNIINVFCKIGRPDEGMAWLAAFLHVVAPVVSV >Dexi3B01G0036160.1:cds pep primary_assembly:Fonio_CM05836:3B:39046384:39047596:-1 gene:Dexi3B01G0036160 transcript:Dexi3B01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDDDRDGSGEEDLISGLPDELLHGILVRLRCARAAARTSVLSRRWRRVWAHLPELHLDEPAVSSPDTVDGALAGYLAPSLDRLSISLPYHHALAGRATPWLRFAVERVAGELRIHVPGPRFRLMEAVVPMGAEAAELELLPACGSRARRVTLFLRVEWHLRLRPAGLFSALTALTIRGTSVEGGELSNLVSTQCPRLSCLDLFATLVAASNVTIRSDSLHSLQLFDVLNTQRLELVAPRLEKMVVSYLIQAHISAPKLTQLVWRGTGYDPRNHQFPDVGRRLGLLEVGDLVSASLMQRFDEVDVLKLGFCIPQGMAGYQRFLDATKRLPKCKTLSISLSWNDHGLAPVVLHLLRSCNGTRKLQLNYYNDSL >Dexi1B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:1B:19235273:19235473:1 gene:Dexi1B01G0013480 transcript:Dexi1B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSRRIGIPDARRGQRLGCSAMRGGFVDDGVVHGDGVTEGVGVRAGVSTCGGFVDDGASSMVAA >Dexi9A01G0023320.1:cds pep primary_assembly:Fonio_CM05836:9A:18578805:18579445:1 gene:Dexi9A01G0023320 transcript:Dexi9A01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGRHKPSRSASASTIVADNAAGYHDLKIDGFSRIKRIPAGVPIKSSAFTVGGHRWRISFFPNGDGARAPIEGCVAMRESRTAADVVQVNDMEVPVFRAFLCFLYTDSLPEMRKEDEDVMYQHLLVAADSTAATVLTLAEQHHCYGLKKACFHFLSDPAKLRAVMASDGFKHLSRSCPSIKNDLMMLIP >Dexi1B01G0027320.1:cds pep primary_assembly:Fonio_CM05836:1B:32071677:32071925:1 gene:Dexi1B01G0027320 transcript:Dexi1B01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYALPRAASRLALRGRQPPSRALCAAAAAVAGEGSTRRLVLYTKPGCCLCDGLKEKLHAASLLAGTPYSLASLELQAS >Dexi4B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:4B:15799473:15807042:1 gene:Dexi4B01G0014650 transcript:Dexi4B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVVYDGCPAWETAFIVQAYCSTDLVNEFGPTLRKAHEFIKSSQIRENHPDYEVYYRHRSKGSWTLSTADNGWNVSDCTAEALKVKTSTAIVIKDLFRYCGRSHKRRELYNAVDCILSYVVLNPSESFINIVVDYPFGSWGVCFTYGTFFAVKGLAAAGRTYENSSSIRKACSFLLAKQLPTGGWGETYLSSETESYVEASGPHAVNTAWAMLALIYCGQATFPNK >Dexi2A01G0007680.1:cds pep primary_assembly:Fonio_CM05836:2A:7701299:7701927:1 gene:Dexi2A01G0007680 transcript:Dexi2A01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKQHKNVWELPSVGKTLDIHAEAVKKRRRTTRKLYSRSIVGATATLEVIQKKRTEKPEVRDAAREAALRGN >Dexi1B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:1B:24987595:24988754:1 gene:Dexi1B01G0018800 transcript:Dexi1B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAELELEQKPAVGYWGMVVGARPCDACAAEPARLHCRADGAFLCPRCDARAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERVPVAPFFGALADAPQPFPSPAFAAAAAAGAQGEPAAAGDDDGSSEAEAASWLLPEPDNSHEDSAAATTDAFFPDSDGYLGVDLDFARSMDGIKAIGVPVPPPEMDITAGGFFYPEHSMNHSVSSSEVAVVPDALAAGGTTAPAVPVASRGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRGSAEAEDEALLEQDEGACFSPAVSAPAASDGVVPSFC >Dexi4B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:4B:2959013:2960521:1 gene:Dexi4B01G0004100 transcript:Dexi4B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAVAFLAVSSACFLAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLKPSKQGGADFKKGANMAIIGATTMDSSFFQSLGIADKIWNNGPLNTQIQWFQQLMPSICGSTQGTLISYSYLSKSLFVLGEFGGNDYNAQIFGGYTPEQASGQSGTIVDAIGKGVEQLISLGAMYVVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSTRHNQLLQSKVTSLQGKYPGAKIMYADFYSHVYDMVKSPGSYGFSTNLRACCGAGGGKYNYQNSARCGMAGASACSSPASSLSWDGIHLTEAAYRKIADGWVSGAYCHPAISA >Dexi5B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:5B:3413135:3414771:1 gene:Dexi5B01G0005080 transcript:Dexi5B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEITEGVKNLSVAGDAAAAASGAAGEGPKRGGGGNSNRIQVSNTKKPLFFYVNLAKRYMQQHDDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRGRPFQKAKIEIVLGKSEKFDELMAAAAEERGEVEDGEEQA >Dexi4A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:4A:579257:583197:1 gene:Dexi4A01G0000840 transcript:Dexi4A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPAPLAPLAAAAASASVSIACSSSPSSSYEDEDSATSSWSLSSPRHRPYRRVLHEEAQRLRRARRSQGPGADTPRWVRRTTDQMARYVEDDRAGHVYGRHVVAAVRAVRATASRPSADMRLAMSSFVTKLTFREMCVVLREQRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGRVGKIKLAEETFLEMLEVGCEPDAVACGTLLCAYAKWGRHKDMMLFYSAVRRRDIVPPISVYNYMISSLQKQKLHGKVIQVWKQMLEAGVAPNQFTYTVVISSYVKEDLLEDAMDVFGQMRRYRFVPDEATYSLLISLSSKHGKGEQALQLFEEMRAQGIVPSNYTCASLLALYYKNEDYPKALSLFSEMESNKIVPDEVIYGILIRIYGKLGLYEDAQNTFVEIEKADLLSDEQTYVAMAQVHMNLGHYDRSLEVLESMSTRNVKPSHFSYSALLRCYVAKEDMAAAEDSFRALSKYGLPDMFCCNDLLRLYIRLGHLEKARALVLMMREADFQLDEDLCMTVIELYCKSSMIEDAEKLFVEFQRNGKTMKIPTMVSLVEMYARNGTRAMQKEQGLSKVPHETESSAASMVLKSLLDMPGGFSSVSQLISKLAREGSTNEAKFIYDQLTEMGIRPDESAIATLIVQYGQAKKLEHAQELFELASASFPGEGHVYNAMVDAFCKCGKAENAYNLFMEMAGQGKNKDAVTVSILVTHLTKHGKFEEVENIIQGCFCDEVQLDTVLYNTFIKSMLESASVLSFSVHGKGGKLDRAVEMFATAQELGLPIDEKIYTNMINLYGKAGRHQEASLMFKRMKEDGIRPGKISFNSMINAYATSGLHSEAKSIFQEMQDSGHAPDSLSYLALIRAYTEGRRYMEAEEAIQMMLNSNITPSCPHFSHLIFSFTKEGNISDAQRIFNQMKEVGVAPDLACCRTMMRVYLEQGLVEEGISLFETTRGSLKPDSFMLSAAFHLYEHAGRDSEAGDVLDAISVNGTTFLKNLKFGSKVRSLGEP >Dexi9B01G0032660.1:cds pep primary_assembly:Fonio_CM05836:9B:34830908:34836089:-1 gene:Dexi9B01G0032660 transcript:Dexi9B01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGVIRSDREFRVLSPAEIKDFLEEVE >Dexi4A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:4A:22718270:22719160:-1 gene:Dexi4A01G0018760 transcript:Dexi4A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVTASQGPLRSLPAKLEAALLLLDRSGFLLLKGVKKQISLLKCELEQLISECLMEPSDVGYPALSIAYWANEVVEIAYDFVDELVHRYGHGKSLPFLSDHSTAIQFQLQDQAYILKPGVLSDEHPALLPHLSTRALGLVGFESSVEMLSGLLGDNEGGKLKVVAIAGRGEVGKTTLAKELYSKLRGQFKCCAFVWASRWLAMRKLLRDLLLQVRRHQPVDAYK >Dexi9A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:9A:8405810:8409127:-1 gene:Dexi9A01G0013090 transcript:Dexi9A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPQPPSAVGAHRRRQPPALSAAAYLAATLAFLVIAALAYSRAAFRRFPHPPATRRCHPDAEGSWSAGIFLGDSPFSLKPIEHWGISGGDGATQPVANPVVTCADVTEAGFPSSFVANPFLFIQGDAIYMFFETKNPVTSQGDIAAAISKDAGATWQQLGVVLDEEWHLSYPYVFSYENKTYMMPESSKKGNLQLYYAVDFPLKWKLEKMFVEHYSKCASVREIVVVWNKGRPPSQGELKSMVPVRIRVENKNTLNNRFNVDKEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGRPLRYRNEGFARQQGGYNIILTGAAFMDHGLAFKRKL >Dexi9A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:9A:1196526:1197176:-1 gene:Dexi9A01G0002260 transcript:Dexi9A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMGTSLILLFLSTSALDAVPIAGAARVSPGASPIVATCMTGPYPELCVGELGQRLLDIQTAIASADPNQGATIAGAPGQVDVKALVAVALQAASEAGAVAASIFEGKLPGFNTGVPDFRKCLGNCSVTMGSAMQKLHGASAALRSGATDVAKTLASKSFTDVSSCTVSCKELSGDVRLIVVQSLTEFQKMLQIAISFINKMKTQQPPPLKNMP >Dexi2B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:2B:29165886:29166658:1 gene:Dexi2B01G0018950 transcript:Dexi2B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGVKVLGMWASPMVIRVEWALRLKGVEYEYVDEDLANKSADLLRYNPVTKKVPVLVHDGRPIAESTIIVEYIDEAWKGGHPIMPADPYERAQARFWARYAEDKCNPALYPIFSTTGEAQRKAAHEAQQCLKTLETALEGKKFFGGDAVGYVDIIVGWYAHWLPVVEEVCGVSVVTDEELPLLKAWFGRLLAVDVLKAALPDRDRLLAANKARREQVLSA >Dexi2A01G0023120.1:cds pep primary_assembly:Fonio_CM05836:2A:34896305:34897172:-1 gene:Dexi2A01G0023120 transcript:Dexi2A01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSVIAATKLKMLRRGRGSSAVVPVTSRDLPCCSPDHDDVDAVKERKRKFRWGKRHAPSILGDAGTVDPGFARRYRLGAELGRGEFGVTRRCEDAATGEALACKTLRRRRLLLRRAGPDADDVRREVEITRRMSELGAGRVARLREACEDDDGVHLVMELCEGGELFDRIFEREHYSERAAAKLARTIVEVVQLCHDNGVMHRDLKPENFLFVNKSEDSPLKAIDFGLSVLFKPGTNACHIASSFRADRYTFDQ >Dexi9A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:9A:1058758:1060625:-1 gene:Dexi9A01G0002050 transcript:Dexi9A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGESKQETTNPTTRRQAGAAHPGFLPPKRPDHSTAATPAFPIKNEGLAAASAAHSHYAPLSPPDLHAARVTESGGDEGPGVDRSASSSSSDRSQRRRRLRARGFKMSISTFATSCTLLGNARTQAPQPVVKSPSSLSFFSQGMKVPSLKTSKKLDVSAMAVYKVKLVTPEGVEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDGQQAEGYVLTCVSYPKSDCVIHTHKEGDLY >Dexi6B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:6B:2011976:2014155:1 gene:Dexi6B01G0002260 transcript:Dexi6B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLVEPSMAMPPNSSHCINLQDERTAREKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLVISWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVDIVYMVTGGKSLKKFHDVICEDKCKQIKTTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVEKGKLENVDYHLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPETPSKKPMWKGVVVAYIVVAICYFPVALIGYWAFGNQVDDNILITLSKPKWLIALANMMVVIHVIGSYQIYAMPVFDMLETVLVKKLHFPPGLTLRLIARSAYVAFTMFIGMTFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWFTNWICIILGVLLMVLAPIGGLRQIIMNAKTYTFYS >Dexi1B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:1B:6207560:6210247:-1 gene:Dexi1B01G0007490 transcript:Dexi1B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGESSGERQGPAERRMLRSRYQAVKNLISDERDEMARADSDKFTAIISQVECLHELVQKPREQIADAEALFGIASTLQGSPDDEAPSLRWGDLGLSVSHAYRPVPGCSTMLGPMNTEVKQRKIAAVSRKRTARPTENTCPEELTSDHMVFLKLQLADSSEEVKTDTDRNVLVIFDILRRKKSARLENLVLNRLSFAQTVENIFALSFLVKDGRVEIKIDDNGHHIVRPRNAPAASAIASGEVSFSHFVFRFDFRDWKV >Dexi3A01G0030290.1:cds pep primary_assembly:Fonio_CM05836:3A:34238192:34238626:1 gene:Dexi3A01G0030290 transcript:Dexi3A01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRRITAAQPTTLLAFISPPLTMLQFQIPLPLVSFLSVAWTAVSLEIVNCLIVVLVEQEARHKGISYQRPATERVEVDHGVLDKEMRYVHLREGTRRQRVRPAKARVSSSAGWHGSTMSSSSRHGMAEWPRAHADGGRSDAP >Dexi2A01G0021820.1:cds pep primary_assembly:Fonio_CM05836:2A:33802042:33807881:1 gene:Dexi2A01G0021820 transcript:Dexi2A01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGTACNGAAEAPKAEFSPEKAVAASPEEAVAASPEEKPAGEREGEDVGGPFVIVNGGDSDGHSDRGSDLGKAPDEDSPSEDDDVPGSNAAPDAAAGGDHGPAGGEVSAPGAVHGASSVDGVDRAADGSEGGSDEGKGEPSSDFVTEVAHQEAAGEEHGAPVSLASIKSDPAATVSDSEAPAADYKVEGKEDTVEESAATEVAELVAHDEASISKEQDEEDTAAESCGHDDAPLPVKSFSAVVESEVNGEYSNGEQSITDAAEPVEMGSGGAIASVENGHLSADTSADSATGPESQEDASVESLVHDDALISTKSVSAAMESEVNGDDSKEEERTADVVEPVEEGADGAGALMANGHVCADTGSDSFEASTEPESHASESKLEHNATEIAESVEGDVACEDGINAPQANGHICVSLSADSCIASSESEVHAIEREGQETDQQGEGAPTTEAEVEGVVEVADRNCAGNAEELIGEEVGVDGHSNAEGSADDASVEPKAMVEQVESEATCGILQLEENLSKDGVESLRDDCISGGISSNEEVELPVEKGINEVVPDICEPEDATKNTSQAGELVDGVSICTPHSLKPESDLCMKSSLERKDQADVATVDGTAAESDFKVDNVVEAKMAACEVEETEVKSEADPPFLSQEDCESSTETVESEKVEAPGIGQANEIENDVEEVEPKEEGDSEVSNAVPLQEAAASATSSFHSESSSIKFVDIEESSSQEIPKGTIEQLACGTSLENGTMVADEAGITSETENGSEERPSDGVDQGEPVDSNVDEPEIDDAQVHSTTGNESLVSDTAGAISEKSESNEIVGGSKSQENQLEISNASTPSDECSTRTGNEVPLDEVDETFNGTCPENVKVSTESTDEVETETKCLEALEPSSIGTVVPAECKDVERAPGELHVVHAEVIGPQKVYMIKIPRFAGEDLWAKTQAAQAHLDQLTQERDALNRRKQKQKAVCDQYREKLDAARREEREARAAHGDKKNDLNSVRSVIGKMNQANSIEEIDELIATKERTMQHETISLKAEKLLIKEINDLKAQRKQLVSNIGSKAEISEAFDQKDHIHERHKALKKDSDVLFTNLKSLEENTRKILKSFEEEKIALRKLSEEYRAANELRQKAYSEWSELKAEPWKKVRVFTCCSSCAFGFYNMLDKGVNQYFFMYRENRNVAENIKNSGDMCKLQSYCNNQIDRVMEMWNEDEDFRKKYVEANKICSLRRLGTLDGRTLGPDEDPPVIPSRRPMNTSPLTAPSPDVHTLTSVPAPVLAMPASVPASGESFPVLPSPQTSKRAKSKSSVGSAQNENKAVSASEAEDIKQTEKEKARLMEEKLELARKAEEVARKEEELRKERAAAEKERLRLEQKVKAKEAEERKRRKAEKEKERAEFKARKEAEEREKKKARKDKKKGPTSADPNTIDDSHAAALASADTDSNASDNSREVEVSQQTAHKRLSRPAAAIKQLNRVQPMPAPLRNRGKRKMRQYILMAVAVLSVLALFAAGNYIPRLKSLQH >Dexi2A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:2A:12069975:12077003:-1 gene:Dexi2A01G0010770 transcript:Dexi2A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSGGARVTVYEKEDHLGGHGSKTMAVEDGAGGRVHVDLGSMMTSPNMTKWFEELGVEVEISSDMSFSASMRLEKGGGFEWGSRNGISGVLVQKSNLLSPRFWLVIREIFNFKNHALEYLEDHGRNPDWNETLGQFIQSHRYSKLFQDAYLIPMCACIWSSPAQGVLGFPALSVLSFFRDNQLLELFGRPQWHTVKGGSESYVNKASLDDAVTARYRILGVDGSEEMYDRIIFGLHAPDALKVLGAEATHEELRILGAFQYIYSDVYFHCDESLMPHNFYAWSARNFLGTSRGVCVTHWLNVLQNIESSRPFLVTFNPPHVPNHVFLKWRTSHPIPSVAAAKSTLEFNNIQGKRGIWFCGPYQGYRFHEDTVKAGKVAASELLRRKCELLVNAKPMVLSWTEAGARILVAKNFERHIIIGNVSILEEGGTAFSFGRACEKCNLKSMIQVHDPQFYWKLVTEADLGFAYAYINGYISFVDKTEGLLNLILINLYNRSERKRLLRITARKSNYIRKGWRFGSLLGITGVAFAKYILRHASRKNSLLKAVKNISKHYDLSNDFFTLYLDPSMTYSSAIFKAEDESLESAQLRKLESLISKAKVESWHHVLDIGSGWGTLAIRLVKKTGCKCTGITLSVEQLKYSQRKVKEAGLEDHITLLLCDYRQIPTCQKFDRIISCEMIEHVGHEYMDDFFGACEYHLAEHGLFVLQFITIPEDWYAKRMRPEFIGEYIFPGGCLPALSRIVSAMTNATSLWCVLIYDYSYSHRITLLKLDVQHLENIGDHYYPTLMHWRDNFLANR >Dexi5A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:5A:336745:336982:1 gene:Dexi5A01G0000500 transcript:Dexi5A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSHLPAAAGVPPGSAPPPWLRSSSLPPLLPAAIADEFPSFFSLSLSLPPSLSPSLASCHGLGRLAA >Dexi1B01G0027770.1:cds pep primary_assembly:Fonio_CM05836:1B:32422309:32423500:-1 gene:Dexi1B01G0027770 transcript:Dexi1B01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALTMTYLLFIQSTHMASQQITEEAVYLCTGNPMPKDIEEIAFWLLNEPFSTSFKYISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRVKLINDLADIEYRLSFACNDKLQLGALISTFTTARVAMVAAAS >Dexi9B01G0017990.1:cds pep primary_assembly:Fonio_CM05836:9B:12771530:12777832:-1 gene:Dexi9B01G0017990 transcript:Dexi9B01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSARVATAAARLVAAAALVLVLAVGVESVSFWLPPPTAGGGGFLGGADRYLTRDELWMNQTLDHFNPTVMAKKFGAALVSPEHRYYGKSSPFNSLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGVSAGPECKEALQEVTRVVDGQLQSGRNSVKQLFGAAKAIHLSYEFQYGNPDVLCSPLIEAKKNGTDLVETFSSYVKEYYIGKFGASVASYDQQYLKNTTPGAAESAYRLWWYQVCSEVAFFQVAPKNDSVRSPKLDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSEELPSYLIECNNCGHCSDLSGCPQAPSNIEGDSSKCSSPEALNKVRKQIVDHIDLWLSECQEQGHDKEPSLGSRWSIADI >Dexi1A01G0032590.1:cds pep primary_assembly:Fonio_CM05836:1A:37186681:37187419:1 gene:Dexi1A01G0032590 transcript:Dexi1A01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAVVRAAVAAVEDVHLPNKSGVRVVVIGDPGTGKSSLISTLATDQFPENVPGVVPAVHLELEDDDYFPDRVTVTTVDTSSRSPAWRVRRLRSVCFKDSIDWRQMVAISLSDSACPCGCSPEQKTKLIAECEAADAVVVTCACDRPATLERVTSFWLPELRRLKLKAPVIVAGCKVDLSDEEKKWRLKMMPIMQSFGEIETFLQCSALQNLNVASCL >Dexi7B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:7B:20037520:20040491:-1 gene:Dexi7B01G0013560 transcript:Dexi7B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAILESDPLNWGAAAAELTGSHLDEVKRMVAQFREPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWMLDCLAHGGDIYGVTTGFGGTSHRRTKDGPALQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAMVCFDANVLAVLSSVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIESAAIMEHILDGSSFMKHAKEVNAMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAVDLRHLEENLKSAVKTCVNTVARKVLTTSPDGDLHSARFSEKALLTAIDREAVYGYYDDPCSANSPLMKKIRAVLVDHALANGEAEKDASASVFSKINRFEEELREALPREMEAARVAFETGAAPIGNRIKESRSYPMYRFIREELGAVFLTGEKLKSPGEECNKVFVALSEGKLIDPMLECLKEWDGKPLPIC >Dexi6B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:6B:1304633:1305559:-1 gene:Dexi6B01G0001460 transcript:Dexi6B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSASSSSSSRFAAVAIVAAALLLLPVLSAADDWEEAHATFYGDETGAETMQGACGYGNLFDQGYGLDTTALSVALFNEGWSCGSCYELRCTGSPACATGGSSTVTVTATNLCPANYSKPNENWCNPPLRHFDLSKPMFLRLVTDFHVGIIPVQYRRVACAGKRGGVRFEMQGNRWWVAMLVFNVAGAGDVKAVAAKGSRDGGWMDLSRSWGQIWSNGDGRLVGQGVSFRVVASDGRSVELDDVVPPQWAFGQSFEGKGQF >Dexi9B01G0041880.1:cds pep primary_assembly:Fonio_CM05836:9B:42252544:42257470:1 gene:Dexi9B01G0041880 transcript:Dexi9B01G0041880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSAAACRNREGTSYKEDICSMLQEVSAAPPIIESNPMDDNSSRLLGEDDPVNNNSATSNPKQCIAENSGFSNISGIPSLCKVGCSHDSMEGTKNHVGGATTCGTESMELVARKQGDLLADVKLEPALEGYEVETPRVHSTHAEGSVPSVGVKDELNECELPSICEKISFSSRQRRKRKTTSCSTEKTLEEDTYTNDEGIAYCSRRRRRKKTATDSIEKALDEDAPGLLELFPKRTSLLKLSIARHEKGEKAIYCLSCLISLIEQARYLHFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSLTIEWQIRRLVTAMKLSGCGRTALIENKPLLVGEDLTEGEARILEEYGWIRNTGLGTMVNYRDRVVHDRWTERSVNDWRAKIGKLLMTGYAEGQSITIHGPKKVVDLLEATRDAEFDIKLEDPF >Dexi1A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:1A:23151888:23157567:1 gene:Dexi1A01G0016180 transcript:Dexi1A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDDGLGAPRGDDPVAGGGTGRLLGRRRRLRTVGEAAAIRANMFDHFGPYRLAAARVKPEPYPYGHEYDCGEPSRFRAAVYPDYDEDRLPMHECPMLPVKRRLAASSSAAAVNPLRRASLAPTLRRFDPVAPAATPPNGNASPAAAGAVPSAAVPAPRSAATTLIAPPNVGQPAPAATRFSPRARSCRFTARRSTLLSSAEGVMWNAGGLSGGSGSVVSAPNAAAAAALGKYCQDMTAQASKLDPVIGRDEEIDRIVCILCRRTKNSAMLVGAPGVGKTAIVEGLAQRIAAGAVPAALAGARVLEVDLGAMVAGTRYRGMFEERIKKVIKEAEAADGKVVLFIDEVHMLLGAGQGKDGSMDGANLLKPALARGRIRCVGATTFDEYRKYVEKDAAFERRFQKVHIQEPSVLATIAILQGLKVKYEEHHGTRIQDAAIVAAAQLANRYITGRQFPDKAIDLIDEACATAWMQTDNILKESSTQHVSENATTEAVVSPGRVAEVVSRWTGIPVNTLNQEEKEKLMHLADRLRERVVGQETAVNLVAQAVLRSRAGLDQPDQPIGSFLFLGSTGVGKTELAKALAEQLFDNEKMLIRFDMTEFVGSHSALRLIGAPPSYHGHEDGGQLTEKVRKQPYSVILFDEIEKADPAVVNVLLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAESLMEAVAGENSMEVARDAVVKQAQKHFRPEFLNRLSELVIFEPLSQNKLREVAEVQMKGIIARAGNKGITLSVSNAALDVVLSESHNPLYGARPIKRWLQKNVMTKLSEMLFKGQIDADTTVFIEASEDKKDLKYDVIKNTDEREARRRDKMPLVEIPSDSDSDEDINPAAPVAKKMKGVELSSPAKK >Dexi9A01G0040030.1:cds pep primary_assembly:Fonio_CM05836:9A:43886424:43889003:-1 gene:Dexi9A01G0040030 transcript:Dexi9A01G0040030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAPPQFRYPLSTRAAVFREPAGGAAVCRPGRVNCSVSSTAVIDAERLDCLSVAPPPSSSPHGTLPGGFSEALLNKEAMVAAAAAEAVALARAAAEVAGEAARMARKEHHRKDSPRRDDTVDSFLAREISRTEVGWESRCAGLELLVDEEFSSIFSDEAEDDDDGVVAVKSARRSERKARRARAAMKAAKPFSIGKPVGASSSSKKRLKGCRNPLGCFYKMTGPKLLTAEQEVEFSKGIQDLLKLEAIQKELSHYNGGEPTFSQWAAAAGTDENTLRKRLNYGVYCKNRMVKSNVRLVISIAREHEGPGMEFSDLIQECRRRLHRQLERLPSNEEIALDTGMPIRRVEAAMSLPRYSVSFTGKVGCADVTYQEIMPDTSVETVEEVLHRWLMKKDVGRALDSLSPRERQVIRYRFGMEGGRARTLHDIGQLMGVSRERIRQIEAGAFRKLRAKMRTQSLQHYLQPAESW >Dexi1A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:1A:3288679:3289661:-1 gene:Dexi1A01G0004460 transcript:Dexi1A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPRSDEIDVEEQLQSLNTTITSSSSTVGTMCDGLRKLGELYNCIGELASLPSSQVTRQRKALEQELERSLVVLDLCNVMQGSLGELKESILDMQLALKRGDDAVIQSKIQSYIRVAKNTQKQFKRISKNSTAADQESCKLIKMMSEAREITALMLESSLQVLSKQIAIPSSSKWGLVPKTFQKRRIVCDEEQLQELELDILSTAHEM >Dexi2A01G0033440.1:cds pep primary_assembly:Fonio_CM05836:2A:43629204:43630819:1 gene:Dexi2A01G0033440 transcript:Dexi2A01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding STSKGQTRRERPRTRPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDYEEFEHMMTAKIGERDSKEELTKAFHIIDQDRNGKISNIDIQRIAKELGVNLTLEEIQDMVQEADRNGDGEIDFDEFTRMMRRTSYGY >Dexi3A01G0024490.1:cds pep primary_assembly:Fonio_CM05836:3A:20145262:20145792:-1 gene:Dexi3A01G0024490 transcript:Dexi3A01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAALLPLTPSPPHPSQRRHLSRCGASRRGFTVHTAIAIASASSAAAAAVAEAPPSPSPPPSPQAQAPPSKQGGSPVLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDYNAGMTYYGDKVKPRAYAARFASPDR >Dexi2A01G0022140.1:cds pep primary_assembly:Fonio_CM05836:2A:34005861:34007160:1 gene:Dexi2A01G0022140 transcript:Dexi2A01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMACKGGPRDRRPIHPMTGQPLDLEGVTIVDSNAPEGNAEEHTDEVAS >Dexi8A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:8A:18253972:18254903:-1 gene:Dexi8A01G0010640 transcript:Dexi8A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVATQRDGGCSLLSAQVVGRAFTRQYYDILRTSPDKAYMFYHDDSILAWPSAYNGADIDSVTTIPAIKARILEMVRVVERMEVLTVDAHNSYTGGITVLVTGRINSSSSGGKLSFSPLTFVQSFFLAPQDTGYFVLNDTLRYVVGRHCSTTTPATTCDVAVAAGDEKARSSSSEPAVVAVPATKEKTCHSIFEEERMAHGAETDEENGFVLVDQ >Dexi4A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:4A:2076287:2078691:-1 gene:Dexi4A01G0002920 transcript:Dexi4A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPSNSSHDLLKFLETGLSRTYNDCFVEALTAEAENDKRIVVVHGGMGMDRSLRLFQSNFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVQDVDMQKIPVRFAITNAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPRGAIVGTSGTLTYGNPLQVGFPSTYFAVLTTLCQQHSFLITVEEGTVGGFGSHVSQFISLDGLLDGRIKWRPIVLPDRYIEHASLAEQLDLAGLTAHHIAATALTLLGRHRDALLLMK >Dexi5A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:5A:91772:92848:1 gene:Dexi5A01G0000070 transcript:Dexi5A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRGGSLVALYVTICSLLFIASKMLISFLLYKRWARKKRIIDNSLAGGKMVMFRSAGNKALHESVSPKAFLGMLMGLSSKDVIGAGGYGTVYRLAINGGGSFAVKRLNRGTAEMERGFERELDTMGDVKHRNIVPLCGYYAAAHFNLLIYELMPNGSLDAALHHPDPACRRRRLRWPARFRIALGVARGLSYLHHDCIPHVIHRDIKSSNILLDHHMEPRLSDFGLATLLRNPAASHVTTVVAGTFGYLAPEYFDTGRATTKGDVYSYGVVLLELLTAKRPTDESFLENGTRLVTWVRETIEDKREDHAVDEALLLTGFPAHEVKLVFSVADRCLDSDPANRPTMAQVVKMLEQQN >Dexi1A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:1A:12666557:12668074:1 gene:Dexi1A01G0012590 transcript:Dexi1A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVVVVPYPGSGNINPALQIAKLLHSHGVYVTFVNTEHNHRRFQDTEGAGAVRGRDGFRFEAIPDGLSEAERGKQDYGRGLAVSTSTRCAAPFRRLIERLNSTPGLPRVTCVLPTMLMSFALGVARELGIPTMAFWTGSAAALITHMMLRDLQDRGYVPLKDESYLTNGYLDKTVIDWIPGMPPISLGDFSSFLRTTDPNDFGLRFNESEANNCTKAGALILNTFDALEADVLAALRAQYPCIYTIGPQGSLLRHTLDDRATDDSSSTGLSLWKQNAECLAWLDMQEQRSVVYVNFGSHTVLSPEQLAEFAWGLAASGHSFLWSIRDNLVSGGGGGGVAMLPLSFTDEMAGRCHLTSWCPQEQVLWHPAVGCFVTHSGWNSTCESVAAGVPMVCWPGFADQYTNCKYACDVWGVGVRLDAEVRREQVSEHVREVMASEEMRRSASKWKEEAETAVSPGGSSFENLLSMVRRLSNSPK >Dexi1A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:1A:10254685:10254981:-1 gene:Dexi1A01G0011310 transcript:Dexi1A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRATPVAAEVVDERVGGVCLVEAPIPQQLLTTAVSKVREVLDGYGLAGDRVARPDNSTKAALADAPRRRAPCADVAQRGEEAADPAPSLPAGSRN >Dexi3A01G0036190.1:cds pep primary_assembly:Fonio_CM05836:3A:41610491:41611511:-1 gene:Dexi3A01G0036190 transcript:Dexi3A01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFATGSLSSLLPKLAKLLQDEYKLHKGARKGIEFLHKELETMHAVLRKVGDVPREQLDEMQMIWTRDVRELSYDMEDIVDTFMVDVEGPDPPSKRGARKIFNKMIRRVNKAMARREVAQHTNDIKERIKELAERRDRYKVDNIAPAQKILIDPRLKALYIDPTEVVGIEQAKEEVVTMLREENDDQKKRIVAIAGFGGLGKTTLAKAVYDEIKQDFDCTAFVSVSRNPDPNKLLKDILYGLNKEGHPGAKLDDIKNLIDLVRESLQKKRTLNASGILK >Dexi9B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:9B:2764899:2767094:1 gene:Dexi9B01G0004830 transcript:Dexi9B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRGTPGHYIIPSRTLTPNPTRRRLLLLQAAPPLPSPAPRLELPLSPSTMADVEVDTEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Dexi9B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:9B:9050836:9052164:-1 gene:Dexi9B01G0013450 transcript:Dexi9B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVQVDSAIYFTSSNYVKERILRWLEEEEERQRQQNFPQIEFLIVELSSVADIDTSGIQALEELFRALEKRKIQLILANPGPTVIQKLQSAKFIELIGEDRISLTVGDAVKKFAPKAVDDV >Dexi1B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:1B:10989946:10992554:1 gene:Dexi1B01G0011010 transcript:Dexi1B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAQLLTARGISSSPCLARRIKQTESEIVRMFYPPVRQSEEAIATVGPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLEIVEQYGEMWKMIQEMVRNPICVVTPTELSEVIRMLGNAKMISKAIAIFYQIKARKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALIFAFCKLSRRDSAIQLLNEMKENGMQPTAKIYTMLIALFFKLDDIHGALSLFEEMKYQYCRPDVFTYTELIRGLGKAGRIDEAYHFFHEMQREGCRPDTIVMNNMINFLGKAGRLDDAIKLFREMGTMRCIPSVVTYNTIIKALFESKSRASEVPSWFESMKGSGISPSSFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAVNMFEEMNKLGCTPDVYAYNALMSGLARKGMLDEALTTMRRMQEHGCIPDINSYNIILNGMAKTGGPHRAMEMLSNMKQSAIRPDAVSYNTVLGALSHAGMFEEAAKLMKEMNTLGFEYDLITYSSILEAIGNVDHE >Dexi8B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:8B:21650166:21653681:-1 gene:Dexi8B01G0012290 transcript:Dexi8B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNAASVSPATAPRLSLLLRRRNARARAAAASSSAGGGAGGGSYLDMWRKAVERERRSAELARRLQAPVPAGAEAAAPPPADVVERRTARFEDLLRVPREERDRVQRNQVIDRAAAALAAARAVLKEPPPAPAPAPSPPPSPPSTPPQMTEAAKVGSAGGSAAKESDRGSRTAASASVSQLPEVPDSGGSSSYKQASSKLGTPGPDFWSWLPPVQESSKPSESGTGLKPSKKLDSFSRQPDLLEKEHSANSLSLPFETAFFEKKEDRSLPPFQSFAEPENVDSKADLAADTKETFEEQFSKNAAEAARALSESYDKATHGIHPDGSMWWKETGVEQRPDGVVCKWTVIRGVSADGAIEWEDKYWEASDRFDHKELGSEKSGRDAAGNVWREYWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWFEHYDSTGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGETYDGSGGSTKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGIKQGETWWAGKYGDRWNRTWGEQHNGSGWVHKYGRSSSGEHWDTHVPQETWYERFPHFGFYHCFENSVQLRSVKRQQRPGNKV >Dexi2A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:2A:3034431:3037070:1 gene:Dexi2A01G0003450 transcript:Dexi2A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDEQSAPPVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLGLETVAASGVLSDLSYAVLWRVAERQVQLGLSVVIDSPLSRRAHLDALTRLPGALVVIVECHPGDKEEWRRRLEKRGAAVANGGSGDGWHKPKTWEELQRLVEGYQGCTDYEIGDVPRIVVDTTDPEVDAEAIAAKVVGFIRSHLSFLGAKMASAGIKPGVPVILRELEPSSEMFKQGASLRVTGILQSYDVDSATAVIKDGSVSLKIDTQNLRDVNFRTNSAYQFIGELQIHADSEAILQARIGRNVDGLDLNLYQQALLIQREQEAKLRSSRRA >Dexi5B01G0037670.1:cds pep primary_assembly:Fonio_CM05836:5B:37110991:37112673:1 gene:Dexi5B01G0037670 transcript:Dexi5B01G0037670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIHAWLVAIYTIDDDELNEDPSAEVVALSPRTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRAAGDGAGAGGGGGAPPRKRVYVCPEASCVHHSPRRALGDLTGIKKHYCRKHGEKKWKCERCGKRYAVHSDWKAHSKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMATVASALQGHAAHHLALTSLHHPEDEPDDDDLDAAAADDFALDTKSPQLRMLPTAISDDANPPLLPPPPLSMAGCLLSSLAAARPAPPFSGGAKIGHLDWRPSDISSSMGGGGFSPAGGSASMSATALLQKAAEMGATTSGGGGYGGGVGCFSTIVGFGPMFGGLDRLPSPFGPHHVAVEPYDGLPLGHTQLVGLDAGRLLPGGGQQHIFYGGGGSGGGGVGSMTRTIGSLMHGAQQQQQMEHRRRPDDLREVDYLGGVDSDQQRSFPSVSPFGGPHIGPWA >Dexi3A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:3A:7322694:7326758:1 gene:Dexi3A01G0010250 transcript:Dexi3A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKIEDHVDDGGADADSRGTREAAVDLLGGPVDASLKEEGEPSSSSSVLRSQFIGMGFSPKLVDKVLQRHGDDDSNTILESLLSNSDLQQLGSESSGSLGSLFDSDNEENNSPLESRKAFGHDIKPEPDSFSEKWTYLLRTMDFSEQEVDLAFKKLGDEAPLEQLVDCIVNAQLGGSSGGLESGDATNEGKDESLFGVMEKTLGLLQKGFTEEEVSSAIDNFGRNATIQVLADSILARRIATSIEQKEVKVESDFLGDAETDYSTYQPSYSAASCYDDDDNDMRVKRARHIFMDDRGASSSQPGNPWSMGHCAGTSDIPLKVELEAMTPGCRANVQGDLAKPPYFLYGNVVEIPKDTWSQLKQFLYNVEPEFVNSHFFSALSRKEGYIHNLPVEKRRVVVPKSPMTIEEALPFTRQWWPSWDTRKHISAVTIEAAGIEQTCDRLGRMVRESRGVLSQERQMQIMHQCRVSNLIWVNQDKLSPLEPRQVERILGYPHNHTNLFELNQPDRFAAMRYAFQTDTLSYILSVLKDKFPNGIRLLSIYSGIGGAEVALHRLGIPLKCVVSVEESDVNRKILKRWWLKTEQTGELRQLHGIWKLKTNVIENFIADFGGFDLIIGGNYTSCKGGTTVNTTMGMEVGQFYEYARVVKRVRAAVGLD >Dexi6B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:6B:6994317:6999311:-1 gene:Dexi6B01G0006420 transcript:Dexi6B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRDSPPPAASHVSVGGFDPRLSARDLAEYLESVAGRVWRCRVKSSSPPPGTFPDFHRRPSPILLPAAADASIRASSAPRRRRRAPYDDDEFRPAPPPPPGTYPPFQRRPLSAPHASRGARRRRAPPNGDYNDDDEYAFRAAAPPRGPVVPPHAFVIFATPEAARRASAAASDLIVRGPSTSSSSARAAAARSLGAARPFRFEDARVEVGNLFAPDALAVAWRAPEDGSKDGLDFVVDPLTNTCRLVFTLDAVAFVAPGSRDASATLRCDVKLEFSFLDIDEVVVLFRDESLLLKLSAAPFLYYRTAADDVHQTVPFDLFDDDGDHDPWVRTTDFTPSEAIARCWVYRVTFRAWLWPKMKDALAHMKRQGVRVVLCDIGLDVRDEPGFGQPREDDLLFFVKAEGIRFDVLFLVNVLVHKGILNEHQLTSEFFGLLKKEEDGVNVVALTELLGEKPQVFYLCQRLKNAQSRAAKNNQVLHLNRNRKIAGDHSAEVRSLVITPTRAYCLPPEVQISNRVIRHYHRVADRFLRVTFMDEGMQPLNIHAFNLYPAPIVKDMMSNLLQQKTTIHRRVQTILTKGFSMCGRKYSFLAFSSNQLRNRSAWFFAEDGTTTAASIREWMGQFPSHNVAKHAARMGQCFTSSYATVVMQSDEVNESLEDVEHNGYNFSDGIGKITPFLAMEVAKRLPLINNYTPSAYQIRYAGFKGVLAVWPGPSDGVQISLRPSMRKFESTHSVLEVVSWTRFQPAFLNRQIITLLTCLGVPDDIFWQMQEAMLHNLDRMLSDRHAAYQVVTNCCSGHGAIPGTMLSAGFSPATEPHLKSMLLAIRSSQLQGLLEKTKIFVPKGRWLMGCLDELGILEQGQCFIRASVPSLNKSAEVIVGTIVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGERPHPNEASGSDLDGDVYFVTWDRNLVPPMKKSFPPMDYSPAEVKLLPRRVLQHDIVDFFLKNMINEILGQICNAHVVHADSSNSGAMDAKCIQLAELAATAVDFPKTGKMVAMPPSLRPQQYPDFMGKEDDISYKSEKIIGRLYRSIQRYKLGISLEDFTSNDVPYDASLEVPGASHFIADAWQCKCSYESKLNGLLNQYSVHTEAELVTGEIWSLTERNKRKNNEIKERIKHAYSKLHQEFRNIFESLGADRCQTSEDKKNLVYEMKASAWYQVTYHPEWIQRSRKMIELDGKEMPARLSFAWIAVDYLTRIKMRCQEVNAISKDRCRLLAMYKDELSRRSKFHFKGPMPRFSATI >Dexi4B01G0021230.1:cds pep primary_assembly:Fonio_CM05836:4B:23247852:23249131:1 gene:Dexi4B01G0021230 transcript:Dexi4B01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDEADHINKLPDDVLVGILSLLPYKNAVQTSSVSRRWEPLLAQLPSLMFSMSEMGTHSEPRVQSMERTLRRRCRRHDVAVQTLNLTFRKDDAMECRYAGEFIALANAQKLLVQVSCDRALTDAGDWSLELPPATTELLLLPHWYAVRQPRIHGASVDTLRSLTLDGLTVLGQEFLHTPMPSLEDLYIGNCTLPVSIEITSDAMPRLMHLDIVDVSVMTRGTTKAGISVLAGGELRTLRMSGRRCSSLEPPSDTEWFLLPASFSASFTSYSCFRLRAPRLRVFEWRCCYADEVHIESVGLLSDVAVEIATGRMPRTWQEESEFVSVEHRDKLMSDILLGLMPGLRPRSWQNW >Dexi3A01G0035310.1:cds pep primary_assembly:Fonio_CM05836:3A:40645551:40646518:1 gene:Dexi3A01G0035310 transcript:Dexi3A01G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPPAAQRHWITAAAAATQENRIAFVPSSPPPAPTDNARFSLNFLPRPTTIDPDHWDWVASDWGCEISYWRVVDCHGGLVLVLLGGHFDGIPNLIVCGDPLTRRVDFAGRVDGSIYLGMSIGYIKVLDSSSLKVSKVDLPIRADMSKAPCISSFTIIHGVSTDSASQPSTWMIHVRGEQLEFFRLVRGCGEWVLEHTIPDLSEAMCRLPDGLPEKTLKLMLVDVISCGTGTAVLSATACNEMRWFVSIAMDTKKTYRSTWPLFLRAYPLRKSKHSYIMRRSH >Dexi9B01G0041580.1:cds pep primary_assembly:Fonio_CM05836:9B:42032072:42032322:-1 gene:Dexi9B01G0041580 transcript:Dexi9B01G0041580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTAQTSEAEPAARRAWWKQAASGTRPHVTQDGEGGSEETSVSGDVEQEGVVREWEGLCGGGEEERYRGGKIGKRGGE >Dexi4B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:4B:4225304:4226548:1 gene:Dexi4B01G0006020 transcript:Dexi4B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASLPLLRRLAALAGGRVRANHRLLSSSPSAVSAERASQSSAEQEAVRMTEGCVRRLKELHGKEPSAEGKMLRLRVEAGGCSGFQYSFSLDDKKNSDDKVFETDGVKLVVDDISYDFVKGATVDYEEELIRSAFVVSWKL >Dexi6B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:6B:3626116:3626477:1 gene:Dexi6B01G0004350 transcript:Dexi6B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding SADTKALFLAAVLVMAMVLSPYPAQGDKENCIDLRGMPCNEETCVMACKSSGYVDPVVRCEPNDLCSCFVKLPPASSQSQLAPAAVEDIE >Dexi8B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:8B:4230955:4231276:-1 gene:Dexi8B01G0004620 transcript:Dexi8B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSDDGEVAGEELQLQVSNVLGVEVSNVLGVEVGAEVSAEVGDVLGAKGEDAGEVGRHLGRRRTKSKRFRRSGAGEVLQACQARGG >Dexi8B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:8B:27957838:27960000:1 gene:Dexi8B01G0016810 transcript:Dexi8B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPDGEGLFVDPEPFSPSIFLDLPSTPRPDGSGQEPASSDDLVLPFISRMLMEDFNDEFFYQFPDHPTLLQAQEPYAQILYDSTTTTAGSSSSGTNSSASGSAAALSPSSSDDPSQPYPNAGLHDSTAGDVGAFFLPAQDGTILGFEQSPAQLGNVGDVNAFVAGQHGGSTSTQSSASLEDGKASRPEQAAAEGEHGASSVFFSGQNNRVNMDMLNQAFLKGMEEAKKLLPTNNNLLMNSAFATTGEEEEQARGNGRVRKNRVNWDDLEAETCRKSKLMVPEPEENDEMVDEMIVNGYDMCLKEMKALQITMGSEAKKNTRKGRGKSAQGRRSTDEAVDLSTMLIHCAQAVARDNRRSAFELLKQIKQHSSPKGDATQRLAHYFAEGLEARLAGSGSELYRSLVAERIPVIEYLKAYQLYLAACCFKMMAFKFSNMTMGKVMAGMKKVHIVDYGIQYGFQWPSMLCNMATWKGGPPEVRITGIDLPQPGFHPAARIEETGRRLSNCAHQFGVPFKFHSIAARWETICVEDLNIDPDEVLIVNSIVNFGNLMDEGVDVDKPSPRDVVLSNIRKMQPDMFILFVTNVSYSAPFFVTRFREALFYYSAMFDMLDATTPRDNHQCFLVERSIYSKCALNVVACEGLDRVERPETYKQWQVRNRRAGLRQLPLDPDVVKSVKEKVREQYHKDFVIDVDHQWLLEGWKGRILYAMSTWAADDAT >Dexi3B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:3B:8147482:8148781:-1 gene:Dexi3B01G0011620 transcript:Dexi3B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPRFATTDRIWWQGLARILEGMGYLHEAAETPCVSIQHPELAEDGLKFLNSRSSSEPLYAHPDAAMALATNDPRPFSNSSEWGMGWADPEIRR >Dexi6B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:6B:25410589:25411956:1 gene:Dexi6B01G0018450 transcript:Dexi6B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLLPCSMEEIFDRYWDLANTVNDLNIEARDSRIDCNIQKEQSPGGSLPDQLNIIAQWAMEANVDEMSMAEIRSFEDTVTDALAAIRVIVILHCRYFF >DexiUA01G0011870.1:cds pep primary_assembly:Fonio_CM05836:UA:23683761:23684988:-1 gene:DexiUA01G0011870 transcript:DexiUA01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDGCLNGLTIAAGELPTGCLSEAPSLFARGRLRVCAPDLVDPEWPRYDAKLRFALHRLALELRRGVCADRSARPHPSLLFWSRRLSAPFADVGTWRDPPPAAIGLNMGREGRAQHVQSDVTVSRRSHGGPTRPWRWEGQLMPLTFPYSTRPRGWRILGRMMRDRGHGLCVPNLCPLYKGEGDVGSGSIVHLLPRFVFSSRIRARYSSFPPASVSYASAVMPHASSSSSSLRWLERSPVTDVTLEALVACGLLPPHIVDAEWVAPPPNDRSPSPPSGYMVSFTAYHLRCFSTPANRFVREVLHHLGVGLHVLAPNGVQQMAVLVALWEGYLRIDPECNL >DexiUA01G0019280.1:cds pep primary_assembly:Fonio_CM05836:UA:40240682:40244083:-1 gene:DexiUA01G0019280 transcript:DexiUA01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPSATTPLRTSNNTDLAALLAFKSHLKDPFGFLANWTDHTSFCDWTGVSCSRQRWPQRVTMIELPGLTLQGELTPYLGNLSFLRVLDLMSTGLTGSLSKLTNVGLGDNSLVGTIPSELGNLTKLNGTIPNYLVNFTYLSNLNLSFNNLEGQVLHVMQRFDAECKALRMARHHNLIRILGTCSNLDFKALLIQYMPNGSLEEHLHSGSRPYMGFLTRLSIMLDISMAMEYLHHDHHEVVLHCDLKPSNVLLDDEMTAHVADFGIAKLLSGDDNSLISVSIPGTIGYMAPEYASMGKVSRKSDVFSFGIMLLEVFTGKRPTDPMFIEGLSLRQWVSLAFPARLIDVIDATLLQDEEICHICFDHQNGTSLGSSSPTSTSNNVLASVFELGLMCSSESDGHRMAMDGVVTKLEDIEKDYHSTLVQAMQRPPHY >Dexi5B01G0025680.1:cds pep primary_assembly:Fonio_CM05836:5B:27666078:27667526:-1 gene:Dexi5B01G0025680 transcript:Dexi5B01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLSAIMSDLLSRAASMLIQRYMRPKADETEHKLQRLQRALLRIDATVEEADGRHITNQAMLRQLVMLRKAMYGGHYMLDTFMYRGHEHGADHDEVSGGREVVLPRFSSAKRLLSFPIRSSSGGKEKLQNSVLDAEDLKKPEKMLDGLETLMGDMVEFAVFLQGYPHLCRQPYGEYLILDKVMFGRQMEKETVINFLLRPEAAAGDGNPGVLPIIGETRVGKSTLVEHVCLDERVRGHFSSIIFFDGDDLGDENMAALRRSGGVIKHQDLTASSCGRSLLVVELAGDMQEEAWRNLYCTAARSIGHGGKIIITSRSEKIADLGTARALRLKLLPQEAYWYFFKILAFGSANPDDHPKLASLGMEIAALLKGTFVGANIVGSIMRANLNVQSWHRVLHGLRNFTSKHLLTYGKHPTDLMEKGYPVYCWSMARTQNVVVIYKIYQKRTIQQDQVVPKLTAQDVIEGRASATASLLHIRGGSE >Dexi7A01G0023180.1:cds pep primary_assembly:Fonio_CM05836:7A:31241166:31242895:1 gene:Dexi7A01G0023180 transcript:Dexi7A01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQGPGVAASGGGLLGFFGMKSKYARMDDVLPQDQEDGGGILVRGSSSRYVLACSVFASLNHSSDMDELTNFAMCPDIGVMSGCIIFIEKDLHISEVQQELLVGCLTFISLLGCLAAGRTSDTIGRKWTIGLAAAVFQAGAAVMTFAPSFAMLMAGRLLAGIGIGFAVMVAPVYISEISPAMMRGSFASFPEIFGSLGILLGYVSNLVFAGLPDGVNWRVMLGAGILPSISIVFVLMVIPESPRWLVMQGRVHDARTVLLKVTDSEEEAQLRLAEIEDAARVSASSEAVWRELMWPSPLIRRMLVTGLGIQFLQQITGIDALVYYSPTIFRDAGMTTDTQLLGATVAVGFSKTVFIVVAIVLVDRVGRKPLLYVSTIGITACLAVLAASLALLARGVLPPGAATGLAVATVCGFMAFFSVGIGPVNMVLSSEIYPLRLRAQAVGMGVALNRMTSGAVSMSFLSVCGAVSVAGAFAAFAAVSALSVAFVHRFVPETRGKTLEQIESLFGGGGGGGGGATLGLGDVEIELGDAELLAEQKRLVSPARC >Dexi4B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:4B:22771495:22772052:-1 gene:Dexi4B01G0020660 transcript:Dexi4B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPRLEPLPRGAGACLIGFRPCAARGGCCFSRIGVGLAAATTSSGPRLRPRVRRWWSREESRRISASMWPISASTSAVASGSGRVFSLSPSPTLPAADAAAVWVRHAEAESKAALFHTCTSGMSGSSSIAAAAGDTLRALGCYTRNKINEGENQ >Dexi5B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:5B:318729:319316:-1 gene:Dexi5B01G0000470 transcript:Dexi5B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACVFRDTHLVAELPADDADLPPLAAALVAAAPPHHRSVTHSAAGRAHALLLAPPLALAAVSRAPHLPAAQLLLFLRRLRCLPEDRMRDEMPRLAMRLPLPTNDEAALAREAQDVAAAEAEAEEAARRDAELAAARRTPKRDRHGGAAASAWRRQLWMVILVDLVLLAVLFAAWLAVCRGFSCIGR >Dexi8B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:8B:26642983:26643253:-1 gene:Dexi8B01G0015830 transcript:Dexi8B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDQASIIQGQIGTVTVGDGSALQVAGHGSINRDNLKLPCVLYVPGLMVNVVSVRQLTALDYQVQFVGDEFFCAPTAWLDEAGL >Dexi6B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:6B:22130102:22131289:1 gene:Dexi6B01G0014610 transcript:Dexi6B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDLDASKYGTAAELKSLIAAFHSRGIQCVADIVINHRCADKKDARGVYCIFEGGTPDDRLDWGPGMICSDDTAYSDGTGHRDTGEGFAAAPDIDHLNARVQRELTDWLNWLKSPDVGFDGWRLDFAKGYSPDIARMYVANTKPSFVVAEIWNSLSYSGDGKPLPNQDQCRQELVNWVEAVGEPAMAFDFTTKGLLQVAVQGELWRLRDGEGKAAGMIGWTPEKAVTFIDNHDTGSTQNMWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEISTLAAIRARNGIHAGSKLRILVADADAYVAVVDEKVMVKIGTRYDVSNVIQSDFHPSAHGKDYCVWEKGSLRVPAGRHL >Dexi4A01G0014180.1:cds pep primary_assembly:Fonio_CM05836:4A:16068591:16071851:1 gene:Dexi4A01G0014180 transcript:Dexi4A01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEAAAAAEAVARIRLVRCPRCDKFLPELPAYSVYVCGGCGATLQAKKNSAQSSHDTDSGNVKYLEVLDCFPEASATKPGASTADRSDTSKIADVHSKPVYGHHDNIQTGPIPSNLNMSVRDNGKEAKYRHDRDWENREMGQSSRIRDTPPRSPINGIPPHAYQGGLVDYQLMQKYRYSTRERLGERSLDGPSRVRGLEKDRAEILRMLDELRDQVQQSHDVTDRPRGSALTNKAADAPSSFANPDRYDYHAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNTSVFVNGRELHQKDLDLLVTRGLSDSPGRSYVVENSGKVSDELSGEELYCLGKLAPT >Dexi8A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:8A:29913885:29916363:1 gene:Dexi8A01G0017770 transcript:Dexi8A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRPCFVLSMALAFLFMEGAARAAAAGGLPAQQRRQVRSLLRRLNKPPVETIQVYPELYGDSYTRLFIYWTDTNGAGNWWLQVGDEIMGYWPSTIFSYLQLSASYVAWGGEVYSPLAGQTSTDMGSGHFPGEGFGKASYMKNLQVVDSFNTLNPPLSGVGLASEQPNCYNVQSGTDSVNWGTYIFYGGPGKNPSCP >Dexi9A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:9A:3100681:3101712:1 gene:Dexi9A01G0005590 transcript:Dexi9A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTLGRFELSDVDAMMGWASNPDETTFCRWDPYEAREPLLAYLRDTVVPRRRRPGLRRDRRRRVHGADGRGELGYVVARRALRVVFAKVEGLRRVEALVDVDNSASQRVAEKARLRREGVLRRHYWHKGKQGHAM >Dexi1B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:1B:3475153:3475740:1 gene:Dexi1B01G0004320 transcript:Dexi1B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGIRFGDETALEYDMRIKTVEATVQVLVSEVQGSFGMRLGCVTSGRPKEIQLFNGTISEPRTGLKRYVVAVEHREKMYLKFKVGTRSSSSSEHCCSFTAQKHGHVDRLVETDFASISVKVTWSVLPDGWECKELQALLKSRA >Dexi2B01G0023540.1:cds pep primary_assembly:Fonio_CM05836:2B:33040847:33043438:-1 gene:Dexi2B01G0023540 transcript:Dexi2B01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTRPILHGLCTLGFAARAVIKSFCNGEPAAVKSIFGRFLLHVYPGETLSTEMWLDGHKVHYQTKVKERNRAVLSGYVLLQHIPSSL >Dexi3B01G0035580.1:cds pep primary_assembly:Fonio_CM05836:3B:38633603:38634058:-1 gene:Dexi3B01G0035580 transcript:Dexi3B01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAMAGRSEIDRNGPAAAARAVARRKSRCARRRARERERDTAASASAPAASGGGQREPSSDAASSASRSCRRKDDGSSRWPLLPLPPCPPLPPPRRRWFLARRMAAQWESGFHNDRCMDLGSRNRHSWAEKSQLSGGGLDQRVE >Dexi8A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:8A:3983551:3983850:1 gene:Dexi8A01G0004600 transcript:Dexi8A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSMSTTYLHPSKTPLPAAAFLHAAVRCVAAWPSRSRRRQAPPNAPALARLKDPSNPARLLHLLAAGTRGVDGTGLARPARRGRCRRRNWKADANQGL >Dexi1A01G0022110.1:cds pep primary_assembly:Fonio_CM05836:1A:28820727:28822819:-1 gene:Dexi1A01G0022110 transcript:Dexi1A01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEELVESGAGEDAEDMPPVVAAFAGILERVAERNDAAEVSGAVVAAPASAFRATTKPGISVRAYMARIARFAGCSPACYVVAYVYLDRLLRRGRRLALAVDSYSVHRLLITAVLAATFGDYCAVLQSELQRAAAPPAPLRLHHCCLSEDDAGAGCTAQQQLAA >Dexi2B01G0024980.1:cds pep primary_assembly:Fonio_CM05836:2B:34248591:34249241:1 gene:Dexi2B01G0024980 transcript:Dexi2B01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAVVANPSPWLPTRAFPSSPCAAPWPRLLLSPPHPPRLRSMLRPLPCAAASSTSSPSSSVSVPDPDMEPPEPAHDDEAEAAAAEASRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIM >Dexi5B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:5B:9320483:9322020:-1 gene:Dexi5B01G0013120 transcript:Dexi5B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEQRQVYLYSALFIPLRNMFCLDRKSKKIPVASYIIKDALKLSGFLAESVNTIHTASRKFAELVLLFESDEAFGNLKEELEDEYLSIPTDLVKRVYAGLILDEVKDLWRVILLISILSYPEAKSFGETLSQQEDELGRTTSRYIKIKRSITNLDLDGVWKCKPLLTGKDIKDVMQVKACPLIGEWKKRLFKWQLAHPEATKDDCMEWLKQSQSKRQKVVSIS >DexiUA01G0009950.1:cds pep primary_assembly:Fonio_CM05836:UA:19493726:19501949:-1 gene:DexiUA01G0009950 transcript:DexiUA01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQSLTLRGAAVPSARRAAPPPASSAAHLAASGPCLLRVPRALRRRRPRSLRASASLEQEVKEVAGAPAPSAIPPSLLLLFPFLLKPCQFNVHSSPDISAGKSSQTTRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLADDLGPLFEAILRCIPEPRIEKDGALQMLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRVAKISELFVYQNFSRVPVESVSAGDICAVCGMSDIMIGETIADKGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKVLEPYEIAAVEVPEEYMGSVVELLGKRRGQMINMEASGPEGTTLLKYKIPTRGLIGLRNAILTASRGTAVLNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGLLFVQPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMSKNPKISKKK >Dexi2A01G0025480.1:cds pep primary_assembly:Fonio_CM05836:2A:37100396:37102965:-1 gene:Dexi2A01G0025480 transcript:Dexi2A01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLRSHPLLHHAARLSSPRARLLLRRDALQLPLLRSPPHPPIRMAASSGSNASSPAPPPPAAVVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESTAAGASRETLEEACADVEIVSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYTEDVKSGSIKFHYCTINKRLGASPSDLQSFDIDNHIAV >Dexi8B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:8B:19735376:19738894:-1 gene:Dexi8B01G0011070 transcript:Dexi8B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLLVILGACSVMMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATQVHKELKDAMTQLDSIRYEVQNLSRLTPGQFNMRPHNNGMAEARTPDASDVSVSKAGDSILLYLLIENLYQGFNWYLIEFIMTMQPEEFRQEIRSIIREEMESFCRTRSDSTQNFANTTQGRKVDVAVDHTSLKSKAMKTADTGLTNLNSQAMTYARLSEAPGLQTGSSLSGNYEEQFKESNGLLNVLPISAESAGLLPSRSGGPTGSDLVLEAVLEAEVAENAKFFVSQPHDQLTKE >Dexi5A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:5A:22805398:22805879:-1 gene:Dexi5A01G0019270 transcript:Dexi5A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLLHLQGAAAIVSILLVTLTLFFTRTSSSAGGCVPGEREALISFKQSFADPSGRLSSWRGEHCCPWEGVRCDNRTGHVIELDLRGGQDYNKWITLRGETISSSITALRHLRYLDLSFHEYSSTPIPSFLGTLSSLRFHGEHTLAAWKPI >Dexi9B01G0025460.1:cds pep primary_assembly:Fonio_CM05836:9B:25953343:25954460:-1 gene:Dexi9B01G0025460 transcript:Dexi9B01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSKRSEAVRAPVVYRQQNLEEHEPLSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPDNPGLEKSDIKSKTDDQQKSINDQEPLKMDESCKKGVPEDEPDEEDVCPICLEGCVSVDH >Dexi1A01G0032460.1:cds pep primary_assembly:Fonio_CM05836:1A:36977747:36980251:-1 gene:Dexi1A01G0032460 transcript:Dexi1A01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGPVHDSGGDSELEEQSYQEPAFDAFMCPLTKQVMQDPVTIETGQTFEREAILKWFRECRDSGRTPTCPLTQAELRTTDVTPSIALRNVIDEWRARNQDKELDKACASLTLHLHLHSEDDALRALLYISQMCQQRSGGKNLLRRQGIIPAIAAMLKSSSRRVRLKSLEVLRAVVEGNDDNKQELGGKGDTIRTVIKFLSNEHVEERELAVSLLYELSKLDPICERIGAVYGAILLLVGMGSSKSENLVAVEKAESTLRNLEKYETNVKQMAENGRLQPLLTRLIQGTPQVQVAMAEYLGELALANDIKVVVAEQVGALLVSIIKTGSLPAREATLKALREISSNESSARILLEAGILPPLVKDLLSVGAGHLPMRLKEVSAAILANLVASGAAGSMAVDEESGETLVSEGVVHSLLHLISNTGPAIECRLLSVLVGLTGSPATVADVVSGVRSSGATISLVQFVEAAHREIRVESLKLLRNVSPHMGAELADALGGHLGSLVRAASDGVAVTEEQAAAAGLLGDLPERDWELTRQLQELGAFRALASRLAELRRGAIRGNRHVAPFTEGAVKALYRVTCAVGILGAEYVEVARELGLAPLFVELLQQQQQQIAVALYSAMALENLSMQSGRLTVVPPEAPSPVAPRGLLLACACFGGGGAPPPMAPGPGTCRVHGGLCSLRESFCLVDGKAAAAAAVERLVACLEHADARLVEAALAALSTLLGDGVASAAEGVLALGEADGLRPVVEVLVENRTEALQRRAVWAVERILRVEDIALEVAADQTVASALVEAYRNGDARTRHTAERALRHLDRIPNFSTAFHNAKPRRDSS >Dexi9A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:9A:1941133:1941936:1 gene:Dexi9A01G0003640 transcript:Dexi9A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKMEDAAVPALAVVDARFCAADAASLAVAKALSMSGSDFAVTDAATGALVLRVDGVLFSLRRRCLLVDADRRPVLTVQESALMLSTRWKVFRGDSTSRRDLLFTVVKPSVIQLRGSTKVSVFLASNDAEQACDFRITGSYHDGACAVSLGDSDTIDRRFSVVSALLGKNTYSVTVNPGIDYAFIVALVVILDEMHYQR >Dexi4B01G0021390.1:cds pep primary_assembly:Fonio_CM05836:4B:23374580:23375809:1 gene:Dexi4B01G0021390 transcript:Dexi4B01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRLFVLAAVVSAASLIPPPTVAQSSQQLRTDYYASVCPNLENIVRSSVRQSMAQSQISAPAALRLFFHDCAVQGCDASIMIVNSNGDDEWRSSDNQSLKREGFNTILSAKAAVDSDPQCRNKVSVVLPSVTFNLDKLNAFFSNLGFNQTEMIALLGAHTLGAADCPFFQYRIGTDPTMDQSLASQLKTTCGSNPTNGFAFLDPSPVNFDNAFYRNLQGGRGLLGSDQVLYSDQRSRSIVDGYASNQGAFFADFVAAITKLGRVGVKTAATGEIRRDCRFPN >Dexi9B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:9B:607254:608383:1 gene:Dexi9B01G0001050 transcript:Dexi9B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRIASLGSSSGAGGCSRSVSFTTILRYLSLPMSSASTRGLPTAAALTSSCAFFIIAGRRISSDMAHRMVTELQSPSWVFITSLAADADDTTTAGTPKLHHRAMATRQLAQALVRQWAQQVKVAQDGHRRGSRRQRQRPVDVLGRRNAAATSASGAR >Dexi1B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:1B:7664480:7672073:-1 gene:Dexi1B01G0008830 transcript:Dexi1B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPWRFPAGAGAGADPCPVCSARHFPFCPPPPLPPHPFPYELHPPPPPPPPPHPFPYDLHPPPMWDLPAPGPHDPHPYNFPGREGPHKRMRLGEAPPFDPYDFAPPPPPGRASVEGDRLRDLIRDHGHNPFPGSTWRGEPCPPDGGFGHGGGRGYPSHYPQGGDFANFNHGRLPPPLPTHDRDNGFGQGFALGEGFHERYLDSANHHYHQLPGTPPLPPPPPYADAANPNGSRAWLPEAAGAVPPPPEPAFPSHRDYRATPPRPPANSSLFPVLSGSPATAVIPPRAQTLPQAHLMPNANCYDGQINDEGSSLIYRPFSEQHLIDGRSTNAQNSMENSKVTIMNASDLFKQPCRASRPDHIVIILRGLPGSGKSYLAKALRDLEVENGANAPRIHSMDDYFMIEVEKKLEDNEGSKSSSASKGRRQLTKKVIEYCYEPEMEETYRSSMLKAFKKTLDEGNFTFVIVDDRNLRVADFAQYWATAKHSGYEVYLLEAPYKDPTGCAARNVHGFTLDEIKKMAADWEEAPPLYLRLDIHSLFHDDNLRGHSIQELSIYITLRFTSAKIIVCAGFSKPGENWNTVEDDLDAFKELGQSKWSKDFEDDTEKSENAQGNANALSGLAQTYSSRKKRVTWGDQLEKGGFSIAATKRKLTSSLVIGPGSGYNLVSNPLAEDNSKGIKGKSNNETKKRFNEQLRDEGQSFKAVFDKRKQRIGVFVNGDDE >Dexi3B01G0036950.1:cds pep primary_assembly:Fonio_CM05836:3B:39744572:39745868:-1 gene:Dexi3B01G0036950 transcript:Dexi3B01G0036950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHGSKTKLSAAPAAATPACKCSNRLLQLGDRLVAVQLVVLRTAAALTTVVAAAVMALNTQSYTAVVAIIGTKPLMQTFTAKYFVIANAIAGTYNLLVLIIRRLILQRRTASLVVHMLDMVIMALLATGAATAASMAELGKKGNLHARWNPICDKFGSFCSRGGIAIVSSFIGVALMLALNLLSAAANAHRPNVVGQ >Dexi5B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:5B:14233490:14237273:-1 gene:Dexi5B01G0016300 transcript:Dexi5B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLLDSPSRKDGIDEDTETALRVYGCDLIQESGILLRLLRTTLCVRFKSEVVACGVVYAAARRRGIPLPEDPPWWTVFDADEAGIQEVCRVLAHLYSLPKSQYIPVYKDNDSFTVRRTSDQHASKESPANAAASDKGTPIPPSSSQEKDLVTKTAADKVKEKSDEESKPLPAEVNGKRDPEVNMKSEKSEPGVDKRRERERSRGRDRDVRGRDSDRDGRGRDSDRDSRGRDSDRERDRRRRSRERSSGHSDKEKSRGHSSRGMTGVTTTARTHLARRIDTDTIDQAEDPGSQRSSAWRQLERSMRRICVASVISS >Dexi3A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:3A:136051:139156:-1 gene:Dexi3A01G0000130 transcript:Dexi3A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVDEAERGLGAHLGDKALAERSATGFATRRWQNATGRLTAI >Dexi9B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:9B:14805841:14806530:-1 gene:Dexi9B01G0020070 transcript:Dexi9B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGVAASGGGGGRGIEGAYQDIVVGEGGRRRGERGAAPREMDMVVGGVAASGGGGGRGIEGAYQDIVVGEGGRRRGERGAAPREMVRGRGRRWRGGRGEASEEGAEGRVTVGEGGEAERAGEERGAEEEEEERREEERRERGRVEEVPRRAAQYTAARARVQASRRRTRCGCWRGGGGGRHGGSRARGGGSAR >Dexi9B01G0043090.1:cds pep primary_assembly:Fonio_CM05836:9B:43164534:43165236:1 gene:Dexi9B01G0043090 transcript:Dexi9B01G0043090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDQTGKTGVLTDPLKTELFEFIMNHGRDGDDAQIPGKLLPLLQDMALRTEEQANSSGRRNRSLPSPIGLWADETMSMSC >Dexi2B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:2B:12120963:12125163:1 gene:Dexi2B01G0010680 transcript:Dexi2B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISRIRLENFMCHSSLHIELGEHVNFVTGQNGSGKSAILTALCVAFGSRAKNTQRAASLKDFIKTGCSYASIVVDINNHGEDAFKPEVYGNVIILERRITESSSSTVLKDQHGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVNDMLGSIREKLTSADAIVEELENSIGTVLKDLDDIQRKIKNMEHIEEIAQEIDNLKKKLAWAWVYDVVKKIEGQADKLEKLKERIPACQERIDRNTVSAVL >Dexi3A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:3A:1748887:1753822:-1 gene:Dexi3A01G0002610 transcript:Dexi3A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPNPSRLGLRLRLRPPWLPAAVTCPSPPAPACPCSRPRPPPRAPTAPVRVDSPVLASVVTRILAVHPGPFRAVYLVQSSAAPAAARALDFLLAGSPVLETLGIQGYAAMAPSRHADEGGQLQLMEPDRVDEEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDDLLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRHVFQVTSGGIMDAKD >Dexi8A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:8A:2486189:2487421:1 gene:Dexi8A01G0003430 transcript:Dexi8A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGSGGIASIFSSKPKLSTTDSPSTPWPWPSCATTPQTASFRHHPRGHGDDRRPCTTAGLHRSSEPTPLAAGRLRPPRKATAAAGDDEMYKTVNSVYFDDDAAADSSGRFFFHDDGELEADEVDDDGFSTTTASEEWSEAIIRSLGRSTSTDRFFFDAGPAAPVSNSILAASPSPNRTTTSTSTTTRSLPAPPPLASKLHALSSSPAAAAAGLSDDDGEPPASSSLVEESVAVAVDSDDPFGDFRASMEEMVAAHGLRDWAALQEMLLWYLRINGKRNHALIVGAFVDLLVGLATNGNGNAASASTAATTATTTTTVTTTTTSASACSTSTTSSSSSSSGSSSGGNVATEAAAATMAGEEQCSGGGGGGSVGASCSSASSSDLEEEDEEEEEEKASKRLALHEQWRD >Dexi5B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:5B:17851588:17854303:1 gene:Dexi5B01G0016900 transcript:Dexi5B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSVGAMGKKGKWFGAVKKVFSPESKEKKEEKLRKKLAASNPIPLDLTPSPALEVNVPVLPPPALPYLHQTEEVEVSEVVQEQSNHVVEAAPAAPVQTTVMPPGLSREELGAIKIQTAFRGYLARRALRALRGLVRLKALVEGNSIKRQAASTLRCMQTLARVQSQVRSRRLKMSEENQALQRQLLLKQELENFRMGEQWDDSTQSKEQIEASLVSKQEAAVRRERALAYAFSHQWKSTSRSANPMFVDPNNLQWGWSWLERWMAAKSWEGRSGTDKESNIDHGSMKSMILNLGEGEITKAFNRQDSKADKSSPATPKLTRPASRQSPSTPSPRVTPILVRKKSATPKNGPSQVDDDARSVFSVQSERPRRHSIATSMARDSESVAGSPSVPSYMIPTESARAKSRLQASASINGAETPEKGGSAGPVKKRLSFQAGMPSSSPMRRHSGPPKVDCGEQC >Dexi8B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:8B:26865961:26866753:-1 gene:Dexi8B01G0015990 transcript:Dexi8B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSKLLPVVAILAMAASWQQAIAYDPSPLQDFCVADMKSPVRVNGFPCKDPMAVTPDDFFNAAKLDTPRNTKNKFGSIVTNVNVSQFPGLNTLGISLARIDYAPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLDHEKPAAALSSLSSQNPGVITIASAVFGSKPPISDDVLAKAFQVEKNLIDWLQSQFWENTNY >Dexi5A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:5A:1178424:1178930:-1 gene:Dexi5A01G0001640 transcript:Dexi5A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRARSSTTLASAALLVVSILLAHDGSLAAALQCAQVAQLMAPCMPYLTGAPGLTPYGICCNSLGVLNQLAATRADRVAACSCVKAAAAGFPSVDFARAAGLPASCGLSISFTISPNMDCNQVTEEP >Dexi2B01G0034050.1:cds pep primary_assembly:Fonio_CM05836:2B:41361878:41365479:1 gene:Dexi2B01G0034050 transcript:Dexi2B01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKLSSALFAGTHFDRKRFAADFARFHQGPTPSPAAPSAPSPEKKRKRKSGKAKAKKNKKKRAGEAAASSSDVVEGFNVFKGLEGKNDELRSEKVEIVKNEDSVAVRRRKEVEREIERAAILRKRFDIHIAGQNVPAPLESFEELISRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPLLMKIKPGSKGGVKAVILCPTRELAAQTVRECKKLAKGRKYYIKLMTKDLSKSGNFKDMHCDILVSTPLRLHHAVKKRHLDLSSAEYLVLDESDKLFELGFVEVIDSVVEACSNPSIIRSLFSATLPDTIEALARTIMHDAIRVIVGRKNSASSLIKQKLIFAGTERGKLLALRQSFQESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLNEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESAAAYIHRIGRCGRAGRSGEAITFFTEEDKPFLRNIANVLLSSGCEVPSWIMALPKLKRKKHRVDRDPISTLPNED >Dexi3A01G0034530.1:cds pep primary_assembly:Fonio_CM05836:3A:39675688:39680045:-1 gene:Dexi3A01G0034530 transcript:Dexi3A01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTGPASPEQPPAVDPAEVEVKVGVGPRAKEEAVVKADVEDEEGEQEEEKGGRGARRQRRRRGGGAGDGGAVVMVKRELLARCMTCPLCRRLLRDATTISECLHTFCRKCINKKINDEDLDHCPVCKIDLGCTPAEKLRADHNIQDVRSKVFPFKRKKINAEEAESPVTLPIKRKERSISSLVVNTPRITPAGSTGRRTRAVTRKAAALRGLGPIIADPLKKDNDNSNKQSDNSSLLGSLSKVPQTRRQVLSNGDTSSHPSGKDKAGDNKDLDKAELWKPLNCLVEAASKTKPRTSAQSPALKGDKPSGSPSSEHYSRTKAREPLQKTKAEDDKDDPEPIVLLRKRGPGRKRKHPLPLPNAASSAAAIQNAKKFIPIWFSLIASFDQKGEPPLPQIPAHYLRIKDGSIPASSIQKYIMQKLSLLSESEVEISCCGQSVNPTQPVRNLVERWLRVGPVRPLQTLIGSSGGDYVMVISYGRPRSA >Dexi8B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:8B:26139325:26139906:-1 gene:Dexi8B01G0015310 transcript:Dexi8B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADPQSACEGTYVLFRGETLLSNGVRATIYTIALAYCFIGLSAITARFFKSMEQIMKHSREVVSIDPCTNAPVLKKEKVWNYAIADIALLAFGTSFPQISLATIDSIRNLGQLTAGGQAPRRRSQTWAFG >Dexi5A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:5A:6805461:6805835:1 gene:Dexi5A01G0009070 transcript:Dexi5A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQALRYTPHGLVTHRPLHRPGRVLPPPVRLDVPSRTLTIPEVHLHQGHHQRRPRQQARAAAADAGGKERVLPFASFAPSSGTKLGSHQELADPMEVVLLFTQKRRAPIGAVTREEATPGDD >DexiUA01G0024990.1:cds pep primary_assembly:Fonio_CM05836:UA:52345656:52345863:-1 gene:DexiUA01G0024990 transcript:DexiUA01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWHAHLIVKACAEVVGREEEVVGALRPYGHVVAMYLMALRMIRGARNGRGNPCCVRSQVVYTGV >Dexi6B01G0011780.1:cds pep primary_assembly:Fonio_CM05836:6B:19137268:19145274:1 gene:Dexi6B01G0011780 transcript:Dexi6B01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEAPDLVRSGAVCSSWNAAYAIVRRLESPPCIFYTRAEDTDPDVATIYNPAHGTSFTVRLPDLRLRSLHGSAHGWLVAADDVSNLHLVNPVTRAHLALPPITALHHTEAASDEQGNTAYNVRGYWWDDPESFPARDLCLFMYLKAVPSCSPSTGSQCVVLLQHHPDGQLSFARLGDEAWTWIGGDEDDDRSYDERRLSYGYCDAVYNKKDGLFYMLRYGGEIITLDLNGDSPVMKRIMKLVMTKENTPTNMYLVMAPWGDLLQVWRTTDPPVPECIDSEDPDLALHTKEIQLYKDADPDVATIYNPARGTSFTVRLPDLHGRSLHGSAHGWLMVADDVANLHLVNPVTRAQLALPPITALYHTEAAAPDKQGNPRYSVRDDEPESYAAHDLRLLMYLRAVPSCSPSAGSQCVVLLLHHPDGELSFARLGDKDWTWITWIGDEEDEDRYFDEMWFSYGYCDAVYNKDGLFYVLRCGGDIITLDLNGDSPVMKKMLAIKRNDTPTSIYLIMAPWGDLLQVWRTTDPPDIDSEDLCLALHTVEIELYKVDIENCAYLTHDDSMDIWLRTNGPKEIGVWNFRSGTLENVDDNTWNLGEPATVSMLIAPATSVYPVHPRAHAVGPYTTHRLVDRLCDRCARAEQPFAHPLSPRASAVAFPSPICATSLASPLATSPPHTDMQAHRQIDHLIWMVHDLAVGTSRGPAPLFNFMSQGYATGFDSVDVNSEADLAADALV >Dexi6B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:6B:16254252:16258029:1 gene:Dexi6B01G0010080 transcript:Dexi6B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEDGDGNVVDVRGEALGVKVLMVAAWPYDEAVVRNGPFVMNTSTGGAVCWPREEVEQAWEDFRHCRNGFEMANGWTSDHAVAATHVDTIGMEPRLLLLLLLRSPSPVAAAEPRPPRSAGDGRATAKPQAASLRCITAAGAGFHVKCSHDA >Dexi5B01G0028520.1:cds pep primary_assembly:Fonio_CM05836:5B:29885783:29888629:1 gene:Dexi5B01G0028520 transcript:Dexi5B01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVYSSTCVGRVAAEMAPAPASVRTNWSGECMKLCRLAGPVILALVFQFLITLVTAALVGHIGKVELAAVSIVNGVIQGFAFGLLLGMGSALETLCGQAVAARQFQMLGVYMQRFWIICLATSLALLPLYIFTSPILRLLRQSAAISAVSGRYARWCAPQLFAYAVNFPIQKFYQAQQGIASSFAVMTAISGAVLAAHALLNWVVVARLGRGMLGAALVGDASWWLLNAAQFVYLVSGSSPLAWTGFSRKAFANLGGFVKLSIASAFMPCLEMWYYTAVLILVGCLKNPEIQVGAIYICMNYQLWTLMVALGFNAAVSVRVSNELGANHPKAAKFSVVVATTTSAAIGLIFTAVTLAARKQMPRLFTDDGAVIKETAKLGYLLAATIFLNSIQPVLSGMAIGAGWQSLVAFVNIGCYYLVGLPLAAVFGFKLKLNATGIWVGVLIGTVLQTVILFVIVVRTKWQKEAMLAEERIRVWGGNVELPRTQETGATENIAEIFD >Dexi2A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:2A:14919462:14922668:-1 gene:Dexi2A01G0012780 transcript:Dexi2A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKKEEAASAAGKTPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIIIRPDEGYYMGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQNYFPRCA >Dexi1A01G0029340.1:cds pep primary_assembly:Fonio_CM05836:1A:34867971:34872052:1 gene:Dexi1A01G0029340 transcript:Dexi1A01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSSSAFLLLVASSSPRRRRSRVGAALRSCSYGGAELRLHWARRGASLDGAPVVRAAAAPAGAEGEGATEAGESSTPTGVVVQGTEAMAAGSASTPRPVTSAPSSAANQNGALGSSTATKSAEPVSSESKGTETDASAKVEELKPAALDDAKESVGTAEPVEAKADAAAATDVAANAADGSEDKEPGPLAGPNVMNIVVVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEARDLGVRRRYRVAGQDSEVTYFHSYIDGVDFVFIEAPPFRHRHDNIYGGERLDILKRMILFCKAAVEGRGPVDDFVNFDLPEHYIDHFKLYDPVGGDHSNVFAAGLKMADRVVTVSSGYMWELKTSEGGWGLHDIINQNDWKLHGIVNGIDMKEWNPAVDVHLHSDGYTNYTFETLDTGKRQCKAALQQQLGLQVRDDVPLIGFIGRLDHQKGVDIIADAIHWIAGQDVQLVLLGTGRPDLEDMLRRFEAEHKDKVRAWVGFSVPMAHRITAGSDVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADAGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >Dexi2B01G0032560.1:cds pep primary_assembly:Fonio_CM05836:2B:40454656:40455791:-1 gene:Dexi2B01G0032560 transcript:Dexi2B01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSGLLGGGGGQGHEKMKRRKQLQTVELKVRMDCEGCELKVRSALSSMKGVESVEINRKQQKVTVVGYVEASKVLKKAQSTGKKAEIWPYVPYSLVRQPYVAGTYDKRAPPGYVRSSEPGYVAAAGQPQQQHVGRPHDHLTDMFNDENPNSCSVM >Dexi4B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:4B:2474591:2477125:1 gene:Dexi4B01G0003570 transcript:Dexi4B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHPDLDRVVNLYGMAKLAVHRARGQCEAFWAEYAADDNVLHLLGEQAPSLKSLRLICCQDIIEFEEEIKKFPLLEELEISLFTNIGGKQVFEEVGKSCPELKHFRFNSYCFHNLGDDDDDVDSEFRYKKDDDALGIASMHGLRSLQLFGNNFTNEGLTAILDNCSHLESLNIRHCFNITMDDALRAKCATIKMLRLPYDPTDDYDLQFEGPIWSGSGLGYDSDSDGCAYGGPDYILDSDEYDDYCDPLRYLDGVYESELGPEDRMFLKGMHMLLKDDSDDDY >Dexi8B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:8B:331262:338826:1 gene:Dexi8B01G0000490 transcript:Dexi8B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANMSSEATVKPLAAACYDNNLVNSQGMFLGDQPLRFALPLLLVQVSVILVLSAAAHVVLRRLGQSRFVTHMLVGVFLGPTVLGRSESVRGVLFSDRGTYILESVSLVPSLPDDLRGSSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWGLRACSAAGFLVSEASSPAFTAKILASFVAFVLFVAFVARPAGRYIAYRRTPAGALLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLSEVTKEEASEKWCALELFVALCVSGKLVGCVAAGLFFAMPFRDAAVLALMLNIRGIVEVAAINNWGDTMKATAEHYSILTLSMVLITAVSTPLIKLLYDPSGQFAGAGKHRRTLEEARPSADLRVLVCLFNEDHAAPLIDLLEASSGPSRESPVSLIVLHLTELVGRAASVLKPHRRKTGGDPTPSDRIVNAFRHLAEQQPDCSMTVSPYVAQAPYSSMHHDVCSLAHGRKASLILLPFHIMSSSLLGNNNANTNAIRSVNRAVLRYAPCSVAIMVDHGLAAGSACATTANSLLQRAALYFLGGPDDREALAYAARMPLALTVVRFKLRNWVGMGGRDEVRDEEVLHHFWTRHRDNDRVVYVEKTVEDAEGTSSVVRSMSEKFDLLIVGRRGGCSGDDLEGSSSSAAALTSGLSEWSEFPELGVLGDMLASADFASKVSILVIQQQPDKNAAGGGASSAINP >Dexi6B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:6B:24419529:24422331:-1 gene:Dexi6B01G0017210 transcript:Dexi6B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGGRRPGPWRWPEALRRLSRLAPPTPAPADPAVVRVDRTNVARLGAPKRGPKPRQLLSLPPFPAGADPLPGRKVVPRRVTAVSWVKHYFADVPQEAVQAHFNRRMVFSECSESEVSTEIIRAQKHLLKKIKHNDVMEPGIRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVVHRDSAILVLNKPSKVPMKGNLPVHNSMDVLAAAALSYGNKEGPKLVHRLDRESSGLILMGRTKESFTRLHWLFTSVNLAKTTSQTWNKACEAYVQKYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAITEYRVLGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLSSTGEWHEDGASWAKEKPSVLRFIAPMPPHMKISWNVMSSYLV >Dexi4A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:4A:3128153:3130700:1 gene:Dexi4A01G0004390 transcript:Dexi4A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGDEDNLAAEGVTRVVGTAYALALHAADVARAELDRRDAQALHARAAASVRVAVHDARFARALAAIPGGPKPVDGFVGGREVLEGMALMEGLHAALGLGIHRVEVLIDYRPLYNHMLGIWYATQKKVADMVDQVLSVMMEFEQSFGCISVVCCYRCGYEFCYTCGKEWKEKKATCSCPLWEESNIIHDDNGDDYYDEEEYDYYYEDDDEKVYLGEWDVL >Dexi2B01G0027650.1:cds pep primary_assembly:Fonio_CM05836:2B:36489486:36490717:1 gene:Dexi2B01G0027650 transcript:Dexi2B01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAVLISLVACATLASGAAGVRVELTRAHSSRLVGDALRRDMQRHSARRLAASSGDTVSAPVSKDTDMGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCSDQCFQQPTPLYNPASSTTFSPVTCDTTPSKLCPYNQTYGIGWTAGILGSETFTFGSSAADQTRVPGITFGCSNASSNDSNGSSGLGQPVADKFSYCLTTFQDSNSTSTLLLGPSASLNDTGVGSTPFVTSPAQALTHYYVNLTGISVGTTALSIPADAFSLNDTDGTGGLVIDSGTTFTYLVDAAYQQVRAAVLSLVTTLPTTDASAETGLDLCFALPSSTSAPPAMPSMTLHFDGADMVIPGDSYMILEPDSGLWCLAMQTSISGLPSILGNYHQQNMHILYDLGQEMLSFAPANCGTF >Dexi5A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:5A:22395977:22396913:1 gene:Dexi5A01G0018880 transcript:Dexi5A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSDLQASSESIAEKMGFFRVPDLLVKLSTSLSELDVVRSPTSPLDLKFFTGLGTKSPRSSSLDVCQNQKILLGDRVGLGLVDSLADENPTPLGGRKVLLGSEMRITDNLSRKNSSTAPIQTGEVEQKDDNMSDGLKGSIMSLDDIVNSEDYTCVVSRGPNPKTTHIFGDHVFELQVEHLMPGEIKDDVNVPPLVKEGAMSFCSFCSEKLREGKDIYVYQGDKAFCSAECRENFMEDEMEEGEPMIYHPAPPSPSSSPLDHGPIFQLIR >Dexi7B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:7B:3834397:3836141:-1 gene:Dexi7B01G0002270 transcript:Dexi7B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGIVSAGGGAQVLLLPYPGAQGHTNPLLEFGRRLAYHGLRPTLVTSRYVLSTTPPPGEPFRVAAISDGFDEGGAASCPDYDEYIRQVEAVGSRTLAELLRSEASAGRPVRVLVYDPHLPWALRVAKAAGVPTVAFLSQPCSVDVVYGEVWAGRLPLPVTDGRELFARGLLGVELGPDDVPPFAARPDWCPAFLKASVHQFEGLEDADDVLVNSFHDIEPKEADYMAQTWRAKTIGPTLPSFYLDDDRLPSNKAYGFNLFSSSESCMAWLDKQLPRSVVLVSYGTVSNYDETQLEELGSGLCNSGKPFIWVVRSNEEHKLSKELRDKCKEHGLIVSWCPQLEVLAHKATGCFFTHCGWNSTLEAIVNGVPMVAIPHWADQPTISKYMERMWCIGIRVRKDEKGLVTRDEVERCINVVMDGERKDQYRRNAAKWMRRAKEAMRNGGSSDKNISEFAAKYL >Dexi5A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:5A:5743041:5744692:-1 gene:Dexi5A01G0007740 transcript:Dexi5A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETDKSMPVSHCGRNKLLNVVPMLLLFSLGFVLGMIYDSKFPNFYLPFIPPMSSPTLSQPSPLPPVPSPPPPLQPMPPPPSPPPTESPPPPPPQVGAMRFFLPNSVMHNMTDEELFWWASMAPRVRNTPYHRVPKVAFLFLTRGNLPLWPLWETFFTGYDGMYSIYVHTDPSYTGSPPKESVFYGRMIPSQKTKWGDVSLVEAERRLLANALLDLANERFALVSESCIPLYNFTSVYTLLTGSSTSFVDSFVNHDSEVRYNPFFADHANISLAQWRKGFQFFEMDRALALEVISNDTYLPAFRDYCAAVPGCLMDEHYIPTLLSLVGWKHNANRTLTFADWRMGGVHPRTYGKGDVTEALIREIRGGAGKNCTYNDGANGTCYLFARKFAPDALEPLLSLAPKVMGFG >Dexi9A01G0047500.1:cds pep primary_assembly:Fonio_CM05836:9A:50610411:50611061:1 gene:Dexi9A01G0047500 transcript:Dexi9A01G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAGVAKDDASSAAPSAASNTSEDTLRAPAMEFAGALPMPRRATELNATGIMLARCCACMLIPASTNARPDLASAPSAAVVGSPARAAPDSSTTRCLFSSISTASWWAPRSAAALAAAMSSAASTRTRSLSTSIDRSAAAPPTCSGLATTVSSAVVTFTPPPAASRRVVPASSPSVAGGTDKNSKKRRSSSA >Dexi2B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:2B:6702518:6703464:1 gene:Dexi2B01G0006750 transcript:Dexi2B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVDSEFKDIVRAVDEARKNEEGAFQRLFSKPYRHYLVMGVAISIFYELTGLTVVSIFLPVLFRTVGFSSQKAILGSVINSLVNLVGSSLSSMLMDSTGCSFSASWAPVRWAVTSEIYPVEVRSAGQAMSISIWLCLTFTELQVFIKMLCTMKYGVLLFHAGWLLVGIIFVAVFLPETKGVPLEVMRSVWIRHWYWRKFAEEDMIYSQDTTNSNL >Dexi5A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:5A:5487423:5488136:-1 gene:Dexi5A01G0007390 transcript:Dexi5A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSETSEPEHPPLCSPKPCGNLNITYPFWLEDPGHPPCGSPPFQLKCNTSGAFLTHSMYQAYRVISIFPQNKSLHVVDENLPLAAGCPAPCFNLSLATIGLGAFAVSKANSELRFLSKCDVETLPEVLPGFRRLNCTGDDSFGSSSVRYRAIPPGCLVSVVPVLPVLAADRHDYVASMRRGFLLEWTAVSGDCSRCTASGGECMYPDNGVGFSCNCPDGIHYPTSCGEL >Dexi2B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:2B:12021234:12021547:1 gene:Dexi2B01G0010590 transcript:Dexi2B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFCSIPHAHRHAARDNTGTIASTHPAHGGPPPPPPARRDGVVDLGAAVERRLRHVEALPPGDGARSDHATSAHCSTAPS >Dexi2B01G0010590.2:cds pep primary_assembly:Fonio_CM05836:2B:12021557:12022175:1 gene:Dexi2B01G0010590 transcript:Dexi2B01G0010590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSSAGNLETSLSTTALFLIPTGTARRLFLGFGGVVAADSCCLVRCSGSPASEGSISRLVVAWMMPLGNGSSQPLVDDERRLLAQRFLVLGTFVDSTVAASEERSILRGGTRIRTDVLLVAVAAPAATTRISATQTKAAAEPILRMDKQPLPFEEDKAEPMAACNGIGREE >Dexi5B01G0028770.1:cds pep primary_assembly:Fonio_CM05836:5B:30085997:30090107:1 gene:Dexi5B01G0028770 transcript:Dexi5B01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAGTVAVALVLLAAAAAATASPAEGFQPLSKIAVHRTTIEMQPSASVRAKTLLLGEQYQYANYSANYIYWGKGSIRFRLINQRYDFAFALFTGSLENPKLIAVSKPISFKDPKAPVFPRLAQGKSHDEMAVTWTSGYDISEAYPFVEWGAVGGGSGQLARTPAGTLTFNRGSMCGEPARTIGWRDPGFIHTAFMRDLWPNKEYYYKIGHELQDGSIVWGKPYTFRAPPSPGQNSPQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVKDLDNYDIVFHIGDLPSANGYISQWDQFTAQVEPISAKKPYMIASGNHERDWPNTGGFFNVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEQCLATVDRKHQPWLIFAAHRVLGYSSNDWYAMEGSFEEPEGRESLQKLWQKYRVDIAFFGHVHNYERTCPVYQVPAHYSGTMNGTIFVVAGGGGSHLSSYTTAIPKWSIFRDRDYGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTIDRDYRDVLSCVHDSCFPTTLAT >Dexi1A01G0026730.1:cds pep primary_assembly:Fonio_CM05836:1A:32581890:32582192:-1 gene:Dexi1A01G0026730 transcript:Dexi1A01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPVLGELPLGPCSNRQGSSPALHFSPLGSKLYVSSSQVIVPKWPVTGMRLYLEGKKHNR >Dexi7A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:7A:20137717:20138093:-1 gene:Dexi7A01G0008940 transcript:Dexi7A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPTSLQQQGHNWVRLWLKVHSLWAPSAGRSAFYAGFGDIAERWQYVQTYVFSERLAYAFLWDIFLYSIFQPWLIGDNIQNVKADTVEFVNVVKFVPVVGLVAYLLCLEKEE >Dexi8B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:8B:11453781:11456436:1 gene:Dexi8B01G0008520 transcript:Dexi8B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWGWSLLCHKPNKQVASESVHGAELALERLHCRRRCETCDVEAGKPCMCGGGRVAVDEGHSSKPNSSFAHSVINMVGMLIGLGQLSTPYALANGGWASVFLLIGLGVMCAYTAHIIGRCLADDPGSKTYQDIGEQAFGVRGRVVASAFIYLEIFFALVSYTISLSDNLPLVFPGAHLHLPWLHHRLGTTTQLLTVIAVLVALPSLWLRDLSSISFLSFAGIVMSLLIFACVVCAAAFGGSTVTMGERIIPVLRLERIPAVSGLYMFSYAGHIVFPNIYTAMKDPSSFTKVSVTSFSVVTALYVALAFVGASLFGPSVSSQVTLSMPPRLAATKVALWATVLTPMTKYALEFAPFAIQLQRHLPAAMGSRARMLVRGGVGSVALVAILVLALSVPYFQYVLSLTGSLVSVAVCVVFPCAFYLKICGGRVSRSTVTLNVAMMVAGVVLAVAGTISSAKSLVQSIQRGHAE >Dexi9B01G0046810.1:cds pep primary_assembly:Fonio_CM05836:9B:46008550:46010867:1 gene:Dexi9B01G0046810 transcript:Dexi9B01G0046810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWSWLCCGRSKAAGGAAVQLPEPFQLPAPLPAWPQGGDFAKGTIRIGELDVVNITKFRSIWSCSGASFYEPEGVSEGFHCLGHYAQQNGRTLLGFLLVAREVASHLLINSKPALEKPLDYSLVWTNANLSEDDNSECGCLWLPSPPNGYKALGYVVTKGPKKPSLEAVRCVRDDLTDTCENFRSIVSMGNACQIWETRPCHRGARGHGIPVGTFSCETDSTESKKSGIPCLKNLDSNLRAMPNLEQINALIKHYGPTVFFHPQETYLPSSVSWFFENGATLHKKDKEGGDAILPGGSNLPAGGTNDGEYWIDLPDGKRNEYVKVGNLKSAELYAHVKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRVSNFSGELSSIYFSQHSGGEWVDACNLEFISGNKAIVYSSRNGHASYPHPGCYLIGSEKLGVGVRNDVARSDLSVDSSQQYKIISAGHLGDAVVEPCWLQYMREWGPSVTYNSRSEIDTVLSFLPFFLRFTAEAIFNSLPAELYEEEGPTGPKEKNNWEGDERG >Dexi5B01G0036410.1:cds pep primary_assembly:Fonio_CM05836:5B:36110302:36110814:-1 gene:Dexi5B01G0036410 transcript:Dexi5B01G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDCKWRQIPAFGDWNLWEDMPVTQYFQAGPFFFTAPVDKVDDDEDLFKVPQFPATPYSYKKCVVRLKGEKASGAPARKKGGRRQYVNEQQKWKPKGAVDEDLYKISPQLLCKVKKASTIPCDSSLLPH >Dexi6B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:6B:9367237:9367554:1 gene:Dexi6B01G0007840 transcript:Dexi6B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCNGDTCVELAVHGNGACWELMAQRRRSCGAVSQRYTQAEHDMATMAKEQIRAAVGGARPLHGGGGGGACRLNQQRWRARVMRVANAQRQAGERRLGREEVRV >Dexi5B01G0025480.1:cds pep primary_assembly:Fonio_CM05836:5B:27476640:27479844:-1 gene:Dexi5B01G0025480 transcript:Dexi5B01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQVALAVAAEAVPVAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGEAEEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVFIWDRLRNWLKTAKNLCSSDEAKEFRLDSLEDEITALQNEVSGDYHWVGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSAEPHILDYNKYPDIEEQKRFVKTYLSISGEEPDAEEVENLLQSIEKYALASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKTANLQS >Dexi9B01G0037620.1:cds pep primary_assembly:Fonio_CM05836:9B:38967543:38967776:-1 gene:Dexi9B01G0037620 transcript:Dexi9B01G0037620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPRPKPSPYPSLRWCPDSDPDDDGGRWRAAPNEWQQVASARAAVYSALAPVPLASGPGELPSRPPSATPTPHTL >Dexi1A01G0030730.1:cds pep primary_assembly:Fonio_CM05836:1A:35893931:35900171:-1 gene:Dexi1A01G0030730 transcript:Dexi1A01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVRPPALHICAVSGGGSGAGKEKWATQRSWWGGNKPSLPRQPRGNGGRGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDVFWLRFLEKEQQQRKQPKPSQQQMVVQQEEDPPREAPAFPPPAYPPGLSCVELMAADFQALTVYARSAKHSLARRFLGSKGQSKSERQPKPKPREQQKDQPQQILQPPAFPPPSYPPGLSCMELMMADLEALKMYINYYSTILTTPLPQHYDPDLLAQYFASRPHILAFRTIQILFAFVSAVVKMQISKRSQLNADATYSSDNTSNGFDASQYMVGKLLKEMFLDLGPTFVKVGQSLSTRPDIIGSEICEALAELHERVPPFPREDAMEIIEEEFECPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLFTFYNLFQLAFIRKIANRRSNISLYADELGRGFVGELDYNIEAANATKFLEVHSRYPFMLIPKVLKQFTRKRVLTMEWVAGENPKELLSLTKGVSKKVTEALEKQKLEAKSRLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGLVGFLDFGLLCVMERKHQRAMLASIVHIVNADWASLVYDLTQMDVVPPNTNLRRVTMELEEALGEVTFEEGIPEIKFSKVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAVAADGNFKTFQAAYPYVLRKLLSDNSLATRRLLNQAIFNKKKEFQWQKIAAFLKLASARGNFKYSSGALPEADTKDVNIASLVEISGSSLLDRAAATPERALHTANLCVRLVLSKDSVVIRRLIMTANAKSLARDLISRDALMFRVLLRNVIADVVCQWMLKGTGFKRTDETRIKTSMTTGKNEGHLMPSEEESSTLMALQAAVRDRRMQVIFSKLVRELREEPVLMVRVGWSVIVVAVTSAAVGLHRFLVFLSEKYWPKLLTPVPPPRQVKLQTL >Dexi7B01G0020430.1:cds pep primary_assembly:Fonio_CM05836:7B:25745361:25745739:-1 gene:Dexi7B01G0020430 transcript:Dexi7B01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGAFDGVHSHSTFRYKHRKRSKVWEEYKPVFLNGKVQFAECLYCQSRMSCKDSNGTSHLWRHQKICPGKEDAARRRLKDAYFPCAWCRLS >Dexi1B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:1B:9065570:9066494:-1 gene:Dexi1B01G0009730 transcript:Dexi1B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPLIFLSGPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCIHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKESNANHAEEALRRAKFKFPGRQKIIRSRKWGFTKFNRAEYLKYKSEGRIAPDGVNAKLYGVHGPLSKRAPGKAFLAENIKASS >Dexi3A01G0031710.1:cds pep primary_assembly:Fonio_CM05836:3A:36078221:36078535:1 gene:Dexi3A01G0031710 transcript:Dexi3A01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAKLSAEKAVVIFTRSQCPMCHTVSSLFSELGVSAAVHELDKDPRGCEMEKELTRRLGRAPPVPAVFIGGKLVGATDRVMSLHLAGSLVPMLKGAGAIWL >Dexi5A01G0035270.1:cds pep primary_assembly:Fonio_CM05836:5A:37102023:37104785:1 gene:Dexi5A01G0035270 transcript:Dexi5A01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRGGGRLLVLSSLLLLASAEVFFEERFEDGWGSRWVESDWKRSEGKAGRFKHTAGRYSGDPDDKGIQTTIDARHFAISAKIPQFSNQNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHMYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKRPKDWDDREYIEDPDEVKPEGYDSIPKQIPDPKDKKPDTWDDDDDGIWKPRMISNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPVKYVGIEVWQVKAGSVFDNILICDDPEYARKVVEETVVANREAEKEAFEEAEKERKAREDSVSMKRWN >Dexi4A01G0019360.1:cds pep primary_assembly:Fonio_CM05836:4A:23169532:23171589:1 gene:Dexi4A01G0019360 transcript:Dexi4A01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATACRRAVSSYKLLGPPPEFFRVAAARTATPTVGDNADAPPSPSAAASDKYKHLVDATFYTPPPDTTTPPPPDTTTSPPAKTRTENNSPTFSSSGDPCLDFFFHVVPDTPSSSVASRLAAAWAAEPLTALRLACNLRGVRGTGKSDREGFYAAALWIHGHHPSTLALNARAVAQFGYLKDLPEILHRIIHGGVPTTGPRRLTASSSSHVSDSDIDQWSEVDSDDDDESQAKESDADGDGTKAEEDGGAAAGEEEEEKKRKAEEEEGGGGGERAAQVFADLLADDMKKLADGKLNDLSLAAKWCPSIDCSYDRSTLLCEAIAGRLFPKGSSPELPMDLKDRYYAYRVRELLRKQALTPLRAALKLPEVFISNRDWVNVVYTRVASVAMQNYRELFLEHDAERFGKYLEDVKAGKAKIAAGALLPHQIIASIDDEGVADLQWERMLSDMRELGKLGNCMAICDVSGSMHGEPMDVSVALGLLISELSDEPWRHRLITFSRRPELHLIRGETLMEKTDFIRRMQWNLNTDFQAVFDKLFGVAVEGKLPPEKMVRKLFVFSDMEFDQASSKPWETDYEAITRKFTEAGYGEAIPEIVFWNLRDSKSLPVTAGEKGVALVSGFSKNLVKLFLDNGGIVSPRVIMEKAISGPEYQELLLYD >Dexi5A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:5A:3339302:3339601:1 gene:Dexi5A01G0004400 transcript:Dexi5A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEMRYPRRAIDDRRRGVLLDSGRALMLLGALVLITCRRLLAGCNNAEHVLAGFALWLLGVGLATLSLVAGQFPRLAAAAAAPLATALRGYLLGGL >DexiUA01G0027250.1:cds pep primary_assembly:Fonio_CM05836:UA:58355536:58355877:-1 gene:DexiUA01G0027250 transcript:DexiUA01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATASVDTATDNLIQKTLRQQFSEATVITIAHRITSVLDSDMVLLLDNGVAVERDTPAKLLEDKSSLFSKLVAEYTMRSTHT >Dexi1B01G0026100.1:cds pep primary_assembly:Fonio_CM05836:1B:31017110:31018791:1 gene:Dexi1B01G0026100 transcript:Dexi1B01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVLRLPNSELAERRHGFMDNPTDARYSAQRRDWRSARLASFIVHVLGSWRYAAASTAHATDASSPIPRALPSPACFLHADAGAGGRCTAFAQALGSDAWARPSPDPAVLPTW >Dexi8A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:8A:21895377:21895638:1 gene:Dexi8A01G0012460 transcript:Dexi8A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKTSWPEVEGLPGDVAKQKILVDRPDVHVDVLPVHVNFLPVGSIVTDDFDIKRVRVFVNRKGNVAKGSWYAEDD >Dexi2A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:2A:32823560:32827909:-1 gene:Dexi2A01G0020670 transcript:Dexi2A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLINTRGVTPVIGNTRFSKVLKDGGSTLNILYAPTLELMGISTSELRPNKSSFHGVAPGKRVQTLGQIDLPVCFGTPANFRKEVLTFEVVGFKGAYHAILGRPCYAKFMAIPNYTYLKLKMPGPHGVITVGPTVEHAYECDVESIELTEALALDETLVADLEAIVNTPPDANERQPGSFAPAEDTKTVPLNPDSHDSRMLREVVLVDCLRAHADIFAWSPSDMPGIPREVAEHSLDIRPHSKPVKQRLRRFDEVKRRAIGEEIKMKESDQLATSFITPFGMYCYVTMPFGLRNAGATYQRCMLHVFGDHIGRIVEAYVDDIVVKTRKADDLVRDLEVVFSCLRAHGVRLNPEKCVFGVPRGMLLGFIVSERGIEPNPEKVTAIQQMEPIRDLKGVQRVMGCLASLSRFISRLREKGLPLYRLLRKSEHFAWTAEAQEALDRLKTALTNTPILTSPKEGEPLLLYVAATTQVVSAVIVVERTEEGHTLPVQRPVYYISEVLTETKTRYPHVQKLIYAIVLARSKLRHYFEAHPVTVVSSFPLGEIIQNREVSGRISKWSTELMGETLAYAPRKAIKSQTLADFVAEWTDTQLPPSKSSLDCWEMYFDGSVMKTGAGAGLLFVSPRGEHLRYAVRLNFPASNNMAEYEALLAGLKITLELGIKRLDIRGDSQLVVDQVMKESSCHDEKMVAYCQAVRNLEDKFDGLELHHIARRYNEDADELAKIASGRATVPPNVFTKDIDGPSITVATSARASTAPDTRAAALDPTSVELLVDEDEPMGYEDSSEEEDKAEAMEIDEAPAPRDWRSPYLDWLDQGVLSNDRTEARRVARKAKRFLIIEGELYRRGTSGVLQRCIPIPEGKELILYIHAGVCGHHAAPRTLVVNAFRQGFYWPTAVADATEVALQTIPITWPFAVWGLDLVGPMAKASGGFTHLLVAVDKFSKWIEARPINRIKSEQAVLFFTDIIHRFGVPNSIITDNGTQFTGKKFLKFCDDFHIRVDWSAVAHPQTNGQVERANGMILQGLKPRIHNKLKKFGHRWVQELSSVIWSQRTTPSRATGFSPYFLVYGAEAILPTDLEYGSPRLRAYQEQQNCQAREDLLDQVDEARDVALLHSARYQQSLRRQQARRVRHRDLCKGDLVLRLRQDNRGRHKLTPPWEGPYIIAEVLKPGTYKLADKDGQILTNAWNIQQLRRQGHLSRVFAYASRLRQHLTSLRLMTFSSRGTSIGHNACREDTA >Dexi3B01G0032900.1:cds pep primary_assembly:Fonio_CM05836:3B:35338264:35341852:-1 gene:Dexi3B01G0032900 transcript:Dexi3B01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISVSPAPAAAAVARPPTLVCVPATARAPREMAAELAAAAALGADVAELRLDRLAGFAPRRDLPVILADPRPLPALVTYRPKWEGGEYEGDDEPRFEALMLAMELGAEYVDIELKVADKFIGLLSGKKPDNCKLIVSSHNFENTPSTEELANLVAQIQATGADIVKIVTTATEIVDVARMFQILVQCQEKQVPIIGLVMNDRGLISRILCPKYGGYLTFGSLEKGRESAPAQPTAADLINLYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFVGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTMVRRPDGKLVGYNTDYVGAISAIEDGIRATSSQPTDPTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNETPLSKQALTSYAVVFDAVYTPKETRLLREAAECGAIVVSGLEMFIRQAMGQFEHFTGTPAPDRLMRDIVLAKT >Dexi1A01G0026810.1:cds pep primary_assembly:Fonio_CM05836:1A:32646009:32646278:1 gene:Dexi1A01G0026810 transcript:Dexi1A01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATRVRVPDPHPKRPPACRSIWKDRSGGARRGGARGGGLKDGCVGSNRNLRRPRAPSGSERAIQGGGRRRAAVALPLLLACVVATGE >Dexi8A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:8A:24717896:24723664:1 gene:Dexi8A01G0014270 transcript:Dexi8A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAEDEQPVDVNEQQCQEEYARSRIVLAKLLMLTSLLDDTFDEYATLEECRVLTKALERWDESDVSLLPEYLKKFFLRVIENFRDFDELLEPHEKWRNAYIRSVYQGICKSYLQEAEWSHRGYIPSFHDQVNLSVMSAGGELVAIGLLFGLGDIATKEVFEWAIQNSLTVTACGEVSRFMDDLADFKRGRNKMDVATSVECYMKENNVTSEVALAKVDSLVDHAWKTLNQELFDHRDILSMVNQITNFGRSMMFLYHDKRDGYTNSEQVKDALESHYVKPILI >Dexi7A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:7A:20112582:20113218:1 gene:Dexi7A01G0008900 transcript:Dexi7A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLMGQNLSGLGVKELQNLENQLEMSLSSIRTKKDQLLVDEIHELNRKGSLIQQDNMELYKKVNLIRQENVELYKKLYKKEAAGEINQDSTTPYNFAVVENTNTPIHLELNTPPQESDIEQPAPPKLG >Dexi5A01G0034290.1:cds pep primary_assembly:Fonio_CM05836:5A:36400338:36403273:-1 gene:Dexi5A01G0034290 transcript:Dexi5A01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLPLLALLLLLFHLPSSLASHHHHHRSPSPSPPSPSPSPAVPPATTDSAPLAVLLACNATRFQPACVSTLSTASAGADASTSDLLAATLAALRARIPPAASTAKSILASSSNVNLSNAATNCLTFLSLSSHRLSPPSSPSPSLLSASTAMLHLYDCWSAYKYVNSSRAISDAMAYLDDTIAVNSNYISMLAARRRYGDDTSLWRPPQTERDGYWPPVAAAGKTASEADVDALGVPRGMDANATVCAAGCEYKTVREAVAAAPDYGEGPFVVRVKEGVYRETVSVPWEKTNVVLVGDGMGKTVITGDLNADTPGVSTFNTATVGVLGDGFMARDLTISNTAGPDAHQAVAFRSTADRTVLDSVELLGHQDTLYAHAMRQFYTRCRVAGTVDFVFGNSASILHATSLVVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLSRCSVNGSDEYLSLYRERPDVHRVYLGRPWKEYSRTVYLRCTLAEIVQPQGWMPWNGDFALKTLYYGEFSSDGPGAGAGRRVTWSSQVPEDHVDVYTVGNFIQGQEWIPKA >DexiUA01G0005580.1:cds pep primary_assembly:Fonio_CM05836:UA:10092585:10093543:-1 gene:DexiUA01G0005580 transcript:DexiUA01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSTPPFLIPSLLLLLLTWLLSRVLSSWHPKNAGGGVHHRRIPSPPAFPIIGHLHLLKKPLHLSLAALAKRYAGDGGLLHLRFGAKPVLLVTSPSIADECFTVHDVALANRPGLASRRLLTDDCPSISTSNYGELWRHLRRLATVHALSAHRLAATASARDAGARAMAAKLYRAGEVSTVSVKAMAYEFVANSVVRRSGSRMARARVFRTDDFN >Dexi1B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:1B:25192973:25199152:-1 gene:Dexi1B01G0019060 transcript:Dexi1B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVDEGDSPQTSPRFSKTSSLKWFLLSLPRTNSDVSRKGQGEGPGRCPSLMDLCVARVCKDIDKYSSFMMLPRDLSQQIFNKLVQSNCLTEASLQTFKDCALQDICLGEYPGVNDAWMEVVASQRKSLLSVDISCSEVTDGGIDLLRDCSSIQSLSCNYCDRISEYGLGMLSDLTNLKDLQLSCCRITDLGVSYLKGKQDRKFLFCRCAFGLFSCLYLSNQKLNKFYVYHFFPEGLSKLTHLNLEGCTVTAACLEAISGFASSLSVSCVPPRLASLISLNLSRCGIYDDGCENIEGLKKLKVLNMGFNNITDACLVHLKELINLESLNLDSCKIGDEGVQYLKGLVLLQSLELSDTEVGSNGLQHLSGVSRLLTKTFNLSSFFSCSIESTLYIHVDWDFGYSFSAGLRNLQSINLSFTSVTDIGMKKISTLSSLKSVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDYGTNCFRCFKNLKSLEVCGGFITDAGVKNIKDLKALTLLNLSQNVNLTDKTLEMISGLTALVNLNVSNSRVSNAGLKHLKDLQNLRSLSLDSTRVTANEMKKLRATTLPHLISMRPE >Dexi4B01G0017760.1:cds pep primary_assembly:Fonio_CM05836:4B:20154480:20154823:-1 gene:Dexi4B01G0017760 transcript:Dexi4B01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEESSWSWSTGRDRDGKEDADESYEERERTRAAVTEGEKLIGLQNAPPNPLLLEKDHKNASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >Dexi5A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:5A:6821108:6823994:1 gene:Dexi5A01G0009100 transcript:Dexi5A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRHTASRIRVEHETISRRQTDEPCLYIGAAAPPTHETCGSLPTISSSLFYSAARLSPPQNPRRSGWLVERPWRSWCSTARAEPERKPRHGARAAARSPTKPELGRRQLAVRLLLAACLAAPVLCICLQRHQGRTRRPPLSRLASGVGEAANMEPRLQPRGNGRRRCLRPSRAQTPKPETSKRPGMAQPQETSAGPRSSSAGRYGGHHGSPYWWLRH >Dexi5B01G0039960.1:cds pep primary_assembly:Fonio_CM05836:5B:38603219:38607140:-1 gene:Dexi5B01G0039960 transcript:Dexi5B01G0039960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAPLARAFRARASATATATAPTSRRALLGLSEAELRQLALDHGQQSYRGKQLHDLLYKSRARQVQEFNYVPKAFREALLGAGWTVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDNKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLRPHEIVEQVLAIEEMFKHRVTNVVFMGMGEPMLNLKSVIEAHRCFNKELKIGQRMMTISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLGALMDDCKSYFLETGRRVSFEYTLLAGINDEKEHAEELAELLRTCGGGYHVNLIPYNPIEGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSEPSLVPA >Dexi8A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:8A:1540092:1543658:1 gene:Dexi8A01G0002320 transcript:Dexi8A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLFYDWNESTAILTSASLVRNSSDENKINENLRIEVLLPSMERKEGTLEHYNLHYNVALVSVKDRHHLRAVNPRLSMSLISHVAAVGRCFQSGSLMAMSGRLVSWSGTLDCDFLVRSSCKITKAGIGGPLITLDGDVLGMNFYDKKIGTPFLSWRHICKILASFEGKSNVEVCDGSDPSGGPFWKMPSDATDRFNRWPVPMPYWCLPKDKPEVHDDGDVFTVGYIMGTRTMLC >Dexi9B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:9B:9995731:9997225:1 gene:Dexi9B01G0014920 transcript:Dexi9B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLLVSNQIARPTAAAINNCTRRGLRTDSPASILAIGTANPPNCVRQDDYADYYFRVTKSEHLSNLKDKLKRMCHKSAISKRYFHLTEEMLDHHPEFTDRTSPSLDARQDIMSTAVPELAAAAATEAIAEWGRPAREITHLVASTYSGAHMPGIDFHLANILGLSPSVRRTMLYMNGCSSGSAALRVAKDIAENNRGARVLVACAELSLVLFRAPHQADADTLIMQALFGDGAGAVIVGADPVSVEQPDFEMVSASQTMIPESKDMAKGRLREDGLLFLPSREMPTLVRENIEQCMVDALSPLNIGCGGWNDLFWAVHPGGRAILDSVEAGLGLDPQKLAASRVILREYGNMSRPSVIFVLDELRRQQEENEMGVMLGFGPGVSVETMVLRATGSQKKI >Dexi1A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:1A:24148776:24149607:1 gene:Dexi1A01G0016870 transcript:Dexi1A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWMKALCRAECGSLPLLYALCRAECGSLPARSLAGTSHLDQHHVCRIHPTSSELQKYTCMRVGVWPAIHARTFQESKDPTLQCELRHEDVTMRMAPKKPLHSMTGRPLLDPRGLASKHRLRWWTRA >Dexi1A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:1A:19485416:19489370:1 gene:Dexi1A01G0013860 transcript:Dexi1A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAPAAEEKASANAAAAAAKGKEKAEIAPPELGRGRFVAYPAPVAEHADVVADSSRFRVALERLHVHMGTRLKVPIIGGKDLDLHQLYKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYLFRMQGWYQQDIDSITNSSIEGKTEAQASNKRKRGINASPSDPASSSDNVDVDVIIDGKFEHGYIVTVIMGSKSTKAILYNCTEEPVMPTPVPPVASNTTDLKGGRRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGQDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYQTQLAAYKEELRTGQPISNAVPIKQRLPQTEVTIDEVDSKVSEGDILLSNQGYSSSDESEHSGEKTVEDEELNTDTSPEMSMETTGSPGHPDPAADGDRFELRRRENSKADEKQNVPPDS >Dexi1A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:1A:1987023:1989409:-1 gene:Dexi1A01G0002780 transcript:Dexi1A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSYNEHMAALRRIGVRGVEVRKPEQLLGVDSLIIPGGESTTMAKLANYHNMFPALREFVGGGKPVWGTCAGLIFLANKAVGIKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPKLAEKEGGNDTCRGVFIRAPAILEVGSDVEVLADCPVPANRPSITISSGDGAEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRYFLDMDKESHAKAFSALSLSSSSIEAEDLPKNKPLDLPIFE >Dexi3B01G0037700.1:cds pep primary_assembly:Fonio_CM05836:3B:40458027:40458869:1 gene:Dexi3B01G0037700 transcript:Dexi3B01G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTTEKETSQGWNVVASRCDIAVSAASLSNSSSHATATMVGAGAAAAATASSSSMLAGVAAAPAAGSASFRVWFLGGRWARRSELSGDIFGPFQQTSTNTFASASGDPSGDRGAGGGGRPSARRTTSRTRKFRGGGGRGHRIIFATITGGQRTLLLRRGRKKLAFRQETARRPHLPTTSNRNPTPRQKDRAPRPIAANNLGSAPRTPSEDLISAPKSARSGLESGEEDGSRSDFGGGIGIRGADLCRGGSGRELRRTRGVIGTGIYEERCRHATRRQE >Dexi2B01G0026960.1:cds pep primary_assembly:Fonio_CM05836:2B:35982774:35984517:1 gene:Dexi2B01G0026960 transcript:Dexi2B01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVGGGQAVDAYRKALATAASAAAYAVMARGLARELLPPELRAAARWAASALSARLGRGKPARRTLVVRSQQQARGGGGGAEENLLFDAARAYLASRLDPRVMGRLGLTLARTRDRDGRASWRRVFFLEPGDFAVDVFEGVQFKWACVEAPSSGRDTEKDKKGEPGTGGDRNFVLELSFDAEHTDVATDRYVPFVMEAAEEVEQRDRKLKICMNEGRIWYRLSHHHPATFDTLAMDQELKRSIVADLDLFASRRDHYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANHLRYDLYDLDLSHVHFNASLQWLLVGMPNKCILVIEDIDCCCDAMSRADDKSTPRAGDGGGSDDDAGNGTASDSDTPPPPAKSKSKSKNDQGMEGITLSGLLNFIDGLWSTCGEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLAHNYFLVDDHELFPEIQALLAEVEVTPAAVSEMLLRSDDAGVALRGLTEFLQEKKKQRAAAADGGKQISEEEEAGNKA >Dexi4A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:4A:2413177:2414082:-1 gene:Dexi4A01G0003320 transcript:Dexi4A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSLITKIGFAVLACNSALAIRNSRGDVASVAFVLAADAALVLLFLGLRRFDRGGGDGGGAGRMIMDRQYSALAMVGLSVLNCTMALDAYASGPGSTAWVFISYVVLVFVVFKSIWIDWITVRSCPRILRPRHNGGDTHLAGRERPDADTVAFLCLCLRRFERAGGGRSTKAAVWALTTLLTAMFASRVAPLMPQAVAAIIWALAAATVAAGLWAFFLA >Dexi5A01G0036920.1:cds pep primary_assembly:Fonio_CM05836:5A:38316522:38318659:1 gene:Dexi5A01G0036920 transcript:Dexi5A01G0036920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHDKDPGFPKKMFLASPTKAKAAPGKGGGGATLVGDAFGDLKSKAEAEQQRAGFGPKSTDSGSKDEVFFESRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMANIFQPGNLDKIKSPEPSPTGRRKLAELLQEAMHSDPEENTDVSKNEKQQLQSVAADGKLVSESTSSSACSTEPTPTTVARSRKEKAWYTGRCCLPSFVHSLSLDESERGHKMSSRPCAV >Dexi2A01G0019490.1:cds pep primary_assembly:Fonio_CM05836:2A:31672996:31673940:-1 gene:Dexi2A01G0019490 transcript:Dexi2A01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPAEIVFECGHFRLHSDGHVERTGGMEFVPAGFDANTGVTSKDILIDAATGVSARLYLPAIQKEATATAKLPILVIFHGGYFVVGSPGCPNFHRYVNSLVSKAGVLAVSVDYRLAPEHLLPAAYDDSWAALNWAVSGAAADPWLSDHGDLGRVFVAGASAGANIAHNMAVAATPPALVERVEGVILLHPSFCGEQKLEDEAEEFWRDNKKRWAVIFPAARGGTDDPRINPMAAADLLAKLAGKRLFVSTASEDPRASRGRAYCEAVRASGWPGKVEWFESKGEGHAFFVSYHSSHEAVALMDRVVAFVAGH >Dexi1B01G0027690.1:cds pep primary_assembly:Fonio_CM05836:1B:32383007:32386122:-1 gene:Dexi1B01G0027690 transcript:Dexi1B01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFARLLRPALPVTSTFPLLFRLAAVRHRSLDAFAGMAGAPATLSAPAAQIGGFLSKKPYAPPSWATHLSPMPSHTFSLGHFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAARYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVTKGLGYAMNTAEELKFVKDIAATTGIVLDPVYSGKAAYGLLKDMAGNPAKWKGRKILFVHTGGLLGLYDKADQLSSSAGSWRRMDLGDSIPRKDGTGKMF >Dexi3B01G0018220.1:cds pep primary_assembly:Fonio_CM05836:3B:13509140:13512301:1 gene:Dexi3B01G0018220 transcript:Dexi3B01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAFCNLMLALLVYLALASSVGGEASRRFWIESDTFIKDGTPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPDPQNLEFKGFADIESYLRLAQELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPALKLRSSDSTYLSLMAHGGTNFGFYSGANTGQDESDYKADLTSYDYARCTD >Dexi3B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:3B:8832601:8834124:-1 gene:Dexi3B01G0012600 transcript:Dexi3B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSCLRLATLLAALLSAAASLNVGFYNTTCPSAEAIVNQTVAAAFGNTSEVAPALIRMHFHDCFVRGCDGSVLIDSTPNSTAEKDAIPNNPSLHFFNVIDSAKSALEAQCPGVVSCADILAFAARDSVVLTGGIGYQVPSGRRDGLVSLANNTVDLPPPFFNATQLVQNFANKNLTLEDMVVLSGAHTLGVSHCSSFAQSPLADRLYNFSGTGGADPSLSAAYAFLLKSICPSNSSQFFPNTTTSMDIISPTKFDNKYYVGVQNSLGLFKSDAALMTNATMAALVDSFVRNEMTWKKKFAKAMVKMGNIQVLTGTQGEIRLNCRVVNPTSSAAGVQQVVAGSGSSGSTGVAGS >Dexi6B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:6B:25367004:25367243:1 gene:Dexi6B01G0018390 transcript:Dexi6B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLSTTGRGEETGRGGRTKIAASRKDRVQPGTTSPEREEADDVGLLSPQRRDFDRPTFRNSSRRNGLAWTDNGEDR >Dexi6A01G0015330.1:cds pep primary_assembly:Fonio_CM05836:6A:22753274:22761816:1 gene:Dexi6A01G0015330 transcript:Dexi6A01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSRGSSSGSGRRTWRRRIADYLADDQTDVSDNESFITAHSDEFTASTSAAVGGGGVGGADAGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTAAALYGPTTSSSLATHTPDGGARSLSRCSSTSSRIRRKFAWLRSPSPSPSQRHTPAMAVVSYQQAVREAALAARERRRVQAARLNRSRSGARRALKGLRFISRTTTGSSSSGAGAGDDAGAAELWRRVEERFNALARDGLLARDDFGDCIGMVDSKDFAVGIFDALARRRRQNLERISKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAEEYAALIMEELDPENLGYIELWQLEALLLQRDAYMTYSRPMSSGSAAQWSQGLSAAAGCVAAGDEQHPASSSAAAAQQQQQKSRLRRRWSPRRAAARARVAAAEGWRRAWVVALWAAAMASLFAWRFVQYRRSSAFAVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARFFVPFDDSITFHKIIATAIALGICLHAGNHLACDFPRLIASGPDEYRLVARFFGRDKPTYRSLLAGVEGVTGIVMVTLMAVSFTLATRPFRKPEETRSKQSGGARRWLSLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDRWCQRTTWMYISVPLVLYVGERTLRAFRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPRRAAFGELGAAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSEDSANSFSVSTASSNNKRRAYRTSCAHFYWVTREPGSFEWFKGVMNEVAEMDKKQGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGRPTLAKELRKLSLDMSHKTGTRFDFHKEYF >Dexi5B01G0030000.1:cds pep primary_assembly:Fonio_CM05836:5B:31050414:31051912:-1 gene:Dexi5B01G0030000 transcript:Dexi5B01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGATDWANLGDGPASLIADLVLARDVADYVRFRAVCRPWRRCSLDPHLQCCLDARYHPRQWVMLDKAHVGPRRRFLNVSSGECVRMDLPALEEHTLLSLTPAGLLLLFDEATLAVRLLNPLTQQVADLPPITALLTAELQRARRFGRRLGESVSVSGVGVVSEASAVAVSFSSPMALVVAKPGDERWTLVDNRFFRSTLTFAGRFYCATRSGIMVLDGSNCDQQKQPPSCLLRTAVDWGRSLYFYAMADSLHLVDNGGDLMLVHRMLRRRRNGERRERKYDAYRVDLEAGVLIPAKSLGGRGVFMGLCRTLSVSRDAFAHIASDTLYLGLDFSCGRGASFNVATGTNCEPWEQDAGSYLASDCDEMDGSSEPCCQVERMQPPNILDCLSYCISGTGKDFA >Dexi8A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:8A:24413832:24414062:-1 gene:Dexi8A01G0014110 transcript:Dexi8A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLILTLYIKLPKRGGYCGAIPPYWTDAGGWVGWSLLIEPTACMHGGLHLVLSAASAVHGGGDGDLLSGQLAAG >Dexi9A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:9A:5402130:5404406:1 gene:Dexi9A01G0009130 transcript:Dexi9A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRPAALLVALAAVLSAAWRADALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHSKLNGLACRIIKL >Dexi3B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:3B:9812544:9813002:-1 gene:Dexi3B01G0013650 transcript:Dexi3B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKPRAVLRAAAASLLRPAAATAPAAATHQALPKAAPAPALAERRPLPAAALPTSVSRRAFSSSAADYGKDVDEVNRKFAEAREEIEAAMESKETVYFDEEASVARDAANDALAAFDALLARVPPADADALRRSMGLKMEQLKAELKQLED >Dexi6A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:6A:9731254:9731568:-1 gene:Dexi6A01G0008940 transcript:Dexi6A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWASSEVTSTPSPSPSCHSNAATSLRPAPNGIILISLEPVMSSPSPSPQGRKRSGMNSLGRSHVPSSMPMSYTMTFSDEPLGTT >Dexi5A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:5A:2238637:2241103:1 gene:Dexi5A01G0003090 transcript:Dexi5A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATSAGGGGFRARMEHYLYSGEKKHVVAGIAIFAAVFGVPWYFMTRGAKHQSHQDYMEKANKARSERLSSGQTPALKE >Dexi3B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:3B:1205473:1206504:-1 gene:Dexi3B01G0001690 transcript:Dexi3B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSSVSCAPTYAMLAATTTSTQLPASSSSSRPRVSTLLYLNHGRGRARGADLRCRRRLLTARGERPSHDEDEEDDDEVAGFDAAVALFNRGEYHACHDVVEELWYAAEDPVRTLLHGLLQCANHRGAMMELGEGLCKLRKLNLDHDDNPFSLFRDDVSSVLQFLYRTQKELAACTDDMCLTMNGSPTSYQLLGDFAAGRQLYRLKAAADTGGGVSMSIIFSLSDDDRASEPAAPHTVVKLPTLHATEQNLTDLQRAYEFM >Dexi8A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:8A:3959227:3963981:-1 gene:Dexi8A01G0004560 transcript:Dexi8A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWKRKSSDKSPGESDSSGSASSHSEPYFDDQEVKPVSSISSPNHSPSPEVSTRMMTGDETQEAETEKPLNEKILLATILNDSSPQHVQSPQPEVSPNVEDGDVQDSVKSLNEKVSPKVQDDDVQDSVKSLSEKLSSALMTINAKEELVKQHTRVAEEAVAGWEQAEAEVASLKQLLETASQKNTSLEDQVSHLDDALKECVRQLRQALEEQDKKIRDAVAKKSKELESEKSDLQNHIAELSKQLEATKVEATAVHVQRNLQERLHIVEKENKDLKFELLTLSKDLKVLARERDLSNQAAETASKLHLESVKKITRVEAECLKLRHITRRASLVNDSRPIANSACMESQTDSQSDSGERMLVVDAEMKNSDSWASALIAELDQFKNGNSGPRNLVNNAVEIDLMDDFLEMEKLAALPEVDSVSSKSVGETDSDQSVSRDKSSKAETESLQRQVADLHGKVEKIEVEKRELEMALMDARDQLGTSCDALTVANNKLIDLQMQLDLANESKHAALGQVERMDGERKDLVLQLESKSAQVEELQLMVASLEEKVDRKDLELQLELISAEAADLRKMVASLEEKIDAERTLSMQHKENADIAEASKELLEAQLQSANVEIGKLKGIVQNLESEMQNEKVSREGLVKQIETMKIESERSLSSVSAKDSLEAQLQIVNSEVAKLHGTVNALECDAAKEKAYSSDLQMQLEAVEGIRKVLESELESSHQETMKLREKVSSLETRLKDQTSLLVEFTAKSEDAVSRRKSMEGQLEAANLELIKLRNKVSLLQGKVEQEKLLSEEYEAKCRKMEAQLSKDSREAKLWRLANSNGDLKVKQEKDLTNAAGKLAECQKTIANLGRQLKSLTDLDDVASEPEKLESKDTHLDFRDGDDDLLSVDMADGMYELGPPQRTGSHFSPIRPKPSSSPPQGSPVFSGTLTSFSSYLSKTKK >Dexi7B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:7B:2293274:2294938:-1 gene:Dexi7B01G0001350 transcript:Dexi7B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVEIAAFRVWRKKMRWGGEEKTGGGYRCPTTIVPFFGDQPFWGDRVHARGLGPQPIPVDQFSLEKLVDAIKFMMEPAVSYDIFGLESTNCFIDGAIFPLNTEIDNGNIVKEKAVELAKAMESEDGVSGAVKAFLKHLPTKTEEPSPPQTSSFVEFLGPLSKCLGCS >Dexi9A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:9A:5960692:5960939:-1 gene:Dexi9A01G0009860 transcript:Dexi9A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGAGPHLAANDDSAWREMGEGIEVGAALEATPVRARSRAEEGDSLCPAGKNGRMRCVGILAETPTSCTHVPPRP >Dexi3A01G0015140.1:cds pep primary_assembly:Fonio_CM05836:3A:11199884:11203686:-1 gene:Dexi3A01G0015140 transcript:Dexi3A01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKEMKYRRRGPVPEPVQYGQCGDRSGVLDWGALKQDPAELLRKLDEIRDQITRSCELTGQPPERHSMSRRTVSLRPSHAEPTPHAGRGPDYYRSRYAGRYRTSSPLSPYDQLQRSVSDETYARQSSGRFQQYPDGQRENYGFGQGSRHHSTCQCALCFQVQRVMTPEENIPMAMYFAGHQGSFRLDRSQPFSSELDRRSVVSSLYSDPSMPKRRVEYFRKKAGSFCRPLRGAAPFVVCSSCSHLLQLPQGKFTGRKKNQVQCGSCSEIITLKPKEAKVHPVIPPSSFPIPKSVRTSNRRRDPKNSGWYQHQDDDNFNFYKLQAHDSHRQKKDFSDNMSPSSTLSYDRTDSECGSSRSIQLKSVPASRSRFSNDPKDILCQGDTGSPQGPTLEDKEIDPFSSQRKDYSGGYQIKRNEYDINRKADYEGNGADETLGKKCIQNSKEDHRGMFGDECGTQRTHERKAKHGNIGSPEDGIVGNKYKHKTSHVVTSSLEDEGMGIKYERNGSFRVQGISKRYEKCDKKDDNNNLKVESITERCEQKNTKGNSGKLPHSDSRNGNTPAKNDSLVNEQTNSSSHVSSEVDVDELQSSISKNGDSSFFTGFLKKGFKDLSLFNQSADNVKVSINGHPISERALRKAEKKAGPVGPGSYWYDYRAGFWGVFGQECSGIIPPFIKEFNYPMPKNCAGGNTGVFVNGRELHQKDFDLLVGRGLPRLSGKSYSVEISGTVVDDTTGMKLRGLGRLAPT >Dexi4A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:4A:4401684:4403605:-1 gene:Dexi4A01G0006140 transcript:Dexi4A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAAERGHRLPWRLTVAVQAALCVALYAAFSLGEPQLFPRAGGGGGVDALGRGARGGRRRLPQRRRRRADARRAGAATETDLRLESLGSGPNLPCDDGTCSMHPLSFSDVAHMEAIAKFYEVKFVLDIAQSGENDPLWQNGSMYFQALNIPWYSTTSSHGQIVGNFVKKVSMPHDQILDIVGLDTGALQEPLHDGKISTSYREQTKWLEQSLALTNGNWKIVVGYDPLVVCNEAEIPETAKFYVPFQHIFAEYEV >Dexi9B01G0022400.1:cds pep primary_assembly:Fonio_CM05836:9B:17071386:17076492:1 gene:Dexi9B01G0022400 transcript:Dexi9B01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEMARVKLGTQGLEMGELKKLVEEGKVKYVGLSEASADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPVCRELGIGIVPYSPLARGFFGGRAAVESVPSESLLSKHPRYTGENLEKNKILYTRLRVLSKKYGCTPAQLALSWVLHQGQDVVPIPGTTKLKNLDDNIGAVKVKLNKEDVEEISSVVPAGEVSGSRLLGVLEPYSWRLANTPLPK >Dexi6B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:6B:4272292:4278892:-1 gene:Dexi6B01G0005040 transcript:Dexi6B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQMNDDQSADVSETDMMDCPNLDGDGEGNSPKKDSAKGERQPSADTDVDQSSMEIDLEGLSSVEHEKEKQFAMDVDLKGMPHLEGEGKEKQPVMDMNLTGIQSVQDEAKGKASSYLCPQDPVDFNVASLEKFCKEASRSFFSETGLVSHQINSYNDFVSHGLQELLNSIGEIAVEPDYNPKNKDGAWKHATIKFGKVKLEEPVFMTDNYDLEEQDLKLKPRHARLQKMTYSSRMIVEMTVQVYTLDNSDKAKTGKGGHVSRRDIRKETTEINIGMLPVMVKSNLCWLHKLQESDCQFDYGGYFLIKGMEKVEIKRDRHNKEVRVFSDPGRILRPLLVVENLRRITRPEDGLYSFQELMDKNIIELIGAEEEEDIRCAPGIRHLFAREKEERPSDYTHCELDPSFLLGLSCSLIPFANHANAKRVLMQAEKISQQAIGYSPTNSSFRFDTLSHQMFYPQRPLFKTVVADCLGKADYRFGRKDDFTIPEYFNGQNAIVSVSAHQGFNQEDSLVMNRASLERGMFRTLHFKSYRAQIENKEITRRLKHREKINFGKVESKKGKVDSLDNEGLPYVGASLQSGDIVIGKVTESGEDLSAKLMHTEQGMVEKVVLSANDDGVNFATVTLRQCRSPCVGDKFASMHGQKGVVGLLDSQENFPFTRQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGMVRYATPFTTPSVDVITEQLHKFGFSRWGGESVLNGQTGERMKSLIFIGPTFYQRLTHMSEDKVKFRNTGPVHPLTRQPVEDKKRFGGVKFGEMERDCLLGHGAAASLHERLFMLSDFSQMHICQVCERVANVIMRPDDSGKKIHGPYCLFCRSVERIVRINVPYGAKLLYQELFSMGICLKFETEAR >Dexi5A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:5A:5886903:5891693:1 gene:Dexi5A01G0007890 transcript:Dexi5A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKAVFQVLQGFETSLLYWDGSLPGYCEKAGIYVTHLSLTGLRSVLNPFLFAATCLKEVELFVGKVRMRRHGIPTLDAFASSIDSWLTRLREAALKEEEELFISVDRTITLLGLTDSMSSLCSGAEHLSQVVHGAVPDGFWDSGTLMISSEVSVHILNHLFRKLNEVCLVEDGKGEPYHMLLVIFTGSLLPYLQCLDSWLYDGILDDPYEEMFFYANSAVTIDQPAFWEMSYMLRVRGSRADGSTTSTDNESIREKESSNQESTTAGACLKVNNKGYVDILCPIFLKDIARAIVSAGKSFQLVQHVQDVHQIQTHDGTYGANVYRNTNHSSQQKFWSDSSSLRIQDGRPRSEDALEESTSQFGNDTREMGLLTLSEIFLICLSGLLENGDHVYEYLRRLHAGTILNDKAIVESESSVQETKDICAYNSNEKTWVKLLKNATSGRKYDGIEKAISRNTVMEKPIFVPGDPQDASSNAVEEGRFTLSCYENPAITACREVLLRNPNSWCELNISESFQLPPLNDGNMRRAIFSDGHSAGTSTNGDTQPPTSFPRLDGTDYKFGFQFNNLEYVRQEDDTRTLEGLYAFPTLLPCAKETVPLSEILPMQKDSTLASRVLKFIQNMRLKDPLQPVGIIQECLSQCIKRQVDHIGRQILSKLLGEWRLMDELFVLRAIYLLGSGDMLQQFLITIFDKLDRGSSWDDDFELNNLLQESIRNSADKMLLTAPDSLVVSLAMHNGDEGASASKKGRAFGFGIDALDMLNFTYKVSWPLDLIVNTEALKKYNQVMGFLLKVKRAKFVLDETRKWMWKVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLSTGGTAPAVRTRCEMEMDRIEKQFDECVIFLLRILSFKLNVGHFPHLADLVTRINYNHYFMSDNGSFSAIPGSRPR >Dexi7A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:7A:27551674:27553868:-1 gene:Dexi7A01G0018100 transcript:Dexi7A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGEKRRQGGGHHVHGVGFGVGGHAEHDEKRREPKKLDMSGMSMDTIPHLSMPLGNITTLDLSNNNLQSIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLHELPSTIEECRALEELNANFNELTKLPDTLGFELHGLRRLSVNSNKLAYLPSSTSHMTALRSLDARLNCLRSLPDGLENLGSLETLNVSQNFQYLRELPYGIGLLISLRELDISYNSIAELPDSMGCLTKLAKFSAAGNPLVCPPMDVVDQSLDAMRAYLSARINGTGTKDQRKKKSWVPKLVKYSTFSAGMMTPGRRAAKVHGSAAEGLLMSDYRSIDGGSIASPGFLSMLSPRRLFSPRRNSHKH >Dexi9A01G0031450.1:cds pep primary_assembly:Fonio_CM05836:9A:36408750:36414765:1 gene:Dexi9A01G0031450 transcript:Dexi9A01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKETAYGIVIIGGGICGLATALALHRKGIASLVLEKSETLRADGAAIGIHVNGWRVLEQLGVAAELRETANASRYVAAREQEYPGTHQDLIETLARNIPAEAIRFGCHIVEINEDPDIHGAVLTSADGSNIRVKVLIGCDGTSSVVAKYLGLSAPKAIPRTVLRGFTRYPHGHPFEPEFLRLRGEDFFLGRLPVTDDVVHFFVTTPPSTGAATDDDVKDLMLEKLMKACPAEVTNMVRDSEAGSLNVVTRVMYRPPWQVAFSAFHKGAVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARALSRADTGDDEKMTMAAIGEYVTERRPRVTMLSLECFVMGTLLRAKSLVTKLACVSMLLLLGSKSLRHANYDCGRL >Dexi9A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:9A:13103794:13107460:-1 gene:Dexi9A01G0018040 transcript:Dexi9A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCIASACAGCACNLCTSAASAVTRRSARLAYCGLFAASLILSFLLRQFGAPLLKQVPWINAFEQTPPDEWFQMNAVLRVSLGNFLFFAIFAIMMIGIKDQNDRRDAWHHGGWIAKIAVWAVLIVLMFCVPNIVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVNGSIMPASVISVYCAYLCYTSLSSEPDDYECNGLHRHSKQVSLSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRAGAKSPLLADEETGKGDGKESEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSATSERSELMDVGWTTVWVRICTEWVTAALYIWTLIAPLLFPDRDFS >Dexi7A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:7A:319609:321760:1 gene:Dexi7A01G0000230 transcript:Dexi7A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPSSPELAAPLPDNDDILRGILLRLPPLPSSLPRASLVCKRWRRLLSDPRFLRRFRAFHHRQAPLLGFFIDYSGGTYFIPTLEPPDRIPSARLSLDAPYHKAWSFLCCRHGLTLILNRTDLEIIVCDPITGDQRCFAIPPGFKRHDPRLVNCRGALLCDDHASRVPLEAFKVVLLWTDGLQLDTDPQMFASFYESETGVWSNIISTSIKAHHWLGKPSILVKNSLYWLLHGFGNNGLIGVVELDLDSKNLAVIDTPAHALSSFHAQILRMEDSRLGLAISSELSIQAWERKANYEGGARWTLQKTIELDKLLPLGPDIVMGSWMSVKIYGYDEDGNAIIVSPGREFFMIQLKSLQFRKLFESTNITVYHPYKSFFTGRGIGNEDSEGETLDNT >Dexi7B01G0022850.1:cds pep primary_assembly:Fonio_CM05836:7B:27657830:27658219:1 gene:Dexi7B01G0022850 transcript:Dexi7B01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKKTKSTSKGPNQALNHLSPRLLAPPCLLAKAGEGGRGRGAAAPARACLRRPSQGPAELDQGRRRRCTTCCSASRSSTRGGGGAARPAAQPRGARPGEVFDLLLGLAPRSSSRGSREGDAGDGDGD >Dexi9B01G0026060.1:cds pep primary_assembly:Fonio_CM05836:9B:27757580:27758736:1 gene:Dexi9B01G0026060 transcript:Dexi9B01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYGILAIVLVLVLPQVAISNVPSCDSGGQVGSYTANSTFQRNLDLLAATLPGNTSSAPAGFANASVGTSPDQVYALALCRGDINASSCSLRGCGVPRRGASMPRQHGRVHVRGCLRPPLLRPAVHRLPQGRPVEGLGNHRATRRAATKKYFATGEEDFDPKIYGLAQCLPITTPTQCQGCLANFLTFMGTQYLGQRLQWVRLYSVWCSLLYSARPFYEGPAMLQLSAPPAPAAMPPSATPKPGPGEGLNQPR >Dexi1A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:1A:8823018:8825269:-1 gene:Dexi1A01G0010230 transcript:Dexi1A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDSSQTNGARDQRAMEEGRKEEFAADQGCAALSIPFIQKIIAEIFGTYFLIFAGCGAVTINASRNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTFAFATSGRFPWRQLPAYVLAQMLGATLASGTLRLMFGGRHEHFPGTLPSGSDVQSLVIEIITTFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPVSGASMNPARTVGPALVSGQYRSIWVYIVGPVVGAVAGAWAYNLIRFTNKPLREITKSTSFLKSMNRMNSVSA >Dexi4A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:4A:13980892:13982115:-1 gene:Dexi4A01G0013720 transcript:Dexi4A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLPPASSLGALAIHRRLPLPNPFLLQPRTLPRLRSSLEQPPMAFSAEWASGEVRADTEESLLATTAAGRGAGNGGEVSHEEWQRWGTSSPLPSSVAAVIRELLKMEAATGEKMRFGGVGSKLKGDFKDMEDKKHRAVYETLRDSDQKLQYFSARQIGCRLLGSRGYLCQKCWLPTEDCMCANVVPCNLWRGMKFWLYMHPKDFLRQNNTGKLLWQVFGIQAAQLCLFGIQEHEDIMWDAFQRSGLLETILICHLLSNIVSRAC >Dexi9A01G0017040.1:cds pep primary_assembly:Fonio_CM05836:9A:12092001:12096083:-1 gene:Dexi9A01G0017040 transcript:Dexi9A01G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPGADHPPPAEMTVAELGAAVAALPGMRDALREAFDRLAACSPTPLPFVWADLDAHVSSLQSSILLRFRQVRALEAARGAPAAAAPGETRGGRTRENLEEEDEDEEEVMVEEEKEEVLEVEDVVEEQEVEEVVEVEEEVVEEEEDEVVEEQEVEEVAVGEFVEEEEKGDEEMQEADNEEADDEMGKDGKDEKTAREVLQEKKVEDLADDRIGNDIMVAADEQYADQEMQSTEQVANEERQITEDADKSSQDKEHDPNMEEGKTTEGTNKSPKAKEEDANMEDEQDIDMEEALNKNASAMPCKEEACGVKQKEEEDLEAHKGQEGAKNGMLEETTVANEMSLDQARSLPVGFNDHTASCANMDAQRLVKLLFTNTGLNSELHAALHHAPDAAALALHMVELFLHDKMLKTNKAWVNCVGLIRMVPVVVTKLSADTIEQAKLLAKDWKEMIDNSENCTVLGNLASWGFLYFLISYNIVSEFETKEVFRLFGTMPRKQQKMNYAMLLKGLQLTDRIPECCNKGA >Dexi6B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:6B:2362539:2363015:1 gene:Dexi6B01G0002820 transcript:Dexi6B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAADASQQQEEALAASFEEKHAELVAEARGVAREFGVDVRAVAFRPGGGAGGGAVVHEFQGIPPAARVARTIRRAVAKDVSAMGMEEVAQHERQLLALRNIVVRELQARKKAAAAKKATDVAIDGAATKRAPEQQEEVAAGGGESKIRKIIID >Dexi7B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:7B:26018829:26021033:-1 gene:Dexi7B01G0020740 transcript:Dexi7B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALSRRELQALCKRNAVRANMSNAAMADALRSLPSVDGLDEIGRAGAPPMKSVEEVIMDEEKIDGNPLPRGGRARSKARTAAKDKLEQDVGDQATLQGIQGTAAREALAPLNVAEVTREEQGHGCPLPRGRCVGAMTRKAAAHKTEEAVLAPDTFQGSQRTEAGEGPAPVEAEEVATGKRRTTRCTRSKAKMALDQKEATECKEQKGDSSDVATGSVVVSEKSIDGPKTHEVVEEDVMKGQPVDEMDEVPATAILRRSQRTLAPVEAKEVAMAKKMARRSTRSKVAAAKQKGQKADSSVMTNGSADNKSIDCPKKDEVVAVVEEETTKPHDGGNVTRRITAHEMEEVPVPATSQQSQGMAALEAAVPVEAEKGATTKRRRRPRRSKAAAAARNGQNADSSDVAIGSPVLAPDQSCDDLREDQLIAVEEHVTKPQEGIVEEQDQSSSIHKSASSVKMEDPPTVSNLSCVNYMATAADEETVKKDCFTLNSGAGQLDFLVNTLNRFSKPMHEFTIKEEKKEGECWWMLV >Dexi2B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:2B:8213907:8214188:1 gene:Dexi2B01G0008050 transcript:Dexi2B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRTSLAGENKSTGKEHRGRKLDGISVGGREEEGGGKGITAFVNSNVQVINNSLMLQSSCNGGDPGVHLKLSTKSKKKGGGGEDEAGRKK >Dexi7A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:7A:13735704:13737528:1 gene:Dexi7A01G0003670 transcript:Dexi7A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSMDEPLLGNGVQKTRGVGENLVLPELKKQLYLAGPLIAAWILQNIVQMISVMFVGHLGELSLSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQHHLLGIYKQRAILVLTLVSLVVAVVWSYTGQILLLFGQDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQNIVLPVMLSSGVTALNHLLVCWLLVYKIGLGNKGAALANAISYLTNVSILAIYVRLASVCKNTWRGFSKEAFHDIPSFLRLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATHVVMVLAIVVGILIGLAMILVRNIWGYAYSNEEEVVKYISRMMPILAVSFLFDCVQCVLSGKYRWGFLIFSPGVARGCGWQKIGACVNLGAYYLIGIPAAFCFAFLYHLGGMVIYYLNHT >DexiUA01G0013160.1:cds pep primary_assembly:Fonio_CM05836:UA:27364149:27364751:-1 gene:DexiUA01G0013160 transcript:DexiUA01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFAAAGLDNWQKVCYVPTSSDNMVIAFRNWFRKFCKNRIGWATPQVDQLPPTPTKDQLMERYWSHVAQCTSCKAALKAMKALEVALQVASVAVVGFLAIANGTAVTSTVQRTL >Dexi3A01G0027870.1:cds pep primary_assembly:Fonio_CM05836:3A:27795921:27804400:-1 gene:Dexi3A01G0027870 transcript:Dexi3A01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDFTPEGGKLPELKLDARQAQGFISFFKRLPQDYYTAHGENATFIAKTYYHTMDLAQDLGRIIRGSVVPVGDLLSQFDSALGALGALLSYAELLADDTNYGNYTIEKYNLNRYMRLDSAASCTRIPFIQSILQEYNGQFSTLIRTKFLDPLEEWMTENRFGRFASLVETAIDLGQVDNGQYRISPLYSSDLGVLKDELSVVEDHINSLHMHTASDLDLSVDKHLKLEKGPFGHVFRISKKEEQKIRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLSEYTSCQKKVVDDVVRVSGTFSEVFENFAAVVSELDVLQSFADLATSCPVPYVRPDITASDEGDIILQGSRHPCLEAQDGVNFIPNDCTLLRGVSTFMQEMLETASILKGLAWAICEHLVEVTRAPTLFATHFHELTALAHRNDDEHQHVSDIGISNYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAAELEDFSATTTFSEDSKDEVGSKRKRIFSPDDVTRGAARARLFLEELAALPVDEMDGSKTMEMAAKLKADLLKDAVDNPWLQQFV >Dexi5B01G0025910.1:cds pep primary_assembly:Fonio_CM05836:5B:27829424:27830041:-1 gene:Dexi5B01G0025910 transcript:Dexi5B01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFLARSSLLALAILTVGSQLPSRGSAMGMPRPQPNLNFTIGVEGVVWCKGCRYHGYIPSRDASPLRNASALLRCRNGRRAMSVWSATNSRGYFLIQTGAQAAPFTSRHCKVYVPRSPASGCRVAVSPGRNKGLPLRFRAFVTRPGGVQGRYAAGSFTFAPQDRSKC >Dexi7A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:7A:22153057:22156866:1 gene:Dexi7A01G0011740 transcript:Dexi7A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPRAARLLRSAAGRLRSPPPPARAFSSAAGTGTVAGTGREAAIVAAAVAVAGSGLGLWLKPPSLADSGETVGGQISVAGADPTAAEARQVKSRFLFADSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRKPEGEVYMLPADLMRAVVPVFPPSESTVVREGRLRGERSPGELHCAPSKFFMLFDTNNDGLISFAEYIFFVTLLSIPESNFSAAFKMFDVDHSGVIDKEEFKKIMALMRSFNRQGATHKDGLRIGLKVGQPVENGGVVEFFFGNDGNEPLHYDKFSEFLKELHDEIIRLEFSHYDVNSSKTIPAKDFALSMVASADMNHINMLLDRVDALVNVPDLKDMRISFDEFKAFADLRRRLEPLSMAIFAYGKVNGLLTKQDLKRAAQHVCGVDLTDRVVDIIFHVFDTNLDGNLSSEEFLRALQRRETDIRQPTIPGPLGFVSCWFSGRKCSSVRQMLF >Dexi2A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:2A:23058077:23060410:-1 gene:Dexi2A01G0014120 transcript:Dexi2A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLARLRRRPPGLPDTCRSPLSTHLHRGAGEPRFGGRSQSFPKRVEDLAGGDGGGQAEGGAAGAAGRAGRARSCRGCGACSFALAEAGGRSSERAGGRAGEAGEQALSAGSEQVDDRRQQRQVVDAGEGAGDGAGIPGPPLDPLSVCRASLRPAPAPPLRSSDPFLSRTSSAAAATLDRTAPARD >Dexi4B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:4B:1226424:1227050:-1 gene:Dexi4B01G0001970 transcript:Dexi4B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHPVPPTGDQRVAKTLSDYCAYLVAFVPDMLPDNGYDTQRIFDAVVMEARKSLAGCDTVSSRCAKLVTLVVTKDSNRTILRLGGRLGRELRRVAPESRRWKVLADFWAEYILFLAPSSNAEIHAEKLAAGGEFMTHLWALLTHAGILDRPSTANGAGASLDT >Dexi7B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:7B:19475672:19477130:1 gene:Dexi7B01G0012750 transcript:Dexi7B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASVAVLAVVAVSLAAAVLPAARAEIKTTSIVSDSRSVILFEEFGFRRGGRAEVTATGVSWKVPEGSQIQAVSPSLMGFFLISNSLFYKINNESDYAEATGTSFCPLTSKYVQPLFRFKEVAPDGTGKGSLTLDADDQCTVLFSSCQEGVEVTMEVRTEMFNVVGRSGVREYLPVGLLPLPGIFAAASAVHFAFLAAWLFVCVRHCKTAERIHAVMGALLLFKALKLACAAEDQWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQEREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKQFYLVVVCYLYFTRIAASAVSAVLSYKYQWVVNVSIETASLAFYVFVFYNFQPVEKNPYLYVGDDEEEAAGGQLEMESTFEL >Dexi2B01G0036050.1:cds pep primary_assembly:Fonio_CM05836:2B:42983845:42984912:1 gene:Dexi2B01G0036050 transcript:Dexi2B01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQEQRAEGEAQRAGEQIARAEAHAREAARVVGEHDEQTQQPEQRQRGGILESVQQGARSLVTAVGRTLGVARDTTAEKASVTRDTLGEYKDAAVQKAGETTQTAKEKAAAMKDAAAEKAVETAAAAMETAEAAKEKAAATKDAAAKKAGETAEAAKDKALATKGAAAQKANETAEAAKDKAVAMKDATAQKASETAEAGKKKLGEYGEGAVEKARQTKEAKQSTAARDDETIMAEEKLEEYKHSAADAAREAMGYLTLRTEEAKNATKASVDATEEVARQEVEATRQRTEQLAEAERRWKESDD >Dexi7A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:7A:18890100:18894577:1 gene:Dexi7A01G0007550 transcript:Dexi7A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEREPLLEQHQRSNAAGAKAPPPSLARTVLKFLMWAVFLTWAAGIFFYPIKPAQAVLRKSIGLTKDSMLGIAGGVFLVFSAPILIIALLAYVYISFFPSDHMEKRKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFIIYVVYSMIYYAVESVSLISEFREPSSTDSELILHIIGLRLGSVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIEWSMEGRLIKTMLHWKEIGVANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYVVFIVFLAFHVGDFIFSFSAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPASLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGRYHMTVLIKVLGTWTEKLRSIITDVQEQNRGDSELQCGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGNQCMPKNVLVLWSVKKSTELSLLSAVDAQTITSSVSDKLHLDIQAFVTQESQAPLEDGILGDDQKVPGMFVKNGTTMSGLVGTGDNFWAAMYFLASTLGFFLAYLLVQVYYVKPHNVVAWWYLGLLFMLCMAAGVALPGGLVVLLWHLYEKRRLEDEKWDAAAAASQSSPCAEQTAPAAGDRDDTVPSVSLTALRTTRYGCRPNFKAEFAAFAERAGDAADVGVMVCGPQGLQKSVARECRARNLRRGGGAEKSGGSRAVFHFNSHSFDL >Dexi5B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:5B:12082859:12085962:1 gene:Dexi5B01G0015080 transcript:Dexi5B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSAGDAGGSGAKGVVPDEILTGSGNASSSHQESDDAGTKSRVEDIWKKMNSGLPKKMSTPTMTKLSTTAKEKKNKPTNNWMTVLGLSPSMASSSTANQSPQNGQQQAHPEMSEDAKKLAAAALAAARNSAAVAAGRGKVEITEVRDFAGKDIEIKKLVDANSKEAIEKAKAAGASSAVDSILEQIRKKQKLSVLDKTKKDWGEYKEENRGVEEELDAYKKSSNQYLDKQSFLQRADYREFERERDARLSMMAKRKTDMREE >Dexi9B01G0025840.1:cds pep primary_assembly:Fonio_CM05836:9B:27256696:27258758:1 gene:Dexi9B01G0025840 transcript:Dexi9B01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHGGSNAQRQPALVVVAVAEPSRPRRARRRRAIMPVKSSASFRMTAVPMVLVAQLLAAAVLALTLVWVLHFRGGVSWKWTSNHLFVYTAHPLFMVIGFVICTGEAVMAYRIVLGSRAAKKAVHLLLHLVAMAFAAVGLYAAFKYHHDAGLPDIRSLHSWLGIATIALYALQWLVAFVYFVFPGAVMTMRADYAPWHIFFGIVIFLMAILTAETGLAKFVPPLINYASEAYVVNFTGLAILMFGVAVVLAVILPSRY >Dexi5A01G0035460.1:cds pep primary_assembly:Fonio_CM05836:5A:37274702:37276621:1 gene:Dexi5A01G0035460 transcript:Dexi5A01G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISSTAYFSSQPQLPASSTAEPATSGSSRLARQPRRTSSFVMVEASSSGTGGGVGRTRSLTEDDLEDLKGCLDLGFGFAYSEIPELCGTLPALELCYSMTRRFLDEQRAMGQEQEPAATPLPNWRISGPGDDPEEVKARLKYWAQAVACTVKLCS >Dexi7A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:7A:28806632:28806952:1 gene:Dexi7A01G0019740 transcript:Dexi7A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSATPRPRFRLRLLHRPRLQVQFMRALDLESVPAAVRRGAAAERNSCMDSPFASRAWAPGGVAVMEMELGSANRVDKRDGAGNCAARLYPRILPPATPTVSPPS >Dexi9A01G0025570.1:cds pep primary_assembly:Fonio_CM05836:9A:26195456:26195947:-1 gene:Dexi9A01G0025570 transcript:Dexi9A01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKLSFALLAVVLTLLAAGPLTTPVEAAHLHFYMHDVTGGPSPTAVRVVNAPHGYFGNMFVIDDVLTEGTSSSSTRVGRAQGYYMCASVSNLELLVTMNVVLTSGPYAGSSITVVGRDDINAPVRELSVVGGTGQFRMARGYVLWKTVTPEIIDLELFVNP >Dexi9B01G0032440.1:cds pep primary_assembly:Fonio_CM05836:9B:34668582:34672398:-1 gene:Dexi9B01G0032440 transcript:Dexi9B01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTNAAAAISGGTASSQPRRAPAPSFLPLRRRSATVRAVHAAEPSKGHGVPAASKTSSPTVAPEKESAPVAAPPSLATKPPAKWAVDSWRSKKALQLPEYPNQAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNSNNIRDTFRVLLQMSAVLMFGAQMPVIKVGRMAGQFAKPRSEPFEVRDGVKLPSYRGDNINGEAFDEKSRVPDPQRMIRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRLEQRTRQLDGAHVEFLRGIANPLGIKAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELSFIIAERLRKRRIRSSSGLNNILSLPAFGL >Dexi1A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:1A:1114765:1117638:-1 gene:Dexi1A01G0001680 transcript:Dexi1A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSARLLPLRRALLPQRAPRIRPCPALASPRRLLIAARPQLLPRPPRMDSVPTAAAAASSAESATASADASAKVIDGKLVAKQVREEIAVEVTKMKDAIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEEEVIKHISGFNSDPSVHGILVQLPLPRHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSQTKNPEEITSQADIVIAAVGVANLVRGNWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEVSKVAGAITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >Dexi3A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:3A:11680520:11682256:-1 gene:Dexi3A01G0015680 transcript:Dexi3A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDACFVMVTAVKLLFKLHTGQGSSEGASDQSCKITQQETKVGTKCMDGSERVEPETEPRHPKSYMEDIDDNPPNPDQPISKPRMAPKPK >Dexi3A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:3A:12284387:12289268:-1 gene:Dexi3A01G0016400 transcript:Dexi3A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMYRSTLACNFQQPQPDMNNGGGGGKSSLMSSRLLTESDPPEYGPVADANVFYWFQNRKSRTKHKLRAAGQLQPSGRATLARAYAAPPPVAPAPVTPPRQHLLVASSPVAPTSSSSSSSDLSSGSSNKSVRPPAVVALASSSPASAAVIQHAHLQAATAMDLLASTTPTAAPGLAAARQLYYHSQLMAPAATPELITSPAEPFILQWQQQGGHYLPATELGGVLGANTHEPGVVMNPAVSVSPSVLLGLCNEALGHDDYCVDIGSSKQGIGHGHYWNNTATCGSDLSGDDKTDAVSAVIRDDEKARLGGLLHHYGFGATTATTTTAAAAAAAGSAPLAAHHLQAAADASSTAMLLPTSPAPSNVAAATSALLTDQLQGLLDAGLIGGTTPPPPTATVVAVARDAGVCAATAHFSVPAMRLDVKLAFGEAAVLVRHTGEPVLVDGCGVTVEPLQQDTLYYVLMATN >Dexi4B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:4B:17491283:17492911:1 gene:Dexi4B01G0015660 transcript:Dexi4B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASPSLGTSMPSSTTTLQVLLLMLMLVYLAQTLRPRRKSTCTAPLPPGPSPWPVVGNLPEMLLSDKPAFRWIHHVMKDMHTDIACVKLGGVHVIPIACPKIAREVLKRHDANFTSRPLTFASKTFSRGYMDAVMSPSGDHWRKMRRVLASEVVCPSRHAWLHDKRADEADNLTRYVYNLAGEEGAVVDVRHVARHYCGNVIRRLVFNRRYFGEPQPDGGPGPPEVQHVEAVFASVGLLYSFHVTDYLPWLLGLDLDGHEKMVMEANETVCRLHDTFVDERWRQWKSGERLDNEPEDLLDVLITLEDAEGKPVLSIEEVKALLMDITFAAMDNPSNAVEWALAEMVNSPEMMKKAVEEIDTVVGRTRLVQESDIPRLNYLKACIREALRLHPVAPFNVPHVALEDTNVAGYYIPKGSHVIVSRIGLGRNPGVWDDPLRFNPDRHLAADPMVEVTLAENDLRFISFSTGRRGCIAASLGTAMSIMLFGRLLQGFSWSKPAGISAVDLSESRHDIFMAKPLVLHAEPRLPVHLYTVLSAAEFM >Dexi1B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:1B:25771244:25773385:-1 gene:Dexi1B01G0019530 transcript:Dexi1B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKSSVASNGDSLCMATPRADPLNWGKAAEELMGSHLDEVKKMVSQYRQPLVKIEGASLSIAQVAAVSTGAGEARVELDESARERVKASSDWVMTSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDSHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKQGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKTCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGADEFNAETSVLGKVAQFEVELRASLPKAVEAARAAVENGTAAIPNRIAECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKYIDPLLECLKEWNGEPLPIC >Dexi2B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:2B:27621398:27622888:-1 gene:Dexi2B01G0017180 transcript:Dexi2B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIKRPVWLKGFKTFKDKASALNLDTGVSEDVASMIVDMIKPNQKLAVGKKEYKIIIEHHLGITCLYNDEVMEVRRGLKNLMPHYLPDENSKVTKEDCLPMCKGLRFLLNSYGFYVKPEMVNDCWLPYGHQLKLITALMIVGYPNVEQIVWGNLQKVFGDDYSTLVKDAPKYKDKLYEVACFRVYKEMLWARRLRYSNG >Dexi2A01G0028310.1:cds pep primary_assembly:Fonio_CM05836:2A:39584554:39590652:-1 gene:Dexi2A01G0028310 transcript:Dexi2A01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSKSAVKSHTRSPTTAQPPPPPNPAAAAAAAGGGASAPPPPGPAAGATPSKNAAMAELKSRVLAALAKLSDRDTHHIAVEDLDRIIRAPPSPDAVPMLLNALASDSPGLASPARRESLRLLATLCASHPDAAAPHLHKALAHLARRLKDPASDTSVRDACRDAAGQLAAVYLRPLAASGVAEAGNATVTLFMKPLFEVMGEQSKAVQGGAAACLAKTVEGAGPGPGVIGMFGKLCPRICKLLGGQGVQAKAALLGVMGSLAQVGAISSQNMQQTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLIGDGTAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDANDGRNKEPTDGEGKLESKRSMQRSGKSESFEDCSPDSPSNNMKGSSIAEKAAVLLKKRPTLTDRELNPEFFQKLETRKIDGLGVEVVVPRKTLQSHLRSKDEPEEDGDHVGPANGNGSAEDEADQTQTRASSNFQNIRDRWASQRGNRNKDAKTRTSDVEDRGESSAKDSTAATMNIPGEGPFINNKTNWLAIQRQLSHLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSSRRGGGSALGFDSSPGRSSKYNGFHEYSNSKFGRSGDGRMGFAERYFSSDGASGLRSPSWRPDSEQWDSYAYSGSRSGMNARRGLDPVSSDNRMPRNERGNDQAGPRRGWGDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVAIPELDGETLNDDNQGDERGPLWDAWTRAMDAVHVDDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDVALTWLQQLTDLVMENGSDYLGIPLDAKQDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >Dexi7B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:7B:18103644:18106456:1 gene:Dexi7B01G0010910 transcript:Dexi7B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKGPAAAAAAAVMDETSVSSPQGSAHGSEGGGEKEGAFLLGQPTWEDAGDGRWRCVETGHELPEREKEAYARSRACRLALVDHAVAHKKPPLNAFKPHPEHKSYINVTNVEKLEEQMASGEMADVEAAKSNEVAKKSKSRKKDKKKTNVASPLPREPKPEMDDSDDSDFWAPPVGSRWDDDDGKDRWQSSPGKKDSAKDEYGSGDDGSGENDKGNDDDMADKDDAESRELASSRTKRMSLEAVGPSSFASRKKKPKKEQ >Dexi6B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:6B:15513322:15514398:1 gene:Dexi6B01G0009790 transcript:Dexi6B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRHPAPAPIFVLGGQVDVDVDIFAAHPGPEWTKIECATKRAYGCGDLAKEALQGLTLYAHLGGGDDPLFSSSSLAIRMTDTARRGFESELDLIHPRGDTRVACHHFICCPRKGTPCYQTIATGLVQIAGDREGLTVVFLLFRRDPRAELAYYLAYDHAAASLCLLPHVPDPVEAVSSVKPVAKRNGAGGDFELFVMACELSSSSVPPRHFLCACTAETRANAASDGTVEESFSAHVVFPFKTMGIWAGLSRGLMYCDLDTTSDGDVGFGFIQLPYECLLDTMQEEMAEKVAYQPMEVTRTMGCVDSSITFVCIDPAREYANDLVKVGNMQMTCAHGGGASF >Dexi5B01G0026810.1:cds pep primary_assembly:Fonio_CM05836:5B:28485318:28486464:-1 gene:Dexi5B01G0026810 transcript:Dexi5B01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPREQFFACIAMAAVALLLAPRAPCGVAASGHSHGMRPGAHGGAAEPPPFTVNATRAEAIERQFMEWVRYMGGLRHSTFQHALARAFPSYSLVVDKNPALGDFTTIQAAIDSLPAINLVRVVIRVNAGVYTEKVTISAMRAFITLEGAGADSTIVQWGDTADSPTGPKGRPLGTFNSATFAVNAQYFLARNITFKNTSPVPKPGATGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEVRRCSSSSFFPLPQC >Dexi5B01G0022480.1:cds pep primary_assembly:Fonio_CM05836:5B:24806752:24807453:-1 gene:Dexi5B01G0022480 transcript:Dexi5B01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTNTYDTDVVMDDGTVIKTTVTSSGDAVEAFLREVGDNHGHHLLVGIDTEWRVVPAEHDGRPKNRMAVLQLCVGRRGLVFQIFHADHVPDALRAFLACPDHRFLGVAVDGDVKRLSEDCGLAVANAVELRHVAAEVLARPKLREAGLKALTREVMGVLIDKPKRLTMSKWDERRLSMEQVRYACIDAFVSYEIGRLLLTGQCCAAEGAAAAAAGGGGTIASPFAATPVPVA >Dexi1B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:1B:23242464:23243001:1 gene:Dexi1B01G0016770 transcript:Dexi1B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi4B01G0021810.1:cds pep primary_assembly:Fonio_CM05836:4B:23674670:23676745:-1 gene:Dexi4B01G0021810 transcript:Dexi4B01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGPWGIWMVLRLLDWILGPSRRSSGHWFVQYGAMAAYYLPTPLLLYAAKAVASLRSPLLIFWFSLHNIVLLTVSSTFLFQGAVARCTLLDSPLQLWRILPWAHGCPTCYGYYWEYTTAGASTCDDDDLLKKSHEVATALSRYCAYLVAFHPELLPEHSLNTITLLQQVLKEAKDLLGSTRSSMEKNDKIQGLQLPGDEGDSSLNTFQKGIKLGRRLADMLNSVRWKVMAEFWAETVLYVAPSDDVDSSAARIECLTKGGEFVTHIWAMLSNAGILKRATEEWSPRQSEPDS >Dexi7A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:7A:21512117:21513264:-1 gene:Dexi7A01G0010830 transcript:Dexi7A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLVVAFRNKRLEFPEKLEEARVRSAEPGSIEVLPETNSLKFLDKACINRGKEGAWLFCSRTKVEETKIVLRMVPLFVSSIIGYVSNPILLTFTVQQGGMTNTRLGKIRVSPATLFTIPTIFQIVMLPIYDQFLVPFLRKRTGYVSGITHLQRVGIGFASVILASVIAAVVERKRKEAAVQMSLFWLIPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIASALFWCVLGLSSLLATFLVKTVNRVTRHGDRGGWLEAASLNNSRLDLFYWVVAVVGLFAFLNYLFWAKMYVYRHDPRAVTDEPSADQDSL >Dexi9A01G0045250.1:cds pep primary_assembly:Fonio_CM05836:9A:48828994:48830461:-1 gene:Dexi9A01G0045250 transcript:Dexi9A01G0045250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPPPSTLPTSWAVVGLGRPAAASFPCLKLGRGTEMAALRPRCLVARAQMNHGRNDVQLLPFPNHFVEEMNTIIGRRIKISATCEGFLHREALSTSACVCGSAGKAVIMASQLVDSASLAAGGGLSSDTVHKTLQEYVNVFLRTAEDSYNRRFYKDNVMWFLDALRGLASISHILLEDALEALSHTHPKESLSEYAFNNDVKKMRREFNGQIDDL >DexiUA01G0021330.1:cds pep primary_assembly:Fonio_CM05836:UA:44238718:44243636:1 gene:DexiUA01G0021330 transcript:DexiUA01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWRGKQLDVDADPRCTVKEFGQLLQDLTSVKPETLKLIVPQSTNRGSKLITPFSDPHSSLTLNEAAISEAKPIRMMGVFDDEIEEVSDNGKRSDLRIIGFDEEEQRLRQRTSGRPQFSLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHKWQVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDANFFALNKQLNEEAASLDWTKSTGHMLSGRKIFDSYEDEFDLEPGITAAGHRLGGESSSLASARALSGAAAYQRFLSASAKENHVSGTETEYNPDVVFQDFAQRTVKVEPDPDDDMHVDLAIVTPGSVDFRPLAGQHTIGYSEPDPDDSSNVNIPNQELSFDGRHHSEPDRDDGTNEFVLESGNKMEVDVDSELTNNITVLKSEPDPDESSNGIVNEKLVIDGKHGEELDPDDIACPVLKSVDETEVVTESRNSTVLKSEPDPDDSVGDLNSNELQPDLVMKLPGKLDRR >Dexi9A01G0036130.1:cds pep primary_assembly:Fonio_CM05836:9A:40662138:40668500:-1 gene:Dexi9A01G0036130 transcript:Dexi9A01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGGSGGGSRHGGVVAGGGGRLPAGRADGGGERLPWLANGGVQVQRGVIAGCGRGIPALLNQTYIDTEKSKLLCFILEFRAKYYALFLNLNLFECMALKNVISFFLVLTHVSSCLARSGNMLHSGFVSASKSLPSWPILSAGTSVTVALVLSLFLTFEHLCAYHQPEEQKFMIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISESSPLLDVDYDYGIVKHPFPLSCFMRNWYLGPDFYHAVKIGIVQYVYDPKAHLCCLGNFHGTSGNLWRRKVWMEIWMVDDVKYTVSHVVEPMERSFTKINRTIHQISENVKQLEKQKRKAKDDSHLIPLEPWSEEFSEAHDHVVGGSVSDSGLAKTRYNRNSDRPRRSFESGLRRWF >Dexi7A01G0013550.1:cds pep primary_assembly:Fonio_CM05836:7A:23594672:23597853:1 gene:Dexi7A01G0013550 transcript:Dexi7A01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRSSRRARRHGDAVGMEYRDKMVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVVNESLGTTDFVEKGTDSVVFRTCPQERDRVVFQMGTSHAVRALKAAEIVCHDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNSPKDTVELARRIETTGVPALAVHGRKIKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGFVFLSILLFVSSRCCAASVMVARGAMWNASIFSSKGKIPWEDVKREYVRKSILWDNDVKSTKHTLKEMIMHYSCLELPEGKGVIKCDTSADVA >Dexi9A01G0034060.1:cds pep primary_assembly:Fonio_CM05836:9A:38865077:38866302:1 gene:Dexi9A01G0034060 transcript:Dexi9A01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPAWCFRWELYHKTESKRIARAVKEMGNWRRRVRIGCANFSGPTPAAAVARSARILKPLACTEAPQAGDI >Dexi2B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:2B:25814986:25818357:1 gene:Dexi2B01G0015730 transcript:Dexi2B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAEAPPRGRLRALLLLVLLAASAVLPRGARAVELGLKMPFSPRDVLPILPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPASWGGACFAVNEAAIELTPGDRNGTDVGGAVLRLKVKQHGVSVFLMPSGMLGTLLSLVDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENDKGEEIIAVVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYVRSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRVQPLYAANMWNEALNKRLGTEGLDLQGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFGPFAESVQIRDAYMLKIYEDNPARLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >Dexi5B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:5B:4346736:4347740:1 gene:Dexi5B01G0006440 transcript:Dexi5B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTAPHDCLGIVQLLSDGTVVRPDAEVFPTTATTFPDVPGVQWKDVAYDASRGLKLRMYNPPAPAGEDKRLPVVVYFHGGGFCFGTFEHPHIHAFCLRLAAELPALVLSADYRLAPEHRLPAAIDDGASVLAWLRPAAEPWLAESADLTRVFVSGESAGGNLAHHVAVRFASESSTLAATGAAAAPLHVAGSILITPFFGGVERTGIESDPPAGVSLTVEMFDQFWRMSLPVGATRDHPAANPFGPGSPISLVTAAALPPVLVVAAGRDLLRDRVVGYAERLRGMGKAVELVGFDAEEHGFSVGQPWGQPATDMIRVMKRFVQEGVRPN >Dexi5A01G0009620.1:cds pep primary_assembly:Fonio_CM05836:5A:7194853:7195455:1 gene:Dexi5A01G0009620 transcript:Dexi5A01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLPTTFAPALTHSSYSAAHRATSRSATDDDDDDLAFAWSSHSILPRSAHLRAAVTATTARSWTVVAALLRLLDWSSSMQRCSLDVWVISWDGGDDDVAVAAEDPGRKEAAMAAAQSMAARRGLGFACGAMFSVVAIAVVEGGDAIHFSIDDGEELIEEVE >Dexi1B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:1B:11235896:11238181:-1 gene:Dexi1B01G0011160 transcript:Dexi1B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVAALDVRMRSGDGLKAAAQLVVAFPSSSCVTKVFDGGAAAPSLLSSGGTSKAQHDEGVRQRLSLEMAALHPLPSSN >Dexi1A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:1A:7945487:7948197:1 gene:Dexi1A01G0009630 transcript:Dexi1A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding DREFDTTMDITQILLAAQSPDANQRTVAESNLTQFQEQNLPNFLLSLSVELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLLQQWVTVDPSIKLKIKDSLLLTLGSSVHDARHTSSQVIAKVASIEIPRREWQDLIAKLLGNMTTPGASAPLKQATLEALGYVCEEVSPQHLEQDQVNAVLTAVVQGMSQTEPSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVVCETATSKEVDIRQAAFECLVAIASTYYVHLEPYMQTIFNLTANAVKGDEEQVALQAVEFWSTICDEEIELQDECDGADDANSSAHFRFIEKALPSLVPMLLETLLKQEEDQDQDDTVWNISMSGGTCLGLVARTVGDSVVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQSGLDFLLNTMNDPNSQVKDTTAWTLGRVFELLHSPISANPIINNANLPRIMAVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESMSSVLTPFLPNAIAALLSAADRADTTHFRLRASAYEALNEIVRVSNIPETAGIIGQLLQEIMRRLNLTFDIQILSSGDKEKQSDLQALLCGVLQVIIQKLSNSDAKSIIAQAADQLMHLFLRVFACHSSTVHEEAMLAIGALAYATGPEFVKYMPNFFSYLEAGLQNYEEYQVCSISVGVVGDICRALEDKVLPFCDRIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAELLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYGTHLLQFTEAVFRDRSRDESVTKAAVAVLGDLADTLGPSSKDLLGSNLFHIEFLRECMDSDDEVSETAAWAQGMISQAMA >Dexi5B01G0039410.1:cds pep primary_assembly:Fonio_CM05836:5B:38267565:38268109:1 gene:Dexi5B01G0039410 transcript:Dexi5B01G0039410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLGLFHHKEEEEQVAAAEAVVVQETTYGYGDGGYTETTTTTAAVVAAADSEEEEFRKHKKEEKEHKHKEHVGELGAIAAGAFAMHERHEAKKDPEHAHRHKLEERIAEAAVAAAGGYAFHEHHEKEEAEDEAKEHKKHHHLF >Dexi1B01G0023880.1:cds pep primary_assembly:Fonio_CM05836:1B:29354365:29355564:1 gene:Dexi1B01G0023880 transcript:Dexi1B01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHTAFGGCFDTAETMAFDALGYGHDALLGFEAAALFGAGRSSAGGNAWASTGSASVLEFDRATSAAAVVEEEEECDAWIDAMDQSYGPALAARYAPTTASVGFDAATGCFTLTERASSSAGAGRSFGLLFPSTSSSSGGSPEFAAPPASGSRKRTYVDAEPLAVDAKKPCGAGRKTSKAKSAPATVPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVREALDAILSSASQRGQLN >Dexi5B01G0035150.1:cds pep primary_assembly:Fonio_CM05836:5B:35197609:35200305:1 gene:Dexi5B01G0035150 transcript:Dexi5B01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHLIQFVPDDMAANGMKVALHRQVSGGSMKHNAELRRQASLESPRTGRATSRFLFGRQSSMDPNRRRGRSQSPVGSSSSAAAEELTVPENLDATMQLLFFACQGDAMGVEGLLRSGVDVNSINLDGRTALHIAACEGHRDVVRVLLNWKANIDARDRWGSMAVADAKFYGHTRVYDLLTAHGAKAPKSKRTPMMVSTPGEVPEYELNPIEVQFRRGYSVTPGSYHIAKWNGTKVSVKILDREGCSNQEDSNAFRHELTVLEKVRHPNVVQFVGAVTQHIPMMIVSELHEEMDLVACIQRKGKLSGHKVLRYSLDIARGMTYLHQSKPDPIIHCDLKPKHIFLDSGGQLKIAGFGVTMVSKVGTDKVKLLNHDALVDSFSYHTAPELHRNEPFDSSVDAYSFGFILYEMVEGLVKTPEDSGHSVRFEGVRPSLKGKLKGYPPDFKALIEECWHPQAMARPTFSEIIIRLDKVYSHCDKQGSWKESLKIWFVSRRSKYHSVKSRIHTE >Dexi2B01G0027890.1:cds pep primary_assembly:Fonio_CM05836:2B:36640248:36641117:1 gene:Dexi2B01G0027890 transcript:Dexi2B01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAQQHHVSFRLAAGLLLLVSLLAPLAAAQPPWWVCGSTGSYAENSTYQSRLNQLSATVPTNASRDLYAIATVGAAPEIVYAVALCRGDTNAPTCESCVAAAFPEAQQLCGFDKCVTVFYDDCILRYSNKDILADSSNGNLTMIMSQQNVSSPVKKVFDDAVAMLLNATANYAAMNTSRRFATGEQGFDSRFYPTIYGLVQCTPDMSPAACQSCLGDIIALTPQLSGRQSGRIIGVRCNFRYDLDKFFVGDPTLRLQTPFVPAPNNGMPTSTPGGELLPLPNNLPHG >Dexi3B01G0025130.1:cds pep primary_assembly:Fonio_CM05836:3B:19927721:19930641:1 gene:Dexi3B01G0025130 transcript:Dexi3B01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEMQPLAPAGYRRAPEMKEKVDASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSDSPALALVLAVLPFIRKIVLEALVLTAGVVASLTAYAFWASKKGKEFGYLGPILSSALTILVLTSFLQIFFPLGPVSVALFGGLGALVFSGFILYDTENLIRRHTYDEYIWASVGLYLDILNLFLSILNMLRSMQSDS >Dexi1A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:1A:21405929:21406564:-1 gene:Dexi1A01G0014700 transcript:Dexi1A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATRYLKQLFSAVVAAVKSTAAGTKSSSLRTRLVMLSIMRNKKLLLSAIQSKIHAIMGGAAAAGGSGQGGHAINAVATTSHAGGEVAGEHLLLGDGRKAAVLQSLPSFVVEQESRAVVLSSLPSFALERDAGGRSPLAAAGGEEAEYDDGDGEKHAAICAAAAPAEGGFRLEDEIDRVADVFIRRFHDQMKLQKLESFKRFCEMLERGA >Dexi1B01G0027700.1:cds pep primary_assembly:Fonio_CM05836:1B:32389227:32394879:1 gene:Dexi1B01G0027700 transcript:Dexi1B01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYKMTDQERDDVPMLLRNVELPRFPLRSNSMCIPVRDGEYEEDTFVPHTGPLFIQPPTQTISGIPFTSRDTADKLPRSSQGKPLHNVGWKKKIEQILAYIPIMNPHAKVVQQWNQFFVISCLIAIFIDPLFFFLLSVQQDHKCIVLNWSFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPRKVAVHYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSGANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQQISTLAGNLVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEKWMSHRRLPVDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVDKMVFIVRGKLESISADGSKAPLHDGDVCGEELLTWYLEHSSVNRGAISLPD >Dexi9B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:9B:15460991:15461429:-1 gene:Dexi9B01G0020770 transcript:Dexi9B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGSGSAAPGVDVADAPAAMAAVTVEPRPPAARLSELGVRSWPKWGGPPGRYALSYGARQTCYVVRGRVSATVEGSPERAIQFGAGDLVVFARGTRCTWHIAAAVDMHYAFDPS >Dexi3B01G0034200.1:cds pep primary_assembly:Fonio_CM05836:3B:36977376:36983071:1 gene:Dexi3B01G0034200 transcript:Dexi3B01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTWLSSQFPILSLDSSSSSTGGPLLVSSGRASVPVRREDDESRLQRGKREESHVGSGVTVHLAYTQLSLSEKEMKIVLLLPLLLAALVSPWLPATAARDCLKTCGDVNITYPFGIGHDCYLKIEGSDQQLQPFNVNCSDRGDDGSLLPKPIPIIDGNMEVLSIDVLNGKVRVKNLVNSLCYNTSTRSMNDPIMWSYEMFPAFRVSDTDNKLTVVGCNVLAYVWSHDGGQDDKYIVGCNATCSHGVRSLPANGSCSDENGCCQAPIRPGKSFYVTFVDGYDNSSGHITGFGPESPCGYAMVVETKAFEFRPTYVTTGELGASGVKMPMVLDWALDNKTCTKVCRGANTKCLPSKNGAPGDMKETLTIIMAAKVQ >Dexi3B01G0030630.1:cds pep primary_assembly:Fonio_CM05836:3B:30656895:30657110:1 gene:Dexi3B01G0030630 transcript:Dexi3B01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRWLGNRNINQLRGLVLEEVLSKGGFEVLSGLLTCDPGMRLTAASPITWTSSTVMTIVLSPQLLQFRLA >Dexi1A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:1A:22842461:22846101:1 gene:Dexi1A01G0015830 transcript:Dexi1A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHHPSLLRPPVLTLRAGALGWRPTSPAFLSTTVSAPPPPPLLRLRPLRAAADGASPISGDGGKRVVPPPSLQAALLGFVRSNFLPLASTWLHRTNPANQGAWRSIRSLACWTLRTTYMFDIASFCQTCLILTITMHFTGLAMFCCMPTTLSSGVTLTQLVGGNSALALAMTVLSNLLGIITVPLSLAKYIGAGAGVSLPTEQLFRSMVTRLLIPLIIGKVARETSKGIADFVDRHRQGFSIASAVLLSLVCL >Dexi6B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:6B:2105885:2108913:1 gene:Dexi6B01G0002400 transcript:Dexi6B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPQPGSATCATKTGRRSPNRGGGSARPPTISAAAEKLCPCRHRRRRAVLFSSAPHLLLFDSSFGPAMAASRPLPLRLAVPLALALLLALALVADFLRTSSSSRRVPALSSSSTPQTVKGKRAKDVERVVGHLNATYADLPAPRWDWEEMPAAPVPRLDGAAVQIGDLLYVFAGYESLDHVHSHVDVYNFTSNTWTDRFDMPKEMTHSHLGMVSDGRYVYAVSGQYGPQCHASINRNFVLDTKTKEWHELPPLPLPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRVEVPIPRGGPHRACVVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGAEWKQVSPMPKPDSHIEFAWVVVNKSIEIVGGTTEKHPITKKMILVGEVFRFDLETLVWLNELRFALPLFQTWSVIGRMPFRIKTALAGYWEGWLYFTSGQRDRGPDNPAPKKVVGSMWRTRLHL >Dexi3B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:3B:630021:631538:1 gene:Dexi3B01G0000720 transcript:Dexi3B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPSTSAATPPGPSSAIKRQRNAAAAPLSDVTNLLLPETPTPIKPARSRRPTLPAASEASSTCSSTASVTPAPNPSSAADPDKDRSVLKSPISTVYARRGTTETQGRRRNPATTNNNNNKGKEPVAAAGTASCPPLGRATRKTTRKDSVAQDTRPISASAPCHGAKKKRPPPSTPKLPEDFVKKQRAYFAEIDAFDLPVEEVSESVLE >Dexi1B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:1B:11406044:11406671:-1 gene:Dexi1B01G0011320 transcript:Dexi1B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVAARSRALLQAVSSSLLRRSCLPTSRRASCINRLPLVSGGLLSALPLHSAVASARLQSAIASESRSWCLVPQGNW >Dexi6B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:6B:18838040:18841677:1 gene:Dexi6B01G0011660 transcript:Dexi6B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNVTFYGHSTPLMAALVYHSLKSMKLLLKAKFYEPFSQAGADVNGNGSLVPPLVFATMRGGYTNEVRLLLKAGADPNIPDDLGRLPVELAALNDCIEEVEMLFPLTSPIRGVVNWSVDGIISHAKLEDGKPLAIDHEPDATLYSNRSLCRLQMGDGEGALSDAYKCRTIQPDWAKGCYRQAAAHMLLGVGAWK >Dexi4B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:4B:7901715:7905931:1 gene:Dexi4B01G0010550 transcript:Dexi4B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIREVIESGEMSGGHGAAAAAVEKAVRCLGRGFDMTGDLRLKHCKDAGGCLVLRSGEKNAAAAAAKVTVPGFGVVADVPADVKCGKGDRIRFKSDVLEFNKMSEVFNHRNSLTGKIPSGFFNSCFGLESSSWAEDAAATKCLAFDGYFISLLDLRLDCRPLALADHVLRDVPVAWDPSAIASFIEKYGTHIIVGLSLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGTCTLPPSNRKSRDHKLKVPGAFNVFDAQVVQQRLKGIIAPVSCKEGVTVTHYKRGGNTAASDHSEWLLTVPAMPDAINFRVVPITSLLKGVAGVGFLSHAMNLYLRYKPPMEELRYFLDFQHHRLWAPVLSDLPLGPCSNRQGASPALHFSLVGSKLYVSPSQVIVPRSPVTGMRLHLEGKKNNRLGIHLQHLSKTPTFINERSSKQPIWRGSETISDERYYEPVHRKMFAHVCTMPVKYNPRWSSAAGSPSAFIVSGAQLHVKAHDSTNILHLRLLYTELLGYTVVQSKWAHNTVKLSGKGSFLSKSFAASSGVTDEQRHPARVHIDSGVFPGGPPVPVGTQRLLKFVETSQVTMGPQDCPGHWLVTGAKLDVEKGKISLHVKFSLLAPVS >Dexi5B01G0028760.1:cds pep primary_assembly:Fonio_CM05836:5B:30062256:30068862:1 gene:Dexi5B01G0028760 transcript:Dexi5B01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQSRLRAKKAEDLVAQDPAGNLVAVAPTVAGRRGRGRGGRGGGRGTARGRGGRGRGVPVIDLDPDQPCEVLPGAAVGGRAAGGAQPIEKFADKALKMDGGSAEKIAGGDDEGTGSPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGVPGVHYKGRQGDYYVLVMDILGPSLWDVWNSFGQTMTANMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEGGASGQHVEYDQKPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLYISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSDQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >Dexi2B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:2B:14395884:14403262:-1 gene:Dexi2B01G0012180 transcript:Dexi2B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALAWAVTRVLRGGLPPAASATAIPGLSLRIHRQLCGLPTADEPSSVGEADAWEEAEAEILRDVKPVVELVKDILHSGRYANGGYLSSNDEKVVVEKLLSHHPRAEDKIGCGLDGIMVDRHHEFRQSRCLFVVRTTGDWEDFSYHKCLRAYIEEKYPTHADRFLQKHLVKGSELLRVRKH >Dexi9A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:9A:12802937:12806944:1 gene:Dexi9A01G0017740 transcript:Dexi9A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVSSPLTALLPPEMAAAAAAGGVALALRGWWEKVNGSPAWQDGAFFSLSAAYALVSAVALGVVGTFLKGVHDFLVNAFYFNNHGVPPAVRAIVFGFHAHVFLLQTKICIWAYLGINDNPLMEPVSKIFISAVSFIALLGFLIYGGRLFVMLRHFPIESKGRRKKLYEVIYYKSPTYMFYRLQH >Dexi8B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:8B:3939136:3939624:-1 gene:Dexi8B01G0004410 transcript:Dexi8B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATAVPWGQKELHLHVYWQDRASGNATVVTVAKAASTNTSTTRFSAINVMDDALTVGSDMNTSKIIGRAQGIYVSDSIETSSVLMAMNFVFIDGPYKGSSIAIFGPNFIEREVREMSIIGGTGVFRFARGYVQARSVWLNPSTADATVKYDIFIRIDEP >Dexi5B01G0024590.1:cds pep primary_assembly:Fonio_CM05836:5B:26618647:26620036:1 gene:Dexi5B01G0024590 transcript:Dexi5B01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYDISCFAAGLAGNIFALALFLSPVPTFKRVLKAKSTERFDGLPYLLSLLNCCICLWYGLPWVSDGGRTLVATVNGTGALFQLAYISLFIFYADSRSTRVKIMGLLVLEAFVFALIAHASIAFFDQPLRQLFVGSVSMASLICMSASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFAMYGLLLHDFFIYFPNGIGVILGAMQLVLYAYYSRKWKASESSAPLLA >Dexi4A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:4A:20466782:20467359:-1 gene:Dexi4A01G0016760 transcript:Dexi4A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWLSAPRALSLDRTPSSCLRHDQQAARRVAPPFVLRRPGIAVVSAAAQKRLVAVGAATRMASAAGVGERPGKKTFMEEMRAAAMRLHSRDQSRHGEKEVPLEPPVATWDPTVGGFIRFLVDSKLVFDTLEAIVDRAAIPWA >Dexi7A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:7A:23363129:23363796:-1 gene:Dexi7A01G0013220 transcript:Dexi7A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Alpha-amylase/subtilisin inhibitor (RASI) [Source: Projected from Oryza sativa (Os04g0526600)] MAGPGPGAVRILLVFLSVSLPPGGAAPSPVYDTEGHVLSADADYYVLPVGHESGGGLTMAPGVFRPCPLLVAQEPDPHRRGFPVRFTPLHGHGGDRTVRVSFDVGVHFAAMTTCVQTTEWHVDAGDEEGRRQVVTGPVMDSTPAGREKVFRIERHSHGYILVWCGVPTSCQDLGVFRDDDTGHAWLAVSSGAGQAHVVVFEKAPSIPAVRVHAE >Dexi8A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:8A:28933446:28934398:1 gene:Dexi8A01G0017200 transcript:Dexi8A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLINNILHMISHFAEFQLDCSSFFRIIKGIAQALHFLHEQRIIHRDVKPTNVLLDNDMNPKLADFGIATVLQETEEWDTRVIGDINYIDPVYMTTGRLSTKSDVYNFGVTLLKTVRGMCRSKQPLDDTTPPVKWAWEVHQDGQLKDLFDPSFCDESQLKEMKRFLVIGLLCTEYEQHDRPTMWDVLEMLDGKKEMPAPTERIRFT >Dexi6B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:6B:17762056:17771093:1 gene:Dexi6B01G0010930 transcript:Dexi6B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAAGYGGSCRKPRCRHARAVAVSGSLVGALLASRHRVQSAAAAAAGPASNSARLVAAGRAEKVDDGGRAPCSGAGREEDGVMASCGLTPRSSFCPGGPGLRRDSSPSVPSRLSLCPRLRLPTQRRRSPPGPGDRHRAAGAARLGRLRSAELPASFPPAPFPAARRSAAAPRGLTQSPSPPEWPAPESPAASGHRRPHLVDTMKVGFQYLTNVSSSTRASDRQRCSNLYATSCATDPDIAPREAYSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAKGVELTPKSELLSPDEIIRIANLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVELGYNPVKVNCVIMRGMNDDEICNFVELTRQKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVV >Dexi3B01G0036000.1:cds pep primary_assembly:Fonio_CM05836:3B:38946528:38948873:-1 gene:Dexi3B01G0036000 transcript:Dexi3B01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIQSRSSYSDEIQKLKRRLKEARQRVIDSVPVRQPNGLPTSVMLDASKPCRAVTRNPVGIEKPMEELLSLLHEVDGEPQQLRVISIVGFGGLGKTTLARAVFDSTHVKETFQFRAWVSASDTSPEISQRIKAILGDILQQVVPKDGMDIDNNSLEASLKEYLSDKRYLIVIDDVHMDEWRAINSAFIDNNSTSSRIILTTTMQSVANVCSHGNGYVYQMDTLGEEDSKKIAFPGISSPELEQGSSALLGKCDGLPLALVSVSDYLKSSVEPTGELCAKLCRNLGFHLKERHDHDNFSDLRKVLLDNYDSLAGYALSCFLYLGVFPNNHPLKRKVVTRRWLAEGYARSESLRGEQDIADENFNKLVDWNIIRPIVTRNNSQVKTCKAHGIMHEFVLHKSLSHRFIRMLSPDHPRVGANANSARHLSVHDGKLTECEASDEDLSRVRSLTVFGDAGGAISYVCKCKLIRVLDLEECTDLKDNDLKHICKLCHLKYLSLGDSIHELPRCIDALHCLETLDLRRTKIKSLPLEAIQLPHLTHLFGNKLMLDKNDLNNTKKMSRLEKFISGKKSNLQTLAGIVADGSKGSSISAGKVGKIALPQIDCRPA >Dexi4B01G0021750.1:cds pep primary_assembly:Fonio_CM05836:4B:23636244:23641442:1 gene:Dexi4B01G0021750 transcript:Dexi4B01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPAGLRLDLEMVKAAGAAAPPRPAHSAAASSTLSEASNASSSSATSSVASLSLKRPRTPRKRPNQTYNEAAALLASMYPSVFPVARGAEAPPPRLLGLASALADDPGCSDLLPPFPVLDQAAFLLRDLPPPPQSPSAPVPMSPVVPAKSCPSPAAVSSVFSEFRDPAPSPATPDAAAADELGELDFDDDDGFDADSILCGVDESAAEGIDGIMGKLSMENNVADVASVSSDLPRSKMHSYLRSLMVLGLQRNINQALKGHNVDPEWWMCPAIPVKDIAPPPLVPLVAKPTVSEKKKTKKKLLDSLYKDVATAYCKKEEEWIPDFGNAGTGVLTLPETGLGLRLNTERVLKAWRGRGSVFADRNASDLSLSSAHVVVKREDSDMFPENSTSSVIRQGNILKMQRKLKPCTPLPSNKNSRYYRPRVNGRFVSKAYLQQQQASEKEI >Dexi5A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:5A:2392265:2392621:-1 gene:Dexi5A01G0003230 transcript:Dexi5A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSIRRGLTIDPAGEEEAPAARVGRLVRESPVVIFARRGCYMAHVMKRLLAAVGAHATVIELDAAAEEELAAAADAGHGAVPALFVGGDPVGGLEGLMSLHLSGRLVPRLREVGAL >Dexi6A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:6A:23980869:23981663:-1 gene:Dexi6A01G0016110 transcript:Dexi6A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPGERGKRGAAGDGGGDGGGLEWELERQFDFEREMMLMAAAAAPGAARQPKHQQQQQRNRPFTADLLQNCDLPPPAKLFGPVPTRQRLESAAGTDQKGDVIGGGGGGGNNDSLLRALRLSQSRAREAEEKLAAAGASNGELAALLVRDSVALSAHRRWVMMLEAENSLLRGGGGAAEPDPDDDADARRGGGGGGLAAWWVALAVCVGIAGVGLALGRFLC >Dexi7B01G0021320.1:cds pep primary_assembly:Fonio_CM05836:7B:26406304:26409561:1 gene:Dexi7B01G0021320 transcript:Dexi7B01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPSGLVVGTPQPLGTSSLRIIVQPLGRRGSASLHSSLKTTTTTGRTGHWHAIIEEQLKTFRLIFKGHLELDKDMPFREDIQRIEEFQKAMSSLNTSIFHIEATTFSLYFCMIATRGVKFASRVMESAALRFDKQDEVSSCTTKQILRPTMTDAMIFTMSYISAMVAYHEKLLGYVPGTKGRRRST >Dexi2A01G0023450.1:cds pep primary_assembly:Fonio_CM05836:2A:35169608:35169817:-1 gene:Dexi2A01G0023450 transcript:Dexi2A01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTSRSPEQPSQQGHGRHASGAWASVPHGLGARGTCRLPRCLAAWQPQPGGGVPLLRCGWAPAGERS >DexiUA01G0011700.1:cds pep primary_assembly:Fonio_CM05836:UA:23353669:23356000:-1 gene:DexiUA01G0011700 transcript:DexiUA01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTAEAATGAAHDSPKRSEFLSLFPTSHFFWLDAVRHDRAEVIPQRLAVVTGGNKGIGLEVCRQLALQGVTVNNAAISGIVADEEGLKALNIDAETWTSGRAANLLKEVFQNTYDEAFNCLNTNYYGCKRVTEALLPLLKQSTSGARIVNCSSLASELKRMPNEKLRNDLCNINIWDEDRIEAVLKTFLEDLKNGRLEEAGWPMMLPTYSVSKMVINLYTRIMARRYPEMRINCVRPGFVKTDLCWNLGVLTPEQGARGPVMLALLPDDGPTGCYFDQTEMVKVW >Dexi4B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:4B:7723189:7728036:-1 gene:Dexi4B01G0010390 transcript:Dexi4B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSNGGAVAANGPAPGRLASVYSEVQTSRLVHALPLPSVLRSNFAVVDGPASSAAGNPDEIAKLFPNVFGQPSASLVPAAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSTMYGFKGGPAGIMKCKYVELTSDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLAEYFRSRNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNAALIGEEVAAKKQTLKNVTDYITDIICKRADLGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLEPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLITMVETELEKRKAEGRYHASFRGQSHFFGYCYALGYGSGALLQSGKTGLITSVGNLAAPVVEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASMRDEWAIKNRYISPGPIQFSGPGSDDSNHTLMLELGAQA >Dexi4B01G0013540.1:cds pep primary_assembly:Fonio_CM05836:4B:12229691:12247108:-1 gene:Dexi4B01G0013540 transcript:Dexi4B01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKNSEGGGPWLRSRNNFLGRAVWEFDAQLGTAEELAEVERMRREFTEHRFERRESQDLLLRMQIFALYVTKSLNVVLSSEHREEICRYIYNHQAWRFWCFCRMVYMPMAFIYGKKFVGPITDTILSIREEIYSVPYNKVDWNIARTSCAKALNMICCWVKNPNSEAFKQHLPRIYDYLWVAEDGMKAQVYDGCQSWETAFIIQAYCETGLVPELGPFLSRAYDFLKKSQILENHPNSENYYRHRSKGSWTLSTADNGWCVSDCTAEALQALLCVSKASTNLVNEPMQVQHLYDAVDCLLSYMALNPSESFRNIVVDYPSVECTSSVLQALIMFGGLYPGYRTSEIEFCIRNGAKFIESKQSKDGSWFGTWGVCFTYGTLFAVKGLVAAGRTYEDSYSIRKACNFLLSKQRGTGGWTESYLSCETEEHIGCSNRSIYFNYANYRYLFPIWALGALRNSLVKRKSNVGT >Dexi4A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:4A:2334418:2334786:-1 gene:Dexi4A01G0003210 transcript:Dexi4A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTALAFLILTGSSITAIHRSQYDAATTAFVAASYACLVLLFCFLRRFEAAPPGSPARGRARAGVWLATAALTAMFSWRVAALVPWHVAAGVWLLGGSTVVGGFYALFLVGPARGDEE >Dexi9A01G0021960.1:cds pep primary_assembly:Fonio_CM05836:9A:16934586:16935275:-1 gene:Dexi9A01G0021960 transcript:Dexi9A01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFKSSSRPAAMGRCQLLLALISFSKWQPDLGCGNNAAISHHVFVLTICERRCREDYGLNFVLRALSDQG >Dexi5B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:5B:2511425:2517135:1 gene:Dexi5B01G0003660 transcript:Dexi5B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAPAAIPAPAAEPLAAVAEEGGGEGEAPEAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFKLERQLASSQVPKEQQIDLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSNNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGEDNLRESMDVDSSLSDTANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSMGAIMYEMLVGYPPFYADDPITTCRKIVHWRNHLKFPEDARLSNEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNGELDTQNFMKFEELENPPARTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKAIKISDLQRNSSLTRPSIGSIFGPPGMDSPMEPNGRDTHMHTVSSGDPMIP >Dexi4A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:4A:6260030:6265364:1 gene:Dexi4A01G0008320 transcript:Dexi4A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPAPQTSEQRVAEPSDEEDLPPLEGSSMTKARKPSTRPSPATCMSSVICRQHEEGGGEREAAMATRRWRGRQRRGRGRRRRGRRRFGEVAIWRSRRKPGDLWEFGEVLKIRGSYSGYRCRCRKLSKMCAETVRHGYSASSQCGEPQPGLQVRQLLPFVLSLQPITLPSSLLQIAEQRRGTSYMDVIQTSDSSHHGIVENSPYRIPYGRNTEGGKLGNSWYFSRKEIEEYSPSRGDGIDLKKESYLRKSYCIFLQDLGMRLQVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVILISYEIIHKKDPVAVQRIKQKEVYEQQKELILLAERVLLVTLGFDLNIHHPYKPLVEAIKKFKIAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRHLEEISNQILELYEQSNVAPPPSQGNDTNGSPASVANHRVAGKAPGTVDAPTTHEQHQVSRLSSQQSVPGHRGYDHPFPEKQNSNQRTPQDEARDGAASSNDGSKMSSSMMDAMKKIDKDKVKAALEKRRKSKVDVSRKVDVIDDDDLIERELEHGVELAAEGEQNKQERRQSWPRPAHREDQEKAVRGMENTEEGELSTDSQEHHSPALDNRRRTDAHDHRSYNRGERDIKR >DexiUA01G0013450.1:cds pep primary_assembly:Fonio_CM05836:UA:28118587:28120323:1 gene:DexiUA01G0013450 transcript:DexiUA01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFPRRRRRRNKKAIPAKASQEACVEWSTLPHDILYSVFLKLGRHEMMQGAEFVCAAWRCVAVGEPALWRRVDLADKLLWLPSTSPAEGAMVRAAVDRSAGQCVSFWGPLDDALRLHLIERIIELTACR >Dexi1A01G0029550.1:cds pep primary_assembly:Fonio_CM05836:1A:35009216:35012382:1 gene:Dexi1A01G0029550 transcript:Dexi1A01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLAQPKGLQKTALYGKVVGLVPRVSRRIPQSPTQLPACPPPPIPHPSGGGGMEVGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSNLLVIHILLKSLTSQEGVGRAERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCMLDLDAIYAREEAALRQAEIDAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVRSPYMPENVSGGTAAANERVKKVIDFERKRLHARVPGLS >Dexi1A01G0023360.1:cds pep primary_assembly:Fonio_CM05836:1A:30037123:30038211:-1 gene:Dexi1A01G0023360 transcript:Dexi1A01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKPPSTAASSAGSETPNPHAFTCELPHSIYALAVSPTAPVLASGSFLEDLHNRVSLLSFDPVRPSAASFRALPALSFDHPYPPTKLQFNPRAAAPSLLASSADTLRIWHAPLDDLSASAPPPELRSVLDNRKASSEFSAPLTSFDWNEVEPRRIGTASIDTTCTVWDIDRGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRFDLRYMAALLMDSSAVVVLDIRAPGVPVAELHRHRACANAVAWAPQASRHLCSAGDDGQALIWELPETAPAPAPAPGTPVVPAEGIDPVLVYDAGAEINQLQWSAAHPDWIAIAFENKVQLLRV >Dexi9B01G0045390.1:cds pep primary_assembly:Fonio_CM05836:9B:44874361:44882624:-1 gene:Dexi9B01G0045390 transcript:Dexi9B01G0045390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGHELGSKPGWVRRSSRHSLVPRRSSAVPVKPEEYVSPADIKFDGDAPGGFSRRQCWGNVCGNTPRCVANGACSSSRRPSAASRGRDRRLVAVGFLISAAAGLIGTARDPRLRWCGLGRWAQLRKSEGVGWAISASGGKLGITPYVLLRRPDGGVFTDDVSEASPVDGYFMRYKWYKIITLKNSLHQSLTNMDIKHFIKEGQQRCSQEALKQLMVEFNKAAQSLTDAIIPAAQKKLALNRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIQHDLKDVKLWQIHTLLKGLEKIAVSADIPMLVCGDFNSTPGSTSHGLLAMGKVDQLHPDLAVDPLGILRPLSKLTHQLPLVSAYSSFARMAGVGYDLDHQRRRMDPTTNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHLALLAEFRCTPRVRR >DexiUA01G0005890.1:cds pep primary_assembly:Fonio_CM05836:UA:10627993:10628415:1 gene:DexiUA01G0005890 transcript:DexiUA01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPSPSARPESAPQKSPAVEEIRINPKPARRSSRTSARYRLEELPSSHSGGGPSPANRVGSLATTSTMHRLPAVAATAASEGAPTATGGREQHSDERLAADRAERRWLCQRRPMRTIAVAQVVAVTVACRRMQWPAEC >Dexi6B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:6B:5384310:5387470:1 gene:Dexi6B01G0005810 transcript:Dexi6B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASRPAAASSAPPPLPRAPRRLHRRRAPKATQPAAGVAARRGAGPATPQHRWAARGGEGNAGGEKPRGDPSSSVRRLAAAVWRLRPPEEAPAAGQRDSAARVIGLEHIPRHLQAQLLRKDPLNHHHRLKDDISSPNSVLEPHSGELHKVHLRLASGVEDATKWEPLTIKSIEPDGAYVIASQLNLVEEQHGGSYVASLEMELQQARDRVSKLEAERVSAKKQLDHLFKKLAEKKAAWRHREHKKVRAILEDMKADLEHEKKNRRQLETINFKLVDELKEVKMAAKQLLQEYENEQKTRELTEEVCNKLVREIEEHKSEIEALKQDSVKLRGELDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEQLSKLQEDVEAFISTFSSSKGDSTVVEAACNIVQAIGAIRDDEVEFTYEPPRASEDILSIFEELRPSDEAGAKDTEPCPNQSYANCESEIQEASPTADIFLENRAKLFPEGSQSDESETEDGSSWETMSHGEMQSSNHSPYGSEPSVHKIFDRISWTSGNDSEGGQTNKLCDELSNVYPTDTKQPKKKESAISKLWKSSPLKNCEFRTKDAAEIASRRSSSASLPNGVYSTSKCLNLDMADSTPSTAHWSSPDSMNSHLDRGFRGCMELVQRQSLKAKLLEARMETQKIQLRHVLNQKT >Dexi8A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:8A:4157785:4159207:-1 gene:Dexi8A01G0004740 transcript:Dexi8A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPAAASSSSSSSAAFPRTLATAFTTFGHPLHVSLRLAEPPAASRVCIQLPRGIETEWPTVVAAHGDSLLITIRLVKDMGPYIQRSAPDFFVYNAGAAVDDDAAPRPPSLSLLPPCCVTEETSARLRSQDMLSYATYATGLLRHSEDEFVVAELMLKEVSTTNTPGMVTPELLLFRCGEWIVKRPLISHDGRELRELPLWSTTDITGTVPAGDRQLCWVNLSTGVLICDNVFDENPRLHYVELPVDPCHGQPELYRNVCATAGGGALKFVNVYRRCCCGGVGVTDCQRSHGAYVINTWTLRMSDMKWVKDAMVDATELWALDAYKGLPCIPLDHPDVSMDEPYVICFVLLEIDEETYHQKSWMLMVDTRSKTIRSVLPADPGDQAWDPSETLIPSDVSYYLNSKAQSQVDMERSLVQIRDDDDDCREANDSILQSSCDGKSSA >Dexi3B01G0031140.1:cds pep primary_assembly:Fonio_CM05836:3B:31547427:31548321:-1 gene:Dexi3B01G0031140 transcript:Dexi3B01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRELGGTGLSVSAVGFGASPLGNVFGDVPRDTARAAVRRALDVGINFFDTSPYGNTTPPSPLPSPSPLRATTDSPRARRYYGDTVSESVLGDCLRHAAVPRDRVVVATKCGRYKDEGFDFSAARVTRSIDESLARLGLDYVDILHAHDIEFTQLDQIVNETIPALKKIKESGKARFIGITGLPLSIYQYVLDRVPPGSVDVILSYCHFGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPDWHPAPEQLKVSSLT >Dexi8A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:8A:893695:893742:1 gene:Dexi8A01G0001270 transcript:Dexi8A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYRPNRSSYRSNRAA >Dexi4A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:4A:22578848:22580043:1 gene:Dexi4A01G0018610 transcript:Dexi4A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKKLPPFLFTLLLLLSTAVTPILSLPDAAAAAAAAADHEVDCDCDKPPKGPKPSHPPKTKPSYPSPSPKPKNPKPPKGRPSYPSPVTRPPKGPRPPFVGTPKGPVTRPPVVRPPVTRPPIVGPPVTRPPVVGPPVTYPPITGPPTTPPLPSLRSPAHRYRPSDHRPTGXXXXRPPVVGPPVTYPPITGPPTTPPVTVPPITGPPVTVPPITGPPVTVPPITGPPVTVPPITGPPVTVPPITGPPVTVPPITGPPVTYPPGGGGGGGGGSSSTPCPPPPPSPVTPPSSPTCPADSLKLGACVDLLGGLVHVGLGDPVVNKCCPLLEGLVEIEAAVCLCTTIKLKLLNINIYLPLALQLLLTCGKTPPPGYTCTV >Dexi7A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:7A:11968880:11972005:-1 gene:Dexi7A01G0003050 transcript:Dexi7A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKITQLMGFRIDGEPRLGSTLTACGYPTNGTALCYFQWVHLENGIRQYIEGALKPDYVVTADDVGTLLAIDCTPFDDNGRHVSSQKLRIIEYLSSFFSSSSSELNSTYEPEMQSHIDACILNGRAEFEVFLLHSPEEWELATLVLTRPSCQIKLKHTGEVIVDEQLRDLIVLVIRSFQKKAIDAKRKGKAV >Dexi6A01G0016860.1:cds pep primary_assembly:Fonio_CM05836:6A:24693940:24695451:-1 gene:Dexi6A01G0016860 transcript:Dexi6A01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESWLLLATLAISLLCYYLANLRSRSGAAPPPPGPRPLPLIGNLLDLRSGNLHHTLARLARIHGPVMRLKLGLTTAVVISSRDAAREAFTRHDWRLASRALPDATRALGFSDRSMVWMPSSDPRWKNLRGIVATHIFAPRSLAAARGVRERKVRDVLSYFHGRLGQEVAVGEALYGGALNLVSNTFCSVDVVDVGAESAHGIREVVQDLVELIAKPNVSDLFPFLRPLDLQGRRRYAAYQIKKVFSLLDGIIDRRLAEVAAAASSMDKQAGDFLDTLLGLMFTGKVTREDVTTILFDVFTAGSDTVAITVEWAMAELLRNTNAMDKVRSEIKDALASKETIGEHDVVNLPYLHAVMKEAMRLHPVAPLLLPHKAGEDGVEIGGYAVAKGSTVIFNAWAIMRDPAAWERPDEFLPERFLEKAVKVDFRGKDFEFIPFGSGRRVCPGVSMAERVVPLILASMLRAFEWRLPDGMCAEKLDVSEKFTTANVMAVPLKAIPYLVA >Dexi6A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:6A:22984653:22985299:-1 gene:Dexi6A01G0015500 transcript:Dexi6A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCASPTTALNLLTRDKIKDVNVVLANAAKVAACGFDFRGIVESDLFIPVVYFLPLDHKATGGEADELLRTLMSATCIIKKPLDASQVCNLWRDIAWRMCCLGAKANQAGRSRVVPAAGGEARGPAMVGEREDEERVHFRVVNTGGSRKRKSTAGNPGGSSGTSLAGLISWLCFRSKHQS >Dexi9B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:9B:10704988:10709621:1 gene:Dexi9B01G0015660 transcript:Dexi9B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPLGARGRRSLLFPLILCCFCCLAAWAPPPAARALPLCTDGRAPVPLNKTLSFCSTYGSGGSSCCNAAADAALRKRFDAMNVSDAACAGVVKSVLCALGPDNGAQPCQYQVVVAEYSAEISSSNVSAATSANPSEDAYEEVDLITKGGNYGWRVYEGPYIYQPKNSPGGNTSLHSINAIFPVMGYDHSTVNKKIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSLMWTGTEIPEGSGNYTSATIPLSCSNNSPIACESATGSTDPLLGYIFSFGEDNSKDIFVLASKGVYRVVRPSLCGYTCPAEKLATNNGTAPPGPSSSAPTTGLGKTVSVALTLIVCLFYS >Dexi2A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:2A:25232962:25236706:1 gene:Dexi2A01G0014950 transcript:Dexi2A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIKKKFLEPDQRRQEMAEVKPEDMVHHMPMDQLQGFEYCIDSNPSWGEGIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKAKVVQTLLFATGIKTLLQTLFGTRLPTIMGGSYAYVVPILSIIRDPSLAQITDGHTRFLQTMRAIQGSLINPSSKLIFEEKYLQVGKCVEIGLPMLILFVALSQYLKHVHVRHVPVLERFSMLMCIALVWVYAHILTASGAYRHTALVTQINCRTDLANLISSSQWISIPYPLQWGAPTFSADHAFGMMAAVVVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVLVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAIYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYSTSSQQGPAHTKAGWVLKLPNILFIFMQFNDYINTIFSSPPTVALFVAVLLDNTLDVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >Dexi3B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:3B:2131053:2131757:-1 gene:Dexi3B01G0003270 transcript:Dexi3B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGDPPESTRLRIGDDIAWSEINGVYDRDDSLKENTNPKCILKNHPHHNGSSQRFSGNLKPTAAPIIGLSGKLGAQGGGARRHHQHPPAIFPKKAKTGGGGRAPKTAVPEPESPKVSCIGKVLSDRERVRLGRPPRTRGSSRPPGCCGGFGFLMRHSRSRNSTVECVDGQSPPPLAAAARRRETKEAEEAPAPAPAVPGLGGMRRFASGRRAAEWAAEMEDDGRVARSGPL >Dexi5B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:5B:5510933:5512827:-1 gene:Dexi5B01G0008210 transcript:Dexi5B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGYEHDGDQPDDKRMRRLPSFSTVIREAMMQRNMQTLFRLLEPLFRRVVQEELQAGLMQSPRYMERSRSPQTPPSPRPAWKLAFRTPPHLPIFTGSKIEDDAGNPLEIILVDVETGAHVALPQAVRVELVPLFGDFPPDGREDWAPEEFQKGVVKEREGKRPLLTGEVALTMRDGRVTVSELQFTDNSSWVRCRKFRIGVRAVPGSGGGFDGARIQEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLRQHKVVTVQEFVRMLTVKPDELRRVSLSRFFTLRRPRSRRRSGVDGMLCFFVSVAYQILGEGMTDRMWEVTTNHAKTCVPDEKVYVYATPHGTIYVNSVFNLVKVEIGGMEWPLQQLNRGQAQVLVQQLMLEAYELRHSLQEAEAFMIHGHPANNVPLLQNAAHVTVPAPTETQLWYQNTPEIEFPVDDVVPLPQPNNNNFGYQWPGQVFHMPG >Dexi3B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:3B:185196:185302:-1 gene:Dexi3B01G0000220 transcript:Dexi3B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFLCHTYAGFFAVCPTESTRRRF >Dexi9B01G0026320.1:cds pep primary_assembly:Fonio_CM05836:9B:28247015:28247830:-1 gene:Dexi9B01G0026320 transcript:Dexi9B01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYPCNPMLYVPHGMHIEQGWKRPARSRVALGGEPPRRHEQFAILSLHPAPEVQAQIPELIDDVVAMLHHDFPVRVVSAFPVVISSGSILRGNGQSWTAVVYILNGQFPDAFRPDEDPVPVDGNPHPIHGDPLVNPNVVPHWHHDVAGAGQDLHAEMGMNQEQV >Dexi8B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:8B:14797078:14797534:1 gene:Dexi8B01G0008910 transcript:Dexi8B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTFKRDLRCCPIFINIEILLLDDWCLATDLRALRRFLHNTPILETLILEVVNEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGVFSYVEFLVHVHRQIQSKMT >DexiUA01G0008520.1:cds pep primary_assembly:Fonio_CM05836:UA:16004512:16010345:1 gene:DexiUA01G0008520 transcript:DexiUA01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLVDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKVVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTSGIGLETIGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIAYSVGKFPLLANSRAKAIDDAEGIVKVVAEKETDKILGVHIMAPNAGEIIHEAVLALQYRQRHRRVNIWAVKHWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRRFVEKCLATASQRLSARELLEDPFLRVDDMAFSSEDGDYNVITRYIRQPSSLGHTYSNGSMMSNGFSDSIDEDASTEDRWDCEDDDMKGQDGIDLFNEHEDEPLGNVDITIKGRKSEDGGIFLRLRITDDDGRVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIADMIDGELSKEKKILVDEVMAGSLRQHELEEEMEEQNKTFKIHLDDLTRRKRRALAARNRAFEKLQTYREVTDEEIRELIAELQEMVNLNNQKANAIRQLMSIDIAVRITYQARIDKVKEDLEERIQELGRRSTQLRETVGYLDSMDAELIRTEEELEYHRQEARRLRDLEAWVLAEYQDGEQQEVEPAPAPKKPRRDDPFLRYFWGPGSEVHLGEYARACAQRVQEEQETDQ >Dexi4B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:4B:20722591:20737592:-1 gene:Dexi4B01G0018390 transcript:Dexi4B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGERHDEENPSTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDEKAEDAVDYEDIDEEYDGPEVEAATEEDNVLSKKDYFSSSAAYASVNNTVSVFDEENYDEDEETPNDNESPGDNAVQNLSSVSEQAEMATSSDNLALEKIDLLSHAEETMGIEYEVLENEMGTEEGQLQPESVPSLPVLCIEDGSVILRFSEIFGIQEPILDEKNVDHLRSHARAMIVSQSMKTSTPTVENFDNQAKTLSGRFNISNDNKEIANFHRPKAKWYPHENKIAAKLQGAACSHGRMTAILMTLGGKGIKILVNAEDTPVSVKLKALKKLELKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRSEVNLWPKAQKLPGEDKPLRPPGAFRKKTDLSVKDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKTSPADQTATSLRNRGDGLGTVLSIDPADKSPFLGDIRSGSHQSCLETNMYRSPVFPHKVASTDYLLVRSAKGVLSLRRIDKLYAVGQQKGPKGYFFWTQRPDFRVPSEEELRRLLTPESVCCYESMQTGLYRLKRLGIVKLTQPVGLASAMNQLPDEAIELAAASHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVAPKAPASNSMLKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAMDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTSTADLRSDKADGMRGLKMRRCPTHAQINEEIEDDEAEASLAKKLLEDSGNDMKRKKQPEGLTNCGTSIGANKMKQNKTGQMIKSSGHAGALTPKESTPREAKEAENSFAEGGLPSKLKTKVAFDGNDIILVKKKSVLGKDGPKEKGQGARGDTLVCGACGQLGHMRTNKRCPKYGEDPEISEMDANSVKSNPADIANHLPTKTPKRLITKVSSEVNETEGPEGIEKTKSVPVKFKLGATDKSLERNISLSGSIVSDKRVMDVTDYRSTGKVNKIIIPNKMKSDDFPPDTPKPSVVFRPPAEEKDVPRKKITIKQPKVVDQQRHVEPRSVQEPIRKTRKIVELSSFEDKSREDDYWFSGEPSQTNSSHERRLGLEGKRRSKAVMETEKPWRDFEEQKEMPQQRPIDARIYASREEDHQKAKKKNKKKKKHEFRDDDLLDLRPYRNDRKVPERHRAVKRSTPVDVIELPPSAKRRRGGEVELSNILEKIVDHLRGEKQISLLFLKPVTRKDAPDYLDFIERPMDLGTIRDKVRKMEYRNRNEFRHDVAQIQLNAHIYNDQRHPHIPPLADSLMELCDYLLDESAELLDEAEDAIEQ >Dexi1B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:1B:23601939:23609027:1 gene:Dexi1B01G0017240 transcript:Dexi1B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSRITSKRVGMFLETCYPSSYILIDSHPRRHDPSHVVTHRIQASVVEFAASLCRCTFQRKTSRWADILMAVNSVVSHEIMFQIHSKSSLTEPYVAHVIGESLYGGATMFVGNSMVIRDLDMFGKGWADYTTDGNSVFCVVGDVSFLHDTNGLALLSQRARRKPMTIIVVNNHGGAIFSLLPVAKNTSPEILKKFFYTSHDISIANLCAAHRVKHFLVETKAELHDALVKSKAEQNDRVVEVNNSIDSNANFHRIMNMFSAYSTTQYLDYLLGAPCSKSELGAMPVSRIHGAEYMLYRIQLSAPRTSGQSDDRFSHEGFILKLCVDDSTAGFGEVAPIEIHEEDLLDVEEQLRFLIHRMKDSVLDVIPLLRGSFSNWIWTSLGIPPSSIFPSVKCGLEMAIINLLASKWKCSLSEVLAGSNPLVRDHKSSESIEICALVDCHGTPTEVALAVAKLVAEGFTTVKLKVGRRESPIEDAAVLHKIREVVGYQIKIRVDANQKWTFEQAVEFGSMAKSLHLEYIEEPVSSVTDLIKFCVKTGLPVALDETIDNLKGNIIAKLHQFVHPGIVALVIKPSVIGGFENAVHIAKWAQMHDKMAVISSAFESSVGLASYIQLAHYVDQQNSTVSRIKNKDTCGAAAHGLGTYQWLREDVTKHKLNIHATPLGDGVRASVEGAYGYLHHLNINIDKIERTYSEEKLRSYSIQVDVDDCSYLVKLQEAGDHTNEKVVLLLHGFLGTSDDWAPMMKALSPVARVIAADLPGHGESQMLQHQVENSEQFPVTVQSAADLLLKLIHKITDSEVVVVGYSMGARIALHMALSQVHQIRGAVIISGSPGLRDEDSKKRRIAIDKSRAKFLMSCGLECFLETWYSAKMWTSLREHPKFNSLVRKRTKHNNIKALAKVLADSSVGRQKSLWEDLKHLKRPLLVVAGEKDAKFIDISRRMCNEIIQHGACGSDGRDGNKLCDMIIIPDSGHAVHVENPLPLVRAVRKFLQKLQ >DexiUA01G0016810.1:cds pep primary_assembly:Fonio_CM05836:UA:35960938:35962382:1 gene:DexiUA01G0016810 transcript:DexiUA01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAGGGGATAIRVPYRHLRDAEMELVSLNGSPRAGEEGTPKDPPPRATDHQLGTGAATSRAKVVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVTLIGFSADLGYILGDTTEHCRTYKGSRYRAAIVFILGFWMLDLANNTVQC >Dexi1B01G0028820.1:cds pep primary_assembly:Fonio_CM05836:1B:33269308:33274765:1 gene:Dexi1B01G0028820 transcript:Dexi1B01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACEIRAPGAVLLRKSELPAEKNYANGHSDAAVKRRVAAMPAAAPATPRRQPSPNAGRASSPTPAGSRAKRSPSAERRPATPSRPSSGGSRPSTPSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVSETQSAPRRLSGGRAPDGLWPSMRSLSSSLQLESKGKRITSSSSADQAKMRDAAAADRKRSPLRGRATPEQSENPHAKVIDHHRWPAMMGGRVSASSMSKSMDLTDRISRSALPSVPSRGISPKRTTMFSASNALSRSIDLADKIDRLVSSSVSSRGDSPINSPASNGANDVSKSITVGKDIKPASLAISSRRVSPINTAASDGTRILSEGMDLAEKDNSTLSSVSSPSISPSESVSSVSIAASQTTTKSSERLNGPISNLSSSRGLSPRRTTSGGIGTLSRSVDFPEKDRRPSSSRGVSPRRRLASDSVNDTVRNMDFAEKDSRVVSSSIRSRGVSPRRRLASDGVDTISRTADFSGKDNRPSTSSSASRGISPRRRLASDGINTVTKGMDFDDNVNRPSTPSAVSREVSPRPQLASDGVAAVSKSTDLADRPSTLYSAQRGMSPRRRLASDASNAISARINFTEKDSGTVSSSVATRRVSALRRLSSDGIEAISKSMDLAEKDTRPTTSSAALRGLSPRRRLASDGINVISKGIDLTENSNKHATMSAAARGVSPRRRLASDGVNVISRSIDLVENSNKPSTVSAAARGFSPRRRLASDGVDVISRCTDLVENSNKPVTMSAAARGVSPRRRTSDGLESISKSTAFAEKSIRPSSSSMASRGVSPRKRLDSDGVNAVLKSSDFPDKNCRPSSPSAALRRVSPRSGSASNVISTGMNSAEKDSRLSTSSNASCQTMQSSRLEFDCVNTLSKDVISSTVSGCISDSILDDTATVEKAIDVTEKLIVARQDGVDGDDPGRMNPTEIDTGAVSSSSASQAISPSRPVTDDVKITSENVDATEKGNRTISVKVPSRGASPRRRLASDCIDTISKSMDFTEKDKKAMTVSVLSRGMSPRRTARSDSSNVMSKSMDFSDKCNGPIPSMIPSRVATRRVLGPDGANAMSRSMDLTDKIRQQISSTVQSSRASPRKMPLAYNRVKVPEVLSGDVESPASTDGNESQEENASSSPDAPSNNSEKFTPPKRLARTSSSPSRVLIRPSSPSNASSTPSFASRRLPSPSRTRPSTPASPCSSVRSDSASSVLSYISDATRGKKSPAHMEDAHQLRLLHNRNLQWRFTNAYVDEMLSIQKMKAEQTMLYSVWDANSRMCDSMVMKRSYVQRLRQEVKLGIVLKEQMDYLDHWAALQTEHSSSLSSATEALKASTLRLPVSGGAKADVLTVKNAVSSAVDIMQAMGSSICHLLSKLQGTHSLVTELSAVADKESTLLNEYRELLATAAALQVQS >Dexi7B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:7B:25608948:25610168:1 gene:Dexi7B01G0020200 transcript:Dexi7B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVTSEQRWLTWARRQAAAYLAAAGWGDDDGWAASTVAAARVVLCFLAMTVTTAVWAVVLLLLLPWPSERIRQSNIYGHFTGRMLLWILGNPIKVEGLEHLKTRGIFVCNHASPMDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYALANHLRIDRSNSAAAIASMKEVARKVANNNLSLILFPEGTRARDGRLLPFKKGFVHAALQTRLPIIPIVMTGTHLAWRINSVRVRPAPLTIKILPPVSTDGWEESRINEYVEKVRSLYVDNLPDSYKPLDALVARTKAD >Dexi5A01G0021900.1:cds pep primary_assembly:Fonio_CM05836:5A:25738127:25739273:-1 gene:Dexi5A01G0021900 transcript:Dexi5A01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASAFLCTLLLLSLVCFQQATAHGGIDDGDDGDATAPPGDDSPPVDLRSKGLIAVKVWCLVILLVFTFLGGVSPYFYRWNEAFLLLGTQFAAGIFLGTALMHFLADSTSTFHGLTKNQYPFSYMLACVGFLLTMLADCVIAGVTKRNRERVNEEAAAEEGDANMHRKDDVAHHPMFVRTSSFEDAILLIFALCFHSIFAAVAMGIALLRMIPKRPFLMTVAYSLAFAVSSPVGVGIGIGIDATAEGHAADWTYAISMGFATGVFVYVAINHLIAKGYRPQEPSRADRPFPKFLAVLLGVAVMAVVMIWD >Dexi3B01G0036060.1:cds pep primary_assembly:Fonio_CM05836:3B:38966546:38971074:1 gene:Dexi3B01G0036060 transcript:Dexi3B01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMDGPVEDPVPVENNLTGTSPTLGNEEQANPHADVQAKFDEESEKPYDEESKDRVHDELENPEHADVKNEVAGEDQAIPMQQEDAANVAGEEAVAKQQDNAVPDDQKWPGWPGESVFRILVPATKVGAVIGRKGDFIKKMCEESKARIKVLEGPQGVPERAVMISAKDEPDVVLPPAVDGLLRVHKRITDGLDGETDQPQRATVPSGPTRLLVPASQAGSLIGKQGGTIKSIQDASKCVLRILGKVYFSLPALSFENVPPVALNDDRVVEIQGEPLDAHKAVELIARNQHQAHGSSQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGAPGEMTVEITGSASQVQTAQQLIKNFMAEASPQGPPAPGPPPQPVDTGYGSYPSYGGSSYGSTTGTAAPGPHNGGSYGAAPYPPSYGY >Dexi9B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:9B:1534722:1536967:1 gene:Dexi9B01G0002730 transcript:Dexi9B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLRVGVLEDDDDRGEWVNDTSVDHRGRPPSRADTGSWKAAMFIILIEFSERLSYFGIATSLMLYLTKVLHEEMKDAAENVNYWMSVTTLMPLLGGFFADGYLGRFTTVVFSTVVYLVGLMVLATAQLTPSLKPERSLRLHETLFFIGIYLVSVGTGGHKPALESFGADQFDESHAGERVQKMSFFNWWNCALCSGVLLGVTVIVYAQDRIGWGSASVILAAVMAASLVVFLAGWRFYRYRVPDGSPLTPLLQVFAAALKKRHLPLPADAADLYELKPQDMKKRLLCHTHQLRFLDKAAIVEPSGGVDAAGPWRLATVTQVEETKLVLAMIPIWLATLPFGMAAAQVSTFFIKQGSVMDRRMGAHFVLPPASVFALSAIGMIATVAIYDKVLVPILRRVTGGERGISILKRVGIGMALAIVALAVAAVVERRRLTSPSPASMSVLWLLPQFALMGVADGFALVGLQEYFYDQVPDNMRSLGIGLYLSVIGAGSFMSSLVISAADKASSRGGRPSWFAKDLHHSRIDLFYWLLVCIGAVDLAFYALVAARYSYKTVSAGEFGHDRSANAAAAGYIECAAAA >Dexi9B01G0020850.1:cds pep primary_assembly:Fonio_CM05836:9B:15491359:15493221:1 gene:Dexi9B01G0020850 transcript:Dexi9B01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDPCAICLSDISRGQAVFTAECSHTFHHRCISDSVAHGNRNCPLCKATWRDVPAVDPAVPAPAPPRRAYADDEETVAQNNVVHQAEADGVGQFVLKTHCEFSAVARDESRDNFAVLVHATAPEAAADAARAPLDLVTVLDVSGSMQGEKLALLKQAMRFVIDNLGPADRLSVVSFSDTASRRIRLVRMSDAGKASAKLAVESLVAGGCMNIGDGLKVASEVLANRRYRNAVSSVILLSDGQDNMSRAGRNYGDLVPASFRDSGSRPGPMHTFGFGTDHDAPAMHAIAEVTGGTFAFVENQEVIQDSFAQCIGGLLSVVVQDARLAVTCVHPGVRVREVKSGRYESLVAEDGQAASVVVGELYAGEERRFLLFVDVPRAEATDDEEATTMLVRVRCTYRDTSTGREADVTGEDAVVQRPAEVTTNNLGASKEVERERVRVAAVEDMAAARAAAERGEHAEAGRILQRRRQAVMQSAAGDPAYDALLEELEDLSARAEDRGEYERTGRACMLTGMSTHAQQRGTLLAVRKCGGRVLQCARKSAQPFVTKAARRMVDKSRAQRLQKKSPPPIKKPQPPSSMTTRSMAKKSREQQQETSSPPPKKMKGDTGGSSDGDLII >Dexi3B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:3B:4260223:4262407:-1 gene:Dexi3B01G0006140 transcript:Dexi3B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHGGEGTNDWEGPYDPLLMQDYFDDIYYLLDIPNIIDPPPPLAAQPPQTDTAGYSGDNRPSTSNNAAVDVNVTVPTPPTHIAQVAADPPCASRTQDVVVGSSACTSSATASTSAAAVAHQTALDCTGCHVLREVATRLCVHGAAGVFYHAIQEVYRVNSEGLATAMTHQSYIDGRDYVWVKHYLTDYAQQRASGGYTVIRDPISAFHDALCAGMNYGGNAGDDGHRGGEMAAAAVVENGGGSSRPDEQQELAGAAPLIIEQGHAPASAAAAGPSIPSANNEQERPEVVGQPVGRSALTIQRERASNLKLADLARYFHLPMAEAATHLGVCATVLKSTSRKFHIARWPHRKIKSINTHIAKLREKGGNDGMGEMERLIEARRKIYAKLLGHL >Dexi3B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:3B:15212155:15215191:-1 gene:Dexi3B01G0020190 transcript:Dexi3B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRIDTRLSGDKRRGRTDDLELGAHVRALPGDLAFSTIGLHPLDVLLRCCRQQTLSRLVLTIVCPSDPNLVYIALHQQIFGVNVPAHRVEHSKAYELVNMPMPGCNIISWELPPNDGADAAAASLLHQEICVSCAWLREELEHVKGKLDNAPILPAGGTVAPEERQVTIVDWMMCIDTEFYSSDAPVPPWGTPSFVDEVLMAVIWSRLACPSRKLSAHPCANFEAMAKAQGAVRIGKAHHAAGAILNPAMTWVSSGSGVFVYLVNALTTAPLPNTSKQFVRLCKSFFPEYDIVEPEEQEDNGGSQSRSLTTPDQPIYPNAADQDRHHCGEEPSSSQGKHRA >Dexi4B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:4B:1840845:1842908:1 gene:Dexi4B01G0002810 transcript:Dexi4B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLALVDWREQGGRPRLMAGAVVPRKERVWCRGRRCTEAWGRTGLRSGGVWGACPLGKLERRRMGEESSPR >Dexi3B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:3B:10610922:10614075:1 gene:Dexi3B01G0014750 transcript:Dexi3B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQPMSARVHFRRSLGCPLLPCSSSSLLCVSHSATPTFLPSSSLTRLLHPLLSTSTAGAGGLCLRPAGAADALPPRLGAPSCPALCAEERRSDCPRGGEIVGAQAQMGGLPKEEDASATEGAPAVDVFAEGTLVWLRRPNGSWWPSIVISPQDVPGGAVSPTRPRCATPIMLLGRRPEGSTFVDWCNIERCKRVKPFRCGELDFEQRITNALALSAATNQTAWNYNKGRNARMEDAILQALDIERERAVEPASKAYLHGGTCSPNPKIDMPNGLVKDAAAKDPSTAIQSPSPPPKRRKHKTPYDSEEDAPKGSRRMRDLRDIGSKAVPPMDVPHAGTISVPKYDDLPNVGQVKTSVPSHASTKRKHAAAPQDQPCGNPRKKDRSRPLSELCNGDMWNGSRSNGHKSNEHIVGVATCSSSSSGTSTLDSSLDTTSCHRHVTFKTDQAKGTEISCVTRLLTDDSCHGGDFVETPLAGRSVLEPGNPSMLLFSGSEFFNELLENTILMNEFSPDHLQKYQPCGSAKHGTSKHHKQANDCSKTVKCDRKKIKMRTISSVDQEGNNRTRDSDKQEHHKARTVKQKAPKDEDVLLEKRLDKHSLHKPSGGDVKMHLAVIPTDLGHVGAAEQQHSKIKRDPEESSETMSNPSNWDSGSVSSLGFEIPLQVLPPQKKAFDLERCHAVKPIKTLHLNSTLYDVKLSVLGSSNKGRRVPLVSLMSTWNRKPVVGYPVSVEILDDVFGLPVSSRDEPHPATNNEGGIIPKRDKTQGLQRVVPSSPQVCRAKPKSRSRKPLEKDIDKLWQPHTKKPASSSRKMRRISSFALGQLDGDNRKSAVGKVSGATIACIPLRVVFSRINEALTFPVK >Dexi6A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:6A:16384688:16389303:1 gene:Dexi6A01G0011480 transcript:Dexi6A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNWKRLEPRVSPLATASIEPAQQTRHPRPVAIAAVACCCSADAVRCIRRDQQRTGWPHQQTAGVAMEQRRSRGARPRALGGGGGVALALAIVSLGGLAGAEAITRSDFPEGFVFGAGTSAYQYLFVLQGYAFDHATGDVAADQYHKYKACFSTLEDVKLMHEMGLDAYRFSIAWTRLIPDGRGAVNPKGLEYYNNLIDELVSYGIQPHVTIYHFDLPQALQDEYNGLLSTRFIEDFTAYADVCFRNFGDRVKHWTTLNEPNIEPLGGYDLGNLPPRRCSAPFGKSCAGGNSTTEPYIVAHNLLLAHASAVSLYKDKYQDKQGGQIGITLLAFWYEPATQKLDDVEAATRMSDFTVGWFMHPLVYGHYPPVMKRNAGSRLPLLTPEESARVLGSFDFVGINHYGAVYVASDMGRLKQSPRDYVSDAAVKFITCKNKETCVSSMRYLMTSELPQTLGTKIFTWKSYMMLIFKQGGLRMQNHPAPWALNKLLDHLKHKYRNPPIVIYENGAGHEPDPSGRFVYDDDFRSHFLQAYIEATLLSIRNGSSVHGYFVWSFMDVFEVLFGYRFRFGLYGVDFGAKNRTRYARHSVRWYAGFLHGGELRPAAVRVGSGAYSA >Dexi4A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:4A:6364516:6364991:1 gene:Dexi4A01G0008510 transcript:Dexi4A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPSLPQRGGAPLLATAFLTPDRSSAFLRRPWSTSISARSLFLRISFGSLTEISAVVIFPIAGDMAAVSHAARDTTPRFLAAAGAWATRPGMFLAALIALPPRANFSPCARTPAARAGAAEERWEIGAVVDGTGGSCAIRLIMWLAGAFRV >DexiUA01G0008750.1:cds pep primary_assembly:Fonio_CM05836:UA:16393354:16395814:-1 gene:DexiUA01G0008750 transcript:DexiUA01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHKVGEKGGAPGEVMRRINVVYFLSRGGRSDHPHLFRVNHINRAGVRLRDVKRWLSELRGKDMPDNYSWSYKRKYKAGYVWQDLKDDDLITPISDNEYVLKGCDVRGTPPPPFVVQAPTKTPSQGETHRRLNLICEKKEQKETPCNNDHQDRPVEVVLTQDSHENSPKTPPPADQDSPAGCGSPRRPFKVEEEPRAVRAQTQHQQQEVVIKIEQKQQQEEEEEEEEEAATEKAVAREERRRQGAVRSHAVGHQQAARRMRVARALHSMLTCGAADADDAALRPVARRQGRGAAEAAAGGGDDWAGPTPTCPGMDGCGLRVSRTARSRRGGKEKQGKRDGRERDAHKPPATLPRCSECGKEFKPQELHAHMQSCRGFRERMRRSTSARASVDRRRNSTAGHRGKPGHRSPEERPSSASAVFLLTES >Dexi6A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:6A:1544288:1545975:-1 gene:Dexi6A01G0001640 transcript:Dexi6A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQAAPHRAKKRRSSDAPESPDQEGAPGISSPPAANAPDPNPPGAGGQEPEPGAGGGGGGEEDGVDYISRLPDAVLGEVISLLPTKDAARTQTLASRWRHLWRSAPLNLDCGEFLTDFGVVSRILSAHRGPGRRFRCSAIFLGRPAILDAWLQSPALDGLEEIDFWVESWWMSRLHMPPLPASTCRFASSLGVATLSQCHLPDDITENIRFPQLKKLALQHHHEEVTSLDEFVIESAPCLERFLYLEQEMGLQVSVIKAPKLETLGPLCYHDIHPTCLGLYNYSVMPDKGNACS >Dexi9A01G0023830.1:cds pep primary_assembly:Fonio_CM05836:9A:19259285:19263889:1 gene:Dexi9A01G0023830 transcript:Dexi9A01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTKRRIPPGGVLATFPTSKCPCSSSSSRRGRPRHATAIHRPELPPPPPPTLPFQNPSEKRAASTRAHPPTHTPLPSGFRFHSVRSKQGTTARIPMPALSAAAAAAATAAAAWALRAAVWACLAASAMLVAEAAYMGLASLAAAASLWRRPERRYRWEPMPGGGSDGDVEAYPTAAEFPMVLVQIPMYNEREVYKLSIGAACALTWPPDRIIIQVLDDSTDPIIKELVELECLDWASKKINIKYEVRNNRKGYKAGALKRGMEHIYAQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQARWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSFVYSFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRANLKGWEFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAWEIITNKVCFLGPHFIRHFPGVSIPVWGLVYIPTAITFMNAIRNPGSLHLMPFWILFENVMSMHRMRAALTGLLETARANDWVVTEKVGDLAKDDLDVPLLEPLKPTECVERIYIPELLLAMYLLICASYDLLLGSHKYYLYIYLQAFAYVVIGFGFVGTRTPCS >Dexi7A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:7A:18764155:18765455:-1 gene:Dexi7A01G0007410 transcript:Dexi7A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGASTVPLLTPYKMGKFDLSHRVVFAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGVSDTAQGCTKILLEFGQRSKWKHGGQS >Dexi4A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:4A:6671041:6673454:-1 gene:Dexi4A01G0008720 transcript:Dexi4A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQEEELEKGRTPCAGYKHGPPWVFKGRQGYKLCPALYQLHLVKASTARAFVPRDLRLVEAFGYTLGGMFLARYHDSPAGAFDELVVIAGIVWNPPTSCAWAARVLVNSVEACRHGRKEVGLPSHVATFSKTEASALDNKPLVKSNSFLSVLGIGSSVSKQENRGEIEISETKGSSTKHLCNISLPLTGSNNKHHKWMGPAIRMSLPSFSGQTEDHPDVLKYSCQVEC >Dexi9B01G0039500.1:cds pep primary_assembly:Fonio_CM05836:9B:40171215:40173011:-1 gene:Dexi9B01G0039500 transcript:Dexi9B01G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQSCRSHSSMPAVFTGMLQGEELSQAYASGDVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKVEQILKSKDLKESVGKAAREEMEKCDWRAASRKIRNEHYSTARSYWRKKMGKI >Dexi9B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:9B:802357:808589:-1 gene:Dexi9B01G0001370 transcript:Dexi9B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDPSSPPPPAQHRDWFFPPAPPFLPSSRARAPRTPFPSTYRSSKPYSAYSLSDRRPPPTPRSRSRSPHPPPEQQPQTPPPPSAPRRRDPRYAGVRRDDVRTAASEKAAPPTAVPVHGRKPAPSAIIPRWSGMLSAAVILLCLASLLRRNFSLHDQGQLALATAKLQSCIIGSSSDMSDIDFLYQDENISMPNRSLKNLSLLISLSVLYAPLAILKYIDLVTKIRSSRDSEEVPINKRFAYRVDIFLSLHPYAKPLVLLVATLLLIALGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAVGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMRFEDVLISFPDAVPCGIKVASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVKRGYLPKDFIVPKSPERILFCGWRRDIEDMIMVLDAFLAPGSELWMFNDVPEVDRERKLIDGGLDFSRLDNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQGNEMQIRPSDLYLRDEEELNFFEVILRARQRKEIVIGYRLEGAERAIINPTDKVLRRRWSPKDVFIVISEKE >Dexi2A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:2A:5641050:5641355:-1 gene:Dexi2A01G0005910 transcript:Dexi2A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVDDHGYGSHTASTVAGRAVGNVSLDGLAAGTARGAVPGAGLAVYRRSHCADVDILAAFDDAIADGVNVISMSIGSLDPSPYFEDAAAIGSFHAI >Dexi9A01G0043410.1:cds pep primary_assembly:Fonio_CM05836:9A:46875480:46876377:1 gene:Dexi9A01G0043410 transcript:Dexi9A01G0043410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLESVQTAVGIFDRGGDQSRLCQDRHEQEIAILTKELATCKLQLEVRESQHKQATLKIEALQKAVQDLSDQYEKDCMDAHMRIAQLEAENIAIMSRQAEADGERRALRGELAAARDELDEARASVAFVLREVEAMETNAILERESTKDALARILRLNETVLSSAVSAIRAEEERSVFFQEAMIQFLNSDKDLEGIRKQMERMEAELLAKTVEVEYLRSELKQVQELHVKRQ >Dexi1B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:1B:26687349:26688554:-1 gene:Dexi1B01G0020580 transcript:Dexi1B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGCGLLPCLRREDKGEAAAGRARAGPRVADEPTGASVSSVGKGSGAARRFAWGEIESVTAGFSSRVIGQGGFSTVYLASLSSSRLGAVKVQRSSERLHRAFRQELTVLLSLRHPHIVRLLGYCDEREEGVLVFEYAANGDLHGRLHGGGGNNKPALPWARRMAIAFQVGMALEHLHESLDPAVIHGDIKASNVLLDATLDAKLCDFGFAHVGFVSAALHPSPELAPSSRTSAARPVMVGSPGYVDPHFLRSGVATKKSDVYSYGVLLLELITGREAICADTGRRLAATVGPTLSEGKVADVVDRRLGGGYDAHEAETVAALALRCVSESPGLRPSMAEVVRELQEKTTALISAAGTKPAGKVVP >Dexi8B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:8B:1692887:1701679:-1 gene:Dexi8B01G0002470 transcript:Dexi8B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRRLRAVSAHLEPPPAPAAARGGLSASPTASTESARSPLRYAVETFRDCRYLGTRIREDGTVGDYTWMTYGEASTSRTAIGSGLIHHGILELLSFIAQMPCVRLIVVVGGEDVKIPPTPANTGVQIVTYTKLHNQGKTSPQPFRPPKPDDVATICYTSGTTGTPKDNLKLMDDLAVLRPTVFASVPRLYNRIYAGISNAVKEAGGLKERLFRAAYNAKRQAILNGRKGQNPSPMWDKLVFNNIKARLGGRVRLMTSGASPLSSDVMEFLRICFGGEILEGYGMTETSCVISTMDIGDRDIGHVGSPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGPIIFRGYYKDEVQTREVIDEDGWLHTGDIGLWLAGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSSLVAIVAVEPEVLKAWAASEGIQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKTYFAKEISDMYAKLQEAESARAKL >Dexi2A01G0006330.1:cds pep primary_assembly:Fonio_CM05836:2A:5984341:5990583:1 gene:Dexi2A01G0006330 transcript:Dexi2A01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALQENVDQSEHNDPLAVGNDGVLPTDVLRDVLLHLPADELCRLRLVCRSWRSLTSDPIFAKVHSSRHPLIVGLHMQPRPIRRGSRGFDVHFVDPFSGDIVKQVTTGRAWDVHKLSTNHGRLCISADERYDPEKNRVLNPATGAITMLPDTSKHENESTSYGSSTCFLCCVPSTGEYKVIRIRRRHERRNLVEEVELDYHIATLGGDGNNSDAGWRVMPCPPVDVTVGSLGRAVVKGVAYFLLDIPHWPAKSDDILEFDLDTEEWRYPALRGPFTSHNISAEDEDRVWESFHLANLNGCLVGIYNYHRRCRYTDCSMDLWFLVDANKELLGADGSGGGNRGISWTVMKPCPGVHVSVQARHGAVVNGVAYFLLYKDHNTLDEIAAFDLATEEWRHPLLRGPPSGHNNISAKEEDKVECA >Dexi2B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:2B:3994044:3996395:1 gene:Dexi2B01G0004390 transcript:Dexi2B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRPLVSVKALEGDMATDSLDLPLPAVFSAPIRPDVVTFTHKLLSCNKRQPYAVSPRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHRRVNVNLRRVAVASALAATSVPALVQARGHRIETVPELPLVISDSAESIEKTSQALKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDTPSLKKKGFVLPRPKMTNADLGRLINSDEVQSVVKPLNKEVKRREKRKNPLKNMDAVLKLNPYLGTARKMATLAEAARVKARKEKLDSKRSKLSPEEAAKVKAAGKAWYKTMVSDSDYTEFENFSKWLGVTQ >Dexi2B01G0031480.1:cds pep primary_assembly:Fonio_CM05836:2B:39606738:39609853:1 gene:Dexi2B01G0031480 transcript:Dexi2B01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPNKTIRVPEGFDYELYNRNDINRMLGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVSMPKEATTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDELNPPKGGVATANGPPKK >Dexi1B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:1B:10689744:10697929:1 gene:Dexi1B01G0010860 transcript:Dexi1B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQSKFGCTLAQAAPARQCSQLQASKIGHLRATAWTRQAFQGAKRTGNRGQVDGRYTTFYLLYAGTGLLAARRSKGRQSDIYPLIRNRRVTQGLLNGNRADNLTGIAGHTAGRDDMLFGILLQLLDAPLIFQEICNLFVQTGRDNMKITELIAAKLLSSILYPVHLFHLFLFLLHYDHMVLVDYLISKDVGVHCAQYLLSLARFEELCEQG >Dexi9B01G0029250.1:cds pep primary_assembly:Fonio_CM05836:9B:31840796:31845588:-1 gene:Dexi9B01G0029250 transcript:Dexi9B01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLLSTAVHRTMPGSYVRPESQRPRLAEVVSGAPIPVVDLSSTDRAAVVRAIGDACRSHGFFQVLNHGIDAGLIAAVMGVGRDFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCHPLDQFVPDWPSNPPDFKETISTYCKEVRELGFRLYAAISESLGLEASYMKDTLGEQEQHMAVNFYPRCPEPELTYGLPAHTDPNALTILLMDQDVAGLQVLHGGKWVAVNPKPGALIVNIGDQLQALSNGQYRSVWHRAVVNSDRERLSVASFLCPCNDVELGPARKLVTEETPAVYRSYTYDEYYKKFWSRNLDQEHCLELFRT >Dexi3A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:3A:3218055:3222003:-1 gene:Dexi3A01G0004970 transcript:Dexi3A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMVNPPTSTDMSIGWGPIAALKRANVDPALVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAITSAGLESSSVDFYEINEAFAAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >Dexi5A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:5A:15296899:15304766:-1 gene:Dexi5A01G0016280 transcript:Dexi5A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSEPVSYAGPQCAHASVVLGRGDGPSPAWEGWLEACRIPSSGLCHPRRSSHLGERRVQHLKKKFYFHFQDYVDLIIWKVSRSTDQNLAFFAVYNMETTDIISLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFVSSHSNDVHALDQLNIIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAVDRHRHCTENPIKFISVRTPNVVKFKIKP >Dexi2A01G0030140.1:cds pep primary_assembly:Fonio_CM05836:2A:41134194:41134712:-1 gene:Dexi2A01G0030140 transcript:Dexi2A01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNAGAGKDYPGKLTMFVLLACIVAATGGLIFGYDIGISDHSLRNYNSLA >Dexi3B01G0026550.1:cds pep primary_assembly:Fonio_CM05836:3B:21872186:21874906:1 gene:Dexi3B01G0026550 transcript:Dexi3B01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKWLAAAVFMCLLVFCCGRELKTKDAPIYDPALARTLAEYTSAVYTADLTQLFTWTCERCGDLTEGFEVIELIVDVKNCLQAYVGFSRNMNAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTLRDGVVSGIQKTRGFYGSIPIMVTGHSMGGAMASFCALDLVVNFGLKDVTLMTFGQPRIGNAVFASNFKGHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWIHNVGLGSFVYSIEEICDDSGEDPTCSRSVSGNSVQDHIHYLGISMHAESWGHCRIVTDDRTLQYQMDPAGNIVFSKQPGLSADELHSAQ >Dexi9A01G0032760.1:cds pep primary_assembly:Fonio_CM05836:9A:37628617:37635032:-1 gene:Dexi9A01G0032760 transcript:Dexi9A01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVSPHLAWKPKSTSPSCVNHGVGVVPPCVDGNQAEVAGLSKKLSQANVSQDEHVIIPEHIRVRDSEVTHLIFGTFGSEIDPKTSVTTSHTVGTKEDFNDHSPSSSRTTLNSIISTDVASNDKRDHVASCSPLPQLESAVSVSEHQHSLTESVEDPSPGVVGEYGTNEMISSKVTHSQPQLQHQDNPAMQNFKFHSSCFMVHQSYESDSRYMMPFITKIVHGEAAQSTAYQCEGQLGEQPFSCPVLARDVESPASAVAMAATTAFLTSITLTTQPPLLSNVPAEARIALPPASTPAPRRSDRLASNPLNLTVRPSRKGEVLAMKRLGFLGSQTRDDSIVEAARKEYHQFFTETMDISNFPALRDLLPAARALPDDELMAAVRHAGTIADEL >Dexi5A01G0008790.1:cds pep primary_assembly:Fonio_CM05836:5A:6566279:6566818:1 gene:Dexi5A01G0008790 transcript:Dexi5A01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASCEFSAAARRPGEASSRWSGRRRPSSTTSAAPAALIAVKPKHKHGAASSSSPLAVRCHCWSSRRGDDAEFSCGGGGGMVDEGMVVLRRRIHEMELAERSWEPPEEWSAWEKEWYGTYDADVCGLVGALQGFLLSSRPAVGVGILAVLALAVPASAFVLASHLLDASRAIVSSLHH >Dexi3A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:3A:9123142:9124203:-1 gene:Dexi3A01G0012570 transcript:Dexi3A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRERPYYPAPQRTNINLPPVPTHPGSSDSIPFVHGGYAPRAVPRNTIRSYPAPAFGTSSNSAAVTREPAIPSYPPAAPSYLPATSAATSSALPFHAEAAVASRHLGQISLGPGGGGSARSRRLRDSYHAFHPLIIDENNLRGSAAERFMMLDQLVIHESREDSDPHWDMRLDIDDMSYEELLALGERIGNEEYKFKDSVGKLKCGHDYHADCIKKWLQVKNVCPICKASAADDSEGME >DexiUA01G0022180.1:cds pep primary_assembly:Fonio_CM05836:UA:45330015:45332931:1 gene:DexiUA01G0022180 transcript:DexiUA01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKVPAMRWLLLLGVVLTVGVGVSPAHASRANHYDFFIKETKITRLCHEKTALTVNGQFPGPTIYARKDDVVVVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGANYTYTIIFTEEEGTLWWHAHSDFDRATVHGAIVIHPKRGSTYPYPKPHKEIPIILGEWWNVDVEQLVKEVQRTGGDVNISNANTINGQPGDLFPCSKDGTFRVAVEHGKTYLLRVINAGLTNEMFFGVAGHNLTVVGTDASYLKPFTVESIMIAPGQTMDVLLEADRATDGSCNSRYYMAARTFATNTNIDFDNTTATAILEYVDAPPSAGPPEFPNLPAITDIAAATAYTAQLRSLASVEHPVDVPTHVDEHMLVTIAVNVLPCGSGNETACTGPGNNRLAASLNNVSFGNPSIDILDAYYYSIRGVYEPDFPNRPPFVFNFTDPNLPQTFWPTKRATEVKVLEYGTVVEVVFQDTAILGAESHPMHLHGFSFYVVGTGFGNFDENKDPETYNLVDPPYQNTVAVPKAGWVAIRFRAANPGVWFMHCHFDRHLLWGMDTVFIVKDGKTPDAQVMRPPPNRPRC >Dexi3A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:3A:1193064:1193936:1 gene:Dexi3A01G0001780 transcript:Dexi3A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIVEEDAVIRENEIDTVQRLKKLLMLSTNGTLNMHALWLVRRELGLPDDYRSSILPRCQHDLYLESPDTLSLVSRDEKLAVAKIEEWRKKEYTEKWLAESETKYAFPISFPTGFKIQKGFKEKLKNWQRLPYTKPYEKNDSHPIHNVERLEKRIVGILHEFLSLTLEKMIPLERLSHFRRVFAMEVNLRELLLKHPGIFYISTKGSAQTVILRESYNKGCLVEPNPVYDVRRKMLDLILSGCRNIGEPESAAWFTEEYDQGSCHELQNTMCHVDTANSVLELETESD >DexiUA01G0016430.1:cds pep primary_assembly:Fonio_CM05836:UA:34981881:34997977:-1 gene:DexiUA01G0016430 transcript:DexiUA01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASTTLFYTALLLAAASFLYLASIRRRRRHSDLPPGPRGLPLVGSLPSLDPQLHDYFARLASRYGPIFSIRLGSKLGVVVTSPSLAREVLRDNDLVFSNRDVPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLGPAGLENVRALREREFRATLQHLRASAGEPVDVGAQMFLTTMNVITGTLWGGNIGGEEERAAVGKEFRHLVAEITEMLGAPNVSDFFPALARFDLQGIRKKSDVLKERFDQMFARIIEQRVKADHAGGEPPAPDFLEYMLKLEKEGGDGKTTFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMQKPQLLAKVREELDAVVGRDAVVEESHLPRLPYLHAVIKETLRLHPALPLMVPHCPDADATVGGYRVPAGCRVFVNVWAIMRDPTVWKDPTVF >Dexi9A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:9A:14370468:14371732:1 gene:Dexi9A01G0019440 transcript:Dexi9A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGERSRKRQRKSGLEALLSQRVETRARTSQVGESRRWRISASRFSSPSTDATGHGPCLCVSDSMFRKWAKARLIPQ >Dexi9B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:9B:6840731:6844057:1 gene:Dexi9B01G0010850 transcript:Dexi9B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLARALRQSNSRLSSRCPAVAASCRWISPTAAAGSPEAGAAVAPADPELPPPREPVGGARVELPPNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDALAVVAEVLHQVKPEEITGVAGKLSDAESMIALKDFVNRMGSDKVLCEGNGPNPPADLRSNYLMNTGIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVKATQAKVGYIGPPAEFNYDHEHLGTGPQTLVEIAEGRHPFCSVLQSAKNPVIIAGAGLFEREDQGALFSTIETVAKKFNVTRPDWNGLNVLLLHAAQAAALDLGLVANPAESIKSAKFLYLMGADDISLDKLPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAQLPYDSLSAVRDRISTVAPNLIHVDEKEPCTISAEVKPPVKQQVSSTPFKTVIENFYMTDAITRASKIMAQCSATLLKK >Dexi1B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:1B:7876534:7880904:1 gene:Dexi1B01G0008900 transcript:Dexi1B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATETAGGAAAPPPRQFKLDPRSELRVEVPPDAPLRVRLVAGTAEIFGTELPPEGWVPIPPRSKIAIFTWHGATLELDGVTESEYPSEETPMVIYVNTHAILDARRARARAAAVQGGDLEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPNPSINADVYKVLMKELAKTLERQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLVLGQASDSLLCSCKHCYITFLTKEKLWKILKDAVQSKPRIDVVKLQKSEGVVLRNSKYRQKSRGFRIKEYFYGITNDLAPHSNIVNFSDVSVYRIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAVSYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Dexi8B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:8B:876679:879660:-1 gene:Dexi8B01G0001260 transcript:Dexi8B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAVLAVLLAAAQVASAAQLVAPAFLWAPKNYGLHSDEAKEVVHYQTLSPKSLAKSVLEEGGWSNLMCSREDVQKNVDVAIVFLGSKLSFTSSEFSMAFPYVSTSDDEKLENSLLSGFAENCDNGFEKKRITYTDTCTVTGQDLKKHHSMDSVRDLVTSRMGSKPSGLTNLIVFCSGGFVDLDPAKSEGDLLSELVDMLKKSGAKYTILYASQPSGLLEKPSNLPLGRYLAEKTNTTAKASRGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >Dexi6B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:6B:20289113:20289605:1 gene:Dexi6B01G0012580 transcript:Dexi6B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQDDPRPSGGLNGLYRVQFSGQSLYSDDEAVKTNVMDSSISKPPEGVGSNQRLLIQRLWQHRPPCLKPIHCSLSCDKHLGETIANVVTSLPFIVLGLQTPRQVDI >Dexi9A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:9A:2991986:2992807:1 gene:Dexi9A01G0005390 transcript:Dexi9A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESHCADPFRFIPCLPKSKDASRGAASALAPRPAAVAEEEEEAPPVQKIEVPVAGKEEEEEAAEEYEDGEEETVVATAAAPAKSCLKKANGGDDKRAAKGTVQWLDLLGKDLTEVKEYEPRSYKSSD >Dexi7B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:7B:18166064:18167290:-1 gene:Dexi7B01G0011010 transcript:Dexi7B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLARGYAVRGTSRAAAGDPRNAHLWALDGAAERLTMVRADLLDRAGLRAAFDGCHGVIHTAAPIVGDPAEIIEAVITGTRNVVEAAADAGVRRLVLSSTISAMYMDPRRDPDAPLDDSSWSDLDYCKNTKNWYSYAKTVGEKSAWDAARARGLDMASVIPVIVLGEVLGPALNTSSEHITKYLNGEVSTYANASHAYVHVMDAAEAHVRVLEVPGAGGRRYVCAESSLHRGELCRALAELFPEYPIPTRCDHRPDGSFGFLRTLHT >Dexi9A01G0029040.1:cds pep primary_assembly:Fonio_CM05836:9A:33781889:33783636:1 gene:Dexi9A01G0029040 transcript:Dexi9A01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTTKENLLLFHKLESDLFHRLVHDLAQDPATMRWVIALWLWLESAGHHDFIRRVAALPGPVVLRFVEEAVGCLRRLANNPGQGAMAGTNNGGDTRLPCTNAFLAEPIDDVGYFQGRREVLDGVSYKYRSVCLAVCNVGSSTTTAMEPSNMAGVKTAPPMVRSPVCGAQRVEPLALNSEATYFSLNPEATPWIPMQSPLPDDYRSLFITFSKGHPISKEDIVEFFDLMFGPCVETVMVEKVAPGETPVYGRMILRSAAMIPVVLDGEQTAKFLIKGRHLWARIYVPSSSLSGDA >Dexi1A01G0024350.1:cds pep primary_assembly:Fonio_CM05836:1A:30936293:30937939:1 gene:Dexi1A01G0024350 transcript:Dexi1A01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLALLLLLVPPVVLLAALAFLARPRAARVPLKGRHVFITGGSSGIGLAMATAAAREGARVSILARNPARLEEARAAIQRESGRDDVGVHAADVRDAAAVARALKEAGPVDVLVCNQGVFVPQELEKQDMEEIKWMVDINLMGTFHLIKAALPAMKARTRETRLPGSIAIMSSQAGQVGIYGYTAYSASKFALRGLGEALQHEVVTDNIHVCLIFPPDTETPGFEEEHKRRPELTNIMAGSSGGMKASDVAKKALDGIKSGRFIVPCNFEGALLAVATAGLSPQSSPIIAFLEVIGGGLMRFAALCFQWNWFSTIESYYAKNKKGE >Dexi2A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:2A:29458744:29461467:-1 gene:Dexi2A01G0017600 transcript:Dexi2A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSFRSAVRAPPLSRSLARSAARCRSAAPPGAGGAAATSKLVVDVKERLAREHPGLPTGRNGRDDDDMIHWFLKDRKFSVDEAVSKLTKAIKWRQDFGVSELSEESVKSLYQTGKAYVHDCLDIYGRPVLVVVAAKHFPSTQDPVENEKLCAYLVEKAISRLPPEAENILGIFDLRGFSVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFIFQPMWQVVKPLLKSYASLVRFCDSETVRKEYFTEETVPPDFCS >Dexi2A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:2A:27242963:27244179:-1 gene:Dexi2A01G0015830 transcript:Dexi2A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQARKETAAATRDLLKVDSARLRKTDAVLWRKDAPPLMRKVPGRGRALPVPEVGSSSWAARAPEQRSSGNGRTTPPRSFTDGSFFFNGSGGDFFGSPGQSSQPWNHQGSDPATCGKEAPRTEKRIFWTQEEDVRMMSAWLLNSTDPTCGADRKNDQYWSDVEVTYNQTTASHRARNAKQIKDHFHKVNR >Dexi3A01G0031340.1:cds pep primary_assembly:Fonio_CM05836:3A:35827715:35828863:1 gene:Dexi3A01G0031340 transcript:Dexi3A01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGQSKPEISEEKARLERQLAEARREARDFSSQVTTLESRVRDMARRNLELHTDKDKLEKMLEERTKQAAQLFTNQASTMESRVQELQRKITELSGEKGTLEKKLEENSKAGHALSSHAAAMERRVRELERKIDELSGEKGKLEEKTKAAQVLSSHVSTVEGRVRELGLRNAKLADDKGRVEKKLEDKTKTCRVLSSQVADMEQRMQDLELEHAEKSGELVKRLEDVRMAGLAFMDAADSYQEVAEKRLRDKEDELDDTRKAGLVFMSAADSYEEATEKKIKAREMELEDTRKAALMIMDAADSYQEEAEKQIKAKTEELEGTRKAGLLFMDAADTYQEEAEKEIKAKTEELEDTRKAGLLFMDVADAYQQVAEEQIKAKV >DexiUA01G0025890.1:cds pep primary_assembly:Fonio_CM05836:UA:54467302:54476974:1 gene:DexiUA01G0025890 transcript:DexiUA01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAPLGEESWNGLTNGCTGADRRTAIPCSQRRLVSWCAGRTDLTEQGCLADVVFIMRWAARAIAFDSQADIAETVGNLYAAFAEFRMEFVYSVLIRPVVLHITLFAHGLLIFVLRQPLSTERPSLESEPAEPSQVVQADMASSSGCARAGKSRIHGFGVFAKAAHKAGDMMIEYIGEIVRPPVSDIRERRIYNSLVPSYIAVETAANLPLAPGLCRVGARLGKGASDSVTGLECPLYYWCGALGTAGTVERNAEDSEDEPMEVVYIAARCASRTFFEVERHAKTAANLIVDNDALKSLLKAEEDVRLAVKVEKETLEFTCSSLTARVAEIENTLREPARRKKNLGRSSKM >Dexi9A01G0039050.1:cds pep primary_assembly:Fonio_CM05836:9A:43163533:43166813:1 gene:Dexi9A01G0039050 transcript:Dexi9A01G0039050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRWWITGYEAKRFIVPLRSKGTESLSCSAAGAGDDGGKKVSARLALTQQVLRDAEERAALAGSDPAPKITLDHVTVNFARSGGPGGQNVNKGSILTPLLLLEKNRINKDGELVISSTKTRTQKGNIEDALQKIQEIIDAASYVPPPPTEEQKKKIEKM >Dexi9B01G0036290.1:cds pep primary_assembly:Fonio_CM05836:9B:37817452:37823673:-1 gene:Dexi9B01G0036290 transcript:Dexi9B01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVDANARIARLARAGNIEGARAAFEAMPLRTTASYNALLAGYFRNNLPDAALRVFERMPSRDLASYNALISGLTLRRHTLPDAAAALATIPYPPSVITFTSLLRGYVRHGLLADAIRLFRQMPERNHISYTVLLGGFLDAGRVDEARGLFDEMPAKDVVAWTAMLSGYCQAGRIAEARALFDEMPKRNVVSWTAMVSGYAQNGQVNLARKLFEVMPERNEVSWTAMLFGYIQAGRVEDAEELFNAMPEHPLPACNAMIVGFGQRGMVDAAKAVFERMRERDDGTWSAIIKAYEQNEFLMEALSTFREMLHDGIRPNYPSVISILTVCAALAVLDYGKEVHAAMLRCSFDKDIFAVSALITMYIKCGNLDKAKKAFNMFEPKDVVMWNSMITGYAQHGLGEEALRIFDDMTLAGMVPDGLLEEALDLIKTMPLEPDAVIWGALMGACRMHKNAEIAEAKVYWFRSRLSMWLRFHRMNKKRLQDSRVNEATICLDDYEEDNVDTSPCGMELDYEEDNVDTSPCGMECGKEEKGHDGEGGSNVDATPRERKKCGEKEKGHAGERDDKKKLDSAGNGMEPGFRLPRVLRERHQEVYDVIINSTKLQGFSNSVSTIVHQHSVLVVEKETPANTMLPAMSFLESYETNGSFQEIDEASYDEYLLAALGYVLFHKKNEHTFDGGVVLHGHRSMARPRRPPVCQGQVPLPGHHGAVSTRH >Dexi1B01G0016340.1:cds pep primary_assembly:Fonio_CM05836:1B:22854498:22858299:1 gene:Dexi1B01G0016340 transcript:Dexi1B01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMATNLLRHGYRVTVWNRTLAKCQELVALGATVGETPAAVVAKCRYTIAMLSDPGAALSVVFDKDGVLEQIGDGKGYIDMSTVDAATSSKIDEAVKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKTLYDEMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNSLSEGLSLADKSGLSPQTLLDVLDLGAIANPMFKLKGPAMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVSAAANEAFKKARSLGLGDLDFSAVYEVVKDASGSGQA >Dexi1A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:1A:28347921:28352701:1 gene:Dexi1A01G0021590 transcript:Dexi1A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHTPTSSSDNETRALNTLLDVFSCSFSLDDIADAYIKAKGDVNKAGDFLTDLQLSLPHINDVETTLSQTDKPVEENSMESSRQPRTLSQIEQAVDEKHMENSDQLRMPEKLQKSSAAFGTVSSMLGKESARATTTANRSSKKDKPLKVELPEYMRDDFKVKSDESDSAPRRETLNNRDVEEFLFCMLGEGFKLSMEVIREVLGSCGYDVKKSMDELMSFSEKGLYKQGESKDTAIQDVAVESSAAKGKCLGSQSTLSTHSSQERVQRSKLQISPGDLIESLFTVPGRLEEEPKLRRYELGANRSRVLDQKPVLKPLDDLSAYSTDFPVKVIIGSKEPAVNEEDYQNYRRAAKQHWDMMKQYYEKARHSKIMQLMLSGMVTRKKSIILYRKASAVVRWLDWLMRNLLGRLSSPTIDYLKVIIGIDDGSFKMGQKRRKVMKYLEKNSIQWTEEEPHSGNILICINQAGKQHG >Dexi2A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:2A:31602691:31604492:1 gene:Dexi2A01G0019400 transcript:Dexi2A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLLLPLPSFPWLPPPPPPGSSSGGRGGGGGGGDGGDWRPNVVAAFAGAQVGRALRSRFSGLLRSPEVRHLEAWPKMDDVCFGGSLATHPILGVLGNVFRASFVCNFTLFNGNGSGGTYIGKGKVLSRRPRRIDSKKRLWTNVLLAINVLAYIAQVASEGKLLMWGAKVCAPFRISHSFNCFSLNSIGPMVEMLTGPRRFIAVYFSSALTGSLMSYRFCESPAVGASGAIFGLVGAYAVYMWRHRSYSGNARESLEHIARVVVLNMVLLDIHPP >Dexi2A01G0030260.1:cds pep primary_assembly:Fonio_CM05836:2A:41254909:41257803:1 gene:Dexi2A01G0030260 transcript:Dexi2A01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPGEKDPQVAPGPATAPPLVQPLEPVDATGSPTIDPRLAQQSWPGHLVLLRPCVPWPPQVPVPLLLNAANPQQNADAVADVAAADVNPAIDSCDENMLPKVNMLFDGESDAYEFYNAYAEKVLDTRNIKDLPVQYIVKRWRKDAKSGSSNSGCAFSFDGSLTFPFTLGAGTLDYR >Dexi9A01G0040490.1:cds pep primary_assembly:Fonio_CM05836:9A:44220593:44225145:-1 gene:Dexi9A01G0040490 transcript:Dexi9A01G0040490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQPHPQQAPPPPQHQQQPVLPSYRNALPVQVDGQIPAPLAFFNPPPPFPEQPAQAPLVDAVGLTASAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVETINQRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQNKNFKEGCGDSEVDDTASCCNGGAVNLQLMPKENRQPKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIFM >Dexi1A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:1A:6085774:6086159:-1 gene:Dexi1A01G0007900 transcript:Dexi1A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFIPEPDFSPQADPSEKKVGMDSP >Dexi5A01G0034210.1:cds pep primary_assembly:Fonio_CM05836:5A:36334661:36336438:-1 gene:Dexi5A01G0034210 transcript:Dexi5A01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALIGCAYITYYTAVLLSDCYRTPDPVHGKRNHTYMDVVRSCLGPRYVVVCGMAQYAILWGTMVGYTITTATSIMAVARTNCHHSRGHDAACVSSGTTYMVVFGLVEVVLSQFPSLEKLTLISVVAAVMSCTYSFVGLFLSAAKLASNHGSHGTLLGVKIAADAGVSASTKTWHSLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGISVTTIFYVSLGCIGYAAFGNAAPGNVLTGFDEPFWLVDVANLAVVIHLVGAYQVYAQPIFACYEKWLAGRWPDSAFFHREYVVPLPGGGGRAARFTMCKLVLRTAFVAGTTVVSLMLPFFNAVLGLLGAIAFWPLTVYFPVTMYIAQAKVATGSRKWVALQALNVGALVVSLLAAVGSVADMVQRLGHVTIFQTQL >Dexi7A01G0024280.1:cds pep primary_assembly:Fonio_CM05836:7A:32023371:32025335:-1 gene:Dexi7A01G0024280 transcript:Dexi7A01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASTTAASASSSGGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSGVRAGIGGYSYLYEPLWWVGMMTMIVGEVANFAAYAFAPAILVTPLGALSIIIRHEF >Dexi9B01G0044330.1:cds pep primary_assembly:Fonio_CM05836:9B:44107984:44115415:-1 gene:Dexi9B01G0044330 transcript:Dexi9B01G0044330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCVNLSKFFRIPRIRYQSKQTRVKSFATSAPMSEPPHLEVLTVLLHSTCSLKCLRALHARLAVAGAIWDTSVILGLVERYLSLGKPSPAASLFSEAYRGRPTIYSLNLAVRCFSDHGFHRELLDLYRELCGFGSDNFTFPPVIKGCTAVGCLRLGREVHCRVLRTGHGGNVGVQTALLDMYAKASQIDVSRRVFDGMAQRDLISWNAMVSGYSLNGCFQEAVEALQEMQQRGMRLNASTLVGIIACVQCKKADMVVDFFKNMLFLNVKPDSVTMLALISACSQLGDADFAACVTAVVLQRGFSAKGLVVNALIDMHSRCGSISFAREVFDSSVEKDSITWGAMINAYSIHGNGEAALDLFSMMTDSGKLLIESEHGKSRSYVMLSNIYASAGKWSDSEQLRSDMEAKGLRKDVAVSNKSVELQLERMTDKNIEEANSSLSVSSPKSLQSSVEPELIEGTDEDYHNNLEKTVRANFEAPMHQDQPMILVLAEKKNNFQDISVDQKVSTGDPINLSPKVDGSKLPSTNEVHDGNPSSSSKDHDGSDTMKSDTASSMFGASMQQDHMRLTSTDEKGDLQEISVEQKVTVGDYVALLPKADSYELPSTNEVPGGFPTPSSDAYESKEAQDGCIKMEASEVNVCAASQSLLRLNEGVQDGAYCIESDKVTCGTPPGILKKVEEDEPRTVSRFNKRQMSLGHTQQKLTAPLSRSSTGKYLGMDNTVVDTTTPIESVKVAASKFGGSINWKTRRSQTAQESNHIILELDKLKNEISECKCQAEAAEEANLAVFSELERTKKIIDEMKHVFERQQTIEVDAKEDLEFFQFILQEMGAGVACDDSIMVEEKLNNIQERHKSLVAKVMLVKDDFRKVQEEYDSLLIETDISVRKAQRAFAMSIDAKKQVEELTIELQRLKEVFDLAQATWHDAEEHKKGTLMARDEDCLAWEKDLRQAEKELNQINMYLSSVQELQSKIDASSSLLLNLKNELATCLEAKRIEEAQEQEGGTHKFMQEEAIILSRNELEEHRKSIAKVTDELCSLKATAASLKSELNKEKAALAAIQQKEAMASITIQSLKVEIKLSQQELEAVHAEEKERRDKAFELPKVLQDAAKEAEESKSVAAKAQEELTKTKEEVEQVKAALSTMQFRLEAVLREVEVVKESEKLTLNASRALEDTKVSTNTEEQVSSQMITLDLDDYTSLIQKAHQAEELVRERSASAIAQIEAAKESESHTLSALNEMYKALEERKQALLAATEQANRATEGKLAMEQELRKWREEHGRRRKAGEQASKSEAKSSNTTEIIVGDTKCRSKEDSCAGSSVHPVSDVSGRSSPNDLALQVKRKKAKKLSFFPRVIMFLGRRRIKAAK >Dexi1A01G0022350.1:cds pep primary_assembly:Fonio_CM05836:1A:29029367:29033322:1 gene:Dexi1A01G0022350 transcript:Dexi1A01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPAPNTPPPSDPGALRCSAASRPPRVVVLAPLPRAGARRRSIRAWTDFFRCRYHPLSAMFGRMPRKTSNNTKYYEVLGVSKTASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDIFEQLFPGSGSFGGISLLPVSCTPRKGSKSGASGTCHGCRGAGMRMITRQIGLGMIQQMNTICPECKGSGEIISEKDKCPSCKGSKITQERKVLEVHVEKGMQHGQKIVFQGQADEAPDTVTGDIVFVLQLKDHAKFKRKYDDLYVEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFKIEFPEPGALNPSQCRSLEKILPPKPGNQLSDMDLDQCEETTLHDVNIEEEMRRRQQQKRQEAYDEEDEEESGPRVQCAQQ >Dexi2A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:2A:22008863:22011708:1 gene:Dexi2A01G0013470 transcript:Dexi2A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKPHSYVFLLLVAILCLSSSQPALGQILLQGFNWESWNTSGSGAAWYDVLRSQVDDIAGAGITHVWLPPPSHAVDAQGYLPGRLYDLNASQYGDESQLRSLIAAFHGKGVKCVADVVINHRTAERKDNRGVYCIFEGGTADDRLDWGPHMICRDDSYSDGTGNPDTGLDYKPAPDLDHLNDRVRTELTQWLNWLKADVGFDGWRLSFANGYSAAVAAMYINNTAPDVAVGEIWTDMAYGGDGKPLADQDAHRQILAAWVDAVGGPAAAFDYTTKGVLQAALNYSELWRMQDAQGNAPGMIGVRPAQAVTFVDNHDTGSKTQHSWPFPPGMVLQGYAYILTHPGIPCVFYDHFFDPSMKDQITKMIKIRTQNKIGPASKLRVLLAQSDAYVAEIDGRVLAKVGARYDVSKSVPDGFLVSTSGNDFAVWEKSPDGQTNTPPLSTTRSRRWVVPVAATVAPLTALLACFAAVMLLLRRRQKQKRRRQPKGNGTAAVDPSDDSDEEEADFEKGVGPRRYYYRELAAATGNFAEENKLGSGGFGPVYRGYLAAQGRHHVVHGDVKPSNVMLDTAHGAKLGDFGLARLLDRGAGPQTTRVVMGTMGYMDPDLVTTHRPSRASDVYSFGVVLLEVACGRPATAAADDEELPGGGDALALPEWAWELYDSGAVMEAADVRLEGEFDAWEMERVLVVGLWCSHPVPGERPSIVQALNTLQSREVALPALPVNPHPGAAATAGFSSYVHCLDSGGSVA >Dexi5A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:5A:8357576:8358654:-1 gene:Dexi5A01G0011170 transcript:Dexi5A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPAAFVNAEILTMFVGRRVRTVVQVQRNEGGMVVGQSPDGHPLTIKSAMDIPVSHFMEVYGIAENNQTIRAEVCTDFGPSFDTKSFDGLCKLASDKFKHVFL >Dexi3A01G0024580.1:cds pep primary_assembly:Fonio_CM05836:3A:20216162:20217358:1 gene:Dexi3A01G0024580 transcript:Dexi3A01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRLYPQKSSKIMSTSGAPIPAATATAVLCVILLSAPSSSSVARAQQCGSQAGGALCRDCLCCSQFGFCGSTDPYCGAGCQSQCTGCATPPPSPPASTPGTGVASLIPRDLFERLLLHRNDAACLARGFYTYDAFLAAAAAFRAFATTGGDEQRKREVAAFLGQTSHETTGGWPTAPDGPFSWSYCFNQELTPPSDYCSPRPEWPCAPGKKYFGRGPIQLSYNYNYGPAGRAIGVDLLNNPELVATDAVVSFKTALWFWMTARDNKPSCHAVINGEWTPTDADRAAGRGAPGYGVITNIINGGFECGHGPDTRAADRIGFYKRYCDAFRIGYGSSIGCDGQRPFNAAVAVGLSTAQ >Dexi5B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:5B:2712225:2713787:-1 gene:Dexi5B01G0004010 transcript:Dexi5B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSWLIGFLGRYPELMVSFACFLLLFHRLNRRDGLPTNWPVIGAIPAITVNAGRVHEWVTEFLRAAGLSYVIKGPWGSPVDAIVTADPANVAHVFTANFGNYPKGKEFAALFDVLGDGIFNADGESWAFQRRKAHALLSDARFRAAVAEATAGKLRRGLAPLLDGLAASGAAVDLQDVFVRLTFDLTAMFVFGIDPGCLAADFPFVPFADAMDTIEEVLFYRHVTPVPWLKLQKYLKIGHNKRMKKAWRVLDESIAEFISLRRARAAATASDDQSTGEADLLTSYLACQDEVGKSGAEFDKFLRDTTFNLMVAGRDTTSSALTWFFWLVTKHPDVEAKILDELRSHPPSSSSSGTGAGDDDHYHTAGELKQLVYLHAALSESLRLYPPVPFEHKAASRPDTLPSGAAVGPTRRVIVSFYSMGRMEAVWGKDCLEFRPERWLTPVGRLRHEPSCKFVAFNVGPRTCLGRDLAFAQMKAVVAAVLPRFRVEVDAGVVVRPKLSIILHMKDGLKVRVHKRE >Dexi7A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:7A:29955213:29956567:-1 gene:Dexi7A01G0021310 transcript:Dexi7A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFVCFLPFLAFCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >Dexi4B01G0011530.1:cds pep primary_assembly:Fonio_CM05836:4B:8980088:8981542:-1 gene:Dexi4B01G0011530 transcript:Dexi4B01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSARVAVIGAGAAGLAAARELRREGHAAVVFERAGDVGGTWIYEEAREGGHSSMYASLRTNLPREVMGFLDFPFVATPGSSSVDARRFPRHEEVLLYIQEFARRFDLYGLVRFRTEVTAVVETTSTRWLVRWSTTSTSGEQEQEEEEEEEFDAVVVCNGHYTEPRIADIPGVDSWPGKQMHSHSYRVPEPFRDEVVVIIGAKNSGGDISREIAGVAKEVPMVNRASPASTCERLPGYHNLWLRSMVERADPDGSVVFRDGSSVRAHVILHCTGYKYSFPFLLLQQQQQLLQLQVDDNRIHPLYKHVFVPQVAPNLAFIGLPFKVIPFPLVELQSCWVAAALSGRIRLPSEEEMMEEVGARYSELQAMGWPQRHTHCLKHNQFDYDDWLAEQCGHGGVEEWRKAMYDAASNKKVRCPETYREEWDDHHLLEQAYNDFQNYINPPATHY >Dexi9B01G0037300.1:cds pep primary_assembly:Fonio_CM05836:9B:38786444:38789292:1 gene:Dexi9B01G0037300 transcript:Dexi9B01G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAPSRLPLQRFPPSCLRLLVPGSPMASTLAILRPSAPAPLAGHRARVAPPATARVAPSSRSRYSSARVSLGSELAVGADALFADYKPSTAFLFPGQGAQTVGMGAEAQSVPAAAKLFNQANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGEDVIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQELCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEVVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAATEIRTPRIPVISNVDAQPHSDPDTIKQILARQVTSPVQWETTVKTLMGKGLEKSYELGPGKVIAGILKRINKGASIENIGA >Dexi4B01G0023520.1:cds pep primary_assembly:Fonio_CM05836:4B:24839732:24840901:1 gene:Dexi4B01G0023520 transcript:Dexi4B01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVVVAAIMMMLLVATMPVSADEPQCGWQAGGKVCPNCFCCSRDGFCGNTTAWCSNGCQSQCNGCDDGVASILSRSQFDEMLKQQHDNDLCPGKGFYTYDAFIDAAKTFPSFGVRGGDVATRKREVAAFLAQTSHETMLGWEAADGSLTWAGYCLKEQAVEPRGDCCQPSRRWPCAEGKQYYGRGPFNISWNYNYGAAGNAIGVGDDLLHDPDMVATDAVVSFKTALWFWMTPRSSELDENNHMPSCHLVMTGQWGPTEEDLDAGRVPGYGAVTNLVNGELECGHGGPDDRVESRIAFYKRYCDILGVDYGDNLDCYGQKPFPPSPTPQLMIHDQQSLACLIISPYY >Dexi3B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:3B:7044364:7045004:1 gene:Dexi3B01G0010180 transcript:Dexi3B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALATRSASQQRPASVTAAATAAAAHGHRRATAARRRRAPCGRLRALPPELSEILSPKLVPGSPSDTGDVSALMLLLYFMTNWVVPELILKRLQNKANGEADASVAASMSLSGDAADGDASRRRLKGKKKKNKKATINV >Dexi2A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:2A:13550557:13552647:1 gene:Dexi2A01G0011670 transcript:Dexi2A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLLNLWNHWSIQILVLLSLALQLFLFAFAGIRRRGANPVLRFLLWLAYLLADSTAIFALGHISLSATTREHRLVPFWAPFLLLHLGGPDNLSAYALQDNQLWLRHLQMLIVQVLGAAYVLYKHIAANGVLVLVATALMFAVGFLKYAERTWALRCGNLSSIRSSINKEPPTRHGHVHPHDDKAAAGEMIEESALRQAHSLFHICKRAIVDSSVEGGWHDNYDIKERLRPLHVIIWALMEMELSLMYDILYTKAAVIHTWFGYCIRLFSPLATAASLVLFHFSGEDGYSGVDVIITYVLLGGALFMETTSLVNALGSTWAFAFLCTTRWSWLKYEALCKGRWDRLRRTVVSLHQIVKAAAGRGSNSYRSRRWSGTMGQYNMLHFCTRPDDNAWITPLLGRLAKMVGLREWWDRKHHSGSVQITELVKEHVVSHMEQLYLEGRWNTLGSIRKKWGQEALDRHRSSLEDRDYNALMVSLGVEFQEGIIIWHIGTDVFLANTKQAKEEGALARVEAIKLLSNYMMFLLVEQPDMLPGLAQNRLYQRTCKNVITLLRSTTPTSWRSMGLGKILQSLFRLHDNPSTSRATEREDLANILFAREPSFEIHAPRLSYVTGLARLLVKNVEVATDAVQLVLDVWTDLVVYAGNKCSRESHAKKLNSGGELTTILWLMAEHLFQADLELMAENRLSQASLENV >Dexi8A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:8A:30515392:30515751:-1 gene:Dexi8A01G0018170 transcript:Dexi8A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKAPHLSCNRPSEQTPGPSVAVCGASILDLEGWRHGRRRRSRQCGGMTGRGSGITVGENKQSISFDPKKVVLSPRWQDERQVQGLEGLSPAHHGTERGRVARRVTGVTLGVVRRNRI >Dexi9B01G0039300.1:cds pep primary_assembly:Fonio_CM05836:9B:40048185:40048463:-1 gene:Dexi9B01G0039300 transcript:Dexi9B01G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAGGQGGGGMDAALLDDIIRRLLEVRTARPGKQVQLSEAEIRQLCTVSREIFLSQPNLLELEAPIKICGEHPSSPSAPPSLFPSYGR >Dexi7B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:7B:26076515:26076760:-1 gene:Dexi7B01G0020820 transcript:Dexi7B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRTIHRNAKANVRSFAQAKKLAPTAANRRADKAEGMRTVMYLSCWGPN >Dexi5A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:5A:9622007:9627897:1 gene:Dexi5A01G0012820 transcript:Dexi5A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIVVNATLRLFPLASQHGQHACPSHGRQPELARAMTTPCLPPLPCSSISCSSAQLKLACSGWCVRLSSPALLAMLAGVELGAAGSEYERPHAWATDLIGVEVGSPAAGLLGLPGRSSCSCSTGCDRGNAPMPIALSACDPVKPPIEMTWRLHEDVDGAADPDSSRTVRTAGATADALRTDTDNLGMDDSLPAPTPCQNHSAHARTPRTLTLLTLCLCVVVYISTPGLPSASQLDRKLHDDDDAPPSSTTLASVALFVVSILLAFAADEGSLAAVAQLMASCMPYLTGAPGLTPYGICCNSLGVLNQLAATRADRVAACSCIKAAAAGLPASCGPSISFAISPNMDGSQRVNMQGEGIPTFQLVPPLSCLCLVIVGWPVWPIATAPQGGTSTPGREAALQSSDACRPTSTRDPTQHGGPYREHRSDDVLHDHERSGVVAHHHGRGDSGHEQQQRDSGAPLVASHVGVVPKCRICTVPPLLELSCAAAACLSFTM >Dexi4A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:4A:6689070:6690428:-1 gene:Dexi4A01G0008730 transcript:Dexi4A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQGSKHALHGGCGGPPGPRGLTGRLPVSRRRSVAVRSAALGTLSLDRAAAAVAAMGAVSFDDAYGGGEGMTKKKKDSDNDDADDGAGKLLGPSRSFAGWRPATPPPVAVPPKKRPKKQAVVAPRTPTKTPARGPPEEINVWELMNGLDDDEEDDHEEVKEDKEDRVHYEERKAQSTPGSPVFDPEILDAFRKALDDLTPNGSHLPDFVKRDEDSGGGDDVVEKREIQKFHGIVRARVTVLQEKIDAKTKLAAAAKKQASPAPPPESAGRVVVYLTSLRGIRHTYEDCWSTAAVLRGYGARVDERDLSMHAGFKDELRVDERDLSMHAGFKDELRAALACGGDGRVPLLPQVFVDGIHLGGAEEVRRLHEAGELAAALEACDAVVAKGCAGGVQDACGGCGGVRFVPCAACSGSCKVFVEDDVEDGAGSGAGAFRRCPDCNENGLVSCPVC >Dexi9B01G0038940.1:cds pep primary_assembly:Fonio_CM05836:9B:39790355:39792646:-1 gene:Dexi9B01G0038940 transcript:Dexi9B01G0038940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQLHHYQAQQRGQQHPETSYAGRDPGQASGEHQYTPPKFVNIMLTVGDAGHGAAGAQSFYDGKRSEAKVGLEKQSSKETRDQPRTDRTETRYEDYNVPQTFEGLEKSFHEDIVMLSKELQDAEDAENSRHRERLKEINMQYQEKLLALRARQANYRDEFLRKESLARQQQYQHATMSQYANNSAAGEQHGYHPTALPGEQHGYHPAAAATPAGAGGAYGDAHRAYASGQYESLNDRPDYPEFHGGGRGRGRGFEHRGQYPSGRAYSSGGRRF >Dexi5A01G0035140.1:cds pep primary_assembly:Fonio_CM05836:5A:36983772:36985514:1 gene:Dexi5A01G0035140 transcript:Dexi5A01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRELMECIEFDSFLDEDAIDSPLGVHLESDSLAGTKAVPDHRQYAQFQCSNAPDPPPLPGTSYGARRSSLKAKACSRVREEILDSWDKLFLEGYQADLRVSADDGTDILSHSCVLGVKSPVLRAMLEEAKLKHGFRCIRISGAPSEAVRVFIRFLYSSRFEQEQMNIHVLHLLVLSHVFSVSSLKTVCIDQLERNFLAPENVVDVLQLAELCDAPRLCLVCTRMIIKDFKTISLTDGWKVMRRVNPSLEQELLESLVEADTRRQERAKRMEEKKVYRQLYEAMEALIHICRDGCRTIGPRDQALKGNGAAVCKFPACKGIELLVRHFSTCRVRVPGGCANCKRMWQLLELHSRMCFTPDTCKVPLCR >Dexi1B01G0008700.1:cds pep primary_assembly:Fonio_CM05836:1B:7295034:7298199:-1 gene:Dexi1B01G0008700 transcript:Dexi1B01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSEDDYEISDSEIDEREAEVYEHLKSGHIKVKDQETYNCPFCRDKRKKDYSMDSLLQHATGVGSSTNRQAKDKATHRALVKYLKDESGRSSESQSQLSMFIEPQNPGNRGDQFVWPWMGVLVNVPTEWKNGRQVGESGNRLKEQLSRFRPQKVIPLWNRRGHTGNAIVEFGKDWSGFKNALAFENHFEAEGYGKKEWELKRYRGSEMFGWVARADDHRCQGPIGDHLRKNSDLKTVGDCESEETRKTDKLVANLASEIEVKNMHVQELESKCNETTASLDRMMAQREQLLHSYNEEIRKIQQIARRHSQRIIDENQNLRSELETKMQELNSRSKELDELALRSNHDIRNLMHEKEKNQMKTEHLKMATVEQQRSDEKVLKLVEEHKREKKAALEKILKLQQQLDAKQKLELEIQQLQGKLEVMKHMPGEEDYESQKKMKELSTELQDKYDEMEAMESLNQTLVMKERKSNDELQNARKELIAGVLEEEDENLQKLKKEHGEEIYGLVTKALVEINEYNPSGRYPVPELWNYKEDRKATLKEAVQYVMKQWRSHKRKR >Dexi1B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:1B:6782237:6785964:-1 gene:Dexi1B01G0008130 transcript:Dexi1B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQTLASATQLVSAMVTAVGALEQAAADFAEAPRRLQVLEDFVSDLDALAQQARQRHAHKMHGPQLERQLQSLGRLMDQLGTNIAKARQVLSKKGRGKAFARVVKSSVVGDPLMRYVKAIRDELNWWLELQELTQSVGDVIASTAKSTPSLVRVKSERGYPVSKKCSYVRELLERDGSHRVVLIVGLSGIGKSCLARQIASDPPGYFVDGAIEISFGRWCSRTACNGSRSEYHKRLVRKICKFLVQIGSMTVKEETGKDLDDVCCLLQTALVGRNMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEISKEILLYHSLLSMGELPPVAEVLLDRCGHHPLTVAVMGKALRKETRVEKWEKAISNLSTYATCAPGPVSYVNEKDVESTLTIFGSFEYSLEAMPENSRSFFMVLAAISWEEPVPEACLESIWSALLQDSLFPLVVSKLVEGSLIIKLEDQLLYHMHDMVSLYLENKTNDAVRTLLSESISVCAALIAPWLFVFGKECVKGPAEQKMRSFFSLLEFMEIEILLGSTTQALMACRSISDFESSRLGFSKILGPRIAEIISVGSPDLIFAITKAITVIFFQADYINLAQSLETAGSVDKLIDLLGVCEDTSTLANLSSVLAKISEHVDATTADEILSRTPMDRIADLLSPENEQWHEIVFTTLASLTKVGKLKAVETMIESGVDKKLLVLLGNGSEISQHHAITMLKTFCELGAPLQGCMGPGVLVHLPWRARISLERFVLFDQSVPPSPKPQQSFEVILHKILQKDNKEIIEAIQGLLPFAERANDSRVQDLLLGSILFDRLALLLQCREVESNQARSQAAFLVMKLACTGGEPYVRRFLELNIVHNLIDMMQCNIDELQDSAYYALHQIIVFAKGGSLVLQRFLQLGTIEKLVNLLDRKSLKTKHLAMQLLVDIAVVGTKPCIERMLASQVVEKLVALEKAGDEPFDGAVSRYIEGLNMCKNVQSAERAVMKQHILRKVRSAVRGHKLEASLVASVEACIAEGTKGASSSSRKKK >Dexi9B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:9B:2680377:2681876:1 gene:Dexi9B01G0004680 transcript:Dexi9B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSTMFTPPPAGDDLDSAVVAAHSKATYDEQWEAHKSSGKLLVIDFSASWCGPCRFIEPAFKEMSSRYTDVIFVKIDVDELADVARTWKVEAMPTFVLAKGGKEVSRVVGAKKDELERKIGMFRSSSSY >Dexi1A01G0003270.1:cds pep primary_assembly:Fonio_CM05836:1A:2347747:2349561:1 gene:Dexi1A01G0003270 transcript:Dexi1A01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRSRQEPRRVSNGVIIGAMLLSLCVLSIVKARYCATPFGKAEDQLQEQMNSSIRMETEDSPARTPGEEEEEEDEPEAVPSSTAPAVTTPATVVSTGGGRDKNGKAKGKAKPTCYMTSKRSERCDASGDIRVDGNRSTIYVSGIDKEWKTKPYARYHDPVAMEHVREYTLKPLPVSGDAPACTKNHSVPGFMFSNGGFSGNLYHDYTDVLVPLFISTHQFKGRVQFLLSGMKPWWVGKFTPFFRQLTRFDVIDVDNDQEVHCFPRIVVGATFHKDMGVDPRRSPGHVSVVDFKRALRRAFGLEREAASRTGATGGVGKPRLLIISRRGSRRFLNEREMARVAADAGFEVRVAEPDQHTDMATFARLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTGVTFKDPAPDMEVTYMDYNVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIRMDMDRFRATLKEAMSRLP >Dexi9A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:9A:1692985:1696030:1 gene:Dexi9A01G0003230 transcript:Dexi9A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCAGGGAGRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKVLVPFSADDDLVADAKAATAAAAAEEATTFRAISGAALSANVSTPLSTSVLLLLPDDSAASSAAAASSGFESSESFAAVPLQPVPRFPSGPICAPAGGGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRVLLARAEKFQDSMDLGLPDAAAAVAACGADSAGLQWAQGKAGEDRVHLVVSEERGWVFVGIYDGFNGPDATDFLVSHLYSAVHRELRGLLWDQCDQEDQHDQYADQPTSTTASDSQDQSANRRRARRSRPPRGADDDHRRWRCEWERDCSSLKPPAQRAPRSNSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGDDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLAGDFSPLLSAVQLTSEHSTSAREEAVAQVETFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >Dexi4B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:4B:16424424:16427121:1 gene:Dexi4B01G0015120 transcript:Dexi4B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGAAGGLGGTRVPTWRERENNRRRERRRRAIAAKIFAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPSAAEHREGIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNFIGGVEGSSLIPWLKNLSSSSSIASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRIKTDWENPSVQPPWAGSNYASLPNSQPPSPGHQVAPDPAWLAGFQISSAGPSSPTYSLVAPNPFGIFKETVASTSRMCTPGQSGTCSPVMGGMPIHHDVQMADGAPDDFAFGSSSNGNNASPGLVKAWEGERIHEECASDEHELELTLGSSKTRADPS >Dexi6B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:6B:3456177:3458935:1 gene:Dexi6B01G0004260 transcript:Dexi6B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSERGEPRARDKAAAGGKMVQRLTYRKRHSYATKSNQTRVVKTPGKIVVADRAFVSAFLWVGVIWLSAEYGLVLIMFAVRKWMDLPVGFSILVVRCGRLVYQYTKKRASGPKCPVTGKKIHGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKASKS >Dexi3A01G0026020.1:cds pep primary_assembly:Fonio_CM05836:3A:22080662:22081598:-1 gene:Dexi3A01G0026020 transcript:Dexi3A01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLRKKAPPAVTADDAAPSSAQQAVEPPLPSPSPGVKTTGVEDDDEQVEKFYALLENIRAMRGMVGGAATAAAERKRAREAEPPWRPAFRMEDFELEEVRSGEAAPCCDANSKRTKRESSRGARQRRPAAGKEATDGEEESEVVEATDPRAAQRKQARHPRAAQRKQARRAGVLSVDS >Dexi7B01G0022820.1:cds pep primary_assembly:Fonio_CM05836:7B:27623837:27631245:1 gene:Dexi7B01G0022820 transcript:Dexi7B01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGAARKRSRPETTNGAVAGGKRSKGEASCSHLFRLLSTVGCPFGEGCHFLHFVPGGYPAVAKMLNLGSTPVSAPARGPVDHASVANSHPASMGKTRMCTKYNTVEGCKFGEKCHFAHGERELGKPAYMSHEGPPMGGRFGGRPEPPPPVSMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVSDLIATISASMPPKAPAPAVGRGGAPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRRDRSKKEIYSSSGMAAAVALTPASPPLVPSFRSRRDGRVRLSPRRPRYSAGRCRAMAQTFQGGPAASYAREMERLSAKESLLLAFKDAGGFEALVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGAFAARLLFERSPTTVAHFMGLDVLIKDGYSKISSNLKFLNTIQSKFLLTTQLSVEGPIRMKEEYIEGLIEIPKISEETLPEQLKGLLGQTAGALQQLPNPIRDAVAEGLKLPLGGAFQRLFMISYLDEEILDSELKAVMLPSAGQLCSHSGDGSNYSVELETSEDEERLKL >Dexi5B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:5B:22848561:22853092:-1 gene:Dexi5B01G0020660 transcript:Dexi5B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGQQQFRYTQPPSKVLHLRNLPWDCTPEELVELGSPFGKVVNTKCGVGANRNQAFIEFADQNQAIAMISYYASSAEPAQVRGKNVYLQYSNRQEIVNNKTTGEGSGNVLLVGMEGVAPDSVSIDVLHLVFSAFGFVHKIATFEKASGYQALIQFSDAETATSAKAALDGRCIPSYLLPELDGACTLRISYSAHSVLNVKYQSHRSRDFTNPYLPVMDSAKDGSGADGKRQEPESNILLASIENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGLQALIQYPDIQTAVAAKEALEGHSIYEGGYCRLHLAFSRHTELNVKVNNDRGRDYTKGNVTPSSDQLSILGPQPMPNAGAVIPPPHGVPSAATSAVMPPGVPTPAAPGEPSVALPSQPSSEPHSQTAVVPSGGPQQYPGQAILQGPPGLPQQFPGYGSAQTPMVQPSGPGSQQMSSHVNYQLPSGSAQFMQYFGNGSHLVPNTHGPQAVPFPGLGGQQLPPGPQMMQAPGYGGLPFSQGPRQPMPQFPMYGNQQFPPGMEPQMMPFSEQGGRQLPFAPRGPYGR >Dexi9B01G0048190.1:cds pep primary_assembly:Fonio_CM05836:9B:47203797:47205781:-1 gene:Dexi9B01G0048190 transcript:Dexi9B01G0048190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSSLEKSTKDDTGTRSAGYDRSAGKGSVQNQNRDFTHRVIGLQNPGCTPVPVSQESANAEDKRGKPPDCFTRLQILNQYVLVLTELAREKLSKGMYLESLSVELVLLAIWKEALDACSLFMDASESDDGNFSKSSPEHFLPKSDPLFLNVVRRLDFSRPVSVRNTEMPDAIDVIFQTALEYGKSGAANEVLGHRSRSTALYSKSIILLTFILQEAPTLPLNPPFSLPPSDQQRIHSYIANLKSHLCNAQVVGQQQRSVHN >Dexi8B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:8B:10436018:10436251:-1 gene:Dexi8B01G0008030 transcript:Dexi8B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCWAACAHRQALPARLARGAGLLPRLDPMPLASLHTCSLAYLQSHLNLALLGVEAEDTLLHCKSTVQSTVEAWTP >Dexi8B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:8B:20322883:20323114:1 gene:Dexi8B01G0011280 transcript:Dexi8B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGGVLPEAKGAAGVDGWGTAPSMRARAGKEAGREPAASHLDEAASGGPAAAGLRGLWRADGGPRNGRKQKE >Dexi5A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:5A:4500898:4502626:1 gene:Dexi5A01G0006060 transcript:Dexi5A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRRQSAGHPNAAPTTSDSGLVKLLADILHHTAPSTWPSALAAPLLRSRLAPAHVSSLLLLPASLRRPDLSRRFLLLLPPELVSPVSLSVLALSFLSSSPSPSSSASSPHAASLLLSLASSTPSASSSFTSLSHANSLATFRPGAATAATTLLASTYLRLRCTHDAAAVLRLSLSSGIAMKQYTASQILFALIKIRQFAVARDLFDEMLRTAVHVDEYIYTAGIRAYCETNNLHGAMGLLARMESKGVKGSAVPYNVLMYGLCKNNCVKEAVEVKNNMVDRGVTADEVTYRTLVYGFCRTEVLEMALEMTDEMLRLGFVPSEASCSFMLDGLRKGGRVEEAFRLACRLGDLGMVPDLFACNALIDKLCKDGRFSEAERIFTGMGNRGLEPNEVTYAILIHSLCRRGMMDDALCMFNRMREKGIRVTAYPYNSLINGYCQQDDFDWARQFLNEMVKEGLTPSAASYAPFIAGLCRKEDPASAMEFHKEMARNGVSGNIYTFTALINGFCKDRNMDEAARLFDKMIDSSLVPNEVTFNVMIDGYCRVGH >Dexi8B01G0008360.1:cds pep primary_assembly:Fonio_CM05836:8B:11094642:11095727:-1 gene:Dexi8B01G0008360 transcript:Dexi8B01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRPWILVSCTLLLAAACHGLQVGFYQKTCPSAEALVRAEVQKAVVKDAGLGAGLIRMLFHDCFVEGCDASILLDPTPTNPKPEKKGAPNEASQRSYEVIDAAKAAVEKACPNTVSCADIIAFAARDASDLLSGSKIRFAMPGGRFDGRSSQATQTNALPPPFGKLSDLTDKFTAKRMSVEDLVVLSGAHSIGRSHCTSFFFERVSSPSDMDASLVSQLKKQCPASPGPGNDPVVAEDVVTPNALDNQYYQNVLDRKVLFTSDAALMSSPQTAQMVRDLARKDGSWEKKFAAAMVKLASIGVKTSRDGEIRKNCRVVK >Dexi2B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:2B:19056029:19057252:-1 gene:Dexi2B01G0012690 transcript:Dexi2B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSSPSVNSILTKDWGVSPAAQPIKQEEVSPGVFVDASLALPVQSEANSGDALPVAKEEKEQLLKPVKEEEDADDFFYGSSSTPIDLEAMNGDASLMEVMKKEEEQLKEAWLKSEEAREARKREEAARVDEMLTKMQLFSEFLLENMDQITYQEGQAEDSQVEEKGRGRKRKAKPQLRQQWQPCLQDLATIALLKIVLFQKKGGKRSRPILCLY >Dexi5A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:5A:8604637:8608206:-1 gene:Dexi5A01G0011480 transcript:Dexi5A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVWFSGLTSIIGNHRVLAVEEEGEMSVLNLEAEALDVAKMMEAEAVVAVEGVELVAKVVTKVGAVAVAEAPTFNVVI >Dexi5B01G0024190.1:cds pep primary_assembly:Fonio_CM05836:5B:26288878:26296354:1 gene:Dexi5B01G0024190 transcript:Dexi5B01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGDDERHRGPKRHKSSAPSKAALVDESAEFDYADDFDDDAREDERHRGPKRHKSSAPSKAALVDESAEFDYADDFDDDAREADKEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLSTLLKDEVISRARISPEDSLGAPSFTISKTPGQTASGHEDLLNGMELAAATEDKESHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFYTLDEQLDLLGKVLNAGDDMIGVEHLEEDSDGKALLKARRSAGSMSAFSGAGGRVYLEYSTGKGKGAPKKPKDPSKRHHLFKKRYQ >Dexi2B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:2B:10972705:10979329:-1 gene:Dexi2B01G0010100 transcript:Dexi2B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSGEARVTVYEKEDHLGGHGSKTMAVEDGGGGRVHVDLGSMMTCPNMTKWFEELGVEVETSDMSFSASTRLNKGGGFEWGNRNVSGVLVQKSNLLSPRFWLVIREIFKFKNDVLEYLEDHGRNPDWNETLGQFIQSHRYSQLFQDAYLIPMCACIWSSPAQGVLGSPALSVLSFFHDNHLLELFGRPQWHTVKGGSGSYVNKVRGELESMGCQIKTGCEVKSVSKFNEGISKAQILLNIESSRPFLVTFNPPHVPNHVFLKWHTSHPIPSVAAAKATLEFNNIQGKRGIWFCGPYQGYRFYEDSVKIFFGRVICLWHTELIQAGKVAASELLRRKCELLVNPKPMVLSWTEAGARLLVAKTFERHIIIGNVSILEEGGTAFSFGKACEKCNLKSVIQVHDPKFYWKLVTEADLGFAYAYINGYISFVDSREGLLNLILSNDFFALYLDPSMTYSSAIFKAEDESLEAAQLRKHDSLISKAKVESWHHVLDIGSGWGTLAIRLVKKTGCKYTGITLSEEQLKYSKQKVKEAGLEDNITLLLCDYRQIPTCQKFDRIISCEMIEHVGHEYMDDFFGACEYHLAEHGLFVLQFITIPEDLYAKMRVRPEFIKEYIFPGGCLPSLSRVVSAMTNASSLCVQHLENIGDHYYPTLMHWRDNFLANRKKVSALGFDETFIRTWEYYLTYCAAMFKSRTIMDYQMVFARPGDAKLPSYLAIE >Dexi7B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:7B:20564227:20566275:-1 gene:Dexi7B01G0014230 transcript:Dexi7B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGAAANGGRNKYAVLHRSEEQELELDARRRPAAPESERRRRERFVFACAVFASLNAILLGYDVGVMSGCIIYMEKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAIVFQLGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRVMLGVGILPSVFIGFALFVIPESPRWLMMEKRVSEARAVLLQISESEAEVEERLAEIEEAAGLMKSMKSEDKEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTIFILVAIFLIDKVGRKPLLYVSTVGMTVCLFLLGVALTLQKHAVGLMSPRLGIDLAIFAVCGNVAFFSIGMGPICWVLSSEVFPLRLRAQGSALGQVGGRVSSGLVSMSFLSMARAISVAGMFFVFAAISTISVLFVYFCVPETKGKTLEQIEMMFESGDEWRGGEIELEDTQHLIPSNKKSVPLG >Dexi8B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:8B:20227582:20236264:-1 gene:Dexi8B01G0011250 transcript:Dexi8B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAIKTAEALEYLHSSATGIIGHGGVSTSTILLDDNFVPKLTDFSRARKLIKKSEATAAHRVISSSLLEKVLYDDPSCYGSVLINLESDVYNFGVVLMALISRDKNTDRDDLILKFTNAYATERSGKEMFDRDVTAEEDITLLEEIGRLALQCTILKGDEMFKRPTMKEVAAQLRMLRRSWKERTSEADHTRVIETDSRSVMSAEPRLPNLMRHLFGYRRISASDPINTHVEAVYMVDVSCNTYDLDSYDSITVAFLDGTNHAVAHGEGKCSPLTLFPLARKNKTSKKQQPSASGGLLKLLLSKILRGRSAAPPRKPAALQFSDSFKIAAVAPAPPPCAAVKTAGGEAKVEQEEEDSCCYSDGEYYSDEEKQQLSPVSVLEHPFESSKVSPSKNNAMDVFRELLDAAYSPALLTQLLAKTNDLVAGDEDDDYHYRTSPKNCREDESAAAYWDTHRAELARVSELVASEVPASKLAAGDVVPERQDVGAEVEAAVFDALLMELVAELGNGCC >Dexi2B01G0014380.1:cds pep primary_assembly:Fonio_CM05836:2B:24005913:24007254:1 gene:Dexi2B01G0014380 transcript:Dexi2B01G0014380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDGNNGGGSHGGLVVTELSHIKELVRQLDVHLGGSHELCKILASQIFSLTERSISIITSSSGSLDGGGLKRSAANAGLASPFSATPTSGVTDGPFKNAKKRKVMEKKRHQVRVSSPAGDNPVDDGHSWRKYGQKEILGAKNPRGYYRCTHRHSQGCMATKQVQRTDEDSTLFDIIYHGEHTCVQRPATAAAPEHNPEANSFLQSLTTGLTVKTEGLPPLAAATAPFYLSSSTPASIRSMATPGAELRSPFSAAPSTSENWGVSPATSDSNHVVSYLPFEDAEWRRGQNELQEVVSALVAASAPPAPAMDSLDELLDIDDIASFFA >Dexi9B01G0032140.1:cds pep primary_assembly:Fonio_CM05836:9B:34405104:34406282:-1 gene:Dexi9B01G0032140 transcript:Dexi9B01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPSLPHGLPRPPSHAAGLRRLSTRASAPSTPRTPAAPPSPSAAAGGGPAPSPSAVLAHLAAAGVSVLPGLSATELALAEAALGGVQLPPDLRDILALGVPSGDGFPDYRSPAGLRLLRFAAQEVPAAVAVTLPLAPGRRASRAAAAAPPPPLVPLFGRHYVPATPCLAGNPVFHVSDSGVTFAGANVTDFLFRAFAAEPPPGAPLRRQLSAPAPPPVAPSPAPPPSTARRSLDSVTGRAPRWIEFWTDAAAAGDRFLEVPTVPPSAAGATTTAPEWVVSSLEKAGSALARGGWGAGEVEEMTTGNEGGGEVVALALTVDRCCGELRRGGWSAEEVVEMLGALLGPRKARRSATALPPEVAARVGRLAEAVSRAVASRGKAKPPRPC >Dexi5A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:5A:9301425:9304433:1 gene:Dexi5A01G0012370 transcript:Dexi5A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRTWMKRVREVAYDAEDYIDKFRLHHKGHQDYDGNLVTVLLHKIIHPLKTLNAMYAVANKIRDLNARARKVSERRLRYKMKSLEKIAHLDIGSSSLEELSELPHVTKLCAINNERGGEKWKPFVASLSKMCDSIRHLSIIQSTKTDKGLKVFWELKSTPAFLEKLYLWGTLKALPPWLLNHDKLVDLSLRENFLGRESLKQLGDLPSLLSLRLYSESYVGEELCFKQNKFINLKQLIIDNLSYLEKVSFEGGATNLERIALAFFKDPGAEGGICGIEQLPKLKEIEFFGGVIVDSLVETVKNEVREHPNKVRVYIHGQPIEQR >Dexi1A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:1A:6300887:6302713:1 gene:Dexi1A01G0008200 transcript:Dexi1A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALTSGGGRLTALFPRHHARLLSTTTTSSSSSTIAALFSDPTPPSDPAAAIQSAGFDLSQPNTVPELLGDPVLSGNYPAASRFFSWAASDPAAKAALNSRSFNSMLQLAAAHGDADRFWSLVASMRSRGYGISKPAFLAASESFRSKDMGRDAELLQEAFAAHGRNAAAAEVCKVLRAPGKDDSSKLAMLSESSVEVTDELVALVVEKVGQFPHQAMVFFRWVEQSAGAGISWGKVYNAMARVLGREDCIEEFREVIRKMRSKGLEMDRDVYVTVTDRFLNRKMVEDAVDLFRFMTSRPEKLLTDDFIFLLKKVVVTGDLDLKLVAKVLRYYHHAGYEVKDSAFDSVIKSLRSVGRLGESGRVLKAMQEGGFQPDGADHEKAVVAMCDAANLEEARNYLTDVEESGHKLGPKIWSCLVQKYSLGDNVDTAVSCFHEMLEKYGNEDVGSALEALVSGLHRKKGSKEAFKVLKNMVTEKSVVPWQTTYKYLIHKLVRQGHQKEAFEVLGLMKSHGYPPFVDPFIPHISKSGTVDDAIGLLNATSSRGLPSRIVYVRLFQALFKEERHEVAQQLLSQSPAGIQNHADVRDIFNRMKLEEPAAAALADG >Dexi1B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:1B:21116646:21121664:-1 gene:Dexi1B01G0014740 transcript:Dexi1B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMRAGGRRRVAEAGGHGHTWSPAAATPRFHLSTSPPPALVSAGLPPAPIYPQNWGDSRNPDASCCRLLLVRSPWSALVHETRSRAHAAAQEEGRAASKKQKTESKDQERGQHVASKNKKPKADESEPNGKEAAAREFAEFCKGMGEHLSVEDMRKILQANEQDASGSDDAIVPRCEDMMFYGPLEKCPICGGQLEFNGWKYMCIGDYSEWARCTFSSNDPSRRSGPIKVPDDIKDGFIQKWLKQREGKEFPKRHVDEEAHIFSGMMVALSGRMSRSHGYFKEQIMKHGGKVNNSVLGVTCVVASPAERDKGGSGGFAEALERGTPVVSENWIMDSIEKKEVQPLAAYDIASDVVPEGRGLPLGQLDPSEEAIETLAAEVKLAGKKAVHKDSKLEKDGGCIFEKDGIFYNCAFALCDLGNDMNQICIMQLVMVPENRLHLFYKKGPIGHDQMSEERVEDFGSRVNDAIKEFVRLFEEITGNEFEPWERKKKFEKKSMKMYPLDMDVGVDVRHGGLALRQLGTAAAHCKLDPSVSFLVKQLCGQEIYRYALMEMAQDLPDVPIGMLTDLHLKRGEDMLLQMRQYAESVPESGPEADAFWTEISNKWFTLFPTTRPYVLRGFEQIADNVASGLETVRDINDASHLIGDVSGSTLDDPLSECYKKLGCLINPVAEDSEDYKMILRYLEKTYEPVKVDDVVYGVSVERIYAIESSAFPSYEKIKNLPNKILLWCGTRSSNLLRHLHKGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGEEITEVTGTPGAEDAQGFEAKKMGVKGVGRKTTDESEHFTWRDGVKVPCGKLVPSRNKNGPLEYSEYAVYDPKQVSICFLVGVKYEEQNMEVVPDDE >Dexi5A01G0022580.1:cds pep primary_assembly:Fonio_CM05836:5A:26736229:26737512:-1 gene:Dexi5A01G0022580 transcript:Dexi5A01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVPSPKAGEAVATTTNPFEFHVCGPRHLSSPSWWDLLRSSWKDPNYRRMGIACFIQGVYLLELDRQDKRDERTALAPQWWRHFMYRLAETLVDERDGSIYGAVLEWDRRALLAGYAPFRPAGAPAAVVALRGTLLSGATLRRDVTDDLRFLAWDSLKGSVRFAGALAALRAAARRYGGPAVCVAGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLATSLRGFAETAGEMWGRVRSWLPYVGSAAAAAVADAGGEAAAKTPSSGGTTAKWLPHLYINTNDYICCCYTDAAAGTATVTARGGGGSGSSKTVAGGDGGMGSRAGGLARMMLVSKGPTKFLDAHGLQQWWADDVELQVALNHSKLIDRQLRSLYAPPPAAPPQM >Dexi9A01G0030570.1:cds pep primary_assembly:Fonio_CM05836:9A:35575195:35577614:1 gene:Dexi9A01G0030570 transcript:Dexi9A01G0030570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAARSALSSAGRAANEAVSFMVFMLLDALEVLLCVVYKVSDYVLEGAWRPCYCSSRSSPASAATGKIVVSERGGSKVVSMVSATKLHLEDISDTLYARPSVLASAASPSGASQRHPAGVTVHSTIVQMLRGKIGVGDGKHRPYPSPRWSDCHCANCNPADTGRLFVHVEAPKTTTATEDVLFIHGFISSSGFWTETVLPHVSAGARSRRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERYGVRSFHIVAHSLGSILALALAVKYPAAVKSLTLVAPPYFPVPRGEEVGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEVVRDQLTCDVTVYHGRDDELLPVHCSYAVKAKVPRAEVKVIDGKDHVTIVVGRQKDLARELEEIWDRKR >Dexi1B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:1B:505337:506631:1 gene:Dexi1B01G0000570 transcript:Dexi1B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGWALLEPLVFRRDDDSSSPDESKAPIRATGTTTWGSTFRIAFSFGEPAGHISRLYAQLSAPAFPDRKAPNIRGDPPPSRPDSSRQPDTSIRGCSSLKALPQCTEPHLDYTRVRLPRRRRRPLPAGTTRHMLCVSRLSLWCGDKELVVAELALFKLSKVFADIYLLHCSDGGDELAWRRMEWEHMRVEFLSTNDPLLMPISSKSAGGITTPSSPLTNGCAGSTTTEASSCLPNHHPTVSYIWFPLDKLPDSGTSCWASTSFFRAVSVVDHGRVLKFVNVTRHDGIHFAPLQPGTGFTITCHTLVVLDSGGMAWEEDYTVTSGELWEANSPDRLPRHILMFPQVDMDRPRVAHFLSIEFGIGYVKRNKKMWVVSIDMSTKTVESISLYINGDEGLETGDAEFIKIKSDETLPFIPCEFPKFLHSS >Dexi1A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:1A:10745687:10748242:-1 gene:Dexi1A01G0011600 transcript:Dexi1A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLNLLLCKKILQPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGAVPRPRLPILPTPGMPQGFPQAPGAPLMPGVRPPILPAPGVPGYPGGPPNMPQPGGPPGSMPQPGGPPGSMPQPGGPPGSMPMQIAPLPRPPTLPPPTSGVPGAPIPNSTAPPSMYQTNPPPPAGPTSGAPPAPPSAPQPAFSYAQPSEGNH >Dexi3A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:3A:4166291:4167350:1 gene:Dexi3A01G0006340 transcript:Dexi3A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRDLPCDGDGVCMVCGAFSPPEVDLLRCSTCATPWHSPCLSEPPALADAAGWSCPDCSGSGGGGATAPAAGGGEIVAAIRAIEADATLSDQEKARRRQKLLAGSAPAAEDDDEDDDGDGAGDDVLDIVGRSFSCVFCFKLPDRPVAVRPP >Dexi6B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:6B:19784610:19785778:1 gene:Dexi6B01G0012170 transcript:Dexi6B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVPPAPTWPRQDAIDLHRAFKGFGCDSTTVINILAHRDAAHRAAIHHEYRAIFNQDLTRRIASELSGHHKRAMLLWVLDPPSRDATILKQSLTGDITDLRAATELICSRSPSQLHAMRGAYRARFGCYVEHDVTERTSGDHQRLLLAYLAIPRYEGGGAVDAGLAALDARELYKAGERRLGTDERAFVRVFSERSSAHMAAVARAYQHMYDRSLEAAVKSETSGNFGFGLLTILRCAESPARYFAKVLHKAMKGIGTSDSTLIRVVVTRAEIDMQYIKAEYHRMYKRSLADAIHSETSGNYRTFLLSLVGRDRAY >Dexi9A01G0043500.1:cds pep primary_assembly:Fonio_CM05836:9A:46924543:46925713:-1 gene:Dexi9A01G0043500 transcript:Dexi9A01G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNENAAHSAPPLQRPRGKRKALAELPTNEWRNTDGGSKPRPSKPRTRSAARAEAEAEEARKAQEAEKVARGADVARLLNPKRQDAGAAQAAVAPYLEDIDRYLRSLEVEPLRRPSPDYFHKIQKDISAKMRAVLVDWLVEVADEFKLQAETLYLAVSYVDLFLTMNVVTRDKLQLLGVTALLVAA >Dexi9A01G0025660.1:cds pep primary_assembly:Fonio_CM05836:9A:26349696:26358640:-1 gene:Dexi9A01G0025660 transcript:Dexi9A01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGADRSPPPRRLVFAFYLTGHGFGHATRAIEVSPALPLASRIPATLRTPTGVLSGSQPRPAFPWLRGSQVVRHLIAAGHEVHVATAVPEFVFTAEVRSPRLRIRRVILDCGAVQADPLTVDPLATLEKYRDAAVVPRESILRAESEWLNSINADLVVSDVVPVVCRVAADMGIRSVCIGNFSWDYIYSEYIMDAGYHHRSIVWQIAEDYAHCDILLRLPGYGPTFRNVIDAPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQVVAQILQDTAIGKECISNKFSGARRLQDAIVSGYQLQRKPGRDVNIPDWYSLSEAETSAGLTSKNIATKETAATCFEDFEILHGDLQGLTDTMEFLKNLSELDGNNLESPEKQQQETTAASVLFDWEKEIYIARAPGRLDVMGGIADYSGSLVLQVSFGSELSNRAPTFNMDLSDFMDGEKPTSYEKAREFFCQNSSQKWAAYVAGTILVLMTELGVQFADSMSILVSSTVPEGKGVSSSASVEVATMSAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVGIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDIVSESLTSEAPVHSDCYKENGTGLLKSEAALEYLCNLPPHRFEAADAKDIPEVISGDAFLEKYGDHSDTTFKTLLAAGNTDEQLSALGELMYQSACGLGSDGTDQLVDLVQEMQHRTTSEGGSPSLFGAKITGGGSGGTVCVIGKNCARSSEEIVEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRCRRS >Dexi3A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:3A:16174414:16175128:1 gene:Dexi3A01G0020380 transcript:Dexi3A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVSISLSSSGHHPGLRPRPTSRAHPIYHSLLGGQTAERCRRRRLTVLAAAGKKPGEAEEQIPAWAKPGADEPPPWAREGGAVQGQEAGQVPFYAYLLASAITAIAAIGSIFEYTNQRPVFGIIGSDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANREAEEQDRRDGFL >Dexi1A01G0027240.1:cds pep primary_assembly:Fonio_CM05836:1A:33066689:33074485:-1 gene:Dexi1A01G0027240 transcript:Dexi1A01G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAMEQEAEATCLQSFELYDSESVLICFCFALQRLYILGTNSDKTLWRVLKIDRSEPSELVMDECSTVYTESERHDLLKSLDEEHRSTGGIRFVTKFYGIIGFIKFLGPYYMLIITEQKRIGQIFGHPVYQVTRTAMVELANSKTRSTFQSSKDENRNTMWTVALVHGFFKQDKFSISGKDIMLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVYEAVPGPSEVSSVVQNRGSIPLFWSQETSKLNLKPNIICKFQKLSMMQSVIFFNLEIFCFSKPTNVLDVLLKVAFRALSLTEFFYCQVAPSSDTAAHWPTLLSGLDPYLCDDNSNSDNTECSEIVGDIVQEDISGSSDSSGNATAEDKVENSELPLLKPPKFQKGVLRTNCIDCLDRTNVAQSIATSTPDANLSTENVISGITPEIMENQIAKIQSQKLPEDFVQWVNHGDTFWY >Dexi3B01G0030150.1:cds pep primary_assembly:Fonio_CM05836:3B:29628632:29631106:1 gene:Dexi3B01G0030150 transcript:Dexi3B01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELELTEIRDVLRCILHTIFFHRTLSLVRPKDVDCDLLEITYVQCGLPELEKEVDEKIDLFIAWVEKHPNRRSQCFEYLKVCLSFFDEKHKHPGNALEETSSRRAALESSVNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSPDSVFGWNTDVIRRVLNSGHPYSL >Dexi6A01G0019540.1:cds pep primary_assembly:Fonio_CM05836:6A:27134599:27135793:1 gene:Dexi6A01G0019540 transcript:Dexi6A01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTVSKAKLSEYSEKIEALAARLAAPVERKGDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTERAVEHSLASTGRATSRAAEVYSLTSKTTCFQWLL >Dexi2A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:2A:32231588:32232443:-1 gene:Dexi2A01G0020160 transcript:Dexi2A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEARNKDTSPSRGKLARLLLVALNCGMLALGTTAGPLLTRLYYDKGGSREWLSAWLESAGWPLLLLPVAASYASRRARHGRGAPLLLTPPRVLLAAAGLGVATGADNFVYAYSLRYLPVSTSAILISTQLAFTVLFAFLLVRQRLTAPTVNAVALLTAGAAMLGLHVSGDRPGGVSRAQYWMGFALTLGAAVLYGLILPLVELAYKRAGAAAGGGRAVTYALVMEMQLVMGFFATVFCTIGMVVNKDFQRSTCG >Dexi3B01G0021470.1:cds pep primary_assembly:Fonio_CM05836:3B:16330336:16333676:-1 gene:Dexi3B01G0021470 transcript:Dexi3B01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPAAPSPSSSSSAAAMAAASRLPLLVLPVLALLAAAAGAAAAATVSDDVLALVVLKSGLSDPAGRLAPWSEDADRACTWPGVTCDTRTGRVAALELPAASLAGRLPRSALLRLDALLSLSLPGNRLSGPLPDALPPRLRALDLSGNAISGGIPASLASVESLVSLNLSRNGLTGPIPDGIWSLPSLRSVDLSGNMLSGSVPGGFPRRSSLRVVDLSRNLLEGEIPADVGEAGLLKLLDLGHNSFTGGLPESLRGLSGLSSLGAAGNALSGELPAWIGEVGALETLDLSGNHFVGEIPVAISGCKNLVEVDLSRNMLTGELRWWVFGLPLQRASFAGNALSGWIKVPSDAAVALEALDLSSNAFTGAIPPEIATFARLKYLNLSSNSMSEHLPASIGQMSLLEVLDVSANKLDGGVPPEIGGAVALRELLMGRNSFTGGIPVQIGTCNSLIALDLSDNKLTGPIPMSMGNLTSLQTVNLSENMLNGTLPMELSNLVNLRVFNVSHNLLSGVLPISRFFDSIPYSFISDNAGLCSSQKNSSCNGVMPKPIVFNPNSSSDPLSDAAPSYPGNQHQKKMILSISTLIAIVGGAVIVIGVVTITALNRRARATASRSAIPTALSDDYHSQSAESPENEAKSGKLVMFGRGSSDFSTDGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDEFKQHVKLLGKVRHHNIVTLRGFYWTSSLQLLIYEFMPAGSLHQHLHECSEESSLSWMERFDIIIGVARALVYLHRHGIIHFNLKSSNVLLDTNGEPRVGDYGLVNLLPMLDRYVLSSKIQSALGYMAPEFTCRTVNVTEKCDVYSFGVLILEILTGRRPVEYLEDDVVVLSDLVRGAAEEDRLEDCMDPRLSGELSMDEATLIIKLGLVCTSQVPSQRPDMAEVIGMLEMVRSPQGTPEDDLV >Dexi5B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:5B:2618579:2625167:-1 gene:Dexi5B01G0003770 transcript:Dexi5B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNNILLGGRGGTNPGQFKVHSGGLAWKRQGGGKTIEIDKADVTSVTWMKVPRSYQLGVRIKDGLFYRFIGFREQDVSNLTNFIQKNMGVTPDEKQLSVSGHNWGGIDIDGNMLTFMVGSKQAFEVALPDVAQTQMQGKTDVLLELHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDETRPPAHILWETILKFADVGSSEEPVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVERDLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFINGKNMKIMNLGGDGQGTSGVVTDVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGDEESDASDSGGEKEKLSKKEASSSKPVQKRKPKNRDEDGSEKKKAKKKKDPNAPKRAMTPFMYFSMAERGNMKNSNPDLPTTEIAKKLGEMWQKMSSEEKQPYIQQAQVDKKRYEKESAVYRGQAPADVDSGNESD >Dexi8A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:8A:18977939:18978463:-1 gene:Dexi8A01G0010870 transcript:Dexi8A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMRKRKPVATRAQQSRAKRESKPAARPRRSPRLATRHRLPCSSPRRGAPCRPHSSPSKGCWPTCQPSPPANLKIPRWALPGTPAAGRPGVASVGGSGGPRMRRWWGGDAASIWGKGYGAPWRDAGIGGWRDAASVGEGYCRPWREAGVGGEREAASVWEGEREVDARVWSEC >Dexi9B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:9B:15322555:15324190:-1 gene:Dexi9B01G0020590 transcript:Dexi9B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSRLRKLQVNPKVEEAKTPKTKSAQTEVETPAKNQSQTTGSKTIFVGNLSYSVDREQVKQFFEEAGEVVDVRLSTFEDGSFKGYGHVEFATAEAAQKALEFANHDLMGRPVRVDLAIERGAYTPGSGRDNSSFKKFAARSGNTVFIKGFDTSSGEDQIRSALEEHFSSCGEITRISIPKDYETGASKGMAYMDFKDPDSLNKAYELNGSDLAGYSLYVDEAKPRPDNNSGGFSGDRRGSFSGRGGRSDRGRSGGRGRDGGRGRGFGRGGRGRGGRGTPFRQSAGTASTGKKTTFADDE >Dexi1B01G0023850.1:cds pep primary_assembly:Fonio_CM05836:1B:29310683:29310943:1 gene:Dexi1B01G0023850 transcript:Dexi1B01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLATLTLPSNTHRNGITLAIVVLLKTLTKNRFSVFNYSTNRSCPLHTTFPSTLPVW >Dexi6B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:6B:18581176:18586631:1 gene:Dexi6B01G0011360 transcript:Dexi6B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGYVSKAIRGLRYLVLTWTTVVLLGGFVSLLHKKDFWALTVITLAQTAGKAGSGALVALVAAAVLQVMVVVVLMFPLGAVYVLGLYISTGISLWRLIQRDYGATEGDPNTANLTPALNILYLLPLVHGVLLYYRAIFFVSNRDKVVVDRTLERETMAGCEKDPSFARGRNLITYAVDLMAITSHESYLSGLRILDMLLGRCSYKPRDHTMVEQRPQLWRITAKVLLESVSGTQVIWKLLRTLEQRVKVCWRLEGKKYDIAKKLRTRVVSHRWFLECLREGRRLPEGPYLMQR >Dexi8B01G0014170.1:cds pep primary_assembly:Fonio_CM05836:8B:24524322:24524643:-1 gene:Dexi8B01G0014170 transcript:Dexi8B01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRCEQSAKQGPDVWLGRAAMVGFASAISVEVATGKGFLQNFGVATPAPTLALVVSGLVVGLAVFFLLQSGSRD >Dexi2A01G0024330.1:cds pep primary_assembly:Fonio_CM05836:2A:36049184:36053335:-1 gene:Dexi2A01G0024330 transcript:Dexi2A01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGSSRTGICLPPPRRVGTVHFTRHTQLPISVATGVAAPAVVLFVPPTLARRAKGFPLEPPRAAGGASVYRRRPEMVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSTKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQDYSKNSEIIGDFIIATPVEEGLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVEENDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPSSIDRCDAPPGFEKQMFREWLRSMLRENGGPFFDAFRSKHPERWKGGRSSKLEGSDHIPVYILLKEIPEVPVHNIPPLAARYLPEIRGRQQSIVSFFNKGKTSELQDAGNLALYKDTVDDSCCSDDLENKTTAKEGLVAGITEFAKGGNLSSLMCKGTNLDQWKNEGLAGISRGSQKTSPSGTKFVPNKKIKRNSSSQPTIKSFFQQPGSKAVNVSTTTFVTPVKTLQYMNDTCVSNSLQENMQGTTSASEDQDNANVSSCSLSEDKCNAAALEWQRIQQKMKMTLPHCKGHREPCIPRSVKKGPNIGRLFYVCARAQGPASNPEANCGHFQWAPVKSKEKRS >Dexi4B01G0020890.1:cds pep primary_assembly:Fonio_CM05836:4B:22989930:22990335:-1 gene:Dexi4B01G0020890 transcript:Dexi4B01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKQLTNPLVSKEDLASKEEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEPTFPHYDLWENPLTPCMCRA >Dexi2A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:2A:2749796:2756563:-1 gene:Dexi2A01G0003200 transcript:Dexi2A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCLLASLAATLVTSAFQSILLFLRLLLQRRHDSGCGSAAGATLLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRLPLPDHLSADDARRIASTSGPVRLLTIPKSVGYEQNPLSIYYCYNSAEQAQDGELRMCIAEVTNTPWGERVMFTFQPESDLVAKPLHVSPFMDMLGNWSIRADAPGESLYAVISVQHPTLGNYFTAALHAKLVGHTSNPLRLAAFFWLMPHKVAAWIYWEVRILCILYLFCGYALRLWLKNVKFLDHPRYLNQNYRNEALKRDLELRSSCSFLQKQKANNQRSSSTNETCEILNHLDNKGDESVTKRWCVWRDAQWPWS >Dexi3A01G0032630.1:cds pep primary_assembly:Fonio_CM05836:3A:37471659:37487772:1 gene:Dexi3A01G0032630 transcript:Dexi3A01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADPARLRRHIRGGVLPSAPAPPDDAEVRLRRPLPDRPGWRRLEAGDASAEQLRAFMAWYGELEGGPFGFDADTEARIETVSSRSLARTKRPSGGIRRGEPNPSTQLRHPSQSPSHRILCTTQPHRPTDPLRSTPATSPFSVLTYGPRARTGSRPGVHSRPATRPCSPPFIALSRAHHPPLLSPTPSPAPTASASDVRAHLSSSPPPPPPLAGRQGAEGPVRPLHPPLAPASTSAAARRRSEPGDTRADRFLRPPHPRVSAARASLVTATGGGPRDLDLHGPGAPGLAPPVGSARFATGKLEECLAGGARSRGGGAMASMLTGDRRWAAPARKSGMTVLGKVPKPINLPSQRSENHGLDPNLEIVPKGTLTWGSKAPSATPNAWEPASSKEFKKQQKSTDNSSTAVTTGVARASDQVQRLPNVPSEGTVVGPAHSPLTEVGQAGRLSDSINDRVHRRGDSRNSHHGPSKDRPANRFANHGRGESSTNDSLPVADIRNNIQHGQPPESASQLQPVIVPDDMLDSVDYESQRAKMKELAAERAKKLKAEEEERIKNQKAKALAKLEELNRRSSVLQKSNDTKVETDDKQKAELDMTATVAKSTAEPRNVAASDNLTVLPPPNEPKKIVDHVHPRTTPPSLASGSGKDPAAHAALSSVRNTKSSMDYVGQKSISQSHDISVPKPKQGYRKRHVASEEKITCEKPIVSVSTGNAKNSSEVSADTATAVVSPHDDPPAHNKKGARHLRNKKKVDDAPASSKHPPVVFSEQNTVKPSEPRTHTGGVIISSSIVPTEGTIVTVGSITVGGISLAPLNQDRVKSPEGTHNTENSRPRPQQAKRSGKHQHAVRPVEKPHGNEGVQWAPVKPPVQNEQSDRAMRNAAVADPYAGKSSNDVENVTRTKRAEMERYVPKPLSKELQQQNPCHDKEAIDKSSAAKLDAAGEPKKWEDKKTNKGHGKSNPSWRRRNIDESSLVVPNSIEQADNCHESKQVHKSAIQNQPVEPGKHEDKPSKSKADAAAENSSARSQTVPLSVNAAKEHSAANRQRRQHVKAQRNEANSYSNESKDREGRSDFVNQSVTPAVDSNSSDHRSMPRSDVKSSGAVSHSRTHWKPKSNSHPQNSPDGNIAVEGQVDTHGGTLEMNSSKGSDSTTFQDSSSNKPMKRSDDIDEKIAHSGQESLAREDDGNQKVETEHETVNPPPRRQGQHNARYHRGGGAHRGRGGYDAGRPSHGTNAERWRGGSHLEYQPVGSHTKATDFQQNQSVEERAEVPPTSGPAFRERGHNRGPRPAGHFVRRNPAPVPAANAYQDE >Dexi5A01G0031070.1:cds pep primary_assembly:Fonio_CM05836:5A:33926835:33927620:-1 gene:Dexi5A01G0031070 transcript:Dexi5A01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPASEGKRAGVVDDEPLLPEFSGGGHGGHGGGASVSGAVFNVSTSIVGAGIMSIPAAMRVLGVAPALVLIFAVAALADVSVEFMLRYTSWAAGGATYAGLMGNAFGRAGAAVLNVFIAFTTTGTLVVYLIIIGDVMSGSVGGGDEHAGVLQELFGAQWWAGRQFVLLLTAVFVLLPLVLRRRVV >Dexi9B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:9B:1443051:1445076:1 gene:Dexi9B01G0002500 transcript:Dexi9B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRQSSSRSRRSGELPGPHSHRFSYDHGRTPPFSPDVYGRQLSSYSGRSSQVSRSGSFRAAAQRVAGVFTSCFVPRVQVKTEEEEVKSRGADCHVSIDSAGSGQEGRALTIADLSKATSNFSEKNIVKQGGSSTMYRGKLRDGSLIAVKCVRKLNGQYLTAELWRELETLQNVEHQNLTRLLGFFERKEDCLVVLEYVSNGSLREHLDESCGNGLDLAQRLNIAIDVAHAITYLHEYKEHPIIHGGIRSSVVLLTDALAAKVTGFGPVGMAASASGSGTGSGSDATPAKGAAGYVDPEYLTTYQLMDKSDVYSFGVLLVELVTGRPPVERSRGGEARITTKWAVQKCRGGEAVVAMDPRMRRSPASVASVERMLALAAQCVAAARKDRPSMRRCTEQLWTIRRDYHRREETRCAAVAEERTDEWVLR >DexiUA01G0027720.1:cds pep primary_assembly:Fonio_CM05836:UA:59338071:59344144:-1 gene:DexiUA01G0027720 transcript:DexiUA01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLSLLTSLALHCCLCLHFAYPHAASRHHPPARHLKPCHRSKRATAPPTPQALPPDSPWWGSAVKPGVAFPPSGEVMAIPPGCWPPPPLQSTSSFVSPYGAWMGAVPTPDGEDSQNTSNNPLEW >Dexi5B01G0003150.1:cds pep primary_assembly:Fonio_CM05836:5B:2075734:2078452:-1 gene:Dexi5B01G0003150 transcript:Dexi5B01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSWVLGYNNSSNNGFMCGYAAGNSALVCLFVIITLADPPFTLCTNSCTPAELQYREEQQQQLLISSQIQHHLNQISMRMNMDDEASAVVYASSNNDPIVVDGLFDDNQHHAGSNSFPSSSSSSSLSLPSASLSCSPESSAHVLAAAPATTTASSQYLEVSSQVLPLPPTVPYDHRHAANLRVPAAPTPVAAMASPELMPAATNTGAFKRYARHLGPKRPPKAGACGQRMFKTAMSVLSKMHAAARYKYSQQQQQYYYQKAAAAEAAPPSVNQLQHMFSERKRREKLNDSFHALKAVLPPGAKKDKTSILIRAREYVKSLEAKVSELEEKNRSLESRLVLRDSGDGACKDAGGDRDSGEKQVQVEIIRSAAAKGAEQQRDDLCTVKIVVRSPCNMTDVVLRTLQCLREQIGDGVSLVAMSTSGSGAGPCSGVKNTTSTLADELTLQIKSPPGARWEEQPVKDAVAKV >Dexi2A01G0027290.1:cds pep primary_assembly:Fonio_CM05836:2A:38697635:38700487:1 gene:Dexi2A01G0027290 transcript:Dexi2A01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding YASDASVLKMKERSSLCDSAADGNWGSKYKRKRSKLTVSPSTENEATSPTADSPRSHGSTKKKLKHDTNVSPSAKKIRGHDGYFYECVVCDLGGNLLCCDSCPRVYHLECLNPPLKRPPPGKWQCPRCRPKKGSLKLLGNAEADISRHERTTRTLTSTTSDSPPSHTRLSFKTRSSTQEKNGSNEQGKQSSGGTSKGVDPSMKKNEGEKKKTLILHLKKRSTKELSEDVKPSKSEFAAEPSEEKTVKQGSVVKLKKYPPRMESSPNKSKSRRQNSLRDSKRSGTKKLKYSTSDDDSISSTEPSTSLDNSESPPKRKPSDGKTPSSSTKKGKKKVKFVDKKHPEEQGVLGEKTAVPQEDQQVGRSHPRLSASDG >DexiUA01G0020700.1:cds pep primary_assembly:Fonio_CM05836:UA:43013212:43017519:-1 gene:DexiUA01G0020700 transcript:DexiUA01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASTDKAAALRGLATAVDTRNFQVGATTAAGMAPPPPPSSPASLLAPNGRSSAQPNGREEGAVANGGLRHANNKQQTRGNNNKNKVVLVKHRKPAAPVSWQAALFVSVVLNAGLLLLHYVNTSTAPPLHHHPQEHQACLVHLDAAATSSSVISGVTRRASKAAEAPSTGKPAVTATSVINLDHGDPTMFEEFWRATGDAAEIVIPGWQTMSYFSDVGNVCWFLEPGFDHEVRRLHRLVGNAAADDGYHVLVGTGSTQLFMAALYALSPPNAASPMNVVSTAPYYSSYPAVTDFLQSGLFRWAGDANSFHGDAYIELVCSPNNPDGTIREAVLSSGSGVAVHDLAYYWPQYTAITKRADHDIMLFTVSKSTGHAGTRIGWALVKDREVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDAYELPEARTEEHRLFDYGRRKMVERWRMLRDAAAASGIFSLPDETSGYCNFTKDKAATNPAFAWLRCDREDVEDCASFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYDIFVRRLASLK >Dexi4A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:4A:24011904:24012459:-1 gene:Dexi4A01G0020350 transcript:Dexi4A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFGDMNERSSQTVSIGDMQAAVFKAMLHFIYTDMAPELDEEHDEPAAVVMAQHLLVAADRYGLDRLKLICEGKLSSNIDVETAATTLALAEQHNCSVLKNKCVDFITGSPETLSAVLATDGYKHLVESCPLVLTELLRVAHGRRN >Dexi1B01G0024690.1:cds pep primary_assembly:Fonio_CM05836:1B:29936807:29938568:-1 gene:Dexi1B01G0024690 transcript:Dexi1B01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFSSRLGEGSKGKAYSGGKGGKGSSSAVVPTKSDADLKLDLGQFNIPPNSRILMNCEATELLQEIHEHMAILSEDPKIKIPESFDKAFKYAKDGNHFTAASSVKQALEPLKKCGVNDGEICMIANIGPETIEEVYALVPSLKANRSLNEGPITEVLSALDNIKAAK >Dexi1B01G0021580.1:cds pep primary_assembly:Fonio_CM05836:1B:27444937:27445312:-1 gene:Dexi1B01G0021580 transcript:Dexi1B01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYFKNEKQDDSVMVGTEDDYNNCRVTHPVFFSNNGDTEVELDRPGLFYFISGVAGYCERGQRMAVKVIGQGPSSPPPAQPSPPHPSGAAPGASAIAAVAMMALPLIMLGV >Dexi8B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:8B:22078199:22078726:-1 gene:Dexi8B01G0012710 transcript:Dexi8B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLATSELNIYEMHLLKQLKECPKPQLPPTVSHRRRPLGNKDFDNVVESYFLAATLIATVTFAATFTMPGGYDQTRGIVLHSRNDAFKVFVVSNTIAMCSSIVVVFLLIWARQEPIKLKLHNLMWSQRLTIIACLAMLVSLMTAVYITYAVIAMGTCSPALFFFISWLGR >Dexi1A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:1A:9262973:9276155:1 gene:Dexi1A01G0010730 transcript:Dexi1A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLLLFLPSLPLPRGRMELEIVEVGPSSRPLRYVPRRLDQWHYPAPPPPPPPPPPPPPPHHHHQEGAGDTGEPAAQQFDSEKLPQTLVSEIRPFLRVANQVEAENPRVAYLCRFHAFEKAHKMDRLSTGRGVRQFKTALLQRLEQDERSTKLKMTQRGDAREMKSFYEKKKQANAHDLLPVLAEVLKALLSGTALEVSLVAGEDFTDKSGLLRYNILPLHPKFSQRPIMLLPEIKVAVSAVFNVRSLPSANIKDDKTVTDILRWLQSWFGFQTGNVANQREHLILLLANMHARLNPKSSSAQMLDDRPVDELLAKTFENYLTWCKFLGRKSNICLITGEKVRPAYGEDDESFLNNVVTPIYKVIYQEAQKNKNGASDHSTWRNYDDLNEFFWSTDCFKLGWPMRPNNDFFFVSNATKYSQVLRGSKLSRLPNDFENCASCVPLVSIDTPKQTEQQSLQPPHESSSTENCLNSEAPGQRQQQTTSPCSQERWLGKTNFVEVRSFWHLFRSFDRMWTLLVLGLQVLIIMAWNGLGSPTQLLDPIIFEDILSIFITNAVLRVIQVILDIAFTWRTKRTMRFDQILRFTLKLSLAVSWAIILPIFYASSQNYKACSAKQSKTFLGMFCLSKYMVVVALYLASNVIGMALFFVPAVTNYIETSTWRVCSMLSWWCQPQLYVGRGMQEGLVPLLKYTAFWIILLSCKFLFSYYFEIKPLVGPTKEIMKINVNKYEWHEFFPQVKSNTGAILAVWTPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFSALPEAFNMSLVPPAMPKEKKGMLPSFLEKKIFKRLGKAERFDPTKFALVWNQIIISFRSEDLISDREMDLMTMPMSLEHRPGSTRWPLFLLAKKVSLYIFSEAVDMAANFTGKSAKLFWNIKKDGYMLCSINDFYQLTKTLLKFLIIGETEKSILDVINSCDRLISDDDGTFGYYKPELFASVSSVTNIRYPFLDGQQKEQVKRLYLLLNTKEKVAEIPSNSEARRRISFFATSLFMDMPAAPKVRSMLSFSIITPYFMEEVKFSDDELHSNQDEASILSYMQKIYPDEWKNFLERVGPNVTNDEIRYWASYCGQTLSRTELYKGPLVTEKGKNKRNIHQSLSAEIEALADMKFSYVISCQKFGEQKIKGDPHAQDIIDLMTRYPTLRVAYIEEKEVIVNNFPHKEIYRIKLPGPPIIGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRDQAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLR >Dexi3B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:3B:11659670:11660107:-1 gene:Dexi3B01G0016090 transcript:Dexi3B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASSVSCCFGPPAPPKEAAKTAGCSLRRACVAAAACAVMGMADADMVVLARDVTAASRAGDVAAAVDATPAKARPRWSDRRQCPPWRANSLENIVPENLSRAPARRRFSNVSVSAAALAPAPDLVVVTPIPAAEPAGTGCFSL >Dexi6B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:6B:202590:204724:1 gene:Dexi6B01G0000330 transcript:Dexi6B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFNMPGGGDAAAASSSSSAAQECRLRRRRRLAPPPHRADAGAGGEKEKRVRPSSPSSSSSEEEAEVDDDQEQQQQPGRVAFGWVSVAGRSREMEDAVSLRPAFCTSWLDGSPIHFFGVFDGHGGSHVSTLCRDRMHEFLAEELATEAAAFRERGKQQQQQQPAAAEEEEGTTTTTTRSATSQAQHQVDDDDEQEEERAWRAALSRAFDRVDALASVACACGRPPCRCPLAGNSGIVGSTAVVALLVRGRLVVANCGDSRAVLCRGHAAVPLSVDHKPERADELARIEAAGGRVLYINGHRVRGILAMSRALGDRMLRPEVIAEPEITVTRRTPEDECLILATDGMWDVISNDVACSVARQCLQDDDVAAAAAAAARCSRAASLLVRLALGRAAWDNVSVIVVDLKHRGGS >Dexi1A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:1A:25635304:25635923:-1 gene:Dexi1A01G0018440 transcript:Dexi1A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELERRSRYLSSLIRRTKLSAAPAPSPAPAPLPEPEEPEAAAPNPEPEPEAAVVRREREEAKPAPVAEEEKEKREVKGEEGVKGDGKGKEIKGKDGGGLKEESGKKVSVRVRAADMPLPLQRRAIRLAYEAIASMPRLHSKRLALALKKECG >Dexi3B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:3B:3605833:3606930:1 gene:Dexi3B01G0005390 transcript:Dexi3B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTIGYFRQAAGPNMEAFVNRVVTSQHKHNTEEDIDESSAEGLRDALSYAKENPMLIQVPVLGTARKFWRLSDKATRISRKLALILESYHSAGRYLTAPLILPNVWIGSTGTVKLRGASFSAKGFVSIERMRDDYRHLFAVLVSLIETSGGVIANLPPDYREFLALLRKGAFTMKDEFFIVNHVALLPMENRTEVFLMLHDRIVNHLGRTDKAKKKRILCNLPYKNDWLDTARSNAEINKWVVNVKNEYKRTPIDLLRLNRNVRSHPHQYINDDIEETLYCEWPELLMVMEKMLHLVGELVDTGIANKFG >Dexi4B01G0016040.1:cds pep primary_assembly:Fonio_CM05836:4B:18065648:18070153:1 gene:Dexi4B01G0016040 transcript:Dexi4B01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERNGGGNMDLPAAAAEENGGVPPFEAPEIRFTKLFINGCFVDAVSGRTFETRDPRTGDVIATVAEGDKEDVDLAVKAARDAFDHGEWPRMSGSDRGRIMTRFADLVERHADELAALESLDAGKHPAVTKAVDIGNAAGSLRYFAGAADKIHGETLKMQAQFQAYTLREPLGVAGLIIPWNFPTTMFAIKVAPALAAGCTMVLKPAEQTPLSALYLANLAKQAGIPDGVINVVPGFGPTAGAAIASHMNVDMVSFTGSTEVGRLIMEASARSNLKPVALELGGKSPLIIFDDADLDMAVELAVSATFFNKGEACVAASRVYVQESIYDQFEKKLAERMQSWVVGDPLNDPRVNQGPQVDKAQYERVLGYIDHGKREGATLLTGGKPCGQKGYYIEPTVFSNVKEDMIIAKEEIFGPVMCLMKFKTVEEAIGLANGSRYGLGAGVVTRDLDVANQVVRSVRAGLVWVNCYFAVSSDCPFGGRGMSGFGKDEGMHALDKYLAVKCVVTPLRGSPWM >DexiUA01G0002750.1:cds pep primary_assembly:Fonio_CM05836:UA:6013473:6014845:-1 gene:DexiUA01G0002750 transcript:DexiUA01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATSSSAACAGCKISTAVFIPQRVRPVQHLPDPPHQPAHVQLAPSGLLRRHHLDAVALLHLRHLPRQRVLLGHRAALQLVHPRQLRRAEVAQVPRPDAQEEVGKLRLEPTPGFGVEQYAAPRSAGVAHGADSVHTGSSSARAAQYDSRWATKRPTSAAIWAGSSDQRAETRSRRSERSPRNQRWNRRVAASTSISSFLNGQAGGKKPRTTERQAPRSHAGTGSSPVAGDIERDGALGFCGPATGKSGGVEEHLGRGGREGFGREEENGDGGRKRWGLLRSGDGAVVGTWAPAPVVNACSLYRPARLGSERRLNG >Dexi9A01G0022150.1:cds pep primary_assembly:Fonio_CM05836:9A:17186073:17186525:-1 gene:Dexi9A01G0022150 transcript:Dexi9A01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELVDGVSKKGIGQWRKVKGDYFSASIRTAVHLKDKWRNLVRACKATNTSRKKANVQKATEVIVTRLRHRILALEAKHHKKK >Dexi9B01G0042700.1:cds pep primary_assembly:Fonio_CM05836:9B:42887700:42894641:1 gene:Dexi9B01G0042700 transcript:Dexi9B01G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAFLGAPCSSLAAGARRLAFASPQPRTLVPALRRRVPGRCVTSVSSSPGAASAPEPYVLTTPLYYVNAPPHMGSAYTTIAADAIARFQASLLLVRLQSLMLMMLLWLQRLLGKKVIFITGTDEHGEKIATSAEASGRNPKEHCDAISSSYKILWDDLDIEYDKFIRTTDHKHEVVVNEFYSRVLNSGDIYRADYEGLYCVSCEEYKVQGWIKSGLRDFSISRASVDWGIPVPNDTKQTIYVWFDALLGYISALIDDGEQASLQQAIDCGWPASLHLIGKVCSKLRNAIHAKLTYILELASNDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVERFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGIPFRENVENLDLVIILETMRIIAIALCPIAPSLSLRIYSQLGFTEDQFRLLRWEDTKWGGLKAGQVMMEPKPVFARIETETEETAQTNSKAVKGGKKKAQSKGLVEA >Dexi1A01G0022750.1:cds pep primary_assembly:Fonio_CM05836:1A:29368878:29374155:1 gene:Dexi1A01G0022750 transcript:Dexi1A01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHETWEQMWSARASLATSSPDSMADEQISQLADAAEDKVFVAVAEEVSDGRSAVLWALHNLVGDGSKVVIAHVHSPARAIAKMRDHSSMRPEEIKEYRKLMRAKAEKSLDEYVLIAKCTREDLEVSCEKVIIEMDNIAEGLEELIALHNITELVMGAAADQHFSKEMNTPKSKTALKLMETAAPSCKIWFTCKGHLICTREANESLPAIPPSPAKSISSQMDSMALTELEYEISSSKLYTSRSLVAAEMTDWDYLFGDWGMTVYGSSRIDDAANFSRTTALPPIIGDTNELAPVVHSPTQGSDNVYLLLASENNQAYPLVILLNIAHHQMSLQIEESEDSYIKEVNQRKENEKTLDRQRLQIDEMRRQQCTLSDELQDSNKHNLMLEQRITQIKGVAKEHLEEITDYFIKQSCEEFKKRQKIKMDLLSTLQRVKEMESLLQNEKTQREYMEEKVARQRTEIEETKRQRDKLYYDLQDVKEQRLRLEQMDASEETKRRRKAEKDLLTYLQRIEDLEHQQVHQMKKQETVEEIMARQKEEIQATKRKLQEMHVKHMAEIKSTVKVHEEKLANSKQLLQEVQAKYDKLLHERDTAVTEAKELRQKNKQSASITTETPYTEFSFVELQKATNGFDAEFKISEDEFASLYKSFIRNTNVAIKLFHPQSLKGQAKFYQEVAVLSRVRHPNLVTLIGACPDDFALVYEFLPNGSLENWLSFKKNMPPLTWKVRTRIIGEICSALAFIHSHKPYPIVHGDLNLANILLDVNFISKVANLGICHLLRQPDLPTTNLQRHPTKNHKGTPSYMDNGEFKSARELMLWSDVNSFGFIILRLLTGRSQQQIGEIVEQAVEKGNLHSIIDASAGDWPFAQANKMAHLGLRCITLSWGRQPDLAGEVWVVIEQLMKAAGLTTEPSRFASPSDAPAPSHFMCPIFQEVMTDPHMAADGFTYEAEAIRGWLHGANTSPMTNLTLANRKLIPNKALRSAILEWRQQHQHQR >Dexi5B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:5B:21183486:21184116:-1 gene:Dexi5B01G0018800 transcript:Dexi5B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMPQRAAKKGGAAVAPPPPDACVADIDPKLEWLDDASTYIIRLNLPGFKKEDFKVQVDSSGRLTVRGERPAGYVRFHKAFQLPQTANIDGVAGRFDGAVLSLTVPKQQPVVSGTDMVAARLAEAKECAAAAAGRAAAAPEEGATMTWAEALGGRGQMVAAAVAGFALGAFLAHRLLTVTNS >Dexi3B01G0021670.1:cds pep primary_assembly:Fonio_CM05836:3B:16518322:16522191:1 gene:Dexi3B01G0021670 transcript:Dexi3B01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLRAALAPASARANSSLSAAAAVAEPAPANGAALPKMPAFDYTPPPYDGPRAEEIFRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAIVNQAKRIQHSTVLYLNHAIAEFAEALTSKMPGDLKVVFFTNSGTEANELALMIARLYTGCNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVAGFISEAIQGVGGIVELAPGYLPAAYNIVRKVGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPDIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFAVGSYLKEGLNKLKEKHDIIGDVRGKGFLLGAELVTDHDKKTPAKAEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIDVMDIALSKL >Dexi8A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:8A:24966193:24967302:-1 gene:Dexi8A01G0014490 transcript:Dexi8A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRLPAHVDRVRIAAVCPQWRAAARQGGLPPPMPLLLLPDATVYSLPGRGPFHFPSCAGYTDTCGDWLVFSGEDGYFLKNPFSNATAMLPPLSRIQFQHVGGESVNVVAGAWMEIYQREELVASKIMFCSPHLVAAIIRFRHGGTTWIAVCQPGATSFWSVRVDHRWTPMFLDLVFHQGKLYSLDGVDTLLAVDVSVDPSTGDPSPGQWDRIEDVAAEQNWFEVYEANFEQSRWAKVTTLGDDHVLFLCQRWCRSVSISYNEMPGDRIFFMDTDEEYYLCYSKEASSSCRVYDMRDGKVSTPLPLLSWKPGKVFATWLLPPGLN >Dexi7A01G0022720.1:cds pep primary_assembly:Fonio_CM05836:7A:30917652:30918650:-1 gene:Dexi7A01G0022720 transcript:Dexi7A01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPTPTAPRTIADFFSPPAKRLRSGAAVPATASLSSSSNSPSSLSPEQRRRADTNLALARARRNLRLAESRAKAAGGAPKLEDLLVEETWVEALDGELRKPYALELCHFVAHERMHGPLPVYPPPHFVFNALNSTPFERVKAVIIGQF >Dexi9B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:9B:9374632:9375381:1 gene:Dexi9B01G0014010 transcript:Dexi9B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVRVVGVSHVLPATGADGAAGSPLLPDDHLLKLSFMDCLFVAVRLFFYQGPSVPAFPCVVHSLRSSLAAVLRHFLPLAGKLAYYPPSSAAAGSGLVVDCSPDAVSPGVSFVEAQFAGTIADMRRVASGEEHDPEALARLGPELEAGRLPAPVLAVQVTRPADDHGHGGVVVGVSIHHAVADGHSVWQFMRAWSAVSRSSQGLVPRPTFDRAVIRHPEADELAIKFLRTFAPASAYDNRATVT >Dexi7B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:7B:23443066:23443613:-1 gene:Dexi7B01G0017500 transcript:Dexi7B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSCAMKPSSMFYVHEADVVQIHHFLEECSLCAKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHALSARSKEHRHHHHHHHQQQQPQPRKAGMDANPWVVRTPALRV >Dexi2B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:2B:10495926:10507743:-1 gene:Dexi2B01G0009760 transcript:Dexi2B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSKPIDVPLLDATVAAFYGTGSSDERHAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLENVIKYKWNVLPVEQRDGIKNYISDVIVQLSGNEVSFRQERLYVNKLNVILVQVLKREWPARWTTFIPDLISAATSSETLCENCMVILKAKTNMQLLSEEIFDFSRGEMTQQKIKELKGSLNSEFRLIHELCLSVLSGSQKPELVRATLATLHAFLSWIPIGFIFESPLLETLLKFFPIAGYRNLTLQCLTEVAALHFGDFYNAQYVQMYTVFILQLQAIVPSGTISDGYGNGSNDEQNHMRILESTPENRAALLLGLDYLIEISFVDDTEMFKVCLDYWNLFVLELFETYNQVERLLGLQAQRIPGSDDGTLAAVQQRRQLYAGPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEQQMLNKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQSDQYAAILPFINRYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIIQKCKRKFVTLQVGESEPFVSVLLSNLPTTIADLEPHQIHTFYESVGQMIQAESDNTKREEYLKRLMSLPNQKWAEIIGEAGRSTDILKNQDVIRSVLNILQPIIYLIACYTRMYSELVSNTICEGGPFASKTSFVKLLRSVKRETLKLIETFLDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEEVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQAFIQLSSQQLKLVMDSISWAFRHTERNIAETGLSLLLEILKNFEASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVSVLQHLFYVVDGLTEPLWDATMVPSQYANNAMFVRDHTIKLLSSSFPNMTPVEVAKFVDGLFSSKTDLPNFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQREKERQRMLSIPGLVAPNELQDEMVDS >Dexi5B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:5B:5921551:5924137:-1 gene:Dexi5B01G0008730 transcript:Dexi5B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPTAPRRPNLRPMEDPPSQLEPAASTQRDVEPSPPPRGPLRLRCAVQHYEWGRRGPESLVARLADGHADRPYAELWMGTHPSGPSTLLDDGELLRDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRALCGFAGIEELKDVLRTVPEFEGLVGHEDAGKLMSMEYDGGSEVKSTLQSAFVKLMTASKDMVSEAISKLTSRLNIESKVKIFLDL >Dexi6A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:6A:24165899:24169465:-1 gene:Dexi6A01G0016280 transcript:Dexi6A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGTSGASRLGVAGQGCSPQRRGGAGGLAEHATQYERGAAAQASRASGRRSRAARGAAAQASRRTADRGAKRRFALIIMVDKNVEKDHVIGESPSDKLRHNFRLGDITWVKPKGSPWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTGQYMHVDPWKCNSEFELMLKQENKSAMEVFCEVLEKELSSVNSPGDYDEEAENSKDVSTKHISKNNSARKVSKQEGLKQCNEEEDQDIRSSATRVTARKRKGGRVRQSSSTHDAIDKASSESSAEGLRNKRKKHAAQNASVGRREGLRRSGYTDAKQYLDAADDSTELLTDINASEDATEDSMLNEISASHTEIKAMVRDILFKDIIEREHDAEMAYVDEVINGICDATEDNMSGGATASMKGGRGVKQSGSGVEGESSNVRQRGRDEATSSPASRKGNTDTTHESSGEDTGKP >Dexi3A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:3A:2620533:2622693:1 gene:Dexi3A01G0003940 transcript:Dexi3A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRLAPDTSMAAALVVAAAVFLSSAAEAEQTSAAASFSDGAPFDSIFAFGDSFTDTGNNPVVFGWYDIFDVVMRPPYGITFFGGRPTGRNCDGRLVIDFIGLGLPLVPPYLSHEGSFRQGANFAVGSATGLNSSFFHIGDAPGASPFPLNISLQVQLGWFEELKPSLCKTDQVLVTFADSDASEYDATTGCLKEPNEIVMLHNSLLLDAIENLRAKHPDVTIIHTDLFNHVIEMVTCNDM >Dexi4B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:4B:3240841:3241419:1 gene:Dexi4B01G0004550 transcript:Dexi4B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQWNQTRIATFPFQSCTNRIPSRLRPAVNQTTRTTRRLRGSVTRRRRRRAKDAAEKFPTEPAAGERRRLGVMEWGDAWMAPDKLQHALACLLISLLAAALAGRSARPEIRRRSAAVGSAASLAAGAAKEAMDEAGFFGSAGASPKDAAADLVGVAAAALALALVRRRRRDRERKAREESYAPDGGVSMV >DexiUA01G0008950.1:cds pep primary_assembly:Fonio_CM05836:UA:17073854:17074132:-1 gene:DexiUA01G0008950 transcript:DexiUA01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYWSQLQDLARGSLELDIGEPIHPDLIILKLGPRRRFSKVASLFAIALHQAQAKNEPTLPKHRSTPAHGPHQSSSHRQGAHIFPDPTRLL >Dexi2A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:2A:32562530:32564916:1 gene:Dexi2A01G0020450 transcript:Dexi2A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNESGEKGMDGNASSASIPVEWQTSQFSAAAFACPPSQQQHQGSMMDSSAFGSGMWASTSQAMALADVGGARGGGGGGFLAPVPGFLPHGLGHFPVDSGFIERAARASCFGSGGGFGAADQNMSNAFSCSSEALLDHQRKDGNDKGELELGRNGHERVPSSEAAGGGDCSSKGTSDSKKRRRPNEVQSSNLPADSANESVQSKDKGEESSPATTTGKSKGKGAKETSESQKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSLGFSPEMMHPQLQLSQPGLIQGSAAGMTNPDVFRRIIQAQLTAKDGSQMPHALTNGPFSDVAHQMAYPSSLGSQDLSMRPSQDGFQM >Dexi4A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:4A:4092283:4093217:1 gene:Dexi4A01G0005670 transcript:Dexi4A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPMPEPIPIVDLTRLSAGYGGADEAAKLLSADVGHGMEPDLLAEMMKVTREFYRLPLEERLKYSYLVNGKEFRVQGDVLVRYTAKCREIADLVLATRDAVGSELVDPNLNPLYPVN >Dexi1B01G0027670.1:cds pep primary_assembly:Fonio_CM05836:1B:32343315:32343717:1 gene:Dexi1B01G0027670 transcript:Dexi1B01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEKRTIGMAMDYSPSSKAAARWAVDNLVKAGDRIILVHVLPKGSDASHKELWKSTGSPLTPLPEFMETTCRREVRIGPPDKEILDVLQTAS >Dexi6A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:6A:12392519:12393290:-1 gene:Dexi6A01G0010100 transcript:Dexi6A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSTVGLFLLVLVAAASRLVMLQERRLTATTIITTTTTTTTTNVLKHNDEPFTIFTLYSSSGREMASLAMMLDDVSISGFANRTGHWHAFQGHEHHLPGSTPLPFGNSYRDLIGGLPNLPNLPLGEAQRLQPIWDLLAEGWESGEARVNVEHLPYIEHWDTICYEILSAEKNGVWDGPFTELLREHANIKSKKKALAVVGVITNSNLEELMEAHARSA >Dexi5A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:5A:2884816:2886784:-1 gene:Dexi5A01G0003780 transcript:Dexi5A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >Dexi6A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:6A:28344909:28352470:1 gene:Dexi6A01G0020970 transcript:Dexi6A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRRRGGNYRCPRRAALPAAALLLFLLAAVALLYVSPPPVSDHPVLASSRRRRSAHALLNSSGGDSMEQPGRSEISRVPMNGSAVRDELWGSKLSSKFYGCSNSSSKFIDSNSTTQPDRYLMIVTSGGLNQQRTGIVDAVVAARILNASLVVPKLDQASFWKDSSNFSEIFDTDWFISSLSKDVKIVKQLPEIGGKLRAPHRMRVPRKCTERCYLNRVLPALLKKHVSHCIVAVFAIKIR >Dexi7B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:7B:758904:760491:1 gene:Dexi7B01G0000170 transcript:Dexi7B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKIKKMREDTDRKIQLQRMKADSKVQQERAALYEALKHQYKYIDRHMKQERENMDTMLKAERDEIDRMIERERVRMDAEKMQLRVEMGRKLHQDSNNVDSKVPLEEQRVGMKFRVHVGGTFSINPNRYVGGRTICMAFAKIEWDLMVEKLSNHGYNGIADLYYLDPASEALDIDGLVLMEGPEQVHQLLQDHEGRHICDLYIVKYSAISSDDYDESDSDDGSYKYDGSAEKEDDVHNLFDIEELKAFIALSDNPADPALVTTKGHMHENQLKSGGSTVVSSEKGALQTKHRFMRSQDFDKEGPLQPKRQQTIEHDGNPVTGGGRDGD >Dexi9B01G0021020.1:cds pep primary_assembly:Fonio_CM05836:9B:15690262:15691135:-1 gene:Dexi9B01G0021020 transcript:Dexi9B01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGLPSDILVEVTASIATRSATPLRDIVNLRRSYRVFRDATAARKVGRCMAVNREWRLHWWDKSRFLSVLRRCAASGNPEASYILGLEELCNRRRKASGLWHLCRAMEHGHAAAAYMIGIITLHDSRRSPGGSVEQALERLDWLSPSASAGPRTRRRMASVRGEAVSVMRRLTMRQWRMVEPPKPCEDPWCGKVVRQTAAAWDGDGDDERWFCSRMCRWRHEYCKFIAKI >Dexi7A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:7A:22801859:22803522:1 gene:Dexi7A01G0012620 transcript:Dexi7A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVDLRSDTVTKPSDAMRAAMAAADVDDDVRGVDPTAHRFQAEMAALMGKEAALFVPSGTMGNLISVLVHCDVRGSEAILGDNSHIHLYENGGISTIGGVHPRAVRNNPDGTMDVDEIVAAIRHPDLHCPTTRLICLENTHGNSGGRCLSVEYTDKIGEIARSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPIGSVIVGSKVFIDKAKILRKTLGGGMRQVGVLCAAAHVAVRDTVGKLADDHRKAKSLADGLNKIGQFAVDSATVQTNMVSLLDHI >Dexi1A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:1A:26851117:26854422:-1 gene:Dexi1A01G0019900 transcript:Dexi1A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASFPIGGQQFPSLEKITEGGENIRISYSASAMQGHRGAMTDAVSILLRAVVPDLDDLTSFFGVYDGHGGESVALFCAKQFHVELCNHQDYQNNLPAAIRSVFFRMDELLLQSDEWKESLRAGSKCLMQFLESGFCAPKKAVVLSVDHKPMDQAERNRIQRAGGEVVRDKIHTAEGGFRGRRVGIPRINGILTVSRAIGDFEFKNNKQMNPEQQVVTCEPSVRGLTINHDVEFLVVASDGIWKSMSSQGVVDLVHHYTRSGVDDRSICEQLCQRSLKSMDNSTVILVRFKPVCQLAAPVDMNAPLGALQEEEEEQEDEESAGEIRPA >Dexi8B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:8B:10234415:10240139:-1 gene:Dexi8B01G0007950 transcript:Dexi8B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPPEPAAGLENMEGLVLDTVISKAGARPAAALACASTRLRAAVADESLWRRFCAEDLGLDAPIDPDGRPLPSFQVRASGVFVPEYPQSPGPQRSFMYTYSIRMSVPEAIMLGGVYYSSCQLHSRHWTIRSRDIVVSDVSGEGVIGQYPVLSPGQDEFVYESCTPLPKAPGSVEGSFSFVPGKLSRPEGKPFEVMVAPFPLEVPEYIF >Dexi9A01G0035520.1:cds pep primary_assembly:Fonio_CM05836:9A:40179348:40180007:-1 gene:Dexi9A01G0035520 transcript:Dexi9A01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLDHPTLRREVTAEARTAAESVKARCADQGNHLASVEFRLRVVFIDFEEEEEEPDSEEETGSDLELDEESWSRGNSGGGDWLHGHDAAVLGDEDDDSGGGQFSARAYDGAFEREGGRSDGALLLSGFEARGDGPELGEQHELTPRDMRRLVRLAFSGGDVEGDEGYRRAVDGGEPVLSRVARAVMLDQGMRSARPPQQQQPAASTRGMPPRMRTGW >Dexi9B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:9B:216883:218622:-1 gene:Dexi9B01G0000320 transcript:Dexi9B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPDGKVLAVTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWTPRTIPNGGVQAFILGTAGVDKKVKLWLAPEVGST >Dexi6A01G0002520.1:cds pep primary_assembly:Fonio_CM05836:6A:2389048:2392130:1 gene:Dexi6A01G0002520 transcript:Dexi6A01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVSLPQQVYHSLHELLQHHTGSPQAASILLLVIFPLTILLLIRRLAAPPLSRSDQLLSKLPSPPGRLPVIGHLHLVGSLPHIAIRDLAAKHGRDGLLLVHLGAVKAVIVSSPRAAQAITRTHDHIFASRARSAVTDILFYDSSDVAFTPYGEHWRQVRKIATTHLLTAKRVRSYRHAREQETRLALAKIRQAAVTHTAIDMTELINNFSNDIISHAVSGKNFKEEGHNKLFGELTEANSTLLTGFNLEDVFPLLGKIGFVQRMLCAKAWKVNKRWDELLDKLIDGHASRPASERGGEDETDFIDVLLSIQEEYNLTREQIKAQLVVIFQAGTDTSYVALEYVFVELVRNPRVMAKLRAEVMSIVPKGREMVMEEDLNDMAYLKAVVKETLRFHIPAPFLIPHVSMADCEVEGYMIPAGTRVMLNVWALAMDPNVWEKPEEFMPERFMEGGSAAAMDFRGNDFSFLPFGSGRRMCAGINFAMPMIELMIANLVYHFNWELPPERAGKGIDMTAAFGLTVHRSNNLFLIPVLAPQ >DexiUA01G0024770.1:cds pep primary_assembly:Fonio_CM05836:UA:51402092:51403300:-1 gene:DexiUA01G0024770 transcript:DexiUA01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIVAFIAFGDSDIKFRAQRFVSSIRNSMETVLKCNVEVRICLMQEFLAGGLQHQACLDGTGESDVLSCSTNSERLKGVLNPSGAGGGSQSSNVPMIINDGNSGIHRTRGQEVSVQQSKISALDEQRLESAWLQTAEKHAPGMLNQARPERNQVFPQTGGQQRSSMGAIIPSRHVDKDLTNELKALKISDSHGPQKCQNVQTENGYAISPSLLHRNNHLANCDNESVVSESGAPGCHGLFPCWKTEKTKKRKGKGQTRLRSS >Dexi4A01G0022600.1:cds pep primary_assembly:Fonio_CM05836:4A:25912614:25914241:1 gene:Dexi4A01G0022600 transcript:Dexi4A01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRILVVAVAALSLLLVTDASEGDQKLGASNNKNETNLMMLQGLIGLISPDDGGSGADPTYFAHHGAETSPDGYYGFIATLDVYGFTLKHGQGTAGAVWVASSRDGAQSSAKTIIIGWNDDGFIKTGCFNTKCPGFQPEKGASIAPGDAIAHVSTPKGDKQKLKLKIVKDAGASGDWLVHLGLNREPELIGRFPRSLFTGGFADRAAAIRFGGMVTAPVADPAPMGSGYLPAEEGAASISDIQLIGRDGHATLGDLPKLESKPDAYAVSLVINGKFFYGGH >Dexi9B01G0035800.1:cds pep primary_assembly:Fonio_CM05836:9B:37399730:37401080:1 gene:Dexi9B01G0035800 transcript:Dexi9B01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQNQPAHPPAAAPHGGAPAHQPTFKIFCKADEGYCLTVRDGNVVLAPTNPRDEHQHWYKDMRFSTQVKDEEGNPAFALVNKATGLAIKHSLGQSHPVKLVPFNPEYQDESVLWTESGDVGKGFRCIRMVNNIRLNFDALHGDKDHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAYAGGSAANAPYGHGEPTVRIYCKADDGFSVTVRNGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHQVKLVPYNPSYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDAYHGDKDHGGVRDGTNIVLWKWCEGDNQRWKIVPWCKCQCTQYTRH >Dexi1A01G0027220.1:cds pep primary_assembly:Fonio_CM05836:1A:33041302:33051087:-1 gene:Dexi1A01G0027220 transcript:Dexi1A01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRSKKEEDEELRGAKRAFKEAQAEGCREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSIKYLPQRHLLPSCQSLGEVHLLLGHFSEALTYQKKHLQLAKESDDLVEQQRASTQLGRTYYEILSKSENDHSAMRNAKKYFKSSMKLARILKEKSPSQKSDFLKELIDAYNNMGMLELELDNFEEAEKLLIQGLKICDDEEVGLYEDTRTRLHHNLGNVYIELRKWNKAKEHIEKDVAICRKICHPQGEAKGFINLGELQSRVQKYREAKLCYNRALTIASSLEDEDALVEQIKQNIETVTKANEVLEELKKDVQKLKKLVRDTSNARETSKERKLLLEQHAWLDNLIEKARMICAWQQYKEFSKGKKRVATELHDKEKLSDSLLLIAESYQKLRNFSKARKWYMKSWNMYRTIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEGYRIAVEGDLPNVQISALENMHYSHMVRFDNLEEAKNMQEKIDNLKKLLNQHEAKDTVSDYCSETDTEGGCTSDNMLDPEDDNDQVGDKYPGEPDDDVTIASLVHRSKDSSKIKAPKVQSASKKVDELCDVAEDTRTVLSRSCTNHSVGRKRIRVVLSDDESEESPEIVQLKKASTSLANSISDHGANSSRNQDGLQPNEIMDAPCVAEESICSFKSSSPIGHAFEGVELGASNVGKGSASKSAASGSKFSAPGSNSRHESHNVVGFQSTDADNDFSYVQHFWAFRIGEHLVYLDARAYVHEGSFSIDSLKAEVACVYYLQISDEKRVKGLLPVIGEIKYCGKVLDDAAPIYYDDQLSSEQKCVDVLIDDWVPKRLMKLYVDCCTKFSEAPNKKLLTKLYNLEVSEDEVIVSDCGLQDLSVTPFLDALRSHKTIAVLDLSHNSLGNQTIERLQHIFASSSQTYGGLTLDLHCNRFGPTALFQICECAVMTDRLEVLNLSGNRLTDACSSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGKNKPISGNAMVNLLSKLASLTRFSELSLTGIKLNKLMVDKLCLLAQSSCLSGLLLGGTSIGPVETIRLTHTLSCTSQDLLRLELSNCGLTSPDFTQICANLTLINILDLNLGGNSINLEGCDAIQAMLVNPQCSIRSLTLDRCNLGLAGILCIIQALSGNDQLEELRLAENTNSALMQYEDMQEVSTGTEKQCNNPETSNAIAQGSLDLENMQVADSEDEAENENHCAMSGPHRSCASSSQKNSNSSCQIIQELAEALISAKQLKVLDLSRNGLSDEAIQPLYSAWASVPRGDGMARKHVNKDVVHFSVDAMRCCGAKPCCRRDLQM >Dexi4A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:4A:265314:265965:-1 gene:Dexi4A01G0000390 transcript:Dexi4A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNAGGLGVRRSASATQLGAWLGKAEEVEVVERAVSDERLVESAVPGEDVGSGEVDPVLEAKEEVEVAEAGVGVDGDGGEGEAREGGGEVGGGGGLADSALAGCDDDDPRGGARELGGRAAPVVDGSRGDGGAARAREGREARRRGRHRGRGGQCESGEAEVVAAAATMAASCCCCCCNETLPNSWVAQ >Dexi1B01G0020910.1:cds pep primary_assembly:Fonio_CM05836:1B:26887128:26887709:1 gene:Dexi1B01G0020910 transcript:Dexi1B01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERASQLGLRRSLRHFHLPSHNPARLQRRQPLQQPPVRLPLPSRSAPSTTSSPPPSRSVDRYPPPPRARRLPPNDATSKDNLIYSLCLLGCVYKITRGRPSFSYCIGSPSSPRYCDAEAFFATEAPSNELMVYTTQGYSHFLLGNDDAVEALDKENAAKYWMKLEHAFAAVRAMEEN >Dexi9B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:9B:14438497:14442125:-1 gene:Dexi9B01G0019800 transcript:Dexi9B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCIRVSLLILVLSLCSPYKFIQSPMDFGPLNLLPTTTSAASDFGRILFHSPAAVLKPQSSRDISLLLSFLSGSSLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPAEIEFYKGGDGEISYADVSGGVMWIDLLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPSKDADLFNAVLGGLGQFGIITRARIMLQEAPQKVKWVRAFYDDFSTFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSVAFPANVNFNPDFGTKNSPKIYYCIEFAVHDYQHTSTNVEQVVEVISRQMSHMVSQLYSVEVSYFDFLNRVRMEEMSLRSIGLWEVHHPWLNMFVPKTGINDFRDLLMGNISPDGFEGLILIYPLLRDKWDTNTSVVLPDSGSMDRVMYVVGILRSANPEGGCSHHCLQELLRRHRSIADTAGVRLGAKQYLAHHPTPSAWHRHFGRRWERFSERKTRFDPLRILGPGQGIFPRRDDDNAA >Dexi1A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:1A:23642645:23643742:-1 gene:Dexi1A01G0016430 transcript:Dexi1A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTPSSVLRRSTWPLLALLLCVQLLHTSQAFKLRGAFEEEEKVPLAVIVPDPSPELSGSSGISPSPLAAPPPVLGGGGGDDMRPRLPTEHWHRGRGEVRRAAAHPTPAAASSSHHNHHGAPAPTTASHAPAPGPARAPAPAAEAPAPDSGGSAFIESSPAVVVPRGVTDTATILPMPAPGEKRQEAVGAATSVGAGLVPLLLGLI >Dexi9A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:9A:13166079:13166845:1 gene:Dexi9A01G0018120 transcript:Dexi9A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVSRQEQTSPAKLADMPGDHWTEIFLHLPPQPHILLRVSHVCRSWRRLTADPGFLRSFRARHNDTPPLAGVFHNICYEGARRFTPITGDGEDPLHMRHGFTCPAHWHVLDSRHGRVLFHAVAHGVAPPVLILWDPVTRRCEQIGMPPDWAVYYNYDGKLNGAVVCMAGDDGDGRHGDCRSGPFMVVLMIGREPRVLVSVYSSEAGGGWSKAISFHGLPMWAEVVPKPCVVIRNTLYQTEQ >Dexi9A01G0030920.1:cds pep primary_assembly:Fonio_CM05836:9A:35780145:35782187:-1 gene:Dexi9A01G0030920 transcript:Dexi9A01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPRSAALVLATILGFLCLNLRFVRRAEDYASLVSHYNYIVIGGGTAGCPLATTLSEHSRVLLLERGGLPYRNMSSQEHFTDALADTTLASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASVDYVRAAGWDARLVNSSYRWVERALVSRPDVPPWQAALRDALLEAGVTPDNGFTFDHVAGTKIGGTIFDNAGQRHTAADFLRHARPTGLTVLLYATVSRILFRQQEGSPYPVAYGVVFADPLGVQHRVYLQDGAKNEVILSAGTLGSPQLLMLSGVGPQAHLEAHGIQVLVDQPMVGQGVADNPMNSVFIPSPVPVALSLVQVVGITRSGSFIEGVSGSEFGIPVSEGARRLARSFGLFSPQTGQLGTLPPKQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSTDPRANPAVTFNYFQEKEDLDRCVQGIQTIERVIQSRAFANFTYANASVESIFADSANFPVNLLPRHVNDSRTPEQYCRDTVMTIWHYHGGCQVGAVVDDDYRVFGVQRLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWRK >Dexi3A01G0034840.1:cds pep primary_assembly:Fonio_CM05836:3A:40164119:40165185:1 gene:Dexi3A01G0034840 transcript:Dexi3A01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLLLVVTAGHHDSKILRPPQPVCSTTNNYTANSPYKKNLDQLLAALPAAAAVDINGWFNNGTVGTAGADDQVSGVVMCYAGRHNATAACMDCLSMATAEITTGAVCPGSRDVRAVYDACVLRYSATPIPATADLTVVVSAAADIPGAFTSEELTAAWVPLMSKLAAGVATSPLRVANASTAYSLSREMNRFWASPRRRKKAKLHEETRVMEDEFERGSTWPKRFRYGEVAIATDNFSENNKLGEGGFGSDS >Dexi9A01G0023870.1:cds pep primary_assembly:Fonio_CM05836:9A:19303696:19307458:1 gene:Dexi9A01G0023870 transcript:Dexi9A01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPMDYAGPVSSGQQHQGCFGVYTSSRLQCVGYEKKSRTNQLAVRAVSMDRSQLDFSNPNWKKQFQEDFDKRFSLPHLRDVIDVEPRPTTFSLKSRTPLENVNGSMQESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPARRLDMLFVLGGNGTHAGANAIHEECRKRKLQVSIVCVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIETKGFALVCVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKFHFKDIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIKTTRFVDPNSRMWHRCLTSTGQPDFH >Dexi1B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:1B:4328698:4330435:1 gene:Dexi1B01G0005300 transcript:Dexi1B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLVCTTSLLLIILSSYIYQLLERTRSRLPPGPVPLPIIGNLLDVASKLPHRSLWRLAERYGPLVSVRIGAAVVVVVSSPSTAREVLKTHNGSITGRRPPDAWNGAGHATNSLFTLSPGRRWRELRRIGAEHLLSPRRLDGHGLRHAMRAALLDMRRRISKSATDTAAAKPVEVGGVAFETMAELMWRAMFSCGLDAATLRELHGVAREAVRLALTPNVSDFFPAVAAMDLQGVRRGMAKQVGKVYELIDQEIDKRRRAREETGGGGGIVDEQEVDLLGVMLDMWEVDEEVMNRDIMRTFLTDLFLATVDRSPSTIEWAMAELLQNPETLKKLKDQLKSVLGSKECIECSDVDSVPYLQFEYG >Dexi3A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:3A:8751436:8756664:-1 gene:Dexi3A01G0012120 transcript:Dexi3A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGICPPAFQLCIARNRALTPKTGDGPAAEAAALSSCALPPLSPPSMPYSSAALVGRRQQTRKGVEDRHQRGAEARDKSMNLSVREDEGNEMNDDCAPNWAAPEPCLSLKKKFEALSNPNPIHAKPLETAMAGGEGSSRSKSAKRKAEAMKEVVTTDEAAPGGDIPCIEDPISYWPTSILKEKYIKNLEADGFLATQEISRWRCAHRHEYPTEETEELTGMKPVYLDYATKESLKDWQKEWFYAWNHQPQLPSCSGNPLVMKPFWSETIGIDQAMEIPKLLNMITDLKAQGLTEAMVYRSFLLRRIQPYKQRCNPGYSYSGETDPSRNTTGDMPDRRIIQLLKNLPPLDPTNAEEATLLARCVDPGVRDQVRQHKRRTENAPASEEPDEPHAQIEQQVSEEPRTKAGATSKRGEGSKRAASSELNAHVPKRARTLPKPRIRVIPEEKTKISLQPKMPSSVGIANGEIGTSRSQQSGIAQQPLLEEEIIHNIYNPFSTSGKRTLPSWSIAPEQEAEEEFTLGELEIPMRPSTLEEPAIDHAAVEPEAAVPEESREMPETTLPEVQPAASSSLPVLVEAQVEETIAEVLADIEQLVTQANTAEPPSVMETFQVGAKAVPEAECSRGKQAETSAQEQTIEEIPRVPKGTGAEEEIGSFRIRSFDPMLNPNLQTFEYILDAEEDEEHIDRGLYHAERAVAYFKAVGKASRKKTEYIHNISLMHAKADRLQKELEREREDRKLQEAEDANVIRTLHLRTKELAAEKEDMKKKLSSKMTDWSNLANRHEEALKTLSAEHDIIKEQLRVVVQQRKDADLQLIQTLDEKLEQATKRMSELEEAMQQMKKSDEDLAEALKRISLLEKAANPVVKVLVPEDPAAPQSFLERLKALPRQLKAYIRRSSKACLVHVLAVIKSRYPKVDIGKLVEGAEPNCTEAAFRDLKQEAEPVAEAIAQSLRL >Dexi2A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:2A:4566011:4566658:-1 gene:Dexi2A01G0004920 transcript:Dexi2A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADKSVAATANFAGVEKHNFTLMQCWQVLKDEPKWWELKTKIDATPNSASRKSVPSSLSRDISDLDPNKSSSTSPSKKRPMGRDAAKEAKKKAALVSSECMSKMHELSVQRIELFKETEGERKARLDEMVALEKAKAEEAREHRKMMLEIERERLALDKQRLRMDDEKKEKEEDERILAINLDQCQPMQRMYYQALQEDIIQRMMSRRHGPNQ >Dexi2A01G0028830.1:cds pep primary_assembly:Fonio_CM05836:2A:39981736:39982691:-1 gene:Dexi2A01G0028830 transcript:Dexi2A01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAATVLTVLALGLALLCAAGPAAAQNCGCQPGYCCSQFGYCGTSSEYCDIGCRGGPCTSGGSSSGGGSGVDMASIVTDAFFNGIISQAGAGCEGNNFYTRAAFLNAVGSYSGFAQGGSSDDGIREIAAFFANAAHETGRFCYISEIDKSDIYCQSTNTQWPCVAGQAYYGRGPLQITWNYNYGPAGQAIGFDGLKNPGAVAQDPVVAFKTALWFWMNNVHPVMPQGFGATIRAINGALECNGNNPSEMNDRVALYQQYCQQLSVSPGSNLTC >Dexi3A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:3A:16391282:16392512:-1 gene:Dexi3A01G0020660 transcript:Dexi3A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLPNSRGFSTFHALAAAAVSFYLLVLSDLFTESAPSHSAIVDRKSWLSDAMFGVSLGYFLTDLAMILWYFPRLGGKEYLLHHGLSMYSISLSLPSGKGHIYILMVLFTEATTPFVNLRWYLDLAGRKGSKLYLYNGVALLVGWLVARVILFVYFFAHVYIHFDQVRSAFPLGFYSMLTVPPVLSLMNLLWFCKICKGAVKTLCKAKQSASVKMD >Dexi4A01G0022860.1:cds pep primary_assembly:Fonio_CM05836:4A:26128078:26130217:1 gene:Dexi4A01G0022860 transcript:Dexi4A01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMAKLLLQLQAAADRRHGGGGLGLGLGPAVRRHPLTPRHTPAAAAGRFALFLLFLAAAATTLALALTMHRHGPDDPDAAAAPGASPRGDFAVVINTWKRYALLRRTVAHYAACAGVDAVHVVWSEPRPPPEPLRRGVLNCTRRGNVRFEINDADSLNNRFRPIPGLATDAVFSVDDDLIVPCSTLRFAFNVWQSAPSAMVGFVPRMHWLTDPRGSTEEYRYGSWWSVWWTGTYSIVLSKASFIHRQYLDLYTNKMLPSIRKYVDENRNCEDIAMSFLVANATGVPPIWVQGLIFEIGSSGISSLKGHSLQRSRCLNAFSAMYGHMPLVATTVKAVDSRRSWFW >Dexi3A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:3A:10272201:10272413:-1 gene:Dexi3A01G0014050 transcript:Dexi3A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLVQLPGNHHFIEFGNLHTSAVSIVVHSIIYFAIIAIFVIVIGVHITTAD >Dexi1B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:1B:24594595:24595996:1 gene:Dexi1B01G0018340 transcript:Dexi1B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADELWVPAPQSPASVRSRLLAAERQEAKAVLSLFLRQKGLRNTLAARIVNKSDGFIEHLVSKLQIAYRSRYAEGRELSTPEIRDALLPYLEALSREHGDSLVEVVENFADPFSMERETLSSMVLTPTSSNKQKAVARISAPTSGGALPELVVYLLDLGMDHEEIKNIVHKFPAFAYYNVDRKIKPLVQLLLDLGVPRSSIPGIIRKRPQLCGISMSDNLKPMMAYLENIGVNKAQWSKVITRFPAFLTYSRNKVETTVSFLTELGVSKKNIGKILTRCPHIMSYSVDDNLRPTAEYFRSIGADAASLIQKSPQAFGLNVEAKLKPITEFFLAREFSIEEIGIMANRFGIIHTLSLEENLLPKYEFFLTMEYPRGELVKFPQYFGYSLEQRIKPRYARMTSSGVRLILNQMLSVSDVRFEEILEKKKTRVRRYDHLSKQS >Dexi9A01G0039390.1:cds pep primary_assembly:Fonio_CM05836:9A:43375118:43377024:-1 gene:Dexi9A01G0039390 transcript:Dexi9A01G0039390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSKASEDRPATTMDSPRAATRRKKMTKQLTGKRDDTAMHAAARAGQLASMREMLSGKGADELAALLSKQNQAGETPLFVAAEYGYVALVAEMVTYHDVATASIKARSGYDALHIAAKQGDVDVVRKLLQALPQLSMTVDASNTTALNTAATQGHMDVVRLLLQVDGSLALIARSNGKTALHSAARNGHVEVVRALLEAEPTIALRTDKKGQTALHMAAKGTRLDLVDALLSAEPALLNLTDNKDNTALHIAARKARHEIINRLLAMPDTNLNAINRSKETPLDTAEKMGNNDVAELLAERGVQSARAINPGGGGIHKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRIDKLHEEGLNNAINSTTVVAVLIATVAFAGIFTVPGEYVQDPTSLAPGQELGEANISHETAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVSFLALSFVVVGRSERWLAVSVTVMGGTILVTTIGTMLYWVIAHRVEAKRMRSIKRSSISRSRSFSCSGMSEGEWIDEEFKRMYAI >Dexi4A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:4A:20535995:20536979:1 gene:Dexi4A01G0016790 transcript:Dexi4A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRSATGELAPVPPASRDASSRGGSSSSSATATGNNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNNKSASTAAVSSSVEEETVPSDGGAVTTPATSTSTVTTTGSPSSATMDDDEEERIALQMIEELLGRSSPVSHPHGMLHGEGSFVI >Dexi1A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:1A:26372440:26372904:1 gene:Dexi1A01G0019210 transcript:Dexi1A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLRLQYPTSIAPRTARKESAAAAQNRCEGRRPRASRSRGAWDQTRQPASPALPPNGAARDRLLRPRRRSAAVRGLCPPSHVLAPPPRGLRVLAYRPGSPSYATRRDFVGAPEGRKWKRRDDGCEAKGLGREGKVFAMRERDGAWGFRPGAA >Dexi2A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:2A:13163067:13164271:1 gene:Dexi2A01G0011310 transcript:Dexi2A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCTVLLAAVVLLSLLVSPIDCTRKLSKPKPKPKHVRHRPASAAKVTHKPAPGAKPHRNYTTTPSSPSNAYGSGGWLSGAGATYYGAPNGDGGEGGACGYQTAVGKQPFDSMIAAGSTPLYRGGEGCGACYEVKCTNNAACSGQPVTIVITDQSPGGLFPGEVAHFDMSGTAMGAMARPGMADKLRAGGVLRIQYRRVQCKYPGVNIAFKVDQGANPYYMNVLIEFEDDDGDLNAVDLMEAGSRVWTPMVHNWGAMWRLNNGKRLNGPFGLRLTSDSGRVLVVNNAIPAGWKPGMTYRSVANYP >Dexi6A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:6A:11582203:11586734:-1 gene:Dexi6A01G0009740 transcript:Dexi6A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQYRAGDERHSPSPPPPTPSPLAAPSSSGSGSADAHGGDSGGLVLRQPPTPPPPVAADSADELRRQAEKAKIRERILREEAEQWELELEVRREIREELLRLSWPALGRSAAGVSGPPVAASPVGFGSGNAPLQAVNHDEDHPIAKVPAASPPVKRKSPDRGAASTVSAAASSKKQKNNLTCMVCGISATSEKAMQDHINGKVHKRKATALLEQPEAMTETGHEAGDEVLVPSGDHTPTKLTMLTNAGALNEVMQMDGYLLCEVCNVRTLDRVTMMCHLEGSKHISKGQKKGQASSKPLDEALMKKGGKGASVQEAATSAMVSSDPEKLVLEVYGVPHTVRRLEGFLLCELCNVKVPSVNGIRHHLSGKKHKNKAKASSDASANVSTGVNEADKVQLMETDTAVIARMAIQLEAPSAKSLEAKVGDDSEVQETTVTSTNDVATGDNNKTNAKKVRNASASVAAALENNLHDSDSLAMEVDSVHHPLQRVNGFLICPCCNVKAPSETIMRSHLSGKKHKHKMALAARVNIKDASILSTGADEVQGSSSKSMKANVEAESAPLPVTQEKSAAAMAPMDVHRSCSPESGKANGEAESSPSLTITTKVDEPTEVQPATRIEGEHTAAAAQVSSTHMGKSVKTTALPGMPIKIQVEGKVFTVLQEQNGRLSCEPCGVHGCNKDGMILHLYTRTHWDRANLALKKKEQEDAVVVDNDGNGHI >Dexi2A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:2A:11972770:11973358:1 gene:Dexi2A01G0010720 transcript:Dexi2A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLTWLACLRVHPTFTLLHPSEVVVAFTTVNGSKKEISPASGEIILEGDNRPNGKWMLVDKCAGLSLVNRFDPNEVSKCLVHWGTGYMNMELWSEERPISKDTPLRICHQYEVRQTR >Dexi2A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:2A:25058487:25060146:-1 gene:Dexi2A01G0014900 transcript:Dexi2A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAWLNSSKTNGSKKNDAHWGNVAKLYNSSTPKDRRRTRKHLKPHWHKINKKIAHFYECWCRVEEKYSSLQSDKIQMMDKTWAKYDEEARAMYLEEAKHRFTLSHLWKAVWDQPKWKNYISSLYSKGTKLSDSGGGTSTSEDGSDAYEKETDEQDSMPAKRKWEGESKVSSPLELQQDIQSSVDPQNMLDKNLLMADTSRVHEFKHGKETLMAGTSNFNEFDLENAIRDKMPEKERHPQGCKGVEHADTVRNSAPVKETHPQGSKMAKAKRKRKGNMSSPSTEVQEDIKRAVDLQTMLQKDREKMSEVQLRLSKEKLELARLKQQEAKDRRETTLYEKYTELLMADTQRFSDFQKEEHKKAVKRMGQMLFGKDGM >Dexi9B01G0035750.1:cds pep primary_assembly:Fonio_CM05836:9B:37355807:37356248:-1 gene:Dexi9B01G0035750 transcript:Dexi9B01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLSILAVLLHISAAVEAIVFDVPSGSSKCLTEEIRHGAISHASYRVAAESTSSADPRISARVTGPRGEELHLAEAAERGEFRFQAAEDGEHTACFWTPRYERGAVVSVDVQWDTAGARAHAGGTPPAVADDRIAVFPITP >Dexi3A01G0036240.1:cds pep primary_assembly:Fonio_CM05836:3A:41649441:41649861:-1 gene:Dexi3A01G0036240 transcript:Dexi3A01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARCVPLRAYLPGPSSPETAKAPASIPTSSAASASPPLRAAVAPSYGRRLPAPPMRCSSSSSESPAPSNLGLLLEVEGLSDVVAD >Dexi3A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:3A:5840318:5841536:1 gene:Dexi3A01G0008340 transcript:Dexi3A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLMINKLPLAAVLLLFAGAAALLLAAADARPHGDDLDSDFYSIVGYAPEDLGSHDRLIKLFEEWVAKYRKAYASFEEKLRRFEVFKDNLKHIDEINKKVTSYWLGLNEFADLTHDEFKATYLGLNNQPPPARRTAAAGEFFRYRNAGDDVPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCSTDGNNGCNGGMMDYAFSYIAGSGGLHTEEAYPYLMEEGDCDQKAEGEQVVTISGYEDVPANDEQALVKALAHQPVSVAIEASGRHFQFYKGGVFDGPCGAELDHGVAAVGYGTSKGQDYIIVKNSWGAHWGEKGYIRMKRGTGKPDGLCGINKMASYPTKDQ >Dexi3A01G0024260.1:cds pep primary_assembly:Fonio_CM05836:3A:19902710:19903924:-1 gene:Dexi3A01G0024260 transcript:Dexi3A01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAARLRAAVLAACVVLVAMAAAPRPALGILDPVDFLALQAVRRSLDDMPGSAFFDAWDFTADPCAFPGVYCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALAELSLVPGRVEGELPASLASCSNLRFLAVSKNLLSGQIPDGFGALSNLRTLDVSFNQISGAIPPSIASLPSITNLILCHNQLTGGVPSFRDTSPLLRLDLKHNALTGGVPTLPTGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLEGPIPASVFALPLSVLQLQRNFFAGPVQPANDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >Dexi1A01G0023680.1:cds pep primary_assembly:Fonio_CM05836:1A:30295454:30296142:-1 gene:Dexi1A01G0023680 transcript:Dexi1A01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSAAATLPPRHTLAPSLRASSLSWSASVAVSRIPPPPRLALHSPASAHGPGGSRQGLVVCAAWTRRSRGEAEQRPNRKSWKQRTDMYMRPFLLNIFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRLTLPSLIDDNACRTIGRLIAERSMDADVFALAYEPKKDERIEGKLGIVIDAIKEHGIIFV >Dexi6B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:6B:13169298:13169759:1 gene:Dexi6B01G0009130 transcript:Dexi6B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKSAMEATKEAAANLGASANAGMQKTRATVQGQVEKATAHNASDKAAAEANQRERVRAAEEEKQGAMRANAVAKERATGAHPSQGAPGIADDAHQQQGHGAAPAGGHVESGVGETRPVARATGTARASAAHNPHVGSDFSQARGTGGQYQ >Dexi4A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:4A:13043117:13046455:-1 gene:Dexi4A01G0013470 transcript:Dexi4A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSLAADRALPTVRTSRPAAEHAWLGYRAEPRPTEEPVQLDIHAERRVSFTLRPSPAVAACCSASTSEGASPSASSCTDELAALHWVSTVEPCGSSVHLRSREAATNDIAQLHLSPLARRGCARLCTEAFTRPDGFLCLRLFVLQHQLLPIQAGGALLPLPKPARLLQATQLCSPRPACSSRRAAPPGRRRLPRPAAAPQAGAVKDGRRRLPRPARSPGRMDQNNACKIAVDVSSFSTDEDGTDEQLLNLMRASKSVDFIMTVDRCCHVILSEKVSQMEDESQVIDQNHELHLHIRIEGVSAAGAAVLSEYEGQEWAEEPELGVSATGPARQEEEDKEHYMEPGFDPEGDDPIGADEEWRYFKKQENVQGGSNEKVQQEKKTAKKRKAYEAIDPDAVPSDEATMMRDAPYVAHTTYDRDNPIIKEGSTFVDKKAFILIIKQYAIANEFQTRVVHSDTSRYRARCADVTCDWKVHAKKLLGCPTFMVVSISKDHTCASTSQVKGKEASKGWIADRAKEEKERRGGYLYIQEEAQKEWS >Dexi8B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:8B:22034390:22035952:-1 gene:Dexi8B01G0012650 transcript:Dexi8B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTQTYIFASRESVTRWLSVAVERKVFDIMAPCTSNQENPWRLGSRMTMGFSDDASGELFPSPACIVFSADVLGVILGFLQCFAGRSSRHWRSVGRSHIQHGMQPPLPLLVLPRPNRELHQVQVFVLDFSTNPCGLTEIHNFDGDCVFVDSCGCNSFPASLYDGAEGDRFYFVDQYSKYDVNSFDPSYDTFVYTVRNGRTRPLATELSPDNFGAPNGNLDVPLLLLTSK >DexiUA01G0020000.1:cds pep primary_assembly:Fonio_CM05836:UA:41487493:41490807:-1 gene:DexiUA01G0020000 transcript:DexiUA01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALASSPTAPPPAPLAAAATTIFLTRRRSHLDSACYRTLSRLFSHCIHLHPSPREGTAPPEAEAEAPAANHIGGDSGDSPQVPRGADIDRLKDVEKEAVDAGGPSLHETISPTREQPAAANPTSDPRVAEAPQRSHGDVDEVVAVENSCGNTSAGVESGIGDELVVEDDALKLVEACLELAEVDESVEEAIGNDDGLLDAMMTNFTGLIDDIGAAVLPAQTCVVSGGELQSSKASEDSQQLGDGIEEGEPVSNLDCEQNDDGGFEEGEIEDEVQDLDSEESGNSDLGDDDDAEDEKLGVNSIIRGSGANGSCDHGTRFGNLHSTSEVMGNSNFTQNNGANVSGDAEMSVTRAQAVSYDEVVDWNETPLPDNEAPNLGKKRKRVLTEERKAKKTKNKRKKRAQERIAAGVKRPKLQQVIKPKKPCHFYDHGKCQQGDKCKFAHDFTPSTKSKVSMLSNLSFECRPCKHFACGSCLKGDDCPYDHELSKYECHNYKNTGMCIRGDRCKFSHVMRTNEGTPTQDAKPSDASLAYDKTNLREHTSSQKISTVQNAQPMTSAPTKQCSILKNLAGFSVNSQNLSNRIPKGVQFLPFDKSGSNLSRPHMDALSIEKPRNANATQHQCPGGSKPERQKITKQNGQESPLDEKIPSNEATMHRKKATLAVNSTAASVNTQHEVSEASRILQEFLFGAGS >Dexi3B01G0030970.1:cds pep primary_assembly:Fonio_CM05836:3B:31281868:31287216:-1 gene:Dexi3B01G0030970 transcript:Dexi3B01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAGGGGGVGGPEAGGGGGGGGGGKDALFVELWKACAGPVSSVPPLGEKVYYFPQGHIEQVQASTNQLAEQQSTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKRDENTSTTVESEEAEGVVPDAPSATTANNDQRRIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRTSPAEFVVSRDRYHESLKRNYSIGMRFKMRFEGEEAAEQMFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPSPVNPLPPRFKRSRSNVNASPSDMPTVNREVASKVMTDTQQNGLPRALHSQGRTQLSGRYNDSRDQNSSQELTMWSSGIEQDRNNVHKQGSALGRSIDLTKFSCYDELIAELDEMFDFNGELSSPHKNWLVVYTDNEGDMMLVGDDPWNEFCIMVHKIFIYTREEVERMNPGALNSRSFGVARKTKGHISVAGET >Dexi1A01G0013810.1:cds pep primary_assembly:Fonio_CM05836:1A:19396241:19406789:1 gene:Dexi1A01G0013810 transcript:Dexi1A01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCQRSSNLLALPFLLLLLAQVTPDLGRCPIRWLDLIIPRVPDGVGLSIPLALPSQQVPSPEAAESTPGTRTRKIGGSGPSSVFSLFNLKAKSKFWTESVIRTEFDVLEGSALRDSSKKGMLNFTRAGNIANYMSLAEVDSIYLPIPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEYTRIPPVGEVLTPFYKTSVKKLRQYELPLVSHVNHNFSVHAIHMGEDVLTVFEHAIKVLSRREDLADSRYDVLVYTTFPFCLPTNCTIQEAYNIFILNPKPISKGVNYGYRKGFSESEINLLRENKTLQARILQSKSDNKLFLDIEKGVNRKPLYESHPLSSFSWATTDSMDMGDWSKKCKEALSKFEMLKEGKSKDDIVYDKAVQILHGPKDEMHDILENTLKSSGFKGLHAECLTDIWVGRERFAFVDLSAGPFAWGPSVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEEKLQDTIRERFSSFGEDYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKGTFCLNERIKFPKYVTEYTELLNIVVTE >Dexi9A01G0039500.1:cds pep primary_assembly:Fonio_CM05836:9A:43446584:43447152:-1 gene:Dexi9A01G0039500 transcript:Dexi9A01G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPNTMIAVAPKTRMGFMVTSFEEEALRNMKAFWLPSATPEATVKIDAPSTDTVCPEGQEKLKLKALFPISFTEENADQKSKKSVEKSYMCPSCKSTLTNTMTLVALNTCGHVFCKKCSDKFLVKDKVCLECNKPFKERNLVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKA >Dexi1B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:1B:25052918:25070704:-1 gene:Dexi1B01G0018900 transcript:Dexi1B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQPVINLRPGGGGGIGLRGPRLFLTAFAAATGAGDFLRPRGGSSTGFAAKLGDSRFEPLERVRYTRDRLLEMREIVDIAKDILKLQQDINVVLLGEEPEDQIWARNESNVQTQSNNLYTETDSRDWRSRSQTSLVVSEERSWDKIREAKESRASSRKQEQLSSQFAAKAQKAKLLSYLKNIFSWHRILNKLTPEKFDLLKGQLIESGITTSDILKDVITLIFEKAVFEPTFCQMYVQLCFELNESLPSFPAEEPDGREITLKRVLLNNCQEAFEGSGNLRAEVEKLTGPDQEMEKRDKERLVKLRTLGNIRLIGELLKQKMVTEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRLKELTTNSQLAPRLRFMARDVLDFRSNNWVPRREEIKAKKISEIHTEAEMKLGLRPGSTANIRNGRTGSGGPLSPGDFPMNEPGTGGMMPGMPGVRKMPGMPGLDNDNWEVPRSKSRADPLRTHNQLVNNPSSVNSGLLPQGSGGAPTSGKTSVLVGSGGPVSRPSSYSPGPAVAPVPSVAPIVEKAAADSRPNSASLQKKTISLLEEYFHVCILDEAQQCIEELKGPDYYPEVVKEAINLGLDKGANSISPLVRLLEHLHSKNIFKTVDLETGCLLYGSLLDDLAIDLPRAPDHFGEVIGQLILSRCLGIDVLEITLKKMEDTFFRSAVFKAATKAIGSNPSGQAILSTHVAELDACNNLLSSK >Dexi4A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:4A:23874455:23877407:-1 gene:Dexi4A01G0020080 transcript:Dexi4A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMRPGWVGALVEESFFVGCAAHDDRKKNDKNIFCLGCCASICPHCAPAHRHHHLIQVRRYVYNDVVRLDDLERLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHAMVQGAGDLSNILYAPPPADLGCGFPRFENLRVGGGGFDDDSGQAVTPNSILEDPTQQGSSSGVVPRKKKSSGGGFFPQIVLSLGNRRKGAPHRAPLA >Dexi1A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:1A:10210036:10212290:-1 gene:Dexi1A01G0011280 transcript:Dexi1A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAMCDLFVDGHHRELRHLSGTEDLFSILETWEDCVNVTGGGGSSAAMPAYSQSSTGGSESAGARPLGNSRRRSREEEKGVGHGAQVHKKLKGLAVAAAQDAAADDGAVKMSHIAVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLETKKHRKAYAEQVLSPRPASALSAANPRPLVVVKSTPPLSPRLAVPISPRTPTPGSPYKPSSRAGGAPGISKLRHPPAAAAYMALPAMTPTTSSSSSAAYSHDHHHHHQHYSTKPYLPTLDSLVTELAAQAAAGRPAVVAGPTLPDVKVEFAGANLVLKTVSHRAPGQALKIIAALESLSLEILHVSVSTVEDTMY >Dexi9A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:9A:724389:727475:1 gene:Dexi9A01G0001350 transcript:Dexi9A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLKPGPSLAAFSPSARRSPAPSVSFPFPARINQRRLLSAAATAEGTGVPASQGEASSTTTSYPPIDEAPLAQYGGADWEAARVEKEQGKTLTLPVLRANSGGLIVKFNSLQGFVPNPLLSPAHWCKVKLADPKRPIQDVTKHLVGTSISVKVSEVNEEERKLVFSEKDASWSKYSSQIKIGDIYDGIVGSVFPYGAFVHLRFPDGLYHLSGLVHISEVSWDLVQDVQDFLNEGDAVKVLVISVDTAKSRIALSIRQLEEDPLLETLDKVIPLEADQSSDRIMSPSEVELLPGLDGICNELLQEDGI >Dexi4A01G0020890.1:cds pep primary_assembly:Fonio_CM05836:4A:24654062:24655796:-1 gene:Dexi4A01G0020890 transcript:Dexi4A01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPPPPAPGGDARSGTADAGSSFPAGGHDDAPTPRVVFSDSTEERVLSVLWKKYCNALDKINLSLKLIG >Dexi2A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:2A:26732394:26733008:1 gene:Dexi2A01G0015590 transcript:Dexi2A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLASRVLQRIGAKLGVSHWNSAAGLCNPASDVDCQCSYSSNQTICHVRHNFSGELLPDFADLPYLHHL >Dexi3B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:3B:1518881:1525635:-1 gene:Dexi3B01G0002160 transcript:Dexi3B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLPRITPLPRRRRNPTPPITAELAVSLARVLASRATDPAWSRSLAALLPPPLTDARLAAAVSALADPDLALALLSWSSSQPHHHLHDDAPAPTPLAHSALLRFLARAGRFDAAEATLRSMSPTTPTRACLGELAASYADAGMDGKAAEICARARELHGKLPDRRHCNRLLRLLVERRRWEDARKLYGEMLDEEGGADNYSTCVMVRGLCLEGRVEEGRKLIEARWGAGCIPHVVFYNVLIDGYCRRGDIGRALLLLGDMDTKGFLPTVVTYGAVINWLGRKGDMEKIGSLLGEMRVRGLSPNVQIYNTVIDALCKWQSASQAMAVLKIMFAAKNLLAEMLEQNVQPDKFVYTTLIDGFIRSENLSDARKVFEFMEEKGVYPDVVGYNAMIKGYYYSGIVKAEKKTTLLDVFKVLVSDGLDQRISTKNAIIFSLCRHNMLEKALEWKDKMANKGYSSDCITFLSLLYGFCSVGIPGNWRNILPYEFQKDEIEIILRYWSALGAWPLLGIAGGGLTARGRKGQAGRHQGHSGGQLAGAIRSSRRPSPPLLFLSRLHLVECSTKLPWEASVMILAKRPPWSSPISRSCILHATVAWIISWRRVWYSGAGDNATPPGASARLSKFFWPVREHISSIIGQALERGRSSGSVELELERLHVNLSPFVVNRVLRGVSDSETAVRFYWWAESRPGFDHTQFAIAYIVSLLFIDGNFSLLSEFLDRVRSQGVALHRSLYRMLISGYVRAGKFGSVIRTFDEMVTSGCGEFGVDYNRLIGVLVKNCCFDLVEKYYGMALDKGFCLTEFTYSRWISALCQSDRIELVEKLLADMDKFGVNEAFELALRMLSLDLELSVCIYNALISGFWRSGSIGMVKDAEDLMKKMEMSGVNPDRYSYNQMLKGLCKAHQLDKAFAFVADHMEVGGFCDIVSCNILIDAFCKARKVNSALKLFKEMGYKGIQPDAVTYGTLINGLYSVGYHNLAEETFELTLKAQIVPNVNLYNIMLHNLCKVGHLKQAQNIFFQMIQMEVSPDIITFNTLIYWLGKSSRAIEALDLFRDMRGRGVEPDSLTFRYLISGLLEEGKATLAYEVWEYMMENGIILDRDVSDRLINMLKLKNK >Dexi7A01G0023050.1:cds pep primary_assembly:Fonio_CM05836:7A:31197780:31200046:-1 gene:Dexi7A01G0023050 transcript:Dexi7A01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNDILLTEKDTSMDPSASSELDELGGTNSGVPSSSEHATLASDHELQHLRLESKPKSSKPKIKKPASLSQYKPEPWMLQSEDQKMRKQLNLAVVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAFAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAILVVDASIGSFEAGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDMVEYSKDRFNFVKSQLGIFLRSCGFKDSSITWVPLSAMANENLVTDASDSRLLSWYTGNNLLKSIDSLPPPHRDVSMPLRLPICDVISSITLGQMAVCGKVEAGGIRTGSKVLVMPSGDVATVKTIERDSTNCNMARAGDNIAVGLQGIDPSHLVSGGVICHPDFPVRVACRLELKILVLDITIPILVGLQLELHIHHARVSASLVKILSLLDQKTGKASKKMPRLLTARQAAIVEVKLDKEVCVEEFSSLKALGRVFLRSRGSTVAVGVVTGIVDQA >Dexi4A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:4A:18310735:18318345:1 gene:Dexi4A01G0015400 transcript:Dexi4A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRRRSSSRRGYRLPVPLLVLCALLLAAAVAFSPAAAAEAAAGGGAGHEGRAGKSEAEAEAEARGDRVVVAEAGGEVVAQGNATDNKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQLRVVRAVAVLGGLLQIMLFMFLCGILATVCGGKTKEGVFVGVLLSMSSTAVVSICKLTLYMSFVVILGTAYAPLGYGINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSHTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWVSLYYSMNCLFFLQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFVVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLA >Dexi9A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:9A:1548900:1549331:1 gene:Dexi9A01G0002920 transcript:Dexi9A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQPHYKWAGNTSPPRGQVYGYRMSLWAEHLSSVEECFRRPETEECVGALPGHECFPDVGGKVLGTQSSLPNALKT >DexiUA01G0021770.1:cds pep primary_assembly:Fonio_CM05836:UA:45018192:45018640:1 gene:DexiUA01G0021770 transcript:DexiUA01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSPRRALLAAVFLGFVLGAATSIRTVTFSSSQNLLAEDKSRLGSTPPSCHNRCSACNPCTPVQVTTVPGLGRSAHVTDDTVAGFSRYSNYKPLGWKCRCDGHLYDP >Dexi4A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:4A:3934497:3935161:-1 gene:Dexi4A01G0005400 transcript:Dexi4A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCGITVTSHVEHLVVRLHGLLFRLAAPAVAVEIRFFERWFCLPMGWKEEGLFVEDGLHLVDIAAPLENLARKLYEMRKQEDEEMDRRQSETEEEKERRLQEEEAMHMKEEEMMREREEEWKKMRKREEEERRRESAVARMTPTYPPVWDVVLGKTGRTLPAVVSMFNLTMNSKNQVVCKASRHAPEGIELRLYSSHLKDNATISINIVRVVS >Dexi5A01G0030840.1:cds pep primary_assembly:Fonio_CM05836:5A:33691957:33697392:-1 gene:Dexi5A01G0030840 transcript:Dexi5A01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLTGAKRAMAYGLCKHINIDPSTVSNTSIEESDIASLFSRIVNSSQDEVMKWVKFSSDFAGSDGKQHALLDNLNQDLSQKSVLLGDGLKPSVADIVVFATVQAFVNTVDFGSTLQKINVAKSAFDPPSVTPPKKAEKGDTESSSKKAASGQKVADKTNASADSKKPVGEAKAPGNKETPAAKDNKTSGEKKKTQDKSAGKTSEKASEKVPEKTADKDSECNISILNIQVGLIRKAWKHPSADSSLLVEEIDLGDGNVRQVVSGLAKYCSPDDLTNRHVVLITNVKPGKLRDVMSAGLVLCASTEDHTAVEPLLPPEGAKIGERVSFAGFDGKPEDVLNPKKKQLDKITPIECELTTNFIVSFIQHLRTDENGIATFKGIPFTTSAGPCRSSIRNGNVK >Dexi3B01G0011320.1:cds pep primary_assembly:Fonio_CM05836:3B:7954200:7956793:-1 gene:Dexi3B01G0011320 transcript:Dexi3B01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFSILFSHHLDDDVIKRQKKILARLGVCEAFSMADATHFVADSFFRTRNMLEAITLGKPVVTSMWLENCGQAGCFIDEKKYILRDVKKEKELGFSMPISLASACKHPLLLGKRVFVTSNVKPSQVVVTSLVKASGGQPLERVGRSIMKEKEVPDDLLVISCEEDYQTCAPLLERGAIIFSTELLLNGIVIQKLEYERHRLFTDRVKQTRTSRWLKSTVHDRFVHVPKRPRS >Dexi9B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:9B:9018425:9020141:1 gene:Dexi9B01G0013400 transcript:Dexi9B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAAAAGASRKRRRVCVGSTDVYEEVSGLGSGNFGAVVKARHRVTGQTVAIKRLTLSDADGGLAEDPLQEAALHEACVGHPSIVGFHGVVRDPSTSRICLVMECVDGPSLHDYLHHHRPRRRGAPSLLPEATVRAAMWQLLGAAKKMHESRVIHRDIKPENILMLLEIPDYGAAVDAWSLGCVMAEIIASGTPLFGKGGGDDDGQLVAIFDLLGMPDEETWPGFSSTPFATKVVPELKVNRRNRLREIFPKAMLSEEGFEVLDGLLTCNPGKRLTAEDALKKPWFVKVDALELPRKDEVASSALPSWKKKLLVAPAAAGKRRKLQCV >Dexi6A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:6A:27457728:27460491:1 gene:Dexi6A01G0019800 transcript:Dexi6A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPASPAEAAGGAACSICLDPVLAGGGGRSVAKLQCGHEFHLGQIPSRPCRAAVFARPDLPGMAAMIEKGRWLYASGQLPSADIDLGGWAASDNYDIAADLGA >Dexi7A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:7A:25284558:25285035:1 gene:Dexi7A01G0015410 transcript:Dexi7A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVYSITRAEIDEFWRRKEVEAEERRLAAEKEAARIKAKTLKMEDYALFEQMIREILKEGTIGDGATMGADAPGGTEARIIGIKHW >Dexi9B01G0022320.1:cds pep primary_assembly:Fonio_CM05836:9B:16977006:16984420:1 gene:Dexi9B01G0022320 transcript:Dexi9B01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSHTHKASPAGEPLLLMVSESSSSLKRKRNDGSAGPSVSGAVFNVSTSIVGAGIMSIPAAMRVLGVIPCVLLIAAVAALANSSVEFLLRYTRCSGGSYAGVMGDAFGRAGAVVLNVCVVFTTMGTLIVYLIIIGDVMSGSAVGKDAHVGGAAGGLARALAGDDGEAQLRPAAVRLPAWCLARAARLAACRGLARALAGEAADGEAPTRLAATAGDSLRYTSAVSILLAVVFIIISLGIAVYTIFTGTVNMPRMFPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELKSSSDMKAAVRISLVLCSAIYVLVGFFGFLLFGEATMADVLVNFDRSSGAGVPQALNDLARLSYALHLVLVFPLLNFSLRINVDELLFQGKRSPLATDTPRFMFLTAALMVLLYALAIVIPSIWTLIQYGGSVFPVSLSLIFPGAIVLRDIDGTAKKKDKAVALTMIMLAVISSSIAITANIMSSNRN >Dexi2B01G0022280.1:cds pep primary_assembly:Fonio_CM05836:2B:31918716:31921164:-1 gene:Dexi2B01G0022280 transcript:Dexi2B01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAAVLRRGHLAGVHSVADRRQPGLDRIWVLRPATAPPRVSWRSLYVSSVQAERGSGAVDRRRLWASASGSFEQDGTGEDAVLPSQVVEESKLDFLKILKSANTVIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVNDFIEAIKRPDAIAAGYIGQFVIKPFSSQIH >Dexi1A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:1A:5760312:5760937:-1 gene:Dexi1A01G0007460 transcript:Dexi1A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHRGSNSIARQVHHQPARVITGDGSLKELPASSPPRVSDVLPAIHNADAAAPPLFVCNSDALYFNEHPPALYPGDLLRPGQIYFVLPVAMLEKPLSTADMAALAVRASSALAASRRRRHGRRRRACGGGKKKAVRVMPDGGEDVFFNEKLNQQTLGEFGVVSPSPAKREGKLTAAAAATTSRMKRALSIIQEDAE >Dexi7A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:7A:24978434:24981960:-1 gene:Dexi7A01G0015160 transcript:Dexi7A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCCAADDLKVLCREELGFSGDIKKTRVNFVVSRANKDSDISLLTSFLPYLRQTRRRLTRRVAGMAGEERPSGGGDDKKRYPVGFRFTPTLRELVEFYLLPKLLDQPTVPNDAIIEADAYECDPEILTKKYEERGVDDDWFFLSPRSRRYPGGDRPTRRTADNRGRWKPSTGQSKPGKNAAAGHSKGRKGLGKNLSVGAVDFTENTLAYYLGEPKDETKTKWLMQELTVPEPEKERDPDAKPRDHMLLNKYVMCKIYKSPLKKWKEREAADEAGCSTSSTPALDKDVPSSSQSGPAPPESAGEASVTPTPSSKREGKRPALEQPSEHSSAPNKRAGSKQMIMPPGQPVPTQTNGGGMRAPPPAGVVGAAGEYYHGVPGPPSLMQWPPAMYNSMQGPVQLQRPPQMYMHNRMAPVQGPPVLKPVAEPGLKFSYGEPTTTYICVKSTI >DexiUA01G0012580.1:cds pep primary_assembly:Fonio_CM05836:UA:25552873:25557942:-1 gene:DexiUA01G0012580 transcript:DexiUA01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATIPARIQPGSIDHAKVRPQKRKSQCILLSRRSLEWECCGSKPARPDAAPFRIIIYRPFFPPVPSRMKIVVAARPVVNSSLAAAVFRTDRRLTCMHRYLRSVVSPCGGKRIRTSPHAKVRELGDNSSSASQARCPPRRATLTELPFSSVPLPVTDRRAPIVISFLAAPPNQTRVRTRAAPRRLSFVVYTPRIPCSSLYKVSSSAPPTIADRVRRAELQEPPLPSICSDPRQRKQMDVTITIPGPSRIDSTHFRLQSIARAPAAASARFQSEQLVTALGEHFSEVASTSSLYSPTCPSQSLSKSPASPTPRAARRP >Dexi6B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:6B:18728442:18732692:-1 gene:Dexi6B01G0011520 transcript:Dexi6B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPMPFAASFRPLGAPPPPPHQMPQYGAVPPNPGYPMAQPMQPPGVPGVMPPGAVRPPTMYAPPQQGAYMLQPGAAVPHPGMPRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPMIPGIRSVPPLVAPTARPPAPAVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKHVEEKKRAQEKAKETEDGGGDGTTAAAENESSKPVSAEPDRATGDAGDKDSEENTKKFGIITDEDSEADNDVAQKISSMIEEWLKTRPPPPPPPPVQPSAESSGIDMTKTDSDDKNDADTDKRAVNETERSETGSPDRRKDRERDKDKRDKDFERHERERERERVRRDRERDREKDHKYREAEKMYRDRLKEWESREREKEYQRQNEKDKEKDRERDRRREILRQEDESDEEDNRKRKRRSSSTLEERKRRRQREREEDFADKVREEQEIAEARRQAAELQRQADEAAAAAAAAAEESATHMEVDDEDETETNAQTRPTVVEVGKIASFANGTGEFHKENNSGETSMAAGQVPDARQNSNAPAKKLGFGLVGFGKRTSVPSVFAEEDDENNVDKTIRPLVPIDYSNEELQAVQANASAGPNVAAAAEFAKRISASNSKEDRSEAEKDRNRRSSEARVNDERREKIHDREKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKVKSNL >Dexi6B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:6B:4554543:4558299:-1 gene:Dexi6B01G0005300 transcript:Dexi6B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDRGYLSEIEKDAVAEQEVATNTFRKWASKYCPDAKYMNNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKRVLLEWHPQPGQEKPPVPLLKYAFGAERRKAKMLNFSIAYGKTPFGLTEDWKVWT >Dexi2A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:2A:6209991:6213793:1 gene:Dexi2A01G0006600 transcript:Dexi2A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVLLAERRVDEALDALDEAERAAVDAKRKQTLTAAEVSALKRSISDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHSQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQVSLGHCSLLEARGLSVSSVLLKQFKPSLEQALDANLRRIEESTAALAAADNWILTYPPTGIRPLTRSSAANLALQPKLSSSAHRFNAMVQELYAKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVAQDVVSVISGKGRAANGDREVNSPTASVSAHSMSSFKSHGSS >Dexi5A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:5A:5328696:5329634:-1 gene:Dexi5A01G0007190 transcript:Dexi5A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSGTEVAFTGAASNSSPPAPPAGAAAADDNMAALQLVSSRHRQPSRFKGVVPQPNGRWGAQIYDRHVRVWLGTFPGEEIAARAYDVAAVRYRGLDAAVLNFLGERASAGELGFLAAHPKEEIVDMLRKHTYNDELRHGLLRRRGGAPQPTPAWARKLLFEKVVTPSDVGKLNRLVVPKQHAEKHFPVMKPRSSPETKTTTTAAGKGVLLNFEDGGDGKVWRFRFSYWNSSQSYVLTRGWSRFVREKGLIAGDTVAFSQSVYGQENQLLINYRKTPRNKQQIDAIAAAVEGRSIKLFGVDIAGDGVRIGR >Dexi7B01G0022880.1:cds pep primary_assembly:Fonio_CM05836:7B:27664927:27666055:1 gene:Dexi7B01G0022880 transcript:Dexi7B01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVLKETLDTIRLPPSPERLLTAADLGCSCNTLFVADVIVDHMTDTYTSIGHAPPEFSFYFSDLPSNDFNTLFRLLPDQQQPTAAGRTGDHRRRRYFAAGVPGSFYDRLFPENSINAFTSTFSVHWLSQVPREVMDTKSKAYNKGKVFVHGTSEATAAAYKRQFQSDMANFLRCRAAELKRGGAMFLLCLGRPATADPTDQGRVRLLYGTLFEDSWSDLVSEGVMEQDTMDSFNVPLYAPTLEEVREAVDDAGGAFRINRLEMVTGSPPVVVDGGGDDDPAAVGRTVANNVRSFVGALVDAHVGKAMADELFDRLRRRAEGRARELMAELRLPHVVCSLSLA >Dexi3B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:3B:11307178:11308554:-1 gene:Dexi3B01G0015600 transcript:Dexi3B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPAFVVLFAVIVPLSAAALVFPKEALPTKSGYLPIPPANASLFFAFYEATHPLTPPASTPLLLWLQGGPGCSGLLGNFFELGPYLVSPDAETLTPNPFAWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVVAAHILAALQSFFALDPSLRARPFFLTGESYAGKYIPAAGAHILDVNPTLPEALRVNLRGVAIGNGLTHPVAQVATHADSAYFTGLVDARQKRELEALQAEAVALTRAERWREAADARGRVLSRLQNMTGLATLYDAARQRPYQTDPVAAFLNRAEAKAALGARGDVAWEECSDAVGAAMHADVMKSVRPGVESLLRRRTRVLLYQGIRDLRDGVVSTEAWLAEVDWDGLRAFLDAERAVWRTRGGDGELAGYVQRSGALSHVVVYGAGHLVPADNGRAAQEMIEGWVLEAGLFGRRVPNGRRRRLNAAPGGRRALVKHVGI >Dexi2B01G0033810.1:cds pep primary_assembly:Fonio_CM05836:2B:41197727:41202087:-1 gene:Dexi2B01G0033810 transcript:Dexi2B01G0033810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGPVAVASASASAAAKAAPAPAVGISPELYPTEDDLPYEEEILREPYKLKGWWRYLVARAAAPFAKRAVIYERAVKALPGSYKLWHAYLRERIDHARPHPIDHPAYSSLNNTFERALATMHKMPRIWVLYLTSLLDQRLLTRSRRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLISANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGVSSVVTVKEFSVVFEAYTQFEQSMLAAKLEAEEEEGAEDEDEGRGRKNGMDKLSKKFLEDFWLNDEDDTELRMARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGNEPVQMKVHKSLKLWSFYVDLEESLGTLDSTRAVYERILDLRIATPQIILNYAYLLEEHKYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVQRYKRSKLERARELFQEAVQQAPPDEKKPLYLQWAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMAMYEIYIARAAELFGVPRTRQIYEQAIDSGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNHSDFWKKWNDFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLAPGPSTAPPPAQNTTPASANRMMNFVSAGVEAQAESSRQQAGNNEDIELPDESDDEEPDVQIAEKSVPAAVFGELGKRAAESQEESSGAQENEQLGALERIKRRRQ >Dexi4B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:4B:11006385:11007076:1 gene:Dexi4B01G0012920 transcript:Dexi4B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSINDVTYPELIEIVNKLKDADGKLAGVDASNLLVANSGNDLPVIDLSSVSPELAFMASDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >Dexi8A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:8A:26168067:26169312:-1 gene:Dexi8A01G0015130 transcript:Dexi8A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALTVLLVNPNLYRAAINCHSLWVCAVAGLFSLMGAYTAGSSSNGRHNEAKPPIDAADGTSKGTSLSGSNEGGGTSSSSENTGCDDIIEPHTERRYLVLVAILAARVTYQAGLVPPGGFWPDNKDGHAAGHPVLHDSNHRRYYIFYCNSTSFATSIAVIALLILELIHMENKDDGQCTLLIHVAHYMMLLDLVGLLGAYASGSSREWETSGYIVAVVAAVLFYIAIYNTLPSRKKMWPASL >Dexi5A01G0029260.1:cds pep primary_assembly:Fonio_CM05836:5A:32385277:32387743:1 gene:Dexi5A01G0029260 transcript:Dexi5A01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGREKDRAVVVVVEDLGAAAKPFQLQDAASISTKPGKRYPLTLWIAILGLVMLVGVYIFSLSLKQNGMLFGLMQTNMIEKEREKPCHDPRIPDTEIPYVHYPTPKTYDSSAAKNECTAAVGLKWMLNQAEILAQYKPTIDKKMLITELKRSDKLAADAMASFKNTRHIVLYYEDVVRNRTKLIDVLDFLRMPKRKLSSRHVKIHTKRLRDHIDNWADVNNTLTGTRFESFLNG >Dexi5B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:5B:1247829:1252134:-1 gene:Dexi5B01G0001960 transcript:Dexi5B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALGALFLFLSCLRGAYSGKHKLFTSIISFGDSYADTGNLVRWEDPFLENLNMRNLPYGETFFGHPSGRATDGRVVLDFIADGLGLPFVPPALAKGQNFSTGVNFAVAGATALNLTYLQGQNITVELPINSSLNDQLRWFERLKPSLCAGSSPQAGSKDDCFGQSLFIMGQFGGNDYLNILINSNMTLEHATSYVPAIVNTISNGLGRLIHHGAKYIVVADIVPVGCLPITLATHPSPDAADYDRHGCLKSFNTGLSRRQNALLRRRVDALRQRHPHTKIAFAEHYRPVVAFLQDPDRFGFNGGTTLVSCCGGGGPYNQNGKAPCGAPGATACAAPSMAISWDGVHLTESAYSNVAKGAITMRVPVVAALLLHLVLRSRLLQAAVHSWPTSKKPLFPAIFSFGDSYTDTGNFVRLEGPIPFNHSPYGETLGYPTGRASDGLLPVDFVGKTFHRRRNERSDIDGHLACYITVDTVIALTGQNFSKGANFAVIGARALDDAYFQQQNITSPAAPVNSSLGVQLRWFEQLRPSLCNATKLDCDDYLGRSLFFMGEIGGNDYLAFLSARTVEDTRDYVPVVVNAIAAGAEVLIRHGARRVVVPGNVPMGCLPAILTLYASANVSDYDRNGCLRKINALARYHNELLRSSVQALRNMYPHVAIAFAEYYQPVLAFLEVPGLFGFDGSRTLVACCGGGGRYNFNVTAGCGFPGATAACEDPSAAVSWDGIHLTQAAYKDIAEAWLLGPSAEPTILSLAALPF >Dexi9A01G0023680.1:cds pep primary_assembly:Fonio_CM05836:9A:19092607:19095489:-1 gene:Dexi9A01G0023680 transcript:Dexi9A01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVDDNMGRLFIRSLSFDDMEAAADSPSSLPPAFGHGKLIIEGSLSFNRREADNVQMQALMSVRSPKPDRDYGNVSPCGGTACSSRFGPARDRPPDYPVKENGSPRHQAAALRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCESKQPFFYWLDVGEGKDVNLEEHCPRWKLHKQCIKYLGPKERESYEVIVEDKKLMYKLSRQIVHTTGSAKGTKWIFVLSTCRTLYIGQKQKGVFQHSSFLAGGATSAAGRLAVEDGHLKAVWPHSGHYRPTEQNFQEFMTFLKDRSVDLSDLSPSEGEEDGDFSLRSNQSQLDLTELCIEEEDSQEQEPQTPQRHGEDVDEAETCNEEPTLPSTNTSTPSTMKKASSDNRLHGKRPPRLLISSNNRVPLPPSSSSSRPSPSPCGKEMDPDSAMFGECLDFCKRNLFAEDLYEDHGLDDLAEVPEELILSRINSKRAMRSYQLGKQLSFQWSTGTGPRIGCVRDYPSELQFRALEEVSLSPRGGRPARFPSPRPGALTPNSIPAGKCSGSLTADGDGVSMSLSLKPRQRSATWTAF >Dexi3B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:3B:2007234:2010080:-1 gene:Dexi3B01G0003070 transcript:Dexi3B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGESKSYQLGHRLSLKWSTGAGILQLLDSYYAARIMDVKEKAETTSGPPPPRLDCMKCFDALWFCYSPFHQMQSYYRYGEFDNCFGKWGDLVDCLTVKTKRAAEVEEILIAQEKAKPHIWTFRTVDEASEHWWRMYRHVAIMSTPVPHAAQQPPKSVKS >Dexi4B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:4B:14905191:14906609:-1 gene:Dexi4B01G0014190 transcript:Dexi4B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVCLVICTQLLVVAGARPPAAMYVFGSSILDVGNNNYLPGAAVGRANRRYNGIDFPASIPTGRFSNGYNIADYVAKEMGFACSPPAYLSLAPNSSSGPLVPTALATGVSYASGGAGILDSTNAGNTIPLSKQVHYFGATKAKMVAAVGPRAVDAHLSKSIFLLGIGNNDMYVFAAAELARNTSAADQRRNAAVLYVSLISNYSATISELYTMGARKFAIINVGLVGCVPGARVLSPVGACWGRLNELAGGFNDVLRSRLAGLTRRLPGLAYSIGDSYGFTRDTLADPQASGFADVAAACCGSGRLGGEAECFPNSTLCSDRDRHVFWDRAHLSQRTAFLVALAFYSGPGKYTVPINFMELAQSS >DexiUA01G0016120.1:cds pep primary_assembly:Fonio_CM05836:UA:34300245:34303634:1 gene:DexiUA01G0016120 transcript:DexiUA01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFLAAAAKLAVLVVAAATAANAASFARYRRRHLRRIPNPIDEAADPIADFRALPSAGAGADDASAIRWLACAAAFFAEDGGFFFGLATAPAHVEDRLEDAWLQFATEHSCDDKEAVRDHKTADAVMASAAGDGGAQLASMSRREEKAGVDGEKRKPLKVAMEAMLRGFEMFVEGAESDSDDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGISVFRMGIDWTRVMPKEPTDEQLKSSVNFAALERYRWIIQRVHEYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVKYFMDFVRLVVDSVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAIAHAEAYDYIHLESKNERKPIVGVAHHVSFTRPYGLFDVAAVTLANSMTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRVLIQFNERYKSLNIPFMITENGVSDETDMIRKPYILEHLLAIYAAVIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKGV >Dexi2A01G0026510.1:cds pep primary_assembly:Fonio_CM05836:2A:38104362:38108152:1 gene:Dexi2A01G0026510 transcript:Dexi2A01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGSLKESRGVWASSLSAVVFFLPPVVDDAAANLRDHGRRRAMVAAMELEILGMDFGCVLAALSDAKIPDKDCLLPLISKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTVALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWMKALLYCGLAPTVLAGKIDPALFEVLYASQHAIFFFARVPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSGILNSDYSYHVPF >Dexi2A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:2A:15358732:15360442:-1 gene:Dexi2A01G0012940 transcript:Dexi2A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSPAARGQHKAARRLPLKAFLSLPLQPPAKRDWAELPLDLIMYVLHLLSPVELLLGGAAGVCRSWRRAARDEPALWRYVDMRGYASRCVRSHVTMDALVRAAVRHAAGQCVAFWGDAADDGLVCLLGQHARSLRSLRLISCYEISTEEFAAAITKFSMLEELEISECSGIDGNGSIKAIAKSCPRLNHFRLIKSTSYAFTVNNNDEEALAISRMRELRSLELYHNRLTNKGLTMILENCVHLELLITRECPNVTMDDALLAKCARVMIVTLRGDDYAYYYQASGSSSYVYYRGEQDWRWYQDCPTCDLFRDIRHDMDYFEYSWYWGGHFLPEEIDDYEDCSRYLDGVYVTDLDDEEHSRIVAKSARRYLKFNT >Dexi3B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:3B:1844651:1846045:-1 gene:Dexi3B01G0002740 transcript:Dexi3B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHGYDLWGLIALSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKAANEVLLVIEAYKTLRDRAPYPASFMISQLTGSYAFVLFDKSTNSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPANEEEICGATFKVESTTVLTAPLH >Dexi4A01G0023800.1:cds pep primary_assembly:Fonio_CM05836:4A:26769590:26774499:-1 gene:Dexi4A01G0023800 transcript:Dexi4A01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDHLKEAVNGLNHESLNVRYMVACELSKLFNARREDMTALIIGEDIADLDVISSLIMALLKGCAEQSRTAVGKRLKLVCANCLGALGAVDPAKIKVISCERFKIECSDDDLIFELIHKHLARAFRAAADTTVQDAAALAIQELLKLAGGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQSSYATAGKHGGNGKKMKSFPVSGLIRGCLKDLPTYQWLTVLSQLISRICHQNADVVRIVKYIITSILREYPQQALWMMAAVSKSTVPARKEAAAEILQSAKKGCRRGSQNSALFIQFPTLIEHLIKLCFHPGQPKARIINISTEFSSLKRMMPLGIILPVQQALTVTLPSYDPNMSDQSGFHPFSVSEHPTIAGIADEAEILSSLQKPKKVVFIGSDGVSRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRHILQDIYITDGKFDKMKTNPQIKKIYDTYHGKMPDDEMLKTKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLQLDKPEVVPFRLTQNMIDGLGITGYEGIFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWNKNTKSSGGEAQNPHAQRAITNINARLQGVVVGVKASPSLPLSVEGQARRLIAEAVNLSNLGKMYIWWMPWF >Dexi2B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:2B:26534853:26536383:-1 gene:Dexi2B01G0016400 transcript:Dexi2B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTASK >Dexi5A01G0028430.1:cds pep primary_assembly:Fonio_CM05836:5A:31722583:31724702:1 gene:Dexi5A01G0028430 transcript:Dexi5A01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPATPGAVIESAELVLPLLPPRDLAAAASACRALRAAAAVVTTRRAADAARGLEPLPIPFHNRVDSKPYAYFLYTPFSLSRLSPGAQPWGGSGTRAPVPTWPRPSLDGFPSAVCGCACEAEECGGPRCACADAEADAAGSGSEAGMGSLKECGDECACGPACGNRRTQRGVAVRLRVVRDVHKGWGLHAAEALGRGQFVCEYAGEFLTTEEARRRQRLYDELASAGKLSPALIVIREHLPSGKACLRVNIDATKVGNVARFINHSCDRGNLQPVLVRASGSLLPRLCFFSARDIEEGEELTFCYGDARVRPNGLRCFCGGLGCCGVLPSEET >Dexi7B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:7B:21178543:21179832:-1 gene:Dexi7B01G0015110 transcript:Dexi7B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVLSNAAVARSFTDYLASTFGVTEPNAWRIVVDGIAEGYNALDVPAVALILVITLCLCYSTKESSMLNMVLTVFHLLFFAFIIVAGVWNGSARNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPIGIAGSVLVVSVLYCLMSLALCVMLPYTEISETAPFSSAFREKVGWRWASNVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPSWLAKVHPSTGTPMNATIFLVQ >Dexi2A01G0032250.1:cds pep primary_assembly:Fonio_CM05836:2A:42830513:42831047:1 gene:Dexi2A01G0032250 transcript:Dexi2A01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSLWLRWLLVLRHFWVRRQLGLRELWHGGVRRQLGFRELRHGRVRREFRLGKLRRDWVWLLVLRQLRFSRMRRQFRLGELWHGVWRLRFWQLRLGWVRRQFRLGELRHGVLGGVRWQLGFGELRHGRVRWQLGLGKLRHGRVRVLLLGWHLLQ >Dexi7B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:7B:5252889:5267535:1 gene:Dexi7B01G0002720 transcript:Dexi7B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAAWWAVLFAAAALVGAARGDREPRHDDRFALVPVEASGGGDGGGGAAAGLRGELPCQTYSSNSRTCEGLNGSGSFNTTCVISSSSSLDGDLCVYGDGSVEIRPHVKIICPVRGCYITVNVSGSIRIGEHVELIGGSVSLDAANVSLDHGSTINTTALAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSMGGGMSADQKFGGYGGGRVMLRALDFLNVDGHVLAEGGVGSLKGGGGSGGSIVIHAFKLSNLTPSGAHKIYPLLRYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHVSLWHTSISVSIFALPSPFSYCQVTGQIRLLSKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGAKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKARRLFLSLFYNIEICRVEDITVSGIVRGSIIHIHRARTVTIVKNGTITASELGCKEGIGKGKFQKYGAGGGAGHGGRGGTGIYNGMISDGGLEYGNADLPCELGSGSGGSAVSADNMAGGGLIVIGSMKWPLSKLLIYGSMNSDGQSHRDKTGNSNVTFKGGVGGGSGGSILLFLQGLLLEKNSSLSASGGSGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASSGGSGKNDGHFGEDGTITGKKCPVGLYGTFCTVPGASKAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWCRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQVNSDLFFFGCGIKTILIVCHGQYVSTAIWNRLVAGLNAQLRTVRQGSIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKSLHPHEHGPEFGERSRFEKEFMLQYHLV >Dexi8B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:8B:25937464:25940747:1 gene:Dexi8B01G0015150 transcript:Dexi8B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRTTEKGAMALPLLLLLAALLASSSASPLAAAAAAEEQGVLRMKHQNGGYPYNHTLAHILVEYASAVYTSDLTSLITWTCPRCKGHTKGFEVIEIIVDVENCLQAFVGVSPDPRSIIVAFRGTQQHSVSNWIEDLFWKQLDVTYPGMPDAMVKFGSQVVELMTFGQPRVGNPAFAEYFRAQVPKTIRVTHQNDIVPHLPPYYYYLGQWTYHHFAREVWLHEIIKENVVTRNETICDDSGEDPTCSRSVYGISVADHLEYYGVTLHADSRGTCQYVMGAANSNKKYWVLLELS >Dexi1A01G0028650.1:cds pep primary_assembly:Fonio_CM05836:1A:34284332:34285276:1 gene:Dexi1A01G0028650 transcript:Dexi1A01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLVMAVVLMSLARLSVGSRRLMDLYIPPVSDQLTYHHGTVLSGDIRVSILWYGKFTPSQKAIVSDFLLSLTTAPNAATPSVGQWWGTIDQMYLSTAAATTNGAGATPATRVILDAQVSDEAFSLGKSLTLAQIEQLATHAGGKKGGIAMVLTGEDVTVEGFCSSRCGKHGSTAEAPQSTYIWVGNSATQCPGQCAWPFAQPQYGPQGAPLVAPNGDVGMDGLVMVLATMVAGTVTNPYGDAFYQGPKDAPLEACSACPGAYGSGAYPGFPGNLLVDKTTGASYNANGVNGRKYLLPALFNPATSTCSTLV >Dexi4B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:4B:2491369:2494172:-1 gene:Dexi4B01G0003590 transcript:Dexi4B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYRAYVPSSSHYRDSRGGYSEYLAPEGSLASSYAPRSYVVPDGPDVLRNDVALQPRAYGLDGPAGVISPALPGHSGLAAVARARGPSPLEDPSLAVMSRLAPARVPGRLLEEPAVAGRCSSLGKGAGSPDVVRHSPFLDLDGPSDDESNILFVDCLPTDCTRREVAHLFRLFEGFKDIRVVHKEPRRSGDKAYVLCFVEFESAKYARTAMNKLREYRFDDRKPDSPCLKIQFARFPFRLPTA >Dexi2A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:2A:7026928:7028091:1 gene:Dexi2A01G0007270 transcript:Dexi2A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSILLISAPSSSHQRHRLDVQLPEPSRDVNVEGADRGRSRRRQPQEEPSTSHVVKERLRREKLNERFIILRASILGDTIEYVKQLRRRVHDLESSRARQMDAHQTDTHAPVSKEKRAHSHSTSSAAMAAKERRSNKMRAVEVSSSCSTTGGNGACTEVQVSIIEGDALLELRCPHRDGLLLRAMQALHRELAMEVTSVQASSAGDVLLVELRAKVKEVHGRRSSINEVKRTIHQILSPY >Dexi1A01G0022270.1:cds pep primary_assembly:Fonio_CM05836:1A:28967924:28970375:-1 gene:Dexi1A01G0022270 transcript:Dexi1A01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRSSRAAAARRRGGAQARRGRIDEQAALHRSQAVSEPMMGVLLALLSAGAANCRVLWSVSETWPGTYERFIGKPHSQERMTAGSYMPDAWEAIRPVSAPFALNPTHPPISKSPINKASNNNGGGGSVCGGDDGGGVNGGAFPQASAYQRVPPAHPCHLPPPPHPEMPPRWAPHPRGGGGGRCGRELPVAFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFAGLPSAAYPTPFVAPGEESTRYAVIVGGANFGCGSSREHAPVALGAAGARAVVAESYARIFFRNSVATGEVYPLELADAGAWKECKTGDVVTVDLGNSVFINHTSGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIASKAAA >Dexi6A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:6A:6085002:6085745:-1 gene:Dexi6A01G0006350 transcript:Dexi6A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFDHLSVEFKPATIARHDGTAAVATEKIKNLKVSFTGEFVTELKAHVVSGGAHQRCSTFQCLLACVWKKITAARGLDPEDFTQVRVAVNCRGRADPPLPASFFGNMVLWAFPRLKVMDLLTSSYGDMVATIGNAVARIDGEYIQSFIDFGVVVDDDGRKGEDDDVVATAATVAGTVLCPDMEVDSWLGFKFHQTDFGTGPPCAFVTPGIVVDGLMVFVPSSDMKGGVELFIGLMEDHVEDFHKIC >Dexi8A01G0016460.1:cds pep primary_assembly:Fonio_CM05836:8A:27896840:27905502:-1 gene:Dexi8A01G0016460 transcript:Dexi8A01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSPSPPSSRPPPPPGYFDPESSGRREEYRRYRKRLSSSNVSPLLGSSLSKSSEARLLYDGDSIPRRPNAGLLLEDIKQEAEDYSNFEGLDGSRMFSSAKRRGSLDGGSASDAAYSSGRQAVRQALKSVKLEDDMYVPHEGETSFTMFASLLDSVIQGLMPIQDVILQFEKACRSASESIRSAASGKLRVVEDKFMQQKAQLLLDEAASWSLLWYLYGKGNDELPGHLFVSPTTSHQEACRFVAADLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQMYLKRKNNDSTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLDEASELCRSAGQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLIDLLWSWLSPAEEDQNVARPLDDPEMIRFGAHVVLVLRYIFSDEMEDELEEKLVTVGDLIVNILHTMYKLFLSAVEYLPFSSGDPSKACFEEIIERVLSKSRQTKPSKYEEDFSDVAHQHHLQSLQKAMIIQWLCFTPPPSIPDFQMITGKLLIRALMHSNTLFREFSLISMRRVPELPAGPHKLLAILAEPLKQKENLFSLEDQEVSDNLQEFEDWHEYYSLDATYRNWLKVEMQNAAVSPEMLSAEESDQAVAAAKETLELAFLLLKKDERPWLDAVESSPFESSEPIFLELHAAAMLCLPSGECMLPDATSCTALTSALYSTASEEDVLHRQLKVDIQVSPRDPCCIEVALRCLAAEGDGYGLHEANDGGLLAAIMAAGFKGELSRFQPGVSMAISRLDAWYSDGNGSVESTAAYIIRGLCRRCCLPETILRSMQACISLSAAGVSLDDCYKLVELVGSSESGMMHLFSQQQLQEFLIFERECLISKMELEEEQQLASDG >Dexi2B01G0032540.1:cds pep primary_assembly:Fonio_CM05836:2B:40410667:40414560:-1 gene:Dexi2B01G0032540 transcript:Dexi2B01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLIDDLEMLEEFEELDYVVSGVTHPDEPFGPDKHRYDTTSAEEYRNGRDMQAIPWERLEYSRDDYRGMRLKGYKNFESLSWSHDLLDSECKQVEKEGTFYDFCFNTRLAKSTVGHFQLRDLVWATSKHDVYTAQHCSVRHWSSLLQRGNEVINVFPKKVCLLQHEPFFFLFPTSNRVPTSQHVRGARPPFRMQICTMAVRDKLMVAGGFRGELVCKYIDRRRVAYCANFPGNGDNTTNSVDVYETPSGSTRVMVANNDHIVRIFDTDIFKLLSLFRMRWAVNDTSVTHDGKLVAVLGDSPECVIADLQSRKEIATLKGHLDHSFSSAWHPDGRVLATGNQDTTCRLWDIRNLSQSFAVLKGRIGAIRNLKFSSDGRFLAASEVADFVHVYDVNADYSKEQEIDIFGEIAGMSFSPDDEALFVGVSDRMIGSLMEFRRRRRYDYMESYM >Dexi3A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:3A:3478093:3481033:-1 gene:Dexi3A01G0005380 transcript:Dexi3A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACASPSIGVSIKCAPKAFWKSSVPGALKSVASFPVSRTLDGLYSPIIVKNHACGSNTAFKSRGLNRALSSTNGSLKVPEQIQEKSCIKLICSFDPEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDITNSEAVISFCSNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCVKYNIPTAKYQTFTNPSDAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDTMLVEGSFGSAGKRVIIEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGKVSLTWSPELATVVVMASEGYPGAYKKGTVIKNLDEAEQVSPSIKIFHAGTTFDTDGNFVAAGGRVLGVTAKGRDIEEARTKAYDALRVVDWPEGFYRHDIGWRALKHRHMAAH >Dexi8A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:8A:6902822:6904827:1 gene:Dexi8A01G0006650 transcript:Dexi8A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFSSRAMRPEGGVEARRMGSAGERFDGIDGVDGTEVPMGHGGNTQRERKRKRRGTRSICGPAMRTGGAAKTYGVIDEVPVNLERVGPAMDPAANLCHAVTAYPSPSFMPFLMANALPQTSTHHLVSPSLTADGYEAPPLLKMSCSRRSSLHIPSLFFPGLCHGHGGRLRP >Dexi3A01G0030710.1:cds pep primary_assembly:Fonio_CM05836:3A:35039768:35044966:-1 gene:Dexi3A01G0030710 transcript:Dexi3A01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQMAPQVTKSMGLSTPPHHHGSGVSPVPGYLRPPAGHHDDEFEDKEMMTTTKKKPHSKPRKQQPAESQSRVMVKVRSVFRRHVGDSSRADKAAAVTYAGEAKGGGGTVDVEWKDIVAYDTATAPLPDVPHGSSPHPDKISTTIAGLAGSGDAKKKKEDVKKEKKPHDQATITDGVETEATQDESLEKKANTDGTTESIKLPNITTQTSLQSVRKPPFQPVGGVVWILGKKKKKKPTSLLVGKMVTMDQEVLHGYEILSPSIMQSHTTLLHDLEGEMAHSAERGKQPCSLDEEEYAAVVAAETSRPIPAHRRVKSMSINSRSVWYPITRQASKNSAVTFKPRSRSTRALITPPEEEEKSVVAEEAERAKPPCSLDEEEYAAVVVAETMRPIPAHRRAKSMSISSRSVWYPIARQPSKNSVVTFKLQSRSTKMPIAPSEEEEKSTTARMRSRRVEDASSGNTCDRGTHLRIRSFRRRGLGDGGFVVPAVTLRHQKTLEKKKSQMLYNNVIEETASKLVKTRKSKVKALIYNRLDSYLLDGTPISNVTTSFPMELQMAPQVAKSTRNTHTTPPHHHHHLGGGARPVPGYLRPSTSTASCHHVCKYGGTHEFEENNNDPKKKKKQQLHPKPRKPPPQQPASSAESQSSRVMVKVRSVFRRRVVSDSSTTADDKRSSAAAGMAKQGGGEAVGGVEWKDIVVDDTTTVPKANAADVTKSIIKPPKGKTIVTKKKKPTSLVVEEMAAAMDQEALHGYDTLSPSVMQSRVSLLRDLEEETAAVHGGAAAAIAEEEEEEAESAKPPPPCPLNEEEYAAVAAAETKRPIVPAHRRAKSMSIGSRSVRFPSNPIARQASKNSGVTFKLRSRSTKAPIAPPEMEEKPATARMRSRRGGEDGSSGSIRGIQLRIRSLRRRGLGGGSGGVGAGGFVVPAVALRHQKTLENKKSQRLYNNVIEETASKLVKTRKSRVKALVGAFESVISKIAK >Dexi1B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:1B:17458091:17458856:-1 gene:Dexi1B01G0012740 transcript:Dexi1B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSPPPPPPMIGRAGNLTVFITPPSPASRPRGTSRTPPPESPRSDFSTPTPQRAAPSPSPSPSPRKPASPAAAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASSVGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYEATGKLLFCGISNGGWSI >Dexi2B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:2B:9487630:9487878:-1 gene:Dexi2B01G0008770 transcript:Dexi2B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRASRSRTPPPTAAAAPPAEASPSPCRRRRADMALGLASSQRSHGLGRDLGGTEDKGLGHRYDLAARGMEVWFSVAIDDG >Dexi3B01G0035400.1:cds pep primary_assembly:Fonio_CM05836:3B:38254986:38260441:1 gene:Dexi3B01G0035400 transcript:Dexi3B01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSTNNFVGRSFWEFDPDLGTPEERAEVERVRHEFTEHRFNRREPADLLMRMQNEDGGWGTDVRCSSTMFGTCTNYVTLRLLGEDPNDKNTALAKGRDWILSHGGATLIPEWGKIWLSNYGSLQRKLWCLCRMLYQPVAVLYGKRFVGQLTPTILALREEIYTVVYDKIDWNEARSACAKPLNMVCCWVEDSNSDAFKRHLARIPDYLWLEEDGMTSLVQITLPTNFISLVKQPPTADSEAACSSSELRAKVLWNHPGDLSYWHRHITKGSWAHSTEDNGWGVSDNTGEALKVIYSCSHFTS >Dexi2A01G0032530.1:cds pep primary_assembly:Fonio_CM05836:2A:42983636:42988416:-1 gene:Dexi2A01G0032530 transcript:Dexi2A01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARMRGGGGGGGAAFADDARELDRQMGCMAGIFQIFDRQRLITGGGRRGGRQAQKRLPPPSSTPDNTVPKSSYNVPVQSSSTSTTVLEKTFSKCTTENSSLSIESSRASSSSSSCSSFSSLDGNKSVQQELPDINEELFVQRSLKSSPSLKETERNSKSAHPNVGFRDIVKDSINRDSGGLTVKTSVQEARRNGQYKDSPRPLLLSKSMDGTCIIGIDRSTKVPATVTESNRRFQEQSRFSCDDRRLLRPAETQENRKPSSRLKELPRLSLDSRKESLSPSSRQKNFSYKRTDDILLDTLRPQDSPSHRRANSVIAKLMGLEEATNATGVLTADNHETSRSPRPAQATQHEHPSRSPRSTCQDSHMLQLKNDSSVLKTKPASRILTEAAPWRQQERSANNIKAQQCREAEAGTRTASVYADIERRLGGLDFLECNNKDFRALRILGALNAKDAKSQNNSNAGSVAVQRIEYDLTTNPGRFQPPIVVMKPARTTDKPGVSLASVAPIAGLRSLRKLPARDSSSTGMNDKIHLRVSRAQSKSEEPVSSASSPRPTSSSSPRNLQKKAESERRSHPPVSPKSPSKKSNEAVSPKGRIRSKPSQVKSHRDEVLPSTGSRISLAKQVDVSIMDCPKHPGVNSSVAQPCNVATTSSFKGPSILGSDQNIHPQDNIPSPVSVLDTSFYHKRISDSFKDGETHSSDECWNPNSLPDTPQSKASSEVSQIKPENLEVLIQKLEQLQSMNEEAANIKEVMASVTANKDHQYIYDILVASGLLYKEISFTALLGQFRSSSHLINPELFIILEQTKADIISEIQTVSGAKKFSKPCTEKLHRRLVFDMVNETIAQKMNICKSGSQPVKFLQSKKLSGWQLFKDLCTEVDRHTKCPEEDENMLAVADEVDGTKDLMSFDTVLHGMVLEIERSIFKDLIAEVIDSGATEKMQIGQWKLRRQLSFSSVN >Dexi1B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:1B:5968636:5970136:-1 gene:Dexi1B01G0007210 transcript:Dexi1B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMQEMSLDYHFKVEQEASSSVCAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIKVTIWKKIHVIYNFFLIRKIIAHIITFTFYCLVIPATIFVPEVRIPKWGCVYLPSAITLLNSVGTPRSFHLLFFWVAFENVMSLHRSKATLIGLLEAGRANEWVVTAKLGSAMKMKTANKAGLRKQFMRIWERLHITELGVGTFLFSCGWYDLAYGRDHFYIYLFFQSVAFFVVGLGYVGTIVPQS >Dexi7A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:7A:24049792:24051888:1 gene:Dexi7A01G0014080 transcript:Dexi7A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERCFVFVLFAFVCCSSSRIADAYDPMDPNGNITINWDFQSLNVKDESTYTVMVSIQNHQLYRHIERPGWRLSWNWSGKEVIWDTRGAEATEQGDCSRVLGPTRPHCCLKRPVMADLLPGAPYNQQVTNCCRGGVVSSTTQSNLTSTAAFQMVVGEFAAAKDSGGAEPEMPWGFDIGVPGYSCSNATKVPPTRTKVDTQRYVQVLLTWQVTCSYSQYRESAAQSCCVSLSTFYSEDIVYCPRCSCACQGSPTSPQCVRQSQFLPCSLTLYNLIVAPPYIYIFLIRALFSFSGGEPRPRALPAAGDGEEVAPLVRCSEHMCPIRVHWHVKVNYRKYWRVKVTVSNYNLVKNYSDWNLVLQHPNLRSVTQLFSFNYKPLVEYGTVNDTGMLWGIRYYNEMLLQDGNVQTEMILEKDKSDFTFSGGWAFPRRVYFDGRECVMPPADKYPALPNGAASATAKRRRSLLAAPCLLLLFFLALI >Dexi2A01G0000910.1:cds pep primary_assembly:Fonio_CM05836:2A:525735:526731:1 gene:Dexi2A01G0000910 transcript:Dexi2A01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVSRRPPATAAAVLLVALLAAASATVCAAQLRRNYYAGVCPDVESIVRAAVTKKYRETFITVGATVYLFFHDCFVDGCDASVIVASTPNNTAEKDHPVNLSLAGDGFDTVIRAKAAVDAVPRCRNRVSCADVLAMATRDAIALAGGPSYAVELGRLDGLTSSANSVNGKLAPPSFDLDQLTTLTFVGRIRGSSAPDTTMNASLAAKLREWCPVGVDPRIAVTMDVVTPRVFDNQYYNNLQVGLGLLASDQLLYTDPRSRPTVDAWAKCSDAFSKAFVEAITKMGRIGVKTGAQGNIRRNCAVLN >Dexi9A01G0021000.1:cds pep primary_assembly:Fonio_CM05836:9A:16008472:16010820:-1 gene:Dexi9A01G0021000 transcript:Dexi9A01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGEGEAGDDYTKDGSVDLRGNPVLRSKRGGWTACSFIVGTRYYCAFNHRIQRRPSRSPGSPAAMCLAGICFVLDCIRSWICAWTHAVYELFERMAYYGVASNLVMYLTERLHQGTVEAANNVTNWSGTVFLTPLIGAFVADAYLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDAGATTCPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPAERLRKLSFFNWWMFTVFTGILFSTTVLVYLQDSVSWSWGYGVPTLALAASVAVFLAGTPLYRHKLPQGSPITRMGKVVASAVWKCRVPVPDDLAELHEVELEHYASRKTFRLDATSSSMAFLNKAAVKSEDAPGWTLCTVTEVEETKQMARLVPLLATMFVPCAVTAQLGTLFVRQGATLDRRLGRRGHYFQVPPASLGAFVTLTMLACVAVYDRALVPFLRKRTKNPRGITLLQRIGAGLVLQVATMATTAAVESRRLAFAGTHAVGPGAPLPLTIFVLLPQFVMMGAADAFLVVGQIEFFYDQAPETMKSLGTAMSLMANGVGNMLSSALLAVVERATEGRGRTAWVGNDLNASRLDCYYAFLAALAAANLAAFAVLSCRYEYRAESTEAIGVTARVVHSEPDAAVAAP >Dexi3A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:3A:10460797:10462098:-1 gene:Dexi3A01G0014330 transcript:Dexi3A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQLLALVAVLVAAAACSAAAQPATAEAAPDSSPDVGGIKVSFRPSVAIVVGIFTMIFSLTFLLLIYAKFCHTSSSSPLPTTDPPGINGAAAAAVAPTQAGVPKPVIEALPFFRFAALRGARQGMECAVCLARFDDGDHLRLLPRCRHAFHLACVDRWLESNASCPLCRTRVDAEDASLGLKYPSSARIVFGGGDGMSSSGRLDDGDAGSGRDLLDIFVERVPSTRFLGGAASKSKQDAPARAPSSPELDRHKHRIIVSDVVFKSRWSELNSAALIALDTEMLRSMSSGRFSLPSDSPEHNEAAKLSTSAAAAEEEAAGGVVETTRGETERKRLLVDGRRGGGRCSTSAAVDAVAPSAARMISSGVRSVSEIVSLPRLRGAARERLSEEENRRWLPIARRTARWFAGRARGEREDDDEGDAGVHVVAAHV >Dexi4A01G0024750.1:cds pep primary_assembly:Fonio_CM05836:4A:27468814:27471668:1 gene:Dexi4A01G0024750 transcript:Dexi4A01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPPPPPRRCGVVVAVVALVLLACLQIQYHHLKVDLGKAGFASGTQDNRIHSGTSRKPAPATTNSLSLPRGIVERHSDMYLRPLWDDSATATHKSKKDDHNALLAMAVGISQIKNVDIMTRKFLKENYAVMLFHYDGNVDGWRHLEWSDKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVENFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRWVEGMAPVFSRAAWKCNDLIHGWGLDMKLGYCAQGDRSEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELERFKERWNRAVREDEGWRDPFES >Dexi8B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:8B:27409484:27412466:1 gene:Dexi8B01G0016270 transcript:Dexi8B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLRKVGNVPLDQLDEELRIWARNIRELSYDIEDVVDTFLVRVKGRHPHYLQGSEGLVKRMVTLFKKGSTRREISKEVKDIKNRIKEVANRRERLPNGLRNMASLEVLETVRVDEHSINIVEDLGHLCQLRVVHIDFNLQRWEGLRESMGKALMESLNNLQKIQSLEITDFNGEDNHMKEGWVLPPRLHRFVMWTASSISTWIKPASLPLLSYLDIEFHKIGGNDIQILGMLPSLHHLWLGVSGHIQELPMEERFMVSAEAFPCARVCKFFNFVMVPSIFPRGAMPKVEHLEFCIRSRHFFADGDLDLNDLDMGHLPSLERVFVHLHSERVDKEKVIEVEMGLRHAVCVHPNSPSIDVRHH >Dexi3B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:3B:5994918:5995331:-1 gene:Dexi3B01G0008590 transcript:Dexi3B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEEKAAAAAKQQVVAARSGKSRTPGGGGRRRSSSVDMDVETPAAIPAAAMFSRSYSTTAAVSAAAVDGGGGGRHQAARVVVGEVDGAGGREHKRLGAGARLSRKIKEQRARFYIFRRCVSMLICWHEDADE >Dexi7B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:7B:24988440:24989804:1 gene:Dexi7B01G0019510 transcript:Dexi7B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFALVLCLQVQAGSQPQRIGWKLTRTCCEYSPDTSRKRQAEYEQQPQNVDLPELQPKNKKKPFPVPIKKMLQDSRRDKRLAQMRIEKPLEAPKNGLLVPELVPVAYEVLDNWKVLIRGLSQLLNVVTVYGCRKCPQIHVGPVGHQIQDCYGSGSQRRNSHHSWARGSVNDVLIPIESYHLFDPFGRRVKHETRFDYDRIPAIVELCIQAGVDLPQYPSRRRTSPVRMIGKKVISHGEFVDEPKPHRSEDCVSLLAELDAFSNQQGQSPSPLNVKELAESTLKAYLSVRRGVEQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVIDEVIPPNYVWHVPDPSGPPPRSSLRSFYGKAPAVVELCVQAGAAIPDEYRPMMRTDIVIPDYEEARWAA >Dexi4A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:4A:22217759:22219782:1 gene:Dexi4A01G0018220 transcript:Dexi4A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESRDKKKMGIGDGSSNGNQQSARKEITDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQASVIINNIGVLIVYMIIIGTLLNLAFCHSLLILLLIILKYSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLILCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNKRFTIITIALLAVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKVLAVTMIVLAVLSNSVALYSDTMNIFRKKEVA >Dexi2A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:2A:33760583:33762146:-1 gene:Dexi2A01G0021760 transcript:Dexi2A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGRGDQKELGGDSASAMAMGVDSISSMTKGLKLFRSWLRIHCRQVGRELTGSGFGRVAMGVAPEGRCDSVIRPFSHLSAASSFRHPLPTGLARRSRLWRMDTVEVCLRFALLVFITFVLAPMIPGKATLTNPGEVAPATEPLPGGPTISDGPSRAGLVLKGVEPITVGHFPSMVDPKGCAVVGRVVVVEFAPFEAQCPHAQDLDVSWVDPMRSECAIQNLNVAPPEPLAAVPIPTPAPIITYWRRPRPGATASMVETTRSTPPITPTRLDFGDDEPSDCPVSAGQDVVPRRVHKGPQLLSMPLLCLPSTEDAIAKTSAFLAGITLATISPLLGNFPAVARVPLPTTATPGWRRSGRLAAQPLNLTVRPSKKGEILAMKRLGFLCNGSRNDSDIEAVRKEFDCFFKETMDVKNLSALRDLLPAARVLTDEELMTAVRHASTVVGWGLSPCPPSPTSLA >Dexi9B01G0036000.1:cds pep primary_assembly:Fonio_CM05836:9B:37609754:37609996:1 gene:Dexi9B01G0036000 transcript:Dexi9B01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGLPVDVDRTGESNVSDEEEADNKGEVPVTTALDTQLPAQVGERNPASDLMLFQTNAQQEGPGYSGLQLTPPATALNT >Dexi4B01G0009530.1:cds pep primary_assembly:Fonio_CM05836:4B:6903757:6904422:-1 gene:Dexi4B01G0009530 transcript:Dexi4B01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDPTPTAGAVSIDVRGGSPRSRSAIRAAPASPPPGVGRKRRAVARGVQSALSKTSMLANFLPTGTLLTFEMCLPAASGDGSCSAVSVAMIRALLALCAASCFLFHFTDSFRSPEDGKVYYGLVTPTGLSLFRTGLGVEAPREDRYRLAFVDVVHAAMSVLVFAAVALADYRVSGCLLPGHRKEMDEVMESFPLMVGAVCSGLFLVFPNTRYGIGCLAA >Dexi7B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:7B:20692196:20693554:1 gene:Dexi7B01G0014460 transcript:Dexi7B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFSSHRTTRVAVVTGGNKGIGLEVCRQLAGNGITVILTARDEARGAAAVEKLADAGLSGVNFHQLDVTDAQSIARLANSLKARFGKLDILFSGMDRRQRAEWIWANCRETFDAAKSGVHTNYYGMKNVTEALLLQASSDGRIVNVSSDNGLLRHFRNEELEQELNDVDKLTEERLDELLAMFLKDSEAGEAKARGWPMYFSAYKVAKAAMNAYSRVLARKHPKLRINCVHPGYVKTDLTRHLGLLTPEEGASNVVKVVLLPEGDGATGVFFALGQEALFE >Dexi9B01G0043160.1:cds pep primary_assembly:Fonio_CM05836:9B:43195785:43197744:1 gene:Dexi9B01G0043160 transcript:Dexi9B01G0043160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRCLAEPLESHYGGGIIRNANFSAGLHGWSAFGYGVVEEGASASGNKYAVALNRTRPYQSVSQKVYLQNDTHYTLSVWLQVSNGSADIRAVVKTNGRFIHAGGVEARSGCWSILKGGLTAPAAGPAELYFESNATVDVWVDNVSLQPFSQEEWAAHHHAAIKSARKKTVRLRAHDGTGKPVPGAQVRIEHVRSGFPLGSAMSGEILHNPAYQQWFTSRFTVTTFENEMKWYSTEAVQGHEDYSVPDAMLRFAKSHGIAVRGHNIFWDQPSQQPGWVKYLSYPQLRQATARRIKSVMSRYAGQVIAWDVVNENLHFSYYEGKFGSDASAAFYRKAHQMDGNALMSMNEFNTLEQPGDPNAVPGKYLGKLFQIKKFPGNTNDGRMAIGLEGHFSDNPNIPYIRAALDTMSKANVPIWLTEIDVAPGPNQAGNLEKILREVYAHPAVHGIILWTARHAGGCYVMCLTDGEFRNLPTGDVVDKLISEWQTRSHAGVADAEGYYEAELFHGEYKLTVSHPVANSTAEQSLSVDSDTDPNSVYNIHI >Dexi8A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:8A:9908850:9909730:-1 gene:Dexi8A01G0008380 transcript:Dexi8A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYTFPNYTQGYLASETFTLGGDAVPGIGFGCTNMSEGFNGTASGVVGLSRSPVSLVSQLNASAFLYCLTRDASKTSPLLFGSSSLLTGAGVQSTPLTSDPSDSVYSVYLHNISIGDVTTYDYGFRYVLVDSSTTLTYLEDPLYSDAKNAILLQTDLARAPDRDGFEACYVAPSDGTSLDKAVPSMVLHFDGADMALPVGNYFVDVGDGVVCWVVQLSPYWDQSIIGNAMQVDFHVMYDVNNSFVK >Dexi2B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:2B:30674112:30676743:-1 gene:Dexi2B01G0020650 transcript:Dexi2B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFSSSTELGMEVTGVEFNTMKLDDDAEMNNVNVGGLENLMDADEEVKPCWTKPSPKTQPSNGFVTFSLTMGPEYHISQITDAVVVARYLGATLVLPDIRGNELGNKRKFQDIYNVDKFIRNLDGVVEVIEELPDEDDIIPAKKKGEFLKSSNADLARALDLEICSQSDVFIPAVPGLFYGHVTGRRIALGHTQILVPYQSSASTQASDFISTYISNKNHLAYKCYC >Dexi5B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:5B:2573454:2576198:-1 gene:Dexi5B01G0003720 transcript:Dexi5B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAAGVLTELEGGGGSRLASRDGGSIRRRRRQRRPRRGAVAAPAAVQRLFEACREVFNGITPGAVPPLAGIERVKSVLDCVCLLTPLIIDVAFQGSRLAKVKADDILTAPCETSVLYPEDGGNMHCFTAQNACAVLDVLVPPYDDGSGRHCQHYNVSSSAFSVGKI >DexiUA01G0016850.1:cds pep primary_assembly:Fonio_CM05836:UA:36019997:36020577:1 gene:DexiUA01G0016850 transcript:DexiUA01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLNEVIARVDTAIEEGVISRMNELLIELSDDAALSREDRYSQQQRLRTAIAHHGKQHKEEMDARQELLTKGAGGQNWRLATSHAPTTSITAPHTGPISARKGMPLLLSTSITSPPINWLATSTAMVPLILAKTKNDPNSPGINVHQRIQQNADKQHNANQRI >Dexi3B01G0032430.1:cds pep primary_assembly:Fonio_CM05836:3B:34809878:34812275:-1 gene:Dexi3B01G0032430 transcript:Dexi3B01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQDVESPLLAVDGKPAPEDTKKGSSYALVCALLASFTSIIYGYNRGVFSGAQEFVQADLGVSDGQLEVLIGATSVYSLVGSLAAGWTCDRAGRRRAVALSAAMFLAGSAITAAANGYATLMAGQFVAGIACGFGLVVAPVYITEIAPPSSRGLLSSIPEIAMNSGILLSYIADFALAGLPKTISWRLMIGIGAVPPFFLAAAAMLAMPETPRWLVLHGHTDEARRVLARTAAGDADRHLQEISTSVQEATKQQAGESSKGVWSEMLLRPTPAVRRVVLVIVGLQVFQQASGVSALLLYAPRVFSHAGIRSERAVLGATVFFGAVKTVAIVIPLFLADRLGRRPMLLTSAGGMAASLLVLGVSLWAPVATASWWAVPTTCVAAAAVFMGTFSLGFGAVVWMYVSEILPLRLRAQGTGMGTAVNRVMSAAVGTTFISMYKAVGMANSFFIFAAFTVAAWVFVYTCLPETKGRNLEEIEALFDAGSAPSSQDTQS >Dexi4A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:4A:8470111:8477325:-1 gene:Dexi4A01G0010580 transcript:Dexi4A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAEEITAAAGGGGGEGAAAAEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRLEREKARQYAAADLSEDLSEGEKGENDHEPSIHDENMRTRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPISSEHSGHEMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVSSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQILKQGRQTRDEINATYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCFGRYMPRMIAIPPGMEFSHIAPHDVDLDGEEGNEDGSTSPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNASVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYRLVSDKHLWAQCRQNGLKNIHQFSWPEHCKSYLSRVGTLKPRHPRWQKSNDATEISEVDSPEDSLRDVHDISLNLKLSLDSEKSGSKEGNLNTVKKHLEDAVHKLSGGVSASRKEGPSENGSWPSLRRRKHIIVIAVDSVQDADFVQVIKNIFEASSIGISSGSVGFVLSTSRAMSEVHDLLISGGIKASDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMVDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNECGQNVVVEDEECSSTYCISFKVTNTEAAPPVKEIRKTMRIQALRCHVLYSHDGSKLNIIPVLASRSQALRVDGLATRS >Dexi9A01G0032630.1:cds pep primary_assembly:Fonio_CM05836:9A:37558929:37559934:-1 gene:Dexi9A01G0032630 transcript:Dexi9A01G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNVEESDLGDWEASRVSDPQPPPKPTKDTESIYLGPHGAPPSRGKKPEDASSTTGYRDKNNKAREAGDQKALGSGRNTRGGNAGDFHRQNGASHGKDLFKRAG >Dexi2A01G0034850.1:cds pep primary_assembly:Fonio_CM05836:2A:44683509:44685492:1 gene:Dexi2A01G0034850 transcript:Dexi2A01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Dexi2B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:2B:26037405:26042105:1 gene:Dexi2B01G0015930 transcript:Dexi2B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLHAAGRQSETRPRLRPPVRRHRLVAAVPPVRSLAIASSSQAPRHAQDSAARLKPSTLRPGDTPRWLAMSIECLVLGAGQDVGKSCVVATIGGRRVMFDCGVHLFCRGRSSYPEFDRILAASGATDFTAAISCVVITHSHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPMMLEDYRKVMVDQQWEEGQYSYEDILRCLKKVIPLDLKQTIQVDKDLVIRAYYAGHHRCCGYFSVYTTEFYFSFCRSTYAKTTRDSRRAREREFLQAVHKCVSGGGKVLIPLFAVGRAQELCMLLDDYWERMNWKIPIYFSAGLTIQSNTYYKMLIGWTSQKIKDNYRAHNPFDFKHVRHFERSFINNPGPCVLFATPGMISNSGGFSFEVFKKWAPSEKNLVTLPGYCGPGSIGHKLMSGKSTRIEVDKDTHIDVRCQIHQLAFSPHTDSKGIMDLIEFLSPKHVILVHGEKPLMAFLKERVESELGMPCYYPANNESVSIPATKNLKMSATERFITSCASEQTEDNLRKQKLICGSNMSEANTDRKLAEGILLMEKNKAVKILCEDELVQVLGMERHLVQNEPMVSSRTGAAGE >Dexi6A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:6A:3908847:3910040:1 gene:Dexi6A01G0004250 transcript:Dexi6A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIWRSKQQPSPLNLGEFRRDADWANVGEGPAGLIAERLLAAGDIADYISFRAVCRQWRICSTDPRAHGILDTRFLPRQWIMLREEEEESEASPHRRRFLNTSTGCTRRLDLPELDGQDVFGPTTEGLLVLLDTDTCVLRLLNPLTRHMADLPSTSNLVTLEDLRHASCMKNLFQICGAGLADDLTIAVHFRNIKRIAITKPGDAHWTVVDRGSWFLPAMSFEGRFYCATTKAVMMVETSSADHQPPRLVTAAKLTRPFSRMMEDTVHLVDIDGKLILVDRKCNGNDHRKFEVYQVDLVAREMVPVRGLGGRAVFIGKQLALSVSPSVFPSVCADAIYLGFDDMMTGRFDRSPVHLMDGTAEPRQYHQYSIGGMPVYEPLRVDEHLSWCVTGYRVN >Dexi3B01G0025160.1:cds pep primary_assembly:Fonio_CM05836:3B:19981883:19982409:-1 gene:Dexi3B01G0025160 transcript:Dexi3B01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAALLPLAPSPPHPNQRLHLSRCGASRRGFTVHTAIAIASASAAAAAAEAPPSPSPPPSPQAQTPPSKQGGSPVLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDYNAGMTYYGDKVKPRAYAARFASPDR >Dexi1A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:1A:311719:312399:1 gene:Dexi1A01G0000530 transcript:Dexi1A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAIMSNQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWEPSAGFEFTLDAQAADIYPSDDGLICASHAYAPKGRWADWGIGHPLPSWGEVRDLQGQYYQGTFHQSVNCPGFIAAQAVSSLQIREDSSEITSPSQGAAAATVVNKMLGGANRINLYREI >Dexi9B01G0026840.1:cds pep primary_assembly:Fonio_CM05836:9B:29241848:29244769:-1 gene:Dexi9B01G0026840 transcript:Dexi9B01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAIGRSGPNESPISSRGRPSTPSSNHRPSTPSSNHRPSTPSSNHRPSTPGGTRRSSVGTHSTPRSRTNGAGPFKSEPNSPPSAAAQNARPRLSFDRSPRSADSKPVVERRVPKIGTPPDKQPRKEAELQARLESAHEDLKKAKDQLAFIVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRGQHAADLESLVNTTEELERLRRDLSMANEAKKAALGHADDAMKIAEVNAEKVEILSNEVVRLKGLLDSTTASEESKNRETEVLVKNLESEVSSLKMKLEEAKVLEERLAEAEKTIEDLKSQIADAQKVESDIRQQLEEWKEKAGSFEMKLEEVTLSEKFKSDSLASMTEELDRTHSMLQDRESEIEVLKGKTTALEIEVARLLAEVNDSTEHLDASQQEVFGLQTTIDVLRNKLEAAELAASEAMDNEKTANMKIEGLMEEKTKLISELEDAVDREEREKRAVEDLTAALDKASCEAQEAHDRFQKKEDDYEHALAQIGDLKMALKSTEESYEVMLGEANHDITCLRENVEKLETEVSKYKEECESKELDIITASKQSEQEIAALKVEADQVAASLRGAEHELEAINEEKERLQEKLAYTEAAVAEANKAVQEAKAEKERLHEKLADTESAVAEANMAAQEAKTQMEKLQEKLTCTESAVAEADKAVQEAKAESSQLRERLLDKENALQNLTQENDEFRMREADAMKKIEELSALLAEAMTKKHPEEEEKLVVVDEAHNSVREEVTRSVAENEDKEESDDRKPKPEVDAVDMKSNGDMNHEEKDDSKVEQEELKIERGVQESDKVVFEKETQVENRKQETESSNDELDSKKEDSSTENLNGTAATEDTASKVAMSPTKPPQQQKKNKPLLKKFGSLLKKKNSK >Dexi5B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:5B:15596543:15596752:1 gene:Dexi5B01G0016540 transcript:Dexi5B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIGEGRIEEDNSARRRRVRPEASPCRRVGVTFRPPEMRPAGPEGKRNSVLGSEAAPAAEAAA >Dexi3A01G0024480.1:cds pep primary_assembly:Fonio_CM05836:3A:20133884:20140558:1 gene:Dexi3A01G0024480 transcript:Dexi3A01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGMPGSPVGSAAAAAAAAAGGPAAPEVAARDAVIGWFRGEFAAANAMIDALCGHLAQIGGGGAEYEAAFAALHRRRANWFPVLHMQKFYPVADVTAELRRVADARAAAAAAAGSCCYSEEEAASTVIHEPMEDLPAEPEHEPEPEPEQDPIQQDPAPETEEADGAASASASVEYHEQDAEVDSSGDSSERKAASTEDDTVADGHRRPNLSHGCGLDETCDSSLDDGAPGRAVTVAVDRGGSPSSLQPPALVAGVYALSLDDEIVLEALSRCCGKICPSVRDSLESYLCFPFYEHYDEVISFSLPESYPICSDHEECIARPERIKIQKGFVAKESVNVVKGLKIYEDVFTTSEIVKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEEANCHIEPIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPVDLSPLPSPTKAMTPWQPQPGAAAPTCMAQKAPVNGGAVIGYAPAPQAVLAPTAWGMAVRAPVMMVAAAPARPMVMASSGAGAAGGNVGKRMGRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >Dexi5B01G0027410.1:cds pep primary_assembly:Fonio_CM05836:5B:28966013:28968459:-1 gene:Dexi5B01G0027410 transcript:Dexi5B01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIGRPRTFKKKEEEEEEEEEEREESEEESEDESDEKPRGA >Dexi2B01G0032410.1:cds pep primary_assembly:Fonio_CM05836:2B:40304404:40307319:-1 gene:Dexi2B01G0032410 transcript:Dexi2B01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAVAGSSRGRCFSFAAARDRCFSHRFLRAGLRPLAIPLPAPARGPPSADPDPDPAAAAYATSVHMWVPSKPPRNPLLLLHGFGASATWQWAPYLRPLIAAGFDPIVPDLVFFGGSCTRLPDRSDAFQAATIKAAMDVLGVTRFGLVGVSYGGFVGYRMAAMFPEAVERVAMICAGVCLEEKDLAEGLFPVAGVGEAAALLVPRRPEEVRRLVRLTFVRPPLIMPSCFLWDYIKVMGSDHIQEKTELLQS >Dexi5B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:5B:18199262:18200794:1 gene:Dexi5B01G0017160 transcript:Dexi5B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANWKLALIITVVVPFVGFQAYAQMKFLKGLNRDAKLKYEEASQVATDAVGGIRTVASFSVEKKVMDAYEKKCESPIKQGIREGIVGGLGFGFSFLAFYLTYALCFYVGAKFVQQGAATFPEVFRVFFVLVLATSGISRTSAVGADTTKANAAAASVFEILDRKSKIDYSSEEGLIIASTVALVGASGSGKSTVIALLERFYDPESGKIFFDDVELQTLKVSWLRQQVGLVAQEPVLFNDTIRANIAYGKQGEASEEEIVAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIRGADIIAVLKNGAVAEKGRHEELMRIKNGTYASLVELSSSSA >Dexi8B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:8B:23634201:23639575:-1 gene:Dexi8B01G0013420 transcript:Dexi8B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQVTRTWVRQVRDLSYDTEDCIEFVLHLDTKQLFWLRLVRSNMVQTLLPLDQAVAEIKQLKARAEEVNQRNLRYNLIGNSGEQEQQKSAASQSSMDIFIKPRDAFDKHSGFSDLTRFLATEEQALQVVSVCGTGGDLGTISIIKKAYDHQDICQKFDCRAWVKLMNPFNLQEFIRCLLAGFFANVSPQEQGAYVGVNMLASMKTTQDSILVDSMMRLRKNTYLIVIDDVSSVVEWNAIREESIARHCAMSEDAMYNVQGLEVSAALRLFEKEAKSHIEPSRWDSNIVEEARLLINKCGRLPKLIVALGEYLANVPNGNGIWEAQRLNANFMYALKTRKGLDSFGDVLTWMYSTFQDFPQVLKKCIFYLSIFTQSTTIRRSRLVRRWIAEGYSVGTDSNSMVEYTEKLIHELATLGMMEHSPQTATVAGDKTSCQINSLFLDYIISRETEENIFLPLEVFVLRGESSLNTQRAGQHLAIASSWKRDKFVFDSLDFSQLRSLTVSREWRTFFVSDRMRVLRVLDLEETNVKDEDIEQI >Dexi2A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:2A:33427599:33429614:1 gene:Dexi2A01G0021300 transcript:Dexi2A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRACWEESSSEDVTRPLLPVHEDRPAGRRRCCTALRSVLANKYLAVASGPLACALICALGDLGGHPAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSADDVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITSLFCGDPVKPHLLLLGICGTTVFISMWIHNTPCTVMMVQVATGILQRFPRDQLEAGADARELQRFSKALVLGITYASTIGGMATLTGTGANIILVGMWSTYFPEQRPITFSSWMSFGLPMALILFVALWATLCLMYCTKNTRRVLSAYLDRSHLRRELSLMGRFPEKAEYPESPMAFAEKMVLAVFGALIVLWMTRSLTDNIPGWAVLFDGKVGDGTVTILMTTLLFIIPSGKDDGEKLMDWNKCRKLQWHIILLLGAGFAIADGFKSSGLTDILSAGLGFLKGAPALAIAPAACVFSGLITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGTVGAQLSYLLPTATPGNVVGFGTGYITIKDMVITGLPLKLRSRYCCQR >Dexi5B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:5B:21895165:21896573:1 gene:Dexi5B01G0019570 transcript:Dexi5B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCGLGHREIMLCHGGDSGGAGGGGGGSGLRLFGVQVHATGGGAGSAAMKKSYSMDCLQLAAPAGCSLVSPSSSSSSSLLLSIEEGLERAATNGYLSDGNARVVADRKKGVPWSEEEHRQFLAGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICEKSSCVSDPLSSEGASTSLSLNVQSRERSERTTALDLNSTEEDDSRADVSSASAGTRPFPAAPLELQPSASHHGPAGHGHHCSPLDLELGMSLSTPSIGT >Dexi9A01G0039900.1:cds pep primary_assembly:Fonio_CM05836:9A:43728182:43730802:-1 gene:Dexi9A01G0039900 transcript:Dexi9A01G0039900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQLHHYQAQQRGQQHPENSYTGRDPGQASGEHQYTPPKFCNIMLTVGDAGHGAAGAQSFYDGKRSEAKVGLEKQSSKETRDQPRTDRTETRYEDYNIPQTFEGLEKSFHEDIVMLSKELQDAEDAENSRHREKLKEINMQYQEKLLALRARQANYRDEFLRKESLARQQQYQHATMNHYANNAAAGEQLGYHPTALPGEQHGYHPTAAATPAGAGGAYGDAHRAYASGQYESLSDRPDYPEFHGGGRGRGRGFEHRGQYPSGRAYSSGGRRF >Dexi4B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:4B:13878701:13879343:-1 gene:Dexi4B01G0013800 transcript:Dexi4B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGNISDVCCSSLNKALDAGHRCVCSLLLSNGVFASLVTNLLTLPLVLPLPGCFLYAPSLSACQATLQQQTNSPPTPASAAAASMGGGTGAGLPSPTETAAAATPPVNKRADRGQANDGRTRGSIGDGSSEAPSAAVSVSRSDACRRPGSAEGRACVLTAAVGMAVFWFNRVLDS >Dexi4B01G0023260.1:cds pep primary_assembly:Fonio_CM05836:4B:24662222:24663764:-1 gene:Dexi4B01G0023260 transcript:Dexi4B01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLPAATPLRLRLRGHASAARAPPIRPRPVVATAASAKRSSLRFAGVRCAVDGAEALRSEVEPAAASVPRSVPVRLAYELQQAGHRYLDVRTESEFSAGHPQGAVNIPYMNKTGSGMTKNTHFVEQVSRIFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSAWSENGLPITQ >Dexi6A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:6A:25060968:25062281:1 gene:Dexi6A01G0017230 transcript:Dexi6A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAAILLVAAALLLAGRVTHAAPSTAEVFWRAVLPGSAVPDAVLRLLRPDSNFVGKAEDVARPNAPFNYQNYKRSSAPYGYDYKAPSTTVGARDDDDTPFSYDYKPAPTTDGHVTRDDTPFSYSYKAPGEHHHHKDVASATSKTTVFFHEESVRVGERLAFHFPAASPAPLGLLPRNVADAIPFTTSSLPSVLALLGVSPSSVQAAAMAETLRTCESSPTLSGGGGEAKFCATSLEALVERATSALGTRDVRAVTSTLPRAGAPPQEYAVRAVRRIDGESFVACHDEAYPYTVYRCHGTGPARAYVVEMEGARDGAIAVATVCHTDTSRWNPEHVSFKLLGTKPGGAPICHLMPYGHIIWAKNVKDSPA >Dexi9B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:9B:8655866:8657763:-1 gene:Dexi9B01G0012840 transcript:Dexi9B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNRSVRFGHGVGAAGPVVHLGGGGAAVPGSGMISAAMGSLLFGVGGVPAPVGNMVQHGGYLASAGYNTMPTDAMLAAADAGGEQQQLPAGGGNGGVQRPAPQQHRGAWTEEEDETLKEMVTLYGERKWAVISQHLPGRIGKQCRERWTNHLRPGVDKAKSMWTEEDDRALIAWHRVHGNRWSVIARQLEGRSENAVKNHWNATRRSLKAKRRLKKKKNVEAPPGQWTELESYIRDLSSGGGAEDNVAPPDPPSDDSSPPSSYNTAGYGEVVSADFATVAAATGFDYSAAMMGMYLAANSSSSSAAGYLGDMVSNNTNMAVAAQSSYLAGLNLNAYYGVEELMVQDHQADGASYTNNNLITYPLIDHLQAAAWKPTSIYADAQANNAANEEEGHHYYYGGADAGPSGGAAAGHEDDVVQLASREFLIPTEEDVTLKLAAFM >Dexi3A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:3A:5954153:5955979:1 gene:Dexi3A01G0008520 transcript:Dexi3A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPVVPGSGRLSRPRPPRVGLRGAGAVAAAAEGPSCLFVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGTSAFLVPSLYTLSLAFGDAFGARYLLYGKESLDAITRVNDLALVGLGYLVGYPIASASVGALRGLLSNNLVALKGSCPNCGEQVFAFVKTDKSIRAPHRAECHVCECPLEYRTKIEKSLSGPRRSWVYGRVYLVKQGHPRKRKWIKD >Dexi1A01G0029570.1:cds pep primary_assembly:Fonio_CM05836:1A:35029995:35030566:1 gene:Dexi1A01G0029570 transcript:Dexi1A01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVLVQHPGGRVERLCWSTSAAEVMRANPGHYVALVTLRVAEERQDAPGGERRTVRLTRVKLLKPKETLLLGHVYRLITTQEVTKAVQARKEEKQRKAQQQLESRQSKARGDAEDDEDDEAALDASLDQNYYMD >Dexi4B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:4B:3213446:3216054:-1 gene:Dexi4B01G0004520 transcript:Dexi4B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRPKMAKRRISFVSHSRATRRRTASVENLARALSPGDLLRLLPSCSTLPSLRALHARLLTYTQGFLLGSLRASTKLLSCYAALGDLASARMVFDGTPSPDAYSYVVMMRCLVDAGRHADAVALHQDMRRRCPCLEAHDDFAMSLAIKACVRSAEYGYGRRLHCDAVKAGGVDGFVMNSLVDMYAKAGDLECARKMFERIPNRNVVSWTSMLSGCVQNGFAADGLSLFNDMRQESVQPTLLDMYAKCGEVEDARRMFDGLSYVDIVLWTTMIVGYTQNGNPLDALQLFLDKKFATIVPNSITMATVLSASAQIRDLSLGRSIHGISVKLGVADYDVVVNALVVMYAKCQAVSEANSIFVRILNKDVVTWNSMIAGYAENNMSNDALMLFKQMRLQGASPDAISVVNALSASVCLGDLSIGKAFHSYAVKHAFLSNIYVNTALLNLYSKCADLPSARRVFDEMNDRNCVTWCAMIGGYGMQGDSAGSIDLFNKMLKDGVHPNDVAFTSILSTCSHTGMVTEGKKYFDSMAQHFNITPSMKHYACMVDVLARAGNLEQALEFIDKMPVQADVMVLQDCYCAA >Dexi4A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:4A:4559974:4563035:1 gene:Dexi4A01G0006340 transcript:Dexi4A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRFLALVAITISVLSSIHASAIDMRATDRAALLSFKSGVWGNLSDWGSPQMCNWTGVTCDPRGLVIRLLLSNSNLTGVVSPAIGNLSALETLELHSNQLSGNIPPQLGMLSQLVNLSLDNNTLNGPIPETLGLLKNITYLSLSDNNLNGSIPEAIVCNCSSLSSIDLSNNSLTGEIILSTQCQPLSLNKLILYNNGLTGIIPSSMSNFTSLEWLLLQSNFLGSVLPSKMFNGMSSLKFLYLSNNNFSGDGGGANLEPFLASLVNCTCLEELGLDSNGMRDKIPPTIGNLSSTNLSKLYLYDNKITELWFANFSYNNFIGEVSSEGSFANLTADSFLDNPGLCGSILGMAPCRGKHGHFLYIAITVIIAIAAILLAMVCIFYHDLMKICLRLTPPSFQLSSIPTGLVNTIGEKEGDHPRISYRELVDATDGFSEANLIGKGGYGHVYRGVLHGGTVIAVKALHNDYVGEVIAGSFERECRVIRSIRHRNLIRVITACSTPEFKAIVLPFMPNGNLDSLIHGPPGGGKPEGPQHKLDLDMVLSIASNIAEGMAYLHHHAPVKVVHCDLKPSNVLLDGDMTAIVSDFGISKLVINGARDPEMGEASTSVSSSITRLLQGSVGYIAPEYGLCGRPSTQGDVYSFGVMLLEMISGKRPTDVISEEGHGLHDWAKKRCLEHDLDAIVKCLLLHDSPSVQPFGLPGCEMEAVAVVMELLELGVACSQLAPSMRPDMDDVAHEIACVRDGTWRKYRATDLNAIGQKKFRE >Dexi3A01G0027980.1:cds pep primary_assembly:Fonio_CM05836:3A:28152400:28159953:1 gene:Dexi3A01G0027980 transcript:Dexi3A01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSIELGTRDVTPPVTFETLSANPHPAGDEAGGVEGLDKDKEKWLDEERRVFKGRVDSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMAVAAKFPAKIEVPVKPVAEMSHTPELKDSCSGLFGDSITLQGKLSIEEISDVRSLVTTEENEEKVLQGVASDSTQKFTDTQKGPSEVSQDGTKAKKEFLDRLVTDHGSIDLEWLRDVQPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLEHIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNSCPMRAECKHFASAFARQLITLYKYSARLALPAPEEKRLATSEDPNVVEFLHQAYINSRNIGELDWNANYPKPAVSGNHQPIIEEPLSPEPEPENTETKEGAIEDFFCEDPGEIPTINLNIEEFTQNLKSYMQANNMEIEDADMSKALVAITPEAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGVSSQQIPNTAASLFNILFVSFQFEQREPDDPCPYLLSIWTPGKIICLKH >Dexi9B01G0026640.1:cds pep primary_assembly:Fonio_CM05836:9B:28815975:28821231:1 gene:Dexi9B01G0026640 transcript:Dexi9B01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAVAAHGPNNAPANVAAAASFYSAASGVYASTHPAVRLPADPSLSLVPHVLARLPASRPDAPAFVDAATGEAVSRADLRRLVPALAAGLRLRRGIRLGDVVLLALPNSVSFPVAFFAVLAAGGVATTINPCSAPAEIAARVRDTGAALVLAAPENAGKLPPLRVPVVLVPETFRLADDGAPEFAPFRELLLLDPDLPGLPPPVGQDDAASILYSSGTGGRSKGVVLTHRNLIATVELFVRFEASQYAAPACDNVYLAAVPMFHVYGLGLFAIGLPTLGSTVVVMKRFVVGEAVKAIDRFRITHFPMVPPIMVALVHAAEPTALGSLVQVSTGAAPTSGRLINDFVKAFPHVDLIQGYGMTESAAVGTRGFNTSKHKKYASVGLLAPNMHARIVDVETGCYLPPGSCGELWLLGPAIMRGYMNDEDACAMNDGWLRTGDVAYFDSDGYLYIVGRLKEVIKYKGFQIAPADLDAVLVEHPEIVDVAVTSAEDEEAGEIPVAFVVRKSGSSLSCTQVMEYVANQVSPYKKVRKVVFVESIPRSPAGKVLRRLLKDSLAVAGPTSYSTSKRHSRL >Dexi9A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:9A:9690329:9691159:1 gene:Dexi9A01G0014680 transcript:Dexi9A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATAPPPPPPAPRRRVYTAVDPWCEWASSEEADTLVVDVSGFSKEELRVVYNTRRKLKVTGERHVDGVQWTRFLKVFPVPRGCDTSTIQAKMNIESAQLFVIVPKGPSSQQSSDLAKDKHKEHQRGRTQSLGEIMRQEGAGNGSSGSSSGSMRRAQEDPGSGKVEDKERRGDHAVEEPRQDQAMAIQDLSRKDGGANENAAKNDDGDGKGGDKRWWKKIRVVHVLGFVLVLALVGVGATILYIVLL >Dexi7A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:7A:16007998:16014804:-1 gene:Dexi7A01G0004990 transcript:Dexi7A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTPELRWLGSLTRPGRLAPSPLAALAASPRRRRRLPSPSPSPSPSDSSTPSTAPSSAGAPGAEGMEGPEWKKVSAKRFGIKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENDSIVELARYILFLLIRRLMFNPYSEKIYDYLGGIEDLKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLASSVARLDKGRILMEMNYMLAYGSAEASLRLLWRFGLLEHLLPFQVNSVINLFVQVLFSKLDNFLAPNRPCHNSLWISLLAFHEALARQPRDPLVVATFALAFYLGGDMSLAVDIGKSINRQHDSGFRELSEPKAWTDKHLVDEVQSFAALMKRALTETTDESFVASAMSKIPQAPSSDLVSTTNLCLTPFTVFIPLQAYLKVLKFIECVQYGKKERGYEPKKDGKINYHNLSYGKHSEISNLFTLVVFDTLYPTNMEDQHDGNS >Dexi4A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:4A:13061738:13066185:-1 gene:Dexi4A01G0013490 transcript:Dexi4A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCIGTADTKLEELLFLATRLHSTLAATGSDPKIQVSIVDVSTTEKASEQDFKDIKFIRRNTVLSCHMDVDQHDLPDDRGKAIALMSKALQSFLQKRYDSSTLLGAIGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGHTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAFAGMIHGVLSASNESDEAATKPTIGITMFGVTTPCVSAVKDRLNIEGYETLVFHATGVGGKAMEELVRGGFIQGVIDVTTTEVADHIVGGVMACDESRFDAIIDKKIPFVLSVGALDMVNFGARDTIPPAFADRKIHVHNEQVSLMRTTVEENKKFARFIADKINKSSSKVTVCLPQKGISTIDAPGMPFYDPEATSTLLSELDTLIQRMDIREVKLLPYHINDPEFANALVDAFLSMDVKASSTAQTPQDGHINKSCSGQKNSDSSIIWRPPVDFPDAKPEILQKTRSILNKLKQLVSKGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVIEMANEVLPVVKEVPVLAGVCATDPFRRMEYFLKQLENIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISKAHNMGFLTTPYAFNPDEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLDDSAIRVQAIADAALRVNPDIIVLCHGGPISGPQEAEFILKNTSMVHGFYGASSMERMPVEQAITNTMRQYKRISLR >Dexi2A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:2A:32756399:32756769:-1 gene:Dexi2A01G0020550 transcript:Dexi2A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSRLLITRMYWSCPPAQAYSGSGPVSWFSASSFTFNSKYRLRLRIVHNDSGMPPVKLPSSTGRRHPAFQLVEEELQEL >Dexi7A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:7A:25280689:25282766:-1 gene:Dexi7A01G0015400 transcript:Dexi7A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKTYEHEIPITVVDPPQQRPSQPQYRPPGGYEPYKTRDVPLSSGSRRPSGGRTQTQPPPARTRPAFPSSAGAGAWSMVRRTPSGEVGPVLQRPMVDVRSLFHLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLVRRADVEDMRREITILQHLSGQPNVAEFKGAFEDAENVHVVMELCTGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVMHRDLKPENFLLASPADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLCGSPPFWAETEKGIFDAILVSQLDLSSTPWPSISESAKDLIRKMLHRDPQKRITAAQALGHPWLKDAPDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLRQMFNNMDTDKSGTITVEELKEGLTKLGSKISEAEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKLEKEEDLIRAFQYFDKDNSG >Dexi8B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:8B:5234907:5239459:1 gene:Dexi8B01G0005220 transcript:Dexi8B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSFSAAVAALMAMALMPSAAAAQPQAPPIGLDGCLTACGDVSVPYPFGFGHSGNTTCYWPGLNLTCDTSQGGHPRLLLGDGTLRVIGINISDSDPTVRVVRVGSLINSTAGTGWNAPFGHGFTEHGYLLSSDNELIVVGCNVVATLHADGIGPANSNNTAGRIGGCASLCTKKFNSGGEFRIDMDDVKYGPGGDCSDGTSGCCRAPVTMPAPPREVQAVRLNSRSDTVEENQLPVNVFVAESGWISNVSVHADEVRDVPFVLKWSVTHGLPPGPELDDRSFCTDEVQRMLCKSNNSICWNAIPGPGYTCQCEVGYEGNPYLAGAGGCKDVNECNLSSEDNGCFGECINTIGSMYCRCPHGTYGNPGVKDGCAKINPTTDDAPWPTVAPPPIALPNNCNDTCGDVHVPYPFGFGPSHCSKPGLNLTCDTSHGGTPRLLLDGNGTLQVIGISLSDSTLRVVHHSRVTSSDIVSNETHVNGSEVYTHAVSFQLPDISESYMLSVRNELVFLGNGMQATLYGHKYRNSNAYSNITSCISSFSNGSFNQYRNCSGRDGCCHAPIFPGSTPKKIEFRGGSIDTWWVYMPLAFVSEEGLTAHWWDTILNSTDNWDSHYFSSPLVLQWAVKQGFPAPAGNSSGECPRDVARRLCKSELSSCRQENGGYTCYCDKGYKGNPYIVDGCKDLDECKITPKRCSRSGICKNLAGTYKCTCKLGTLGNANKPDGCVSLSIVLSTFIKKNKIALSGASGPVLLLLGLGILLVPRKIEQHKMKVLKQKYFKQNRGQLLQQLMSHRADIAERMIIPMDELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKSKITVQKEINEFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHIEGPRSLSWTNRLRIAAEIATSLAYLHSAVSIPIIHRDIKSTNVLLDDTLTAKVSDFGASRYIPIDKTGLTTRVQGTIGYLDPMYFQTNRLTEKSDVYSFGVILVELLTRKKPFSYLSPEGDGLVSHFLDLCAQGNLVEIIDPQVIEEGGEEVQEVATLAASCINLLRGDERPTMRQVEHTLEGLRSSKMCENHGMVAEDRENDRVEFRCQQGQRFEESSRRYSLEQEMMMSARYPR >Dexi4B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:4B:2742345:2743154:1 gene:Dexi4B01G0003930 transcript:Dexi4B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKEGDLKLLGMHVSPFAHRVSMALGVKGVRYEYVEEDLFHKSELLLSSNPVHKKVPVLIHDGRPICESLAIVEYVDEVWSGDGRPAILPADPYERAIARFWASYIDDKFFPAWLGIMRATTEEARGEKVKETHDAVQNLEKTFSEIAGGKGFFGGDSVGYLDLALGCFLPWFGAMRMMFGLDVIYAATAPLLAGWAERFGETAVAKEVLPEPEKAVAYAKKLQTYRASLNK >Dexi6B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:6B:26446886:26452164:1 gene:Dexi6B01G0019820 transcript:Dexi6B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKSPRGERSGSRAVAHCRDRCELLAGAMASRYDLADAHRAYAASLTATGAALHDFLRALQDAAPPPPDAPGEEEGDGADDVTVSPPVVSSLPPDASEEEDNDDDNDDDVDDDDGRIPSPSDEDEASDVGGGDDVEAEPSLPRPPEHLQPVSPAPPTPQAAAPQAPLQMVPSYVPGYPAPPPYSYGPTAYGYGSSYGGGYGYGGADMAGYYGHTVYNNYISYARSHPPPPYIVHHHQVADVTAGYYHDDDHQYQQGEAVQTPSSSSQYGAYYYSYPYPYMHAGDDGGSSPVPPASAQLSAPRPTTPSPPRSPTTWAFLDPFEGIEAYYQGQDHPAAAAATAAYAPSQTSSDVNLVNEENLPEVDDEDIHKVEDDGLPDLEDDESGVVVGDDARRQAEGERTCSCKTSTASEDDSDCNDPAEEGHIVEINTLDGVEGENDSVVEEQQHLSGTNTSGVAEPAAVSETTTYTDAEVVDEIKAQFDTASKSAGDVSKMLEVGKVPYYKKNSWFKVPSLVICGQRLKGKAAMQFEEEKAMECGNLSFAMPEKTYIDVMVVDVIKAQFDTASKSTDDVCKMLEVGKVPYYKKNSCLKVPSLMICAKRSKGKTVMHFEEEKAMECGNLSCSLEKLYMWEKKLLKEVKALAFNVQSILGEMAGISSFATVVGSSTG >Dexi9A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:9A:4882204:4883772:-1 gene:Dexi9A01G0008260 transcript:Dexi9A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGHILVLPFPAQGHVIPFMELSHRLVDHGFEVTFVNTEAYHSLVLAALPQASGGETALGVGIHLASIPDGLTGDDDRKDIGKVTDACSRHVPQHLERLIAEMEATGRPKVKWLVGDMVLGSCFEVAKKLDIRVATFWTASAACLAIMLSAPKLVDEGVINDMGWAERDETFELAPGMPTLPTSQMPWMDGTGTPVGHPALFELITRFEKFNSLAEVVVCNSLDEAEVGTFKLFPDILPIGPLFADGEFRKPVGNFLPEDERCIKWLDAQPNRSVVYVAFGSMAIFDPRQFEELAQGLELTGRPFLWVVRPDFTVGLNKAWLAEFQQRVAGTSIIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFVCWPYFCDQYLDRSYITNVWRVGLAVSPSADGIVTKEELRSKVEQVLGDDDIKERARLFMDASRRSIRGSGSSFENFIKFVDLLSE >Dexi1B01G0022720.1:cds pep primary_assembly:Fonio_CM05836:1B:28407887:28409909:1 gene:Dexi1B01G0022720 transcript:Dexi1B01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVKFGRTLSQIGVSRVDAKEGWQQKLNEQRNLELYSKIPKHSEYFEPEETENHIQVAKLHSRSQSLSSAEIFYLLSVNALLSSGNVHRTKFQKYKTRHTNCLQHWWQPHVLRLQNLRELAGNRFRIRGVDGLKMRTISNCPLESQIKSQKDAILLVRTPRGIPD >Dexi5A01G0034410.1:cds pep primary_assembly:Fonio_CM05836:5A:36470140:36472135:1 gene:Dexi5A01G0034410 transcript:Dexi5A01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEGQFGTILHTGDCRLTSDCVQNLPMKYITKKGNENVCPLDFVFLDCTFSKCFLKLPSKESAIQQVIECIWKHPHAPFVYLACDLLGHEDILVEVSRTFGLKIYVDRKLDCFKALSLTASEIITDDPSSRFQMVGFHQLYDKASRKLEEARASLQPEPLFIRPSTQWYAIGARNQKPSLAEAEQDEFGVWHICFSIHSSRDELEQALQLLQPQWVISTTPPCFAIELSYVKKHCFKSRLTADDPLWKIFRDPLQKSVSSPCLVLPSETYTNKDHSDFVDEDDHSLSSSEDCTYLNVSTLELKFVPSPPPEEPEITLFGKVRFGSQAIDIMREELCNQHITVEEGCLEEARACAPADLVHGNSEDVETNPATDCIMKHALASHQDHIETGPEVGSCQHEASSWQLEASQAQSLPTVHRNILVPTDQHGKLEGAMESKSISSEDPSLSMVRSGDTANCQKEPLCTIGSSKCLNPSLKRLYRSRNIPVPRPLPSLVRLFESSKRVKMQPSTNYSSLNSRHSLP >Dexi5B01G0026410.1:cds pep primary_assembly:Fonio_CM05836:5B:28209216:28210568:1 gene:Dexi5B01G0026410 transcript:Dexi5B01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQYNEKLESFLCSIPRERLHSLVIDMFCTQAIDVARKLGVPIYTFFASGAGVLAVLTQLPALLAGRQTGLKELGDTPLEFLGVPPMPPSHLIRELLEDPEDELCKTMMKIWQRNTDTDGVLVNTFYSLESRAVEAFKDPMCVPGRVLPPVYPIGPVVGKGGTDKDEEAEKPECLAWLDAQPERSVVFLCWGSKGTLSEDQIKEIAAGLENSGQRFLWVVRTPPSAGIDDVKRYLEQRPEPDLDVLLPEGFLERTKGHGFVAKSWAPQVEVLKHPATGAFVTHCGWNSTLEAIAAGVPMLCWPLGAEQLMNKVLMTEDMGIGVELEGYKAGFVKAEEIEAKVKLVLESEEGRKIRERAAEPKKEAAEALREDGGSA >Dexi5A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:5A:20162543:20164943:-1 gene:Dexi5A01G0017110 transcript:Dexi5A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTNVLALLATTLLLLLAGRAAAAANETQRFRPGDELRRYRRVQALLRRLNKPALRTIQARACSPDGDLIDCVAAHLQPAFDHPRLRGQRPLDPPPVRPSGHHRRPNNPNDTTADAGVQLWAAASGEACPEGSVPIRRTTEADVLRASSVRRFGRAPPSRVRRDSVAGGHEHAVGYVAGDEYYGAKASINVWAPKVSTASEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYNAGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSLLFSHLASHASMVQFGGEVVNTRESGSHTATQMGSGHFAGEGFGRASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >Dexi5A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:5A:12360850:12361095:-1 gene:Dexi5A01G0015040 transcript:Dexi5A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPCRAAAPSPAVPMLHPPPLRRRSVKKGGCAGREAGSGGVQREGSESEMGATQRVCGAEAEARGVCGGWLWGGREKK >DexiUA01G0011040.1:cds pep primary_assembly:Fonio_CM05836:UA:22097854:22098919:-1 gene:DexiUA01G0011040 transcript:DexiUA01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRELQQAVSKPNVLAEMTGLRFFPSMRNKKAWELHKEVHKLILEIVKESGEDRNLLSAILHSASCSRVGIAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVRAEVYEVCRGQPVDSQSLQNMKNLTMVIQETLRLYPAGAFVSRQALQDLKFGGIHIPKGVNIYIPASTMHLDPKLWGPDVKEFNPDRFSDVKPQLHSYLPFGAGARTCLGQGFAMAELKILISLIVSKFVLKISPHYEHSPTLKLIVEPELGVDLTLTKVQCVQ >Dexi9A01G0038990.1:cds pep primary_assembly:Fonio_CM05836:9A:43095962:43098807:-1 gene:Dexi9A01G0038990 transcript:Dexi9A01G0038990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPTVSTEYNEAVEKARRKLRALIAEKSCAPLMLRLAWHSAGTFDVSTKTGGPFGTMKNPAEQAHGANAGLDIAVRLLEPVKEEFPILSYADLYQLAGVVAVEVTGGPEIPFHPGREDKPQPPPEGRLPDATKGCDHLRQVFGKQMGLSDKDIVALSGGHTLGRCHKERSGFEGAWTRNPLVFDNSYFKELVSGDKEGLLQLPSDKALLSDPVFRPLVEKYAADEKAFFDDYMEAHLKLSELG >Dexi1B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:1B:21395670:21397227:-1 gene:Dexi1B01G0014980 transcript:Dexi1B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAATACLTNVLVFYLVAGCTQAIPRKIWLDPSGKQLLQWPVEEVEKFRGKSISVGGKVVKPGEYFEVTGLATSYQVDVEVSFEVSSLDKAEPFDPAYDNDAQKLCDVKGADVKGGVGPFGLWVLASGDLQEKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYKPTFAGFADADISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSIAIGKGAHLYVFNNGEVDVKVSRLTAWEMKKPLMNGA >Dexi5A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:5A:6683944:6685868:1 gene:Dexi5A01G0008920 transcript:Dexi5A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIVAKSSPPLASSFLNRHTLRSLHRTTPSCTLQPRSLDLNRRAKETMMASSAGLLQASTAFAPLFAPLPSRLQPAPRVNLRGSANCRRRGVALAASSAASPEVEKESPPSSSWQESQSAVVDSVKVLKEGAKTRKVPSSEILSALSNIKKAKLDTSTFFETLGGTESPGRTWMLIFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGIYLGPIGCLTFEGRLSWKKKILAFIFERVRIKVGPFAPLEIGLGSNDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCQRVP >Dexi8B01G0002520.1:cds pep primary_assembly:Fonio_CM05836:8B:1764386:1765720:-1 gene:Dexi8B01G0002520 transcript:Dexi8B01G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRVLMAAAGSQRAAAAVVVGVATSSEGILVASSSAAAVDTSTASALYTATTTAGESVAISAATGTFRQKQHDVPVRPIFGKDTSEPAGEVAVDVPAATFFASTLAATDKPVTSSTFFPFPSASASTGVINDAIVVAPIAAGIYDAIRDRDTSTSPKCHVSLRIEKTTTAAAGRDTVDAGNTSTASRQGEYYSFFFLADDANDDDASSDELDGDHAILWHVEGPAGLCRHRSSISSGSGSSLKTEVLIVLSVAGIVLSLASLFIFLYFNNNNNTRRRRRPPWKHNIVVSPEAYQPAASGTTASSPSYEQLSGTKSWFTYDELAGITGGFAAANVIGEGGFGKVYMGTLPAGAGGDERRRVAVKQLKVGGGQGEKEFRAEVDIISRIHHRHLVTLVGYCVTQNHRLLVYEFVSNKTLDHHLHGRS >DexiUA01G0015600.1:cds pep primary_assembly:Fonio_CM05836:UA:32831070:32831791:-1 gene:DexiUA01G0015600 transcript:DexiUA01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFAAPLWFDNPGSSFVLVVLFAIWRYFPYAFISFLAILQTIDKSLYEAAEMDGANAWQRFRIVTLPAIMPVLATVITLRTIWMFYMFADVYLLTTKVDILGVYLYKTAFAFNDLGKAAAISVVLFVIIFAVILLTRKRVNLNGNK >Dexi5B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:5B:7311085:7312114:1 gene:Dexi5B01G0010360 transcript:Dexi5B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKNSRILVVGATGYLGRHVVTASARLGHPTFALVRDTAPSDAAKAALLKSFHDAGVTLLKGDVDDHTSMVSAIKLVDVVISTLGVPHRFIPSEFGLDADRSEAMEPIRSMITATKAAIRRAVEAVGVPYTYVLTGYFFGYGLPSIGQVLAKAPPVDDEAFVVLGDGETKVSFADEADTATYTVLAAGDPRAENKTLNIKPTANTLSTSSWRCGRRRPARRSGGCTSPRTTSSGRSKT >Dexi2B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:2B:25624409:25628754:1 gene:Dexi2B01G0015570 transcript:Dexi2B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHKPVGAITADDLAAAAPGADAAALHAALRRVLGTGAADPAAVWGELCRSLLRPDVPFAVHRMLYYGCFAGFPSPTPPAWTPDPEEAAVTNVGRVMGARGRELLGEAYKDPISSFPDLYKFSNDNPEAYWKMVFEDMGVEFSVMPSCIWRESDVYPGGEWLPGAELNAAANCLSAKPGRSSEDVAIVWRDEGKDSEPPNFMTLEELRKKVCSLVANALDALSLPKGSAIAIDMPMSVNAVVIYLAIVLAGYVVVSIADSFAAPAISTRLKISEAKAIFTQDYILRDDKELPLYGVVEANAPMAIVIPDAKVTMLGLVPSIARTWKNTDCTAGFDWSTIRCFSSSGETSSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVSGCLLQPQALSAFSTPAMGCGLFILDSSGNPLPQDSVGIGELALDPVLFGSSTTLLNADHQEVYFNGMPEWNGKVLRRHGDEFERTCDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPIGGGPEQLTIAVVLKDQSSQVEDLNQLKLAFNAALKKLNPLFKVSSVLVVPSLPRTASNKVMRRVLRKEFTQAAQAKKSKI >Dexi4B01G0023970.1:cds pep primary_assembly:Fonio_CM05836:4B:25174694:25178974:-1 gene:Dexi4B01G0023970 transcript:Dexi4B01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPSPNPAPTSNLPNGNTTKSRETDRRRRRRKAKKNKAAARDAGADARVTEGGASAADAKENADPNSNPLIEVEYVPEKAELDDSLLDDFKAIFEKFSFKDAAAAAAEDEKRDEGDADAAKKSSDDDEEDEDQEAQKKKEGGLSNKKKKLERRMKIAELKQICNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQAIFFPPCLHSVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQHGRPLYGDVFGVLQQDEPNYDDEPVDRSKHWGDLEEEEEEEEEEEELEEPMEDEEMEDGTQSVDTISSTTTGVETPDVIDLRKLQRKEPEKQAERPLYQVLEQKEERIAPGTLYGSSHTYVLGGTQDKSSGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENAHKRKRKQEKEGKSKKKEFKF >Dexi9A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:9A:4395894:4399525:-1 gene:Dexi9A01G0007550 transcript:Dexi9A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLKEVLRSSDLNTTTTAALRRRLEEDFGADLSHKKAFIREQVDLFLAEVATKAEPEEPKEEEPEEAAVPKEEEPEPESETEEGEGEEEGEGEEEEEEEEEEDEDGDSGGSRKKQRSDKGNGGKKRGGGFTKLCSLSPALQEFVGASELARTEVVKKLWAYIRENNLQDQSNKRKILPDERLKKIFNVNSIDMFQMNKALTKHIWPLNSEGPVSPDRSTPKEKPQKRDRNEGKKQKGGSSGAGSGLLAPLQLSDDLMKFIGTGESMLSRSDVVKRMWEYIKENNLQVYFFPWIHH >Dexi8A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:8A:5105350:5107730:1 gene:Dexi8A01G0005510 transcript:Dexi8A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQVVDYWWLTKEAVSGMGLWPWGRRGPSGFGSASTAEEVTAGVDASHLTAIVTGATNGIGKETARVLALRGAEVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRNFAQSFNSSYERLNILINNAGIMACPYQLSEDGIELQFATNHVGHFLLTSLLLDKMKSTARETGVQGRIINVSSVAHKRSDGTCFELKKLNDKDRYRPFIAYSHSKLANILHANELSRRFQEEGCNLTANSLHPGVIFTNIIRYVAGNSALLSVLSPVANLVLKNVPQGAATNSYLALHPDLKDVSGKYFADCNEATPTKVARDAELAKKLWLFSEELVGTNVGINAASGPE >Dexi8A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:8A:19792749:19795178:1 gene:Dexi8A01G0011200 transcript:Dexi8A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALHLRRVLPSPSPSPAHPAASARRLLSAFTTSQQNTATTVDLSSDESRRRLLNRLVYRSKQRGFLELDLVLGSWVEQHVHSMDEANIRALLQVLDLENPDLWKWLTGQEQPPEDLNSNPVFTAIKSKVTDNLTKHASPETRSTPGQPWVRGWDDIKKGKDGPKYGNQ >Dexi5A01G0034250.1:cds pep primary_assembly:Fonio_CM05836:5A:36358343:36360368:-1 gene:Dexi5A01G0034250 transcript:Dexi5A01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATAARRLRAFKRWMRAHGVVCSDALRLDAAEPLGVHVRAVATLREGDLLATIPRGACLTPRTTAAAGAIEAAELGGCLALAVAVMYERARGAESPWDAYLQLLPDRECVPLVWPADEAERLLAGTELDKIVKQDRGFLCEDWKECIEPLISSGGLDVHPDDFSLDKYFSAKTLVSSSFNHKTDGEHVHFTSTCDDSDSEDHNEQSDASADEQSTIENPTNSPSGSRIDDEDLEMPPAV >Dexi3A01G0036030.1:cds pep primary_assembly:Fonio_CM05836:3A:41433760:41435702:-1 gene:Dexi3A01G0036030 transcript:Dexi3A01G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHYRYRSGVQTFSVQVPGAFASVADLKRLIAATGRHGTGRTRGRGPRDGIALCDPRTGEGEALHLEVDMTVDSWVIIMASHWKGRHPLLAMSAAFVTLLDISLGIAHQGRSPLHLAISAINVEFQGTLFILAQIMVTGNIIPEEPVH >Dexi9A01G0007460.1:cds pep primary_assembly:Fonio_CM05836:9A:4339333:4340791:1 gene:Dexi9A01G0007460 transcript:Dexi9A01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTTLRPLVPPPASPSPHLATGASPASGRRSPAVAARAVRNYDSIPKREPFSSSRSILDEFLRQEKPLVQRTKDQITDYCTTIEGDECCSCWDAYFELNKLEQELPKEEITRMVKDSEGDVRYLIDSIHHRSNLRKNMAEKARATVSSSSQGQTTKPRPFPVPDGLPKTQEELAEEEDALMPESSYTRLLRRMGRYPDWYTPRPDHETD >Dexi4A01G0014540.1:cds pep primary_assembly:Fonio_CM05836:4A:16789225:16789884:1 gene:Dexi4A01G0014540 transcript:Dexi4A01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSAHRSRMLAAGRGAPDDDDIRVCSVILASVVSLMLLCGVLSVVPGPGALAVTKAYVILGVAAFMLVLMLLAWLMAPAIRALTAPRAAPVPAPAPAVRLARRLCACGLADAPGVAATLPAFPYGASPAADEPNRRSGVLCAVCLEDVRPGEMLPACGHLFHVGCVDAWLRAHRTCPLCRCELPPRKAAAAARMATTAAAVIPATAEGLPLPPV >Dexi4A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:4A:5819051:5822174:1 gene:Dexi4A01G0007740 transcript:Dexi4A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYAPAAARSFAGASRFTASTGTGAAPSFPIQAPKEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFIRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKPFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAPTVEEAALKASA >DexiUA01G0005970.1:cds pep primary_assembly:Fonio_CM05836:UA:10881470:10892758:-1 gene:DexiUA01G0005970 transcript:DexiUA01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGDHTAGPGEADGRLEALLSGAGELGLQPWPRRMALAAAVELRLLVPLAAPAVAVYMLAMATTSSTQIFCGHLGNVQLAAASLGNNGIQLFAYGLMLGMGSAVETLCGQAYGPEKYEMLGVYLQRATVLLTATGVPLAAAYAFSEPMLRLLGQSPEIAGAAAEFAYGLVPQIFAFAANCPIQNIVAPSAYILAASLVLHVALSWLAVYVLGLGLFGASLTLSLTWWVLVLGQFAYIVWSPKCRATWVGFTWAAFADLSGFAKLSAASAVMLVLEVWYFQLLILLAGMLPDPQIALDAHGVASRPLPVGNELGAGNARSAAFSAWMVTAVSAFVSAIAGLVTFLLRDKLSYVFTGGEVALGCRSTVPAQRAQWVALGCRSTVLAQQAKWVARESSAGGVGSVGAQS >Dexi2A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:2A:22976821:22978002:1 gene:Dexi2A01G0014060 transcript:Dexi2A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLHLVRRYDDALESFLRSILSRRRIHCLVTSLFTAHAAGVAARLRVPAYTFFAPSATTLAVVTQIPAMLAGRTTGLKEETTTTTTLEFHGVPPLPASHLMKAALEHPGEEECRAMVSAWKGVTETHGVLVNTFRSLEDRAVLALGDPRCVPGRTLPPVYCVGPLVGGGGEATAAAERHECLAWLDAQPERSVVLLCFGSRGSLSAEQIREIAIGLERSGQRFLWKVRTPAGGDDDDDLKSLDALLPEGFLERTKDRGLVVKSWVPQVDVLRHPATGVFVTHCGWNSTLEAITSGVPLLCWPLYAEQMLNKVLITVGLGIGVELEGYTAGFIKAEEVEAKVRLVDSEEGMELRARVAEYKKQACAALEDGGSSDAAFSRFLSDVENLAERV >Dexi1B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:1B:3254469:3254998:-1 gene:Dexi1B01G0004090 transcript:Dexi1B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLTTMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISSEMIREMMWQEMLHYHPVTAISMS >Dexi7A01G0019650.1:cds pep primary_assembly:Fonio_CM05836:7A:28764195:28764573:-1 gene:Dexi7A01G0019650 transcript:Dexi7A01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGAFDGVHSHSTFRYKHRKRSKVWEEYKPVFLNGKVQFAECLYCQSRMSCKDSNGTSHLWRHQKICPGKEDAARRRLKDAYFPCAWYRLG >Dexi4A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:4A:9148386:9149827:-1 gene:Dexi4A01G0011270 transcript:Dexi4A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSARVAVIGAGAAGLAAARELRREGHAAVVFERAGDVGGTWIYEEAREGGHSSMYASLRTNLPREVMGFLDFPFVATPGSSSVDARRFPRHEEVLRYIQEFARRFDLYGLVRFRTEVTAVVVTTSTRWLVRWRSTTSTSGEQEQEEEEEFDAVVVCNGHYTEPRVADIPGVDSWPGKQMHSHSYRVPEPFRDEVVVIIGAKNSGGDISREIAGVAKEVHMVNRASPASTCERLPGYHNLWLRSMVERAEPDGSVVFRDGSSVRAHVILHCTGYKYSFPFLLLQQQLQLLQVDDNRIHPLYKHVFVPQVAPNLAFIGLPFKVIPFPLVELQSCWVAAALSGRIRLPSEEEMMEEVGARYSELQAMGWPQRHTHCLKHNQFDYDDWLAEQCGHGGVEEWRKAMYDAASNKKVQCPETYREEWDDHHLLEQAYNDFQTYINPPATHY >Dexi5B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:5B:3169857:3170379:-1 gene:Dexi5B01G0004690 transcript:Dexi5B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVFMTNHVVELDLALIRYALLRFEAFKTLARRNYLDIDGHPLFGDVEELLNEIMLADVAKNSGGGEDASLEELVEELKRKSEERARLRWKTVMVMR >Dexi5B01G0033160.1:cds pep primary_assembly:Fonio_CM05836:5B:33648670:33650190:1 gene:Dexi5B01G0033160 transcript:Dexi5B01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAVHPPSLKPMEAAAVARRAGLLARARPGAVGRARGWERGVGVCPAQPGARRRLLVASLGVGEPLPAQTLGEEAVALEVREDDDSIVSAENLPPPDADEIAPIPEAKAVRVKFVLKKQCAFGQQFLVVGDDPALGLWDPSKAIALDWSEDHVWTAKTDLPTNKLIEFKFLLRESSGHVRWLHGPNRTLRTTETTNTLVVHEDWDHAKKQKVSEEVEELSIDAEDVFSDNLTRSNGAILADSIITDDNLENKPVTAEVADAHLQLQGQIMVANETKQPQLMLDKDLTVLAEHHGEEKTVAQNGTPSADHHAGSNNDDTNLFQEGALLANRRTSILENDLAWAGKAMQQVLRILGFQIGTTKT >Dexi7B01G0021850.1:cds pep primary_assembly:Fonio_CM05836:7B:26852379:26855337:1 gene:Dexi7B01G0021850 transcript:Dexi7B01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPESRQEEAKRLLARCLQAGVDMLRSGAAALDVVEAVVRELETDPFFNSGRGSALTRRGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMDKSPHSYLAFNGAEEFAREQGLETVDNSYFITEDNVGMLKLAKEAGAILFDYRIPLTGTDTCSALAGAVDNKHNGMVMNGLPISIYAPETVGCAVVDSTGACAAATSTGGLMNKMTGRIGDSPLIGSGTYACDACAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQQAVDYCVKERLDEGFAGVIAVSKTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >Dexi1A01G0025740.1:cds pep primary_assembly:Fonio_CM05836:1A:31880390:31883501:-1 gene:Dexi1A01G0025740 transcript:Dexi1A01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRYQHIKSTKPVVGKARKLKDLMIKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKLMLIDYPFLASTCSHQVLSVTLDDWDDSDIDSMLEVGGNSYANSIYEAFLPKDHPKPKADSTMEYRTKFIRAKYETQDFLKPSLRISSKSSFKSTTSTKSVDSSFSSTSRKDVSEVYDHDTFSADDIMGEAEIDLQPMITAAMAFRDTSRLGDMQIGRWFMTKDNALLKDSTVNVVGGKVKQEVQLKLQNVESGELELELEWVPL >Dexi1A01G0025960.1:cds pep primary_assembly:Fonio_CM05836:1A:31995233:31997714:1 gene:Dexi1A01G0025960 transcript:Dexi1A01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAESEQAAAAGGGVAQPPALSKSARKKLQKQERYAERKAARKAAEKERRRADVERRRREWDEALAAAPSDEARAEMVAARRETRRERVGRRTEERGARAERLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSASPAHLWLTGCSGEMATHLQRIPGYDKWIIEKAAKPYLEAFEDHKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAAEQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTAFFHVIPQRKRGQAEAGDDEAKVSLDDNDDADEGAANINLSEEDLKKLSDEVDDDDADEELEDEEADVSNKKQCVRRENEEAGDQSAAVAVATPAGLDATPQAEQAKESNNGVDD >Dexi9A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:9A:730627:735527:1 gene:Dexi9A01G0001370 transcript:Dexi9A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHLHLLGCPLTLALTPPSRGPSPSSSSSAAAPALRFHRLRLRPAAALGPADAGDLLARAEALLYTVADAAVSAAPEAKEATGGDWLSGITNSMETVLKVLKDGLSALHVPYSYGFAIILLTVLVKAATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQWKVEFSGLAKSIAVFVTMFCKKALWLNLDVCFYLFYQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAAQRNGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYISAQVMQPPQSNDPSQQGAQAVTKFLPLLIGYFALSVPSGLSLYW >Dexi1A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:1A:3681003:3685528:1 gene:Dexi1A01G0005030 transcript:Dexi1A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGSGGGGGGDGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEATEIARPNAKVEEGNMPVAGECGSKKVQEKQDYRDILQVQDTHHDVQSLDKTIASLETELSAARSLQESLLNGAPVAEEFKVSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHRWDGNALYGYLAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSRLALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATGQLYAISKDLATYISINKHILHKYINEDVSLGSWFIGLDVEHIDDKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >Dexi3A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:3A:4261301:4263787:-1 gene:Dexi3A01G0006470 transcript:Dexi3A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCTLLLTTLVFLLPLPPLAVAARASLPRGAAIAVEDYATDFLVSPDGTFACGFYNVSPTVFSVSVWFARAAARTVVWTAAPARPVHSTGARLSLDRRSGALVLTDFDGTVVWNSTYVAGDAARARLLDTGNLVLEGGGNGAGETVWQSFDSPTDTLLPGQRFTAARHLVSRGGRGRLLAAGYYSLGFSDYAMLSLFYDNHNFSSIYWPNPYNNYVANKRKIYNFTREAELDALGQFISSDDAGFVAADLAAGDGVRRRLTLDADGDLRLYSLDAATGTWTVTWMAFANPCTIHGVCGANAVCLYGPSPACVCAPGHERADAGDWTKGCLPAFRRDCSGKTKLVALPHTDFWGYDLNDGEILPFRECARRCHVTCSCVAFQHKQNMECYLKSVLFNGRTFPGLPGTVYMKVPADFAMPDELLHVHQWRTHGGGLVIDEDIPTCDSVADAELLLNVSTHDGGRDVGKAVWPYMYGFLSALLIVEAVVIAFGCWLFSSRGLFRPSRVWAIEEGYKLITNNFQRYTYSEIKRATNNFTDVIGSGGSGVVYKGILEDDRVVAVKVLRNVLQSEQEFQSELSVIGRIYHMNLVRMWGCCSEGKHRILVSEHIENGSLAKMLFDDREETPSDATVVLGWNQRFQIALGVAKGLAYLHTECLEWIIHCDMKPENILLDKDLEPKITDFGLAKLLNRDGSDANLSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISEWVIYGVKLADMDTRMVVKAIREKMETSDQERCVKDLMDHRLNGEFNHAQAKAMLKIAVSCLEEDRGKRPNMSSVLQTLMSVEEEAR >Dexi9B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:9B:7031915:7034428:-1 gene:Dexi9B01G0011100 transcript:Dexi9B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKNQAPLNPHRTIFDIKRLIGRRFDDAEVQRDVRYLPYKVVNRGGKPYVEVPMKGGRETKVFSPEEISAMILSKMRDTAESFLGQRVTDAVGSDMMNVLVYDLGGGTFDVSVLSLDHGVFEVLATAGDTHLGGEDFDQRVMEHFIKLVRRKHGRDISKDGRALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSETLTRAKFEELNMDLFKKTLGPVKKAIADAKLDKADIDEIVLVGGSTRIPKVQELLTELFDGKEPNKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKLFEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDENGILHVTAADKAGKRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVEARNRLENYWMEEQDGGGAGRTAEKEDYEEKLREVEDVCGPIIKQVYEKNRGGSAGAEDEDDVNEL >Dexi4B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:4B:19603511:19607344:1 gene:Dexi4B01G0017340 transcript:Dexi4B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFTYSSHKAVNEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKGFPLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSQKLAAFSAAYTELESALSGLNVLVETYFADVPAESYKTLTSLSSVTAYGFDLVRGTQTLELVKSGFPAGKYLFAGVVDGRNIWADDLAASLSTLQALEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVDALAKALVGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVTAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >DexiUA01G0023650.1:cds pep primary_assembly:Fonio_CM05836:UA:48352266:48354710:-1 gene:DexiUA01G0023650 transcript:DexiUA01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEPEGAPPAGKGEPNNMKRSKEQGEWGGAASGGGQKRKKKEVFIYGNYRNYYGYRIDRNVGEDPRLEAFNKQWFENKDCLDIGCNQGLVTIGLAMKFKCQRILGIDIDSGLIETAKWNLRRVARQDKLATQNANAQESSNSPSQSSPGEAASELSNGKEHQDLLKIVSFQHENFVESLGGSSERYDTILCLSVTKWIHLNWGDDGLVTLFVKIWRLLRPVARENFNSICLYPENFREILLDKVGFRSVELIMDRLVGTVSGFDRPIEVYHK >Dexi8B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:8B:985680:986653:-1 gene:Dexi8B01G0001500 transcript:Dexi8B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATHAMLAATTTSPQLPASSSSSRPRVSTLLYLNHGRGRARGADLRCRRRLLTARGERPSHDEDEEDDDEVAGFDAAVALFKRGEYHACHDVVEELWYAAEDPVRTLLHGLLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLDHDDDPFSLFRYDVSSVLQFLYRTQKELAACTDDMCLTMNGSPTSYQLLGDFAAGRQLYLLKAADTGDGVSMSIIFSLSDDDRASEPAAPHTVVKLPTLHATEQNLTDLQRAYEFM >Dexi1B01G0025110.1:cds pep primary_assembly:Fonio_CM05836:1B:30268523:30270602:-1 gene:Dexi1B01G0025110 transcript:Dexi1B01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTAPAAATAATTTDEPIQPAVEIKHTQLLINGNFVDAASGKTFPTVDPRTGKVIARVAEGDVEDIDRAVSAARRAFDHGPWPRMTAYERCRVLLRFADLIEEHAGEIAALETWDNGKTLAQSTSAEVPMVARCMRYYAGWADKIHGLVVPADGAHHVQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYVASLLHEAGLPEGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVNERVYDEFVEKSKARATKRVVGDPFRDGVEQGPQIDEEQFKKILRYVQSGVDSGATLVAGGDRAGNQGFYIQPTVFADAKDEMKIAREEIFGPVQTILKFSGMEEVIRRANATHYGLAAGVFTSSLDAANTLSRALRVGTVWVNCYDVFDAGIPFGGYKMSGVGREKGIYALRNYLQTKAVVTPIRNAAWL >Dexi2A01G0026950.1:cds pep primary_assembly:Fonio_CM05836:2A:38428892:38430377:1 gene:Dexi2A01G0026950 transcript:Dexi2A01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVQLTSLLSVVSSPPLAQSHCSCSGAKTKQSCSPLRAPPRPQLERRRLRAVQVGGEPSASGAAPEEAQEEPSIDFAFVAPRLLPDGTPDVHYRTARGGQKLRDIMLENYIDLYGPYDKFLLNCSGGGVCGTCIVEVVEGKEMLSPKTEVEKEMLKRKPKTWRLACQATVGNADSTGQMVIQQLPEWKVHEWDKQK >Dexi9B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:9B:11416767:11417690:1 gene:Dexi9B01G0016550 transcript:Dexi9B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSWRLAVAGVVCAAVFLAPPAVALDIGIQSAGDGVSKQQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGEAPCDALDACCMHHDNCVQAKKDYLSTGCNEALLDCLARLREGTSTFEGNKCMIDEVIDVITVVIEAAVVAGRVLHKP >Dexi6A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:6A:28171511:28175669:1 gene:Dexi6A01G0020770 transcript:Dexi6A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAVAMAFAAALALMVPGAAAAWELTKKGTVVSYDHRSLMIDGRREIFFSGSIHYPRSPPDMWPDLIAKAKEGGLNVVESYVFWNVHEPEKGVYNFEGRYDMIKFFKLIQDHDMYAVVRIGPFVQAEWNHGGLPYWLREVPDIVFRTNNEPYKKLMEEFVNRIVKMLKDANLFASQGGPIILAQIENEYQHMEAAFKEEGTKYINWAAKMAEATGTGVPWIMCKQTKAPGEVIPTCNGRNCGDTWPGPLNKTKPLLWTENWTAQYRVFGDPPSQRSAEDIAFAVARFFSVGGTLTNYYMYHGGTNFGRTAAAFVMPKYYDEAPLDEFGLYKEPKWGHLRDLHQALKLCKKALLWGTPSTQKLGKQFEARVFEIKNQNVCVAFLSNHNTKDDVTLTFRDKPYFVPRHSISILADCKTVVFSTQHVNAQHNQRTFHFTDQTVQNNVWQMFDEEKIPKFKQAKIRTRKAEELYNLTKDKTDYLWYTTSFKLEDDDMPFRRDVRPVLEVNSHGHSSVAFVNNVFVGCGHGTKMNKAFMLQKPMDLKKGINHIAVLATTMGMMDSGAYLEHREAGIDRVQIQGLNAGTLDLTNNGWGHIVGLVGEQKEIYTEKGMGSVTWKPAVNDKPLTWYKRHFDMPSGEDPVVLDLDPMGKGMIFVNGQGVGRYWISYKHALGRSSQQLYHVPRSFMREKDNVLVLFEEEGGRPDAVMILTVKRDNICTFISEKNPAHIRSWQRKDSQITAKDGGNLKPQATLTCPPKKVIQQVVFASYGNPEGICGNYTVGSCHSPRAKEVAEKTCLGQQTCTLPVSADVYGGDIKCPGTTATLAVQAKCSKRSPPGFPGATAAQ >Dexi4A01G0018100.1:cds pep primary_assembly:Fonio_CM05836:4A:22013421:22022888:-1 gene:Dexi4A01G0018100 transcript:Dexi4A01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFPPVAAAAPSSPPRLAPRHPFAAAAVAARRSSLSFRPAARQPALPLRSSAFASNNLRCAHRRAISPRSRRGAQGFGAASSSSDLAASTWGAAAGRDGCLSCFPKSRRGRSGLARLAPCALPHASGLSFGSRLSGAKVRPSHILRAAGPDEPHVASPTWSETSLDKHDLDHAISREELEDVLNTPLPEHPKLIRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLSENEGSPVPSGSPFGAMASLFAPKLPGGFSANLTGEKSPATDKIKPIKRERQAVRPPVEHKWSLPGVALDAKPPAIFQHELIQSFSINMFCKIPVSKVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHVDGVGETDFEINPDEITESIKAGLDEPIYPEPELEVPKELITQSELDELKLQHRPSFVPLTEEENVVKVFDTETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGGNMEVSIVGDFTEEEVESCVLDYLGTVRAATSPNMEERIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATGNDLFNVIQRSGADGNFNLICAKSVPCLLVYNILVYICRAIAAEISEQVNLDLTGKKRIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTMLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDINDDGADMDLDGMVPMGGRGLSTMTRPTT >Dexi6B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:6B:837548:842731:-1 gene:Dexi6B01G0001010 transcript:Dexi6B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLSRAKASASRLVSTAPSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEEGKIYQLSSGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGNCQGVIALNMEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDAVVPGLLAAGEAACASVHGANRLGQKQKPLEKDAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKTWESFHDVKLSDRSLIWNSDLIETLELENLLINACITMYSAEARKESRGAHAREDFTTRDDEKWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >Dexi9A01G0032830.1:cds pep primary_assembly:Fonio_CM05836:9A:37668223:37669437:-1 gene:Dexi9A01G0032830 transcript:Dexi9A01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAKVQTMEAADYNKLINRDKVTDATATTFPNSIEIPNKFIRSDDEVGAGVFDVVGEDDEYELPVVDMAKLLDPELSGSETAKLGSACRDWGFFQLTNHGVDEAVVQQVKDSAAQFFSLPLESKNTVAVRPGGFQGFGHHFNAPASDKLDWAECLLLATQPVHARNMEFWPANPPTFRHVIDRYSVETTSLAMRLLGFMATDLGISQETLQSAFSNKGQTMAMHHYPPCRRPERVLGMTPHTDGFGLTFLLHVDGTPGLQVKRGRRWFPVRPLPGGLVVNVGDVMEVLSNGAYGSVEHRVVPHAEKGRTTVVVFMDASVDGMVAPIPELLLKGGETPRYEAVERVEFSKKHLKALAQGQGKQILDTKP >Dexi4B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:4B:6182043:6183848:-1 gene:Dexi4B01G0008620 transcript:Dexi4B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFCSNAAAGARAGHGLPLRRHGSPPSSAPVALRRHILPTRGPALSLRCAGADWSEPAFVTVAEKLDAAAAARNARVFAGAGGEEEKGRVEAISGVSSGVVEEPVAVPFAPLEQSLVPVDTVGDGTLSQMLSSKLGFFEGTSTYAIYGAGAFFAGWILSAIVSALDSIPLLPKILEIVGLGYTIWFGTRYLLFKENRDELLIKIDDLKRRIVGSGEE >Dexi7B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:7B:17563400:17566845:-1 gene:Dexi7B01G0010180 transcript:Dexi7B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFPIADALKAPSARVCLFAAISEIGATVRSRGTGMKDLELQLRSLKTDATFDLVCKLHKLAMHLFVAIRCPHKPCNMTILFSILSDVEYETAGYTTLMESLTHEQVTHKFKTLVRVVAAYPCRASELRLLLTGSFCLRLTLEDPTARIHAYVHKDDGVMCF >DexiUA01G0027130.1:cds pep primary_assembly:Fonio_CM05836:UA:57904888:57906888:1 gene:DexiUA01G0027130 transcript:DexiUA01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYIKFSQNYKMPGMRIDTRPALTDISGGGFFIRRVASPGAAQVKGAVKPLAGQAQTPSSNKENVPPVGALRTAPKRRSPLPDWYPRTPLRDITSIVKAMERRSRLQDAVSRQQTRWTEDSSRSVDSITPVQAESMQTTEEAQAVATPATFLVNSNLKTSSPSDCCLQATPYKPNDPDLSDLMKKLSNSIEQIEKMVRQNLKKPHKAAQPSGRAVQRRILMSMR >Dexi5B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:5B:13123798:13131234:-1 gene:Dexi5B01G0015730 transcript:Dexi5B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPHVTLLSGCSGPIPSTTLQILPFVSPLPFRRCGRSILVSNASSSPSSPPSPEKEALAEAVPVPTAESCVNLGLELFSKGRVRDALEQFENALELNPTPIEAQAALYNKACCHAYREESKKAAECLRTALRNYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETAGNAAINIGGIVVLVALYFWEDKKEEKQITQISRNETLSRLPVRLSTNRIIELVQLRDINRPGDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGTGMPNWNDILQELPRLEELMSKLER >Dexi6B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:6B:16305531:16308413:1 gene:Dexi6B01G0010160 transcript:Dexi6B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTESLAHERVPSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >Dexi9B01G0037970.1:cds pep primary_assembly:Fonio_CM05836:9B:39213922:39216978:1 gene:Dexi9B01G0037970 transcript:Dexi9B01G0037970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECEPFFFFDELGISYRGGEERRMSGGGMDGGAPVAEFRPTVTHGGRFLQYNIFGNLFEITNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQSFNDVYIGTELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITELIGTPTDEELGFIRNEDARKYMRHLPQFSRRPFATLFPKVHPVALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICTEPFSFDFEQQALTEDQMKQLIFNEAIEMNPNFRY >Dexi2B01G0029600.1:cds pep primary_assembly:Fonio_CM05836:2B:37980780:37981170:-1 gene:Dexi2B01G0029600 transcript:Dexi2B01G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPRETDAPGGPANGAAPPPPAAGDVEITKPRNDKRGYRRVVLPNALECLLISDPDTDKAAASMNVSVGYFCDPDGLEGLAHFLGQCSVPACCI >Dexi1A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:1A:7848754:7851767:-1 gene:Dexi1A01G0009530 transcript:Dexi1A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHALNGYEEEEVDEEEGHPGRRGGRDVAGYGDVGGEDGRGAGGDSSGKIFVGGVAWETTEDSFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSAYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGYRSSYRSGGAGSGSNSGGAGSGGGGAGYGYGGAYRSAAAGFGYDGGAGAGYGYGRGYGYGGNAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGAGGYGGYGGGAGGAGGAGGGSSGARGSSRYHPYGK >Dexi5A01G0020260.1:cds pep primary_assembly:Fonio_CM05836:5A:24062631:24063851:-1 gene:Dexi5A01G0020260 transcript:Dexi5A01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAAGFPRELLVEELQCIADVGLWDALRRLKLDVLGTDDSPIPITGYYAPRLFRKLPSFFNLRPESLVPRSHNSIRDRNKCPIAGTLMNTNNMRGFQNLDREQLLKAEVKKILDDIMSGEVEEDPALLLRFVVISFADLKNWKVYYNAAFPSLVFNSKITLLSLQPASKVLTKEEVMFLCT >Dexi2B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:2B:23547896:23548297:-1 gene:Dexi2B01G0014070 transcript:Dexi2B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGAGRWSSPSRLQLLTRRRLPFTASSSSRAPPAAAAGFGWADALRVAGDGGRGDESDLTGYFRKVDICNRGMDKKGQLVEFLVEDQVVGYIHKG >Dexi9B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:9B:3201398:3206486:1 gene:Dexi9B01G0005450 transcript:Dexi9B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNATLAGLGQAGKQPEDIFPRVISPAEKASPPNSLPRRSKPNPAAVSSAPHPILRRSPHRPLRPLPAPASDHPLGGRARRRQHAKLGMAAALASSPLVHLTASRLRLPRPRASVSGAAAPPSCSRGVRLGWRLTVGWRATRRCDRLRCFSNDGGGGGEEGEKRGEEEASAAAAPAADELGSERSRSGSFSSSSSSSGTPGASSEPPLLSFSVDNIDTVKLLELLGPEKVDPADVKAIKENLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGDDPRGGPRVSFGLLRKEVSEPGPTTLWQYVISLLLFFLTMFSCVELGIASKISSLPPEIVSYFTDPNATGPPPDMQLLVPFVESALPVAYGVLAIQIFHEIGHFLAAFPKNVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDIAMAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRATLGYRAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIASVFLVVLTLIPLWDELAEDLGVGLVTSF >Dexi9B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:9B:5537531:5540931:-1 gene:Dexi9B01G0008990 transcript:Dexi9B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKLVPRDKILSTPKRGGGSDSDSSDGKRQAKRSKRGRDRKDKEKRRSRRRRSKYSSEEESVSDTDDSIGEEEEKEVRRSKRRGKHRRRRHNFSDDDSESSESDRERGRGKAKQRDYDEDDEEDDTGGEGLKASEVVRREMGLEWMLKSASSSRAEGSSVRKADNDEKEEAAHDEIVRPNPKELNPYLKDNGTGYPEESTPTNTSNQLLASSVVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGDLAVSVSASRAAHSHAHLHAIRGRKSGNADNSEEHAKGNSEGRQGGDSGRREYLRDVSSHHHAMRKPKPDSIPWKRRKQNISSEGQALISSAVASLNKFSDDGSFMEKISDVSKNTDASTSIARADEQKDSEQKHFKDSSKKVPLVSTQKLNANQLAAKILQLRMKGKNEEAEQLSIEMQALLENQDTVPEEPSHGKEKSSIRHTLKPSAADRRRREENADLHMANKIMHNKQYSMSKSIEDEYDFGDAPSKKDKRKNKEAQEERRSSNRQMLTQKERCLFCFENPSRPKHLVIAIGNFTYLMLPQFEPVVPGHCIILPLQHESSTRTVDQNVWGEIRNFKKCLLKMFAQQDKDVVFMETVISLARQRRHCMIECIPVPSDVSSNAPMYFKKAIDEAEEEWTQHEMKKVIPTSASRNLRQAIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNIIRGMLQLPEEDMHRRRRHESMDNQKQAVASFKKDWEPFDWTKQLD >Dexi4B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:4B:16059677:16060443:1 gene:Dexi4B01G0014810 transcript:Dexi4B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNLVSLWLKKNLPAASAKRTTPQAQTSALAPSKPRRRKISGAMNMGVPQMQQRVTSGRVDERGEPEIGDLDVARVVDEDVVGLDVAVVHPEGVAVVKRRHQLLEHTPRGGLTDAAAGDEVGVEGAAGDKLHDHEDGALGGHHLVDAHDVGVTHAAHDVDLAHHLPLHLHVAGLGQVVLVHDLDGHLVTSLQVHRMVHFGEAALAEQFAQLVPPHERARSFPHARPSSPWPRAGGGESAVLSGRFVVLRGG >Dexi4A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:4A:9052426:9053321:1 gene:Dexi4A01G0011200 transcript:Dexi4A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPPSPDAATFTPRAAALLLARCASRAAAAALHARLLRCSRAFFRSPYLANCLAAAYSRLGAAPSAVALLRAVSRPNVFTRNILLSALLGSGLLEDARRLFDGMPERDAVTYNAMLSGYAAASRPEEALRLCYSMRERGVRPTGFTFSIVSSAVGSALHGQQLHAAAVRHGLAHLDAVVSNALIDMYRRVGLLQYAARVFSCMEEPDVTSWNSVMSAYKDHTLSSTVFECFLSMRSKGFSVDGFSVSTVLSTV >DexiUA01G0024040.1:cds pep primary_assembly:Fonio_CM05836:UA:49203304:49204344:1 gene:DexiUA01G0024040 transcript:DexiUA01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATGILAFKSVGKLEPGELYYFAGIDEARFKRPVVPVGAIIGANVHIGPFCIVGPHVEIGEGTVLKSHVVVNGHTTIGCNNEIYQFASIGEVNQDLKYAGESTRLEIGDRNRIRESVTIHRGTVQGGGLTKVGSDNLFMVNAHIAHDCTVGNRCILANNATLAGHVSVDDFAIIGGMTAVHQFCIIGAHVMVGGCSGVAQDVPPFVIAQGNHATPFGVNIEGLKRRGFSREAITAIRNAYKLLYRSGKTLEEAKPEIAELAEKHPEVNEFMTFFDRSTRGLIR >Dexi5A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:5A:9090233:9092921:-1 gene:Dexi5A01G0012180 transcript:Dexi5A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGSYVPPQYIPLYSTDTEEDGVPAVEENHAGRHKLSRDPTQWSSGICACFDDPQSCLVLAVPGSAVACYACGYRSALRTKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTGSSSSSSPNVTPPPVQTMDEP >Dexi5B01G0027030.1:cds pep primary_assembly:Fonio_CM05836:5B:28672225:28674066:1 gene:Dexi5B01G0027030 transcript:Dexi5B01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEAMDGEMSLSNMVLGFLEDFERERYPENDDDEGSSGGDTAESRAFWQTQQSQLHEALAKTSQAESRIRADTEAAIKTMRGGAAACSCSGRPAAGGDCRRCMLRHVAERLRDAGYNSALCKSKWTRSPDIPASGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEMARAGVEYRALVASLPEVFVGRADRLRGVVKAMCAAAKQCMKENNMHMGPWRKHKYMQAKWLGTPERTAAATAAVAAPVVPSVTAGSPEKQTKFRASMLTFDFGRTAVEVV >Dexi2B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:2B:818144:819940:1 gene:Dexi2B01G0001280 transcript:Dexi2B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVAPAMVCSHHRGAERQRGRRREDRICVRPPSLVGRREMMSPRMPSGRRLMKSCSSRFLFLALVSTPMAMAAAGGGEEINGGRKPRQ >Dexi8A01G0016600.1:cds pep primary_assembly:Fonio_CM05836:8A:28260348:28260939:-1 gene:Dexi8A01G0016600 transcript:Dexi8A01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEASVGEIIENGKGWMRDEVMTCFTKYAERRSKLKILESHLDELCSQCFNVEDYNKVFHHYNFKVKMRKPYLVDWTVRLYFAEVKEIFGRKYYFCCPLEPNENRHCHACMNQAVEDLKHPATGGYEMGFTDATFFGYAEK >Dexi9B01G0027650.1:cds pep primary_assembly:Fonio_CM05836:9B:30098699:30099470:1 gene:Dexi9B01G0027650 transcript:Dexi9B01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPRHRAATTTVTVFLLLLACQAVSSGSGGGFPSSSVAVKHRPVHFRKLLVNFTSGHHPHGFAAAAAEKTTAAAALDCSEAVMEVSQVDAGMLPSGIPSYSVTITNTCLDCTVRDVHVSCGEFASTVLVDPVSFRRLAYGDCLVMDGGPIGPGDTVCFEYSNSFAYSMDVASVSCDDV >DexiUA01G0022050.1:cds pep primary_assembly:Fonio_CM05836:UA:45249279:45257284:-1 gene:DexiUA01G0022050 transcript:DexiUA01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAVVGDEHMAASTSQHALSSIKFWSTSNFSLEKPTCHVHSVSVGRRCPSARSLGLVCASNSQSSVVEPVQLPGSPKSSITPKKSSESALILIRHGESLWNEKNLFTGCVNVPLTPKGVEEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHKWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIIPQLAAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKNLAQYRQKLDGMIHCMSNHNAPCDIPKPASVDEFLVKNGKKKKSFMSGLFRKKGRDKRLLSRRDRDIVFDFEGKSGDREFLDASSVGIRKSFSDRHCTTRIENLSLSCLDSPRRPNVDTREYRVFVGTWNVGGKPPDSSINIEEFLQIEGLPDIYVLGFQEIVPLNAGNVLVAEDNEPAGKWLGLIYQALNRPPAHDTQSSGDELSPPPASTSSQTRPGARGDSGNAAIPKSSSAGVLFPQKPSFKAITKSYRVDNALVKTCTCMSDPCTMQRRAREMREFLYRVEVEAAAVSSASASPCRGAAADDYGAPPADGGDHRSGAGMNYCLVARKQMVGIFLSVWVRRELVQFVGHLRVDCVGRGIMGRLGNKGCIAMSMTLHHTSICFVCCHLASGEKEGDEVRRNSDVAEILKNAQFPRICKVPGQRIPEKIIDHDRIIWLGDLNYRVSLSYEETKMLLEENDWNTLLEKDQLALERQAGRVFKGWKEGKIYFAPTYKYRQNSDSYVWETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGEFRLSDHRPVCSVFVIEADVDNGSKIRKGYSTLDARIHCESHAIPKRHSFYDDF >Dexi3A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:3A:3528469:3530177:1 gene:Dexi3A01G0005470 transcript:Dexi3A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSSLYYCSCLLLPLAAHLLYSLAKCYYCSNPSSRSGHRGGLRLPPRPWQLPVIGSLHHLLGDLPHRSLRRLSRRYGCPHLMLLRFGELPVVVVSSGEAAREVMRTHDAAFATRPQTATVRILTKQGQAIALTPHGDHWRWLRKLCAVELLSAARVRSFRPVREEEAARLVGAVAAAASPSGGSSSGNNKLVNLSEMMAAYVADTAVHAIMGRRLDDRDGFLRYVDEAIRVASGVTLADLFPSSWIAGALSWRQAHKAEVYRQGLFQFLDAVITEHMERKSQDQGKLQEDLIDVLLRIQSQGSSQFLTMGTIKAVVFDLFSAGTETAATTLQWAMAELMRNPDVMSRAQAEVRGAFMSRSKVLEEGLSNLTYLHWVIKETLRLHTPGPLLIPRECRQTCKVLGYDVPKGAMVLVNAWAISRDPQSWEEPEQFKPERFQSDTRDFRGNDFEFTPFGAGRRICPGMSFGLANVELALANLLFYFDWSLPDGILPNEVDMTEDMGITARRKKDLWLRAKICLNPPH >Dexi1A01G0027210.1:cds pep primary_assembly:Fonio_CM05836:1A:33039964:33040346:-1 gene:Dexi1A01G0027210 transcript:Dexi1A01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSPCHRSPSIAVPSFGTRKATLRFRTSVHSSHGHLSNSARSIKTVFEDQVRGVVCYRDDRGEVICEGYDEGPRLGMRLPEKACFPW >Dexi1A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:1A:21256819:21257356:-1 gene:Dexi1A01G0014560 transcript:Dexi1A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKADLQAQLKEFKSELSLLRVAKVTGGAPNKLSKMFKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQVYTSFAFALKQC >Dexi7A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:7A:20767580:20770754:1 gene:Dexi7A01G0009850 transcript:Dexi7A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding STIATILYLAGPSDDTGAQGKVLSLRHPRSGDETGYLFIDGQLQEINWFKERYGAWFLGDYVCEDGGLYYCTPVDPIFIFLPTFEAARMSNGKDPGKFRQLDEILYVEGYPGYQLLMSIAGYHMELVCEVKEVANMKFFRLDDSKVLSWLCCKVQNLKEVFPKLGKNYAAQAEREQLKEAVQMVREYLKDEPWLTLLCKKLKLDMNEIINDTTTKTSEASFYADSSPVPVCAPESKVGNGSAKSSKGRPAKKPKTEVGSKNIKDMFRRVTRSGSGS >Dexi1B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:1B:2757941:2762445:1 gene:Dexi1B01G0003480 transcript:Dexi1B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGLLMWQNPVAGDRNRHAVLYRRWHCFGPWEPSLSLDQSALLIRGDIFLELGRFSPFGSAVSQPPASPPPHHLIARSRRLPPRPLSRRHRLGDLITPSDTSRIVARPPSPRFDLVWFGLASQGEIWGRDQGTGEASGMPGGEDVRKVSRQDIQLLLGSASCREVEIGYAGSLLHFKQKVLMKKTSLQVQNLIERCLQLYMNQKEVVDTLSLQAKIEPSFTELVSLHFWFIYSSFFSPVWQKLEEENREFFKAYYVRLMLMSQITAFNKLLEQQYQIMSKDHPSGVPSMPPAANGSNSSTLNQNVPFLPDTIPSTAMQDSLLHNGGSSGIVNGATSNDQFIYTGKVVHGLPSSMDASSSLLAAQNSTVGQFNGHNGTAIKAEAGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSSELNGQQLGDPILDMDSSSYGFLSQIPRNFSFSDLTEDFSQSAGAFM >Dexi7B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:7B:9586234:9586944:-1 gene:Dexi7B01G0003880 transcript:Dexi7B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIPSAPSSWSGCYPNVQVLPRFQRENLEKNALIFERVSGMASRKGCTPSQLALAWVHHQGNDVCPIPGTTKINNFNQNLGALSVKLTSEEMTELESYAATHNVHGERSQSKLLNTWRNSDTPPLPSWKNN >Dexi7A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:7A:27066814:27068017:-1 gene:Dexi7A01G0017340 transcript:Dexi7A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRTLVGHIERHGGHVSSWRNLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIIALHAKLGNKWSTIATHLDGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQRLPPDDVLGLGLGGALLASPGAGARGLPEAILAAAASLGGLNSVLVQAQALQLLLQAVNGGGATAAAGLMGANLVASPATDNNNAMVNNAGSVVPTNFHDQINMTNLLAHASYPPADDYLNTMARFAEHHDVVQQQQQLNASAPAPAATVASFPQEEGVAAAAGRRPVQGFADLLLSEPIEMPGMCSLEDDRFWKDMLAEGNSLPL >Dexi5A01G0028930.1:cds pep primary_assembly:Fonio_CM05836:5A:32116305:32116523:-1 gene:Dexi5A01G0028930 transcript:Dexi5A01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPTLDQLREGGAAADIFRRPIDPTPRRAKTLDLADQRHQSHHRVPARIWLEAPRARKQPAGAAGLRARS >Dexi9A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:9A:5952565:5957247:1 gene:Dexi9A01G0009830 transcript:Dexi9A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGASPATAEAWTWENAAAGAAAGFATVAALHPLDVVRTRFQVSGGRGWSEMPPYRNTAHAVYTISRFEGLRGLYAGFSPAVLGSTVSWGLYFFFYNRAKQRYLEGKDGQLHPVQHLISAAEAGALVSLFTNPIWLVKTRLQLQTPKHHTSGYSGFSDALRTILKEEGFLALYRGIGPGLLLVTHGAIQFTAYEELRKAMISIKSKQTRTGNSGGEESLVLTDLYSLSDMPLFHSEQNSIDFAALGAGSKVAAILLTYPYQVIRARLQQRPGSDGTPKYSNSWHVVKETAKYEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKSAKEKT >Dexi2A01G0025690.1:cds pep primary_assembly:Fonio_CM05836:2A:37333498:37334973:-1 gene:Dexi2A01G0025690 transcript:Dexi2A01G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQTSPNNNSDQQHQSKKKLVFHKSIGTVAGLRKLLPAGTTLAFETMAPAFTRGGECTDHDVNFSFTWWLIGFLTLLCVLISFTDSFTDKDGNTHYGVATPKGFMLFNGHLKDLQLSDDELKALKNRMKWHRRDFLHAILRAAVFVSLAFCDAGLQRCLVPRESLHWRDFLNHLPLAVGFLAGFAFIIFPSSRNGIGETETTTTVKNKKKKDDDHDNRLVDKSMGIAASLSMLLPTSATLALETLLPSFTNGGACSDHDVNFVFTWGLIAFLTALCALLRFTDTVADMHGNTHYVLATRDGFKLLGGHDPKDLKLSDDGRENRVKLKDLKRRIKRRPRDFVHAFFSSAVFMALAFCNAEVQSCLVPTETWQWQKFLTILPLGVGFLASFVFVIFPSTRKGIGGQEDGSWGTVKRGGGGGGEAAATTGAGGQSSHLGATSITRVAPSSTSYQLDPVV >Dexi2A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:2A:22929828:22931669:1 gene:Dexi2A01G0014010 transcript:Dexi2A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAPRLSRSSTRYGPVSSSAASFSGPVRKWRKAWVPLAGAGAGAAGLGGGASRADNKVVLFRWTPVNGGSGARGGADGGMELAASGRRRYVPVRLPVFMDLSSMLDCRARAAGEAQNTSKRGTSSELNLNLELEDPDDETDADMSTDEPRDVEDSNPRPESRLKRKAF >Dexi3B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:3B:5663009:5663224:1 gene:Dexi3B01G0008050 transcript:Dexi3B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAAIAQAEADKEKAAADKEKAAADKEKTKNDKVDKYMQLLDRDTSDYDDDAKARHQRLLDYLARDIGLL >Dexi3B01G0037950.1:cds pep primary_assembly:Fonio_CM05836:3B:40799017:40801556:-1 gene:Dexi3B01G0037950 transcript:Dexi3B01G0037950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWPSRSSKVFVKARSSRDFSRVMTTMRGTIGYLAPEWISGTAITTKADVFSYGMMLFEIISHKRNVDPGRKFFPVLVAEKLIEEEEGDVPALLDADVAGEVDVEELERACMEDESMRPTMGAVVQMLEGHQHTSFLVVWVWLSFVCHAARPSCAGQTI >Dexi6A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:6A:1975195:1975803:-1 gene:Dexi6A01G0002120 transcript:Dexi6A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATMAMLKPAAKIVARSAPPPSTSGVSLRGGVPAAKKSGLSLSISSASPAVATAMAGAFFHALASSDAAMAAQQVADMAAAADAGSDNRGQLLLFVVAPAIGWVLYNILQPALNQLNRMRSQAVIAGLGLGAAAGMACAPKAEAATVQNLAALAEAAAAAPADDNRGLLLLIVVAPAIGWVLFNILQPALNQLNRMRSD >Dexi4A01G0003660.1:cds pep primary_assembly:Fonio_CM05836:4A:2605928:2607349:-1 gene:Dexi4A01G0003660 transcript:Dexi4A01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIDVAVVSRTLVRASDPPLGFPAVIEASNLDLILGSFHIYLIAVYPAPAAAGFPAVASATRAALPSFLSRFFPFAGRVVANASTGLPEITCDNSGAELVVAEAAVTLADVDFADANLSLARLALPFRHGLALSLQLIRFACGGFAMTWGTDHLLADGHGLTALPNAWAELLRTGGISWEPHHERASLFRPRSPPRFSPSLDAEFTRYTPASLPNPLLAATLVRRNYVVSAADVARLRAAASTPSRRATRLEALSAHVWKLLASAVGDSDTRCRMAWLVDGRPRLDPARFDADAVRRRYLGNVITYASREAGVDAVSSAPLPDVAAMAGAAIAEVFRSERFEELVDWMEARKGVFKGGGKWTETVGLGTGSPAVVVSAFVPFRVEGDFGFGRPRLVMPWVRPGRLGSAAMTVARSSLEEDGSWVITARLWPRLADAVDADPEAVLKPATAARLGFGKLETKDVVVQHASRL >Dexi9B01G0026070.1:cds pep primary_assembly:Fonio_CM05836:9B:27767189:27768953:-1 gene:Dexi9B01G0026070 transcript:Dexi9B01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYANVTEAGEVGVCWGTIGDSIPDPGKVVQLLKQNSITMVRIYNTDSGIINALANTGIKLMVALPNEQLANAASSPSYARQWVQDKVAKYYPSTLINGIAVGNEVFDSASNLNQQLVPAMRNVHAALASLGLDGVIKVSTPVAFSALKVSWRPSQGEFRDDIAQSVMVDLVAFLRQTDAPFMINVYPYRAYLDDPNHSISVEWWTFQPNDGHVDDLTGHVYYSLYDAQMDALRYAIGKVSPASSLRAWLAQGTRADDIALTCSECGCSRWNIPPHQCRDFANGLISYTLDASTSAARSNYTASRLLGSAGGGATSGYIFALFDEDSKPGDESERKFGLFDPTNMQPFYDVDFVHGRCPVAPTPPAASSWCVANTAVGDERLQEALDWACGHGADCSAMQPGARCFSPDTKEAHATYAFNDYYQRNGRASSACDFNGAGSIVYQQPTDICDPNQPESWCLARADVGDALLQVELDWACGHGADCSAIQPGGPCFDPDTTVSHASYAFNDYYQRHSRAASACDFAGAGSVVYQSPSEFTLMIDLTGVANQLEQIEK >Dexi3A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:3A:6200304:6201956:1 gene:Dexi3A01G0008930 transcript:Dexi3A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGIAVDDECKLRFLELKAKRTHRFIIYKIDDKKKMVVVEKVGEPVLNYDDFAAALPPNECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDSAEVGLDVIQGRAN >Dexi3B01G0025370.1:cds pep primary_assembly:Fonio_CM05836:3B:20157849:20158598:-1 gene:Dexi3B01G0025370 transcript:Dexi3B01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLAPSAPRGLDATAFLDRRRGVVAAVAPAACGVMARDPIRRVGAVVSSAAPTKKRARNGFDGNIGERDLGLVAGKVSVSVISGQQRLQERMRAELDAIRVLHRKAVALCGGAAATKDETRFSVAGSRSEAPMEEAAAKRRKTSLSKQSTTKPVKKQQQHPVVQQRAMPAPTKGFVAKPVDKAREIEKRRRLDEIAQARERCRQEVLEIERTALPDETIYPRDLEELGIAFQYAVTRTWKQAHGPV >Dexi5A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:5A:25523110:25524829:1 gene:Dexi5A01G0021710 transcript:Dexi5A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLNFRPTAGHVRPHRHPLLAHLDACSSRAHLAELHGRLIRAHLALDAAVAGRLVALLASPVAGHDMRCARRVFDEMSQPIAPVWNCMIRGYTNSGAPGDALATFRAMVRRGVAPDSYTMAAAVSASAAADGWAWEWRATGDAVHAMVRKIGCAADLFVMSSLVNLYGTFRSAEDARKVFEEMQERDVVSWTSMISAFAQRGMWDDALRLLGEMQADGITPNKVTIISLLSACGHGKAIDKGLWVYGQLSEYGIEADVDIGNALVSMYAKCGCMSDAFEAFKVMPARNTKSWNALIDGFVQNQKHKEALAMFEEMLSNGLNPDAVTLVNVLSACTHLGDLQQGRNLHRYILSRGIYCDTILTNALINMYAKCGDMAAADVVFQTMKHRDVVSWTTMVCGYVHGRQFTASFIFFEEMKVAGIVASEMALVSLLSACSQLGALDKGKEIHAYIEEKNIKRDVFLESALVDMYAKCGCIDMAAEIFSKMQHKKTLTWNSMIGGLASNGHGKEAVQLFDQMLKFGDPRPDGITFKTVLGACARVGMTS >Dexi5B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:5B:18552042:18553855:-1 gene:Dexi5B01G0017300 transcript:Dexi5B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWTATRPPVAIIATSMIGPKQSQRGYPHNEFPMCCSPALTRTPIDKETETDDTTRAEQNKSVHPSRAERAVQYSRLQSTMDWGAPALMSSVADPSMRDLCCFGAGIAGNVFAFVLFISPLPTFRRIVRNRSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAAFQLAYTAVFIAFADAKERLKVSSLLAAVFVVFGLIMYVSLALLDHQTRQMFVGYLSVASLIFMFASPLSIIIPNGIGTILGIIQLLLYAYFRKGSREEAKLPLLVTHT >Dexi9A01G0026760.1:cds pep primary_assembly:Fonio_CM05836:9A:30731885:30733584:-1 gene:Dexi9A01G0026760 transcript:Dexi9A01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPRLENEHEHENEPNNLIMKKLLSRCWEESRLLWRLAFPALLTELFQFSIGFVTTGFVGHLGEVELAAVSVVENILDSSAYGVLFGLGSALDTLSGQAVGAGRLERLGTYTQQSWIICSATAVALTPAFVFATPILKSFLHQPPHVSRVAGPYARWAIPRLFAHAMNIPLLMFFQAQSRVWAVTAISGAVLAAHVALTYLAVRRLGCGLRGAAVVGDISHWLIAAAQFAYMRGGCFPEAWKGFTVRSFSNLGAFVKLSLGSAVMICLEFWYYTTLLVLVGLLKHAKLQIDIMSVCLNYEFMTIMVALGFSTAAGIRVSNELGANRPKETKLAVVVAVSTSAFMGAIFMAFALIWRTSLPKFFSDSPKV >Dexi5A01G0030870.1:cds pep primary_assembly:Fonio_CM05836:5A:33737281:33737829:1 gene:Dexi5A01G0030870 transcript:Dexi5A01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAAGEQFVSVAPPDRTRPFGVTELRSVAVAPSPWRPTSRAPVHTPPVSRFLSGESPGAPGARDLEPSARAWALPIANASVARVRVRALGGLEADDRERFRRSATKAEAFAPGHVQSPDAAADCLAGSSSSGSQRDGSPAFPPGAQRSPGPFPMARAVYLSSSPSAVYLVGFVAGGSGRR >Dexi8A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:8A:23249132:23249734:1 gene:Dexi8A01G0013250 transcript:Dexi8A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGRFGGGGGRGGGGGRFGGSGRGGGRFGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESV >Dexi2B01G0034560.1:cds pep primary_assembly:Fonio_CM05836:2B:41788554:41791506:-1 gene:Dexi2B01G0034560 transcript:Dexi2B01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPAASVASLLPQLWHRRFLPPSLVRRALSTSSPLLTTRQAPRRRSPLSPSTHLAAAAAVSTATAVDAPTTTPYPVYDRLLPCPLQDDPPRIEHLVAREDEVAADFISRSLGLPLLYVEDLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTDPSVLRRRASIKGKTVREAQKTFRVTDPNQLLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVADDYVVLDKPAATSVGGATDNIEESCAVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKVYLALTTAPVSTGIITHYMRPVNRAPRLVSEDHIGKWYLCQMEVLDCKKVPWPSSLIRKAYNVNDCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIIGDSAYMTAAMAAIANTSINPFGRESLSYSSEEEKEAAVEAWIAAHGKEPKSVIGLQASEISWDYEGERHSYKAGVPWWRQDSVESDLV >Dexi4A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:4A:24550934:24551302:1 gene:Dexi4A01G0020780 transcript:Dexi4A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPRLSAIFAAAASTAPSPRAPNLALVAATERVRSGAFGAEDARHLLDELLRYGTPVPVRPLNGFLAALARAPSSSACSDGPALAVSFFCRMSRAAGPRVMSLSFHTYGILMDCSSGQA >DexiUA01G0024220.1:cds pep primary_assembly:Fonio_CM05836:UA:50025368:50026543:-1 gene:DexiUA01G0024220 transcript:DexiUA01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNNGDLMGTAKHSKLLILGSGPAGYTAAVYAARANLQPVLITGMEKGGQLTTTTEVENWPGDPNDLTGPLLMERMHEHATKFETEILFDHINKVDLQNRPFRLTGDSGEYTCDALIIATGASARYLGLPSEEAFKGRGVSACATCDGFFYRNQKVAVIGGGNTAVEEALYLANIASEVHLIHRRDTFRAEKILIKRLMDKVASGNIVLHTNRTLEEVTGDQMGVAGLRIRDTQNTDNVETLEVAGLFVAIGHSPNTAIFEGQLELENGYIKVQSGIHGNATQTSIPGVFAAGDVMDHIYRQAITSAGTGCMAALDAERYLDGLAEQAFLAYSLLAM >Dexi9B01G0037410.1:cds pep primary_assembly:Fonio_CM05836:9B:38829608:38829840:-1 gene:Dexi9B01G0037410 transcript:Dexi9B01G0037410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRSPDEVVHSGEVEDPTRRIYREISAGRYYQKGNLNVGPNRPATSDSVGPSSSYRA >Dexi3B01G0029010.1:cds pep primary_assembly:Fonio_CM05836:3B:27801481:27804349:1 gene:Dexi3B01G0029010 transcript:Dexi3B01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSWLLVLPSGFIVCFFVFASVAQAAVVEHTFNVGNLSISQLCKPAMIITAVNGQLPGPTIEASEGDTVVVHLVNESPYNMTIHWHGIFQRGTPWADGPAMVTQCPVTPGATYTYRFNVTGQEGTLWWHAHISFHRATVYGALVIRPRGDDDAYPFPKPNREETLLLGEWWNASVYDLERMAFITGNTAPPADAYTINGKPGDLYNCSNANQTYGFQVQSNETYLLRIINAALNTPMFFKVASHNFTVVGADAAYTTPYETDVVVIAPGQTVDALMVAGAAVGRYYMAASPYDSAIPNGPPFSRTTATAILEYVGSATDAPPQLPPRPEYNDTNTAFRFFSNLTALVLPGKPTVPLSVDTRMFVTVGLGNTDCQPEQLLCNTTGTRAPIFAASMNNASFLLPDAVSMLQAHYANASAGVYTRDFPDQPPVIFDYTADASDTAVLKYTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYDEATATPRFNLVNPQERNTIAVPTGGWAVIRFVADNPGMWFMHCHFEAHLDLGLGMVFEVQDGPTVETSVPPPPVDLPQC >Dexi3A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:3A:7688135:7688650:1 gene:Dexi3A01G0010750 transcript:Dexi3A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAMSLSGVPGLVILDLPTPNRPCTPPPAPTLVEITEEEGGTPDRRPVSQPIALLEELLAEEEHEVSIRRRRTRHKRAADSASKIRRSHRLAAKEVPFYMDAVTKASRVKAEKMNITGASNRMKVALEQATILERPPPPRIKVSKLKCLGRVCGLGRLSEIDDEKVPATT >Dexi7A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:7A:19636527:19636868:1 gene:Dexi7A01G0008350 transcript:Dexi7A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHRSHHPEAAPVRYRNPDPSPERLLSAADEASTVGDRTGFAVLGFGGSPRGRGGGRWGGCRRRSASTARMKRKELVLLLLLSRALLLPWLGDFSPLSG >Dexi1B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:1B:23671274:23678962:1 gene:Dexi1B01G0017360 transcript:Dexi1B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFSIVESVFPLGNGQRAIVEVPSPKDPKIVESLLLDLLDPENKVYALSVLPKAVKDTEMRLEEDLVFNLCKPELRGNALAELAKKRETFNDLALLLWYSFGTIAALLQEIVSVYPSLSPPTLLASAASRASNAIALLQTVAAHPETRTAFLQARIPVYLFPFLNTTSEAKSFEHLRVTSLGVLGSLAKDDPAARHFLQQLLDKLASSAGAPHPGIGPAVGGSHRGSSQAGPSHAMM >Dexi6A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:6A:5602130:5602574:1 gene:Dexi6A01G0006010 transcript:Dexi6A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQSTVPASPETAVTAQEAMARPTTARTPAKLRRGPKTPPPKVTPTLAPNNMTTKPTAIAMPPAAKSMLMAPALKPCRGCCAHRASGHRQQQRKRKLERCGGEEEEEEEAL >Dexi9A01G0008280.1:cds pep primary_assembly:Fonio_CM05836:9A:4890969:4893799:-1 gene:Dexi9A01G0008280 transcript:Dexi9A01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHVLVLPFPAQGHVTPLMELSHRLVDHGFEVTFVNTETQHARVLSALPAGGAAVLGGIHLASIPDGLADDEDRKDLSKLIGAFTRHMPGHLERLIANFEAAGRPRAKWLVSDMISMGWSFEVAKKFSIRVVSFWPGAMATLALLLKIPKLIEDGLIDDKGLPQRQEAFQLTAGMPPLHTSQLSWNNAGAPEGQPIIFDLVTRNKKFIDLAEMSVANSFHEAEAGAFRLFPNILPIGPLFADHRKPVGSFLPEDECCIKWLDAQPDRSVVYVAFGSIAIFDPRQFEELFEGLELTGQPFLWVVRPDFTTGLSKTWLHEFNHRIAGRGMIVSWCSQRQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFSDQFLDRSYITNVWRTGLAVSPNAEGIVTKEEVRRKVELVLGDAEIKERARLFNDAARRCVSDGGSSDENFKRLVNMLSE >Dexi2A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:2A:267667:268262:1 gene:Dexi2A01G0000500 transcript:Dexi2A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKELPGFYYDPEKNRYFPIKGPIPGATARRPPRPDPPTPPPADKAGCSRKRARRPELLSAREMYGGGVIFSNKAIRSTFKQEYHYVQASQPLVWKYQATTFVADKGLEQLNATLQTPQGLRESRVLVTGSMNGLIR >Dexi9B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:9B:4241803:4242633:1 gene:Dexi9B01G0007030 transcript:Dexi9B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPIHSDTASPHRRDRGRPALRSAYPVTSASAASTSSPDPIDRRLGSDELARLCRGPNPEAAIRLLDEMLRRRGGAELEPEEQAALLRSCADTRSIAILRRAHRLLASRSSSAIPAPILHGIATLFLKLGARGDARRVLEEQSRNSLPRRGRAAREDAAAQAKRREAYEKVRELHEQIRAAGYVPDTRHVLHDIDEGAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHTAVKLIAKVTGREIVVRDNKRFHHFKDGVCSCGDFW >Dexi5B01G0011800.1:cds pep primary_assembly:Fonio_CM05836:5B:8364799:8365131:-1 gene:Dexi5B01G0011800 transcript:Dexi5B01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENGDAAAGGEAVRRRKALLHTESGQVVRSYAELESELRALGWERYYGEDTTTLLQFHKRGSLDMISLPADFARFSSVHMYDIVIKNREAFRVVDV >Dexi6B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:6B:4778414:4788186:1 gene:Dexi6B01G0005520 transcript:Dexi6B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAVRAVEGFRAGALVSRASAGGEAMPDKGERGHGHHHQQHRKSQQSASAAELEEGELLNGEPDTNGLPERSMPPKKWRKVLAASTAAAEVEPGEIVGTKQAVPLKKLRRNDDLEKEDLVLEWQRKDKSAGKSARKSSKDEVEPGEIAPSEKRRDSKSQRADDNGRRPSSSVQKGSLRDSDEEPGEIKPESSSAGSVRKSRPSEPQSINHKHQADASDQSGSKSRRKGEGRSSSAGRHLFGRNREASPPTRDRHDRHERSPGILGRFPHDRFRHDRHDRSPSRLERSPHRDRARHYDSRDRSPYISPRHRVRQPHFRDNTPSRVDNSPRGWGQHEDFRDRSPFHHDRSTSERSRAADSHEATKKNRNVNNSETPQHKSKSTKQPSKTKSGGSNGKVEEKSSKEKATESTQYTELPPPPPLPPPPPPPPPPPPPLPPVVSPPLPPPPEPEPNGVLAEDMIEDMDICDTPPHTISAPGLTEPVCDMGRWFYLDHFGIEQGPSKLTDLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSDIPSINSDASTQLVSPPEAPGNLLDEALEEASNLASAAEDKQMGEASAEDREDFYIDDRVEALMDGSILVHGQELKIIGEILGADFKPADWERWSRPEDFTRFQVHPEGDGGITKGTEFLDNIPTDTYGLVSVEKNNFHPYVESSEWFSGRWSCKGGDWKRNDELSQDNPFRKKLVLNEGYPLCQMPTGSHEDPRWHCKDEMYYPVRAKKHDLPLWAFSSTEEDTDSVSDTCKSVVHGKPGQNRQPPRGVKGMMLPVVGINSRVVKDQSSVEPRTKPRGVDRPLSRSSRSHSIGTERSSAHEGSLHFKKHHDHDSHGLHKSKSVPNIPKDRVCTADELSVNRGDWYYLDGTGHEHGPFAYSELQELVKKGTIVERSSVFRKIDNTWFPILKDLKPVCSVSSVAQSSNSSAAHMQSDQYNVGVDQGSGSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWISAKQPKKEFEAYFPHNSASRSFLPGDGGSAKRAKLLFDQSEEDLHLSDDILSSRKEDICFEELCAGSASVDDNSVNPESENDSWGLLNGRVLARIFHFMRADVKSLISSAATCKRWNAAAKYYRNMCRFIDLSSIGPLCTDSVFFNIMAGYENQNIRTLILTGCLNLSSHALGKVLEQLPHISYVHIQGGSHLGDLKNKFQNVKWIRSSLNPEESYQKMKTIKQIGDGNNHPSNVARNFTNQLDGSDELDGYFAHISNRENANLSFGQGVYKRSKLLDARKSSAFLSRDAQMRRLMQRQAENSYRKMEEFIVNRLREIMKSNRFDFFIPKVAKIESRLKSGYYARHGFHTIKHDIRSMCQDALRYKDGNDSGDIKQIVISFIQLAKRLGNPRYISERNGAAAAQDSLDISQYSSDTKLKKKQNKTRGQNSVAVGADNSSRAFDREIKRSLSKLKKKVVDSGSETSDDDDGYSEGDETESETTLSDTESDVDLNSGAWDLKGNGIKLLEPSESTDDRILGGRMTKASLVPPVTRKYEVIEEYLIVADEEEVRRKMRVALPDDYSEKLLSQKNGTENMDLPEVKDYQPRKVPGDEILEQEVYGIDPYTYNLLCDIMPADLELSPTDKHIFIEELLLNTLNKQVRHFTGSGNTPMTYSLRPVIEEIQRSAEECGDRRTSKICLGMLKAMRNRPDQNCVAYRKGLGVVCNKKGGFGVDDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGKYQIGVYTLRPIAEGEEITFDYNSVTESKEEHEASICLCGSQVCRGSYLNFSGEGAFEKVLMEYHGVLDRHSLLLQACEADSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIYLERQKLPDEILKHNLEEKRQFLIEINMDNEKNDAEVQAEGVLNSRLQQIVHTLDKVRYVMRCIFGDPKNAPPPLVRLTGKSLVSAIWKGDSSIVAELLQSMEPHVEEEVLTDLKAKIRAHDPSDSEDIEGGIRNSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRARDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYCKTYPENYCLAQLMYWYSQNSEPESRLTRARKGCLSLPDVSSFYVKSAKPGQERVYGNRTVRFMLTRMVSATWCIVGTLG >Dexi7B01G0000370.1:cds pep primary_assembly:Fonio_CM05836:7B:986500:986928:1 gene:Dexi7B01G0000370 transcript:Dexi7B01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNAVAAEVSTAMAKLNDHLATALTGADASNNNSSSNTIITLAGENSGATMEAVAGDVAEDLVVVGSADAEDNADDSQQEEEDDVVVSAYTNSNYQAVNNSVLVSGSCAVNDPGVHVVVVEHVDEIRDYDDDDHLDGQEF >Dexi6B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:6B:1827858:1830248:-1 gene:Dexi6B01G0002110 transcript:Dexi6B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQVLILENDTMAMFDKQDEDDDFNGVDLGSRDTIRDVAAMIDQKLRESRFMMIFINGSDEEGRPCHWLSANFLRFLSPPFLHCHTLRFLGLDHYTDDNTMELDGEGCTTKWPFLKTVQVIDMYYTYWIEILSEDRVELMANLMELNIEGVRGWRWASSYQLQKRLPKLQRLRIINPTYDVAAVETTSSDIDDPFQMDTTSLEMLDLSGTSRLMGRNLAVSISMAIHLRVLILDGCDGLDDVMVSSNFSLRSFSMDGYGPTSSHRASTVEIPPEISRPKRRPADADKRRVLPRPLSSLYEDVDTLDKVFLRGLPNLVELDLSGCAIKVLDFESMVVDVPSLKRLFLIGCEHLCAINWGSDDTKALKLKMVCINTRSGSERVLGYARPPSLDAQQKYCMQLHATITDARLIRSLFTPI >Dexi7B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:7B:1614546:1615418:1 gene:Dexi7B01G0000800 transcript:Dexi7B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDQHLFRKNDDPQHHPILRWETRYNIIKDVATGLRYVHHEYERVVLHRDIKASNIMLDAALHGRLGDFGLARVMAFEKSSFTDIGVAGTWGFIAPEYAVSHKATRQTDVYAFGVLILEIVTGKRSLGAADSTFPLVLDWVWWLHGEGRLLEAVDDELVATCEFDADDATRLLLLGLACSDPNPSHRLSMAEVLQVIAKSMPPPDVPLTKPSFMWPPEGDQLALSDDSDDDFVQTDHRDSDRSLWEEVQSSDGLATMGIRSSEITKRKTRNVAEINVTRDIENVVS >Dexi7B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:7B:1743971:1749128:-1 gene:Dexi7B01G0000940 transcript:Dexi7B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFATAAAAQTKLLAAPLPLHTFLLAARRHPPSLTRRSTTNTIRCAIAGGNGLFTQTKPEVRRVVPPPDALLPRVKVVYVVLEAQYQSSITAAVQQLNADARRAAAFEVVGYLVEELRDAETYATFCADLADANVFIGSLIFVEELALKVKAAVEKERYRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKANSSNFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALKGAGGIAYDDPVLYLDAGIWHPLAPTMYEDVKEYLNWYGTRRDANEKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPVQRYLVDPVTGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLSDLKKDGYNVEGLPETPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQALTSYATLLEENWGKPPGHLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDTCYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGAQIVSSIISTAKQCNLDKDVPLPEEGEELPPKERDLVVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIAALDRPEEGISSLPGILAATVGRDIEDVYRGNDKGILADVELLRQITEASRGAITAFVEKTTNSKGQVVNVTNNLSAILGFGLSEPWVQYLSKTKFIRADREKLRVLFGFLGECLKLVVQDNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTAAALKSAKIVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEMNYVRKHAQEQAEQLGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPASYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIEDEAMRKRLMETNPNSFRKLVQTFLEASGRGYWETSEDNLERLRELYSEVEDKIEGIDR >Dexi3A01G0028880.1:cds pep primary_assembly:Fonio_CM05836:3A:29825417:29827591:1 gene:Dexi3A01G0028880 transcript:Dexi3A01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEPVDDEEPKQEVPAPAGQQLLAPPSNFGMVDAGVYRSGFPDAASFAFLRGLRLRSVVYLCPEPYQEANDAFLKAEGIRLFQFGIEGTKDPCVSIPVDAIVGALRVLLDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSIFEEYHRYAAGKSRLSDLRFIESFDVTCMRDCMLRVIYRYHDCLQKSKRLQYEC >Dexi5B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:5B:2976400:2976645:-1 gene:Dexi5B01G0004410 transcript:Dexi5B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPEASMAATGTAARALLIWIVLRAEEEEEEVVVVAPFLPASSVSLLSPAGFVLFCRRERRGVVCRCVSQAERRRRALC >Dexi8A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:8A:1428300:1432134:-1 gene:Dexi8A01G0002150 transcript:Dexi8A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAADKGEAALKMLRYADPSHFLSPSADLVNAARAASEYIYSSLVPLSPAQPPSTLLTDPAFDAEQIWSQIELLARPLLPHLRRQLRRLEQQPPSQPQAALPLPVEKPADSEEENGQGSELDELKELEETDDEEELSDDDDEEELSDDEEDEEEEEEELDQRARKGLEDQFLKIGEMAEFLDRGDEEEYGGAANRGEKKKVTKNWMEESGEDRDEEGDEDDDDEDQLDLEDFEDDDEDGEGEDGGGIMYKDFFEKTHNRPAKKRDGSTKKVQFKEDTHEMELDGSENDDGNEEQGLSTHEKEQLKARAKIEQMEKAALEPSAWTMQGEVTASSRPINSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPSKAPKEHKELDESKSKKGLAELYEDDYAQKAGIAPAPLAISDELKQQANTLFKRICLKLDALSHFHFAPKPVIEDMSVQANVPALAMEEIAPVAVSDAAMLAPEEVFEGKGDVKEEGELTQAERKRRRANKKRRYAASHKERPAKFQKD >Dexi5B01G0038830.1:cds pep primary_assembly:Fonio_CM05836:5B:37895620:37896452:1 gene:Dexi5B01G0038830 transcript:Dexi5B01G0038830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTAEAPATTTVLRVAAISGSLRRTSANTGLIRADLQGVDHVDISELPLLNTDLEVDGGFPPAVEAFRAKIRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAILSASGGSGGSRSQYHIRQVGVFLDIHFINKPEIFTKAHHPPRKFDDDGNLIDPETKEQLRKMLMSLQAFALRLQGKPANSGQRN >Dexi5B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:5B:2829908:2833193:-1 gene:Dexi5B01G0004200 transcript:Dexi5B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWQRCAQLLSSHPYHSAISSAPCFGLGTHDDVADGDHGATAGAVAAGKLELPRGALSATDRFFVSPARTASLVGDDAGCNTPGPSSRGIHPRAMIFVTLEAPQPSSRGIHPRAVISVTLEAPQPSSRGIHPRAVISVTLEAPQPSSRGIHPRAVISVTLEAPQPSSRGIHPRAAFPSRSRLGRLELAIQARSAWSKRLAQARKLCPTSQTPTFNLTRFEVHAAPARAALAAGKRLPPDTAGCPRSRCTPLTSADSPLAVASPPRTAGFCPRLLRPRVLLFPRPVFSRLAHARLPPAARLRARVDAAHGANTAATSPCRRGSSPSCLSLHLVLPEHPSTPLSRLLKPSTVPSLPRRKNTRRSSQRRRRARSRAQPSPLLPRPNQGSHELPHPPLPLPEPFPTRFPHQSRWRSSPELTELSAAARARAQPALLLLQPNRGHHSLPRAVLVLTDPFSELLRPQRRRSPLAGASRAATAVVDLVPGHPRPQDLAQTNHGEPLSISPHFPGPVSPPFGRRPRCVFNLFQGAERKMQGLVFYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi7A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:7A:13797840:13799581:-1 gene:Dexi7A01G0003710 transcript:Dexi7A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIKVHELRGKTKADLQAQLKEFKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >Dexi1A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:1A:24617925:24623146:-1 gene:Dexi1A01G0017280 transcript:Dexi1A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLIAIADTQGCTIAGSRIVLTNRTCPPIESESLPSFSAEASWVGEPTTEATMAADVGQRFSGWSYSDLPYNEHSAQDYSVQQMVLNHGSVSFGRFASESLSWEKRSVFEHNRRQEELRNLTAPGLVAQKRAFFEEYYKRARHLKAQGAMHQTEATMEEGNDESTHGHSRKADELPEVMSEDPLASAPSSSSEPSVEANSADDRKCQDAHELGYLTFNPLFSQTAGLQNIQEEERSISGQKQYPDQEFPCAVQASSNHGFSCEAIERKVLAPKPVLSNDNGESNVAASRIVLPVASLQSEGLEDGHLKHAARKIVAIHSRSLKISKNLATSANNTRRVDLRRNSENRYSEVLKDPFHKRVEMKLRALSDRLDADRTAASCRSSYQNADRFTTSARSSICQKTDRVLAPSKIAAQASHNCLKGVKRSDAFPRGTFFNKGSSASHVASSNITAPGKSAVKTSVIASSSKVSERSSRTAQVTSKRSAGLNCVDNVSQNKRKQLSTPATLDENNRKRGYVRASAPLTARSSSENCPPAVKAPKISNMANVVAKVLPSGTRSLAESGKRSNLKGCPSLTKNKPR >DexiUA01G0004120.1:cds pep primary_assembly:Fonio_CM05836:UA:8083981:8084308:1 gene:DexiUA01G0004120 transcript:DexiUA01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAESPESGLPVESNALGTESQDDVGAEADTEAISGSLPLDYLAIETRSGEDEQTSSGVPGTGDVASPNSFISGGFASMSL >Dexi1B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:1B:25120963:25122739:-1 gene:Dexi1B01G0018980 transcript:Dexi1B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPSKLSAYRDRRFPGTQEEYEAALQASTTVYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPDIL >Dexi3B01G0025190.1:cds pep primary_assembly:Fonio_CM05836:3B:20012514:20017599:1 gene:Dexi3B01G0025190 transcript:Dexi3B01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNKHEWPGHRDLTVVGPGVSLCADEEDRQAPPAVFSGRLAIFVHPSSADHPFLRIKAPPSPRLHIIRAACDGSQYPIAAALAPLRRLRWATRETVTRFSLLGFTPSTDCASSYTALNQEEDGWGRRLFEGSVLFGGDEQVAGNSRG >Dexi3A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:3A:2507956:2514968:1 gene:Dexi3A01G0003740 transcript:Dexi3A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAAQGGPSVVSVEEGVGESSSPPREEAPVPSGSGGSGGGGGARDICGQVLERLVADGHAEASDPEFREKLVAHFGRLPHSYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFHVKFLRIEDMDHAYDSDASDEGDDDGDELSVRQDTQYTHIHEVVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTLDGYSLDVFVVDGWPIEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGSLIASGSCGDLYHGTYLGEDVAVKVVKVADFGVARFQDQGGIMTAETGTYRWMAPEIPYDTMTPLQAAVGVRQGLRPGLPKKAHPKLLDLLQRCWEADPSKRPAFPDILAELEDLLAQVQGASGKTVQDPANDFSTKD >Dexi9B01G0027300.1:cds pep primary_assembly:Fonio_CM05836:9B:29789691:29793830:1 gene:Dexi9B01G0027300 transcript:Dexi9B01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVISMEGIEARARELGVDLSAVDLDSITLPAGEDFGILSDDEEVLRNEDDPELEMGLSNVIVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMLDDFEKYMKVPDTWTPAEIKPYTPGENLLKWLTDEKARDQFVIRAGTLTEVYWNDARKLAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGENGFERLMRFAHPMVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGNADEFTTGGNIGVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETDTFSLLDKKSLKVENVVDFSWSPTDPIISLFVPEMGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAIIHGDGPRPDISFYTMRTANNTSRVSKLTTLKAKQANALFWSPAGRFIVLAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFIWRPRPPSLLTPEKEEEISKNLKKYSKKYEQEDQDAFNQLSEQERKRRTQLQEEWDSWVAKWKQYHEEERAYRRELRDGEASDEEEEYEAKEVEVEEVVEVTEEVLAFDLDQE >Dexi9A01G0047060.1:cds pep primary_assembly:Fonio_CM05836:9A:50288010:50289952:1 gene:Dexi9A01G0047060 transcript:Dexi9A01G0047060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESTGFDARCRFDSVSWADNSLQPCSAIPTALSEGWSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGDAAAMMMRDRPAYEQKVKEYCEKYAKPEDAGVIPEDKSSDEELSEEEDDSGDEEILGKPDP >Dexi4B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:4B:14167877:14170067:-1 gene:Dexi4B01G0013920 transcript:Dexi4B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTWLRDLSYLSYISAGGVIASILVVICLFWIGVVDGVGLENKGTVLNLPEIPIALGLYGGKSNNQISLLSYYFHEKVLDYLYFALRMLFGNPQDKSGMASDSSLLVHHCYRSLLCLCGDILVHFRDNPELHIRHLYIQLPSNTMC >Dexi5B01G0039420.1:cds pep primary_assembly:Fonio_CM05836:5B:38271078:38271374:1 gene:Dexi5B01G0039420 transcript:Dexi5B01G0039420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHDKNKKKSNGEAGGGVDWRKEEKHHKHMEQLAKLGAAAAGAYAMHEKHKAEKDPEHARSHKMKEEIAAAIAAGSAGFAVHEHHKKKEAKKHARHH >Dexi9A01G0016680.1:cds pep primary_assembly:Fonio_CM05836:9A:11727815:11729016:-1 gene:Dexi9A01G0016680 transcript:Dexi9A01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIITSIETMFGIYARISAWGHEHIGFDSYSAAVIQVSKDQGSEFKALRAGLHVDRDWKTGCYNTDCPGFVPFNSTYDGLPLRPGMVIDELSSYNQTDKSIILQIVKDERKGIGGDWWLYHVFGPVRLPLGFWPASFFGSGVGTAQHAMEAAWYGAVGFGGRGIEPAMGNGHGPSLTGHAAYFADISLMGRDAYPVDPSLRGLLPMMNAERCYQVAMDAAGSNTFFYGGPVTPYCFA >Dexi1B01G0024650.1:cds pep primary_assembly:Fonio_CM05836:1B:29912463:29916506:1 gene:Dexi1B01G0024650 transcript:Dexi1B01G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSTTPHSPRIAGLRAPSAAVAEQEKYLAELLAERHKLGPFIPVIPHSSSPAYSWLGGSQGSSSGLIVKKTMKVDIPVDKYPTYNFVGRILGPRGNSLKRVEANTDCRVLIRGRGSIKDPARDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >DexiUA01G0024880.1:cds pep primary_assembly:Fonio_CM05836:UA:52000485:52002269:-1 gene:DexiUA01G0024880 transcript:DexiUA01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWGLEQFNHPFGNLAFIATTLVGLFPIARQAVRLMKSGSWFAIETLMSVAALGALFIGATAEAAMVLLLFLIGERLEGWAASRARKGVSALMALKPETATRVTGSERQTVAINTLRPGDVIEVAAGGRLPADGELLTATASFDESALTGESIPVERSAGEKVPAGATSVDRLVQLTVLSGPGESAIDRILKLIEEAEERRAPVERFIDRFSRIYTPAIMLVALLVTIIPPLFLGAAWEGWVYKGLTLLLIGCPCALVISTPAAITSGLAAAARRGALIKGGAALEQLSQVQHIAFDKTGTLTVGKPQVTGIYPQEVSENTLLALAAAVEQGSTHPLAQAIVREAQSRGLDIPAATAQRALVGSGIEADVKGNNVLIVAANTFPAAGLSQQVQALEQAGQTVVMVVQNGVAIGMLALRDTLRDDAKDAVAALHQLGVQGVILTGDNPRAAAAIAVRAVTELNNHAPLAMVGDGINDAPAMKAATIGIAMGSGTDVALETADAALTHNRLTGLAQMIALARATRANIRQNIGIALGLKGIFLVTTLLGITGLWLAVLADTGATVLVTANALRLLRRQ >Dexi9A01G0031770.1:cds pep primary_assembly:Fonio_CM05836:9A:36696928:36698089:-1 gene:Dexi9A01G0031770 transcript:Dexi9A01G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATSVVVLSLSVLLLLATTSTLAQSPAAAPAKSPPKSSKPTPSPAATPTAAPAPKSSPSKDSPAPAAAPTTPATPAPAAAPTKPKSKAPAPAPPSKATAPAPATPAPVAEEPVAAPPAPVPDVPAAAPAPETTKPAEAPAPAKKKKPSSPPSKGKKKKKGADAPAPAAEAPVSSKKKHKAADAPTSEAEAPGPSGDAAAADTASAAGRTTERTAGAIVSAIAVALGAAALLV >Dexi6B01G0005000.1:cds pep primary_assembly:Fonio_CM05836:6B:4256493:4259173:1 gene:Dexi6B01G0005000 transcript:Dexi6B01G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPPSSYSARSRSPATAVWFLPAAALLLVLFLRWPPPPMGSYAPVSPRGSGSDSVLARRAELYSKMAQDLDERGAAFLRGGETSQSLTLSDLFDTAEDGAVVPRLKIEAEVEAVKGITKTVCPLKIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREVLPRAPQKQLYDPVLLHTSFARILGHPKLPQEQSVSSFDHIKFFHGLVAQVNEKIRGFQATVSELWYVEEYDVLALALNGKMKVRKLHLSCNNQGNENP >Dexi3A01G0033330.1:cds pep primary_assembly:Fonio_CM05836:3A:38130245:38132452:1 gene:Dexi3A01G0033330 transcript:Dexi3A01G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYDPSPPPGSDDLSFYLTDLGPASPSAYLDLPPTPRPEEPQQQQQKPYPDTNGGAAAAPEDMVLPFISRMLMEEDIDDKFFYDYPDHPALLQAQQPFLDILSDDTSSSSPSATHSGGSVTHPAASDVTNAPLTPASVDSYDPGAQFNGFDLDPAAFFSGGANSDLMSSAFLKGMEEANKFLPSQDKLVIDLDLDPPDDANRFLLPAENGLAAAGFNAASTPVAAAGFNAASAPVAAAVAVKEEEVVVAAPDAVPGGGGGVGGRGRKNRFDNDDEDLEMDRRSSKQSALQGDGDDRDLFDKYVMTSAEMCTEQMVKLQIAMREEAAKKEASAGNGKAKGKGGRRGGRDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHSSPQGDATQRLAHCFAEGLQARLAGTGSIVYQSLMAKRTSAVDILQAYQLYMAAICFKKMVFVFSNRTIYNASLGKKKIHIVDYGIHYGFQWPCFLRHIACREGGPPEVRITGIDLPQPGFRPTERIEETGRRLSKYAQEFGVPFKYQVIATSKMETIRAEDLNLDPEEVLIVNCIYQFKNLMDESVLIESPRDIVLNNIRKMQPHAFIHGVVNGSFSAPFFVTRFREALFYYSALFDVLDTTTPRDSNQRMLIEQNIFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQLPLNPDIVQVVRNNVKECYHKDFVIDIDHHWLLQGWKGRILFAISTWVANDDGGSYF >Dexi9B01G0006060.1:cds pep primary_assembly:Fonio_CM05836:9B:3595532:3597298:1 gene:Dexi9B01G0006060 transcript:Dexi9B01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKERGRRSSPVSVVGVVGEVGQQRLVDIEDPGVAAVAPERRGARVGGGLPRHELEVGAVAGAREPRTCAAHSAGTAPHGARLLASAASRQLSHRTSGGSSSYDHSSLPAPRSDASDGSLMTSGLVRRCCSCCCATASAASSDASCAGAGAVTATSNSTSTSASAAAAGARRRAAARKSVRSRRSRPPPRRRRAMAWGDGRRAAKQPGGGGCANAWLR >Dexi9B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:9B:9796694:9797507:1 gene:Dexi9B01G0014670 transcript:Dexi9B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRNLLERFCPRGLVVPWTTFPTPASHDPNPPRRGGIQFQPRPPSRAEPRRPQNRARSLEEIQKGLEAAGKRRRKRAPVVARSSASVAAAAGVSAMITRSKLVEQLRDYQIRSQHK >Dexi5A01G0029410.1:cds pep primary_assembly:Fonio_CM05836:5A:32490332:32492706:-1 gene:Dexi5A01G0029410 transcript:Dexi5A01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRFPLLELAYADTHRAHMIADQNMPLRPVRASGWFSPHSEDGLYGPTPMDGALLTALVDRWRPETHTFHLPCGEMTVTLEDMAMITALHFEGAVVTGMIQSEGWQDMHFNVCPADADDDIVERHARVWLWHFVSSFLFPDAAGSTLDDLSVRLHRRYTAYTNEIDCLTGNQNDWRVTHQDYLHMWEQRQRHNITEGEDWFAGENQHYLLWFHRVARTRLRPTAMEYNMEDVDTDAEDDYDVDTRWGNQPERAPLHDHMGRQLSRIVNDAGVAMRHGNDARFLNCVTANPVDPARAPGGSYDSQPTPIHSAPGGSSAMAGPSSSHRAGKAPASPQASDEDVPGDDSEDSPAPGPRESQAR >Dexi5B01G0038250.1:cds pep primary_assembly:Fonio_CM05836:5B:37496172:37496920:1 gene:Dexi5B01G0038250 transcript:Dexi5B01G0038250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALSLSARLGASAALSYAGRPVGATCARPVGGRGRRRCGLMVVRAGGPPSTNVLILAFVLPLSLFVGTLVTAARVADDLDERFLREMEINKAILEENEAESDEDSEFGEGEEEEEDDEQAPIPAEEKVPVVAGSAAAAPATRARNRPRRQV >Dexi5B01G0033430.1:cds pep primary_assembly:Fonio_CM05836:5B:33841380:33842284:-1 gene:Dexi5B01G0033430 transcript:Dexi5B01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASGSAAGELDGAEWRTRAPGGTEYSWCRAVPGGTGTTLIALRFSSGAAAEAAAVAQAALSSLQSAHPALRARLRTTPSGPTLAFPSSAPHPPPLLPLEPLSAPESAADFDALLEHELNRNPWADPEPSGDAPVLFATLYELPPATGGAALFVRIHTVACDRSAANALARELVALLGGGEEEEGERAPEDAAAEAALEERIPQRDTWKPFWARGLDMVGYSINGLRTSTLPFVETGTARSTQLLRLGLGRDETTRLLDIHTLHVL >Dexi1B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:1B:2659519:2661484:1 gene:Dexi1B01G0003370 transcript:Dexi1B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPRFLLRRGSRSKGEEHERRPLRGAAAARARSGPGRSSGRRRRRQQQEDDEEVEMKSSLRSRQEPRRVSNGVIIGAMLLSLCVLSIVKARYCATPFGKAEDQLQEQMNSSIRMETEDSPARTPGEEEEEDVDEPEAVPSSTTPTVTTPAVVSTGGGGKDRKAKGKAKPTCYMTSKRSERCDASGDIRVDGNRSTIYVSGIDKEWKTKPYARYHDPVAMEHVREYTLKPLPSSGDAPACTKNHSVPGFMFSNGGFSGNLYHDYTDVLVPLFISTHQFKGRVQFLLSGMKPWWVGKFTPFFRQLTRFDVIDVDNDQEVHCFPRIVVGATFHKDMGVDPRRSPGHVSVVDFKRALRRAFGLEREAASRTGATGGVGKPRLLIISRRGSRRFLNEREMARVAADAGFEVRVAEPDQHTDMATFARLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTGVTFKDPAPDMEVTYMDYNVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIRMDMDRFRATLKEAMSRLP >Dexi9B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:9B:2183817:2188338:1 gene:Dexi9B01G0003800 transcript:Dexi9B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGVLTALRAVSVVAVVGEGVETVAGGGEDGGAAADEAPAGAHHGGGDDVGHGERAGGAAVLLHGVLVVLVVVDELHILVRRRRPPHRRHHEWSERMGKRVCTDTRLPPLPKQLRKRLESLDSMAASASSPAASASSSSPIGAKDTASTTAFRCPICLESFKDEAYLDTCFRKISRIFP >Dexi7A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:7A:21887671:21888255:-1 gene:Dexi7A01G0011360 transcript:Dexi7A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDNVHVAVVAVGNHLQVQERHRQVACTAEHDLPHLPQDSPLPVLPSKARLPFSPAPLGAVEQPMPVSSPLAGFGREPSLTWTSTSRALTFAVSGRERQVAVTSGHLPFTTTPQNHLPGAARQPERPRESGVGSAAVDTHGLARLAPPAPVSCTGSDASANRSTHLHAPLQGTFGTTRHSPWGRGFGEGWLA >Dexi5A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:5A:20124106:20124753:-1 gene:Dexi5A01G0017080 transcript:Dexi5A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRAGERGEEGIEGGGVGWRTGGGCAEGGAKRVPEAGVAQEGLDEEAREGRVRPGGGREEERGQRVVARVEADEVGQRVERVGEEAGGGKRVREESEVGRRGLERRREREEEAEGGERVGMARPEHDGGELVVAEVGEQAGEVDGLRLSRVVRCCGEVVGDEVDDGDVGVEGAREERSQESRPRGRSITWGAK >Dexi2B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:2B:12001001:12002294:1 gene:Dexi2B01G0010560 transcript:Dexi2B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILKPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPGAANTTATNNNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQEIVMDGGSLGETSFRDTKSEAGGIDVGGGDSPPSPGTRRSRRHRSRGSATSSMDVTGGEGGAEEKKKDKAKKGIRKNRKKDKDKPSTGEDGGSATCQDLPAVPKKSNRRKIKGSSEGTAKEGAAAPEEGAAAPPLPPLADD >Dexi1B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:1B:1994089:1996724:-1 gene:Dexi1B01G0002460 transcript:Dexi1B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKLRAILFPFQMEPRREELLHELGEMWDEIGEGEDDRRGMLQALEEECLNVYRAKVDQVRHHRAQLRREIADSVAEVAAICATIGEPPATVQTACSSLQGTGNLREELGSISPELAEMRRRRDERRRQFSDVTERVNRIHQEMNFAAGDGRVVATDGSDLTLTKLEELRAYLQHLQSEKESRTRKVAELMALLHSSSLVLGMDPREINAAAVHGGHAAGDFSDAAIARLASEIERLREIKRSRMDKLQDLVATMLELWNLMDTPAEEQRRFQSAACNIAASEDEITDPGALSMAFISNVEAEVVRLETLKECRMKDLVAKKYDELKEVRRRARLPEEDDGDAVAMFDAIDSDAERALILERLEVQISEAKDLEFSRKDVLERMDKWQSALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARSLVSKMPAMAEALTTKVIAWEKERGVKFEYDGEVLLDTLEEYGNARKEKEQERKRQRDQRRLQGAAAAPAAVERDVSSPVARPPPKNIKNVTRTLSMGGSNGGGGSARKTTTASSRPGTPSYLTKSPMSARRGGSDEGQMMASDSFE >Dexi4A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:4A:1547862:1549738:-1 gene:Dexi4A01G0002240 transcript:Dexi4A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNATDEEKERGAMAPKNGDTGVKASDEENGAAEEVEGTDVLEKGEKAATSADEENGGTAEGKGKLSEEAELELLEEAEWKWRIAAPADGEEEPKPMRERRRWRSTRREAGAAADTEREVTAPAAKELAVEKPIFFFSAAAVGNKIDLVSSEGKLSEEAVCKLSEEAEWKWKIATPADEGEGPTPRRERRRLRVKRRMINLVSSEGIPFKMSEEAARLWVLLADMIDDGCAGGTIPLRNVDARALATVIKYCDKHAAAAKPNSSSHHDAAGGAGSSSSSSLNATESEKKTLAEWDRKLVDDLTQDALYDLIQAANFLDVKGLLEATCQKVADMIKGKTPAQIRSIFHIANEFTKEEEAEMREESPWAFED >Dexi9A01G0042910.1:cds pep primary_assembly:Fonio_CM05836:9A:46413824:46418632:-1 gene:Dexi9A01G0042910 transcript:Dexi9A01G0042910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAGKGQHAAATPRIISLNLARRSGGGGGGRAIRGAGRAQPRPARPVNLGALFEMERRVRGLESAPASPPPACSRAPARSQEEEEVDDGEQEEKWRFQAEILRAECNFLRMEREVTLRKLDRHRGQMEAALKSAVETLVSGRKKIDGKGDVGVAAALDEGIEDLEEMMEELRVEKESGRRAMSGTRELQRSLGRNFDRQASSLRRRLEKMPPPAEAEPCVKDIREIALPLSVLPTPPPVHSDDDDDHVHSANTSDVEMLRMKMEGMSKGMRERMTEYSRRLEAVASGENAGCQSRRCVTRHSRKASASSQRSWSGGSTASNGNAPSGFDTAALHGRSRHAAAAESTRQHHKTMAEECKLVSSGSCCDCREIVWKIMEQVKAESEQWTEMQGMLEQVRLEMQELQSSRHTWQHRAMASDISIRSLNSQIREWKNRAQRSEQRVEELQKNISELQGKLHTFKAHLPTPDTIPSQDQWSEACKMEDPRAKPQHQRSQECKEEKKHVLICRVKHSPSSVIPKRSPFQEIGNISLLRQR >Dexi5A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:5A:1548523:1550630:-1 gene:Dexi5A01G0002190 transcript:Dexi5A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFFVPIGIFVARREVGFNSNGVNGAAMITLIFAMLGLLDDISSIAIDQNRKIPQWIRFLVQIVAGIYFSVWLGAADISTPYSMKFLVPLPPPIGLAFMGKVYLILAATCSLSMGTAVTMVDGLDGLAGGIAALALIGLSVAALPICSELSVFGASMSGACTGFLFHNRYRASIVMSRVGSFALGGAVAAIAACSGMFLPMLIACSLFFVELLFAILQVPFRMATNSFRGTNIYPLRIRPSHYYLRLWGIKEPYIVAGAYMISCFLTLLAGYLGLVSA >Dexi2A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:2A:32970223:32970911:-1 gene:Dexi2A01G0020800 transcript:Dexi2A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLAFFSAATCALLLGVLAASASSSPPPPPTVQRDVGDEAGYWTATVPPAGEGNETLTQWAESQPLHVGDVLDFKRWNGTVLVLARRGDYERCGGANTTATASPPLPFVASGGGGKFELDRPGTFYFVSGVPGRCEAGQKMAVHVVFFDGTTAPPPPPATVVFKSSLLFSYSS >Dexi1B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:1B:5370502:5372120:1 gene:Dexi1B01G0006560 transcript:Dexi1B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQQQIACYLCLFLAVLLPLLLLKLKKRGHGGHGAPPRLPPSPSRLPFIGNLHHLLLRGPLAHRAMAELTRRHDAPLMYLELGEVRVVVASTPDAAMEVMKTNDAAFASRPWIPSVRSIMASGAVGLVFSRYGALWRQLRKICVVELLSARRVHSFRWIREEEARRLVAGIAAASSSPFGDGAVNVGEQITGAITDAAVRTMMGDRFDRREEFLQVIAEGTKIIAGFSLSDLFPSSRLVNLLSPTSGLVDKIFRATFEIMDHAIRKHEDRRGRKAAMPPDSHNKEQDILDALLKIQKEGDHQATPLTMITIKCVIIDLFSGGSDTSSSSLQWAMSELMRNPRVMRKTQAELRNKLQGKPTVTEEDLVGLNYLKLVIKETLRIHPVFPLLLPRECREDCKAMGYDVPKGTTALVNAWAIGRDPRYWEDPDEFKPERFEDGKIDFKGTD >Dexi3B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:3B:14898479:14899353:-1 gene:Dexi3B01G0019920 transcript:Dexi3B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSAGVAMAWNVFRFCTALRGLGSIMILLVLAIIGVTYYAVVVCNYGPALLVGGGTTLSALAVLLLFHLLLAMLLWSYFSVVFTDPGSVPPNWNLDFDVETGETAPLASSEFSSQMNSQHSVALGNTGNPR >Dexi3A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:3A:17276372:17277057:1 gene:Dexi3A01G0021650 transcript:Dexi3A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMDALEKHANIKPVITSTVWKELEKENKEFFETYNKDCAERNIEAETMQRIEKMLAEAAASKTSDDEEG >Dexi5B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:5B:3807270:3809224:1 gene:Dexi5B01G0005610 transcript:Dexi5B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRQRQYFEQKRRQQQRPELQSQDNVAGGQASRDQEPRSLDVLNINNLATSISHHNESANGAIPQVDCTLSDGSPTEAIRNITSLCNSNMREAGSQPRSVSPHSMPEVASEKRDTILLLRRRSPPAHHLPPPCRVSSRRRSREGEEPTATRAGEEEQAALEHPRVGLLVTPVGT >Dexi5A01G0022820.1:cds pep primary_assembly:Fonio_CM05836:5A:26954329:26959719:-1 gene:Dexi5A01G0022820 transcript:Dexi5A01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPETRKVTPAPAPAVPVRAMERVLKDDAVEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVGFRMAAECARDALLQRTMDNKENTDKFRADLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTTIADNTGLDSAELISQLRAEHHKENSAAGIDVITGQVGDMQKLGIQESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >Dexi3A01G0028770.1:cds pep primary_assembly:Fonio_CM05836:3A:29693591:29696712:-1 gene:Dexi3A01G0028770 transcript:Dexi3A01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLKKYFGYSSLRPYQKEVIGKLLEGRDSLVVMATGSGKSICYQMPPLLLSKTAVVISPLLSLMQDQVMCLKQKGIRSDYMGSTQSNTSVTRDAENGKFQVLYMTPEKAMSLPTSKHMFWDNIRSKGVCLLAVDEAHCISEWGHDFRVEYKKLHLLRNMLPGVPFVALTATATERVRADIIESLKLLDPHIHIGSFDRPNLFYGAKCCERSVDFINQLKQDVTKSCESSESTIVYCATVRDAEKIHSVLTSHGIKTGLYHGQLGKKDREESHKLFITDELKVMVATMAFGMGIDKPDVRCVMHYGCPKSLESYYQESGRCGRDGLPSVCWLYYRRCDFNRGEFHCSEAKSIAQKTSIMESFLAGKNYCLLGTCRRQSLLMYFGENIDPQCGNCDNCTTAIKVQKDLSKETSSLLSGKKVTENLYDQLPEYGSGRRHSNNWWKALGTILLNNGKHLSFISSGLNLMTGLPKIFTVCLSDKGKAYIDDITARHTPLLLQ >Dexi5A01G0032040.1:cds pep primary_assembly:Fonio_CM05836:5A:34625418:34626420:1 gene:Dexi5A01G0032040 transcript:Dexi5A01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGIRKKRDSPEPQQLAHEEKSQQVLARLDVIWDDLEKSSGAKPVRRDAEHTDSKESWRKIDLATASQEPAAAWSDDDEDYDDPDRAALRFRKQWNYIWSKHCGSFEDITLIPPMRYTDEAPIQRMYPCHTLQIFSAKIAGIGGYFPWPLDVFGVVAIRDSIDKNRNIIFQRSRVECQTLTEEV >Dexi1A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:1A:3815249:3816030:-1 gene:Dexi1A01G0005220 transcript:Dexi1A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCKLILVALLVAAMASPLALAYDPSPLQDFCVADKASNANPFGSKVTLVDVKAVPGLNTLGVAMARLDLAAGGLNPPHTHPRATEILTVVEGEMYVGYLDTNGTLFAKVLHKGDVFVFPKGLVHFEFNFGDCPAFGIAGLSSQNPGLVRVADSLFGASPAITNEVLAKAFRIDAATVQRIKARFATRK >DexiUA01G0004110.1:cds pep primary_assembly:Fonio_CM05836:UA:8065803:8066813:1 gene:DexiUA01G0004110 transcript:DexiUA01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGSGNASGGGGGASTCCYYALLGIRKNASATDIRTAYRKLAMRWHPDRWASNPGAAGEANRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVNGDEGSRGVGGRVPPDGARRARVAPYPQQQRR >Dexi5B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:5B:10569727:10570416:1 gene:Dexi5B01G0014190 transcript:Dexi5B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAIQSGHAYLSVSTFRFGSIEIAATVTRDAAVADAWVRGVRASHPRSAPLVVGLDCKWNKQRPGTGGGGSRGGGGGGPTPAWMAPRAAVLQLYAGGGAGCLVLQLLYLARIPDALRGFLGDPRVRFVGVGVVAAARRMAADHGLVCETPVDLEGLCDDYLGLVGVGSRRLGLKEYAKEMLDLNVQKAEGIAMSDWEKPVLEMPQIGYACVDAYVSYRLGERVLFGR >Dexi8A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:8A:3978551:3981725:-1 gene:Dexi8A01G0004590 transcript:Dexi8A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSVAGSVSYAATVGSVSLQGELSPGIGNLSFLRLLDLTKTDLPGTIPADLGRLHRLRTLSLPGNGFSGAIPSTIANLSQLNLLDLGQNTLSDQILPELLQDMHNLQTLALNDNELSGQIPPYLFNNTPSLRNIYLGNNRLSGPIPQSVDSLPLLEYLDLHLNQLSGTVPASMKLKTNREVHASVAGPSDVVSHSLISYHELVRATNNFSDNNLLGSGSTGKVFKGQLSTGLVVAVKVLDMQMEQAIRSFDAECRVLHMARPRNLIKILSTCSNLDITILVLEYMANGNLNKLLHAEGRRHLGFLKRLDIMLDVSMAMEYLHHEHHEVVLHCDLKPANVLFDDDMTAHVADFGIAKLLMGDDHSIITATKPGTLGYMAPEYGTLGKATRKSDVFSYGIMLLEAFTGRRPTDLMFHGELSIRQWVHQAFPSELASVLDEQLLQEASCIFDLNDFLLPVFELGLLCSSDLPEQRLSMSSVVAKLTKIKEDYTENDIC >Dexi1B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:1B:22533551:22535528:1 gene:Dexi1B01G0015990 transcript:Dexi1B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEGNAAAAAAVRVLVVDDSPVDRKVVELLLRNHKGGAAPFHGQLLLLRLFIARPFHISVCHWALLLGALTAVDSGKKAMELLGPKGQGKLDSSAADANELTVDIVLTDYCMPEMTGYDLLRAIKALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKSKDVQRLRNCSQSGKPKDADDAQCKSLSSRRKMPSDQIAKKASSEQRSQITRLAMARLALNASSIELSRYFQFLFKFILLAYAVLCLSELLHRWSNSSFLALWSS >Dexi7B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:7B:11532191:11534789:1 gene:Dexi7B01G0004550 transcript:Dexi7B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTKIPATMRAVQYDACGGGAEGLKHVEVPVPSAKKNELLLKLEAASINPVDWKIQKGMLRPFLPRKLPCIPVTDVAGVVVDVGPGVNGFQAGDQVVAMLNSFYGGGLAEYAVASESLTVKRPSEVSAAEGAGLPIAAGTALQALRSIGAKFDGSGKPLNVLITAASGGVGLYAVQLAKLAGLHVTATCGARNMELVKSLGADEVLDYRTPEGASLQSPSGKKYDGVVHCTVGISWSTFEPVLATSGRVIDITPNFTAILKSALHKVTFSRKRLVPLLLWPNKADLEFLVGLLKDGKMKTLIDSKFPLSEASKAWAKSIEGHATGKIIVETEG >Dexi8A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:8A:21105900:21106801:1 gene:Dexi8A01G0012130 transcript:Dexi8A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLPKLGVLLDEEYKLQNNVRRDVQYLQRELQSMHAALREVAEVAPEQLQQHDRLWAGDIATAIKDIKDQVHEVANRDGRYRGPQAAVADLTAATTRVPTTTTVDPLLQALYGAKKNIVGIDYAKDEVICKLCEGDDDASKQQLKILSVVGFGGLGKTTLTKAVYDELRPQFECGAFVTVSRNPDVKKVLRDLLYELDNEKHKNLSGAVLLDERQLIDEFRKSLENKRYGIIDG >Dexi9B01G0027350.1:cds pep primary_assembly:Fonio_CM05836:9B:29810307:29812205:1 gene:Dexi9B01G0027350 transcript:Dexi9B01G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding RILASGKTSTGQRRQKLVQPAWLNVGNLKAILRGFLLDSMPVTGSRRRRRRDELRLHSAQAHAAISVAQLAAAVAGIVSACDLRPAATASSGDKKLGSVLASAAALVATVCAEAAENAGANRGRITSAVRTGLESRSSAELLTLTATAATCLRGAAALKQRAADLRGISSSSTSSNAMAMSISAGIQKGTNLRVCLPCGRVSVRTVSVFPQRGGGGAVVLRLGKKRLRGAFATCKDYVVSAVGEGGGEAVVEGRPVFPVTLITSEEGARVELLFEHQMHCKVWKAAIEGMLAEEKLKRDTRK >Dexi2B01G0000450.1:cds pep primary_assembly:Fonio_CM05836:2B:188680:189582:1 gene:Dexi2B01G0000450 transcript:Dexi2B01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRFGDTGVMDDMLTEGPTRASRHVGRAQGTYVTASLPRRDHEEGGGGPAMLLSMNLVLTDYGGSTMAVMGRNDVTEPVRELAVVGGTGRFRMATGYVLWKTNSWKGKSAVFELDP >Dexi3A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:3A:13487623:13489499:-1 gene:Dexi3A01G0017680 transcript:Dexi3A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEAAVAGSSRFRTICVFCGSSPGHRKVFAEAALELGRELVRRGVNLVYGGGSIGLMGVIARTVSDGGCHVLGVIPKALMPIEISGESVGEVKVVDDMHQRKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLIALFEKGAAEGFINPDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGKDGAPAEDS >Dexi2B01G0031920.1:cds pep primary_assembly:Fonio_CM05836:2B:39905212:39913290:1 gene:Dexi2B01G0031920 transcript:Dexi2B01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFARAVEDGLKLSKRLVLPGGVPPPRPPAGMERTMSAAAAAGPDPRLLPTAPTAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAVDCALDAAHVTLRARWWVHCVTRSRDCDVRIVVPMGEQILSGGIGIIAVASCLTSMIGAVLPYFADSLLVLIIKVEGGADIYATFRWSQKLLYDNGRFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGDKLSFLHEAVVENWSSKDFNFSYSVYSADLSGGVLVQPSTLRDYDDRDRFCIFLLPGSGNRKVFRKAIVFIVDTSGSMQGKPLENVKHAVSATLSELVQGDYFNIITFNDELHSFSSCLEQVNEKSIASATDWMNSNFVAEGGTDTMHPLSEAMALLSSVHDALPQIYLMTDGSHCNHYFLRMLASIGKGHYDAALETASIESRILKWFGRASSTIVANISVDAITHLDDFEVMATQEISLLTSKAWLSADKQLERKCSFLFLNNVGFSSILQVIKLSIQHSVPSEYTEMVLLQTNLDKPDATQKVKQKLKGHKGPDELRVPLHGLKLGFGDKDATRANLTTAFGDAKPPEKNVILQKASGCCSQLADCLCCMCCIKACNRMNDQCAILMTQICAALACLGCYECCAEVCCGGSDS >Dexi7B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:7B:1073788:1076298:1 gene:Dexi7B01G0000430 transcript:Dexi7B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYFQEEVNNNGNSCLLHHQLTIAIEAATSLIWQVVSGQPVAVALPGCRDKCGNITVPYPFGIGAGCYRDDGMPGFMLECDDTGRSPPRLMVPGYGIELAGFTLATGEARAYLNATRVCYNSTGSNHGVVFRDENYMPLVGSHYRFSAAKNLLISLGCPNLGYFVDGPGYYISGSMSVCRSSITATGNCTGVGCCQSAIPAGLDYYEPHILDFLPGQGDPIFIANTTACRYVFLVESEWLNTTYNDRAYLNRTGDFDVPLVLDWAVRSVVGDCAAASGNATSYACRSMLSECINSTNDKGTGAATSAEVEKATSGFATDRVMGCGGHGIVYRGVLEDKTVVAIKKSKMMEETETKEFAREMFILSLINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHDKDSNADFTLDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAEVSDFGTSKLAPNDEAEIATLVQGTWGYLDPEYLMTCHLTDKSDVYSFGVVLLELLTRRKALYFQGPEEDRSLVSCFATAMNAGRHQEVLDSQASSEMRAAEILQEIVDLVMQCVSISGEERPPMKEIAERPERLRRYQLQHPWTQPPDGNPEEWQGLLLPEQQQNVNLQFEHHDALNIEHGRAYFSL >DexiUA01G0008850.1:cds pep primary_assembly:Fonio_CM05836:UA:16899495:16900382:-1 gene:DexiUA01G0008850 transcript:DexiUA01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALADLASYPNDVSFSDCLGWEGFPSILWSVLQALDYPTPPQYERTIIRDRGVVRSRVRLVVYRRPPCPSSPTWMVEVHGHHMETTCELAALNGISSFVAQNQELVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAENPVAAAALMMRWIRAYHRLHALLGRSQSEMLNLAMDMSARARDIGVERTSLSIEVTTRDAMIADLETQLNDLHIAHNNTQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLLEQEGGDGEGEAPDSDMDTEDDMPPLPAPPSLMGSEATANNLDDF >Dexi5B01G0033800.1:cds pep primary_assembly:Fonio_CM05836:5B:34114904:34117338:1 gene:Dexi5B01G0033800 transcript:Dexi5B01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRAGGAGGGGDTSAFFAATLVLWAVSVGFEIGVRGRRELAPVAAGFAFFQAANAAVRSSVSRDPLFVNTAVSLLHSSLTSASVIFVLVNQWRNKGLENMFDHEELFGGSWIGAYSALCFSCGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILSLVCEMHSIFLHVRKVRRMAGFRDFNRKAVKLEWVLNWTTFVTARVVCHILITYKLIADAHKFGKGIELPLALLGMAGMNLLNIFLGLDLSKAYARERNQQRHQD >Dexi2B01G0032370.1:cds pep primary_assembly:Fonio_CM05836:2B:40283923:40288315:1 gene:Dexi2B01G0032370 transcript:Dexi2B01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRAKEEACQEAAMERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGMKQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTLTRILSVQYAIPDFVRVSTECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMGVIMTDRNVHTQSLEEIMTIIQEARKPGDGMKLTGQIPGLGSMELDDIDVDDIDVEDSGDFVCAL >Dexi1A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:1A:27132963:27138178:1 gene:Dexi1A01G0020270 transcript:Dexi1A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAALAAAVGVLLPFPFYYALWTHPQRWVDLCGRGADPCHRMAQVSHAIKAIQLLTLASVASFSWPPPLYCPILLVVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSILSLVALLCWVPFPYVLLWCLGYVFMMWLEHKEDPATRAKPLS >Dexi5A01G0031810.1:cds pep primary_assembly:Fonio_CM05836:5A:34424356:34426638:1 gene:Dexi5A01G0031810 transcript:Dexi5A01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVHDRLPFLASPPAPPPPPRERGRNPSLAEMLRLVGAATVDPSAAAAEDDDASASVFSLPLPLPTTPGHPAAAGDAGVGDDDGERAPIGRTVQFRLTFTGLTYSVRDNKQQRGRPCLLPLQRRSDRVTAAAAAPDAHTKALLDGISGEARDGEILAVMGASGSGKSTLIDALANRISRDALKGGVTLNGEPLTGHILKSISAYVMQDDLLFPMLTVTETLSFAAEFRLPRALSPAKKRARVQALIDQLGLRAAAHTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFTGTPSSLPSYFAELGFPVPDDENRAEFALDLIREFESSPTGTKPLVDFHRTWQRMHADQSLEVPSMMSLKEAISASISRGKLVSGTDVAGGEAASMHTYANPFWVEMKVLTRRSAINTRRMPELFLIRLGAVVVTGAILATVFYRLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRRASYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVPGFIFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPGYWIWFHYLSLVKYPFEGVLQNEFGRGGECYVRGVQMFDNSPLAVLPEAVKERVLASISSALGVGIGADTCVVTGRGVLQQAAVTQLGKWECLLVTAAWGFLFRIFFYFSLVLGSKNKRR >Dexi8B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:8B:2943707:2945521:-1 gene:Dexi8B01G0003710 transcript:Dexi8B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEELPIQSHGGTASVEEEQKKPLSSMTSSLLPARSLRSTAVPAAQAQSSQAMGDLGIRSLSFSKLFSFRMASSLRISDYIDQPDHATATSNSSVDNNTKEDDQAKKVCRSQSVPTSVKRFKPTKGLRRVADSSSLSPAPAGTFRLRVLPGDIASAAATASADHEEEDIAAEEAVCRICMVALSEGAVLKLECSCKGELALAHRACALKWFSIKGNGTCDICSQEVLNLPVTLRRLHDLQAQSAQLQADPAATAAATTGIGGRYGSVWQWHGTPILVVVSMLAYFCFLEELLVGDHGTAALAISLPFACVLGLFSSLTTTKMVSKKYVWIYSAVQFLFIVLFTHLFYRYVKMQAVIAIILSTFAGFSMAICTNSALLQILKWRASYVASSTTGEESHGSRVLSAADLEIGLPPP >Dexi9B01G0040220.1:cds pep primary_assembly:Fonio_CM05836:9B:40803360:40805112:1 gene:Dexi9B01G0040220 transcript:Dexi9B01G0040220.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGKDLSTTEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAALAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTSRIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLVVEIVSPQHAKTQLMLESYLPA >Dexi5B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:5B:4132598:4133107:-1 gene:Dexi5B01G0006100 transcript:Dexi5B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVMATLLLSLLSCSAGLSSATATTTTPEAKADRIHSMPGQPPAANDLSMYSGYVTVDETSGRALFYWLIKASDVAAASAPLVLWLNGGPGCSSVAYGASEEVGAFRINPDGRTLSLNPYAWSEGRTGGD >Dexi2A01G0034710.1:cds pep primary_assembly:Fonio_CM05836:2A:44562249:44564655:1 gene:Dexi2A01G0034710 transcript:Dexi2A01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIESSSSPPMAIHPRITGGRRQGPLPLPLKRALLAAITVAAAISILCFLSFTDTVSFTDTLSFLGFPPRDVDKREGNRRYLYWGSRVDCPGKHCGSCAGLGHQESSLRCALEEALFLDRILVMPSKMCLSSVHNTKGTLHTSNATSEQRWETGSCAMESLYDLDLMSRTVPVILDNPRSWYEIISRSTKLGEAGVVDVQGVSRVELKENPNYSSALLINRTASPLACILFSASKCLCSLQMKEILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIARWIKPGRTIFIASNERTPSFFSPLSDRYIPPCTDM >Dexi6A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:6A:436286:443243:-1 gene:Dexi6A01G0000670 transcript:Dexi6A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNAAFPFTTTTTSPRFCNPISHRSCLRDKVFDILVIDEAANLKECESMIPLASRRINHVVLVGDDKQLQSVVKSTIAKEKKFGRSLYERLSELGFPKHMLKIQYRMHPSISKFPNEEFYAGGLEDGPNVKDYNNTYLDGHMYGPYSFIHVEDGYEENIGQGSRNIVEADVAANIVARLAEVCTVDSCQGDEKDIIILSTVRHNRSGNIGFLECDKRTNVALTRAKNCMWILGHETTLLQHKTTWSRLVKDAKDRQCFFDARDDYSLARAMDQSVRDNVSRPNIKFQCAPDAQLAGDSCGEASMDNNPTASLHEASHDMMSVDENLLKYSGEASKENQADSSKDNKKIEEKTMCDDSANSAKTKMCDDDKNDVNAEGNKEIQDTTEGNKVKEVPMQAEVAAEKTCQLLPSCSRKRINSLQEDQPAGQFAEQVHLAQLPPRPSRKKACLSQSAPIAQVTVEMAALEDAQIDLLGALPQLAEAVARTPVNYEERKEREKLVDTTTSWALHEQDAEHARFAARGETSHGGDLVMGGTSDQCGSLMEQKTDQWTGCELGRGVVLQAKTDKWTGCELGRGVVLQAKTDKWTGCELGREAMLIILRH >Dexi2A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:2A:8806290:8809273:1 gene:Dexi2A01G0008750 transcript:Dexi2A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPRLAAPPPPVARSARHAPPSPARSPRALYCSSSSSWNRWRCSPRRPSATAADAPRGCPLPEPEESDHLLLAALRAARIRDEESLRPDPLFIDPYAAVLSHDVSHHDMDYLVSHSVPCQDHYRLTTRYIDDKLQNLISNSEDIRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPGKVFSTATRQLRGSGAKVSRNCVLLHTHLESPDLQESLSKNGFNGNRLSLWILQGWEQDNLEKLFFTWGFRVSFVQYDDVAKDIGLDIAAPWQQHGRVLFVAEQLRFSDAQVRQ >Dexi6B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:6B:23209791:23214351:1 gene:Dexi6B01G0015910 transcript:Dexi6B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLEPLRAGAAALSSTSDPDSPATPRRSRMRDLLRNLDRRLSNRSRGGEVAAAPVHRGGEPVVSPRRGEEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCANGCAEMMENNRERRIALVAAGSAAGIASELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKRFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSGSKDTFEATSPRHGYSSLLPPADRNETDSRRQDGDDVELAILDVDPYHYGINNEEMLLDDLKVSQEMSKQHVKVTPTFSIKEATRLMQEKQQSCVLVVDNEDFLEGIVTLGDIRRKGFEPSENSTEENSSTLDANSSPVSSCLTRGFQFHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVIGLLHYDAIGWCLR >Dexi7A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:7A:26091632:26091904:1 gene:Dexi7A01G0016190 transcript:Dexi7A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTPSATARSTAARMSELKHPPDQHTLYAATRARGAMPRATPEALASGTGEPAAVAAVCVPWPSWSRGDLSSTVSLMGPDDAS >Dexi3A01G0023930.1:cds pep primary_assembly:Fonio_CM05836:3A:19555753:19556745:-1 gene:Dexi3A01G0023930 transcript:Dexi3A01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARCEEQLPRPDELMPLSQTLITPDLAVAFDIPGHGGVGGGAGGGGGGAGGGAGGSGGAGCCPDMNGGGGGASSAAGSSGGGGGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLGGGGGGGGGGGGGAGGSHSSGSGTDAATEHLFATGPVPFLPPGHRAPAGAGDPYPPFAPMGHHHHHHHAPQIGHFHHPAARPLGHYGAAGAAGFDHGFLSRTVGGAPPVGPPGMHHHRMVGAGAGMGMMAPASFADEMDLGSRGGGGGGGRRELTLFPTSGDH >Dexi7B01G0022170.1:cds pep primary_assembly:Fonio_CM05836:7B:27148560:27154847:1 gene:Dexi7B01G0022170 transcript:Dexi7B01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGARRRRSPEDDRSGGGRAQRRRLSPEEDAASLAEAGAAAATRSSPGWLSGLVSGAKRVISSVLLFSSPEETGSGEDEEGDGLGSDENEDVPDTYGALVPYSESKLAIEQMVMKETFTSFIKGIDTDMGSPVDVAKSYMQSLPPWQSPFLGSQKFSTSPSKYSSLLSTVTTKEDYLPNFWEKLEESRRACIGSPGVKFCCPKGIDEPVDLAKDNGNAPEKYHAASEIQPDEVAEGKNVSSTGTKDATDHSGDAKASTAEPNIGESHINSASELRPKDAGPPIQTRMNGSTKKTSVNGPLDQSKANSGLESSGNDNPSCTNSSSAVRPTSNDLTNSAAGATDVDSIENATGPEERALRRGRKRVVRGVRGRGK >Dexi3B01G0031110.1:cds pep primary_assembly:Fonio_CM05836:3B:31539424:31540390:-1 gene:Dexi3B01G0031110 transcript:Dexi3B01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRTWIARPDAGGGGGGPVMMTGVVGPESTWIARPDAGGGGGGPVMMTGVVGPESSSSWSSPAASSPATTGRSSSRSLSLPAMELR >Dexi5B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:5B:21676046:21677107:-1 gene:Dexi5B01G0019400 transcript:Dexi5B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQPLPLITKEAVMGQMVSNSVDAELLDPAMDLVYELLRIFLPRPPVSAAASFSAHSSSPGGGSEDRLSALPDEILRNVISRLPAKDAGRTALLSSRWRPLWRSAPLALVDSHLLKSAGGDDSPPRAGSGSVVAAVSRILEAHQGPFRCVHLTHSSMGAHRAELALWLRLLAVKGVEELVFVNCPWPMDFPLPNTIFCLPSAKRLYLGAWRFPNTAALPRGTAFPHLLELSLGCMALEDRDLDFILARSPVLESFVLYSSQKAVNFSIISRSLRCVQLCMCIVRDISVVNAPRLERLFLWEMIPRPCRHKVRTRVKIDHAPNLCLVGYLVPGVHTLEIGDTIIKVLFIFYQ >Dexi2B01G0034490.1:cds pep primary_assembly:Fonio_CM05836:2B:41727482:41730362:-1 gene:Dexi2B01G0034490 transcript:Dexi2B01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRSAAARLRPGLAAAAAGARGERRPLGTAAAEAVASDAGAVAAGVLARWELMGARDYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVEYSESEILMRYRRAYAQPWGRSRLSINVSEYLQALKCDHWFDAVAISAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVEV >Dexi6B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:6B:9316102:9316593:1 gene:Dexi6B01G0007830 transcript:Dexi6B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLSPSSVAVLSTAGYDDDDYYYEDDDFEFTPLLLKPRRRWSRARTERPRTTDQVAAATIAQLRPPPQRARRRRGVAAVATTPASRKVRWHDMAFGSVRVPAAMDMGEIRRRLNSRPQALAGNEPSSSAAAAGWAPWRLIRSLSCKGVEAVTAAAAPVRLV >Dexi1B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:1B:10811477:10812935:1 gene:Dexi1B01G0010970 transcript:Dexi1B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRQGLRPFLLRGLSPFHLRGSTRSTGRHPFHVWTWCLVFFASTTIHGAIYYTVLGATTPGNGRLVASRGPNSHPPGGFINFLNSTQNLAQAVGNGSSSQPINIGDDCARTEKRLLWTKEEDLRLVSAWLNNSNDPIQANYKKNDQYWNGVAAVYNSSTPNNPARLPKQIKDRFGRIKKRVAWFCASWKEANVLWASGESDVNLMDRAPKIYEEEHKKDGLFIFKHCWDVLRKEPKWDAYLERLHDTDPDKRKLTDDDDMGQQYNLDDSADERPIGGKQAKEQRKKKKKDHACIIDLEDELHKFVDAQKTASKGRKEMLETQKRVSSENLEAKRLAHLAAKEKKEAVMLETRSFAGQSTFQGIKRGEHD >Dexi7A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:7A:27804664:27808128:1 gene:Dexi7A01G0018380 transcript:Dexi7A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEATNKEHGGGHEVTVTVAPALPVQQGHRLELSNLDLLLPPLDVSLFFCYLQPAPTAAALKEALAKTLVPYYPLAGEVVANADGEPELLCSGRGVDFTVANAAAGVELREVRIGAVDESVERLVPANAKKPGSVVAVKVTKFACGGAVVGCTFDHPCPVLPPLPRLPARPAAAALAVDRLFSPRNAAPPPPPSVAATAVNRIYRIAAADVAALKAAVGPGRTKMEAFTAHLWKLCSMAASPRRSQQCCMGVVVDGRTRIVVSPNDGASTTMRGYFGNVLTIPYGVLGTEELRRMELAEVAGDVHRWVAEAATDDHFRGLVDWVEALRPKPAAARAYLGGTGGSDAMACIVSSGMRLAVGEVDFGWGTPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVAPELAKAMEEEPTVFRVLENSYVFQ >Dexi2A01G0028460.1:cds pep primary_assembly:Fonio_CM05836:2A:39757615:39761436:1 gene:Dexi2A01G0028460 transcript:Dexi2A01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGLSSGTPADSYYEIRSDCTDDVPKSKFKIKGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCRQMDSHVGSGKIITAPLITEDGRPIKDPLVLLEATDKNSSEGAPTTSRNGIEIDESAGRITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFRCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEAGAVHKNKVSKSKLKGLRHFGKWDNNKDKDNAKNGSEDGEDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVSGYHVISRQVQAKK >Dexi9A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:9A:16241793:16242389:-1 gene:Dexi9A01G0021340 transcript:Dexi9A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAGVMYDVVAPAVAQATLCSASQSPPLDIIICLDVARCCACELSPASTYARPDTSYRRRSATRDRISLSSRDRPKHAESPDLAAAERATARCRLRRMSAASACAPRSAAARAAAMPSMASNRTRSRSTSTERSAATAPPHGLSTTARVASWLSTARALGTWRNSKKRLSSSA >Dexi4B01G0023230.1:cds pep primary_assembly:Fonio_CM05836:4B:24639281:24644772:1 gene:Dexi4B01G0023230 transcript:Dexi4B01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCRVHPQAQQRPGSERITRLGVYHDVLRRLRDAAAPEALAPDFADRLWAHFHRFSVRYALDVNAERAEDVLVHMQLLDRAKHSENQPAFSVRVVQLFQISVFFSPPTDLCIVCFVSVHPEPIFGSSQNLKALVREASSRNLLEDGDAVLRPMHEITFASKDRPKGLTQLSALLGQLNLDIKEAHALSTNDGYFLDIFIVAGWDHKETLQLEEVLEKEFHNYKAQMYSTSSCWPPELAGKQCLNNSQEGNHVEIPKDNTDEWEINFKALAFQDKVASGTYGDLYHGTYFGEDVAIKVLKSDRLNENMEKEFAHEVYIMRLVTKSVSKSDKEKRMHANFSFSRKIRHKNIVRFLGACTKPKTLCIVTEFMKNGSVYDFLHKRKGSFKLPCLLKAAVDISKGMDYLHQNRIIHRDLKTANLLMDEHEASFHVCMSQIVNAVPFALKIPYDFLTPLQAAIGVVQEGLRPLIPKGTNPKLAQLLEKCWQQNPINRPDFTEILQTLNEIAEEVAMDANKPHKEKEKGGSFFSFGKAH >Dexi9B01G0031380.1:cds pep primary_assembly:Fonio_CM05836:9B:33772893:33776293:-1 gene:Dexi9B01G0031380 transcript:Dexi9B01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADGDSSSSSWDSDDEYQKLVEKMNPPRVTIDNATCANATIIHVDSANKYGILLEVVQVLTDLKLIVKKAYISSDGGWFMDAVIQVTDRNSGLAISDAERLGRIKERLRNVFKGRSRDAKTTVAMGIIHRERRLHQMMLEDRDYERYDKDSAKANPMPMVSVVNWLQKDYSVVTMRCKDRPKLLFDTVCTLTDMQYVVYHGSVDTEGPEAYQEYYIRHIDGSPVNSEAERKRIIQYLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTKGNKAVNTFYVRDTAGSSVELKLSKMSSNPVMLRSSCSYAMVPSGGIVPEGYSEGAVVKCDTTTDDGLSKESGRRRHGCHLCRAHMSKMAASSGEGLPWLQVGAGP >Dexi8B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:8B:3950317:3950865:-1 gene:Dexi8B01G0004430 transcript:Dexi8B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISTSSSFVFCLLAAAVLLAATPSPATAAPWDEKETHIRVYWHDQVTGNATVVTVAEAATTNTSSTRFGAVQVIDDALTLEPNKTVIGRAEGIYVSSNKETTSVTMAMNFVFMDGPFNGSSIAIFGQNRIELKVREMSVIGGSGVFRLARGYVQLRSYWLSPSTGDAIIQYDIFVRHYDH >Dexi3A01G0033150.1:cds pep primary_assembly:Fonio_CM05836:3A:37937457:37937783:1 gene:Dexi3A01G0033150 transcript:Dexi3A01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHALTATFVILALTFVVLVWTRRRRRHRQPPLNLPPGPKGWPVIGSLNLLAGSLPPHRALAALASCHGPFMHLKLGPFHDIVASSAATQGRLYSGPRANLAGWALL >Dexi9B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:9B:8958953:8960113:1 gene:Dexi9B01G0013290 transcript:Dexi9B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKVERVRMTTGALDCPICYDPLEPPIYQCGVGHLICKSCCAKLKKCPVCPRIGFERCFGMEHVVESIEVSCSFAKHGCTKSIVYFNKKRHEKACRHGPCFCPETGCNFIGPALALMGHLTAHHKWSSKAFRYYEQVELRLQPVPCVLHSRDGHVFLMNMVPAEPFGHAISLVCVQPEATDSRFRCSVVFSCFAGHSQMSKLDAVRSSMLSDGMPKDFFCIVPKPKALVKGADVVLRITIAPQLVFDEEDKEQEDEGEDNESYNDEEDEDDDEEEEEEEEEEEE >Dexi5B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:5B:21589941:21591081:1 gene:Dexi5B01G0019270 transcript:Dexi5B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNLLASSGKFPESQGLNGKDPLLDSPVASFGGQHGPPSFAFSLRWMLDRMRSNFGGQRQGEEPTANRGSKSPPRNDIGNEELDQRHQNKPRRYSEMNFSQ >Dexi6A01G0017080.1:cds pep primary_assembly:Fonio_CM05836:6A:24940052:24942111:-1 gene:Dexi6A01G0017080 transcript:Dexi6A01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLKREGDMATQLANPGTLNDRIEEIQREIYSSQQQLHASEERLRMFEPDPATFGCTSEIDGCEKLLVDVLARVIQWKNYLLGDHMNPFDATPSGMEGVNGAEVYVKQEVSMGGFAGDAEVWAAAEVESDAGHQMFGASDPFIYLRDQDVYDATSQVAGLHVGDPCAAGVDAGGEVDVDAEAEAWRQAYTCTELLSTLIPATPFPLMPHCLGLDEQFMPLQDGGMAVAQEQVEASASCSYVPSDDAGTPAMANDGTAATGNVA >Dexi7B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:7B:23551860:23564091:1 gene:Dexi7B01G0017630 transcript:Dexi7B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRATAAASSKKPPPSQPSQPAKFGILHFFERQTQASQNAKRQKPDPPPPPPPPPPPPPQPPPEEEPLEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGAAEVVTWKISPVNQRLGTTKSRQFLGMALHPCSNNEKNPSLEAMKKWHSSPLGLSRCTASGRNSGIIGSALAGCDGVEDSQSPFRTPPSLSYGCSEALLDLLDQVEDAIMEEELPVDNGSKGGQATHEDNTNSNCSVVDDDDLTIPPKKNTDVPPLESFLVLEVSDKHKTDDSSCNRYPVKVASSFHCPRRSVLDDRLKSNEYSISALIGTLLHQVFQAGLLEDAPTPQFLEQQAKEVLLRNIESLYACEANESKLYSTLIEAIPKILNWFKCFLKGSKCSNVNFGHTEGRKTVGVTEVMDIEEMAWAPRYGLKGMIDASVISRVDSCNGGSYDKIMPLEFKTGKSTSGQTAMEHSAQVILYTLLMTERYLNKDIDLGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELATEILKASISQSFPPMLKSPSTCNGCRHLTSCTIYHEAHGGDAAASGLGDLFDNLVNHLTTAHHNFLKHWDRLIDLEARASQVKKKSIFQPYHSNSGSGNRAPSFFALDLKNGHLIDSSGKSKRYIYNFIYHKMQPETIDQSDAQFDSLDFSFKCGDPVVLSTQSGRIAVANGSVRDISRCSHITVSLPRRLRIPDSNSLSEPEDLTRLNLVQLFAQNPQNSHLRKLIVDLEGVDFIRIGRHEAVHPDVRDHCLLTRMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQYRMSSGIMELSNSLIYGNRLCCGSVEIANAKLKFSGRASVQLKLKEILNPDRAVIFANTDQIPALEAKDHRTVNNPTEAHIISWVTKELPRRGVPQDGIGIITPYNAQVNLIQQCTDGLVEVHTIDKYQKKLIMVGSRRTLSTIPLLRLLVDKVAEIGGLLDLTNNDVHSLREPRGSRLNAQ >Dexi3B01G0037630.1:cds pep primary_assembly:Fonio_CM05836:3B:40393650:40395065:1 gene:Dexi3B01G0037630 transcript:Dexi3B01G0037630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLMLGSLGRSMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLIDFKLKPYVSQCARDLTASTASSTSAETTENKS >Dexi2B01G0034780.1:cds pep primary_assembly:Fonio_CM05836:2B:41993987:41995035:1 gene:Dexi2B01G0034780 transcript:Dexi2B01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVRSVLVATRKVHGEPSMSAFVSRFSSASGSQRLAGKVAVITGGASGIGSKATATEFVRNGARVILADVQDDLGHAVAAELGEATYTRCDVTDESQVAAAIDLAVARHGRLDVVFNNAGVGGCLGPFPVSALDMADFDRVMAVNARAMGAGVKHAARVMAPRGSGSIICTASTAGVLGGVAMAPYSVSKAAVVGLVCAVAGELARSGVRVNAISPHYIPTPLVMGAMAKWYPGMSADERRRAVERTMNEMEGPVLEVEDVARAALYLASDESKYVNGHNLVVDGGFTVGKVPNMPA >Dexi5B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:5B:2668634:2669206:1 gene:Dexi5B01G0003940 transcript:Dexi5B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRAQLGKEERRDWANLIPDLIDEISGRLLSSDVSEYLRFRAVCKPWREGTDDPHAQAMDTRFRPRNWILLCITPDPTPRRRRLLNLATGASLAVDLPALSTHCYLCAADGLLVLYHRPTNAIRLLDPLSNDVVTDFPAISCSKILQVVPPMYRDSLASCQTPSTALAWMTPLPRLHSRSA >Dexi5B01G0003940.2:cds pep primary_assembly:Fonio_CM05836:5B:2669215:2669831:1 gene:Dexi5B01G0003940 transcript:Dexi5B01G0003940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVFAKPGDAMWKLVSPGQASHWLFDYLGKVAFQSLVSIGGRCYFSSPEGSVYVLRLQPLPRLVEIVNQRSNKMSRDDTVRRYRYIHSFLIGEGSMGRLLMVRYHRNIDYLGGMAAYNQMVLFTGANGITGCIELIQVDIAGKRLVPLSSLGGRAVFVGETHCVAVSTKTFPSIAPDAIYTRNSTH >Dexi3B01G0023320.1:cds pep primary_assembly:Fonio_CM05836:3B:18128257:18130018:1 gene:Dexi3B01G0023320 transcript:Dexi3B01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGKPRHTGVMVGMGQKDSYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFECPAMYVAIQAVLSLYASGRTTGEIVVTLGIVMDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKMWISKAEYDEAGPGIVHMKCF >Dexi5B01G0008520.1:cds pep primary_assembly:Fonio_CM05836:5B:5773701:5777887:1 gene:Dexi5B01G0008520 transcript:Dexi5B01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRVATAMTTTARHCPNPTHRRLLPPGLPRRTRRPIPRGMDMAFPVANATAAVLTRVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLTRKSYKPPKKPLTEKEIDELCNEWQPEPLCPPIKEGARIDTPMLESAAGPHTIVDGKEVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEEYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPTVLSTLRSNIALMHKELSDTPGLEISSHVLSPIVFLKLKKSTGSPTTDLDLLETITERVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSAGHTESDIFTLSNSLKRVSASVLSD >Dexi6A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:6A:1000147:1004351:1 gene:Dexi6A01G0001130 transcript:Dexi6A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSPSTLLNNISRLGALTSDGSAVRPKPIQKYCQNAYDISSIVNPLLEDLCKSPEEQLNEVLRDLDTAINEASGLIGNWHQTTSKIYFGWQIESVISDIQGCSLQLCQLANSLLPSLTGCACTCIEKLQDINYEHMFDLVKDVAKELEETSALNFPSGASSLQDLSAAGGSPLHPSVISRANIPGSPEADVYLRNLNGASPPPSVANQNSHVHASRSAHEVSTSQISENANGSAPDISRLSLANSEARESSLEGRRGGSIGQTSEQSAEEAFQSSNLDRDTQDNMASSSLNGSLPNSGQLDGECDNGVTRVPSDRTNYSSDASGEVTDGGGAPVASSIPQREHLIPPRLTTRGQFVRRQASDRGFPRIISSSSMDARSDLSAIENQVRKLIEDLRSDSVDVQRSAASELRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKTQENAVTALLNLSINDNNKIAIANADAVGPLIHVLETGNPEAKENSAATLFSLSVIEENKMRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >Dexi4A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:4A:4663624:4664109:-1 gene:Dexi4A01G0006490 transcript:Dexi4A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNQGKGSSGTFVIARLHVLALHQSLTAHGAVGQGATHTRQHRPHRRQRLRQRRNSQQDLLILLLIDGIFFSVAQEHQAIEASGPREAEASGKVEREQLQRLGGDAKGQREDACLRHLLRNPKCVAGLPALLESILLPKTTVDDLNLRLGHVVGDILRHY >Dexi1A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:1A:1253079:1260828:-1 gene:Dexi1A01G0001880 transcript:Dexi1A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAHLTPAPAAVPAPASLHRLRLPAAAASPPSPSARLRLLRAARSEESPRGSRVARSRCAASAVARVGEEGGGDEAAAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALGPGTVFCDYLSYIFMFLSVATSNMVATSLAKKDKELAQHQVSMLLFIALACGIGMFLFTKVFGTQVLTAFTGSGNYEIISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGAGDILLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNNKGFRAFSFTIPSARELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMINILCMCTVWGEPLSQTAQSFMPELIYGANRNLTKARMLLKSLVIIGAIAGTVLGAVGTLVPWLFPSLFTNDRMVVQQMHRVLAPYFTALLVTPSVHSLEGTLLAGRDLRYLSQSMSVCFGIGTLLLMVLRNKFGSLPGCWWILVLFQWSRFASGLQRLLSPAGMLYNENFNQVEYVKTKAV >Dexi1B01G0026430.1:cds pep primary_assembly:Fonio_CM05836:1B:31311983:31313161:-1 gene:Dexi1B01G0026430 transcript:Dexi1B01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSHLLSAVRTASPLPAASSLYRLLLYSSAAAAATTTTTTTTMPAAQFSVEDYLANSCGLTRAQARMASGYLPDLKSPSNPDAVRGYLAGMGIGQRDVAAALSRNPRFLCSSVDETLAPRIAELRDVGLSTRQISRLITAVPDIFVAPGWIPRIAFYLSLLGSYRKLHTALRKSKYLLSRDLKCVVKPNVAFLLKSGLTHSDIAKVVVFHSHMLTLKPRRLMEIMGLADMLGVRPNSVKLKHFLASVLNISPGEFRERLDFLKNALGCNKTELRIVVLELPKILYLSESRLSCVIDFLKTEVGLETAYIVRRPLLLKYSMAWYLKPRHYVLKALKANGLEKDVGFYTAVCLSKKKFIKRFLDPYMESVPGLADAYAAAYGGQDPHEIQP >Dexi1A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:1A:1636143:1640504:-1 gene:Dexi1A01G0002420 transcript:Dexi1A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSAAAKASAAFTHKKELAAATAPAPTQHRAGTGRRTKACRVRAVASPARAPRAPASTGSVKTAMTMTEKILARASERAGLEPGENVWVDVDVLMTHDVCGPGTIGIFKKEFGEDAKVWNREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVLGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVDYEPVYSDAQARFFSDYQFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM >Dexi3B01G0021200.1:cds pep primary_assembly:Fonio_CM05836:3B:16105146:16105464:1 gene:Dexi3B01G0021200 transcript:Dexi3B01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRWRATNLRRKAGSVTRRHHRLRATAARARLAGSDAGRRRRISSTISSGSGAGGGGPIAWAAGGGAKPHI >Dexi6A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:6A:23057961:23059192:-1 gene:Dexi6A01G0015590 transcript:Dexi6A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGYSLPDDLLVLILLLLPTSSRRRFRLVCKRWRDMVNERTPELQVRAEILAFISQHGGSRALLFDNESGGRRRWSWKYPCSHQRSNVALVGTCNGLLCLHESLTTTAAGDGSSFSTITVTNSITGETQALPPAPRSPEWEQMRAPGKYTFGYHPTTGRYKVVHVPCGRRQVVDALQVFTLGVGTSWRAVPVDTTPGGGATYNRLCDAISRVTSFAAPPLAGGGGGGLIPAEAGWDLTNVHARLGAVVATSTASVEVWVLDDGGGAQPRRWSRRNNIVGATTSRRWIAATTVFGSWIVAPQLTHGDCILRASRDRIQGRGSWSWGMRRLYQHKVDDLTGGGGGRRLSAAKGRELLMNEDERNGVLTTFAYVETLEPLPRIQG >Dexi9B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:9B:6294927:6307734:-1 gene:Dexi9B01G0010160 transcript:Dexi9B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPTSSSPFKIILGSSSPARREILADMGYEFTVMVMVSKGTIRERPRSAEEAREFIKGYSDDRAFAVNFVLVTNLSTGTTKGGWDIPEIKFHHIPDEFIDRVVNQGDMTCVAGGLKLTHPSVLPFIKELVGTADSVRGLPRKLTKELIREEEEKSLRRRGPSNKQLRSQLDDSSSSPHPAAAFHPRLPGSCRRAAMEDDDEFGDLYTDILIPTQTPASTSAPSNAAPVETLPRPPPNPNPTPVAAAAPPSAEDDDDWLLGGSDPIPGVDPTGDWADEDDDGGAPAPPGKREAAAPMKPPPAADDLDPLMGGGVGDSGPAIPGLSSAAAAGAAGSEDWDSDSEDDIQIVLNETDGRRGLGEDEGDDEDGEDLVIVADGPHIPGMEEQDWGEDPAAAGAEGERKDGGEPGKAVAAPGGRIGYSGGGPGFHPQHHSMFKDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHPDISVDNRNRTDNGHTDFSAQGRGPANVRTPVMIGRPIQVETMYTDRFASVDTRPHRMRESDSVIEIVCQGRDSMDDETVDQTEKDSQGGNKRAHDVEEGKPYPSDKINNSGHNSNSGIKTEQKRHLPVSSESDMLSTDVNAHSPPSYKTRGSPRGARRPRRENPVEGPETKGDSEGSLVAGDDVADKLSTEDHFDDDDDRLALVDSAEVDGDDATSDQPSDTNEDDNLGHSGKKQKPTSMVEEPAGNNSSEPDEVRTSENSKGRSGSSKDQQKRLESGEEVLQDRHSRRVNDVRRHHDVEERNLRRKDEYSRDGKPDLERPHLPSRGREDIHQSYANRDRVDIRSRSYDRVRETEVWPRREDSVHSRRGKEEDLRQEYNVEVGARHRNKVRPIDRNEREEDIHPRKRTDDGDWRGSRQRERGDVVLNRRESLDDSHIKRNKDDENLRRMKPENEDMMHGYRARDDNNRRKRERDDGVDKKRRDDGGRMREKVDDRFLNKYPSAFPEAVFRGRPGALNRWAEGFRLA >Dexi3B01G0004190.1:cds pep primary_assembly:Fonio_CM05836:3B:2891147:2895559:-1 gene:Dexi3B01G0004190 transcript:Dexi3B01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRAGWLWRRKSSDKSPGGSDSLVSVSLHSEQCPDDQVQHHALILYHLHLFVSSGSLHQSPQTSSRNKYDCIQENGAARSLNGKLAAGANLTDSSPEHGQSVEPLVSSDVRDEEIKETMKSLNEKLSSALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLVREEQEDKIREIISKKSQVPNSENSELQNHIAELKKRVEVTRSEASSSMLLQHQLQEKLQTIEKENLNLKAKLHSIDKENADLKAKLLVQSKDMKILMLERDLSNQAAETASKQHLESVKKIARLEAECRRLQHLPQKTTLINDSRPTQNNGCMESLTDSHSDHGEHMVEVDNDLRNSDSWASALIAELDQFNNGKDGSRNLVNNPVEIDIMDDFLEMERIAALPESDRTSSNFEMETDSDKAVARSISLKVETEELQNQVTDLQEKFNAIESEKRELEMALMEVRNQLDISCDALVAAKNRLVEMQMQLDSANDSKLSALEDVERLGSERKALELQLQSKSVEVEELRVAVASLEENAGQKELESQLELMPAQAAELRLTVASLEERVCAEKDLSLQQKEKEEAVLNAKEELEAQLCSANTELGTLHDIIKALENEVKKEKALREELTTQLQVKMEAAVDAVKESLEAQLSSAITESEKLQDVVKELENEIEKEKALHEELAAQIEMKTEAARTAEAVKESLEAKLCGANAEIQKLHDITKALQSELEKEKALYEELSAQLEMKIEAERTRSVESAKESLEEQLELVTSEAAHLRDMVTALEHDVEKEKVFSTELQMQLEALEAVKKVLESEAECALQDARNLNQKVESLEAKLKEQMSSAVEFTVKTEALQSERMAMEHKLKTADRELIKLTNKVSLLHREIEHQRLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGNLKVRKEKELANAAGKLAECQKTIASLEHQLKSLTDLDTVVLEPERLECSRDMPLPLDFRNGDAEFTMFTDDFYDFELPKSNTSCFSPLPSIQPSSPPSEMSVFAGGLSTLSNYRNKRASRRC >Dexi5B01G0027450.1:cds pep primary_assembly:Fonio_CM05836:5B:28985735:28987030:-1 gene:Dexi5B01G0027450 transcript:Dexi5B01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAGSAWLRPSAALGLREAAFFPGSASFSTARLSIGLRCADRTLIIRYSHSAFFSAGIQIADSNLRRCKIVHVKSGESDGYPKTEDMLIDEETLQSNLDRAIEEEDYARAAKIRDDLRILHEDAEASLLAANARFYNAFKNGDIAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLSIDLKNIEIHIRGNLGYVTCLEVVKNKGRTWGKQVATNIFEKVDGVWLMSVHHASHIEE >Dexi7B01G0005980.1:cds pep primary_assembly:Fonio_CM05836:7B:13576737:13576991:-1 gene:Dexi7B01G0005980 transcript:Dexi7B01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQSIDGSISCACSPAVLALAALGKTLWRGCWAVGATTRTASQHAQSLGDAAQSGDAAAAAWAAEAAARWADGHEDRLGEEED >DexiUA01G0009160.1:cds pep primary_assembly:Fonio_CM05836:UA:17710174:17710536:1 gene:DexiUA01G0009160 transcript:DexiUA01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi9A01G0030590.1:cds pep primary_assembly:Fonio_CM05836:9A:35596735:35598396:-1 gene:Dexi9A01G0030590 transcript:Dexi9A01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQEHCKIGYEEQSDWYFFSYKDRKYPTGTRTNRATLTGFWKATGRDKAVRDSKQGGGLIGMRKTLVFYTGRAPNGRKTDWIMHEYRLETDENAAPQARPIYIYSAPHLPFQSVCIASRSFHSYVHLHAAGLALAAANVRRRRRREQMDEEGWVVCRAFKKRTMHPPRSVAGAWDPSYYYYHDPVLAGAAHLKQESPELDAAAAAAASALLQYSNRLAELPQLESPPLPSQGTQQLALAEGEGDSSATTDWRALDRFVASQLTPGEEHAGHGQGSQSQQEYCGKASLGTHAGDSREDGTDMVALLLLDGIGVRHEEAGLLGSVADPAFLHVNAARYDRPQQEP >Dexi7A01G0011150.1:cds pep primary_assembly:Fonio_CM05836:7A:21776082:21776792:-1 gene:Dexi7A01G0011150 transcript:Dexi7A01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQSLLAAQQLQQLQIQSQHQQQQTHFQQQHLSTAQQHQLLQEALPFPGDLKMKGLAMPSHGPNAGASDNHAVKSEP >Dexi7A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:7A:15209551:15214652:-1 gene:Dexi7A01G0004400 transcript:Dexi7A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPYFAVEESARGIRKGESPAAALRRILATPGAHQAPCCYDALGARLVERAGFPIGFMGGFCVSAGRLGLPDAGLISYGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAVDARNESGSDIVIVARSDARQAISLDEALWRVKAFADAGADVLFIDALASVEEMKAFCAVAPEVPKMANMLEGGGKTPILSPAELEEIGFSLVVYPLSLVGVAMRAMEDALAAIKDGGVPPPSALPSFQQIKDTLGFNRYYKEEKQYQVDK >Dexi6B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:6B:1606321:1610225:-1 gene:Dexi6B01G0001870 transcript:Dexi6B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIRDLHVAKDEKDIGFYAGFVGASFMAGRALTSVIWGMVADKHGRKQVIVITLIAIVMFNTLFGLSSKYWMAIFTRALLGLLSGMLGPIKAYATEACRKEYNHLALAIISSSRGIGLVVGPAIGGYLSQPADKYPGIFSKKSIFGRFPYFLPSLSVSILAFIALISCFWTTETLHKHTGDVADNSIETVEDSLASTDAQETRHVGGSGFLQLFKNWPLMSAIILYSIFSLEDVAYSEVFSLWAVSDRRYGGLGFSTTDVGNVLAISGILESLPHVISDFYLSISCKISTHHISSHNSILSGFPLHLVVNCASFLKNAFAVSSITVFNILINDAVTQDVRGQANGIAVTIMSIVKAIAPAVAGIM >Dexi4B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:4B:5536325:5537191:1 gene:Dexi4B01G0007680 transcript:Dexi4B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTSKEDDMLAAYVKAHGEGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISDEEEDLIIRLHKLLGNRWSLIAARLPGRTDNEIKNYWNSTLGRRVVIPGTCSSHATAAPPGSCDAGQNAAAAAHPDSAGSAASPAVWAPKAVRCTGRSLFFHHQERDETPTTGGVGGTSSGEGSSEDCSSAASTLLAVDDEPCFSGSGGDGDWMDDVRALASFLESDEEWIVRCQMEEQLP >Dexi3A01G0003800.1:cds pep primary_assembly:Fonio_CM05836:3A:2536924:2541352:1 gene:Dexi3A01G0003800 transcript:Dexi3A01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILLRRLSAHSLGARSHGCRRLSSAVYGGGGERRRPSAQAPQEEESRAVRVAVWWDFENCNIPNGVNVCRLAPRVAAAVRAAGIRGPLSITAFGDVLQLARSSQEALAATGVSISHVPRSGKNSSDRSFMADLVYWIAQNPPPVHFFLISGDTDFANLLHRLRMSNYNVLLACPSYATSVLCSASTFMWQWDALVKGEDFSPKHFNHPPDGLHGSWYGYYKGALDDPFLEKESREPIKVPSDSQLCSVPSDTKHCSIPNYVTNAIVEALRLNPKGMRLSLLREELTRNNVYMGTDFFGHKKFTCLLQSMPDIVELIDSPPGENQQYAILANKRLQSGDGSSKTLSSAQRNVRENNFTQGAQNDKYPSLMSTPEAKPQSPSESVDRSRSLTETVSENPPTFSDSSSPLVVLSEDQKEHKTANESAQTESPAKHMEVDEKAIPGTPSSSGLENAVNKDGLLKRIWVLWNGPENAESKVSQNCESTSTELVDDLRIPLQKHSADHRVKLPISSDGEDSENMKRDPSLLENLEPCSRPASVSRSKAGEKDSSEKNEGLFTWASRWWTSGKSDAGNSTTKNVVDETTDSNEEFESSNASAGGRGQQLVNEIFAKAHLWDVLEQQLSKPLGSEFVSKAKTREELARGLQKLGCWPLKGLTEKDLHHLVHLLISEQKWIEETSSRLFPFRLTLPHKRTCVPSNSSKSGVLSSIFVKGKPQKGKYADDNSRRNKLLTREEILSDCHKLLKELLSQHKYGFNISIFKRIFAQKHGYELDHQMLGKLGYPDLASLLQIMPDARIKFPRVLPMESGNGQAGSKGTGNQNNGDDLIWEELGPVSATTGTSASEVDEEMCYRPPTPSDDEFSDNDNQAGQQPRTHAEHSSLLQIIDSWNSSKDDGSSKKSQDIDGLVDCSKSNLGSLDNLTAENLQRPTRPLQKQYSFVSDSEEGKEKDKLVESVLGSLQKARSSKLRN >Dexi9B01G0034510.1:cds pep primary_assembly:Fonio_CM05836:9B:36439003:36441487:1 gene:Dexi9B01G0034510 transcript:Dexi9B01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAEARRGFARMGFGCKHYRRRCRIRAPCCGDVFHCRHCHNESTVAQVCCNCGVCMGEYFCRTCKFFDDDVDKEQFHCKDCGICRYTELAENFDRVGGKENFFHCQKCGSCYSTTLRDKHCCIENSMKNNCPICYEYMFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSTSIFDMDKFLRALDAEVRKYLSKGWIVCNDCRDTTQVFSSVPGHKCCHCQSHNTCRVAPPVLP >Dexi4A01G0014570.1:cds pep primary_assembly:Fonio_CM05836:4A:16856588:16857085:1 gene:Dexi4A01G0014570 transcript:Dexi4A01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLTMIVFPPLCVTCVVLRLTGVSWETTAQIAAALIVFVVVIGLCDRLRQRTSPWQQPAAAEFLDAPPPEAVLGLGASAIASLPVYKYKEKRGGRSDECSVCLAEVKPKETVKQLPVCSHLFHEGCIDAWLRSHRTCPVCRSPVTAATAVATSVSVHTPAN >Dexi9B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:9B:3995814:3996759:1 gene:Dexi9B01G0006670 transcript:Dexi9B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRDHDHLTGPNNPAAPTKRVRASSPRNAPAPTLQSPPPSPLPVDLQLEIFARSDNVTTVVRCAATSKPLRRAILEPAFKSRLLARLAEPNNGDLLAAVSKRSVVIGRLLCPIEELVVLGATTDDDGTKLSAVLVEDDRVSVWTLSQRRVWSQQVWIRRAAIEEQLAGGAEEAPRSIRFEGFWGRSRTVLCRMAGVGLVRLDLETKKATVLCRCGELLSTDTFLF >Dexi9A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:9A:9795197:9795838:1 gene:Dexi9A01G0014890 transcript:Dexi9A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITVFFLPQGAAMPLHDHPGMTVFSKLLVGSAHVVSYDWVCPRVCAVAGSSESEMLAEKVLDREFTSASGAWVLFPETGGNLHRFVAVKDEPCAFLDVITPRYSPTSETQQQFAFYKDFPYELHPNVVHSELTEEQKRRLAWLQEIDEPEDLRISNLPYRGPPIE >Dexi1B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:1B:23301769:23306993:1 gene:Dexi1B01G0016880 transcript:Dexi1B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKPHLVFFPFPAQGHITPAFQLASLLHRCHGFDVTFACPRTCPRDFPSFVRTTDPDDAVVALMLHLMECYRTVPSAIIFHTLEELERQVIGAMSDILPPIHAVGPLPLLLGQAGDPAGVYTSSSSLSKEDRACLDWLYAKRPSSVVFVNFGSVVKLADEQLVELAWGLANSGHEFLWVIRSDQQQAVLPPELVAETEGRGLVTSWCPQEAVLRHEAVGAFVTHCGWNSMLCWPCVGDQQTNSRMACTEWRVGVELGEDPSREEAEAAIRQVMEGKRGEELRRPAAEWKEKAALATRPGGSAWVNLEKVVNEVLAPLIDKL >Dexi3A01G0018510.1:cds pep primary_assembly:Fonio_CM05836:3A:14122936:14123542:-1 gene:Dexi3A01G0018510 transcript:Dexi3A01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKTLDYCVDKVLAEDDDSLVEIAEDASNVGAVLFGDRSVANESDGSLDVVMVDNLAAALSGDGGKNDAGKGSGGALDVGVMVANDLLVDARLGRAQDCPAAYPGRKGAGSAPPGPRKGQLQSVLGVPTPRELEVVARIEEVKSQPTRSSPRLAGVADHHILDKAKLRTAWKNLDHPVI >DexiUA01G0003000.1:cds pep primary_assembly:Fonio_CM05836:UA:6348671:6350071:-1 gene:DexiUA01G0003000 transcript:DexiUA01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAHVVDIASLAEQIKRELAAADAAAGQLVRGCPIVIAEVGELTRSIDPDEYVPHHVSIGPYHRIKSPHLAMDGEKVRCLGAVLSSAIAGVTLEVYLEELTSLEAQARRCYAHSFDHMDATEFVRMLLLDACYVLVRFAGVLEAAAARRGNGGEAPAASGGGGHMMAGVAVVRDVLYLAENQIPFFVVDKVYNLTVPDSGDSAADEIAAYVRELLRDQQYSVATPAMAEPDEIGNLLHLLHMHFTPTSPSPSLTTGSDVTGGGKRRVGRWRTATEYHSAGVRFRTRPLVGKSARSILDVKLIGGGGTLEIPRLNIDAETWRLLRNLVALEQSNPGAAGGSHHVTAYCVFVSQLACTPWDVELLSRRGVISHGLGSHAEVAELFAGLCKGVAFRADDPRSNYLHATWQAMEGRFRCRPRRWAAWLMLKYFSNPWLAVGLAAAAVGLVCTVVQAVYSVLSYTSGGS >Dexi4A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:4A:9980599:9983471:1 gene:Dexi4A01G0011770 transcript:Dexi4A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHNERTTNSNGPRVVSLVGMGGIGKTALARKVYDSKDLSDMFSTRAWITVSQSFDQKELFKEMIMQLFGAESWDKFLKDHQGQVTEVHLAGYISRRLKETRRGASKENCKEFRDAAQKLSCLLSLNVGTKEWMKEAEPLDILVSFTSALLSLEKLKLKGLLKKIPAWVGKSVNLVKVDLKHCRLKELDALAGLPNLIRLRLYEYAYDAEKLVYCKDAFPKLRVLLLKAQHDVALREVTFEWSTSPNMETIRIENCRLASGINE >Dexi3A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:3A:9762573:9765825:1 gene:Dexi3A01G0013430 transcript:Dexi3A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANINTVVVTTPDSGILARFPTNPASSQPRNSATPTRPPAHHRLPNKSPALRARRRSRHATLSALPAAAAVAAMVSAESDIERVLWTEAEVSARVGEVAAELAADLRARPEPAVVVGVATGAFLFLADLVRRVDAPLAVDFVRVESYGGGTESSGKPRITADLKVDVAGKHVVVVEDIVDTGNTLSCLIAHLEKKGASSISVCTFLDKPARRKVNVQLVGDGKFYSGFECPDCFVVGYGLDYGELYRNLPYVGVLKPEMYKKD >Dexi2A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:2A:33446196:33449967:1 gene:Dexi2A01G0021320 transcript:Dexi2A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTSFFKSHPRHHRYYYIRLPAAAPPASSPPSSTYPSLPFFAAHLHPPPAQTQPASPSSPPLREALPLLSLAPGAGIITREAAERRGRRQAAADSDEDGDEEEDEGPGGASSRSNSHHGQHQQRVGGLFADLNAKAMGDPMDVESESAAGDDVVVALRIGLPTTSAGAAELMSSRRRKDDGVEEEEDDDEGRNNIDRENGGGGGEDEDEEGEEAVAAPLGFASTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGRGHGFVGGAGVGSCGGGGLDDDDDGAVSDVEHDGAATAGRSM >Dexi3B01G0031940.1:cds pep primary_assembly:Fonio_CM05836:3B:33966849:33967593:1 gene:Dexi3B01G0031940 transcript:Dexi3B01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHHPEELENGHGRALSTISQAEVGTAEFIIQVEERRSIKVFGSDKLLGIGLVLLSTVCASICSVASNLATNDQWHTLRNGTPHLVIYTVFFYFSVSCFVLEVCLNVWFLYQPRAGVAASTIEAYTRDWKGRNWALIAGLLSGFGNAFKLMGGQAAGYAASDAVLALPLVSTVWAVVLFGEYRRSSRRTYLLLTAMLSMFAIGLAALIASTGHRKAS >Dexi8A01G0004170.1:cds pep primary_assembly:Fonio_CM05836:8A:3279566:3280963:1 gene:Dexi8A01G0004170 transcript:Dexi8A01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGDGNKVVPSSSDGAAPTKKKQPRFSSKSVGTAASLSKLLPAGTTLAFQTMAPSFTKGGECSDHDVNFVFTWGLISFLTTLCAALCFTDSVTDKHGHSYYGVATPTGFKLFNHDMRSLELAESRCSLMRKKRMKPLDFLHAVVSAAVFVAIALCDAGVQKCLIPKGSQPWKDFLAHLPLAVGFLASFLLVIFPSERKGIGEDDSFWPGVSDGDDDKSKTKRRLFDKSLGTVATLSRLLPTGTTLAFQTLAPSFANGGRCQRHGVNFYFTWGLIVFLTVLCAALSFTDSVTDEHGHTYYGVAVPNGFRLFDHHHPEESNNTWMQTLNEGKRMKKRDWVHAIVSAAVFVAIAFCDAGVQGCLVPEESKQWRQFLTLLPLGVGLVASFVFVIFPSERKGIGEESGWTADDEHEGSNGGNTAGPPKAPQTNSSSLKVHAMGGGITQVAPSSAAAFDQQLDSDAVV >Dexi3A01G0026240.1:cds pep primary_assembly:Fonio_CM05836:3A:22335623:22336252:-1 gene:Dexi3A01G0026240 transcript:Dexi3A01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCRESGRPVRGSSGAWELAAAICLKSVRRPPHAAVLLPLAEARAWLRLAALLCRPPPPSRSTSSLPAHPLFAPFRAAAPQAARTLPPRRCLCRHGSRRVAVARPPPRIGAACVPFGLRPPPAGPTLLWTAISRRSSRQHSPSTETWAQRSPPSWWREPPPHIGSPQIKLSFAAPALCIHLLCCEDSAAVETSVAQATQLGDAFPVV >Dexi4A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:4A:5766094:5767137:1 gene:Dexi4A01G0007630 transcript:Dexi4A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANTDASTAAASVLVPPCPATPHGNMAEILPSLPLETRYPPFHLRHYKGFRQPEKFLLEYVPFLHAHFELKPDDIFLASLPKSGTTWLKALAFATMNRGLYSPSDANHPLRRENPHDCVRFLEHVGKMKDYEPQMLHSWSLLATHFPYSMLPERMKLEGSSRIVYICRNPKDVLVSGWIFTNKLSAMYGLDPQSYTLQQAFDLFCEGRCNNGPHWKHVLEYWEESLRRPDKVLFLKYEEMLCEPASNLRKLAKFMGCEFSKEEEDGGLVDAVVELCSLRELKSMEVNRDGTNYMFAKNEAYFRKGVIGDWSNHLTPEMAEKLDELVGEELQGSGLDLSSPIASD >Dexi2B01G0028720.1:cds pep primary_assembly:Fonio_CM05836:2B:37263545:37265418:1 gene:Dexi2B01G0028720 transcript:Dexi2B01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRGGEGEDAIRRSSKQSSTTSPSHILCTPPPTPTPPPQSPPAAPAAAAPFLRSADSATDAHSMSKSSTAATPAGSSSPSLSLSLLCSFSSAVPPQLLAAAISYSSPIRLSSVLPQTRSNKASLFPSRSELRSFPFRIGDAVVGFPFPGREARIWMGKSPRGASAYTAHGLPFRPHPPTGGSRMRPGKRFLMTPPVAAVLSTAGTRLYTGSRPGGPAASSGAPDVYLNICVSLGKASYLCKIHEARDIECRGKATASHIRSRAPWTIRRARAAPLALF >Dexi1A01G0025160.1:cds pep primary_assembly:Fonio_CM05836:1A:31465949:31466552:-1 gene:Dexi1A01G0025160 transcript:Dexi1A01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESSVTAEDWRRALSRVVPAVVVLRTTSPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPAGT >Dexi5A01G0034060.1:cds pep primary_assembly:Fonio_CM05836:5A:36220030:36221291:-1 gene:Dexi5A01G0034060 transcript:Dexi5A01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIDDFKVMLTRNEPLTGLAKAVVFLVIFALGVVAGLWAAAGARPSYIDPDIIPRQPLYPPSSSSSGHGVVCCQPDPDPAFAQFVAPTRLMHDMTDEELFWRATLVPAANGYPFRRVPKVAFLFLAGHGVLPLAPLWERFFRGHEKLFSIYVHAPPGVTINVSDDSPFYRRQIPSKETKWGSVTLMDAEKRLLANALLDFSNERFVLVSESCIPVHNFTTVYSYLVGSEHSYVESYYRNTKQCRNRYSRWMAPDITLRQWRKGSQWFELGRDIATSVLTDTRYYPLFRRHCRPSCYPDEHYLQTYVTLRHGAVNSNRTVTYVDWSTNGAHPVAYGAREATPELVRGIRTSREPCTRNSRPTNVCYLFARKFTPDALAPLLNMSAAVMEY >DexiUA01G0024630.1:cds pep primary_assembly:Fonio_CM05836:UA:51150879:51151133:-1 gene:DexiUA01G0024630 transcript:DexiUA01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGKCRQKLQGTTREVPVEGAEEGEEEAGATVTGDLGAAREVPVESEEEGEKEAGEEDEKSSAPCSDPKNWGGGVKGKASDG >Dexi7B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:7B:15962603:15963593:1 gene:Dexi7B01G0008150 transcript:Dexi7B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGADDDGELRRPCPASAADDVTLVLVGKVGSGKSATANSILGFNAFPSEYSYSSVTETCQMRSTTLSFGDAAAPRAVHVIDTPGLFDMNITTEDARKEIAKCLDMSRDGIHAMLMVFSAATRFTPEDADTVKSIKMFFGDKIVDHMILVFTYGDQVRERTWRKMLTDKNARYLQDIVRLCGDRVLLFDNRSSDELQQIKQLAELFVAVDSVIAHNGGKPFTNQMFSEIQVVKF >Dexi2B01G0022960.1:cds pep primary_assembly:Fonio_CM05836:2B:32556892:32560182:1 gene:Dexi2B01G0022960 transcript:Dexi2B01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRPLGVVTSWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLIATLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQANGIETLIIGSNKFWNAEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >Dexi1B01G0005800.1:cds pep primary_assembly:Fonio_CM05836:1B:4727858:4728238:-1 gene:Dexi1B01G0005800 transcript:Dexi1B01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEDPDDALSWNARLQVSP >Dexi5A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:5A:20971283:20975890:-1 gene:Dexi5A01G0017670 transcript:Dexi5A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPPLLLAGLALAVLAAAGWPPVVTAAMEDPAGLLRRAKEPAFADWMVGLRRRIHENPELGYEEFETSELVRRELEAMGISYKHPFAVTGVVATIGTGGPPFVALRADMDALPLQESIEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELKGTVVLVFQPAEEGGGGAQKMIEAGAVENINAIFGLHVADSVPIGVLSSRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVTQASVQRCSATVDFLTKDRPFFPPTINSPELHDFFVNVASEMVGSKNVRDREPLMGAEDFAFYAEAIPSTYYYFVGMYNETRGKQAPHHSPYFTINEDTLPYGAAAQAALAARYLHEHRHLAASSVKAETHDEL >Dexi4A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:4A:13225103:13225785:1 gene:Dexi4A01G0013540 transcript:Dexi4A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSDVPPPETASLAFSIHCFACARISTARSPSPMTPSTHRLSSSRPTTASPPIAARTPTPARSSTSHATVGWSVHCGTATIGTPCVMLSSAEFHPQCVTKHPTAWPRVSSSSWCLLIGSMVPNDTYTTERAALVSSHSMYSAASPRRAQHNRTDGENLELRHCA >Dexi9B01G0026790.1:cds pep primary_assembly:Fonio_CM05836:9B:29214567:29215206:1 gene:Dexi9B01G0026790 transcript:Dexi9B01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSNGDVYPHNHDEVDFELLGNRHGHEWRVQTNVYGNGSTTRGREQRYLLPFDPTLRHHAYAISWTPTVIVFYIDKKPIREVVRVASMGGDFPSKPIINK >Dexi7A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:7A:21242704:21248879:1 gene:Dexi7A01G0010440 transcript:Dexi7A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDADCTLASWAQFCSLSNELLGGDGDLSVGPRLAPVVADLCTRGLATLVRDYFLHSLEVNEHWPEEIISRALEDICLEKSYQEKCVRVLVHALQSFEDRTPKRKFKVVDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNIIMAGSYESDQLGNNEDFESSNTFDWNSEMDIDGQVSGGSSLSKVYELAGDDYRVPVLGNVKKWIQAVPLQFLHALLSYLGDSVDYASGSSGLKSPLASRPSSFPGIGVPSEALLRWHMRLEYFAYETLQDLRISKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNASGTGNAGDNLLEELNRDAENQENTDYDNHANIDEKQAWLNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSQTVPGQEEAGISHDVLDATIISSNFWPPIQTEDIVVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELEFEGRSMQFTVAPVHAAIIMRFQEKSSWTSKTLATEIGIPMDSLNRRISFWTSKGVLTESAGPDADDHTFTVVDSMSDVNKNSTVNERLAEYQMTEEEGESSVASVEEQLKKEMTVYEKFIIGMLTNFGSMSLDRIHNTLKMFCIAEPSYDKSLQQLQSFLSGLVADEKLETRDGLYLLKR >Dexi8B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:8B:7120614:7140645:1 gene:Dexi8B01G0006200 transcript:Dexi8B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVQPSSPIQKKAKELADAAERRRTSTAIVGVKQERDAPTSEGRHLKRPQIWGAPPQGITERSAQKSTLLQRFVEREALMDLQKSRLEEERDMFKKSQDEAEAYKAVMRQRVEAAEQAERRVKGHLRDYKEKPQHQKAEAEILELRHQLKAAQELSSSRSVEVDNLKKAELKLRNIVSCLEKENEILNSSLQELRDAAKELPSYVRDKASTCVTHVLSLVSLPPALELGVIVDLYPSTVFIAVQGLKSNHGEILVPDSLYPFVFFLALAVAAKFYTEEKQRAVEVTGDRGGAPPPWNGRDATDPEGRRDAFTQGAPLSWRGAAAGERGRAAPACGHANGQLWRSNALMVANAVLMAIMVAAGASGRRYRHATATRFLFQGASTLYLPIVSYVASSIGKESCSTSAGLDMFCHGRSYVALLLIWMVLVQIVGTNTSAVIAAEDYHGGQKLGGASTELLARTVWTSYIVFYYTGRDFVTKTRKHHTLDQGSFYKIVATFLIVLCLLSLSKIMLKLYVYHKARQSFALGRNSRLIAGYMEELQGDLMARGSPGYDQLILPLIVMGEDKQEIEETPHGYTIKQRNSRLVTLDMVWQVVSTGDPLLTGRPWLKDLCLCFSLFKLIRHRFGNTRIAESRSAKAFNFVLDALVNNGVPERVFDVIADEISFVLDSYYSCLPTSNFGRMLPILNITVSLSIISWCLGRNRVGGGNPSSFPPPPLRAQPRRWPASRAPPRFATAVAGCSSSLFSPRSGEREGRDLVRASGAASPELHETPRRAACLTCAVRRGASPVLNGGGAGSPRPVAQGGRRRAPEGTRAAKVAGSARPTNGVERAGCQGVAEVKATTRTQSSLRQFLFCFTDPVLHVVEVPPEVKAAIVEAHRNSNGGILSRGTATLHKSSIGGDILWACQGRGTSDVILVWRIATSILVVRHCESSSTSNKMVVAKNLSRYCVYLMAAAPELLLDEVAWSRKLHETVSRDIKCALEGEPADVDALAERLEEMSKHEVVKRGVRLGKQLMVLIPDEEERWDLLASFWCQILLYAAPSDNLKAHKKAIAHGTELVTLIWALLTHAGIVTRPSTSNAASLGA >Dexi8B01G0015810.1:cds pep primary_assembly:Fonio_CM05836:8B:26579161:26586944:1 gene:Dexi8B01G0015810 transcript:Dexi8B01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTLLVMAAEELLRKIRELEEGQAELKREISKLVPESPSAQPNSARRPPTPQPSPARRALAALPSSSSRLQRVGRVGLTDRQHIRALHALGQAVHIIAPGGKLLYCSLDSQQPVQSSIASKITTLCTVFLIFRITPQATKVTSRVRSRIKTSQNCNEQYSGSSESHCSEGDSKEESTSSGTNTPAGDILHGGFVKGENSPGKSSKSSSDDSGEGNERLYKISSKAEELLAKKGISWPWKVHEHNGPSKSHMNSSQSLEKQENDQLHQVGPESIVIPDYQDLESVQESKYEVTGSWWSFNNDSFSSMGSSNSTNSSAIERVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHGQWYASDVAVKVFSKQEYSEDMIDTFRQEVSLMKKLRHPNIILFMGAVASPERLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVHMAIDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLRTKSGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSDTDPQWASLIENCWDSDPRKRPSFLELLDRLRDLQKQYNLQAQMQRASADATTKGGAKMSVEDC >Dexi3B01G0025060.1:cds pep primary_assembly:Fonio_CM05836:3B:19842963:19845598:1 gene:Dexi3B01G0025060 transcript:Dexi3B01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSPTGSPPSQGSQRKRGSTKDSVGLYVVQCYMCYKWRMIPTKEEFETLRENFTEDPWFCSRKPDCSCEDPADIEYDNSRIWVIDKPNIPKPPPETERLVIMRRDYTKMDTYYVMPNGKRARCAGDVDKFLEANPEYKNRMSASEFNFAPPKIVEDTVSHNSAWKAAKAKKQDKADALSAQKL >Dexi3A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:3A:426026:428455:1 gene:Dexi3A01G0000480 transcript:Dexi3A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANMSSEATVKPLAAACYDNNLVNSQGMFLGDQPLRFALPLLLVQVSVILVLSAAAHVVLRRLGQSRFVTHMLVGVFLGPTVLGRRESVRGVLFSDRGTYILESVSLVALILFLFSMGVKTDLSLLRRPSGRAVAVGITGALVPLAVTLPVFHALQPSLPDDLRGSSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWGLRACSAAVFLVSEASSPAFTAKILASFVAFVLFVAFVARPAGRYIAYRRTPAGALLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLSEVTKEEASEKWCALELFVALCVSGKLVGCVAAGLFFAMPFRDAAVLALMLNIRGIVEVAAINNWGDTMKATAEHYSILTLSMVLITAVSTPLIKLLYDPSGQFAGAGKHRRTMEEARPSADLRVLVCLFNEDHAAPLIDLLEASSGPSRESPVSLIVLHLTELVGRAASVLKPHRRRTGGDPTPSDRIVNAFRHLAEQQPDCSMTVSPYVAQAPYSSMHHDVCSLAHGRKASLILLPFHIMSSSLLGNNNANTNAIRSVNRAVLRYAPCSVAIMVDHGLAAGSACATAANSLLQRAALYFLGGPDDREALAYAARMPLALTVVRFKLRNWVGMGGRDEVRDEEVLHDFWTRHRDNDRVVYVEKTVEDAEGTSSVVRSMSEKFDLLIVGRRGGCSGDDLEGSSSSAAALTSGLSEWSEFPELGVLGDMLASADFASKVSILVIQQQPDKNAAGGGASSAINP >Dexi3A01G0002870.1:cds pep primary_assembly:Fonio_CM05836:3A:1903682:1904354:1 gene:Dexi3A01G0002870 transcript:Dexi3A01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATMEGSLAAAIESSVADAGTLVWLVPPLYHHHHHGRFHQEHLDDPATQRQLVLPPDDDVDEEEGGLVIVAGDVVAGLLDELSPRESDLDERILECPMFHRVLLLAMATLPHPAEAAVADVISQQLEGEAYRNGGFGAGNPGEASVPRRRRRRRRLGRSSPELWRYICLEDFEDGEEVSVMPCSGGHGFHTDCIVEWLGQYSNMCPLCRYALPTAADA >Dexi9B01G0026950.1:cds pep primary_assembly:Fonio_CM05836:9B:29334619:29339708:1 gene:Dexi9B01G0026950 transcript:Dexi9B01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGATSSSADALFIPNPGALAGFMSSSAAAALPFHHFSTTTASLIPKEEGSIMGALRAAAKDEDMELAEMEMELSGGSGSGHLDGLLTFADVDDDRPDQKPRHSAGLELQTLDAAGQPQPQQLLTANGKKKRYHRHTAHQIQTMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKSDNYRLQAAIRNVVCPNCGHAAVLADMSFEEQQLRIENARLKDELDRLACIATRYGGGGGRQPSMSSAMLCLPAPPPVLVPPLDLDMSVYSRHFTDQSPSVMGCGGGGDLIQSVLAPPLQQQQIDEHYMGTAMAPVSEQDRQVVLDLAATAADTLAKMCRAGEPLWVRCCRGGASSSEVMVADEHARMFSCWPVDGGKQQGGGSATGSAAAARTEGSRDSAVVIMNSITLVDAFMDANKWMELFPSIVSKARTIQVINHGAASGHLGSGSLILMQAEVQFPSPLVPAREVVFFRYCVHNGDEGTWSVVDFPAEGFQLEALQTSSVVKCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGDEKPLHQVFKDYVANGTAFCATRWVSLLQRQCERLASELARNISDLGVIRTPEARTNMMRLSQRMITTFCANISSSGSQSWTALSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLPFSHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNMELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATKPSPTATSASSGNSESSPGNPDEPASGCLLTVGMQVLASAVPSAKLNLSSVTAINNHVCNAIHQITAALQGAGESRVEPAVVGGSH >Dexi3B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:3B:3392609:3393636:1 gene:Dexi3B01G0005100 transcript:Dexi3B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQNDLAEALVRSGLRAELMPQHVALVLDGNRRWAQARGFTTPEGHEAGGVALRKIVELSCAFGIRAVTEEVDYIMELIERLIRDNMDLFMREEIQVHVVGDPSRRPASLQDAAREAEEMTRSHSRYHLILAICYSGRWDIVQACRELATKVQDKLLRPDDIDESMLAGHLATNVLGDQLGCPDLLIRTSGELRLSNFLLWQSAYSELYFTDTLWPDFGEDEYIKALKAFQSRERRFGQRKVM >Dexi3B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:3B:8566223:8570141:-1 gene:Dexi3B01G0012250 transcript:Dexi3B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRKRSKGVATNNNLPPEIIDKILLLLPARSVFRFRAVCRSWAARLSSPSFTNAYAAATDARRTHNKLVVLAPSSGPSTVVYSCSSNEAAVEPLLTLDHIRTDFLSLSSRPSRGLLLLSDTRAVGRYWVCNPSTGECRALPPPPERSRVSLSSAGLAFDDLTMECKVVHLFFFVGRTEVNVRCEVLTLGAHAWRWRPAACTGLNQCSRDIVEALLVEEAVAKAPPVFADGCLHWLMRYPRRGRRVDGVLLRQGQDAILRFSAADESFGLVSAPESVPFEDYIRLEEHLPMVPVHLAELKGLLCMVHDLRHRGSQLDVWARSVQGEWSLGYRIPVSPLLSSLREPQFITVLGSTSNERLLLATSEHKVYSYATDTRRVETVFAIGETSIGQQKEAPAELRLGLYEDSLIRIGGADRVSSAVAQVLLRLPLESIVQSKLLSREWRALIESESFAAAHLSIKRPRRIFIATNGRARGSFFRFAPLQSWLRASPADLANSLVVDSNIVCSSKPCHGLNLISTGTDDYLCNPCTGAIECLGIRGRSHFTPYGSSATGQPSRRHAFTVGRSVGLGFDHNTGEHVAVEIGNICGALACILKASESDSWTCAGTPPMPVTDMPPAHLCEQPNLNHHHGATTFLVELNNTLSLVVADSEPEEMEIWMMNIRLRAWFSVHRICLRGRPDFSPRTAAAMVVPIEINGDEGRILLSTGRALGYYDTKTGAIDTIYSLDLLQLPPCAMASPILCEESLVPVHNEDVLPLDRVAPPSAHGHMVAADQGRICDHPEHAAAGADESSRTLTPVFPKCQSHGCQGIGTFYSRCSTRMLCTKCTRRCTGHYWGLHIPLDTINSDVMEDIQSNAWPVEHPYAMDPRHFGLRRQCLGDGSSLHDPNDAVKEESMVGGETETGEDERGIRRCVGGSDDH >Dexi6B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:6B:2158637:2161696:1 gene:Dexi6B01G0002450 transcript:Dexi6B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQLRESKERELALQSELRQIRENPRVSELEKELDSRKGEIDRLARLNTSLEAEKTSLSEQLSALSSMIEQREENVRLDRHGNRVPSLDGDNTPSSGNLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLTGLEKNAESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAMTDIDYNGDMVFNEYDSVEGDARNAEDNSDTKFSIAERIKLWSQNDKSCQASKKEALLDRAWVEAAEARSPTRRHSLGGPKGCAQDFNIVKRRQSDTFISLPDATDESFSCNKDPTIREKHDLLVDKYDFGRSESSRFVLGKSEVCKSQCLDVEKRVLRIPNPPPRPSVSVSNSDPSNGSTANPPRPPPPPPPPKFSSKSTGVMKRAPQVAELYHSLMRRDSKKDTSSGGVCEAANSANVRSSMIGEIENRSSYLQAIKADIETQGEFVKSLIKEVTSAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVAVSEKTERGVYNLLRTRDAMMRQCKEFNIPTDWMLDNNLIGKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >Dexi2A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:2A:5873643:5874026:-1 gene:Dexi2A01G0006220 transcript:Dexi2A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKWWCAASLLLCLAVAAAAVRGIPRGDCDDTTATFAAATVAAGDDDDTNANANAAGAVDVEAKTADVFGGRTDGGGLFGGVHGPLGGGVAGFGPSGGAVAGAGPFGGFGGGGGLGGGGAGAGVP >Dexi3B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:3B:6845539:6845757:1 gene:Dexi3B01G0009890 transcript:Dexi3B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISTGGLGAAATPGLGACSVAGSGPRAHACSRASDPSVSPGGEIWMDMSSPEGAPELPGDEPPPFPLPFP >Dexi9A01G0023050.1:cds pep primary_assembly:Fonio_CM05836:9A:18236561:18237337:-1 gene:Dexi9A01G0023050 transcript:Dexi9A01G0023050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQDGPAYYPVVAIISLASPVVIDFTPHQRLKEHEDTDLQKLQTDELPGPTKMESNGSGAHETGATNESDATSSSLMLMPCSLLVFKDRAYTDYLHGIQDNELHNLDKVANLSQCPDLNHLNSESIQGSLDEQHGTFRRTATRVSLTCRLVMKVHKKLFKI >Dexi2B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:2B:20994230:20999179:1 gene:Dexi2B01G0013310 transcript:Dexi2B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVLGSRCAGAGAGQILGTKSGPATAVEEKIGVLLLNLGGPETLDDVQPFLFNLFADPANALKTALEKKNLHANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIEKELAAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRSRGVLNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPTASALVTRKADNADSDMDLMHYLTKMFFGSILAFILLLSPRLISAFRNTML >Dexi5A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:5A:2320969:2321190:-1 gene:Dexi5A01G0003160 transcript:Dexi5A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQDSRSTSSGEAWSATQAAQAYDLRRQSSRWKGSNDEPPDIRSTKTPGCPEVAGEENTGDHRYCDAHQRG >Dexi3B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:3B:3177367:3180735:-1 gene:Dexi3B01G0004700 transcript:Dexi3B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFERDRYQRLDGGGGAGANRRPPSSSSFCSSATIVVFVALCLVAAWMMASSNNIAVTVSPENKSEAKDQGASLNVAQSGDTAGDAVSDTPRTTGDEAGDSGKKDDVAGGDTGGTTPTSDEAGSGDMSSKVDDGKKNDDVNQSDVSGATDATANSTTTGGTDAEESGDKQAASGTVAEGETPSSSKNQTFSDENGKTEGGEVAKPEDPDKKVEQSAELAMTDDANNNTTTSSGQAEKKNTDQSTDESGGQEDKNNNTEASSTDAKDTVSQTDKDAEEASTDSNDTTSKTGTNSEEASTNDKNTGGKHTDNSFQDADNAGDKSKNSTTKETPSTESEETVVVGGDGTATNQTTFDDANGKMDGVQTVKEDEKVTDKNSDESSSTYDKAESTDNDTNTDAAASKNATAQGQNVAAETTAFATSDGTNGTTTPPDTENSAAVNSSATAEDKKPAAGDGDDAAEKSGELLPSGQAELLNETASAVAQNESFPTQATESSQEKKARASKNNKIKTTTSKEDNGGETTAASHTWKLCNVSTGADYIPCLDNEAAIKKLKSNKHYEHRERHCSVTAPTCLVPLPEGYRQPITWPYSRDKIWYHNVPHTGLASYKCHQNWVKVSGEHLTFPGGGTQFPHGALHYIDVIQEALPEVAWGRRSRVVLDVGCGVASFGGFLFERDALTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSDVFDVIHCARCRVPWHIEGGTLLLEANRLLRPGGLFIWSATPVYRKDPENVEIWRAMAALTKSMCWEMIKKTRDTVDQTAMVIFKKPTSNDCYDARVATSAAAEAPMCEASDDQDAAWNITLRPCMHRVPTRPSARGSRWPPQWPDRLAAAPYWLTADQVGVYGKPAPDDFAADQEHWRKVVHNSYLHGMGIDWNNVRNVMDMRAVYGGFAAALRDMKVWVMNVVTIDSPDTLPVIYERGLLGMYHDWCESFSTYPRSYDLVHADHLFSKLKSRCKLLPVMAEVDRILRPGGKLIVRDDMATAEEVQSVARSLYWEVRMTVSEQGEGLLCVGKTMWRPTEGEALS >Dexi9B01G0028510.1:cds pep primary_assembly:Fonio_CM05836:9B:31123884:31127504:1 gene:Dexi9B01G0028510 transcript:Dexi9B01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRHPLVLLLLPITLTILLLLFASSPPPSSPTPQPLPCGAALSDATDGRWVPTPSPAPPPLYSPSCPFHRNAWNCLRNGRTQLAALSWAPTRCGGAVVPRIDAAGFLAAARGRRVGLVGDSLSENLVVALLCALRSADDGARKWKRRGAWRGGYFPREDVVVAYHRAVLLAKYTSIRGGSILTLMYYLAAIAIVGYKHATEESSEKSATIIKTIKMPVEKSKELQKDGVKGYYRVDIDIPADDWVNVTKFYDVLIFNTGHWWDTYKFPKETPLVFYKGGKPIEPPLGIHEGLKVVLKSMASYIEREVPRKTLKLWRTQSPRHFYGGEWDHNGSCVSDRLLEEHELNHWFDPMFGGVNKEARMVNSEIKEAIAGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVYGQDCMHWCLPGVPDTWVDILAAQILHYLKQGKG >Dexi5A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:5A:13242642:13242981:-1 gene:Dexi5A01G0015550 transcript:Dexi5A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYVTKKHVVPRRIDAPQRWKHDGEPRTSMWKKKTRWTRVMEAKARLVRTTAPTPVVFQRSWAPWRPPPASDDGAATPGTVGVGMSTANVARRAAKTKPSRVG >Dexi9A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:9A:15998188:15998603:-1 gene:Dexi9A01G0020990 transcript:Dexi9A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGISSNLVLYLTTKLHQGVVPSANNVTNWVGTIWMTPVIGAYVADAHLGRYRTFMAASVIYLCVSLAVCPAASPAGFASVSSSID >Dexi3B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:3B:7670162:7673747:1 gene:Dexi3B01G0010890 transcript:Dexi3B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEGAERRKGTLLHKLRPIKRLRACWAEPAAEALQLLLPQPFKPLQPLTTSIETAAMALTFTVRRREAELVVPAEPTPRFVFALRLNHAICDAIGIIHSPCPTFPHHEVFDAVAVPPPPPLPPTFTFLPSNIDALRKRLPPNLRDTATTFELLAAALWRARTAALELSGDEHVRLMFICNIRGIPELGLPAGYYGNAAVPTAALVTVEALLAGTLGDTVELVREAKAAVTAEYVRSMLDLLVLRGRPYVAVTNLFVVSGNRRTGFHGVDFGYVLGVRNGGDGENNAAALPIMLPRPAMDRFASEVERLFKGSFGGLLLHLQIEASFDTALRFEELAHGRQGTQMEAGSSQQMRMYRRHGKDVASRPESVFVLRPLCCHTERLETPWGKGALLAGSWGSCPTLLYGELRLWPPCMRGSVLVPPNRD >Dexi9B01G0038080.1:cds pep primary_assembly:Fonio_CM05836:9B:39272788:39274589:-1 gene:Dexi9B01G0038080 transcript:Dexi9B01G0038080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGGGDLLSEAMGSAARVVVVEDCVEAPGAFVLHLLLKRALASGGAAAFLALAQSFTHYDRILRKMGCNLSLHRRNERLHFFELQAFPAGARNGAIADSLVRLYSEIQRVAEATRTEENAGQFTVMVDDITLLEVAAHGSVDDVLDFLHYCVTLTSEMNCWLVILIHEDIYAGEENMGLLLHLRHIADLVVKAAPLSTGLAADVHGQLSVVNKGTFNKQRAKGQKVWNFHFKVKENGADFFYPGSRH >Dexi2B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:2B:29194114:29196454:1 gene:Dexi2B01G0019010 transcript:Dexi2B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHSHVPLISELPEKRGGGRFPGLAKELWDESRKLWVVAGPAAFTRLTFYGMTVVSQAFGGHIGDLELAAFSISATVISGLSFGFFVGMASAMETLCGQAYGAKQYHMMGIYLQRSWLILLAVAVLLTPTYIFSGQLLTALGQPAELSRQAGLVSLYMLPLHFVYAIILPLNKFLQCQRKNCVSAVTTAAVFPVHVAATWLLVRCFRLGVLGAAMALTVSWGLAAVGLLSYAFGGGCPETWRGFSASAFVDLKDFVKLSAASGVMLCLENWYYRILVFLTGYVKNAQLAVDALSICISYAGWEMMIHLGFLAGTGVRVANELGAANGLGAKFATIVSMTTSFLISLFISSLVLIFHDKLGMVFSSSEAVIHAVDNISILLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGFHHGVHGIWVGMIIGTMVQTLILAYIILRCDWDGEALKASNRIRRWSGNK >DexiUA01G0003820.1:cds pep primary_assembly:Fonio_CM05836:UA:7874645:7876094:1 gene:DexiUA01G0003820 transcript:DexiUA01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPFAGYYNNNSSSHKPSPYFIAKLRKQESLQKRCGPGTAAYKNAVRRLDSGESVLDDGECRYLVYISYRGLGNRMLAIASSFLYAVLTDRVLLIDGGKDAGELFCEPFPETTWLLPRPGWLSFFFSPLSRLQGYLGGSKESLGNLLQTGAVTMSASGDGNVSWSPASRSPPPYLYIHLSGGYGFHDKLFFCGAQQRLLREVPWLFMWTDNYFVPGLFLTPPFTGELETMFPEKDAVFYHLGRYLFHPTNAVWHAVTSYYHSNLAGARRRVGLQVRVFQKKQPPQVVLDQLLSCVRGEKLLPAFPETKTTTAAANGNTSSGDAVLVTSLSSWYYERVREEYGGRVAGGVHQPSHEGRQRWRDAAHDMRALSEMYLLSMCDVLVTSGYSTFGYVAQGLAGLRPWVMARAPMWADDWREGLDPREPPCRRAASAEPCFHSPSAYDCAAGRDVDLDKVMPYIRRCVDVKCGIKLVNESSSQW >Dexi7B01G0021960.1:cds pep primary_assembly:Fonio_CM05836:7B:26909330:26910544:1 gene:Dexi7B01G0021960 transcript:Dexi7B01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASIETATAVPWADLPPELCNLVVDRLDAFSALRFPAVCRSWAAACQVGPRRLRPGAPTLLTPILMDGNGMAAAAAFALHDVSTGRSFHASVSGRGGLKNRRWVGAKDDWLVTTDATCSLELLNPATGDLVRLPSFATIPGATVEDGLRISVAPSSLLAVAVAATGDERWTPLRNYVGGPMLYEKVFAVDTCGNVYFWDMDDGGANSNPTVVRAPEIQIDMYVRQRFKLATSIGGEVLLVCTYGDSVFGERARSLGVPNAGYRHTRSILLHELDIGGGDSGVWRRVDGIGGDHALFVGQSYPFYVTVPRGSSDLKANSVYAADLNGYVAMVFDQCQGCAGGIGPFVYSDFRGTSLRPIWFRPTAHLDKQAQYVVR >Dexi8A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:8A:1056319:1056792:1 gene:Dexi8A01G0001480 transcript:Dexi8A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYPVQILHRSGGNGGGGGQWRNIGAAYAAVTFLRPQGQSLVLYTGGPNGQQQQQPQRIVLVYPILPGDAFERLDGATVSWAEPESGEEFALCFLDDDACGAVCGAIAPVVRSPAVDGIAEMLAGLRVAREEGAPAPGGGDFAARLAQLSIGRR >Dexi9B01G0043270.1:cds pep primary_assembly:Fonio_CM05836:9B:43264530:43267599:1 gene:Dexi9B01G0043270 transcript:Dexi9B01G0043270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITRTEWATEWGGAKSKEVAAPFKRLPFYCCALTFLPFEDPVCTVDGSVFDLMSIIPYLKKFGKHPVTGAPLKQEDLIPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAVQELNIKPKNWKELLTDEPFTRNDLITIQVPTNPNVLDSKVLGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKDLGTEKGKEAFLQGGGGQKAQKERAAALAAILARKEKDDAKSGKEPKPHQTFSIVDAASASVHGRSAAAAKAASAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEFEYVKVERNPKKKGYVQLHTTHGDLNLELHCDITPRACENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSVFVNPYTEPDEEEEKAKEEEEKKKDEDYDKVGSWYSNPGTGVAGSTNTGGGVGKYLKARTAGSVDVTGNAGAPDDSSKKRKATASSVEFKDFSGW >Dexi3B01G0036970.1:cds pep primary_assembly:Fonio_CM05836:3B:39755313:39757580:-1 gene:Dexi3B01G0036970 transcript:Dexi3B01G0036970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSLFRRKKNSRSQIAQHDQDIPINGNVKIYSYKQLRKATRNFCPGSKLGQGSFGRVYLGKLNNGEKVAIKVLSSESRQGTKEFLNELSVISNITHHNLVKLHGCCVDGGQKMLIYNYVENNSLAHTLFGNSRSGIRLDWRTRVNICIGVADGLTYLHEGVRPPIVHRDIKASNILLDKNLTPKIADFGLAKFFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTEPRLPLDEQFLLEKVWTLYESEDLESIIDRTLKCDFDTEEARRLLKIGLLCTQDSTKIRPSMSMVANMLKGECAISDKIMRPGLITDVMDLKVRTSEPVQFSLSPSMSPALSSSLLSTLAVAGSTVVEESP >Dexi7A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:7A:8684800:8687084:1 gene:Dexi7A01G0002170 transcript:Dexi7A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLHRSSPSGFSPSSTAEEVTAGIDGSGLVAIVTGGSSGIGAETCRVLALRAVHVVMGVRNLCTGLQVREKIVGQVPMAKIEILELDLSSMSSVRRFIDNFNALDIPLNILVNNAGIAFAPFELSGDGIELHFATNHLGHFLLTDLLLEKINITAKESGIEGRIVIVASDSYKHPYREGICFEKINDKSGYSSILAYGQSKLANILHSNELSSRLKRGGNVKAVDSKTV >Dexi6B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:6B:25553833:25556354:1 gene:Dexi6B01G0018620 transcript:Dexi6B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGHRGMGMNAVGAPPGARVGAARERENTLLSFGRAAALAAVAFVEFDVQGAVYERRVTDLLLEEFLSYGAQKESRKVSKPLLRRTGDGRVLNWCTEEDDSLCTLQEVFECYDLHGIVSEVRGVLKSPITVVRAQESNLALLTYGHLNNVWEAVYVQYLMGISGVIVDQVEEISNAVAGFSKPELGQGSAGADRAIHQAFSQQQLGFLLRLIPELIEQRH >DexiUA01G0010520.1:cds pep primary_assembly:Fonio_CM05836:UA:20870399:20873683:1 gene:DexiUA01G0010520 transcript:DexiUA01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPQLDAVAERKVTAGGGDPAELVLDGGFVVPDANAFGNTFRDYDKESERQQTVEEFYRVNHVSQTHEFVSRMRDEYGRLDKTEMSIWECIELLNGFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAFDECNVHFKYFKENPDYHNPKFNTKFGVYSEGCGLDKVLMSWGHDDYMYLHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLIKKYFPEKLRW >Dexi4B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:4B:8182850:8186153:1 gene:Dexi4B01G0010820 transcript:Dexi4B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSETWPPASPGEFEPVPRLCRVVLANYDPDLSNPKFAPPGRGYADVDPKGIVKRATYDDVGNRCPPYLVYVDEAHKEIVLAVRGLNLVRNADYKMFDGGYVHHGLLKAAQYILERETETLRGLLRRYGPEYKLIFTGHSLGSGIAALMTVLVVNNRKEFDNIPRNRIKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCTTSDHAIAWIERESQKALELMKESENAMAPPPQQKMERLQSFEEEHKSALQRAKTLDVPHAADLSEEEIQVDGSTTPPSDTHSETTTEPKSAGRTSWDELMEKLFTRDEDGKLVVKDMAREIAVE >Dexi5B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:5B:992274:996359:1 gene:Dexi5B01G0001560 transcript:Dexi5B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRKKEGGAAFCGEAVIAEFEELTRDAAAVQRETLRRILAENAAAEYLQERGLAGRTDAASFRACVPLATHADVEPYIARIADGDTSAVLTAKPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYRTSYAFRNRAFPVEDGKALQFIYGSRQFTTKGGLTATTATTNVYRSEEFMPTMRAIASQVCSPDAVIFGPDFAQSLYCHLLCGLLFADEVRIVSATFAHSVVLAFQTFERVWEELVADIRSGDLSPARVTSPAVRKAVTALLAGGPNPALADEVARRCAKLSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPETPPESVTFTVLPNIGYFEFIPLKAGDGGAAADTCYAEAEPVGLTEVTVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLTINIDKNSEHDLQLAVDSAAKILAAEKLEVVDYSSHADVSHDPGHYVIFWELNADANDDVLQACCDELDRSFADPGYVGSRKASAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKLPRCVGRSNSGVLQILSGSVVKAFFSTAYD >Dexi3A01G0026840.1:cds pep primary_assembly:Fonio_CM05836:3A:23381656:23383861:1 gene:Dexi3A01G0026840 transcript:Dexi3A01G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNPVLPHAGLGSPRKLRQGSASARGSLVEAVELLLLAEKQELRLSSPASSLSAEELLQMRSRATARSSAFLDLVFFFSPQAASRSCVTRRWPLYSLRRPSLLPPHRSSLELQACGIRSASRRADRRRVLQQRERRRSRGAPAAVHPRGPAPRSGDSGRTERP >Dexi9B01G0040400.1:cds pep primary_assembly:Fonio_CM05836:9B:40957604:40957924:-1 gene:Dexi9B01G0040400 transcript:Dexi9B01G0040400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVDEPGTGLAGRGGDAGPEVEARGAEHAGIIGRQLVLELWPWRVGTRVEQWPRRVAEERSAIYHAEDGRQIRPQLRGGGPELEQDEDGEEGEEASGRRKT >Dexi2A01G0023250.1:cds pep primary_assembly:Fonio_CM05836:2A:35003004:35003533:1 gene:Dexi2A01G0023250 transcript:Dexi2A01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATVNGHSTQHTPQSLYGAKEAMAHAMSPLSTTQNPNITPRTGPKSPSLKNSINLSLGAKCDTRRALLCGLIAAGAGAVMGPDIASAASKRRPPPPAPTAPMEEKKDPNVSGVLAKVLASKKRKEAMKEAVAKLREKGKPVDK >Dexi1B01G0026690.1:cds pep primary_assembly:Fonio_CM05836:1B:31511353:31521930:1 gene:Dexi1B01G0026690 transcript:Dexi1B01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQSGGAGGGGTIVSNPRVWIVAGIAVAGVIVLAEAARRRRRWLRGKSGMPPDAGAFCDRFELSPSPQPPPPAAPHLLSGLNFAASDNFEIEGYVAGFGNPDWKRTHEAPRHTSVAVTMLQKQGGTCVGRTVMDELGFGVTGENLHYGTPINPASPSLVPGGSCSGSAVSVAAQLADFALGTDTIGDVRIPASFCGLLCFRPSYGVVPTLGTIANSQSLDTIGWFARDPSVLRRVGEVLLPATAGGLKQTRQFVFADDCFQLLKASNQKTVHAIKNAVKTLPGYQPLKHINIGQYLYSNVPSLKEFCEPATTLQEGVSALKAVSTVMLLLQRFVVTIPLANLDHNVSLSFVAAHGSDKTLLRTTLDTYSLIQEQVGLAMAPVTNGGVDIDFDASELLKEKGNSAFKGKQWSKAVEFYSEAISLSDANATYYCNRAAAYLELGRFKQAEADCDRALLLDRKNVKAYLRRGFAREVTLNYKEALQDFRHALALEPQNKTALAAERRLQKLLK >Dexi3A01G0025190.1:cds pep primary_assembly:Fonio_CM05836:3A:20856560:20859888:-1 gene:Dexi3A01G0025190 transcript:Dexi3A01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQINIEGSPTWGSRGIDCFEKLEQIGEGTYGQVFMAKEKETKEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVINLKEIVTSPGLERDEQGKHIEGYKYKGSIYMVFEYMDHDLTGLSDRPGMRFTIPQIKCYMRQLLTGLHYCHINQVLHRDIKGSNLLIDNHGILKLADFGLARSYTTDPNARLTNRVITLWYRWGILPPELLFGGTQYGPAVDMWSVGCIFAELLYGKPILPGKNEPEQLTKIFELCGTPDELSWPGITQMPWYNNFKPPHTMKRRVKEAFKNFDRHALDLLERMLTLDPSQVHFLPIARISAKDALDAEYFWTDPPPANPDTYNSLHSDFYSISILSLKSNLLRLTVPELHLFSYCICSLPKYESSHEFQTKKRRQEAAKRQKIQHPQPHSRLPPIQQSGQPHSQMRTGQPLNNPHSSMAAGPSHHYAKPRGPNRYPQGGSQGGGYPNRGGQGGGYSSGPYPQQERGPPPPFPSGGLGGTGGPRGGSGSSGHGVGGPNSQQAGPYGASGPPSRGPNPNYQQQGGSRNHQLYGGNWQ >Dexi7B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:7B:24831190:24831633:1 gene:Dexi7B01G0019270 transcript:Dexi7B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVITKFAVASMVMWMAPVAIVYGFYYQMFPGVSQMSSSAQTLASGFLAVISVNLVIGFYICMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSKGKGKVE >Dexi1A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:1A:2358883:2365420:1 gene:Dexi1A01G0003300 transcript:Dexi1A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRAQADLAVLEAVAAPDASRDDAAAGEVPAKKKKLAMERKKQRKELDKERHRQTAESDAAKPQPPAPEAVEPVNPSPAPAAAAGPGLHMNVFRDLASPEASVRETAAEALVGELRAVQKGYEKGARKGEREAGDGDGPSQMEAEKDDGLDNCAPSVRYAIRRLIRGISSSREYARQGFALGLAVVLESIRSIRVEAIMKLIPNLLEYSSSMKGPEAKDNLLGRLFGFGAIVRSGRVSRQWIREKSSPIVKDFVSEVVELGSKKRYLTEPAVAVILDLVRKLPDEAVLSEVLESPGIQDWFNRADDIGDPDALFLALKLQERTNVQKEIFGKLLPYPFTPDNFFAEQHLKSIASCFKGKSSQSEEDGSKESDDEEDSNEEVSLDHVLSLKRQVFRVFCDDITDTGLLDMLRVVKIDLKGHRQTDSDDEDDGRVDIEDDDDETVMEDAEVGEIDDVADDSGEDTEDDSADEGDADQDDSKEAVSNEAKDGNKGVATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSESRQSQLMRFKLRVLTLLDIYLQRNPGQILVLDAYSFLMQAFVKSHGADGSEQFRQRIGGILQRRIFKGKEYPEGNGIEFGKLENLLERALRLASRSRYSAIASVAQNATFWILKIINSMNCSEEQLASVVDKFRSSLNDYDRKKSRLKLGFVKEVVRRNPWIGQELFGFVLQKVENTSAEYRRNQLLELVDCILKSWVGDASEVLMNHLAQLCELIQEILSNIPKNKSRRKEVRNFCIGILQTVMKLNLKEQFQNALNPETHSLCQAQLGTAFAPFKKDSK >Dexi7A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:7A:27445072:27446181:1 gene:Dexi7A01G0017860 transcript:Dexi7A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWETEAAGDQERSSRRRRRRRQGKASASRSHSCDESKMQIGKEKRAEQVKNPSPPSPEKGEEEEEGQLLDDETAEDFMRSFRDGWESCFGGLYASVPSMRYTEGTIPRYASCEDVLQIFSVQVIETKDGLEWPLHVYGWIATRDSVDQNRNLLFNCTRDNCQILTQECN >Dexi8A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:8A:25556958:25557528:1 gene:Dexi8A01G0014880 transcript:Dexi8A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKTTVEGTVTMARSAMEEEAKLQKSVQRDLLVISDEFEMMHSFLNDVKDRVTDNVTNTLVRQVRNTALDVEDCIESVVHLDNKPHWWRRRCSRAKDLDAAVANIEQLKARVEAMGQRNLRYNRVGDSSHKPVEQMHKQAVATAMAPNLFVTATDAAKQSGRVDLEKYK >Dexi3B01G0018420.1:cds pep primary_assembly:Fonio_CM05836:3B:13667165:13669152:1 gene:Dexi3B01G0018420 transcript:Dexi3B01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLRIGRVLDCFSFSLQCAGTCVCVRALEEEEEAAVEREALVASGHRQQLLQEQVLRLRDLVDGTRTLGFHIQPKTVELRVSMHCNGCARKVQKHISKMEGVTWFEVDLEGKKVVVKGDVTPFEVLQSVSKVKFAQLWMPGAPAPQRS >Dexi8A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:8A:25495559:25503548:1 gene:Dexi8A01G0014870 transcript:Dexi8A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGELPAERPKWKLAFQVPPKFPIIAGENVTDAEGNPLRVILVGNPLPAPLDTDTDTDTDEPLPAPPGVLRIELVPLLGNFPPEAWWDAQDFQRGIVNYVEDQREKQPVLAGEYRPTMWDGRATVNELMFTDSSTICGSMFRIGVRVLPGSYDGPRILEGMSQAFMVRERHCYELHHSINYKWQLAFLSPPQIPVDFSRQIRDVIGKPLEVILVDSETGLPSAPPTAVELRIQVVSLFLLHPTEAKALLTFLPDKHDWSSADQFQRAVSNSRGVRLCLSGHVSLTMKKDGRVTVNELQYKGSLFTDCFAHIGVFVVPGSYDGPGAIREGITNDFQVLDSRETMVTKTWPPGLGDELWRLDKIQWGGVFHRRLEEKNVRNVQDFLRMLAVKPDELRTIVGDEMDDYTWSDIVCNARGCVYPGDKVYAYSTAHVTIYVDSIFALAKHRHSRLREVKLTLKLVFKSQPRLPIHTGSRIVDATGNPLEVILVDAKTGSPWELPMCLSIAVEPLLGDFPSYDGKDWSAEEFEAAIVKGRQEDVPLLKSNYVYLDMRGGCLNLEELQFTDDSTWVRCRKFRIGAHVVIPRVFRIIEAMTEAFVVEDLNRKHYPPVLDDPVWRLEMIDKEGASHVKLRSNNVDTVQEFIRMLNVKPQKLRAIVGDAMTDRIWHMTVDHAKHCNPGDKVYVYSGERSTIYVDSVFYGLLKVKLDPVLMKSSMRQRSRDESLAVRLILRHIVLEAYEHRHNLQEVNVEMEELLDHTIIDIKKLLYTAMQDHLDNGASTEASVAGDLNRKHYPPITSDPVWRLEMIDKEGESHRKLRSNNVDTVQEFVRMLNVKPQELRAKIVGDAMTYQMWHIATSHAEMCNPGDKVYVYSGANCTIYVDSVFSRLLKIDLNLVLMKSSLLQRATFKSLVVRLILRHMILEAYEHRHKLHEINSEVEELLDHTVCDIKKLLHSTERYMQDHLDDGASSEALVAGDLNRKHYPPVLDDPVWRLEMIDKEGASHRKLASNNIDIVQEFVRMLNVKPHELYAIVGDAMTYQMWHIATSHAEVCNPGHKVYVYSRGNNTIYIDSVFNQLLKIEIDGVECRPDVLFNGKAKIVSQSFIE >Dexi9A01G0041140.1:cds pep primary_assembly:Fonio_CM05836:9A:44784205:44785494:1 gene:Dexi9A01G0041140 transcript:Dexi9A01G0041140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLSFSISSKQRPPKPPTRPAAAAEDDEAGPRSGSAPAQQFVTEFDPSQTLAASAPRAVIAPLPNSGNFLTHRPRKPSSLPTPEEEAALAAESGGGGPSFVLDTNNAPDDPSSSIPYGLTLRNGVTEAAAAKESEKAPPPPPPAAADAASAGDLMLRRYKEDMASLPDHRGIDEFNEMPVEGFGAALLAGYGWKEGKGIGRNNKTGDTKVVEYDRRAGTRGLGYNPSETDPRKTRSGDWIVGEKKALENGSAKKRDRDRTEDRDSSARKKRSVDETAEKESRGKERNARDSREGTSNGSDTRSKVRWLQSHIRVRVVSEKLSKRLYLMKGKVVDVVGPTTCDIMMDDGSELVQGVEQDMLETVLPRTNGRVLVLYGKHKGMYGHLVEKNSEEEIGMVEDADTKDIVRVRYDQMAEYTGDPELLGY >Dexi3A01G0023580.1:cds pep primary_assembly:Fonio_CM05836:3A:19278592:19281743:-1 gene:Dexi3A01G0023580 transcript:Dexi3A01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAALLLLVALGGAAAQVFPPWNGTFPGFGGGNSGGAGAPAATGVPAMFVFGDSLTDNGNNNDLQSLAKANYPPYGIDFAGGPTGRFSNGYTMVDEIAQLLGLPLLPSHPDASSADAALHGVNFASAAAGILDNTGQNFVGRIPFNQQIKNFEQTLGQLRTKLGGAGMARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYAKQLNTLYGLGARRFVIAGVGSMACIPNMRARSPRNVCSPDVDDLIIPFNNKVKAMVNNLNANRHDAKFIYVDNYAMISEILRNPWSYGFSVIDRGCCGIGRNRGMITCLPFLRPCLNRNTYIFWDAFHPTERVNVLLGRAAFNGGTDVVYPMNIQQLAAWQP >Dexi7B01G0001960.1:cds pep primary_assembly:Fonio_CM05836:7B:3358170:3361614:1 gene:Dexi7B01G0001960 transcript:Dexi7B01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIIGAGVSGLAACKHLLERGIRPVVFESGEVVGGVWARVPDCTSLQTPRPMYQYSDFPWPESVTEVFPDHRQVMAYLDAYARRFGVLGCVRFGHRVVAMEYHGVGEEDVAKWEEWAGNGEAFGSGDGEWRLTVADDEGNVETHKADFVILCIGRFSGVPNMPTFPPGKGPEAFDGQVIHSMEYSKMGTKKAKEMIKDKRVTVVGYLKSAIDIAAECAQENGRKQNHLFLLLSGPDHPCTMVVRTKHWIIPDYFAWGIHISKLYLNRFAELLIHKPGEGFLLWLIATLLAPLRWLFSMFAESYYSIPMKKYDMVPDHSLFEALATCLVAIEPKGFYRRLEEGSIVLKKSKTFTFCKEGVLLEGESSSTIKSDIVILATGFRGDQKIKDMFKSEYFRSIAVGSASTTVPLYRECIHPRIPQLAVLGYSESLANLYTSELRAKWLAHFLDGGIRLPSITAMENDVLEWEKYMKRYAGKYFRRSCVGLLHIWYNDQLCRDMGCNPRRKNGFFTELFGIYSPGDYVNLHPKND >Dexi1B01G0029990.1:cds pep primary_assembly:Fonio_CM05836:1B:34076616:34080103:1 gene:Dexi1B01G0029990 transcript:Dexi1B01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVKDRGGGSKATAAASRPLTPKPFSISSSARRTTAVAAGKENSASKPAKPTSAVRWSTSSLPRASRIQSSVESSKLAPTLRASVLPGRASLGKDPAVDAGLRRSVSGGIRSSSVEKGRRSVSVVGSRASEARRRSGAAGSDDISRRREGFDLRAKGLDGVSRKRDDLDAKAKQTGEIDRKRESFDAKAKQIGGEVDRKRESFDAKAKQIGGKRDSFGVSASKQCDEIKGKTEISGANMKKQSEGIGGRREGFDSKAKANEEIRRKEGLSTKMVKEIHEKIADSGENSSSASDFCATQKDAEEGNGSVIPVFTVHVVDSTDVPGTMKDQQKNNEECKKQEQKGKLADKIRVFENAAAGGAKPVSTVNKYPSKLHEKLAALEGRVQKIATDIMKTKEMLDENNPDEPKQILSNIQKEINAIEKAISHVKDDNKVQLGTADSSECETSRSESAAKCTAVKPSGLKHASKGLNTDELEARFFPHHKLLRGRKSSTSTHQESSGDTKKVCPGKTGTACPDPDDDENSIAMEFLASLDGEENEFFKDRRAKKLEKNKICELADATSKTSSQGSSKNTVDPSHKEEIELLATEKLEEFDEQENKPTMMVQEETEESTNDQLTGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANQEFKSEYKPPSMVSNNTWGDCWLIRAPGVDGCSGRYVVAASAGNALEPGFCSWDYYTREVKAFHIEEEGFHDPAPPRAVLGPLSNLGSSRSSPALSNGDTQQWWYKPCGPLLLSTASKQRMVTAYDIRDGDVVMKWEVSNPVMGMEYSSPLQWRSRGKVVIAGSESIGLWDVNSLNPQPLLSVASSGKKVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFSTHESVNVFDFRIPAGIGLKIARHGGTANSIFSRGDSVFIGSTEGRLQIKGGLRSRVQQYSLRKGKLVATYELPEFNAHFHHSAITQVWGNSNLVLAACGMGLFAFDTYKEDMQPAYSFDRGNTIGVREAIGPDDLYCPTFDYSSSRVLLVSRDRPAQWRYLS >Dexi3B01G0029440.1:cds pep primary_assembly:Fonio_CM05836:3B:28706037:28711562:-1 gene:Dexi3B01G0029440 transcript:Dexi3B01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKIGTFLLWVLFLVLQTATRIVGSLLAGPAEQQDGQQQESPAVARRRSPPASPHPDPYEPASAPLWDPPPYPPSAPVADEYSSSSSFRRRSAPSQLLPAEDVVVSSSAYSRPPAAAAHAHSLSAPPLRAIETRAVPARAAAAVSKRPRLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKNSFNGMSLHHIGETPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFGFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTAIMSKTISQSKKETEFALSALMEIPLQYKATLELGILGRRLAKSPERVPLPPPFASYIRVSRAAPSRANSYRSVPSRPREEPTVDSTITASVTSPPAVETRVPEPQMCPVCLSKPRDMAFGCGHQTCSECGPQVADCPICRRPIDTRVKLY >Dexi1B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:1B:24189785:24191021:1 gene:Dexi1B01G0017880 transcript:Dexi1B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGLGGAGAWALDAERAEEEERDAAANPPPAQPPAPAAGFPSLREAAAGAGGKSRKKNKGTTLSLSEFAGYGPGRRQAAAPAPEPKGLTAAEMMVLPTRPRERSEDELDRSRGGLGGGFRSYGSGGDRGSGGGGFDDDRRGPPGRGSDLDMPSRADEDRDWSMSKKSFAPSPAADSGPRSRYGGLGGGAPASVGRADDDGDWSRGKKPMAPAPSRYPSLGSGGGGFRDSPVSTDSSDRWSRAAPTNGERERPRLVLDPPKREASATPTPPAEAGRSRPSPFGAARPREDVLTDKGLDWKKMETEIDQKKTSRPTSSQSSRPESAQSSRPGSPGSQVSAPGSEGVLRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRRIDSL >Dexi5B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:5B:1310099:1311948:-1 gene:Dexi5B01G0002040 transcript:Dexi5B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRLPQLPLLPRGPAPRRLLSAAASAAAASPLPWPGLHAWRQAPPSDLRTWGPNGPCASNADEAAGAPPEADADGSSLAEMGALVLSTADPLAKARLTHAAFCRWAAGLPVGQATTPDHPARPDKPLVVTQKEITTHKEMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFAPLRDTLGDGFFADFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSSDVSARLAVIPMVQVPHQYCFSFCVMLEARGLDAGPRLVQRLFGFGDHRSADIVARVAEEELAHVSVGLYWFLKVCQMMGREPGDTFKDLIKEYGVVLKGPFNYPARDEAGMPREWYDEKFKQESAQKLAEVRDRLACIVEMEKENASVNE >Dexi5B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:5B:5571525:5572714:-1 gene:Dexi5B01G0008260 transcript:Dexi5B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIYVHTDPSYTGSPPKESVFYGRMIPSQKTKWGDVSLVEAERRLLANALLDLTNERFALLSESCIPLYNFTTVHTLLTGSSTSFVDSFVNHDSQVRYNPFFADHANISLAQWRKGFQFFEMDRALALEVISNDTYLPAFRDYCAAVPGCLMDEHYIPTLLSLVGWKHNANRTLTFADWRMGGVHPRTYRNSDVTGALIREIRGGAGKNCTYNDGANGTCYLFARKFAPDALEPLLSLAPKVMGFG >Dexi7B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:7B:1395147:1395404:-1 gene:Dexi7B01G0000620 transcript:Dexi7B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPTMKAVFMACMLFMVAAVTIAQQQTEELCVTQNITEFQSRDACVCSRNCACAGKCLFEDDPPRCFTNCALKNGCVCGQGE >Dexi1B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:1B:22751167:22754156:1 gene:Dexi1B01G0016220 transcript:Dexi1B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFPPYPYKSFWVDNQARESATMDDDSVPLYDREFTLGSTPLGDSSNTESRADKDDSRCFNCGSYSHALKDCPKPRDSVAISNARKQHNLKRNQSNVNHVQNRYYQKTPGKFDDLKPGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSCITIFGDGDMKLEFEEGELAEQGEPSTPRKRMTVEFPGINAPIPENGDLWLWGSAPPQSSVRHHSSDSRDYRDRGPPGTDHYSSRYHSHDYGQMSPSLGRSHSDRGWRSPSRYENQPADDGAWAPHSYPSRQYSSHYSSSLEMPRHSSSSSRDRDRDRDRHDSRHYHHRR >Dexi6B01G0019070.1:cds pep primary_assembly:Fonio_CM05836:6B:25919384:25921937:1 gene:Dexi6B01G0019070 transcript:Dexi6B01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEPEGAPPSGKGEPNRKRSNEQGEGGAGGGGGGGGGGQKRKKKKEVFIYGNYRNYYGYRIDRNVGEDPRLEAFNKQWFENKDCLDIGCNQGLVTIGLAMKFKCRRILGIDIDSGLIETAKWNLRRVARQDKLATQNANAQESSNSPSQSSPGEAASELSNGKEHQDLLKIVSFRRENFVESLGGSSERYDTILCLSVTKWIHLNWGDDGLVTLFVKIWRLLRPGGVFIMEPQPWSSYRRNRLVSEVARENFNSICLYPENFREILLDKVGFRSVELIMDRLVGTVSGFDRPIEVYHK >Dexi2A01G0025560.1:cds pep primary_assembly:Fonio_CM05836:2A:37170846:37171085:1 gene:Dexi2A01G0025560 transcript:Dexi2A01G0025560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRGERAVGGEELGRGVSQGGGRAADDEVGVDLGEALDGEMAVAGGDRGRGRMHRNFERRNYLRSN >Dexi5B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:5B:5365360:5366135:-1 gene:Dexi5B01G0007950 transcript:Dexi5B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLLALPLLAFLLLQLHHHANADCESATCGNLTLTYPFWLRSSNQATSSPCGHPAFEVWCLDAVKGVASLKGSSLHVISIDYPNSSFVASHTRVAAGDDGVCRTDFNMSVSIALTPFTISRRNRALCFLYNCSSGTAPSGPEYVNATSNCSAPIYAYLAGAYDVEGSPPIETDGCTYTEAANYSRLLKAGFLLEWEKAGIGDCAACNATGGQCRYDSFATAFWCLCRDGGRAESACPGESLDSLLAHT >Dexi5A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:5A:5157369:5159533:1 gene:Dexi5A01G0006960 transcript:Dexi5A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGLGMRTSLSPQAKMGSSPSRGFTPLSRLTIGNHGWIRVRVRFSRIWVASNPNTGTEYGLDSLLIDDEGVTMQARAFSWDMKLFKDKLVEGKVYALSDFTVVPRRKDYMAYVIGQIVSLEDVGEKWKWKNWRNISFRNMHIRDLRGRGLNVALFGDLGRNFDAERVFEARPIVAVFAGMLVQYYPDIGFTVRSTTASKYYLDLDIPEVQEFHASLTDPHKPIDLLPCKVQNPVNPADLVKSWRTIKQLKNLNPDELQQSTTFLCRATVKGIDCTKGWFYWSCLHCKWSIRSDEVNSFCIQGCPNNHCLFHGRYKLNAVLEDATGTMDVMIFDDPAQVLVGVAAEELVGEVTGEKIAAILCSHQGHDFVIDTSNGCFVVKHVLNEDEQQLIASASVSAGDDPLLSEEEVSSVSYNSSPAKNVKKVEYDIP >Dexi7A01G0015230.2:cds pep primary_assembly:Fonio_CM05836:7A:25091146:25092018:-1 gene:Dexi7A01G0015230 transcript:Dexi7A01G0015230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLGMTTGPNTSGVMTTNPAAPARFAILAFSVLMTPPASQMTIFPVTFCASRTPSLAHVPSTSCIRGFGSPMVVLGSLSDSPPSSDLSPSTTETENFLSLVLAPTVSSHGASLTRVAGLGPVFPAAAQMKTPLRIARDGVVGEVDGVLVSKRQGEDVDAILDGVVDRRQDIVTVAALLLVAHLVEGDVGTRSHAGGRAEPVAEHAGVGHERAGRRARRVRAVLPLADREHRVAEALPLPLGRLESQRPFHLAGGGFIPAPSKEGWPA >Dexi7A01G0015230.1:cds pep primary_assembly:Fonio_CM05836:7A:25090810:25091145:-1 gene:Dexi7A01G0015230 transcript:Dexi7A01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRMPMMTPSPMLLVFHRPPRRRPPMSPSISGVCVVRRWYVCSGRARSNQSILDIFSSSSVVMRAAKPLTTLRRVMAVGSASSVSLAESHTDARSDACQLDTLW >Dexi5A01G0030240.1:cds pep primary_assembly:Fonio_CM05836:5A:33228585:33231639:1 gene:Dexi5A01G0030240 transcript:Dexi5A01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRGDGNKSTMSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLAVAGLAADGRQIVSRAKSEAASYEKVYGEAISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWVCDESNRQHQKVPNDLLEQAKAAAQAALEEMDAD >Dexi1A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:1A:6317583:6322323:1 gene:Dexi1A01G0008230 transcript:Dexi1A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSEDAVKQLSLLMDQVDAPLKRSFQNVHQGYQKETLVRFLKAREWNASKAHKMIVDSLNWRIENEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIVLPRLTQKYGRPITNCIKVLDMTGLKLSALSQIKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLSGCGRDELLKIMDYSALPHFCRREGSGSSKHSSSDVDNCFSPDHPFHKELYGHIQEQASHRELIKMGSLHVSIPEPDPNDAKIVEVIQAEFQKMGEQDESANGHKA >Dexi2A01G0034620.1:cds pep primary_assembly:Fonio_CM05836:2A:44512093:44514090:1 gene:Dexi2A01G0034620 transcript:Dexi2A01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAGTILFASVGVNGFGFDVFSVAVPEHDAADAKKLDERRHTDGVSVNFNAQFADDAGETVAFVSERTGAASLFLSRPGSKHLPPEPLPSAEGSLFHDRPTVRGGRVYFVSAHEDPHQPFQSWAAVYAAGLDGHGKVERVTPRGVVDMSPAVSASGDLIAVASYGDRPWAFDFRGALETEVAVFRASDPAPRRVVVAERGGWPAWHGERTLFFHRVADDGWWSVFRVDISPDTLEPTGEPRRVTPPGLHCFTPAAVASGGGRWIAVATRRKGRAQRHIELFDLESGHFSPLTEVLNPELHHYNPFFSPSGARLGYHRFRGAGAQGESVVPYLQPVRSPVGSLRMLRVNGTFPSFSPDASHIAVNGDFFKTPGIMVLSSDGTRRWTVSKEPGLFYTTWSPTERGVVFTSAGPIFETPKATVRIARVEFDLSELTDDRNEVTAATVRAITRPESGNDAFPAVSPCGRWLVFRSGRTGHKNLYVIDTARGEEDGGVVRRLTEGEWIDTMPSWSPDGSLIAFSSNRHDPANPAVFSIYLVRPDGTGLRRVYVAGPEGSEEADKERINHVCFSPDSRWLLFTANLGGVMAEPVAGPNQFQPYGDLYLCRLDGSDLRRLTCNAYENGTPAWGPEIGVEALSLGEPAGEDLLGQFDEPLWLTCDGLPTTA >Dexi8B01G0010450.1:cds pep primary_assembly:Fonio_CM05836:8B:19093565:19095945:-1 gene:Dexi8B01G0010450 transcript:Dexi8B01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSCEETINEFETLTRDAGRVQQDTLKKILQVNADAEYLKHFGLNGRTDVQSYKSCIPLCVHSDLEPYIQRIADGDSSPQLTGKPITSLSLSSGTTQGKPKFVPFNDELIENTLQINHTSYAFRNREYPIGKGKALQFIYSSKQVVTKGGILITTATTNLYQGPRYKEGMKDIQTQCCSPDEVVFGSDFQQSLYCHFLYGLIYSDEIYQVFSTFAHSLVRAFQTFEEIWEDLCVDIRDGVLSEKVTVPSIREAVAKILKPNPELAESIRKKCMGLNNWFGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPTLPPEEVVYCVLPQTGYFEFIPLEKPKAEEMENSASIHYIESDPVGLTEVNIGKIYEVVLTTFGGLYRYRLGDVVKVVGFHNSTPELKFVCRRSLVLSINIDKNTEKDLQLAVEEAGKLLEPEELEIVDFTSSVEKSSDPGRYVIYWELSSDASEDVLGSCANCMDLAFVDGGYVGSRKVGSIGPLELRILKKGTFKEILHHFLGLGGNVSQFKMPRFVNPLNIKVLEILSRNTTKSYFSTAYGL >Dexi5A01G0033830.1:cds pep primary_assembly:Fonio_CM05836:5A:36054338:36054970:1 gene:Dexi5A01G0033830 transcript:Dexi5A01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFITVDAVELVEGEEGERVAANRPPHALKAGADDWGAGDAHVHLASAGVAEQAHNLARCGAAHERVVDDDHALPGNDAAHGRKLELNCEVADCLRRLDEAAAAVVPPDEALLELEPRRLREAERGVEPRVRHRDDDVRGRRRRLLSERAAVCAAALVDGVPEHDGVGEGEVDVLEDARLARAVRDEAGVGH >Dexi5B01G0034690.1:cds pep primary_assembly:Fonio_CM05836:5B:34886110:34889122:-1 gene:Dexi5B01G0034690 transcript:Dexi5B01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKKQLSHLLLDKFVTGIKKASEEYKVKGTCTSTNILLCVTGPWADVKISMLSDNDIEEDECSALNSSVKEGLLERATIAEFEEKVATVHTDMVNHWIERELVRLERHINRAQNKGLHVEYPFQTDNHLKDSVDLKRFQKSFQKQNTMKRKLNWKLQQATLPKRVEVQILEILVS >Dexi3A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:3A:4833960:4838034:-1 gene:Dexi3A01G0007130 transcript:Dexi3A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSRCNQPPEKKTKIIPAICWIPKGAFKNVRFATEPPTKEEIGEALRASVALDQRNADSNYDDDDMSDDNADGDQEEHGVLQPEGVAKALSKDKPADGSLDYIDAAMRDLNMDQYDTDEERNGDLYYPSNDMDPYLKNDDMSEEEEEEEEDRTVKPNDLIIASLHVHEKTSGNYLKVFILEELMDGDQCMGWSSHKIQFVHPPLCLAWSGCRLNKDDQEGNFMAVGTMSTEIEIWDLDLVDALTPRTVLGGNVKQEKVQVVSWSQHSPEIILSGSFDKSVALVGLKNGMVQAFDKRKSSSNDQSSSLALFTLHAHEKIVTSISFGPSAPNFLATSSTDKTVKLWDTSSNQPSCIASLNPKLGAIFSVSFSNDNPFLLAMGGSKGNIKISDTLAQTSVANRFGRYGGAKSC >Dexi9B01G0033460.1:cds pep primary_assembly:Fonio_CM05836:9B:35615502:35617465:-1 gene:Dexi9B01G0033460 transcript:Dexi9B01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLPRIAGGGGRKPRVPPLPPARTLLTAFSAAAALAVLCLLTSSPAASLSGAWRSGARSGDKYLYWGGRADCPGKHCGSCAGLGHQESSLRCALEEALFLGRWEESSCSMESLYDIDQISKTVPVILDNSKTWHDIVSKSTKLEVGSVAHVQGISRVELKQNPLYSAALIINRTASPLAWFMECKDRKNRSSVMLSYTFLPIYLEQPPNQFPLFQMKELLGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEYIRKRIAKWIPPGRTLYIASNERTPGFFSPLSD >Dexi6A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:6A:24871873:24875903:1 gene:Dexi6A01G0017030 transcript:Dexi6A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAYMDRAAAATEPEDAAGDSPAASTVAAGCATATDFGGMVTAIPAAVVRPASSDDVANAIRAAALTAGLTVAARGNGHSVSGQAMADGGLVLDMRALRRPQMQLVVSPDGSGARFADVPGGALWEDVLHFFVKNYGLAPASWTDYLRLTVGGTLSNAGVSGQSFRYGPQVSNVAELEVVTGDGECRVCSPSSHPDLFFAVLGGLGQFGVITRARIPLRRAPRWVRWARVVYASFAEYAADAEWLVTRRDGDGGEEVFDYVEGFAFVNSDDPVNGWPSVPFPGGARFDPSLLPAGAGPILYCLEVALYQDPDDEDKGGVMGKRVSRMMAPLKYVSGLEFAADVGYVDFLSRVNRVEEEARRNGSWDAPHPWLNLFVSSRDIAGFERAVIRGMLADGIDGPMLIYPMLKSKWDPNTSVALPDGEIFYLVALLRFCRGGGPAVDELVAQNGAILRACRANGYDHKAYFPSYRGEAEWARHFGAARWRRFVERKARYDPLAILAPGQKIFPRAQASSVVV >Dexi6B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:6B:24966646:24968368:1 gene:Dexi6B01G0017900 transcript:Dexi6B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAAGGDYIASLLSSAPRLDLGVLGMLDGAAAGADGGEDCLERFCGDPGFAERAARLSSFSGQRFAGAAGLFGVPPPASAASNGEFAGSREASSVSDPVSAMRDANAKKRKAPAAAKGKGKESSAQAGEQKDPDAKRCKTTEGSEGKKEESSVKPKSEQAGSDKSVEDGGQKPPGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSSSGFPFSDQGDVFQSFVSNALENQCSLNPLDLALSQATNAQYAFQDGTAGTNLQQRNFWEEDLQNVFHIDNGQQSQENGVSAQSFHGR >DexiUA01G0021620.1:cds pep primary_assembly:Fonio_CM05836:UA:44791615:44795365:1 gene:DexiUA01G0021620 transcript:DexiUA01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPARVTVRRSSRVSDSNTIFGSYPTRRSTWRQKPSSTRTNDDSCLVALKYQDQADSRRTRLLGGLRIISGICQGTSVRTMTSVIQEARPRSTLRLVGSVARVLFLSEQGSFFDKNPDYSNQQGSFFDTNPDYDDQPSSFSTKNSDSARLHQQINLVSINRRHFGAVRGDSTRRVFIKESMASFSTSVNVKFEEGATFIFGSWLCTANQDGKLRHELRDVTIALRRELCGETMASPPPPARVTVRRSSRVSDSNTIFGSYPTRRSTWRQKPISLHLGVLEGITIDLAGAGEEEPGLESGQNSRFRAIFLFQEWTRNLREV >Dexi1B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:1B:2268651:2270700:-1 gene:Dexi1B01G0002730 transcript:Dexi1B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDGVDILKNPPAEESKVKEVSVLEIGEVLKKRKEAMAALHLLLTGEEEEPAEVGNKVKRIVKKSVAVDRINSMRANPCSTFQELSPEVLNSQSQEFLVTYNRSRIFDQKVRAYEQALIQQYDSHGYAEDEIEVTDCSEDEIEVTVDEGMVDKITGCLQSYEWDINKFNMLLQSYEWDINKFNMLLQSYEWDINKFNMLLQSYDWDINKFNMLERGMLNFRCPTTLLSRGLTTKR >Dexi6B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:6B:20325840:20326710:-1 gene:Dexi6B01G0012620 transcript:Dexi6B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEATAPARRRGLLDLEAQFAFFRSQHRHPVNAAAHALLTWPILFTNLLILHFLPLPSPVDPALALALAYAAAYLAVDRRAGALAGLLFVAAWAASRALAARLGFGASWRLVLATQLFCWPWQFLGHGLFEKKGPTASELPEVFLMEPFLIFLQILNKLFGYEPYPGFCKNVDKKVEALLRESRELEQRKTT >Dexi2A01G0033050.1:cds pep primary_assembly:Fonio_CM05836:2A:43357162:43358830:1 gene:Dexi2A01G0033050 transcript:Dexi2A01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCTVTGYVSLCNLLSSPLQEMRELQELQRTLYTFLHAVATHDLSTILLTPSCIQYLDTIMQLLLFTSCKHKDILLRKGCVQIFVNLVKDWCINSEEKVNGFRDFMIEKFATNCCLFSVLDKSFDLRDANSLVLFGEIVVAQKIMYERFGEVFIQKFVETGLTKVCCPPDLAKQYCQKLQIL >Dexi1A01G0024720.1:cds pep primary_assembly:Fonio_CM05836:1A:31187786:31188660:1 gene:Dexi1A01G0024720 transcript:Dexi1A01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLEALGRAVDAGKIRCIGLSNETPYGLMKFLQLSKDFQLHSKLLTVQGDTLKVKAAVKVCIYDLSGVETPS >Dexi4A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:4A:8490289:8490727:1 gene:Dexi4A01G0010630 transcript:Dexi4A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVDGRQQQQRFPIAVWPPGTVPASPPPPPALAAPVPPVAALPGGAAVLPVAARGGIIGGGREASSETVVCAICLDPLRRGQPCSEVPACRHTFHRDCVGAWARSSNSCPLCRVKIVTRSGAAVVARDMHGVAARETGRM >Dexi9B01G0018890.1:cds pep primary_assembly:Fonio_CM05836:9B:13458564:13461085:-1 gene:Dexi9B01G0018890 transcript:Dexi9B01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYSNHKQAAMAPEIEHTHLPIRGLNIHVAQVGKDEQGTMVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEHEEASWDDLAFLVAKDFGAKPAYEFALHHPERTRGITCLGVPFSPVPFAFDTMPEGFYILRWREPGRAEADFGRYDVRRVVRTIYVLFSGAEIPTAKEGQEIMDLADLSTPLPEWFTEEDLDDAKFQVPVFMVMGEKDYSFKFPEFETALRSGAMENFMLDLKITFIPEGSHFVQEQFPEQVNELLLGFLKDHPVVAA >Dexi6B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:6B:25292817:25295037:-1 gene:Dexi6B01G0018280 transcript:Dexi6B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPGNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSHHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQPDNIVAARKQ >Dexi3B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:3B:7903830:7909324:-1 gene:Dexi3B01G0011250 transcript:Dexi3B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTTEEQEESLAPSPPEKRKSSIPSKGWKRGRATDLEDENNAEAAAASASPPPEHAASSGSSAVSSPLRWPELPRAIVGETAFGEQILEPFHQIDPAVVRAHGKLVNRYAAKKARQLKLVSLDQHIPRSCMSDSELLPVRESVEKSILQVAKSVLGLSSYIEGKLLRRTSGFLIDWDAENKVGTVLTSALLIQSKSPSLDQWLAADEYSPDAEVHVHLMDKTNTTVVAELLHYDKHYNLALFKISKDFKAHIPSFTSDLSFAEDVFVLGRDKDRNLTIDHGKVEYKGPSHLQRHHYMFITCGINKMWKTFDCIPRLHLGMKFSAISFLDLPHREKIACKCDVNDGLIVKQVSEGSVAEKVGVRRGDIIKSWNDENISTTIELENFLLDMCKKHLDKGNSIGSSVDLSIGIFYIRKDSHDTFKMSANVSDDIEVVAEGVYPVTAKDCAVVNGDTDDGLIYGKAPTDDEDDEV >Dexi9A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:9A:14456:23277:-1 gene:Dexi9A01G0000040 transcript:Dexi9A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDPFGRAQSEQHGHRDEDEENLRWAALEKLPTYDRMRQGILRRALHHHLDDDDQQAAGQQPAIELPTIEVRYEQLTVEADVIAAGRALPTLWNAATNFLQGLIGRFGSSNRRRITILKNANGVIKPSRMMLLLGPPSSGKSTLMRALTGKLDKNLKVSGSITYCGYPISEFYPERTSAYVGQYDLHNAEMTVRETLDFSRRCLGIGARYEMLAELARRERDAGIKPDPEIDAFMKATAVQGQDTNIITDVTLKVLGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVNWESFKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTKMPHDDIKPWWIWGYWASPMMYSQNAISINEFLASRWAIEMKEQGFTESRLQLLSDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTSGAVEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESIIYSAWLRLSSDVDDGTKKMFVEEVMALVELDVLRNTLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTACVEVMYNILQGILYTVIIYAMIGYDWKADKFFYFLFFITASFNYFTLFGMMLVACTPSALLANILITFALPLWNLFAGFLIVRPAIPIWWRWYYWANPVSWTIYGVVASQFGENGGSLSVPGGSPVVVKQFLNDNLGIRHDFLGYVVLVHFAYIIVFLFVFGYSIKFLNFQKR >Dexi1A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:1A:6553241:6554770:1 gene:Dexi1A01G0008430 transcript:Dexi1A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAVTTMVTKSKESWTLQLPELTLPSWKPRGSKAELEFPRRAMFASIGLSACPGPIDHGAKARPADNCDIARQLGAAVPGEHAAGEEDEASKKKKKGGGGKKQLFMGGGLRKVRVKIANPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSVGADSMAGVFQWIMQNEGWTGLFRGNAVNVLRVAPSKAIEHFTYDTAKKFLTPKADEPPKIPIPTPLVAGALAGVASTLCTYPMELIKTRVTIEKDVYDNVAHAFVKILREEGPSELYRGLTPSLIGVVPYAACNFYAYETLKKLYRRATGRRPGADVGAAPTLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRHVLHAMYCILKKEGAAGLYRGLGPSCIKLIPAAGISFMCYEACKKILVDEDEEEEEEKEEKDGVAGDGEKAKIA >Dexi2B01G0022040.1:cds pep primary_assembly:Fonio_CM05836:2B:31734852:31735106:1 gene:Dexi2B01G0022040 transcript:Dexi2B01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKAASMEAQSACACRFPVHGDEKEADLLYAAMGRRDDRASRCLCGGFREKGVGDRGRRHSRRVHAGDLQYAVMPPSPTVCQ >Dexi9A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:9A:12270500:12272185:-1 gene:Dexi9A01G0017260 transcript:Dexi9A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAASSSSSPLARLVARRGLAGAADGHGSTKVPMWKDPLSPSKWKEEHFVLVSLSGWGAAIFGGYKFFTGGKKEDKPE >Dexi4B01G0021910.1:cds pep primary_assembly:Fonio_CM05836:4B:23762789:23763939:1 gene:Dexi4B01G0021910 transcript:Dexi4B01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLDAPTNETNLMMLRGLIGHISPQDDGGSAADPTYFAYHIAEASSDGYYGFIATLDVYGFTLKHGQGTAGAVWVVDAGDGAQSSVKTIIVGWNVLPAEYGDSRTHFFTIWTDDGFIKTGCFNKKCPGFQPEKGASIAPGDAIAHVSTPKGDKQKLKLKIVKDGGASGDWLVHLGLNREPELIGRFPRSLFTGGFADRAPVIRFGGMVTAPVADPAPMGSGYLPAEEGAASVSDIKLIGRDGRATPVTVDLPKLESKPDAYAVSSVVNGHFFYGGTR >Dexi4A01G0023120.1:cds pep primary_assembly:Fonio_CM05836:4A:26256075:26259066:-1 gene:Dexi4A01G0023120 transcript:Dexi4A01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDRSFELSYSQNTTPLPRCAVLCCTTRRHTLVERLPVDRAGATCRAHGAGRRSRRPLTARHKQAGQAWESPLHAYNWAMQCSWAHGLVGDKIPNLPQPPLTPPCFPPSLTSSALPQCHSSTNVLIPPIPRPRPAATDTGHLRSAMDGEGRRPTRYPAAPLEMRGELTGEPPPHAGEEHGGGSGSGWHSRKRRGPWIREREPRRSSSLVWALVILCTLLAVGVIVAGATVFAVYLLYKPKMPYLLVSDARLELLDYGQSGTIENLQLALTILAENTNSKTDATFSGVSLAVGFHGADVAFLRAGTFAVARRSAVPLRYQVISSGRQLSPDGMQYMDGALKAGVVPLDLFGKARTTWKVGIFASLQFWTRISCRFLFNYPGNGTAMPIDCRSKSPEPADNDESPTGNLGWARGRKPSQRRRRVVVFLRFPNGMAGEATAGVSPDHHQSSPSAEEFISVAIHRSGTRVPPNFASSSAKWTRCQSATRRRDPSASASASSGFVERGAPLVALAPRRALPT >Dexi5A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:5A:21522175:21524361:1 gene:Dexi5A01G0018020 transcript:Dexi5A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLASSKDAHNPKSGGSEQAWREPCRDAAPRRFEQGGNPVPWLRGLQGGSVVVLYASIYGDVPMVVNLSGRFYLEKGVEERLGKEFMDRINKEGYIDVLNKSGKVLYRVTKDSLMERLNTDMHPASLSISKECRFFTIHGSADKIIPVEDAYEFAKLIPNHKLHIIKKANHGYTSHRKQLCDAVINSIISNEAGNIPS >Dexi9A01G0033120.1:cds pep primary_assembly:Fonio_CM05836:9A:37980504:37980893:1 gene:Dexi9A01G0033120 transcript:Dexi9A01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISSVVSGLLQQRSYGVYARAVNVHVTAAAAAGRTTTDGGAADGRRASSKKQDVFWMRDPKTGCWIPENRFLEVDAVELRNRLLHHK >Dexi3A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:3A:8064288:8065178:-1 gene:Dexi3A01G0011340 transcript:Dexi3A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQVLEVTLISAKDLKRVTVFTKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWHAPLRFTIPPAADPRALSLHVLLRAERAFGDRDVGEVFVPIRDLASAAPEGGSAGGDQRHLSYQVRRPVSGRKRGVLHISYKLSDAPPPDTTAGPYAAGGHHHPHQYSMKQHHHPATSKRHQHQHKVASAITAYPVAPRSGGPPYPPYGPLYGGGGAYPHHHQYGYGAYGYGGPAQGAPYGYGGNGGAARAGGGGMGTAGLGLGLLGGAVGGLMIGEMIAAAEVDGAYDGGFMDGMGF >Dexi3B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:3B:9462817:9463389:1 gene:Dexi3B01G0013280 transcript:Dexi3B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAAASPRRGHSPLLLAAALLLALAVTPTSTTAAGRGAARECTYTLRVKTSCASPAARTSDAVAVAFGDAYRNAAHAARLPTTTPGSRALERCGTDTFRLPGPCGYGVCYLYLRRDGRDGWTPEWAQVVEPGPRSGNAPPATATFYFGDPLPDGVWYGHDRCTSIAAATDAAARTASKSSSAASPRG >Dexi9B01G0032550.1:cds pep primary_assembly:Fonio_CM05836:9B:34761219:34763472:1 gene:Dexi9B01G0032550 transcript:Dexi9B01G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDGRKAALLQWCSPSRWSASGRRLLSAAGNTEGRAHAGMVLVMLAYSGYHVLTKSILNVGMNQVVFCVYRDLLAFLVLAPVAFLCERFFPARERPPVTPQLLASFALLGFTGVEAVNIVTKDGILKVIGTVVCVSGAILMALYRGPSLIGLGGTETANGIVTSGTWTTTPYLAQWLTSSMLKYGVDTWHLGVLCLLGNCLVVAAYLVIQAPVMLRYPASLSLTAYSYFFATIFMVLTGVLATNGLYEWALTKTEIIAVLYAGIFASCMTYAIMAWANKILGPSLVALYNPLQPAFSTILSTIFLGDPVYLGRFALLAESLSLLAYIWLLGLATMKRVEILAVVV >Dexi6A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:6A:2199003:2202020:1 gene:Dexi6A01G0002330 transcript:Dexi6A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGFADFFFSVFAGRISGCAGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDIKVKDSKTLLFGEKPVAVFGCRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKVINDNFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLEKGASYEDIKKAIKAASEGPLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNENFVKLVSWYDNEWGYSNRVVDLIRHIFKTQ >Dexi9A01G0025510.1:cds pep primary_assembly:Fonio_CM05836:9A:26012685:26013185:-1 gene:Dexi9A01G0025510 transcript:Dexi9A01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCKLSSMLLAVLMVLAVSPAAPRPVAAATSAHLHVYMHDVTGGPSATAVRVVNGPRGSFGNMEVIDDVLTEGTSQSSATVGRAQGYYMVASVANLEFMVNMNVVLTSGPYAGSSLAILGRDDVSVPVRELSVVGGTGMFRMARGYVLWKTITPEILDLEIFVNP >Dexi5A01G0038380.1:cds pep primary_assembly:Fonio_CM05836:5A:39398041:39399660:-1 gene:Dexi5A01G0038380 transcript:Dexi5A01G0038380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWHNLAAVGALLAALAAAAALTVAGLRSTTSDSKRGHPSPRRPPLRFGPGGSFKVALFADLHYGENAWTDWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLPIPNASLYWGRAVSAARGRGVPWAAVFGNHDDMAFEWPPEWFSPDGLDLCGKGEDRGCSFRGTPRIDLMTAEISKNRLSYSSNGPKDLWPGVSNYVLQVLSHTRDDPALLMYFLDSGGGSYTEVVSSAQVKWFQSQSQFLNPDGRIPELIFWHIPSTAYVKVAPKAKSEIRKPCVGSINKEDVAPQAAEWGMMDALAKRPSVKAIFVGHNHGLDWCCPYEKMWLCFARHTGCGGYGNWPRGASIIQITENPFSTVSWIRMENGTKHSDVTLSS >Dexi8B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:8B:25789928:25791648:-1 gene:Dexi8B01G0015040 transcript:Dexi8B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCRNREESWSLAGATALVTGGSKGIGHAIVEELAGFGAKVHTCARNADELEESRRRWAEKGFIVTVSVCDVSVHGDRERLMDTVKATFDGKLDILVNNAGQVFLKAAAECAAEDYSHLMATNLESCFHLSQLAHPLLVNASLAGGGSVVHVSSIASFLGFPGLIICIMCSQVDPEDLGKEISQVPMGRSGEPEEVASMVSFLCMPAASYVTGQVIRIDGGRTFSAA >Dexi9A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:9A:1013404:1014042:-1 gene:Dexi9A01G0001960 transcript:Dexi9A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDRECCACFGPYSRFACGFCIGLAIVAAVAVIAVLVAGYGHAAQPRFEVEDASLSRFELATSSPSTALSYNLTLTLAVRNPNWAMAAAFRSLEVDYLFDGQRFDRVAVVTGDGYPLPARKTAVFRVSSGADGAPVMLGSAGVKAFRRQSDSGVFDVEAALSGQVKYQLHSSWCRLEARCPLKLNLAGKDGGAVVFQKTKCEVLRSSQGGC >Dexi1B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:1B:4991819:4994412:1 gene:Dexi1B01G0006110 transcript:Dexi1B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRALSLSSLCATTLAAAARAPSPSPFLAPAHRASPLRLAAGMSSSSSSQAPAASGDAAAPSPAPSASSAIDFLTLCYRLKTTKRAGWVKRGVQAPESVADHMYRMGIMALVAADLPGVDRDKCVKMAIVHDIAEAIVGDITPSDNVPKEEKSRMEKEALDHMCELLGGGSRAQEIRELWMEYENNASLEAKVVKDFDKIEMILQALEYEKAFWESMSCQAATC >Dexi9A01G0036240.1:cds pep primary_assembly:Fonio_CM05836:9A:40757606:40758115:1 gene:Dexi9A01G0036240 transcript:Dexi9A01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRASVLLLAVAVAAAACAATASSAQTSRLGRIVVSGVVPCNTGTLIDVATSPAFPDAKVELRCGGSVVAKATTGRDGSFEMEADAVTSALGTLLGGCDLVMMSSLQGPLAGMLGGVFRLGPAGFSFRMN >Dexi9B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:9B:2584551:2584924:1 gene:Dexi9B01G0004520 transcript:Dexi9B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQLLGEDGRGYELARRLEACGAWRAWLGDDAAHAALTQHLTSPATWDAFLSPATSPSPPPRPLLLLQLRVRALLFDKASAALQLAPRGAGPAGLHSINANFSGI >Dexi3B01G0035630.1:cds pep primary_assembly:Fonio_CM05836:3B:38666777:38668668:-1 gene:Dexi3B01G0035630 transcript:Dexi3B01G0035630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSISTYVQSLVAWHPFFIYPRSRPPPPHHLATTSMPSSRDAWTRPSHHSLSASSSSSIIPSTMAKRTTTVVLFPGVGVGHLTPMLELANALLRRGGDALHVAVALVEPPVMDTGFITTLARAQSATNTAITFHVLPPPPPPNSGEPPPPPPETEEEAFTRMLGFLRAANAPLRDLLRSLPSAKALVLDMFCAGALGVAAELAIPAYFFFPSGAAGLAVFLALPSTRAATFADAVSTVLTFHGAPPLRVADLPQGLDAMLAVADRMPDARGILVNSFDSLEPDAMRALRDGLCLPPHRPTPPVYCVGPLVSPGSGGGEEEHGCLRWMDAQPDRSVVFLCFGSMGAFPNTQLGAIAAGLENSGERFLWVIRSSSIAPPGAGEPRDGGGDLDAVLPVGFRERTEGRGLVLRQWAPQPAVLRHRAAGAFVTHCGWNSTLEGVAAGLPLLCWPLYAEQRMNRVRIVEDMGLGVEVAMDDDGKVDAEEVEKKVRWVMGDSNDARKLRERAAAARERAAEAVADGGPSDVAFGEFLKDLFEACQGRG >DexiUA01G0011610.1:cds pep primary_assembly:Fonio_CM05836:UA:23157471:23157955:-1 gene:DexiUA01G0011610 transcript:DexiUA01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKAMKAATTTCMPRLVRGGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSRASVQRTSSSARGKSSKASSPRAASRNRDSDASVPGACRRDDSIRNEAVEDCIEFLKRSSADGDAAKLSSVTAADAKISAVTATGAKLTAVSAAA >Dexi2B01G0013900.1:cds pep primary_assembly:Fonio_CM05836:2B:23015137:23016727:-1 gene:Dexi2B01G0013900 transcript:Dexi2B01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMDAIQKLYEVCKVSLSEKGPLSSEAIAKVHAVLEGGRHCSYFRRCPKSDPSGILLNRTKGSEIVWLEEYQPRDSFVIRRDLYTGPALQL >Dexi4B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:4B:4156159:4156440:-1 gene:Dexi4B01G0005880 transcript:Dexi4B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTPKPTPRKPAKLDTEFSCPFCNHRDAVGCLIDRKERFAKVECRICNESYWTRANALTAPVDVYAEWIDACEDANEGVDVRHRRPRL >Dexi2B01G0028460.1:cds pep primary_assembly:Fonio_CM05836:2B:37086135:37087175:1 gene:Dexi2B01G0028460 transcript:Dexi2B01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASNVLAASTHGSILVLGQLVAPPGRRTQVEEDLDVRGGGRARGDGVDRISGLPDDLLHTILHRVRSTPDAVRTSVLSRRWRRVWAQVPEISFDHDVDRVRSSSILRGIDAVVGAHSAPTLDRIAISVRRNAGDRTPALVAPWLRLASLRLAGGELKIRLGEPRTLYTYDDDGEIIDIPPPELEGPACEGATADGHRAGAPSSPQARPNRQFRGAASPEHRMQPTTSTTAT >Dexi3B01G0022250.1:cds pep primary_assembly:Fonio_CM05836:3B:17125078:17125419:1 gene:Dexi3B01G0022250 transcript:Dexi3B01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKPYVAIASESVHGTDKRDLARRGSPGPSAGFSCSAPRDRAAETVEVEPPRLLLDAQAAGPFIPDLHGQEEQLLALALPAAPPLPAPDADIPSILGSRGLARSLVDDHAGL >Dexi9B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:9B:553550:554281:1 gene:Dexi9B01G0000920 transcript:Dexi9B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLQSLPPDRAGTRPGETSVPAVKRAPPPALLSPNAAAAAAASFKMTRPASAPDLAALSAARPRPPPDRKLARPTPPQQQQQHRGGLAPHGASRPRPPSTPVKNGGAPPQPRGQSDPSLRRLSAPAARDGKPASFMAPRGAQTVRPARRLAPGTAVYVRTAFRPRNINCRILLWLPARVVSTYDAYRLTVKYAADLNDMFAGKIVSKPVEDVRVAPQRAAAKAEPRKVDTKAPSTSLSRQ >Dexi9A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:9A:10509055:10510738:-1 gene:Dexi9A01G0015690 transcript:Dexi9A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDTPAAEWNWTRDLHPCTTTAPTTVVDGRALATIIAAAIATALHLLAGPHAAAARPLVGRLPVATSMEAATTTSAMALAPTAHHHDRRGAAGDNDEDDDDDAGASSATTREGKWLPFAGAHHLPPAYWAHKPVPWVGSSELGGAAAGVGAVEEGGEGEDEEEVVRDRERRHRRRPSYDGDGTSTRKEQLAMWASLLNPKGRGRSDATGWLPAPGIGEAADDEPAAKASDTPPVEGAEGDEPSSGGQGQNYWGNNGN >Dexi9A01G0031870.1:cds pep primary_assembly:Fonio_CM05836:9A:36765531:36765825:1 gene:Dexi9A01G0031870 transcript:Dexi9A01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLGGGGRDGSERRAHDAEEEAEVGAAGRPVAAAGGHERGTGEVAAHPVAEPVAPPGLLAERFVLGHFRALHERRQDLAARPLPEWATSGSGAY >Dexi2B01G0034300.1:cds pep primary_assembly:Fonio_CM05836:2B:41561919:41567701:-1 gene:Dexi2B01G0034300 transcript:Dexi2B01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKNSNGYLGVTEPISLSGPTEKDLVQTAEVEKYLSDAGLYESQEEAVSREEVLGKLDQTVKAWIKKATRISGYGEQFVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNDYFFRWLHDMLAEMPEVSELHPVPDAHVPVLGFKINGISIDLLYANLAHAVIPEPYGSGSPLNSSNRECADSAANNLLSSSPAVPVASDELDELTSFHQIKANQKDVNADQKPSLEGCSEDNLEQTCSLRPQYSNNLKRKANEELEIEVDDLGETKAS >Dexi6B01G0020100.1:cds pep primary_assembly:Fonio_CM05836:6B:26625523:26627433:-1 gene:Dexi6B01G0020100 transcript:Dexi6B01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMSLRSLALAVADAALPPAHKLLPAAFLSSSSTRAAPLLHLRATRRLPLAPFVASSDAVEAGVEWADEEEEAAAEAFDEEVGEAEEEEVLASGDDEEGEYAAVEPPEEAKVYIGNLPYDIDSEGLAQLFDQAGVVEVAEVIYNRETGQSRGFGFVTMSTVEEADKAIEMFNRYDISGRLLNVNRASPRGTRMERPPRQFSSAFRAYVGNLPWQADDSRLVQLFSEHGEVVNATVVYDRETGRSRGFGFVTMASKEELDDAISALDGQEMDGRPLRVNVAAERPQRGF >Dexi8A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:8A:30064436:30064816:1 gene:Dexi8A01G0017930 transcript:Dexi8A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIWLPAEGDGSSRGTKAGRADAEVLDPGRTEDVHQAHQEEEVAMPMTQPGGEMTAQEVSSSDRTEDTAQAGTDDLGPGHTEDAVRTQTDGDIELEATRAPVEALNVPASGMAEGEDAGGGALF >Dexi7A01G0006340.1:cds pep primary_assembly:Fonio_CM05836:7A:17708586:17710109:1 gene:Dexi7A01G0006340 transcript:Dexi7A01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRKERSWVVDIENLLAEDAAAGDLTAAEAATWRKHSIYRVPTYIKNGKHTACPYGPQLVSLGPFHCDNPDLPPMDEHKQRALLHLLRRTGSPVRSLVAALEQVVEQLEEAYMDLEDGWRRDRDAFLRVMVMDGCFLLEVMRTAAADGDAGDYAHNDPVFSRHGDLYLFPYVRRDMLVMENQLPLLVLQKLVAALHGPDAATDDAINNMVLQFVSLSPDPPELRGGGLALHPIDVCHRSLLHGAPNQAFKGRRDEFVPSATELDQAGIQFARSSTHSLHDIHFAAGVLHIPELAVDETTEHKLLSLMAFERLHADAGTPNEVTAYVFFMDNVIKCDADATLLCARGVLSNGLGSDKEVAKMFNRLGQKAVLDKGSTLRAVHGEVNAYRDTRWNQWRASLIQNHAGNPWAIVSLAAAVFLLVLTVVQTVYTVLPYYDDQQPTSRCGARIYLHDEL >Dexi3A01G0021810.1:cds pep primary_assembly:Fonio_CM05836:3A:17378871:17381862:1 gene:Dexi3A01G0021810 transcript:Dexi3A01G0021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMHGLLLIVAVECLVSTVRFTGAWPPSSPVQCATAGMMIDCTVTNAYGAFPDRSTCHAAAAVYPASEGELLRVVANATASGTKMKAATRYGHSVPKLACPGGYDGLVISTDALDHFLGVDTGRMEITVESGVTLHQLIDAAATAGLALPHSPYWLGVTIGGLLSTGAHGSSLWGKGSAVHEYVVGMRIVTPAPESEGYAKVRELVDGDPELNAAKVSLGVLGVISQVTLALQPMFKRSVTYHKDDDSNLAERVVAFAKEHEFADIWWFPGHRVAVYRTDDRVSVNATGDADTAEATRDAQIRCTAAAMAEVSFTDSSYGLTTAHGLLPTPLGQTAIGYHHHIQSSGSCLDDTESDRLAACLWDPHVPNGVSVFQAGISVPVSKVAGFIRDVQALRDLDPGALCGLEVYGGVLMRYVRASTALLGKPEDCVDFDMTYYRSRGDPAPPRLHEDALEEIEQMALGKYGGLPHWGKTGTPRSRGFGARAEKFLRVKGEYDRDGLFSSEWSDQVLGVNGGVSVVKDGCALEGLCVCSQHAHCAPDNGYFCEQGRVYTEARVCRYRDSY >Dexi6A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:6A:2468716:2473044:-1 gene:Dexi6A01G0002640 transcript:Dexi6A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEELAPVRDLLSMSTPSNFQEMDGVLVLAMCFLVFLPRWACGLGSMSSIAVSYGEDGPVFCGLSSDGSHLSPALVRMLPFATDRGAKYSELSAGDNHLCALRAAAADGIHGANDAGSVFNCGLFARNRTVFCWGDETVSGVIGLTPRDLQFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQATPSSAIGDGNVNIVPMDAMVSVVGGRFHACGIKSLDHQVACWGFTLHNSTSPPKGLKMYVLVAGDYFTCGVPAEMSLMPSHGYYEYVNHGEVSSSKVCECLSFCSSQKRRQKMTFQMRIFIAEIVFAVMLDNMRIQPDVENLEVRRAQEFSYAELEQATCGFSEDSQVGKDSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVFLLEILSGRKAIDMQFDEGNIVEWAVPLIKAGDIFSILDPVLSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPCGYVDNLF >Dexi6A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:6A:4722621:4724196:1 gene:Dexi6A01G0005170 transcript:Dexi6A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPFVRLSVGQLGLKLPGGNASTSKAAATRLCDCEIRLGGFPVQTAQVPLIHSSEFIDPFTNAAVFSLDESDLKAMASPGSFFRRSPRRSSSSCLEVAVYLARFGARCGVAWRKQLVGVFRVELASSEEWREGKPVLLHHGWAGIGKGEARPELHVRVKMEADPRYIFQFDDEIVLNPQVVQLHGSIRQPIFSCKFIRDRRPSQSDSLGGQYWSSSSGSEEKDMVEMARRERKGWKVAIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRADTTGSSDSWHPWGRLEAWRESSSSSPAPASSRDTVRLRLHLLPDGQINDCVLVSETPLSSEKGGEFSIDMDRELSPVMAAAAEHCAASLGEVCAGGGFVMSCRVEGEARSSRPLVQLAMRHVTYMEDAAMFVALAAAVDLSVKACRPFPRKPNKKTSSPSPDPLDELDT >Dexi2B01G0036210.1:cds pep primary_assembly:Fonio_CM05836:2B:43075865:43076482:-1 gene:Dexi2B01G0036210 transcript:Dexi2B01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVSCLPPALTFADAAIARALHHHLSTTTMSSDSASSSSSSLSAPAPHRHQCYSPLLLDLPSPAPPAAAPMMTCGDSVLVADSPPAIGRRHHNKLKLAPAGGRAGKRRSRASKRAPTTYISTDPTNFRMMVQHVTGAQGDDDDMRLLMLQQPMLLPGADDASPAAAAANNGHHHQQQLQLNQQQPCFPTLDSWNVMYESTDLL >Dexi1A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:1A:1105989:1106677:1 gene:Dexi1A01G0001650 transcript:Dexi1A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKDPRSMALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTHKYAMG >Dexi3B01G0023220.1:cds pep primary_assembly:Fonio_CM05836:3B:18015349:18015750:-1 gene:Dexi3B01G0023220 transcript:Dexi3B01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKVGSKLQELRLSLSRSRGGPSGASTQGGGGVHGGGGSPRRLSSSSSSTASPPSSCVSSEGSPESGGTGAAPSMILAGCPRCMMYVMLSREDPRCPKCHSTVLLDFNDGPAADPRHAGAGAKSKGRRG >Dexi9B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:9B:606884:608455:-1 gene:Dexi9B01G0001040 transcript:Dexi9B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLPSYLLALVPVLLLSSYLLLASTRRRRGSGVAAAKDVDGALPLPPGPAPVPVLGNLHLLGPLPHQSLRELARRHGPVMQLRLGTVPAVVVSSASAAREVMKTHDGDCCSRPSSSPGPRRMSSVTILWAMSELIRRPAMMKKAQDEVRAAAVGKPRVEADDMGKLRYLRMVVKETLRLHPPAPLLLPREAMRDVSISGYDVAAGTRVLVNAWAIGRDPASWGADAEDFRPERFERSGVEYNGGDFEFVPFGAGRRICPGLAMGETNVTFALANLLYCFDWALPEGMAPEDVSMEEAGGLTFHRKTPLLLVPTTTRHLP >Dexi7B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:7B:13237949:13240217:1 gene:Dexi7B01G0005730 transcript:Dexi7B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAARAADVIPTAMPELPDIAAELDALSSAPCVRAAEAEAAAAAAGDDSEGRRRRRAPLMVIGHRGKGMNALASPDAGMRGDVRENTLRSFNAAAAGYPAVGYVELDVQVTKDGCPVIFHDNFIYTEENGDISGKRVTDLHLDEFLSYGPQRSQEKAGKPLLRELKDGRILKWEVQAEDTLCTLQEAFDGVDRRVGFNVELKFDDDLSYTEEALTGVLQAILKVVFEHADGRPIIFSSFQPDAAQLIRKLQDKYPVYFLTNGGTQTYADPRRNSLEEAIKLCVAGGLQGIVSEVRAILRQPSAVAKIKDAKLSLMTYGQLNNVPEVVYMQHLMGVDGVIVDLVREIAEAVSAFSAAREVMGTEGCGEVEGSEKKAAAVAATPSFSAREMSFLFRFIPELVQ >Dexi8B01G0012230.1:cds pep primary_assembly:Fonio_CM05836:8B:21534849:21535486:1 gene:Dexi8B01G0012230 transcript:Dexi8B01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSPAKPGGPNMPPGPWQLPLIGSLHHLLRGLPPHHTMHDLARRHGPIMLLKICERNLIIISSAAATREIFYDAAFEQRPTTPCIDDLYTRNGMGIVFSPYGDHWRLLRRVLVMELLSSHRVDAFRRIHEDEAARLVSSLMCSRRERSRREAVRLVGDILQHHEERRAGGGGDGEQDMMDVLLRIQKEGTMGVSLTNGVIIAVLVVMQ >Dexi3A01G0031440.1:cds pep primary_assembly:Fonio_CM05836:3A:35897221:35898318:1 gene:Dexi3A01G0031440 transcript:Dexi3A01G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAMGLSKTVVDALANKVKSAISLEGRQWKTVKRELEFMIVEFEIMQSFFKVADEERAKNNLMITWVTQLRNLSYDLEDCIEFVIHLDIKPRWWLRLLLPPCSVEEVLLPVDEAVDQIQHLKARVLAMSERNTRYRLINEPGSKPDAQMQEPSAASATEFDILFKARKQSGFWDLTGLITGKDAGLQVISLCGTGGDLGMSSIIKKAYDNQKICNTFKLRAWVKLMHPFNPHDFIQSLETEFSINDSSDKHGAAEGVDFLKKMKANKDSDLVLDKFKKKVNLHKYLIVLEDLSSMVEWHNIRTYLPDMKNGSRIVVSTRQLEIASLCIGLHYQVLELRQFSADHSVFVFLKEVSHQKFHLKVS >Dexi2A01G0034810.1:cds pep primary_assembly:Fonio_CM05836:2A:44643003:44655822:-1 gene:Dexi2A01G0034810 transcript:Dexi2A01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSLRKPEENEEEDDIICLDPSFFVDRSYEMTTFTFGSHELRLLCLRAASIIELGSGIGITGILCSRFCKKVVLTDHNDEVLEASMGKQNKVGSGDAPYCDKYKTWTDDTTEFMLQWSESDSDDSLAAEHVENDSDTITHTSPSVEGSIAQSNGPAVVGFGSSMKRKNMKSPMKKHRKDKAKHAKALENDKIATSIVMLANSIASSGPTPKDPYANLWKRIEDIPFPPQDKVDIASFLSKPDQVYLRNYLNVASDQSFASWVTSYLGAKYAGGGGYTDE >Dexi5B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:5B:14227985:14230626:-1 gene:Dexi5B01G0016290 transcript:Dexi5B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNAQEELLQWYQENAKDNTKIIHASERCAAGIIQAIGHFKLGPNVSPRDVDFPYAKEASFKPKDAVVKFYILYEKWRRDEVSKSDSVIQYFKNITHANGVIIHPAGLECSVHGSIDALGSCYRAWVDRLVPIAGDVSVCSLSSLALSLKLESPEGFVVITHIHKTWLNGYSSGVEQASKL >Dexi1A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:1A:3891303:3892358:1 gene:Dexi1A01G0005320 transcript:Dexi1A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPFLGPMRVSLVCSANPMNHRPKNSNISRQQKGGSSRGKGKPYQDKDDSENIDEFDSDVMFSKNGPPTSLANNSRPQATSAPGEREKEIVELFKRVQAQLRARGKGKEDKKPEPAKVQGERGSVDSLLKLLRKHSVDQRRKGSDDREQNFDITRRSNDSGNRQSLAILGTKSDTQEDQKKPPPAPFKRPASNFRRRSPVPGVRFQPVINADAEADANRKSITNNVTDAVQKAKTALDERTTTDEPESVSPYEPDSEMPSENISLDDFDALSDDESDTEDFNDEEYSEAALENDDVTDIDESHVDGATENSDLSSLKVAELRELAKSRGIKGYSKKKKHELVEILSGMA >Dexi2B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:2B:20250509:20254003:1 gene:Dexi2B01G0012980 transcript:Dexi2B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEESRRHAQTRIPRRRWLDSPPIGRLQQGRWKQGRLAGGEEGVVLAIHGVVLAVGGGRPAGGGCSTRVSPVRAGDSSSSGGCSHGRGAGGAPARASGSSGGGGVASAHASTGSSSGGCIVDFCCGSNDFSWLMKEKLGEVQKKCYFKNYDLIQPKNHFCFEKRDWMTVKPNELPHGSQLIMGLNPPFGVKASLANKFIEKALSFKPKLVVLIVPKETKRLDQKKTPYDLIWEDSECLSGKAFYLPGSVDLNDKTVEGWNASAPPLYLWSRPDWTKKHMKIAEEHCHTSIGKIACHVDCLSDGPVREEAEPSDMIKTRLGKGKEDTGKESFHTKEENLLDDLLVRKQAEATNKWNSRSGKERKTDRTACNDTEANLPVDHAAKKQARSEERGITEKVAARVKEANMSDKLPVRKQTDPTSKAILGKEKENERYESRSDNRRKCTPDHVESLPPEKQVEVAYEERKFTIPRKESHHEHRGSCHENRRSSLGKETKSSRHNYEQIAVGMPNIKSMDGGDSDMIISSPDSSNARSKSRSYSPAMPTQVPYDRIVHADSYCPPKELCDPLLNRATYKGSCLPSNDEYFDALKYSDIDNSSRMRGASIDEVTKPYISGPTSMYGLQSRDDDSLYRHLSSDDLNTTFGRSLVPDVARQGHSIRYDDQTGGNCQVSRIPLTSGSQTHLSMHGGTGTNYLSARHSLGSSGPIFSQPASTTPSFGLSGACLQGGSIMHKYAYGLSGPSGPQSSIMDKYAPSLDGTFNIRPESSLPQQYPLRRPGYGGGWPQN >Dexi9A01G0046250.1:cds pep primary_assembly:Fonio_CM05836:9A:49632905:49633681:-1 gene:Dexi9A01G0046250 transcript:Dexi9A01G0046250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAIYDAGMNALRTAPPLSRPKYSPISVAVGHKHYVLDCSGDKDNCFEALVYEGGETSCGVYHDWRYHSLPSTPYRPGDIDAYAVVGGSDVWVSTEAEGTYSFNTVRGAWSKKGEWSLPFCGLAEYVPEYKLWFGISKKEDRNLFCAFDLAAATSRRRCTAPVPRNVWQDLLPRKGWLPVTSSLVHLGSGRFCIARFFYDEEQIEAQADDDPWKMFAVFAAVRPCGEAGKGLEMVRYRSECYSLRDGSLNQWVL >Dexi9B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:9B:647610:649961:1 gene:Dexi9B01G0001120 transcript:Dexi9B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLFLVLFAEGAVALLLMVKVGPLRELAMRTVDQVKTGKGPATVKTLACTLSVIFMSNVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLRKLMTLRKTSSTSREEVEKLQMENRSLREKEEKSSGEMKKLQREIAKLNESMKKLKLETEEHERKASAAEAHVNALQKQSEELLLEYDRLLEDNQILQTQLLSRG >Dexi6A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:6A:10142386:10143623:1 gene:Dexi6A01G0009120 transcript:Dexi6A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHCVVINVNLTQVRLIGYCIERSLFIVYEYIENGNLSQHLRGTGGYEPLSWARRVQIALDSARGGLEYIHEHIVPVYIHRDVKSANILIDKNCRAKVADFGMTKLIEIGNTSLPTRGIVGTFGYMSLEYGQYGDVSPKADVYAFGVVHYELIPAKEAIVRSAESFSDSKGLIYLFEDALSTPDRKEGLQRLRGLPH >Dexi9A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:9A:4738056:4740545:1 gene:Dexi9A01G0007980 transcript:Dexi9A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIILYILAITSTTSTNAIASQNDKFVPQDNYLISCGASASVQLDDGRTFRSDPESTSFLSTPADIKITANNFPTAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWIRLYFLPIPDKQYNLTTATFSVFTDDMVLLHDFSFIASPLNPVLREYIVTTQGDNLKIIFTPKKDSIAFINAIEVVSAPASLIPNTTNSLPPQEQFDISNNALQVVYRLNMGGALVTAFNDTLGRTWLPDAPFLKLEAAAKAAWVPPRTIKYPDDKTNTPLIAPAFIYSTAQQTASTNTSQAIFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGMMGVPNLDLSSLTMGLAVAYYQDFTVDSSSIINSTLMVQVGPSTTDSSYTDAILNGLEVMKISNQANSLDGLFSPKSSSQLGKRTLTGVGLALAVIAAALGMVICCRRNRRPEWQKTNSFHSWFLPLNSSHSSFMSSCSRLSRNRFGSTRTKSGFSSIFASSAYGIGRYFTFAEIQKATKNFEEKDVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTWYRKGELNKIIDPHIAGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTGNGIPMKSFNASGFDDMEKPSSAMPPVQGR >Dexi5B01G0018550.1:cds pep primary_assembly:Fonio_CM05836:5B:20863087:20865389:1 gene:Dexi5B01G0018550 transcript:Dexi5B01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVCITHLLVFMFIWNQLSRKMESNTSKLQKLHITSNKETDPVDESDYIDDEEDEVMEPQVTLGFIDEPEDPEDWHLLLPQHFPNKAGGAPAWLDPVNLPSGKSSCCDFCGEPLHFVLQVYAPIQSKETAYHRTLFVFMCPSMACLLLNQHEQGKDRARYPKRSVKVFRCQLPQDNEFYLHEEPEGCSESIGPQCAGGPHVQLCHWCCTWKGEKRCSVCRKAYYCSKKHQELHWRTSHKNECRQIIGASNDSASILPDAKKVLGGTAWPEYTLVDEIEKPFCSANCDGNSSELSVVQGKNKPDGMISLMDEFEADADNRCWASFLDRISRAPDQVLRDGKGREGFYRLPGGWGHPFVFFLLHAVFLFLRLIWHHLAS >Dexi3A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:3A:1805316:1808058:-1 gene:Dexi3A01G0002650 transcript:Dexi3A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGACSALARPPALLDYAAIHSCLLRGDARLSLPLLALLLLLHFRLLAASASARFTPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGPRGMPRAGLAAVISAGAFVSAFVVGAVALIAAPFAVPSASFARDVFFYLVAASGLFYVYLSAEIFLWQAVGLVLFYVFFVGLVFYMDLGAHDGKAVNNPAAEATMNLPVTVEDHKQRDPSLRTVLSKKEAPETENIASTLISFIMSVFWISTMAGELLNCLAAIGVIMNFPPAILGMTVLAWGNSVGDLVADVALARAGQPTIAIAGCFAGPMFNMLLDGHPVGGHLG >Dexi9B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:9B:6756379:6756636:-1 gene:Dexi9B01G0010730 transcript:Dexi9B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSTCTRKLLLAMAFVALLITAAHGARTEPRGGAPGSGGGAGVGAPRRSALDVDDSSLGVPSCCTHDPNTGGKSCCPQTPTP >Dexi2B01G0001450.1:cds pep primary_assembly:Fonio_CM05836:2B:953039:954283:-1 gene:Dexi2B01G0001450 transcript:Dexi2B01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPLPAGGAARTRIDLHLPGPAGRRAPARPRSTAAALTGRVRTGSPIRGGASRATRSPSPRRPQGSVQVRPTLTPPPPPPPLPEELVEEVLLRFPPDDPACLVRAALVCRRWRRLICGPRFRRRFREFHRAPPMLGFFVNDLGDSSFFVRTSATCPRVIINGVAVGARHGHDPYR >Dexi6A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:6A:22762536:22767915:-1 gene:Dexi6A01G0015340 transcript:Dexi6A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAPLGTLNLSEYAPAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPGSAHGTGETDNNQMYRGDIYMVFEYMDHDLKKVLHHSPPSQVKIYMSQLLKGLHYCHVNNVLHRDIKGANLLISGSKLLKLADFGLARPFTREGTLTNHVITLWYRFDYRAVDLIERMLILNPTQRISAHDALDAAYFID >Dexi3B01G0020150.1:cds pep primary_assembly:Fonio_CM05836:3B:15178075:15180466:1 gene:Dexi3B01G0020150 transcript:Dexi3B01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGTSRVVGNLAFAAVLTYLAVTGQLRWVLDAIVSLWLLTILLPILALGAFFFFAGQDILQGDCPNCGKSFQILKSALKDGPQLCPYCTQPFSVQGNKFVRESTRFSSGRGATATNGQVFNEFFNRGTRGTAPSGTIVDVEAEVKDVE >Dexi1A01G0015730.1:cds pep primary_assembly:Fonio_CM05836:1A:22799114:22803193:-1 gene:Dexi1A01G0015730 transcript:Dexi1A01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDQERERYGKRPEDNKDQPRDSFKRNGNNPRKRPNNTVATVQTFRAAQSPQQRREEFNKLLKKRCPYHPDSKHTMGECSLLWETFSKPTKIQKTDGDGDDKHDKGDGGFPDVTNTVNVIFGGMAVSDTSRNRKNARRKAYVAKPAVVTPLRWSDTPITWSREDQWAEITCPGRYPLVLETEVANSKLPKVLIDGGSGLNHIFAKTLKHMGLNTSKLQPADTPFYIIVPGKAAIPLGQITLPVTYGTASNYRTEFIKFEVADFETSYHAILGRPSLAKFMAIPHYTYLVLKMPGPHGVLSLRGDIKRSYVCDKEAVECAVRAASTIDRQELHPLAATVIEEDGDAPTQKKTRAIKPVDKVATKTVDLQTGDPTKTAESALVNFLRAQADIFATKPSDMPGVPRELIEHKLDLNESMKPKKQRLRRFATERREAIKKELAMLLAAGFIKEDPFGLPRIDQVIDSTAGCTLLCFLDCYSGYHQISLKEEDQIKTSFIIPYGVYCYTTMPFGLKNTGATYQRAIQGCLKDQLHRNVEAYVDDVVIKTRNPKDLIADLTETFDNLRKWRWKLNPAKCVFGVPFEKLLGFIVSERGIEANPEKIATIMDMEPPRTVMDVMKLTGCMAALNRFISKLGERETEFFKLLKKQDRFQWTQETQDTFDKLKLFLTTPPVLTTPLPGEDLLLYISATTNVVSAAIVGERDEEGHVQKIQRPVYFVSEVLSDSKSRYPQVQKILYAVLMTSRKLRHYFDSYKIIVITGYPIGEILHNQDATGRIAKWAVELETYSIEFRSRTAMKSQVLTDFISEWTEHNLPVVTTKPEHCIMYFGGSLKLEGGGDGVLLISPRGDQLKYVLQIRFAVSNNAAEYEALLHGLKMAITLSIKRLLVYRDSMLVIKQVNKDWNRNHENMDAYYEEVRKLEKHFLGIEFHHVERDYNIAADVLSKLGSSRAEVPSGVFVNEFSKPSIAAATLTDATTSTFKVMFIDVTWSAPIIDYILHDKLPTEKAEAQQIRIDEDIHAGECGNHAASRTIVGKAFRAGFYCPTALHDAEETFWDYCEDRSIEVCYASVAHPRANGQVERANGMLLDGLKARMERTLKKAEGRKATGQSPFFLVYGSEAVLPVDVMHGAPRVEEFQEAMADEQRTIEVDTAEEV >Dexi5B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:5B:1085780:1088603:-1 gene:Dexi5B01G0001730 transcript:Dexi5B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLVGGDEEDLPEDSILGDTEDLCSLSPVQRIYAFAACLVAGLALMILSFIVFVRPIKFAVMFTFGNILAIGSTAFVMGPQKQLRMMFDPVRLYATAIYVGCVILALIFALGVRNIQIVLFPPSFSQPYSLIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTEL >DexiUA01G0025200.1:cds pep primary_assembly:Fonio_CM05836:UA:52851553:52851783:-1 gene:DexiUA01G0025200 transcript:DexiUA01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGACRTGGDQGGNVPAAVAVLRSVPRPAPAMAADEEAAEIPGLGRRRVEEGRGEETRMVDGVAGSGLGEIRDSLK >Dexi9B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:9B:7321480:7326194:1 gene:Dexi9B01G0011500 transcript:Dexi9B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDVDDVLCNLRVVGVPTKSAIYIWGYNHNGQTARKGKECHLRIPKSLPPKLFKLGNGESLRWTDIACGREHTAAVASDGSLFTWGANDFGQLGDGTEESTKEPKKVKSLETEFVKSVSCGAHCTAAVAEPRENDGTVSKSRLWVWGQNQVCCRFRLSSPILGSFHTKHCYNECGQLGRGCTSQGLQGALVLTAYARFLDDAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRIVALDGVVVRDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQSGFFSCSHNGSDMLLRNIPVMVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDASSLKELCEFKLAEIVNRSNAELIEDVASRTGADSLARLCEKLREHVHEQGECELLEKQVPEEVEAKAN >Dexi9A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:9A:15850570:15857661:1 gene:Dexi9A01G0020810 transcript:Dexi9A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHIFHCRKNSWPAEEYVGRTALQLLDFDGGAPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMTLGVRLWSYVREEASHGRKAPIDPFTKERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCESSPVMENQFSVILQSYLTVYFSLILESCEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLSLLSFQKASSVIFMQDISVKMESSCGQSLVNTGKDRAKVSITLLEVFRIIQEATTMSPSLLKTEYQECFYITSKTAKDNPPVTFAVAACETQNVNVTVLPVFGLTGENHVSAKDMWNTMVQNGHFNQENFSAGSSMPSSPGQKLCAAVSASTWVEPHGRCTVAFALAWSSPKVKFQKGCTYNRRYTQFYGTSERSAVNLVHDALTKYKLWEEEIEAWQNPILKDERLPEWYKFTLFNELYFLVAGGTVWTDGQPPAIDEKASLGSKSSKRGTKDTKPESLKDSHVNLTSEQAPDSGHMTNGDERSVSKFAAVHGSQMQEQNGGLKSEEPIPYLISKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRVKFLADGASGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMQFGRDVWPAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHCLGERHFAEKYKLKFMKAKAVYEAKLWNGSYFNYDSGTSSNSKSIQADQLAGQWYTASSGLPPLFDENKIRTALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDDTCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYELFHILLSKSCFAAMQFLALT >Dexi8B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:8B:25781205:25781905:-1 gene:Dexi8B01G0015020 transcript:Dexi8B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGERWSLAGATALVTGGSKGIGHAIVEELAGFGASVHTCSRNAAELEECRRRWAEKGLVVTVSVCDIGDRADREKLMATVKENFDGKLDILVNNAGQTILKPVIENTAEDYSRLMATNLESCFHLSQLAHPMLLNATVAGGGSIVNISSIGTYMAVPDDEHE >Dexi6B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:6B:19530461:19531234:1 gene:Dexi6B01G0011960 transcript:Dexi6B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYGAATAGEVMRSNPGHYVALVVLRVSGVGANKPDSAAAAAGGGGGGGAKITKVKLLKATDTLMLGQVYRLITSQVSEIDELIKNPFPHCFSEVAKAIQARRQDKTRRCEDALDDDRRRPSQAAHDAAAGGEAQGQSGADQDLKRVEKERSRGRSGGGVRGRHWRPSLQSITESAS >Dexi2A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:2A:30771901:30774199:-1 gene:Dexi2A01G0018600 transcript:Dexi2A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREVVLDKYALELLCPRPATKKSNRRRAVKAPCHALARADGSDD >Dexi5B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:5B:507858:509314:1 gene:Dexi5B01G0000760 transcript:Dexi5B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSTLPSSRPRPRADDVRLSSPDTARYVAAAHSVLATSSSSLPVITRFEHSSPVVFGDGGEAQQPLATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNSTCPITRQRLHGGQLPKTNYVLKRLIAAWREHQPPPSPVPETTTTTMDDSPAPPAPPFIKAATASSSPSPDTSASHASAPSPTSVIAQATLETAVGELRAAVSCLCTSEDLAESEKSVLKIERLWREAVGGGDHAVVAALARPAVINGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVVLICLLSPTPEQLVEMDMAEALVSTIRRGDEDPLKMFIKPKTASVILLSQILVESAAVTGADSSTSPVPRTALLSERFVRSVAASLEAEQVEERMAAVRILLRCIWEDGHCRSSIADKASSLGAILDAFHAVGDADKFDIVRFLYELLKLKKFDID >Dexi9A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:9A:14578384:14579604:1 gene:Dexi9A01G0019640 transcript:Dexi9A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGSSNIGFQLLKKSGWKEGTGLGAQEQLKLFVEQGRLEPVETRVKNNKRGIGSKEPKLKPKVEDDVEKDPKKPKQEMQSKKRAKLAAKRIRKMHEEEKRLKEKEFEMAFFREFWPDNV >Dexi8B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:8B:17214245:17214769:-1 gene:Dexi8B01G0009660 transcript:Dexi8B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMRKRKPVATRAQQSRAKRESKPAARPRRSPRLATRHRLPCSSPRRGAPCRPHSSPSKGCWPTCQPSPPANLKIPRWALPGTPAAGRPGVASVGGSGGPRMRRWWGGDAASIWGKGYGAPWRDAGIGGWRDAASVGEGYCRPWREAGVGGEREAASVWEGEREVDARVWSEC >Dexi8A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:8A:17111174:17111569:1 gene:Dexi8A01G0010300 transcript:Dexi8A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEVWWLVIEGWVPAELLSTDTSYDVYLVYKLAYEHDEVDGVHTTDAIVSFVDEDAVRVDGVAYPVTRSEGWMELWLGEFHHMYDKSAIKVSVSEKTDTYAKKGLIIEGMEIREKSLAIS >Dexi6A01G0001330.1:cds pep primary_assembly:Fonio_CM05836:6A:1142464:1143922:1 gene:Dexi6A01G0001330 transcript:Dexi6A01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIERYKTASGAAALQGDGGGDHRMDPKQETMVLQQEINLLQKGLRANEHMTVEELNALERYLEIWMYNIRSAKA >Dexi9B01G0024050.1:cds pep primary_assembly:Fonio_CM05836:9B:19659051:19660609:1 gene:Dexi9B01G0024050 transcript:Dexi9B01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEHCLRLLPPVATPGLAASAAPFCCPLHRRGAVHQFRPPRAPALRLGGKPSSAVRASAGPDAAVAPAPPEMPQREVARALAEKAVARLGPRLLPSAVPEDVAEFRNGAGNAVGSLDVRRGAPGSSIDFMLESTLHCKVPNGAIDITSILIFLNTMTDAPHFLLELIQGSSTSIVVILDLLPRKDLALHPDYLQKYYENTRMDEQRSKIEELPQARPYRSPSLFVRSACSPTAVMVSIDCGQGGEGTLEEIVHGQLAAVSKEFLQIWLDCCADSTAEMDDAERDCLLKRDQIVRSKSIEVDLTASLPRMFDPDVSSRVISEIRKAFGVQEP >DexiUA01G0024080.1:cds pep primary_assembly:Fonio_CM05836:UA:49420517:49421779:1 gene:DexiUA01G0024080 transcript:DexiUA01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAINRYGEQLHERFKLAQAAFSSLNDRTQESMTSIRMIKAFGLEDRQSALFAADAADTGAKNMRVARIDARFDPTIYVAIGMANLLAIGGGSWMVGSLTLGQLTSFAMYLGLMIWPMLALAWMFNIVERGSAAYSRIRAMLAEAPVVNDGSESVPDGRGVMNVDVRKFVYPQTEHTVLENVSFTLKPGQMLGICGPTGAGKSTVLSLIQRHFDVTQGDIRFHDIPLTNLLLDDWRGRLAVVSQTPFLFSDTVANNIALGRPAATQEEIEHVARLASVHDDILRLPQGYETEVGERGVMLSGGQKQRLSIARALLLNAEILILDDALSAVDGRTEHQILHNLRQWGDGRTVIISAHRLSALTEANEILVLQHGHIAQRGQHEQLAEQPGWYRDMYRYQQLEAALDDAPEQDEENANA >Dexi9A01G0030050.1:cds pep primary_assembly:Fonio_CM05836:9A:34976847:34981434:-1 gene:Dexi9A01G0030050 transcript:Dexi9A01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAGAGPHHAAEKPPRNRPPPPPTNRTPAFSLNSHQAAAAPSARAAGGAGGGDAPEFAEFCLAELRAATGGFAAGNIVSESGEKAPNVVYKGQLKGPGAAPPRAIAVKKFAKLAWPDPKQFAEEAKGVGSLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYISQALEYCSIKGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHVPSYVMLGIPKPVEVPQAPPTPQRPLSAMGEACSRMDLTAIHQILFTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFKDKDFKAAIDCYSQFVDVGTMVSPTIFARRSLCYLMCDQPDAALRDAMQAQIVYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQKSTKGP >Dexi7A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:7A:24631372:24635929:1 gene:Dexi7A01G0014700 transcript:Dexi7A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAPTPDILGERQSGQDVRTQNVMACGAVANIVKSSLGPVGLDKMLVDDIGDITITNDGASILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVKNKIHPTSIISGYRLAMREACKYVGEKLAVKVDKLGKDSFINCAKTSMSSKLINSDSDFFATMVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSVKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQVGIQVLVTDPRELEKIRQRESDITKERIEKILKAGASVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMITTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEEGNEEE >Dexi9A01G0024700.1:cds pep primary_assembly:Fonio_CM05836:9A:21250670:21253434:1 gene:Dexi9A01G0024700 transcript:Dexi9A01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETAAGQGQERKPVLPVAGRRNVLVTSALPYVNNVPHLGNLVGCVLSADAYARYCRLRGHNVLFICGTDEYGTSTEARALQEGCSPREICDRYHALHRKVYSWFGISFDHFGRTSSPEQTEICQDVFRRLLDNGWLLEKTIQQLHCGGECQRFLADRLVEGSCPVQGCGGGLKPRCITRDLKWGVPVPHDKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLLKTISVTEYLNYESGKFSKSKGIGVFGNDARDTNIPPEVWRYYLLTNRPESSDTQFTWTDLQAKLNNELVHKLGNFINRVLSFIAKPEGVGYGSIIPPATNAESHPLTQAISETVGKLVDQYIVAMDKVKLKQGLKITMAICSEGNAYLQDSQFWKLYKEDPPGCATVIKTSAGLVYLLACLLEPFMPSFSKEVLQQLNLCPEEHLSFCDEKGEREKAKRPWDLIPPGHRIGKPAPLFKGLDDKAVKDFKEKFAGSQAERRLRAEVATQLEETQLSS >Dexi3A01G0028720.1:cds pep primary_assembly:Fonio_CM05836:3A:29604230:29611205:1 gene:Dexi3A01G0028720 transcript:Dexi3A01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGGGTPDATTVAAATHGSGGWSLGSVFSWATGGGRRRRRRGGAAPNGGSPAGGGGVCVPWSGCELVAAPGPGGGGADAAVYLTWEDVEVTVAGPRGAPAVEILSGVSGYARPGEVLAIMGPSGCGKTTLLDTLAGRLGPGMDKKGLILINGRQEKLAYGTSAYVTQDNVLMSTLSVREAVYYSAQLQLPDTMPASEKRSHADRVIREMGLGDAMDTRIGGRITKGISGGQRKRVSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARIAVREGMTVVAAVHQPSGDVFELFHGLCLLAAGKTVFFGTISDATEIFRKERLSGHYGVSEFVISNTLSATPYLSVIALLPGAMLYYLTGLTKGVDHFVYFVIVLCICCLLVESMMMIIAVLVPDFLMGIIIGAGVQGVMMLNGGFFRLPSELPKPVWKYPCYYISFHKYAVQGFYKNEFMELSFPSDQLVESNVTISGIQVLKTKLQVEMGYSKWVNVAILCGMMVVYRLLFFIIVKITEKIRPKLGGRRGCVR >Dexi5A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:5A:130374:131437:1 gene:Dexi5A01G0000130 transcript:Dexi5A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKDSNASALDVNPEPMDQTEDNSMPTAQQQEEAIKKKFGGLVPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRSRRSIYTSSENEDGDGAGAEDMNIN >Dexi2B01G0034580.1:cds pep primary_assembly:Fonio_CM05836:2B:41820882:41823080:1 gene:Dexi2B01G0034580 transcript:Dexi2B01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEAAEEQRMRREVEVTVMLWFQDAHQVVRCPNCGYGAGLKMVVPARRRRGATQGDCVSVSMRALAVVGMLIWLIDGMTKIYFNLGPERRWIFYSVVIEGIVVMVGGGLFLFRSCA >Dexi5A01G0023010.1:cds pep primary_assembly:Fonio_CM05836:5A:27119297:27122605:-1 gene:Dexi5A01G0023010 transcript:Dexi5A01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQAAPLPAPPSGRGEVVEADADAEAEDQEERWARLLPELLSDVVRRVEASGGERWPGRKDVVSCACVCRRWREAAVAVVRPPAESGKITFPSSLKQVRTGAAFCAELSRSRPGPRELPMQCFIKRNKKNSTFLLYLGFTNFASVKNFQLVAAADINDPPGTVDEETVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLACE >Dexi9A01G0021430.1:cds pep primary_assembly:Fonio_CM05836:9A:16315242:16317043:1 gene:Dexi9A01G0021430 transcript:Dexi9A01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNKFLDKIGSYPHCFMTDTRMGKLAAIGRFFCFVQVNQSTVGIKERFGKFEEVLNPGCHFMPWIIGNRVTGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKAQIQSYVFDVIRASVPKLELDDAFEQKNEIAKAVEEELEKAMFAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGAASKSSAVFLPHGPGAVADIAGQIRDGFLQSSTQQAK >Dexi7A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:7A:26096946:26101018:-1 gene:Dexi7A01G0016210 transcript:Dexi7A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATALANGDSQSGPQRNYQVVVAATREMGIGKDGVLPWKLLGDLKFFKELTLSTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMQSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIQSSIDCDTFIPSIDFSVFQPWYSSFPVVESNIRHSFITFVRVRKSVAETHDSNGKESTEVDAKNKFEIENFSFIPKMIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPLYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCGMLLYAFIILKINPSKKDIDSFVASDFKLVGYDPHQKIEMKMAV >Dexi9B01G0038760.1:cds pep primary_assembly:Fonio_CM05836:9B:39697774:39698267:-1 gene:Dexi9B01G0038760 transcript:Dexi9B01G0038760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVEYEGKETQFTPEQISAMVLAKMREVAEAYLGTTVKNAVVTVPVYFNNSQRKATIDAGAIAGLNVMRIINEPNVAAIAYGLDKMPINDGARTVLVFDLGGGTLDVSLLNIDPGVNLGTGGTGRFEFDVKAIAVHQETQED >Dexi5B01G0027310.1:cds pep primary_assembly:Fonio_CM05836:5B:28921603:28924657:-1 gene:Dexi5B01G0027310 transcript:Dexi5B01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARCPLPEIPNTPPPRPRTWHPRITALAHSTFPAPTPARPLPTPLRARALHLTIPTRRREHGDGPGRMACAATMPIDLSPAPTAVAGELKAVEDEEEEVVEVEGGGCGGGAVVVAAADAEGHPYDFHVSGPRNLPPPNWKEIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDFILIRPSGAPRAVLALRGTLLQKPTMKRDLQDDLRFLVWESLKGSVRYIGALAALKSAVEKFGSANVCVSGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLKEVATTPLGVRSMSEKASYLWKKVKASLPLKEVATTPLDSANEEASDKKKLRAEKKWVPHLYVNNSDYICCHYNAPSCSSTDGASDEQQQQHKASEIAGDVVAKLFVTSKGPQKFLEAHGLQQWWSDGMELQLALYDSKLINRQLKSIYTTAAVSSPAKS >Dexi3B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:3B:10492185:10493468:-1 gene:Dexi3B01G0014540 transcript:Dexi3B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRQLLPLVAVLVAAAACSAAAQPATAEAAPDSSPDVGGIKVSFRPSVAIVVGIFTMIFSLTFLLLIYAKFCHSSSSPLPTAATAAPGINGAAAAAATQAGVPKPVIEALPFFRFAALRGARQGMECAVCLARFDDADHLRLLPRCRHAFHLACVDRWLESNTSCPLCRTRVDAEDASLGLKYPSSARIVFGSGDGMSSSGRLDDGDAGSGRDLLDIFVERVPSARFAASKRRSWADEAPPELDRHKHRIIVSDVVFKSRWSELHSAALIALDTEMLRSMSSGRFSLPSDSPEHNEAAKLSTSAAAAAEEEEADGVVETTRGETERKRLLVDGWLRGGRCSTSAAVDAVAPSAARMISSGVRSVSEIVSLPRLRGAARERLSEEENRRWLPIARRTARWFAGRARGEREDDDEADAGVHVVAAHV >Dexi3A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:3A:6530979:6533740:1 gene:Dexi3A01G0009330 transcript:Dexi3A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCVEPPVAEQGVEEDRKQEDSQMKGPICDEDAKQEADCSPQSYSNDDCSDLEPFFFDEAAAVTDYERRMRTEQAEAVLDLIRDYDPKNGGIYYTRIYFVDLSTFDLDEESPLGPMRETEALIDVCGTLCKERKQLLHDNSANMLAADSGKQFVPCDSVNVLSVKIDSDVGYMIDVYGTVIARDSLDLKCVYLFRRDRDHSQLILSKDDSLILTGPKRGLALMDDIYFEIDLKIKGGRKRKDKQLSKGYVILDGIPYKLEKRMVVQKGTLDTKLSKVVITYAVVKYAVEATCAIEVLCGSFYGEIIACTTSIRDSIVLYDSKVAEPMAGNGKGVIQMLQNVVAACLEEELLMTIIARTVDGKAKSTTIKFTPGVSGGGEKEITCGSIKMRVKVAWSIISRDYVYR >Dexi9B01G0034730.1:cds pep primary_assembly:Fonio_CM05836:9B:36646245:36648276:1 gene:Dexi9B01G0034730 transcript:Dexi9B01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFYQSLLLSVVAVALLQLVKLALKPRPRLPPGPWKLPVIGSMHHLINVLPHRALRDLADAHGPLMMLQLGQTPLVVASSKETARAVLKTHDTNFATRPKLLAGEIVGYEWVDILFAPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVRLKVEEIRAAGPSTPVNLSVMFHSITNSVVSRAAFGKKRKNAAEFLAATKAVVGLSSGFNIPDLFPTWTTLLAKLTGMTRSLKEIHRTVDTILEEIIEERKGIRQDKLRSGVSADDVDENLVDVLIGLQEKGGFGFELTNSIIKAIILDMFAGGTGTSGSAMEWGMSELMRYPAVMKKLQGQIREAFKGKTVVTEGDLQASNLQYMKLVIKEALRLHPPAPLLVPRESIEACELDGYTIPAKSRVIINAWAIGRDPKYWEDADEFKPERFEDGSRDFTGGSYEFLPFGSGRRMCPGFNYGLASMELAFVGLLYHFDWSLPEGVKEVDMGEAPGLGVRRRTPLVLCATPYVPVAA >Dexi8A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:8A:28571578:28573886:-1 gene:Dexi8A01G0016940 transcript:Dexi8A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLHLLPNGRLTAALLLLAACLSACNVQAVTSAEASFIAHRQLIAMKEAGGGESGDLPADFEFDDRVGANFPNPRLRRAYIALQAWRRAFYSDPKGYTSNWVGNDVCRYNGVVCVEALDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSLLHEFDVSNNRFVGPFPFVCLEMASLKYLDIRFNDFEGELPPGLFDKDLDVIFVNTNRFVGHIPENLGNSTASVIVFANNGLIGCIPKSIGRMVKTLDEIIFMNNKLEGCLPLEMGYLQNTTVIDQECVCWLPDTRSWTAGEAACGQGATGAGGVTRATIAAIDNSGDTSGCEATACGAAARCSAAAERRLAPGVTGAPQGATATGRASGERKPTTGAGDIYSSDDTTGEIDSSANSGEVYSTTGDSRKLASGTSEAFYSSTSTCGSTATTCKGSTGTGGSTATTGEGSTGANKAIYSSAGTCGSTATTCKVYSYASSTGCLATTAGEVSPTTSSIASSASTGGLTATAREISSTTGTRGISASPGEIASTTSSGELATTSSKISTSTSTRGRSHLHQSNHLQLLRSHQLRSSHLQLQRSHKQYHQIRATYTVDLITSFGGEVFAAT >Dexi7B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:7B:23144056:23146126:-1 gene:Dexi7B01G0017180 transcript:Dexi7B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIAKPLLSDLVAQTGQVPLSHVRPVGDRPDLANVDKESGAGIPLIDLAKLDGPERHEVVEAIGRACESDGFFMVTNHGIPAWVVEGMLRVAREFFHLPESERLKCYSDDPKKAIRLSTSFNVRTEKVNNWRDFLRLHCYPLESFVDQWPSNPPSFRQVVGTYATEARALALRLLEAISESLGLERSHMVKAMGKQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPNALVINIGDQLQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPADALVDGGHPLAYRPFTYQEYYEAFWNMGLQSASCLDRFRPG >Dexi5B01G0033620.1:cds pep primary_assembly:Fonio_CM05836:5B:33997811:33998221:1 gene:Dexi5B01G0033620 transcript:Dexi5B01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi6A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:6A:28576168:28579156:1 gene:Dexi6A01G0021260 transcript:Dexi6A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAPAAAPPAAPLLLPCRRAGYPGATARASRAASLIAVRAQPDTTSAASSSSTSAPEPPLPEFKPPPGFKTPEPKRFEVKDGQQGSVLGASLAIPLRLGTGVFVLGYRITILHYTTLHALRFITLASPITIAFDSMRRYSPSFVSPSEIPSDQYALEFGSWKVKEESKIGQCKRPEKPIEIYEFEGCPFCRKVREMISVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDDIIKYLADTYGDGTVPIMLSLGLLTAITAGLATLGRLGRGNSYTASKIPPKPIEIWAYEGSPFCRLVREVLVELELPHLLHSCARGSPKRQEFFKKMGTFQAPYIEDPNTGVKMFESAEIIQYLKATYALYPSS >Dexi1B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:1B:6971510:6972708:1 gene:Dexi1B01G0008300 transcript:Dexi1B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVAQFIPFFFELRDLATPFDAYVRSLTPRPSCVISDWCNPWTSGVAKSLGIPWVFFHGPSCFFSLCDLMAANHGLHERITSDDDEEKQYAVPGMPVPVTVTKATAPGFFNHPSIFVLRDEAMEAMRTADGVVVNTFVDLEREFVECYEKALGKPVWTLGPLSLCNRDAEAMATRGKAATVGKEAITAWLDGQAPGSVVYVSFGSIARKLPKQLFEVGHGLEDSGVPFLWVVKEHEVDASPEVREWLEALQSRTAGRGHVARGWVPQLAVLSHLAVGGFVTHCGWNSLLESIAHGVPVVTWPHFADQFINEQLAVDVLGVGVRVGVTSPVMLFGDEAVVVAREDVARAVSALMGGGEEADERRKKAREYGEKARRAMEEGGSSNLQPA >Dexi9B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:9B:1194632:1199757:1 gene:Dexi9B01G0002130 transcript:Dexi9B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAASADGGAFLEFVDYAISMLSSAGGDGDESPGDGPAPARPPWGWAVAQVLKSCCAYSSGVTAAIILSDLFQSWSEQRKSLTSKRKVELTKLINTRNKRRRLPNTVTIDSIHEKNFLSPKSVLEAVVIDVFVLPGTNIYMLTLGDMWSASTIDLYLHRRFYDYIGQYGLLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVILLDEAKDEDAMLLAAQFCAYSFSSMISEENRHNVPYSFYARIEKIESLEPFRCTERKQIVLVDSDDTKMGFILWGEQVLLANLFSVGSMLALDRPYIANCVDSNHEESQELCLEYGSATQVYVVPIAQQEEQVYVNDLHDKMVGVSLFGTITSVCKASTSGTTFYLELEDTTGVALMKLIFTETWYHISYLSDGLFIYFLKPADNLISDGSCIFCYISLIACQCINVFFLCRSLGRLGVGHMVYISGLTCTMSSTNILEVSWREKEPGSLFVNLSLLPALLNSSCLHNLAPLSDLPRLTNRTHISPDEYLELPEVQTLKLVDRIMPK >Dexi9B01G0045880.1:cds pep primary_assembly:Fonio_CM05836:9B:45313589:45315825:-1 gene:Dexi9B01G0045880 transcript:Dexi9B01G0045880.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKIQSPSERIEKEDSQLPSNPKEVEALRKDTARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYLTKDLREGLEIEEPLRVAVKVHDGDNSYQGHREWLEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPLLTQKKKVLSIVDPRLTEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDVVATLEPLQQQLEENSSDSLAGAT >Dexi9A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:9A:7829363:7830709:-1 gene:Dexi9A01G0012380 transcript:Dexi9A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQGDEYYSDTDSDDDVDRYVFLARHPTPAAARHAEDDDRGASSENHDDDDGDGDATEEDEKEPERGRGVKRTKVNPLREISDDDDVPPPSPNKKARVVELTAPQFVRTQLMSNSGSETESDSAASPFGVRAEGESRSEGSHHEKAHHEHRRDPGNKKRGGVCMAMRRRRGPGCDEDGDRESHAAAAAAKIVAKSGALPTTVTSGRFLCPECERCFDNHQALGGHVLGHRKNEKIAIAAAAAATSLYLDDAGGGAANYKEETTTAVAEVNNEEKIANGIAQADMMGVVAARRGKGNGRHGKIKTVDFVAEHRDEDVDDDHANDGNPGFGNKGNAKVVSGGRDFTNGKSNDKAGAAIPSKKVVVVGTCHEGANGGDGNLICSTTLYKCKVCGAECTTGRALGGHMRKHRKRPPPGGEEGRSPSPPTDDDYQMTLARLFGAENKIGLV >Dexi4A01G0012930.1:cds pep primary_assembly:Fonio_CM05836:4A:11817279:11817573:1 gene:Dexi4A01G0012930 transcript:Dexi4A01G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGAPRELSPLQKARALYQPELPPCLQFATPLPVPVIPPLWIRVAAVHAGFPPPPCMSGLCAG >Dexi1A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:1A:17529810:17530364:-1 gene:Dexi1A01G0013370 transcript:Dexi1A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLAAAYELAPEVFALLLENAALAPQFRSDLPLLLANLSSNAADQAATREEEPSATPSSSACEPAAAPTNREPVAHTETAPMEARARPNPTESAPWRRRDGPTLLERWSSAAGHGNGT >Dexi4B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:4B:2407314:2407688:-1 gene:Dexi4B01G0003430 transcript:Dexi4B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQARGEVDDGPSSLLTKLGVGVLTFNSAAAAYRSRGDPASLAFVAAAYAALLLLLHSLRRFERAPPGGRGRAKATVWVLTTLLTVMFAAKVAPLMPQTVAAAVWAAAAATAGGGFWVLFLGR >Dexi3A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:3A:10360779:10361301:-1 gene:Dexi3A01G0014220 transcript:Dexi3A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSASAAAPRRHRLIHLCNVVVVIVAVALAVVVLAVVLDVALLVVVVVAVALVVVAVAVALIVDQRRCCGVPGLRPDDADDVGWWSSSSSTAADATVDGSPPSRSSPPLVMRAVPSATLACCVGC >Dexi9A01G0040520.1:cds pep primary_assembly:Fonio_CM05836:9A:44235754:44238517:1 gene:Dexi9A01G0040520 transcript:Dexi9A01G0040520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIGYPEIEDNAKPRHRFMSSYEQKVETWDKRYQYLLFAAEPYEIIGFKVPSTEIDKSADKFFSYWDPDKKEYLLQLYFKPRPPEANKPPPAPPGTLPNGTGGPAPPRPPGQIPPPPPQVPPPPHAPPPAPMGIPPRIPPPPIGGAQPPPPPPPVSNGPPRPMIPPPPNFTHGAPPPRPPMQGFPGQQQ >Dexi3A01G0032910.1:cds pep primary_assembly:Fonio_CM05836:3A:37747327:37747749:-1 gene:Dexi3A01G0032910 transcript:Dexi3A01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSFDGSVVLGPPELYTTMHAVSVSTGAHTSGGTRLVSPLATHSSDSTSASFGSGTMTLAPSWRSSSDLSAWYATSTRIVNGDPESINVPAHCPGATCRRSNAAAGTSSFTCPTEIPVRGKK >Dexi3A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:3A:3713874:3714239:-1 gene:Dexi3A01G0005730 transcript:Dexi3A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAASLMATETADQLAGGQRQGRRQQGRRPPVASLRQQSTAAAKGQRRQPPPPPAGGLSAEAFLVLACVAVSLTVLPLVLPPLPPPPPLLLLVPVCLLLLLAALATFVPSDVRTMTSSYL >Dexi9B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:9B:9690613:9694397:-1 gene:Dexi9B01G0014460 transcript:Dexi9B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRHASVTATGPDAPVSTVNRRLVGISISISSPPSVSYGRGSTTSEPFPMETSTISFSSPSSSPQSPPPAPRATPAEAELEALRLRRLSDNLERLLDPAFLDCADAEVALTAGKGGAAVGVHRCILAARSTFFLDHFASLPPPTAVGEKPRLNLADLVPGGCHIGRDALVPVLGYLYTGRLKPPPQKATVCMDDACGHVACRPAIDFVVESMYAASGFQISELISLFQRHLSDFVGTALDEDVVPIVHVASTCNLQDLLNQCIERIAVSTLDSRYLEKELPDDIYGKIKEIRQSVFHDDSENAVQDPEHEKRVRNILKALDSDDVDLVGLLLKESAVTLDDAFAVHYAAAYCEPKVFAELLKLESANVNLKNNSGYTPLHIACMRREPDIILSLVERGASVQERTLDGRDALTICKRLTREKDCNRKLEKCEEKSKPYLCIEILEQELKRKSIIFDPISMEESIATPLLVDNFHVRLINLENRVELGRRYFPNCSDVLDNFLNEESTDLIFLETGTPEDQQVKRMRFSELKEDVRKAFTKDKANVQAIASSASSSSSPRCEGRSRQSNRRSRASR >DexiUA01G0002870.1:cds pep primary_assembly:Fonio_CM05836:UA:6109361:6110394:1 gene:DexiUA01G0002870 transcript:DexiUA01G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 11 [Source: Projected from Oryza sativa (Os03g0132900)] MRRKVFALLAIAGVVLLAATGGASGQQGAGAIITRQVFEGMLSHRGDSGCQGAFYTYDAFVKAAARFPAFGATGDAQTRRRELAAFFGQTSHETTGGWPTAPGGTFAWGYCRVEEQQQTDPPYYGRGPIQLTHKYNYDLAGKALKLDLVGKPSLVSSDPVVAFETAIWFWMTPQAAKPSCHDVITGRWTPSTGDRAAGRLPGYGLLTNIINGGLECGKGGQPTDGDSNRVGFYRRYCKMLGVTGGANLNCQNQKPYAPAG >Dexi7B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:7B:11691049:11692479:1 gene:Dexi7B01G0004720 transcript:Dexi7B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTFVVLSSPSMAREALSENEAALASRFVPDSVRALAYGAGSMAFLPSSDPLWKHHRVTAGVFLTSGKGLAATRPVRDRHAPRLAVRLRGCSGRPVMVGEAVFAAANNAISNILFSEDVVVEDGLLGVQGVLRRRPAFMDTVAALFEEWAKPNVSDAFPFLAPLDLFGSRRRTSRNLARLYEMFHGFDMFIGASETTNITVEWAMAHLLRHPAKMEKLRAEITAKLGPKDFVEEADIGDLPYLDAVVKETLRLHPAVPVATREVAADGVSLGGFPMAIGTCVLINLWAIGRDPAAWPDQPEVFMPERFMGGGGAAAGALGFRGSSDFAYRPFGAGRRMCPGMDFAARFVPLVLASMLHRMEWRLPETEGVIGTEGVELGDHCTLVLKLAKPLVAVPEYTA >Dexi3B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:3B:2945608:2946780:-1 gene:Dexi3B01G0004260 transcript:Dexi3B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKADGSGEASRSASAIVAHTARGCHILKIDGYSLTKNLPTGEYIKSTPFTVGGYRWYLRYYPNGVDSKTADHIAIYLNFDETVAKAVKAQYQFRFADEVDEDPMELDEVDNFDSRSGWGYTKFIKREEFEASEHLKDDSFAVRCDIILVSEFHAEETSLAIVEVPPSDIQRHLADLLHAGRGADVVFNVGGVAFTAHRWMLAARSAVFNAELFGMMKESDTRGVVHIHDMEPRVFKALLYFVYTDLFPDMTGEEDDDAMVQHLLVAADRYGMERLKLMCEEKLCKHIDLDSVAIILTLADQHHCCGLKKSCFDFLSSRENLRAFVASDSFQHLSTSCPSIIKELILSFQW >Dexi3B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:3B:10724891:10732077:-1 gene:Dexi3B01G0014900 transcript:Dexi3B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTASLMNYVQEHLDIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLENTDVLEMIVDKFSSSIVEFISVLLTIGSEIAEKELISQSAIRNCIDLFFQYPYNNFLHHHVENIIFSCLEVKRNQLIDHVLNDCDLVRKVLAAEKKSSLSADSNGPTLPSEGKEPPRIGNIGHITRIANKLIQLGNSSSVIQSHLQENSEWAEWQTGVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSPSPNSEETSLNMEETDEVLIGEATGTESQLESAFLENGPVEETKELTEDAKRNDASTDDEKMLCVEEENVSQESEASEQHVDVQDDQTDTQVGGASEGLSIDTAPDEPCSSSEPDNALPGALPESGEDQSADSSGPSEAAHESGSPAKVDDEEKVEVATTNE >Dexi1A01G0026910.1:cds pep primary_assembly:Fonio_CM05836:1A:32777225:32778313:-1 gene:Dexi1A01G0026910 transcript:Dexi1A01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPAAMWAGLALAVMLLAQSASSAAAGLPRFAEAPEYRNGDGCPAPVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAEGGGAPPVADLRAAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTEAFWNDPVLGARVFARRRRAPCYFNTGVMVIDLRRWRVGNYRQRIERWMEMQKEKRIYELGSLPPFLLVFAGEIEAVDHRWNQHGLGGDNVFGSCRPLHNGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIGENDSSASGKSRSALS >Dexi9A01G0046260.1:cds pep primary_assembly:Fonio_CM05836:9A:49638192:49638473:1 gene:Dexi9A01G0046260 transcript:Dexi9A01G0046260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTARAAAVAVLLMQCCSVMMILAARPLPPAVGGGWRLGQGAGAALILPALDKSPAGGSSEPGQPNGCNTQSGQHPNLGSCPPPPSKKELA >Dexi9B01G0028620.1:cds pep primary_assembly:Fonio_CM05836:9B:31252811:31263107:-1 gene:Dexi9B01G0028620 transcript:Dexi9B01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAPPPPTASSSSSPLFGGGEQLFESGPSPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLIPPIPTAPPLRPEVPERAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGEPVEELEEVFYEEEFDPIKYILQSIPEEGGDATYFDKQSTLRLAQLDKIAERLSRHVMGHHEEMVKGMQLVMELEQDLTVANVICMNGRRHITSSKNEVSRDLVVNVKSKKKQALLDVLPILAELRHALDMQMELETYVEKENYFQVVDAYALMGDVSGMAEKMQSFFLQEVLSRTHYVLKEMLEEEVGNNTQKNRFTYSDLCVQVPEPKLRPCLLKTLESLFSLMCSYYAIMSFSAGDKNIESKGDGPDPADKNNSSQNSDETLVDSGGGHSSVADIQDGSAAERSNMSSSSEALKMVLERESWTIMSAEASQIISLAGLTGDGAALCSPTSRSSKLPINSYHGNSTTAHSGKQNLGFASWLKIENPFSFKLENGSSESPRSNTPFDSSVNNNHGNGNNSSLDEENEDLLADFIDEDSQLPSRIPKTKMVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLDIVNVELFKGISQLFGIFYHYIYETFGHQDRSQSGKPLPDHKSSRLKIALSKTTQDSDQWTKPHNVSYSPSSPSPMNSTFGQMDVMPTAPPSSMFTSYGLKVDSVPDLTEHIHRMSARMLLHIDGYPDKIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLDHGGISKEVSVRELTTNVRPKLQTVDTFIKEYSKSQVVGLVNLVATMKGWKRKTRLETVEKIEAAP >Dexi2B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:2B:1050987:1052126:-1 gene:Dexi2B01G0001590 transcript:Dexi2B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGWGKAACSHEDIKKWTGADVFRPGELVEWRAPTKDETPSKLTLEDGFLRDNVKRNYPEYYLKASQSVWTCRWFYAKLPLSCRLAFKGNALKELDDWNWKEVLLLSPEQEKQVHQIRQLSIQGLTAVDIVRHYLKHQISPLRQRSHLAGNYIGPADPTRDSDKGIPSFSIKHD >Dexi1A01G0022040.1:cds pep primary_assembly:Fonio_CM05836:1A:28756316:28764903:-1 gene:Dexi1A01G0022040 transcript:Dexi1A01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTSTLLSNIRGVSEWRLGGFWSTLIDLDKKTYELAGNSPANVPGSYKDPAFGWMASLLLAICFAGLLSLIPLRKVQVSISLYVPSNFFVLEGCMPSGKALVIDYKLSYPSGTAAAILINGFHTKQGDKNAKYKQATPCFAYAHYHCIGPFFIWSFFQWFYTGVANEDNMIALDHLQRDKVFNQDNVPTWIVYTGYTLLSIIAAITVPLMFRQVKWHYVIVAYVVAPLLGFANSYGTGLTDMNMAYNYGKIALFIFAAWAGNHNGVVVGLVSGTVVKQLVIASADLMHDLKTGHLTLTSPKSLLVAQVIGTAMGCIIAPLTFLLFYNAFDVGNPSGYWKAPLALVYRNMAILGFGSFMLGLNRRTYEAAGVNTPGNMPGSVKEPGIGWISGFLAASSFGGLLTLIPLRKALVIDYKLTYPSGTATAVLINGFHTAQGDKNAAKQVRAFLKYFGISFLWSFFQWFYTGGNVCGFAQFPTFGLQALKQSFFFDFSMTYIGAGMICPHLVNISTLLGAILSWGILWPLISKRKGDWYPADVPESSMTSLYGYKSFLCIALIMGDGIYHFLKVLGITAKSLHERSKLRRDNSRDNAAAIDDMRRDEVFNRDHIPSWLAYAGYATLTVVAAVAIPAMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGKLALFLVFISADLMHDLKTAHLTLTSPRSMLAAQAVGTAMGCAVTPLTFLLFYRAFDVGNPEGYWKAPYALIYRNMALLGVQGFSALPRYCVSMSVGFFALAVLANLVKDVVPSRYGRYVPLPTAMAVPFLVGASFAIDMVVGTVVVFVWQWVDGGEATLLVPAVASGLICGDGIWTFPSSLLSLAKIKPPICMKFTPGS >Dexi5A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:5A:20704947:20719613:1 gene:Dexi5A01G0017500 transcript:Dexi5A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQPPPPPLSSFPPLPPSSSPATTSAGSAAAATADADSAAATAGAASIATTLALAVDGAGAAGAAQAHQPPPPLPDQDGQALRQPPPLPDAGLRLPKMVPGSSQCGEEISGQPVEDCDQPKEQWTLDHRRMDPVINKKSKILDTNTDNSQKTKMEAGSSQYGEGSSGQPVEHGDQLEEQLAVNHGRMDQVVSNKNNILDKSTDNSHKTKVHNHSARLQGSAWEHSLIYGKEKGHWKCKWCGVEGYHGVTRLKWHLVGWQNLPQCTDVPKDVAKKIRDRMLSKEQKKARVSGLFVSNGSCDVLCSSKSSELDEEHLTVTMHDGCSSPAFDQANSESKALPNTILLSQESADPQECHEQQRKQVATPKEPGRGQGQRLQWQSQHELWEDLKMAGQDIIHLANNLSSLTEESYKKLVGRDRESSDGEPNGAVMTSSEPQNLVQSDGLVPSASQAFNHLAQPGPSGLSDVRNTCNQCCKEARDGSILKCSRCMLSWHISCIEPPDPSISTESWCCKSCSTTCIEPVEGEMVLANYYPDCLHGDCVICDRLEVCRSPECEDAPHDNSRAMVISSVNSVEDPELAEIDTGGSCKICGDPEEDDKRFLICGHSHCLYKYYHIRCLKSKQIAGDVQQGKPCWYCPSCLCRVCLSDKDDHLTILCDSCDEAYHLYCITPRRTSIPKGRWYCSSCRAEKAKEGMKKYERRTLKLLQKNNAEAKEGMKQYERRILKLHQKDNAGQQSTSHEGLDLLLSAMEQLSADEQLVICTN >DexiUA01G0001240.1:cds pep primary_assembly:Fonio_CM05836:UA:3654499:3657600:1 gene:DexiUA01G0001240 transcript:DexiUA01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAHQDHACSSTRPRRRRRVVVADGTARDESLWASLPEDLIGLVAWRVLAGDLRDYVRLRWRSGTPSPCGRGVVDARFHPRRWMMLPEGRGLHPGHGKLRGYVRFFNLSTGAFVRVRQPIFRDHCILLLQRDHDTAVRLLHPFTGDILDFPPLETLQPHVHPGLPGDKSTYLRKVGAASISVGADGLVRVMMSLHHIRNVCFATSGDQQWRVDPPQQEDVGFGSPLLPPPKFVAKCPQVR >Dexi5A01G0026310.1:cds pep primary_assembly:Fonio_CM05836:5A:29952961:29962972:-1 gene:Dexi5A01G0026310 transcript:Dexi5A01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVWCLEEAVHAAAPPSSPKPTLLSQKPSPVPFRSPERNHPAAPLTRPPAPAAALAALESGIIIYWQAMVPDSPAAELEVVGSSPPFPPHTLAAARARAHADKYDDGGAVEFAHYTDKQLQDFIKHWESKAQQGVLARTSDNGKKVRERSSRMKKELERRRVTRNMKVKATKYKEESSDVVLLDDDDDDDTEPARSADFEIFNKRDESKIYYPSRMDPEAVELAYSDMKCLEPEEYLKSPVINFYLEYLKKSRPRRDLYMFNTYFYSKLENALSTLGNRDSQFSKLRRWCRNVDIFTKSYLILPINETSIPVYHDIIYNGYCIVIMIIRMHWSLIIVCMPTKGADSGPMMLHLDSLGLHNSQNIFDIVARYLFMSFNIITAILEKNGGIYGRILLMIFHFQGRYGSVFREILKGKRLRQEAWNSSYSSFFNVPRQQNEYDCGIFMLYYIDKFIQQAPERLTKESLGMFGRKWFNHEEASQLREGIRTRLFDLFQSAKEDDGPSEPEWQSF >DexiUA01G0026920.1:cds pep primary_assembly:Fonio_CM05836:UA:57484067:57494667:-1 gene:DexiUA01G0026920 transcript:DexiUA01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPLHGFILGLLLVQLAGSMAIPPSGPSNQQHEQEPSKAYIVYTDHVAKPSHFATHELWYTSMVASLSPAAANDSNRIFYLYDTVAHGFAAELTADEARRLSITPGVAGVLEDGVMHLHTTRSPSFLGLDRDLGILPDTNFGDDVIIGFVDTGIWPESPSFTDAGLAPVRRSRWNGRREDGERFNASMCNNKLVGARFFPNPMGTRATKASTFPMGNVEFQSPRDKAGHGTHVASTAAGSEVPGAELFGFATGTARGVAPRARVAMYKAVVAAVDAAVKDGVDILSISLGGYHDPDFYRHPPSVALFGAVRAGVFVACSAGNEGPMASSLGNVAPWITTVGATNLDRVFPATIVLGNGQALVGQSLYTYTANQTPMVRLLPSNCTGTDLVPDMIMGKIVVCTDVGPLTGMAVQSAGGSGVVSIATEDWGMEGLMVQAFTLPAVSLGAREAAKLDAYIRSDPFPVASLRFTCGTAVGESRAPMVASYSSRGPNHIVREVMKPDMVAPGTNILASWPDETLLSGPRRASFNILSSTSMSCPHVAGVAALLRNGHRDWTPEMIRSALLTTAATLDNQGRPIADSAAGARSGGATPMAAGAGFVRPQQAMDPGLVYDAGEKDYVELLCTMNYAAAQIGVFVPGFAGCTATLPGGGGGLNYPSFVADLSDGAGVRVLARTVTKVSEGPETFTAKVVAPDHLVEVTVTPATLEFAGHRNEMKSYSVVFRSKKRPAAGMAQQFGEIVWESDVHRVRSPLHSTPLSSPESELQEPVSCHHIPPRVPSPDATARRSEVRTNEIGRGLTWPPYPHATLSFCVFLDDPVVYMRFAMPPLISKHRTYPLPHRNLYKYSVSQARHRLPCSGASAIRPPTACMLTSPPFIAPAASPAHRPSSAARFGSRLRLHPATVASAGPAAAPEPSSRSAGEQQCRQPRNPKPQQRDGERRGPAELNGETPDALGPTRSLSTETTPLCTHELPGRIGSPSAALATVGHKAAERPGTLLHARRNAMARVGDAPRRSPDMRAWGGRKACCLCADALVAAARPPATSSRLLPSSPFDSPTSPHLTSPPLPPSPPINPSPNPPHRKQHATPNLSSSLESISSPSPAAPSIGWLLRRSQYNPPFLTHQAPLITFPPATNGVLPTAPATAPTSKSKKPSHANPAPIKARPAFPARPMRATPSRRDSPATTTGPKPNWNPFQRLAAAALDAVEENLISNLLERVHPLPRTADPTIQIAGNYAPVGEHPPSPPLPISGSLPPCLDGVYVRNGANPLHAPRGGHHLFDGDGMLHAVRLHGGAANGGAATLRGTDSDCTQTMGTHVDFYGVEPIADFTALEKNGVTDHPLSLLPRH >DexiUA01G0000020.1:cds pep primary_assembly:Fonio_CM05836:UA:159246:159584:1 gene:DexiUA01G0000020 transcript:DexiUA01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFEDGIVRRADSDPPSGVGAGGSRPNKVLVHVPSGEVVTSYDVLERRLRELGWERYLYDPCLLQFHQRSTVHLITVPRDFARLKLVHMYDVVVKTRNVFEVRDAAPP >Dexi2A01G0037020.1:cds pep primary_assembly:Fonio_CM05836:2A:46497085:46500493:1 gene:Dexi2A01G0037020 transcript:Dexi2A01G0037020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYYCFCLVVVGACTMAAVYVYQALWVAPERLRAALRAQGVAGPRPSFPYGNRAHITQAFLHRRAAAAAAAAAAAGSSIVHDYRPALFPHYDKWRKEYGPMFTYTIGNMVFLHASRADVVRDLGLCVSPLDLGKSSYMKVTHRPLFGDGILKSSGDAWAYQRRLIAPEFFPDKVRAMVDLMVASAAALVDSWEARIILGCDNGGDDGLELKVDDDLRAYSADVISKTCFGSSYVRGKEIFALIRGLQKTVSKPNLMAEMTGLTFLPTRTNRAAWRLNRQVRKLVLDVVRETSAGDDDDDDRTNLLSAMLRSAAASSAGGDRAAAEDLIVDNCKNIYFAGYETTAVTAAWCMMLLALHPEWQARVRHEARRAFAAAAPPDFTSLHKMKELAMVIQETLRLYPAGSVVSRQALRGVTLGGVHVPAGVNIYVPVSTVHLDPELWGPDAGEFRPERFAAGGKAPPPHAYLPFGAGARTCLGQTFAMAELKVLLALVVSRFELTLSPAYVHSPALRLIVEPEHGVRLVLRRASSSPGASWA >Dexi3A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:3A:15337171:15343602:-1 gene:Dexi3A01G0019550 transcript:Dexi3A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLPFSIYADRLIYVQGKQGVVKHLYMGILFIYNESESENCGFFCAQCGSCENVKKQKDLGSSTSENLDNPIPMFAGTSYEQNEHRNTERPYRSTREQLFSVGQMLRIKKGPLKGYLCRVVKIFRNDVTVKLDSLLKIVTVQAEFLSVPANRGDNSSGAPAGNFGSQNTSFFGSEADKTSWDNGLPSFGSDSWQPFSSSTLPAGNSCVICSIDIVCGELEIDPWGKKSSADGESDSWGKKTDGDPDPWGDKAMPSADGDPDPWGNKAVPPADGNSDAWGNKVVAPADGNSDAWGNKVVAPAEGDSDPWGKKVVAPAEGDSDPWGKKVVAPAEGDSDPWGKKVVVPTDSSAVGVWNTSATQKESSSDNAWDKQAGIGGFEAAAGSWDGITINNESEKSDNWGEACRAADMGTGGDTDPWGSKVKAVDTEGNDNWEKATMPPENKLEGVSQRWGQPLGKSNEDQEKDNISKVTGNNGAWGTALPVNEDGTWGKSKDNNGGGAGGWNEARPSDKNGSTGGWDTSAANWNKSSAVAEAQEDGWGKGKGASDQAGCGGWNKPKSFGGDGSSSWNKGEETKADGQDNSWSRPGNFGGGRGFGRGRGRRGQESGDLDGRNDQASWKSSWGGDNAGGPSWRSDGMVDNEVGGPGGYRGRGRGGRGQYGGRGRGRDNGWRNNDRSNSGFGTENDSANGQKWGNGGSSDWNATNPPSNQPWSSSGGTKSYGENKPSTWNISEDNKPSVGEQDDPWASKVASTEGKEQNDSWASKMTSAGADDNSGGWNNTKAKDSFGKEQETDPWASKGTPSEEKADDPWSSKGGIDNIKKADTDSWGAGSSGGNQESSWSKPNFSSGGQESTWNKPRFGDDNGGNGRGGFGRGYRGRGRGRNFGDSGSLWNAGNRNDESGGERSEEPWNRRDFDGGRGRGQGRFGRGDRNQGNSNFGSGDGGSRSSDRGNGDRGGFRNWSDNNEQRPFSHGCGWSSDWNANKGSSEGSQAFAKSKPSWEGQNTSGGDGQGGKSDANNSWSQNRSSPSILGQPSSDPNKSSTWGSTSSGAGGSWGKSNEDSWNSSGAADKEKSSWGGGSEAPPKNDDDGPWGKGSEGSGSQGGGSSWDKAADGAWNSNKGGDVGGGGW >Dexi5A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:5A:7954677:7956666:1 gene:Dexi5A01G0010560 transcript:Dexi5A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLAANPAASSSAAAAAVPTALPTGAGRAAFGRSWTIPRPVALRLRLRARGVRGEGGGVRTEEQEQETTARTFYDLLGISAEGSPDEVRAAYRRLALKYHPDVSPPGAAAENTRRFIEVQEAYETLSDPSRRASYDRALARGVCRLAFSGSRSHRAYYHHQDHEEKSGWRRSWEDQIAELKRRSLTKDSEENLSWGARMRRRAEASSPD >Dexi8B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:8B:70082:72977:1 gene:Dexi8B01G0000080 transcript:Dexi8B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENEDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKEFWKNELKDISNKSAAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESEPSLNAEIRNMRRPPSSSVGSLPPMGRSISSSQTSDRRGGSSASNTRKDEYNWRYDADDMSEEVLRASAALESIQLDRKSRNLPTSWRHSGDGAE >Dexi3B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:3B:12793674:12795241:1 gene:Dexi3B01G0017390 transcript:Dexi3B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFANAVQCGGGLQCEGEAHFQNIGVGGDLKGKEPTLWCIDCEDGLLTGDRVDDSIAVGQGEELQLAVMTWSTVQCSPFFLSRGKKCAACMRKRASLDGCVQIKAFEDVEQDVIWKCTDSIFTLQQPDTIVNRPACQFRFHGGSGAGTAASIVEERKASIWILFAVGFSSSAGGVWKLCEKRDGVKGNPDCSVRWAEQAQGLTWTIM >Dexi9A01G0049470.1:cds pep primary_assembly:Fonio_CM05836:9A:52097004:52101200:1 gene:Dexi9A01G0049470 transcript:Dexi9A01G0049470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKETGTLGRGGVTCTGRKQTPARLVDRHSNTASKAASLTGSSHLSRLPRRFPSIGGECVRAGLTVPGGSDQTAGGRAGGSRHLYSPPSGAKQQHCRRQGLGFAGPGEREQKEERAEEGDGERIASATSERSTASPGGVAETSNGSRMGTGGPLAPPARGRGIGGQLQQHEDGRTGNRASNGGSALRRKDAGAGGSGALFRRSSGLG >Dexi1A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:1A:752756:756419:-1 gene:Dexi1A01G0001170 transcript:Dexi1A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARGSAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTISDKDKLADKLESEEKEKVEEAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQRSGGAPGGGADGEGGVDDDDHDEL >Dexi2A01G0027790.1:cds pep primary_assembly:Fonio_CM05836:2A:39116033:39122734:-1 gene:Dexi2A01G0027790 transcript:Dexi2A01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLDKLYSSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALAKAASLYGGALRNIEKEYDDFNRTLSSQTIDPLRAMSMGAPLEDARGLAQRYSRMRHEAEVLVCIGGLILASHELAAILDDVEAEMSSEKQKRESAPPILSSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >DexiUA01G0009100.1:cds pep primary_assembly:Fonio_CM05836:UA:17431567:17434232:-1 gene:DexiUA01G0009100 transcript:DexiUA01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRVDAAVVFGRLLPPLLLLAVASAAYDGAGLPPISRRSFPDGFIFGTSSSAYQYEGGAMEGGRGPSIWDIFTHQHPGMYNCTRSIPQTHSSSSSDQSIRVKEASVSLDDRAEAMGPAAAAAPAPPPDAVVVNVATATVRRAATPSARDARILLRRVRWALVLVLLVLGFATASLAFFSLSILSPGQLRRPCSYSNSLSRSVAFPFQEGANQTNQPNALQGCARTEEEAAELRESSELLLVSASAQVLAATATLLVPVLPLALVAFMLGSYTAGRAADLVWMLLAYHRRVHGVAAFNYLVFYALALLVIMIAAIFVIARPPPAARPAPSQA >Dexi8A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:8A:28549157:28552911:1 gene:Dexi8A01G0016890 transcript:Dexi8A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYDLYRLKGHLHDPFHGSRSPSRQTKRFSHPNGEDPVSTSVSGRADDLAWRCSSDTFDLNERAFESSENWVVLATEGDKPTPRFDHAAAMVGSKMIVFGGDSGHRLLDDTKILSLDKLKWDSVAPKVRPSSNGRSVKLRPCKGHCLVPWGKNVILVGGKSDPAYDKISVWTFNTETELWSHMEAKGDIPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYITGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYKDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLLYDDSPPSSRELADHLNNCAPLYSSSSAARSSLATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEQDCSLAQKLQKPIDDDKYKDADGCAELPSITNQRPRNDTHQSPDAEAKARKIGRSSSDINHHQDTRITTLIRRNMALEEQLSAALTTKDEAEKNLSLVIDSKDELEKRLSERDREVEALKEKVTGLELAQEESNNLSNTVHAENVRLEREVAFLKAELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >Dexi1A01G0021990.1:cds pep primary_assembly:Fonio_CM05836:1A:28724327:28725162:-1 gene:Dexi1A01G0021990 transcript:Dexi1A01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDCRQFMVPPPLPPRQYEEGHSSMHQMMDHDPAGRGGGGGGGERGKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAQYDALHARVESLKQDKLALAAQLDELKGRLNGRHDHQQQSGSCEVNGGEAADDRRNSASCVVEDDGGAVTPAVDATEESTAAADYCYDHVEYGGMMPEPFCTTPDLWDTWPLLEWNAVA >Dexi5B01G0027530.1:cds pep primary_assembly:Fonio_CM05836:5B:29055296:29060518:-1 gene:Dexi5B01G0027530 transcript:Dexi5B01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGNDFLSSWKLPKSGKSTIDFNVDSVPKSSKKFNFNNLDDFGLDEAFDKLPSFKMSMSDLDFSSPLKKKVKHSSSIGDDLSEGKKEIEKDNFSFSFDFNELGKFSLDAKLGIEEKSTSKVDPVSSEGNKDTQRGLSGKDTAILEDNNTKDKTQTHGVCTLRLSHPKNHESTKNTSLLAPNDSSDKIQEHTSVNPATIEQTKVDSVPNRNHGEHQKEIYPTKAAVNTPSQNFSCGAQSGEDLVLADRMDSKDDPIADFGKVGVQRESNGHEQSIGSQSRDTSTINPNVLRRPVGQSDSRNEVVEESVSLNEGSQGNQHFSDVPQKFLKKTTCGTKNTDEGTSGQKSLSSSIRREHKQANAGLDKSKTALPKIYSKPASHGLLSTSSNAKGDRNAIGLEPPSSGNSSLMNAQNSTGHSTGHKIIANHVLLKRSIASDSLQLAPSKDNKMSTISQLTGTRKSPQTVPGLRNETVSIMKILKTRKYIYILGSGTPKAHVDNAISSCMTSEMGDISDLELPMLLENDGNLEKAEACRKELEDICILLKRKHAEAKELAVRAIVNNNTMLMLNHPMFEEKIS >Dexi1A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:1A:25505631:25506406:-1 gene:Dexi1A01G0018250 transcript:Dexi1A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAKHLILCSTTSSSPSQRRRRPLPPNATAGGDSDNNQQQAAPPPRSATRRLAVAASTALAATAALSARRPAAPPPAMAAEAAAVTTPASPPGAVPRWGTRSYVRERFFEPELTAEEAAARIKQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPAARRADYVRVANELVDNMTEFDRFVRTPKVYESYLFYEKTLKSLDDVAEFLV >Dexi2A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:2A:21044278:21048575:-1 gene:Dexi2A01G0013290 transcript:Dexi2A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKEIDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKTELRTIYDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLIPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQVCDQMMVSS >Dexi7B01G0021660.1:cds pep primary_assembly:Fonio_CM05836:7B:26670241:26674572:1 gene:Dexi7B01G0021660 transcript:Dexi7B01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNSMEHFTDVFGFDIGSSSGNPVMDQQAYWNNVLGSVESQNLQGYQMNRSDATIPYGNEPQHDGTFLGFWESGEASSSGSALNYGSSSNAKTEHLSIGGGLRIGERRLVADNDVSLDVDINLNANINDLCSHSSNVNRTSQGPDQYGGCGRSGINAQPFDRRLHPYRTFLEQTDSFNLNASENPLGDFSFMQEGIDQRPGSSLDGRRLACKRKNIEGANGQSSASASTSSTHRNNNALHNIASSSYTPAPIRNSSSPNCLLVPRSIEDQLPRYGTNAGLSSGSYDLNEGINNAGNPQRSFRARTTTTQQIAPCTVWPSSNAIRLPNSWNHHQSPHLQSTFDDPQEVIPVVSSLNLQYQHPVNVPGVPQAANRFTGHGASSSRAGSLENRILSSEEVPRTRRNVVPTNYSDLVPPAAVDLRRLVPEPPNWISDGRGTAISGTIPPVSRANTSSTVNPPAGFTQQNINRRHPRNMSEEISRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSMRGREGRRLMEIRNALEMIQRGENVRLEELLALEERIGNVSTGLSEEAVIKLLKQRKFSSWRLKASFDHEPCCICQVSHIILSLSELLPSSVGHRLYALTFFPFIQEEYVDGDDLGRLDCGHDFHAVCIKQWLVLKNVCPICKNTALKT >Dexi3B01G0033010.1:cds pep primary_assembly:Fonio_CM05836:3B:35380959:35381606:-1 gene:Dexi3B01G0033010 transcript:Dexi3B01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQGLPLQLELSTMDAVAAPPQQPESAVKRRRRLDRAVQRRRRRRVTALYAQLRSMLPSIPTTTRSFNPVSLTFLSTIHPRRKQRVTMEEILIAAAARVKALEDTAAMLEAYRAARPRRTGRGVAVCPATVTVSARMPAPAGGALLRRVLEAFERRGARVLVATMARHGGGAGDVVDVTVTANAAAPEVVEMIRADIARIN >Dexi2B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:2B:25264066:25266899:1 gene:Dexi2B01G0015220 transcript:Dexi2B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGRRTLALVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSAVQAACYPLAAYAAVRYNRAHVIAVGAVLWAAATFLVAVSDTFTQVAVARGLNGIGLALVTPAIQSLVADCSDNNTRGAAFGWLQLTGNIGSIIGGLFSLMLASTTIMGIAGWRVAFHIVGLISVVVGALVGLFAVDPHFLNLGNGEPLLRKSAWEEMKDLAREAKAVVQIPSFQIIVAQGVTGGKMGDYFAVRFPDSGRIVLSQISSASAIPLAALLLLGLPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHVYGYNPISYGAGTSGIGRDKSNAGALAKALYTAIAIPMLLCCFIYSLLYRTYPRDRERARMDSLIASELQQIELERCHGVVDYYTGSKDGATVIDMEYSEDDFDADDDEKGLIDEQAGQSGSAR >Dexi4B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:4B:939549:941262:1 gene:Dexi4B01G0001570 transcript:Dexi4B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRLHARLLRRGERRLQPLLLRVLAAGDLRYAALLLESFHPPSAPLHNRVLHALASARHPLLLPFFSRAHRLGLLAPLSFTLLFSSAAAAAAAAEASSSSARLAVCAHALLVKSGHFVSGGDPFLASALVSFYAKNRLLDAARRVFDELPRKDAAVYNALLSAYTRGGLIDAAEKLFEEMPEKNVVSWTAMVSGYTQNGRHDQAVEKFLEMWEKESVQPNELTVSSVLPACAAIGAMELGRKVERYARGRGLLKNLYVANALVEMYAKCGSIRQAWSVFQGIGKRRDLCSWNSMIMAFAVHGLWSEALVLFHKLRMAGVKPDGITLLGVILACTHGGLVDEGKLLFNSMREEFGVKPRIEHYGCMVDLLGRAGLLKDAESLIVSMPVEPDAKVWGALLGACSFHGNIELAEIAADKLMHLEPQNTANLVILSNIYASCGKWDSVAQVWKLLRGKDHKKSAGYSFIELDGRMHKFLVEDKSHPRYEEVYQTLDSITLTMKLVKLKNAEEEG >Dexi3B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:3B:11627640:11628007:1 gene:Dexi3B01G0016020 transcript:Dexi3B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFVRTGARGPSPRYNAPLERGLRALGDLLPPFVLLLLGVGLVRERVGVEVSAGGGVVVVVGGGGQVEGGGGDAARAVSEPAEEGAGAAAHKPRALGIGRRGRSWDLGTPGRRGERS >Dexi7B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:7B:22669565:22672962:-1 gene:Dexi7B01G0016650 transcript:Dexi7B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLANTIPLSRKLYTSTTNLLVTSEAMAAMAYIALCAAALGVSVALLRWAYRWSHPKSKGRLPPGSMGIPLLGETMQFFAPNPTCDVSPFVKERVKRYGSIFKTSIVGRQVVVSADPDMNYFVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAVLVAETDAACRRSLDAWAAQPSVELKEGLSTMIFDLTAKKLIGYDPAKSSDSLRKNFVSFIRGLISFPVNIPGTAYHQCMEGRKNAMKVLRSMMKERMADPERRCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLTENPKVVDALREEHDAIARNRKDPDAPVTWAEYRSMTFTNQVIMEMVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPDIYEDPLAFNPWRWQDKPEITGGTKHFMAFGGGLRFCVGTDFSRVLMATFIHSLVTKYSWRTVKGGNIVRTPGLGFPDGFHIQLVPRN >Dexi4A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:4A:1382070:1385982:1 gene:Dexi4A01G0002030 transcript:Dexi4A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATCVWQEKAAAMVGPGGDVSAGKKKKGEWTATPGRRASALAGGALNCLVSFVVFSFLDVLDVVLCLVYKLIDYAVEAEWKACYCTAATSATSAAPPRIGLVTSPAVTGPPKVVRLSASSTKLQLEDVSDTLFVRPSLLADATKKGAGTAAPALTVVSPAIAELIRGKMDRPPRQAPCWSDCDCKVCHSWSTRSSTSSHLYVHVQAPPPAITGGEVVEDVVFIHGFISSSVFWTETVFPAFSAAARGKYRMFAVDLLGFGRSPKPAESLYTMREHVEMIERSVLQRYRLGSFHVVAHSLGSVLALALAVKYPDAVNRTICLTICRHHRVWDRLFRIFTRNRVRTYLIEAFMCHTHNAAWHTLHNIICGSAGRMGAYLDVVSDQLSCKVAVFHGRDDELLPVECTLAVGARVPRARVTVYDRKDHITIIVGQERLFAAELEAIWRSAAAD >Dexi4B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:4B:1567280:1568633:-1 gene:Dexi4B01G0002460 transcript:Dexi4B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDFGAPADDPKVFRNICRDRILNDLLKPDKDKETKSSWKVLIMDKFTVKIMGFACKMAEITDAGISSFNLHHFNGF >Dexi5A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:5A:7129846:7130413:-1 gene:Dexi5A01G0009520 transcript:Dexi5A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHVWQPPPAYRTKTRVRAEAPGERACFNTRAFAAAHELGLPTAVVYFNAQKEPGANRRR >DexiUA01G0005760.1:cds pep primary_assembly:Fonio_CM05836:UA:10487924:10493544:1 gene:DexiUA01G0005760 transcript:DexiUA01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQPKQMHWVRADSSDFGGDRPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDVSVYDVDNKLWYTPECTGNGSDGQAGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDLWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGSVPPARCGHSATMIEKRLLIFGGRDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTGNEPPPPRAYHSMTSIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLAPNVDSARKPSTVAGDAQQSNLKGSQAAESPITELAKRLGISLSEEVSASFVDEINDKELVDLSSRLAGQSLPASDQVASIQVLRDHWRSSPASSLQLQELGPLLRDYQRLILRRYSYGSTLQSPLCLRMDDIPILLSEYGRLLST >Dexi4A01G0023430.1:cds pep primary_assembly:Fonio_CM05836:4A:26521156:26521840:-1 gene:Dexi4A01G0023430 transcript:Dexi4A01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPVSRRAAEHGGWRQRRGVELVEPERRADEAEEDPGADHDEDGGKRSPFSPTYSSTAFSARLISSHSFRTGCFSLRGGAAAVAFFFGVGVTSSGGASSWNRRAWFLALSRSLMPVGLATEGAEGWVPVSVAGSGAAAGVAEAAAWVDDKGLGGAAMEDKRERGGEEEMVGDSASGLWSLVGVGVLTMGFGWLRWRCRLD >Dexi5B01G0035160.1:cds pep primary_assembly:Fonio_CM05836:5B:35203095:35204897:1 gene:Dexi5B01G0035160 transcript:Dexi5B01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIEFDSFLDDDAIDSPLGIHLESNSLAGTKAVPDHRQYAQFQCSNAPDPPPLPGTSYGARRSSWKAKACSRVREEILDSWDELFLKGYQADLRVSADDGTEILSHSCVLGVKSPVLRAMLEEAKLKHGFRCIRISGAPSEAVRVFIRFLYSSRFEQEQMNKHVLHLLALSHVFSVPSLKTVCTDQLERNFLAPDNVVDVLQLAELCDAPRLSLVCTRMIIRDFKTISLTDGWKVMRRANPSLEQELLESLVEADTRRQERAKRMEEKEVYRQLYEAMEALIHICRDGCRTIGPRDQALKGNGAAVCKFPACKGIELLVRHFSTCRVRVPGGCANCKRMWQLLELHSRMCFTPDTCKVPLCR >Dexi2B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:2B:4866790:4867699:1 gene:Dexi2B01G0005200 transcript:Dexi2B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVTRLLCGGFVLAFRFNHIMCDAQGIVQFLSAVGELARGLPAATVSPAWSRELLEARDPPEPTFPHHEYDPVPPSRRPLPPPGEMVTRTFNFSPANVAALKKSLPSHLRDTATTFDVVAASIWRARTAALEVPPDEDARLAFPVTFRHVCELGLPAGYYGNAFVLPMAVAPAEALRSGSSLGDAVELVREAKKAAASAAEFVRSTADLLALRGRPPPAMGNLFTVSDNRHVGFDRLDFGWGEPVYGGSASPMSWLSFLVAVRNGGDGEDAIAVPVMLPQPAMDRFASDLKSLLSI >Dexi2B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:2B:3559019:3560766:-1 gene:Dexi2B01G0004010 transcript:Dexi2B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKKSKKGKRKSKDSGKLKVARTRSAPPPLPPELRGLDTEWWYTFLAKHTELGHAIPSDEGEAFRHFFRTSRKTFDYICSIVREDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGDSQVSVGAAFGVGQSTVSQVTWRFIESMEERARYHMAWPGQERIEEIKATLEAVYGLPNCCGAVDATHIIMTLPAVESSEDWCDAAKNYSMFLQGIVDDEMRFIDIVTGWPGSMTFSRLMKCSTFFKLCEAGNRLNGPVKVSGENAEIREYIAGDSCYPLLPWLMTPYEGKNLSAPMLKFNARQKAARLLGTNALTRLKGSWRILHKVMWRPDKNKLPSIILVCCLLHNILIDCKDELLPTVQIPEHHDTGYSEENCEQVEPNGKAMRENITAHLQSHEAPKLLC >Dexi5A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:5A:21398605:21411395:-1 gene:Dexi5A01G0017940 transcript:Dexi5A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRIFEYFVVCGLGPEIRALDGAKGFHGVEEMYMPAFLDQFPPSNHALYPPPPPQLPTHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSAVTMDGVVVVDLEYNRITTSEEIPPIPETEHSFLRGEILKLLQPNVMGIDHMKINLGSMGDHSLRPGTKSWGQEHDFQLRLIFLRFFAQILSGYRNFIDTASTTGFNSQAFLKKRSRATNQPVESMSMGFLDYLERCNSAEENPNNLLDKLQDATGRGQNPLSIFPSEFADPEIITIADPETEGSVNGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRSDLATIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSANYVTLVTAQLIIMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATDDSNAIATTGKTDISAAPKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCKSAVLCMEYDDSTGILAAAGRDVMAHVWDIRSSKQMFKLQGHTKWIRFL >Dexi4B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:4B:6179726:6181546:-1 gene:Dexi4B01G0008600 transcript:Dexi4B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPAPTKPALPWISPLQYRSPARGAPPSPPPPPPPPPSPPPPRYLHHPELVRLIASSPSAQRALDLFNAASAQRGFSHTPATFSALLLVRLIASSPSAQRALDLFNAASAQRGFSHTPATFSALLVRLARARLPRAAAAVLRRAASEPCRFQEPQFLPLVRLLPPDHALALLRLLPTLLRQSRVSHKALAVCLDRLVSSRGCTEVLDELLADLRDPRNKYLPRPNTCVYNILIKHYVKSGELDTAFNVLDEMREYTCADVKPNLVTFSTLIGGLCRGGKMKEAFELFEAMIEKDRIVPDQLLYNVIIDGFCKLGQVEKARAIFGFMRKNECEPNAFNYATLINGHCKKGDIKAARLVFEEMISAGVEPDAVSYTALIGCLCRHGSVDEGINLVLEMKEKGCKADVVTYNLVIEGLCKDGRTVEAMDLVESVPLEGVQLNVASYRIVMNCLCTRGEMDKAVGLLGLMLGRGFVPHYAASNNLLSGLCDAGRVADATMALYGLADMGFMPEDSCWERLVETVCRERKQRKSTELLDALIGVG >Dexi2A01G0025740.1:cds pep primary_assembly:Fonio_CM05836:2A:37413565:37414616:-1 gene:Dexi2A01G0025740 transcript:Dexi2A01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTYTPTYYSGLQDTIASLCKSILPSSFRVGRRLTADQAAARRHAEQLKWQQESFHRILHLAALHREGIVPASDVAAFRAPMLAALVAPPPHPEQPAVLRDKLLFLQELLYTKCISAAEYNASKAPLVHRLAALGVVVDCPDAEVSAEEWSEIDLQDPPPPASSAAASDKPKHKAFITPWKSRSKKDQDATRPPLAPVDQNNGKNASVLMAESSPSGGVPSGKAEKGKRRHLAAMFSGGGGNGGENKDPAAAGEEGVDENETAKGKKKSSWGFDGLKKWKKVGCSNPEAAATAEQAERALPRSSYSECRLEASPMAASGPDAKRAKTKLHTATSTVN >DexiUA01G0015280.1:cds pep primary_assembly:Fonio_CM05836:UA:31977270:31985544:1 gene:DexiUA01G0015280 transcript:DexiUA01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKDQLLDAIRARMAAHAPPLHALVVPSEDAHQSEYVSEQDKRREFISGFTGSAGLALITMEEALLWTDGRYFLQATQQLSARWKLMRMGEDPPVEAWIADNLADEAVIGINPWCISVDSAQRYENAFSKKQQTLFHLSSDLVDEVWKDRPPVEPRPVIVHPVEFAGRTVSEKIKELREKLVHEKSAAIIITALDEVAWLYNIRGSDVDYSPVVHSYAIITLHSAFFYVEKRKVTVEVQKYMAGNGIDIREYETVQSDVALLASGKLNSSAQVETDVNEVEGSKIWIDSGSCCLALYSKLSPHQVLALQSPIALPKAVKNPTELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSESKGSHEKKNIGTKLTEVSVSDKLEGFRATKEYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDIAVFPNGTTGHALDILSRAPLWKEGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARTVPLQASMTVTDEPGYYEDGSFGIRLENVLICKEANAKFNFGDKGYLAFEHITWAPYQAKLIDTKLLTPVEIEWVNTYHSDCRKILEPHLDEHEKEWLQKATEPIAVSS >Dexi2A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:2A:14069788:14070882:-1 gene:Dexi2A01G0012110 transcript:Dexi2A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDGAVTLGAGSSVGGGAGGGGGAGAALDRSLQAATASVYRVALSSGKELRIRVTWTRAAGAAAAAGATGLAVAVDDGSRAIPVPPSSAARFGTPRRTTAAAPTTPTVAGAQQHLQLQKKRGTRSFVTDAGTAVSIYWDTAEAKYGGGGGPEPSRDYYLAVVRGGGGGGGAFSPHSPAAQAQLVHTTRCRFRDGGAEHEVTVSCRGGEEWGRGVPTSRGNDGEVAVAVDGKKVVEARRVKWNFRGNRTAVLGDGAVVEVMWDVHDWWFAGAGGGGGGGGAQFMVKARGASDGGRVWMDEEMASKGQPPAGFFLHLQCYRR >Dexi7A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:7A:19156884:19158814:-1 gene:Dexi7A01G0007970 transcript:Dexi7A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPMWVFGYGSLIWNPGFAYDARVVGFVRDYRRVFYQGSTDHRGTPQFPGRTVTLEHQPGATCWGVAYRISREEDKQIALEEL >Dexi9A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:9A:696160:699844:1 gene:Dexi9A01G0001310 transcript:Dexi9A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAVPAATAAEEEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLRVGLALLNDASARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCTGVLSKQFPGSNRVARLDALLFEAKGEWAEAERAYAKILENNPFDQIVHKRKIAIAKAQGDMSLAVDYLNKYLELFMADHDAWRELAETYISLQMYKQAAFCYEELILAHPTIPLYHIAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCTSAINQLTKGRNKEEEGSELQALAAEALLKNYKEHAPSKAPLISSMLKNMKLS >Dexi1B01G0025090.1:cds pep primary_assembly:Fonio_CM05836:1B:30255467:30260825:-1 gene:Dexi1B01G0025090 transcript:Dexi1B01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNALYICGTDEYGTATETKAMEEKCSPREICDKYVLVWYMAVLELFDIGTFRYRANCEESFFTEKCRYHAIHDEVYKWFDIKFDKFGRTSSPQQTEICQEIFHKLMKNNWLTENTMQQLYCDTCQRFLADRLVEGVCPTQGCGNKTARGDQCDNCSHMLNPTELIDPKCKVCKNSPRIRETDHLFLDLPLLKDKLVNYINDTSVTGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPIEKYKDKVFYVWFDAPIGYVSITASYTSEWEKWWKNPDNVELFQFMGKDNVPFHTIMFPSTLLGTGDKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPPEVWRYYLLMNRPEASDTLFTWTDLQAKLNSELLNNLGNFINRVLSFIAKPAGAGYNSIIPDAPGARSHPSTSDLAEKTSKRVDQYLDAMEKVKLKQGLKSAMGISTDGNLYLQNSEFWQLYKEDPVSCAIVMKTSVGLVYLLACLLEPFMPSFSNEVRRQLNLSPEENLSLSQEKGEIVKARSPWDFLPAGHKIGRPVPLFEELKDEKVSEHRKKYAGSQAERSSKAVADAEATKITNQLKSAALSEGGSKKEQKKQTGSSKSKAAEAEVSVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKYIPIEEMQQNRKVCVLCNLKPVAMRGIKSHAMVLAASSDDHTKVELVEPPADAAVGERVTFAGYSGEPEASLSGKSKVWEKLAADLHSDGELVACYKDVPFTTSAGVCKVKTIANGEIR >Dexi9B01G0021850.1:cds pep primary_assembly:Fonio_CM05836:9B:16551891:16552746:-1 gene:Dexi9B01G0021850 transcript:Dexi9B01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLILCTVLAACLALTSAQLNSGTATFYGGPDASGTMGGACGYDNLYNAGYGVLNAALSQTLFNDGASCGQCYTITCDASNSLWCKKGNSVTVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAFEHIGVAQAGIVPILYQRVQCSRTGGVRFGLAGSQYFLLVNIQNLGGSGSVAAAWVKGDKTGWIQMSRNWGANWQALAGLTGQGLSFAVTSTGGQYMQFLNVVPGWWTFGQTFANNDQNFAY >Dexi2A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:2A:14897758:14900452:1 gene:Dexi2A01G0012750 transcript:Dexi2A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAAGRKLAGISHSSAPPGLTGGLLYRCYGSGSSGSRKRSLPWRVVSIGAISLAGGLVLSAVNDLAIFNGCTTKAIEKAADNPKIVETIGVPILRGPWYEASLSVGHRRRSVSCTFPVSGPHGSGSFQIEATGNGGW >Dexi9B01G0026010.1:cds pep primary_assembly:Fonio_CM05836:9B:27671961:27674122:1 gene:Dexi9B01G0026010 transcript:Dexi9B01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METADVERPLIHHLSPQNLVTFLTAELRETNVDAAKNVSTWIGSCFLTPVIGAFLADTYWGRYKTVVIFLLIYIIGMLILTVSASLPLIMYVPHNSEVRRVAAYLGLYLVALGTGGIKPCTSALGADQFDGADQVERATKGSFFNWYYFSVNIGSLLSGTVLVWIQEDIGWGVGFAIPMLLMVSGLAVFIAGRKVYRYKKQGGSSLTSVAQVVVAAVRNYDLVLPEHSSGIEHGKQFRFLDKAAIVAPCTGEKGKAATTSPWRLCTASQVEELKMLLRMLPVWASMVLFFTATAQMSSTLIEQGAVMDHRVGPFTVPPASLATFDVISVMVCIPIYDAVLVPLARRATGKDRGLSQLQRIGVGLALSVVGMAYAAVVEGRRLALVRAHMPAMSIMWQAPAFAVLGAGEVFAAIGTLEYFYDQSPEGMKSLGSALAYLTIAAGNYLNSAVLAAVAAVTTASGGAEPGWIPDDLNKGHLDYFFWMMAALCLMNLAQFAHRSIRYRGQEKQVC >Dexi2B01G0004490.1:cds pep primary_assembly:Fonio_CM05836:2B:4090143:4093542:-1 gene:Dexi2B01G0004490 transcript:Dexi2B01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFALKAAATTTAATAGSASFSAAAGRPKRGAAAAGRVSFRGAAHVVPVRAAAVATAAVAEDKRSISGTFADLKKQGKTALVPFITAGDPDLATTAKALKILDACGADVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPEMSCPVSLFTYYNPILKRGIPNFMTIVKEAGLRGLVVPDVPLEETDVLRNEAAKNNLELVLLTTPTTPNERMEQIAKASEGFIYLVSTVGVTGTRTNVSGKVQSLLQDIKKVTEKPVAVGFGVSTPEHVKQIAGWGADGVIVGSAMVRLLGEAASPEEGLKKLEELAKDLKAALA >Dexi1A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:1A:27033018:27035326:1 gene:Dexi1A01G0020130 transcript:Dexi1A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIVAGHGLALRRSLPLPNPPGRPTSVSLSARSLPHARHRMIVPACPSSPRSCRCRSISSESSAAAAAASTAADTLEEEDSEPEAYDDDEEEVDPQAEVCYLDPDADPDAIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRYFPNNAINSVTLRDALASVSETLGVPLPDRARFFRSQMQTIITRACGELGVKAVPSRRCVSLLLWLEERYEAVYSRHPGFQAGTRPLLALDNPFPTTLPENLFGDKWAFVQLPFSAVREEMELLKRRYAFGAGLDLDLLGFELDDSTLVPGVAVESSRAKALAAWMNGMEICAMEVDTGRASLILSAGVSSRYIYAGYQKTPAATQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPLPV >Dexi1B01G0002640.1:cds pep primary_assembly:Fonio_CM05836:1B:2108779:2110482:-1 gene:Dexi1B01G0002640 transcript:Dexi1B01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKNFVAYFLFADHCRLLVQVLCDPEKRAIYDQYGEEGLKGMPPPGSQSRSSTAAGPSGPSNFRYNPSDPDDFFAEFMASNKTYSFDQDWTRFQQRSHWTSAKNTRSETPSGSQKESGASTSQAEMPPPVEKTLPCTLEELYNGTKRKMKITRNVAKPDGRVEVETEVLAVEVLPGWKKGTKITFPNKGDKLHGQLAQDLTFILDLKPHDVYILDGNNLLVKKEIPLVDALAGTAIFLRTLDGRNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGNLVIKFDVAFPTRLSTSQRLAIRQIMGS >Dexi3B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:3B:3038866:3039603:1 gene:Dexi3B01G0004460 transcript:Dexi3B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQSQRAQPLPLPLPPPPLCLPSSPAAPRRGRHHHHHRSSCSSSSSSSVSSAASTFCPSPSPSPSPGATTTSSSLVPFSWERHPGVPKNSFRLASPTGTPLPLPPPLQPTNPRRRRRRRRANVNINSDASSGSDPFVAAFAECTRDDDDGEEDDETDHGSTDHSTVMSATSKDSKLWLAPVKATVSGRGGERPWRHGAGGFLGFLDLYGCKSAMAVAEGAFLPRRPVGSSRLGSAGRATIRPR >Dexi7B01G0024300.1:cds pep primary_assembly:Fonio_CM05836:7B:28658997:28659837:1 gene:Dexi7B01G0024300 transcript:Dexi7B01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWLLAPGRRACSLGPVDLEGPDLDPEVGVEVDVDGEVAGGLLLPELGGGDVPLQRLAAVELGVQLGGCLGALVEGVERVVSEALVLVEREGLDVVVVEADPGVGVADRHADGEVVGERGVCGEGEGGEVGGVDGGGDHVGAEDEVEDEGEDSDGDEERDEDAAEAAEEAAGEALAGAAEARDGAAGGAHLFQLLLVAVAVAVAVDSIRRLSVPPGRKKKGPAACRRRRIGGRWNRKGFGEGFGREGKRGRNGEEERGGGGDAVV >Dexi5A01G0026100.1:cds pep primary_assembly:Fonio_CM05836:5A:29832445:29833629:1 gene:Dexi5A01G0026100 transcript:Dexi5A01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMMLQYNEKLESFLCSIPQERLHSLVIDMFCTQAIDVARKLGVPVYTFFASGAGALAVLTQLPALLAGRQTGLKELGDTPLEFLGVPPMPASHLIRELLEDPEDELCKTMMKIWQRNTDTDGVLVNTFYSLESRAMEAFKDPMCVPGRVLPPVYPIGPVVGKGGTDKDEEAEKPECIAWLDAQPERSVVFLCWGSKGTLSGEQIKEIAAGLENSGQRFLWVVRTPPSAGIDDVKRYLEQRPEPDLDVLLPEGFLERTKGHGFVVNSWAPQVEVLKHPATGAFVTHCGWNSTLEAIAAGVPMLCWPLGAEQLMNKVLMTEDMGIGVELEGYKAGFVKAEEIEAKVKLVLESEEGRKIRERAAELKKEAAEALREDGGSSHAAFLRFLSDVKNL >Dexi2B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:2B:10392736:10395740:-1 gene:Dexi2B01G0009680 transcript:Dexi2B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVMASGSNGCIGVVGGGGEGKAAEEGQQQGQGQVLTLVLAALRKSVVLPCQMADADDPAGGGAWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYAQDGLKAEGIFRITPENSQEEHVREQLNSGIVPDDINVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEDQCVELVELLPPTRAALLHWIVELMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLRERDEEDAGAYSAFSSSPSLSEELNEEEDHDQPDDENDSGSDCNCGDHERPNDIDKATSLRLDSEQLIGVSRRHTSIDCHLPYIEYDNDNEDTPLDDIEECFLRRLEWKAARECAAEDNISDFPPSKEGTEQLSSSESITEGSNIIIEKADLTGDAIDVINELRQTEIRIEMTNAEVRNATKEELIFCP >Dexi1B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:1B:20071566:20072048:-1 gene:Dexi1B01G0014000 transcript:Dexi1B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLRGSVGPHGRSGVAGVAGAVAGVDAAEHRMGAARRANGWAEARLLGLGAEVVAPVSAAAAAVAAAVVVAMAVVVADVSEVAARTESDGDTAANVHTTTDWWACLRGTDVSACARKVRWYRRE >Dexi3A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:3A:1165501:1166526:1 gene:Dexi3A01G0001730 transcript:Dexi3A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRASPLDVDDLLAEILLRLPTLPTSLPYAFISDPPLGSILHDRFSVPARCQDAAGGDDVYTWNVRGCCHARVLLINTPRYDRKVHQFLVWNPLAGEQHLLGIPHFFDPDHDRVKLSNLQAAMIGDEGPFKVALAWKDGHTANACVYSSETGVWGDAVSAAVQPTFGAISVGSLSVLVGNSLYWMLFGSYHCILEFDLGSQKLSVIELLLPPNAYANHHGIYLTTLAAGGGLSLLIMSPSLRAQLWERTATSDDGVDRWMLGRTIELDKLLSLRPLGFQEYRSVLELFGMIM >Dexi3A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:3A:11380163:11380669:1 gene:Dexi3A01G0015320 transcript:Dexi3A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAGAGGHGGLYGDPAAADHFAAAFDDHHDSFFFQRSPPCAGGGGGGGGDELMMTPYSSITDYLQGFLDPAGMASHLDAPCRVGDEAVKQEMEARLSRHEREDGPAAAGAPATPNSSVLSSSSGEAAGGADEEPRHRCKKGRLEEDEEGQEEIDAEGSAAADRNCK >Dexi2B01G0032320.1:cds pep primary_assembly:Fonio_CM05836:2B:40249192:40250605:-1 gene:Dexi2B01G0032320 transcript:Dexi2B01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGAGEEPADHISPLHDDILIQVLSRLGCAAAAARTTALSRRWRDGALWRHLSELSFRGLAHGALEAALAQVTLPRLSLLDIEVTDRVPAEAVASLLRAAARLDPVEVSVVVAWVIRNEDEPAAVEVPSFPRATSITLRLRDLRRTLPAAQGGAGEEFQCFPVLERLSITSGRFDTGALISRCPKLRVPELIYCWGIETVKIHSATMEELLVTSELLLGVDVAAPMLKKFILRSGVHFHFGMSLLAPAVENLSWKCWCHGKSIAPAMAVAVGITTLWRLVRLELGADWSGFVLGLDIARSSV >Dexi6A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:6A:131890:132931:1 gene:Dexi6A01G0000180 transcript:Dexi6A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAAQVRRGLGAGSEHGGSCGRDAAQEEHHHLWSSSRWRCARPLAAFCSWAISSSRLLSSRAACSTAGISARSPTTERFANSRS >Dexi3B01G0030700.1:cds pep primary_assembly:Fonio_CM05836:3B:30878694:30885775:1 gene:Dexi3B01G0030700 transcript:Dexi3B01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAAGQSIFCRDMVRLITSPAAPNGMVLRCKPPKAVVLLPDGTEVTADTGDVLLADRSYLRPGMTVVLASPGDQQLGVVTGTDVELDLVRWSSSSSPDVGVGEDESTTTTSAAGVSPAALRRVRELCVRDYVVSEHWLGRVFEVSLDVDHVGGGPSVFRNSRWLTGHWKPSRSTGTVSKVETASVLVY >Dexi4A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:4A:11056829:11058289:1 gene:Dexi4A01G0012500 transcript:Dexi4A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLCLVLCSYYSIVHGGGDDSFVTVPTSSFEPNPICSEERVTLDKNNSAIVSLPLVHRHGPCAPSPSTDKPTFADTLRRSRARLNYTANVAPTGLGSHGDVKASIPAHLGSSLNSLEYVVTVSFGTPAVSRVVVIDTGSDLSWLQCKPCNPGECTAQKDPLYDPSHSSTYSSVPCVSDPCKKLTADVYSNGCTSNGKQCGFLISYADGTSTSGVYSKDKLTLAPGVVVKNFYFGCGRDKHTVSGLYDGLLGLGRLSESLGAQYGGTVSYCLPAVSSKRGFLALGSGRNPSGFQFTPMGSVPGQPTFATVKLAGITVGGKKLNLRPSAFEGGMIVDSGSIITGLQSTAYGALRSAFREAMKAYRLVPNDQLDTCYDFKGHNNVVVPKISLTFTGGATINLDVPNGILVNGCLALADSGLDSTTGVLGNVNQRTFEVLFDTSSSKVGFRANAC >DexiUA01G0014470.1:cds pep primary_assembly:Fonio_CM05836:UA:30587975:30588763:1 gene:DexiUA01G0014470 transcript:DexiUA01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPTPPQYERTIIRERGVVRSRVRLVVYRHPPCPSSPTWTVEVHGHHMETTCELAAMNGISSFVTQNQELVEHQLLGLFPPTQPDDPHWMKRYLSSPLRMAESPVAAAALMMRWMRAYHRLQALLSRSQSELLNVAMDMSARARDKGVERTSLSIEVTTRDAMIADLERQLNDLQIAHNNTQNELAQTRDELEEVHGTLQHANAMLAAHDAQHLFDQQGGDIDGEGETPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi4A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:4A:9869479:9870367:1 gene:Dexi4A01G0011670 transcript:Dexi4A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLLIGCDGINSVVAKLLGLAKPSYSGRSATRGLAHYPEGHGFRPNFLQFNGHGFRSGMMPCNKTDIYWFFTFSPSDKDEGVDESPATMKQFVLSSLRGLKVPAEVLAVVERSQMSDVLVAPLRFRPPLSLVTASISRGCVCVTGDALHPMTPDLAQGGCSALEDGVILARCLGDAVLGIGSHGVEDGLGEYARIRRWRSVELIGTAYLVGLVQQSDNAFVSFLRDRVLSGVLARRLLKLADYDCGTL >Dexi2A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:2A:24091665:24097349:-1 gene:Dexi2A01G0014470 transcript:Dexi2A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLGPRRFFPPRIPDPPPGFRIRSTTLSVSDTATLLLPAAYDGDVPQFKMLVKRLRKAGKGVEEALAEIKCPSYKGHGPVHLAALSAKTVMCKYLIKDLKLDVNAVGDDGVTPLFFAIYGTASAAMTRLLLDHHADPNKAAYDGATPLLVATVEVAQYAHISENVGPNVLLHTPLKEAIPAHSFGIEQLIKTGANVNPGTTTPIIAAAYAGSTDCIKCLLKAGADANIPDHNGRTPLEIAAIQGWQESVDVLFPVTTPSVQVTDSSIGEIIQHAKHMSSKPDVENDGPHFEAQGDDAFCKSDYAQALYHYTM >Dexi3B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:3B:6383416:6387190:-1 gene:Dexi3B01G0009290 transcript:Dexi3B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDGPAAAGGGVGAGRKFWRSASWSASRAAAEPPQDGAAAPGGGGQARRAPPPPPLTPRSMSAKARSCLPPLQPLAITRRNFDEWPKAGSDDVGEWPNPTTPGASKVDGGPSSARPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNEPSPSALDSRGAFIVHVLSSIYVWVGMKCDPVMEKDAKAAAFQVVRYEKVQGHIKVVREGLELQEFWDAFSSTPLNSDSNTKVSKDQIDSASKSNPGSRRVDSYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRQKFIRSLSRVYSDSALIRDFDPRGDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSNSSPPPFGLSPASSNLPHALVPSSRREAVKMVYVWVGGENEKIKSADTVDWQQVTGDFLRLKGLSDALPVKVFKEHETENLLEVLNVS >Dexi4B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:4B:44357:50870:1 gene:Dexi4B01G0000060 transcript:Dexi4B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSSGGSACASSPPPPPPRAMKRELAFALRSLSQISASPGRTRSGRPISSLPDPSASMSLKRRKRSDPPAAAATDLVSPPTPPVDAEPPTQPLRDIIEPVDGSIPPTAADHQSNSYAAQEVIAQNMLETPEPLHAEAMAAAAGEDSVIALPNVPMENCVGTLDAAPIPVEPAVAAGNDQCDNSNSNGGNLQPQAWDNALAPDALLVEDTATPVATTELKPTRRFTRSLLKNKPGIEESADGESQATPDGSEDAPFDLALLLEKPHRRFTRSLLKKKVESSIIGSDDVLDSASDSPPSVKKMEMKMSKKVACLTKHPVNIRELLNTGLLEGMPVMYIIPHSKKDVLKGVITGCNILCFCPSCNGSKVVSAYHFEQHAGSTKKHPADFIYLGNGNSLRDVLRASERSPLEALEKTIRSSIDPVAKSRMNCLNCNEHVLPPLQTEHVLCRRCLESKQPQDPPTPSYPCKSNSSFIPYSKGTLLKKMSSSKKGGSAGKVTNKDNKLHKLVFNVLFDGTEVAYYVDGQVSPSAFESHAGEGSRRKPYDNIFTSNGVSLHELAMKISKDMGRSERETDDLCTECGHGGDIFPCKICPRSFHPACVGLPEVPVEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVTISDDLGGCALCKKKDFNNAVFDERTVILCDQQTILASRLRFSLYVLLQQSFDPIIEVNTHRDLIPEMVHGRGPKDGMAGQDYSGMYCALLTVGSTVVSAALLRVMGGDVAELPLVATSRDVQGLGYFQALFSCIMRMLVSLKVKHFMLPAAHEAEGIWMNKFGFSRIPPEELEAHLNGAHLTVFQGTSYLYKAVPLPSSQEDECSPAIIAAERDESLAAE >Dexi2B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:2B:845577:851751:-1 gene:Dexi2B01G0001340 transcript:Dexi2B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSTGKESILGEDDHISRLPDAILGDIVSLLHTRDGGRTRILSSRWRHIWRSAPLNVDTCGRPPLWRIIPAGEISGILSSHLGPGRRFCIPMWVEKGADPAATLDGWLRSPALDGLQELEFHYSFLHQSSRMPPLPPSARRFCPTLRVASFGACAFPDGDAGGAVLNLPLLEQLSLMNVGISESSLHSMLAGCSALQSLVVTESIGSCSRIRIASRTLRSVAVQNAWGEVRFMLITQGSCVVNSSMVVPSVKVLALTHAELSLDVVIDFMKCFPCLENLYIQIKKGYSYNQSIKPGVTNAWRRKYQKLISTLDIRLKKIVVTTYRGNKAHVNFANFFVLNARVLQSMVLEADVSHNNDKAWIEKQHRLLQIENRASKAARFDFVFHFGRPMTLEYIWSDQVHDLSTADPFVGFDKKAAAAAEDDLSSSVPKTLAGGRGAMETISRCKKSNLEEDDRISRLPDAILEDIISLLPTKDGGRTQVLSSRWRPLWRSAPLNVDIHDWYLVPKQRLIRAGEISGILSSHLGPAGRRFSIPTAYLEENKADPAATLDGWLRSPALDGLQELEFHYGFWSVHRKPPPPLPPSARRFSPTLRVASFGACSLPDGDDAAGVLQMPLLEQLSLKNVGISESSLHAMLAGCPALQSLMLTESVGSCSRIRIVSRTLRSIGVHPQSFWGQIRFQQLIIEDAPCLERLLLFGEGFGEQMVISVVSAPKLKIFGQLPVQYPRLEFGATIFQGSSVVNSTMVMPSVKVLALTHANLSLDVVIDFMKCFPCLENLYVKINKEDNNNNQQSTKPRVSNAWRRKYQNLIGTLDIRLKKIVVATYRGNKAHVNFASFFVLNARVLQSMVLDVDSSHNNDKAWIERQHQLLQVENRASKAARLDFMILVGLPMTLILPDQVHDLSTADPFVGFGKWA >Dexi8A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:8A:7941340:7941564:-1 gene:Dexi8A01G0007170 transcript:Dexi8A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDQVTTPVCLPCARELAKQRRRRLGRPLGEASPVMVGARRVLAQVRLGSVEIAVVVARSIGHQMGQIGAGT >Dexi5A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:5A:8151643:8152272:1 gene:Dexi5A01G0010860 transcript:Dexi5A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTAAATCRALRGAIHAKLTASYTRLRSWHTSLGGAPASVVVALAALLCVAAAFPRATASVLPLAATTALCCAAAGLFAADERGATAKEAVEAIVAVGQGKRPEPGLVQVIGEANASAYGGGGGGGVQVGCFLRRSERSGVDEDGEEVVFAGKLAPCVAGGGGGGEVLGDGGLEEEVEAMRLDRIAEGVWNSYFGGWSTWHDVDAAA >Dexi5B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:5B:6163309:6165731:1 gene:Dexi5B01G0009060 transcript:Dexi5B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGCHRVLVTVAQKRKPSSLWPMEAGDASPTSELLAPPPPPPESVKRRGKRGRDGPMLFGAQLIKHEGKDGELVQAAPQGEEAILPSKSPEKESPPAAIQVDKAKLYCSLCACALTPPIYQCAVGHLACCSCRVKLPARRCRTCRDRGGPYSAYTHCPGLDLFFGDLRVPCDFDEFGCRAIVPYFLSANHKGTCEHAPCHCPEPGCSLLLSPRTLAGHLAVNHDWAVYDVAYGTPLPLSVPVPAAAAAAAAAPSPAMTPARNLRLLRGEDASLFLMAVGPLGDGAAVSVVLARATANPPALPRYTCTFYANPPPRAADLRGSYFFATVPVRSSALADGAGVAPEKELYFAVPREMLCGANRELLLSVRIDRSSGPEPPVQIKKMITEN >DexiUA01G0023390.1:cds pep primary_assembly:Fonio_CM05836:UA:47844484:47846564:-1 gene:DexiUA01G0023390 transcript:DexiUA01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGRRSAALVALLAVAAATAAGAADADDFFSPLAPIFAPVINSICSTVACGKGNCTVAPGTLGYRCDCDPGWTQLHVGDNLRFLPCVIPNCKQETTVPSHSSSASRTARLQFSSIDSTCSNGSSAPAPTPLPSPKNFSLDPCELAYCGSGGTCRNGSGLSYHCDCKEGYSNLLNMTTMPCFQNCSIGADCSRIGLPISNSSDKSPAPPGSESISNNGNAATPGSISERILLPLLLLVSLSVGQVI >Dexi3B01G0022120.1:cds pep primary_assembly:Fonio_CM05836:3B:16997656:16998248:1 gene:Dexi3B01G0022120 transcript:Dexi3B01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Dexi6B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:6B:21122272:21126025:1 gene:Dexi6B01G0013420 transcript:Dexi6B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSPLRAAALLLLVLSSPLLLLPLTNAHPQTPSPAPRQHAPPSAKARETTGISAGLVSTLRETLDAIRGVASIISSFPVGGGILGGGGDLRLSSAVADCLDLLDLSSDELSWSMSTTTSSLSTPNTAGRLGTGDASSDLRSWLSGALGNQDTCKEGLDQTGSLLASLVATGLDAVTSLLADGLGQVAAAAAITASSSSRRGLGAAAGEQGTAASAPRWLRGRERRMLQMAVGPGGLAVDAVVAKDGSGNFTTVSAAVEAAPVESAARYVIYVKRGVYKETVEVKKKKWNVMLVGDGMGVTVISGHRSYVDGYTTYRSATVAVSGKGFIARDLTFENTAGAAKHQAVALRCDSDLSVFYRCAFEGYQDTLYAHSLRQFYRDCRVTGTVDFVFGNAAAVFQNCLLLARLPLPTQKNSVTAQGRLDANMTTGFAFQFCNVSAHDELLLAAAGNNGTAAGNNGTAAGNNGTAAGVQTYLGRPWKEHSRVVFMQSYIGAVVRPEGWLAWDGEFALDTLYYGEYMNTGPGAGVGGRVKWPGFHVMTSATEAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >Dexi9B01G0042640.1:cds pep primary_assembly:Fonio_CM05836:9B:42863518:42864091:-1 gene:Dexi9B01G0042640 transcript:Dexi9B01G0042640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRGLVAAPAPAASPPPSGRASVTTPPGKAGRISLAIPAAATLSLVLWSSPAENQKKYAEFDDRFKKSKVLQDLLEKSKKNKEK >Dexi9B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:9B:10298972:10299982:1 gene:Dexi9B01G0015210 transcript:Dexi9B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEEEFGIVAGLELLLDDDDDGEEDEEEEHDDGDVVGRLGEAGHLQLDDVYDEEVHGEQDGCVEEEEESLSLAAGRPCTGVRPRHDADGGDEDERDGSGEPRHEEHELGAVVVGAIDGTVDLGEDGAAEADESFEQAEHDATVLGEVLDGGDERAGVGERLGVGAHGDVEAHEPHGRARGAARDGEVDHEVAGEVHAGAAGEDDPGWGDLVDEPREDAHVGAHVLEEAQRVERLLVMPKMYVPPAAAMIRIDAKNMNQRPRITCSAKRIVPGASEPGPPNAMAVGTPAGLVVLGTATRRHKRLVSIEVATNTCVS >Dexi1A01G0027380.1:cds pep primary_assembly:Fonio_CM05836:1A:33184177:33185964:-1 gene:Dexi1A01G0027380 transcript:Dexi1A01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLHTERLNQEGECGSSSRDVGARGGPGSPSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGHLSVASIYAGNDSVELKGAEATGYSAEDVLMQEPKAGIVGHSLGGGTAALLTYTLREQKEFASSTCVAFAPAACMTWQ >Dexi4A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:4A:24017733:24018830:-1 gene:Dexi4A01G0020360 transcript:Dexi4A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRTNLTEALRSVHLLKIHGYCWNKAMDMLGSSSGCSITSRWDVGGHQWEVHLHYETPCDPIDEITLKLFLLSEPQRKFWASLSCRLVNPCQNLRPSDEKCMSYEFERRGECPKQVHLMRRDRVLSSGYLVNDTLTVQCTLTVLREHTLPSSDLHKHLGELLEGQRGADVTFVLDSGDRFPAHKNILAARSPVFMAEFFGDMKERSSQSVPIQDMQADVFKVMLHFIYTDTVPVLDEDHEAAVTMAQHLLAAADRYGLDRLKLICEDKLASSINVDTAATTLALAEQHNYSLLKAKCVDFIVKSPETLNAILATDGYRHLVESCPTVLTEVLMVAHGRRN >Dexi5A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:5A:13391523:13392883:1 gene:Dexi5A01G0015640 transcript:Dexi5A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAKEQRNPAWWGRRAWSLLSAVRARAPLVQCITNLVSMDIAANTLLSAGASPAMVHSLREVPDFTPRCDAVYINVGTLSEDWLPSMRAAASAGRPWVLDPVAAAASGFRMEACLELLALRPAVVRGNASEILALAHRSIAASSNFKGADSSHESVDAVEAAKVLSLSSGAVIAVSGAVDFITNGEQVVGVNNGVAMMQKITATGCAVTALIAAFVAVEPSDALVAAACALAIFGLAGEIGMESSKGPATLRMHLIDALYCLDEETVTSRVKIALQS >Dexi9B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:9B:10443163:10444112:1 gene:Dexi9B01G0015350 transcript:Dexi9B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYMPSAGYVASDVHLASNLCVQPHTELFDALHRTTGDAMPRYEFGGHRLLSDKDADAEERSPPARTIKLHLSGCSDEDRVTLALSDADAGVLAFADAAGLWHAFPGFEFLFPDSTTLPFNASYDHLIGGHRNLPKVPLGRSSALQAIQELSRPGTAAAAALVRLMAMTSEALRWKAIREAFGQRWESESFVTKEQAELVPHWVDLSYLVWRWEVTGAWEEESAGAKALEKIGIYNAAQALSVVDLLKRPKELCVGDGHGELDLAEEHGEKEEDEDESTIDEEAGEGGAAAALHRDI >Dexi9A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:9A:4461272:4461894:-1 gene:Dexi9A01G0007630 transcript:Dexi9A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGALRSRVLPLPASAATAAAPHGLLLRFLLSTAAPRHASHLRSRRAEIYAAAKAYAAAEMSQTMTPRFGRATRHPGGDASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGRGKYSEVFEGFRPGSDERCVIKILKPVKKKKVRKVCTALLWWVLHFYSLEP >Dexi3A01G0035760.1:cds pep primary_assembly:Fonio_CM05836:3A:41024534:41025040:1 gene:Dexi3A01G0035760 transcript:Dexi3A01G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQDYVLILASVAVLLVISAAMFLCSRRRQRRAPSPSQRRDAAGDDDVELGHGGVAGIDEAVLASYPTLLYNSSPSPEKKDEVTAAGAGTPVDGGSDAARCAVCLADYADGEELRRLPDCRHAFHRACIDKWLRRRPTCPVCRASPSPPATKAAANTAAVVVSVSSS >Dexi9A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:9A:16285622:16290047:1 gene:Dexi9A01G0021390 transcript:Dexi9A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVWERARRALATRLCMRFPARHVVAEKVPRELVGVEEPLPAAEVEAEEQQRDEREKSMGAVSPAPASESARRASRSGSQSPAKLCAICLGAMKSGHGQALFTAECSHKFHFQCISSNVQHGNKICPICRALWKELPFQGPLVADAAHGTARVNPSHGTARVNPSGWAQAGMLSANSLDAIPVFRTPASAMYSDDEPINLQSETAVDGGRDGNENETPASLEITTDTEFPAVQESVAQESFAILIHLKAPHAPASMDTRAPLDLVTVLDVSGSMVGMKLELMKRAMAFVIQNLRPSDRLSVIAFSSAAWRLFPLRKMTPFGQEQSLQSVESLVANGGTNIADGLWKASRVMEDRQARNPVSSIIILSDGVDTQNIPRLARIGGLPDYGQLVPSSILPGSGHHVPIHAFGFGLDHDSRAMHAVAELSGGTFSFIDAVGSIQDAFAQCIGGLLSVMAQETRLNIECADEGVLLTSIKSGGYVSGVDADGRRGFVDVGRLYADEEMDFLATVHVPAARGDTELIRARCAYRDAVTYDIVRVGGDPAVVTVARPAGAVTAAMSVQVEREWHRVHATEDMAAAQAAAEEHDYERAATILQSRRLDLESRVSLSSDRQTQALVAELGEMQRRVLNYQRYQESGRAYMLSGMSSHSFQRATARGDSTEIRGLVHSYQTPSMVDMLHRSQALLPEVVASQQLLNRSPTIAPSSRNPPPPVVRRGVFRTTKSFAGRSSS >Dexi6A01G0013800.1:cds pep primary_assembly:Fonio_CM05836:6A:21137354:21139421:-1 gene:Dexi6A01G0013800 transcript:Dexi6A01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDILVSYFREPEMRSAASDLLQAVRFQFSIEQVLWLLNRDLSRPGKLRNLNSYKSSGTRPLWDLHSNGDDMCQFADNDDVPMVGASFNPMCEPSDEWESKLDISPAQRLSQSREFGGLAKLAESQMSEKDFTFPCEGSCEEDDEFTESRIKEFLDEKVFVILSFSEVQKKWKEELDQELKREREMRSSGYGKASSPSPKSRRLTGKRDRSPVY >Dexi3A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:3A:915890:916221:1 gene:Dexi3A01G0001280 transcript:Dexi3A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKLSGAAVLLIVVVPVFMYAGALLIGIQLGRALERRHDSVTVSFSIRGALAYVAKGKKKK >Dexi7A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:7A:4531205:4532961:-1 gene:Dexi7A01G0001730 transcript:Dexi7A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLQTTTVTMSVDSSYDRTAELHALDASLAGVRGLVASGVKHVPRIFHLPHPEEQLGGGDQQPPSATVPVIDLGGDRAAVVDAIGRATAEWGFFQVTGHGIPEEAMASAMAAVRAFHEAESGEGSDKARLYSREPGKAIKYHCNFDLYQSPVANWRDTLYLRMAPDPPASDELPESCRDVLFEYAKQVKNLGDRLFEVLSEALGLEPSYLTDTECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLMQLISNDKFSSVEHRVVAKNAEPRVSIACFFSTHFHPASTRMYGPIKELLSKENPPLYKETLVRDYIARYYSVGLDGGQKTALTDFRL >Dexi5A01G0017060.1:cds pep primary_assembly:Fonio_CM05836:5A:20091006:20094106:1 gene:Dexi5A01G0017060 transcript:Dexi5A01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTMAKFGFLFFLTLFLQHPHNSASLINWRRSIASTAIWEPMHCNAVSISPSCNSFLYVTPEGRNLSETVSVFNGNASIIKPIKRLSGSEDLLMGVPCMCEAINNTLTAFFHDTQYKVEQDDTPDTVRINKFSGLAMSVGDGNDTGLAVNDTITVHLPCGCSSTAPDGVLSYAVQEEDTLSTIASLFRSSSQDILNLNPSVTNPDFIKPGWILFIPMGVAGSSKKKIGSLSIIIASSISAAILLLCVFTVIIRLKRRSSQHNVEAPEVKMERAPSNTSIAALESRFFPSMKITDMDPFQTERPVIFSLKVVGDATANFDEKRKIGEGGYGSVYLGFIGAHEIAIKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGDDHLYLVYEYVQNGSLNDHLHDPLLKGHQPLSWTARTQIALDSARGIEYIHDHTKACYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEEECVATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALMRDNKEVNKMKSLISIMRKAFKPDDLESSLETIIDPNLKDNYPIEEVCKMANISMWCLSEDPMNRPEMRDIMPTLSQIHLTSIEWEASLGGDGEVFSGVSNGR >Dexi9B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:9B:4780428:4780952:-1 gene:Dexi9B01G0007860 transcript:Dexi9B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSSSGGGAAAGGSDPRQPSTAKPYAPPKLSPQDLPIDYAGFLAVVFGVVGVMLRYKACSWIAIIFCAQSLANMKNFENDLKQLSMAFM >Dexi2B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:2B:29112753:29113679:1 gene:Dexi2B01G0018900 transcript:Dexi2B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEASPYATPSPVQPASSKGTKETVKNALSQWGRKVGEATRKAEDLSRNTWQHLRTAPSIAEAAVGRIAQGTKVLAEGGRDRIFRQAFSAPPDEQLRKSYACYLSTAAGPVMGILYLSTARVAFCSDSPLSYEAAGGDRTEWSYYKVAIPLHRLRAASASASKLNPAEKFIQLVSVDKHEFWFLGFVNYDSAVAHLQEALSGFHNLQA >Dexi5A01G0036200.1:cds pep primary_assembly:Fonio_CM05836:5A:37849960:37852825:1 gene:Dexi5A01G0036200 transcript:Dexi5A01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSPWPIINTQRNVLSILNSSSASGSNEGLRIVFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNISVSDPDEIPKVLCYSCTFLWLLRVHASLVPYMFNLSLSLLLILQKLFSQIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEAYIRLVRKHGLEISQPGLEPDKGVEKVHSMVVGDGEESTINNQSSFSDPVPFIWSYVKQPAHEKIGVVDSQWIAHQVIPSLGNQGKAENGKAPWEGVRARCRKEWGIFQTRLADAEKAYYMERGITPPNSTGT >Dexi4A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:4A:2107386:2110997:-1 gene:Dexi4A01G0002990 transcript:Dexi4A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYKFKTYHEVVDEIYHQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAFVIFQIGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQNDYFLHLKQSVNDIYCFVQYYFDSLLPRVPLPILRQVTGHLEKLKLPTKQSGMTGDSNRHESNDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTLPSKQDKERDGDRARSPSRKRRSRSREHDLDSERDRSDRDRGRYKDREHDRHTRDHRDREHDRHTRDHRDRDHHRSSYSDRDGDRRSRERRDRDSDRSGRSSTHRSRSRSPVRGRTDGDKHRSSPFGKAPESSNLAKLKDLYGDATNTKDDAGDDRAHRDSGTEEVIRLGGARWR >Dexi1A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:1A:6840400:6841932:1 gene:Dexi1A01G0008710 transcript:Dexi1A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPGQGSVEPLVVSGSGMSPGDGAAATVTNSSPHFVLVPMMTAGHTGPMLDMARVLARRGVLVTFVTTPLNLPRLGRVPGDDELPIRFLPLRFPCAEAGLPNGCETPDALPSFDYLENFHNACAMLAAPLAAHLRESDPPTWCVVSDAAQPWTGAMARELGVPRLALDTFCAFSSFCMRLMSVHSIFDEAVKHGDEERPVRVPGFPIDIEMSLSTSPANFSGFGKVFADEIMAENARADGLVMNTFTELEPLFVDAYVAALGTKIWTVGPLFLHTMPSPVITGTDDATAFRCVRWLDSKKPQSVVFVSFGSLARTSLPQLIEIAHGLEASDRPFIWAAKPVNLAEFEQWLSDDGFDTRVKERGLVVTSWAPQKAILSHPATGGFVTHCGWNSTLECIVAGLQMVTWPHFAEQFMNEKLVVDVLRVGVPVGVKAAAKWGVEAEAMTVTREDVARAVAAVMDGGEEGAARRARAETLGKKAREAVARGGSSDQNVAGLVEHVLGQRKPVV >Dexi3B01G0027050.1:cds pep primary_assembly:Fonio_CM05836:3B:22530717:22532850:1 gene:Dexi3B01G0027050 transcript:Dexi3B01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQCAAALCGLLLLSFSANLARSDDPPSTPVSPSAACNSTTDPTFCRSVLPPHGKDDLYTYGRFSVAESLAGARKFAALVDRYLARHRHLSPAAIAALRDCQLMAELNVDFLSAAGDTIKATDTLLDPEADDVHTLLSAILTNQQTCLDGLQAVATWSDRGGLDAPIANGTKLYSLSLSLFTRAWVPTAKPPKHPSHKGGHHGHGGKRKPSATKTATARRGLLDVTDDEMVRRMAIEGPERTVAVNSVVTVDQSGAGNFTTVGDAVAAAPKNLNGSAGYHLIYVLAGVYEENVTVPKNKKYIMMVGDGIGQTVITGNRSVVDGWTTFQSATFAVVGQGFVAMNMTFRNAAGPAKHQAVALRSGADLSAFYGCSFEAFQDTLYTHSLRQFYRGCDVYGTVDYVFGNAAVVFQGCTFYSRLPMQGQSNTVTAQGRTDPNQNTGTSIQGCALVAAPELAANAAFTTLTYLGRPWKNFSRTVVMESFIEALVDPSGWMPWSGDFALATLYYAEYNNTGPGADTSRRVTWPGYHVLGDGTDAGNFTVTSMVLGDNWLPQTGVPFTSGLLS >Dexi1B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:1B:10251457:10252089:1 gene:Dexi1B01G0010570 transcript:Dexi1B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTYPRHQLQLQANSINNGDDNVVDANGYSAFYGYGIAAVCVTIFVFCVLVSTVGVWKAFVFSTLAALLLGVAGRFAHKKGWFRRPSGRRAANATELVVVTVDATAGAQVNAPPAFAFRCPLEVVVCSVCLEDVRAGEMVRQVPACRHIFHVGCIDMWLHSHRTCPMCRCVVSTSEEVTVSPKDDVVAAAAAAEAPESSDDDDHELPPV >Dexi5A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:5A:11721349:11722595:-1 gene:Dexi5A01G0014610 transcript:Dexi5A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAPVTAGHRRFDYDVIVVGAGIMGSCAAHAAASRGARTLLLERFDLLHGLGSSHGESRTIRDAYADARYPPMVRLARRLWADAEAESGRRVLTPTPLLSMGPRGNAAVLAAARNAGAEEVDVVGRWGGAFRVPDGWVAAVSDHGGGVLNAAEAVKMFQALAVKKGAVVRDNAEVVDIGKGPGVEGGVVVATSAGEVFSGAKCVVTVGAWTSKLVKSVAGVDLPIEPLHTMVLYWRIKPGHESELAADAGFPTFSSYCDPQFVYSTPSLECPGMIKINYHGGPPCDPDERDFVSGGGDVVERVARWIDEFMPGHVETAGGPVERLPCMYSMTPDEDFVIDFLGGEFGEDVVTGAGFSGHGFKMGPVVGFILAEMAMDGEDWVEAGVELGHFKINRFDGNHMAKDKDDKGL >Dexi4B01G0021790.1:cds pep primary_assembly:Fonio_CM05836:4B:23664699:23666763:-1 gene:Dexi4B01G0021790 transcript:Dexi4B01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYTLRDTPLQRWRILPWLPYILWLQVDYVRSLQADSILRLQVDSIRREASEILLEVKILLVFFTIVVEYLLLLRIQRPFEAPLDYETMAFADYMTLESRRSPAPFFDGKANNNLLHSNCKYPIMLRDGHWVSIKDLLHFIKHHPVSEEDVRVPDGVRESVARALQGIDGPPTNGSWCLQRNRDIDFDDLVWTLRQETHTHTILIWHIATCYCDMLPLVGGDDDLLQENHGVATASSRYCAYLVAFNTELLPEHSLNTKTLLQQVLKEAKDLLGRTQVSMEEKHARIQSLELPEEDSSLNTFQKGIRLGRRLTDMLTTVRWKVMAEFWAETILYVAPSDDAAAHIERLANGGEFVTHLWALLSNAGILKRATEEWSPPPPEPDSDIPIEEQDQLSVGPVDPVSTIVRQGLRLRRSNSLPTF >Dexi2A01G0033890.1:cds pep primary_assembly:Fonio_CM05836:2A:44068782:44075396:1 gene:Dexi2A01G0033890 transcript:Dexi2A01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSRRRSAFSPGLAVLLSGDEAKISPQKTHLVSYHDEIGHQAVERTIEHILDLPHKSVVRPPGQIDAAFVHSVLRNQARKFDLDWDKFIHGYHGSVLIVDKGAGQSKVVLDDSSVCGKFRSVRGPLLVESSGPFSSARANACVWKGKWMYEVTLETSGVQQLGWATLSCPFTDQRGVGDADDSYSFDGRRVTKWNNDPKPYGQPWTVGDVIGCCIDLDAGEISFYRNGASLGVAFDRIRNAESRKGYYPAISLSEGERCHLNFGSHPFRLRRCIVSFAAWYRATLFSPWKQRGMYATCMWVVELLLVLSDSKTIFQYVPEFYVESLVDCFHALRRSDPPFVSPAVFLKQGLASFVCSGFASTKNGEPSSSATFQVLLRETCIHEQELFLSFLNRLFNTLSWTMTEFSMSIREMQDKHQVADLQQRKCSVIFDISCNLARILEFCTREIPCAFLMGPDMNLRRLTELVVFILNHIISAANAEFFDISTSEHRELNDVIAVFASMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFRRITMAVDDEEDRSKKMGDEEEDDTCCICYNCDSDATFQPCHHRSCFGCISRHLLNSQRCFFCNAVVTSITRIADS >Dexi5A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:5A:23482522:23485936:-1 gene:Dexi5A01G0019500 transcript:Dexi5A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATRSRVTAAGGCGGDGAQAWGSASAAPALRHDARAPREGATIHNHHRLKEKLHALTLLHEQHKQQLAASEARGAAARQHRRSIRLLNAAEIVNDENAEGEEGGEVAMLHHNAFALVPETAVLRENMAPPQARAPSKDSHVVLFAPPAEPQEKENVVGRAGNIMSCPIKKAVFPALRVPRAPPSRKLSLGGTVGCKLKAAGEVGVGTAEVAENRILVFVRLRPMSKKEEEAGSTSCVKIVNKKEVYLTEYASENDYLRLKRVRGRHFCFDSAFPDSTTQAEVYSTSTADLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMENPGIMVLAIKDLFSKVRQRSHDGNHSIQLSYLEIYNETVRDLLSPGRPLHLREDKQGIVAAGLTQYRAYSTDEVMKLLQRGNKNRTTEPTRVNETSSRSHAILQVVVEYRSMDGVNIVTRVGKLSLIDLAGSERAMATEQRTQRSIEGANINRSLLTLSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMVANISPSNLSYGETQNTLHWADRAKEIKTKAFTTANEEVLNVAYSETDQAKLLLELQKENSVLREQLVKLQQKLLTVQAQSIASNTSPQQSPVPSSHVSTPCSTQRKVKRSILAGNCLNTPDAKRLAADNTKIGELKRKVKTLEAEIEKIKKKHILQLKQKDEFIRDLINRKPSNNHEEASGDRRVVTR >Dexi5B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:5B:16831417:16832110:-1 gene:Dexi5B01G0016710 transcript:Dexi5B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding STNLAAELLLALFVDAKTKGLPARTTKVALAPPEQRQTVAEIEHACRRHMSRLYAELAALLPHLPPRAGGEGEDPRGDNRVRGGAAGHGGGARIPRRFRWSRAADCGRLRQCTWRGARGREGVVLCSPDATARWPGVLTRVLQVFRRHGVQVQALTVTSNVREGTVTVTTTAMAPSIMEAIKADISTSIL >Dexi9B01G0021620.1:cds pep primary_assembly:Fonio_CM05836:9B:16358250:16358753:1 gene:Dexi9B01G0021620 transcript:Dexi9B01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDTTSRRGIRANTWRAEGRSRHLAYPSRSAFHETRLGRGISSNTRRAWSGSAQAAYAWTRELATWRSAGRSPRRSGAAAMEAEDRRAAARARKGKVRWDGRRAERRRRMKREKEREGDGEREVERMRGSRSPVVSVRPLRWRRRCGSSGGEEGGGGMSRR >Dexi5B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:5B:2442197:2444315:1 gene:Dexi5B01G0003610 transcript:Dexi5B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYLLLAALIASCHAQPTSGDRRRPIWPASLAALAAEGKLRTDLNATLPASMDFGNITSALPAAVLYPSSSGDLAALLAAAYSTAGWPYTVAFRGRGHSIMGQAFAPGGIVVNMPSLSGDGDSSASGAPPRINVSADGRYVDAGGEQLWIDVLRASMARGVAPRSWTDYLYLTVGGTLSNAGVSGQTFRHGPQISNVYELDVITGHGEMVTCSKELNADLFNAVLGGLGQFGVITRARIALEPAPARSRWVRLVYTDFATFTGDQERLIKLLPDGHASGPLSYVEGSVFVNKSLATDLNNTGFFSDADVARVVALAGERNVTAVYSIEATLNYGDGNASASVDEVLKSVLDGLRFEPGFSFQRDVAYVEFLDRVHGEEVALDKIGLWRVPHPWLNMFVPGSRIADFDRGVFKGILQGADIVGPLIVYPVNKAKWDAGMSAATPVEDVFYVVSLLFSSVANDLERLQAQNQRIVRFCDLAGIEYKSYLARYTSRGDWVRHFGSDKWKWFVEMKNKYDPKKLLSPGQDIFN >Dexi4B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:4B:11781449:11782002:-1 gene:Dexi4B01G0013330 transcript:Dexi4B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTLVSIPIAVLWFYTGQILLLCGQDPDIAAEAGTYARWMIPALFANGLLQCHVRFLYTQNIVLPVMASCGAAAACHLVVCWVLVYALGMGSKGAALSNGVSYWLGNVVV >Dexi3A01G0026800.1:cds pep primary_assembly:Fonio_CM05836:3A:23343278:23345945:1 gene:Dexi3A01G0026800 transcript:Dexi3A01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVERPEATEEEGASAAAAAGEEEDTGAQVAPIVRLEEVAVTTGEEDEDALLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVVSTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFKDQVEEIAESLASSDVKETEGGASSAGLLEKLTVSESKSQESVKAESAESGKEIQSKAEATPSE >Dexi5B01G0023020.1:cds pep primary_assembly:Fonio_CM05836:5B:25277564:25278454:-1 gene:Dexi5B01G0023020 transcript:Dexi5B01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEEDEEEAEAPPPPPGGGVCLDLWHACAGPVAPLPRKGSAVVYLPQGHLEHIGAGDAAPAAAVPPHVFCRVVDVSLHADGATDEVFARVSLLPEDEEAERRARTREDEDAARDGEGGGGAMKQLARTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPFQELVAKDLHGTEWKFRHIYRASS >Dexi4A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:4A:46978:53490:1 gene:Dexi4A01G0000060 transcript:Dexi4A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSSGGSACASSPPPPPPRAMKRELAFALRSLSQISASPGRTRSGRPISSLPDPSASMSLKRRKRSDPPAAAATDLVSPPTPPVDAEPPTQPLRDIIEPVDGSIPPTAADHQSNSYAAQEVIAQNMLETPEPLHAEAMAAAAGEDSVIALPNVPMENCVGTLDAAPIPVEPAVAAGNDQCDNSNSNGGNLQPQAWDNALAPDALLVEDTATPVATAELKPTRRFTRSLLKNKPGIEESADGESQATPDGSEDAPFDLALLLEKPHRRFTRSLLKKKVESSIIGSDDVLDSASDSPPSVKKMEMKMSKKVACLTKHPVNIRELLNTGLLEGMPVMYIIPHSKKDVLKGVITGCNILCFCPSCNGSKVVSAYHFEQHAGSTKKHPADFIYLGNGNSLRDVLRASERSPLEALEKTIRSSIDPVAKSRMNCLNCNEHVLPPLQTEHVLCRRCLESKQPQDPPTPSYPCKSNSSFIPYSKGTLLKKMSSSKKGGSAGKVTNKDNKLHKLVFNVLFDGTEVAYYVDGQVSPSAFESHAGEGSRRKPYDNIFTSNGVSLHELAMKISKDMGRSERETDDLCRECGHGGDIFPCKICPRSFHPACVGLPEVPVEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVTISDDLGGCALCKKKDFNNAVFDERTVILCDQCEKEYHVGCLQSQWQVELKELPEGEWFCCSSCFETRSSLEKIISDGAQLLTDLDIEIIRNKHDTRGLCLDNSTNIKWQLISGKRASEDGKTLLSAAVPIFHVGPILFDLIVHILHNFMQSFDPIIEVNTHRDLIPEMVHGRGPKDGMAGQDYSGMYCALLTVGSTVVSAALLRVMGGDVAELPLVATSRDVQGLGYFQALFSCIMRMLVSLKVKHFMLPAAHEAEGIWMNKFGFSRIPPEELEAHLNGAHLTVFQGTSYLYKAVPLPSSQEDECSPAIIAAERDESLAAE >Dexi4A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:4A:1518229:1521571:1 gene:Dexi4A01G0002200 transcript:Dexi4A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASRSAATVSLDLAAAPAVLSRGRRPGSARLSAPRPAAAGGLRMRSRAVVAAAAAAAAPAKAGADEVVLQPIREISGTVKLPGSKSLSNRILLLSALSEGTTVVDNLLESEDVHYMLGALKALGLSVEADKAAKRAVVVGCGGKFPVEKDAKEEMQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRIKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPKNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTETSVTVTGPPREPFGRKHLKPIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACAEVPVTIRDPGCTRKTFPNYFDVLSTFVKN >Dexi4A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:4A:18682674:18687801:1 gene:Dexi4A01G0015650 transcript:Dexi4A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQDAMNGYEEEEEEEEEVEEVEEVYEEEEEEGDGEADDGTAATDAEAAAEAPEAEGDAEMRSGGGGRGLAEAVGDGDTGGEEGRDADSGGGDASGKIFVGGVAWETTEETFTKHFKKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHVIDGRTVEVKRTVPKDEMSTKDGPKTKKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSQGRMHDLGGKQVEIKKAEPKKPGGDSSSNGRYSRGGGGGHRDSYRGSGGGGGSGSSSSGGYGYGYGGGNRSAAAYYGSTAYGAYGRGYGYGSAAGYGSGYGSVYGGSMYGGPYGAYGAYGGAYGGGAYGAPGGYGGVGGYGGYGGAGGMGGGGSTGGHGRGSSRYHPYGK >Dexi5B01G0028940.1:cds pep primary_assembly:Fonio_CM05836:5B:30206362:30209389:1 gene:Dexi5B01G0028940 transcript:Dexi5B01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPILRRVSSALSTALLEWILMLLLFIDAVYSFLVTRFARFCRLPAPCPFCTRLDHVLGNEKPCFYRELICKTHKSEISSLAFCLLHQKLAGAKSMCEGCCEKTSDRNTEDEMDVNELDGNQRNDDVWNSPPTKTCSCCGQHFKQQSVLLSCRKIAELENTEVVGSPKVYTDYTVAGQVDESLEPKDIYHQSDQTSHDRESLLQMTSDSEIEVPCAHDLRSSHSCEANVMEEDFQQDTTCEHPVLPSLEVIKESERKVEKDLNVTHTCDTSSVCPGADDHPVSGIDGDQIKENESLLTKWASQQAPILVSEDSGSKDADISQISAASSVDLPQILGETELSQNKNEGNADQFTSQFTILEEHYAVSGETKIKEFIHFCELNPTRTFLFPLSDDCGDIHVSQVGADSEAIGEVDGCTKKIEPAGDMGTHDGLIVQYPFDTIPEDKGHMNEAQITAVAVRSSGTDVECSNTTGATVERRPSLSTQISMNEAYRLAIGSKSSLPSPTLTDVILGKDSTSSINEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDELVVQNITKRISLERNTSGLESLDGSIVSEMEGESAIDRLRRQVDLDRKSIHLLCRELEEERNASAIAASQALAMITKLQDEKAAMQMEASHYQRMMEEQAEYDSEALAKANELLAEREQQIEELEVVLENYRRHYGGEPIKKQAKAPFEQENADTGFIEEGDFEDPIINKPRSTNSLVSFEEERAYIATSLRKLERKLQSYSNNNTSDDLSSPDPIEDDLSNKAPIAEDSALYCQDSWGKGGSSSVMSGEVDLTTVQEEIASLNRRLKTLEGDRNFLEHSINSLRNGTEGLMFIQEIACNLRELRAIATDKK >Dexi6B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:6B:13936475:13938353:-1 gene:Dexi6B01G0009540 transcript:Dexi6B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIIGVSFPVQITPKLTPLMSFGDGNCTLGGSSIIGRFSAPFRNNNSTKVVMPAKPKGADKLPPNVVDRVSDLHLRRLWGHPREVADFPDTPTRKYLLVLTVGYSDKVNVNATVHKFSENFGLMLFHYDGRTSEWDEFEWSKEAVHVSAMKQAKWWYAKRFLHPSIVAPYEYIFIWDQDLGVETFDAEEYIKIAKKHGLEISQPGMDIIRGVKTYDVNVRRNDTEIHTSTTVGKCSEDDNAHKQPCTAFVEVNAPVFTREAWTCVWHMIQSDLVHGWGLDWNFWRCVDRLRSCVQEPEKQIGIVDAQYVAHHVGFTLGNPGNDTADGSRRKVRLRASSEFGMFKARLHNADKAQAAAHLAQSDAAPVARS >Dexi8B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:8B:22087776:22088268:-1 gene:Dexi8B01G0012730 transcript:Dexi8B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGETDTYEIYLWKQLRQQEGLNNKDIDKVAESYFLAATLIATVTFAATFTMPGGYDQTKGVVLHGHSKAFKIFVVSNTISMCSSVVIFLLIWARQEPVSHRHW >Dexi7A01G0022250.1:cds pep primary_assembly:Fonio_CM05836:7A:30607172:30607637:-1 gene:Dexi7A01G0022250 transcript:Dexi7A01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPGNKRVNPTHLSPVSITRGVGARGSHIGRPMSARRPIKRPHGLPHPNPPPTPRFLPFVAEPHRDTPREGGGRRTRPRATPPDPPRHRSVRGAPSSPPLNRSVRSVELYPRFDLI >DexiUA01G0018740.1:cds pep primary_assembly:Fonio_CM05836:UA:39485815:39487387:1 gene:DexiUA01G0018740 transcript:DexiUA01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSANFVAADDFGFHTGATHPPRPDSFLQAAARASRTRCGPVARSRQGSATRIHGGGSTEGPHPMRAARFHAIAAFLPAKEGFLALISRLWEAQQDIATAGTCCLVVVVHNRMLFVANLGDSRARCVWHDILQACS >DexiUA01G0021200.1:cds pep primary_assembly:Fonio_CM05836:UA:43850822:43856586:1 gene:DexiUA01G0021200 transcript:DexiUA01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLTKLAELAVGEYKLQKGVKGEIKELEKELACISVALHKVSEVAADQLDDQVKIWACDARQLSYDIEDAVDTFMLRGKQHGHTDSSFSIKKLIGKATDLYKKAKTNHKIHNVIKDIMDQVKKVSERRDRCRVDNIAGARPTIEPVDPRLEATYRKATELVGIGVPKNELAKRILEQDFSLQQQTNIISIVGIGGLGKTTLANSLLQDLKSKFDCHFFVSVSFNPDIKKIFKNILLQLDEKEYSHIDEAWEIKLLIDKIIEFLKNKRGMYLICLKLEDCSGLDENHLKGLSNLYLMKFLRLKGLRVTKLPESIGNLESLETLDIRGCREVIMLPLYFGKLGKLVRLLASIVELPDGVVLENMKSLQELVGIRLTLHAMTEIGKLKELKDFIPEFPSGLQSFMCIVLLPAFPRWIDPSLSRLTILSIRLSGTLDGSS >Dexi2A01G0028260.1:cds pep primary_assembly:Fonio_CM05836:2A:39530283:39531172:-1 gene:Dexi2A01G0028260 transcript:Dexi2A01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASSSTDLSSTEGKGRRRKARKLAGGQGETEGKGRRRKIRKLAGGQGETEGKGRRRKARKLAGGRACEERAMGAGEAQAQHPSVYLTVNRGLWFTSTCGCFPLHKVEISSDALSSSRGAHVASLVASMKTDIGFKSFVSVGSRWIVGVGGNPGRTFFFDTKTGELIAGPNLVTPKLYPVVTAVGFRVYALSVTAQFEEGPDFTPWFEVLDLSKAMAVEGNLSLLDHCSWEAMPPPPFFACKLPTAEDYVMQPPIITVVSYVVVEHYIVLSVKTTMEHGYLCI >Dexi5B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:5B:10141854:10147405:-1 gene:Dexi5B01G0013890 transcript:Dexi5B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRPAEANAAPSDAGTAAAASAQNQSRGNGGKERAAAEPATATRVPFHKLFAFADSTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGAMSIHEVVSRVSNVSLEFIYLAIFSAGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDAYSTTGRKLEDIRGDIEFRDVYFSYPTRPDEQIFKGFSLTIPSGMTIALVGQSGSGKSTVISLIERFYDPQRGDVMIDGINLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHNELLRDPEGAYSQLIRLQEANRQETRKGDSNVRAGKQMSDGSSNKLCDEIPQEVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNSSTLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVGSIRTVASFSAEEKVMDLYKKKSSFYAGARLVEDGKTTFPKVFRTVALVGESGSGKSTAISLLQRFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIIAAAELANAHKFISSALQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAFVRNGVIIEKGKHDALINIKDGAYASLVALHSAAS >Dexi3A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:3A:10810828:10815990:1 gene:Dexi3A01G0014730 transcript:Dexi3A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSASTSSVNWLVEDDILLKNAVETGASLESLAKGAVCFSRKFNLQEIQDRWNSLLYDPEVSTQASSRMADYENELSTSDPAKAHKLFNTKAKDFSFQKRKIDSVKNLYYAVRKRVRNDPCNTADLGFLVAPCSCMANGGECVCGGLPNSIEPGLSSVSRYGQVGTSYNGGHTYPGMNEHSFHTKHAESMVTDGDDTNNIVYGYSDVGQIYEHHAYTANNHGNGEENNVSLKSITGFQDSMQFQQLDSNQCGNGGVGSKALVIPNHFSGSVQEPIPLQVIGQPEGSEAPGGAIWSGVQQRDTLTLSDGKNVNSENRDHLTFDANLDGGICASGLQHAEDFMDFPFFSNGEEFDILNGENFLNSPSDGNQEDLDDPAFKVVPGVRSTMQNLAHPNEANMSCDQIDPEQVKNNVDVSGMMLVPTSLEVPCPGLYVECKLNTEDPEIPCNDDVATPREYPLECCTSTLDQKSENTIYSTATSPPSNAEHSKANDLALIKVEDMANVQPSLQTVKISPSTLEQKEDSVAHDEVSVLGVQPSEGPSVTGGLLTTNADTNDANTCMLALPSFSAAGFGEGSHCSLGHHESFNNSHGVTLQNSVQAPDQMQHNSLDGQLELGDEAAQQNCMPSNALSDLGVQGPIATVPTQTQAEECPDNENDVPNYYDLEALILDQDLIPWDQDSELMHPEVTRFHHPESRKALIRLEQGARSYMNRAIMSHGAFAVIYGLHLKYYIKDPEVTLGRETADVKVDIDLGKEGRANKISRRQAVIKMDEAGSFYIRNIGKYPIFVNSKEIPSGKRINLSSDSLIEIKDMRFIFHINQDAVRQYIDRYLKPER >Dexi3A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:3A:1140265:1142991:-1 gene:Dexi3A01G0001700 transcript:Dexi3A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQDDSENDEQEQPLEIEPLRSLAPMIPTPLGYDVATQSTDPMLVIVAPFRPRTSPEQSPSSFGQPLPKSPNPLRATPISAAFPMPQCKDESSDEDYKPFCDQKKATSLKTAKRTQEAGYSDAANIKRRSTRRSLNLELTSCPSSSSNPNESVGEIMMMFDSLRRRILQLDEKVDASRRADLKAGSLMMQNGLRINNLKTMGPVPGVKIGDIFFFRIEMCIVGLHAPAMAGIDYIPAKNAGKDETLAVSIISSGGYENDDGDSDILVYTGQGGNSRHKEKHDQKLERGNLALMNSMKKKNVIRVVRSAQDPFYNSGKIYIYDGLYRIEGSWMDKAKNGFSVFKYQLKREPGQPEGISVWKMTEKWKENPATRDKAIVLDLSSKIENLPVCLVNDVDDEKGPSFFNYVTGVEYLRPLNKTKPLQSCKCPSVCLPGDPNCSCSQLNSGDLPYSSSGLLVKQIPMLYECSSRCQCSQNCRNRVTQKGVYLNFEVFWTGDRGWGVRSWDPIRAGTFICEYAGKVIDETNMKMDDEEDDFAFRTSWPSEKVAAGLCVSREVFCKGFWMLLATRETGDR >Dexi1B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:1B:9470837:9475163:1 gene:Dexi1B01G0010070 transcript:Dexi1B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATNEASRTTPPNVPRVQISTSATNPIITGTRAAIPSAAHHTPRPSHFPLLLLCLPASLRMSRAMPTRAPTPLLLFLFLLAVAGAAHGRVISDVVDGGGLAGASTVLRLPSEASAAVGADGGGGGCEMTYGFLPCTDTVAGNLFLVLAYGFLMFKAATYLSAGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTATDSRDTKGFSLFGSGVSTDAQTSYAARIMAISVIPFIIVQIPKLFKLHSGHRLSVLLGLIVAALLVLAYCLYQVFQPWIQRRRLEYARLKHVMSGLLKHAQKHVFGRLIDEDGTPNVPVIEKLFQKIDLDNDGKLEHGELQAFIIGVKFEDVDLDSSLAVDQIIADFDTSQNDVIEKGEFVNGILRWLEEAKRSVSDSGAYSKKFLQDFHTRTRDEHNLLLGKDDEDGEAIENPTWTSIKAISLLLLGTAMAAAFADPLVDAVYGAVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIIFLVCTIMGLFTSFRTSFPLWTCFVAYLLYPLSLIMVYVLDFKFGWSRPGVARQVSCNQQADILTYTV >Dexi7B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:7B:19628802:19632085:1 gene:Dexi7B01G0013050 transcript:Dexi7B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSSGSRALVEILTRMQSAERPMPVDHTFFEFGSIRYHVEASVSDHENVYLSISTPSLSLEASPSSNGWPEATLQEARKTYHRFAEVVEPPREGYVLTLKLNFSGLTRPKDRVKAINQVSRIQSLVLSSQLKDVLGSLGSSGTMKLVYNQREPFFVSKTDEKINAVFPMRFRDDTDLAIATSFFQELQELGSSLVKKAPTCSWSPIPPPELRGEHVHRLTTNGGFVSFGVLPRHVRGKKRAAKTVWILLNFQAYVKYHIKCTRSHIQSRMRERLEALTEVIQGARLRGNDDTRRSQAVAKKRGSKSRLISLAKANKRLQKGFRAVLDKIKRLRLRIRVKGLDRLRRQCQCFPVPKLPGPSPRRKVHRYHKLGK >Dexi2B01G0023610.1:cds pep primary_assembly:Fonio_CM05836:2B:33164158:33168426:1 gene:Dexi2B01G0023610 transcript:Dexi2B01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEPAVKHCRERSELLALAIRHRYTLADAHHAYAESLRAVGGLLHDFLRGVQSMPPPPPEPELRLPPQRKGDGLPAASPPPAIASSSSAAPPPASKQVRIAADDGHIHFESDDDSDSEGGHIKFHSDEEPDPAHRRPEIVRSAGAPGPPPPQMEPPYGQGYAPPSYGPPGYGYGYGSGPGPGPGPDYGGMGVNGGGYEPGYGGMGNGGYGQSYGGMGSGGGSGGGYDPGYGGMGGGGGSNGYDPGYGSMGGYGQSFFNISYARSKPPPPSVSHEQRLQATNARVHYYSGDGDPQPPPRGYGGGYSYPPQSSSSYNQYAYGGYYGGGGGAPVPPADIPSSSREPPTPPPPPPPPSVSSWDFLNPFETFESYYEQPTSAAAPYTPSRSSKDVREEEGIPDLEDEDTTEVVKEAYGDEKHSGKGFTGNGKGMKEEGRSSTGDELPRKSKSSEASSSGSSAEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPTGSEKIYVDDSEVVVELKAQFERASQSAGEVSKMLEVGKMPYYQKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKTEERMRVLYDRKREELKMLDEKGAEADKLEATEIYIRKLSTKISIAIQVVNTISHKISRLRDEELWPQTCELIQGLMRMWSVMLECHQIQLHAISQAKNIDSMIDAAKFGDTHMDLIKQLELQLLDWINCFAAWVSAQKNYVHTMNLWLRKGVDYEPEETEDGAPPFSPGRLGAPPIFVICNNWAASVTRISEKEVVDAMQAFASKVLNLWERHRSEQRQGMMANKGMDRDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLTAQALHEGGPGAEIGGLQTSLRNIFEAMENFTAASVNTYRDLQLRVEEEKARVAQESGRVS >Dexi3A01G0036500.1:cds pep primary_assembly:Fonio_CM05836:3A:42020404:42021866:1 gene:Dexi3A01G0036500 transcript:Dexi3A01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTPCLAPPSLPTAEEGSDLTPSSVLLDMEAYITAAAVSNATTAVGYMRTGKPIHITFYLTRPLRLSYLCAHFPGPAAANSLHPGAPAARCIKDPPIVISTHADLALLHITHPAARDLGNDKYHDYFVYTARPRGPSLHRLPYPHADAGFQDNEVAIFRCSGGGRYVIAALRNTCDTRKFRLQRYDSDTCRWTSTVLYVHAPVRDIVLPIPDTATELIYHNTDKVITLGGPRATIGWVDLWRGILLCDVLDQDKPRLRDVPLPKPSRANRQEARALQNIAVVTTSPVNKVIKYIEMEIRPGEDLPPPRQSACDSDSDDDAPPHVRVAPYWSATIWTMSLPIGSWKDWHKDCKVDVTDILVKNQRQLQQLMLLPQLTTTDDPQNLTMRLRRLYAAHPTLGLADHGDLANIMDNKGWVMAVGAMDKMLRGIAELDNRKNKHWMCCYMPTEIFKYLIKATVNL >Dexi5B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:5B:798535:801842:-1 gene:Dexi5B01G0001250 transcript:Dexi5B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASGSGPPPPPPPPPAAERDMGFAERAVSAAGAAVVSAILVNPLDVAKVRKPLLSISLHCTFLFPVKSSVVMINKHLQEGLFRLWRGTGASLALAVPTVGIYLPTYDLLRNWIEEYSDHNCPKLRPYAPLISGSIARSLACITCSPIELARTRMQAFKESNAGGKPPGMWKTLLGVLSSRQNISSPENFRRYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLTGLVGDKSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEASLGLWARMKVSAVCSGVRAHVWDVQGLRLALSSPLTRLRSTSCTGNMQSDDERQRSSCVELHYS >Dexi5B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:5B:3847176:3848170:-1 gene:Dexi5B01G0005680 transcript:Dexi5B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEGLYLSSLWSISFAGIIAKCLRCDPVKKGGVIRVIGDHADFWGSIRTTLLEKLRPPAKMLVPIWNALCAFKNSDSTRRLADPHPKDSACSPE >Dexi5A01G0034700.1:cds pep primary_assembly:Fonio_CM05836:5A:36681918:36685359:-1 gene:Dexi5A01G0034700 transcript:Dexi5A01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVNQTYFAWSQGESTERDGPEGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRTEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQSQQTELMLEYSGDGSDSSQTAEDDQGADIETPTGSGVPLDDYVEEAPHETMSEHGLQYYNDQGNENFNAEFSTSGLSSSAEVLHQVDQDVRGPVCGDNPASKMDVGQQDASSGHDSTRTTFEAARTPRRTIEKDSRLRHTPKIIPKSIRNLSKSAMDYTASERPGSVKPSTIVNQKTKTVQRPNAALEKMTGTPERSKLTGLRRPSSAGAQRPSTGERRPIMTANSKTHADVSTPQRPSTSERRPVIRDHAQKQTNVTTPRRPSTSERRLVTRDHALKQTNVTTPRRPSTSERRPVTRDHALKQTNVTTPCRPSTSERRPVKRESAAKRADISSVHRPSTGERCAIITRDIVLKTDVKKPSKARPTVAHTKGEAATVANMKKAVTPNAARSSKLETKSSVNLPPRKIFSSSVGEPALETTSRTKKKESDLLTTVANLFVGHSGDSAISSIHFKENSYFADGELKDEGSKSTSTTTSTTSAITNDEQTNSQYLIDWWKKAKGFNTAMALTQWRREPEHDGSEQRHYQPPFLWCISVPPLPFSFAEQLQL >Dexi5B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:5B:15335382:15336691:-1 gene:Dexi5B01G0016530 transcript:Dexi5B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAASHREQLLVDMVLAWCGGPGPSKLRRRDAGRVPGAAGLSLRCCHRCQAGRGDRDGALLPQLQPRLQGIRPPGPLPAPRLCHAATAARRGAVTEMVRFFRSCNLASRVFDRQVLSPRPGSAVSFVLLLSPFPRSVFGSCRVW >Dexi8A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:8A:1105200:1108123:-1 gene:Dexi8A01G0001580 transcript:Dexi8A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFRSTTRRDLHSSSSTTTSRSDPPPCPRRSRSRSVSAAPRARGADSLREEDYANTRTNPLFDAAASPSDSPSPSQGAASSAGGGDVPRRDRGREPVKSGGRAGGGRARSVSVAPQRRHTASAPSAGGAVDGRKASRARLVADDARPYRGSETDVETKDAIRQLQSWRNRHSISEGKHRGTDASCSSQGSTTGVGCQQTNEITNSEFSSFRDPVLEIPPEFDPDSAEFISDICDYATEYRKKDVVEIPLDFDTDAAELVSDMRIGQHWEQLEIPLEFDTDASDLVSEIWQHEANQRLEQLEAPLEFDPDTCGLAPDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTAPNFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSHEDPQSKSILNAQTKSNSRFSHKGRSSIQEPHSPSDQHAHHEGFDKQTQCSISVTGSDASDSVIFSNTKAHLKFGSNSTEDLDGFDTPRSRSSCFSFTHEPLKNIENCDVRQYLGNFGRGNNMEQRETRSTYFADDYVSQKVNLDLLKDTATFQNRMQYGGLLICNIRTF >Dexi5B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:5B:6093158:6095176:-1 gene:Dexi5B01G0008990 transcript:Dexi5B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQHGVHQLGALHELLRGSPPRAALLFYTLLLACPVILLFLRRVAMSSPAARAREQLLSRLPSPPWRLPVIGHLHLVGALPHVSLRDLAAKHSRDGLMLLRLGAVPTLVVSSPRAAQAVLRMHDHVFASRAYSPVADILFYGSSDVAFAPYGEHWRQVKKIATTHLLTNKKVRLVMAKIHKAAIAGMAVDLSDLLNSFTNNIMCNAVSGKFYREEGQNELFRELVEANISLKGGFNLEDYFPGLVKLDIIKRIVCAKARKVNKRWNKLLDKLIDEHERRLASQRGDEESDFIDVLLSIQQEYKLTRDHIKAQLEIMFEAGTDTSFIVLEYGIIKLMQNPEVLTKLQTQVRMAIPKGKEMISEDDLNVNNIAFLKAVIKETLRLHAPAPLLVPHLCMADCDIEGYIIPSGTRVIINAWALARDPRQWERAEEFIPERFMEGGSAFATDYRGNDFVYLPFGTGRRICPGISFAISGIEIMLANLMYHFNWVLPQEYKDRGFDMSESFGVTVHRREKLLLVTVLP >Dexi9B01G0038450.1:cds pep primary_assembly:Fonio_CM05836:9B:39515129:39522562:1 gene:Dexi9B01G0038450 transcript:Dexi9B01G0038450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPSGDAGVGAREGEGPGAGEEEVDEDEVEPPPAAVSFWRLFEFADGVDWALMAAGALAAAAHGAALVVYLHYFGRALNLLESQRVDSPLYGRNEELLHRFKEAISYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIVRGKADGGEVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSINQEGITLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGIALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRMPTKNSREPMLRNEVGWFDKEENNAETLSMRLANDATFVRAAFNWRVALVALATLPVLVISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLVQGLAIGFGFGLSQFLLFACNALLLWYTAISVDQQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLNSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDQNGLYVRLMQPHFGKGLRQHRLM >Dexi1A01G0031720.1:cds pep primary_assembly:Fonio_CM05836:1A:36577603:36578342:-1 gene:Dexi1A01G0031720 transcript:Dexi1A01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAASGGAAAAAAAAAAAGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQIYMFFLLLAVAILQAQLMQAKAQLACGGVQQGHSPVSHHQWDHGSITALLRQDAAACGGGARRAAAGGLDDCFVPELMAGDHCAKADAGGDLQYLAQAMMRSPNYSL >DexiUA01G0000720.1:cds pep primary_assembly:Fonio_CM05836:UA:2459233:2471180:1 gene:DexiUA01G0000720 transcript:DexiUA01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLPAMDTAAIGDVLLLLIAIAVPLLIAATIWLRRVDGAPPSPPSRPLIGHLHLLRKPPLHRSLAAVAIAAGEGGAAAPLLSLRLGTRRALLVTSHAAAEECFMAAHDAALAGRPRLLAGELLGYGYTMVVWVPHGDHWRTLRRFLAGEVFSPSRLASRAAHRRAEVANLVAGLLLLDADDAVAKTKKTTLRPRLFELVLNVMLRAV >Dexi2A01G0037630.1:cds pep primary_assembly:Fonio_CM05836:2A:47135640:47136946:1 gene:Dexi2A01G0037630 transcript:Dexi2A01G0037630.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCGVCRRETLPPTLDSNSQPPNLWDGTTRLYISYICPYVQRVWIARNFKGLQDKIELVAIDLQDKPAWFLEKVYPPGKVPVLEHKGNIIAESLDLLSYLDAHFEGLKVFPQDPAEQAFADELIGSSDSIIVALFRAGRAGGDGDGDGVREMVAPALEKDFFAAVKQYDMTQGRPKLKEWIEELNKIDAYAATWGDRRLQIAAMMKKFGVI >Dexi9B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:9B:6863291:6866961:-1 gene:Dexi9B01G0010890 transcript:Dexi9B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGMAAAPIRRALSTAAATPPPPLSSLTDALLATRLASHLLTTPHLPAALLPASPLPLPVHLHILRHPALPPASKLSFFLAATPPASPLLASTFPLLLRTLAAGSPPLLDALLPFALSSPCLATLLPSLLASLLSASRLDAALALLDAAPPDLLPRLAAAALPSLIDSPDPIAAVPAIRRLLPIASHPPPVRATNRLLQVLSKENLCDDFRYVFDEMSRRGLPSNVRFYNICIHAFGKWRQLDMSLKLFGAMKAATPPVVPDICTYNSVIRALVVGGRVADALVIFDEMRSFGIEPDVFTYRAVMNGCCKSFRMDDALLVFQEMRSRSAVSDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRRKGQLLDGISYSIMVREFCKEGVGDQVAEALELVKEMEQRGFVVDLVTITSLLIGFNRSKRWDLEEQIVKFIRDSSVLPDAIRWKSNMMVALRGPQDRGKDGTAMFSFDGNMDDVMSLVNPVGCSDSGEVPPNNDPKDDWSLSPHLDHLAKHADSLNNSAIFTMHRGQRVQGKALWREQRTNFQRSNNVYATFKCCPDSALTEADVIIMALHLPSERLILDSILLLVDMG >DexiUA01G0005660.1:cds pep primary_assembly:Fonio_CM05836:UA:10240112:10242601:-1 gene:DexiUA01G0005660 transcript:DexiUA01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMDSAGSSVATAALAPNGAAPASDDDGDPEVASCICISSMVYRGASVESHRLFLARRTALEMLRDRGYSVPEADLARTLPKFRAWWDDNPELERLAFSTTLATDPSNKVKVVFCRPEPVKIAVIRELYQGVKDDNLSRLILILQSRIMSKARESIKEIFPFKVDIFQITELLVNITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDSELTGNHVTYRCIF >Dexi5B01G0011790.1:cds pep primary_assembly:Fonio_CM05836:5B:8355537:8355938:-1 gene:Dexi5B01G0011790 transcript:Dexi5B01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLRAAALLLAVVAVSVAALTAPASAGGAVSAGDLLVVRRGGGRACRGGTVGECMEYFDVDAEGEGDVAGMATGGSKRRVLQGGSGYIGYDALRRDNVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >Dexi5B01G0033420.1:cds pep primary_assembly:Fonio_CM05836:5B:33835953:33839433:1 gene:Dexi5B01G0033420 transcript:Dexi5B01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRRPTEPGSPPLDGTVSAPTVTVNSISVAPYALARSPSVSAAAVDAEDGAVVRVYGSDGCPVAWRLRVALLYKAAAPLHFTPSEAAPLGRPVLRLSAADPEVCGTADELLRLVDARFEGKPRVTPPERPRAASPAAAAAAEVAEIVRLQHRSAERHLEGVAAKVSEMVKKGKKSGKGRTVVEAAEVRRLGKWYGDAMEVMLEHARMEETLIFPDIQRASFPVNEQHGRHLPMMNGIKEDIKTLLTLELGSPLFHEVLVNLSVRLKALQDHTKEHFKEEESDLLPRLEGVRRMQREEGKVSDKSSSAWASEAVSTMEVTHSKLFPFFMTERLEDANPSIIHNNPTKLYEHLLVKSP >Dexi4A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:4A:19200864:19204338:1 gene:Dexi4A01G0015810 transcript:Dexi4A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFALAAGPRARVLERAATRIPGCLYICLWAPVISGHLSSSPLRCVDAWISNTGGGHGVRAREAFEAYRRSLCAAVSGCVPGWAYKDGNPYMELPEPDLTASASLLVQQQFYHETGTKVAVFMGGEHGEIEVGLSSNTSAALSMVPQHVQQSLLEELMLLTPPTVPSSSSSSLPSLSIGSPEYSSFIRSMATTTAAPEPPSSQERQPLHPAVQQLPPPAYGHAPFMGGPDLDDAAIAQAMLAVISSSSATTAPPPRWSPRRRTGAFRAYNAGLSPKARRRPGAAGQRMVKTAIALMVSVHMAMREQERELAAAAARRQEDAAAVQPPQQQQQHTSSQIHHMFSERRRRERLNESFQTLRSLLPPGSKKDKATVLASTTEYMHKLIADVSELEKKNRQLEAQLGNLPLEIQQVGSVDSSSETTRVQVDVTTGASTSSAAGQASQEVSIRVTVRVECDLSEVVIAMLAGIKEMGRFAVVTVDARQRSSRHAQVSIIVRVSAGNDELDETSLKEAVAKAVEDAVAAPPSPQP >Dexi5A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:5A:4805090:4808689:1 gene:Dexi5A01G0006530 transcript:Dexi5A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDYMDPYEEAEAEAAAGAEDSDDEDDSDAESDYEEQSFGLLRSGKHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERASHRAFARFVRTDPSFADDLVGITGILGAIKPAPVPNANDSGNATGDAEANTAPAGSSSAPAENAVPQEVERYAWPWACVLAADAGFNAEEFADRVAMFSLVEVVPLFVDGVDGMESFAIVRFTNDWSGFNDALTLENHFSVNKLGKKEFETRSSGLDVAEGEGSKGEARVYGWVAREGDYNGGTVVGRFLRKHTILKTIDEVSKTELEKSGEMVARLASQIEEKNRYLQDLETKKNATELSISRLEEDNRKLHEAYNEEMRNLHRRARENALRIFQENENLKQDLENKRRELNSRAKQLEKLSAENDNDRKTLDDEKRKAKDDTSELELASIEQDRANEDVLKLLDGQKREKEDVFARMLQLEKELLEKQQLELEVARLNGTLQVMKHLEGDDDGDIHEKMEKLSERLEHEKKRLEDLSGDLVRKERESNDELQQARKELILGLEDELNGGTAIGIKRMGELDEKPFQSACRRKHGNDDYHAKAAELVSSWQEELKKPSWHPFKVVQVNGEAKEVLDDDDAKLKYLWIEYGDNVCNAVKTALVEINEYNPSGRYVVPELWNFRKGRKATVKEVLRYLFGQMETTTKRRRG >Dexi3B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:3B:879628:880235:1 gene:Dexi3B01G0001180 transcript:Dexi3B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDVGRWTTSELAKPLYLMRSRKPLFGEVPLLQAWENILDGAGGSKEIYVDGYLRNFSADVIARACFGSSFTKGEDIFCKLRQLQKAISQQDTFEILAYQEQP >Dexi8A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:8A:10920156:10922594:1 gene:Dexi8A01G0008810 transcript:Dexi8A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSWRALALAMAAVAWVLGGGFVAAFPAEDLVTRLPGQPPVTFRQFAGYVDVDVKAGRSLFYYFAEAQEDAAAKPLTLWLNGGPGCSSIGGGAFTELGPFYPRGDGRGLRLNKKSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDTRTADDMYKFLLGWYEKFPEYRSRALFLTGESYAGHYIPQLTDVLLNHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEVFLAISHSCDFEDYTFNNPHNESTSCNDAISEANIIVGEYVNNYDVILDVCYPSIVMQELRLRKYVFFLLMVQI >Dexi5B01G0022300.1:cds pep primary_assembly:Fonio_CM05836:5B:24521278:24522633:-1 gene:Dexi5B01G0022300 transcript:Dexi5B01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSDDRRRSRRRLLADLCGRGEPEEGEFVPGDHSDADTEEYYNNNRRGCSSDSEGTISDSFPANHGGASASSSPTAINNGGASSAAAAAVVLACPFCGKEFRNHKAVCGHMKVHREQGIGKKGKKGKVHQEQDKKDKKKKGIKRDVALVGEWGGTAKRGCSGTKGWAASPIAESGQSMAAAVAEAKMVVLAPMSLAFAPPNSPPVRMTPAKPNPPPVPTPSAAYNLSSVAVESDAMANDDSVESSSAMEAVAAGAASPPSEAVVHAAGEQTPPVHQQPVAPPPPPGGRQDPRGYTCNKCNKWFRTHQGLGGHVVGHKNRELAAALHGGAVPDTRTAKPAKAHVCKVCGAEFPGGIQLGGHMRKHYTGEPLNKKPRHVTPPGTATVGVAGLTVALSVKSDEASPAAKPAVVGRVLLFGIDIGTGVKTPAAQERSSATETSASTTTGGEQ >Dexi3A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:3A:2663191:2665280:1 gene:Dexi3A01G0004030 transcript:Dexi3A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPKKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFVDTSSKFGHGRFQTTDEKQKFYGKLKA >Dexi8B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:8B:20683185:20686712:1 gene:Dexi8B01G0011580 transcript:Dexi8B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPAVAVENGAVESEPCVAAVEPAGEHAGDRGDLASSAGAAAEGGSEAAATPAVASSDEAPAPAKATPAKHKPGSGAHKGKKLPNGKVPAAAAATTKAKRPPGVLSQSASFPARGPAGGARKSTATVAVAATPKQAKVPVANGSGSEAAASGRAAEKKPNPTRTPVARRSMPLKSGSVDAAAPNDATPAVQESHENTANALNQALPGKTEDDVRSTTSSTNTPRAAARKSAAAGFSFRLEQRAEKRKEFFQKLEEKIHAKELEQTNLQEKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTSSATAASADGSVSCESPRSTANSAKVNEVAESNKPRVPARKPVQRSVTKTTPQLSVTAKAETRPVVTKLKTSNSKPKVSKAKAAQVQDAPVEVPPTEPSAPEELTVEHGVGEATGPDLAAPLVASNEVPVHG >Dexi7B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:7B:7924818:7929240:-1 gene:Dexi7B01G0003260 transcript:Dexi7B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRTSPCLAATALSVALLLLILLHASSSPPLLAWPSSAAPAPPPPPPPRAERGAGRPPSFAYWISGTGGDGRRVLRLLRAVYHPRNRYLLHLDAGAGDGEREALAAAVRGEEPAWREFRNVDVVGDAYAVDRTGSSALAAVLHGAAVLLKMGPHWDWLVTLSAEDYPLVTQDDLLYAFSSVPRDLNFIDHTSDLGWKAHERFEKIIVDPSLYMDKNTELFLSKGTRQMPDAFQIFTVNADLRYFVWDDPPGLDPLVLNESNFQNMVNSSAAFARRFAEDAPVLKKMDDELLNRSPVQLVPGVWCPNLAKEQSGVSMESCLKWGDINAVRPGRAGERLRRFISEITETRGCS >Dexi6A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:6A:25644160:25647004:-1 gene:Dexi6A01G0017790 transcript:Dexi6A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDNMEGREDKKGVGSDYVSARNSFSSQGESRVPRKLSKKETKENSPRATKSSASRQAQNKPQHKGLNNVQSKSQRQNKTVSTAKAVEVRKPDIARVPSHPPSELSEETDDIISDAGTIDDKGNEEAKEIDVLDEAPHCDQSTGTDDDIPDIEEKIVHHGKSVVGQGNEESGTRIDKLEQELREVAALEVSLYSVVPEHGSSAHKLHTPARRLSRVYIHASKFWSVDKRASVAKNIASGIVLVANSSRNDASRLTFWLSNTVVLREIIAQTFGVSSVTPTMTTMNVNGGAKKVDRKPTAMLWRNSSNGKQDKLAAGQMPEDWQETSTLLASLEKIESWIFSRIVDTVWWQALTPHMQTLAEGSSTPKAGRVSGPALGDQQQGTFSVNLWKAAFHDAFSRMCPLRAGGHDCGCLPVLTKLVMQQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDKLGMDDDDSDIGNNDDERRGEAESKSFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLQLVTRILCNFTPDEFCPDPVPSTVLEELNSENLLERRTGKDVISTFPCIAAPVVYHAPSTSDAAEKVADIGGGAKLDRKASMVQRRGYTSDDDLDDLDSPLASLIDRRAPPSPSNGIAQFSAQRGAPMENVRYTLLREVWSERR >Dexi4B01G0022810.1:cds pep primary_assembly:Fonio_CM05836:4B:24356512:24357189:-1 gene:Dexi4B01G0022810 transcript:Dexi4B01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDYVFKIVVIGDSAVGKTQLLGRFTRGDFFLDSKSTIGIEFQTRTVDIGRRRVKAQIWDTAGQERYRAVTGAYYRGALGAMLVYDVTSRRTFDHAARWVDDLRAHADKSIVVMLVGNKADLAVAGARAVAADEAAAFAEEQGLFFSEASALSGENVERAFLRLLEEIHANVCTRRSLEATPDDGEAGAADVLLLKGTKLSLAEEMSIMETSALRRASSCSCS >Dexi2A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:2A:10362498:10362713:-1 gene:Dexi2A01G0009540 transcript:Dexi2A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIAAAGGVRGVVRVLVPVAVGAFMMHCGWGSVVESLRFGGHPLMMADRGVRRRWRGVMADL >Dexi2A01G0034520.1:cds pep primary_assembly:Fonio_CM05836:2A:44458882:44459514:-1 gene:Dexi2A01G0034520 transcript:Dexi2A01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKPFSMLTFLIIAASVVVLFLGLATGVAHAAGRRLVVSSNEDEPCKRMTAYYHDILYNNRFNNDTANATSASVTKPSPALSTSSSSNGNSTFFGMLVVFDDLMTSEQALTSEPVARAQGFYFYDRKEAYNAWFAFTLVFNSTSYKGTLNLMGADLMAEETRDLSVGGGTGDFFMARGVATVSTDATEGFFYFRLKMDIKLYECYLLA >Dexi5A01G0021190.1:cds pep primary_assembly:Fonio_CM05836:5A:25075249:25078570:1 gene:Dexi5A01G0021190 transcript:Dexi5A01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAAAMERRRSLHASSIPRTPASIHYGSGAIAGFYSQDQVTVGDLVVQNQEFIEATHEPGFTFLLAKFDGILGLGFQEISVEGSVPFEMSDFLIGGKSTGICVDGCAAIADSGTSLIAGPLVAIAQIHEQIGAAGIVNHECKQVVAGYGQQMIELLKAQTPPAQVCSKIGLCTFDGKHGVSAGIESEVGSADGMSDAICNACEMIVIWMQSEFNPNKTKDGTLEHIDSLHSVAFSIGGRAFELRPDQQYILKVGEGFMAHCISGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGNMRVGFADSA >Dexi1B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:1B:914962:915577:-1 gene:Dexi1B01G0001110 transcript:Dexi1B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGDEIDGDVSVGQKGFRDIRRYKCEFCAVVRSKKCLIQAHMVAHHKDELDISETYNSNGEKIVHEEGHRCLECGACFRKPAHLKQHMQSHSHEVGIQHLLSSYS >Dexi9A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:9A:3174261:3175801:-1 gene:Dexi9A01G0005690 transcript:Dexi9A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPLASAPRCRGAAHHGVAEGVAGDWSNGQVVSPKAELDMGSRQRTRDGTELPDLTLLCLKQIPKAMQGRRAPSLPPLN >Dexi9A01G0036990.1:cds pep primary_assembly:Fonio_CM05836:9A:41328160:41331712:1 gene:Dexi9A01G0036990 transcript:Dexi9A01G0036990.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAAPPEHAASLVIRRAPLLFALCSAAGWLPSAPCYLLRPPTAPRALPRACAASAPLPATAACSAARVRPKQAASGRVAVSDRLHSDLRTTSLVSLGAPATPYPTTLPPVVQHHQQQPDPAPAGAATLLVRHLPEAITQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEAAANHAHSLLNRLRFLGKVLIVERANPPNASNAHAKPQDQLVHGLSQVPGIGSQNQKNSTSTAEPIAPKLGVDYPFPPHLDWFLELMNILCPFYNHLPFYADVLHTVGNPNPPDLSALHLFRYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPHPPPPQPPTAEEPRSADLSSDESELESSDEDVDKRKSKRAKHEAIVGPAVDKSVAHEAVGVKPAALVSNELQVIKKKNPVLQIKIASKATQKEQPVPSTTDKELDSTNEQLEEKHFVTPQEIEKDKLPPEEILSLPMFKVHFNYTPGNPSSVLYIKNLAKDVTHDDFYYIFGKAVRLTLFRASASVFILKQNGLGIIELRLKANLVL >Dexi3A01G0026950.1:cds pep primary_assembly:Fonio_CM05836:3A:23515796:23517667:-1 gene:Dexi3A01G0026950 transcript:Dexi3A01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVHLLLIIHGDRTRKILQEEQNKNKYWSGYFGNKAAWPDFTNSPPAGEGGFQGPPSTWTPWTWTPNAAPPLSVPPSPAPAPSPAAIAKPLPAAHDQSPAYSISLPPQSAAVPADTSSKPVIAGVVPGARHSGHVSRHVYVIAAAGASLVAAVSVLLFLLCYRSSKVVTVRPWATGLSGQLQKAFVTGVPSLKRSELELACEDFSNVIGCLSDYMVYKGTLSSGVEIAVVSTTKSSAKEWSKHCETQFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLFEHLHVREDGHLDWPTRLRVAVGVAYCLEHMHQLSPPEILRTLDTSTICLTDDFAAKISDVFFLDEPREEEETSLSTLADRESVVYSYGMVLLETMAGRLTASRGGLLESWAAGYLRGERLLRDVVDPTLRGSFHAATVDRLDGVIRGCTDREPRRRMTMAEVARRLREITAMPPDAATPKVSPLWWAELEIISTEVN >Dexi4B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:4B:6367721:6369284:-1 gene:Dexi4B01G0008890 transcript:Dexi4B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAHAMLFPFPCSGHINPTLKLAELLHSRGVYVTFVNTEHNHERLLRTAGGLRGREGFRFEAVPDGLSEEDRRSPDRTVKLYLSLRRSCGAPLAALARRLGDRGDGVPPVTCLVISGLVSFALDAAEDVGVPAFVLWGTSACGFVCTLRLRQLRQLGYTPLKDESYLTNGYLDTPIDWIAGIPPVRLGDVSSFVRTLDPQCFALRVEEDEANSCARASGLILNTFEDLEPDVLDALRLEFPRVFTIGPLAAAMHGRSQEHDGNGSAAGLSLWEEDAKCMAWLDAQAPGSVLYISFGSLAVLSMEQLKELAWGLAGSNRPFLWAVRPGLVAGDRGADALPEEFLAATRGRCFIAEWCAQEEVLRHRAVGGFLTHSGWNSTTESILSGVPMVCWPGFADQYINARYTCAEWGVGLRLDEALRREQVAAHVEEVMGGVTDRAREMRRNAAVWKAKAEAATAPGGSSYESLGKLVEDLWLGDANAAATQEQDGR >Dexi2B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:2B:5528773:5531992:1 gene:Dexi2B01G0005940 transcript:Dexi2B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGTHGFETGPCILGYITSTGEYKVLRIRTYATCNDGETTFHLKQACDVMTLGDGGGGGTWRAMPCPPVLVSPQSGQSVVVDGVAYFLLSQLDINCDPTKFKPDTIACFDMATEEWRQETLRGPINSDLITAVDKKLMLDLHYNNLELAVLDGCLVMVQHGFRVFSIDLWFLVDMDVDKGLWTKRFSARYAPGFHKNSAFCINVLYTPAMALLESSRHGRKKPSNPAAPLADYGLLPADIMFDVLLRIPAKELCRLRVICRAWSLITHSPLFAKAHSSRHPLVLGLRNRSEVQFIDLSGNTVKKLATGACDLISPLELSSQLDLVCVSEITGRTCVVVNSATGAVTVLPRDIGIEQDINMGATHWHFPLPESTKWRARSPPRALFVSTTSRDRVVVDGVAYFLLEHGIEPDAVASFDMATEEWRPMTLQGPQTISLDEILNGYVTPSYDGILTLYIPTPSSSWVKKRKLLCLPPRTNSGMELSDPAPQHKPDEAVAGDPLIANDGVLPTDVLRGVLLCIPPKPTPHPSQG >Dexi3B01G0032560.1:cds pep primary_assembly:Fonio_CM05836:3B:34935092:34935825:1 gene:Dexi3B01G0032560 transcript:Dexi3B01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILPCYCARNSGTVTLLYTGLAAPSPTGPDVMSSGIGPYSLVDLAMSALQKHATDTLALSRLRFATTRCSTLLNLPLLTRCKRSPTFTSSAPGTTGTSTHPSPPARRACKPPASGDSSWASRRSTVRKLGSECALHPMVSSGSGQGG >Dexi8A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:8A:3908125:3909918:-1 gene:Dexi8A01G0004460 transcript:Dexi8A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSKLSLFLHLVFLVISTTTFTANVAAAVTTEVLPLLPQDPTPPQQQAAPPPVSFPGEWHLLHTNIGVSAMHMQLLPGDFVLMFDRTDTGPSNISLSPCSTTTVSPNSGAAATTTTTPDCTAHSVLLDLHTNLLHPYPLATNPWCSSGALLPNGTLLQTGGFSSGDRVSRLFSPSTGWVELPSSLAARRWYASDVILPDGRVLVLGGRRQFNFEFFPHNSGDPTSPELTFFPFLEETMELDVENNLYPFLHLLPDGTVFVFANDRAVVFDPYNRAPLRRLPPVPGGVPRNYPSSGSSVLLPLRPENPSHAEVLVCGGAPRGAYHLALRNGTFVAADRTCARISPTVDDDVGYSGETLAWVVEEMPVARVMGDMVLLPTGDVLIVNGAAAGTAGWELGRTPVTTPVMYSPEKMIGERFRSMASTTIPRIYHSSATLDTYGRVLVGGSNPHVGYVFTNVTYPTELSLEAFLPPYMDSKLDGVRPRMVAAPAEVGYGETTAVRFAVVGVSSGEVVGVGEVRVVAVAPAFATHSFGMNQRVVEMAVGRVVEMDVGVFEVEVAAPPTPGVAPPGYYLWFVVHDGVPSSAAWVRMRPLGPGA >Dexi3A01G0036580.1:cds pep primary_assembly:Fonio_CM05836:3A:42145436:42147443:-1 gene:Dexi3A01G0036580 transcript:Dexi3A01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRRRLLAAAVLLAVSVLAAEAGVTSEYRRKMEATVEMPLDADVFRVPPGYNAPQQVHITLGDQEGTAMIVSWVTPNELGNSTVMYGGSPDKLEHQAVGTHTRYDYFNYTSGFIHHCTLKKLKHSSKYYYAMGFGHTVRTFSFTTPPKPGPDAPYKFGLIGDLGQTFDSNHTLSHYEANGGDAVLFVGDLSYADNHPLHDNNRWDTWGRFVERSNAYQPWIWTAGNHELDFAPELGETKPFKPFSHRYPTPYLAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQAELARVDRKTTPWLIVLMHSPWYNSNNYHYMEGETMRVQFEKWLVNAKADLVLAGHVHAYERSHRVSNVAYDIINGKDLPVKDAAAPVYVTIGDGGNIEGIANNFTEPQPSYSAFREASFGHATLEIMNRTHAYYAWHRNQDGAKVVADGVWLTNRYWMPTNDDTN >Dexi3B01G0029430.1:cds pep primary_assembly:Fonio_CM05836:3B:28628160:28631199:1 gene:Dexi3B01G0029430 transcript:Dexi3B01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRQVVAEPSSSSAGATTPTPTPTEKGKKKDDSWEYRLRKYLLLLATLVATVTYGAAFNPPGGVWQNADPAANVIAGDPIIRKTSYRRYLIFFYSNATAFASSLVVIVIILILAVLNERHEASLVAPLRILRVVMVLDLFSLMGAYAAGTFRDSLTAIYSSLLLAGIVVYLAIHMALALLEYSRNRRIRQSQTNDTTRAPADDAAPPVAGSTQPAKDDTALAKLRKALMLLATFAVSVTYVAGLSAPGGFWDHEEGGHKPGDAILKGGPHDRRLKAFFVCNTTAFVASLIIIIILQDKRLSFSRNFKSFELYGFIAVTLMGLVGAYSAGSCRQIDTTIYVNSLVGAVIVFILVQAALHFFQIVIFKDAMEWKPLKCICDKVSGCLLRIQKFCLGEENASSVDQQKKLALEKARSLVLLLATLATAITYQAGLTPPGGLWQDDDSGGLYKAGDPILLTTNPRRYKAFYYSNSVAFVASLVAILLVRMKTLHYHNALEAAMILDLLGLITAYAAGSCRDVTTSIYAMGLAGAVLVYVVIHVVMFTLDHMDDGTSPPTPSSLEEQRKLAEKRRKRREELEVVEKRRKRLLLFAILAATITYQAGLTPPGGFLQTDDKTTGHRTGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIILLVNPNMYRPAIRTNALSVCTAAGLIGIMGAYAAGCTQHLKTSIYIFALAGFVFIVVLVVVVYLAMKEREKNKTKDQPGSISQGRPAAAANGNTTGSNKGEENKSSGTTEITPDLEAGRNGAVAAQPSPGGSSTATDTGNTTGKDDVEKKLREKRKFLMLLGILVASITYQAGLAPPGGTWQSNLGGHAAGDPVMHDNRRHRYLAFFYSNSTSFVASVVVIVLLLPPSMPKKWWPWWLGVMNATIVLDLLGLLIAYAAGSSRSWKTAGYVSALVIAVLAYFVVHVLVSCFVRIMDKRRQEQHSSSHSTTTTQQNPGTNGQSPSH >Dexi2B01G0023600.1:cds pep primary_assembly:Fonio_CM05836:2B:33144707:33147240:-1 gene:Dexi2B01G0023600 transcript:Dexi2B01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTAGESKSPARALRRLAGAAVAAVLLRRSFSASKWYAVSLRPVPKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDKQEDTARIRVEHVIREQNFMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDIFEKKYGKDFVSAAVDLRPDAAVNTLLIEKLSVKKPSGQTKLKVLKDIAKEHQIDWDTTESEQELLKPSEELIKGPSTFVEASNMPVKTTLTPHVVQPIPTNFSPGYSDDEYDNGDTMQFRDAASAARAAAESAERAAYAAKAAADLANKNNHSLDGVEGFQDSSHESTHHPRKRQSMSNSSRSSRKEDTDAFEELKPHGGKASSAGSFSGTTHREDRDNYPAELDARKTRPRNSRATRKVHSDIKFDDSEGLCSESEDENDVEIQSVERPLPPRREPFSENRHPEEEEPDNDFPELPKANIHSRVHPNMPLDYETLTARFEALKSGKLP >Dexi2A01G0035880.1:cds pep primary_assembly:Fonio_CM05836:2A:45392027:45393526:1 gene:Dexi2A01G0035880 transcript:Dexi2A01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREVEAMVMLWFQDAHQVGRCPNCGYGAGLKMVVPARRRRGATQGDCAGRVKTRGTHIGGGAEGSSSPGNPIVTLKRMMFLDYMFLHCRE >Dexi1A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:1A:11641651:11647588:1 gene:Dexi1A01G0012120 transcript:Dexi1A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKASMEAEPLLLAAATVPGTKLGGGEEESSAVGEVKKQLYLAGPLVARFLLQNMVQMVSVMFVGHLGELALASASLATSFAGVTGFSLLAGMACSLDTLCGQAFGARQHHMLGIYKQRAMVVLARVSVPVAVVFLQTQNLVVPVMLSSGATALCHPAVCWLLVRGLGMGRNGAALANAISYLANLSFLALYVRLSPSCKPTWTGFSREAFRGVAEFLKLAVPSAVMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNGFAFMVPLGLGGAISTRVSNELGAGRPGAARLATRVVVVLALAVGVSEGLVMVLVRNLWGYAYSNEEEVARGCGRQKVGAFINLAAYYLAGIPSAFGLWFGIMCGLVVQMLLLLSITLFTSWNKEALKAKDRVFSSVLPVDMAT >Dexi9B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:9B:6291021:6291326:-1 gene:Dexi9B01G0010140 transcript:Dexi9B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASMLFLSYHQLHQPAAPPRRKEETTAAAAAGGGSFRLTLSSVMSLPVFERRREAPATAEGKALREGGVGEDARAAAAGNKELEEKFEEALRLSCWSS >Dexi9A01G0030380.1:cds pep primary_assembly:Fonio_CM05836:9A:35407387:35408249:-1 gene:Dexi9A01G0030380 transcript:Dexi9A01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTALLALVIALGCAATTANARFTALQWTPAHATFYGDETAAETMGGACGYGNLYATGYGTDTAALSTTLFKDGYGCGTCYQIRCTGSPSCYTGSPVITVTATNLCPPNWAKDTNNGGWCNPPRTHFDLSKPAFMKMALWRAGIVPVMYRRVPCVRRGGLRFALQGNTYWLLAYVMNVAGAGDVAEMSVRSGAGAWVRMSHNWGASFQAFAQLGGRALSFKVTSYTTRQTVVADNVTPANWCLGLTYQARVNFS >Dexi1B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:1B:21252154:21253599:1 gene:Dexi1B01G0014830 transcript:Dexi1B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEWKPQETRAYATQLATSPPSSEAPTSPTPLLYKVTGAAQLANYARKTRNQMAPLCSASPRPLEAPGQPRRGARPRRTVAVAAGARTSGAEARASLVLALASQALAASQRRATDLAVEAAKYAFPSRRFEPRTLEEALMSVPDLETVPFRVLKREADYEIREVESYFVAETTMPGRSGFDFNGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGEPSSETMDMTTPVITKKSAGENKWKMSFVMPAKYGSNLPRPKDPSVSIKEVPGKIVAVAVFSGLYLVCLCHIHDPFHDCRFYFCSG >Dexi6B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:6B:2322489:2323085:1 gene:Dexi6B01G0002720 transcript:Dexi6B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKGGAAAAAAVVALLAAMAFVAMAAARPAHAAVTCAEVDANLIPCVGYVTGKDAAPPAECCAGVKRIRALPTSTAERRQACECVKQAAARFQGLDADAIRDLPVKCGSPLPFPLTLNFDCTTYNSMK >Dexi9A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:9A:7771339:7774396:-1 gene:Dexi9A01G0012280 transcript:Dexi9A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLSLDPAPFPLLRPAAGSGGGRVLPGPSPRLCRPLRAAPAVPATAEEPSSSAAARGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCEGRLLVSDRAHLLFDLHQIVDGLREAELGNSLIGTTRRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFKDFEYDNNILKEELEKYKRFAERLAPFIADTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDVIGVVKAYTTRVGSGPFPTELLGKTGDLLRATGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGISYYTDDGNTVQSFPADLDLLEKIKVKYEALPGWEEDISSIRDYNALPEAARRYVGRIEELVGIPIHYIGVGPGRDALIYK >Dexi2A01G0025220.1:cds pep primary_assembly:Fonio_CM05836:2A:36927708:36928745:1 gene:Dexi2A01G0025220 transcript:Dexi2A01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDVSKRFVLQVEMQCRCIGCVKKVEKAMASIGSLSGIETSVGDFGTGIVTLVGKVDPTEVCHWLKKKTKKSVKVVHPDPAIQNHNQKTVVVVRSSSTAWHTTPSAPPLQDDISRALAPAVYQHDHKILEMIEEKIRGLEKVRDELKIKNLENALVAAKNELKQSREAIDSSKEALLDSALNQLKAYNNLEALSHDSQSPYGYR >Dexi3A01G0029310.1:cds pep primary_assembly:Fonio_CM05836:3A:30754779:30757521:-1 gene:Dexi3A01G0029310 transcript:Dexi3A01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNLTTVKIRGCWSLKRLPDVGNGSKLVECDCEKEWWDRLVWDNDSQAGRYKPIHSQYYKENKLRGSVLRIVRAYSGCGLELEQIGKTMNRTENMEEGMGVPTNLPCPTAHRHSQSINRSSSSSMAVFAHWRKLLQSLQVPPYPIKSISGCSPQSSSVGR >Dexi7A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:7A:20250604:20251248:-1 gene:Dexi7A01G0009150 transcript:Dexi7A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPALLSLSPAVSRHPRLLFSRCPSPRHLRLAPPAATPSPGGSPGPGVFLSPRALSQLDELASFRYEHAFPHGLLTVRALSRGPDDDAVAEALVRLLASSFSETVRWAPAQRYAQLLAFVIRRYLHDRRGLAPHAAVLVGFYRPATDAGDATGDEGDDEGGEDGEGEDEGETACTAEVSFDAVGAPGAPPTPTPPLDFPYICNMTVKTPLRR >Dexi3B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:3B:6377313:6380470:1 gene:Dexi3B01G0009270 transcript:Dexi3B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEGAGEAYMADAAGAAPGLPVAAGRDRDRDKEDRRRWAARCGFAVLGIMSTLLVYGVLQEKIMRIPYGVEKEFFRYSLFLVFCNRITTSMVSAMVLLASKKSMDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVIVTLGCSLFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCLLSLSGLVLQNHLIPAVDFMFRHPDCFSDVLILSSVRLTSSSLALHCCLLPLKHCRNS >Dexi4A01G0009910.1:cds pep primary_assembly:Fonio_CM05836:4A:7837109:7837927:1 gene:Dexi4A01G0009910 transcript:Dexi4A01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTLPQSPLLRTAVKREIDAVEATAHTPAPPPRKRRRRGGRLPETPTQQIPLSPILLTPQTIPSGASGGASLAGLTPTPASSAVKLELGARTDVGTRGRAAGKESSKRGIRPGARPVAAEPPTLSLNRRCLGRILRELAGAHRWREAAGVVSTYLQGTRRPGSFEETRSLFVVAMEIHKQLAEGRGVRHGHMSSYYLRTKKLFDVWMRKLIWFPSCPELGRW >Dexi9B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:9B:1986925:1989202:-1 gene:Dexi9B01G0003480 transcript:Dexi9B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACDMFFQSCMQRFTTVSHAQSMPTLSVFALVRTGGTVSLRSASGAGMTALGQAKASPAQVVVHLLPQLLLLLAPPKLSNVIQDLVLSPMGL >Dexi4A01G0017980.1:cds pep primary_assembly:Fonio_CM05836:4A:21869770:21878893:-1 gene:Dexi4A01G0017980 transcript:Dexi4A01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKKSVCVTGAGGFIASWLVKLLLSRGHYEVRGTVRDPGASKNAHLKALEGAGERLQLLKADLLDYNSVASAVVGCEGVFHVASPVPSGRSSNPEVEVIGPAVTGTTNVLKACFEAKVRRVVVVSSGAAVFSNPNFPKGKVLDEDCWSDEEYCRKNQRSVCEREREHARSMEAAGKRVCVTGAGGFVASWLVKLLLSRGHYSVRGTVRDPGASKNAHLKALEGAGERLQLLKADLLDYNSVASAVAGCEGVFHVASPVPSDRSSNPEVEVIGPAVTGTANVLKACYEAKVGRVVVVSSVSAVFDNPNWPKGKAFDEESWSDEERCRKNQVI >Dexi5B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:5B:960347:963114:-1 gene:Dexi5B01G0001490 transcript:Dexi5B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAASSACCSCSTVFASSSSTPFRTSTPPRPPLRPLPRRARLPLPGHSILRCLPKCDSGKLPPPPQPVGAGTGLSVRKAGDEPAGRGGLRAAPFDASCGLPFATAVGAFLLIFFSELGDRTFFIAWQ >Dexi9B01G0010740.1:cds pep primary_assembly:Fonio_CM05836:9B:6758544:6759076:-1 gene:Dexi9B01G0010740 transcript:Dexi9B01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTGKISYPWENSRGWGCATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCAIHQ >Dexi9B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:9B:970096:970663:-1 gene:Dexi9B01G0001650 transcript:Dexi9B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGERKRKRELATEPMGARSWQTEQTSSSGGTADADEEELISSPSSPPLGWASHGNAPALPALFSPRQVVGNRPDWELPFAQPLEPRAIQQRHTASNF >Dexi7A01G0006000.1:cds pep primary_assembly:Fonio_CM05836:7A:17272272:17272810:-1 gene:Dexi7A01G0006000 transcript:Dexi7A01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADPAAPPAGGNAAAVSPLPRPSSSLIFLGTGCSGALPDTRCLIRPATPPCTVCSMGFSLSPDQNPNYRLNTSLLIDYCHDDGTHKYILIDIGKTFREQVLRWFVRHKVPSVDSVRS >Dexi7A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:7A:20448104:20450934:1 gene:Dexi7A01G0009440 transcript:Dexi7A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPNSGGDHAAGADATGPTNTTVTLPPLTLRDVPRLPSALASPSPAVPNPISRHPYYHPPSTFYISPGDVSLRHAFFDLASAAPSPLVAYRRAGPRRDLAVDPARARAALVTCGGLCPGLNTVLRELVVGLHELYGVRDVFGVAAGYRGFYGGDEDHVRLDPAAVDDWHKKGGTVLKTTRGGFDLGKIVDGIVARGYTQVYAIGGDGTMRGAVAIFQEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIDFYLEGKGGLFEFLYERIKKKGHAVIVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGRWWKREHSDELLTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPGPINGTYSYIPLEDIAVAKNPVDVNDHKWAWVRSVTIRQVPKWISAVRISCSALWIDNLKPLLDASTKV >Dexi5A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:5A:24065065:24066450:-1 gene:Dexi5A01G0020270 transcript:Dexi5A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVVKSAPELVPPAGPTPGGTLPLSSIDKTAAVRVSVDFIQVFPLAAEDQDAAVAAMRDGFARALVPYYPVAGRIKDVSPGEPVVDCTGEGVWFVEAAASCALADVNNLERPLLIPKEELIPRPPPEENLEDLIIMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSVAPVWDREAIPDPPKPPRGPPPSFTAFSFVTQVVDISPESIARIKGEFSAATGETCSTFDAVTAVVFKCRALAAGLPDDADVRLGFAASTRHLLHGVLPSVEGYYGNCVYPVGIPRSSKTIREAPLTEVIGLMREAKVALTARFTDWMHGGAKEDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDHVNIVASVIYLKPPAPKRGIRLMLRCVEEPHAAAFADELAKFV >Dexi1B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:1B:8034493:8035822:-1 gene:Dexi1B01G0009100 transcript:Dexi1B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSTLPLMEGEQDQGLFPAFHVMKDPPILFPFMINNNPVDQLQGPSIYGDHQHLRQKVLAESTQQFTDRMMLGGSDVFPRPSPFRPTIQSIDGDMIQRSVYDPYDIENKHADGSTSGWAVAPPAKMKIMRKATSEYPEGGAARKPRRRAQTHQDESQQLQQHDMGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAAAAAAANSNGGAPQAASVATQAKPAKKEKRADVDRSLPFKKRCKMVAVDHAVAAAKAMPIVTAPSKDQDQQQDHVSSDKVAAVELSLQSKVDTNPAPAMSFHAFPGDEITDAAMLLMTLSCGLVHS >Dexi6A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:6A:20683618:20685278:-1 gene:Dexi6A01G0013520 transcript:Dexi6A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYVLAAAGALIVFLYVLVMNRRRRGKLPPSPPSVPLLGHLHLIGRLAHRSLHDLHLRYGGGNGLLLLQLGRRRTLVVCTAAAATDMFKNHDLAFASRPRSVGADKLMYGCDNVSFAPYGESWRRAKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAAEAAGEGVELRGLLYGYANAVVTRATAGVAGATAERLKQLMAKSAGFVAGFEPEDVLPDAPARFVRWVTGIDKKLGGIVRAWDMFLSELIAAHEEKTANVAEEDEGFLDVLLRLRRDGAEGLELTDNRIKAIVKDVIMAATETSSDTLEWTMAELVANPRVMGKLQDEIARVAAAGDGQLAESDLNKMGYLRAVLKEVLRLHPPAPLLVPHESTAPTVVQGYEIPAKTVLFVNVWAIGRDPAAWDAPEEFRPERFMFRDSGGAPVDFRGTDYQLVPFGAGRRICPGISFALPVLELALAGLLRHFDWELPAGVRPGDLDMGEAPGLTTPRRVPLVLVPKCKMLPQPALQQ >Dexi9B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:9B:3444411:3446601:1 gene:Dexi9B01G0005830 transcript:Dexi9B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGGDDDEAFLLALDEAEAAALDSSKRRRLSTTSSPTPATPPAASEGSYLAALKGSHSSAWQQQQAQTYAHKRPDGSKTLAAGSGGTQVASGSCFKCGDPGHWARECPQSAPTIGGGGVIGGGAGGGYANAGGEVEEKACPCGAGSCLVLTSNTARNPGRKFYKCPMRDNGGCNFFEWCDAPSPSPANARSNTVFQSETSATGILCPCGAGACLILTTKTGKNVGRQFYRCPGNQVNSGLSFICRNS >Dexi5A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:5A:22524445:22525971:1 gene:Dexi5A01G0019040 transcript:Dexi5A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALDAALSYLPPPVTTSSASLAASPDGEGEDGISRLPDAILRTIVSRLPTKDAARTAVLSSRWRHIWTSTPLVLDDGAGGLAPAAAAAALASHQGPVLSARLASSQDPEAVAGVLASLAARDVEDLLVVVNGSLPLEWRVPSDDHDLHAVIPRCPVLETLAFALTQDYPRYVHIWSASLRCVVVWKSNLREVHRDDAPNVDRLLVEPIADAATHIKIIKAPKLKILGYFDVGLHQLKIGNTVIKIDTKVKPSAMVRTLRTLALKVQFGVEDQVKLVPTLLKCFPCLETLYIMSVPSEAPVNDDLEFWDQVGFTECVYSHLKKLVLEAVRGEESELAFANFIMERAQMLEDMHVLVHGSCSRDVVLSHLSSKGCVSPDAEVLVERRDGSAWAFQRTIDLLQSDPFG >Dexi5A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:5A:10453514:10454733:1 gene:Dexi5A01G0013770 transcript:Dexi5A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSAASLSSVSGARHTSSAIAPPPPRPSKTDVLPSILFPGPLRTANGFPHPKSFPYTSNLGELPVPTAATVSMSALYASLGSRAHRSTELLTMAFWSSTSDATARFNSRAAVPSPAVTSPAVDHTLHGTTAFPTYPAAGTPFTLPRHPISSTYAVSCDGSSRLARHTARHRWASRACREEMTTVTSEEECASAFALALSFLTLSAEKWKKHSCNGVRRSHRRTASSSLANGSRTGTGHRRVVVVVFIITMSAAAALAAEVRPRVEEVPERGAIADGVVERHGDEHGVGELGHLRGKQRGSSGPTVFRDGGVRAGQAVEREHGSHDVSGDVQSPGDVGGRDAGRRRVDELGALAGAPQRHGDRRDLPIPVALVNGDEAAGEGVEAGESAGERRRE >Dexi5B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:5B:9534378:9536088:-1 gene:Dexi5B01G0013430 transcript:Dexi5B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEPDRMRLYQVWKGSNKFLCGGRLIFGPDAGSLLLSTVLITSPLVGLCFQCVTKLDSDSSEKQALGMPVLVVTILLGLADLSFLFLTSSRDPGIVPRNARPPERGDDEPSMGAGDEVATPSTEWVVMSSANPHLRLPRTKDVAVAGGHVVRVKYCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTFLCLYVFVLSWLNIAAQRRSHGGSLLRSMTGEPLSLVLIVYTFVSAWFVGGLTVFHVYLMSTNQTTYENFRYRYEKKENPYDRGVPANISEVFCTRMPPSMNKFRAWVELPEPETTTFDGGPLSSRNKIDLAGPNEKIDLEMGTRNNPGGGVPAILQGLHYAEMEKNSISVHIKDRQSAEAPDPLMVTAPPRHDGEAE >Dexi6A01G0007370.1:cds pep primary_assembly:Fonio_CM05836:6A:7297335:7299834:1 gene:Dexi6A01G0007370 transcript:Dexi6A01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGVLAVQEVMIYLLRKGKGKAKVVVKKKKKKKLPPGHPDNWEATMAVRGAAQFQIVDTTGSPTAPEGPQPPQPCRSGHTRSQTKPLVTETPASTTSKARPRPPSTATPTPTRGKKRAREVVSTLPEIPEGPESSDSNTPTAAQAERLAAKTPMFIDYKPAKPNDRRRGHRALHHVAQQWTPKQRAQYEAEDQQLRQSEIEVGLEGIQQILDSDSLSDTDSEDDDFEIPFVPPFSAPHDSEAEGSRSIPVTEAAPISQAPQQPGAPPPTTGPSTAEMMAVMMQSIQTMSSAIVQMQAQQQQQARQHAELMQSLAEQQRRQADFTKYQFQYLFQQTGIAPPPVFPGPPLQSLPRPAHPSLPPRVPMSPVRPMASLNSPQLAPFEPVTTNVSVGLPDIPTTFGTPTSTFFGQFGSTPAVTTVMTETTPLTSPGSSQLGCSYTDLLNLDTPQSNQPQPASQIQLPPQPVPDSDIQSALEAQILEATSSGAAFPLPDPPVRTTSGATPFLDEIEKEAEEAATAAPPSMALDPPASAPPASDA >Dexi9A01G0029590.1:cds pep primary_assembly:Fonio_CM05836:9A:34518247:34519705:1 gene:Dexi9A01G0029590 transcript:Dexi9A01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCRYLGNFLFVSTRGLLNQSFMANSAKKMAATTEKVFVALPAEFKAGQTTLSWVLGHFSSSGATVVITHVHVSPQMIPVHCEKLVVEKEDVVTGLVELIVLHRVTKLVISAAADRQYSRKMDKPKCRTAAEIMQRADPSCNIWFVCRGQLICTRYNLM >Dexi3B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:3B:8066165:8067047:1 gene:Dexi3B01G0011470 transcript:Dexi3B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVKVYGPAVSPYVATVLVCLEEAGAAHEVLPLDMAAREQKAPHHLARNPFGTTPALEDGDLTLFESRAISRYVLRKYGSNAGAADLLREGNLKEASMVDTWLEVEAHQYHPAVSHVVRQCVILPMIGGARVVDEHAGRLGEVLRVYDAVLGERDYLAGDFVSLADVAHFGFTHYLMGTEYAALVEERPNVRAWWERLSARPAVRKVAALMSTV >Dexi3B01G0024390.1:cds pep primary_assembly:Fonio_CM05836:3B:19028256:19030402:1 gene:Dexi3B01G0024390 transcript:Dexi3B01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLEDGQGAVDITAALEGAERSGGDAVAAVGGGGEAGDEHDNDVVMPGFRFHPTEEELIEFYLRRKVEGKCFNVDLIAFLDLYRYDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPATDAEPLIPKSEISLCRVYKRSGIDDGHGQSSSSTHQASSGRRLSSRTGVPTGRHGSSPSSTPVSPTQQPSSSSFHLLQGECSSASPPAPPPIMDQVLTVHSAPPQLLLPPPPRPCTYAPQPAAQGAAAIASPCSLLNMATTAVPMVGSSTRPIDELSTMVAAGSSQAYANFSAVTGSHHFLPLLPAPPPPMAQMTPLGVLPMASPSSSSVTNNKLSWGWNQAPDDDTKARDYSDSGFK >Dexi5A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:5A:22173930:22176516:-1 gene:Dexi5A01G0018650 transcript:Dexi5A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSPAPAPPCRGLLPSLSKPQPVMPITKSLASRQAQAQARARAQPVVLARSKNIDDALAAGFVRLLNASPGQGADGTAPAEGLYDPKPGDFVVGVVVSGTEARLDVAVGADNLATLLAKELLPLDRGGGDLAARVAPPRPGSVGVVAGPAVDGEAVRKHNRGSRALVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWYRARQVGCSIRVCIVKLDEETNGLIISEKKAWIDDEVKVLVIKSNVPDKIALSIADLESAPGLFLSDREKVFSEAEEVAKRYREQLSVISQNTIDDSLPGETLPFDDDAKLYANWKWFKFLRHNRPGDDGDGDLP >Dexi2A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:2A:13388680:13392328:-1 gene:Dexi2A01G0011480 transcript:Dexi2A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIEQLLRRNSKTKISRNIVDGVHDQKEEQCVQSLRELLLASNQLPEKFDDYYLLLRFLRMRGFNILKAKEMFLNMLKWREDCSVDAISNGMNNFSKSAREMFVEIQKIDSNYYPETLNQLYIINAGTGFRALWKVLKAFMEARTLAKIQAAFGKDQKSFNELTAIIACESFIGCQESSAKQVESTSRRKRTLAMLLKDDQDGTDTNGNILRKQVDEQISEKIRELEDCAAQTKEVSKGTHLNLLKRKGTHTLQTLICKQQELTSHIEQLRKILR >Dexi2B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:2B:29850056:29864539:1 gene:Dexi2B01G0019640 transcript:Dexi2B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVPTVAVRYRRQCPPPLTLPSAISPPTMTSTTSHPAVPRHRPHAGAASEEEGGGGDRGRGRGATTSLTSGASLLRRHAGPSQPRLLLLRGRTLDQARERGLGLQAAGIERCVVLPQASTGPTTFQANMSSGKFQGMIWPATPTGSCSTLARTTRAWILCGAVPNARGVFEGMPDRDTVSWTSLIAGYAQNDMPAEALGLLPDMLRARCRPNGFTFASLLKAAGACGDRVVGEQIHAFALKYSWDEDVYVGSALLDMYARCGQMGMATAVFDRLDSKNAVSWNALIAGFARKGEEETTLMTFAGMQRSGFEASHFTYSSVFSALAGIGALEQGKWVHAHMIKSGEKLAAFVGNTVLDMYAKSGSMVDARKVFDRVDQKDLVTWNTMLTAYAQYGLGKEAVAHFEEMRKCGIQLNQITFLSILTACSRAGLVKEGKHYFDMMKDYNVEPEIDHYVSYVDLLGRTGLLNEALVFVFKMPMKPTGAVWGALLGACRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGKWDDAARVRKMMKGTGVKKEPACSWVEIENSVHMFVADDDTHPNAAEIYRMWEEINMRIRKAGYVPNTDYVLLHMNEHDRETKLQYHSEKIALAFALINVPSGATIRIKKNIRICGDCHTAFKYVFKVLEREIVVRDTNRFHHFSNDMNSADWRMGAGKEHGISSSIQEVQLLNRDSLIGNAHGISFFQVKLSDKLLTSRCLVNWRRLVAESSSNSLVTRRRFIAAEAQDQNAEYPRTYGCYLAAGRWRTLRRCVLRPNGAAVPERSSACMDRRPERKAKRAREEEEDGAAPDFPFEEAAAGADAGAGEASRRPPGVFQFPQGSHGRDGHFFPKYRHEVFA >Dexi8A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:8A:28562355:28565230:-1 gene:Dexi8A01G0016920 transcript:Dexi8A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGWPGHWRWNWEAVAGIRGLWLCATFLTADEQARLHAAIQREGWFMDANNQAMRFGDLPSWAVELSALILEAICVGHVDVNAEMTNEDEDACPLPSDLLWREPIFDQMIANRYNPGEGICAHVDLMRFDDGIAIVSLESACVMHFSQAEPTGTTLDTLKLGDGEPTKVPVYLNPGSLVVMSGDARYLWKHEINRKPGAQLWDGRELEQNRRTSVTLRKLLASPS >Dexi2B01G0031490.1:cds pep primary_assembly:Fonio_CM05836:2B:39629913:39631178:1 gene:Dexi2B01G0031490 transcript:Dexi2B01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGIAVAGTVVEGLWQQGSWVAVRVPPQKVLGMARMEGILERYQRYSFEEKAVLDPNIGDQANWGDEYGRLKSKLDALQKSQRYCDLLWKILIQFTIMPTDLIFLSIPNLRQLLGEQLDSMTIKELQQLEQQLDSSLKHIRSRKVN >Dexi7B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:7B:17052706:17053407:1 gene:Dexi7B01G0009420 transcript:Dexi7B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTISFAVLLSFVILAAGSISLAPPPVAPDVEVVSSAPLQTSSATATGTIISNDNNECVYTVYVKTGWIWKAGTDSVISLGLFSADGAGFTVPDMAKWGGLMGAGHDYYERGHTDIFSGRAPCLASAPCAMNLTSDGTGPHHGWYCESVEVTAAAPHAACARARFGVDQWLARDAPPYQLHAERSVCHKKAAEE >Dexi5B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:5B:1894992:1901029:-1 gene:Dexi5B01G0002940 transcript:Dexi5B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSPASTAAAFSSSSSAGASRPRRASWKPRGGPASAPPLSLRAQASISMKPAAALPEGQRQGGGIPPVRGVSETVVGVLGGGQLGKMLCQAASQMGIKIVILDPLQGCPASSVCHEHVVGSFSDGDAVREFSKMCGVLTVEIEHVDAATLEKLEKQGVDCEPKASTISIIQAIFSCLSQQSDKYRQKKHFSKFGIPLPDFMEVDSLQSIEKAGEMFGYPLMVKSKRNAYDGRGNAVAQKKEELSSVVSSLGGFEHGLYVERWTPFVKELSVIVARSRDGSTVCYPVVETVHKDNICHVVEAPAEVSDKIKKSATGVAEKAIKSLEGAGVFAVELFLTEDDQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGIPLGDPSMKAPAAIMYNILGEDEGEAGFFLAHQLIERALNIPGASVHWYAKPEMRKQRKMGHITIVGPSKMTVKSRLDELLQRDTSGPKKASPRVSIIMGSDSDLPVMKDAVEILKKFDIPVETTIVSAHRTPERMYDFAKSARDRGVRIRHYRSDNVNCVVAGMVASLTCLPVFGVPIKTQALSGLDSLLSIVQVSVLSRYRDLTMPKGVPVGTLGIGNAENAGLQAVRMLATNDAELWDKLCKYHEGLRDMVLEKAERLENIGWEEYLK >Dexi5A01G0035670.1:cds pep primary_assembly:Fonio_CM05836:5A:37424939:37428379:1 gene:Dexi5A01G0035670 transcript:Dexi5A01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGGGRLFAAHRGGIWWLRRRQHQPFSSLAGGGGRGGAPHLPVLIVGAGPVGLVLSFLLTKFGIKCAVIEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSVLGSVDHMKQEGQFSSSHFECLMSCTSLHMPGMLFFVFNPDAIGVLVAHDLEHGEFVLQIPFYPPQQMFEEFSAKVCEQIIVKLVGWEPADIQVLDIKPWAMHAEVAEKYIGCNNRVVLAGDAAHRFPPAGGFGMNTGVQDAHNLAWKLGLMLNGVAPPSIMQTYESERRPVRLFVAIFNTELSVENFKAAMSIPATLGLDPTLANSVHQVINKSLGSIVPRNVQKGVLEGLFSIGRAQLSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFCYEEGALVAEDCGEKPQKGAKLRHSKRASREYIPSSNTGARLPHMLIRALPASSEGVFSTLDLVSGDKLEFVLIIAPLKESYEIARATLKVADEFKLSAKVCVMWPQGSASAEVEESKSELAPWTNYVDVEEVPMVSGSSWWEMCRISRKNVILVRPDEHIAWRMESDMMRDADSEVRRVFSQILCLN >Dexi5A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:5A:4379121:4380191:1 gene:Dexi5A01G0005900 transcript:Dexi5A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAMPASATIKLLIAKDTQVVLYAEAGKDVIDFLLSLLAMPAAAMIKHTSKETNIPLGALAILYASVEQMGPKFMRSPVTREALLNPSPAHPAFVQPAPPPPPPAPAVKVYDCSRGGVNDCFECLAVVENTPCPNCRAPMNVPRELIGSSDPPSAKAVGQGQSLAAVPGVGFVQGVATYMVMDDLAVAPMSAICGVTALGGLGVTDLTSLEVRSVQVGYNEAVEILRASLQSKTVLTDVFLRKNMGKQDDVAPSSKKKRN >Dexi1A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:1A:3152336:3152679:-1 gene:Dexi1A01G0004270 transcript:Dexi1A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHSGIGVKILELQPFFIAYHNLNSWLQMGLGAQFGVLNLAFVPFTPQLNLDPYEA >Dexi5B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:5B:8322698:8324464:-1 gene:Dexi5B01G0011750 transcript:Dexi5B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPAVASPASEQAKSKKKKHKSKDDPATAAAAAADPPSLAEAEEKTDGYIIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYLRYGVINLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNYKDESYLRRAVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMVPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALRKKKMIAEGLLDKHGKPNEKTPAEWLRNVALPTGGDVSIASIAAASEPEKVKVEEEAAVTAEVKEKKKKRQKDEEDNDADASVPAKKIKVEEDAEPVEGEKSEKKKKKKKDKGESGSAETVEAKEEVNVADEKGSEKKKKKKKSKEGSDAVDAGSAQNGDGAEVEKSEKKKEKKKKKSRDTEEEQ >Dexi3B01G0033430.1:cds pep primary_assembly:Fonio_CM05836:3B:36101305:36102579:-1 gene:Dexi3B01G0033430 transcript:Dexi3B01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMKNATERFEGYASMRPPRIHRFPNHLRGIGGAGGRYVVPSVVAIGPYHHGLPHLQAVEEVKHVAAFQLCRDNGCSVEEVYSDIFSIVGYARSCYDASSVAGLSDAEFAAMMFLDGCFLVQFAKNDKPPVLGHGLSCWPTIVKDTLLLENQIPWLVLEALTGWLITHMSPPAKELRSVKDDASCTEEPNNPPHLLGLLRSCMTLRMPSELRQGKSSRNSLLRLSSSAVHLAQIGVKIRASTAQWFPDVKVRNKLLFGELNLSPLFLNDVVASILVNMVGLEVAEATTASSSEKDGFVVSSYMSVLGMLIDREEDVQELRRRGILCSHLSNAQTLSFFKVLVQDLRLGFNYFAIVQGIDAYIRTRPVRIAVHKFLYNNFKLIAAVLSIASVFVGIFKTLYSVKK >Dexi3A01G0032390.1:cds pep primary_assembly:Fonio_CM05836:3A:37231003:37232196:1 gene:Dexi3A01G0032390 transcript:Dexi3A01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMAAPSTLMVALASLAILLPTLLVFPAGGVFSSPSPSLSVTNDNGSNTDLVALLAFKEQLADPLGVLAGSWTSNVSFCRWVGVSCSRHRQRVTALSLPEVPLHGELTPHLGNLSFLSLLNLTWTSVAGPIPTELGRLHRLSNLTRLAELDLSSNNLIGEIPEEFGLMHELSYLNFGVPATLGNIAALNWLNVNKNNIMVTTS >Dexi9A01G0049050.1:cds pep primary_assembly:Fonio_CM05836:9A:51771459:51782547:-1 gene:Dexi9A01G0049050 transcript:Dexi9A01G0049050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHAGSAFALAPPPRVAAATSSALRRRSGASLPTAHSARAAARGTLRLLPAAPRPPMATGEDGSGADVSVAEATQLLSDARAYWVTSSLIAWNVSDQEASLYLYASRSATMCMSNGVIEGYDSKVQLQPEHSGLPSSVTQKFPFISSYRAFTVPSSVDVASLVKCQLAVASFDAVHGRSQDVTGLQLPGVLDDMFAYTGPLGAVFCEEAVSLYLWAPTAQDVSVCFYDGPVGPLLETVQLNESNGVWSVTGPRNWENLYYLYEVTVYHPATSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPPSWDELAAKKPKLNSFSDISIYELHIRDFSAHDSTVDCHSRGGFSAFTCQDTAGTHHLKRLSDAGLTHVHLLPSFQFGGVDDIKNNWKFVGKDPCYEAELAKLPPGSDIQQDAVVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPNRIIEYRQMVQDNTYLSDNFLLQALNRLGLRVVMDVVYNHLYSSGPSAITSVLDKIVPGYYLRRDTNGQIENSAAVNNTASEHVMVDRLIVDDLLNWALNYKVDGFRFDLMGHIMKKTMVRAQSALRSLTMDEHGVDGSKIYLYGEGWDFGEVAQNKRGINGSQLNMSGTGIGSFNDRIRDAINGGSPFGNPLQQGFSTGLFLEPNGFYQGNEIETRLTLATYADHIQVGHQYSSPSRCFCLPINYASAHDNETLFDIISLKTLMSLSIDERCRINHLSSSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPREKNEGSWPLMKPRLENLSFKPTKDHILAALDNFVDMLKIRYSSPLFRLTTASDIEQRVHFHNTGPSLVPGVIVMSIDDAQNDMHEMAQLDKNFSCAVTVFNACPHEVSIEIPDLMSMGLELHPVQVNSSDALVRQSAYEANAGRFTVPRRTAAVFVEPRS >Dexi9A01G0036510.1:cds pep primary_assembly:Fonio_CM05836:9A:40920444:40921402:-1 gene:Dexi9A01G0036510 transcript:Dexi9A01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPKRDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPETTDKSRIKVWLEKHRKLRVALLIMS >Dexi8B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:8B:3707620:3713064:1 gene:Dexi8B01G0004210 transcript:Dexi8B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSTSQPPNPASASSPDSSSSGVWAKLVPADAAYPEVEVAEDDAVVCSLVAPAAAGGEEVAWCEIRRNAGAPSSATIRNLSSDAIIVDGTIVKQEAVDIKPGSEIVSGPQKEGHLVYTFEITAAKDQDKNNVKAILQAFSSLQRSDEEIALLESYASVKSNIVSNEFGGFRCSPGAVHLQCDGCGGMMPARSNVSIAQKCLGCDKSFCGAYWCSQGVNSSHCSLICNQETFKMISQRHTSRLPDTVHGGNPYEKDITERCIQQSGKTLQAVISEWTSKFDNMEIDRSRLQLNNLDTITSRTYICNLCYNKFIDFLLYWYRVSMPRNLLPPDAVNRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >Dexi7A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:7A:2974186:2982807:1 gene:Dexi7A01G0001190 transcript:Dexi7A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPWWLLTTSCSPPPSGSLAGTLAFLFLSPCPQRALLAAVDILFLAACIVLLALRLGSSSPSASSAHPPEREALLQRVPKPPSPLHFRYTLALAASSVFAAGSVVLPALAILLLPPTTPWRVAELAFLISHALAHAVAAWTVVSSRRSAPSSPPAKHLRVFWLATAAVAALSSATAAIRLADASLIYPDDVITFAALVVSLPLSYVAVVGFTTSSDSGEGVAGEAANRSGVEEPHATPYAAASFLSRVTFSWINPLISKGYAAKSLAGADVPSLAGDHRAEASYALFMSNWPSTSASRHPVAVALWRSFWPQFVFTGMLGVANIAAMYVGPSLVDRFVTYIQHGGTPWEGLKLVLILLVGKAAQTLASHHYNFQGQLLGMRIRGALQTSLYRKSLRLSTGARRAHGTGAIVTYMQVDAGTVASAMHGLHGLWQVPLQVVVSLLLLYTYLGVSVLMTLAVIVAATAITAFANKLSLDYQLRFIGARDGRIRALTEMLNHMRVIKLQALQAWEETFGGKVRELRDAEMGWLVKFTLFLCANNVVFSSCPLAMNVLVFATYLASGGQLDAGKVFTATSFFSMLEGPMRSFPQTMVSSMQAFVSLGRLDAFLSDTEVDTTAVDRVESGGDGDVAVKVHGGVFAWDVPDSEAKGNDEQNGRGEEPRKETVLDGIDVEVSKGELVAVVGMVGSGKSSLLSCIMGEMYKLSGKVSIYGSTAFVAQTAWIRNGTIQENILFGNTMHPERYSEVIRACCLEKDLEMMDFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFTECLKGILKNKTVILVTHQVDFLQNVDKIFVMKDGLVIQSGSYPELLASCSEFSVLVAAHNSSMEMAEEQGFLVQNTETSLDTKSRNENGEKTSIAQNTDANSSKLIQEEEKETGRVSWHVYKLYMTEAWGWWGAVIFLTVSLLSQGFSMASNYWLSYETSGVGIFNTSLFLGVYASIVSASVVFGMISNIVVTFSGLHSAQAFFTKMFDSVLRAPMSFFDTTPSGRILSRASSDQLKIDIVLVFFIGFATSLCISVVTNVALTCQVAWPSVIAVLPLLFLNIWYRNHYIVTSRELTRLQGVTEAPVTDHLTETFLGAPTVRCFRKEDEFYQTNLDRINSNLRMSFHNYAANEWLGFRLELIGTLILCITAFLMISLPSNFIKKESYNDMFSCQFFITKYMTSICDAEFVGMSLSYGLSLNSLVYYTILISCTVENDMVAVERVHQYSNLPSEAAWEVADCLPSPDWPSRGDIDVKDLKVRYRQNTPLILKGITVSIKGGEKIGIVGRTGSGKSTLVQALFRIVEPADGHIIIDDVNICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGQYSEAEIWQALERCQLKDIVASKQEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFTECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPSKLMGRPSLFGAMVQEYANRSSSHGTDG >Dexi2A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:2A:6118063:6122069:-1 gene:Dexi2A01G0006440 transcript:Dexi2A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSIDYVKGLLEEWEIHCLILLSFSLQVILLLTAGMRRQSTGWVLRTVLWLTYLLADSVAIFALGHLAVNASKPSHHLMFFWPPFLLVHLGGQDTITAFSKEDNDLWKRHLLSLVFQAVVAGYVVGKAHWRDQRLKAAMVLMFLSGCFKYAERTWCLFVSSTAWFRLLCLNRLSMMKSGYQLDGGDYYIPGVSTEEKMRKILDLMKGGRSKSQQATELGIIQGSVLNFMSVDAPINELQTTRAKDVLPDMLKGFLYSEGRSCGPAYEFVAACLVHCYQFLYTKYPLRTQFWSVVFNPAACCDMPLAIQIPFLLCTLFQYVASAISVVLFMAARKGHDSSRADIIVSYILLVGAVVLDMSSVAMSILDVGAFLPGGGKIKSSILHLASYFQTFGCGKQWSEELAQYSMVKRHIKQDKCMASIKQWIDNKCLSRIPVLHVRLFEVAHVSLTKDMKEFILDELVGCGTRKEWDIASSYARGKLALNRWLGSDQGVQALHRYTGSGFDFPTSVLIWHIATDMCYYHCEKARKGEANISGSDKKSKKIEASNSGSAKKARKGEASTSGSDDQMNKLKQMSRQLSKYIMYLVFKCRVMLTDHSQLVHNKTHEEIEALIGKDSLLREEDAIDKLHSNNRSDLHTSSVLHRARELAKELNRINYETDRWGLITSVWAEMLYYTAPRCGGAFHYEHLATGGEFATDVLLLMNFLGPFLPGPDV >Dexi4A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:4A:23414777:23417438:1 gene:Dexi4A01G0019570 transcript:Dexi4A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRQSYLCYLSTLLLLLGLSSGQVLFQAFNWESWKQSGGWYNHLMGKVDDIAAAGVTHVWLPPPSHSVAAQGYMPGRLYDLNASKYGTTAELKSLISAFHDKGIQAVADIVINHRCADYKDSRGIYCIFEGGTNDTRLDWGPHMICRDDTVYSDGTGNLDTGADFAAAPDIDHLNGRVQRELTEWLLWLKSDLGFDAWRLDFAKGYSADVARVYVDGTAPTLAVAEVWDDMAYGGGGDGKPEYDQDAHRQALVDWVDRMGGAASPAMVFDFTTKGILNAAVEGELWRLIDPNGKAPGVIGWWPGKAVTFVDNHDTGSTQGMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWELKDEIAALVEVRKRNGITPTSELTILKYDGDAYVAEIDGKVIMKIGSRYDVSAVIPDGYQVVAHGNDYAVWEKGASDEVVAQS >Dexi9A01G0039140.1:cds pep primary_assembly:Fonio_CM05836:9A:43225278:43230485:1 gene:Dexi9A01G0039140 transcript:Dexi9A01G0039140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSLEGAGSQRKDNTVKSQIPRVEQAEPTLPTESTVPEMVESVVTMEAPHAGVHQNQGPEGYIICGKDFTYNELYEATDGFRVDRLLGQGGFGQVYKGFLDSNNQEVAIKRLDLQGQQGNMEFLTEVVMLSNLHHPNLVELVGYCADHDQRILVYEYMPLGSLYSHIHDLPPGRQPLDWNTRIKILLGAAKGLEYLHSKVPPIINRDVKSANILLGEGYHPKLSDFGLAKQGPTGDNTHVSTRVMGTAGYCAPEYLMSGKLTVSTDIYSFGVVMLEVLTGRIARDENLPESGRNLARWVVNPVLRADIVNLVDPVLHEVVSTLNIIISKPTRRRRLERGEPSTPTGTSSDGNQAQVQDQEEVWEQE >Dexi2B01G0022010.1:cds pep primary_assembly:Fonio_CM05836:2B:31650321:31653770:-1 gene:Dexi2B01G0022010 transcript:Dexi2B01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTETAVANYEKNLCALEEERFGEVLYDGEVLDFVETPFGFTVKPGDPGQTNDDFDRVLPSIVVSLALFDGNKMIFACSGIPLPRGKDGLVLLTRFVTSAHLVKAFKVEVRLPDNKTTDGFLGLYDDDIAIVTCLGRLDVCPIDLNFKEKPAAPSCPGDSLAGRAFMSGSLMAMHGSPCKLCNSTWIPDDQRNFKAVLGGPLIQKDAGFIGLIYDFYYDHGDAIVRYSFLSLELLCERLDRFEILNPKQLHFRGYSLPEGVSSIVPSGFMKTVNRIRSYGYPMPPPLVLEFNGRLLNRFEERFGDLLAWKGYPYGNPNSPFKERVWRRLPKQVVTDISRRVVSLASFDGYVRSFACTGLLIKWHGSKTMHTVILTSASLVRNRHNEDNIDKNLRAGIGGPLINFDGGFFGMNFYDGSGVTPFLPKHKIVQVLRGAIDFPLPSERYLFCVGGDAKENMYLFSLCSYYHYFLTTYIGNKIILDDRWPVPKPFWYHGALDVDRYHVPMLPGRHLD >Dexi5A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:5A:727472:729168:-1 gene:Dexi5A01G0001090 transcript:Dexi5A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMRSSLYGAAVVLVLLSPWAMASAADGRRYESIFCFGDSFTDTGNNPAVFAWYSVVDPVTRPPYGSSFFGHPTGRNCDGRLIVDFIAEGLGLPYVPPYLGPPFGSPPAAAAAQGFRQGASLAVGAATAIDVGFFHERGLVPAPSKFPLNTSLTVQLQWFDSLLKPTLCGTTQECADLFGRSLFFVGELGVNDYLFSIGKMAAGDIISSIVPSVIGSIRQAIEWLIEEHGAKSLVVPGVIPLGCSPPVIDMFADPDPAGYDSKTGCMLKNNEIAQRHNTLLQQSLQEIRGNHPGGLERTFLRQPSASIFWDGVHFTEAANRYIASSWMSSIVD >Dexi8A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:8A:10631810:10636580:1 gene:Dexi8A01G0008690 transcript:Dexi8A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASTAAPLLRPSASVARSLPLAPSSVSPAAPPPRAPWRLLPGSAAGFRSTAAAAARGGADYGADDSKISPDEILFEGCDYNHWLITMDFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYNGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYEDGIITPRPPPVHYSKPSRTDRNRTYRGNYQDGSPQQGNYQGSPPPQRGYQNSQPQQGNFQTYRTQQEGRGYAPQQNYAQGGQDARGFGRNDYADHSGYNGPPGGGFQGRPPQYQGHANPAGQGYNNPQGQGGGFRSGIPSTPGSYGQPSPPGNYGQAPPSSYPGGNRVPGVNPSYDGDRRQGAGPGYGDNWQRGSRQHPSPGEGQGNWQGRQ >Dexi9B01G0027050.1:cds pep primary_assembly:Fonio_CM05836:9B:29502957:29506604:1 gene:Dexi9B01G0027050 transcript:Dexi9B01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQDPAGNRRTLAYPNWLQSTSSSLWHATPAEYITPSRFLALVPPSPSSSSPPRLGFAPCKIRATPAPRAHRHLPAPRSASMDVKAPSPSPAPSPGATLSAVIAEDRRREARRRAGGHHGNQGGGLLPFLVPACGRPAGQVGGKPLHSQVMIRLHRWYASLQPKSGSVSRDGERLETRRRTPSPPPRPTPPALTQVVPPPPPSPLQRQDTPAVRVSIPERRPLREREEEPAALAPAAGSKAIAEEDNASAGGESVGSSERATPLTPTGWGGGTWLKVSPKTTVRSLSLQTDTSDESPQSVSYSPATMAAAETADKFVLADKYRPNFLSEFICNKNVAADLYKLVVAHECKHFIFEGPPAVGKRSMVLALIRDAFGPNELKIRDERKKFELKGEIRKHIDVRVKISNHHVEVNLVDLHGYEKYVITALLNESMPSPNSVCDHTNCRVVVIHDADKLSSDLQHYIGWFLGRYAGCNKIIFCCSDASNLEAIKHLCKVVTLQPPSFDEIIKVLEYIATQESIDLPRDLARRITVSASNNLRQAIRSFEATWKANYPFVDGQVILTGWEEDISNVARNIIEEPSSKQLFVIRGKIRKLIEHNVSPHFIFSHLVAELKRDKDEEFQHSIDELAFDVNHVSGGGIPCDH >Dexi2A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:2A:11398421:11400986:-1 gene:Dexi2A01G0010250 transcript:Dexi2A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLLAAASVAAIASVAAPCSRPVSLRSDVARAAVRCRSAATKGSVLLTLDDELLSSAEVIQWESGKSINAIAAAQGIRIRRRCRPRYPSEGVGADRAVPGSILEQIVWDKEVEVSQRKAKAPLRKVEDSAARAPPPRDFAAALHAASQHNDGLPALIAEVKKASPTRGLLREEFNPVEIAHTYEKNGAACLSILTDEKHFLGSFENLETVRNSGVNCPLLCKDFIIDIWQIYYARSKGADAVLLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDLRELDRVLKIDGVQLIGINNRSLGTFEVDTANTNMLLEKRGDIIRKKKITVVSESGLFTPDDVAYVQNAGVSAVLVGESLLTQEDPGQAIAGLFGKELLH >Dexi8A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:8A:22149338:22150374:-1 gene:Dexi8A01G0012590 transcript:Dexi8A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATMAAVVIFFVFSAGLSAAAAAVVEHTFVVSRVNMTRSCKEILVTVVNGQLPGPTIEVTEGVSVAVHVVNNSTSLGRKAPCGGTLMSAPSEQACTAPLSSGRDMGRAHIHSQSLIGRSPS >Dexi4B01G0007130.1:cds pep primary_assembly:Fonio_CM05836:4B:4959749:4963619:-1 gene:Dexi4B01G0007130 transcript:Dexi4B01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTYSERNPYRILGLIVIFSRRVFNPATGSDIPVHGLPIRCAPASRLVPVHGFPLRRRFVTARASFTFRCERHLNSNVDSLSPRPHSSSAPYRLRFPTSWWRTMAHHKALIPLSGSAIVDAKPLRTLTPMFPAALGLHKFTPQNSPSLVCVTPFGPYAGGTELGMPAGVPPMFAAPAAPAEPNQGHPQRFNMNVAAHANGTVVNSLVTSLQTPPSAATPSLQTPLSAATPESGKRKRGRPKLVSDTTVPSAPPAPTIPPIPSLPLVPSAPLEGGTPMPSAASAQEVGKRKRGRPKRVQDVPVLSTPIAPQADDTHVLQTLPAPTVHESDKRKRRCPKRLQDSPDTSTTSIHSKDNEPTFQTPATTSPESGKRKRGRPRRVPDESSIDETVDATKRGQPRKMDTTLLQLPSLSSDDPRESADNVLMMFDALRRRLMQLDEVKQAAKQQYDLKAGRIMINAEIRANKNERIGEVPGVEVGDMFYFRTEMCLVGLNSQSMAGIDYMSAKFGNEVVPVAISIVSAGVYDNTEDDPNVIVYTGQDMSGKDDKNLKRGKLALESSLHRERYQDPF >Dexi5A01G0032370.1:cds pep primary_assembly:Fonio_CM05836:5A:34912566:34912882:-1 gene:Dexi5A01G0032370 transcript:Dexi5A01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEPPFRPREKLLELQKHFQNIHKHTYLKGRYDAVTSVGIPLALAASSLFLIGRGVYNMSHGIGKKE >Dexi7A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:7A:22206645:22208545:1 gene:Dexi7A01G0011850 transcript:Dexi7A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVFARTEIKWGGYTYFIGLDRKGSFHTYPDVGRPYQSLDEAQIAIDRHLEERRHPKMKADDVSSFDMLIRRRLYWPDGTSRRYSKSRGLESGRDEMRRLVLALLDNYNDVNYLVEDLAYELKEVSNYQSICEECSWYYHLNFIITSKADGDREVFVEVKYVRQGELLKMFVCCFCFIDPNDKVNLLFVDEGQRCNGCTIIGNVDMKHPDSSVELAAGHSNPYHQCCELVKRESDSEDEDTFLKTREAKLRRMYKVISCAVFWTCGIPSALT >Dexi3A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:3A:13093984:13094277:-1 gene:Dexi3A01G0017200 transcript:Dexi3A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKPAQLLLVALAATAVVLLLSGGGADAATCDATQLTPCAGAIIGNSAPTAACCARMKEQEPCMCTYARDPNLQRYVNSPNGKKALAACKVPVPSC >Dexi6A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:6A:2833048:2833635:-1 gene:Dexi6A01G0003120 transcript:Dexi6A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQASLLAVAVAIAAAAMLATPASGASYTVGDPGGSWDTKTNLDDWASSIDFRSGDELVFKYDASVHDVVEVTREGYRTCSPANAVSAVLRSGADTVQLNATGRRYFICGVPGHCDAGMKLEVWVSDANCTTTLPPPAPSSAPICSGGSPTIVMSPGVISYGSGVAPGSSASLSSLLVTVASLLLCLLV >Dexi2B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:2B:4823513:4824031:-1 gene:Dexi2B01G0005140 transcript:Dexi2B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLSMRLSMLRRGARVVAGNPSSYHRIATVPVVLFYRHIGDGDPARLVRRALGEALVPDHLLAGRLREVEGRKLVVDCTGEGVLFVEADADVRLAELEAAGLRPPFPYVDQLLCDLARQSVDAALLPVLGGGDRYK >Dexi2B01G0035000.1:cds pep primary_assembly:Fonio_CM05836:2B:42133184:42140394:1 gene:Dexi2B01G0035000 transcript:Dexi2B01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLHNGIQAQVVSLEFAKANTRLVLPFPYISQRLLIWQVPAPAAKGSKPDLTSNPVLEMAGELNHRAVPIRRTGYPSRRRPCILFRPVPHRHPHGRRPVGRQVMNGNKRFYESPLKKYPPTSRPHRPSGPLDWVAPDRTVAVNRFFLPRSSPYSRRPMRTPSPPPPQSSQGRAGKVGPGVQPPKLGQPVAALATTASAQLGSWIVPGADFAAGRGDGESASKLLASFPDEPEHGKQLISPEEEEERGGGKSWVDHVLQPALPCLPGSIFSGPRTISWPANHDAMINESGTIETAAVLEDVAS >Dexi6B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:6B:21949764:21951080:1 gene:Dexi6B01G0014400 transcript:Dexi6B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFILLLVPVLASLAVTGTTSASFDLRAELNHPYAGIRLSKHEMIRNAAIASKARRAWNAARVTKLASGHGGTTTVSSPPHDVPVTPLKSFHTLTMGVGTPPQPHTLLVDTGSDLVWLQCKPFGGEATATDPLYDPHKSSSFATVPCDGELCQEGNFQAQNCSKNMCLYTYAYGQGRTFGELASDIFTLGVHHKVPASLDFGTTGPVQTTALLNDQIGSNTFYYVSLIGISFGTKRLSISPSSLTGTFVDSGYTTGALTVTALDALKDALVDALKLPRRESSNVDYDFCFQLPRGVPFEKVQTPPLLYHFEGGATMVLPRDNYLVEVSTGEMCLVIGEDDRPVIGNFQQQNMHLLFDVQNQKFSFAPTQCDHI >Dexi5A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:5A:6250297:6250575:-1 gene:Dexi5A01G0008390 transcript:Dexi5A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWGWRDGNGRSGGIDSYLDSTVAPGVGGEFLWRRVALRWPPQSVLGGSPRLGGRRAAKRAAGNERDGDEPQAAADIRYEHEGRSGKEAVG >Dexi2B01G0024620.1:cds pep primary_assembly:Fonio_CM05836:2B:34014141:34015484:-1 gene:Dexi2B01G0024620 transcript:Dexi2B01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLAAQLLRTGGEEDGCDRISDLPDDILLRIVGDLGLPAVVRSSGLSRRWRSVPHLLPDLTIDVADFVPRCQYDDELIMQAYAGAARRLLAPSAERALTLRSVSLRFLLSSEPHLLHVIGCAVEDMVAGEGSNMERLEFDIWTEAEIAGGGAAASVEHKAMFRRRLMSFLDACPVAFGWLTTLTLQNLCFAQADVARLLGSCTRMKELSLSHCSFGIAGCSSIVEIDVPQSWLEVLEIRFCCFRRVELIDAPKLRRFHCDTWYGNDPPVQFGHVPRLRNITLACNVHGSNKTFALSNFMSSAAAANLSTLDLDFRDGKIWIQPEEPIKLPFANLRDVYLYNIFDICHLNWTLFVLEAAPSLKNLYIMI >Dexi1A01G0029920.1:cds pep primary_assembly:Fonio_CM05836:1A:35315319:35315531:1 gene:Dexi1A01G0029920 transcript:Dexi1A01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKARPEEGKPSPTGRGAGGSGQERRRRNRREEEATGREEDAEDEDGVCEGEARGRGSRYRRPGAPPAEP >Dexi2A01G0000420.1:cds pep primary_assembly:Fonio_CM05836:2A:231546:232250:-1 gene:Dexi2A01G0000420 transcript:Dexi2A01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVLLLVLLAAVATAAAADDANSTTHLSFFMHDIVSGTNPTAVKVIKGPGSITATTLGMAFGDTTVVDDALTETSSATSAALGRMQGIYMLSSQTGAVLMVCANLLLTSGDHNGSTIQVFGRDDTDADVRELAVVGGTGSYRMATGYVLWKTSSMSGADATVKLDVYLTTGNGTTVDADAPVSSVDGGGSSGGSSSGSGSKASSGAAARTGGGWVSAIAAVVAVVGSWWVC >Dexi8B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:8B:548216:548703:1 gene:Dexi8B01G0000780 transcript:Dexi8B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTKMVAVVAALLLLVSSPASEAAITCGQVASSLAPCIPYATGNVKTLPSGCCGGVRSLNSEARTSSDRQAACRCLKSLATSLKKLNMGTVSSIPGKCGVSVPFPISMSTDCNKVS >Dexi5A01G0022430.1:cds pep primary_assembly:Fonio_CM05836:5A:26533952:26538068:-1 gene:Dexi5A01G0022430 transcript:Dexi5A01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVALLLLLLTTALLQLQALLATQADGLVRIPLQKRLADENRRLHDPRRRGFLSSISNGGVAASENAEAEEEGDIESLLLYAMDFIETTRESSLTFMVAKFDGILGLGFKEISVGAAVPVWYNMVKQGLVGDPVFSFWFNRHAEEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCASGCAAIADSGTSLLTGPTYILKVGEGSAAQCISGFTAMDIPPPRGPLWILGDIFMGAYHTVFDYGNLKVGFAEAA >Dexi5B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:5B:9691802:9693007:-1 gene:Dexi5B01G0013580 transcript:Dexi5B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAWHPLPQSGSAPSSGNEFFETQSAEWLWSSSFSDDQSAAAVSSDMLGSGAARSEEQPVPQHTQPTDDIFMGQFSDEEMRRMDGPFEALDMFPDSMHRLQSYEDMLSSVLTGSSENQDTKLGRNGVHTMDTCGFPLFSHDVQNAAPNSAGMLADTLSKDEAGMGTVKRSRSSVDDKSSGCFEALVLEELEDVVFQLTKKTRICFRDALFRLAETSSKARGGGASGAAGSGCPERETNAIDRTVADMMGRPPCPASVQIHGSSCAGGPGAEAQSTTSWTARV >Dexi3B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:3B:1755781:1757228:-1 gene:Dexi3B01G0002610 transcript:Dexi3B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSKKMSHQLPAPASRLWEASIRKLQTIRRVGTVTPADAAVTPSLLSVPSSSSSNTIYQYHHDADDSDSDTSSNNDSDDAADDADETTTTTTTTTHSEQLLPSGDFYQGDLRGDLPHGAGKFLWTDGSMYEGSWRHGRASGRGKFSWTSGATYEGDFAGGYMHGHGTYVGEFGDTFAGIWATNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWKAGDMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGGMYIGTWCEESGAVHADGVYYPPSGGPAVPVPREPCEPITALLQELEACEGKKASLMPSQKVLTWPGVEAVQKKPVWRPPKDQPQPGRMSSVGSRRMSSASLDMDSLVGDGDGEEGSTAARSCLRTSSSSRTPPRPRKKQGETISKGHRNYELMLNLQLGISSIDQACCWKAVGANFAGPEIISI >Dexi3B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:3B:14543268:14544964:-1 gene:Dexi3B01G0019500 transcript:Dexi3B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTANAKKSSKFEDSDTPEAGAGSGRGGNRQWRRATPRSRRLCHGGAAQPKKPAVAGCGAGAGPRVAELESKLEKAHGQLMGMRDQLAVAEKARKDARAALVEAKKRLAAKKRDDDAASSAPPVQHDDGGKVPAPADEGAVIGAEGANGEKGYYITSPATDAFEAIVTSESRNSDGPIVEEGNKTTSDGEEASNNAVADDVDGKKGSGEIELLRAKLMAKDMEVYELRAKLMVIDTEVDDLRSKVTAKTTELEELKAKLMLSNELVDKLTASLLVKDAEVAALEADNADLKKIAGEAAEAVKATSARARETEHALRESAAREARLAERLRASEHAREALEAEAQRSRVQSEQWRKAAEEAAAVLGGGGGVGRAATEASGTDKRRQGTASAGAGESEGAAAKDGDEEGPSGKRKAVGAMRALSDLWKKKAQK >Dexi4A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:4A:3888865:3892092:-1 gene:Dexi4A01G0005340 transcript:Dexi4A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMARTLSSSCCRTGAVASSSLPRRIYTLHPRPPLLASPPSPRASPLRRLRPALLPLVPPPRCSFVRTLAAAAATKGSSSAAAPSANASSSQRHQGRREEEEMTIASSVKLAGGTLSVNGRTVLTGVPDAVVSSSAAAGGAVDGVFLGADFAEPAARHVVSLGSLRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESRGAAGEDAVAAYVVFLPLVEGAFRASLQGGDGDALELCVESGDADTRAASFDRLLFVGAAESDPFAAISGAVAAAKSAIKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLIAGGAPPKFVIIDDGWQSVGTDHTATDELSDGDEKPLRLSRLTGIKENSKFQNADDPAAGIKTVVRAAKEEYGLKYVYVWHAITGYWGGVRPGEVGTEHYRSSLQFPKVSPGVMENEPGMKTDVLTLQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVQLTRQYHQALDASIAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSQHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKIVLPDGSILRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHQTGTEALTCGVKASDVHHISEASTDPEWNGDCAVYRHGSGDLIVLPNGAALPISLKVLEHDILTVSPIKELAPGFRFAPVGLVDMFNSGGAVEGLTYHPLDGAKLLDGNGSASGSEAVGLVCMEVRGCGRFGAYSSARPRKCMMSSSEMEFSYDSSSGLVTLHLENMPKERVHKIVVELQLGWY >Dexi9B01G0035240.1:cds pep primary_assembly:Fonio_CM05836:9B:36974581:36978059:-1 gene:Dexi9B01G0035240 transcript:Dexi9B01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPDPADPPGRAFPPPPAPSSAAARGAHHRRARSEVAFRLPDDLGLGGGGGPDGDGFDEIGSEDDLFSTFMDIEKISSSGPSDRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGIGGSVGKDAAASLAEVMEAKKAMSPEQLAELAAIDPKRAKRCRLLDLSPILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGETTNSSETYNMRFQHVPYNSSFFQLSQQNTAPHLGSTQLAPPFNPAHPNVPNHQMLSHPHTLPDIMQQDSLGRLQGLDIGKGSLVVKSESSSISASESSSTF >Dexi4B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:4B:3486526:3488561:-1 gene:Dexi4B01G0004890 transcript:Dexi4B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPWSSAPSRLLPNLSLNSKQTVAAAAAASSSPAGGQGRRPLRYAVLGAGFAGLSVAWYLLKHSPRDSRVSVDIYDENGVGGGASGVSGGLLHPYSPKVYMPLALNINPKKYLQVIMIRSSSALGPKLRRIWQSKPFDIV >Dexi3B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:3B:8870295:8879430:-1 gene:Dexi3B01G0012650 transcript:Dexi3B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEVGGRSGVARAVKGYADAVAHHAGQAVADILHDRMGAQNYKSFKKTVARLEEAAVSCRGGERVELLKRWLGALQDVDAELGGSDLKTSEDHDPSGEMDTSKAPMVLFYDANIDGAPMNFRDVFLYSQALEGITLSMILEAPLEEEVSLLLEIFGICLTGGKEVNKEIMSHVQDLAKAFSEYKDEVLVKREELLEYAQNIISGLKRNADILRIDAETLELQRKLDEKQKSRAQSTEDQDKTPEKITVANLEAFKEALSEVRLCSRVEELLLKKKTITPGDSLEIHSQKASFTNDQVDKLKVLADSLASSSSKAKQRILEHRRQKEDALNFRVKKENEVSAAEKESLDEITELEKQRDELEAQLKKVNISLNAATGRLKQTREERDQFDEANNQIIFNLKKKEDDLSKSIALCNAESNVVKIWISFLEDSWKLQSSYNEQKEKKTCDELEKCVSDFLKLTKHHLSAFKEVINLLIESIKTYVDNLGVLNSSIVDHIKKLFYSEQGANSRRDDPEIKNLSDEIEKLRESFESIERPTLSIESEKAKPLPVEGSKLSPSPLQAPATPKAAHVDSPKSPMKPEQYFDSDAELATLGSELGKDDNEYSAEEISGWEFDELEEDLKS >Dexi2A01G0036350.1:cds pep primary_assembly:Fonio_CM05836:2A:45893810:45895744:1 gene:Dexi2A01G0036350 transcript:Dexi2A01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEKSIPLISDSCNMVSVANLFKYSIIEHHNDPTILFITELPVKKSDQSFVYNHTLAKALAEYASAVYMTDLTALYSWTCSRCNDLTRGFEMRSLIVDVENCLQAFVGVAHNLNSIVIAIRGTQENSVQNWVKDLIWKQLDLSYPNMPDAKVSANETLFFFSGKTKAITSAVHKARKTYRNINVIVTGHSMGGAMASFCALDLAMKLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVVHGHDIVPHLPPYFSFLPQLTYHHFPREVWVQDSKNNITEQICDNSGEDPHCCRCLSMFSLRIQDHFTYLGVDMEADDWSTCRIITAQSVKQFRKELASNIMMAKHNVEVSIVEPSIQTDWSSFR >Dexi6B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:6B:8812567:8814719:1 gene:Dexi6B01G0007570 transcript:Dexi6B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQVFLSLAVVLAAAAAAAQAKHSQCLDNPPDLTLSGGKAGKVVGDLPGGFRAYLTGNGKSHHAVVLASDVYGFEAPILRKIADKVAEAGYFVVVPDFFNGDFYDTSKNISEWIKFHSPVKAANDSKPLFAALKKEGKSIAVGGYCWGGKFGAEVAKTDDIEVVCLSHPATVTADDMKEVKCPIEILGAQNDTTTPPKLVYQFVDVLRQRSQIPYFAKIFPGVAHGFACRYNTTDPFAVRTAEEALAYMLDWFKKYLK >Dexi9A01G0045560.1:cds pep primary_assembly:Fonio_CM05836:9A:49150377:49153488:-1 gene:Dexi9A01G0045560 transcript:Dexi9A01G0045560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVASTLPALRPLLRRRPLLNPKPLLRSRLPPFRVLSSSAPAAPAAAPGAEAEGYAVAVDEHLTRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCVKIDVPLEVVHLSDEYWNHVVSHMINEYRCGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSVENTEAPSVLQLSKDKIKDQTYFLSHLSQSQLRRLLFPLGCITKDEVRRLATQMDLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGIILEAESGDYLGNHRGFWFYTIGQRQGLRLAGGPWYVVAKDVHNNVVFVSRNYYTLDKRRRTFRAGSLNWFDDSGPGNSELLKCKVRHSPEFHDCTVTKEHTEESGDVLVVRLSEDDQGLAAGQFAAFYREDLCLGSGIILDSWDEMSFPICSRALEIAKLEDKSILGKPIRIINLEHIVKPEQEAIKVA >Dexi2B01G0028740.1:cds pep primary_assembly:Fonio_CM05836:2B:37271899:37273366:1 gene:Dexi2B01G0028740 transcript:Dexi2B01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRRRLRRRTLSRLEDPAPPQEPSPPFLNQKLLEDIFLHLSSPADLARTTAACRSFRRVITDPLFLRRYRSLHPPLLLGIFSEGIQPATAPHPNAPAARSLANHAGFSFNYIPGGTWHLCHVSDGRVLLERLIHDEDSSLIPDLAVADPVHRCFRLLPPIPEELINDAQPQHNHTSLWEAFLVPSGNQEETSFKVIGRTHNDNKIVVFVFSSDSNLWSVGASTSCAELGLTVPSRMFILGWPQYERGCFYWKEMYRNKLIRLDMSRMEFSTVNLPPGDNNGREFVIVEVGDGMLGLFCLPRDGTTMYYFTRMQNDGEGTDEWLLENNTPLPCKCNIVGVFEGHIFLLGVEKARGRVEAVCFSLEIKTLKIERVNSIDYLYVHIHAYFGYPPFLSARRIEVVL >Dexi5B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:5B:21833898:21834289:-1 gene:Dexi5B01G0019530 transcript:Dexi5B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGVVVPQATCPEGVPLAPIDRVFRVDGRETSLDMLPEVMLFRGEVTAFLQAVEGVEALDEAGDGRSSSVLRPSPDIRDEGAVPWRGGPVFFIANGRLEK >Dexi9A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:9A:9619884:9623911:1 gene:Dexi9A01G0014530 transcript:Dexi9A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYQYKSSKDICSIQVPHTFISVSELKQLIKTSDKHGRGRTRGPATEDIIISNAQTGEEYTDERAFIVQNTTVLVRRISIPGQLSEKIVLSPVREVTEGYSAPSSKSDVTDLNSKSFSSTGVQDEDAAIAAVIDAAELNLAQHSSKRGQGSGRRNYGNKILRYFSFIHVVLTALRLHANFCDKCIRDYIIAESKCVCGVKALADDLIPNHTLRSTISNMLGIRANSGGSGTTKHRSSSGSNLDSRLQSHTPFASSEREMKQSTNLQLSAASAPDDGLQIAKQVDQVNQPPEKSTANVDTLSKDEGNSAVQSAEKAVASAEALKVNDGSEPTSRVSSISGTLHNATRTNQPKKKRKKADTTKNVQPSNVVDYGFNVPFDPYNSFASGYPWVTEPYMYGSMGMPYGGYPMDPYGVNPFNCMPPQALAMHGYPASYQRHETLPTHYRGSEAVATRPRQAERPKDSSLQPQSSERNRQLGSSHGSESRNKTRSSSERRDHGRSDRASDDYHEHHSSKKRVRDPSPVYGDRQSSRRSRHGSRSLTREDSSDDERNFKRRWGRRSSVSGEMRR >Dexi2A01G0032470.1:cds pep primary_assembly:Fonio_CM05836:2A:42933590:42934846:1 gene:Dexi2A01G0032470 transcript:Dexi2A01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPPPAVAARVGLLYDDRMCAHATPDGEDHPENPERLRAIWRKLDAEGVASRCVALKAKEAEDKYIASVHSQNHIKLMKEISSKKYDSSRNKIARKYNSIYFNKGSSESAVLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHDEAMGFCLFNNVAVAANYLLNERV >Dexi9A01G0045220.1:cds pep primary_assembly:Fonio_CM05836:9A:48796990:48804770:-1 gene:Dexi9A01G0045220 transcript:Dexi9A01G0045220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTHKAVTNISLPNANLNGQLDGLNFSALPFIDYIDLSFNDIHGQIPTSFSSLAALSYLDFTSNGLSGSIPYDFGNLQSLAVLGLSFNNLSGQLPASMGNLTKLTYFYIHQNMLSGEIPEEIGKLTNLELLQLSNNSLAGQIPASIGNLTKLNSLRLYNNQLSGNIPSALANLINLQEIQLGANNLGGAIPEFLVNLTNLNMVVLFQNQITGVIPQAIGMLSNLTRLELGTNFLVGSIPPSIGNLTRLTFLNLVNNQITGSIPTEIGNLVNLITLNLYGNQISVFMARHRKRRHETTNVGRGDVFSIWNFDGKLAFEDLTRATENFDDKYIVGVGGYDFGTARVLKSDSSNWSALAGTYGYIAPELSYTCVVTEKTDVYSFGVIVLEVIMGRHPGELLQPGECHILLSEILDQRPREPSTTDEASVALLAKIAFACLQASPEARPTMQERGPVDTDGGDSSASPTSPRPSPPEEACPGRRRIDTVGLSFYSHPFDELESFYSHPLDELDFCSVFELPPLASDTRDAPELNRALLMGSLRAPPAPAPLVGSARVSFGSGFCFVSARVLKPRGLTTSSAMKSYRLSELSDAEVSGLKARPRIDFTSIFGTVNPIVEDVRVRGDAAVKDYTEKFDRVTLDDVVVCVSDLPDAQLDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVDKIFGPGNQYVTAAKMILQNTEAMVSIDMPAGPSEVLVIADKHANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLSSFLKYITVQSLTEEGLRKLGPHVAKMAEVEGLEAHKRAVTLRLQEMEASVTV >Dexi1B01G0030930.1:cds pep primary_assembly:Fonio_CM05836:1B:34713243:34718838:-1 gene:Dexi1B01G0030930 transcript:Dexi1B01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAATPASAASTSRTAAGELRRAMDAEAADLAKLEQSEKPSQGAPSLAFSSAFAWIDLVLSAAAAAAAAAAAASARGPKKMGGAQ >Dexi5A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:5A:4939908:4940321:-1 gene:Dexi5A01G0006690 transcript:Dexi5A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQQQQQQLQNTNSNGAATTSIAMNTSELVDAAFEPGASSRKLARALFAVGFLTLVMDLATSFYRPPGGVFFERHRLAYYLTLAGIFVAGVAEVSAACWLSSSSCTKLARIALCCSLLPLVVVIALGGFSVLVKS >Dexi1B01G0027610.1:cds pep primary_assembly:Fonio_CM05836:1B:32290604:32291143:-1 gene:Dexi1B01G0027610 transcript:Dexi1B01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEGANNPPCTILRCTFPGGFTGEQLVRYPCKLRRHICARCGMHRGEHISVVPNAGVVDRDHLLPPRKRSPFRRPGGEFQPPPGLAGGGEKKREAQVVEEEGGAASAAVLPEEDEEGQSWTGSAIAKGKRAPRRKRADLLLLQ >Dexi9A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:9A:9340422:9341148:-1 gene:Dexi9A01G0014260 transcript:Dexi9A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLQLVTLAVVMATATVTSAQQQPAAATAFPALPSCPPAPLSLSPCIGYVFGAGSGTLSACCSQLKSFLLAQGPCLCAASKLAPSPVGLLLGQAQTIIPNVCDLPNPCDDAASGEGSSPAADGATSLPSETTTTPEAETPKAEPASTTAAAAGPDAPPEEPAEDSPAPTGFKLPQLLHAAGATSSRGMSAGSVFVTVFLASVAIMYV >Dexi1B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:1B:26765857:26766137:-1 gene:Dexi1B01G0020690 transcript:Dexi1B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGARFDAVSLRLPRVVTGFGSFMLGLNRKTYEAAGVNTPGNVPGSVKEPGIGWITGFLAASSFGGLLTLIPLRKVPHFHRPIS >Dexi1B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:1B:4289392:4290215:-1 gene:Dexi1B01G0005230 transcript:Dexi1B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRREPRDLGRQLGGQDFGDNLRALDALPLEEMPSLAPRLLAAGVCFGFADPVTNIIANTLSFRESEPDLSFREPEPDDAKRRKHKRKRKTNVREGVFSKIVAGDSPSPPELRTVAERSLQGLVSFLTSYFRYLPTWDALRYLSLARADLLVAVHLIEEDRCYHRKHKFRSSMSRMCRAT >Dexi7B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:7B:18624797:18625945:-1 gene:Dexi7B01G0011620 transcript:Dexi7B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLVVAFRNKRLEFPEKLEEARVRSAEPGSIEVLPETNSLNRTKVEETKIVLRMVPLFVSSIIGYVSNPILLTFTVQQGGMTNTRLGKIRVSPATLFTIPTIFQIVMLPIYDQFLVPFLRKRTGYVSGITHLQRVGIGFASVILASVIAAVVERKRKEAAVQMSLFWLIPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIASALFWCVLGLSSLLATFLVKIVNRVTRHGDRGGWLEAASLNNSRLDLFYWVVAVVGLFAFLNYLFWAKMYVYRHDPRAVTDEPSVDQDSL >Dexi9A01G0039810.1:cds pep primary_assembly:Fonio_CM05836:9A:43617623:43619538:1 gene:Dexi9A01G0039810 transcript:Dexi9A01G0039810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVKSAALSVTHKCRNILAAGWEAHLNTIKADAKGSKGEIHTSRVHYMIQKGTPYLIVPENDLHSIVKLPIPPRVAMTGDVLRMKDSKVPVVADSLKNTIMKEHEASSAASHGVSAILSSAGASCKSRSEGLLSLLNEESSYSIFKFDIGSCVYIDSSGSSHNIELDSFEPPKSDLLMPFSAKLIDGINRSDSRRRALIFFCFEYFNALARDAVMLSIDHHGFDVLAKVPETDILANVPRKYHWKEFRFIFKEPAKNAEDFCRTLVELEEEVVKSMKSYSGLG >Dexi3A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:3A:10342967:10343377:1 gene:Dexi3A01G0014160 transcript:Dexi3A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGRDDHEGVHVVGFEVPPSPDSSYTNPIPGNEDEGREPPLVPPHLQHTLLSFPPSQDESSPLPQPQTVVLNHLYIEKENTRSVVALGITHRFRAKFVTVVLYKPVLRR >Dexi3B01G0030710.1:cds pep primary_assembly:Fonio_CM05836:3B:30886048:30888442:1 gene:Dexi3B01G0030710 transcript:Dexi3B01G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGTRTKVDVLWQDGTRWRRAPSASLVYSARQNIHEFFPGQRVVYRPGGGDANGGGGVEETTTTATRVGVVRSLNFKDQTVRVSWPDQEADETALLVSTYDLGRSFDSNNNVFYGDVVVRRSTSPTTTTTNDDDDDTAAAASRTGGADDDGDVSWVGHVVDFCDDDTERVQVKWGDGDTSEVPFHEIAVVKGQSTVELLREIGKWTYQEDGEERMNSAPPPPPPPAAVARAQETNIAHAEEDRGEEDNNNDDGRGATTRIDRMGFVIQAVFRLGAKMLAKGRRYLPLSGSTVPPEAMPEEITAMESTTPGSGRDGHGNETSISEPGINRVTAGGDGSTTSGKGKVDAQATVVDDKPARFPLFEIVQSPPDHHYIVNIEPGTGGGRTSKWTKRVQKEWKILENDLPDTIYLRAFEDRMDLLRVAMVGASGTPYHDGLFFFDLQLPPLYPAAPPLVSYRSFGLRVNPNLYPSGTVCLSLLNTFGGRGSELWSPDASSLLQVVVSIQGPVLNAQPYYNESDHAAQAGTPEGRRNELPYSENAYLLTLQTMLHLLRRPPAGFEGLVRDHFVRRGHRVLRACRAYVVEGCPVGTLDGDGCPAAVEGSREERPCTMGFRLALTNVVPRLVEAFTAIGAQGCQGFHRLHVPLC >Dexi4B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:4B:11754818:11755248:-1 gene:Dexi4B01G0013310 transcript:Dexi4B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLILVCARYIWGHAFSDVEEVVRYVARMMLVIAVTIFFDGIMTVLSGVARGCGWQRTGAYINLGAYYIVGIPSAYFLGFVLCLGGMVSFSVPLESAKELCDRS >Dexi4A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:4A:7131242:7132444:1 gene:Dexi4A01G0009040 transcript:Dexi4A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGDTTGGGRRPNFPLQLLEKKEEQHPCSTFHSGSVGLDVSPSSTSSSAAAASSDLSRKRRWEQEMQQHQQQQQQYQQQMAGYTQSQMPGGTVWMVPSSNAQAAAAGEQSIWTFPQGASGGGGGAATVYRGVPSGLHFMNFPAAPMALLPGGGQQLGLGQAGGGGNDNGGGGGGGEGHMGMLAALNAYRAQAASDAAAAAAQNGAGGGGSSQQQHHQHGGGERQASMSPSDDS >Dexi8A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:8A:2962367:2967080:-1 gene:Dexi8A01G0003890 transcript:Dexi8A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMEAAAGGQAEPPDEEEDDPDVDEVDPTGRYLRYKEILGSGAFKTVYKGFDAVDGIEVAWAKVEITSRIMGSPKELQRLKTEIQLLRSLQHKHILKLYASWVNNKKRTVNIITELFTSGNLRQYVFTLLIFCYTHAECSGIKPVALSKIKDVEVRSFIESCLASAAERLPASELLKNPFLLKDDTINHKTSNPAQETIAFPQNLDLDLDGTPTLVSLFSNGIVHDGKESFRLVLRRGGFVMEGVTCAKNPIKLLLRIPIPNGKCKNIEFAFDVEKDTSLSVATEMVEELELPAWSMLVVAKLIDAFLLKTVRGWRPCVQVGQMIQAMHDTASADGIARLGPRCGRDYRRGFAAPAAGCRNRCPSRRHAWRWIRAWWQRAATKLPTPVAPSAQPPPPLLGLPFPPFSSVQEPAASLAAAAALTCKQLKRRRPWETGAEISVASPRIPSRLEAFLGLQIDGDEEEELLTAVRDGTNPHSQLLNL >DexiUA01G0022250.1:cds pep primary_assembly:Fonio_CM05836:UA:45410351:45410593:-1 gene:DexiUA01G0022250 transcript:DexiUA01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRARRHSDAGRDDQPGDEAPRRSRRAHADRPHTHPPRPAIVAASTPAGSWQRAPTRSVGAAGDEAVAAAQWLCVGRDG >Dexi4A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:4A:24742524:24743444:1 gene:Dexi4A01G0021020 transcript:Dexi4A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRKIREPKEENVTLGPTVREGEFVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Dexi7B01G0021560.1:cds pep primary_assembly:Fonio_CM05836:7B:26553066:26557742:1 gene:Dexi7B01G0021560 transcript:Dexi7B01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWLGMVLGVAAGVAIIVAFARCENYRAARRRQLAATVSSFSKMTIEDSRKLLPGDLYPSWVVFSSQQKLKWLNQELTKIWPFVNEAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGISIIENTNESGIVMELEMNWDANPSIILDVKTRLGVALPIQVKDIGFTGIFRLIFKPLVEELPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQAKDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTIDNDLNPIWNQHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVRLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKDEAPNPFMQQFSMTSLERTMSSMQNGSGGSSFDRMSSSRKKKEIIMRGVLSVTVVSGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLILEVYDHDTFRRDYMGRCILTLTKVLLEEEYDASFNLEGAKSGKLNLHLKWSPQPIMRDSREEDSLRLR >Dexi5A01G0034460.1:cds pep primary_assembly:Fonio_CM05836:5A:36502984:36505333:1 gene:Dexi5A01G0034460 transcript:Dexi5A01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQQLERDVSVKLFDAFTVAGLRVDAIEPGRAMICSFTVPPRLTDASKRMHGGALASLVDLVGTAVFFAGGSPVTGVSLEITISYLNAARANEELEIESRILSIGEKTGCVTVEIRNKATGEVIAHGRHTKYLAIVSSKL >Dexi1A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:1A:8579038:8579775:-1 gene:Dexi1A01G0010070 transcript:Dexi1A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGEVSSSSPASSGGTSSSPPLSPTPTTEASAARPEKRRARDAGGEKHRSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVIKGPAAVLNFPDLAAALPRPASASPRDVQAAAARAAAMAMEPVLPPPPAVAAAAALQQAEEELEAIVELPRLDEADDSASELVVAAAAFDAAPYVSFHDAAAWCDDVWIDDGSGGYVAAALQDDLFGIDGDHGWAAQSSSVGALLWNL >Dexi9B01G0033660.1:cds pep primary_assembly:Fonio_CM05836:9B:35808207:35810817:-1 gene:Dexi9B01G0033660 transcript:Dexi9B01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTNGRESNGDRSRKGGGGDVPPPSIQIDMSMVRRRGGGGGGSSSFFEPWTPTPGSGSVVVRGGGSSGSSGDPTPHHPSGGGGREPPEKLLTLFALRLAVLEKAASGLGTLNFVWATVVLLGGFVSNLTLTDFWCITVILVGEGARVFGRSHELEWQHHATETSSTAAAAGVLRSSSRFFRRVLHFHAAVSDDGGAAAGEARANTKSSQVQRQLAAVAKQQRGWHAPDVSLLPYTSWVSAARNIGWLLHWLQVISAVSCVALSLMRLWKHDFFAGGSKNMRPALLLFYTLALLEASLFLLERAYWAWQFDRCKLLHKVADDCHLGACGPIAIRRFFYDAYSKCIDGSIFDGIKMDLVTFAEDLILSDFLDEQLIGVRILEQFATNAAASTGDTLRKIGTSPQSVERLVEMLSWKRRYEEDLRRSAAEVVCKLAGKRQNALRLSGIPGAIESVASLLYTGRGVAVSGMHPQPNAAAGGEDDDYLAFNLLGLRILKKLARDQDNCGKIENARGLLSKIIDFTKTLPNLLQNRHASDSQVRAVKHALQVVKRLVSTTGNTGEALRRGVAANVFAVCSLRGILRYGQLHRELQKLAIDVLAALAMDDTGKEAIMATGGLVKLLLSTFAGVEEEGEIGCNAGKALAMLALESEKGCAAILKQRADVVDLLVSALQDDGSDDRRRLNAARVLRSLCAYSGPKHRERLRVATKALPLVLRITMKENKELDNKVLEVCVGLTTQICRFIDGERFADELRAAGVEERAYVQRLARILRDYKYPEIRVPRMRRFVVQQVVWMMTCSRGDVYVELLREVGMEGLLGSIADTTSELECYHAFSGSVGIGKHRESFSGVVDIALELITALQHTRVKG >Dexi9B01G0047650.1:cds pep primary_assembly:Fonio_CM05836:9B:46713380:46721483:1 gene:Dexi9B01G0047650 transcript:Dexi9B01G0047650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPNPKLRPNTDAPNQIKLRYHLPAPPLPLAAGWHTSPPRSSPAAAPSLHPVACHHAAEQVLREEDEARPYPKGQYSAAPPPLEVASKAQTLLDAVAHSAQVVREHYLRDDIYCGFAPCSTCDAAAERKLDAAAAAILVVDTNVVLHQIDLLENPAIEDVVLLSVVLDEVKNKNLAVFNRIKALCTNKARRFYVFANEQHSHNLNKIFLVPVESYVRSLAQPGLLDLVVIPTSGDVTMEDVEDHRPSKKKVIYSEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEEEEEEDVHLAPNSADDAPRNTSSTQSTVGSSAPSVSSRPLGRVVGIIKRNWNSYCGSLQPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLENLVNKRIVVAVDSWDVLSRYPSGHYVRTIGDIGDKETETEASILTFTVAASAVVLIENDINTRPFSTQVLACLPPLPWTLSSEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTLLPNGNFEVGVHIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPDADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRQRRCDRGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILTHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKTNDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVVVHRLLAAALDIAKLPPIFQDGPQLTGIADNLNYRHRNAQMASRASVELHTLIYFRTRPTDTEARIVKVKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVPQRVTKPGTNISYAVLQTVRIHMEVVEPQPHRPKLQLTLI >Dexi2B01G0032810.1:cds pep primary_assembly:Fonio_CM05836:2B:40603457:40604469:1 gene:Dexi2B01G0032810 transcript:Dexi2B01G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVIAFVLLFSLLAVSSTAEQFDFFYLVQQWPGSFCDTRQGCCFPETGKPAADFGIHGLWPNYAKCHSRSSYDDLTRAALVDVDVDVFFLSRRHGKKKCWPEYCDDGNTLSPWEIRDLVASMETSWPTLSCKSSRSFEFWSYEWKKHGTCSNLGQHDYFARALALKAAHNLTAILAAAGIVPSATATYSASGVSDAIAKGTGFTANLECNRDADGESQLFQVYQCVNRDGTQLIDCPLPMPTKCRGDRVKLPLF >Dexi9A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:9A:2165963:2168105:-1 gene:Dexi9A01G0004130 transcript:Dexi9A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFFAADSLRSSSISPPSSISSSSSSPSAGKPSGSHPAAPPTDSENRGSSPSSSSSSEPGVSVGGSGEETGAGEEWCSGVGASASGGVGGRGEEMGAGEEWGSGLGASAWDGVGGRGEGFGGGEGLGARVSPPGNACSCGGDGGCGGGEPAVRLAVEATVVVVVVWEENFLSRLRRRGGETAGDAGRTRSSASSKGSVSMMPRLGLSSSRRDRGGSQMGTGEEKETDPREGAREKGAFTRTPPGTGSSGAATVEDPIAIPADVGSEDSCR >Dexi4A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:4A:9288483:9299265:1 gene:Dexi4A01G0011320 transcript:Dexi4A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPLPLLRLSKISGARPPYVAPTKKKKKKKKKKKAPSATQQPPAPMHSTPVAPSVPISDGDATMFDDQHLYATNSFGKHLLDRHDANFTKLLLKTQNRKDRRPRLRSCNGDTSSPPPPSTSPPSVARRPGWSFLLLPCNSFPNSPPSPSASAAAMDPSMPPPPPRKPNPSSTSTTSMPPPPNPTSASMPPPPPTPRPEVDSQESSPAAEVEGHAGSTPSSMPPPPPPMLAPSAPQPEAGGSADPSPSVSGGGEGGGAGLSAGEAPSSDDTEMEEAAAPAAERQRQQRPRAPYVIPEWSAAPDHPFFLEVLKDGAIVDQLDVSKKGAYMFGRIDMCDFVLEHPTVSRFHAGMFEPLSCHLRLRCSFKTLMLNCKGILSAIKKKLYTEIHVGDVVRFGQSSRLYIFQGPSELMPPEKDMQKLRDAKIRQDMLDREASILRAKNQAALAEGISWGMTEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQILEELENLEETLNDSIRESLGARSGKAGRAGHKASLEEEDDVLSDDDEFYDRTKKKFSQKSNGQQSVETADSLLEKKDSITSDIENKKKLLEEETHKLAQSSIADLGDDLDAYMSGLSSQLVHDKIAQIQKDLSDLQAEMDRVVYLLKIADPMGEAARKGDLMPQELRTSAPNDNPRSDSTKQSKAVKTTSAEKPKDSSDETTDKPSKVETDVSENLEKGSKPAFSMPKPQWLGDKRIVEPEENFINEEKAAVEEPDNFVDYKDRKAILSNSGSGKELEEAAPGLILRKRKSTDQSAPSEGNSSSVESEASIADAVALLLKHKRGLQTAEEMENEDESHTNKREGKKSKQKRVLGPARPDFLERGPDSETWVPPEGQTGDGRTALNDRLGY >Dexi5B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:5B:9723279:9726306:1 gene:Dexi5B01G0013620 transcript:Dexi5B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHHLLVAAGNSSLAMAGAGLEQWRELFRGRDIYDVISKAIFIAATDSPKEFRRRRDGIVEQIYTAPAAAATISATLLQGRRGSTVGEGCSGSALQVSDKGSKVASCTVAAVPVAPPAAEEPDEKKEKKDEEGVAAANDKHGNNGNGDKANSFSEIDMDWLETIAEEMDAETQENTEVLRIKEILLNHHEQNTEIGHAVAALRKHKLHKIRLLVREIIKGWRAVVDDWYAASNATMDDDSNKSLDMSNPLSTDQDEGGLATPPMDVGALFLVSQETAIQNVSEFLHGMDDDGITTDVVADMDTGSGGCGSNSKYDASNTVPSWSNDALVVEALTVTQGPTLETRNPQNLPARERTPSRNTNPPQNNWLLRQQGRQSDLPTRQALLQTNIQKIQGQGPQIPRVPRIRIKMKDSVTGYVPSRLSDRTLEKKPCDENQQGTVTVTRNLPTVSAGELPSDQVVLETRMTTTSQSLTEPKAGIGKRKDQLVDMKLDETKRKLHGAYQEAEKAKKKRAIQVLELRDIPKSKDTSFRTH >Dexi2B01G0023190.1:cds pep primary_assembly:Fonio_CM05836:2B:32738092:32740809:-1 gene:Dexi2B01G0023190 transcript:Dexi2B01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSSLLAAAASSSCTAISPRLPRGAPAAASVPSPSRHSCASLRASPARRHQSLFVASVAPTMQPPAESRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTLMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMPALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMAADMSHRLGWIDESIKKRVIDILEYAKLPITPPDTMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGEYDRKALDETLHAFSKN >Dexi5A01G0034220.1:cds pep primary_assembly:Fonio_CM05836:5A:36342814:36343727:-1 gene:Dexi5A01G0034220 transcript:Dexi5A01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRIWLKYPAPGAPDLALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMLMYPDANIPVCQLSVQTDRDGTYHYNLGRALAPLREEGVLILGSGSATHNLRKMGPTNAPVPQWATEFDTWIKDSLLAGRYEDVNRFDEKAPHARTAHPWPDHFYPLHVALGAAGDGAKAEQIHQSWTNATLSYSSYRFSTNN >Dexi4B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:4B:10373580:10375047:1 gene:Dexi4B01G0012580 transcript:Dexi4B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLLFIVLCSYYYIAHGGEQHGFVVVQPRSSFESEGVCSVSASRVNLEPSRAAVSMPLVHRHGPCTPWQTTAQKPSFIERLRRSRARAKYIRSRAFKGTSVSTQHDGNVTIPANLGGSVDSLEYVVTLSLGTPAMSQVLLMDTGSDLSWVQCAPCNATACYPQKDPLFDPSKSSTYAPIPCDTDTCRNLTVFYRDGCTSGGAQCAFAIEYGDGSHTRGVYSKDTLTLAPEVTVKDFHFGCANDQDGQNDKYDGLIGLGGAPESLVGQASSVYGGAFSYCLPAQNGEAGFLTLGAASTNTSGFVFTPMKREFGIYLVNLTGISVGGKQLDLPPSVFSKGMLVDSGTVATELPATAYSALRTAFRSAMSAYPLLPPNDELGVDTCYNFTGFSNVTVPTVSLTFDGGATIDLDVPNGVLVEDCLVFQESGPPDDVPGILGSVNQRTFEVLYDVGHG >Dexi4A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:4A:23801102:23807961:-1 gene:Dexi4A01G0020050 transcript:Dexi4A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGRPAAAGGRADEMEDVALLDSYDEEMGLPPPGGAAAEEEQGAAAEAHVRVTGMTCSACTSAVEAAVSARPGVRRVAVSLLQNRAHVVFDPALAKVEDIIEAIEDAGFEAEIIPDSAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLNGLQTERDVEVLHDILKKMDGLRKFDVNTVLSEVEIVFDPEAVGLRSIVDTIEVGSNGRLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSIPVFFIRMVCPSIPFLSTLLSMHCGPFLMGDLLKWILVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGYQPPVYFETSAMIITFVLLGKYLEVLAKGKTSDAIKKLVELVPSTALLVLKDKVLHHILTPIMVIADIISIVFQVASIFVPIVITLSIVTFSVWFMCGWLGAYPNSWVAENGNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLAASAEASSEHPLAKAVLDYAFHFHFFGKIPSSKDGIEQRKDEVLSQWLLEAEDFSAVPGKGVQCSINGKHVLVGNRTLISENGVTVPPEAESFLVDLESNAKTGILLAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >Dexi3B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:3B:10057285:10059206:1 gene:Dexi3B01G0014030 transcript:Dexi3B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATVVVAPAPVVVASPPQHKLVELKSSFAPAAKPSPVKKHKKKLAGGCGGYVLEDVPHLTDYLPELKSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKGSARGTHFRRAGPRQRVYFQPGEVTAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSVYGIEGGYKGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQVTDKIVDSIQHRGINQVYIIGGDGTQKGAATINEEIQRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFVERRLRDNGHMVIVVAEGAGQDLIAKSMNFADTHDASGNKVLLDVGLWLSQKIKEHFKRKSNFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLTHEDVEGAGQEEEEPHLPMVEGENALVKSPPTCNGNGHLCSGAA >Dexi1B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:1B:293536:300353:-1 gene:Dexi1B01G0000220 transcript:Dexi1B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASPAPPTTVRRNPPRRARPPATPKPSSLARLLDDQAEDAPPASVPPPPPSQEERLTVFLRIRPMPDRDRGKAPTRSIPAKDPRRKPRQAPAGPGAGDPCLVPTGPNSVALTVPHSRLVDPKRGRTEVFDGFSAVFSPDSTQHDIFARVMNPLLDDFLGGKSALLVAMGPTGSGKTHTIFGSPRNPGILPLALRQIFNAPHGNNTATKPARSFSLSMFEILSEGKGERILDLLSDAVECILQQSAIKGLQEVPISNFADAESLVSRVYRAFLIVATNVMFILYYSRSQCIITIHHPSSSAVLTIADLAGAERERKTGNAGSRLLESNFINNTSMVFGLCLRSLHEHQKNQKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILTVKSADDDYLDTSFLLRQASPYMKIKYTSLEDSSDLVSQKRSNASLICQENKKKRKVEKPEVLVVQITVELPMLNERKTLIQIILLKFLQKESENAAGSMKELIRDQDIQIMELKKELELVEEVSKEFTCDGPENSSTPFSLKGECVSCDTSSISFIAEQELSSSGLKVAPQKKLEELDLELERCDPGAEKAAVECSRSKDTQDEEAAEKERETFASRPRDTKKTRRRLQPAASLMLKEFTGVDLDVDAKR >Dexi6B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:6B:23935254:23938330:-1 gene:Dexi6B01G0016650 transcript:Dexi6B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSDDPGVSPGTSAAAGGEIWGTWEELLLACAVRRHGTDSWDSVAMEVQSRCPAAAASRLTPTGCRLRFRLLHRRFAGGGAEKDGGDEDPDAAAAEEWVEELRKLRVAELRREVERYDLSIGSLQSKVKRLKEERERSISGEANPPSVKAEEEDEEEEPAEGKGSLEEDAAGGEDRVSGGESGRSCKESNSSDLKRPAHDAGAASAAGDGAAAAREEEEDAAARESLDVKREQVSGESVAGSKEADKESSDVQSSASPSRRRGREGGGGCGGGGEEAEAEAEAEAEEASASPSACAALPAAEAEALLAFLESVRTSKPGSVFERRLESQDDTKYGSLIKRHVDLETIRSKLEAGGNACYGSASEFYRDLLLLCANALVFFPRGSPEHAAAARTRALVSKHMAASLSKDQPGTSGKSPAAPPSKKAKAEADVGSLLEKTAPIIVCRKRSSIAKAAAAASKEEKVDKGETDKEEEENEAGKKKKAGVAKDKARGLRTNKTRAAPVKKAAPNQKKGDKGSDSDTPAEGTKKPDKKGGTGAAGSGAAVAKKRNAVNFLNRMKQGSAPSTERVSLLETLKLSAAAEQKKAGKGSEGKKETAGGSGSKRGTPPGRRNVGRPPKRAAAPPSPPPAKRGRGGGGKRGGKK >Dexi7B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:7B:24297168:24297419:1 gene:Dexi7B01G0018620 transcript:Dexi7B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPILDASSGEASPSRARALQSPSASLWIPALAMSARRQAWQFAAALVFFHGSEYVLAAAFHGRRNVTATCECAMAPSRL >Dexi6A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:6A:25305100:25305366:-1 gene:Dexi6A01G0017450 transcript:Dexi6A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLPLGGAAPASAPATGELGSSNCTRWCGNISIPYPSGIEPGCYHHGFNLTCNRSYLGMRMGRPGPGFGLGPYGPKANFRGPRVDPI >Dexi9A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:9A:16509185:16509720:-1 gene:Dexi9A01G0021660 transcript:Dexi9A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPVLAPLPAVSPPAASPSKKALAAVLTSAPKSKAARRKTLCDITNLNRRNPSDVPDESACPAAAPGAAGVEGFAQLLKARFPFPRSYS >Dexi4A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:4A:4752079:4752456:-1 gene:Dexi4A01G0006620 transcript:Dexi4A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSWLPPDPLRPLSSRQPPTATPPPPPWPQQPPARPALRQARPPPPGQARAQLPVPTRVAFRRRHHHRPLLWWPRSGSSRPTQLWAPLGPPPTPLRPPLCLHRRRPSFPWTAPMWTAPDRHSR >Dexi7B01G0010400.1:cds pep primary_assembly:Fonio_CM05836:7B:17727856:17729466:1 gene:Dexi7B01G0010400 transcript:Dexi7B01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRAFQFQLQAAEAAAAPVHAADEDKSEPTIPMPLQLETIVVAQATADPPPPPPGQGSGVAPAGVVIKCPECPKKFTSEKAMFGHLRKHPERGYKGATRPATATSAAAAVAGDKKRRKHAVAHKEDDVSAMNMTVDAATTAGEKEAELSTKWPAVAAKRGHATFVPTDEDQEAAMILLEMASSSRSTTSETQHESVQQQVLAAPDAVPVHQTQQGVVQVQPMLLDHVAAGHHQITPEAEQIVRPPEIIVELSAESGTTPAAVNKELTNLEITTEAVLIVVPANKKPIVPSPGAKKQQTSRKRPAAQLDLEQISAAAAAAASPAPPDNGGKSPVKMRRIPSPASNKKHECLICDKAFPTYQALGGHMSSHSKGKTTAGARHDDLAVAQAAMHNILARRYQQQSAAAGSSVVVPAASTGGLGTGWGQDVHLQDVPPPSPTVAAPAAQSAPASPHVCTKCQMTFPSGQALGGHKRKHWFPEKYQAKAAAAEVAAAPAVAEAAPAEIASPAPARATVAREFDLNELPDEEGEGESNQP >Dexi6B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:6B:7324728:7329774:1 gene:Dexi6B01G0006610 transcript:Dexi6B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWHEISTSVSSIYPAMAQLSGGSRGTAGRRRVAAPFSASESLYEDEEFDQRQLAALVASKVFFYLGELNDALSYALGAGALFDVSDDSDYSQTLLAKALDEYAAIQSRAAGEDKTMDPRLEAIVERMLDKCILDGKYQQAMGMAVECRRLDKLEGAISQCDNLHGALSYCINLSHQYVSHREYRLEILQCLVKIYQTSPNPDYLSICQCLMFLDEPETVASILDKLISGSNDDALLAYQTAFDLAENENQAFLLNVRNHLDALSSARSDADSRATNAATEPSGDVQMGDDVNMPNGTALTVDPVKVAHADRLTKIKNILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWSKFSATAGLGVIHRGHLQQGRALMAPYLPQNGAVGSASPYSEGGALYALGLIHANHGEGIKEFLRESLRNTSSEVVQHGACLGLGLAALGTADEEICEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQINESYDSRVGAFRRKLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSKSKHDRLTAVIGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPTTQQTATASVKVPTAILSTYAKAKSRAKKDAESKAKEKAEVAPPPSEDASAASTSMQVDAAAAEKKGPEPEPQFQLLTNPARVIPTQEKFIKFLEDSRYEPVKTAPSGFVLLRDLKPTEAEELVLTDAPSTAAGTNAPAPSASEQGSGAMAVDEEPQPPPAFEYTS >Dexi7A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:7A:26412683:26415060:1 gene:Dexi7A01G0016550 transcript:Dexi7A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNKTLEKYHNCCYNAQGSNTDFGGEPQCKYAHIAVFVSRHMLGEDLGPLSIKELQQLEKQLEYALSQARQRKTQMMMEQVDELRRKERQLGELNKQLKNKLEAEGCSNYRAVQTSWATDAAVGTDGGALSASNTQLPLPAATADCEPTLQIGYHQFVAPEAAAIPRSSTTGGGESSHFMLGWAL >Dexi1B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:1B:25114230:25116817:1 gene:Dexi1B01G0018950 transcript:Dexi1B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAALAAAVGVLLPFPFYYALWTHPQRWVDLCGRGADPCHRMAQVSHAIKAIQLLTLASVASFSWPPPLYCPVLLVVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSILSLAALLCWVPFPYLLLWCLGYVFMMWLEHKEDPATRAKPLS >Dexi5B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:5B:22035833:22036063:-1 gene:Dexi5B01G0019790 transcript:Dexi5B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQHGSPTAGGRIESGKHRRGGDTEHWRGMRSGGRIAGSCRLQKGSGSDDPSPPPIAVGGVKTFGGWAVVGPLC >Dexi2A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:2A:15079812:15081831:-1 gene:Dexi2A01G0012860 transcript:Dexi2A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALKMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIALLAFAVLVPVNWTSGTLENEKGINYDQIDKLSISNVGKGSKRSLCEMCLQTLMNPLIVYNANHLSGLVDKKKGLQNWLIYYENKHAKNPTKKQTMKTGLWGLWGQRVDAIEYYKKEIEELCKQVYF >Dexi3A01G0031130.1:cds pep primary_assembly:Fonio_CM05836:3A:35518818:35524864:1 gene:Dexi3A01G0031130 transcript:Dexi3A01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSLCAAAAARSRAAAGAASAVSTDAAASVPPRPVGSGAPQQQQKRLLSVLAAPKVSGASNVASLKLMDGALIGRRYESSAAAVDSTDLPPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPELLKDGPELDIRIQTDKDNGIVTITDSGIGMTKQELVESLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVADKVVVSTKSPKSDKQYVWEGEADSSSYTIREEKDPEKLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPTEIKKEGDAETQTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTDEYNEFFKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGAMEDKENHKRIAPLLRFFSSQSNDELISLDEYVENMKPEQKDIYFVAADSLSSAKNAPFLEKLTEKEYEVLLLVDPMDEVAIQNLSSYKDKKFVDISKEDLDLGDKDEEREKEIKQEFSQTCDWIKNRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKGLNAACRNNPDDPEALKVLDVLFETAMISSGFTPDNPAELSGKIYEMMSSAIAGKWSSQSHAQPANFYPEHDAPEHVAPAVEPMAVEAEVVEPEPVESGQQK >Dexi3B01G0027770.1:cds pep primary_assembly:Fonio_CM05836:3B:23477035:23477798:1 gene:Dexi3B01G0027770 transcript:Dexi3B01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFSPFFPADDPHKFAIVHKVFGASNVSKMLQELPVQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQHRRGGDGPADDVDEVVPPPSSLVAAGDHHQMTMMTMHQQQHHQTMVAPDDVDAFLMQNAGAGAIVPPHHQLMGYGAASAGAMAMGEPLKRESLWT >Dexi7A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:7A:22651313:22654988:-1 gene:Dexi7A01G0012460 transcript:Dexi7A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELVWLALLLAHELLPCPCVLAIDRGEFPEDFLFGTSTSAYQIEGAYLEGNKGLSNWDVFAHKPGTIEDGSNGDIADDHYHHYMEDIELMHSLGVNSYRFSIAWTRILPRGRFGHVNPDGVAFYNHLIDALLQKELEMRYGGWLSAEIQKDFGHLAEGRQGGQIGISMCSRWYVPFRNTTIDILAVERALAFSGPWFLDPIIFGDYPIEMHRILGPNLPEFTSQQKKKLRSTKLDIIGLNHYTTLYMKDCIFSPCEMDPVDGDARVFSSPVGDDGSLIGEVHLLVTIFSGYAQASNSSMTARDFTNDTQRVDYIHDYLTSLASSIRKGADVRGYFVWSLLDCFEWTSGYTLRLGLYHVDFKTLKRTPKLSVEWFRNFLKGSLVGTRLRKENYQLYAGQ >Dexi9A01G0031750.1:cds pep primary_assembly:Fonio_CM05836:9A:36694173:36694589:1 gene:Dexi9A01G0031750 transcript:Dexi9A01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWWWTGAIGAAWKRQDDHAAAAASRRAAFQSVALVVGSTGIVGTSLVDIHPLPDTPGGPWKADLTDAAAATAALAPLTDITHVFYVAWTWRATEDDNCEANSAMLQEPRP >Dexi7B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:7B:17442970:17443519:1 gene:Dexi7B01G0010040 transcript:Dexi7B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLAVAVALVATLLLLSNSNIKAASGVGYPPAPPVGPPPHQIVDPAKDCGGACDVRCGAQSRKNRCTRACLKCCSVCRCVPAGTAGNQETCGKCYTDWTTHGNRTKCP >Dexi4B01G0006830.1:cds pep primary_assembly:Fonio_CM05836:4B:4780138:4786589:1 gene:Dexi4B01G0006830 transcript:Dexi4B01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGGTATTPPTPLREIDEAAGRRCGEWRFRAPARVEKRGALMVSAASSLLPNESQPGRNHMAKEVYRVPKKRLKAKPSSPSGCVMSRTRRSLCRVLFGIALCYYRIPDWDTAQNTAHGHLDVTNVGHRALLLKATRAKAVASLSLYTHHATSVIDRSSLLLFHACISIEFIISVQISSNAIVVSDRKDACHAEAQEEATGHHRTSTAQCPPVICCVEPSRDMPANYDAWLERAAQAAQKREKDEVPLPPPRHRLIQLAPSDPHNHSRCLPRLRYPLCAATVVAERLRTVAASITACADAVERLHAPPPAPALRVFTNGLDASRVL >Dexi9A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:9A:602176:605610:-1 gene:Dexi9A01G0001180 transcript:Dexi9A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGDGSSISPLVSFVLGAAMATVCVLFFMSASPTRRLADISAFSIRNATTTDDLLASDDADANLTAIPAPAPAPVQAPSPWGDLEEVLARAATKDRTVIMTQINAAWTRPGSLLDLFFESFRSGEGGVARLLDHLVIVTMDPAAYEGCQAVHRHCYFLRTSNGVDYRSEKMFMSKDYLEMMWGRNRFQQTVLELGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVYMGDPYSLRNFPNGGFLFVRSSAKTIDFYRAWQQGRWRFLGKHEQDVFNLIKHEMSAKLDLAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKLHDLRGVLDVWRNYTAGTPEERRAGKFQWKLPGICIH >Dexi4B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:4B:3077259:3077946:-1 gene:Dexi4B01G0004240 transcript:Dexi4B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELSFHVVPEVGVAVVIVVVSCEDWFWRSRQWKAPKQEPQPQSQIPTDTLPKASQRVTSSGSWTKL >Dexi5A01G0023450.1:cds pep primary_assembly:Fonio_CM05836:5A:27450674:27453933:-1 gene:Dexi5A01G0023450 transcript:Dexi5A01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVEVHAIGRDVASASPLRLRDPPALDMMRYQRLSPDCLPLGNGVGGGGGAVPRKSASSRSSFKDDDASAMATDGSRLASYLAATPHDSKPPLRARAPPPLSSSAAGRSPARDHHAHRDHHASDSSDTTSPSSTGGGGGAVVGDVLLQWGHNKRSRCRRDSAAAAAAPSGTQRRQNGGVGVKIQRRSSAPAEKLMPPPPATAGGGSYTRGSNLRSASSFPSRASASASTAAAGDARHHPPHHHRSVEERSGGGQKRSLPDKGHKSAMDAVLHMESKNHLHHHHHDSPLTANGGAAGGSEKLGAERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQFVFPGMWLSDLTKGRYEVREKKCVKKVQIQSTPIWSSFAACSVGDNLFQLPVSCG >Dexi2B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:2B:7803923:7809328:-1 gene:Dexi2B01G0007540 transcript:Dexi2B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVHEARTAAAAAAGDDACSRTSPPPPQQRLRRVVVFPLPFQGHINPMLQLADALHDAGGLAITVLHTAFNAPGPAARRPEFQFVAVHDGVPDDVAASGDVIDIIEAMNTAMEADEGSAALRDVLASVITDEDRPPVACMVFDANLLAVPAATAAVGLKTMVLRSASAACLRCLMAYPMLHQKGYLPPQESKLYMPVKELPPLRVRDLFYSSWSDQEKMRNLFARSIEAMNTSSCLVINTLDVLETTELDRIRDELHIPMVLAPGPLHKLSSKSTGSRLREEDYDCIKWLDKHPPESVLYVSFGSLASLDANEFLEVAWGLATSGHRFLWVVRADSVRGLDGPDFPNGFGATVEGRGKVVGWAPQQEVLAHRAVGGFWTHSGWNSTLESIVEGIPMICSPQFADQMMNTRYVVKIWGVGFELEGSHPQLAWPGNPRSHEIPIQGMSTTTTGGRRRRRRRVLVFPLPFQGHINPMFELAGVLHSRGFAITVFHTHFNAPDASRHPDYHFVPVPGDGTPAQGTLVDRILDMNRACEAPFRERLAAVLERRREEEEVAGLVADAHLLTMLDVARGLGLPTLVLRTASAACFRCFLAYPMLYEKGYLPPQDSKLYMPVKELAPLRVKDLYYSRRPGDDEMVRKLMSRATQAVRNSSGLVINTSDALEATELERIRNEHNIPMVLPVGPLHKVSSKTIGSSSLLNQDYGCIEWLETQPLKSVLYVSFGSLASMDSKEFLEVAWGLANSGVPFLWVVRPDIVRGLDDPNFPNGFEVAVQDRGKLIQWAPQQEVLAHRAVGGFWTHNGWNSTLESIGEGVPMLCRPQFADQLMNARYVEKTWGVGFELEGVLERGKIAKAIRKLMKEREGDEMRERAKEVKNRVDGCLEIGGSSHVAIDKLVNYLLSV >Dexi7B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:7B:19715576:19717510:1 gene:Dexi7B01G0013110 transcript:Dexi7B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDERRYATHGHDAGHGRCCWARARWGTGESSSPPHRTTVPPAARSGAVEYGRPPQRQPARGGSGGDLPTKLVHFVSAAVPSGGNHEDSRDNLASASSSGDLPPPQQEAMSSPAPAPGSLASNTVVAKMMKRMNYKEGAGLGRRGQGIVAPIEVIPRPKNAGLGTAEGSIAGAFDPPTSSENWPKWDDVQGAKKQKRRRHHQELDDDKILSKPLDESAAEAVARVHKALARASRWSSGGPSQGEETTTTRTVIGMAMDRVQTGTLTTAELVREFTVLKEKCPREYTAYRLADAARAIVAPLLRAAFRHWDPLEDPSRGLEAMTKLKDTLLDDELAASPYAALVDDVVVGAVLASSAAETWDARHPEPMVRFLEMWGKQKDALLPPPAMQRIVSQVVMPKLSAAVESWDPGWDAVPCHAWVLPWIPLLGQRMLEPVYETVRGKLGEALGGGRHAARASAVHGMVAPWKDAFGPAAWGKFVDGHVVPYLRRGIRAVRVVTPPAPRMKKEEEEDGGLGWVVRWAPVVVSAPAMARLLEEEGFFGRWQDALRRWLWDERPGVEEALAWHEGWKRVLTPELLADDRVRVPIEVGLQTITRAAKGLGLGTDRALGPGRRQHAGEGESFRYVPPRRAPPVGYGRNRRQY >Dexi7B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:7B:8326933:8327152:1 gene:Dexi7B01G0003440 transcript:Dexi7B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAFAGGARLLFGFLFLAAPAMRKRRRCREIISPGFFRSLVAIYSNTNGEVGETCVGVQGRCIVVFFR >Dexi1A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:1A:23097912:23103420:-1 gene:Dexi1A01G0016120 transcript:Dexi1A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTFLVAVEQLHTALEMVKPVTRARCRFTCYISSAVQRVLLLAPWALLLLLALQLEAEAAPPSVPSSIVSPLLRTGYHFQPPRNWINVQPKGAVWGNIVWAHSVSHDLINWIALEPAIYPSIPSDKYGCWSGSATLLADGTPAITYTGISRPDINYQEQNIAFPKNKSDPLLREWVKPPELNPIASPEAGINATQFRDPTTAWFADGHWRMLVGGVRRTRGLAFVYRSRDFKKWARAKHPLHSAMTGMWECLDFFPVAGSGEEHGVDTSEHGEKYVLKASLDLNRYDYYTIGSYNKGKDRYFPDDPAGDYHRLRYDYGNFYASKTFYDPAKRRRVLLGWANESYIVPDKSKAKGWAGIHAIPRKMWLDPRGKQLLQWPVEEVEKLRGKSISVGGKVVKPGEHFEVTGLATSYQADVEVSFEVSSLDKAEPFDPAYDNDAQKLCGVKGADVKGGVGPFGLWVLASELQEAMAHGSLCRKLGRGLGDAGSRSGRYGGRHGSPYCWLRHCGKPKVLMCTDPTKSSLSPDLYKPTFAGFADANISNGKISLRSLIDRSVVESFGAGGKTCILSRVYPSIAIGKGAHVYVFNNGEVDVKVSHLTAWEMKKPLMNGA >Dexi4B01G0022140.1:cds pep primary_assembly:Fonio_CM05836:4B:23851152:23851478:1 gene:Dexi4B01G0022140 transcript:Dexi4B01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAGKREGPSFDSPFPPRLRSVGYVASTPWQHAWHSPSASGVHTHGDTYEGDPGKSATRSSPPVARSPHDVSGVGPPASRPGYYAAQDGVGAPCRPVSAPAAAFVVF >Dexi5A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:5A:97959:99219:-1 gene:Dexi5A01G0000090 transcript:Dexi5A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDPGSRRRSSVEVDFFSDDKTCREREPPPGSLRLDIKKEDLTINAELGRMNEENQRLRGMLAQVSSSYQALQMHLVALMQAQAQQPHRQAIAPPPRHSSILPSSNNDQEQQRQPSNSSTEVGSPRRSSSTGNKDDDLQQQAAPGWLQAAEGRQQQQEASMRKARY >Dexi2B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:2B:28454359:28456866:1 gene:Dexi2B01G0018070 transcript:Dexi2B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPPSPLLHLLLLFLLAAAPPGALSKSTLESCASSTACPSLLSYTLYADLKLAELASLFAADPLAILAANAIDFAVPDPADHILPSGLHLRVPVPCACSDGIRKATSVRYVARAGDTLASVAGSVYGGLTTPDWIRDSNGMPDDGGELDAGTTLFVPLHCACFGGVDNGVPAVYLTYVVAEGDTVPEIARRFRTTGNDLMSGWELGMYYRAQSLACAVACASSFPAFTSDAGLAVVNGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLQMTNAGCSVTSCSYGGYVNGTILTTLTTSLKPQCPGPHQFPPLMPPPTSSFFETYLGPSPTPMPSEGGIGPEMAGMAPTSSPAASSAPAPADQRVGDVLLASVALCLVGNLLWIAGL >Dexi5A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:5A:4487755:4487873:-1 gene:Dexi5A01G0006040 transcript:Dexi5A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLLFACELIPKLERDTPMKPVAAETQEL >Dexi9B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:9B:11002831:11005320:1 gene:Dexi9B01G0016070 transcript:Dexi9B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQPDRRSKPKFERKGESRVVEDWLCSLPRSSLPACLPPPPCRGHAKPLAAVTSVAPCELLPPAPATPPQLASPLSQQSARAAREAVRPPQPPNRRRFHYLRPPPTPTVFAPPPFFPPQRLLLAALYHRCYTSAAAPPPPRFRSPRERRRVAWCTWPGLLRSPQLVLASAESEVSPPLGRSTCATASPPPAPSGALSRVPRQGSLAAGEQPRSRPAERVCAALPCFDRGRDRGDEDAIFPGVAEDQRQVKEVIVVVPGSRVTEESNLFVIPGKPRRDRHLELTGWSPDVGPTWGCFPGFG >Dexi7B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:7B:23865418:23867463:-1 gene:Dexi7B01G0017980 transcript:Dexi7B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGVALVVFAATAGASSCYFLSKMIGKPLVFSLWPDKLSFFQKQVSKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHTFLLGTLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKSPEMA >Dexi1B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:1B:384669:386381:1 gene:Dexi1B01G0000400 transcript:Dexi1B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYAAAWGDCKPTATMLAVVVVFAVLNTLTKMAFNQGMHTTVLITLRQLTAFLFLAPIAYFRERKTRPKLTLEIFVYLFFSAVLGASLTQWLFFVGLRYTTATFACAFINMTPMFTFLVALPFSIISACRCCNCIMGCCRMEKLDLKTGAGIAKVIGTAVGFTGAIILALYQGPSLTKSPPTMAVGAHHSSSRWAIGSVALLAGAACWSFWFILQSRLGKKYPALYSGNALMFLLSFLQMAAMALATERDLSVWILTTKLQIFTVLFVGIMGSGIGFLAMSWCIEQRGPVFTTAFTPLIQFIAAAINIVALHEQLHVGSAVGSALVIVGLYFVLWAKTKEAPDAAPSNHVPVEEKSKQASHQPEDV >Dexi1B01G0031320.1:cds pep primary_assembly:Fonio_CM05836:1B:34968655:34970854:-1 gene:Dexi1B01G0031320 transcript:Dexi1B01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLKFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >Dexi9A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:9A:5038915:5041333:1 gene:Dexi9A01G0008510 transcript:Dexi9A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILERYERYSYAEKVLVSAESEIQGNWCHEYRKLKAKVETIQKCQKHLMGEDLETLNLKELQQLEQQLDSSLKHIRSRKSQLMMESISELQRKEKSLQEENKVLQKELVEKQKVQRQQQAQWDQTQQQTSSSSSSFMMREAPPATNISNYPAAVGGRMEVAAAQPQARVGLPPWMLSHISS >Dexi9B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:9B:4920782:4926426:-1 gene:Dexi9B01G0007990 transcript:Dexi9B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNMRIKTAPRANRVPLLGNGETSRGLSDLEEGSNVQPANVGFCRVIKLAKHDAGKLVLATIALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALEDVKGTILYIVIIVESFGAIRTVRSFAQESHEISRYGEKVEETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLVEISHQYLHSKVSIVSQEPTLFNCTIEENIAYGLEGKSNFSDVESAAKMANAHSFICSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLARDGIYTALVKRQLQGPKFEATSSISETSEIEAEPSRNGQ >Dexi9A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:9A:1128227:1134395:-1 gene:Dexi9A01G0002130 transcript:Dexi9A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRARLSSLEEDDYDDTATGSSLSSAASSPPLSPASPPPRVALLNAPRVAAQLSSADEDASESFDDATSSGEDGELVEEVSNGLFFTVARVPPPPPPTEEPTPVPGGDMAGFDAAPGGGDSFGAAEEALEDSFTSAKSSLEGLDAGARSGLDGAIADGNGAGAEGSLDGSFQSSRSALDGGEAAESGDLVDVSDVPVLMDDKQGEQDVGGAESVNDMMPEPFMPVAGVDGLNIVDGLKRKDVSDNEVPELLPVLNSTEGKNAGVELPSGDSDAKGSAAEPETVMDVEGASPEYVATIDDTSDPLEVHEYHDGFDGVESIVYGDHDKVDDKADGDSEVSCDLASMTISASDDAVELPAKELEDNVPASKGLRFGVDDSDDVELSGDDEYEEGNGKETELFDYAALVELLRAANISSGQGKGKVFPFQSSEPKHLPATVTSIPRTEVASTPVLEVTSDPEKEMNDEEKKIYRKVDMARITYMRLIHRLGYDTNHMVPVQVLYRLSLVEGFRRVRMANRSPELENAWKRALQLEANGIEDLEFSCNILVLGKTGVGKSATINSIFGEDKSKTNAFLLATSSVKEINGVVDGVKFRVIDTPGLGTSAKDEKSNRKVLNSVKKYMKRCPPDIVLYVDRIDTQRQEQSIRQVTNDPRIENPVALVENHHLCQRNTEGEKVLPDGLTWRRLLLLLCYSKKMITEIDKHPRGSNDPGIGSVDSAFDLDELLSNDQEDGDDDYDQLPPFKPLSKSQVAKLSKEQQKMYFDEYDYRTKLLEKKQLKEQLRRFKEMKESNDNDVPSDDHHPDDEYETERSPMPDWALPSSFDSDDPVYRYRCLEPTPNLLVRAVNNPVGWDHDCGFDGVSIQHSSDVANKYPASLWVQVNKDKREFTIHLDSSMSVKHGDHASSLAGFDIQTIMDQLAYTLRGETKFKSFKKNITTGGLSMTVLGNTMVTGAKLEDKLSVGNRLTLLANTGAVSMSGDAAYGLNMEATLHEKSYPVGQGLATLGASLVRWRKEWTMAAHLDSQFSVGRTSNMAVHVDVNNRLNGRGAKNMTLLMGSSAAEPRQSAPRLEPAVAAVRPSPAGVGGAGAALVP >Dexi3B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:3B:9940343:9941730:1 gene:Dexi3B01G0013850 transcript:Dexi3B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGGFGGDEEGRGLELSLGLPGYFSGSPAQAAGLEKGSAGSTAAPAKGTIGFKAPRPAAAAPVVGWPPVRAFRRNLASSSSKPPPPRSHEPSSQRGNGSTATAKVVEAGGNKGLFVKINMDGIPIGRKVDLRAHAGYDTLSAAVDHLFRGLLAAQTSGRDQQVITGVLNGSGEYTLVYEDEEGDQMLVGDVPWEMFVSTARRLRVLRSSDLSPSSLRAASRKRTAAEC >Dexi8A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:8A:1184196:1185464:-1 gene:Dexi8A01G0001700 transcript:Dexi8A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRAAAALVSSLLQAMVVADAGWGLGQPIGLPNCRTTCGNVSVPYPFGMEPRCRLYGFNVSCDASQRLYMEGLRFADIPLQVIGLSLDDSTVHFLAPLPPPQPNVRDPPGFHMFDKDQLDWEVGSSALQGLAPGDERPGNETCPRDLGSNACHSTYSTCQATSAQYKDQTNATGYLCRCHNGYQGNPYLSDGCKDIAERMIVTLEELEKATNNFDKARELGGGGHGTWQLRSQR >Dexi3A01G0014620.1:cds pep primary_assembly:Fonio_CM05836:3A:10629824:10631916:-1 gene:Dexi3A01G0014620 transcript:Dexi3A01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVAGDVPARSPRKLLRTNSSKKVSAASSLERAILSFKTWEPTAAVDCAARAPAADDHHGTPLTPRRRIHGARPGPLYLDPHHHHQSPGRGTPGAEGGARSPLQEAAATTVQKMFKGHRTRRSLADCAIVVEELWWKLYDQASLDRKSVSFFTGKQETAASRWLRAGKRIAKVGKGLCKDDKAQQLALRHWLEAIDPRHRYGHNLHLYYDIWFQSSSTEPFFYWLDIGGGREIHHPSCPRSKLNSQLVMYLGMNERAAYEVVVEDGRLTYLQSGLLVNTTDESKWIFVLSTSRSLYVGQKKKGQFQHSSFLAGGATSAAGRLVAKEGVLKAIWPYSGHYLPTEENFNEFISFLQEHNVDLTNVKRCSVDDDEYPSLKRKQSSAVDPSSQQEEEEPKETMAGQTTTAMEEDIGAAAEAPADAAEEDEASSSSRALVKWTSGAGARIGCVRDYPAELQSRALEQVNLSPSRSSAAAPPLLPWPVPSPRPSPRIRLSPRVQYMGVAASPGVRHPAKQQCLGIRPPTVRLTLPISKNSKAIDRRLVGKQPSLN >Dexi2B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:2B:23718860:23720590:1 gene:Dexi2B01G0014180 transcript:Dexi2B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAWGPRDFQQANWTVEEPAAEVWAPASGLPVEYASIVDIFGNFSLQADGPNTLSSSIEQQLVEYNYYATASRRDRDRSHKVTQNTGDDRSEAGNSESESPHHSDHGVDVDTTEKSGDNSRGPAALSPESIVDIDGLNTEPRISIDGQARAFFKRAAGEFSARMMMKEKMHRYPASLTGYVDERYMAPMIVSIGPYHRHLEHLKPAEEAKHAAAYHCLNESGSLLEVVYPVLVDAADDARRLYDPDFMLMRCDDPKLHPSMRRFLSPNRSEILHDVLLLENQIPWRVVEAIMRFRRVSLDKFLASLRGCLSDRKLPQPKQDVDLVLDDDKGDEPPYRPPHLLGLLRHYIVGRGDPDFPRPETKKRSVTHSAMELEEMGIKLTSNSTGKLIDMQLNHGILFAELSLASLSLDRDRASYLVNMAALELCTVESFSEAGDEDSAVCSYLLLLAMLAYREEDVHEMRVTGLLHGGGGLTNQEALAFFTSLQGLRLGRRYTGIMRQIESFREKKRTETKVHAFLYNHWKTVAAVGSAIAGISGVIGTLASIKSGL >Dexi9A01G0044560.1:cds pep primary_assembly:Fonio_CM05836:9A:48174038:48175952:-1 gene:Dexi9A01G0044560 transcript:Dexi9A01G0044560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNAGALLLCRQALAVAIVFSALSRQALAAGEGSKEADKIAALPGQPKDAALQHYSGYVNLGDKYGKSLFYYFVEATADPAKKPLVLWLNGGPGCSSFGIGALQEVGPFRVDTDGKTLCTNKYSWNSVANVLFLESPVGVGFSYAADTEVYKVMGDNMTSSDSLQFLLKWLDRFPEYKGRDLFIVGESYAGHYVPELATAIQVAKITRPAEIPINLKGIALGNAILEFASEQSALYEFLWQHAFLSDTGHSLIAQSCKGVDDNSPLCSGAKDTAYAQLGHFDIYNIYAPTCHDKKVKATSSNCMDLADPCAQYYVEAYLNQPEVKKAIHANTGLPYPWTRCRAYNLLRFGDSPKVSMLPYIKAIANSGVRVWVFSGDLDAMVPVMATKQSMQKLGLPVVADWRPWSTEAQEVGGYVIEYKGLEFVTLRGCGHLVPIDQPASALVIFKAFLEGKPLPKAAPMVD >Dexi2B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:2B:1098722:1099198:-1 gene:Dexi2B01G0001650 transcript:Dexi2B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLLAGDMIYFILEEGERILQYDLVRHVLSVIDGPPVYAAGNMVLMTAEDGGGLGIAKVNEDRLQLWRWKIGPWHIGHWQRGRVIKLGMMIAIATGNPSGSLDLVGFAEGTGSIFVTAEDGMFIIELKPDRVRKVAKRGDLRIIYPFASFYTPGTN >Dexi9A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:9A:16445378:16445974:1 gene:Dexi9A01G0021580 transcript:Dexi9A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVDRRVSESPLGWRQNSEPSNTRITAPGDLHVLALPLPTQRNSLHRLDAPHCLNISGRTTLSRFHGWGAPANEKSHARSASRTLSCQAATSASGTAKFARCHGLVSSTSTVMFWTAGSLSSLVPHCSSTSWPRRKSHAVRPATMKWSRPPMARHSGRWDSHPTRSRPSMSRDVVLFHMYLPPSRLA >DexiUA01G0001510.1:cds pep primary_assembly:Fonio_CM05836:UA:4051903:4054157:1 gene:DexiUA01G0001510 transcript:DexiUA01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVGKVALTGQHCWISANELCSRSMYKYHEDWQLQFAAGIKTVLLVPVVPYGVLQLGSLDMVFENAMLVSLIKELFLEFYNASVSHTSLSVGPAYSNILRPPTATLSSDHPGINMFNTDNSAHILNDHLSLTHPFSILELPILEDITIGSYKTSPTGCLNGLLDGNGTMEYEHFNGTRMAHTCQEGTCCDGSTALSDGVVMSSSSIHSEFHRDLMAMAREEHELLMWHTRLKYTSPTPLQVNGNNTDFYFYLQLETNNYEELLLDTTIEQIGHASNRETSHSTNSPFSYETQIEKDHALRLDGSSVPDIPGGQEFSPIPMNENEGFTNCAMTDASPIEINNICIVRHSHGTNLAEIKKGHRKVELQKLRPRDRQLIQDRMKGLRELIPNALKCSIDALLEKTIAYMLFLQSVSEKAEKIENILENKESCCETKKQLESCPLRVKEFDQSGHLLIEMLCEDYEVFLEMARVLKGLEVSILKGVLEPRSDKLWARFVIEEPRLLAGIGRFQPDADSVPTDASPQDMELA >Dexi3B01G0036280.1:cds pep primary_assembly:Fonio_CM05836:3B:39117180:39117869:-1 gene:Dexi3B01G0036280 transcript:Dexi3B01G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPDSTTLTTWQAGTDCCLWEGVGCSNSSGHVTALNLSGFGLHSNGIDAVLFNLTSLRLLDLSRNDFGGQNYGYEIPSVGFEKLALLTHLNLSCSGISEDCFKALAKSSPHLRVLSLEDCSLQGRIGDGSLSRLHSLTVINLSSNNRISPGSFPEFVMNF >Dexi2B01G0032990.1:cds pep primary_assembly:Fonio_CM05836:2B:40707481:40709448:1 gene:Dexi2B01G0032990 transcript:Dexi2B01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIMRRGYSYSPSPPRGYRRRARSPSPRDHYGGHGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYYDPEDAADAKYYMDGQLVLGRQITVVFAEENRKKPQEMRARDRVRGRSYDRRYSRSRSPPYSRGRSPSRSYSRSPSPPYPKHRLRERSYSRSPVDSRSRSGSPYEEGYHRSSRRERSLSVSG >Dexi9B01G0023990.1:cds pep primary_assembly:Fonio_CM05836:9B:19446570:19451781:1 gene:Dexi9B01G0023990 transcript:Dexi9B01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMSAVVKSVSSNLLQMMVDSTYRFSERSLMNELLEMESTTTFQGNFRPVNEVGKAVLLKDFEGDIPEDFPEGVYIRNGEPHSLRFGKPVKDSANTNIFEHAGRVFAIAENHLPYEINIDSLETLRPYNINGDWEQPFTSHPKKVCSSGELVIMGINPQKPHYLVGVISSDGQRLLHKVDLGFEEAKFIHDIGVTTKYNIIMDYPLRFGISRTLQQKPIFDNDMNGKSRIGVMPRFGDAESIRWFDVENHCSYHLFNCFEDENEYGYTQVVDSIATSKTGLFKYKMIAKLHFEEPDKKNGRDEDDGWVITYVHDEGTNISQVYIIDAKRFSDEPTAKITLPQRVPYGFYGNFFYK >Dexi9A01G0049880.1:cds pep primary_assembly:Fonio_CM05836:9A:52367640:52373703:1 gene:Dexi9A01G0049880 transcript:Dexi9A01G0049880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYSRRLLAAADFVLSADAQDQWTRLPALDVTADLKPHQLDGVAWLIRRYRLGVNVVLGTSSPFMGLGKTLQAISLLSHLKIQRIASGPFLVLCPLSVTDGWISEFSKFCPSLRVLQYVGDKVHRRDLRTTLYEHVQRTKSSHSNVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHELGHRVLLFAQMTQTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSSLSTKGLMRDDNQSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRAHRIGQLNHVLSMSLVSEHTIEEVIMRRAERKLKLSYNIIGEDNKTDVKGGDLGNEASDMRSIIFGLHLFDPADTTTETMNDYTSETISVEKLAKLKIMSEEIVMMRSHEPSEKDERLFEINLNSADGSGTMIRRASDSISVDPRLNEEAYLSWVKKFKEASHSIEDATAGHGTQRSAPEEKFLKREVNRKKIEEKRLAKWETLGYKTLAVREPDIATNQNISDFGSVHLVYGDCTNPSKVCPAKPAIIFSCIDNSGTWGHGGMFDALSGLSTCIPDAYHRASEFDDLHIGDLHLIQLDG >Dexi3B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:3B:5954580:5954981:-1 gene:Dexi3B01G0008490 transcript:Dexi3B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSQSVLGGGLGRRRRRHLRARRSSRRRRFHRCCITRSWAHTSWRATNTALLEGHHHNSSCWRVRATLHGRQHNASEPPWQPISDLSQTCGSVAAFLIFGNKMAERMAYFVLSVAGRVVSFIVRAESSACRW >Dexi2B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:2B:1534254:1538102:-1 gene:Dexi2B01G0002000 transcript:Dexi2B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEKKAHAPFPRAVKPSNPSARLKRSKSDLEDKDAKGALRSSQKAASNQTKLILQLEIHLKDQQVVRGALEKALGPDPAPITLQNESPMLKPATQLIREVATLELEIKHLEQYLLTLYRKAFDEQQQQKAPTSQPSSDVHREASKLSVSSRSSQLDETPKAKAPIIRRGGGDPMLHYSCPPLSKGRNGCAVDDSSPSTCPRRTTDFDHGLRSQSALSFRGVCSSRISPTEDSLARALRSCHSQPFSFLEEGETATSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVFCKLADPPLVHHRPSSSPSSSLSSASVVSPQYLGDMWSPNCRREATLDSRLINPFQVEGLKEFSGPYNSMVEVPSISRDRRRLREAEDLLQTYKLILYRLETVDLRRMTNEEKLAFWINAYLKYGVPQNNLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSNTPCTGHWLRTLLHPRMKSRGSNKAGGGEWQAFAVHQSEPLLRFALCSGSHSDPAVRVYFPKRLSQQLEAAREEYIRATVGVWKDHRVLLPKLVDAYARDAKLSPERLVDAVQRSLPESLRTAVQRCRRGGGGGRSAGKVVEWVPHRQSFRYLLARDLAFPHLS >Dexi1A01G0030260.1:cds pep primary_assembly:Fonio_CM05836:1A:35569385:35573040:1 gene:Dexi1A01G0030260 transcript:Dexi1A01G0030260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFAVASPAASAVAARSKARPVLGGGKNQGRTGCRVGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADKNDLKLNLGVGAYRTEELQPYVLNVVKKAENLMLEKGENKEYLPIEGLAAFNKATAELLLGADNPVIKQGLVATLQALSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHTPFFDVAYQGFASGSLDEDAFSVRLFVKRGMEVFVAQSYSKNLGLYAERVGAINVVCSSPEVADRVKSQLKRLARPMYSNPPIHGAKIVANVVGDPTMFGEWKQEMEQMAGRIKNVRQKLYDSLSAKDKSGKDWSFILRQIGMFSYTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSLAKCDYLADAIIDSFHNVN >Dexi2A01G0031920.1:cds pep primary_assembly:Fonio_CM05836:2A:42577280:42580179:1 gene:Dexi2A01G0031920 transcript:Dexi2A01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLGRPLDPSRVDQPWHLPPSLSLPARRALLALLADPAEHVSASPRDILSALPETDLAAVLNALASRGGDPGVALAAVLAARDLHGDRALHHPRALPAAVRVLASAGRLAEASALLEAAPAPDASAYTALVSAFSRAGRFRDAVSVFRRMVDSGVRPALVTYNVVLHVYSKMDIPSKEVVALVDSMKEDGVAMDRYTYNTLISCCRRRGLYREAAQVFDEMKAAGFNPDKVTFNSLLDVYGKARRHEDAVEVLKEMENAGCPPSVVTYNSLISAYVKDGLLTEAVELKQEMELKGMKPDVVTYTTLISGLDRIGKIDAAIGTYNEMVRNGCSPNLCTYNALIKMHGVRGKFIEMMAVFDELKSAGYVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPETDTYVSLISSYSRCGLFDQAMEIYRRMMEAGIYPDISTYNAVLSALARGGHWEQAEKLFAEMKDRDCKPNELSYSSLLHAYANAKKLDKMKALSEDIYSGRIEPHNWLVKTLVLVNNKVNNLSDTEKAFQELRRRRCSLDINVLNAMVSVYGKNRMVKKVEEVLSLMKENCINRSAATYNSLMHMYSLLGDCDKCEAILTEIKSSGVKPDIVTYNIFIKSYVANSMFEEAIDLVKADSN >Dexi5B01G0034960.1:cds pep primary_assembly:Fonio_CM05836:5B:35077232:35077720:-1 gene:Dexi5B01G0034960 transcript:Dexi5B01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEEHSSKERMFLFQLPKSLPLPRRSSSVIERKGRAVGKEVKEGFNLQQLPQGYLGKMLVYKSGKIKMKLGDVTFDVNPGTESRMAQHVVALNTREEHCCLLGEIENQHVVVTPDVDSLLNDK >Dexi3B01G0036600.1:cds pep primary_assembly:Fonio_CM05836:3B:39513978:39517159:1 gene:Dexi3B01G0036600 transcript:Dexi3B01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAGGGAEEAVRRWVEAGGGRLVLDGGLATELEANGADLNDPLWSAKCLLSSPHLIRKASLNSRIPPNPKDPSLLVHMDYLEAGANVIITASYQATIQGFESKGFSKEQSENLLTKSVEFAREAREMFLKEHLDQSTPTQQPILVAASIGSYGAYLADGSEYSGDYGEAGTVQFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYVELLEECNINIPAWFSFNSKDGVNIESTGVSDGDFVSYVSEWCKDGASLIGGCCRTTPNTIRAIHRTLNQGSNVQQLPVA >Dexi3B01G0033670.1:cds pep primary_assembly:Fonio_CM05836:3B:36521657:36522435:1 gene:Dexi3B01G0033670 transcript:Dexi3B01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILKRPNTNTRYGTKRRQQERPRDAAEGPARGGEVTRRPQALRTTPTLLAVTPLPSPLTTPPVISTYLVAAAGCSSPAGTGAGGEDMRPEEEGGRCCAALRFRGEGEL >Dexi9B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:9B:12304278:12307809:-1 gene:Dexi9B01G0017480 transcript:Dexi9B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDPRSGFCPSTRTFRSLRPPLPLPPEDAPFSFPSFAVSRLPSPLPAHPAFLDTSTGAELSFPALISRVRSLAAALRGALGVSKGDVALVLASPSLHVPVVYLAVLSLGAIVSPFSPLSTAADVARAVDLCGASVVFTTAGTAGKLPAGRKMAVVLLDSPQFDSFLHGHEGVVADDGPLLSPPVEVRLSDVAAISYSSGTTGRTKAAAQSHRRLIASSLQATAARTRAAGGHAVTSLLGVPMFHSYGFHMLMRGVAMAETTAVVTAPRGGGAAAVVAAAARCGATQMFVAPPVVVAMARRGGVGPEGFPDLVRVVCGGAPLSSAAASAFHEKFPDVELSLTGDLCYFDEDGFLYVVDRLKDLIKYNAYQVAPAELEDALHLIPGISDAAVIPYVAPYKKIRKVCFVDSIPRLPSGKLLRRELHRHVTLPKSTSRL >Dexi2B01G0030120.1:cds pep primary_assembly:Fonio_CM05836:2B:38362447:38363896:-1 gene:Dexi2B01G0030120 transcript:Dexi2B01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSGPLRSRNAASSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLVPWMNSGEL >Dexi5B01G0026190.1:cds pep primary_assembly:Fonio_CM05836:5B:27997578:28002512:1 gene:Dexi5B01G0026190 transcript:Dexi5B01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLLDLANGNLSALDYGGGGGAGGGGGAGNGGRPPRARRMQRTMTTPGTLVELDEERAGSVASDVQSSLAGDRLIVVANTLPVRGERRADGRGWSFAWDEDSLLFHLRDGLPDDMEVLYVGSLRADVPPAEQDDVAQALLERFRCVPAFLPKDLCDRFYHGFCKQTLWPLFHYMLPFSPDHGGRFDRSQWEAYVLANKLFSQRVIEVLNPEDDYVWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMTQLQSLLQHPDLERQVAELRNQFNRKTVLLGVDDMDIFKGIDLKILAFEQMLKTHTKWQGRAVLVQIANPKGGSSKDLEELQAEIKESCQRINDQFGRPGYSPVVVINRTLSSIERMAYYTIAECVVVSAVRDGMNLTPYEYIVCRQGLAGFDDSGDNRPRGKSMLVVSEFIGCSPSLSGAIRVNPWNTDSTAEAMNECIALSDNEKQLRHEKHYRYVSSHDVSYWSKSFIHDFERSCRDHFRRKCWGVGLGFGFRVVALDRNFKKLTVDSIVEDYKKSKSRVILLDYDGTLVPQTTMNKTPNETVVSMMNTLCADKKNVVFIAVSKGFVAEKILSTLMEKGRQADFVLCIGDDRSDEDMFEKIADIMKRSMVDPQTSLYACTVGQKPSKAIYYLDDANDVLNMLEALADASEEDGSGSPEETSGLSTPEQE >Dexi9A01G0002510.1:cds pep primary_assembly:Fonio_CM05836:9A:1344355:1344731:-1 gene:Dexi9A01G0002510 transcript:Dexi9A01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAALTTLLAMAMATILSVHGTRTLERVDQVTILEAPSLLMVHLKKRQLAPSQQVAIPVIVTKRHQCLSLWMTSLKKQQVAPSQRDTVFRALSGAAY >Dexi2A01G0022990.1:cds pep primary_assembly:Fonio_CM05836:2A:34756593:34759614:-1 gene:Dexi2A01G0022990 transcript:Dexi2A01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLRAHGTPPLLIALLAVTFVGVVPRSASAITRRDFPEGFVFGAGSSAFQVEGAAAEDGRTPSIWDTFTHEGYSFDGSTADVSADQYHHYKEDVKLMHGMGLDAYRFSIAWPRLIPGGRGEINPKGLEYYNNLIDELILHGIQPHVTIYHFDLPQVLQDEYGGLLSPRFIEDYTAFAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDNGSQPPRRCSYPFGENCTGGNSSTEPYIAAHHLLLAHASAVSLYRNKYKPIQDGQIGITLLGWWHEPATNTSRDAAAASRMNDFHIGWFMHPLVYGDYPPVMRSRVGERLPRLSVEESARVRGSFDFVGFNHYLILRIRSSEKEEDSSQKYKDYYVDAAVQNPVLAITEGRIESPPWALGKLLDHLKVNYGNPPVFIHENGLGDSPGTQSTIEYDDEDRTRFLQDYLEVLYQSTRNGSDARGYFVWSFLDVFEFLFAYKLRFGLCGVDMDAAARTRYVRSSARWYAGFLRGGELRPPLARSGRPYDVA >Dexi7A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:7A:22575188:22578087:-1 gene:Dexi7A01G0012350 transcript:Dexi7A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSGSRALVEILTRMQSAERPMPVDHTFFEFGSIRYHVEASVSDHENVYLSISTPSLSLEASPSSSGLPEATLQETRKTYHRFAEVVEPPREGYVLTLKLNFSGLTRPKDRVKAITQVSRLQSVVLSSQLKDMLGSLVSSGTMKLVYNQREPFFVSKTDEKINAVFPMRFRDDTDLAIATSFFQELQELGSSLVKKAPTCSWSPIPPPELRGEHVHRLTTNGGFVSFGVLPRHVMGKKRAAKTAWILLNFQAYVKYHIKCTRSHIQSRMRERLEALTEVVQGARLRGNDDARKSQAVVKKRGSKSRLISFAKANKRLQKGFRAVLGKIKRLRLRIRVKGLDRLRRQCQCFPVPKLPGPSPRRKVHRYHKLGK >Dexi5B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:5B:5997177:6003785:1 gene:Dexi5B01G0008870 transcript:Dexi5B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLDGGGDRTGRCGIRGKRARGFRFGVVGEGSMVGGLRGGSGGGSRHGGVVSGGGGRQPAGRADGGGERLPWLATGGVQVQRGVIAGCGRGIPNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVSNIAESLRLLSLNDNRFLRYFKGHLDRVVCISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFSVGNDDSEAHVIKFSTDGRRILLTTKAGRVHVLDSFHGSSIASYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLPSFTITK >DexiUA01G0015720.1:cds pep primary_assembly:Fonio_CM05836:UA:33352767:33355916:1 gene:DexiUA01G0015720 transcript:DexiUA01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVRALPSKLAALLVGDRDDDDVVFLKSELRSMWDHLDSRTSHDDGADDDLALERKVAYDVEDCLDLLGGGGGEPSSSRRLVKWLFLRDAHKLRTLQQRYSMSAKEVQELKQRVVVLRERRRERCSAAVDDDYSQAQQHSAMLVDPGGDVVGLDGPIEEVGNMVMDAGEKAELQIVSIVGMAGSGKTTLAKEVYRRLKEQNYFTCCAFASVLPDLSKTFMDMLLGLKFTSVHHVDDDTHGGELIEMIRETLSKERYLIVVDDLWGRGQWKEIRCCFPENSLGSRVIITARNLALAKECSGSIYNIPLLSEINSRKLILNRAFGTRNGRPPKGWEDFLAQIVGRCGGLPLALVTLVSMLTEQSSMDEWERLIGSIWLSTSHPYAEMMKQKLNLSYSDLPSRQHKKCLLYLSIFPENYKVDIRRLVRLWIAERFIPEGFVPIIISEETAIRMYLTDLIARDMVQPLHQKHYEEFPRYCRVHPVIHDFIVWKSVEQKFIIMMNVQNQEYSPNSYGAVRRLSLRSNINRDEAVAQNDSTDLSRVRSITVFGQGIATPHLTRLKMVRVLDLEDCDSPVCLDGLSKLIYLRSTKVKELPPSICKLLHLRYLNLRDTAVSELPMTIGELRCLETLDARSTKVKVLPPSIVHLNHTLKTLQGLMKFKVTLGSVKMTVEAVNKEVAKHHNPINLIINGTTVNVNGVREKEEATEIYNEAKCEGEAKYDVKVVGERTRRITSEIEEIEDDTESSP >Dexi3B01G0021590.1:cds pep primary_assembly:Fonio_CM05836:3B:16456538:16457119:1 gene:Dexi3B01G0021590 transcript:Dexi3B01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMLPLLMGKGRPRWWWRRSSARRRLGVVAAWGFAVAAALVVSLCFVGGALASVPSFSMKQIPEGRWKRRQEPIPAIRR >Dexi2B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:2B:10227461:10230281:-1 gene:Dexi2B01G0009460 transcript:Dexi2B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKRGLQKGNASIGIVATLFGDKEDVVKMAQNDLIDWDGSEVHDEALERHNYSFDTSQSKALALALNKKMPVLVIQGPPGTGKTGLLSYLIPCVVQRGERVLVTAPSNAAIDNIVEKLSSTGLNIVRVGNPSRISPSVASRSLGEIVTSIRQLLKKLGKDYKKKEKETIREVLSNAEVILSTNIGAADPLIREIGSFDLATWITQCALLLLDTRMPYGSLNIDCEESLDPAGSGSFYNNGEADIVAQHVLSLVQCGVHPACIAVQSPYIAQVQMLRDRLEEYPLTSGVQVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRARSHVAVVCDSSTIRNNVFLARLLRHIRQHGQVKHVEPGSLDGGSGLGFDPPALPSLG >Dexi6B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:6B:24090290:24091763:1 gene:Dexi6B01G0016820 transcript:Dexi6B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASGWSRAVGNTRSFVGNAMGGLRGWSNLASWTVAGTLAYYLWVRPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGTKKGPTKSED >Dexi1A01G0000490.1:cds pep primary_assembly:Fonio_CM05836:1A:285045:286526:-1 gene:Dexi1A01G0000490 transcript:Dexi1A01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQDGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGPIAEEQ >Dexi3B01G0027960.1:cds pep primary_assembly:Fonio_CM05836:3B:23810880:23814549:1 gene:Dexi3B01G0027960 transcript:Dexi3B01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCWEGRPVRAPENHIAINGTVVVSCHFGLSVPGKTTTLRLFSSTQIDHNTGKGKLSAEAPLRGGKKTKHGHGKTSTMTYQVTLFVDTEFGTPGAIVVKNGLKNDQFFLRYVQLELAEDRSIHFECNSWVYPYKKTNSDRVFFINTSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYDYDYYNDLGNPDKDDHIRPVLGGTATHPYPRRCRTGRPLFKKDGLTETRKHMINLDFYIPPDERFNPTKLAEVLMLAVQAVTHFVLPESRALFHGNINSFRSFDQLRRDLYNKPQQPVVEGEVMDKLKSSVPSQKTYKQVSKMVKETPVRFPIPQAIEYDQEAWRTDEEFAREMLAGLNPVVIKRLDVFPPVSSGGKKSSINTSDIEGQLEGRTVEKAIEQNRLYILDHHDYLMPYLRRINTLGVCIYASRTLLFLKDDGTLKPVVIELSLPSEGVGDDEISRIFLPASLGMDGHLWQLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPINKLLEPHFKDNMQINTLARSILLSAGGILERTMYPGKYAMEMSSAIYSEWRFTEQSLPNELIKRGVASKDPNGGMILHIEDYPYAVDGLDVWRAIVGWVQSYCTHFYHSDAAVVADKELQAWWDDVRFVGHGDRQHDPACWLKLDTVAHLAETLSTLIWIASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMVQLEADPEKFFLEMVPDRFTTTLGLTLIEVLSNHTSDELYLGQRATSTWTDDGEVLQLLDRFREELRLVEKRVAVRNKDPRLKNRRGPVKVPYTLLFPDVSNVGGKEKGITGKGIPNSVSI >Dexi4B01G0009970.1:cds pep primary_assembly:Fonio_CM05836:4B:7268302:7269707:1 gene:Dexi4B01G0009970 transcript:Dexi4B01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNGVSGGGGGGQQQAPAAGKLPRKRFYRARAHSNPLSDSHFPVPVSPDEVDLSQHYPRYFPADKGGEGEEEAAPPPRIRFADVGCGFGGLLVGLSPLFPDTLMIGMELRDKVTEYVKERILALRASNPGQYDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEEIKADPVVKLLSTATEESQKVARNGGQTFHAIFRRISLQEE >Dexi5A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:5A:6825424:6827592:1 gene:Dexi5A01G0009110 transcript:Dexi5A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIARRGTRKLLNLRQVAATSRALGFDVTISDARGNLKGFATMVNSCDVLLAVHGAGLTNQIFLPVQAVVIQIVPWGKMDWMATNFYGEPARGMNLRYLEYHISEQESSLAQRYPRDHMVFKDPMAIHVDKMSFSVRRRSPPALVVPSGPTPTGELIPLTSMDKARLFSSFTSLHVFAGGATSIHDPAETIRRAISAALVHYYPVAGRIVTLGPSAGDDDDHDFRLACTGDGVLFAAATASCTLRDARFFLNAPPHADLALRYGTCSVSDPLLLVQVTEFACGGYAVAATWNHGVADGFGMAQLLRAVGELARGLSPSVKPVRHDESIPDVPQHLLAAVLRRRTHAEVFKPVEYAYCDITIPRSFIDRVKAEWRCAHAGDVRPCTEFEAVTAAIWRCRTRAIGAGADDEAPAPLVFAANIRRHVGAKEGYYGNCLTAQLVTAASGSVASGGGAVVDLVRLIRDAKERIQAEVVAGGEGSGSRRETVALGEELVTALCGYNALYVSSWGGLGMDGVDFGDGPPVRVLPSKERVGAPACYPCLPRRRKDDDDDASIAVATCVTEEHVDEFMLQLSRLR >Dexi6A01G0000440.1:cds pep primary_assembly:Fonio_CM05836:6A:266061:269346:1 gene:Dexi6A01G0000440 transcript:Dexi6A01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAASRRPPLAPPPKRRSSGELLQPDLLTYKRRRRATSANATGRSAVALSPDQNQMGLVANPTNPQHQALARHWRSWRNTLEGLLQSPAVSQSSEGIQTCIRDALRHNSCQLHGNLGESQGGARDVPSGAVLAKENNGALIKAVDATANNGALVKSENGTASSLEANKAKCNTALFEILVSEKFALLCDLLVATFHVNKPDDVIGLQKIDAKMRSGDYAQNPALLDQDIKKIWKKIGQFGQEMVGLASSLSVISPCDSGHSTIPKQSGTSGLDGICKDCGRKADSEGRIICDRCEAVFHVSCLKPAIDDVPAKWYCPTCNELDAAVKNNGNGKSHEDCNVCEWLEFKEPEEHPEAEDVGKTELAILSVVKTQESSVASMDGDSEPDLSTTALSNLCKHCGTCEDEDKKFLVCGHPFCGYKFYHVLCLKESQIAREKQKNRACWYCPSCLCRSCFKPKHDEWTVLCDGCDDAYHTYCMNPPRNDIPKGLWYCSSCNARRSADGLQKYEKLILESLPSAKRSKTLAGGAPENK >DexiUA01G0005610.1:cds pep primary_assembly:Fonio_CM05836:UA:10209560:10216855:1 gene:DexiUA01G0005610 transcript:DexiUA01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCTCVGTAPEATRGSQDPGREHECGRPLGLKFNDRTGELYVADAYHGLGVVGPQDNVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFQRREFLNIVITGDKTGRLLKYDPKTNKVEVLVEGLAFANGLAMSTDGNYLLIAETTTGKILRYWIKTSKASTLEEVAQIPWFPDNIRMSPRGGFWVGLHAKRGKIAEWSITYPWLKRLILKVPMRYVQRASWFLNQLGRQVIALRLSDDGNIIEAISVHGSLQKVFRSVSEVEERNGVLWIGSVMSPFLGVYKL >Dexi5B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:5B:7152159:7153139:-1 gene:Dexi5B01G0010220 transcript:Dexi5B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVEHMRAARHSSHEPRCDVCGKHCRTFESLRDHLGVGGSTLPKATHCADAFASRSGCTLCLRVAPHHRSSSCKLDRAPAAMSRPAAVAMNSRQPQGRALALGCKMVGAGSDGSLDVVARVCVVDEQENVVFETFVKPLIPVTHYRYETTGIRPENLRDGAAAMTVKSAAARVQDLLLAGEQPWRARTTRGRARLLVGHGLDHDLDALGMDYPGYLKRDTATYPPLMKTSRLSNSLKFLTLTYLGYHIQTGHQHPYEDCVAAMRLYHRMRRQEHAKGGGDGADVPAASTEQAFPAWRQRELERMTPDELLRLSRPDYHCWCLDE >Dexi7B01G0014980.1:cds pep primary_assembly:Fonio_CM05836:7B:21052820:21056062:-1 gene:Dexi7B01G0014980 transcript:Dexi7B01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHNSQDGGGSQYGAAAPPDMGLFSPPAAASGPVPLSSRPPSTTQPPPPPQQQQQPRASYEELAAVSGAGGFDDDMLGGGGGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVSRKLADLGYTRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPAPQLTPQPPQQLPPASTAPQLLAFAAPTSSTTPPPMSSSMPPPGLMHPAPISSAAPAPVLAPAPPMELPLAAGQQPLNLQGMSFSSMSDSESSDGESEDDDDMTAETVGSQDRLGKRKRGGGGGSKKMMSFFEGLMQQVVERQEEIQRRFLETMERREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLHRIGGPPPPSTATTVVVPMPLQTTPPPQKHAPPRQQQQQHPPPPPSPQQATTPKSKPISSATPVVQQQPKETSGASQELVPVTEQHHLDSGLGGGESGAAASSSRWPKTEVHALIQLRMDLDMRYNETGPKGPLWEDISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYSRKNLRAAAAASNNVAIVAAAPPALPEPQPNPSRQEIEGKNINDDKRNNGGSSGGAAVPSSNGDKAPTTPAAFDIDSSMKKPEDIVRELNEQPPREITTDETDSDDMGDEYTDDGDEGEDDVPEADERGWRQQLTSTAGDDDDGRTCGGDLRSDEHLPRHGSIGWRAIAGTSINRT >Dexi1A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:1A:5641861:5642112:-1 gene:Dexi1A01G0007340 transcript:Dexi1A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSGTTAAEDGGYGGGYGAGEKRVRVWPSDEDGGRWPYYVGERDVDNKAAIFIANFHRHQSGVCDCPPDQTPAPATAAP >Dexi1B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:1B:328876:329334:1 gene:Dexi1B01G0000280 transcript:Dexi1B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTRVKNAQFVEQVASLFTKDDNVVVGCQSGKRSELACVDLQAAGFKNVKNMGGGYLAWVDHAFPVHHQVQTPPSTGSGTGTA >Dexi1B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:1B:15682799:15689952:1 gene:Dexi1B01G0012530 transcript:Dexi1B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSGDGGGAAGDLLETGLLAASAVKEDEDAGKTTTSGRLADEQGTATTSSRVRRGARHVAAQLQEVFLGTRLFPLFAAVPLAVAAQHLRLGRQNFSFLLGIHPQFGTILITAGGILNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQADVSIGLLMLGVLCHILPLLSKYTNGTGDGISSSGSVLELSRLSAIVMLTAYFGGLIFQLKTHRQIFEQEDGCITQIYLIVLDIVNILNLLMYLRTDFQDSSESGSTSGNDDSSSVIGFASAVIWLIGMTVVIAVLSNYVVTTIEEASESLGIPLRFISIILLPIVGNAAEHAGAIIFAFKNKIDITLGIALGSATQISLLVVPIILIVSWVNGIPMDLDLNLLETGSLVMTVFTTAFTLQDDKWHYLKGFNLTLCYVVIAVCFFTIKALPMA >Dexi9A01G0025440.1:cds pep primary_assembly:Fonio_CM05836:9A:25825941:25830100:1 gene:Dexi9A01G0025440 transcript:Dexi9A01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATAPASLTPMHPSRVRVLLPGGDRMSGPVVYWMLRDQRXXXXXXXKNTDPTTQTTRPFVSFTPSHAAAMPPATAPASLTPMHPSRVRVLLPGGDRMSGPVVYWMLRDQRLADNWALLHAASLAAASTPAAPLAIAFSLFPHPFLLGARRRQLGFLLRGLRRLAADARFRGLPFFLLEGGPAEVPALVRRLGASALVADFSPLRPVREALDAVVGELRREAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRSKVSKVMDEYLVEYPEFPVVMPWNRQQPDDVDWDVLIDRVCSEAENVPEIDWCEPGEAAAMEVLLGSKDGFLTKRLKNYDMSRNDPTKPRALSCLSPYLHFGHISAQRCALEAKKLRHYSPKSVDAFLEELIVRRELADNFCYYQPHYDSLAGAWEWARNTLMDHAADKREHIYTREQLENAKTFDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSVSIYLNDKYHIDGRDPNGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLVAQAKKRKAEGSPDTGSKRPKSEVIQQLNMSKTLI >Dexi5B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:5B:10227558:10232910:1 gene:Dexi5B01G0013920 transcript:Dexi5B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHIATGGHYAIKILDRSKILSLRIDDQIRREIGTLKLLKHPNVVRLHEVAASKAKIYMVLEFVNGGELFDKIAIKKKLSEHEGRKLFQQLIDGVSYCHEKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGSDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDCQIPRWLSPAAQDLIKKILEPNPMKRINITGIKEHEWFQKDYVPVVPYDDDEDTLPGSVLPIKEQIDEPAQAKPTHINAFQLIGMASSLDLSGFFEEEDVAQRKFRFTSMHPPKDLFEKIENVVTQMGFEVHRGPRKLKVFKNCKGSRYSRKPSSFLVCTEVKCHMHLPFVQCRKECISVETYSTVLIFQLCERLSDELGVCKTEEIMRTESLGDSDMSSVDGEALPLSGF >DexiUA01G0015740.1:cds pep primary_assembly:Fonio_CM05836:UA:33462189:33464369:-1 gene:DexiUA01G0015740 transcript:DexiUA01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLSSKGKVAGGLQEHVRKVKETFNSRIVELNGISNELKHKSELSFESLNSQELSRNLERTKSVSATTMNFFRTIDSHALELKKVLEESHMAHQKQLFQLQNKFEVIVADEEKYLMEKVAGLLAESNARKKNLTAIITGNANKSLGEDYTA >Dexi1B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:1B:23391898:23394703:-1 gene:Dexi1B01G0017020 transcript:Dexi1B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSGDIPDSLGNLLKLRFLTSPINWFIFTLHPYKFSEQSKSLWSWYFKALPWGSSFFPTASVQSSIPSSPINCTGAIAGGVAAAEEDPEVHLGQLKKFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVKGLLKEKKVEMLVDPDLQNAYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAESAPLRNDWIVDSTYNLRAVELSGPR >Dexi2B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:2B:7306509:7307628:1 gene:Dexi2B01G0007090 transcript:Dexi2B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSRIRHHPHQLTPSSPPAICPSLNLRRLRPLRPPTLASANTPPPSPLQFSFPLPRRQWRGGTSGLVRDAVLELRPIEADVGSVTAGGKKKKSFWGAVSLIIGTAVGPGMLGLPSATIRSGQAPSAAAILLSWAYVVSSIVLVAELSFSAMERDGVDEVSFTGLASSTLGADLGALVAVVYAALSFSLLVACVAGIGSLVSQLFPMVNPVMANALFPCFAGVLIAFFPFKAVDAANRALCGLMLVSITALVVTGVSVGRSSLLRSLGYACWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASAGGNAGIGDPIKLLLSLHCLLFEALHLLHWRRA >Dexi7A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:7A:2740805:2745214:1 gene:Dexi7A01G0001040 transcript:Dexi7A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFISFTDYCPSELGQSGNREWDFAMEDPSGSSPSPAILRNKYWILRHGRSVPNERGLIVSSLENGTKPEFGLASQGFEQARAAGQLLRKELEEMGVPVDSVKIRYSPFSRTTETAREVAVVLGIPFEGPSCEYAEVWAVDEAHPYMAPEGGESVSDVAKRFSAVLSSTETEFHSSAILIVSHGDPLQIFQAVLSGAKENSSFLEDVSDLKVKGMTVASVLSQHRKFALATGELRRVV >Dexi5A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:5A:24172505:24178154:-1 gene:Dexi5A01G0020340 transcript:Dexi5A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKALLPLSIPSRLASGSIAPHSLLPPHLLKPPPSLRAARSRRHHLPVSVCARGRGRPAAPDRGLRTWCGGGGGGFVQSEIPGRGEMKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGEYLGKTVQVVPHITNAIQDWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVMSVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEDNVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDKLESIALEPKLDEWVARAAIFDGLQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGADGVLVPGGFGDRGVQGKILAAKYAREKNGSKTHMGGTMRLGSRRTFFKVTDCKSAKLYGNVAYVDERHRHRYEVNPDMVPEFENAGLQFVGKDDTGRRMEIIEIPNHRYFIGAQFHPEFKSRPSKPSPLFVGLIAAASGQLDRVLQDCNGHVVPAKHLLSNGAYTSTVHQNGHSKKLAHGLSNGTYYANGNGVHA >Dexi2B01G0033650.1:cds pep primary_assembly:Fonio_CM05836:2B:41137132:41137566:-1 gene:Dexi2B01G0033650 transcript:Dexi2B01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESYRAGDEVVRGDAAACKKAAVELLGEIGLPKGLFPLDDMHEFGYNREAGFMWIVQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKTTGVKTKELMLWLSIVEVYVDEATPGKVTFKTGTGLSDSFDATALELGM >Dexi5B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:5B:22263980:22270294:-1 gene:Dexi5B01G0020000 transcript:Dexi5B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIEVRFENLEAEAEVRVGSSGLPTVLNSIINSVEEAAHALHLLPSRKLTMPILHDVSGIIKPRRLTLLLGPPGSGKTTLLLALAGRLDKDLKVKGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTASAMGGQEANVITDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPAGALFMDEISTGLDSSTTFQIVNSLRQSIHILGCTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFESMGFRCPERKGVADFLQEVTSKKDQKQYWAQRDEPYRFVPVKEFATAFKSFHTGRAIASELAVPFDKSKNHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYMFRTFQLILMSIIAMTLFFRTNMKHDTVTDGGLYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFFPAWSYTIPSWILKIPITFVEVGGYVFLTYYVIGFDPNVGRFFKQYLLLLAINQMAAGLFRFIGGAARNMIVANVFASFMLLVVMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDNILNSTASNETLGVQTLKSRGVFTEAKWYWIGFGAMIGFTILFNALFTLALTYLKPYGNSRPSVSEEELKEKHANLKGEVLDASHLVSASSHRSTGINTETDSAIVEDDSAPTKRGMILPFVPLSLTFDNIRYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGHISISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEVYAGPLGHHSSELIKYFEGINGVSKIKDGYNPATWMLEVTTVSQEQILGVDFSEIYKKSELYQRNRALIKELSQPAPGSSDLYFPSKYAQSLITQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVKTSQDLLNAMGSMYSAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALAQDILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPRVPIWWRWYCWICPVAWTLYGLVVSQFGDVMTQMEDGRTVKVFIEDYFDFKHSWLGYVAAVVVAFAVLFAALFGFAIMKLNFQKR >Dexi6A01G0016810.1:cds pep primary_assembly:Fonio_CM05836:6A:24678958:24679903:-1 gene:Dexi6A01G0016810 transcript:Dexi6A01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDFFGGGVIPAEETKTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRPF >DexiUA01G0011180.1:cds pep primary_assembly:Fonio_CM05836:UA:22490545:22503230:-1 gene:DexiUA01G0011180 transcript:DexiUA01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPVGTTAPLLTLEDWMTPPLTTSGLPAGYVFRPKARCLLDSYLIPKALHGRVPAEVLQDGVAEGVDLYAVRPEALPFPARHRDSHNQVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCQLYKPKSEVPAYLEITDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFEDSDITHVDDTVDPVRDLETISEELRLKDIDFMNKKIEDLEKSMKRSNDKQLKIEHELCDRVGY >Dexi3A01G0012070.1:cds pep primary_assembly:Fonio_CM05836:3A:8722236:8722597:-1 gene:Dexi3A01G0012070 transcript:Dexi3A01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFVWGIVATVVGAPCRPNSFDQYWLWHTPPDDAALILLH >Dexi6A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:6A:2557206:2561199:-1 gene:Dexi6A01G0002740 transcript:Dexi6A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKLGSRADVFRKQGQEWYCTSGLPSDITVVVGEQSFHLHKFPLLSKSGLLERRIREKIDKGEDSWVIDLSDIPGGSKAFELAAKFCYGVKFEMTAANVVHLRCAADYLEMTEEMSEGNLIAQTENFLTQTVLRSWKDSVKALQTCDDVLDIAERLQIVKRCVDSVATRSCSDPDLFGWPVAQYGGPMQSPGGSLLWNVPKVYDDAMHPTVTQLMSNQAHPYLSESDKEELCRVMDCQKLSLEACTHAAQNDRLPLRVIVQVLFFEQLQLRNSIAECLMISEPLDGGGVSRQLAGLSIDEHHRTAGWPLATRENQTLREGMDTMKQRVAELEKECTAMRQDIERLGRSRSAGKSRFPFALTAKPQVCSTKDKDAAPETSKTAETASRDKAAVVKGGAGGDGTPKVKLRKHKMNLSAC >Dexi8B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:8B:6391467:6392686:-1 gene:Dexi8B01G0005920 transcript:Dexi8B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGGAIAAVVALVLTLALLCGTASAQGFLGINRKAKQPPKRSTPGNPKQLPPKGKYTTVVTNKYHKRDYEITCTTDYGASCYIKCPARCPNKCLAYCAYCLTFCLCDLMPGTSCGDPRFTGADGNTFYFHGKKDESFCLVTDDHLHINARFMGNHNAESGRDFTWVQSLGITFDRDDHRNHSLYIGARRAAEWDEEDDHVVLVLDGEPVDVEAAKNARWVSGTVPGLSVTRTHDVNAVTVELDGVFSVSANAVPITDEESRVHSYGKTEKDSLVHLDVGYTFHGLTKDVDGVLGQTYRPNYVNKLDITAKMPIMGGEERYRSSGLFATDCAVSRFHRAAGRSADGFTSFAS >Dexi9B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:9B:12391148:12398610:1 gene:Dexi9B01G0017630 transcript:Dexi9B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMAAGECRNGAPEAEDVSVNILLDRGKLGALKRREFFDNLLKRIEDDNLHFLQRQKERIDRRLPTIEVAFENLCVEAESRYSGGGSHLPTLWNSIKDFLSGYIMFFGLKSDKVKINILEGVSGIIKPCRLTLLLGPPGCGKSTLLRALAGKLDKSLKSIGNCIQVSGDISYNGYRLDEFVPEKTAAYISQYDLHIPEITVRETLDFSAQCQGVGNRAEILEEVSKREKMTGIMPDHDIDLYMKATAVAASEKSLQTEYVLKIMGLDICADTMVGDAMRRGISGGQKKRLTTAIQAYHGSMPRKCFSEVQQRDIQKESDVHTNIAKEAKMAMPAMQLALTFRNLNYYVDTPPEFVDEVLKTVELDDVKDALVGRPGINGLSLEQRKRLTVAVELVSNPSVILMDEPTTGLDARSAAIVVRAVKNISETGRTVVCTIHQPSTEIFEAFDEVF >Dexi6A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:6A:11554149:11555590:1 gene:Dexi6A01G0009710 transcript:Dexi6A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKKARVVLAQPAAGAPAPRPPLFSRAPGPVRGSGEEAAYRARLRYQALLQDYQELVKEAEAKKRKLHMERLSKQRLLAEVKFLRKRYKSMSENPSQTIVCRVRNPAVRPASRTAAWANDAPYRSVQAISSSSRNQPVQWTHEGSARVTPAIDLNEACEPGYEEMEMGDHHGYQEPLDIDKVRSHPMEGDAVAGPSEARIPAFWDARSQAGRAGKRKISWQDQALRV >Dexi2A01G0024870.1:cds pep primary_assembly:Fonio_CM05836:2A:36588931:36591545:-1 gene:Dexi2A01G0024870 transcript:Dexi2A01G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDSYSSVQNVALDTLFLIVFQAAVVIALAKFIHLGLRRHNLPSATSQILAGIFVGGLGLHDVIVHVDVSNAEDTYGHIVSEARIFYMFYVGLEADVAALWNDIRRATVLTYASVATCLLLAAFVSGGMYGSMMHTPVRSPELLAAVLMLSIADTASVDVSRMAGEMGLTATPSGRLVVASAIATNIICIVGEGVFSCMKLASSRTPGYSASQRLGLGVLALVKVGVAVLLLRPAVAFMNRRNAGRHRIGNLELVLVLVAVSLIGNFPQDVGFDGMPVSLLLGLAFPREGPVARSIMDALAYPLHALALPFYFGAMGMRLNFSAMSGAILVPAILLTLLGLIGKCIGTMAAARFLKMPAADALRFGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVVGSMISTIIAGPVFAVVFRKEKAAYERSHQALEHMSPDQELRMLACVHGARGTPGMLCLLELLASKPRAQPAIHVLHFYDAAARKHASGPWQYHRRVQDRDHKLTDHLRDATTQVNWSVDVFTCATGLAIRQVDAGDRGAAANAKTVRRWTHDVRPGILLLPYHREQHCDGTMVCRRDDRRELNAKVLDRAPCTVGILADRPFRRSGTSFQLPAKISTTSTEKKVTTRVAAVFLGGPDDREAVAVACRLAKNEPISLTLVRFVLRRDSTHDEHDGRVAARSPDVVVVDGEVSVVVVDDDDPNEDCVAAFQREYVAKERGEYEEKEVTGPMDVVEALRGMAGANAMVVAGRGGRQPAELVVGLEGWAQCAEVGPVGEILATDQSLEMGSVLVVQQKTAAPVPLGPAAGADAGNLIT >Dexi9B01G0024890.1:cds pep primary_assembly:Fonio_CM05836:9B:23900091:23900287:1 gene:Dexi9B01G0024890 transcript:Dexi9B01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVKQKFPGTPDQQKAPEEVSRPKDATDIEDSTTDNER >Dexi9A01G0034080.1:cds pep primary_assembly:Fonio_CM05836:9A:38870668:38871009:-1 gene:Dexi9A01G0034080 transcript:Dexi9A01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSPSRSTAAWSDWISRSASSAFSDLVSCQIPTAALTTWMRKMTSGSMTADNVISPAEKEWMRKMTCGSMTADNVSSPAEEEGERGAVPGAELHVVHRVEVARGGGEREEE >Dexi7A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:7A:16305778:16308349:1 gene:Dexi7A01G0005140 transcript:Dexi7A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRVSFLLPSSPCPPRAPLAKPLPLLLHLRPPRTLHSSARPLASMAAAPAGGTAAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLASWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGSCYRADHLLKDFCKDKLEKDHTLSPEQAEEYNNILAILDDLSAEQLGAKIREFGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEA >DexiUA01G0010100.1:cds pep primary_assembly:Fonio_CM05836:UA:19949723:19964720:-1 gene:DexiUA01G0010100 transcript:DexiUA01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDLSFSASISSVKLPWYSASKCDTIWVKRTRKFRAEARTAESGKYGTNGRATKMVPTTELRRTNGGCLIRSGTVNGSPNRTVNGSTKAIINGSAKIVINGTPKMAVNGTSLVKGSKTSSLVKTQKHTRLKDDPFQEELKILPSDEGFSWAKDNYNSVQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEENQKVRRQKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSIDVVYKEFEERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPVCFLNLTKQFILLIMWDYTTEKVLTLEYVPGIKINNLDVLDNQGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVEKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQFFLDNLLRQTPDQQQTLAAIGEVACIGYILDPDFSFVKVAAPYAQARDSTISMPYRIQKIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGIFLALLIRSMQRVKKIDKFETMI >Dexi4A01G0023970.1:cds pep primary_assembly:Fonio_CM05836:4A:26867235:26868255:-1 gene:Dexi4A01G0023970 transcript:Dexi4A01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAGWQQIIPLSRQVQYFKDTKAKMVAAVGSAAAVDALLARSVFLISTGNNDLAGFSAVEAKLNKSPAQQQSDAAVFLPYLISNYSANITFAIVNAALIGCVPAARVQVFPSRPLGSCIEGLNLLAGGFNVGLGSLLATDLAARLPGFVYSLADSFVLLKDLVDDPPAWGFTDVASACCGDGFLLAQSFCIPTAKVCPTRAERDHHVFWDLFHFSQRASFLTAQAFYDGPSKYTTPINFMQLAAQST >Dexi2A01G0019690.1:cds pep primary_assembly:Fonio_CM05836:2A:31854824:31858708:-1 gene:Dexi2A01G0019690 transcript:Dexi2A01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAPAFSPGAVPLGVLLRREVTKERMERPDVLCGEASRSRKGEDFTLLRADAGQRVAGDPSTSFSVFALFDGHNGSGAAIYAKENLLSNVLRAIPTCMNRDEWLAVLPRALVAAFVKTDKDFQGVAGTSGTTVTFVIVDEWVVTVASVGDSRCILESADGSVYYLSADHRFDSNPDEVERVTACGSKVGKMDIVGGPEVGPLRCWPGGLCLSRSIGDSDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTCEMALDCSRGFPSDVAANRIVNILHQLSLVTCARPA >Dexi1B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:1B:14321709:14322513:-1 gene:Dexi1B01G0012170 transcript:Dexi1B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVTAAATVAQEAEEVRIFYQRYGHGATKVLLIIGFAGTYESWGPQVKGLTGAVEPVDEEAPAGDDSGAAEGIEVCCFDNRGMGRSSVPANKSQYTCGP >Dexi2B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:2B:10276348:10280850:1 gene:Dexi2B01G0009540 transcript:Dexi2B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRIPARLGLDGAAAVEQWRRQRGARAPVTVPGRRREAAAAVRCQGAAIVDKKDVARERGGGGGAEPELTVVMKFGGSPVASAERMREVADLILSFPEERPVVVLSAMGKTTNKLLMAGEKAVACGVTNVSELNELSFIKELHLGTVDQLGLDKSIICATYPAVAKRLHGDWINDPAIPIVTGFLGKGWRSGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKSVLTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEELDDVIEELEKIAVVHLLQNRSIISLIGNVQRSSLILEKGHL >Dexi9A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:9A:4928118:4930701:1 gene:Dexi9A01G0008360 transcript:Dexi9A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLAEGAMALAVAPEANASSGGAAGSLAGPLWWVSECHGVWYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQTIYVFGFGVPLFIDVNQGSGGKWGLWILHKLILTGVYGLIVFMHHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCLLVASGAGFGLWLYNLTTVCYHSLYLPLLYSTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >Dexi5A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:5A:24013642:24014057:1 gene:Dexi5A01G0020170 transcript:Dexi5A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQPQTRDAEGGAESSAMKLLFVEMGVGYDQHGQDITAAAVRACKDAITSNSIPAFRGGSIPGVNTDQMKLQIKLGVPRSTQHLLDAERVKAVFP >Dexi1B01G0022040.1:cds pep primary_assembly:Fonio_CM05836:1B:27794277:27797997:1 gene:Dexi1B01G0022040 transcript:Dexi1B01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTDAAPGGGDDLATMREQCRTLEETISSRREAQLELIGSLQHLVPDLGPSLDQSLRLIAAFNGRPFVPTIYPNSSAHAQHQHPTLKPHHRRNLPDQARTTRRKTSPGSSPPSAAAGGAGAGGGIDVVRTMVAVCLLELVPFSEIDAAALARRLQAETSSASKAERAALADLAAELGGSAPAAVVLALRRIAEDSGAVQIEEAVIGGKQMTMVWAIDRSKLLKELPESASVPQVQPPATPQVAPPETDANSAIIPRPPLQQQPDMWGHPMPPMFPRPRGMAMPRIPPGLIPLQRPFMAPGGVIPMGGPGPSPTQLKQRTEEDDLKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACEKVHFRRIIAPHTDTNLGDCSFLDTCRHTKLPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDVEVQPPSPPRTSAPMDVEQSSSQKAAAADGGERPA >Dexi9A01G0042820.1:cds pep primary_assembly:Fonio_CM05836:9A:46322703:46326671:-1 gene:Dexi9A01G0042820 transcript:Dexi9A01G0042820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDPDQSGGAPDVFELFCHYNSLYFRDSLGSCAVSWAEEPLPDRDHGSNFRKLMNTINLSSVADPHRPVDGYRITQLHEIRKKYYHYKCQSCGDLIKSTMMRVPSGDDCIERKGVDDPCQNSKCHWHRHKQQCPGSYRRVQESLPGCPKVSPCSQDPGDNNKLEIVLASRPEERSRVIQGSNGVAGNQAADESMSCPVDSSIRGEIVDISDG >Dexi6A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:6A:22038450:22042477:1 gene:Dexi6A01G0014660 transcript:Dexi6A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASKKSSSSAAAASAGPTKAVSKEVERIDQFFYTYADKSSGMIEKMGCEKQGYFTLDEWRTGLKALRADSISKLKKAFPELVQEVTRPTNFMDFYTYAFRYCLTEDKKKCIEVPVACELLNLVLGLQFRPQVDKLNNYLQHREA >Dexi5A01G0036260.1:cds pep primary_assembly:Fonio_CM05836:5A:37874918:37877115:-1 gene:Dexi5A01G0036260 transcript:Dexi5A01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAEANGESKTEILVRDDSPKLLAALKEMKEGLDLVRSKVQALTQKVKENQLPTANGIGYLEAKNHLLLGYCQDIVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKAEYQIQKLTNAADGATAREKAGNAEAKGKGEHSDEEDLLKYRPNPDMMDTKSAPDEQDNDGIYRPPKFMPTAMDDEDKRRKQDSRRDKAIARMAKENPYIKQMIDDAADRPEEWKETVGDESKEFMKYMRQREEQEKQEEELFTRAPVTKRDKQIEKRIRRQLHGLEGLTDGFDLGMNMLLDGDKEDDGGSSKSHGKSGKRKKHLKGSGKKRKRH >Dexi7A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:7A:27203214:27203420:-1 gene:Dexi7A01G0017570 transcript:Dexi7A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTYRGQVAGPEPGCDIGRALARLLPCRTFSSYTTRFVPMPLNAVLSRHWLLQSIVVPTCTKVVFA >Dexi3A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:3A:2177577:2178359:-1 gene:Dexi3A01G0003380 transcript:Dexi3A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPALLPTPPKLKMMMPPLLPTPPCAVILPMSSPPPRKPSRADADQNWVASKSATKCSSNPGRASSCERWDSNKKSAAASSSSSSSGGSSSASRASSSGDSKWRSNKRRPAVTVSRASSAERWDAHKKPRPQQQVADGVDDDGQSSTGSNDDMKLYAGPGFIASPEPSMIPMPTSLMEFI >Dexi5B01G0024620.1:cds pep primary_assembly:Fonio_CM05836:5B:26643970:26649072:-1 gene:Dexi5B01G0024620 transcript:Dexi5B01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEPFVSHQLAMVVESLPPLSAILFTVAALAIGAFTVYFYGPSWRVRRVPGPLALPLIGHLPLFAKHGPEVFIVLARKYGPIYRFHLGRQPLVMVASPELCREVGIKKFKSIPNRSMPTPIRSSPVHHKGLFFTRLDGLLNPELTGLPFLSCRDSRWQSMRNVLVSIYQPSHLASLIPVIQPYVERAGHLLHHGQEITFSDLTLKLFSDTIGQVAFGVDFGLTKDTTTASTPTTESVDNAARDFIQKHFYATTSLKMDLSGSLSIVLGQFIPFLQEPVRQLLMRVPGTADQRMEETNMAMSGLLDNIVAERAAQSDRGEKNFLSVLLNARESSEAMKKLFTPDYVSALTYEHLLAGSVTMSFTLSSLVYLVAMHPEVEVKLLREIDAFGPNEVVPDAEELQTKFPYVEQVLKETMRFFTVSPLIAREASEDVEIGGYVLPKGTWVWLAPGVLATDPEQFPDPYVFRPERFDPENEECKRRHPYAFIPFGIGPRACIGQKFSMQQLKLVIIHLYRHYVFRHSPKMEVPLQFQFSIVVNFKHGVKLQVIDRKILCKQ >Dexi2A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:2A:5623442:5625497:1 gene:Dexi2A01G0005880 transcript:Dexi2A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDEQGHGSHTASTAAGRAVGNVSFGGGLAAGTTRGAVPGARLAIYKVCWDSACREMDILAAFDDAVADGIDVISMSIATRFPSLYFKSAEAISSFHAMRRGVVTSAAAGNSGLSGGRVCNVAPWMLSGSSVNTFPPVNNATLAFPVNGSCDPDELAGVSYKGKILLCPLEGGFRTQATGQFDEIMAYFNSSSNPVGTIERTDTTVNPQALIAASFSSPGPNQITPEILKGYNATQFALITGSNTMACATNGSTVTSSAGDLNYPSMATLVEPGKNFTVAFPRTLTNVGDSASAMYNVNILLSATGKAADHLAVLVAPSRLEFNAQNGQKASFTVTVCLV >Dexi7B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:7B:13069059:13075104:-1 gene:Dexi7B01G0005610 transcript:Dexi7B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNQIVDSDSDEEGGEVTPASASASASVAASVASGSGSVGGPSPPNPGPVQVPFPSLSPSPDTVVISDDDEVEDPDEIVDSDGDSPIVDAPEVISSPTPPAPAPTPPPTTTPFRTPVPTPPPAPTSTSTPTPTPTPPPPAPPPTTTPFRSATPTPQPARTPTPTPPSTAHPHPSPPPSALSGRLRPVDEFLRRLGLRLRPEWLESCAAGIPGFDGLGGAEVQARRCFEQFVFADMNRCGAGVLPEGVGSMHAAVLDGPFVLQVDEIVNVSVPLKGRYHDAHAGPKRCLKLSMTDGIQHIYGMEYRPVKDLAVLAPAGLKIVIKNVHIRRGVLMIVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLPLSSRATLAAWSCSRNTTNGGEHGISMPRAANSSHLPGLGTTRPSGTNVMSGGEQGFSMPRSVSSTHPTGLGNAFQVGKTTGTVVEDHITPPVVVNTVQEQIQRVQEINMVDLSTYHTREDTGTSAHTANEYDRTHIIERSTQTIVEECGGPPVRSNNAHEQIQCVQETTMEEQASAFGVSQHGSHIIAGTAVNNYVEAAQSPNVEVINQKEHLLILNGENDKPFTYICSMLTDWVRQQDTKAYIQGKIKGLITSVKCFQYKRRAKYELYVYIDDGSFISEAMVDHDIVKNVLGLSPEEVTAALAGEFEFASPSEVKESMKEFQRFLVKFEGMMLIQCNKDSSIPIIREFNEGCSSSDAWLLLRRLKTFSSRRCMPNLDAMDTT >Dexi1B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:1B:25821910:25824200:1 gene:Dexi1B01G0019590 transcript:Dexi1B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFADLTEPAAAASAERGGVDRQLWLACAGGMCTVPPVGASVYYFPQGHAEHALGLAAAASDHLSEARVVPALVPCRVAAVRYMADADTDEVFARIRLVPLRAAGDEDDDAANEDEQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGAAWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDAGDLHVGIRRAKRGPFCVAGAGGDEAAAMPGWDHYAGMMRGNVSPCAKARGKVRPEDVAEAVRMASAGQPFEVVYYPRASTPEFCVRAAAVRAAMRVQWSPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSIPAIHLASFSPPRKKPRIPAYPEFPFERQLLNPAFPPNPLSHGGHHYGLHHTPHPSFFPFPDGSAPAAIQGARHAQFVPPLPDLHFAHLQSSLLYHHPGLRRSDHLGPATPTPARISTDLTIGGGAPVRDDDKKPDAGAKPAGLVLFGRTILTEQQMSLSSSISGGGVTSPAATGNSSLNWNADKGPKSSDGSGSGVTQNSPTKNASSERWLPWFRDGSQSSSELAVLEPGQCKVFVESDTVGRNLDLSALGSFDELYGRLSEMFCIESSELRGRVLYRGAAGDVKHAGDEPFSVFVKSARRLTILSDAGSDNLGS >Dexi1B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:1B:5434967:5435675:1 gene:Dexi1B01G0006720 transcript:Dexi1B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLVMLLAVAVTLAPQDLVAGGGAAHPAYTNAAGAGDDSCGLASAGAEVALEEHGGGRIIDITHAYRPDLPAPGRDGLGPVTRLKESMANGSVNNVSELKMVVHSGTHVDAPGHMVQEHFVAGLDVDKLDLDVLNGPALLIDVPRDTNITGITVSSNFLLY >Dexi5B01G0035810.1:cds pep primary_assembly:Fonio_CM05836:5B:35694168:35695946:1 gene:Dexi5B01G0035810 transcript:Dexi5B01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNSCFQHIAYFAVSTNLVNFLRDRLHQDSKAAANSVTNWRGTSYVMSLVAAFLADAFLGRYWTIALFMLVSVVAYVALTVCAAAAAPSAAMFYVGLYLQGLGCAMQPVVTSFGGDQFDDSDEEGRRRQSSFFNWFYQSLNVGSLIGGTVLVWVQSNISWGLGYGIPALCSVLAVAVFLAGTGAYRRHQPPAGSPITRVAQVVVAAARKWRVEAPAHASELHECEGDDGMSATIQGSRRLAHTDQFRFLDKAAVETAGDKARPSPWRLCTVTQVEELKCVLRLLPVLASGILFSAAYVQMSSTFILQGDTLDPYVGSFRVPAAVISIFETISVMLWVPLYDRVVVPLARRVTGHERGFTQLARMGVGLAVLAVAMVAAGTLEVERRCVIARHGMFDTNDGMDGMYLPLSVFWQVPQYVVVGAAEVFTFIGQLEFFYDQAPDAMRSLCSGLSTVSFALGNYLSSALVTVVARATARGGRDGWIPDEINRGRLDDFFWLLAVLCVGNVGVYLLIARWYTYKKTVD >Dexi1B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:1B:4532399:4538241:-1 gene:Dexi1B01G0005520 transcript:Dexi1B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYILKMVWGQGARKPLFGLLLRAQQQAARGYSSAFQTRVLGTHVPQNDIFDRRFSSQASSSEQMNLIKQLRERTSAPIKDVKASLVSCNWDIEAAQKDLRKRGVVLASKKSSRTAAEGLLAIAQDEKKAAVIELNCETDFVARNDVFQYLASSVAKMALSAQGPGELFLPFGPDYLENMSINLGHPKLSGETTVQNAVTEVAAMVGENVKLRRGFMLSTTAHGVVSSYLHTCPQPGLGRIAGLVTLEAEDSSALLDALKTVGASIAMHVVATKPLFLSKELVSAAALENEREILRTQAESSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYILNDSKNVKTVLSDLSKEVGSKVTIGNFIRMQVGEGIERPEAAEGSEPVARAA >Dexi9A01G0042090.1:cds pep primary_assembly:Fonio_CM05836:9A:45621750:45622926:1 gene:Dexi9A01G0042090 transcript:Dexi9A01G0042090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLAEQLREHQIRSAQSYSAALAVFSPSPHIASRYAHNIDQHGMDEKYGTISYAKSVVCQDH >Dexi6A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:6A:1687201:1687633:1 gene:Dexi6A01G0001770 transcript:Dexi6A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVADFGIARLLLGDGNSMVSASMPGTVDYMAPEYGLTGNASRKSDVFSYRIMLLEIFTGKGPTDSMFTGERTLRGWVSDALPSDLFVVVDKSLLQDGDIHGGDTAASGQ >Dexi5A01G0032220.1:cds pep primary_assembly:Fonio_CM05836:5A:34788281:34790731:1 gene:Dexi5A01G0032220 transcript:Dexi5A01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGLHELCALLPGSKRDGHLPIYPQIAAAAANTNGFTAEDLESLLLLPHDGIAAAAGGSGGYLNVAPTTVVPPASTNRASPPRDAVPAAPVAPGQPDDSEAFSDIVLGYINRMLMAEDIDDKFEHYPEHSALLAAEKPFLEILADLPASSGSSAVESPDGSSVTNSYNSIGSCSCGAAASDGFGAVPTPPLDFASAAFLQPPQLYQDLSPESSVVDAGAPWPYDSTEFYQLQANALPEALLAQSPSFASSNGSGITLSDGFESLLSSSGVMPDVGLTDFAVQSQQAMQFCRGLEEASRFLPDESKLVIDLEKSASVTSLVADVKEEKRFAEVKAEKADVEAAVHRGKKHFYGDDLDAEEGRCSKHSAAAIDTDHLVREMMDKVLLCNGETCSKGVKELREALQHDVAKHSHGGHGKGSGHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRGATELLKQIRQHASPNGDGDQRLAYCFANGLEARLAGNGSQIYKSVVMTRFPCTDVLKAYQLYLAACPFKKIAHFFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRPGGPPRLRITGIDTPHPGFRPAQRIEETGRYLKDYAQTYNVPFEFKAIASRFEAVQIEDLQIEKDELLIVNCMFKFKTLMDESVVAESPRNMVLNTIRKMNPHVFVHGIVNGSYNAPFFVSRFREALFHYSAIFDMLETNIPRDNEQRLLIESALFGREASNVISCEGLERMERPETYKQWAVRNQRAGFKQLLLNQDIMKRAREKVRNYHKDFIIDEDNRWLLQGWKGRILLALSTWKPDHKSSP >Dexi2A01G0017150.1:cds pep primary_assembly:Fonio_CM05836:2A:28919171:28924276:-1 gene:Dexi2A01G0017150 transcript:Dexi2A01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDKMKDFMKKVTSSGTPSSFKGTAHQPSPPPPPASTTEFTPFTPLISSSSRRPDANGAAAADTVACPSCGDAFRSELAVSEHLDGCLASAGGARARAAAYLAADPPPPAAAVEVLKRLLGNLLREPGSDKFRRVRLGNPRIKEAVVDREGGVELLEAVGFRVGDEGGELFAVMDEVPGDARLSGIRRAVLLLERAHPSATPAQVEAVANESCSNGVDGQEEAKKTVDRQIRVFFSVPGSSVADNDVPDSFYKLSGEEIRNEARMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDRVILQGVFLPGEGTGSLYEFVASALKQPGLEFELICPAVPKPRVVPHFPKQGERARTLQEEDLVPSALLKFKPKETDSVMFTGLLDELLQASEPLPAAS >Dexi4A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:4A:4546320:4548614:1 gene:Dexi4A01G0006310 transcript:Dexi4A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDMLNVWAKGPKWQNAESLLCVSSFVSHGPTAATRRRTPSVENLARALSPGDLLRLLPSCSTLPSLRALHARLLTHTQGLLLGSLRASTKLLSCYAALGDLASARMVFDGTPSPDAYSYGVMMRCLVDAGRHVDAVALHQDMRRRCPCPEAHDDFAMSLAIKACVRSAEYGYGRRLHCDAVKAGGADDFVMNSLVDMYAKAGDLECARKMFERIPNRNVVSWTSMLSGCVQNGFAADGLSLFNDMRRESVQPSEHTMASVLVVCAALSSLHQGRWIHGSVTKHGLIYNSFISAALLDMYAKCGEVEDARRIFDGLNYVDVVLWTTMIVGYTQNGNPLDALQLFLDKKFATIVPNSITMATVLSASAQIRDLSLGRSIHGISVKLGVADYDVVVNALVDMYAKCQAVSEANSIFARILNKDVVTWNSMIAGYAENNMSNDALMLFKKMRLQGASPDAISVVNALSASVCLGDLSIGKAFHSYAVKHAFLANIYVNTALLNLYSKCADLPSARRVFDEMNDRNCVAWCAMIGGYGMQGDSAGSIDLFNKMLKDGVHPNDVAFTSILSTCSHTGMVTEGKKYFDSMAQHFNITPSMKHYACMVDVLARAGNLEQALEFIDKMPVQADLKVKAIDGAE >Dexi7A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:7A:22537919:22541723:-1 gene:Dexi7A01G0012290 transcript:Dexi7A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSSDQILEVPDTPDRIQQSTCPVSSSVRRDATMAAANPVPRCKIRFRTWNNSMHSSSSQDNASTVGPTPLDTEYIYKQAEAARILALSENLEAKISLQKCDRTEISVANEKRAGKCGLDQSSSSSDNISCSVAGGRIPGCRVRDVEVNELDGNHRNTSFLGVGSGLPTIPVGKPRNRTCTSTTNRLKGIAGADICPGSSSGEVKGEANINKTIVGPSSPSCVAPRRHVGQKKLVRNGCISPSNIAKRSVKGDEKQEMWPQSGHLHHPHPQLDSFDRGNVIDLTDNSPIITRQRYAVKDKLVSGYNMDTRAVKRLRADRDGKTLVPQSTHHDNSSNCSEVGFSDCYDKGKEISSDILGNDQIRESNLRSISLNDAGASTVLSNSSNMDVEQGWRTTHNHTSKIPMSLMGKRTCSSDREAGSSVPSGQDHGSGVTIMASNRVGNKTIMIGRGRRKYASTSSHPGESSSAREDHGTSFVSSSRITAGKNHTSHRHDIPVITIDGIPLEARPSSSAYSNGTSVDPSVQAQLESDELLARQLQEQLYNESPRFAPTEEIDEIVARSLQHEEDTHRTSRPVRCVPNNTRGARPSRLSSYRNALRAELATADNMIPRWRNTAPITLGLGAVFARYPAASRIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVVQSNSIEEPCAVCLDNPAVGDTIRHLPCFHKFHKDCIDEWLRRKKLCPICKSEIR >Dexi2A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:2A:8190390:8193927:1 gene:Dexi2A01G0007890 transcript:Dexi2A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEILRAELSSKTPPFGLRLWLVIGICIWVLILCILGFMCFWFVHRRKPSKSFDKIPVSQIPDVSKEIAVDEAHEHAVVQSFQVQESHALAVQETQYEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATKRFSKENVIGEGGYGVVYRGRLINGTDVAVKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIVLGIAKALSYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNERSDVYSFGVLLLEAVTGRDPVDYARPANEVHLVEWLKMMVGSRRAEEVVDPDMELKPTIRALKRALLVALRCVDPDAEKRPTMGHVVRMLEAEDVPSREVVLLL >DexiUA01G0004360.1:cds pep primary_assembly:Fonio_CM05836:UA:8481343:8482461:-1 gene:DexiUA01G0004360 transcript:DexiUA01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNILDFPVPTAIAAHRNSILFHMTVPFDNHNWWHATPSFPVDYFVYSCSSPSSSPSLTWLPPCFYGGDNDPVLDKFVQQHRRQQQRIMFNAEMGILCHGDNGERFTVAHLCHHQLELCLLHHLPKADVPMAWSLKKLKIPQDMKIDLNSWRTDVVVPIGKSLCWVDYYQGMLFVDILAPADSEDSPNDQLLHGVRLPAQALKYRRLYNENGDPDPFRHVCVTDDGIIKLVCVLPKRLPDDDEFKIITWTLVDINTGSWRKDVDTIIVADDFFDLYDDAQSCLPRVQPTFPVMSLVDPDVICFLLIKEEDCNLTWIVEVNMRSKVLLSSALYINEEEGERHPSENDCTKGFYGHYFITTKFSSYLSKDAVTR >Dexi4B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:4B:19885116:19887448:1 gene:Dexi4B01G0017530 transcript:Dexi4B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTRARPWREAIASFRHLRGHPTLAHEKNTRHDASPCTCIELKPPAATDPRSCLLMAVLTRFIVFLLGAALPPLLLFFHAAEAGEVGVNYGRVANDLPDPAAVVQLLQRHGITSVKVFDADARVLASLANTGIKVMVMLPNENIAAAADQGSSYALDWVREHVAAHHPATRIHAVAVGNEVFDSSPDLAWRLLPAMANVHKALADLDLANTIKVTTPVAFSAVDVSFPPSAGRFRTDLAEPVMRPMLELLQHTGSYLTVNLYPFFAYADLPDKISRDYFLGNPNPGVRDPVTGLAYTSLLDAQLDATLFAMDKLGFTSLDVCIGETGSPSVGSSRGPAASKENAMAYNNNVINRVLSSTMGTPHRPGADVDVYIFALFNENQKGFGADDIERHFGLFYPDMEKVYEFDFHGGGGEPTAESWCVADAGAGDERLLAALNYACANGADCEAIQPGAACFEPNTMVAHASYAFNSYYQRNQRATGTCDFAGAAYIAYHKPDGTCDPSTSWCVANAAAGDERLLAALNYACANGANCEAIQPGAACFEPNTMAAHASYAFNSYYQRNHRASGTCDFAGAAYIVYQAPKYGNCELPSKIWMEEAAAKWEGYAAI >Dexi9A01G0033030.1:cds pep primary_assembly:Fonio_CM05836:9A:37856312:37862659:-1 gene:Dexi9A01G0033030 transcript:Dexi9A01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQLVDDGNGSPAEPRWCAVTGGRGFLARHLVAALLRSGEWRVRVTDLAPDIVLGPDETEQPLGDALRDGRAVYAPADVCNLEQLTKGDGKNYDDFVYVENVVHAHICAERALRTKEGAKISGGKAYFVTNMEQMNLWDFNYMVQKELGYKRMQQLQITPAIIKYATLNRTFSCDKAMEELGYKPTVTLRDGVKIAVKSYIHSRDVQRIKE >Dexi4A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:4A:24925043:24928873:-1 gene:Dexi4A01G0021360 transcript:Dexi4A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPERDGEEQRHPLLSSSPSGEFRRPHSPLTLPASSHVIPCLVSAAPAGAEQQYQFLGRSSSSVIRRGGGGLGWEGPEVSAEEVRSAASFSSSAGFYPPPQASAPHADHVYPYPPSIHSAVISPSPSHAPSSTRPNDGLAIVPQGPYPYGASYQPSQSVARDVLDEVEIRQLLIEHVGHRCCWGSRPARKWKITSIEDCNVYVGTLETFIEERDIVTKKGPYESGKIDGRDNGPVLGVWELDLRSEFPLLFVPEKEVTVKIPHSEVIEKCSDCEGRGEKPCPTCNAGQEHGFYKANQMTRCGACHGRGLLAHQDGSDTVCGMCNGKGMLPCIACGSRGLVTCNTCTGYGSLLAKSIAHVRWKTLSARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSTEVIASRLPVPPSARVISERHIISVVPVTRVTMAHRKQSFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLGK >Dexi9B01G0031710.1:cds pep primary_assembly:Fonio_CM05836:9B:34024903:34032822:1 gene:Dexi9B01G0031710 transcript:Dexi9B01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAFARAVDDGLKLTKRLVLPDGGHLPPPRPPQGMDRDDPAAAHSAWLHHLLPAGPMAYAVVVDPGSVDSPDVPSYQPHVYGRLDPPALIPLQMREADLRVDCAAAGCAAAEVSLRVRWWLHCVTRSRACPCRIVLPMGHQGSILGAEVTVGKKSYNTHVIDTEDNSAVKISMPESGGLLKQEWFSLTIPQVFMKKEKIQLTVNTGVSKEVILQGTSHPLKEKGRQGEKLSFLHEAAVENWSTKDFTFTFTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNANRKVFRKAVVYIVDTSASMQGKPLDSVKNAMSIALSNLIEGDVFNIIAFNDELRSFSSCLEQVNDRTIENAIEWMNINFVARGGIDIVHPLSEAMNFLSNSHDALPQIYLVTDGSVDDERNICHTMKTQLMNRGSKSPRISTFGLGSYCNHYFLRILASIGKGHYAAAFDTGSIEVRMAQWFQKASSTIVSNISIDGIKHIQDFEVDSEYIPDISAKYPLCVSGRYHGKLPETLIAKGQLADMSEISIELKVQHVKDIPLDKAKNKPTKKSSQDERSAMPLRGMTLGFGDVAATRENLTEGFGDIKAPEKFEIFEKAVGCCSRAADCFCCMCFIKACGKLNDQCVIVFTQACAAIACLGCFECCSELCCGGPN >Dexi9A01G0027170.1:cds pep primary_assembly:Fonio_CM05836:9A:31624465:31629167:-1 gene:Dexi9A01G0027170 transcript:Dexi9A01G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEAFLECLKYLSAVWVFAVASRPGGSGRRLDGAGGRRGGVRVKELVGHHGVAQQINELKDRVVEAKHRRKRYKVANEVDPGTNNVLSIDPRLSALYVESSDLVGIDIPRDHLINMLDDGEQSLKKPDVKKILGVLLSQVKNQDCATTEIGDDNQLINALRSFLKNKRGPGSADIALNSWYSPPHVLRELYTPGCCFQRIPEWITSMVNLYRLCIRVKQVTQEVLNILGGLPSLLDLELRSEAVDEPMEMLSLRNTKFRCLKIFRLYGPIMGLMFEPGAVPQLEALSIEIRACQAQSTFADHLDLGIHHLASLRDLNVWINCGGAKVEEVEALVAAITHATNLLPNHPTPRFYRDNEEGMVKDDAHVEQDIKQQLGVIEMK >Dexi3A01G0035710.1:cds pep primary_assembly:Fonio_CM05836:3A:41003735:41006155:-1 gene:Dexi3A01G0035710 transcript:Dexi3A01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALEDWCGSIGSVEEMKAKALKRQEAAAKRERAMAYALTHQWQAGSRKQKAASLQDQGLAGDENQWGRNWLERWMAARPWENRLLDSSAKESVTVGDDKPAEEEKAKAPNKPKGKATISTTQSNGSRQKKGAGHKKSQSDVSGSSSGQSASVQPTASMESSKIKGKPSDEITDEVSSQPSNLASRSTSNPKERPAQINTPAKKRLSLPNNGKSSVSLSTGNGVVGKRPANSSRTAQATRSKNAAKGVSKSESRDQTKPSSTTVKPVETQA >Dexi9B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:9B:5726171:5727520:1 gene:Dexi9B01G0009270 transcript:Dexi9B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHERGLGERDGLEAGVVVGPGEESEGEGGGEAAVGGGRGGRGRREGALRAEAARGAREEEARDRGERGGDGVRGEAAEGRGGAERGVEAAALEERDQQLRHEA >Dexi5A01G0003070.1:cds pep primary_assembly:Fonio_CM05836:5A:2229234:2231004:-1 gene:Dexi5A01G0003070 transcript:Dexi5A01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATMAVATHHPRARPRLRVCAAWDMNPGAATVAVPKPSKAKAKPPAIPTTPARPPPPTHADLFARSSEGQGGVKKSTYMGFEKWWLPPPPEVKKPRSLYSAASLAYLGDCIYELYARRHFFFPPLSINEYNKRLLGEDFLTEEER >Dexi9A01G0022700.1:cds pep primary_assembly:Fonio_CM05836:9A:17850145:17851826:-1 gene:Dexi9A01G0022700 transcript:Dexi9A01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERERERSREPRGRSVEREREHRERSRERERERTRDRESRREARESSGAINDSTSMRRKDRSRSHSQPDRSERAPPPSSSPDHRHSRRSPHRSSSSGKKRSSSDRYDDLPPPPPPPRHEPEAAKAQAASSKSKASVFSRISFPGAGGSDGANASDAKRSRRASSDKPPAHSSSSSSKKGAAAAEDGDARHHRGHRHHQNHRDAAAAAAEEDKRRAAATEFYGGGGEDEEESEEEEQHFKRRPSSSSRREREQEAQDEQPRHSRRSRDHKRR >Dexi9A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:9A:9164445:9165851:-1 gene:Dexi9A01G0013940 transcript:Dexi9A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACVEPPAGFARHHAATQAQPTRKRMRVAMGTTDDYEQEEEAASCLGEGGFGAVVRARHRATGQPVAIKRLRTGGDQTALLRESLFLKAASAGNPFVVGSRGLARDPATLGLCLVMDCGGTSLHDALRPQRNGGPPLAEATVCGAMWQLLTGAKKMHDAHIMHRDIKPENILVGDDHLRFCDFGLAVYMAERPPYTVAGTLWYMAPEMLLGKQDYDALVDTWSLGCVMAELVGGVALFQGCDDEDQLCAIFEVLGVPDGDKAWPWFSTTPFATKMDEADKKWLNHDYLRQLFPETKLSKDGFEVLSGLLTVNPDKRLTAAAALKHSWSDGFEVLCGLLTVNPDKRLTAAAALKHPWFAKIDVLEHAGA >Dexi1A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:1A:7070262:7071668:1 gene:Dexi1A01G0008980 transcript:Dexi1A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGDGGGGRGRRPRAVFMAFGTQGDVFPIAALAAAFAREQQQYAVVFITHSAHRSLSEHLAESNVRYMPVSSPPVLAAKQVENISCGSQSNHEDESFSSQKKIIQTEHRKECLSCVEAVFGDDPSIKGDFIVINFFALVSTDMFMYQLELEGWHLAELFQVKCIIAAPYFVPYSAPSSFERQFKHNFPQLYKYFQEAPSNTVQNDLSQQRIYILNHFSLWCGYAGLIF >Dexi7B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:7B:2194550:2195598:1 gene:Dexi7B01G0001230 transcript:Dexi7B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPGVSQSSPVNEVGLLGKLSPIDMLEVVSPNALLTSRSQSPVAKLGIVADSSPTVSLNSTLTSTVEKSGFLAASSPCASVKSASPSDNKKSGIIPAILPSDRDSSFLLHNNAEGNGSNQITPTKLLRPDPPCQTQTPAVQAEDQKRSGAQAPVAKKPIDRLIAAVISSSPVVLHSSVNLIKSALREMDSVPLRTGSSNKIKRIYDVESPSESPTVSSMDGSTVTFEVDVSDSASSSRYGSVKRQKTQYTRVALLDEIEAVNSRLIDTVISITSDAREDGTTSCNGTTVVKLS >Dexi3B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:3B:5127537:5129465:-1 gene:Dexi3B01G0007250 transcript:Dexi3B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANATNTPRAVPPLLADVEVSNLPGFDVTPSPRPQQQDAAAASTATTPSPRPPPSPKNPSSRRGGVPPLDRVPRRSEVVFPPLDSPFQPPGYRAVQPVSISLPASPSGFPAVPAAVVPGAGDPKELRRQAMANAAARGEPEPSPASREKEGGSVRFANQPDSKVVFRSQPIPGGKPAPARPASGRARAMSRDRRYDSFKTFSGKLERQLTHLAGGTAEVHEEEDDVDGEGNGDDAFTSASRSSSMPKVDRFFAALEGPELDQLKSSEELVLPSDKKWPFLLRFPVSAFGICLGVSSQAILWKTIATSAPTMFLHVGTKVNLVLWCVSVALMCAVTATYALKLAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPASVATHLPTWLWYALMAPFLILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFSVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGYGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVVDNTFTRALCVALSAVALLTVTGLFVTTLVHAFVLRKLFPNDICIAITERKMKPIMELHECQQGEDGSSTNSNDDIEAGAAK >Dexi1A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:1A:9181021:9184379:-1 gene:Dexi1A01G0010660 transcript:Dexi1A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPAKPVKGVARPSAAERENRLREAIMVAVEAAAAGAGAAAVEGPIRAEAKVAVAPEAVAEVEAKGDDAPAAGEAEEVVEEEEKEYKSDMRKLEDLMSKLNPCAQEFVPPSRRAAGKPAGGGVLSADAPVFVSAAEYYGAAGGHLQVGGGGSAGGGSRDSSSDGSSNGSGHPLNRRRRNSFNQGRRRMGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKRFFQGTCGKVSRLRLLGDFVHSTCIAFVEFAQVSNTRFFSVIF >Dexi5A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:5A:19633100:19634059:1 gene:Dexi5A01G0016920 transcript:Dexi5A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFHCPRCRCSVFPNLDLSALNNLRSTSEPDHPPASASDVTTSTVATRYVRSQPAGQSYLGLLLRPVRHESVESQGEPAVANSSSVGPEELSSIVVDDGHQLPDR >Dexi8A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:8A:26819379:26819915:1 gene:Dexi8A01G0015710 transcript:Dexi8A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLSSGQEADVTFHVGEDTVAAHRLILGARSSVFMAELFGPMKEKDASHIQIHDMEPRVFKAMIHFMYNDTLPPEMDKGEDAFFMAQHLLFAADRYDLQRLKLICEDKLCNFISTSTAATTLALAEQHGCKGLKEACFKFLRSPGNLKTIMDSDGFRHLTSSCPSLLSELLANVAP >Dexi7B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:7B:20974027:20974734:1 gene:Dexi7B01G0014880 transcript:Dexi7B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHEEPEGSSALPLVRLNHVSFQCASVEASVHFYQRVLGFGLVKRPASLDFEGAWLHRYGMGIHLLQRGSDSESNDMPRPAARPAAINPKGNHISFQCTDMGLVKARLRDMKLDFVAARVRDGETVVEQLFFHDPDGNMIEICDCEKLPVIPLADAAAGLPDLFGD >Dexi2A01G0032880.1:cds pep primary_assembly:Fonio_CM05836:2A:43211726:43213648:1 gene:Dexi2A01G0032880 transcript:Dexi2A01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLRTTLRLSAPASHAAVCSCSAAAPLFGKRLPAIVAFPRAGSGGAVSLCSAVQESSTSTTVSKKKDAADGEKKETTAAAAKPAAAAKPKKAAAKPLPEMMEEEIIPPLKDALEAEENVSQVQLSFQNNTLEGSFIKEDVPYYFWAFFPKGDLSGPKGFALSSYSNEVSTIEPFLIDEKRITSKYVVFWVYKRLAGQGILPVWKEEEGEEEGAK >Dexi9B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:9B:736764:738418:1 gene:Dexi9B01G0001250 transcript:Dexi9B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMMRLRQHLSSSPIYTSAIARIGNNRLPLQKQLRTTRVMSSSSSAASSVPFENPRSVKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRTGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMIEPKYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMRPGSQLHQPVPQGWNAFVYIIDGEGVFGRETSAPVSAHHCLVLGPGDGLSVWNKSGAPLRFALVAGQPLGEPVVQHGPFVMNTRAEIQQAMEDFYYGRNGFERAQQWSSSA >Dexi1A01G0026780.1:cds pep primary_assembly:Fonio_CM05836:1A:32598840:32603577:1 gene:Dexi1A01G0026780 transcript:Dexi1A01G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGDIEEPPARPVRADDMGWAVASMEDSNALILPCKRKNKGQGKAKDGKKAKEDPKMSKTKLKKLQKLEEEKQKKLLQAKSIEILQKHKISEDVHSLLRASGTIGQAETLKEKRRLAVQMSKAGLDVPEELSLFKRNGDKQNSENSDTVEEILPAKFVEPAKSEDPCREHKNSMKNDSMKAMECQPVMDVGDKVPGQAEATIQECINPPIVVPVSRPHEVEKARRDLPIIMMEQEIMEAIYENSIVILCGETGCGKTTQVPQFLYEAGFGTSDRADRRGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKLVGSNCSIKFMTDGILLRELQGDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKGRKILYADQQEKIRSGVKIKPEDMISQLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTIHFSKRTHDDYLGQAYKKVMSIHKRLPPGGILVFVTGQREVDYLCKKLRRASKAQTAKKPEKNDGCDNGPCPEVDDKEILEAYDIERNESEHRNDMFSSYDDDDMDSGPNSDSSDNESESEMDTDTDDEECVTFETTEDDAPILGFLKDTENSSMLKASFGALSGISGVSESVEKSSDATASQQLQVFQDIPEGERLVVVATNVAETSLTIPGIKYVVDTGKEKVKSYDHATGMSSYEVQWISKASASQRAGRAGRTGPGHCYRLYSAAAYGKDELFPEFAEPEIKKIPVEGVVLMLKFMGIHKVVNFPFPTPPNRESLVEAERCLKTLEALYSRDDGELTPMGKAMAQYPMSPRHSRLLLTVIKILKSQQGFARSNFILGYAAAAASALSFTNPFLKQLDECDINGESEENNANMKANDPCERKRQKKHTAMVRDAQEKFSNPSSDALTIARLEE >Dexi5B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:5B:1170616:1173277:1 gene:Dexi5B01G0001820 transcript:Dexi5B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPEHELEQRRRLLDVASRFPLPNGCRFSYGTAGFRADGATMPPAVCRAGILAAFRSLKLAGAAVGIVITASHNPVGDNGVKIADPDGGMMAQHWESFADALANAPDPDALLQLVLQFAKDEGIPLGSHHTAQVLLGRDTRPTGEYLLDAALQGINAIVGAHAIDMGILTTPQLHWMVRSKNKGVKASESAYFTQLINSFRHMLELVPKDKSGDELANKLIVDGANGIGGVKLEQIKAELSGLDILVRNSGKEGEGILNHMCGADFVQKERVTPHGFSPEDVGVRCASLDGDADRLVYFRVSSASDNKVDLVDGDKILSLFALFIREQLDIINDGSKVNKPLPAKLGIVQTAYANGASTQFLKDLGLEVVFTPTGVKYLHKKALEYDIGIYFEANGHGTVVFSENFISQLESLSKELSSQDDNGSAQYHAVMRLMAASQLINQAVGDALSGLLFVKVIDRSSIVTTNAETKVSQPSSLQELIDKETVNYSHGRCFVRPSGTEDVVRVYAEASTQVEADSLAKSVAQHVGRLLG >Dexi4B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:4B:732143:733622:-1 gene:Dexi4B01G0001150 transcript:Dexi4B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEHAEKAKTLPESTTNENKLILYGLYKQATVGDVNTGKSKEEAMTDYITKVKQLLEEAAAASTS >Dexi1B01G0021110.1:cds pep primary_assembly:Fonio_CM05836:1B:27058323:27061462:-1 gene:Dexi1B01G0021110 transcript:Dexi1B01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGNSNQDNLVSFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPKAEVLPTVRYAASFAGYGFYGEVIRESENYRWMGPARYDFSGTMVFLKHRSYEAKVAFLETPNTNSFTASAEDDVSGVQPLQSRHKRPRKIICRRNCFVCKEASTSGQTSEDEITDSSRTICENTKWVWSEGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSEPLSFKFVEHHKTPAFTFISSHDESVWNLDGEIFQACEVSVQACRGLVSLFASGPEV >Dexi5B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:5B:8969987:8984228:-1 gene:Dexi5B01G0012590 transcript:Dexi5B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQTQTPPSSSSREHTEASLCAAVGGLPASSPAGQHTRADESKAPRAPHETLRPPAAQAAKLLGLHELTVRSCCLLELTVRSCCLLESTVPANRRPERESKVWPPNRHPKPDHGKLDHAGHGELDPRSNLVTPRSRRSASIQAAPWRTKISTARAAPRRAAAPALAGGGDASSTGTGADVGKAGDGASGTQTEQRRRAQRRTSSGGANERWQRHLVFSPLSVRTSRDREIRRTWYEMPRARARRCALKTTERRPLARTTARVTGAKFHNHEKRGARNSGGLGGDAALHLPKRGAAGPIGEARWKQGREAAAPPPPVRSVRVSPVAQRGRTRGWVAAVTERNYGRNMLTVVIGLSAVDGRAGDLLDATAPQRALLAPGLPPGPPAASPAARRLPCATLKPPCAPPQRVPPEKKTRLHLTSCHPPTAAPFSFLFSRGPVQPNTAATSPRLPLWLTARPHLSAPSLSLSALRRLPRVVRVWDSSTAAAPSYRVGTPSRLGAHAKELEAPINSAASPSLEPYPRLSRSNPSAAKLPRRRRHQSAASLPRSSPCGKQHSEALNPVPLALPRLLELDGLEVESTLEQEIRKGQLEDEEIKELVKKIGTDKAPGFRLDDQGTVWYGNRIVVPDQQHIKDVILREAHESAYSIHPGSTKMYLDLKERFWWYGLKRDVAAHVALCDVCQRVKAEHQRPAGLLQPMKIPEWKWEEVGMDFITGLPRTPKGYDSIWVIVDRLTKVAHFIPTDGQTERTNQILEDIFEIGDFVYLKVSPMRGVKRFNVKGKLAPRYIGPFKILERRGEVAYQLELPEKLAGVHDVFHVSQLKKCLRVPEEQIPLEELNVQEDLTYEEYPVKILEESERYPLEARNLDAYRELLRQEAEEGNPEELQHQSEGPAPCIATTQKDLIQHPSSPHHYRP >Dexi6A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:6A:23055740:23056219:-1 gene:Dexi6A01G0015580 transcript:Dexi6A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAPAAAGRGTRWRLTKVNDSLGVVVSLEAGVRAVWMLDDGEGEEPEWSRRYTVVAGNLYSGGWVMAPQLTHGDYILSEWLDDPEDGECTARWLREGTSHLYRHEVGVLTDGGARIRPLLSHKKAPLIMSKEERQGQFTTFAYVETRNPVPGSSCKR >Dexi5B01G0032310.1:cds pep primary_assembly:Fonio_CM05836:5B:32941309:32941746:-1 gene:Dexi5B01G0032310 transcript:Dexi5B01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHHAYSRLGPSSGGGTAVPSPPSSPRRAWGRRASSKGGGWSARAGAGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSMYRSPQLYARLRADMDADNATDAVSGSSCTSAARLLDWELCL >Dexi9B01G0007220.2:cds pep primary_assembly:Fonio_CM05836:9B:4375186:4375563:-1 gene:Dexi9B01G0007220 transcript:Dexi9B01G0007220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLKEVDLFLAEVAAKAEPEEPKEEEPEEAAVPKEEEPEPETEEGEGEEEEEEDEGEDEEEEEEEEDEDGDSGGSRKKQR >Dexi9B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:9B:4373237:4375563:-1 gene:Dexi9B01G0007220 transcript:Dexi9B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLKEVLRSSDLNTTTTAALRRRLEEDFGADLSHKKAFIREQVDLFLAEVAAKAEPEEPKEEEPEEAAVPKEEEPEPETEEGEGEEEEEEDEGEDEEEEEEEEDEDGDSGGSRKKQRICWRL >Dexi3B01G0034100.1:cds pep primary_assembly:Fonio_CM05836:3B:36862457:36868370:-1 gene:Dexi3B01G0034100 transcript:Dexi3B01G0034100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEWDSGSEAGPTGDWPSAAASPVKGKSALPEESDAGASASGSSEAKVDDGNIQEAESSLREGLSLNYETEALKSLNEAISLDRHNPELIFDMGIEYAEQRNLHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIKAKLKVAQSLPMEAVEAYRTLLALVQAQRKAYGSTINGAEEDEDKVSEFEVWQGNLHEVREKVQDALAAYFNALSTEVEHVPSKVSIGALLAKKGPKYLPVARCFLSDALRLEPTNRMAWFHLGKVHKHDGRLADAADCFQAASMLEDSNPVESFRSL >Dexi8B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:8B:9266529:9269984:1 gene:Dexi8B01G0007560 transcript:Dexi8B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVTDSTASTFSIMDEDHTLANSARFVLNQDPRVAFCGYSIPHPAEKKVNIRVQTTGDPAKDVLKDALQDLMVMCQHVRGTFDNAVADHRAKKPAQQMDIDQK >Dexi3B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:3B:6324491:6327999:1 gene:Dexi3B01G0009190 transcript:Dexi3B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPVKELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFIKKFEGKDLDLRTLVESLEPPMNIPE >Dexi3B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:3B:11472221:11472644:1 gene:Dexi3B01G0015840 transcript:Dexi3B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMTSGSVRAQWTQKQNKLFEQALAVYDKDTPDRWHNIARAVGGKSADEVRRYYELLEEDVSRIESGKVPFPAYRCPTGGPGALRYEADRLKHLKI >Dexi7A01G0016440.1:cds pep primary_assembly:Fonio_CM05836:7A:26292026:26292539:1 gene:Dexi7A01G0016440 transcript:Dexi7A01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRSSLGYLFEPEETALYHTAAKSNHETEKVADTNSIGVKDDGKTISGAEADQEPPQLPPPKREVSNPILSSNIPPCNIYRTSKSSCNAGLLITDRPSTRVRCAPGGPSSLGFLFGEEHEK >Dexi5A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:5A:1212923:1213447:1 gene:Dexi5A01G0001710 transcript:Dexi5A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSAVAREYAAIFNFGDSLADAGNLCVDGIPDYLATARLPYGMTYFGYPTGRVSDGRLVVDFIAQELGLPLLPPSKAKNATFHRGANFAITGATALDLSFFQARGLGHAVWSSGSLHTQIEWFQDMKPKL >Dexi9A01G0044070.1:cds pep primary_assembly:Fonio_CM05836:9A:47677034:47678887:1 gene:Dexi9A01G0044070 transcript:Dexi9A01G0044070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGEAPAPREPEEEEEDTGGFVKLISAEGFEFIVDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEIATHVLEKICQYFYWSLHYSSGKESAEFQIEPEITLELMMAANYLDT >Dexi1B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:1B:26599372:26603267:1 gene:Dexi1B01G0020480 transcript:Dexi1B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAARHFSDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVDLKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALEPSNEGLKAGLEDAKKAATAPPRRGPSGPDAIGQMFQGPELWSKIAADPTARAYLDQPDFMQMLREVQRNPSSLNMYLSDPRMMQVLSLMLNIKIQRPEASESSQSSPPPQQQQQQTPPPETKPRTREVEPEPEPEPMDLTDEEKERKERKAAAQKEKEAGNAAYKKKDFDTAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKSSKDFDVAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNEFFKEQKYPEAIKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCLELDPSFTKGYTRKGAIQFVMKEYDKAMETYQAGLKIDPNNQELLDGVRRCVEQINKANRGEISQDELQERQNKAMQDPEIQNILTDPIMRQVLTDFQENPRAAQEHMKNPGVMQKIQKLVVDWMIKSIE >Dexi6B01G0013080.1:cds pep primary_assembly:Fonio_CM05836:6B:20787623:20793596:-1 gene:Dexi6B01G0013080 transcript:Dexi6B01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAVSADAVAPAAAAVQQSSGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPGMHGRRTYMFSFTTEAHLVLNVLEFLVAMADDPKNAHLKALDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAMTMDPNRGPDVVVDESCWSDLEFCKKTRNWYCVGKAVAEQAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHIIKYLDGSARTFANAVQAYVDVRDVADAHLRVFESPTASGRHLCAERVLHREDVVRILAKLFPEYPVPTRCSDEVNPRKQPYKFTNQKLRDLGLEFRPVSQSLYDTVKSLQEKGHLPVLGEQTTEADKEAPAAEVPQGGIAIRA >Dexi2A01G0031070.1:cds pep primary_assembly:Fonio_CM05836:2A:41860435:41868423:-1 gene:Dexi2A01G0031070 transcript:Dexi2A01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDQAAAAALLGGDPTAFDRLLSTLMSSSNTDRSAAESAFHRLRASHPEPLALRLASSLASPATPADLRAMAGVLLRKVLSPTPSSDASANNAAPPAPLWPQLSPAGQSALKAHLLSALQSDPPKPIAKKVCDAISELAAALLPENAWPELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLAAALAHPTSPDVRIAALGAAVNLVQCLPTNSDRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQVAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHSAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVHYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVNKLLVLLQNGKQMVQEGALTALASVADSSQELFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDARQVMEVLMALQGTAMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDEAQVRAISDEIKNVIIASATRKRERTERTKAEDFDADEGELLKEENEQEEEVFDQVSECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTPEERRIAICIFDDVAEQCREAALRYYDTYLPFLLEAANDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLTNVIRHPEARLPDNIMAYDNAVSALGKICQFHRDGIDAAQVVPAWLNCLPIKDDKIEAKVVHEQLCSMVERLDAEILGPNNQYLFKIVSIFVEVLGSGTELATDETRNKMVNILRRFQQTLPPDFLASTFSNLQPQQQLLLQSILST >Dexi7A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:7A:20686054:20688085:1 gene:Dexi7A01G0009730 transcript:Dexi7A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEGVPKWILLKPVVFRRDDVESFPDTTEAPIRASGTTSWGAHFRIAFSLAEPPSISRLYAHLPGFPDPYKAEPLRLLAAHRCLALLRLGTTTPTEGAVRNFFIFKAEKNRPSSCLLAALPPCTEPPLEFHSVRPRRRQPSDVTPRQLNPLSLGLWCGDEEEEDEFVVAELALYVRTQGLRCLEAQANICFLRSSFTSDQLGILFWDVSKKVPALAVSFVWFPEDSYLKTPCSGNGGVSVVDNGRLLKFAHVARHDSLLAFEPLKPGTGFTITCHTLLLGNNGGSMKWEKDYTVTSDDLPDYLQRGGIPMFPRVDVDRPHLVHCIFSEFGKAFNRMSVLCIDMSTKTVESFYLYMDANVFFQTDEKERLPTDDPVFITTKSFTCNVSPFLPCEFPRFCYLSRFVKN >Dexi5A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:5A:10077745:10078118:-1 gene:Dexi5A01G0013430 transcript:Dexi5A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQATTLREGG >Dexi2A01G0027080.1:cds pep primary_assembly:Fonio_CM05836:2A:38511873:38513396:1 gene:Dexi2A01G0027080 transcript:Dexi2A01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWILRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEVERAKEFTKSGNKQAAMQCLKRKKYYESQMSQVGSVQLRINTKEKMIADHTGKK >Dexi4B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:4B:5771664:5772869:-1 gene:Dexi4B01G0007960 transcript:Dexi4B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSAAAATGGYDRQRELQAFDDTKAGVKGLVDAGVTAIPAIFHHPPDALALNSASSSDDDTATIPVVDLSGAPREEVVARVKAAAETVGFFQVVNHGVPAELMDAMLAGIKRFNEGPAEAKRRVYTRDTVHKVRFSSNFDLFKSAAASWRDTLFSELAPVPPRPEELPDAVRDVILEYGEAATKLAVRVLELLSESLGLPSDHLREMGCAEGLNMVSHYYPPCPEPHLTLGTSSHTDACFLTVLLQDGVGGLQVLVDRDGGGRRRWVDVPPLPGALIVNIGDLLQVCVGSLSTTEEIGSESYARSMLLALPVPQLVSNDRFRSVEHRVVANSSRDTARLSVACFFNADIKGSTRLYGPITEGSDDPPLYRSDVTVQEFMARAFSKGIQARSLDYFRLEQ >DexiUA01G0012020.1:cds pep primary_assembly:Fonio_CM05836:UA:24019424:24020112:1 gene:DexiUA01G0012020 transcript:DexiUA01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNENKDHEHSGSLDDTDRNRPTNNDNEYASSANDGGDGSWKSQKKKREKEDDETDLENGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQVLILAF >DexiUA01G0014260.1:cds pep primary_assembly:Fonio_CM05836:UA:30288587:30291932:-1 gene:DexiUA01G0014260 transcript:DexiUA01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPPRLLSSFLGDRLALSARPLVHRSAAPGITTDEIDKAVHQMIIDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDEAKKLVQVTKECLDKAISICAPGVEIKRIGRTIQE >DexiUA01G0018750.1:cds pep primary_assembly:Fonio_CM05836:UA:39499299:39499780:1 gene:DexiUA01G0018750 transcript:DexiUA01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPVAAAALMMRWIRAYHRLQALLGRSQSEMLNLAMDMSARARDIGVERTSLSIEVSTRDAMIADLERQLNDLHIAHNNVQNELVQTREELEQHLLEQEGEQGEGEAPDSDMDTEDDMPPLPAPPSPMCSEATANNLDDF >Dexi7B01G0024680.1:cds pep primary_assembly:Fonio_CM05836:7B:28983756:28988093:1 gene:Dexi7B01G0024680 transcript:Dexi7B01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPQYTTRERDDDDDDAIWIPPADEAAADMMAAAADEYATATRDDEEEESHGRRAEERQKAMVRAMNGQLKMLAARFLESAGGIPAGWLDIVTSLSWEAALVIRPDDGASGNDMDPSSYVKVKCLASGTRRQSEVIRGLVFKKNAAHKHMPTRCHSPRLLLLRGVLGDSDNIAFSSFSSIEQEKDQLDKSVCKMMDICRPNVIMVEKTVSRDIQELLLKQGVTLIIDMKLNRLQKIARCSGSPILSFSEVLSRKPKLRQCDYFHIDKVPEEHNHSTTVQAGKIRQSKTLMFLEGFHKPLGCTITDEQSLCSSTACFVCRYCYGEQIVKNLRRLGSKVAMFQYSSVEIYTACKPQHTLEFHNPSNHEWYGQEVLARGVMIFSEVTGLLQDLKDQVSDMEIYNGAFIPIKEFSQLEDLLIKEKSEFMCTLAQAVDGTVPSSVHEILNVNWLYQYLLLELYVWDRRLHQLLRCISAEKERIEIGVKETSEFTGEQTAVVAEVDDIAECTGRKASLQPEKFSEPGIDLLDENAWDKHNEEAHRSEVHFSGISNCLDVQSNGLVARSMSPKQEQLSIPQQFRLSQWDWVWNPLPESRLAYRQELEVG >Dexi9A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:9A:8410357:8411292:-1 gene:Dexi9A01G0013100 transcript:Dexi9A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLVLLLAAAASAASLAEAGYVASDVHLASNLCVQPHTELFDALHRTMGDAMPRYEFGGHRFLSDKDADAEERSPPARTIKLHLSGCSDEDRVTLALSDADAGVLAYADAAGLWHAFPGFEFMFPNSTTLPFNASYDHLIGGHRNLPMAIQELSRPGTAAAAALVRLMAMTSEALLWKAIREAFGQRWESESFVTKEQAELVPHWVDLSYLVWRWEVTGAWEGESAGAKALEKIGIYNGAQALSVVDLLKRPKELCVGDGHGELDLAEEDGEMEEDDESTITEEAGEGGAAAALHRNI >DexiUA01G0007620.1:cds pep primary_assembly:Fonio_CM05836:UA:14201074:14201596:-1 gene:DexiUA01G0007620 transcript:DexiUA01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEGSSTNVKILAMGRRIGERYAERPARARQQQAGACICICRTERRCPIPGPEGQRP >DexiUA01G0022640.1:cds pep primary_assembly:Fonio_CM05836:UA:46006612:46008580:1 gene:DexiUA01G0022640 transcript:DexiUA01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILAWAADVVGGAGDTDDEADDARAAASAAMTPEQRLRAAELDARAASLRRAIQDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQAQQREITLQEENAAYEKVLSDCRQKIEERHLEISQLQNYLKEMEVSEHNLKAQVEDALKEQEAIRHGVSTTASETTENALLEAESLINLKSKDLEEKRRELELLDNKVQTLEKEWFVVEEETLKKPTPGTFDFSGSFH >Dexi2B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:2B:5772671:5773675:1 gene:Dexi2B01G0006170 transcript:Dexi2B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLHLPLLDFAHHWLPSIADEHKVPCAVFFIFPAACVAYKDAHPRSSAESIAQASKPNASGVSDVGRTWETMRRCSLIVCRSSHEVDGPLCSLLGDVLGSRFGKPVLPSGVLAPYAAATRASAAGDDDDDEDTASLMRWLDAQPERSVLYVAFGSEAPLTPEHVAALAHGLDLAGVRFVWALRKLIGEDNPPIPDGFEGRVAGRGVVRVLAHAAVGGFMTHAGWSSLMESFLFGHPLVMLPLFGDQGFTARLMAERRVGLEVPRRDGGGELAGEDVARTVRRVMVDEEREVLARNAKELQEVLWDTAKQERYIDELVEYLRRHR >Dexi2B01G0012630.1:cds pep primary_assembly:Fonio_CM05836:2B:18966575:18972356:1 gene:Dexi2B01G0012630 transcript:Dexi2B01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQPCLFLFLVLLALSSQSAHSKILLQVFNWESWNTVGGSRWYDVLSGQVTDIAAAGITHVWLPPPTHAVDAQGYLPGRLYDLNASQYGNETQLRSLVAAFHGEGIKCVGDVVINHRTAERQDSRGIYCIFEGGTPDGRLDWGPHMICRNDSYSDGTGNPDTGLDYLPAPDIDHLNTRVRCELTDWLIWLKEHVGFDAWRLDFAKGYSAAVARYYVRASRPELAVAEIWTDLAYGPDGKPLADQDAHRQILASWVDAVGGPGAAFDFTTKGVLQAALSNSELWKMKDAQGRAPGLIGLRPARAVTFVDNHDTGSKTQHNWPFPEDKILQGYAYILTHPGTPCIFYDHFFDPSFKDEIAKMITIRTRNHIKPTSSLQILLAENDAYVAEIDGRVIVKIGSRFDVSSSIPQGFQVSTSGNDYAIWEIIRCFNLVRVNSHACGQGFNWESWNTSGSGAAWYDVLSSQVDDIAGAGITHVWLPPPSHAVDAQGYLPGRLYDLNASQYGDESQLRSLIAAFHGKGVKCVADVVINHRTAERKDSRGVYCIFEGGTADDRLDWGPHMICRDDSYSDGTGNPDTGLDYKPAPDLDHLNDRVRTELTQWLNWLKADLGFDGWRLSFANSYSAAVAGMYINNTAPDVAVGEIWTDMAYGGDGKPLADQDAHRQILAAWVDDVGGPAAAFDYTTKGILQAALNYSELWRMQDAQGNAPGMIGVRPAQAVTFIDNHDTGSKTQHTWPFPPGMVLQGYAYILTHPGIPCIFYDHFFDPNMKDEITKMIKIRKQNKIGPASKLRVLLAQSDAYVAEIDGRVLAKVGARYDVSKSVPDGFLVSTSGNDFAVWEKSPDAQPNTPPLSSSRPSRRWVVPVVATVAPLTALLACFAAVMLLLRRRQKQKRRRQPKGNGTTAIDPSDDSDEEEADFEKGVGPRRYYYRELAAATGNFAEENKLGSGGFGPVYRGYLAAQGRHPSNVMLDTAHGAKLGDFGLARLLDRGAGPQTTRVVMGTMGYMDPDLVTTHRPSRASDMYSFGVVLLEVACGRPATSAAEDELPGGGDALALPEWAWELYDRGAVMEAADVRLEGEFDAWEMERVLVVGLWCSHPVPGERPSIVQALNTLQSREVALPALPVNPHPGAAATAGFSSYVHCLDSGGSVA >Dexi3A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:3A:8156770:8159671:1 gene:Dexi3A01G0011390 transcript:Dexi3A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTTEKEEGGEGWGSRLRHRRTPVTPPAATTRVTATQSESTRSSQRVKRKGSSPSKVRKSARLTVREDEKNAQIAAAAGASASPPPERSASSGSSAVSSPLRWPELPRAIVGETAFGEQILEPFDHIDPAVVRAHGKMVKRYAAKQDRQLKLVSLDQHIPRSCMSDSELLPIRESVEKSILQVANAVLGLSSYIEGKLLRRTSGFLIDWDAENKIGTVLTSALVIQSKSPSLDQWLAADEYSPHAEVHVHLMDKTNTTVVAELLHYDKHYNLALFKISNDLKAQIPSFTIDLNFAEDVFVLGRDEDRNLTIDYGKVEFKGPSHLQRHHYMFITCGINNLGIGGPVIKNGGKVAGMFSLPEMAFIPSSIILRCLQMWKSFE >Dexi3A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:3A:7354139:7357149:1 gene:Dexi3A01G0010290 transcript:Dexi3A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSVAALVLTAAALLLAAAAEGSHLKVGHYSKTCPVAEKLVKYHVAKAIKANRGIGAALVRLIFHDCFVRGCDGSVLLDPTPANPKTEKTAPINIGLAAFDVIDDIKASLEEHCPGTVSCADIVVYAARDASSILSNGHVHFTPPAGRLDGVVSLAADAQRDLPDSTFTISELIRNFRRKNFTVEELVILSGAHAIGVGHCSSFRGRLTSPPSQIVPAYRNLLAGRCAAGPDPVVPNNVRDEDPKVVAAAFPSFLKKLRKARDFLDNSYYHNNLARIVTFNSDWQLLTEKESLGHVKEYAENGTLWDEDFSDALVKLSKLPMPPHSKGEIRKQCRFVNHY >Dexi6B01G0015570.1:cds pep primary_assembly:Fonio_CM05836:6B:22869285:22870249:-1 gene:Dexi6B01G0015570 transcript:Dexi6B01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKGKHQNLTARANAQHPPTQAHPTHPLLNQGSSGHGHGGEPPRAPAAGSLVLLRVLPLVIIGRRVVVNSRAGCVRHVLWAVARPTLGRLGRVRHGRRRRSGSFHGFEQLDGLQHRIHRIYSHRILVIHRGLHLGHGVGCLGPDAHLVTNILHREVVVPRRAVGGERVHGPVAGLEPAQGVVHRHGVEQQQRRFAVVGELGMKALTASSVGQNAVTPPPTADWKEESASGDLVTREANALHPPLDISAVTLGGAGAGAGAFAFAAVVEVGGDLPPEAAAAAAASGRRTSRKNAAAAAARGKRRRIVG >Dexi3A01G0016160.1:cds pep primary_assembly:Fonio_CM05836:3A:12076265:12077066:1 gene:Dexi3A01G0016160 transcript:Dexi3A01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCACGRSYAGCCGGGGRCAASAFSMLFPSASADGEGGGSPYGAAGGQVDCTLSLGTPSTRRAEAGSVHARAAAPCNKEYSGRGSPARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPQDSGGVMSYACGGYARQQQQPQWGCYGPAAAKSAASFRMYGGGDVVVDAAADGTCLSWMLNVMPSSSPAFAVRERPTLFQYY >Dexi2A01G0029140.1:cds pep primary_assembly:Fonio_CM05836:2A:40242450:40242948:-1 gene:Dexi2A01G0029140 transcript:Dexi2A01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGIIVIEIVTGQRNTGHHFYEQNEDIITIKLLGD >Dexi7A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:7A:25105939:25112330:-1 gene:Dexi7A01G0015250 transcript:Dexi7A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLSLLLLAPFLLLAAVPLVAGDELYTFIVHVHPQEGRMLATAADRNAWYRSFLPDDGRLVHAYHHVASGFAARLTRRELDALSAMPGFVAAVPDQTYELHTTHTPQFLGLESLEAKRTYPSHERGAGVIIGMLDSGIVPSHPSFSDHGMPPPPAKWKGRCDFNGRRVCNNKLVGALSFISSPNATRSNSSSSEWRVSPADDEGHGTHTASTAAGAVVAGAQVLGQGKGVAATGIAPRAHVAMYKVCTETSCSNSDILAGVDAAVGDGCDIISMSLGGDSKPFYEDSIAIATLGAVEKGVFVTMSAGNSGPDASSVVNEAPWMLTVAASTMDRSIRATVRLGNGFFFHGESVYQPANVSASTLYYPLVYAGGSGKPYAELCGNGSLDGLDVRGKIVLCEAGSHPGILIPRILKGAVVQSAGGAGMILVNKFPHGYTTFADAHVLPASHVDYAAASAIKSYLNSTASPTAQIVFQGTILGDTSPAPSMAFFSSRGPSLQNPGILKPDITGPGVNVLAAWPFQVGPPNVASPLPGQTFNIISGTSMSAPHLSGVAALIKSKHPDWSPAAIKSAIMTTAEVTDRSGEPILNEQRLPADLFATGAGHVNPEKAGDPGLVYDMAGSDYIGYLCGLPVDCSAVEVIPESMLNYPSISVAFPQTWNWSTPAIVERTVKNVGEAPSVVYYAAVDVADDGVTVGVYPRELAFTRVNQELSFRVIVWPRQNGPKVVQGALRWVSDTYTVRSPISISNRRSMSVHIVNSVLRSLQTSPNTCKAPKLRAMASPEQCNAGPMGIYKQSLLPVLLLAAAVSAAAAARDELQTFIVHVQPHESHVFGTTDDRTTWYKTFLPEDERLVHSYHHVASGFAARLTPRELDALSGMPGFVAAAPNHVYKLLTTHTPQFLGLDLPQSGRNYTSGFGEGVIIGVLDTGVYPFHPSFSGQDMPSPPAKWKGRCDFNASSACNNKLIGARSFESNPSPLDHDGHGTHTSSTAAGAVVPGAQVLGQGSGSASGIAPRAHVAMYKVCADECTSADILAGIDAAVGDGCDVISMSLGGPSLPFYEDGIAIGTFGAVEKGVFVSLAAGNSGPVESSLSNEAPWMLTVGASTMDRLIVAEVRLGNGATFDGESVFQPNISTSVTYPLVYAGASSTPNASFCGNGSLEGFDVRGKIVLCDRGNNVARLDKGIEVKRAGGFGMILANQFADGYSTIADAHVLPASHVSYAAGVDIKKYINSTVSPVAQIVFKGTVLGTRPAPAVTSFSSRGPSTQNPGILKPDITGPGVSVLAAWPFQVGPPSVPVFPAQPTFNFESGTSMSTPHLSGVAALIKSEHPDWSPSAIKSAIMTTADPTDKSGKPIVNEQLAPANWFATGAGEVNPDRALDPGLVYDISAADYVAYLCGMYTSTEVSVIARRSMDCSRIPVIPVSMLNYPSISLTLPSTTNPTAPVVVSRAVTYVGEEASDVYYPRVDLPATVQAKVAPSSLQFTAAGQVQNFTLSVWRGQGTDAKFVQGSLLWVSDKHTVRSPVSISFA >Dexi5B01G0020840.1:cds pep primary_assembly:Fonio_CM05836:5B:23058940:23061169:-1 gene:Dexi5B01G0020840 transcript:Dexi5B01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSKDVEMAGVNAELSSREETLPALLIQVPSQAIAGFDCVAADAAAAVSLNDELEDKVEELQGNKDIVITIPASAATRARSYDDDAHVPYSVSLSMPASPSGFHLSQFQTASARRVEARVAPPTAAMAGLDEEHHHQAAEAHSPRLLLKQTRFHSQPILNLYQSSKTAVDDGTRRCGGDSTRDKRFDPFKTFSGRLERQLSNLRGRPQEPIIDGGISPDSKISEEETDQVPAADRYFDALEGPELDTLRATEVPVLPKDEKWPFLLRFPISAFGMCLGVSSQSILWKTLASAPPTAFLHVSPVVNHVLWYAAVALMVLVSSIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVLGAPRLVAEMHHGVWYGLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGQFDAGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASVATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTVFHAFVLRDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVLSLSKSAPQSDAGDSETDPSVTSKSRAEP >Dexi7A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:7A:20030963:20032160:-1 gene:Dexi7A01G0008800 transcript:Dexi7A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSLAFPKDRLECAVCLSEVADGEKVRTLPECAHGFYVEWSATSRMSQATLARSARPYRRRPRRATAGGPHCRHGGRVPVFPTNVLFWDIQDDVTNDGVTAPPPLPPPIASAPSTSSSASGRRKENLVIDIPSRGSRR >Dexi1A01G0003360.1:cds pep primary_assembly:Fonio_CM05836:1A:2434675:2435316:-1 gene:Dexi1A01G0003360 transcript:Dexi1A01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTKAIGEIYAEGRISGSFEEVYRHGYNLVLFNKGEELYSAVEATMTYEVQSLCRPLDAAPAADAAAFLQEVLNKWKQHVRAVDMTRDMLMFMDRTFVLTNSKAPIKELGLCLWRDNMARSDKIRPRLIQAVRRQRGGEDELVAGVNEMLTELGAEVMEIPCLFFRDGAGKLHAAGP >Dexi2B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:2B:3011872:3012901:1 gene:Dexi2B01G0003390 transcript:Dexi2B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWWPQFLYAPSPVVAAMTAAFAPALAFLSFSEFRGGHLAYSKFARASAALLPSRAGMFVLYAPAFVAALASFAVPGAVQGTRAHLLAAAIAIHFLKRVLEVLFVHRYSGSMPLVTALFISSYYLSSSIAMIYAQHLSNGLPDPPVDLLYPGVLVFAVGIAGNFYHHYLLSRLRAAGDKGYKIPRGGLFELVICPHYLFEIIGFFGFAMISQTLYALAVAVGTAAYLAGRSAATRKWYAAKFEEFPARVKALVPYVW >Dexi4A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:4A:4090267:4091144:-1 gene:Dexi4A01G0005650 transcript:Dexi4A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLGLPEGYFVDAMGEESTTYAKLNYYPSCPKPEHVLGLKPHSDGSLLTVIVVDDDDVGGLQVQGDAGVWYDVPVVPGALLVNAGDTIEIMSNGFFKSPVHRVVTNAERERVSLAMFYALDLEKEIEPAPELVGEEKPRRYGKVKTKDYVAQLLETYARGVRTIDTLKISMPSRHEVA >Dexi4A01G0022930.1:cds pep primary_assembly:Fonio_CM05836:4A:26168578:26170177:-1 gene:Dexi4A01G0022930 transcript:Dexi4A01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGAGAAGSGASSPLLAPRAQARPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVAASFANVTGFSVLPKYNVDGLYNSKWPQQCNKY >Dexi3B01G0028850.1:cds pep primary_assembly:Fonio_CM05836:3B:27464165:27472230:1 gene:Dexi3B01G0028850 transcript:Dexi3B01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSSCAWKGAFDSSTCLNHLLVTAVAVLLVVSLVLQLLVRISKRRASTGQLVTLGSPLHLVTVVFDVCLGLIYLGLSLWMLGSSSFRQVVYMVVDKAVTMKACLDVLFLPASLLHLIYGFWQIKDDYNEGTEDALCKPLTTETAADMDDSEGQIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLLKCSLVKDLELLPYGDQTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVFLVTHQVDFLPVFGCILVSSDLSIIDLDVPLTIMFTSSASLNAYSSLGVLAIVTWQVLFVSVPMIVLAITLQRYYLASAKELMRINGTTKSALANHLATEWLIQRLETLTAVVLSFSAFVMALLPTGTFSPVMEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNTTDAILQKTIRTEFKESTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPMKLMDTEGSLFRELVKEYWSYASSGNM >Dexi5A01G0022740.1:cds pep primary_assembly:Fonio_CM05836:5A:26903430:26903734:1 gene:Dexi5A01G0022740 transcript:Dexi5A01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCSSSSSHAVSTLAMSSIVGLLHLSSMQDKEILTISSACRGLNCPCNLPSTKGTTFPVSTTCLSNRAICGISSRSPPAVVWSETLLPITISSSTMP >Dexi9A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:9A:12957111:12957905:-1 gene:Dexi9A01G0017830 transcript:Dexi9A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVTSVVVLAMVAAIATMVSPATGTSGTATFYTPPYTPSACFGNAAEGTMIAAASEVFWNGGAACGDSYVVTFTGATNQGVPHPCTGQSVTVKIVDLCPTGCRGTIDLSQEAFAVIANPDAGKILIDYSRV >Dexi9A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:9A:6309462:6311184:-1 gene:Dexi9A01G0010380 transcript:Dexi9A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPCSTRPNLPSFRVERYLTADQTAARRHAEQLKWQQESSRPPTSPSSAAPCPASGPRAPPHPRVPGGRRAPGAGPPGGQDDLTLTNRNHRTLPWRRRRQRKTILTGIPNVVKDEEAKRTFPPGTDISVAYNELPSASVLTSPPYPYNNYPNIAAADPSGLLLLRAKHPFGEFSAKVTYHLCDARTGEVISLCKHRCLMGSHGDNVGLIMRGDRCLVAELQPKIDGTGRATLLCYSVGEYRWVETELAYSPPLRRQRYWFGHGVISHGGMLWWVDLSYGLLACDPFADEPELMHVPLPRVLDELPVKPMNHSAYCCVKVSGGRLRYVQIHGSPDSPVVSTWALSDPVSAGEWVPERRVCLAEIWGGESYLETMLPRSMPSLALLHPVDPDKVYFFLGSSIFAVDLQRKMVAEFTEFGMPDLPFGRMASSHFVHAWQYDPSRSR >Dexi1B01G0020020.1:cds pep primary_assembly:Fonio_CM05836:1B:26212180:26214174:1 gene:Dexi1B01G0020020 transcript:Dexi1B01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKLGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRPILADSTLTVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRTKVSSPSQPADSNKPKQCEEGSVSKLSSSQNPSEPPVDEKGSSHGTVNAERTAPRPDEPVEAKQQSAAGSLLGLAYESSDEE >Dexi8A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:8A:26536245:26540064:-1 gene:Dexi8A01G0015430 transcript:Dexi8A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKYGVVLAVEKRVTSTLLEPSSVEKIMEIDSHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDEHGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLEEAETIALSILKQVMEEKVTPNNVDIAKVAPSYHLYTPAEVEAVIARL >Dexi1A01G0021980.1:cds pep primary_assembly:Fonio_CM05836:1A:28698411:28708065:-1 gene:Dexi1A01G0021980 transcript:Dexi1A01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSGRTAQQASHQTPAGLSRSCTPFRYREESRPWPGTAGSRLGEATPVARSLEVVDQLNFVFWGQGREGKVVVAAMLQHHGGSGSPFLDPTAGTAPFSPTPTGPVSVTAVPPPPMMMPMQPAAGPTSANLEELPAVGSGGGATAAANNNLQDDDMLPADIVGSGGAGGAASGSGGGNRWPREETLALIRIRTEMDADFRNAPLKAPLWEDVARKLAALGYHRSAKKCKEKFENVDKYYRHTKDARAGRQDGKSYRFFSQLEALHAAAHHQQQQQAGRGGMAAAVQQADPQQPLRATMAAWTPTPATTLAVVPPVDVGAGGLPDLSFSSMSGSDSDSESDDAFDAGEPSLGKGGAEPTGGEDDKEMMAIFEGMMRQVTEKQDAMQRVFMETLDRWEAERRAREDAWRRQEVARMNRERDQLARERAAAASRDAALIAFLHRVGGGHQQGDPVRLPPPPPISSIIAVPMPDTTTTPSSSSLQPVVAVPPKPEESRASMWGGGGGEGSGSMPSRWPKEEVQALIQLRTEKDEQYHHDAGAAAKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYHKKRCFAGTGRGSTTAPATAVTVAVQQNPNPRELEGKISNDAHMLKNDGQGNVQAPPGSANRETTAPAITASIDGAKHKGAEDTVLETNVQLQQQFGGDETESEDNDDMGGDYTEEGNDEDKMKYKMSFQKPNVIGSSGNAPAAPPATAAAPTSSAAPTSSTTFLAVQ >Dexi6B01G0016810.1:cds pep primary_assembly:Fonio_CM05836:6B:24084991:24088077:1 gene:Dexi6B01G0016810 transcript:Dexi6B01G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDEEIVASVVAGKIPSYVLETRLGDCRRAAGIRREAVRRITGREIEGLPLDGFDYDSILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGATSVVLRDGMTRAPVARFPTARRAAEVKAFLEDPANFETLSVIFNRSSRFARLQGVQCAMAGRNLYMRFTCRTGDAMGMNMVSKGVQNVLDFLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNTIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEPINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRDCPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVVP >Dexi2A01G0023860.1:cds pep primary_assembly:Fonio_CM05836:2A:35555201:35557589:-1 gene:Dexi2A01G0023860 transcript:Dexi2A01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGAGAGAGMHNTGRLIAAPPLLKPGGFGAPPGLSLGLQTNMDGGQLGDMSRMGLMGGGGGSGSAGEGDSLGRGREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPMCASCGGGAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSSSSGGPMMSLQGCSGLELGVGSTNGFGLGPLGSSSSVLQPLPDLMGSSAAMRLPAGIGAALDGAMHGAGVDGVDRTVLLELGLAAMEELMKVAQMDEPLWVPSPDGSGLETLNFDEYHRAFARVFGPSPAGYVSEASREAGIAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAILRPDGGGGNALTHHQNGGAAGYMGCRILPTGCIVQDMNNGYSKLQ >Dexi9B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:9B:5577450:5577938:-1 gene:Dexi9B01G0009030 transcript:Dexi9B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALWWSGVRLVAAGTRPSALSQAARRESAFLSRSGVRYAERYPSAPPPVRYIQSPRRRALSTTWRGAGPHTCALPTTRPEEATTAEEERRTEMANAVDLVLAIRNAAASEREEELTRLTGLEAGPTRATVPWHPCKQHRVAAVEEERQSSSPSRLPPRER >Dexi9A01G0024380.1:cds pep primary_assembly:Fonio_CM05836:9A:20623769:20624290:-1 gene:Dexi9A01G0024380 transcript:Dexi9A01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAEADCSGRRRFAVACSVLSRCVRADAAAGKMAQPCHARSEAQAQAQASTMLLMPGADVTREETVAATAQLTVMYGGRVLVFDDVAADKAAAVMRVAQQADVLDGQPADLQVARKASLQRLMEKRRDRLRERAPYAPAARLGVLPPRRGGQEEGDAGTWLELGLPGGCAR >Dexi2B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:2B:3110928:3119604:-1 gene:Dexi2B01G0003540 transcript:Dexi2B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMKPRSVQKGEIPSHVVENCGVSDNACHGKCQSCDSDVKPFCAEVNGISSSIGSHADGKASKENRGACSFTSDRADALVEDIKGSVARSGHAESADPDGCLTAKNGSSMAVDDLPQEFEIEQAGATLQDLFFANDEEEDDSDWDPTSSLVLNRWFCLNCTMPNVDDITHCLNCHELKGSALGGYDAFKTQIAQAALVSPDTELPPVSTAIGFDERMLLHSEVEVKPNPHPERPDRLRAIAASLAAAGIFPSKCALVAPREITKEELLMVHTTDHIESIEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLIVSGRVHNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFDGDSSVLYISLHRHEYGNFYPGTGAAHEVGILDGQGFSVNIPWSCGGVGDNDYIFAFRTIVLPIAAEFAPDITIISAGFDAARDTIFGQYPHQLPKLLRSVSFLITALIWEWDVLVGDGSGFCSESAPSKEGLKTVLQVLKVQQQFWPVLGPTYASLQAQQGSVFSKSTSKGNELKKRKYSGGSGPFWWKFGSKRLLYNVLYESRRLRKIMGSGEGRAIDLAEP >Dexi4B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:4B:21992469:21992719:1 gene:Dexi4B01G0019880 transcript:Dexi4B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRENQGVRLTLPPEPEPRRRRKKERRQPTRTASRKATTALATAAAHRHIAAGSSHPLRAAPRGRGGARRRGQS >Dexi7A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:7A:21077281:21079337:-1 gene:Dexi7A01G0010300 transcript:Dexi7A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHGAAAAEAGVRVEAESSSQSQPGVASSRGAMSQEDCISGIRSALNHPTARFLREQMEKSGCQVWPRLIRAATCNSAGGYASQEGIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSVKDNPYCSEAAAKDAVEAVWHICYNDTRPFDRAP >Dexi5B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:5B:4749492:4750478:1 gene:Dexi5B01G0007090 transcript:Dexi5B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKNYSLTNCIEELEDEKDSLGDLTTCLVRKERESNDELQQARKALIMGFERQLNGKRSIGIKRMGELDDKPFQNACRKKYGDDDYHVRAAELVSYWQEELKKPSWHPFKIVEVNGETKEFLDDDDPKLKLLSTECGDDVCSAMKTALMEINEYNPSGRFVVPELWNFKEGRKATMVEVLKTLSRLVKRSTTASAGATEEAARPPPDSGTPPLAPSTGGAEKPCAARSPPDS >Dexi9A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:9A:2882539:2885808:1 gene:Dexi9A01G0005230 transcript:Dexi9A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEIPNPYWAPPQPQAPAAGAVAAPGGGGGGAGNVEGAMNRCSSEWYLQKFLEEAVLDSPGPVAGVGRGGGGGGGGVEAAERKPLGVAAAAAGTSSAAVDPVEYNAMLKQKLEKDLAAVAMWRASGVTPPERPAAASSLPNVDVSHAGPVNPIGGNGIPVQNKLAGAPVGGSAPQVVQNADILVKQATSSSSREQSDDDDMEGEAETTGNGNPVQQRLQRRKQSNRESARRSRSRKAAHLNELEAQVAQLRVENSSLLRRLADVNQKFNEAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAVSDMSSLSMPFNGSPSDSTSDAAVPIQDDPNSYFASPSEIGGNNGYMPEIAPSAQENDDFVNATLAAAGKMSRTDSLQRVASLEHLQKRMCGGPASSGSTC >Dexi2A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:2A:28457727:28461243:-1 gene:Dexi2A01G0016710 transcript:Dexi2A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLSMGEAHRRIGDYLSRVVNAISSSDGAALASLISVSSAPGSTPLSDALAAFPDFPRLAGDRFPHLADLLIPLLRSIHSHSLQRFSDAYSSFEKAASAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELATTGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMHCNPQSESNLRRILKFLVPVKLSIGVLPKRTLLERYNLLEYADVVTSLKRGDLRLLRQALDRHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLDVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >Dexi3B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:3B:9292469:9294266:-1 gene:Dexi3B01G0013070 transcript:Dexi3B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCAGKARRDDDDKLDFKGGNVHIITSKEGWDQKIAEANRDGKTVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELEKKVLAAADGGSS >Dexi9B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:9B:12027717:12028100:1 gene:Dexi9B01G0017270 transcript:Dexi9B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQDVENLKKEWSQTVSQLEGSIAAIESCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGCVLA >Dexi8B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:8B:27708357:27709175:-1 gene:Dexi8B01G0016510 transcript:Dexi8B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFIQSTISVGASGALFGLIGSMLSELITNWSLYANKVAALVSLVLVIAVNLALGILPRVDNFAHIGGLISGFLLGFVFFIRPQFAWLNQRRVAAASAGAVGGQQQQQQLHQQQQAARPVKQRKHKTYQYVLWLAAFVLLVVGVAIVLLFRGYNANEHCSWCHYLSCVPTKHWKCNSSPTVCTATRQENTLTLTCQGTSKNQTYLIADASSQARINDLCNQLCV >Dexi4A01G0021950.1:cds pep primary_assembly:Fonio_CM05836:4A:25340987:25342426:-1 gene:Dexi4A01G0021950 transcript:Dexi4A01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYRAAADAAEVDQWVSYAVHLASPRGVHLGLHISCHDDLLWNLCLCHRPRYEPPDYPQDPTTSFSEIGDPSATSFSDIEDPPARRKRLKAGDGGSQYSDDDDDSSVVSSDEDLPPWQRPVQKQNWPEPEPEYTVPRVIFSCAELRSLSLGFCRVAPPAHVSLPSLETLLLSHHVTTDPGAAVERLIAGCSRLADLTLEACYEVNTLSVLGGGARLRRLALRCCCNLATVAVDASELRAFEYRGAVPDPSFLIMHGGRDVQYCKVDICVAVDMSSKEKLINLMHLLNMFVNARHLHLESSAWLGSVLDKEEHDVLSMGLPRFTSLRRLEMRGHVAGTTGAIDAMCRILEHAPNLEAISLALYPQGHDCLSDDIYRQPSEDELLDAHHLSYSPHSVLAAAAASAMVIPSCLRSRVREINLVHYQGGTAQRAMAKFLLCNAPAVDELWCEFAEGPMFEQVQLMREIKGWLINKSADTHFA >Dexi3A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:3A:9761454:9761903:-1 gene:Dexi3A01G0013420 transcript:Dexi3A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPRAVLRAAASLLRPAAATAPAAAAHQALPKAAPALAEWLPLPAAALPASASRRAFSSSAADYGKDVDDVNRKFAEAREEIEAAMESKETVYFNEEASVARDAANEALAAFDALLARVPPADADALRRSMGLKMEQLKAELKQLED >Dexi3A01G0032820.1:cds pep primary_assembly:Fonio_CM05836:3A:37700614:37701705:1 gene:Dexi3A01G0032820 transcript:Dexi3A01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPELIDAAAAEIFVRLPPDEPAHLIRASLVCRQWRRIITDGAFLRRYRASHGVPPLLGFVCNLAARVDTITSPRFFPTAGPSIVPMPAFLGSSKALDCGHGRVLLGPFDDDTMARLVVLDHITGDHQRLPDIKIPRGYYTAAVFCAARGCAHLNCRGGPYRVGLFTIDLKSGRERKVSEPGNHFVVFPITSFYTPGMVAADIA >Dexi9B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:9B:6320835:6326667:-1 gene:Dexi9B01G0010180 transcript:Dexi9B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQIPMDNEIERIASILALGRSANLQHEGDLSETDLDLLWLMEGRQFGQEEILQEKQAAQEPSAGQQEKLAAGGYRAQPLPRRFRAYTESTLPAVHLLLPFLLSHRSGCGTPLPPSQIPTVSPDPRRCPCPQIRRYAGALYPAAGVRGAMACLAISLQPVNGPDVLLQTRSWFPVSRALAAVSAFRLARLHLARGKQQSASAASSSASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTAGSDHASPAVHAFAVADAVNQAVSVIVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADSWPAVEHLAQDRHAARDGFSGASFELPQETLAAGDESSSNIAPATTVATGDEPPPEEAAPVEKDPFAASDLINNKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDRAITGLELLATSGQPPNAATGTPLENLLVTKRTEMTAPELFIAEEINAEFKESVLVRVGLKGTIFLRTLPLNKAAGKETEFSFRLEGTSGMKRAALQSNVLSNLQNGLFHVRTVSKEEPIPLLKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHISDIPLKGPAGRLRARMPVDQDSKDGELEVIGMVKFAYQGPFTLSGIKLCPATEGIARFNEVGHTFSSGSYLCI >Dexi1B01G0021270.1:cds pep primary_assembly:Fonio_CM05836:1B:27208858:27211596:1 gene:Dexi1B01G0021270 transcript:Dexi1B01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIQLSGWSDDAAATWYGPPNGAGTDGGACGYQDAVKQQPFSSMVTAGGFSIFQNGKGCGSCYQHASCSGIPVTVVLTDECPDGACQQVPVHFDMSGTAFGAMAKPGQADQLRTAGRLKVQYTRVPCNWRGAHIAFKVDAGSNPYYLAILVEYESGDGDLRSVELMQSGAGWAPMQQSWGAVWKYNSGGPALNAPFSIRITSGSGRTLVAGNVIPAGWTPGGTYRSVSDPSPLVLDLFRSKPDLSRLETVLLLFTIRRLRKINRSRMSSPPPPPPVQEGDPGATTTPSSCKRRRVAPGGSDGGDREAGRPWDSLPEDLVELIGWQVLAGDLLDYVRFRAVCSHWNKSTLRPQGRGLVDPRFHPRRWMMLPEGHGLHPGHPKLGGYVRFFSLATGAFARVHLPLFDDHVALDSVDGLLLLHREHDMAVRLLHPFTGDIAELPPLTSVLPQLERYRFMDEESKRRELRFYLRGVCAAVTVSAAGDITVVLALDTRPRIAHAAAGDLLWVLSKLPYSVIAPTMSSQGKLYALTPKPRDENVMRIWKIDPPQPTTEASHSLSLQPPRIIAECPVVAAMGTLHLAECRSEPYRAPQ >Dexi4B01G0022840.1:cds pep primary_assembly:Fonio_CM05836:4B:24373160:24375534:-1 gene:Dexi4B01G0022840 transcript:Dexi4B01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSGKGRSKSQRAAGAKTPPSAAAAKSPPASDSSGGGQRSKASSCVSASTPSRSIQELSDERGALRLRVFDLDELRSATNGFSRALKVGEGGFGSVYRAFFRSAAGDRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLIGYCAVDSDTDKQRLLVYEFMPNKTLDDHLFNRVHPPLSWRLRLQIMIGAARGLDYLHEGVPEVQVIYRDFKASNVLLDPEFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLAWVRRHPPDSAGFRAIMDPRLGGRYPLAAAREVARLADRCLVKNPKERPAMRDVVDELERVLQMEPPHAAAR >Dexi3B01G0020960.1:cds pep primary_assembly:Fonio_CM05836:3B:15867590:15868277:-1 gene:Dexi3B01G0020960 transcript:Dexi3B01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFKVAAVLVVAMVAAASLKPAAAGVARNTDMDALTALRNGLQDPDGVLKSWDTTLVNPCTWFYITCDGDNRVIRLEMFGNSIQGRIPSEFGGLANLFDLDLHDNRISGPIPLALGNIKSLKFL >Dexi5B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:5B:12550135:12551113:-1 gene:Dexi5B01G0015380 transcript:Dexi5B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFLLSPAPLSGNTHFATCVHSTEDIDESSAEGLCDAKSYVKANPMLIQVQVLGTTRKFWRLSDKATRISRKLALILRSQHSVGKCLAARLQVSNILIGSTGSVKLRGVSFTGKGFSIERVRDDYKQLSRVLQTLIRASGGDVTKLPPDYRKFLTLLANDNLTMRDEFLIVNSAALLPMKNRTEAFLMLHDRIAKHLHRTDRAKKNRILSKLPYEEDWLDTASANTQINQWVVNAQYGYKKTQSDLLRLNRNVRSHLHEYNDEDIEQILYCEWPELLMDMVKLLHFEGELEATDIQNKFG >Dexi3B01G0024230.1:cds pep primary_assembly:Fonio_CM05836:3B:18903539:18905983:1 gene:Dexi3B01G0024230 transcript:Dexi3B01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLNDTSSRVHRHGEASASNNVRLPPSSTVHDHHDPSSFSSVIVVREPSFSSATSPSHPRSICHPSPTNLLSFQSAQENRTACLEESQPSYPPVNRPRWPLGMAQFGLTALPTQHRRFSFFRRFVFPLAWPQAAGLPLAFADCITCNYIADCVAYNKIASEL >DexiUA01G0027800.1:cds pep primary_assembly:Fonio_CM05836:UA:59649749:59650484:-1 gene:DexiUA01G0027800 transcript:DexiUA01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETTDGHLMAIRIEFFNGDHRAAGGSSGKPDDALVDAPKAALAHLEQAAEVAGGGPELSAPLSSYSSGMLRADETETEPDDLLAAAARAAALESSDRLERAPVEGNEAAAGDAGASAPSRLFSPLPFLTLLGKRRNRKQPMAWRKKRGGGAQLSSRWILASASPSPPQSSNRDGNERQDELLETKADQRQGNKVLGVKTFSVDCSTAQRAKNCVERQHEPCRVGTTLKDWCRLV >Dexi2A01G0030860.1:cds pep primary_assembly:Fonio_CM05836:2A:41681380:41684046:-1 gene:Dexi2A01G0030860 transcript:Dexi2A01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSWPVKRARGARRRPRLPVSKRSWAALDYGLAGVIAERALADDVSSYMSFRAVCHEWRRGTEDAPGQPLGCLLDRRFHPRRWIMLREAKPPRRPNRHRRRFLNVATAQCVQTELPELNGHHLLGATVEGLLVLLDKSTYVVRVLNPVTRQLAELPSLDPLLPTETRKTISEYGHAYALKVTGVGLAGDSTIALCFYDPKMLVVARPGDARWTLVDGDRWFYTAMSFQGRFYCVSFHDKAVMALDLNADTANQQPPRLVVAAKLTCRLSLMCRDTVHLVESDGRLLLLRRALSFREELDCHIRSYEVFAVDLAAKETVPVGVGLGGRAVFLGKTRALSVSPLVFPSIRPDRVYPAADIREKRDYGVGSYSILDGSVERCKVRMGATTDEIDGGWERPCDICDYLSWPLPPATVPRTPRASATLPHRVLRPPPYPARQHLTTPSVHHTTTLRGSALPLVAAAMVSLRFPAAAVPRLPPTPAPNGAAIAATLAAAAAAAAAVASLTFTAKSAGGPVPRPSPSAPLWASLSLADGAAPGSVEPRTGVAFPTEASAGRSLLGVGLRKTSVLGLKSIDVYAFGVYADGTDLKQHLMEKYRKFSASELKENAELINDVLEQDIQMTVKLQIVYGRLSIRSVRSAFEKSVGSRLQKFGGQDTKDLLQR >Dexi4B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:4B:7361099:7362012:-1 gene:Dexi4B01G0010080 transcript:Dexi4B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTRLAVAVALLLVLRPLASASRPIVADKPAPSEATATARWLAAQNSWGVLRSIQLRPNPAMESRTST >Dexi8A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:8A:17405071:17409262:1 gene:Dexi8A01G0010420 transcript:Dexi8A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGSENIAKCSLDGLVAFLTCLFPYLFDLEAVRYFPLLAGEKKERGTLTLLIDVDPLVAALHIINRRGMVKFNKNSATVQAAVKAAIRCAAIAAEHPDPQQFMEGWMNISPGVGEQFMARHEDESYVWRLIGTPTPDLELEEPWKLANVRLAKQKPIRQEELPPARPAKKRMLLATIHRFYLKALGCLPESELRERLHYSMLQGGHCYGPLDPVSNIIVNTLWYDHKFPISKQVTLQMTSTQCLWRAAARSLYGLVSFLCTRYPSLTPDQALQRLLDTGANLQDADPYIFSMAEPDNKRTSCWSGCLQIGSGKPDATAPSVSVPEAYLAAATAAFHSNPLSQKEFLGSPNTVANLQTARRVMRLQDGRLLSSKDLEMLCMCIFECPSSAGFPQKQLETEPKKVNIVLYTHVNECRRVFWRQQERANRMVAAALSKFNETAAPHYTLHVICGVNELVSDLQYVLDVSGDYDLCPSNKYRHSHINFLATRKGEHATLFFAECENHGTGSWCVPVILPQRDGEPDAATDPCAEQVRCIYCEHNENRIVHPAVSGFHGRDNFVDLFYGSDRYRYSNNKAIRRGHIDWVNELEDDSIYVNFVPAGEMDPDDLILLNTQVS >Dexi2B01G0033770.1:cds pep primary_assembly:Fonio_CM05836:2B:41175249:41176325:-1 gene:Dexi2B01G0033770 transcript:Dexi2B01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISNQEEATTKRPTPPPLPLSVKIQLVGLTAAIDAVERSDGTVNRCLYGVIDRLLSARPSPRPDKSTGVRSYDITMDASRSIWARVFVPTAGAGAGDPLPVVVYYHGGGFALFSPAIGPFNGVCRRLCSTLGAVVVSVNYRLAPEHRYPAAYDDGVDALRFLDAHGGVPGLDADVHVDLGSCFLAGESAGGNIVNHVANRWATTWQASAKSLRLAGIFPVQPYFGGVERTESELALEGVAPVVNLKRSEFSWTAFLPLGATRDHPAAHVTDENAELAEDFPPAMVIIGGFDPLMDWQRRYADVLRRKGKELQVAEYPGMFHGFYGFPEIPEATKVLQDMKAFVDTHRATTKPNAVA >Dexi6B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:6B:24726391:24727338:-1 gene:Dexi6B01G0017560 transcript:Dexi6B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGDAVTSDDISAGGHLWRINCCPRGECGDGMEDGKGEYVALYLELISDSKSVKTIFQGRDGEPSFSNERTLVRIYSNECYESPAVWGWTKFMKRSLLESVYVTDGWVTFLCSIIVLGADTIAVPPSDIGCDLGFLLESDTGTDVPFIVKGETIQAHRAILAARSPVFRAELFGTMSDATSSAIILQDIEPATFEAMLHFMYTDELPEDDQFGDFLTETMQHLLAAADRYALDRLKLMCARKLWNIITVDTFVSTLACAETHNCPELNNKCLEFFAMEKNFKKIVFTDGFMWLVQKFPDLSAKLKTRVGI >Dexi6B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:6B:22483701:22484500:1 gene:Dexi6B01G0015080 transcript:Dexi6B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPGERGKKGASGGGGGDGGGLEWELERQYDFEREMMLMAAAAAPGAARQPKHQQQQQQQRNRPFTADLLQNCDLPPPAKLFGPVPTRQRLESAAGTDQKGDVVVGGGNNDSLLRALRLSQSRAREAEEKLAAAGASNGELAALLVRDSVALSAHRRWVMMLEAENSLLRGGGGAAEPDPDDNGDARRGGGGGGGGLAAWWVALAVCVGIAGVGLALGRFLC >Dexi5B01G0032680.1:cds pep primary_assembly:Fonio_CM05836:5B:33265690:33267144:1 gene:Dexi5B01G0032680 transcript:Dexi5B01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSPQQQPRAMEPKKSSHSGTTAAAANDTESQLSSLFYLPTQGNKATKKDPAADSHGEWWQGSFYY >Dexi9A01G0049780.1:cds pep primary_assembly:Fonio_CM05836:9A:52335605:52336012:-1 gene:Dexi9A01G0049780 transcript:Dexi9A01G0049780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEHYMRGLYGDDDYDRRHEQQEESAPAAVAKALAAGTAAFSMLLLSGLALTATVLALIVATPLLVIFSPVLVPAAITVALLTAGFVSSGGFGAAAVGVLAWMYRSLQQTQSSSKHDAKDWAQHRREQARGH >Dexi1A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:1A:15152687:15155521:-1 gene:Dexi1A01G0013000 transcript:Dexi1A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDIWSRLMAAKRKFALQRAQRQHATPASHHDRFGYDDIEPEDDGRSDFPCPYCYEDHDITSLCTHLEDEHPFESKVVASLVSLNCTFSQESWLVAHIDSVGVEACNFTHEEPQVAARARGGGNM >Dexi3B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:3B:116279:117016:1 gene:Dexi3B01G0000110 transcript:Dexi3B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAPRKEVVAVGNVKAKQADAASSGGERKPRPQLEHALNCPRCDSTNTKFCYYNNYSVTQPRYLCKTCRRYWTQGGVLRNVPVGGSCRKNKQQRAASASSSSSDSSKKRNNNNTPQLMMTSDFPNVLPTLMSSNPLLAGTTFFMDVLRGGGVDGNSAPSFGFGVHGGHGGVLIGGSSTTSQQEHLVGPLPQGHLPAAGGRAHQWPPTARGEAGDDGNNNHHNWQGRGGGGGGLINDNSSDSLV >Dexi9A01G0042690.1:cds pep primary_assembly:Fonio_CM05836:9A:46227622:46228665:-1 gene:Dexi9A01G0042690 transcript:Dexi9A01G0042690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAVVDRISALPNDLLHSILTLVREATAVTRTAALSRRWRRVWIHARQLDLLDSKLKRGAVPGHFVGFVDWVLAQRGETGMGSLNINMSRDNTGASPSPESVNEWLRYATQHVARSFRLELPCPSYEWLLLDEVDDDDEPAVVLPDHGRMTYMKLSLSRQNMFQLPVAAGAKYEALTVLRLWRATFFGAGRTLGDFVLEIHSPKGMPLLRLRSEALRVLMLSSAEDLRILDVTTPNLAGFKLENCFGDNGGDGGEVVRIVAPRLQEIVVNQYSRPVLDIHGLTSVRRLSHLTLNMHAQYYAPAMDVGFWLLEHCPNADNVEVWLQRSGSPRVLLT >Dexi5B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:5B:2216354:2217409:-1 gene:Dexi5B01G0003350 transcript:Dexi5B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLCYTIIRYLHLAEDGPRGGIRPQGNGLPAGEVQVVGLLELPLLHPHRVIGRALPVGRVERQAAEVGPQERREVPGGLAESGGPGLALDAAAARAVGGAETDAGAEVGRGEERPRGEAASCDVPGVRDSAQDVGEDRRREGIQGIAGGGHDFCGCGI >Dexi9A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:9A:7262657:7263799:-1 gene:Dexi9A01G0011590 transcript:Dexi9A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLDVLLGRTTKQTARLRSLLGLAVTRLGVVRGHRQVRCAQARGDVEQLLRLGRPDRALARAEHVVREQNALDVLADLETYCHLIVDRAALVDAHRECPQELREAAAGLVYAAARCGELPELQEVRTILAAKFGREFVSAASELRSGCGVNPKIVQKLSTKQPSLESRQMVIQEIAAEKGIDVRVYEPPCEDYGRSNRSHRKTKQDEERIRMPPVDDQDEEEISGDSAQRYKDVEAAAQAAFESAASAAAAAKAAMELSRGEPRGRGDGRKPGRTQMDHEGKNADEMLDGKKFEKIGHARNYSSEIEIVSEDEGDHGKTAVNELKLKEQREPARGKPSSVRTKWGF >Dexi7A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:7A:21976407:21978356:1 gene:Dexi7A01G0011530 transcript:Dexi7A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAQQQFIRELTAPRACLLLLLPLFLLLVRYWFTAKRARKTTRQQQQQEDEHLPPSPPALPVLGHLHLVGSLPHVSLRSLARKHGYDLMLLRLGAMPIVVVSSPHAAEAVLRTHDHVFASRPHSMVAEIVLYGPSDVGFAPHGEYWRQARKLVTTHLLTVKRIQSLRHAREEEVSTVMVKIGEAAAAGAAVDVGDLLISYTNDLAGRAVMGKSSREDGRSKLFRQLVVDTSPLLGGFNVEEFFPFLARFGVLSKVVRGKSERLRRRWDELLDRLIDDHESKRRPTATAGGSKDEDDDFIDVLLSVRHEYGLTREHMKAILLDVFFGGIDTAAMLLEYTVIELMRRPHAMRKLQAEVRSIVPKGQEIVGEADLSTMSYLRAVVKESLRLHAVAPLLAPHLSTASCRIDIDGGEVVVPAGVRVLINVWAMARDPRFWEDAEEFIPERFLDGGSAAEVGFKGNDFQFLPFSSGRRQCPGMNFGLAAVEVMLANLVHRFDWEMPPGKEARDIDMSEEFGLVVHRKEKLMLVPKLLASM >Dexi7A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:7A:9136654:9137130:1 gene:Dexi7A01G0002300 transcript:Dexi7A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFIILTVAWHFREAAMSKDDTELLRVMANYGEDVVEALGYEVDRLESEIQKLVPGIKHVDIEAHNPEGLPLRS >DexiUA01G0016000.1:cds pep primary_assembly:Fonio_CM05836:UA:34172081:34172622:1 gene:DexiUA01G0016000 transcript:DexiUA01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVKKFTQEVEDQRYNDFLAEVSIINRLRHKNIVPLVGWSYNKGVPLLVYEYMTNGSLDHHLFRHDRHDPRDARICQWETRYNIVRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSTFRARLGDFGIACAVAPNKSYVTGFAAPLAT >DexiUA01G0026670.1:cds pep primary_assembly:Fonio_CM05836:UA:57018121:57019258:1 gene:DexiUA01G0026670 transcript:DexiUA01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMDKNDMATAPLVTLFAMAPSAAACERSRSGKISELTTQNMGPIPTEKNATSPHTVRMAMAMPTPLDTCPCASRKSAADRITKHTVMPTVLTYSSGFRPTRSISRQRRLEEAHGHRGGEELALGGDPSVPEDGRAVVHQRVDASDMREALDAKAGDEHPGDHRRGSRELLPHRLVAVLSGVRGRGGGLDLGEPLFGLVLAVGDLEEHGAGLVGSVLHDKPSRRLRDHEQREDDGDGREDADGEHDAPVNVVGEAGESIVGDVPEENPEISGKEVKEPLYAAGEISAMYTGAIMTPNPMPMPASRRPTMRTRKDGANVMTAAPAWKTTAARPMVHFRPTASDVLPPARLPRREMKLRHPTRTSCCTSLMWRSL >Dexi6A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:6A:20529534:20530365:-1 gene:Dexi6A01G0013450 transcript:Dexi6A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTFPPALPCPSVSAVHADSSPDDDDTLLAACGVTAPPSRMAMLLKALPLLAIPFLPPPVAAVVALSTLATPVRACSVPSSDTFSGLNHGTPTCTVYRYHHGNATVDRGEPFEELRVACPPPHPRRDAAGSDERAPSTAYGHRHDEDPFHGYCSVLAVLAPKEKAWRIVPVHLPVADPAAVVAAGGDVCYVELEHMDYREGYYIRCPVSDCCHVPVICCTEFPHDAIAAAVRDREHHRRTYCDTVAWDWYINATARPELEGLEYNDELF >Dexi7B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:7B:14542960:14547518:-1 gene:Dexi7B01G0006820 transcript:Dexi7B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALVFRSLTLNPHLSQPWGRRRGVANARTLSLIPSPAASAFRLRASAITDSSSPPVPPYPLAEVFPYISAEWETISKGWACAAAAVFCLSRAVPAAGRLPRALAAAGGGAGARAAVDVAARGGLALAAFASARAAAAYAQQALLWEAALRAAGRLRERAFERLLERDLAFFEGRGGVAAGDVAHRIVDEADDVADAVFSVLNVIPCMCIVIASLGKRLRQMSKEAHLSLAMLTAYLNDVVPSMLTVKANNGELKEMLRFQNLARDDLKNNLDKKKMKTLIPQAVRATYIGGLLVLCAGAIVVSGTSFDAQGLLSFLTALALVVEPIQDFGKSYNDYKQGEPALERIFDLMRFNPEVLTNNCLLFLISLILPYWLNYKNSLEQVTDKPRAIHLQHVNGDIKFHDVTFGYVDGMPPVVDGVNLHIRPGESIAFVGPSGGGKTTLAKLLLRLYHPQSGYVLLDNHDVQDIQLQCLRTHIAFVSQESMLLSGTIAENIAYRDPLGDINMVRVEYAAKIANAEEFIKMLPEGYNSNVGQRGSSLSGGQKQRLSIARAVYQNSSILIMDEATSALDIRSELLLKEALRNLMANHRVTVLIIAHRQEMVLMADRIVSLEGGKLREITKSAFLSQNGHFGLPKITSPN >Dexi7B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:7B:5470667:5481150:1 gene:Dexi7B01G0002800 transcript:Dexi7B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHTEAPPQAIPPPEEATVDDWARDDAEPMSVESAAAPAEVDAADSAADAPPEPTAEGVKEIQSSLQSLELKANVTAQEDAQEVEDEEETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLVVVINKMDDPTVKWSKERYDEIEGKMLPFLKSSGYNVKKDVQFLPISGLLGTNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRLDSYAYFILHEKVMPIIDKYKDMGTVVMGKMESGTIREGDSLLVMPNKVIFFLNPFILLLDESKVRRAGPAENVRVKLSGIEEEDIMAGFVLSSVANPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVVDVPPAGSPTF >Dexi3B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:3B:2835678:2841639:1 gene:Dexi3B01G0004110 transcript:Dexi3B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVSRLAAVATSSPTRELFARHLAAAAATAWTGPSRPYEPATRGMNARDYYDVLGVSKDASAPDIKKAYYVLAKKFHPDTNKDDADAEKKFQEVNRAYEVLKDDDKREIYDQLGPEAYERHASGGDPARQGFPQGNPFGDIFGDIFDNPYKGGQDVKVSVELSFMEAVQGCRKTITYEADTFCGTCNGSGVPPGTIPKTCKTCKGSGVIFMQKGIFTVECTCSLCSGSGKIVKNFCKTCKGEQVVKGKMSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKVREDPIFRREGNHVHVDSVLSIAQAVLGGTVSVPTLTGNVTVKVRQGTQPGEKVVLRGKGIKARNSSVFGNQYVHFNIRIPTEVTQRQRQLIEEFDKEECTDQERIAAASG >Dexi1A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:1A:26779354:26781513:-1 gene:Dexi1A01G0019780 transcript:Dexi1A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTPGAVLLDENMPIHRGKRADAPRAKPLKPLEKKPGLQERKALQDVSNFANGTALKDRSMKGKSQQRKALQNVTNTTQSKDRPSLKEQRSTLKERSGLGKHDVIKNPLDILTDEEIKKCHEWAKDGVEGAHFHDYQKSDRDLQDKRVKKKVVNVLSALDSWPNVVFDRVMFPAMEVDKFFEEEKGLELEPEILPNISWGISHSGDKAKVVAEGPFTDDELDQYPFLDNNPAMFELRDEPAIPQLGVY >Dexi3B01G0037540.1:cds pep primary_assembly:Fonio_CM05836:3B:40314235:40322603:-1 gene:Dexi3B01G0037540 transcript:Dexi3B01G0037540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDARMATESDSDSDARSGGGGGGGGSGSGSETPSASPSAPPSEPGTPTAAAAASPGPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGDGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAGVLLDDLLVAEDLAAAETTSAANHAAAVAASTNLQREPGRYTYNDEHSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDISPENAIIQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDKEQSPEAASSVKQTSSLIKPDPALLNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQAPNNDRGSLAWI >Dexi8A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:8A:28281620:28282213:-1 gene:Dexi8A01G0016610 transcript:Dexi8A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDASNGETSQTGNEWMKKEVMLCFKKYVERSPDLAGLVDYHLGELLHQCFNVESYDKVFHHYNFTVRMKMPNSVDWTMQLYFAEAKEIFMRKYYVCYPLEPNENGCCYACKSQGVNDLRHPAIDVFERGSPDSPCGLWYTDE >Dexi6B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:6B:20950433:20951337:1 gene:Dexi6B01G0013270 transcript:Dexi6B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLCGPLLAKAVGARAWEIASPDHWCFLLLLLFALRAATYHLWGVFTNMLFLNRRRVIVRDGVDFEQIDKEWHWDNFLVLQLWLAAMALYAFPSLRHLPLWDARGLAVALLLHVAATEPLFYLLHRALHRGQLFSDYHSLHHSSKILQPYTAGFATLLEILAISGLMAVPVAAACAAGLGSACLVFGYTLAFDFVRAMGCCNVEVFPGWLFQAVPAARYLIATPT >Dexi4A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:4A:5475717:5476074:1 gene:Dexi4A01G0007390 transcript:Dexi4A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGIIRLLAEDQVMGLLDVSLAGEIELGVGANGGGEAESNEVAQQHGPDKDQILGDGELAVLVGEEGQLHICREAQGRPAQATSTGIPVARRARERCKGLPAAVRD >Dexi5A01G0034450.1:cds pep primary_assembly:Fonio_CM05836:5A:36495180:36497021:-1 gene:Dexi5A01G0034450 transcript:Dexi5A01G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVSCGIGAGSDQASPQDGLENTGGCGQKSVVYIKDYMKLPLSEIQAATSDFSKENLLDEFGHVYKGQLNDGQLIAAKLRKETNSQGHSEFFNEVQVLSFARHRNIVALLGYCCEETYNILVYEYICNRSLEWHLFDQSASVLEWHKRHAIAMGIAKGLRLLHEECCAGPIIHLDLHPSNILLIHDFVPMLGDFGLAKWNVGNSLIRTSILGQSGYFAPEYAEYGIASVKTDVFRFGVLLFQLISGREVFFDDHGQQCIHILQWVFFLVVRLIDSENEHIRDLSQQFITPFAK >Dexi2A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:2A:29429671:29432557:-1 gene:Dexi2A01G0017560 transcript:Dexi2A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEEASDSADQALLREQAAINRSTGQMDNVISQAQATLGSLMTQRSTFGGITSKISNVSSRLPTINHVLSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >Dexi7A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:7A:13623010:13624418:1 gene:Dexi7A01G0003590 transcript:Dexi7A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMERGAISDWRSKRVAVVTGGNKGIGLEVCRQLAGNGVAVVLTARDEARGAAAVEKLRGDGLSSVIFHQLEVTDARSVARLADFLKAHVNNAAVGGAEYVPDLVYPSATNDDPFAGMDEGQMSEWMQQNTRETYNSAKETLETNYYGTKHVTEALLPLVHSSTDGRIINVSSVIGQLRYFVSEELKQELNDVGNLSDQRLDEMVAAFMEDFTAGAVEARGWPVGFSAYKVAKAALNAYTRVMARRHPELRVNCVHPGFVKTDMNRLAGLLTPEQGARNVVAVALLPTGGPTGKYFALGQEAPFV >Dexi3A01G0027770.1:cds pep primary_assembly:Fonio_CM05836:3A:27421337:27426832:-1 gene:Dexi3A01G0027770 transcript:Dexi3A01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTCAVCAETLEWVAYGPCGHRDVCSTCIVRLRFVMEDNKCCICKTVCPSVFVTKVFICEQKLYSRSQLTQHMKTGDSDVDGSELERSGFAGHPMQHPGLYDYFRNYDDLELHFRKDHFLCEDEACLAKKFVVFPSEAELKAIYFNRDIMLWSTVGGCLVLKGMLHFRYLPVLYIEEMSKINGVAESLNVGSSSGGAEASSRTGRVLEQLSFPPLSDPDIPDTRVETVPDETSFPSLSEQQSRYALALNQSARGAARLGDESLFPPLPGSSNSRGPASAQQGLQSLAKSTLAARLQQRSKGPVKVLNTARPRPFENPELLPSSTQTWPTPDQGQLLSGSSQLRIGTQPTRENGFVSTGSSNSAWNPVAPNKMKHSVSTPNLVSGGQPSSSAAYSSNKSQEPPQGSQPLSLPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYYTDIQFKSLQENGGGGTSSQEGNRKKKGKGKAPVAESSAAKDVKVALADNFLDTVRRLQPNHQAHEGEAEVLSKDGYRPSKGVQQSSGSSSSLDIDAGFNSKSSGAKDNAGKGGGSSSKQPKKTSKFLRARLGDNSLATLDLSRPSASPERPERESQGPQMGLPGSLMANCEHFGVDGLVVGKAFVNGKGHYVLRRGLERALLVRRAVEAQRSKGCGAVLAQHPSGVATWRLQAGATTWRFEAGAVTWVI >Dexi4B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:4B:2437799:2438290:-1 gene:Dexi4B01G0003490 transcript:Dexi4B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRNHLTPTCWWVTMAGFALLTVVNSGLAIYRAKGDLASVLFVVAASYAALLLLFRCLRHYERAPPGSPARRAVWQLTTLLTVTFSPRAGDGHRPMERQMAAALTMVTFGVTTCDTALAIHDARGGGGGLGTAAFVLVAYAALLALTFRFLRAFAARVARP >Dexi7A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:7A:22952894:22953486:1 gene:Dexi7A01G0012760 transcript:Dexi7A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSSTRRFPNLNAFLHAWKKQGEWAHLDGGIGEAMAIPRVEGHAQGPHGGVHRGAAAAAREL >Dexi5A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:5A:416632:418160:1 gene:Dexi5A01G0000610 transcript:Dexi5A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKYNCDFPTSKICDQATKDWVKAIQSEWNLLQKNLPESIYVRVYEDRIDLLRAAIVGPPGTPYHDGLFFFDVRFPSEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDRPYFNEPGYKNSAKTTAGEKNSLAYNQTAFVLSCKTMWYSLRKPPKHFETLVARHFHEREGAILDACSAYMSGAVVGSSAGSETRYACDKSFADFKKSLTLYTEHLRTEFAANRSRVLELDRQASAVGEIVPTS >Dexi3B01G0029550.1:cds pep primary_assembly:Fonio_CM05836:3B:28838401:28839012:-1 gene:Dexi3B01G0029550 transcript:Dexi3B01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQRRRRHSGGSRGGAPPELRLAYGARARTLGAAVLSLLPPPLPPGAPCPACRGGGAAGCLACRRWAHLLRDGDPVAYRGLVTRAVCAVAPAAAAPPPPRYTPGSAGHSQAKFD >DexiUA01G0004620.1:cds pep primary_assembly:Fonio_CM05836:UA:8715470:8718253:-1 gene:DexiUA01G0004620 transcript:DexiUA01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGGARNDDEDVQVLVERPYSHETGSTPHRPPLAPGHRHHQRQQQQLIRARPYYRRWTPWIVSAATVACVAIFVVTMAVNDCPKHNTNCFAGFLGRFAFQPLKENPLLGPSSATLLKMGALDVSKVVHGGQGWRLITCMWLHAGVVHLLINMLCLIFIGIRLEQEFGFVRIGLVYLISGFGGSLMSALFIQSTISVGASGALFGLIGSMLSELITNWSLYANKVAALVSLVLVIAVNLALGILPRVDNFAHIGGLISGFLLGFVFFIRPQFAWLNQRRVAAASAGAVGGQQQQQQLHQQQQAARPVKQRKHKTYQYVLWLAAFVLLVVGFSVAIVLLFRGYNANEHCSWCHYLSCVPTKHWKCNSSPTVCTATRQENTLTLTCQGTSKNQTYLIADASSQARINDLCNQLCV >Dexi3A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:3A:11937772:11942293:1 gene:Dexi3A01G0016010 transcript:Dexi3A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEVGGAGDGAAGDVQIKGSKENGQPAQQEQQPSGSEALEMPATPLPRDIDWSEHFSFFTSLGGFGSSSDRARGLASVGLSNSESRPDSVTQRGLDHGAEERVEELTLKNCINTDVQPEVSAGGSSSSGDRPTVIKGLWGNFTRMAWRTSEMASRENTAVSYGDIGNLRAGDASSRENLGTSLANNTISRKNDVSGKEAPMTRGGNVNNEFMMPFQNQQLLLSSRPNQNEHRVERDNAIKVSSFSNRILEQLRSKTVTPPSGALGTPLNGKSKGKGVAYQGAREETQVQANARSRVPLDKIPTIPTSIYGMPALDKDSHSLQSTGEELGL >Dexi5A01G0026340.1:cds pep primary_assembly:Fonio_CM05836:5A:30002025:30007152:-1 gene:Dexi5A01G0026340 transcript:Dexi5A01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPKEEEEAAAEAGLGVAVEADHDSPAPCPFQEHAPGKAALPFSATCVRISRDSYPNLRALRNASALDLHEDDAAFVKVEEGDYGYVLDDVPHLTDYLPDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLTMTAKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEASSAENGIGLVKLMGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIDRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVRITDRMWARLLSSTNQPSFLSKEDIDAAREADRKNGENAKKKPASMLANGEK >Dexi5B01G0034980.1:cds pep primary_assembly:Fonio_CM05836:5B:35100607:35101254:-1 gene:Dexi5B01G0034980 transcript:Dexi5B01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQEQCSLLRISSSHHPNPLKPPRNPHRNQLLPPNAARNAVPSRIPVHAAHKAAIPAQKAAAPWREALVPVAAAVASWPLPSLAAEGDGKVSLESIVVAVDDFNNRNPFFVAGVVFVWLVVIPLVQEYFLKKYKPVSAIDAFRKLRDVPEAQLLDIRQGKSVRFMAPPNLKLVDKSAVQVEFDEEDEKGFVKEVLTRFPDPTNTVVCVLDK >Dexi6A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:6A:5141287:5144470:1 gene:Dexi6A01G0005610 transcript:Dexi6A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNPPVHGGAGASIISDGLAANNRIQPFVIYPVFFHCTDEILPSQNPLWLSVPFTPHCRRPSSAAATQLVSRPSCRRRRRRRPMLLLPGLLRRARAPPCLPPRRHLSRLLDRYGFVAPASLSPAPREGPRAAAAADSAAAKKRRAKKPPYRPPSSLDRGGRPPTHSDLPFDFRFSYTESTPASKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDATLRDVAADDPLPDAEKGLEEARRRERERVLGEPLTPAERAFLVEKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKSCEAVRVKCLGVPTVDMQNVCHQVEDKTGGLIIHRQAGHLILYRGRHYNPKKRPVIPLMLWKPAAPIYPRLIKTTIEGLTVEETKKMRKNGLHVPALTKLAKNGYYGSLVPMVRDAFLADELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGKDYDGSLDNQMQKPSPSVVDSHDASVKNESGDQEQPGSDWSSDDCSGISCSNEVPDDIPIISNLDSSRTI >Dexi5A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:5A:21195195:21197075:-1 gene:Dexi5A01G0017810 transcript:Dexi5A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLLNTERNHLLSPKPHSPRRDGAFSPLRPSPKVMAARAAPGAGLERSLSFKNWEAEPEAAPPTCRGSGGGGINGARPGTLALEQPPAQAMIDYISPRPRGELDQAATKLQKIYKGHRTRRSLADSAIIAEELWWKTYDSVYLNIKSISFFDGDKQETAASRWSRAGKRIAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSCEPFFYWLDIGAGRDQHHPKCPGTKLYSQLIMYLGPNERAAYEVIVEEGRLTYKQSGEFVNTNEETKWIFVLSTSRSLYVGQKRKGKFQHSSFLSGAATTAAGRLVAKEGILKAIWPYSGHYHPTEENFREFIAFLEENNVDLANVKRCSVDDDEYPSFKKAALEEGEPLAEAAAHEETINNEVVELPEVDIVKDVVVEEKDAGEVDAAGAGPAMMASRPSFKWLTPTGARIGCLRDYPADLQSMALEQVNLSPRVGAAASPGRRLPMPIPSPRPSPRIRLSPRLHYMGLPTPTGGSARLVAMPRRSSPKQEFLGFHTPAVELTLPKNKGK >Dexi7B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:7B:19417523:19428551:-1 gene:Dexi7B01G0012660 transcript:Dexi7B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALEAAARRGLTAAEVTALVDTCMDLTRDANFRIAQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVRDAARQLLITLMEVLQLMNDSNQSVRDAAITCIEEMYRHMGSQFHEELQRHNLPSYMLKEINYRLDKIEPKVRSSDTALQYKAPESRSVSANPRRGSPRTKSIPRESTLFGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNILSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEIINDEDGGVHKSAGVDPPSSRDLPVPLAAPASNVLSLQNSALLDSSLPGIATASSRNGVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSDDGYALTSKKSYPFGRLSILQQYFNQILTTVLEVLDDSDSSTRELALSLIAEMLNNQKDAIEDSIEIVLEKLLHVTKDVVAKISNEANQCLNVLLAKYDPFRCLAVIVPLLVSDDEKILVVCINCLTKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGKPIDSNQ >Dexi2B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:2B:2509358:2510943:-1 gene:Dexi2B01G0002940 transcript:Dexi2B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQTIGASSNGAYVDVARKTKEEVDHLLAKLEEEGLELDDKIARIIDDGIARVKAEAVWETINVMALHSPCCSTRRWARGPRDLSLACSPPPTAEGGSSSGAYADGARKAKEDVHHLLAKLEKQGVEIDDKIACIIDDGMARIKAETERENIHKPLGVWMEVLLIFLPGAIGFFMGVQRMQKAFREELSKRGYVLSK >DexiUA01G0027520.1:cds pep primary_assembly:Fonio_CM05836:UA:58768080:58768817:-1 gene:DexiUA01G0027520 transcript:DexiUA01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHGYGGYGYGGYGYDAGGYGSAGGYGYDAAGYGSAAGYGYDPGAYGAAGGYYSNAYPSAPAYEDPLASGRAAHDFPAPLNGLELQPSETCPKNYVIFDQTCTKSRVMFHPSLAHKLGGSSGFDDDCYAAGGAYANDGCSKEDTEEIDALLSSDDGDEDDVVSTGRTPGYRYGSSPDSTCSSSCAKPRKKERMNKMMRTLRGIIPGGNQMDTPAVLDGAIRYLKSLKVEAKKLGVRGSDS >Dexi9A01G0034110.1:cds pep primary_assembly:Fonio_CM05836:9A:38896760:38898827:1 gene:Dexi9A01G0034110 transcript:Dexi9A01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSERGSVAIAVSTAAADKLLHGPTAGKKCKKAAPRKVHKSEREKLKRDHLNDLFVELGNMLEADRQNNGKACILTDTTRILRDLLVQVESLRKEHSNLQNESHYVAIERNELEDENGVLRKEISELEDELKMRTSGSPAGWGHGNAGLKPLVPHPASAVFSSQEAMQPPAISSTVFPLQQPLAPSAVIEQSYATPPPLELKLFPGAASVEVQEPSEDQEAPNHVARPQARYPTQSASWPVTLFSGLPRMEDEQCSSSTTGCNKEASTGRD >Dexi9A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:9A:374425:374682:-1 gene:Dexi9A01G0000670 transcript:Dexi9A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSGLGPVNGGQHDGVALRPARGAVGAVERLNGRSWFGLRPSARLVSSILPLPVGNGGGGGARGETRALEP >Dexi3A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:3A:10885291:10888239:1 gene:Dexi3A01G0014820 transcript:Dexi3A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCANVREVGGGGGGSTVVLAHGYGASQALWDKQVPALSRHNRVVLFDWDFTGRDDDDQGPGRYAFGTFADDLIELLDDKGVRGAVMVGHSMSGMVACIASARRPDLFSHLVLLCASPRYINSPEEGYFGGFERAGIDGMLEAMASDFDAWVKGFVPNAVGDPASAPPVEESFQAMHPGVALELARMIFLGDQREALAAVTSPCTIVQVKGDFAAPPSVAEYMSRRMTSAAAADVVVIDSVGHFPQLVAPQQLLDVLEGVLRRHGGEDVEEESRRPKWRPTEASTLRRRVRVQSCAPIVASHPLGC >Dexi9A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:9A:6477571:6486528:-1 gene:Dexi9A01G0010600 transcript:Dexi9A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGDLYTDILIPTQTPASTSAPSNAAPVETLPRPPPNPNPTPVATAAPALAEDDDDWLLGGSDPIPGVDPTGDWADEDDDGGAPAPPAKRDAAAPMKPPPAADDLDPLMGGVVGDSGPAIPGLSSAAAAGAAGSEDWDSDSEDDIQIVLNETDGRRGLGEDEGDDEDGEDLVIVADGPHIPGMEEQDWGEDPAAAGAEGERKDGGEPGKAVAAPGGRIGYSGGGPGFHPQHHSMFKVSYLGQEAVRCTLTTLAEGDGVNLVATDYALCCILTIFDIDLDTTFEEKPWKYPGADISDFFNFGLDEEKWKDFCKQLASPFLTFGSTYICLPLYLFILNLNNKIFYHQDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHPDISVNNRNRTDNGHTDFSAQGRGPANVRTPVMIGRPIQVETMYTDRFASVDTRPHRMRESDSVIEIVCQGRDSMDDETVDQTEKDSQGGNKRAHDVEEGKPYPSDKINNSGHNSNSGIKTEQKRQLPVSSESDMLSTDANAHSPPSYKTRGSPRGARSLKGSSLVQNSIREIESSNEAIHRQSSSKRRPRRENPVETPETKGDSEGSPVAADDVADKLSTEDHFDDDDDRLAFVDSAEVDGDDATSDQPSDTNEDDNSGHSGKKQKPTSMVEEPAGHNSSEPDEVRTSENSKGRSGSSKDQQKRLESGEEVLQDRHSRRVNDVRRHHDVEERNLRRKDEYLRDGKPDLERPHLPSRGREDIHQSYANRDRVDIRSRSYDRVRETEVWPRREDSVHSRRGKEEDLRQEYNVEVSARHRNKVRPIDRSDRDEDIHPRKRMDDGDWRGSRQRERGDMGLNRRESLDDSHIKRNKDDENLRRMKPENEDMVHGYRGRDDNNRRKRERDDGVDQKRRDDSGRMREKVETKEANEQENSRGHAAASKKSQNPQPDNSLVNQVEDAISDDENNEDSRRGRSKLERWTSHKEIEYSNIDDDGTQAFPIIKADVEAPTADELGKSEVSAAVGNSDTKSSVDTGQTSDKMAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQLPQNESAAAEMEVKPERPARKRRWTSGS >Dexi2B01G0018280.1:cds pep primary_assembly:Fonio_CM05836:2B:28596472:28597416:-1 gene:Dexi2B01G0018280 transcript:Dexi2B01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRAEVVFECGDFRLYSDGHVERTGGMEFVPAGFDADTGVTSKDVVIDAATGVSARLYLPAIQTDATAATKLPILVIFHGGYFVVGSPGCPNFHRYINTLVSKAGVVAVSVDYRLAPEHLLPAAYDDSWAALNWAVSGAAADPWLSDHGDLGRVFVAGASAGANIAHNMAVAATPPALVERVEGVILLHPSFCGEQELEDEAEEFWRDNKKRWAVIFPGARGGTDDPRINPMAAADLLAKLAGKRLFVSTASEDPRASRGRAYCDAVRASGWPGKVEWFESKGEGHAFFVSDHSSHEAVALMDRVVAFVAGH >Dexi7B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:7B:15398316:15405332:1 gene:Dexi7B01G0007590 transcript:Dexi7B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIAEELKLGPETMAMFDKQEEEDDFNCVSSGSRDVIRAVSQVIAQTLVNKKFVMIFLNGSDDEVDVSSFGIAPRYCDHVILWTFKRRTLTIHAHDRHDGITNARLAMSLYPLLVSSQGYDDVCFNIHITSSCEYGAVFQFDASGKEISEPENQRHHVPASCYGDVSIEIGNAPMLVFPQPPTLSDHHIEISGGIHNSLDSELTSDSTGYVYFVNNARRRTISSGWTGLDGLMAVYTKSMHVHDVSTSVIMPSSRWNSCSLSWCRVERCPNLDSVFSTTRHDSNQLEVIWASDLRIARCIWSKGLYGDPSFGNLQHLRLRSCPRLQFILPVWVASFPSLKTLHIIHCGDLTHVFVLDEKYPEKILLHGVPFPKLTTIHLHDLSKLQQICEVKMLAPALETIKIRGCFGLRRLPALQGREPGMKRPTVEMEKDVWDALEWDGLAAGHHPDLFEPPVHSRYYRQSRLLRGTVLRYVLAYILRLLIAETSMSFSSATQSPITILPLALPR >Dexi1A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:1A:690220:692310:-1 gene:Dexi1A01G0001070 transcript:Dexi1A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKASEGIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINRFIPPCEFAIKMCGTDGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGEKAVKEALGVGDIDFVSCSTTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQEDFVSSSESSFVVDGAEAGVLKTHGPLSFLKVVI >Dexi3B01G0025100.1:cds pep primary_assembly:Fonio_CM05836:3B:19897736:19900968:1 gene:Dexi3B01G0025100 transcript:Dexi3B01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAALRAAAADAVVTFLWVLCVSTLGASTAAVTSYLKLQGVQYALLVTVSLLSVLLFVFNILCDALGGASFNPTGIAAFYAAGVTSPSLFAVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAVAELVLTFVITMAVLWIIVKGPRNPIIKTWMLSISTVCLVLSGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFIGAVLAAWIFKALFLAPPPKPKAKKA >Dexi9B01G0035890.1:cds pep primary_assembly:Fonio_CM05836:9B:37476250:37478487:-1 gene:Dexi9B01G0035890 transcript:Dexi9B01G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAISPCQMYHQQQLQSHNHFLSSRQTFPPERHLLLQGGSIPAESGLVLSTDAKPRLKWTPELHERFVDAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNIHAQVNGGHAKNVVGCTMVMEKPPEGNGSPASHLNLGTQSNKSVHIGEALQMQIEVQRHLQLRIEAQGKYLQSVLEKAQETLTKQNAGSVGLETAKMQLSELVSKVSSECLQHAFTGLEEMEGSQMVQGHTMQLGDGSVDSCLTACDGSQKDQDILSISLSAHRGKEIGGMSFEIQAKERGSDDLFLDKLSRTPPSHVERRERDSFIMAAKLDLNINDTNDAPKNCKKFDLNGFNWT >Dexi9B01G0031550.1:cds pep primary_assembly:Fonio_CM05836:9B:33884564:33885886:1 gene:Dexi9B01G0031550 transcript:Dexi9B01G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEEAAETAAPKLIGRDRVTDAAATLFSAGDDSGQIIPDRFVRVRTDEVEATGEDEAFELPVVDMAKLLDPELSASETAKLGSACRDWGFFQLTSPGVDEEVMRRMKERAAEFFRLPLETKNAIAFRGDDTFHGFGHHFNRGPSEGNKLDWAECVLLATQPVEGRKMDMWPANPPSFRDALDKYSVEVMDLTRRLLGFMAADLGVSEEALVGAFFSDDGAVKGQNVAIHHYPPCRQPEKVLGIAPHTDFLGLTVLLHAVDTPGLQVRRGGRWLPVQPMPGALLVNVGNILEVLTNGAYGSIEHRVVPDAERGRTTVVMFQDASADGLVGPLPELLGGDDARARYRSIGRDEYTKGHFVAVAQGTPFLHSLKK >Dexi8A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:8A:18175102:18184719:-1 gene:Dexi8A01G0010600 transcript:Dexi8A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPCGCSLVRLLQQALVAAVLVVIILYRHGHGFSKLSSRSSASRDVDVFFGSPPAATNARPAASGGDAASATCATVERMGEEAAGQGTPEAASLRVRELIRRHFELHGKQPSILQQSKNRASSSPASKHPNVQDPKNVQLITRIPLLRQLGQHGSSSGGACRGARAARVRALPPHEFCKQGFVLGRASEAGFGNEMFNGTNDSVGTQFFLKNIHPGMKASASALFGLPDSSDARPNTFGELMRAIVSPSRTVQEAVNWALKGVNPDIALHMRMMSSRPVEARQAAATCIKRAMQICRIQGTPRVALVSDTPSFVQEIKSDISEFAEVIYFDYELFANGSDLMFRNDMPLNFRLTDWGPAPRWAAIVDFFLASRARCAVITGAHPRVGTTYAQLIAAVAAANTY >Dexi2B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:2B:25388036:25389406:1 gene:Dexi2B01G0015420 transcript:Dexi2B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTPRHHLSSSSLPRSLLAKRIITFALYALVPLALLHYLISLPSPAPQATTSSSPSPPQEPKAVASVKEKAAKRTSAAPRCDYSDGKWVRSAAAAPLYNGTSCGETIKAGQNCEAHGRPDTGYLRWRWRPRGCALPPFDPAEFLRLVRGRHVAFVGDSLARNQCESLVCLLGSAFPARLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVKGTEKAKGGAAGLDHNRLYLDQPDERWAAELPGIDVVVLSAGHWFLHPAMFYDRGEVVGCHHCPEPNRTETGFFGAFRLAVRGALREVVLRGARAAQQKQEKKLAVVTTFSPAHFEGEWDSPTACARTEPYAAGEREMEYMDGEMLRAEAEEAAAAGADARARGAGVTVEALEVTRMAALRADGHPGAYMHPFPFAGGARERVPNDCVHWCLPGPIDTWNEILLQVVKRWVASAP >Dexi7B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:7B:16376453:16378186:-1 gene:Dexi7B01G0008590 transcript:Dexi7B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSYISSMLMEDDIDDKLLHQYSDHPALLQEQQVFAQILSTPSFDSNNGTINLGNTEWTEGLLQDVSGDTSKVDLGFSKGVDAVRAFLKGMEESIEFLPRDNGFIEDDLLNQKFGECSKLEELKKRYNRSVHLEEVGKSSTPMMVTEELEAMLGELMLQGYDTCTRDIEKLRIAMTDEVEKTEKGSKTTSAHELLQEIKQHASATGDATQRLAQCFLKGLEARLMGIGGQLSTFLMGQGPSVMESLKAYKMYVASCCFNKVTLNFNTMTILRTMTGKNKLHIVDYGLRYGFHWAGLLHLLANREDGPPDVKITAIGRPHLISFPVEHIEETGHRLSKCAREIGLPSFKFHVITAKWEAVCIENLKIDANEVLVVNDLFNFNTLMDESVYFDDPSPRDTVLNNIRKMRPDVFIQGVVNCLYGTSFLSRFREVLFYYAAMFDALDVTVPRENKQRLVLEQDIMGQCVLNVIACEGKDRMNRSNTYKQWQLRNQRAGLRQLPLDPKVVSTVRDVVKKHHYHKNFVINEDQQWLLQEWKGRILYAHSTWVADDSFAP >Dexi5A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:5A:3172863:3174433:1 gene:Dexi5A01G0004230 transcript:Dexi5A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDEPLLGRYEAGRPEKATSAAGDGDASFVQTCLNGVNALSGVGLLSVPYALSEGGWLSLALLAAVSGVCWYTGILLQRCMSVDPTVRTFADIGERAFGRRGRVLVAGFFHAELYLIAIGFLILDGDNLDKLFPGARVSLLGGHVTLAGKHLFVVLVALAVAPTTWLRSLGVLAYVSATGVFASVLIVLSVLWAAAIDGVGFSAPGATALRPTRLPTALGLFTFCFCGHAVFPTLYTSMKEKRRFPKMLAICFVLCTLNYGSMAVLGYLMYGAGVQSQVTLNLPAGRVSSTIAIVTTIVSPLSKYALVVTPIATAIEERILRRGSGAAGSGAVAISVAVRTLLVLSTVAVALAVPFFGYLMALVGSLLSVGACVMLPCVCYLRVFRPPARAAETVAIAAILVLSSVLLVTGTYSSLVQIIHELTA >Dexi7B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:7B:18531426:18533797:-1 gene:Dexi7B01G0011510 transcript:Dexi7B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTTIAASLGTAPAPVAHTSEDVQQQRRHTPRPYQPSPWGDYFLGHQPRASAPELLAMEEKARAKEEEVRRIVLASPDLATKLELVDALQRLGVAYRFDKEIGDLLLTVHGDASGNNEHDDDLYLTSLRFYLLRKHGFHATSDVFGKFRDEEGNFATGGDDVKCLLMLFDAAHLRVHGEEVLDSAIVFARSRLQSLMTCLEPEMAEEVRYTLETPSFKRVQRVEARRFIAVYEKKATRDEAVLEFAKLDYNILQTIYCDELKALTIWWKNFRSVTDLGFARDRIVEIHFWMAGVCYEPYYSYSRIMLTKLVMIASLFDDFYDNYSTTEESNVFTAALQRWDEQATEQLPVYLREFYLNILSTSNEIGKDLKLQNNKHAELVKELVIYLAKNYHAEVKWRDEHYVPTKVEEHLQLSVPSSGCMQITTLALISMGDVATNEAIKWTRTYPKIVRGVCVVGRIMNDIVSHEREQTSDHVGSTVQTCMKEYGFTVAQANKKLGEIVEEAWMDMVEESLDQKHPMAILEKVVNLARTMDFIYKTEDAYTLPHSLKDIMTSLYLNFV >Dexi5A01G0016850.1:cds pep primary_assembly:Fonio_CM05836:5A:19537881:19540123:1 gene:Dexi5A01G0016850 transcript:Dexi5A01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRHAREKLEREQRERMQRAKAKADRERRAKAEASRRREALEASHRERRLDAARAQEEADQKMEEVMQLGKGVSFVHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDTVPGTSMEQDTEEATCCGVLEFTAREGSAELPLHVWNNLFRNDTPDVPLIEVRYVSLPKGTYAKLKPEGAGFSDLPNHRAVLETALRNHATLSKNDVVVVNYGQLQYKLKVLELKPESSVSVLETDVEVDIEGSDSILDNEENQHVLVPLAIGKVESSVVEEGKFRYYKFSVEESASEKIASGRANIEVKIDTDASGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPKDSSLVSGLYSIGVYGFKGTSKYQLSVAIKDVNGQRIGEHASALGSVDIDSVLCRNCKRHIASRSAHLHEAYCMRHNVACPHDGCGVVLRKEEAADHVHCNKCRRAFQQREMEKHMKVFHEPLQCPCGVILEKEDMVQHQSSTCPLRLIVCRFCGDTVHAGGEPIDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHHIAVHQKS >Dexi2B01G0025340.1:cds pep primary_assembly:Fonio_CM05836:2B:34615038:34617006:-1 gene:Dexi2B01G0025340 transcript:Dexi2B01G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLDSAALWAAVDSAAAQASRVRSASVDDDLRGEVLQPARPFKSPRLASTPYATPPPVAVPLPLPPPPAHASIYATPDAAAAPRSRLVVVESPPPEPWGVHKGSPIAAVAAEGYLLPSLSVDNFRKYQEVALSVLRWLGLM >Dexi6B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:6B:24791325:24792037:-1 gene:Dexi6B01G0017690 transcript:Dexi6B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSRFIEFKLDYAKTKDAPIGSFVSTDDITAGGHRYPRGCRKEDAGEHLSRYLQLVSINSKNSWCDTKRHVHLYPAWCAVHGWRLFVAQSYLESLYVAANGFITLMCGVIVLLGSGDDDHPPPPPDMGAQLGHLLDSGDGSDVTFVVAGEVFPAHRAVLAARSPVFKAHLLGAMADVTTTPSIITLHDIAAETFRVMLRFIYTDALPGEEVIGDQMEMTRCLLAAADWYAYWTV >Dexi2B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:2B:25535753:25537050:1 gene:Dexi2B01G0015530 transcript:Dexi2B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPQQRQGQKVMVAVDESECSRRALEWALRNLASTLAPPLLLLTVQPLVPLGYVSAASFGAPLGTVPPIAPELIKSMQEQQRELTQALLDKAKAICAEHGVPVETVVEVGDPKEMICEAAEKKNVDLLVLGSHSRGPIQR >Dexi5B01G0029310.1:cds pep primary_assembly:Fonio_CM05836:5B:30589494:30594652:-1 gene:Dexi5B01G0029310 transcript:Dexi5B01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRGTAALVIACLLIAVTLADALPLPLPLVCDKVHGVQKHETCFAVSQAEGLSLKKFLRFNPNINCNNLFIGQWVWSLTARNRGSDLPSQRCCNELLCAPYDLVRVAALLIVSLLVAVTLADATPTLTVHRDTLGGARPQPPELVCTKIYGVQQRHETCFALAQAGELTLEQFFSFNPNINCQKLFIGHFAMAINRGTAALVIMCLLVVVVTLADALPLASPLVCDKVYGVQKHETCFAVAQAEGLSLKKFLRFNPNINCNNLFIGQWVCLHAHRA >Dexi2B01G0030920.1:cds pep primary_assembly:Fonio_CM05836:2B:39139210:39149017:-1 gene:Dexi2B01G0030920 transcript:Dexi2B01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFLKKTPDRLLEISERVYVFDCCFSTDSMGEDEYRDYLSGIVAQLQEFFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLDMVYKQARRDFIQQFFPLNPQPSHLRYLHYITRQGGGSEWPPISRPLILDSVVLHVVPRFDPEGGCRPYLRVHGQDSSPGNKSAKVLFEMPKTKKHLQRYGQAEVPIRISAFCRVQGDVVLECIHIGDNLEHKETMFRVMFNTAFIQSNILGLNRDDIDVSWNVSNQFSRDFRAEVLFSDPDSFKPAVTSVEVADDGDETDVASVDTGDEFYEAEEDWHDARRDPETQSIDGRLSLDGNAELDGAVGNEERSSLVKHEIDEDVKIVISQNSASVNDKGPNICPSSSSPSPTTEVRHRWKFSTAASTTASKAKQQHGRSAAATSTPSTTTKIKFKCSTPIASTTIHHNTFKCSSSSATAAAAAVLHLHRLLQVHGQELHLHRLLLVHDQEFHLLHLLQVHGQELHLPHPHLEVVGEHPHHLLHLEGGLVALLRLLLQVGVHQVPLPHLEHQEYRHYQPLHWVKVTRALQGSLWEELQRNDDSQSVSEFDISELESLFPAAVPKSDDSSKSERRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLSDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKDNLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNIIDSSCNEIRTSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAARSPQLLNFYVDLVSLDAASKIQLKMLAEEMQAVSKGLEKVQLEYDASERDGPVSEIFREKLKEFTDNAGADVQLLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTTFRKAHEENLKQAELEKKKAEKEAEAEKAKSTQLTSKNDSKPSNPSRQAKQTIERTRSASRRGRDVG >Dexi6B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:6B:3013002:3014589:1 gene:Dexi6B01G0003680 transcript:Dexi6B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVQSGCARHPFDRMAQKTMDIPNGKIANLGDRISNLPDELLHHVMSFLPARDAVPTCVLSPRWRFLWVSARCLNVDAKGYSKRQFVQFVTTLLLRRGCTPLDSFWLRASWPIIFLNDLENTANDWICHALRSNVQVLGIVEQDEDIEYEEEEEEEVEAEDMEVGKDLAFRLNHYPFTSSYLKRLHLCFVSIDNQLTTRLFSGCQALEDLEMINCSIYATEFSSGTLKNLTIDYVGLPLRERHGNKHDIAINMPSLVLLRIGALLCKMPSLVVGHSLRIASLTLDHPSVTYADACGILGALSTVKNLELLFPNDAALLKSSLQSDMQLCRVVFPNLTTLSLSDWCLHGNCKALLYLLRHSPNLEKLTLKMRKVTIFTILWHSGFESYIGFYDNLDWFPSAAAERDSPCKEREIPFNCDNLKKIEIICPEGDKRVSMLVAILFGRISSPEEISIKPFSGSSW >Dexi2A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:2A:1105813:1106851:1 gene:Dexi2A01G0001590 transcript:Dexi2A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALTDDLVEEILLRLAPDDPASLVRAAAVCLRWRRVASTRSFRRGFARRHRKAPMLGFIANLRDGHEGDRYDYVARFVRATRFRPPGG >Dexi2B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:2B:414136:415410:-1 gene:Dexi2B01G0000840 transcript:Dexi2B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRANKGKVQEVLEQVIMAAAIVKLVARMVSTAAEWLYPEECVDEHLLYHLRMLVIMLQSTVEEAEQVQIHRRRRWLGEWLLELRDAALDGDKVLQSFGQSQRRRLLHARDQQQASAGNYKQDTAVMKRILIWLFRRVDRDASRLRRTVAVLEKVYASNIGDFLTLLQRSTSSPPHAAIEEEDDGHDDGDDSEDGGTIPKSMGSSKLIGSKQAHQEGFAGKSRMGSGLNYAELVLAAPLLGSMIRTGLTMVMHNVRQAIGKLRTTPPVDWLPSLTLTPEEEPDMRRMRLLVIRIRGALETTSDDDMVEVDGSRWLAKWRRELQAVADTADRLLLLAVSAPAPPAETEADTLLRQASIREELRRAAHALETAVAHLDDFVALVSFTVMV >Dexi6B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:6B:1720:2952:-1 gene:Dexi6B01G0000010 transcript:Dexi6B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKSTGNAARSRKRVEATVLKRSKDGSAFARCEACNKDVPVVLIDMHSCSLDSKIKMMLEAEVVERIVEVPDRKKSSAPAKGGRAKADNSKDPKRKRTPTAFFLFMKDFRKDFKAAHPDIKGVTVVGKEAGERWKSMTDEEKKPYVDKAAELKAEAENAEGSGENNVAAAEKEKKPKEKADDQDGEQEVDQSVKRRRINKVEEEEDEDEEENELDDDLDDDM >Dexi2A01G0032610.1:cds pep primary_assembly:Fonio_CM05836:2A:43037971:43038469:-1 gene:Dexi2A01G0032610 transcript:Dexi2A01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTAGKSRRRARWRTTGASAPSDGWSERRRTRKRKRSAAAREEAGGESASASARTALASEVVAGGGVVESAPSAAAREDARELVGAGVAAVERWRRNGDGAVAAAPVAGDGRKRRRRWWRRHILERRRSFGCVYSVEV >Dexi7B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:7B:12449829:12450600:1 gene:Dexi7B01G0005200 transcript:Dexi7B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSVYAYLLDILVPGFDVKSSAMQKVGYNRAYFVLKIAKGIGCKVFLSPDHIVHGVPTLNLAFVAQIFHRSNGLSNKGIPPPVNQTLHEIEVSREKRFYQLWINSLGKSTCIYTFFEDLKDGWVLLQLLDKVSPKSVDWSIANRGPIAQPSKMMENCNQVLQIARKLRFYLPGISGKHIFQGRKTAVLS >Dexi4B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:4B:22713612:22714646:1 gene:Dexi4B01G0020590 transcript:Dexi4B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVAIVLLAASAASAIDFTEHDLASEQSMWELYERWCEHYKVVRDPGEKARRFIVFKENARLISEFNHGHMSYNKSLNMFGDMTDDETRCAYHCSMVASPPPSVPDETFTRVATRNLPWAVDWRNRAYGGGPASYVTGAKNQGPGCGSCWAFAVTATVESINAIRTKILTPLSEQQLLDCNLDNGGCSGGYVHKAFDYVVQSGGLTFEYAYPYKGRRQGFCPPHLPIAATINGHHHVPSYDMFALMAAVAAQPVVVAVQADEVPFKRYGGGVFRGPCGTRPGHAVTLVGYGTTNDGENYWIVKNSWGPNWGENGFIRMKRDVPEREGLCGILVDSSYPVKY >Dexi9A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:9A:1024955:1027285:1 gene:Dexi9A01G0001980 transcript:Dexi9A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATMSMADAVTLVDTLEHLGIGLRFREEIDSLLGRVYRADEDLEFSASNDLHIVSLRFRLLRQHGFFVSAADVFNKFRDGNNGGRFSTDLCGTKTRDLLSLYNAAHMAIPGEEALDDAIAFARRHLEAAVNKGELRSPMKEQVSRALDIPLPRFIARVETAYYIGEYEQEETHDAVLLELAKLDFNLVRALHLRELSDITLWEESAASDVPEYLRALYVQTLSHFNEFEGLLKPHEKHRMAYLIQEYKMQSRLYLQEATWSYEKHMPTFKEHSDVAVMSSFVPTVCLVGLLFAEDDVATEQAVKWAFGMPYMYIASGEIGRFLNDVASYKMGKNKKDVASSVECYIKEHGVTGDEAVAAIAAMVELAWRRINQGCLEMRDRALQPAARSVVGVSTTLEVMYLGGRDGYTFGRDIKDLIVRLFIDPVPL >DexiUA01G0003270.1:cds pep primary_assembly:Fonio_CM05836:UA:6617170:6617445:-1 gene:DexiUA01G0003270 transcript:DexiUA01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSWTADGAVGEGREARDLGPDTRIPVCVGGLGTLGWSNAAAATIRFFRTPAAGGPEVEDFMGGQAIEGREAKEGRGTPDARIGDAKEGN >Dexi1B01G0025070.1:cds pep primary_assembly:Fonio_CM05836:1B:30249775:30250043:-1 gene:Dexi1B01G0025070 transcript:Dexi1B01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILWRKKLVLHKSLAGVSIGISQNPIMHANSERPKGSPQPRRGVRALAGNSLSPAGDREPSEPRIGAGQFGYATAAGASNG >Dexi3A01G0022380.1:cds pep primary_assembly:Fonio_CM05836:3A:17999494:18000147:-1 gene:Dexi3A01G0022380 transcript:Dexi3A01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTSPTADDVKNILESVGAEADEDKLEFLLAELKDKDITEVIAAGREKFASVVVQSQWELRLLQVVVQHLLKRQRRKRRKKRRKNLMM >Dexi9B01G0026480.1:cds pep primary_assembly:Fonio_CM05836:9B:28676232:28678589:1 gene:Dexi9B01G0026480 transcript:Dexi9B01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGGGGGGGGGGGGRGGGRGRGRDDEEDLHLHKAARSGDAAAAESLCESNPLAVNSRDRLSRTPLHLAAWAGHVEVVRCLCKHKADVGAAAMDDTAAIHFASQKGHIEVVRELLASGATVKAKNRKGFTALHFAAQNSHLDLVKYLVKKGVDVTAKTKGGQTALHVAENDDVRAFLKECEQSLKKGTELPSSEKKDDSAEDGGGGSSKSSGEGKKEGDDAGQGEKRKTEGGAASSSPQVKKAKVSLGHLVSANDMDEEEEEE >Dexi4B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:4B:5158758:5164131:1 gene:Dexi4B01G0007280 transcript:Dexi4B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSGGVTPSPAEGSWCLFANAGDKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTIPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKGNMFDRTLRCNHQRKNSSRRTFMIFHGNFDTFTEVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLTAAAPAVQSQYLASNALGKGICNLPTGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADGGMTGQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSVEVQQMSLDGDLGCIPPQAQACSASDDANAWRG >Dexi7B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:7B:23033103:23036328:1 gene:Dexi7B01G0017060 transcript:Dexi7B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAAIWRRSCQSFASQSLMETYVGSSLSSGGLGWFSSCAKRSRKPDLSVVKQIKAVGRSSAVNDVARIRKSPLLKHMDTNWLSASKSRHGSLPGFLGISSFHRGYSSDTGMKPDASQSTVSSVPSTESSEVGTAGGGGSWMEILENARKSTVDATTDAGKKVKELTDAVTPHVQQLFDTYPNLEKVVVPLGGTLCGTLMAWLVMPIILRRLHKYASQSPIAALLGNSTKNDVSYQTSLWSALEDPAKYLITFMAFSEMGTLIAPSISTYLSQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDASSVDRDRISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGKVVEIGLTSTSLINPEKLPVIVPNSLFSSQIQPLLFLDMVAL >Dexi9B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:9B:2135130:2138412:1 gene:Dexi9B01G0003710 transcript:Dexi9B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGEASVGAFRIGPSTLLGRGVALRVLLFSSLWRLRARAYAAISRVRSTTVPAVLSWLHLRNTHSVLLMVVLFALFMRKLSGARSRAALARRRRLCEKAMRHAATYEDWARAAKVLDRMSEQVHEADFYDEELIRSRLEELRRRREDGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKEYIDEVSTQLKMLARLAEMEVKYRCNQILEMDLPMGGLAKLFAQDWEGDVTMVMPATLAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRTIERTLAPSQGHSSYVRLKTPRRVPSWNRISREDSSESLSEEISAVVASSTQQGAILVGTANISHHVRHGSHDGSESESETIDLNSWTRSGGPLMRTASADKFISFIQNLEIDTDFSRPYTVEGETTGILSEPVFPNDPRLNNNSRVTTPDRCTEVCETQSCNTVNTRASQASTPSSITVSEGDLLQPESTTDGILLNIVKRDALHSHHNNVTELAETSLAEACVEVEPCDAISVSDSAEDNKDAADPSNPSLDIADVVTSQRSSADD >Dexi9B01G0036320.1:cds pep primary_assembly:Fonio_CM05836:9B:37848664:37853813:1 gene:Dexi9B01G0036320 transcript:Dexi9B01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLPCPPLSGASSCRRPTAPRRPPSALVCGTYALTKEERERERMRQQFDEASERCRTAPMEGVAFSPEDLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEEAGIRPGLVEEFMIIDENPGDETLILSLQAIQQELAWERCRQLQAEDVVATGRVISGNKGGVVALVEGLKGFVPFSQVSSVVDELYDSLLDEVLFDLDQRTTAEELLGKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPEDSGEEPTDE >Dexi1B01G0020400.1:cds pep primary_assembly:Fonio_CM05836:1B:26481921:26485411:1 gene:Dexi1B01G0020400 transcript:Dexi1B01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVIPDGRRWSKGGQGSPSSPVTTAIFLFFFVVGVGVLVSARWIATTTNLTITNLDQWRSKPAILTTTQTTSIPAIPAAPPPPRPTYSLSCSAPPLPRDPDIPSNISQTLDLVLSPNASSASTCAAIPDPPPLPAAANASSTCPAYFRFIHEDLHPWRAAGGITRAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADQYQGENATVLPPVFRYCGDNETLDIVFPDWSFWGWPEINIKPWDALQKELRSGNKRVKWMDREPYAYWKGNPDVAATRQELVKCNVSSEHEWNARIYKQVLCFSLWYIEDWLKEIKAGYKQSDLASQCSHRYKIYIEGSAWVLMPMQHYWPVRDDDKCSSIKYAVDWGNSHKQKAQIIGKQASNFIQKELSMGNVYDYMFHLLNEYAKLLRFKPTKPPEAIEVCSESLACQAIGREKKFMEDSMVRSDSDAGPCDLPPPFSPEEFKAQQRRKEKSMTQIEAWEQKASKPVDRKP >Dexi3B01G0032770.1:cds pep primary_assembly:Fonio_CM05836:3B:35225644:35227961:1 gene:Dexi3B01G0032770 transcript:Dexi3B01G0032770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAPWFAELFTDDRVRTLSHQVSTLQDNVWELEHKSTQLFGQKNKLEKQLEEAKAAAQAISIQKEEVERSMKSENEKLLSEVLTTQEKCRQYEAEVAKLKTKLDAMVEANEASAKTFNDEKAEMILESEDLKRRLEEIQASKYLVEFENDKFRSEALIAEQKQRMFEAEIERLKMELAALAEEKEASAKAFDAQNEQITKELEILESKLEEIQKIKDLMESENEKLRSEVLAAEEKCSQSEAEIKCLKQILGAVVEAKEAAAKSFDAEKVEIMKESDNLKRELEEIQAIKALVERENDELRSQILTAEQKHTMLEAEVTNLNMDLGALEEAREASAKAFDVEKVQILKELEVVNSKMEQIQSNKDLVESENDKLQLEILTIEQKQSMYEAEVNSLKKELGELMAAKEAAAKAFDAEKAKTMKELEDLKKKVEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSQLEVKRLKMEIEAKETTMKSFDVEKAKFVKEAEDLKRKIEEIQVNKEAAEEARRNKDAEADRLRAELVNIHISMSQLQASYNELDAKLSHLIDEKNSVQKSLDNEKLEACKLKSKIEELEKCNAEKAGETEKLKATLEEKKSEIEALSKDIELLRLAITEAQEKNKGSILSCLSSLRSK >Dexi9B01G0043470.1:cds pep primary_assembly:Fonio_CM05836:9B:43421696:43424111:-1 gene:Dexi9B01G0043470 transcript:Dexi9B01G0043470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIKLFRTGDERDRVLESQRARFAPDEDVHEVAALDEAWRKTQYDVEKIRAELNATSKAIGRLKAAGNQEEVEKLMEATKETKERLAAKEAEAHETKIMLDAKLLMIGNIVHESVIVSDDEFERLEADRQTLNCSKILQANNAALVTWGERRMEGNLRNHFDLCRMLDIADFEKGVTVAGGRGFFLKGDGVLLNQALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKVTGDGDEKYLIATSEQALCAYHLGDRIYRAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNESWEMSEKMIQNSQDFYKELGLPYQVVSIVSGALNLAAAKKYDLEGWFPASQTYRELVSCSNCTDYQARRLGICYGQKTNRCVDKSEGHDQSKPRKDEQQFVHMLNSTLTATERTICCILETYQREDGVEVPRVLQPYMGGLDLLPFVQNL >Dexi5A01G0021020.1:cds pep primary_assembly:Fonio_CM05836:5A:24920841:24923611:1 gene:Dexi5A01G0021020 transcript:Dexi5A01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGASGGVTGLLLGVAGDAFPWKLVVVWAVVAWCAVRAVEWAWWRPRRLARALRSQGLRGTAYRSLAGDAPLTERLNMEARSRPMPLGCHDVVPRAMPLFHQTMKEHGKTSITWFGPVPRVTITKPELVREVLSNKFGHFEKIKFGKLQRLLHNGLGSHEGEKWAKHRRIVNPAFHVQKLKRMLPAFAACCTDLVKRWEGLAADGQPHEVDVWPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVQLVVQAMQKIHIPGYLYLPTKTNRRMKQIASEIEGLLKGIIAKRENALRTGRASSDDLLGLLLESNMEHCRGDGNAKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRARDEVLSVFGSRTPDYEGLSRLRIVTMVLYEVLRLYTPLTSLQRQTYKPMELGGVRYPAGVMLMLPLLCVHHDKDVWGPDASEFRPQRFAEGISKASKDSPAFFPFGWGPRICIGQNFALLEAKMGLAMILQCFAFELSPAYTHAPFALGLLQPEHGAQVMLTRLR >Dexi3B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:3B:1629838:1630830:1 gene:Dexi3B01G0002360 transcript:Dexi3B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFPIQIRAVEKRREHHSDSVDDQGRGSECGGGEEGRKNLASRAVGSSHIDKFVPVVSSSSTTHGRRLLPPHPTTAGPPLLLPSSNCPSQSHALPRGHT >DexiUA01G0024760.1:cds pep primary_assembly:Fonio_CM05836:UA:51388005:51389691:1 gene:DexiUA01G0024760 transcript:DexiUA01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEGSLGLGALPGLEHNRLVFSSVRASRVPSIIPDSVDLRPLSKPFVGPRFEPLISSTMAPSVRGGSIERYSTVPLRAGYRGSRDLCPSKGHPRAFELRGPSFEGGCPECALRKLRGFVGSWEKWARFLRRGVRAQFDTTALTAQLLDCAVDAARAVTSPGAGLVVSLGALRGGSINSTTVPMPRPLPSAPFALAFAFLLLAAMAQYVDPAPWKPSNATKHCLDSLVEVGVLPPNVDGEPPVWISPGAATEPDPPAGYVVSFARFHERGFGVPVERFMRALCFHYKVELHNFSPNAISQAAAFVAICEGYLGIEAHWDLWCHLFIGELFSESVSKGVRRPVRAGGLVLQVRRSRKDLYIPSSMVSNNQDWDKGWFYLRNDGGHLPPYTGLLLTQKQDDWHFGVRDRNLKLTGWSPDVGPTWGCVPGFE >Dexi4A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:4A:18714552:18715415:1 gene:Dexi4A01G0015670 transcript:Dexi4A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAILALWSAVQGCFTPAVLFVVLNIVIGTIAVTSKVSPPAATAAEEEGVAAAAGAPGAGGGGDNQRRLSRVPSMAFERLRSFNLSRLSSPAPEPAVAGVVDLGYDHPPAPAVEKEEPVGEVELEPEPEPEPEVEPVPEPKAEDEHAHAHAHAAHHMERSRSEAAAGAEVELPRLPARLLKSASDRSAFAHLKAQEVEEAVRAVEARRPATTSEGARRGGRRVPVAQADPSDSEPEAEEPAAGGEVDARADAFINQFRHQLKLQRIESFIRHRETVRRGQAAAAGV >Dexi3B01G0029230.1:cds pep primary_assembly:Fonio_CM05836:3B:28352513:28353249:-1 gene:Dexi3B01G0029230 transcript:Dexi3B01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMADLPVPGGPYSRYPRFHAFPTRAWYSLPFLNMSRSSMTSFFLAGSMASVANVLGCSSTTWLHCPVDTLLPLPVPGHGVAAHLTDAREVRVEDELAVAVEEEETVEAAVLLRGGAPPREETAAVVARRRLGVGKPPDPCAMELVGDLLTVGHGEHELVGVLACLGPEVARVASAGAGFAAPHAAAVVLGDGEREVGVDQRRETPGVAAHVAPQDLLQLEPLQVLPDDGP >Dexi1B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:1B:21390742:21391128:1 gene:Dexi1B01G0014950 transcript:Dexi1B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRASHRIGFFRGELDRIRFRCLPHLLPEASSQQTAETRNQERSEHESLGSAPSLGLDQSSAYHTSPTKPSLAQTTITGPQKF >Dexi9B01G0030020.1:cds pep primary_assembly:Fonio_CM05836:9B:32495204:32498590:1 gene:Dexi9B01G0030020 transcript:Dexi9B01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARRDMAAALLPETAPRLLTPETLRTAAKQSQGIHLVPLSLRRAIKRYLRDQDKGHMNRKVLLLSASFDRAKGTGAELAAAATRGALLDDPNAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWAMRAVWPKSIERVNLVEPSKEMQRAGQSLLDNLKGLPLIHSYDSIQELNRNIEKHERGHDLVISSYALGEIPSLSDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSSSHPPSNMKSIVAQKASLKHGSFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRKPEDLIIDYDDQFPSEQDEEVPNDGGDSLVPYASDGHELSLFHDSEEAEEEEEEQTVRADLGGGWGRIIYSPMRRGKQVQMDVCRATKRDASEGAFERIVVTQSKNPTLHFQARRSLWGDLWPF >Dexi2B01G0026050.1:cds pep primary_assembly:Fonio_CM05836:2B:35209495:35213695:1 gene:Dexi2B01G0026050 transcript:Dexi2B01G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRPSALPTPPATPAAAHQQPPVSEAAARRLREAEERLREAIEELHRQQGGAGEGQEQREGEWGCGHEGESCAAHAAGNLCQTFLLSYGVRVGIGILLRAFKLARRRSYGSLLDLKKLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRRRETPYNAILAGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSNNRFHFWGSHWRHGDSLLFSLASAQVMYAFVMRPESLPKSYQEFILKTGPVAEPVYKAVRECCRGGPVDLTALSDYLSNKRTSDLINLTTNPSIIPCSVIHPDRASCLAQNVNVVSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTVFQAAICLHRKVASKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASRISNPSPPPPNRNTSYSYLQTLNALEQSRTQPGVDNGQQTSEQYNLESIPGL >Dexi9A01G0010840.1:cds pep primary_assembly:Fonio_CM05836:9A:6646705:6667377:-1 gene:Dexi9A01G0010840 transcript:Dexi9A01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPETAVEEVLRAAAAEVSTSSVKRRLRLFRHTLPPLVAKASESPSDAALLVDLIFQTLPIYDDRASRKAVDDMVIQALGESTFMKPFAAALVQSMEKNLKVTNPLTSFRLLRWSYYLLKWSQFATLSKGAFSRLANAQAVLCQILMNGSFRRRRTCKQLFIQLFSEPSGIYKMYIDEVRDLKISMRDSPAFLNLILDFTIKSPSLSAEYKSIFLDLYVKTILSSKDRPPKAATEAFKPLFLEIGHEDFKNTVMPSCIKMLKRNPEIVLQSIGYLLKIVHLDLSKYAMEFLPAVLHQARHSDEERRINALSIIGTLSEKSSDPDALPSMVNAIKAILGGSEGKLSLPSQRIGMINALEQLSKSPPKQIGRIAPSVSSFLLKCYKDDGIEEVKLVILSALGSWSLVTSLLDHLIQLSKAGFSKATQRLDGIYALFAVLRLAAVDTKADGAILKEKLWQLIAQNEPSIISLQLVILCLHFDQDRCCGHWPPMPTPKGSGPHSKELSKLADDDCLAAVDLLQSLLVEHLFRVREFFSIQSLLQVGLQCSKFDMDSSSDSQLPFVPSTEVLVKCLFLIAPYAVVHSPRSYSRLILCSHHPCLSSSASQAGVYKRLQRRLRQQQIVFVDLITPNISVICKDLLSQDGLFSSNKQVQSAALCSLSTLMTITPNDTFLEFEKHFIGLQERTLHDSLSENDIKIFCTPEGQLSTEQGVYIAEAVASKNTKLAKGRFRAYGDQDADTARSVVPAKTEKRESSGTGKRETGKSTKKTAPVDKAKTAKEEARDLLLKEEASVRTKVGQVQKNLSLMLDALGELAIANPIFTHGQLPSLANYVEPLLSSAIVSDAAFRTMLMLARCTAPPLCNWAPEIAAAIRVISVGDFETILDLMPVIMEEDSKKPSSGLFEQIVNGLTIACKAGPLPADSFTFIFPVMERILLSSKKTCLHDDVLHILSMHLDPILPLPRPRMLSVLYHVLSTVPAYHPSVGPMLNELCLGLRSHELAQALVGVYAKEVHVRLACLNAIKCVPMHAVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDIITDYSGIFDALSHKNYNVRAASAEALAAALDENPDKMQDALSTLFSLYIRDLGPDIEFGDTHWLGRQGIALALHSIADVLASKDLPVVMTFLISRALADPNADVRGRMINAGILIIDRHGKENASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVIEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEGQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAATLRQCLEDRMSAKSREGALLGFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVLAVREAAECAARAMMSQLTGPGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALKEVGSVIKNPEISALVPILLAALTDPNDHTKHSLDILLQVLVDPIPEVRAVAARALGSLISGMGEDIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGHDYFDRILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYAASSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQASLHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSLERRQVAGRSLGELGVCIGLSEVMGSAGKHQLLSFMDELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSATALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVLLVIDEEGIEMLIPELLRGINDSQASMRRGSAYLIGFLFKNTKLYLVDEASDMMSTLIILLSDTDKATVSAALEAFSRVVGSIPKEQLPTHIKLVRDAVSTARDKERRRRKGVPVLVPGLCLPKALQPFLPIFQQGLISGTAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIIAKGGIALKPFLPQLQTTFVKCLQDSNRSVRTRAAAALGKLSALSTRVDPLVSDLLSMLQSGDESVKESVLSALKGVIKHAGKSVSAAIRSRGCDLLKDLLHADADDVRSCAAKVIGTLSLYMEETEISDLVQILLNLSTSPEWYTRHGALLGFSSIAMHSPSKLCHLASFPSLVDLLKDSLKDDKINHSALATHHSILGPAIADALKDPSMPVRLAAERCTLHVFQLTKGPDNVTAAQKYLGMTSLEVKKIAKLNEESDGSESSDDDKRA >Dexi2B01G0009900.1:cds pep primary_assembly:Fonio_CM05836:2B:10631312:10631629:1 gene:Dexi2B01G0009900 transcript:Dexi2B01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYNKAGSPEASGEGGGSRRAPAGAYYECSFCKRGFTNAQALGGHMNIHRKDRGGKSGTTAPPQQDDAGGSRTYGGDVHLGLSLGRKQEDVDLELRLGSYPYN >Dexi3B01G0034010.1:cds pep primary_assembly:Fonio_CM05836:3B:36791806:36792230:-1 gene:Dexi3B01G0034010 transcript:Dexi3B01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAPRALSLLAPSPPLTLRMSCWRISVGGVSRRGAVAVRAKKKKRGRGGDGEEEERVDTHSFAPKSGEATGLFPEAVLLRKALAI >Dexi3B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:3B:5803355:5805057:1 gene:Dexi3B01G0008210 transcript:Dexi3B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRSAAAEEWNIDATHAAALLRAAAAVSIYWPGLQRRRGGPWEKTNRTADSVMAGDDGARVRHRKRGRAGCPTASFSFVGGASTLSPASLMPVPFLVLRPDLRPWDEASSSIPPPCL >Dexi9A01G0021840.1:cds pep primary_assembly:Fonio_CM05836:9A:16681679:16682181:1 gene:Dexi9A01G0021840 transcript:Dexi9A01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGWLGAGGGNGGALVNGGGSGSGNGYGSSQTSDSGSHASAGGGGGGGGWSQYGGSAFGGGSGTGSSSTLSEQGSSYGYGGSTDAGGSGGGGGGGQASGNEGSSGYGTGGGNGSGSSEAGGNYANANADGNGGGTGNTQNGGSGGGTGSGSGYGDAEP >Dexi1B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:1B:22180004:22183684:-1 gene:Dexi1B01G0015580 transcript:Dexi1B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIAGDKSPTELSFRAMGFVVEQEFRAFSAAGKNRTAPVEEAAELEQVDDQPFRLPEKGSPPPSTGGKARQSVSKEPPHLTGAESGKFKALPRRSTSGKAGPSKASLALGRKSTSGLGKAVEVLDNLSSSMSSLSPGGAFVSAPTTKGNKISILAFEVANTIVKGMSLMQSLSKESLKYLKDTVLMSEGVQRLVSSNMDDLMRIAAADKRQELRVFSREVIRFGNRLESEITPQPELKEIAKADMQQLMALVRYTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVHSLKKKSLWSKILDDVMEKLVDIVHFLHVEIQDTFGPCDGEPNESKGSRQILGSAGLSLHYANIISQIDNIVPITKIRSSMEKTLLWIVPVANNTARAHHGFGWVGEWANMGNDLSRKQPGQPDVLKIETLYHADKEMTDACILDLVVWLHHLISYSRPNKGGSSPSRSPVRSPAQSNRTALRSPVSAAGSSSAMLTQEDREMLLDVYTRRRSPGKSKSQELSAAAHGSRVSALSRNDRLSKSSSCYPLQEHGGRVFPLTTSRSPASSPVVHFDIDRIKALDRADVQKQP >Dexi5B01G0023670.1:cds pep primary_assembly:Fonio_CM05836:5B:25796155:25799448:-1 gene:Dexi5B01G0023670 transcript:Dexi5B01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSVEVHAIGRDVASASPLRLRDPPALDMMRYQRLSPDCLPLGNGGGGGGAVPRKSASSRSSFKDDDASAMATDGSRLASYLAATPHDSKPPLRARAPPPPPLSSSAAGRSPARDHHAHRDHHTSDSSDTTSPSSTGGGGGAVVGDVLLQWGHNKRSRCRRDSAAAATAPSGTQRRQNGGVGIKIQRRSSAPAEKLMPPPPATAGGGSYTRGSNLRSASSFPSRASASASTAAAGDARHHPPHHHRSVEERSGGGQKRSLPDKGHKSAMDAVLHMESKNHLHLHHHHDSPLTANGGAAAAGEKLGAERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQFVFPGMWLSDLTKGRYEVREKKCVKKLPVSCG >Dexi5A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:5A:4213897:4216861:1 gene:Dexi5A01G0005690 transcript:Dexi5A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGVGRRRRAWRWAMRAAASAVLWTAVVQLASIAGLFRPRLLADCGGGGGGVGAAAGLAALAGEDSVAARLSPPALVPKRIYKSNGYLRVTCNGGLNQMRAGKCDMVTIARHLNLTLVVPELDKRSFWADPRYNLHITYMSGEVDFGDVFDVDHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVIHFEKSDTRLANNGLPIQLQMLRCRVNFEALRFTPQIEALGKKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMRLITLYCRYAYPWWKEKEIDSEAKRLQGFCPLTPEEITLVLKALGFTKDTLIYIASGEIFGGERRLAALKAAYPKLVHGFSQDHYIG >Dexi2B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:2B:12854214:12858645:-1 gene:Dexi2B01G0011280 transcript:Dexi2B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCAAAAADLTTVRPRKRARLGWDVAPAAETRYTEKWVKLIHTDLKPENILLVSSDYVKLNDPKGETLFQTHENLEHLAMMERVLGPLPRHMLERADQHAEKYVRRGRLNWPEGATTRESIRTVLKLPRLQNLVMQHVDHSAGDFIDLLKCLLAYEPSARLTAEEALSHGFFTRHGHRRSL >Dexi4B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:4B:18902065:18906539:-1 gene:Dexi4B01G0016750 transcript:Dexi4B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACDDAVEQLAALLDQVEAPLKKTFEVRQVILDIPWIVGFLLAHFFSVYFQNVHQGYPTETLVRFLKAREWHVNKAEKMLVESLNWRIQNEIDNILEKPIIPVDLYRSIRDTQLIGLSGYSNEVNYYVQSHIQINEFRDRFILVSCQLMLHPTVTKKYGRPITTCIKVLDMTGLKLSALNQMKIVTAISAVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLRGCGRDELLKIMDYSSLPHFCRREGSGSSKRSSSDPDDCFSLDHPFHQELYNFIQEQALNQELIKQGSLHVKIPEQDPQDAKIVEVIQAEFHKLGVQNGSANGDGKE >Dexi1A01G0005610.1:cds pep primary_assembly:Fonio_CM05836:1A:4111369:4112103:1 gene:Dexi1A01G0005610 transcript:Dexi1A01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSITEELALAVPAEQLWKAAFATSDESSMRNLLTGLSDADVKIHGDGGPGTRYTLKFHPGLGGASRVLIKGRLAARDNVARVISWDEVAVEGGEVAAAAQLKSQVVKCKVEPTVAGGCVAKIAVEYESVDGTPLSAMNEAKLMEGYVGLMKKAEENMVARSVQFA >Dexi7A01G0003870.1:cds pep primary_assembly:Fonio_CM05836:7A:13985988:13988797:-1 gene:Dexi7A01G0003870 transcript:Dexi7A01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYNGDAAAGGSWGGGGGGGGGGSEINLWDWQVGEHCDPSNASHDLLSHNVSSSFSAEVPEFTLDDDLLGLFGNQTPLRDCRDFFADIPDVSCKETLEPEESREAKRRRTLEYPSESSQSEADTHETGSFVASEVCSLATSITVMLLLLNHVCLQATMNSLFSTDAPYWQEHSSCGTPPVYVEPPDPMPCTQESVSYVDDQAGISGSSEIAPVTESLIMHETRKLSTLKVSKGILGGNSSLVKGKQNITTTIACPFTFIKPSWDESDVATLQDINQRIRAPPKRPPEILGTSPYSGKPVIGKTRIMTDGGKGSITILRTKG >Dexi5A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:5A:6631996:6632631:-1 gene:Dexi5A01G0008840 transcript:Dexi5A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPSCIPLAPTGGGGGGASSSAAATKVIHADGTVTRLARPVRASELMLDHPGQFVCDSGRLAVGCRVPGVGADEVLRPRHAYFLLPMDMLYSVLTDEEMAALSASHPATAAASAWKRITFTTTAHRHDERRSASEVAPAKDGCGNDGGARVYPMLGLLEAAGDLGADNNTNTKPQSRGGGASKSSGGGTGVRRHHRSWQPVLDTIEEVP >Dexi5B01G0033670.1:cds pep primary_assembly:Fonio_CM05836:5B:34013039:34014812:-1 gene:Dexi5B01G0033670 transcript:Dexi5B01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >Dexi6A01G0017360.1:cds pep primary_assembly:Fonio_CM05836:6A:25204415:25207565:-1 gene:Dexi6A01G0017360 transcript:Dexi6A01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAASAGQAPAPSSASAASSSSGLTFKLHPLVIVNVSDHYTRVKAQAACSGDGSSSSGAAPGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPISGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQETDMQIHKALMDVNESPVYLLLNPAINLSQKDLPVTIYESGDIPLDNSLLRQVSSLHNE >Dexi3A01G0031670.1:cds pep primary_assembly:Fonio_CM05836:3A:36044611:36048306:1 gene:Dexi3A01G0031670 transcript:Dexi3A01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHFPGDKDAAEVEAVCVGEVDRRDVGKMEHGCEHYRRRCKIVAPCCKQVFPCRHCHNEATVSQVCVSCGVNMGEYFCDVCKFYDDDTEKGQYHCHDCGICRYTCPICSKTALDMTYHWEMLDREVEATTMPPVYRYKIWVLCNDCNKVSEVNFHVVGHKCSHCNSYNTRSTSRPAASSGSSSPDSSENNL >Dexi2A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:2A:7359748:7360566:1 gene:Dexi2A01G0007490 transcript:Dexi2A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSDELTQAAAELWCHNFGYLKSMALRCAIELGIPTAIHRLSGTASLSELHAALPIAAGKWPFLSHIMTLLAASGIFTEETKQQDGGAAAAEPRYHLTTASRLLVDDDDGGGTSGASRTCISQLLTLSSSPFYFTASQNLAEWLKEEAARSPFAMAHGAGFYDMVHRDAAFGACFDEAMASSTRLVSEIVVRDYGEVFAGVSSVVDVGGHNGTMARAIAKAFPHVRCSVLELPHMVDAMMAADGDSTVEFVAGDMREFIPPADAVLFKV >Dexi9A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:9A:3487983:3488660:1 gene:Dexi9A01G0006160 transcript:Dexi9A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKPQGLQLLDFWVSPFGQRCRIALAEKNLPYEYLEQDLRNKSELLLKSNPIHKKIPVLLHDGRPICESLIILQYIDEAFPSGTRLLPAGDPYARAQARFWADYIDKKVYECGTRLWKLKGEPQQQARAEMVEILRTLEGALGDGKFFGGEAFGFVDVALVPFTAWFLTYERYGEFSVEKECPKLAAWAKRCGERESVAKNLSPPEKVYEFVGDLKKRLGIE >Dexi3A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:3A:4370635:4375019:1 gene:Dexi3A01G0006590 transcript:Dexi3A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFACSQVGAAAAGGASPFLAHRSRGGAPSSSLFVGRRLAATPAAVRMRGGRSARGGTALRVTCEKVVGIDLGTTNSAVAAMEGGKPTVVTNAEGARTTPSVVAYTKTGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDEAKQVSYGVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATAEGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALKDAKLSVSDLDEVILVGGSTRIPAVQELVRKLTDKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAAVKEKVDVKLQELKDAISGGSTQTMKDAMAALNQEVMQIGQAMYNQPGAGAAGPTPDAEAGPTPGAGSAKGPNDGDVIDADFTDSN >Dexi8B01G0004680.1:cds pep primary_assembly:Fonio_CM05836:8B:4300554:4302878:1 gene:Dexi8B01G0004680 transcript:Dexi8B01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWPWGRRGPSGFGSASTAEEVTAGVDASHLTAIVTGATNGIGKETARVLALRGAEVIIPARTMESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRNFAQSFNSSYERLNILMYRPFIAYSHSKLANILHANELSRRFQEEGCNLTANSLHPGVIFTNIIRYVAGNSALLSVLSPVANLVLKNVPQGAATNCYLALHPDLKDVSAKYFADCNEATPTKVARDAELAKKLWLFSEELVGTNVGINEASGPE >Dexi9A01G0025150.1:cds pep primary_assembly:Fonio_CM05836:9A:23000040:23001092:-1 gene:Dexi9A01G0025150 transcript:Dexi9A01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTSAASVQVPIEVDLERAAHKVETDFSKVETKIHRFPASLRDVSTDGRYIVPRAVSIGPYHHGAPHLWAMEDVKRAAAYHFCRGSGRSPEVVYRVVLSVSGRARRSYYSTDGTGDAEFAALMFHDGCFLLQFMLADVQPRMVDPSLLRCFMSNLPSILRDIMLLENQIPWLVLEALMAVTSVPVPVGEFISRAAASFAILCGPRKNQGPIVLDESYRPPHLLGLLRDYLSGSMAPPDGAVGLTASVTSFPQSSSAIELAEIGIKLTAGRTTQLRDMGVRKGHLLGGELFLAPLVLDGLNACWLVNMVALEACWPETGIVCSYVFLLAMLVNRAEDVHELRVVSTNLD >Dexi4B01G0007550.1:cds pep primary_assembly:Fonio_CM05836:4B:5431941:5432597:-1 gene:Dexi4B01G0007550 transcript:Dexi4B01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARVFNWGNLHDADGLEHQTEERGRRHLGEEQKPDTHRPLDRPTCDDDGAAAAAFAAGTDVPDNYQQYAQEVGCCSHSRQVTGSSETESAMSCARMIHATWSLQDEQKEVEQPNRRTQHELQELRQAPVDSGGTRIGHGSMEVVVNERDGGEEAPHRSQWEKKGPDRVAKRRGLMSGSRGGGGGGARSNPLRAEELERADRGAEGGNGGGGNRVPH >Dexi1B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:1B:5649122:5650630:-1 gene:Dexi1B01G0006820 transcript:Dexi1B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAAMPAAVASTAAPPHLVMICFPGQGHVNPMLRLAKRIASKGLLVTFSSIATVGAKLAASAGVLAGGDGVPVGKGRVRFEFLDDGELPSPDLDDLMRHLETSGPPAFEALLRRQEEEGRPVACVVVNPFMPWAVAVAGDLDIPAAVLWVQSCAVFSLYYHHVHGLVEFPPETETTARFKLPGLPEMTVADVPSFLLPSNPFKLLADAIVTQFRTIDKASWVLVNSFAELEAPVLAALPGVTPQPPELIPIGPLIELAGDEHDDEVRGDMLKAADECVEWLDGQPPRSVVYVSVGSVVVLTADEVAEMAHGLASTSRPFLWVVRPETQPHLPEGFVASVAGRGMVVTWSPQDRVLGHPSTACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDARFLVEELRMGVRLKKGSSSSSTLRREEVRDAVEAVVAGPEAGDMVARAQRWSKAARDAVANCGSSDVNVQAFVDEVTRRACGGKGQKPSSVAVAEPSIATCVET >Dexi8B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:8B:18522804:18523800:1 gene:Dexi8B01G0010070 transcript:Dexi8B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSNAPNLSAFFFKGYPIHISLGGALRLRKAVDTSKAFGKFKHLKYLEIVISDPTIRRDSVIEDSSGCSRPQCLQEECHDNLKNVMITGFCSAKSMIDLTICIIEKAKALVCLTLDTTRGHDRRSVKIDKCLRLNKEALVEAKKARIAIQRYVEGRVPPTVNLKVIEPCSKCIR >Dexi9B01G0033420.1:cds pep primary_assembly:Fonio_CM05836:9B:35571863:35572116:-1 gene:Dexi9B01G0033420 transcript:Dexi9B01G0033420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPAATVTVHVTGFKRFHGVAENPTEKIVRNLQSFMEKRGLPKGLVLGSCTVLEAAGQGGLVDRLETGRWITK >Dexi6A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:6A:21691743:21693466:1 gene:Dexi6A01G0014310 transcript:Dexi6A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSVSGTLTGTTDDKSSKAAASLSHMAQWESARLEAEERLARESKMRTAAPTPIALHVQQTNVPASTASQFLDVLHAWQGAKIDLESPTSTLTFTGSNSGMLPTPTTNGLEVSESNSGMWQRSDELEGEESNWQFFSKHQVLGLEGKDREEDFIGCEQAWFSGIAGVGAGFTGMLLDGSTSEHDASECWGESSNGQTEHGNQASDEEDKNYWNGILGMVNSKLPPQSPPFV >Dexi3B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:3B:9923874:9926245:-1 gene:Dexi3B01G0013830 transcript:Dexi3B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPTLASQELQEKKMMHNLRQYTVPLHQYVAMMDLQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQSLLDDEFYIGLRQKRATGEEYHELLEEFMTAVKKNYGEKVLTQFEDFANHNAFDLLAKYSKTHLVFNDDIQAGTGIAELIALEMSKHTETPIDDCRKKIWLVDSKGLIVESRKESLVAFKKPWAHEHEPLKTLLEAVESIKPTVLIGTSGVGQTFTKEVVEAMASFNDKPVIFALSNPTSHSECTAAEAYTWSDGRAVFASGSPFAAVEHGGKTHVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVTDEHFAKGLIFPPFTNIRTISARIAAKVAAKAYELGLASRLPRPDDLVKYAQSCMYTPTYRSYR >Dexi6B01G0017970.1:cds pep primary_assembly:Fonio_CM05836:6B:25038741:25039645:-1 gene:Dexi6B01G0017970 transcript:Dexi6B01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRRANFCVHPCKQTWWKWPILTFPRSLVYLHAKSLAGGTTKLAVATSPVPGSAAPPHDFHLREKTFSRRSRKPPNPCPTLTQRHRCPCETTIDLGWALDLCFGV >Dexi5A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:5A:9034433:9037500:1 gene:Dexi5A01G0012080 transcript:Dexi5A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNVVGAAVAADPAASVGGGAGVGATASPWRKTTPPPSAPEAAVMGAESWPALEEARQKVTPGSPAKAGAGNAGRGDSAKETQGSPPPPPSSQVQPDTGSDMVNWSGPMHPMYYYMPAVPMEPMRGSPRYIQNQPVPSTVLSPEDAELRSKVLTQVEYYFSDTNLERDDFLKSLMDENGWVPVSKVADFNRDDKIRRRSDWSKWVSFSGTSSIASPSSGSMDSSMGERNTGGFSNKDGDSGDQKKHCQSQDIGCHTDYTSTKAKVTDEQVQDAHISLLNRDLSAISIDEKPKSLGAQPLKSNKHGSSFRSGDLKLQKVKAKINTPDSQSDFSNFGGDQSTFMLDEELELEHADHSRDDRYSHKR >DexiUA01G0007600.1:cds pep primary_assembly:Fonio_CM05836:UA:14194851:14195813:-1 gene:DexiUA01G0007600 transcript:DexiUA01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYGPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRLVK >Dexi6A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:6A:6157617:6157913:1 gene:Dexi6A01G0006400 transcript:Dexi6A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSCSMSASPRRLCRLLPLHLPFSLLCSCPRCAGHYRLPAVAGLQLLEEKPSEAGLQVPRRMPGRLSMAATRPRSWAGEGRDAGEGATGEAAGLL >Dexi3A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:3A:13459205:13462735:-1 gene:Dexi3A01G0017660 transcript:Dexi3A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGRNHYHTRGASRSGSAGNAAERDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAAIGQRRAAAAAAAAAAQHHPTGHTHHRVLRHSEEFSTMKSPAQQQQNNGIHTVASPDRERPGRGNINNNGVPQTFPDDKKGSSSGSEGSIWPKFAIALSNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNRPRGLKAMHDMDSDSE >Dexi3A01G0003000.1:cds pep primary_assembly:Fonio_CM05836:3A:1971024:1973917:-1 gene:Dexi3A01G0003000 transcript:Dexi3A01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGESKSYQLGHRLSLKWSTGAGPRIGCVKDYPMELRMQALEMVDLSPRASTPSASRRLPSCLSPTKATSPTPALTPMQASLPQPRILQLLDSYYAARIMDVKEKAETTSGPPPPRLDCMKCFDALWFCYSPFHQMQSYYRYGEFDNCFGKWGDLVDCLTVKTKRAAEVEEILIAQEKAKPHIWTFRTVDEAPEHWWRMYRHVAIMSTPVPHAAQQPPKSVKS >Dexi3B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:3B:8939240:8946791:-1 gene:Dexi3B01G0012750 transcript:Dexi3B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGIDGAGGADAAGGGAAGGGGEAGEGGHAPEAWHGGAQLYVSLKMENARIIGDLVPHVYGSEPIIGSWDPARALAMERELASLWTLSFVVPPDHETVDFKFLLKPKEDTTPCIIEEGPPRLLTGGMLEGDVRVALFKLNDDEVLEFRVFNKADIVSPLELAASWRVYKENFQPSRVRGIPDISINVAPTHATEEGSAATLELDLEHYVVPTPTAPPNEYAANLAATPASLIQTGALWTNDVLLSEGIQSPSTVSADFEGHSNHKKNIEACATDSARKIQTSGLIESKSVGTFTPLQKPDGQKGLFVDRGVGSPKLPKSSSACSLASGLSFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIALVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANQAADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTTKRRRMLMKMAEGNCKIIFLETICNDPLIIETNIRLKIQQSPDYADQPDYEAGLKDFMERLANYEKVYEPVGEGSYIKMIDMVKGQGGQLQVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEKGELYAKKLASFIEKRLKSEKTATIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMG >Dexi6A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:6A:4789199:4790842:1 gene:Dexi6A01G0005250 transcript:Dexi6A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAHHHLPVVPHRLAPMRHSSSGCPPSLHSASRCSVTGRPPAQLQAAPARRGDRASGLTARVAFNPSGNFDLSLSMEQDEETPQVQPPPPPTEGRLEIVINKDTIRALDLSPVREALGDLDSLTAADSKNLLDRTVGFTINYEREDEYDTRELSEFPDIRLWFVRLDAAYPWFPVVLDWRAGELARYAAMLVPHQMSMRLGVVFNPEALELFVMKKVFAVEAWLKQHNHPKPRLKTADMARMLGYGIGDELFDLIDKYPVPPS >Dexi8A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:8A:9443665:9444407:1 gene:Dexi8A01G0008100 transcript:Dexi8A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLEGAGHQQEFHGSPPTALPSAQQEELDDFWRKTQEDIESTMDFNNHILPMSYVAEIIRDYQGSFMMSSDTPQVLTKLVEIFTQELTVRASMCAKSHERTAILESSDIYEAINSVESYVFLNDVLQRPRANHDQAPMSSNVLQLQQESHFLAATSTRNGPTDPFSKLGEQAFQFHEDNLVPTIRVQPDHLELKNDEDLNMPGTSSGTIEEAK >Dexi2A01G0022630.1:cds pep primary_assembly:Fonio_CM05836:2A:34476671:34477836:1 gene:Dexi2A01G0022630 transcript:Dexi2A01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMGGDSSEKVTWFSSAHRDASGAGSGCPPHVRTSRKDVRGLTRLPVVAAVFLRVLRQAACCPDRREREVSPLTGADRWWRKRGEREAVPGEREVTEGAAASSSLLGGRAADCRGSRAVGDGRVRAAGGGGGKSAGGSRGRAAGGGRRVAGGALDGDAMAPFPDPPQAPSPIPFRFVFHGRCGAAGTRESARLSRLTYAG >Dexi5A01G0032380.1:cds pep primary_assembly:Fonio_CM05836:5A:34920928:34922931:1 gene:Dexi5A01G0032380 transcript:Dexi5A01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSMIEYVHSCELLKACIEDILSTIKPGEDDQKKRLCAIQELEDIYSSGTLRGAVVKPFGSFVSNLYAKSGVAKYMDFIPTARVPIIHYMSNRFGISCDISINNYPGRIKARIFYWINTIDERFGDMVLLVKEWAKTQNINDPKHGTLNSYSLCLLIIFYFQVLFLTQYRCKPAILPPLKEIYDGNVAKGKTDLACS >Dexi1A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:1A:14496105:14496479:-1 gene:Dexi1A01G0012840 transcript:Dexi1A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGPRGSAEVESAAGGDGAAMARAAPPARGCEERRGPVVSWPAVFVWTAAAASFVDVVDDAVVGIRRKEQQGGGCEGVSVRLCAADRQKERGREVWREIVGLQRDWKGDVRRKIANAVTDK >Dexi7B01G0022250.1:cds pep primary_assembly:Fonio_CM05836:7B:27229937:27230265:-1 gene:Dexi7B01G0022250 transcript:Dexi7B01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAASIASHVPSWLLSAALSLASPGEIVRRASSRLEDDELKENKERFVRAYERLKTELLNDRAFNFDFTEETRQWVAKH >Dexi6A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:6A:22682260:22682664:1 gene:Dexi6A01G0015280 transcript:Dexi6A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLGKLRRWHSSSSSSRISRAVSSASATDDDRDGASSFHGADEVPKGLRPVYVGKSRRRYLVDEELVGHPLFQTLVHRTGGGGADEPPAGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >Dexi9A01G0031850.1:cds pep primary_assembly:Fonio_CM05836:9A:36762940:36763620:1 gene:Dexi9A01G0031850 transcript:Dexi9A01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCYAHVCVTRQRQQRCQSDRLRCSKALRLLSSGPRGQLVNKGKHVKGHALPQHSIYATTTHTNTVPSLQVGPIRSYGQPCQSIEQAGTRVHWAVPRHATIDASQPGRKG >DexiUA01G0014010.1:cds pep primary_assembly:Fonio_CM05836:UA:29751508:29753145:1 gene:DexiUA01G0014010 transcript:DexiUA01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADRLLSSDISDYVCFRAACSGWRACCVDPRAQAVGDRRFHPRRWVMLSHAYDISRNRRCFVNVSIGRCVYLRIPDLRSYNLLGTTVEGLLLLYRRGGAEFVQLLNPLTGQLTDLPGVDTIRAAWGMPNKARSKRWSFTLLSAGIADDSTVALLCNFNVFNVLAVAKPGDEQWTRLSIGYTFNNFMPMVLPYAGRLYCVAYNRILVVEAAAEQQRPGLKAVPLVHYELKAVPLVHYELDTGRSEMMYPAYDDEGNLILVQRSKRGFGYSSEMYTTYQAKLDKGSVVRMRGLGGKALFLFGDRSQSVPPRVSSPPINADTVYVCNDSGNKRPEL >Dexi7A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:7A:22002673:22006006:1 gene:Dexi7A01G0011560 transcript:Dexi7A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPARETPRQRLWNSNLDLVVPRFHTPSVYFYRRGGGGEVEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEAEAPDAAVDDYGDFAPTMELKRLIPAVDYTDDISAFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGAQISVMPFIDRTLLRARDPPTPSFQHIEYQPAPAMLSSTPQSLTSKSKPPATAVDIFKLTRSDLGRLRSQLPQVKVHHGSAHMQC >Dexi4A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:4A:5684800:5696167:-1 gene:Dexi4A01G0007550 transcript:Dexi4A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTRDFVAHGHCTHRRRGVVPRWKRSRGDNFIDDSAIEDEDEEDEDDDGGGRPRKKGGGGVRGFFDEEAQVDEDEEEEDDGEGDDDFINDAGADIPDEDVVRGSRRHSMPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDSWVRMKLGIYKGDLAKVVDVDNVRQRVDVKLIPRIDLQALASKLEGEAVKKKAFVPPPRFFNIDEAREMHIRVERRRDSQTGEYFEWVDNLKFKDGFLYKSVSIKSIHTANIQPTFDELEKFRKPGDDMNGDMASLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVISGVQEGATGMVVKVEGHVLILLSDTTKEHIRVFADHVVESSEITTGITKIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNIISSKDVVRVVEGACKVRQGFRYVTILLVAVEEMFVLNHFVSLATMVENFCLIIHCVNPGHGHIRCTAWCFEVSSKHFAISRKAAPKRTSDELYGLLILPVANFLDGGRFGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPTVATPFRDNWEDGNPATWNSSPAYQPGTPPARPYEAPTPGSGWANTPGVSFNDAPTPRENYANAPSPYVPSTPVGQPMTPNSAAYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEATWLLPDVLVNVLRGGDDGPGVVREVLGDGSCRVALGSSGNGDVMTVLPNEVEVIRPKKSDRIKILNGNFRGYTGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT >Dexi3A01G0027470.1:cds pep primary_assembly:Fonio_CM05836:3A:24736899:24738177:-1 gene:Dexi3A01G0027470 transcript:Dexi3A01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPYAQVVEDMRRGREIAAQLQGLLRDSPEAGRLVDQILHAISRTIETAKAVAAAEEGSEGHSEVTTCAPGAGKRKAAVGGDKRAACRRRAHHSSTVTMTIKDVEDGHAWRKYGQKEIQNSKYPKAYFRCTHKYDQQCAAQRQVQRCDEDPDAFRVTYIGVHTCRDPAAVAPVVLHSADELHAGSRLISFAPNASATTASTTTTTGNTSSHHGDQKDAALLASLRPLKLESGAGGEQEEVLSSLTPAGSSAAAEAMRNAAATPGLDQGDVTSGMHNCYGGDDLADMATFNYDDDGTFDLDGLDDVLRFDHGQAYY >Dexi9A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:9A:9296800:9297004:-1 gene:Dexi9A01G0014170 transcript:Dexi9A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGEPRRQQGFGGGDGDGRRRAEAGDRPVGRACRSMGGGRKPRAAGNACATLAGTTPELSVM >Dexi6A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:6A:7913440:7929110:1 gene:Dexi6A01G0007850 transcript:Dexi6A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGASPSPAAAVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSAPTPPPPAPAPPPSLPAPHPPALPTRRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLSRFRCPQCGVDLAVDMSKLRHFLASAAGPGFIPPPMPPPPPVPMPHMPFLPMMPPHLPVPMAPMFPPAAEPPEEINEVAVDVERDEDEAGTFGETFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTQKLIIMEELDETNALSSLQIETLVYACQRHHHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQHGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSEAIGIADGVVFVTYSSLIASSEKGLSCLQQLVQWCGSDFDGLLVFDECHKAKNLIPEAGGQPTRTGKAVLEIQVRVGSCLCFLILYAHEMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGSDFDVLEAPLEERMMRFFRHMCMSAKVPAVVRLAEEALAEEKCVVIGLQSTGEARTEEAITKYGIELEDFVSGPRELLLKLVEDHYPLPPKPDCFQQDEENVMEFQRKRHCGSDGSLKGRVSKHGKLENVSDNGSDDYPPRKFLDYIADSVCYVWYDAAMERKSKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASNGKSVIYQSRNTKEVALEMINMHEKQQFMNGEKNIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQVSSYQDAFLVVPFGCSENQATLEEFITKAKAALVSVGIIRDPVMGNGKNGGKLTGRIIDSDMHDVARFLNRILGLLFDLFTSILDLVIQNARTEGKLDSGIVDIKAKSVEMKESPKTVHVDTLSGATTVLYTFTIDRGVSFELANAICEERLKDEAGSLSDGFYESRKEWMGRRHFLLALEGSMEGMYRVIRPAVGEASREMPLVELKSKYRKVSSVDKIGKGWQEEYDASSKQVILWLDMNIDCSTCIKCPKTY >Dexi9B01G0037340.1:cds pep primary_assembly:Fonio_CM05836:9B:38800771:38804978:-1 gene:Dexi9B01G0037340 transcript:Dexi9B01G0037340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPRPAEQGRVRFRSRERRAGAARRGANAKRAELAGEGPPGSRSSELVARTQADKLARSRASSAAPPRRMPPLLFLLLLLAAACCCGIAGDGGGGSCELSVARGGELYSFALAAPTPAHRHGILSEDGFYKVTVNDSILWFQLCDEMLFNFDPPMCLNCEDCGGPLRCGTQCSALVSNTIRGCTTIGRLSKSQLSLIDESNPQKGLIVKMFSSKCSISVSIFCDPTVAQVPDKFSVSGRCDYATAFKHPSGCARSVSASGSGWGWLGTLFITIMSLLGGYILLGAVYRYYFLGIHSAEVKLCLFPQQEVA >Dexi2B01G0027250.1:cds pep primary_assembly:Fonio_CM05836:2B:36232562:36238622:1 gene:Dexi2B01G0027250 transcript:Dexi2B01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGHEVGAFVRATSIPRAGEIPKTATAANGNPHKNLTSLLLLASQIGRSLFPHTPAAAADLRVFGSHDLQQAKVRRLLQELSNYLAVNTTTSVIVDRSSDGEFLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHPGPIPIVSKHGDDVEEDNVDGSVPLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIIRERYDPEMDGRILLGKVDCTEEADLCRRHHIQGYPSIRVFRKGSDIKENQGHHDHESYYGERDTESLVTAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPMAGGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSQRMFSEFVRLTPYLRGYHDRLSGQSYVVKHGEVNANVTIEHYLQVVKTELVTLRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTEVPRSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRMVKKVELGKNI >Dexi6B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:6B:23481005:23485088:1 gene:Dexi6B01G0016220 transcript:Dexi6B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKAKHSSDEALGRWRSVVGVVKNPTRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIHGLPPQGEYKVPSDVAAAGYGVCAEEMSSVVESHDVKRLKTHGGVEGILSKLCTSASDGLPSSPDKLSTREELFGVNRFAEAEQRSFWIFVWEALQDMTLMILAACALVSLLVGVATEGWPHGAHDGLGIVASILLVIFVTATSDYRQSLQFKDLDKEKKKITVQVTRSGFRQKLSIYDLLAGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTQSLFWRKLTDGSYFSWTGDDAMELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKDVSSSSPETTAKTLPSELPSSVVAMLLQSIFNNTGGDVVMNQDGKREILGTPTETAILEFGLSLGGDFAAVRKASTLIKVEPFNSAKKRMGVVIQLPGGALRAHCKGASEIILASCTKYMDEHGNVVPLDGATVDHLNATINSFANEALRTLCLAYIDVDEGFSANDQIPMDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGVAIEGPDFREKGLEELHDLIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLIGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNIMGQAFYQFLVIWHLQAEGKWLFGIEGSNSDLVLNTIIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFAAVLGSTVVFQFIIIQFLGSFANTTPLSFTQWIACIFIGFVGMPIAAAVKMVPVDSL >Dexi5A01G0036950.1:cds pep primary_assembly:Fonio_CM05836:5A:38328572:38335286:-1 gene:Dexi5A01G0036950 transcript:Dexi5A01G0036950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHYSSASSAAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFRDIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFRTQPAYHGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLATELQQFPILRRSMDEVIGKFLRDGLNPAQSMIEHIIEMEVPTLLLVVLNIIFFLDGVDADKVQASDKTQKSRSGSTSFWNSIFTSNEDRTHASAKDNSANRSYAAPTPNLENSFSTIQLREVNHTKRALHNYLITKLYRDDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGYSLDSDVIGLPRAHGLSSSPYSTPKQSRSRRSNHSGEQPPFNPNMSGNGF >Dexi7B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:7B:2164813:2166285:1 gene:Dexi7B01G0001210 transcript:Dexi7B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPINKETMDASAAHLLTLGIAFITLVASIFKLATRRPCDRRKTPIKVSDASIARIALIDHADAFCNRPMPAFPAGRPVTHSIASMPYGPLWRALRCNLTSDMLNRSRLGILTSLEKEADAALVTNLSSLTCSDDVAVRNVLHSGVFALVSRLCFGEDGMDACDLSTLMKMQQEFFSSYVKVKQASERSWLTKLLHWRQRRLQTGMFDRIDEVFIPAIVAIRRRRLCQQDDNCNGGFRSYLDSLIELNVPDQEDGEHARRSRLLTDKEIAFLAWEFLGAGISGTVTSLEWTLAHLAVQPEIQNKLHDELAGDHASDVLRLPYLHAVVLESLRMHPPLPFASRQVVTAEGITAALGESSSVMIPPGGAAVSFVLGDIGRDGKVWTNPDEFRPERFMEGGEGEGVSLVPGPKEIKMMPFGVGRRHCLGVGMGMAHIKCFLAELVREFRWLLASPDDGGGNGGVDFTELDGFIKWMKTPLRVRITPRAR >Dexi2A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:2A:5647538:5647976:-1 gene:Dexi2A01G0005930 transcript:Dexi2A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDEQGHGSHTASTAAGQAVGNPKVCLDSACHEMDILAACDDAIADGVDVISMSIATRFPSLYFKSAEAIGSFHAMTRGVVTSATTGNSGLSGSHVCNVAPWMLSVAASTIDRRFVDKIVLGNGRTVVVRFS >Dexi6A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:6A:21048968:21049348:1 gene:Dexi6A01G0013760 transcript:Dexi6A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPMKYLDLDGSGGYLRWKESMLLRAHTLGIARVLFEDPPAGDVGDDAAAQAAAKKWARDDALCRGLAATSSPRSPTACSRTTHASPRRVTCGAPLRAPTTWKPRSTAPGRRTIKE >Dexi1A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:1A:27601866:27606107:1 gene:Dexi1A01G0020790 transcript:Dexi1A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARKRCARGCGVCRAPPRRRSMGSDAPVEEPEQEEEEFFESLDRILSSCSSTSASDDDADHRSEMAETVFTPSLEGMKHVKAENGVILTKPFLEVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSTDPSKYEQLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHPDWTMSLACTDSYAKTLKKFHGWLASSSFTVAMKLAPNRDKFMEVISGTGDINADIEKFCATFSPFLKENHDFLASVGLDDMKAS >Dexi5A01G0035200.1:cds pep primary_assembly:Fonio_CM05836:5A:37016271:37017602:-1 gene:Dexi5A01G0035200 transcript:Dexi5A01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADCCEHVGTEASLEQWLLNPPPLLAGENQFRVTFNWEVEKHGVPGAIIVKNNHASEFFLKTITLDNVPGHGTIVFVANSWIYPQFNVNK >Dexi3A01G0029190.1:cds pep primary_assembly:Fonio_CM05836:3A:30601889:30607144:-1 gene:Dexi3A01G0029190 transcript:Dexi3A01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIKIGTWGGNGGEQFDITQPPVRLESITIRAGRIIDSFGFTYVDQEGKKHTVGPYGGYGGKPTMIEFAPTEYVKKFAGSTGNGVVATLVIETNLKTYEIYDKDETITYIPGTNIPIGTSQTTRTGPPFNIPLSEGNVPFSVPVPDNASIVGFYGRAGVVLMLSDSSPTLQTVPIKIGTWGGDSGKTFNVTEPPKRLESVTIRAGDIVDSFGYSYVDQAGKKHTVGPCGGTGGRLATIQFAQTEYVKKFSGTIGGQWVVASLEIETNLQTYGPYGKETNNHFSIPIPENAGVVGFFGRAEGNLNAIGVYISNSKFTDATQENSTNHATTSPQPLIITKEAGPIKIGTWGGDGGEEFDVREAPKRLESVTIRAGYVVDAIGFSYIDQLGEKHTVGPCGGNGGNDTTIQLAPSEYVKNFYGTIGDFEGNWVVASLTIEANIETYGTDQSTHFSIPLPKDASIVGFFGRAGALLDALGVYVSGSIPN >Dexi1B01G0001070.1:cds pep primary_assembly:Fonio_CM05836:1B:879551:886260:1 gene:Dexi1B01G0001070 transcript:Dexi1B01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAGAAPAAGVFAASGAAASRPFFLRRRFGASRSAAGRVRLLRAPPPRATGDGGDLPPLDKWDMMELDFGRFLGEDPKLTLAKILVKKSDPDASSLDVEKLIATKKDKLDDILREFMDANKKDQAFKTSENVAKDIANPEQDHASANSATVSAAEDNGELEPEANGLGAKLVTENIHESSGVDDNSNAGLQPSVQTVIQEPNTAAGSVDNESATSNNFSMQAFLQGKPKRENLSAEILPSPVDEKMNATDNKNYVDDGGNVLPSKLEDITESDWTRLEHYASTGEKVEVELINCSPKGFLVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYRQSLGLEDGFEVNDRNIEPEPSSVLEVAGEDQGSLPSKPKIEDLLRAYNQEKSKFLSSFIGQRLRVSVVLADRNSKRIFFSMKPRESEELIQKKRSLMAKLNVGDIVQCTIKRFVYFGIFVEVEGVPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEDLSLGGALEPVQAEIEWPEVDALMEEMRKIENVMVETTLDQERLKEAILTCTNRRHEERENLLRNHTAPTILTQYEFVPTTFPVPHIVACSAPPFVVMAAAAAFPNWAMLEPFVLRRDDSSSFPDKAKAPIRASATTSSGIPFRIAFSFADPPLVSRLYAHLPDFPDPEKYTPLAILGTHRHLVLLRVASQSSTWNTVQDFFVYSADDPSELRLLPPCTEPFVEFFRRHYSSSSVRRRRSEGEQDFAVVELKLFKPRRCTEVHADICMFRSSSSTLDAMPPAPGLTQLVDGEWDSMHVPIVHSGQDDAWEQLSSWQTDAVVPVGRWLCWIDYHGGILFLDVFGPGPTTPTVSFLGLPLVKFKFPSDHDSSKACSRLYRVVTPIHGGRALKFVHVDRNDHVGYGPLRFGGEFTITCHTLQLGSVDVLNKNTLGSLVWRKDSTVTCHELWAANPPKRLPRVILMLPLVNIDRPHVVQFLFSDFKYALKKMWVVAIDMSTNKVESFSKYVNGRDDIGTVDADLTEERSTDPWPFLPCEFSKYLSMSR >Dexi8A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:8A:2278663:2279878:-1 gene:Dexi8A01G0003160 transcript:Dexi8A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPHCKSQAPVAAAAAAAIAVAPVPTRFSSSLPALVPPPTPLPEEDPFAALLASDPPPPEPLRLVLATGDVRSALRGLPGLARQLFRWAEATPRGFPRAASAFADVLVPLAQANHLRAAYPVSLRALHLGLLLPLVSLLSSPGSLPNQSLLSLLLRLSTKFPAQCGVHDPTPTTCSTLCLAAFREMVCHGVAPDVQDCNRVLRVLRDAARWDDVHAVYAEMLQLGIKPSIVTYNTLLDSFLKEGRTDKAAMLLKQMETQGGHLEKASRLVDSMRLSKKASSFTYNPLITALLERGFVQKAEALQLEMENEGIMPTVVTYNAIIHGLLKSGQVEAAQA >Dexi1B01G0019680.1:cds pep primary_assembly:Fonio_CM05836:1B:25912583:25914229:-1 gene:Dexi1B01G0019680 transcript:Dexi1B01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKEAARRRKPEEHYLGKKIKDRKDKMAEPSSWVTNPPVQTDMRASPFMPRNTRHNNGFLSGDSQIDREMLNILEENARLLNQIEVNILTSQAQNNIGLFHHTRRNINGLLQSMSQIPGIMSKMPPLPVSVDERLASCILPRSPLVNFFCFDH >Dexi6A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:6A:25484466:25489053:1 gene:Dexi6A01G0017600 transcript:Dexi6A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CACRCRRRRPPYSVLTGVSPHGGPILSGALTCGPGVLNAETVRCCLCFIYAPCQKNPQWQTFRFRIAVLCFSLFFFPIPAGRRGKVLEASESYPCGPREGSGSFREMRRCRGDGGGGGAAASLAALLCCVCVMALAGAAAAQGPRLPSAYKTLTEYLNFISDGGFSVDGVLSDHPITASEAIGCFTNLNSSKTDHGAPLVISHNGASGDYPDCTDLAYQNAINDGADVIDCPVQVTKIQATIGIFTFNLTWNELNSVTLTPKMSSPVSQYYLVRNPRYANQGKFLKLSDFLAMGMDKDLSGVMIIIEVMLSPKNAAFMAESLGIDIVDSVNSALTAAGYDNQTTKEVLIQSKDSAVLVKWKQQKTKFKLVYTLPADIGDASTSSIEDIKKFTDAVVVDRTSVFTISRDFIIRNNSLVKDLQSAGLAMYAQVFRNEFVAQPLDFFGDETVEINYYAQSFNLSGIITDFPKTVRRYKRNSCTGLGKDMPNYMLPVEPGSLDQFLQTSNAQPPALPPMPTLNASSVEEPPLPPVASRNGTGGGTNAPGAPPSDAHMAFVSTGMLFVTAFAALLI >Dexi1B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:1B:6609321:6611303:1 gene:Dexi1B01G0007960 transcript:Dexi1B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAPVPPGDREAEGLPVRSTRSPASVAADFAMGGAAAVVAKTGAAPVERVKLLLQNQGEMLRRGTLRRPYEGIAQTFARVLREEGAAALWRGNQANVIRYFPTQAFNFAFKGYFKSFFGYDREKDGKWKWLAGNVAAGSAAGATTSLLLYHLDYARTMLATDAIESRGNKRQFRGLLDVYRKTLATDGISGLYRGFSVSIVGITLYRGLYFGFYDTMKPLVLVGPLEGNFFASFALGWAITTFSGACAYPFDTVRRRMMLTSGQPFKYKNGLHAVKQIVLTEGFFTLFRGVGANILSGMAGAGVLSGYDQLQQFASRHGHNFERKMKGALK >Dexi9B01G0047730.1:cds pep primary_assembly:Fonio_CM05836:9B:46747248:46750204:-1 gene:Dexi9B01G0047730 transcript:Dexi9B01G0047730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCPNGTLGSDYYNRPASRFADGYLEEDRYSDLKKFEKPWPEVNSFKPTAAGILKRGLDPTSITVLERKTSDLREHYIIGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSEQKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKEDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYIAPEVIAERLSEEEIAGLRQMFKAVDVKNRGVITLGELREGLRRYGTELEDREISDLVEAADKDNDVTIKYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDTFLEEIILEVDQNNVS >Dexi3B01G0026060.1:cds pep primary_assembly:Fonio_CM05836:3B:20983957:20985212:-1 gene:Dexi3B01G0026060 transcript:Dexi3B01G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGAAKAKQGGEEQKEEKKDEKKVHKSSDADALIAFMKKHYDDRVKEVRDFDEFYHAIYELIEMFCESRGQLQYRIPSKEELKKQYEKAHPWGSGNLTPEQFEKIATGILRMDSFTFGKAAVDILVVLFGVPVCALLTKRIIPGLKAISDDIVIPAATSGAVVYLAKSNKL >Dexi7A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:7A:29992173:29992796:1 gene:Dexi7A01G0021370 transcript:Dexi7A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGAASASASFEQHGKRRPPAPPGPSSQQQQRKLRLKLSVQQDDDVVPPVTVVLDGRCICHRVHLNRHTGYRSLAAALRRMFVDDTDAAADDGEDGLDLANAIPGHVVAYEDMEDDLLLAGDLKWNDFVRVAKRIRIIPAKKSSRTKKCGGGLDN >Dexi4B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:4B:4455615:4456254:1 gene:Dexi4B01G0006330 transcript:Dexi4B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFPDGAHVRLLSRVRDMFVQADEDGWKVSLTARRHYLNAVWLVHRLMRDGKHYVLFHSAAYGGYLGLLGGLYQGMYTSPTQEDILWEAVELRNGKGEILLRHAEHSWYCLDLTGGEDGNTGRCWAIEAVPATRHAPPLRRRTHES >Dexi4A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:4A:716889:717611:-1 gene:Dexi4A01G0001060 transcript:Dexi4A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRIFPGDRVDPPVPVTDPLLAWAQEAHWSMGGLGVKRLRLQGRIEGSLDKLRRRARRDARVKSKARAAGHKPASLAALGSDDDADSDEEVAAQERIMKAQLVDDDVDSDGSDSDQSDEEEAADDEPLATIATAAKKKRARKLSDEFDRIAAQQQQMEKKEKAVAAAPSRASPRRQASAPASKAPAPKRKASTPAAGAPERASPRRKAAAPAAGARRTSPRNKH >Dexi5A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:5A:24557487:24559703:-1 gene:Dexi5A01G0020750 transcript:Dexi5A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSKDVEMAGVNAELSSREETLPALLIQVPSQAIAGFDCVAADAAATVSLNDELEDKVEELQGNKDIVITIPASAATRARSHDEDAHVPYSVSLSMPASPSGFHLSQFRTASARRVEARVAPPTAAMAGLDEEHHHQAAEAHSPRLLLKQTRFHSQPILNLYQSSKTAVDDGTRRCGGDSTRDKRFDPFKTFSGRLERQLSNLRGRPQEPIIDGGISPDSKISEEETDQVPAADRYFDALEGPELDTLRATEVPVLPKDEKWPFLLRFPISAFGMCLGVSSQSILWKTLASAPPTAFLHVSPVVNHVLWYAAVALMVLVSSIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVLGAPRLVAEMHHGVWYGLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGQFDAGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASVATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTVFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVLSLSKSAPQSDAGDSETDPSVTTKSRAEP >Dexi7B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:7B:16918925:16920387:1 gene:Dexi7B01G0009280 transcript:Dexi7B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMTRVVGRQGIMLIGAALFLAGSVVNAAAVNISMLIIGRMLLGFGIGFTLQAAPVYLSETAPSRWRGAFTSAYNAFIVIGILSATVTNYFADRIPIWGWRVSLGLAVVPSVFIVVGAFFISDTPSSLVLRGYPDRARATLQHIRGPDADVDAEFKDIVLAVDEAHRNEKGAFQRLFSKQYRQYLVIGLAIPVFYELTGMVAIAIFSPLLFRTVGFSSQNAILGSVLNSAINLVATLLSSFLMDCTGRKFLFIIGGFGMMICEVAISWIMADHLGKQEGVIMPQNYATGVLVLILMCTFCFGLSWAPLRYVVPSEIYPVEVRSAGQAMSISIALCISFLELQVFIALLCAMKYIVFLLYAFFLLAMTIFVVMFLPETKGLPLEAMRSVWVQHWFWRRFNMNVKQESQALLDK >Dexi7B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:7B:1447674:1449847:-1 gene:Dexi7B01G0000680 transcript:Dexi7B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPLAAATARYTVWIEYARLTQSLSGYISAGEVKERPDDAVAIKKNISYLDGNYWDASSQLERDVDGATYLMKYIMDNYLHAAAIFVALIPSLAATVAAAAVSGVAWYFRTKQQRWKKEQEKLAKIMQSLPGVPMQVDFNDIKKATSNFQEASKLGKGGFSSVYRCRLPAAAACGGRSSSSVEVAVNKFTRGVEEQRFEDFLVEK >Dexi5B01G0040040.1:cds pep primary_assembly:Fonio_CM05836:5B:38688850:38689981:-1 gene:Dexi5B01G0040040 transcript:Dexi5B01G0040040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHATPLGLKSRGAMGGGECDDDAENQRWPPWLRPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLAHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDCFRFCSLGCKIVGTARGYRPRKKHGGGGSNKNKKRAALKDVRCESEDSCTSTSGGSSDRSSVVQSFSPSTPPPTSCRPWNKRRKGVPHRSPFGSLIVEF >Dexi5A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:5A:9632911:9633156:-1 gene:Dexi5A01G0012850 transcript:Dexi5A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLAKLAGAIAKKVDDELATENPRFLAALYGGDGWWRTVIREAFRAILHEVSADEDALVGDTAVVAHGELHRDRQLWRL >DexiUA01G0013710.1:cds pep primary_assembly:Fonio_CM05836:UA:28810675:28811545:1 gene:DexiUA01G0013710 transcript:DexiUA01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGGAVAPESPSHPAHLRHLLPVDAHPELPVAATARVHGHLVLVPALPEPAPRDVRRRRAAHLLPPADEDCAARGGGAGDAEAAGEVARRRARAEEDRDLGVRHDGDGSRSGSGDAAAGYLASAVSQCTPTAVPAPVTSVPLPRLFCSATSPLLPDDDGFVAGAGESPPSSNSTATPGAPAAERVQVTLTSTSFPEDSRALYTLVVAA >DexiUA01G0013440.1:cds pep primary_assembly:Fonio_CM05836:UA:28073850:28084922:1 gene:DexiUA01G0013440 transcript:DexiUA01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHGRSRFAVPCGVLSRCVRAGADAGKMASAAESVTMLLMPGADVAPDVEDEAKAAPPAQAQLTIMYAGRVLVFDDVVAERAAELLRVAARHGDPRGVAKEDIPVARKASLQRFMEKRRDRVAANRPYDTAASRPSKERQLGLERDVAAWLGLGTPGGRPAPTDCVLTNCFCVDGAAAAPALLSSGTSGDVHAPTGSLPGVSIDTACDRGLTGCKVRPPAIGGLTACDQQGRPISEIDQGTSGDVHVPTGSLPGWRAARSRPKPFWAFGHAFSPRRKSRTIIFGPREYRILVLPACLPIKAPSCPIDGEMAPMAEADCSGRRRFAVVCSVLSRCVRADAAAGKMAQPCHARSEAQAQAQTTTMLLMPGANVTREETAAATGQLTVMYGGRVLVFDDVPADKAAAVMRVAQQADVLDGPAHLQVARKASLQRLMEKRRDRLRQRAPYAPARLAAAVAPKRGGQEEGDAGTWLELGLPGRCPR >Dexi9A01G0035180.1:cds pep primary_assembly:Fonio_CM05836:9A:39818209:39820778:1 gene:Dexi9A01G0035180 transcript:Dexi9A01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELHDASSSSASSAIPDPPGFTRSAPDADDAAGARQRKDSETAWKAQKAWEVAQSPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPLNALRSVGKVFEPFKDPRVDTIAPKLLFVALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLAPAREVEYAGGGIPLI >Dexi1B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:1B:634102:641878:1 gene:Dexi1B01G0000710 transcript:Dexi1B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLILRGSAAPPSPSPRVASPYRRCLASTSLRPTRAARTSPLRLHSAARRATARVVEVGDGGTDGLIPVSRRYEGRLARLELSGAARREQAVAAAAAADGGAAAEAHLAAGAEAMVVEAFLPGPDGGGANASSTRVILQAREVKDKASKIKKQFGSEFFPENEPDSEIMLAMAFKQIVMQRLSSFRLEVFSPGSEKDLQDLGKPQKVAMDFSVISSDEKLLASLAEAIFSCVIEDASNNHLGGTGSLFQKQQFNCSIDSSVCLHKISEAEMVRNAKRCLESFNLMKSPPNVRKTKNGWWPMPNYESLVKIGGPEFALWANEYIPTYKLQINAKAFRNTNLEGRHELESNRWEVLLTHSQLAELGNIIDMYFEDQFTLPGKTFHPHWNSDPSKINKNNGYLNSLFTFLAGSCIILFVAVFAQLCWPRSLRDKRLFKGSSEVSSSQSYCSDINSLDSSELQAYCTSLINKIKESYGCPGDVMLDARIGTWVGELPDCFKGINSKDSTASGDVQHLDDFSQEKQSQLAPIKMSDLEQNDRAQENLQNIASFQVVLSEEGKVVGFQPTNRPAVNHWSTNPLATLLYQGRTLSPGTIMEQWTVFLSLFCFGKACSGPTVAEHGLDRDHVVVITLFFPAESFVAERLEDYECDEHLRMGLPIDFLFKAIRCADKSDTITLSINDDNFNTITLSFQSPSSISVTDSGLEFFASGTIGYLNIKPEDASVTMRAPVSMILDLKYVNSVAKVSALFDQVKIGLSTKQPLLAECQIGDKGYIKCLVAPLVIPETEDEKTTENKTSDMKDKDEGLVAPLVTPETEDENTIENKTSDMKDKDEGSSGGYKVGAPVVGLKSPHNVTVATSAQPSW >DexiUA01G0013650.1:cds pep primary_assembly:Fonio_CM05836:UA:28594742:28596819:1 gene:DexiUA01G0013650 transcript:DexiUA01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSANAMSDNDELQQIAHLRREYTKGGLRRQDLPAEPLVLFERWLKQACEAKLADPTAMVVATVDENGQPYQRIVLLKHYDEKGLVFYTNLGSRKAHHLENNPRISLLFPWHMLERQVMVTGKAERLSTLEVVKYFHSRPRDSQIGAWVSKQSSRISARGVLESKFLELKQKFQQGEVPLPSFWGGFRIPIEQMEFWQGGEHRLHDRFLYQRENGGWKIDRLAPRLYMENLMASSNLIKQLQERGLVAQVTDEEALAERLAQGPIALYCGFDPTADSLHLGHLVPLLCLKRFQMAGHKPVALVGGATGLIGDPSFKAAERKLNTEDTVQEWVDKIRKQVAPFLDFNCGDNSAIAANNYDWFGGMNVLTFLRDIGKHFSVNQMINKEAVKQRLNRDDQGISFTEFSYNLLQGYDFACLNKLHGVCLQIGGSDQWGNITSGIDLTRRLHQNQVFGLTVPLITKADGTKFGKTEGGAVWLDPKKTSPYKFYQFWINTADADVYRFLKFFTFMDIEAINALEEEDKTSGKAPRAQYVLADEVTKLVHGEEGLAAAKRITASLFNGTLSDLSEADFEQLAQDGVPMVEMEKGADLMQALVDSELQPSRGQARKTIASNAITINGEKQADPEYTFADSDRLYGRYTLLRRGKKNYCLVCWK >Dexi3A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:3A:9498129:9498577:1 gene:Dexi3A01G0013080 transcript:Dexi3A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSQFDDPAAGRRPPQRERKPPYMLLLPLVYAPVLPLIRIGLRQNPVLRDRLFYGVLAGAFAHGAYLMYVLLPHHTLQPF >Dexi9A01G0026830.1:cds pep primary_assembly:Fonio_CM05836:9A:30852886:30854751:1 gene:Dexi9A01G0026830 transcript:Dexi9A01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAASPVGQPPAAARDSASRRRQPRLPRSQRRPPGRVPRQPRRPRAAAGRAARGKSLHLPPRLSMAAVAPVPCWPPPPLAPPAAGLLAVASPSASPDPQTLPFVLPPAGYPLFPSRGPVVLHLVRRHPDFLAEVDRRRSSSLVEVSPESEVQSLSEKLRSHSGLFRVIRVLSTVTQFLKDEGAIPSPEDEKRREKVIQELKEIVMQWAKSVAREQSVPQRLATATVLTYGSYTLGAHGPESDIDVLCVGPCIATLQYHFFVVLRQILEGRPEVSGVQTVESAKVPLMRFRFAGIAIDLTYAQLPVIDASKASRFHWHHNLLLFFG >Dexi9B01G0029070.1:cds pep primary_assembly:Fonio_CM05836:9B:31622076:31622479:-1 gene:Dexi9B01G0029070 transcript:Dexi9B01G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRMNLSAAVVVALLVMTAEMAPAQAVTCKHLSGKFRGWCLLSDHCAEVCKTEGKGYTGGLCLGALGIILRCYCLIPCTAAVPAGDKAVELRVSNE >Dexi3B01G0025210.1:cds pep primary_assembly:Fonio_CM05836:3B:20021400:20022093:1 gene:Dexi3B01G0025210 transcript:Dexi3B01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAFVTMMGFFAEAGPD >Dexi5B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:5B:65231:65722:1 gene:Dexi5B01G0000080 transcript:Dexi5B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSNKKTTPPVVIAAHDCPSAMRAHVLEVPPGRDVLSCVSSFARRGRRGAVVLGAAGRVADVVLRRREVLRGSMEILGMAGCFFPFPRPSPATAVFLAGPRGTVLGGAVALGGLVAAGPVVVVVATFVAAALDRLPLIKDMPTTGQSTPAAADHRDNVVCM >Dexi6A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:6A:16058969:16059877:-1 gene:Dexi6A01G0011290 transcript:Dexi6A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSAGAEAKDGRRRRSRKQAARQRRKQGRTWCEGEEEEAVADIWPAAENLSNCRRDSHLVRFLHHDDLVEMENVEGLMRDLKLSGAERKGIMIDGYGYSGEDSIPTCSGKGVL >Dexi9A01G0047270.1:cds pep primary_assembly:Fonio_CM05836:9A:50437105:50437924:-1 gene:Dexi9A01G0047270 transcript:Dexi9A01G0047270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDADENPAAAAVAADELAGAADASLNAFFDHAGLELAAGGGGQGAEEEEELEWLSNMDAFPSVETMSAEVEAAAAAAAAPSRPSAARGRLDAVPHAVGPRTKGLRRRRRVTAPWSLPPLLPPAPPPAAGGAPRRRCTHCASEETPQWRQGPAGPSTLCNACGVRFKSGRLFPEYRPINSPTFSPLLHSNSHRRVLEMRRHVEEEVAAAGVRAGARARRAGRAAARSAAATPQDGGGK >Dexi4A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:4A:22748160:22752912:1 gene:Dexi4A01G0018840 transcript:Dexi4A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGVADEGGSVGGGERMKLLCSLGGRILPRPVDGALRYAGGDTRIVSVPRGVSLPDLLVRLADAYGGATGPHFAVKYQLPDEGLDALISVSSPEDLDNMVEEYDKLGGASPKLRVFIFPILDTAGGSGATGEDLEGGSFDASLRYLEAVNGIVRKDSIASLSSTQYSDGGMPPPAPSGGGGPGSPAALSPTSTSSNDAARSNISGAGAVPPPLVDVFSNAAPAPVQVKPQEIAPEGRAPPVNPHPEVATHPHPHPEATRYRQPLSQLPPLPPVFMNDHRDVMQGLNQPPPGHGARLEDCNMCLKALPHAHSDPVVNEYGNEVHGGAVPESGHVYMSLRPEDVARMMIPERSAQAPMGAYGYTHMHPVPQERVYMPKVEGVTNSLLIDPTGLHQHVYVQQQMPPQQLPSTYGFSHIPVIPSEKDRVVSPSSAHTDVGSSHYQFLQQSQQQLPSGHAMTQYPLKPASPNNPLAGEGSLSGNSRHREDGQVYRDNVSPVAPVAVPTYVANVDRMMDSLRVSPSESAGSTEQRRHAMSPDSGLPQNAIPERSQGLPENSISTRSDTRPKDVHPSNTNTFFDVNEPKVLIQTESMPPPSVASSYLHNVQHVNMSHMPHMMSIGGPYSSYVVATVAPAGVPQSTYGMDLVYQNATVNTVSERRDVLPEVYHQEAPHEVIPPNTAQVPTPALANHAPNVDQAATNAHALPPRPKRVASRENISPRDPHTHNSLLNCKGPDLNIPAEDNSLQLQSDHRGDDVSNPDLLGMEDGLATSKAQSSEHQPPLQNEGPGAVTNKVDGEVHPNEVPKGRPADWISGYPPTDGRLQIIKNNDLEEQQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQEKMRSDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNSKTLDKRKRLIIAMDTAFGMEYLHSKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPQVPDSCDPEWRSLMEQCWSTEPSERPNFTEIANRLRSMATSQKVQH >Dexi6A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:6A:8630240:8630773:-1 gene:Dexi6A01G0008340 transcript:Dexi6A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSSRRPTRRTMSRSKHETEECTHVFEISQYSLHEFLDAGVFTQSATFTVGGHDWCIMFYPSRCGSGGENEGYVSVFLKLMSEATTEVTASFDFRLLDPTTGVSSSVVHVAAVFCSEIPSLGFPQFKKKSEIEATYVQDDCLVVECDGVGC >Dexi4A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:4A:24860434:24862447:-1 gene:Dexi4A01G0021240 transcript:Dexi4A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAALQGAMASLSILAPGAASTSSFWGNRLATYSAPQPGVCFRCLPVPFCSSVLIRFMVKICPIEMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTDDEPGEIVMIEGPIHSSNVMLYSKEKNVASRVGHKFLEDGTKVRYLVKTGEVIDSVEKWVQVFKEGNSE >Dexi4A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:4A:19105408:19105767:1 gene:Dexi4A01G0015780 transcript:Dexi4A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDFGLTAPLRPARINSKPGASREERGCCSSDRKGSAPTRTDQLQTRDERRNRERRAATWIWIAARRAACAAEVGKEPDRIDDDTDGREGEEGRRRAINDGKI >Dexi7B01G0003650.1:cds pep primary_assembly:Fonio_CM05836:7B:8691955:8710561:-1 gene:Dexi7B01G0003650 transcript:Dexi7B01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVVAGEQEQAENTSVPDAVAVDNQPDGGAAAGLERGLVDRLIGDVINDNLRLLQKIRARMDRVDVQEPTIEVRFRDVTVEAECRVVQGKPLPTLWNSALAAAAVSPSATYYKPRHTIWSEKISPSASFVPVYALQVTGDINYNGVNLNEFVPSKTSAYVSQNDLHVPEMTVRETLNFSARFQGVGWRAEILEEVIRREEEAGITPDPDIDLFMKVKSSSLHADAAMHDRRLSTTPYRALPAPSMAGAMPTPWRPRWSAIEGAPALSFVAQIVWRPCEMMIGPSKALFMDEISTGLDSSTTFQVSLVAALTGTVFLRRHLFFRQLLVLFLMHSTALSLFRCVVSYCQTAPVSSVGSTLSLLFILLCGGFVIPRTLVSIVTASMPNWLKWVFWISPMSYGEISLTGNEFLTPRWKKVMVSGDTIGKSILMDRGLDFSSIFYWISVGALIVFILLLNIGFTIGLTTIRRTSQALVSRDKLTIIQGKDFEDMVNKRPKLSKANMDTPNIIAMNSFVTGKAIPFKPLAISFRDVNYYVDAPMLMLIKRGGKLIYAGPLGHNSCKVIQYFQLAMEIPYVAVQKIPKWWIWLYHMAPTSWTLNVLFTTQFLYNDDKNIMVSGEVIPMTSFVKNNFGYSRDLLPLAAVMLAIFPVLFGTIFAYNVAKLNFQRR >Dexi3A01G0020730.1:cds pep primary_assembly:Fonio_CM05836:3A:16451306:16451709:-1 gene:Dexi3A01G0020730 transcript:Dexi3A01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNSFLPLAWPYALVVAALLFAIFSWLQRPRRVAEAFRRQGIDGPPPSSILSGNLSEMHARAEEVAGSRDFEKEGFDDYCKRIFPYFDKWRKAYAL >Dexi1A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:1A:18510985:18514387:1 gene:Dexi1A01G0013530 transcript:Dexi1A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRRRHLAPWPRNRGSGRAHLALVRCACNPTCSDAPLVAASGLHCSIAKDYFETTVFLSLRKTISQPWIEEAGGQQPACERSHQEQEYRTRPGRGAGAWGTSRPTGSPSLAGSTPDLAYTPGGPMDARARRGAIHVKPDRGGWQRERRARASLSRPRARPRRLLRSGCAAGQVANRARSPAPFPRWFARALKAAARYPDRLMLTYGDKYTFEPTKVAWQTVAPTTQRRWQRAMNLTDRWNVLFPNNGTRLFPVAMGKRRTHRGCALWGVVALKPAR >Dexi3B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:3B:8499202:8502535:-1 gene:Dexi3B01G0012150 transcript:Dexi3B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCVEPLWQADDLLMKYQYISDFFIAIAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTYTKTIAVVLTVAKVATAVVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECALWMPSRSGTTLQLSHTLHNNAPLGSVVPINLPIVTTIFNSNRAERIPHNSPLASIKTKTSRYVPPEVIGVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLTVMNHEMRTPMRAIISLSSLLLETKLTAEQRLMIETILKSSDLLETLSNDVLDISKLGDGSLELEIAPFNLHATFTDVSALSAVLDIIPDSLRDPYAPDCHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPQNATNKLPGGDGLGLALSRRFVTLMQGNIWLESEGAGKGCTVTFFVKLGLSDKPNANLRRIGHPVQPKQGADTSSIANSNMAIVPLCYQSIV >Dexi9B01G0036910.1:cds pep primary_assembly:Fonio_CM05836:9B:38374905:38379272:1 gene:Dexi9B01G0036910 transcript:Dexi9B01G0036910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRAGRGSGSAAPERDIDDLPPNDANYTALTPLWFLERAALAQPGRASVVHGPVRYTWAETYRRCRRLASALARRSVGCGSTVAVIAPNIPAVYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKIIAEKKKSSFRPPILIVIGDPTCDPKSLHYALGKGATEYEEFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMIWGMPEGAVYLWTLPMFHCNGWCYTWALAAFCGTSICLRQVSTKTIYNGIAKQGVTHFCAAPVVLNDLINAPASETFLPLPRVVNVNVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDELPLEERSRLHCRQGIRYTALEGLDVVDPKTMAPVPADGKTYGEIVMRGNAVMKGYLKNPKANEEAFAGGWYHSGDLGVKHLDGYIEVRDRMKDVIISGGENISSLEVEKVVCTHPAVLEASVVARADERWGESPCAFVTLRDGAGEGSDDTASDIMRFCRERMPGYWVPKSVIFGPLPKTATGKIKKNELRAKAKELGPVKKSRM >Dexi3A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:3A:3004299:3008779:1 gene:Dexi3A01G0004610 transcript:Dexi3A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRVAAEAREQLRASFSSGRTRPAAWRAAQLRGLLRMAAEMEAQICAALRADLSKPRTESYVHEISLVTSSCKFALKNLEKWMKPKKVPAGLLTFPSTARVTAEPLGVVLVISAWNYPFLLAIDPVIGAIAAGNAVALKPSEIAPATSSLLADLLPRYVDSSCVKVVEGGIPETTALLEQKWDKIFYTGNSKVGRIVMSFAAKHLTPVVLELGGKCPVVVDSNVDLHVAAKRIAAGKWGCNNGQACISPDYIITTKSFAPKLLDSLRKVLEKFYEKDPLRSADLSRIVNSNHFNRLKALMDEEMVSDKIVFGGQSDEQQLKIAPTLLLDVPLDSAIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFSKDSKLKGQFERNISSGGMIFNDTGIHSGMGAYHGTFSFDAFAHKKAVLDRSFLGEAKARYPPYTHGKLKILKGVMKANPLAMLQAIVGCTGGA >Dexi3A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:3A:13539268:13542858:1 gene:Dexi3A01G0017740 transcript:Dexi3A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAVDASMSIYQFLEGDKDAIEKLSKRTVKVTRQHNDDCKRLLRLMGVPVVEAPSEAEAECAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFDVAKVLEELELTMDQFIDLCILCGCDYCESIKGIGGQTALKLIRQHGSIESILENLNKDRYQIPEDWPYQEARRLFKEPDVTLDIPELKWTAPDEEGLISFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVASTSAPLKRKETSEKTTKAAANKKTKGGGKKK >Dexi3A01G0023430.1:cds pep primary_assembly:Fonio_CM05836:3A:19093996:19095867:1 gene:Dexi3A01G0023430 transcript:Dexi3A01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNCLLAAWYGLPFVSPNNIPVSTVNGAGAAIEVVYVIIFLAFASSQRTRLRMLVLASAAAAVFAALALVSMLALQGERRKLLCGIAATVCSICMYGSPLSIMRLVVKTKSVEYMPFLLSLAVFLSGTSWLVYGLLGRDPFVVIPSAGGSFLGAVQLILYAIYRNSSGKASAAAADEDMEMMASNTKQDSNKVKVAHEADGGAGKEDRLV >Dexi3B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:3B:8310560:8313685:-1 gene:Dexi3B01G0011900 transcript:Dexi3B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLDRKDWSAADVVPTKQFLELLEDGTDNAANSSLANHGASDVEEGGAGQTTWPPPLLEGVTTDGDTVLHVLATCGDTDSFLRCACLVFCKAGHLLSAQNTRGETPLHCAARSGRPRMVSRLIALARGSGETMVNEVLRKENMCRETALHEAVRHGSRHMVELLMAADSDLARFPRDGASPLYLAVLLEYNDIARSLYLTSGGNLSYSGSDGQNALHAAVLRNQGMTFDQVLQANAAPMYQIDDQGSFPNQRFLIDSALKYCHAKHGSRRLDHFEEQYIQPLDVENESAKLMSSTQTLGLGSVLMATVTFGASFTLPGDYNDDGTPTLSGRYAFDAFIAANSWAFACAGLATINLTYSGLNSVDLPLRIWHFDVAMFFASGALTSLVTAYALGLYVTLIPVAYAAAVAICVVSVIVTMYSFLDPWRGRTVARALYARLGSEALVIFARTVFLQGAMVLWPVVTSFIAGEIVATHRHK >Dexi9B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:9B:7972211:7972943:-1 gene:Dexi9B01G0011980 transcript:Dexi9B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSLALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGESLRALSAIPAAFTDRDMDGLYGNLGASPSSPENSSSAPPASSKKRKGGGNAVVPGHRLPFNAIPASCQQPQPVGLLQSCGGQLDLCLTPGSSPVAGGQRGCGGGGGASDEYSATTTCEDLQATGDVEPRAPVALLNLFN >Dexi1B01G0025170.1:cds pep primary_assembly:Fonio_CM05836:1B:30320382:30320812:-1 gene:Dexi1B01G0025170 transcript:Dexi1B01G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSRRKTSSVMSASATATFAANTGFRGSLRANSHISAPAAPTASAAIRSRAPTPVAAPSSTPHAAYSPPPPGPAPGTPQSNESSGSRAARWSSVDRSVPSFGGSGGRPAGSGPIGEIARARGRRFFSGLAAHAARG >Dexi5A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:5A:24998997:24999488:-1 gene:Dexi5A01G0021120 transcript:Dexi5A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDASKKTRSLGHVHGLSAGSSASWQMFGMDPSSHLKSARNDTACDMLMYPYTLKQTLAMGLPGRMSPMTYSDRTLSPGVWFVVAVMMLMGSVNANAMAQANRSPHHGSWTSFLRTVQRTSETAADSASSE >Dexi7B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:7B:615129:617720:-1 gene:Dexi7B01G0000120 transcript:Dexi7B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTGQRVHDPKPPDFLPLPIAPRTPTPPPPSPSSPPHAAPPLTFRCLTPCPRWSSWVAAALRDPVFAPTLASSAISDAVAASTAVITPDRAALSALLSFWDPGTSTFRLPAGPATFTLEDALVLAGLPPSGAPLDRPLTPEEEDLRARLVVEREKIRELHPCASATRRVTVEVWLEWFNGAGIRPGEDDELRRLGFLAYWLGFFVTPRLRSRGGELPELAFALAARLSLGERISLGPALVANLYADMDRVVTNSAVPDGVGARVDIWAPLWLLQIWMWERYKRLCPPQLKAPQFPVSNVRVLHWSRRKRTTMPEEALQILQDQACFEWRPYRYNSLNWMEPKWFNVDTVLVSCRGKDKPEWLLDYIAVISQTVLTGFQGDDMDNTVLYNPHLAARQFGYDQAAPVPIAGEFDIEGIEIWIPGTARYGMPSEDYTAWCNSGQFYRHQIDDRHGCLVVQGHENGASPLQLNANKRCAVVPALDQFTHASSGEHNSCIGQEQQGQIDNGSHENETKVIVLGLRARDKDSTTTSAKWKKEKKQRGGKCAEDEGNNKKKRKARSSTSPLRLEGQEYTALQDPDCKKSDELAQFDSDDECIVLGQHENKCEVINLDDDEDDEQSALDPKDHNMQLVLELEEFVRSGLLTQWEESADEDDLSGRRQENLKKSFDDPYAEAAMKEYPMFFRLIPQKPHYRGFVNNDEALGDLAYSGLWFLLVDLAKEVLKTSCDTHASEILCLMKKAERLQQLGFNVKHLIARLKEPHNRLRKLQDCRARLELARETEKDATRVESLSSHLSKLKHNIRKMESHLGEKKQAFISSGKDKLNEGLDLVSLEKEVETAEKYCQAMKDEVAAMRMKYSVSGA >Dexi5B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:5B:4769442:4770534:-1 gene:Dexi5B01G0007120 transcript:Dexi5B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREECNRVGSAGRVSENTEVKIVDQVTGKPLSVGQNGELLVKGPAVMTGYVGDDEANASTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQLAKY >Dexi3B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:3B:3256452:3257078:-1 gene:Dexi3B01G0004830 transcript:Dexi3B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVGLLGVDWRLELELDDMFFDCTPGIRSLVIRFTGPERWIAPSTSPSEFLPNLRRLLVADVPSSWDVTWPRLLLEMAPNLEILHIHIDVVHGVPADEDIPWSSARDRELRPLPRLKEFVVAGFEGTARQIYLVKLVVRACTAALRLVAMFRNGHVRYRGHWDWEMVTPREHYSWSDEQKSSVLEQIKCGVPASSATAPVVQVVLG >Dexi2B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:2B:3321741:3322546:-1 gene:Dexi2B01G0003820 transcript:Dexi2B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELSGCRIESRTEKRRSVSVATRLKSIERPLAGRVAIVTGASRGIGRAIASHLSSLGASLVLGYASSTADAAALAASLPRADVSDEAGVRSLFAAAETSFSFSDSGGGGGGPHIVFVNAGVLDDTYPTVATTTTDAFDRVVAVNLRGAFRCLREAANRVTRGGGGRIVAVTSSVVGSLPAGYAAYTATKAAVEALVRTMAKELKGTRITANCVAPGATATNMFFAGKSEEMVRRNVAWGAW >Dexi1A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:1A:17655510:17655767:1 gene:Dexi1A01G0013390 transcript:Dexi1A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTFRPPELVPPCRCCRPASAAPSSPPCPPAAPCRPRRLQSLPSPGKMTTLADALAAAAHGARRGGAELELASPHLLGVLWRRR >Dexi1A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:1A:23222213:23231222:1 gene:Dexi1A01G0016230 transcript:Dexi1A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGGVLEVEAPSRSRLPRGRGSSYGSLEESLEHSSYTTDMTVAAADTDPVIGRDDEIDRVICTLCRRTKSSAVLVGDPGVGKTAIAEGLAQRIPAGSVPANLAGARVVEVDVPAMLAGTTYRGMFEERMKGAIKEAEEADGKVILFIDEMHTLLGAGRVKDSNMDAANMLKPALARGRIRCIGATTFDEYRKYNENDAAFERRLQKVHVEESNTDATIAILRGLKQRYEEHHDLRILDSRQFPDKAIDLIDEACANTRMQFNRQKEENAAGSTGVGKTELAKALAEQLFDSEKMLVRFDMSEYVSPGSVMRLIGSPPGFCNGHDDGGQLTEKIRRRPYSVILFYEVEKANFAVFNVFLQLLDDGLLTDGKGRTVDFKNTIIIMTSNLGAEHLAEAMAGERTMEAARDLVAEKVQQHFKPEFLNRLSEVVIFEPLSQDMLKEVVKIQINGIVAGVADKGISLSTSDDALGVILSESYNPMFGARPIGRWLQKNVMTKLSEMLIKGEINAGSTISIDAMDDKKGLKYEVIEKPPEPNPQHQQEEVLQGGKRPVLELVSDSEDDDVVEVAPMPKKSKVTENPAASDK >Dexi9B01G0046650.1:cds pep primary_assembly:Fonio_CM05836:9B:45864394:45866694:1 gene:Dexi9B01G0046650 transcript:Dexi9B01G0046650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVSLATRSVRILIPDQLPTLFEPQKAVMTPNIRASAADSDEAAPPERRLTALALQLAVLEKAASRLGTLGFIWATVVLLGGFTITLGQTDFWCITTLLLVEGCRILGRSHELEWQHEATGHRAPTFSSALAGRVFYWLQLLSASACVALSLLRLSHQHYGGSPEARTNRTSALDIFYGLALAEALLFLVEKALWQWRLGHHRLLERVAGECHLATTASGAVAVRRFFYDSYSRCLSGSIFDGLHMDLVSYADDLLTAGSHDEQRLGANILVALVESDRFADTTLRRIGTSSPATERLIEMLSWKNASEKDVRRSAAVVVFMLTGRKLNALRVTGIPGGIESVASLLYADLDELNLLGLSILNKLARDHDNCDKIGKTRGLLDKIISYSSIATNAPAMATATHMRLKAVKQSLLVVKRLASTTGTTGKLLRRELSDIVFTVSNVREVLQQQQQDEEDMSELHQLAIEILTSLAMDEDAREMIGGTGGVVTVLVAMFLPGKDAPRDRKKDAVRVEAGEALAMLALDSRSNCGAIIMACGGGVQRLVEALSDPVVTIGAARILRNLCTYAGDEWQLALRGVTAGATKVLRNIMVEKTKLLNISLGLAAQMLRFMEHGELRAALATAGVTDAALARTLVLVLREYGGRPSMVVPRIRLYTLELAIALMTVRLSPEEGEARFAALFVELGMEGELVRVAETTSGLECFNVFSGSVGLSRRAVSVGSLVESARELMRRG >Dexi3A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:3A:12029328:12029987:-1 gene:Dexi3A01G0016100 transcript:Dexi3A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDDFTFAAAVPAILVAGGVGTFHGGSVGPLLYPVFGRPRSPPRPAPPPETATARVPLGRLLLVEREPTPAAPPLPDDGLDSVPAEMYCPWSPGWLSTAAGSPARCKKSGSTGSVLRWRPRLVGRSQSDGKEKFVFLNTTTTSAAASGLNGGPRSGADGGVAAAAAGLGGHATWSHYGKGGGVGNGGARRRSFLPYKQEIVGLFANAAVFRRSYHPF >Dexi8B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:8B:26053363:26056564:-1 gene:Dexi8B01G0015250 transcript:Dexi8B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVVVLALVLAAELGSVRGELRQLRDQAPPGSRPHSVTITEFGAVGDGKTLNTVPFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLYLEKDAVIIGSKDSSQWPIVEPLPSYGRGLDRPGSRHRSLINGYNLTDVVITGNNGVVDGQGLVWWQWLRSHELNHSRPHLVEFLYSEQIVISNLTFLNSPAWSIHPVYCSNVKIHNVTIEISLDAPLTDGIVPDSCSNVCIEDSTVSVSQDAISLKSGWDEYGISFGRPTSDIRINRVDLRSSSGAALAFGSEMSGGISNVHVNHIRIHDSYKGISLKTARGRGGYIKEVAISDVKMENVHIGIEFTGNCSSHPDESFDPSDLPVVNQITMKKLVGTNISFAGALSGIDGSPFTAICLSNLNFSMAADSASDSWSCANISGYSEMVSPKPCAELRDPSSSSSICFSLSSYSAIAVA >Dexi3B01G0037510.1:cds pep primary_assembly:Fonio_CM05836:3B:40255989:40256582:-1 gene:Dexi3B01G0037510 transcript:Dexi3B01G0037510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAARWRKLKPAAAPWVGGCVWVPRRGGGAGEAMGEVRTAAAGEEGGGGAVRIELAAAAAARGANRSEPRGALELRVLRCMEEEEAGEVAARVCIARQLKVTVEEGPWGDCARRRGERSRRRKKGEEEEGDGDEEWRRGEGIYRVWEASGVREGDKAEAKQGKKKKGEHHTTTWWIERQGEKRGQ >Dexi9B01G0026770.1:cds pep primary_assembly:Fonio_CM05836:9B:29145772:29147845:1 gene:Dexi9B01G0026770 transcript:Dexi9B01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSLIHLRPSAVTNDLPCVLLSFHRTFLVIATAQPRHPPLQLRHHRRPTSPLAAPRRRAFSVSDSPRRGEELFPSPRGCSSAIFPPPGHPLRATKKPVKLLARRSSSCSENWQAPPLREIGERMGEEVTGDCMGGGKDTGVCVVGEEAGELPGWGKMPANCLGGGRGWRIAWAGEELEEDPAVATEEIGECEETEKGPSTRRQRRNRSARASPCGLASGQHLPSPIQ >Dexi9B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:9B:11453777:11454103:1 gene:Dexi9B01G0016630 transcript:Dexi9B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRPSTFRIGAVASFRIAAVARPSVRLGAQIRKEEGDLGEKLPRTLGAKQGPEDFLQRAQEIQVVVVSPQRSVQRALNRSSGLGPRASLVDEGDDDCVAHAVAEGAN >Dexi6B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:6B:3697965:3699187:-1 gene:Dexi6B01G0004430 transcript:Dexi6B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTTVAAALAFCLISTAATTGDAGEHAHPPPPLPSPPPHDIASCLTFNGVKNFTLPSSKTFKPILDSSLRYLRFNIPTVTKPSAIILPASKLELQLAVLYARNSSLAIRVRSGGHSYEGLSYTTENHVPFAVVDLSNLSKIHVDLASATVWAEAGATLGQLYHAVGTSSPPTHGPSASTAGGSLAADGSLAAALLR >Dexi5A01G0028270.1:cds pep primary_assembly:Fonio_CM05836:5A:31562905:31563759:-1 gene:Dexi5A01G0028270 transcript:Dexi5A01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSPTGRLMDSFYIVVTIGLGTPVNLPTFRAGIEAQLARHPRFRSIQVTDVSKDGNPHWVPATVDLDDHIVVPELDPAAVAADADQAVEDYVASLSTLAMDRSRPLWDFHILDFPTSEAAATVAVRAHHSLGDGMALLTLLIACTRSAADPARLPAMPPALAARSGPIYARPCPPASAGAVAFATWLWSYVVLAWHTVADVVAFAATVVFLSDPHTLFKRRSEGGEGKVVHRKRFP >Dexi6A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:6A:22199785:22200177:-1 gene:Dexi6A01G0014800 transcript:Dexi6A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAARTKGAVGRMDDEVVRSAIDYFELADETEDERPPMIPRGRGVLPVTELRIVSWLGMPWHDADFGWGKPRVMGLAESNHGGFVHLVDDLPAEDGGSGGVSGFVCMEAANVKEFERLLFANLKCLTG >Dexi8A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:8A:1556030:1557010:1 gene:Dexi8A01G0002360 transcript:Dexi8A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLPRLLRRRGSGPTAHHLTLLRALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFRYAPLPEDLHAVSNWSATHEVHARVLLQPDSLQALEDALATAHKERRKLRPLGSGLSPNGIGLSRAGMVSLALMDKVLHVDAKNKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGATLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHK >Dexi1B01G0022440.1:cds pep primary_assembly:Fonio_CM05836:1B:28173007:28176478:-1 gene:Dexi1B01G0022440 transcript:Dexi1B01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYYDSDDDVVLVRQDSPDGHGFRARSARGKETTSRYGTWEQSELERHMFSWSIQDVLNKNLLKKKVKKIPKTFSSLRDYMESFTMPLVEETRADLCSALEGIKHAPATEVVRMEQLPGDQAIFSIVVRKADPDSAQRDQVYAPRDADILVLTDRKPKHSSDHLGRNGYLIGSVLKAEGGDGTVVRLSRRPAQGLPLFAVFLINMTTYNRIQNAVDVHAAACKNTSIIEKMLNPKFGQEHNVSSLSAPTSCFLDGELGGLENFELNISQLKAVQDCVSAVQRPTCSARLIWGPPGTGKTKTINAILWSMLLKSRRTLTCAPTNTAVVEVASRVLSLIEESSGGGADGKKCFLSDVVLFGKEDRMGVDGNLAKIFMESRIRRLRQCLMPGTGWTQSLSSMLRLLEHPLVQYDRYVEGIEEDISDLVSEENEIRDELALYLRKKEELSNRKTAEKVKEMQRKLLEIQKKVREIKKDKMSFKAYFQSNYTPLVNDLSSCVETFGNDLPRSATSEENFRRLAEVPSLLDAFGELVWSEPDEQLQALFKNDEEGSLCSLFKNLITQVQADVSFELKEARSSCVQKLRHLSVRFELPDMFDSRTIEDYVLQRAKSVLCTASSSYRLHYLPNAQPFDVLVVDEAAQLKECESLIALQLPGVRHTVLIGDEFQLPALVKSKVCEDADFGRSLFERLTSLGQPKHLLDVQYRMHPWISKFPVERFYNGKIADGPNVLNRNYEKRYLTGPMFCSYSFINIDGGKESTGKFDRSLINPVEAAAVARIIQRLFKESVDTRSPVRVGVVSPYKGQVRAIQDKLVGAYAMHDTFSVKVRSVDGFQGAEEDVIIFSAVRSNTSGKIGFLADINRTNVALTRAKHCLWILGNAKTLASGKTIWRQIVADAKDRGCFFDAKDDKDLCNAIIKAAIELDEVDNLLKFDGLRIGGSRSGVRM >Dexi7B01G0022300.1:cds pep primary_assembly:Fonio_CM05836:7B:27251168:27255670:-1 gene:Dexi7B01G0022300 transcript:Dexi7B01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLASSSSSPRPLLFRGRWNHGSAFRASSRGRLPPIMCSSPQPATGGEAEGSERRRLSEQSSWEAKDSYGDDYLYRLGKEAENMDIAVGARAGVVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVDCLLFAACHIVKNFIAHHLNIKVPLILGIWGGKGQGKTFQTELIFRTMGVEPVIMSAGELESERAGEPGRLIRDRYRTASQVIQNQGKLSCLMINDLDAGVGRFGMQPDREDIINIVHGMYTKDGISVEEVSRIVDTFPNQALDFYGALRSRTYDRAILEWVDQIGGHEKLGEKLLKQKKGDKLPTFIPPKPTLDALIESGHSLVREQELVMNSKLSKEYMKNLED >Dexi1B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:1B:21869662:21870808:-1 gene:Dexi1B01G0015340 transcript:Dexi1B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAPSSVLRRSTCQLLALLLCVQLLHTSQAFELMRGGYEAEEKVPLAVIVPDPSPELSGSSSGISPSPLAAPPPVFGGGGDDMRPKLPTEHWHRGRGEVRRAAAHPTPAAASSSHHHNHHGAPAPTTAAPPAAGPARGPARAPAPGAEAPAPDSGGSAFIESSPAVVVPRGVTDTATILPMPAPGEKRQEAVGAATSVGAGLVHLLLGLIVMMASFGL >Dexi3B01G0024500.1:cds pep primary_assembly:Fonio_CM05836:3B:19148934:19152839:-1 gene:Dexi3B01G0024500 transcript:Dexi3B01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRGGGAGGGDPAKAPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPIQITAEQILREARERQEPEIRPPKQKITDSHELSEYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMEWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDIERTREVYKECLKLIPHKKFTFAKMWLMAAQFEIRQRNLKATRQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKVWISYAEFEASAGLGSEDSESEEKKNEVGYQEQQMERVSKCRAIFERAFDYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDISLVQKKAPRKVKRKRPIPTEDGSTIAYEEYIDYIFPDEVAQAPNLKILEAAYKWKKQKTGDDDE >Dexi2B01G0036180.1:cds pep primary_assembly:Fonio_CM05836:2B:43062833:43067286:-1 gene:Dexi2B01G0036180 transcript:Dexi2B01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQTGQLSVDASPQNARKISDKMFGVFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGDGSSIIVGTDRTSCFARNPVALRMEVLCDSKRTNACPTGGVGVYNPGYWGMVCGYLLLSGGLVGVTYLLTYFNWPNYGHGFRKDLSLMLANLKPQFLKFPGGNYAMGNYLRNAFWWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASLNEEVSTATIASLVKDAVDGIEFARGGPKTPWGSVRAAMGHPEPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDVNIISSCDRSTISPSNPADLYDVHVYTSSSNMFSRTSMFDNTPRSGPKAIVSEYAVTGNDAGKGTLVAALAEAAFLIGLERNRWSPDAIVFNSWQHYGCPNYWMLHFFKDSSGASLHPTIIQISNYNQIVASAITWQNAKDKSTYLRIKVVNFGNSAVDLSISVAGLANGIKKSGSRQTVLTSSSPLDENSFQQPEKVVPVSSPLANAEEQMSVSVRPYSLTSFDLLLEPSKHASI >Dexi9A01G0042140.1:cds pep primary_assembly:Fonio_CM05836:9A:45679214:45681867:1 gene:Dexi9A01G0042140 transcript:Dexi9A01G0042140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKPRRPTAAEVVGRLKDDGDFDALRRAIVRKVKDNEALRNKIISEVKQSVVLQEEGSEKLKLKELSNAIYQDIGSKIMGQISDEVWSIIQSTETDIRGTVEAVYNRILNPEKAPEPSSKKLKRNGKEQQASPAKTQMTVAVEVEDDGPEEPPGFGRNNQCNNITAAAQEQQPKPNLENHNQAKPNGGEPAAARGPAADDDDDTEVPPGFG >Dexi7A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:7A:11206665:11206937:-1 gene:Dexi7A01G0002630 transcript:Dexi7A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWPPSVRPRRCRCHVQCRRSYTMPRSPARGRRGVVLAEELGHGGLCLTARGKRKRRRCVELPAGFAVTSAMSADTPPLSMSKGCSGPR >Dexi2A01G0029840.1:cds pep primary_assembly:Fonio_CM05836:2A:40842980:40843243:-1 gene:Dexi2A01G0029840 transcript:Dexi2A01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARHPERWRGIPSGGAATCTMGGDLEGQCDSLSGGAATWSSGVDGNGGGERMRADGGVRERGERERGRSRGLPTQPVGVGAFNSKK >Dexi1A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:1A:24641268:24644066:1 gene:Dexi1A01G0017290 transcript:Dexi1A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKESVMDAPPAGPGPGPIPAQMLQKSQSQSLKELITLAAKEDDAPLVHAVISRTSSNAKPTKPTSNVVTANDGDAAAPAATTKEAVEKTAPPVVVITSSSSLSKSYSIAGAPTHHRRATVDVNGGGGAADHGVPVISSVPQGFSGEHVIAGWPSWLTSVAGEIVEGWLPRRADTFERLDKIGQGTYSNVYKARDLQTGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAALSGQRFTEPQVKCFMAQILEGLRHCHARGVLHRDIKGSNLLIDDNGVLRIADFGLATFFDPAKRQPMTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAEAKLPDVTLFKPQRPYRRKIAETFKDFPPTALALLDTLLAIEPSARGTAASALDSEFFRTKPLACDPASLPKYPPSKEYDAKLRGQEASRQNTTAVGGKGSASSKPGRDDTKATQAQDAIADYQRRHARTNQKSTSHHYSSQEDSVPGFRIEPPPAVTGRGPATMQTAGFGSTWYRNDQRGVPRTSSSVRASQLTSQRSYAHSRGTDLHPTSSAARNANSRYNRLDVAEPATAVVRPGSSHQKDLGMRDTSAGFGAKNKRIHYSGPLVPPGGNMEDMLKEHERQIQQAVRKARVEKEKTNRHHY >Dexi2B01G0011130.1:cds pep primary_assembly:Fonio_CM05836:2B:12720311:12720514:-1 gene:Dexi2B01G0011130 transcript:Dexi2B01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVGIVAALLEEYTAAVARAVERLLSAAAPRRILPRRARFLVLRSLPFAAPPAAAPPPPHAVVLAG >Dexi5B01G0037550.1:cds pep primary_assembly:Fonio_CM05836:5B:37038495:37039446:1 gene:Dexi5B01G0037550 transcript:Dexi5B01G0037550.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSDMDASGRSREERWSLAGKTALVTGGSKGIGRAIVEELAGMGVRVHTCARGDAELQECLRRWRADGRLARVTGSTCDVAVRGDRERLFATAAEELGGRLDILVNNAGQTMFRAATETTPEDYARLMATNLESSFHLSQLAHPLLAAADGTGGGAVVNVSSIGGQVSYPLLSVYSATKGGMNQLTRSLAVEWAKDNVRVNCVAPGGVRTDIASSSGLKLDPEVARKLGEAEMARVPMRRIGEPEEIAPLVAFLCMPAASYITGQVICADGGRTIAA >Dexi2B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:2B:27099451:27101871:-1 gene:Dexi2B01G0016780 transcript:Dexi2B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRAPLLLLLVHLCLVLPGAAGLSFSYDFSIPDPGGINRKILRTVPDYGNSENRCNGVICLNASTEKNSSARVYYKQPVRLWDGLTGRRASFRTSFSFALHGGGGRNTTQGPGTAFFIGPFPSSLPPNSGGGLLGLGSNPTSPRLISQFATPTLAVEFDTQWDPDWDPSDVAGDHVGIDLDMIVSDSYSRDLARGDLSAGTVTADIAYDAGSNVLEVTVRLANGSTTSVRALVNLRKQRLPQDAAIGFSTGTGAADTNYDPVLISWSFSSTASGGFDEDRVASSATSGVRQVDPEQGSLRGTAMIGSYHYMDPQCTNGSAATASDVYSFGVVLLEIATGRRSQASLDPEKGFPNSLVSTVRESYHKGSIVEVADPRLNGDFDESQMTRVLTVGLLCVQLDRKLRPDIREAINMLSNPSHPVPQLGT >Dexi1A01G0015090.1:cds pep primary_assembly:Fonio_CM05836:1A:22003787:22004842:-1 gene:Dexi1A01G0015090 transcript:Dexi1A01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPTFYRVFRKKSTEGFQSTPYVVTLFSCMLWIFYALVKSGSELLVTINGVGCVIETVYIAMYLVYAPRNARVLTAKMLLGLNVGVFGLVALVTMLLSKGTLRVHVLGWICVSVALSVFAAPLSIMRQVIRTKSVEFMPFSLSFFLVVSAVIWFAYGALKKDVFVAFPNVLGFVFGLAQMALYMAYRNRRPAAAAVLVEEVKLPEHAKEVVTTAAPPHEGRASCGAEVHPIDILPPAEEPPVAAAVAPAPHGEPQVAVAIDVEPVTCAVAASGVDGAMAMIKPDTAIAVEV >Dexi2B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:2B:26445927:26446689:-1 gene:Dexi2B01G0016260 transcript:Dexi2B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTASWRLLSPSSSSPPAAPQLPRRQAKLAAPPPQRSAKFRALCLLHDKPAPAMENSRLQRLASALQWGAVWAAVEAPAALAVTGEEDIDILGILPPLAAFAFFYFLPLIMNWMRTRWYKRKFVETYLQFMITYLFYPA >Dexi1B01G0024980.1:cds pep primary_assembly:Fonio_CM05836:1B:30188716:30192299:1 gene:Dexi1B01G0024980 transcript:Dexi1B01G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKEDKKLALLGVKRKQLKRKNDRVLECVDSEPAAEHGVAEDKELARRKKNTLLKHKKKNKHAKVKSSQARADGAVELLSDSKDDTTPKLKKKSKKKKLIESSSPVKVYESSVVSDDAGAPKLKKKKRKVKGRKSSAGMNDTEETLHENQDEETLHENQDEETQSADVNQLAAQSEDMDNEVPEKAKRRKKKTVKRSGKAKADKHASSKESNLEMHFEVGTANADEISSVDEDCSKGMKKWILEYKQKRPGLKVLQERIDEFIVAHEEQQEKERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLVAMQEKMAKKKPKEIDMNFYRFQKREAHLSELAMLQTKFEQDKKRIQELRAQRKFKPY >Dexi2A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:2A:31775593:31785317:1 gene:Dexi2A01G0019600 transcript:Dexi2A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGASGIGKKRRSSLPAASRNVVPVLIPESPLLTDSFSSLFSGKALCIAFAQKGLFVTVVDFSEENGKEAAMLVQKENNKFHGDIRVPSSIFVKCDVSNADNLAAAFEKHVRTYGGLDICINCAGIANKTLVYNDTSDGARTWRHAVNVNLVAVIDGTRIASQIMRSQKKPGVIINIGSAAGLYPMIFDPSYSATKDDLMVQVNFSSGRYFSGNPKEIASRLPFDAGFEVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAQLLASLGVDRVINYRSERIKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEDGWKPQNYTGLCEKILAKSQTVAGFFLVQYAHLWQEHLDKLFDLYASGKLKVSLDPKKFLGVASVPDAVEYLHSGKSVGKVVLCIDPSYGQIIAKL >Dexi3B01G0004330.1:cds pep primary_assembly:Fonio_CM05836:3B:2972112:2973345:-1 gene:Dexi3B01G0004330 transcript:Dexi3B01G0004330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRLLRFCLALSLLPPAASQPPGCRRQCGNVTVPYPFGIGAGCHHGAATGGFRLRCSRRRPPRLTVYGYGHEVTAISLATAEATVLLNASRAFYDDHSGDPNLQQQHPMALNGSAFLFSSMKSKFVSIGCPGLAYFNDGDGYYVTGCMSVCRTSERSLPGSCRGDDGCCQSNIPLGLGSYRPYLGSFGRRRGPGGGRGWEPQQATFMANSTACSYAFMVDSMWFWLAGSHFNRTGDFAVPVVLDWAIRDAPSCAAARRDLDTYACRSEQSVCVESGNGPGYVCNCTDGYQGNPYVLDGCTDVDECQHSDEFPCYGVCVNTPGSFTCTCPKGSSGNATIQYGCRRDNKFSTALKAVVAASSIVFLVLLAFFAAHLRGGCSKQSVASSSTTVASSCSSSWARWRAPA >Dexi3A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:3A:5187084:5189558:-1 gene:Dexi3A01G0007500 transcript:Dexi3A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSTLPANLPPPPSSSPPARTATPSYHRKPLAMTPAEHAALQPSEAASLLTAAARARDLRLGRAVHARLLRTGTHLETDTVVANSLLTLYSKCGAVDAARCVFDGMPPGLRDLVSWTAMASCLARNGAEDEALRLFGETLQAGLRPNAFTLCAAAQACFVSELFHSAGGAVLGLVFKTGLWGTDVSVGCALIDMFAKNGDMVAARLVFDGLVERTVVVWTLLITRYAQGGYADEAVDLFVDMMENGFRPDQYTLSKQALELFHDMVSAGVKPNDVTYIAVLSACSHAGLVKEGKEHFRMMQKDHWLAPRMDHYACMVDLLGRSGLVEEALDFINEMPCKADALVWKTLLGACKTHNNMNIGEIAANHVIELEPQDPAPYVLLSNLYADAGLWDQVARIRSAMRDKNLMKETGLSWMYVENTIHEFRAGDTCHPQAEEIYTKLDRLIRDIKGIGYVPDTSIVLHDMPDELKERCLLQHSEKIAVAFGLISCTSATKPIRIFKNLRVCADCHSALKYVSKATGREIILRDSNRFHRMKDGKCSCGEYW >Dexi9B01G0044900.1:cds pep primary_assembly:Fonio_CM05836:9B:44571863:44575761:-1 gene:Dexi9B01G0044900 transcript:Dexi9B01G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHQPTTLEEVRTLWIGDLQYWTDENYLYSCFAHTGEVGVALQYVPLNSAHLHFLEAQVQSVKIIRNKITSLPEGYGFIEFISHEAAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVNYPSVRGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKSGGSQLQYSAAKAIYPATAYAVPQLQTVLPDSDPTNTTIFIGNLDPNVTEDELRQICVQFGELIYVKIPVGKGCGFVQYAARASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAVWSQQADPNQWASTYYGYGYDAYGYAQDPSYAYGAYAGYSQYPQQVDGTGDATSVAGSHPGMEQKEEPYDPMNIPDVDKLNASYMAVHGRAMLGRSLWLKTNPLPQPT >DexiUA01G0017610.1:cds pep primary_assembly:Fonio_CM05836:UA:37228630:37230974:1 gene:DexiUA01G0017610 transcript:DexiUA01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAPEATRSLKKSLQKSLSMPASFDNNVAATATTTCAPPRPAPENTRAASLLPPATPASVTARATKVAAEKTRSKATRKPGAVLPVVTFAALEAFEPAAAGSIAAAQREHVAQAQAQRKMRIAHYGRTASFSRVEGRVGATATATAPAAVGDSVPASPTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVHNDELLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFDVDAVAKYTEKQMASLSADFGLDLGTVRGIVNNACRILEVRRDFGSLDKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGLRFVGPTVIHSFMQAVGLTNDHLVSCPRHRACSSAAAAGGRVN >DexiUA01G0007260.1:cds pep primary_assembly:Fonio_CM05836:UA:13845941:13846407:-1 gene:DexiUA01G0007260 transcript:DexiUA01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPLLFPLLLLLLPAAAVASDGDLPAHPGYAHGGEEACGVPEEEAASAAVPAPERREEFDGGRIVDISHYYREDMPAWESRRGAASSCGWSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGTTIRLCCFQ >DexiUA01G0002570.1:cds pep primary_assembly:Fonio_CM05836:UA:5784523:5785272:1 gene:DexiUA01G0002570 transcript:DexiUA01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAVAVNSLPCGANKTCQGPDGQRLAASLNNASFEYPSVDVLDAYHSSMNNLNSSMYYKPDFPDKPPVIFNFTDSSLLNPTTSFTKRGTRVKVLEYGTVVEVVFQETGFLGPEDHPIHLHGHSFYVVGRGSGNFDLKKDPATYNLVDPPYQNTVSVPRYGWVTIRFRAANPGVWFMHCHVERHTVWGMETVFIVKNGKTPDAQMMPRPPTMPKC >Dexi3B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:3B:13395962:13399496:-1 gene:Dexi3B01G0018080 transcript:Dexi3B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGRNHYHTRGASRGGSAGNAAERDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAAIGQRRAAAAAAAAAAQHHPTGHTHHRVLRHSEEFSTMKSPAQQQQNNGIHTVASPDRERPGRGNINNNGVPQTFPDEKKGSSSGSEGSIWPKFAIALSNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNFVCPGTWLSDLTLERYEVREKKVSKKRPRGLKAMHDMDSDSE >Dexi1A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:1A:19710180:19722818:1 gene:Dexi1A01G0013950 transcript:Dexi1A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLAVVLRAALSHVPEERKAAEDSLNQFQYTPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFIAKNWSPNDPDESPKVLESDKVMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPSLLHWVTHNLELQNQIYGALYVLRVLARKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIINPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFINLLERPVPVEGQPIDPEIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQMFQKTYAGKILACHMQLLNAIRTGDYLPDRVINLVLQYLTNSVTKNSMYQMMQPQIDILLFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKTNLQKFIHFIVDIFRRYDEAPADLKPYRQKDGALLAIGTLCDKLKQTDPYKSELERMLVQHVFPEFSSSVGHLRAKAAWVAGQYAHINFSDPNNFRQAMHCIVSGMRDPDLPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASSEADDEADDSGALAAVGCLRAISTILESISSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGTDHFLACKDPDYQQSLWNALQSIMMDENMEDSDIEPAPKLIEGALSVATIQALALQIDLQQSESSSTGCELISGAANRIANALYYNPALTLETLNKLGVAADIFNHWFTMLQQVKKSGARVNFKREHDKKVCCLGLTSLIGLPADKIPAEALDRIFKATLELLVAYKDQVAENKKQNEDDADDMDGFDADEEDDEEVDSDKEMGLDDEDGDEVSSLQLQKLAAEARGFQPADEDDDSDDDFSDDEELQSPIDEVDPFIFFVETIQGLQSSDHSRFQNLMQTLDFRYQALASGIAQHAEERKIEIEKEKLEKANAQ >Dexi1B01G0030020.1:cds pep primary_assembly:Fonio_CM05836:1B:34110073:34110377:1 gene:Dexi1B01G0030020 transcript:Dexi1B01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLTRPSATPLLLLVLCALLLCGVLLLVPPEERLPVTAGASSSWAAAARSTRFRARGRWNSAGLGDAKHEVPSGPNPDSNR >Dexi2A01G0030440.1:cds pep primary_assembly:Fonio_CM05836:2A:41366355:41366737:1 gene:Dexi2A01G0030440 transcript:Dexi2A01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDGEDSDDALRSLLGAGRKLHLLIRTSPPPPRPRAAGGASARRTSSRFPFPVGTRATPLQLLGLLGATAAAWRALAPHQLLSARPDGDALGVGNPGRGVPGRGPAAPGTGLMGRGRSPQ >Dexi8B01G0009560.1:cds pep primary_assembly:Fonio_CM05836:8B:17052068:17052650:1 gene:Dexi8B01G0009560 transcript:Dexi8B01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGTVDVKSAAQEIVKYLENTRKTSIYFEGWHGLGASAVLRAIAEHPPPSLWDKFDKIIHIDCSRWTSRRALQRAIADKLKLTQQVASDFDKQDEEDDFSGVDQSSRAEIGSVARVIVVSLDGFVLVFGRRGRCGPAPITITLKQIVLLVGLQY >Dexi1B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:1B:3376029:3376907:1 gene:Dexi1B01G0004260 transcript:Dexi1B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTFVLDLSASKPEWRHINVSSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIPGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREVPASWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSEDEPRWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLFLLDPAEAKPTWRILNVPGQPPRFAWGHSTCVVGGTKAIVLGGQTGEEWALTEIHELSVLRSLV >Dexi5A01G0034560.1:cds pep primary_assembly:Fonio_CM05836:5A:36608125:36610301:-1 gene:Dexi5A01G0034560 transcript:Dexi5A01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPATVSYRKPSLTSNAARLCSYAKRGRGAGPALSRAIPTSGLEQSSSSARRKRRQSRRRAGAGRRCSTLPRRRALRERGGAGEREQRMELTAESEQITKSKQRGERTSRQDTASKQKSPNHPAGERKERADGAGVGDAVGVEHGDEELRGKPERGEERGKSAAAGLLREGGGGTVATNHAVDSISPRRRCRFPATRGPAGQLWPPELDGVRERSSEWCGTPSGKLVHGVRSPKCSAAVVARQVRPWRGARAMAARTCAICNYQLDGELEEEAAMPARRRREKKTERQRGAATTSGTAAPHTAVEEKEKRSRGGKKEEKLRHKSMENQHKSMEIDTDREKSTQNRARRSQIPGQIEHAMPKSPAKSKPEREKGREQCCKGRPGLAGSSRTTCSSRSSTKPTSASLAPSPEQAEAQYSLGLLQPQQPTVAPARLLCRPPQSSRQAARGGAGRGRREGEQQQTGRER >Dexi3B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:3B:8177110:8178610:1 gene:Dexi3B01G0011650 transcript:Dexi3B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEGPEPLRYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDMQQHKVVVIGNVSADELVKKLLKTGKHAEPWPEPAPPPAAAADAPPGGSPGSGGKKKKKKSKSKNTANNNNNKPADPAPPAEGGTGPCPRPAPVEGGGSCDEASDGEHDKPEGGGGSNGPAGAGDALDGGAGAPQPIAPAANGNGNGGGGGGKKKGKKGGGHGNGNANANANGDVGGAGAGAMVEVHPPPEAPTKPGAGNSGPLAVVDAGPYPPPPPGAAAMMSYPGYYPGGVVHPPPAYVMSYNTAHPSPALRSSAYYHPMAGAAYTTAGGGGYFYSTAPVSAAPGSYYMFSEENANACRVM >Dexi4A01G0018940.1:cds pep primary_assembly:Fonio_CM05836:4A:22788852:22793106:-1 gene:Dexi4A01G0018940 transcript:Dexi4A01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAASLEDLKNENIDLESIPIQEVFAVLKSSPHGLTSNDGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARPQTSSFDPLLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEPFVKDLDKDAVVLYAARASRTENQDAIDASIVGMLADPREARAGIQEVHFMPFNPVDKRTAITYIDSDGTWHRISKGAPEQIIDLCRLREDVSRRVHAIIAKFADRGLRSLAVARQRVPEGNKDALGTPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPLPDAWRLQEIFATGVVLGTYQALATVLFFWAALIFVTRARSWFFVERPGLLLVAAFLAAQLLATLIAVYAHWPFARIKGIGWGWGAVIWLFTIVTFFPLDIFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQQETSGLFNTENNNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >Dexi5A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:5A:25313213:25317948:-1 gene:Dexi5A01G0021500 transcript:Dexi5A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASSSSSSWPSRGAAAAAYDYERDPRWVEYRVSSAVPPHLFTDPYVRAHLQHKFYRRFVDPDCPVEGMSSTTSTQPLASSASTSAGETARPPDSDINSAGPARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFATLYRLSRAGSLQAVQAWPHHVTSSKDFIPFMYCLMFVTSKLHLKLVLVPVICWALEHVARFLRRHFTNSSLYRRQRNGMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTINPYIHRYTPFLHDQLNAGMRWWFR >Dexi3B01G0028690.1:cds pep primary_assembly:Fonio_CM05836:3B:27192891:27195431:-1 gene:Dexi3B01G0028690 transcript:Dexi3B01G0028690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATRLLLLPLMFVALSSGHGVSGRSHFHKKSGRGGGGQQRGGAKEGSVVSSPAVPPLDDHTQPVAPQPPRGIVPSDPATPVQPAEPCVFDVRAYGAVGDSTTDDTQAFREAWRAACASDNAILLVPSDGTFTITATTFSGPCKPGLVFQVDGVLMPPDGLDCWPASDNRRQWVVFSNLDGMTLRGAGTIEGNGEDWWNLPCKPHRGPNGSTLRGPCDSPTLLRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLYISSPANSPNTDGIHVENTERVAIYNSRISNGDDCISIGTGSYDVDIQNACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGITFDTVVMENVRNCIIVDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPVTCLQEGLPESLLDNPDLKCR >Dexi3A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:3A:6070966:6071731:1 gene:Dexi3A01G0008690 transcript:Dexi3A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGKCGCGSSCSCGSSCNGCGGRSADVEATSTTTMVMTAATNKASSGGFEAAMEGGSCDCNTCKCGTSCGCSCCSCN >Dexi1A01G0031580.1:cds pep primary_assembly:Fonio_CM05836:1A:36502371:36504720:1 gene:Dexi1A01G0031580 transcript:Dexi1A01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAGPAAAVPSPAVLGTLALMVLVYYSTLFVFLDHWLGLATPAGAAHAAAISLAVAACFLSFVCAAAADPGSVPSSFAPDAEAAQGQQGLKSRYCDKCCVFKPPRSHHCKDHHCVWINNCVGYANYKAFIICVLNAAIGSLYSFVIFLCDLLLKEHEFDILYVKLVYILGPNILCWLCPTATGHLKDGTEFQITNN >Dexi5A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:5A:25371473:25375691:1 gene:Dexi5A01G0021570 transcript:Dexi5A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWKDFYTVLTAMVPLYVAMFLAYGSVRWWRIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLALLAAWSRLPSSPGAPPRLDWSITVFSVTTLPNTLVMGIPLLIAMYGPYAGSLMVQVIVLQCIIWYTLLLFLFEFRAARALISDQFPAGAAAAIASLRVDPDVVSLEGGQAETEAEVAEDGRIHVTVRRGSSASRRGSSASLLMVTTPRPSNLTGAEIYSMSSSRQHSPPRGSANFNDHADFFAMVDGGAPTTTPAGAARGSSFGVTEVYSMHSSRGPTPRHSNFDEHSASARLSSTKHAAAVAVPSCHDAKELHMFVWSSSASPVSEVSGLPVFTAGAHGAGVSVGAKEIRMVVPADLPQQNGKESENNGAAMAIEGEAFRFSGGKTVEDDAEAGDAGVAGQDVVSKLGSSSTAELRVKDVDGAAEDEGRAGAQQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHISMPAAVAKSISILSDAGLGMAMFSLGLFMALQPNLIACGWRATGISMGFRFLAGPAVMAAASLAIGLRGSLLQVAIVQAALPQGIVPFVFAKEYNVHPAILSTMVIFGMLIALPITLLYYIVLGLGTV >Dexi5A01G0039730.1:cds pep primary_assembly:Fonio_CM05836:5A:40196627:40197091:1 gene:Dexi5A01G0039730 transcript:Dexi5A01G0039730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLGRVSGGALDATDLVPLSGGHTIGVGHCTSFDPGTDATMDAGFAAQLRKTCPATGIVDRTAALDFVTPVDFDNKYYANLVNRKGLLTSDRFAKSQRAFSNQFAASMLKMGQLKVLTGSQGQVRRNCFAPNPASSTGLLRSVAAEAESLLG >Dexi2B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:2B:8083184:8084368:1 gene:Dexi2B01G0007900 transcript:Dexi2B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRRPASPAPLPDDDDILAEILLRLPPHPSSLPIAGAVCKRWLRIVTDPSFLRRFRALHRRSPPLHGFFLRSGRFFPTQHRPDRVPPWRFSLDQLCHEPHAHRRWSILCFRHGLVLLCCSKDAGEFLVVDPMTGYGRRVAIADEHKKDSLIAAAVVGVGVDAGGRLRPFILVALFAHDDGETTRLTASVYSSDSGAWSESVSAAFRPSRVVPIIQPSSLVGHGLYWFLFDGSILRFDLKTQSLVIIDEQPPRHTPTAVDAEHDEFIFRHWNNCRRWIMPAARDDGRRFCLAILSGVSITFWEREEAAEELRGASSSGWVLCRTVELDKALPVQLKEKEHPLPLGIMGFDEESRVIFICTRRDGVFMSDVESMQFKKVSEKAYFGNVHPYSSF >Dexi9A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:9A:13324334:13325895:1 gene:Dexi9A01G0018290 transcript:Dexi9A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVIGSFLWFVLGEAGNQQNIKFAPVSHSPAPLLKRGPSRSAAPGGDGAGRRRPGPRGFAAKVIAGAGAVAPGAGGRTIGGGRAAALVRWFNPPLKILSFRARCGRSDKEAVPGPAHDQNKFIAAQAHSRGSPVLRDAVTQGRRRRLACCWTRALAHTWARGDRRARNLRPAADAERRWVGSTVPYATTTPADWLVTVTQPLRPGGIDGRSGPRELWWKLMDTQRPAGLKKESLPARISRPVKSLRQRVLVGALVCETLGGGGLVGARAQAKPNHFAIDCLKGPPAWPPDTAFPRLDLRILDSSPRTAFLHTILLFHF >Dexi2A01G0020830.1:cds pep primary_assembly:Fonio_CM05836:2A:33019827:33020309:-1 gene:Dexi2A01G0020830 transcript:Dexi2A01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPEPRDSLLGFLVYNAVISIAALAGLVRAALVFLDLEAVLPGGEDDGWGGDRLVSSSAPPLERLQRALRPARLGPIPGVTTTCGAAAAAAGDDCSVCLAGFGAEAAVNHLPCGHVFHRACLETWLRYERATCPLCRAHVPIPADEAPGLRYPECE >Dexi7B01G0003330.1:cds pep primary_assembly:Fonio_CM05836:7B:8050279:8051030:-1 gene:Dexi7B01G0003330 transcript:Dexi7B01G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKVLHSIAARTSAPATGGVKKPRRFRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGERP >Dexi9B01G0020830.1:cds pep primary_assembly:Fonio_CM05836:9B:15487913:15489808:1 gene:Dexi9B01G0020830 transcript:Dexi9B01G0020830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSFHGDSRRTRSRSSGGGRSTSGQSSSISTPDCSHHFHSRCVSDSGIVANSIAGWRDLPSLRSTNTSPRQPPSSPAAPTTQPFFRPMEPRVFDDDDPVDRTPRRPVANAAEASDGGGAVALATHCEYSALARDASVDDFAVLVHAGAPGIASSGSGGAPRAPVDLVTVLDVSGSMVGTKLELLKQAMGFVIDNLGPRDRLCVVSFSSAACRLMRLARMSESGKDLARRAVGSLKAGGGTNIGEALRRAAKVIDERMHRNAVASVVLLSDGQDTYTVPKRGGYGRGGDANYDALVPPSLAYDGEGRRSSAPVHTFGFGTDHDAAAMHTVAEATGGTFSFIEDEAAIQDAFAQCIGGLLSVAVQELRVNVACVDPGVRVREVRSGSYASHVDAGGRAASVDFGELYADEERRFLLFLHVPRARAVDDDDATRLVRVACAYRDTATGRAKTVAASEDAVVLRQWEGGAVAEERRSVEVERERVRVEAMDGIAAARAAAERGAHAEAADILRSRQRAVARSAAARSGDSACLGLSRELREMRSRVADRRRYEVSGRAYVLAGLSSHAQQRATSRQMMMSGGGDWEAEQSVAWATAGATTSYMTPAMLDMLDRSRRSRELLRRQQQTKERRTF >Dexi6A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:6A:14105193:14107725:-1 gene:Dexi6A01G0010830 transcript:Dexi6A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKEFDGASPAKIFIGGLSKDTSMGPFKEHFGKYGDITDAVIMKDRYTQKPRGFGFITFADPAVVDRVIEDEHVINGKLVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKDFFSKFGKVMEHEIIRDHATNRSRGFGFIVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPPRSLDSESRGRPYGDNYDGFGSSYNYGGSFGPYRSPGSFGARPGSYSSAYGPGDYGSGYVAYGGALGAYRGESSLYSSRFGSTYGGSFGGGYGAGSYAGAYGRDAGGYGGSSYGPNYDSFGASSGYGTGGLYGARTGYGSTAGIGAAGRYHPYAR >Dexi2B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:2B:28550360:28552312:1 gene:Dexi2B01G0018230 transcript:Dexi2B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQQQQQQPPLLPSFLYAPPSGKVVAAAPSEPFGKIEMFSPAYYAAGAVGGAAACGFTHAAVTPLDVIKCNIQIDPAKYKSTSSAFGVVMREQGIRGFYRGWAPTFLGYSAQGAFKYGLYELFKKEYSDLAGPEYAAKYKTLIYLAGSATAEVFADVALCPMEAVKVRVQTQPGYARGLRDGFPKIVRSEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMTYKHLIPTPKDQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNSKGATVGDAVKNLGLMGLFTRGLPLRILMVGTLTGAQWVIYDSFKVMIGLPTTGGAPAPAPTTVPMEGLEVAEMKGSA >Dexi9B01G0027200.1:cds pep primary_assembly:Fonio_CM05836:9B:29657871:29660926:-1 gene:Dexi9B01G0027200 transcript:Dexi9B01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPGMTPATAEAVEELTRLYRELPPRPAVEEVEAAAAVLASADAEEEARLAEIAAEEAADRARKGVPAELLDVLREAKRNAVWLRALQQRKEAAHVVELERRFKVLDDLIQRASRVVSPGDRGAGDAVVVDEVVEVEAKRRPELAAAVAAAATEIDRGGKGGLGLEPKSVSSLRRAASGGNDTEKLGLIQVASLIEASAKKGTTELNLRGKLVDQVEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHANQLINLPDTFGELSSLIDLDLHANQLKSLPTSFGNLTSLANLDLSSNMLKVLPDCLGKLTNLRRLIAETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTMGQLSRLRELDVSFNEVEMIPENICFAASLVKLNVSRNFADLRALPRSIGELEMLEELDISSNQIRVLPDSFGNLSKLRIFHADETPLEVPPKEVVKLGAQEVVNYIKNMVAARGASQKETDKRSFWTWLRSLFSCCKKDQGLESVLA >Dexi9A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:9A:3677678:3678259:1 gene:Dexi9A01G0006430 transcript:Dexi9A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLLSIVLLAILCACPGHALRHLNDVDGDREFSFGSKTAAAAEAAETEPLDPTLSDDYENEISHVEFEPELGSAAPYAAAAAAPVPAATTTATITVAAAAPGAAAAEAAAGIRSMKWWLPPSTMPSFPLFPTPGGGMPGIPGLPMPGMPTMPFHPIGGWGVPAPPDQSPPTPPAAAATTSANDPNANGGGN >Dexi8A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:8A:21048527:21053404:-1 gene:Dexi8A01G0012050 transcript:Dexi8A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGLLLIVASAAAVLLAPPASAALPRVEHVPKADGTLAILAVGDWGRRGQFNQTLVAQQMGVMGEKMDIAYVISTGDNIYDDGIANTSDPLFKESFSNIYTAKGLQKPWYLVLGNHDYTGNALAQLDPAIRNVDSRYTVIAKSFIVNSGIADFFLVDTTPFILHYWNNTKFDWRGVAPRDIYITNLLKAHGVDMYVNGHDHCLQRISSRDSHLQFLTSGGGSKAWAGKYKATPDKVEFLYDGQGFMSMRLSKTEAHLAFFDVAGNVLHCWGLAKTAAAAAAAGH >Dexi1A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:1A:26317279:26317557:1 gene:Dexi1A01G0019140 transcript:Dexi1A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGASQQLLAAVVDGAPVRGPHATTAMRSSGVACGTPVGLLRWWLCRATAQARAGHGAGGGGGVAALPCSPPWWLGARGCVGPHSAQLFS >Dexi5A01G0006090.1:cds pep primary_assembly:Fonio_CM05836:5A:4524659:4525065:-1 gene:Dexi5A01G0006090 transcript:Dexi5A01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYGEGALSQLLGILGILLLEMFTGRSPTDDMFKDSLGLHKFAETALPNRALEIADPAIWLPIEAENDHASVAAMVRGRSEGCLASAIGIGVACSKQQPRDRMAVRDAVVEMRAIRDTYLMVARLLDGNL >Dexi6A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:6A:15782527:15782988:1 gene:Dexi6A01G0011040 transcript:Dexi6A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKSAMEATKEAAANLGASANAGMHKTRATVQGQVEKATAHNASDKAAAEANQRERVRAAEEEKQGAMRANAAAKERATGAHPSQGAPGIADDAHQQQGHGAAPAGGHVESGVGETRPVARATGTARASAAHNPPVGSDFSQARGTGGQYQ >Dexi5B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:5B:2852866:2853117:1 gene:Dexi5B01G0004220 transcript:Dexi5B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDGTGSSSQAMRRLQRGAVRFRGQRIPCTGAGRRQPAKYARQIPRTHPLLAREPSIHRYAAPSRKAMPPPSASSWCLEHP >DexiUA01G0016130.1:cds pep primary_assembly:Fonio_CM05836:UA:34306266:34306772:-1 gene:DexiUA01G0016130 transcript:DexiUA01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADELKAKAEVYYGDDICQQCTHLLLKEAGLPNGLLPLKDLIECGYVQETGYVWLKQKKRVDHVFQSLGRLVSYGTEITGYAEKGRIKKVKGIKTRELMVWVPVEEIAIDEPATGKLICKSIAGITKTFPASAFHIPEKENQKMNCAAPKPVVLMERAPQVVKNN >Dexi7B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:7B:18900828:18905293:1 gene:Dexi7B01G0011990 transcript:Dexi7B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHTPSNRSGCNGYMGSPMGSSDDPFGVATMMNFDGYSELCSPSVADQIFSMLNDPSSAQHMFAMWSSLGSSPRASAMREDMPFDTYSGPVDGTSAPTQRINPASVLSPTGVNGELKDSDELFPNNGSQKVGNIIPRSMGNFLADKMLVALSLFRKSLSDGVLAQVWMPIEHDGRIVLSTFEQPFLLDQDLAGYREVSRNFLFSVKEEPGLHLGLPGRVFISGVPEWTSSVIYYSKPEYLRMEHALRHEIRGSLAMPIYDPSKGSCCAVLELVTNKEKPDFDAEMDSAVNLQTTTDRSNQKVYSENQKSAFIEILDVLRAICHAHMLPLALTWVPKSNGIDNGYCVGKNIGVDPQSGKAVLRVHESACYINDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFGLHAAVAIRLRSTYTVSEAEVDNVSASTAAMYKKTNGNLPTGNSESSSHDDQPITESALQDLSLGDKQRNIEPDQAQTQTSSMRVAEKKRSTSEKNFSLDVLRKYFSGSLRDAAMSLGETSYINSPTSLHPSTNSGEDQTIGRNSSFLQQADVTMVDGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPEHVIKDRSSPSLTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLTTGAFQLKYKDDEDEWVILASDSDLQECVDVLDSIGSRNVKLQVRDLPCLISSSGSSSCLQMEAHSS >Dexi3B01G0034740.1:cds pep primary_assembly:Fonio_CM05836:3B:37434332:37435480:1 gene:Dexi3B01G0034740 transcript:Dexi3B01G0034740.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFRSRKLYFTPDAVIYKVNKPVAFTCFGVFKKEKYVILPSISDVVVEQGYLQSFFGVYSIRIENVGVRKPPSDDVKITGVAHPHDFRKAVLVHLSNTRNINFCREAPSDGQQSTSLNPIAGVGQSM >Dexi5B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:5B:11852558:11855976:1 gene:Dexi5B01G0014930 transcript:Dexi5B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEPTPNSKAAAPPATIRLLNEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDETEFLEKLASSRREYEQQVANEEAEQLRSFHEAVAARSNIVHELETPTVSRPEENRPKPPTKRSQPAVLKNVIISVKPQAKKAKLDAEAKPTPEEQVSLNGHDADQKPPTDATKAMLGSLVAYDDDDDDESGDNQD >DexiUA01G0016620.1:cds pep primary_assembly:Fonio_CM05836:UA:35433456:35433671:-1 gene:DexiUA01G0016620 transcript:DexiUA01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSASAAAALLLLPLLAAAGEGAVCPRPPAAAAVLRHAPASCTAADAPGPRRHHAGVVEVSLDFFLV >Dexi5A01G0009150.1:cds pep primary_assembly:Fonio_CM05836:5A:6864162:6864776:1 gene:Dexi5A01G0009150 transcript:Dexi5A01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPPATVIQMPSRTRTNGGGIESPAAKCTADYSTAISTGTATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGTCYPANRWLTATLVVALAAFSLFFYFTDSVVGRDGKVYYGELRKVRLRPLDYVHAFFGAVVFLAVAFSDAGLQRCFFARASGNADEVLKNLPLGMAFLSSFVYMIFPTKRKGIGYNDTTPAHHQKA >Dexi9A01G0036020.1:cds pep primary_assembly:Fonio_CM05836:9A:40576938:40580443:1 gene:Dexi9A01G0036020 transcript:Dexi9A01G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGSSLRTFGRCVTFLARVASELVLQAHPAKLELNTLNSSRSAYGSVSLARDFFDSYHLDAAASAPSSTPLQCSILLKSLLAVLRTPHGALDRLAISLPEPDAPKLQVTLHCLNGVRKTYWIVCSAEPEVQSLALDRGRFPSRLTIGPRELSRLLSNFQSSLQELTIIATDPAAGLLDAGGDIGGKAVELRSYNDPAKDDCDTRLHTQLWIDPVEEFVEYVHTGDPVDVTFGVKELKVIYDPSFALVMRNAKMDWDPAFLTFCEGCEVDILLLFEKTGEPVLLVPRFALDDGSSSDFEATLVLATMTVSQLADSNDAQQPATSAQHNGEPMAATTPPSVSNHTKIWSELSGTPKSFEANRETHAQMKSNAGTSMMNDTSIAPNVANAPRIPPVADNANNMYPMKVLSAMPRSQHHPSNWVGTDDNDDDNEDEELLVQTTPHYMD >Dexi3B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:3B:12878269:12878780:-1 gene:Dexi3B01G0017550 transcript:Dexi3B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSGSDDGLPYMDPRLHKVLVPPPSFPSEDPNPLPGSILLEPHGYISDRTNSTTADGFTRDGKPIRVTFWVSDPPRASFFTVYIHEAGRSAIGNLPTILNTEEDIVLLRIPIPHPPSRRQLARDKLASYAAAPAT >Dexi3B01G0025180.1:cds pep primary_assembly:Fonio_CM05836:3B:20006101:20009383:-1 gene:Dexi3B01G0025180 transcript:Dexi3B01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVVLLLVALLCLGGGGGVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESIFPPYGSTFFGGPAGRFCDGRLIIDFLMEALDMPLLNAYLDSLGTPSFRTGVNFAQAGCSITPATPTSVSPFSFGLQIKQFFAFKDKVTKLHSKGDMYKRYIPQEDYFSEGLYMFDIGQNDLAGEFYSKTEDQVIASIPTILLEFETGLKILTGKYADPPFVDKMPFVLKPRF >Dexi3A01G0024000.1:cds pep primary_assembly:Fonio_CM05836:3A:19619004:19620134:1 gene:Dexi3A01G0024000 transcript:Dexi3A01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSVHSLIVDVGSVDAFDVAKELGIPVFTFYPTSASTVAVFLQLPSIRAPGQPSFKDLGDAHLDFHGVRPMPASHLMPQMLEDPESEIYKVMMSKFRKNLDADGILVNTFASLEARAVGALSDPRLLSGTVPPVYCVGPLVVTSGDTKEKHECMAWLDRQPERSVVFLCFGSLGEAKHSEDQLKEIAVGLERSGHRFLWVLRAPALSDKERLFDPQTNPDLDALLPDGFLERTKGRGLVVKLWAPQVDVLRHKATGVFVTHCGWNSVLEGVTAGVPMLCWPLYAEQKMNKVVMVEEAGIGVEVVGWQQGLVSSVELEAKVRLVTESREGERLRARVTAHKEAAAMAWKDGGSSRAAFGKFLSDAACQGTRNTCV >Dexi2B01G0035990.1:cds pep primary_assembly:Fonio_CM05836:2B:42937426:42939189:-1 gene:Dexi2B01G0035990 transcript:Dexi2B01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQLHSHNQHLSSRPGLPPEKQFLLQGGGDAVAGKCCRCATGRPPETTAAGAMASAHIESRDPNVGPPAYLMLLSTQLRWASPLRPTPNPPL >DexiUA01G0028120.1:cds pep primary_assembly:Fonio_CM05836:UA:60433143:60433511:-1 gene:DexiUA01G0028120 transcript:DexiUA01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYRRRQSIRDVVEGRRWGSDGGATEATFGGGDPAATVGGGDEPATMGRWRRLTMGRWRRLTMGRLRRCGRDGRPPSRGVFVGRFARIRRGRGAWLQTWTSDVGGGEGGWEIQIRLALRFE >Dexi8B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:8B:22772878:22774196:-1 gene:Dexi8B01G0012950 transcript:Dexi8B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATATAAYDRLAELRALDATTSGVRGLYVSGITELPRIFRVQDPPPPQPNSDEAAAVPLPVIDIGDAADHAACVEAIGRAASEWGFFQVVGHAVPPEVVTGTMDAVRAFHESEGGEGSEKARLYSRDLDRKVKYNCNHDLYKSKVASWRDTLQLNMAPEPPAPAELPYHCRDMLLEYSKEVMKLTHTLFGLLSEALGLNPSYLTDIECNEGQFMACHYYPPCPSPELAIGVATHSDSSFMTVVLQDDVGGLQLLKNDKWIDVEPIPGAFIVNIGDMMQIVSNNKFQSVKHKVVLKKTTTSRVTIATFAANPTSKRKYGPINEILSEDNPPVFKEITPGEYFTLHHRCSTDSLKNKALEELRIL >Dexi4B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:4B:6325857:6328611:-1 gene:Dexi4B01G0008800 transcript:Dexi4B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVNGPKSAAAFFSSLLQSCISSNSFRQGKSVHHRIMLASTDLPPDLHLSTKLVIFYSHFGDVASARRVFDGMPHRSVVSWTAMVSGYAKNGRPREALELFALMLRCGARPNQFTFGSAARACAGAQCTRNGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDASRLFAEMERKDVVAWNALVRGFVERRHYNDALGLFSSMLRDAMVPDHFTFGSALKACGATSVLSNVELIHACVIKLGYWDEKVVTASLIDSYAKCRSLSSARVIYDSICEPDLVSSTALISGYSMDINHSEDAMKLFCKMHREGLRIDGVLLSSLLAICANISSIKLGTQVHAYMCKKQPMGDVVLDNALVDMYAKAGEFSDARHAFDEMPHRNVISWTSLITACGKNGFGEDAVTLFDRMEEDGVKPNDVTFLALLSACSHSGLTNKGIEYFTSMMTKYGINPRAEHYSSATDLLARGGQLEDAWKLVQKMNTEHNSSMYGTMLGACKIYGNVPLGETAAKNLFSMDPESSVNYAVLANIWKSKVRSVCE >Dexi5A01G0025320.1:cds pep primary_assembly:Fonio_CM05836:5A:29226758:29227462:-1 gene:Dexi5A01G0025320 transcript:Dexi5A01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSALAAVLLCTAAFLVTDATSGCLLKASSDDPVVSTCANVTVHHYGGPGLTTGFCESALRSDKRSAAAKHPQDLALVAMDLVQIAGAEAGAKVGDALSPGGLAKLSNDTALTLRYCKLDYEALAQTVSVCRSMVQGYSPDVRGHDDGQILLPYTYLECADRLMNAAHDCWDHIFHNDEMKKAVWKEVNEVAGRANLAKAMVEQMLSIVDDEDNSHSS >Dexi9B01G0006080.1:cds pep primary_assembly:Fonio_CM05836:9B:3632180:3634082:-1 gene:Dexi9B01G0006080 transcript:Dexi9B01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNARPAANQKKPVVTDGDLVELLWHNGSVVAQPQANQRPTPSDHLGSSGLTGGEETAAWFPDTLDDALEKDLYTQLWYSTIADAAPHQGDTLPDPSSQPPPPPPELAHPARPAAGSSGVESSWAGDICSTFCGSNQVPMMLEGVNRGKDLALQSEVARGGGAHDGAGTSSSGGSGSNYGGSGMPSDSGHIQKTKGRCRDDSDSRSEDAEYEATEETKSSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQMQVQVPGALVYGPEISPSEQHNRTLEVPASTVVPTSRAGQLHTYGV >Dexi5B01G0032250.1:cds pep primary_assembly:Fonio_CM05836:5B:32844687:32846650:1 gene:Dexi5B01G0032250 transcript:Dexi5B01G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRDVVSATAAIGALTRRGRHRDALAMFSQVLADGVAPNEFTFGTVLRSATALRAPHVGAQLHAFAAKLGLCSNVFVGSALLDHYAKIGAMREAQGALEDTREPNVVSYTALIAGLLKNGMFDEAERLFRRMPERNLISWNAMIGGCSQAGLSEEAVNLFLEMCREGVTPNQSTFPCLFTSVANAGALGVGRSVHASAIKFLGKLDVYVSNSLVSFYARCGSVEDSVLAFKKIKQKNVVSWNALICGYAQNGKGKEALNAYRAMRATGLKPNNVTLLGLLFGCNHAGLVNEGYALFKTAQMEQPGILKPEHYACVVDLLSRAKRFDDAKRFLDELPFEPGIGFWKALIGGCQIHWNRELAESVAKRIHALDPKDTSSYILLSNVYSAAGSWQSVSMVRREIKEKGLKKITGCSWIEVQDKVHVFFNGDFETGIGGV >Dexi6A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:6A:24230573:24241438:-1 gene:Dexi6A01G0016380 transcript:Dexi6A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPLEAMNRGRPRRPPAAAGRGARATTRVVPRNEKGMEKDQRTATSSKTAPVAMKASSAHATAQGIRNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSMAKSAYSPDGHRCTPARHKPPAQVQSSEVSTSARQASDQDAADWSSSKRGTNAKQTPSRPGRSLSQVEDRNSNGIDSDMASTSANKVSEELLTCLLAIFSQMNGSSSQGEERASSPSVSGSSKSSSDGVCAGTGDPYGVLEFGWRDIGQYKQFRSVDATSFDKNVSSGDAAALGRRLKALLGKLSSVDLVGLSHQQRLAFWINTYNSCMMNATINVGGRVLSAMTIEHFVLRLPYDAKHVSSEGMKGDGAAAFGLEWPEPLVTFAEELETARREYLQAAVGVSPVGELAIPKLLHWYLPDFAKDVGSLVDWVCLQLPSERQRDAVRAVEVATAGGGRRDSLAAAPRRPVRVLPYEFRFRYLLAL >Dexi5B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:5B:2401271:2401531:-1 gene:Dexi5B01G0003560 transcript:Dexi5B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQVDWDRGPKTRGAGSTGKPRTRWRCRRTSWWRRVREGGVKELGWAWQLASSPSLSGDELRGEVNAGGGEREEGGGV >Dexi4A01G0003780.1:cds pep primary_assembly:Fonio_CM05836:4A:2681752:2682086:-1 gene:Dexi4A01G0003780 transcript:Dexi4A01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAGVLPATSCEDGGGDAPVARAGRSSLSLGAVLRTKGVGSVMVALVLLALLLSARTWMDLDAMGI >Dexi3B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:3B:6289870:6291258:-1 gene:Dexi3B01G0009140 transcript:Dexi3B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQSGPGTQHPTLQSTLGFPLLPPWLSPTPFTPHFPSAERTLKSFSSSLPVGCVQIVKVSGPLELLRRSPFLQYNHSNGFFLQILAVVAPRLRPPFPSWLIDQSSFPSISIWFRSMTKTVMKKWLNLKNSEFHSDCIASRDDEEEELLRQR >Dexi4A01G0005180.1:cds pep primary_assembly:Fonio_CM05836:4A:3666622:3667467:-1 gene:Dexi4A01G0005180 transcript:Dexi4A01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNGRHIPLSTRLRVALESALALAYLHSWASPPILHADKSQFMTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLRFLSAMKEGKLMDVIDNRIKSDSDSWLLEEVAELARQCLDMVGERRPAMRDVADKLDRLSKIMQHPWVPVQHDPEEMESLLGESSVASLEMISTGNFSMEKRIVQGLLESGR >Dexi2B01G0034290.1:cds pep primary_assembly:Fonio_CM05836:2B:41558790:41559718:-1 gene:Dexi2B01G0034290 transcript:Dexi2B01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPNPIHGLRLSVELELELPPSPGGSAPFDLAAAVCSHGLFMMAPNRWDPAARALVRPLRLASDSSVSVLARISAHPARPGAALLVAVQRAGDLSSRDQDYILEQVRRMLRLSEEDGAAVAEFQAMHAAAREAGFGRIFRSPTLSEDMVKCILLCNCQLKEAWGGSPAGGGGGRDGGAEAARAPPEPGGGGPPVDGGGGGGVSS >Dexi9B01G0049430.1:cds pep primary_assembly:Fonio_CM05836:9B:48066185:48068956:-1 gene:Dexi9B01G0049430 transcript:Dexi9B01G0049430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALVESSAAAGYSGQVTSFVVLSCIVAGSGGILFGYDLGISGGVTSMESFLSKFFPDVYHQMKGDRNVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTGRFGRRASILIGGTVFIAGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISIGILIANLINYGVEKIADGWGWRISLSLAAVPAAFLTIGAIFLPETPSFIIQRDGNIDEAKILLQRLRGTTRIQKELDDLVSASNISRTIQHPFRNILKRKYRPQLVIALLIPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRICATIANIVAMVVVDRFGRRKLFLVGGVQMILSQIMVGAVLAAKFKDHGGMEKEYAYLVLIIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFVIGQTFLAMLCHLKFGTFFLFGGWVCVMTIFVYLFLPETKQLPMEQMEQVWRRHWFWKRIVGEEEEEREAAGSIALSTT >Dexi7A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:7A:29195873:29196602:-1 gene:Dexi7A01G0020380 transcript:Dexi7A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVLVAASPAAGSSAAPRRCWRAAAASSSAASGVDLKALQDAIDKKSSDDVKQALDQLRELGWAKRWSSQPYVSRRTR >Dexi4A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:4A:7799600:7801500:1 gene:Dexi4A01G0009860 transcript:Dexi4A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRIAAAKEGAFFLQESKTAVGRLAEKLPASASAAPGGASVPPSPDVLPEILRHSVPIKGTPPPSEPSLSASSRWALPPGSAEATGLHPDALNPLRSYVSLPQATFGPKRWQLPTEQPNYLASTANERRQDRNPPPMDPEKLKAIVTVGKAFLAATILVFGGGTAVLFYTANKLQLNSVDDVKTKGKDALQPRADMIKEHIAPLRSWAEEMSRKWHFEGDKEAEKSVIIRELSRSLGSRSP >Dexi5A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:5A:3931773:3932825:-1 gene:Dexi5A01G0005220 transcript:Dexi5A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPSRHEASSSDSSRAGDGHAVPVATSSAASGTGSNSNQAQSKRAPAPHMFHEIVAQEKNATPSELEDQVRSEGIYLAGKTKKYWVHEKTRCNCFMLFPRGLSITWSDDPRFWSWHHLKEPSESEIEAVSLQNVCWLEIHGKLELSDLNPGVSYDVVFEVMLTEPSYGWTTPVNLRLKFPDGTVVQERKETLQEKPRNQWLELKAGEVKAQPGQKGEVEISLFEYDGGQWKKGLVVKGIKIVPKE >DexiUA01G0023000.1:cds pep primary_assembly:Fonio_CM05836:UA:46945338:46946000:1 gene:DexiUA01G0023000 transcript:DexiUA01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALADSSNQRRLFAAALVTMALMLAGTSHAARLLADDTAAPPAAAIPAVPKPPVPTVPAVALPPMPAVPTIPVATTVPPMPAMPTVPAVTVPQMPAIPAATLPPMPAVPAVPAAAAAATLPPIPAVPKVVLPPMPAVPKVTLPPVPAGVPKVTLPPMPAVVPGVPMPFLAPPPSA >Dexi9A01G0027810.1:cds pep primary_assembly:Fonio_CM05836:9A:32440414:32440830:-1 gene:Dexi9A01G0027810 transcript:Dexi9A01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAARLPALLQLAVPSPARLDWSEVRSTANRRRRRRTGEGERKVGDALRRWNYWGSGGRRDEGEVHARLCRALSPLGIIIRLHPPPHVPHALPDTWARAPLRHGYVSLTWIPSCPPPLSLCRKQNGDAVMPTSA >Dexi7B01G0008570.1:cds pep primary_assembly:Fonio_CM05836:7B:16370020:16372314:-1 gene:Dexi7B01G0008570 transcript:Dexi7B01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNRPPSSSSIFLDVASTSQVDDGSEDGDRVLPHISRMLMEENIFDKFLYQYPDHPALVQAQQLFSHILSDASSSDSLAAPPCNSSVEHSTVQPWTPAPELPVAQGNDIQDPAFLLNGTITDAVGHNGSFPAESTSSNDFKDVVSMAFLKGMEEASKFLPSNGATARSGGRGQKKRLDGDDEEAVKAGSARSSNKMAADCEDLEEEEDAAFEMLDQLMLNGCEPSPSVADMSSLRATMELELEKTPRGRRRGRNGVEQVVDLHAMLISCAEAMAGDDRRGLIDATQRLAHYFAEGLEARLAGTWSRRRLLMARPPRGNSLVAHLKAFQVYMATCCFLPVSFLFSLETICSAVARRKKKKLHIVSYGVGHGLQWPDLLRRLGHMEGGPPEVRLTGVDLQLPGFRPAQLIEETGRRLSDCARQLGVPFKFRGIAARPEAVRAGDLDIDPGEVFVVDSLFHFRSLTDGEDDGTDTKNTIDTVLGAIREMRPAVFVHAVVNASRDAAFFATRFREALHNAAALFDVMDAVLPRGDERRALFEREVLARCAMNDVSCEGEDLLQRRRSYRQWTARSRRAGLRQLPLDRGVVRMVRDKVKGEYHRCFEIGEDREWLLQGWKGRVLYAHSTWTADDDLA >Dexi3B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:3B:1034043:1035945:-1 gene:Dexi3B01G0001440 transcript:Dexi3B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFTSLPSLSSIDLPPKPPPNKNGHAAPLLAVVITMDVWEATKLVFDRVYTLDPANASKIMGHLLIQENSEKELIRLAFGPDHLLHSFITTARANLATKLVSLPSPMHDPLLTGLHYRIQALCREPSTLGKEAHPLGKGFAESRLSANLTRQRFSRRSHLCRELSSEITAKGLPCVQEVFAESIYTLGKEPGPNVGETALRRLCGARSWPLGKALNICRESCAPLSAKNSRRGASAQGFCREPWPGLSAKNGIFAESLGRGSRQKMASLPRAWLNPLGKPLG >Dexi1B01G0021750.1:cds pep primary_assembly:Fonio_CM05836:1B:27590237:27592554:-1 gene:Dexi1B01G0021750 transcript:Dexi1B01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEADLPAPGIDQAHPPRQRDLDLAPVLCCCCRAGACFTALLGAKDDDMWAHPRQQRAAPNSRLLSLDAPSLMMRAPQPPPPDRWIVINSKLSLPDLVVWWWCRTQQAPCHRILLLPAAIHARKGKSCMPAEISIESLQICLTHQPIMIQMNYLPPTPPTPFEVFEAPSEQLLAAMNETRKAIEGPHVLIAPIISKVRGESGQRSKALATGPIACEARRGPMLHAGSYNHGAMHADADAYGQELDAERANAGYPAARRGSCVHTYSAGHCHTAAGPSQRSPNRWEPIRFDRFPTKPALIDTCVWCAALTGVVHEYMMRPYPRARSSIMTQQQDDYGLQACIDPSRVGVGAELPRAVMIAR >Dexi7B01G0023920.1:cds pep primary_assembly:Fonio_CM05836:7B:28383175:28385753:-1 gene:Dexi7B01G0023920 transcript:Dexi7B01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMVRSCVQTALKAVNSVVGLAGMAVILYALWMLRAWYREAGGLHQRLPYMIIVFVLIILESAITADVFLNINWEEDFPPDPSGKFNEFKDFVRSNFEICEWVGLSVVAAQVLSIILGMVLRTLGPDRETDYDSDDDANVPARLPLLRNQSQHGPDYAEPNTSCRNDSWKLRILDKANN >DexiUA01G0013740.1:cds pep primary_assembly:Fonio_CM05836:UA:28876424:28877434:1 gene:DexiUA01G0013740 transcript:DexiUA01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHHPYYPQRLSNTAIGYLNLVTLLASIPILGAGLWLAHGGSSTATCESALQAPLLAIGFIVLLVSLAGFVGACYHVTWALWLYLLAMLLLVVALLGITVFGLAVTAGGGGRQVPGRPYQEFRITDYSAWLQKRVQADRYWRPALACVVASRSACPRIADWTPMDYLQHSLTPIQSGCCKPPTSCAYSQAGVPIQPQDEDCYRWNNAPSILCYQCGSCKAGVLEQVRRDWHNITVLNVVLLVLLIAIYSCGCCAFRNARRAESDHYGVNRMSKINPRWDYFWSRWWNGQREQLY >Dexi1B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:1B:10138958:10141078:-1 gene:Dexi1B01G0010490 transcript:Dexi1B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAGFHGRHASSESAEETAAVRFLPTVEAGGGVREALPEYERGVDELPPESRRPPERAHRRQRGGGVGDGGMEEDVASPRRRRRRRVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVVSEIIRQYKYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESSEELKHLKEVLLDLFKGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTADHAKKDPVKGRLGKVYMPDQQVGKLTLTNDIKGLKRERREAKKNKEHSKKQKVNPE >Dexi9A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:9A:15852109:15859441:-1 gene:Dexi9A01G0020820 transcript:Dexi9A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARTCSVRGFHVFVALGRVHRNITTVQAPRAAIECAALGTGPGARRSSVDNRRARRLLPPPISFVGAPIIDGQDSARRSLTALPSA >Dexi1A01G0025860.1:cds pep primary_assembly:Fonio_CM05836:1A:31956560:31958445:1 gene:Dexi1A01G0025860 transcript:Dexi1A01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding VETMASKAMPQTLPEISSVPDLKHCSKSTSSLEIPHTNNSGASAPAVLPETANLSKSSSKLESKEQKPDHHPNDSVVEHGNMNGSSTSFQEREGSSQTKVTPSARLNDPSDIGHRRNSGRCRASTSSDVSDESSCSSMSSTKPHKANDSRWEAIQMVRTRDGMLGLSQFRLLKRLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEYCPGGDLHTLRQRQPGKHFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCIIQPSCAAPTTCFGPRFFSSKSKKDRKPKPEVATQINPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPSKQPPSPSGTTDGSGGAPQKGADGYLEFDFF >Dexi8B01G0012680.1:cds pep primary_assembly:Fonio_CM05836:8B:22050866:22051738:-1 gene:Dexi8B01G0012680 transcript:Dexi8B01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKPRLDAGSELPPPPGLWAEIHPDILGVVLRFLPYLADHASLRSVCPRWRAAASSQALPPLLPLLVLPKFSLSCLTSNKELTAACFPLIPEEVVADSACYVGSCDRWLVGVTPIEVSMEDYSYRHSDGELVESGYWTQMDDECFLVNAFSRRVVRLPHLFRTSYICGHSSHEFLPIVNGLGGVCFEINGPYEISPNKVVLSASPDSGSKYIMSASSVVAGSDNLALWQPGMMSWHVCSGLDINGLKDITFYQGKLYIFHMFTKRLLAFVLDEDDRGIVISRVEQCVT >Dexi2A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:2A:30305740:30306446:-1 gene:Dexi2A01G0018260 transcript:Dexi2A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFVLVGHGDSSDTSDESAADNHHSIVDVIPPHSGGGGGHYRPAAAATGARALHWLSLADAVSATRALLGASHEDLRLRAHQLSSALSEASFLRRRATAVGPCFAGVGGGARFPEGGLYVCADVEPLAWTVVDVQRALVRIVVEEASNGACNGFYDVVRGVMSQLVGDAPDGRGPAVFDRDKFEAAFALEWVEEFSSV >Dexi3B01G0034510.1:cds pep primary_assembly:Fonio_CM05836:3B:37264599:37268784:-1 gene:Dexi3B01G0034510 transcript:Dexi3B01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRGAAAERVAAAVEEAASASGGWEFRNAYRRQLLALSRRIRLLGPFAEELREARRGSEEREEERERALAPLADALDTALELLRLGRDGSRIFLVLERDNVMKKFQGVIAQLEQALCDFPYDKLDISDEVREQVELVHAQLRRAKERADMPDDEFYNDVLSLYNKSYEPSSELDILKRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPEMGPPIGTKLMDSNGEPRPVNIPDEFRCPISLELMKDPVIVATGQTYERVCIEKWLASGHHTCPNTQQRMTNTTLTTNYVLRSLIAQWCEANGIEPPKRSSQPNKPTPACSSSERANIDALLSRLCSHDPEEQMSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLRNGSMVARENAAATLFSLSVIDEYKVTIGATGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGVVPLIMGLVTNPTGALMDEAVAILSILASHPEGKAAIGAAEPIPVLVEMIGSGSPRNRENAAAVMLYLCSGEQHLVHLARAQECGIMVPLRELALNGTERGKRKAVQLLERMSRFLVQQQEEKEAQLLASAQAIPQIPEQVQETDIPEQLDSPSPQYPTVA >Dexi9A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:9A:13076416:13077230:-1 gene:Dexi9A01G0017990 transcript:Dexi9A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTATAMAIATISPTTPVPTASFTSLPVGFRLRPQSLFLSASRRFLLLPVPKASSWDESAAEEEGEAEESSATADGDEEEDEKPRPEPVSSSGFQFAAPPEGYVEPAAFDELPPESPEDVAAAYESLYGPAFSGETVLGNNVFEVKVVDPVDMDREQRPNDDFSERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYCTFPHRWFFIS >Dexi5A01G0025250.1:cds pep primary_assembly:Fonio_CM05836:5A:29045385:29048552:-1 gene:Dexi5A01G0025250 transcript:Dexi5A01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQVALAVAAEAVPVAGPRIPKEARQLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGEAEEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVFIWDRLRNWLKTAKNLCSSDEAKEFRLDSLEDEITVLQNEVSGDYHWVGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSAEPHILDYNKYPDIEEQKRFVKTYLSISGEEPDAEEVENLLQSIEKYALASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKTANLQS >Dexi9B01G0038800.1:cds pep primary_assembly:Fonio_CM05836:9B:39706083:39707238:-1 gene:Dexi9B01G0038800 transcript:Dexi9B01G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTIAGPNVMRIINEPTAAAIAYGLEKMPVSNKGRMVLVFDLGGGTLDVSLLNIDPGINMDKGLFEVKAIAGDTHLGGADFDNELVNYSLQEFIRKHRKVAIKTNHKALRRLRTACERAKRMLSSTTQTAIEVDSLYAGIDFSITITRSRFEELNKHLFGKCMEAVGKCLQDAKMDKSSIDDVVLVGGSTRIPKVQKMLQEFFDGKELCRIINPDEAVAYGAAIHASILSGQTDNERLLDMLLRDVTPLSLGFDIAIPGKHTVTYDVMRVATVRIKVYEGESVSTKENNLLVEFLLSRIPPAPAGMLCIDVTFDIDANGVMNVSAVDKSTGRKNNITITNHSGRLRKEEVELMVQKFER >Dexi1A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:1A:735897:738280:1 gene:Dexi1A01G0001150 transcript:Dexi1A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVFPSWAMLEPFVFRRDDSSSFPDKTTAPIRASATTSLGAPFRVAFVFADPPRVSRLYAHLPGFPDPNQETPLAILGTHRHLVLLRVATQTSTWRTVQDFFVYSADDPSELRLLPPCTEPFVEFFRRHYSSSSSEEESEEESEEEGAPANRRRLDVMSMGIVTRGEGEQDFAVVELTLFKPRRCTEVHADICLFRSSSSLDAMPPAPGSTQLVDGEWDSMRVPIVHSDQDDAWEQLSSWQTDAVVPVGRWLCWIDYHGGILFLDVFGPGPTTPTVSFLGLPLVKFKFPSDHDRSKACSRLYRVVTPIHGGRALKFVHVDRNDHVGYGPLRFGGEFTITCHTLQLGSVDVLNKSTLGSLVWRKDSTVTCHELWAANPPKLLPRVILMLPLVNIDRPHVVHFLFSDFKYALKKMWVVAIDMSTNKVESFSKYVNGRDDIGSVDADLTEERSTDPWPFLPCEFSKYLSMSSRRPSTEGQPAAAARKKLVKVEQEQRAIVDRLPGESFGWCPDDGGTIVDVLAELGVDGEELEGYRANYAACVANFRKLHEEKGYAMVEVDDDD >Dexi6A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:6A:23199967:23200186:1 gene:Dexi6A01G0015640 transcript:Dexi6A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGWCKINVDGSFVESTGDAGVGVVARDSAGVRVQLKRKPGLVLKDFVSRRNGNQIE >Dexi9B01G0030500.1:cds pep primary_assembly:Fonio_CM05836:9B:32984974:32985923:-1 gene:Dexi9B01G0030500 transcript:Dexi9B01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYEAKRRRQIEENKRRIEELGLRQLAAAAIPPQVGSASLKIMKKRVIGMTAVERSYAIAKAKAKELECELGADYPTFVRTITHYTTTLSLPLQFCREHLPEHVKVITLVDDEFDVQCYKAPRYCKYCMTGNWKWFAINHKLDYGDCRVFQLIHQTKFKVRS >Dexi3A01G0027830.1:cds pep primary_assembly:Fonio_CM05836:3A:27628919:27629203:1 gene:Dexi3A01G0027830 transcript:Dexi3A01G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDADLGAMGHDDLVAFWGALIGAAVAASADQLLRDALLVGRRGRQPVQLAGGAAFDVGAFGMGMQPPPGFAGVDLQGFGGQATILGPSF >Dexi7B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:7B:1986908:1987210:1 gene:Dexi7B01G0001050 transcript:Dexi7B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARATAMSKYGAVMLSLLLVIYVSATSAAGDSCGIPTTALEKCVLDVINSLSFVQPACCDEMANEVGCGCVLRDILVKYGHYDPQKPFCPTGTACDTV >Dexi1B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:1B:11996003:11997129:-1 gene:Dexi1B01G0011660 transcript:Dexi1B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWKSKVLPKIKLIFAKSTGKKAAAAAELVKAFDESKEGINGEFEEKKADLQPKVVEIYESVPAPLKVLIKERSKVSGIKKNSAAITKFFEDLAKIEFPGAKQVSEGIPKVGPTLLSGPIFATFEKVSKLLPVAAEETPKDAPAAEEAAEKKDAAADEEKKEEAVAGDKKEEEAPAAVEEKKEEAPAAAEETTTTAASATETTAEAAPAEAAAEAAAAATAMEPEAVPAKAEEETPKA >Dexi3A01G0025290.1:cds pep primary_assembly:Fonio_CM05836:3A:20964743:20970247:-1 gene:Dexi3A01G0025290 transcript:Dexi3A01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGPKRLSPTTSQAHPRIPLRPRPPVSPVPAHWAPRVPIAPTPSSTPADDTPSHFKSHHHPKPPPNGHPLRLRCAAPDGPLIAVGTAGTDRSGEAEGAAAGAIMVAAGEQAPRRALAVRKERVCTAKERISRMPPCAAGKRSSIYRGVTRFVATVAGNEAISRLVYLSSLDSVDHRGNDLDRTDLADSSIGIGGQVDMRLTSGTKALGIKIKTKRGNKVCAYDDEEAAARAYDLAALKYWGAGTQINFPVTDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRISHWCALSCRQLHNSRWDTSLGHLLGNDYMNLSCGKEIMLDGKFAGGFGLERKIDLTNYIRWWLPKKTRQSDTSKTEEIADEFAKNEVISSDPFQSKE >DexiUA01G0015290.1:cds pep primary_assembly:Fonio_CM05836:UA:32052032:32069268:1 gene:DexiUA01G0015290 transcript:DexiUA01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYGNRFHLAGMLSGFRPLPRIRKSSAMAGFHMVLLCHRNPMLHLSSTLLDDAVLPITLLHTEHNLRCLRHGPAQRCLCHCGRPDVPPHLMGQGLRGPSLSFLLPLFFVYSNPSSTKDFAGGAAYFLLFNLRGVGRRGDQNKKSRLDDDALRGGEQDSKRAHLPHPDHKERFTMMGGYGALHFGPCEYHIKVTGFEPMALYCATPRLPPGTYGMDLPDRKRLEPQEARTTAFRK >Dexi9A01G0023910.1:cds pep primary_assembly:Fonio_CM05836:9A:19376762:19377295:1 gene:Dexi9A01G0023910 transcript:Dexi9A01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSEPNGTRKAEAPCSITPEQPAITAGPDPPQGEQRAVKLEAVAKKLGKKRVVKAHSQTVLLSTGAALSMQFPISFFQRCRRPIYRRRIDRPGRPDAAELPRTAAGGSLFARGCLELGQSQRQEPLAPIGQLPRSGIFGGSEPSPAASPTPRRQQKHARTRPEPPPEPSQPDRRLP >Dexi3B01G0027430.1:cds pep primary_assembly:Fonio_CM05836:3B:22963098:22965053:-1 gene:Dexi3B01G0027430 transcript:Dexi3B01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCAPCNEMLLRVFSHCVAQRPSTSRRYLDVGIDLAGFFLAFDSFDWDASARRPSPGSSWPPPIGTPPSTSSIPMHVIHPAPVTTVFVLRFPSMEGYRSIASLGCTGATRGLLRRCIFTAVDYVIDLRLRLRHSSPSSSSTTAALLGSFGLHNLVASVAVSSTPFVYSNNCGLHRHLLPHRSSAPATVLETFSAGLSDEGAWLCTLPHTSGIGNTDVRGPGKPVGVSPDGPKCVDFGIVPPLRLPRRVAIFVFGRLLVRPRLRMKVLYVYHGYSTHGILDHGYSPSSSATSTSARRAIIRMSYSPVLSSRSIRITPTFCLRGDVSPPAPAFGFFSSLTVCGAPAKGEILAMKRLCFLGNDLGATTDVDNARKEYERFFKETLDVKNFSALGDLFPAARGLTDKELMEAVRHTGTLLQGI >Dexi2B01G0019100.1:cds pep primary_assembly:Fonio_CM05836:2B:29273827:29274574:1 gene:Dexi2B01G0019100 transcript:Dexi2B01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLVLVAVLLVAGYTEPASSATTYTVGGVNSWMTGVDYADWASDKTFVVGDKLLFSYVRMDHTVTEVMSKSEYDDCSGIDGMTEDNSSGLTTVTLATPGMHYFICIAPEHCDSGMKLAVNVSATPVDDDAAPTTSRAAGALQVPVTVVVAAAAATGALTKLALL >Dexi9A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:9A:15964206:15967517:-1 gene:Dexi9A01G0020930 transcript:Dexi9A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRSRSASASSAATRSDTTELEFASADLDPPFGSVDALGPVELRETAYEIFFMSCRSSGAAPPITTRGADAGEVSSPMAGGARGGGAGPTAGVTGSSRVKKSLGLRARRLSQPMMARTLSQTSGPASPGRARRPMTSAEIMRQQMRVTEQSDARLRRTLMRTVVGQVGRRPDTIVLPLELLRQLKPAEFADAEEYHQWQFRQVKLLEAGLILHPSLPLDRLHAPVLRFREVMRATEIRAIDTGKGSEVMRALTTAVHALSWRSGTAGAAVEACHWADGYPLNVLLYCSLLQAIFDLRECTVVLDEVDELLELIKKTWPTLGVTRAVHNVCLAWVLFQQYVITGQVEPDLAAAALAVLVDVTADARQQESSRDPLYVKVLLSALGGMQEWFEKRLLDYHEGYEKGVGGAATEGVEILLSLALAAGKIIADREGAGEGNFAGDRVDYYIRCSMKSAFTKILENGLGEAESVMIIERDNDPGSVLMQLARDTEQLATFERRNFSPVLRRWHPAPVAVAAVTLHGCFGVVLRQYLAKVTILTDELVRVLHSASRLEKALAQMTAEDAADCHDGRAKAIVGDMEPFEVESVVMGLLKAWMDDKLGLAKDCVLRARDTESWIPKSKEEPFAASAMELMKLARFTIDEFSEIPASAKDEVVHDLVDGLEAIFHDYISFVASCGTKQSYLPPLPPLTRCNQDSGFFRLWKKAALPTCQAPPEGSPRGGVGGSQHIPRPSISRGTQRLYVRLNTLHYVLTHLHALSASLSSASVFFDRARAAAQASVPAVAEVAAHRLVFLDSRHSFYQGLYACRGGGGAGPTPRIRPALRQLKQNLTFLVSVLADRAQPVAVREVMRASFEAFVMVLLAGGNERSFARGDHAAMEEDFMSLKRAFCTCGEGLVPEEVVEREAATAEAVVELMARSTECLIDAFSVAACESIGAGEEDEEDGGGGGGGTPLPPTTRKWDPADPNTILRVLCHRDDEAASQFLKRTFQLARRR >Dexi2B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:2B:2253662:2256214:-1 gene:Dexi2B01G0002710 transcript:Dexi2B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKMRPFPHLLLILVVFLILDDAQAQAQSKPVLINCGSDSSTEVDGRTWVGDSRPDGANFTVSLPGAIAPAPKSAGGEDVYGDLYRTARVFDASSSYKFSLVAGSYFLRLHFSQLFSNHSAKESVFDVTANGLKLLSKFSVPGEIYLRNSKINSTSNVIVKEYLLNVTSGKLEVEFAPDAGSFAFINAMEVVPVLGNSIFDSVNKVGGVGLKGPFSLGDSGIETMYRVCVGGGKIERKEDPRLWRKWDSDEHYIFSMNAAHAIKNTSNISYASSDDSTSAPIRLYETARVTEETFVVDKKFNVSWRFNIDPGFDYLVRLHFCELEYEKAEQRKFKIYINSKTAAENYDVFAKAGGKNKAFHEDFLDDASPQTDTLWVQLGSESSSTSAAASDGLLNGMEIFKVSRDGNLGHPTIRLGGMDGGMAKPKRSPKWILIGAAAGLTIFISIAGAVYFYFYLRQKKNTPANKTKENPPATPVATNARASPNLRATGTFGTCRMGRQFSIAEIKAATMNFDESLVIGVGGFGKVYKGETECSTPVAIKRGHAQSEQGVKEFETEIEMLSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGSDLPALTWKQRLEICTGAARGLHYLHTGLERGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYFMRQQLTQSSDVYSFGVVLFEVLCARPVINPTLPRDQINLPEWALRWKSQNLLETIIDPRLDGNYTLESVKQFSDIAEKCLADEGKSRPSMGEVLWHLESALQLQQAHLQSATTDGLSGHQLKLSDTSTSIRCIEEVEEYALSDCQDVEEETVDVKIEVPGAV >Dexi3A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:3A:17189431:17189655:-1 gene:Dexi3A01G0021590 transcript:Dexi3A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSERRYTTRKPSSSTLPPPDLPRARIYPPVSLSPCHLRSSSHLTSAQPLPSSSRRPLSSALRQVNSVLRTR >Dexi9B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:9B:2451266:2451553:1 gene:Dexi9B01G0004300 transcript:Dexi9B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVVSCCPPSSSSWDRSAEQAPPVDEAAAVAGAPAVSRAIDTDGGHTRAGPPRPAREALRRKVVPNGAYDAATHVFADSPPPSVSLQEPDGWGI >Dexi3B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:3B:5768620:5769286:-1 gene:Dexi3B01G0008150 transcript:Dexi3B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTAAPSNACSSPSNLTDPYLGYIGNAVGDAVEHGNTDLLEFVIYRDVNNDLISYEHCVVFGQRFMSFVQACPVAFRWLTRLVLHDLAFGDSHGSTVLSSCRKLEHLSLNECVCEVDHVTGEDTVLTIDAPNSSLLGLEIITCAYAGINLVQAPKLGRLRCKDWLGADPPFRFGKVPCLDSIVLECSALHGQNPLGRGARCCCA >Dexi9A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:9A:44839:45170:1 gene:Dexi9A01G0000050 transcript:Dexi9A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKFLLGSPQPSIGAHEKILTWMDNVKKATSPHFEEATSSSTMLRKAAAANQASERPSSKFKIASKL >Dexi4B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:4B:2808802:2810913:1 gene:Dexi4B01G0003970 transcript:Dexi4B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAGSPILDLPEPLLLHILSFLADPRSRHRASLACHRLLAAERATRAALALRGDPRSPTFLLLGPGFCFPALERLDLSLVSPWGHPFLSAAAPAADAAQPATTEEEVAQQNAFIAEHLANCFPAVSSLAVYCRDPTTLACLTPQWRGRLRTVKLVRWHQRPPGIDAGADLEPLLRDCPVLRALDLSEFYCWTEDIAPALAAYPAAAAALTELDLGLAGATDGFHAAELGASAGSCPGLRKLVAPCVFNPRYVDFVGDDALLTVAAKCPKLTVLRLREPFEPASTSQGEDAAITVAGLVSFFAALPALEDFALDLRHTVLETAPAMEALARRCPRIKFLTLGGFQGLCKASWLHLDGVAVCGSLQSLCIKGCLDLTDASLAAIGRGCARLAKLAIHGCNLVTSAGIRRLAMALRPTIKEVSILHCRLLDTATCITALSPIRDRIESLEINCIWVEAEQPESVANGTTGGDHEEEDLGDVSYESAAKKCRYMELDDLVSWEMLRSLSLWFPAGEVLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPGPRVFFGLSDLAGFPVLAKMKLDLSEAVGYALTAPAGQMDLSLWERFYLQGIDSLMTLYELDYWPPQDKEVNQRSLTLPAVGLLQHCIGLRKLFVHGTTHAHFLSFFLTMPNLRDMQLREDYYPAPESDMMITEMRAESWLRFEMQLNSQPIED >Dexi2B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:2B:11322211:11323778:-1 gene:Dexi2B01G0010250 transcript:Dexi2B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPAASEPAPESAS >Dexi5A01G0030090.1:cds pep primary_assembly:Fonio_CM05836:5A:33082434:33084907:-1 gene:Dexi5A01G0030090 transcript:Dexi5A01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIPGFHNAPASRAVVASSVLFSVVFGFRGRFLDLGLSYQASQHLPFNVYEKLSIWRLVTSVFAFTSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTVISVLLQILALGYLKDPSINPLTSGPYGLLFASYVPFFFDIPVSMRFRIFGLSLSDKSFVYLAGLQLLLSSGRRSVVPGVSGILAGLLYRLNTFGIRRLKVLLSRSFLKYCVLFGVFDSTMQFLNKIELTASDHCLIQGVHTATQDPTEASIAALVSMGFDRNSAIQALALTDYDVNLASNILLEAQALRQ >Dexi1B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:1B:419010:421802:-1 gene:Dexi1B01G0000440 transcript:Dexi1B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQFIMDGAFAMSAPPPPPPQQQQPHQPAPPQELGGPFRYQPLHHHALPPQHHPHHAPPHMPPHFAHFAAAAPPAPLTQQLFHQAAAAGHHLQLFHEQQQHNHHHKPQPQHSAPARWAPQHHHQHQPQSQAHHHHQQAQTHHPHHHHLGFDVEAAVPESSGAGAGSGGASGGGGAPPGVPPFLAAAMNFKLAVDAGGGSGATGGTDDALNDGGGGAGSGMMLHVGGGGGDDEAATESRLRRWPGDEEASIKEPTWRPLDIDYLHSTSSSKRAAPGKEKVATPESPAPTAAAANYFKKASDDNAAAAAAAAASAGGGNNYKLFSELEAIYKPGSSGAAQTGSGSGLTGDDNAILDPAMADLPGSAMAATDAPHLNTSETSAGEDAAHNTVVQPPPQQPPAAADMAARRKRKRRRQEQHQQQLSASSSFFERLVQRLMEHQESLHRQFLDAMERRERERAARDEAWRRQEADKFARESAARAQDRASAAAREAAIIAYLEKISGESITLPPPASSAAADVDTTSQQQDGVGKELVPYDGGGETTALAQRESGVMSSSSRWPKHEVEALIRVRTGLEGRFQEPGLKGPLWEEVSSRMAAAGYGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSSGSNNNNGGGDDASKAASSELLDAVVVKHPHDGHAGGPPGFMLDREEDEVEDGIGIGNKEEGRGGDDQDDDEVESQGGAQDQDDE >Dexi1A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:1A:4538712:4540998:-1 gene:Dexi1A01G0006080 transcript:Dexi1A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDDEADEAPPASAPAAARGGEAGAKPPTASTGGGIFSSLPQPKSAALFSSLPAPKSAPSPVAARGSEAGAKPSTASSVGGGGGGVFSSLPQPKSAALFSALPAPKSAPAPTFSSIPAPKSSSGNPKRVVQYRPQPIRQPTGDSSEDEEDDAKKRHASTAEARLPAVSAGSGPVSSFLPPPKHSLGLGGGGGVGARRSTIDTAAPERPNLGAAVPSASIANTEALERPNTCASDGDDSEDSGSEDDMPVPEQQQEEGQEEQQVFDAGARGQQQQGYDAGTGSTSGYEAYAWDPNYYAQYGANYGWDPNADPNYVVGAQYAAYGGEQSGGYVHSHGAEHGGGYENAAAAPYGVDYAGEYGHDVAATALPPMQEPVLPPEMGRIGGKRGRNDMPAQILEVNQEELMKNRPKQDKSKLTGLAFGPSYQPAPSAKGKPSKLHKRKHQIGSLYFDMKSKEMELAERRSKGILTKAETQAKYGW >DexiUA01G0000350.1:cds pep primary_assembly:Fonio_CM05836:UA:1846216:1846707:1 gene:DexiUA01G0000350 transcript:DexiUA01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAFKLLILAAFLFISLLATGSNGERCGTSSIQVQTINTGVAAAGGDTVFEVEVKNLCSCSVRNVRLDGGGFATSMYVDPALFRADDGAYLVNGGEPVAAMAEVTFRYAWDHFFQMTPRSLEVDGQC >Dexi7A01G0018200.1:cds pep primary_assembly:Fonio_CM05836:7A:27666454:27668579:-1 gene:Dexi7A01G0018200 transcript:Dexi7A01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGSAPSRNTSFGGAASNSGPVSNSGGRSNYSGSLSSSVPGAGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDSAASMKLRATSFAHNQAVTNLNAEDGGFILGAVHNAILLIVVVVIFAFVAALLIWNACWGRRGAIGFVNRYPDADLRTAKDGQYVKVTGRHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDINTDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVSPSEPISTGCQWGKCVLPTNIDGLVLRCEDTSNIDVIPV >Dexi6A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:6A:27963293:27972001:1 gene:Dexi6A01G0020470 transcript:Dexi6A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGELPGEGSDGEEEVFINEEDIIHEITVDDEDLPDRDDDDEDGGDGMDDSEDVDDSTYIFQGHKGNPTDSSLVASGGKDDRGFLWRIGSPDGALELTGKLICTGSDDASLRIWDPKSAQSIHVVRGHGYHTDGLTCLSITLDSQTVVSGSKDNSVHVVNVKSGQVVGSLVGHTNSIECVGISSSYGWAATGSMDQKLIIWDIARQSSRCICEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCARVFSGHADVVQSLAITTDGNAMVSVSTDGSARVFDISMFK >Dexi6B01G0015380.1:cds pep primary_assembly:Fonio_CM05836:6B:22749762:22751443:1 gene:Dexi6B01G0015380 transcript:Dexi6B01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFHLPPPAGLEHFSMDSSLVERAVRSSCFGAGNVTATAASASNGVHDETTAGDCSSGGPAPDSEKMKGLNEDVLGMDHQAKASNASTDSANESSRSKGVKGEEENGMAGAATAVASKRKRNGSKGPDEEGEGYIHIRARKGQATNRHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLAAVNPQLGLNIEGLLSKDLIRFPGAPSSAPIGFSLSQSGMVQEGVHGMASSNGLRTVMQEQLNDRDSFREHASQSLQQMPRAMDGWFHNAGQTAYRAAIDPEHLSMRPAQDGFHL >Dexi1A01G0025370.1:cds pep primary_assembly:Fonio_CM05836:1A:31640317:31643191:1 gene:Dexi1A01G0025370 transcript:Dexi1A01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVRKVPNHRPLTCRNTSQASFRLMYHFVPFPVQGTWLCTAFPVTGVWTPLTPCPRIPGYLDQPVRTPACWWVQDPRNGAQRQIQLASPRLIAAGASYVGPEPQVPPRSITRPREKGRIPSAATYESRRRLRPCPWIPVSCPLIVCPGARIHDPIGRAAFPSVGSCECMAGTRKPSPTGF >Dexi5A01G0022640.1:cds pep primary_assembly:Fonio_CM05836:5A:26760090:26764669:-1 gene:Dexi5A01G0022640 transcript:Dexi5A01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEMPDADGKSRGADSSSAAYGHPPSAPLQQPHLQYGTFGAPSGGSGQFPQPAVGFPQPAPPPGMQHYPQPPPASYAVYPPPPQQPYSAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPCCGLGMGWFLFIIGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTIAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNSKITFPLVSRKIRPPTRKLKTTFKASRPNLFM >Dexi6B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:6B:20270629:20273203:-1 gene:Dexi6B01G0012560 transcript:Dexi6B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAAAGVQHGTHEALLLQAAGGSGAGAAADYGGHAGPASLLPWLGPAAAPGFSSSSSSYMSPHHLHHQGPPFISSADAAGPFGFGGGGGYTDGGQLGVFGLDPPLLPPPPQGMLGGGGGMQQGSRKTMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAVLGGGGEGEEASASSSAARQQHHLLLPTESDELAVDAGEDDEGRLVVRASLCCEDRAGLIPDIARALSALRLRARRAEIATLGGRVRNVLLITTADDDGGEEEEEEEEGHQGGGEGEEDDAVGGNGGGGDDDDDGRAAHHHHRRRELVAAIQEALRGVMDRKTASSGDTSSSSGGGGGSIKRQRMSGGAHEQGSL >Dexi5A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:5A:3905151:3912648:1 gene:Dexi5A01G0005170 transcript:Dexi5A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAALAALLALWCCTASAAAATVEAEADRIASLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAEGVAAESASLVLWLNGGPGCSSVGYGASEELGAFRINSDGRTLSRNPYPWNKVANMLFLDSPAGVGYSYSNTTADLYTAGDNKTAHDSYNFLVNWLERFPKYKHRDFYITGESYAGHYVPQLSQLVYRNNKGIAKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDETYEKLRLACEFDSSEHPSKECNKIYDIAEAEQGHIDAYSIYTPTCKKTSVHKRRQIRGRTPWLPRGYDPCTELYSTKYYNLPEVQKALHANVTGIPYAWVTCSDPIYEFWRDSPKSMLPIYQELIAAGIRIWVFSGDADSVVPLTATRYSIDALSLPTVSNWYPWYDNGEVGGWCQVYKGLTLVTIRGAGHEVPLHRPRQGLKLFEHFLQDEPMPKPASHKAALFYWLIEASDVPRRRPRRSCSCSTVGPAAHPSATARRRINPDGRTLSLNPYAWSKVANMLFLDAPAGVGYSYFNTTSICTASVRRRGDNDAVVPLPGTRYSIHELGLQTITNWYPWYDDEEVGGWCQVYKGLTLVTVGAGHRFLSIVHGKA >Dexi5B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:5B:5084704:5086873:-1 gene:Dexi5B01G0007520 transcript:Dexi5B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAEQSKAKPRDLDLDEGYRVAPPPPAGRGDRTPPIAASGMKSFPVAGGRSVSLALYSNVSNSRWLKNSGVGHLRELLDLMQSGKLEPEVAFLNASLVPDVFPGLAAAHKALLSKARESLTTRTLHSELITESLKRCGISDDTTYILAARFDASDEEMKAVKKLISGTEIDLGELESRANQAQILKHYKIPPQELSISTLPDAIVCRIAARDAL >Dexi2B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:2B:2664260:2664930:-1 gene:Dexi2B01G0003050 transcript:Dexi2B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSPSSPPRAAAAADLSLSLAPATGSVDLAGGKNSRIYPCLFCDKTFFKSQALGGHQNAHKKERSASWNPFVYDNGGHHAAAAVDVAVPFPVTAGSASSSAGATMMSIPSHGSSAVAVMGPEHTTGGHHDDGDGDGDGRDDDFPNFRAQMQRRRSGMLLRASGGSSAAAVAPTSCSGEDLDLRLRI >Dexi1B01G0001910.1:cds pep primary_assembly:Fonio_CM05836:1B:1515525:1515764:1 gene:Dexi1B01G0001910 transcript:Dexi1B01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGRQPGVGSRDQGQEFRGTEQGQRANQPSINGASKPSRGIPYLRKEEALTGSPAAASRLSLSFLSSVVGLPARCGD >Dexi8A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:8A:6729857:6730063:1 gene:Dexi8A01G0006490 transcript:Dexi8A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGMVFGLANVELPLASLLFHFDWEVPGVADPTKLDMTETFGVTVRRKGGFLLCPILRVPVPYVPGV >Dexi6B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:6B:2334300:2335171:-1 gene:Dexi6B01G0002740 transcript:Dexi6B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADILGGTGSLTGVDVAKHRLSACRSVDLKEDVSTFSEWSSRRSWKDRQKAKKANATGSQHPLASSEPELIYYGKHSGLVGLRKSDVLCPTADDEACTSGYDKSVPMTGP >Dexi4B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:4B:18246137:18249441:1 gene:Dexi4B01G0016210 transcript:Dexi4B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVTKLSEGPVRPSAATPSETLPLTWVDRYPTHRGLVESAHIYRGVAHTLPSPATEGDALQLLEAPEKKTTKTSSPAAVVHGALADALVHYYPFAGRIVEDVPGRPAVVCTGEGVYFVEAAANCTLADVNFLERPLLVAKEQLVPCPTPEQWPVEPRNCPAMIQVTTFTCGGFVVGLRTNHAVADGTGVAQFLNAVGDLARGLPEPRVKPVWARDRFPDPDIKPGPLPELPVLALEYIAFDFPATYIDKLKSQYAAFTGGNICSGFDVLIAKLWQCRTRAIIVDTTPSADVRLCFFSGVRHVLKLEHGYYGNAVFPVKVSVSAEKVAGSSVVELVAMVRDAKRRVAEECLSWAEGRTGGRDPFQMAFDYESVYVSDRSKLGSSDVDYGYGKPMSTCPLVTCDLIASGARAARRHATLG >Dexi9B01G0015880.1:cds pep primary_assembly:Fonio_CM05836:9B:10864334:10877922:-1 gene:Dexi9B01G0015880 transcript:Dexi9B01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGDHDVPDGIGMARLEWTRLPTAEGAGPSTSAAAGDELFSAAAVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYTATFAIIKHSYFVGFFVYTVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLLFSKLLMFQKVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCASGKCGHFGAGGFIIWDISGGQEDYSYQELFPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDACKNQKVVCLPRVSRVVDIVSVLRTNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQAKIDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLSPFVNPSPYIVPEDMSLAKVYNLFRQLGLRHVFVVPRPTRVVGLITRKDLLLEEDGNTVMAELQSTSVRF >Dexi8B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:8B:1820204:1821016:1 gene:Dexi8B01G0002610 transcript:Dexi8B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIIVPASAAQHRSFENAVLQHKLDETMRVLLEQGRHALAQRVFLFLHQRHLHDAMRLLLPNQHDDDDDDDEHRGGADTAEFHARLDDNAHMMLYLDDDDDPETFRVFRVLVLMSARETAGFRDYGQPEHYSSGGGNNNNGGFGVVTAAPAAVVAGIEKRTFHTGGNGGGGAECSICFEDFVDGGEVSVMPCPSRRHQFHPGCIALWLGISDMCPLCRHVISAPIASTMVAAEPERADDQVIQQEPVVARPDGWQWTGGVLARVDPM >Dexi7B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:7B:22091984:22093584:1 gene:Dexi7B01G0016080 transcript:Dexi7B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYAERQPIGTAAQGTDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYISILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRSHAWADHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Dexi2B01G0000210.1:cds pep primary_assembly:Fonio_CM05836:2B:87106:87485:1 gene:Dexi2B01G0000210 transcript:Dexi2B01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQAGLVPSRLVDAAEEEAAPAAPGLRRMRRAAARPCSTWSSWRGWGGRRWLSAAVAASERRRQSRRGKMAKTRSMQSKAAKTPPPPASIFPRDR >Dexi5A01G0004270.1:cds pep primary_assembly:Fonio_CM05836:5A:3228893:3229272:-1 gene:Dexi5A01G0004270 transcript:Dexi5A01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGIWTAQTDRHKKHTRTKPRVSSDYSPPVVELAVNPRALYGPNPMLTVAIREVRGGMQQIDRRGNWGAVEGERWYIEESEGVRGSSWRRRMRREEDDEATTRGG >Dexi8A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:8A:3911965:3912558:-1 gene:Dexi8A01G0004470 transcript:Dexi8A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRSLHSDALGLTLLLQVSPVPDLQNWRADDATWIPVDPGAGCPSSQRQQRGGDTHQRDMPVVNVRHEEAYKNIEHKAVANTHHEQVSVAAFHSAKFGDTYGLLEEIVGAAGDGE >Dexi9B01G0047100.1:cds pep primary_assembly:Fonio_CM05836:9B:46306527:46307912:-1 gene:Dexi9B01G0047100 transcript:Dexi9B01G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAQSPNGRARAAPRVTPKKVDLDGEVVSAAAPLLATLLELRDSTDDMCLAVVKKKVTFDPNVTTYEAATIPEEEGEGADPEDDGARKEEEWMLTPECAKSEAFPFNHRYSNCADSDNDSEYEDAEEEEYDEFEDDEEDEEEEDGLDECAIDDDDEEHGLLGIGRSDEEACESLFLLPISKTSKESGGQVAAPTVAAPETPAVLSSVENVTQWKEAKPRAAAKPKPSDKENVTLEQENRMDLLVEPAVVGKKKDERPALSDYSYTPSTPSKQEASVDASLSTWLGSSGTPESNSVRSYSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSAKGAHPVTRGGFMRTRTRFGQVSL >Dexi4B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:4B:6066908:6067515:-1 gene:Dexi4B01G0008420 transcript:Dexi4B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTISWVVPPDQARKIYKALKEKGLPVALVEYEGEQHGLRKAQNIKFTLEQQMVFFARLVGKFEVIENFD >DexiUA01G0018880.1:cds pep primary_assembly:Fonio_CM05836:UA:39553989:39557672:1 gene:DexiUA01G0018880 transcript:DexiUA01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATATKRSRGPSKKEEEEEAMARPAAAEELRQRPRLVGYEELPEYLKDNEFIRGHYRVEWPIRDALLSAFAWHNETLNVWTHLGGFLLFLALAVAGGARQAADDAAPGIMRFVVRSANASWDSDHSGLPGHDAGAAALTGVPQWPRMVFLVGAMSCLAISATAHLLACHSRRASVVFWQLDYAGISIMIVASFVPPVYYAFLCDARARSGYLSAITALGVLVVGALLSPSCSSPRYRRLRATLFLAMGLSGVVPALHALWLNWGHPACYLALGLEVAMGLAYATGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLVGAVTHYVAVAVLIHWREMVAAACVAAP >Dexi2B01G0021920.1:cds pep primary_assembly:Fonio_CM05836:2B:31573933:31574399:1 gene:Dexi2B01G0021920 transcript:Dexi2B01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMTPLSTTQNPNITLRTCPKSPAPKNSNNLSLGAKCDTRRALLCGLIAAGAGAVMGPDIASAASKRRPPPPAPTAPMEEKKDPNVSGVLAKVLASKKRKEAMKEAVAKLREKGKPVDK >Dexi9A01G0004190.1:cds pep primary_assembly:Fonio_CM05836:9A:2186890:2190751:-1 gene:Dexi9A01G0004190 transcript:Dexi9A01G0004190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKITVGDGRLVAHGRTILTGVPENIVLTHASGAGLVDGAFVGATAGEPKSMHVFTFGALRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGGDDDGGEPVYLVMLPLLEGQFRAALQGNERDELEITFESGDKAVQTAQGTYMVYVHAGTNPFDTITQAVKVVESHMQTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEEESSNAVVVQEGAQFASRLTGIKENAKFQKKKKNEDHNNNIDGEREATNKQGIAGDEQNGHSTTTPGLKLLVEEAKRDHGVKYVYVWHAMAGYWGGVKPSAEGMEHYESSLAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLSFYDELHSYLSSCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMLPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFSLLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGATLLKIWNVNKCSGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGTIRAEDVDAIADIAGPGWDGEVVVYTYRSGELIRLPKGATLPVTLKVLEFELFHVSPVMAVSPSTGVSFAPIGLLDMFNSGGAVERCEVDEAAAVVKLRVRGCGRFGAYCSRRPARCTLDAAEVEFSYDDDTGLLVIDIPVPEKELYRWTLEIHVV >Dexi4A01G0022230.1:cds pep primary_assembly:Fonio_CM05836:4A:25509021:25509567:-1 gene:Dexi4A01G0022230 transcript:Dexi4A01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVAYNVRYGPQLRGKKLTDAEVQNLLSLADLDPAMASKPASELSVGQAQRVALARTLANEPEACPCTQPHLTCFVLLLDEPTSALDPISTQNIEDTIVRLKKTKGLTTMIVSHSVKQIQRIADLVCLLVAGEVVEVLPPSELSNARHPMARRFLELS >Dexi5A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:5A:1542594:1544132:1 gene:Dexi5A01G0002180 transcript:Dexi5A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSLLSGVLDIRAAAPFVAAAVAFYFLVEQLSYHRKKGPLPGPSLVVPFLGSVAHMIRDPTAFWDAQAARAKQSGAGLAADFLVGRFIVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAALQQRVILSHLRRWLDRSAADGGRAFPIRVPCRDMNLETSQTVFAGPYLTEESRRRFERDYNLFNVGLMAMPVDLPGFAFRRARQGVARLVRTLGECARQSKARMRAGGEPECLVDYWMQDTLREIDEAAAAGRPPPAHTDDKEIGGFMFDFLFAAQDASTSSLCWAVSALDSHPEVLDRVRAEVAAAWSPESGEPITAEKIQGMRYTQAVAREVVRHRPPATLVPHIAGEAFELTEWYTVPKGAIVFPSVYESSFQGFPDAEAFDPERFFSESRREDVAFKRNFLAFGAGPHACVGQRYALNHLVLFMALFVSVVDFRRERTPGCDDPVYMPTIVPKDGCTVYLKQRCAEFPSF >Dexi9A01G0028550.1:cds pep primary_assembly:Fonio_CM05836:9A:33278045:33280461:1 gene:Dexi9A01G0028550 transcript:Dexi9A01G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARSAALLAAFVVVVVASSAVSCRAQLANNYYAGKCGNSSVEDIIRSAVQARLVWDKRMVAGLLHLMFHDCFVTGCDASILLDGPNTEKTAVQNTGIFGYDFIDDVKTALENCGGPSYQVQLGRRDGMVSQAYMASILPGPNVDIPTAIDLFSKKGLNSFDMAILMGAHTVGVTHCSVIHDRLYNFNGTGLPDPAMDPLYVWILTSFACPKGQTFDNIVYLDDPSSILIVDKSYYSQIVKRHGVLSVDQALGDHSSTAWMVNFLATTDFFPSMFSYALNKLAALDVLTGTAGEIRKNCRSTN >Dexi9A01G0031600.1:cds pep primary_assembly:Fonio_CM05836:9A:36585399:36594017:1 gene:Dexi9A01G0031600 transcript:Dexi9A01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASSSSTVTGPPAVASSSSLPTDHGAGEPPDLNSPFPSVFFWLDRIRALLPQENKSLLNHGHGQWQDPASNETANQGSQQQEQAHLQRNDQPRRSETVSQGSDSKHPSNTQKECELVKVKQEPANSSQQGIVAQQQPMQQMKSEETQIVAQQQPAQQMKSEQAPIVAQQPPMQQMKSQQIPIVAQQQPMQQMKSQQPPIVAQQQPMQMKSQQTPHTNQTNGAATTPKAPVVAFHMLLPMLRRYIDKDKDMQVQSNFAKLRVQSSVQAPPAAVSKTPQRKASGQKKPLEALGSSPPPSSKKQKTSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSAPKEEIFCHLFSDYGILAVRKCNLKVIGADVERCLSMGDSNANTDLEKDKNETRASSKHAKTYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSIPGGVRRSPLTKVARSVSVKDVIAALEREPQMSKSSLLFRLYRRPLTEPAAK >Dexi3A01G0026200.1:cds pep primary_assembly:Fonio_CM05836:3A:22290000:22296715:1 gene:Dexi3A01G0026200 transcript:Dexi3A01G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEGPVAPHTNHSYKPRPDGSERTRSLLSRVSTGIVATLASVPPMAPIMAVPPRASIISLVSFVQHHLRALLSDPSALHAARRRCLSLLAPPRHRRHVVDADADDEAILAALHGAVDALLPASGDDAAACKQKQLAGVEESLQAPALVPEHGETAGLDNRRVAACAYFCLALARCAQGDAWQMAMDLLRAVAVCPAAVRAAGDGGLAPRALWEGLFDEGVLARAGGGGEEDAAARRAARRYKDWLMYYKVVAAAPNATAGDENGGRVGYGYIHFYPSLRCSASPVFFAATAYGKHQVASRASIPLVWWRRFRMVRDQLQLLPGRALVARAEWCPQLGRTGSSVVSRWLSSSEVSKASYPVCISLYNAVFVNNSWFDLKLGPDGEPGHLAMLQDRRTLDHEGQLYPRDGLAELKDFLSIADQDFQEDTKGSSESRCLHEMLEESQSDSPVSFYSHLDSSEESDNEKQAAPYDKGRSAKIMPIDADFVAAKLHERSSHKNLTWCTSPENAMIYAPESPIYHVDDSEMKSNCLQSNRSHGSLNHLSNSVLELKNADSYSAFNYSTKDGLFPQCSPRCDLRCFSSFSTKFIKKSSLSDLVSRGSMGRKFKTSTTSEDWSDVSSRWGKDSQVDFLERFEKAVSKLLVSDGLESCLDVGSEVTTIWQLLNNTSEVRHKSSTRQDILDQLLDSISTSKKDKVIRVSAYVLLLMISEDRNVMRGIKRKDFHLSNLATALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHVACNSDTEKWPAMLPLTPTSASIALIEILVTAFDYVTNNVHLASLSSPPILSKLVDVAKNNNLEEVVALAAILIRCVHLNGNCKKFLSQATPVDPFLHLLRRKEYRAKCAALEYFHEILQIPRSSANSLLQEIRRQGGIAIMHTLMASLHQTEPENRVLAASLLLQLDMMTYYFLTTGKQLLMSLSEGSRESLRRLSSFTWMAEELLQVTDYFLSSKPVMQRVSCVHTQILEIGQPGNGAATAIAFFRGQLFAGYSNGTIRAWDIKGQRAVIIREVKEHKKAVTCFAISETGENLLSGSADKSI >Dexi5B01G0022100.1:cds pep primary_assembly:Fonio_CM05836:5B:24313895:24315254:-1 gene:Dexi5B01G0022100 transcript:Dexi5B01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHWLLRFLFGPVPVYFSALAILIVLTNAQYFGLVGVGVAPRAAKLASSTPVVSVMKYCDIFRGEWVPDREAPYYNHKTCHMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPLQFLQFARGKSLAFVGDSLARNHMQSLLCLLSQVSYPKDISANPTDQNKVYHYRAYNFTISMFWSPFLVRAREPEHDGPAHTGHHSLYLDEPDEKWVSQISRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRRAWRVALRAINDLEQLRGRVIVRMLSPMSHFENGTWDQGGDCKRTQPMRANETSMEGRDLHFYTAQMEEFRAAEKVARDKGRRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDMLFQMLLAE >Dexi9B01G0045850.1:cds pep primary_assembly:Fonio_CM05836:9B:45302146:45302724:1 gene:Dexi9B01G0045850 transcript:Dexi9B01G0045850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPPAGSPAPEPMPAGSDDASTSAASTCSSSSSIPCKKDVSGDAAVGKNPSALSESAGLSSAIASRRFFLSSPGRSNSIVDSSAHGGAAAAHLGLIGVGAAGVAVPTYSPDPHGDFLRSMEEMAAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRLTAVANLHDDDDHHD >Dexi7B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:7B:15759842:15761451:1 gene:Dexi7B01G0007950 transcript:Dexi7B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGFGPGSPKSFRYPRGGDFDLESGISRKGRKPKNPHLEASVLMRIRNFYEAHPVAVALILLSFGLSVLILLSVYETRFRTMTRSGEVGEYPFPNLQNLVMVAGHSIYTSASCGKTDREDSWFLEPYQKHHGQAATFLEHIREGVDIAARDERALLLFSGGETRKDAGPRSEAQSYWAIAESKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGRYPQNITVVSYDFKEERFAQLHRTALGFPEGRFFFSGTPATPSAREAALKGEASVRAQFLEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELRSLFSYCAPTPFSGHLPWTE >Dexi9B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:9B:10011100:10011742:-1 gene:Dexi9B01G0014930 transcript:Dexi9B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAGEKIAGVPALGWWLMAVGALRIALTWSGYFGAASLGAATYAQAEMTGVHGRTFGVWTLLSCTLCFLCAFNLGNRPLYVATFMSFVYAYAHFIIEYQVYHTFTAANLGAFALVAVTSIIWMLLQWNSHGGHGPRVAAKQS >Dexi1B01G0022810.1:cds pep primary_assembly:Fonio_CM05836:1B:28492560:28495310:1 gene:Dexi1B01G0022810 transcript:Dexi1B01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDALYAPPPSDAAALIYDTFNAAAAGFLFDGPAASALYDGAGIVVAPAPTHPQLQAGEAAATSPATPPATRVRKRRRRPRSCKSREETETQRMTHIAVERNRRRQMNEYLSVLRSLMPEPYVQRGDQASIVGGAIEFVKELEQQLQCLEAQKRTLLLHHHRPSNPNPDATPIHHTTTKPPPACVESTTTTTTTSNCSSSVTEDAAAAADHAPPFAQFFAYPQYVWCHSPRDAGAAAEDGGGGRPGVADIEVTLVETHASLRVMTRRRPGQLLGLVTGLQQALRLAVLHLSVTTVDALALYSISVKVEEGCGLTTVDDIAAAVHHVLCIIDAEATEQQQQQMLAS >Dexi3B01G0026480.1:cds pep primary_assembly:Fonio_CM05836:3B:21627328:21629483:-1 gene:Dexi3B01G0026480 transcript:Dexi3B01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi9B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:9B:140713:146326:-1 gene:Dexi9B01G0000170 transcript:Dexi9B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNWEADKMLDVYIYDYLMKRNLQATAKAFIAEGKVATDPVAIDAPGGFLFEWWSIFWDIFHSSSTAKASSASSSAAPLVDINKSREHQMRLQLLHQHNAQLRTRGAPPPPPPPASINALSSDVSAVLASKMMEDRIRNPNPADSDASQHLLDANKIALLKSQPNHTGPPQMQQQQQQMQPRNQQQFDIKPDVAMPQRTLPADPSSLHASGMMHPKPPLLAAGLSQGGVGSVPLKGWPLTVPGIDQLRSNLGVQRQLVPSSNQFQLLSPQQQLIAQAQTPNDLTRMGSPAPFASPNVQSDDPDNLMKVIEDGPDAAALGPSAYGTATATSTGLSLSEVGNNRASNSKVVCCHFSSDGKLLASAGHEKKVKQGGTGRVRFQPGSGQLLAVAAGSMVNIFDVEKQADLLSPPKTLELWSFLDKQRNPIQAHEGLIAALAHSPFTGMIASASHDRYVKLWK >Dexi5A01G0024070.1:cds pep primary_assembly:Fonio_CM05836:5A:27993913:27995844:-1 gene:Dexi5A01G0024070 transcript:Dexi5A01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPGASGSRLPSPLLSLPRAKPRCVPAASSACRAASSSATGATSDGGARKPWLFVGLGNPGKVYQGTRHNVGFEMIDAIAEAEGVSMSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQMVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGCPE >Dexi8A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:8A:6392113:6397656:-1 gene:Dexi8A01G0006290 transcript:Dexi8A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRKKQQGSSASAAAAPEVSGKGKEVTEDGKEKQRQKGKEKEVREADRKDPAKEKQKQKGKKTVARSEEKQKGKSAKEKPAAEQQGEEEEELAAAAKSAGEQFFKIFFPNQSRERLKIPTAFHQHLKEHPTGPVSLKGPSGNTWEAVLTSDSEGLCFVQGWKEFVTDHSVQQGHFLVFTYDGLSQFSVTVFCSLGIVDPLALVAKPTNDVVIKIEDGEEDQEDMDAGGTSETSILPPEDSNGITGKRTSGVNDHIPDGKAAKKYSSVANKAEKKQPEALDHTSKDASTVVNTKKGKRNSSETSILPLEDGNGTTGKKTRGVNGPTPDGKASKKHSSVAKKSEKKQPEANDHTSKDASTVVNTEKGKRNSSETSILPPEDGNGITGKRTRGVNDPIPDGKASKKHSSVAKKSEKKQPEAIDRTSKDASTVVNTEKVIVKRTRQPVVISQRRPVTEEEKDLALTRAKEFKSNNPFAVQIMMESYVYVGFFMNITCEFVRESLPRTSKKMTLWDPLGKPWEVNYVYYSDRSVASFSGGWGKFALGNNLEKFDVCIFELFKEDNIKVHIYRVVPEITPLLRASGRS >Dexi9A01G0037320.1:cds pep primary_assembly:Fonio_CM05836:9A:41588692:41589932:1 gene:Dexi9A01G0037320 transcript:Dexi9A01G0037320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFLLLPTRGAARRAGGRLEAVHLEQVGAALAEHADGLPKGPVERVGDKVVELLPAAADAAGLAADGLDHHERGAVDPCAVGELQHRRVAELHVAGGHLRAVGGGRRGERLVPELLELVPPHGEGALALRVRERHLLQGGVLLDDLTEEVVPGGRGDEGADGPDHAQLEAAVGVEGLRDAAAVVARRLGLGGGGGHRGVGAGGSLFHLGAAEDDAALERGAEHGLPLEDDTVVGADVGDGELHAEVADAAEVLEHLRRRVVLLRRVLAAAAAGLGVAVREGLEHGEEHGLEEVREHGARAGRPREAERVAAVVGGDDAVDAEASVVHERDERVEAARHGGAAPQRARVGQLVEDDEHQRVGHPVEVVLPLRGEEQVLREDPVLGLHPGLERRDEEEGGGE >Dexi1B01G0030440.1:cds pep primary_assembly:Fonio_CM05836:1B:34420717:34424375:1 gene:Dexi1B01G0030440 transcript:Dexi1B01G0030440.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFFLLLPPPPSRRFGTPHDRRRRTTNRGGGWQDNKMQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKTLLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLKGSLKRLDMDYVDVVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWAVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSNYGIGLTTWSPLASGVLTGKYSKGNIPADTRFALDNYKNLANRSLVDETLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALDVIPLLTPEVIDRIEAVVQSKPKRTESYR >Dexi2B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:2B:5914922:5915896:1 gene:Dexi2B01G0006310 transcript:Dexi2B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDLTCFGDTSVQIADAASSSSSSGTGGGGGKRGKGSSAAAAAAARGRVTCIYQARLADRPCAFSVTWTRGSGGLTGQTAAAAAVSVVAVDAASGDRLCRVDIKPWLFTKHKGSKSLDIAGGVKVEVFWDLSGAKFVGASPEPVEGYYVAVVCGGEMVLLLGDMRKEAHRKMGTGGGRHAVDDDTVLVARKEHVVGNKAFSAKAQLCHGGRCHDIVIECDMAGANENDPCLVINIDRRPVLRVRRLAWKFRGNQTIVVDGLPVEVFWDVHGWLFGAATSDAVFMFQTCREPEKPMPWAYLQIFRENQLQGHGFSLVIHAWKVE >Dexi3B01G0023400.1:cds pep primary_assembly:Fonio_CM05836:3B:18178042:18178435:-1 gene:Dexi3B01G0023400 transcript:Dexi3B01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSHATLAIQQQHCGGECSGEPLNQLRKAPPVTRAGAAEQSARDPVLTSNRMYVAAIDEHNPAPLPQDITACRTEPRRQRGGGLATPWREGKGRNQDGGTGRH >Dexi1B01G0031180.1:cds pep primary_assembly:Fonio_CM05836:1B:34889177:34896212:1 gene:Dexi1B01G0031180 transcript:Dexi1B01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHAGTVQEVPAAGGGEETKRKRGRPKGSLNKKKKQTTAKPRAAGANRGTRRTADRALRSRPISPGDLDRGVAAGPRVLRQRRPAANACYEGDTDTEDNEGTTNDQVSNERAKSSDSGKKRGRPRKTKVGQLDSKAQASNGKSNSEMKSDAEAARDKKSKTREFVKTAKKRQRRDEGKEEKLSFARDEKCDANTTEQMEEKEVEAKIQGVSMNDIKVEQAECDLDDRVYCNKCRTSIVDFHRNCKNCFYDLCLNCCKELRKGEIPGGEEVEPVQYEPKGRDYAFGKIKPKDGNKKVSSRRHKNSSNGDSYNGVAAVEKPNNHLLLWKAKSDGSIPCPPKEIGGCGGTLLDLKCLFPEKMLAELEDRAEKICRSETFVKAMVRRSDRCPCFDHSGKIRVDSKSVREAANRKESNDNFLYCPVATGIQDDDLVHFQMHWAKVKLPDAALKPDLGPKTYIAYGFQKELGRGDSVTKLHCDMSDAELYGGLESSMEFKLLQSPNEYRDKAVDGAHGLDINALPPDDSEGDTKDQKSSHESVSLSELVQCPDHSSEVNRSDKTCSGSHCISGDIDMRQHGNRFRGGALKEVVTKPQEEKPRTVDCNGTGRKQISKVIQEKLDSGEGSEQQNIGGALWDIFRREDYEKLQDYLRNHSLEFRHIHCNPVTQVIHPIHDQSFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENIGQEDGSSCSERSCELSGSLFLGRVRVNEGV >Dexi5A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:5A:20389175:20391202:-1 gene:Dexi5A01G0017170 transcript:Dexi5A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKTKLQERAASWGDSDGNLVHDAVDYRGCRADKSTTGGWVAAALALGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIGTGLLAVSTEVRQLRPPPCGVHGPCEQATGLQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDGRERAAMGLFFNRFFFFISVGTLLAVTVLVYVQDHVGRSWAYGICATAMLAAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRSIVKQPLTSSTLYEDDRPEHARIPHTSQFPCLDMAAVMAGDDDNEVGHDGRPTPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRMGGFEIPAASLTVFFVGAIMLTLAVYDRVFVPLCRSLMTGRQGLTNLEKIGIGLVLSILGMAAAAICEKKRLAVVAAAAHGESLPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSALVSLVKGCTPWLGDTINHSRLDYFYWLLAVLSVVNLVAYLVCAMWATPPPATSQAEQPQIAMAADEKC >Dexi5B01G0039400.1:cds pep primary_assembly:Fonio_CM05836:5B:38263756:38264446:1 gene:Dexi5B01G0039400 transcript:Dexi5B01G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYYGGMYSSTTDECYESGKHGGSRRMYSHTDEECYDDVDRRRPGAYADDCYNGAGGYRQTAVYSDEYSRGGYGGERESFRREEKEHKSKERLGELGALAGGAFALYEGHRAKKDPEHAQRHKIEAGVATAAALGAGGYAYHEHREQKEARYEGNQFQQHQHRVPHGYYCN >Dexi8A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:8A:1957403:1958876:1 gene:Dexi8A01G0002920 transcript:Dexi8A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGDGGGDPWLRTKNGHVGRQVWEFDAAAEPDPAVDAARRAFVERRHDLKHSADLLMRIQFAKENPVKLDLPAIKLDEHEDVTEEAVSTTLKRAISPFSTLQAHDGHWPGDYGGPMFLMPGLNEDDGWGLHIEGPSTMFGSALTYVILRLLGEGPDSGDGAMEKGRNWILDHGGATFITSWGKFWLSVGN >Dexi6A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:6A:15939382:15945820:1 gene:Dexi6A01G0011200 transcript:Dexi6A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGPRRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQIEDIAKVPARIENLMADKQLYAAVQMHVQSVLMLEREGLQALCCNCIVHSYVRSVTLSMVDSEEVPASIAAGRVVNSMQPLSRRTRSIKGDNHISGPVTADGFPKTSSVDGGSSFDGPDDDSSLDMREIDGRTRKDSKSISREIPIFLSCAAPDEFIDSMIKTNAPLNVKYLRTLVQCLSMLGKVAAAGAVIWCFSSYSFSIFLTEYALYLSQMHFHDSQRVRPTIHDVITSKIKAYSEEAAKSSTDKHAKRTSDLSPSDGPIPRFQMLKQKTKNGASVMSAQLVVSPISPAMAPTGDAQRAASQLLRSIFECLLDILENHIIVGDLLEQKSTSEVDNINTPHIANGDANWNPDSESSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRQVFASYYIDYYIFTCILAVLDGSEGLSFAFRITDAATSAQKEGMY >Dexi9A01G0022770.1:cds pep primary_assembly:Fonio_CM05836:9A:17907593:17908800:-1 gene:Dexi9A01G0022770 transcript:Dexi9A01G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQEQHRSVVGGLNLLPGFRFHPNDDEIITFYLIPKVHQRNFACTILGEVNFNKTEPWELPDKAKMGEKEWYFFCQKDRKYPTGIRTNRATNHGYWKATGRDREIYRVTREEEMPELIGMKKTLVFYKGRAPQGEKTDWIMHEFRLETTGKLSSPTSSSTSTTTKKSSASEVVNEWVVCRVFHKPNGTNRAPTQPPNNLALASNGIDHSNIPIPVPLPFPMLPDFAMGPAMSYYSNTDRSSSPMTPMLPSTVGMGNIDIEMNNTMFGNSMVMAPSMSYHQIGMGPASTCEFIAALKNETPSVVSQKDIGINSDQNNATKISSMAYAPLEFLSTIDMDGTWKY >Dexi5A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:5A:1588824:1589938:-1 gene:Dexi5A01G0002250 transcript:Dexi5A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLCALHAAAAGASAAPLSLNRRGGMRARRSRAPAVRARRTAAREPDPHAVPNAEEGGGGGGSPAVVPKATLRVGAGVALALALGGASWAARGGSAAGPVLQPAMVCALNAVAADAASRVSGAERAGAATMKTSVDALSDSLFRREDSPRDRATLMDLVFEQVTKEHITDRGKLTSLLQKEFSASRDNERKLDLGLLLTDVLINQRDWQRAREVCQQITGRHQRDPRPYLHLAVINMMMAVEGMLSPDTATTDDIEKMTKTAMDAWKEFKSKSELSKGSADTTT >Dexi5B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:5B:786591:789467:-1 gene:Dexi5B01G0001230 transcript:Dexi5B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTETEGKRAHDPLYSPRRAARATATGFPVGEHGEVFAGPLIIGAGPAGLAVAACLTMLKVPCPYVLLERQDCIASLWRHRTYRRLRLHLPKRYCELPHMPFPPSYPEYPTREQFLDYLEDYRRHFGIRPFFRQEVVSAEFDGEFWCVRTKEVVTAAIGGAGEEAVVSTATREYRSKWLVVATGENAEPAMPEIEGIDLFKGQVMHSCEYRSGEGYQDNKVLVVGCGNSGMEVSLDLSNYNVHTSMVVRDTVHVLPREIMGLSTFRLSVWLLMCLSVQTVDRLLLLTRLVLGDTTCLGIPRPSIGPMELKKVSGKTPVLDVGTISKIKSGDIKVLPGIQSFQEHGVEFIDGRTEDFDVVILATGYKSNVPYWLKENDFFSDKDGFPRKANEWKGKNGLYAAGFSRRGLLGVSIDATNIAYDIAQCCNGIGYEKHKSK >Dexi4B01G0021330.1:cds pep primary_assembly:Fonio_CM05836:4B:23325533:23326556:1 gene:Dexi4B01G0021330 transcript:Dexi4B01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVHCEHHGFTPHFSISSNRRRARAASLTIPAPYINVRRLAVLLLAHFIEQLEGVRKSTSLAVRVHPHTVRYGVRDETAQHCVMVEEVQRLGVAGLLEPEQQRVEGARVGRRWKSARASATRPREQNARSSALSSAAPKARPARAQTRAAESKQVTAASKDAPGAEAESADGERGRGEEVRVRGREGLEQREREVGVAAGAREEAEQDGEGGLRHGEVREPEEAAVEGERGERRRVREEEVREERREEGGAGREEREEERRGGWRVGEEEAREVGYGRGEEWRGGAARRREEAEGHRGVAPPAGADREDKDPEERERELEVGS >Dexi5A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:5A:10162229:10163524:-1 gene:Dexi5A01G0013540 transcript:Dexi5A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMSRPRRRGFGLEASRGMLPLLALQVLMEYGRAGATRPPVTAALLAANTLIYLRPGALHEVLPSLNRVAFNPHLIIQYGDWVRFFLSPFYHLSESHLFYNMTSLLWKGIHLETSMGSVEFASMVAALLGLSQGITLLLSKGLVLLGDYTAYYDQYAAGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRSFNGTDPFTLLIESITKVVTWPLKFAQRLLRSARSQGRITGRGRVGRRASATETSRGLWRCSACTYDNSIATDICEMCSTARENHSFARRQNHHAGGSGEPSVDEIRRRRLQRFDR >Dexi6A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:6A:1850642:1852368:-1 gene:Dexi6A01G0001930 transcript:Dexi6A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGRPGLFGFGDPFAGFGSFGQPGSLASSFFGGVNPFDDPFFVNPFGSMMQPSFPSPFGGMMQTSFMNPFGSMMQPSLLGQGMLGPIGNLNGGMLGSQADLNQRMSNPSGFIQQPPGPSRPKGPIIKELSSDDEDDARDDEEDEKNPSGFIQQPPGSSRPKGPIIKELSCDDEDDARDDEEDDKKKVNFRKHPRESKQPYVEDPDEVEGNKRPKHGQLGREFSRASTSHPQPQTFMFQSSTVSYGGPNGACYTSSTARRTGADGMSLVCLRNHGEEMPGTICLAGIPEWAC >Dexi6B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:6B:19764409:19766194:-1 gene:Dexi6B01G0012160 transcript:Dexi6B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPRLAQMIWRRSAGSRVLGHRFPSDFIDLEKSNSMQQEQSETVKYRVFAGTWNVGGEAPPGDLDLEEWLDTKADSYDIYILGFQEIVPLNARNVLGPKQRSAAMKWQLLIGDALNNRRSVQDGNEAKQMHQEHDVFRCAMSKQMVGIFVSVWTRTGLRRHVRHAGASTFGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGEEGAALRRNADAAGVLSRTSFLNSGGAPAPAKDMPKKILDHDRVVLLGDLNYRIAMDDAEARQLVKARKWSMLLENDELILELSKGRQFDGWREGHVTFAPTYKYHRDSDQFYWCADAGDAGDASRLKKHRAPAWCDRILWRGKGMKQIRYEQCGGYRLSDHRPVRAVFHAVCELAVVEGVDD >Dexi4B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:4B:3625743:3626435:-1 gene:Dexi4B01G0005110 transcript:Dexi4B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCRAGLHPDVALEYALLFARNELGAADVRHLDHDFLSSMGVSVAKHRLEILKLARKDSSVASALTSLPSRATSLLASALSPLLHRRASSSSSSSSSRGGGGRDMRVRALAAPRLPPMMMRVMRHRGGGRVAHSWGKTMLVAASPAGGKKKASPLALPMTPTHVSNPVVLTSSCAVTAKALPPPPTVVVAGGGCLATATETCGCDDDGEEEEMRWESMFQDLKPN >Dexi9B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:9B:2500221:2501702:1 gene:Dexi9B01G0004380 transcript:Dexi9B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATAGDRLDVVLFPWLAFGHMIPYLELAKRLAARGHTVTFLSTPRNITRLPPVPPHLSPRVRLVALPPATVEGLHEGAESTADVPPEKNELIKKAVDGLAAPFAAFLAGAAAGGRRPDWVVVDFCHNWAPAIAGEHGVPCATFQIVPASFIAFFGSRSANAAHPRTSPEDFTVLPEWCAPSFPPGITYRRHEAEWWFATSKPNASGVSDMDRMWEMMESTRFAIYRSDDEVEPAGAGVFALLSDLFHKPAIPAGILLQPELDSHHDDEPARPEVLQWLDKQPSKSVIYVALGSEAPLTATNLHELALGLELAGGLIARTMAERRVGVEVARDDGDGSFGRDDVAAAVRRVMVEEEGKVFATNAERMKRVLGDQRRQDQYMEELEGYLIRYKDTNTPTVRNGISTR >Dexi1A01G0007600.1:cds pep primary_assembly:Fonio_CM05836:1A:5872589:5873264:-1 gene:Dexi1A01G0007600 transcript:Dexi1A01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWFLESLPLVLYLDSIDLGELNMPHTIFPWAQCFTADRMKAMAAADVVRVKSGDRMDPVYGASK >Dexi5B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:5B:2663856:2664050:1 gene:Dexi5B01G0003910 transcript:Dexi5B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFGSSTSASRSSSTFPRTPGGRQPWQLLVQAHLVVGVAVERGHGVVVVVLVVEAH >Dexi2B01G0021320.1:cds pep primary_assembly:Fonio_CM05836:2B:31149169:31150495:1 gene:Dexi2B01G0021320 transcript:Dexi2B01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFRAAVTFNGETFEGPSGCTTLRQAEHAAAEVALARLSLRGPSTSLAARVLDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVFASTVELAGMSFAGEPARTKKQAEKNAAMAAWSSLKQMPEARKEPSPGAGEEQEHVVVARVLAALKPRDDGSKAAAAPLAKHCGTGGSSSPSALPIPSLYRHQWRPRNAPAAQPPPRQTTPAAAVGPRILPPLHLLQQQPAASSSTRDAAAAAAELVRMLERAMVRDRAAAVEAAMAPSPACYYAPAPAAYHHGGGAPPRSFAAAGGLHAPAVSVRSVIPVCAAPPPRPPAAVGKEERNGPATTSSDAAGKRM >Dexi4A01G0023980.1:cds pep primary_assembly:Fonio_CM05836:4A:26870489:26871926:-1 gene:Dexi4A01G0023980 transcript:Dexi4A01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAMEGFIVLCLVISMDVLGCAAFSRVRQPLPMYVFGDSTLDVGNNNFLLGLSVPRASIPYYGIDFPGVPAGRYSNGFNIADFIAKSMGFVSSPPPYLMLASNQGLLAATALETGVNYASGGAGILDTTNSQQQIIPLSWQLQHFKETKAKMVAAAMGSAAPPVDALLARSVFLISVGNNDIYGLASAIFTNLISNYSATITELYAMGARKLAIINVGLIGCIPAARLSQPLGACDAGKNQLAAGFNVELRSLLAALAARLPGLVYSLADSYGLTKDILDEPHASGFTDIASACLTTTTVCPTRFQRDHHVFWDPFHFSQRACFLTAQAFYNGPAKYTMPINFMQLMSG >Dexi3B01G0036270.1:cds pep primary_assembly:Fonio_CM05836:3B:39109798:39111118:1 gene:Dexi3B01G0036270 transcript:Dexi3B01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRATAAEMDPLDATLFANQSLCWLRMGEGNRALTDAVKCRMMRPQWAKAWYREGAAFSFIKV >Dexi2A01G0037260.1:cds pep primary_assembly:Fonio_CM05836:2A:46853702:46854400:-1 gene:Dexi2A01G0037260 transcript:Dexi2A01G0037260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAPAAAAAAAPDPGPGRRLQAAAAAAPDPGPGRRLQAAAAAAAQQRRWRLRPTQEEGKGEEGGAAAGQPWWRRRPAAAGAEVAAGWNYCYK >Dexi5A01G0025900.1:cds pep primary_assembly:Fonio_CM05836:5A:29614564:29616320:-1 gene:Dexi5A01G0025900 transcript:Dexi5A01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLSPSPSASATSSRRGQILAAEWPPGSSSSSNPHSDGPPSRTVDTDDEEFGGRPAKRVRLESGCGDGVCAADRPPEDGRDRISDLPDAVLLSILSVLPLRDAGRTAVLSRRWRGLFDQSLLDFNACQHFPPEEGRGCDWFIGAITGILAARQRICIRSFRFVMYGRAFHGHLGAVDDWFRVLARHGLRELDVDMFYAAHMPTLPRSLFKIASLETLRVSYCRFPESSAPPPWLPALKVLDLFNVNISQESLHAILSHCTSLERVKLKNITGVDKISLRSKSLARLYGDFGDLKELIVEDAPNLEELVGIGLPSGKVKVKIIFAPKLQVLGYLGISVRPLLLHDTVFDGGIVQLRTMMYSVKTLAIQVPFSERGYTVFVAQLLKCFPCLETLHIEPNKRSISRWVNVEEWDTTNSIQCIQHSINRVVFDFFGGEEIQWGLLTFLLRMARALKLVELYCWMDKDWDSDQIELLNPKNRASPDAEIKCFRICKPISELYLCHCCPHRCQKENRVALI >Dexi4A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:4A:20929922:20931252:-1 gene:Dexi4A01G0017160 transcript:Dexi4A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRTSIPVVAAADSATGGQRHQRQSTKLGQDGALVQEKVAVMQMLSGHGATLLPLPAPASLTLSLQSNSTGTPMDEEPDSPTSPSSCTLPDGYSTPLTSEYGSPPPPVNRETLYNPKSRCTARPPMDALLLQRSQDDEPSLSGALESGDIYPPDYSAEPGCAVRQDTEFAEIALRCHNDDPSNEVKYELIEATMSNFMFEGSRNYGHVNFTTRAKQDGSEEELFFAELNLRDSTTLTCFCSLKEMEDQIGGRMEMEMDTEAIGVVDLYHCYACDNALKHPRDGASYQAGLRIGPRH >Dexi4B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:4B:519992:520731:-1 gene:Dexi4B01G0000810 transcript:Dexi4B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQVPVVKVVSLETVKGH >Dexi5B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:5B:17046236:17046643:-1 gene:Dexi5B01G0016740 transcript:Dexi5B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAMCRDYSNTQHLEFVKSLPDQEVNRGNTGSQKRSLARAGWAAAVTTGGGNEPATFRRRRRCAGTPEATMGGGDPAATVGGGDEPATVGRRRGCGRDAHPACGVFIGSFAMIVRGGRSWLQTWASDVGRR >Dexi3A01G0030050.1:cds pep primary_assembly:Fonio_CM05836:3A:33361376:33362242:-1 gene:Dexi3A01G0030050 transcript:Dexi3A01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFHAQEWFRPSSGPSRHDPDPCIRSWYPTTGYPVKVAPSLNASSEPWAWSWSCSCLSRTKSNVRRSRVKPASLFPSPGVDTSTRSSCRSSTVPCPGSQNMSANSWLRPHVAMSSGRSASEWLRRMVTLRLNCGSSWRVTCEMTPSTPTETLAASRSGSPARSSRTMACPGAGVTIRIPTTCHCHILVGRAALAPEGAPVCAGGDDPADGEPRSANPAAATAARRSRSTIPLSTVICFLA >Dexi3A01G0002440.1:cds pep primary_assembly:Fonio_CM05836:3A:1664263:1664634:1 gene:Dexi3A01G0002440 transcript:Dexi3A01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEAANLRAIQVMQQILDELDRAGVRGPAPARTAGQDLHLGDGRFVPASREAMARLRETTVAAETREEEECASFEEGDRMGAMPCSHEFHDGCIRRWLAISCLCPLCRFALQSPPRGS >Dexi6A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:6A:3018924:3020474:1 gene:Dexi6A01G0003390 transcript:Dexi6A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTGLHLPPAAINADEDADVFRPLNSDDVRSYLHKAVDFIADYLNSVESLPVLPDVEPGYLRRLLNPTPPPSSAPFDVAMKELKSSVLPGMTHWASPNFFAYFPATNSAAAIAGDLLASAMNTVGFTWQASPASTELEALALDWLAQLLHLPPSFMTRGVTGGGGGVILGTTSEAMLVTLVAARDAALRRTRRGGLSRLTVYASDQTHATFFKVCHLAGVDQVNVHVIATGPETKYALDAAELRRVMEADVDAGLVPTYVCATVGTTSTNAVDPISAIADVARKFGAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLWVRNTCQLTNSLETKPEYLKNDASESGHVTDVKDMQVGVGHRFRGLKLWMVMRTYGVSKLQEHIRSDVSMAMAFEEWVMADERFEVVVKRNFALVCFRIRARGGDMAEEDADVASRELMARMNRTGKAFVAHTVVGGRFVLRFAVGSSMQEERHVRAAWELIKKTTGEILHGQAS >Dexi5A01G0022220.1:cds pep primary_assembly:Fonio_CM05836:5A:26139206:26139651:1 gene:Dexi5A01G0022220 transcript:Dexi5A01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRASVVLVMAVVDVLAAAANAQAPAPAPAASDGTSVDQGIAYVLMLVALVLTYLIHPLDASSAYKLF >Dexi3A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:3A:4910546:4911163:1 gene:Dexi3A01G0007240 transcript:Dexi3A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQLRSLAPLLLAAAAVAAVALAAPPAAAPGGKKGFIVTGRVYCDNCRAGFETNVSHNIAGATVQMECRHFETQQLHDKAEATTDAGGWYRMDIGQDHQEEICEVMLLKSPEPDCAEIERFRDRSRVPLTRNNGMEQNSVRYANPIAFFRKDPLPSCGEILRAYDLYNDTSENP >Dexi3B01G0032210.1:cds pep primary_assembly:Fonio_CM05836:3B:34594324:34597444:1 gene:Dexi3B01G0032210 transcript:Dexi3B01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPHLALPPRSAAESLFTGAGDASPGPLTLASALFANDGGPGGGGGGSSTASFTQLLTGSLTQPPPQQQHQQQQREAERGRGGGVARAGPALSVAPPASAAAGASVFTVPPGLSPSGLLDSPGLLFSPAMGGFGMSHQQALAQVTAQASHSPLRMFDHSEQPSFSAAAASSGALQHMNSSANMTGTTEMAITASNNDNTSFQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRVKDGNSSAADQNEQSNDTTSGLSGAKRDQDAIYGMSEQASGLSDGDDMDDGASRLHELDDADNESKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRKQIIPMYF >Dexi5B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:5B:5945011:5945642:-1 gene:Dexi5B01G0008780 transcript:Dexi5B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVASIAALLLFLVAGLFAAAVAGDDADGAIRLPSDTTASEMARIVRGTTTTEGENGEKRPWKCCDKSVTGPTTEGKVVWYCMDKVKKCTCNSCYELVASQSYYCLDGYSGISPGPSCTTHA >Dexi6A01G0012340.1:cds pep primary_assembly:Fonio_CM05836:6A:18684479:18685010:1 gene:Dexi6A01G0012340 transcript:Dexi6A01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENGNIRSALTTSNVIESDYLPRLPRKEELKTVLDKVKGFFGNVTAGAKESFAQITGSAVSKEEEREQKAKSRSSVQRDKEAQVKGGPR >Dexi3A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:3A:6438187:6441532:1 gene:Dexi3A01G0009250 transcript:Dexi3A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTVTASDPGTAFKLLLCCPVGLPRSRVSVKFDQSFDRIPHPDAALEESISEIWNQRLQRNPSLYNGTKFRLPNTYHYKMVAGICFTALIKYDNPSFDHNHKFQYGGSALHYKDDSKQEYRVSLHLGLTDYRTFVGTNLNPLWEKFLVPSEDDPVRCQHMSNPLGNGAIVETSDQKIIVLQRSHNVGEFPGYYVFPGGHSEPQEIGIMGHQTDEETIAPLSEQVSQEMFEGIIREVVEETGVPASSLTDPVFIGVSQREMNVRPTAFFFTKCDIDSSGVNELYCKAQDGYESTKLYAVSVEELRGMSQRMPGCHNGGFALYDLMRNAAKSL >Dexi3B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:3B:14546787:14547676:1 gene:Dexi3B01G0019510 transcript:Dexi3B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMLFSRSTHGGKDYDDDDQIIGTDQRGNTLLYSTGSHTIRVMPTLNQPKRMCLSLTVGDSLYVMDKFPRASDTKCLCFETLFYGSASTSDTFINERQDWHWHALPPPPYVFEKGYDYKLPYNIRSYTVVGHSHIWISGKDIGTYAFDTVSRAWSKIGDWVLPFDGHAEYIPEFNQWFGLSYDGNNLLCTSDLVASAASEHKPPSLRHVWEDDLKHPEDWVRGMAYAVHLGAGSFCVARFFKTPDEEPCDQCGCFTSRESERFAVLTGVEVQRCGRAGRELRMVGE >Dexi2A01G0027190.1:cds pep primary_assembly:Fonio_CM05836:2A:38572423:38574286:-1 gene:Dexi2A01G0027190 transcript:Dexi2A01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEEVIGKLNVRVLRGNNLVIADPLTHTSDPYVVLQYGAQKLKTSVQKKNPNPVWKEVLQLSVTNPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLNLSHATNGTRIKTIYPVGANYLGGESHVQWKDGKVVQDLILKLKKVDSGLIVVQLEWVHVPGVKL >Dexi3A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:3A:6869436:6870068:1 gene:Dexi3A01G0009750 transcript:Dexi3A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVHYCTKSKMACAAMVILFSFLLLGCDGASDPPSSSTVKHEKIAEVHVRKLLNLAAAVPAVTPVGDRKTAASPVECSEEFVAVCQAEASRWLGGMPSYSVTITNTCASCAVSDVHVSCGEFGSAKLVDPTSFRLVTAGDCLVSGGGAMQPGESVSFDYSNMYPYHLDVTSVSCTCG >Dexi9B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:9B:15072571:15088628:1 gene:Dexi9B01G0020330 transcript:Dexi9B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGARSGVSAAAAALVFVLLVGGLASGAAGAEIRRQKNVQVALRAKWAGTPLLLEASIGGLVNQFYLSCSKRHLMVLMFASELLSKESKDLFWDFIDHWKELDRGSECLTAKCCAQKIVEDARTLLNEPLSSIFEFSLTLRSASPRLVLYRQLAEESLSSISANDSLNRVSGHGTGENFDEASGSSSSGGTCCWVDTGKVPLFNSADLREWLEGLGNLAIDSTEQPELFDFDHVYPRANITAPVAIFYGAVGTKCFKELHAQLAEASKQGKVRYALRPVLPSGCQATSSFCGSIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVPLEDPKTEDLSQEVRGFIFSKILERKPELNTEIMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSIVSSLSRMKLEDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHGELSLADQFVRLKLPQSAARKILSAPPPAESNSFRVDFRSSHVHYLNNLEEDAMYRRWRSNLQELLMPVFPGQMRYIRKNLFHAVYVLDPASACGSEASLLLTFFKFLLFTIDMILSLYQDNVPIRFGIIMYSSRLINVIEESDGTPPVYDGEDTSILITRLFLYIKETYSIQLAFEFLSNIHKSRNGEDDYNEDLVEALHVEGAFVDSLLSSAKSHPQDVLLKLQKENMYKEEAEESSRFVYKLGLYKLQCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSAGKKFVSLFASYHQQDYVFNDIKYLQAPGSVDDAKPVTHLIAVDLSSKVGTKLLHEAIRYLIDGSSRARVGLLLYVRGGSSEPILLLKDIIDRTILSFSHKEKVLVFLHELLKFYEAQPTPASSVAGDWTKTMMEKVYSLAAETALPVDDYKAWFTSFSADTVLKGMDKLSGFLFGQLGLVFGSNAVITNGRVFVMNEGEPFLANDLGLLESIEYDLRTKYIFEIIEEVEFIGVDPDDLTSQFYSDTAMLVSSSMSVREWPSERAHFEILHAEHRYFLICFCMENCAFLHIAIKLNNENSSIHIDAVIDPLSPTGQKLAPLLRILWKQIQPSMRIVLNPISSLADLPLKNFYRFVLPSMDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAIFELEALLLTGHCMEKDRDPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLVAIDSLRGKLMHIEVQKKKGKEREELLNAADDHHFQEKTDNKGWNNNLLKWASSLISGDASSKNQADKVTDRKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYEQLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVGLDSEARQFTARILGDGLESAEGTPPSSETPKPDDKDTDQNVKDEL >Dexi2A01G0032180.1:cds pep primary_assembly:Fonio_CM05836:2A:42790296:42791147:-1 gene:Dexi2A01G0032180 transcript:Dexi2A01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQNEESELVIEQLKIREQKLKAKEDAEDLTQEMAELRYQITGLLEEEYKRRSRIEQAAIQHIQELETQV >Dexi2B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:2B:994155:995876:-1 gene:Dexi2B01G0001540 transcript:Dexi2B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQKLFEVLWKEVLVRFPPDQSASLLHAAVACTRPARVVADPGFRARFRGFHGGDPVPRFLSDLRGYAEGSISRVVRTSTFRPRRSERLCWRAVDARHGRVNLYTVRDGIPFSRSDLIDTGDLRRLFTPPRPRPRSWNAALLYGGPFRVVVVGTDEGGLFCFLYSSEDGARSVEASSEQEIGVDPAPPAQSPESPAPPLDGFSMDSVIDDALEEILLRLPRDDPATLIRAGAVCKRWSGIVSSPGFRRRFAQPALAGFVANLRDGDSGGDTDFVARFVPTGDDPSFPPGTDHRARRALDARHGRVLLATTASGRLRLEVWEPATGVLRELPPPPRTLHDSVLEEPFGWNAAVLGGTHGTPDNLHGQPPAPFRVVLLDDDSSKCKLRLHIYSSEGDAWSQAKYGPLSPLLGVDTAPPALVKSKLFFLIDANSILQYDLSSERMDVILLPRDHYKQQFSALTTTKQGGLGLARICSKEILCWSMQMNPEGVERWKETDTLDLTKLLPPDAFPISEGYLGFAHGLQVFFVGSEDRQSTFLIDFNSGMVTKEGCGEGCTHGVVPCTRFLHSR >Dexi4B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:4B:1725823:1727053:1 gene:Dexi4B01G0002670 transcript:Dexi4B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNVASSRRGGRRGLACLCSPALLNLLVLLSLLSTNLLALLAFLSPHTRTPIVPTAPTSSSSSSSAISAQVAAIAREIDATRLVPHRADLPPELLLFLSPHALPLGRDARTGLTHMPASVAHACFRSPSTLALLSSFASYAPHAVCPRNDTLPHRLISKGCEPLPRRRCLSRGPRTPLPSSNMGVDGRRWVKPRHDHEFLINDVLRLAGAASIKIRIGLDVAGGAANFAARMRERGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTTALDEGGAPALGQAGTEEALEFFMFDVDRVLRAGGLLWIDSYMCRSEERRQVVVKLIGRFGYKKLKWLI >Dexi8A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:8A:7546753:7547064:1 gene:Dexi8A01G0006950 transcript:Dexi8A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAVGGRLGSSSGEWLRLEEEHAARHVRMTSRRRRTGGAKVRWVARIWHGGRATAWIRRWAAAVGQHDAAPALERPHVDGGGAPGWAWWACGGLGVPNQGF >Dexi2A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:2A:32075347:32078687:-1 gene:Dexi2A01G0020030 transcript:Dexi2A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSLGSAARRLAAAPTRACASSASSALRTCPPSDGDEPGHDGLPPTPTEPEERRWPRRGEDNRPHHRNPHHRPHMANGLLLRAASPSLRPAAAATADSDRGHSVVTIGDSGPRGVALRVAGSRRKTYFSTHYLKLLCSSRKILNKVFFDRRAFSLITAASRDHSGLTRQLLDFQHDTVDEVGVGVEHDPFMELKARFMDFKQRNYVENFSNYQSLAEQQTPKFMVVACADSRVCPSRILGFQPGEAFTVRNVANLVPPYEHGGSETSAALEFAVNSLQV >Dexi8A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:8A:30668681:30669816:1 gene:Dexi8A01G0018370 transcript:Dexi8A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPEDEPFSPSDFLDLPPTPCPDGDDHLSLPFITRVLMEDDDEDDDNDHPALLEAQQPFAEILSGFATSSTVPAFATNATWPYDPVELSQMLLLSNYRTQQPPGMGANGGDGHNRVTMEMLNQAFLKGMEEANKFLPKSNDSLPTDTSMDGLSMFQQAAANNNGTTRGRKNRHDIISWDVLETETSRNCKLMAPETTEEEGSDMVDEFILNGYQSLLDKMMDMSISMERGIDKNAMKGKSINEAVDLRTLLIHGAQAVATGDGLAATQLIRKIRQHSSPRGDATQRLVHCFTQGLEARLAGTGSQVYKSFMSRNIPAVCCFQMMAFKFSNITICRAIAGRKKVHIVDYVANIVGLFGYMGRWSTGGKDH >Dexi2A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:2A:874039:875186:-1 gene:Dexi2A01G0001320 transcript:Dexi2A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTRAKKRRLEEEDHISGLPDVILSDIVTLLPTKDGARTQILCSRFRHIWRSAPLDLNVEHLDGHLWSLPIFRILYKHRGPVRRLTVLFNKASAADLRLVDRWLRSHTLDGLQELEFHSYAEHRLMGVPPRPLPAPVRRFLSTLVVARFGGCVFFPDDGDGVHHHHHYPLLKKLTLSNLDISETSLHAMVAGCPVLESLLLLNNHGPTRVKIASPTIRSIGVGSLWGCSRLKQLVVEDAPCLERLLFFEGVKIGISVISAPRLGALRGLHGGYHTLRFGTNALHQVQCFPGVEQLYIEVPVWAR >Dexi2B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:2B:10518019:10518246:-1 gene:Dexi2B01G0009780 transcript:Dexi2B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAAARATAGGLGSGATAYTLSFTRHTLRRSHANLPTPPAGGHRGSTTARSGARRLVGGPPPLNTHDLFYGRPG >Dexi1A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:1A:25695740:25695958:-1 gene:Dexi1A01G0018500 transcript:Dexi1A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPTCSSSALLLRAAAQAVGITVVLVFHLQNHSDLVHFRLDHLAQQTKANPIHLDSVW >Dexi5A01G0033730.1:cds pep primary_assembly:Fonio_CM05836:5A:36011917:36012461:1 gene:Dexi5A01G0033730 transcript:Dexi5A01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPSRSGMTTPSGSGRHAAAGMRGGGRGSPAILPAPSARAAAASAPELHCAQATAASALELHSAQATCRRGMLDSRRVGTWYYSVASSTPAAAAAASSSMSLSAWAAQQMRLQQEVLEERRAGGGSPPAARELWWPAAMA >Dexi3A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:3A:3173881:3174467:-1 gene:Dexi3A01G0004850 transcript:Dexi3A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVYRRCCPRALNIFFLLVPLFSLFHNESVHAPMAAAADAPSSPELDKVQKSIMTNLSSIVGTNSWNTTTPVCNWTGVACSRSGSGSSLVVTNITLCNYGMSNSSIFASICSIDTLQSLDLSRNFFTDLGDLTSCHMKEGLRSLNLSSNRLSQPLSDLSQFLQLEFYLWKSEHILEFFSQLEELES >Dexi6A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:6A:18581586:18582120:-1 gene:Dexi6A01G0012290 transcript:Dexi6A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKFAPVALAEPAVALVGAPVIADAPETGVARVALVMDAAALVGFAAAVGGAALAGVAASVDGAALVEPVAAGGLAALVGAAVAALGVAVSEDAAALGGAAEAEGAAAVEVAGTAVMANVAAVEVAWVAVVEYATEVEDAVAAATLVLAPLA >Dexi9A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:9A:238673:239520:1 gene:Dexi9A01G0000360 transcript:Dexi9A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGGDGQPLGVDVAEVGQAYPLLSVPDEVDELALAGGEADLDDEQAGHGEVPADVAHQRLHALRVALGVLAADGVGLALSSAASFLSPWPGPGMAMAAVARVIMRSYSGVPRAQSAMGVAPCAQGTRPTAACGGRRRERRTACAKGIPGPTPSTLWRSMPLCSGSRASSHRSSGDSRRMRGDGLHSAGTTPSHAPLL >Dexi4B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:4B:16145369:16146561:1 gene:Dexi4B01G0014920 transcript:Dexi4B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLMQCLLAISLLSCAAHAQLSSTFYASSCPKLQSIVRAAMTQAVNNERRMGASLLRLFFHDCFVQGCDGSILLDAGGEKTAGPNANSVRGFDVIDTIKKNVEAACPGVVSCADILALAARDGTNLLGGPTWNVLLGRRDSTTASAALANQNLPPPTASLATLISLFNRQGLSPRDMTALSGAHTIGQARCTTFRGRIYGDTDINAAFAALRKQTCPQTGGDGNLAPLDVQTPTTFDTAYFTNLLSQRGLFHSDQELFNGGSQDALVRQYSSSPTLFNGDFVSAMIKMGNIGAGNAGEIRRNCRVVNS >Dexi9A01G0037520.1:cds pep primary_assembly:Fonio_CM05836:9A:41792265:41796548:1 gene:Dexi9A01G0037520 transcript:Dexi9A01G0037520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSAILGFLWIFTAWAYAEVLFHRKNSASIKTVCLFIFVSRHSDVNLAVMDNSSVKGEDQTLLLEEGGQAVAAKPAYTSLTSQLFREKKNVGFNRLFVMDHMLLLENRLTLRAISEFGGYLLYFYICDRTDLLGESAKVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYAALGILNKYNEIRSVMAMKFVACFLVVILVWEVPGVFDLVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEDTEVKTKLYIKGSIVTVSLTAGYLWYEYIYKLDKITYNKLHPYTSWIPITWLGKITLETYISQFHIWLRSRVPNGQPKWLLSIIPNYPLLNFMLTTAIYVAVSHRLFELTNTLKMAFVPSRDNKRLSYNFVAGAAISTALYFVSFVLLGIAGY >Dexi2B01G0022940.1:cds pep primary_assembly:Fonio_CM05836:2B:32537170:32540557:-1 gene:Dexi2B01G0022940 transcript:Dexi2B01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYTRGCCGWLIVALIAALVATAAMFAIMKRKPGGGSRHLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKSGKLENNQIPWRGDSAVTDGKEAGLDLSKGMYDAGDHIKFTFPMAFTATVLSWSVLEYGDQMSAAKQLDPALDALKWITDFLIAAHPSDNVLYIQVGDPDLDHNCWERPETMTEKRPLTQINKKSPGSDVAAEAAAAMAAASIVFKSTDTKYSDVLLQHAQKLFTFADTYRGLSSESYPKLQDFYNSTDYVDELLWAASWLYHATGDQTYLSYATVQNGQTFANWGKPTWFSWDDKNPGTQVLLSRLNFFGSKQISNAENEGLKSYRDTAEAVICGLIPDSPQATASRTGGGLIWISGWNSLQHATNAAFLATVYSDYMLSSRTAAVQCSGKYYSPTDIRNFAASQANYILGDNPMKLSYLVGYGSTYPQQVHHRGASIPADAKTGCKGFQYLHSSDPNPNIAMGALVGGPFQNDTFVDLRDNAVQTESSTYNSGTLVGLLSGLVTTSSVVQSFT >DexiUA01G0019150.1:cds pep primary_assembly:Fonio_CM05836:UA:39990008:39991223:-1 gene:DexiUA01G0019150 transcript:DexiUA01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGVAAVDGNGKDDDGATISPTPTPTRPPPLPLKVLLLAAGFLAVVVIFLSGFTYSSMLPRFSLLLMSEPLSSSSPTTLERWITTRAPATAASAWHNMTDDELRWAASWQPTIATYPYKRVPKVAFMFLTRGPLPLAPLWDTFFSGAGSSKDKRLYSVYIHATPGYTSSEFSPASAFHRRHVPSKVVEWGEPNTVDAERRLLANALLDVHNDHFILLSESCVPLFNFSVVYAYLTRSHHSFVAAVDDPGAGGRGRYSGELAPEVSPEQWRKGAQWFELHRDLAVDVVADDRYYPKFSDRSLPHGSPARIANRSVTWVDWSRGGAHPAMFVEADVDEAFLRRQTTTDQGNCTYNGQPSHMCFLFARKFAPDTLQPMLKFAPKILGYG >Dexi2B01G0029170.1:cds pep primary_assembly:Fonio_CM05836:2B:37653219:37653746:-1 gene:Dexi2B01G0029170 transcript:Dexi2B01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASCFCFCSSSSIVSDMLRPFVSGRHAAKSPEHPMQSPNTPKDQKLRSRPSATSTGAATPPSTSACRTIPTAELRTDVGNSSMELNAVMVAKKESSSAAILAGDPSGMATHMRLNAPAVAVSTITVLRRFTLPMNASDRMADGSSAIALYVTLMYRFVPRLPTLSGIP >Dexi6A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:6A:9429660:9433853:1 gene:Dexi6A01G0008720 transcript:Dexi6A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEVGRHLGQALGRPAWPLCQSVLVFVHSSPWRALPRAQTGRHVAGERVPLVLARVSGLSRARPLARPRTRRAELTHGRFPPATRQASLRKISPRSRHDLQPPTPFAHSWRPRRLEHAYARPQPPQPALAAPFASPTIPPPPRSRTSTELERRSKFAPVPPPFPNSPHIELDHFLRFLFPHFSRAPLNSPARNRDFPQNPHFRPPEHLHVEQPLRAIPNANRASQPPPTLVKATDLAGVEAAAAAPPLLHRRRPPSLLRPPNRHHSTRGELLVLFPHLSDLLPPSFGRRNAVDERRAHLHLLPFCRVWRFHSEIIPS >Dexi7A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:7A:12899895:12901773:1 gene:Dexi7A01G0003420 transcript:Dexi7A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGTQLCSSRVLSLPRDENGDEELSVLPQHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDIDGNNSFCSSSDMGEKDMDYSSIEYHKPTKPRVRHTKPWSSCAKPSNRGNVQSTSKLRAKVNLRKLGTPTLWRYWKHFNLVSMNPNPSKEELFHGVQQHFQSQVILDFIQTAKRLKILYNSS >Dexi4A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:4A:19672296:19676273:1 gene:Dexi4A01G0016140 transcript:Dexi4A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPYSSPKQAAALLLLLILCAGIAAPAAAQPLASSQAKALLRVRRLLGFPPELEPLRGAPDPCALPPSPTLAVACEGGQVTALSVVGDRDRGAPAWRAALPPSFSSEALFTTLARLPSLARLSLVGLGAWGPLPGAKLRRLQALQLLNLSSNYFHGDVPGDLARLYSLQSLVLSWNLLNGSVPSFAGLQFLEELDLSHNRLGPAAFPEVGKAMVRLVLADNNFTGNIPAGVSALGQLQYLDVSGNRLQGWIPSSIFALPALRYINLSRNRLDGQLPATTACAEALAFVDVSANQLTGARPACMRGNSSARTVLVAGNCFADAKQQRASTYCSPGALAAVLPPPQGNGGGGHGRGKGGEIGMILAIAGSIVGGALLIALVLMVVLRRARRRHPEVSVLPKSPASTQAKKADGWEAPSAQKIITPADKRHASQAARVNTLEVPAYRVYTLEELQEATNNFSSSNLIKTSPLAQENPNIASSVYLISECVTNGSLRSHLTEWRKREMLKWPQRVSSAIGVARGIQFLHNVTAPGIVKNDINIENILLDKTLTSKINDFNLPMISTSKNGKIFSEIPFALHEDNDIGSAPNTEQGDKQDIYQLGLILLEVITGKPTDSEIELNSLKAQLSEALTEDPDRLKDMADPAIQGTFAVESLCTVAEIALNCTAGEPSDRPSVDDVLWNLQYSMQVQDGWASSESLGLSVKSQA >Dexi6A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:6A:28505154:28505472:-1 gene:Dexi6A01G0021150 transcript:Dexi6A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMAIERCRIWWPRQELQLEQGPDSATLILFGWLFTSSGSLDIVVSAAVPQDQILRSFATLDTLQAWARIQ >Dexi8B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:8B:25118202:25124005:-1 gene:Dexi8B01G0014530 transcript:Dexi8B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVLVVLPLGLLFLLSGLIVNTIQAILFVTIRPFSKSFYRRINRFLAELLWLQLVWVVDWWAGVKVQLHADEETYRSMGKEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIVPKDSPQPTMLRILKGQPSVIHVRMKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWACLLLFGAIEFFKWTKLLSSWRGVAFTAVGMALVTGVMHVFIMFSQAERSSSARAARSRVKKD >Dexi8A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:8A:1441814:1457577:-1 gene:Dexi8A01G0002170 transcript:Dexi8A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPAPQPAAAAPEQTDAERLDALDRMLTRLALADDARLAPVLARVLPYVITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESTSSTIVRNFCVVYIEMAFERLPTEEKGNIAPDLLTNISNAPAQHQGIILRLVTKAISECNKHKVDDTIASKYRAITESNDGQVFSDFCFHTLLYQTPPQGIGCPSGLSIAQSDRVTGKIPLQGDILASRKHLAFSLLKNFVQSLQLGILNVMEAMNFAPETVYPLYLCAASDSQESVSKKGEELLKRKASAVNLEDPNLMKKLFTLFNGTVGAENIAAELKVSPAHASLRMQLMSVFCRSIAAANAFPHTLQCIFGCIYGIPLLQYLTSQFCMLVHPSYLSLYFFACRKWNYFKAEAVGNGIYCLGVQTCMRTLHAVAGQLKLIGPVILTGILRSLDGSSTTETDSTGRDTKLFAYQAIGLLASRMPSLFRHDFFLKLCSLLFICVIMNDFSTSEAAISLATAYKGASMILLKDLEVLLLENCEAEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDGRQSSTGSVDFSYPDVTEMINYICHQRPQLLDSDEQRNGKLIFPSKTFLSMIKFLMKCFEASGSPDLVQDPSHSPVAKMCVVLEHAMSYEGSSELHALALKSLVDLSSREPKLVSLRYADRIQWLKAQLGHVDSDAREAASRLLGIASSALSRSAALLLLSEFTSTLSQNRPSRFENYHGLLCAIGYLTAGCLKQSYIPEVIVKNVAEILVKVVDSEGSALASVAMESLGHIGLRCALPSITRNSCTDALLTILHEKLSKLLSENDTKATQKILVEDVLFSAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTSDAPLVSSNSYERGGCEEAHAMAREEIIKKLFETLIYSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDVSMKEQLVHALVNTLTGTARKKKAIKLMEDSEVFQDGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNTLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVAKYLRKIWITAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTQLLLKLLYSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNASDILGGYNAVVIPVIFVSRFDDDKDTSAVYEELWEDIPSSERVTLTLYLPETLSLLCDCMSSSSWAGKRKSAKATKKLCDVLGDSLSAHHHNILESLLKELPGRFWEGKDAILDALASLCSCCHAAITAEDSSLPSVILNAVCAACNKKSKLYREAAFLCLQKVISSILIYWTIFTKGSSSATSSADAEQDESEGASVSLDKVLNCATSCISIAFPQDVISQKENVLEVILNSLSPEQSWQVKLSSFSCVKELCHKFQKSDDIDTWPQDTASLVQELFHLVSAKVLDSIRLIKIAQVHTAASECLLELCKLYKDFPLTERTEAQFEDELADLCETEKSEQAKALLKECLGILQTLSRVTMRID >Dexi5B01G0010150.1:cds pep primary_assembly:Fonio_CM05836:5B:7076422:7078323:-1 gene:Dexi5B01G0010150 transcript:Dexi5B01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRQSSGGSSAGATPGGEGNTHSSGGGGGGCKLERKDVEKNRRLHMKGLCLKLSSLIPPAARHASLLSEAAASASNPNKDTVTQLDQLDSAAAYIKQLKERIESLKRSKAGGGLSGHVAGTAAVATGVAGAAVRMPVIEARYQDGTLDVVLISEAGRPFKLHEVITVLEQEGAEVVSASFSVVGDKIFYTIHSQALSPRIGLDATRVSERLQDLLHLV >Dexi5B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:5B:19468702:19469734:-1 gene:Dexi5B01G0017630 transcript:Dexi5B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEETSGGGAKKPKAPSASPVAALSVNLLRQILLRIPDMGSLANAALVEKRWYAVASNPAVFRRFDALRRPPLLGFILTDRGDVLFPSRCSNLRFIPATRRHPNLASIAAGADVFFEDLPDYHSHDEGDADWRLRGCASVRLFLSRGRYGLLLAVYDPIARTAVFLHTSTVRVFQHSNHRVRYTIVVDEADGSFLVIGVVECEAVVFSSRSGQWVEFRDDTIIERSTRSMNDDTMQWLVTAAPFPPGESYCVADMPEHGGLCLFSSKKC >Dexi1A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:1A:8634749:8635424:-1 gene:Dexi1A01G0010130 transcript:Dexi1A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGPGLTSWRSGFTHRIGARGAAGRQEMAEEVRHELACCTGAVVLSKISGRGEMKRNKIWLHGPE >Dexi3B01G0034170.1:cds pep primary_assembly:Fonio_CM05836:3B:36932221:36933521:1 gene:Dexi3B01G0034170 transcript:Dexi3B01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMMELLLGLALCMWAGLAVPAKFLSVLPHSDENRIVSLPANLDFMIFNHRGSALPSDADLKLKT >Dexi6A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:6A:25951067:25952563:1 gene:Dexi6A01G0018160 transcript:Dexi6A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQHQTKPAAAPSTTTGGDLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGVNKEGQTILYLVFEYMDTDLKKFIRSHRSSREKIPALTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAIIVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELVTNNPLFPGDSELQQILHIFKLLGTPNEQVWPGVGKLPNWHEYPQWKPTKLCTLVPGLDDIGYDLLGKMLEYEPAKRISAKKALEHPYFNDVNKELY >Dexi6B01G0013630.1:cds pep primary_assembly:Fonio_CM05836:6B:21357141:21358136:-1 gene:Dexi6B01G0013630 transcript:Dexi6B01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDASRPGLKSWVVCLPAAALGLSAIYQGYVRRMKRIGERFSAFIDHVVDEHAERRRREGDSFVARDMVDVLMQIADDPTSEVQIGRVGVKAFTQDLIVGGTDSTSATVEWAISELLKKPSIFATITDELDRVVGRGRWVTEKDLTCLPYLKAIVKETMRMHPIVPLLVPRVARQDAAVARYDIPKGTVVLINVWTIGRDPTLWDKPEEFTPERFVGSKVDVKGHDFELLPFGSGRRMCPGYNLGLKEVQLSLANLLHGFTWSLPEGMAKEDLSMDEVFGLSTTRKYPLEVVVQPRLPSELYAYA >Dexi4B01G0014900.1:cds pep primary_assembly:Fonio_CM05836:4B:16129393:16130043:-1 gene:Dexi4B01G0014900 transcript:Dexi4B01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPCSSSSSSSSSSVAAVQGSRGGGEVGIRVGATNIGRLRGAAAAPGARQQGKQHGARGPGVTSWHLRVFAAVVGVMGCVLLAASLAMSALHQVQFRNAAISRNFRGLQSD >Dexi7B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:7B:18393147:18396351:1 gene:Dexi7B01G0011310 transcript:Dexi7B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLPLFSLQFHHASHPGYGSARPAARNPAHPFPPHQALPFLSRPVASKMEAEYPHHQAGPSSATTTTTLWPYATRRRKRGEDGDDEDDLSPASVAMDLDADAQRAAVRASPPIIHPPRVTPRLELLRDYLVDRFGSCWIGWTDGCLLPVILVPGAARGFLEDWGHPVTFLRVGAGPRVWTGGEAAFRFFGWGGEEDDEFYELQPADYFNLISNRIAEQSKMLKTRKMREAELAAQRAKRTNAVMRVRFPDGYILEADFPPSERIHNLVELLMKVLARPDLPFYLYTVPPKKRILDTSQDFYTAGFVPGANIHFSYDLPEGSLLNTDDLKAGPFLREEIRSLDGLSLLLKPASQPDDSRMDSSSLQSVVPQSDTAPITNKKPGKPKWLRR >Dexi7A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:7A:450363:450701:1 gene:Dexi7A01G0000290 transcript:Dexi7A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQAKSFPRKASHSHNKAQRRWPSRLVDGFRRMLVGLFSFPPRPPKVTFSVDKHRAAGGAGGGGGDASKRSSWSSSNLHPLNAHYDEAIADCVEFFNKSARVDLRSRPHF >Dexi2B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:2B:8663816:8664031:1 gene:Dexi2B01G0008480 transcript:Dexi2B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSWSSRIRGDVPWREEPEERWCFGAHDRRGMRAAQHRSLRRKKMRWGRDNRRLLQMLMATVCRGLVGD >Dexi9A01G0041600.1:cds pep primary_assembly:Fonio_CM05836:9A:45237685:45240337:-1 gene:Dexi9A01G0041600 transcript:Dexi9A01G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRKPPVAVAEAETTAKLQKEREKAEKKKEKRSDKKALQSGETSKHSKHSHKKRKHDDASTAGQEPKKVSKDSVEQLEKSGLSEEHGVPCFSQTVRDSPESSQDSGNVVRIKIKSNQDSQAAVLEKPRVLEQPPAQQMGSGSLLNKQNLIQHHSRVNARSAGAQQRISSVSQEVQNRVIAEPPAKTMQRVVPNPAVKVTQPVDPQLSVKAPVGRSDLPPKFLGSMEPSPASAMGRSGPHPVKTTQRVQHPPAKISQKDNQLPSEDILRKSPAVCTKVEQNEFRSPAVRPLEAPQPPLPKKTEELSALKQQQQPSVSIPKEEACFSGRNAEAAPVKEVKLSRSDRKKIRKAEKKEKKYSDLFVTWNPSSIDMQGSDLGEQDWLLGSTRNTDASMTCRASDCSVPCQSMVQQPLLQPRATFLPDLQIYQLPYVIPF >Dexi5A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:5A:2880205:2882450:1 gene:Dexi5A01G0003760 transcript:Dexi5A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGTSTQWDDIQRRLGNLPPKPEPFKPPAFVPKVDADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKTARFGSIVPITGSDFVREVSQAPADVWVVVFLYKDGIPECGLLQNCLEELSTRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSDPVLNDGHGGSDSSRDNVIEGVRRKFIEKVVAQHEEREEEDSD >Dexi9B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:9B:2849709:2851399:1 gene:Dexi9B01G0004980 transcript:Dexi9B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKVASTSQLASSPAVQSSSPLPRRAAAMATVPRRRKAPAAIRAVAAAVAPAAPLAPAKPARKRCLPVSETMSRLMANGKTAFIPYLTAGDPDLSTTAEALRLLDACGADVIELGVPCSDPYADGPASTARALAGGVTLDGVLAMLKEVTPELSCPVVLFSYFKPIMERGMADFAAAAEEAGVHGLLVPDLPSVATSALRSEAMKNNLELVLLTTPATPEERMREITEASDGFVYLVSVNGVTGPRANVNTRVESLIQEVKQVTDKPVAVGFGISTPEHVKQIAEWGADGVIIGSAMVRQLGEAASPKEGLRRLEKYARSMKNALP >Dexi2A01G0028120.1:cds pep primary_assembly:Fonio_CM05836:2A:39436274:39445193:-1 gene:Dexi2A01G0028120 transcript:Dexi2A01G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATVRSRAAVAAAACLAVIAAAALLHRRRRRNRAPASPRRLGAGGRRGRPRRACEEEEKPQARFKRVLADNSYSPFKHLRRQVAQPGGAEGEAPLLPSQESSERVHPFEDEITSLLNNPPDFHNSTLGDQCPEMNTSYNWVDTEAQLEHLARLLTEEKAFAVDTEQHSVRSFLGYTALMQISTQKEDYLIDTIALHDAMGILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEILSKPQKSLAYLLEVYCGVTTDKTMQVSNYSSSDRLNFFFEARHRSNMVCMQLYAKEIECPPGASSATSILTRNLQTHGLDSKRSSEVKDLVWKFCAWRDLMARMHDESLRYVLSDQAIAALSISLPKGSTEVFTVIADTDIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLEKKKGYSFKFQAQNIITKSSSNTISENSGHGSENNHALSEQFPENGTESKGQQEFDETQSLSQLEDLALSQGSLSLPVSTEDTTFDHDIVTHDTDAGQLENGVHSPANGHLDKDPSICNNSNQAISKNAEKKISLLGHGHHGKQVVELLLSNGGEEAINQFCQKWRQIFVEAVHPRYLPSGWNINHSGRRDFGDFSVYKPANNAAPTRGD >Dexi6A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:6A:19934852:19935276:-1 gene:Dexi6A01G0013010 transcript:Dexi6A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLTSGVGLREICPTGTVPIRRTLKQDVTGASMPLSRFQPDEESSGVPGQHFAMLLIDSEKGSKFQAAGAVVEVDILALPSGQRSSAQIMLVDDSSSRVSVVQAGWM >Dexi3B01G0028830.1:cds pep primary_assembly:Fonio_CM05836:3B:27427990:27428744:-1 gene:Dexi3B01G0028830 transcript:Dexi3B01G0028830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAAELEDFSSTTTFSEDSKDEVGSKRKRIFSPDDVTRGAARARLFFEELAALPIDEMDVRKTMEMAAKLKADLLKDAVDNPWLQQFF >Dexi2A01G0036760.1:cds pep primary_assembly:Fonio_CM05836:2A:46207083:46213518:1 gene:Dexi2A01G0036760 transcript:Dexi2A01G0036760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTSELLRPVDPAHALDEAALLRYAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGRTTRYVLRKKPPGAILQSAHAVEREYQVLKALGAHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDNSLPGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVQRWERQYLASTGEGKPPRYQRMLDLARWLKEHVPEEDSTAASGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDATPGERASYGGFQHTGIPDGIPQLEEYLSGNASGGERAKFAGQVANTMVDCAWDFISRNKVLPEQPSRGFQISEAPWQEFDGEQGGPTKDQGKFVPSEKVMKLQKQLMKFIEDHIYPMESEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNLFIPLDSAARARKLLFDDRSLVTPESSNDLLLGAGLTNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGRIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAAKHKQQSMILVDIDTPGVHIKRPLLVFGFDDAPHGHAEITFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTVFGKRIAQQGSFLSDLAKVSMFSLIATLFCRIELEQARLLVLEAADQLDRYGNKKARGILAMAKVAAPNMALKVIDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >Dexi9A01G0023100.1:cds pep primary_assembly:Fonio_CM05836:9A:18261186:18268773:-1 gene:Dexi9A01G0023100 transcript:Dexi9A01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARHDSRQFSWLWVSHISPKNSKWLQENLSDMDTKVKSMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTMSEAFPNQMPSMSDESPSSSGQEMEPHTPDMSTITQAPFDSVVQKDGAGVSPHHSISKRNGTHPEETSALSSRKGLKLFNDLSSSGENAPRAGFEGKVRKGLTFESPEAKAKEDISKGIADLQQEVSRLLTESQNLKQQMLSESERANKAENEIQILKDTVLQLNSDKDTSLLQYNQSSERISTLESELSKSQADLKKLTDEMASDVQKLINAETLNIAIQAEVEGLDQKMKMQQQELDQKLKELESFRLSFQEEHEKRMQAENALLSQGKELAQSHEEVQRLTAEIKMTHEKLNEVMQTKDGLENTVCELKKDVESLTEQNHSSEMLIQKLHDEINMLKDSRNELQSEIQSLKSIISQLSTEKNAALLEHKQCVEQVSVLESELSKLQSELEKAAQKVQLLTQDLEQKREELNSAHNQLQDECHRRTQTESTLLMTEGLHSQLQEKLETLTQDLDGSTNKLSEFENDKLNLESTLKELKSTILVLNSEKAASLLEQQQSLEKASDLESQLSKMQLELQRHEQKIQLLELEIAQKNESVDNLELSLKDECEKRLQAQTSLASMERMYSQSQEDVSRLHLEIEKQNGKLDELGNLSSELKNTILILNTEKDAAIHENQQSSVRISDLESELTALKADLEQVQGKIQVLDQELKHKIEEADNLQIRLQDESQKRVEGEASLLMMTNLCADSQNEVNRLAQEIEKLTGNLRQVENNKIDLENIVTKHTEEIHILREQNLSTELMIKDLHHELEILKELNVKLQTEMGLHIGEKEALQRDFACQREEKENLEGMHHTLVDEMDALKTSAAINQKLIEDLQTTNSKLKEVCAKNEVEKALLSEKLQEVEKLSEEYSLLENSLSDANAEMDALREKIKAFESSESSLKDIISCHVSEKAVLASELEVLGKSLSDISEKNSILDISLSDMKTELEDLKAKLKNSEESCQAHLANNSALSAEMDALRENIKVLEASESSLKDAISCHVSEKSALASELEILGKSLSDVSERNSTLDISLSDTKAELEDLRTKLKDSEESCQAHIRNNSALSDEKNNLFSQLESITVIMKALEDNHANLEDKHSSISKEKDFAYNQVKELQGQLRIKNEEYDVAEKSHQLQVNSYEKQISSLQKKNQYMEEVLEQEQQKNLSSSINAVILENSLADEHDKKVALFTECKKYAEANHSATMLVSELMEEARYHKEERKALLMHNEKLREGISQQMKVLNICKDLGPAQSAEDEILMQTVANETINVLKLKDETEDVNRVTYIELSVLSTVLLHVGMELRDLRLQKCALEKEVASGAAELLSFQNRNHQMLEQNELLRQGLKESRDREAVLKTEVFVVQEKLSCLAESYKDSQDEILNLTKENESLSKEYQSLNEKCNFLEDENGTVLEECMMLENLCLFFRGHNNEIASALVSLTDEMALLSLVKGDLDLEVNELRIRSAALESENNHLKEYFVCLLEILRSRLVVSEFDLNTNKSICQELFTELQNCMAQLTQKDDELLEAEEKVQSLQEKNRELCGVVGSLQVAIEGAKVVKEELEKKITRLTEQCTVKDDEILLLNQANEGLQSNAEQCEREFVALMGDAITSSVNSVVYEEKALKFLMEGKATEISAITEKELLMSEIYSRDAHVEELQKKMTGILEEHAELKAELSTHLNLIASLADHVSVLEENTVSLSKPYSTEGKEETAQVPHVQEGDELKSQCIREGTPELQGLIERVEALQVVVLNAKDRQDQESAESASKLAAANNEIQELKARGSSHMEAKEIYSDNEKQKDVDISKGKQAQIMKDIELDQISTCPPYGTGVTLYPLGNGGNAELDDEMLQLWEAAERNCRNQTAKSSSSEHDIQAVEEVKSEYPSSELVRGRDLGINKLEVSRGPVEPHEVWSKIVLERLASDAQRLLSIRASIEELKRKMEEPSKGKSPLNSEYSSVSTQLHETEGYVLEQINFNNKLTRKTENYPALSDNMNIEREGYSSKRKISEQVQKGSENVARLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRRDRGGGQKKKRAPFCGCVRPKPRTEP >Dexi9A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:9A:6686719:6687742:-1 gene:Dexi9A01G0010870 transcript:Dexi9A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAPLSGTPVAAAVLRSPMQPEIAFFSPLRDATRGAIIAHHRKSAKCSVVGVARPACSRAFPTAALCSRRRESAREAADQQPPEREMPAEPCWQRSQGAASVAPRQRSRGGGEGGREPPEKKEKIPNRAKLGQIHHQSPRRRAEREKKEAAETTRTAARDGKQRRGGEKEEELGAVELEAALPGAELDADLSPTRRCCSSRRQPLAQVSLLGPPCLLAATTGLQEARGGGLHRRQEDADLARRKARERR >Dexi2A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:2A:5660955:5665550:-1 gene:Dexi2A01G0005970 transcript:Dexi2A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARSLLLLLILVVFTAKLGESTTGHSDSDRDRDEGQVRSATHLDAEINNAYPVECFVSFVYDLQDLCILIYSINGLIYSFVDQTYIVYLGHLPTSDVSEFEDGFSAIEFAHQDLLNQVLDDSRIDSSTSNMQKDHSQEQAEFKILRKRRVNCFSAIGMDGVVSVFPSMTHQLLTTRSWDFLGLPQPSPQGLPVQGEVIVGMLDTGIWPSSPSFSDDGFGLPPSRWKGTCQNFTCNNKIIGVRVYDYRQGSISGLSPLDEVGHGSHTASTVAGRAVANVSFGGLATGTARGAVPGARLAIYKVSVGVF >Dexi2B01G0028450.1:cds pep primary_assembly:Fonio_CM05836:2B:37078550:37079322:-1 gene:Dexi2B01G0028450 transcript:Dexi2B01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRFVLRVFRAKAPDPDLLDLHDDLLREILLRIGVPADLIRASAACVAFRRLVAEPSFFLRYRAIHPPLLLGFLSPGDIYFQPVQAPHPNAPAAGALYRAANFSFAQRLPRRRAPFHFETWLSCDLRDGRVLMTSSP >Dexi9A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:9A:3804612:3805665:-1 gene:Dexi9A01G0006700 transcript:Dexi9A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPRLDADLLRSFEPVSTRDGLLVLWRPDPEPELRVCNTSTGLFFSLPRMDVEGKWGSGGIYRPALLSVGRFFELLVMDVCFRARVFFSRRGRWSAIRVVRPPPEHDSWCAIDQAMGTSPAVVGSTAHWICRSTRSAGVFILALHAAAAQATAIALPPPLGCLVGTTASCSLTDATESLGMVVSETDVVSMWKLSTEGWSKEVVISKLQVTPGMDDADWTACWCVGFRESTGDLLLWMERIGLVKLNIGTKEATGEAAAEGRVEDGAARRSR >Dexi1B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:1B:11927385:11928872:-1 gene:Dexi1B01G0011620 transcript:Dexi1B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAHQDYLLGALHRVLSLITLLVCLLTEISIFTSRRHAATYLVPLCSILLLYGHSRRTSTTTSTINMVDFTCLKPPPRLRTPIPKFIEHIKLGGCFDDDAIEFMSKAVVASGMGDETYFPPSLHLIPPDATHANAVDEARTLLFPMLDELFAKTGVSPSAVGVLVVNCSGFCPSPSLATMVANHYRMASDVRTFNLSGMGCSAGVVGVDVARNILVTHPTTISYAIVVSTEVITVGWYNGRDHSKLLLNCTFRNGCSAVLLTNRSDVAASTPSRYRLLRLVRTNLIANDESYRSGYREEDDEGITGFNVGRRVGGAFEEVLRAHLVTLGVSVLPWREKLRFAAAFLLSLLNNFRSGGGSNSSNLVPNFGAAAEHFCLPTTWTPMIRRLGRGIGLGEEQMEAAIMTYHRFGNQSAASLWYQLAYHEAKGVVRKRDKVWQLGVGTGLKVNSVVWERVGADDVEDDVAGVGRDGKSARSVERRGPWMDCIHRYPV >Dexi9A01G0047330.1:cds pep primary_assembly:Fonio_CM05836:9A:50467872:50469490:-1 gene:Dexi9A01G0047330 transcript:Dexi9A01G0047330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINVANSAVHLQTNKPSRKAGGGARSSRRAAGAPKGKGRFFTSLAVIAWTFFLYLHFSRLSGTPQISRGNGAGGDPCRGRYIYIYKLPSRFHADIVRDCGKTTDTQWPDMCASLSNAGLGPPLAADDGVFTGEAAEWHDTHEFALDVIFHNRMKQYKCLTNRTTTATVLFVPFYAGLNFARYRSGYDESTRDAASTDLMVWLTGQPQWGRRMWGHDHFLVAGRTGFDFLRRSGGNGLLAMPAARNMSVLVLESTLDHGGDYSVPYPTYFHPRSDADVLRWQDNVRGHRRTRLMALVGAPPPEQDAPPLDTRVRDLVMAQCKASSACALLGSHITPANTVRLFQKASFCLQPSPGDTWTRRSVFDAMVAGCIPVFFHAASAYKQYRWHLPKDHLSYSVHIPDEDVRWRNVSIEAVLRSIPAATVERMREEVIKLIPRVVYADPRSRLETIKDAFDVAIEGILDTVARIKNGENVDSGRPASEDPPNLYASTESVVLRSS >Dexi3A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:3A:1378644:1381200:-1 gene:Dexi3A01G0002040 transcript:Dexi3A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALDLYHVLTAVVPLYVAMTLAYGSVRWWHIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLASLALASRLSPFLSLDWSITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEYRAARSLVMDHFPGGAAADIVSFRVDSDVVSLAGADKGDLEADAHVAEDGRVRVTVRKSTSSRSEAAGSHSQYSQSMQMQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGGDEEKGATGGHSPAAAKRKDLHMFVWSSSASPVSDRAAAGAVHAFGADHGDVLAKGGGTQAYDEYGRDDFSRTKNGNAADKGGPALSKLGSNSTAQLYPKDDGEGRPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGIIWSLAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >Dexi2B01G0026350.1:cds pep primary_assembly:Fonio_CM05836:2B:35459280:35461416:-1 gene:Dexi2B01G0026350 transcript:Dexi2B01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEALGADKSCNRKKHGLLVSQTTEEIKEWKLNERQQLLVDVSGLGNLIHAAGLVIDRFLLLQFCEMWSKETNTARLHDFEMAPSLRDTAYILGIPVLGRVMTTGTVINKSARDLCFEFLGRTPDIMDCRSGRVKLSWLRSEFSQLSEHPTNDEIMYSTRAYLLCLIGSTLFPERERDYVSPKYLPLLSDFEKIREYAWGAATLAHLYRSLSLVVMPSSTKKLSGSAALLLGWIYEHIPVARPEMEDAPEPIFPRVRRWIGSTTAKPTKDVSNIRKVFSSRQVSDVNWEPYKDMDPASIPKNCIVPDTICLSRSWLISFNIREIYVPDRFARQFGQEQLPLKAVHGFQRHNWSISVDWSRKYASDIKKFELDNATCDHTTAPLVTVQPSLGFSALTMVEGIKKEFSIVESFLVQQTLPDEVAKAISRIHELVEPSRPKEVDKAAFNAQGEESSEPHELAAIDLPGEL >Dexi6A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:6A:26876668:26877528:-1 gene:Dexi6A01G0019270 transcript:Dexi6A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDDLYVSHIQVNQAQKQRRRTYQLFDLKFL >Dexi8A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:8A:26249998:26251596:1 gene:Dexi8A01G0015210 transcript:Dexi8A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIHGLLIILIAVAISTRCPLAGASAPQPVVRCDSGGAASGCPITNAYGTFPDRSTCHAAAAAFPESEAELLAVVANATAAGSKMKVATRFSHSVPKLACPAGDHGLIISTTSLDHVISIDVAKREITVEAGVTLGNLIAAVAEAGLAVPYTPYWLGLTVGGMISTGAHGSSLGGNGSAVHEYVVGMRVVTPAPETEGYAKVRVLVAGDPELDAAKVSLGVLGVISQVTLALQPMFKRSVRFEEHDDSDLAERVVAFGAEHEFGDILWYPGHGKAVYRIDDRVPCAMALATKAIFSGTNYGLLNHSLQVPLPGQPVIGFQNQIQSSGRCLTGPDDGMVTACPWDPRVSHGTFYFQAGISVPLTMTPAFIRTCSGFAT >Dexi7B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:7B:16842448:16848509:-1 gene:Dexi7B01G0009180 transcript:Dexi7B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >DexiUA01G0006050.1:cds pep primary_assembly:Fonio_CM05836:UA:11150041:11150415:1 gene:DexiUA01G0006050 transcript:DexiUA01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVEHRDGAPLPRVAAAGVPDVHHGGALLVPPARSPSASRLVAPPETRAIKPPSLELPARRAAAPPSRLSGSREKEERENNRRGETEEAIQVSGEDMALGWVRVGSSVHHLMPVAELADFDA >Dexi4B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:4B:3386467:3391157:1 gene:Dexi4B01G0004730 transcript:Dexi4B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSVGVTVITLVVFYVTSWDVTNVINETQVSAMGVTFTHIAGSMQTLLEAKRTAVALAAAATMPDPTSTNASSPSTLSQVLMPQMFMAFAMQPLLAQVSYAGVDGAAFAYYRGGEGDKARALFTSSRNKWEWNTQAVDPTTGHLVGTATAAQLPSALHGCSNNKSASLGEGWARPGVRMVFFSAAVGDDAGVVSAAVAIDDLLRAAASRVGRQHDLDVYYSVGVTPAAGDTYYKPLVFGHQPLFGDTEEDKMRAFSRAKCAASAIDAPELGKLVSVGHGWDKYKVACTNFDVSGVHMGFRLVLRARPEDDMLRATCIPLVAFVCVVVAVAAASCVLAVRALRRAAAREAALHADLVRQKEALRQAERKSMNKSNAFASASHDIRSALAAIAGLVEMSRPEAYALPSIVENLDQMAVCTSKLFDILNSILDTSKVESGKIQLQEAEFSMADVLQESVDMANVTGVRQGIEVVWDPCDFSVLRCASVTGDCKRLKQILDNLLGNALKFTDEGHVVLRAWANRPIAGSNVSTPSRFAWPMRGGGGSLGCLFRAREDSDEQDHVQNDPNLVEFYFEVVDTGIGIPREKRLSVFENYVQVNDGQGGTGLGLGIVQSFVRLMGGEISIKDKDPGERGTCFAFNVLLKMSERQEPQDIEEGTSTPSDPLSRSNFRASAFQEACSFKGVHCVLYVHGSETRRILQTWMESIGVKVWLIPQAELIASTLEKALHISTTPPARALPSSDTDEGGDRCFSSKDMMSHVLPMVLRNSASPRRVSLGGNPTGILVVIDVSSGESEDIFQEMEKLVRIKHQAPCKVVLLDDIKTPSGYLRRFKELGCDLVLRKPVHGSRLFTLLVTLRDLQVADAPAQSSQVGPEIVGASQQQDLPEIVLHSPQEAAASSETASLVQEKKPEDEKPLAGTASLVQEINKPEDDKPLAGTASLVQEIKKPEDDKPLAGTASLVQEIKKPEDDKPLAGMQCLLVEDTFVLQAIQKKILGQLGATVRVAQDGAVALNMFKEALEQASVPEEGAVVPLPFHVIFMDCEMPNMDGYEATRAIREEEHRYGIHTPIIALTAHDLEEKLQKAIDAGMDLHLTKPIQGKKIVDAVFRACKREN >Dexi9B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:9B:11734816:11736968:-1 gene:Dexi9B01G0017010 transcript:Dexi9B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRWTSASITELPAAGRSSLLPPAGELSPGRHTARRSVSRSDTAPPTSIARTIWPSSSSSSGGKSGKITKATRAPSPLSSSSPTSSSSSSVATLADHLAKDTPQSLSRQRSCTELPRFASDTSDAEPGRKIGRSTGNGGHAFGRSMRLLPSTKPAGVTLTPGRVAPSDLRRLAANNGGGLSLDAADATSSGSECSDASTRGSASATSRTTVSNSKPHSPLLPRTSSVRLLGSSTTQWALSPGRRSGSPLKSSAAALATVPEAKGTKTKSLISLGWGHIFHRRKHAAEDATIAASTAATLLSSPSRSRHGGGAEVWHEMRMAHCRLLQWRFANAKAEAVRKRKKASAELELMGAWASVSEMRGKVARKRVQLEKEKQKIKLNTVLTSQMKDLESWVQVETEHATALASTVSCTRAAVCRLPLTNGAKVSLPPLSTILQQALELTLTAKTITRSFSPKAQDTALVISDLVRVATEEKAVLEECLELLSRVSALQVEEQSLRCHLVQSASLGALTVECTQAL >Dexi7B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:7B:9396610:9401012:1 gene:Dexi7B01G0003820 transcript:Dexi7B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLARPPDGLTVACAVRELELRLALAGTPRGCVTGNLQLCSPARSCSASHIPGEALERIFKSTLELLVAYKDQVAESKRQNAAAADDLDGFDADEEDEEVESDKEMGLDDEDGDGVNNFDPQSFAEVRAMILTYHLHFNSEDDSDDEYSDDEELQTPIDEVDPFIFFVETIQALQASDPGRFQNLMQTMDFHYQALASGVAQHAEERKTEIAKEKLEKANTQ >Dexi2B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:2B:26412648:26415039:-1 gene:Dexi2B01G0016230 transcript:Dexi2B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGGVEHGSQQDAAAHHLLPWLGAAPFSDPAVGFGAAAMGAYASSDGGVGALAGLGHAGVFGFGFDAVQQQQQQQRAVEGSGKAVVSGLLGTLQAELGRVTAREMMEAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKVIITDKASLLAEVLDHVKELKRQTSTMMVAAKASAAAAAGDDDDEEGSPAGPAQLLPSEADELAVDAAADRSGRLVVRASLCCEDRPDLIPDIVRALAALRMRARRAEIATLGGRVRSVLLITAADEDGAGGDLDDEDDAGCRPSARLRGGGHERAGIPSHRRHECIASVQEALRGVMDRRTTASSDTSSSGAAGGSIKRQRMNYGAQEPCSV >Dexi2B01G0023750.1:cds pep primary_assembly:Fonio_CM05836:2B:33272735:33278257:-1 gene:Dexi2B01G0023750 transcript:Dexi2B01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQAPPSRRGPAMSAPQPPRKRKKKGRPSLLDLQKRSLRLEQQLLQEQQQQPQGRRGTRRNPGSADDDDEGPASGSGRREKKLRLVMGLHDGSAKGEKTRKATDGREEPSDSGPTTPLPNKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTIRKKLDKGAYSNLEQFEVGVQLQQSYSRSLARFAAQLGSIGWEIASRRIERSLPPGTKFGRGWVGDGEAPSSFQPPVLAAFSEAMTPPSNIAASGQRTDSEALARQQCGSTTQIPIHRGEHGAEMKGSHNNLHGRPVTQQTVNGFNAVPGPMMFPPAAQLVVNQMQTHTAD >Dexi2B01G0023750.2:cds pep primary_assembly:Fonio_CM05836:2B:33272735:33278257:-1 gene:Dexi2B01G0023750 transcript:Dexi2B01G0023750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQAPPSRRGPAMSAPQPPRKRKKKGRPSLLDLQKRSLRLEQQLLQEQQQQPQGRRGTRRNPGSADDDDEGPASGSGRREKKLRLVMGLHDGSAKGEKTRKATDGREEPSDSGPTTPLPNKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTIRKKLDKGAYSNLEQFEDDVFLISSNAMCYNSPDTIYYRQVGVQLQQSYSRSLARFAAQLGSIGWEIASRRIERSLPPGTKFGRGWVGDGEAPSSFQPPVLAAFSEAMTPPSNIAASGQRTDSEALARQQCGSTTQIPIHRGEHGAEMKGSHNNLHGRPVTQQTVNGFNAVPGPMMFPPAAQLVVNQMQTHTAD >Dexi6B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:6B:20815397:20819251:1 gene:Dexi6B01G0013120 transcript:Dexi6B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYHPLPSYLLLLIVILATSGHAACLCRQDQSAALLRLKGTFLYDISNFLWCEGRNLSSWKVDTNCCTWEGVTCEGTSGYVTALDLSDRCISGNLSSSEIFKLTSLRFLSLADNNFDASPWPSHGFEQLTDLEYLDLSYSGLSGALPVENGQLSNLVSLDLSGLDLKDLKLETLINNLANLQSLYLVQVNISVLDLSSNSFNSSIPPRFLKQFKAMMTVSSGAPSTYVGIIAPSASTSASALSPSYFPYYKESITVTLKGQETTLVQILSIFMYLDLSNNNFEGIIPNEIGDLKLLKQLNLSRNSFTRGIPPQIANILQLETLDLSFNHLSGEIPPEMAKMSFLEVLNLSYNNLSGMIPQSSQFLTFPNTSFLGNDGLCGKPLTRQCDTNQAPSAAATPDSSKELNWEFLSVEIGVVSGLVITFVTMLLWGNGKRWVYWHVDKFWLQVLQPWICHCLR >Dexi9B01G0020050.1:cds pep primary_assembly:Fonio_CM05836:9B:14781188:14785044:1 gene:Dexi9B01G0020050 transcript:Dexi9B01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLLLPLVEVVIGKAANALVQSITRLWGVDDDRRRLERRLMYLQSLLADAEVKSETDPAVKAWMKALKTVAYKADDVLDDFQYEALRREAQSSRSMASKVLSYFSSKNRIVLRHKASRDLKGVLDKIEELVTEMKSFGLLEHTEAPQAIYRQTHSALDETEEVYGRDDDKALVVNLLLDQRGQKHVQVLPITGMGGLGKTTLAKMVYNDDRIQKHFELKMWHCVSENFEATAVVKSVVELATNKRCDLHDAIELLRVQLQDAIGRKRFLLVLDDVWNENQHRWESDLKPLLCSSIGSVSEEKEVLHLPMLERLRIEKCESMLEIPMLPASLEGMWIDDCKSLMALPSNLGNLTKLRRLSLSDCSGLKALPDGVVGFSSLEQLRIYKCPEIKEFPHGLLQQLPSLTCLSIRVSPDLQRRCREGGEYFDLVCSIPCFGAVVTLE >Dexi5B01G0024100.1:cds pep primary_assembly:Fonio_CM05836:5B:26218214:26219474:-1 gene:Dexi5B01G0024100 transcript:Dexi5B01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSIEDPPAHLFSYEELEEATNSFDENRELGDGGFGTVYKGYLKDGRVVAVKRLYNSYRRLEQFQNEAAILSGLRHPNLVMFYGCTSSQSRELLLVYEFVANGTIADHLHGARAAERALSWPLRLSVAVESAAALNYLHAIEPPVVHRDVKTNNILLDADFHVKVADFGLSRLFPLDATHVSTAPQGTPGYCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAVNKIQKCQLEELVDLDLGYESDPATKKMMTMVAELAFRCLQQNSEMRPPMKEVLEVLKSIQGECRMEKDGDKSKDGAVSPTTVHAPWDSRATTPNTSRD >Dexi7B01G0008170.1:cds pep primary_assembly:Fonio_CM05836:7B:15983485:15987186:-1 gene:Dexi7B01G0008170 transcript:Dexi7B01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVESHAAPGAAAAVCVDWRGRPCRPERHGGMRAAVFVLGIQAFEIMAIGAVGNNLITYVFGEMHFPLPEAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLTFGFVELSGFILLSLQAHLPQLKPPPCDMASMDGSCEKARGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDAADAPGGARRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAALYRNKPPQGSIFTPIARVFVAAYSKRKQVCPSTSNSVNAGACEPSRIAGNFPHNNKFRFLDKACIRVAPQGPNTKPESPWRLCTVAEVQQAKTLLAVSPIFACTIVFNTVLAQLQTFSVQQGSAMDTALPPGSSSSFHIPPASLQAIPYAMLLLLVPAYDLLLVPLMRRLTGTRSGITPLQRIGVGLATVAFSMVAAAAVERRRRDADHKMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACGGGGMQAFLTALTYCSYAFGFYLSSVLVSVVNRVTARHGGSGWLGDNDLNKDRLDLFYWMLAALSVLNFLCFLLCARWYNAGVEGSDAIAVTATSGQVAAEGVDDKEII >Dexi9B01G0027740.1:cds pep primary_assembly:Fonio_CM05836:9B:30235283:30241031:1 gene:Dexi9B01G0027740 transcript:Dexi9B01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPRDVAAAVLLLLLFPVLSPVASAVPFIVLHGIGDECGNDGLTSFTKMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQVKKMKELSGGYNIVGLSQGNLIGRAVVEYCDSGPPVKNFISIGGPHAGTASVPLCGSGLLCILIDNLIKMEIYSDYVQAHLAPSGYLKIPTFEDDAVLIPRETAWFGYYPDGAFNPVLPPQQTKLYTEDWIGLKTLDEAGRVKFVSVPGGHLRISRSDMKKYIMPYLKPDASSKLSIRRILSL >Dexi3B01G0031790.1:cds pep primary_assembly:Fonio_CM05836:3B:33843292:33844766:-1 gene:Dexi3B01G0031790 transcript:Dexi3B01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHEETQGNLSYDHIKLRSRIEALQKSKRNLMGEQLESLTFREIQQLEHQIGSALRNIRSRKEKEALDASLHANAASSTAAEPALPNLNVCAGDSDEPGPPPAAIGLPWWMLRPPDANQQLERH >Dexi5B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:5B:2897343:2898909:1 gene:Dexi5B01G0004280 transcript:Dexi5B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEPLLGRYEAGRPEKATSAAGDGDASFVQTCLNGLNALSGVGLLSVPYALSEGGWLSLALLAAVSGVCWYTGILLQRCMSVDPTVRTFADIGERAFGRRGRVLVAGFFHAELYLIAIGFLILDGDNLDKLFPGARVSLLGGHVTLAGKQLFVVLVALAVAPTTWLRSLGVLAYVSATGVFASVLIVLSVLWAAAVDGVGFSAPGATALRPGKLPTALGLFTFCFCGHAVFPTLYTSMKEKRRFPKMLAICFVLCTLNYGSMAVLGYLMYGAGVQSQVTLNLPAGRVSSTIAIVTTIVSPLSKYALVVTPIATAIEERILRRGGAAGSGAVAISVAVRTLLVLSTVAVALAVPFFGYLMALVGSLLSVGACVMLPCVCYLRVFRPPARAAETVAIAAILVLSSVLLVTGTYSSLVQIVHELTA >Dexi2B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:2B:13268876:13278678:1 gene:Dexi2B01G0011670 transcript:Dexi2B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATAGSSSPPITPRADDSPEPSLSGEKVYVAVGREVAESRATLLWAMHKFPRGAGAVSFVLLHVYSPPKFLPFRTLLLLFFHFFFSVHFKWKVGARIAAAQVGENELAAYKKMEVQRANDSLDQYLHLCKQGKIHAEKLVVESDDVAQGLVELISEHHVTALVMGAAADKNYTKKMKILKSRKAQFIEQQADPLCKIWFICKGTLVYCREAVQLSHEEMQKCRQSPGVTHYSVEKSTSLSEMWCVANTWLCKSTREPHIERTNSDPFSLTGKENVEESCESYDNFQYVLRELECARKEAYEEKCRREKAERELFEALQKAHASENSYFHEMKQKNELEEKLTAIMEEIESLTVRTDELCAKLQGEREQRMVLEKRGSKLREMDEEEVHIAVGKNLRKEKANILWAAANFPKAAIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETKAMVTMLSEYKNMCGTRKVSAHYLTHDDTVAGVVNLVKKLKIKRIVIGSRSISRQVALRECCQVWVVLNGKHISTSNDHLEHSGNIGYGGSSDILASIHELGEESDGYITPPSDLVSKFPVKKIVIHKNNSHFPPTSPWHSAPLIYFGQEEIDRLQKKLKELQEGHNYDLRSLSPRQKLAASQKRKSLSEPRYPELQIPENIEQFSTSQIGKATEHFHSRNFIGEGGYGQVYKGKLGGTPVAIKLLKPHGRQGFPEYQQEVLLLSKLEHPHIVRLMGVCPESCSLVYEHLPNGTLLERLSKGLLWKDRVRILAEQLSAGVSPLEAPPCHHPCRPEADQHPPGCQQRESTGGLWDGEGGAREAA >Dexi4A01G0011590.1:cds pep primary_assembly:Fonio_CM05836:4A:9786814:9787324:1 gene:Dexi4A01G0011590 transcript:Dexi4A01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPWRTMTSGSEIRRGDCPSRDLGLLAAVNCYDGQKPWNSYRSIAASGPDVVRFVSINDRCCCGAQLGLHGDRVEPVLEDGRRANDLGQGMCPGLRGALVTGRRRGPPVRGLDVPRVELREP >Dexi4B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:4B:8971695:8975845:-1 gene:Dexi4B01G0011520 transcript:Dexi4B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDTTPRYVKLQPKGIAHFLVPRLTPAATQDLAAVQDIIDNLALAGTDVHTSTFELQHHRLSTAKIYRASTTSPSPSAYHSLLWRNNAPPKVRFFACSVGEQVWTGRRLADPPLSLSADRLSPARRQVVRMVCAWVRATRRSRSSACALATEVLISLRRGGKAAETCANVLNGLINVNLGTPPRTPCCTLIQGLADLEAAVCLCTALRANILGITLNVPINLSLLVNYCGRRVPTGFQCPCHLLHACSHL >Dexi4B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:4B:2364058:2367642:1 gene:Dexi4B01G0003380 transcript:Dexi4B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVREENKRLKTMLSRVEEEYRSLQLHFNDVLQQGQTKKLADPATAAMPIVDMEEPEFVSLRLGRSTGLHKKEETKSSASEGKGMRSEDSMNINEGGLSLGLSDCKVGATNNAKTIQPDVLTLSPEGSSEDAKDDAMETGDQQWPPSKMLKNSKAIGTEGTEDDIGPLPQAKKARVSVRARKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQRCSDDMSILITTYEGTHNHPLSASATAMASTTSAAASMLTSGSSTSLRFPAASAAGLSFAGGFLPSSAAAHDVASRQFFLPNGAAASITSTPSYPTITLDLTTPAAATSQQPFSLTNRFSSTFGHGGARYPPTTTTSFSFSNSGGPSSLSGAPWPAPGGGYLSYGSPAASLFSGGNKLGSFEAALNGINGRQQGGGGEVPAAAIHHNQKASSAASGSAPPAAGVLTDTIAKVITSDPSFHTALAAAITSYVGGKSPAAGGEGSGGGSQLQGLSKWGQHLGLGPSPSSAAAACSSALLARSSSTAAAAEQGGSNGHRSFLQPSSLGLSGSRSASTSPVENREH >Dexi5B01G0039250.1:cds pep primary_assembly:Fonio_CM05836:5B:38213025:38215925:-1 gene:Dexi5B01G0039250 transcript:Dexi5B01G0039250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVASLSLLPHLLIKPSFACFSRKLNSCVKIMDGVDSSANFLRFNDVSAHHQGLGRYGGIKVYAVLREDGAEFAKNNNLEALFHVDDPGPRVPIKKGKFLDVNEALEVVRFDIQYCGWRARQDLLTIMVLHNKVVEVLNPLAREFKSIETLRKELAELQEELAKAHNQVHLSETRVSSALDKLAHMETLVNDRLLPGGASSTSTAESTSLVPSTSSTTQVRPKKQPRRSLNVSGPVKPYNPSLKNFWYPVAFSRDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTNMLNVRIQSLPCFEQEGMVWIWPGNDPPKATIPSLLPPSGFTVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQAEISGS >Dexi5B01G0023460.1:cds pep primary_assembly:Fonio_CM05836:5B:25616705:25620779:1 gene:Dexi5B01G0023460 transcript:Dexi5B01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSKSYPATGGDDWKSVPYDSDPDVIEVSADVAAGWSSSGRPKRKLTEVVPSEIIELDADDADDDPDGVMISGEKIQNHKNKQAVVDIDWPEQSKSGMFAYFAGSSAIPVTMVGQSDVLGVLHQGTSSEMPHQPSQTKIVNSEVDEKYETFKKFDTVNDNRDHFYSLPGKYKAHAVKKAWVKRIQHEWKVLENDLPDAIYVRVYEDRMDLLRAVIVGPAGTPYHDGLFFFDVHFPSRYPSQPPHFEDFIVGHFRNYGHKILKGCKSYMAGAQVGCLVGDGVQDVDEGDKSCSANFKASLKVLFADLLKEFANIGVDCVEFQNPGAAKATAGTSLT >Dexi5B01G0036500.1:cds pep primary_assembly:Fonio_CM05836:5B:36199968:36204057:-1 gene:Dexi5B01G0036500 transcript:Dexi5B01G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYQNQPLPPGVGTWPQAPPSHLPVPQLHSYPQSYHPPDISSTNNCDSAANIESAVQEAVLHAQELETQQVIQNQRHANTTSEPTKYGEDLLSNRRDPNALKEHLLKMTADHRAEMANKRGKPLHPNNGNVEIGNGYGVPGGGAYYAANLPNKPRDESDKANCANDLPDFLKQRLRARGILKDETTNKNSTSTQNATELQVDSQESQNKAQELPSGWVEAKDPTTGAPYFYNQSTGVTQWDRPCGAVNNMQHQVSPSLPENWEEATDKSTGHKYYYNTKTHATQWEPPTAVNTVVTSHAPTNTAVEPVAQTADIWNSQMQRCSGCGGWGVGLVQPWGYCNHCTRIQNIPFQQYPSYPNNVVHLSGNNALKPQGNVAAKGRVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVATHGRKRGGMAPITKRGDGSDGLGEAD >Dexi4B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:4B:18961159:18965522:-1 gene:Dexi4B01G0016830 transcript:Dexi4B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSKPSYGHSYDYYGNTSSGYNSRYAGNTSSSYAGNTSSSYGARYMPSSENNVQQETHARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGPTSFAPIIETAVGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGYLLCSSRLAAVLGHIFATSVLYAMQTKRLDTFYLQLCPICMYKSKDLAYGCGHQVANIHVFADLLRVREEFGALPYMPAECNHKDQALLI >Dexi6B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:6B:22977669:22979174:-1 gene:Dexi6B01G0015680 transcript:Dexi6B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASAGRAVVFNNDGSVERTREWTYYTSSIDGEGRVDMVGTCNGLLCLHDHGSYSSAVTVTNPVTGETVTLPPLPARWWRSQSVKLPGKYSFGFHPATMQHKVVYIPRGQRRSVDALQVFTLDGDDTAAWRDVPVPIPGACYDLPCEPVSVGGSTYWLTESTGRVMALDLGDDEHVTSLDVPSAMWVAAASRRLTQVHKSLGVVVVSLEGVGVVWVRWVMAPQLTHGGDCVLSEWLEGGQYAFRRTRTSHLCLHKVGELKEGGGGIGPVRPPKEAQLVMSEEERQWLFTTFAYVETLNPVPGSSQVMSNEQVSLRARAG >Dexi6B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:6B:26207183:26209611:1 gene:Dexi6B01G0019480 transcript:Dexi6B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRYADARQQQQAMVAAAAAAAGMAPSATVAGAQTIGAAAAGINQQAAAAAMAQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENDAIGASYDRYLRNGMASVGANDTSRAAGMGAGMAAGMGAGMAAGMGAGMAGYGVDERRMMGVVGMDSRGMGYGGRPEPPLPPDASSTLYIEGLPANCTRREPGGDPHVLCFVDFDNPAQATIALEALQDM >Dexi7B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:7B:23465372:23468813:-1 gene:Dexi7B01G0017560 transcript:Dexi7B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMKAPTKTLLLFYLLCVTTLSHATSLSFNYNFSTPGDLTSADLRYISNATAAGDRVDLTKNTTWSTGRLAYEKPVQLWDDSTGKVASFTSNFTFVIRPRNSTAQADGMAFFVGTYPPTLPQDSNGGFLGLVNNPNNPANTIFPPTVAVEFDALRNTWDPNDTINHVGVDVNSVTSTVYTALPDGCFNGTMSAWVKYDANTSTLSATLRFGDLPELGVYNVSAPVDFRAAGLPQQAAVGFSAATGAFAFDSTLTTVAAFLKRKGTKQKQNAPLDGDMDDEFEKGTGPRKFTYRQLSQATRGFSEDEKLGEGGFGSVYRGFLQDQGLHVAIKRVSKTSKQGRREYISEVTIIGRLRHRNLVQLLGWCHETDELLLVYELMTNGSLDTHLYSTINHPTYNIILGMGSALLYLHQECEQCVVHRDIKPSNVMLDSSFNAKLGDFGLARLVNHGRDERTTMVAGTKGYIDPECAVTCRASARSDVYSFGVLLLEIACGRKPVDLQEEDESRVLLVQWVWALYGRGELLDAVDARLRDDGEELDAMEAERALVVGLWCVHPDNASRATIRQAMNVLHFEAPLPELPLEMPVAMYGARAVGGSVSSNTTTSSSSSGSVSTNSGGHSLASDRTAARSSASSEKMSSAARETRLAVGSNTRNESNSGRSGMVVDEQFQSTR >Dexi7A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:7A:23388978:23389603:-1 gene:Dexi7A01G0013260 transcript:Dexi7A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWKAVNMNPEPRNIEEAGPVHPFASSVAAGFAGAVAAAASHTFDTAKSRSECTVVPKYIAMERKFLKWKAPGTWIERKTGISSADRNVLFRGIGLRMAHSGIASIILVGSYYVVADYIS >Dexi3A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:3A:8887890:8889754:1 gene:Dexi3A01G0012270 transcript:Dexi3A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTLLQVRYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi9A01G0049530.1:cds pep primary_assembly:Fonio_CM05836:9A:52132147:52133171:1 gene:Dexi9A01G0049530 transcript:Dexi9A01G0049530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAVSYVSAAQLVSMVRDPRVAIIDVRDEERSYDGHIAGSHHYASDSFTVRMPDLAQATAAKETLVFHCALSKVRGPSCAQMFHDYLSEAKEDSGIKNIMVLERGFNGWELSGRPICRCKDTPCKGVCS >Dexi4A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:4A:14748848:14749809:-1 gene:Dexi4A01G0013820 transcript:Dexi4A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNIAFGRFDDSFSAASLKAYVAEFISTLLFVFAGVGSAIAYTKLSGGAALDPAGLIAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLAVGGQITILTGIFYWIAQLLGAIVGAVLVQYSTGVATPTHGLSGIGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGNFTNIWIYWVGPLIGGGLAGIVYRYIYMCGDHAPVASSDF >Dexi9A01G0045180.1:cds pep primary_assembly:Fonio_CM05836:9A:48752674:48754337:1 gene:Dexi9A01G0045180 transcript:Dexi9A01G0045180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAPRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEQEEEAAAAPDFAAITDYQAADQWGGDQWTSDVAAQPVAVGGTGAEWGAAAAPVSAADGWDPVGAPVPVDAAVAPPVVAPTGWDPATQPPAQGWE >Dexi1B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:1B:23344819:23347923:-1 gene:Dexi1B01G0016950 transcript:Dexi1B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRQGVRVRAEKESSKVSDISRGFNGRSGLSKNSGASKGKKFDASTEFRRTKNGGAKDVGLDEEVADSKKSDDSGHITEEEKPRPRLTRVLDQSGKKIKPVKKDVVPDDEEPTRPKKRKRMKLDPYDTSNKRIEDFPPIQDVTNAEKVLVANAEKVLVKCAPEETEMSINAKFRSIKPTPSILSYVEDNLLGRRRLNETKNAGYNVKISAPLDNVPFSTSSTERDRIEESVFRNKLEFFAAAKMSSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERHKTPYQIVLTKTDLVFPIDVSRRAMEIQESLKKNKSVVNPVMMVSSKTGAGIRNLRGMLGKLARFIKP >Dexi4B01G0001030.1:cds pep primary_assembly:Fonio_CM05836:4B:640763:641689:1 gene:Dexi4B01G0001030 transcript:Dexi4B01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGCSYGYLIFSNLGQCLLVDAYSGDTMRPSRLKFSGNNHEIYYGILVAPINSPNSQLLLCSRSSMFQWRVGASSWLEHPLNCESLLQIVFFRGEMFAMDILDRLHRIRLAPQPSMQEVAVVWEDDDRIAGIKIKPWLVICDDMLLLVEISLSRNAFFDFTANFKVFRLNFSVEPAEWH >Dexi9B01G0026410.1:cds pep primary_assembly:Fonio_CM05836:9B:28519251:28524160:-1 gene:Dexi9B01G0026410 transcript:Dexi9B01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGRRLLEEDDGSTRTGCRLPVRLLAVRETSDESVKDLVRLEKVINANTAEVDWERSFYLNLIAHTSYNVTVAIFGCFHSIGDLHNRAEKSKKLTPVYKLTLCQSHSLEQVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVRTLFSGYVSYQNVREAYNAGRSKFGNFLGHDNNKLDRLYMRGPEGRGEVEVAVSGIADQSHEKSKKDPGDSFRVLVHRAAFAASKLAKHAYESASANKRMDNELVPLKCCLMSVSLPWDYIAHDLLHKDTPPLDI >Dexi9A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:9A:1535781:1536722:1 gene:Dexi9A01G0002860 transcript:Dexi9A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTDLAFKALTAGLGVATIYLAGTFSVNVYRGLSWHSEQSVSEPPTPPRPALSPTPLRVAEG >Dexi1A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:1A:1110774:1110986:-1 gene:Dexi1A01G0001670 transcript:Dexi1A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFIKCVTVGDGAVGKTCMLISYTSNTFPTVRPSLSPFYYYYLSLSLSLLLGFWFSFGELRLPAFLI >Dexi9B01G0041430.1:cds pep primary_assembly:Fonio_CM05836:9B:41894746:41895176:1 gene:Dexi9B01G0041430 transcript:Dexi9B01G0041430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRQLQATTLLLVAALLFLVCTTTGQAARSEPGSKDHMPQLAVSATVIGDEKSGSVTGMEMHHEEPEATQECEGEDEGGEECLMRRTLVAHTDYIYTQEKHN >DexiUA01G0013760.1:cds pep primary_assembly:Fonio_CM05836:UA:28908706:28910238:-1 gene:DexiUA01G0013760 transcript:DexiUA01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSARPLLVLVVLLALFCSHIALCASAEPGKPKATGSRKALLAASAADDDGEDAPAAKPAKNAAAAAGGKIKKKLAGDGKNQTKVAKAKKSDSAAAAKGAAKKATGKSAAGADAAIGKVPKGDKAKVPKPDKAAAAKSKGADSTKPTKVKGDDSAKPAKVKGDDSGKPAKVKAEDSGKPAKVAKASSKAGKPAKTAKSEAAVGKAKKPANSTADAGIKPAKSGKKAQPLAETKANATVVSNEETTARAEVEEDVVFADEAEGTGDLISEFRGLPARLQETLMPDLARLSHHSKAYLSAANAGIADGVRPILGGRWAAAAASAASVVLLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPPEEDGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGTDGAAEEWKKS >Dexi1B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:1B:21264194:21267637:1 gene:Dexi1B01G0014860 transcript:Dexi1B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGRKVAVAAVQFACTDVEAENVAAAESLPSLKTLQNLGTNHLYYRLIREAHKKGAKIVLIQELFEGHYFCQAQRMDFFRRAKPYKGNPTIMRMQQLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGQGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMALQGAEILFYPTAIGSEPQDGNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPELYKVLLTLDGEK >Dexi7B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:7B:752252:753545:-1 gene:Dexi7B01G0000160 transcript:Dexi7B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMATLRMARQELEDLYLGVPDDSVDLTFKDLASSSLPAPVTTTSNLPKINEAAPAESVADAAADGHQDERKKTTAGGALARSSTNIFTYRPLEDHHYSDAVGGTGGGGHGVAGGGALLQLSPSPAHSHAAARFGDDDDDDDDHHQYHYGAGAAGAGTTSISRSGAVAGDAAGGGRRNRRLHVADDTAGGRHRSSGNYKRPGIPHSNICALCNSYVYFFRHRCLVCGRVYCRRCVAGGMGDMTEGRKCIDCLGRRYSHRYIHRAGDTSCGFCCFWGYYPNAKAVTAQELIWAEKGPAPRRRPRPAGSSTSYGGGSGYYSSTNTVASASMSMSMAMNNSDGSIAMVKMKGGGGGYHDSVTMPASASSSFVASFPHNPHAFPL >Dexi1A01G0011230.1:cds pep primary_assembly:Fonio_CM05836:1A:10158352:10158860:-1 gene:Dexi1A01G0011230 transcript:Dexi1A01G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSISSVILVLLLGVICTATVVYGGHQVWWLVGGDNCWSFGVDGWVKDKPIQAGNTLVFRYDPAIHDVVEVDEAAYNTCTVPISGGTWHTSGRDHINVREGKSFFICSTPGHCAKGMKIAITA >Dexi8A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:8A:9863801:9869993:-1 gene:Dexi8A01G0008350 transcript:Dexi8A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGASGDTLAEILSVAGAPSREELREFVRHSLIDSVLADQSGSGGPIVVFACGAWTDWRKPLKPEYRDTIVNTFRGSATTVDFEDKPVESRQQINSWVAEVTRGLITELVKPEDQRTETVNVVVNAIYFKGKWCDPFDKNYTQDREFYRLDGSSVKVAFMQMWSSQQIACHNGFKVLKLPYKRMVVDSDFDWNKWKGIPKFSMCIFLPDAHDGLQSLTEKMASTPEFLHNHLPSEYVPVKKFQLPKFKLSFGGSIVEDLKSLGLVLPFNPSTANVTEIAEVGHVLDPSSE >Dexi2B01G0030390.1:cds pep primary_assembly:Fonio_CM05836:2B:38665255:38666887:1 gene:Dexi2B01G0030390 transcript:Dexi2B01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLAGMKLGLLLWIAGGGGGEGRKDAMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSAVAERVTMDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYGMSRVHNFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGFGMGMNIEGGMGGNFGANSGFINSSNGRQIGSYYNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWSNGNLNYSGNPTNANAFGPPGSGGGIPGDGISWGGLTSAHGMGSMSSLGSGNIGRGNGDNFGLPSGSYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDSTWRFTSSEVDMPPFGHDLGNVDPDIKTEISASYMGNYTVNNNQTSRGQLLLQHCAMIYT >Dexi9B01G0034210.1:cds pep primary_assembly:Fonio_CM05836:9B:36228455:36229381:-1 gene:Dexi9B01G0034210 transcript:Dexi9B01G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEELVLLAQDDKTVTLAVIPSTTAPSTTVSEAEAGAAATFRLVVEQSYRCGGAEEVDTMDDVARRVPVAELRGAGTGAAAVDRAFEEMLAELDHPTLRREVAAEARTAAESAKARCTDQGNHLAGVEFRLRILFIDFEKEEEDEPDSEEETGSDLELDEESWSRGNSGGGDWLHGHDAAVLGDEDDDSGGGQFSARAYDGALAREGGRSDGALLLTGFEARGDGPELGEQHELTPRDMRRLVRLAFSGGDVEGDEGYRRAVDGGEPVLSRVARAVMLHQGMRSARPPQQQPAASTRGMPPRMRTGW >Dexi3A01G0022410.1:cds pep primary_assembly:Fonio_CM05836:3A:18067372:18069478:-1 gene:Dexi3A01G0022410 transcript:Dexi3A01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACAIMEAAAAAGSFSVPYYEWLKPRSSSSPPPSPSSSSSTSSTTLLTPSIDDRSAAAVVDGQGRHAMMCLPLLGRLEGRATTPDRAQNHVIKEEELLSNITTTGTPGAVVAGVDLNIGLPAAIGGYSSEEAPMDEEDDEEEDFDEEEEEKKTRKMHDVCKEEEAGREHANSEMAVESVEGSESDYLRVGGEEGIKGFIGSRGRRYWIPTPAQILVGPVQFICHVCSKTFNRYNNMQMHMWGHGREYRKGPESLKGTQAATLALLKLPCYCCAAGCRNNVSHPRARPLKDFRTLQTHYKRKHGDKHFGCRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHLPVTPDQAAAAPAPPLLNKGPKERMIIRFDQAIQAAPWNGAHAHA >DexiUA01G0002050.1:cds pep primary_assembly:Fonio_CM05836:UA:4916986:4917456:-1 gene:DexiUA01G0002050 transcript:DexiUA01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNQQQALPHELSGRLEGILEDREAPWARRASRAAMIELRLLAPIAAPAVVVYMLNNVLSISTQIFCGHLGNLELAASSLGNNGIQVFAYGLMGA >Dexi3A01G0005140.1:cds pep primary_assembly:Fonio_CM05836:3A:3327601:3330968:1 gene:Dexi3A01G0005140 transcript:Dexi3A01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGEPPLLHPRIRHRRLLRSPISRCACLLLAFAALLLLSALRQVARVDFPRPDAPRQALPDKADRYMTVGSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDQPRFIKALEGDVHIVADLPESLQSAPRARKHFTSWSSASYYEEMKELWKNQKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKA >Dexi2B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:2B:22769879:22787234:-1 gene:Dexi2B01G0013770 transcript:Dexi2B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDEEGQLSPRSANAKDPAVLSSPPSSPRALASPTSAPGPPSLQPPLPASGSEHECVVSISPSKKTNKMAEGEDPSDDDSPNKKIKRKAEVQDPVSPNNKKAVSACSTPGEDLFLMGEDDLFFEGEDDLFFEGEGDDSLFLMGEEALPSNGADEGKESLKKQRPNRKENKDGPESGSMKKERRNRKKNKDEQQKVPEPLDPDSPTEVKLPPWDGPLSNPSDQLYQYLVMILQKRPHEDADLRIDFFQLLLKDPRIACAVCNADVKTREQWVGSALRNLNLKNRQPKDVEFVGMPDWLMEDLGTVTEADIISGWIFDYLIYHPFFSSSVGRRHFIQEVFLVTKSFKFLAGSFWNAILEEEPGDFWLEFARANDILSRTLYYRKNLMKKPTVHIQPHEVTIETAQGDTLTLSRPARVIVQHLAVQTVDTLEQLGAAGNEVAAAGSEAIVGDEADATSKQLKVVVGGELSVGGAVRDELPAVGDDVRVGSPGGGEVNAEDDVAGGVGAPVGGAVGNELPAEGDDVAIGVGAPGGGKVTAEDNEVLSVDDPELLNANTFQNLVPDLTKGVAMTTSSVEKGKGSRKRSLKKEQES >Dexi9B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:9B:10733364:10738724:-1 gene:Dexi9B01G0015690 transcript:Dexi9B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRGAGGSLRPLLLLIPFAALLSVATFSLHYSPDRLLLVIDTPRQASPSSSRHVHRLAVSGLDAAAPPLHAAAARAFRSGGRLLCDVLSSPSPSSTTAPAPPPSGTAGAAARCPPSVAVSGARLRVKGGGGGVDLSLPCGLTLGSHVTVVGSPRRRGYVAQFAVELRGEGDGDAAPTILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCDGHRSRPDEETGQNGRREVNEDLLKEANFFGDIVIVPFIDSYDLVVLKTVAICDYAARAVPAKYVMKCDDDTFVRLDSVMAEVKKISDGKSLYLGNMNYYHRPLRVGKWAVSFEEWPQEEYPPYADGAGYIVSSDIASFVASEMETGRLNLFKMEDVSMGMWVGRFDGSRNAVVYAHSPNFCQSGCVDGYLTAHYQSPAQMVCLWEKLRLGTPQCCNASCNV >Dexi2A01G0030350.1:cds pep primary_assembly:Fonio_CM05836:2A:41311092:41315503:-1 gene:Dexi2A01G0030350 transcript:Dexi2A01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTARVLRASPPHLVALAAILLLLSSALPRCQPYTYEEDVFAINGLYTALGAPQLPNWTTNGGDPCNEGWQGVSCVASNITSIILSGANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDGLPVTMQKFYLSANQLSGSLPSTLSSLTLLTSMSLNNNQLSGDIPDVFLALTGLANLDFSSNNLTGQLPPSMGNLTALTSLHIQNNQLTGTLDVLQDLPFQDLNVENNLFSGPVPVKLLNLPNFKKDGNPFNTSIAPSAQPPAAPTPLPSIPPPAKHVPSKEPSTPSSAPGGSTPGSGKNTVSTIKLVGYILIGVVSAVVIVLMAMYCVSKCKERKSRDDVYNKNKIGRVPQKLGEPKIKEVTEIKEAPVKLENNVGKGSNSLPMFPMQRRSENLKDILAEQDLYFPQSFTFKECFFAAASNVVYDARDGRKPDPSMAAAPGVVTMTQKEHVIDMEKGDNFVEKQQHSTASAQPVALHTEKVIVNPSVRTRKGRVPSVGKVDLTTTVKSFSIASLQQYTNSFSEENFIRDSRFGKVYLAELPDGELLEVLKIDAFSSKVPVDAFLELVVSISELRHPNILGLVGYCAEFEQRLLIYEHCSKMTLHDELHYVDDSSKPLSWNARLQVAVGAAKALQHLHDGCQPPIVHQNFEPSVVLINSTLAVHISESGLASLASKSMSQLSGCTLFHYEAPEVHESGSISDRSDVYSFGVVMLELLTGRKPYDSTRPRAEQHLVRWATSQLYDIDAIAKMVDPFIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLTHMVSNASKASM >Dexi5A01G0025100.1:cds pep primary_assembly:Fonio_CM05836:5A:28955739:28956176:-1 gene:Dexi5A01G0025100 transcript:Dexi5A01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASFFDSHAADAVFPAAAMAYHRRPCSGGGFPPAFHYGGAGSGSSSSSPAAAFFPTQSAFGLAPPPQQQPAADAFESLSDEGVSPAVPVAFGTPPPPRMPVEQVVPDVVSGGYSHASS >Dexi5B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:5B:12379732:12381836:-1 gene:Dexi5B01G0015290 transcript:Dexi5B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPAPPPLDPRLSALFVEPDSLVGFDERVEDVSNLVMDGGSSTELKIVSIVGMAGSGKTTLANAVYHRLQAQGHFQCSAFVSVGPKLDMVSKTVRDLLLRFGDYHGGGQDINQLIPRVRDILAKNRYLIVVDDLWSSEQWGTIRCCFPENNLGSRIITTSRNDTLPTDSYPCLNKVVHKISLLNDTDAKKLFMKKAFSNGNSCPQHLEDAFTKVMRRCGGLPLATITVAAKLAHKYSTDEWEKLALNLLCSSHSDGSDGLKQILNLSFNDLQPHLRTCLLYLSIFPENSEVSTERLVRRWIAEGFVAEGRSISTEEIALGYLNELIGRNLVQPLDLGHEGVPTSCRVHPVIHDFIVCKSMEENFATLMDAQHVPINDNTIRRLSLKNSSKQDQPAGQNESMDLSHARSITIFGQASAAPPLTNLKVVRVLNLEGCDGPVSLEGLCKLVLLRYLSLKGTAVSELPAAIGDLKCLETLDVRSTKVEELPSSIVNLEKLMHLLTGSAKLPDGIAKMKALQTLSCAGTTKSSGNIIEEMSKHDHLRELELYSDATETPGNNKRVMFPAHGFQSVRKLCIRCSSPLVTFEPNALPTVKMLELRFQKGLADGSSGVPGMENLKNLKHVLLEFEQHDAGAMATVDAVKNAAQGVLPDHQHITIKVDGKSY >Dexi9B01G0044130.1:cds pep primary_assembly:Fonio_CM05836:9B:43967914:43974217:-1 gene:Dexi9B01G0044130 transcript:Dexi9B01G0044130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPAAAASAGGGDRAAAAPQYAPYPRLSPEDVAPPPPPPYHAATAAPPPPYGGNPYVSSPAGGAATAPRNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSITDAAMGRISQICVAADLELRSCSSASEVASAAPAMASRSYGSREGLTVRPAASSGSSEISLQIDPINADLDDHILGLRGQVRKLRGVAQDIQSEAKYQNDFISQLQMALTKAQAGVKNNMRRMNKSIIQNGSNHLVHVVLFALGCFILVYLVSKFSR >Dexi5B01G0038200.1:cds pep primary_assembly:Fonio_CM05836:5B:37441385:37444110:1 gene:Dexi5B01G0038200 transcript:Dexi5B01G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHMNGSVQHGANGTEERLDELRRLLGKSEGDLLKIVSVGAGAWGSVFAALLQDAYGHFREKVQIRIWRRPGRTVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREMFEEISKYWKERISVPEVKHTKSANLLRDHNMKYNAAGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGKTNLSVFISCQQQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRFVLCIVDWNSQ >Dexi7B01G0023310.1:cds pep primary_assembly:Fonio_CM05836:7B:27910162:27913633:-1 gene:Dexi7B01G0023310 transcript:Dexi7B01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPNGFAAGGFFKHIDGQNSSPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKHGQQVPQEIVAKAGETFEKILKETVKVRDEHANDMALIQAMAIVFDRNPHLKLEGLEYEVLQWCICRLEAWVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPEEKLSAIADLGVGIENKIALKFNTVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEMLSDEESVNFVMSQLRKMLPEATEPVQYLVSRWGSDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFHVGKIVVREEMTEVMVPFQISRL >Dexi1B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:1B:18439458:18445143:-1 gene:Dexi1B01G0013120 transcript:Dexi1B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGTSRRRPSGSGGEHQRLVAVAVAARVAMVTTRSGEAAAVAGGGGAGAAGGVEGGRGDGLCMEDFFNCLLGVLGALGVTWGAAARPRRQPRPPLPRGMGSAPAPADARRFAAELRAIPGRIAGNGACAVASLYTLQGKKGVNQDAMIFWENFCSRDDTVFCGVFDGHGPYGHLVAKRVRDLLPVKLGADLAMEDGRETSPSNIKGNTNEVGSPENMDRGGTVITSEAEQNGEYPETFPALRASFLKAFHVMDRDLKLHKNIDCFFSGTTAVAVIKQGRNLIIGNLGDSRAVLGTRDENNQLVAIQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGVISIPDVSYHCITEKDEFIVLATDGVWDVLSNDEVVGIVSRAKSRASAARSLVESAHRTWRTRFPTSKIDDCAVVCLFLNTDEASESSSSVSNNLANAVEGNSDQQSTLQPSTGVSADLVTALVSDGNEVSVIETVAKPIALADPQKDGRGATQGMIK >Dexi8A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:8A:9263877:9264263:1 gene:Dexi8A01G0007990 transcript:Dexi8A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISVRPPKRARVTAGPRPLDLRAFPAGGDGPPLPPPRGAFRESVRGFLARCAVPADGAWRVAFRAGDGDGAVALGMEVVEEDVARAGAGRSN >Dexi7B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:7B:18288903:18292429:1 gene:Dexi7B01G0011200 transcript:Dexi7B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLLSFLKFLPYFCGLLILGFIKGSDITCNPYLTLFDLPGVLLCPWACLIMAIGLSTLILGLWPMHLIWTYYCIIRHCLLYQLQDGTWSTITGSCTVVRDVKDLLFHSYFSIMDDLRLQKPPDGKPYEIRSPSCSFVLVLDIPGALIAAACGLLLDGIMFTLISLYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAYGAVVAYQESSLIMGLAYVASSVSIFDEYTNDVLDMAPGSCFPRYKYRKSKDDSSHGQSATISRPSSFNKDKQEGKRPPSRVTSFKNSIDEFNPFKLLDHLFTECRRQGEELVNKGLIIMKDIEETKSGKVGSGVLNVGLPAYVILNALLRSAEANSDGLVLSDGSEITSDNRPRHTLFDWFFDPLMVIKEQIKAENFTEEEEEYLKMQVLLAGDPNRKGTLPHVPSLNERKKAEIEAFARRLQGITKSISRYPTAKRRFDVLVKALMSELERTMGGSQSTNGSQAQRLRKSVARMLSQKSMGKTANIRDEDPEAQMTSSSRTP >Dexi2B01G0023020.1:cds pep primary_assembly:Fonio_CM05836:2B:32586951:32588309:1 gene:Dexi2B01G0023020 transcript:Dexi2B01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLLLLARFSSSIAVSPNSYISRAAEQQVVIATVAPAFVPDIDGQTTALPFLTSPSGSYAAYLRRALAGGDLAGDACYVQVQQATGGSVWESDCTPVGGADACDLAFSAVGLELFAGGHSLWDTGVDDADDPGTLSLDDAGDIKIVSKEGVTVWTASGEPWTGQQCGAGAPLPVSSSSSTSAPLMDSGVPPTPSTAGEKLVTPPSATLAGAGSTDDLYSGASTPDDTLPDLPAQPPVVTAPEQPLAPPPADASPDVPDFPPLPPPPAYTSPDTPDQPLPAPPPADVSPDLPLYTSPPPAPAATTFGPDTSLPPFGVPFASPPMGGDSSIPGAQGGVPFSGPSPAGIPNPHGPAHPHQLPLGASPPLPDALAPSVHGAGQGHPGVPFGHGQQQQQHPEGGQGVFGQQQQVLNGEGQPLEESSGRWSGGERGRVAACMALFSLMALGFGF >Dexi5A01G0034640.1:cds pep primary_assembly:Fonio_CM05836:5A:36659930:36661305:-1 gene:Dexi5A01G0034640 transcript:Dexi5A01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWELLLASPKAAVAAAAAAEPYAGVGGEDDAGAIKHDYFDLGSDAKYPRRASLSKEDDDEEEELEGLLAASDNASWVEPDPDDLLFPGRERTALWSDSSSDGERPEVEVTEPVERFRVEAGAAAAAEGTEGAVAKGGGPARWWKLPLDALRVWALRAARSAWSVPFAVALLGFAVLGRRLYRMRRQSKAVGRVRLVLDEKKASHFKGQASRLNESTVMLRRAPIIKPMLPASGVTPWPVLGHL >Dexi9A01G0048920.1:cds pep primary_assembly:Fonio_CM05836:9A:51675893:51679486:1 gene:Dexi9A01G0048920 transcript:Dexi9A01G0048920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEDDESVAWVGGGSGDAPAVLLHGSLDIWIHEARNLPNMDILSKTVRDLICTKSRASDQAMTSDPYVTVQVGSAVVARTFVIPDNENPVWAQHFVVNVAHEAAAVNFVVKDSDVVGAELIGVVSIRADRLKTGERVEGTYPILERGGKECSPGAVLRLSAQYVPVARLTMYHHGVTPGPDFAGVPRTYFPLRRGGRVTLYQDAHVPDGTLPEIRLGDGACYRQGQCWRDVYDAMSQARRLIYITGWSVFHTIHLVRDGTGGMALGDLLRRKSQEGVRVLLLVWDDPTSRSVLGFKMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNNTRKIVAFVGGLDLCGGRYDTPRHMLFRTLHTFHKDDYYNPNFAVEDARGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKRSGTKKLTKSRNDTLLWIEKIPDIAAIDDDVYSNDNDPERWDVQIFRSIDSNSVKGFPKDPREATSKKETMQMMYEIIYKALEEVGLSGKYEPQDYLNFFCLGNREAEDTSCSSSGSSSANNPQDQARKNGRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQHTWANTLSAPRGQIFGYRMSLWAEHIGGIEESFTRPESLECMRQVRQIGQHNWEQFISSHMTKMRGHLLKYPVSVDPRGKVKPLPGCPTFPDLGGNICGSVLNIQENLTI >Dexi2B01G0029340.1:cds pep primary_assembly:Fonio_CM05836:2B:37773826:37774842:-1 gene:Dexi2B01G0029340 transcript:Dexi2B01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQHKQTSVSDRAAVKMPQKAAARIGYLPDGIVESNSDMELKPLWLTTSVQSQQKSKRNDQFFIAIAAGINQKKSVDAIMKKIWK >Dexi5B01G0021560.1:cds pep primary_assembly:Fonio_CM05836:5B:23867035:23869034:-1 gene:Dexi5B01G0021560 transcript:Dexi5B01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASSSSWPSRGAAAAAAAYDYERDPRWVEYRVSSAVPPHLFTDPYVRAHLQHKFYRRFVDPDFPVEGMSSTTSTQPLASSAPTSAGETARPPDSGDKTLRSILL >Dexi9A01G0031040.1:cds pep primary_assembly:Fonio_CM05836:9A:35973841:35978224:-1 gene:Dexi9A01G0031040 transcript:Dexi9A01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRCRRRRSGLGRGGPRGKALEASRSFGGRRRGEPGEEASGENFGSGEADEGKARESGVEGSPAAPLDFSVLLLAL >Dexi9B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:9B:11245141:11250125:1 gene:Dexi9B01G0016460 transcript:Dexi9B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPLAARPRFDSLHLCCRSAPWPPPGPHLATPPQPAVDCTGSLPVSRQGTLAPRIRASSARCLWAPSPPPMESRDSFLRPPAPSYAAGASARKGTTTPTGGDTAASNLTSSSGSSTSRTLSPNDNFLKQVNAAIKRQRPLGSVPSTIPRATRVLVSRADQTNQAGPSPSEMKNREGKVMQPQRGLLGHSRQQNAMPDQQNIAGNTKLGCPDELMLTTPSMLQSITDTGEQIDDQNYQQKNEVSLLADRVKSSLEASSQIASRNALVAEGFKKEQLYSIADPQLTSQSIADPQLTSQSDNVGITVDSRMDRPTVQSSQAPQISGYASPVQRPESVVPESSKGVLGHGPQKERTGVTGIGDWNPLDQQGRLGNGATDKAVSSIGSLRSEVLPANDQSTSARDGGAPRPNKGEKERHKKNYDPNVFFKQMLEAVNTIHDERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFANYKSFWAKYKEVTDRNRKIIYEPVDNPWLIDLMQRCLAWDRNERWRIPQLLEHPFLNPLVPRELPVVDHDPCRLLMERIRVHWDNPVVQKLRSEIEKLDEYHC >Dexi6A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:6A:4076648:4077404:-1 gene:Dexi6A01G0004460 transcript:Dexi6A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPLLSAAVRQLSRSAYGVIVKPARGFQVFRIDGYSCSKRLIALRRRRRNWRIDYYPNGSDSTNKDSISVYLPSDHPFQPKRSERVGVDYKFTLLDHSGNGAYELPAGMGVVKFPAFFVHRYVGYRSDYSEEEVEEDEEEHVDEAHSRVGHAEFIAMEELERRRETLLRGDSLAIRCDVGVAEIAEVNIAPKESQWGEGGGKRRRQMLDDEEYIRRCLAKNRGASKTTTIPAAS >Dexi9B01G0023340.1:cds pep primary_assembly:Fonio_CM05836:9B:18426044:18426465:-1 gene:Dexi9B01G0023340 transcript:Dexi9B01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSSGGASRISDEQISDLVAKLQALLPEAHLRSNDRVPSARVLQETCSYIRSLHREVDDLSDRLSELLATADVSTAQAAVIRSLLM >Dexi2A01G0029750.1:cds pep primary_assembly:Fonio_CM05836:2A:40776343:40779285:-1 gene:Dexi2A01G0029750 transcript:Dexi2A01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTRRINAGLHIEATGNGVAEVDSRRSSASAYSPTAKRINDAKDSDVWVPVQEGDMPGDPSRALLFRTMKVKGSILHPYRFVILLRLIAVIAFFIWRIRHRNHDGVWLWVMSMVGDVWFGFSWVLNQLPKLKPTKRVPDIAAIRDQYESSTPGESKLPGIDVFITTVDPVDEPILYTVNSILSILATDYPVDKYACYLSDDGGTLVHYEAMFEVANFAKLWVPFCRKHSVEPRAPENYFGLKRQPYMGSMQEEFMSDHRRVRREYEEFKVRIDSLFNTIYQRSEAYNRKNTKEEGVKATWMADGTQWPGTWIEQAENHRKGQHAGIVKVVLDHPSHKPEIGLPASIDNPFDFSNVDIRLPMLVYLSREKCPGYNHQKKAGAMNVMLRVSALLSNAPFLINFDCDHYINNSQAFRAAMCFMLDPRDGQNTSFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGMEPPHWRAENIKVISKAKEFGESTLFINSMLDGTNQERSLTPIFFDDSVNNELTTLMTCAYEDGTPWGRDVGWVYNIATEDVVTGFRMHKQGWRSIYCSIEPAAFRGTAPINLTERLLQVLRWSGGSLEMFFSHSNAFLAGPRMQPLQRIAYLNMSTYPIVTIFILAYNLFPVMWLISEQFYIQRPFGSYILYLVIIIAMIHVIGMFEVKWANITLLDWCRNEQFYMIGATGVYPTAVLYMVMKLITGKGIHFRLTSKQTEACSNDKFADLYVVRWVPLLIPTIAVLVVNIAAVGVAIGKTATWGLFTEQAQHAMLGMVFNVWILVLLYPFVLGIMGQWGKKPVVLFILLVMSISTVAVMYVTFRAIYPSDWSEIAASLGKVELVTGSPGKN >Dexi5B01G0035380.1:cds pep primary_assembly:Fonio_CM05836:5B:35372371:35372686:-1 gene:Dexi5B01G0035380 transcript:Dexi5B01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRHRRGKKRFLLPLLILCLAVLLPAGALLAPSASASSPAAPAGGGSRKRRWAGFDYYVLALQWPGTICRQTSNCCETNGCCRLD >Dexi8B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:8B:24433228:24433620:-1 gene:Dexi8B01G0014090 transcript:Dexi8B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLAKAEAAATVAAPTPPRPGKLEKAAKAGWPPTTLLDVQEVEWITRELERLLAREQVGGGSDGADGRHRRKRAKLSPAPKKGGFLAELLGRHAASICSGSGDAVDTSAARAGRRRGGWGSFQEVEKV >Dexi2B01G0022060.1:cds pep primary_assembly:Fonio_CM05836:2B:31753040:31754151:1 gene:Dexi2B01G0022060 transcript:Dexi2B01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDPEQSIHVLLLPNPSQGHINPILQFGKRLAAHRGVQCTLAVTRFVLAQTGAGEHSTTAGGVTGGGVHVATVSDGCDAGGFDEAGGIVAYTARLEAAGSETVGALLRSEAELGRPVHALVYDAFLPWAQRVARRHGVASAAFFTQPCAVDVAYGHAWAGLLETPVVGEMTPIELPGLPAGLRLGDLPTFLTDQGDDRAYLDLLVNQFSGLDTADHVLVNSFYELQPQESEYMASTWRAKTVGPTVPSAYLDNRLPDDTSYGFHLYTPLTAVTRAWLDAKPACSVVYVSFGSLAKPCLVQMAEMAEGLYNSGKDFLWVVRASEISKIPENSLIGPRRGASS >Dexi3A01G0009680.1:cds pep primary_assembly:Fonio_CM05836:3A:6805893:6811249:1 gene:Dexi3A01G0009680 transcript:Dexi3A01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAMAVAAPDRAKQRRGGQLWKKALLHFSLCFVMGFFTGFAPSSSSSWKAATPHRAGDHLAASRVAVDARVNLVPGTAAGEVGGLAGAGGGATVDVGDEEEEGTGPRRLLIVVTTTRSGAGERRRRRAELLRLAHTLRLVRPPVVWVVVEPVADAPATAEVLRGTGVMYRHIAFKPEENFTTAAAEAHAQRNAALAHVEKHRLAGVLHFADAAGVYDTGFFDQIRQIEAFGTWPVATMSAGEKKVVVEGPLCSASKVTGWFSRDFNDGTTRAMTYNTEADLNTAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRAKLKGIPSDCSQIMVWQYSAPSSQ >Dexi9B01G0002420.1:cds pep primary_assembly:Fonio_CM05836:9B:1399691:1400057:-1 gene:Dexi9B01G0002420 transcript:Dexi9B01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGNHCDTYSFV >Dexi9A01G0039270.1:cds pep primary_assembly:Fonio_CM05836:9A:43312311:43312916:-1 gene:Dexi9A01G0039270 transcript:Dexi9A01G0039270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCWCVHAARGGAMATPLSGPFAPTTVLRSPLRHRALLLPFPPRAASSGEDTSAAADQEPSPAATKTATADDDFEERILLIKSRVGPKKRGARKRKGGGAASASSASAVTLPPVPLREPRSSLLGGAAVEFGFTAYSERLNGALAAVGLAAVLLVELGSGQALVKYHQPATLFLQAYTVAAAAALFVKYEKERISTWPGPK >Dexi2A01G0032140.1:cds pep primary_assembly:Fonio_CM05836:2A:42755757:42759216:-1 gene:Dexi2A01G0032140 transcript:Dexi2A01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILLGFLGLLFIAVTVAIVRRLELEGEVVVIAVAQGDDKEVLVELKRFLQENNKVNRGAYDEWQETDASPCGWHGVTCDGASRVTSLDLSHASISGPAFGNFSRLSALASLDLSDNTITGAGDIGQCRGLVHLNLSHNLIDGSLDLSALNALRTLDVSWNRLVGGGVAANFTAICADLAVFNVSTNGLTGDITAMLDGCARLEYVDLSSNKFTGELWPGVARFRQFSAAENNLTGSVPSTTFPDSCKLESLDLSANNLTGSFPDSIAKCVNLKYLSLWGNGFNGVIPAGLGNLTVIETMVLGKNSFDRRIPPELTNCTKLQFLDISSNSFGGEVQDTFGKFTSLRYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNEFSGELPPELANMKSLKYLMLAYNQFSGSIPPEYGRLTQLQALDLSHNMLSGGIPATIGNLTSLLWLMLAGNQLSGEIPREIGKCTSLIWLNLADNELTGKIPPEMAAVGSNPGPTFAKNRRDTTVLAGSGECQAMKRWIPASYPPFSFVYSIMTRENCRSIWDRILKGYGIVPICTNSSSPVRSNTISGYVQLSSNRLSGEIPPEIGAMQNLSLLHLDGNRLTGQLPPEIARLPLVVLNVSRNNISGAIPSEIGRIRCLEMLDLSYNNFSGELPGSLSQLTELNRFNVSYNPLLSGDVPVAGQFGTFDEQSFLGDPLISLQGGAASGGKQPPPEATGVPAVRARGIMSPRPRTIAVWFTFSLLVAFVAGALVFFMANLRARFPVDQDPDPESPFSCENPKCSGKCSLQSSTTSSSPPSGSSSSATGCSSSTDGVKVFQLCVSKTAFTYRDIVAATRDFSDDLVIGRGGYGVVYRGELPDGRAVAVKKLARPRDGGDCEREFRAEMEVLADRMGSTWPHPNLVTLYGWCLSGSSKILVYEYLDGGNLESLIGDVEAYGWARRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLDGKDGRAKVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWARRMAKEGWVSRETTAAAVPGAVSWELLKLGMRCTADAPHERPDMPDVLAALVEVAETGGVGLEFT >Dexi9B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:9B:560368:563316:1 gene:Dexi9B01G0000950 transcript:Dexi9B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLAPVAFLLLLLCLPEAAPAAAASGDSTPTPTPWPPQFHATLVMDYHGNMSLADLWYDWPGGRNLHVIRYQIADDAPYYDNEWNNGTSFFYTPARRACRSAAVGVGILRPDWLLEGSEYLGRRDAGGFDCHVWAKADFITYYEDVKTKRPVKWVFYTEYCFNKDGEIAETANGHDDSFLTPRSVL >Dexi3A01G0036490.1:cds pep primary_assembly:Fonio_CM05836:3A:41879355:41879675:1 gene:Dexi3A01G0036490 transcript:Dexi3A01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARRWGGESAGGFVGVDWREESERGGSAPRGGGREEESEPTWRGASPALLCSALLSSPLLSSPLLSCCSSAAAEHNGADSEGS >Dexi5B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:5B:5886643:5888405:1 gene:Dexi5B01G0008690 transcript:Dexi5B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKLPPLLLLLLAAAAALPAPSYGWGVDGHLMVCQIAQGRLSDAAAAAVKDLLPSYAGNNLSSLCSWADDVKFRYHWSSPLHYIDTPDGLCTYSYDRDCKDEDGVKGRCVAGAINNYTSQLLTYGRSSAPQYNLTQALLFLSHFMGDIHQPLHVGFTSDRGGNTIDVHWYTRKTVLHHVWDANIIQTAEDDFYGNGVTDYIDALTKNITGEWSEQVPSWEECGKNQTACPDIYASESITAACNWAYKGVDEDSTLEDAYFSSRLPIVNLRLAQGGVRLAATLNRIFG >Dexi9A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:9A:1873122:1874989:1 gene:Dexi9A01G0003550 transcript:Dexi9A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDWGEDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFSTSKIPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >Dexi1B01G0022600.1:cds pep primary_assembly:Fonio_CM05836:1B:28299064:28299545:-1 gene:Dexi1B01G0022600 transcript:Dexi1B01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYYFPSSWDTTGYVEAAFPGHTASRNPSSPSPRTRRASREDANADAGEPRHHYLYACFRCGRHLGGNKDIFMYRGDTPFCSEDCRQQQIEADEAREKRSRQPAATKREREQRQNCSPQRIPLWAR >Dexi9B01G0028630.1:cds pep primary_assembly:Fonio_CM05836:9B:31265811:31272797:-1 gene:Dexi9B01G0028630 transcript:Dexi9B01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHADAAHHVPTAGPGASSSSSSATAAAGGAARRRRRPGLPCLPSHLFFALLVALFTASLLVVWQLLPIGDGDADADAAAEGGGEAPPRPEGGDAGLMRFSASRVALRAFDGESRLEAARSERRRWAGLAPVRVALAVGNLNIDAQSLMLATVAKSLVGLGYEVEVLAFTDGKALDIWENICLVNIVNIGTLKSVDWSKYNAVLLSSLEGKRVVSILMQEPFRFLPVIWLIHEDTLGQQLRSYAELHEPIPNVIEDWRAHFNASDYVVFPDSYLPELASHIGLPDVSVKQFPMTYDIKNLLMFVDVVLYGSLRQEPGFPPLLLRSMSSEIPIVAPNLTVITKYITDGVHGFLFDSADPSTIDERVERPLLSWDEVYRNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGVTLVSLSQSAEETLEETATGRNNGDVVYYWSPMEMDETSDFWSMCDSLNAGNCRCFLKLSVYFDEMSSKFDTSFLPQKRYCYCRILEVLVNVWAYHSGRKMVYLDPVTGDTREQHPLDERNEMWVKFFDFTLLKSMDEDLAEEADDGMHPRNDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >Dexi9B01G0023040.1:cds pep primary_assembly:Fonio_CM05836:9B:18015717:18017842:-1 gene:Dexi9B01G0023040 transcript:Dexi9B01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHGCLTKHGFPRGYRFVPTPLELISLLTDHIHGDRLPPPLDAIFHHLTILDYHPSELYERFKGDAEHRYIYFFSWRQFQKPGATGGGGGVAVPEDKDQKEPRPVRVARGGGWKPSGGGQVLRWPRRMGGFVAGRMVTMVFYDRTGDGGLAKSNWGMHEFIVPVDSRLTSLPSSKYTRFYDLALYRLYILKSGDMENESSSSSQMMPFGPFSPSTLMSPCPPIRPCGIFPGKQPPLAAGASTSQMPPPPPPPQQLPSTGLYYHHHHHQQQQQHAFGATAAGAAAAQHQVRTMPLLAAGFPGNSCHFASPPPVAADPAAHQPPASATQGAGQEAFHSGATRSPPAIGSPKAEQDATAATEPAHAHLADCVKPEEEEEEAPPPSLEDVAPPAAKGEGVANPDDGLGMLDWSNIDLADLTPLDDNSFLWCTMDEITSVFDESPATEGDQDPPAALSCC >Dexi5A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:5A:18346088:18354420:1 gene:Dexi5A01G0016520 transcript:Dexi5A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLDDDDDDDEVPVKVKPSGGSASSSGSNPRKPKPQTQPPQQPRRRVAADDEEDEEEEDVELEEEEDDEKDLEAMRRAEEEERREQEAETQTRRRRGRPKRRREPESEDEDPEEEEQQEEPREEENTEAVPVGNPVRVTGKGKKQKKHYNSFEYEGNTFELEDPVLLTPEDRSQKPYVAIIKGSIGYFTELDEFTPIDASQLLPEEADKKGGGSWVARDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKQIPSRKQHPGFIVQKVYDAVEKKLWNLTDKDYEDNKQQEIDLLVKKTIDRIGQLPDLEPEETPVDNGDQLSNKRGLRKRPLNPIDVTREPPVGNSEHFTKAETPGSDKLRNYAILVKYRALNGEEYRDKWLDKLVGCIPLALKESAGASHADPDAAAKGSTNGSSAKDVNSAENEKSYPPEVVVPIMVALENSAYEALGNDYAKYNQKLRQLVFNIKNSSKLRRRFMDKELDPPVLLTMSPDELKIGLTSAERTSEPEESRQLQMTDTRCQRCNEKKVGISDIIHAGHGDRYQLECTSCGHTWFSSRDAITTLTVDAPRTAANVGTAPWATAKFDVLEKQLTSPRDQPDKPSADALQKSTAAYMPTLEKQKSFVKSKQEEPSSAPPNQK >Dexi2A01G0031590.1:cds pep primary_assembly:Fonio_CM05836:2A:42335451:42339267:-1 gene:Dexi2A01G0031590 transcript:Dexi2A01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASLRPTEPLPLPSGLSLAPRVKLLLTFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPLLPDSDLSVRRLPDLQKRRREEPVASGVLHVRDLSVLRPRKGDGEPEGMTTEQEEKKYFEWRSSLVEKLEGIELNLEGVKFRMTVEIPPSDDFRAMKKSWEDFYSSELLNSRNPVRKIAKRLDTIIVRGVPSRNLNIANDDGDLGAKEDGSNKELISGLNCKVWVQFESYDDFHDAMKALCGRSLEKEGSRLKVDYDLAWDHEGFFRIAQYEPARGNVDERNASTLVHGRKKHYTSRTESDHRKRFRSVAHA >Dexi1A01G0027160.1:cds pep primary_assembly:Fonio_CM05836:1A:33024622:33025671:1 gene:Dexi1A01G0027160 transcript:Dexi1A01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIMGKTVVKVVVSLLLVLALLLQPCAAARPLAETPTIDGSRSLHLPLRGSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGPVRGWTTYAYGPGYDARACTASRTRPAEVTESRCGRPLGLRFHYGSRNLYIADAYKGLMRVAPGGGKATVLVNKVDGVPLRFTNGVDVDQVTGEVFFTDSSMNYQRSQHERVTATGDSTGRLMKYDPKTNSATMLQSGITYPNGVAISADRTHLVVALTGPCKLMRYWIKGSKMGTSEILADLPGYPDNVRADGKGGFWVALHREKMELPFGPDVHLLAVRINADGRVVQMMKGPKSVRPTEVMEREGRKLYMGSVELPYVAVVSE >Dexi9A01G0026450.1:cds pep primary_assembly:Fonio_CM05836:9A:29413210:29413554:-1 gene:Dexi9A01G0026450 transcript:Dexi9A01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSSTGLLAVSLLLLFAAAAAVSGPPSPQYEALECQNDINALWRNCKQYVQKKGPKKKPSSDCCRTVQVADAHPSCVCDYLGSPDAKEKISMEKVFYVTNQCGVTVPAGCGE >Dexi5B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:5B:9076850:9078436:-1 gene:Dexi5B01G0012770 transcript:Dexi5B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPPRRRRQSREAQGAEGEAGSGGGWIFGGLIKTLAEEIEAQRKEQEAIAAAAGEEKEGERERGAEAVDAAAADEEGEGSGGGWSFGGLIKTLAEEIEAQRNEHDADAAAAAEDGERGADAEAAAADGVEREEVGEGPDGGWSFGGLVKTFASRSESVLGGYRRDLEDLGSGLRLETAALRAAAARAASALPGALEAGASAASDRLESVGQAVDDLGAAAAGLLSHANEALRSAEADGEDGDVAPRASDVSASGASWRSSLPSKKYTRFEAQVLALRADPATFTEEPEDSEGFAKWNSSFSTDEMKEQIEGVLRESPGLESFVERLVPSVVDYETFWSRYFFAVDKLKQAEDVRTKLVSRAMSKEEDEELSWDVDDDDEETNTSDQKEGTNSTVDKKEEQTEASGKQEAAVESDSTKDKEVALAEAKNDNGESNVETMTPKSSDGTGQEEKAEAGDSSKESDFSVVSQPSVQEEDLSWEEIEDVGDEDDKKVASSRSSSANKVEDLRKRLNSVEDDEDLSWDVDE >Dexi2B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:2B:11090581:11091325:1 gene:Dexi2B01G0010140 transcript:Dexi2B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPELLEVMVIMFTVIVFGLLVIMGVFRIQRSTASLLISVLAAFSAWGLIGVKRLNFLLQRTGRFDQTFSLTELHLFEVASSLMLLLILLFPVGPDSRPDLQGILRMVMAWFNHPERLPALLLLVGLAITSYGIGVFFAKGLPAGSLFRGDFIVYFVVVIGLVTVTAAAGVWAHRDPVAQMAGFSLTIYILVLVLASSCTEGGWGSSVI >Dexi4B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:4B:17470856:17472076:1 gene:Dexi4B01G0015650 transcript:Dexi4B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHTPGATQCAAAMNRQCAPVVLPVQPNKTYRLRVASTTSLASLNLNHKLTVVEADGNYVDPFAVDDMDIYSGDSYSVLLTTDQNPSSNYWVTVGVRGRLPKTSPALAVLNYRPNAASKLPSLSPPVTPAWNDFEHSKAFTYRIRALAGTPSPPATADRRIELLNTQNRMDGRIMWSINNVSMVLPATPYLGSMKLGLLNNSTLAATRPAETFSRGYDVRQPPANPNTTAGANVYVLAHNATVDVVLQNANALAANVSEVHPWHLHGHDFWVLGYGDGAYVGDDADVARLNLRDPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEAVERVGKVPKEAVSCGATAALMNGAHL >Dexi8A01G0016910.1:cds pep primary_assembly:Fonio_CM05836:8A:28557901:28561967:1 gene:Dexi8A01G0016910 transcript:Dexi8A01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFCRYACIRDIVSAIIGNSSQFLARKYADMGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNREWAFQLLRAKLYEIKLREQQESIRNQRKLQDNRVTDHRLKMNFELTSFLLGDIESAVQSCATMEQQELLEEMAASVGAAKNNTALAASKAVLAALFSLLTAASASRSRSSALTPPAAAGCRRPLGYCLAGGDELGSSHHCGRTFGGRRSARGGATSTSTSGSGSRRRARRPCRPGKY >Dexi2A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:2A:13293769:13295984:1 gene:Dexi2A01G0011390 transcript:Dexi2A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRATAATAASSRPRRNPKPKRDPSFLSPLASPAPASRTRTRKPAVRRRGGSSPASSSPGSSPADLSISFLSSPGSSASPPKPKPKPKPRARAKPTARSPLVASPRVAAASPSPAASPQPASAAAAAAALAGVSSVGDLRSAVASQMEDLKRRLEALHSRAHSDLDASFSRVSKRIKTQTQACQQLTDEADKEYKKVSDNIKENSEIVKAKYKQIIADAQSSTTRVCKVTIPEITKSVEKAIDGLRSRYNISMAA >Dexi7B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:7B:23000407:23002700:-1 gene:Dexi7B01G0017010 transcript:Dexi7B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSTELLSRIAAGDGHGENSSYFDGWKAYDMNPFDLHHNPEGVIQMGLAENQLSLDLIEEWSLKHPEASICTAQGASQFQRIANFQDYHGLPEFREAMAKFMGQVRGGKVTFDPDRVVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPASGVKLLPIECHSSNGFALTREALVSAYEGARGQGIRVKGILITNPSNPLGTTMDRATLAMLAAFATAHRVHLICDEIYAGSVFAKPDFVSIAEVVEHDVPGVNLDLIHIAYSLSKDFGLPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQFFLARMLSDAEFMSRFLAESARRLAKRHERFTSGLREVGIGCLPGNAGLFSWMDLRGMLRDKTPEAELELWRVILNEVKLNVSPGTSFHCDEPGWFRVCHANMDDQTMEVALDRIHHFVSKHQEQQQQQQQKAAKAKRWAARGPLHLSLPRRGGAVASHLAALSSPLALMSPQSPMVHAS >Dexi4B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:4B:5965450:5967417:1 gene:Dexi4B01G0008260 transcript:Dexi4B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITTPRHRLLAGVLLLLLLAPSKKAAETPVSFSFPSFSLRNLTLLGGASLRADSVSLPPPSSHALFPLPLRFPPNTSFSTSFLFAAPASARPTSRLSFLLIPDPLTAAATAKNRSLPLEVSLDASRGRVSASSAGAELAGNSTGAVNLRNGNGVGSWVVYDAHRARLEVFLSHASVRPRTPTLDSDTVGLGARFAEFMFVGLEVSSDNASGDGGFVVESWTFLTSGVPSGDTVPGPAHSASDSVRSVPALPGVTVHKDGRRRKLAMVLRLAIPLPIAFLVAVMVFVVMSLKRWRWDTTTVNEGIGAKTTGKPRQFVYQDLFSATKGFDPSMVVGSGGFGTVYKAVCPHSGVTYAVKRSKQSRESYNEFSAELSIIADLKHPNLVQLQGWCTERDELLLVYEFMSNGSLDEALHPCSSGGELYFTLNWSRRYNVAVGIASAVAYLHEEHDKQVIHRDIKSSNILLDSCFSSRLGDFGLARLKDHDASPRSTMAAGTIGYLAPEYLQMGKATDKSDVYSYGVMLLEICTGKRPIERRAPCSMNMLNVVDWVWNLHSKGRILDAADPYLSGQYDNEQMKRLLILGLSCVNPFSEERPVMRTVLGILKGKNDLLPVPRKKPLLVFVSNAPIDLNGIVSECNQSTLSSDLHELKIDVN >Dexi1A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:1A:4622165:4625711:-1 gene:Dexi1A01G0006180 transcript:Dexi1A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETATVERMKDSATGLDKFVLREARGSSVEVSSLSRNTLMNNFILQLGNHGALEQHGFARNRFWSVDESPPPFPVATSSCHIDLILKSSQEDLKIWPYSYEFRLRVALSPRGDLILTSRIRNISSDGKPFQFTFAYHTYFSVSDIRYISKCHIGSANRIEVRVEGLETLDYLDNLKSKNRCTEQGDAVVFESEVDKVYLSAPPKIVIIDHEKKRTFVLRKEGLPDVVVWNPWDKKAKAMPDFGDDEYKNMLCVGAAAIEKPITLRPGEEWLGKQEISAVPSSYSSGQLDPEVIRRMHTI >Dexi2B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:2B:12805642:12808478:1 gene:Dexi2B01G0011190 transcript:Dexi2B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGPALSFRHDAVGDMAGAIFMSNTVTREQCFKASIFGLPSEYEPFVSNVRKGMPLFLFDHTLRTLYGVFEAASDGGLNVGNAAFRSTLRSYPAQVRINIIWKCRPLSEDEFFPAIEDNYYQPRKFYFDLSYEQMKQGDLRSNASDPLDLENDIVNPRHTIPEKKISIDLSVADLNSMDESKLLTILDQASFLLQALSKLKSGKSNNAEEARSSVCNEDIKASMVVKSDGGQQT >Dexi4A01G0018990.1:cds pep primary_assembly:Fonio_CM05836:4A:22829467:22831151:-1 gene:Dexi4A01G0018990 transcript:Dexi4A01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVAATGGAHVPARYLRPEVAADAVVNDGEAAIPIVDFQRLLHPEESRDESARLHAACQEWGFFQLINHGVPDGLIQGMKANIQGFFGLPAETKQQFAQQRGHLEGYGQLFVVSEDQKLDWADNVADRLLVIMSKNLGLQPEVIANKCVGGLQSVRMNFYPPCAHADKVVGFSPHSDADLLTLVLQVNEVQGLQVKRDDDTWVPVHPLEGAFIVNVGDILQIFTNGRYRSVEHRAVVDTERERLSVAAFHSPSIHATIGPLTELVSDQEMMMYKTVDHESFMKLFFSAKLDGKSFLQRMKL >Dexi6A01G0001310.1:cds pep primary_assembly:Fonio_CM05836:6A:1127045:1127306:-1 gene:Dexi6A01G0001310 transcript:Dexi6A01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSPGLLVTLTFPSRLSLAASSSSAASPVRHGADDSSSFLFRAILLGSSAPLSSRASFRRLCEEEEDDATDERR >Dexi2B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:2B:9572216:9574379:-1 gene:Dexi2B01G0008860 transcript:Dexi2B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAATNPMHMIVRQLFYRLVFTLKFESFLCMEMISFWLWLEAIGHADFLASVDALDNYHLQSIAMAAKTFVETLRQSSHSTHGSTTQGGYFQQEAVKGIVFYLNNVCFKVLVDMRELAEAKEDTYRLRFTNQQAQQQDRKGKAPMSTKDLLSKIKASYTSTSSHEAGSSSRSQPSPRPLILRDIESPIEQCLSTTYPLATLFESLNIREEESTNAIQIQHSRVPRDERTLFVTFSNGYPFTADELYEFFIGNFGDVEIITVQESVDPKPPLFAHITFYTQETLFRILGLQH >Dexi2A01G0036820.1:cds pep primary_assembly:Fonio_CM05836:2A:46275903:46277465:-1 gene:Dexi2A01G0036820 transcript:Dexi2A01G0036820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYLDERRRQRWLQTAKELEETSEDLCDTEEAKVAFRKYKAESVSWYRKLATTRPEDVVLNYKPPSDTKEEHLTAHKIEAKADLFGWPLCPQAKQFSTLALDHYNSTNEHKFEMSRVLLSKCFSETDGRTFAHMNFTAITHQQSASQPAKRLFFAELMLIPDLQAYESAEPMLVLHVCTIDDSCFEFI >Dexi5B01G0024280.1:cds pep primary_assembly:Fonio_CM05836:5B:26341418:26342199:-1 gene:Dexi5B01G0024280 transcript:Dexi5B01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEFKVVLICVYLILALAAGSSASLNISVYWGQNEKEGSLADTCATGRYAFVIMSFLCVFGSGRAPIFKFAQHCNASGGGCAGLRNDIVSCQSNGVKVLLSIGGLLGNYSLSSTSDAQGVATYVWDAFLGGEANNKANTVSRPFGDAVLDGIDLNIIAHSSNYYYDDLARKLTSLYEGGNKGRGRRYLLTAAVQCPYPDDSLELALRTKLFHHVWVQFYNNPVCQYDESGDYEDGVGSVGTGLALGVRSPWAGRIA >Dexi1A01G0014790.1:cds pep primary_assembly:Fonio_CM05836:1A:21450787:21455587:1 gene:Dexi1A01G0014790 transcript:Dexi1A01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWMHAHAATYSRLLRRVKGEVVENLESKVTRDDLDRFGSPERNTLRPDGLPGLFSPSGLYTHKPPSTLSPEEQPCAPNWAAPEPRLSLKKKFEALSKYRNPEIAHKHPQLPPNLDPIHTKSQEIPMAGGEGSSRGKGSKRKAEAIKQVVTTEETTSAGDMPIIGDSISDWPTSILKEKHIKALETDGFLPAQGISRWRSAYGHEYPSEDTEEITGMRPVYLDYTTKESLKDWQKEWFYAWNQQPQLQSRSGNPPVMKSFWSEIVGVNQAMQIPELLNMIRDLKAQGLTGAMVYKSFLLRRIQPCKQHSNPGYLYSGEADPSRNATGNISEKRVNQLLKKFLYEARRALYVSLPPLDPTNTEEAALLARCVDPGVRDQVRMKSAIEDPDEPMVKLEPQVPEEPQAKSGATSRGTEKSKRPAPSEAQAPVPKKARTLPKPRARTIPEERVKVSPQPRTTSSVGIAIGEIGTSMPQQSSSARRALSEEEILHEIFNPVSTPFEGITPISEEPCPAGPSVAEQEVEEEFTLGEPEIPMRPSTMVESLVDHAAVEPEAAVPKEPRVVPETTLPEVQTTLPSNPPAPEEAQVEEIVAEVLADIEQLVTSAVMEESELERRDQSSAQPPSVTETTQTEAEAECSRGKQAETSTQEQEIEEIPRVPQSTGAEEEGGSFRIGSFDPMLNPNPQTFEYILDAEEDEHHIDRGLYHAERAVTYFKASRKKTEYLHNISLMHAKADRLQKELEREREDRKLQEAEDADTIRTLHLRTKELAAEKEDVKKKLATAKAELKGAQQQLATAQSKMTDWSNVANRYEEALKTLSADHESLQEELRVAVQQRKDADEQLIQVLEQQKQLAQTLEGAREENNRLSRDLVQAQKHLSDKKVLDEKLEQAARRMSELEEELRLMKKSDDDLAEALNRISQLERAANPVLKALVPEDPSAPLSFLERLKAMPRQLKAYIKRSSKALKSRYPEVDIGKLVEGAEPNCTESAFRDLKQEAEPVAEAIAQSLRL >Dexi9A01G0049680.1:cds pep primary_assembly:Fonio_CM05836:9A:52271012:52282585:1 gene:Dexi9A01G0049680 transcript:Dexi9A01G0049680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYSGAAGNSAGFSGAAPVGRDFSSSSSNYPVSSRLGPPDFYPQTTNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVIVKCKELRLQLTLCGVCCSMKALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEVEKTNLSFVVIIFCSTGPWYESILVANDILPDVLSASVNRSSVSATSRHPGSAVFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDLVSSSGVSVGEEIDEQVRQKLIGRSSRLMQNMKEIVQRQSDEVQRSLKEKKVSAAPKSPPSFEKEDSYQISHDIVLGLVECIRQNGGANPDGDLTIVASAVSAVVVNAGHLIAKHLDFAGGSYQGVNSVGNSLNFVRHTLRIHINSLCLLKESLGDRFSRVFEIALAVEASAAVTTAFAPAKMHRNQFQPSPETHDAYGNHTSELSNSGKGFVGRTAKVAAAVSALVVGAVVHGAVSLERMVAALKIKDGLDILQHLRGLKTNTNGVSRPTGTFRMETSTEVSAHWFRILVGNCRTVYDGLIADILGESYILALSRLQQTLPLTVIFPPAYSIFAMVLWRRYIFNREDPQLYQSLSNAINDITRHQPFREICFRNTHHLYNLLASDVGDSEFAAMIESHSPDRNSKILPFIPLRARLFLDALVDCNTPMTTLGDSASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKIAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDIIANKTAKRKLEVTSIEEGEVVDDTVDAKRPNKTPSHSIDRSFEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGAISEHIKSISRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRAPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLASRMIYEDADLPLPPTNATALRREADSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRSTSKSTIRSQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPSRHPCIQCQPPQLTLAAITPLQSCTSSAGPQQKSNSASWVPTNVSSRSKAALPLHDPEMEVDPWTLLEDGTSCPSTSSSGSNGSSGVAGDHANLKACSWLKGTVRVRRTELTYIGSLDDDS >Dexi9B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:9B:13483937:13489018:-1 gene:Dexi9B01G0018930 transcript:Dexi9B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPHHRVITLLLLLLPCGSRADDTTSGSYHGEFVYNGFAGANLTLDGAATYEDLSASGLAFVIAADSSVLSTAMPGEFLGLLNGSDDGNRSTHVFAVEFDTLFDADLGDMNSNHVGVDVDSLVSIAAESAGYYDDATGVFRNLTLISRKAMQVWVDYDAGATLVTVTMAPLGLPRPKKPLLQTTVDLSDVIVKQGATASVYVGFSSATGMLFSRHFVLGWSLSLHGGPAPELNILELPALPPAGPTKARSSKQVTEMIVIPIASATLILVVGVAIYAMARRRSKYAELREEWEMPFGAHRFSYRDLFLVSSATGADDDGQQFVYQGFASANLSLDGLAVVTPDGLLALTNATDQAKGHAFHPGHLHFVTNKKARSFSSCFVFAILSPYDGLSDYGLAFVVAPATTNFSTAKAGQYLGLLNATNGTARDPVLAVELNTIINPEFRDINGNHVGIDLNSLVSAKAEPAGYYDDDDTAAGGGGAFKNLTLNSHEPMLVWVEYDGEATQLNVTLAPVNVRQKPRRPLVSMDVELSNFFVEDDPMYVGFSSGTGVIPTRHCVLGCSFSMDGPAPPLDLSKLPVLPRYAELREDWEDEFGPHRFSYKDLFHATDGFKDRNLLGVGGFGRVYKGVLHLHQASSSSSNNLLAAAQQQVVALKRVSLARGKGVCRRGGEHRSPSPPQPYAVTRLLQACRRKDELLLVYDYMENGSLDKYLHDGRMPTLPWHDRYRVIKGVAASLLYLHEDWEQVVIHRDVKPSNVLLDGEMNARLGDFGLARLYDRGIDPQTTHVVGTIGYVAPELIRTGKATPFSDVFALGVFVLEVVCARRPIGPQLVSIVDWVIEHHHNGTIIQVVDPRLLVAGEYQTEEL >Dexi9B01G0036160.1:cds pep primary_assembly:Fonio_CM05836:9B:37725128:37731850:1 gene:Dexi9B01G0036160 transcript:Dexi9B01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGACSVCWLFHVLVLVCALSQILYFGSVVAQTAQLSVDASPQNAQVIPGNMFGIFFEEINHAGAGGLWAELVSNRGFEAGGSSTPSNIDPWLIIGDESNIIVATDRSSCFAGNPIALRMEVLCEASGTNVCPSGGVGIYNPGYWGMGHGFRNDLASMLANLKPRFLKFPGGNYVMGNYLTNAFRWSETIGPWEERPGHFNDAWAYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASLNEEVPSATIAAFVKDVVNGIEFARGDSQTAWGSVHNYVKFYSAIKASYPDIKIISSCDKSAISPVNPADLYDVHVYTSSGDMFSKSRMFDSAARSGPKAIVSEYAVTGNDAGRGTLIAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPSSIQLSNYDQLVTSAITWNHSQDGNTYLKIKAVNFGNKAVNLNISVTGLETDIQTFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVVLDSYSLTSFDLLLSSSQAMHLSV >Dexi9B01G0037650.1:cds pep primary_assembly:Fonio_CM05836:9B:38980166:38983818:-1 gene:Dexi9B01G0037650 transcript:Dexi9B01G0037650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARKNGAPLSLAALVFALFVFATFLYNEDIKSITDFQFTSGATRAKSPDLHLLQEAQAAAHAAVNTLAKRGEEVIVRVLEAPLSLQATSNSTATATATATSNGNAAAVVDVVQEKERDVTLPTVTGGGGADEARRRADEEAAEKASSAKAAAETAALRTVVSVPETCDLYRGEWVYDEVNAPVYKEGECEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGSSNVFYAHEYNATVEFYWAPFLVESNSDNPKVHSVPDRIIQWHAIAKHARNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGSTEYVEVDRPVAYKEVLKTWAKWVDRNIDPNKTTVFFMGMSPNHITPEAWGNKGGIKCAMETLPITNRSASLDVGTDWRLYAGAQEVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNQFLYARIASSPWPTDVTSQ >Dexi2A01G0027280.1:cds pep primary_assembly:Fonio_CM05836:2A:38648838:38689800:-1 gene:Dexi2A01G0027280 transcript:Dexi2A01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVEGRELGTAHGERRGGVLQVRLGDEGTSHGQPSSGRGRLGRGHGRLGPAGGGSGEGEAEEEHEVEYDTEHGIDVLRLQIFSLTSVPPDLQKIVVEADGSVVDDGTDLESLSERLRVVAIGEEGGDGEDAATAAAVEAARAQEKSDEEFARMLQAEEEALLLQQYSVRNDGGEVFRQRVEPYMHQVLMYEDPARQEAARKTVPIHELEEKALVSLAKIIDFTDHVWTECFSNLFGRWMHLDPCEGVFDNPLLYEKGWNKKLDYAIAISKDGVRDVTRRYTRKWHEATAAFDGIRSSKWEEPDGAKDG >Dexi2A01G0021600.1:cds pep primary_assembly:Fonio_CM05836:2A:33690506:33693115:-1 gene:Dexi2A01G0021600 transcript:Dexi2A01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVRPSSEQGGVIAGREPFVLPKSPPTPPSSGGPQSLRMAFTTDGTPVFAPVSSAPPATATYQPLGSAAAPSLPGAGGNGGASAHPGKPLAKKKRGRPRKYGPDGSMSLALVPVSMAAAAGTVAPGASGPFSPEGAKTPSSAPSASPDGAKKRGRPKGSTNKKQHVPALGNIDEVSHRKWLKLFILQDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPVQIIAGSFNTDGKKEPPKQQHHHQQQQQHQQLGSSPSDPSPAPLKAVPAGVTTGPSSPPSRGTMSLSESSGGPPSPPHGGASTGGSHGQQQSGGFSGMSWK >Dexi2B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:2B:18602695:18604065:-1 gene:Dexi2B01G0012490 transcript:Dexi2B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGEVVVGGYSITKGYYNNEAKTNEVYKVDERGVRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALSTSNYVDNIMVYADPFHNYCVALVVPAHQALEKWAQNSGVNYKDFEELCQNDQAIKEVQQSLPEKQLGSRNLRYQQKS >Dexi5B01G0005890.1:cds pep primary_assembly:Fonio_CM05836:5B:3967981:3971185:1 gene:Dexi5B01G0005890 transcript:Dexi5B01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDASPFIDEGEGFGRHVSSSGLQIASWMLPSCKIPVRQAVVQLFKERRPVPFVGPTVRGTLQPMFLRRGLHPTPRICVLKLRPTPGVYAQPPGSASSNRGLRLTLRVYAPRGLRPTPGIGWDGDVRPLTVSCMARGSGVGHDGDTRPSDWFNDLEQAPASYVVGPPLPLPGSAHDGPVEYCLCGQLASAQPNSPSASSLPLSLTGGPHLSSLSSPQSLAPEPNPDAPRCLSHLGAYGRPIRGASASLSNSRTPSSCRPNPSRGAPLCRRHRHRAAGLDLRLHRLSVAKNLLQSFPLYPSSLALSHARGLAVAMEPPLPVAGPDSRPNLNKNSSNRIPISLASSRSLNSSKPCTLDRFRAFSGEALPSPASRSVKEASAARCRSNGPE >Dexi5A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:5A:23034950:23036543:-1 gene:Dexi5A01G0019380 transcript:Dexi5A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAYGCSTAASVLPFAAFPGRLPYRHSSPPTRVCLFASSKPRATGHGLRVSCRRRSLCVSLCSSGEASSDAAASPTEASVDIKLPRRSLLVQFTCNACGERTQHLINRVAYERGTVFLQCAGCQVYHKFVDNLGLVVEYDLREENALQEENMVSTNSED >Dexi3B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:3B:7892202:7892585:-1 gene:Dexi3B01G0011220 transcript:Dexi3B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHRSPLGVARRGAAGHSTALIRLARVATARWVFFFHRGRHSEAELHHRVLLLQQINLRRFLRCRSSAVSSANQPPSPPPRPAQGPSPIRRRRASRSSWGTGMLKGMSARTKVGPEREAAAVETEG >Dexi7B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:7B:15812751:15817755:1 gene:Dexi7B01G0008020 transcript:Dexi7B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAREPVAMEIPAEEGAARAPPRRIRRRLEEGARCGGAPATVEEIEARLREAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLLAAEQKRLSLLAKAQSRLAKLDELRQAAKNDVEMRFEKEREELETRVESRVRQAEENRLRLLHADMQKRAALKERTARSLVQKATSENKYMEQMRSAILQKRTAAEKKRLRLLEAEKKKAQARLLRIQKAAMTVCSQRETERKKLKEQLDRKLQRAKRQRAEYLKQRGSPRNSAHADYIKHADFLSRKLARCWRIFVKSRKTTLALVKAYDALGINEKSVRSMPFEKLAMSMESPTVLQTTKALLDRLERRLVISHLVASSSVENVDHLLKRLSSPPRRKVPPSREGRTRAVAKRSVKISEASIRLPRYSLRVVLCAYMILAHPGAVLSGQGEREKQLMESAANFVKEFELLIKITLDGPARSSAAGQKNFRTQLADFDKAWCTYLYSFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTANGQSPNNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMDSALSDTRSKFFEAKENGGSLAAPVANVSTPLSVNTSGKAPLSEVNDNSRTNALGSNSVVRSLFGGASSSSASPVKQPTENEQMVNEMLHEDAFAGRSVSANTPEEEFQKKVRETMEKAFWDMVTDSIKGDKPDYSQLINLVKEVRDSLHELAPKEWKEEILENIDLEILSQVLGSGSQDVQYLGQILQYSLDMVRKLSAAAKEDEMKKSHDKLLGELAASSEANGNGINSFVIAVIKGLRFILEEIKELQAEVSKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPDNASASLPLTLQWISTAKNIVEKEWSEHLDCLSIMPSAGQAPALVPVLRAGHGTPVGQPSSSAPGASSQPECNGGKLDKLIRIGLLQLISSMQGLQIQSTPESFQINLLRLRAVQSQFQQVIAIATSMLVLRQVLMSENSKATPLQLENAISELFIALVKILDSSPDAGTEEIVEAMIRASTSVGSPSEEKIEARRQVITRVFLKSLQPGDVVFQKVSRAVYCAFRSVVLGGSSPMGQKLADAALRRIGAAKLVDRVVKAAEVLIKVATVSEKVHGPWYKALM >Dexi5B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:5B:14587504:14588389:-1 gene:Dexi5B01G0016440 transcript:Dexi5B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSCAIRCSRVSIRCSASSEGGGQGPHRSSNAVRVNGAAHRAPLQVGAALETSINRSLAELSAPVLTQPPPAGVGEERVRQNIPTEKQTVDPFRQALIVEGGVRYQQTLVVRSYEVGPDKTATMETVLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVQVDQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSGEIFVRATRQALSVWPDDQHSMDDQMS >Dexi9B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:9B:15471531:15473382:-1 gene:Dexi9B01G0020800 transcript:Dexi9B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLAQSTAAAAAASVAPRTPRHAAAPASVVSVRKSGAAPPSSSASLRLQRQACCEPSVAPPRAVACRAASAAAERASRKSAGVPVFVMMPLDTVKKCGTALNRRKAVQASLAALKSAGVEGIMVDVWWGIAESEGPRMYNFDGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVTIPLPRWAVEEMEKDQDLCYTDQWGRRNFEYVSLGCDAMPVLKGRTPVECYTDFMRAFRDHFADYLGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMLSSLKAAAEAAGKPEWGNGGPTDAGSYNNWPEDTIFFRRENGGWSSEYGAFFLSWYSQMLLDHGDRILTGATSVFSSTASPVEVSVKVAGIHWHYGTRSHAPELTAGYYNTRHHDGYLPIARLLARHGAVLNFTCVEMRDHEQPQEAQCMPEALVRQVGAAARAAGVGLAGENALPRYDATAHDQVVATAADRAAEDRMVAFTYLRMGADLFQPDNWTRFAAFVRRMGGAGSCREAAEREARGVAQATGSLVHEAAVALRS >Dexi2A01G0003250.1:cds pep primary_assembly:Fonio_CM05836:2A:2826356:2828137:1 gene:Dexi2A01G0003250 transcript:Dexi2A01G0003250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAPPPPATAPATAPTSKSKKPSHANPAPIKARPTFPARPMRATPSRRRGGDSPATTTTTTTTGNPSWNPLQRLAAAALDAVEENLISNLLERAHPLPRTADPTIQIAGNYAPVGEHPPSPPLPISGSLPPCLDGVYVRNGANPLHAPRGGHHLFDGDGMLHAVRLHGGAAVSYACRFTETSRLRQERAVGRPVFPKAIGELHGHSGVARLLLFGARSLAGVIDASEGIGVANAGLVFHSGRLLAMSEDDLPYHVRVTDDGDLVTVGRYDFDGQLDTAMIAHPKLDPVTGELFALSYNVVTKPYLKYFFFTADGRKSPDVEIPVDAPTMMHDFAVTENYAIIPDQQIVFKLQEMVFGGSPVVYDKKKTARFGVLPKRAGDSSELRWVEVPDCFCFHLWNAWEDDFTGEVVVIGSCMTPADAVFNDSGEESFRSVLSEIRLDVRTGVSRRRAVIAGGDGEQVNLEAGMVNRQLLGKKTRYAYLAIAEPWPKVSGFAKVDLEDGTVEKFVYGEGRYGGEPCFVPRPGGGAGEEEDDGYVLCYVHDEGRGASEMLVVNARDMREEAAVELPGRVPYGLHGTFIAGDELRRQA >Dexi3A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:3A:544898:545579:1 gene:Dexi3A01G0000690 transcript:Dexi3A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPFLTGAADSSEAPTPSSQCCTGLGSFLNLSRSASGGDSNLLRCLCPVILGDVNRVLPRPIDPVRLMYLPIACGVVLPPQILYICFTGQQQTPPPFVGRIPDVWGKPSPAGKSHK >Dexi5B01G0025550.1:cds pep primary_assembly:Fonio_CM05836:5B:27568996:27571622:-1 gene:Dexi5B01G0025550 transcript:Dexi5B01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDLHLYSASAQHGQAKLNVEEYDKGSLLSGGNYQKENINDSNLDDYEKFEEGIMQYGGMNFHAMKCSRSYAHYVVQNKRYNKYASIAVYAWGSTSVDYASSLMMMLVSKQQYHCNGCGICRIGGRENFFHCSRCGCCYSIVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELANLSDSCDDKMVRILCNDCGAISEVQFHLIANKCQGCKSYNTRQI >Dexi9B01G0001230.1:cds pep primary_assembly:Fonio_CM05836:9B:723557:731302:1 gene:Dexi9B01G0001230 transcript:Dexi9B01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFCLKLALIHMQLERPFRQKCSPLLESVLLPGRSDLTVHEWKAVPDIWRTAAEKYPDLVAVVDPYHEPPTEWTYTQLEQEILDFSQGLRAIGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGDKSCIDSQIVKDIPLYGYKDITKLGGESRNSLFLSGQQGQQDVFETISPDDVATLIYTSGTSGTPKGVMLTHRNLLHQINNLWDIVPAEPGDRFLSMLPPWHAYERACEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIISVPLVYETLYSSIHKQISSSSAARKIIALSLIKISLLYMEAKKIYEGTVLTNNPVEPSFIIYMVKWLWARFVAAFLWPLHNLAKILVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPFSNVLGTVGHPVNLTEIKVFDIETGEALPDGSKGIVKIKGPQVMKGYYKNPSATNEALDQEGWFSTGDIGWIVPHHAVGPSRKCGGMLVLEGRAKDTIVLSTGENVEPSEIEEAASRSNLISQIVVIGQDQRRLGAIIVPNKDEVLAEAKRRSMLDENGEVAKDKVMNLLYDELRTWTAHCSFRVGPIMVVDEPFTIDNGLMTPTMKIRRDKVTSKYQREIEALFK >Dexi9A01G0041310.1:cds pep primary_assembly:Fonio_CM05836:9A:44952200:44954176:-1 gene:Dexi9A01G0041310 transcript:Dexi9A01G0041310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHLYTPLCVILIASSLYLLRTFVFAGSCRNLPPGPRPLPLIGNLLNLGSHPHRSLAHLAARHGPLMALRLGAVTTVIASTADAARDVLQRHDAALSTRSVPDAARACAYDEYSMGWLPPGSPRWRAMRKVCSAELFAPRRLDAHQSLRRDKVRRLVSHVARVAREGGAPVDVGRVVFTTVLNLISCTVFSADLADLDDRGVSGAFKGVIGEFMVLVGVPNASDFFPVLAPLDPQRLRKRLAKVFNKLHAIFDEQIEKRLQERAAGNDPKNDFLDLLLDYRGAEDGQGFDRLTLLSLFTDLFSAGSDTSAATIEWTMAELLQNPSAIAKARDELRQVVGSKQEIEESDIGQLKYLQAIVKETFRLHPPAPLLLPRQAEATTEIRGYTVPQGTRILVNVWAIGQDPELWSEPEKFMPERFLGKEMDFRGKNFELLPFGAGRRMCPGMPLADRMVHLILANLLHRFEWRLPSDIERNGVDMSEKFGVIPVLATPLQAVAKPI >Dexi2A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:2A:272927:274422:-1 gene:Dexi2A01G0000510 transcript:Dexi2A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINQTGLSGSLETLCGQGYGAKAYRMMGVYLQASLLTSALFSVVVSLLWFYSEPVLVFLRQDPEVSRLAGVFLRYSIPAQFAYGFIQCTLRFLQAQSVVMPLVALSLLPLAVHVGINHALVNCLGFGFAGAAMATSVSMWLSFLLLLAYVAFSDAFRDTWGGFTAEAFRHVLPGMKLAVPSAVMVCFEYWSFEILVLLAGLMPESQLSTSIIAMCENTEAISYMITYGFAAVISTRVSNELGARNIAKAKKALGVSMALSLALGVAFLLLLGLGHDVWARLFSNSQEVGLWLGQICGLLCQNCVLLFITLRTDWEKLDMTTVDKDNDFVC >Dexi7B01G0014760.1:cds pep primary_assembly:Fonio_CM05836:7B:20887496:20889390:1 gene:Dexi7B01G0014760 transcript:Dexi7B01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRNNNQLCRVTAALVVVLMCAAAAVVAEAGTGTFIYAGCSPSKYQPGTPFEANLQSLLASISTAASNGAGYNSFTAGANGTGGAAVYGLYQCRGDMDAGDCAACVRDAVGQLAQVCPGAYAASLQLEGCYVRYDSSSFVGTPDTAMVYRKCTTSTSSDAGFLSSRDAVLGDLQQGGVGADEDGYKVSRNGSVQGMAQCLRDIAAADCAACLAQAVGQLKGTCGTALAADVYLAQCYVRYWANGYYFQPTQDYSQDDVGRTLAIVIGILAGLAIFVVFISFLRKTCN >Dexi1B01G0022910.1:cds pep primary_assembly:Fonio_CM05836:1B:28581497:28582372:1 gene:Dexi1B01G0022910 transcript:Dexi1B01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSPLFSYGRPPRRRLCPAPAKARHRPTLDMRARRLHLPPGIPHRRATPAILPPSLRGESCTSQIHDHKTTGEKKEDQKFGEIESPCTAENSDATQPKEKVKAKEELGGVDNWCTIERVTLKTKEKPKDVDNWCTIEIPRRKEKEKKQKEEKEIEKEEKKKTPKKPKKPKDNAHGFIDTQGHDILCLLLAPNLTWEEVIVTVLHMVRC >DexiUA01G0011100.1:cds pep primary_assembly:Fonio_CM05836:UA:22271039:22273955:1 gene:DexiUA01G0011100 transcript:DexiUA01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLDRLEALRRQHRMMEEAAAVWYAPSPPRARPCYPYRYGSLLLPPRPQHHAVRPPLHGGEGGVGKARGGGAPGTWPGASAARLEAAPASAGAAGGALASASGAGWFCGGCGREMSGSVAPAGWLCDGCAQEMPGSVAPAPARKEEAGGVGADEVRNKRCSMEPATQALCSPPPKRRATSALRRFPPGCGRDAAAPPLPVPVAGHDGSLSVHAPRDGGSGVPQGSGPPPPPATPLAGRKDGVLFEAAASPSAAAGGDGGSTAGNMVSASDGAACATPDGAHHCPRPALVKPSEIPGKISVPAANGPWNTYDTGSHGIAGTASDDQSLLPRASIVSARRRFPPGCGRRGSPLFTDGGRDKTWPPPSEVVRSDRHGMEVVAPLCSGNADGAAKKDKSEEEEEVASEAQESPIAILHGDATATCRHGASAAGIGAMGVCASHTTSSEEMIGYTSQCEENKAAGSSCNVLAESLAQGLPEEHVKGDTVSKCATTNTASSGAAAIVSFEGSMMRKVMFTPRKSVKPPKSFQKPALNTQCRPLSKETEEETDQLGRHTANGIEDKNEVTTDQGMQDPMSADKCSWTKGKEVATVSHYFGPKKVNVKVQLDKKGDSIMEDILSKVAVDQGNFEHVAQNADARSRVKMICSKFESICRAIVQAVDQRSLKVRRIDLAADKLIRKLPGFTKLGPIVGDVPGVVVGDQFLYRVELALVGLHRPFQGGIDTTKDEDGVLIAISVVASGGYPDELSWPGELVYTGSGKAGCGDQKLEHGNLALKNCIERKVPVRVIHGFKDKLAWKPNESAMRTEHQ >Dexi5B01G0033860.1:cds pep primary_assembly:Fonio_CM05836:5B:34159413:34165123:1 gene:Dexi5B01G0033860 transcript:Dexi5B01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEAVAGGESAGELLLRAAAMVPAERYALAVAFLVAAFLYRFLELHVIGDILGGFRGGRVELTFHPASEIYHHVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVRDGGTVALDWLLSSDLDDADGFISKDASTPLVVVVPGLTSDSAAAYVKHMVYSIASKGWNVVVSNHRGLGGVAITSDCFYNGGWTEDIREVIKYLHHRYPKTPLFCVGTSIGANIVVYDFYLGHQPVLARLANWEGIRKSRSIREFDHHATCVVARYETVDTYYRRCSSASFVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGATSEFLFALHESSYMHRQKANDHVLHSSLESSIDKSPYVNIMEDGMVAPVTKDGSGDTDGSASNDEVDGVQLSNGASPSQQLEVSGEKHIEHASGAGNESPADPANRQGEIYSNKLHEIIAPVKRSINQLTRYQGRSVWLLAYIAFATSWPLLGSLAFITFRKKSRNPLRAK >Dexi5B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:5B:4505487:4505965:-1 gene:Dexi5B01G0006710 transcript:Dexi5B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLLFACELIPKLERDTPMKPVAAETQELFCRRPMLAGTSPRNQLELRFSVRRNVRFPMAGERLPGQSNHMAAAATHTLPAAEAGACVPGGKHHGAVAGELRLEREQRAGLVTVAVC >Dexi4A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:4A:2579898:2580167:1 gene:Dexi4A01G0003600 transcript:Dexi4A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACRRALCLPRRHDPPWRKGGLSRARRHALPSRPSIPRRQSSQEAAAVPFSTSASPDARRIGLRDEIQIDRWEMEAGVHVVSSLPPP >DexiUA01G0012690.1:cds pep primary_assembly:Fonio_CM05836:UA:26381007:26382794:1 gene:DexiUA01G0012690 transcript:DexiUA01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYSYGFIPADRGRQQPPPPPTYGHGLPPPHPAVLLFIAEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPICANNLGRDMAAHFKVQHSHLLKRRKPSKPCSCPAAATKSSSGKGTATYGVNSYFEEPQHYRMSGRSYQEPAPDPLLSQFICSVEQTDNAIPINGASAENGDAKIPDDQSRKEASDDALSKLGLEERLQRIDFLSEILMSTIL >Dexi4B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:4B:2706230:2721931:1 gene:Dexi4B01G0003900 transcript:Dexi4B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTPLVLNTAPKDNDDLPARATGASPPPSSHDVAGKTPAEPFMIDRQRAARDITYGNDLYVYQPAGDDGPSLTRLQRPPGGIYFGSYQVGILSCHANRCDDHNQEKFYMVAALCVDKFELGRGRFVLYLYNSKIKTWTISNVSVEDEHFQKYQEEGWFLYQITRVIAVGGEDATVAFVDLWRGILLCDLSHVMDKPCLRYVPLPGWPGSPEFGDAYLSRDIAVVRGHFRFVRHKLWWKNSACPTCCRDECVEDGWKATVWTRPVSACSLVDDSWERVCDMESSKMDVKSCPDFQLLPKFNDYEGRPLSPFKRLHVSLPTLIWHIDDTVCFMVKINRGDAKAWVVTVEVMNNRLLGVAEFDAERYIRIGFAYLHSRISQYLKRAPETLF >Dexi9B01G0044280.1:cds pep primary_assembly:Fonio_CM05836:9B:44084217:44086147:-1 gene:Dexi9B01G0044280 transcript:Dexi9B01G0044280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGYLGGRLCAALADAGHAVRALVRRSSDVSCLGPGVELAYGDVTDADSLAAAFDGCDAVFHAAAAVEPWLPDPSVFLKIGTRNAHFSAVVQVNVRGLENVLKAAKRTPTVKKIVYTSSFFAIGPTDGYVADETQMHPAKAFCTEYEKSKVLADRIALQAATEGVPITIVYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGENMSFMQIFNMAANITNTKAPLFHVPLWLIEVYGWISVFVSHITGKLPLISYPTVHVLRHQWSYSCDKAKAELGYSPRNLTEGLSEVLLWLKDEKQIKF >Dexi3A01G0023000.1:cds pep primary_assembly:Fonio_CM05836:3A:18588306:18590278:1 gene:Dexi3A01G0023000 transcript:Dexi3A01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPNAGGDLFAANLTGALLAVASSAFIGVSFIVKKKGLRRAGAAGTRAGVGGYGYLLEPLWWVGMVTMLIGEIANFVAYMFAPAVLVTPLGALSIIVSSAVLAHFTLNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVTQIWHLATQPAFLCYAASALAVSLILMLHCAPRYGQTNIVVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFATVSATCIIIQLVYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKEWYGQSVSSIASEICGFLTVLTGTVVLHSTREHDPTLSSGNRFFVFSES >Dexi6A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:6A:68111:75099:-1 gene:Dexi6A01G0000090 transcript:Dexi6A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRRGAKQGRKWTREPQLGDLVLAKIKGYPAWPAKISRPEDWDQTPMPRKFFVYFYGTKEIAFVPLADLQELTEKTKNDLVVRAPTIKVQKKYVQAYNDAVEQICKAYDELPKSSDAASGALPDQSEKTTDHLAKSPNDGENLGLERIEGDSPTDDSNASGQVSGTEEDEKHGGHEIGDHSAVSHKKTSSQQAPEHPKTKNPVASESALEMYIKQEHSLTSVHEERETEVAKIEKESCRTEGFLVDPNVEVVYALEAPKKSKANKLLRNAERKEKRADIANSTVRTAPEAASDMFNMSAEKESREFKKSKIMTKQSLTTGSEKRDHEKIVHGKPEKQMTAKSSAGFSSNKKRLAGSGQRKTDSSTDTRPAKKPRLTDRTGETDKTVAKSEMKLGINYEKHNAMKHERSTAVETGKNTIPKAGISDDRTRRSGSVASPISRHHSEVMEPATGSATQSTVADSAKKVSSMKEDASRVDRQLGKPKRRACRFDDDEDEGQRTPLHRTSSKSISTHTVPVEKAGARGKLSSHVGSVSEKRLGLAREEKSKSVGISPVKHELVCSSPSQDKMHARHQVMGRRSITGSVDTSAVMANKMNLVDRKSQVKTPTSSEVKKLHSSSKQLHQTSGNSHARNYSVSEKNSLLPKSEDMKAKSRPGAQAVEHKVSTVSAERAGTRDHLKEERSSSVDKVSCSEANSDSVKSMKHLIAAAQARRNLMASAHGKFDGSLTDNSVITSTPYGLPGLSPSPVFRIPSPPRIAFPESPGQRIVLKSPMDLEHEHEHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLVKKLESEPNLHRRIDLLFLVDSITQCSHSQKGVAGASYVPTVQAALPRLLGAAAPPEAGARENRRQCLKVLRLWLERKIMPEDILRKCMGDIEVPIDDTSTSFMLKRPSRAERSVDDPIREMDDMLVDEYGSNATFELSGILSTKVFEDDDDFPQNNVSSPLIISQPVNSDDDIRETEDTITPASVEEQIIEPENVTIDAATQGALESPGSKERTHGAILIEHDSRHEAGSEKALVEQNELPPLPYGFPPLPLDSPPPPPPLPPSPPPATPPPPPPLPLSPASPPPPPPPPPPLPSGPPPQPAPPPLPTQALTLPSIPPPVTSSPSSLGYQPPAPEYFRTPNRHYPSEEHWRMASGNFSPDDQHNNWLAGGRALSCSEGSFVQDGYSRSNIDRSSMNPMNHQHTVLNHLPPGAPLPGLWFLSLRLHRHVVPQMLPARSDIHTLNCWRPS >Dexi1A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:1A:6582533:6585796:-1 gene:Dexi1A01G0008460 transcript:Dexi1A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASARRLSAAACSSSSSTRAGQLAAALNPQRWMHDRNKKAMELIAKGWSALQEVDRVIDYADHNDKRLIPLLRGAKENFELALEIDNDNTHARYWLGKMHFKYHVPGACKAVGAALLVEAASMGDPDAQYELGCRLRIENDYVHSDQQAFHYIEQAVDQLHPGALYLLGAVYLAGDCVKRDIPSAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKKTVRQDPIKLAKEQFQIAAEAGCDLGLRWLKRLGDYENQDEKLKQIQQ >Dexi5A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:5A:1117620:1118012:-1 gene:Dexi5A01G0001570 transcript:Dexi5A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCMAPIISPASPGGEAAAAAALREGLLQRPPAATATASRREAIEQLRERVEELRRELDAANDGAEAAEAARRHAEMREREAAAELHASARTSKMHGEKLRELEDELRYKDGRIKVLEAIVRTRMTKKR >Dexi5B01G0038900.1:cds pep primary_assembly:Fonio_CM05836:5B:37952671:37953486:1 gene:Dexi5B01G0038900 transcript:Dexi5B01G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDNAEAKQVGDERQSSQKAEPESPKHSDTKKLVEFMESHYDEFVAGAQTFDDFYHAIFELIEKFCEERGQFQYRIPKKRDLEEAYSKHHKSEGDVSKEEFVEMGKEVFKVESFTFGKATVELGMFLFGLPACAWMAKRVLPGLGWLSDDVVIPLATSGSVAYLIKSKRL >Dexi1A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:1A:4616891:4619194:-1 gene:Dexi1A01G0006160 transcript:Dexi1A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAAIPTANTNGNHALSLDSHSSQDVRRRTVVVAKKKASPEILAEGGINGVSEDKIAGKKDLSHTIRGESVLGKSKYSSEARKDVVASEAGERRKKTSTKQEKAKWVTALSVLVKLCLLISAIAWMGQLVWRWQNGELSFTTPDMESRLSKVEGFKKTAKMLQVQLDILDKKLGNEIDKTKRDITRQFEAKTNELEKKMKTLEDKTGKLDRSIIELRDMGFLTKKEFEEILSQIKEKKGLAGTYDDITLDDIMLYAKEIVEIEIARHSADGLGMVDYALGSGGAKVVRHSESFMNGKTYMPGRSSVHATAQKMLEPSFGQPGECFALKGSSGFVDVKLRTGIIPEAVTLEHVDKSVAYDRSSAPKNFQVRGWYQGSHDDSDKDSNAMATLGEFSYNLDNSNAQTFQLERSANPQAVNMVRFDFSSNHGNPELTCIYRFRVHGTEPGLS >Dexi9A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:9A:145649:146158:1 gene:Dexi9A01G0000180 transcript:Dexi9A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTTTSYFLFSVDQRRRLKPPVPDTYLGNCLCPAIAAAPEQELAAAGVGGLMAACTAVAAALEEEVREGAQASGWWDTCVDRVKQAVARGTLLSVAGSPRFRVYDVDFGLGRPAKVAMVSAAKGGAMPVAEARGSAGGVEVGVSLPAAHMERFQKCFADGIAWLSAP >Dexi9A01G0048300.1:cds pep primary_assembly:Fonio_CM05836:9A:51104686:51109411:1 gene:Dexi9A01G0048300 transcript:Dexi9A01G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEGNRSPAATDRLCRCLVGPFGSAQNRSTTRHSRCPPPDWPAAAQQGLVFLAVAHTAEGLKGLRFTSRREARSGRGKMATPPPPLRHATILLVAFLLGVLASTARADLVITRADRRVDLTSHIVRVLASLKVRCSPLIHHSHSFHFVQVENVGPDPVSQVLISFPNIQAKNLAAIRAFGTEGKVKGQSTVLPIEVVQPSGAPPELTFFSALLPKPLEKGKTLHLDVLTVFTHSLQPFPEEITQAEAQLVVYQDSAHYLSPYPVKSQSLTIRLPGGRVESYTRHPSAKLVDSELKYGASEDLPPFSYLPVIVHFENNNPFAVAKEVIREIEISHWGNVQITEHYTITHGGAKLKGEFSRIDYQSRPYVRGVSSFRNLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEIEPRFPLFGGWQTTFTVGYGLPLQDFVFYSDGKRFLNMTFGSPMEEILIEKLIVKVVLPEGSKDIEVSAPFPTKQWQEVKYSHLDIVGRPVVVLEKPDVIPEHNLYFQVYYKFNNISLLQEPLMLITGFFLLFVACIVYMRTDMSISKSSPSYLAKLQWDEVQATVQKIQGLFEQCLAVHDKLEASLRDLSRTGDIQSCKAARKAADAQFKELSKELKPLLTSLQSSPQSYQIWPKVVELITKEREMQEKLMTRHSTVVDSFEKKLRGQDVENRIALQQQKIAALRQEVESLLEYISEI >Dexi3A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:3A:14129625:14130050:-1 gene:Dexi3A01G0018540 transcript:Dexi3A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTTPPSVTLAMESDVTLPAVSQATYVQLQCAAAAAVVLHDASGAGSPHALLIRSSRAASSACCCCCCCAAARRGARRARQAHARGRRTRRQAMMRDFSAAACARVQFAGRWWWWWPAISRTGPCDAV >Dexi3B01G0024670.1:cds pep primary_assembly:Fonio_CM05836:3B:19319847:19325340:1 gene:Dexi3B01G0024670 transcript:Dexi3B01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPRMKGMVLKPPCFLCLCVSVSPSPCHWLLESSSAMDGSYAEVPPEMDWGDRSRRKLHFDISELTPPLHAADSLCAIAVGASTAMVDGTGDEKEQYKIVVAWTHAHRPTTTSAWLCIPAKAHHNNSSSASVPLEVDDHPRAGMSVTFEARQPGSRETFTLEPAFPSRSRLGKLELAGQARACHAGSNARDSATITTNHNDSPLWSKRKIHCATPPPILRRRHRSNPPGTVDSDLTLHRSVLAVILCQLLL >Dexi7A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:7A:17161045:17162519:1 gene:Dexi7A01G0005870 transcript:Dexi7A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADRAVPRGEALPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPHVLSAFGDTGVEFVVGIGNENVSAMVDPAAAQAWIQRHVQPYLPSTRITCITVGNEVFKGNDTQLKASLLPAMQSVYQALAALGLQGRVNVTTAHSLDIMGNSFPPSAGEFRPDAVPYIQPILSFLSMARSPFLINCYPFFAYKADPSNVPLAYVLFQPNAGVTDTNTRLNYDNMLYAQVDSVYSAIQALGHTDIDVKISETGWPSRGDPDEVGATPEYAGTYIGNLLQRIQMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMDMEFSEGNRKAVHLLPLIFIAIASIALNLS >Dexi6A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:6A:27972930:27977563:-1 gene:Dexi6A01G0020480 transcript:Dexi6A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEEMAAEAKEERILVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDKVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDPTPLRLLDDPEKGTTVEKLTEETLRDYDHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSARQYLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLRFVSSTKDSLEKQLKELMEERDTVQSQLNCLLKGDGDDHGNERATKLWDANSRSSESLAQNLSEEALSVADAYGVAQQDQDYASFNGSYVCSSDRNDSGFLSETRELPRQTWDRKVVSPWHPPSNHSSDGIEPYHMTEAASGTPSEVSEEHCREVQCIEIHEHVRSSSHEFNQLLPEDTKIQTPDVEVISEDAVPQSDGQQGLERITKKIEDHVRSYPSKEEQQAENITKIEEDSVKMYQCESDRTRENVVKLYTCDPNHSFNNGKPYPYECLSLKRCIMSSKDRALARSNSCRASFMVIPNSWFDDSDNTSRTPPDEIFRYAPRRLDKVRRSLYSENDDCQNENSLLDCSDGSCEVASVEVIKDTSTSDEVAKKMSTSDEVANEMSRSDLAKEMSRSDEVAKKMSSSDEVTNEMNTGGKEQEIIVNDISCVSELKENTKICHEDQPEEFQAQIIMQAIGDDSTAMKTVKDVGVDIALSPIQSPSHSTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIKSSFSSEPTVQGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYSKWGIDLSTKQRRLQLSRLIWTQTDMEHIRESASLVARLIELLEPGQALKEMFGMNFSLAPRTDRRSFGLVGSYSMK >Dexi9A01G0032200.1:cds pep primary_assembly:Fonio_CM05836:9A:37111172:37114016:1 gene:Dexi9A01G0032200 transcript:Dexi9A01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVRMLVSHWIHDINVGVAPPFVVMGTTLIGIWLFQALLKRIHHRRRLQAVLPPGSMGLPLIGETLEFFARSPSLDLLPFFKRRMERYGPIFKTNLVGKDLIVSLDPDVNHYVLQQEEKAFHIWFPDSFMKLLGEENIARCYGSLHKNTRNLIRRVFGPENLRLVLLHDMQGAVERCLSSWHDRPSIELKPALSSMIFGIAAKWMIGYEASVLSGDLWKNFDAFNQGLLSFPLNIPGTAFYKCMQGRNNVMKTLKEVLDERRKKAETPERMDFIDVIVSELNKQNPALSENLALNVLFLLIFASFETTSSGLTAALKFLSDNPKALKELEEEHQKILERRADPHAEITWEEYKSMKFTSHVINESLRLANVAPVLFRKATQDVQIKGYTIPEGWIVMICPPAVHLNPTTYEEPSSFNPWRWKV >Dexi2B01G0012600.1:cds pep primary_assembly:Fonio_CM05836:2B:18941329:18941626:-1 gene:Dexi2B01G0012600 transcript:Dexi2B01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHVSLGLGEAPVERERRVRRHQVVRVRVYRRRRLHRAPQRGVPRVHVLETPTCYRGLRDDSGMVS >Dexi9B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:9B:5051226:5051685:1 gene:Dexi9B01G0008190 transcript:Dexi9B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKWQLEDLIFPCGDVLPADPCDVAIVSRRVKQVHHFPTQVRYHLPHPDDEMGTDLESEGSKDFTV >Dexi6B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:6B:27142170:27153493:-1 gene:Dexi6B01G0020800 transcript:Dexi6B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGRSINNRASGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHQPNQEELHFTRTITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEENSALVYQEKRTIVMERKQKKAQKEEAEKHLRLQQDLKLLKTEHSLWQLYTIEKDTEKMEAELAEDRESLQQVQEENQSADSELTAKKKEQSAFLKKMTLCEKSIAKKRLELDKKQPELLKLREQISRLKSKIKSCKKEIDKKKDDNKKHLEEMRRLQSALVDVTKAIEELNEQGQDKSEKLQLADDQLQEYHRITVGILIALYFHYSKEDAGMKTAKLRDEKEVIDKKLNADVEAKTNLEENMQQLRSREDEISSQERELQARLNKILNSIPKHENELTQLREEHNRITKERQSSGSRYQTLKQRVDEIDTQLRELKADKHEIVTVDGILLTKSGTMTGGISGGMEARSNKWDDSRIESLKKKKNLMETEMSELGSPRELQKKELAISEKITGLEKKLHYLNVEQNNLRAKLLKLTSERSNIEEEINRLEPGKEELETRIAEKEAEVSKLEKKINEIVDKVYRDFSISVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQTPIVKLKETYESLEKELKGLQERESGAKADAEFVSTEMDQLKAEAEDWKSKSDECENVIDQLKEQNANVASTLAKLDRQVKAKEGQLMQLMSRQREIHEKCELEQLKLPTVNDPMDTGSSSQEPVLDYSLLSKIYLKDMRPSERDKHEAEFKQRTDALLAEIERTAPNLKALDQYDALQRKEKEVTEKFEAARKEERDISDNFNSIKQRSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVSDEQGVDGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >Dexi9B01G0039390.1:cds pep primary_assembly:Fonio_CM05836:9B:40109059:40109526:1 gene:Dexi9B01G0039390 transcript:Dexi9B01G0039390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALICSVSVFSSCSLLRSPLIWRMLPSSTSTFTSSFFSPGTSALNTCASGVSLQSIRAPAKAAVSELERGNEGKRLLLLLLPEPNGKPSKGSQRSREKGSNTLLRRISDMVGGISGWSDWWLRGIEDLCED >Dexi2B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:2B:2261766:2263790:1 gene:Dexi2B01G0002720 transcript:Dexi2B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHTGGRDMWDVDECQSPRMGSVILGVDGGASNTVCVCIPAAMPFNDPLPVLARTVAGCSNQNSVGEDRARETLERVMSQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTSDGREARAAGAGPVLGDWGSGYGISAQAMTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADLLPIVVESAESGDEVANKILHNSVGELASSVNAVVQRLELGGEDGNHSFPLVMVGKVLEANKKWDIGKEVIDCVTKNYPGAYPIHPKVEPAVGAALLAWNAIASELGSDIRNVQ >Dexi6B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:6B:14508267:14508638:-1 gene:Dexi6B01G0009680 transcript:Dexi6B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRPGDDHGDPPGKKRAWGGEEKGLTLERRCSRCRRGGGSRYSRVVAAARRDYVDVLLLRASPWNGRSRCVGTAPTPSWLGGCEALLLAAAPSSSSPSPLLPPLTGRLAAGGEASVGGGFK >Dexi7A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:7A:20538352:20539567:-1 gene:Dexi7A01G0009560 transcript:Dexi7A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVTMEGSKDPNPVPESLMTGFQAGSLSPDTIGGNGSEAAKAGFEKEGVSVAISSSREEDRSLPMTPQFGMQFSPGSSLAERMQARAGFRVPKLSMPFSTALGADNSVPGAPSPFLTIPPGLSPATLLESPVFISNAMGQDSPTTGKLFMLGGTNDNDPTRFGGPPLGNGPDAFSFKPLDLKSSHYTAEGKKVRGHLNSL >Dexi6B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:6B:4551383:4553335:-1 gene:Dexi6B01G0005290 transcript:Dexi6B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAMLVATVWCSSRPSGRRIISTHRRPHPSVPSPHRHPHLRSEPLHRARRATARSIRAIFLSRPPVTREEANDTLKLWYRDRKEVSAWQKKQKKLAQEKCEVYTLLGRPRHFPKFDAGHIERAVINAPVQGSAADVAMCAMLEIERNTRLKELGWRLLLQVHDEVILEGPSESAETAKAIVVEC >Dexi7B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:7B:20282885:20283746:1 gene:Dexi7B01G0013860 transcript:Dexi7B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRSVNKSDEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERVVEASPVTKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >Dexi2A01G0000400.1:cds pep primary_assembly:Fonio_CM05836:2A:225828:227158:1 gene:Dexi2A01G0000400 transcript:Dexi2A01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDITGRPGQTAVLLVNGTGPPNPSMPAGSRFGDTTAIDDFLTEGSGVDSQPVGRAQGTSSKRVVLLLAAAVALMIVSPASARRRPVRLRLYMQDIVGGPGQTAVLLVRGSGPENPSMHPGNYFGDTVAVDDLLTAGLAVDSAPVGRAQGTYMTGSMSRPVFVVSVTLHLAAGPYNGSTLVVAGRDDTSEPVRELAVVGGTGALRRAEGHVLWSTAKVVSPLHAVLELDVHASVPVPTTVSEM >Dexi7B01G0018940.1:cds pep primary_assembly:Fonio_CM05836:7B:24559848:24570251:-1 gene:Dexi7B01G0018940 transcript:Dexi7B01G0018940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQRLGELLHHLHRCSSWVLGWAMSLLCSLSRAYAHHQVTERVARSRCALRSGLNSLLLHVIYFAVVSFTGWGLLSALNVRAPHARPRPIDTFFTAVSAATVSSMSTVEMEVFTNAQLLVLTVLMFLGGEVFVSLIGLGSKWYKLRRQITSRHSSQRVVSHDGIELATPPATIAGAGDEDDDSRSSMSATVVVTDEYNNSLLDAKMRRRNAVRSLFYIVLGILAVVHVVGAVAVAAHVYTTPSARQTLRRKSLDVWTFAVFTTVSTFSSCGYMPTNENMAVFVRDVPLQLLLVPQALVGNTLFPPLLAACVWAAAAVTRREDLTEVDKKGREKVSNALFLAVNSRHTGESTVDLSTLAPAILVLFVLMMYLPPYTTWFPFEENSISRDHSTESQGIKILKSTVLSQLSYLTIFVIAICITERRKLKEDPLNFNVLSIVVEVVSSVLVVMKHFPSNVAMKMKPMLPNSEVVRTIKEKHKPTPRSLDLIFTSVSTLTVSSMATVEMEDFSDQQLAYGNVGYSLGYSCERMLKPDATCKAVSYGLVGKWTDEGKVIIILVMFLGRFKRFSLKGRRHP >Dexi4B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:4B:11076040:11078177:-1 gene:Dexi4B01G0013000 transcript:Dexi4B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLQSPVGVRSIVTYLVAFFILASSIIFLFDKGHQDHVQMAVDHGRQEVQVKVEAELQEPAMRETTEVEDTSKEECNWSRGQWVYDNVSRPLYSGLKCGFIFPEVACDKYGRKDVMYQHWRWQPYECDLPRFDAIKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKIHIFNGSLISFKALEYNAVIEFYWSPLLVESNSDNPIIHRVDYRIIRADRIEKHASVWRDADIIIFNSYLWWRKQNDGMRMKVMYGSFEDGDARLDEMEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHFGASKWGGEDSNKCLNETEPIYKVGYKSADYSLMAKAMSYFETLEPRGINVQILNITELSDYRKDGHPSVFRKQYVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >Dexi7B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:7B:19290119:19293467:1 gene:Dexi7B01G0012560 transcript:Dexi7B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGEEVIGLGGAAGGAPAPRVCWRAQRVGSVLVHQLAPAVASEVVGQLVRLVLQDRVRPVHVHPRGAPTAANSYYNSYLSFGVYSNVSDGAYCNPYDDNYYAKFCAP >Dexi7A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:7A:29650814:29654891:-1 gene:Dexi7A01G0020950 transcript:Dexi7A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLRIQSVDFPTAVAGDEVGTSSGGGAATSRPLSPPSTHPHPCTTTSSIPPLELPGATSATTVRSPRIQHTRGVIHLYHSSSSTSTTSSSYASAAAATSSSSSGPPSSDSLLPPWRDTRLLVLAVPTRVSPDDFVRFCGPYLERASEILEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEYYEGLLSETKRNKERLISEAVDKAVNDKLQELQLQLENLMVEKKKVADMNEKLTRSQDMWRQTLRDIEEREKAQLKSKDETIRDLEEQIKDFRFSIKVQKSIEKNYGIKGGTLVPLATVSDSGGKGKRSSRTSKRRN >Dexi8A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:8A:30561754:30562143:1 gene:Dexi8A01G0018230 transcript:Dexi8A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPETIVLVLIGLLVLLSVLGRLCRDDCKNDDEDDDQEVANNNDQEAGGVAESSSSRAGEGQQLQLVCTYLRADGWRESSCSVCLAELADAEAVRVLLACMHYFHAACVDEWLRKSATCPLCRAPLTT >Dexi8B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:8B:22190979:22191558:-1 gene:Dexi8B01G0012840 transcript:Dexi8B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi3A01G0032210.1:cds pep primary_assembly:Fonio_CM05836:3A:36977530:36979274:-1 gene:Dexi3A01G0032210 transcript:Dexi3A01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDLLPQQWKLLLPTTVLLAMLPLLSIILLTATRHRSTTTTTGTTNRHRHPPGPPRLPIIGNLHQIIMLVGRGQLPHQRLRDLARRHGPVMALRLGTVPAVVVSSAEAARDVLRTHDGDCCSRPDTPGPRRLSYEHNDVAFSPYSEQWRERRKLMVVEFLSKRRIQDTWYAREAEVDKLISGLASAGREPVLLEEHVFRYMDGIVGTVAFGNIYGTEHLAYKEHFHHAIADAMVVRSSFTFEDYFPNALGRLADRLTGAAALRERVFRDFDSYFELMLEHHLNNPSDSSSYAGDNGDAGRGLIDVLIGLMKEHEGSSSLKFTRDVVKALLTNTFIGAVDTGAVTIVWAMAELVRHPHILKKAQHEIRDMVGNNKARVERDDLPKLKYLKHVVKETLRLHPALPLLVPRETMRRIEVAGYDVAVGTRVLVNAWAIGRDPASWGDHPEEFDPERFDGEDGMSGLSRARFEFLPFGAGRRMCPGIDMGVATTEFTLANLLYCFDWELPEGMGSEDVSMEEAGGLTVHRKVPLLLVPTRYKPGMDSQKRRINQLNQ >Dexi3B01G0028560.1:cds pep primary_assembly:Fonio_CM05836:3B:26198882:26202497:1 gene:Dexi3B01G0028560 transcript:Dexi3B01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCMWPCAAAAIATTAPTMGSGAGRKGWWLFRSKVRCPMEVVRHSRDLLRIVFEDRDASSNKEDLKPETKVTKKDVTQVIANLQRQKVDSKIIASDYLEVNKDLLDVLVSGYDNMEIAIHYSTILRDCIRHQVAARYVLDSEHMKKFFYYIQLPDFNIASDAFRTFKELLTRHKSSVAEFFSRNYDWLLGDILQDKSDTLVMICYVCSKENLIIVMNLLRLFAANQDKPPEIVGILSANKSKLIRFLANFSLDREDHLFEVDKAKVVAEISTL >Dexi4A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:4A:10764675:10772182:1 gene:Dexi4A01G0012210 transcript:Dexi4A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESTHDMKLLVPNGGATHPPPPPLVDKTLCCACDILKLLPTGTVTAFHALAPSFSNHGACGVASRYLTVALVGVCAASCVLLSFTDSLVCRDGRLYYGMATPRGFYPFNFDGTGEERRRKFGNLSRMKIRPLDFVHALVSAVLFVVVALGNAGVQSCLFPDVGVDVREVLMNLPVGLGFLSSMVFMIFPTTRKSIGLIHSTTPIRTPGHRPPTTPPRHPALPIQAPSHSSCSHPGTVPLAPALLPSRRGSGGSSSDGMPVLHRLSPCSPLGAAANQEKESVADVLHRDALRLGSLFRDDKDNFGGGVTIPNIGSPIQNLPGAFEYHVMAGFGTPVQKLTVGFDTVTMGATLLKCAPCAAGKPCDHVFEPSASSSLVQIPCGSPDCPFKGFFGPTCTISISIGDALLGNATFLTDTLTLAPWTTVEKFRFACLEAGFSPNDDSSGILDLSRNSHSLASRAPCPLGTDTFSYCLPSSPNTVGFLSMCGPKPELRGRKAVYTPLRSNPRNGNLYVVELVGLGLGGMDLPIPPPTTIEADTLLEVHTTFTYLRPEVYVVLRDNFRRAMAQYKPAPPVGKLDTCYDFTGLNIFAVPVVTLKFAGGADVELSMDEIMYFANPENHFSVACLAFAAARPGDGQVIGSRTQMSTEVVYDVRGGKVGFVADRC >Dexi2A01G0030490.1:cds pep primary_assembly:Fonio_CM05836:2A:41404330:41404926:-1 gene:Dexi2A01G0030490 transcript:Dexi2A01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPSAIASRLNAAAAAVAGDAAAATWWATRRVVDDENDSKAATPTRPSSPTYDVFINHRGVDTKHNVARLLYDRIEHLSGGKSIDEGIRQCKVAVAIFSKRYFDSEFCLHELASIVESRKVLIPIFYGIKPSELILPKAVEDSQTHAPRDIERFRLALQEAKYTVGLTYDPATGYVMT >Dexi3A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:3A:13126759:13130278:1 gene:Dexi3A01G0017210 transcript:Dexi3A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLMDLDLWITKVKEGQHLAEHELQSLCEYVRSLRLSDAVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRVIDRNCEIPHEGPFCDLMWSDPEDIDTWAVSPRGAGWLFGTRVTQEFNHINKIELVCRAHQLVQEGLKYMFDKGLVTVWSAPNYCYRCGNVASILSFSENMEREVKFFTETQENNQMRGPRCS >Dexi6A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:6A:16102133:16105658:-1 gene:Dexi6A01G0011340 transcript:Dexi6A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLARISRKGVASAATAGLARRRPEGSSLLGVSALAAAAEPCASIKIIPSLNQPARYSTSAFQRFGFSTSVPQQDDKEPNKHTDDGVNRSAGASAQPLSEANNTPRTEKTQEAGSQDSVSQSNRRRRATKRTAFSDSDSEDLDLSKEDLVKLLLEKDESLKSKDQEVKDMKDKVLRSYAEMENVLARTKRESENTKKYAIQNFSKSLLDVADNLSRASSVVKESFSKIDTSNNSDEAVPLLKTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNRHYALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPVEEEPEEKLTKSE >Dexi8A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:8A:1425464:1426183:-1 gene:Dexi8A01G0002140 transcript:Dexi8A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEVESQIATRKA >Dexi4B01G0001890.1:cds pep primary_assembly:Fonio_CM05836:4B:1165887:1167065:1 gene:Dexi4B01G0001890 transcript:Dexi4B01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGVRRREKNFRAAHGGDSRLPPPPKQRELEAIPSKLRRLIAFQNKQNADGSSGDARAPGKQDDGSLKNKLSKDKKTKKQTLEAPAESKASEIKGGDGSAANENVNAEERKGKRKRGKAMDLRFKELEENVSISKKQKRKKHLDEKKKKRKGNKMETVPDFPGREKVKFGEVVEAPPKLSFPKVKTALDASREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSP >Dexi9B01G0036060.1:cds pep primary_assembly:Fonio_CM05836:9B:37646342:37647079:1 gene:Dexi9B01G0036060 transcript:Dexi9B01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRVLEAWSLGVVKYGEALQLQERLVADRKAGRVGDLVLSLQHPPTYTLGKRREKAERNLLAPEAELRALGAEIHRTERGGDVTFHGPRQAVLYPILSLRPLGLGARRYVEGLESAMIQVAALHGVTARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPDLGYFEHIVPCGITGKGVTSLRREVRDGVELPGDEVIHDQLVRCLGRTLGFTDVEFRDDSECGDMIAAAAARQS >Dexi7A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:7A:30162644:30165480:-1 gene:Dexi7A01G0021590 transcript:Dexi7A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQKAVVVPVKRAWIVVATRLRRKKDDGRGTLVKLHDDIQTCAYEDVQVMWEMLQRSETERLAREPSPKGARALVWLRRHHKMDPRRRC >DexiUA01G0014130.1:cds pep primary_assembly:Fonio_CM05836:UA:29993466:29995530:1 gene:DexiUA01G0014130 transcript:DexiUA01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKEDPALKSIIDELENGGPAAMMKYWNDPDTLQKIGQAMGGGFPFGAGSSAEPSGTEETEEEGGDEEESIIHHTASVGDDEGLKKALEDGGDKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDAFL >Dexi2B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:2B:4625477:4626565:1 gene:Dexi2B01G0004910 transcript:Dexi2B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPSQSQLLLHILVLLASGGALLMMQQAAAIDDEHHELQLPITRPGCPDKCGDISIPFPFGMKPGCFLEGFQVTCNHSFQPPRAFLAYNRSAQTTVAVPYSTPSGKQSIIDYNHSSSDGALPIELIDISIAESAARAYAPVASVCSTNATSGFVRYAFTTLAFEMDGPKGPFLMSLVRNVLVGVGLVVHPLVSRFDHASEAPEEDYYLVSCRSQLMENLQLASNGSCSGRGCCQASLPEAPPFNGIFITMGPKANNSLWATNPCSFAMVVEDSWYNFSTTDLYGNTSNKFPRGVPYVIDFAIRNVKCPVKGQEPHSDYACVSGNSTCSDDVTNGYVCKCLEHYEGNPYIPNGCQGNNLPI >Dexi9A01G0046120.1:cds pep primary_assembly:Fonio_CM05836:9A:49493584:49495198:1 gene:Dexi9A01G0046120 transcript:Dexi9A01G0046120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQLFAMAQPVSPTATTAPPHVAHKIPSGDGPYARAKHFQLVEKDLDASIAWFWKAINTGDKVDSALKDMAVVMKQRGYLTEAIDAIKSLRHLCPRQSQESLDNILLDLYKASGRTREEIDLLKQKLRKIYQGEAFQGKTTKRARSHGRKIHVSVKQETSRVLVRIYTYIHVYICYRYYISEIYLTLYMNDDPQGNLAWAYMQQRNFMAAEVVYRKAQMIDPDANKACNLALCLIEQSRLADAEVVLADVLAGRYQARDQQDSKIVRKVEELLARIVGQTLSPGGGGRRRGSDDGSDDDDWVEIENEMLALLDVAVKQWAAPYRKSNRRLPVFEEISPVYREQMAC >Dexi4B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:4B:9928214:9928906:-1 gene:Dexi4B01G0012190 transcript:Dexi4B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRSFPGYSLCSRSSGRPPSRFRRGSPPLASSQPPAVGTTVSSSSLLASAASSSTPVATSPAASCIPLGNQLPVPAPAPAPAAASSSVSVVPASSAPASAVLPSVPIPEVAEEAVILLAVLIPEDAEEWSDEDSSSECEIDSSNDRLHGHKHPVSSGDDLHIYLHPEDLTWGRPICPNKGPQWETIHALRDHVMGQATSMALREDYKKKWSRHRRLSRNMGWSLPEQH >DexiUA01G0018540.1:cds pep primary_assembly:Fonio_CM05836:UA:39229456:39229910:1 gene:DexiUA01G0018540 transcript:DexiUA01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEVEQDLPGFRFHPTEEELLDFYLARMVHGKKLQFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAIRSSADAKR >Dexi9A01G0041700.1:cds pep primary_assembly:Fonio_CM05836:9A:45297734:45301449:-1 gene:Dexi9A01G0041700 transcript:Dexi9A01G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSDGSKDASAGGAPDPPFPNRELTLSSYLCDKPPLASAAAAATAGPSSPPNPAAPAAEDAAAAAAAAAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLSNDAPAPPPPSSQQPSSSQPQPPPQLASLLPADGDLRGGSAAAAVPAAAAPPPRRTYSANTGRTRSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATAPLKEGTSSFFPTELPARMVAPAAALSAGGSFDGSRGGMHSSRPDRILREIVSESVASMAQVLQDFPSETLEVLRETVRNMIDAPERRDELASLQRKLERRSDLTTETLGRANKTQLEILVAIKTGMAMFVTGKGRVPSSELVEMFLLTRCRNLNCKSVLPVDDCECKICSTKKGFCSSCMCPVCQKFDCAANTCSWVGCDVCSHWCHAACALERNLIRPGPTLKGTMGTTEMQFQCLGCNHASEMFGFVKEVFNCCAENWSPETQMKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLAKKLITPSEATSSMLQFFKYGVTDYSVTGSKSKGILVAQTSKSADMLHLQTPTITPPKPSFNFKPSTSILDTQIEALKASPKSLPIETHFSSASKDDDASSLETIVKCKEAEAKLFQKLADDARKEVDSYRHIVRAKTQKLEEEYATKLAKLGFQETEEKRRKKLEELKMLENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV >Dexi4B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:4B:6112557:6113799:-1 gene:Dexi4B01G0008500 transcript:Dexi4B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQEQEVIPLMTPHKIGQLDLSHRVVLAPMTRCRSDGYVPQPHNALYYSQRATSGGLLISEGTGVSATAQGFPGSPGIWTPEQVDAWKPVVDAVHRKGALFFCQIAHVGRVSTNDFQPDGQAPISSTDTQLSPDAESGTVYSKPRRLGADEIAGVVDDFRRAARNAMEAGFDGVELHGAHGFLFEQFMKDGANDRGDEYGGSLENRCRFAVEVIGAVVGEVGAHRVGIRLSPFADYMECVDSDPVALGHYMVQQLNRHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYTDLVAYGRIFLANPDLPRRFELDAPLNKYDRSTFYTQDPVVGYTDYPFLEDDSSNDVSSTQA >Dexi5B01G0037190.1:cds pep primary_assembly:Fonio_CM05836:5B:36766008:36771274:1 gene:Dexi5B01G0037190 transcript:Dexi5B01G0037190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVKPAAADPPADGAEEAAAGPEPTADAAAEKGKEESTPQQPGKKRGRRKKGEAQAEAANKTPPPRKTGPAAERPSRERKTVERYSELAPRVAPAKKSPAIVQGSGTKLKDIPNVSFKLSKRKADENLQSLHALMYGRKSNVHFLKRNISQFSGFVWTDNEGKHRTRIKEKLDKFNKEKLLDFCEILDIRVPKATTKKEEVSAKVLEFLESPCVTRDVVLTEKKKGKKRGRKPKGSGEATSEGVSTEKKRKRGQNAAAEDGKEEDDDEDAGSEDASTGEDGDRDSEANDRAVSDDEPDEPPAKKKSTDANQVKKESGSNAKGKNARGKKPGKGSKPSQDIKDEPNMDTKKVGKRRKSSKESDVPQDSNKTNKVSRSKKDDGKENQNNKAAKPSIKIKGKGKGSAEPGSAPTTEQLHAVISSILKEVDFNTATLADILRQLGTHFEMDLMDRKAEVKRIIEEVINSMSDDDDGEEDSEDEAEDNGKEDKSKSEPDGGEEK >Dexi2A01G0018680.1:cds pep primary_assembly:Fonio_CM05836:2A:30864298:30865452:1 gene:Dexi2A01G0018680 transcript:Dexi2A01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGSFAACSLQPSRGSIRACHAPRAPPPLQGAGAASSTRTTGLRTNATKGVSAVCEPLGPDRPIWFPGATPPPWLDGSLPGDFGFDPLGLGSEPEQLRWFAQAELIHGRWAMLAAVGILIPDLLSRWGFIDAGFSWYDAGSRQYFADPWTLFISQMALMGWAEGRRWADLINPGCVDIEPTFPNRKKPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFLGFWFQAVYTGQGPIDNLLAHLADPGHCNVFSVRAGLTLDRLV >Dexi2A01G0003290.1:cds pep primary_assembly:Fonio_CM05836:2A:2858328:2858885:-1 gene:Dexi2A01G0003290 transcript:Dexi2A01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISSKHNCSNETATIAAMLSAPNCFLRPREAQKAADEAKTRFGHIDGDHLTLLNVYHA >Dexi7B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:7B:23574946:23580073:1 gene:Dexi7B01G0017660 transcript:Dexi7B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDPIFTLPDLLSVFAQGKVSADRVAKYLEEEELNCDAIIEVPRNDTDYDVEIDRGIFSWELETTSPTLTDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPKIDGIVKVSGSKAYVPQTAWILSGNIRENILFGKPYDKEKYEKIIEACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSLYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPAADLILVMQDGKIVQKGKFDELLQQNIGFEAIVGAHSQALESVMNAENSSRVLSDNQKSADSEDELDTENEMDDQLQGITKQESAHDVSQDISEKGRLTQEEEREKGGIGKKVYWAYLRAVHGIAGLAVTYALNLNSQLASIIWNICNTENKMISVERIMQYSRIPSEAPLIVDHYRPPNIWPEAGTINIRSLEVCVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTFIQALFRIVEPRQGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDHRVWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIREEFGNFTVLTIAHRIHTVIDSDLILVFSEGRIIEFDTPSKLLENEGSEFSRLIKDGGTYIQSTGRLGNAPIELVVDRARSKPCLHAELHQTERKHRTGARWGRDAAPALHTTHPRWPLAVPILASARAPPPQMPHARATPGRDARREEDWVWSTAPRSSTCLSPQPWGAPPPEPLVSKQRCSGAHPP >Dexi1B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:1B:4628518:4630555:-1 gene:Dexi1B01G0005650 transcript:Dexi1B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARFNGMIGGGGGGKGMQDNEINGFYNMSYYQKFGEGSHMSVDSADGFNLANCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILNNYEQWTIDLGRLDMGDPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSLRQFLARRQSKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGLLPFTNMTAVQAAFAVVNKGARPGIPPDCLPSLTHIMTRCWDANPEVRPPFTEIVCMLENAEMEIVSNVRKARFRCCMSQPMTTD >Dexi3A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:3A:8768678:8770537:-1 gene:Dexi3A01G0012140 transcript:Dexi3A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSEQFFLEGLIEHSQSVFLDVFSPNHGDRCEGCHHVPSDMMLPYISRMLMEDDDVDDELSDHPALLQVQQPFAQILSSPSDNGDTDGAKDLLQDAAADERTLSLTLSEGTYAVGAFLKGMEEANMLLPRANNGFRKDELVNKMVIRESSNHSGAKKRHASNDRVEEEEVIRRIRKSMMMIKEPSDICAHDMLDDLMSGGYETYIIRGMKSLRIAMANNEVEKTSKKSSRRASANVVDIHTILILCAQAVSENDQMRAGELLKQIKQHASKTGDVTQRLAQCFAKGLEVRLLGTGSQAWQLLMADRLSIVESIKAHNLYMAACSFNKVVLHFSTMTILQAMVGKTRLHILDYGMRYGFHWAHLLRLLVSREGGPPKAVKITAIGQPQLRPCPVELIEETGRRLSKCAHDLGVQFTFYAIRKKLEEVCIEDLDTDPEEVLIVNDHFNFNTLMDENIFFDDPSPKDTVLHNIRKMRPDVFIQSILNSSYGSSYLSRFREALFYYTAMFDMFDATIPRQSKSRVMLEQELFGRSALNVIACEGADLTERPEKYKQWQARNQRAGLRQLPLEPDIVNYMKDKVRSCHHKDFLICDDGQWLLQGWMGRVLLAQSTWVAEDAS >Dexi9B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:9B:11439763:11440599:-1 gene:Dexi9B01G0016600 transcript:Dexi9B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPAFLARPIAKPHSVSCAQAPRPPSAQNQPPPGEQPQQSAQAQPQQAAAPARPKRAGGADSTDWVASSLTRRFGIGAGLAWAGFLAVGVVSEQLKTRFEVAQQQANTKDVEQEQEVVLPNGIRYYEMRVGGGDVPRPGDLVVIDLQGRVSNSGEAFVDTFGEGKRPLALVMGSRPYTRGMCDGIEYVLRSMRAGGKRRVVVPPGLGFGDDGADFGEEHVQIPPGATLEYVVQVDKVSIAPA >Dexi6A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:6A:1908923:1909364:-1 gene:Dexi6A01G0001990 transcript:Dexi6A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASAPSSPRSNETSVEEQLQNLKATVSSPSATIETVVGGLSKLRNIYDRIDELLTCLPRSQRKAVEEELERSLVLLDLCSTVQESFVELKSSVQEMQLALKRGDDAALQTRVQCYARSAKKAQKVLKKINKMTAEGVG >Dexi5A01G0030820.1:cds pep primary_assembly:Fonio_CM05836:5A:33676385:33677435:1 gene:Dexi5A01G0030820 transcript:Dexi5A01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDTPATVVLELMTMGQQSAAHLGDLLRAASPAPSPHQELASEILRCCGRVIDALRHAAASTGRKRRAAEYLDFAPPAAAAPEAWSPPPPPPPPAKRRARGSEAVKEVTSGTTVDGYIWRKYGQKDINGYEHPRLYYRCAHKQQQGCNATRRVQRTREHPAAYEIAYYGEHTCNGASAADACRLEGGVSPPAVVDFGSTSWGSAADATTSRGGGSPAASVMSQGGSSPSSVSPSPSSEETGFEAHAHEWLDDAAALDDMLMGAAPCFVSDPVTEFLDGCRGWESVLDDPLDFAGLHHQIALFQ >DexiUA01G0013630.1:cds pep primary_assembly:Fonio_CM05836:UA:28580757:28581146:-1 gene:DexiUA01G0013630 transcript:DexiUA01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGDLQRSVDFYTNVLGMKLLRTSENPEYKYSLAFVGYGPETDEAVIELTYNWGVDSYELGTAYGHIALEVDNAAEACEPGPVKGGTTVIAFVEDPDGYKIELIEAKDAGRGLGN >Dexi2B01G0016770.1:cds pep primary_assembly:Fonio_CM05836:2B:27094678:27096292:-1 gene:Dexi2B01G0016770 transcript:Dexi2B01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVKSELVRPSEPTPRGALWLSNLDLAARNGYSPTVYFFRRPDDVGRRDDDDDDDGQPPAGFFSADVMRAALADALVPFYPFAGRLGGGREGRAEIDCSAEGALFVVARSAAALDDLFESFAPSKGMRDMFVPAYDSAGPGAPLLLLQVTFFRCGGVALGTAMHHFVIDGRSAFNFIRTWAAIARGDPAAAVTPSLDRTPLRARPAPTVLFDHTHEYGGRRRTATPTSGDITNKASAEEYASAILRVTSSQVSALRTRAGGAVSTFRAVAAHVWRCACAARALSPDAESRLYTMVDMRGHLYPPLPDTFFGNAVARTSASALVGDLLSNPLGFAARRLSAATGHGDQYARSVVDYLETNMAAAVPRGGMSGTDMRVISWLGMPSYDADFGWGEPALLAPALMYYTGFVYLMNCQGKEGGVAVAVALEPECMERFKELFYEELATLVHHV >Dexi6A01G0007330.1:cds pep primary_assembly:Fonio_CM05836:6A:7280975:7282910:1 gene:Dexi6A01G0007330 transcript:Dexi6A01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPQPPHVVVVPHPSLGHVNPALQLAQALHRHGVFITFVITEHNHRSAQAAAAGVASSFRLETLPDGLLDDADRGGGGGSAVDRDYALSKATTGERGAAPLRELLARLRDGVPPVTCLLPTALMSFVIDVARELRVPSMVLWVCPAASLLCQMRLRELRERGYLPLKGTEKTMIDWIPGVPPISLGDVSHFVRTTDPDDFSLWFNDTEANNLTKAGALILNTFDALDPAGLAALRAEYPRVFTVGPLGLLLRRCLDDVDSPSSTSSSISAAGDTLAGGELMTSMSPWKHDTACLAWLDTQQPGTVVYANFGSLVVLTSDQLAEFAWGLAATGRPFLLVVREDLVVSVSGAGGGGGAASLPPDFLPATAAEGRRCYVATWAPQERVLEHRAVGCFVTHNGGSSTMEALAAGVPVVCWPAFADGYTICKYACEVWGVGLRLDAEVRREQVAELVGTVMESEGVRVCAARWKKEAEKAVRHGGSSWDSLLDMVKALEVMGSRWTKL >Dexi5B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:5B:12000250:12002743:1 gene:Dexi5B01G0015020 transcript:Dexi5B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSGGLAGLVVLVAAVCLLLPTASLAQLKVGFYSTSCPKAEALVRQAVTAAFAKNSGVAPGLIRLHFHDCFVLGCDASVLLSVNPGGGRTERDAAPNNPSLRGFDVIDAAKAAVERSCPGTVSCADIVAFAARDSVNLTGNLFYQVPSGRRDGRVSTENDALNNLLGPNSTAQVLIDGFAKKNLTVEDMVVLSGSHTIGRSHCASFLFRNRQRLASGTISPAYQALLEALCPPNPGQFAPNTTEIDVSTPAVLDNNYYKLLPLDLGLHFSDDQLIRNATLAPFARAFAADEATWKDKFAAAMVKMGNIEVKTGAQGEIRLNCSVVNPGSSSSSAAGIEMLFPSGTSSSDVAAEEVATS >Dexi7A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:7A:17260021:17262360:1 gene:Dexi7A01G0005980 transcript:Dexi7A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVMATALLLVVLLHWAAVVQASHTVYPELQSLEATQVDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGSVWGNIVWAHSVSTDLIDWAALDPGIYPSKPFDVNGCWSGSATVLPSGVPVMMYTGIDPNEHQVQNVAYPKNLSDPFLHEWVKPNYNPIISPDSGINASAFRDPTTAWYGPDGHWRLLVGSKVNDRGLVVLYRSRDFKRWVKAHHPLHSGHTGMWECPDFFPVAVHGGSRHHRRGVDTAELRDRDVAEEVKYVLKVSLDLTRYEYYTVGSYDHGTDRYTPDAGFPDNDYGLRYDYGDFYASKSFYDPVKRRRILWGWANESDTVPDDRKKGWAGIQAIPRKLWLSPGGKQLIQWPVEEIKALRGRHVNVSDKVVKSDQYFEVTGFKSAQSDVEVAFEIKDLSKAEQFNPAWLRDPQALCKKRGARVTGEVGPFGLWVLASGDLTERTAVLFRVFKTTGSRHVVLMCNDPTNSTFETQVYRPTFAGFVNVDIAQTNKISLRTLIDHSVVESFGAGGKTCILTRVYPKKAVGDDAHLFVFNHGEVDVKVVKLDAWEMKTPKMNAPAE >Dexi6B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:6B:20672286:20683391:1 gene:Dexi6B01G0012940 transcript:Dexi6B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDLMRGVSRNRLQSENEINLDGHRPRVRRYAQGPEMLLRQSSDLRNQERVNTNFGGDTGDAFEAHEWRYVRTFNGIRIFEDIANPKGGKGILLKSVGVVCANPDTVFEVVLDLDKHKRYEWDMLTADLELVEMIDGYYDVVYGTYEPKYLNWLFSGGKERKILFSPDNGFEDKMVHIVTILQSPTRHNKRPPKHGFERTKINRLREYFAVNPALTSDLPSTVVKSKASEPLLIQNELEDSEPGDEFYDALARGESFEDEDSDDDDAVTPKAGKVKLKNVSWAIAGLTLKTSKALVETSELVTNSTPVTVDPSHFHGTLHRAKSENDPNSWSAPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVNERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERAVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEVLCLGHI >Dexi5B01G0027820.1:cds pep primary_assembly:Fonio_CM05836:5B:29366378:29371009:1 gene:Dexi5B01G0027820 transcript:Dexi5B01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEGIAALFSMYNDDEEEEDDADEPNPPSPAPPTAAADPADASSSPLPSQAGGEDPNRSLVPPSPPLTEESAGRKTLASPHPSPAQAQLPPLPSRRSSSPFPASPPSPLRGSTFAPPPDLPRPPRSGSLAIVDYAHDEMAMSPEQEDGEIMSGTHRFGSDAQAAEGNIEERTHSGTIHIMTSITQAEMSRHPDEAEQNQATDMAVDVTGTEIEDAQVVETTDVSTNGENDDPLSRFLPPPVPATCSAALQQKINRFLAYKRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFGKDVFDPYGYDKADYYDEIEADMKRELERKEQERKKSPKVEFIAGGLQPPIGASIPKITASAGVPVLPVPAEGVKKETRPNKKSKWDKVDGDVKNTAVPSGHDNLSATVSAALLTSANVGAGYAAFA >Dexi9A01G0016030.1:cds pep primary_assembly:Fonio_CM05836:9A:10954846:10958164:-1 gene:Dexi9A01G0016030 transcript:Dexi9A01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEEEYGYFYDDDDAEEDAAAGLEGDAAPPPERRADCWKDDLSTVMNLLNVKQHQARALLIHHRWRMDSIYDCLDKGRERMLRDAGIVLQENNILAAAGSTEPWRIVHCNVCFEDIAMGVVLTTDCWTQHFYAAVESGKKQIRCMAVKCPAFCDEDHVRRLLGQKYPEMAKRFNRFLLESYLEDNDSVKWCPSAPNCGRAIRVGAGERYCEVECPCGLSFCFNCMARAHSPCPCTVWEKWNAKCSEGENIKWILANTKSCPKCFKPIEKNGGCNLVRCNCGQSMCWLCGGGTGFEHTWTSIAGHSCNRYKEETGGKTADTSRVQMQRYKHYYDRFKIHGDSCNVERQKLAPTLQERARLLESDLTRPLATRDGDWLLRGHRRLLVSRQVLSHSYVFAYYMFGGDLRMQQRRGRAASLTVAKNLFEDQQEQLEQHVERLSELLAGADVAAMAEAEIVPVKQKAVTLAKTVERLCGEMYKCIQDELLTLLVDPMTIAAYRPDGPDKAEELVA >Dexi7B01G0009730.1:cds pep primary_assembly:Fonio_CM05836:7B:17227038:17234528:-1 gene:Dexi7B01G0009730 transcript:Dexi7B01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAERVQSQRSGQTTNIDHPRPRRREPNAVGHGGPQHADDHNGRREDAAGRHVREHGEAPEPRGGQDDERDEHDEELLPGDHHAEDAEAGAREQLVQVVPFQDEEADGHEVELRVEERRRDEPPAPAERELADLRVRAKLAPALGSGPKDWASWSGSAGSLLRASP >Dexi9A01G0037150.1:cds pep primary_assembly:Fonio_CM05836:9A:41486443:41491679:-1 gene:Dexi9A01G0037150 transcript:Dexi9A01G0037150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAVPPPPPPEQAQAGSLPYGPGLAGILPPKPEGEEKEEKKVDYLNLPCPVPFEEIQREALSSFHSVFMGSLEVPSQSTETIKVPTAHYEFGANFLDPKLMLIGRVMTDGRLNARVKCDLTENLTLKVNAQLTQEPHYSQGMFNFDYTVSIPDNFFTYTCVCTKGPELLLRMQHNHCFQTFQVGTLQVASTGIVALSYVQKVSEKVALASDFMYNHMSKDVTASFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHCKKNYKFGFGMTVGE >Dexi7A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:7A:23745205:23749398:1 gene:Dexi7A01G0013790 transcript:Dexi7A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAADSRRRAVLAGVLSRTSAAFLFLSVAAVAAIGSARWITATTAGRLTRLPTTAAILAAAAAEHPHPPRPSASAPSPPPPPRSPPPAFYSITCSALNLSHPTTTTTAASTTSQTLARALSSSSVCPSSPGPPPPASAAPKSSNRSCPSYFRFIHEDLRPWRAAGGITRAMLGRARLTATFRLVVLGGRAYVQRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDTVDWPVVRTHLYRGKYAQVMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQEDLKNGLVQREQGRAQRIGKQASNLIQEDLTMDNVYDYMLHLLTEYAKLLKFKPTRPPEAVEICSESLACQAEGLEKRFLVNSMTKSAHDAAPCDLPPPFSSGELKMLKQRKENSVKQIEMWEQKALRT >Dexi4B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:4B:12192416:12196251:1 gene:Dexi4B01G0013510 transcript:Dexi4B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWISVLLVLASAATFFSLATPIDTIDQAASISGNQTLVSSGGVFQLGFFSPGDGADGDRKYLGIWYAKVPEPTIVWVANRQSPVLNSPGVLKLSPDGRLVIVDSRNATVWSSAEPTTSITTLASARLFDDGNFVLSSDGSRSPGSVAWQSFDYPTDTLLPGMKLGVDNKAGITRNITSWSSPTDPSPGPYTFKLVTGGLPQFFLFRGPTTRIYTSGPWNGEMLTGVPYLKSQDFGFTVVSSPDETYYTYSIRNASLLSRFMVDGTSGQLKRFVWSSGAWSNFWYYPSEPCDGYAKCGAFGYCDSSLSQLCSCLPGFVPRSPEQWSLRDTSGGCVRSTNHYQSCIDGGGGGGDGFWVVNQMKLPDATNATVYAGMTLEQCRVVCLNNCSCRAYAAANVHGAVSSGCVIWGVDLLDMRHGYMSPEYAMDGLFSMKSDVYSLGVIVLEIVTGKKNRGFYDPELDLNLLGYAYMLWKEGRSVELVDDVMDGGFNNSEVLRCIQVALLCVDVQPRNRPTMSSVFMMLSSENATVPVPNEPGVNNGKNTSDTESSSYGFTTNSETITEEDTTR >Dexi4A01G0023060.1:cds pep primary_assembly:Fonio_CM05836:4A:26228168:26229226:-1 gene:Dexi4A01G0023060 transcript:Dexi4A01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTSTLRLHQPAHPHRRHAPAVAAGAGATRLAPSRRWAPPLRSRAQRIRALDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRTDHSALAATLGASFFTDPHDLCECHPDVVLLATSILSAETVLRSLPVHRLRRNTLFVDVLSVKEFPKNLLLSSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSAQVPNTSNIRK >Dexi8A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:8A:1171815:1172390:1 gene:Dexi8A01G0001680 transcript:Dexi8A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMDSAHGGHSSSTSPPPWNAHHDHHQSLLICDLAPSSAPSPSPPHAAAPPLNHHHHSLRTAAARRVANKRPSRKLPTTYIAADPASFRRMVHHVTGAQDDHLLPVPPPPPPLCRPAPSRAAGAAGFGPGALPTLDTSAFLLPLEAAAPALEQQDEDAGGVVGVGDYSGSSCGGGGFPTLNSSWEDNPLF >Dexi9B01G0038600.1:cds pep primary_assembly:Fonio_CM05836:9B:39588657:39589722:-1 gene:Dexi9B01G0038600 transcript:Dexi9B01G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNSPRHYRSNRGRMGGNMMPLPHTVHAVNYVAHAQPAKEFMSMPRQPELVNKITGMLLELDNSEVVALLCSSEMLSAKVDECVQLLHATTHATKPKTEDHQEGLGPGFMVGSAGVNTN >Dexi3A01G0012260.1:cds pep primary_assembly:Fonio_CM05836:3A:8869471:8871341:1 gene:Dexi3A01G0012260 transcript:Dexi3A01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi6B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:6B:26510372:26512876:1 gene:Dexi6B01G0019930 transcript:Dexi6B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRRRGGNYRCPRRAALPAAALLLFLLAAVSLLYVSPPPVSDHPVLASSRRRRSAHALLNSSGGDSMEQPGRSEISRVPMNGSAVRDELWGSKLSSKFYGCSNSSSKFLDSNSTTQPDRYLMIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQASFWKDSSNFSEIFDMDWFISSLSKDVKIVKQLPEIGGKLRAPHRMRVPRKCTERCYLNRVLPALLKKHVSHCIVAVFAIKTR >Dexi5B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:5B:5065927:5069081:-1 gene:Dexi5B01G0007490 transcript:Dexi5B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGKDDMEEAPPLLPDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAQVISANRIRLWNKGVDSASFHPRFRCHEMRVRLRVMDRLPGVRIAFIGDGPYRTELEKMFEGMPAVFTGMMQGDELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLDDCVGKVQLLLTNSEFRDSMARTARAEMEKCDWRAASKTIRNEFYNAAIYYWRKKRAELVHPLQWLAQMFLPAPEPRRITQC >Dexi9A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:9A:1368161:1369161:1 gene:Dexi9A01G0002560 transcript:Dexi9A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEDTVVFSTNDDASIALLRRLRAGAAVHFIHHVDVCSAPPEDLVADLQSVPGTDLAEDGYNSVWYFYCPKRFKNAQGKPSGHRQRAIAGTRRPPRSPSRAWRVPRSATWKLKPTTTTQGGSSSSSKSKKRKATCEHPQAPPSKMSLCASVDHRQVQQQQPLLSGCQMMMPDYDSLFPAEKEQLQQNTMFPAAEEQQLHQNAMFPVAEEQQQFQDNTPMDELLRACSPGSTEDLSSGPVYGSSCFPGDNFTMDDLFSSGSTGCCNTPKQWRHQTPPFLKASPSDVHD >Dexi9A01G0023060.1:cds pep primary_assembly:Fonio_CM05836:9A:18238438:18238878:-1 gene:Dexi9A01G0023060 transcript:Dexi9A01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTATQEAAAAEGVSSALALRSLADYTVGAIPTLFYVPDFISQSEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQACECS >Dexi7B01G0024070.1:cds pep primary_assembly:Fonio_CM05836:7B:28477702:28480686:-1 gene:Dexi7B01G0024070 transcript:Dexi7B01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGLVGRLQEDKSDDMSAAAGYEEGSEEEEPYPAGYEEGSEEEEPYAAGYEDGSEEEEPYEREFYGNDDDEPQEVEHCSGTALPEDEDVSDAKPFRVDHCSDEGSGKCGSSYSEPNINLVPYIGLIADEDEFVEKKSNNVQHMKQGQNKKELKQVLKKNSNSVQEEVSVEKETIPFKKRLSVKFSADVSCYTYSTESFAAATLEKRKAQSDGQDKHLCKRQEHSFSSPHDGGKLKEVDATNLFVGNLPLSMASHKLIELFLPFGRIVRSRVMDDCFTGLRKGYGFVNYSDPRCAAEAIKCMNGRLIEGKMLEVRVAGASSSVSDPSVHAVPETDNQPTKQMDMSNLYICNLPLLMNTDKLLDLFAPYGQVTSAKVAMDYTTGLSKGYGFVKFSDPHDAAQAVMQLDGCLVEGKKIEVRVSGMSQRPTSSLVESHAHNSTLREIDMSNLYVSNIPSSVNTAKLVELFLPFGKVTHARVVEQVSNSSKGYGFVKFADSHCAAEAVALMNGALIEGETILVRVAGLSPSVPSSVRHHSPQSVTDLSPEINKCRLYVTNLPQSMTADKFVSLFMPFGQIDRVVMQTEYSFVLYADVNSAAKAIKDMDGFLIEGKRLVVKGSEPCPVNAVDSSWSQSGSKFMKQIDMANLFVGRVPSAVNCDELLQLFCPYGEIVRAKKFDDSGYAMIRYANASCAAAAIKHLDGYKIGGSTLLVRVAGLPGESDAVTDGHKQIDMTNLYVCHLPPFFTTEKLVDLFLPCGQITQAKVVVDKFTGVSKGYGFVKFGDAYSAAVAITHMNGYPLEGHVLSVRIAGVRPSDMVSYMAHFYSYFTSPDPSRMAVGVPTSHWPYYYGESAYTPYYYGESADTTSTMYQGQGTESATAVDQTSQLQGLPGSEPVSSSYVSNSISLDPSQLESWAYPPGFEPHAVAKKDATVWTGPPGFEPPAVGKKDATVWTGPPGFEPHTVKKYATVMSPQACSKVQLAHSGGSKKGSSVV >Dexi2A01G0018960.1:cds pep primary_assembly:Fonio_CM05836:2A:31077351:31078063:-1 gene:Dexi2A01G0018960 transcript:Dexi2A01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEKFPFPYLQISVFVDGREREPPARPDLADTKEQADAAEGEEQRVPELPPLPDPQPEAEADVDRAAAGALALEEAEPEVVVLLALVVVVAAGRLRGVPRRAPQPIAPRGARGADLELDLAAPAAFERVGPARHRAPAGHAGAVQALVVVQVVGVSAAAAAAAS >Dexi3B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:3B:11743584:11746492:-1 gene:Dexi3B01G0016210 transcript:Dexi3B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADGCAAASMRAAVRRLSFGGAEEKREAAAEVARLARSDERRKRLLPELGVVPPLVAMLADARGGTAARLAAAGALLELARGTHRNKVHIVNAGLLKKLPHLMDDTDLLRSQDLALLLHAISSLANTDFPLSAASELLPFLVSTLRAADVPADTKLPCLAALRNLSTKLEHVRDVVSSGAVHALLALVIPADKQKTSEAALSILGELAATSAAGKKAMEGDEAAPRALLDAMTWHESPRCQEHAAYLLMVLLAHGSSSSGSGGLALRREMRRLGVVQALLEVSLLGSPLAKRRAAKVLEWFKEEGQSRIRAHSGPRMEPCQHDSDVGEGSSVTVGHGQEVKDCQDTVDKIVKQSLDRNMKSILRRATASVDLTNVKLLVTSSSSKSLPC >Dexi2B01G0024010.1:cds pep primary_assembly:Fonio_CM05836:2B:33479977:33485849:1 gene:Dexi2B01G0024010 transcript:Dexi2B01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYGDADETFAAVTRARNHHINKLLHRARQPSGPHRRMPGRGLAFLGSAPRVSASSPTAGLNVHAAGIGQPTSQPPLSFQLFFKLLREPQPHGAESTWPPATTTPAPYRFPCPGASSSFSPIHGTWSGGTAKDRTGKKKIAMTPRRRARLVLDELIANGRALCFSKIRLADGGFEEHEEDGPLSAFLCPITMEVMRDPVVIETGHAYERDAIARWFSECRDLGRGPCCPITMQEVCGADLRPVLALRLAIDEWADRLQRDELRRACQWLTKDATEKEAVWALGCAVRGRVVRGEGMMQMVAGMLRSGSAMVRLNALEAIQEELYSVTPASMAHQGQGPASAAMARSISPDWSSGLPPELLESISRGHLASGTDAASFRSVCSPWRAAVPFAPCFAPLLLLPSGAAAVTLYSVAEDKSFSVPLPDGVGKVPCGSSCGWLALMDEVASVTLFNPFTAGVVKLPPVDEEIPLSSSTFVFKKDGRWVFHPDEDDEYVNAAAAAAADDDIDAVTLGELRQVFFQEIVLSSPPDAGGCVAMAVLRSSTEVAYCRVGVDTAWTLVETNLDCSVDSIVFCQGRFVAIDCTGEISIFSGDIATLPAGTPPTATPMPSLTPPVGLCHRSYVESNGELYVVGAMVNVFRWAQRFDYNTVVYKVNDAGDLTLFVSMDFRYGFAGTSVSGLKRNGVYFSEPLYGDQYDLAHSMEIADIATGISEVKTFHPKMQVRYD >Dexi1B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:1B:3866060:3868107:-1 gene:Dexi1B01G0004810 transcript:Dexi1B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILLAHGGGEGDTGFQVVSNPEFFPEGTAVRDLLCPGCVLIGDHDRDALRALVDVYAHWVPEDRIVMTTSLYSAELSKLTANTFLAQRVSSANAISALCEATDADVSDVSRAVGMDRRVVGGVGGAFLNADVGFGRPRFHGDVLRLVYACDCDDSPESVEYWRQVVAVNEYQKSQFVRRVVSSMFGTVAGKKVTMLGFVFKKGIADTRESPAVDVCWGLLGDRADASVYDPAL >Dexi5B01G0039520.1:cds pep primary_assembly:Fonio_CM05836:5B:38306046:38306408:1 gene:Dexi5B01G0039520 transcript:Dexi5B01G0039520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGEGGRAAPDDHGEVVGRLRTTRVRRTHGRLVALPHRRRERAHQVEVRAGAQQLPATALSMARWASGASVPPPASAKTEGGGWGGEEQGGERRGGGGAEEHERSMEVSGAPWQS >Dexi3A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:3A:9220903:9221436:1 gene:Dexi3A01G0012670 transcript:Dexi3A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRIEEYGRPVAAGEILAANPNHVLSKPCSQGVVRRILIVSPDSELERGEIYFLIPASSVPPEKTKRHHKGTKSLPAAPGSHGDQLVVKKASSAAESYHGKAGGGHTKSNGRRDLGDALSKKRSSSSHRRRVSAGRTAVWKPHLECIAEDT >Dexi3B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:3B:1476482:1477024:-1 gene:Dexi3B01G0002090 transcript:Dexi3B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFFYKWLVTKYPRIVSPAKEEPSPDGIGYDNLYLDMNYIVCPPMTASEVFESMFQYLDRLFCIVRPRRLLYLAMALFLAPK >Dexi9B01G0042310.1:cds pep primary_assembly:Fonio_CM05836:9B:42529381:42533869:1 gene:Dexi9B01G0042310 transcript:Dexi9B01G0042310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSSPLAPTAAAASGVGGRSPNHLPSSPHLTNFFGKCLPISPLHIDSDNQLSEWIWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQAIMTGAKAQKNIFQMVRTVWASDGMRGDTLGSFVYVPCEVMKQRMQVQGTQKSWASAAAKGSISQAHGTQMYGYYNGMFHAGCSIWRNHGLKGLYAGYWSTLARDVPFAGLMVTFYEALKELTEHGKTKYLPNSDLDVSNSFEGLVLGGLAGGFSAYLTTPLDVIKTRLQVQGSTSRYNGWLDAITKTWTSEGVHGLFKGSVPRIIWYIPASAFTFMAVEFLRDHFNDKVDTDARELGTLSIDARSKVEKTV >Dexi5B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:5B:8488946:8491511:-1 gene:Dexi5B01G0011930 transcript:Dexi5B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEDRLGGLPDDLLHTILRGLPLKQAARTSALSRRWARRWLRALASSPAIDFTTDRDFALRQPPARAAATVSRCLSLHASHGAPLHAFRVALSFDADAIAGDVVGWVAAAVARGAMDVEVDLLTPQKKKQGQGDVHASSALFLELPGDVFMAKNSLERLALGGFSLRAVSPSAAGLAGLRSLSLSHADVTDEAVEAMVSSCVALEFLSLKGCNHLTSVRIAGEKLRVMELVGCLAVRKLQVAAPALESFAFHGELVCFSEDDEAADAIDFGATPALRDAYLFHLGFGDYDDYRHDFAYPDFLECFEHATILTLCSVGLMLLGGPADASGAAAASPAASSDDEPDIVPNVDIVLDHLTFIKVVNIRGTMCELRLLRFLMNRAPSLEQLVLVTMEEEGALGSEEMKAIETRVSAMRTASPQARITVCRAGEDGSRNSAHTKYYHEY >Dexi8A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:8A:4335170:4337876:-1 gene:Dexi8A01G0004920 transcript:Dexi8A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLALGCFDRLNSFRCRCSISLRSIHLAPNYGRTETLTHPDENPILPPANGPRWVMLSSYLSPRSNLADAKTVAESRTSTGHGFHVSADLAAPPASSFICYDWEASDFDVGDPPELIAAHRDSVLFRNMQDFFVYRAGAHATTTSLSLLPTHDFYERLSLRLNLPQETTGVLRRNDDELLVANLCVWNERGAQRAMVDLNVLHLSSSEWEMMRVVPIVLGDGSRDEMVLSRCDLPDMAVPVGGRYMCWVKYSSGFLICDMADSNLILRSLPLPVAPREGGGRGNGWYRYQEDDDDCMQYCRNMCGVGADTLWFMSIDGRCCGGPGRGTCPRCSLTFTVTTWTMSLKRTSEWRCLLLSRRDDLPHDTAELCVLRHGRECWEIMRLTIAHHDGGELTHYLRVDATIAVGTRFMCWVDYTCDIFFHDAADETLSKLLYVALAVPVPEEHRRKTDGHTLLLWRPWQELVSHFAFNVTT >DexiUA01G0005780.1:cds pep primary_assembly:Fonio_CM05836:UA:10502313:10502636:1 gene:DexiUA01G0005780 transcript:DexiUA01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTLKAIPLITKIRHHRLQKHITDRHKPGKKKELERDNKELEQDINMLPKKVISKVETLKMKVQVIPPQTQENLMEE >Dexi2B01G0029400.1:cds pep primary_assembly:Fonio_CM05836:2B:37815769:37816369:1 gene:Dexi2B01G0029400 transcript:Dexi2B01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKEYCNECNATVVTWYATIGFDPAISSCFYVFQVVEEDYMIRNYLKAVEIYSSETGTWDLTESESECIHFLGRITYFNGFLHLPMSSNAVASVGTKGQPWRVTQVQYEDRHKDQGRGYVGHSQGRLLYVSNVRKNDVMSIYVLEDKDSGEWTLKHKVSKPDLLFEPWKFLMGPTYYIAGFHPND >Dexi5B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:5B:594215:594493:1 gene:Dexi5B01G0000930 transcript:Dexi5B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNGGQEAARYGVGKSICRDQQRSTEERGQCYFQMPLHYPRREEYSAMPEWQLDRLLSEYGLPATGTLHHKRAFAMGTFLWGAANHH >Dexi9A01G0043060.1:cds pep primary_assembly:Fonio_CM05836:9A:46589698:46592590:1 gene:Dexi9A01G0043060 transcript:Dexi9A01G0043060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISAAFHDTTNDDEACVHAMEILNGFIASMTLKAAIELGLIDDLLAAGGRAVTPEELVAARLWPRPAEAAAAADRMLRFLASHSVVRCTTEVGPDGKARRSYATAPVSKWLANGRQGSMAPLALMNMDKGFMETWYYMKDAVAEGVTPTEKAYGMPLFEHLGSDEASNTLFNQAMAGHTEIITKKLLELYRGFDGVDLLVDVGGGTGSTLRMITARHKNLRGVNYDLPHVIAQAPPVEGVEHVGGSMFDYIPSGNAIFLKPTPAAKGAFTLDVVMLNRLAGAKERTEREFADLAVEAGFSGECKATYIFACAWALEFTK >Dexi6B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:6B:41010:43832:1 gene:Dexi6B01G0000070 transcript:Dexi6B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLQQLFPGRDDDGGDEGAVLVPPDDGHGGGGGAGGGEPPPSVKQEQEVWWRRKKLLLVPKRSGVGLVIAGLVVLACLLIGSATSGWIHLDYASSFFLGGGGGGGSGGMSSRRRPPHHVLSPEADLVPIPALACGGGNTSSGTPPADAPSSSSAPAASEANSECPEYFRFIHSDLSPWRETGITLEAVERARAKAAFRLVVVDGRAYVETYHRVFQTRDTFTQWGIAQLLARYPGRVPDLDLMFNCEDMPEVRRTTTTMPLFRYCKDGDTVDIVFPDWSFWGWPEVNIRPWTPLLDEMAANMARLPWPRREPYAYWKGNPGVSPERRDLLRCNKTWFKAHVFAQNWGAATRAGFTGSNLAEQCRYRYKIYVRGRSWSVSEKYILACDSPVLLVDTPFQDFFSRGLVAGKHYWPIDPKRKCHAIRAAVDWGNAHPDEALQMAQQGSGFARDDLSMDYVYDYMLHLLTQYAALLRYKPTVPEKAVELSAEALACPAQGRVRDFMMQSRERFVAGYDPCVLPPPFTADELSQMATREQEVRARVRKITTMDDMDHHT >Dexi7A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:7A:28745585:28747720:-1 gene:Dexi7A01G0019610 transcript:Dexi7A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKAKGFFKIISRIFVVKEHEMEIGYPTDVKHVAHIGWDSAAGNASPSWDSVACPEVPRPPRKTTGKRPKDSATTAPSSSTDELASPPPAAAEDEAAVGVAANGTR >Dexi3B01G0031760.1:cds pep primary_assembly:Fonio_CM05836:3B:33778837:33779210:1 gene:Dexi3B01G0031760 transcript:Dexi3B01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKFDESQQPAAGEDKAAMAQSDLRAEAYYVGIDVETPASTLALVEPHNCAGLKAKCIEFIPGSPDNLDAVLATKGYRHLEASSPSVLTEHLTSRLPMERGVELYSTI >DexiUA01G0011810.1:cds pep primary_assembly:Fonio_CM05836:UA:23553150:23555847:-1 gene:DexiUA01G0011810 transcript:DexiUA01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTHKSP >Dexi5A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:5A:13642394:13643310:-1 gene:Dexi5A01G0015840 transcript:Dexi5A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGWVVSPWMGRVRVCLEEAGAEYEIVPMSRCGGDHRRPEHLARNPFGEIPVLEDGDVTLYQSRAIARYVLRKYKPELLKEGDLEGSAMVDMWMEVEAHHVEPTLWPIIRHCIIGPYVGRPRDQAVVDECLGKLRAVLPVYEARLSVSKYLAGDDVTTADLCHFGFMRYFMASEYAGIVDAYPHVKAWWDTLLARPSVKKVIAGMPPDFGYASGNIP >Dexi9A01G0023590.1:cds pep primary_assembly:Fonio_CM05836:9A:18981864:18983642:1 gene:Dexi9A01G0023590 transcript:Dexi9A01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVPMNSAQSGAHFPVHHRSLSLDIKGNKTDIVISKHEDTFMVIVTQIGCMGTILAAKKDESVFSDPTYNVSVLFGKRDEPFLVACARQLIEHIRFASFTIYFCHLWQWFSQVTGDLSWSERSFSGKIGKFTSVTVFTNRFDGLKSPNKC >Dexi9A01G0031230.1:cds pep primary_assembly:Fonio_CM05836:9A:36112510:36114777:-1 gene:Dexi9A01G0031230 transcript:Dexi9A01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPELAKLAFSRVQEMEPHNVAKILGCILLREPDEAEMVHLAYGSDAMVHAKINDAKATLAAIYARCSAASAAAHHHQIGAAHRAAAGYHPHPAAAGAVRHHHFSPSAAAAYGFQYWPPEHSPPVPKAAAQQDFAFVDPAAGEGHYAMQQQQNLDDHHHYDAAAGGYYYTAGGGGGEDAFHINGSGGGGMPARAAARRASGMSTRRPCHYFVKGVCRNGQNCNYPHHHQAYSSDGFSDDGSYHNGGGTPGGLEKLEMEITELLNSRHGQPLSIASLPTLYGERYGKGLQAEGYLTESQRHGKAGYSLTKLLSRLNKIRHSVVLAEDAARYTEFRGERGGGSDVGSIYLTFPAESTFVEEDVATYFGIPCQERRMFGFVSFQNPETVSTILMRQKRERLIELERKRFAGVRLEPLPHQFAYFDCSIEDVNPLNNCLPADTKDVELMDRPLTVPDSLEVVSTSAPQTQASNNYDDKERYKY >Dexi3A01G0007220.1:cds pep primary_assembly:Fonio_CM05836:3A:4897936:4901219:1 gene:Dexi3A01G0007220 transcript:Dexi3A01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPAPSSSRAFSKPLPSPSPTLSSLSRRHSISFSAAAARRDLLRCGMKRSDLVAELEIAKDKQPHSRRANGIFWILLLNFGIYMADHMFQIREIKALYLYHASPTWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTITGQSFQVNNIAHVSGALIGAALVFLVSRIPFSSNDDNHKATKESK >DexiUA01G0005200.1:cds pep primary_assembly:Fonio_CM05836:UA:9368429:9372371:-1 gene:DexiUA01G0005200 transcript:DexiUA01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITMFVGSYAIGFVLLWRLALVAPPSMLLLVVPGFLYGRILIGLARQVRDQYTRPGAIAEQAVSSESTRLGIKQGLAKGLAIGSNGVTVAIYAFNVWYGSRLVMYHGAKGGTVYVVSAGIVVGGMALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSEQDTGEKLANVAGEVEFRNVEFCYPSRPESPVLVSFNLRVPAGCTMALVGTSGSGKSTVIALLQRFYDPSAGEVTLDGVDIRWLRLKWLRAQMGLVSQEPALFAMSIRENILFGKEDATEEEVIAAAKAANAHNFISELPQGYDTQVGERGVQMSGGQKQRIAIARAVLKSPKILLLDEATSALDTNSEYIVQEALDLASMGRTTIVIAHRLSTIRNANMIAVVQSGEVKELGSHDELIANETGLYSSLVSLQQTKDSREADEIHGIGSKLEMGQSRSHSLNRRFSAASRSSSARAMDDAGDDDNTKKPKLPVPSFRRLLMLNAPEWKQALMGSFSSILFGGIQPVYAYFMGSMFSIYFVKDHEEIKKNTRTYALIFVSLALVSFLVNIGQHYNFGAMGEYLTKRIREQMLEKILTFEIGWFDRDENSSGAICSQLANDANIVRSLVGDRMSLVVQTVSAVLIACIMGLAIAWRLALVMISVQPLIILCFYARRVLLKSMSKKSIQAQSECSKLASEAVSNLRTITAFSSQDRILRLFEQAQDSPRKEGIRQSWFAGLGLGTSMSLLRCTWALAFWYGGILMTDHQITAKALFQTFMILVSTGRVIADAGTMTTDIAKGADAVASVFAVLDRKTKIEPDNLEGYEPEKIIGEVDIKEIDFSYPSRPDVLIFKAFSLSIPPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVEIDGRDMKRYNLRAIRRHIGLVSQEPTLFAGTVRENIVYGTDSASEEEIETAARSANAHDFISNLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQDCDLIAVLEKGIVVEKGTHASLMAKGPSGTYFGLVSLQQGGNRHLNIA >Dexi9A01G0022200.1:cds pep primary_assembly:Fonio_CM05836:9A:17258446:17259065:-1 gene:Dexi9A01G0022200 transcript:Dexi9A01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAATATAAALRLRAAAASLSRQPAALITPRRAAFLLPPLRRLCSHVLPRASASAAPDSQPPPRLPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEIESASRVATAALLLVHQPVPLEDVLGKAKVQVEVIKGLYAQLAEVLKECPGQYYR >Dexi5A01G0037640.1:cds pep primary_assembly:Fonio_CM05836:5A:38922953:38923624:1 gene:Dexi5A01G0037640 transcript:Dexi5A01G0037640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAMNRLFVESSSSSGREAEPAAAVLCAPRPRRVLVHPCSADLILGPPPFMLSTNKSKEAGGKAKAPEADEDGGWALFGGSPPARADNPLVHDPNFLLNQRHPVAAASPLELGFFDHQSRSNHSHRPTYISSSHSSSNSFAPTFAPAVRIQGFDVAACRSSHSNGGGRVLSARA >Dexi2B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:2B:12656918:12657746:-1 gene:Dexi2B01G0011100 transcript:Dexi2B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNKFPLPMIALLVAFAVALHPSSATRVEEAFFAATIAAKSAAAGPASAPSSSPPSDGGGDGLPPLPPQPRECRPWLMRMMPCASFMTNSSVYTPEATCCEGFNSMFTNEAATCLCHVANGDIAQLLPAPMIHMRMEELFSVCGHDVNVDIFAGLCSLMDIVPPIDLPSPAPSSSPMSAPAPAPSAGWP >Dexi8B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:8B:22134235:22136516:1 gene:Dexi8B01G0012790 transcript:Dexi8B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREAATFTKYWMGIDKKNGKRPREATALTCSDPESRWGQGEEGGGGQAAQEQVASNLADGWVVATIQSLNKASPSSLKISLRSGCRGYTGRQRSKSKG >Dexi3B01G0027310.1:cds pep primary_assembly:Fonio_CM05836:3B:22851788:22852575:-1 gene:Dexi3B01G0027310 transcript:Dexi3B01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFVVTTSCACIGIAARRRRPANHRSDRRGQPGGGDHRRGLPAREDGPVDLDERLLVVVPGERPGPREGVGRRAVGRGGAVPRDGGGGRDPEHGVHVGVAEPHQQPPRRERPEDAAAEDAHPPPHAPPSPAPPSCWLRRRGRARLAAAELGGGETRAALRRGGVDAVVAPALPIGLDAALAAPPPPALGMAGLSRAAQVRWVAELACCGEMMGVW >Dexi4A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:4A:9502815:9503176:-1 gene:Dexi4A01G0011480 transcript:Dexi4A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDSLEMAQQWIPATRKETAQQVPGLLAQMVVAPVMDGLAPQNLVVPPLFPLLAIDASIVPQPCATLPFRLDDSVFSRPMGKIGRRDARMEGRGG >Dexi2B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:2B:1686692:1689473:-1 gene:Dexi2B01G0002150 transcript:Dexi2B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWSGRATVLAGGGTPVVVKMDNPNWSISEIDADADADDDTAAFLPGAGGRSRRRRKRGKNAKQITWVLLLKAHRAAGCLAWLASAAVALGGAARRRVAAGRTDADAADDEEEDPASAPAPPRHSRLYAFIRGFLLLAVFLLAVELAAYTNGRSPAAASFAALHAAWVRFRAAYLAPPLQLLADACVALFLVQSADRLVQSLGCFYIHLKRLKPKPISPALPDTEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQALIREEVEKWQQHGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVEVHLAMSNCLRNCIAFWKKANLIFLFFLLRKLILPFYSFSLFCIILPLTMFVPEAELPDWVVCYIPALMSFLNILPSPKSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSDHDLAVMAPKGHRMQQRPVAAFAEAKKQPAAPVEKKKYNRIYKKELALSLLLLTAAARSLLSKQGMHFYFLLFQGVSFLMVGLDLIGEDVK >Dexi5B01G0023400.1:cds pep primary_assembly:Fonio_CM05836:5B:25577069:25578208:-1 gene:Dexi5B01G0023400 transcript:Dexi5B01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPSAANKSPSAAFQSHPTDLELVNSYLRPWVETGLKAGPFIHEADVYAADPADLTRRFAPAVAQDGEKAWYFFTPLRHKSVRGKRKARTVATGGGCWHNEAKSKPVCTRLNGKVQIGHRQSFSFVNKEGGQRVRTGWLMMELRLLREGAGERAQAEDAVGNLVLCKVYRSPRNPEPPVDRDHGLKVEAADGDDESSGATEEEDDSSDEPQATAAAVASGLKKKSEDEESSEATVAAPSRHSKAGDEISGAAAAPGRKEKAAGDEDSAETSAAAPARKRKAPEDENSGAAAAEAATPAPKRTASGSSSPGAAPAPASTEMQCPNCGIHLAVTLKRPETKSETEIAKGEPAPGTSDALPQGGDSRGSSEKDVRFHQFL >Dexi2B01G0029360.1:cds pep primary_assembly:Fonio_CM05836:2B:37784649:37786422:-1 gene:Dexi2B01G0029360 transcript:Dexi2B01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTARVLRASPPPLVALAAILLLLSSALPRCQPYTYEEDVFAINGLYTALGAPQLPNWTTNGGDPCNEGWQGEGWEPIQYQHSPIRTATCSANTITINPTSS >Dexi2A01G0027890.1:cds pep primary_assembly:Fonio_CM05836:2A:39218874:39219171:-1 gene:Dexi2A01G0027890 transcript:Dexi2A01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEKETMQMACHKDITECPWDPSHLFMDCKNVDLEKMDELFKQRSVRMAKALPNDIIIPDPTPKVCFF >Dexi5B01G0038180.1:cds pep primary_assembly:Fonio_CM05836:5B:37437406:37437726:1 gene:Dexi5B01G0038180 transcript:Dexi5B01G0038180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIPTLAPSGRHDATRPRPRVTYRVAQSPLVQREAPRGSRLTLPLRPAASRHPSPLCPVAALRKSHRKSPHLLTDDTVVNSVRCRNRGGAPYWSGWAGQQMRGA >Dexi9A01G0033100.1:cds pep primary_assembly:Fonio_CM05836:9A:37969957:37974471:1 gene:Dexi9A01G0033100 transcript:Dexi9A01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGFPADTPYADFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESQKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIDQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQSDKASALLVDFFEKCKEDSSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMARTVPLAVEGEPSSK >Dexi1A01G0031990.1:cds pep primary_assembly:Fonio_CM05836:1A:36711714:36712007:-1 gene:Dexi1A01G0031990 transcript:Dexi1A01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAVKPAARPRRRNSSSERSLPCVRSALVLVVPSVSSGTTGSQATSLPPPSSGSAAWQLRRMAAHSSLVHVVRTHFMKMASPPT >Dexi1B01G0027900.1:cds pep primary_assembly:Fonio_CM05836:1B:32546082:32561926:1 gene:Dexi1B01G0027900 transcript:Dexi1B01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVTEAKGRSVVVLTSQRKKITVSPEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKKRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESRSQSILVSGESGAGKTETTKLIMQYLTFVGGRTALDDRTVEQQVLESNPLLEAFGNAKTIRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASRFHYLNQSKTYDLEGTNNEDEYWKTKRAMDIVGISRKDQDAIFRTLAAILHLGNIEFAPGKDSDSSKIKDSTSDFHLQMAANLFMCDADLLVATLSSRSIHTREGIIVKELDCAAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFQNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTISHYAGKVRYDCQILLLMITVFIIIASSYLQVTYQTDSFLEKNRDYIVAEHCNLLSSSSCPFVSGLFTSLPEESIRSSYKFSSVSSRFKLQLQALMETLNSTEPHYVRCVKPNSANQPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFAVLVPELMIGSYNERTLTKGILEKMSLDNFQVICSAEIILFQFTCSLGRTKVFLRAGQIAILDTRRAEVLDNAARHIQGRFRTFITRKEYVKTRKASICVQAYCRGCLSRKMYAIRRETAAAVIVQKYARRWILRRAYLQACLAAVLIQSYARGFIARRYFSDIREHKAATVIQSTWRRRKAVRFFQHYRQATVAIQCAWRQKLARRELRRLKMASSLAANEAGALREAKNKLEKKMDDLALRLTLERRLRAASEESKSSEILKRDKIIETLSAECAAAKSAAQNEHVKNLSLQKQLEDSLREITMLQSKRIMTAEAEKENSNLKNLVDSLSLKNSILEKDLNVARKSSDDTMEKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKAFNMPPVNNLAVTPKKLSEKFSASIGLPNSESKQTFESPTPTKYLAPIPQTLSVSRRSRLPVERHEVGSQCNNSEISVLVSLQQDEADGRLPYWLSNTSALLCLLQKNLRSHGLFATPSRRSGGALGVGGKIVQTLKSPSKLVGRSDSLPQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLAVCIQFAGTSWHELNYIREAVGFLVIHQKRKKTLEEIRQDLCPVVAAMREVVNKDIVSKDTPNSVSNSFLMDDDLR >Dexi4A01G0023650.1:cds pep primary_assembly:Fonio_CM05836:4A:26674708:26676563:-1 gene:Dexi4A01G0023650 transcript:Dexi4A01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETTSSSTPSSSTPARMLPPRSPLDVDEEYDSAFKSKSFLDLWSHAHRHLSQALSSSFKHQSSSSSKSKHDDGEEACEACSALLAAIAAARRHHLTLRRLLARLDDDAGGAAARDAVAEHVGLDNPLSPGRLSAFHDAHVRCAPLATRLAAARRRLRRLATAMRVARGTAAAALVAACAAAIVAAVVFAAHAVVGIGAAAAATGVPWRWVAKRVSSPRQYAAAGAAVDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDVARIAVRGRGERPLMQEVEREEAECEEDLRAQLEELEEHVCLCLITINRSRRMVAHQMMPALEPTPSPSSSQK >Dexi8B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:8B:21723909:21725917:-1 gene:Dexi8B01G0012370 transcript:Dexi8B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNILIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Dexi9B01G0034720.1:cds pep primary_assembly:Fonio_CM05836:9B:36636886:36637686:-1 gene:Dexi9B01G0034720 transcript:Dexi9B01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSFKLWRRAMVRVHSASQQGLDAATGPAAVSPSSDQPATTTKTSQQGSEQPEVFTIWMKSLVLNGSGCTVYDSAGCIVYRVDNYGARRAADVCLMDLAGNVKLGFGRRWEGYRWSEQEEERRRQPWFKVARAWAWRGPSRCTCELVRGETTLRYRMVEDGSIAASRGARIVDGATGLAVAEVKRKTTAAGVALGADVLTLAVEPGVDRSIIMGLVLVHGLINRAM >Dexi8A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:8A:1496408:1498657:1 gene:Dexi8A01G0002280 transcript:Dexi8A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTEDAVSTKAVELVKEEDRLEFDDPDEVDEEEEVEYEEIEEEVEYEEVEEEEEEEQEKSEVACEVDAKHDSKMVDADQKDEEEKEKHAELLALPPHGSEVYVGGISSDISSEDIKQLFESVGEVVEVRIRGKGDNKLYAFVSFRTKELASQAIQKLSNKNLKGKKIRVSSSQAKNRLFIGNVPQDWTQDDLKNAVEQVGPGVLKVNLPKASRSDRHKGYGFIEYYNQACAEYARQKMSTPEFKLDKNAPTVNWADPKNSGESATTAQVKSLYVKNLPKTVTEVQLKKLFEHLGEITKVVLPPAKAGHENRYGFVHFKERYMAMKALKNTERYELDGQLLDCSLAKADKKDETLSVPASKGAPLLPSYTPIGYGLAGGANPLGKGLAGAYNQLGNGLAGAYNPLGNGLAGAYGVLPARAAQVHDIIYYALANVRDTCMYKR >Dexi9B01G0046560.1:cds pep primary_assembly:Fonio_CM05836:9B:45802668:45804281:1 gene:Dexi9B01G0046560 transcript:Dexi9B01G0046560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAAFAEFISTLIFVFAGQGSGMAFSKLSGGGATTPAGLISAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACFLLRFSTGGLATGTFGLTGISVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWGYQWVYWVGPLIGGGLAGVIYEVLFISHTGHEQLPTTDY >DexiUA01G0007830.1:cds pep primary_assembly:Fonio_CM05836:UA:14719588:14720939:-1 gene:DexiUA01G0007830 transcript:DexiUA01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYMSQLSTALPLMEGDHHQQDHHHHYHQGHFQAFTLPKDPPILFPFVISSGSTSESSLSYGSADGHHLMMRQHQAMLEPQHMIGGSSAASVFTTPFPTVESIRDDMIEPSSFDPYDVGKLQLGGSLETAGSWTPPAKMRITRKAAADPGAAKKQPRRRAAQGYEDVVGMSGGQHDLGVIRVCSDCNTTKTPLWRTGPCGPKSLCNACGIRQRKARRAMMASVGSSGPLPTDVAKAAMATPRDVMGASTHPKAKKEKRVDVDRSLPFKKRCKGVQDHTAVAAPPPTAAHKVTVQPAAEVAGDAGRDLVDSIGLIRWNRSPAPPSSAASCSFQSSSPGLPVQQDEITDAAMLLMTLSCGLVRS >Dexi3B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:3B:4906024:4906443:-1 gene:Dexi3B01G0006980 transcript:Dexi3B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAASTLSGHAAAWLRRLPRSPATPPPGQHLLGGSHHPRAQLQPRHVLPDQGGARDYRRMARRLPPARPDGYSTSEGEVEEEDPDAREWEETPEPAAAGEEDGDDSEEVEGFMLDFSSFPDGDGEGGEEGAEEDGKE >Dexi5B01G0026580.1:cds pep primary_assembly:Fonio_CM05836:5B:28322751:28323236:-1 gene:Dexi5B01G0026580 transcript:Dexi5B01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSDSADDTRAAVADQPEQQPSEETEITQEADGAAAATVTKSSEEPASGANASWTAATPPMTPERGAAAAAHDSEGSDTQSAGGRGSPLHGEGKPRHAGAGVKRDDVIKSGGAEAAASPEREGAAEHKEKGKERRKRFWK >Dexi4A01G0022850.1:cds pep primary_assembly:Fonio_CM05836:4A:26117895:26120293:1 gene:Dexi4A01G0022850 transcript:Dexi4A01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRLEAHQAQNTPPRPLLACWRRWPDPSSSVAAAISEQDAAAPSWLLLPARLVVAMGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDFKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEEDLYHLLEKVGTPSGSDDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYRSLDPVQPPIAPPYKTALEMKKASSRGISADTIKPSADS >Dexi1A01G0007180.1:cds pep primary_assembly:Fonio_CM05836:1A:5515507:5515776:1 gene:Dexi1A01G0007180 transcript:Dexi1A01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRHRGREASHLAVGSAAGSPYQLVSLPGSGVRRSPFHSPPSGSAAARLTASPPPPGRSDALAYIQVINSLTPPLIFYGEMQAVAY >Dexi4B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:4B:21348107:21350701:1 gene:Dexi4B01G0019090 transcript:Dexi4B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQSYLCCLSTLLLLLGLASGQVLFQAFNWESWKQSGGWYNHLMGKVDDIAAGGVTHVWLPPPSHSVAAQGYMPGRLYDLDASKYGTAAELKSLISAFHDKGIQAVADIVINHRCADYKDSRGIYCIFEGGTNDTRLDWGPHMICRDDTVYSDGTGNLDTGADFAAAPDIDHLNRRVQRELTEWLLWLKSEHVGFDAWRLDFARGYSADVARAYVDGTAPTLAVAEVWEDMAYGGDGDGKPEYDQDAHRQALVDWVDRVGGAASPAMVFDFTTKGILNAAVEGELWRLIDPNGKAPGVIGWWPEKAVTFVDNHDTGSTQAMWPFPSDKVIQGYAYILTHPGNPCIFYDHFFDWGLKDEITALVEVRKRNGITPTSELAILKYDGDAYVAEIDGKVVMKIGSRYDVSALIPDGYQIVAHGNDYAVWEKGASDEVVAQS >Dexi2B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:2B:8241898:8242566:-1 gene:Dexi2B01G0008080 transcript:Dexi2B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCEDDYGERYCCRIPYQVWEDIKWCFILLGLIVVAVVVTGVVLIAVIFGGPLRHVKFTVEDASLTRFALVTSPTTAIAYNLTLSLAVHNPNWAIAIKHDKPLEASYSFDDQPFERVLVADEGSKQGARKTVVYRLSSGSAGRAVALGNAGEAEFRKENATGIFEVDVALTGKFKYTLRKTKCKIEATCPLKLQLDTPGTAAVVFEKVDCEVAKSDDKYC >Dexi7A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:7A:2272675:2277481:-1 gene:Dexi7A01G0000840 transcript:Dexi7A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGVNMPGGNDGNQAASSSFSSTSECRMRRRRRLVPPAMATAAGSGEKRVQKASPSSSSSPPPSDASAEDSDDDGEPGEEEGVDVEAAAPPAGPAPPPPQQQLIPAAAAAWPVAFGFLSVAGRSRDMEDTVSIHPGFHTWADGSPMHFFGVFDGHGGSHVSELCRDRMHVFLAEELTAEETTYAERLRRRKQQVAVGASTSGASTTSPEEEEEEELAWRAALERTFGRIDAMASLACACGRIVSPPCRCPLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSDDHKPNRADELARIQAAGGRVVYINGHRVRGILAMSRALGDRMLRPEVIAEPEITITNRTPEDECLILASDGMWDAVPNEIACSVARQCLQDGSPAADVDDAAAAAAAAAAVGPEPDARCTNAASLLVRLAYGRDSWDNISVVVIDLKQRE >Dexi7B01G0013040.1:cds pep primary_assembly:Fonio_CM05836:7B:19624950:19625922:-1 gene:Dexi7B01G0013040 transcript:Dexi7B01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMKDGGTSSSIKPPHHVLNSLPLLVFEYEDVDPDSRHDDDDDSGHEHEYDVDDSRHQEDDGDGAPIGMLMYSLSQRRIYTGERPDAMAAKGNTCFSTPQGWVLVVGEASDAWLWHPLAGDTITLPPIHDDHYIPINCKCLLTDSSAAHPDCAVVLLDVADPVMWFCKVSGGSDRRWGQHTYDIGDYQLPEEFRTPSTRTKAVIAEVAALRGRLHFTSLESRQEKMCIVDLGFPPDHGHPPTAQFRRFDVPDVHKFPQDMCSGTIFLVESLDELFAVCICYVDFDVENIGAVLVYKMDFSGDESQEPLGWRLSF >Dexi2A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:2A:13297534:13298357:-1 gene:Dexi2A01G0011400 transcript:Dexi2A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETTGSAAAKEVVEKKVELMKEIRAHEVAIAELDNLNPSRGVYQKAGNIFFRKSVKSVVTTEQKQLDLAKARLSKLNQ >Dexi1A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:1A:3903766:3905006:-1 gene:Dexi1A01G0005330 transcript:Dexi1A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTPAAAAAAAATGGVAPAGAEQAAFRLVGNRNFVRVNPRSDRFHALAFHHVELWCADAASAAGRFSFGLGAPLAARSDLSTGNSAHASLLLRSGSLAFLFTAPYAAHGPAAAAATASLPSFSAPAARRFAADHGLAVRAVALRVADAEDAFRASVDAGARPAFEPVELGLGFRLAEVELYGDVVLRYVSYPDAEGAPFLPGFENVSNPGALDYGLRRFDHIVGNVPELAPVAAYVAGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAENVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHMALASNDVLRTLREMQARSAMGGFEFLEPPPPNYYDGVRRRAGDVLTEEQIKECQELGVLVDRDDQGVLLQIFTKPVGDRFVVVN >Dexi9B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:9B:9346027:9348442:-1 gene:Dexi9B01G0013990 transcript:Dexi9B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYLARGASKLVRRINSELSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHQPGPTLQDLGFMILPWTFHPFILHTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLSRLPPPHNAAEVLLINFPKGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCVAIAQSLLIISSRKHYSVDVAVAWYTVNLVVFFVDKKLTELPDRSVGSTSILPMSAKDKDIKLKEENTRLLNGNSTDSADWV >Dexi3A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:3A:3130261:3130806:-1 gene:Dexi3A01G0004800 transcript:Dexi3A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQRQQQDQARPAGSACVWVVAVLLLLAVLAGGGCLVLYMTLPPAEVPQWLPAAGLALVALPWAFWIATCAYRCCCSSDAAAEGGAPPPAVARQPSSRKAGVASAPSSKSIRAPRSARHATGDGASPASGSPTASSAAWRVRFGDTTVLGEDKDDGGGSSVHSNENEEAPLAYKMQPSS >Dexi6B01G0000710.1:cds pep primary_assembly:Fonio_CM05836:6B:626435:626885:1 gene:Dexi6B01G0000710 transcript:Dexi6B01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIISESTSVDRDHTVCDPHRKVEEKSDLLSAHDNQDISMLNQDRRKQLELESSAHQVGEAQNKQKDMGGPTDKTIFLGKLPPVLTLHVLRFKSNDKRMGRVKFEENLDVGEYMDPSLS >Dexi9B01G0039700.1:cds pep primary_assembly:Fonio_CM05836:9B:40395077:40398735:-1 gene:Dexi9B01G0039700 transcript:Dexi9B01G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSDGGGGGDQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGALMRKSGPDVPPGYDPALAVDAKPKTKAAKRNERRKEKRQQASSTNDKGKSLDIEETGAGENEKVLSPKTDKHRDSVESVTKQIRGIAISESSAAPSTNANDSSQPESSAPDIDKKIRALKKKIRLAEAQLQGDPEKLKSETQEKLKKIEGWHAELKLLEDKRAPTGS >Dexi4A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:4A:5025313:5032867:-1 gene:Dexi4A01G0006930 transcript:Dexi4A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLPTAAAAPVAAPRSRRQPPGRVSVRASATAAAPPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGIANGSKAMEKVDKARAGVLVGTGMGGLQVFSDGVQNLIEKGHRKITPFFIPYAITNMASALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKARDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATIKAITTGWVHPSINQFNREEAVEFDTVPNVKKQHEVNVAVASPPSWPLTRLPRRRGPVHRCPAAAVAPCVVASLPRPLAPLSRRRSSPSLRCPAAAAAPHVDGECPFVQSSHFRG >Dexi8A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:8A:30773549:30774419:1 gene:Dexi8A01G0018500 transcript:Dexi8A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGGAETPPWAGCAAAGWLGGAAPRRLGGAARERQAHGGAEGAGAGCLGGVAASSSKGGMEEGIADTDEEEAPTKGVAAPVDSDGDGVAAPLEAATKVVLMFIQYCHPSKPYEPITECHNNVQEQPRSIEEEEDDDDDDEIDDSYLCNPLPENEHVGLFHQVKAWRP >Dexi3A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:3A:10588622:10591897:-1 gene:Dexi3A01G0014550 transcript:Dexi3A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAELVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYSK >Dexi6A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:6A:22740807:22741892:1 gene:Dexi6A01G0015320 transcript:Dexi6A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEQLWDETVAGPRPESGLGRLRKYSSFSPSSSSSLAPAAAATAPAADAAAAAPAVTRSITIARPPSLSVDQSPRGESYSSSVPSSPASAPDSPFATATTPKADSWRRLRRKSKGAEGPEPAVGPRSPTVYDWVVISSLDR >Dexi1A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:1A:1478521:1479305:1 gene:Dexi1A01G0002250 transcript:Dexi1A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNVMWKKGYNTFFSQVPNPLSDLAGMVCGQFNIPFWKFFLATLYGKAVVKISFQATNYISHITSVITLQQPNFLFGGDKGIWRYPLSALVLSFVGKLKTAKDKFLTAQVAASASIAGKGKWNLSFTLNWNTLVWLMVINLIIQIVTSTAQVTRTL >Dexi5A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:5A:260159:260701:1 gene:Dexi5A01G0000370 transcript:Dexi5A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGRPGWLPSLGFAFLSFNCGMAVYRSWEDPWSVAFVVVAYVALIVLFRCLHLLERAGHRGAGQGPKLAVWGLSTLLTVMFSYKVAAIMPLWGQLLVWAMGIFTVVAGFYAFFLEP >Dexi1B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:1B:2598991:2601297:1 gene:Dexi1B01G0003240 transcript:Dexi1B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEEGSRGVEEQAAAAVTTVADGAAAVVPASKDDDRKEAVEGRRPAAYAAVVIGGTFDRLHQGHHLFLKAAAELARERIVIGVCDGPMLAKKQYADLIQPIEKRMENVKDYIKSIKPDLEVHVEPIVDPYGPSIVDEGLEAIVVSKETFPGGLAVNRKRAERGLTQLQW >Dexi6B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:6B:3474736:3477608:-1 gene:Dexi6B01G0004280 transcript:Dexi6B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAAASLFGADRRLCSADILAPAEVRARIEVAVLNFLTALTSPTSPAISVLPLISRTSANCNMRNGLLNDVSSVYLSYAFSKRSLMREGNAMAFVRVWKVMEMCYKILGEGKLVNQRELFYKLLSDSPKYFSWHAITGDLSVLSKLNLSSDARYIIVVEKDAIFQRLAEDRLYNQLPCILITAKGYPDIATRFILHRLSQTFPNMPIFTLVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQNAFQELKPRDLQIAKSLMSSKFLQESHRAELTKMVDTGKRAEIEALYSSGFDFLGKYITRKIVQGDYI >Dexi9B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:9B:8661200:8661779:1 gene:Dexi9B01G0012850 transcript:Dexi9B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTHILLDAALEALSHEHQSHSLSEYALNRDIKIMQREYNRRMYELEDDIKKAPLVYHTRELVSNTIGEGIKSTKSIVGLMMARRERALGKSNSKVVE >Dexi2B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:2B:29246473:29247410:1 gene:Dexi2B01G0019050 transcript:Dexi2B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQLDTVWLSLRSSGGEIGGNDDDRGAADRAVDVGTEPRVDARRVERVRAAREEADQLPVGELAEANRAVPAPAHPAAVFHGGYGRDGGLVEPHRADVPHVVHHPSPAPALLLVAEPAVVGPFSGGCGEAAPAAQDEAAAAVEEERGEREREKEEGGEQGDDEEEAHGRRVAVGGRGARDHRDRGRRGQRSPPPPGQSRKQSSGVSVQLKVTVVVVAAAYGRSGVYMATSSNRAAAAQLGRRRASGRRPRQCEGAVVDWCGVETWSGLVVVGFGLANAEQFGGGAGAHGLPTATATARARPTP >Dexi9B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:9B:5202673:5204079:1 gene:Dexi9B01G0008500 transcript:Dexi9B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCSPAATAAPHATPTPLTSSRKHITMCLSPKLGSGGSQRARLASSSSAPHQVRALASETAAEEAAGPAPVNVEYLAAEFAGHGVAFEPVGASCAVKMALRNGSMAHLLLPSGLVTSYKPAMWHGTVTEVLHTKVAEGPGGRAVIRGGVSVDLRCAGADDAVWSPSGSWSLRDVRGNPSTKIEIELVSSAPGSAATARCVVTLHPEALATELTVTNAAAASSMAVSCGVSNHLRVSTPDATYALGLQGSEYRSVEPALSEFSIVPPDYRAAAAGQQPARRRWPDRGFDMILSGGGSGGADDQPDGEEDDDYKHMTDAMCRVYSHAPREFTILDRGRRNSVRLHRKGFVELYVFSPGSQYQWYGNYAYVVVGPVMLEPVVLGPGETWQGSQYLRNPNL >Dexi7B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:7B:16521328:16522982:1 gene:Dexi7B01G0008770 transcript:Dexi7B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEVALRSGNARPMPAIGMGTAAIPPVPEAAKNAVLAAIEVGYRHFDTAEAYATEKPLGEAVAEALRRGLVTSREELFVTSKLWCTKCHPDLVVPALRETLQNLQMEYVDLYLIHWPVCIKAGPPSFPAKKEDAMPFDFEGVWRGMEECQRLGLAKAIGVSNFTTRHLDKVMAAATIPPAVNQVELNPVWQQQKLRDYCAERGVHVAAFSPLGGQKWDGGQGNAVMESEVLAQIAKARGKTIAQVSLRWIYEQGVTSIAKSYNMERLKQNLEIFDWELTDEDRLKISQIPQKKVLQGPSFFSQEGEFTSVDPEDLNVVE >Dexi9A01G0030330.1:cds pep primary_assembly:Fonio_CM05836:9A:35314314:35315875:-1 gene:Dexi9A01G0030330 transcript:Dexi9A01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRMVLLHLQVAAVAVLLTATGLHAQLRVGFYDNSCPAAEIIVQQEVSKAVTANPGLAAGLLRLHFHDCFVRGCDASVLIDSTKGNTAEKDAGPNTSLRGFEVIDSIKTRVEQACAGVVSCADILAFAARDSVALAGGNAYQVPAGRRDGAVSRASDTNGNLPPPTANVAQLTQIFGTKGLTQKDMVILSGAHTIGSSHCSSFSSRLSQSGTTAGGSSDPTMDPAYVAQLARQCPQGGDPLVPMDYVSPNAFDEGFYKGVMANRGLLSSDQALLSDKNTAVQVVVYANDPATFQSDFAAAMVKMGSIGVLTGKSGKIRGNCRVA >Dexi1B01G0026280.1:cds pep primary_assembly:Fonio_CM05836:1B:31156351:31159115:-1 gene:Dexi1B01G0026280 transcript:Dexi1B01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEAGVNLGKQMEKEKEKAAADEGDEGTIERSHSINLNTVPPVAVGARSTQENVGTHGVGVSGAKDSITGKSEQSSDADQKKLPKCERVDYESEVEGCENPSDKAALVTVVGNEGHADFRDDERAQVLSIVKKDEPADEVDDPITPVAVAVAVAAYREEKGASAEISTVRPAGSRSSSFHGVTRCAWRVCPSNLKLLNGSKWRYWHRWSGKYEAHLWDSSCRVEGRRRKGKQGTHLFLAVLAGPFYLGSYDTEEKAARAYDVAALKYWGENTRLNFPISQYEKEQEDIRDLSREECVTYLRRHKAERWEMASTHRTETEEEAAEAYDIAAIEIRGKNAVTNFDRSNYVDKGMHCIEGEGLRLLAYKPE >Dexi5B01G0033020.1:cds pep primary_assembly:Fonio_CM05836:5B:33521263:33525525:-1 gene:Dexi5B01G0033020 transcript:Dexi5B01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASSSFTTTELLNSHAAGAARRATSDGRPASGDRWPCLTARDVESPTAGGLHADCRDRCFGFRCWREKDDKIIVVCTDDTEYRYRHYNDLSELSPHRVEEIR >Dexi5B01G0022910.1:cds pep primary_assembly:Fonio_CM05836:5B:25168866:25176219:1 gene:Dexi5B01G0022910 transcript:Dexi5B01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCSGVTWQQDMARCVDRGRSGYVYVSMLAARKEDGEKLGKDSVHRVVRPLAAAAGSLFPAGPWLSPSVAPGKTTATAAPPAMRFATRRAMPSDSASSRRRRLSSSRLPKDTTVAVDDTDSDDSDDDSEETTTSEDESSDDDSDDAEKTTSYGGSNLQRTIGWGRPPKETVAIDGCDFEHWLVVMEGPPGDRSNPDVSRDEIIDSDEKARKKIYSVSTRHYFAFGARISEELSHKLRELPKVLCVLPDSYLDVHNKEYVGEPFINGKAVPYDPKYHEEWVRNNTRVDQRPPNFDRSSVRRDNMENIQNRDVTTDERPRPKSMSPSQPCQQTIEPHYVPPVHHTEDNMPPSPPSPNNGDPPTYQHHVPSPQARADTLSFVQICQQCGAPVHQVGNQDLQDSPDARMRDDNDQVCQRGRSHYQNGSATGQTTLHGANAPPCQSGSAPASYQAQEGHHHHYCNCNVHHHYYY >Dexi4A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:4A:2428259:2431043:-1 gene:Dexi4A01G0003370 transcript:Dexi4A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRKPIKLTLPSHESTIGKFLTHSGTFRDGDLLVNKDGLRIVSQSEGGEAPPIEPLDSQLSLDDLDVIKVIGKGSSGNVQLVRHKFTGQFFALKCFYFNGAISIVLEYMDGGSLSDFLKTVKTIPEDYLAAISVVDQPPPSAPSDQFSPEFCSFISACIQKDANDRSSAQTLLNHPYLSMYDDLHIDLASYFNTAGSPLATF >Dexi4A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:4A:9923063:9923904:1 gene:Dexi4A01G0011710 transcript:Dexi4A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLPWLAWLVVSLLSVYILDLLIHSRRGLPPGPRPLPLIGNLHLLGSQPHRSLASLAKIHGPLMSLRLGAVTTVVVSSPEVAREFLQKHDAVFASRSVPDATGEHAKNSVPWLPNGPRWRALRRTMAAELFAPHRLEALHHVRREKVRELVDHVGRLARVGAAVDVGQVVFTTGLNLLSCMIFSHDLTAFDRQGESKEFQGVCAEIMEVAGISNVSDFFPALAAADLQGARRRMARLFARLHRVFDVEIAQRLRGRDVSEPRKNDFLDQGRH >Dexi9B01G0042260.1:cds pep primary_assembly:Fonio_CM05836:9B:42508109:42509584:1 gene:Dexi9B01G0042260 transcript:Dexi9B01G0042260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLPSASHDPTNDDEACIHAMELLNGFVASMTVKAVIELGLIDDLLAAGGRAVTPEELVAARPWPRPAEAVAAADRMLRFLASHSVVRCTTEVGPDGKARRSYAAAPVCKWLATRKSNGGQGSMAPLALMNMNKAFMETWYYMKDAVAEGVTPTEKAYGMPLFEHLSWDKASNMLFNQAMASHSEIVTKKLLELYRGFDGVDVLVDVGGGTGATLQMITARHKHLRGVNYDLPHVIAQAPIVEDRFFFKKKLIQTVFFLQIKNVCAVVLHLWRDEECIKILENCHSALPANGKVIIIEFVLPSSPETTPAAKGAFNLDVVMLNRLAGAKERTEREIADLAAEAGFSGGCKATYIFACAWALEFTK >Dexi3B01G0027870.1:cds pep primary_assembly:Fonio_CM05836:3B:23616203:23624082:1 gene:Dexi3B01G0027870 transcript:Dexi3B01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQDHGMPLYKDASAPVQERVRDLLGRMTLREKAAQMAQIERTVVSRRALAELGAGSVLNGGGSAPRECDSPAEWAHMVDGMQRLALSSRLGIPILYGTDAVHGHNNVYGATVFPHNVGLGATRWGRCYEGYSEDTEIVRSLTTIVTGLQGQPPADYPHDYPFLASVRENILACAKHFVGDGGTDNGINEGDTICSYDDLERIHIAPYLDCIAQGVATVMASHSRWHGERLHGSRYLLTDVLKGKLGFKGFVISDWEGIDKLCEPRVSRGSDYRHCILNSVNAGMDMIMIPYKFENFLEDLVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLLDMVGCTSILEAIKESVGVQTEVVYEACATEPTVETGEFSYAVVVVGEVPYSESEGDRTDLSIPFNGSDLITRVASKIPTLVIVVSGRPLDIEAQVLEKIYALVAAWLPGTEGMGIADCLFGHHDFVGTLPVTWHRSVDQLPIHAGDGKYDPLFPVGYGLKMFRSYGNSA >Dexi3B01G0029120.1:cds pep primary_assembly:Fonio_CM05836:3B:28138220:28141824:-1 gene:Dexi3B01G0029120 transcript:Dexi3B01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSLASSSHLSRRATATAAGAAAVPSPLQPRHQLLQHSCSARRPQRVRCGSAGGRAAAARTRTPGVCFVVSPSQPGLAAIDVPAATIPNATTIPERISVSSLLEVVAEDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPELREIIDSEFSDTGSLAAAIDLVHRSGGIRRAHELAREKGDLAIQDLQCLPRSDFRSTLEKMVKYNLERIE >Dexi3A01G0029620.1:cds pep primary_assembly:Fonio_CM05836:3A:31799262:31799801:-1 gene:Dexi3A01G0029620 transcript:Dexi3A01G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAPTVDTILRNAVVVTMDGELRVLRDGAIAVAGDRIAAVGPSADVVAAFPRAAQTLDLGGRIVLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVSSSFLVYGHGV >Dexi8A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:8A:7504143:7505411:-1 gene:Dexi8A01G0006920 transcript:Dexi8A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPSVPTCGDLPAAVSAFADAFVDFAVSGIFFPTSTSSSASPPPSPTTTPTTFLPSPSRLVAIGDLHGDLPKSLSAFRLAGLVPASSGSDSPSASTSWAAGPTLAVQLGDILDRGGDELRLLYLLRRLALSAEARGGALRPILGNHEVMNVAGDFRFATLQGFQEFSEWAGWYRAGLAIKRRCGEHLDPQPRNPFLGVPKSFPGVKPEFWDGMRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGEGGDNGRAPEYVRGRDAVVWLRRFSDGFDCDCQRLEGVLGMIPGAKRMVMGHTIQTVGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGAEVRVITTPPSEAWMYRKQEAEKAVVKEKRGEVKDGLALLVRESHVLKEVEAKA >Dexi5B01G0027200.1:cds pep primary_assembly:Fonio_CM05836:5B:28776143:28777756:1 gene:Dexi5B01G0027200 transcript:Dexi5B01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVAVVLSPRIVAPGFRKIDPEQWEFANEEFIRGQRHRLKNIHRRKPIFSHSSHPQGSAPLADNERREYEDEIEKLKRDNDALTLELEKNAQKKIDMERRMQELEDKLIGLEDRQKNLIAYVRDIVQAPGFLSSFVQQPDHHGKKRRLPIPISLHQDANTEGNQTVHGGLMNPPVCRESFDKMESSLNSLENFFREASEAFDISYDDGVPGPSSAVVITELHSSGESDPRVPLPPSRMNTSSAGAGDSVSRMHTSSAGAGDSLSSHDMTETTSCAESPPLPQMQSCTDSRAKVSEIDVNLEPAITETGPSRDQHAEDSPRLAPGANDGFWEQFLTEQPGSIAHQEAQSERRDGDNKGDQVRIGDHENLWWGKKNVEQMTEKLGHLTSAEKT >Dexi7B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:7B:20795574:20798182:-1 gene:Dexi7B01G0014640 transcript:Dexi7B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIGFKVKTTSPKKYCVRPNSGVVPPRSTSDVIVAMQAQKEAPPDMQCKDKFLVQSVIVAEGTSAKDITGDMFTKESGNVVDEVKLKVVYVPPPKPPSPVREGSEEGSSPRHSLSDGSNLNYQEMQTTRESDEPPPLAAVKAQKDQDGFTSETSALISRLTEERNSAIQQNNKLREELDLVRRELSKQNGGFSFVVVAAIALLGILMGFLMKR >Dexi6A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:6A:28543037:28547071:1 gene:Dexi6A01G0021210 transcript:Dexi6A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMDAASIIGYFKGKSILITGSTGFLGKILVEKILRVQPDVHKIYLVVRGIDAKQRVQQEVIDTELFGLLREKHGSKGFQQLMEEKVVALAGDIIYENLGLETPVLEALAKEIDVIVNIAATTNFYERYDVSLDVNVMGVKHLCQLAKQCSKLKMLMHVSTAFVSGFREGLILEKPIKPGESLKEGTYLDIDAELRLAKEVKKELTMSSGDDEAERKATERKAMKELGLQRARHFGWSNTYVFTKAMGEVLLGQLRGDIPVVIMRPSIITSLKEDPVPGWMQGTRTIDTLIIGYAEQKLSCFLADLGFVMDVIPGDMVVNAMMAAMVAHSEEKGVQVIYHSTSSLRNPATYNVLYQSGRRHFYENPRIGKDGKVIPTREMYFFPTIARFHLYMILTYKIPLEILHLVNLLLFGLLSRLYNDLNRKYKFVMHLVDVYGPFAFFKGCFDDMNLERLRLMMSMKTPEDRMFNFDPKTIDWEDYFTHIHIPGVLKYLCK >Dexi2A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:2A:30663171:30672092:1 gene:Dexi2A01G0018480 transcript:Dexi2A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSRTPPPPHLPRGGGAAPTPAPFALLLRRRQQRRRHHHHHRRASLLAPHASLSDILASLPSPLALVGPAALAAAAAVATSLSSWASSSSTSSRTRLPPPSPEDDCSARGDAAGEWVLFTSPTPFNRCVLLRCPSVSFEDGGVLLDGVNERLLTQERHYVNLSRGRIPAARGGDGACDVSYQRICVALEDGGVIALDWPDNLDLDKEHGLDSTVLVVPGTPEGSMERSIKVFVVDALKNGYFPIVMNPRGCGGSALTTPRLFTAADSDDICTVVRFINSKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCVDNPFDLQEATRSFPHHITLDQKLTAGLVDILRTNKELFQGKAKDFNVQKALSARSLRDFDGAISMVSHGFDTLDDFYSENSTRLSVAHVKIPLLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTIFTFQRYTALWCQNLALEWLSAVEFALLKGRHPLIKDVDISFNPSKGVGFVEPQLNGRKAKKGSSFRPQSELILYNNVPHGINGLLVDTVREYSGAQDKENEQLKNYGDIGRESEESSEYVEKGQVLQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTTSVTEILHSRRENFSLDALRRLGWNNVRPITTKTVSQEKLKDSDRIIKDAKMADQNRSSATAGDGDQKDTNITNIDNSGESIELSQGKPLQTSGPVGTATETGSEQTQPNKSEKSNSGTNDGSEEQHKTEQDSETTPKQTSDDQSAANSNGAPAERGHPVDSTADQNPQSHAIEKEGDTIRTSEDKAAHNMDDQSTQVSKREESKPSPITMTQALDALTGFDDSTQMAVNSVFGVLENMIDQFQKQQDENGEKSDENDGGPSMNETESHGKKNMENASSEDMIQSSQQPEDKDDCTFDEENPSLSIVSSGRGKLRYYQENDHLDADGMKQVSGLPDYLLDIAVDSYLKARYAMYLHECLNTQLQLKSPEPNSATDLFLDPQEGKWKIAGQMDSVQNDTSESGRYNGAMEEVSYAGSSQDPSEVNNVIEPLYFIPGNFPDSTYKSDRSKNIVAARSKPGDDLREKLACFIRDELSSALKIEVARKLGIADTRQLERGLANDVERVAAEVSQIIMLNSVLYSAAHVQRSPTTVKFGATHAKYVIEAVATAVQQSQHLRIILPVGVIVGVTLACLRNYFSVGVSKHDDHIKATVKSDILSEDLVVQDSSRANIQDSGQENTNKNIENTLGDNQKDMTRTEGEGMMVGAVTAALGASALAAHHQKNKDENQSAKHDETTQEKGQNNLMSSFAEKALSVAGPVVPTKGDGEVDHEKLVAVLAELGQKGGILRFIGKIALLWGGIRGAMSLTDRLISFLRISERPLFQRIMGFSLMVLVLWSPVVIPLLPTLVQSWTISASTGIIGHACIVGLYVSIMILVMLWGKRIRGYDNPVEQYGMNIWSASRVPEFFQGLVGGVIVVGLVHSISILLGFTTIRTELSSSLARPLDLIKSSSNVVMLVLRGFATATSIAVVEEMVFRSWLPEEIAVDLGYHSAILISGLLFSLIHRYVNY >Dexi8A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:8A:24146954:24148625:-1 gene:Dexi8A01G0013850 transcript:Dexi8A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAKPPPWVCFKWPWGANPILSPDSIPNPSPSPCGDLELPWLFKSVRTLAQGLLIAGDLPASPASAAGGGGRRRGWGRSGAVQVEADRGDAEQRALAAALAGGRPATVLEFYSPRCRLCASMQGLVRELEEQAGGSAGFVLADAEDDRWLPELLHYDIRYVPCFVLLDKHGRALAKTGIPTSRQHVIAGLHHLLKMEQTSGHEGNQSAPPS >Dexi3B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:3B:7797748:7798885:-1 gene:Dexi3B01G0011090 transcript:Dexi3B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFNAEWSASEIKLMKAIIAYHDANNSYANGTSKKGTDDILDELQARFSWKEKHQVTDLYVELVVEMMQAKPNDDNQPMKATSDLVNDNFGLHVEDPAIEDSMDVSLGYLMNDTESMRMVEKAPRRQVAAIPREKRQNKDRTFWTMQEHRNFLRGLEVYGRGSWKNISRSFVTTKTPVQISSHAQKYFLRLGCPTGKQRYSINDVSLHDFEPWRPQNHSSGWEALSFSSSSNNPTNNYGSRASQESSSQAATWARSQQIRGGFLPQQWMYMGNM >Dexi8B01G0009120.1:cds pep primary_assembly:Fonio_CM05836:8B:15728871:15731034:-1 gene:Dexi8B01G0009120 transcript:Dexi8B01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGTCNCITGLASPDPVNFLTSANEYFADNAFTTNHPSPPATDLFTFGGSGLLTIGTLGIAAVAVPSEADDEEYDVVDVDAEPDSDDNDDTFDEEEGDDVIDDGAVTPTFIYPPPPPEVEKKAVAAVEVVIAEKDDNTTTEDDLMVVSAELEKVLGGRNSGVTGAAVTDDFVASARVSFAMGVDCPLQGFLFGSPVSDAESRLEQPRDSNGGGCRTSLGELFMRTRFADEKVALVAVEEVEDAGDGIAGEERDDGKAGKGGGGGGHKTMKKSRVKDGKVAGSEGGTASAAVTKSKFQKILQIFHRKVYPESTAPARSLTKKSRKRGSSGADEPELASSKLRCRKGQRAPGFGCCANRGSFGVAASPVDEDEEELNCSKSGHWIKTDAECECIL >Dexi8B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:8B:24245172:24246674:1 gene:Dexi8B01G0013840 transcript:Dexi8B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGSSSPSSPSRPLRLVLCPWLAFGHMLPYLELAERLASRGHHVSFVSTPRNLARLPPLRRHAGGGVVDLVALPLPRVDGLPDGAESTNDVPSDRREPLWEAFDGLAVPFAEFLAVACAGDGPNNERPDWVIADTLSHWAPAAAREHGIPSVMILNASMVAALGCGARDHGELAATSVFEQPAALGCGRGPAPAGMLRYEWEGLAPMFVASGTSGMSVARRSTLTFEQSVIIATRTCQEWEPEAFLLAARLLGKPLVPLGLCPPSTDGGRGPGMHRDDATVRWLDAQPAKSVVYVALGTEVPLPVELVHELALGLELSEVRFLWALRKPGGIVNDDNVLPPGFEERTHGGGHGLVTMGWVPQVTILSHSAVGAFLTHCGRSSLMEGLLYGHPLIMLPIATDQGPNARHMEGRKVGLQVPRNENDGSFDRHGIASTVRAVMVKEDARRVFVANALKMQEVVADTELHERYIDEFVLKLRSYSTIDNSSSNVTPHIDP >Dexi9A01G0017460.1:cds pep primary_assembly:Fonio_CM05836:9A:12541348:12546465:1 gene:Dexi9A01G0017460 transcript:Dexi9A01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAEAEGGSGGRLESILTGDASSGGPWARRLWAAAAIELRLLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQMFAYGLMLGMGSAVETLCGQAYGAQKYEMLGIYLQRSAVLLCATGVPLAVIYAFSEPILVLLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTATLVLHVLLSWVLVYKAGLGLLGASLVLSISWWVIVAAQFAYIVMSPKCRHTWTGFTFQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAYFSVWVVTVVSTLITIILSIVILCLRNHISYLFTEGEVVSNAVADLCPLLAITLILNGVQPVLSAYVNIGCYYIIGVPLGALLGFVFKLGVKGIWGGMLGGTCMQTAILLWVTLRTDWNKEVEEAQKRLNRWEDKKTEPLLAGVNNGN >Dexi6B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:6B:9981192:9984345:-1 gene:Dexi6B01G0008080 transcript:Dexi6B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSWWGSEEQRGTPVVVKMDNPYSLVEIDGPGISADDKARGKNAKQFTWVLLLRAHRAVGCVAWLAAGFWGVLAAVNRRVRRSRDADDEPDAEASGRGRTMLRFLRGFLLLSLAMLAFETVAHLKGWQFPQHLVPGNLQELEEQLQHLPEQLQHLPEHLRHLPENLRQLPEHLRVPERQEIQGWLHRAYVAWLEFRVDYIAWAIQKLSTFCILLFMVQSVDRIVQCLACFWIKIRGIKPRIPAAAASGKRRGGRKKADVENGDADGDDAEGYFPMVLVQMPMCNEKEVYETSISHVCALDWPRERLLIQVLDDSDDEVCQMLIKAEVTKWSQRGVNIIYRHRLNRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIEALEDSGGWMERTTVEDMDIAVRAHLCGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMQLFRLCLPAVFKSKIPFWKKANLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSILNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSASDILALAEETHVPTRPVTGKLMRGVSEGGLEEWGKLREQEAAEWTNKEDAAAALAGAPATPKKSSKAKKPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >Dexi7A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:7A:18316863:18317783:1 gene:Dexi7A01G0006940 transcript:Dexi7A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIPSHASRSTSCLSASSCSRFVRKRRPCFLGVDGRGGKKFCYQSSPSSSSSSLRCSSPRAGSPPEYTPSMPPPERSASPYYTPGTPEYTPESPSSRFSCSPDYFNGGGGDICYAISSSPRYSPRAASSPEYTPSTPSSQRGASPDYTPSSPSRRAASPEYTPATPEYTPATPEYTPLSPSRCPASPDYTPESPPRRAATPEYTPGTPEYTPLSPSRCSASPNYTPESPPRRRRRAATPEYTPGTPEYTPLSPSRCYASVDYTPESSPMRGWCDTSPDTPSTPPPSPAVSDAASRVSPARRRNH >Dexi1A01G0024880.1:cds pep primary_assembly:Fonio_CM05836:1A:31294324:31296323:1 gene:Dexi1A01G0024880 transcript:Dexi1A01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETLRNKCAACYRQYNRMEHLVEHMKVNYHSVHEPRCGVCGKHCRSFESLREHLIGPLPKVECARVFSVRGCSICLNIFDSNTAVRYHRATCQYTRAAPMPRGGITGRAVALACKMVGGGSDGSVDLCARVCLIGEDENIIFQTYVKPAAPVTNYRYEVTGIRPEYLRDAMPLQVAQRRIKEILCNGEALWKLRPRSYGRAKILVGHGLDHDLERLGLEYPAFMIRDAAKYPPLMKTSKLSNSLKYLTQAYLGYDIQSGIQDPYEDCVAAMRLYIRMRSQAHPRDYSSGSGDAQNNYPAWRQRELERMSPEELLALSASDYYCWCLDS >Dexi8A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:8A:20862539:20865143:-1 gene:Dexi8A01G0011850 transcript:Dexi8A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGCSTRCGNMTVPYPFGINAGCYLPGFILTCDSNSRLLLGDGATGIQVTDFFLQNATLRVVTHAIIAEPMMSRPSINNTWGLGDVVAGPIILSYNQNRFIALGCGVHAKLTDEIEGNFIAECSSSCWGGDPGNWLQACQPGLGAEAECSGNGCCQSPIPQYAATFNARVSELDDKRNYAGILPTVILYIAEQGWIEGVWCRIMGWSANYFPIIPPDELLSTVPVMLEWAMNSTLVGYPGWSPEMDSRCPKDGVESDCKSDHSSCINMNSLYRSGYACRCSPGYDGNPYLIDGCQDINECTDPDHYPCYGECTNLLGTYQCRCPQGSQGNASVMHGCVEVPLLVYEFISNGTLYNHLHVEGPISRAWKDRLRIAVETARSLTYLHSFASIPIIHRDIKSPNILLDDNLVVKLSDFGASRYIPIDQEGIDTAVQGTLGYLDPMYNSTGHLTEKSDVYSFGVLLIELLTRKKPVSYRSSQGFVLVSHFVNLLSEGNLDEILDPQVTKEGDGEVIDIALLAAICVKLQGEERPTMRQVEMTLESIQAAKDFSSDMTDDDVSSERMEI >Dexi1A01G0030150.1:cds pep primary_assembly:Fonio_CM05836:1A:35474308:35475573:1 gene:Dexi1A01G0030150 transcript:Dexi1A01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGSRSRRRRHLRLRKSKGETTVATLPAPRTSDSSAGSAAAAGRRRRARKHAHRGLCFPDGEKDGDSPGGAAGKIQAGPAVPASAAMTTSIPRPRAPDIETFVRSRKLTLILDLDHTLLNTTSLADFSPGEERNGFTSSTTDDPAMGLFRLDAYGVAALTKLRPFVRGFLEQASAMFEMHVYTLGDQVYARAAAKLLDPDGVYFGARIVSREDSIRPDVKHLDVVIGRGADAAAAVVILDDSDHVWPEHKENLILMDRYLYFAASCRQFGYGVNSLAEMVRDEREHDGSLAVTLGVLKRVHQGFFDSAVVDGRPDVREVIRAVRREVLRGCTVAFSRVIPLEDFAGDHHMWKLAERLGAVCATNVDAMVTHVVAMDPSTEKAQWARNNNKFLVNPSWIMAASFRWCRPNEQEFPVTRGG >Dexi4B01G0003620.1:cds pep primary_assembly:Fonio_CM05836:4B:2507061:2507378:1 gene:Dexi4B01G0003620 transcript:Dexi4B01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASAMRGGGVQAQPLVPAVISFSDATVDVGNNNWCRGCCMQRGTRLGELLAAVPQPAGIGEEPHHRRQLRLGGVQLPCVEAHFCSSASTARPSLLATPASNA >Dexi6B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:6B:8689723:8690844:1 gene:Dexi6B01G0007460 transcript:Dexi6B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPGAMALLSPLSSLLVLPLLLLVAMEARPGVATLLYDQIDITWGGDRSFFYMEQEGVDVLALCLEQTNGGSGFTSKDAYLFGRFDIDIMLVANNSAGTVTTFYLMPDDVPWPYHDEIDLEFLGNSTGEPYTLHTNVFVNGAGGREQQFRLWFDPTTDFHTYSIEWNPKHIIILVDGTPVRVFKNDAARGVPFPTWQHMKLQGTLWNADQWATQGGRVKTDWTQAPFFAYYRNLRVTPCAPSPGVAWCGDEPRESTWFERRLDVAALRKAQEEHMIYDYCVDEKRFKDKGLPKECTTE >Dexi3A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:3A:13424305:13428870:-1 gene:Dexi3A01G0017590 transcript:Dexi3A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKIGEEVWLTCLSHALTTETEEVMGLLLGDVEPSSKGGGMTAVIWGASPQMRCERKKDRVEVNPELLAAAMTATIKKTTRVIGWYHSHPHITVLPSHVDVRTQGMFQLLDTGFVGLIFSCFSEDAQKVGKIQVIAFQSQGGQYRSPLPLAMVPVPVIDLESSRSSSDHSAFEGIELDTGDSRASKNSKAWGRSQDMDFYSHPDTNHTAKHQPRENAIVPYNPDNTQEASIDLYDSDMTPSIQEALHRSNMDISSNYGAFLLLIVKIPSFPHMGVFPPNSGAEYVRKEVQLIVLPTRHLLKLDTAMTSYCDMQRVLFEEEQSAYNQAMQQNSCLSPAITALQDRLKENELRVSMLITCIL >Dexi4A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:4A:8369548:8370393:1 gene:Dexi4A01G0010460 transcript:Dexi4A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRVVLRRFNKVGPLATATELCDAAAELLATAIFVFAAEGTTLAGRLAHRGVRGGDGLRHRVRLLRHGHGRPPDEGRGLAVGLLAGANVLACGAFDGAVLNPACPFGPAIMGSRRLANHWVYWVGPMLGTSLSSVLCDLCSTPASPTSSATASSTASSFDSSTLCLSIKTTNCMQRG >Dexi1B01G0030080.1:cds pep primary_assembly:Fonio_CM05836:1B:34163480:34164956:1 gene:Dexi1B01G0030080 transcript:Dexi1B01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPTTCEIARLPEDLLAASIAGTTPRDACRAAAVSPTFRAAADCDAVWDRFVPRDLPPLADGELSPAPPSKKALFMRLADGPVLLADGLTSMWLDRETGIKSYMLSARSLCIIWGDTPQYWRWIPLTDSRFTEGAELRAVCWLEIRGKIPCNILSQNSGYAVYMVFKMSDESYGLQYPLQEASVTIGESKISRQVCLDGYQNEGEDDEEEVPQNYRSLRVPAMRLGLRRRNRRVPHGVVVHFPKKRDDGWMEMELGQFNSEDGEDGEVSISLMETRGGNWKKGLIVQGIEIRAKK >Dexi3A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:3A:8160526:8161194:-1 gene:Dexi3A01G0011400 transcript:Dexi3A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISINEQKTPAKTQNEPPSRMQKTEPASRGISIWDEATASSRRSPHAGARPPSPAGVGENRNKSNQIKANRRCELDFRAEREGEELRGGPRRTGVTAGCMPRARAAYGVPAPPAPPRRASSSTSSLTARRREQQRHRGSATAAALPLAHLSSMVRAHKKSGHGERARPGQRVEEEQEGPLPPGAERPPLCCAGLCCCCWWRATMGKGEITRRWDGCSLLETA >Dexi9A01G0040420.1:cds pep primary_assembly:Fonio_CM05836:9A:44169858:44170227:-1 gene:Dexi9A01G0040420 transcript:Dexi9A01G0040420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDEAQAPLLQTAEEADGEWSSRPRRIALFVEPSPFASVSASSSQALSSDASLIGIDQIELLGSRLHLGVQEPVPELHQASTRDGR >Dexi2A01G0029910.1:cds pep primary_assembly:Fonio_CM05836:2A:40900643:40902373:-1 gene:Dexi2A01G0029910 transcript:Dexi2A01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEWEPREEDAYEFEFDLENPFISAAEEPIASLLDAEGHHAPSVSAVASAVRRDAAGFISKVRFGAELAVQPRVAYLALNYVDRFLSKRQLPYEQQPWAPRLLAISCLSLAAKMQRVADFSIADIQSDEEFPFEEVNVRRMEQLVLDALEWRVRSVTPLAFLGFFLSAFYPPPRHPLQVSAVKARAVDILLCAQPEVKMAEFSPSVTAAAALLAAAGEITTANLPAFQAGFVACPFDKLRECGEMLTVVCGVGPGRAAASVDTPVTVLGHYRSASSASESDWTVGSAANGGGNDAKRRCMGPPSQWG >Dexi7A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:7A:25625252:25626022:1 gene:Dexi7A01G0015760 transcript:Dexi7A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQSGGMGATMPTGNRSDRRACPSARSRGRGQKSPSSLLPPSGAQSCTQKLYDLTGRSSAAGCELEGKKGKNQKRAGEKRRRSETRKRERGTGQHGRTLMGAPVLHPHRHVKEGGKAGDYPAGLPDRDHLARTSLRRRERKLLRCLLGSGGSRARWERDAGVLLGEERGGGEVGGARAAAASLASS >Dexi2A01G0022160.1:cds pep primary_assembly:Fonio_CM05836:2A:34027411:34029479:-1 gene:Dexi2A01G0022160 transcript:Dexi2A01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNSNDGGSGRFDVIVAGAGIMGSCAAYAASSRGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMARLSRRLWEEAQADAGYRVLTPTPHLDMGPRDDPDFLASVRNGGAVEVADGGPWKGVFRVPEGWTAATSELGGVMKATKAVAMFQALAVKKGAVVRTGWRTASGEEFQGAKCIVTVGAWTSKLVRSVTGISLPVQPVHTLICYWKIKPGHESELTTEAGFPTFASYGDPYIYSTPSMEFPGLIKIAKHGGPPCDPDSRDWATGCVDLAEPVARWIDAVMPDHVDTAGGPVIRQSCMYSMTPDEDYVIDFLGGEFGKDVVVGAGFSGHGFKMGPAIGRILAEMAMDGEARTAAEAGVDLGPLRIDRFVDNPKGNLGRKPGGDQGK >Dexi3B01G0023290.1:cds pep primary_assembly:Fonio_CM05836:3B:18082101:18084241:-1 gene:Dexi3B01G0023290 transcript:Dexi3B01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHELLRAAATGDMALLEQVLGLSSDDTAGGKLEADDAQQEMSRRCLKGVTSEGNTALHTAAGRGYMELVRTMCVVDASLVRTRNNLRNTPLICAARAGHVDVVCYLIDRAGLEAASVVVSSSDEGSMLRARNSEGATAMHEAIRNGHGAVLEKLADCGLAAVVDGKGFSPLYLAAALGRADMVDVLIGGPAVDHGVKSPAYYAGPDGQTALHAAVLVSEEMSKSLWRWEPTLAKKVDNSGNTALHHAASAGKIGAVKLFLQGDSSLAYIPDVDGLFPVHTAAKMGKIDIIELLMEACPNSDELLDNRGRNVLHCAIEHKKEKVVQRVCRNPRFGRMMNARDGAGNTPLHLAVKHGCDRMAMLLMQDAKRVANLSVMNNDGATPLDVAINELDHGYTYPMNPEVLIAKCLVWCGAHRSPRRRDEDLNRRTGVRGSDRELSKYDKLTQNRAIGSVLIATVTFAAPFTMPGTSTAERPAFWAFILSNALAFMCSTVATCLLMYAGLTTVHPRYRSRYHVWSSNLLHVGVLLVIATFAFGVHLTLSPPGTAGIPVAVGNLNAAVCAMACVSVVFAHPGTTWWPVVLARPIWARLGLKGLLGVLLGPRPIPCRKLLLSRTPWLNLFKMLATLLILALILATFLWDMAYLQRAATQHGSPSTLLLESQCQFYSFPT >Dexi5B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:5B:875218:876881:1 gene:Dexi5B01G0001350 transcript:Dexi5B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMRSSLYGAAVVLVLLSPWAMASAADGRRYDSIFCFGDSFTDTGNNPAVFAWYSVVDPVTRPPYGSSFFGHPTGRNCDGRLIIDFIAEGLGLPYVPPYLGPPFGSPPAAAAQGFRQGASLAVGAATAIDVGFFHERGLVPAPSKFPLNTSLTVQLQWFDSLLKPTLCGTTQECADLFGRSLFFVGELGVNDYLFSIGKMTAGDIISSIVPSVIGSIRQAIERLIEEHGAKTLVLPGVIPLGCSPPVIDMFADPDPAGYDSKTGCMLKNNEIAQRHNTLLQQSLVEIRGNHPGVNIIYADFFTPVMEMVESPTKSGFRDDVLTVCCGGPGPHNFNITVPCGDAEATTCSQPSASIFWDGVHFTEAANRHIASSWMSSIIN >DexiUA01G0019110.1:cds pep primary_assembly:Fonio_CM05836:UA:39836784:39837119:-1 gene:DexiUA01G0019110 transcript:DexiUA01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDERDPMGSGCDAAGEHERPVEAVGAGEEALEGDGGWRRIGVVVGIIEGRLCVVVGRRCAVPSEAEVCGVGGGRLRRLGGLGDGSEGLDVGRGPAEEPPRDHGDELSC >DexiUA01G0009830.1:cds pep primary_assembly:Fonio_CM05836:UA:19359072:19366315:-1 gene:DexiUA01G0009830 transcript:DexiUA01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAFITPSMVTTFRRWFCHAIASPPPVRSAGHRHLEHSIQPPSKQAVEHHPSNRLHHTSNPAPNLFFSGSPPSLVHILNTNTTMTYEGVGRRKMVSSVRRLAKSREAAQPHPPAPMGVLGTAMEEE >DexiUA01G0024010.1:cds pep primary_assembly:Fonio_CM05836:UA:49157262:49158916:-1 gene:DexiUA01G0024010 transcript:DexiUA01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTISLLQKCKQEKRRFATITAYDYSFAKLFAEEGITVMLVGDSLGMTVQGHDSTLPVTVEDIAYHTRAVRRGAPACLLLSDLPFMAYATPEQAFENAATVMRAGANMVKIEGGAWLAETVKMLTERAVPVCGHLGLTPQSVNIFGGYKVQGRGDAAQTLFEDALALEAAGAQLLVLECVPVELAKRITEALSIPVIGIGAGNVTDGQILVMHDAFGITGGHIPKFAKNFLAEAGDMRAAVRQYIADVESGVYPDVYPQGTEDATYVDVPGISTMLEGASRPGHFRGVSTIVSKLFNLVQPDVACFGEKDFQQLALIRKMVADMGYDIEIVGVPIVRAKDGLALSSRNGYLTADERKIAPGLSKVMNTMAEQLVAKELSAEEIVALAEQALNDKGFRADDIQIRDADTLLELTDTSKRAVLLVAAWLGQARLIDNKVVELA >Dexi9B01G0037660.1:cds pep primary_assembly:Fonio_CM05836:9B:38983148:38983891:1 gene:Dexi9B01G0037660 transcript:Dexi9B01G0037660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTCSVKNSHSPSLYTGAFTSSYTHSPRYRSHVSGTLTTVLSAAVSAAAFADDAFSAASSSARLLASSAPPPPVTVGSVTSLSFSCTTSTTAAALPLEVAVAVAVAVELEGSLEDADDDLLAALGEGVDGGVGGGLGLLEEVEVGGLGAGGAGGELERNVAKTKSANTSAASDSGAPFLRAATPAPSAACFIANGADANTDLRRCCISPGGGGLRARARARFIRTNSPVR >Dexi1A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:1A:22729066:22730899:-1 gene:Dexi1A01G0015610 transcript:Dexi1A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDSKGGMGGMNMVTTAMAFSVSAFFVIFVFTRLLCARLHLSRAAAANTDAFVVNVERGIHGLEPSVVTTFPTLKLGDGGQQRPEVQEESQCTVCLEEYEAKDVVRVLPACGHAFHAPCIDAWLRQHPTCPVCRASLRAKGNRATPTPPIDYSLLVAGAAATAAAPQIPAPSPDDITASPEGAPDRTVDMGADGRLEIVTEEPGDQSSAAATPGGGGGGGGHSPCAETARQSGSGAGVSEH >Dexi1B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:1B:3713920:3717508:1 gene:Dexi1B01G0004640 transcript:Dexi1B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKQAIKCTRTRLDLLRRKKQAMVKFLKKDVADLLFNGLDSHAFARMEGLIVEINQASCYDMIEQYCEYIVKQLNNLQKESECPQEALEAVSTLIFAAARFPDLPELCDLRHMFTEKYGSSVEPFVNSEFVQKLQTKSFTNEEKLQVMKSVAEEFSVPFDSKALEWKITCGTQNKHDLPKKSSIKREVEASARNGHKIDRHAVNEKKSNPVPEVHGQKQEMKAKPNDIHVIPDGIGQLSEKSRKNYSDKPSEKKQMDNSLPPLDMKERNGQKQMKKYDKKDDHPRRELRNAEELDLNGLKKQDVSMVKPSGGPDRSWGHADWGLKTLTPEKQEIDSSCTLNGKTVNKAPPYSKPYKAMNEMSAEENSNNLYDRPKHAGVPEKAASMRPPYVRPNFEKHTGAEKIANLKGELIYEPVSVRSRSAKPPAHVEDYAGTAYEEKMANQAPDGRRRHSSKRNGSYDDYDQKDGHVLPREGMGLNDDINNARPFHRIPSERRKHRSRRNGSTSGSDYIDDHESDEDESNTAIDFGNLLPRAPSSHRKHRSRSADPRKGGRDDEERMMDKLLMHYSKKGLEREERKERVKSRIPRPRADQPAGAAEEGPSAHRPERAASLPPESASPKSKPKAPVRSLSMQPEISRGNAHPPDFDELAARIIALRNA >Dexi8B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:8B:1611483:1613972:-1 gene:Dexi8B01G0002380 transcript:Dexi8B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMDSAGSSVATAALAPNGAAPASDDDGDPEVASCICISSMVYRGASVESHRLFLARRTALEMLRDRGYSVPEADLARTLPKFRAWWDDNPELERLAFSTTLATDPSNKVKVVFCRPEPVKIAVIRELYQGVKDDNLSRLILILQSRIMSKARESIKEIFPFKVDIFQITELLVNITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDSELTGNHVTYRCIF >Dexi3B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:3B:3305193:3307979:-1 gene:Dexi3B01G0004980 transcript:Dexi3B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVGLVVPHALLAAASRALAPSRARLPYPPLRFPSYRRPASSSAAASPSRLLSRPRRGFQRLPPVRAMASGNPYAAELAAAKKAVTLAARLCKTVQQDIVHSGIQSKADKSPVTVADYGSQILVSLVLKMEVSSGLFSLVAEEDSEELRKDGAGEILEEITDLVNETLVDDGSYNISFTKEGILSAIDDGKSEGGPSGRHWILDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLSSISNANGSSSGDQVGALFSATIGCGTEVESLYGSPAQKVTVCSIDNPVDASFFESYESAHSMHNLTSSIAEKLGVLAPPVRIDSQAKYGALARGDGAIYLRFPHKGYKEKIWDHAAGSIVVTEAGGIVTDAAGNDLDFSKGRFLELDTGIIATNKQLMPSLLKAVQQSIEEKQQATSLL >Dexi9B01G0025300.1:cds pep primary_assembly:Fonio_CM05836:9B:25512462:25513853:1 gene:Dexi9B01G0025300 transcript:Dexi9B01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHSFSRLLLVFSVLALCLGNQGVRCQLSYGYYDSTCPQLYTIVQQHVFAAMRAEMRMGASLLRLHFHDCFVNGCDASILLDGSDGEKFARPNLNSVRGYEVIDAIKADLESNCPEVVSCADIVALAASYGVLFSGGPYWDVPLGRMDGLVANQSGANNGLPAPFEPIDSIIQKFDAVGLNTTDVVVLSGAHTIGRARCVSFSNRLSNFSETDSVDPTLDASLAESLQSLCAGGDGNQTTALDVSSPDMFDNNYYKNLLVQKGLLSSDQGLFSSPEGVANTKDLVQTYGDDGEQFFYDFVWSMNKMGSIPLAGSEGEIRKNCRVVN >Dexi9B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:9B:5972018:5986892:1 gene:Dexi9B01G0009690 transcript:Dexi9B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYAKSVAEVLAAFGVDRTKGLSDSQVEQHARLYGKNGDFYPGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLSAFLEPSVIFMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVGGESCSVAKELESTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPEGFIYDASGLQLEFPPQSPCLLHLAMCSALCNESTLQYNLDKKCYEKIGESTEVALRVLVEKVGLPGYDSMPSALNMLTKHERASYCNHYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESIMAKCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPAGKQSICYDDEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFAGYSYTASEFEGLPPLERTNALQRMVLFSRVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLIPVQLLWVNLVTDGLPATAIGFNKPDGNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFIWWFVYSENGPGLPYSELVNFDSCSARQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIRPWSNMWLVGSIILTMLLHIAVLYIEPLSALFSVSPLSWAEWKIVLYLSFPVSTDSTLTCYAIQLM >Dexi9A01G0045360.1:cds pep primary_assembly:Fonio_CM05836:9A:48932727:48938843:-1 gene:Dexi9A01G0045360 transcript:Dexi9A01G0045360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEAVTEGRIRSYEDFARVHAYLLAAAGVPPSLHERLYRKLADEVFDGGEVFAVEPCEGGRQRRLVLAAEGTLGRESDVFLVDHAWSFRLPDALKQLREVPGLAERMAALMCVDLDRRIETEDSDEQDGERSGSLEHVLQIVEKERASIEERGSDSAAWLELEELGIDDDMLVALDLSTKFPNMVALNLWGNKLQDAEKVMQEIRKCPKLKALWLNENPALGKGSELNLSFSSAFSPDKFPSLSKLNIRGNPLDQISGEDLLKLISGFTQLQELEVDIPGPLGNSAMSILESLPNVSLLNGVNSLSIIESGKHIVDSALQPRLPEWSPQESLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDIANFRIAPFLFMPEGKLATAISYTILWPTHDVHTGEECTRDFLFGIGEEKQRSARLTAWFHTPENFFIQAILIFDTSSGIGGWGTTDPKEADIIWVSIQVDSEVKNALGLTDQQYTNQFPFEACLVMKHHLAETIQKAWGSPEWLQPTYNLETHLSPLIGDYCTRKRDGTDNLWILKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIERPVLFQGRKFDLRYIVLVRSIRPLEIFLSDVFWVRLANNPYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHESIRSMIRCVFESAAAVHPEMQSPSSRAIYGVDVMLDNGLKPKILEVTYCPDCGRACKYDTQAVVGDQSTIKGSEFFNTVFGCLFLDEQTHVSPL >Dexi3A01G0029720.1:cds pep primary_assembly:Fonio_CM05836:3A:31997114:31998210:-1 gene:Dexi3A01G0029720 transcript:Dexi3A01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPHRHAHGPAAVIVLRLSIVIRSSHSNQSPPSSSSPPRHRILAISVLRTPMAQDPSHPHRQTKDTAAPPPEQQQPEIAPQPAPPPPPAPPGDVQEPSTSGSSGSDAEGSSWLQLGIGPSSASSPSPSSSSRRKRQRTTTDDVAGPSTSVHPVPPPPPTQLQLSLQPGPSSSSSSQAAAVAAAPPPPAHEAGTWFLLRAAQNQRREPPLPQIPRSYLRVSRDGRMTVRVVMRYLVNKLGLDDDSQ >Dexi5B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:5B:22773126:22776666:1 gene:Dexi5B01G0020570 transcript:Dexi5B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVHGTMCNELSLVLDKVSSVLPSIEDAQPGCKAGVEELCNLYNIVDKGKLIIQNCIECSSLYLAITSEATAMRCERIRNSLRRSLFLIQNMVEQLLANEVADIHNDLRDVKFIVDPIEEDAGEVILEMLRQSEVPNSFV >Dexi8B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:8B:15312401:15315368:1 gene:Dexi8B01G0009050 transcript:Dexi8B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATGDESMLTREQLLHLFSRFSFLTSLPEVKQRIADAFRDKQEAVAVTTEIQEEILREMGIDPRLGIGSLGKVNTVYENDKDLMVKFYQFVAKEEMAIDEAELQPREMAEKLHAQQILHEQQLNMLVEMRKYSAESQSVILGILRKQLEEANFDVNASIFSPEQIQEIIQK >Dexi9B01G0037550.1:cds pep primary_assembly:Fonio_CM05836:9B:38921537:38923254:-1 gene:Dexi9B01G0037550 transcript:Dexi9B01G0037550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDGGGCSSASPPPSASLGEGAATKEEERGGKQVVVVLVGPPGSGKSTFAEAVVAGSNAGRPWVRVCQDTIGNGKAGTKIQCLKAASDALKEGKSVLIDRCNLEREQRADFVKLGSTLHADVHAVALDLPAKVCISRAVSRKGHEGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMSCNDDGDIKKAVDLYSSLGLSDSLPSGTFGQKSKGPVQVGIMKFLKKGDTSNGPKITLSDSKAGQQNPLPKHEKVEARSTCSMEVQKGLNDKKENEDHAKESDSDYVGSRTLAFPSISTADFQFDLDRASDIIVDTAADFLQKFDDLRLVLVDLSEKSRILSLVNEKAYKKSVDGNRFFTFVGDITQLHTRGGLQCSVIANAANW >Dexi1B01G0025500.1:cds pep primary_assembly:Fonio_CM05836:1B:30526834:30527218:1 gene:Dexi1B01G0025500 transcript:Dexi1B01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQPHSHEALFAAVRSGDAATIRALVADAEASGTSLAALAGEAATRVAADAESEEVVRLLLSLYDFKAGAVRTRGRDLDAFYLTAMQSHTDGRQLI >Dexi8A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:8A:20065480:20065797:1 gene:Dexi8A01G0011370 transcript:Dexi8A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGTAGRPPASRPSGRYPSCTAFGCGRPGLLDVDLAMGYLPSLEDINVELWYRRIDDEEEELVAAAEEGALARCEERTAWGRKEMKKRKKEKENGGTHGWERG >Dexi9B01G0048690.1:cds pep primary_assembly:Fonio_CM05836:9B:47572096:47577160:-1 gene:Dexi9B01G0048690 transcript:Dexi9B01G0048690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAAWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHARGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACDDPHRTPSSSASMGKFCNIATDLLGQATEEKFPPQHRAYVPCNIRLGASTTHETVAATSWIHTCRFGGDDDGEERADDQVCADVPGPSDGKVREEGFCLDEVEDANTATVRWGSLALAARRSPGSDVWALAAPVYGNAPTRASDASDPHRTPSSSASMGKFCNIAADLLGQATEGKFPPQHRAYVPCNIRFHNITRPLPQHHETVAATSWIHTCRFGGDDDGEERADDQVCADVPGPSDGKVREEGFCLDEVEDANTATVRWGSLALAAPAGSDVRALAAPYHLLANSPCSEDPVIHVDTSSFAQLPPQLSQGKLSCSSSLPPPHSPLPLLSLFPLSSWEETPRFLHSGPCYETMAESVTPIHLRPPSHRRVWPVFGYFYPADGGTGME >Dexi8B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:8B:4703312:4704807:-1 gene:Dexi8B01G0004960 transcript:Dexi8B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQLPDDVLAGVLRRLAPRCLAASRCVCRASSPAPPSAAAAVSGRLDYTVPGEPDRMPALYVHDHCNGLLLLDDCVVNPATRRWALLPPRPDDLPQPVHPGMDVSTDEYLVFDPTLSPGDFELFIVPSVPYKLSTVDECEASEWPPSTLILSVFSSKTCSWEERAFGREGEAAGTQHIQHSDEDTDADDNGAIVEEKVEENYEWDSDNDNVLEPGSRSKDSCIDFLGFHPYKEVVFLTEKFDRVLAYNWSSSKIQDLGKVFPKFYYDYDLQFFHRYVKASFLYTPCLLGELPEKQNLEAQQLED >Dexi9A01G0045370.1:cds pep primary_assembly:Fonio_CM05836:9A:48941689:48945004:1 gene:Dexi9A01G0045370 transcript:Dexi9A01G0045370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPPPHGAASTLLALLSLSLLLLRLLLRLRLAAFRDAALSLHLLARLRLRPVHLRISATTTLRVWCPSSPSGKPPLLLLHGFGGDAKWTWARNLHPLSRHFHVYAPDLVFFGAHSRSSSPLRSVAFQARCAADAMRLLGVSRYDVAGISYGGFVAYRMAAAEARDAVGRLVVMTSGVAAAPGEMREMAAREERTVEEALLPKNAEGLRFLVRRSMHRPPPWMPDFVLDDFIQLMCVDQRKERAELLQELLKNGAGFDPLPVLTQETLIIWGDKDRVFPVDLGHRLQRHLGGNTRLEIVKDAGHALQLEGAEHVNKYIKSFLLDERRAGPGVAVAQK >Dexi4B01G0022310.1:cds pep primary_assembly:Fonio_CM05836:4B:23967371:23971272:-1 gene:Dexi4B01G0022310 transcript:Dexi4B01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAARASAPGSTIAAFHGHASPSRALPLAVSAAAAASSSSSLTATRRYHHGSACCFAAKPTTPVAVDQDVSEVATASAASTEKPRKKRRSRKKSATAKLEEKDGDGAAEKPPAAAAAEEDEAKKRKKEAGVEESARALVAGLDDVIVNPVGLGRRSRQVFDEVWRKFSRLAQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDIVVGDVGDPATVKAAVSGCSKVIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNEMAQLRAGKSSKSKLRIAKFKSAKSLNGWEVRQGSYLPNTFASRFDEGIDATFEFSEDRKAVFSGFVFTRGGYVEISKKLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMATKAGFCRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNDSDPRNFELILEYIKALPAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGNELYELVAHLPDKANNYLTPALSVLEKNT >Dexi2A01G0022950.1:cds pep primary_assembly:Fonio_CM05836:2A:34716846:34722170:-1 gene:Dexi2A01G0022950 transcript:Dexi2A01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALVSDAAAAVLVVLLLGAVVPAVPAEVVTRADFPPGFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHDGYSIDSATGDVTADQYHKYKALQDEYNGLLNPRFIEDFTAYADVCFKNFGDRVRHWSTVNEPNIEPIGGFDQGILPPRRCSFPFGFACDEGNSTTEPYIVAHHLLLAHASAVSLYREKYQAEQGGKIGLTLLGWWYEPATETTDDIAAAARMNDFHIGWFMHPMVYGDYPPVMRKNVGSRLPSFSDEDRKRVTGSFDFVGFNHYIVVYVKADLSRLDQKLRDYMYDAAVAYDMPFLKLNNQFTFGLTNDFMTSTPWALKKMLKHLRVKYKNPAVMIHENGAAGQPDPSGTNTYDDEFRSQFLQDYIEATLDSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGVYGVDFNSTARTRYQRHSAKWYSSFLRGGELRPVALPEGAYSE >DexiUA01G0006670.1:cds pep primary_assembly:Fonio_CM05836:UA:12900234:12901084:-1 gene:DexiUA01G0006670 transcript:DexiUA01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTILLLLLILCAARFDGAMADQYWTPATATFYGGSDASGTMGGSCGYGNLYSAGYGTQTTALSTALYGDGAMCGACFLVTCDASRTQYCKPGSPSVTVTATNFCPPNYGDPDGWCNSPRQHFDMSQPAWETIGVYQAGVVPVNYRRVPCSRSGGVRFGISGHDYFELVLMTNVAGAGAVAAAWIKGGDGTEWLAMSRNWGQNWQSGVYLDGQSLSFKVQTDDGNYVTAYDVAPSNWQFGCTYQSSVNFY >Dexi3B01G0022000.1:cds pep primary_assembly:Fonio_CM05836:3B:16866481:16868888:-1 gene:Dexi3B01G0022000 transcript:Dexi3B01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLRRLLGFSAAVSGGLRCRLLSTAAAHQPWAMIYLTMLVDSPAPRASLQLAAPPCASNILVPAHLVDPPPLPDPDSDTVRLSFGGLVRAASADGLLLLQFTDFCGTAPVVARHGSDRTRALVGIHRDPDTTRFVLNPLSGQIVRLPDIDGTKKTMYCDDIGILTQSESPHWPPGRYAVAILNEDEDDDGGKQRFVMRRFLSETGKWDKLAALPSPLPLGRRMSISQEAVAFAGRLWWVDVSCGAVSADPFSDRPDLRFVELPKGSVTEPVEEEHDLGRYRRIGVSEGRLRYAEVSQQEPFMLSSFVLDDDGGNGWKLEHQVVLSRLSAVEHISWRETPRIGVVDPLNASVMHLTSGNLVLAVDMGREKALGCSIILGDAPDSLTGFLKPCVLPPWLGSCKIPNAAV >Dexi1B01G0021520.1:cds pep primary_assembly:Fonio_CM05836:1B:27400356:27402428:1 gene:Dexi1B01G0021520 transcript:Dexi1B01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALLGAERSGAADLGCGKALFLPEGKGKAKAKAVVEPRSVLDCTRSPSPNNSTSTLSSSLGSDISAAAAAAEATKWGASGEHGGGGNEDWAVGCELPPIPAGLDMGLVGGDSWDTVLGSAAAAAAAAGQDQTFLNWIIGAVGDRDQPGPPLPVHQLLDNAGFAFTAADPLGFSLDPHLGGVASDMWSPDAVSHTTTCGGGSKVSSTGHFSTESVSLHPPPWPPVVFHEGIDSKPPLLGAQPPATPFFMPLPSFPDHNQHATILQPPPKRHRSMRDELYLTINQAAAAGHGLLFPPQHGSAPFQLQPSPTPPHGAMKTTAAEAAQQQLLDELATAAKAAEAGNSIGAREILARLNHQLPSLGKPFLRSASYLKEALLLALADGDHGACRRIASPLDVVLKLAAYKSFSDLSPVLQFTNFTATQALLDQIAGSTASCIHVIDFDLGVGGQWASFFQELAHRCGASGAALPFVKLTAFVSAASHHPLELRLTRDNIAQFAADLGIPFEFNAISAETINPTELISTTCDQVVAVVLPVGCSARALPLPLILPLVKQLAPEIVIAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDALSIDVDTACKIEKFLIRPRIEDAVLGRSKADKPMAWRSAFAAAGFAPVPPSNLAEAQADYLLKRVQVRGFHVEKCGVELTLCWQRGELVTVSAWRC >Dexi1B01G0027530.1:cds pep primary_assembly:Fonio_CM05836:1B:32233430:32234434:-1 gene:Dexi1B01G0027530 transcript:Dexi1B01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRSTQPPPSLLPPPAASSEETASSSGSEESEEEEEIAHSPPPAAPENTTMLLLKGHESEGSEEEEGEEEEEEEEEEEEEEEKLNHVAPPPATKNPSLPPPKREESETSGDEEEETGNDEQEETENETTQPKPAPIQEAEGKGATSGEDKKAGAPFQRTWSTDDEVRILEALAAYRREHGTLPQVDALATALAGSLDNSSCSLKVLDAKIKSLKRRYTSASQKGEQPSKDHDRLLYELSKSVWGHMAAVANGGAPRDFDEMCELYPYLAEEVKALQRSNQGLFKREFAMMDEDKARSLDAKIKKQRMLQLRLHNRRHDLTKEVTKTLIELVD >Dexi1A01G0026820.1:cds pep primary_assembly:Fonio_CM05836:1A:32691666:32693035:-1 gene:Dexi1A01G0026820 transcript:Dexi1A01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAIQGMTLSTGATALASHHHGAVSSVVSTSSYALTASAAFPRARATLAVGATTSAVVTPVLDVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPPRLFAPPAPPRKDQFDDGEIIPIEIDEDILE >Dexi5A01G0027080.1:cds pep primary_assembly:Fonio_CM05836:5A:30644538:30647367:-1 gene:Dexi5A01G0027080 transcript:Dexi5A01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARCPLPEIPNTPPPRPRTWHPRITALAHSTIPAPTPARPLPIPLRASALHLTVPTRRREHGDGPGRMACAATMPIDLSPVPTAVAGELKAVEEEEEVEEVVEVEGGECGGGAVVVAAADAEGHPYDFHVSGPRNLPPPNWKEIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDDRDGSIYGAVLEWDRSSALSDFILIRPSGAPRAVLALRGTLLQKPTMKRDLQDDLRFLVWESLKGSVRYIGALAALKSAVEKFGSANVCVSGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLKEVATAPLDSANEEASDKKKLRAEKKWVPHLYVNNSDYICCHYNAPSCSSTDGASDEQQQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLQQWWSDGMELQLALYDSKLINRQLKSIYTTAAVSSPAKS >Dexi5B01G0025030.1:cds pep primary_assembly:Fonio_CM05836:5B:27115522:27119234:1 gene:Dexi5B01G0025030 transcript:Dexi5B01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQGSAAVAAAARVDLCALDVIPVFAKEMIAGGVAGAFSKTAIAPLERVKILLQTRTNEFGSLGVFKSLKKLRQHDGIKGFYKGNGASVLRIVPYAALHFMAYERYRYWILNNCPSLGTGPVVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNGSDQLSRALKRASPQPTYGGIMDVFRGVYSEGGMRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEEYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVVPSVAIGFTAYDTMKHLLKIPPREKKSSGHGPA >Dexi9A01G0039670.1:cds pep primary_assembly:Fonio_CM05836:9A:43535973:43538297:1 gene:Dexi9A01G0039670 transcript:Dexi9A01G0039670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQRVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEEVKRKVEARNALENYAYNMRNTVRDEKIASKLPAEDRKKIEDTVEDAIKWLDGNQLAEAEEFEDKMKELEGICNPIISKMYQGAGGAAGMDEDVPSGGAGNGGGSGAGPKIEEVD >Dexi5B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:5B:5930470:5931606:-1 gene:Dexi5B01G0008750 transcript:Dexi5B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASWAWACGCCCVRRRKGGGETGGGAGASGRAEEGDEWSLFIELPVLEAATDGFSDDNLLGRGGFGPGVLQDGQQIAVKKLSLRSRQGVQEFLNEVRLLLKLQHRNLVSLLGCCASSGQKMLVYPYFPNGSLDHILFSKNSSAFTNQFTAAMYLCTACTL >Dexi2A01G0020920.1:cds pep primary_assembly:Fonio_CM05836:2A:33149714:33156379:-1 gene:Dexi2A01G0020920 transcript:Dexi2A01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVCSCAGSIRRGGPPLIVRPILFPPRNRVAPKPNRGRRSAVAPNTTQTQPIRRDERTRFDSPLPSSDSATQPPAGAARTPRPPRRPPPSEPNSQKRLAFCPVPLALPHRLPRGEGSREERNSKACCLCTLIILVLVGVGLVFGFGIYTRGFHKLSSHIHLEDHHHTGGGSFRSYGQLAPSPPSARQLGLARRPRGLHGVVGWTASTDPPLACFIAPNRELSPTSSGHMDGRSWFLFGDLKSHTLRRHVCGFGRRDTRAHELPLRLCVHGVERSAARSPQKWTVGRPPGYRFAQTVPGGWKETTGNRWKRNRTVPALHMRHPETGTPCPCTESRSCRRSPCREEKKIGGRKKDYLAICPDAPGCNTVLEVHGRQSPDVTCTGFPSRRRPCRAEKKWGRQINTTSTYANRAAARAAPLCLFAPPPAEIAMSSCNDVRTAGRFARWEVVLETNVHSKTSNPFTMGACLLLQEPPPVAGMGRVVSVSFQSSYEYGDPGPAILDDFSLNPSLGAPPRERSARSPVFMRMFSTDLKENEQSMVDISDMSLEACQAFIAYIYGCIPGEEFLPHRSELVSAADKYDIVELKNMYERSMTDDVDNDNVLERLQIAHQYGLSTLKKVFLEDI >Dexi1B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:1B:9582983:9583601:-1 gene:Dexi1B01G0010180 transcript:Dexi1B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDDGGQDLLRAGEKSLCRPKRSNRAVPAVVPTRAALAPPAFLFFASTALLHHLLISDCCGHWVEATRPEQEPGLDLPPFAPDLLEERAIRGGEEPPLLESTSVVGDRAEAAGVGGGRWRGRRAPRFPVGEAGVDSSWGVLAVASCSPAE >Dexi7B01G0016260.1:cds pep primary_assembly:Fonio_CM05836:7B:22212662:22216411:1 gene:Dexi7B01G0016260 transcript:Dexi7B01G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDDQEAVALCRARAVLLAAAVRHRYALADAHAALADSIESVASPLHRLLRLHPPPPPPDLTLPSDRKPFDPPPPPASRGHSSSHIQFTASSPGSDPPSPEPDSPPRVVPEHQPPPTQPHYGYGYGYAPQPAYPYPAPGGSLQFYYARSRPPPASVAVTQPAPAPEPGSLQFHYARSRPPPASVAVTQRAPGPPDRVMRFGSFDAAGGYAQHYGYGAQAPPPMAAAQRPTPATAPPSPPKPASSWDFLNVFENYDSYDYDNYYYESAAAGATPAAAAPYTPSRSSREVREEEGIPDLEDDEEQDGVPVVKEMAGPAGSGGARSRRSSLGGVSITGELDDPGNIIAHDDVTGELRRRPPAHGNVFVHAPGPPPRRVVVDNANVAGEMKAQLVHTAEAVRQLAPLLEVGRPSYQGRSSVYHSSSKMISVISVSQLGCKDMDLLDIGVPGKVVDSQTLSSALEKLFFWERKLYSEVKWSFVGPASGTCKDKWIYFEIPFAFSHVSYIFLSAAVFLMQAEEKMRLLIAKNSKRLKLLDRKGAEPQKIDATRNLLRKLSTKIRISVRVIAKISRKINKLRDEELWPQVNALIQGFVLMWQDKLDSYHSQCQVISEAKNLTSVMSGGNGQDLAMEFEVELIKWIISFSSWVNAQRNFVKALNGWLALCLNYEPEDNATGVPSNSPGSVGAPLVFVICNKWSQAMDRISEEDVVNAMQALLSSVRHSWEHQYLEQSEQTIAIREREKWVKTLERKTQEINKEADELNKKLALVPSRQRLHVPRTVQLYEAHCVEASNLHINLRLVLEALENFAANSLQAFQEVLKCAEGARLPRENVRREHRSSNRSSNYRTSS >Dexi8B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:8B:27558209:27560669:1 gene:Dexi8B01G0016360 transcript:Dexi8B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRGAKRRRKAAAEKKAAAAMAAAVAAGAGGPPVDWWDGFCMRMSGTLSAIEDAQRFESVFKMPRKAFDYVCSLVKDEMMVRSSSYAFLDGTILSLEDRVAISLRRLNSGGSLVTVGSSVGVNHSTVSLITWRFIEAMEERASHHLRWPDTGEMEKIKSKFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDQEKNYSMVLQAVVDADMRFTDIVTGWPGSMKESGILHSSGLFKLCEKGERLNGSKLKVSDGSEVGEYLIGDAGYPLLPWLLTPYQENDLTESNAEFNRRHFAARTVAPRTLAKFKDTWKFLQGEMWRPDKHKLPRIIHVCCMLHNIIIDLQGEAVEEAQALSSEHDANYRQQVCQLADENGVMARDKLSEHLIGR >Dexi5B01G0034480.1:cds pep primary_assembly:Fonio_CM05836:5B:34702109:34703509:1 gene:Dexi5B01G0034480 transcript:Dexi5B01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDMPRGLPFAVDTWGPSSRHRRHRFLTHAHRDHLVGAGAGTGDGGTVYATRLTLALALRHFPQLESWEFVEMEVGRTVAVDDPAGAFSVTAYDANLCPGKADGLCLACLVVSGAVMFLFEGQFGTILHTGDCRLTSDCVQNLPLKYIMKKGNENVCRLDFVFLDCTFSKCFLKLPSKESAIQQVMECIWKHPHAPFVYLACDLLGHEDILVEVSRTFGLKIYVDRKLDCFKALSLTAPEIITDDPSSRFQS >Dexi8B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:8B:643362:644671:1 gene:Dexi8B01G0000940 transcript:Dexi8B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADGEVYKAKEHFVLVHGAGHGAWCWFKLASLLQASGHRVSCVDLAGAAGSLVDSNDVTSFDQYDAPLVDFMAALPDGGHKDSTLASILLRPWPAALSTARFGCINEGVQSTVNLVRRVYIKTANDRMVKLEQQDAMIRRWPPSVVMVMDSDHSPFFSAPEHLLELILKSL >Dexi1A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:1A:21408296:21410366:1 gene:Dexi1A01G0014710 transcript:Dexi1A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPSFADLRRPANHAGRPGAHPPQRACSACARSGAKPALLRIHHELAYLWRPPSPRHPITL >Dexi9B01G0025780.1:cds pep primary_assembly:Fonio_CM05836:9B:27184537:27189923:1 gene:Dexi9B01G0025780 transcript:Dexi9B01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAGEEASQASPTRRCSPCSPSSPLRRRSGEVETTTPPVRAPPYMRSATRAYRARAFDYGEALPRARAPGVPQRPAAQASYSSSSSDVQEYTPKAEPVKENVEVHKTMDEISDDLFDLGVAQGKIREEINKIKKKAKRTKCLAIELGKAQQANKVLVEKVGELEGTHRQMAIQLATVHLKVEEPEAKTSALLEATQKEKAAQKGVLGLAQLLASWPAIHARWEHRLRSKLLISCSATAQATPHADTAGDARNGREAQGATAVRARALR >Dexi5B01G0022540.1:cds pep primary_assembly:Fonio_CM05836:5B:24830209:24830945:-1 gene:Dexi5B01G0022540 transcript:Dexi5B01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRKPCRDWSTGLPPELLGLVLHNLPCLIDRVYFACVCRAWRSAAKDEAPPRQLPWLVMPSPGKTSFFAQQTGCTHHLRLPEGIRGARLCGSHDGGWVAAAGEAWGGYAAVNIISGVQVPLPNRISFFHPFTVRGNGCRCKHPMLLRTVTFSAAPTSANCIAAAHIASVCNIAFCRPGMDEHWLTLSQELRAIEDIIYCRSLPQQGFYALRDTEDLVVYAAANAVAISNKSTPLV >Dexi4A01G0004200.1:cds pep primary_assembly:Fonio_CM05836:4A:3000907:3001880:-1 gene:Dexi4A01G0004200 transcript:Dexi4A01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRTRTASTCTPKTVRGTHVFKIAGYSLHSALGADASITSATFNIGGHDWFINVYPPGTSSSEEDAGHISAFLYRVATNKHKQQQQLVRASFDFRLVDQTTGQSTVLAKFLPSMISTLHGWGTRRLMNKTKLQASTYLQDDCLVIECDVTVISNEPHVEETAISPKFEVRVPPPNLSDNLGELLGEKKGVDVVFKVRDEVFTAHKIVLALRSPVFDAEFYGPASSIIAMEGFDADERMEIIKNLLAAADRWLR >Dexi5B01G0033310.1:cds pep primary_assembly:Fonio_CM05836:5B:33751545:33753287:-1 gene:Dexi5B01G0033310 transcript:Dexi5B01G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCAMESSEPMGSPFFHWLKPRCSSPPSSRSSSGSSSSTSTPSGHQQAAAGVGGRVEEATSSMMTCLPLLSKLGEGTKGTGDPEECPVKEEIMSGSTSSLAQPLVDLNIGLPVGGSSCNEDVAMEEKDDEEEEEDNEVEEEDDDDEGGEWKQHMRGSECKVEGMDHQHEHGEVVAASAAAGSNSNGLGEFGVVGVESGLPIGCQYWIPTPAQILIGPVQFVCHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLALLKLPCYCCAPGCKSNISHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGADHRPVDEPAAVPASAAAAKDRIVMRFHNR >DexiUA01G0026570.1:cds pep primary_assembly:Fonio_CM05836:UA:56881146:56882544:1 gene:DexiUA01G0026570 transcript:DexiUA01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGVAGAQPGPALDWMQRVKIAVDAAKGLEYLHEKATPRLGEDKVKQCVDPRLNGEYPPKGVAKYQQQICEIQSHQQLFSLVSMQLAAVAALCVQYESEFRPSMSIVVKALSPLLTNTPYQAAPALDTPSDA >Dexi3A01G0029560.1:cds pep primary_assembly:Fonio_CM05836:3A:31687402:31687652:-1 gene:Dexi3A01G0029560 transcript:Dexi3A01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMVSASTGVMGSLLSKLSMLLSDQYMQLKRVRMDMQFLSRELTDMNAALEKLADMEKLDIQTKVWRDKVRLH >Dexi6A01G0009030.1:cds pep primary_assembly:Fonio_CM05836:6A:10005590:10010017:-1 gene:Dexi6A01G0009030 transcript:Dexi6A01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSARFDVRASSQHLQPPSSHAGSMSDGGAFANAGNLEHCARYLNQTLVTFGFPASLDLFATDPVRYASLSQPHLAAASRLRVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQEKLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVAGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHFTKSDKPSGRRHSGLDSEREASAEA >Dexi5A01G0032020.1:cds pep primary_assembly:Fonio_CM05836:5A:34584823:34587587:-1 gene:Dexi5A01G0032020 transcript:Dexi5A01G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKRDEFKKKVRRIVRKSQEML >Dexi9A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:9A:14715721:14716500:1 gene:Dexi9A01G0019810 transcript:Dexi9A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRHPCRESHSSTTRATTERPVRALVMRIGLWHRRPPAYHPVDNATTISPSSWNLPSHSDGPPPSSPLRNPFTVITARVPPPPPWGDEQRWSSLWRSNEPASRHGSGSCPWWCRRAMARDETCRRKTSTARNAGLLDIAIDLWVSSSRLNRRVRAAGEGKGHDALSNTYPRWKNYKVGRQLR >Dexi4A01G0024440.1:cds pep primary_assembly:Fonio_CM05836:4A:27217962:27218204:1 gene:Dexi4A01G0024440 transcript:Dexi4A01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVCRMLWMDGGSLAPSGQTRQGRKRETPLSGDTWKARDSPCLLPSDVVVGPTR >DexiUA01G0015080.1:cds pep primary_assembly:Fonio_CM05836:UA:31592528:31594285:-1 gene:DexiUA01G0015080 transcript:DexiUA01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAMVGVALLLAHGLLPCASEVDSGDFPENFLFGTSTSAYQIEGGYLEGNKGLSNWDVFTHKSGEAWWFDSGTIQDGSNGDTADDHYHHYMDETHRERVARVESLVIAGRGFLNNPKPSDDGSVPYDACRKLPDVVKEAIRDRDGSTMDGLWRTAEAMERGDRCVQIWEAGRKNERISSGHNAKRR >Dexi9A01G0018800.1:cds pep primary_assembly:Fonio_CM05836:9A:13832365:13848295:-1 gene:Dexi9A01G0018800 transcript:Dexi9A01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWRFESSGAKEKSLRKATGTKRKVRPPSPPPVEEEEEEEGNGEQEVEEEGQGNGEQEAEEGEGNREEEDGEGREKGADMPDVWQRGPSKLPTADDQTFTVGENPPVYASNWEHYIAAKEWVQDSNGNPVLTSKAALVKDQIWARIQVVVDFHAKVMKIRLPKKEAAWVKIVEYWCFEEWMRQHNACRERRLKMTGQPCSQVKAYALAHIGRATSAIDYNLETPASAFSNASIRNRLETYTEVAREVHGPEYDPTNHDFEPEIVMRAGQGKKHGRFSVGDGLIDPSSAPSLSQVRARSTDSRPAIRVRPNTTQSIVQGLEERLENETRRREAVESQVKDIRAQQEAERVAANLRLAQVLQWVGETMGKTPPEALLTSPPRPCPSHLSTTPKSRLGTATNASCSSPPITRRRRSPAHACSQMGTRGELDEEILPVLPQREREREQIQMEPPPLVVLAAPPLAARPPSSWAPCLPWHGLPTPPPASACFKQRSSSSADGFGRLRADLVAYAPHASRKKRDPSGQAQLQLPHILLAQPRPGASSPPHYRFDDAPAPAASRRRQAPAARSRRGDEQPESPDLQFAWVAMGGHGGLNILPQKRWNVYNFDNREKVRNDEAAAAREEQLQREAERRRESDLRLAALRRNRGLVQEEPSSAAPPPSDGTSGGAAAEDPADALPSPASDGDHINLFSGRSGAAADFAALASANGGRGAARERDPDANPNQKNPKKRKKEEEVRAVGPDEEKYRLGYGLAGKGVAVPWYMASPAAATAKEGRAIDAGEGSRGKRSSGKKSIEELREERRKREAKEKERERAVVAAAGRKERQADRGRQPR >Dexi1B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:1B:1775255:1775467:-1 gene:Dexi1B01G0002140 transcript:Dexi1B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKTSWPEVVGWPASAAVTQINSDRPDVAIEVIPAGANVAPGYNASRVRVYFDAGNATGPVLYTPYVG >Dexi8A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:8A:4542533:4548401:-1 gene:Dexi8A01G0005110 transcript:Dexi8A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAPCYYPAPMPAAPSSAASDHLLHANVRIELLHTQELRRMDIVSLWVLNYMKARYCSDPFFKPAEDLEVAEVHDDYDNTSWTPSSRTLMPAMPSLRLEHLRCRRLWVHAPPPYCVDTATVTPDPALGAAAVTTHHGQRANSLRVLDLSECFIHNLPDSIGGLKQLSKFNRSTLPQYITGDGECFECEYESADSLKIGRLQNVKLVEQSQEMKLVEKTHIRTLSLEWETGDVNRFVDHAEVLKELEPPYTVSSLNLQGYNSISFPSWVMRIGAYLHGLTSMSMKNLPSCDNLPPLGQLPNLKELCITEMHRIKKIDVHLGIKSLPGTTQKLTNLQCLVIYGCPELVQWCESEENKMNLAQHIDKNFSPRQPSDELETDEESESTEDVVGSDSEESESDKESGSDKESENKDSKSDQGETSSKCCNA >Dexi6B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:6B:18804049:18805204:-1 gene:Dexi6B01G0011600 transcript:Dexi6B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTVDLRGVAPGAPGWDAARDAVTASMVAHGCVVVAHGDDALGPELRRALFARALPELFALPLESKQRTVAPTNERYKEGYNQIDGMPWESFRITEPTDAAKVRGVADILWPEGNTEFCETIVSFAKNMLKLDEMLEALVLEGLGVQGQGVRAHLDLLGHSVYLSHYGTPVDRETNESMQAHYDDSMNTIIVQHEVEGLEVRLADGRWVAVPPEPGTLTFMAGEQLRVATNGRVPACFHRVRTPSSRERFAVLFGFLQKPGIEVRALEELVDEEHPLVFNPLKHEEYKEWRYSEEGFKVDDALKAFCGVEKVAAMV >Dexi3B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:3B:7232123:7233064:1 gene:Dexi3B01G0010370 transcript:Dexi3B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMEAKSNQDALVDLESGNGTAVSNHNNGVDASFAVGQGRTAPNGAWNGCVGANGCLKDDRNQHMDCSPPPSDAVAKNGDDRKSEGEEKLGLLDSSGGEKAKKKRSKKPPRPPRPSTPTPLDVSDQKLLNELSELAMLKKARIERMKALKKMKNAKQGSSGGNLCPLIITIIFCVVILWQGFFSGHGSAVSFHGSPESSIRAHSSLISIRFYRKNHSNVRPPSVTTAAPE >DexiUA01G0022970.1:cds pep primary_assembly:Fonio_CM05836:UA:46861736:46863519:1 gene:DexiUA01G0022970 transcript:DexiUA01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRAGREGPGKCFRLFQESEFDKLVDSTIPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCRENFINHRSLKHARDVHSQIQGHVQQMGLNLSSCGDDMALFRRCLTASFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >Dexi3B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:3B:5676642:5679473:-1 gene:Dexi3B01G0008080 transcript:Dexi3B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDAQFKNDETTEQVGKVKIVACDSKLLSQ >Dexi1A01G0029120.1:cds pep primary_assembly:Fonio_CM05836:1A:34651157:34657774:-1 gene:Dexi1A01G0029120 transcript:Dexi1A01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPVRKTLGHGDGGGRGSRLERLDAGAQVLDLAAQPALMFHNLSRLSSLPSPLKRLVGGIKGQKYSRSSDISKLFTNSLTVPLPEEDEQLRVFNNQIEEDRKIIISRHNLVKLHKVLEEHELSCVELLHVKSDGVVLTKQKAEKAVGWARSHYLSSTNLPSIKGDRLIIPRESLDIAIERLKEQGITTKKSSQNLKVLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRDLCRPSQPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDAQNRMKILKILLAKEKLESDFKFDQLANATEGYSGSDLKNLCVAAAYRPVHERLEKEKKGGVSNESSYLRPLKLDDFIQAKSKVSPSVSYDAASMNELRKWNEQYGEGGSRTKSPFGFGN >DexiUA01G0002700.1:cds pep primary_assembly:Fonio_CM05836:UA:5974883:5976317:-1 gene:DexiUA01G0002700 transcript:DexiUA01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPGDVLGDVLALLPPRSLAACRGVCKAWRAAVDAGGLLRSDLLPLSVRGFFVGTDMPSCPFFFRRPSSSSTARKIAGAALDYLQDMGYDQDIAMIRGYCNGLLLLDQCVVNPATRRWARLPPYPPSPEKMEGFHYKECIAFEPAVSPHYRVVRLPYVPISELEGKFDGGIEWPMDPFVIQVFSSGSQRWEHRLLVREQGEAAARCTLGDMRQFYSPYSVSCDDAVFWHEALYVKCMNGFLIRVSLSDDSKYQVIKMPARNDGRQADLRIAKSKNGVYCALLHGNHQLQVWLLHEFGGKRDWVLVHDANLDALWKRVTWKYSIDADGPWKLDTDYCGEANEKPEEEEELEWDSDNDNVLNIEDVDSGNWCCYIPIIGFHPYKEVTFFPVDLSSAIAYHWNSSKFQFMGNLYVMSYAQTSLESYSVYTPCWIGDLS >DexiUA01G0020030.1:cds pep primary_assembly:Fonio_CM05836:UA:41688308:41706589:-1 gene:DexiUA01G0020030 transcript:DexiUA01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRGSTGGGNQNQNQNQNQIQNQNPPPTMEDLVRLQTQTMQQLTQAIALMQQNLQNPPFSRAKDPMEAEDWIKAVERQLDIAQCDDREKVLYASGQFEGAALDWWVAYQYAQPDRYQITWQRFSDDFRAHHVPEGLTVLKKKEFLALTQKGMSVTAYRDKFLELARYAPDEVSTDEKRQTRFRNGLQDVLQLQLMCITFPTFGGLVDGALMVEHKRREIEDKKRKFMNQQSGSNVRLRYNPQQVNQQRTQGQSSLQNRGQNQQRPQYAPPQQQQQRYQNVQQNTQASRTTQANTTPVGPRVCYHCGEQGHYANFCPRRVQNSTGQNNNQKTGPQPAQSGKQQQAQGNRGQQNYAKGKINHVGAETAEGATDVVVVQLVAPSGEKIEYQATKSTEPGQINHAEGTELKDIRVVNKYPDVFPDELPGMPPEREVEFVIELMPSTAPIAKRPYRMGVKELKKLKEELRALLAKGYIRPSSSPWGAPVLFVDKKDGTQRMCIDYRALNETCPNQHATVHHCHQLGNQHAQHFCRHVSVATMPGKQAAAGSSSIMLHRRSRTLGGSSDRAARRAATATIKGGRGSNGAAADLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRAGPLPPSGLYKSSSARNPSRRRAADAPVAVDEEPSSPKVTCVGQIKAARPAPKAPKGLAGDAGKKATKKPTWLQALGIKKDAMALLDALHGAFRFNVAGCFGSFPGAVGVGGGTTTKSPVADSRKVHDQRIKIFFLRSSPRTSPSSSSSVLRSSSRLCSLDRCHSRATRRCRSMMLPSAELPATSGGGGGGGANGLPTLPDFVGRKSKYVRMNDVLPSEQEGEDDGEQQEIRLRLLRLRFPQLRAARLREVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAVKKALLQEQQALIVEAAHQLTPRHKASPPCYVHTAPNSSAIDLPEIVVATPLQPPTLSRPSEACLIRIFVATVEASDEPSQ >Dexi5A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:5A:3903629:3904417:-1 gene:Dexi5A01G0005160 transcript:Dexi5A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFVPRPAASSRAAPAGNAARRPMRGSITCGPRDNRGPLQRGRSLSTEAILAIQSLKRLTAADRSPAAASAAAASALGRLLKADLVAAMAELQRQGHWSLALAALHVARAEPWYRPDPALYATFVSSAPASSDDEDAGAAVDALVEAFLEEKARGGGFVDGEEDVYKLTRLLRALVAKGRGHAAWRVYEAAVREGGLDVDEYVFRVMARGLKRLGLEEEAAKAEADLAAWEGTISPPARDVLDEMRAREKSKMKASAAS >Dexi8A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:8A:1708353:1708961:-1 gene:Dexi8A01G0002600 transcript:Dexi8A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHCFVLLSLLLLLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGEAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi6A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:6A:9374920:9375879:1 gene:Dexi6A01G0008690 transcript:Dexi6A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRALSMAVAVALLAAAASADSWLYEEFTTDGHVRADYNAQGQQVTSLILDRQSGGAFYSRQKYLYGQFSIQLKLIPGNSAGTVTSFYLTSGDGPGHDEIDMEFMGNETGQPVVLNTNVWANGDGKKEQQFYLWFDPTTDFHTYTIIWNDKNIIFKVDDLFIRSYKRYGDLPYPGGKPMSVHATLWDGSFWATQQGKVKVDWSSAPFVVNYKGYAVDACTSNGDGRPLSCPAGTDRWMNRQLDGAEWGTVAWAKQNYMHYNYCKDGWRFPQGFPAECSRN >Dexi2B01G0002500.1:cds pep primary_assembly:Fonio_CM05836:2B:2081367:2082308:-1 gene:Dexi2B01G0002500 transcript:Dexi2B01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGGGGADHPSPPPRPSRYESQKRRDWQTFTRYLTAHRPPLHLRQCSGAHVLEFLRYLDRFGKTRVHAPPCPSYGVAAAPSSSSSSPAPGDDVACQCPLRQAWGSLDALVGRLRAAFDERHGGAAASRAGNVAMTTTTAAAQQPETAGGDGGGAGNNNPFAARAVRLYLRDVRDAQARARGISYSRRKKRRPSNKQEQQGHGGAIAGEGASSSSVKEEGAGGGGGRAARPHGNAAATTSMAPAVAQAHAHLHPNLQPLPPPAYLTGVPFECYDYYYGSGGGGGGGGATTAANGGAAAGFYLPLLFNTFGYS >Dexi9B01G0020950.1:cds pep primary_assembly:Fonio_CM05836:9B:15606376:15607140:-1 gene:Dexi9B01G0020950 transcript:Dexi9B01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDLGRGGGSGRGGGAQTTNKPRLVMIVADPGRESTAAMEWALSHAIVEGDNILLLHVNMPLHPHHHNGGASGPSRSSSGGSLGSPIAAFLIGGGGAAGADGAADFMEAMRGACKARYPHARVHGERVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSTSGSSSRGHDSTAEFLIEHSKCLCVSVQKKGQNAGYLLNTKTHKNFWLLA >DexiUA01G0006860.1:cds pep primary_assembly:Fonio_CM05836:UA:13176880:13177104:-1 gene:DexiUA01G0006860 transcript:DexiUA01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCEKLDFVPNFFVATTQFGEAVARNCRPVAEPELRRRRLAGMNNAGRITHGVTPELGRPCFIFHGFTQLCCE >Dexi5A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:5A:1792283:1792618:1 gene:Dexi5A01G0002610 transcript:Dexi5A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDVEGQKETGHGTHLEKRRADLTPEQRWYEAAKREFIRAAIADAKAFTDTTVEEIMEEYRRAGKLRRFNPDTEWMKRFARVARKHPPPEGLVPEMADYIKLLEEDEAN >Dexi1B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:1B:969704:970279:-1 gene:Dexi1B01G0001240 transcript:Dexi1B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQQHASESDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEYELCTLGNLCPDTSGEATALVPSLKSGGRFVGDAGDEKIEKMLNDLSLIKKFE >Dexi9A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:9A:13866523:13871668:1 gene:Dexi9A01G0018820 transcript:Dexi9A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLLMSPLSVFQAWYRKGMVNASLKNYSSAVRDLEVALHEEMTSLGKSNIEQELKSILQKQENIGEVGTSSCDSMDADLPLAGQPQKIIIESISTPNKGRGMASTDDIPPASLVHVEDPMAAIIMKSSRETHCHFCFDEAPADIVFCPSCTIPIYCSKRCQEQAGGDISCNQDAHLEYNGHATTLAKLSITSSCKSPSSKVFAEHRHECGGAHWAAVLPADIVLAGRIMAQYIEKGVLYGKNVSISSTNLDLVHHYDQHSPANKLESQIYAIVLLSCLQNHYGSELLWTEDSLSQAGEMHLPDRQKTLLENYYFSCQCSSCAELNLSDLVMNSFCCPQRNCLGAISESTYYRSKENFVNVSLGGSYVYKLSLPDISKAGKDMEKVARSVFGNSDAHLNIDPGCCMNCRSQIDVSSAAATSHREASKIDRLKEITLLDKSLIPEALQSLKQLKKLRHPYSKALAQAEDTIAEAFVKAGDQEQAQKHCEASIQILEKLYHPKHIAIAHELIKLVSVLLSLGDMAGAAATFARAEAILSLYYGSHMEKILAYLGALRRAVTSESTVACS >Dexi5A01G0033220.1:cds pep primary_assembly:Fonio_CM05836:5A:35577641:35584574:-1 gene:Dexi5A01G0033220 transcript:Dexi5A01G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVVEIWGLIIESAGRLRRQWLTTDLCARVTGLRAATSTDRAANCDDHFSPSHRISTLASAQNSETLSAGEEQRRKTTRQCVFDDAVIHSCAFAARHANRIQGGIGHGLLSGPQGPQLSEHERRSNAILPVMETTQLVPPSFCRPAPNPDSKGDNIAKSKLAVSHLSSCPLIQFPYAGRYRSGPAILLSPEDASTTADAGLATTSFMPPPGTHYPRPRVRRVVAAVVAPDFTGAEARPDRSPREHGGWIFELLPAANRWPELPPHIEHHYWCA >Dexi7A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:7A:4426955:4428215:-1 gene:Dexi7A01G0001680 transcript:Dexi7A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLRSCHVVPIPCPDYFRYIHSDLSPWRETGITRDAVERARGRAAFRLVILGGRAFVETYHRVFQTRDSFTLWGIAQLLARYPGRVPDLDLMFNCEDMPELHAADFPRPSDAPPLFRYCKDDATLDIVFPDWSFWGWPEVNVRPWAPLLEEMAGETRRLPWNEREPYAHWKGNPGVSAERADLLRCNVSEKVDWNARLFRQDWDAAIRGGFKDSNLAKQCTYRYKIFVQGRSWSVSEKYILACDSPMLLVATPYKDFFSRGLVAGEHYWPIDPAGKCPSVKFAVDWGNAHPAQARRMAEEGSGFAREEMSMDYVYDYMLHLLTEYARLLRYEPTVPENAVELCPESVACATQGREQQFMMESRERYVADYEPCTLPPPFTAQELREMARREEQVRRKVKKMGN >Dexi9A01G0043910.1:cds pep primary_assembly:Fonio_CM05836:9A:47615256:47617222:1 gene:Dexi9A01G0043910 transcript:Dexi9A01G0043910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTCLAEPLESHYGGGIIRNANFSAGLHGWSAFGYGVVEEGASASGNKYAVALNRTRPYQSVSQKVYLQNDTHYTLSVWLQVSNCSADIRAVVKTNGRFIHAGGVEARSGCWSILKGGLTAPAAGPAELYFESNATADVWVDNVSLQPFSQEEWAAHHHAAIKSARKKTVRLRAHDGTGKPVPGAQVRIEHVRSGFPLGSAMSGEILHNPAYQQWFTSRFTVTTFENEMKWYSTEAVQGHEDYSVPDAMLRFAKSHGIAVRGHNIFWDQPSQQPGWVKYLSYPQLRQATARRIKSVMSRYAGQVIAWDVVNENLHFSYYEGKFGSDASAAFYRKAHQMDGNALMSMNEFNTLEQPGDPNAVPGKYLGKLFQIKKFPGNTNDGRMAIGLEGHFSDNPNIPYIRAALDTMSKANVPIWLTEIDVAPGPNQAGNLEKILREVYAHPAVHGIILWTARHAGGCYVMCLTDGEFRNLPTGDVVDKLISEWQTRLHAGVADAEGYYEAELFHGEYKVTVSHPVANSTVEQSMSVDRDTDPNSVYNIHV >Dexi8A01G0006480.1:cds pep primary_assembly:Fonio_CM05836:8A:6728628:6729309:1 gene:Dexi8A01G0006480 transcript:Dexi8A01G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHYYFLYRGLALVSLLVVVLAKRHRAVSASRHGLRLPPGPWQLPVIGSLHHIAGKLPHIAMRDLARRHGPVMLLRMGELPTVVLSSPEVARKVMKMHDLAFSSRPLSTTVSELTNSGRDIIFAPYGDFWRQLRKIAITELLSARRVLSFCRVREEEVAAMLRAVAAAADDVVDMRERLSTVVSDVSARVLLGARSVTCSCGSWTNNAVAAGSRGPWRNWLGD >Dexi1A01G0027800.1:cds pep primary_assembly:Fonio_CM05836:1A:33511082:33513670:1 gene:Dexi1A01G0027800 transcript:Dexi1A01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAWRQSGGGVAAVADHLGHLGRARPARLCLYGLALTFAGFAFFLAFAPSLPAPPASSPAAAWFDGLIASASPYRAQVSGFLSSLFPANSSSVPRSGVAARRGGPSGGGFAASAPQAGGIISSAVRPGERLGSGGPSSSVGGAPGGDRAPAPGHATAAAVPSGAPPPDDHVRGGAEAKHSTGTATAEAKGGGQAGIPSSGSAQDGTTAKGGVPVSINGSNANASSVDSGDGSGMKTSARNAAGLSHQLGSGSSALGNGTAVPLVNQTMSAVAAAMDGNGTASQSNGAAGNNQTLLIHQSPADRKNHTRSPAASDGSSSSVNKQIEPTASPQGRTSLAKDQSAQLVIPIANNNSSVLVKAAANAGIRRKVDWIESMASCDMFYGNWVRDDSYPLYPPGSCPYVDESFNCHLNGRPDKAYQRLRWQPSACRIPRLNPADMLERLRGKRLVFVGDSLNRNMWESLICILRNSVKDKSKVFEVSGRRQFKAEGSYSFLFQDYNCTVEFFRSPFLVQEWEMPIRNGKGTRETLRLDIIDQAFPRYKNADIIVFNTGHWWTHDKTSLGKDYYQEGNRVYSELDVHDAYRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEQYLTPYPTKMSILEEVLHGMKTPIVYLNITRMTDYRKEAHPSVYRKQKLTQEERKSPELYQDCSHWCLPGVPDSWNEILYAQILVKQRHKMQQ >Dexi9B01G0036580.1:cds pep primary_assembly:Fonio_CM05836:9B:38078888:38081256:1 gene:Dexi9B01G0036580 transcript:Dexi9B01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYDDLSKKDVESPSGSSLSSSNATGSGLSSAGGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTTFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKSDHVLQTPIQGWMMAIIMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGVVFNLVAICVQDYDAVMNKGFFHGYSFITVLMILNHAMSGIAVSMVMKYADNIVKVYATRVQIYA >Dexi5A01G0038680.1:cds pep primary_assembly:Fonio_CM05836:5A:39572499:39574135:-1 gene:Dexi5A01G0038680 transcript:Dexi5A01G0038680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASVDVEDLLVRVKTADDAGLAAVAREVAALAGEGRLGEDDDEDGLLIPALLARLASAGTAEARVNVMAALRRLAGCAAGDSKERLASIEALSSIVRSLSRDIDERREAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNADESGTNDDAEKLLHILSSNPQNVLT >Dexi5A01G0003590.1:cds pep primary_assembly:Fonio_CM05836:5A:2729278:2732821:-1 gene:Dexi5A01G0003590 transcript:Dexi5A01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTLAARIGTTGPPRARWSPYARPSLPEPQGARGGGGGSGRASRPPLRLGAATARLLGPAQKAPPSGSGRRAAPDAADSAAPIRRSRGGETTTSEETVPKPEPEPEVRYDTPPLEKRRGSGGGGFVFLCALSGHTEAISGISVPSGSDKLYSGSVDGSVRVWDCNSGKMGGKVGCMITHGLWVLIGIPKSVEAWNTQTGMKLSLQGPSGLVCSMAIMDEMLFAGTGDGRIMAWKIPSKESNIEPVSILSGHQRAVISLSISATRLYSGSLDKTIKVWDLMTLQCVQTLSEHKAAVTSVLCWGEKLLSCSLDKTVKVWTLSESGNLQVKYTHAEEHGLRTLFGMHRVGKSPILFCSLHNSNCIRLLDLQSFKEVGTLFSNKEMRTIELAVGGPLFTGDCSGELKVWRWAPQD >DexiUA01G0018560.1:cds pep primary_assembly:Fonio_CM05836:UA:39291327:39294292:-1 gene:DexiUA01G0018560 transcript:DexiUA01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSEGGRRGDSGSSSFRRRGGGSSSSAAAAALVQCEEMDESDGEVQSSFRGPFDTMDALQGALPRVSKCYNTKSSAAAAHPAQGIAIPGNPSPKKRKGFLSFSFSWNKSRSKGSSSRRDASTSSKNCRNKTTLPASALTSSSHHGNSRGVNEHARRWLQSSSSARGVVVSASPPPASVRSQLIAVQLRSVSVARLEDVAESTASICPREKRRKSLQ >DexiUA01G0019610.1:cds pep primary_assembly:Fonio_CM05836:UA:40850493:40851649:-1 gene:DexiUA01G0019610 transcript:DexiUA01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSAQAGAFANATFSHLFQLGAGRAAHARMLVSWFVPTAFVGNCLLRMYARCADAVRARGVFDAMPHRDTVSWNTMLTAYAHAGDIGTAVSLFDAIPDPDVVSWNTLISSYGQHDMFRESVGLFLEMAHHGVAPDGTTFAVLLKVCSGLEDPALGVQIHGLAVKISLKVDVRVGSSLVDMYGKSIVGCVQNEQYARGLELFAQMQRMGLGVTGHGAEATQLFQFMTRSGLGFDVVSLSGVFSACAEVKGQTSTSKPDTNIHIKT >Dexi8B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:8B:23562992:23563195:1 gene:Dexi8B01G0013400 transcript:Dexi8B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVAGAEVAPQCGVEDLTCRRAASTDVPHVDRAAQGPRRRPASSTHACPAAACDEHACGARVTHR >Dexi8B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:8B:25726999:25727686:-1 gene:Dexi8B01G0014940 transcript:Dexi8B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGDTKSDDGSPNPATLALRIATVALSVASTALMASANCTAGCAPANQVSYTDYGSLKYVLVATIMAAAAQAVAAWMKASGKEGWSKAVKAAAELIDTASQTFLYSSSALSFSVEDFGTCGHRVAGVCKGSEFCFCQRTRMSGAVSMAAAVALSVSKYLEEVPISTWFKSDNKKPDKHNKGKTGCGHGGHCHHV >Dexi9A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:9A:3702415:3702753:-1 gene:Dexi9A01G0006490 transcript:Dexi9A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRVDLPTFGNPTIPARRLMLIRAARPDENRRVRVARLHCQCRSSADEPHGDGRLVPAGAATGARVKPANAEPSARDTVVMGAPGSSARAAQQGETSREVRDG >Dexi9B01G0034230.1:cds pep primary_assembly:Fonio_CM05836:9B:36240664:36244010:1 gene:Dexi9B01G0034230 transcript:Dexi9B01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAAARTFAYNATLCACDPGYYYLLSGNGTTGSCAPMPGGGWGDWQVGAVGAPRNQSLYFLAPVLSIDVLRRLTQSQAVLLMVALATLLAWFAFCAAARSAGRDPRGEKRMFRARYWVSRLDFLFDNSHWAGDQQVLRKRKTELGGTCSVASLIIFTGLLTVLLYQAIKRRSIEMHRVKPANAPDLLSFVNDLEFHITTVSSMSCAQAVPPSTFAMGTPGFMDFRVVSLPTLFTYSCTNTSNGPSIKLSCNECRIPPRDHYVSWQFVDLPGQPATAVGFQFNLTAKQHGDSKHVSFVSGMMNSDGYVDDGKMKTFRGRDSNVLKIQLFPQIYNNLGNLRILQPLVQDFTQGSVFSDVGSLNASLQNPRDGVVNATLYISYLPDYIVEISNESVVGPVSVLASIGGLYAFSVAICLCFMAQCEARIKKLRDEDTRMLKILSKRRARRNWDKVRKFVMYTWCPSNLDPTDTSGKKPEASSAK >DexiUA01G0002490.1:cds pep primary_assembly:Fonio_CM05836:UA:5570914:5572948:-1 gene:DexiUA01G0002490 transcript:DexiUA01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIARAVSFGGRATTGWCSYRRVTVAVCLGNLVAALLVLRSLTAPASFTPTAPNHSEVVQTVHYTEEQIRRVEESIRIRREAEPVELVQAVEKLKKVFAREEKRRKELPLVLKQKISYDIIQRLQDLGDNSSLAQQREVVESWRAEKLKDIKSASAQNQSNLDISSEETRTLKRALEFNWRMVMEDIGLWIPEEVSHTVYDDKPENEPEG >Dexi5A01G0033300.1:cds pep primary_assembly:Fonio_CM05836:5A:35618421:35621785:-1 gene:Dexi5A01G0033300 transcript:Dexi5A01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPERSPSQSPRSPAAAAGSPFLSVSVTDPVKMGTGVQAYISYRVITKKMDRARSYETGIFKKPADFLQMFKDVQSKVSDVVLGKEKPVEESTPEYEKLKNYIFELENHLAEAQKQAYRLVKRHRELGLSLAEFGKAIKLLGACEGDVMEKVFSEVGSKSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKATMIDRANAFRQHFDLDQERKYKELNLEKLKFMNPEKYAEAESEFREAIENRQRGSYKEV >Dexi5A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:5A:14500638:14501006:1 gene:Dexi5A01G0016180 transcript:Dexi5A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSLTMSSGFSFSGPWSTATTVAAATSLPLLDGCPLRLLHGGHREVPGLAVVRSEDVGLNAKHGHESADRPDGRGSRPMRCGSERARAANRRGARLDARGLGFVLFEARLMAGRRWKEF >Dexi4A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:4A:5163354:5164014:1 gene:Dexi4A01G0007050 transcript:Dexi4A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLIVSLYCLQIEVLLPDKRCEMGTLKLHSLHYNVALVSVDNYRALNHVNLERLPVNLTNDLINHCLVGIGGPLVDTAGNFIGMNFHGMCFKEIGAPFLYCEDLSTILKFLKTKEYLYISSAC >Dexi6B01G0012270.1:cds pep primary_assembly:Fonio_CM05836:6B:19858645:19861090:-1 gene:Dexi6B01G0012270 transcript:Dexi6B01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIFQSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYRVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGAANGRATRTVVMTPEFSQRGRGSSSRPLSNPSNRFNNRGGFQAGRGRAQFQARGRGRAQFQSRGRGRGQSQGRGRGRKPEKTADELDKDLESYHAEAMKTD >Dexi9A01G0033370.1:cds pep primary_assembly:Fonio_CM05836:9A:38260289:38263396:-1 gene:Dexi9A01G0033370 transcript:Dexi9A01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHADVDGSGVPLAVLLKRELCNQKVERPDILFGEASKSKKGEDFTLVMAQHPRSLGEGASGGGENAGDDDTVSVFAIFDGHNGSAAAIYTRENLIHNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAANRIVKEAVASKGLRDDTTCVVVDILPPEKLSPPLKRPGKGGIIALFRRRPSDEMSEDQMDRGCLEPDVVEEIYEEGSAMLARRLNINYPAGNMFKLHDCAVCQLEMKPGEGISVHDAQSAVQPGA >DexiUA01G0021710.1:cds pep primary_assembly:Fonio_CM05836:UA:44939880:44942720:-1 gene:DexiUA01G0021710 transcript:DexiUA01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVVTLRYFAGPAVPRYVVATVGYAWFCSLSIIILVPADIWTLREDPSFKPSGGRLGENDMDYDTDDKSMATLRRQLRRAHEEYYRCKREFL >Dexi9A01G0029750.1:cds pep primary_assembly:Fonio_CM05836:9A:34661690:34670638:-1 gene:Dexi9A01G0029750 transcript:Dexi9A01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAPPPPTSSSSSSPLFGGGEQLFESGPSPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLIPPIPTAPPPRPEVPERAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGEPVEELEEVFYEEEFDPIKYILQSIPDEGGDATYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMELRHALDMQMELETFVEKENYFQNIESKGDGPDPADKNDTSQNSDETLVDSGGGHSSVEDIQDGSAAERSNMSSSSEALKMVLERESWTIMSAEASQIISLAGLTGDGAALCSPTSRSSKLPINSYHGNSTTAHSGKQNLGFASWHKIENPFSFKLENGSSESPRSNTPFDSSVNNNHGNGNNSSLDEENEDLLADFIDEDSQLPSRIPKTKMVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLDIVDVELFKVDSVPDLTEHIHRMSARMLLHIDGYPDKIANAKWEVKELGMEHNGYLFATGIH >Dexi1A01G0032110.1:cds pep primary_assembly:Fonio_CM05836:1A:36830658:36834599:1 gene:Dexi1A01G0032110 transcript:Dexi1A01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAGVGGATAIRVPYRHLRDAEMELVSLNGSPRAGDEGPPPRATDHQLGTGAATSRAKVVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYILGDTTEHCRTYKGSRYRAAIVFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNILGFSAGASGNWHKWFPFLTTRACCEACGNLKAAFLVAVVSFQTVTLYAKAELAVLQLSWFPFFLFDTDWMGREVYHGDPNGDLNERKAYDNGVREGLATGVLNLAIVVPQIVVSLGAGPWDALYGGGNIPAFALASIFSLAAGVLAVLKLPKLSNSYQSAGFHGFG >Dexi1A01G0029630.1:cds pep primary_assembly:Fonio_CM05836:1A:35089128:35092340:1 gene:Dexi1A01G0029630 transcript:Dexi1A01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSRFYPSATCSLASSSRSPPRRRRRSHASLMGQSPSAPPGRSWSRSSLRWPQPGLGLGFVRGFLLGRNKDERMDLANWMRCFLSQRLPAPSAEEEADAEGKAAGRCEGEEVGDQEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQSNHSKLTYDGVDLMGERLAEEHKLEEYKMKQISITQVRQVVQKRRNLKKISFVAHSLGGLVTRYAIGKLYEPAMDENSSLDTDNLSDKQDIPGAGKIAGLEPINFITSATPHLGSRWNKQVITKTLTPFDICVFVQLPYLFGIPLLERTAAGTAHFIIGRTGKHLFLTDRDDGKSPLLVRMVEDCDDGKFMSALRSFKRRVAYANLKLTASDEKYPHVINVEKGNSEDHLPEDSEEASPVDNLEERMIRGLTQVSWERVDVCFHKSWLRYNAHNNIQVRFDRYLKTICIFGC >DexiUA01G0026750.1:cds pep primary_assembly:Fonio_CM05836:UA:57251184:57260027:-1 gene:DexiUA01G0026750 transcript:DexiUA01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSFTRCDDCALALDAIDPLTLRPEPADERPVLFGAKRAKRRRSRSPTSCKRKGRGGGRGRGEPDKGLPKRLLNIEGTIATHPASRIMASKDDPPPAMEVVTTRRKKTKTNPKDLTNNTNQEPDPDRISGLPDCVLGHIVFLLPTTDGARTQILSSRWRHLWRSAPLNLDCHGFGGAWPACVNLISHARHAHGAAVRRLILSTSRHPAVQSCIDHFLLRCPAMDTLEELEKDRGKGNGPKAAAGSALASNDSEEGGWAHMPGWLVPAFIALQIITTMTLFFPAAVHGCEPSCSNPSPPPPPAVPTPSGATCPIDTADLSRAPSPPCEADSRTRLELLHHAPPRTPPCARRPPSRLGAHAKELEAPINSAAPPLSRIPNSHAQTLTPNPELAPPPSTRRLAAA >Dexi6A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:6A:4878794:4880535:-1 gene:Dexi6A01G0005430 transcript:Dexi6A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTLHFKSYTAQIENKEITRRLKHREKINFGKVESKKGKVDSLDNEGLPYVGASLQSGDIVIGKVTESGEDLSAKLMHTEQGMVEKVVLSANDDGVNFATVTLRQCRSPCVGDKFASMHGQKGVVGLLDSQENFPFTRQGIVPDIVINPHGFPTRQTPGQLLEAAMGKGIALGGMVRYATPFTTPSVDVITEQLHKFGFSRWGGECVLNGQTGERMKSLIFIGPTFYQRLTHMSEDKVKFRNTGPVHPLTRQPVEDKKRFGGVKFGEMERDCLLGHGAAASLHERLFMLSDFSQMHICQVCERVANVIMRPDDSGKKIHGPYCLFCRSVERIVRINVPYGAKILYQELFSMGICLKFETETR >DexiUA01G0023810.1:cds pep primary_assembly:Fonio_CM05836:UA:48621233:48622659:1 gene:DexiUA01G0023810 transcript:DexiUA01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSVTAVVGDEDALPPATPFDPAVVNEDGGEGEQQGLGMRRGHRFAASYSSFGTAVVSEDDLGGAPAAVDGAFGYAGFGMPPDSNGGAAAYGFAAAGDDAANGGVEHVVMGAEYVMDGAVMHGGVGEVGFGGGGGLDEDMFSGAADDGPVLPAPEAMKEEGILRRQWRRQNALMLEEKERKERERRSEIIAEADALKKSFLEKRKLNCDTHRTQNRDREKLSLANQDKFHMEADRQYWKAIAELVPHEIPGLEKRGKRKEQERKPSIVVVQGPKPGKATDLSRMRQVLMKLKQSPPLHMVPPPPPPAKEQEKKKDGDKDATKDGEDANKDGKQTAGDARNKAGVTEKAAVSANAAGAPPAATTAEAPGRKASEQPVKK >Dexi3B01G0023690.1:cds pep primary_assembly:Fonio_CM05836:3B:18444091:18445536:1 gene:Dexi3B01G0023690 transcript:Dexi3B01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSTLISSGGHRQCSAAAGQACAPSSLQVAFFYVSLYIVAVAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCGGTAFTLVFLSYVQDNIGWGLGFGIPCAVMAVALAVFLLGTRTYRYYVTSSKQGLFARAGEAFAEWRSRRKSGTPDQASQERDPVASQAPGFRYSITQCGHRLRLTMSHKVFDGDIIAFLPFCVKSFFSAVADEEEQAVVSNAGFVKEAKAILRLFPIWATCLIYAVAFAQSSTFFTKQASTMDRRIGDHFQVPPAALQSFISITIVVFIPIYDRVVVPVSRRYTGKPTGITMLQRIGVGMFLSLVSMVIAALVETRRLGVARDAGLIDKPKVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSVIDRVTAAKGGSWFSNNLNRGHVDYFYWLLAALSALELLAYVFFAVTYRYKNKGTVHATVAY >Dexi2A01G0024590.1:cds pep primary_assembly:Fonio_CM05836:2A:36366624:36367782:1 gene:Dexi2A01G0024590 transcript:Dexi2A01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGAGIESAEAAAEAKAPYWDPPPAPLLDTSELTKWSLYRALIAEFMATLIFLYVSIATVIGYKTQSANDACTGLSLVRTVLYIIAQCLGAICGAGIVRGLYGSVYDTLQGGTNSVDHVGSALGAEILGTFVLVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGPAVIYNNAMGWKHHWVFWVGPLIGAAAAALYHKLVLRGEAAKALGSFRGTSATV >Dexi9B01G0030980.1:cds pep primary_assembly:Fonio_CM05836:9B:33450605:33451723:-1 gene:Dexi9B01G0030980 transcript:Dexi9B01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASALADEVVKTKQPDHIPASNGATTCSFSSDIPCRNHEQSVEVENSHLPDWLSSFPGYFEDCGPFAGYNLVDDIDLSVHEHLLKKGVQIGPEHQADIPEWRPRVSECLPGSSGFCADLDGSSVSTSEPILRGYDRESDKWVKDCVLPVSSRLAPIDWVGNKRIDCDCSDESSVRCARQHIAEARETLKMSLGQDKFRELGLCEMGEDIAQRWTDEEEMQFQRVVYSNPVSLGKSFWDHLSLAFPSKTIKDLVSYYFNVFMLRKRAQQNRSDLLRVDSDDDELHGESPIPGPEEEDSAVEPPKHEPFINSFLPIDDDHKECEGEHRAGPSFHGEAIENAV >Dexi2A01G0016830.1:cds pep primary_assembly:Fonio_CM05836:2A:28620713:28623352:1 gene:Dexi2A01G0016830 transcript:Dexi2A01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLATAAAAATLLVVPSSPAPRLQLRPSRRARPAALLSLPHRLELWPQRLAAVGSTPPPSSAPLAPNSSSGGVAVAACCLDAGSGGGDDGGDGGGGADFGWLRVFPHVLTASMSNFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLSSSALVDKFGCKKTLQIDSIPLIIGALLRYLVSLLLCFCVPKYMEFLLISVVCSSILLHIKQSYSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGFLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACIPGFLIVVGMQFAVDSPRWLAKVGRFDDARKVVESLWEPSEVDKSMEEIKAVVANDDSQSSWSDLLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGE >Dexi2B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:2B:24823680:24823934:-1 gene:Dexi2B01G0014850 transcript:Dexi2B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKNHSARRSAGSSSSSSAPGGGDRGPWLWLLAFAVLTVHSAFSAYLARDDARLVALVAVGYLLMLVLLFYGGLPGHQKRD >Dexi5B01G0022200.1:cds pep primary_assembly:Fonio_CM05836:5B:24452000:24452254:-1 gene:Dexi5B01G0022200 transcript:Dexi5B01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAHPVGRTTPTTYESVGGGENRTRTDLRSREDQGAIQIEKVQDKVDDAAGRGVDHSTFGAKKDESRHADADAGATGTGE >Dexi9B01G0025890.1:cds pep primary_assembly:Fonio_CM05836:9B:27413141:27414635:-1 gene:Dexi9B01G0025890 transcript:Dexi9B01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKPMPRVGFGTATGTLGMAEGYAGVKEAVLRAIAAGYRHFDTSAVTLKMDYVDLYLIHFPLSMRPLPIGAPLVVKDELVALDMEGVWKDMEECHRRGLAKAIGVSNFSSKKLERLLSFATIPPAANQVEVHPYCRQNKLREFCRAKGIQLCAYSVLGGKGTPWANDSVMNSPVLNDISKERGKTVAQVCIRWVYEQGDVVIVKSFNERRMQENLEIFDWELTDLDRHKISELPESRGNCDFLVHESGPYKTVDEFWDGEITAGQRNQIAVGTNN >Dexi4B01G0022330.1:cds pep primary_assembly:Fonio_CM05836:4B:23976707:23978348:-1 gene:Dexi4B01G0022330 transcript:Dexi4B01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRQLLPLLRAASSPPSPVCHRACLLSNSTSAASAAPFSLEDYLVTACGLAPAQACMAAKKALDESSSLRGRLHSASNPDAVLALLSGVGLSRADIAAIVAADPLLLRASTKNIGPRLLALRDRHGLIAPQIVRFLLVDPSVLRCCDIGPTLEFFIPFSAHLKSSAIARAIYQLCLHNVRALNFEPGRLKEVVLRAEEIGVPRSSPLFSQAVSVAANNTNENLAASIEFLKTTLGACKSDVSTAASKIPCILGMSEERLLSKIQFLTKEVGLEPQYIVERPVLLALSLEKRLVPRHRVMKVLQAKGLLTSNTSFLTFVKLGERDFKLRYTDCHKDSVPGLRMLVP >Dexi2A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:2A:4273605:4274418:1 gene:Dexi2A01G0004710 transcript:Dexi2A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIRRQLPPAQSASKQQLTVLLALLYICRFAHASAGDQRWTLTSWSTNRVARTLGFHGSLYLAYWGDESSILRLDPPSPMEDEELPLPRTIATIPGELMRMPQLVECNSEILVIGCTDVYRSQLLVVRLSELLQGGPTVPLTSIGDHCLFIGMRSLTVSSKGLPSVAADSIILCYGINDIHQMQYNLGDNTLSLACDGDILHSPPPSPHSIVHHLITCCFPYFWNKGLIYCSRTKPRWGFKKGKWRLGA >Dexi6B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:6B:16124759:16127766:1 gene:Dexi6B01G0009990 transcript:Dexi6B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVSIIRTGVALGGSGILLSLLLLSVSFIFQLVNSHFGSLSSFCSIVRRAVAQETIQQIVHNLEPLIRKVVREEIQSIFSQHDQASFRCRKFRIGVRIMHAGSHFGERIQEAVSESFVVKDHRGELYEKHYPPLLTDNIWRLRNIGKDGPIVKRLESEGIRNVQEFLKLNTIDPAKLRAFHVKQLATQAYKLWDKLEEVTTEMPFAATKCLNPLSNSGRRPTDSQESIISSGSQNAKYLDYTGTATSSAAAAMSTNSSNTSGSAAAAPTNDDMFWTPSIPPDDQFGWQNSTGCWD >Dexi5B01G0030310.1:cds pep primary_assembly:Fonio_CM05836:5B:31247088:31248099:1 gene:Dexi5B01G0030310 transcript:Dexi5B01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFFSPLRLPLPTATRCAPPPQAVASSAENAAAVPLVAVAGGHRKRELVLGAALSALLSRAPLPAQAREVEVGSYLPPAPSNPGFVFFKATPKDTPALRAGNVQPYEFVLPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIEDIGSPERIIASLGPFVTGNTFDSDELVDTKVEKIDGLTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVVSANDKQWSSSEKVLKTIVDSFQV >Dexi6A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:6A:28691936:28693348:1 gene:Dexi6A01G0021440 transcript:Dexi6A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPKLTLSFVVQFFFLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDRRDGVAKVVGTLACVAGASVITLYKGPTIFGPSGGGGGEEQLIGGGEMKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWKFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIIGLYLVLWGKSEERARLARDAIAAVMPPPAAEATITAARSAKMITQPLLLPTSTGDNV >Dexi3B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:3B:12655188:12656430:1 gene:Dexi3B01G0017200 transcript:Dexi3B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNPHGFEDPTERGESLTYGSFEKGVIPPPIRPDERLCLCNLARNTLSSGEPAIAPGLGLPPSLEEPYEAEAPRGSALQREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >Dexi1A01G0025360.1:cds pep primary_assembly:Fonio_CM05836:1A:31632827:31634602:-1 gene:Dexi1A01G0025360 transcript:Dexi1A01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESDSRGHICFLVRAANYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANNISKWTGTVYIFSLIGAFLSDSYWGRYVTCAIFQIIYVTGLVILSLASWFLLVKPSGCGGVNAPCDEPSAPGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDEMDPNEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDSGRWVMGFWVSTAAAALALVLFLLGTPNYRHFKPSGNPLTRIAQVFVAAFRKWHVEVPRGGELLHEVEGEEEDTKVSSGIRKILHSDELRFLDKAATVTDEDECSSPEKMKWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGATMNTKIGSFHFPAASMSLFDILSVLAFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVIGMAAMVVAGVVEVERLKRVSAPDEPSSMSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSALCMASISLGNYVSIMLVSVVTSLTAGERRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYIACASWYKGIKLDGGDEIRKVSAHV >DexiUA01G0001010.1:cds pep primary_assembly:Fonio_CM05836:UA:3199871:3200364:-1 gene:DexiUA01G0001010 transcript:DexiUA01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGANVEAPVYSWDFFLPRHAARHRPIRSKVAARPAMSPAAAWCAQHRLRFLLPALFLAPVLYFLLSPPPAPPFVGLPASGYARLAPSDAPFGLPAHMGAAAVGRVAAVRVVADGANAW >Dexi6A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:6A:5030679:5033486:-1 gene:Dexi6A01G0005530 transcript:Dexi6A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPERNGYLGNESVDILEPQPLEVIAPEVKTKKRNPTPGVRVVGGRIYDPENGKTCHQCRQKTTDFAAACKQVKKKGPCPIKYCRKCLLNRYGENAEEVAGKEDWICPKCRGICNCSFCRKKKGEMPTGIMAHIAKASGCTSVHDLLEKGSDVVSAAQAILKVNAGDKGTKRSREADAADEVAADRDEIVGIKLNTVPGDEGDENIGIDLNALPSVRIKKRRKHQHSVKNNPADERSHGGDSREPLVMDKSPDVRNNNIALPRGTLATNIAGVELDHEDIGAAIQFLEFCRAFAEGVSGYKNLSPSCKLRVLNFLCDETLSTDKLRNWIDMQNDVAAEPMNAARGKARAAKEKEKELKERLKGNMDKAMFSLNEAAALSNEENKDLIAQIEEAKKVKHSAING >Dexi5A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:5A:13595050:13595361:1 gene:Dexi5A01G0015780 transcript:Dexi5A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQRAVVIFSTSSCCMCHTVTQLFRELGVNATVVELDEDPRGKEMEKALARLMGRSTGVPAVFIGGRLAGSTDKVMSLHLSGNLVPLLRNAGALWV >Dexi5B01G0034500.1:cds pep primary_assembly:Fonio_CM05836:5B:34721696:34723896:-1 gene:Dexi5B01G0034500 transcript:Dexi5B01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKPLSGELNNSFNTLMVSGGVESRQAKSAGTETSLTGWKDLPMELLLRIMSVVGDDRMVIVASGVCTGWRDALGWGVANLSLSWCQDHMNDLVISLAHKFSKLQVLSLRQIKPQLEDSGVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALVFLTSQCKILKCLNLCGCVRAASDTALQAIACNCGQLQSLNLGWCDSITDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRSQGRGWDAAGKGGGGKDRDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRVILSNHAY >Dexi7A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:7A:17994225:17996450:1 gene:Dexi7A01G0006660 transcript:Dexi7A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGPSPLPSPYPRLRCGGRRGQHHGEACVHVAVGRSPEKTLGLLRWALRRFGNARIVLLHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKEEMDKVLLTYLAFCRRAQVQATLLLTDNDQIHDGILNLVNHYKVTRLVMGSTPDSCFKLKYDKQSLTASNAPAFCQIWFVWRGRHIWTKEASAATDNNTLVHCQDGIMTAKRIRFSSYSNNTETILDDEGCVTGKALMAVDLNQGIVSDYDDYEALGPHEANHFYGMNMAKWQDTESALNSTFLSDSSAHMHTLPLYSKEVLDINLKQVMIEADGSRKEAFVELRKRKETESKVASAFARVKDYDSAKKHEIKMREELEILLVATRKQHEDLIKNKEGAVAGLESSMRRLAILDASAAKIKLRVDEASAELEVIQSTIESLRQWPNQRAKPASRTGLMEVAGRLAELELRCSETSSQDHPELTPGTEIMHDPQVCADGITYEGRAIREWLETGKGTSPVSSLKLEHYNLTPNHALRFAIQDWLRHSLPMKL >Dexi6A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:6A:2950736:2951154:-1 gene:Dexi6A01G0003320 transcript:Dexi6A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGHWVVLRTSKRREGTTYSTYGHVATLAEEIKRSGAASVAGVEAKLCKVPETLSGEALAKMSARPKREDAPAVIAPAELLDADGFLFGSRPGSA >DexiUA01G0004480.1:cds pep primary_assembly:Fonio_CM05836:UA:8593235:8594140:-1 gene:DexiUA01G0004480 transcript:DexiUA01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGSLRELRVLRINCFVPLSPQSQIDMVESLRNLEKIEHLSAVLRWDLSCDTSAWEAAGFLLSERLRQLCLGEISFTRLPSSCINPSRLGYLSHLSLKLDAIDEQELTILGLLPELRFLDLSLKSPTEMECNTTTDAAGDGGRLLFQKLRSFSLNCRNDFCLLLSMDDDSIGFSVCITNVYASLLPGSEWEGVCSRGGLMPTLMPHVQVLSFDVPILLCNKANENWGDGGNCRLCLEDLASLQNIRVYLNCIRANVAEVEEVDAALGGAANVHPNCPKLDMRRINQYFMISAAQDQEVG >Dexi2B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:2B:55466:57342:1 gene:Dexi2B01G0000120 transcript:Dexi2B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYPLSWADAPPYHYHGTTAQPTPTTKGEEEDAEPQGEGDRRSLWIGGLLHWMDEDYLYCCFTRSPELLSVVVMRGKHTGQSEGFGFLKFADHTTATHILKSYNGQKMPNADQDFCLNWATQKPAPDKLPHQDSKLAVLQDAQAGGDAAAASDHAIFVGDLSYDVTDFMLHHLFKSRYPSVKSAKVIIDKLTGCSKGFGFVHFGDANEQLFVGHLDQSITDEDLMQTFSPYGELVHVKVLTGKGCGFVTYSNR >Dexi8A01G0017130.1:cds pep primary_assembly:Fonio_CM05836:8A:28882166:28886531:-1 gene:Dexi8A01G0017130 transcript:Dexi8A01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGAAAMDSGDKHADGGDESCERSNSSSESDGGCEGSNSSAAPMASERMEEKSDGGEQMKERSGGGERMDVNRWKPSRGPSALAGRQHTANMGILDLCYSMSTAQGSRAPTPSSGGGAASRAPTLSSGGGAASRDPTPSSGGGAASRDPTPSDAATGEPTQETQGTDVVEVDSGDDDHVIVGRKKM >Dexi2B01G0025390.1:cds pep primary_assembly:Fonio_CM05836:2B:34639913:34640270:1 gene:Dexi2B01G0025390 transcript:Dexi2B01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPQLAAMMIASAALPAASCLVKEILEGGGGVPADDLRRLERKLDKARGLAADAEGKEGRDASARAWLRELRDVLYLLRDGFDDFRRAAALRNQQGRRSI >Dexi7A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:7A:11708534:11710691:-1 gene:Dexi7A01G0002880 transcript:Dexi7A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLTLLIFFVAALLCTRPVAAAPEEHLVAGLPGFHGSFPSSHYSGYVTVDEASDRSLFYYLALSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFIFEPGSSPGGSLPRLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKWFELYPELQSNPFYISGESYAGVYIPTLADEVVQGCHRLIKIKRIEKGVQPRINFKGYLIGNGYTDADYDTNSFVPFAHGMGLISTEMFEDVKASCPGTFFGEVDELCQEKIERVHWELKDLNKYNVLAPCYHHPKSQEVEFANSSLPLSFRRLGETDKPFPVRKRMAGRSWPLRLAMRVGRVPMWPGLGGRSLPCTV >Dexi7B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:7B:20089608:20092419:1 gene:Dexi7B01G0013620 transcript:Dexi7B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAEKHQLVKMSAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSILSGLAIATTCVGQIYISCDWNNIPSDIPAIFGMALYSYFSVRESKKKSTNDALPVSQMPDKEAEPLLATKDSSDTKKANGLSHDC >Dexi9A01G0027490.1:cds pep primary_assembly:Fonio_CM05836:9A:32124622:32125107:-1 gene:Dexi9A01G0027490 transcript:Dexi9A01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTKKEDDSQGENLQLLREQQRWLLQRSNLKAPEERPYRRRIKPPPAKVHHVHPARFRRFVQRRTCGSMLPQPNAPPCASNNSDDATTASAAASATANLLQTPPDVAAIGDGDAATGSGCLNVTRRSMQEAYMAWCSSNDILLSPGTMAELSFTEHPLQ >Dexi2A01G0034290.1:cds pep primary_assembly:Fonio_CM05836:2A:44309033:44311758:-1 gene:Dexi2A01G0034290 transcript:Dexi2A01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEAQPFRLFSSRAKTKPKLRPEPEVRDRPEPQSPDPDPAPTIVTETGEADDTEAAAGAAAATFADLGLSQWLVDTCEALGMKCPTAVQRRCIPRALAGEDVLGIAQTGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGIRCLAAIGGFESLGQAKGLARRPHVVVATPGRIATLVKDDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFSCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLKQHYIHLPYDGKELHLSYLLSKMKDKDDTIHQIGDPIRSAIVFVSQCKTCVFLDLLLQELGHPAVSLHSHKSQAQRLLALNRFKSGQVPVLLATDVGSRGLDIQTVDLVINYDIPWEARDYIHRVGRTARASRGGLAISFLTQKDICLLHEIEDIVEKQLEPFECSDKEVTKDITKVFKAKRLAKMKMDDEGHQERVQARKEQKKRDLARKRKHGE >Dexi2B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:2B:6319207:6320017:-1 gene:Dexi2B01G0006430 transcript:Dexi2B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATGASDGEKPYLASPLLAPPQPPQQPYYAYPAAAYAAPPPPPPPPPTLVFVPGPCSPVLVRLRRLRPRRRGRCCTRTLPLVILLLALLAAGAFLVYPSAPAARVGGIQVDRFRAAPPVVDLGLALRLRVLNPGFVLPLRYRAVSAAVSYRGHLLGSAKAWPGSGELAARDEVYADAEVWVDAGRVLDDVVDLIGDVAAGSVPLEIVTEVVGSIKVFRFHIPVKG >Dexi9A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:9A:3306329:3313438:1 gene:Dexi9A01G0005880 transcript:Dexi9A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEMALVAGVHHRFAAGQAGVLGADRAVQEELAKMGKAVVLAVNGRRYEAAAGVEPSMTLLEFLRTQTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTQDGYHPVQQRLVGFHGSQCGFCTPGMCMSIFSALVKADKEDSRPAPPMGFSKLTTSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDDRADVRKLPGYNSGAICTFPEFLKSEIKCTMQNTNSSPVAVSNDNWYHPKSIEEFHRLLESNWFDENSVKIVASNTGSGVYKDDDLYDKYIDIKEIPELLVINKSSKGIELGSVVSISKAIQVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMAQRLQFASDIATILLAVGTTVTIQVVSKRLSLSLEEFLQQPPCDSRTLLLSMFVPEWGSDGITFETFRAAPRPFGNAVSYVNSGFLARTSVDAASGEHLIKDICLAFGAYGVDHAIRARKVEDFLKGKSVSSSVILEALQLLKETVTPSGDITHPEYRISLAVSFLFTFLSSFASSFNEPVKVNVTNGSYTKGAGSTVYSAEKHLKVDSNDLPIRSRQELVFSNEYTPVGQPIKKTGAELQASGEAVYVDDIPTPKDCLHGAFIYSTHPHAYVKGITFKSSLASQKVITVITAKDIPSGGQNIGSSFPILGDEALFADQLAEFAGQNIGVVIAETQKYAYMAAKQAVIKYSTENLQPPILTIEDAIQRNSFFPIPPFLASKPVGDYNKGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCVTIYSSAQLLEITQSVVARCLGIPFHNVRVITRRVGGGFGGKAMKAMHVACACAVAAFKMRRPVKMYLDRKTDMIIAGGRHPMKAKYSIGFKSDGKITSVHLDLGINAGIAPDLSALLSSTIIGGFKKYNWGALDFDIKFCKTNVSSKSTMRAPGDVQGSFIAEAIIEHVASTLSVDTNTIRRKNLHDFDSLAAFYGESAGEASTYSLVSMFDKLASSPDYQRRAAIVEHFNSNNKWKKRGISCVPITYEVSLRPSPGKVSIMNDGSIAVEVGGIEIGQGLWTKVQQMAAFGLGQLCPDGGECLLDKVRVIQADSLSMIQGGFTGGSTTSEISCEAVRQSCTELVERLKPIKDSLEAKAGTVEWSALIAQASMANVNLSAHAYWTPDPAFKSYLNYGAGISEVEVDVLTGATTILQSDLVYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYATNSDGMVINDGTWTYKIPTVDTIPKQFNVEMINSAPDKKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANSPITFQMDVPATMPVVKELCGLDIIERYLQSVSTNPTTVKA >Dexi5B01G0017640.1:cds pep primary_assembly:Fonio_CM05836:5B:19477744:19480650:-1 gene:Dexi5B01G0017640 transcript:Dexi5B01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHAALPLEAASSMVGAAGYCVGFSCALAALRAQARLAGGCDLGASRRGCRWSRARELALLEKMAALERQVEELRHRRGEDAKANEKVAGIFASHEQRWFAERKALRRQVHAVVAAARARDAKREDEAAELRKTLEEQRAAKDEALEQEAARREAAEERLRAAEERAAKEAAEHAAEVRKHKAAFVELASAQRQLEADLARAARLADTAEAELRAALERRDEAASAAAELSGEAARLRRDADHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRGHRRGSSRSSARCAAGDQPPPGGYSSDKLLAPDAAARATNETKILFVDHVEDDGKKDHRQAPPAKELTTIECVDRYASHVDDKPAVEEYEGLQEWFQMETEKYTAMMKHRHSAEIEAFTEQLRLKDEKLEAFRWRAVSMDVEATRLRGRIQELEARLAQHEKHSAELEDLLLDREKENRALTEQLETLQAQPLLDGVEICTLASDQADADERCIPCSPVKIHRTVSGEADRLSSCSSRHQETKLDEPVVSPDGHREKVFDVEATLVVAVRDLAARSMEHDRRDVPARQSFRLEIEEEEEKEVYTDPGHAQRTQASPSSSSQDATSELALVVVPPDHRKNSAGGKTDIHALAVSYKIKRLKQQLLVLEKLASECKEEIAPATKPSGSEASSSRQHSRTRYQTMMSFLSKHVKRYQSLDDKIDDLCARMEESKRSVGRQRHGAGEQSAVLGQFLEETFQLQRFMVATGQKLLETQSRITPGLGRGGGGEDGVDMKRLMEVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILRTTR >Dexi8B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:8B:26400972:26404096:-1 gene:Dexi8B01G0015650 transcript:Dexi8B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARREREDRRLLDLAVECGFDRSVAANCLARLLDLYGEDGVVFVTVEKIGDDFLASLADATQPVDDWDDLKGIETEACGNLNDMMMKNVPNSSRAPDGLEAFDFPLDNLDFDMGDEIDNLRNNSSGIQRQVQSGMQSRSSAKSTVTRRTSRHESTTPTSNRERHTAAFQQKGEILNYEQLCCLDNVNLANVVIFGNKSFRPLQYEACRAAMDDQDCFILMPTGGGKSLCYQLPATLHLGVTVVVSPLLSLIQDQIVALTYKFAVPAAFLNSQQTPAQASAVIQELRSGKPSFKLLYVTPERIAANYTFMEILRGLDQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKENFPRVPIMALTATATESVCKDVLGALKIPNAVILKRSFDRLNLNYEVIGKTKTAQEQLGDLLKERFMNKSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGMAARQRTSVQEKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNGGNFRSAMEQAKKMQAYCELKTECRRQALLEHFGEQYSRQKCRDGPSPCDNCLKT >Dexi7B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:7B:25085656:25086290:1 gene:Dexi7B01G0019660 transcript:Dexi7B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNLCDDLLVRILELLPDARDAVRTDRLSRRWRGLWTQVTALRFDFDTNTPEFRESGGHRRFVAFVDEILKLRGVEHLAFSFDMSDYDQESAHLTVPWSVQAAERWIHHALQQQQPVKSLAFKLLAPWYLPWSARPVVTLADLASSAKLETMHLDFSYASVGGH >Dexi3B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:3B:4710262:4712387:1 gene:Dexi3B01G0006740 transcript:Dexi3B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDFNSNHIGDMATTYKPKNILITGAAGFIASHVAIRITKKYPDYKIVVLDKLDYCSNLKNLLPVSSSANFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKITGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGETLPIHGDGTNVRSYLYCEDVAEAFEVILHHGEVGHVYNIGTKRERTVIDVAKDVCELFNLEADKVIRFVENRPFNDQRYFLDDEKLKSLGWAERTPWEEGLKKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEGSEEIKGMLKGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFVTKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIYNFTNPGVVSHNEILEMYKQYIDPSFKWTNFTLEEQAKVIIAPRSNNEMDASKLKKEFPELLSIKDSLIKYVFEPNRKV >Dexi5A01G0016620.1:cds pep primary_assembly:Fonio_CM05836:5A:18820574:18830937:-1 gene:Dexi5A01G0016620 transcript:Dexi5A01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLGILKSIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSHDPLQTECPKIIYLVHSQLSFMKFIASQIKNDELKGLQREYFLYFVPRRTVACEKTLEEEKVYQKLTLGEYPLYLVPLDDDVLSFELDHSLQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNIRAKGVASTKAAELLNNMQVEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQIHNGSVEVDTSIMGAQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNVYFGVMPCEPLYTSLYNEDSVGFRAQEDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFELCFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLSICFVVYLQFHHVFAHLMLYCNIILLTRREILHSYGFEHMHLLYNLEKAGLFKRQESRSNWVGITRALQLIVDVNDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTINSSLEALPGSGSQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFIVATTKVVNGNTILKPIIASSKEGMM >Dexi3A01G0034870.1:cds pep primary_assembly:Fonio_CM05836:3A:40170878:40173434:1 gene:Dexi3A01G0034870 transcript:Dexi3A01G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLHPLAAIVALLCSVATGQDYKVIPSCSTTDNYTDVSQYKKNLDELLGTLSTAALDNGWYYKGSAGAGGGADEVFGLIMCFADRNATQCRECLSQAPARVAAACPGSRSASAAYDACVLRYSAAPIPATADLAVELAEYVSGEPVTSQGLANAWLTLMTNLTSGVHDDPLRIANGSTPYSSSQEMYGLAQCTRDLNGTECSYCINSCIGQLSRLFPNNTGGAVKGYSCYLIYQVGALEITLPPAVVSPPPAAAALPPSSLQPSPEPSSSSKTGLVIGLSVGAASFVVFLAFLTWLHRLLRRKQARILNEATEQELELEEGGFFDDEPAMEDEFEKGTGPKRFRYGELAIATDNFSDTHKLGEGGFGSVPKIVLEVASAILYLHQDWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRASAESDVYSFGVVLLEIACGRRPLVSRHGDEEEDGGGEENMTHIVQWAWEFYGRGDILDAGDERLNGEFDAGDMETVMVVGLWCAHPDRSLRPSIRQAVNVLRGETPLPSLPARTPVATYMPPPEAFYYTSSVATGSSTGTGTGTGTTTQSSTAETLIVS >DexiUA01G0003590.1:cds pep primary_assembly:Fonio_CM05836:UA:7300405:7301040:1 gene:DexiUA01G0003590 transcript:DexiUA01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGTTITPAAAAPVAPRGNNRLPRHRVAASRSRAAPARRLALHASASPTATADAPDEAVAEPSVEPAPETKLSKLACPICYYPLVGASDQSGDVSSLECSSCKKIYPNKQDYWDLTVAVGSTEYSESMPAATELFR >Dexi1A01G0004080.1:cds pep primary_assembly:Fonio_CM05836:1A:2993775:2996933:1 gene:Dexi1A01G0004080 transcript:Dexi1A01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGPWGPPSPPPAALLHLLLLLLSLLLHAGWPASTSSSRAEAAAAHMGGLDGEVPPEAAGNVSNSEKGRFSYGVASSPGKRASMEDFSEARIDDVDGEKVGMFGVYDGHGGVRAAEYVKQHLFSNLIKHPKFITDTKAAIAETYNHTDSEFLKADSSQTRDAGSTASTAIIVGDRLLVANVGDSRAVICKGGQAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIQDPQEAADKLLQEASKRGSSDNITVVIVRFQDGTTTGDNSEATEKEAANDQNS >Dexi1A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:1A:13091258:13093019:1 gene:Dexi1A01G0012700 transcript:Dexi1A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAVSRSLASVLLLLAVSINGVWSKSFTVTNNCGYTVWPGVMPGAGSPALDSTGFQLAPGQSQTLSAPAGWSGKLWGRTLCSTDTTGTFTCVTGDCGSGRPDCAGGNAAPPATLAEFTLDGSGAMDFYDVSLVDGYNLPVLVAPQGAAPGGNCAPTGCLVDLNSACPADLKVTSAAAPDGAVACKSAVKSSSPNWDAPGDLPLINGTMTYTGSDQAGASTAVVPPSRLLPLGAVFLVLAGAFS >Dexi2A01G0033470.1:cds pep primary_assembly:Fonio_CM05836:2A:43641017:43641283:-1 gene:Dexi2A01G0033470 transcript:Dexi2A01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAGSAAAHLQTSSSKGERETNGDKRRCGGKLAVRRPWVGRDRGGGDKRWCGSRWAHEMRMRRRGRHPTPPDRWRPRTCEAREHW >Dexi4B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:4B:9614532:9618463:-1 gene:Dexi4B01G0011960 transcript:Dexi4B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKQPEPAIALPHTAATPEQGIDCSKGSDPSQESMDSDFELLWRLRKYLVLLGILAVSVTYNAGLSPPGGFWSGNVNGPDGHSAGDPVLHAKFFLRDQHVPDTKEGESDGEHQTAGKHQMVNTEEVVSGLQHALMSASESKHHVAYDEQVSDTEERESHGEHQTAGKHQMANTEELVSGSEQALMSDEQSENSNDCMYKLEERSTQSEEKEPMSKTENPLTADTKEQSSSMDALKTTNPAAKETISKIGNASMKFPEATNTSGNISTSEHQSTENLQHVNVKQKPSSMDDLKTTNPMDGTSIFEHESADCNQVGNMTWQSSSNNDHKITTTIMEVVDMSKDIMLTSVRNGATNDLTAVKESIDVSRKAIKDVIVEINDDTSPITNGNIEKNDESQGQDDRNGNDGDNATDEHLKKSRTYLLLLGILAVSLTYQSGLNPPGAFWSNSSTGDHQSYHLPGDPILEDTHHRRYIAFFYLNAIAFVASLVMIVMLLHRRMSNKVIKRYALQTAMIVDLLALTGSYVMGSCRETKNSIYISLLVCLVLAYVVIHVLIAIHVIPEWWKKTVAGKIENFACRYIWEKKASFGHNKRNSANEMDSELGHNQSADADDKYWERRRNLLLMLAVLTATVTYQAGMNPPGGVWSDDMIKPGDPILQQNNVKRYDVFYYSNSLSFVSSVVITILLVNKESCEHGIKSYALRWHCKSFDTEEEKCDFPSAEL >Dexi3A01G0031740.1:cds pep primary_assembly:Fonio_CM05836:3A:36112194:36113443:-1 gene:Dexi3A01G0031740 transcript:Dexi3A01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFSRTKTATAVLPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEDLVKTGGSNTADTSQKPSSGRERVQIEALRQELEGAKRQIEALKTEKSRIEAEANNQRNLAVKLESDLKSLSDAYNSLEQANYRLDAEVKTLQQGGSVPYPDVEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSARLAELGEDVDTLLQGIGDDTAIPDDDDEDEEDE >Dexi9A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:9A:7549510:7550837:-1 gene:Dexi9A01G0011890 transcript:Dexi9A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPISPSSLSLSTRSRSSSVSFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRTRSRQILLDLNFDNKITKDLSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSPASQEE >Dexi2B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:2B:1583644:1586216:-1 gene:Dexi2B01G0002030 transcript:Dexi2B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKIGRLDGQPPRIRNVPIAVTPEGFWCCPSQATLHKTAKSPNQQGRPRGGASPAPSKASSVQRVPTVSSEKRAQSTPTRSRTNSDEQICPPADAVGAPDPPKVVSAPAPEKRPKQHKISVGFGQLDTSDLKVLLYGKEGVAVKMIVHKNILAENSTFFADRISRQSPVSCIEVLDCEDVEIYVETVGLMYCKDVKQRLIKQNVPRVLRILKVAESLGFHACIMSCLDYLEAVPWVGEEEESVVSSIRQLHDEDHRAKPLLKRVTSDVLTNPPNDTLAHIIDLVLKSSEDRGRREMKSLVLKLFKENSNIFGSSSSADSSCVMTLYSYFQNCLDSLLALFRQASDPEVLAEQSSDDKEQMFRKITLEAENLLWLAEILSERHAADKLTVIWASQVELAELHPKIPAMHRHLVSCVSSRLLVAVGKGEALPSKETRRRLLDVWLPALMDDYRWLQHGCRWFDRAVVEEGVGQTILTLPLEDQQAVLLAWLGRFLKAGDGCPNLQRAFEVWWRRTFVRPYAEQPGSSSAPSRRH >Dexi4A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:4A:7165359:7166009:1 gene:Dexi4A01G0009080 transcript:Dexi4A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNALALKGVRCEYVEEDLDNKSDTLLRLNPVHGGKVPVLLVDGQPLAESLVIIEYVDEAWPESPPALLPPEPRARAAARFWARFFHDEVSPLSRAVVLADAEAERAELAREVKARMAVMEAGIAEDFAGDGEGPFVHGRSPGLLDVIIGSCAVGTRVLAAVSGVEIVEPGATPRVHAAVAAFDELAKGFGTTVPDELLLAKLLERKARSRAAAA >Dexi3B01G0021040.1:cds pep primary_assembly:Fonio_CM05836:3B:15968797:15970878:-1 gene:Dexi3B01G0021040 transcript:Dexi3B01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQEIVPLNAGNVLVIEDNEPAARWLALINRVLNRQVDTDADIFQHKPSPSLDSTSSQSTPGLDNTSFSNRSRTASGSVIFQKSLKSIRKSYMPSRRKQLKFCNCPVEMAKKSYKDACFRCPQAYANEMDSSEEDELDDKLNDIFGLSDDGVTSAASASRDHLKYNLISCKQMVGIFVTVWAKKELVPHIGHLRTSCVGRGIMGYLGNKGCISVSMTLYQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFRRICRRAGRRIPEKILDHDRAIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIQRASGSVFKGWSEEKIYFAPTYKYSCNSDSYAGETATSKKKRRTPAWCDRILWHGDGISQLSYFRGESKFSDHRPVCGTFIGEVEMLDGKSKRRSSNTKIRIGAEELLPTSKHNKG >Dexi3A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:3A:9616199:9618727:1 gene:Dexi3A01G0013220 transcript:Dexi3A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASRRGGAAEETRIGTGNVFAALETLKKKKKKPAADKGAKPAEEPKPEVFWAPAPLTAKSWADVEDDDDDDYFATTAPPPRPVWGNNNNHLDAAAKDQRDAPALEEYDRFGGVMDKRFSQWVIGKKGADQVTDGERKEDAPAPTESKTSKKKKSKKDKSSKESKEAQDQGNGSKEAAGAEPDEDTASVDVKERIKKVASMKKKKSSKEMDAAAKIAASEAAARNARLAAAKKKERSHYNQQPMR >Dexi3B01G0024910.1:cds pep primary_assembly:Fonio_CM05836:3B:19648614:19656320:1 gene:Dexi3B01G0024910 transcript:Dexi3B01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIDIGRELERMGYGMSRLEDEYCEPEGQDTDGSSSAQANDEFSKLHNDIFHMTRMRSGLNENIYKSMGTNRGTISTAKLLSGREVDCSGKGMFSSGDRAFVLGRDVPMNGPELLDTMDSRAYVSQFSADGTLFVAGFQVYSSLAPIIHIVNVGNAARQSYANVTDIHDGLDFSQHEDVLEYFLMMFVIQFSSFQSDVNTVAFADESGNLIYSGSDDTLCKVWDRRCLSSGQAAGVLTGHLHGITHIDSRGDGRSFISNGKDQAIKLWDIRKMMSNADSSADGAPTWDYRYSRYPQQHKQLKHPHDQSLATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSSVYIYDVVSMPFLQQWVSGSQVAKLKAHQMAIRDCSWHPFEPTLVSSSWDGRVAKWTSARDEKICDVE >Dexi3B01G0013990.1:cds pep primary_assembly:Fonio_CM05836:3B:10024915:10026061:-1 gene:Dexi3B01G0013990 transcript:Dexi3B01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKLLLLVLAATSCCGAGAWELRGGLRKMPPAVEAAAERLREDVAAPVIHALRPLVGSAGDLAGVPCDSWRLAVEAHNKIDWATVPASCEGYVGNYMFGGHYRRDSRFVVDEAIAYAEGLKLGGNGKEVWVFDIDETSLSNLPYYAKHGFGYVITTKPYNATSFNAYVLEGSAPVLPETQRLFKKLISLGIKPVFLTGRTEDQRAITVANLRRQGYSGWMKLLLKPVGLKASAVAYKSDERRKLQDAGYLIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >Dexi9A01G0045440.1:cds pep primary_assembly:Fonio_CM05836:9A:48974212:48976467:-1 gene:Dexi9A01G0045440 transcript:Dexi9A01G0045440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAISGQLRLVASAATAPMADLRSLLPGRGGAPRLAAVRGYVRPKPGGTVLRSQLSGEYGVITKHTQMCLFTEWRGIFGWTFDLHALLFQSWKEQIVTSFRTAEFVLASSVIGNPTGMVFINIKKEDQPIPLTTVFHKLIPIETTPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRAKDEGNVEISPCPELPFFLSELTKDEMQAQLASRARILFWASIVLGSLSVCLVGHAIYRGWKRIKLRREARQARQLFEEAEDAVREDDSSDDEAADGQLCVVCLRKRRKAAFIPCGHLVCCCKCAIRMENEAEPLCPMCRQDIRYMIRIYDS >Dexi4B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:4B:16472108:16473752:1 gene:Dexi4B01G0015160 transcript:Dexi4B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDNEYLQFDWSLSTSCAGTEDAKKILSNDGACPVCDQVLSKSHMKPVDVDPSDDWTNMAMVGISPQTRILKLRLSQILISVMFYIGQKELEMQYKMNHVVGQCRQKMELMQGKFTEKMEEVHTAYQKMSKKCQLMEQEIENLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSLKRSAIQPANNIFPRAQQDLFAGMPNMMDNSNPLRQGLVFTPDTPGQRGEMWAPAPRQRHSNPDAFKISGGSAHMGVPPVDARPRRPAGPVFGSGTNNPSVALRNMLISPVKRPHQSRNRPHMFT >Dexi6B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:6B:8083017:8084104:-1 gene:Dexi6B01G0006980 transcript:Dexi6B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACFNFRLVNLVNGSSTAVSSSAGQLFDTVSKGIRFGRGHIMLGKELEGSEFLRDDRLVIECDVTVFMEPQVVAKISTASSMGGHDEVLPPDVAEDLANLLGADEGADVTFKVQDEVFNAHAIVLAMRSPVFKTEFFGHMKESSRVHQQDRTVIVEDMQPAVFKALLRFIYTDCLVFTDVSGHDEDRTELTKNLLVAADRYDIQGLRFLCQKDLCESLAVDTVAATLAFADQHNCVKLKDACIEFITSLPSIDDVVASEGSKILKESYPACLVDIFESFVNI >Dexi2B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:2B:11832536:11836209:-1 gene:Dexi2B01G0010490 transcript:Dexi2B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPSPSQRSQDVRMEVEEDRGPPPLSFAMDSFLLDYSHGQRLEAQINNQDNHGAAAAPNQQVQQPQPGEAFLELNDLLQGAAVEVDLNEPINLDDLGDLDKNPDLVLPMNVIEAAHANAEAMVDDIIEASSDSSAEEMHNFIMPDLNEAVHVEVFIPLGEDGHLQINPDEFPEDQLMDGDDIVQDLELVHEAQGASPDVAQEHEVMLQDMQVDAAHDPEVVLQDMQGNEVITMVEDSFSAEFHAEDQPLGDPEPSSPTTPSSEVDLRDVSPSTGPWAAALLAKAGKLKLSEEDPALRRSIRQKGQKKGYRHKSCLDKHCVACESKPPTISPLVIKNLGASFCDIDPAKLTDQALSKKKKAVAPMGKKPAPKKNSRDKDDVAKDKAAAAKKKAKK >Dexi2A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:2A:23303763:23308950:1 gene:Dexi2A01G0014250 transcript:Dexi2A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYRPSRAAMAAGGRPWRVIPRPVLETVLHNHAVRPRVPQPLLLHGPRGVGKSTLLLDRLLPRWSETPHAAAFVDFLRPTPSSTAAAPWSLLLPADPAPPSLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTALSRLAGAPAARSSATSVPARRSSGTSVPALWSRAVLAAVRRDDAAFRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTGRFSRSLANSATDWPCLLLDVLSGAAEEEFFQPKLVLNNVDVLRKAICHDETMVPAAMYHDSFIWRVIALGANEQSLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPCQLSEIYMLKQKVNSPEVFHDRNIEEIIDTYLAHLQVSVVNPAMDTALQILQKFASDVREGKVPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFVQSFVNTEFGVTISYLPSASQHPECLMNACCTVNYLADDSLEILDDPAAVAMTEFLLLAPFWLEVDIRFDSFCC >Dexi5B01G0026040.1:cds pep primary_assembly:Fonio_CM05836:5B:27907029:27907755:1 gene:Dexi5B01G0026040 transcript:Dexi5B01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGASRRRRAPRPHLPLLFLVLLLVSSQPPRASALRVPLRQISTLVSLSHSLLSRVAATRAARGDAAAAARVRRIASLLSSRGAWGIGWDYLRHYAFSSATGCGLSCAAAASRLLAAAAEASRLRSATDAAQWMRRHYGDVRDAATLLLNGLLDAFSEQGPLRDVVMDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFAGATRSSSPHSEL >Dexi9A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:9A:1782158:1785137:-1 gene:Dexi9A01G0003380 transcript:Dexi9A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAPASISSNPRSVEEIYKDFTARRGGLVRALTSGKGRSLPLASPQRFGPLADLTLRSPPVSLPVQMWTISTAPATQVSEIDPRRALLEVRAWSGGSRLWAERIGFLFSLLRADKENLCLYGLPNGGWAVAPPAEEVPPEMPEPALGINFARDGMQRRDWLSLVAVHSDSWLISVAFFFGARLNANDRKRLFSMISDLPSVFEAFSDRKHGRDRSGVDSSGKSRHSSKRGSDSHVKSSRAAAPAAKDYDDDDEDDEEHTETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPARAEHIKHYKCPDCSSKKMRQ >Dexi5B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:5B:22545437:22546860:1 gene:Dexi5B01G0020330 transcript:Dexi5B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLASVFLLSTCITLIAAGHRPFQPRTPATTWDHFSKVLKAVPAAAPAPVHRSHSACSSSSSSPGGFDWNDHQEEADEEDAGAPAPAPAAAEDDDDECDVFDGEWVEDPVGYPLYDAAECPFLGDQVACHRNGRPDSGYERWRWQPSGCGGRTRVLGGAEALEACRDRRVVFVGDSLNRNMWESLACILYAAVPDRSRTRIVDVAGFKYRIFQATVYFLYY >Dexi1A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:1A:1507908:1509945:-1 gene:Dexi1A01G0002300 transcript:Dexi1A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPSDSPYSGGVWRVAWLRLLRREASRSKSRVRPSRARTPVVAAQVQGKNRAAAMVAFKTKVFHPNINSNGNICLDILKEQWSPALTVSKVLLSICSLLTGPNPDDPLVPEIAHMCKTDRLEYESTARGWTHKYAMG >Dexi3B01G0037500.1:cds pep primary_assembly:Fonio_CM05836:3B:40238248:40241337:-1 gene:Dexi3B01G0037500 transcript:Dexi3B01G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRPPPRSSSGGVEPRYRQVGFVTSADPEPAAAPAPAPIPAAAASPPASDGLSPVMIPPRIVPGHHPAPGSESLMPSSPPPVSSSRLDALSDLDGDDDDVDVSWARPPPPALPESIKVNLTETKNRGDPTSVPQKPKLSKAERRAIQEAQRAAKAAAKETGVKSTAKASDVNTKMPKQPKAGKASLKKDVSQANALVASDKKTDEHPPDKDRKKDVPQPRMQFDDLHRVVKAKKRSVVNQSEAQNRVELFRHLPQYAHGTQLPDLESKIFQPDLLHPSVYKVGLQYLSGDISGGNARCIAMLLAFREAINDYSTPAEKILSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLALAMSESEAKASLQSDIDRFINEKIIVADKVIVSHAVTKIREDDVLLTYGSPSVVEMIFDHAHDLGKKFRVVVVDSRPNLEGQGLLRRLVAKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLICCEAYKFHERVQLDSICFNELGDPDVISRVPGGESLSHLKNWAENENLHILNLKYDITPSDYVSMLITDYGMVRCPATSHLTICVYMQITLHSSYLQPP >Dexi2A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:2A:32012317:32012861:-1 gene:Dexi2A01G0019940 transcript:Dexi2A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKAFHARTTKWSGCTGDFDAICPLTATRYSIMDLELSVMEPWRPWTASKEAMFSATLEVLCLFQ >Dexi5A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:5A:7850963:7851337:-1 gene:Dexi5A01G0010340 transcript:Dexi5A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQRNQLDSDSTLSGEQRNGGQVVFLPETKGPSQTLSPPAEGAEADRDPIWGEGARRLESPPPPASSSRAVRCPAAHEAAGRSLPPLNQWALHSPPVPLSIVCVVDWCGVEPASVGLPPPGLE >Dexi5A01G0028010.1:cds pep primary_assembly:Fonio_CM05836:5A:31341597:31344048:1 gene:Dexi5A01G0028010 transcript:Dexi5A01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTEREREVCRLLPCSDGDQKGFQDFISYLKNRECAGVIRIPAVNSMWTRLLFILPPTSEACGMLALPPHPSDCMIVVILPRETTVEAT >Dexi2B01G0036580.1:cds pep primary_assembly:Fonio_CM05836:2B:43345791:43346163:-1 gene:Dexi2B01G0036580 transcript:Dexi2B01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQGRLFTVGLVTAWYSSNIGVLLLNNTPIFLTMCHMAACSLFSYAAIAWLRLAPMQLPRSRLQLAKIAALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFATS >Dexi1A01G0015160.1:cds pep primary_assembly:Fonio_CM05836:1A:22072463:22074580:1 gene:Dexi1A01G0015160 transcript:Dexi1A01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQPHSQQTPAPAALEDRRRRAGGQDNRACHATFELGGGCAVAVLGLLVSIQRPLGGREADNGARVGPQPDRPSRERLTLAMAECSLASLRGQCQGRWTQSNSKLYSKSFAIGMPKRGYAHKIHLPFQSRAAIQWKSAAYSHLSFHGRGHRVETLAKCFHLQSLMDSESIVSPYLMLFSDEALLTISMFFAYLAGVIPSGQTSPAARNNGVHQHITEPSSSDSGRDLKSLPETNAGFDPSDMWSEVRAKLSEALQANVQDPSLNNREDDLKSDRKNYPLSMLAIHGGPRLRLLLITFQLLEMEARGTSQLSD >Dexi9A01G0005070.1:cds pep primary_assembly:Fonio_CM05836:9A:2771424:2772433:-1 gene:Dexi9A01G0005070 transcript:Dexi9A01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVADIPVPLAEEAPEAAAEEAPANPAGDAKPAKSKKAAAPRKRANPSHPPYAEMISEAIASLKERTGSSQYAIAKFVEDKQKDKLPPNFRKLLLVQLKKLVAAGKLTKVKNSYKLPAARAPAAAKPKPKPKAKPAAAKPKSKPKAGAKKPKAAAKPKATKAPAKAKPAAKPKPAAKPAAKPKPVAAKPKPAAKPAAKPKAAPKPKAKPAAKPKPKAAAAKPKPAAKTKAPATSSRATRPAKAAKTSAKDTPGKKAAPAAKKAAPAAKKAPAKKPAPAKKAVAPARKVPARKAKK >Dexi6A01G0007540.1:cds pep primary_assembly:Fonio_CM05836:6A:7447977:7450667:-1 gene:Dexi6A01G0007540 transcript:Dexi6A01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLDVPDEVVALLGPQLSLSLSSSSCGGAAPHLPAAGAGARAATTISVISANPQQQTPPRERERERDHKICDWARRDWIVSARDEEDTALQQQLLQIPMLRSTVHHPPKDWAGKSSKLTKSRLAIDGHSRIRTLVGWFHHKKPCQVSYSSLRWLGCSQLDCCLWPFGWRAAAPSVRAAVDLLLLVPVLAVVRPHRSEGGLFVASRRRTSRPQRPPLRRSSWIQRGRALRHAPAHDGEQGSYLPLAHSSTPSTVFIPAALPDYAKKAEAAEKLAEVMLLFLVLSP >Dexi9B01G0035020.1:cds pep primary_assembly:Fonio_CM05836:9B:36787142:36798483:-1 gene:Dexi9B01G0035020 transcript:Dexi9B01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPASSLECVSSCRAASWKGGGRPYECSVLSCAWNAPRALTGALASTAQCSSCGHAEAGGGWRRRGRPRRSNNSLLHIALDEDINKGGFGYGPSPAYSESFVRPWSTPVDRSWRTYCHPSESFISPETLWEELDWESTAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCNEGSSKQDIKAEDLRQMFLAMTEEVRVVIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVNPIGFAELRKRVEDLYKAHEQELEEANRILRQKIAEDQFLDLVSVETEVRSVYKELYSDLLWVSYDGKQFSSIRYICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSKGKVICLNNTGFALRVLYVLYYNRTDVFKAYSTVVDYAYLIHTEIGNKMIAAKVNGNLVSPIHVLANAEVVEIITYDKLSSKYAFQRHQQWLHHAKTRSARHKIMKFLREQAALSAAEITAEAVNNFVADLEDESDRMMAEVTSALTACGITICSCVAEVNKRRGMGVVLFHFEGSYENVVSACSSVDLILGVLGWSVGCSWCPMGVLEC >Dexi3B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:3B:4639466:4640461:-1 gene:Dexi3B01G0006680 transcript:Dexi3B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATGTVVLVDAALAPYEHPDLRWLVRQHVLGVLQEFPTLSPSVDTYTTDDGASAVLLNVRGLLAVVLLTVWLPREYPYLPPLVFAFPSSPSASLAPDHPFVDHRTGRVHHRSLPYLHDWAVLSSTLAGLVRSLAAALRMCHPLTTTRSPVEEERRRMRAVLVDELAARLRRDAAAFRGGVDEDIHAMTSMQAVLRERGHAMGAAVRQLEEERMRLESAVTASLAHRGKLLAWLNKASRAPELNDVGAALAPHVAAGDASRWLESRAAELAVDDAIDALGRAMENGELSFQEYMKRVKILAREQFFHCYAASKSMGT >DexiUA01G0027430.1:cds pep primary_assembly:Fonio_CM05836:UA:58717709:58721828:-1 gene:DexiUA01G0027430 transcript:DexiUA01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPSNPPLSRGPTPFSTAPAQLAGRLAFPSPAAADQWVPPRSARPLFLSAADDLGPPPSRTRVRLRRRVAVPRPDFYADVFPDDLPGMPPDRDIEFVIELQPGTTPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPALFVKKKDHSLRLCIDYRPLNAVTIKNKYSLPRIDILFDQLAGARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRIVLQRLRDHQLYAKFSKCHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCDEAFHALRKHLTSAPILAQPDCTKPYDVYCDASGTGLGCVLMQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSLAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVESYADTLCHEMAKLNLEIVPHGYFNHISVERTLHDQIVVAQLNDAMIKILKRKLSKEKVKEKYKCFRLDGQGVMWFEHRIVVPKNMELRRKILDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIAAYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTIYTAKRYAEIYLERIVCLHGVPKTIISDRGTQFVARFWEQLQLSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQVGERYTYGPDLVKEAEEKVRIVRENLRTAQSRQRSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEITQQCGPVAYRVKLPEKLSAVHDVFHVSQLKRCLRVPTEVVEQEELSMEESW >Dexi5A01G0034390.1:cds pep primary_assembly:Fonio_CM05836:5A:36466392:36468562:1 gene:Dexi5A01G0034390 transcript:Dexi5A01G0034390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHDCVFSGNVIALFLFLSPVVTFWRIIRKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERQIRLRMLGLLGIVTSIFTAVVLISLLALHGNGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIIIPNGCGSFLGLTQLILYAIYRNNKGGAAPAGKGEAAATAEAEDAKKAVELADAGTNKVASQV >Dexi9A01G0028130.1:cds pep primary_assembly:Fonio_CM05836:9A:32799064:32804216:1 gene:Dexi9A01G0028130 transcript:Dexi9A01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAPLVYRSFSSDNSSKAALLKAALDGDLGRIKGIMKSIGIKNSDRAAVFSFTMGGFGVLHCAACQGHLEVCKYLVEELKGDPNMAASEGPLEGATAFMISVQSGDIPTVEYLFDHGGDLMKTDAKGRTILHYAVCTGSTTVSEFLLSKGIPVDIDCGHGTPLYHACINEQDKTVKILLNHHANAGADVNGKGSVASPLVFATGCGGYTNFIHLLLKAGADPNIPDDGDVLALGQLPIELAAARDCREEVEMLCPLTSPIPNVKNWSIDGIISHVKLENAKTMKEHIKVGKIIIRSRADEAFRRKEYDMASKFYTEEYKQACDALLDAQKLDPGNDMTERELR >Dexi9B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:9B:1256852:1258916:-1 gene:Dexi9B01G0002210 transcript:Dexi9B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRENSRRLSGSRQFRQRLVLATLTSTTVTIDDIRSGDAAPGLRPHEVSLLRLLDKISDHHTIDLNETGMDLFPRALLMLAVLPALCLWLCGACRNEAEGLELKIDSRGSPPLGGGEVFLRVPIINSTLTAANWTDEGMVKRIRGVSFTTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSPGYGVSLVAETTTGCLLSVDVTVSYPSVDEMNEESEKPELMSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYGIETLRNIRDFLDIKFVIKPDPNSNTVTLICVGAGVKNLARKSS >DexiUA01G0001200.1:cds pep primary_assembly:Fonio_CM05836:UA:3598019:3600040:1 gene:DexiUA01G0001200 transcript:DexiUA01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKFRAKAVVLTVGTFLDGKIHIGLDNYSGGRAGDPPSIPLSRRLRELPLRVSRLKTGTPPRIDARTIDFSVLAQQHGDNPMPVFSFMGNAAQHPQQVPCYITHTNEKTHDVIRNNLDRSPMYAGVIEGIGPRYCPSIEDKVMRFADRNQHQIFLEPEGLTSNEIYPNGISTSLPFDVQMQIVRSMQGMENAKIVRPGYAIEYDFFDPRDLKPTLESKFIHGLFFAGQINGTTGYEEAAAQGLLAGLNAARFSAEKEGWAPARSQAYLGVLVDDLCTLGTKEPYRMFTSRAEYRLMLREDNADLRLTEVGRELGLVDDERWARYNEKLERIEQERQRLKTTWVNPQAETAAEVNAHLTAPLSREASGEDLLRRPEVTYENLVKLTAFAPGLEDAEAAEQVEIQVKYEGYIARQQDEIEKQQRNENTLLPEMLDYRQVTGLSNEVIAKLNDHKPVSIGQASRISGVTPAAISILLVWLKKQAYNLTSVRDPNEMLVRHILDSIVVAPYLKGERFIDVGTGPGLPGIPLSIVRPESHFTLLDSLGKRVRFLRQVQHELKLENIAPVQSRVEAFPAEPPFDGVISRAFASLNDMLV >Dexi6A01G0005960.1:cds pep primary_assembly:Fonio_CM05836:6A:5502268:5502591:1 gene:Dexi6A01G0005960 transcript:Dexi6A01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGREGSGRRRGRGGGCGGGGDGGAYGVSTPAGLNRDNQAGTTEAGSPGRRRRRPGRRGCVDEWRQADGGGRRSSSSRTRMNGDDLEHTNEWNGGDSLRQAPSSRG >Dexi1A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:1A:28407859:28408412:1 gene:Dexi1A01G0021680 transcript:Dexi1A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTVARASVLVLLLIIVSAFLVCAEAKDVNIQEFGLLTFLQCRVFAGGRELANEKVHKVGGYSKEHPATASEKGTTASGDMVKTNDYGRYDPTPAFSKPRFKLIPN >Dexi9B01G0029610.1:cds pep primary_assembly:Fonio_CM05836:9B:32099587:32100422:-1 gene:Dexi9B01G0029610 transcript:Dexi9B01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGELKLLSTWFSPFGSRVKLALHLKGLSYEYVEEDLTNKSQLLLESNPVHKKIPVLLHRGKALCESMVIVDYIDEAFAGAGPPLLPSDPYERAIARFWVAFIENKVTSASSVLLVEPWFRMLDGTRTRAEMTDGVKQMLAAVATLELALGQCSMGKPFFGGDSVGYVDVALGGLLVWVLASEALLGVKFLDGDRTPLLAAWAERFASLDAAKAALPDFGRVIKHAMMRRGAAASALAGNN >Dexi5A01G0029750.1:cds pep primary_assembly:Fonio_CM05836:5A:32807329:32810886:-1 gene:Dexi5A01G0029750 transcript:Dexi5A01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRSRSLQRKQGTRQVDGHMARMSGVISHQWAGEEPDMDANGGYMESLVATWNEWEIRMLVLTSLALQVFLLFFAGIRKRNVSAVLSLLLWLAYLLADSIAIYALGYLSQTRVPKGVDPHLFIKRTHPIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLTQVVALAVYVFTKSHPGADILAPAVLMFMSGIVKYGERTWALKCASMNNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEQERRAAAAVTVGLVAEEESQSVPYTAVIADASRFFVIFKRLFVDLILSFLERTRSQATFLRLTPEQGYKIIEIELSLMYDTLHSKAAVIHTWYGRLLRCLTLLSTSTACLLFNVHKPSTSYNHIDVCITNILFGGALYLEVYAIGMMLISYWTYAALQSCNCHFLSKIVFRSIQYFRPESRAKWSNLMAQHNLISFCLLDKPTVLTKVLSVLGLKGHLDSWLYIWHIDVSHELKTLVFSELKDKTASIVDAESYRKFSNHRGRWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFHSDNDNDNAKIARHVKISRAISNYMLFLLVARPFMLTPGIGQIRFGDTCAEAKNFFERAGKTTDARAAARMVLDVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQQPHKRWRVIRVVWVEMLCYAASKCRSNFHAKQLSGGGELLTVVWFLMAHLGVGEQYRIEAGHARAKLIVNPSRQMKKARTALAGTPGSETSSNSLIADLVLARDVADYIRFRAVCRPWRRCSLDPRLQCCLDGRYHPRQWVMLNKAHVGPRRRFLNVSSGECIRMDIPALEEHTLLSLTPEGLLLLFDEATLAVRLLNPLTQQVADLPPITALLTAELQRARRFGRRLGESISVSGVGVVSEASAVAVSFSSPMALVVAKPGNERWTLRYHGTGW >Dexi4A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:4A:23041272:23043773:-1 gene:Dexi4A01G0019220 transcript:Dexi4A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRPAARRLTLAPTPAPPGSSNEEAVHWAVQAALPHGGQAAGKLSADPLAGLRPRTYGSRFWSLAEEESSDEGQDEVEDEQALRHVQPGRPSAESACTASNAPATRASAGELTPNGCLSSGVVGSTPASKERARARGRKMAGATQQKKGPKPWRGPLPAARTSPTLTLADALAKARHNTTMAGRSNKSSGDGPDRSSVSSPTPATVAGNGLLKSQNSNFQSARPWARDLGEGGRVAGTVCGPGRSIQIRLGGRTAQFTFTAGLCALLAGAGRPRALKHPAYTPSTQNASSDSRIQSASTRQSSPANTRVAAADGEAAHRCKAMDRQGAGGHGRGYDMSRGRDEEQFVGGGGGFHGGGLGFDPGYGEVGGGRGRGWPRSGFRPRGSRGFAPRRGGFPGRPGRGGGAGRHGHYQRGPAAGGGSAPTPIAASDNQPANKPPPGPAFTTAGNVREPVESAHTAGSGAARMDWDQETETEEKEMSKAGKKEKS >Dexi9A01G0025870.1:cds pep primary_assembly:Fonio_CM05836:9A:27259254:27261394:1 gene:Dexi9A01G0025870 transcript:Dexi9A01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFPFAHRVEVALALKNVPYELVVEDLANKSALLLQHNPVHRFVPVLLHGGRVVCESLLIVEYVDEAFHHGAAAPRILPADPYDRAAARFWAQFIADKCLKPLWLSMWAGGDAQARFARETKESLAIHDAQLEGKKTRFFGGDAIGSSPSSPPTRRGIPDRDELVAFFAANKERIGQ >Dexi6A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:6A:21531366:21534725:-1 gene:Dexi6A01G0014130 transcript:Dexi6A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAAARPVPVRRPGALLRSPVPCGLPASRVAAPFPRRTASLKIYQLQLTARRFPKKSNSSEDDDLLSELRDKWDAMENKFSLPLYAGGAILAFWISLVIVRALDSVPLLPGILELVGLGYSGWFVYRYLLFQENRKELADNLDAIKKRITGDDE >Dexi2A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:2A:4098435:4100511:1 gene:Dexi2A01G0004550 transcript:Dexi2A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLVVKDDGGEISRRAMVLSIVGFGGLGKTTLAKEVCRRLEEEFPYQAMVSVSQAFEAGRDLEELCKRVLEQMEMVKAENARGTKDDGETPLLAADTRLSDNNKDPALKLMEFLKDKRIETVAKACSPAIAGQHFIHQMQRLKPEDSKKLFHVRTFGNKECPKELASTTDKILRRCAGLPLAIVSIANVLAGYTSAENKYKWESIYNSMGSQMESNPTLEGMKQIITLSYNHLSHELKSCMLYLSIFPEDYEIDKHRLLCRWIAEGLVMERRGLTLMEVAESYLDELVSRNMIELLPGE >Dexi6A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:6A:18795652:18796015:1 gene:Dexi6A01G0012460 transcript:Dexi6A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAAGTSCGVHRCISEFPSKCEADADRECAGLKSGGSHGCDSNCMSAWSDACESTCSPICDKNCNDQEQPESEYQPCRSAVSGRCKNECEAGCKGGN >Dexi7B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:7B:15089798:15092084:1 gene:Dexi7B01G0007150 transcript:Dexi7B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSKVKRHWPGKAPEWPHDAAAADDDVSFETALGRVFLEQQQKITVKQRCAPLREETETHAVKLRGDYYYRRVVWQEEILPTSDHLDDSERPPQLDDSEDDAREMEALEARRKRIRERQLPFLDHEDLPLLLREDDIDDVLEMEMDMESESEAESESDDEQTTAVALPTPVSFIPKSQRDTIAERQISLEEDQQRLEELEKKRLEHRNDETRRIVSELIRREELHHQEPDHTALNEAGCDGVVDDELNEPVELEAWRRRELARIKRGREEKTNDMRYYHKGCFFQDNPDDARQTTRTCGIFGRVFSAPTGEDNMMNKAALPEVMRVYTD >Dexi4A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:4A:22726433:22726627:-1 gene:Dexi4A01G0018790 transcript:Dexi4A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFGPTFGAMMISGQKAAHLALKALGRPNAIDGTTQTVPPVWREEFVIASKDDEVVDA >Dexi9B01G0029980.1:cds pep primary_assembly:Fonio_CM05836:9B:32461759:32462369:-1 gene:Dexi9B01G0029980 transcript:Dexi9B01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAASTGGDGKREKRTGTAAAMHACVGVLRLVCVACAIDWVSGTGSTPPALVVLMAAALAVGWFVSAVRPPPPTPCGTPGGPPVTAPRARMRDGRYLAYAESGVSRDRARFKVVYSHGFSGGRMDSPRASQSTGTGAEHVGGHW >Dexi7A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:7A:26059980:26064828:1 gene:Dexi7A01G0016110 transcript:Dexi7A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRVPASQAPPRLQPWPSLGRALAIGVLWRGALLGCLQMSPAPPPTCWDWGWLAEVTDLAHPLVSVERYYINYKLMKKMLKQYVQQTQHGGKDREQVLKEFSRILDDQIERIVLFLLQQQGHLASRIEELGERRTSLLEKYDISQVSQLRDGYREVGFDLIKLLRFVDINATGIRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDHPSVTLRDPVIDQEDAQSGSEDLADDQSYHFMSLMLNLVNTLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLTFNQSTLPGWVMCVAWLIYLLWLWLTFKEPEHFTKTVVNEQPSESGKLSSHQGNANLEEGLAQPLAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSAVAIFLAILGLTVLPVNAIVGIYITDLFEDRQILLASEVMVLIGIIMSFCFTPHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITAAGYLGTDLLLNVTLLPPLVICIVSIAATLYTYNTLY >Dexi1A01G0022970.1:cds pep primary_assembly:Fonio_CM05836:1A:29583744:29586164:-1 gene:Dexi1A01G0022970 transcript:Dexi1A01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCFGSDVPEVGAVKAMAHAHHAHPQVAMAKRVMAVPTTAHATVNPGTAMPGRPLPSAPTTSTAGTTGTKRPANGSSEASSLDGRILEVPNLRVFTFAELRAATRNFKADTVLGEGGFGRVYKGWVDERTMSPARNGAGMPVAVKKLNPESLQGVQEWQTEVNFLGRLSHPNLVRLLGYCWEEKELLLVYEYMAKGSLENHLFRSEPRSKGTAGVQPLPWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMVTGMRALDTDRPAAQHNLVDWAKPFLADRKKLARLVDPRLEGQYSSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEIESMSRAPATTRLDGSASPRPAAAARNGQGRQRPGSSSGSSSMDWAGPAPASGRGTHPSPRAG >Dexi1A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:1A:21288867:21291791:1 gene:Dexi1A01G0014600 transcript:Dexi1A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPYKRHPSSTACAPTPNPPSPSLSSSLRSLSLSSPRGRGRRPRPSNKIIHATGCVSRWSPLPHFSLFPEDGDGDGDGEEPTLRLEPFPCEPIERKTGAKPLVLVASSPGQGSSGSTAAAVATIADRFLPDLLAAAERAKAGYVTKEEELVKLSLVARVGKVLFQSQPNGSPVSLETLRQATKAGEDGTKSQLHKLFYTNVPSECLDDMEQSMVKKMALEFDSSKEHYHVKVFDKYQSDSTMSCKCTVDENGSLSIHKVEWNQVRHLVEDISCLFKDLDLRLMLCTERILKTLDSEVENALKSLVSSAVIDPDVKGGLRWPLGKESIGDRFSIVGVWHTNYKAFRNESLRLKLRHADRFDHRSSTGEVSNEVTFKLIGMSRRLEDDDPEETSLKKMLESSVQMVWDNALNYKIAP >Dexi8A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:8A:6151153:6161029:1 gene:Dexi8A01G0006120 transcript:Dexi8A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSLFTPSTSLLPTRTSPPRLLRRRSSNPLPRATPCPRPKKPPPPPLSCAAAAAPTHGPALATSTKAAGSWKELCSLNAWVVRDYRRLVDSLKAKTAEFRTRLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTVELKGNNIDLTEDGVAHAEIILGTYDLWDENDPWARFVTNALKAKVFYRRDVQYIVRDGKAIIINEADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFQLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKGLTKIQIGPSSLGLLAKAAIMAKYVHKSERNEWSFVKAKSTIAESIEMGQIIGMEKLQERLAEEFEMYPLSDAIGLAYLSVLRDCEIHCSAEGTEVKTLGGLHVVGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEIFQKFNLDTEWAVRLISRITNDEDIAIESNVVVNQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADEIVLQNIDPQKPPKTWNLAKLLDEFVSLGGKLLSESFEDIKQENLQSALQQMHRYGSAKADSFGLPNMPVPPDSFRGIRKKTSSIMRWFAICVDDTSKKGRYTNTANLLRKYFGDVLMATYLNAVEEYRYDDAYISGIEREVLLKTLDALWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRRTVEALLHYWSSPMESDDIFNTGDQ >DexiUA01G0002560.1:cds pep primary_assembly:Fonio_CM05836:UA:5774619:5776102:-1 gene:DexiUA01G0002560 transcript:DexiUA01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDVQDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKTLIVDIEPDEHVKRAMNEINAGKFLMLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKFV >Dexi9B01G0042100.1:cds pep primary_assembly:Fonio_CM05836:9B:42416936:42419555:-1 gene:Dexi9B01G0042100 transcript:Dexi9B01G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGAAAAAAAVSLIACYILFRNRSTKVPWAPASRSSCTSGRRTRRRGLVEAIGNTPLIRINSLSDATGCEIMGKAEFLNPGGSVKDRVAVKIIEEALESGELICGGTVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAAIEKSQIIKALGATVERVRPVSITHRDHFVNIARRRALEANKLAEVQTQTNGLALVDSKTTDDKLAIMQRESNRTQNNDPSHVSAEMPHSRKCNPSSDSKGGFFADQFENMANYRAHYEWTGPEIWEQTKGSLDAFVAAAGTGGTIAGVSRYLKEKNKSIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTRNFMMAELDGAYRGTDREAVEMSRYSDCLTAFTWNIKLRFLLRNDGLFVGSSSAMNCVGAVRVARDLGPGHTIVTILCDSGMRHLSKFFNDQYLADHGLTPTATGLEFLDK >Dexi1A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:1A:25623944:25624663:1 gene:Dexi1A01G0018390 transcript:Dexi1A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSDSSGGGDDRRIPAAAATGDGCSGETSKKRRTEEPSSSSSGAGECSSTPASASVQAPPPPTQREQSGPDAREGEGEQPPVDADAGGEGEQERVPDLGEDLLFEVLMRAEARTLASAACVSRGWRQLARDERLWEAACVREWANLGFSEQMLRMIVLSFGGFRRLYVQHIRPVQLRAAGVPPGQRRGQVPVRLGRDQVQVSLSLLSTSFFLNMPNAPPPEKDKDNDKDKNEGGQCG >Dexi6A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:6A:22313750:22314133:-1 gene:Dexi6A01G0014980 transcript:Dexi6A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVSQFDCNISNTLYNFTKASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQVYKALAFTLLSYV >Dexi9B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:9B:7796334:7797853:1 gene:Dexi9B01G0011870 transcript:Dexi9B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADSSLARFLVTSIKRAARLRCGEQLHALAAKSGLLVSNPFVRNSVLAFYSRLPSSPGSAHQLFDETPPPLRDAAARNILLAALARGGQLDRAQRFLEEMPHGHRDAVSYTTVVTALARAGHAGRAVAVFRCMLAGDVVPNEVTLAGVVTAFACHGAPATVGVAHGVALRRGLDVFVIVATNLVHAYAGVSELCSARAVFDQMLDRNTVTWNAMLNGYVKAGVMEMAAEVFWRIPEKDEVSWLTMVDGYIRADLMTDALKAYVYMVGEVGSNGNETLLVDLVKACAQYSLVAEGQQLHSVILKNGFDAHAFLQATLIHFYGCCGLIGLARMQFTLSDKSHIASWNALLASLSRKNLMHEAQQLFDDMPERDTISWSTLISGYVLNRCSDIALQLFFSMLNAGAEPNEITLASALTAVAESGTLAQGRWIHDYIISRSFRVTDNLSAGLINMYAKCGSLADAVQLFNHYKD >Dexi5A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:5A:9633585:9636321:-1 gene:Dexi5A01G0012860 transcript:Dexi5A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGSNVPAAAPFSLVWGESPKLYLSYGTAALKGARPTLTDAVAAMASFTALSPPMGLDYFAVFDARRLGAAVAEQLPAKLAGAIAEQVDDELTTENPRFVAAPHDMDGWWRTVVQKAFRAVLEEVAGNGNGAGEDALVAETAVVALVLEKYIVIASSGACKAALCRGGLHVELTPERRANNTYDKQAVGVIDLNSEPQDNFVLQTTHTSGSGDTDVGVIDLNSEPRDSFVLQTTLASGSGDSEVVIPELDVMVVERQAHDEFLILGSGGLWGAVAPTQACAFVLQRLGVTSRITMQWKSPMGAKGSPDVLARELANKAVHAGSKDNISVAIVLFRDFWAQKDGEGVRISAPSI >Dexi1A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:1A:28215080:28217700:-1 gene:Dexi1A01G0021450 transcript:Dexi1A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAASSSPPAAITCRAAVAWGPGQPLVMEEVEVAPPGPMEIRVKVVSTSVCRSDVTAWQSKAQPDLFPRIFGHEASGVVESVGEGVTEFQVGDHVLTVFIGECKSCKHCLSRKSNMCQKLGLERKGVMHSDQKTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRVCLLSCGVSAGLGAAWNVADVSEGSSVVIFGLGTVGLSVAQGAKLRGASKIIGVDINPDKQEKGKSFGITDFINPNELSEPVQQVFTAHNWALAHHSPFGITPFFLTVIKRMTDGGADYSFECVGDTGVVSTALQSCSDGWGVTVTLGVPKAKPEVSAHYAFLLSGRALKGSLFGGWRPKSDLPSLVDKYTDKEIQVDGLVTHDIPFSDINRALELMLENKCLRCVIHMSQ >Dexi2B01G0023730.1:cds pep primary_assembly:Fonio_CM05836:2B:33267373:33268375:1 gene:Dexi2B01G0023730 transcript:Dexi2B01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGSKRFVLQVEMQCRCIGCVKKVEKAMASIRTLSGIETSVGDVDSGIITLVGKVDPTEVCHWLKKKTKKSVKVVHPDPAIQNRNQKTVVVVRSSSTAWHTTPSAPPLQDDTSWALAPAVYQHDHKILEMIEEKIRGLEKVRDQLKIKNLENELVAAKNELKQSREVVDSSKEALLDSALNQLKAYKNLEALSQSPYD >Dexi1B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:1B:1473317:1482746:-1 gene:Dexi1B01G0001850 transcript:Dexi1B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLDPSLAVAASARPRCGLAATALVRRRGGVAAPARRGGFSCRAGSAASAAERTKEKKRIKPTKDRVQLQFCLDHQVKFGQHVGIIGSSKELGSWKTPVEMEWTPDGWVRQLDLPGETLLEFKFVIFSKEGTPEVKLSGEADDALEERANISGAGDSYLTPELESSTLGGQWQGSGTVFMRSNEHGNKDSERKWDTTGLDAVPLKLVEGDKVSRNWWRKLELVRGLLSKSVDDQSRLEALTYSAIYLKDLLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYSEAFVEQFKIFYSELKDFFNAGSLLEQVESIKESLSESASEALSSFVKTKKNLDQLEDAKDLDKNGGIQVLLKTLQSLSSLRSFLIKGLESGLRNDAPDTAIAMRQKWRLCEIGLEDYSFVLLSRYINALEALGGSVSLAQGLDRNLSIWDDALDALAIGINQVSFSGWKPEECIAIGNELLSWKQKGLSETEGNEDGKYIWALRLKATLDRTRRLTEEYSEALLSIFPERVEVLGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTVLLKATRAVLGSSVWDVLVPGVAHGALIQVERIAPGSLPSSIKEPVVLVVNKADGDEEVKAAGNNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDETIANVRLLEGKHVRLCVNVMSGVLELSEASVESSGAKAAACGTLSVLASLSNKVYNDQGIPAAFRVPEGAVIPFGAMEDSLKKSGSLESYTNLIERIEAAQIENGELDILSSELQAMISLLSPSKEIIESLKNTFDQNARLIVRSTANVEDLAGMSAAGLYESIPNVSLSDPSSFGAAVGQVWASLYTRRAVLSRRVAGVPQRDAKMAVLVQEMLQPDLSFVLHTISPTDHDPRLVEAEVAPGLGETLASGTRGTPWRLSCDKFDGEVTTVAFANFSEEMVVLTSGPADGEVARLTVDYSKKTLSVDATFRRQFGQRLAAIGQYLEQRFGSAQDVEGCLVGKDIFIVQSRPQP >Dexi8B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:8B:19678555:19681227:-1 gene:Dexi8B01G0011050 transcript:Dexi8B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLIFLLCLLCIVSSAERHLVANLPGFNGTLPSQLETGYVSVDEKNGVELFYYFIQSEGDPRHDPVLLWLTGGDRCSVLSGLAFEIGPVRFIIEPYNGTLPRLQYNPYSWTKVASIIFVDSPVGAGFSFSRNLKGYDVGDVSSSLHLMEFLAKWFREHPEFLTNPFYIGGDSYAGKIVPFLAQKISEDLESGVTRPALNLKTYKSYLSYFWANNNVTRDALGIKMGTKHEWLRCHDGDLPYSRDIKSSIKYHYNVTSKGYRVLVYR >Dexi6A01G0021170.1:cds pep primary_assembly:Fonio_CM05836:6A:28513438:28514290:1 gene:Dexi6A01G0021170 transcript:Dexi6A01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRRQRLPAVALHVQEEATGPRRRLVGHPQPSFLLRPGPDHELRTGAGAAAAPAAEPPVVDVPHDVNHLPVVQHGGAVAPESPSDPAHLRHLLPVDAHPELPVAAAARVHGHLVLVPALPEPAPRDVRCRRATHLLPPADEDRAARGGGAGSDAEAAGEVARRRARAEEERELAISHDGEGSRSGSGDVADGYLASAVSQCTPTAVPAPVTSVRLPRLFCSTTSPLLPDDDGFVAGAGESPPSSNATATPGAPAAVRVQVTRTSTSLPEDSRALYTLVVAA >Dexi3A01G0024110.1:cds pep primary_assembly:Fonio_CM05836:3A:19704918:19705276:-1 gene:Dexi3A01G0024110 transcript:Dexi3A01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVDDGTTQCRDAVAPPETKATTQSRNADTLGRRQTGLRVGLLSFVTASPPPDPDALTAPIGSRVAVASTPTCPHHRLRFPHPPKQNEAARAREHEGKSRTAAKRHTPTRTN >Dexi3B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:3B:10872569:10876413:-1 gene:Dexi3B01G0015030 transcript:Dexi3B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWSIYRRKPKKSFDNIPVSQIPDVSKEIAVDEVREDVVVQNFHVQETHALAVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEYATNRFSKENVIGEGGYGIVFRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWIHGAMRQHGVLTWEARMKVILGISKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMELKPATRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEDVPSREDRRSRRGHSSNADNESKAGSSEFEISSDRRESGPSARFQS >Dexi9A01G0022140.1:cds pep primary_assembly:Fonio_CM05836:9A:17162062:17164939:-1 gene:Dexi9A01G0022140 transcript:Dexi9A01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFRRRGARVVGVAGNPSSYHHSSRIATVSRQEPELVGPARPTLRETKRLSDIDDQQGLRLHVPLVLFYRRRVAGGHGDDPAAVVRRALGEALDKWKNLVKACKKVIFSYIFLVLHLSLPVAALQCISLIQDSGRMLLPLEQSLIQRIMEIDHNDPYPKQSNDSALDRLAPFAPNLPLVLQQPPSLTARWSVVKARTKRDSMHTLKNSNKNTPRGPSSFRIGTNETN >Dexi1B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:1B:493280:493885:-1 gene:Dexi1B01G0000550 transcript:Dexi1B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPQGQKHDEQPHAQRRPMPTTTSNRPGGSTIATNRWGPYSGAGDFASNMAVILAALLATLALALALNAAVRYILRRSLRRPQGAGSAAEAAADRDPEKASSPPPPPPPPPPELVYSAAGTKLAGAAAECAICLAEFVDGDAVRVMPACGHGFHARCIERWLAGGRRSSCPTCRAPLASAAGAAAAHQPDEAAASSSSA >Dexi8B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:8B:17204625:17206705:-1 gene:Dexi8B01G0009650 transcript:Dexi8B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHMDVVHQFIDATATTPLAVQLPLLLLFIVPLILLLHLHVASRNRKHQKKPLPPSPPALPVIGHLLLVGDLPHVSLRDLAAKHDHGGGLMLLRLGAVQNLIVSSPHAAQAVLRTHDHVFASRPASTLVDRLVYGSSSVGFAPYGEHWRQVRLVIAKLRETTAVGAEVDISEIVNAFANDIVCRAVCGKFFRAEGRNKLFRELNHITTVLIAGFNVEEYFPGLSKFLGVFTRFTSNKANQTHKRWDRLLEEIISDHERRRSSEHGHGAGGEFEQEESDFTDVMLSVQQEYGITRDHIKAILMDMFEAGTATSTLVLEFAMVELMRNPRLMAKLQAEVRNMTPKGQEMVKEENLPSMIYLRAVVKETLRLHPPAPLLVPHKSMADCDIGGYTIPSGTRVIINTWAICRDPKSWENADEFMPERFMNDGSAVDIDLKGNDFQFIPFGAGRRMCPGINFGLATINIMLANLMYCFDWTLPAGMVDDDIDMTEVFGLTVHRKEKLILVPKTT >Dexi3A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:3A:973824:977733:1 gene:Dexi3A01G0001400 transcript:Dexi3A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGALPSLLPKLADLLIGEYNLQKGVKGGIIFLQAELETMKATLEEISETPPDKLSKVDKIWARDVKELSYDIEDKIDAFMVRCKGQDSRLAEEQHGLRKIITRSHNLLTQPNIRRKIATDIRDIKSRVMEVHERRQRYEVNNNQGIDKPVKVDPRALVRYEDVSKLVGIDEIRDEVIKILIQGNNQRVVSQQQDKIVSIVGFGGLGKTTLANAVYENLRKQFDCSAFVPVSRTPDVDKLFNNLLYQLAKRNNACTTDNAIYELREFLGSKRIYLSASSDDQNLSLLVIFVAEIQQEDLKTLGKFPALRYLLMQQRGKRGPDAPTRELVICGGSFPCLLRCKLLGHYERVVFQQGAMPSLTSLELHIYRRVWHAWEPTGIPNLGFENLPSLLELRVGLREGHGDPSKEEARAALRNMAEIHPNHPNILADDNY >Dexi1A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:1A:15161375:15164067:-1 gene:Dexi1A01G0013010 transcript:Dexi1A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPALLLLALHLCSDTAAQQVGVGSGPPASCATSCGNVSVPYPFGIDAAAGCHLPGFALTCDRTRNPPRLLLPGADGTFQVVGISLADATVRVLNTAGAVNLTYDGGVNGNGTWGGSGSGNSSSPYVVSERRNRLVVTGCNIQVTLVGDVASGNIITGCSSFCSITDSWTGAVLTTTGQEDAACSGIGCCETPIPIGRPTYGVQYRNLDESHELDGEVPTAVRIAERGWFDGVVAARMLNKSLSDATARTAVPVVLEWAVASTPVVVPGVAPDGGNSGYVCRCWEGYAGNPYLADGCQDIDECAIPGKCFGVCVNTAGAYACRCPRGARGNPHVPGGCIKSIDIGLSVGLGIGSGAGLLFLVLGVAFLTRKCKHHKARSLRQKFFKQNRGYLLQQLVSQKADIAERMIIPLVELEKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKVEVAIQREIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHVDGPISLSWMDRLRIATESATALSYLHMATSFPIIHRDIKSHNILLDGSLMTKVSDFGASRCIPADATGISTAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELITRKKPYSYRSLQEDSLVAHFTSLLSQGNLVPVLDPQVLEEGGEEVGAVAALAASCVVLKGEDRPTIRHVEMTLESIHAALQKDTLDNVSTKLSKDKQVVLSHTIGDGRSREESSRQYSLEEEFLLSARYPR >Dexi9A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:9A:9181423:9186004:-1 gene:Dexi9A01G0013970 transcript:Dexi9A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAELKEKHAAATASVNSLRERLRQRREMLLDTDGEASAPVAFLLLLPLLSVRIPLEGGVNSRAYSDCSLCRAVARYSKAQGRAPVSFQPTDLVCCRTLQGHSGKRISIFGGEFPSGHTADVQSVSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDVNSVKFFPDGHRFGTGSEDGTCRLFDMRTGHQLQVYSREPDRNDNELPAVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVGIIFGHSADTGK >Dexi3A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:3A:4146075:4151606:1 gene:Dexi3A01G0006320 transcript:Dexi3A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILLLMLLLALPPPSTMALTPEGLALLAFKAAATDDPYSALSRWSESDPDPCLWPGITCANVTSSSTSTSPRVIGVAVAGKNISGYIPSELGSLLFLRRLNLHGNRLAGGIPAALSNASSLHSLYLYGNRLTGRLPIAALCDLPRLQNLDVSGNALSGEVPLDLRNCRSLQRLVLARNALEGELPAGVWPEMPNLSELDLSSNGFNGSIPPDLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPGLCGFPLQVPCRAVPPPTGSPAPPASTTTTPLASSASDRNHQPLKTSLIALISVADAAGVALVGVILVYVYWKVKDRKERRRGDGHDDDAGDSSKSGGGLCRCMLWRHGGGSSDDASSTGDEDDDGKYNSGGGGGDGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRRLGGGGGGAERCKEFAAEARAVGRARHPNVVRLRAYYWSTDEKLVVTDFVGNGNLATALRGRPGQTPLSWSARLKIAKGAARGLAYLHEFSPRRFVHGEVKPSNILLDADFAARVADFGLARLLAVAGCVPDGPPSSGGGGLLGGAIPYSKPTGPAPERFAGGGYRAPEARSPGAKPTQKWDVFAFGVVLLEMLTGRGPTGADHASPSTSASFSAPVSGSTATDRSGSGEHGGAVPEVVRWVRRGFEEDARPVAEMVDPALLRGPALPKKEVVAAFHVALACTEVDPELRPRMKAVADGLDKIGS >Dexi2A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:2A:1614597:1614973:-1 gene:Dexi2A01G0002110 transcript:Dexi2A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATVMVLAAALAVLLLASASVASAGRADPSAAVASAAASSSHDHQTTTQGSTAAAGGGGCEGANDEDECMMRRTLAAHTDYIYTQEHHN >Dexi1A01G0024240.1:cds pep primary_assembly:Fonio_CM05836:1A:30845537:30845755:-1 gene:Dexi1A01G0024240 transcript:Dexi1A01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSVGADGDGGCCGVGDTSPGTIVWVRRRNGSWWPGRILGPEELPPSQIMSPRSGTPVKLLGREDASV >Dexi9B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:9B:12866649:12866984:1 gene:Dexi9B01G0018110 transcript:Dexi9B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRVMVDAREAEEMRRRNAELEKAVAEAAAREERLRRELEAALERLAVAEEAEERLCVQLGELEAEAVTQAIEYQEHVRALSERLSFADGVLRRSSGASTSSAGVSIRN >Dexi5A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:5A:7341275:7341539:-1 gene:Dexi5A01G0009790 transcript:Dexi5A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSALLLSLAAVHCKPSPSQSPFRADLVNVHDTSEQVTLGSNLHLHGMTGHSGEVRPWPSCAHARRRRKEKINRSRRR >Dexi9A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:9A:167188:168144:1 gene:Dexi9A01G0000240 transcript:Dexi9A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSDQYRSSSSSASSPARRYYLPKPGALRRPISFEDSPDWDDIHLDDNIHLATAPSAAASISSSAYPSPSPSLQPGPSASGAAAASACRERKVAGATLVWKDLTVSSLSASTNRFSDRLVKSSNGYALPATLTVIMGPARSGKSTLLRAIAGRLTAAERMYGEVFVNGAKSRLPYGSYMIHG >Dexi5A01G0014310.1:cds pep primary_assembly:Fonio_CM05836:5A:11275720:11276758:-1 gene:Dexi5A01G0014310 transcript:Dexi5A01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANLFLKQAKQYVATRPSYPPELFDFIASKTPRHDLAWDVGTGNGQAAASLATRYKAVVGTDTSAPQLAYATPLPNVRYVHTPPDLPLAGIHDTVAGPSTVDLITVAQAFHWLDLPRFYAQARSVLRPSHGVLASWCYTEPRVSAAVDAVFWRLYHASWSEKYWAPNRRMVDDEYRSADFPFVPIDGEAHTGPFEFSTERRMDLDDYLMYITSWSAYQTAKDKGVELLDEATVREFEEAWGGDTKEVKTVTYPIFLRIGKVRAE >Dexi5B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:5B:5037676:5039606:-1 gene:Dexi5B01G0007460 transcript:Dexi5B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVADMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSVAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDISGTCHPTEPQTAAVFVALYLIALGTGGIKPCVSSFGADQFDENDEGEKKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQKPGGSPLTRIAQVLVASARKWSVAVPADKSQLHETVDKESGIEGSRKLEHTDQFMCLDKAAVVTAEDRNAAASPWRLCTVTQVEELKSVVRLLPIWATGIVFATVYGQMSTMFVLQGNTLDQRMGPKFSIPSATLSMVDTISVIIWVPVYDRIIVPFVRSITGRPRGFTQLQRMGIGLVISIFSMIAAGVLDIVRLRAIARHGLYGEKDVVPISIFWQIPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGSYLSTVLVTIVTNITTKNGNLGWIPDNLNRGHLDYFFWLLAVLSLLNFLVYLVIAGWYKYKKTADYPDTKGEHNQDN >Dexi9B01G0044240.1:cds pep primary_assembly:Fonio_CM05836:9B:44054030:44056672:-1 gene:Dexi9B01G0044240 transcript:Dexi9B01G0044240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALIQIGFQISYVLWVKYWLQNFQRAVTIHVKCCILHHQRLAMKFVPVEDVEPLSLNLAISAPVIAVASPSALRAWLKLISKVDNWNNSIACIGETTGSAAKKLGLKSIYYPTTPGLEGYAHIMVPVEVLSNIILFPCTRRGR >Dexi7B01G0022840.1:cds pep primary_assembly:Fonio_CM05836:7B:27656693:27657017:1 gene:Dexi7B01G0022840 transcript:Dexi7B01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQCFAPAVKADGSFRINRLEMVTGSSLVGDCPGDASAVGRVVTNMVRSVFGVLIDAHIGSVLGGEVFRRLERRVAERAEEMVQGLRLPNVVCSLSLA >DexiUA01G0026820.1:cds pep primary_assembly:Fonio_CM05836:UA:57344588:57345798:1 gene:DexiUA01G0026820 transcript:DexiUA01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMAAVVIFFVFSAGLSAAAAAVVEHTFVVSRVNMTRSCKEILVTVVNGQLPGPAIEVTEGDSVAVHVVNKSPYNLTIHWHGVKQRLNCWADGVPMITQRPIWPSHNFTYRFDVPGQEGTLWWHAHVGSFRASLHGAIIIRPRHGASSYPFPEPHREIPIMIGT >Dexi6A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:6A:6179551:6187666:1 gene:Dexi6A01G0006420 transcript:Dexi6A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLEDQKEEDDPVHSSRLRSANPNRKSRKTVSPKVKVTAFRGYVPRFLTEPWSKKGEHYDSDEETGGMYNRLLSTDPEELTGGSDIETVEVNLPQNALSTSVSREVDVAEESALDMLEVELSANALTNISLEQVEAVDEVAVEEDEFEEDFSGIELSSDAVLELDSNDEAKAKEDILVVDSLGISTNSDKMSEVVDEAEAEHDRAEVDLLEFSPNDAVMDELDLMDEAWAIRERFDVDLPGNASRSGAYGIVGEVGEPLAEEDTFEMDSVNSASDSETYMSTKSSEETSEAQNEQRQYPTVPSTSIEDKAINGTHETLKPESMPLVRVQGQDKLVFDVDEGSVVGIHEEDHPMEHYHWQEQNTITFDEQKQLTARFPEDLSTVQFPEQNHDIVGSPEHDVGFSEQNQVAIGSYKQDQSIVRSHEEEQGDIIGSHRQDKSIVGQPEQIQSVVVYSKPDQSIVGSCRQDESIVLVPEQIQSIVGYNKPDQSIVSVPENTQSLVGYSKAEQSIIGLRKQQQSMVHIPEEKQSIVGFHKQDLSSKESQTEKLDIPRSDTFMEGVEAKDGDYKPEKIDEDTLHAKSDVNLLAKHEEHLAMIEEQESTEEEQFIVSEEGLDEDMLHAKSDEKHEEDLAMVEEQESTEEEQFIVTEEGISVVDMGKSANKFQHQLSEEERVDDELRITEDEEHYEVEGTSVSAEQDIQSPQDDMDPQVLQRMLQGLAEKNYSLGSKLFVYPEVLKADSTIALYFNRDLSALANEPDVLIKGAFNGWKWMGFTEKLHKSELGGDWWSCKLYIPKQAYRLDFVFFNGRTMYENNGKKDFVMKIESTMDERLFADFLVEEKKRELERLAIEEAERKNQTEEQKLIKEERIADEADRAKAKAEVQTKKNKLQNISDLAKASVDNLWYIEPMATRQEATVRLYYNRKSGPLVRSTEIWMHGGYNNWIDGLSFSERLHRDDEDSDWWHADDEMIHLRFFKFVIYSINHNDNTCSFCTVVLPERTYMLDWVFADGPPGKARNYDNNGRKDFHAILPNNMTGEEYWVEEEQRIYTRLKQERREREEAIRIKWSPPFPVNVPQDAYIMDFVFSESEEGGIFDNRNGLDYHIPIFGPVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVKNLHIHTSFSWEGSEVKVWRGLVEDLCVYFLEPQNGMFNVGCVYGRNDDRRFGFFCHSALEFLLQSGSSPNIIHCHDWSSAPTAWLYKERYAQSSLANARVVFTIHNLEFGAHHIGKAMKYCDKATTVSNTYSREVSGHGAIAPHLGKFYGILNGIDQDIWDPYNDSFIPVHYTPDNVIEGKRAAKKALQQKLGLQQTDVPIVGIITRLTAQKGIHLIKHAIGRALERNGQVVLLGSAPDPRIQGDFANLANTLQGENHGRVRLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLVAMRYGAIPIVRKTGGLYDTVFDVDNDKERARAVGLEPNGFSFDGADNNGVDYALNRQAQAISAWFDARSWFHSLCKRVMEQDWSWNRPALDYIELYRSASKM >Dexi7A01G0023510.1:cds pep primary_assembly:Fonio_CM05836:7A:31466657:31469082:-1 gene:Dexi7A01G0023510 transcript:Dexi7A01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQVM >Dexi5B01G0030830.1:cds pep primary_assembly:Fonio_CM05836:5B:31669181:31670402:1 gene:Dexi5B01G0030830 transcript:Dexi5B01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding TELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQTKGGAAPKGSKGPKIGGGGGKR >Dexi2B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:2B:12526596:12528793:1 gene:Dexi2B01G0010990 transcript:Dexi2B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPVNPQRLSPAEFRERTVRFFQGLGVDVPLPASGERLDAYGDLVRALLSSAVVSSSRVSCTLTMSPALANQFNTLHGGAVAAVAEAVGTACARAAAGDKEMFLGELSTAYLAAARIDHSLFRIESIFTTWRVGNHRGAGLPSAGAAKQSTQAEDEMAEGEPGKKLSPARSRAVAQAFIRALGADARLPSSADLPDAYSALVRAVLSSIAVSASPAPRVSSTITVSPAVTNNYNTLHGGAVAAVAEAVGMACARAAAGDKEMFLGELSTAYLAAARLDVRFQLNSQHPDAFCFPDNIDLHTI >Dexi4B01G0023660.1:cds pep primary_assembly:Fonio_CM05836:4B:24973938:24975942:1 gene:Dexi4B01G0023660 transcript:Dexi4B01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPRRRICRALREEAITSSTHTAAAGAHDIDEDDAVLLPDWEVLVLLRPGAPAPATTSSWCVFPGSGAASPARSLGRMPTSGRHAYTCAMPVPERRHNKPFFRAPTLRLTTTTTPSSSETPPPREEGREMLRWRSGRLAYDAAALRDTGDVLVFAKGVNPRQGVNRNASDVRCVYYRRSDGVVASLPAATSAQQVFRCPPPPPETTTTGKGILRVTLAVAGGEPIPSMATYTPPPPPEDKAAVVCACTMVRDVAKFLREWVVYHSAIGVDRFVLYDNGSQDELDGQVRQLVTAGGLTRR >Dexi2B01G0011030.1:cds pep primary_assembly:Fonio_CM05836:2B:12593028:12593634:-1 gene:Dexi2B01G0011030 transcript:Dexi2B01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCNGSTRFSRAELLQASMELRHHALGYVKSMALRCAVKLGVANAIHRRGGVASLDDLLAELFLPSGSSPVPTATTAFKMTHGEDLWGVLGRDAALRGFFDGALASDTRFVMDMAIRVSPKVFDGIASLVDVPGGAGAAARAVAAAFPRIKCTVLDLPQLAPADGLVDYVAGDMMEFIPPADAVLLKVKICNVYS >Dexi1B01G0003260.1:cds pep primary_assembly:Fonio_CM05836:1B:2611380:2613862:1 gene:Dexi1B01G0003260 transcript:Dexi1B01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVGEDENVQKRSDPATEKAAAQVFQGGRVKTPRECDGDQRSAARGTEEEEEEEEEEAIRSYAIHRKSWLSIFLKNGAIPFEAETQYPPMRYTDVPMLPTTAGPGDTMEVFFVKVTQITRDLQWPLDVHGIFAVRDSFDSRRNYLFRRGRDNCQTLTSPHTLFHILSVLISHYISTDSLLELTGPSRAILLWDEPVFEIDLKVKDKESSSSEGDKILCLDFFGYKNISYTGSKSYTITKVRSSKRSTVEVRFAHVRRSVEATITARIINGSGNFSARLTACNTSIGEDVVLLDTRGQEVPVIKDGEVTLQRRVVVVEDRAELILGIKAEQLGDTADSSTKVEKKFGFLVKSALRNEGYFNIGSSRLHMVIAWSLLP >Dexi2A01G0024400.1:cds pep primary_assembly:Fonio_CM05836:2A:36123973:36124997:-1 gene:Dexi2A01G0024400 transcript:Dexi2A01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTILLCLSLGLLAAANAVPFDFYYLVLKWPGSICSDPCCMPKYGYPSEDFYIESFQAFDVSINKPIVRCRNSESFDIKKLDPIENSLKHYWSNINCPPTDGVDTWKSAWNSYGVCSGLKQLDYFEAALDLMKKAGVLVALSEQGINADMDMYSTEKIKWAVRQRIGVEPVVQCKDGPFVKRLDKIYLCVDTDAKTFIECPKLPATTCTEAACFQPFHNWMLNSTAAAAAFDSKILLPTDI >Dexi1B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:1B:6798179:6805451:-1 gene:Dexi1B01G0008150 transcript:Dexi1B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSARTSSSARPQRSPVAEVLPAGTPRRRASLAAVGASSTRSDARAWCRRWPRPAYIAKLRVAVPLLGARHSRSQLRAPHSRSFTCSSFRIIISEAAPMEVELPNIHKATSSDYWSLASNQYPSGKFPKVSVGITIPRAGSISIGKDSIPAFDRNLSQGTDGISRPPKDDNASIRVSQETAKNDGSVKGVPEAGSVLKVSVSQPDDNTREQTGTFSFGTRREQGSSLDKLDTPEFISSQQKRNLESAGKSKPSSEMLRMKLWEILGGTSQNKQAVASSNPDEFETPDRPKSQTVKAPSSGNKEVFTSPIPDNIKTPDPLNRQTVNFTKCKQSLDPIESDSDSPKVVEIKPVTRSLGSKKAPASKQQSRSAKKPLSTFRSTPKKKMQGNAFTFNEKCTPKTVVKHAVGDSGSLRNLRSSNRKAKVEAQKIQYSDRISDKTTQDDKEGKLSSRNTLPDNKLDKAASFSSLSRTGKTAESCSRSPKRGRRTNAMLKVGPRTMQFSENFLGKALNGEQNKLNSPRKISLKSKENDSSASPHRSPHGHTAAENNFNSPPSGAANPSPEPKIYPWDHDESPEIADKFGQKVASPWTDRFKDTPDEYPSPTLAPKVNPSPQISKGLDGELYTSKYPKSMDRSRSSSLASDPESESLDEMDKATELPGSESPASSEETENRTQETVISEEEQEQCPENYLTRFCLTQAFDQLVVVLGRFQTKIKSETSKKSSEILTATGEIIRQHLEGVEVQMQADVDKLINLGKCKRKRLESTFEEQQEKLRILHEKFKEEVNKQLLDCRTSLEDFESYHAELKGVSDKQSKFNTTPNYQ >Dexi4B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:4B:9110592:9112027:1 gene:Dexi4B01G0011660 transcript:Dexi4B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGDSPPFPPLGPSKGKSKMEEEVDAEEEGAGKMCGICYVDGRRSIPGELDCCAHYFCFVCIMAWGRVESRCPFCKARFHTIRRPPVPGRFPDERIVSVPERNQVYHPQGNGSSTVGVDPYAETLCTVCNGSRDDELLLLCELCDAAAHTYCAGLGTTVPEGDWFCKDCATVREEQLRWQAENEGRHGQGEFEISIDVPRAEPVATPSVSDVVDEQYDSGRTDAPSGRFSMDDPVPSIYDIVDDDFTASIFRRPGRKTEDIPSQGTSSAESPCPGLTKGRDNGLSAYHARIRLEVERARTLRNSRNLDKRIRELRENWAALRDGSLSFAPRVPNGRRQDMNGSAPFAAEHQHHTTPGTVKYRNGAAATSVQRPTHVPDETSTSLGHSEMVSCKDGRDA >Dexi7B01G0024410.1:cds pep primary_assembly:Fonio_CM05836:7B:28754733:28757332:1 gene:Dexi7B01G0024410 transcript:Dexi7B01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQATPLKTLAFSRRRAGGAALRPRQMAALRCSASARSYNITLLPGDGIGPEVVAVAKDVLSLAGALEGVELRFQEKLMGGSALDATGVPLPDETLAAARDSDAVLLGAIGGYKWDNNEKHLKPETGLLHLRAGLRVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNGNGEETGFNTEVYAASEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRRRVTALASEFPGIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRIEAAVTETLNQGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQKAVAAIN >Dexi6B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:6B:7042992:7045080:-1 gene:Dexi6B01G0006440 transcript:Dexi6B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETPEPAAPATFLRGEEGASPESVRARFERMIRRVQAEVCAELEAVEGGAGGGGGAALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYREARPEAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETGAPEDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQTCDKFDPKFYPRFKKWCDDCFHIKHRGERRGVGGIFFDDLNDYDQETLLQFVTECADSVLPAYIPIIECSKDIPFTEEHKAWQQLRRGHYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHVSSEALLLTQKPEVGSEEWKLLDICINPREWI >DexiUA01G0003120.1:cds pep primary_assembly:Fonio_CM05836:UA:6434760:6440901:1 gene:DexiUA01G0003120 transcript:DexiUA01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGAASGAAAAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKVDLRDKGALETVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRSDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVKAFEKASGKKIPLIFGARRPGDAEILFSVTAKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHQTNGSTDSPRQNGHHTNGSISSPKRNGHYAYGSSDSPRRNGHYTYGSSDSRQNGNGRLH >Dexi3B01G0031750.1:cds pep primary_assembly:Fonio_CM05836:3B:33778647:33783105:-1 gene:Dexi3B01G0031750 transcript:Dexi3B01G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVLLCFIYTDVAPLLDWSPGAAATMATMAMAQHLHVAADRYELDALSLEACAVVRFHEGQRRRRCLNVNADIVSLCSQIRLSLSSPYLSVAISRCCTIAALSSPAAGCWLSSNLSTMPLPDHGSQHLCVDILDLDLLRAPLLHGPEEHGHETGDLDRGQYVLVHGMSSPDTASVTSAPT >Dexi6B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:6B:22415699:22416384:1 gene:Dexi6B01G0014970 transcript:Dexi6B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRVVPLLQPRGDVATATTTKGRARLVALEWWVESRKLWRIVGPAIFQRIALYGINVVSQAFIGHLGDLELAAFSIAATVVAGFNFGFLSV >Dexi4B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:4B:9241273:9242118:1 gene:Dexi4B01G0011740 transcript:Dexi4B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVPQGYAGASCTLLIVPAVAKDAAAATERPVPSTEPPMARVQQQDRLMPVANVSRIMRRALPPHAKISEEAKEAVQDCVSEFISFITGEANERCHKDHRKTLTAEDIVWAMTNLGFEDYVGPLEAFLHRMRDSEGGGGFGGRGSCRGSPLSPYGLPGVYRRPPAPTLQAPPQAYAPRPVPRPVSVPPSSAAPYFAGGELRRSMVPYYGGSAFQVGGSGHRGAFQAGGSGHRGAFQAGGSGHRGAFQAGGSHRGFYTDEAASSSSNGAAAPPAPHAGWRN >DexiUA01G0002710.1:cds pep primary_assembly:Fonio_CM05836:UA:5978671:5979417:1 gene:DexiUA01G0002710 transcript:DexiUA01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALFVRLQQLASVAGDVLLGKDKIQKVLLSRLTETVVMWLSNEQEFWDVFEDRSIQLQPSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSTVITRAIAAFSARNADPQSALPEDEWFLETAKAAIHKQMLGTSGSESEPEPDEHITLHDEMSDSGESIATPSVSGSEDSFASANNDDLESPVYFTDPEA >Dexi5A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:5A:1039787:1041253:1 gene:Dexi5A01G0001490 transcript:Dexi5A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVTLLVTLVVVPLLAFLLLSSARKQRADGRRLPPSPPGLPLLGHLHLLGRLPHRALRSLAVAHGPVMLLRLGRVPTVARRVFALHLLAPRRVASFRSAREREVATLVARVRHATAAAASGGLSDALISYSKAIISRAAFGDGDYGLAGDKDGEKLRRVLDDFQELVMATPVREISPWLGWVDTLSGLEAKTRRTFEALDGLLERVIADHRRRRVCGRRQVLAADGEVDDHRDFVDVLLDVNEMDNESGLRLDTDNIKAIIMVYQSCC >Dexi1B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:1B:21951785:21953139:1 gene:Dexi1B01G0015430 transcript:Dexi1B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQDSPHDHHQLTADEPGFAPPTILTFLGPAENHQGRSSVSSPDMENASNIGKEDIVQNFSQGGEGDSAHGGEANSERSTGKNPATGESAATNTRDGFLTGKGSLAAAADNDGDLKTHIITERERRRRMKDLFSNLQALMPHVPEKVDKATLVGETIHIIGTLEQTKVQLEKRKHEQALAWQAAAVATMSSVSASQTAQGMAAMSNGWGHVPRQQQPATSAAIPAVPAPLLTAAMGPIGFQTWSAPNVVLSVSNNKGIINLCLPMQPRLLTVAMSVLSKHGIDVITVQVAADGGWSLITIYACGK >Dexi7B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:7B:24584062:24585846:-1 gene:Dexi7B01G0018960 transcript:Dexi7B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALQLDIVRKKVVEISGRDECDVRVAACPYRICPLGAHIDHQGGIVTAMTINYGVLLGFVPSNDSESR >Dexi1A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:1A:8472986:8473657:1 gene:Dexi1A01G0010020 transcript:Dexi1A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNGEAAPAMAAAVAPLLATIIGSFGSGEHRRQRIPSSHELRSCVADDHHDRDDEAAAEHGGGGHGDPRDAAAEVEEARCECCGISEECTVAYIVSVRRRFSGRWVCGLCAEAVAEEAGKNGGDREAALAAHMAVCRRFNGFGRTHHALFQAEDVIDIVRRLSGSGGLSSSRSPKFGDGRRAAIGGDGGGGAEKALAVAGSSAPGCMPLVAGVCNDQVVTN >Dexi7A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:7A:13699886:13700224:-1 gene:Dexi7A01G0003620 transcript:Dexi7A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSPTTKEVAKPPASKKTTRMKAGTKLAPPNKAAPAMAEKGKLANKKVAVVVSKLPKKKVAAVVAENGKSPNKEVAMLTPCSGGKDDKEQVVLPYNAKKHLEDKREKHWFL >Dexi5B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:5B:2341369:2343802:1 gene:Dexi5B01G0003490 transcript:Dexi5B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGHSSSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPKDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGATAAAMAATTTVQEQHRRDSGSGSCSSRDHEVSATSFPAGYTVTAAVEMQQHMKQQSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQVIDSSWLALFHAFARKIN >Dexi4B01G0019820.1:cds pep primary_assembly:Fonio_CM05836:4B:21950938:21953578:-1 gene:Dexi4B01G0019820 transcript:Dexi4B01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKPDLLPKMERAILSADMAGIDIRGAVPRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDVMLQYISNLQEALKSLRVVCGLDSDALKKDASLEKKEAHRSVDVSEEEEWSIVQVADCLTSRTSVFEASLRATLARIGTNFSLSGFGSSLDKSPVAISDENVDLPLGGRAALDIATIRLSDLPDKSKKLLTVLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGVSGNEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRGLIKSDGGSQLDLPTAHLVCKIRRISLD >Dexi7A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:7A:2296377:2296601:-1 gene:Dexi7A01G0000860 transcript:Dexi7A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSADSKGCDATPKTEWPELVGSTIKEATEKIKAERPDLNVEPVPVGTIVTDEFDPNRVRLWVDIVAEVPKIG >Dexi9B01G0032510.1:cds pep primary_assembly:Fonio_CM05836:9B:34724611:34731630:-1 gene:Dexi9B01G0032510 transcript:Dexi9B01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRKFLLPGQPPEQTKRFSPRAESADISQILQEARKRWLRPTEICEILSNYKHFAIAAEPPNRPISGSLFLFDRKVLRYFRKDGHDWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEQNENFQRRTYWLLEEDFTHIVLVHYLEVQVHSINIPATFSHISSFPVCFLVGDLFNYAPSFSVSKAKFGAADNFRASSRYHPLAEMQQPVDGFITENMLYPSSSTVGSRQGKNHSLCYHGEMLPTTSNIDNHSFSHHDIARMFNDTNSGLSSTSRILFDSMPFNEPCTDFSTGFTEPTLHSSFACLEVNNLEDSSCLRTFTSEALYTNHLSKKEADALSFTGMPPSEVSVTGTFLVNKKHVENRKWSCMFGNVEVPAQVLTDGTLRCYAPAHQSGRVPFYITCSNRVACSEVREFEYRDPDAQYMEASCSQANSVNEMHLHIRLEKLLTLEPDDHHMLVLSSGNEKYEVMNAINSLMLDVKWSDQESASANEVVSTARDQSLKKLVKEKLHHWLICRINDDAKGPNVLCKEGQGVIHLVAALGYDWAISPIIVAGVNVNFRDAHGWTALHWAASLGRERTIGVLITNGAAAGALTDPTSEFPSGRSPSDLASVNGHKGIAGFLAESALTSHLEALTIRESKDSSSTAEVCELPAVEDLTGNSSAQFAGEDYHAESLQGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSLKNVKPGQHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKSYRKVIWSIGIVEKVILRWRRKRPGLRGFRLEKQIEGPSQIQPTKAEDEYDFLHDGRRQAEDRLQRSLARVHSMSQYPEAREQYHRLTTCVAELKQSRIIQDEMLSEPGGGDANDFMAGLEDLICIDDAAMSAIW >Dexi4B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:4B:12335347:12339778:-1 gene:Dexi4B01G0013580 transcript:Dexi4B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCIGTADTKLEELLFLATRLHSTLAATGSHPKIQVSIVDVSTTEKATEQDFKDIKFIRRNTVLSCHKDVDQHDLPDDRGKAIALMSEALQSFLQKRYDSSTLLGAIGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGHTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAFAGMIHGVLSASNESDEAATKPTIGITMFGVTTPCVSAVKDRLNIEGYETLVFHATGVGGKAMEDLVRGGFIQGVIDVTTTEVADHIVGGVMACDESRFDAIIDKKIPFVLSVGALDMVNFGARDTIPPAFADRKIHVHNEQVKLLPYHINDPEFANALVDAFLSMDVKASSTAQTPQDGHVNKSCSGQKNSDSSIIWRPPVDFPDAKPETLQKTRSILNKLKQLVSKGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGQFRMAGRGSLAGLLPFADANAIVIEMANEVLPVVKEVPVLAGVCATDPFRRMEYFLKQLETIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPDEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLDDSAVRVQAIADAALRVNPDIIVLCHGGPISGPQEAEFILKNTSMVHGFYGASSMERMPVEQAITNTMRQYKRISLR >Dexi6A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:6A:28768287:28768657:-1 gene:Dexi6A01G0021550 transcript:Dexi6A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGDIHEEVENHNRVLDRMGNDMDASRGFLSGTVDRFKMVFETKSSRRMATMVASFIAVFLLIYYLTK >Dexi9B01G0030800.1:cds pep primary_assembly:Fonio_CM05836:9B:33218482:33218994:1 gene:Dexi9B01G0030800 transcript:Dexi9B01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYMSRFGMGGYCSGSNAAESSPPHARLPPPKFGRFVTVLSIDGGGIRGLIPSVIIASLEKKLQVLDGDDARITDYFDVIAGTSTGGLIAAMLGVPNNEDTGRRPKFTAEQITGFYREHGREIFAPRR >Dexi7A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:7A:25863886:25866039:-1 gene:Dexi7A01G0015960 transcript:Dexi7A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPRWLRGLLGGGGGRKAAETKPVKEKKRWGFGKSFREKPPAPVAARPPTPPVQPTATPRRGYAAAPDGADDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCVPAAGKREEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQARARACRAIRSQQQAPLHPDPPTPEKYDQAGAPRHGRSGSLKGGSSRTPGGERLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDNGKPGRYTSKRRGGSGGNHHHQSPCSTMASDQNSRSYATMPESPSKDSTTAQQSVPSPPSVGMGGDALMGSLHLPVDIAELCDSPQFFSATSSRPGSSRRGPFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPHYEKSSSLRKASAGQQCAAVAAAAAPQRSASSLHAKFTNKAYPGSGRLDRLGMPVKY >Dexi1B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:1B:1306938:1310940:-1 gene:Dexi1B01G0001650 transcript:Dexi1B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEIAEFELRREERLKAAEERTAKKRLKRQKKKQRKKAKQTKTSNGGEEPNRVESSDDEEGSDDDDKSKQ >Dexi2B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:2B:12232791:12233014:1 gene:Dexi2B01G0010810 transcript:Dexi2B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLAPYTGARRKVVVGAGEAVEAEVRVEEIDARGSARFAYDLQSYRQNFDDGLASSGRPPYRRL >Dexi9B01G0046180.1:cds pep primary_assembly:Fonio_CM05836:9B:45502801:45506952:-1 gene:Dexi9B01G0046180 transcript:Dexi9B01G0046180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVAHGSDGGGAAQASQHPPPPPGARAALGTPPVSGGAAHSASTSGGSAGSPSSRSDHHAPDGAGKGAAHGAAVAASTPASENTFLRLNNLDINGDDAPSSQAPTSKKKKRGSRAVGPEKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPNNNIEAPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDIEELKTEILGLKGRIEKKNAYLQELQDQYVGLQNLIQRNEQSYGSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMRLCGREQHDSAQEPISNGGESSSMSNIYWQQVQHPGRPNNGAVSLPSSPPIPGILKGRVKHEH >Dexi5A01G0033990.1:cds pep primary_assembly:Fonio_CM05836:5A:36172555:36173669:-1 gene:Dexi5A01G0033990 transcript:Dexi5A01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLTRGLDELGSAGGLSSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLASVERYCAAASCAASALDDWLQDPSPLATRQVMRAITASRREAMAAEEENRALADARIAPLSLQLDERLLLRATDARLTGFNGFRGLLYALHNASSLLLLILASGAVSCAAATGAGGVCTGEAAGAEDAGGFMASIAMLQQRVLAEEAAESGGAPRIRMCEFRCARAAVEAVREEVERAAAAGRKCEGGGGVKDKVEELKAWLDVLRTGTDSLVCQIDDFLDDIVEGRKELSDLCSH >Dexi7B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:7B:14812066:14815653:1 gene:Dexi7B01G0007020 transcript:Dexi7B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQSLTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLAAAAPGPGLLRAACLRSHSHPLQCKALELCFNLRAMACGGGGEHAGISWLVGFGTYQTYTKCRAGQPSLETLWGLQTLTVPAGSLALSLNTTCAFDDSALGTVNQSMKAGSDTDGNGPASCWPLLGGSQLISRCCGDCSAARLDTKASLPRPFVSSSTLPSWLQQCRDQEPTHLTDLGKTTWGSICTKPSPRMTLHFSAPVSPSSSISSYEHAAGDHHQPPPRHSWLLAGGGGGLDATHPWKPKREATSGGKATTTRSHDSDGSVEVECRRAKRFKELNAENLKLLCAALEKEVPWQKEIVVPEVASTVLQCRSGIAKRRDRSRTTDAKEDTWMFFLGDDAQGKERVASELASLVFGSRRHVLSIRPGGASSSSSSPAASGGGSSEEHRSKRPRSPPATGEPVAYLERLYEAISENPHRVIFMEDVEQADRDCQLGIKEAIERGVVRNHAGDEVSVGDAIIILSCESFEARSRACSPPSKKVKVETEEANNEELMGDHEHNGDGASSSSPSCIDLNVNVESGQGDDGLCLLTAVDRTLFFRRQENHQ >Dexi5B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:5B:3111915:3112364:1 gene:Dexi5B01G0004600 transcript:Dexi5B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPHIQELPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLEMKSAASEQQLRSGTSTSATSPMLPLEVRTPR >Dexi4B01G0022510.1:cds pep primary_assembly:Fonio_CM05836:4B:24065154:24066917:1 gene:Dexi4B01G0022510 transcript:Dexi4B01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTPVGGGGDGGAAERWRAEASRAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYFVRGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCIAFIMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIMHMIKYKYVPFSFGKQVRPLCC >Dexi1A01G0024450.1:cds pep primary_assembly:Fonio_CM05836:1A:31007249:31009950:1 gene:Dexi1A01G0024450 transcript:Dexi1A01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRDGEGRRAHAAVVGSQLINAGYHVVAKLALNVGVNRIVFCVLRDLLALAVLAPLAFFQHRGSHAKALPPITWRLLVSFFILGLTGIFGNQLLFLLGLSYTNPSYAAAIQPSIPVFTFILAVIMGTETVSLVSIEGRAKIGGTVVCVLGAVLMVLYRGPALFGSSELELDSHGDVMPAMSQLEPNGSFGLHKWNIGTLFTSVLMLFQAPILVKYPSSLSLTAYSYFFGAILMVISGAFATNDKDDWSLTQSEFGAVVYAGVISSALNNCLLTWSNKLLGPAMVALYTPLQPVLSALLSMLFLGSPIYLGSIIGGFLIISGLYIVTWARHREKLTSIGPSYVKCTLEPHDSDSQAVTGGNLISLSRPWNVPS >Dexi1A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:1A:396724:399856:1 gene:Dexi1A01G0000630 transcript:Dexi1A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDSSSSSPLPYSYTPLPAADAASGEVSGTGGRRRPLLAAALVLSAALVLAVVALAGVRVAPPRRPAVVDTPRHHDEKRSRGPEAGVSEKTSGVWSPAGMLGAEEGGDNAFPWSNAMLQWQRTGFHFQPQKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDTNGVWTGSATVLPDGRLAMLYTGSTNESVQVQCLAVPSDPSDPLLTNWTKYDNNPVLYPPPGIGPKDFRDPTTAWFDPSDRTWRIVIGSKHDTHHAGIAVVYRTKDFVHFELLPGLLHRVDGTGMWECIDFYPVGTKGKAAKNGIDVSDAISENGVVVDDVVHVMKASMDDDRHDYYALGRYDAAANSWTPLDATRDVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVLFDTKTGANLVQWPVEEVEALRTNSTDLSGITIDHGSVFPLNLHRATQLDIVAEFELDRHSVMALNEADVGYNCSTSGGSANRGALGPFGLLVLADRHLHEQTAVYFYVAKGLDGSLTTHFCQDESRSSSANDIVKRVVGSAVPVLDGETLALRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVYLFNNATAARVTAKKLVVHEMDSSYNQDYI >Dexi9A01G0034710.1:cds pep primary_assembly:Fonio_CM05836:9A:39455612:39466869:1 gene:Dexi9A01G0034710 transcript:Dexi9A01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWASSYSPITGSTSPATGGGGGSEEIDLGAEMEEEGKGQCFFTDKLTDGPHRTVANGSCAPAAMQGGRRGSAPTPLPSNAMDPPCPNIRSMGPPLHLVHIKILAADLLSLTVLQTSPPSFLRRGRTVTRAELVGIVVSRDRREKFLRFLIDDGTGCVPCVLWLNHQYLNANVSSELSASDPNAEMALKMSEEVCLGTLLRVRGKMVMYRGAIQISVRDVVLEKDPNVEVLHWLQCVRLAKECYDLPPPSAPGLQQRSKTWVVSACAFHQVPPMTGFRKIVADRWEFANEFFKKGAKHHLSEIHRRKSPSCSQTPPTPHLQPLSPHQPYLSRLFSPALQPHHTSSSTPAAYRVQEEAHHGGNKDFMATLSEDNRELRRRNSLLLSELAHMRRLYNDIMYFLQNHVEPVSPPPPQQQPAATVCRLVELGSADTSSPRGGDDDEEAPVKLFGVRLSDCNKKRKAQAAVVVEENGDDDHCGGGLGDGDGDHNGHGDGDGDGDGDDQGSET >Dexi7B01G0003690.1:cds pep primary_assembly:Fonio_CM05836:7B:9217541:9224002:-1 gene:Dexi7B01G0003690 transcript:Dexi7B01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADAAGNLSIDCGLDDEYGGYTDKFTGFVYVSDSGYVDAGENREVAYEPLGSFNTRQRTLRSFPSGVRNCYALPTVAGTKYLARMTFWYGNYDGKNMSTVEFDLHLGANRWDTVTVYGNDTSGVTYEALFVAWASWAPACLVNTGRGTPFVSVVELRPLPASLYAAVSPGKSMSMYNRWNVGANGTFLRYDHSSSFVPGRPYDRYWWSYDVPGPQWANLSTALHIDEDPTFVEPLRVLQTAVTLAGNSTTFTLTWPEYREVYSFMVFLHFADFQFAQLRQFDIYFNGNRLGQSGKPFSPPYLEASCVYNSEWYKAPDNQYNITLAATATSVLPPMLNAFEIYTEISNDNPTTLPADFDAIMAIKLEYGVKKNWMGDPCSPTKYAWDGVKCINTSDNTMRITALDLSNSNLNGVISQKFTLLTALENLYYQTGRLTESSDVYSFGVVLLEVATGEPPIVPGHGHIIQRVKQVIATGDISLVADVRLRGAYDVSSMWKVVDIAMMCVVDAAAQRPTMAAVIMQLKESLALEEAREKEYSGIRSPGSDIASLVSTFGPVAR >Dexi3A01G0004890.1:cds pep primary_assembly:Fonio_CM05836:3A:3187879:3189216:1 gene:Dexi3A01G0004890 transcript:Dexi3A01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSEVQVVESSIVTPSQATPRHQLWLSALDLLLVNRGHTPTVYFYRRAAAAAGDNFFDAARLKESLARALVAFYPLAGRLAVDDDADGRFVIDCNGEGALLVVARSDLTIGDLGDLTPSPELRKLFVPRVEPSSILLAVQVTFLKCGAVAFGTALHHAAADAISAFHFFHAWSSLCRRGLAGDDTDTVVELPCHDRSLLRARSPPMVIPDAFAVFCPKLTLTQKISGPVASKVFTVSDGHVAALKRACGGGGGVRVSTFAALSAHVWRCVCAARGLPANATTRLTLPASVRRRMRPPVPGGYFGNAVIWVGTSGAVGDVTSEGLGDTAGRIRSAVRRMDDEVVQSAIDYFELAAAEVKKEDAGRPIPGDLPETELRIISWLGMPVYDADYGWGRPELMVRAESERSGFVYLMNDGGGGAGGVQVVVCVEAAILEQFEKLLYAK >Dexi9A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:9A:13171696:13172877:1 gene:Dexi9A01G0018130 transcript:Dexi9A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVSRQEQTSPAKLADMPGDHWTEIFLHLPPQPHILLRVSHVCRSWRRLTADPGFLRSFRARHNDTPPLAGVFHNICYEGARRFTPITGDGEDPLPLRRGFTCPAHWHVFDSRHGRVLFHALAHGAAPPVLILWDPVTRRCEKIGMPPDWAVYYNYDGKLGGSVVCMAGDDADGRHGDCRSGPFMVVLMIGREPRAMVSVYSSEDGGGWNKAISFDGLPMWAEVVPKPCVVIGDTLYQAVSGSNTLAFDLRSSSFTMVPNPPETKWMDVQIVRVDGGRLGLVVANNVEFSLQLWVWKGADDWVQRWRVRLDTLKPLSATAQPGVVDLGAVNLIGACDYGNAIFLWTRLGSFMLQLDTMELKELSYDSTMTSGSLYPYESFFAPVSTIPS >Dexi9B01G0015790.1:cds pep primary_assembly:Fonio_CM05836:9B:10807266:10813958:-1 gene:Dexi9B01G0015790 transcript:Dexi9B01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNKALTVFFSMLLLLAPLLMASDPDPLQDFCVADLAGTPSVNGYPCQPSSWVGDEFLFSSKLATGGDPTLNPNGSNVTRLDVKGWPALNTLGMSIARVDMAPGGINPPHVHPRGTELGIVIRGELLVGVVGSQESGNRYYSKVVRAGETFVVPRGLMHFQLNVGEEAATLIVSFNSQNPGIVLAPDTLFGSTPAIPTPVLVKALRVDAEVVELLKSKFNTSTLVILSRALASSLAMEHFNKALTVFFSMLLLLAPLLMASDPDPLQDFCVADLAGTPSVNGYPCQPSSWVGDEFLFSSKLATGGDPTANPNGSNVTRLSVNQWPGVNTLGLSTNRIDFAPGGTNPPHVHPRATEVGIVVRGELLVGIIGSGDSGNRYYFKVVRAGETFVIPRGLMHFQFNVGEEAATMVVSFNSQNPGILFVPQTLFGSSPPIPTPVLVKALRVDAEVVELLKSKFTSGSSAAPMDVFVLKVSLGLDDQDSTSLDHLPSGVSCSFRTETLLHHLPPTPTATSDGWRRRDATATKPSGHDASLRTQTTAHGDDTATTPGS >Dexi1A01G0024190.1:cds pep primary_assembly:Fonio_CM05836:1A:30811644:30814547:1 gene:Dexi1A01G0024190 transcript:Dexi1A01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMDFFSLSRRELQALCKRNGVRANMTNAAMAEALQGLTSVDGIDEIGTTLCLPTPGRSALKSAAKMADPAGEEQQHGSPLPRGRRVSVKSPEAIRMEFEEGEDEAKRDAVKEIVRTPGVALRSTSRRARATPAPLPTPMPASSARGTTRRTATRKVEEVAPTPATLRRSQRTASRKAAAPVEAEQPSEDVAAVKRPTRSARSKVTMALDQEEEVAAAASKVEEKVQQEEPDAVAFDVKFDDPDEDEVTKLLEGDSREEEPEEGDEADSSDVAIGSAVASGKSCDNTKMEEVDVVVDENATEPHEGIVEEKEPASTEKPALLATMEDSPILGVLSKAEASETVIEKAQYASLEDDECYAEWSPVAEVADDTNVIAETEGGKEEVTEGKRLPLEEVDENACGDC >Dexi9A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:9A:9975325:9978459:-1 gene:Dexi9A01G0015010 transcript:Dexi9A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERSDEAAPAAAGGDPRRIRNTCILAHVDHGKTTLADHLVASCGDGLLHPKLAGRLRFMDYLDEEQRRAITMKSAAVALRSRDGHRVSLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGTGKVVGKKAIKSADPQPMFVEFVLSALWKMYELVLKDGGESNRVKKLVENFQLKIPERELKNKDPKVVLQSVMSRWLPLADAVMDMVVECTPDPVAAQGIRVARLMPKREITPEDAAGCPEVVAEAERVRKCVEACDVTVDAPVVVYVSKMFAVPYKMLPLKGVNGELLNHHGASESEECFMAFARVFSGILRAGQKVFVLSPLYDPVKGDEVQKHVQEVELQYLYEMLGQGLKPVASVGAGNVVAIQGLGQHILKSATLSSTKSCWSFSSMMFQASPMLKVAIEPSNPTDLGALIKGLKLLNRADPFVEYTVTQRGEHVLAAAGEIHLERCIKDLEERFAKVKLVVSDPLVSFKETIEGEGAAIVERSKSAQEFAERTTPNGRCTVRVQVLRLPNALTKVLEESEQLLGEIVEGKAAKREGVLDPRLSQDDGDSAAMLRQRMIDAIDSDLQAISKQVDKEKLDRYRKTWLGYLERIWSLGPWQVGPNLLLSPATKSSDSVITQDGRQGILVSGRSHVSERLGFVRESDAKDSSNLDNGESAADAPESLHLESMALRNSIVSGFQIATNAGPLCDEPMWGLAFVIEPYLFADGSDAANHSDQYNIFSGQVITAVKEACRAAVLQNHPRLVEAMYMCELTTPSEQLNPTYAVLGKRRARILDVEMQEGTSLFTVHAYLPVAESVGFSNQLRSLTAGAASALLILSHWEAIPDDPIFTPKTQEELEEHGDGSSIVPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >Dexi2B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:2B:5796715:5798423:-1 gene:Dexi2B01G0006210 transcript:Dexi2B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRADDTPAAARVVMMPLPFQGNLTPMLQLAGALHARGLAVTVLHTTPFNAPDPARHPRLAFVPVAVDVPEAVAGSEDNMTKVFDLNAALDASGCLRDALASLLDEKEARRPACLVTDAAFPAAQKAAMDLGLPWLVLNTCSAAAFRLFMSYHILYGNGYLPKRESNLCLPVKELPPLQVRDLFDPSELPNQENVQKIMNLWNQTKMNSGTIINTFEDLEGPELEMIQGEVTDNGTLAFNIGPLHKLSPIDGTKTSLLEEDCSCIEWLDTQAPCSVLYVSFGSLAQLTQDEFTEIAWGLANSEKPFLWVVRRGLVLGVEKPELPEGFERALLEGRGKVVEWAPQQKVLAHSAMGGFWTHNGWNSTLESIYEGVPMVSRPIFGDQFATGRYVEAVWKIGFLLEGMLERRKIERVIQRLMVEKEGLEARERAKDLKEKAIMCLESNGSSQMVLDNLVHHILSL >Dexi2A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:2A:6351381:6354255:-1 gene:Dexi2A01G0006770 transcript:Dexi2A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTAASSTAASSSSGPSTSASASASAADAGATHYLAKRVLRGSAVLHVAEGCFRSPDAADVVLAKEGL >Dexi2B01G0032600.1:cds pep primary_assembly:Fonio_CM05836:2B:40482118:40482806:-1 gene:Dexi2B01G0032600 transcript:Dexi2B01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHPTGVQVVVVVVRIDQPAARADGGAETSHGDVESRSPPTCGGTADNVPGGVNVVVVNGGDTTTVQETAANDGTGSESLCIVCNEPLVWVAVGRCGHRAVCRRCTVRLRFFHRDKRCRVCRTRCPTVVVAKTSASADILSTLPLFSLREGRVGRLWYHRLTAAYYEDEHEYQAARAACQGILSPFFQPWY >Dexi2B01G0035970.1:cds pep primary_assembly:Fonio_CM05836:2B:42925576:42928121:1 gene:Dexi2B01G0035970 transcript:Dexi2B01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox protein, Transcription factor, Crown root developmen [Source: Projected from Oryza sativa (Os07g0684900)] MDPEQQAAAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAAAAVAAGSSSSSSGSSGGGGHGGLTPGHAGSSSVGMFAHGGAAAYGGSPSSCASWPPSSAGIMGDLDCGGGGDDLFAISRQMGYADGGGSGSSASAAAAVSQQHQQQQQLYYSCQPGERQAMPCMHVLPRNLLHGSILTVFINGVATEVPRGPIDLRSMFGQDVVLVHSTGGLLPVNEYGVLMQSLQMGESYFLLAGVIELDLTWNKRRRVCVMEIEATEIQAGMPQAVGGTMDVGALTAPPTKRSTPRNFCFCLVLDLLPPPPAASPISGRRAEAYWPSNPSLPSRDND >Dexi9A01G0044590.1:cds pep primary_assembly:Fonio_CM05836:9A:48202018:48203585:-1 gene:Dexi9A01G0044590 transcript:Dexi9A01G0044590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVSALAKYKLVFLGDQAVGKTAIITRCMYDKFDDTYQVGSIHIAISPPTISLATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDWIEEVNTQRGGDVLIFLVGNKTDLADKRKVSTDEGEAKAQEHRAMFIETSAKAGFNIKPLFRKIAGSLPGLDALSSAKQEEMVDINLRPFTGSSTSGAAAQADQKSGGCAC >Dexi5A01G0007210.1:cds pep primary_assembly:Fonio_CM05836:5A:5346548:5358179:1 gene:Dexi5A01G0007210 transcript:Dexi5A01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVRKVSSVYQRTSEEGEGSGGAGGVSGIESRVESLLESRPLPQIQLFDEDEYVEEEDTDFRPYRKPDSEDESVEAEEEEEEEDEEEEEDEDEEEEEEDAREVEGEEVEGEYEPEEEDEEDDEGVEDVPKVWLRGPSTLPSRPPPHLRPEHFPGMVQHAGKYEPAFHFEHYYSAPDQPDTLGRAYNNKAERVKAELWDFYRCEEGTEVRAERNAHRACQKLLHDIHYEARLQAIVYYHAHYEHRKVTKRQAVTMTLEREDFLKVPPSWCNNHTEAWEKMVDKWCSPEWQEKHNIHRDRRLKIAGPSHHQGSRDLTGYAKAWSAAHGNRDCPQFKAWCLAHMGKATDDIDYSEDTPDSAFTNPTIPPRNFDGRVVMEVGGGKKHGRYWMGDSTPRDSAKGAERKRHNPPTHDTGAAADIVSTERTEREIERQSLQANWTQLYAWMQSVGTQVSVPPPQLQFQPPPRQPTPGLSAGSNDPAGMVNMSPGVSPAPRVSAPRGTQQDGQGSQDRDLSSPSQAHNPAWGQALGKAAIFCREPWPRLSAKMPFFAESPGQRSRQNPYAEAPRLPPVWAPWALCRQPRARLSANLELFAESRALICREPCSWLSANPAAGPTETAQRRLAGVRARLFAESLHALGKYFMCTRQTVCRDPGGRLSAKVASLRKSLPSKLCREPALGKGFAERIGRFAESARLTAKSLDPVVQWRLAAARTLTLNNRQVKVVVAGDVIDDVAAKRHEKAMHQLTLLLYFVRHCGRARPSLIERYVIDIRAISNDVQTCYLGIVTPGIRRCGARVIDRADTGTARWAMELHTHRQASYVLEEISCTRTDATALDTERLVTASSVRRDMEHVRDYAMQGMYTGKRSIRSDPVERERCRPRISPASCINTYSALDLSRSVAAYDMMHVMSPWKVCIPRLRARLVVSPGCRLQQVHVIARWWLVCCYLMQGRKHGEWESSERLSARSSERVVNRPLHSLSSFLSLPRLISPAFPSLSPPGQQDSLVGAYEIADVPLTEREQEQGNPATRVAWVRDLNPAMAFVSALQFWRGHWCGGGVAQFSNSPSPPPPPPPPPPPPSSPMAFFAIDPRPHVPSGFEAVQHDPEDQPRILSAFLGGCMESYNEDLAIAIFVPEVNKEDFGPMSNALKDYFAYDEDDDIQLVAPFQPTSRKRRARKLKEPINVKFVRHSSRLNNPRSGFISEQAMVEAADFPNIYQGTTAEAVLDPAPHLSSELIKGIATRFLQIQPEASSLLELLSKGMPTQGHKLPRRVTPWWVSARPSPDAPRRRHLVELRPKRQGPVLPCTSTGGLSTNSVGGRRPPETNARRSSITID >Dexi6B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:6B:26991689:26992447:-1 gene:Dexi6B01G0020570 transcript:Dexi6B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGSSMVILSVCMVGILGTALLLLSYYLFLTRCGLLFFFCRTTTRIVSVQDDDGQPPRRSSSGGGGGLDGAAIRRIPTLRYGRRSKCSSSEECAVCLADFRDGERLRLLPACLHAFHIDCIDAWLQSNANCPLCRAPVVAITSSDDDYQLLCIADATSSSGAEAAPAAATSGFLLGRRSLSMDSSSCAHKRFYLALILQQHNSNSISIGVEEDPGKVGEGGSSITSSRRLRRSFFSFSHNHSRGSSLLPL >Dexi2B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:2B:28801947:28805013:1 gene:Dexi2B01G0018500 transcript:Dexi2B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRRYRGIISPGFFCSPMAIYSDANGEAGETCVGVQGRHIVFFFSVGFEGGVMGAGVGRCVWEDSWAALRWAASAQDEWIAEHGDLGRLFLAGDSAGANIVHDMLLRASGDGGSPRVEGAVMLHPWFGGSKRIEGETEVAAEVTAVFWKYACPGAVGGVDDPRLNPLAPGALAPEKLGCARMLVWTGSKDWFYARGRAYYEAVAASAWPGDVAWHESEGEEHVFFLEKPECEKAKQLMDRVVAFVAGA >Dexi2A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:2A:1338166:1339810:1 gene:Dexi2A01G0001830 transcript:Dexi2A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELNGDAIAEILLRVPPDEPRDLFRASLVCKPWLRIASDPAFLRRYRAFHPGAPLLGFFYHVGVENHSLPFVPTTAASPFRRLAYGDGDDPNWWIRDCRHGRVLVKRSRNFVVWDPITGHREELPPLPLSIRSSFYSGALVVCAVAGCDHRDCHGGPFLVVYVGDNNEDEEVLSACVYSSEAGAWGTPDSTHLHLNGMIRMKRTLLIGDEIYCIVGLLGLRYQILKYNLAKHCFSLISVPCVYENVPVLMQNEDGSLGFAGVAGSSLYLWSRMANPEGITEWELRRVIKLRKTLHNADVVDFAEGVGVFVMSTCFGVFTFELKSGRVRKISKEINCLSFFPFSSFFTPVSFY >Dexi8A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:8A:23430556:23430808:1 gene:Dexi8A01G0013450 transcript:Dexi8A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTGGELTALVSTRCPCLRRLRLCLTVVSDHDVSICSGSLHTLSLRLFGTQRLEVVAPILENLFLCDTIDES >Dexi1A01G0030950.1:cds pep primary_assembly:Fonio_CM05836:1A:36123189:36128186:1 gene:Dexi1A01G0030950 transcript:Dexi1A01G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVSKQTMARRALPLVPLSVEGTAQDTQQCQDQGSQIRGNRGRKIASSGATAARARLPLRYDRRPGSRLRGSRLPTPANRSGFSGNRSVAAVSRPTAGEVVHCDKVSKEVCEYFQRELERAKKLTAQRAQEKLRKEKAAAEGNCPGGGEAYDEEAELQRALNQSRAEEEFRRGVQQRGGAYGHGGGSGTRGEGTLQRMLRRATSARQTPGVTDYNLGSARGSTQPRIDTGSWTQKDEDDDEGDMPLPSNIVADKINPADLRKRKYHIAPSKVIPKRQRGQATGKGKHKEIEVLSDEDTDDAMMVVAVVAAVAVLLAVAGAEACHLQTHLLDIDHGAPMSQRRTVGPTDYGSPQFSSSSSYRESSHPVNRYPRAVVTGPGSVCETLARTGRRDTHSTLALASLHPALLPPPRAERARGRGEEKNNCGPRIGRRTTSPSPATPLPTARLPRPILPRRRRRSAAIPAAPLRRARSGPHAPRLQIRRLT >Dexi1A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:1A:4310728:4313355:-1 gene:Dexi1A01G0005820 transcript:Dexi1A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATGVVRVEKVRGRSALTRCFARYPFKLIAPSKVGPAACDAVWLYALTYGGGIVSGDTVSCTVSVGDGCTAAITTQASTKVYKAVGSKCSQQLLEARVGEEAMLAVIPDPITCFSAARYHQKQVFQVSSNSNLVLVDWFTSGRYENGEKWDFSFYKSVNHIFLGDQPLFIDSVLLEQGSNCSIGKQMQEYNVIAMVVLLGPKLKHIQEQMQDEVRKLMSGQLRPPTSGGSLYTMRTQRAQHPQMPPLVASCSPFGRTGTGMVARVAAVSTELVYSFLRHNLAALQPFLGASPYAAS >Dexi3A01G0034480.1:cds pep primary_assembly:Fonio_CM05836:3A:39631683:39633038:1 gene:Dexi3A01G0034480 transcript:Dexi3A01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLSAVAIKAAVDGNLRLLKSKNKTDLRESKAPNGWNALHFAAASGHLEVCRFLVEKSGLDANCATADGETPVALAAAAAGAVSLLWYLVDHGGRPATPNSMGRTPLHNAAQNGHTEAVILLLSEGVDVDPIINSRNGGTPLIMAAGKGHDQVVKVLLDHGADAP >Dexi5A01G0031710.1:cds pep primary_assembly:Fonio_CM05836:5A:34318284:34321807:1 gene:Dexi5A01G0031710 transcript:Dexi5A01G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFLLASAFPYLAGSPKPTKPSSPSLPLPPPPAAHSRTRPDPTFATAESEPRSRMPPKKAAPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDPTKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKTKTTTPMTTELFMEWKRKKAEEREAGQAALKAERAKNDRMSGRELFMADASVFVDDAEAYEVYEREEEPEANEESSKKSQDAGPSSSTSNGKEVEDPDEEDIDLDDDLDIDELNELEASLSRTSIQIREPGEGTSS >Dexi2B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:2B:26848998:26850184:-1 gene:Dexi2B01G0016630 transcript:Dexi2B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMDSARRAGFSPVCLDLSVGLSPSPQKMAAETERPDPPASDCRMASSMSDEQAKTLEAKLTQISEDNRRLTETIAYLYASQIARQSPDDAPDSPPPPVSRKRRDSLEPSNSGDDANASSKAAHAVESSLSDEYEGTLWLDIMAALFFLEQVQRSAEDSSVLVATYEGEHNHPCPTRAGELPSCATRGGSVPCSISINSSGPTITLDLTKNGSGGVRVLEAAEEAPDAKRLCQEIASSPEFRTALVEQMARSLTRDSKFTDALAAAILKQLPDY >Dexi3B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:3B:10309087:10309785:-1 gene:Dexi3B01G0014250 transcript:Dexi3B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYSPELDEFDATCYNAKDVTQGIIYARDREERERSPSRIFHPLSLPTASSPASRSRARTLALAARSLCPLRWELPAEARSCGTRTGRGALRAGMDDGDSVVRSVDRAGAAPGDDGSAAPLPETVRISLASFASSRVSRIGRPREARSVYACRHG >Dexi3A01G0001010.1:cds pep primary_assembly:Fonio_CM05836:3A:738227:740274:-1 gene:Dexi3A01G0001010 transcript:Dexi3A01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITQWVSSQEIPADLTIRIGDSIFPLHKAVMVPKCGYIRRAVAAATKDPSATVELDLSALPGGADAFEKAARYCYGANFEITASNAPALRCAAAFLDMHADDLPRRVDDFLSQSTLRSLSTAVAVLRSCEPLLPAADDLLRRAADAVALRICNEALFPTRSPPGWWTTELAALSPASFHKVETALRCRHADPHLLVTAASAYAELALSEVLANPAGAGDDDHRQKVESVVEVLPSGADAPIPAAFLCRLLHAAVAIEASHKTCRDLELRVAAVLDQATAPDLLAVALDAAGERVTNTDTVRRVIAAFVERHAAPESGGGRSRRASMSGGRSASELDAAGAMERVAKTVDEVAAEMATEESLAISKFVGVAGAVPKEARPSHDCLYRAVDIYLKTHPELDEIEREKVCSVMDPLRLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSAATEEDDGSSAVGKARAQARADAALARENEALRSELARMRAYVSGMQQQPSKGSGSSSRASSPAPAPKKASFLGTVSRTLSRLNPFKGHHGWGKDTASSIAADGRRHSNTTHVVKPKRRRFSIS >Dexi6A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:6A:24998076:25000578:1 gene:Dexi6A01G0017140 transcript:Dexi6A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSVVAACLNPASSAAPPATPRHPRRARHPLAPASAHSPTEYGWEHELVSELKLTSDLRRGYLACGGGGSRDGGGGGGGNDAREGVVAAAAQGMVAAVEQGMVAAAEALSALFALGGAQGLIGWWMVKSGLEEPTSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVAMPDPPTGSMSWVNGAAKIRKLAIPVSAIVGITAISGAFVAGNDAGHAYNSFPKMGDTWIPEDVFSMEPFIRNFFENTSMVQLTHRILATTTLLSVGGLWLAARRIDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALSLLSLMILLTHTLRRPSPALLKSLATAVKAT >Dexi2B01G0030980.1:cds pep primary_assembly:Fonio_CM05836:2B:39206800:39210057:1 gene:Dexi2B01G0030980 transcript:Dexi2B01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEPEVFDVVIFGASGFTGKYVIREALKFLSAPSSPLRSLAVAGRSRDRVDAALRWAAAPAPPPEGVAVLVADASDPASLAALASRARVVLSCAGPFRLHGHAVAAACAAAGADCLDISGEPEFMERVEAELHEPAARNGSLIVSACGFDSIPAELGFLFHSRQWDPPSAPATVEAYVSLQSTKRIVGNIGTYESAVLGVANAGQLQALRRSRPRRSRPNIPGPPPPKGSLIEGHNPLGLWAMKLPSADTVVVKRTLSTITEHPEGLPGAEETPEYAEHRKNFWSSVKPAHFGVKIASRSLMILVRFLITGLFIGLLAHFSFGRSLLLKYPEFFSAGLFRRAGPTEEEVKSASFKMWFIGHGYSDAAQASERGSKPDKEVITKVSGPEVGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGTVFGPTDLQQRLQENGLSFDLHMTRAMR >Dexi3A01G0021820.1:cds pep primary_assembly:Fonio_CM05836:3A:17401296:17403274:-1 gene:Dexi3A01G0021820 transcript:Dexi3A01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVPSSASSVIVAVAAVLLLLAAMGAEAETRKYQFDVQMASVTRLCGTKSIVTVNGQYPGPILFAREGDHIEVNVTNRSPYNVSLHWHGVRQLLSGWADGPSYITQCPIQPGQSYVYRYQIVGQRGTLWWHAHISWLRATLYGPIVILPPAGVPYPFPAPDVEVPVMFGEWWRNDTEAVIAQALQTGGGPNVSDAYTINGLPGPLYNCSAQDTFRLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFTVDTLVIAPGQTSNVLLAAKPTYPGARYYMEARPYTNTQGTFDNTTVAGILEYEDTNNSSSNLPIFAPTLPQINDTNFVANYTSKLRSLASAEYPATVPQAIDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAASMNNVSFVLPTTALLQTHFFAGGRSNGVYTTDFPAFPAMPFNYTGTPPNNTNVMNGTKVVALPFGTAVEVVLQDTSILGAESHPLHLHGFNFYVVGQGFGNFDPANDPARFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVQDGSLPNQKLPPPPSDLPQC >Dexi3A01G0000960.1:cds pep primary_assembly:Fonio_CM05836:3A:706672:710753:-1 gene:Dexi3A01G0000960 transcript:Dexi3A01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAHNLRFVRCPKCHQLLVEYPSIPVYKCGGCGTVLRAKHRAVPVAGSGSEDHNSFSNSLKGSPQSSKSICSDEQKAVSSIHQPREAMADGSISSTKYNINSCEGAQERTMCITETVTHAEHLNEETCCLIDGTIQNSGVAAKETWGKNTGADSSSVLTEKLENVDTSENANGGKVDNFGTNAVKILYEKNGVHREESPHPYEGMRVESHKALIEELERSLSFSSDDDYFSDEAENSGLSDALRNQMGSRRFMLGSETNDASRSDPHGRLIEELEMSFSDAEEPIEHHALVADRVHRKSHNMDPQTLGAESAHPHEESLLSSDNGHLKSEQISHQENMLLGNGNKGKEYVADDNNTARYVHEGEHIVICSEEIPERFHEKEHIKDRQSPDIEHAYPYEGSTSSVDDGSIKIKQSFQQNDLMANVTQEMEEVCTEDDRMTSCAHGNDNPLLADEDIADGVSGNIDLMADENIAERVHGKEEQTADVNQEMEDGNMTNHVHVNDSVVLADEDIAERVDGNEEASGSTGENEESCMENENENVAVADKDIAKNIHENEQATSVWST >Dexi5A01G0030790.1:cds pep primary_assembly:Fonio_CM05836:5A:33647939:33653979:1 gene:Dexi5A01G0030790 transcript:Dexi5A01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRMKREESFEFGELSAQDAMGSASESSYSPPGAIFGVSPPESSPRGGRNRRRDRPSWVKLTYTPYFDGHLWRKYGQKKIKDAVFPRLYFRCSYRGDRQCLASKLLQQKNGDDPPLYEVTYTYEHTCGAPPVPFPDIVAEPPPTAREGLVLRFDSPGGHGDSQTPQQGPYHQSTSRSPFMMLSFGSSSQAHDQQPAFRSDLEAGSSPLPDEGQPPANGDGDMFSTWDSFTYDFDSHMHFGDHSHLPYNSNYDYDDY >Dexi5A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:5A:21517902:21519813:1 gene:Dexi5A01G0018010 transcript:Dexi5A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGKPDPPTPPQPPSAARGVFMRRIFPFLLATNVFIGVYVFAKTYKRDQEKKNAQAAAAAAAAAALSSPESATAKAADPAPAPTPTPTPAPKRVLPPLSEDEQRQVYKWMLEEKRKIRPRDAAEKNKINEEKALLKEFIRAESVPRL >Dexi4B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:4B:2344626:2346613:-1 gene:Dexi4B01G0003360 transcript:Dexi4B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDELHGRGGGVQGLYCGATPRAAPMAGGGRRDGVVVKSVKRRKREPSSVVTVSGNGKEEAAGDKSAAGSNAAKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPSTYTNFPVVDYEKELKVMQSVSKEEYLASIRRHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPTTTAGDDATPTSGVAMSSSPCLQAGGLLHHHGMLHVDVDLYRGAHLAHGVSSASFSAAGGLVDDVGSVYNGGPSPTAAAVCVRPSPSPTSTTTALSLLLRSSVFQELVARNAGTAAAQQQQQQHLVPVDDAASEDVVVGAKIEHEELALGRPAAADAEGELVGGAAMYGGAARAAGAEEEEEAFACSMYDLDDNFARIEQSFWSCLDE >Dexi6A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:6A:21901629:21902829:1 gene:Dexi6A01G0014510 transcript:Dexi6A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKTGVKKGPWTPEEDLVLVSYVQDHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFSHHEEKLIVHLQALLGNRWAAIATYLPERTDNDIKNYWNTHLKKKLSTKQVGVAAGAGEGGGAAAGAGAKSGRSAALKGQWERRLQTDIHTARQALREALSMDHHHPALMPPVMAKAEPPPPLPPSSSAPASQAAYASSAENIARLLEGWMRADKASSASRSSAALSGGEGASAVSHSGVPAPEASTGTSKAAVAEDAGAVVPPFSMLESWLLDDGVGVGHGDAGLIGVPLADPCEFF >Dexi9A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:9A:3664643:3665446:1 gene:Dexi9A01G0006400 transcript:Dexi9A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKFVACGRIEQERRGEEAKRARMYNAPPPQDMSYYGHCQRRHEEKGCPYAW >Dexi5B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:5B:3082065:3082885:1 gene:Dexi5B01G0004550 transcript:Dexi5B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSHPRRRPAMSVETERSSTESSAASGLDFEDTALALTLRIPGSASAASSSSSSSPAFPDADRKRASSDADRSSPLAAAAAVASADAPPAPKARVVGWPPVRSYRKNALAEAAGSSKAKLQAAKFVKVAVDGAPYLRKVDLEAYAGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWK >Dexi5A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:5A:387804:396234:-1 gene:Dexi5A01G0000560 transcript:Dexi5A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVWVWLLQQQLPPSGLPSNHSSSAAYLPGRGGKKNLLLCSSSGTSSSVVTKEQEATDASSEEDPPALVSYKDDPNFRGCRGCGRDELERGCNGEGRIQGGIAAVPGLGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKLSPANNKRWPM >Dexi6B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:6B:26091374:26091718:1 gene:Dexi6B01G0019300 transcript:Dexi6B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEQGGGDGARLQALCHHQDDGHIVSKTAQRSGVRDGRRRRCGRDAGLAAAIRQKPLGGVRNDVRRRPTTDGGMVAAATSDGGEAAGTLAWLLRSGRNRWVACVTTCGGDQRQ >Dexi2B01G0026650.1:cds pep primary_assembly:Fonio_CM05836:2B:35771478:35774215:-1 gene:Dexi2B01G0026650 transcript:Dexi2B01G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSSPSAAGGGARPKRTSSAPIRPAEYAHSPAHHCVALRNASGLQAILAGLPPLAHPSRVRTAADAAREARLASSVAAALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCRDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGADLRADTTLAGFDGLRIRRADHSFLFFGEEASAGGRRLPPGSLLVLHRGRREVHDAFAAAAAAGDEDAATSDAAAYRPGLNISSARLVPRTTWLRKEKTENVGEWKARVFDVHNVVFSFRTLKAASAGRKDFTFELAGDDDGGDDDDDEFLPLEIRDDDEDGDFLVADIPPPPARRSCYVPGRRSVAGPPSHLGTPQRRRNSVDVPRRLPACASVGRGEDGIFGRHSGTKTTGGAKWKEEETVKTLRPSVWLTEDFPLSVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLIEPEEFFTPMSSPSLLASPGPGSIMAKPDTHKSSYLKWGSRNARSKPTNLSQVADNADPFTVPSDYTWVNSLGSKNHDKKSSKSKKGKTKETSRD >Dexi2A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:2A:9863940:9865357:1 gene:Dexi2A01G0009370 transcript:Dexi2A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKAGNAYESCSIEHGYAPATVLAIGTANPSSAIVPSDEFADQVFRVTKSEHRLTDLKEKLNRICHKTGIEKRHFQLTEEILRAHPEFLDSTLPSLDARMDTVETEVPKLATSAAVKAITEWGRPATDITHLVFSTYSACRAPSADLHLASLLGLRHSVCRTILSLHGCYGGGRALHIAKDIAENNHGARVLVACSETTLPCFSMPGGCNLVGDALFGDGAGVMIVGASPFTISERSLFEMVSATQRTMPGTEHALGMQVTGRGIDFHVGVQVPKLLGDNVERCLLDALALAFGNDDHDGNNVTWNDLFWAVHPGGRPILDKIETVLKLEPDKLAASRHVLREYGNMSGATIVFVLDELRRRREEEADHDHQLQEWGAMLAFGPGITVETMVLHGPRNPKAN >Dexi5A01G0033670.1:cds pep primary_assembly:Fonio_CM05836:5A:35967930:35970548:1 gene:Dexi5A01G0033670 transcript:Dexi5A01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGGDPRAWLAVDETASAFISRSLSSRPPITLPPPLHRAPLRPGNVAAVQCILPKEWEGIYFGGLGKSVMYLDLDCRFDVLRLAQILRNRIAEGHIGAFYWIDRASHPTRDHKG >DexiUA01G0010830.1:cds pep primary_assembly:Fonio_CM05836:UA:21518821:21523923:-1 gene:DexiUA01G0010830 transcript:DexiUA01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSAGSVLYKTVAEVWDILERVLSNTQSTGVFDDPSPSEPTVSPTAEQQVHTLTDASGPSPPIIEEITKHKSLDQEPLIEDLPLYIPKIFIEEEFLETGNVSNMPIESKCACLKSTTFISDVAPQIKGLSVILSKEWTEEAEESSRVIQPSYEYRVLFYAIGDAAPQEATYDPKVGLVLPAMGFDNSTRIYLASGNPFGGKDFMEPFKAMEALAPIFMDREEGKASGFEERVRQVMLNTQFGAPHERIHPESFYTNSWPECFCQTKARNHADQCPPDNVNYVLESQFQDKEDIEVEANN >Dexi2A01G0021260.1:cds pep primary_assembly:Fonio_CM05836:2A:33386440:33390881:1 gene:Dexi2A01G0021260 transcript:Dexi2A01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLAAQFTGLPLSQSPAPQPSFRVGLRSSPAVRARSLAPRAAASTAAVSAIPEAAATPQVSDRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGKGNEDLVVVHDALEFGHCRLSLAVPREGIFENINTLEDLLNMPEWTEERPLRVVTGFGYLGDKFLREKGFKHVRFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIEGGIVVESQATLVASRKSLHKRKGVLEITHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSLCGLQGPTVSPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSVGGSGVLVTKLTYIFDEETPRWRNLLSELGL >Dexi4B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:4B:7092281:7096111:-1 gene:Dexi4B01G0009770 transcript:Dexi4B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGRGNRDKVQQFMTITGARCAKFVTLCSERVALQALKASDWHLEGAFDFFYSQPQVSAVNTRHLEDIFNRYKEPDSDMIMVEGVSQLCNDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKFRGKLPSLRAELKDDNKFREIYNFAFAWAREKGQKSLSLETAIGMWQLLFAERNWPLLDHWCQFLQVRHNKAISRDTWAQLLEFVKTIDPQLSNYDDEGAWPYLIDEFVEYLMENGVVQRKK >Dexi8B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:8B:19501783:19502655:-1 gene:Dexi8B01G0010920 transcript:Dexi8B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDRHHAVASLLLRILTLLLLIASIIVIVTNKIYAPFNIVVDPPNITFRDYYAYRYVLSAAVIGCAYNLLVLPFAAIHVAQGRRIIGRGHGLALLIFTDVVVSVLIATGAAAGLGLTVEYQRYPQDSDYKNFFNLVDVSCGLMLGATICMVIMIMISVHSFTYL >Dexi1A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:1A:308042:311520:1 gene:Dexi1A01G0000520 transcript:Dexi1A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding KWKYEATTLGQKIDAIEAHKRKLLGESPGSCSLQELQELELQLEKSLSCIRQRKATKEADGPDFGVEGEGSIFCVQEQKLLKENAMLRDQCKALPLLELNDKGRKDATAGGVVEEGGDDQMEDVETELAIGIGTRRPPMTGWLGLYSNSGATKMGQDLLSNKFGTRLTVDEIAGAYHFSLLLSPVVSFWDCIFRKIRYSFRPEWV >Dexi4A01G0022480.1:cds pep primary_assembly:Fonio_CM05836:4A:25728273:25728791:-1 gene:Dexi4A01G0022480 transcript:Dexi4A01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLILSTNVPVDAVVAADILKDCSKAVAKIIGKPESYVMVSINGSVPMSFAASEEPAAYGELLSIGGIGPGVNGKLSAAVAEILDAKLSVSKSRFYIKFEDVQVQYTTHNHVLQLRS >Dexi1B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:1B:7248396:7248800:1 gene:Dexi1B01G0008630 transcript:Dexi1B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLLLHLHAIAAAATSGGNHSNAAAAVAAVAAFLAVCALALALCALHSAPGASSRLRRALASVSRRRTEPVIAAVHQVQPGGGEASPCVWQKGILMGGKCQLPDFSGVINYDPAGNLVAPARPGRAVAALGW >Dexi8B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:8B:891722:894217:-1 gene:Dexi8B01G0001300 transcript:Dexi8B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIAATPLLFSSPESNQIDPPWGCRDLHHRPPQSSDDPPRNPMAHLRGRTLLLPLAAATVLVASTIFLFAAAGARWRPADTGLPFPPAAFSAAAVPVTIAGVSSNATAAARKELSFLDENGHPDDPASGSDSGTAARCDPRAAAVRFFMYDLPPEFHFGLLGWSPPSPDSVWPDLTNDAAPPPRYPGGLNQQHSVEYWLTLDLLSSSSPPCSAAVRVADYRDADLIFVPFFASLSYNRHSRPVPPEKVGRDKALQEKLVRYLTARPEWKRYGGADHVIVAHHPNSLLHARAALSPAVFVLSDFGRYHPRVARLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIYRKELICCIIHAQGGSIRQELYYMLKDEKNVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLDYSKFAIFVRSSDAVKKSYLMRLISGVSKEQWTSMWNRLKEVDKHFEYQYPSQKADAVQMIWQALARRVPAIHLKVHRSSRFSRSDRGK >Dexi7B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:7B:11545455:11546763:1 gene:Dexi7B01G0004580 transcript:Dexi7B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVHDVLAFHRVDRAAYEHLLSLGAGRLPARDAVALLMWLHRSAGVDATPRVPALARTPAAAARLVAEAHATLLHGAAAGAPPPLLLLSCACGEDDDDGARARRFLASGCGGGAARRGAAEVLAGVGAVVFDDRLNAILRRYEEGGGSDGGALPVELAAPYRLCAAAARAAAAAAPEVEEEGRSLFVTFSKGYPLTREEVEEFFTGSWGDCVAKVLMEKTSPGDAPTYGRVVFRRAAMVAAVLRGRPMVKLVVNGRDLWARKYVPRPPPQL >Dexi3B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:3B:12557900:12561299:1 gene:Dexi3B01G0017110 transcript:Dexi3B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRASKSYLCAGSSSFDDPDVVEVTPAAAAAGGWASGHHKRKRSQVVPHEVIEIDDDDPDGVMIIGDKASVNKNKQTVVYPMEWPKHDKIQSSLVDEIPGPSTYVSKYANPWVDLKMFHDDAVYNYSDDFPYEGFDEDYVYDEDEFEDDGYDASPFENDYNYSLSSKFDNLDIPPGAEVSLPWMQKAAIEIGNKSKPTKIMDDKIQEKYKAFKQFDTVDDHNTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPNVPPKVNYRAGGLRLNPNLYACGKVCLSLLNTWTGNGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAMQAKTPHGEKKSLSYNEDTFLLSCRTMLYSLRNPPKNFEDFVAGHFRKYGRNILVACRAYLDGAQVGCLAGDGVQDVDEGDKSCSVRFKQSLKRLFEELQMEFIAKGADCDKFVNEKARSGASTAAADTTLRL >Dexi4B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:4B:17668437:17668955:-1 gene:Dexi4B01G0015820 transcript:Dexi4B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLGWRLCKLHSSGKMTIGQASLGKAWITKQARETVALGRELLGGNGIVTNFHVGKAFCDMETVYTYEGSYEVNALIVAREVTGISSIRPTSRL >Dexi2A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:2A:5272771:5275398:-1 gene:Dexi2A01G0005450 transcript:Dexi2A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVGRIFVGGLSWDTTESTLERTFAQYGKVIDTQVVVERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRNISVNKAQPRNSEDGYGYGGGGGGGGYSSGARGGYRSGGDVVPAASDDCFKCGRPGHWARECPYSDGGGRTGRYSPASRYGGGTGGRGDRFGGSDRFARYDDDRYDGGRYMDSRDTYGAGRDRYASDRYAPAADRYSGDRYGGADRYQSSGFARERSYEREGGRSSGGYYRDDPRGNGGYGRGGSRVGGGAGGPARIGGSYRDRPAPYDRPSRGAGARAYDDRY >Dexi8B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:8B:5102645:5106100:-1 gene:Dexi8B01G0005160 transcript:Dexi8B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMALHLAAATAVLLPGAPTIGKPGCATMCGNVSVPYPFGLSPGCYWPGLNLTCDTSHGGTPRLLLGDGTLRVTEISLENATVRVMRAGAIINATGDFISNSWNVSFGHGFTEYGYKLSYANELVVSGCNAVAWILADIGENHPGTIIGGCASLCTVLNHSYGPIMVGMESSKGKYCNGVVGCCQASFNVGGPHSEVQAKWLNSGNHTKEQQLQPLIVFVTEAGWVDKNGRMVASELEEVPIVLAWSVTQGLPQHDDNWCPDDIRRTLCKSQHSQCSVAEQQGYMCSCEEGYDGNPYLPGGCQDIDECKLPSEENGCFGECINTMGSMECWCPHRTFGNPGVKGGCVRINDSNTDALPLPTVAPVRLVLHDYCNSSCGDVRVPYPFGISPGCYMPGFNLTCNKSYNPPRLLLDSDGILEVVNISLLDSMVHVVRHAMAYTNPTHVDATTVYFYIPNISEPYTLSTKNELIFYGCNMQAILYGECSNDSTVRIISSCNSTCSSNGNYIVYEDGSAGSLVVPAHSNRSYCSGRDDGCCHASVAAGSTPKKMDFNRLNLDTRQKYHDLIAVAFVSEVGMTDQWHMTLNRTDLSSSELDYISSPLVLRWAVKQGFPASLTGSSSGQCPREVANILCRSEPSSCRQENGGFTCYCPKGYLGNPYVVSGCQVIDECQMVNQSGKQCFGNCINIPGGGHECRCPRGYFGNPSKPGGCTPTGDTQWILS >Dexi4A01G0008620.1:cds pep primary_assembly:Fonio_CM05836:4A:6449490:6450770:-1 gene:Dexi4A01G0008620 transcript:Dexi4A01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRRLLPLFPAASTIPSPIHHETKQELGLTTTTLPTIPERVKEFVLRVEELGVRCSNSGKFRNAMRVVAGIKKERVALKLNFLTSTLGCSEKEVAIAVSKVPSILGLSEEKLVNKIQFLLNVVGLELQYIVDRPVLLAYDLEKRLVAVEEENKKKNR >Dexi5A01G0030670.1:cds pep primary_assembly:Fonio_CM05836:5A:33556121:33558927:1 gene:Dexi5A01G0030670 transcript:Dexi5A01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPTPVLSAAMEALTATDKCFSPARAMSPMPIMRPPTSPEAASQYLEELLQEQQKLGPFVQVLPICGRLLNQEIMRISSMLSHLGVRGSERLPPIASPNHMHPLPRVPNFCGNGFGPWNGMHPERNGFPRGAMGWQGAAQNHSSYIVKKIVRLEVPTDAYPNFNFIGRLLGPRGHSLKRVEATTGCRVFIRGKGSIKDPVKEEQLKGKPGYEHLGDPTHILIEAELPADVIDAKLAQAQEILEELLKPVDESQDNIKRQQLRELAMLNSVYREDSPHQNGSASPFSNGGTKQ >Dexi2B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:2B:989088:990460:1 gene:Dexi2B01G0001520 transcript:Dexi2B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQWQALYEVLLEEVLVRLPPDDPASLLHAALVCKRWARVVSGRGFRARLRGFHGGGGGGGGPPMLGFLCDLRGDRDDGAVSRFVPTSTFRPRPTELRGWRAADARHGRH >Dexi3B01G0029270.1:cds pep primary_assembly:Fonio_CM05836:3B:28443615:28444541:-1 gene:Dexi3B01G0029270 transcript:Dexi3B01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVEMPEWLRNLPVAPEYRPTAAEFVDPIAYILKIEAEASRYGICKIVPPFAAPPKEATVERLKASFAANAAASGGVDGAAPAPTFPTRLQQVGFSVKNRRPASRRVWESGERYTLEAFRAKARDIELPRHAVPPRNATELQLEALFWGACAARPFNVEYGNDMPGSGFAAPEEMDLDLDGGGGNAALGARDVGETEWNMRLAPRARGSLLRAMGRDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKPKTWYGVPRDAMLAFEDAVRVHGYADDLNAINA >Dexi2A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:2A:3011423:3011750:-1 gene:Dexi2A01G0003420 transcript:Dexi2A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGELIGGNERVAAAACTPAASAAGARAAVPALEAQDEIRVEEAVEEPEPVDGDAAAVAAYRTPPAASSSRTRAHHLHAALPKCQKKKTTHSLSSVVG >Dexi2A01G0025210.1:cds pep primary_assembly:Fonio_CM05836:2A:36926194:36926994:-1 gene:Dexi2A01G0025210 transcript:Dexi2A01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRGGSDSDGDDDSFLYRYPLPSAAASSSASGGGGGGKARGGGSGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHLLFSRFGRVARVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIASDNGRASEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGGGGGGGGGGRGGAAWHSDDDEEAAPVAFEDDRWASVVDTRGEEEKATGKEEGKAKASRKEKRKGYFSDESDEDED >Dexi5B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:5B:5700189:5700980:1 gene:Dexi5B01G0008450 transcript:Dexi5B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPELISPRISFSHDLANFTSPPTRRSDASLLVSSSSSSSSRLPEPEFDFATAINAAASSAADVVPADRLFAGGKLLPIAPLPPSTPRPNPRKQQQPSGGNGAVAGGRVKAACPEKRPGSLASSPFSRSSSVNSGATTAAKTLAGAPRSAGRFGCPPFPLMRSWSASAAVARDGGGLGGGAIPAGDHRARYKKFGGKVAGSNGGGSSRAYYYGGGRKGSSSSHGVRVSPVINVPFIGTSVTNVLSYLLCDCSTKTKKSREF >Dexi4B01G0015390.1:cds pep primary_assembly:Fonio_CM05836:4B:17153952:17157492:-1 gene:Dexi4B01G0015390 transcript:Dexi4B01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGEHPVSSWNPPFPSSSLSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYIDNSQKPSLKHQRKWKSDPNKTNTWYHKGEKIFQANKYRKGACENCGAMTHDKKSCLERPRTLGAKWTNMQIAPDEKVESIELDYDGKRDRWNGYDPSTYTRVIAEYEAREEARKKYLKEQQLKKLEEKNGIQDDNDVGCDEDTEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNHNRLSGQALEFKQLNLHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEKLKSETRDKIMEKYGNAASDEPLPRELLLGQSEKEIEYDRTGRIIKGQDTSVPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCRQTIKNSYCTGLAGIEAAEASTDLMKANMARKEATKEEPVQHEKKRLATWATDVPDDLVLDKKKLAESLKKEDERRREERDERKRKYNIKWNDEVTMEDMEAYRMKKIHHDDPMKDFLH >Dexi2B01G0027740.1:cds pep primary_assembly:Fonio_CM05836:2B:36551003:36553815:1 gene:Dexi2B01G0027740 transcript:Dexi2B01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRELDIVVPPCVLLLLSSTLLFSGAAAYSEYSCNGTTGNFTAGSAFATNLGLLVAALPANASSSPSLFATAGVGDAPDTAYGLALCRGDLTDPSACSSCLADAFSRLLRLCLDDRDATFYADLCTARYSGGDFLSNPGDNSPVIDALDVNASTYYGWDATNATSRTLFLSLVGTLLGEMSMYAAYNSSAARLFASAAMYVNPQLPTVYGFVQCTPDLTRAQCWECLQVIQDLNRRWYDGREGGRILGVRCSFRYEAYHFFAGMPEVRIGLKGDAPSSSAPGSHGSNHRKVLIVTLIVSITVFCAILVAGLLIIRAQRQRAVTRKKQQLQAQSRNSSATEEALKLGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNSGHHHHYGDFVNLLGYAWQLWKDGRVCELIDPALGECGDAAAIVRCVKVALLCVQDSAADRPTMADVTAMLAAGNDAPSSALPDPRRPPHFSLRVKGGAGSSDDDDGGGSGLRTQTHGGTTSSFSTNDLTISSSIREGR >Dexi4A01G0024300.1:cds pep primary_assembly:Fonio_CM05836:4A:27119453:27122872:1 gene:Dexi4A01G0024300 transcript:Dexi4A01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLLIRVTGAELLLLLLLPHATATIHATLSAMVGGDERALLDFKAKITSHSGALASWNTSNSYCDWEGVRCGRRHPQKVVALDLQSKGLVGTISPAIGNLTFLCLLNLSFNSFHGQIPPTIGYLRRLEVIYLRANFFAGTIPSNISNCTSLRQMLIGDNNMGMQGGIPAEIGSMASLTVLELPNNGFTGTIPSSLGNLSRLTALSLSGNYLQGSIPHRIGNNPSLQFLQLSRNNLSAVKVFNLQQPGSYKSFQAECEALRRVRHRCLVKVITSCASINHQGQDFRALVFEFMPNGSLDSWIHSDTESQSGRRALTLEQRLDIAVDIADAIDYLHTGCQTSIIHCDLKPGNILIAQDIRACVGDFGIARILNEAARTTSSHSNSIIGIRGSIGYVAPEYGEGLAVSTYGDVYSLGITLIDMFTGRSRTDDIFRDGLSLHYFAKAALPDKVMEIVDSRIWLHDEANKGNGTRDTTRTKECLAAVIQLGVMCSKQSPRERLLIRDAALEMRNIRNAYLSK >Dexi5A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:5A:4033105:4042223:-1 gene:Dexi5A01G0005410 transcript:Dexi5A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKWQVWGQPDGSLVWVPASDAPPTPPPAANAAAGPHDPAPPRPPPPDGAPIEETLGPDGADGRRLPSMADLLLQAMDELIEGHGTDTLTDSANAGGLFSTGSGRPVPVSDRAVRRARVLVGGEVETTDSNKKRKLPFGDDAGLEGEKTNLDVQLGGGVHKDNLLPMFQTGSGKVVSLSKGSIQKARAVLEGENVESAAGPRQPMFHTGMGRSVLVSKSSVDKARAVLEDQMVENEGDTGGVDGIEHYPMFQTGTGRAVPISMTSVQKAKAVLEENSINTGQGDTGYQLPIFQTGLGRPVAVNQGSIKKARAVLQDGGAKRNEKCYQGDGHLPLFQTGSGRSVTVSKSSIKRASAILEPRNIAKELEDEADPNDLCATSIIKTGLGGSILNENLRENIQAASETVKRANKDIGYGYAENPMFQAGIQQIPPENGSSRHRTTLLEQGKFATKGYEDCGSSLPMFQTGSGKSVLVTENSVRKARAVLEEESAVNRAELLFTGESKVGSTKVSPCRTKLSERYPFQHERKSCQDYFGGPPRFKYLTEHVTDDVKLMDAKRAEKFKFQHMGTGAEDFQKMLLRCGASLSYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLTVDNVFEELKYRYDREVNHGHRSAIKKILEGNASPSLMMVLCISAIYSCPDQSDNNLEVDKVDNIEDSNANKSLSATNRNMSAKIELTDGWYSLDTSLDMALAEQLKKRKLFLGQKLRIWGASLCGWSGPVSFHECIKASGGRVPRTLVGITRIYPVLFRERFPDGRSIVRSERMERKALQLYHQRVSKIAEDIMFEQEQNCDSTDDNEEGARICKMLQRAAEPEVIMAGMTSEQLRHFSSYKEKQKVVMQNEVAKKVQRALEVADLSSRDVTPFLKVRVMGLVSKHSASISSNKEGLITIWNPTEMQKSHLVEGQIYSVTGLMPSNHCTEILYLHGRGSSTAWKPLASVQTTDFKPFFTPRKAIDLLNFGEVPLSSEFDIAGVILHVGNIYLCSNQKKQWLFLTDGSKFLSGQKSEEEDCLLAVNFSSPTTGEDSAFFSHTLSGNTVGFCNLVKRQKDQIRQIWVAEATESSTYTLSHEIPRKSHLKEAAASVETWASRSYHKIQELKERVLCIVGDSGG >Dexi7B01G0018240.1:cds pep primary_assembly:Fonio_CM05836:7B:24078811:24081007:-1 gene:Dexi7B01G0018240 transcript:Dexi7B01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSKGRAIAGSFVSRVLAGKAAASPRRAVHASAYDKNLEDQVRPAFVPDDVIGGVANPDKYWGPHPKTGVFGPAAVDVVAGGPDAAANAASSVLDQKVWFRPLEDVEKPPPTA >Dexi4B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:4B:7226013:7226578:1 gene:Dexi4B01G0009930 transcript:Dexi4B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPRLLPYDVLADVLSRLAPRSLAVSRCVCREWRAIVDERCQLHPDLLPISLGGIFILTHEPEPPDFFARPSMARRIGGKLENYVDRDQYNIDDYPDIVDCCNGLLLLDRHVVNPATRQWVRLLPCPVLSEGNTGFGSYQSSYLVFDPTLSPHYECRS >Dexi1A01G0021630.1:cds pep primary_assembly:Fonio_CM05836:1A:28368834:28370374:1 gene:Dexi1A01G0021630 transcript:Dexi1A01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGHAVTLSERSASGARLIEDVASEAGDEEAESTARVLYRASFQELMPGYLQYDTIIWAMISLLLVMAWGVGLLLLLYLPYKRYVLKRDILSRQLYVTDNYIVYKVIIREASRRTQEVQSWKSRLNSGEGPSYLPPSSGFHSKGKVPDSVLLHKIEGVSQSLKNLESLLIGSSHAREMI >Dexi7B01G0012870.1:cds pep primary_assembly:Fonio_CM05836:7B:19562276:19563265:-1 gene:Dexi7B01G0012870 transcript:Dexi7B01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEAGWSTANNRAIDVRRREKIPEQIDQGYPRDRVFLLVYYRPARRVWILCVPVSSPLSAVASPNARPLREPAPSCEVIDQLPVRPELSGYPSRPGPHLGVAHHGTRRLAGFGPESRGKLEKGRRRRARCSVLVRGITKRGRAPPATEEALLPLSFSRPAET >Dexi3A01G0035800.1:cds pep primary_assembly:Fonio_CM05836:3A:41066259:41070836:-1 gene:Dexi3A01G0035800 transcript:Dexi3A01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGEQQQQAAEEVVSVEMPAPEGFGLVARDSAETGIHGALVGVLELDLSWELFTPQSRGKSEIVFVSPTGEEIKNKRQLSQYLKANPGGPAASEFDWGTGDTPRRSARISEKIKVFDSPEGEKIPKRSRNSTGRKGKQEKKEAPETEEAKDAEAGKDAEEAPSEDAAKDTDVEMKPAEEVKEAPTETEDAEKAADKADAPAPASAEEEKKETEKPAESDVAPPAPLEEKKVEAEEKKEDAKPAEPEAAAPAPASNPTENSAPAPTEPNPAPETKPDAAAPAPETKPDAAAPASGTKPDAAPVENSADQGASQESQPNGAVNNGQLPASAVKCT >Dexi2A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:2A:29036138:29038499:-1 gene:Dexi2A01G0017220 transcript:Dexi2A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASPSLSLPTVPGRARLAAAGAPRRRRRVAVVRAKVREIFMPALSSTMTEGKIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGGTAPVGAPIALLAESEEEVALARERAQALSQGQSQEPPSPHGAAAAPVPAPPPPGSVTAPVTKGVATPYAKKLAEEHRVDTAGVVGTGPHGRVTAADIETAAGIKPKPKVAPPPPPATVGPAPPAAAGAVLPPLPGGSVVPFTKMQAAVSRNMVESLSVPTFRVGYSMITDKLDALYEKVKSKGVTKTVLLVKAAAMALSQHPVVNASCRDGKSFSYNSNINIGVAVAIEGGLLTPVLEDVDKLDIFLLARKWRVLLKKARTKQLQPNEYNSGTFTLSNLGMFGVDKFDAILPAGQGAIMAVGASRPTVVADKDGFFSIKSEMLVNVTADHRIIYGADLAAFLQTFAKIVEYPESLTL >Dexi3B01G0033560.1:cds pep primary_assembly:Fonio_CM05836:3B:36317892:36318504:1 gene:Dexi3B01G0033560 transcript:Dexi3B01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVITDECTVAVSAERLWKACFGNVSARAAIVPKACAGFIDAVEVEGDGGAGTVTTMKFNAAVGEVSVVKSRTVSLDEATFVMRAEVIEGGKVTAQLKSQVNEVKVEAAGEGVSVVKVKVEYDTIGDAPLPAEDQARLTKAYLGLVKKVEAYLAAHPDELA >Dexi5A01G0001820.1:cds pep primary_assembly:Fonio_CM05836:5A:1285592:1288632:-1 gene:Dexi5A01G0001820 transcript:Dexi5A01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSLVRRAAAAASGRLLHARAFASGGGGETPKRVLVPVAAGTEPIEAATTADVLNRAGARVTVATADPAGDDGLVVEAAYGVKLVADGRVADLQGEAFDLIALPGGMPGSVNLRECKALEKMVKNHAENGGLYGAICAAPAATLAYWGMLKGIKATCYPSFMEKFTTEVIPVNSRVVADRNAVTSQGPGTAVEFALALVERLYGKEKMEEVAGPLYVRPQHGVEYTIKELNSVEWKCSGTPQVLVPVANGSEEMEAINLIDVLRRAGANVTVASVEEKLQIVTRRHKFNLIADMMLDEATEMQFDLIVMPDKKATAFPPMSHLLTDQSACEHRVVIDGKLITSQAPGTATEFALVIVEKLLGRDKAISMAKELIFM >Dexi8A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:8A:7247432:7250968:1 gene:Dexi8A01G0006850 transcript:Dexi8A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDDWALTTGLSAIDLCPLTARSRVAHAALARARARRRQLLSPDTAVCPRTRCTRLASSRGLRGTVALTLASPSRRRRGPPDFAIAAVVHRSNARLLRPRVPLFPSPRCLLASPTRACRTALACARVDAGKGRQRPRQSRCRRDSSLSCPNLHPVLPEHPYAPLSLLLKPPTVPLSSTPEKPSPEFAEAPPSSLSWAALSTAPLAKPRISRASPSSTATPRPIPEPSPELTELSAAARARAQPTPLRLRPNRGHQQLPRAALVLNDLFPDLLRPRRRRRAATAVADLDSDHPRPRDLAQTNHGEPLSISPYFPGPDPPPFGRRTKECLQPLSRGPSAKFYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi2B01G0036670.1:cds pep primary_assembly:Fonio_CM05836:2B:43413131:43414716:-1 gene:Dexi2B01G0036670 transcript:Dexi2B01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAADAFLVLEFIAGNRRIPNAVLDALLASLPSYSPTSTSTSLRLRKALVLRALLAALHAGDASCSFTLLRKARRVFADPAAAAFFPHQLSLADNEENDGATAAAAVADLKRLLDHEWANLPPFKLELSADRLAGDWPLETWAAADHTKRTKLRLLGESMEREILTKLMEDAPVSHPNIPPEATDSIANEAAGAQRNNDKADPSKQEGMAGHQNASIKGVHGVQLAEKSVPTSNKRSLMERHPNASTYEWDGLGDSDDDKLVGKRELPPFERKPNPSPACAHKIRKKWSEIEEKTLLDGVGKYGKGNWKDIKVAYPDVFEERSTVDLKDKFRNMERHHESA >Dexi5B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:5B:13574282:13576200:-1 gene:Dexi5B01G0016070 transcript:Dexi5B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPSPCSLPRDALYEILLRLPAKDLCRLRAVCPEWRSLLSDPRFVAAHAIRHPGPLIVAGYERPKEGIICDILDLSGRVVMRIPAQEGVRRVMSIQLNLICTEKRMTLDCQLLNPVTRAVCALPEGFAKEHAQKRGIFDHKVFLSFGKAPLTGECKVLRILDNLSDIRPKQLCEIFTLGGKDSQWRKKKAPEDIVYLGCCKSVVMDGIVYFLSYLDGCIASFNLHTEVWSKALHGPLSSLADAKMKNRFMLLTCQAMC >Dexi1B01G0022080.1:cds pep primary_assembly:Fonio_CM05836:1B:27815422:27822356:1 gene:Dexi1B01G0022080 transcript:Dexi1B01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLAWNDLDVAFREDRPAAPAEGQTSPAFDKWERSNRMATMVMSQTISPGIKGAIPLKNAQGVEYSAKELLTKIEENFKSSSKTYASTLIMKLVSSQYNGKTGIREHILSMCDMANKLKEMQMEISDGFLVHFILTSLPSPQYAAFKINYNTTKAIWTLSDLISYCVEEEERLKTEKMKDVVNMVGNLSLSETPKNQHESGSSKQGAKKNFKKNKNKNFAPKHENKFKKSSHTSGGKMLCSFCESPKHLQKNCAGFKEWLKQQGNIKFDSAWKLEFLPSQANPVGVQKSLKERLRYKPDVVLNIDSNTASPAFPVAMHNTTAGIRPDPTPMVTDERRRGEGNCPHVLIPPLAGRRGLCSSTAPCRLGPACTLLAGHRGLYARRSPAASTASRARVGTRGATLAREKSPPGCSVHAGGNETRPGPNPHPATIPLSPRQVARHGDDGFPFRARSLAPGLNNGLQHAAMTTQRAMAIVRQRARTGGRQAIGPVVSSSLLLLGN >Dexi2A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:2A:11703632:11706893:-1 gene:Dexi2A01G0010540 transcript:Dexi2A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEVEEAPGELENAGKDEAEYHSRDFEWEDLKAEVESDPAFSYHLSPFHSPAASSTSPPQPSSEAWRSFHRRHASGKFFKERRYLLKEFPELTNSKDCAKILEVGCGNGSTAVSILRSSGSITVFACDCSKDTLERANEVICNAKGIDVRDRFHPFLMDVSKETFPDWLFCNACKRSHGKAAELLDLSHHKIRKEHPDFLRENQCCVGGMDFITMIFTLSAIPFAIMPSTIQQCVSVLKPGGLLLFRDYGIYDMTMLRFLPHQRVGFREYMRSDGTLSYFFTLDTVRELFHAAGLIELELEYCCAKSVNRKNGKEMQRVWVHGKFQKPQS >Dexi8A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:8A:2415462:2419888:1 gene:Dexi8A01G0003380 transcript:Dexi8A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAALVAADPNDAVAIAGGGGSFASLRAYGWALADTPRRLARRAFAATAAGEEMSRVRARSGHRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVLSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLVSVCICYSTKESSLLNLFLTGVHVAFILFIIAMGFKFGDARNLTHAADPSNHPGGFFPHGAAGVFDGAAAVYLSYIGYDAVSTMAEEVERPHRDIPAGVSGSVVVVTALYCLMAASMSTLLPYDAIDLEAPFSGAFRGMVGKEWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGLFTAALALFTDLDVLLNLVSIGTLFVFYMVANAVVYRRYVGDSGDTDHHHHHKWTKAWPTLAFLAGVSLSAITFTLVWQLAPTGGAGKAVLLAACAAAVMATVAVFQALVPQARAPGAWGVPGMPWVPSASVFLNVFLLGSLDPPSYVRFGIFSAAAVVVYVFYSVHASFDAEEGGGGGDGAKVQDVV >Dexi1B01G0028250.1:cds pep primary_assembly:Fonio_CM05836:1B:32829460:32837689:1 gene:Dexi1B01G0028250 transcript:Dexi1B01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAVAMEQEAEATCLQSFELYESESILHILGTNSDKTLWRLLKIDRSEPSELVMDECSTVYTESERHDLLKGLDEEHKSTGGIKFVTKFYGIIGFIKFLGPYYMLIITEQKRIGQIFSHPVYQVTRTAMVELANSKARSTFQSSKDENRYLKRGVNEKGRVANDVETEQIVYEAVPGPSEVSSVVQNRGSIPLFWSQETSKLNLKPNIILHERDNNYEATKLHFENLRARYGNPIIILNLIKTRERRESILRREFDKAIKTINKSLSEDNHLSVLVFFIEVICFSKPTNVLDVLLKVAFRALSLTGFFYCQVAPSSDTAAHWPTLLSGLDPYLCDDNSNSDNTECSEIVGDIAQEDISGSSDSSGNATAEDKVENSELPLPKPPKFQKGVLRTNCIDCLDRTNVAQSIATSTPDANLSTENVISGITPEIMENQITKIQSQKLPEDFVQWVNHGDTFWY >Dexi1B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:1B:4204841:4206014:-1 gene:Dexi1B01G0005140 transcript:Dexi1B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATPPAAAAGGGVTATVDLSPAAANLGGAHLLPCVIRQNGGAPVSDYFKPRSTGVEVEGVKVEEAFFRGRKLQGATLALPDGYRGYVLEKKSGEKDTQNSDGEFSSFVSRGEIQSITYWNHDVTPSAEDSIPRCFHWLTVANAAWHM >Dexi4B01G0001000.1:cds pep primary_assembly:Fonio_CM05836:4B:636514:637345:-1 gene:Dexi4B01G0001000 transcript:Dexi4B01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPAPAPGHGGLTTSRRRGEKEAAEHCRRASGGDCRAVQRPMDAKAARNGRLPPPSSASVGVSLPSEHLKGRPARLPISEAYVGEAATVEDPSAPLTHAIRAASWPAPEGKPRAAAEGLDPSSSEGAMLLRLDPWNVRKLTPTVMTAAPRVLPLADRTVVAVGTDFGHLMFWDATSSSRALADGMFWYRPHTQAVSGITAHPSVPLK >Dexi2A01G0031330.1:cds pep primary_assembly:Fonio_CM05836:2A:42092659:42093571:1 gene:Dexi2A01G0031330 transcript:Dexi2A01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYDELFPSAYVDSSSSLLVPNGAPQGDRPRARRRRRRAARCGGADGGEVDGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDANILHKCHLENELMRVKERLEVAEEELTRLRSAGSHAVSGGGGDVMGRVVCSGSPSSSFSTGTCQQPSGDHLGDDDLLYVPEYAYADNSVVEWFSLYGLM >Dexi1B01G0030400.1:cds pep primary_assembly:Fonio_CM05836:1B:34401297:34402190:1 gene:Dexi1B01G0030400 transcript:Dexi1B01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPWLLLILLATSIMSTMRPLALAVRMARPGCQERCGNLTIPYPFGIGPGCFRGDLEVTCDNSSGRAYLGGEGTSIWAFDIFLLQGEARVHKHLTWICYNETDITGFNTSPLDLTGSYYQISHTKNKFTAIGCDTIAFIQGESRNTYTSGCMSFCSSKQSVDTGDQCTGMGCCQTSIPANLTYFNTTFSTRLSASVVDFNPCSYAFVIETNQFRFDISDLAANNFANKYSDGVPLVLNWVAGKGSCDEAKKNTSSYACRSKNSECIPSGSGLGYLCNCSRGYGGNPYLEDGCQGNK >DexiUA01G0012380.1:cds pep primary_assembly:Fonio_CM05836:UA:25024843:25025166:-1 gene:DexiUA01G0012380 transcript:DexiUA01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRPAVHPVEAPPPAPAAAAQAQAEGVAHPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAVMASTNDFPYDASPFFGSPHLREVWPNLFGFFIRLD >Dexi3A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:3A:16242268:16242945:-1 gene:Dexi3A01G0020440 transcript:Dexi3A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFKVTAVLVVAMVAAASLEPAAAGVARNTDMDALTALRNGLQDPDGALKSWDTTLVNPCTWFYITCDGDNRVIRLEMFGNSIQGRIPSELGGLANLFDLDLHDNRISGPIPLALGNIKSLKFL >Dexi8A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:8A:12297756:12298556:1 gene:Dexi8A01G0009380 transcript:Dexi8A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQATSIVVPTDAELLQAQADLWRHSLYYLKSMALKCAVELGIPTAIYRLGGSASIPDLIAALSLPVAKQQFLDRLMRLLASSGVFSVVASTEAIYSLTPLSYLLVDGIAADDHMNHAPFLLTVTATHYIDLAMGLADWFKKDAKKPPFDHVHGASLFEDSMERSDPVFHKMAMQGLVVHDNFGTNIALREFRDIFQGIKSLTDCCYHGDGATAKAIAKAFPNMKVTVLDLPQEIKKIPADGVVNYVGGDMFKSIPRAQVVMLKV >Dexi5B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:5B:3562612:3566319:1 gene:Dexi5B01G0005370 transcript:Dexi5B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAASTDKAAALRGLATAVDTRDFQGGPTTAAGMAAPPPPSSTPASLLAPNGRSSAPPNNGREEGAVPNGGLRHANNKQQTRGNNNSKVVLVKHRKPAAPVSWQAALFVSVVLNAGLLLHHYVNTSTAPPLHHHNQEHQACLVHLDAAATSSSVISGVMRRASKAAEAPSTGKPAVTATSVINLDHGDPTMFEEFWRATGDAAEIVIPGWQTMSYFSDVGNVCWFLEPGFDHEVRRLHRLVGNAAVDDGYHVLVGTGSTQLFMAALFALSPPNAVSPMNVVSTAPYYSSYPAVTDFLQSGLFRWAGDANSFQGDNYIELVCSPNNPDGTIREAVLSSGSGVAVHDLAYYWPQYTAITKRADHDIMLFTVSKSTGHAGTRIGWALVKDREVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDAYELPEARTEEHRLFDYGRRKMVERWRMLRDTAAASGIFSLPDETSGYCNFTKEMAATNPAFAWLRCDREDVEDCAGFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYDIFVRRLASLK >Dexi3B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:3B:5877800:5880119:-1 gene:Dexi3B01G0008340 transcript:Dexi3B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGYSGVFRLGEATSTWDADSPREPWEHIKDEDIRKAAASFKGEIWQVPPMFSAIKVNTQSMMLGTLMNLKNKLPRDICDH >Dexi6B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:6B:21331284:21332307:1 gene:Dexi6B01G0013610 transcript:Dexi6B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAVEELIRRLLDGKKHKVTGKKVLLTEAEIRSLCVAAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPAANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLNRIREIQRPVDVPDQGLLCDLLWSDPDRDSSGWGDNDRGVSFTFGADKVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKGQTD >Dexi1A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:1A:28454456:28455145:1 gene:Dexi1A01G0021730 transcript:Dexi1A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLDDPPPGPASGCPAARHMAQWETARLEAEARLSLLAAASFSSSGAAPTGTTTTTSVSSSSAVAEKDPKAADIFLRLWSSDIGDSFRRRKTTEAAPPLLMPAAPVVVKRKEVVAIKQEEAQSSLPAGPSGGGGVEDSSGTSWASSNETEVAKEMEGYYDYQTFVDNFAGEELGLFHGRYGGFSLFPPIDVLAEASLDTAF >Dexi3A01G0026320.1:cds pep primary_assembly:Fonio_CM05836:3A:22436956:22438153:-1 gene:Dexi3A01G0026320 transcript:Dexi3A01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPAAEKEAASYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITRGIRNIVEANNRIEARNDPGIYLRSF >Dexi8A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:8A:10124084:10125416:-1 gene:Dexi8A01G0008510 transcript:Dexi8A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGVTSSMFAMALLLVVGIIAFIPSVASLGVCYGMSGSDLPPASTVVDMYKSNGIKAMRLYCADKPALEALNGTDIRVLVDVPNDVLSNLSTSKAAAAAWVRDNIEPYHPSVVFRYIAVGNEVAGDAALNFLLPAIENVQSAITDAGLDKKIKVTTSVSQAIVDPCNLPSDGKFSKEAHTFMGPILKLIARNGAPLMVNVYPYFTYAYNPGDMDVRYALFTAPDTVVVDGKYKYQNLFDATVDSFYAAMAKEGVTGVKVLVSESGWPSAGGKAASPENARIYNQNLIDHVRKGTPRQPHPIKTYLFSMFNENQKAKGVERNWGLFYPNMKPVYPISFKRSSDTTDTDDSPAPAPTLAPARRGRY >Dexi3A01G0024070.1:cds pep primary_assembly:Fonio_CM05836:3A:19688057:19689936:1 gene:Dexi3A01G0024070 transcript:Dexi3A01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARDGGESLMQRCKPYVAMISLQFGYAGMNIITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKLRPRMTPWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFILAVLFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRVVEMVWTSHMHLHAPHPADAAAAAAADKDWFTGSIFLIIATLAWASLFILQAATLKRYDAPLTLTTLICFVGTLQAIVVTFVMERETSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENLEKKEAEAMEIPVAIKAVDGNGRIVDVVELDEVQLEKAQANAKAAVAITVTGEEARMQGKDDES >DexiUA01G0019050.1:cds pep primary_assembly:Fonio_CM05836:UA:39790197:39792877:-1 gene:DexiUA01G0019050 transcript:DexiUA01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSPSELDSFVKFVAHPQPFPNPLRAQLVHSPSSISPNFPQHIAHSRARISKFPQIAFSGRRTTSPSRPYSEPSPSLLEHTIGFPKLCWCSRTSSPSSSDSELAGADPAAAAPPPPRRRRDSVDPRPPNRVQKTRGEPRTLFPLFPEPSSPWFARRNSGELPRAIVHRLPLSRRQLNDLQIAHNNTQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLLEQEGGNGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >DexiUA01G0021180.1:cds pep primary_assembly:Fonio_CM05836:UA:43794162:43795331:-1 gene:DexiUA01G0021180 transcript:DexiUA01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTSTTERVVERCVGRPRQPHHQGARVGEVPESRPVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMMKIFKNHDDDASILDDFDFYEEREKALQENKARLHQQHVSSSTAVEPKKPLTVPSDLVGHITKSFAQAVRLGEAKTISPSSAEKVSGGDSSVPVKPVEVKQTGLS >Dexi1B01G0021830.1:cds pep primary_assembly:Fonio_CM05836:1B:27623761:27624069:-1 gene:Dexi1B01G0021830 transcript:Dexi1B01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATPSGTASGAGRHGDRPTATPAVHALSDDNPARVTRPAHMAPTSPDAAHPRGPRHRHVGSQACPATPVATPLPPALPTIMSSPSASRDSVPLLIHPPPVA >Dexi5B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:5B:12963321:12970472:1 gene:Dexi5B01G0015660 transcript:Dexi5B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRKPIPNPSRPRIHPPNSIRPGPDPPRAPPQPVAQPDRHCRPACSARSGRRLGRQIPPPPAPSFAPGSRARAAPAPDANLAPQKRSQRGGGASAAAAGDSGDAVMARWLQSAGLQHLAASSAAGGVGAGDLRGGSLGAGGSLGGLLPSLMQNVASEPFEPSPFMPKEMDDDEDDVIPDNQQSLADNGSSALENTAVSARGSNVAKIKVVVRKRPLNKKEISKKEEDIIDVHNSQFLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAHDMVCLLRQPMYRNQHFRLWLSYFEIYGGKLFDLLSERRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGTTGANEESSRSHAILQLAVKKHIPVTDTRRQRDRDAIEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKEQLAAQPVIIGKESTYNSYPLSGEGEETMEHTQDTRPVDGSRKVVDNFTSNSSMEPERNSYNMISSYQHKGKEEISSRSGLNDRERGDLKPSQSGFTSKTQPLDSVNSQDIKITKVSPPRRKANRDDKLERQSNFMKKESGPEISRTVPKQQQQLKQQQLQRPSLTPAPQVSSKQSEKEDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLSFLLSRKASGLVSLQARLARFQQRLKEQEILSRHKTSR >Dexi5A01G0024010.1:cds pep primary_assembly:Fonio_CM05836:5A:27899420:27901421:1 gene:Dexi5A01G0024010 transcript:Dexi5A01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTGRSSSVKVTRSVFGESIGGRKQQKNMANNVLGQQNLSPETKQLAKSSMDRLNERKAAVDKERASTESELARARAMAKELERQIEQTKAAKARSEVKAMGLTMASKKETDAPSSHSHSQEEEHDAAAYAEVMRELDHAKQELHRLKLEVRSATEAKAKAESDIVASAINIQSNLRTADEMKRLVEEANEEHVLVELARIEAERERREIDAQRRAEAERFAAEMEATRAKIKSLRKDLRRAGEMEAKLAATNADVEVLQAEMELVRAMTMRNVDGVAEAEAARRKKEEEEKEAQEKAMLQAAEAELDAAKKELESIKAGGFQFMTSMDTTRTEIMRVSEEVNRLKAEEKKTDAQVQQLNAKLLKARARLEALTATRERSKAIVSNLTSALQQLRDEKEEAREEAELTDIEQRCVRAEAENVKAEIAVAEARVRESVKELEAAKAAEATAMKKLKAAVEAATQARAGSRKKKITISRFEYEYLSGRAAMVRVVADKKVSAAHAWVVALKAGEKEMEARAEAAERVAAEMRAREAEAAAEAEEKESEQKALEQELYDLNAAAEREGLMCAYPRRRSTRVSATMRRRRRSSVSSAAGIRNPRSPSFTIKRKKKVMPSLFKLIKQRKGKNAS >Dexi5B01G0012890.1:cds pep primary_assembly:Fonio_CM05836:5B:9121778:9122977:1 gene:Dexi5B01G0012890 transcript:Dexi5B01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAVRFRLEFQASSSALTPVTRSIFYQLLLKQSSPRAVPVGAGAASPWNLPPPAVPLRRRRLEA >Dexi9B01G0037740.1:cds pep primary_assembly:Fonio_CM05836:9B:39040873:39041684:1 gene:Dexi9B01G0037740 transcript:Dexi9B01G0037740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGPTPKQELTIGYDIKVEDKAPSKAAPPSTSISNTKNVERELLFEESSDVQTPRKKSTQEILTKYKFKGDAAAAAAHAKQKLMERQEKLARITEQSAELESEAENFASLAQQIRKNTENKWWKR >Dexi2B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:2B:27762753:27763487:1 gene:Dexi2B01G0017350 transcript:Dexi2B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDHLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSVSAAAAAPPPATPPPVTVTRSITMLRPSALSVATSPRSESSSAPSSPASGAPDSPFSAATTPKGEGWKRLRRKGRMATDGADAPGTPRSPTVYDWCLALPSNSSDCTCTY >DexiUA01G0007750.1:cds pep primary_assembly:Fonio_CM05836:UA:14483938:14485757:-1 gene:DexiUA01G0007750 transcript:DexiUA01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPPRPCAPLTGESGFRTDVAACSSPLRQVLQGFEEVEARCSDGMEFGDDLAQAAATTEDPSKRPDGKKTEKKKLRQRSTIEALDYLAAKMKETDAAKEIKKEERCNKAFALQEEKIKLEREKFLEKIKLEREQFDFQRDLEEERILSLDLSNMTYRLQQYYEGRQNEIFARRGC >Dexi1B01G0009540.1:cds pep primary_assembly:Fonio_CM05836:1B:8707029:8707238:-1 gene:Dexi1B01G0009540 transcript:Dexi1B01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCHRKARFRAVLSHLLKARNSCGGRSSTTPGGGSSSLSPMAGLAEQRREREREQEKRWRRKYPDVR >Dexi5A01G0027650.1:cds pep primary_assembly:Fonio_CM05836:5A:31097923:31100169:1 gene:Dexi5A01G0027650 transcript:Dexi5A01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGAQLERRSSVRRSQSMAQEEDRGQEIDEELMLRSQGSSTEPSGGPAKIAAVVEKDSAATKQSGGPSEMDQMKEKFAKLLLGEDMSGSGKGVPSALALSNAVTNLAASVFGEQRKLEPMAPDRKARWKREVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKLDTMLLDYLDNFKERNEFWYVKRDSCSESENEESQRSDEKWWIPIVKVPPGGLPKPSRGWLLHQKELVNQVLKAAMAINANCLMEMHIPDTYIDTLPKNGRASLGDALYRIITDVEFDPDDFLSTLDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSTLDIAKIQENRDVGFALLESYSRVLESLAYNIMSRIEDVIQADNLAREKAKRDAPPPEEATAGRRDAQEGGHGTTLLDFMGWTGDSEGRNDDCSTPPPPPEMPAQDDGRLMKLPNIMTNLKQTYMEKLDFLSGSRSPAGRH >Dexi3A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:3A:1362449:1364415:1 gene:Dexi3A01G0001990 transcript:Dexi3A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKEGGVRRWLVDVARWRPSPAQFDAVVALLPSHERPAIARFVREDDRKRALVSRLLQYSLVHHVLRIPFRQINICRTPEGKPYLKNGSALPNFNFNTSHQGDYVGIASEPFCLVGLDIASVSKPQGETASEFISNFSSYLTHHEWNCIVRAGTPSQVLTEFYRYWCLKEAFIKAIGAGVGFGLQRIEFHHEHWTNISIHIDGRVSNKWRFWLFKLDEMHLASIAKGHPEDAVSSYKKTLSNATVAEEQLHSALESTEEAFTLWTVEQLTQLLE >Dexi6A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:6A:3845644:3846463:-1 gene:Dexi6A01G0004160 transcript:Dexi6A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKALIPKYTDVFMLGLRDKRTRAHMDGLRFTYFWIKEQIEKEKTQGIDIAAYGSSKVVSTQAPVQLGSLRAADGKEGL >Dexi6A01G0004160.2:cds pep primary_assembly:Fonio_CM05836:6A:3846465:3847733:-1 gene:Dexi6A01G0004160 transcript:Dexi6A01G0004160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKTATAYGEYTYAELEREPYWPTEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGTGCLLQKGSDIYREV >Dexi4B01G0023370.1:cds pep primary_assembly:Fonio_CM05836:4B:24709008:24710141:-1 gene:Dexi4B01G0023370 transcript:Dexi4B01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYGVDFPGVPAGRFSNGYNTADYIGGSGVSYASGGAGILDSTVQYFNATKSKMVAEIGPSAVNALLAKSFFLIGIGGNDLFAFANVEQARNRSSAMDMQSDAAAFYGSLISNYSAAITDLHTMGARKFAIIGVGLAGCLPVPRVLDTAGSCSDGRNMLAAGFNEGLGSLLAGLASRLPGFVYSLADSYGLMVDTFGHPKASGFTDIAGACCGAGRLGAETDCLPNSTLCADRDGYYFWDRVHPTQRAAMLRAQAFYDDGMSRYTTPISFKQLIYT >DexiUA01G0001020.1:cds pep primary_assembly:Fonio_CM05836:UA:3208572:3209930:1 gene:DexiUA01G0001020 transcript:DexiUA01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Dexi9B01G0027160.1:cds pep primary_assembly:Fonio_CM05836:9B:29594660:29598442:1 gene:Dexi9B01G0027160 transcript:Dexi9B01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPGAAAGADSDQIMALLRCFTAYEEDDGVKLLIMKRSIAKYCLLFLSIVICFQGKGRAFCAGGDVAGVVKSINNSSWKYGADFFRNEFLLNYIIATYSKPQVSLLAGIVMGGGAGVSLHGRV >Dexi9B01G0029540.1:cds pep primary_assembly:Fonio_CM05836:9B:32067740:32069951:1 gene:Dexi9B01G0029540 transcript:Dexi9B01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTPNGHGLMHHHHHQAPTMPPTTTPHQKQRPAGLPPTPPGSGSHSLHHHHHHPDVSMDDTAAGRAQAQGGLLPPPRKQQVTHRRSRSDVAFGYFQPLLPPPSPKMESGGWGLQASGAAATGDELFNAYMSMEGMDGLNNNSDGDSRGSSGMRTNGADSSENESEDYGGGGGGGGGADSQFLLWGDAGGASKKKRNAAGEPAAAPPPVARHARSLSMDSLMGKLSFSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGLATQNNELKFRLQAMEQQAQLRDALNEALTTEVQRLKLGDTSSSSNMSQQMQLRCQNQMMEMQKQQGEQIPFYQLEQREQNGAPRNHDPK >Dexi6A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:6A:18299984:18300624:-1 gene:Dexi6A01G0012080 transcript:Dexi6A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGFTPKSFMAANPSLKRLDLCTSALGCVPDKDILRDAVVAKRLELGEQHDLEILIDELDGLLHGGEHVFVRAEEVPEHAVVGAEAAVEPRMEKAVGAHEDEAAGLGGREAELAEVEIDLGLDGGVFEDSRVGGYEARNGGGGHAEGVAEEREHGVRVLGGAEVHALGGLGGISFGEAAGVAEEVEREGGRIFCSGHDPRGGGAGEGR >Dexi7A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:7A:19160108:19167985:-1 gene:Dexi7A01G0007980 transcript:Dexi7A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNPKLWGRLGGGGSQGWKAKRPRPDGGWRGGEAPVVRWSHAEAMKKSPAGGGDAVVAGGGGWKTRGVLGPGPGNAGLGGPSCGSGGFWTSWSEAAAPAKADGTATCGGKGVQKWDWTEPDAKKARPCDAGGVEDGGDGEKKVVYEWRWTEAVSPEILVLVLRGRVAADEVARGPALVCRAWMQAVASPDMWGDVDIEAWCRRVNCRARADAAVRRLVARAQGTLRRLSAYRVGDASLTYVAASGKLLNVLQIPMSEITDQIVEKHAECLPALKVLDISYCLNITSRGIEALGQHCKLLAQLKRNMPPPEPPLGNNAVAKVVEEEAMAVANTMPKLEQLELAYGLFSDLAVNAILNKCPLLRSLDILGCWNVRLDGDIEERCCALESFREPWEPEYCTDSSSGGDYNDNNIDSDD >Dexi1B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:1B:4718586:4719263:-1 gene:Dexi1B01G0005790 transcript:Dexi1B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGAGGARRKCELCGGAAAVHCAADSAFLCLRCDARVHGANFLASRHLRRRLNVAGAATAATLSESSADFASSTSCVSTADSAESAAAAAPARARAERKRRRPRAEAVLEGWAKGAGLAAGPARRRATAAAAALRALGRGVAAARIPLRVAMAGALWAEIAPAAAASKGGEAALLRRVEAAAHVPARLVLTVASWMARAAAAASRAPPPTTAEEEEGWAECS >Dexi9A01G0029290.1:cds pep primary_assembly:Fonio_CM05836:9A:34124460:34129141:1 gene:Dexi9A01G0029290 transcript:Dexi9A01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSGEGAGADAAAGSGPGAPPRVAMACVLASEVATVLAVMRRNVRWAGVRYGGGDGGAGDDEHLDHPLVAGLKALRRRAAAWGHGRWASVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPGAPGVAEAMGAIVDAVTACRFEVTDPASEEAVLARVLQVLLACVRGRAAPALANRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRSVFARLQDIDVMVDSGEPAAVCKNQGLGAEEMENGKSDYVCLNSSGDEVGDGSGVVQDKTMMEPFGVPCMVEIMQFLCSLLNIAEDIEVNPRMNPIDFDEDVPLFALGLINSAIELSASSIHRHQKLMAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVIIRLAESRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAERTDNAPQHPDQTVPEISEYFPFWQLKCESANDPDQWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIKVLHEFSRTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSKRTSMYIACDSYPFLDHDMFSVMAGSTVAAISVVFDNVDHEEVLTGCIDGFLSVAKLAAFYHHDDVLNDLVVALCKFTTLLSTSYIDDPVTAFGEDTKARVATEAVFTIATTYGDHIRSGWRNIVDCILRLHKIGLLPGRLTGDTADDQESSSDSLPSKLVSSPAPQALPISTPRKSYGLMGRFSQLLYFDAEEPRSQPTDEQLAAQRNASETVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSSLDDEGIAVFCLELLITVTLNNRDRIVLLWQYVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNCLTRWSREVREAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGILVSSSTWLMAFDIIFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQVSFGKLWFEVLDMIEKFMKVKVRGRRTEKLQEAIPELLKNILMVMKASGILSKTSTSENSLWEATWLQVNKLAPSLQSEIFPDNEGDNTTQSEENKSDTPAQSDQSADQ >Dexi4B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:4B:3980509:3981078:1 gene:Dexi4B01G0005580 transcript:Dexi4B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTIRFLVLTLSGLLLVTIPSLCAGTAAHVQEKCQPSGTVQGPRLGYPCGGCCKPGHFYLTYQCSPPVTNHTKAIMTLNEFTEGGDLGLYCDGRFHMNRELVVILSTGWYGNGKRCGRLIRIEANGSSVLAKVVDECDTVHGCDRMHDYQPPCRPNVVGASKGVWDALGIHDPEEIVGEYHISWSDA >Dexi4B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:4B:4108752:4116798:-1 gene:Dexi4B01G0005820 transcript:Dexi4B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPTPALVAVFLLVLALLAIDAAQGQPPPLTNRSDAAALHAVFQQWRLEDDAATEDPCAKGVWSGAFPVNASVGCDCSRGPECRITHLYYVVLHCFLPNVTGNWNITEFPPALFNLTELISLDLSNNKLSGSVPPEVGNLSKLETWHFNNNNLSGSFPLESSLLRNLKSLWMFDNYIEGPIPEFIQNFTNLTDLRLYGMKLQGPIPQNFSKLINLEKLMLGDLEGNSSSIDFIENWANLSTLSLRKCGLTGQFLNPPRNLPKLKYLYVGNNSFSERLPPEIVQQSVPLDVSNNPSVNGSITSIPAGQKWPINYIGTSVDASGTMNSESTVLNCLHKKECNLNNVTKIVIVDGPGRRVFDIDIQDQNIRKDFDIAKEAGGFRKPTNITQVVTVNKSILVIHLYWSGRGTCCIPYEGAYGPLVSGIKVTRYQKPDISPPQAPHSSSSRQDEKRKGIIAGIAALGIAAAVISSSVVYLWWKWVSLVKRSMA >Dexi9B01G0028070.1:cds pep primary_assembly:Fonio_CM05836:9B:30699659:30701049:1 gene:Dexi9B01G0028070 transcript:Dexi9B01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSKSAGFVVLLFSLIVTYGSCAQPVNYTASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNNDACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGRSDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNAVYLAVLVEFEDGDGDVVQVDLMEANSGYWTPMRESWGSIWRLDSNHRLQAPFSLRITNESGKQLVANQVIPANWVPNTYYRSIIQY >DexiUA01G0001540.1:cds pep primary_assembly:Fonio_CM05836:UA:4160646:4161503:1 gene:DexiUA01G0001540 transcript:DexiUA01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSKSVKLVSARTNKPLEVDIAEEEDARMSSSADNTVYCCIAKGRKVIYCYNSKDGGDPDPQVEATAALCLENSPPHHRHYIHTSGSRSYGYLMADGHTFFAIIDPSVGNAGALQFLERVRDVFRSNAASRNGLHDSLVPAVRRLVASLEKMPHATFVLEENTERGGSNEGSGCTSSKVPLLGKSGSRKEKKKSSKDKLASAGDGEHEHHGTRGVRIDVPAEDVGGMSLERSSSQSRLRRQQPSRSLWMRHVKIIIIVDAVICLCVSG >Dexi6A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:6A:7302075:7306627:1 gene:Dexi6A01G0007390 transcript:Dexi6A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPEPQPPVASHVSVGGFDPRLSACGLADYLESVAGRVLRCRVKFSSAPPGTFPDFQRRPFAASADASRRRRAPDAFRAPRGPVVPLHAFVKKQEVPVVLRDMELNVHQEPGFGQPRDDLFFSVQGEGLMFPVLFLVNVLVHKGIVNDHQLTSDFFGLLKKEKDDVNVAALTELLGEKLQVFCLCKRLKNAQSRAAKNNKNLTKIAANYSAEDIVDFFLKNMINETLGRICNAHVVHADSSNSGAMDAKCIQLAELAATAVDFPKTGKMVAMPASLRPQQYLDFMGKEDDISYKSEKIIGRLYRSIQVYKLGISLEDFTSNNVPYDASLEVPGALHFIADAWQCKCSYESKLNGLLNQYSVHTEAELVTGEIWSLTKRNKKKNDQIKERLKHAYSKLHQEFRKMFETIEVDRCKISDSQKNKLYEMKASAWYQVTYHPEWIQRSRKMIEFDGKEMPARLSFAWIAVDYLTRIKN >Dexi7B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:7B:17864184:17864650:-1 gene:Dexi7B01G0010560 transcript:Dexi7B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAVVAVLAVAALLACLPPAAASSPYRAAAALRRLETAEPMDTAKGLREKADVAKVAAEDVSTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >Dexi1B01G0025990.1:cds pep primary_assembly:Fonio_CM05836:1B:30951273:30951800:-1 gene:Dexi1B01G0025990 transcript:Dexi1B01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLGGASAGGMDEAEAAFFAPRGRRCCCFPWPTSSSSHQRMGAGAAAGLTEEEPWWQRAADAVLKVREWSELVAGPRWKTFIRRFGRSGPPTRPHHHHFGGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFVGPPASAKSSMDLGGRDAPPLFNPPPPPSHDGNGRA >Dexi1B01G0028170.1:cds pep primary_assembly:Fonio_CM05836:1B:32742448:32747780:1 gene:Dexi1B01G0028170 transcript:Dexi1B01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQAAPAANNPFAPKPFGSPTTTFGAQTGTSPFGASTGAFGQQQSTPTFGTTSTGAFGQQQNTPTFGTPSSSPFGSSTPAFGASPASAFGATSSAFGSGSQTAAPAFGQAQFGNQAGGTRIKPYAQTPDVDSGTTTSGTQTAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGSTGGFPGFSNTVNQALIGQQTPSQSNMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPSRTLSMAVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIEQWPLRSETDKKSVSKDSFELDKYEGASTETGHDKTVKSPSRMPSLMETGKQHEPSHHENGKGTSVERLLPKLPQADYFTEPSLEELAAKERGEPGYCNRLKDFVVGRHGYGSIKFLGETDVRGLDLESTVEFNNREVIVYKDDSNKPPVGEGLNKAAEVTLLNIKCVNKKTGEQYHEGPRVEKYREMLMKKAEEQGAEFVSFDAGRGEWKFRVKHFSAYGL >Dexi2A01G0022570.1:cds pep primary_assembly:Fonio_CM05836:2A:34428952:34432238:1 gene:Dexi2A01G0022570 transcript:Dexi2A01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVSPSSSLLAVAAVAVAAMMMTMATAAAVAVEDSTTDDVQPLSTLKMQAAQVAMDSGAVIHASPDVLGKNGEDSAWVTVNVTAPSPSAGHWVALFSPADFGLSVGGGASTDAAAAGQDGPAAGLPTAPIKYMFANVSPSFMSSGSGNLRFLVINQRSDYAFGLFSGGKDNPKLIAISNKVSFANSKAPVFPRLSQGKEWNEMAVTWTSGYNINEAYPFVEWKMKGGESSKRTPAGTLTYTRRHLCGYRDPGFIHTAFLKDLWPNREYSYQIGHELPDGTVVWGKSSTFRASPFPGQDSLQRVVVFGDMGIGQRDGSNELAGFQPGAQVTTDRLIKDLPNYDAVFHIGDLSYSNGFLAQWDQFTAQIEPIASKVPYMVASGNHERTYQDTGGFYNGNDSRGECGVPAETYFYVPAENRGKFWYAADHGMFRFCVGDTEHDWRPGSEQHAFLERCFSSVDRKHQPWLVFAAHRPLGYSSNDYYATEGSFSEPMGRTLQGLWQKHRVDLAIYGHVHNYERTCPVYENTCMDGKSKQDKGSYSGAMGGTIHVVAGTGGAKLRDYSAGPSPQWSVVRDKSFGYVKLTATDHSSLRVEFIHSDDGAAHDAFDITRDYKDVLACTVDSCAPHTMAN >DexiUA01G0020050.1:cds pep primary_assembly:Fonio_CM05836:UA:41729030:41730148:-1 gene:DexiUA01G0020050 transcript:DexiUA01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQDFSPSQFTPSQNATDSTTPFKMRGASGTMPLTVKQIADAHQSGTGEKGAPFVVDGVETANIRLVGMVNGKTERNTDVSFTLDDGTGRLDFIRWEITTVSPGGIIIVITFSFLKEWYVRFCHW >Dexi7B01G0014320.1:cds pep primary_assembly:Fonio_CM05836:7B:20601587:20604107:-1 gene:Dexi7B01G0014320 transcript:Dexi7B01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLFVPVPVASPPLARASASAHPARRACAITPASCSSATFRSSPLRAATGLPGNRRGASVVRAVEGQDTTIEVPDVNKSTWQSLVMESELPVLVEFWAPWCGPCKMIDPVVGKLSKEYEGRLKCYKLNTDENPDIASQYVVRSIPTMMIFKNGEKKDSVIGAVPESTLTTCIEKFVER >Dexi2A01G0001550.1:cds pep primary_assembly:Fonio_CM05836:2A:1090262:1091863:-1 gene:Dexi2A01G0001550 transcript:Dexi2A01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGDGGVPELEEKESPRRRRPLLVAGLAALFLLAFFVLGRESTSTVWEMATAKLLAVVNNDAAAGDVADELLGGLLAAGVDRRTCRSRYELWRYYKHFPYRPSLHLLRSLRAYEARHRRCAPGTPLYAKAVEQLKSGHGGAAAMEEECRYVVWLPFDGLGNRMLSMASGFLYALLTGRVFLVALQPDSGDLFCEPFPNTTWLFPSQDYPVDNLPWLGQSPNVSLTAFLAANKTIDDAAYVYLSLGWQMTDGPFFCGEHQAVLGKVSWLFMFSDLYFVPSLYPIAEFRDELRRLFPAAMESISHLLLRYLLHPTNPVWDMVTAYYASNLAYADKRIGIQIRMFDFASVPADDLYNQILSCSRQENILPETDGDEVAAAGNSTTAIFIASLYADYYERLKTRYQAAAGSSSKVGVFQRTHEEQQATEKLEHNQRALAEIFLLSLSEEMVTSGMSTFGYVSSSIAGIRPAILLPAHDHMVPAPPCRRAVSMEPCNLTPPRGVTCSRGMAVDGEDVARHLKVCEDRQQGVKLFD >Dexi4A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:4A:6648577:6657253:-1 gene:Dexi4A01G0008700 transcript:Dexi4A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAAGDGTSSPSVPPPPPTKKGGGGGEHKHASGLSGWLSSVTGRPHAPLPPPTLPSPAPAAAATATAAEVEAEESALATALASAVEERRVAREEESRKEAEDERKREVEMEKKEKQKAELEDYHMQLALEMSVRENPEAMQIEVAKQISLGSCPLQSSPAEVIAFRYWSFNALSYDDKILDGFYDICATGDEATLSTIPSLMELQALPFSHGAKTEAVLVNRALDSELVALEQKAFIMALEVRLKNAESVGWTLVQTLATLVSNYMGGPVVDPESMLLKYRDMSSALRANIRSAVIPLGQLKVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSEDGALDIVKFSDGSEASSSIHGSIENESLEKGFTPSNAGHSGPYGATNFQTDNDVSGSGVSSSFEELSISTYASENVPITHESNADHTVTSKNKDKSITSNNSSSSSPPSSETSNTPAVRRKKVKDVSEYMISAAKENPQIAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSVFQTKDEMIRTMNELESTSHECYGGHGPSLPHHPGHDLKIVPYRMPLDLKPVQGLGVHHLSTGTESARSEIALDDVAEFEIQWEDLTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRRSGWHQKYYEMNHQMRNVTSSAMG >Dexi8A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:8A:2319281:2324354:1 gene:Dexi8A01G0003220 transcript:Dexi8A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCRKWGKRTQLVGHSFRDGHPGTLPQDLGTLGVRRRIFSLVVSVAWLVAGSPYAVCPTGRLLLAVALQCCRQWAGPNTGSGTRAVRVSPKLPARTHRRASSMMPGAKAIGIRAERS >Dexi3B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:3B:6534854:6535123:1 gene:Dexi3B01G0009440 transcript:Dexi3B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETTPAAAGGDCPTKKRTRAATDVCAEKKNVKGLKANCWERSEDEHVEAIREMRRESSLRNQALMAMVDRVCSQTNDLLRKLPNLAR >DexiUA01G0019490.1:cds pep primary_assembly:Fonio_CM05836:UA:40701688:40705189:-1 gene:DexiUA01G0019490 transcript:DexiUA01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCSEQRPGFEVTKDWNGADQVAIRSPRGASVRVCLHGGQVVSWRNDRGEELLFTSSKAIFRPPRATRGGIPICFPQFGNCGTLEQHGFARNKIWTIDEEAPPLNYGDNNNNKASVDLLLKPSEDDLKCWPHCEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPGVIAVLDHEKKRTFIVRKEGLPDIVVWNPWEKKSKTMADFGDDEYKQMLCVDAAAVERTITLKPGEEWTGKLELSAVPSTNCSDHLDYPGRL >Dexi9B01G0038060.1:cds pep primary_assembly:Fonio_CM05836:9B:39263593:39263987:-1 gene:Dexi9B01G0038060 transcript:Dexi9B01G0038060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRLRVAPLEVSRKRTCPRSNGYEAPDLCQKGTDARSYDEAGARGMGSARSETRQRKDEAAMGEGSEERGGR >Dexi3B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:3B:8937998:8938608:-1 gene:Dexi3B01G0012740 transcript:Dexi3B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVARYDANVLLAAVAALSAAVAFAAALHLYARCLLRRRVALAEANPRVLVIHRPPDGYELEVVGTGAGASCGLDAKALRALPVFMWESKQSGEGAAAEQHDGQCAVCLGEMEDGELGRVLPACRHVFHVECIDTWLGVSSTCPVCRTAAAAANDY >Dexi9B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:9B:3827902:3828204:1 gene:Dexi9B01G0006370 transcript:Dexi9B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWRWWCAQGARLFGWPAWLADFGGPRGRRFAWVDELTTGNAGAGRKGEENPAAMQMRRAVDGGGPRAAVAAGVAKWWSLTGTPGVLASLYHLEAPGH >Dexi1A01G0024710.1:cds pep primary_assembly:Fonio_CM05836:1A:31182128:31183895:-1 gene:Dexi1A01G0024710 transcript:Dexi1A01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFIEAQKPLLSRLMKMAGLRPIELELEPGTTMHVWAPKHHVGNKGGITVSPTEPAAEDIRRKKKKKKKKSLESRPNVVLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGKSSTASPDRSPDFQARCVAAALARLGVSRCDVVGFSYGGMVAFKLAEARPELVRSLCVSGSVVAMTEAVNREAMDRLGAGSSAELLMPETLKGLKALLSVSMYRKMWFPDRFYKDYLKVMFTNRKERMELLQGLLTSTIDATIPVFQQKIMLLWGEEDKIFDIELAKKMKEQLGDRCFLHGIRKAGHLLHVERPCAYNRQLQRWLAYVNSAEGADHQAS >Dexi4A01G0020990.1:cds pep primary_assembly:Fonio_CM05836:4A:24720427:24722802:1 gene:Dexi4A01G0020990 transcript:Dexi4A01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDILLVVLAALLAAMWWRRCSKTGGTDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTSADLIHEALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPHRVKAFSWIREWAVNAHLRRLRAEHGATGAVRVMASCRLTICSILICICFGAKISDDIIREIEEVLKDVMMMTMPKLPDFLPLLTPLFRKQLAEARELRRRQLRCLVPLVRARREFLRDGKKDAAAADGVEMMSGPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHLVLDPSAQERLYDEVVGKVGKTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPEVWRPERFMEGGEGFDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNSLRAAIVEREASPAAAA >Dexi6A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:6A:9133942:9134986:1 gene:Dexi6A01G0008590 transcript:Dexi6A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPERPKTRTSSMCIAETVQGTHRFEVSIEKRTARPEYVSSDTFTVGGHEWRIRYNPLSCKVGTKLYAGVLLDPLMSESAADDDDDDQVEAVKVRYDFRLLNRATGLYSSVFSGSGSGELRRAVQLKQRWGTHTFMESSLLMGPTYEQDGRLHATPLWISERDDKETRRVFRVEDTDPDAFIALLHFIYKDSLPAALENLVDGDSTEETVWRLLVAAEKYAVEKMKMICIHILCMRLDAKRVAGTFGLAEKYRCDKVRDACIEFMKRECPAVYIDILEKAAKARKI >Dexi3B01G0021290.1:cds pep primary_assembly:Fonio_CM05836:3B:16176638:16178692:1 gene:Dexi3B01G0021290 transcript:Dexi3B01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGIQRSATFVEDHRQTTSSGGSSSSSPAIASPRATRFADDSRRPERSSPLAARGGDLSLPVFGERFSLSGAAAAASLVDSQPSSPMQDPVTQLYTSTTKLNDDGPKYDLELSKKDDARHGLWSLVAQKAKVMLDENGTPRTQPSESRWSYDRVRSSESPTSRKGSSDGKLDIGGKIKNVLEQEGLAAADNTTPGAGSNAVVAQKLQIRRKACSMDFRSANLVTSDMSPRLSDDVESPQIKASRDVANAMAAKVKLLQRELKTLKADLAFSKERCAQLEEENRQLRDGNQDADEDMIRQQLETLLAEKARLANENTLYARENRFLREIVEYHQLNMQDVVNLDEDDDNDDIEEEDEDDVVDDAEELEQYGGRDTSSPSQLDELVHEEEEHQAAGPRSPSTRHTESPRMPSPNSGGAMDIVSPRMVNTDSGGAMDDKSPRVLSTNSGAMVDHESPRMLSTNSGAMVDHESPRMLSTNSGGSAQCESPMLRSSKDDGSSPETATDG >Dexi1B01G0022100.1:cds pep primary_assembly:Fonio_CM05836:1B:27845410:27849888:1 gene:Dexi1B01G0022100 transcript:Dexi1B01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGALCRAGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVVEKMVNQVHVVGEGDVVQEVRTTGSTSYACELHELPNEIWGEASGATKPIKVDNENLGFVENTTYPVRNEWWDDTALIAGNTSYFGATGTNFVAELASSDQLSVEERGVFSESIFEELLGFDGNVGSVMASTDPLAGSVSGCRLPSLNTANSKVSQGKKPEGVKVVKKRARPGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKDSSAVLNDNSSGVVLKDDPSAGSTGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDDKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLTKVINNGVPSFAEHQQSPMSIPVGLAGR >Dexi9B01G0045780.1:cds pep primary_assembly:Fonio_CM05836:9B:45257435:45260893:1 gene:Dexi9B01G0045780 transcript:Dexi9B01G0045780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVNKWFNIRSKAHDFHADDVAAVGRTGGGDDEWRGSSFTRREPSTVKKSRTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRPPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNAPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNAPFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENTCRWGGSSDDENNTGESPSTVYSPMSYGYGNASSLEDSQRRAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEIIRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGCNVDEDPKFVGPKLQLLIVNLHIGHLQKRWDGMQDLSKRQGEGHVL >Dexi8A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:8A:4812575:4821320:-1 gene:Dexi8A01G0005320 transcript:Dexi8A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNEATEVEVRIGRFAGLGVPWCVEELDTVEQTPSLFSDGRDDTQGVFHNGKVIAVKKLSETHIDDDQFQKEVASLLRLKHKNVVKLVGYCAESQWEAAEIDGNYAMAEVRKRLLCYEYTKNKRLDNHRFSIPTAEPYGLTWRMRYDIIHGICHGLHYLHTCKIVHMDLKPQNIWLDDNMKPKIAEFCCQQSQIIMENGGATVGYMAPEYLANGLVSLKADIFSFGVIIIELMTGGRRDYPSTEEAYEHFVENVVGSWRKILKDRYRHLEYYIEQVKTCISTGLMCVNADPNERPTTRDILEKLTKPYVTPNLEDLLHADVELLGKGTYGTVYKATLENGTIVAFRRLRLKMTMGKKYFMDVVGELGIARGLTCLHDDIRIIHGNLTASNVLLDERCHPKVVDFGLFLLLTPIALVCDANLPATAPEMSRPMYANTKTDVYGLGVILLELLTGMLPSSMDLPEWVARKEWSSDIFDQQLIQGEDAGLLGDQLEETLILALKCVDPSPSARPLARVVLWKLGQISSGWKNATRPSQVRPPPQPEVKAWDNLENVRPGPKDGAGTGPSMDDLSLLARINVEGVPEAEQEVLDNVHLSGHVEQSSSEWRKQQPANLFQASLRMDHHSGGGLPKNIPFHLLEEITNGFPDERKLGSGGFGEVYMGVHKDGEKIAVKMLHNKPGLDEEQFLNEFNSLSRLQHPNIVRLVGYCHEIQKTCVKYNGRFIFAERIRLALCIEYMPSGSLDKYLSDEYDGLHWNVRYTLIKGICKGLKYLHEELRPPIYHLDLKPANILLDENMLPKIADFGLSRFFADERTQITKSYIGTL >Dexi9B01G0035260.1:cds pep primary_assembly:Fonio_CM05836:9B:36985784:36986389:1 gene:Dexi9B01G0035260 transcript:Dexi9B01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHRPCRPSSPSSASNAFRPGTKSRTTITSTSTLSASRPLPQRVTLPHHLLQERHGRVHLAAARQRLRHQAVYDTGSCPEATTLPNTSLASPSADASAAQRRHSPRASVSSARTSASNPARSIRLYTCHAAATSPARSSAASTMPKLTLSGGQALSSLPHMSMSSANALSGFPSLQWPLRSAL >Dexi8B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:8B:11321499:11321976:1 gene:Dexi8B01G0008440 transcript:Dexi8B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKEPKLLRRSNTRGSSASSAAASCGHTKALTIHEPSPPPGLSTICTYPEHSLIVTAVRTSVGTAITAWPKPKSVSIRKEVNAVTISVRLPRPIDADKKGSSLHPSTQSTSSWKCSSYAGAVIASRTMDATSGRGIWTTSCTVASFPAKVMKLPK >Dexi8A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:8A:5261972:5267101:1 gene:Dexi8A01G0005590 transcript:Dexi8A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASTTSDSRLKWRKRKRNPDASPSKPSTSAAAAADHSDDSDSAAANDDEDAVHADADDAAAAASEDPSLDLREAEVLPAAEPISAFPSAKRRVVNRPHPSALALIAAERSAYSGEVSATAAPLALENISHGQLQVLSGMLPDHPSLSTDLDKPSTYVCTPPPLMEGRGVPKQFHGRLHVVPKHSDWFTPGTVHRLERQVVPHFFTGKSPGHTPEKYVMLRNKVIAKYLENPGKRLAFAECQGLVANTGELYDLSRIVRFLDTWGIINYLAAGSGHRGLRMPTSLLREEPTGELQLLTAPLKSIDGLVLFDRPKCSLQAQDISSMASSSSNSEAVDFDAAFADLEGKISERLSESSCSYCLQPLPNLHYRSQKEADIALCSDCFHDARYITGHSSLDFQKVDGDNDGLENDSDKWTDEETLLLLEGIEKYNDNWDDIAGIPTQSFQHGNELPFINSSNPVMSLVSRIPTALPPICFCHVAFLASAIGPRVAAACAHASLSFLTRDDDPRFNSEGMHADGRANGANPNFHNHNGASPAVSPENVRHAATCGLSAAAMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQRISADRARMKSAMLGSTGMPGSSSTMPSNPASMSPRPVGVPGSMSMPQASMPAAYANNMQGHGHAQMPQMPFMHQRPQMLSFGPRLPLSAIQTQPSPQASNIMFNSGMPSSVAPNHHQLLRSSSGNNSSAG >Dexi4A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:4A:25036293:25040142:1 gene:Dexi4A01G0021550 transcript:Dexi4A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEQPSPTRKRDREEDEEEHADGGTAEKRPRAEGESLLGLASYEDDDEEEVARGQANGSRAEEEGEEGVDDDDDDEEDDVRRAPERKSRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTERAYCLPDGYEINDPSLEDIRHVLNPRFTKEQVLNLDKNKQWSRALDGSNYLPGMLICLTVLAVMTAMMIITALQVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASNKRFQIGVQSDPVEFMSWFLNTLHVKLKSSKKKNRSIIHDCFQGELEVVKEVQRKHIMEKREDGDELNGDSSSVVGTADGTVTETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENHKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >Dexi6A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:6A:6638556:6639159:1 gene:Dexi6A01G0006800 transcript:Dexi6A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGWAAPTSVTPTPSAPLQPDEYTREITKDEAEEQTRDWAELPLDALLAVLARLDLADVLLGAGHVCRPWRRAAREEPVLWRRIDIGRSSKLGMDYRFQPAVRLAVRRRVRWCEAFCADGNNGDLDFFFVFLPDV >Dexi9B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:9B:982921:984075:-1 gene:Dexi9B01G0001690 transcript:Dexi9B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAHLMHEQSRSATGDDPSTVLTYLTFLEHKIGHLRGIICAAPHPPRQIVSAELSCIAVQLLSISKNLAAEASSPTPNEETAVSPTPIAATIPNEGDSDSSDRAEEEEDDEERPPPAGSYEIVELDREEILAPHVHSCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPVVKDAPPTSTTTRCFYSCPFVGCKRNREHRSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAAFDGHTPALPPEDDDAAAHSAAATHGVGSGSASDQRLDMDTEAVSRIANMHECFSDSMFDGLSCSDDIKGFALTGVQCPDDGRGSFAPMGLDFCDFDGIDLFGAPGIVDF >Dexi7A01G0023220.1:cds pep primary_assembly:Fonio_CM05836:7A:31254584:31256189:-1 gene:Dexi7A01G0023220 transcript:Dexi7A01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSGIYSEKNIRLFSYAELRSATDNFNRTKKVGRGGFGTVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVISNVKHPNLVELVGCCVEANNRILVYEYLQNSSLDRALLGMNHTTWELYEAKKLKELVDPVLVNYPEEEVIRYIKVALFCIQAAAARRPSMPQVVTMLSKPIRINESELTSPGYIHEYRSNVSKASTSSHSRSKNSPSLDSNMFSTVVPPTVTEMSPR >Dexi3A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:3A:16037726:16039493:-1 gene:Dexi3A01G0020180 transcript:Dexi3A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEVNTLSRRLSYSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGALQNGQDIAVKRLSATSQQGQLEMKNEVFLLAKLQHRNLVRLLGCCIEEHERILELTWGQRHKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDVHMNPKISDFGLAKLFSIDSSVGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEIITGRRNTYTHASGPSEDLLTYALEPRDRAAAAGRVPSRGAAAAGDPEEGPQLRPSMASVVVMLNSRSITLPAPAAPAFAAAVGPRAFAVTGNAQGVVRSDDREDPRVGSREHSVNDVSVSVVEPR >Dexi5A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:5A:5461420:5461758:-1 gene:Dexi5A01G0007340 transcript:Dexi5A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGLWCIQTMPATRPSMSRVLEMLDKSIDELAMPPRPYHTSPSNSPSPSHPSSYPSSTSDFTLRSSRLRTPESTA >Dexi7A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:7A:4954254:4962275:1 gene:Dexi7A01G0001880 transcript:Dexi7A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSNDQGDALGLCSYIEKKRMLANNSLADIEEGVKVTRKKTRLGWGEGLAKYEKQLKDQNAQNLVGDGDNGDTGSIIMTNNKAIVCTATASSHGPSPSPGENSSMSLAGTVIDHPPEVSNLPRKHIPPPGDIDHPRNMNTSWTEKVVCPEAPASSRNPLPDVGGKSVPCNSSSRMAQMVVSDLAASEGCDLSPAGGGNSYLSNSSMDMMEAMARPASSHEYDLPSGAAGVHGNNSLSLTETVVGPADVPPPSSACDSPAAVGDNGGLGNVSTSLTATLSYSKVKENVSQPAMNADHGDVAGDQISSGEEAPDLGSASEECSAAAEHSRNDTVTNQNINDDSNAPYDMENPGSGNNPCSATNGSEFSGHHEFELPPEDSEALKITSKENDDKAKEDEKNPHHCTASEEGSRIGLDCIVNSHKEKEVIDISNPEVSSSRKRRRT >Dexi9B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:9B:8210853:8212325:-1 gene:Dexi9B01G0012280 transcript:Dexi9B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATTRTKHVVLFPFPGQGHLAGFLAIARLLVHELPHAAVTLVSTPRNVAALRSSLPAESSSSIGFHALPFVPADHGLPPTCESLSSLPISAFINLLEAFETLEPAFDDFVSHLRRDGDVCIVADVFVSWTANVARRHGCAHAVFVSCGAFGTAIYHALWSHMPSLPPFDSDDGTLRLPEHPGVAIHRTQLSPGFLLRGEQSHRRMAFYQRITRHGHRTDAVLANTVEALEPTGLAMMRRALGTKVPVWPIGPLVRGGDTTMMATDSPETDDEAVLRWLDMQPPSSVLYISFGSQNTIQPSQMMELAAALESTGRPFVWAIRPPVGFDIAGEFRDDVWLPEGFAARALADGRGVLVRILGHGATGAFLSHCGWNSVLESLTHGVPVIGWPLGAEQFYNASMLEHEWGVCVEVARGNLPTSAVVGRAKLAEAVEAVMGDTPESAAMRRRVAEVQQVMRSAWAEDGGSSRTAMHEFLRAMHLK >Dexi5A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:5A:3364954:3366371:1 gene:Dexi5A01G0004460 transcript:Dexi5A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALALTLRLPGSASAASSSSSSSPAFPDADRKRASSDADRSSPLAAAASVASADGPPAPKARVVGWPPVRSYRRNALAEAAGSSKAKLQAAKFVKVAVDGAPYLRKVDLEAYAGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWK >Dexi2A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:2A:33356075:33356642:-1 gene:Dexi2A01G0021240 transcript:Dexi2A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNGIEAAADGDEASAQEPGRCRGIRSPRRAPPRDPHVSTRPGPFPGCARRETGRRDTHGTPPTTRQARRATVPVRPAEQRSPTVTAPTTTSPPQTRRERWSRMRAGGMWRGRGPVDEVVVRVGRRRHWRQVIRLGLACGGGPR >Dexi3A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:3A:12131803:12139270:-1 gene:Dexi3A01G0016240 transcript:Dexi3A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVAGGKGAAAPVPAGSRKLVQSLKEIVNRPEAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEIKEVPEPRSRSHTSTSRGVRGGADRGGRSNSVHSSSTDNAAARPPISGPPAASINSNQKQTIASGSSVNKHTVADGPAVPLQTSSGSQHGWSGTPGQLSMADIVKMGRPQGKASSKPVVTADRGYAGQYPSLPSAVNQNIKQSGSTVPPADLDKGLPPAQDSVQVQNHGHSAADIKHAYDGGEWPPQDEPASSNHLPLPETSGHPSLYEASLQSSTFVADTVNSHENSHLDENIGMRPASDSERHLEYSEGISQYNDGMSYQPQQYSYMQHEGEWRSRTNFEDSSADVSAAAANFQSLSLHNEELAAKKTAEDNPAVIIPDHLQVTNTECVSLSFGSFGSGAFSGLLPQKTTDNNVELPVQEESTPVDQIDARNQDYYESGAVNSSAEENLETMMGANMENVNAPSVSQANEHRPEALDPSGLQYDMPTFTLKIHILIKVALQANPLSTSSLLGSNQNHSTLHGLEFDLQPYMEPKYTTGSTTNPRPAISMQENTGSASTLGFDEALNRQYKDTSQYMALQQGDNSAMWLHGSGSRATSALAPGHFYGFQGQSQLGGFRQAQQPQPSQFGGHGYPTFYQSQGGLAQEHPQNLAEGSLNGFPAAPSQQSHQSWQHQHTY >Dexi7B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:7B:6332241:6332660:-1 gene:Dexi7B01G0002890 transcript:Dexi7B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDHNKSKVSPPPLADNVAAMFQHVQIRFDSLAQDVAAIPTMTARLEGRQPPPPPSVTLPKGFLYGMPGFGFTAAGGSSSSAGSSTAAVAMTTAVGVTTAHHQHRISAVTISTVAPRQRRQRPFQRPLFPPATAYQQH >Dexi2A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:2A:13540737:13544012:1 gene:Dexi2A01G0011660 transcript:Dexi2A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPKPINQRNVLDDAVMDHDAHAAMDLDDLDNTACDSLAAAVVMDDTPREPLAAAAMDDTPREPLAAAVMDDGTPLNSSVCVDDGYCPSSHPPLFVHGGSSSTANGGVARGLRDCWATVTEGGVGDHVGRR >Dexi9A01G0043790.1:cds pep primary_assembly:Fonio_CM05836:9A:47477520:47481468:-1 gene:Dexi9A01G0043790 transcript:Dexi9A01G0043790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATTVRLLLAFSALLLLPCCGFSTAAAAASSGDVGGGVARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPATPDGPPGDFFPPVPPTAPTGGGGPTTSSSTTTTSPTTVAANVPTGPSGAGDGGHHGGPAKATIIAAGAAAAAAVALLGFACAFLISGRARRRGDSQKLLGHDRGSARHHAAPSAAEFLYVGTVEPTTPGRHHGPTAADLVGSPYRKLRSERARRGVGRDDPTDHPSPELRPLPPLRRAATMGSSDEDAYYTPRQRSGGDGGRGGGACGETWSEASASSPPTTTTASRRSLPSLTSDCFPPVAAIAAPTPPPARSRRTPSRTRFSAGSTPDIKQVISPSPRSMQPSKRTQAPPPPPPPPPPPPPKPNTVPKPPPPPPPPKHVSNTIPRAAEQPSGAMSRRRLLKPLPPEGPRIAMPMPITEATSADSNGNTSMRKEDDAIDGLAANGEPRPKLKPLHWDKVRATSDRAMVWDKLKLDEDMIEALFMNNSTPAVAPRDVGRKATGPPFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGTELLETLVKMAPTKEEELKLRDYNGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKEGAMIIHSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQSFLKEAENEIEQVKGEEKRALVRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDHVCKEVGRMQQDRTVIGSARSFRISATTSLPILSLYGQRRENNSDDDSSSS >Dexi4B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:4B:6012162:6016395:1 gene:Dexi4B01G0008340 transcript:Dexi4B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGRRGGGRGGQILRLEVENFKSHKGKQAMGPFFDYTAFVELNAATEPYLMDAISFALGLRSSHLHAAQHEQFTVDRDEETKGRRASVGLIYHPPNLEGECLQLTRTITGSGRCTYRVGGLTWGEYNDQIRALEFLIKARHSLRLQESRLSMFEMSKLHQDSLREYDELQLSYSESGKSREFGGLDTGDDQAALLNSGFKALTQIVQDDIFREFEFRRYVFAFQAKMSQFMRLAHLIGYRVYVEMNRVSSAFLSMPPWPKQATWPYSSAEVMEKEKDVQKKSEHLKHSALDGLEEEEEEDNDADSGGAVADDPDPDPSLINPGETIQNSLLGNI >Dexi5B01G0028810.1:cds pep primary_assembly:Fonio_CM05836:5B:30121709:30122188:1 gene:Dexi5B01G0028810 transcript:Dexi5B01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRSTTLLSDQGEFVAYDDSSPLHGDIIVFVGVVLAQRPSDGSHPTSDERSARPPPLADARREVRPRCAGRVLCNDNAARTTHSFVSAMYVSPLFGYTTIGFLVT >Dexi1A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:1A:25274585:25279565:-1 gene:Dexi1A01G0018000 transcript:Dexi1A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTARSPTAEASPPAAAEKHRSGSAAGSGLRSLASAASGWLDRWAVMGSGLSKLERAFGDQFPEGERYFGLENFGNTCYCNSVLQISASKKKTGVIAPKRFIQMVRKLNEYFRGYMHQDAHEFLNFLLNEIVDILEKESSSAKVSPETTSPEKDFVHRYRFFLQGTLTNETRCLMCETVTAKDETFFDLSVDIEQNSSLTSCLKNFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPEILVIHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSNSDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSGNTDHGYILFYERVGGNCNEKTDTPEGAV >Dexi2B01G0030630.1:cds pep primary_assembly:Fonio_CM05836:2B:38901745:38902382:1 gene:Dexi2B01G0030630 transcript:Dexi2B01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGRIAACCAKPADRAIFRTVCRSWLSAARHHCPPASLLPWAVLPDGSFLMLSDGGRDWPSAVLDHGGLVKPPHGPHSLALPENTTCVGSTGSWLALRRREPSSRNGEKEDSSSFVLHNPFSGTTVPLPDVDAVGMTKAPPGPLDPHKVLMRSPTAEDIVAVLTDSRSYPFVLTLPGKGAWTPEPFAPPFMYIIDVARQALRDHQG >Dexi1A01G0003490.1:cds pep primary_assembly:Fonio_CM05836:1A:2519106:2522204:1 gene:Dexi1A01G0003490 transcript:Dexi1A01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAPGCASSSSAFLSLPHSNGGRRYGDSCRFVASPRPTAAQVSATLDKETTAAAKPRSSRNRRSRKATKSGSTALLAAEEPAEAKDGGFPAKASSAAGAGAGGRGMVVLDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASSAAVAERDPAVLFPGGPMCEFTVAGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPRSVDIVVGDVGDPSSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNHLAQSRAGKSSKSKLTIAKFKSAKSVKGWEVRQGSYFQDIYPSRFEGGTDASFEFTESGHAVFSGFVFTRGGYVEMSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRMPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSEEASDPRNFELKMEFIKALPSGQETDIVLVSCTGSGIEANRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGSRISQGISCADVADICVKALHVSTARNKSFDVCYEHVSEQGNELYELVAHLPDKANNYLAPALSVLEKNT >Dexi5A01G0022330.1:cds pep primary_assembly:Fonio_CM05836:5A:26376473:26379122:1 gene:Dexi5A01G0022330 transcript:Dexi5A01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRPPPSPLVDSYVVPGDVVLDLSEMTNQTIKLGTGLRQVTRLIGCTWDLQECDTIQATSAGMLRLSKPNKYWVESSQKRYIPSVEDTVLGIVVDTKPDNFVVDIKGPNLAFLPVLAFEGGTRRNIPKYEIGTLIYARVVKANSIMNPELSCMDVTGKAAEFGQLKGGYVFDSSTGMSRM >Dexi9B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:9B:8238302:8245267:1 gene:Dexi9B01G0012310 transcript:Dexi9B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTTALHAHFVHAVELLGGHENVSNSEGNDGRQIMCCRMLASAGSPVGKQEVSWCLQPPFAQQSGMPLPLPCPYLMSAHHNRYLLSQNQGWRRGQDAASHVQLGQDNIARRLHAGQHADTAAAAAIRRSSCSAGVESRWSSSSNGRSSSTEQACWMMNKQQPQQQRSSRVAVPNLEISLGRQGWHHNLQDQQQLRSGETAAAKELTLLKCL >Dexi7A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:7A:29979210:29981486:-1 gene:Dexi7A01G0021350 transcript:Dexi7A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATTPLAHLALAAPPISASQSSLLLLPRRPSPAPVSLSLRSRVVAAVATKEPELGGGGGSEGGDGAGGSGGGGGDRDPREGGPEGEGEGEEEKMGQGLSMSQKLTLAYAALVGAGGVMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYFHGILRSSHA >Dexi1B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:1B:26358580:26360008:1 gene:Dexi1B01G0020260 transcript:Dexi1B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKRVALVTGGNRGMGFEICRQLASSGLTVVLTARDEKKGTEAVEKLRGLGLSDVVFHQLEITEPASVARLADFVRNKFGKLHINNAGSMGVSMEVSHQAAVKEIMRSGKDQNEIAEWLKQRTTQSTEQAEECVRINYHGTKNVTEALLPLVRSSSDGRIVNVTSSSGLLRFFTGEELRQELSSVDTLTKQRLDELSALLLEDLRRGELKLERRGWPTDRVYAAYQASKALVCAYTRVLARESGAALRVNCVHPGYVETDMNCNTGKLTAAEGAAVSVAVALAEHGGVTGAYFDRTEVASFV >Dexi7B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:7B:3486067:3488097:1 gene:Dexi7B01G0002030 transcript:Dexi7B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLPTSPTHLPPSARDPTLPNYSGDRPRRRPFPTTVLHRPAGGAIAAPALATTAGTEYGDRLTSAYAADTQQRFSACSAAPLFLLGLLAACCAASAQPPSAGPPACRWPPCRDATCAFEQDHRCQPPRPTSCGADPPPRREADRRCDSPAEVPSRRRLAAARPGVRFASSRGGWEERPR >Dexi6B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:6B:4547082:4549900:-1 gene:Dexi6B01G0005280 transcript:Dexi6B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDAFFKGGGGGGGFRAAKCRWVEHIIREENMMAAQEILELFCELIAVRLPIIEAQKFVQMMFATKYGKEFVAAASELMPDCGVNRQIIELLSIHPPPVDAKLKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTFFNGSSLPLPKEKHEETLAASAAEQPEEDYESDTGLESLDLPEVPKAAIRPPDAPSDPDIVSHAQSSQSIPHEFSNPNLLSVPKTVHPPSDVPSTKDIGPHVQSSQSIPHEFSNTSDLEENPTADGIFKIQMKSLEHLISAPSAQSSIPDSPNEKKQFVPFASPPPAVATSPVEKIESIPSPSPSPPLNPTEQEIFTKKIDEVPPTDYMFSKQREQVHTISPTGSGTEIDLDDVLSAAQTAADSAERAASAARAAANLAQLRIADLKKNTRSYSDGVPKESHHQNEATQKPVFDHQDSFTNNTQDYMQSHVPQRSPSLEDDPYFSYPNLFSPPKP >Dexi3B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:3B:372378:372663:-1 gene:Dexi3B01G0000530 transcript:Dexi3B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFRAMPELTNGHAGGGFLL >Dexi1A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:1A:162852:164850:-1 gene:Dexi1A01G0000240 transcript:Dexi1A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCAGGARGGGVLGSAGSSNTILLLLLLLVIIWCSWRLLLLEELAVEVLPEGSRREPGGAVRRDELRQRAQQHGLRRRLAEDDPGDAHGVAVDEAAVQHAGVASVAEDLEGVADVHDDGAWLVARRDPPAVVAHLQPRHAVVEDKGERADVGVGLDADGQLRLRAARVVVDLELQEEVLLPLGGELLLQPRVGGGAQEPHGEDGEQRRGHLPDAAAEFARGGDVAGRVRRPEGVIGEVVGVEEVEEVVLPLALPAGVGGEAAAVALEAAGGGVVGASLASMGRAKKAEPAARRLSMSSPGMPCPAMCITPQSEAAARTASKHSCCLCCWLGSRKGEMSTTGIRRGAVWWSAAASWSKASPRSARSSAGRTYSGGIAERPLRLSTRAGSICSVAIGLHLQAEAGLLLGDERSSSITLYTRFTEEDRSCYS >Dexi7A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:7A:27509216:27513361:1 gene:Dexi7A01G0018020 transcript:Dexi7A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLKLALMEHRRRRSQIQSRICLKIPCLKRLSSLEVSACNRLQVIESKAPNLSYFSFGGNPHVQLPLGGTSPIKKLSVSYNDAVFYARTELPSRLPNLEALIIFSHPEAVNTPMVNSKFLHLKFLHIILGGRDYDFLSLVSFFDASPSLENFRLTVIRAYEEPNSIFLDPLDLRTVPGHLYYKLKFVEIINFSSAKSLIELTCHILGSTRSLERLTLDTTQGFARCSVSKSGKCLLMHKDTLVEAGRALVSVETYIKPNRDGEDDVVGGPAPATKKTNAMNKAVRKCKSTLEEVDVSQLETPRLRGKSLP >Dexi1A01G0027890.1:cds pep primary_assembly:Fonio_CM05836:1A:33573762:33581754:-1 gene:Dexi1A01G0027890 transcript:Dexi1A01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPELSPPATTATFPAATEVHEPHPSTTSSSLFPLFPLAASTSTPTAESHWLSNPSFSFDASSLNIPAANSSPLPTPLSPSSDDDAPPPPASSKYELVPSQSDSDDERGSRRKGSGRRKRRREKERYDGAAVSRKAGVRAWAGSETKPVKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMMEASGFNRSMFLNRRFGSSHIDLDSDLDGLDKKVRAGGRYYSAKNAVFERNKGFKHLKVFKGDTSAMLAEDFVPFDAQLLPVKSTTMEQELEESWEDEILRRTKEFNKMSRERPHDEKVWLAFAQFQDKVASTQPQKASRLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSAENLLGKWEQILMKHPDSFKLWKQYLLLCQGEFSRFKVSEIRKSYVYAVQALSAACTKLCRQVNENMDPKSPSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLHLTTSSKQRLFEHFWNSGGARIGEDGAMGWSAWLAKDEESRPNFVAQENTQETETGGWSGWFDPSVGNMDTNESVEPPSTDGNDAEDPDDEDTPAQDDIESLLKKLGIDVDTESNSEVKDAETWNRWASMELSRDNEQWMPVHEKSGNLRIGSLHSGDAPSGEDNDQLSRDFLLCGIYGRTEAMHGNIEQARKIFDMALLSTQATTEDLRKKVSILYLWYAEMEISVSTSRNNSDSMHRAIYILSCLGSNIKYAPFTGPISRPQVLRARQGFKEQIRGLRYAFASGGIKEESIALVCSASLFESMTSGYSSGLEVIEETFHMAFSGLAFFSFPYLNDSSHNLEFEDLWVYYIKLLQKNLNQLSLSRVWPSVSQGVHKYPYNPKSYSAMLTLSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWSKTGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKAGNASAARRVFFRAIHACPWSKRLWLDGFQKLSSILTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >Dexi8A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:8A:10674349:10675295:1 gene:Dexi8A01G0008710 transcript:Dexi8A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASRPFTPLLHQHQPRHRRRVVVAAASTPDAEPTAAGAKKKTVDTRIHWSDPDEGWVGGKSKKEGDGGRGKNEPLGGSFADLINSAFLGIAPEADIEEIKAAYRRLSKEYHPDTTMLPLKTASEKFIRLREVYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIQNYESVPDMVDRLGGRNMKLSDQAMTALTIDIVIIIISACCIIYAVFFKEQY >Dexi3B01G0037300.1:cds pep primary_assembly:Fonio_CM05836:3B:40082341:40084033:1 gene:Dexi3B01G0037300 transcript:Dexi3B01G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVRTAPALAGKQQRGSLAMLSVPFPSPAAVGDDLLLSDAETTASSTTTAAPNSSLSSASSLPRCSSLSRLSFDCSPSAALAAAASCSPPPAPAPALSSSRPHRAGDAAWAAIRAASTSAAGAQLGPRDFKLLRRVGGGDIGTVYLCRLRSSCSSSTPAPAAREGLRHHHHLYAMKVVDRRVVARKKKLERAAAEKRILRKLDHPFLPTLFADFDAPPHFSCVVTEFCPGGDLHSLRHRMPARRFPLPSARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTSSPSIEAAAVEDDDINDASTSVSCFPNHLFSLKRRRRTAPKTTTTTFVAEPVDARSSSFVGTHEYVAPEVARGDAHGAAVDWWAYGVFLYELLYGRTPFGGDNNQATLRNIARRPLAFPSDSGDDAAAAARDLIARLLVKDPRDRLGSRRGAADVKGHAFFRGLNFALLRSSRPPVVPGVSPSPLHRSQSCHVAPATKTTTAVMSHKKKKQVVDARFDFF >Dexi1A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:1A:1679618:1681153:1 gene:Dexi1A01G0002500 transcript:Dexi1A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTFSPRPASLRPLRAGAKPHLHLLPFPRFRAGRLERAAAAGEAPVEVAPPAGETEAEPAPAAASNGAAVKAVEAPAKATEAAAPPVPAFRDARWVNGTWDLSKFDKGGAVDWDAVIDAEARRRKWLEDYPEATNTEEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDLHNLKKIIDETTFYDKQWQATWQDDTTGGPKN >Dexi2A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:2A:33759773:33760127:-1 gene:Dexi2A01G0021750 transcript:Dexi2A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDMVVLLRSMFPSYDYVDKTVDVDYKLNFTADKLKKLGWKPRGLEETLADGLQFLEKAGLLREPCRLPYIYRMNAEE >Dexi7B01G0019980.1:cds pep primary_assembly:Fonio_CM05836:7B:25440062:25443975:1 gene:Dexi7B01G0019980 transcript:Dexi7B01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEACRNVDKLPAALITGGIVQAAAALALAIFQSPLSSPLSKLRTRSNHLFDRLEEISGMDFTAVLLKIVAMISEACRKVDKLPAALITGGIVQAAVALALAIFRPPAGIFVGHGEAPLYLYYGILVVVIVFGLVEASAGFYVSGNLNRRRAIGMTILWVSILPIVLVAGLGGFLVILK >Dexi9A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:9A:14023763:14026279:1 gene:Dexi9A01G0019040 transcript:Dexi9A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQQSPASGVRVKKAAAMAVAELWETLKEAIVAYTGLSPATFFTAVAVAAALYHVVSGLFAPPPPLPPRPREEPEAEPLPPPVQMGEVSEEELLKYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTVKKTVPVEDGNAASTAAETSETTTEAPATEEKPREVAAEEVKEKEAAADESAKES >Dexi4B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:4B:10989482:10992824:1 gene:Dexi4B01G0012910 transcript:Dexi4B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQTPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLKSVPSFKQRAENDPTVPDAPAKAEKFAERLREIVLRELGFRDIFKKVKDEENAKAMSLFDGVVQRNDEIEDGGKRIENLIRGILAGNIFDLGSAQVVIFVDNSGADFILGILLFARELLRRGTKVCVNLFIPLLL >Dexi6A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:6A:27024307:27029058:1 gene:Dexi6A01G0019400 transcript:Dexi6A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKAPGAWDLAELEHDDDHAGGAGATAEGPSGGHAAANAAATVAYRPPGAPECSVDLKLGGLGECAAPARRESASAAVAGKAPVAAAAAVAPGPGAPATKRARPASGGAGHQQQCPSCAVEGCTADLSKCRDYHRRHKVCEAHSKTPVVVVAGRQMRFCQQCSRFHLLAEFDDTKRSCRKRLDGHNRRRRKPQPDTMASASFIAAQQGTRFSPFAAPRLVDANWPSVIKTEENPYYTHQIPLGTNSSRQHFVGSSSAYAKDGRRFPFLQEGEISFATGVVLEAPAASARQPPPVLKTSSSAPPESSGGGTTGVGKAMFADGLTRVLDSDCALSLLSAPANSSGIDVVSRMVRPTGHVPMAQPVVSGLQFGSTPWFSRPHASPGGAAAAASTAGFPSCAAVEGEQQQLNTVLGSNDNELNYGGMFHGGGSGGGGEGSSDGTSSSMPFSWQ >Dexi3A01G0035450.1:cds pep primary_assembly:Fonio_CM05836:3A:40732598:40733827:-1 gene:Dexi3A01G0035450 transcript:Dexi3A01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATTTTRSPKRRRGEPEGPGPAKKHLYLIFDDYPWGYSIREIHLSPPSSHRNMTSAAAAAARGGVPPPKRRRLPRPILCFESLRGLTDFFSAVGTKIIATRPADPGDLLPIIDVRWRGITSGPRARIMDLPIYLPFGDAAVFSLDVCAFRKLSMDPLWPPRLELRDRSDDGEWSWRELPSPPFEREDVASYAAHADGKTILFSAEIEAVPPRLLPDGETVVFSDEVAPVTFAFDTASLVWRRHGEWVMPFTGRAFFVHPLRSFVGLSKDPDTLGHLCSCEAAAVDAGGDAPPAWKLGKEKLFSDDPAETHVGATLIHMGSGSEFCLVQCVSIDIEQGDDDAGDHHELEEGGEEPRRRRYLYRLTTFCLGFDGNGDLTTGGTCEVRCCEVPEETTESFLEHDPVAFWL >Dexi2A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:2A:22229321:22229634:1 gene:Dexi2A01G0013630 transcript:Dexi2A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVRRVEAAKHDAMRRNAAAKERASAADHHPTPLGVGPATEGPGGVHPLRSSDRRPPPDSGVPPVGAETGAAARPAAAAGIAGNDGVVPPARGTAGGVH >Dexi2A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:2A:23013025:23013307:1 gene:Dexi2A01G0014090 transcript:Dexi2A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCGWNSTLEAIAAGVPLLCWPLYTEHMLNKGVDNRGRGHRGRAGGAQSGFHQCEVEAKVRLVMESEEHRELSVRVVEYKKETSSCSNGGR >Dexi2B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:2B:18452288:18458743:-1 gene:Dexi2B01G0012470 transcript:Dexi2B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAMRCSLELEDDEPEPRALEPRRAAAAAAATAEQLQRQQHAAAARWPGSSSKQQYAVEKHGGGGEEKDRAESGQKQLEEAWRLALGFRRGRDWGNMRLNDGNIQNVWMRGTKLPGQGFICGYCGFVNHGGGATRLRDHLGAIVGEVKQCNSVPRAVRDAIKALQKSTMEKKREKEQPSVLNPETHYRHNFSSNPEYAQTLTDVIEKMADTPEDAVQAIQEIGFFRECQGRFSHPTARAGASSMPPSQLDRSAHERNHKSKNGKRVRSDEDEFEFLDSEDGDGDEGEFEDALSDGDDESGEVNSDDDHDDNRVEISPRVEASSEGDRNANGRRSARIHPKKMRIQSLYARE >Dexi9B01G0038700.1:cds pep primary_assembly:Fonio_CM05836:9B:39677918:39678272:1 gene:Dexi9B01G0038700 transcript:Dexi9B01G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGAVAKPCMVEMVAFLNCLALNKQEDDKCLKQKDLLVMCTQTQKGKPKNAAKTINYHLQRLGRDKFL >Dexi9B01G0030530.1:cds pep primary_assembly:Fonio_CM05836:9B:33031988:33032833:1 gene:Dexi9B01G0030530 transcript:Dexi9B01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRAARTPVSISAKPGGSSLAAPSMVAVAGSGRKQARGCGGGAVRASLFSPKPAAAKDARPTKVQELYVYELNERDRESPAYLRLSPKQTQNALGDLVPFTNKVYNGSLDKRLGITAGICILIQHVPERNGDRYEAIFSFYFGDYGHITVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEATPAARAAEPHACLNNFTN >Dexi2A01G0024410.1:cds pep primary_assembly:Fonio_CM05836:2A:36150090:36151032:-1 gene:Dexi2A01G0024410 transcript:Dexi2A01G0024410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQWPGAYCEQNKAGCCKPSTGVSPALDFYISGFTVYNATTGEPVTGCNNNTPFDPNKISGIQGLDQYWSNIKCPSNNGRSSWKNAWKKSGVCSGLEEKEFFQAALSFRSRLNPLVRLKAKGIEPDFGLYGVKAIQNVFKSGVNATPLVQCSMGPSPFGKYQLYQLYFCASEKGTFIDCPTMEYEHTCPAKEIIFHPFQKWMLKQSSSAAAYDAFVLPGVAMDA >Dexi4B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:4B:5439581:5440159:1 gene:Dexi4B01G0007560 transcript:Dexi4B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAIKIAILALCVSTAAVHLPSVLDPSSAPTATATSAEASSRPLDDGAILKILPPLIVMEALFVAVPFVVHRHGRRGNIRRLRRESSSELVAFALCVVAGLLEHFLLAQQPAGGEAVGGRAALGLAALRVLPASAAATFFLGAALVYAHVGGGGGGPVPEHAVRILSAMTLEAAAALIGIMATAVCYSS >Dexi5A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:5A:22850494:22854537:-1 gene:Dexi5A01G0019290 transcript:Dexi5A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGGPGRGRGGFRGGRMGPRREFGEGDTNGVEGGYGGGSFGDGGFPRREDGEGKAAERGRGPRQPYRGGGRRGGYNDGEAGDESGRPPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEALAQETIEAVNTEGTPAVAEDENKMEELPQSEVEKNKEAEPTEEEPEDKEMTLEEYEKVLEEKRKALLELKAEERKVEVDKELQSMQQLSVKKGTDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFHSGYSPREAAAPAPAIQDQAQFPSLGGK >Dexi6A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:6A:26127046:26129295:1 gene:Dexi6A01G0018430 transcript:Dexi6A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRGGGEQPAVRKGPWVAEEDEVLLRHVQEHGPREWSSIRSKGLLPRTGKSCRLRWVNKLRPDLKTGCRFSPEEERVVIDLQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRTPVPRRRPSKQGGGASSSHAHEMPIQKNPCRDMIPFQETTTPHHHQIGENSSSQAPRPVNQLAGARFPAAYFLPPLPPIPALLTHAGDEGGSPSAASPTTALLLLGGGDAGNIAAGVDPPARLPAAHVLPPPLLGHAGTERGSSSSGATMTTTALLPFVGDGGNMAAGAVDPLVFVDPVASPEPLEVVPPDTFFGLDDDYVHAGRVMERVDMCGVRFDDLPPETFDFFELPDLPPYPPPFPPPPPSPSSQL >Dexi7B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:7B:13354130:13354873:1 gene:Dexi7B01G0005820 transcript:Dexi7B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPTEAAGAEGDSELERRRRARALLDATAPGRSIAVRRCKVALETFRRDGDLREARSLLRDALACANVHHPSIYRAWISMEEEAGTAAAAIRELFEAWRGWYHHQQLEKKGEGEANGDEGGFWCRYIGFELRRGSAASARGVAERAVAACPRDPAVHARAELRLGCPGRARAVLLSALDAFAAADDAEARGYLEREVAACGGGGDSAACHGRTWRQLRGLLPRCPRRWSRPAQGYRRLLAVA >Dexi2A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:2A:6453981:6455650:1 gene:Dexi2A01G0006870 transcript:Dexi2A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALPSCSGLSRAGRAHQPVRRSLVFPRKPTCRRRRAGSARAAQDAPPVVRVAVGAVTELLRALSPNKKQPRGSVQREEELDPPPCGSVEDVLAVLEDDYRRAYFLTGRSRYSQNLDLLVPFFDSPSLELENIEKVVRHSESWDVSALEAIGQLFVSAPEQRE >Dexi7A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:7A:28620006:28621276:-1 gene:Dexi7A01G0019400 transcript:Dexi7A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGMASPAAEPKREQNFLVRVGMDAWTQPFAVSNKDRLVLILKNLQTSEVKIYSDASREFIQLLDGESGGEVLQEYVQQSPQLVELVEAWRLHREKPGMAYILSLFAAVLGHPDGKLRRHGSIKKSLDGIARMILEDKEKTGDVYLELNSGEPRRQNAALDLLAAIVRRGGGLASEVAERFDFKMAILPQLAGTVKKKGNRDGGNRRKGAESGSTRRSFVGFAMSFLEVGNPRLLRWVLQQKEVYSGVLRGIGNDDAETVMYILSTLRDNVLVEESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLVMVCGHLPCS >Dexi2A01G0007590.1:cds pep primary_assembly:Fonio_CM05836:2A:7505145:7505627:1 gene:Dexi2A01G0007590 transcript:Dexi2A01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRRIRLSPSPPLALAAAASHPHVLARWLARPMSSHDAHLTRDEVVDRVLDVLKSHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDREADKIDSLPLAIEYVANHPMAG >Dexi5A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:5A:4575453:4592012:1 gene:Dexi5A01G0006180 transcript:Dexi5A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKLVEAEVGGERAREGISTKVEEMKVVQTTEARRDVPSEPIRIQVSNSRREDTGEPMGWQLQCHHASAPAPAPHTLPPAVLALGALFQEASALLLTPTAAALKASNAASSSSAVMRLEMNMQAESRRSNRTKGLAMADAHGGPIRSAAAGGAVFRAPASPQTREKRGGGGERKRGRAGHCISFNSVSTTNSFVDGNFEKLASPLRRPQGWTSVRLVLHGKLSKHAVFEGTKVVAKLLFVEAKVKKPKVLEDTQAIRDDTLNWLKPRSMATRLVHELKLAGSRPEKSMEAAKTPEACGDVPAKPIGAQIKGERTPVSPWDGRLSATTRRCVPWHLTPSQLQYSPLALPFQEASASPLPSVAATLKASSAASSPSSAAAGVENNMQAVISRSNRTERRAMAVQRRPPGATGGEGPIYYTYAQAGTVVADSPDAGKDWSFIIDGVVEGEIKKQDVLEDAKVSRDSTLKLVERETQNTRKVVVLQNEYLEMLEPITELGGHAGAGAQVDREKTREGVAAQVESMEVAQMTQARRDIPAETIGSQIKGERTPVSPRDGRLNATTRRCLPWHLTPSQLQYPPLALPFQEASALLLPLTAAALKASSAVSSAEKNMDADSSSSKHTERIPTEDASAPRRPATESPAGSGFPADEGEEGRRRGGEGKRYRAGHCILSKSMSTTNSFSRKPTIASRGIQTSVWLVLPGELAKHAVSEGTKVVASL >Dexi7B01G0023370.1:cds pep primary_assembly:Fonio_CM05836:7B:27990961:27992512:-1 gene:Dexi7B01G0023370 transcript:Dexi7B01G0023370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTASVVLDIEGLPQPADKCCSGSPKMTRALSRKGSNRMERRGGDEQEQEDLAKKLIIKVVPSQLEQPLVQNKALVGPHCTPCTPVLIDSGEGRNKRFNRFTSINPRKILLLFATLSSVGTTILIYFTLGINSKAEV >Dexi2A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:2A:3854115:3854844:1 gene:Dexi2A01G0004400 transcript:Dexi2A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLITMRLLAVAAVVALLAAPAFGQQQGGAASCTASLITSFTPCLNFLTSSTNGGGSPPTQDCCRSLASLMSASTGCACLILTGGVPLVGPINRTLAVSLPKACNSGAVPLQCQDTSAQIPAAGPVADTPSGPVTPAMPEPEAPAAPVDPTGTAPAISQGETRPAVLPSSARRASAADGHATAVAFALLLAVGAALV >Dexi8B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:8B:24592322:24593297:1 gene:Dexi8B01G0014190 transcript:Dexi8B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRIIVVVDLACHKCYNKMRKILCQLQDCERIRTISFDEKTNTITIVGPFDTQRLVCKLRCKGGKIIKEIHIVDIAGGGGKPPPPPQNITEPPPPSSPPPVKPGKKSKKPPAAPAADEPPPAEPAPAPEHEMPPPQLPSPAHQAPGMSAMVPAFVEKHPQGNPAELEPPPMSPPRNEKPPPPMDMPPMPAALPSPAPVKERFPPPMMPARSPCHEQPRIAEYVIPTVEIPSWPGQPVGPCGCPCCAPCYQGYYEGCRCICCGSRLYAQPLIPAAAPAGCGYRGCRTFSDEDPSAACSIM >Dexi6A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:6A:15792190:15793450:1 gene:Dexi6A01G0011060 transcript:Dexi6A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLALVAGTDDDLPPSYQNSRGMKGSGRITGNGRDAIGAGPYSRVQPQTDMETQIHQLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNRVNSDDIIRRIRARRYLVALQSNLCHLLLVLVGGDRFYEAVITDYDAAKDVYALVYDMNTAHETWEWVDFKEVIVRYCE >Dexi2B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:2B:3036957:3038006:-1 gene:Dexi2B01G0003430 transcript:Dexi2B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSAAACCAAATPANEVVEDLFGFLRVLSDGTILRSPAEPVFCPTTFPDSHPSVEWKGTIYDKAKNLRVRMYKPSPAPEAKKTTKLPVLVHFHGGGFCLGSCTWGNVHAFCLRLAADANAVVLSAGYRLAPEHRLPAAFDDGVSFMRWLTDSATAADDDWLAEAADLGRVFVTGDSAGGTIAHHLAMRAGLAPLETEKKDPVTVRGYVLMMPFFGGVRRTSSEAECPAEAFPNLDLVDRFWRLSLPVGATRDHPAANPFGPESPDLAAAELRPVLVVAGGLDLIRDRTVDYAERLAAMGKPVELAEFAGKAHGFYLHEPWSEATGELIQTVARFIDGCVAAPSEAAA >Dexi1A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:1A:8763071:8766451:-1 gene:Dexi1A01G0010200 transcript:Dexi1A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSWDFNLRGTPYMFSNVHNKFTVVGCNTFAYIADDANGLGYQSVCVSTCHNLSDIADGSCAGMGCCQTAIPKGMDLYYSGFAQGFNTSQIWNFSRCSYAVLMEAEAFNFSTAYITTTEFNDTSSGQVPVVVDWAIRNGSMPCEVAKQKKEGTYACLSDKSECVDSLNGPGYLCNCSKGYEGNPYLPDGCHDVDECKNSPCPSGGICHNTVGSYRCSCRVGRKLNGKGDSCDPDIALIIAGGATWDGIVPHRLPSAKSSAIAQVRYGPTWRGVHVLSNYLAQHQERERELDLAVLLLPYRPRPSPRRSRRPLPDLHLAKL >Dexi3B01G0028910.1:cds pep primary_assembly:Fonio_CM05836:3B:27514115:27516459:-1 gene:Dexi3B01G0028910 transcript:Dexi3B01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFALLAAEAALALSLLFRTPARRLALLAIDRAKRGRGPVMARTVAATMLLVLASSGYSVAKIRRRAGELGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRMMKKNVEAVTKQSRTLEEAKHGGTEEIQGYQKEIASLKEQVQMLKDQSQKKTEELKTAEANSMALQKQSEGLLIEYDRLIAENGDLRNKLQAIDHHVSHSDGKKNS >Dexi3B01G0033650.1:cds pep primary_assembly:Fonio_CM05836:3B:36476764:36478239:-1 gene:Dexi3B01G0033650 transcript:Dexi3B01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLHATGFTTPLPDVVARDLGDGVPPPVGQPGWWSGSLPQVTPRGTMSPNCGMDYYPPGGFMSYFQAGQQPFPPLHVPISAPWPPVSKEFQHAPPSSDLSAQPDEARSKGKTKQTRKKGGKTIINIDDGNDVRTAKRLVFEPDEDLRLYYSYKPEKIGKASQGSLASNWVGFFCGAWKKATSIYTSGQSDDQLRDKALQFYLDDYKEGPFTVLHCWKVLRDEPKWLAILEDQDKSNKMSADDESNKRSLDDGDQLRDISEKERPMGTKEAKKQRNGKGGVKNVDAGLHEELKKYMDIQAGAKQRHEDFIETQRRISSEKVEAAKLRREAALLESYQKLMSMDTKEMTEDMRAEHAIGLKFIREKLVGNTN >Dexi6B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:6B:904678:910439:-1 gene:Dexi6B01G0001110 transcript:Dexi6B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDEHGDGKPRRRPSSNSLKRLVSYSSSKRHEDLEEEEEEGAVVAATSSSAGRRAVNDASTARLIRKPPAPVVEAVAALPEEAASLAIGVVDAERAVAAAAGNWKRPPVDVQVNGAAEQEPRSGGVRTDGEAKPRIRDVPNGVQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVVKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFTEPQVKCYMQQLLSGLDHCHNRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGSTNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPTSALALLDRLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAEAGRRKQLPAPDGNSGPQQRRVQVNPKSSSYKFTPKDDAVSGFPIDPSARAADNGYPQRVPLMHAGRSSSTLGRSSGMDPKAQRFHTSQIVATDASNQSTASGQRGNAPKMSNLGESARRQYLREHRSGSRYSQLTSADPSDRPEWTHQFQERPSSSHRKDDAAVNKEPTVVNVTKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNGERDQSEALLYTTGNIRTDR >Dexi5B01G0037290.1:cds pep primary_assembly:Fonio_CM05836:5B:36837006:36838352:-1 gene:Dexi5B01G0037290 transcript:Dexi5B01G0037290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSGHGCWPELVDDTRQSPSQIQTAKPSSKKTQESRDGLPFPSLPKLPASSRGRRFLAAAAGDRP >Dexi5B01G0026950.1:cds pep primary_assembly:Fonio_CM05836:5B:28606369:28612179:1 gene:Dexi5B01G0026950 transcript:Dexi5B01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQTRKSPINLLFTALPFFLRPKSLSVAMAEPQSSRTTSGGDGRLRNALGGVLCAFSLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGPGAGLMAATILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLIVLGTLLAALVPVVGFNAVMTSEHFASFLVCPLLVYLHMFLVFLILHVVALVYYIKGLLTPRLFKVAMTFVVTVGLALCFAVAAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFLVLYLVTSVYFSGVMVSLSMNICNLQHVPVYLLVDPDVTAMVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYGWLRHNTGADDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATIGTAMASPEKAAWDILNSLDVKYVLVVFGGLIGYSSDDINKFLWMVRIGGGVFPHIKESDYLRDGNYRVDAHGTPTMLNSLMYKLCYYRFVETDGKGFDRVRRYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLRLKSVSQKANFSCIYIETSAL >Dexi5B01G0025160.1:cds pep primary_assembly:Fonio_CM05836:5B:27261203:27267233:1 gene:Dexi5B01G0025160 transcript:Dexi5B01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERKPSPPTAPGDSPLPFLPPPSRTPHPTQMERARRLANRALLRRLLAAAGSTTSPSPSRGISTLAPSPAAGKQRRPAHQYAQGRRVSVSALQPSDTFPRRHNSATPAEQAAMASTCGFDTVDALIDATVPAAIRAPPMRFAGRFDEGFTESQMIGHMQRLASMNKAYKSFIGMGYYNTHVPGVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMIADLTGLPMSNASLLDEATAAAEAMAMCNGILRGKKKTFLIASNCHPQTIDVCQTRADGFDIKVVVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTTLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLGTVTVQDLPFFDTVKVTCSDARAIAKEAVKNEMNLRVVDANTVSFTAESLAPEVSSSIPSSLARDSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANLHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHHSRGDHHRDVCIIPVSAHGTNPASAAMVGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGVDEICRIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLEKYYPVLFRGVNGTVAHEFIIDLRGFKATAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADALNNVLKGAPHPPQLLMSDTWTKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLICTLQQASQVAEEAAAATA >Dexi2A01G0022060.1:cds pep primary_assembly:Fonio_CM05836:2A:33976717:33976935:-1 gene:Dexi2A01G0022060 transcript:Dexi2A01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGEGGRAAPDDHGEVAGRLRTTRVRRARGRLVALPHRRRERAHQVEVRAGEQQLPATALSMARRRRCRGA >Dexi2B01G0034690.1:cds pep primary_assembly:Fonio_CM05836:2B:41960014:41960949:-1 gene:Dexi2B01G0034690 transcript:Dexi2B01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSARGGLVLVRDGDLHVCNLATGRFETLPPEPEFGGLCVLLVGDGEDAGGGAVGRPFQVVKASLVLEEKHRRLVVQTFSSELGTWGPCTEIRTPQIHGPKLHGYSQALRIRPLVTGGAVHWLCFTDTAAYVLKLRVRSSAAAAPRLAVTKLPERFPYNGGKFSNNGWWWWIRHLLVTMAPGGSPAVLVADKDKITAWTQSKHSARWNQQPQVVIECKAISRFLGNVVGEERERQHMRGWDNEQATNLVGFAERSGVVLIKLYDCFFCLNLQSKQIVSCFLDPSIRDKDVYCPYEMDISNWVPSFSAASF >Dexi9B01G0032110.1:cds pep primary_assembly:Fonio_CM05836:9B:34393994:34394254:1 gene:Dexi9B01G0032110 transcript:Dexi9B01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPAVSAVVESIKDLAVQETALLCGVIGEAGFLKDELQRLKGFLKDADSKRRSRSESADVWVSQIRDATYEAENVLEEVDYMEEK >Dexi2A01G0037320.1:cds pep primary_assembly:Fonio_CM05836:2A:46889005:46890972:-1 gene:Dexi2A01G0037320 transcript:Dexi2A01G0037320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSCVAAVLLLLLVVAQRSLAQQQPEDGGGCNGILVTYTLQGRDKIRPFVPSDDRDSQPYAFRANAAVRNSGTHPLRSWALLLTFAHGEILVSVDGAVLTSGADLPYNTSTANGTATSLTGYPQTDLLTPIATAGDLSKIQATVGIVGTVFAGPEPFEPLPSAVSLADPSYACPPATNSSKSLTTCCVLTPAPAGTNATDDEQARRRVVAGDLVITYDVMQAYESTYLALVTLDNGAPLARLDGWQLSWEWQRREFIRSMRGAYPLEVGAGGCIYGPQGHFYQGVDFSKVLNCERTPVVLDLPPSRANDPDLGQIPHCCRNGTILPRSMMDPAQSRSAFQMEVYKMPPDLNRSTLHPPASFKVRGSSPLNPDYACGQPVPVTPSEYPDPSGLASTTLAVASWQAVCNITTPPKKPPSCCVSFSAFYNESVVPCRTCACGCPQQTALSAASCSTTAPAMLLPPYALLMPSERRGKEAVSWADQKGLGDVPDPMPCADSCGVSINWHVATDFDGGWSARMTLFNWGHDDVQDWFAAVVMDDQAYAGFQQAYSFNATALGNSTIFVKGREGFDFLLRETNISGVDYPVPGKLQSVLSFTKKTPSIDVVKGDGFPAKVFFNGDECAMPLRIPSHGTRTTIVPLLLFCFAASALLFLL >Dexi2B01G0015900.1:cds pep primary_assembly:Fonio_CM05836:2B:26023964:26025055:-1 gene:Dexi2B01G0015900 transcript:Dexi2B01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGCLHMLLVSKLCFGVVVLLTVPIIVLLLEGAPVLTIFNTRPEQLKVNSNGIIQQQEQEHFGDDRPSLAGLPGPASRSHTNKDCNYAKGKWVEDGKRPLYSGNE >Dexi4B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:4B:28432:28905:1 gene:Dexi4B01G0000020 transcript:Dexi4B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSAAITAPSFRPARAVAACSTRRSVVVRASLSLGKAAGTAAVAVAASALLAGGAMAQEVLLGANGGVLVFEPSEFTVKAGDTITFKNNAGYPHNVVFDEDEVPSGVDAAKISQEEYLNAPGETYSVTLTVPGTYSFYCEPHQGAGMVGKVTVN >Dexi4A01G0018500.1:cds pep primary_assembly:Fonio_CM05836:4A:22509112:22511832:1 gene:Dexi4A01G0018500 transcript:Dexi4A01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSDSQATDAFEYMLLEKDPDLYRMVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPIKEDQLQLFSARFDEIFSKCQGLGNVCFLHGISTQNGRICIAMKFYEGSVGDKMARLKSGRLPLSDVLRYGADLARGILDLHTRGILILNLKPCNFLIDEHDSAVLGDFGIPSLLFGLSLPHPDLIQRLGTPNYMAPEQWQPNIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEIYQLVVLKKEKPVFPYSLPPDVENVLSGCFEYDFRNRPLMKDILHAFESAKDADHDNISWDNSENVVMDRPTMANNTNWLLFKDKLKVGDKVRSRKLKNSCSPETMEIPDGTVVGMEDDEERDGYILVRVHGLHDPLKVRCSMVERVTFGFAAGDWVRLREEDKKRSQVGILHSIDREGTVTVGLIGMDTLWKGNYSDLQMAETYCVGQFVRLKANISSPRFEWQRKRGGGLATGRISHIYPNGCLAVKFPGKFSLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAIGFFTALKLGVFAGKSIARPRSRKVASISDHSDDYQKQPVVQNNANAAWLPPPVANMFFGDGVAPSG >Dexi9A01G0045510.1:cds pep primary_assembly:Fonio_CM05836:9A:49048022:49054385:-1 gene:Dexi9A01G0045510 transcript:Dexi9A01G0045510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRQQSGKKAGTGSGRWDVTFCRRDRSSRQPFALPVVGRRRGSRIRGAAGDRILAGQWPLSPRSARSAWLAVRGWQRTNALLG >Dexi4B01G0009420.1:cds pep primary_assembly:Fonio_CM05836:4B:6780112:6782727:-1 gene:Dexi4B01G0009420 transcript:Dexi4B01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAFRPSAPRRAAFAALLTLLLLASLSFLLSSAPASSSSRSSSPPSARLAAVRRHAADHAAVLAAYAAHARRLKESSSAQSLSFSSLSSDLSALSARLASHLSSSSLAEDALRPLEKEARERIKYARALAADAKEGFDTQSKIQKLSDTVFAVREQLARARRGGRMSSRIAADSTPKSLHCLAMRLLEARLANPSAFADDPEPSPVFDDPALHHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFSRRPPPLGVHVQLLAYSDFPFLNATNSPVVRQIEGGNRDVALLDYLRFYLPEMFPVLRRVVLLEDDVVVQKDLAALWQVDLDGKVNGAVEMCFGGFRRYRKYLNFTQPIVRDRFNPGACAWAYGLNVFDLEAWRRDGCTELFHQYMEMNEDGELWDPTSVLTAGMMSFYGNTKPLDKSWHVMGLGYNPSISPEAIRSAAVIHFDGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >Dexi1B01G0013270.1:cds pep primary_assembly:Fonio_CM05836:1B:18807035:18811590:1 gene:Dexi1B01G0013270 transcript:Dexi1B01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFWSWLAKVTRFLTCCCGSHDTGGPRDPERLSRQTIFSVNEIEALHVLFKRIDCAAVDDGMISKVFDLFDSNETKGLEFEDFARALSIFHPDTPIDDKINFAFRLYDIKNQGFIQRPELKQMMEATLAESNLNLSNEVIEVIIDKTFEEADTKKDGKIDFEEWHSLVMAHPSLLKNMTLTYLKDITVTFPEFIFHSRGRES >Dexi7B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:7B:1183766:1187267:1 gene:Dexi7B01G0000500 transcript:Dexi7B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAAGTKWIHHIQRLSAAKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKAVMEEVGLRSITKEGRDQGKRFGVEQYEMRTFSKDRETLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Dexi8A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:8A:212833:213434:-1 gene:Dexi8A01G0000270 transcript:Dexi8A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSSGSKGLDDRRSSGSNSSTVELQLDDSSSKRTPCASSSSVRPYVRSKNPRLRWTPDLHLCFLRAVDRLGGQDHV >DexiUA01G0026730.1:cds pep primary_assembly:Fonio_CM05836:UA:57207714:57207865:-1 gene:DexiUA01G0026730 transcript:DexiUA01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGLITGPVEAGPANDAHIPGVAENLKPRVTGRTEPNSEK >Dexi9A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:9A:9292632:9293762:-1 gene:Dexi9A01G0014160 transcript:Dexi9A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEQSRDYCRGNWSLPETMLLIEAKRKVREERHPGDHGLSLWRWVEDYCWRAGCRRSHNQCNDRWDNLMRDYKKVRAYEMAGGGGGGAPSYWAMSRSERKERGLPSNLLREIYDAMGEVLERTMRMSIVSMGGAGAGVASSTLLNLPMQGHGEAHFSSESPERKRMRPSVDELRRPGGTGSSTPPTPAGTRSHHRQEQGHHPHHREDNDDHGGEEGESSECSGDDDEDGGGVLSGAIGQFAAIMSGALESREAAEERRHREVMAVEERRGRARQARREAGEQCVAGLAAAVNQLAGSMLALAAANKRRGKGGDPAAPK >Dexi2B01G0014840.1:cds pep primary_assembly:Fonio_CM05836:2B:24818738:24822701:1 gene:Dexi2B01G0014840 transcript:Dexi2B01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSLYSPGPHLAARPTSFRGACACAQQQVGRWARRVSCSLSMNGCAAPGACAGDRGAAAAVCVREARALPAAPSPGDAVGQLRAAVDALAAPPAPSGIIRIEVPIRQRVDAVEWLHAQTTALMPRCFFSARAPLPHTPAELTLAGVGSNGNGGLLSDQWKQPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLSKDCPMIRAYGAIRFDATSDVSVEWEGYGSFYFIVPQVEFNELEESSVLAITIAWDESLSWTWQNAVNDAQALLQKISPCSVEVNKSSLHTTIMSLNHVPTKASWDLAVTKALQMIKGRQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPADAPAFVGNSPEQLFYRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSNKEDTEFTIVRDSIKKKLEAKIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQFAKLLQYQGQHIRYQEAENMGTVLRIEK >Dexi1B01G0019750.1:cds pep primary_assembly:Fonio_CM05836:1B:25978191:25992178:-1 gene:Dexi1B01G0019750 transcript:Dexi1B01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSAALEEVCARLAPGLPVAELWPALRGALDAAGLPLGPPVKRALWARLFALHVVSLVEGDGDGAPVAAGDPAEKDVEEAERRCVRLVASAAIRDNFLGMYERRFAKTELSAVQKATLECVAASRTSGVTQSDLCKNFKMKGNNFYFIVKSLESQRLIVRQSTIIKMKDHGADREDASQNKQVINTNSLYLSRYAKDLNMTSQQRIEITKPELLGSNEDTNLDALQEDGSSGVNSKNDISIHDYLPAMKAICDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAKLVEIFDAQVDDKIGKRLGYNNSKRLHKRVSSMLEKFNLTWEAEVPDKTSQYRVWTSKNFSLYKAGTALQNFGELSEDCDDCSDLWSLVPSRELDSSSTHGNLLLLEQESHNERIGHHIQNDLDASAGVRQLVEEDKLALGERKRRRSRPLTSDEQRQRRILHMLKVSHPRSWSSLRVMTTEQRLELQQRIMSVSEKGKIPFKDCVRIARELNLSVQQVLRLSYERQARLREQPSITAKQKQQRVNSGKISEKRKRSADEISLKFLKRKEQASGSVEQISDKATLDEEVPDAICSSPTDQPNQSDQPVSRTGSTSAHHANEGMESSPVISRSAILRRSCMRSKRFLWTYESDRKLLMIYIRMRAILGARYYRVAWNSLSDLPAPPSTCRRRMAILLKGNENIRGAVMCICNLLGKRYTRYLEKERRSKKRRLLPQVPDSSNETSLDSDSEQFNWDDFEVPEIKSALNEVLELIRTEKVDQTKRVGATNDKNNNKDNDVRKDTISSQELSVSRGKNKTRTPVPESGFCDQEKNCGHSNEVQPSESMDIHCKPQEKMIKDHRNKIIERGVRKSLPVANALELLKNAFHVIHQAGEQGVTLTELSEALHPLAMQLVCRIVDTLKRFQLVIKEDLIHRMDVLNPQTCRTLLEKLTLDGHLQVRVFQEPVPAAPAMLQGFFKQDPSREPSKCRKRYFANPISTSLL >Dexi4B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:4B:3755705:3764613:-1 gene:Dexi4B01G0005330 transcript:Dexi4B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVLIHLRRVEDFEPRTPPAGSGINGGDAGDVVDSDRLSRVWTFTCIPGVVDGQPAPNHGDASSTGQWRQGSTTSAPTLLPMTFRDPGAARAAKSSDIAVEDVPAPESGERGATRCGSAPPVRSLSAAGPTFDTPTSIMASPTDGQTAPAAPCSDPSATGMGAVRRDAVGGAVPEWAPLELQCKRHAPTTSVQEDPMRVEAGSERACCWDGSAPVPVGSPGAGTPRRTPAVIGPPATAPLRPAAATTSHVPLRTYRRRPRHDGTTTPAARTPPVTPARLDFDTGAPAATEDLQPPEGTWSHITPRRQSSPRPTPAAQKMAKNARSVAPAHDTTPPPNLNSNSPTAANTPATAAAMAATTAFLAGITLATRSPLIRSIPGDARVAFTVPDNAVSMPRRSSRLPSQPLNVSVRPSKKGEILAMKRFGLLPEGVNSGAAIDKAGEKLDNFFDDIIDVKNLPALRDLFPAARGLSNDEMVAAARQAGVAKLLHLFSSRNRLRLCQNPRSKDDLPRELETSQAKLTEVQGLQSDILDVAKSKSSQLTLHTGADIAPVTISSNQEALHDQAADDYIPLPQEEDSLEGVDDLFGLLKDDSHSSESEGSSDSEGHTTFHQVCVRKEKEDGCYPPILNSKLRSDSEGRTSVFSRIFTVRMFWEQEGRRGKQQHFHQEYAGDTALVPKRTKTLDMAISDENFKERSIVLSSKDEHTQLATPDLETKVLLQDEQQQKISKVVFPKSDAECGNKGTSFDQEDDETMYLVTDSKVLSEGCVSKSGLSVDRTSGHLVADLLGMNSESRTSFINDSSSGSAEGFSASALNSENVEHNVNRSEAYAEPPILQHDPGEATEKL >Dexi6A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:6A:6017529:6018417:1 gene:Dexi6A01G0006290 transcript:Dexi6A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYFLLAVFLALVSSQAIASDPSPLQDFCVADKDSPVKVNGFVCKDPVHVTVDDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFTKVLHKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGVITIANAVFGSKPPISDDILAKAFQVEKGTIDWLQAQFWENNHY >Dexi2A01G0032460.1:cds pep primary_assembly:Fonio_CM05836:2A:42927873:42928085:1 gene:Dexi2A01G0032460 transcript:Dexi2A01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPRVGGVGGFAFPCGLLYDERMCAHATPDGEDHPENPERLRAIWRKLNAEGITYGASTGSPDPVS >Dexi5A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:5A:1454849:1456213:1 gene:Dexi5A01G0002060 transcript:Dexi5A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGHSHHHHAGFQPQLLSFGGVGAHHPQQAQTAASHSRSRGGTAAAAGGEIVAATPASHSRVRGGAAGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWQPTATNAAANAPPSSSTHPADSGENSDDQAQAITVAHTAFDFPGGTGGGGSGGGGGGGFLPASLDSDSIADTIKSFFPMGGTAAGGEASSSTAAAHSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDPMFHHHQQQDRSSHGHGGNGSAAQQALFPGYSFAGGGAMWGNEQAGQRMVPWNVPDPGGGSTGSYLLQQAAHMQAAALAGGQSHFYFQRGPLQSSNNQPSDRGWPETVEADNPMQQQQGGLSSIGFAPGIGFSGFRIPTRIQGDEEHNGGGNGDKQPPPVSSASHH >Dexi8B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:8B:2371258:2371884:1 gene:Dexi8B01G0003210 transcript:Dexi8B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGAARPPPAMVVWAVACLVAFVVVAGDDQPAVGSPDTNQLCVSKCGTCPTVCTSAPPTIEPMTAPPPPPPSPPLSSPPPPYLELVLPPPPPGDLSNVLPPVMPLTSPPESPCSTPPESSEPPPSPSPPPPAKPAKSSGDGGSGSSSSSSSSPPSAPSHFSSPPSSSNPYYYLYLSGGGKARGGASSSVCVAAVLVALMPHVGFFK >Dexi4B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:4B:22852879:22854588:-1 gene:Dexi4B01G0020750 transcript:Dexi4B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSCGGGGAKRQRGDERGVSADRISALPDELRQRILTGLPFKDAIRTGVLARGWRNLWKSRWPHRASVEVHLGSRDDPQGELDALPRPCRRLDRFSLVVDTTKFKSTELRGFTDYAAECRVEDLHVDLQKSTLKILNLHLPLSSPLLARLSLRGIGVTSSMYYLNAQPFRALEVIRLDSVDISQVGFKNMMALCPSLHTLELRHSNCDCFFYRPDSKGKRRRLVMPPNLKTVKVAYCRGHASLNLVPVPSLSSFWYIGDFVSKPFSLPEDAKLIDLYIRIVGEIPFVCNLSRALPIDLSGLTVLTICSNALKIAPSLAIEACPNLSNLQSLKELQLILSRTDTKTLDNIYVFLRASHCHNLERLFVQLPDITHWSLEDLGEKDGPPEHGLRNLRMVKVMRFNWHRFEVQLWPYYDSQQV >Dexi5B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:5B:22751259:22755559:-1 gene:Dexi5B01G0020560 transcript:Dexi5B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLWSRDIEPEIVPLCRFAAENLEKNKQIYLKTEELANKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDALKVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFAGRGVTQQVTSESSLQRHPRFVAENLEKNKQIYLKMEDLAKKHHCSPAQLALSWVLHQGDDVAPIPGTTKIKNLDANIDSLKVKLTDEDLKEITSQIRADDVAGGRQYSSYAHTSWKYADTPKK >Dexi9A01G0049540.1:cds pep primary_assembly:Fonio_CM05836:9A:52134518:52136399:-1 gene:Dexi9A01G0049540 transcript:Dexi9A01G0049540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKPRRTQAPPSLAGRLRKHTTWLLLLLWFALSLYLFVSATPPAASPLRRSAFLRSKARALSATTAAPVRIYVYDLPARFNRDWVAADARCARHLFAAEVAVHEALLTYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRTQMPYWNRSAGADHVLVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGHHVCQEVEHVVIPPHVPPQVSHELPEPEKVRRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQHYGRNHKFYLQRKRFDDYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSALRWPEISLQVAEKDIASLEMVLDHVVATNLTTIQKNLWDPAKRKALVFNRPMEVGDATWQVLRELEDLLEQPQRRYVGSSR >Dexi7A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:7A:24973462:24974865:-1 gene:Dexi7A01G0015150 transcript:Dexi7A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVNSVAVVAVPFPAQGHLNQLLHLSLLLSSRGLAVHYAAPPPHVRQARERLHGWDPKALVSVEFHDLDVSEYDSPAPDPAAPSPFPNHLMPMFETFAAAARAPLESLLGRLSATYRRVVVVFDRLNAFAATEAGRLANAEAFGLQCVAMSYNVGWLDPGHRLLLDYGLQFLPPDASMSKEFVDFIFQMEQDEQGAPIAGVVMNTCRALEGEFIDVVAEQPQFKGQMLFGVGPLNPLLDADARAPGHDARQRHECLEWLDEQPAASVLYVSFGTTSCLRAEQVAELAAALKGSRQRFIWVLRDADRADIFAESGESRHAKFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTIESLSHGKPVLAWPMHSDQPWDSELLCKYLKAGLLVRPWEKHSETISAEAIQKVIEEAMVSDNGMAVRKRAEELGEELRASVAEGGSSRKDLDDFVAYITR >Dexi8B01G0014430.1:cds pep primary_assembly:Fonio_CM05836:8B:25056556:25058224:1 gene:Dexi8B01G0014430 transcript:Dexi8B01G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLSPQLTAPLPFVPTLRPRPRRLPPPDAFAASGSPHRTAGVALRGCREITLSTLHFRRCNPVARRFHGFENTISLWTEHNKQALFASDQDSPSTDTKQSSSSSPGGPPILTILAGVIVFLLVLWVAGSILTWIVGLAFGTAK >Dexi2A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:2A:11221992:11224825:1 gene:Dexi2A01G0010060 transcript:Dexi2A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITGQLLLPPLDTQLQKMPKRKTDRAHVLDKAKHLSRLNVKESGKVMLKRSDKEDLEHAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVFLQWHSNFFAAF >Dexi9B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:9B:12247486:12252861:1 gene:Dexi9B01G0017420 transcript:Dexi9B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVSSPLAALLPPEMAAAAAAAGGVALALRGWWEKVNGSPAWQDGAFFSLSAAYALVSAIALICIWAYLGINDNPLMEPVSKIFISAVSFIALLGFLIYGGRLFVMLRHFPIESKGRRKKLYEVAFSSFDPDLSLEVLDHPVLDFFYYMLTEILPCALVLFILRKLPPKRVSVPQYHYIR >Dexi4A01G0019850.1:cds pep primary_assembly:Fonio_CM05836:4A:23692859:23693386:1 gene:Dexi4A01G0019850 transcript:Dexi4A01G0019850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSGNGDAGGGGGVEKMVTLVSSDGARFEVGESAASLSGTVHRMMGEAAGVGDPGTGGGGIPLPKVDGRTLAMVLEYCNKHAPAPGQEESSSAEMERFDGEFTRVDRDTLYALVMAADYLKIQGLVDLACKTVAGMIVGKTTEQIREIFGIQSDFTSEEDEELRRENAWAFH >Dexi5B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:5B:215100:220121:1 gene:Dexi5B01G0000300 transcript:Dexi5B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGALSGGAAGVAGLLRLRRQAASAPAAGLASSPQLPPLKCAAVPDAGQLVWGRQLRPTLLLPSQAARKQQAPRPPAAAAESAGEAEPAAAAESAGEAEPTVAFESAGEVEPTAATEPTGEAEPTAAVVSAGEAEPATAVESAGEAKPAGFLDKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSAIHLVVGVVYCLISWSLGLPKRAPVNAGLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFILGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIFEGPQLMQHGFKDAIAKVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAMYSYIKAKIEEEKRKKKA >Dexi9B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:9B:309311:311431:-1 gene:Dexi9B01G0000470 transcript:Dexi9B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAPTASSAFLFLSPPRRSRLHTKHRPRPTVVCSSSAAPTRSLSDQLEPLSRTLLHDKPTPAAASADRPTPQPTWVNPSRPKPTVLSLRRHRRRSPSAHPSAAPLQPLIRAIRALPEDADLAATLDAFFPDPEASPPSASDALLLLNYLHPSWRKSLSLLAWLRALPAFPLDTIFFNVALKSLRAARQWPHAERLALDMISAGVPLDNITYSTLITAARRCRQFAKAVEWFERMYAADGGVLPDEVTYSAVLDVYAQLHMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYEGIQFVFNEMREVGLKPNIFVYNALLEALGKTGKPGLARSLFEEMTDQGVEPNARTLTALAKIYGRARWGRDALQLWDRMREMKLPADNILCNTLLSMCADVGLVAEAEQLFNEMKDPGCPDVPNPDKWSYTAMINIYGSNGDTDHALQLFEEMVKDGIEPNIMSYTIVIQCLGKAQRIQQAVEVLQAGLEKGLKPDDRLCGCLLSVVALSSGEEMETVLSSLEKVNQGLVTLIRALGQDQLGVDDLTKEFKGVLNAAAPEVRRPYCNCLIDICQNHGFPPQRARNVFHLAQTYGLYSKLHSRKDEEWSLDLRSLSVGAAKTAFDDWMQIISERLVQHKALPETFSVYTGSSTHKFAQGLASAFAAHLEQMAVPFRPSESQVGNFISSRDDLVSWLQASSLSAVVAR >Dexi5A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:5A:23044799:23046169:-1 gene:Dexi5A01G0019420 transcript:Dexi5A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDRSPNYPPPAKRQKKTSTTTAAAASSLGLDVLLEIFMRLPSLATLVRAALTCRAWRRAVASSPDFRRRFRETHPAPLLLGLFFDPPDATSVQAPAIPIFPTFVPARAADDRDHTAAVRGGDFFLLTSLQERPGGCWDIHDCRGGYILVGNRAQKVMAVLNPLARRSERFFDFGHGSEDTTHHGNAGLPEAHDASCHLCYPPEMALDARLLCSEENPKSFRVVIIANDKSSLQVRATVFSSDTGDWSILPWVDVLPIHPPMEYKPWLLNSNMRAKGLLYWVYSNRKHMLTLDTATMDFSVAELPKLVMSRECSFAAGETIDGRPCVVYALKFGVCLFFQRIDEDGVKRWLMDKPTSLGTQLDAIFGKLKDKYSELKVMAVSDGFAYLATSLRYHCAYATTPSWVLSLCLETMKLEKLFQRPYEFESCVHPYVMSWPLSLVGNYGRFALKDSP >Dexi1A01G0021830.1:cds pep primary_assembly:Fonio_CM05836:1A:28541463:28542561:1 gene:Dexi1A01G0021830 transcript:Dexi1A01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQLDSIRSSHHAGRTPSSLRAPWLRWIYNGDDIFIENQPSTHAENWRREEKMSGQQQKAARPAIAFTAGRSEEEGRMRGQGRHAGARRGWIAGKVWGAEILGSLDLMPDCLCPSPIGQPARARVPLSPTVTATTSTRHQQRPLAKPAANPGLFLVLVVTLFLRRERERERETGGTQKRGRRPGLRGRGGNVMEWSEMYGGIQGDAGMPHAPSSRSTRLSGWARFLNRAVVHQFMYSFQFHPDHGCSIDQPGQVDPLRS >Dexi6B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:6B:12641408:12641918:1 gene:Dexi6B01G0009030 transcript:Dexi6B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGSALWVPTPGHDISGLQANSFYGLPPQGQQGSTTQHTLWQELVFIRCFSHLTPWLELLKLSEHQAVFTSIHKLR >Dexi1A01G0010820.1:cds pep primary_assembly:Fonio_CM05836:1A:9364123:9366984:-1 gene:Dexi1A01G0010820 transcript:Dexi1A01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQAMNTDERVAGEHRSPVLQVTAVVPALTASTADSLLSPSNGFLVNLSDGLHSTYVQLPHADADALLSARPQLVGHLVHLDHLRFARPVPRAVGLRLVPSSRSFPCVGNPEPLLARAAACSRGYVLQPAASPSAAAPPLMPSSSGSNIHESSDAVKRTVLAPKNTDAAPPQSASAASAVKRRFLSPAPPKRRDPSPSVKGGGSRASSPSVTRATSRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRTAREPAIVVPSRYRQPSPSSGRRGGASPAVGGRRASLSPNSRRLSGEGTNRKKGGVLVAGISKMSDLGNSAVKPGRKSWDDQTVALAAAAASSVRKSRAKVDKDTILRTQEAMSRRLSDATSELSSNDDSSVDERPKTCKKIDSSSVKMKNAPPKIILHDPKWTDGSHPLDAVSGKLSNIGKEAIERRNAAATAAASALQEALITESVIRNISKFSDICSSSKTSNPLPTIDLFLVVYEDTLKWKIISESAATNGEDVAFFEKSTTQWVDVALATDLEVLKLLNGVTESISWRKGTNKHKSPLVVEPSRTSVPRKQSVGALAKVPSKVSPSSPMSFTWSATESMNETVELAKTLWREMYMWFLNFVNEALDVGFHLFEDQNVASKVKHSSHITMVLSQFKKISDWLDQVGKIAEDKATKERIESLKRKIYGFVISHMGSAFENSVSVS >Dexi8B01G0002570.1:cds pep primary_assembly:Fonio_CM05836:8B:1793390:1795872:1 gene:Dexi8B01G0002570 transcript:Dexi8B01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFHIAMEGREGVVVAGGHESGHGFFRADITMTEALEAAKGYQSSPSPSTSPTPSPPPAEAPQGGDATATPLAWSLGGDKPSVAAGDNGMQTAGQSDQANLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSICILSANGSISNVTLRQPDSSGSTFTYEGRFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSMKQHQRRKNLQQQPSATPALPAPTAPSPIFTAAMPISQAAPGNGFHAPPPPSAAPPHLHATAEHGAMNLNTMGFTMVGWPGSSQPVAHRASPDINVSLTPQE >Dexi8A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:8A:29124671:29125396:1 gene:Dexi8A01G0017420 transcript:Dexi8A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVPPEVKAAVLASFRSCGGQLSDGNTTLHRWCNHDLSWACHGDEVTTTTDVLLVWHIATGLFEISMVVTQSLSRYCTYLVSEAPDLLPDDSAWTKRRYKAVKKHIKEAFKSSHAVPEYGHLIDSFSTESSHEVLKKGSRLAKQLVEEAAGAGGEDAVWEHLAKFWSEMVLYLAPSDNVKAHIQALQHGGEFITHLWALLLHAGIINRPARHFSEP >Dexi5A01G0018160.1:cds pep primary_assembly:Fonio_CM05836:5A:21694844:21695278:-1 gene:Dexi5A01G0018160 transcript:Dexi5A01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPCTGCPRQNQHDYLGPTTTVAPNLQRQRDEELHKLITDALSNNPHQPGRCHHERRPTRADPAPGTPEQHPGGQVVDAAPPEICRRPRGQATPPPSKGRRHPNVQGRPGREAPRSGSSSTCSGDAGPHHRRTPPTPERAHGS >Dexi3B01G0030400.1:cds pep primary_assembly:Fonio_CM05836:3B:29919546:29930159:-1 gene:Dexi3B01G0030400 transcript:Dexi3B01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAQNCKKLAEEKFNLVGRFHNTGSEHFRSIYRGKLGKEAEKEQGASALLFRLVEHRGQEKLSSQGKPRMTMVAAGESGKVAKRGDERRSTSPSSAWFHRRRPPLLPPPPPNSPLRAAKPHRFFPAKAADSANHVTMPALEKVLSDMSNKLIGMIGDMGTKVDADIAALRQENAFLSTSIKNVQTQVLEGKGRFDPNTPKDESRAPPPLHKLRFPKYDGSEDLIAWLHKGEQFFRVYATPDHLKVPTATFYIEGPALRKTRGVNRRFGPPLRSNPFWELLHLRRTGTVEEEFLLLLARCDDVSEAQQLSIFMVGLLEPLKTDVELQKPTTLDDAMALARAFERRLQVDTPPFLLALVVLNAQDPSWRVGFYTNTPPKGRFKRLSREEMAQRLLKGLCFNCPEKFSKEHAKSCSGKGVYYLDLAIDDDLGDDTSDEDMRISLTFQLHATIQGSSTTALVDSRSTHCFVAASVAERMGLVPNPRPGLSVGVANGDRVPSSSVCPVVPVDIHGELFSIDLYVIPLDSYGFILGCDWLRSLGPILWDLSTLSMDFWCHDHKVHWHGVSEGSLPRLSMMQLDNPLAALLVEFVDLFATPTGFPRLAPSITGFSSCPTPHLWLCVPQCKFMLEQGLIHASTAAFSSPVLLVCKRDGSWRFCVDYRALNSKTVRDKFSILIVEELLDELKDVVFCTKLDLRSRYHQVRMHQDDVAKMAFRTHHGHFEFLVMPFGLTNAPSTFQALMNEGLQPFLRKCIHPPCGGTASSPSARSVCPRNAASTISAMDTDKVAAVQEWPLPKSLKALRGFLGLTGYYRRFIHKYGIMAAPLTSLLKRDTFQWREAATSAFHDLKRALTTRRSCNFPTLRRRLWSTVMLRVLASVLCSAKVRDLSLFSHTKLAAYEQELIVLVQAMRHWRPYLWTTEFIPGKFNAAADALLRRDEHAPCTLMCPFQVVERMGSVAYRLRLPPKARIHDAFHEVFLKKHNGELPTSMGSLPPIAHGHVLPVPAKVLRVMPASDSWKLLVQWEGRPAADATWEILADFKELYPSFKLEDELFRQGEASVVDAFFGKKYQRRLKARASTTT >Dexi3B01G0028630.1:cds pep primary_assembly:Fonio_CM05836:3B:26904495:26913011:-1 gene:Dexi3B01G0028630 transcript:Dexi3B01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEGLVPITRAYLARYYDRYSLPPLPYAATDLAARLRALSADLTAVAPIAPDEDLMGQEAAGIPAHKIDENLWKNREQMEEILFLLNTSRLPAALQQKSTPEDAEIVSKLGDIEAKLKDMLKKLEQFQLKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALISAGGSIRDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDNIAVLHQAVVIYTEEFVKFTEFIGEVFVNAPFFISAEDAGAMDARKSDEYKETIIPAGKTHEVILSVEAINSYIAWDFSLQQGALTMALDIGFHVEYISPSGEKTLILPYRRYEADQNLRYKVDAVPPVVEPTVPAMEP >Dexi3A01G0034650.1:cds pep primary_assembly:Fonio_CM05836:3A:39794679:39795810:-1 gene:Dexi3A01G0034650 transcript:Dexi3A01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYPLFLIMVAAMSAAAVHGRPADGTPAARFWEEALPGTPMPEALAELVQKGIDHSPLEESFTGPYLSIGMCLGHVYVSVCSVERVKKAGTGLFFHEQQIRVGHTMTVSFSPAAVPAILPHDVAAIHHFANLTAGDVIARFHIAPGSKMAAQVGDTLRTCQSPVAGERWAHCATSLEGMVRAAMRTLGGGGRGGVWVAASAVPRGGLPLQPYAVEAVVPLDGDRHVACHDEPYPFAVFRCHRIGLSTTKAYAVALRGLRGGPAVTMAVICHLDTSDWNPAYPAFEMMHTKPGDGSVCHFMPYANVLFGLKATNAMESF >Dexi1A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:1A:9484715:9485332:-1 gene:Dexi1A01G0010900 transcript:Dexi1A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPLHRPRPSPGPRPRSDDGSLACYAVVVTAASLLLFTILAATVSLVKAGALAGAAAVVFGAAGCLSRVCTNAEAAPVLPTTAMSVARARGACGLVDAAIDALPVFVYARPGHGGADGGSSSSKAGRSALCSDVEAGEVVRRLPACGHLFHVECIDMWLHSHATCPLCRSEVSPPRRVGGTKLMTAEGGSPDGDDALPPV >Dexi4B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:4B:3661201:3664556:1 gene:Dexi4B01G0005160 transcript:Dexi4B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAAAASDEASVSARGKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSISEPSSSSAIAEASGESRVKKLLVQHIETVHHSEAVEDVLHSLLLADSNSKEKKSKTKEWNDRIKQDKKPYQLRSAGRQRHEYLPSAAEEIESDIISLAQSEDSEVYDIYTVKEVNDTNMEDTSAASYPRLQVDDSEDECYDDDYPYDTDDSNAEDNPLFDYPEELSEDEDDGSNDEDPFGDVEGSGSEDYEKEEVETDGD >Dexi5A01G0031390.1:cds pep primary_assembly:Fonio_CM05836:5A:34078496:34079170:1 gene:Dexi5A01G0031390 transcript:Dexi5A01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKQQGPKPSIPSNNLARGWIPFAQQSHCSPPASFPQTPAMTIPADPTADQASNPAPDEAAGEAVEARAHPDHGGSNAEAADEDYEEEEEELDGPAAEAAENEKVEAVFRRLSEAPVGIRVHDVTIRGNTKTRDALIEAEAVDLIRSAATVQDLVRAASIANARLRRLEVFDSVLITLDSGPPELPGTTNVVIEVVEAANPIDGNVGCFSKPEVSELSELLK >DexiUA01G0027620.1:cds pep primary_assembly:Fonio_CM05836:UA:58896553:58896921:-1 gene:DexiUA01G0027620 transcript:DexiUA01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGSWPPCAGAGVPARCPPRPRTSSISRRWGLHSTSPTSICRRPIRFDGDERDLPPAGIDSATSTSICRRVARSRRMARPPPSLDRMQTFTLPSGDGAGATALSSVAFPEAAAENSLQSS >Dexi4A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:4A:19895638:19898739:-1 gene:Dexi4A01G0016320 transcript:Dexi4A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALKAREIAIKKLENKDFAGAKRIALKAQRIFPEIENIPQLLTVCEVHCAAEAKMNGMLDFYGILQVEGTADEMTIKKQYRKLVLSLHPDKNNYVGAESAFKFVAEAYATLSDRAKRYAYDIKWRAANGAGKQGFLPTQQGCSTYMGNHTETSGKGGVEFSAKNSSKASAPNGNVMADGKVVSGTTVPESGDGQNLGSGIGTSAEPGAAGIPSPRRSSRRKACADANNTLNPPKKKGRTLKDWFSNAALSSNKVFDDNFTHADGQASEPHASSKTNNLEKGCAVNEGNERNSKEAHDTTAENPSNAGSFTYPDPEFYNFDRCRDANLFAIDQIWALYDTHDAMPRFYARIRHIDTNNFRVKFTWLEHDAANDEEDKWTDNKLPVACGNFSLGNTEVSEDPLMFSHIWASDADNHRSYEYEVVEVLSNFTMEAGVTVIPLVKVKGFVNGFITVFKPDNERGILDVPTKDDLKFSHQIPSFRLTKEKGGNLCGFYELDPASIPDPFLSGGTH >Dexi9A01G0040480.1:cds pep primary_assembly:Fonio_CM05836:9A:44218759:44219151:1 gene:Dexi9A01G0040480 transcript:Dexi9A01G0040480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIWSSLNLTRGLTATTSAAISSRSRPPSPLVSKRSKILLTFSARDPRPADDAADADSAITGGRPTGKTSRIAAKFGARGGGAQPRHGWGDLMRGGGEEGRAEREGGGAVCWLWPMGG >DexiUA01G0020290.1:cds pep primary_assembly:Fonio_CM05836:UA:42276536:42280397:-1 gene:DexiUA01G0020290 transcript:DexiUA01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYVEDGVHPHSLIRSYRTAGNMAIQRVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLISGEKEFFASMVVDAVLAIGDDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVQSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSAVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEFP >Dexi9B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:9B:4249265:4251484:1 gene:Dexi9B01G0007050 transcript:Dexi9B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSRCSGLPPRPNLAVARCCSRPSSSLRIRPRWGRRRPRSLACVAPPDSAEPQTDDQAVKPESTEDEAQLTSTPQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSEASLKDLAANAVPYEQALSNGKPTVVEFYADWCEVCRELAPDIYQVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGNSNIPHARVVGQFSSAESRKVHQVADPRSHG >Dexi8B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:8B:2068759:2069505:-1 gene:Dexi8B01G0002930 transcript:Dexi8B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMEHAALPGLLPTPPKCMMLPLLPAPPCAAAVVLPSSPPKPSRADAAERWDAHKKSKQGGGGITAPSSSSSSPGRSSSSCEKWVSKSNKNKSTCAATSSCSSTTSSSNGRADSGERWDAHKKPASTASSSFSSCTSSSRSKRRVESSKRINNSHASSSSAVRWDAHKNAGRRRAPRGDELVDDGASSSGSNDDMEVGKAAAQPPPPPVLRGLLYAGPSFVASPEPSMLPMPSFLIRVA >Dexi9B01G0034440.1:cds pep primary_assembly:Fonio_CM05836:9B:36408888:36411355:-1 gene:Dexi9B01G0034440 transcript:Dexi9B01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDHHRKQTVGSERDQDLVQRSGEEEAKKPPAPGETEPGDGVGEEAASCDYCSAAAAAVYCRADSARLCLPCDRLVHGANGVCSRHARAPLCADCRAAGAVFRRVSSVACFLCSNCDFGRHRDGGAGEPALHDRCAVQPYTGCPPASELAGLLGVPLFDKPAAEDGGWWNMWEEPQVFSLEDLIVPTTPCHGFQPLLTPSSPKKNRSTSADEKMNEEILRQLGELAESDAGVQTPPGHEEADQAGNQLPLWAPPPQYTTENGNFGAENNHEVATMPIPGYENGTWSNNDYHVLSDPCKVELAYDQAPVSSAEACLSSFVPMSEICPSMSNGSSMEESHQANPGLGTPIQPFPKRTGFDVIPCPDRGSVISRYKEKRKTRRFDRQVRYESRKVRADGRLRIKGRFAKANQT >Dexi2A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:2A:8489356:8490594:-1 gene:Dexi2A01G0008300 transcript:Dexi2A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQTLSNVEFHPQCVQNAPTAACANTSLCGAHATTFPLLSVSSMNPSGNGGGGASPEPVTTKPGRTTHRNGTPLPASPHAISSNTSRSTVATLPRLTYSTDRGGRASSHARQLRSAAGSGDGDGEEERRCSGPTAKAGASIPSNVLTSTPDDDSRAASVTPVMKPASVSASLPSTRIRSRARSGSWSGEQGEERGGAVGSERERGEAEVAGGAERRVALPVDDRARDVVVSAQQRGEGLAEGRLAGGVEGEELPGDVGDGGGDLLGERLVDGDEGEVRVVARRVGGVEVRVEDGDVEPPGVEDRGELEHGGDVALEWQREEDDASAAAAMLCLIGHRLWTADWVLECEVSGQ >Dexi5A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:5A:11651892:11653450:-1 gene:Dexi5A01G0014590 transcript:Dexi5A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMGSVGPVVVGAAVVEGHPIVAPCSMEREGLLVSSVLMRDSMRATMGVLRLWNHRWIKSAKGTLLGYQQVAKSIDLAIRCMRRDPTERPSIGNVICELNEVDSLYSNMLGIEPLELYVPFVLMKSISRSIKLTNDTDNFFAFRITTTSLLPYDVQPDKGIVSPSSKCNVTITVQEQNRAPLNYNNRKDKFSVQSTRVSESMSVTDITNDMFHKDSNETDEVDFYIVLTIP >Dexi6B01G0006160.1:cds pep primary_assembly:Fonio_CM05836:6B:5768405:5768782:-1 gene:Dexi6B01G0006160 transcript:Dexi6B01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPPPFSGLRRRRNRGRKAEAESQGASCWAALPQDALGAILRKLDHVCRSWRRAARDDPALWRRIDMRGHADIHRRVDLCAMARIAIRRAKGQCEAFWAEYAADDGVLQLLGEQ >Dexi4A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:4A:7381047:7385262:-1 gene:Dexi4A01G0009360 transcript:Dexi4A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRGTSAAAAVTTAGPSSSLLATTFRRARGCGRLLPAAPRLRRTFAARASAQPVEVCAKESTTVPGRLGDCPFTQRVLLTIEEKHLPYDLKLVDLANKPDWLFEINPEGKVPIVKLEDKWIADSDVITQALEEKYPEPPLATPPDKALIGSKIFSTFIGFLKSKDPNDGTEQALLDELTSFDSYLKDNGPFINGGAVSAADLSLGPKLYHMEIALDHYKNWSVPESLSHVKQYMKSIFSMDSFVKTRALQEDVIAGWRPKVMG >Dexi4A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:4A:12357409:12361211:-1 gene:Dexi4A01G0013220 transcript:Dexi4A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLLDEALLPETESSAPTVGEEVKKQLQLAGPLIAGSLLQNLIQMISVMFVGHLGELPLAGASMASSFAAVTGFSLLLGMASALDTLCGQAFGARQYHLVGINKQRAMLLLTAVSVPLAVVWFYTGDILLLFGQDADIAAEAGTFARWMIPALFAYGPLRCHVRFLQTQNIVVPVMASAGATAACYLVVCWVLVFGLGMGSKGAALGNAVSYWVNVAILAVYVRVSPDCNKTWTGFSMEAFGDALGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSITLNTANCLFMIPYGLGAAISTRVSNELGAGRPRAARLAVRVVMFVAVSEGLVMGRDEEEVVRYVARMMLVIAVSNFFDGIQCVLSGTAF >Dexi8B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:8B:8317696:8318237:-1 gene:Dexi8B01G0007110 transcript:Dexi8B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLEFSFQLGKRVGGSLDIGLENLTSLKHVTVKVDCYKAKIMEVENVETMFKAAVRIHPNYATLDPILELSGVREWQMHEGESSDSPAAASDHGSFEFPSTDGESDNDSEASKQT >Dexi3B01G0014130.1:cds pep primary_assembly:Fonio_CM05836:3B:10169159:10171615:-1 gene:Dexi3B01G0014130 transcript:Dexi3B01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYRNEWAEALGIEISSPRTKDGTMVVNRLCPPWDDQEKLCFPKGKLMPSLSFKLWETEAAVASIVDKTACGDDDRRDSESEVVFMASPAASPCCVSPSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSKSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVESGKLVYKKNGAFVQTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFREFIRYLEENGVDLTDVKKSPIDKDDEYPLLSKPEAQPNAAVSNDTNVTNHTAAGAAADEPMSESEVVDGDIHRAIDDGNMSEAEEDDSDIRSHTDTEEEAAQSSEQLHKDTPAAAAEHGKNHLTCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLGGSPARKRDPVPSPRPSPAMILSPRLASVGFQPQTAVSLTLPDFKRSRLQ >Dexi5B01G0026370.1:cds pep primary_assembly:Fonio_CM05836:5B:28174924:28175963:1 gene:Dexi5B01G0026370 transcript:Dexi5B01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLRDFLRSLPEVHALVLDMFCARSLDVAAELGIPAYFFFASCASFLAVFLNLPSVVANMDKSLAELGDSPLRLAVAPPTVKASDLPKVVLDSDEGTKAMLRMSEKIAESNGILINTFEPLEARAVRALRDGLCIPGGRPTPPVYCIGPLVTEGGDDKKHECLEWLDTQPDKSVTFLSFGSMGTFSKKQLQEIAVGLEKSGQRFLWVVRSPRGDEQSIGDPLPEPDLDALLPEGFLERTKGQGLVVKSWAPQVEVLGHRATGAFVTHCGWNSTLEGIMAGLPLLCWPLYAEQRLNKVFIVEEMKLGVALRGFDEEVVKAEEVEAKVKWGPPGASSG >Dexi7B01G0022810.1:cds pep primary_assembly:Fonio_CM05836:7B:27614134:27616249:-1 gene:Dexi7B01G0022810 transcript:Dexi7B01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTKKIKLHDHHYGPSLCDTQMFPSTAAVGLSFHPGLVSSLPQQHGGAGSWLHEEFSPTPRTTVLATTQGSCVGSDTAAFFAAEHLLGMARFDCPLGTAALPPMTAAKTAAPFIRSPEAERLYRPVDPLLLRDDSVRTYYVRPQQRDVTEAPPPALKLPLQQQLQDRVHGGLYGGNVSTGRLLGGEHKARPFSPHVLPAMETTSMQSPVESSLSRSCSIGGPATHAGNVVAAPGHGAPSKTRIRWTQDLHERFVECVNTLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASTSEGKQEKRAAGNDAQNLDPSTGMQITEALRVQLDVQRRLHEQLEIQRNLQMRIEAQGKKLQKMFEEQLKASRTVMEPREELQGVGITGAGEQEEEDAFDEVQVLSVSSGGYNDAGFHSKIS >Dexi2B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:2B:11717066:11719649:1 gene:Dexi2B01G0010440 transcript:Dexi2B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPDSPYLGPAAVEPAQIVGDMQGAVFGGLLNSPLRPTEKRKYQGTNQTFVFTTVHGEPRLFRPTGLSA >Dexi9B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:9B:9734954:9735206:1 gene:Dexi9B01G0014560 transcript:Dexi9B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEHFFREPYLVFDPAISPHYEIPRLRKANAHHHLVSCKFPHRGQGRGSRDPIYDKGRLPGLLLK >Dexi3B01G0035250.1:cds pep primary_assembly:Fonio_CM05836:3B:37992478:37994549:-1 gene:Dexi3B01G0035250 transcript:Dexi3B01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKQGFFSALREEVARGLSPARARRRSASNAAEVAAALRLAGGAGEALAPLMEGPDPEACPGGGARREGWGQWVRGQLQLARAPASAAGAGADAGAARRNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQRLLSSIRNAYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYCPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVSETVELPQGEKSKVGLLPCHRAKVAALEKADDNVAWSGALQLDCK >Dexi1B01G0028140.1:cds pep primary_assembly:Fonio_CM05836:1B:32726609:32732009:1 gene:Dexi1B01G0028140 transcript:Dexi1B01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAWWTVAAVLLQVAGLSLFLYGFFPVKPTLHGFSGAESYRMPSCGPVNAVEQEPALPPNQLRSLYREVSGIPPVYDRLVLMVIDGLPAEFVLGRGGNPPSKEMMESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLEDNLLDQLHMIGHKLVMLGDETWIKLFPTLFARQDGVSSFYVRDTTEVDFNVSRHLDFELVAKDWSVLVLHYLGLDHVGHIGGRRSVLMTQKMKEMDDVIRRVHDASLQDNLERTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQRRHCSPYDQNEALQVDLAPTLALLFGVPIPKNNIGVLLPELFNSLTDDQKLRTLELNSWQILRLLQAQIPAFCLEECINSEDSLGIDIHPEYIEKKICHFLSKAFASHQSSRLQQSSDFKSAEALYVGTAVDNYHGFLRYASEWLSHRATDVIAMQFLGMAGHFGLGNTNSLASIDVAGAFIGVSSYSTVLSGILMFIITYGSPLMLYLGMLVYISVKDSDDISTQRQLKLSYILDKMVTLPCLLPLLINSVALTSYTTVLLLMRNHLFVWSVFSPK >Dexi3B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:3B:7574035:7575006:-1 gene:Dexi3B01G0010780 transcript:Dexi3B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKASWHRVALPEGPAAGQCHRRGAPRGVHLKVLRAKTLSGQGIRSVLDGGGAARGRWKQTTLTDELTGMHGRRRPWTGGWTPLVGGGASLSPHHVPPHAYRTDVSSLARSPGLPDDLPPQRHSPGVYKISEPKGKRRGIPDYKPGLAEHGTRQQQVKREIRSPPRGRMAGTANCIDILIAIILPPLGVFLKYGCGHEFWICLLLTILGYIPGIIYAIYAITKY >Dexi2A01G0033580.1:cds pep primary_assembly:Fonio_CM05836:2A:43727875:43728450:1 gene:Dexi2A01G0033580 transcript:Dexi2A01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGRKKRGGRQRRNPASELTEDLLVEILSRVPYKSLCRFRCVSRGWSVLISHPEHRRELPNSLVGFFFASHDDTRYPESARHFVNVSSRDRSPPFIHPSLSFLPNPDCDHLQLLFSCNGLVLCSYYQSGAPVTYIVVNPATEKWVDVPVSPRWSDKPPTIVRLGFDPAISSHFHVFAFDSGGAADNVE >Dexi1B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:1B:1740271:1743380:-1 gene:Dexi1B01G0002070 transcript:Dexi1B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRRGPAAGAASAVPLADNLESATFAPPLPAPPPPSPATILSAWSRLRDTTSSSAPADALAALETLHLHRRALRLSSAHVALLLPLLPLHPRLVAPLLAASAHLLPASLPASLPTSPRLLLLGARAFARSAKDLPSGSSLGNSASTAMNRGGGESAIGHDDDDPVVAVRRMLKDVELGGQSIDDLDHLALAGIGYTLAASDEVQFRRIFVSLFRICRRIGNLDVGVRVLKLVEWLVMGFVESRKMRKIQVLFEMISPEKCEGEDYVLFPIVMAACGGLRALRVASARYRLNFDPQLKEAPERTIQFAAEKAVSEGRRSADQRVLVQCVALGLTQCGQVMFHESVLQCVCMGLLKELLPLPDMLRMSVESAEANLVEVVKVVNQHLNSVLFKEAGPVTGVLCNQYSYAGDKAKNFVETCVWEYAHEIYCHLRAAVLLHRGKQDDLLTAIDKIAEASFLMVVVFAAKVAKHRLNPKSSEGFQPEVAARILVAFSCVEHLRRLRLPEYTEAVRRAVLAYQENAAAISLFIESMPSYTELTSKPGYLNDTSLSAMLYLQHSNEKVTSASHSVMVSFLSSGSDTDQDDRAALKEQLTFYYIMRSLEAYPGVTPFDGIASGVATLVRNLPAGSAAVFFCIHSLVVKAKDLCEIAKVQNKSLWRSWEESTDPLAHSLLFLQSFPYLLKELAEFMALLPKEGQDALLNDMHAHVAESDDVTRKPVLVSWLQSLSYISSQSSNSESRSKAASLSVASDELSLNRTMARL >Dexi9A01G0023260.1:cds pep primary_assembly:Fonio_CM05836:9A:18498579:18500162:1 gene:Dexi9A01G0023260 transcript:Dexi9A01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIYMELLQDQLMSWVFSLAFILLLQLWGQSKSAPLPPGPKPLLIIGNMLMMHHLTHRGLVALADNHGGLVHLRLGHVHAFAVSTPEHAREVLQAQDLAFANLPATAAVAYLTYGRADMAFAHYGAFWRKARRLSSTKLFSRRRAETWLAMRDECAALVRAVATRSSAGEVVNVGELIFDLTKNAIYRAAFGTRDGERQAEFIAILQEFSQLLGAFNVGDFIPWLSWIDPRGINRRLRVARAALDRFIDKIIDEHIKRGKSPNDAGSDMVDEMLAYLAADELNTTDCSSKASAGGGDDQENILRLTRNNIKGIIMDMMFGGTETVASGIEWAMVEMVRSPDELRRLQQKLADVVGLDRNVDESDLGNLPFLKCVVKETLRLHPPIPLLLHETATDCVLGGYSVPKGSRVMVNVWALGRDRATWKDPGAFRPSRFAPGGEAAGFDVKGGGSFEYIPYGSGRRACPGMALGQYALELAVAQLAHGFEWALPEG >Dexi2B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:2B:8254657:8255862:1 gene:Dexi2B01G0008100 transcript:Dexi2B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFDDDCCCCGGCYDTFCDRCCPCISYDTREAIFGICICLLLLGAVALVAVLLAAYGFIRHVEVAVEDASLTRFALLTSPATAFAYNLSLTLTVRNKNWAMAIKNTQPLEAEYSFDGQRFDRVLLAGEGDVLPAGKTMVYHLVSGSGGGAYVALGNAGEVEFAKENATGMFQVEVALAGEVRYQAHITKCSFAATCPLTLQLAPPGTPAVVFTKP >DexiUA01G0006510.1:cds pep primary_assembly:Fonio_CM05836:UA:12519214:12533243:-1 gene:DexiUA01G0006510 transcript:DexiUA01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLMNLDTLWTTTSAPSLAGDTTTGVNVLSTTSFAPASCATLASMGISATASVGLDMVSTYTTLVSPGTTAARTAASSSASSTNVARTPDVLGRNLESSAWVPPYRHRDATTTSARDGPGMEWRTGKNGRFQ >Dexi7B01G0024250.1:cds pep primary_assembly:Fonio_CM05836:7B:28621529:28622490:1 gene:Dexi7B01G0024250 transcript:Dexi7B01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAPAAATVIPIDDVAGHHHGARDHAHGKAPAVATAHPAASSAAPAAAAAPAVAPRKTGVPFFRRADRGSRCVALLDFVLRIVAFGPTLAAAIATATSDETLSVFTQFFQFRARFDDFPALLFFMVANAIAAGYLVLSLPFSAVVVLRPQAIGVRHLLLVCDTVMVAMLTAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCRRTSGAVVASFLAVLIFVFLVILAAFAIRKR >Dexi6B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:6B:10930574:10933921:-1 gene:Dexi6B01G0008650 transcript:Dexi6B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAWAVALAALCLVALASPAAGFYLPGVAPNDFEKKDPLPVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKITVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAQRVITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFTIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Dexi1A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:1A:9084024:9084927:1 gene:Dexi1A01G0010530 transcript:Dexi1A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDYSLFWIAVILFLGACMKPPHLSLVTEYMEMGSLYSLIHSKTQKTKLNWKRRLKMLRDICRLNIVHRDLKSANCLVNKHWTVKICDFGLSRIMSDSAMDDYSSAGTPEWMAPELIRNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGVRLEIPDGPLGSLIAGMVVVPSLKGLLICTILPLWFA >Dexi4A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:4A:5394853:5395203:-1 gene:Dexi4A01G0007280 transcript:Dexi4A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVDPDIGDTCKDLGEVFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPPKPPAQQPPGQPPQPPSSVPSYINEYVSLRGTGALSCANSSSTSDAELFLKFGEAISQNTE >Dexi5B01G0024700.1:cds pep primary_assembly:Fonio_CM05836:5B:26722559:26723683:-1 gene:Dexi5B01G0024700 transcript:Dexi5B01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAGSGGGGGGGGVQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSARSPRIVRMHANLTSSAIAGAPGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPTTHKPISAANSPPAAAALQHPAASQEHKPTPTTDAAGFALKQHHTHQVFDPFPLTDSFGGGFDASSLYGHLGKQEAGGFVDHSSVLDVSENLAYGESSSNSSNNWNCAAEPSNNGLDGWESKVEQPFACGGEEQSLEHKFLLPCHQEQNLPHFDFDISRGAVLGEFNLEFF >Dexi9A01G0044210.1:cds pep primary_assembly:Fonio_CM05836:9A:47819592:47820362:1 gene:Dexi9A01G0044210 transcript:Dexi9A01G0044210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLPIGWMNAGRPLLADAAVGLIPSPKKSTVAGLPPNSDDWPIMSSGRHTGAPVESYGRPSPSPADSIGAAPGDDEEMSRPRPRRNEELDEVAPICAAFCSSAATGAEKMEDDASVARHSDGGFAGMARSERPTPPPARSGNCGAGAIDDGANMPPMRLCDVPATLLLLLALTLAAVAEVCESTGTGAARDGCGGAN >Dexi8B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:8B:22782788:22784381:1 gene:Dexi8B01G0012960 transcript:Dexi8B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPIATAAATIPYDRDAEIRALDATTSGVSGLFASGVSELPRMFRVTEPQPQQQEAVATTMAADQEAMPVIDLAMADHEKLVAAIRHAASEWGFFQVTGHGVTPEVISGVIDGTRAFHESDGGEGSEKARLYSRDVTRKVKYNCNHDLYVSKVASWRDTLQLTMAPEAPEPSELPENCRDILFEYSKQMKNLMHTLFGLFSEALGLNPSYLTHIDCNQGQLFTCHYFPPCPNPELAIGITPHSDSTFMTVLLQDDVGGLHILYKDRWVEVKPLPGAFIVNIGDMMQILSNDKFPSVKHKVVLKKTTKPRVSIACFAAHPTSKRVYGPIKELLSTENPPVYKEITAGDYFRLFHSTAVDSYRNKALEKLRL >Dexi9B01G0023310.1:cds pep primary_assembly:Fonio_CM05836:9B:18370931:18374042:1 gene:Dexi9B01G0023310 transcript:Dexi9B01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSEPNGTRKAEAPCSITPEQPAITAGPDPPQGEQRAVKLEAVAKKLGKKRVVKAHSQTVLLSTGAALSMQFPISFSQRCRRPIYRRRIDRPGRPDAAELPRTAAGGRLFARGCLELGQSQRCHQLGNSPEIQSSEDLSRARPPRQPRAGSRSTQERVLNHRRSQVNPTGGCRERARSAVWTGFERGRAASPRPPKGVWRRSGSGRSGEEERERVALFVGLNGSKEISAARVACNVVNAALGHGWDGSHDHECAQSMFLAVSFL >Dexi6A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:6A:9170419:9174370:1 gene:Dexi6A01G0008630 transcript:Dexi6A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRARRRAALCTLASSPAPPTATASSSSSSSQKPPVTPLPPQQSPATTKTATTASSCTPETVRRRHVFKVAGYSLLKGLGAGKFVRSATFAVGGYDWFVRYCPDGDACSDCVAVFVALMTKGVEVRALFDVRLVNLVTGGLSPAVGTGTPSLFNDVGWSWGYQMFQKRQVLEASEYLRDDCLVIQCDVTVIMGTPVPQSETMPDIAQALLCDTKVSPSDIKAPLCAIQGVQERSSGNQAPAPYSDTEASPPYDDIQVPPSKHLDNLKNLFDEVKPADVKVTVKGQDFHAHKSVLASRSPMLIELELGHEFDCTIILDMEPDVFKALLHFIYTDTLPAEDGLECSNKRKTVEGLLVAADRLALDRLKLISRRRVRASCRASLAVAATSLTVATPPRRASPHHCHRVAWPHLTTDATSPHLATTLWRRRCDEEARRRLRGAVEEEAR >Dexi8A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:8A:8130592:8133843:-1 gene:Dexi8A01G0007310 transcript:Dexi8A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERKKNSQIGSKGKEVQSKNLRREEQESEVQSKNPKLEEPLASSEVDVDEPAITDVGDTVDDANNGSSKESTLPAKDSKNSKGGKKSRSVSSDFGEELDLELGYGDKESGRQQERKLSRQDRVELCRLFQHAVSSHDWESAEGLVGKADAHGLNDVLCVAVDAIWFLSNRNELQAVVGLIKRIVSEGAKDFTRAALRTSFLASCVSACRGRSTSLADAVSFMGQKLHERLQESQGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGKGNQDTVIEVQLQLSAFKMFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNAVGFLGPLMRAAERGCLQVVEWFVNHGCREMELCLALTAATSSSQIPVAAYLLPLVPQHVLAPLSIEIIKAAGERTTGSLHGVDFLLRSDFLNDPAATYAVADSITSSADEAVDAKLRSFMNEHWSEAAFSAGFESAQQHFVNFMRIMERGESPICLRDLPVELVIAMAYLPLYKECINSSGRLLPQRLRGQLVEAASRLEGRQLDRGSQSKELLAILEHHIPRFMTQT >Dexi1B01G0027850.1:cds pep primary_assembly:Fonio_CM05836:1B:32492291:32495289:-1 gene:Dexi1B01G0027850 transcript:Dexi1B01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRLTPNAVAAALAGDTNLKPVVQVVDLRSIAVNGPLRKGPRFRAIISDGVATTPALFAAQLCDLARSGLVRRGAIVQLIEYIINTVQKDRRAMVILNMEVLVPECEIIGNPALPPESGVSNSNSMRADQFNGAPVLTTGSTLSTVPRSIDNAPVLQRSTAGNSSNINPSPRNNPQVFQPTVQPSYHPAPNYRNHGTIMKNDAPARIIPISVLNPYQGRWAIKGRVTAKGEIRRFHNAKGDGKVFSFDLLDSDGGEIRATCFNALVDRFYEAVEVGKVYVVSRGNLKAAKKDYNHLNNEWEIFLENQSTVELCPDEDSSIPAQRFSFTSIDKIEDSENNAIVDVIGVVTSVNPSTTIQRKNGMETQKRTITLKDMSGRSVEVTMWGDFCNREGLQLQEMVECGTFPVLAIKAGRVNDYSGKSVGTISSSQLLINPDLAEAHSLRQWFDCGGRDASTQSISRDFTPAASRNEIRKTVAQIKDDGLGMGDKPDWVTVKATVVFFKTDSFCYTACPNMTGDRQCNKKVTKSDSGNWVCDKCNQEFPECEYRYLLQLQIQDHSGTAWATAFQEPGEELLGCSARELYMLKENEDPRYTDILLQGLYQMYLLRLKVKEETYGDERRVKNTVAKVERIDPSAESKFLLDGFSRLTGLY >Dexi5B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:5B:21922719:21923438:-1 gene:Dexi5B01G0019600 transcript:Dexi5B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEETTGLLLRQPANAGAATTTTGASCRGRPRWCIAVSVAKALAYLHHECHTQILHLDVKPGNILLDCDLRAHVSDFGIYMSITRDLSNVDTRGRGTPGYMAPEMMINAVSAKSDVFSYGMTLLELVGGRRNFEQASTEDASSAGTPDFSRDFFPYIVREKMARRELMDAVDAARRGRGGDGGQGGALVHPEPVGYEAQHADCCGHARRASRH >Dexi2A01G0001450.1:cds pep primary_assembly:Fonio_CM05836:2A:1002957:1003984:-1 gene:Dexi2A01G0001450 transcript:Dexi2A01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSPAAVPEEIVEEVLIRVPPDDPATLLRVALVCKRLCRIVAGASFRRRFRELHPRPPMLGFLQEHESGAEFVPTSSFRPPRAVADGWRVVDARHGRVLLLDLASCSATEAKFLFSSKV >Dexi3B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:3B:2765129:2766435:-1 gene:Dexi3B01G0003980 transcript:Dexi3B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTNPWLLPLVVLVVAAMATSPNALAARRGGGTNPTAGFEKVELVDGNFRVQSPYNVPESQRFRYRNGVRTFWVYKDDMPFNTATHTNPRTEVKISGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNQEGAEHSTVLMLHVYDGVLRFYSGAAVEPDIYDRWFRLNVVHDVAASTVAVYVDGQEGFSTSVIPSDSYYFKFGVYMQHHDQSACMESRWTNVTLYTKHYLVF >Dexi3B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:3B:15446764:15447606:-1 gene:Dexi3B01G0020470 transcript:Dexi3B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLPSSAAADVEEAHPGPGADADGARRSPKPTLNGAEERDGWKRQGKDRRHGTAGLLDHRARRRPRRRARIARCGCLCMGCLADRALVSWALCRFRR >DexiUA01G0018970.1:cds pep primary_assembly:Fonio_CM05836:UA:39763014:39763683:-1 gene:DexiUA01G0018970 transcript:DexiUA01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGQQQPPPPPPPQQPPTWQQLYATQTEILRNLQHQQQMMQQQMLQQGGQRAPQPQVARYEDFLAAHPPIFSRADEPLEADTWIRAVESKFTILATPCTSNRKVVFAAQQLRGPALLWWENYLALQQEGHVVEWDEFKAAFKAHHIPAGLVERKLNEFLALTQGTRTVLQYSQAFNGLCPYAGHHADSDEKKLES >Dexi5B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:5B:9843483:9843737:-1 gene:Dexi5B01G0013660 transcript:Dexi5B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFIGNSTSIQEMFRRFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEPIHDE >Dexi9A01G0022680.1:cds pep primary_assembly:Fonio_CM05836:9A:17834130:17835529:1 gene:Dexi9A01G0022680 transcript:Dexi9A01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLNTKYALDGIPWSFVFTAIPDELPATDDIDATQDIAAICESTSSTCLPHLLGLLARLNGDDDTDTGVPPVTCLVVDGFMSFAYDAAKQIGVPCAALWTFSACAFLGCRHYRQLLRRGLAPLQDEAQLTDGYLDTVVDDGEHGMCEGVQLRDFPSFIRTTDRDDTNLNFFMREAERLSLPDGVIFNTYDDLEGASLDAMRGILPPTYAVGPLSLHVRRGIPTGGPLDAVGSNLWKEQDDVLEWLDDGHPARSVVYVNFGSIAVITMEQLLQFAWGLAASGYTFLWNVRPNLVKGGDVATLPPEFLAAVEGRSKVSTWCPQEKVLEHEAVGLFLTHSGWNSTLESISAGVPMLCWPFFADQQTNCRYARTEWRNGMEIRGEVRSAELAGMIREAMEGEQGREMSRRASQWKEKASLATSPGGSTVVNLGRLIDEVLLAKKQ >Dexi2A01G0026890.1:cds pep primary_assembly:Fonio_CM05836:2A:38398783:38400180:-1 gene:Dexi2A01G0026890 transcript:Dexi2A01G0026890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSSFLVHVLLIALLSATTTPPSEALDAIKSKTFLSPAISLSPGSVSDKWYLDIAFPRGHLALKSFNGEVVDEHGVPVPLHETYLHHWVVEPYYAPKGDADAGHDLSKIIPSRNSGVCKDTMGQYYGLGSETRRTSTWVPDPYGIEIGDPEAAPDGYEERWLLNVHAIDTRGVADKLACTECRCDLYNVTVDKEGRRIPEGYPGGFHCCYDGTQCKLKEGFVAGGGEARKVFLRYTVMWLDWSDALLPVRIYIFDVTDRALLEGKSETACKVEYQVEGCSSSEKRAKKDCVHVEATKQILPRGGVIVFGVAHQHSGGIGSSLHGEDGRLLCESVATYGEGKEAGDEAGYIVGMSTCYPKPGSVTVRDGEALTVVSNYSSERPHTGVMGLFYILVAEHKQLPVAAGKQPGLCFSFPVPCKLAAPPS >Dexi2B01G0021850.1:cds pep primary_assembly:Fonio_CM05836:2B:31518488:31519241:-1 gene:Dexi2B01G0021850 transcript:Dexi2B01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAGPTTGKPACAVTFGRSTLLGRHLAAALAASGRWSAVAVLDLSPSPSPPPDSHIVRHLVVDLSDPARLPSALAGAAAVFHVDATAAAASVSDGSFLSLHRLAAEGTRRLLAACRAAGVGRVVYTGSADVVAAGARDVVNADEDSVPYPDKFGNAVIELRAQVEMMVLAADGVNGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKVF >Dexi2A01G0023130.1:cds pep primary_assembly:Fonio_CM05836:2A:34898134:34901336:1 gene:Dexi2A01G0023130 transcript:Dexi2A01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIRSIAMRSPSLLSQCLAGLLSHDRTAAHSVNIVPDREPHLPSPAVEIVPSKNVHPYKYAGENIELHGMNIFKGKISVADIAGLSKSDIVTSKGEGSLKCWAWESSIDLVNVLKDEIRDGLLTFRSKRVLELGCGYGLPGIFACLKCLRPPYGVLYLAARKNYIGSSSAVRQLRGLVDEEGTFGVHLVSEPPEREIWKFFK >Dexi1B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:1B:22709849:22712315:-1 gene:Dexi1B01G0016140 transcript:Dexi1B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSRSASAVGEKSLNVSAILRRYGRCGLKSVTSDLGLARHDGLSGEKLGEDAAGAPEINGDTILSGTKQELRRPVPERDDTAGHGLPLGRVKERGEPKVRDPEHAIVVDEEVGALDVAVEDATRVAVSQPLEDLCHEALDLRLREALPGQCGEAGQVVLHVLEHEVEAVGETGCHDAFQLDHVRVVQATEDVDLTSHETHALWRWIGISYLVEAFERVGAPGEPALDDLAGDGGEPGGPAGDDVLAGEALGNAGDDLHAVVRGAAVDVDGGAAVDLLSDDDEVMTTTGGAVFSTASFVVAAGAAASPLLAVTTTLLLVGFVGLALDAVREMTACTRGASSSLAASAMSSLSDWLCDFCSIWAGIGPGPGPAGGASMTLSLEHRPPIDRRRSFDAAANARALAGASEEGSAAARRRLAACPARNGREEVDGEAWRWWKPAGEVGRARAGTRVSGGGAGPQSVS >Dexi5A01G0033150.1:cds pep primary_assembly:Fonio_CM05836:5A:35533149:35534553:1 gene:Dexi5A01G0033150 transcript:Dexi5A01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSKVAMVSAVALLGWAWAYQATRPPPPAILGAPGGLPIASPRVQLKDGRHLAYMEAGVPKENARYKAIFVHGFASTKESGFSELVEELGICMLFFDRAGYGDSDANPKRCLKSDATDVEELADALQLGDKFYLVDTSMGGYIAWSCLNYIPHRLAGVALVVPAVNYWWPLPDNMLRSVYGKLDSRDQRTFWIAHHTPSLFHAWLTQRWFPVSPIVRGERDAFTDMDWEILTKLWRKQRESGQLDPSKATQQGIYESLCRDVTILFSTWEFDPTEIKNPFPGGDGVVSIWQGYEDKIVQFEVQRHVARKLPWVRYHEHPEAGHALSDMDGVGDEIISELLLGEALRGLPLQSEALQDE >Dexi8A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:8A:22752372:22755962:1 gene:Dexi8A01G0012870 transcript:Dexi8A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNADAAAAAVENGAVGSDPSVAVESPAPAEGEHAGDRGDLASTEGGSEAATPAVAPAEEAAPAPAPAKASPKQKPGSGAQKGKKQLPNGRVPAAATTATTKAKRPPGILSQSASFPARGPAAGARKSTAAVAVAATPKQAKAPPVANGSGSEAAASARAAEKKSNPTRTPVARRSMPLKSGSVDAAAPNDATPAVQESHENTANPLKQAEQGKTEDDVRSTTSSTNTPRAAARKSAAAGFSFRLEQRAEKRKEFFQKLEEKIHAKELEQTNLQEKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTSSATAASADGSVSCESPRSTANSAKVNEVAESNKPRVPARKPVQRSVTKTTPQLSVTAKAETRPVVTKLKTSNSKPKVSKAKAAQVQDAPVEVPPSEPSAPEELTVEHGVGEATGPDLAAPLVASNEVPVHG >Dexi9B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:9B:7129728:7136993:1 gene:Dexi9B01G0011200 transcript:Dexi9B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPVGIWGHRQARLGAAPFHVSAVKIAARSGGRSLAGSCPPAMADPTPAAVSAAVCRADAGTEGKSMGTAAPAMMRAEDLEAAIAELPAKKKLLREAFDCLAACLPYPLPFTWEDLDAHVSSVHSSLQVLEAARPAHTGENQGRAKEEEVVEEEVEEVEEEEVEEVVEETEEEEEEEVEEEVEEVEEEEEEEEEEEDEEEGEEEEEEEVEEEGEEEEADKEVQDANGNIGNQAKDDNKGCVDEEQDADEEEAVAIKSAGQYNEADGETQEVDQDADEEEAVATKVSAGQDNDAEGETQEVDRDEQEDEEEEQDTEDEMAAKMTQKQLEWLPPCGRKDLSGSQPTSTSLPPVAPTHPAAAAMATQAELEAAIAALPAKKRRLREAFDRLVSCSPVTVPFRWEDLDAHLAAVAAHFRHFEHNSPHASDAAAEPAAETSTTRDPVEHLEGDEVEEHRKRRGERGAWEERQSSNADEGEEVGNASLDQEGDVEEGEVREASGARPDRGSGGDEAGNAEAQVAVEAASPEQDEGAEEGAIVASPLQGDVDVEMMEADEEEDEAAHSSAGRDGVEDGETEEGELPRATAIGGGGETALTRAVAADPSAPVEVGEEEDEAPHASAGQAGVEDDETEGELPRAPRATAIGGGGETAPTRAVVVAADPSALAGLLCLSGSSSLLARREFLPSLLGAADPHAFLVRAVGEFLASSARKTNRFWENCVALIECVPHLAAPSPDALEQAERVAKDWKELWSCGGTSRLAGWALLTFLASYNIVLEFDADEIGRLFGNSAPQMKDNCVELCECLGLIDKIMGMVP >Dexi7B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:7B:12886627:12889574:1 gene:Dexi7B01G0005430 transcript:Dexi7B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDLHEPSSSAMAANLEMNVASSDEMNVASVVLEVGGGGLAKSTAVTERLNGSSDDDGDDLSQMEIKWMRLSDTVATSIIAKATTGGEHEHVGEKESACGASRADSAAVEISFDKVQLSTLECQYHAEGVHTRKRMKRLASRSRKSPFCPIVELEDVDVDTNDADGKDAAIDGSSLEKTQWASVGQLRKGQYIDVRVASFNSPPTVGSDGIKTKQTPNANEDIAPQPQDRDIPNFINVYRDFIGFEYDFEDFCIHYAQIPRDHMAYYNRLDGGIYVMKYLELWDPLVDMERFFRAY >Dexi3B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:3B:1781416:1782438:1 gene:Dexi3B01G0002670 transcript:Dexi3B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLKIGRKWPELQGARDWDGLLTPLDGALRGELLRYGEFVRAAYASFDFDGRAPSYGSCRFPSRSLLRRAGLPETGYQVTRLLHAASSASPPAWLSPPFSSSSSSYIGYVAVCDDEDEIRRLGRRDVVIAYRGTVTWSEWVDSFRSPAMVRDEVRRIFREYGDGKGTPPASITIAGHSLGAALAVLSAYEITTATAGDDAAPPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSSDVVTKIPGLAVDDDDDDSGGEAAELMARVPRWLASKMGWWAYSDVGRELRLCSGDAAPNVVASHDLDLYLKLVAACTD >Dexi9A01G0047390.1:cds pep primary_assembly:Fonio_CM05836:9A:50522069:50527434:1 gene:Dexi9A01G0047390 transcript:Dexi9A01G0047390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPILLSSSASSSPRFSSASPSPLRPPEPARGLEAKHSAVAMYRAAASLASKARQAGSSARQVGSRLAWSRNYAAKDIKFGIQARALMLRGVEELADAVKVTMGPKLNVFMLRGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRAKNVGASLVKQVANATNNTAGDGTTCATVLTKAIFTEGCKSVAAGMNGMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELNDPLILIHDKKISNVHAVAKVMELAMKKQKPLLIVAEDLESEVLGTLILNRLRGGIKVCAVKAPGFGDTKKANLQDLAILTGAEVVTQELGMNIEDFEPQMFGTCKKVTVSKDDTVILDGAGDKKAIEERADQLRSSIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGERKDRVTDALNATKAAVEEVQIIASNAGVEGAVIVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALIDAASVSSLMTTTESIIVESPKEESSATATAGMEMEY >DexiUA01G0018120.1:cds pep primary_assembly:Fonio_CM05836:UA:38672339:38674120:1 gene:DexiUA01G0018120 transcript:DexiUA01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPKYGTERVWTRYGPHALMTLVQLIFTIMYFIAEAAFNKGLNSYVYVTYQNLLASVLLWPFAYFYEKKLRPKMTIMLFLEIFVLSLLGASLTVNMHFTSLKYTSPAFVTSTGNAVPSITFFMAIILRMENVDIRSLRGLAKIAGTVVSFAGVTTMTLYKGTAIKSPWKAPIHTHGSSRVLANENWVKGSFLAVASCITWSIWCILQVSSMKRYPANLSLTAWISMVGGIQSAVFAVFMQPKLDDWLIGFSLKFWSIVYTGIACSGFSIFIQLYCTKKKGPVFVTMFGPLLTIMVAILAYFIFGENLYFGSIIGAVLAILGLYVLLWGKDKDQEHKEQESELDCEKLVKVGDISSGSNDSEAPNKDRELIYGVQN >Dexi5A01G0009840.1:cds pep primary_assembly:Fonio_CM05836:5A:7365691:7367727:-1 gene:Dexi5A01G0009840 transcript:Dexi5A01G0009840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSGVLLKLLDGMKTGVAKPVGEHRTAVLQVTDIVPAELDEKDLFPKHGKFYVKVSDASHSIYATLPLAQADLVLSNKLHLGQLVHVDRLDPASPVPVIVGAKPLPGRHPLVVGTPDPAARAKQAAPRRGSWGPEQKASIKPTTLNFDAEKTPVKERPTFSTPAKERQTFSTPAKDRTGAATPVRERGVAATPVRERSVAASPSMSTASVRKSSSVLPRLLTRSKSFVADRDQHPKIPKSPFPTEKSSVSCTASRARRRVAKEEEPSSPSSDDELGSSATSSKKRPSTATRVPVPGKLSLLGKDAIEQREQAQKAALEALRNASATDNVVRIYKIFSELSKTARPDTPASCFDSFLSFHQEAVQAVTDIEAIQAATSMAAAVASDEQPEDAPPVLQEIAQNREVVRRRGIGCSGVSKSVSFAPGTLDPKQDDGGGKTTRSSNASRKCLAMDKISEDGGNEKRTSSSGPTSATTVAHSALGSSLKLAKQIQAEAGSWFMEFLEAALETGLKKKSKASAMGDGRKQSSSCCPQSLMLRVINWVEMEQSGGDNSSRKPAHPRAAAIARKLRIKAKNP >Dexi2B01G0031520.1:cds pep primary_assembly:Fonio_CM05836:2B:39644297:39647335:1 gene:Dexi2B01G0031520 transcript:Dexi2B01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAVAVAVARAYFLWPVAMMKAPGGAGLFISRAAFEANPQLYFQLLRTLGAKAAAAAFHPVWLAGGLGWWLKLLWWLRLLVPNPPRLQRRRPLARGCRKLPPGRAAGPWLSPAVAPGTTAKATVMCFATRRATSSSSLLSSSRPPKETVAFDGMGSDSDDDLVAMGSDSDGDSEEMSSEDERSNDEDTTSSDDDSDIEKKTSSSPHKLTWGRPPPKEIVALDGCDFKHWQIVMEPPPSDPSNPDVPRDEIIDNYIKTLAQVVGSETKARKKIYSVSTRHYFAFGARISDKLSFKLKELPKVQWVIPDTYLDAKKQGLWR >Dexi2B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:2B:5280165:5282846:-1 gene:Dexi2B01G0005730 transcript:Dexi2B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSSVAAELRRPPPQHHHGHLASGGTHHEAASVVTATDLGSGSRSGGGGSSRRRPRRDAPEDERSSKLASISGATAADSADPEVKRLKQMTSSENNDKINTEAETKSGIVSKSVNKKPAPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQRQVEFLSVKLEAVNTHMNNANVSFPSKDFGAPAPSYNTAPGLTFDPQTPREYPQALTSDWLHMQIGT >Dexi2B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:2B:26761811:26762041:1 gene:Dexi2B01G0016560 transcript:Dexi2B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERERERVGFRVRVTCLRRASQEDASMALASSSSSSSSGPSNRFAPSGWAETPSARVGGCGGVWSSGSRVRTGE >Dexi1B01G0026240.1:cds pep primary_assembly:Fonio_CM05836:1B:31116089:31119852:1 gene:Dexi1B01G0026240 transcript:Dexi1B01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRLPTTTPAVAGSRRHSRRLRRRCHLILLPAFAFALLCLAYLSFSSHANLPFHGVDLVNNLFADVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFAYTYLKFRRTNPAKPFLLNMFKDCERRAIAKLFHHRTNDTTDPPPANLRVD >Dexi7A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:7A:29009438:29013188:1 gene:Dexi7A01G0020080 transcript:Dexi7A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLKQVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPATDKAAVKSFLGQVKGAKEMNIDSWHIIMRMRIPQEGPCDPIAPLDLPHSLHAFHRVSSSDSLNVEGYHTFRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSKYSEHCPTCKGEDHEKTSIRSIICLNLPSFSGGLNPWGTPSMRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGTTEHTFMRIDGEPWKQPLPKEDDTVVVEISHLRQVAMLANEPCRSKSVNDPSSPSCHSHQEDDDSNSLEDEDEWEDGRKKFGAAATFKLPDEVDISHLS >Dexi3B01G0013670.1:cds pep primary_assembly:Fonio_CM05836:3B:9820648:9822779:1 gene:Dexi3B01G0013670 transcript:Dexi3B01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFILPTTTPAASASLLPIRRQPAGDPSLSSPLKPHRISSHFRIPPKPSRFSRVCSISPSYKTPTVSILSSVASTWRTLLFLLVAGLLSLSGVRPLPALASAPPPTQQPQEIEGQDEQQESEERKEQVEDEVEKAKDEVKVEQQKDEDDDEVRMYSAILSRNPGDVYALKCALYVKMRRADWRGALRYARGLREAEPGEVEWRLMEAQLHELSGDLAQAEHHFREILAEDPLLVRALHGLALCMHKKLEGPTVFEMLGNALQLAASEKRVREERNIKLLITQMHVVMGQLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDRKEDADRQFDIYGSLVPDEFPDKSFINDVILAAKMESNDRVQKEFGSEFLSKK >Dexi4B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:4B:9899712:9900077:-1 gene:Dexi4B01G0012180 transcript:Dexi4B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWVLRPPRVVADDDAVEQIDPAQRVIRPREGGVQLEVVLPGCQAHLGGEANLLLDPLWRWRVGAPQVEHGIREVVLWRRSELGEEIGGGKGVATQDNGPVPNGAEVAHERGRPHRGEES >Dexi3B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:3B:1342581:1346071:1 gene:Dexi3B01G0001900 transcript:Dexi3B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSQGMSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIHEVMGSKTKIYIVLEYATGGELFDTIVSDMGIHSCLCSFCSTHCLTVTRSTNFQFSLTNFSLMLNFFCFLIIKVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGIILFVLLAGYLPFEDSNLMTLYKKIANAEFTFPPWTSFPAKRLLTRILDPNPMTRITIAEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKREEEPVALNAFELISMSEGLNLGNLFDAEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFFKNLSKTLKDVVWKCEDQQMHSAA >Dexi9A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:9A:16236899:16237133:-1 gene:Dexi9A01G0021320 transcript:Dexi9A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEKTPRTLAHAQRAKLHLGRQPPLPGRREPAAQASEHSEGGFFQVQEPGFEPWPVGTPRPASTT >Dexi7A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:7A:8269870:8270445:1 gene:Dexi7A01G0002120 transcript:Dexi7A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLWPVLCLLLLTLSAPCTRCAATTNDSDDGNGSSGTGTDDASRRARAAATVAEILSVHNAARLAVGVPPLVWSPQIAAFAKDFAHSRRGDCAPRRSPLFYFGENIFVGKGRHWNATALAAPWVAEGRWYDYESNSCAAPPGDGGCLRYTQVVWRNTTQVGCARIVCDSGDTLLVCDYFPPGNYGTGRPY >Dexi7B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:7B:17121539:17123380:1 gene:Dexi7B01G0009520 transcript:Dexi7B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDALLPIVLLLCLALACGADATRKTVGVYELKNKKGDFSIKVTNWGATLMSVVVPDSKGNLADVVLGYDTLAEYVSVSSAFGTVVGRVANRIANGSFVLDGKTIHLNKDGTTVLHGGHRGFNRVIWTVKEYVPGGDCPYITLYYHSFDGEQGFPGDLDVYVTYQLSSPYQLSARMNATALNKATPVNLANHAYWNLGGHGSGDVLGQVIQLLASRYTPVDGSMIPTGEVAPVSGTPYDLRTPTPLGSRIRLVSGAGMAGFDINYAVDGDGFREVAYLRDPVSGRALELWANQPGVQLYTSNWMSNLKGKGGVVYGQYGAVCLETQGFPDAVHHPNFPSVILRPGGAAYRHDMLFKFSF >Dexi3B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:3B:5078263:5079651:-1 gene:Dexi3B01G0007190 transcript:Dexi3B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRAPAAEVVGDGVAGDDDDEEELGVFAAERYFYGDDDAVWCERSSSSLSSSAAFRTAGTLEHDRSVVPTPTAGTTSSEASCNSRSALLCNNDPPPPPAAKLRAGAATPIAGAEPVVSGAEKSDERAGRRRRASSSSNMRRWLLGVVAGCACGGGDGEESVASADEREASRDVLGVGGEKCNTAVASDLSPRRTEMVVQELAFEEPTVVTVRPGSGRWLLEEDEFVLAGRESFYATEIAGGHGHRRSTNSGEMSMPLVFQPDATSRRRTKSLEMLRPLGDDERGNAFSSATQSSGFTIVAGNGHAPPRPSAGGGGGRSPGAGGEEDDAASAASEVGWSSAYPPSEASVVWSVVTADGAASAGNFSSAASGYYHHHYYGFSSYGGEDGAGKGDRRRRSGIATASSLLACMSDKAVDAVGPVHRPELEPAAMARLGAAGGTRNGRGGGYQQDVMMGRRVGW >DexiUA01G0020770.1:cds pep primary_assembly:Fonio_CM05836:UA:43085907:43086730:1 gene:DexiUA01G0020770 transcript:DexiUA01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIGVAVDFSSCSKAALRWASTNLTRSGDKLILIHVNSSFQNEQGAVHLWQQSALIPLAEFSDVTKTYGVSPDKETIEILTKVAHQRGILYGDPAKKLYEAVDLVPLSCMVIGSRGLSTLKRALMGSVSTYIVNHAACPVTVVKENM >Dexi7A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:7A:29176108:29176450:1 gene:Dexi7A01G0020340 transcript:Dexi7A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAQLKDMFFGLVERITGYGGVDGRRAAEIPQAEEVTVVKHTEIRGRSGGADPPVSVVSQGSKPQVTVGSI >Dexi5A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:5A:8552554:8556163:1 gene:Dexi5A01G0011430 transcript:Dexi5A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIKRAPGGAAQAALRGSSEDRKPVGLGSPPPTAAAARKIQLKSADMKEDMRQEAFEIARVAFDTHNMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGNDLLSSWKQSLISKGSYVTHETNYFVYFYIDSKAVLLFKSG >Dexi2A01G0020270.1:cds pep primary_assembly:Fonio_CM05836:2A:32343383:32343965:1 gene:Dexi2A01G0020270 transcript:Dexi2A01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALPLVTLLVLAGSAALASATTFTVGDSQGWTTGVNYNSWASSKSFAVGDTLVFDYVAKAHTVTEVTKSGYDTCSGANKLSDDDSGSTTVTLATPGTHYFICNIPGHCSSGMKFAITVSATRSAGTGASAGAPRVPAMASVVVAAAAGAAFIKLALL >Dexi8A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:8A:9932781:9934130:-1 gene:Dexi8A01G0008420 transcript:Dexi8A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMALVTLMLSFLLAAANAENGIYRATMIHRHTETGTINFTQAAHQSRHRLSMLALRLNKKTLSRVVDAQTPLTEDGKAGVYDMEISIGTPPQKLTALADTGSDLIWAKCGACSSCEPKGSPSYYPDKSSSFSKLRCFVPLCTALMSESDVSCGDGGAECDYRYSYGLEKDSHHYTQGYLANETFTLGGDTVPEIGFGCTTMSEGSYGSGSALIGLSRGPLSLVSQLNVTGFSYCLTSDASKKSPLLFGSGALLKGSGVQSTPFISEPDPSYYSVNLQSITIGDVTTPGTGYSGIVFDSGTTVTYLTDPAYTQAKAAVLRQTNLARAPDRDGFEACYQSPSNGRLAVPSMVLHFDGADMALAVKNYFVDMGDGVVCWFVQMSPSVSIIGNVMQVDFHVLHDVNNSVLSFQPANCDSLSSGASARLIPKFEGVSLLTALLCFILFLSY >Dexi8A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:8A:16635922:16638998:1 gene:Dexi8A01G0010150 transcript:Dexi8A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALFFGGSMGPPMIYLERKGRRPRHIYLDYSIANFIAAVMFPLTFGQIGDSKPAMPNFFTQLSQVQDNWPSVLIAMASGLALSLGNVVSQYAWAFVGLSVTNIVMCSMIVVIGKTMNYFLDGRINRAEILFPGAACFLIAVFLGTAVHSSNAKDKEEKLGILAANGTKRDIECNTETDDKAQVKNDASMDGNVSSQAKPGSAEFILQVEKRRSIKVIGSNRLLGLGLVFFAGLCFSVFSPTFNLATNDQWHVLKKGVSRLVVYTAFFYFSVSGLALGIFVNIWFLYRPVAGVPASTIGAYLRDWNGRHWALLAGLLCGLCNGLQFMGGQAAGLATADALQAAPLVSTFWDILLFGEYRRSSRRTYILHTSMLTMFVIAVAVLLASAGHRKTS >DexiUA01G0020390.1:cds pep primary_assembly:Fonio_CM05836:UA:42634942:42635885:-1 gene:DexiUA01G0020390 transcript:DexiUA01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPGNNAYANGHFATAEDYYTRGIKSISHHGTSGHCSRALMLCYSNRAATRMSLGMMREALQDCLTATSIDPSFLKAKVRAAKCIKELHILFEQ >Dexi9B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:9B:12549560:12549958:1 gene:Dexi9B01G0017820 transcript:Dexi9B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPHGGGGGPAQQFGGQQVEMPPPFSPAGGGGQRISLAEAPSPISSRPPAPPQQQYDELVGAPGGGAAVGFDAEGMAAAVAAGEEGASGGSAGNRWPRQETLELLKIRSEMDAAFRDATLKGPLWEQVSR >Dexi2B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:2B:1727374:1728135:-1 gene:Dexi2B01G0002190 transcript:Dexi2B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCSALVLPFPLRTSSLLVLVRRLLHLPDQRRKVKVPAAVNVCIVNAFAMAKEHLIPYFYGTLPHASLSFFQTTLHGARAVRGRQEDVEHALAGHSTASSSTHVVEYQQVVETLSANSKHEVLKNGVKLGKQLVETIEEEETAWKLLADFWSEMILYVAPSDNLEGHKEAIARGGELITLLWVMLFHAGIVSRPGEEDGAAATATSAGAV >Dexi7B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:7B:18314608:18322804:-1 gene:Dexi7B01G0011250 transcript:Dexi7B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILLTINPLKETELILYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKIYLYGGYFKEVASDKEKGTVHADMWSLDPRTWEWNKVKKAGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKESTNDVEANIDNDGDEVMEDFDVIEGQPEVRGVSNQLTKSLSITRAGSNKSSDVLSDSAAQEAPPEAVKPSGRINACMAVGKDMLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEISEDEDDDDDDEAEENEIDSEEDASQTDEDEESDEDAAKNVSSAVSLLKGESKTMRRKEKRARMEQIRVILGLSDSQRTPVPGESLRDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKADEEASGSTSSKRDTKKGKQKSAGR >Dexi5A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:5A:20909127:20910148:1 gene:Dexi5A01G0017620 transcript:Dexi5A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATRRWWRRRNGGDDDPDDLVPMDTQEQEDMVRSLEQKQAQQSRRWRRVFAGFLLGYAAFLVYSSFHHAWSPWELRYHAYFMEDLPAPMVIIAGSCLGKVD >Dexi8A01G0005580.1:cds pep primary_assembly:Fonio_CM05836:8A:5259530:5261612:-1 gene:Dexi8A01G0005580 transcript:Dexi8A01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGKRRCCPSRTNGKERCGVDESVVMRYRDSPCFAASSSTPRGALCPRPSPAADHPQSPTPSIPPPHAKPPPSSPNPNPPPPQDLHSLYTQRLDCSRTIRFPSSPQLRPLSAASHGGQKHRQDDAGLHHHLDGTTLEPAGTTTTPMTLGPVAVVGRAADAAWHGGFARRGGAVCVNGSSEGSCTDAEAMGSAKKASRGLGVAIDKHTHPRVHHHSIDSLRIGSKSRTGSPPANVAAATMQQNGSASLVQMCPSLYRAALRGRTDEVMALLLQQRYGSAARTSAGN >Dexi5B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:5B:8712992:8714032:1 gene:Dexi5B01G0012300 transcript:Dexi5B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIISKSEEDSSNREKYISWSEEATKYMLEWYIDIRKDKPATFRFKKQHHLQCADALNAKFALGVTQNQVDRHYRSCKEKWGWVRRALSNSGNGFDSTLCKFTLSESEKENLSKATVNYLTRSIRFYHLLEELFIDQSQADGSFAADQTTVNIPDGSDDNEGIKEIEGYNFTADTDEDADSDNIARHSPKTDLDGNPPSKKRKCEKSNSKKSAKSKVSTTDVSASIAMLVDSLLKPPPPPPIPVQPVVPADPYANLWKRINDLTITSKDKLVIVDHLSKPNQDVLRSYLNCSPDSMLHEWVINFFEHAGGSSSAF >Dexi1A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:1A:1782851:1784955:1 gene:Dexi1A01G0002680 transcript:Dexi1A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRSLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSTDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQESGHATSVAGPSI >Dexi2A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:2A:28759241:28759552:1 gene:Dexi2A01G0016940 transcript:Dexi2A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTLQKVVRDSSAPASSWNLSAGTTKWAFPRMEQSEQLHTHAATLAGASTRHRKRRQWQPPLWTTTSSSGTAVLLILCGDEAERLNGRALV >Dexi9A01G0045580.1:cds pep primary_assembly:Fonio_CM05836:9A:49171946:49176284:-1 gene:Dexi9A01G0045580 transcript:Dexi9A01G0045580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIRSTKCPVAFVGAASALAVLVTAVHVFMVPILPSSLDYFGAHSSTSRPRNAPLGVGVVDSRLRGQFPSDSHGSVVFRGAPWKAEVGRWLAGCHANYSSVNVTEALGAKRCEKDCSARDSSHKYNFTDTGKGCEEVLKLECNLPSSQEWPAGLWIVSTCPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPAKPDGPVLTDWKTPDLENVFTTNRSKLGWCNVVPDDAYSSKVNYKEQCDCKYDGLWGQFCELRAECSCINQCSGHGHCRGGFCQCDSGYFGIDCSIPSAYSLAYDWPVWLQPSVNLPEVKNLNSASINVKAIVQKKRPLIYVYDLPAEFDSHLLEGRHFKFQCVNRIYDEKNRTLWTEQLYGAQMALYESILASPHRTLNGEEADYFYVPVLDSCLITRSDDAPHMLMPRDMRLRSYHALEYYRMAYDHIAQQYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWDPIPLDRRGNHPCFDPRKDLVLPAWKQPDPGAIWLKLWARPRRNRTTLFYFNGNLGPAYEHGRPEDTYSMGIRQKLAAEFGSTANKQGNLGRQHAADVTVTYLRTEKYYEELASSVFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVFNYNSFAVRVQEEDIPNLIIILRVHFYSIFLYSLGHIYPPAACLLFPYHQGINETQVEFMLGNVRQMWQRFFYRDSILLEAERQKRLFSEEAPWSVEVSKLPDDDDVFATFIQVGSENSKETCSSTFCMDAIELTIYHPIDLKKTIFVFSLEALVNPITYCIINCTMTLGGKVSCKRRKLDCQPSARELPESV >Dexi5B01G0039920.1:cds pep primary_assembly:Fonio_CM05836:5B:38580896:38582605:1 gene:Dexi5B01G0039920 transcript:Dexi5B01G0039920.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNAMEYDGKDQGPKCDCLLFDLDDTLYPLTSGIAADIMKNIQDYMVLKLGVEEAISLELCILLYKQYGTTMAGLSAVGYQFDYDDYHSFVHGRLAYDKIKPDPVLRTILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSPPVSSHKIEIFDIMKHLAHPEPGVELPKSPIMCKPSREAMLQALEIASINPWTTILFDDSFRNIEAAKTIGMQTVLVGTSERKKGADHALESLHNMKEALPELWEEAEKDEDVRNSSKVGIETSVIA >Dexi1B01G0031130.1:cds pep primary_assembly:Fonio_CM05836:1B:34845117:34846093:-1 gene:Dexi1B01G0031130 transcript:Dexi1B01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLPPAKTPLLSPFTAFPPLPPPRPFHICVSAAVAGRGGAGENAAAASGTTARERRLVKVREERRRREYDRENTYPGWAKVLENACRDDDELRAILGDSHK >Dexi2A01G0026260.1:cds pep primary_assembly:Fonio_CM05836:2A:37777960:37779913:-1 gene:Dexi2A01G0026260 transcript:Dexi2A01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKNPSGGGGGGGGGGDNPQVEAAPVVPAAAEGEAVVAQGTGQEPEAEKTDREGEKDDGACRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSASGSKSTPGKATEGTKKADDKNPSSKKLAVAAPAAVQST >Dexi3A01G0020880.1:cds pep primary_assembly:Fonio_CM05836:3A:16538416:16539238:1 gene:Dexi3A01G0020880 transcript:Dexi3A01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSAVDDEEHLDYSAGKVTIIPDLRCWELKLNEASELGQTVVVKFSATWCGPCRNAAPVFAELSLKHSDLLFMSIDVDELPELVTQFDVRATPTFIFMRDKKEIDKLVGGNQEDLQKKFDPYCQQN >Dexi2B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:2B:6563197:6566209:1 gene:Dexi2B01G0006630 transcript:Dexi2B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPLITVSDGRLSVRGRPVLTGVPGNVSVTHAAGAAGLVGGAFVGANAGDAKSHHVFTFGTLRGCRFLSLFRFKLWWMTQRMGSSGRDVPLETQFMLLEVPSAGDGHDGGDDLAAGDAPVYLVMLPLLEGQFRAALQGNEDDQLQICFESGDKAVKTEQGENMVYLHAGDNPFETITAAVKAVEKHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKHGLQRYIHIYIYIYVYIYITIPCSVPDPLLLPARSLSKGGAPPRFLIIDDGWQQIASEDKPDPNVAVQEGAQFASRLTGIKENTKFQNTKSNNDGSPSPGGLKQLVEETKSEHGVKQVYVWHAMAGYWGGVSPSPSTAMSRYEPSLAYPIQSPGVTGNQPDIVMDSLSVLGLGLVHPRRVRDFYGELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHRALEASVSKSFPDNGCISCMCHNTDMLYSSRQTAVVRASDDFYPHDPASHTVHVASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAISGGAIYVSDKPGNHDFSLLRKLVLPDGGVLRPEHPARPTRDCLFSDPARDGETLLKVWNANRFGGVVGVFNCQGAGWCRVSKRTRVHDVAPGTLTAAVRAADVDAMSRVAGDGEEEWDGEAVVYAHRTGEVVRLPRGAAVPVTLGPLEYEVFHVCPVRRVAGGVEFAPVGLIDMFNAGGAVEDCNVRGAGTDGAATVAMRVRGCGRFGAYCSRRPARCVLDAAEVEFGYDAGTGLVVVDLPVPEKELYRWTLEVTV >Dexi6B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:6B:2755368:2755727:-1 gene:Dexi6B01G0003370 transcript:Dexi6B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELLRTGSKRPPRRRRAYARRRQEPAPETPSGVGEAALLAAHGAPVSSSRRGGPATLGAPLTGLAVAAEAAELKLSLTLGKELAGWSLSSTHTSQRAWAVSANGLSTKCSSLKAGPM >Dexi1A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:1A:22887172:22887545:-1 gene:Dexi1A01G0015870 transcript:Dexi1A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVARVVQSDVSVWWLRSGYLMGFLNYAATPFIILLSGGPRVWCLQQGNEIEEGSKDGCGVVPTHCVIAADGVWIRRQVPHGGGLLGVV >Dexi9B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:9B:5012519:5013206:-1 gene:Dexi9B01G0008140 transcript:Dexi9B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAHLEFRIIHPAPGVPEALIQEMFRHSSEVSREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLIEFPVAQLSSKRSKPSTSKF >Dexi6B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:6B:22044466:22047795:-1 gene:Dexi6B01G0014560 transcript:Dexi6B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPLPDHTSCNGDTVTAASTKSVPVILPSVTTTAFRGAGRPYALRHSNGSLLAAMYKEEGLVLVPIAMGTEEMEAVILAGVLRRAGADVTVASVEDGLEVEASCGSRIVADTHIASCADQVFDLVALPMTQIDVNLERSTEVNELEWSIDHNPHVLIPIANGSEEMEIIILVDILRRAKINVVLASAEKSPNIVGSQRMKIVADKSISSASNSKFDLIILPVSFHAVHLFVWMGGTAGADRLQRSTILKKLLKEQKQAGRMYGGLSSSLKILQQQGLLEDKIVTAHPSVANGLTCQVIDRSKIVIDGNLITGKGIGTAVDFALAIIRKFFGHGRAKSVANGIVFEYPKS >Dexi1A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:1A:547780:549282:1 gene:Dexi1A01G0000850 transcript:Dexi1A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTFSPTASASASPPPPRPIPGSHGPPVIGALRDRLDYFWFQSQDEFFRRRAASNRSTVFRTNIPPTFLGVDPRVIAIVDAAAFTALFDSALVDKRDILIGPYNPGPGFTGGTRVGVYLDTDEPDHERVKNFAISLLHRAAPRWPSVLRSSVDAMLDSVDSDLAAKEQANYIVAMQQCIFRFLCKALVGADPSVDWVVDRFGFTILDIWLALQILPTQKVGVIQPLEELLIHSFPLPSFLISPGYNILYRFVEKHGAEAVEFAGKEHGIAEKDAIHNLLFVLGFNAFGGFSVFLPFLVAKIGDKGSSELRQRLREEVRAVLRARRAGDEEDDDGEFGFKDVRGSMPLVRSTVYEMLRMQPPVPLQFGRARKDFVLRSHGDAAFQVAKGEVLCGYQPLAMRDPEVFDRPEEFVPERFLGEEGAKLLQHLYWSNGPETSQPAAGNKQCAAKEVVVDTACMLVAELFRRYDDFEVEGTSFTKLVKRQPAPSLSPATAGAKQ >Dexi5B01G0031060.1:cds pep primary_assembly:Fonio_CM05836:5B:31884776:31888415:1 gene:Dexi5B01G0031060 transcript:Dexi5B01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDDYMASFSSCSNGAHKRLSRDFSSHVQEHAKKCYYRCTFHQDHGCPATKHVEQSNSNDPPLFRVIYTNEHTCSDTYVSDYMASSIHIQQIADASLRKAEMATPSLIHCDAGHGLIKEEKDAIISSLLTVINGCDAAASDVGHAAMQENTSALAQMARSSYESIPSVSPIPLAAPDELKMDLGEPPESHWFEALDLGWFTQTG >Dexi1A01G0029110.1:cds pep primary_assembly:Fonio_CM05836:1A:34644203:34646759:-1 gene:Dexi1A01G0029110 transcript:Dexi1A01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGVGLALQTRAAGFGTGRRRGGLQSPIGSLRVADPAVAPVAVKARGSKPVAPLRVKKSSAEYYIDIFSMTFHYAGHENLHQSVDEALLLKRKSEEVLFYLNGRCIYLVVAQIFKVHSEAFFRDNESSVLRDLSSMRKLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVCKLTPTDIAIESLHKIENFVIEHTADKAASDSQVQRIQTL >Dexi2B01G0033170.1:cds pep primary_assembly:Fonio_CM05836:2B:40859293:40860815:1 gene:Dexi2B01G0033170 transcript:Dexi2B01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSVLLGIASLCLVPMSKKRKSLKPAKSLRDAEEVLAPDYIVGDALDDLLSKLVRSVEVAKASRGGLPEKIWMKDVLPLQKQFAIGVNDVTRVLERMPPAAATNSAHGSDEAQTVSGRRRAPLVPLQAVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKGGSIRLGHVVNLRTALAIGVKAGDSEVNKTIDEVLQCSKLFASESQIISESNEMKV >Dexi2B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:2B:26041:27033:-1 gene:Dexi2B01G0000070 transcript:Dexi2B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVASLTPPRRPSSSAGKLLGTSSRTAAASPRPLLKLKQRRSISCSNSLAAAAARPRSSWSCASASASSAAAAAEPPQKEKDLVFVAGATGRVGSRAVRELVKLGFRVRAAVRNVQRASSLSLVQGVQQLKLDGDAAAIPREIDDRIEHLSASSIPFHAAAEKLEIVECDLEKQPQDGIVKAIGNASLVVCSIGASEKEILDVTGPYRIDYMATRNLVEAATAAKVEHFILVTSLGTNKIGFPAFLLK >Dexi5A01G0035040.1:cds pep primary_assembly:Fonio_CM05836:5A:36930054:36933522:1 gene:Dexi5A01G0035040 transcript:Dexi5A01G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLASLRFGSFHCRASATACGGNTSLADDGGQLSFIVPEVDEVDAAPSENKHKWRMVIAYDGTKFKGWQYQPSPPTIQCFLEDALIRITKLDRKKLCLVGAGRTDTGVHAWGQAAKHFVGIHDFTSFANAAHNDRDRRPIKKITRFDVIEMGAILQLEVEGTGFLYRQVRNMVALLLQVGKEALPPDIVPVIIAARDRKELAKVSLSAPPHGLYLVSVNYDEEILKPPEGSPPISFGRTHQLSKCKLTFY >Dexi7B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:7B:19415163:19416488:-1 gene:Dexi7B01G0012650 transcript:Dexi7B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRCPPSISASVPCAALGASLHLSPPVSRRPSLSCNRACRAAGVRCSAANKPSPPPPTTPDSSEVSSMAKIRSEVLSPFRSVRMFLYLAFMASGALGALIALAQLLPALSDPARSAGAADTAKGLGIDVAAVSLFAFLYSRESKAKDAQVARLAREERLSRLKLRVGEGGRPFTLSELRGTARLVIVAGPAEFVAESFRRSQPFLRELAERAVLAVPFATDGNAPELRLDDDAGGEDGVDGEDDLARRSKRLWQLAPVYTTEWAQWLDDQKKLAGVPPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPPMKGMWSGLLDGMDGRVL >Dexi2B01G0026480.1:cds pep primary_assembly:Fonio_CM05836:2B:35610738:35615672:1 gene:Dexi2B01G0026480 transcript:Dexi2B01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGAGLGASPAAAFEASRFRPLASPLRPVRSGKLIVPKRYFSVSSRLAWVEDELMEIRKSQEQNTVKSKKRPPLRRGKVSPQLPVPEHIPRPSYVGSKGLPELCKGQLHDAQGIAGIRAACKLAARVLDFAGTLVKNGDIINIDVNVFLNAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYYVVERFVGHGIGTVYHSEPLILHYGEYLFLISNENSGRMVEGQIFTIEPILTMEKTECVTWEDGWTTVTADGSWAAQFEHTILVTRNGAEILTKP >Dexi3B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:3B:8470894:8471773:1 gene:Dexi3B01G0012080 transcript:Dexi3B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIHGQFVDLLRLFDLGGYPPTSTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELTSLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPTDMGPPHARKQIPNKVCQQPSHRIIIVL >Dexi6B01G0009580.1:cds pep primary_assembly:Fonio_CM05836:6B:13998198:14005077:-1 gene:Dexi6B01G0009580 transcript:Dexi6B01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGQRHSNVAPDGAATGSRRSRESLFRYLVALQLLTKLLSFAFNTWCMGAVEGFSEMVVVFPISQAMYGACLLFAYWFYFFSCHVSKLHCVLPSRLQDWMDYDRKLWHTCVMFTGQSLKELVVQKGQELVPFSSSYLDDYGVVDRLGSLVVRLIFRPFEESNRLKFAEMASDMLLRISYSMVFMRRFFQ >Dexi7A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:7A:19622727:19623242:-1 gene:Dexi7A01G0008320 transcript:Dexi7A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLWTRRKRAREHAVTVPGGVRARSRRRTPRASRALARRAGEWIGGGRAARRGCHSIRASLSPRPPPVVLLRGWGHKVARRDDGDGIGRARFPRRTARDDDAPRLAVGSERRRPARLVSLAASRPSGPGSGWLWCGRRRQSRDAGRHSRASAVRKRRDGDVVLIYKPAS >Dexi3B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:3B:8666320:8666975:-1 gene:Dexi3B01G0012380 transcript:Dexi3B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVPPPPLLASHAAVRAAASAVSRSRRGRLTGDHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDI >Dexi1B01G0027660.1:cds pep primary_assembly:Fonio_CM05836:1B:32331708:32332738:-1 gene:Dexi1B01G0027660 transcript:Dexi1B01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPATGDSLPVSSTSAAPSRPLPLLTLPYLFSLLALLLFAALLLPWGPTRPSSVPASPWRAYTLHEAAAFAAAAGNGTLLLAAVSGPYLPFLSKWLISVRRAGRADQVLVIAEDYETLDRINAAWPGHAVLVPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYLVGDHDVYFTDDMTPVKPLDYSHELPPPGKKGRTYICSCMIFLRPTEGAKLLVRKWIDELKEQPWSKQRKSNDQPAFNWALNKTAGQVCFY >DexiUA01G0012070.1:cds pep primary_assembly:Fonio_CM05836:UA:24186085:24188624:1 gene:DexiUA01G0012070 transcript:DexiUA01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDGMFVQREHAALMAENVSLRHAILAKCCSACSGGTTAPVNPSPEKLRLLAENARLHDEHMCAINLPVPSVADGWASRAALRRHAEASMEEFIVLATGWEPLWVMTPDGEVLSYQVYQRETFPRPAPNGFFREATREAGIVRASTTDIVNILTDTNRWSEMFPGIVAGVNASDVVCGDGVSKRDGLIQLSPRLRNRRVSFLRYSRLTAPKQWAVMDVSVDGVLFLAPQRSPAWRTDCRLLPSVASLRTWTVTWIVHADYDLTMVPNMFKPLFRSGKALGAHRWLASLRRQFEFLDALHSSHVPSSHNTAATISLMGRNGILKLAQRMMASFYSAVSGPVTQPSSIINEWHGDTGTGAERIDVAVRMVTWQKAGSMGGEPAGLVLSASTTVWLPNTPPQLVFEYLCNDQRRGEWDTFANGVAAVLSSIATGHLDGNAVSILRPNTLVPASPSGDYAAEAFDDAGMLLCRAIKNIKAAVTAKLVVATALM >Dexi2A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:2A:25164853:25169433:-1 gene:Dexi2A01G0014920 transcript:Dexi2A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTRPLDGTISKIPGKLDRLLCLCPILPKGVEDEILLIKHDIEEILAILSNLEDDHAIMVRCWRKEARELSYDMEDFIDQYEHADPGSLTFSIPRRMITQRLKRRTTLYMLREKLRRRLWMANKIREFSARVQELLQRHNPYNLGSIVGSTSRRCTGVRSASWHSASCGDDNTLVGIGDAMDKLEEQLLMMHDEDCQKLRVVSIVGFGGIGKTTLANELYRKLGWQFECKAFLRTSQKPDMRKLFISMLSQTNLPNGIGHMTSLHTLQYFDLSTNMMENVHSLSMLTNLQELQLTCSTSTVQPGNLNNKMQFLLNSVLGRLSNLTSLNLVSQTSSYANSLDDADATRITISGGFSSVSTAPTLLQSLDVSPRICIFFCIPKWIGQLHKLCILKIGVKKLAMGDVDVLKGLPALAVLSLYVQTKPASKIVIGKTGFLVVRYFKFNCCVPLLKFEKDAMPNLRKLKLVFNVHSSDQLTRIPIGINFLSELKEVSAKIGGVGPDRSHRKAVELAFTEAIRVPARCQRVHVLCVENIIAHKEGQSSITIIDEKDSNEHDEILLEDSGQEGNKDADNRKRRNRLTKHILTSSPDGSDGYSWSKYGQKDILGSKHPRGYYCCTDHYRGGCMAIKKVQRTDDDPKLFNVWYDGEHNCNQPAHSNHQSSESIARAEEKQPSLASSSRSSTRRKVVLGSLTRREVVLSNPDDDGYSWRKYGQKDILGAKHPRSYYWCNYQHTKGCMAKKKVQRKDADMVVFDVVYIGQHTCNNQAAQSADENKSTEAPLESANSRVSRMVSAEDMIASNIGNIEVFQYQEMVEPLNECQFESD >Dexi3A01G0024850.1:cds pep primary_assembly:Fonio_CM05836:3A:20442182:20444581:1 gene:Dexi3A01G0024850 transcript:Dexi3A01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETMLEDDVFFAELSKRISLLITDDDEGADFAAAAQFIPAAPLPVSPLSLLRLVFVPSPAPATSHQACACTCGGSSSGPGQLSFCCGFASLGAHVPPRHQQQQQLAPPPYTLYHHGASYGGDSAARAVWQHQQQQQCGSKGTGVFIPRSTPGAAHPKKKGKNRGAKAARAAVQAGANALAAGAPAKRR >Dexi6B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:6B:16482376:16483321:-1 gene:Dexi6B01G0010240 transcript:Dexi6B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLTALLVLFVVGQQGHAFYYTNYVSASTASSSSRRAADAKMAVFFREEALRVGQSLPFRFPAAVTAPLGLLPRHVADAIPFSSSALPGVLALLSVAEGSDQATRMQDTLGMCEDPGLEWEAKFCATSLEALVEGAQGVLGTKDVREMISRVPPTGAPLQPYAVRAVRPIDGDVFVGCHQKEYPYTVYMCHSTGPARGYEVEMEGTAGGGRVTLFAVCHTETSEWYKDHVAFRFLGIKPGGPPVCHVLPYGHILWAKKDSAGHSSA >Dexi7B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:7B:10050784:10051369:1 gene:Dexi7B01G0004130 transcript:Dexi7B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPAKFVQYYIPKDHLDNCMAVVFGSLGKASSVKLEMERPDVFFAGGWSRFLSFNAITKNDILLIRYEVKVFDPSGIVKQEEGSSAASIHVSTSNMNSASSGGPMKPERYDDSLTKASKTMSCVYNIGPPAWIKKDINASTIENQLVRAPFPFSVDMIKLGK >Dexi8B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:8B:26166567:26170893:1 gene:Dexi8B01G0015350 transcript:Dexi8B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEGLLARDFGVRPQGKAAPMAGASSRPAAGSAAAWSNPVRSTSAPSYDDLFGAPASAPAPASASSFDSLFGSFSSAADAGARAKPPPPPTPSSSSGPVFDDDIFDTVPGLRPSKSSSARYDDDVFGAAAPAYDDVFATGTRASASPPPAYDDGDFLGGLGGAPRAEEKKRPVAVDDDLLGGFGRKPVAVEEEEIGGAGFDDLIPGFVGSSPPRSRKANDDKKMKPPVPTSKPTSNMADDPFVVLETASSSGSAYASPGRSTDPLKDKDKPANSEGKTAADNLFEEPIAFDQAPSDPLFTSEINGHIKDRNPTSVARDSSSVHHSMDRNPARQSSMEDLGNFMPKSQSARYSDIHGNGMDDQSPRSTESEDDIWLTVSEIPLFTQPTTAPPPSRSPPRLKQKLGANANGKGNGHARRSSQNHNHFTDFPKQPEVSSADDLEGFAMGKPQMPAFDEEFERSSSDREERERQERLEQEREMELKEEMERERIRLEKETELEQQRERERQRQAVERATKEARDRAAAEARANAERAQQRAAVQRAQQEARERAAAEAKDRAARVVAEAKERAAAEAKERADAAERAAAERAQQEARRRAERAAVERAAAEARERQAAAAAAAAREKQSTPDDLESFFGMDARASSAPKQRAPTPTVDSMFGTGAQARGTANGSQRAASTSASVRKAPSASVFGDDLSDLFGAPAASSDAFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIKRWSAGKEGNLRALLSTLQYALNMIK >Dexi7B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:7B:24115220:24118580:1 gene:Dexi7B01G0018310 transcript:Dexi7B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAAGRASAAARSTASHVRGGSHGFASGGSDGSPAVPREWLRKLWGDELKRQKEAARSWGGGAFARGYESVEAPSRSHRYDDRDLDPVEASGFWKLWLQLLFAKLAPLLGRDNLVIARDIEWANIMLAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLLRTRRPFVAEITDAMGNEIFKIRRPFWLINSSIYAEVDDKEIGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFSLVDEDDKLLAQIDRNWRGIGFELFTDAGQYAVRFGDEGYNRKFALSTDIEELHVARPLTLPERAVALALAVSLDSDYFSRRGGWGLPFLIATE >Dexi1B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:1B:19713972:19718780:-1 gene:Dexi1B01G0013710 transcript:Dexi1B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRGIGKATALALGKAGCKVLVNYARSSKEAEEVCKEIEALGGQAITFGGDVSKEAAVESMIKTGRIINIASVVGLTGNAGQANYAAAKAGVIGLTKTVAREYASRNINANVIAPGFIASDMTAELGEEHEKKILSAIPLGRYGRPEDVAGLVEFLALSPAASYITGQVLTIDGGMVM >Dexi3A01G0029530.1:cds pep primary_assembly:Fonio_CM05836:3A:31644814:31650026:-1 gene:Dexi3A01G0029530 transcript:Dexi3A01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGNPTPSPATSTAAAAAAAAQHQQLQRQLFLMQQAQAQAQGQTHPQQLSQQAMSRFPSNIDAHLRPLGPPRFHQLQQQQQQPPQSQQQPQPPHSQGPSQSPSQGAQQGSPHQNQQQQQAAAAQAQAQAQAARIRGPEMEMALQDAMRVCNPDIKTPFQSIEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQAMLGLRAEMESRERAGREAAEAKMRMAMEQARAEAQAHSEMINHGPIRASAVASQGEEGPSHGMEQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDGQEDWRRSGELDLNSR >Dexi5A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:5A:3624557:3625439:1 gene:Dexi5A01G0004800 transcript:Dexi5A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEAVKKEPDSIVASRKQ >Dexi5B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:5B:825544:826650:-1 gene:Dexi5B01G0001310 transcript:Dexi5B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTKKEVFVVVKRTEHVEMTSRVVEVATTKASTEGPRKVRVFCDDYDATDSSGDDDEEEFATATRRRIKRYEIRLKRAVKETPTMKATGSLMGTVARSKLALPCLKRKADGASVSEPRFRGVRRRPWGKYAAEIHDPWRRVRVWLGTFDSAEEAAKVYDSAAIQLRGPDATNNFDQVGDPVAVPPEVAKRVPRPPVALKSVSAFATLYDTSEESHPVAPSPTSVLHSLQSPAVAKDTYNNKMAPEHTTAPAQPALWAQETDKSNFDGRNIFSCPVSDDDCFAGEFPPMYTDFDILTDFSEPLIDFLADIPDESLSLPSFPDASAVPVDPEPEPQPKPASPAEWQQMDEFFQDMNDLFQIDPLPIV >Dexi4B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:4B:18899162:18901170:1 gene:Dexi4B01G0016740 transcript:Dexi4B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLAAARDPAAATTTTTTAARRRGKEAKVHPAADEKGAMGAAAAGGGEGWGGLPEWCSAAGVAGVIRRHPLPALFACGLLLFMAVEYTIPMVRPGAPPLDLGFVATQGMHDAVAARPWLNELLAALNTVFVAMQAGYILWAILAEQRPRAAVAALMMFTCRGMLGCATQLPLPDEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAADMRREGRLALARLYDALNVLQVVRLLACRGHYTIDLAVGVGAGILFDTLAGCYFDAKNGDGKNAHCRSCQCHKALLAH >Dexi3B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:3B:1289064:1289675:-1 gene:Dexi3B01G0001800 transcript:Dexi3B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYKSTIKNGIKWMSEECFLAFTKSAENTHSEGIEHKFGVVTRKEMLVYIGLLWMTPIMTIPIEK >Dexi4B01G0023680.1:cds pep primary_assembly:Fonio_CM05836:4B:24980069:24982209:1 gene:Dexi4B01G0023680 transcript:Dexi4B01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRYLQSNKSPLEVATEVAEEADEYNGFNLILADLTTNIMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFGELLMKHGDEEVEVKNIVETLMTDTTKADKDRLPNTGCDPSWEHGLSSIFIEVQTDQGLYGTRSTAVFSVNYDGEASLYEKYLESGIWKDHTVTYQIE >Dexi2A01G0025960.1:cds pep primary_assembly:Fonio_CM05836:2A:37557958:37563499:1 gene:Dexi2A01G0025960 transcript:Dexi2A01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGTETGGAAVAQASIPGDPDTYRIEASLFHSAAPPPLARSHASAPAMDAAAALGAAPGHGGPPPGAAAGEQQAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPAASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENAPILPVMLSSKLLPEMEAEETTKREQLLSGITNLPVPTQIEKIKVRIDMIGNACETAEKVIAECRKTYGLGTRQGTNLVPTLDKAQAAKIQEQENLLRAAVNYGEGLRVPGDQRQPQSLPSHLVEVLPFGDGTQNFGDNSGGYPKNPSTFTPSGVNTPGNPVQASGGQLLGRPAPSPGGTGTPNFENVSTPPMPYANSPRSGTTMMNTPSPQQHLTPQQQRQKLIQASQQQQLHAQQQMRPSAAGMLAQYELSCRAHFLSCKIYKGRLNKNYRQFYTDSLSFLPKTAFPPDNLNFSKKKKNADGKYAWNNAITVTYATNAGQCLSFLYFPSNLYGMAGGHQQRSHPSQMLTDQMFGMGATNSSMMGMQQQQQQGVYGNMQAGAQNMQQGMVGLQNQVQNPNFPQQRQ >Dexi3B01G0026410.1:cds pep primary_assembly:Fonio_CM05836:3B:21510908:21515042:1 gene:Dexi3B01G0026410 transcript:Dexi3B01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQWLSVAAGCVAAVTCAVAATLVSRRAAGRCRWNRAVEVVRGFEEECATPTERLQRVVNSLAVEMFAGLASEGASKVRMLLTCVDELPDGSEEGIYYAIDLGGTGFRVMKLELSESMVGKDVSQCLNEALIRNRLDLQVTVLVNNAVGTLAMRHYYDEDTVAAVIIGAAAIREDDSPDLMVVGRVLEEHLKIQDVSLKTRKLVVRICDIVTRRAARLAAARIVAILQKTGRDGSLCGTTNLRKIRGETKRSVVAIEGGLYQGYSVFREYLNEAVDEILGDEIAPTVSLKVKW >DexiUA01G0011440.1:cds pep primary_assembly:Fonio_CM05836:UA:22990845:22991761:1 gene:DexiUA01G0011440 transcript:DexiUA01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTGRFSLKAPATALMALSPPTVKVTAQAPTPPPRARAYPSAAYAALSSLQHPTRRTPVPPSASASSSSSSVRLKSPGTVKTSRAPTSARRRARWRPSVASRGALVEGAAADAAPSDAEGIEPIAVSMARRPAARGEPEWWTGGEGSGTKKKKLWVAFGVGRFGRRVENGRVGWGSSVRIYGGGGWGRGVSEGSEQMALGLRDQTFCADQVN >Dexi6B01G0009650.1:cds pep primary_assembly:Fonio_CM05836:6B:14144180:14144909:-1 gene:Dexi6B01G0009650 transcript:Dexi6B01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSAYLVLTCAHAPLAHLPRPTRRPDIARITLAGITRGARASAPLFLSPGTCRLGGVRRHRGSAQICRDASLQGPPGGDSTAQEQEDKKSDAVAAAAARIASGGGGGGKLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPLWLI >Dexi5A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:5A:23633621:23638893:-1 gene:Dexi5A01G0019710 transcript:Dexi5A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHPRAPLAAALLLAAAACLACCAVAEPPPSERSALLAFLTATPHERRLGWNTSTPTCSWVGVSCDNANSTVVEVRLPGVGLVGAIPPNTLGRLTNLRVLSLRSNRVLGSIPDDVLRLPNLQSLFLQQNRLSGEIPLGIAGLTGLERLVLSHNDLSGSIPFALNNLTALRVLRLDGNNLSGSIPSISIPALKSLNVSDNNLNGSIPKSLSGFPADSFSGNRLLCGDPLPPCGSSFFPPTPAPGMSPGGGGPMPGSSKKRKLSGAAIAGIVVGAVVLGLLLLIAVVLCAMSRRRRDGAREGPKAAATSSAAAAGAATGRGQPPPGSGDGGGMTSSSKEDLGGGASGSAAAVAAAAAGGGAAGEQSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMEALGRVEHRNVLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGRVRYGKPRRHARYGVRRIGRGGAPGCWKIPPETEFAGIDAPPIAGSRGSGRTPLDWDARMRSALSAARGLAHLHTANLVHGNVKASNVLLRPDADAAALSDFSLHQLFAPSSTRAGGYRAPEVVDSRRLTFKSDVYSLGVLLLELLTGKSPSHASLEGDSTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGAGHGGRTTTEESEGMRGTSEEEPSRSGGTPPTAPTP >Dexi4B01G0021270.1:cds pep primary_assembly:Fonio_CM05836:4B:23295487:23295917:-1 gene:Dexi4B01G0021270 transcript:Dexi4B01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGAGEEEEEEEDVGVAGEACGGGADGGVFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEDATGYRAEEVLGRNWLCYE >Dexi1B01G0021410.1:cds pep primary_assembly:Fonio_CM05836:1B:27318130:27318751:-1 gene:Dexi1B01G0021410 transcript:Dexi1B01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAADTATPPPPPSAEPTAASAPAPAPAPAPTPDQKVSPPPQPDAPAPAPAPAPAPAAAPKKRKLAEVGFHTSDYYKIRAVVADLRVRFVQVYQTTDFRNTDAAREILRGIQLKPKFLSCLYDSSCSAEGI >Dexi5B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:5B:8600200:8601513:1 gene:Dexi5B01G0012150 transcript:Dexi5B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETITNTLTRLSDKLSRFACGSSPSAATTAAHHRDDPRDDWFGGLASLGTALLFPTISGDDDDESPCAPSSSSRVAAADRVLEEDEPPVVDGETTLPCVAFPSQHGYMVFSLADDRMLDGVQLRSVTGRRVVPSPYGDGSISVVITTDLSSFRHPSRLVDVFTGESPASLPDLPVPLGETGPTSFEPEAPRVQGRRPAAPPTDDGFAWDLSPRGAMVARGDTVFFCERGDDGGGKWVPVHRSRSASDTMTVNYRGGFFFVLEQRALLTTVIDASTLDTVAEIPAPPLDEDDAVDCVHLVASTDDVLLLVHRGRDMQCELFSEVYLAKHKEPRPDWKKVTDVGDRALFVDRLHGFSVGTGGGEDDAAGVRRNCVYTISATPVEDPHGRRVAVYHVEEFHVNRPEVGETMQCRLGACQVEQIWGEPYWLIPKNSVASS >Dexi1A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:1A:27285040:27285437:1 gene:Dexi1A01G0020480 transcript:Dexi1A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPETLTFWKHARRDTSIPLGFQTLTARRKTMRKSVIRTRKETSLNLQHTIDTLMPWRCHTWAQACSAVIIPAAVTFWDGRSLYVFNWLTAIVCHFSALHFVAG >Dexi8B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:8B:6925824:6927157:1 gene:Dexi8B01G0006090 transcript:Dexi8B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKPKRGDIVFVSAASGAVGQVVGQLAKIAGCHVVGSAGTDEKFGYDDAFNYKPETDIAAALKRRFPEGIDIYFDNVSGATLDAALLHMRWGGRVVVCGMISQYTLEKPDGFEEEVVRYIKEGKVAVVEDVAEGIEKAPAALVGLFSGRNVGKQLE >Dexi9A01G0030520.1:cds pep primary_assembly:Fonio_CM05836:9A:35537225:35539799:1 gene:Dexi9A01G0030520 transcript:Dexi9A01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLPSPPARPVASSSSPPHRHPRAPPAPRHHLHPQHKQQDAATATTSVLGVGVPRAWLSQRTPVLGLRAWVLVAAGAAAAALALLCLIVCLCRRCRRHRPPRLAPCAHRPGASKSLKHRVHAHHEAVAMPPAKDVEEAVLWRPPPIESIKAEQKAPLILSGHFARMSGESVESRGGEGESTDSGGGGSDAEVPEAVRRGWGRRYTRREMDEATGGLAAANVMGEGGYGVVYKGVLRDGTAVAIKNLHNNRGQAEKDFRMEVQTIGRVRHKNLLSLLGYCSEGACRMLVYRYMENSNLDKWLHHDDSEISPLTWDIRMRVLLGTAKGLAYLHEGLEPKIVHRDIKSSNILLDKQWNAKVSDFGLAKLLRSENSFVTTRVMGTFGYVAPEYAKTGMLNERSDVYSFGVLVMEVITGRTPVDYTRPTDEVNLVEWLKRMVAERRVEEVLDPRLPEPPPSKALKRAVLAALRCVDPDGSQRPTMAHVVHMLEGDQIQRDEFKLARDLSPRESDSYEREHCR >Dexi9B01G0020550.1:cds pep primary_assembly:Fonio_CM05836:9B:15266593:15270671:-1 gene:Dexi9B01G0020550 transcript:Dexi9B01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLEAAPAAGDEYTQDGTVDLHGNPVLRSKRGGWKACGFVVEVGGAAALGSKKKEQPMYEVFERMAFYGISSNLVLYLTTKLHQGVVPSANNVTNWVGTIWMTPVIGAYVADAHLGRYRTFMAASVIYLCGMILLTLSVSLPSLRPPECGSGTSDPNCTHQATRTQLGVFFLGLYILAVGTGGTKPNISTIGADQFDDNHPAERQHKLSFFNWWMFSIFFGTLFANTVLVYIQDTVGWTIGYALPTLGLAVSIAIFTAGTPFYRHKPTSESPFAKMARVIVAAAAKCAVAAPVDPRDLHELDKEHYAKKNSTPLPHTPNMAALSKAAVKTTNGGSAAASRWSLSTVTEVEETKRMLKMLPVLAITFVPSAMVAQINTLFVKQGTTLDRHVGPHFQIPPASLQAFVTISMLVSVVLYDRVFMPVARRATGNPRGISMLQRMGVGLVIHIAIMAIASVTERHRLAVAREHGILDSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSALLSTVSRVTESHGRAGWVLNNLNASRLDKYFAFFAILNCANLLVFFVVSRLYVYNAEDARVGDAAGGSATGEQKKREVAMQPANVAAMDATLF >Dexi4B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:4B:21906720:21907386:1 gene:Dexi4B01G0019720 transcript:Dexi4B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRTNLTEAVRSVHLLKIDDYSGTSVMSSSDCIKSRWNVDGHDWEVHCFPRYGAATGSVHFLVLKLILVSEPQRDRFTASLSAKLVLDPSRNLDPSEEKSVSHVFGSGSGSEASSSELYLMSRENPRREQTSRLFLIPVIVFLPTKAFSPQDLLSSWSSYSAT >Dexi7A01G0023930.1:cds pep primary_assembly:Fonio_CM05836:7A:31809181:31809888:1 gene:Dexi7A01G0023930 transcript:Dexi7A01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERAPSRRFSSRKVSPKVPIGSYPIAQVNYELNVLGTRGPRRMQCTMHSIPESAVEPGGVVPGQPKELLPRLFDESFRSTATSFSKYSVADSSMELSSCRYSEFGGGNVQGGDDGDKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIASVPPPASGGASQQLAPPAQTQPQASGSSSSSSHDTFGKVSRDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >Dexi9A01G0046330.1:cds pep primary_assembly:Fonio_CM05836:9A:49695660:49699189:1 gene:Dexi9A01G0046330 transcript:Dexi9A01G0046330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRDLFRAVRQASLNHARVLYHRLIARLPHLLAVTLLVAVAPLPSLAGARALWASAHANAGLVAAACAGVAAAAFAYAASRPRPVYLVDLSAYKPGRAHEATRAASIRHFALAGRFTDESIAFQTRMLERAGVGEATHFPASLFSVPVDMSLRAAREESEAVVFGAVDDVLARSGVHAGDLGVVIVNSSLFSPTPSFTSMLVKRYGLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQVHPDTYALVVSTENITLNAYLGNHRPMLVTNTLFRMGGAAVLLSNRRSDRRRAKYQLVHAVRTHRGASDRSYTCVTQEEDAEGHVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLAAVLLRRILRADVVKPFVPDFKLALEHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLHRFGNTSSSSLWYELAYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRTVEDAGETNPWADEIDQLPVHVPKMSPIAFDQETSPNKDEQHAAA >Dexi1B01G0027810.1:cds pep primary_assembly:Fonio_CM05836:1B:32461588:32461964:-1 gene:Dexi1B01G0027810 transcript:Dexi1B01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINHLLLAAIALLLVSSDMTVKVSSLCVQPRSVIMYPAKPCDPQVCKTNCAKQYINGVGTCMYPNGCDCEYCLDNSTASTENETN >Dexi2A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:2A:33090342:33095868:1 gene:Dexi2A01G0020870 transcript:Dexi2A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAPLLIGLVAEAGGGGGGDGDGVPLEVAGNEVPLPVEVDGKGAPPAEEVPVAVTAGEEEKEKEKKKEEEKGEGLKWLGHYSSAQDILLIGDGDFSFSLALATAFGSGANLVPTSLDSYVALKRKYSKAESNVTELKRLGATVLHGVDTKELKLHPDLKNRRFDRIVFNLPHAGFKGKEDDTHMIKYGYEMPWESHSALLEYLHRDREFARKKDRLRRMLALYGGRRRSSRSPLEGPPTVITVEREEEVFKVEEMNKADAEAGSADRVPAVEEEGKGAAAPLEGAPALIAVEGQEETHKTEDKEVEAATVAEEGDESEKWLGQYSSGQRILIVGDGDFSFSLALATAFGSGGNLVATSLDTYAII >Dexi2A01G0019030.1:cds pep primary_assembly:Fonio_CM05836:2A:31142691:31145886:1 gene:Dexi2A01G0019030 transcript:Dexi2A01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMRGEALPCNLSANCYVANHLQLPAPPKQQPAEAECKWYEEDIDDDLKLSYALNSVLHRGASKYQEIALLDTKHFGKRYGRMISVRDFQALIIDGKMQSTEMDEFIYHESLVHPPFLFHPNPKTVFIMGGGEGSAAREVLRHKTVQRVIMCDIDQEVVDFCRTYLTVNREAFGSNKLCLIINDARVELEKSREKYDVIVGDLADPVEGGPCYQLYTKSFYEHIVKPKLNDHGIFVTQAGPAGVLTHKEVFSSIYNTLKNVFKYVQAYTAHVPSFADTWGWVMASEYPFDLNAQQINERIKNRIQGELVYLSGEFLISSTTLNKSIQQSLLNETHVYTEDDARFIYGHGRAC >Dexi2A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:2A:15210817:15217092:1 gene:Dexi2A01G0012910 transcript:Dexi2A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCCLLAGARAAASPSLPSSSAVLRRRHCPLAVAVGPLPHAQRLRRGLRLCCAATSSSSPVPPEEPDDFELLEPTGTCDPLCSIDEVSSLDFEANSKNDLLKAVTIFGTALAGAAAINHSWVAANQDIAMVLVFALGYVGIVFEESLAFNKSGVGLLMAVCLWVVRSIGAPTPDVAVQELTQTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNSKTLLWVIGIVTFFLSAILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLKIMQGLFIPSAISLAVPLALMSLTSEANGSSPKPSSLLSSEQMAPRGQLVLAVGVGALVFVPVFKSLTGLPPFMGMLLGLGILWILTDAIHYGDSERQRLKVPQALSRIDTQGALFFLGILLSVGSLESAGILRQLANYLDANIPNGDLIASAIGVASAVIDNVPLVAATMGMYDLNSYPQDSDFWQLIAFCAGTGGSMLIIGSAAGVAFMGLEKVDFFWYLRKVSGFALAGYAAGIMSYLAAQNLNLSLPTSLAEIPFIPGS >Dexi8B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:8B:27228776:27231685:1 gene:Dexi8B01G0016190 transcript:Dexi8B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFSLFSKGKARHDIAGAIEDIEKQLQKVAERRARYKLDDVVAKPAATSTIDPRLVAAMNIKVNKLIGIDKSSGELTRMLSPVGKDSTGKTKIVSIVGVGGLGKTTLAQAVYDKLNPNIGCGAFVPVGRDRDVKRVLRDILIDLDKKYMDVKYNILDEWQLIKELKDFLRSNRLYGGEDKCPTNHPADASEKILKKCGGVPLVIITMAKDCLIEKDALIWKWIAEGFVEMKTGTNWFQRGEEYFNQLINSSLIQAAESIKGNGTDSCRVHDMVLDLIREMSKKVNFVTISNDDGEGTLQRNKQVRRLAHHDRSMKQIQEDDDMGMAKVRFWRTTTAIGRLPVNAVALLTWQPPYEGAGWVAEEGDVARGPSSDDESKRQFMKDLGNLSQVRVLSIYGELRGGMALQSELVQSLGNVHKLQHLRLVDYNFDDEDDPCEWVEWEDTVELPRGLQELDLHAVPFRRLPSCISPAHLQNLYTLWLRVEAIDEAGLRALGGLPELRLLGLLARQPSTSSTATVASINISGEGFF >Dexi9A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:9A:12750463:12753569:-1 gene:Dexi9A01G0017710 transcript:Dexi9A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWRRHGSATAAAAPSTTPKSLLLLLPVLLLLLFALSRAPDLTFSSTSTAADAVADEAASFSSSRHIRPFDCYASPQASPVVANLVEGVPYPFFYSLADMGTLPDHPHKNIARLLKGKRFRKPDISETIQELLGGEVGRGDPSGGVVVDVGGNVGMASFAAAVMGFRVVVFEPVFENLQRICDGVYLNRVLDRVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNQEVAVVVSTIPLDEVIPDTERVLMIKIDVQGWEYHVLRGASKLLSRRKGEAPYLIYEEDERLLQASNTSTQEIRAFLSSVGYNDCTRHGTDAHCTKE >Dexi4A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:4A:7741803:7742711:-1 gene:Dexi4A01G0009800 transcript:Dexi4A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVAYSGAGLGLLALAAVESLPLRRVPPHRLIPRRLATPLHLRHLLAAALSSLCLLSALVSAHHLSLPTLAASALFLLYSLAPLAPLAAPLPLPLLDLLLAAAFAQELLLFAHRRPSTAAGIENRYFDLLLVPIALCLGATLLAAHRPGDAAPRLARAAGLALQGTWMVQMGFSFFTSAIAQGCALHAASRADYTIKCRTHEDYHRARSVATLQFNGHLALLVIAGAAAYAAVLSRANRPPSGYRILGKEVQMEGMPIMSQFTLDSDEEKEDEGITTATAPVENGVESHDEIPLDAPGSK >Dexi3A01G0019730.1:cds pep primary_assembly:Fonio_CM05836:3A:15646550:15647569:1 gene:Dexi3A01G0019730 transcript:Dexi3A01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPPSKVGLKLSLPPLPSRPSFSSPPRRSLPLPSLIFRSEPPRPRPQRVLSSSSSSPPSPSPPSSREDAVAQARSCLATALQKPLNNSVPLKKLKRQRQPRFRAEIPVVDDSPGSLARLALDVFSGASRKKGAPARLLLVWPSAEALAVALREFESSGDSATAHAQLGSETAHALSACDAAVFLAPEPGQVEKMKAAATAVEPKPVVLFNPAWSFDEEEGEAFGATARGFVGSFDVVRGLLSKKTGVLLRCVDGGRSGGESWVLMVENDGGAPEGQEFKVVSRFKKRPTIGEVETVLYNLMAANSPVTKSARFLREIVSNVTGGKGKQ >Dexi4B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:4B:22918276:22920485:1 gene:Dexi4B01G0020810 transcript:Dexi4B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAMERERESDKCLDPQLWHACAGGMVQMPPVHSKVYYFPQGHAEHAQGPVDLPAGRVPALVLCRVAAVRFMADPDTDEVFAKIRLVPVRPNDAPDADDAIGAAAAAAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFMHHQPPPPGGGNYGGFSMFLRGEEDGSKMMATRGKVRVRVRPEEVVEASNLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPSIHLSPFSPPRKKLCVPLYPELPMEGQFPTPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSTHGLHHQLDHGMQPRIAAGLIIGHPATRDDISCLLTIGTPQNKKADVKKAPPQLMLFGKPILTEQQISLGNAAGFPLAAAKKSPSDSNAEKTVSNSDISSPGSNQDGTSSGGVPLCQDNKVLDLGLETGHCKVFMHSEDVGRTLDLSDVGSYEELYQRLADMFGMEKTELMSHVFYRDASGALKHAGDKPFRIN >Dexi9B01G0042730.1:cds pep primary_assembly:Fonio_CM05836:9B:42910354:42912120:-1 gene:Dexi9B01G0042730 transcript:Dexi9B01G0042730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIISPSGDLASHPLPANAADGHASQPPNINSAATLFDEHWTQAGSSQPSPYASAPATSFAVFNFNPSSSPPMFSECMMNNFFVPPAMAESSRYRYQPYVAPTPFAQDATPPPLLVPMLTTRAEPFFHPQTQSQGANVSAEEAMIVHAVPDTEKDQGDTTPTPRRRGRPRKNAIVAAAAATKPNKRAAVRSDQATSHQAASATAISGQVHVPLPIPDQATSVVEVQVQHPNQAALLATSNQTAQCTNPMPLVYQEQWQLQPTYNNNTLSTGAQAIAVVEQEAMPPYADTSAEGVRFQPTDEELIFYLRLKYAGREMPVDFFKEFDVYQAYPEKSRDVCGVVNGCWYAFSPRDRKYKNGHRPKRSVVEAGGQQLGYWKSNTKLTSVCSRADGSEIGTVASLTFHLGNQPHGTQTPWKMREYAIPKNQHAPDGSAMRRLMINYFSVQLNDWVLCKLFYKERVIATRKRGSQLGEAAENDSGDSESSGDEGGDESIQMGSTVDQTPQDSEQDLRVEDYLVLG >Dexi1B01G0004730.1:cds pep primary_assembly:Fonio_CM05836:1B:3778222:3780088:1 gene:Dexi1B01G0004730 transcript:Dexi1B01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHTRYKTYPGEVTGIVVFSCLIASVAGCIFGYDIGLTSGLTSTEPFLIKFFPTIYEERKKQVITNQYCKFDSQFLTMFCSSLFLSAMTAAFFAGGITRSFGRKWTLFTGGSAYVTGACLGGISFNFPMLLAGRLLVGAGVGLSIHASPLYISEVAPAQQRGMLNILFQLMITVGILTASMTNYLSSKIPGGWGWRVSVAFGAVPAGVIALGALAIPDSPTSLIQRGDTATARKTLAQIRGVGDVREEFDDLSTASQDAMAVESPWKELLFGGKYKPQLTFSILVPFFQQLTGINVIMFYAPVLFKTVGFKQNASLVSSVITGTVNVFSTFVAIMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPMAVRTQAMSVTVAVNMFFTAFIGQIFLTLLCHLRFGLFYFFGAWVLLMTLFIAMLLPETKNVPVDEMAHVWKKHWFWRKFVVDTSDARSAEMRKRIALEMS >Dexi7A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:7A:18489675:18491105:-1 gene:Dexi7A01G0007140 transcript:Dexi7A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEAKGKRTRASISSFTVGDRLSSLPDCLIHHIMSFMKARQVVQTCVLSTRWKHLWRSVPSLNIDLEEFKTEGAYHANVEERKKFEDFTDHLLIPNNISIALLDKFQLHVHHYHREEAARWIRHGIKYSTQEPGIRPQGLSSTPWRLKRLHLSNINLDDSFAKHISSGCQNLEDLELKGCPCHFHEITSHTLKNLILKDCSSNVLSAITSQTLKRLVISGCYIDDGPLVVMAPVVSYLLLSVAIYNFKGGIVLNEIPSIVKVSIHLVNNSDCPSKLGDDQFNLLDSVSKVTSLHLSAYKAMVWLSVLTEEFPQFENLKTLLLEKCDLSDNFQTLGHFLQSSPSLEKLTLRNCKFSKDPKKKKGKVKLNKQSLSQLDVRCKNLKQTEIIYRNDDVHKLEELLLSIAGSLPENNIKLTKVDSP >Dexi9A01G0035960.1:cds pep primary_assembly:Fonio_CM05836:9A:40520055:40520604:-1 gene:Dexi9A01G0035960 transcript:Dexi9A01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFARLAARAAASRRGSSARLAPAPSASPAEPRCGPPPPHWLVPARGHVGHSHHHGGEGGGGEASERIFRLGLAADVALAAGKAVTGYLSGSTAIVADAAHSLSDVVLSGVALLSYRAAKAPKDKEHPYGRWY >Dexi6B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:6B:17144061:17144843:1 gene:Dexi6B01G0010690 transcript:Dexi6B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPMLLLLHAAWVAAIVAVSVALCTIHSRKPSSSSRRRAASRSASSSSNRRGSSMQSIRGGGGTAAAGATAPAKVSSTPAGDTAVETDSHRCSPVTVIEVGTHGPIPPAFVFPPPPDPVPPRRSLSLSLSAKHIRFAAAERLGRIRSMQRGGGEGGASTTGAEDDVFVGCRRGGGGEVGEEGTLWTKTIILGERCGVPTDDYGDDGDGGGGTTLPWKSYRPRQQRSLPVTRSNSFAGIGSAGARRLADARF >Dexi5A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:5A:4942590:4946770:-1 gene:Dexi5A01G0006700 transcript:Dexi5A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSRFAFPGRPGANKRSTKMLPGNPRLVHGSGLVVALATISVAINLVAVCEPPPPGLDKNAYYFLAPSVIFFSGVAHVFAAVSATEDRRGRSGLRAAPSKLKYAAVAPLIVVVAVMRGWGPAAVACQAGLDIRGEKSAGGGNWWGLRGWSGQGGDDRWHRRSEAAGVVGGGCRRAVEVFRVSVAVEEATSRPLEQGGGGQQGWGRRESPTPLCACL >Dexi4A01G0024150.1:cds pep primary_assembly:Fonio_CM05836:4A:26979488:26980021:1 gene:Dexi4A01G0024150 transcript:Dexi4A01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACATSLEATVDAATRMLTGDEGVLMPTRNVWPTASALPIDGLPRQLYEVAAVDTLDGDRHVVCHAYPYPYTVYRCHLTSSTRAFVLKLRHRGGAGLVV >Dexi8B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:8B:10505736:10505989:-1 gene:Dexi8B01G0008140 transcript:Dexi8B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLRQSERRRPSSSWSTARWGVLEAELFGKEFGRVVRLGSDGSGGTTLQMPKAESAAPRRGSDNGSSRSRQQTSGPSGC >Dexi2B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:2B:3448744:3450469:-1 gene:Dexi2B01G0003890 transcript:Dexi2B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGHTHQKNRPSRRATWKRNQTLSLRRYR >Dexi2B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:2B:5075558:5076070:-1 gene:Dexi2B01G0005500 transcript:Dexi2B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCVDWASLPYNIYGRVGERLLADDDIDDFIAFRSTCREWRVGAIADYPENGDYADPTHFQPSKWALLDLKDHHATLLNVDTGRFISKSINLLRDRKYYFIGATAGALILLGELEEPHHALVLNPFTGSIACFKVPVPIPVTGVTAVAVTTAPLMLFVSSEDGEILWAD >Dexi5B01G0036960.1:cds pep primary_assembly:Fonio_CM05836:5B:36483256:36491000:1 gene:Dexi5B01G0036960 transcript:Dexi5B01G0036960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSSSTRRPAAAARSRERSSDASEQEPDARRAAAAAARRRGRGDHGPLRLMDIRLRTLALLGIASLALVSAAFVAYTGRWWDEVEGEGAATLRTVVRSVTPLSAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRSRTPLSLIAGLMWIGLKNGQYFLRHVCQDSDELSTYGWTAHNGRDYGRQVLVDHGLSLTTSFLKEKGEGSGYGGDWAVRLDANSERSSLSDAQGSTTHLFFYIADESGKSITMGSHIPSSRGPVLLASGSHEEIGDWELYLRSEVSIKTPAQIDLVFLSGSSSNNPMVEERIIKLTGPMLSARLESKQKEFEERYDHIFNVNNKIDSKELSVGRAALSNLLGGVGYFYGQSKIALPKGFTQKNGDKYIPYWSAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLGLLNSDGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLAIRDLASGIRAKQFSDDEAEKISSFLERAHIRLNSWFQWFNGTQSGKYEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCISSISEFLKMDSAVEKDYYKMSNQLSDFETLNKLHLDDKIGAYFDYGNHTEKVRLRWYDVQDKDTVRRELLRETLQPPQLQLVPHVGYVSLFPFMMGAIPPESWVLEKQLDLISNTSMLWTDYGLRSLSRTSSIYMKHNTEHDPPYWRGAIWINMNYMTLSALHHYAHEDGPYKGRAGELYEKLRSNLIRNIVQNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMAESYPTLHR >Dexi4A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:4A:23224824:23227478:1 gene:Dexi4A01G0019450 transcript:Dexi4A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNGLLGLLNACVLVLAVVALGGGAWLSHRASTDCERFLERPVIALGVLLLALSLAGLAGALCRASCLLWLYLVALFLLIVLLFAFTIFAFVVTNRGAGWAVSGRGYKEYRLGEYSTWLQRRVENSENWAKIRSCLQDGKVCEKLGAKKETVTQFVNSNLSPIQLMNLSYVQSGCCKPPTGCNFTYQSETVWIKPAGFNTITDDPDCTTWSNDQTTLCYDCNACKAGVLANLKNDWKKIATVNIVFLVFLIVVYSVGCCAFRNNRRDNSYPARPAWK >Dexi9B01G0023450.1:cds pep primary_assembly:Fonio_CM05836:9B:18751383:18752468:1 gene:Dexi9B01G0023450 transcript:Dexi9B01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPHSRVVSGAGPFVPAPDRVVVVDSTAALRPLALIDLSGTAAEAQAQFPEHDDLPLLVDDQTSRRHAGDKTLAVHAGEKLGARGGAVTTDSIVTPVVSGTTHWFKSSEDLIAYKEGRRQSFEYGRYGNPTVKALEDKISALERAEVTMVTSSGMNAIAATLLTLLPPGSHVVATTDCYSEARAFIHDRLGKMGIKSTFIDLDDMEALKAVLEKNEVTLFYADSPTNPLLKCVDIRFVAELCHRNGALVCIDSTMASPINQKPLTLGADIVLHSATKYMAGHHDVIAGCVSGSEALISRIRPWHHDLGGAISPVNTCAYASIGRISS >Dexi2A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:2A:6429316:6429740:1 gene:Dexi2A01G0006840 transcript:Dexi2A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRMWVRAHSERRNRRGMHLLYKDVQSCQDEDVQVLWSILIDSHRHPALLKLKL >Dexi4A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:4A:6457396:6457920:-1 gene:Dexi4A01G0008630 transcript:Dexi4A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPDRRRRSRASTAPMQWLTSVTSRPQLYSHAVFILFALPCPAASTMPGCGGSSSWWNGSNELSSSWISISRSRRATLPSSSPRLVGRFSIGRMWSRRHGGGSSLAPASVTWGGPATALRLATSASTAASRDDMALPLAEGLPGSAPG >DexiUA01G0007520.1:cds pep primary_assembly:Fonio_CM05836:UA:14136321:14138573:-1 gene:DexiUA01G0007520 transcript:DexiUA01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLAHPSDPLCRPVVHPARHLDASSGCAIMASCAPPLPPEPVARPTPAPAASPEHPPSRTPQSLTANPQLHPARARQAINAALAAAHPPAPVPEQPSRFQHAPRLLQLVSTSSAQPYDTAISFSVLPRSFFHLRPRRAKAQALAVTPQIHCLSLHGSPIHHTQGSLNHLASPRTNPSENRAPLAWNRRIPSPLRHFHRRAPPHHRLLAPTLQPPNRGPDELPRPSLKLSGRLSHHEHHSTSPAMTPSGGAPLLNVASFHRVTPSHNSTSERREFPGLSHRTLAGIRPPVRPRAFSTYNLVPPNEEEAPEGGANVIVIDPEIDAGVAQEGKPPSIT >Dexi5B01G0035340.1:cds pep primary_assembly:Fonio_CM05836:5B:35356644:35359204:1 gene:Dexi5B01G0035340 transcript:Dexi5B01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGFVCHRRAVVGFVVEVAAEASDCGGKGGFVKTQKTNAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASIAGDMVLAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRELDEEYEGNVEATGEDFSVEQADERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEIHRKYIYGGHVADYMRTLADEEPEKYQSHFSDYIKKGIEADDMEALYKKVHAAIRADPSVAKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNALNSSGGADDDDDDEDDE >Dexi1B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:1B:21501099:21501791:1 gene:Dexi1B01G0015110 transcript:Dexi1B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEISMEAARNLVIKQAEKHFKPEFLNRLSEIVVFEPLSHDKLRQVANAQMKGIIARLADKGINISASEAALDVVLSGSYNPLYGARPIRRWLQKNVMTKLAEMHFKGEVDVDTTVTIDASEDKKDLKYKVMKNVRRPDKRVLVEVSSDSGYDHGIDLNRAPVEKKMRVVAYIL >Dexi9A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:9A:3076904:3078100:-1 gene:Dexi9A01G0005510 transcript:Dexi9A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARSSPRVMVMEERIILHLPGDAPGPEWVSVECASKKAFGCGKLADLLLEGLTLYVRSLSMSDLNSSLCISLNHLALRSIQEELGMPQQFAGCLPEFDGSIKIAYQQVLVLLVIFRHRSHSKRIYYLAYDAKDASMYMIPCIPRDLEATFTVTPVPSRLSNGHGHELVLMAPSGAVSEHSSSNLPQAFSADVMFSFEDKVFWCDLSQGVAYSDLRDGDSAVFVELPREYLVDFSVVPMYAQTNMYRTMGCVQGSVKFVCIDRSVHHRPGHPMVSVWTLDLDHRQWMEGKDFPCLWRDLWKQAYHINSDLRYVVPPEPEYPVLTPDGALSVLLPKTLLTRGVKEADYICSFDILSKRCLCLGELF >Dexi5B01G0023200.1:cds pep primary_assembly:Fonio_CM05836:5B:25418970:25427706:-1 gene:Dexi5B01G0023200 transcript:Dexi5B01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSGGAKLKACGLIVFTRVLVLTMMVIMLSSFTCEGRRSMLPNIGEEKPPISLQPAPPDQPNRTSAAPHPSAVHPPSLQLHRAASAFRRARVASRRVGACLRRRHHPHASRARVSMADDGEPSITRWTFEDFEVYYEVGLGIRHEPAGDGDDDGYHGPPPLGSDQLASRLAVSRANGGADLAVFEQFERMERKVEVRNGAIEDGPPQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARHAMFEELLPSTPGTMEIPYDVLVDKSEGYSGSDIRLVCKEAAMQPLRRLMTVLERNQEEIPEDDLPEVGPVTIEDIELALRNTRPSAHLHAHRYEKFNQDYGVCCKAYVLIS >Dexi3B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:3B:4804087:4804749:-1 gene:Dexi3B01G0006890 transcript:Dexi3B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARELPPQYYAAARSGHRSSSSSSAAASCVVAILFLLLAAGGGAAALFILYRPRAPAIAVTAVQLPTFASANGTVAFTFQQLASVRNPNRSPLAHYDSSLHVAYGGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVAGPAASAAQPATISVPASGPSPHVTAALLEQPPVMDVDSLLVVKGKVTVLKVLTHHVEAAKVCRIGVSPADGRVLGFRC >Dexi1B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:1B:4594730:4602624:1 gene:Dexi1B01G0005620 transcript:Dexi1B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMMTMRRAAALGARHILASSSSRVVPIRHMSADVGAAMEKIRAAGLLKTQGLIAGRWVDAYDGKTIEVENPATGEVLASVSCMGSRETSDAIASAHSTFYSWSKLTANERSKALRKWYDLIIAHKEELALLMTLEQGKPMKEALGEVNYGASFLEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSADTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLQVGNGLEETTSQGPLINEAAVQKVEKFINDATSKGANVMVGGKRHSLGMTFYEPTVVGNVSNDMLLFREEVFGPVAPLIPFKTEEEAIHLANDTNAGLAAYIFTNSIPRSWRVSESLEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNMS >Dexi2B01G0018640.1:cds pep primary_assembly:Fonio_CM05836:2B:28863359:28864342:1 gene:Dexi2B01G0018640 transcript:Dexi2B01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIGAGGGDEVILDAPGFIRVYKSGRVERFLPVDFAPPSTDAATGVASKDIAIIPEAGVSARIYLPAPPSSGSYSGKLPVLVFFHGGGFCLGSPFDAASHSHANRLAAAAGAIVVSVEYRLAPEHPVPALYGDAWAALRWVAAHAAGQGTEPWLAAHADLGRVHVGGESAGANIAHHAAMRTGAEELGHGVKLSSLVVIHPYFLGGDSSETDEMGRALLRELVRLWQVVCPGTSGCEDDPLINPMSEGAPNLASLGCRRVLVCVGGKDPMRGRGSGWCGKVVDWEADGQGHGFHLSCPMSTEAEAQVCVIAEFLSYG >Dexi5B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:5B:4709203:4712172:1 gene:Dexi5B01G0007020 transcript:Dexi5B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVEKIVKTLNDGQVPPPEVVEVVVSPPYVFLPVVKSQLRPEFQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMEVVAAQTKAIAEKINDWSNVVIAYEPVWAIGTGKVASPDQAQEVHASLRDWLKTNVSPEVADSTRIIYGGSVTAANCKELAAKPDVDGFLVGGASLKPEFIDIINAATVKSA >Dexi3A01G0031060.1:cds pep primary_assembly:Fonio_CM05836:3A:35492413:35494811:1 gene:Dexi3A01G0031060 transcript:Dexi3A01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSTAMRKPEGGPAASSGCINAAAGVAAKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSSASSPATTTDCASPPEPNSSKVTGGASCPDLAALDQDGGHGHHAMMNTTTTGLWMVDSSSSTSSSTSPMQSRPPSSAAVARRSYGGLLPFPDQLRGVAGGGTPPAVGFFHGHVAPFKHQAVASLHGGYYGSGAPHHHGMMAMEGGCFMRGEGLFGVPPLLDATSAQGQDQGGHQTVMTSSGGNNNPKNNSSNDTTTTTVSNNESNITDNTTNKDNINTMSLVNSNSSNVAGVYLEGAHQQYMSRNAMHGEWDLEELMKDVSSLPFLDFQVE >Dexi8B01G0004280.1:cds pep primary_assembly:Fonio_CM05836:8B:3793556:3793890:-1 gene:Dexi8B01G0004280 transcript:Dexi8B01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGGRRRGRAASAPGTGRPGVVAARWPAARCRTSGGRRWWWGIWWMPAAASGGEPRGGREGTGGGGRPGGGGELCFESVWLCFESDSSFSFGLQTGGQAQACI >Dexi6B01G0005570.1:cds pep primary_assembly:Fonio_CM05836:6B:5044219:5044706:1 gene:Dexi6B01G0005570 transcript:Dexi6B01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGVFKGLKVISQIFVVKEHQMEIGHPTDVKHVAHIGWDSPTGSAASPSWHWTVGKNLMDFSSSGAHV >Dexi7A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:7A:30268051:30281344:-1 gene:Dexi7A01G0021760 transcript:Dexi7A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAMQRPDAKTLLMHRWLQNSRRVLPASLRQPTPLRNIDGDDEGSSGHNSAGFCGTPVNTTPVASDVEQDNGRKEPVLESSAQNKPDKLHGGNSKPTEGSGLDDLALMKDNIVLDKDPTLVLHDKLPVESSSGDADLNEKVMGHELQVTLPSKIEPKSKESSCLEDGDVFSFQAGRQNIDYQKVAESSFVEAPKELSRFSDKPGDASLDDLFPPIYKQDVNGAQASTSTNGHDLPYNGVSNDFAKALNAAVVEKQKGNDNESMNGGKLIELADRLQDIDARGFGDNIAGESLFPWQILSSVLQLINNIVKDNTSFLENACLVGLIPVVMNFAEPNRPKDVRVQASLFLQQLCQARDMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGVLLRLVNTLHSLNEATRFASISGSEVSRHGRENGHIDSIVKDSNRVQSIKYAHSTGVSNEGASTSGAASQTASGVLSGSGVLNLRPPGSTTSSGPLAQMFSSMSADVAREYLEKVADLLLEFAQADTVVKSLMASQSLLARIFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >Dexi9B01G0041930.1:cds pep primary_assembly:Fonio_CM05836:9B:42289544:42291441:1 gene:Dexi9B01G0041930 transcript:Dexi9B01G0041930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPYLLVLVLLLRPASAPAFGAPPPSQPLPPRPPQKVQVWPKPVSISWPVAVYAPLSPAFSIRASPSHPSLRHAIAYYTRLIRTERHAPILAPVNYTLSGVPIRLLALSVSDPDVPLGPGVDESYTLSVPPNSGSADISSATSWGAIRGLETFSQLSWAGGGPAAGGQPIVPSDIEISDHPLFTHRGILLDTARNYYPVRDILRTIRAMAFNKLNVFHWHITDSQSFPIVLPSVPNLANLGSYSPVMRYTDQDVRRIVRFAEAFGIRVIPEIDMPGHSGSWAGAYPEIVTCANKFWAPTAKPALAAEPCTGQLNPLNPKTYRVAQDVLRDLAALFPDPYLHAGADEVNTACWEDDPVVRRFLADGGSHGRLLELFVNATRPFLVHELNRTAVYWEDVLLGPKVSVGPTVLPHDTTVLQTWNNGAENTKRIVSAGYRAIVSSASYYYLDCGHGGWVGNDSRYDVQEKEREGTPLFNDPGGTGGSWCAPFKTWQRIYDYDILHGLTEDEATRVLGGEVALWSEQSDAAVLDGRLWPRAAAAAETLWAGNKGVTGRKRYANATTRLNEWRYRMVARGIRAEPIQPLWCPLHPRMCHLSQ >Dexi1A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:1A:18281848:18282183:1 gene:Dexi1A01G0013480 transcript:Dexi1A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVARQRAELIDAHGFAWDPGTRRPRLAPTRLNGVAHRQPPMTISCWDPDDDRVSGDTRGAVTSSFRPHPPHSSVGVAVAKELRRSPTRTSSTRLAR >Dexi8B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:8B:25774407:25775056:-1 gene:Dexi8B01G0015000 transcript:Dexi8B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGERWSLAGATALVTGCSKGIGHAIVEELAGLGVRVHTCSRNAAELEECRRRWADKGLVVTVSVCDVGDRTDREKLMAVVEDTSNNKLDILVNNAAQMVVKPAVEFTGDDYSRIMATNLEACFHLSQLAYPLLRNASVAGGGSIVHISSIGSCISYPGLAVHSIAKGMVWIARME >Dexi2A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:2A:26743577:26745662:-1 gene:Dexi2A01G0015600 transcript:Dexi2A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVLLFLKPFDVFPPRPYAGAAASSPTPALSPPPPELRATNPKILDATLDGTRCPMELSRISVKLNGIQLPTYALNDILVSHPCPASVSRFSFRKIINTGESSHLINCRSSGLRVSTAAGSTAAMLSAGGFVMALSSRELQYMIREPISPTDADKPLLHGLVKPEQHMLVVWYNQEGAVYVDGSHVVHSIQHGDTLEISSNAPTLKVVLPEHLLKNAS >Dexi4A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:4A:24034545:24036958:-1 gene:Dexi4A01G0020390 transcript:Dexi4A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMSLAAKGVLPFSALTSSGVTQKPVSVTASLEHKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQGISSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFFLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGGNKKFDPDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQVYSIYLAALST >Dexi1A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:1A:3180898:3185015:1 gene:Dexi1A01G0004320 transcript:Dexi1A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARAEDAVSPADDDVAVAPVGDGLIHKVTGGGGGGRRSGGSGRVLQRSAYLATGDCDSPAPAASCSGDGIGKSNVSGKREDNHRMRQYRLQLEQEVKKLQRQLEEEVDLHVALADAVTQNAAPILKSSVKLPHKMQESCSVEQEGNEDEKLGTLSFEQSVLKITSMKGGNLWNDPNELSEEMVRCMRNIFLRLSESSKSLMLALNKFKITEDHKKYSIDEFEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVCTNDRGKLLIPKLVQSYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGVRSFTVLTFESKFRYLFLPDSSGSQKLEAKQSYKLPEPCSQ >Dexi2B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:2B:2425317:2425568:-1 gene:Dexi2B01G0002840 transcript:Dexi2B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYRAIVEYKKAREVVAIGSFFRHGDQPCGSRASSPSPTLFCNPASSSWCAASSPAAARASLVSPLLRSASRRHCAG >Dexi4B01G0023980.1:cds pep primary_assembly:Fonio_CM05836:4B:25183555:25185726:1 gene:Dexi4B01G0023980 transcript:Dexi4B01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTSSPAAAPFHDDAFLHFDGSAAAAGADGFPASPDPYAFRSDAPSPFGMPQANGGIHDDDPFAAPPADSDGGPILPPPTEMGREEGFLLREWRRQNAILLEEKEKNEKELRSQIILDAEEFKKAFVEKRKLNVETSKGQNRDREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGARKDKEKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAAAGKDGAPAAAGKDGAPATAVKDGAKPAAPANGSVPEMEKAAAAAPAPATEPIAAA >Dexi6A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:6A:10617565:10617945:-1 gene:Dexi6A01G0009260 transcript:Dexi6A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGFRGMVAMGFRGGRTWCRRRQDIMQPRYWGPPCTATLFPASLNGSRATTSRGNAMPHTGRAATTSWGSRRRRARPLAMTSRGRRAAGYGSRCGKMKTRLGETKRRSSPSVSQAWEAMSGREQR >Dexi5B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:5B:4020985:4021194:-1 gene:Dexi5B01G0005950 transcript:Dexi5B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCLLLVASSPAAEATEWLTYPKAMINCKVLGNCEKNAGPDATRPGKPANTYTRGCSAITRCRG >Dexi5A01G0019660.1:cds pep primary_assembly:Fonio_CM05836:5A:23565847:23569528:1 gene:Dexi5A01G0019660 transcript:Dexi5A01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSQADGAAAAVAVAVDGDDTGLARLRELGYKQELKRDLSYVPRFSSFFFLLFHSMVVGSLTTANDAGDRRRRVCSRVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATITYGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDYSLAQLIQVIILLATGGNNNGGYLASKYVVIAFHAGILLSHAVINSLPITVLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAEFVFTHFNTENDAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLSKDNDAGGYAIAQVFYQSFKSRYGSGVGGIICLGIVAVAIYFCGMSSITSNSSYPVTKGTLNYTPVAVGGLFFLVLSSWVLSARHWFKGPVTNLDG >Dexi1A01G0009250.1:cds pep primary_assembly:Fonio_CM05836:1A:7508271:7508757:-1 gene:Dexi1A01G0009250 transcript:Dexi1A01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGADKEAPKEEDNERRRQLLLVLATLVATLTYQAGLNPPGGIWDNEEDGHRPGHAVLKGRPHDARLKAFFYCNAMAFVASLVTLVILLDKKLLLWNYENYGFMGVTLLALLAAYAAGSSLETDTTIYVSSLVGTD >Dexi1B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:1B:24269103:24269907:1 gene:Dexi1B01G0017960 transcript:Dexi1B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPRSIVRLLGCATSLAPMRRLFHPFSYRASPLPRGCPPALARRGARVMASAPDPPVRRLPRYRLPVPTQKLAISPDKHFPATGGMGCMNNEGTRSPIKEFIACYGDGCFANGEQDGRRIADAIHDRDASWTTMEVTVTDGEQDLCEALTDREDGTREASADLSAGEVSDDQESCTKDISTCYPCNCVFNLMTLQSSSHRDGSIYTGCCHWQKYFRIADRNEGK >Dexi2A01G0024540.1:cds pep primary_assembly:Fonio_CM05836:2A:36285196:36292867:-1 gene:Dexi2A01G0024540 transcript:Dexi2A01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGPSLALLLLLVLVVAPWQVAAVGNATAVGGGGRPRVPAILVFGDSIVDTGNNNAVLTLTRSDFRPYGKDLNGGVPTGRFSNGRIPTDLLASRLGLKDLVPAYLGTDLTDGDLLTGVSFASAGTGYDPLTSTLVAVLPMQEELNMFAEYREKLAGVVGDEAAAGIVAESLFLVCAGSDDIANNYYLAPVRPLQYDISAYVDFLVQQACDFMKQLYQQGARRIAILGLPPIGCVPAQRTGPARDCDPARNLAARMFNSRLEAAMAGLQEELRCQRIGYVDIYDVLRDMITDPCKYGFDVSTRGCCGTGDFEVGLLCNQLTAPTCPDDRKYVFWDSFHPTERAYEIIVDYLFPRYVEKLL >Dexi7B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:7B:12189136:12190196:-1 gene:Dexi7B01G0005070 transcript:Dexi7B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMANFPVDPRPFVPLGFTLVPREVVREPSRLRSFLAFSLEKSNEDLAIVITEPRISTDDFWPFARELCDEEPIPVDGPTHGLPHPAPGWLGPVGMQPGRNANEEASAAGGNMHVAADDHGVPEDNEDIDVNDEMLTAADGHGVGANVVLVPEDKGSEPEDPIPLNSVSPFSGAAADFEASDHGGNELDIIPVEATAPVSTL >Dexi1A01G0026920.1:cds pep primary_assembly:Fonio_CM05836:1A:32786148:32788920:-1 gene:Dexi1A01G0026920 transcript:Dexi1A01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRIPAARAPATAPMAARSISDSTAALTIETSVPFTSHLVDPPSRDVTTTPAELMTFFRDMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRNDSIITAYRDHCTYLARGGDLISAFSELMGREAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYKKEDTATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATPAELKDIEKEIRKRVDDAIAKAKESSMPDTSELFTNVYKKGFGVEVSCQI >Dexi5B01G0000460.1:cds pep primary_assembly:Fonio_CM05836:5B:284332:287749:-1 gene:Dexi5B01G0000460 transcript:Dexi5B01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRYGWLLAGPGREPELFAELWRACAGPLVELPQTDERVFYFLQGHLEQLQEPTDPALLADQIKMFQVPNKILCKVVNVELKAETETDEMFAQITLQPDPDQVNLPTLPDPPLPETPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRLSQSQYIVSLNKYLEASKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVRYVQWDDAANFNGPERVSSWEIERFDASAPTLNIPVQPSTKNKRPREAAENLDIQALEPTEEFWFSGMPEQHEKTGTEPNCISGHQLSWTSERAGYSAISSSVCQNSVGLGNWFKDFNTSSKGVSPSLSEISQKLFQVQMHGNAVGRAVDLAYLDGYPQLISELEDMFEIKGLSSKEKWKAAFTNDENETMEVGDVPWLEFCLMVRKIVIHPIEDESNMDPCLEQDVKTSF >Dexi9A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:9A:9959731:9962096:1 gene:Dexi9A01G0014990 transcript:Dexi9A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMKFGATYEEYLRVEQDKYLGQCSRVEYKRLKKYLSCSVCDEMFFTELNKEASEIAGCFRSRVQRLLHLHVPSGIQRYIWRFRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDDSDTDELGAGGFFKNGFFKNFSCDLTGAQPLLTMTISETLKYEYSLTCPICLETLFNPYALSCGHLFCKACACGAASVYIFQGVKSAPLDAKCPVGVFGRAVHMTELELLLKRRDKDYWRQRLHEERTTMVKQSKEYWDSQAMLSLGI >Dexi2A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:2A:31645064:31647373:-1 gene:Dexi2A01G0019460 transcript:Dexi2A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSASTASPSPPPFFAPPHFPLFHPGAPHHHHHHPFVGGDDVVAAHAGMGVAFPHPQWREAPPPVATPLLALGAPPPPGGPSPLPPSTVEGGGGQRASTATTTAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGLPGRANKKPAPVAVGFNNSGGSTTSSSSLTIAEEPSPPPQHRADKSGGEAGSSGTAPTMLFGVHLNAVPCGAGAKRPASPEEKGPATSPARKPRLSMEGADLSLTVATPPSAASSPASTS >Dexi5A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:5A:1506389:1510713:-1 gene:Dexi5A01G0002150 transcript:Dexi5A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAILSVEISDIESLVVAPISKASARQRAGRAGRVRPGKLYTEEYYLNEMQSEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLFSLGILDEDAKLTVPIGFQVAEIPLSVWVSVRGVKKEFDEAKLRFAAAEEP >Dexi9A01G0048890.1:cds pep primary_assembly:Fonio_CM05836:9A:51618319:51622249:-1 gene:Dexi9A01G0048890 transcript:Dexi9A01G0048890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGAVHQPIEQPQWMASSVDRSPTLDLSSDVRGDDFESSSFSFPTEAPMESMHGGFGMQMNTGHEDGKWMQFLSEDAFNATTNPFFMNAASSSFSCLPSKVDVALECARLQHRLSLPPLEVEDFPQDVSLDTKTSILRSNPNEVDILQEFLSVASASQELINGTTSSYPPEMWTGAGTSGAASTHYYMNELSSLVELGVKAKEESDNFYHMGCIGTSAGFASKAVHVDEPVRLVGIAEMEDELKEEKKQVENLRGVRLHNNDLGEIVVEGDESNPAECITQYPISDTADDSGEAGHLTDPTDAGGLDTAPIFSQSQPDDFAIGFDDVDPNTSFDLYEKVDVKHGLFISRVGAAKTFFHRVEPSKKVSFHLNPLASDVSKAIEKFHFPISATTKVISGRVSIFSKFKALIRDKFLMTKASSYQRSLGSKETAAVSELLQIVSSLLLTPMEVTGPTTTEQELVKNKAKKVMKPGSGCEGSDAWLVPLSKRSKDISSMFFSGKWTFLTSALAIRTPGCNH >Dexi1B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:1B:7022467:7023734:-1 gene:Dexi1B01G0008400 transcript:Dexi1B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSGTTVTGASSPPHFVLVPMMAAGHAGPMLDMARALASRGALVTFVTTPLNLPRLGRTSSDDALPIRFPCAEAGLPEGCESLDALLGLIFLGRFNDACAMLSAPMTIDAPSRSPGNFTGPGMKEFGEEIMAESARADGLVVNSFAELEPMFVDAYETAIGKKLWTIGPLFLMSPVVSTATAEQDTTAIRCASWLDSKEHRSVVFVSFGSLVRSSLPQLVEIAHGLERSNRPFIWAVKPGNLAEFKQWLSDDGFESRVGERGLVVTGWAPQKAILSHPATGAFVTHCGWNSVLECVAAGLPMVTWPHFAEQFMNEKLVADVLRVGVPVGVKDAAQWGVGSEAVVATREDVERAVAAVMDGGEEGASRRARAIELGRRAREAVARSGSSCRNVELLMEHVTQKKSMA >Dexi3B01G0023440.1:cds pep primary_assembly:Fonio_CM05836:3B:18204717:18206972:-1 gene:Dexi3B01G0023440 transcript:Dexi3B01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRRSLPGGWPAEEDDGGDAAAAAAVVLSGEYQALEMSTMVSALAHVVAGHDNDGYPQPYAGGRGDPTAAMAAGGYGHGHAAQQWGSYSSAAAPTPGDFFAAGEEQVGHHQRPAAAAMEEHSSPTATAAQQATAGGRRYRGVRQRPWGKWAAEIRDPHRATRMWLGTFETAEAAARAYDAAALRFRGSRAKLNFPEDARLPSSTTAVVAAPATAAPSSTAAASSYPASSAAEYVQYQMLLGASSGGGHGGGFPHHQYYGSGGGDMRTSSGSYSFPAASSVTVASVPPSSADPVYYGEAAAQWTSGGTGLPEGAWSYPATTGSWSGSSYYPPSTRPQ >Dexi4A01G0003890.1:cds pep primary_assembly:Fonio_CM05836:4A:2792027:2792625:-1 gene:Dexi4A01G0003890 transcript:Dexi4A01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >Dexi9B01G0035420.1:cds pep primary_assembly:Fonio_CM05836:9B:37096233:37098173:-1 gene:Dexi9B01G0035420 transcript:Dexi9B01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNRHSECSSDSEGDNDDDDNQLRDRDVELREELEFCNGRLRRKAVSSNFQDDVDDEGSDKDDSDNEDSGDEEFSGGSASSYDSGEASDSAK >Dexi1A01G0016020.1:cds pep primary_assembly:Fonio_CM05836:1A:23014259:23016604:-1 gene:Dexi1A01G0016020 transcript:Dexi1A01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Dexi8A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:8A:442315:443795:1 gene:Dexi8A01G0000670 transcript:Dexi8A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTSAATPPGPSSAIKRQRRAAAAPLSDVTNLLLLPETPTPIKPARTRRATLPTPSAASSTCSSTASVTPTPKSSSAADPDKDRSVLKSPISTVYARRGTTETQGRRRNPATTTTNKGKGKEPVAAAGTASCPPLGRATRKTTRKDSIAQDTRTISASAPCHGAKKKRPPPSTPKLPEDFVKKQRAYFAEIDAFDLPVEEVSESELE >Dexi1A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:1A:4442200:4443986:1 gene:Dexi1A01G0005950 transcript:Dexi1A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAPKPTASPSSPPLLWDWGDHSAAGSGSSGDAPARRGGKEREGKRAKGEDGGGAEVRCQVEGCGLELGTAKEYHRKHRVCEAHTKCPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQPDAFPFASARLPSSLFDDRRQISFVWNKAPLSHVRPFASPWDSSSDFKLPHAKEIRELSAKVGTITGQVHLDKSHMSSAIPTLCHGKDELLPMKGLIFQTLFLRTTEMCSSMKKLLFALLSGSPHLFTKENISGLV >Dexi2A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:2A:27790854:27793864:-1 gene:Dexi2A01G0016200 transcript:Dexi2A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRVLKLSLLRRLRAVAEQPQPWRPRVLPARGYHSRGYSSGGSSKYNRPMRQFSEQNESSPRPLIYYIAPSALLCFAGLAAFVHYNDERRAVPLAKGGGETSVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSCPDVGPAEVQKMADAIKLLESKYGIKITPLFITIDPQRDSPAQLKAYLSEFDPRIVGLTGPISAVRQIAQEYRVFFKRVEEVGQDYLVESSHNMYLLDPCLETVRCFGAEYEASDLAEAITMEVKKASASSTN >Dexi7A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:7A:30228883:30229513:-1 gene:Dexi7A01G0021690 transcript:Dexi7A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLWKKQGADVVVAVGGETYKAHEWLLAARLLVMEAEVLVAVKEKVPGGGGMVVEEDRDAAVAMVQALLAAAQRSKLERLKTMGEEMLWRRIDGQ >Dexi8B01G0001330.1:cds pep primary_assembly:Fonio_CM05836:8B:903530:905362:1 gene:Dexi8B01G0001330 transcript:Dexi8B01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYARLRAAAASASASGAGSSSPLLILPSAADADSLCAVRALAHVLSADSIRFSIYPVASAAAARDLLASFSSSSCLLLVNWGAHRDLRGILPPAATAFVVDSHRPVHLHNLCARNDRVVVLFTADDEQTADLSYDFDLSALADASDLDAEGDADDHLRVTGDASDSDASDSDSDADDDGGGGRRKRRRLSDDAEAEGGDPVRLFAKLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDLSGVGSAVTLKDGTKIRAPETSCIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNRLCHPQALTKFCFFLMDALKERGARVKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >Dexi7A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:7A:15868552:15870906:-1 gene:Dexi7A01G0004870 transcript:Dexi7A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRDQLNVGNDITNNANNTRRRKELQHEEKPKSQEDNFSEGENPTHIIMKKRRRYIEINEDEDDNVDESPEEHNNCWSKPIDEPFWSGLLQVGNKDYIPLSGHLSTKSCEKVHNLSKSLTRVIEVTKLPRLKVWPKRWEGSSPINDNIGLYFFPHKMRFDKYHDQLLKEVMENDLALRSIVDGVEMLMFPSTLLPKQFQTFQMKHYLWGVFKAREVEGKDDEPDHTTEATTFAANATTTAISTDAAHVACGSTRAVTDAPSIPNDDATNAPTEPNSSSIGATPSRMLAFVVKQTPRLEQLIREMQREGALVMQGEMINTIGSWPGLATMTQCGSDMSGSPGVHPRDQVSPQLDKRAPSQHGGGCYKQQAF >Dexi5B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:5B:1319391:1321715:1 gene:Dexi5B01G0002060 transcript:Dexi5B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEMSFQSGGWGAALTDRFARQADVVLRGLSGYNTRWALKVLPRAMEGAADADPAAITVFFGANDASLPDQVSAHQNVPLEEYQSNLRAICGYFKERWPSTAIILITPPPIYEPARIRDIHGVDDPSRQAERTNEAAGSYAQACISVAKELGHPVIDIWTKMQEFPDWQTSALSDGLHFTPTGNQILFHEVVKTLASIGFSQESLPSDLPRYREIDPKDPMKAFEV >Dexi9B01G0025860.1:cds pep primary_assembly:Fonio_CM05836:9B:27287457:27291930:1 gene:Dexi9B01G0025860 transcript:Dexi9B01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGHGGGQMRGNPDSRSRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAQFVSQFADPGDPEYAPPVPKCETRAEKKARIRDNKLEQAAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEQKIKREFEAYGPIKRVRLVTEKNTSKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMVGADTDKKESAREQQHGGRPRSEEPRRDDRRVDRDREKSRERARERDRDERVRERSHDRTRDRDSREEKHHHRDRERTRDRDRGKDREREHGRDRDRRDRDRDRGRDYDREKDRARSHDRHRERGRDRGERDYERSSHDRERGHMHERDADYGNGGPKHDKNLSNYGQDYGYGQYEQHKGHETYGYGQDGRGHETEHSKRHGHEYYRNDSYSKMETNYQGQPNNAEPEGPEEGEAYEEGDYQYHRAGDHMNEA >Dexi4B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:4B:4834040:4834487:-1 gene:Dexi4B01G0006920 transcript:Dexi4B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARAARTYYSAGHVLAAAGAVLAAVFLLAAGGGAVGDDDGAPARTPVHVGVILDLTTGLGKKSLLSLEMALEDLYAAHPSFATRVVLHVRDSDRDVVTAASAGFGMCSFPIP >Dexi9B01G0046250.1:cds pep primary_assembly:Fonio_CM05836:9B:45539990:45540664:1 gene:Dexi9B01G0046250 transcript:Dexi9B01G0046250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRPELCGGKLSILVEPPRCLCRRHARSPPRGLKRRHHAGRGILVAALAVAAPPVTWPSPRLEGHCHAASSSPRPPPPPAKPCVFDGFSSGFAPTRITLPTTVCSGLVAVWQPVLANRREKALEWMQWARASIKSKARAAGEYTALRTRQGITMFGEPSLGPLVKPAAANEESQYIDRGGLES >Dexi7A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:7A:11051239:11060102:1 gene:Dexi7A01G0002580 transcript:Dexi7A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLMSPPVVKTEAAEAGGPPLLRTPAAAASGGGDGMASAAPEAVIDLSSSDSDSDGEAAGGGSGKRARGAGGYGSAGKRARVSPAVDLPPGFLEPIPPPQPVAPAAGATKQFWKAGDYDGKPLGDGVPQPSGMLSFSGYLFSHQFLISASGMDHVRVHPRFLHSNATSHKWALGALAELLDNALDEVINGATYVNIDMLENDKDSDKEKSRMLLVEDDGGGMDPDKMRQCMSLGYSVKSKVPSTIGQYGNGFKTSTMRLGADVLVFSRSRAKSGKRPTQSIGMLSYTFLRSTGKEDIIVPMFSFMKEQGTRIIIYNLWEDDQGDLELDFDADVHDIQLRGGNRDEKNIQMAMQFPNSKHFLTYRHSLRSYASILYLRLPNYFQMNLRGKEIEHHNIVTDMMLKKEVTYRPVAPNGRPKDSNVNDNDLKKLISYSDDVLETHWSSFLVQMVADVTIGFVKDAKHHIDVQGFNVYHKNRLIKVLFPFPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWFVCYRLSSCILSGNAHRIGYVAPRPGRNSEAGEGVL >Dexi4A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:4A:19580962:19585651:1 gene:Dexi4A01G0016060 transcript:Dexi4A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLVAAAAGDEPTPNRDLGTFHDFQVARCGAAAAYGLRGSASTSASAAPTCEGVTMAAQGSLVAWRAVFAALGVLMVATLVYTCSTDGSPFRLDLLTPWMVATLADFYVNVIAISAWVIYKEANWISSAVWVLLLVCFGSAATCAYIVKKLFEVIPAGSAQDPLDLLFIRQGNLSQKKCSYVIVGRIIFSILGIFMASVVIYTVITDGLPFRKELLTPWMAATLIDFYINVFAISVWVAHKESSWISTTIWIVLLICFGSRSNAQLLVCAC >Dexi3A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:3A:4388726:4389956:1 gene:Dexi3A01G0006640 transcript:Dexi3A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >Dexi9A01G0014970.1:cds pep primary_assembly:Fonio_CM05836:9A:9901188:9902469:-1 gene:Dexi9A01G0014970 transcript:Dexi9A01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTTSRDWANLPSELLEDIAGRLLNDDIWEYLRFRSACKPWRKCTDDPRLLGGGLDPRFRPHNWIAVPHCAFPSRRRLINIRTGARAEVNLPELSTLSCFGIVDGLLVLCAHNQATGGAVRLLNPLTGALAHFPAFTDVRDTRPTTAATLNAFSKGLPTREEMRALIMSTPKVEVPSDPSAINGVAIDDSTSPATLVLALRHPVHRIICAKPGDQHWVAVHFGEQDEPEYNNDGKIVFHTLLSFRGHCYVATHRGDVMRVDLQGPPRLVYLSREMVPSSGSSSYAYLVRSQDHRMLMVRYLSHVDLAEDSYQRSEIFTLKDGVHCRVEVFEVDVVGRRLIPLNGVGKYAVFVGRTYSIMLPSDKFPKLAPDAVYFNFFRQQWNHLGIYHFKDRRISPPR >Dexi2B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:2B:28460944:28462458:1 gene:Dexi2B01G0018080 transcript:Dexi2B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAILVVLLPPLLLLSAACGAAEAAELPRGGFGFVATLRHVDANAGYTKTQLLSRAILRSRARVATLQSLATLAPGDAITAARILVRASDGEYLMDMAIGTPPKFYSAILDTGSDLIWTQCAPCLLCVDQPTPYFDPTQSSTYRALGCSSSGCNALYYPLCYQKTCVYQYFYGDSANTAGVLANETFTFGTNTTRVTVPSIAFGCGNLNAGSLANGSGMVGFGRGSLSLVSQLGSPRFSYCLTSFLSPVPSRLYFGAYATLNSTNATTSGPVQSTPFVVNPALPTMYFLNMTGISVGGYRLPIDPAVFAINDTDGTGGTIIDSGTTITYLAEPAYSVVRAAFVSQIKLPLLNVTDDTAVLDTCFKWPPPPRRSLTLPQVNMVVFSTFGD >Dexi5A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:5A:6250635:6252796:1 gene:Dexi5A01G0008400 transcript:Dexi5A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFARHFLSHLRPQAPWPQSVPPLAMGTVTRCVGPSSSPLLAPQGSRYFADNRSQYDLFGKRRPGDEEFRKAWEEDVDEEDCLWTASEEDDEEEESDTKLEREIKKVKRQAKENANLIDADDSDELRSICPESDEDDMTLWSGSEDDDDDDIPSEAHPSERSDSYIDKVFEFDESPKYRTISELLKAENEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSRLVSRKKWQKAKARKRKNDRR >Dexi6A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:6A:198941:201132:-1 gene:Dexi6A01G0000290 transcript:Dexi6A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRVGEEPQDLDEGSGESSQAPREAHPNLDEVQRRICERLRPTRRHDQTLADPSFQGRLARHLQRLPRRYLFDLDVEGKAEDFLLHWKILQDCADPDKRPVFHARYLKSKPGRPDRDGAAGDNQQSEEPCERSMEDLSLEKRKTVDGNDSMSISSRGDLKTVLLHEIIFSSIDRPKLLSRLTALLSEVGLNIQEAHVYSTKDGYCLDVFVVDGWDTEETDDLIAKIKETLIQKNASPSNSTNSSTSEKISELQQKVGGSEIDLSMLTMGEKIASGSSAVLYRGTYNGLDVAIKSLKIANHNNPSEIEFLQEVLILRQVVTGHNN >Dexi9A01G0035250.1:cds pep primary_assembly:Fonio_CM05836:9A:39863394:39866308:1 gene:Dexi9A01G0035250 transcript:Dexi9A01G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKSFTGFTKLCKGLAVVLFLAHILVQLFPSAVGYLALIPGRTIPFAWNLVTAGYIEQTIPGVIVSIIGLLLFGKVLEPLWGAKELLKFIFIVNLSTSACVFVTAIVLYYITKEESYLYTPLSGFYGVLSGLLVGIKQILPDQELNLFVFKISAKWIPSIVAFVSVAVSLFLKESMAYLPIILFGIYVSWVYLRYFQRRLEVGLKGDPSDEFSFSSFFPGFLRSVLNPIGSIFHKLFCGRSARSEGTGQTLDGSQIPASGTIEANRRRERGQRALEQRLAEKLAAVRNVEGTAPPLPQQQQQLEDDASDKV >Dexi3B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:3B:9638300:9640806:1 gene:Dexi3B01G0013500 transcript:Dexi3B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASRRGGAAEETRIGTGNVFAALETLKKKKKKPAADKGAKPAEEPKPEIVWAPAPLTAKSWADVEDDDDDDYFATTAPPPRPVWGNNNNHLDAAAKGKRDAPALEEYDCLGGVMYKRFSQWVIGKKGADQVTDGEKKDDALAPPECKTSKKKKSKKDKSSKESKEAQDQGNGNGSKEAAGAEPDEDTASVDVKERIKKVASMKKKKSSKEMDGAAKIAASEAAARNARLAAAKKKEKSHYNQQPMR >Dexi6B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:6B:1457277:1457723:-1 gene:Dexi6B01G0001660 transcript:Dexi6B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDLTEDQIASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTSPFDFPRFLELMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >Dexi9A01G0045860.1:cds pep primary_assembly:Fonio_CM05836:9A:49355707:49359985:1 gene:Dexi9A01G0045860 transcript:Dexi9A01G0045860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAACAAVLLWASVAQLVAVGRLLLLFGVAGDAHPSPPPSVLPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVQIIKQLPESLGARDSDIILQMPPVSWSDEKYYLHQILPLFNKYSAIHFNKTDARLANNGTNLFFLIRYAYPWWRDKEIDSQAKRSQGLCPLTPEETSLVLKALGFQKDALIYIAAGEIYGGDRRLEPLRAAFPKLVRKEMLLDSEVLRQFQNHSSQMAALDFIVSTASDVFIPTFDGNMAKLVEGHRRFLGFRRSVVLDRQKLVELLDLYTNKTISWDNFASSVREAHKSRVAQPSCRRKLENRPKEEDYFYANPHECLANSSLCS >Dexi1A01G0029660.1:cds pep primary_assembly:Fonio_CM05836:1A:35146438:35152118:1 gene:Dexi1A01G0029660 transcript:Dexi1A01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLQAATAQQQVVVPVGVILDQASPIGERRKVGIEMALEDYYAAHPGSRTKLSPRFRDSGGSVVGAASAAVDLIKNEQVQAIIGPTTSAEAEFVAYLGNTTHVPILSSSATSPEVSPSQTPFFVRTAANDSFQAAPISAVLAAFRWHAAVVVYEDSPYGSGILTALSDELQVVSGTRLMERAAVPVDAGDDHIDEVLYGLMAMPTRVFVVHMSPPLATRFFRRARIAGMMSSEDYAWIATDGVGAVVDGLSSDDVDAMEGVVSLRPYVQVTEQVRNFSARFRERLRRVDPSADFYTHDPTVSMLWSYDTAWAVAAATEASATGGVSSSPAFQTPPQTRTTGSTDLDRLGVSATGAALLEAVQNTSFLGLAGNFTLVDGQLQLAAYEIVNVVGKGTRPVGFWTPEAGITKAPGGGAKGLKAIIWPGDSKYSPRGWVVSPNRKKLRVAVPVKGGFKEFVNVANDSTAGGQKPNVTGYCIEVFDAVMSRMPYPVSYEYVPIPNSSDSYDKFVSLIPEQKADIVVGDVTITASRMASVDFSMPFTDSGWSMVVAVRAETSTSMWIFLRPLTTSLWLASLAFFCFTGFVVWTIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELQRSGAYIGYQEGSFIRDSLLKIGFDEAKMRSYSTAEEYADALSRGPANGGVAAMFDEIPYLKIFLSQYCDGYAMAGPIYKTDGFGFVFPMGSPLTPDVSRAVLALAEGDEMALIEKKWFGEPGACPSQQAAGGGAAVGSSSLGFQSFGGLFLITGVVSGLMLVIYLATFVYRERGEVRYDVEEGVGGNSGGSSMRRLRAWLRHFDQKDLKSPTFKTWNEESVRDGSQTRRWVDDTVRNGRRGGGENSAVMPAASEEEAVIGMSPFSISASSEMINAGSSPASKLGTSSFEQRMEEAPHSAETPHLEVPRSTAS >Dexi1A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:1A:1351568:1351862:-1 gene:Dexi1A01G0002070 transcript:Dexi1A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTRGSRWGSPPAAPGRRWYGPMARLLGSASAKTAEISGGSCSSADAAADTSVVSSGWMRSASSGVGDGAADERWVTGAIGRG >Dexi6B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:6B:2270768:2277192:1 gene:Dexi6B01G0002610 transcript:Dexi6B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAIAGEDGVVLGVDGGTTNTVCVCLPAAMPPPESPAAVPILARAVAGCSNRNSVGESTALETLEQVMTQALTMANTDRSAVRAVCLAVSGVNHPSDQQRMLEWIRSLFPGNAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGRAVLVGIILMGMVDPRSEPGYGIAAQALTAVIKAHDGRGPQTSLTGDILEKLELSSPDEIIGWTYADPSWARLAALVPVVVSSAEDGDEVANKILHDSVQELADTVIAVVRRLRLCGEDEKDKFPLVLVGGVLEGNKKWDISGEVIKCISKVFPGTVPIWPEVEPAIGAALLAWSHHRKGLKLENGS >Dexi8B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:8B:19126069:19126389:1 gene:Dexi8B01G0010510 transcript:Dexi8B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARRRAWVVDVEKTLVDADASVEVSRWQRHSIYRVPACIKDLKPKAYKPQVLSLGPFHHGDPELVSMEERKCRALRHLLRRSKKPLEEFATAVEEVADELASA >Dexi6A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:6A:16060010:16071164:1 gene:Dexi6A01G0011300 transcript:Dexi6A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRCFFPGSAIGSGFVRPSSIRAVAAPSREAEPAASLGHRTRVDFPILHQEIDGAKLVYFDNGATSQKPNSVLKTLDEYYRSYNSNVHRGIHALSAKATDAYEDARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSAILPWQFVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVVHHVSNVLGSMLPIEDIVTWSKRVGARVLVDACQSVPHMPVDVQELGADFLVASSHKMCGPTGIGFLHGTFEMLSSMEPFLGGGEMIVDVFNDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSCIGMDQIHQYEKELATYLYESLQSVPNVRIYGPAPSQTDHRAPLCSFNVGNIHPRDIAELIDLQVC >Dexi7A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:7A:30079730:30081094:-1 gene:Dexi7A01G0021490 transcript:Dexi7A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVVVPSSIWEEGPVALATKAGGPFSRVGGLGLIKRALLWCLGFGLFIFASQTCKFPGSDVTHCRTYGAQSVVPQPDGLSFPKASETSRQLGRGQTSPGAIRFPRLAPPFSVLASRLVLLDQCHSQ >Dexi4A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:4A:19179307:19181025:-1 gene:Dexi4A01G0015800 transcript:Dexi4A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSDYGDFHKMVKRFVMTSMLGTSGQALGEDVSSVYVEEFGKVISKEEIYQARVADMMMCAIEVDWRDFFPYLSWVPNRSFETRLLTAEVTEDHLPQLPYLNAVFHETLRRHSPVPLVPPRFVHENTNLGGYEVPAGTEIIINLYGCNMNKNEWDEPEEWKPERFLGGRFDTVDMYKTMAFGAGRRICAGSMQAINISCTAIARFVQDFAWRLKEGDEDKADTDTIQLTTNRLYPLHVYLTPRRRK >Dexi3B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:3B:7370348:7373320:1 gene:Dexi3B01G0010500 transcript:Dexi3B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSACQGLLLMALVAAVLSTASGTLQYDFYSYSCPRAEEAVRNATVKIISEDRTMGAALVRLFFHDCFGCDASILLDESNSNPQPEKLAIPLRGYDADSL >Dexi1B01G0022210.1:cds pep primary_assembly:Fonio_CM05836:1B:27973130:27974196:-1 gene:Dexi1B01G0022210 transcript:Dexi1B01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGSIKTSSPYLPALQNLRNPRKPRAYPAALPRFQRRPPASVRRGAVVVVACAPGSEQRSSSPVAAAEARPGTGTLSVEFRTREGCRLGIARYPDFAYDAQGGRGVGAGSGEGGAALAVDFDVATLYIPPMSGATTRFLGLPLPPFLKIDILPEALGGTIDRATGQVDLKFRSRFYFSVGSVYKAPPLFVDTTLTSEESRGAIRSGTGERMDDQGRCKLVGVAVVDPIDDLFMNTFLSLPTECIAYLNATISIDTSR >DexiUA01G0005770.1:cds pep primary_assembly:Fonio_CM05836:UA:10498599:10500681:1 gene:DexiUA01G0005770 transcript:DexiUA01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLTRPRAPALRLLSARVRHLHTSVDVVAAAACKFTPNRVSAHQEPMSIGKEDMKSGDEKSVLACVPIAMAGTRESVQPSHPLYPLPSSSSRDGAASAARLLCRLLHCHRVRPPPTPACLRRRRPSASFFARPCLLLRRLLPPPPPTPASSGRVRCGSILPGKADSCGVKEGGGAMRLEKCWFCSSTIYPGHGIQFVRNDAKVSSFVG >Dexi2B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:2B:25811516:25813110:1 gene:Dexi2B01G0015720 transcript:Dexi2B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVKGWHMSKSRRRSRLFWSELETQRREQRAGMTEEEPRPQPWWLRIDHGSSSANSNTDTSETGSSMEEDGGSISVEVEPYAARARARMGIANGGGIARGVDGGAGSRGGGAAGRGGVRLPQVVQEKFGEAKGILEHNRTLIQEISQNKEADDAAALARNVALIRELNNNIANAVDLYGNLSGRFARVVAAKKAADAAKKAGDRGGPSRPRSTGAGQ >Dexi8A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:8A:22238140:22239042:-1 gene:Dexi8A01G0012630 transcript:Dexi8A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSPSPSGRRLSELLEEKQEPFFLDLHLLEKGCSSSRLLDGYDTALCWPAVSVSGNDAASAVLKRLTSRKNKTSKKQQPSASGGLLKLLLSKILRGRSAAPPRKPAALQFSDSFKIAAVAPAPPPCAAVKTAGGEAKVEQDKDSCCYSDGEYYSDEEKQQLSPVSVLEHPFESNKMSPSKNNAMDVFRELLDAAYSPALLTQLLAKTNDLVAGDEDDDYYYRTSPKNCREDESAAAYWDTHRAELARVSELVASEVPASKLAAGDVGPERQDVGAEVEAAVFDALLMELVVELGNGCC >DexiUA01G0009930.1:cds pep primary_assembly:Fonio_CM05836:UA:19482483:19485536:-1 gene:DexiUA01G0009930 transcript:DexiUA01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVKKQLCLAGPLVAGFLLQYAVQMVSVMFVGHLGELALAGAAVAASFAAATGFGLLLGMVSGLDTLCGQAFGAGEHRQLGVHKQRAMLVLSLVSVPVAALWACAGKVLALCGQDPEIAAAAGSYIRWLIPALFAFGPLQCHVRFLQAQNLVVPVMLSSGAAALGHPAVCWLLVRRLGFGFRGAALANGVSYVANLLFLALYVRFSPSCKTTWQGFSREALRGIAGFLKLAMPSALMMCIEGWSFELLLLLSGLLPHPKLETAGLSICFSISAIAFMVSSGLGVAISNDMEVIKYTRRMLPLVAASIMLDCQQTALSGIDGEG >Dexi2A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:2A:27280763:27285345:1 gene:Dexi2A01G0015850 transcript:Dexi2A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSLYSPGPHLAARPTSFRGACACAQQQVGRWARRVSCSLSMNGCAAPGACAGDRGAAAAVCVREARALPPAPSPGDAVGQLRAAVDALAAPPAPSGIIRIEVPIRQRVDAVEWLHAQTTALMPRCFFSARAPLPDTPAALTLAGVGSNGNGGLLSDQWKQPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLSKDCPMIRAYGAIRFDATSDVSVEWEGYGSFYFIVPQVEFNELEESSVLATTIAWDESLSWTWQNAVNDAQALLQKISPCSVEVNKSSLNTTIMSLNHVPTKASWDLAVTKALQMIKGRQRELVKMICDEVVVHPSKVLRKLPRVQHLSAQLAARIRNEDDEFEILNTLHPSPAVCGLPTEESRRFIRDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQFAKLLQYQGQHIRYQEAENMGTVIQIEK >Dexi3B01G0027020.1:cds pep primary_assembly:Fonio_CM05836:3B:22461963:22463948:1 gene:Dexi3B01G0027020 transcript:Dexi3B01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMRIDTRPALTDISGGGFFIRRVASPGAALVKGAVKPLAGQAPTPSSNKENVPPVGALRTAPKRRSPLPEWYPRTPLRDITSIVKAMERRSRLQDAVSRQQTPWTEDSSRSVDSITPVQAESMQTTEEAQVVATPATFLANSNLKTSSPSDCCLQATPYKPNDPDLSDLMKKLSNSIEQIEKMVRQNLKKPHKAAQPSGRAVQRRILMSMR >Dexi1B01G0024130.1:cds pep primary_assembly:Fonio_CM05836:1B:29565875:29568286:-1 gene:Dexi1B01G0024130 transcript:Dexi1B01G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYPLTKAREGRGGNEALDIPYCYHQRRPECRSEDRVGRKRRMGQPSYFARWIDRLITMLELASNSSPLLPDAEAGLSRRRAGRTTKQQTGRRQAESEKVRGGGGGGGREGWEVGFGGAG >Dexi3A01G0013650.1:cds pep primary_assembly:Fonio_CM05836:3A:9954039:9954287:1 gene:Dexi3A01G0013650 transcript:Dexi3A01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKKGRSQHKASAEHRSDRKSATGMSGDPKKGGRGGKFTWEGADGYADEDLDLLSNKNNGAPRAGKGGANAVKKDDGNDE >Dexi4A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:4A:19721456:19723119:-1 gene:Dexi4A01G0016200 transcript:Dexi4A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAAAANGARSIANGVPATSNDAATNGVPAMSNAAATNGSSAMSNAAATTAVHHVITNGVGNGVPVSGSGRDHVVIFPFMAKGHMLPLLHFATALTAHHENLHVTLVTTPGNVPFARTHLPASASIVTLPFPSHPSLPAGVESTDALPCHSLHLPFLHATALLRAPFAEYLSSLRPPPLALVSDFFLGFTRSAAADAGVPRVVFNGMSCFASAICKALAAAPPASGFGAGAQLIHVPAMPGHVVVRAEEVPDGVTKRPDPDNPFTRFFVDEIGDSDVRSWGVLVNSFAAVDEDYVPGLESFYEPGSRAWLVGPLFLAAASDDMSPEGKKKEEHDPEGCLSWLDERAPASVVYVSFGTQAHVTDAQLDELVHGLVRSGHPFLWAVRSDTWSPPVDVAVGPNGRIVRWWVPQRSVLAHEAVGGFVSHCGWNSVMESLAAGKPVLAWPMIAEQHLNARHVANILGAGVRIAVKGGVGSDGVVGRAHVEEKVRELMDADSKAARRMRERAAWAGQEAKAAVSRGGTSAMALKDLVEELQRTYGNVVGNKAD >Dexi6A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:6A:28648717:28650594:1 gene:Dexi6A01G0021400 transcript:Dexi6A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLCSSSQQSAAADRCKEDEGLSSNSSSLIMKGVRHLSDSGITRLPDSYVLPAHDRPAAGGLVASTGRVKLPVIDLATLHDPSRRAAALETLDAACRSYGFFQVVNHGVDGDVIGGMLDVARRFFELPLAERARYMSSDVRAAVRYGTSFNQAKDAVLCWRDFLKLVCHEPLQEAWPQEPADLRDVASTFAAATHGLFMEIMEAALQALHLGGGGNGGILEELAVGSSHMMTINCYPACPQPELTLGMPPHSDYGLFSLVLQDHVEGLQVMHDARWLTVDPIPGSFVVNVGDHLEIYSNGRYKSVLHRVRVNSTRPRISVASFHSLPAERVVGPATELLVAGGEPP >Dexi5A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:5A:4865127:4868740:1 gene:Dexi5A01G0006610 transcript:Dexi5A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATGKGRAGVTEEAKAEWWLRFNNGAPADDGDVADSGDEAGEEGQEGSSSKAEMVARGGRNAEAGAASAGDETRSSPRFAHRAAFDEEIAGGDYVQPGELPRRKRKAIAKRIKPKVGVEVQNDMVQAQSPCAVLKGPGKVCDENQNLRLQLALKTNELKQEENRRLKLELILKAKEMESLEKQNEELKAENEQLRKNVSFLLHQQFCLVKPPRVERLCRSCEKYVMHDSRNCPEKRQPPSSPEEENGEDSY >Dexi5B01G0007970.1:cds pep primary_assembly:Fonio_CM05836:5B:5382721:5383861:-1 gene:Dexi5B01G0007970 transcript:Dexi5B01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLLLLLAFLASLQQLSTTINATTIDSDCPPATCGNLTITYPFSLVGQVTSSCGPPAFQLTCNNSGAGAYLGSSYIRVLDIDYGNRSLVAVHVLLAADAACTIMFNVSSAFAITDRFTISASNRELYVMSKCGGTLPPAGAVPVTNCSGNSSHAFAYLGGGYGTGSPPANGGHCELAVFPVLGSEAEGATAASYRRLIRSGFLLEWEPVGDCNACTASGGRCRYVANTTEFARKNSDHVSGETTLPTDQMKKKY >DexiUA01G0014100.1:cds pep primary_assembly:Fonio_CM05836:UA:29969166:29970773:1 gene:DexiUA01G0014100 transcript:DexiUA01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFIVGISALQAAIPLRYAPTRPPLALSPSSLSINGASRRIVPLSRAQASHRTPLTLHSLARPTSSAPRAFPHLLLPRAARTDPSFSEKFAFHTPPFPNSTRTSSPYSEPSPRSTESAIGFLELHWCSRTPRTSANDPELTGVEAAAAAPPPPRRRRNPDHPRPPNRSQTTRGEPRILFPHFPVPSSPSSQGPNFIFFVCLGVSVQKSRDLFVKF >Dexi9A01G0037660.1:cds pep primary_assembly:Fonio_CM05836:9A:41968283:41970353:-1 gene:Dexi9A01G0037660 transcript:Dexi9A01G0037660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPPIFLRILLFLAAAAAVSSAPRREAFRRDPGHPHWHHGAFHDVEESIRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHKLEEFLKMGFPLHRPSCFETGEAIDIEHHIMYNVIAAGQPELISLEKSLKEAMQPAGTARDNEYGRELPLFEVEATTVEPIFQRLYSFIFDMEPGHPADEMDRPVPVAIFVVNFDKVRMDPRNKENNLDDLMYGTIGRLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGR >Dexi1B01G0030070.1:cds pep primary_assembly:Fonio_CM05836:1B:34161646:34162767:1 gene:Dexi1B01G0030070 transcript:Dexi1B01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGTCEVARLPEEILSALLALTTPRDACRAAAADSDAVWSRFMPRDLSPLTDDSELSGGSASSEKGRFLRLCDRPVLLADGLTSMWLDREGGARCYMLSARQLNIAWGDTPHYWSWIPIQGSRKMLSRNSIYAAYMVFKVAEGAYGLCSPYPETSVTLGGSQSTRQVCFEEDGDHLLPVWMVMANRGGRDGIPQNVLLPRERVDGWMELEMGELQNDEGEDGEVSIKLMETSATVMSGLIVQGIEIRPKKRDH >Dexi5B01G0034760.1:cds pep primary_assembly:Fonio_CM05836:5B:34930790:34934245:1 gene:Dexi5B01G0034760 transcript:Dexi5B01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPPRSLSAGPGRRASLGAGALSAPRSGARVRAGRPLEAKFHTHGLLMSSSMSSRLLVCPPCNCAQTALADARIANQPDVDRPAGVLAYELIQGNLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIEFLRRMPTQLSSKQEVVNALVKEQFSVDVAQWVATNLRRSSPLGQISSSSFSWTFDLSGISEMYKSYEDTNL >Dexi9A01G0038280.1:cds pep primary_assembly:Fonio_CM05836:9A:42598520:42599431:1 gene:Dexi9A01G0038280 transcript:Dexi9A01G0038280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTAAGVSSPSASRKSLTSAAPSCAATTGIDALDVPTFQNTTCGTANPSAANLSQMPWYSVFHAAPVHPSKSMSLSTNTLFTIMSLCSPPATASCRILLNTPCRLAPFFCAPMAATSGRSFSLRLAPCTLCSWCVSLKVMTRPFHGSWLSSEIRRPSAMAAEAGWPAATPESPQTAMQLARRPSAASEAAMRRHWSSAASSCCPLSAAAISSCGSTPSAGILAWPVRTSSKKLVTRCLSCFWNTSLYLRIATDGTRAYGSSSNLHAHMYTSGSSSALRSGTSTSRRRRSRTR >Dexi7B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:7B:22203301:22204636:-1 gene:Dexi7B01G0016250 transcript:Dexi7B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNPSAFVHVDARAAAGAMSRGQDPHALDRGTSAVLYYLYTSLPDLPVSADARLSALQASPSAEGTDRISALPPTVLREIVSRLPIKDAARTSVVSKRWLPVWLSAPLAIDDTTLRPRALVGRAPVRADSPVLVAAVTRILAAHPGPFRAVYLVRSYMDGHQQQLAHWLRLLAAKGVDELVLVNRPWPIDMPLPATILNITTFTRLFIGVWKFPDTAGLPRGGCGGGAALFPHLRELIVCCVSMENRDMDFLLAGSPVLETLGIQGYKKVALCLRLVGQRLQCVQICLSVVETITVVDAPLLERLILWEPLDDSCVRLKIDRAPKLRLLGYLEPGTYTLEMRNNVINTRKLVSPSTMAPSVKVLALTVRFGVRNDAKLLPCFLRCFPNVEALHIVVIFSSYHTSMNA >Dexi1B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:1B:20694532:20696713:1 gene:Dexi1B01G0014410 transcript:Dexi1B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAVAAARKGRLKQRYDNEFRLVAGCVPYRVKKGDEGNPCSSRGGDDTPEVEVLMISTPNRADMVFPKVGGWEDDEDVYQAASREAMEEAGVKGVINRSTLGHWVFKSKSSQNSTSPRGACKGYIFAMEVTEELESWPEQETHSRRWVSPAEAYQLCRYEWMREALTALLERLSVIEPVATQELTDQAGMYMMLQASSDGAVALC >Dexi5A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:5A:7261686:7265994:-1 gene:Dexi5A01G0009690 transcript:Dexi5A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAASDGQGLKARDVCIVGVARTPIGALLGSLLSLPATKLGTIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDSFAILSNERGIAARDSGAFSWEIIPVEISSGRGKPTVVVDKDESLAKFDPVKLKKLGPTFKTNGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISNAGLQTSQIDYYEINEAFAVVALANQRLLGIPSEKLNLSGGAVSLGHPIGCSGARIIVTLLGTSHA >Dexi6A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:6A:454020:455187:1 gene:Dexi6A01G0000680 transcript:Dexi6A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNGTIDGQGEMWWQLWWNRSLNHTRGHLVELVNSTNILISNITLRNSPFWTVHPVYCSNVVMKDLTILAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSTNIVIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHVWNSAQAVRLKTDVGRGGYITNITIANVTMEKVKVPIRFSRGADDHSDDNYDRTALPRISNVLISDIVGIELQRAPILEAVAGAVYDGICFRNVSLRGIRRQGRWHCESVYGEAHGVFPAPCEEFRNNGSSSWCGFS >Dexi9B01G0041700.1:cds pep primary_assembly:Fonio_CM05836:9B:42145083:42146031:1 gene:Dexi9B01G0041700 transcript:Dexi9B01G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVAALLLVTLAVHVHNSTCGVVDIPYPFGIGAGCFRPGFAITCSGAGTPFLSGTGYKVLNLSLATSGARLELPIAWTCYNRSGNPLPESEAPVSFNPQGVYRISDAHNQLVVIGCDVTAYIQSRHDRQSSNAGYHYPYEYHTGCVSYCRRPEVVRDGRYAGGGHPAGPHRQLRRRVDSDDAESLSVRRLIYDFSPCSYGFLVERNSYTFRTTDLKMDKNQTMPVWLDWAIRPNGSSAFTCSDAMKNSSSYACKSKHSSCVEAVNGPGYTCTCSNGYEGNAYIVDGCSGRPAASRKHF >Dexi9B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:9B:9410977:9413615:-1 gene:Dexi9B01G0014080 transcript:Dexi9B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKEYQFRSPNDALAHCYRLAMSMYYLAAVLSVALGAILVLRLALLKWRKNDGRAGEACLPPGSRGLPLLGETLQFFAPSPTLELPPFFKQRLDRYGPIFRTSVVGEDLIVSLDTELNACALQQEERAFEIWYPPSFMRVLGADSIVAALGPLHRHIRALVLRLFGPESLRLVLLRDVHRSARAELRSWLGRPDVEVRAATSRMIFGVTAKKLISHDDAAAQGSLWKCFDACTRGLLAFPLRGREKVMKLLKQQLGERRSAAEREAVDFFDVVIDELDRPDTEMNENIALDLLFLLLFASHETTSIGLTAILKFLTDNPKALQELTANSEITWEEYRTMKFTSHVIHEALRLANIAPVVFRKGKQDVQIKGYTIPKGSKIMICSSAAHMNPEVYEDPAVFNPWRWKDIPEPVGGSKDFMAFGGGVRLCVGADFAKMQMSIFLHCLLTKYRYYGKASVEVPWRSIRDYDFQTVSTSILSEKIKMVIDRA >Dexi2A01G0033540.1:cds pep primary_assembly:Fonio_CM05836:2A:43702216:43702761:-1 gene:Dexi2A01G0033540 transcript:Dexi2A01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSLPHRKTGRIRVISINIGYGEGNSPFVHRCAQSSSSPPTVGTLLTRASAAAPRPRECSTSPRSLLSRILHMGRGGGGFGCRHRLLPPYCTSGAAAAREHIAVEVEVRMEPTSQGSWPRQKWWEAKRLLTNRLELVMACVQPCREEFEGDGGGGGRAAGGEPRAGRVVGAALEERRRA >Dexi7A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:7A:23558842:23561517:1 gene:Dexi7A01G0013490 transcript:Dexi7A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKDSVRVAALSHGYTEAQLAALMSSFIIRKPSPKLPFTNAAIKTLESIRELERFIVKHRRDYVDQHRTTEQERDNIEHEVGVFVKACKEQIDILKNRIHEEENNGGAKTWLGTRDNSSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRAMPRKKIQKKPEIKPVEPSKSNLVLKSDVSKIGDQEVSTAPMRVQEQLLDDETRALQVELTSLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFLFVLTFSVLFLDWYSN >DexiUA01G0000210.1:cds pep primary_assembly:Fonio_CM05836:UA:1566335:1569959:1 gene:DexiUA01G0000210 transcript:DexiUA01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSVFPFDIQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYMIEAMCAKSYEDTTERLKEIESKILAKRNDLRQFETDYRKALARFQEVTNRYTQEREAVDDMLRERDNIHCSFTTERTLVNPVGAGSSSRYTTEHSIPESPENVNIDDRDKSGKKKWFNLNLNRSDRKA >Dexi6A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:6A:11805911:11806279:-1 gene:Dexi6A01G0009850 transcript:Dexi6A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRRAKLDAATTSFGAHTNRSTNSAQIWLRRRGWSSTHLFGVEDDGGHDDESPQVLEEPPLITCATSPSRQGSGHYCRSRRPTTRQGVAVSLVGIRLLPWTRGWGGAAAAAAVVCSTLHKS >Dexi7B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:7B:21893449:21894400:1 gene:Dexi7B01G0015870 transcript:Dexi7B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTRLALDNGQPHKSATPPLARSACLLPRSNFMLLYSVQGRGHRRRSPQLSHLAPHTLLYLYDSTKPRLSRSLLEPSSPRSSRMASMAAQAPESNPHLLLSRTMPSDLDTDQSLFLRAPVTSSVPQPFL >Dexi8A01G0016100.1:cds pep primary_assembly:Fonio_CM05836:8A:27273995:27274250:-1 gene:Dexi8A01G0016100 transcript:Dexi8A01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSLSFFTNSAALACSSRPRSLFSGVSWMVSMSSSLSLGNGTSMYLCFTFTSFVHLAATAAFVVSCSAIVLR >Dexi8A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:8A:4249428:4249697:1 gene:Dexi8A01G0004840 transcript:Dexi8A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDAKTAAETNTSTGQRLCVSFNLAPPPARSLLSFDNAETTGDYESALNVIAAHGDSVVLRMARRRSSSSSTAANPYDHFVSGGRR >Dexi4B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:4B:8001890:8002984:-1 gene:Dexi4B01G0010640 transcript:Dexi4B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAALAKYRAAGDNMTDLQHHVEFFDKNKDGIITITESIQCHIELLAKNHINFHLVLPAFIAIGCDPVFATTAATSTHAAFGPLTTPPGKLPSTNIHVSHIHGAIHASDTGAYNKKGMFVPENFDKIFKKHSHIKPDALAWWEVEEMLTANRDLLQPWTWPAAELEWQLIYALGKDSRGYLHRDTVRGIYDGSVFPKLAARTATLHSEA >Dexi2B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:2B:23012389:23013482:-1 gene:Dexi2B01G0013890 transcript:Dexi2B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHHLTEVALLASASADLAAAGAGEREGWLDDPTVLPSLGPRGRDLAVASAARSVLVIIPVAGGGAGGVTVNPALGPEEGRISAVEWVPLTAEDDGEGEEGVAVAVGTDTGWLLFYSFAGDLLHKQSIYPAKILKLNFREQKENVWEDSGSDELSIVFPGLIARFDGADLQVL >Dexi3B01G0029340.1:cds pep primary_assembly:Fonio_CM05836:3B:28537538:28546995:1 gene:Dexi3B01G0029340 transcript:Dexi3B01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAVSPHGRQALRFLCPKRSLLSPPSASPLRWLIGAPRVLPPFTVAAALRPLHGDHAAPDLPREAGNEGSDADAARALELARALRERLLGVAASHGMVGGCMDASSGEIRFVASGSGGRDAAEGLEVVWEDDPGTLLWEKGCLLRCELPLKLPLYVPADEISGIEERFSSLVESTAAKLRNPIVSFLVEGPVTSDESRHSIILHGSDLCSDSHIPGKSRTKECTASCSEFFREKRHNLLLTGESADAIEITILSNQSVGGTTPVIDYFPEVYLSISVLVLVNILFLFSAPAPVSLRVINLKLDILCYSSIDSPVGVAISELVIPGLTDQMSVMKKIIASEITQQAQVLHCFEMSTEEFHLVVVSVCSISYLSGGIMSLIDGSYEYYHYLHDGIDDNVMSCSRILFLPMFQGWGCAYRSLQTIMSWYRLQQYSSIDVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGASCKIINVRSGDELPEKCRELARHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGSDDIKKIVNGGWCGWKKSVDSKGRSFFLKDKFYNLLLPQRPNMV >Dexi6B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:6B:10902447:10904082:1 gene:Dexi6B01G0008640 transcript:Dexi6B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDMENGDEKSVLSCVAIAMAGTRESVVVEMPGYYDIDDILMEDEPISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLEQAVSINPPPCFTQK >Dexi8B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:8B:25792539:25793799:-1 gene:Dexi8B01G0015050 transcript:Dexi8B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRSREQRWNLAGATALVTGGSKGIGHAIVEELAGFGARMHTCSRNAAELEECRRRWADKGLIVTVSVCDISNVADRENLKDTVKATFGGKLDILVNNAGQSLFKPAAECTGDDYARIMATNLESCFHLSQLAHPLLLNSSIAGGGSIVHVSSIAGIIGLPALAVYSMTKGAMNQLTRSLAAEWAHDGIRVNCVAPGGVRTDLSSDRTIDPELVKHEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQVIYVDGGRTIT >Dexi2B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:2B:10076528:10079512:-1 gene:Dexi2B01G0009330 transcript:Dexi2B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGGAGSYYLNSSDDARNVATYLWDNFLGGQSSSRPLGDAVYLTAAPQCPFPDAWIGGALSTGLFDYVWVQFYNNPPCQYSAGSSTNLANAWKQWLTVPAKRIFLGLPASPEAAGSGFIPADDLKSQVLPLIKGSGKYGGIMLWSNLQSHNTQQNNHQSGTTITGSNSSLHMATRSSLVQLLLIAVAVAQIVGSQAGGIAIYWGQNGGEGTLADTCATGNYKFVNLAFLAVFGNGQTPELNLAGHCDPTSGGCTSLSADIKSCQSSGVKVILSIGGGAGSYYLSSAADAKDVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYSSGSTTDLADAWKQWLSIPAKQIFLGLPASPEAAGSGFIPSDDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >Dexi5B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:5B:8147161:8148382:1 gene:Dexi5B01G0011470 transcript:Dexi5B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTYLPATGDVVIDCSPSAVGPGVTFLEAEATIGSGGDDAWRRLSGDARHDVPAFLGLVPSLEASQLPAPVLAVQVTRLVGGGGLAVGVAIHHAVADGHSFWRFMSAWSAAARGAVALPAGALAPTFDRSAIVHPMPTEMARVLLRKLTPELPLIPTANLLRRTWLQHETRTLELDRHQIEHLKNHIAVDDELTTTQTTARPRRPSTFVAVSALVWWSAVQARSTDPGAATRLLFHGDCRWRLDPPVSDGYFGNCIRGYVAEATAGDLDGGGGVVGAARAIQKAVDEFVARPLGEIGAWFDRADALWREPGLVAMVASPRFLPYSTDLGWGAPSRVEYVSESAPEGMVVVTGGSVQVSACLRPVHMQAFRSRILDFVVQIST >Dexi7B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:7B:22878535:22879718:1 gene:Dexi7B01G0016850 transcript:Dexi7B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATFCNIGTLEQFSGHEPYICACFRCLSSPWNLKLEIAELKSMFIETAQALIHGDVHTGSIMVTPDSTQVIDPEFGLYGPMGFDIGTFLGNLILAYYSRNGHADQGNDRKSMMHIQHAVSRACFLRCSRHELSVVYLLLAYKKWILKTIEEYWNLFHKKFVELWNKHKEGNGEVYLPDIYNSNLLSLAQKRYMTNLFHDSLGFGSAKIIRLL >Dexi5A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:5A:9956382:9957064:-1 gene:Dexi5A01G0013220 transcript:Dexi5A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEDGSSAEAAAAMPLLAAAVVEKGRTTKQQRRHREGCPGCRLEEANKASVGVPYLNFFYIWVVCLTAVVFL >Dexi7A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:7A:29029603:29030434:1 gene:Dexi7A01G0020140 transcript:Dexi7A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSQAHRVRASCQMQRYPHNPSAHPSLLSASSKAKARGKARSSGSQAMQHTMSACKPHHSQPPAAALLLARPFPGRAALRRLPLPAGDASPTRLVVRRRCHEEDKQEQEAAPGGGGAGEEQEKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFLKDKLKREQGVGTAVLQWDDPGF >Dexi5B01G0029110.1:cds pep primary_assembly:Fonio_CM05836:5B:30371650:30375918:-1 gene:Dexi5B01G0029110 transcript:Dexi5B01G0029110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNGSGGDSGGSSGKIRIGVCVMEKKVSCSPMEQILERLRAFGEFEIIIFGDEVILQDPVERPFLVNELPPQYLLHDRSKVYQQLKLYGVPVPTYAVVRREYPNQELNYFVEEDDFIEIHGKRFCKPFVEKPIDGNSSYNLISDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPEVRKARRDRSYIYEEFMPTGGTDVKVANLVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPSEKQIARSVSQAFRQAEK >Dexi2B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:2B:27022698:27023177:-1 gene:Dexi2B01G0016700 transcript:Dexi2B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATQNDRLPLRLVVLFFQQLRAGSSKELALTDDGAHACIRPTPDQCDPCERRIPKHPNSLNKQVTSLSARESEHHRISEHRGSRNSFKDQLGGFLLQSRSRRIFDKLWSSKGHGEHGGGKGSETSGSSQSPPLSAKPAEVKPSPLPPLRNRRYSVS >Dexi1B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:1B:19313298:19317684:-1 gene:Dexi1B01G0013520 transcript:Dexi1B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYCNKIVHGIYTPLLVSIYAPSLKCVKILIKAGADVNGVGNITPLIAAVSGGLTECMKCLLKAGADPNVPDEFGRMPIEFAAICGSREDVEILFPLTSRIPYVNDWSIDGIIRHACLLPGQEFYESGLEKETARLKLQGQKALERKDYHSAIELYTK >Dexi9B01G0022070.1:cds pep primary_assembly:Fonio_CM05836:9B:16727149:16731929:1 gene:Dexi9B01G0022070 transcript:Dexi9B01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLLSSPPHPFASADQGMGGPCWPDLQCLVRRKPAAASSTGCAEAADGGGGQRLAKTLTIPHLAALGVGSTIGAGIYVLVGTVAREHTGPGLTMSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESSTFLCSSSSKTVASVAWLIGWALILEYTIGGSSVARGISPNLSSFVEGIITTANILVMLFVICAGGWLGFKNGWAGYKGPEGYFPNGVSGVLSGSATLFFAFIGFDTVASTAEEVKNPCRDLPLGMGLTLSVCCLLYMMVSVVVVGLVPYHAIDPDTPISSAFAQYGMHWAEYVVSSGAVLALVASLIGGILPQVLRYAPPYEMAMEVALEGTPDYVRMTACTIGGLLLLSSSIVLLYIGQDKISLGLTGGFMCPLVPLLPICCIIVNGYLLMNLG >Dexi5A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:5A:12805269:12806128:1 gene:Dexi5A01G0015270 transcript:Dexi5A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTPTMIMAAALALLLAILAAAIPVAAHNCGCAPGLCCSKYGYCGTTSAYCGEGCKSGPCWGSTGGSSVASVVTKAFFNGIKSHSGSWCEGTSFYTRGAFLKALAWYPGFARAGSEAQRKREIAAFFAHVTHETGHFCYVSEVAKGRYCEASSEGEWPCYPGQGYYGRGPLQMSWNFNYGPAGRSIGFDGLRNPDIVAQDPVVSFRTALWYWMTFAHRVMPQGFGATIRAINGAD >DexiUA01G0025220.1:cds pep primary_assembly:Fonio_CM05836:UA:53096390:53096770:1 gene:DexiUA01G0025220 transcript:DexiUA01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALYWFLEYFSKFDWDKYGISLNGLIELSSLPNLTVEPTTGHDELLLDQEFLQGFLDRLVVFPNESDGCDAQFRQKFLNIVDPLKGNNNLGRSDSKG >Dexi5A01G0024910.1:cds pep primary_assembly:Fonio_CM05836:5A:28763601:28768783:1 gene:Dexi5A01G0024910 transcript:Dexi5A01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASAARSRRRLIPYLHRLLHSGPAAATAATAAPSPSTSRFLRHASPVPRTPDHSPYLRFPDARVSTLPSGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTGRRPNAQALEVEIEDMGARLNAYTSREQTTFFADVQARHVPVALDVLSDILQHPRFPERAIQRERGVILREMEEVVSAAGSVSHDEVVDRVKELFTEFSTDPTTADQLVEANPAIFTGSEVRVENVELPLAHIAIAFKGASWTDPKSIPLMVIQSILGSWNRSVGVGNCSGSSLARGISDGNLAEGLMAFNTNYRDTGIFGIYTVAPPDTLHDLSRLIMAECRRLASQVSEEEVARARNQLKSSLLLHIDGSTAVAENNGRQMLTYGRVMPFLELFARIDAVDCATIMETAKECIIDKDVALAAVGPISNLPELSWFRSETCSDDEFTRRMFFAKAQNN >Dexi9A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:9A:14978704:14980543:-1 gene:Dexi9A01G0019980 transcript:Dexi9A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Dexi1B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:1B:5887532:5887957:-1 gene:Dexi1B01G0007140 transcript:Dexi1B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARIAMEMEDGGGASSSMQPAAMGYAAAAQAQQHFQPPPFWSTPTPYLFIGFAVVMALIAVALAVLLCSRRKDDEVRRGGEDQIMAVRVQLAPLDREDAVPKVLVVMAGHTSPSFLASAAPLDNKAHVAGAGRDGGAAV >Dexi1B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:1B:24357141:24361816:-1 gene:Dexi1B01G0018040 transcript:Dexi1B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYLEVVLGLMILTYIFETYLDIRQHRALKLPTLPKPLLGVISDEKFKRSRDYRLHRSYFHFVYEAVTILMHTAILYFRVLPWVWKKSGELVKIVGLNAENEIIHTLAFLAGAAIWLQIIGLSFSLYSTFVIEAQHGFNKQTIWLFIRDMVKEIMLSMILGPPIVAAIIYIIQIGGHYVAIYLWGFMFVLAVLMMTIYPIVIAPLFNKFTPLPEGVLKEKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQVLCLRCSNEDVIVSVIAHELGHWKLNHTIYFFVAVQLLMFLRFGGYTLARNSKDIFRSFGFNDKPIIIGLIIFQYAIIPLQHLLNFCLNLVSRAFEFQADAFAKNLGYAPQLREALVKLQEENLSTMNTDPWYSAYHYSHPPLVERLQALEVPDNEERQLLEII >Dexi6A01G0013510.1:cds pep primary_assembly:Fonio_CM05836:6A:20665819:20667479:-1 gene:Dexi6A01G0013510 transcript:Dexi6A01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYVLAAAGALIVFLYVLVMNRRRRGKLPPSPPSVPLLGHLHLIGRLAHRSLHDLHLRYGGGNGLLLLQLGRRRTLVVCTAAAATDMFKNHDLAFASRPRSVGADKLMYGCDNVSFAPYGESWRRAKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAAEAAGEGVELRGLLYGYANAVVTRATAGVAGATAERLKQLMAKSAGFVAGFEPEDVLPDAPARFVRWVTGIDKKLGGIVRAWDMFLSELIAAHEEKTANVAEEDEGFLDVLLRLRRDGAEGLELTDNRIKAIVKDVIMAATETSSDTLEWTMAELVANPRVMGKLQDEIARVAAAGDGQLAESDLNKMGYLRAVLKEVLRLHPPAPLLVPHESTAPTVVQGYEIPAKTVLFVNVWAIGRDPAAWDAPEEFRPERFMFRDSGGAPVDFRGTDYQLVPFGAGRRICPGISFALPVLELALAGLLRHFDWELPAGVRPGDLDMGEAPGLTTPRRVPLVLVPKCKMLPQPALQQ >Dexi7B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:7B:2048646:2049457:1 gene:Dexi7B01G0001110 transcript:Dexi7B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRELELTLLSAHDLKSVNLLTRMNVYAVATISGDPLTQQCTAPDISGGRNPIWNATLRFAVPPTAEAAAGASLHVLLRAERVLGDRDVGEVIVPLADLLAAAPPGPQQQQQQPQVASYQVRKVHRWEPRGVLNVSYRLGPVVAPVAAASAPEKKPPPPVIMAYPVEVPASQPPFRPPDDASYSPPPRRSGTVRAVAEYEEKKTMAAPAPAPAPAAARNGNGKSNGGGGLGRDGEGPTQPTTEPRWSKAQ >Dexi4B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:4B:6435895:6436265:1 gene:Dexi4B01G0008990 transcript:Dexi4B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDEKGLKKGPWTPEEDHKLMNYIQKHGHGSWRALPELASLNRCGKSCRLRWTNYLRPDIKRGKFSKDEEQTILQLHSILGNKY >Dexi8B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:8B:27022133:27024321:1 gene:Dexi8B01G0016090 transcript:Dexi8B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILINQLQSPDGDIIDCVHISKQPALDHPLLKNHTIQMRPSYYHPGGLYDDSNIATHRISQIWHQKGKCPENTIPIRRTKQEDVLRASSIKRYGKKSPKSIPRLASIDVPDANITIGHQHAAASASGDEYYGTKATFNLWQPTLESANDFSLAQLWIVGGSYERNDLNTIEAGWHVFPEIYQDSNTRLFIYWTRDAYNQTGCYNLECQGFIQTNNQIAIGGSFSPVSLYGGSQYDIDFLVWKDPENGNWWLQVGSDVLGYWPSAIFTYLANSSSNVEWGGEVFSPYANQTSTQMGSGHFPKEGFRNASYIRNIQVIDSSNNLKLPNRMDLLAERPSCYNVQNGSSSDWGTYIFFGGPGKNPNCP >Dexi5B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:5B:54745:56735:-1 gene:Dexi5B01G0000070 transcript:Dexi5B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDPGSRRRSSVEVDFFSDDKTCREREPPPGSLRLDIKKEDLTINAELGRMNEENQRLRGMLAQVSSSYQALQMHLVALMQAQAQQPHRQAIAPPPRHSSILPSSNNDQEEQQPSNSSTEVGSPRRSPSTGNKDDDPQQQAAPGWLQAAEGRQQQEASMRKARHQSADANSSSSFLQARAVLPSNGMATISASAPFPTVTLDLTHGPPAAAAATARPQFHHVPPLQPPPPLYNHNQSKFSGLHLSSFSTDGGASSEPPPPIGVGIGGLADTVSAAAAAITADPNFTVALAAAITSIIGGQQQQQQHGDGQANHNNVTSSSNNTATSNNTNSETQ >Dexi5B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:5B:11071546:11078638:-1 gene:Dexi5B01G0014570 transcript:Dexi5B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSALFFLSHLCLAISISASHDRPSPDSRRPAAPPPSSLSRHLGLAAAAAARPLGRLGWAANRLGPQRLRTAGGSGWAASAAAPAGGGAAGARGKSGRPRRRFGRSRGPRQVSRRAAAGGCSASVPRRLGEVKVSSRAAEEIAKLKNKTFQCRRWVSLIGTPKCKGKKMKKKDKIQEQESPPDLDSAAAAEGDGQGEAKGRLVEGQEASGAAASALNGTPKHKKKKKKKKKMGKNNKMQEQQKSPPELNSMVAAEAKGRGVEGHETTDVAAPVLNGTPKCKVKKTKKKDKTQEQESPPDLDSMAAAEADDQGEEKGRVVDGQEATGAAASALNGAPNRKKNKIKRNNKMQEQHESSPELNSAAAAEAEEQGEAKGKGVEGQEATCAAAPALNETPKCKKKTKKKDKIQEQESPPDLDSMAAAEADEQGEAKGRVVEGQQATAAAASALNGTPKRKKKKMKNNNKLQEQQESLPELNSMVAAEAEELREAKGRGVEGQEVTDTAAPGLNGTPRSKKRKRKNNRTQEVHESPPEMNSMVVVVADEQGEAKGGGIEWDTTDAAASALNGTPRCINKKIKKNNKQERQETRPDLDSTAAAEAEEQGEAKGHGMEKQEATHAAASVLERTPKCDEEEANVKDSVMLKEEPTSTVVSGICSLTSASEGIPKRKKRKRKSKIQEQSESKQTPLGIADGNKIVCTVAENGCTDGVEASGHADVNMDPINGEDPSCAQSNANVAGVLINFSENNSLIQESSAGRKGQKKKGKKGRWPCFSSENDGMIGKNSLDSSIHHDLSCICASCLVEARKEKIKNIYSPRGSLVRFRRKKLLILDLNGLLADINQDFRNADKAHGKVRGKLVFRRPYCEDFLRFCFQNFELGIWSSRKRENVTSVVDIVLKRLKHYLLFCWDMSHCTVTGRNTIDNKHKPLVLKELKKLWNKEEPTLPWEQGEFSPSNTLLVDDSPYKALCNPPNTSIFPQPYSYRNEKDDCSLGPGGDLRIYLERIAAADDVQNFVRDNPFGQKPITESDPNWNFYVQIVDKVEKQVTDKVEKQVIDKVKKVEKQVITNKVKKKVIDKAKKVVGP >Dexi1B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:1B:11590908:11592455:-1 gene:Dexi1B01G0011460 transcript:Dexi1B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVLVVPYPGAGNINPSLQLAKLLHRHGVYVTFVNTEHNHRRVQDTEGAGAVRGRDGFRFEAIPDGLSEDDRAKQDYGNGLAESIVTCGAAHLRTLLERLNGEHSLPPVTCVLATMLMGFALGVARELGIPTMVFWTTSAASLMADMRLRDLKDRGYVPLKDESYLTNSYLDTTIIDGVPGMPPMSLGDFSSFLRTTDPDDFCLRLAEEEPDACAKAGALILNTFDSLEAEVLAALRANYSRIYTIGTLGSLLSRVTATDDSTNDSDTTGLSLWKQDAKCLAWLDTQEKCSVVYVNFGSLTVVTPEQLTEFAWGLAASGYPFLWCIRDGSVRGRAALPQAFTAETAGRCHLTSWCPQGQVLRHPAVGCFVTHSGWNSTCESLAAGVPMVCWPGFADQYTNCKYACDVWGVGVRLDAVVRREEVASHVREVMGSEEMRRSAARWKAGALAATGPGGSSYENLLSMVRALGSSRNKPQA >Dexi9B01G0036740.1:cds pep primary_assembly:Fonio_CM05836:9B:38219209:38220207:-1 gene:Dexi9B01G0036740 transcript:Dexi9B01G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSPASSSSSYHCHLLSPRSLPLVSVASLSLLFTLILALRHGLPLHHPLAFATAPAPVFVGGGAYWGDPSAAEVEEAVLGLGRGDSVAEGARPAVAGDLSVQGVGSATETQETASGVGDGGAPSNGDVLKGQEVGEARNHSHGGLDSSVEVKKAAPQGRAKEPAKDLVSDMADASAEKLEGTGSLRDVDFSMEASGPAMGARDELLQGGHAEDGRNSSVHRDYASQHGEHRDSSGNSTVRHSPGAALDNPDKQETAKSNRDLARSNAGQCDDVSDGSWVFDESYPLYESNSCPFIDEGFNCQANGRMDQSYMKMRWQPKNCNVPRCLLH >Dexi1A01G0022960.1:cds pep primary_assembly:Fonio_CM05836:1A:29575932:29576177:-1 gene:Dexi1A01G0022960 transcript:Dexi1A01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQRRRYHGGCAAPGVAPGATACLAAALLLLMLAFHCVLSPPLDDGDPPRPQSPTSVAMQ >Dexi9B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:9B:524272:533046:1 gene:Dexi9B01G0000860 transcript:Dexi9B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVSRACRRLVRLSFPHPEARVLPAAATTHLPAPFSSSSASTTAAPMASPSLEWSSSDVRSMFINFFKSKSHTPWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGWAWELLTKVYKLPTDRIYATYFGGDEKSGLAADNESKDIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGSLRTLPAKHVDTGMGFERLTSILQNKMSNYDTDVFTPLFDAIHKLAGDGIQPYSGKVGSDDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKTKPKFFSSLVNVFVQMMGDVFPELKENEKKIQDVIKDEEESFENTLAKGYEKFKKAADVVKDNGGTDAFILWDTYGYPIDLTEVMAIDYGLTVDKEGFNASMEEARQKARNARNKAGGNSIVMDANATAQLRNQGLASTDDSPKFLWPKEHGSVVKAIYTGSEYITTASGYEDLGLVLESTSFYAEQGGQIYDTGRIEGSFGAFNVNNVQVFAGYVLHMGSFTEGSKALSIGDSVICKVDYGRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDELDVYASEIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPENKEWLSISTELCGGTHISNTRDAKAFALLSEEGIAKGVRRITAVTAGCATEAMELAASIDCDINEASQLEGAVLERKIASIKNKLDSAAIPAARKADLKGKVSKLEDQLRKAKKKIGEQNIQKAVKTAMDAAESALSEKRPFCVTHVDVGLDTTAVREAVIKVMDQKGLPIMLFSTDEASNKAVIYAGVPPNTPSGFKVLDWLTPSIAPLKGRGGGGKNGVAQGQGSDASQLKEAMELANNIAAMKLS >Dexi1B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:1B:25520435:25525191:-1 gene:Dexi1B01G0019320 transcript:Dexi1B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPQYDHLPRHDGGGDPSRGRDPCDSWAECLSVLCISICLAAVFSALFGSLFWYISESSTTPEYTVAITAVSGLDPSTDLRQGHGVLSPAFNLTVAMASHSKLGSGGCIGPGTSIKVSYSHIHLPMASGRAPEMCVAPGQAAGPLPAVARGHDVAVPGYLVDSLAEDMRRGEAMFLVQLTGLASPEEGYGQMWRVVTCWVRVGEAAGDVPCRKTYKSMDEMPGEDSGYVPHPGAGAPLHPSKSAPTRHLIFIIFIARLSLLFVFLLAVLALAARSARACSSQSYRPSIAPPVAGKKTNHPAQTAKQADMPPEYSVAITGVSGLTPPSPVSYSYLRLPMASGRAPDVATPSREVALPGFMVDSLAEELRRGEAVFEVKITSLEEERWKVVTCWVRAGDAGPGAAMACVQSFTRIDKMPELQPPGDSGYVQHPVPAARRDQRVHQVLGTRRRGAEAAFDVTLTGHGKVRPAGSVRRDRPTWTPLPMGFFRSVPTTESLGQIAATSSIRSRSREDRRQPHDRVKKTMRLDKDTCVELAGWALFAFVFVIIIWSEIGTAENPVYRVGIDSIAGLNPVTDLPRPLLYPEFDLRVSIDSGLWGADADAACLDVGSAVAVSYRGVPLAAAAVASRRCASGGAGSSVPVVARGSEVRVPEGVRFALAMDMLGLGDDPAGGAAFKVTLTAPHHQGRWKVASCWAKHGWYAAAQPRCNVTVVGTVDQAWKVAAGEGVIQDGGAEPEEDVAEPEGVLSMSKPRDNGH >Dexi5B01G0039090.1:cds pep primary_assembly:Fonio_CM05836:5B:38112340:38118254:1 gene:Dexi5B01G0039090 transcript:Dexi5B01G0039090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDPSPRAGGGGADPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRFDDLPILCERHTTSKLSTYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYKDGVMENEPKPCAAVKGTQIMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRNVYGASVVRDLMEIEVSDENAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTSLKRAIEYVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIEIIKNIIEEKLRSSNTTRIFQTQAGNPSALIQANTQKEKGTDIKMASGMKSQKTPVNQMVRTDPRNPSGRLHTYWHGQSSNLEKKTDLVSVRNVVRSRRNPKDACDLSSRHELITEVDSHCHPGLLEVVKNCTYVGLADEVFALIQHNTRLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALKDDELMGDENDEEKKEIAEVNSEILKENAKMINEYFSIHVDKDGNLTRLPVVLDQYTPDMDRLPEFVLTMGNDVTWDDEKECFRTVAAAIGNFYALHPPILPNPSGSGIQLYKKNRDSMASGEHVDNTDEDDIDQELLAEAETAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVCSCVSKLMGI >DexiUA01G0005740.1:cds pep primary_assembly:Fonio_CM05836:UA:10478338:10481097:1 gene:DexiUA01G0005740 transcript:DexiUA01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVGVLRRGSGYSSGGFGGTSRLLALSLRRFASTLVVAEHEGGFVKPSSLSALAAAEAVAKDNKISLLLGGSGPALHKAADHAASSHPLVSEVLVADSEALAHPLAEPWAELLCSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTAVKEPRVFVRPIYAGNALCTVKYTGEDPCMMSIRSTSFSPTNKAMSETKVAPITQVDLSFLSEGKSSWVNLTSQDTERPDLANASVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGLVADLFEVLDELLKIPDKK >Dexi9A01G0048710.1:cds pep primary_assembly:Fonio_CM05836:9A:51449508:51450112:1 gene:Dexi9A01G0048710 transcript:Dexi9A01G0048710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNFICDLTWQTQLLEEQVNKLRDKCKNQPPLVVTPARGVITVEDHHPGQKDDAMEVETELYIGLPGRDHRPKKAAGVMSG >Dexi3A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:3A:13793879:13794588:-1 gene:Dexi3A01G0018110 transcript:Dexi3A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVKCVVLSLISAVLLLSLGGGASGMGLPQPPPLVNFTIGVQGVRGKRALTVTGRSGPGGYFLIQTSQQASAFTSQQCRVYVPRSPVRACGVAYYPSGRRGLPLKFQEFVKRDNGLQGMYSVGNRLFRPKYPGKCY >Dexi2B01G0025350.1:cds pep primary_assembly:Fonio_CM05836:2B:34621351:34622608:-1 gene:Dexi2B01G0025350 transcript:Dexi2B01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGACGECRKHRSTTWWRVRFLRRSADDADYISALPDDLLLQILGRLGCARTAANMSLLSRRWRGLWARSPAFDFHRITPGQLHAALGRIVPPAGSLRIFIPRHHMLSPSRISSLLAAAAPLAPAELAVDIHTDKRFRSSSSSSSSDADGVELPCLDRTTSLTLHFSPRVRLPPAGQFTALESLSFGGCHIALGELLPRCPFLRKLCLSSWRFDSLTVHSPSLQELDVSAAMQLQGIDIVVPMLKKLKFGVIWLMWSLEVKTPEHLVHTQLTNSGENTHLQPQHRPRGHILSLDIVATANFARVARPFEQEISRIPMRNISVLELNIATGGHVYGAMVLDLLQVCTSIKKLEVKCK >Dexi2A01G0034150.1:cds pep primary_assembly:Fonio_CM05836:2A:44232256:44239981:1 gene:Dexi2A01G0034150 transcript:Dexi2A01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIPAEVRRYWLPILLFTAGFLFQLLVLPRHFPPSHYDALGIERFAPVERVVEAYERLSKEWLSEMSHQPTVDIIKIRYAYELLTNPILKRDYDLFGLDEHAAVLERAKEQYQKEHFLKIDLPLLKESLVSLNDDTFSVLTHESFMSAIAEEYPLIILVYSKGSPRCAQFMEYWKQIGIRLDGIAKTAMVEVGDSQLAGYFAEKGFSKLPHFRNGVPVLVAYPANCRSPSCYMRYPGGLSVDSVVDWVATSVVGLPRILYYSKEALCSQSEHSSMAPNSLGRAAIIRSKLSFSQVPESVLPHSFAKLPVSIQVTHHSRLSYGEKMNPRFGGTRTFSKLEFTEMMEEHKHQELRQLRSDTSLELGCDARGHSRAGNDVAIWYCVIVAGRPGVELSKKRHILRKAQDQLIGAVDGSSTGNVDNSADISSAATALKDERLTFVWLDGDIQKESMFFDCTSYSTSIFLQQICAFYLATDYSGACGPRGFGDDDDKSELFIVRFQRNATYEALKSEKKNNLVEALQGQHADASQLVARYKGPDETQETSKVPDLVPEETSKEWLSSKRIHSAGKSLKQKIGFHFQDYLTDPRIGSTLLMCGCISFGIIWLRNNKSTQNTQQEKAPKDKNKRPRPKLSTSLFGQPESVADVEPKDARQWEMSDSDSD >Dexi3A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:3A:13774061:13776690:-1 gene:Dexi3A01G0018080 transcript:Dexi3A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINPFDILGADENDDPTQLLAAAAAAKQKAEAKKQAAATTGKGAQPAPAKLPTKPAPPAQAAISAIKDEFLVYGLLMFAHVSVRESRSGGPPSRGGFGRGERGRGRGGRGYGQYRDYGSEDANGFQGGYGAGGGARAEGEEGGQDRGFRPPYRGGGGGRRGGYRDGEFGDDSERPPRRTYERHSGTGRSFEVKREGAGRGNWGTTTDEIISQETEEGLKLDEKAPVPEKQGALEDAPQAEENKDNKDANEEEEKEEDKEMTLEEFEKIREEKRKALLALKNEERKVEVDKDLQSMQPLSNKKENDEIFVKLGSDKDALKKKENAERDERAKKSLSINEFLKPAEGERFYGGRGRGRGRGDRGGFRGGYGGGYRAPPAAPAIQDQSEFPSLGGK >Dexi6B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:6B:9222833:9227302:1 gene:Dexi6B01G0007750 transcript:Dexi6B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEEIKNEAVDLENIPVEEVFQTLKCTKAGLSSEEAQARIAVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVVLLVHAIIDKYAERGLRSLAVARQEVPEKRKDSPGGPWQFVALLPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQCKDEAIASIPVDDLIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLKEIFITGIVYGSYLAVMTVIFFWAMRSTNFFEQNTFGVRSLHDNKDEMMSALYLQVSIISQALIFVTRSRGWSFTEVPGLLLCAAFVVAQIIATVLAVYPTVGFAHIKGIGWGWAGVIWLYSVVTFLPLDVFKFAIRYALSGKAWDTVFEHKIAFTRKKDYGREEREAQWATAQRTLHGLQTPDILSDRSSYRELSEIAEQAKRRAEVARLREMSTLKGQVESVVKLKGLDMEGVQQHYTV >Dexi8A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:8A:805357:805839:-1 gene:Dexi8A01G0001160 transcript:Dexi8A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTVLSLALLFTSLLQAQAGASSNLGEQKRQPEYVPVRSVVYRSLALPAAVTTTTEAVGYEPFEVCEGCRCCSTSNASSCVDTSCCYSIDCNLPGKPYGTCAFTPHTCGCASTSNCTQPS >Dexi9A01G0028740.1:cds pep primary_assembly:Fonio_CM05836:9A:33486836:33489744:-1 gene:Dexi9A01G0028740 transcript:Dexi9A01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSAAAVAVAAVEVGAPDSLPPPAAEETDAAAAAEEAAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEESKARVRILEGPVGATERIVLVSGKEDPGLELPPAMDALMRVFKRVIGIADGATEGSQAAAAPGVCAARLLVPGAQAINLIGKQGATIKSIQESTGATIRVISVDERERPFYVTDDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTVSTNFNPCNTSRDSLAAHREPVRSSYVGAGLDPVYRPSYSQYGSSTYSSSSLPSYSSSIDDGRYPSSGLGGYGSSYRY >Dexi2B01G0027110.1:cds pep primary_assembly:Fonio_CM05836:2B:36034557:36036128:1 gene:Dexi2B01G0027110 transcript:Dexi2B01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAVALLVAFLLPLIIYWLKTRTTTTKKPLPANLPPGSLGLPVIGHSLGLLRAMRSNTGERWLQSRVDRYGPISKLSLFGAPTVFVTGPAANKLVFGSDALAPKQPRCLPLILGRRNILELAGDDYRRVRGAMMQFLRPDVLRRYVGAMDAEVTRHLDAEWSGRRSVTVLPLMKRLTFDIIATLLFGLDRGGDVRARLAMAFADMLEGMWSVPLDLPFTAFRRSLRASATARRVLETTLAEKKARLERGESSPADDLLSCLAGIRAEGGGVEQVLTDEEILDNAMVVLVAGHDTSSVLMTFMIRHLAGDLATLAAMVQEHEEIAKNKAEGEALTWEDLNSMRFTWRVAQETLRMIPPIFGSFRRALEDIEFDGYCIPKGWQVFWASSVTHMDPGIFKDPEKFDPSRFEAPAPPYSFVAFGSGQRLCAGIEFARVETLVTMHHLVRRFRWKLCCKENTFVRDPMPSPLHGLPIELEHIGVASSLGKSSC >Dexi8A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:8A:30020385:30030695:1 gene:Dexi8A01G0017890 transcript:Dexi8A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTATAAVCIAFVIMSCALSSTGYDVKLVEAESFCVPVRECSTNRQKRACRLFCIDWGRKHGGNESNSKSRGGHGAPVQWSGAGRGLGVEAGRRRPAAHGLGVEAGRRRPAALGPASCGAAGGRRRHGWPEEDAAAGDGGALRGRRSGGRGRRRPAGLVVAGVEDGAGVEDGAGVEEGTSGGAAVRAERRRARRSAS >Dexi7A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:7A:20723718:20725009:1 gene:Dexi7A01G0009800 transcript:Dexi7A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIVVAGGAPCFPPWADLEPGIISSIAVCCSLADYASCRGVCPSWRSALPPPLSRPLAVLPADDPSGHPVSLAACSLHARRWARLLLDHGDLLLHRPASIIGDAPGCRCVGASRDGWVALVAGDAAAPVGPVLFNPFTGKEIPLDPSLYQPAHHPAPKIVFSRNPTGHGFTAVSLVRPDMVAVQRAAADGCSYSEDTGPLLDGVFLVDVAYGGDGDKVYCLSRDGQVHVLHLPRRSRVNRRMPPMEVGTLAPRSPPVGAAAFPPPYDAISLVTEAKSLALCDGVLYQIWRRATGAGPVTVDAPVAGATAARWIHIFEGDVFVLKYDPEKDPGGTCWTVAEGKDLGGNAVFVGMNDAAVVRGEGVRANSVYYWDRPRGGGGGDYEAVVYDVETGASVRWPVAFTGGVSSPAWYFLPPADAARGGESN >DexiUA01G0022380.1:cds pep primary_assembly:Fonio_CM05836:UA:45529321:45532023:-1 gene:DexiUA01G0022380 transcript:DexiUA01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSRSNSRANFNKEIHDISTAQNSTMPTTYYSDRSLTDMLPPHLLKKVVSEVVSTFLLVFVTCGASAISGSDQHRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLSFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTTPTGPHWHALVIEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAVSGGSMNPARTLGPALASNLYTGLWIYFLGPVLGTLSGAWTYTYIRFEDAPSNRDTPQKLSSFKLRRLQSQSVAADDDELDHIQV >Dexi9A01G0030930.1:cds pep primary_assembly:Fonio_CM05836:9A:35788268:35792963:-1 gene:Dexi9A01G0030930 transcript:Dexi9A01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGTPPLRLKDLLELDCDSCSAAGFRCYPRRLGESAPAMRRLLDSPSPSLRWRHTTTSKLLSSSLSRTLSRRLGFFWRRHDDGEDDAAPTGRCSGSESRASSSPTPTTSYDSSRRTGSRCESDSDFSTATTDSMDAATVMATADGDGHEAMKRGSTSSGSEADDKEEQLSPVAVMDFPFDDDEEGEDAGACSPSPSFSLERLHRRKMHKIRRFRSTEELGPVDLETLLAATSDDANDLADDVPEQRATQRSTEDEARPSSSHRDADVGHEPNEHGVLALLMDAGMDGHVTKRLLLDFFAEMKRRRGGGSMQHHWGEPSAPAGLLLPREEAERLLGDGDVVAAARGWLDGAGSERWGLNDVLRGGRALVAEMDRSRRWMQIGEEEREVGVVVAGMLVDQLVDEVVAWCVGFASVVQ >Dexi1A01G0032210.1:cds pep primary_assembly:Fonio_CM05836:1A:36876415:36877162:-1 gene:Dexi1A01G0032210 transcript:Dexi1A01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGTPRGGLARSSSGVWWKLGDTTAAADASEVDRRLRAIADEEAAVRARVERRRDAAPSLRRRIAVASVSLETVALVYGLWAARRRRLKKKLLQLERLRTERKAKIGNFRGSHHNLQKLIEKYDPDSADDSNNMNTNKKLKRTHSRLSFHVGDE >Dexi5B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:5B:7042133:7046507:1 gene:Dexi5B01G0010100 transcript:Dexi5B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPSSEAALRLATSANVQASTGYRHLNNRNLSVFNEFSKQLKGEVKSNPEFQKTIKEFGEKLGVVKDDLKVRTKKTTETIYKSVDDVWSEAEETSKKVTANIKEKVFAAKEEVKESFGIGKEEATSFKDGSPGASEREKTEASSHSDGTSEDATSSHTLFTKLKSTISSASPVVSGAFAKLKDTRVSTLAKQGYEIVKDELSTTSSRKKKHQARYASAKVEKSARTDLVIMPTKKSVLGEKWESFKNKVFLEEIVQLCHPVYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQDLNESLLEETTAAVTFREIRQRDPSFSLSDFVADVQEMIKPVLTAYSKGDVEALKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADASETKMFGSSPMIILRFQTQQIYCVRDREGQVTDGGQDTIQTVYYSWAMQLMDSDEVPEEESYYPVWRLREIQQAGVKALI >DexiUA01G0005900.1:cds pep primary_assembly:Fonio_CM05836:UA:10633369:10634546:-1 gene:DexiUA01G0005900 transcript:DexiUA01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASAWAKPGSWALAAEEKVELPPLPPPMVPAADFPDLATAATTKVPKKKKAQSIPLSEFNSSKFVPRASRRSGTEEMSLDSLPKGPREHKEEELANTRGFGAGRWGGAAGGPHGSDEPRRGGSDREDFGPSRADDADDWGAGKKPFERRERMGGFGGDSPASRADDVDDWVSTKRTAQTPFQERRERGAGFGGDSVSRADDSASWVSSKSYSVPADSRRGGPVWGFNRDGGPNADSWGRREETSNGRGSSVGRPRLNLQKRTLPLGNASDGEKPEDSKDKDTNKQEDEKDEKEEQRLRSRSVNPFGTARPREEVLAAKREDWRKEELKVENLEIQPRTRFSNPFGAARPREEVLAEKLEVMTVWEAPPERRGKWECSTI >Dexi6A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:6A:16655453:16669361:-1 gene:Dexi6A01G0011580 transcript:Dexi6A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGIATLVVGGPSVARLLSVGAPQPLVASDTDSAALPRLPRRFSLRGSALGVHRRRAPGVRFACARPRAGGVAGCPAPSKVPRWHATVDLPLSCGTALIRPYIPTWGAATPPTMAAAYRRLFRCILSLHLHPTEAAIRCLSPMVVPPTQLRAFSLAAEHFETPLGATGPTFFTGLSNGYAFAQNDSRKMDEAEKLFLAALLEAKEGFGLRDPHVASVLNNLAEFYRLNKEYEKAELLYLEAIEILEESFGSNDIRYITDFISFLHYLPSRLMTVSEMDQHRDLAGGGRVGTALHSLGICYHLQHKFAQAQTCYEVLSLQGKIKDAESLTKESIRILEVRLPFLFVGLNLLGAQASVHKDYEIDIGICWFDQSPTCIQRMRYLSTMLTKSKRFAEAEIWLRKILHTQELTKACQHVFHIAFTLVQLARLALHKFLSDMKNANSEVATYYLARAQELSNDSIRIAEGILNSSSKDQNKLNSTFAMDRDKIAAITILVYKVILLGMSTVMSMEWNEILEIKSSEGIATKACNLTKLCPFQEQDYRAIEDVLHKCISLYKE >Dexi7A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:7A:18930938:18932671:-1 gene:Dexi7A01G0007620 transcript:Dexi7A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSYISTMLMEDDIDDKLLHQYSDHPALLQEQQAFAQILSTPSFDPNNGTINLGNTEGGEGLLQDVSGDPSTADLGFSKGVDAVRAFLKGMEESIEFLPRDNGFIEDDLLNQKFGECSKLEELKKRYNRSVHLEEVGKSSTPMMVTEELEAMLGELMLQGYDTCTRDIEKLRIAMTDEVEKTEKGSKTTSSHELLQEIKQHASATGDATQRLAQCFLKGLEARLMGIGGQLSTFLMGQGPSVMESLEAYKMYVASCCFNKVTLNFNTMTILRTMTGKNKLHVVDYGLRYGFHWAGLLHLLANREDGPPDVKITAIGRPHLISFPVEHIEETGHRLSKCAREIGLPSFKFHVITAKWEVVCIENLKIDANEVLVVNDLFNFNTLMDESVYFDDPSPRDTVLNNIRKMRPDVFIQGVVNCLYGTSFLSRFREVLFYYAAMFDALDVTVPRENKQRLVLEQDIMGQCVLNVIACEGKDRMNRSNTYKQWQLRNQRAGLRQLPLDPKVVSTVRDVVKKHHYHKNFVINEDQQWLLQEWKGRILYAHSTWVADDSFAP >Dexi5A01G0039420.1:cds pep primary_assembly:Fonio_CM05836:5A:40061727:40068166:1 gene:Dexi5A01G0039420 transcript:Dexi5A01G0039420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDPSPRAGGVGADPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRFDDLPILCERHTTSKLSTYEDMQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYKDGVMENEPKPCAAVKGTQIMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHAANRADVHSASTSSRLDAIRNVYGASVVRDLMEIEVSDENAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTSLKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIEIIKNTIEEKLRSSNTTRLFQTQAVNPSALIQANTQKEKGTDVKMASGMTSQKTPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKTDLVSVRNVVRSRRNPKDACDLSSRHELITEVDSHCHPGLLEVVKNCTYVGLADEVFALIQHNTRLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALKDDELMGDENDEEKMEIAEVNSEILKENTEMINEYFSIHVDKDGNLTRLPVVLDQYTPDMDRLPEFVLTMGNDVTWDDEKECFRTVAAAIGNFYALHPPILPNPSGSGIQLYKKNKDSMASGEHVDNTDEDDIDQELLAEAETAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYRIFERC >DexiUA01G0010800.1:cds pep primary_assembly:Fonio_CM05836:UA:21460540:21462216:1 gene:DexiUA01G0010800 transcript:DexiUA01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPFLPAPSPYPFLLRDLTDRVAALEIAVAGRRSPEPTTRRFTYVTEAHGRKVKWTSVEKPRSGDRTLKWEAEVKSPSEDGFDRKWKWEAKGGGPSASAAPRKLKWGAALKGKGCLEPWSQAYTWEEDFTASDTDDSDDDVKIHKNKTLANKVITDKKNKNKKKEDKAVVNKEKKCPVATIKIEEIPDDNQAGCVAIRKAFAKGNGKGKKRKELSPQDAALLIQMTYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRMAHDHEERQRFSEKIIVLLITVDALEESRTTRY >Dexi7B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:7B:13822040:13828913:-1 gene:Dexi7B01G0006210 transcript:Dexi7B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRFSWPYPAGQASFCGSFTGWREYPMALVGAEFQVVFDLPPGVYQVKDSDNLVDVALTIIRNEISSVPIFKSMPDSSGMPLLNLATLQGILKFLCLKLQEQAEGYSLLHNQLINIPIGTWAPHTGRTSNRQLRTLRLSSPLNSCLDFLLQDRVSSIPIVDDNGSLRDVYSLRFAYCSICFCLLLSCYVIVEANWLLPDFDIMALAKNDVYARIELEQVTVQNVIHCPLFFILASAPGGEALMNPALDVQYQVQGRRQCHTCLQTSTLLEVLQELSIPGTSLCDLYS >Dexi5B01G0037030.1:cds pep primary_assembly:Fonio_CM05836:5B:36530293:36531848:-1 gene:Dexi5B01G0037030 transcript:Dexi5B01G0037030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYCNNGVEIVVVGQKRKRATSPALWQSAVAAGECAAGGRRKRLAGGPDYLDVLPDDLVLSILSKLAASASAPSDLLSVHLTCKRLNELGGHDMVFAKASPASLAVRAASWSEPAQRFLKRCADAGNLEACYILGMIRFYCLGSRSSGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELSLALAAAAASRHAFASLPLSAAGVAGAGGCCPLLSEFGWSLPEPEPHAANQFMVDWWASRGTQAAAAKKPGAGTGDTDGDATELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAAVNAGDAEAPPQ >Dexi3B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:3B:5801561:5803486:-1 gene:Dexi3B01G0008200 transcript:Dexi3B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAAARRSAAAWVASMFHSSAAALSKSTPHIRFAVREKRRDAKSALRNILLNGTPYQESSSKQMRKQKDNGRSKVQRPSPGKNSYGKNKRGQNWKSFDDDDECTDTPYGTFGGKKSFTWYWPGESDDGSSPSGFQWRDESQSAKSRKKFLNESDVDEEELGHDDLRNYRISLGLPILGPLKLEHIKAAFRASALKWHPDKHQGPSQ >Dexi4A01G0024370.1:cds pep primary_assembly:Fonio_CM05836:4A:27155786:27157704:1 gene:Dexi4A01G0024370 transcript:Dexi4A01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAPGRRGSFLSPALPARLSLPPPLFPLPFLSSPFPSQTTPRPGQACPSSSPRVQKSIMKGAKSKGAAKADAKLAVKSKGAEKPAKGRKGKAGKDPNKPKRAPSAFFVFMDEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSEADKAPYVAKANKLKIEYNKAIAAYNKGELVQSTAAKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEEEDDDE >Dexi3A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:3A:3557926:3560671:1 gene:Dexi3A01G0005520 transcript:Dexi3A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISCQVERLARKTAKIGLSAINSFKKKALHLMGCLCSKGAKDDNATSGHRTPSRRDDSTVAASAKTSVVTNDGSNAKFKENTFNSSTFDSYGGAKVVALDARISSGNNTDLKDVASWPAWLINVAPKAVEGWLPRRADSFEKLGKIGQGTYSIVYKARDIESGKIVALKKVRFFNMDPESVRFMAREIHILRKLDHPNVIKLEGIVTSRVSESLYLVFEYMEHDLAGLVATPGLKLTEPQIKCFVQQLLLGLDHCHKNGVLHRDIKGSNLLIDGNGTLKIGDFGLAISYDPNNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVGELFAGKPIMPGRTEVEQIHKIFKLCGSPPECYCKKSKVPQTAMFKPQQQYRRCVAETFKDFPPSAVVLIDSLLSLEPEVRGTAASALQSDFFRTKPLACDPSSLPKLPPSKEYDVRLRQEEARRQRDAALSGGAESVRPENGNHVTSRAIDIAALVKVRHSPTLPAFVTLQQQPTHTTSKSTCEKFNTEDSVPGFRVEPRALPTSVQVPECGSTWNNMGGYTHHHAVPGRVCSSVRVARKKGSSHSNIPQYDPTDLRNGSEITYHDQPADRPASSHKKDLQENRGRKYKRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARLGKVSR >Dexi5B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:5B:3316215:3324311:-1 gene:Dexi5B01G0004930 transcript:Dexi5B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDCDTAERDAAAANDWEDEGGERVSGAYGGGNAGRGVAWREVDDDKRRRATTRSTILGGDDPKSERASPARLTRCSLTASGVRPIQRHLQVAISRSRCKAGDAVAAALLCRPAQSDRGDEQLQGRDHHHDSRQGTPPLLAAVRRGSAFMAREEAPRLFGRYSSGPLVGPRPVTAWPAGRRTSARHPSNTLMPRREVAIAMN >Dexi3B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:3B:1737447:1739010:1 gene:Dexi3B01G0002560 transcript:Dexi3B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGIHHDSSTPISVQEDQLESSSLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYNQQSDGKIDESSSTPTNSSSSSSSSSDNSNSRKRKAEGDAEILPKANRSRHS >Dexi6B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:6B:20096504:20100581:-1 gene:Dexi6B01G0012470 transcript:Dexi6B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRATTVLAWGSGEDGQLGMGENEEKDWAYCVEALEPYNVTAVVAGSRNSLAICVDGRLFTWGWNQRGTLGHPPETKTESSPGPVDALAGVKIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTRALRRDIPTPQRCAPKLKVRQVDIAAGGWHSTALTNEGEVYAWGRGEHGRLGLGDDKSSHMVPLKVELLAGEDIIQYGRGDHGRLGYGRKVTTGHPLDVPIDLPPPKTSSTSDGQWQAKYVACGGRHTLAIAEWNEAND >Dexi2A01G0024480.1:cds pep primary_assembly:Fonio_CM05836:2A:36227037:36232431:1 gene:Dexi2A01G0024480 transcript:Dexi2A01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVQAAAVLAAAAALALLLPGWAAAEWTLTKKGSIVTYDGRSLMIDGKRDLFFSGAIHYPRSPPELWPKLIKRAKEGGLNTIETYVFWNAHEPEPGKYNFEGRLDLIKYLKMIQDHDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKKEMEKFVRFIVQKLKDADLFASQGGPVILAQVHRFRAFGDQLAMRSAEDIAYAVLRFFAKGGSMVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIRSYQKAFLTGEHSSEKLGHGYEAHTFELPEENMCLSFLSNNNTGEDGTVVFRGEKHYVPSRSVSILAGCKNVVYNTKRVKSTAHSMIGFANDAFVGSGRGSKQVKGFMFEKPVDLKVGVNHITLLSSTMGMKDSGGELAEVKGGIQECLVQGLNTGTLDLQVNGWGHKAALEGEDKEIYSEKGMDKVQWKPAENDRAATWYKRYFDEPDGDDPVVLDMSSMSKGMIFVNGEGMGRYWTSFRSLAGTPSQATYYIPRPFLKSKDNLLVVFEEELGKPDGILIQTVTRDDICVLLSEHNPAQIKTWDTDGDTIKLIAEDHSTRGTLSCPPEKTIQEVVFASFGNPEGMCGNFTVGTCHTPDAKQVVEKECLGKPSCLLPVSHTVYGADINCPSTTATLGVQVRCSSEKKGA >Dexi1B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:1B:513788:518073:1 gene:Dexi1B01G0000590 transcript:Dexi1B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGDARGLEAEAAAAAEAARELREAAAALVATRAAEEDALRRRAVALDADVRRLQGSLPALDPSTVDKIEEELERARVAITDSDVASFLPSKRNGKFLKMFLGPVNVRVARKEDKLKIKDEYNNYRAFDLSSVTLMDRTAYKFLLFPSILLLLRWWIWDGCLPAWAVQMYQHLPSYQAWLLYLYTSFALRENVLIANGSDIRPWWIYHHYLAMVMALISLTWEIKGQPDCSNKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKLNLTFITTWQAKRMDVVAGETAGVEGQLLLLYPVLFILQVCGVNSKQFLLATHLGPFRVVVCGILLVVMAVGNFVNTVETLILKLRFKAKMKRAKNRQDRPHQN >Dexi9A01G0048800.1:cds pep primary_assembly:Fonio_CM05836:9A:51540734:51541554:-1 gene:Dexi9A01G0048800 transcript:Dexi9A01G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQAPAVEVEKSLGAGATEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMGPKQQDAAAAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >Dexi5A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:5A:9071220:9075455:-1 gene:Dexi5A01G0012150 transcript:Dexi5A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSEMGAFGGTPPPSCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSIAFLMTWIVGDLFNLVGCFLEPATLLNLAFPLQLYTVTTLILTAQTIYYSHIYHRLKANKSRATSKPQKHQRGDTSLREKLLGAKDGGASRNNHQSDDTVPIPSSPIPVNTKMVEQYHAPSSPTSDYYYTSVYVLVDFTGQLDLYQGVQCQLLVPWMGLLMGTCLLHILVGNTHREVLNGTVIPIGRRHLLFMIILQFLYFHYRKQSEHSDEQDNADKA >Dexi5A01G0032430.1:cds pep primary_assembly:Fonio_CM05836:5A:34963287:34975723:1 gene:Dexi5A01G0032430 transcript:Dexi5A01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFVLNTGAEIPSVGFGTWQSKPDVVGDSVYAAVKAGYRHIDCARAYGNEKEIGLALQKLFEEGIVKREDLFITSKLWHDHHDPEDVPESLDKSLNALQLEYLDLYLIHWPFRLKKGTNLGNPENFVPPDIPATWGAMEKLYDSGKARAIGVSNFSTKKLGDLLAVARVPPAVNQVECHPGWQQTKLHSFCQSAGIHLSAYSPLGSPGTPWFNSNVLKEPVVTSIADKLGRSPAQMTCLRSSLRLSRLGCAEATSPFIQGAFTKPLRSSGTGRFRSDIGVYPILSKEQHAIGPGRAFRTSESQRRPPNGRAAPTSSLRRQRRAGLADRAPAGLYRHHSLLPGHHCCRSPLPFPSCAPHHFPIPVLRRATGLQPSAAMARHFVLNTGAKIPSVGLGTWQADPGVVGNAVYAAVKAGYRHIDCARVYGNEKEIGLALKKLFEEGVVKREDLFITSKLWNDHHAPEDVPEALNASLSDLQLDYLDLYLVRTFLFIIISQYLISSILIHWPFRVKKGTSTSPENFVTPDIPATWGAMEKLYDAGKVRAIGVSNFSSKKLGDLIAEARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLTAYSPLGSPGTSWMNGNVLKEPVIISIAEKLGKTPAQVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPDDLLAKFSEIKQGNVSLAIMFNINSFTLGCSEATSLLIRRVFTRPTRISGTVKFRKVATVEPGSSRSCSDLAPENTPLAIDSTLNDLQLDYLDLYLIQRPFQIKKGSEISPENFVQFDMPKTWQAMEKLYDSGKAYVVGVSNFTTKKLADLLALARVPPAVDQVECHLGWQQAKLRAFCHSSGVHFSADSQWERREAAMASHFVLNTGAKMPSLGLGTWQSDPGVVGDAVYAAVKVLTSHIRFCSLLYPYPFSPGPSRICDCFFFVQAGYRHIDCAKAYYNEKEHYIHFWTIQIGLVLKKLFEEGVVKREDLFITSKLWVDDQAPEDVPEALNTSLNDLQLDYLDLYLVSTFLFAIISQVKKGTSVSPENFVTPDIPATWGAMEKLYDAGKARAIGVRLLRGNFVVSPQSVYKTHEDLWDGEI >Dexi9A01G0048000.1:cds pep primary_assembly:Fonio_CM05836:9A:50882496:50882870:-1 gene:Dexi9A01G0048000 transcript:Dexi9A01G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAPNTSREKAMEEREDKPKVPSSDPDLADLVASSQPQLQREHQPPNISEMKPLTREAYGGGMYAAEDGRSRRDPARPRASATQSADGLEEAKAAGEKPRHHPPPPSTGDRDLDITGQSYIQ >Dexi5B01G0033480.1:cds pep primary_assembly:Fonio_CM05836:5B:33860648:33862084:1 gene:Dexi5B01G0033480 transcript:Dexi5B01G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGFPPGYRFYPTEEELICFYLRNKLDNLRDDIERVIPVVDVYAVDPPQLPEIHEMLCGGAGEGDETWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSSGDRRAIGMKKTMVFYHGRAPSGAKTKWKMNEYRALQYYDSAPTAPAAVGNDGSSSSSAHAAAAASANVPPPQLRSELSLCRLYTKSGTLRQFDRRPLAAAAGVVPPGEEPAGPSTAAAASSPPDDDDGSGTSMQQQQLEIGASDPYGDDVAMLDALLYWPGD >Dexi5A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:5A:3992682:3995068:1 gene:Dexi5A01G0005320 transcript:Dexi5A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSMEAAHGQRRSVYGGAGGASHRMQRLLSIGLGFGGGEWTGPTSLIGLGIPDQDARSRQQRPEGSMTCHPFLASFTCGHSCSSPSLCKSNSLPADSCHRHGPSPATGARIAPLQIHFIVPYLTAHLHQLATKRIEIPLQLSLVADMWAWSHMSASVSLTSDLIPSGGNHSVRPTSPDCRTQPCDRAASGAELNQYPGRSSATHLTSRRHVCQLLDGQTKFAST >Dexi3A01G0033090.1:cds pep primary_assembly:Fonio_CM05836:3A:37903260:37904676:1 gene:Dexi3A01G0033090 transcript:Dexi3A01G0033090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWGQMQMQQQQPPLQCLLGGGGVGNSDHHHLMPPPSGLAPLPGGGPTDTAGSAPACGGGGGGSSSSTSMHASAASQPRPVVSMAERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSSSRSGSQQGGAGATVAATSSSSTTSTSTTATTTTTSAAMAAAEAIASMQAQLPHLGLPPGSAAAAALEASLEGYHHYLPFQMQPQFLQQAAAGLHGYHFADDGSGGILAGDGFPARGVVASGLLAQLAAVKMEEHGGGGGAVAAHEQSYWPGSTGGGGGGWPTEFLSGFSSSSSGNVL >Dexi2B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:2B:27208361:27210204:-1 gene:Dexi2B01G0016900 transcript:Dexi2B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTADPTRFRVRASQARQPPAPVSWARAGRRLTAQAHTLAAINSGADGHLFSPHPKKAQAAPPFLRAQSFAPASQRRKPPPPRRVSPPFFPLYPSVPPSPRTAAAPSSALVASRPVGPSKEAGWWSRLGAAALKRIMRPCFKEGTIVDALMESKGGKKSSSSNFMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCVRQPS >Dexi2A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:2A:5635451:5636227:-1 gene:Dexi2A01G0005890 transcript:Dexi2A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHASGAAAYVKSFRRDWSPAMIMSALITTATPMNTPGNSKTTAFKYGAGQLNPVKANNPGVVYDALENDYVAMLCAQGYNATQLALITGSNTTICPDGSTAGSPSDLNYPTMAAHVEPGNNFTISFPRTLRNVGAANDTYDVKIIIAIETAKDIAIDVSPSRLEFSAPYQKIPFTVTVSGVAPLDGQVHSAAIVWYNNEHEVRSPVVVYSSTRLADL >DexiUA01G0025150.1:cds pep primary_assembly:Fonio_CM05836:UA:52703097:52707917:1 gene:DexiUA01G0025150 transcript:DexiUA01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEVAAAATGSRGGVLRTAMAMRSAAKHAVLKPPLALGSPGAVFCGRLSPRTPGAQPCRAASSASVPAAREHLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEDQHPIVLQIGGSNLENLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMAAIAANCDVPVSVKCRIGVDDRDSYHELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKVPPLKYEYYFALLRDFPEVKFTLNGGITTVDQVSASIIQGAHGVMVGRAAYNNPWNMLGHVDKEIYGKPTRCISRRQILESYQVYGDSIIGQYGPSRPNVRQLVKQIYKLAAISSRNVFYKTTIPNAFQFYIGSSPFIIDYGYETNLILRQPLVHLFHSEPGNNLWKRKADSAVRYCKTVKSFLEETLYAIPDSVLDKPVTREQCEERYFADVDALLPPRYKALTNCSYASPELVTAST >Dexi4B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:4B:15079617:15080198:1 gene:Dexi4B01G0014300 transcript:Dexi4B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSTSSLPSSTRTVKTISEVEAFSLRAEDLRFVATQFRRLHSKQLQHTFRFYSQHWRIWAACFIQAAWHRYCRKKIEDSFREKEKRLKFAIANEGSTSLSFMAALYASRFAGNMIRILRRNATRKAQLQERVPARLLQKPAEPNFSAEDQ >Dexi5A01G0027590.1:cds pep primary_assembly:Fonio_CM05836:5A:31052475:31054061:-1 gene:Dexi5A01G0027590 transcript:Dexi5A01G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPPPQSEALPSPQAGRPAPSAAAAAAAAAKRGVGGAAGAGAGAGGLLMGKYELGRLLGHGTFAKVYKARHVGTGENVAIKVLDKEKAVKSGLVPHIKREIAVLRRVRHPNIVQLFEVMATKTKIYFVMELVRGGELFSRVSKGRLREETARRYFQQLVSAVAFCHARGVFHRDLKPENLLVDENGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLGRRGYDGAKADVWSCGVILFVLMAGYLPFHDKNLMAMYKKIYKGEFRCARWFSKDLTSLLTRILDINPNTRITLSEIMESRWFKKGFKPVKFYIEDDQLHNVIDDEFGLLEMGPADPVPPPLPPPPPLLPLQKVDADDSGSESDSSISSCPASVLSDESQRPRGSLPRPASLNAFDIISFSRGFNLSGLFEEKGDELRFISAEPMSDIITKLEDIAKLKSFKLRRKDWRICLEGTREGVKGPLTIGAEVFELTPPLVMVEIKKKAGDNEEYEDFCNKELKPGMQHLVHHMVRAPSMPTDGN >Dexi7B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:7B:18335284:18337282:-1 gene:Dexi7B01G0011260 transcript:Dexi7B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNVGAQVGRSTAGELSLPDLIPGEKIKAEAFMAAASKTLMISQESEQQDDRLGKLPDDVLISVLKHLSLRDAVRSSILSRRWRHIPSVLPDIVLDVESFEPNKDDGFTSTVSDLASSNMALAQAAKSVLGRKSDRPIGHLAVTFYLRKESMAIVRAVDDAMSPAVGGRGVVAAELTILGEKMDFLCNGSDMARNGRRFLSYFNACPGAFAGLTSLHVESVALGLSDIPNVLRTCEKLESPSLLNCDSGHGTVLTLEHPQLTDLQLCSCNTVELRWLPKLAQVACSTWVPSQDDRCPLLFGHVPLLRSVKLSTAAYVTYPVLLLSKLLANCTMLSELCIDFDSHRIWIEPEGPAQLAPLLQNLRIVTLDNIFEECDLTWTLFLLRAAPLLKTLQIKVSSHECTPLPNEMLRTFICEKKNIKWEPSDFKHNHLAVLEIHGFEGGNKFIGYIRRVMEAAVNLEVIILNDELCEHCGFRSTTGYPRTKKERDLIRKQISEGSSSATSRDVQFCEMSLEGRKKIVD >Dexi5B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:5B:3961522:3961857:-1 gene:Dexi5B01G0005880 transcript:Dexi5B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVPCLQDQEKALLRLKSSFTVTTDSIMEFRSYLNLARNNFNVSELPSIGFDRLSHEFDLS >Dexi3B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:3B:1857169:1860439:1 gene:Dexi3B01G0002760 transcript:Dexi3B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPAKRLVRRAAKGPLERAGLAGLAAAAVAAAALLVLLCAASLRCSAAAPRMLWAGGVSIAAEASEASTEVQARREAAAVAARGSEEDCDLFDGEWVRAGGGYPLYDSADCPFLDVGFRCSENGRPDASYTKWRWQPNRCDLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSAAVHNKSDIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGTPDVVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGGDWKTGGSCHLETLPDLTPPKSLEEWDDLLKPVNDVLGNNLRPKLSGLDMLNVTQMTAQRKDGHLSVYLDPSGPVARYKQDCSHWCLPGVPDTWNELLYALVMKRHKKMDQNVSLSGTMTLNTG >DexiUA01G0017860.1:cds pep primary_assembly:Fonio_CM05836:UA:38023480:38025827:1 gene:DexiUA01G0017860 transcript:DexiUA01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQFLSDGSSGSGMWIVPITVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVARKQTLTSLLRLLNAYHDESDYTVLSHVTSVCLSISKISVDATPDLNKDIKQLLINLLLPAAIKLGWDPKDGESHLDVMLRSLLLIALVRLGHNETVNEGVRRFHIFFEDRKTSLLPPDTRKAAYLAVMRTVSTSNRSGYDALLKIYREAAEPQEKSRVLGSLSSSPDKDIVLEALNFMFTDEVRNQDSYYILGGISLEGREVAWTWLKVATCNQ >Dexi3A01G0024570.1:cds pep primary_assembly:Fonio_CM05836:3A:20198783:20203830:-1 gene:Dexi3A01G0024570 transcript:Dexi3A01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAAHHGPQRNRPSVSAAVYSRSRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVDNALRDAKLQFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGEVKGKVESKLQELKDAVAGGSTQTIKDALSALNQEVMQLGQSLYSQQGAPGAGPTPGADATAGSAEKPGDEGDVIDADFTDSK >Dexi2B01G0028040.1:cds pep primary_assembly:Fonio_CM05836:2B:36738845:36739849:-1 gene:Dexi2B01G0028040 transcript:Dexi2B01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHDRDAACVPVLALLVAAALTIPSAAAQPWPGCDAQSGNYSAGSAYAANLLRLISVLQTNASNSPAFFASGSAGVGAGAVVYGLMLCRGDVSPSDCYDCGTRAGQDVEQACNRTRDTALVYNQCYVRVASTDFLPSPNNTGVVHLIANISIPSGVDVAAYDAAVTRLLNDTSRYAVDSSLAPSTSSSLRKYFATGQFMGLDPKVPNIWSMAQCAGDLSMAQCRGCLGDLVAMWWNGSRFHLNEEGARLAGSRCYLRSELGKKFYTGAPMVKLQMNAEGAVPAPAPTGGVN >Dexi8B01G0011950.1:cds pep primary_assembly:Fonio_CM05836:8B:21202944:21205784:1 gene:Dexi8B01G0011950 transcript:Dexi8B01G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRLPKEVAVIATTFFVLWSSALLAATAVVEHTFIVSHVNMTHLCKETLVAVVNGQLPGPAIEVREGDSVAINVINKSRYNITIHWHGVKQRLNCWADGVPMITQCPILPNHSMTYRFNVTDQEGTLWWHSHVPSLRATVHGALIIRPRHGASYPFVKPHREIPIIVATINGKLGDVHNCSGKIEDGYVLEVEPGKTYLLRIINAALTSEYYFKIAGHRFTVVAADANYVNPYTTDVIAVAPGETVDALLVADASPAGSYYMVAQDIQPPITDYTEPKLIARGTVRYQSSNNGGVELRSSYHDDEEDEAPSSSDVPMAPEMPGLHDRMVSFYFHGNLTSQHRRSPVPTHVDDHLFVTLSAGSVCRHGQSCKRRGNIQESIILVTMNDVSFLLPTAAAATPLLESHYYYGQNKTATGGGVDLHTLPDRPRKTFNFTDTALIPHGPKEERLEPTERAATARRFRHGAAVEVVFQSTAVMQSHYNPMHLHGHDMFVLTQGLGNYDAARDVARYNLVDPPVKNTVLVPSLGWAAVRFIADNPGVWYLHCHYEYHASMGMATVFIVDDGTTVDSTLPPPPADLPKCGHNNNLMPNELNPHVGNSDVSYINIV >Dexi5A01G0021950.1:cds pep primary_assembly:Fonio_CM05836:5A:25799493:25804044:1 gene:Dexi5A01G0021950 transcript:Dexi5A01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRGPKSSPAPDPAASPSRTPSSGSASSPSSKASALARSLGAYFPRSTSAQVRPAAAAARAPPQVAELLRAIEQLQEREARLRVELLEQKILRETVAVVPFLEAELAAKRSELERCRETADRLEAENARLCAELDAAALEVTSRKQRIVELEKEMAELRRQQQEAAAAAAAEVDDCSSSASASNEHLETTSIAAPHQAGAERPYILPPPPPSPPAPPFKSKSYFSPASSTPSSPASSSSPPSPSSSTSASSPSCSRSSSDTAAAPPRPRRVVLDLSKLPPIPPPPPPCPPPPPPPPPPPRSSTRTKSSSSPSSGSAAAPPPPPPPPPPPARRPFGAAFPASSGSSGQCVRRVPEVVEFYHSMMRRESKRDGGAAASEAANGVGGVAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVNIEDVVSFVKWLDDELSRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLEGEAASFRDDARQPCAAALKKMQALFEKLEHGVYNLARVRDAATSRYARFQIPWEWMKQDAGIVSQVRKLRTFHLLSAGPFHGILAVEATVDCTVESSPAPSSSTVWCRCRNATKGDSRLDASALIPLRVRPERQKPRTKRGLFRPLLGRGVGVRNLALHVSHLAAERPVLWLPSPSRCRCHNSREQPGHAAGSISRVRFARHRLEQRWARPGGTGEGISPRQRGPSTDENCDVLQIKLQSVKLAMKYLKRVSSELEAIEGGPEEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQQSRHLRQHRLVART >Dexi5B01G0012400.1:cds pep primary_assembly:Fonio_CM05836:5B:8773521:8777621:-1 gene:Dexi5B01G0012400 transcript:Dexi5B01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGCHRFAHLRLFSFLSMAAPQQPGKTLLRPNTSPPPAGSAPKRSRTMATDAAAAGPPASKGCPAMKAEFAKHAEYLNALNDKRERLVKASRDITMNSKKVIFQVHRISKVNKEEVLSKAENDLAAVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATLCRFCKTGTLLSLAEINDSLLALSDKSVEPLQINVLDYLLGVADLSGELMRLAIGRISDGEVEYAKKICAFVRDIYRELTLVVPLMDDNSEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >Dexi4B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:4B:4406275:4410739:1 gene:Dexi4B01G0006250 transcript:Dexi4B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAGGGSSLKLKPVAARCGGMMAVHSSLHGAAGQAHPSAPPNAIKGNFRPVNEIGEAALLDNLDGEVPEDFPEGVYIRNGPNPLHPTQTIADSIFGSTSYMYYEGHGMLHAVYFNKSSTGEWKMSYRNKYVDSDTFQLEREKNEVAFVPSADGEPYGTLVAFLINIKIHGSGDLVIMGTNTEKPHFVLGVISSDGERLLHKVDLKFEEGKLIHDIGVTAKYNIIMDYPLKFGISRVFLQKPFCHNNVHVFVFRFIENDMNGKSRIGVMPRFGDAESIRWFDVENHCSYHLFNCFEDGDEVIVRGCRLLGSIIPSGRHRVDKSKWLNLESGRTNEGYVTSEEVAMDFPVINEKFIGIRNKYGYAQVADSLATSKTGLFKFNMIAKLNFDMPDKFCSGVQFVAKESGVDEDDGWVVTYVHDERTNISQVYIIDAKRFSEEPVATITLPQRVPYGFHGNFFYK >Dexi3A01G0031100.1:cds pep primary_assembly:Fonio_CM05836:3A:35507607:35510556:-1 gene:Dexi3A01G0031100 transcript:Dexi3A01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDPRPEDDDPFTDGEASDSDSADESRPRRMGVRRPGGATNNPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTMKGFKGSFPDAASSAKIFAVLAGVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQEPALALPPATGVKDPKGGQSVLPPFTLPLPQDASEGFSKFQNFLSSKFRGN >Dexi5A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:5A:8981025:8983143:1 gene:Dexi5A01G0011970 transcript:Dexi5A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDAARCPEIPGRCHHCAGPLSKDMETSSWTVPAMVRDSFSMIGSAVGGIAGAFYGFNHTMPVVQRCIKGPMWMHFLVGAPPVIVFSSACAGLAG >Dexi9A01G0036830.1:cds pep primary_assembly:Fonio_CM05836:9A:41155550:41158066:-1 gene:Dexi9A01G0036830 transcript:Dexi9A01G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKETGPELSGKGKRRSARLLKLEEEKNDGDSAGVCLLDPWQIIRNSISGSSTRGKRKRNEEIQLQGEASCSHQQPLDAANSNNSTSQSSVGQIIEYILDELELRDRHELFAMPDNIQVTDYAERVSRPGDFATLRQKNKDGMYTALEQFENDVYMVFQKAITMNNQNTVPFREAMSLLDQAKQVFMSLKNNQMYSVTELAAWRQRHLDQLHQQSITPEGRREGGSRGPPRHVAAMPLQPSAATPRKKSTAEMRKQENANTVTGGNTPVNQRARRRGPNKESKGTPPGKRARRATTASVGRAGVVARRRLTYDGGAGADRVWRAMAMPVFQGQHVTFNSQPHEQTYRDSLHGFVRHAGLKARVAAEFRTLECVSRARRSPVAQCWNGFAPGAGFLPPSSPRPLAGAAVEAIPARPPAAPECKLETDEVLKLFLLMGTPAAFLGRAKKMFGEGEREESARKEEEGQAAMVPDEARAGAATAAAAESTWQESGASEAAGSAAACGPFAPPKLVPGRLGFGQFAGSSAQPFKMKPKPSTASNAAGKKKIS >DexiUA01G0027480.1:cds pep primary_assembly:Fonio_CM05836:UA:58749927:58752970:-1 gene:DexiUA01G0027480 transcript:DexiUA01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFPLAGTSNGFRPLPRIGRSSIMAGFSTGFDKGPRSTESGIIDGTLEARTLEETNRLYQGTPRGGASSPRPLSNEPTLIERGRDVRSNHPLPAQPGIHGSIPSREAWVATSSALSNREHEAQRKQRMLCTSSLGGYTRGCASAPPRIPRTREDKKPRKPRRTPTFAGLNLIPAKARGLLPAKAHHRDDSSAPIQLGVDDHPRARISVSETPTLERVISVSVEARQARACGPSSSQPFRLELAVQTRVGLTGNDARSFQGITAPRNHPRPGEDIGQIHSQHGTVPTTPLTSPASGTPPSSKETLEGGCRGSIRMPHTLDAVNHHKKQGHHPELEGAIPARTVHGMDRTNTREHLADDIQTQGLGSPSPSPTLLVTPYYEQHAIRIKTPVSYYRHQGNASG >DexiUA01G0012980.1:cds pep primary_assembly:Fonio_CM05836:UA:26976337:26982978:1 gene:DexiUA01G0012980 transcript:DexiUA01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKDAILCCLLLVLVLHADPALAASTPPPEVVAPEEYFAPTPAVADSAAQEGAAASEVTNAEAKGGGEDAKRPNMDGEPDAASDLTWTVSPPPPQVDLSTDRSSLSPSVHRRCAMSAVSPDLGLTFPQEGSASAAVVEVCELGLRVDQYDIPTYPSYHLEACHYTSKGCSILTRKQPPPPSPVAVAGDPLASPAAGDPSWPDPPLGDAQPASSTTGDRPSRTRLPPRLAGALPPRGISSDGRVAALPDSHGPCVGVLWVCPWYFNCDAGRDDTMVYRRCSRSEQDRLESLRLYGGNGNGVGSHVQMHVLVLNNHDAATGPWRWIDVEEFDMFHTLTVEEEYQNVALFYMTGMFFTGML >Dexi9B01G0034120.1:cds pep primary_assembly:Fonio_CM05836:9B:36175411:36177052:1 gene:Dexi9B01G0034120 transcript:Dexi9B01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYNCKTLMMATAGYIDDSCSEVIDPPKTEVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Dexi2B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:2B:249162:259319:1 gene:Dexi2B01G0000570 transcript:Dexi2B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAELARLCSTRNWSKAIRLLDSVLARSPSSIHDLCNRAFCYSHLELHKHVVKDCDRALQLDPALLQAYVLKGKALSALDKKEDALLVWKQGYEIAVRDTVDLKQLLELEELVSSVKICETTDSADHVVDASPCDTKVVISEDRVVDTSSTATTMADTKTVVCEEAIGNSKVSSNGDSKLTNHKVDNNKVSSSPVKDSTGTQAPKKAPKLDKKNKAKAVKEMNGRAGVTDRTSSDESETISLDQTLFATKISKSSKSISLDFRLSRGIAQVNEGKYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELNSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLVGIKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQIDSRFAKAYHLRGILYHGMGRHRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEMALYIASKANLEFSQFNIDDDVDPLFKVKIILYACCVSFVILKTYRASVDDRNIGANYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRRRDKLNMSQNRGGYCSTSTLSGSPTSSPSEDRIFSGFSLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPYYQRILETAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSDLYHIVGETYWVATRCDSMAFQGGKTGFDFAIRTPCTPSRWEEYDEEMAAAWEAICEAYCNDTNPTRDPGSLDAVKDAILRMTYYW >Dexi2B01G0032040.1:cds pep primary_assembly:Fonio_CM05836:2B:40019980:40022134:1 gene:Dexi2B01G0032040 transcript:Dexi2B01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAGLLRPHLAAAAATGRVRRHPAPHIPAVPYSSASSSSCREILGTFRHSIGVQSRRLLSQASGGGGSDACWSCGVAGAFLSCGSCGSVQPVDPAVDYFQIFGLNKEYNIKDNNLEGRYKEWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSNPLSRALYLLKLEGIHVDEEKTINDPKLLMEVKEKLETWSHSFQEAFEKKDFDRAIEATQRMRYYERAVEETVKKL >Dexi1B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:1B:7980783:7985557:-1 gene:Dexi1B01G0009070 transcript:Dexi1B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMQLDNSGQAYFMRELVPGSEDSGIGSEEEAINKPEPPARSKSDGVLYISPSDRLDSQDLNVEHQEKQTSDDFESYGGYGRLEEAEDLPGQAEGGNSEVVLVSVDGHVLTAPISSTEGMEDMQLSDPQFHLGPGQGSNGDFNHSGEEWDAGILDDLYISQEKVKFESGHQLNVLIQNGEIAMKNDESHHILADKDETLHVSVNEDELLNVSVNEDEAHIVSTNEDEAHVVPINEDESHVVSANEYEAHVVSTNEDEDHVVSTNEDEVHDVSRSRNRNNDEVYQPMTSENGSHGRSENVDVGCQPLTTEDESCDVPVLEIDNDCKFLATKDEVCDLSNKDAELEDAGARLGKNDTFQSCLDLTSQIDDGDSGNELFSPGSDYHRDSDLILGNCSVAETDVQEGETKPAYFDQDALQEGADASIFTSEVDGTHNKKSSFHYGMESDLSPEGGSHNRSTKIASKVEAAGSDGLQSSMATSDNKLGIIPEHSEVEEEQNKEEHSKSHKGLGVEISLCGNMLQPGMGRESAEEAFQQHLVSEEDFKSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >Dexi5B01G0031390.1:cds pep primary_assembly:Fonio_CM05836:5B:32160245:32160936:1 gene:Dexi5B01G0031390 transcript:Dexi5B01G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSSPEMMSEATEVRKKASTDATTRPSSVSREGASEEHDGLVGGAEDVEEAPGAEDAEEDEERERVRQERGREGEGDHGGVVDAEPRLRRRREVASERESGREKADLSSSSVQGRRSASERRAVSASRPTKRRKAGVASAASERTAPEDGAEPPPPPPGAGLVTGSKGAAVAAEAMAPVSRNRFGMGLELGEWVPD >Dexi1B01G0028150.1:cds pep primary_assembly:Fonio_CM05836:1B:32734157:32737255:-1 gene:Dexi1B01G0028150 transcript:Dexi1B01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTNSGEVQEGEADGHGGGDEGEQPPPPSDADYETDEVCTSSFTLQLCDLLQSRVQSPEFLEKLDSIQKSVYQHGAVDETVSWDIVSAADIWDDKSINVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQG >Dexi4B01G0014020.1:cds pep primary_assembly:Fonio_CM05836:4B:14377803:14380088:-1 gene:Dexi4B01G0014020 transcript:Dexi4B01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSEETVAAPPPTPAAPAESASDPPPADAASPEKVSSPAPAPAPAPETRSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWVLFEVLNYHLLTLISHVLIGVLAVLFLWSKSTTFIKKSPPNIPLVEIPEDLVVNVSRALRNDINRALHLFREIAMGHDLKKFLGVIVGLWILSEVGSCCDFLTLIYIAVLMLHTVPMLYDKYQDKVDHYAGRAHTEARKHYAKVLSKIPRGPAKPKKQN >Dexi5B01G0035110.1:cds pep primary_assembly:Fonio_CM05836:5B:35184162:35184537:-1 gene:Dexi5B01G0035110 transcript:Dexi5B01G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATELLSPLLPRRRRHSFAVAAEAAAPVLLLLVVVTFFCSPVASSSPEIVELTLLAGAREKGAARLVTTCREASAPAPTAGSSIFR >Dexi2A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:2A:228414:228939:1 gene:Dexi2A01G0000410 transcript:Dexi2A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNHQLICAAAAFAAIALHAMAAVSLAAGTSSGGKGTNHHLHLFMHVRQAFPSPTAVIIVNGTGAPVTADARWATSLPEERHPAMIVSMTVVLTDGSTVAVMGHNDITLPVRELAVVGGTGRFRMASGYVLWKTTSFQRKIAVLQLDVHLRT >Dexi8B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:8B:83368:84571:-1 gene:Dexi8B01G0000100 transcript:Dexi8B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAALLLFLHLQLLLLLPAPSAAQPGFISLDCGGAHDHADAIGIQWTSDASFVSGGQTAQLLVQNGLQSQQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVIDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLALSARINFGADSNDSIP >Dexi5A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:5A:3594205:3596213:1 gene:Dexi5A01G0004740 transcript:Dexi5A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIREESDYDSSRSSLTAPGSRRSWISDIGSSSSVSVRSFGGGWDAPAAACRHKPHKANQAEWEAIRRVRATSGGRIGLEHFRLVRRLGSGDLGNVYLCQLREPWSTGCMYAMKVVDKDALAFRKKLRRAEVEREILRTLDHPFLPTLYADFEASHYSCLVMEFCPGGDLHVARQRQPGRRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRLLRHNSLPHTGNAAGRSDASKPPSCVPPIQPVLSCLFKGVHKCHAKEEATPKPGAISGEAADDADDVESSSSSQAVDTNPEVVVEPVSARSKSFVGTHEYLAPEVITGQGHGSAVDWWTLGVFMYEMIYGRTPFKGESNEKTLANIMKQPLAFPRVAASTGREWDEHLRAQDLMRQLLAKNPKRRLGGCTGSGEVKRHEFFKGVNWALVRSVRPPEVPKSQVVVAPAMKKKVEVMSRKERLEPYNPPVRPDERFEYF >Dexi9B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:9B:5695578:5698169:1 gene:Dexi9B01G0009190 transcript:Dexi9B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAFSRFMSVSSAQLEDRGFETATVADVLKSKGKSADGSWLWCTTEDSMTQHNVGALVVVKPGQDKAIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTKVLQAMQLMTENRIRHIPVIEGKGMLGMVSIGDVVRAVVNEHREELNRLNAYIQGGY >Dexi6A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:6A:6646242:6647104:1 gene:Dexi6A01G0006820 transcript:Dexi6A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSGGTVATLLSRLYYNSGGNSKWMTTLTQSGGSPLLVVPLLLTPPPPRGEEQPRQPPPAASKLAAVYIGIGVLIGVDNLMYAYALLYLPVSTFSLVAATQLAFNAVTSRLINAQRFTPLITNSVAVLTFSATLLAVSSSSDTSGGDHLPRGKYAAGFVLTLAASATFALILSLYEVTFEKVIKAQTPRWVLRVQMYSSLVASAVAVAGMMTSGEWRTIAGEAAGFRGGKVSTLAGTAVAWQAANLGQVRLAARVSSLFANATGTVQLPLVPVFAVVLFGDR >Dexi6B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:6B:26220410:26221998:1 gene:Dexi6B01G0019510 transcript:Dexi6B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAVASTAAASTFLSSANPRRSSFATRIPAPLRRSLPCRLLSSSSSPHSSLAPVSAVASQAAAGEAASRKKLLIFDAEEDLASELAKRAAELSARFAAERGAFTVVLSGGSLVKALRKLAEPPYLEAVDWSRWHVFWVDERVVPKDHADSNYKLASDGFLSKVPIPAGQVYAINDTLSAEGAAEDYEARLRKLVKDGVIGMSPVTGFPKFDLMLMGMGPDGHVASLFPGHPVVNENQKWVTFVKDSPKPPPERITFTLPVINSSAYIALVVTGAGKAGAVHKALSEEENTSDLLPVEMVSLHDGELTWFTDKPALSMLSSM >Dexi9A01G0023950.1:cds pep primary_assembly:Fonio_CM05836:9A:19451845:19456499:-1 gene:Dexi9A01G0023950 transcript:Dexi9A01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAPVQAAPPRPVRFGILGCADIARKVSRALLMLPPGVAAVAAVGSRSEEKARRFVAETGLPAARTHGSYESLLCDPGVEAVYLPLPTSLHVPWAMAASARGKHLLLEKPTALCAADLDAILAACDASGVQFMDTTMWIHNPRTAKMREIIADKDTFGDVRVNDIRVKPDLDALGVLGDIGWYCIRSILWAVDYELPQAVIAQRHPVKNQAGVLLACGATLYWADGKTATFNCSFLTNLAFDVSIYGTNGTIHVTDLVIPYEEKSGEFNVASKSNFVKPTIGWDPLPRKHVGFTDLPQEALMV >Dexi5B01G0007990.1:cds pep primary_assembly:Fonio_CM05836:5B:5391835:5392833:1 gene:Dexi5B01G0007990 transcript:Dexi5B01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLERSRLHILLFIFSSLAATSAAAAPERTPNATTSPCPSYRCGHAVDIRYPFWIDDGINASSSSDTHCGYPTLRLECRRDTPVLPLPSGDYAVTHILYADMTVSLLDLGVFSLSNTCPLIGRRNLSLPYGSPLSLTPRDANLTFFVHCSFVGIPAHLVACLEGDGRHHSYVFRDGDELAPYGYAGLCQEVIAMPVLRRSLIGGGGASGSPLDAVVSALNMGFELSWRPVVDGECGQCERAGGLCGQRRGPGEHGTTQSSP >Dexi5A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:5A:13513113:13513313:1 gene:Dexi5A01G0015680 transcript:Dexi5A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLEEAREEYEFEHEGAIVLPCGFDWFKRAVEASADGHGHGHHHHFRLSHIHIARCFRTSHVVA >DexiUA01G0019100.1:cds pep primary_assembly:Fonio_CM05836:UA:39833876:39834088:1 gene:DexiUA01G0019100 transcript:DexiUA01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAEKGKALADGSESAAESAARWAREWSTWAMKNAKVVAHYGFIPFVILVGMNSEPKPRLAQLLSPI >Dexi9B01G0004820.1:cds pep primary_assembly:Fonio_CM05836:9B:2750371:2751392:-1 gene:Dexi9B01G0004820 transcript:Dexi9B01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVADIPVPLAEEAPDAAAEEAPATTAGDAKPAKSKKAAAPRKRANPSHPPYAEMISEAIASLKERTGSSQYAIAKFVEDKQKDKLPPNFRKLLLVQLKKLVAAGKLTKVKNSYKLPAARAPAAAKPKPKPKAKPAAAKPKPKPKAGAKKPKAAAKPKVTKAPAKAKPAAKPKPAAKPAAKPKPVAAKPKPAAKPKAAPKPKAKPAAKPKPKAAAAKPKPAAKTKAPATSSRTTRPAKAAKTSAKDTPGKKAAPAAKKAAPAAKKAPAKKPAPAKKAAAPARKVPARKAKK >Dexi5B01G0000060.1:cds pep primary_assembly:Fonio_CM05836:5B:49400:50485:1 gene:Dexi5B01G0000060 transcript:Dexi5B01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRGGSLVALYVTICSLLFIASKMLISFLLYKRWARKKRIIDNDSLAGGKMVMFRSAGNKALLHQSVSPKAFLSMLMGLSSKDVIGAGGYGTVYRLAINGGGSFAVKRLNRGTAEMERGFERELDTMGDVKHRNIVPLCGYYAAAHFNLLIYELMPNGSLDAALHHPDPACRRRPRLRWPDRFRIALGVARGLSYLHHDCIPHVIHRDIKSSNILLDHHMEPRLSDFGLATLLRNPTASHVTTVVAGTFGYLAPEYFDTGRATTKGDVYSYGVVLLELLTAKRPTDESFLENGTRLVTWVRETIEDKREDHAVDEALLLTGFPAHEVKLVFSVADRCLDSDPANRPTMAQVVKMLEQQN >Dexi8A01G0011980.1:cds pep primary_assembly:Fonio_CM05836:8A:20995106:20995488:-1 gene:Dexi8A01G0011980 transcript:Dexi8A01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIKMSRMRHVPLMVSLFLLLATMTSTSQSVKIEGCFGSWCRPRRPPRPITCFVPRSLEQYNDYDCTHTCNTQGHHFGGYCKLEVLLCCCR >Dexi1A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:1A:6397489:6398251:-1 gene:Dexi1A01G0008320 transcript:Dexi1A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLCHNRVLAGGRALALARPTYAASPASGVTPRFLSSTSAPVDSAAGGESNRREVAVSGQSVPARRGGRWPWRDLRDFTPFRFVDGLGSALSQVAETLTRPLERLAPTRLLTGKVREDEARYRLRFEVPGLGKDDVRVAVEDGVLVIEGEKREHGEEGDDGEWWAASGYHASLMLPDDARAEGITAEVKDGVLYVTVPRTGERKRNVTEVKVQ >Dexi5B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:5B:4673440:4675201:1 gene:Dexi5B01G0006980 transcript:Dexi5B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLHKVPYGKKVSGDPISEGLPRSASATYDRDGLKPYSGPAAKRHVNQDFRRSRSLSESLENYSRLLDAISTSDSKRILTSSKSTRDNFLDVPGVMTSSQRASEVEFRSQYLGRNDENLVTGEDALAALAQEKIDVDGDAKVVVDYSSGDVVAGDSENDVLLEEYISEKQFNATVSVEDSCIVPSPLEVGTSEEQAATIDKNDQIRSSAEVELCADHSMSEEVDILEEHAETCDDAQIHCSPQEDSVSEDTNIGEEQSPTSHDNQMQSFQIPKSTKDTSLKPRILHLDADVSDDTDIVKESDFDDLNGFQVDPSHEVEFNYVKDIFKKSSFTHEALLDEWYSQNITALQEEDCQHYEAAAASFYFTDMSADQLLLFDLTNEALLDIYKKYSFAKSKFFGFSSSGRQKPVGHHVLEELWSRVSSRLDERPKSSIQVDMILSKDLAKSNRWTNFDRYADHMGNILADFVFDKLLTEVVLQLAEF >Dexi9A01G0045390.1:cds pep primary_assembly:Fonio_CM05836:9A:48948569:48950136:1 gene:Dexi9A01G0045390 transcript:Dexi9A01G0045390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVLPLMDFIARRAFVGAGLQPHTISIAIPSDAGEQRATIHYWAPPGTEPRLPALLLIHGFGPMATWQWRRQVGPLSRCFHVIVPDLLGFGASSSSSSSPAAAAPSETAQAAALAALLDALPGLALARVAVVGTSYGGFVAYALARAAGPGRVGPVVISNSDLLKTADDDAALLERAGGGIASTADLLMPLDARGARRLMELSFYRRHGITLLPHFVLRQAVQQLFRDKREEKIALMKSVTVGTDEFKLTPLPQDVLLIWGDHDQIFPLEKAFAVKRCLGENVRLEIFEKTGHVPQMEDPDRFNKLVLDFLFEITKASNSTASYVL >Dexi3B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:3B:589704:593754:1 gene:Dexi3B01G0000680 transcript:Dexi3B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGSSACSARTLAACVIGGIVLGASVLALHHAGSSAIPSLPPLDAVRRRLRRRRHRPVRVYMDGCFDMMHYGHCQMIMVRAVKWVDDIIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKVDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDYAKDDSNPYAIPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >Dexi4B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:4B:1477792:1481097:1 gene:Dexi4B01G0002310 transcript:Dexi4B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASRAAATVSLDLAAAAPALSRGRRPGSARPSAPRPVAAGGLRMRSRAVVAAAAAAAAPAKAGADEVVLQPIREISGTVKLPGSKSLSNRILLLSALSEGTTVVDNLLESEDVHYMLGALKALGLSVEADKAAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVIGLKQLGADVDCFLGTDCPPVRIKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACAEVPVTIRDPGCTRKTFPNYFDVLSTFVKN >Dexi5B01G0036180.1:cds pep primary_assembly:Fonio_CM05836:5B:35990040:35996302:1 gene:Dexi5B01G0036180 transcript:Dexi5B01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNICTWCWRAQRLSTYSNHKIDVGDFDPDDTDDLDSRARSLPGPPPLRVEAGGIEADELGAARSFVVHGTKIFFTLPSPDRAALALDTSPLPRANEQQLCCSGFVVAGDRIYSMGERNKPGACDFEVLRLGSKSSSRSRRRCWSWSSVPSQPPFDPPDVACYAVHADGRTIFFSVSDSTDLNPPFARRRVAGKDTGATSSFDTEAAKWTLRGYWMLPFHGEARYDEEVDAWVGLHGECDHKDRVACCDVLPPATGDESVDTRQEAPPWKLAEDRLFRVKGRRHTGGALVYMGGSRFCVVERAMEEELTREQGKKVDVDGPPRLLLHVRTFGLKYGKDGRLCFFSPWPIINTHHNTQRNVLSILNSSSASGSNEGLRIVFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKKNISVSDPDEIPKVLCYSCTFLWLLRVHASLVPYMFNLSLSLLLILQKLFSQIYVPTNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEAYIRLVRKHGLEISQPGLEPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAATINNQSSFSDPVPFIWSYVKQPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRARCRKEWGIFQTRLADAEKAYYMERGITPPNSTGT >Dexi9A01G0032370.1:cds pep primary_assembly:Fonio_CM05836:9A:37251298:37251954:-1 gene:Dexi9A01G0032370 transcript:Dexi9A01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACMATPAAAQPPLLPTPPRFAGSAASDRSVWSSKKPGRASASQSWTRDKLVARTSAAVPIPGRASLSDSWTKDKTERKEAAIVEEQRVGRAPSREESLIRAKRASSRALSEVVGRSEKKAKPEENAAANKLDGDVEKPEENAEAKKLDEDVVFYAGPAFIKSPDPSEVPLPKFVLLGQSPEPSDLPAPRFLMKKAPKATRWFVIKAPKALRRRSI >Dexi1A01G0014210.1:cds pep primary_assembly:Fonio_CM05836:1A:20624734:20626329:1 gene:Dexi1A01G0014210 transcript:Dexi1A01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPLVPSFLASLMALTCFHATASDPSLLQDFCVVDKMSKVRINGFPCKDIKDVVAEDFFFSGLHMAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPHGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAALSSQNPGVITIANAVFGSKPSIADEILAKAFQVDKMTVDRIQAQF >Dexi5A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:5A:1143122:1145249:-1 gene:Dexi5A01G0001610 transcript:Dexi5A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVTREATAELVARVARRAYQRNGVITDVKSFGKVQLGYGIKKLDGRHYQGQLMQMTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGLEFINEDDGKYEMSGFNRERTKDDFDIETDSDDSESEFLTSSDEDSDAYKYEVEEDEKK >Dexi7A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:7A:11245077:11245475:-1 gene:Dexi7A01G0002660 transcript:Dexi7A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHEEEGLPEMEENEEESRMGGDGSVGWGAAASLLTAAHGGERGGEQDGGRATAAQGGGRQRPTCVGSWSNGVGWGATAAASVVASSPLSRASGTGLFLRGLAKGYARGRLIQANTEREPSKLWAGEGNGG >Dexi9B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:9B:13748957:13755387:-1 gene:Dexi9B01G0019150 transcript:Dexi9B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSTTPSLLLLALLVAAAAAVTSSEAARVPGNWTEELRGAERRRGRHAHGYAWRQRRRAFENGLGRTPQMGWNSWNHFACGINEDLIKQTADALVSTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSMKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNPAGRSITERYTKMSNAMKTYGKSIFFSLCEWGRENPATWAGSMGNSWRTTDDISDNWASMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNWEVIAVNQGKISLASLPMIVWFPIILMQRLSEQIVWAGPLSNNRKAVVLWNRQGYQATITAQWSNIGLSSSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPN >Dexi4A01G0007770.1:cds pep primary_assembly:Fonio_CM05836:4A:5834771:5836321:1 gene:Dexi4A01G0007770 transcript:Dexi4A01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDLANGHGQAAASDAGGGRSGDDIKAHFVFIPIMFQGHLIPAVDTALLLATHGALATIVVTPSYTSRIRPTIDFAHTSGLPVRLLELPLDLAAEGLPSGADDVDKVPPDLWTNYFRALARLRTPLEHHLLADADVALPVTCVVADFCHPWAKELAASLGVPRLCFFSMCAFCLLCQHNVERFGSYDGVADANELVVVPGLERRVEVSRAQAPGFFRDMPGFEKFGDEVEQALVEADGIVTNSFVEMEPEFVAGYAEARRMKVWTVGPVSLFHHRTTTTTSASRGNAAAIDADECLMWLDDKEPSSVVYVSFGSIAQANSKQVIELGLGLEASGHPFIWVVKNADQHGQDVIEFLHELEERVAGRGMLIRGWAPQVLILSHVAVGSFVTHCGWNSTMEAVAAGLPVVTWPHFADQFLNAKLAVEVLGIGVDVGVTEPVMYRMDLKEIVVGRDVVEKAVRSVMDGGEEGEERRRRARVLAAMARDAVEEGGSSYGNLLDLVKRFEVDTAGCVATA >Dexi4A01G0010240.1:cds pep primary_assembly:Fonio_CM05836:4A:8166609:8168855:1 gene:Dexi4A01G0010240 transcript:Dexi4A01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASRLARTGPRLREGALLPYITLPPPPYTVHASTRRLVAPAFNKAQSLRRRLSSTASSPSDETAAAVLAGLQRESTLSSSTISLLRAKPGLADELYALIAVSGEKPHAPLAPASLAILHSLAACHRIAPSSASLLSRLLARFRCPADAASFLRDSLAAGAPAPGVSAFNSLLAALGRAGNLRGMTELFTSMTGASVQPDVVTYGILLNGLCKAGRAGDALKVLDGMSRPGSHVRPDIVILNTVVDGLCKTGRLSEAVAFVDERMRHVYGCVPNTVTYNCLADAFCRAGDVGMACQVVGRMEKEGVAPNVITMNTIVGGLCRVGRVGAALEFFREKGTAWLDTRGNAVTYSTLVSAFLHCNNIGMAMELFHEMVEQGHPPDAIMYFTMISGLTQAGRLEDACTMATSMKNAGFKLDAKAYNILICGFCRKKRLHEARELLGEMKGAGLQPDVYTYNTLLSCLCKAGDFSAVDECLEKMIDDGCQPSVVTFGTLIHGYCKSGKTDEALRIFRSMDEYGIQPNTIIYNTLIDFLCKSRDIDLAIKLFDEMRGKNVPANVITYTALLNGLQDKNMPEKAFELMDQMREERCTPDSRTLDVLMGWLPEIGETERLKRFMQQCVQKDNHVRVLGA >DexiUA01G0008180.1:cds pep primary_assembly:Fonio_CM05836:UA:15375787:15376329:1 gene:DexiUA01G0008180 transcript:DexiUA01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQEHVILVNDQGMVIGTQEKYAAHTAHTPLHLAFSSWLFNARGECLVTRRALSKKAWPGVWTNSVCGHPQSGEKTEQAIIRRCRFEVGAEIANITPIASEFRYREADPSGIVENEICPVFAARVTSELHINHDEVMEYEWAELEALFRALDAAPWSFSPWMVQEATVARDALRAFAAQ >Dexi6A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:6A:21663429:21667426:-1 gene:Dexi6A01G0014280 transcript:Dexi6A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPHTPAPARRNDPDARDSSPPPRHATPAPPPVSDPTAPRMRLPHTPAPARRNDPDARDSSPPGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLTALIVMTALSACLGWAAPNLISRKWTHHVTTLLFFVFGIWSLWEGFKEDGDSEELAEVEKELDDAFKTNKGDSKSKSKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMVELSSGVLFLLFGIMSLLSGPEGEL >Dexi2A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:2A:32331850:32332417:1 gene:Dexi2A01G0020240 transcript:Dexi2A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNSLSLVAMLVASYATLSSARTFTVGDGQGWMSGIDYTDWTSGKTFAVGDKLLFSYRSQEHTVTEVSKGDYYACSSGCGALSDDASGWTVVTLTGPGTRYFICNITGLCSSGMKLAVTVADRDPVPSGASGGALVVPAVRATVLVATGVLIKLALL >Dexi2A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:2A:5691183:5692231:-1 gene:Dexi2A01G0005990 transcript:Dexi2A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVSVFPSMTHQLLTTRSWDFLGLPQTPPQELLLEAEVIIGMLDTGIWPDSPSFSDDGFGPPPSRWKVGHGSHTASTVAGRALANVSYGGLAAGTARGAVPDARLAIYKVCVGEFCSSADILAGFDDAIADGVDIISFSIGGMFPMQYFESAQAIGSFHAMRRGVLTSASAGNSGLDGGHVSNVAPWMLSVAASSIDRRFVDTLVLGNGDTIVR >Dexi4B01G0018330.1:cds pep primary_assembly:Fonio_CM05836:4B:20664847:20665549:1 gene:Dexi4B01G0018330 transcript:Dexi4B01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKSVGSAALKMVEEVRRQFNNIPWLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNVKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >DexiUA01G0014920.1:cds pep primary_assembly:Fonio_CM05836:UA:31186792:31187911:-1 gene:DexiUA01G0014920 transcript:DexiUA01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYFARKCLXXXEPPLNWETRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQNAYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAESAPLRNDWIVDSTYNLRAVELSGPR >Dexi2B01G0033980.1:cds pep primary_assembly:Fonio_CM05836:2B:41313659:41320651:1 gene:Dexi2B01G0033980 transcript:Dexi2B01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFAAEEESISRRMAPRPPPPCVSRDAIVREQLFGLVPVVRRRPAFAAVVRLLRGGGSQADEIIIPRPPQWTEAVVRLQGRWRGIAASSAAVSLEIHGGKNTEQFAGSDAARRKSGALRESEEERGRSMGGVGEKIMVSALTGVMRPVLGKLTNLIEKKYTELKNVRKKLELLREELMAIDIALEKYAAIERPDVQVKAWVTEMREMAYDMEDIIDQFTYQVDHEPAETETTRGKRFFSKKIRRLKKLHYRYRFAGEIKELLDKVKAAKERRERHKIEEGGPSILHTEIDPRLEALYVEVEKLVGIGNPRQEVIGRLVGKSPEERRRVSLTFGPLVESETLQPSAAPRQPAKGSSMVEPERAGQGGTRRASASSTPTFADGTGAHAAPVPGAATGARKRKSTVAAAFAGAAPEPPQLTYTTDSEDQEATFDP >Dexi9A01G0043010.1:cds pep primary_assembly:Fonio_CM05836:9A:46556469:46557560:1 gene:Dexi9A01G0043010 transcript:Dexi9A01G0043010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSDPSKEDASPEGSGTIQKTGAWNTLLQQASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSNVSNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKIEPKEENDEEQQKLLQMQVQAGQESSSAQK >Dexi9B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:9B:5785389:5785858:-1 gene:Dexi9B01G0009400 transcript:Dexi9B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMTCMFRDTHECGQWLSNFKIKDEDPNILMFGDKEVAILRIKEPDKIPWSKTRADYIVTACADESDADYYKNTGFI >Dexi8A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:8A:777580:779753:1 gene:Dexi8A01G0001120 transcript:Dexi8A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFTSSVLCSRAILPLAPPPRFLGRLPHQRAVSSCSSSSSSSPLQPPPPGMEVSYKFGPYKIDAREVFHATPLSYAMVNLRPLLPGNIPSLGALLFPPSFAFRDAGLDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKEKELKEKLDLDIERKDRSMEEMAHEANEYRALFS >Dexi9B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:9B:11483473:11485029:1 gene:Dexi9B01G0016710 transcript:Dexi9B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFKRTSAPAPMEIPPPPPPAPGAHPVPSSATPSTFRPGAWCPPCPPQSMASSSNPYWITGLQHPGMVGSMPQGPWCAPASFANMEDSDLQVFSDVLRIVNIKVSFLLTRGVDYTPPGGLLNFLNKNTPRHGPSQVVINGSSSQPISVGDDTGSDCPRTEKRMLWTKDEDIILVGAWVNNSNDPIHANYKKNDQYWKEVTAAYNSATPKNRARLMKCIKDRFGRIKKRVAWFCGSWKEANALWASGESDVNLMDRALKLYEEEHKKDGPFMFKHCWDVLHKEPKWDAYLERLANLDPEKRRFNLDDDMGQHFPIDDDKEERPMGGKKAKELQKRKRKDQSCVIDLEDELQLFLDAQNKANEGRNEMLETQRRVSSENLEARKLSYLAAKESKEAAMLETYRELLKQDTTLMAEDVRAEHVLALRCFREKLFGNTN >Dexi3B01G0037220.1:cds pep primary_assembly:Fonio_CM05836:3B:40015364:40022893:-1 gene:Dexi3B01G0037220 transcript:Dexi3B01G0037220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHTDPVSAPSPTLLLCRRAAPIRGIPRPGLAPSPPIRGVLAAVRAHVVAWLAADVRAGGRGLDVESMLSGFRCSYPVTGRKRLKLSGDESSDSEPFVCSVSAYDDSGGSLFDRCPERHQVASGTGDLPHNTVSTPNASMETKKVISPAKMVLPDDSDATECDMKFPHGFEPCWESESSVALFSGPFAVVQRMLANELYVSSKQSLFQHFEEVIAEEIANCLCYGLQSSIDQEQIGTPIHAPESPPISAEVSMHEEPSTAEMATAADEELNTAETLISHGEENLPLVSYARIFEKMDICMTAELDENFDEVPPGMETGIISLPPIDKNIYQPVRSMNSVPVISRYITLALHRQRLHENVLVEWASLFSDTISECLDSWYTRQNTVPKITDGSSKLKEYTYYRKRKSKKTCQATSSKEPVEISMDEQLSKPISQLVDPKIYLKNDQESSKASKSKRVSFVDKPPKKRSKTVAMANDAHNLNIQQDLKLVSSEVPKKNRSHPTKKQVSANKTPSVTDSVMNTSMLTKPVKKRKGRNISSESSQKAKPMISCPESDGCAKASISGWEWRNWARNATQSERARVRGYRVRSILAASNKNMWKNSQVKVSSARTERVKLRRLLRAYKGAELLKITQMKARKKKLRFQRSKIHEWGLVALELIESEDFVIEYVGELIRKRVSDIRESKYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVDGQKKIFIYAKRQIYAGEELTYNYKFPLEEKKIPCYCGSQR >Dexi9B01G0021010.1:cds pep primary_assembly:Fonio_CM05836:9B:15638979:15643460:-1 gene:Dexi9B01G0021010 transcript:Dexi9B01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKRKRAAEEWERRKRGRRRRRSREGQGEGEELGKRQVGTVGWKGDPMEALGEEVMGRVMELLDARSVARCTAVSPAWRGVAADDRLWAPKSRITKEDLCDHAWEYRFTIAAPEYFRNLDPSWKHTGPPMRRYFHPDGYLSADPDDAVWGGHECTYTVITSFVGNGRIREHYVRINRWPPLKVSRKDDWSWELSNHLYCYNSIPDSDKKRCTGPLFPVW >DexiUA01G0006780.1:cds pep primary_assembly:Fonio_CM05836:UA:13051873:13055207:-1 gene:DexiUA01G0006780 transcript:DexiUA01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEATLEDTPTWIVASVCSVIVLISLIFERGLHHLGKALERRRVTLYEALLKLKEGVGTLLFVCHFCSELMMLGFISLLLVVFQNLIQKICIDESLMEHWLPCRGGKKAAVAHYVGASSTFAGGGRRLLTGGAAFGHCLSKGKVPLLSRHALEQIHIFIFVLAITHVALSAVTVLLGLLQMRKWMHWENNIQEEGSSAPKMMKRIQKIKFIQDRCKGHEKFTWVIIWMRSFFKQFYGSVSNDDYVAMRLGFVKEHFRGHPKFNFYDYMIKALENDFKRVIGIKHNINCLPSSADGWHSYFWISLVPLSLLLLIGTKLEHIINKLAYEVASKHAVSQGEGGVVMRLSDELFWLHSPRLVLVLIHFILFQNAFEFAYFFWTLAMFGVNSCIMDRLGYSISRIVICVIVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVADHLRGWADEARQRMRRSATTGNAGCLGAAAAGRRWEAAGWRSMPERSTAQLRSISF >Dexi9A01G0031130.1:cds pep primary_assembly:Fonio_CM05836:9A:36002311:36003879:1 gene:Dexi9A01G0031130 transcript:Dexi9A01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVLPWGGGSPAWVDVPERSKSAFMELKRRKVHRYVIFKIDDRREEVVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDVDLEVLRGRANRT >Dexi4A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:4A:18111084:18111914:1 gene:Dexi4A01G0015260 transcript:Dexi4A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKPRDKGGEKVEQQKKKINGSQHSCSPFSGLPPSSAAVADLWATTGLSTSADEPDDVSSCARRACASQSVDPTTQSMSPAQHTCAASRPIHSPTKPTPSPNAMSTATGIPTRFTRAARVGLALPRSTPHPTVCGQLPSSQSPTMGSAAHVSRRISASDVNILPHAWRTPAANAMAPSPIPAAPATATCAAARAREGRLAPSSFPTRVETPSPSEEGNT >Dexi4B01G0008280.1:cds pep primary_assembly:Fonio_CM05836:4B:5973252:5977347:-1 gene:Dexi4B01G0008280 transcript:Dexi4B01G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPESAPPGQARGVAVFFAWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKATSLAAGVISELELKIKPLPTVLASFSGGSKGCMYKVIQLLDGRCEGDATMKDYRLVRNCICGQIYDSSPVDFTSDVGTQFLQKPAVGNSSQSFILRSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLIFCSEDDNLAPSHVICGFARRLIELGTDVKLMKWSDSQHVGHYNSHEAEYRTAVNDMLKKALITFCHRSQLCDSNMACDPEYKIAHSVCSLHNAAANSNESLRRVANSPSDHFFLPSSKDHDESREPGSLFEDQRRHLSHPPSMEPKGVLGQILFDVCVPNNVEGWDIKPTVSPNGRPAFASARQLGPFNPIRYFRRSRL >Dexi3A01G0009070.1:cds pep primary_assembly:Fonio_CM05836:3A:6283244:6286678:1 gene:Dexi3A01G0009070 transcript:Dexi3A01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPVKELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEDNGDENQSTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFIKKFEGKDLDLRTLVESLEPPMNIPE >Dexi8A01G0018340.1:cds pep primary_assembly:Fonio_CM05836:8A:30652062:30654458:1 gene:Dexi8A01G0018340 transcript:Dexi8A01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPEDFLGQGAYLAAPEPFSPSVFLDLPPTPRPDAAGADDSSDDLVLPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSSDDSATTNSNSSGGTAGSSTLSPSSTSSAVPASAEPPWPYDPIELSQLLRSPPYPDIGVGLDDFTADDVDALLLQGQAQATVGFHQSPPFVDTGAGGGGQLDQSSSLAAQNAAGEDGSQRPRASSAVQSSASDGQEETKTTDATAFPGGDGDHAALASAFFSGQNGENIDMLNMAFLKGMEEAKKFLPTNNSLLIDLEDTSGQSLPTDSKPATGFTAAQVKEEEEVADGILLFGGGGGGSTNGRGRKNRHTEDDLLEAEAGRNSKLMMPEQEETGASELFDELMSCNFEGFLKRMQDLRIAMDSESEKSARTVSSGKGARGRRCANEVVDLRTMLIHCAQSVATGDRRSAMELLRQIKQHSSPRGDATQRVAHCFAEGLEARIAGTGSQVYHSLVAKRTSLVDYLKAYRMFMAASSLKKAYVMFCNTTILNTVAGRSKLHIVVYGVQYGLQWPGVLHFLALRDGGPPEVRFTGIDLPQPGFRPAYQIEETGRRLSNCALEFGVPFKFQAIAAKWETVRAEDLNIDPDEVLVVNCECDFNNLMDESVDVDTLSPRDMVLNNIRKMRPNVFIQSITNGTYGAPFFLTRFREALFFFSALFDMLDATIPRDNDERLLIERDMFGRSALNVIACEGADRVDRPETYKQWQVRNHRAGLKQLPLNPEVVKIVRDKVKNYYHKDFLVDEDHRWLLQGWKGRVLYAMSTWVAEDNNIF >Dexi1B01G0018260.1:cds pep primary_assembly:Fonio_CM05836:1B:24515236:24517870:1 gene:Dexi1B01G0018260 transcript:Dexi1B01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRHAGYRSRDVERGRQLDLERSRRRKEHHHQRHPSRDRDSDHRRDGGRSRGCQVSNGHGRHRSPYPPPRSLPSKREVDREPGEISSGSSSEDSGGRVQKATSPREAGAVGVCRDTPSKKRKHSPTLNGNVSKVLVTDDVRTRIGLDTVAVELPLPSPPPLSDASPVAMAGECLPMQLDVPVVPNDVERLHEQEKNWIMEGEEECPTARNIFTSRWADADEEEEEVIVPTKKKISSPANLVEQISTKVATGPEPVQVLGSKTSRGSSSSFNSTASENCNGSVDGVDCVDVEEDGISSSTVSLLDNDSRSGVSRCRTPEVVRPQRRCFNMLQGCRSIDEFERLNTINEGTYGVVFRVRDKKTGEVVALKKVKMDKEREGFPLTSLREINILLSFDHPSIVDVKEVVVGGHDDDTFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSVGCIMAELLSKEPLFPGKSEIGQLDKIFRVLGTPSEERWHGYSKLPGSKGKFVKQPYNRLRDKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISADDALNHEWFREVPLPKTKDFMPTFPALNEQDRRIKKYMKSPDPLAEQQMKEQGSTRDRGLFG >Dexi1B01G0012470.1:cds pep primary_assembly:Fonio_CM05836:1B:15460434:15462305:-1 gene:Dexi1B01G0012470 transcript:Dexi1B01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGMCWTDLHSNLEELIMKAHLIFRFYCYFRNLREDKQFFLDHPGLAPITTAQASSTNIHNGEELKRMIRAAAYIECSSKTQQNVKAVFDSAIKVVLCPPKPKKKSSRKQRSCWIL >Dexi8A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:8A:886955:889978:1 gene:Dexi8A01G0001260 transcript:Dexi8A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAAPNASSHSLLPPLPSLPSSSSPQDHLLLHQYLHHLGPQHQDSAAAMVRKRPPPPDMDLPPPRRHVTGDLSDVTAAAAAAAGGGPPXXXPQDHLLLHQYLHHLGPQHQDSAAAMVRKRPPPPDMDLPPPRRHVTGDLSDVTAAAAAAAGGGPPQSAPASAQLPALPTQLHLPAFQQQQQHHHAAAEVDVPHAPLPAAGDASTTAWVDGIIRDIIGSSGGAGVSIAQLIHNVREIIHPCNPALASLLELRLRSLLNAADPAMPPPPPHPPAPLLHNPPPVAAPPPQLTSADKRHQQHEPQQQSNPSPPSPPKEPTAEETAAAAAAAAAAAAAAAKERKQEQRRKQRDEEGLHLLTLLLQCAESVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPASSPAAARLQHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVKLTGLGTSMDALQATGKRLSDFADTLGLPFEFCAVADKAGNVDPDKLGVTRREAVAVHWLHHSLYDVTGNDSNTLCLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTPCR >Dexi1B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:1B:21534244:21537023:-1 gene:Dexi1B01G0015170 transcript:Dexi1B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAPEFAGGGGADVIRRAVLIGTGSPGSENQCVALVRALGIADDNLTVYRVTRPRGGINDCLGVLPVSLHKLIDRFLVRPFSRRAGPRKHVPNGGLAALSPSVPEADAKEIVAAARDAFDKSTPSDSQLFFQGSRPNGGRHQEVLNLLRRWIFPREPPPKNLMFTVGALHQADSAALRTAYEAWRNELAPLAKPLLIVNIGGPTKKCIYGVDLATELITSLYNVLGSCASVRISFSRKTPQKIADVILQAFAGHPKVYIWDNKDPNPHLGHLAWADAFVITADSPSMLSEACSTGVSDFHKTLRERGAARPFTGLEDISSSWSYPPLNDSAEVAPCIREAIAERSWTIG >Dexi2A01G0024230.1:cds pep primary_assembly:Fonio_CM05836:2A:35964173:35968281:-1 gene:Dexi2A01G0024230 transcript:Dexi2A01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGWFKRRSRSGGSSGKRGASAPPSGPATMTTTTVSGVSTSRSDDSGAVRPVSKSAGSAGSSQSQRSISSLYEERGHGQLRVFDYEELQGATGEFGRAQKLGEGGFGSVYKGFVRAPDGKGDRIPVAVKKLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGPQRLLVYEFMPNKSLEDHLFRRANPPLSWNKRLQVIYRDFKTSNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTQGYAAPEYISSGHLTAKSDVWGFGVVLYEILTGRRSLDRNKPAAEQKLLEWVAQFPPDSRNFRMIMDPRLRGEYSVKAARVIAKLADSCLLKNAKERPTMSEVVEVLRRAVQAAEPDSRSPGASALKGKKAVAAAPSRR >Dexi6B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:6B:2035491:2037497:1 gene:Dexi6B01G0002300 transcript:Dexi6B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGTLQFSSIALAPKERTDSQEGHWRAQEKAIHDWLPINARRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWEAGVTVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHDVVCEDCKHIKLSYFIMIFASAHFVLSQLPNFHSISGVSLAAAVIYSTIAWIGSAAKGQSPDVDYHLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIVALCYFPASLVGYWAFGNTVDDNILISLNKPKWLIALANMMVVVHLLGSYQIYAMPVFDMIETVLLPCIMWLTIYKPKRFSLSWFTNWLK >Dexi2B01G0035340.1:cds pep primary_assembly:Fonio_CM05836:2B:42407462:42410716:1 gene:Dexi2B01G0035340 transcript:Dexi2B01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSELIHRGGGHDAHPAADEAAAATAGHYPSPNKPPHGKAPLPPWLARPLRYVAGEQRLLFTLLGMALASLVFLLAPSSSSSSSSAASVAAVGLAARHSGGSSSVSMGAVARHQGRVPLGLKRKGLRVVVTGGAGFVGSHLVDRLMERGDSVIVVDNLFTGRKENVLHHAGNPRFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKDMLGWEPKISLRKGLPLMVQDFRNRIFGDQQQQHNSKEDAGDH >Dexi5B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:5B:20414194:20417941:1 gene:Dexi5B01G0018310 transcript:Dexi5B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCSLDLQQLQAAGDLSGLHDHDPFWPALADCAASFLAGDTACFGVAGLDLSGSAASGSAAVVDAMDTTTNFFASDDHHPQEQQQQQPVYSSSSLSSKRSLSIDSSGSSSTFFPFDDAAALCAAATTTTAGHLFSSPAATQVDAFAAGEDDEAAIMRAMMAVISSESPSSSESSSPPPFSQLDTMAAAVKPLTSSHVTVRSSSSSSVAVAPPERTTSLTSAATGDDKAGGSNNNSSQVYHMMSERKRREKLNDSFQTLRSLLPPCSKKDKTTVLINAASFLKALEAQVSELEVKNAKLERYVPREGGAATATAAQRRAKIHVSRASPDERQVSLMVMVMVECDIVELVLHVLECLRWMSAVSVLSVDADTYSPQALLKARAHIKLQIVDDDCWNEAVFHEAMTKAVPDATSSPSSSSCAAPAPLMAAA >Dexi5A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:5A:2955750:2957386:-1 gene:Dexi5A01G0003940 transcript:Dexi5A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFWSFIQSYPEVFLAILCFVGLSIFRLVRQSQKSSLPVDWPIVRMLPFTVVNRHCIHDKVVDLLREAGCTFMFFGPWLLDMNLLLTCDPATVNHCLNTHFERYPKGREFAEMFDILGDGLLVADSESWEYQRRVATSVFGARAFRSFAMSTIARKVGNALLPYLDHMAKHSLETELEGIFMRLSLDVSYSMVFSADLDCLSMSSPMLVFGRATKEAEEAMLFRHIVPSRLWKLLRWLNVGTEKKLADANVVINKFIYEEIAKRKAQGSNGSQADILSMYMKATLDPNMSEQQKTQFLRDTAAGFILAGKDLIAVTLTWFFYMMCKHPNVETKILGELRGLKSSTWPGGFSVFECDELRSAVYLQAALLETLRLYPATPFEEKEAYVDDILPNGTKVTKGTRVIFSLYAMGRIKGIWGKDCLKFKPERWVSKSGRLRYEPTYKFLSFNSGPRSCIGKDLALSNMKITAASIIHNFKVELVNHHAVMPQSSVILHTQNGLNVRLKRRVAA >Dexi5B01G0027710.1:cds pep primary_assembly:Fonio_CM05836:5B:29245672:29249352:-1 gene:Dexi5B01G0027710 transcript:Dexi5B01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGFSEIPEEATFTDVEQFLEPLELCYRSLCACGDRSVADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITEYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKSDEIGDVLETFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKLYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFEEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGERLRANYEETKQLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVSQAYTLKRIRDPGFQVITRPHLSKDIMDAGKPASELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >Dexi8B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:8B:7839499:7839894:1 gene:Dexi8B01G0006710 transcript:Dexi8B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRSSIEEAEGRASGGGGRERERRSFRCRCLSWCVAPSWLWWPAGAGSSLDGASALPTAQRAPGGSGSMKRRRSGELAAEAMVVEGTLPTECELAAEAKLPAEGELAAEGKLSAVEETSVQRPKGNRLE >Dexi6B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:6B:2178091:2181692:1 gene:Dexi6B01G0002470 transcript:Dexi6B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVKPAAAAAAATAAGAKAAAASSAAGDAPSPAAGPAPATAPTPAAANGNGTPQKPPPVPAAAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKACCYKAQNPCHIHGMCHDAALPFNCTFRLVRPDLEGILLPPLQQIWQDEASGQGAVSVSGDLRREIDIGRKEMRRRGSFAMATKKERRAQRRQDVASINKWRFMKLKEHMQGDIDAENEAYERYTQNVGLLEETFCPMEDSAAEAEAEATSEDEERMDLLVSEAKVRLKSDSNNAESFKERVATILDQKLKNLREAQSSYEDDKLSDENQDDYTIPVKFTAKQKMERAAKFNELLGKMTRARNEDDLKPCRDLIEQLFGKEVLFRKENDSTDKSNAMETEPPSVQESTSAAAAAAAQRYSFPKLCTRIEVGEDFASKLDADAEFLSLSEVAQL >Dexi1B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:1B:2641841:2649636:-1 gene:Dexi1B01G0003350 transcript:Dexi1B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVAMARRGASLVHNVLPRLRHRSARVATAAAAASTLDMEFFTEYGEASQFQIQEVIGKGSYGVVAAAIDTHTGERVAIKKIKNVFENVSDAARILREIKLLRLLRHPNIVQIKHIMLPPTRREFRDIYVVFELMGSDLHQVIKANDNLTPEHHRFFLYQLLRALKYIHADDDLLHNFRNTQILSDKARKYLIDMQMKHPVPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFRGLSKLEHEPSAQPISKLDFEFEERKLTKDDVREMIYREILEYHPQMLQEYIEGGEQIHFLYPRERVCSSSEDGHNQDPYNEEGKASSYVARTTISSPRSQEEGCAHQSAYHSGVSTSCAKSYLKSAANISASRRAIKGRKGPKEKGIPEDVKEEAVHGLLDKVSRVLS >Dexi9A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:9A:10085041:10088949:1 gene:Dexi9A01G0015170 transcript:Dexi9A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWIKSLVGIRKQEKGHNAEKQQKGQNAESSETRNSADQSLHKRKHSLDAEGALAVEEIPVRSETLTNDNNTQTTSSSICPGSTSLDVYASQAEHASKEEVAATLIQSAFRAFLARRALRALKGIVLLQALIRGHAVRRQTEETLQCMQALRQAGSKQQKSTSLQGLEPDDDHWGSNWLDRWMAVRPWENRLLDSNTKESLTKHEGKKDEEAKSQITPKSKLSTSNTLGQSKKKGVKHKKSYSDVSCTSFARPENVLPSTSLGSSKQKAKVADEVFEEVSSQPTEVTYKVVRNPKDKLVQANTPAKKRLSLPNNGKYTVVSVGGETGKGPTRKNLMNMSDAKARADAPNQGGKQVLQAG >Dexi1B01G0028260.1:cds pep primary_assembly:Fonio_CM05836:1B:32839644:32841825:-1 gene:Dexi1B01G0028260 transcript:Dexi1B01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANLTKQPGPRRITERDLWQEKKKPKRGAGGRGWFAAGEDEDDFEADFEDFVGDSEESDLELGDGEDDDVVEIKPFAAKRISSSKDGLSTLTTAGYDGPAAKSAKRKRKNQYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPVAPAVAQKRRSGPAAAKASKLSVEQKPTITPAVNNLANTNASYPPADYTLNKPFDQPHNMPFPPAMNSASPIEDPIMNLHSDQGSNSFGCSDLSWENDTKTSDITSIAQISTIAEGDESAFINNNSNNSLVPSVMENNTVDLTDGLTDLEPYMRFLLDDGVTESIDNLLSLDGSQDVVSNMDLWSFDGMPIAGDFY >Dexi5B01G0024970.1:cds pep primary_assembly:Fonio_CM05836:5B:27057998:27061600:1 gene:Dexi5B01G0024970 transcript:Dexi5B01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEASASLSRKCTAASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNVKSELKRISAPNARQTTREELLEAGMADTLALHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIITALVLAILLILYFKLAH >Dexi8A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:8A:24137647:24142036:1 gene:Dexi8A01G0013830 transcript:Dexi8A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACLFAAAVSLTLPSTSTSAPSSAGTRCRRCPAPLLRCSTTRRRRPVRALDERLLEAAPAQDAEVTEFGEVGDDVEDGLGDAEGDEVGAQEVVVEEEERPPARAFVKSRRQRQEEEEAAAGQDRFKLINGKEIFQEKAYLVGVEWKRAGGSLFGIEESLKELEQLADTAGLVVVGSTYQKLSTPNPRTYIGSGKVSEIRTAIQALDVETVIFDDELSPGQLRNLEKSFGGSVRVSLAQMEYQLPRLTKMWSHLERQAGGQVKGMGEKQIEVDKRILRTQISALKKELESVRKHRKLYRSRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLIVWNKIDNTDEPFRVREEAEKQGIICISAMNGDGLEEFCNAIQAKLKDSMVPIEAFVPYDKGDLLNDIHKVGMVEIMEYKENGTFVKAHVPLPLARLLTPLRQQVVAAL >Dexi2B01G0030460.1:cds pep primary_assembly:Fonio_CM05836:2B:38743871:38744086:1 gene:Dexi2B01G0030460 transcript:Dexi2B01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLPRLPPSPLFPLEPTQPKPKRAPREAATHLLLRVGRVPARSPTYPAPLSHVPLPLRASDWPPHPS >Dexi1A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:1A:1657630:1659572:1 gene:Dexi1A01G0002460 transcript:Dexi1A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKGGARVPKAVAVLAGLLERAAERGDAEGAAAASSAFRGRAPPEIPVRRYAERIYRYAGCSPACFVVAYVYLDRLARGPDYDEEGGGEAKAAAAVVGVDSCSVHRLLITSVMVAAKFMDDMHYNNAYFARVGGVEVSEMNALELELLFALRFRLNVTPDTFARYCAALECQMLVVAMDDAAAVLPPPASAVSEEEEERSRRDQATRLIRQKDAATAVRHVTAGSRVAGGSSVPIAVPRTAVEMIAR >Dexi5A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:5A:7924881:7929848:1 gene:Dexi5A01G0010480 transcript:Dexi5A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAELALSLRAAANDRLARYEPLVLVAAPLLALLVARVVHAAATAVADRGIVPIAIDTVKLLPGVSSYIAAEKKKISSKYRGVSSLLLYQVVEKMQSGGNSTKNNRRAELPTIGLAEQVIKDLETLKANDVEWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKSVAQMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMQSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIRPFDFSVKGVTSISSDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWTGGLYVSPTMAGSRPGGLIAGAWAAMMSLGLNGYLDNTSRIMDVSKKIQRGIEEIPELFVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTAIYGEFLKDLQDSVNTVKANPGPISGGMAPIYGAAGKMPDRGTVRELLVEFMDSSC >Dexi4A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:4A:22267578:22272401:-1 gene:Dexi4A01G0018280 transcript:Dexi4A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGGLVVLLALLAAAEADTDAGDVTALGNLYSSWNSPAQLVGWSAGGGDPCGAAWTGITCSGTAVTSIKLSGMELNGTLGYELSGLQALKTMDLSNNFLHDAIPYQLPPNLTYLDISFNDLTGNLPVSMASLSKVSSLFMQNNQLSGPVDVLSNLSLATLNIANNNFSGMIPQEFSSIPNLIVEGNSFANMPASPPPTLTPPPKNTRDQPNHPDEPISAPNITGTPIDQDDKKMQTGPLVGIAVGSIAIASCVLFTLIFCLHKTRKRNDYGSSEPKDIVGALAVNIERASNREIPTPSNSNENAVVATSDLHSNGKMTPERVYGTNGSTVKKAKVLAVKKIDSASLSLYEEENFLEVISNISRLKHPNIVSLTGYCVEHGQRLLVYEYIGNGTLHDILHFSDGMGKKLTWNTRVRIALGAARALEYLHEVCLPPIVHRSFKSSNILLDEEYSPHLSDCGLAALSPNPEREVSAEVVGSFGYSAPEFAMSGAYTTKSDVYSFGVVMLELLTGRKPLDRSRERSEQSLVRWATPQLHDIDLLAKMVDPAMDGLYPAKSLSRFADIIAICVQSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDIGYSYRVPESGTGDVF >Dexi1B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:1B:24550375:24551247:-1 gene:Dexi1B01G0018310 transcript:Dexi1B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNWQNFVAELLGTVAAAFSTGSEYKYIQLRSIVVSEDDVPYGYGFFHFIYATGSMYFGMLFVGWDTHRPLENTWVHFVNEVMAAISFVAILVARVYAIGWLRQLLANIFGIGEQQQPPPPDVEQQQPPRQTILNILSSSDDEDEEDDAVSPPPPLLSSGTSSSTVVVDAARAGSTSHTNV >Dexi1B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:1B:25840503:25843460:1 gene:Dexi1B01G0019610 transcript:Dexi1B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASGPEAGEFSAKDYTDPPPAPLIDAVELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDTAASGPDAACGGVGILGIAWAFGGHINPAVTFGLFLARKVSLVRAVLYIIAQCLGAICGVGLVKGFQSAYFVRYGGGANELSQGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDEAWDNHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSFRSNA >Dexi2A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:2A:4349037:4350294:1 gene:Dexi2A01G0004810 transcript:Dexi2A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMAAMFPKGIRALMVDDDNSTVAHALKSLSTLKLNGIDVVLVHAAKAAACGFNFRAIIEADLGIPVIYFLPLDHRATGDEAAELLRTLEEGTYIMTKPLDIDEVRSRLWRVIAWRKCDLQRRPAGGGDGFLEGEDDEGRVHYKVVRRGRRGQKRKGGGNNATGCAGAGGRQHPEPAGKGKEKVNEGDYYQQQQ >Dexi7A01G0015450.1:cds pep primary_assembly:Fonio_CM05836:7A:25301015:25301561:1 gene:Dexi7A01G0015450 transcript:Dexi7A01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSIKCEKDEQVKERGDVLSAFHKLLERVPYPDSPPQPRAGAQIAAASGNPTAHRLPSPTPPRR >Dexi8A01G0018180.1:cds pep primary_assembly:Fonio_CM05836:8A:30532797:30533757:-1 gene:Dexi8A01G0018180 transcript:Dexi8A01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGALGTLLPKLGQLLLDEYNLHKGTKKNMEFLSRELESIQAALCSVGEVPPEQLKELVKIWARDVRELSYDMEDIVDTFLVRVQGPEPPSKRSAKRFIKKLMGTVTKAIARHEIAQDIKNIKEHVKEVAERHERYKVDIIASANTKVDTITSAKTVVDPRITSLYTEAAKLVGVDEAREELITRLAKGAAPSAQKWIVSVVGFGGLGKTTLAKVVYDKLKGEFDCTAFVPVGRSPDLKKVFKDILINLNKQQYISFNFSILDERQLINEFHEFLDKKR >DexiUA01G0018060.1:cds pep primary_assembly:Fonio_CM05836:UA:38566795:38568397:1 gene:DexiUA01G0018060 transcript:DexiUA01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPLERPPGVFPNIDFSSTPPPNKDDPPAMPPSTPLE >Dexi5A01G0016380.1:cds pep primary_assembly:Fonio_CM05836:5A:17006064:17011469:1 gene:Dexi5A01G0016380 transcript:Dexi5A01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGPGSAREKLLEAACNAVAGGSAGSSAIRPSKSKSGSDKGRENEDGNRSAMGRGCAWVALCAVQQRGVAAPYLHLGGRNREEERRWCCIWVATAGRKSGGTVAPSRDGRSRKEKRRRCVRPPFQPLLWGDTTCCLDSSEIWEVNGFGIAGGKVIISGFQQILKNEGLPGLYRGLSPTILALFPTWAVTFSVYNHVKGLLQSKDGNNCEPSVQANVLAASCAGIATATATNPLWVVKTRLQTQGMRPGVVPYQSILSALQRIAKEEGIRGLYSGLLPSLVGVAHVAIQLPVYEKVKLYFAKRDNTTVDNLGPTEVAMCSSGSKIAASIITYPHEVCL >Dexi5B01G0021020.1:cds pep primary_assembly:Fonio_CM05836:5B:23252507:23255875:-1 gene:Dexi5B01G0021020 transcript:Dexi5B01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRALLLLREAPPWTLAGAAAAVALLWLVASTLEWAWWSPRRLDRALRAQGLKGTRYSLFTGDLRENARLNREARAKPLPLRCHDIIPRVLPMLHKAVNENGCSPTYGVHNSNAGTVSFTWFGPTPRVMIPDPESVREVLSNKFGHFGKQQFSRASKLLGNGLANHEGEKWAKHRRILNPAFHHEKIKGQVVEIKNSRVCSVVTLLNICRFLPTKNNRRMREINRKIHKILREIIGKREKAIKNGETNNDDLLGLLLESNMRQSNGNAKLGLTTEDVIEECKLFYFAGMETTSVLLTWTLILLSMHPEWQERARQEVLTHFGRSRPDFDSLSRLKIVTMILYEVLRLYPPVVLLSRRTYKEMELGGIKYPAGVSLLLPIVFIHHDPNIWGKDASNFNPERFVDGISNAAKHQAAFLPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPQHGAQIRLKKL >Dexi1B01G0026960.1:cds pep primary_assembly:Fonio_CM05836:1B:31803932:31805759:1 gene:Dexi1B01G0026960 transcript:Dexi1B01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVSTTASARATAAPYAPLRRVTAVQLRRRLPARGWRCASAAVPDPVPSEEPAFASSTVVVTDKPDSPADEEVEEVSAAPSGSEEAPVAVAEVEKVEEVSAAPSGSAEATVAVAEVVSSETSPSSDDLGLDDILSKLNLNIEVTPTLILTASSGFVVLWVLSSVVSAVDSVPLLRKFLELVGTGYSIWFTARYLIFKESRDDLFAKFEDLKQRII >Dexi7B01G0019840.1:cds pep primary_assembly:Fonio_CM05836:7B:25290956:25294652:-1 gene:Dexi7B01G0019840 transcript:Dexi7B01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKNTCYHTPLPSAVAVSLLVVAALVLPAPTVAAAAADASVSVQLEALLEFKKGVVEDPLGALSNWTTVGAGHGGNGGFPAHCNWTGVACDIAGHVTSIQLLQTELRGTLTPFLGNISTLQLLDLTSNRFAGEIPPELGRLGELEQLVLYDNHFTGVIPPELGDLKSLQLLDLSNNTLHGGLPSSLCKCSAMWALGVEANNLTGAIPECIGDLSSLKILVLSLNDFEGELPKSFAKLTELETLDLSGNKFSGSIPPGFGNFSKLNIIHMFDNQFSGEIPPELGRCKNLTTLNMYSNRFTGAIPDELGELINLEVLLLYNNELSSEIPRSLSRLTSLRALGLSTNNLTGTIPAELGELKSLRKLTLHANRLTGTVPASLTNLVNLTYLAFSYNSLTGPLPANIGSLRNLQQLVIQNNSLSGPIPASIANCTLLSNASMSSNEFTGPLPAGLGRLQSLMFLSLNDNKLSGEIPEDLFDCGSLRTLSLAGNNFTGSLSSRVGLLSELRKLQLHRNALSGAIPDEIGNLTKLIGLELGGNRIAGRLPSSISNISSLQQLELQQNRLGGELPGVVFNLRNLSILSVASNRFVGPIPDAVSNLRSLSNLDMSNNELNGTVPVALGRLDQLLTLDLSHNRLTGAIPGAVIGSMSSLQMYIDLSNNMFTGTIPPEIGDLTMVQAIDLSNNQLSGGVPATLAGCKNLYSLDLSGNNLTGALPAGLFPSLDVLTSLNISGNDIDGEIPSNIGALKHIQTLDVSRNAFTGDIPAALANLTSLRSLNLSSNQLEGPVPDAGVFRNMTLSSLQGNPGLCGWKLLAPCHHTGSKQGFSRTGLIILIVLLSLAVLLILLLVMILFLAYRRYKKKKGDSSNRAASFSDDFVVPELRKFTYSELEEATGTFDEGNVIGSSNLSTVYKGVLVDGNKVVAVKRLNLAQFPAKSDKSFLTELATLSRVRHKNLVRVVGYACEPGKIKALVLEYMDNGDLDAAIHDPARDAQRWTVPERLRVCVSVAHGLVYLHKGYDFPIVHCDVKPSNVLLDGDWEAHVSDFGTARMLGVHLTGGDGATVAQSATSSAFRGTVGYMAPEFAYMRTVSPKADVFSFGVLMMELFTKRRPTGTIEEEGVPLTLQQYVDNALSRGLDGVLDVLDPDMKVATEGDLSMAADVLSLALSCAAFEPADRPDMDSVLSTLLKMSKVSGD >Dexi2B01G0014080.1:cds pep primary_assembly:Fonio_CM05836:2B:23549308:23553801:-1 gene:Dexi2B01G0014080 transcript:Dexi2B01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEAGPSGGNSGRAVLVTGGAGYIGSHAVLQLLTAGFRVVVVDSLANSSELALRRVRSLAGDHARNLAFHKVDIRDKDGLEKVFTSARFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEDICRDIYHSDPEWNIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFESSSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVIGQRRPGDAEILFSSPAKAEQELHWKAKYGITEMCRDLWNWASKHPYGYAASESPKLNGSSR >Dexi2B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:2B:6235:6564:-1 gene:Dexi2B01G0000020 transcript:Dexi2B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTDAFKETHNLVVADEDTYVGGQVSNLQVAELIGCMANNRRAAYCKVVEVVAETTAPLLPMEQLLSAIPSKRRK >Dexi6B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:6B:718253:718493:-1 gene:Dexi6B01G0000820 transcript:Dexi6B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSVATLFDNGIPSPCQQVIGDGASKPQDLQSPIFLRTSGAIYIL >Dexi4A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:4A:6366186:6367151:-1 gene:Dexi4A01G0008520 transcript:Dexi4A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGSASLLLLVVLATAMAAPSAAFLVQPQPNLLTYHNGAVLSGDIPVSILWYGRFTAAQKAIVSDFLLSLSSSPQASSSTAPSVAQWWSSINKLYLSKASAAVSKNAGGAAIRNARVILAVSDERCSLGKSLKLSQLPALAAMARPATNAGGVALVLTAHDVAVEGFCMSRCGHHGSYGGSRAAAAYAWVGNPATQCPGQCAWPFHQPAYGPQSPPLASPNGDVGMDGVVINLAAMVAGAVTNPFGDGFYQGDRGAPLEAATACAGVYGRGAYPGYAGELLVDEATGASYNAHGARGRKYLLPALFDPDTSACSTLV >Dexi2B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:2B:27299029:27299270:-1 gene:Dexi2B01G0016980 transcript:Dexi2B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGICAAMESYKPCAAMMAVQCIFAISTLWIKAAFGHGMNPMVFVVYRQAMATIFLAPVTIMANRCC >Dexi1B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:1B:2180349:2185514:-1 gene:Dexi1B01G0002680 transcript:Dexi1B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKRSRGERDAPAGASNPTGQQRLYLIFDDWDNGYSIRALNLSNASAKQRRLPPPFIRLEATRGYPEFFAAVGTKIMATHPRTDFQEILPMIDVRSRGVNLAPGELYPHHPIYLPVGDEELFALDMHTFKMLSMKPLWPPRLEHEFRNQISYWSWCNLPMPTFKSVDVTSYAVDSDGRTILASTAAATFAFNPQCHEWKKRVEWSLPFSGRAYFVHGLDVFVGLPKDVDTFGHLCFCRWLGDDKHVWFSKENLSSKDPAESHVGTTLVYLGENRFCLVECVRNGDDKAVQKWLEEWDGQDHIEESPLSARCRLTTFSLSSEMNGGLMAAKTAVQCYKDQQKFKIYSIRQETQRPRTRVGVEGGSVLGEDPGLAVGVCEEAYEHGGGRRRDLPPPLPKSPLAPSLHNPLSSSKLVCRIDPRRILSPGSGADAEEALPRSMSRWRSKQCGRPRRKGQAG >Dexi9A01G0046340.1:cds pep primary_assembly:Fonio_CM05836:9A:49748315:49750468:1 gene:Dexi9A01G0046340 transcript:Dexi9A01G0046340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEQAAADAGNSGSSPRNRRSETCLLRGPIIVGAGPSGLAVAATLSLHAVPFTVLERSDDIADLWTNRTYDRLRLHLPKAFCELPHVGFPGDFPTYPTKHDFLRYLKSYADRFAVSPLFGRTVTRARFDAAAALWRVTAVASSPAAANGGEEEGAEVATEYASPWLVVASGENAEVVVPKVKGKERFAGETLHSSAYRSGERFKGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGVAMKLLKWLPIKLVDRFLLLVAKMVLGDTEKHGLRRPKLGPLEIKKVTGKSPVLDVGAWSLIKTGNIKIVPEVESFTGGSGVRFVDGNEMAFDAVIFATGYRSNVPSWLKEGDVFTEDGKPKAAQEATSWRGPNGLYCVGFSGRGLLGAGADALRAATDIAGRWQAAAGAETTASV >Dexi4B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:4B:6194699:6197216:1 gene:Dexi4B01G0008640 transcript:Dexi4B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAAEMHPAVDAPASAAPQPDAAQAAAAVTVPASDPSPPLPTPAPEAADPPPPPPAPAPKTVTWSEKLTSDSPTHVHAAAAAESSQYVSHGPAASSSKGGVEVVKETLSRWGKTWGETTKMVESLSRDTWQHFKTGPSFAEAAMGRLAQGTKVVIPLHQLRAANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYEKATASLQEALDSARELQP >Dexi4B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:4B:15761558:15762130:1 gene:Dexi4B01G0014640 transcript:Dexi4B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPAFPSWLYEPPPPPPPPAPPTRWAAFGFVTVIAGLILVVVAFKYMCKVIPEANDPLARQGRHHTAASSTTQQRRPPRGQPRDGEQLRRDMDRQRLPGPTPPTLPAFAYHRSLKKKVADTGGEEAAACAVCLGAFESGEMVRLLPVCLHLYHADCIDPWLLKHSTCPVCRSETDPTMVMDVSQLPPV >Dexi2B01G0011620.1:cds pep primary_assembly:Fonio_CM05836:2B:13203970:13206995:1 gene:Dexi2B01G0011620 transcript:Dexi2B01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRAPPPPPVCPLLQTPGPRPVMVAASASPLALPTSPALPLRGISPVAARPISHRPAPACSVLLSPPRAASRPPAHEAVPDGSASHLLAVPVPVPMDPAAEDAADVKKVPEVAPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADELVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFQMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRSGRRNTECLSWGIDKERVLHGRTAVEVYFDFMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKNLRRAAEARGHTIWARGPDNAGHYNSEPNLTGFFCDGGDYDSYYGRFFLNWYSQMLVDHADRVLMLARLAFEGSNIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKYDAALNFTCVELRTMDQHEVYPEAFADPEGLVLNAAWDAGIQVASENALPCYDRDGFNKILENAKPLNDPDGRHLFGFTYLRLSKVLFERRNFFEFERFVKRMHGEAVLDLQV >Dexi1A01G0031260.1:cds pep primary_assembly:Fonio_CM05836:1A:36309774:36312947:1 gene:Dexi1A01G0031260 transcript:Dexi1A01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVQPGDHVIPCYQAECKECKFCKSGKTNLCGKVRSATGVGVMMNDLKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKISPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGAIVAVFGLGTVGLAVAEGAKAAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHEKPIQQVLVDITDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTHVPWLVEKYLNKEIKVDEYITHSMNLSNINEAFHLLHEGGCLRCVLAMEH >Dexi4B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:4B:5683633:5684176:1 gene:Dexi4B01G0007850 transcript:Dexi4B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICLRAQDKVQAAADEDGGGVDGATVDKGEEEEVEEMAPVAPLPEPPDDGGPIGWPMPDFCPLTIDGEMKESFLETIRKDAAETERPPREEAEAAEEVVLSPDSRPSSSKRHRAGTASPSSRSPYRNILQVFQQCRQDVVGEAPAKNC >Dexi7B01G0023420.1:cds pep primary_assembly:Fonio_CM05836:7B:28016573:28016998:-1 gene:Dexi7B01G0023420 transcript:Dexi7B01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHQGITASMYNHHMLSFQANSDVGIGGGATGGMVMAPRSMSGSSSNAGLFHSPNPGVVGNASGVGPSRSSSGDAFRGTGTPKYKFVTGSPSDWTEHELCILKEGLASGAVVAYADGRNLVTS >Dexi3B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:3B:750193:750685:1 gene:Dexi3B01G0000970 transcript:Dexi3B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTKMVAVVAALLLVASSASEAAITCGQVASSLAPCIPYATGNVKTLPSGCCGGVRSLNSEARTSSDRQAACRCLKSLATSLKKLNMGTVSSIPGKCGVSVPFPISMSTDCNKVS >Dexi8B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:8B:3583077:3588792:-1 gene:Dexi8B01G0004110 transcript:Dexi8B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHNMAAAADGDGDAPIAAFAVTKGGVVIKHIFVNAPPSPRGGDGPAGGEDDDEDPAVTVGRHPDCHVLVDHPSISRFHLELRARRLHRRITVTDLRSVHGTWVSGRRIPPHTPVDLAAGDTLRLGASKREYRLLWLSLREALEMDDAPYMPPLTEEKEEPHAYQEASSQLVASEQGESADMMAHQEMPAALTNAGRPVQSDKQDTSNQVSKRSKLKSVKSLHIDTGRSRDRSSTLSYGFQKEDENEIHVSSQSCGMECTACIALFGISDYERAEQKEEMIAEDKGHMNPPDSITMEGSKRESNTENHAPQDFKDDAFSDKEIPQWNAATVNMESEPVSETLERSLSNEKMAPNKIAEGPELDSQICGNLFDNLNTEEIEEICQLDKENITPYVSGNIIMDSSHIGLKPTISQELMDSISPLNLDHDNFSENENCMLDSGNQMKPNEPVSENLSPLTPADRELQISKMEYMPISHLEFKDDILLDRENSVLAPGKYETISPVRQEDLSSDKENVTPASKVKPVVRRVLGSRMDTSVSSKNTSNKEKCNGLSAKSEKIHTVDHDVFYSDKENLTPASSRGMKASNCLPKNLIFDTDQDQEAFCSDKENMTPQSSAARKTRDTSENRARVESAITKKRVGDRLPFQTLLSNSPLRPASSFDCNCATIDFAIKLEDKLSNLPHNSQESGRAGQGMKAWTMVANTDSLLDDESRKSIMLLKGIKGTHLFIPRIVIRELDSMKQREGLFRRSTKATSTLQWIEECMETESWWIHVQSSSEMFPVAPTPPATPSAQRIDEEIKVGGGSGSFNPIALFSPRSSELADLISPKPEDRVLDCALLLAKLRSDHNVVILSSSVALKIKAMAEGMVCEGAREFRESLMNPCSSRFMWAASVARGSAWSCLDAAALAEDYYNSHYYYQQQQQQQRARKQQRLEAGAAKGLKLILRHNSLYAQATDAAAAARTTLVSLASV >DexiUA01G0024380.1:cds pep primary_assembly:Fonio_CM05836:UA:50663293:50663772:-1 gene:DexiUA01G0024380 transcript:DexiUA01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHPVAGWAWACCSSRACGAPALSAHFDAVTMLTQWCSVQRGGTREAKKRSGEGRPIAGPVTTSPSPCVPSVLDRSLGKRVWRRPMREVPGAPVRGSGRSGTGRKWERDARRPQPRTQAGRHEHERGWQGGRRGVFDYSSSPRSTSVRAAGRDGAAAT >Dexi2A01G0025070.1:cds pep primary_assembly:Fonio_CM05836:2A:36840158:36864804:-1 gene:Dexi2A01G0025070 transcript:Dexi2A01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGQAITCATILLLVLLPPPCSAADDRLVLGKRLSPGATIVSDGGSFALGFFSPAGKKLYLGIWYNDIPQLTVVWVANRETPVTNNTSSPPTLSLTNTSNLVLSDADGRVVWTSTMTDITGGFPSITSPAAGVIAAVLLNTGNLVIRSPNDTTLWESFEHPTDTFLPTMKLGIRYKTRTGESLVSWKGPGDPSPGTFTYAMDPVTLLQLYLWNGTRPVLRNGPWTGYMVTSWHPKNTSSVILYQAVVNTDEEIYLTYTLSDGAPHARYVLAYSGELQFESWNDSLSSWEALGEWMSLACNRYGHCGPNGYCDNSVAAPACKCLDGFEPENPEEWSTGTFSQGCRRKEALRCGDGFVALSGMRSPDKFLLVRNRTELECADECSRNCSCMAYAYANLSSSGGGGSRATGDVTRCLVWGGELIDTAKIGDDVIGSETLFLRSAGLDVAGRKAHTNNAERIMLPTVLISAIIVLAIISLAWLKKFKGNKQKRRKCKIFDLGDLSAPESCGEGNPTENLEFPVASLRDVTTATNNFDNGYMAPEYAMRGIFSVKSDVYSFGVLTLETVSGVKISSTDHTAEFENLIVYAWNLWKEGLAKEFVDSSITGSCILDEALLCVHIGLLCVQDNPNDRPLMSSVVLILENGSTALPIPIKPVYFEQTTSEILLEQRRGNTQDSRNNVTLSALEGRCATVLILLLAQPCASDDRLVPGKPLFTYGADPDTFLQTFVWNGTRPMLRSAPWTGFMVDSQYQANFSAYIYVAVVNTDEDTYISYSLSDGAAHTRYVLSYSGEYQLQSWNSSMSAWAVLGKWPTWDCNLYGHCGPYGYCDSTMAAPTCRCLDGFEPASSDEWSSGRFSRGCRRKEAPRCNDGFLALPGMKSPDRFVLARSQTAGECAAECAKNCSCVAYAYADLSSGGTKVGETRCLVWTGDLIDTEKMGDMAGGGETLYLRSAGYDGSRNRANTNAVKIVLPTVLIFVGIILACFMFGDAFLQVFVWNATRPVWRSGPWTGNFVAPQYEAVTSIIVYLTVVDTQEESYMTFSLSAGSAYTRYVLTDSGGFELHTWNSSSSAWVFLWDWTSGPCSHYSYCGPNGYCDYSDVSVTCKCLEGFEPTSLEDWNTGRFSQGCRRKEALRCGDGFMASTGMKSPDKFVLVENRTFQECAAECAGDCSCVAYSYANLSTSRRKGDVTRCLVWTGELIDTERSSEGDGTDTLEPWTGYFVSPGYRAIDGVIVYLTVVNTKEEIYMTFSLSTDAVHTRYVLTESGDYQLQTWNNMSSAWTLFIDWTSGPCNRYSYCGLNGYCDNSNTPSTSTCKCLDGFEPTSMEDWKSGRFSQGCRRKEAVRCGDGFVPLKGMKSPDKFVLVENRTFQQCEAECARDCSCVAYAYANMSTSRREGDVTRCLVWAGELIDTAKIGEGQGDVISDTLYLRIAGVDAGRMREKRIALEIVLPAVLTSGLLILVSLAWFKFKAGTGDRLVPGKSLSPGATIVSEGGSFALGFFSPTNSTPAKLYLGIWYNDIPRLTAVWVANRGAPATNISTSASSSSPTAALSLTNTSNLVLSDAGGRVLWTTNITGAASAASAVLLNTGNLVIQSPNGTTLCQSFDNPTDTFLPGMNIGINYATRAGERLVSWKGPGDPSPGSFSLGLDPDKFLQAFIWNATRPVWRSGPWTGYFVSPGYQANVIVYLTVIDTQEEVYMTYSLSAGAAYTRYVLTDTGGFELHTWNTSSSTWVFVWDWTSGPCSLYGYCGPNGYCDYSDLSSMCKCLDGFEPTSMEEWKSARFSQGCQRKEALRCGDNGFVAVKGMKSPDKFVLVENRTLQECQAECTGNCSCVAYAYANLSNSRRKGDVTRCLVWAGDLIDTENIGEGDGSDTLYLRTAGSISDAGRMRAKRNALKIVLPAVLISCLLVLVAVSILAWFKFKGKSVL >Dexi9A01G0043270.1:cds pep primary_assembly:Fonio_CM05836:9A:46738107:46741680:-1 gene:Dexi9A01G0043270 transcript:Dexi9A01G0043270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSSRRAESPASRVAQWRLTGIVSVRESRLKLPENLLKDCTALQNISLHGNPITMDQFQQMEGFNEFEARRRKKFNKQIDSRVMMGSTALDEGLDFH >Dexi3A01G0001920.1:cds pep primary_assembly:Fonio_CM05836:3A:1294998:1295234:1 gene:Dexi3A01G0001920 transcript:Dexi3A01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDAPDADTTAGSGGLGRLRHRPTALPSPRQSPRTAALAALAAHRGSSPRAPRLRRRPNHDLRHWAHLDQSRRPPKN >Dexi3B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:3B:13679701:13683150:-1 gene:Dexi3B01G0018460 transcript:Dexi3B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTTGLMFAATRRLLASRARAFAAAKWTPPSSHYSRSAAAAPSRPRFPTPKEIRRGLDEFVVGQDKAKKVLSVAVHNHYKRIYSESSNKCSAKSLVHGAVGKGGGGGDEIELEKSNILLIGPTGSARYVNVPFVIADATTITQAGYSGEDVESVIYKLLMAADFNVEAAERGIVYIDEVDKLTKKAECREDHRDVSGEGVQQALLKIFEGTVINVPRKRSRDDAPNGYVEVIGCTGQSYIFLKVLLYCTRNQHCPVRFGIPVCHEVRNCSWTTLQESCFIDAVENDDLIAYGLIPEFIGRLPITVGLANLSEEQLVQVLREPKNAIGKQYKKLFKMNNVKLHFTENALHLIAKKAAAKETGARGLRCIMEDILTEAMFEIPDAREGKDKIIAVIVDEESVGPLHHRGCGAKILRDDGALEMYAYQNNIKLPGLIQRKPSRSRVFQLCLLVALSATKLWIYQTFPCFSSVYEWIVLMLCKANIFTQ >Dexi3A01G0005820.1:cds pep primary_assembly:Fonio_CM05836:3A:3760415:3761035:1 gene:Dexi3A01G0005820 transcript:Dexi3A01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISSIPPPRKWNHKHIILVTLIGCLVLNAITAAISISLSPAHIFFSISNANISDYNKDQDTRFYNFTLAANNTSPRMAVHYVSLSAEIWNTATTWVPAEVDRSSLQDQGTMQPPGNVTYINVCAEYWQSEQKSPPATTPGVGGGQGNTPSPAAAGDVDCSNCTVLVMASVWFKSRWWIGTRTYDVRANCSQVDFGNHTAIVDCK >Dexi5A01G0030420.1:cds pep primary_assembly:Fonio_CM05836:5A:33375568:33376047:1 gene:Dexi5A01G0030420 transcript:Dexi5A01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKPHEAATLPREVLEEHIVSLLRRCHGHLALRGAHARLLRLGLPRLTAAFALSKLLASCASARGTAASSSYARSLFDQIPDPTAFCYNSLIRALPASGPPIAALAVYRRMLRAGSPRPNSFTLAFALKACAAAPPAPAEGRQLHAQAFRQGLEPGA >Dexi9A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:9A:6922792:6928776:-1 gene:Dexi9A01G0011110 transcript:Dexi9A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRPPWTELGLPPPRCENDGTAPVAFRTRAGPAQVLSWTPIRSPIERPLVTPPPTPLPSTASRVSDRNHPTGLRRQRSSPSPPLRRRGSAPRDGVTVERVEQESSGGSWSTAPRARPPFPCYLSNIGDLGLEGGRGDCPHDDAWREADGYVLSGSGHEWLGIGLSFYTTEDELKDVFSPFGNVQEARLMRDHQTGRMKGFGFVKYSSQAEAEKAVEAMDGRILRGRLIFVEMAKGRKSE >Dexi9A01G0042630.1:cds pep primary_assembly:Fonio_CM05836:9A:46200002:46202555:1 gene:Dexi9A01G0042630 transcript:Dexi9A01G0042630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVGLLLLLLLLIITLATAPSVDVADGQALPGCQATCGTVSIPYPFGIGANCSRVGFEIACNDSTPFLSGTGYKVLNLSLAASGARLELPIAWTCYNRSGNPLPESEAPVSFNPQGVYRISDAHNQLVVIGCDVTAYTQSRYNDSTDVGYPYDYYTGCVSYCRGPEFVRDGLCAGVGCCRVDIPPDLTDNSIAMDDDGPDIRRLFYNFSPCSYGFLVDRNSYTFRRADLNMDKNQTMPVWLDWAIRPNGSSTFTCSDAMKDSSSYACKSQHSNCTNAANGPGYTCSCSRGYEGNAYIVGGCTGTRLDIAVDSAEALSYMHSSATQKILHGDVKSGNILLDENFMPKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNNSLIINFFKSCGSVDKMRMMFDEEIVSPEDIEFLQKVGSIAVACLKEDMDDRPTMKQVAEHLQLVRREWKQTQGHIVADEISMESPRAISLMNATGDETPGHSLPSVK >Dexi6A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:6A:2441738:2442847:-1 gene:Dexi6A01G0002600 transcript:Dexi6A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSEKTERGVYNLLRTRDAMMRQCKEFNIPTDWMLDNNLISKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >Dexi7B01G0023210.1:cds pep primary_assembly:Fonio_CM05836:7B:27848968:27854880:1 gene:Dexi7B01G0023210 transcript:Dexi7B01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHTSASPLFLHLHPPSSPFARTEPPPVLDPTGRPSADTLAAAPLVALSLFFNPSTTSIALSGSDPLPHPPTAPGSGSPPATPHHGDRFHRRPPVVVGADLLLRAEIRLIRLCIARSNPSRPGIYVRVARFRDKMSLRLQLPQGLSFLRSVGWLEDRKAASAAKQQLSPTLKLQTDKEVYRPGDSVTVTIVIHSPASLKDDAGQTVSGEDAPSLLLDMLSFELRGIEKLDNQWFSVPKPLPGSKQRRGEHMFLDCSAPSLVSKVIIASGQTKTYIVRVELPKILLPSYRGISIRYFYYVRSVLSGRSVVLRNGDQNQSPVNSSVQLEARVPLQIRVSQKSSNLLNDEGTSPFSVDQLGIFWREKDEDSEWTKANDNADLEEGYDSSKDEVSSVSSYNPSKANAEFSMRNSLSMQSLSSRLSTSEPFYNQAERPNFPLYTPIPRLSVSEISDDNDGGKNLKLIVRYLDLSCVVILVLNSCVANLLLSCLVSPQRKLNHLLLDHPSNGQRFSPDSDRLKDDVGLPLTPKNVDPAGSEGFTRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCLEVSITLETSESINPRALHPSRRGSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVTVQWSLRFEFFTTPEGTDPARF >Dexi4B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:4B:7466476:7468239:-1 gene:Dexi4B01G0010220 transcript:Dexi4B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKHHEKKLLKKTNFLEYKREGGHREALVTQRYRLVERDDYKKRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPDTVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMF >Dexi6A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:6A:5371063:5372604:1 gene:Dexi6A01G0005850 transcript:Dexi6A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNDAGGKTYPGHMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLKQFFPSVYAQAEASKDANQYCKFNSQLLTLFTSSLYLAALATSFVAASVTRVFGRKWSMFCGGLTFLAGSALNGAATDVLMLILGRILLGIGVGFANQSVPLYLSEMAPAKIRGMLNIGFQLMTTIGILAANLINYATVSIEGGWGWRIGLGLAGVPALVITVGALALPDTPNSLIARGYHEDAKAVLVRIRGTDDVHEEYDDMVAASEEASSIEHPWRNILERKYRPQLTVAVLIPFFQQLTGINVIMFYAPVLFLTIGFGDDASLMSAVITGLVNMFATVVSIVSVDRLGRRALFLQGGTQMFISQIVVGTLIALQFGTAGVGEMSRSNAMLLVLFICLYVAGFAWSWGPLGWLVPSEVFSLEIRSAGQSIAVCVNMTLTFIIGQSFLSMLCTLKFGLFYFFAGWMFVMTVFIALFLPETKGVAIEEMNLVWSRHWFWGKYVSIEGTRHGSRRSTSV >Dexi7A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:7A:21395827:21396871:1 gene:Dexi7A01G0010670 transcript:Dexi7A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLVVIPDDALADALRRLPARGLAGARCVCKAWHGIVDDRGLLLPHLLPHSVRGIFINYIDHRRPHLFARPSSAASPKIDAMLSFLPKNDDRRDWWSVMDHCDGLLVFGIKWHSRLCVGNPATRRWTLLPERTERLAGYAGAHLMFEPATSPHYEVILIPAVPKKPRRPLSWKVKKKKKCLPPRQHEIDGPFCLQLLFSSLDDTLLSDEGTQDHGEEEFQPATESAGPVQPCVDEDEDKEPDDLYRLMEWPPSPCQLNVFSSRTGQWEAKSFIRDGDPVGTVEDVRSDLSKQWLGTRHRSSTVYQNRTLYVHCGGSFIMRQ >Dexi1B01G0024400.1:cds pep primary_assembly:Fonio_CM05836:1B:29711364:29712781:-1 gene:Dexi1B01G0024400 transcript:Dexi1B01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSTLRACPICRKLSYYVVPSITWYSSKEEKQGIVEGYKAKLRSIDCKHFDFGKGTCPFGSSCFYKHAYSDGRLEDALLNHNDADDTSAAIGRLMRLSYLLTRLHV >Dexi3B01G0021680.1:cds pep primary_assembly:Fonio_CM05836:3B:16522787:16527245:-1 gene:Dexi3B01G0021680 transcript:Dexi3B01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVTTGPPPARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQHKDPKVQFLTLTLLETMMKNCGENVHFEVVDQHVLQEIVKIVQKRHDMQVRDKALLLLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPVDAPPIFTPPATHQAYGSPRYPSGSLNERITSGVETLSLEGLNNIRNATELLRDMVNALNPADRMAVKDEIITDLVNQCRSNQQKLMQFVSSTGKNKSVISSDEASSSAGDQALVSVDPELSEFSSSVASNALVPVDSTSVSGTRTKEQDMIDLLSLTLYSPPESSTDSSTQSQNGTQSSVSSNGQVPPNYQPTAVNGANYPANSQAYPANNGYAPYNNYVAPWAQTGPVAQPGAYPTQPQQYVPSYPTQPQQYVSSYPAPPWAMPPSVNSANPFQSATYQNPNIPIPSVAPAGTYPAPSKQYAAPPMQNVPTLNPKPMQSYSSLVSQTNNGPSMSSDARMNGTQRPKETPATAARPYYMPDNLFGDLIDVKSFGAGSKINRSTSMPSPKGGGQPIGRNK >Dexi9A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:9A:11244588:11245225:1 gene:Dexi9A01G0016300 transcript:Dexi9A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGKASPRRYASFLITFRKKRRRTLRVLNCHSHFTTGDRTDHGHRRRRRDAPLDRAPTRFALCLYAALTSSTPLSATAPSNSSNTIFSPLSIHVALGLLAAGSGGATRDQLLAALAGGGAADGLHALGEEVARVVLADGAEAGGPRIAFADAVFVDASLKLKSAFEEVAVEKYNAETLSVDFQNKVDRFA >Dexi5A01G0038170.1:cds pep primary_assembly:Fonio_CM05836:5A:39239538:39241638:-1 gene:Dexi5A01G0038170 transcript:Dexi5A01G0038170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLPPPPPPTAASRRCRRLRPRRPREASRGRLPASRARPPLPPRRPREASRARPCPPAAAGRPGQRLLPRVAPASAAAAAYISSAAAAVHGGAVPASASASVFPDTLDRGSEAYAHNAVAVAGLLSDLRARVSQVPPRPLPSPLSPALI >Dexi3A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:3A:15202978:15206886:1 gene:Dexi3A01G0019340 transcript:Dexi3A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVSAGKAKVDMQIDLTHMLCEALLLPPLRSSGVALSQIVGRISLKHPSLFGKSEKLDVILDKGINDSNIVVAFRRPRPEWLSQQSFVIQHSMTPEVAVHGFPADNFTRSGSRGINLSRLSLGLELNEPATSNWTSGTSIKFEHIRPVNNQGRFIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLIANCEYTIPLAKHLEGSIFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGLHFNTDLGQIRVDYAMNAFSRKTIYFGINSSGGS >Dexi1B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:1B:21595206:21597750:-1 gene:Dexi1B01G0015220 transcript:Dexi1B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLSSLWSFHVEGNRLHGSIPDDVGSKFFPAMEDLSFANNRFTGGIPSSLSNLTTLTSLQLSTNGFTGLVPRDLGRLQRLQYLYMPYNLLEADDTAGWEFITSLANCSQLLQLSLSYNYFGGQLPSSVVNLSATLQYLYLSDCPSISGSIPQDIGNLVGLSILGLANTSISGMIPSSIGKLANLVQLSLYCARLSGLIPSSLGNLTRLNWLAAYSNGLEGTVPASLGKLTKLYLLDLSANYRLNGSIPKKILLPSLSYSLNLSHNSFSGPLPPEVGNLINLNQLNLAGNQLSGRIPDTIGNCLVLESLMLDDNMLEGSIPQSLQNVEGLQQLGSSKSFQTECETLRRVRHRSLIKIITCCSSIDSRGQDFKALVIDLMPNGSLDGRLHPKYSIANNTLSLAQRLDIAVNVIDALDYLHNHCQPPIVHCDVKPSNILLTEDMSARVGDFGISRILLETADRTGQNSNSTIGIRGSIGYVAPEYGEGSPISALGDVYSLEILLLEMFTGRSPTDDMFKESVDLHRFAEAVFPDRVLEIADPTIWVHNDANDEITRSKVQECLVSVVRTGISCSKQQPRERMPIQDAAMEMHAIRDANLMFSSSIAVEHGVLIGHASTFSK >Dexi9B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:9B:2634907:2636870:1 gene:Dexi9B01G0004600 transcript:Dexi9B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPVADLQSLVKEALRRAKAAGAARAERMGQMHREISRRLWAARGLDGDPVAEEEEEDDELEEEDEEELAKEDALLERIMLVEIQQGDELRARYREAEERILDYDPKQGGAYYTRLDIVYDLASFDHDEESPIVPMRFTDAVHKTRSDYELCEAVNILSVKIGSLDIEFPIHVYGTVIARDSLDLRCVYLFRRAREESQTINSKDESLVLTGPKRGLALIRDMYVEANLSIKGDETQEDRQLSKGMLTIPGIDRRVLTKCELESCSLSTRLSTVDVMYVVVKAAVEATISLEVIAGEFFGEITAWTSSINERIVLHDRLARITSGERIAPTIPLLRSVVAVYVKEKLLLTIAAHSEDGEITKCIDYTPRVNGSTLDEVTVGSTVLRVKIVWSIIDF >Dexi6A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:6A:19530996:19531848:1 gene:Dexi6A01G0012790 transcript:Dexi6A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYLSWWPTSSWMSPGAALFLLCNVLIGAIVVTSRGEQQRGRAAAAASTRRLCRSASSMVLDPLRSFSMFSVHTLAVEEDYHHSPSLELQAEEEAAVEEPAIAMALPASVPVAAPSATSQSAMEVAGTGGDKPVIVSSEEAQTQCPAWQGHAHQEAPSSTAVVASAEAITTAAAERPATVAESSAARESVQEGSGGSSGGEGGAERAGGGVHPAVPRGPQAAAPQLHHELHPRAPPRRWHGTGRRGVARGSRDREGC >Dexi5B01G0032620.1:cds pep primary_assembly:Fonio_CM05836:5B:33195451:33196369:-1 gene:Dexi5B01G0032620 transcript:Dexi5B01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAPSHPSSRLMCRCRVAKLTVEEAAHYKKPLAFPSTENQYRYWLLRGETNMRPKVLLAILAVAAVLATLPFGEAERGLSSPRSESLAAAGHDEEEVGGKGADERPWPCCEHCGMCLFYVPSRCTARTVARPPPLAFVECPSSGARTSSLKTSAGAAARLDLLAHDTQGVI >Dexi1A01G0004530.1:cds pep primary_assembly:Fonio_CM05836:1A:3313751:3316215:-1 gene:Dexi1A01G0004530 transcript:Dexi1A01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPACSCNEKDSLLQFLTGLSQDEGLRASWQNDTDCCEWEGITCSGDGAVIEVSLAFRGLQGCISPSLGDLTNLQSLNLSYNLLTGGLPSELLASSSIVMLDVSFNHLNGVLKPQESNYSVANYRPLQVLNISSNLFTGEFPSGLWEKTSSLVVLNASNNSFHGLMPSSFCTISLSFAVLDLSYNKFSGSIPPGLGKCSALRVLKAGRNSLSGPLPDELFNASSLEYLCFPSNGLHGVLDGARIIKLKKLCHLNLGGNKLIGKIPDSIGQLKRLQELRLYRNNISGELPSALSNCTDLVTVDLKINKLSGELTKFNFSNLSNLKTLDLMENNFTGTIPESIYSCSNLTALRLSSNNLHGQLSPRIGELKSLAFLSLSLNNFTNITNTLQILKNSSTLNTLVIGDNFKGEAMPEDETIGGFLNLEVLSIPGSALQYRTVTAFPKVLNLGEIPQQVSDLKNLQMLDLSSNYLTALPLRRPLPIAHSSPIPHPWWDSHSKALRLTGPTTPKSSEPSGRRIAAGCRCHALETSCNWAIVYFA >Dexi3B01G0011590.1:cds pep primary_assembly:Fonio_CM05836:3B:8128553:8130414:-1 gene:Dexi3B01G0011590 transcript:Dexi3B01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPVFCSTLRQAEHAAAEVALSELSKRGPSSTLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQREYLYHLHSAGR >Dexi8B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:8B:21858755:21863196:1 gene:Dexi8B01G0012480 transcript:Dexi8B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACLFAAAVSLTLPSTSTSAPSSAGTRCRRCPAPLLRCSTTRRRRPVRALDERLLEAAPAQDAEVTEFGEVGDDVEDGFGDAEGDEVGTQEVVVEEEERPPARAFVKSRRQRQEEEEAAAGQDRFKLINGKEIFQEKAYLVGVEWKRAGGSLFGIEESLKELEQLADTAGLVVVGSTYQKLSTPNPRTYIGSGKVSEIRTAIQALDVETVIFDDELSPGQLRNLEKSFGGSARVCDRTALILDIFNQRAATHEAALQVSLAQMEYQLPRLTKMWSHLERQAGGQVKGMGEKQIEVDKRILRTQISALKKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDGVLKELDIESIPKLIVWNKDSMVPIEAFVPYDKGDLLNDIHKVGMVEIMEYKENGTFVKAHVPLPLARLLTPLRQQVAAAL >Dexi1A01G0028000.1:cds pep primary_assembly:Fonio_CM05836:1A:33722659:33725838:1 gene:Dexi1A01G0028000 transcript:Dexi1A01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVERHHHLSPHPWPSNAPPKSFDMFTPSGSDRRRTGSDSDSDDEDNIPPDWKSLYHPRLETEPPVQDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPVPRLMAHGFITPAALHYVRNHGPVPKADWSTWTIEVTGLVKRPTKLTMEQLVTEFEAVELPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSMWRGARLRDVLRRCGVMGAAAGAANVCFEGAEDLPGGGGCKYGTSLRRGVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLRRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTIKGYAYSGGGRKVTRVEVTLDGGETWQVCSLDHPERPTKYGKYWCWCFWSVDVEVLDVLGAKEIAVRAWDEAMNTQPERLIWNLMGMMNNCWFRVKINACRPHKGEIGLVFEHPTQPGNQPGGWMARQKHLETSESAQGTLKKSTSTPFMNTATAQYTMSEVRRHTSPESAWIIVHGHIYDCTGFLKDHPGGADSILINAGTDCTEEFDAIHSDKARGLLEMYRVGELVVTGSDYSSPQSSHVDLKAIAEAPSVPLPPLPVVSSSSTVALANPREKVKCRLVDKKSLSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGHIELLVKIYFKDEDPKFPNGGLMSQYLDSLPLGATIDIKGPIGHIEYAGRGGFVVNGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDDTEMHLVYASRTEDDMLLREEIDRWAAAYPARLKVWYVVSKVARPEDGGWGYGVGRVDEEVMREHLPLGDDGETLALVCGPPGMIEGTVRPGLEKMGYDLDKSCLVF >Dexi9A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:9A:2763125:2767628:-1 gene:Dexi9A01G0005060 transcript:Dexi9A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGESGGDPAAARRRWDLSNKGPESTPIVKEAVEMSTDEESDGVVICHSNDNTDGCDEAISSSHDDDSPEGQFYTKLEEKHKALEAEKDEAEARKKEEQEQALKQLRKSLVIKAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTSSRRKSCSDTPQTPEAKTTSASSTRSHRHSIGSSKDANRVQCSPKNGVATKTRAVKPELKAI >Dexi4B01G0023250.1:cds pep primary_assembly:Fonio_CM05836:4B:24653613:24658080:-1 gene:Dexi4B01G0023250 transcript:Dexi4B01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVSFVVGRLAEFAVKEAGVLKEVGSDVVLLKDKLQWLHTFVQQADQRRRQGGNAYMDVWVQQTREVALEVEDVIDKFLLRVDLQQGLPIWTKCLKLLSTCATQISVRHQLSTKISMIKARLDQISSHRHEYFNDYASSSSATRPSPSISTTDGWDEELRVVGFDKDRQLLEDQLLRGDKSRLIVSILGESGIGKSTLARRVYDSPAAKWRFKARAWLDLPAFITEADIVHLICRGLRLGGGAASTMEEIHDSLSEHLKTRSYLIVVDGIVQAFNWSSVLDALPNNDLGSRVVIINDSLNDNGAALAGLNIYWLRVHHLQQEESNLMFVQNACGMENQQHHSKLYSAGIGSKELSESKEIMDNMFEITNGLPLAILLLGRLLRRKEFPNQWKVVLELLKSMERTSRLEAILALSFDDLPYHLKSCFLHFAMMPENLIHNARRLVRLWAAEGFLKPKKGESMEDIGHAYLKELVSRGMVRLVEKAAEGDICWRVTVHQRLHAMARFETQEATFLDVYDKANVPSAAAIRHLFLQNLRGAYIHHMDASFPNLRSFICDFAHEGGSKLNDEEPHHREGGGLKIDDQYNHKNRHWLSLLRRSNGGIKSDQYNHNNNCLRLPWRSKLLRVIDLQGLQVKKVPPEIGNFIHLRYLAIRSRLLEELPTTIANLINLQTLDIKGCKVKKLTQAFWTIPTLRHVLADKLLLPRSVGELKDMQGLVAVTCVHPWRNNMSPLHNMVNLRHLQIFDLTPDHCSVLPKALVKLESLVYLNLGGHDIPFTLFTGFILRRLQSLKLFGRIDMTGDTADKRCTLPNLTRLELVDSMVNQGFINKIGKLPCLTELVLSKESYDDEGLVFSGGEFASLTKLVLRGLPRVSEWNLRSESLPRVEKVTVSGCTKMRLKIEGEHEALKNVREFMVIDMPDNWPWVEESTGLDERLKRVIIRRNYGQRLPRGGAANMVTARR >Dexi9A01G0022690.1:cds pep primary_assembly:Fonio_CM05836:9A:17847432:17848552:-1 gene:Dexi9A01G0022690 transcript:Dexi9A01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKEQQRRPPAEHEGQEDQQGGGAVLYGDVFAVEGELGKTPIAPQDAAMMQEAESAVLGRVPAGGGTASVMQSAARRNERLGVVSRDEASDAASEGGVAVTEARVPGARVITQFVAGQPVGQYITAAEDDDDDDAAAAPASELESGGGGRGGVVDGTKITIGEALEAAALSAGDEPVEPSDVAAIAAAEARAVGADEAPPDGLAARARVAADANAKDAAARREADKATLRDVLADATSRLGADDKEVEREDAARVVGAEVRGDPDATARPGGVAASIAAAARLNRGRQ >Dexi6B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:6B:2505668:2506458:-1 gene:Dexi6B01G0002980 transcript:Dexi6B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQASLLATVAVAIAAAAMLATPAYGASYTVGNPGGSWDTQTNLTNWASSISFHPGDELVFNYDATAHDVVEVTHDGYRSCSPAASGAVSASALRSDADTVQLNATGTRYFVCGVVSYGNGAAPGFSASLGSMLVAGGERRRLGIRALLNATGTRYFVCGVVSYGNGAAPGQ >Dexi3A01G0019640.1:cds pep primary_assembly:Fonio_CM05836:3A:15519042:15520422:1 gene:Dexi3A01G0019640 transcript:Dexi3A01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPYFLWGDSNASSDSGDTNVVVFGSGAPERAAAAATAAGGTAVVSPELGAAAGVARPRLRRTSSGSAGKQKQQQVGGGGGGAKKPPQRGLGVAELERLRCGVDPLRELSAVVVDAAGAQGQPLLHYHHHNLQIPPSPFEAAARYGSQLLAPAPPTPPGPVCFLHPPAAAGYQRAPLVAPEQQFFRDRWGRMGGFSPAGDGVDHQHGQLLPAPMAPEHPSSQSTIWRPAASSPSCFHTGHRWDICCRRMRALAGRRAVTPMPASPYTGADTSNTMPDYSIYDLAAAMATARQGGSFLALERHRGAAGAAEAPEKKEVREIEFFPAASANHTGGGRISVPDESELAAPFSSPYAGRAAPQLDLSLRL >Dexi5B01G0035320.1:cds pep primary_assembly:Fonio_CM05836:5B:35343627:35348375:1 gene:Dexi5B01G0035320 transcript:Dexi5B01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGMVLGAARAEEAPDRNGAGPRNDLGQTQMQVDGPVVLNRSAELESCDSMAIDDAPAQAPSSQPAAAATQQSPATLTDIVVEVQKQLKRKRASNGPAIATADKDALVAGCRQELEGLFQYYREVSDRKMQFDGGNLSGNALVGCLLEESSLGLTKLVDEIYEKMKGLEGVSTASVRSSVLLVGQRMMYGNSSPDADVLEDESESALWCWEIRDLKLMPVKARSILSTRRSVRKKIHERITAIYSTLSVLENPGIETQVNDLRKASLKLNKSLNLEGIRSMLERVTQKNNTERGVRDAGSTAKELMQDTEKNEQNVSRLDDARVAELQNGNLPADEKEIQKVQKQLEKETKRQEKEEAQMRKLQKKQQEEALREQKRREKEEAEAKKQQKKQEEEALKEQKRREKEEAEMKKQQKKQQEEAEKEQKRREKEAAQLKKQQAIQKQASMMERFFKSKKDSGKSQSPVDNGSADDPIDNKGAVSATTSKIDSSLSQQENWVLDDLRRLQVTGWKKLSSYNRSSRWGIRRKPKVEAFKELKLQKSSDDMIDEILSTPNEDNCQNSSQENEHDKLESDIDMLPASEMQCHGTGNAKPLQTRLIRRKLLQFDKSNRPAYYGTWRKKSSVVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDADEVIEEDSKITDEEDEDSFVVPDGYLSDNEGIQIENILDDKDEEASSSPTGQCTEVEEFRSLLRQQKVLNTLTEQALRKSQPLVISNLNNEKAQLLSAEDLKGAAKVEQLCLQVLSMRICPGGRAVDMPTIDNTASAEEINQSNVKNCSPTSASAIPETDLPEIVQVIRSCRDGIHKVVELLQQKFPNVSKSQLNRKVRDISDFVDNHWKVKKHILDKLGLDSSPVKSKKNKNIAMYFSKRCLPPEEAVNALASSPELRLKSKTIQNGVTEAPQINLFPSPK >Dexi4B01G0002050.2:cds pep primary_assembly:Fonio_CM05836:4B:1276466:1277794:-1 gene:Dexi4B01G0002050 transcript:Dexi4B01G0002050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLPSTPHGSCAFSRPTLTVRSRRPPPIRASPTVTRNRLGPRVSCRPRLPAPLCPSPGLYKSAPPPTFLPLFAFPHPPPLRRLRAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi4B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:4B:1276466:1276810:-1 gene:Dexi4B01G0002050 transcript:Dexi4B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARKSAPTTGGVKKPHRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi4A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:4A:1139896:1143824:1 gene:Dexi4A01G0001740 transcript:Dexi4A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTPTPLVTKLDHCILYVNVFRYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKQRAILQEQPDISGIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLAELQERQGSYLSIYDQPASALKDPIIDMINSSVDKLTRSTNFLRFLGQHAMIADEESPSTAGEEEIEDKKYHFMSLMLNLANTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFKPLIFSSIVLFFGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAAAWLCYLVWLAISFKEPNRATEVNDGTQNPASGQRVDIGQMENGLAQPLLTDSENKKNEDEDDEIDDSEEASEDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFSWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQIALLVGIIFSFKVTSTYSAVQYVASALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGVGKLLNVTLLPSLVICATSIACTFLTYNSLF >Dexi7A01G0001710.1:cds pep primary_assembly:Fonio_CM05836:7A:4524300:4525369:-1 gene:Dexi7A01G0001710 transcript:Dexi7A01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPPASDELPESCRDVLFEYAKQVKILGDRLFEVLSEALGLEPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLMQLISNDKFSSVEHRVVAKNAEPR >Dexi5A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:5A:1722367:1722661:-1 gene:Dexi5A01G0002460 transcript:Dexi5A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEASNKLLRFLYFVGAGVICTKAINTYRDYEQKKEASAAVAAAEAALTSAAAPEPAPATAAASGKP >Dexi2A01G0037230.1:cds pep primary_assembly:Fonio_CM05836:2A:46823354:46824461:1 gene:Dexi2A01G0037230 transcript:Dexi2A01G0037230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGRELLDGHLLHLVVRPGLHLAAEAAGAGEVCVRHAGAGDGVVHRQRRLARDDDGVDDLPLRVVDGEDVEAGAADLVRVHHRVEEGPGPVRAPHHQRGAGGHVAPQVLHDARLLVGGHAHQRRQEDDVVGGEVTRDEGHVGGAERHARRQVGVGAHQAPRPLVGLAADVLSKDAAGRWRAASTRELSGSGPEPTKATRPGGPDVPEAASRPCSSSLSIMCSSGLSNPARSRPSASPHSRISRARISCSSGDSRSTSMELRGMHAVTSSVNRAFTSGDGLSDGSFEMDAARPAILCTSAASSVSSSSFSSGTT >Dexi8B01G0002050.1:cds pep primary_assembly:Fonio_CM05836:8B:1439746:1441056:1 gene:Dexi8B01G0002050 transcript:Dexi8B01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCYPRGLSWLPRSCVPADPTRHIAVPLPISAASTTNPASDTDEESPISALPDELLLECLTRVPRASLLPLPAVCRRFAALLASEGFLHLRRAHGLLRPCLLAVNVSAFARALLHLGGASSRPDIEVAALPLPPQLLHCAGGSSSSSSAFAHARAVALGPREVYLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGARIYVAGGSARTGAVEEYDPAVDAWRVVAEVPRRRYGCAGAGAGGVFYVCGGVAVSGGRRGGEESPPRAALEAHACAGSVDALHVASGAWAWSARPRAVPAGGCVVGACGGGDGHLYVVASHAVELSFWRWSGGGGANRGGGGGGACGWVALEAPPVPRGSVGLGMAVRVAMAGVGGDKVAAVVNVAAVRGHNAAGNSMEGLVLVYDIAGGKWSRTTDLPPGFRRAACAAVEC >Dexi2A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:2A:11800158:11809528:-1 gene:Dexi2A01G0010630 transcript:Dexi2A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAGAGAEDVGSSSGESVPALSRENVGLALELSILEAGVRVYADLSLGLWLYRLHPCLFSLQLKLFSRMATLKDDGTVVVDIPTNLEAASLDLPSEHHHSVTFGREQLDSSDLQHRQPMQIVMLIVGTRGDVQPFIAIGKRLQMISLCYFCWQDYGHRVRLAAHANFKDFVMTTGLEFYPLGGDPKILAGYWGPKIDVVGFCFLDLASNYEPPEPLLRWLGSGDKPIYIGFGSLVHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGSMVHARGLGAPPVPVEQLQLHSLVDAIKFMIEPKVNVKERAVELAKAIESEDGVDGAVQSFLKHLPQQRDPETPPAAPPSTFMNPLLLPVKRCFGIAS >Dexi3A01G0001830.1:cds pep primary_assembly:Fonio_CM05836:3A:1230367:1230851:-1 gene:Dexi3A01G0001830 transcript:Dexi3A01G0001830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPVYRRVFLAVQKHVDGGASKQHFRDFVAAEFRAPAGTETDARARLPLKSGVFHIPGMFHWVL >Dexi5B01G0020990.1:cds pep primary_assembly:Fonio_CM05836:5B:23236255:23240800:1 gene:Dexi5B01G0020990 transcript:Dexi5B01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRPFRRPGTGKLRRDTIDVPVAIRSSKQRPPAAAVEKLTPPGSDVKLLREASPWSVLAGAAAVLLLWWAAQMLEWAWLAPRRMERALRAQGLKGTRYRFLWGDLKEERRQAVEALSRPVSMDRPHDVLPRVSPLLHRAVEEHGKLSFTWFGTTPRVTIIDPELVREVTSNKDGYFVKTKLATRIVKLLIGGVAILDGEKWAKHRRIMNPAFHAEKLKVVFFSEIPWISHRPLPLLVSLLPTKNNRRMKAINRETKKILRGIIEKRYEAMENGETAKDDLLGMLLQSNMNYSNSDGKSSKGITVEEVIEECKLFYFAGTETTAVLLTWTMVALSMHPEWLDRARDEVLQVFGQNKPDLSGINRLKVVTMVLYEVLRLYPPALFLNRRTHKQTELAGVMYPPDVMFVIPIMFIHRDPVFWGPDAGEFNPGRFAEGVSKACSDPGAFIPFSWGPRVCIGQNFALLEAKLGISMILQRFAFELSPAYVHAPYSILTLHPQHSVLVRVRRL >Dexi7B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:7B:3805487:3806518:1 gene:Dexi7B01G0002190 transcript:Dexi7B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHRRSSAILFAAVLACATALGALAARDLGDQAMAAKHEQWMAKYGRVYSDAAEKARRFEVFKANVAFIESVNAGNHKFWLEANQFADLTDVEFRATRTGYRPPSKPRTKTTPFRYANVSIDDLPDSIDWRTKGAVTPIKDQGECGCCWAFSTVASMEGIVKLSTGKLISLSEQELVDCDVNGMDQGCEGGEMDDAFEFIIDNGGLTTESNYPYTASDGTCNSNKASKDAASIKGYEDVPANDEASLRKAVANQPVSVAVDGGDNLFRFYKGGVLSGACGTELDHGIAAVGYGVTSDGTKYWIMKNSWGTSWGEGGFIRMERDIADEEGLCGLAMQPSYPTA >Dexi6B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:6B:2700102:2700664:-1 gene:Dexi6B01G0003270 transcript:Dexi6B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAATITFVSAVLVAPCHLLHRLRRWLRRRTLHDMGGRVPQVPLPRPAASGDQHKWYQLCQDTLKNGPNSYHVTFFVLMATRKALLRYDSAMSTINHLLQSATKPPPLLEHCKERYGKIMEQLPHCDFMKVKQEYYDAHLAVQSCLSELWSGYWSSPLCRVALVAMVAFQLGALLVDGK >Dexi7A01G0023740.1:cds pep primary_assembly:Fonio_CM05836:7A:31656773:31662255:1 gene:Dexi7A01G0023740 transcript:Dexi7A01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRCHWIRWLTGAGPTCQLLNCSQRGLGSDMSSLTMRAPIVTTQPAVAVVVAAPLTTWVWKGGAMYCSAIDDLGLEGRGNRSSNSVGGHGTSPHASGSPLVPLRMLLAAFTW >Dexi5A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:5A:904995:906975:1 gene:Dexi5A01G0001340 transcript:Dexi5A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGGSLTSVAPEVTPSPAAPPGTGTGANAQVLYVFNRNGVCLLYREWHRPLHTLDPTQDHKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKV >Dexi5B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:5B:7990889:7992103:-1 gene:Dexi5B01G0011270 transcript:Dexi5B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVPDDVLLQILLRLPPRPSCLLRASLVCKRWHALVTDPTFLRRLRSTPATTLLGVFINNPPINVCFVPSGDPPDRVPASRFRRPELPWLVRDSRRGRVLLFDDNFYAPRSFLGFLVWDPVTDATRSVPRPPFAEHFLHLHGFGAVLLPDEHDDDTGASGGFRVAVAFVVGGNASAAVYSAATGAWGNEVTAQIAPFSGSIQWTKTPGVVVGDSVCWLIDGGGVLSLKLSAGGDHVLVVLKPRNAPRVHDHNVKLMRTRDGELGLATVTGNALRLWALEEDDGSGGGGGDTVSCTWTLRRKLLLEELFPGPRRRRSAEERFGEVRSLALAVVLLDPTPCARIVGVDEDGVKVFLHRKRMDDEVELFMLELEAAPRMKKIRDSYYMRWYKEYDTVYAFAGSFF >Dexi5B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:5B:1307587:1307952:-1 gene:Dexi5B01G0002030 transcript:Dexi5B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCDGDCRPLGWLLGLPFALLAVLVSLVGAIIWIIGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >Dexi7A01G0020010.1:cds pep primary_assembly:Fonio_CM05836:7A:28957431:28963803:-1 gene:Dexi7A01G0020010 transcript:Dexi7A01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTATRFANLPAMIFPVLLLFLRASSATAIASDTLNNGGNITDDGETTLVSSGGSFTLGFFSPTGVPAKRYLGIWFTASPDAICWVANRDTPLSNTTSGVLVLTATGILRLLDGRSGQTAWSSNSTTATSTSSVAAQLLDSGNLVVRGQITGGEVTLWQSFDHPSNTLLAGMRLGKDPQTGVEWSLTSWRAPNDPTTGDCRRVMDTKGLPDCVSWQGNVKKYRTGPWNGLWFSGVPEMASYSELFSNQVIVLPNEVAYVFNASAEAPFSRLVLNEVGVLQRLAWDPASRVWNTFAQAPRDVCDDYAMCGAFGICDVNTASTLFCSCIVGFGPVNPTQWSMRESGAGCRRNAPLECGNGTTTDGFMVVRGVKLPDTDNTTVDMSSTLEECRARCLANCSCVAYAAADIRGNNGGSGCVMWKNYVVDVRYVDKGQDLYVRLARSEFANEKRLDVARIVLPVLASVLALTAAGLYLVWICRLRGQRQNNNIQKKAILGYLGASNELGDENLELPFVSFGDIVTSTNDFSEDNMLGQGGFGKVYKGIIDENKEIAIKRLGQGSGQDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLTHIKDFPNLLAYAWSLWNEGKAMNLVDSSLVGNYQLTSTRPLSPGDLLISKNGVFALGFFSSAGSNGSLYVGIWFYGIPERNRTVVWVANRDNPATTASSPTLAISNSSDLVLSDSEGQILWTTQNNSSAHDSGAFLVLLDTGNLKLQLPNDMVIWQSFDHPTDTILPGMEFLLIHRSHEASRLISWRGPDDPSSGDFSFGLDPVSNLQLVIWHGAKIYCRISVWNGELGGMYPSSPSSMVYQTIVNKGDEFYLEIVVSGGSPYSRIMLDHTGTMKLLTWDSNSSSWTVISERPEGSYGLYDSCGPNGYCDFTGAAPACQCLEGFEAVGLKSSRGCQRTEQLQCGKGSHFVALPGMRVPDKFVFLRNRSFEQCVAECSRNCSCTAYAYANLSSMADQSRCLLWTGELIDTWKSSNYGETLYLRLADPPGMHHFMYLLVSLMGG >Dexi1A01G0024280.1:cds pep primary_assembly:Fonio_CM05836:1A:30871780:30873120:-1 gene:Dexi1A01G0024280 transcript:Dexi1A01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAAGSVLLRHLGLRVFGPVSSAAAATPRSLHAIAGGGERGAAAVWVRLLSTSAAEAKEEAAASKGNAGSTAAAKAEAAEAAKEGEGKKSPLVSSYWGIEPSKLVNKEGVEWKWSCFRPWETYKADTSIDLTRHHKPKVLLDKIAYWTVKSLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRVLMEEAENERMHLMTFMEVAKPKWYERALVLAVQGVFFNAYFFGYLISPKFAHRVVGYLEEEAIHSYTEFLKDIEAGKIENVPAPAIAIDYWQLPADATLKDVVTVVRADEAHHRDVNHFASDIHFQGMELKEAPAPLGYH >Dexi6B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:6B:52207:52872:-1 gene:Dexi6B01G0000100 transcript:Dexi6B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTQIILQPPLVLRPRALGISSFGFSPQPPPKAAALLMPLHHRRRSPLLPRAASSVRLTISDDELASRGFTVRRTAEGIDVAALNEVFARVGFPRRQEDRLRRALEHSRVVWLSASPEGERPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVDDLRNDGVNNIVLYAEPRVVGFYRLLDFAMDPDGIRGMAYYHRKTTTASPAPSSSSLLL >Dexi5A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:5A:4836425:4836781:1 gene:Dexi5A01G0006570 transcript:Dexi5A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISAVKTALLEINEYNPSARFVVPELWNFKEGRKATMEEVLESLFWMLKSNKRWTSSV >Dexi3A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:3A:624900:627240:1 gene:Dexi3A01G0000870 transcript:Dexi3A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHLAAGPTCHHHHGVTAATASLQLRRRPSCPRPLRSRLLFTQFLHVKPGKGAAFVRTKLRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTYEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGGSDSAQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >Dexi5B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:5B:272596:272811:-1 gene:Dexi5B01G0000440 transcript:Dexi5B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRCLVGSAIEYYGGRCPPGIGGGRRTRTAIATSCDAVAGAGRDAVAGARPGALGAGPSIVQPWLYTWC >Dexi9B01G0032240.1:cds pep primary_assembly:Fonio_CM05836:9B:34480420:34489267:-1 gene:Dexi9B01G0032240 transcript:Dexi9B01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQACSSKVTGSTDDGGVQACMQRDDRGHGHSLGWRRSGSADGRCSPYNPDPQPWNRPCIHQQQNREEAAYIQDSGMIWLSNPILRARTSPRSLPSMSYTEREVRSSPPHGGEALAEPLNQSYDFNPQPACRRARISI >Dexi5A01G0031050.1:cds pep primary_assembly:Fonio_CM05836:5A:33923809:33924794:-1 gene:Dexi5A01G0031050 transcript:Dexi5A01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISLGIAVYALVKGTATMPRMLPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRVELSKTSDMKVAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLFFSLRVNVDELLFPGRRPLATDTRRFVSLTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVTISLIFPGAIGCSWDSKAEGQDFGGGDDHSGCGHEQHRHCLKHHELHQRQSQSRLSS >Dexi5A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:5A:1470970:1471461:1 gene:Dexi5A01G0002100 transcript:Dexi5A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSWLFSDSSRYSTRARLLFMGLSFAIGILTFLLYLALWYICTTRRRRQQRLSAAAAAAADAAGGMSADAIAALPTFTFSVDGGGAAAALDCPVCLGQAEAGDKVRRLPKCGHAFHAECVDAWLRAHSTCPMCRAAVGPPAAAVATKAAAAATAEALPPV >Dexi6B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:6B:25833259:25838257:-1 gene:Dexi6B01G0018910 transcript:Dexi6B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLDGALGYAKSAVAEEVALQLGVQRDHAFIRDELEMMQSFLMAAHEDGDEHKANVFMTWVKQVRDVAYDAEDCLHNFSVHLHKPSWWRLPSTLRERRRVAKQMKELRARVEDVSQRNLRYQLVRSAGSKSGTGAEHSSITAAAIFGIDDARRAARHDKPKEDLVDLINQEGEDLRVIALWGTSGDLGLTSIINMAYENPDIRKKFICRAWVRISHPFNANDFIQSIVKQFRSAVGIDILLLESEKTGKELAQEFTGYINDNSYLVVLNDLTTFEDWKGIKAYLPNHKKGSRIIVSSPQVKVASLCAGQESHALELKQLSADQTIYAFYEKVFKEAKDLDKHPELMEEARKILNKCNGLPLAIVTIGGFLANQPKVAVVWRKLNEHISAELEMNPELEAIKTMLVHMPRGIGKLKALHTLGLVNLAWDKAILQDIKRLTQLRKLAVTGINKKNGQEFCSVVANLNCLESLLVQSRGYPGICDCLDGISSPPKNLQSLKLYGDLAKLPRWIEGLHTLVKLTLRSSRILEYDEAMQVLGKLQNLASLRLWAKALQGEDFCLTFHPEAFPSLTVLGLNDIDGLRSVEFEEGAMLQLERVDFSGRHGQVNAGMFSGLAALPSLREFMLDSDKYKEDFVKDVQAQLAQNPNAPVLKR >Dexi3B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:3B:4417429:4417793:-1 gene:Dexi3B01G0006350 transcript:Dexi3B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNSACEFMRPIMEDKIVPAFKNRAYFYTLDVNDKNFKDLKKRWKVEALPDFVMVKNDARVNRLVTTDKDELMAAITNGLDI >Dexi3B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:3B:13834615:13837488:1 gene:Dexi3B01G0018600 transcript:Dexi3B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLSPSLLPDLLATLPRSFPCSRPTRQVATVGFAGAVRSDRQGSWSSRRRGNHRICATAAEADYERKEEDVADDYYSVLGVMPDATPDEIKKAYYSCMKACHPDLSGDDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATAINPFFDDSAPKDHVFVDEFTCIGCKNCANICPKVFQIEEDFGRSRVYSQSGSTELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNASARWEKRQAKILEKVRTRMVNQENSDTEEEASERAKRAAAAARRWREFSRRGADRPPTYKLPEAVGNKD >Dexi9B01G0030220.1:cds pep primary_assembly:Fonio_CM05836:9B:32695368:32698471:-1 gene:Dexi9B01G0030220 transcript:Dexi9B01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAAGASARFVAGGEASPARPRAAAPARVAWRSRPPAAGVRCRGARAPAGGVLPEEADDGARFVGWFREAWPYIRGHRGSTFVVVVSGEVVAGPHFDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGRRGVVAGIDFGFTGEVKKIDVSRIRERLDRDSIVVVSNMGYSSAGEHVR >Dexi9B01G0040910.1:cds pep primary_assembly:Fonio_CM05836:9B:41405865:41408244:1 gene:Dexi9B01G0040910 transcript:Dexi9B01G0040910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQKQAEVLWPRLVANKLFRKTSGSHAFVADFPVADQDDASFEDAGGGCSPDADASRCVKRPRPQERTKTLKYKLFASTWNVGGVAPPDDLDLSDWLDDARDGPYDIYVLGFQEVVPLRARNVLGADKSRVGMRWIELIRSALNRSAASHRATATPPPGTDAAGGRQTKVHPVRDGGGGDELAREYRCVVSKQMVGILLTVWVRADLRRFVRRASVSCVGCGVMGCLGNKGGVSVRFWLHDTSFCVVCCHLASGGREGDEAHRNADATEILARTTFPRGHALNLPHKILDHDRVILLGDLNYRISLPEAKTRLLVERRDWKTLLENDQLRAEVSRGGGAFRGWSEGDIAFSPTYKYYPNSDAYYGSGGASGGGGRKGEKRRAPAWCDRILWRGAGLRQTRYDRCESRLSDHRPVRAVFTVEVDAPRNLNSLRSFFMSERFDRARSPNADQLLCKGDVSSARFAETL >Dexi9B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:9B:12017072:12025170:-1 gene:Dexi9B01G0017260 transcript:Dexi9B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPHKSRLQACSSSLKVRPQNQPETHYLLAIQHKVSTASFHFTCKSSSSPMLTSSLPPPTIPGSKPAAAAPKAPTKPRAAVLSASAPAVAVAAAAAAPADAAGGRLSALIRSLCAAGRTAEAARALSAAGDGAGVVAYNAMVAGYCRAGQVSAARRLAAAVPVPPNAYTYFPVVRALCARGRIADALAVLDEMPRRGCAPTPPMYHVILEAACRDGGFRSAVRVLKALHDGGCTLDVGNCNLVLNAICDQGSVDEAVKLLGDLPSFGCQPDVVSYNAVLKGLCMAKRWGNVEELMEEMVRVDCPPNIVTFNTLIGYLCRYGLFERVHEVLAQMAEQGCTADIRMYATIIDGICKEGHLEVAHEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEEAEELLAEMFDKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLEHGCMPDVITYTTVINGLSESVKRQFWEDLDGMVSTVPISEKLFIGDLNGHVRATNVGFERVHGGFGYGCRSQEGEDIDFILARRDDRRDCLDCKVIPGDCVVPQHKLVVADFRLRVRVHQDKRTKMARTKWWKFRGEAAQTFKGRMVEEGPWDEGEDVDDMWLKMATCVRKVAAEVLGVSRGGKQEGKDTWWWNEEVQRAIKEKKEWFKRLHLDRSAANIEGYKVAKKAAKRAVSVAKGKAYDDLYQRLGTKEGERDIYRMARIRERKTRDINQIKCIKDETDQLLVKDEEIKDRWREYFDKLFNGEIEGPALELDDSFDDINRCFVRRIQEAEIGEALKRMKGGKAMGPDGIPIELMSHTMKLWERVIEHRLRGATSVTQNQFGFMPGRSTMEAIFLIRQLMERYREQKKDLHMVFIDLEKAYDKVVPQKATFRYLGSMLQQDGDIDEDVKHRIAAGWMKWRQASGVLRDRRVPQKLKGKFYRTAVRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWSCGYTRRDRVRNEDIREKVGVAPIEEKLTQHRLRWFGHVQRRPSEAPR >Dexi9B01G0023410.1:cds pep primary_assembly:Fonio_CM05836:9B:18659895:18678396:-1 gene:Dexi9B01G0023410 transcript:Dexi9B01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPVEVDADSPVVVAATPAPAPRRRLRKKKDRAPAVAEAERPVEVDADSPIVAADASASPPLKACGRKRECDPAVSVADRPVEVDADSPVVVATTPASALRRRRRKKKDRAPAVAEEERPVEVDASASPPLRVRGGKRKRAASLDVVEICDRNLGIHLGKTFKIMTYNIWFREDMELSRRMDAIGDLIKHHNPDLICFQLSKVPVEPSECIPFHNSIILRELCIATVSTGEITNLVVATTHLESPCPAPPKWDQMYSKERVDQAKKSLEILGGCRNAILCGDLNWDDKGDGPFPLQDGWIDAWVELKPGDDGWTYDTKANGMLSGNRKLQKRMDRFLCKLEDFKIDNIEMIGKEAIPGISYFKDKKLHVDPGVASDVAEVGALEVPVEQSALLAPPSGAVDVVLDVAHDNTFGGPAERSAVVASSSEAANVVLDVANDGTLGDATGRSAAAAPSSEAIDVALDVADDGILRDPTGRSAAAMPRDERGRPRHGYLKGGVTDVIHDSGRGAPLAMALDLGEPNGYLRGVNLRGARVRARSHRDDRT >Dexi5B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:5B:9548768:9550163:-1 gene:Dexi5B01G0013450 transcript:Dexi5B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIISPTVSFLASPSAPRSRALPAAANVSYPAPRLQCKNLASLQSHLNVTATCASFVEKRVVLVCATAEGGEADGEQPEEPKPAVKIEEMPLESKQKMIMEQRAKMKLAKKLRQRRKRLVRKRRLRKKGRWPPSKMKKLKNV >Dexi1A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:1A:21084833:21088096:-1 gene:Dexi1A01G0014460 transcript:Dexi1A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQPAAAAPEAPPSAQVVGNAFVQQYYLVLHKSPDLVYRFYQDASRLGRPASAAGSAGMDSVTTMEAISEKIMEMDVAKAEIRTVDSQESLGGGVTVLVTGHLTGRDGVRREFSQSFFLAPQEKGYFVLNDMFRFVGEGPATAAVEAQPEGDAVVPPVAPPLANGTATPAVEPAVPEHDASQQQEHHVVEPAVPQPEEEEAEVYNPPPEEVMDEEQPVPEVINEVPNNVAPVVATTVAPVSQEEAPKKSYASIVKVMKEVPLPSPAPPTRPAPPKPEKQAPAPAPVTDVPSFSSNPDNSIQEPEVDAHAIYVRNLPLQATESQLEDEFKKFGAIKQNGIQVRSNKIQGFCYGFVEFEDATSVQSAIEASPVTIGGRQCYVEEKRTPGSRGQFAPAGVAGLHQAGVTTTETKVPEAVATTAEGGAMEGVNSTTGPIMGAELVAGVVRHVELMSATRGLNTQVVVVVAQQALVHPRNEGQHSFTSLGVSFHDPQIVPRLTYRASSIV >Dexi4B01G0012480.1:cds pep primary_assembly:Fonio_CM05836:4B:10251172:10251393:1 gene:Dexi4B01G0012480 transcript:Dexi4B01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSCLLLLPLALLLLGGSLPPAVAQLEVGYYSKTCPNAEAIVRNEMKKSSPPRPASPVHSSGSISTTASSE >Dexi3B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:3B:770237:771364:-1 gene:Dexi3B01G0001020 transcript:Dexi3B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCCVPPEKEEDDRERAVKEVAEVYERIKIQQPLLLLHCSSSQHQHHLAQSLLGEALRALNVALSVMTTTHHAASPAAAPAISSVVVKAEPHHHHSPPGRSGAPPAQTGRSGSNKKRRRSITATEAAAASWAGLTTVPYDDGYEWRKYGEKKINGTSYSRSYFRCTYKDDTGCVATKYVQQKDSSDPPVFQQQSGGDIPSTSSSSSFSGGGESCSCDGNSSPGRGN >Dexi2A01G0037430.1:cds pep primary_assembly:Fonio_CM05836:2A:47000664:47008645:1 gene:Dexi2A01G0037430 transcript:Dexi2A01G0037430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADVSTMYAVLVNSLSADEAARRPAEAALAQCETRPGFCSCLLVTHSTATPAHRILGSPRDWPLASDCPIYGASHLILHLAASQEIISSRGLACREDVRLLATVYFKNSIHRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYPKEWPDLLSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQKNYAECIESSQFLCRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIVIFEKYRELLAPVVVSVLREAMAVSPPQETDVTAGMLLKDAAYTAAGHVYYELSNYLNFNEWFHGSLSIEVSNHHPNMRIIRRKIALLLGQWISEIKGDTRKLVYRALVGLLQDNDIAVRVQVLNFISVLLEHAGDKIIPFASQLSQFFQMLVNLVFFFQIWDESTGESLLQIQLLTALRTFVSSLGFQSPLSYHVLIPILQSGINIDSPDALNLLEDSVLLWESTLSNAPSIVPQLLDLFPYLVGIVNRSFDHLEVTIKIIEDYTIFGGSEFLKSHGASLAGIIDTIVGNVNDKGLLAALPIVDLLIQIFPLEAPPLISSALQKLIFISLSQDDGQNPSRTTVRASSGAILARLLVMNTNFSAQLLSEPALLSSIQQAGIAVNNNMLISLVDMWIDKVDDANAVQQKEYAMALSVVLTLQVPQVIDRLDDILSVCTTVIIGSREVKTDDDTSGDITSASWMGNDGSGYSNTSSKELRKRQVKDSDPIKQASLENVLRENLKACAAFHGDAAFNAAISRIHPSAFAQLQQALNTS >Dexi7B01G0004660.1:cds pep primary_assembly:Fonio_CM05836:7B:11626134:11631906:-1 gene:Dexi7B01G0004660 transcript:Dexi7B01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAAAAVASPAAPRSGAAARRGFVSFAVGATRSSPVLRSGRGFKGVRTHVAAVEQAVAKEATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEDVSKQIEASGGEAITFGGDVSKEADVEAMMKAGRIINVASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLVSLSPLTLHVIIQLPGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >Dexi9A01G0049310.1:cds pep primary_assembly:Fonio_CM05836:9A:51945065:51946223:1 gene:Dexi9A01G0049310 transcript:Dexi9A01G0049310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLWRACDEGAAVTLAEGESIMQVLTLRGSNVIEVMDSEGVKSLALFPAKFQKSFWIKSGSFVVVDARGRDQALESGSKIACVVSQVLFHEQVRALHKSGNWPSLFKSTPSEGSEAGTQAQTAQIDEEPDSDEDDDLPPLEENTNRNRPFELYSDSDSGSDS >Dexi2A01G0021360.1:cds pep primary_assembly:Fonio_CM05836:2A:33471225:33471653:-1 gene:Dexi2A01G0021360 transcript:Dexi2A01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSRARRRREARCGAGRSVCGEHGTEQGAAAAREEPEEQARRKRRKLRACARRDAGPAWSSSELRLFLLSRIYGAEVQLSFRFALIWCDLFRFRASICSYAGRWWWWCAGVRRPSRPARLLLLLLRGLHVSLLISLMLKN >Dexi8A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:8A:1190907:1192392:1 gene:Dexi8A01G0001730 transcript:Dexi8A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTKTRKLVKGLAKPAPTWLKAMEESVHSYPYLRISVSLDVAPPVTFPRVDGKIKKIELPEDVYIKKFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVSEDYAMAVADFEYRKEKKAKKKAYKELKEIARSEGREPPPDPYPSAIKEIQAEEKKYVMDRFCNPKIIEIANKMKEERDMLLQNRAESGQW >Dexi9A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:9A:3772426:3773009:-1 gene:Dexi9A01G0006630 transcript:Dexi9A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTYAIAATEDCTKRFGDLVSMDVLSALQRAAPKGSVSKELYDKDACLLDLDVLLVEPRKLEKNLVDLIKWWARSVNLANPRDNIRLAIALALYDKYMKLPSNWKRADANADILNYDGPSKVCSEDGRQDEQSSSGDNWRQYLNQKSEAILNA >Dexi9B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:9B:4163447:4163917:-1 gene:Dexi9B01G0006900 transcript:Dexi9B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGRLRWLWRAPARALGRARDMYVRGLTGCARYVPSDAAFGYPVLVPAASSSLSRSRSSSSGGDWSAGDDDLRELVRAASQRRVEQRRRDELAVARSQSMAAAAARSISMARIDEDAPCEFGDDPAALYARSQSCAGVGAGRTVRGHRKVVALV >Dexi1B01G0021350.1:cds pep primary_assembly:Fonio_CM05836:1B:27276453:27278480:-1 gene:Dexi1B01G0021350 transcript:Dexi1B01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNPSSAAAAADGGDDLDQLLDSALDDFTSLDLSASAAPKSSGSEASASASGSGAKGPVLGLGLGLPDPKAPKRRGAKPPQPPPRGACASEALEKLTRETREAVRGLETATGGVPSLDDEAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKDKISKEEYERYNNQLDLMVKLIQVYENDPENMTKIFDIMQSMQECGQPPSDLVQDIVPDLDLSKLGQL >Dexi5A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:5A:10857787:10858032:-1 gene:Dexi5A01G0014050 transcript:Dexi5A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNGKYKSVEHRASVQPDKERISVAMFHQLLPNTTVGPLPELVNGSSSVRSVDYPDFMKDFFSMKHDGGVRHLDHYRI >Dexi3B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:3B:11846426:11848178:1 gene:Dexi3B01G0016280 transcript:Dexi3B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATACGAPPCPCARSRLLPPASIGSSYGSSARVRSTRRRAPRRAAVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATKSLLTLTNLFIVLGLRGALRKLEEEDTKESISEASQDSKEKSSV >Dexi7B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:7B:9907305:9910363:-1 gene:Dexi7B01G0004050 transcript:Dexi7B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGAAAAKHPRVLLPFTSDTLRIPDELGAVIGAGEALVVGPTAGKVKPWRVEVGWDGDGAFLGSGWPEFADACGVDFGWFLVLRHRGRGVLTIKAFDASSCLRDFVAEPPAATVETKATASGKGNRKVQFIRVLPTDFMEKMLIPAKLVEQYIPKEDLNKRTAIVLGPLRKVSHIEIEMNQSDVFFAGGWSQFLAFHDITEANALLLRYEGNMVFTVKVFEPDGCQRESKCKDIRMQQMLASPDIEELQEAPSISIQKHYKNDWSTDDGEKKPKSPMARSSMSSLWVKSVFEIGPTSWIKKQISANGLREFALPAAFCDAIGLHDPCMITLKTSMSSVESWQVLALPFRNGRYRVRQGWMRFCKENNLNVGDIYTFNIVKSTLWLVIISRYNERTNQCYSLPLSLCKAIGLRDPSCMVTLKTSASNTMSWQARVLAHENGNQMSGSGWKRFCHDNRIK >Dexi1A01G0025650.1:cds pep primary_assembly:Fonio_CM05836:1A:31811340:31813133:-1 gene:Dexi1A01G0025650 transcript:Dexi1A01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLQHCPVIGNTRNSAMASVLKLLPLFLFCSSYHYLTAHAGDDQSYKVLSLDSLKSDAVCSGPTAAPSSDGASTVPLHHRHGPCSPLATKKTLTLEEILRGDKLRAFYIRRKFSKGGAGDVEQSDAATVPTTLGTSLDTLEYVITVKLGSQAATQTMLIDTGSDVSWVQCKSCSQCHSQADPLFNPSSSRTYSPFSCSSSTCTQLGQEGNGCSTSSQCQYIVTYGDGSSTTGTYSSDTLALGSNTVKNFQFGCSQVESGFNDQTDGLMGLGGGPQSLASQTAGTFGTAFSYCLPPTSSSSGFLKLGAGTSGFVKTPMLRSSQVPTFYGVRIQAIRVGGKQLSIPASVFSAGTVMDSGTVITRLPQTAYSALSSAFKAGMKQYPPAPPSDPFDTCFDFSGQSSVTIPTVALVFSGGAVVNLDPNGIILDSCLAFVSNGDDSSLGIIGNVQQRTFEVLYDVGGGAVGFKAGAC >Dexi9B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:9B:8853826:8854368:-1 gene:Dexi9B01G0013110 transcript:Dexi9B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADEEVPLAEPPCLPTPPRAAIRSRPSSWSSSGSSGGVEYTSLRDVLSEASGGPGSGGGSFGEGGGGVHEFDASNINIRNQLLKHAASAYLQSAIVVPPRERGCLSRLWRRLLHRRRCRILLRPCPGCCCGGGGAGDPPASVAGSARRLVAFLSVCQTNPRVINNSPLFGD >Dexi2B01G0008800.1:cds pep primary_assembly:Fonio_CM05836:2B:9510123:9510444:-1 gene:Dexi2B01G0008800 transcript:Dexi2B01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYDSSDEASRSGALRWLDEQPPPIAVEAPVTAENIRELAAGLELSGALPLGARSVVESLRFGGHPLMMADRGVRRRSWRGCFHN >Dexi9B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:9B:10397247:10399904:1 gene:Dexi9B01G0015280 transcript:Dexi9B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSVPTASTVMLLLLLLSAAATTVRGQALVPGMMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVENLGLSSYPPAYLSEEAQSNNKSLLHGANFASGGAGYLDATAALYGAMSLSRQAQYFREYQSRVAASAGEKKARALTSGSIYVVSAGTSDYVQNYYVNPMMAAAYTPEQFADALMQPFTSFVEGLYSLGARRIGVTSLPPMGCLPASVTLFGGGNPGCVERLNNDSLTFNRKLGAAADAVKQRRPDLKLVVFDIYQPLLDLVNNPTNAGFFESRRACCGTGTIETSVLCHQGAPGTCSNATGYVFWDGFHPTDAANRVLADSLLMQGLQLIA >Dexi9B01G0033990.1:cds pep primary_assembly:Fonio_CM05836:9B:36029151:36031142:1 gene:Dexi9B01G0033990 transcript:Dexi9B01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFTQVTEVFNFSQDDLLTEDTMILDTHSEVFVWMGQCVDTKEKQKAFEIGQIHGNSFEKKLSVLFGVRSEGGPKSSGDGGPTQRASALAALSSALNPSSQGKQSDERPTSSGDGGHTQRASAMAALSSALNPSSKSSSTQPQSHSGQGSQRAAAVAALSNVLTAEGSHSPRNSRPSPTADVERTELTSATPHSETEPDAPEDVRTEPDVSQEQTANENGGQTIFSYERLISKSTDPVSGIDYKRREAEYLT >Dexi8A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:8A:22878482:22879051:-1 gene:Dexi8A01G0012940 transcript:Dexi8A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAGPRTRALPTTTAASRGERPRLLLLQRHGRLGPACCCGQNRREELPASAVELHGRRQGRTETKKKQIKEKKSKSNVASSPSGGVRKGTAKGSLGGPARRGGRASPPAGSSAEREARETERREERRGAGDAALPRQARGLERHAAATAASPSPQGRGGPRGGADGGVNEELEEEASMVASGPAPLPR >Dexi3A01G0026000.1:cds pep primary_assembly:Fonio_CM05836:3A:22064963:22065538:-1 gene:Dexi3A01G0026000 transcript:Dexi3A01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKRARVEEKATVRPVPPASSGGEADAGATPPSVRKAPLLPDPVASPADDEPVDLGVGDVEEDDDDEKVERFYALLANIRAMRGLVPPCVASTTTSPSGGDARKRLRAAEAPWRPAFRMEDFEVVVEPAAAAAPAPAPPSKRERMTRDASAGAEDDDRGESTRPAVVAAPSPSSLPHAAARCDSDVGL >Dexi2B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:2B:10383843:10387208:-1 gene:Dexi2B01G0009670 transcript:Dexi2B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDKEEASRAKDLAVIKLQEADYAGAKRIALKAQKFFPGLENISQLLTVCEVHCCAAIKINGETDWYRILQVETTADDMLLKKQYRKLALLLHPDKNKFVGAEAAFKLIGEAHMILTDQVKHSDFYDFEKNRDADRFAVDQIWAIYDDHDGMPRYHARIKQVYSPNFMLQFTWLEHDPLYDAEKAWSSKELPVACGSFRVGTTHLTEDTKMFSHVVSWTKGRKRNIYEIYPKKGEVWALFRGWDIKWSSDSSDHRPYAYDIVEITSDFAKGSGTYVIPLVKIKGFVSLFVRSSNEAPFLIPGSGTLRFSHSIPFHRLSQTDRQHIPNGALELDTASLPTDLEKAFTPVNLDSWEMPVRNTEQSRDGIHTNVQDEVETLNQNTNSEQDNGSEASVIDDHCADGWNDNCQPESPTSFDYPDPEFCNFTSLRSFDRFKKGQVWALYCETDKFPKYYGFIKTVDPDDCTIHIKWLEHCPCAQMEKRLMQECLPIGCGTFKVSRQGDIYDCTDVFSHIMEVTLMGKGKKYEILPRVGQVWAIYKNWSCTWTFEDYSKYEYFLAEVMEISSGDIIVSCLTKVEGFSTVFKPEKEGESGSAMRIAKSDLMMFSHQIPAFRLTNDNLCGYWELDPASVPEVLLVRKNK >Dexi1A01G0027530.1:cds pep primary_assembly:Fonio_CM05836:1A:33274630:33276417:-1 gene:Dexi1A01G0027530 transcript:Dexi1A01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGNKDVLNEALSMEQSSTSGAKRKRGRPRKYEYPVHDLPQKAQPPQKAQPIQSVLPLRCTHDGSSIRPDGLQASHTSGDSAYGNRSGRPRNSSNVLKNSYNQASYHSGTPLQGNSGNDDVLGKHFVGKLSKKIPGFSLITVKVKDNQVLKGWVPDENNLRPITPEDDLAPELPMLRPSQVRKRASAIHMQAAPPVPIHLEDVTLAKPLQMRRPAEKTIAKHAVPLALRPYIGSAVLAAVPISISPSNPEMRTLAKQDTEHVIAQSSVAAVPIKSRDPVVVPCKQLDNQNELAGKKSVNEVQKDSESSSENKESSGILCWIQCPAEFQIISFKPFYCFALRASLETFQPLIGEKPNTALVDVVVKDSPDERQLLNVEVADEVKEPSGQTRIIDATMTDKIKTTSGTGDQPDFANSEQQSSKVMSEQSELLKTEAGVLKGADGLKSRHLR >DexiUA01G0017450.1:cds pep primary_assembly:Fonio_CM05836:UA:36771739:36774406:1 gene:DexiUA01G0017450 transcript:DexiUA01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPSDYVEEPPRHPALKINSKEPFNAEPHRSALVTSYITPVEFFYKRNHGPIPKVEDLSRKQENCNEYGPKSKRCWLGHICSWKCSVSSLGGKHVEFVSVDRCKILNRDHGYPLRVVVPGVIGARSVKWLDSINIKEEECQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEARRWTQLRTSNLKRWKIYGT >Dexi9B01G0024590.1:cds pep primary_assembly:Fonio_CM05836:9B:21020026:21021715:1 gene:Dexi9B01G0024590 transcript:Dexi9B01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLGFLPESGMLQMLMDTELDTAQQDFVVTAQESGKALINLINEVLDLAKIESGRIELETVPFDARDILDNVVSLFYEKSQAKGIELAVLVSNQVPDVLIGDPWRFRQIITNLVGNSMKVTV >Dexi6B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:6B:9060287:9061706:1 gene:Dexi6B01G0007700 transcript:Dexi6B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVSLEEVRKAQRAEGMATVLAIGTATPANILYQAEYPDYYFRVAKSEHLTKLKQKFKRMCDKSMIRKRHMLLTEEILEQNPSLCAHMAPSLDARHDIVVAEVPKLGRAAAEVALKEWGRPRSQVTHLVFCTYSGVDMPGADYQLTRLLGLRPSVSRLMLYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEINAIMFRGPSEAHLDSLVGQTLFGDGAAAVIVGADADEPVERPLFQLVSARQSIVPDSEGAIEGHLREAGLTFHLLKDVPGLIAGNIERALEDAFAAIGVSDWNSIFWVVHPGGPAILDKVEATVGLDATRMRASRHVLSEYGNMSSACVLFILDEVRRRSLEDGCSTTGEGMDWGVLFGFGPGLTMETVVLRSAPITGGPAA >Dexi6B01G0013470.1:cds pep primary_assembly:Fonio_CM05836:6B:21172560:21172901:-1 gene:Dexi6B01G0013470 transcript:Dexi6B01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSRRGGSGARPHHLLQDDVGRVRVPAMAVGMVVHEDLEPHGEALALAGTPGVRTSGHGGADDADAVVDGGGGATLLPEVEDALPSREHGGEEWGGEEGGWGRDHLTSSP >Dexi9A01G0045260.1:cds pep primary_assembly:Fonio_CM05836:9A:48849532:48850352:-1 gene:Dexi9A01G0045260 transcript:Dexi9A01G0045260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADHQQQLANKAVGGSRFAVTCGLLRQYMKEQGGNALAPAMAMGLASVEPEEGKKTVLELFPQQAGTLKHDHQRKRKEAAERAPLTIFYDGKMVVFDDFPAEKAEELMHLAGGSANAAAAAQNALEQPSLTVTDMPIARKASLKRFLEKRKNRLTAGDPYPAAASELMSKPVKEEDGGAPWLGVTSALSLN >Dexi5A01G0024620.1:cds pep primary_assembly:Fonio_CM05836:5A:28435894:28439241:1 gene:Dexi5A01G0024620 transcript:Dexi5A01G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRARVAAGAHDGDGGWRRRRAGWRGGGGVGAAAGAGGLGPRRRRKGAAGGAAAGQHGGGGGWSGEEREGGGRRGLGLGFSAAVAGCFFAVESVLWPSSTDSSSLANSTPMVILSSVIASVISEIGLGSDPAFTVPEYDFRSPTELPLYLLLGVFCGLVSLTLSRCTSLAMETVERLQRETGLPKAASPAFGGLIVGLLALMYPEVLYWGFENVDILLESRPFTTGLSATILVQLIGVKILATSLCRAFGFVGGYYAPSLFIGAATGMAYGKFMRFTFTGPEPLLHVPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIASPQRFSKRIRSKLDSLEEKSSIAQQANNMTTQKKQVSMDTADSSQELSQIESSLCVYDANDENLFGNLTVAETMKTNYFSVSMTTPVVEALDLMLAEKQSFVMVTESDTSLIGLLALNSIQDFCKVAKTTRAQTEVKEFLVSHIHQVGKLNSCSLTPQMSLTTAEKIMDSHGVDHLPVVSEHANLQDRGLLIGFVDRECITIARRAMEAKEFFSSASEIRKEEKSSTEGRR >Dexi6A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:6A:2302621:2316240:1 gene:Dexi6A01G0002390 transcript:Dexi6A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPEKPVVEEWRAINSRRNAKWWYAAFHNVTAMVGAGVLSLPYAMSELGWGVGVTVMILSWILTVYTLWQMVEMHEIVPGKRFDRYHELGQYAFGEKLGLWIIVPQQLVVEVGLNIVYMVTGGQSLQKFHDLVCHGRCNNIKLPYFIMIFASVQFVLSQLPNFHSISSISLIAAVMSVSYTAIAWIASVQHKMNSSAEVDYNLRATTTPGKVFDFLGGLGDMAFTYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIIAACYFPVAFVGYWAFGNQVDENILIALNKPKWLIAVANMMVVVHVVGSYQVYDMPVFDMIETLLVRKFWFTPNLRLRLVARTVYVGLTMFIAMTFPFFSELLSFFGGFAYAPTTYFLPCIIWLIICKPKRLSLSWFANWTCIFIGVPLMILAPSGEARRQLRRRAEALPLAMEIQQEYSPDKFFSIALAPKERTDSQEGHWRAQEKAIDDWLPINARRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWEAGVTVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHDVVCEDCKHIKLSYFIMIFASAHFVLSQLPNFHSISGVSLAAAVMSLCYSTIAWIGSAAKGQSPDVDYHLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIVALCYFPASLVGYWAFGNTVDDNILISLNKPKWLIALANMMVVVHLLGSYQIYAMPVFDMIETVLVRKFWFNPGLMLRLIARSAYVAFTMFIAITFPFFNELLSFFGGFAFAPTTYFLPCIMWLTIYKPKRFSLSWFTNWLRNPALASNDERREEGSRSAQEEKAIDDWLPINARRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWEAGITVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGQKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLRKFHDVVCQDKCKSIKLPYFIMIFASVHFVLSQLPNFHSVSGVSLAAAVMSLCYSTIAWVASAEKGRSPEVDYNLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPERPSKKSMWKGVIVAYIIVAACYFPASLVGYWAFGNHVNENILVSLEKPKWLIALANMMVVVHLIGGYQVYAMPVFDMIEAVLVRKFRFPPGLTLRLIARTLYVGFTMFIAITFPFFSALLSFFGGFAFAPTTYFLPCIMWLTIYKPKMFSLSWFTNWICIVLGVLLMVLSPIGGLRQIILEARTYKFYQ >Dexi6B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:6B:18239426:18240187:-1 gene:Dexi6B01G0011170 transcript:Dexi6B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFCFRRLALVRADISRLPFMNGSIDAVYAGAAIHCWPSPACAVAEISRVLRPGGVLVASTFVADVIPPAIPLLRIGRPVIAYS >Dexi4B01G0023610.1:cds pep primary_assembly:Fonio_CM05836:4B:24897433:24900240:1 gene:Dexi4B01G0023610 transcript:Dexi4B01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWSIFPRSASGADTELEAEAEASEPSGRQLSDEALVEELLATVASARSFQEFRRSQRKDCFGLLRWLQLVLPLIQEIREAAPPLPDDAYRRLALLGRTFQAARRLLRCCHDGSKIFLALESEAVLGRFRAVYEKMNLALDGMPYSEIGISDEVKEQVELINTQLKRSKKRTDTQDMELSMDFMMILQNEDGNADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQPESTKHIIELLNKFKEIAGIDEKNILGDVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLSLQWCEKNKVEIQMGESEPAAEQEERKEDIPSLVKDLSSVHLDAQRKAAKKIRILSKENPENRALILENGGLPALISLVSYPDKKIQENTVTALLNLSIDETNKILIAKGGAIPLIIEVLKNGSVEGQENSAAALFSLSMIDENKAAIGILGGIAPLVGLLKDGTIRGKKDAATAIFNLILNHPNKLRAIEAGIVTALLKILGNKKLGMIDEALSIFLLLASHSSCRDEVGSPSFVEILVEIVKEGTPKNKECALSVLLELGLHNNSLMVHAFGLGLNEHLSDIAKTGILWMFELQPRLQSTP >Dexi9B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:9B:4517390:4519678:1 gene:Dexi9B01G0007400 transcript:Dexi9B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGERRVIFAVAAAALLAAAVVAAAGQDARHTYIVHMSHSAMPNDFLEHGEWYAASLQAVSSEATVLYTYDTLVHGYSARLTRAEAEQLESQPGVLIVNPEVRYELHTTRTPEFLGLDGTDALLPQSSTGSDVIIGVLDTGVWPERPSYDDTGFGPVPAGWKGECEKGNDFNASSCNKKLIGARFFLAGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGGAVQGADLLGYASGTAKGMAPRARVATYKVCWVGGCFSSDILKAMEVAVTDGVDVLSLSLGGGTSDYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAASLSNGAPWITTVGAGTIDRDFPAHVMLGNGKNFTGVSLYSGKPLPTTPVPLIYAGNASNSSMGQLCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMILANTAANGEELVADAHILPGSGMGEKAGNAIRDYAVSDPKAMATIVFAGTKVGIQPSPVVAAFSSRGPNTVTPSVLKPDIIAPGVNILAAWSGSVGPSGIPGDTRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYNEYPNGDGILDVATGRPATPLDVGAGHVDPAKAVDPGLVYDITTADYVDFLCAINYGPMQIATLTKRSSDRCSGNRTYEESALNYPSFAVAFPAVGGTVKHTRTVTNVGQPGTYKVTASAASGSTAVKVAVEPSTLSFSKAGEKQSYTVSFTAPAMASGTNGFGRLVWSSDHHVVASPIVATWN >Dexi2A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:2A:13431480:13439689:-1 gene:Dexi2A01G0011530 transcript:Dexi2A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTRLLLLARRADRRRSLPLLLPRAVHAAAAAAVEVPSPTAPPPRLPASPPVRRYRLTHLSYHVIFQIATGKLIKCPFTLQLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALRTIESQLGSRISDLFADISPEPIAAASLGQVYKVLQVEMHFFDTSSHAAHLRSGELVAVKVQRPGMASLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYIMEGKNAERFATLYSHGSGGDKSEGNTSIKAPKVYWNYTRKTILTLEWIDGIKLTDAERISKANLNRKRMIDELVHYVNRDSLGLANDFHSLGFVPEGTDLHAVAAALRISFGDVRRQSTDFQGVMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICGDGSIRWTRLERLISAISAQSANASGSGSGSAENANGSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFDKDGKMGELNPERSKMIRRLVNAVQSFRQAIKLAPDAWSAMLIRTLLKPESQNFILDVFFSLASHSSYKIPETFWLCMSRFLNYLDKQDML >Dexi9B01G0040330.1:cds pep primary_assembly:Fonio_CM05836:9B:40892457:40894320:1 gene:Dexi9B01G0040330 transcript:Dexi9B01G0040330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQHPPPPPRAMAPASRRAAPPSDPWRSDEGGSLRRARRWPLIGSSRREEVEGEGGSTVQDSDLWGPRARVRIWVLLIGGRPRTPALPISPSSFLIRRYEPKPNQRLFSQQIAWDWESRHAGREAARGHRSGQVPISMRRTRFLCGCVDGRSSSLL >DexiUA01G0011060.1:cds pep primary_assembly:Fonio_CM05836:UA:22119629:22119889:1 gene:DexiUA01G0011060 transcript:DexiUA01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGGRGELTGRLIDSPSLGASPWQPPLTIQIHTLPLLGAGPQQHHHGPAWRRTAPRLNSEGSSMNLSFVRPPAMAVVSNPKP >Dexi4A01G0008640.1:cds pep primary_assembly:Fonio_CM05836:4A:6458476:6462293:-1 gene:Dexi4A01G0008640 transcript:Dexi4A01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPALGGKAAHLVSDLATVILNPVSEREQQRHHPSHLPEGTEVNGTLFGDEDFDKNSETPDGPDTSSFRAFLMSFVSSSTSSKDSMETIPEHNLDVEYPTLTPVGKASSGRKGLLSRGKHSIGRIISKAGLILGGMEYRCLLCIEGAGFVLATRFCLLYNLVTDIHMIICAALLPQIVGDRRGAVFGGLVEAPLQPIIKRKYQGTNDCFVFTNVEGRSVICRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSHTPEFKIKDVELWGFVNASNYEEMLTICRTEKQGIWNL >Dexi1A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:1A:24651456:24651945:1 gene:Dexi1A01G0017310 transcript:Dexi1A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFPSFYPEMHPPLTLHRHPMCAEIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKALKRKANFEESKKLKEQLQAYKREMSEKNEE >Dexi5A01G0036740.1:cds pep primary_assembly:Fonio_CM05836:5A:38147257:38151826:-1 gene:Dexi5A01G0036740 transcript:Dexi5A01G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRASAPAAVTVSATTAPAPPPPSATTAAADTSSADASDLYGEGMWQQMAMSSGATMQPGPYPERPGEPDCTYYLRTGLCRFGMSCRFNHPPDRNLAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPNEKECAYYLKTGQ >Dexi6A01G0003720.1:cds pep primary_assembly:Fonio_CM05836:6A:3479058:3480229:-1 gene:Dexi6A01G0003720 transcript:Dexi6A01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVTVPPVQTSESNKISIVISPRAASSKVMPFELIKAGSISSHPSANPAESSGAHSIHYHHWNQGLQKMKAVPLIKKVIAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIAASAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPLSHLLPYMTAQILGSIVASFTVKGIYHPVNPGIATVPKVATVEAFFLEFIMTFVLLFIITALATDPHAVKELIAVAVGATIMMNALVSGPSTGASMNPARTVGPAIATGRYTQIWIYMVATPLGAIAGTGAYVAIKL >Dexi2A01G0024000.1:cds pep primary_assembly:Fonio_CM05836:2A:35685173:35686097:-1 gene:Dexi2A01G0024000 transcript:Dexi2A01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEDDGPEWMMEVGGGGGKGKGGGGGGALDKNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDSLLCSHESLKKEKHALLKQLEKLAEMLQEPRGKYSGNAGAGAGEDVRSGVGGMKEEFADAGAGLYSSEGAEVGGKFAHFTEDDAGGLFRPSPQQPAAGFMSSGPPEHQPFQFHSSCWPSSTEQTCSSSQWWEFESLSE >Dexi1B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:1B:7140104:7143347:-1 gene:Dexi1B01G0008530 transcript:Dexi1B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHLRLRLPLPSAATARHHHHHLLRHRHPVAAPAAPLRLSLPRPTPTPLRLPAALPLRPYLPPLRASAAAAAASPAPDASSSEPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEALFYAVIFPFIAFFGAFAYVLYPLRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNMRKNLGPGVDGWAISLKAMMSIVVILGLVITGIYWGVNRFVIDSSSVPVVERKKKDKPKLSLGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRMILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQDLEREKMLKAKTVETTAQVVGTGNGSLQESLASDTSANGSAIKPSQETESTTPANGSADSDSTTPANGSAIKASQETESTTPGISGQQSQ >Dexi8A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:8A:323062:326418:1 gene:Dexi8A01G0000460 transcript:Dexi8A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPCFPLLLCLLILVLPLLLSVSGDEAADGFIYDGFSGKDLTMDGEASVADGLLRLTSGQAQMKGHAFYTYPLNFTSTVVPDRSSVPSFSTTFVFAITGPYPDLSGHGLAFVLSSTKELFSALPSQYLGLVNNWNDGNSSNHLLAIELDTIRSAEFKDIDDNHIGIDINSLTSFESHTAGYYTSDGEFHPLKLISKKPMQVWVDYDSSLNRLNVTIAPYMLSSAKPSRPLLSIICNLSYVLPTATVYAGFSSATGTINCKHYVLGWSFKLNGEAAALDYSALSVGTFQQLDGARPHSNKATMLCIVLLPTTVAISVLVSAFLVKVHVKRRLEARRNELEWEREYGPPSFTYKDLLAATSGFKDKMLLGKGGFGSVFKGILAHSKQIVAIKRVSPDSKQGMKEFLAEIVILGHLRHRNLVQLLGYCRHKQQLLLVYDYMPNGSLDCYLHCQDAHLCWDQRFRIIKGVASGLFYLHEDWEQVVIHRDIKTSNVLLDGEMNARLGDFGLARSHPHGVDAHTTHVAGTWGYIAPELARLGKATKATDVFALGVLMMEVVCARRPIIWVNRDDGEPLALADWVLAAWRRGSIADAVDPRLQDDYVEEEIELVLKLGLLCSHPSPTARPCMRLVMQYLQRDASPPQDLQPHHTLVTMTDEVIGQDEIMLLDQNVMSCPMDASGAAGTNIFTGENHPIHIHGYDFYILGEGFGNIDTTKLNIDDPPMRNTVGVPVNSWEVIRFIADNPRMWLMHCHLDMHITWASPWPSSLRTASRSCGLSRRLHRTSRSVERLIHLLT >Dexi9A01G0031180.1:cds pep primary_assembly:Fonio_CM05836:9A:36046660:36048236:1 gene:Dexi9A01G0031180 transcript:Dexi9A01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTFLNVFALPTFPHERNFMGYETVDFCPIKEELRIEPAMPSFGLFASGPVPSIVFFVPQRGPAAEFF >Dexi4B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:4B:21104473:21106449:1 gene:Dexi4B01G0018830 transcript:Dexi4B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITEACRKAVSYTLLGPPSQSLRAAEAAAKAAAAVPTTGDAFLDLMDATFNKPPPPPPPPAPKKARTENNSPTFASSGDPCLDFFFHVVPGTPAASVASLLAAAWASEPATALRLACNLRGVRGTGKSDREGFYAAALWIHGCHPATLALNAGPVAEFGYLKDLPELLHRIIHGGVSTRTPGKKARLAALGGGFVHRFSHHHRQHRQPRRKGNAPRCAETREARIAAANARGQIASAEAAVERRRKRAEAAARAVDRYARDPNYRLLHDCTEELFAKLLADDMEKLAAGKANELSLAAKWCPSLASSYDRSTLMCEAIARRLFPKGSAPDLSDDLADEHYAYRVRERLRKALSPLRRALCVPEIFVSAKSWGDVVYKRVASVAMKNYKGLFLKHDADCFGSYLADVKSGKAKIAAGALLPHEILASIGTDNAGDGVADLQWRRMVSDLRALGKLSNCIAVCDVSGSMEGEPMDVCVALGLLVSELSDEPWHHRVITFSKSPQLHVVAGETLAEKANFIRCMNWAMNTDFQAVFDKLLHIAVAGKLAPEQMVRRVFVFSDMEFDLASTRPWETDYESITRKFNEAGFGASIPEIVFWNLRDSNAVPVTASEKGVALVSGFSKNMVKLFLDGGGIVTPRDVMEKAISGPEYQKLIVFD >Dexi6B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:6B:7377941:7378734:1 gene:Dexi6B01G0006700 transcript:Dexi6B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNAEACACYNLGLVHQTNKVSGFEYLSSKPFKSSHNTLRFGSQDPRFMLRTQLGEGYVRDDCVTIDCRLVVLEIQVPPCDIPEHIAELFDQKVGADVTFNVRGEIVEAHKVILAARSPVFKALFFGLMSEKREGHVTIQDMEPDVFKALLRFVYAGSVHGMGDDLDGDDYKDMIWHLLAAADRYAVDRYIGKGK >Dexi2A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:2A:8480597:8482859:-1 gene:Dexi2A01G0008270 transcript:Dexi2A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVHEARTAAAGDDAASGRTSPPPPQQRRRRVVVFPLPFQGHINPMLQLADALHDAGGLAITVLHTAFNAPGPAARRPEFQFVAVHDGVPADVAASGDVIDIIEAMNAAMEADEGSAALRDVLASVIADEDRPSVACMVFDANLLAVPKAAAAVGLKTMVLRSASAACLRCLMAYPMLHQKGYLPPQESKLYMPVKELPPLRVRDLFYSSWSDQEKMRNLFARSIEATNTSSCLVINTLDVLETPELERIRDELHIPMVLAPGPLHKLSCKSTGSRLRQEDYDCIKWLDKHPPESVLYVSFGSLASLDANEFLEVAWGLATSGHPFLWVVRADSVRGLDGPDFPNGFGATVEGRGKVVRWAPQQEVLAHGAVGGFWTHSGWNSTLESIVEGIPMICSPQFADQMMNTRYVEKIWGVGFEIVEGKVERGKIDKAIRKLMEEREGSEIRERAKELKKKVAECLKTGGSSHIAIDTLMKHILSM >Dexi2B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:2B:5810290:5814224:1 gene:Dexi2B01G0006220 transcript:Dexi2B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLLRRAAAAAAAASSGGGRATTLPDPPSALASLLLASRSYAKAKGGGKPASSTTNRGKVRAKDPRGGASADDADGDDFSAGAGGDDLDAEFEMPTDPLPPTYDPALDVGPGGRPLFAFTDTFGSFAHRNANVYVDFTLDEWNAMLPEGLPAGMMKEFEETRRCAVMVRKSFLDLRDNFRRIVDPAITTNLKDIKKQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQAKDWTHGGFFYRNTYSDFFDTPIQAAKILQNFLKFNEKRLQELPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHTHASVGVVVRLRNELSLVKDVPVLFAIDQYNSWFTFSDFQEPVTVRSCRSIHAKELTMVNAYRSMLHNDMMVGAFSHSTAVGKLRQELPDVPSDARLMFPRYTLEEAETVCHYYMR >Dexi2B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:2B:12597608:12606222:1 gene:Dexi2B01G0011040 transcript:Dexi2B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWSARLLLTPASSRATPAPLRPAARHRPSSPVSRRGLHLARPSTPRAYISAPAPGPEAAYAPPSLDAAAAAADVAAAISSSDGVTWAGVWALLSRHRARIAVCLAALLACSACTLSMPLFSGRFFETLIGRGNEPLWRLLSKIAVLYALEPIFTIIFVVNMTVIWEQVMARLRSQIFRRILIQKMVFFDRHKITGTLCILFTLSTELAPVLGLLMISVSVLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISLFHNLARAYQNSGTKLGVLKSANESLTRVVVYVSLMALCVLGGSKVNAVQGAVNTLGDLRGTLASIERINSILSAKDIDDSLATEHIHSWMNAIYTHRHVFLTDVHFSYPLRSDVEILNGLDLTLECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRIFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNLLMKGRTSLVIAHRLSTVQNAHRIAVCSEGKITELGTHAELVANGGSYASLVGTQRLAFE >Dexi2B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:2B:5096715:5110532:1 gene:Dexi2B01G0005540 transcript:Dexi2B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRVDDAEAAELFGREAEQDVVEHDVHWQQTIGAAGLDGFFLPPPLPDEIVEAILLRIPPDDPAGLIRQLPKPAAQPPGEPRAAHGLMEPVGHTQSPRRKPTKPQPTSWQLLPAGKSFPPSHVTPPPRVGHAVDTSTPPPTRATSPLLCRAPPLSSPHTPPGESSRSSSHSASPPPPPPPPSPRPRSQCLADTTAYTKPPPRKWKPKATEASFSPSSSSASAAAGIAEPVARMTLASQEPPRAGAAQMWVPRGYATSAAGGPDVTSASASTSSAAVTAEQGGVASGKLSSIFKAAPDFEVDNNTFTEAQVRATFYPKFENEKSDQETRTRMIEMVSHGLANLEVTLKHSGSLFMYAGHHGGAYAKNSFGNVYTAVGVFVLGRLFREAWGKEASKKQAEFNDFLERNRISISMELVTAVLGDHGQRPKDDYAVVTAVTELGHGKPKFYSTPEVIAFCRKWRLPTNHIWLFSTRKSASSFFAAYDALCEEGTATPVCKALDEIADISVPGSKDHVKVQGEILEGLVARIVTRDSSAQMEEVLRNFPQPPFDGVDLDLGPTLREICAANRSDEKQQIKALLENVGASMCPDHSDWFGNNGLDAQSRNADRSVVTKFLQAHPTDYATKKLQEMIRLMKERHFSAAFKCYWNYHKIGSLSNDNLYYKMVIHVHNDSVFRRYQQEMRKNQGLWPLYRGFFVDVNLFKATNKKAAELAKDGDTLLKNINGALDSNSSAVDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYRTYYLRQMKNWGTSPSKQKELGKMLDEWAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPSNQALIGAAGNLVQTENFLAILDAKKDVEGDLRSEHGTTPSSPASTSVDVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDNRPLHSLMGDLIKGMEYFQHYLCSMIEDMCGSTKAAAVPVVPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKGSPNAGYVLLMFYHLYEGKSRREFENELYERFGSLVKMPLLKSDRAPLPGAVKAVLDEGISLFRMHQSRHGRAEPSKGSFAKDWAQWEQRLRVTLFGNAEHLNAIQVPFEFAVKEVLEQLKAVAKGDLKTPDTGKRKFGNIIFAAVRLTPSDIVGLLRKVSEKDDAVNTFLNETRLEDSLTKAHVTLAHKRGHGVAAVASYGVYQHQEVPVSFNALYYTDKMAALEAQLGAVNGEQINSRNEWPHATLWTAPGVAPKEANTLPQLAAEGKAKRVPIEPPITITGVLDFY >Dexi6A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:6A:21558039:21562536:1 gene:Dexi6A01G0014170 transcript:Dexi6A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAATAAALAAARGRWTQWEEVVMSNDRGRRLVHYYLRGDGEAKELAVVGRERSPRHMSYAVQGRFLRALAAAAGAVAPSPSRSPSAAAGGAGADGGGPRRWRSRREVVDWLSSLVSGCSYGYSTANRWSGNSYDDNDINCTQVTSSKDVSSREISKDFTWLGSAWHCQQRLKHYKSFCRRGITISVHSFVYIMSEEMKRLIAYVEDLYEDANSYNMVKVRWFDKVDEIGGPLPMDVDDREIFFSLGCQDLNVECIDGLAAVLSAEHYEKFKNGTRYSLWQPYLCRRQIDDDEVKPFDVTELQGYWNQEVLRTMFNAASSLKVRFKVPKFGPSSDGALKRKRDAFDDGVNQQKFLGDKHLYPGCQVEILSQDSGIRGCWFRCLILKRHNDKIKVRYLELQDVDETGNLEEWVMLTRVAKPDQLGIRFLGRPMVRPHVEESKASCFVVGSIVDAWWHGGWWEGIVLRQADSGRLQVYFPGEKRVAEFLEDDLRHSLEWIGNKWNPLKERKDITNKLTSTADCGSEDLIRKQIPLDFNMSLKPEPLLERGDEKSSVSKISRDNKRVFADLTNALKLDNLRWMPRKRSRRSASRRQSDSSGSSSGEMDLSSPSGSSGQLNSIPDEETCKSSGEQRLHVPNLVMSR >Dexi9B01G0030820.1:cds pep primary_assembly:Fonio_CM05836:9B:33230897:33231148:-1 gene:Dexi9B01G0030820 transcript:Dexi9B01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAHSEAAGSSLPRAGKPWRGVLVGSRLRLVMQTRGCSVAQGSAAVAVPFDGGGGGGAGACNVGLGGGSGAHYRAEPGAPA >Dexi3A01G0034790.1:cds pep primary_assembly:Fonio_CM05836:3A:40071410:40080147:1 gene:Dexi3A01G0034790 transcript:Dexi3A01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLRLHLHLRRRGGGKSPAASPAPALLLAARLFSSTSCYSSSAAPSPAAGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRAADEAVRIGPPPARESYLNAKAIIDAAHRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKVFGDQHGNVIYLYERDCSLQRRHQKIIEEAPAPNVTAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGECLPLSQEEVPLNGANKTLSVWYNSTPFRMHHFVRQPLELELEEHDGFSEKMLKLFVTYKSDGSYFVETEDCTSGLDVKVDHKGDHDFRVDAAGLQTDVTLAYYSKDNSKHIHLWNGKHHHHYRQTMRVEDVADDSSQPSHASEGKSHPKGGVLAPMAGLVVKVLLEDGALVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKPIDEIWCWPLEKPIVAGHALVPFAFAEHGCTRPLGYTEKQIHKALLCQSVRTGHVLKLLQRAVSVSPSPSLCQSVRTGHVLELLQRRLRQSVTVHVRNLTSDRRNMMPSH >Dexi7A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:7A:26743077:26743367:-1 gene:Dexi7A01G0016920 transcript:Dexi7A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFFDAEPLCEPSAPALDACALCARRLGRDSDIFMYMGDTPFCSEDCRDEQMQLDAIRARQAARAAGRRQQQQYASSRAESRHHESRKVSVAT >Dexi3A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:3A:2055535:2055777:1 gene:Dexi3A01G0003140 transcript:Dexi3A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNSSLCLENLRMMHENERLRRKAQQLDQENKALLAEIKRKQQLVQQHASASSPSQQGGPSGGGASAATAANRKAAGK >Dexi4A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:4A:922106:927031:-1 gene:Dexi4A01G0001440 transcript:Dexi4A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAHPWTFMSGGACSNLGLVAREMNGSVPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQQSTSMNGDSIMRVPASPMSFSSNNISGSSVIDGSIMQQSPPQEQVQKRRSSSVTSQPAIDAAGALHAQKKSRIDIGQGDVVQQQLIHQLVHGQNSLHFQQNPQFQALIQQHKLAQLQQRQQQHLLQPFSQIQQPQVGIPRQPQLRPPLAQPGMQLGGPVRTPIEGGICARRLQQYLFHKRHRPENNSITYWRKLVEEYFAPRAKERWCVSSYENRGNSSAAAPQKALISEVVNHMKDLIEFSHKNNLGPKENLNSYSKTIAKFQNVHDSRQLMAAASLANNQSNTKVMGVQQETSAPGNSRTPGVGAIGNNTLQNATALNSYQNLLRSSSANQILLQQEASSIFKGPAAMHNAMQLGAARSFHGPSQAQLAQFQQQASFQQPMPQQNNLHSFGASPQYQQHVFNQLLQEVKKNNNRPFAQQPPPDASNASGGLASGASTPNLTATGEQAQRINNSNSNSNSAARGAAPAGTGPSNVLNNNTASVVPSRNNSFKSVSSNPVAAATGGNATNLKVDDSFHELEDLDHLIANELVESGLFNSGQGGSASPWEHMSMSH >Dexi6B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:6B:17052056:17055237:1 gene:Dexi6B01G0010600 transcript:Dexi6B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNQEMEEVVPNDSDPLLGRENKEAESSSAELSAPQPATVTPLEIEDEETDSSSAACCRICLESESEIGDDLVPLCYLSAVLLCDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPVPFYYCIGVVVFFVLLGFFGLIVHCSSFNDHQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAEYVVEDLHGNYTPPKLDPEHKERLKMLKLL >Dexi9A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:9A:3724589:3725407:1 gene:Dexi9A01G0006550 transcript:Dexi9A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPNIRETFVELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKHELDGFHYEIQATDPTEVDIEVLRERAH >Dexi3A01G0016060.1:cds pep primary_assembly:Fonio_CM05836:3A:11994826:11997359:1 gene:Dexi3A01G0016060 transcript:Dexi3A01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding STCTASFVIFIYLQDQPAPSPYPDWSTMQAYYGPGVMPPTYFASAIAPGHPPPYMWGPQPMMPHPFGTPYAAMYPHGAAYAHPLVPMVSNRLGMETTKSANSKEKSSNKKLKEIDRTVVSAGSGNSKRTMSSSEDYSAEGSSDVNDQKVSKTSRKRSSVDGPGAETSAAAMMQTAIAPNHALGNAAILPHHFPAPVIKSSATNVANSRAMGTAVSPSPGVMVPPHTGVSTDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEIGRLTESSEKLRLENSTLMVKLKDTAAPTPAEPSLNRAATSSSSPRPAAENFLSMIDSTKAPGASRHTEHGEPKLRQLLDSNPSTDVAAVS >Dexi2B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:2B:4654964:4656370:1 gene:Dexi2B01G0004950 transcript:Dexi2B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHRSVPDDALIEMFELLPAKSLHRFKCVSKSWCAMVADPLNRHRFAQTLAGFLCLDVFAASASGTAAGTESCHDGVQDDDGRGESSRRTCPHTTRLAKSNGRATRRFVNVSRMAEPLIDATFSFLPPPPPPPLSGEGFFFRDVILDARDGLILLARVHDHDSSSSPDHHPPASYFVCNPATSRWAVVPSSGWVPSTSQRTTRTFLLFDAATSPHVFHLIQFRVSDMDTVQAIHTYSSADGAWTDRELHWHAGGWRHWGRSMALIQPGTGAAVAIAGGSGLLLLHLVVDTDGTTGPNNLVAVDEEGNVRRAIPLPRRDVAEKDWHSVFVSVSQGRLHFVMCVRPPHGCLSEEQPLKMLVWVLGDHDDDAAGDGEWVLKHTVSFPELFGRIACQFRVEYSVVAVHPDGDWVFFVRHWDRKLVAYDMGRREVIVVADLGDGGGELGGDELATPYVPLYCESLALANGMN >Dexi5A01G0030060.1:cds pep primary_assembly:Fonio_CM05836:5A:33074712:33076799:-1 gene:Dexi5A01G0030060 transcript:Dexi5A01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTDEVTMGRLYRIRRTVMQMLRDRGYLVVEHELATTRRDFLRKFGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMTHDNVSRAVLVLQQNLTPFAKSFLIELEPKIHLEVFQEAELLINIKEHVLVPEHQVLTNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >Dexi5B01G0027480.1:cds pep primary_assembly:Fonio_CM05836:5B:29003581:29003961:1 gene:Dexi5B01G0027480 transcript:Dexi5B01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHRATASALMTSLLAAPACKGFGWLSE >Dexi5A01G0033550.1:cds pep primary_assembly:Fonio_CM05836:5A:35880332:35880721:1 gene:Dexi5A01G0033550 transcript:Dexi5A01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCEHEGGPIPAGEGEPQHEHHGSKPHHNIGLRRLREGRPAAGDERRCKPRRRAVARWVGAEMVGGDPAMGARARLRGVVNGGRGGFDFAQEQPELSEERLGRELGQ >Dexi5A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:5A:24792346:24792785:1 gene:Dexi5A01G0020930 transcript:Dexi5A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRGMMSGFVRASRLSRAFSGRSPVKRRYLVPLRPCARRARSSRHGTHHAHSSVQAASHTSATAAAKPARVHGGVSRSSSRARVAIGYLAFLAHCTTKEDGPTDCDSAMRTFFMTEQRDG >Dexi9B01G0041150.1:cds pep primary_assembly:Fonio_CM05836:9B:41615092:41616624:-1 gene:Dexi9B01G0041150 transcript:Dexi9B01G0041150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSLSLGFSSLLHPSPRVRSQPQLQSQPPNPKPLRLGLSVTLTPATAAPRLAAVPDGVAIADVVEKDWSFLESAGGGLQRALAAGALSPSSRVLAVTPTAAFVGALLASTPCDLLVAAHESLYVLAGVKEEHDEVRCFHLEGGGGGRGGGVVEAVPERFNDFDVVFVCYFPGMGISAAALLKSLAKRCSKGETRAKIVMFLEDRQNFEQHRREHPDVVTSDLPNKSSLEKASSGNKYEIIDFVDEPTLYLAVLQFQG >DexiUA01G0018010.1:cds pep primary_assembly:Fonio_CM05836:UA:38542234:38542764:-1 gene:DexiUA01G0018010 transcript:DexiUA01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLKEMR >Dexi6B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:6B:18646611:18653877:1 gene:Dexi6B01G0011420 transcript:Dexi6B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILTLRRDPSDILEQSDYFAGVPNPISSTTESSGTLRKYVPNQGALAPAQPRTPARGGAAPEVTDTSPCLVSGPACRWDWLEMIAVRIGFDSFTAGARTMPRLFEKGGPCLRLAGSWRD >Dexi3A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:3A:1854927:1856624:-1 gene:Dexi3A01G0002780 transcript:Dexi3A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVPRGGGEKVGVMAIEVAALMSRAAGLWRALGDDRLAGLRDESIRLEGVRRLVADDDAALLSLALAEVAAACRDLSLAVSRLSARCADPLLRRFDALFSALVAKGGDPHGLRYAADKKMDRKARKMQRLVAFTSHLCQELDVLAELEQALPLRRRDARAGSGKRAAAAASGGGGESSRRLARQRQEVERLRAASLWNRTFDYAVRLLARSLFTIVARITEVFDLEPTTNISISSVDDDESSRVSRLSWSASFVSGGSTQSMVYPSDVVAAAADTPRRMPRARSGKVTAGDARRFLMSRSKSLRQQLRWPAAGRHLVGCVIMGGSNSPVRGGWLHGSDADLPLSFSYVSAAATLNSDDYSSSINFSQSRAADHHTNATKPFSTSVFESSGTALINAPESCLGGAGLALHYANLIMFIEKLAISPYHICSDERDDLYGMLTGTIRASLRARLRPFAKNDTPCDAVLAAEWSDTVQRILGWLATLAHNMVRWQAERNFEQRNVASSGTVLLLQTLHFADQGKTEAAVTELLVGLNYLWRFGRELEAKARLESAGAGKRNAYYAG >DexiUA01G0021540.1:cds pep primary_assembly:Fonio_CM05836:UA:44744794:44745180:1 gene:DexiUA01G0021540 transcript:DexiUA01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLATENAPAAIGPYVQGVDLGSMIITSGQIPVNPKTGEVPEDVAAQARQSLENAIVESAGLKVGDIVKTTVFVKDLNDFATVNATYEAFFTEHNATFPARSCVEVARLPKDVKIEIEAIAVRR >Dexi2B01G0029390.1:cds pep primary_assembly:Fonio_CM05836:2B:37812943:37813969:1 gene:Dexi2B01G0029390 transcript:Dexi2B01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTAAAACLPDDLVVEILSRLPAKSLCRFKCVSRCWRRLISDPAHRFRLAQTLSGFFFYSRDPPWRFAALPSSVTPLGLAGDGGLPLVDTALSFLPPSCGKIKIMGSCNGLLLLLCSNDDVLSRSGPPPFYVVCNPATREWVALPQPRYTPGQFSTIITWYATVGFDPAISSHFYVFQVVEEDYMITNYLKAVEIYSSETGTWDLRESECLDFLGQIAYFNGFLHLPMEYNNIVSVGTKGQPWRVTQVQCEDHHQDSGRGYVGHSQGRLLYASSVRKDVVQSIYVLEDQESKEWTLKHNVNKSDLLLEPWSGGLRPTYYIAGFHPHEG >Dexi7A01G0000090.1:cds pep primary_assembly:Fonio_CM05836:7A:163136:164744:1 gene:Dexi7A01G0000090 transcript:Dexi7A01G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding TACCFMFGKKAKQAIERNDDARFVVPDVHTVRVFSFSELREATDGFSVASKLGEGGFGSVFRGVLKDRTVVAVKVLPATSRLGTRECFSYAISGSRNSNIRFNWCARVKIAVGVARGLAFLHEEIKPPIIHRDIKASNILLDQDLTPKISDFGLVRLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLEKTWGLYEEERLKEIIDIDIGDDLDVEEACRFLKIGLLCTQDAMARRPNMTNVVQMLTGEKRISTDKITRPAMITDFADLKVRNKEQRSNARTPMTKSFTTSEPFSSSETPTQLSM >Dexi1B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:1B:5060550:5064511:1 gene:Dexi1B01G0006190 transcript:Dexi1B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAALAPAAFRSSFSPSLSSNPSRIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMDLGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >Dexi6B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:6B:24158067:24160876:1 gene:Dexi6B01G0016860 transcript:Dexi6B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGGGGCGRSPLSPLLGCLLLVVVSSLLSTVVRGAQLQQQQQQELPELEVATYNYTSFQDGNSQEATDFVFSSNARIYQGAIQVTPDTGNAGSYESIMVNKSGSVLLRRAFTLWRHLDNVTNGTAPPVVQVVSFNTTFSVNVYQLTEAHPGEGLTFVVAPSLAGPPPGSYSGYLGLTNSTLESSSSGLNTTANKFVAIELDTFKQPYDPDDNHVGLDIGSVVSNKTSSLAGVLDIATNATTATNYTVWVQYDGLARHISVFMAKQGSPKPSSPVLDSPLDLSEHVLEKAYLGFTASTGTSFELNCVLDWSLSIEIIPETKSNTWIVIVAVAIPVSVVVVAIAAFFLAKKLRARRSMERRQERLGHQLSTLPGMPRCFEYEKLRKATKNFDERQQLGKGGYGMVYKGVLPADDGRPEGTMEVAVKRFIRDDGREVSDFLAEVQIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGVHEHRPVLNWPSRYAIIADIAAGLHYVHHEYTHMVLHRDIKASNVLLDASFRARLGDFGLARVLDHDRNSFTDINVAGTRGFIAPEYFVGHKASRETDVFAFGALVLEVVTGQQALRVHDARCPLLVDWVWQMHGRGALLGAVDQGLGTDEFDHGEAGRLLMLALACSSPNPRDRPAMPVVLQVLSKASPAPEVPLFKPQFVWPPEGGAQFDLSDIGVSMSTSTAGSTVGDGGSSTAMATQDTAHHSGEGYFPAISSGR >Dexi7B01G0014160.1:cds pep primary_assembly:Fonio_CM05836:7B:20499184:20501227:-1 gene:Dexi7B01G0014160 transcript:Dexi7B01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQQAKRRDGQPPNDARKSGGRKSGRNAAPVPDARKAPQSKTPAPTKKAVARPEEPAADKRTVFVVKAAAAAAAAEVAAASKGASGEPEVKRVPPPEEEARPVAVVRAPVRTSSCTKEEVDAILIQCGRLSRSSSASGKAASGEHGGGGHRRYAGSKRSYDFDHERRGGGGGDADECDWGREGAAVSRPSPRRRTPERKRSASHDGRTGAGSGSRSRRVSRSPGRRVDLAPAAGSSGTAERGVRQQPGKMVSVPARDKGRAPSPVKASSAGKRYPSPRSNSPARAAAAAGNENAVVHPTHGPSLSRSSSRKAEQSPYRRNPMAELDENALGNNHHHNNANNGNLQKKSGDGAVVLPQKTAERAKDQIPSSRAAKEKEIVEEAVASDTKASSARMNATHSVSIVADNVTNPRPGSRSSRRSSRDFDHNGNSYASLLLEDIQNYHQQSTIAGTTTAAPTFALPACVSKACSILEAVADLNSTSSENKSFELERSVNDKESVNGRYGGKGPGNTVVVESEVVVKDDLMEPSMHKYVSVRDIRGVGENEPQESAGSNSFAGNAWTCSWEPNSVDSTDRTRSASQSYNGDEVEQVTEQSWQSKQEPSRRGSTSTSNVQVQRVRGPYRGSTVSGRSNVGGVSGSSSIA >Dexi9B01G0030690.1:cds pep primary_assembly:Fonio_CM05836:9B:33154178:33155936:1 gene:Dexi9B01G0030690 transcript:Dexi9B01G0030690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFAGYSTWSQLSVVISVVAVCWSIVWQNLEHIRLQQFFARNFNRRARRLAAIVDPFLSVTFEEYEGGRIKSSDAYKEVRSYLTTATGVSGVRHLRAEAGGERDNAADKDRLVLSMAQGEEVADAFHGATVWWSAYSVQPSRDAIPWFRASRSERRFYRLEFHESDRELVLGSYLPHVRRQGREIMVQNRQRRLYTNINREGYDDGWYEDVWTHVPFNHPKTFDKLAMDPAKKKEIIDDLNMFRKGKEYYNRIGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDFELTSVKTNTELRKLLIETKSKSIMVFEDIDCSLDLTGKRKTKEEEDKEDEAEEEAKSAGGDPRQPKKDAKSKVTLSGLLNFIDGLWSACGEERLVVFTTNHVEKLDPALIRTGRMDKKIEMSYCDIESLRFLAKMHLDEDVEGHEMFGVAKGLLEEVDMVPVDVGEHLTRRSEDDDAGSCLARLVAALEKEKEEAAKAKAAKAEAEAAKAEAAKAEAAAETEAAKAEEDGRIAVKAKDDN >Dexi3B01G0036650.1:cds pep primary_assembly:Fonio_CM05836:3B:39545160:39546317:-1 gene:Dexi3B01G0036650 transcript:Dexi3B01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLVSGVTVQAVTPQQPLPQISASPALLVVGHARSNVPGHLDLGWIDAEASTSSPSAANGVDGLAAANALRNQLEDHGRGASAMRNLAADRGHGLVAPAPARWPAVGSSRFWTAGVEVCDADGAVHCEDATTGGNPTCAAISSIRAMAKSTPNIKPHDGRAACHCAMDCSRTAAAAALGWPSTCARTVGRDCGCTALSLTPREEMACCRLAASICSRSFLLVGATPVPVASHDRGHLVSKVRLALGLQVQPLEACVQLEKDDPEVLAAAAGATLMEANESTREAMDRIRTKAN >Dexi5B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:5B:22004306:22007731:1 gene:Dexi5B01G0019720 transcript:Dexi5B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVPWRVCLLACFLLIGAARSEPPALQKLDAGGLAVNGSHAPPRAARALSTLLIVDDGRLVACSGKDLLAFERDGSIAWTVPLGHTCNHSIRLVSDREKVYLVAEDKVIKITPRDRHTAKPASSEVFFSYNATPGRSEEIIGLAVSGSYSSLFLTIRNRGLFVLSLQGHLQWSLGPVLDWYGYRLGCKGNISGCYFDSAPVLDHYGGALYILNTEGQLYSFNIQSRALRWIQDLSSLDKVMTIAPGNSGSLYIVFPLKSIVVGLDVSTGNISWKQSIGPLSNEKTLPIVDSNGWIAIGSLDGILYSVSPDGDMRKLLEKTAHDSVIHVDPVLDCSGFSMYVAKTIVEGKLIQTTGDYTTVSVMKPSHILVTLLAPATGTIYWTGDYPGTLSNVLSSRDLNDFVVDETVLLTLISAANSPGLTSTTPSEMQRSLHIKKRALGKKISELEQKAAEDTTSNEILGELGEMVKAKEGVERKLNASYSLGSDKLGLKQGSSILPLYSEKYKSHSFHSPQKENITVFNILSDTSTSEDSTTSYSDDSESCSSNSSTDMDLDVTFKPEEEAGPSNTTNDTGRVEEECPSDVRSPSRVFANPLFIKDHYSKELECVKEETDGISEGLHSHHDNFTE >Dexi1A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:1A:27260980:27265225:1 gene:Dexi1A01G0020460 transcript:Dexi1A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNWLGFSLSGQDNPQPNQDSSPAAGIDISGASDFYGLPTQQGSDGHLGVPGLRDDHHASYGIMEAFNRSQQETQDWNMRGLDYNGGASELSMLVGSSGGKRAVEDSEPKLEDFLGGNSFVSAEQDHQSGGGYLFSGVPMASGSTNSNSGSNTMELSMIKTWLRNNQQVPQPHQAPAPHHQINGQPEEMSTDASASSGFGCSDSLGRNGTVAAAGAGSSQSLALSMSTGSHLPMVAAAGGGGASTGAAAAASESTSSENKRASGAMDSPSGSAVVEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKDAVDHVEAAAGATIWRAATDMDGAVISHLADGMGAYAASYDGSHHGWPTIAFSQQPSPLTVHYPYGQPPSRGWCKPEQDASVAAAAAAAHSLQDLQQLHLGSAAHNFFQASSSYNNGGAGYQGLGGGGSSFLMPSSTVVAGDQGHSSTASYGDEHQEGKLIGYDAMAGGDPYGAAAARSGYQFSQGSGSTVSIARANGYSNNWSSHFNGMG >Dexi5A01G0036420.1:cds pep primary_assembly:Fonio_CM05836:5A:37964291:37966625:1 gene:Dexi5A01G0036420 transcript:Dexi5A01G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAPDVGHDGKGDGDGGNDIIWMGTGCDGAVDPSWSTAVAASFASAAVGDGNGGGGSEGRCRRRSWMPSSWWLRLRTTAVNCAGVMTKLPVAAVDPVGTGSGGEDEATSARFDDGGGSGMEVVGVRFQFAFANLLRTFGDGAVVLNEEKASVRERSPTDHDNPARASGNTESLRRSKLRATMQSGIAFVWETDPDAGEQSARDEEPGVGGRRTGRRLPRLVVGRPRRRETVAGGGRLAVVEERCEAQPRKRESSGARGGVMPASSGAVIAVSQRASGPVRVEEWACQLSG >Dexi9B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:9B:3919270:3921159:1 gene:Dexi9B01G0006520 transcript:Dexi9B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGGSGGGSRHGGVVAGVGGRQPAGRADGGGERLPWLANGGVQVQRGVIAGCGRGIPDIVEAKEFLGKKGVDVGSE >Dexi9A01G0002390.1:cds pep primary_assembly:Fonio_CM05836:9A:1264998:1269777:1 gene:Dexi9A01G0002390 transcript:Dexi9A01G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVVAGEAELVPTLSSLEPVYGEGSQLDEARLRFARLGDRFQAVYGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKADGGQVRVSNVDDKYPVCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDLGKPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCLSERHIGTQSGGMDQAISVMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKAVSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKILHEEPYTAEEIEKIAGESLTSVFKSSQTSLDVIKAAKHYKLFQRASHVYSEARRVYAFRDTVSSKLSEEDKLKKLGDLMNESHYSCSVLYECSCPELEELVKEMYYKSRIERGVIKQGDLGLYVFASKPSSGAAILKL >Dexi3A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:3A:16026084:16027688:-1 gene:Dexi3A01G0020160 transcript:Dexi3A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLLIVAAYICKKSRKPLNHVQLPSGGHGDEEEMRSSEPLMYDLNTLRAATENFSEDNKLGEGGFGPVYKGTLQNGQAIAVKRLSRTSQQGHVEMKNEVVLVAKLQHKNLVRLLGCCIEEDEKLLVYEFLVNKSLDKILFGELSWGQRYKIIEGIGRGLLYLHEDSRLTIIHRDLKAGNILLDADMNPKISDFGLAKLFDIDLSVANTSRIAGTYGYMAPEYAVRGSFSTKSDVYSYGVLVLEIITGRRPSENLINFVWGHWSRGSLPQLPEICQADGPGPQEMLRCIHVGLLCVQEDPHLRPSMASVVVMLNSRSITLPVPAEPAFMTAGEWPRAAAREPSINEVSSSDLEPR >Dexi9B01G0010110.1:cds pep primary_assembly:Fonio_CM05836:9B:6261804:6265916:1 gene:Dexi9B01G0010110 transcript:Dexi9B01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADDASASPGYVLRSTLSGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLGSGGGARLVKTLTGHTNYAFCVAFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYLWDLQSRKVVQKLEGHTDTVIAVSCHPRENMIASGALDNDKTVKVWVQKEDQ >Dexi3A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:3A:8032341:8033507:-1 gene:Dexi3A01G0011260 transcript:Dexi3A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVIFALGDDLLREVFVRLPDPADLLRAAAACKPFLRAARSPPFLRRYRRRHPSSCPHLLGCVLFFPNRREGNLQLIPLNSPSSSSSSSAAAGGGSSDFDLSFLPGGGLLGQGADAWKHLDCRNGWFLLKNMGSQELAVADPVSRWYVSLPPAPAGRAVGYGLFADHGDSEFRVICVSRDAASGALRALFLSSSELSWADVASVASERDLAAGSRAMQANRSLYWRLKGGERMVAFSMTSMELSLLDLPPDLQKLSFDAFDRGKEEDANVLHLLTMSGFRIEVWAGTADADGGMAWRRVDKSVRFHKVLTETIKPTVHSYQHELDVIGVAAGVVFLRQWNHLFSIDIETMKFKMLPSKDCEVALIYPYTIAWPPSFLNPAGQGA >Dexi9B01G0038030.1:cds pep primary_assembly:Fonio_CM05836:9B:39250244:39250809:-1 gene:Dexi9B01G0038030 transcript:Dexi9B01G0038030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLHLGCSQHLRQRCTEKDTGRTGNCHSKRTPGQKADGPGIDNILYIHKDKEAGDDPDMQDVLKACCS >Dexi3A01G0035550.1:cds pep primary_assembly:Fonio_CM05836:3A:40774970:40779469:-1 gene:Dexi3A01G0035550 transcript:Dexi3A01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPSGGGRGRDAVDPPAISSPVVARKTVRMSDARDFIIPSSYSPDNGCFDIAEEGSAAGSPVSAVAAAVAKVLDEHASGTVSSSSPGGVPCDGALQDTPDYIRRGAARHRVAPLELFSAASEPDVRSGGAEVVANATACAGGPREGEIVGAIVQAQEGESGQLKQQYALLLREKEECRRLLEDLMRENVLKTRECREAQESLRELQMELMRKSMHVGSLASAVEGQVKEKSRLCQFLDVLSEKFKALKLEHQSLRQESLEYKNCVLDATQMSKTIQQYVNQYATLEHEFKELKEKFSEEAKERKDLYNKLIELKGNIRVFCRCRPLNEEEIAEGVSAAIDFESAKDGELIVKGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGREGARGVNYRTLEELFRIIKEREGIFLYEVTVSVLEVYNEQIHDLLLTGSEPGATTKRLEVRQAAEGVHHVPGLVEAPVTNMDEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGKNLINGECTKSKLWLIDLAGSERVAKTDAQGERLREAQNINKSLSALGDVISALATKTSHIPFRHDCCFKLQEFEANTPAARLTNAHNAGGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMVGRTKQDSKNKDAQIKTMEETIQSLEAKNKAKDLLTVNLQEKIKELESQLLVERKIARQHVDNKIAQDHLQKQRSIKDESYLRSPMAERNLNSTAEKPRAAPKDFGITKQMFSDSNTDTYSFKQLMSLGEEKENNPEAGQLPTKARRVSLCNGGEYQQPMNQASRRQSLIPLPRRNSLMPLPIAKPAVAAAPPPLDKITEHLSPPPLCSPPVVSKDKGSRSKKINNILRRSLQKKVIIRPSMAAQAGRKVSATTTAQGTDFGRKAARRVPMSGGAGQRVQQHKDKERGWNNATSLRNNY >Dexi3B01G0023280.1:cds pep primary_assembly:Fonio_CM05836:3B:18068186:18071837:1 gene:Dexi3B01G0023280 transcript:Dexi3B01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGGYDRQRELQAFDDTKAGVKGLVDAGVTVIPAIFHHTPDSLPVLQHPPEPDAITNTATTTIPVIDLSRARRREDLVHTVKTAAETVGFFQVVNHGVSGELLAETLAAVRRFNESPAEAKRPYYFRGNARKVRFSSNFDLFQSPAANWRDTLFCDLAPSPPRPEELPDAVRHVMVEFGDAVMKLAERVVELLSEGLGLAREHLGEMGCVEGLGVASNYYPPCPEPHLTLGSTRHTDASFLTVPLQDDMGGLQVLVGRGDGRRGWLDVPPLPGALVINIGDLLQLVSNGKYRSVEHRVLANKSRDTARPQTGAAFCSTDVIGSTRVFGPIEALTSSDGISNPPLYRSITIHEYLAHFLKKGLDGRHTLDHFLLQQQPTPTTT >Dexi2B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:2B:3095576:3100209:-1 gene:Dexi2B01G0003520 transcript:Dexi2B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAPTPKAVLHQRFGAKARYSVEEVREAVGGCPGLAPQTRSVYRCALELPGLSVATPGTFVRKKDAEQAAAQIALDKVRPRALRVRRAAAAPVAGLFSERDALLTVTSYPSLQIDKLGIQPTANIPSTPEEAWGELIARISGFFIDENFLSSTHPLVGHLSVTLRRTGDLIGRIPLSAIAACDVKVDFGCGSGSLLDSLLEHPTTLEKLVGVDISRKGLTRAAKSLHQKLSKKLLVQTTVPTAVLYDGSITDYDSRLYGFDIGTCLEVIEHMEEDQASLFGNIVLSSFRPSVLIVSTPNYEYNPILQRSAMPNKDEEADENAGPCKFRNHDHKFEWTRAQFQCWATDLAVKHNYSVEFCGVGGSGEEPGYASQIAVFRRMTRNLEPICQNEDQDQPYELLWEWPNASIPA >Dexi5B01G0032050.1:cds pep primary_assembly:Fonio_CM05836:5B:32683585:32685135:-1 gene:Dexi5B01G0032050 transcript:Dexi5B01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVYPIITCGITPERNDGKRVEDEARHASGEPDGEEGGEGEGEGEDDERREGDDGVGEHVGGPPVRAVGRLTEEHVALLEEHRERVGAGVEHGGHGEGEEAEALLGALGRLVEATVARIAPVTTTAPRRMRKSSGMRRVLIAERRVSTFISTQNGFAYVFIDAASPPATSVPDTSFPREMLALMASLSDIVDGASNDLSACMSCHVRWKSTSGLVPVGDDSNSRMRSSANSARFSSSGTGKPSSAKEEGVPVKTVRPPERRMRRSRRPRMRWLGWWMDVMTMLPLSAMRRSTLTTMNADVESSPEVGSSRKRRMGSWMMSVPMETLRRSPPDTPRWPSSPMMVCAAARRPSWSMSACTRARFLAGESARGRRNSAAKESVSVTVSIGNSRSSCITYAEMDLRMWPVRGSPLSVTPPLSASREMRFASASMSVDLPDPLAPITARISPSRASPEMPSRSAFVFASGAAAAAVTRSERRCIRGSRPGRGRCCLARTL >Dexi4A01G0000920.1:cds pep primary_assembly:Fonio_CM05836:4A:663658:667279:-1 gene:Dexi4A01G0000920 transcript:Dexi4A01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTGKNVRLQRRANFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVVAAGANPVQITRGIEKTAKALVEELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFDRGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGGYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVVRCCLEHAASVAKTFLTSDVVVVEIKEPETAAPMANPMDNSGYGY >Dexi4B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:4B:7979815:7980267:-1 gene:Dexi4B01G0010620 transcript:Dexi4B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFLFGSPFRRLFHARPFPAVEWSTSGTAAAMDWVETPSAHVLRINVPGLGKDDVKVQVEEGNVLTIRGAPPARKEKEDEEERRDGTVVWHVAERGKPEFARAVALPENVRVDGIRAGVENGVLTVVVPKEPTPARAKPRPIAVHSKL >Dexi5A01G0007900.1:cds pep primary_assembly:Fonio_CM05836:5A:5894460:5895806:1 gene:Dexi5A01G0007900 transcript:Dexi5A01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDERAAADNAGCHGAAVPESDEEENAEVILDSSGGASGSEFQDPPMIPFLGSSELEALTEESSEGIAPVSNAVSQSFQNEDYENLPKRNPATTRYGFRPARRAIAHAMPPSSYRRLPLRSRKQPIPTRFIVVGDAASGVVNAYDHPGTPEEASCPVSQSLSLTSQPVCASAMVNAAHGDNDEAIDSTTTDAAGSSGDRESVTDAKDAAVAEAEASSRNRKQRRPDHFISDPEEAMSADRAKAHRSNTALDRFLTSSVAVGASPPEQRPGWVRKNMTADSVHHKGQPGDEEAFRAASSGGGPEEEPDGSARVLAIVAILGASLALSVVCFVLIYIGGQQTASGACDTHQKKPNSGL >DexiUA01G0019130.1:cds pep primary_assembly:Fonio_CM05836:UA:39896656:39896856:-1 gene:DexiUA01G0019130 transcript:DexiUA01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRASESRAWRQRQGIPSAVEGAPELVASSSMAVADSTPRIGAREDSGDDGTCRRHGVKALVHSH >Dexi3A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:3A:3298509:3307967:-1 gene:Dexi3A01G0005110 transcript:Dexi3A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHSHLLESMLCDENAEPTDMPISLLKSITNNFNDAQVIGRGGFAVVYKGVLENGKVVAVKKQSNLSMDEKEYIQEVRYASCGLEWRKRYQIIKGICEALHYLHEMRIVHLDLKPSNILLDDNMVPKIADFGISRCFDQEQSWVIATQVVGTLGYLAPECDTRMITYKLDMYSLGVIIKEILTGRKAYSSVENVPAFNDDEKPPSFSASAGTKGHVTMESVKYLKEDAALTADTVRAMIEIKATSSATLLSKRLDLVAVLDVSGGMEGRKMESIKKAAKFVIMKLTPEDRLSIVTFSDDGATRLNPLRLITGAAQKELCALVDGLQAATGGGGTNIRAGLKTGLAVIADRVNTRARVPNIFLMSGGYQSSASGDARHLDPGKAATIYTFGFGSDTDHRLMADIAAKTPGGCFTSLPDGSNLSVPFAQLLPGLLTVVAQEVRLIITPNTADGDVDAVHVQGPTGVGYTQSTDAATGAITVNLDTLNAGERRRVVVEFLLKDVSAAASEAYEAVLGDIQLIFNARGKWLVRQTPEEIQIMRTPTPSQASDDEHDLWIVPKISLLDARRLLGLCGSSFSSTSHRSCRLQGLLKHGEVVAVKKLSNSIGMDEKEYVQEVRCLMRVKHRNIIRFLGYCADTQGKMMDYEGKFVMADVRERLLCFEYVPATLKDYITDASSGLEWRKRYQIIRGICEGLHYLHEMRIVHLDLKPSNILLDDNLVLKSWRNRLKTSSAIDTVLGQIRVCFEISIKCMDMDPEKRPTTQHIIELLNQTECMDEDNENDVQVWFTLIVSPVPNVKLELESGGVKNSKQGLTTAFKDDERPPTSRDVDGLLTIKHVEYFKEVAALMADTVAAEVEINATSSTAVREGLDLVAVLDVSGRMEGQKMNSMKKAMLFVIMNLTPMDRLSIVNFSDTATRLSPLRSMTAAAQNDLKALVDGLLQGGGSGGSNIKAGLETGLAVIADRVNVEARVASIFLVSDGHQTSGDARQVDPGKVATIYTFGFGKGTDNEQLMTDIAEKTPRGTFSSVPEEGPQVTLPFSELLDDLHTVVAQNVQLTITPMTWDGHLDTIVVAPAGAGYMQATDAATGDITVDFGILFAGEWRRVFVTLTLKGVTTIVSGDEHDTPLADIELRFTAQVNPGDSIYPGPGRIEILRTWFPSQAPDVSTKLRQVKAETIRRRHAEAIRKARLLADNGQLDDARYKLVDAQRALEEDNVLDNGENKLVKLLQVELVKLVKLMETKDLYEAEGRAYALAFETIHARRPPR >Dexi8A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:8A:23363201:23365010:1 gene:Dexi8A01G0013370 transcript:Dexi8A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPGVELARRRRVHYHGDSALEHQHHHHYAAAHAHRAGAAVAGPALAARIRLEEKLRGAALPSSATSPSRWSRLMGERETRRATSYRRSRHDLHQQQHEQQAEGGILATTTGAGELWPPPEPASASAAAGHRRAELTRTLSMVDVCAVCLEEVRERRERVTRLPCSHKYHSECVLPWLAIHPDCPCCRALVPSADALSPS >Dexi9A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:9A:1551089:1552566:-1 gene:Dexi9A01G0002930 transcript:Dexi9A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDGAAASGKLAEHSTGGGEKGLPRRGKASSGRTLNTAQIPLVASHPEVYEPCDDSFALVDALLSDKAQLLALQPRLCMEVGCGSGYVITSLAIMLRQLASGTQYLATDVNKHAAETTQATLEAHGVHADVMVTDIVSGLEKRLAGMVDVVVINPPYVPTPEEEIGCKGIASSWAGGLNGRQVIDRILPAVREILSERGWLYMVALEDNDPLDICHLMSEMGYASRVVLKRCTEEESLFVLKFWRDPHTSTNASPRSPKSESWFSQLPFKSLWHKGS >Dexi5B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:5B:11591334:11592275:-1 gene:Dexi5B01G0014720 transcript:Dexi5B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLFSRFSSEDISGQNQVKASVQRRIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGVLDPEVEEETPVVNDLLYESIYL >Dexi3B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:3B:13642430:13646443:-1 gene:Dexi3B01G0018380 transcript:Dexi3B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPHHKQQRTSSPSRQLGRREQGPDPPPPPTPPPPPTPLPPPRTATTSSSTAAQATFLSREEAGGAPVRGRARMAAYCSACLTAAAAPPASPPLLARHWAPISKLQARRRRRLCHCRSSSHPPPPPPPSPTSGDLHTTSLMLRSLSLLLLHSSLPSRPTPSRLLSSAAAAATSISPPGAPNGRAHGTVSAVLEIVGPIELLFPASEARLYVRIVRRCARDALAAGAAAAHAHVVKRGFASDVLVSNALQDSYAKGGSLAAGRQLFDEMPQRDVVSWCTVIAAHASRGLFVEAIEIFKELLLSDQVKPNGFVISSVLNACARSGVMELGLMVHGLVVKSGLGFDRFVEVGFVDMYAKCGNVGDAFRLFNKIPVKSSVAWNAMISGFVENSCFVEAAEVFRDMHRVGMTMDVVTLRIVAGVAAVLEAFDLSRNIHGYAVKVGLGADCFVVSELIKSAGRVGAKKAGEQIHGYLVKVRSENLDVIVGNAIIDLYVNMVDK >Dexi2A01G0031820.1:cds pep primary_assembly:Fonio_CM05836:2A:42510364:42510725:1 gene:Dexi2A01G0031820 transcript:Dexi2A01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVRGADPLAANDGVLPTDVLREILLRVPAMALCRLRLVCRSWRSLTSDPRFATAHTARHPLLVGLEYGLDEIHVIDLYSGSIVKRINGLRRFWSSHLSVQDGLRPQHRRA >Dexi4B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:4B:4244288:4244545:-1 gene:Dexi4B01G0006050 transcript:Dexi4B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAATEGDGRVAVAADLSCVAIGVGRGQPPPQQPDTRHHLNPSRLRVASAAEGFRSTRRGLIPGRGGRGKVLGRDRIIGPRQR >Dexi6A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:6A:3503455:3506745:1 gene:Dexi6A01G0003760 transcript:Dexi6A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTAPEADSGASSSSSAPAAPAPAPAVEALAGAVAAMTLEERFETLRGIGEECIQEDELMRLLQNKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRAGEYWPLVMDIARKNNVKRITRCCTIMGRSDQEELTAAQIFYPYMLPGFKEGQEKMSKSDPTSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGKFDVIRKESNGGNKTFLTMDELISDYESGALHPADVKPALAKAINEILQPVRDHFNNNSEAKVLLNTVKA >Dexi2A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:2A:88337:89083:-1 gene:Dexi2A01G0000130 transcript:Dexi2A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPSAAATPRRRRRRCNASVSARALAAGLWRLRHAQRMKKAVSGTDQRTEEESKPEPAVPLPCQQMQKALPM >Dexi6B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:6B:21150614:21151205:-1 gene:Dexi6B01G0013450 transcript:Dexi6B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSGLQRLGVGAELCVRQRGKQRSGRMRESRSSAAEVEVDGRGGRSLRALAVWSRGGARRPKVGETEVRPEEGEVGLVAELEAGGKRGYSAAEVEVDGRGGRSLRALAVWSRGGARRPKVGETEVRPEEGEVGLVAELEAGGKRG >Dexi1B01G0026900.1:cds pep primary_assembly:Fonio_CM05836:1B:31717650:31723594:1 gene:Dexi1B01G0026900 transcript:Dexi1B01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARGGGVAKRLDFFDVAWLAMRRRRGSLAEPGWVRVGGVCARSGAALACALYISTLATLETCREGGKQATSKAQTSNANSANRASAADHRIYQPTLHGDNVCLTLRSLRHPLRRPGSVRHRRHGAGVQPRWLPRRGAVEPLVDDHRRSGSHPRRHDVRAHAGCLGACADEGCHRPRGYASVSGAPAPRQLADGRCSGGRTCSRARTEHAGKGSRGTCCRTSVVTLPNSEGVHDDGSDSSSQGHHEACGSMLGPGAGEGPARRPPGSLTTASWRGAYCRAGGV >Dexi7A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:7A:16878588:16878809:-1 gene:Dexi7A01G0005630 transcript:Dexi7A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNPAAGAGNGRKASNAQSQCTTARCGECGMSARRSVMGPYSARARRSARRSERQSSDAWCVLRK >Dexi5A01G0034200.1:cds pep primary_assembly:Fonio_CM05836:5A:36328042:36328910:1 gene:Dexi5A01G0034200 transcript:Dexi5A01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGSSTSTRGRGKNKRKWTVAEDDELVKVLYEISLDPRWKGDGGFKNGYCSLLETRLAEKLPASGLSAVPHIESRVRHFRTKYGAIEVMLNKSGFSWNDNTNMLQCEKTQYDTHCKYHPEAKGVYGIAFPYYNTLAAIYGSDIATGEGAEGLTEAVGNLGQELANEHGNHEEIEEDRMYRDTPVQSTDSASSSFKKRKINRKGKDYGSVSSDPLLDMLTEVQGDLKGVAKNVGKMAEAMEREAAIQE >Dexi5A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:5A:23898619:23899790:-1 gene:Dexi5A01G0020030 transcript:Dexi5A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKKGDQVEVWTLEAASPVGAWRVGEVTWGNGHSYTMRWHDGDGEVSGRISRKSVRPRPPPAPVPRDLDAGDMVEVFDDDDCLWKCAEVQDAAADDGDRRRFNIKIVGAAKVLTVPPQRLRIRQVFRDDDVWVALHKDNQIAVASTMPFHANGGKVGMGIGRGKGGCKPKLPGFTPLLQKRRFGMLGSNTIANGKRFEDTAKRFCAKEEPRYEVEAIVPNVFLNKQDEMSSEDCDVVGAGSNANDGQHHQQHENEVDDQETDSESASSSDDSSSNSSNSSDSRTRSIEAGKDCEAAPAIRHCNDQKADQLQVLPREEEHCDNLAESREIKHDWTIRRRWCRTKSTVWSWMHTPV >Dexi7A01G0022350.1:cds pep primary_assembly:Fonio_CM05836:7A:30674098:30675845:1 gene:Dexi7A01G0022350 transcript:Dexi7A01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLGQYSTGKTTFIKHLLKTSYPGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRLMRVYGALLWSLGKVLNTPEVMRVYTGETAAGPLGMELFQKEQDDLLSDLNDIPKKACDRRINEFVKRARAAKVHAHIVSHLKKEMPALMGKAKAQQKLLNSLDEQFAKVQKELHLPPGDFPSMDEYRELLSSYNFDKFEKLRPKMVQGVDDMLAYDIPELLKKFRNPYD >Dexi5B01G0027250.1:cds pep primary_assembly:Fonio_CM05836:5B:28828872:28832126:-1 gene:Dexi5B01G0027250 transcript:Dexi5B01G0027250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGETIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNPRMVRVLTVEKRVNEIINRLNKTRVERRPDLKAEKEASNAAEKAERKMQLKEKKRKEEIERLEKEKWAEIRSYKGLMVAEKMTSNRQIASAGRSMQEMEDEFV >Dexi1A01G0032400.1:cds pep primary_assembly:Fonio_CM05836:1A:36952464:36953832:-1 gene:Dexi1A01G0032400 transcript:Dexi1A01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGGLRIHAKEKLPLGYNSLLQAHGQIDATAAAGPAAPSYLALFVRHFSPQISADVGVGVQLHKGDDTTYNLRAKMALPFTQDGLLGLNLKGRLLTDTQFKPRKTTGAIELAWTIYDLRKGQDVRLKLGYQLYDKVPYLQLRENNWTLNAYMDGKWDVRYDM >Dexi2B01G0028230.1:cds pep primary_assembly:Fonio_CM05836:2B:36895694:36897495:-1 gene:Dexi2B01G0028230 transcript:Dexi2B01G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLPVCSGDDRITDLPEDIFEHVLSFLPAEDAVRSSVLSKRWRGAWTHAPFLNLSDEQHQQGRWSFLPFARAVLGRYGSPDIPSLNVSIGCAYNLGPSTAAWLGDAMERVVGSVSVSGQLVLPRRLRAKSISLTLRGATCSDHTRLVFPSEPGAAAPAASYGNLEELSLSRVRLQERDMRSLGAFLSSCCPRLRKLRLRKVSVEGRLPLWPLVLRMELLEELETEEVDTTVVEISAPRLETLIWHGGFTKRISFLAGSERSIRRLAGLCFYLPAEESRSITADDDEARDEEALPLQPSDFDRLKAQRDEMSLASLRDIRLSGFMGTSQEMEIADLLFGPDVARSSLERVSISLFPRIIRQGTAGGSVPTTPALEWMSATPTQLRRHFEGVVARVEDRFPLSKGRWETIPGKGLHWTRTESRSSSTSFMGRVKAVG >Dexi9A01G0039690.1:cds pep primary_assembly:Fonio_CM05836:9A:43560912:43564351:1 gene:Dexi9A01G0039690 transcript:Dexi9A01G0039690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTPAHRRRWTRALLLALPLLSLPILYAALGAARSSSPVGSAPRRRPPEPPPRLAYLITGAAPGDGPRIRRLLRALYHPWNCYLIGVAGEDERADLEAFVRGQEAPRRYGNVRVAAAGEWGAVSPRGPTELAATLHAAAVLLREFEGWSWFINLSASDYPLMPQDDILHIFSYIPRDLNFIEHTSNIGWKEHQRARPILVDPALQVPNKTEVITTKEKRSLPSAFKIFVGSSWVVLSRSFLEFCLLGWDNLPRTLLMYFTNFLSSSEGYFHTVICNSEYYQNTTINSDLRFMAWDNPPRTHPVNLTSEHFNSMANSGAPFAHSFANDNSVLDMIDAKLLGRAPDRFTPGGWCLGSSVGGKDPCTFFGRSFVLRPTKGSAKLEKLLLKLLEPDNFRPKQCK >Dexi3A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:3A:4181009:4185713:-1 gene:Dexi3A01G0006360 transcript:Dexi3A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDSAFNVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTSTVAPSIGSGSASGKIEFNEFISLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVPVPLNSKRSFKSNSQPMVYLRIQPLDGDNSSVSSRDALSKEASVDKDSKDFVSATMSEEYTEDTEFASFTDDDEEEAPYTYCSGGTVLTGRNRSHESLKGKDISLVRNEGTSSSLDYQHEAATSSSRERNEEVEKYPMQVRKANGHPGNLSLSSDLPREQTSSLPPHNAFRSGRKMSFAYGMTESNQRHFGDRTYSTLTTDRGRNMRFSMRVPDGNGSVVNRKVDPQKEELKDVDSQDVAIALAHENTAIADDGLQVQEPIRISNNRNDSKVRELELKVELLEAELREVAAAEIGLYSIIAEHGSSVNKVHTPARRLSRHFVHALKNFSRDKMGSAARSATSGLVLVAKACGYDIARLSFWLSNCVVLRGIVTETSKQSVLLNATASSFKLAAVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRSLTDLFGMDMDDYPDVENADAENGCAESRKPFYLLNALSDLLMLPKDVLMDNIFQSLTRSYPQLCPTFSSSIIKNILVGFVPDEFCPDPIQNSLLEALELEDHLEGNKGIHSIPCSASPIPYSPPASGAILSVIGDPRKSGSTILRKSNTSDDELDELSSPLTFISNTSSNPLAKLKRISNSSTARYRFLHEVWKLDDQ >Dexi7B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:7B:21248253:21248694:-1 gene:Dexi7B01G0015210 transcript:Dexi7B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSQFETCLPASLFTPCAPPLPHLPNQHKPLQMPLFQEQGGTHGVMLSSDDRHGGLYPLLLPGIPFCNTGAGGEKPAGLVVLDAAGEAGTSAAKAAGDIASTTTTTFHGSNS >DexiUA01G0016040.1:cds pep primary_assembly:Fonio_CM05836:UA:34192624:34195940:1 gene:DexiUA01G0016040 transcript:DexiUA01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDSQTTHTHAPNRRRSSPHRRAAAPPRAPPLLPAPRRRATAPPRAPPLRPHRRAAAGPLLHAGPVLHSGAVLHAGHHQPGRAPPAPSSTPAAAQGSPVAGRRVLLRPPVPPPSPRGAARRRAERRRPPPPRLDAQAVRRRPPPPRLDAQAAPRPRPLHRCAAELEEERRKREELEARLEAERQRSEENERLRAQQMLDWHNWMTTMARNMGQTPPPMQMQFTPPIMTPPPAAYSPNFAGSAAASNDAPPNEDLPTQIARGLFGPSPPPPVWAQTLGKHVPSWDFWQVFAECQGLGHSAKVAMPHTRQIGAVSRPSPCTPRQRDGSKPGRAVPGQRHSANSLFAE >Dexi9B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:9B:13454880:13456029:-1 gene:Dexi9B01G0018880 transcript:Dexi9B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIQHTHIPIRGLNIHVAQVGKGELGTVVFLRGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEHEVASWDDLVADVLAILDAYSIPKAFLVAKDFGAKTAYELALRRPDRTCGVTCLGTPFNPKPKPMDAMPGGLYIQRWREPGRAEADFGRYDVRRVVRTIYVLFSGADIPTAKEGQEIMDLADLSTPLPEWFTEEDLDVYAKLYDKSGFRYPLQMPYRAIHKMPDRQDAKFQVPVFMVMGVKDYSSKFPGFQTALRCGAMESFMPDLKITFVPEGRLGSHFVQEQVNELLLGFLKDHPVIAE >Dexi9B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:9B:4126523:4129998:-1 gene:Dexi9B01G0006860 transcript:Dexi9B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSSQNLSSCSTGGSDAAVGGGGGGAWLGFSLSPHMAATMDDGSNAVQMQQPHQHHGGLFYPPVVSSSPAGFCYALAGGGHDGVANGGGGGFYPGLSAMPLKSDGSLCIMEALHRSDQEHHGVVVSSASPKLEDFLGAGPTMALSLDTSSFYYGAGHGHHGHGHDQAAYFHPLHDVYGGHAQLVDEQSAAAMAASWLAARGGYDVNGAGAGAILPVQESHTPHPLALSMSSGTGSQSSSVTMQVGGAHPNSDAVTEYMAMDGGKKRGSAGQKQPTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGTSTHINFPIEDYREELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVEKIMESDALLPGEQVRRKKYVEGGAGSEGDAVVSAAAAALVQAGNCAADTWRIQAASAAAMPGVARVDGQGQHQELLPSEAFSLLHDIVSVDAGHGGGGASTPMSNASSLAPSVNNSREQSPDRGSGAGGSLAMLFAKPVATAASKLACPLPLGSWVSPSPVSARPGVSIAHLPMFAAWTDA >Dexi2B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:2B:30086972:30089235:1 gene:Dexi2B01G0019940 transcript:Dexi2B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQRGYWESSSEDVTRSPLLPVHDEDDMPTRRRSRCCSGLGAMLSNKYLAVASGPAACALICATVSLGAHAAAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITALFCGDPVKPHLLLLGICGTTFFISMWIHNTPCTVMMMPVATGILQRLPRDELEGGSDAREVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPKQDPITFSSWMSFGLPMSLVLFVALWATLCLMYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLTVFGGLIILWMTRSLTNDIPGWAVLFDGKVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRKLQWNIILLLGAGFAIADGFKSSGLTSILSEGLGFLRGAPALAIAPVACAFSGLITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGAIGAQLSFLLPTGSPGNVVGFSTGYITIKDMVVTGLPLKLVGIAALTILLPTLGSLVFGMA >Dexi4A01G0023440.1:cds pep primary_assembly:Fonio_CM05836:4A:26522450:26524673:-1 gene:Dexi4A01G0023440 transcript:Dexi4A01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCCGCSARCCCWLLVLTLVALAVTATVVFIRYKNGGQVFPLPGVPDPKYAEALAVALQFFQVQKSGKLVKNEIPWRGDSALDDGKEAKLDLSKGMYDAGDHMKFGFTLAFTGTMLSWSVLEYGAAMRAANQHDAAMDALAWIMDFLLNAHPSDDVLYIQVGDPKADHKCWERPETMSEKRPLTKITTKAPGSDVAAETAAAMAAASLVYKPNNGTYSSTLVDHAERLFAFADKYRGAYTRTFPELSAYYNSTTYQDELLWAASWLYHATGNHSYLSYATGKNGEEYADLGNPRYFSWDDKRAGTEVLLSRVRFFAADGSDVEQDEGLGSYKETADAVMCILLPESDTTAFRTEGGLLYVAEWNSLQHPVASAFLAIVYSDYMSTSGKTELTCSGKSFTASDLRKFAKSQADYVLGDNPMKLSYLVGFGDSYPQQVHHRGASIPADVDTGCDGQEWLKSPKPNPNVAMGALVGGPFKNDSFIDNRENVRQNEATTYNSALIVGLLSGLVSSSTVAQSLS >Dexi7B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:7B:18091009:18093976:1 gene:Dexi7B01G0010880 transcript:Dexi7B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGVGAWALDAERAEEEEREQASAIPAPEPAGGAASFPSLREAAAATGGKSKKKTKGTTVSLSEFNTYGAAAVQRRAAAVEPKGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGAGGGFGGPGGGGERRGGFDDEGRRGPGRSSDLDMPSRADEADDWGATKKFTPPPADSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGGGGFRSSPGFRDSPGASDSDRWVRGATPMPLNGEGAGERPRIVLNPPKRDPSATATPPAEVARNKPSPFGAARPREDVLAEKGVDWRKFESEIEQKTSRPNSAHSSRPNSAHSSRPGSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRAVNRPVSEEEKNLKEEINLMKVDLKEIEGKISDDSDQASVDAKNLSEKISQLESQLEQLTRELDDKIRFGQRPRSGAGRVTAPPPAGLAEEPQATVVDRPRSRGGIEPPHQRPEERWGFQGSRERGSFGGNRSSDRFVQFASIT >Dexi8B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:8B:7451469:7451699:-1 gene:Dexi8B01G0006380 transcript:Dexi8B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDHGCGDTYTARIDSPLEQIWCGNGETSRHRHSSSGGASKQYAGARKDTSSRRHRLPHRATTSAGSPGTSERGE >Dexi9B01G0042840.1:cds pep primary_assembly:Fonio_CM05836:9B:42981774:42984167:1 gene:Dexi9B01G0042840 transcript:Dexi9B01G0042840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFDTPAMKRTSDWILSQEFPILPQEFPSDITITVGDATFNLHMLPLASRCGYIRKHVVGINGCQVTHIDITGLPGGSMAFELVAKFCYGEDFEFTEHNVAMLRCAAEHLEMTDDESMGESLVGRTEAYLEDVALTSLAGAVTVLRRSEELLPVAEEVDLVGRSIDAIAYHIVCSDGHFSVSQGNTTAGGYYGVGVAKAVDDWWADELTSLRIDTFQRVLIAMKARGFKGIALGTLIMLYAQKSLRRLDMNGGDKKKMDPRQEHEKRVVLETIVSLLPKEKNSAHPYLSEPERKKRENEELKMELLRLKLHQRDQSSPSLSSPTSGSGALPPSGRSPLPKKASGGGGGGGFMNNVSKKLGRLNPFQRVDAVGGAGKVRTKPAKDRRNSIGDLPHKDRRHSIGW >Dexi1A01G0027840.1:cds pep primary_assembly:Fonio_CM05836:1A:33532980:33536186:1 gene:Dexi1A01G0027840 transcript:Dexi1A01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSRVCGGMNEAFSFLSAGRALGRPLVETSISMVFARLLRSGLPVTSTLPLLCRLAAVRHRSLDAFAGMAGAPATLSTPASQIGGFLSKKPYTPPSWATHLSPMPSHTFSLGHFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAARYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVAKGLGYAMNTAEELKFVKDIAATTGIVLDPVYSGKAAYGLLKDMAGNPAKWKGRKILFVHTGGLLGLYDKVDQLSSSAGSWRRMDLGDSIPHKDGTGKMF >Dexi4A01G0024760.1:cds pep primary_assembly:Fonio_CM05836:4A:27472540:27476300:1 gene:Dexi4A01G0024760 transcript:Dexi4A01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLHHEGDFSFRESWYHLSDEDFPIKYEADRLPPPLVADLNGDGKPEVLLPTHDAKIQVLQPPHARHPHDDTSFHEARVMADISLLPDNVRVTSGRRPIAMAVGSVDRSYRAGDVRKQVLVVVTSGWSVMCFDHNLKKLWEQNLQDDFPHGAHHREVAISITNYTLKHGDAGLVILGGRMEMQHHSADLFDEFMIPEDHRRSASEKQSSETGTTDLRHFALYAFAGRTGERRWSRKNENIQSQPSDASMMIPQHNYKLDVHALNSRQPGQAQRTMYIPTITNHTQVWWVPNVVVAHEKEGIEVVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGGNGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHLNLFHHGDFSRSFGRKFDATGLEVATPILVQTDDGHKHRRGSHGDIVFLTSQGEVTSYSPGLLGHDAIWRWQVSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPREVIIAGGDQEAVVLSPSGGILAIIELPAPPTHALIMEDFSGDGLADMVVVTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSTSGKPRASSSAEYR >Dexi9A01G0024880.1:cds pep primary_assembly:Fonio_CM05836:9A:21599531:21602270:-1 gene:Dexi9A01G0024880 transcript:Dexi9A01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPSAAVPRRRWQNRLSPTLVRDRCYTRSFQAAGLRPAAVPLPDGAVVHIWLPPTAATGANPLHPVLLLHGFGASATWQWAPFLRPLLAAGLAPYVPDLVFFGASASPSADRSPAYQANCIAAAMAALPTAPQRYAVVGVSYGGFVAYHLANAFPAAVERLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLYALINGRKLSDLPKISQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELIVVKNAGHAINREKPAELCRLIKNYIADPSVKYRDDRKGSWKNVIKRFAGSSLRKVDSSRPLL >Dexi9A01G0047180.1:cds pep primary_assembly:Fonio_CM05836:9A:50381464:50383408:-1 gene:Dexi9A01G0047180 transcript:Dexi9A01G0047180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADADPEQYGCVHYRRRCKIRAPCCGEVFDCRRCHNEAKDSLEVSVHDRHVVPRHEIKLVICSLCNKEQDVQQDCSNCGACFGKYFCAKCNLFDDDVWILCNDCGMTSNVKFHVLAHKCPGCNSYNTRQTRGDPAACSRV >Dexi2B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:2B:13469649:13470780:-1 gene:Dexi2B01G0011830 transcript:Dexi2B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRQQDDGTVNAAAMVLAAAAAARATTSTGHLRLRQELDDDLDHASCAATKKTRWWSRLKATLACFRPHGHPRRIVDASLELGAEHAAASSSTSTYGHRHTLHAAQPAMAFVAPPPSPASSTLTWGSPSPAGLVNATTSISSYSSPTASIFAVGPYAREPQQLVSPPAFSAGLTEPSTAAITPPVEPTASSSPRLLATTPTTTPSSPEVPFARFVWPSFAAAADQHYCSGGGGTEGLLNAHQLQPGSPILVSPGSTSSSPPSLTVVMQSTVRARIPISEDGSGSGSGSGSGSRYEDEMAKSGGDFVFGNNVDAAAGGEVGGGGGSLALGNATEQWPFHLAHG >Dexi3B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:3B:4130562:4140339:1 gene:Dexi3B01G0006010 transcript:Dexi3B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGELQRLGSLRHGGSTRGSSSSIWRQRGDDVFSRSRSFSRESGEDDEEALRWAALEKLPTFVRLQRAVLPPVADDDDAEKHHPAARVVDVRGLGPHERRELVERLVHVAEEDNERFLLKLKDRVERVGLDLPTIEVRFENLAAEAEVRVGSSGLPTLVNSITNKLEEAANAMGILPSRKRTMTVLSDISGIIKPHRMTLLLGPPGSGKTTLLLALAGRLDKDLKASAIEGEEVNVVTDYVLKILGLDICADTMVGDEMRRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVKSIRQFIHILGGTAIISLLQPAPETYDLFDDIILLSDGQIVYQGPQDDVLEFFESVGFKCPERKGIADFLQEVTSRKDQKQYWARFDEPYQYVTVKQLSSSFQSFRTGRAIKSELAIPFDKSTSHPAALTTTRYGVSAKELLKANIDREILLIKRNSFVYIFRAFQLILLSTITMTVFIRTKMHHDSVTDGGIYVGALIIIVIVIMFNGTSELALTIFRLPVFFKQRNLRFYPAWAYTIPSWILKVPISFIEVGGFVFMAYYVIGFDPSVGRFFKQYLLLLAANQMAASLFRFIGGAARNMIVANVLGMFVLLFILALGGFLLSRDDVKKWWTWGYWISPLMYVQNAIVVNEFLGHSWDKIVNNSISNETLGVQILKSRGFFSVAKWYWIGLGAMLGFTLLFNTLFTLALKFLEPYGKSHPSISEEMLNEKDANLNGKVLAGSLLVLGSSTNQATGSSPKSDSAINEDDSVPMTRGMVLSFVPLSLTFDDIRYFVDMPQEMKVHGITEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNIRIAGFPKKQDTFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPTDIDPEKRKMFIEEVMDLVELKSIRDALVGLPGVDGLSTEQRKRLTIGVELVANPSIIFMDEPTSGLDARAAAIVMRALFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGISKIRDGYNPATWMLEVTAISQEHALGVDFSDIYKNSELYMKNKALIEELNKPVPGSSDLHFPTTYSLPFHRQCIACLWKQNLSYWRNPAYNAVRFLFTIVIALLLGTIYWDLGGKREKPQDLLNAMGSMFGAALFIGSLNANSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEVPYGLVQASIYGIIVYAMIGFEWTAAKFFWYLFFMYFTLLYYTFYGMMAVGLSPNYQIAAIASTMFLALWNIFSGLFIPRQNVPIWWRWYCWICPTAWTLYGLIVSQFGDITTAMVDGTPVNVFVENYFGFKHSWLGIVAAIMIAFAALFAFSFAFAIMKFNFQKR >DexiUA01G0006290.1:cds pep primary_assembly:Fonio_CM05836:UA:12151003:12153130:1 gene:DexiUA01G0006290 transcript:DexiUA01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIHISTNRLQLVWNVISCVLLFYAFFTFDSPCKSHLGKKSFNFTTSRSLHEIRANSSLVQEKVDTGHRLAENTQHIINITAKAISRWNIVKEEFTFAAGSAPFNSCHASTIVQIDEGNFLVAYFGGSKEGAPDVKIWLQRYSDGHWHPPLVADEEFGVPMWNPVLFQLPSRELLLFYKIGQEVQKYDPFLLEDGRLLCGSSVESWNSWGAWLEVTRDTGRTWRKYGPIYVDGEPLGVIQPVPYRTANGIIRVLLRSFQTIGRVCMADSFDGGVTWSFVRRTELPNPNSGIDGVKMKDGRVVLAYNTFSRGTLKLAVSLNDGDSWNEVMTLEDTRGMEFSYPAVIQTMDGHIHVTYTYNRTQIKHVVIKPSATVK >Dexi6A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:6A:20385592:20388370:-1 gene:Dexi6A01G0013310 transcript:Dexi6A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRVGVEYGGARRGGGGGGGAQMLLFGGGGSANSNGFFRGVPMAVLGMDDASRVGKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQLLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDYDRLKAAYDALAADHQGLLADNDSLRAQVISLTEKLQGTGTSPLATSATQEVDQQDEHTAASGTEKLLAQQLKDDLLSSGDCTGHGALSSEEEDGGVVSDEGCSFDLPDALFGAGEGTHHGAEEAQLCNWTSWFWNN >Dexi3B01G0027090.1:cds pep primary_assembly:Fonio_CM05836:3B:22617472:22619605:-1 gene:Dexi3B01G0027090 transcript:Dexi3B01G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQCTAALCGLLLLSFSANLARSDDPPSTPVSPSAACNSTTDPTFCRFVLPPHGKDDLYTYGRFSVAESLAGARKFAALVDRYLARHRHLSPAAIAALRDCQLMAELNVDFLSAAGDTIKATDTLLDPEADDVHTLLSAILTNQQTCLDGLQAVATWSDRGGLDAPIANGTKLYSLSLSLFTRAWVPTAKPPKHPSHKGGHHGHGGKRKPSATKTATARRGLLDVTDDEMVRRMAIEGPERTVAVNSVVTVDQSGAGNFTTVGDAVAAAPKNLNGSAGYHLIYVLAGVYEENVTVPKNKKYIMMVGDGIGQTVITGNRSVVDGWTTFQSATFAVVGQGFVAMNMTFRNAAGPAKHQAVALRSGADLSAFYGCSFEAFQDTLYTHSLRQFYRGCDVYGTVDYVFGNAAVVFQGCTFYSRLPMQGQSNTVTAQGRTDPNQNTGTSIQGCALVAAPELAANAAFTTLTYLGRPWKNFSRTVVMESFIEALVDPSGWMPWSGDFALATLYYAEYNNTGPGADTSRRVTWPGYHVLGDGTDAGNFTVTSMVLGDNWLPQTGVPFTSGLLS >Dexi7B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:7B:18049072:18049388:-1 gene:Dexi7B01G0010830 transcript:Dexi7B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASQPGTAQATAVSAAATTNPYAGAYANYPTAYQQGTQPAEYQQGTQVGAYQQGTQVGAYQQGTQPATVSSGNPGWGIQLCLRCCYCLPNACCAS >DexiUA01G0027190.1:cds pep primary_assembly:Fonio_CM05836:UA:58133532:58134987:1 gene:DexiUA01G0027190 transcript:DexiUA01G0027190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQALDGNNLDAYLPVPPLPTSGKPAPPPLPTSARQPTPVAPAVFVFGDGALDVGNNNDLTGGEIGDPPRANHPYYGIDYPGGQATGRFSNGYNIADFIAKALGFEMSPPAYRSLPDLSPEKMQGFTGVNYASANAGIESSTYANMTIPLPDQVANFAETRTQLKALLGDRKSLNKFLSKSLFLIGLGTGMDLVPDSNPFASLFPHNDNKTQVQGLMELLGSTITAMHGMGARKFGIINMGLIGCTPSVQSSSGHGSDGPCDDNMNKLASEFNSALRTLMSDLANKLHRFRYSLADFYGFSNATFSNPLAYGFANTNSACCPGPCAPNPNFGQPCSNRMDYWFWDDGYTTEKAARLASMAFYSGNTFSMPVNLKRLLAMKG >Dexi5B01G0023680.1:cds pep primary_assembly:Fonio_CM05836:5B:25805858:25807596:-1 gene:Dexi5B01G0023680 transcript:Dexi5B01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARASAVGALLVALSVVLAPAVTAQTCDDELPSQLAGNYSGLACAPVWNSFVLRYAQDKNNVLRVVLSTMYSTGWVGMGFSKDGSMVGSSAMVGWMGKTGVAHIKQFSLQGKTPSQVVVDKGSLVSNDHDHTVVVQQAKIYLAFELRFTAPLKRQNVLLAFGSAIPVNDRLSEHQDKTSITFDFTTGSSSSASPPTEGLKRTHGALNLFAWGVLLPIGAIVARYCRRWDPLWFYLHAGIQFVGFILGLAGIVAGISLYNKIQADVPAHRGLGIFVLVLGILQILAIFLRPKKDAKYRKFWNWYHHWVGRLTLFFAAINIVIGIKVGGAGNSWKIGYGFNLAVLLITIITLEVLLWTKWRNNSSSTTAY >Dexi5A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:5A:5522909:5530912:-1 gene:Dexi5A01G0007430 transcript:Dexi5A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLLVLPLLAFLLLQLHHHASTDCESATCGNLTLTYPFWLGSSNQTTSSSPCGHPAFEVWCLDPVKGVVASLRGSSLHILAIDYPNSSLVSSHDRVAAGDDGVCRTDFNMSVSISLSPFTISRRNRALCFLYNCSSGMPPSGDEYVNATSSCRAPIYAYLGGAYDVEEPPAIETTDGCTYTYVPVLMVGRESEAMTAANYSRLLKAGFLLEWEKAGVGDCAACNATGGECRYNSSTAAFWCLCPGGRRAGSTCPGESLLPTGSHLPTSSKPCYVFVLMAPSFISPAMAVWSSLILILAAAAPASVQGEGDCSASNRCGNMTILEPFVIVPEDATEANCGEMRFLVNCQNNTPYLGYYHQSYESHAHPLQILHIFYDNSSLLVADTGKLGGLANLSHRDCQNYTFPSTNTSSKIALPFTISPVNKNLILYSCGEPPPASPEEGFVEERTCGNSTFVARVGGSYGDPDNSGRRYFLEGCDHLGGLANLSHRDCQNYTFPSTNTSSKIALPFTISPVNKNLILYSCAEPPPALPVEGLVEERTCGNSTFVARVGGSYGDPDNSGRSFLEGCDASILPILGESGEANASNYEELISNGFQLTWQQLPLPPSAAGASAASAAQPGSCWPKACGDLNITRPFWLEEPGWPPCGPPSFQLTCNSSGAFLSRSPQQAYRVVTIFAENQSLHVVDINLPLATGCPAPTFNVSTVPPPLIFSSANKDLLFLGKCTGPSPEVPAGFRSLSCDNTSFVRFGDGRNFTRDHIAGGIPVGCLFSVVPILGVGAMDGNGEDYLGSMRNGFLLEWADVPAGDCPGCIARGGECTYGDPGMVFVCKCSGSKCSDVKTHLTI >Dexi6B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:6B:21398652:21399440:1 gene:Dexi6B01G0013660 transcript:Dexi6B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRTRLVSLPREIHERIISFLPIRDAVRTSAVYRAWRHRWKSAPGLAHDWDCDEDPSHVDTVLAHYSCRVSSFFFDLPEPSFQRADDWIPLLAAKEVEKLTLHFSQDNDDDDDRPHYMDVSIFSCQKLTSLNLIGCDIPAAPVDLAGFPNLTKLFLEGVGFPDNRAPNLQDLTIVSEYDDGWHIVDQLPHIKRVDIHSDIYTNNRDFVKLLTRVAGVRELRLKMPVS >Dexi2A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:2A:29622116:29628564:1 gene:Dexi2A01G0017660 transcript:Dexi2A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVAMSADDSALAEDLQVEEVLQFSVYFENENVCAVCKQVIQSLEASWKPYDCDHIICIACFSQYAHETEATGMPSCVSQYIAAKVEENVLSIGCPDPGCKNGVLHPEACRDMIPLQLYQRWGAALCDSSLGELKFYCPFKECSALLVDDPGDGEEVITNVECPHCCRMFCAQCKVPWHDGVTCTEFQRLGKDERGREDLLLRKVAQKSKWQRCPKCKVYVERVEGCVGTASATFVHPQCRGITIIARPASEPGECPAAKPYSQRPPLVVHSLQLREQHIYNHSTMLDLIYKFACDLVLAEDRQAEEVLSRLSTHPERFCAVCKLVIPSLEASWKPDNCDHVICIACLWQYAQTPTGLPLCAVASCESLKLETHQGIDAVHRISTPMEDMYSGKGEEPLDAIVQEVGQCSRGANTTSSSEFYCTICMDTVHSREIFLVPGCKHLFCISCLSQYIIAKVELFQRWVAALCDLALGAFKFYCPFEDCSALLVDHGPHKAARKAECPHCSRMFCVQCKVAWHYNATCEDFQRFRNDEQRLDDMLLRKTMDESMAQHDHVGSCESLHNSELPQGINVGHTTLISIKDMDHPKGKKPFDTIIQEGQSSGGANLMVNSEFYCTICMETMHVRELFPVSGCTHLFCVSCMIQYITGKVEDNVLSIGCPEPGCKDGALDLEACRDVIPLQLFERWGTVLCDSVLGAAKLYCPFNDCSALLVHELGHGEAAITQAECPHCSRMFCVQCKVAWHNGATCEDFQKLENNQ >Dexi5A01G0025430.1:cds pep primary_assembly:Fonio_CM05836:5A:29279560:29280466:1 gene:Dexi5A01G0025430 transcript:Dexi5A01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLSSPALAGKVAKMIAPSAVFGEGRITMRKTAAKAKPTAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPISNNAWAYATNFVPGK >Dexi9B01G0049390.1:cds pep primary_assembly:Fonio_CM05836:9B:48050131:48050417:1 gene:Dexi9B01G0049390 transcript:Dexi9B01G0049390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGLGMDAISNETVDLEHIPVEEVFEHLKCTRQGLTADAAQQRINIFGYNKLEEKQAIPSPSPSY >Dexi2B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:2B:27679969:27684010:-1 gene:Dexi2B01G0017270 transcript:Dexi2B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSTDEAALEARLQALRQRLGKKQQFEEAVADLAALLRDRYAGASPALRKSMYSTVCRVATVLQTRYTAPGFWRAGLNLFVGTEKLVTNPAEKEHLKSCISRAREHLDEKENEDSMPSNREADTRFLFEGHLTVGQEPPPPAWLVADNLTRELSMQTESTGDQDGNSNSMESSTEDIATALMNFLETISANRDLETALEESLQGIIEHPPRAPPASKELVANLPVLTVTDEVIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCVKPWLDENNSCPICRYELRTDDHAYESRKEREKEEEEDRRGAANAVRGGEFMYI >Dexi5B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:5B:9166669:9169044:1 gene:Dexi5B01G0012950 transcript:Dexi5B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALHSSLLLSPSLSSHLSAAAMAAAAQEEEVIIVGAGQSGLAVSACLSQRGVRSLILERDDCVGSLWRKRAYDRLHLHLAKQYCALPHLPHRDSSPTYLPRDAFADYLDAYASRFGARTRLRCEVTSARFDAGERRWVVDAVDLAAGGEVERYTARFLVVASGENDERFVPEVPGMEAFDGAVMHAAEYRSAKGMDGKAVLVVGCGNSGMEIAYDLAVGGAVTSIVARGERLPPGAPSVRCRGGTHACMVQLSPGAGLLLLLHLVTKEIWNVAMALHGYHVPAWIIDKVVLLMCAVVFGGDTARHGLRRPAVGPFTMKLTTPAYPVVDVGTYAKIKSGEIQVLPAGIKSVHGNVVEFADGKRHPFDAIVFATGYRSSVRRWLKDGMAARSYPEHWKGENGLYCAGMVRRGIYGSYEDGELIAGDISELLRPEKAQGKDN >Dexi2B01G0027610.1:cds pep primary_assembly:Fonio_CM05836:2B:36472562:36473806:-1 gene:Dexi2B01G0027610 transcript:Dexi2B01G0027610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLISLVACAALASGATGVRIELTRLHSSRFVRDAVRRDMHRHSARRLAASSGDTVSAPVSKDTAMGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCSDQCFQQPTPLFNRASSSTFSTVTCDTTPSQLCPYNQTYGLGWTAGVLGVETFTFGSSAADQTRVPGITFGCSLASSSNFDGSSGLVGLGRGSASLVSQLGTGTFSYCLTAFQDTTSSSTLLLGPSASLNDAGVGTTPFVANPTMAPFNTYYYLDLTGISLGTTALSIPADTFSLTADGTGGLIIDSGTTITYLADVAYQQVRAAVLSLVTLPTTDGSETTGLDLCFVLPSSTSEPPDMPSMTLHFNGADMVIPGESYMILDSGLWCLAMQNQLSGFPSILGNYQQQNMHILYDLGQETLSFAPASCSSF >DexiUA01G0023680.1:cds pep primary_assembly:Fonio_CM05836:UA:48412368:48412598:1 gene:DexiUA01G0023680 transcript:DexiUA01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPATVASRRRGRGDRWRGRDPGMQGEGEVRRGSQRPAAWGAIEVWDGEGDVGRQRRPAGPLPRSQEGGGVRAA >Dexi1A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:1A:28224165:28226157:1 gene:Dexi1A01G0021470 transcript:Dexi1A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKLGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRPILADSTPAVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRTKVSSPSQPADSNKPNQYEEGSVSKLSSSQNPSEPPVDEKGSSHGTVDAEHTAPRSDEPVEAKQQSAAGSLLGLAYESSDEE >Dexi1A01G0025430.1:cds pep primary_assembly:Fonio_CM05836:1A:31660019:31668584:-1 gene:Dexi1A01G0025430 transcript:Dexi1A01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGAKNKGKTQGAGQPVPSEPEVPVTDGAEVINPENVEVSEPPAVEGGVTYVEESEGVVTDVEKSDGDIPESAQPAKKPAEGNMSQAGELHLYPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHQLEDYNEISEIADITSGGCSLEMVAVNLVSAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSAGSEKTVIQEFDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGSSKSFYVNCSNGSILDPKLTKQGFREVLDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHISKDHKPDCHNGPSRSTKVSSQDTEAKPDMNHTESKGVSHSVSVNSSDASPEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNENFHSKVAEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELVASFVEAESTKQSTRLKVPDALEESNDQIASTSDAKASSVEGDDKSEEASAPTREENDNLSPEILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLLEIVMPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGKIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVLGASTKGSLGHTQSKTPKGHENSQSQKSTKGQKINTPASRKCPSTYSHLTSDGIWSSIKEFAKSKYQFEVPDEARLSAKRVSVLRNLCQKVGVTIAARKYNLDSSTPFEALDILNLQPVVKHSVPTCTDARNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCR >Dexi1B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:1B:5837156:5842820:1 gene:Dexi1B01G0007050 transcript:Dexi1B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAAALAAGLLLALAAAPAGADTDSADAAALGNLYTSWNSPSQLAGWSASGGDPCGAKWQGITCSGAGVTEMDLSNNNLQGQIPYQLPPNLTYLNLASNNFSHELPYSITNLASIEYLNLSHNSLSNQMGELFGNHNSLSELDVSFNELTGDLPNSIGSLSNLSSLYLENNQFTGSVNVLRGLSPALTTLNIANNNFSGWIPKEFSSIPDLTLGGNSFANGPAPPPPPFMPPPPQRPRNRPKHPQGQGDSPKGSESPTIQGDKKHGLGTGSSREIPQQSLEDASIATMKLPTPEKMTPERVYGKNGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLALKKIDSAALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDMLHFSDELSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVTSDVYSFGVVMLELLTGRKPLDSSRDRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASISVYLLLDLY >DexiUA01G0003650.1:cds pep primary_assembly:Fonio_CM05836:UA:7443067:7443549:-1 gene:DexiUA01G0003650 transcript:DexiUA01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQQPQEESAAPKLCAAGCGFFGSPATCGMCSVCYKKRLSSTATATAPAEPVARSASSVVIPGAASGSAVAAKPAAPATSIVVSSSSSEATKAEPSRCTACYKKVGLTGFLCRCGKTFCGRHRHAEEHGCAFDFKGAGRDAIARANPLIRAEKMTVKI >Dexi9B01G0019810.1:cds pep primary_assembly:Fonio_CM05836:9B:14450000:14454313:-1 gene:Dexi9B01G0019810 transcript:Dexi9B01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFLLLALVVMVAASAWAPLTFADPQATLLNLGCSQYNATPASAFLAALNATLADLRANLSAAGGGFATAAQPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRAACGAANGGRAILDGCVLRYESAAFFDQSTLPGNTQVCNGSAVDVGGFADAARALVADLAAAVPRVPGLAAAAARDGVYAAAQCVETVGEGGCAQCLKVAVGNIDGCPPDSDGRAVDAGCFMRYSDRSFFPANATVDLAEYLRSVAVKRLIVMETSRAKAEFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGRKSNDTRLEPETQYLLEWAWKLYESDNLIALVDDTLDPEEYKPEEVKRIMEIALVCTQSAVAARPMMSEVVVLLLTRNDPELQPTRPTFIDATSRVRGETSSSSSSSASKATVSVSQFSAR >Dexi9A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:9A:4753154:4755694:-1 gene:Dexi9A01G0008010 transcript:Dexi9A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGAFALCTARKPCNPAAQTISRWCRWSAAVPVIGIARQEVRPMDDRRGRGDAMRQLPFASAAQGQERVFDGGGGGGAGPGPAFGGDFDQGSSSSLMALLGAGGVSSSQTSLPTWGVEEVTAAPAINLANYAQPPVPSYQQQHASFAPSPLGGRMDPYQPYLLADPAPQQWPPPRSTATAGASSFLPAAQNFAVLLPRYDHHQDMQLRATAALFGGAGSSSQSSYSLLPPPPPAIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDGCPVKKVVERSFDGFITEITYKGRHNHPRPQERGHAGAGNDALAAAEAMEGPSDDDDDALLEDDDADGAPGMCVMRSVILPTIIFLVAPRRAAGTMGGDGEAAGQRVVKKPKIIIQTPSDVELLDDGYRWRKYGQKVVKGNPRPRSYYKCTADNCNVRKQIERATTDPRCVLTTYTGRHNHDPPGRAGEAGGAAGSSGGQAGPSAGGSGAFQQTGGARQLKEES >Dexi6A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:6A:6136215:6137897:-1 gene:Dexi6A01G0006380 transcript:Dexi6A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Dexi1B01G0028110.1:cds pep primary_assembly:Fonio_CM05836:1B:32711335:32713942:1 gene:Dexi1B01G0028110 transcript:Dexi1B01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETAGGRIHQRRGAASFVASNKTLLAAAWVVGFALVFLWQSTSISLGSGGAGGGGVFLRLMSAPLAPSRPTPRLRPTAYNLTDFGAVGDGRALNTEAFERAVEAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGAEILGIPDENYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITVSNVTILSPVSGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSSNILIRNVRARSLVSAGISIGSEMSGGVANVSVENVRIWESRRGVRIKTATGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRTAFPDITSISFREIHGWGVRVPVRAHGSDVIPIKDISFQDMSVGISYKKKHIFQCSYVEGRVVGSVFPKPCENLDVYNEQGQLVKRAVSLNSTELDYDF >Dexi4B01G0013770.1:cds pep primary_assembly:Fonio_CM05836:4B:13836123:13837082:-1 gene:Dexi4B01G0013770 transcript:Dexi4B01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMQALIRAQTRVRARRLTSHLPRRATRLDAQYRRPGLVDLVPSRAQGARLSFGHSSATHSLKGTWDAEPADGMPRRRDALAASCGESSPTYACGLQHQRQLNELQLDDNDEGSGGWRWLEHCHTGGQPKQEASQHGPTETSYVTAVATDGVSENTVEMEEAASRKSPTRDLYPVRPPGIPGYMAATQSALAKTRMAPPPAARAGTRTRSGSVALSGGLTSSTANLGWSMSHGAASTHAPQHRAVHSPESSCSGDRTPPVFGDRRKLAFG >Dexi4B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:4B:6344945:6345940:-1 gene:Dexi4B01G0008840 transcript:Dexi4B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRTKPAAFLPLSIRFVLAVVVIMLSQQDGMPRCAAFNPRMLFLVKPDPIVLQDHGGALLTGNLTVNLLFYGRFSPSQRAILADFVRSLSSPPPRHHPTTTAPSVASWWRTTSLYRGGGARLALGRVVTLDEHTSLGHGGPLSMGNVTALARAAGHHRGAITAVLTAADVAVAPLFCVSRCGVHGRDLGGAHGKSRYTYVWVGNPARQCPGQCAWPFHQPLYGPQNPPLVPPNGDVGVDGMVISLAALLAGTVTNPYGDGYYQGDYAGGMEAATACAGIFGSGAYPGYPGRLLTDPVTGASYNAVGLGGRKYLLPALWDPTTSQCKTLV >Dexi6A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:6A:2350174:2350390:1 gene:Dexi6A01G0002460 transcript:Dexi6A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASTSASEAASSGDAVEAFAVSSRGSGNPICPITAQSAAPSRRSKRCCCRHQSRGRLPQEPC >Dexi3A01G0024230.1:cds pep primary_assembly:Fonio_CM05836:3A:19879278:19879593:1 gene:Dexi3A01G0024230 transcript:Dexi3A01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLEQWVSGSQVAKLKAHQMAIRDCSWHPFEPTLVSSSWDGRVAKWTSARDEKTCDVD >Dexi1B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:1B:25773485:25773884:-1 gene:Dexi1B01G0019540 transcript:Dexi1B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEAKKEHHKPQGQALLMHPGDLQFLTNPFGLGAHQPVNHSRATELPPRNFHAAGFAISTHVCVRVLAQIIGALAWSSSTSLHSPHSTPHHPRLPFSGAYINTATPSSPLQEEQHSQIEAHRL >Dexi1A01G0032520.1:cds pep primary_assembly:Fonio_CM05836:1A:37112591:37116335:1 gene:Dexi1A01G0032520 transcript:Dexi1A01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVWPSPSAVAANPRVATALAELRVPVHSQTVFPPVPPPPSLPSTSLPPPSLSRPAEEGVLAALLRSASAAGPGRTCLARIRGSRTVCTPFLAPLSSLPLLRGRGSATSGLPVPASLCRRRASLDGTESREPGRKTREKKWSKRKEGARELETELMGRAWGRVALPPLLIVCLLHLQYSTLVFAQEGQEKDPATLFARASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRKRASVLRHKCRYKEAESDYNKYLDLNHGSASVEKELSQLLHAQNSLQSAYGQFNSGDVSKVLEYINKIVLVFSPGCLKAKLLKAKALLALKDYSGVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSDLKKAYFVLKNLLKKAKSAEDNAAKGKLRVSAEDYKTALAMDPDHTAYNVHLYLGLCKTLVKLGRGKDAINSCTEALNIDSDLVDALTQRGEAKLLLEDWEGAVQDLKEAAQKSPQDTGIREALMRAEKQLKLSQRKDWYKILCLSKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDVDEMNMGGGGGGFNPFAGGGQQYTFHFDGGFPGGGFPGGGGFQFNFG >Dexi7B01G0021080.1:cds pep primary_assembly:Fonio_CM05836:7B:26256666:26256878:1 gene:Dexi7B01G0021080 transcript:Dexi7B01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEDGGQADEPGRRRLIPQLTAKYRASHHIPHPAAELAQASRSTRRPLPDARRRETAAKTSNASEIPLP >Dexi1B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:1B:24483875:24484423:1 gene:Dexi1B01G0018200 transcript:Dexi1B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLAAPCELALEVVAPLLEDAALAVPLYAARIEQLPSKGHDCLESGVATFELSRDSLELSPNSAQIYRCCRQIRPPMLPTKLQRGRKSRRRPRTPSSSACRSSPGFPKLPSIFDSSKSTALTSALPRRRAGRRSHEPQARRTHRDRAHEGARTPKSHRVRAMAEKGWARTAGEVELGCRP >Dexi3A01G0019530.1:cds pep primary_assembly:Fonio_CM05836:3A:15327938:15330551:1 gene:Dexi3A01G0019530 transcript:Dexi3A01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIRVPKTKRARRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDIVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESAEELKHLKEVLLDLFKGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKVKNVTKDPIQGRLGKVYMPDQQVGKLTLTNDIKGLKRERREAKKNKEQSKKQKVDAE >Dexi1B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:1B:3887437:3907791:-1 gene:Dexi1B01G0004840 transcript:Dexi1B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAPASPGRTSGAGGSGDRGGAGTPSSADRVTAPPSPRVRFSDSGEEGVLNALWQKYENAIDKAEKKKSLQIFVMHFVKAFKDWEPGHIEQSVDQESLSDDTVLGCSTGHPSEVILILIQEITQITSSITETAVVKLKTLTSLFATDEQLSNKTVENMRTMQKVLVYIVTIISNFMDLEPTTTTISQFLNSSRHTLSSNYLATVAPSTSKSMISDENWQKKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLSLHFLTLYSLRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKQSSVPSDERCGILRLQILSLEILREAVSSDIKSFSETDVNILQAEAISFLEFAATLNENSNNLPECSALVGALEHCAYDPGLAGAIVKSFHVILQLATEQTLASFKSIDVLTRVLKVACLQAQEVRKLSHSQDDFIENGSLSRNVLSTSSDERIKNACTFVELAFNLFKDYATISETGRIAVLHNANCIECLFDLFQEEYLRKHIVEQVLALFRLPPSSAQDHAAKMHLCSKYLETFTRVKENEKGFAELSIDLLVNMREIIMIDRMAAFRLLVGAGYQTLQSLLLDFCKWIPSPKLLDALLDMLVDGAFDINEKTTIKNEDVIMLLLNVLQKGIEIKSPVPWPYNKGLSFCCWLRVESFPEKGMMGLFSFFTENGKGCLAMLGKNTLIYESVSPKHQCVLLPLSLPLKQWKFLCVTHTIGRTFSGGSQLRCYVDGDLVSSEKCRYAKVNEVMTRCSVGTELMPIGEEPASLGFESSFAFIGQMGPVYAFSDALSPEQIRGIYSLGPSYMYSFHGDRNLLTNDDSLYKGVLDARDGISSKMIFGLNAQASNNRTLFNVSSVLDGLDKSFLFQSVSPQLLNFKTLSASKYMFTVLKNSGMSEILLKDALSQFYLNPHIWAYATYEVQRELYLFLIQYFEADGKLLPILCGLPRIIDVVQQFYSEKVDFRSSKPLLVSKKVIRERPSLEQIRKIRLLLLSLAEMSLKLKVSQHDIRALVSFFERSQDVACIEDILHMIIRALSQNSLLQSFLEQVNSLGGCYIFINLLKREFEPIRLLGLQLLGKLLVGVPSEKKGPKIFGLPVGPRSIAEDTRKGTTAAPLLFFYSISERLFKFPLSDHLCASLFDVLLGGASPKQVLQKRSQPDALKDRISSSANLAPFFVPQMLVCIFKYIQSCQDASARTKILSDLLDLLDSNPSNVESLMEYGWSSWLETSVKLDVFRNYNSTSVARGNGLETNELILVRNMYSLVLSYCMFSVKGGWHQLEDTTNFLLMKIEQGQLPNSYLLRNIFEDLIGSLLETSSDENVFNSQPCRDNILYFLSLSHELFIDQIGIKLLFPSLDMSAQLSSDDSLKDDINSAVVEIMNAGSNDLLARSLLRVRSQYGELDDGARFHVMSHLILETVIYGKPMLATSMLGRDDSTEANSNKEAGFILNLVQKDRVLAAATDEVKYMKDAKFDRIKLLQELHSKLDEHSIQEVEQLHSFEDDIQFAKTAAISADDSRKAAFQLAFDEDQQIVADKWIHILRALSDERGPWSTSPFPNNIVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPSSAKSSNENTALSADPSVSTKIPEKLKHLLLKGVRGITGDINSESCEDTNDISDPPQTIPPENNPVGDTADSTDSSDYHAIVQNRKESSSTSGDTDYIEVLSSVHCVLITPKRKLAGQLTITRNALHFSFEFLVEGTGGSSVFNRFQDKKDSDSKNEMGGLDKPKGNLDGGRGNGAESSDTQIKDQSNKIKQHRRWKITRAFEDRYLNFIDPDIPRFDQIHWFQRTPINAILTCSIQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGIKQDGEPLGDVGLPPWAKVIHYSVYASAAPCHAIADHLARTPAAKVVTPLWEVAARHAVVDHHTQTPATKAAALPLREAAAPPVPQKNLFISIEKPLKAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPATSTPSSVLFVGLLDSNIVLMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKIGTSLAENVEFGRQCLAAVQIHGDSYLILCGNWENSFQIISLSDGKIVQSIRQHKDVVSCVA >Dexi9A01G0024950.1:cds pep primary_assembly:Fonio_CM05836:9A:21829176:21831680:-1 gene:Dexi9A01G0024950 transcript:Dexi9A01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRASLLLAAALCALAASGAAASRDLRPLRAGFIVRGRVWCDTCRAVFETPASTYIAGAKVRVDCRSKTTGVQSCSYEGHTDHTGTYNILVTDEHEHELCESVLVSSPDMRCATTVPGRERAPVFLTSNNGVTSNVRTANALGFQQDVALPRCAQILKMYEDENERV >Dexi8A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:8A:9014929:9018886:-1 gene:Dexi8A01G0007840 transcript:Dexi8A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDGDAAGASPPPPPAAAPAPASARQKPIAYAPMGVLRGGECGDLLALVSAVARPLEEAVAGFRARISPERRLRFGSAVSFVLEVRGFFEIPRYPPRPRMPSFSRRYAWNRGNWIVGCSRFQGMLGPKQITNQASIKLCYLFFPSHQDKTMLQPAERLIAFAILHQGYSSKLANPFVPLLINAACDETSEKAERVFLQLLLSSTDGDNNEVLKQSAIEYLNGSDYASQVLLPREELEKQCSYDASSLQSSFRGSSVRNAIPDPDVFQSSGSSSEVSPTKPNRDNMIASLLQQSSLKGLPPQWIRPPPPRLEILEGELQWLNLDNSHELLWDGSMCADTSRGSVIRDLVEKACKAPLAPAQQEVLSAILFSRICSC >Dexi3A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:3A:12896943:12899667:1 gene:Dexi3A01G0017000 transcript:Dexi3A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVASSLLRSASRLRVASPAAPRPRAPPHRPSPAGYLFNRAAAYASSAAAQAAPATPPPATGKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Dexi2B01G0028030.1:cds pep primary_assembly:Fonio_CM05836:2B:36736894:36737597:-1 gene:Dexi2B01G0028030 transcript:Dexi2B01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGIIVIEIVTGQRNTGHHFYEQNEDIITIQNPMDRPSMSDVMVMLNGDATSSLPPAARPTFFLDPSSAYSYTSGTIAHPSSTR >Dexi3A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:3A:524140:526745:1 gene:Dexi3A01G0000640 transcript:Dexi3A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSQTSGMRPTSGGVHEAHGVDGPREHLVDVAQNQRAEAPECPVAVRPRRRRGVVEEATEPRVGVSASLPVKKVPHVISPASGVTHSVGDDDPPPPPGISPGNPGSTGNPSSGGSRRDLVQGGGGGGGGGDLGADGTPGVAGDDGKPDDDGGDGLAPGKPGKMGLRGLLLGRPGKRRASASDDVALAAASDGRAAATTMTAAATARTTAMRLHMSGTAPV >Dexi9A01G0049160.1:cds pep primary_assembly:Fonio_CM05836:9A:51869247:51870783:1 gene:Dexi9A01G0049160 transcript:Dexi9A01G0049160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVRYLLGSPGANGFGSKSTAEEVTAACGGDLGAVTAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARIRAECPDADVLVLPLDLSSLASVRAFAHRFLHLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHGWFAGDWAQYLHLVTSRKIGYDATQAYAVSKLANVLHTRELAARLQEMGADVTVNCVHPGIVRTRLNRDREGLLTDLVFLLLSKLLKTIPQAAATTCYVAAHPRVSGVSGRYFADCNEALPSPAATSRHEAARLWRISEAMIDAHSHDDPLLFPAAQPGAGA >Dexi2A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:2A:13758317:13758517:-1 gene:Dexi2A01G0011830 transcript:Dexi2A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAALQPLLEEYTAAMARAVERLLSAAAPRRILPRRMRFLLVLRNSLHFAAPPPPHAVVAS >Dexi7B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:7B:16527171:16528815:1 gene:Dexi7B01G0008780 transcript:Dexi7B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAKTAVPEVALRSGSARPMPVVGMGTASFPLVHEATKGAVLAAIEVGYRHFDTAYMNLKMDYVDLYLIHWPVAIKPGPPKLPYKKEDAVPFDLEGVWRAMEECHRLGLAKAIGVSNFTTRHLDRVLAVATVPPAVNQVELNPVWQQRALRAYCAEKGIHVAAYSSLGGQNWDGTGNAVLESDVLAGIAKARGKSVAQGVTSIVKTYKKDRLKQNLEIFDWELTEEDCLKISQIPQKKVVKASGLFSQEGEFTPAIDPADLNIVEEYIGYC >Dexi2A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:2A:22646876:22649740:1 gene:Dexi2A01G0013890 transcript:Dexi2A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSHGEVGQRIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLNIDKRTVKAQIWDTAGQERLIGVTKGFLWYRAVTSAYYRGAVGAMLVYDITKRQSFDHVVRWLEELHAHADQNIVIMLIGNKSDLGTLRAVPTEDAKEFAERENLFFMETSALEATNVESAFTTVLTEIYRIVSKKNLVANEESDSSGGSSLLKGTKIVVPGQEPPPSSKATCSGILGSKDEVYRNS >DexiUA01G0005560.1:cds pep primary_assembly:Fonio_CM05836:UA:10078641:10079279:-1 gene:DexiUA01G0005560 transcript:DexiUA01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTNHTIRHGDVKPDNILIDDKWIPKISDFGLSKLLKVDNYIATMVIGCMSYIDPVFMKTGLLTQKSDVYSFGAVLLELITRKKIVYGKNNSLIIDFCRVYQKEGSGRAMLDEDIATEENIFILDEIGKLAIDCLKDDVNDRPDMNEVAEQLVMLRRDRKYGKSQNKSPRHSEGIATSDSPRSFATDTTNSSATISLISSATPSRELPDL >Dexi2B01G0030190.1:cds pep primary_assembly:Fonio_CM05836:2B:38441169:38441495:1 gene:Dexi2B01G0030190 transcript:Dexi2B01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSMEARDSSEGMLPARRAAGLILQLIRQGNIAGRALLIAGQPGTGKTALAMGIVKSLGAETPFASVAASELFSL >Dexi9B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:9B:9052846:9053992:-1 gene:Dexi9B01G0013460 transcript:Dexi9B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMAVVSLLLGSLLQNEIDPKKNPLDYKRLAFTATFFAGITQAALGFFRLGFIVEYLSHAAIIGFMGGAAIIIALQQLKGFLGIKDFTNNTDIISVMKSIFKSAHHGLEGHLLE >Dexi7A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:7A:19825330:19825952:1 gene:Dexi7A01G0008580 transcript:Dexi7A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIPCLLLLVFLATAAHAAAAVGVTAVSSSSDPEDKCVYTVYVRTGSIWKGGTDSTIGVTLLGSDGSGIRISDLEKWGGLMGAGHDYYERGNLDIFSGRGPCMRFAPCAMNLTSDGTGAHHGWYCNYLEVTTTGPHLGCAQQLFTVEQWLATDASPYRLYAAVDKCAGGKKQEE >Dexi8A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:8A:20083933:20085601:-1 gene:Dexi8A01G0011400 transcript:Dexi8A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGDADDDDAAAITGPAEKTMKGRSLFKKSQSPLPRSYLSDTSSTASSAGAVATHRVYQRFLCSGRLIFGPDASSIVLTVALIMTPLALFVAFVSFRLADLIGKPLGPAVPATAMAVGVFDVVVLVLTSGRDPGIIPRSPRPPEPEETDTSSSFSPATSGAPWSLPPTRDVYVNGTVVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGKRNYRFFFLFISSTTFLCLYVFSLCWVNLLLISKQYGGIGLARAVAEYSPVSGFLIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYEGKSNPFNRGAAANVGEIFFSPIPPSRNDFRAKVSPADPDAAALYYLGPLSSESRISFYTRGSLSFDMAKASFDLNYSAKRTSVASSDFGDIYGGGCGGGDRVSTAQQQPRHSIFGGKDSIRKVEDEADAVTAELGKTMMQQQQQYGGAAGRAPRGREFEVV >Dexi4A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:4A:22245977:22246342:1 gene:Dexi4A01G0018250 transcript:Dexi4A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPTPPSYGVEPPPSPAAAAADSEVVELSGVPVSRARGVEARRLPTRRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYVRSVIPAIRC >Dexi5B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:5B:2636379:2638788:-1 gene:Dexi5B01G0003830 transcript:Dexi5B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVRNVNSVYQRSNQLLEQGQGSGEGQRRGRGRPKGSGVGSGSGQGAGWRSPPPPLEEEESSSEDDDFVPPAQHPESEDESDVEGEGDNDGKDGEGEEDDDGEDGEEADEGGEGHQGYEEAPALTFEHYYSAVDQRDLLDRLYDNMAEQVKGELWGDAQGNAHRACKKLVHDMHYEARIQAIINYHAEVLHTRVTKEEPRKMTLNRGQYLQVKAYALAHVGKVTADTDFSMETPASAYTNPTIAPRLSAYTQRAREVHGSEYDPSTQNFDGRIVMEVGGGKKHGCYWMGDGTIDSASTPRLSEIRARASSGSDAIRPRQTPTPRGPPATVSPWGHWGSQPSPPPEANPQDQDLSTPSPSNPWERRLHY >Dexi2B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:2B:6934607:6936319:-1 gene:Dexi2B01G0006980 transcript:Dexi2B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSPGLSGRRRGRNRGPLTPSPPPPALEVNPLPPGTEIEVRIDDEGFYGSWYEATVVGFDPAAGRRCPAQYTVTYSHLFAIDGPASFPASHVRPRPPPAAATAAPPRFRLHDIVEAFDCNGWWSGLVVEPEPAAEPGSPVTVAFPITREVIPFPPNVVRPRRDFVGGGEWVPSRAVVDVQPHKRGVRVYKAGERVELLGERKAYGDSWFPATNFCNR >Dexi1B01G0006460.1:cds pep primary_assembly:Fonio_CM05836:1B:5313938:5320056:1 gene:Dexi1B01G0006460 transcript:Dexi1B01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEAGRMSKRLPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAELLVSTSGKNSKQERGENSGAYIIRIPFGPKDKYLAKEQLWPFIQEFVDGALSHIVRMSKAIGDEIGFRHPVWPAVTHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDTDGEEENPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEQAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRYLCIRWGIEVPNVAVLVGESGDSDYEELLGGLHRTIILKGEFNIPLNRIHNVRRYPLQDVVALDSSNIVGIEGYTTDDLKFALQQMGMLTQ >Dexi2A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:2A:30380719:30383057:1 gene:Dexi2A01G0018360 transcript:Dexi2A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSGFIRPSGAAAKKKQHQQPRRVTPDLLWPGLGRKAAGLDEDDDFEADFREFARGLGEDHVGAGAGADDDDEDEVQEVPPPEEPPMFSFAAVAKAAPPAVDGAMTPKPVEHDEPAATSAKRGRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTPEEAARAYDAEALKIRGKKAKVNFPDEARETQKSIQKPTIANTIKLAPPPKTCADEAFNNLNNGDNDLFAMFAFSDSKVPVNPVESASFIPAVPIVPTKRSATNMLSDQSSNSYGSSDFGWDDDTMTSDYTSVFAPNSAVPAYVDPTYLQGEASKRMRNNYSVAVPQGNGAPNLAQNMSGFYTEMNYLPLPYDKSSSETSMDSLLQNDALHNRADNGDLWSLDELLMAAGAY >Dexi4A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:4A:7582441:7582822:1 gene:Dexi4A01G0009590 transcript:Dexi4A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHPRDLANVAIDLAQRAVTDTDAKVDSVLRSGAAGNHNDNLQYCRLDLATVASTIPVCRTMIVNNKPASDQQQLVPNDYFECAGGGGGQGDCSGSYSWLPC >DexiUA01G0013250.1:cds pep primary_assembly:Fonio_CM05836:UA:27470879:27476170:-1 gene:DexiUA01G0013250 transcript:DexiUA01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWWPELLFAPATPAAMKISEDRPDVAVEVLPPGSPFDTEFNPARVRLFIDDSGIVKSQSQEGQVALLLVAALNTILGRWGLNASAAWNISGEPCSGVAIDTTDVDNNPNINPAIKCDCTYSNSTVCHITKLKVYALNVVGQIPAELQNLTYLNNLNLQQNYLTGPVPSFIGKFPMQYLSLAINPLSGTLPKELGNLTDLISLGISLNNFTGELPSELGNLANLEQISGFSGPFPSTFSKLKNLQIMWASDNDFTGNIPDFIGSLTNLQDL >Dexi9A01G0037170.1:cds pep primary_assembly:Fonio_CM05836:9A:41516354:41517676:1 gene:Dexi9A01G0037170 transcript:Dexi9A01G0037170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) protein, Abiotic stress toleranc [Source: Projected from Oryza sativa (Os03g0322900)] MASRQDTREARAEADARRAVEELARARDEHLVQAEANARSAADEIARSRASHGAAAAATGGSGILGSVQEGTKSFVSAVGRTFGGAKDTAADKTSQTAQATGDKLGEYGGYTADKAREANDTVARKTNETAEATRNKLGEYKDAAVEKARETKDAVAHKTSEAAEATKNKLGEYKDAAAGKAREAMDATADKAREAKDATKQMAQETKDATADRAREARDVTKQKAGEYTDATRDTAQEARDRSWATAHTAADRVRETAGVHDANKGQAGPGLLGALGNVTGAIKEKLTLVA >DexiUA01G0019650.1:cds pep primary_assembly:Fonio_CM05836:UA:40871928:40873274:1 gene:DexiUA01G0019650 transcript:DexiUA01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMRSARSLSLVLLLFFLAAPSPARGCDRCVRRSKATYQASSLALNAGSCGYGSLAASFNGGFLAAASPALYRGSLGCGACFQVRCRDSELCSGAGARVVVTDQARTSSNRTALELSAAAYAAMARPGKAARLRAKRVVDVEYKRIPCEYANRNLSIRVEEKSRPPSELSIRFLYQGGQTDIVAVDVATAGSSNWRFMTREHGPAWSTAQAPAGPLQFRLVVTGGYDGKWVWAESEVLPRRWEAGRVYDTGVQVADVAQEGCYPCDTHEWQ >Dexi7A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:7A:4574226:4587192:1 gene:Dexi7A01G0001770 transcript:Dexi7A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRDEEVGAGEPSSSAGSGGELDLPAETTESLASMVNLEWGNAACSEESVEKWTAADVFHPGELVECRVPTKDDTPSPSILGDQFVILSLNHIMCGLRMDASDFLVSVLAHYGIEWSHLTPNSITALSIFAHLCEAYLGVPPTVEVFAHFYRLYRNKKGETDTLGGVYFRLRDKMKRNYPVYYLRASQFVWTCLWFYAKLPQSCRLTFKGNALKESNNWKEELFLSSEQEKQVRQIGELSTQGLTGVDIVHDYLKHRISPLRRRAHLACNYTGPTDPTRDSDTDLSEEDIESMLSYLLDLKKTGQKEPPRRPTAPTSLIIASTDQKAEQHLDLLHVLSTLKTKNKTVEELTGPRSIRKSSAYKPLVPASPRRFTRQSSAPRKIVESPTPEIDSSPVQGHSDIEDEETLEARKTITTTASPDQGMEQKYIENPDEIEEGKRAVLLKPISSIIGEKRKSSSPGSQRKAKYSFISVMAKTRMSSLDNGCIKGTSFKKEAAAALNPGSPGLARHSHATSVEKGKKSVLCLLANVVDQNKVAPDCPSSVPLHQHVRNSHHKKVDPALQSIAECDQHQEAIEESAPIQVPNPEEINSEAIWDKMVKVRCEYVSSSQTALSELLEQAKKLVIENKRMKNEHIVLEQQVKDLEENKRLLIDTTRKAEEEAAKTIAENKRLKDEIRDQKKMNDELNERNELTQGSLVQKSREVCQATTSFSRSPALAKDVAALPAITACLEALEARQPPPPPRQPPSPPSSTLPEGFPYGTPRFGSTVAAGSSSAASASTVAAAMTSSVDVTTAPLLTTSIQFPKSPSQLHPHMGGRLLFLRDTNPSPKHVLLRASLTADAASAFSSATLHHARPSSSMHHDTTTGNAQISTPRSCAPDFSCPSRDLRRPCRNSWASARPYIRPLSPDAQSSSELPTLPRQTSSAQHPRRTSYASTRVRPHADFMRLRPEMPTLDLRLRPLMDRDRAEEEEMKGEGEHRGPPVMK >Dexi6A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:6A:6333025:6337197:1 gene:Dexi6A01G0006540 transcript:Dexi6A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIGSQSPLSFPSSLCKAKVSSGLPIYNLKIKSNRRLEVVCHGMLATRKFMQRKKKEEVFKDAADEAEQKNWRRMMREIEETGSAVSILKTQRSGKEPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFTEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYQPSVISQTGLMEAYGRGKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLSEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKYGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVEEANTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMNGAEKFFRRIKDDGLKPNVVVYGTLMKGYSKLNNVEKVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPPDQKAKNILLSLAKTPEEQQEANELVGNGAIQLEVKLDNEEVDGAGVGEIRQSDSGNHGLLDDTRTRNHVNGRIRAGNYTFDEEDEDDDDDYEEEDDEEFNFVSFKDKRELNFAS >Dexi2B01G0025760.1:cds pep primary_assembly:Fonio_CM05836:2B:34968160:34971527:-1 gene:Dexi2B01G0025760 transcript:Dexi2B01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDVLRLFAYGTLKDYKSNSGSLPALLPDQIRKLKQLSVLTLAESSKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLNNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >Dexi1A01G0027670.1:cds pep primary_assembly:Fonio_CM05836:1A:33392219:33392755:-1 gene:Dexi1A01G0027670 transcript:Dexi1A01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVDGVARFGAGKWSEIRKLSFSSYSYRTSVDLKGVCPRKINPSIIPIPTSILLRVKELNELQSQGGGFTGPVKLSGQNSKVVKGIGSGFL >Dexi4A01G0022510.1:cds pep primary_assembly:Fonio_CM05836:4A:25742829:25746030:1 gene:Dexi4A01G0022510 transcript:Dexi4A01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHPRTSRHSEIRAILKGESCHAQATTPRRLGRKSEPSIQPAPGTTVAMGTTSVHKSWRKACGAIKDSATVGLAKVNGSAGRDRKELDVAVVKATTHVERPPKERHLAGEIDIAAIFAATSASRPLADVSYCVHALARRLAKTHNWVVALKTLIVIHRTLRDGDAAFREELLSYRRKGHALALQMSNFKDDSSPLAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPAEGNPKFQGQSRTRTLGKDDLLEQLPALQQLLFRLVGCLPEGAAFGNYLIQYGLALVLKESFKIYCAVNDGIINLVDVFFDMTKLDAIKAQDIYRRTGNLVRMTPPPSFLGTMEEYIREAPRTAPVPNETIEYRQLDFVPYQEEEEEQAPEPTFEAFEEPVAEEVPPEPEEEPQFADEDDDEPETPTTADLLGLHEVTSAAAALEESNALALAIVPPGGSDNARAMIGFGEITGSSGWELALVTAQPSTISSSQLTESKLAGGFDKLLLDSLYEDAAMRRQTTDAYYGYGDAQRGPRQDDDPFAMSAGVAPPTGVQMSAMVAQQQAMFGMPQPQQQQFQLQQPQYGSAAAAASYNPFGDAYSAACHLHGSGSLI >Dexi3A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:3A:6047115:6047933:1 gene:Dexi3A01G0008650 transcript:Dexi3A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWVEVVWLGSRWWPSNNGVAATESFFAGSPVLSRAARAFRNAAVVAACRVAAEDAFHCLTTTGGVSKHRLDRSKICKDSAYWGTAAGVYVAMESTVEEIRGRTDWKNAVIGGALAVAVMSAATAGKRSHRDKVVKDAIAGAAIAAAVEFIGH >Dexi8B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:8B:215552:216361:-1 gene:Dexi8B01G0000290 transcript:Dexi8B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDSPLVRDAGAALLTGVAAAVVLRFWEEVGARCWTSSDDVYAPFLAPLIVVINIIKVIVIGLGLVKDEGVVNSMTRHGDRRCSFF >Dexi9A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:9A:12568572:12572858:1 gene:Dexi9A01G0017520 transcript:Dexi9A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATGESKLESPLLSPATASGGGHGEASGQLESILSDESLPWTRRMGAATVVEMRLLVRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYLQRSTVLLMATGIPLAVLYAFSRPILVLLGESPEIAAAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYKFGLGLLGASLMLSVSWWVIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVLASVVILLCRDYISYIFTEGEDVSQAVSQLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILVWVTFRTNWNKEVEEAQKRLNKWEDKSPLLLD >Dexi2B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:2B:22487553:22489018:-1 gene:Dexi2B01G0013650 transcript:Dexi2B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGRADDVPYVYKERYGTGLVTEEEIKKGDFVIEYVGEGIALFKFIHECLVIDDKTCEERLWRMKRLYQGDKFYLCEVSSNMVIGATNKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKDEELTYDYKYVHPPASSISK >Dexi7A01G0016350.1:cds pep primary_assembly:Fonio_CM05836:7A:26216507:26216809:1 gene:Dexi7A01G0016350 transcript:Dexi7A01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATNPAQKLPGHGHTEQAVDAAAAVRRAAPWSWLAGLVAVGLGGAALLVWWALAFHPANARLWMVPAGLVLLGTPILAWFSGPSPTSPGAGAYASA >Dexi9A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:9A:15120056:15122314:1 gene:Dexi9A01G0020180 transcript:Dexi9A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACGARVLGDAMERRLSAASRRSAPSAIQQLSHLAQRVGAVNLAEGFPDFPAPPHVKAAASAAIAADLNQYSHVQGICDILAETAKRDHGLDVDPLTDYVICCGQSEAFAAAIFAIIDQGDEVLLFDPAYETYETCIQLARGVPVYVPLDPPSWTLNEDKFLKYFTSRTKAVVLNSPHNPTGKVFSKEELLIISRACEKMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPASIASAIRNIHVKLTDSAPAPFQEAALTALTSTPDFYSSLKKDYVVRRDFILKLLDDFGLRISFKPQGSVFVFAELPRSWQLSDIDFVTNLINSAGVAAVPGRGFFHGDCDSESYHHRYIRFAFCKGDETLMTAALRMRKLADGTGRKSGLLAVADKKIRLPLPLHDVVLLE >Dexi5A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:5A:9378895:9379655:-1 gene:Dexi5A01G0012500 transcript:Dexi5A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQEAQTGNVPAASAAGPKPAAAGAGKGAEGQSVVRRLQSELMALMMGGDPGVSAFPDGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTAEYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEFRKMVEKLYKPAA >Dexi8A01G0000360.1:cds pep primary_assembly:Fonio_CM05836:8A:265723:277080:-1 gene:Dexi8A01G0000360 transcript:Dexi8A01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTGGRRRAGSRRPSHVLLKVEAAVGIHRNRTTAVSVNRNGAPIEVSFLLKPPPQPSIVYVDSEDVNPFFSPLILCSVDDLLLLRVNIGSKNYHMSLKHDNDYYVYRAAAAAPALHRLESPPDPGFRGEDVGLLPRPDGHFTVAALMYIGRNDVYELQVYDSVTTTWTTRKVSVEPPQWDPLPERIPMYCDVLLRHHTSAVITIGGEGGTMAWVDLWRSILICDVLLPNPSLRGVPVPLPLTQMSLNDGLGVDLDFAGHSRGISFNRDKGCLMLVHVERNESPPFLAGTKILDGLEKIKVLDWEVTTWSNTKLSDSLEDWHQERSVQASNITVKADVIERARLPCRPRNLSICEPTLSPNGEDDVVYLVARENYVHPNAWFLAVDMKNQANQPIVACISHHQQRVSLPFLLHRKSKQAAAPLPCLLLRLAPQPRQEDRSASSSRAAPRWRRPRRPASRPLERRRPGCRLREANPEDGAAAPRRDADKRGAVYNADADRNRNFAVHDVDDDHAALPRTTTSTPTTSRNSTRREDRNAEDDEPSSCHGCRPQGVRGNAEPNHELLTVTPSS >Dexi3A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:3A:6930922:6933192:1 gene:Dexi3A01G0009850 transcript:Dexi3A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATLSLALPLLLLFLLLRCGPEPAAAVRFDYATLTLGSLKLLGDAHLKNGTIRLSRDMPVPTSGSGRALYASPVPLRAGFSTQFAFTVTTLNPSSVGGGLAFVVAADDSSLGDAGAYIGVSTATDAAAVEFDTLMDVQFGDLNGNHVGLDLGSMVSAAAADLDQAGVELTSGRTINAWIDYLPNDKRGEILEVFVSYTAKRPRAPVLSAPLELGETVKEAAFVGFSASTQGSTEVHAIEWWGFSTPSPPPRSSPAPPPESPAVQPPPPTSVNPVLPSPLLPGVTTPSATASPPTSSISAASGPSNSAAARNAGSPPRPAAHAAVAGAATAGAFVAASFAGFALWALARRARARKRTAASSAVVTTTRRRDSSSLASAAALVRSPREFSYKELSAATRGFDATRVIGNGAFGTVYKGIIPDTGAMVAVKRCTKANATADAEQARSEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDKALFDDASTSPPVLPWHHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDEAFRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALVLEVTCGRRPIGTTEGTRCNNLVEWVWSLHGEGRVLDAVDARLGGEFDEGEMRRAMLVGLACSSPEPAMRPGMRAVVQMLSGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLTLSDSSEDDSMSSSSLTSTLRRGGHDIGFSSTAGDAR >Dexi4A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:4A:21273870:21277038:1 gene:Dexi4A01G0017590 transcript:Dexi4A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVITKYGIERHWTSLNEETGGMNDVLYQLYTITKDQKHLVLAHLFDKPCFLGLLAVQADSLSNFHANTHIPVVVGGQMRYEVTGDPLYKVKLTTVLNQEIATFFFDTVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKEVAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIVQFIPSTFNWRTAGLTVTQELKPLSSSDQYLQVSLSVSAKTNGQYATLNVRIPTYLNGAKATLNGKDLELASPGTFLTVTKQWDGDDHLSFRFPIHLRTEAIKDDRPEYNSIQAVLFGPFLLAGLTTGDWDAKTGGATATASDWITPIPPESNSQLLTLVQESDGKAFVLSAMNGSLRMQERAKDSGGSGEAVHATFRLIPHQGSVSGAGAATNATFSAMMLEPFDMPGMVVTDKLSVSADKSSGALLEVVPGLNGSPGSVSLELRAMPGCFLVAGGEEVQVGCGGGGGGGGVVFRRAATFVRAEPMRRYHPMSFAARGVRRNFLLEPLFTLRDEFYTVYFNLGA >Dexi2B01G0027130.1:cds pep primary_assembly:Fonio_CM05836:2B:36092444:36093121:1 gene:Dexi2B01G0027130 transcript:Dexi2B01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTFQLSAKTAAAASRRVSPRAAAAQGLRTPLLGSGAARRGLGWLRPSRLSRVVPASESMRVGPTCWFKFGNKDAEGAGIYGSQARDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDRPKIEELLRAGAKYDVKDVDGRTALDRASDETREFILGFAATKA >Dexi4B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:4B:18816018:18818049:1 gene:Dexi4B01G0016680 transcript:Dexi4B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFQGYRGDAVLAGVRSRKRVFASAADEPVTAAAVPKRQKRRKEPSLDALPDECLFEVLRRVRGARARCASACVSRRWLALLAGIRASETVLAPPAPAVPDLNQEYLGGEDDDEEEADLMDHDGDSRERTFEGKEATDARLTAAAVAGRLASVSVRGSHPARGVTDAGISALARGCPALRSLGLWDVPQVTDAGLAEIAAECHALERLDITGCPLITDKGLVAVAQGCPELRSLTIEACSGVANEGLKAIGRSCAKLQAVNIKNCAQVDDQGVSGLVCSATASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRCMTIVSCPGLTDLALASVAKFSPSLKLVNLRKCSKVSDGCLKEFAESARVLENLQIEECNKVTLMGILGFLLNCSPKFKALSLAKCIGIKDICSAPAQLPVCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVNLSGLGAVTDNGFLPLIKSSESGLVNVDLNGCENLTDAAVSALVKAHGSSLAHLSLEGCSKITDASLFAISESCSQLAELDLSNCMVSDYGVAVLAAAKQLKLRILSLSGCMKVTQKSVPFLGSMSSSLEGLNLQFNFIGNHNIASLERQLWRCDILA >Dexi5B01G0032730.1:cds pep primary_assembly:Fonio_CM05836:5B:33328361:33332052:1 gene:Dexi5B01G0032730 transcript:Dexi5B01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADTTMYIAREASKLWRKVSAETATELKLLFEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQEKGYLSESVFTFIFISFLLLLSPDNFLMVSQWSFHPFVYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYYKYGSNRLIKLLAWLMAIIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMPDRTNGLSLLPVSSKDKDGRMKEELHKIDGRIRDEIHKLLNGNTVDATDRRQRVQMNGKHEDMNHAASDATPNGT >Dexi1B01G0026010.1:cds pep primary_assembly:Fonio_CM05836:1B:30963512:30965040:-1 gene:Dexi1B01G0026010 transcript:Dexi1B01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYVAMILAYGSVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSKRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPKYPLPVVNAAAAPGAGHYPAPNPAVATKGAKKVATNGQAKGGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAVKSPRKGAKERDDYVERDEFSFGNRGSAMDRDAEAGDEKAVAAADPNAVAGPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRSVLLATTIHYETRSSSSTTTRAVI >Dexi3A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:3A:1711830:1713191:-1 gene:Dexi3A01G0002540 transcript:Dexi3A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKATSTRRASSPTVSHGSAAPTMAPSSPRSPWRLLPTATCSPPKTQRRMVISYVPYSDGVDDVSMPRDAADRARRRRASSESLSGIVASFADRGQPVTCIMCTMVSPPVLDVAREHNIPLAIYWIQPATLLAIAYNYFHGYNELITSHANDPEYEVCLPGLNRPLQIRNFPSFFIDVSGTERAKAFIEVFRELFEYMDLWRPKVLVNTFEELEPNVLAEMKQHLDVFTVGPMVRSPMETQIHLFTHDNIDKERYMEWLQAHPDKSVVYVSFGSLVKFSKHQIDEIVGGLRQCGRPYLLVLRRDGLEDDQSHSLLENTQSQGMVVDWCDQLEVLSHSVVGCFVSHCGWNSTIESVVSGVPIIGVPLMFDQPTNIYLAEKEWEVGIKVERNSDGVLMGEELARCIELVMGEGAEAKVIKERANVLKEIAQATSDTGGSAERSLRDFLKTIQES >Dexi5A01G0023850.1:cds pep primary_assembly:Fonio_CM05836:5A:27823449:27824324:-1 gene:Dexi5A01G0023850 transcript:Dexi5A01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRHRLLLPPLLLAILVAACRGDDPSGDDYYNSSICQTQAYTCGKVEIRYPFYLSGVTGDVRNHSNSYCGYPGLAIACEDGGEPTLSLGNRDYNVTGIDYSSHTISLVDPDVLEDESCPRVEHNVTVPPIFWLNFTDTIGYLLFFADCSIASLPNQTDITPIDCGSSGGGGYFVVPLNVPNLVLLEEYCHQVTLVPVLQSALEQGSTDGYRNILTQGFQLEWELSRRSNNCTKCDNSNGRCAYSQYGEFMGCLCANGLVNDQGCPKERIY >Dexi7B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:7B:18498990:18500496:1 gene:Dexi7B01G0011470 transcript:Dexi7B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGAAPPPRQLSLEDLKAVSVLGRGAKGVVFHVVPAPGESEGDAAAAMALKAVSREAARHKKAASGDGDGHRRIWFERDVLLALRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSIGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPAPPQEPDTPVDSPKTTPPIAAPSPGRGKPRKPVGAALCFPFRTGAAAKPAAPAADSPSPSSTSRTASSSSSSSTATTASSSASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFAVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLAKQPELVGEQTPLRDLIARLLEKDPEKRIGARGVKAHPFFRGVDWDRILHVARPPFIPTLPQEEDGDEALDVEKVVREVFASNDTEAAKAGEGEKASPEAEGGRGDGEGRRDPSKDGDFSVFF >Dexi5B01G0036980.1:cds pep primary_assembly:Fonio_CM05836:5B:36497243:36498394:-1 gene:Dexi5B01G0036980 transcript:Dexi5B01G0036980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLSSWRTHPLAASAKHSSSAFGGKDLAADAALLSEKAPDNDLERLDPFLHLAIKHAPLHPLYLLQAHLVDPKHGEALHERVPAHLPVDLAQLGRVARAQHDVRDVPEGANGVRSDEGEISSSETEFLPPPDSSPPSPACLAKEGQSRSTAEELKEGTVSESRRRSDACRSSAASGEALRMSRWRNSSSSRAIAACSAASARGPGAPARIAAAARYSSASSRDPNTIRSPGAGDSQRSITSAAASHSASARAAASSSSPSSCEVPSSASRMESTASAAPGGGGKRSDMRERRLSKPERMRIMSSAAFLELASDLTMCCAAAITFSWPSGGAAAIPPGWIGSAIERLFASTWSGGEGKRWGRSRGRRKGKRNGVDYYD >Dexi7B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:7B:10304214:10310896:1 gene:Dexi7B01G0004200 transcript:Dexi7B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGDASPPPPPAPARACGVGHRASLSLPTSAGGRVCLSCAAALLSSAGDASTLSHHVAHVLASLSLALADPAFLAPLRAAHPRLLSAPLADALAGAAARRDAALASQASDLAADLAAAVGAPAASELIGRIARVLSSGSLVKHLHTLPLYSDEIRGEILFVLYKLSILNATPWDNVCENGDVDLTAIGRSLLQLSLEVLLKTQNDAVRLNCVALLLTLAKKGPFNILLLSNQSSVKCTEAEHTQTDYMPLNASLVLFAEAVKGSLLSTNLEVQTGTLDLIYHFLSSDASVCALHQTLIDENVADYIFEVLRLSGILKSPHAHDIEKLPPFIEEASKYAISSALSHELAAENQILASVEEYILLNGGNFPYEINSSVMLTLLVHLYAFVRDESVMLSNSSQLVDIEMVAELVLSGETIVSFLLVSLLNRVVKEGTEDEGEEWLPLIVKVVFLHTILEASIDWQDFLEHSDDVQSFSILGIPCHDLCRLMHFGPPSIKIIASQCLFELLTRISDQRTHLNAELRCYVKYLKSIIAVTEGLVFSEDSRVAGNCGACISVILGWEKFGSQEKVAITESKWLWLIMEEFAVALTAPGLMSKSFTNQQKFAANIAVSLLRLSQVPDWLTSLFDSHMISGILANLSARNVTAEIVNLFSELMARKYLNEEHVVALHNLFQVCRRQVYEEGSNVQMSEQSVKKVVRSTDDMVSLLFGLMLNQCPDSDAVQSEQERLLHAIDLFFQESSGRGQR >Dexi9B01G0029920.1:cds pep primary_assembly:Fonio_CM05836:9B:32350967:32352893:-1 gene:Dexi9B01G0029920 transcript:Dexi9B01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVSGLLGADGAAEAAAGPAAVGLGDLPELCAAQVLLRLDPPEICRLAGLNHAFRGAAGADFVWEAKLPENYRYLMEFVGSGEEGRRRRRRAGKKEIYARLSKPVLFGDGQKEFWLDKSRGMICMALSSKALVITGVDDRRYWQHMPTSESRFQSVAYLQQIWWFEVVGEVDFCFPVGTYSLYFRVHLGKFYKRFGRRHCSSEHVHGWDKKPVRFQLSTSDGQQAVSQCYLEEPGSWVLYHAGDFVASKPDQLMKLKFSMAQIDCTHTKGGLCVDSVLIYPKGKGFQQGRVIRSPRRL >Dexi4B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:4B:5710244:5710989:1 gene:Dexi4B01G0007880 transcript:Dexi4B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPAISAAAVSLLAILAAAAAKTTIEPCSGADACPALLGYTLYADMKVSEVAALFAADPAAVLAANALDFASPGAANRILPAGTPLRVPTRCACADGVRKSVAVRYATRPGDTLGNVADVVFAGLPSADQIRTANGLAAEDPDAPLNSGMRLVIPLPCVCFNSTDNNLPAVYLSYVVRVGDTVQAIAANHATTVTDISNVNAMGSPIVAPGDILAIPLPENLG >Dexi8A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:8A:19617859:19619073:1 gene:Dexi8A01G0011120 transcript:Dexi8A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCIKMPAVAAATPVPVAAGHVLRGGAVIDQRLSGQESEVDDGTVLGEHQEHEGLSGENFTDEDETGDEHVDSHSEFAEYDEDIDDEDVVDTDNEDAIDSDIEDVVNEDDVDSDSEFEEDGQPVDTGHGSISAGTAWFLGQPALVASVQNTTGFMRVAAAKASPGHHGVVGGEILVHYQYTRFLRSQNGDDDGVDMHVLGPKEASVRFHVPSNAAVSADLATTLRLAGASLGSLYPSRFRAELQALWRGLVTVAVASLHVSPRATRLVVTVDVGILRPGDRTPGRMRSVRAAMEAVASERGGRRAAVEYDDVGVMELHLPAPLASEEDDVIRPTKRRRVIAREDCPICLEALERGLAAWPRCSHVFHGRCLEEHLVRGREECPLCRTGLKVHPSSRESTLNT >Dexi6A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:6A:6659426:6659818:1 gene:Dexi6A01G0006870 transcript:Dexi6A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISPNILISSAFVVISVRHRGAWRGHWMCSAVQATSPATCPDEPMVVTPAGRHCDTELGSADGKGEAGALARRQLKGPTVVGAWRRGAHNTERGKEEVAGPRVPRPSVQGEEADNTMQSGGGQRRARGG >DexiUA01G0010350.1:cds pep primary_assembly:Fonio_CM05836:UA:20591724:20592581:-1 gene:DexiUA01G0010350 transcript:DexiUA01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAAPERGRRILVAVDEGDESVQALRWCLSTFAPAARGDTVILLYVRPPPPTYSVLDASGYVFADDVTAAIDRYSREVADAVVEKAQKLCTLYGKEEGESDHEMKVEVKVAVGDARTVICHMADKLGADLLVMGSHGYGFFKRALLGSVSDYCLRNASCPVLVVKS >Dexi3A01G0023920.1:cds pep primary_assembly:Fonio_CM05836:3A:19555723:19557057:1 gene:Dexi3A01G0023920 transcript:Dexi3A01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRTPAASVVPGRREQRQLAAATAATTASGSEVHLVGEGGGRHHTHPGAGADHAVVVHPGRADGRRAPDGAAEEAVVEAGGARGAVVAERAGGGVVEVADLRRVMVMVVVAHGREGRVRVASAGGGAVAWREEGDGPRGEEMLGGGVGAGAGGVAPPGAPASAAAAPTAAAEALHALEVEAVLLEVGGDVLAREAVDAHQLHYGLGHGVLDAEVRHGVDEALVELRRPHEARALERPRRLVSAAAPSAAAAGARGRRRAAAAAVHVRAAARAAAPTGPSPRASSSSTRPAANAAVPRDVEGDGEIGRDERLGQRHQLVRAGQLLLAAREPAGLLLLPHGDGLLTPPPLVEQINSCGSLGRGSGSSSSTSRLDSKPGGRGEEGGGVTSSCR >Dexi9B01G0042930.1:cds pep primary_assembly:Fonio_CM05836:9B:43046475:43051210:-1 gene:Dexi9B01G0042930 transcript:Dexi9B01G0042930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLAWPHLGRHTIPVFLFPSPARSGSCGHPLPCFEMKFMKLGSNPDTFQGDGNEVSIMASELVSDITVRIGTAKFYLHKFPLLSKCARFQKLIPTTGDENIDIQIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNMIYKIDVFLSSSIFRSWKDSIIALGTTKAHLPWAEDLKLVSHCIDSVASKASIDISKVDWSYTYNRKKLPTENGHDSPWNGVKQQQSVPKDWWVEDLTDLDIDAYKQVITAIKAKGMVPKDAIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSIVEEFVRQDCKNAQKHNGGEVNDHVSAPSASMITVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSGNSRPVHDGLYRAIDMYLKEHPSLGKSDKKRLCALMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAATIRADSIGIGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLDKCDTAGTDREKNHLGNKGANGRVKGGATPKKAPGKMTSSKGQAGERSSSDSSDSAILPSQEHSKRTPARSTTKSAAA >Dexi9B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:9B:9705673:9706068:-1 gene:Dexi9B01G0014490 transcript:Dexi9B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAARKQKRSSDAAAAAAAQARTLGCGGPNSVATAFGHGGSSAAVPHSLGRGGGPFAPPCPLGRGAGPSSAPPHSLGLGRNTFTSSVAAQMDYRGFPSSTSCMDGFSFPNSSANLEADNADSSSPGSW >Dexi3A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:3A:9696664:9698114:1 gene:Dexi3A01G0013300 transcript:Dexi3A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPSGSRAAAPSTGDEAFTDAGAEDVGDSRLSALLFDVSQQVQGGLQTMLKMSSEIERCDSEIEAAVVRAREAVAEKSRALDDDRERVQKAALAALDILSGGRGAI >Dexi2A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:2A:8577018:8580553:-1 gene:Dexi2A01G0008420 transcript:Dexi2A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANYADNVLEGGGPPGLFSPSGLYTHKPPSTLSPKEQPCAPNWAAPEPHRAKSSTSSPNPNPIHTKSRKTAMAGGEGSSRSKGAKRKAEAMKEIAPTDEAAPAGDIPCVEDPISDWPTSILKEKYIKILELDGFLAAQEISRWRCAHEHEYPTEETEEIAQVSEESRAKAGATSRGGERSKRAASTEPMAPVPKKARTLPKPRARAIPEERAKVSPHRKSSSSVGIAIGEIGTSMPQQGSSARQPLSDEEILHNIFNPVSAPFIRTTPVVEEPCPAGPSAPEQEAEEEFTLGEPEIPMRPEEPPVDHAAVEPEAIAPEEPRVMPETTLPEVPAAVPSSLPVPEGAHVGETIAEVLADIEQLVTQAVIEETEVERRDQNTAEPPSVTETTQIGAEAVPEAECSRGKQAEASTQEQTIEEIPRVPKGTGAEEEIGSFHIGSYDPMLNPNPQAFEYILDAEEDEEHIDRGLYHAERAVAYFKAVGKASRKKTEYIHNISLMHAKADRLQKELECEREDRKLQEAEDTNMIRTLHLRT >Dexi5A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:5A:20015884:20016975:-1 gene:Dexi5A01G0017010 transcript:Dexi5A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARRRRRRHGAASDDRISNLPDELLGCILQRVGSTRAAARTSVLSRRWRRVWAHLPDLQLGTCDADEDHPGATRLDTVDAALRAIDAAAPAAVRRRLDVAMHCHGLRVHPRRVVPWLRFASQHHVEEVSIEVPSQTRFVLAGSRVHTNEQEEDLELPVPVWGEATSIALTLDRRWRLRLPPAGLFVELIDLYICLATMEASELGHLVSSQCPRLRNLYLSVSLAGVSDVSIRSDSLQSLELCVKHARRFEVMSPKLEVLSMTDAIEARIYAPKLEEVTWDGDIAYDPRRHCFVDAGRHLRLLDLGTNGAAVASLMQRFDKTDVLKLNVNLNNTVRITGTH >Dexi7B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:7B:15997036:16002168:-1 gene:Dexi7B01G0008180 transcript:Dexi7B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAAVAAAAQPQGGAPAGRQGGGGGLGQSIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPMDMWMYLSENEKFNDFANDDALIWHEANIPYAVWGPTSTRTRSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQKSAFGRTHPVVTFLPKSKAGKKKSLLGDSEEPEQQAPPEDNKELEDKDEGPAEYLSYWKPNVTINLVDDFTRYPHNNVPPIVAPCILQLEIDLNVDPATGDYYPTVFFNEFWLLRDKLIALNETVKELPLNLEIGPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMVVSLLHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVILNFVCQLIVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEIDRSGKIPMLRFKDRESYSQNKTKEYDALAMKYLTYVLFLLVIGFSIYSLKYEKHKSWYSWILSSMTSCVYMFGFIMMCPQLFINYKLQSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWKYPVDKKRVNEFGFGGEDETTARETLEGSDSAAATQQTEAKDEAEAETSTEDKKTK >Dexi2A01G0023210.1:cds pep primary_assembly:Fonio_CM05836:2A:34969220:34973644:-1 gene:Dexi2A01G0023210 transcript:Dexi2A01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDRIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVVTSSSNFHSSKKVQPTNGLKDASSLSLEKETSKLEKINASRKPTGAENKKPTLTRSNSSLSKQALNGIGGKKEPAKSKTPRRKSPVDEKVSHKDDGVIQKTARKSTAIAPSDDTDKAVKKHTPSVKKTSGVSGSSNVTNLVKIPPNSKKLTDASTSWTSLPPSLAKLGKELLKYRESAQMAAVEAMQEASAAESLLRCLSSYAEVNSTAEEQNPQPAVEQFLTLHAALSRATVITDTLTKPTASSASPDCSTASDAGTVASATDEEAAAVAAERRRRATSWVSAALATDLSAFGLYNLKPVPATVSSPMAVVVVGESSKPAAAAATPAKSSPSPKSRMSPAKGKARTGPGATAAAAALTTTPAPPEWEKGGGAEERGELARRLGEESRGWFLGFVERFLDADVAAAAPWDRERAARMLPQLKRVNDWLGEIGKRSEAPPPPSPDADGEARAASTAPVAANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGAGGPTPPANGKKG >Dexi9A01G0047670.1:cds pep primary_assembly:Fonio_CM05836:9A:50712025:50713554:-1 gene:Dexi9A01G0047670 transcript:Dexi9A01G0047670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWVSFLELSLSLLCFVVFYYFHIRSKRKNPVIPLDWPLVGMLPALLGNLHRLHEWITSLLTAGHLNFLFTGPPRSGMQFFVTADPANVRHVFTSNFSNYPKGPDFDDIFDILGGGIFTADGESWRRQRAKAQLLMSSSSFRGFVSRYSRDKVESSLLPVLSNFAMTGKAFDLQDVFLRLTFDTTTTLVFGVDPGCLAAGMPDVPFARAMDDAMSVLLLRHVVPMKWWKLARRLGIGYERKMAMAWRTIDKFVADTIAKRRAEKARRGIEGSADLLSSYINDDEDEVDAFLRDTTVNLMLAGRDTTGSALSWFFYLLTQNPRVVAKILHELETVKQGTCTGPDGMVSFDLDELGKLTYLHAALCESLRLYPPVPQELKEAVAGDVLPSGHEVRGGDKVLVWLYAMGRMEEVWGNDCREFRPERWVAEDGQRVRYVPSYRFMSFNSGPRTCLGKDMAFVQLKAAAAAVVWNFEVEAVAGHVVEPKLSIILHMKNGFMATVRRRQQQAAA >Dexi7B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:7B:24386139:24396709:-1 gene:Dexi7B01G0018760 transcript:Dexi7B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSGGGSARRRSGGGGGSFGGEDDPFDIPAKGAPVERLKKWRAAFRFKEAGRVHHSKETTVPPADGALGFGIKEDQLTALTRDHNYSALQQYGGIPGVANMLKTDKEKGISGDDADLTARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVIPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGIATFIGMVGLSVALAVLIVLLARYFTGHTYDPDGSVQYVKGKMGVGQTIRGVVKIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMKDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMESPDNTQMLSADVTSLIVEGIAQNTSGSIFEPEGGQEPEVTGSPTEKAILSWGLKLGMKFNETRSKSSILHVFPFNSEKKRGGVAVHLVAEFKKFIEDMAAASLRCVAFAYRTYEMGDVPNEDQRAEWKLPEDNLIVLGIVGIKDPCRPGVRDSVRLCQAAGIKVRMVTGDNLQTARAIALECGILDDPNVSEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMERPPVGRREPLVTNIMWRNLIIMALFQVSVLLTLNFKGISLLQLKNDDKAHADKVKNTFIFNTFVLCQVFNEFNSRKPDELNIFKGISGNHLFIAIITITIILQALIVEFLGKFASTVKLSWQLWLVSIGLAFFSWPLALVGKLIPVPKRPFGEFFACCCKRSKEASDDATSDDKQSKSEHRDVV >Dexi2B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:2B:4596420:4600569:1 gene:Dexi2B01G0004880 transcript:Dexi2B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKRILESYTIKGSDKVIKREALPPSRSDPEFTRLLWHLTDSLIFFRPAGDCVLMRAFDASKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDW >DexiUA01G0013620.1:cds pep primary_assembly:Fonio_CM05836:UA:28551925:28553148:-1 gene:DexiUA01G0013620 transcript:DexiUA01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIYATSTFAQPSPGEHTGYEYSRSGNPTRHALETAIAELEGGTRGYAFASGLAAISTVLELLDKDSHIVAIDDVYGGTWRLIENVRKRSTGLQVSWVKPDDLAGLEAAIRPDTRMVWVETPTNPLLKLADLAAIADIARRHHVISVADNTFASPVIHRPLETGFDIVVHSATKYLNGHSDVVAGLAVVGDNAALADKLAYLQNAVGGVLDPFSSFLTLRGIRTLSLRVEKHSTNALAIAQWLEQQPQVEKVFYPGLESHPQYSLARTQMALPGGMISLVVKGDAQRATEVIRALKLFTLAESLGGVESLVSQPYSMTHASIPLEQRLANGIVPQLIRLSVGIEDAKDLIADLSQALKNQAKCGSV >Dexi8B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:8B:21278487:21279152:-1 gene:Dexi8B01G0012020 transcript:Dexi8B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKGAYFEIVPVQLQKQELRMTLYGHQVTTGPERNQQVVVDRSNPSFGLIAANDWTVFDGFGSGANLVGNAQGMHMLGSMTQTSWCIFFDLVFKNGRFAGSTLKLLGSFGPDAGEWAVVGGTGEFTLAQGVIAFKKVQDGNGMNIRELKFRVFYTPIKA >Dexi9A01G0043860.1:cds pep primary_assembly:Fonio_CM05836:9A:47545574:47548144:1 gene:Dexi9A01G0043860 transcript:Dexi9A01G0043860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGETHEASRREGRDSHGRRHHSSSRSRRDDPSPRRRRDDRRHESARHRSRDEESAKVSDRDQKRNRDAEQRDDPPNAESKSHNERGSAGQGGRLYDRQASGYGRQRDQKEHLADRDKQKDAGHTLQGKVEQDDGDSTWRHDGFFQLEEEAPLAKKRPTFQEMKMQDSAATGVDMDSGSRKPDQPGPTSAIREERRSYHLRGFGNHRPFVRPDDRGFRRGFPDHRSDSRGRFPGRGGVDRDRFSNPYGGRGNAYNQSSGDQEEKWKHDLYDQSNSSPPPKTEEEQIAKVEALLAL >Dexi2A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:2A:26322077:26323616:1 gene:Dexi2A01G0015390 transcript:Dexi2A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVDGNGGPVVMELSNIMNLVRQLEVKLGGSQTHTLEVCKNLTKQISCSTQRSISLVTSYYLDAGRKRSAADAAAPCPLSDASDAPFKTTKKRKTATEKVKNQMRVRSAAGGDIPADDGHSWRKYGQKEILGAKHPSLYCNAPAAATAAPPPEENLPDVHSLLQSLSSNLTVKTEGLAVAPEETLQGWATAAAAAVPFCLPSTPASLVPELISPFSAAPSTSENWGVSPATSDSNQQPGVSFPAFEFEVAAGDVMTFEFGEVVSARADVPDDEFDISSFFA >Dexi1B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:1B:18675510:18680083:1 gene:Dexi1B01G0013180 transcript:Dexi1B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEDAVRALGAGFDLTSDFRLRFAKASEGRRLVELGGETRDVPLPGGAGAMLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALYELTGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALAWFIKTYGTHIIVEMAIGGQDVICVKQSHSSTVSTADLKLHMEDLGDFLFSDGKNQSPIHRKTKDGKSKVPDVFVRIVQQPNNLHLSSYSETSTKDLILTRFVLPDKPDLPELQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRFLGPKLHVSTSQVPAMSICILELKVSSSQKPIVGLRLYLESRKCNRLAIHVQHLSSAPSMLVDSMASSMSEWRESEDTDPGYIEAIQWKNYSCVCTSAIKYNPEWHKRAPGGVFIVTGAQLVTKGTWSKKVLHLRLLYTHIPNCNIQRTEWTRAPAASQKGSFLTTISTTLSHPFTQREAQQHAPKHEPAQLNSGVYPDGPPVPLRSRKLLKFVDMSEVVKGPHDVPGHWLVIAAKLVKEGGKIGLHVKFALLGYDGQQSQGDSFGN >Dexi9A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:9A:487353:488113:-1 gene:Dexi9A01G0000940 transcript:Dexi9A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSALKKPKLEKDDDHDSAHCPRPSANGAAHARPVASASTAANGAPPDDEDEEPMAEEAIVALVAHRERDVERCKLKLLHYQSLLDTAEMKLAEVRSRLARYRDREPPPRQTEPKPSPPAPIKREPKPSPPPIQRDPKPPPPPEKKAPAPAPQPAARPHLVIPGTNNRPAPRPEPMPGLKKAAASSSSSSSAPPPPERSRKEEKKPKRKIGAF >Dexi1B01G0027990.1:cds pep primary_assembly:Fonio_CM05836:1B:32640834:32643827:1 gene:Dexi1B01G0027990 transcript:Dexi1B01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGRALGGRPRFGRVARCGYAASPPASAGRGGSSSVGRDSDSPAAAALWEWDGEEVEGGDGEVQSSYKGSPLDTMDALQEALPFRKGVCKFYNGKSGSFAKLQDAVILSPPLKDLPKPETPSPRKRKGLLPFSFKWGKPQNKEGFPEDDVVDSPMSCRRLTISPAATSSSGSNSGSDDEHYHSQKPSSRRPHRRPSNDMGVFASPPAPRPPQLVPAHVRSQSMLELQDVTDSTTMVTPRDKRMKN >Dexi2B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:2B:4584935:4586232:-1 gene:Dexi2B01G0004870 transcript:Dexi2B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGVVSACHTKEEFHSQMDKTKEAKKLDVAEEYNVQGMPTFHFVKGGEKIDIVVGAKKDELRPSYKKYLDAAFRKWA >Dexi8A01G0011600.1:cds pep primary_assembly:Fonio_CM05836:8A:20558583:20559932:1 gene:Dexi8A01G0011600 transcript:Dexi8A01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTTGVAILTALLMHCLSISPGIAHQQRALNTSTSGPDHNEGSGFSLQLVTIPEGPDHNARRHSDGFLHLQRSLTTSAMDQKNSALVHGRGVVVQIGTFRSYQEVILVVSTTSSLTWLQCEPCSPKVPQRHPLFNPDRSPSYHAIKGNSPKCHPPFEPVPGTDKCGFHLLGQEGIWASGYMSTDHFRINYGALEPDYTFGCSHITRTFNNAGQSAGVLAVGRAPTSLVTRAAARGLTNFSYCLSHETSHRSFLQFGADVPRMPVRYQTTRILLPHDAHDSAYHVKLIGVSLNKRRLDGVLPEMFARRKDGQGGCIVDLGTPMTTMAQEAYRVVEEAMWVDLKRHGAERVELAGYGLCVMETETIKKRLPSLSLHFAEEEAALVVSPEQLFVVIDVDRKIGQVVCLAVTPGRRTIIGALQQVDTRFVFDLKEDKLTFWPESCGQERV >Dexi7A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:7A:27894429:27895739:1 gene:Dexi7A01G0018480 transcript:Dexi7A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHGCRHEIAGVVTEVGKNVKKFNVGDRVGVGCMANTCRSCESCEEGLENYCSKITFTYNSHDKDGTVTYGGYSDMVVVNQHFVIRFPENMPLDKGAPLLCAGITVYTPMKYHGLNEPGKHIGVIGLGGLGHVAVKFAKAFGMSVTVISTAPEKREEAMEKLGADAFVASSDASQMKAVKGTMHGIINTASASMAMYPYLALLKPQGKMILLGLPEKPLQISAFSFNYVGELHGEHQGHTGDDGLRSEHGLTADIELIGADDVNDAMERLAKGEVRYRFVIDVGNTLKAA >Dexi4B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:4B:3692763:3693105:-1 gene:Dexi4B01G0005230 transcript:Dexi4B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGEEPAPLLPVQELAAGRHGAGDDGGVPSRYVARRAHDGSEETPTAAVPVIDLGRLCQPAADGESASEAAKLRAALQSWGLFLGTFM >DexiUA01G0025080.1:cds pep primary_assembly:Fonio_CM05836:UA:52592366:52593418:-1 gene:DexiUA01G0025080 transcript:DexiUA01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYVCGIWLLTHAAVPEVDTAVRSLTVMWNGSMVVAANNHGTCYVWRLLKGTQKITCFEPLHKLQAHDGYILKCLLSPKFCDPNRYLATASSDSTVKIWNVDGFKFVKFVKLCKWDPQILWHLNFSCHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVWCALHDGAESAP >Dexi5A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:5A:10845412:10846506:-1 gene:Dexi5A01G0014040 transcript:Dexi5A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLINHGVPDEVIQDVKRDIAEFFKLPLEAKKEHAKPPDGLEGYGQVFVFSDTQKLDWSDMLYLMLRPVESREMRFWPAAKVVSCLLRFMAEDMGVEPERLLEMFGGQPQTMKVTYYPPCRQASDVLGLSPHTDACAMTLLLHVNDVQGLQIRRDDGRWLAVEPLDGALIVSILSNGKYKSVEHRAVVHPDKERISAAMFHQPRYSITVEPLPELVKKDGAGARYKSVGYAEFMRHFFSAKLDGRKSHLDHFRID >Dexi2B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:2B:6883278:6883859:1 gene:Dexi2B01G0006900 transcript:Dexi2B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEMSEEWIRLVSVVDVGGHNGTTARAIAKAFPHVRCTVLELPRVVGAMMAAEDDGDGAVEFVAVHPSG >Dexi1A01G0025630.1:cds pep primary_assembly:Fonio_CM05836:1A:31804181:31805572:1 gene:Dexi1A01G0025630 transcript:Dexi1A01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMESSGGGAYKKATAALDEAARARLRGPFITGDVAPSPAPPSRRADADDDLMDLVVDEFYNGYGERGTDGDFAKDAVARRRTNEWKETLRLTLVNVAADAAAARIRAEAERVVREAGPAIVGLCRSSWEKTSSVPAPGSYEYADVRMVGSSPLVPSSRYIVEVNVAGEFEIARPSAEYQELLSSLPLVLVARPEALKELAAAMCAAAEESIRRAGMHVPPWRRAPYVQAKWSGQFERVSEVAGGAGGAAAHARRRKNCGMEMGRREAAAMGREALVSARPLFR >Dexi5B01G0036660.1:cds pep primary_assembly:Fonio_CM05836:5B:36328075:36328970:-1 gene:Dexi5B01G0036660 transcript:Dexi5B01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAGKNIIRAKKVRTSVAFPFELIKPCSIHGAITLDDINEKIHAPPPYKIKHKNDEDPNSHKASAISGKPVVHKTKIHTEGGKGSITISRTLG >Dexi1A01G0027050.1:cds pep primary_assembly:Fonio_CM05836:1A:32930456:32938133:-1 gene:Dexi1A01G0027050 transcript:Dexi1A01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQMEEASTAAAAATPFQLQFDKPIPFQIKMAEWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKIIALGTEDGLVLLHDVEVSLYESVALCFLTFQNINKIPINVEAFGKKNCQLQDASITKVSLSKNLQKLVVLCYGKLIDPDNLSHNCETAGLHCLYLDTSIFFNRKNELHQVSQQASSIQDLIEVVRASISLISKQWSNAMNLFHEKFSALPDLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLIDGVTESIGMLVVQVERFSRVAAIVLYLFQNFFTWVLKCVKILLNEPTDQVPAANRDTARHIEQLVVFGGFTDTQFLERSLVKQFNELQDSLKEAFLMPFTTISSKIHCQGLLPLYPVTSSDTLSSTCAPTSITFYKVEDSLHADSSYKLTDYVCFKIPDESLNKRNCIGVIKNSGNCCTALSMASLSGFLLHMPDEYECVDLSLYKAADLQLDTDYGKVRSIPHNVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDEASDME >Dexi5B01G0032440.1:cds pep primary_assembly:Fonio_CM05836:5B:33094173:33094781:-1 gene:Dexi5B01G0032440 transcript:Dexi5B01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTAPLSPRCATASTNRWCSSGVHTILAFFLGLPPRHAQLPEAASSVSAAGPSSSSPSSTSPSSSSKRKDRWEGKSSKAPPAMLIRNAVASAGVMSGCQSGVSSEAEGRPISHSSTRPERDSPSASMPARRRGGENEGEREAGAAGVAWWGRPPRGDNITNSPGEVTQGLRPRRRRRLGFLTMSR >Dexi1A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:1A:28479139:28481582:-1 gene:Dexi1A01G0021750 transcript:Dexi1A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVAAAVMVLVWNIQFRGGLAIEATNKNLIFNWVFGFVSFFFPGAAPNTRKSLLPWHILFGLFIYILALANAELGFLEKLTFLESSGLDKYGTEAFLVNFTALVVVLFGASVVVAAISPIHLEEPQGYAPIPEN >Dexi1B01G0027720.1:cds pep primary_assembly:Fonio_CM05836:1B:32403739:32406348:-1 gene:Dexi1B01G0027720 transcript:Dexi1B01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAWRQSGGGGGGVAVVADHLGRARPARLCLYGLALTFAGFAVFLAFAPSLPAPPASSPAAAWFDGLIASASPYRAQVSGFLSSLFPANSSSSASDVPRSGVAARRPGPSGGGFAASAPQAVGVISSAVRSGERLGSGGGGPSSSVGGAPGGDRAPAPGHATAAAVPSGAPPPDDHVRGGAEAKHSTGTATAEAKGGPQPGIPSGGSAQDGTTAKGGVPVPINGSNANASSVDSGDGSGMKTSARNAASLSHQLGSGSSALGNGTAVPLVNQTMSAVAAAMDGNGTASQSSGAAGNNQTLLIHQSPADHKNHTRSPAASDGSSSSVNKQIEPTASPQGSTSLAKDQSAQLVTPIANSNSSVLVKAAANAGRRRKVDWIENMASCDMFYGNWVRDDSYPLYPPGSCPHVDESFNCHLNGRPDKAYQRLRWQPSACRIPRLNPADMLERLRGKRLVFVGDSLNRNMWESLICILRNSVKDKSKVFEVSGRRQFKAEGSYSFLFQDYNCTVEFFRSPFLVQEWEMPIINGKGTRETLRLDIIDQAFPRYKNADIIVFNTGHWWTHDKTSLGKDYYQEGNRVYSELDVHDAYRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEQYLTPYPTKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTQEERKSPELYQDCSHWCLPGVPDSWNEILYAQILVKQRHKMQQ >Dexi9A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:9A:3051740:3051981:-1 gene:Dexi9A01G0005430 transcript:Dexi9A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHHHYLPVTGLANNQVEAPLVYLQSMIQGQLLIDSQSRITRDHNRIRDNRLPKSTVSKLKVLMEESTA >Dexi9B01G0029850.1:cds pep primary_assembly:Fonio_CM05836:9B:32230249:32232272:-1 gene:Dexi9B01G0029850 transcript:Dexi9B01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPRSAAMVLATILGSLCLVALSDDEQLENLRFVRRAEDYASLVSHYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYRNMSSQEHFTDALADTTLASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASVDYVRAAGWDARLVNSSYRWVERTLVSRPDVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDNAGQRHTAADFLRHARPSGLTVLLYATAAGYTVLQDPLAVVAYTAADTYTRNVSPVAEGAPYPVAYGVVFADPLGVQHRVYLQDGAKNEVILSAGTLGSPQLLMLSGVGPQAHLEAHGVQVLVDQPMVGQGVADNPMNSVFIPSPVPVVGITRSGSFIEGVSGSEFGIPVSEGARRLARSFGLFSPQVKPPRLRCFHPLDYLVDRCFGSDNLGTLPPKQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSTDPRANPAVTFNYFQEKEDLNRCVQGIQTIERVIQSRAFANFTYANASVESIFADSANFPVNLLPRHVNDSRTPEQYCRDTVMTIWHYHGGCQVGAVVDDDYRVFGVQRLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWRK >Dexi2A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:2A:11730522:11733774:-1 gene:Dexi2A01G0010590 transcript:Dexi2A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARFLGTASGHLERVVGALPAAGSLSGRSILPIGRFRPNFILSTPPLGGAGRRGVRAARPTRAAMGGGDLFALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDSAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIQIPSIRKSSVADELSIQDILENWLKLKPTLMDEWQEDRESLVDLFGRVRDDWIENDLSGWIGANRFYPGTADALKLSSSETYIVTTKQSRFAEALLKELAGIDFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFIEDRLATLKNVIKEPALDKWNLYLVTWGYNTQKEREEAQDISRVQLVDLPDFSKKLK >Dexi9A01G0034700.1:cds pep primary_assembly:Fonio_CM05836:9A:39453218:39455278:-1 gene:Dexi9A01G0034700 transcript:Dexi9A01G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAGGEGGELPPPRKKKSPAEEAAEKRTSEFTLFMPTPKGQSCVIIHCTTRTMDGVVINSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMQPKIHYAEDDCPVAAPDGFPKDDELQFEIEMLDFFKAKVSLMK >Dexi5B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:5B:2018872:2020563:1 gene:Dexi5B01G0003050 transcript:Dexi5B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRASQLLKEIDSSEAGQLAPFNSDVFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQGLMEEFLE >Dexi8A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:8A:12629076:12635231:-1 gene:Dexi8A01G0009460 transcript:Dexi8A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEASSSLEQTTLVDENVGEVIGRCCIFLHLKQGNVDASQFLAIYPQNSVPSISVIGLNGVMLWNHEGHISSENLKESIEKAWGALHLQETAVTLLTASLASRNAEPVNAAMALPSQGGSSTSENASVSSSAPEISGASGIVHSVDSVSQLPSSTSHDELIEINEKQDEGSKSNSGDRTIEKLESAPTEVNCDLPISSRRSNIGTPADSNENTASLKRKNNEVGSRTEIPGAAVPSTITSRGVSSLLLVQQDSTTYKTPDEPVSNSVKSDDIQLSIRMPSGNRLEIKLTKHDVLRKVKKFVDENKSSGLGSYDLSLVYPKRIFSEQDMETTLCELGIQNRHAMIVVPHQQLVQVSRLQSSSPSYDAGESSGGGGYFGYLRTVMSYVNPLSYLRGNPTPSRPDLQPNEGPQHLSMFSYCFLISPYVQSRFGLRVIA >Dexi5A01G0021110.1:cds pep primary_assembly:Fonio_CM05836:5A:24997647:24998630:-1 gene:Dexi5A01G0021110 transcript:Dexi5A01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRNPARMLAVAKKGDTSGLKRNDDTAVQSSVNAPRPRPLMPEPSCCAVTDLEKIQHTHDTDVSVGNRKLQASATRKNLARDRPEQGDLHECARPPHVRRLHHVLSHEPGPAVAEHLGGGDEQPGGEEGDGAAVEGADDVDDHDGVGGAVAEGAAGVGEDGDEHVLLHVEGPRVEAPLAAAEERGGLELAGGEHAREEVAEREGGHLDGDLGHDDGLGAEKNWLRKLMSTQERSPSVHIRNVHTGRVGSSLAGTVSRTSSIGDASSSSPPSSPTPGIIAAAPGSPLSMSTIWTIVARVEYY >DexiUA01G0006550.1:cds pep primary_assembly:Fonio_CM05836:UA:12576119:12579281:1 gene:DexiUA01G0006550 transcript:DexiUA01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSTSSLPSSTRTVKTISEVEAFSLRAEDLRFVATHIPTRAPLPDDDPAFLRPSKHRVPARTRRLTGVDPRPLAGGEALPTPTTSNPSFPAARRRSSGGMPCSGEPTAPTRLTTDPRAPPRPETPSASLKPARATPHARAHHAGHGGSTPGARGGELARAPKLGRRRSEPSCSRAAAMVEERHGVERVPTRRNVALNRTNPLTTSQTSTQHDYPL >Dexi8A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:8A:20870691:20871218:-1 gene:Dexi8A01G0011860 transcript:Dexi8A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQDEIKGVLGTRRHVEEHDISKLPYLQAVVKETLRLHPTVPIGLNKAEATVEIHGYKIPEGTTVYVNLWDICRRAKAWDEPEEFMLERFDKDDVNFLGTNFELIPFGAGRRICLGMPLAERMLHLMLASLLHRFEWTMLPEDGQQGGLDMAEQFGLVLSMATPLRAVAREIL >Dexi9B01G0038160.1:cds pep primary_assembly:Fonio_CM05836:9B:39310933:39312790:1 gene:Dexi9B01G0038160 transcript:Dexi9B01G0038160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLMQMEDELGKKLCDDEVVDNIVSLVVAGYESTANATMWAAYHLAKSPHAENAAISRDKNGGFITLDDIPSMKYTAKVNNLASTLHAGYTIPKGWRVVVWLRSLHTDPNYYDDPLSFNPTDGTWELLNPDAEISYLPHSKPVDGAAMSFSKLNSD >Dexi1A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:1A:28007710:28007916:1 gene:Dexi1A01G0021160 transcript:Dexi1A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSPQLEGQWAMEAADGRYSNPSSPEAADAGGMAAKHASTKDMAKTITSLELIVPPAGSLLCGGVPG >Dexi1A01G0015040.1:cds pep primary_assembly:Fonio_CM05836:1A:21914166:21915901:1 gene:Dexi1A01G0015040 transcript:Dexi1A01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENLEGQVIEHLRDKRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNEWRCAVPQCGQPYHREQVENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSYFLSKMRVFLNVAVSQKFQLLQDCVEWILEVR >Dexi6A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:6A:5650180:5651608:-1 gene:Dexi6A01G0006040 transcript:Dexi6A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKATCIDILVAIVVPPLGVFLKHGCGHEFWICLLLTFLGYIPGIIYTVYAITNLHVRLSLICPWRSTPSASWPSLTPAQRFMAFTSFAMCNLRLDEPDVPAILVTCSKLYELCLKFCGQGFVLRIDAPSSLLVHLVRLPRLEWLFMETCLEDDSLPVPVLWPHLINLVRVTARAGLRGAGSDDESMMWLIFVVQAARGEMQRRRRHII >Dexi2B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:2B:30415145:30421019:1 gene:Dexi2B01G0020350 transcript:Dexi2B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGTACNGAAEAPKAEFSPEKAVAASPEEAVAASPEEKPAGEREGEDVGGPFVIVSGGDSDGHSDRGSDLGKAPDEDSPSEDDDVPGSNAAPDAAAGGDHGPAGGEVSAPGAVLGASSADGVDRAADGSEGGSDEGKGEPSSDFVTEVAHQEAAGEEHGAPVSLASIESDPAATVSDSEAPAADYKVEGKEDIVEESAATEVVELVAHEEASISKEQDEEDAAAESCGHDDAPLPAKSGSAVVESEVNGEYSNGEQSITDAAEPVEMGSGGANASVENGHLSADTSADSATGPESQEDASVESLVHDDALISTKSVSAAMESEVNGDDSKEEERTADVVEPVEEGADGAGVLMANGHVCADTGSDSFEASTEPESHASESKLEHNATEIAESVEGDAACEDGINAPQANGHICVSLSADSCIASSESEVHAIEREGQETDQQGEGAPTTEAEVEGVVEVADRNCAGNVEELIGEEVGVDGHNNAEGSADDASAEPKAMVEQVESEATCGILQLEENLSKDGVESLRDDCISGGISSNEEVELPVEKGINEVVRDICEPEDATENASQAGELVDGVSICTPHSLKPESDLCMKSSLERKDQADVATVDGTAAESDFKVDNVVEAKMAACEVEETEVKSEADPPFLSQEDCESSTETVESEKVEAPGIGQANEIENDVEGVEPKEEGDSEVSNAVPSQEAAASATSSFHSESRSIKFVDIEASSSQEIPKGTIEQLACGTSLENGTMVADEAGITSETENGSEERPSDGVDQGEPVDSNVDEPEIDDAQVHSTTGNESLVSDTAGGISKKSESNEVVGGSKSQENQLETSNASTPSDECSTRTGNEVPLDEVDETFNGTCPENIKVSTESTDEVETETKCLEALEPSSIGTVVPAECKDVERAPGELHVVHAEVIGPQKVYMIKIPRFAGEDLWAKTQAAQAHLDQLTQERDAINRRKQKQKAICDQYREKLDAARREEREARAAHGDKKNDLNSVRSVIGKMNQANSIEEIDELIATKERTMQHETISLKAEKLLIKEINDLKAQRKQLFSNIGSKAEISEAFDQKDHIHERHKALKKDSDVLFTNLKSLEENTRKILKSFEEEKIALRKLSEEYRAANELRQKAYSEWSELKAEPWKKVRVLPVAVLNQYFFMYRENRNVAENIKNSGDMCKLQSYCNNQIDRVMEMWNEDEDFRKKYVEANKISSLRRLGTLDGRTLGPDEDPPVIPSRRPMNTSPLTAPSPDVHTLTSVPAPVLAMPASVPASGESFPVLPSPQTSKRAKSKSSVGSAQNENKAVSASEAEDIKQTEKEKARLMEEKLELARKAEEVARKEEELRKERAAAEKERLRLEQKVKAKEAEERKRRKAEKEKERAEFKARKEAEEREKKKARKDKKKGPTSTDPNTIDDSHAAALASADTDSNASDNSREVEVSQQTAHKRLSRPAAAIKQLNRVQPMPAPLRNRGKRKMRQYILMAVAMLSVLALFAAGNYIPRLKSLQH >Dexi5A01G0039450.1:cds pep primary_assembly:Fonio_CM05836:5A:40077796:40078056:1 gene:Dexi5A01G0039450 transcript:Dexi5A01G0039450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCMPSLAKVFPKKSSSSSASSSFRSGSDDDDAIKKQQEKQQQHLEKQGQQDGVKKKKNKQSSNLDGAASTTPYFPFQSRPGLL >Dexi2B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:2B:11544688:11547108:1 gene:Dexi2B01G0010330 transcript:Dexi2B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLWTPTTNCSDLLVSDCEKHEELVKSSTPQPLSPIHLAFSAARLPPRGFGEFCSGRGGDEGGRPVSVMEMEVEEPSPSSSSLSSPAGSSDSIDLNFLPFLKREPKSEPASPERGPLPLPAPPTQTPQHQRVAAAAATSAPPPTTMPDLSAAPVMTPLQALPPNPDEDAVLREYYRLANLYFSSAGAGAIVPAPAPGSAAPAVVQSGSGSVVRKRRPRSSELVRVSSLGVRDQIYFRDLVRRARITFECLRVLLLTDDERAESLGLPGVAGFGGGGRDRRRVRADLRAAALMADHDLWLNRDRRIVGPMPGISVGDAFFYRMELCVLGLHGQVQAGIDYVTAGQSASGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPNLHRQCVDQKLEGGNLALERSMAYGIEIRVIRAAKSRRSPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYKMLRIEGQDPMGSVNYRIAEQLKVDVLSVRPTGYLSFDISMDRELLPVALYNDVDDDQDPLLFEYLARPIFPTSAVQGKFAQGGGGCDCADSCSTGCNCAGRNGGEFAYDKTGSLLRGKPLIYECGPYCRCPPSCPNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIVAANGDCLVRPNRFPPRWLDWGDISDVKPEYVAPDYPALPELNFAIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNASYPHLMIFAMENIPPLRELSIDYGMIDEWVGKLTM >Dexi8B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:8B:23061300:23061540:-1 gene:Dexi8B01G0013200 transcript:Dexi8B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRIFFMDANEECYLFYSQEASSSCRAYDMRDGKVSTPLPMVSWKPGKVFATWLLPTFLI >Dexi3B01G0032990.1:cds pep primary_assembly:Fonio_CM05836:3B:35372222:35375552:1 gene:Dexi3B01G0032990 transcript:Dexi3B01G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVIHEVTTAQVLQKQLFDAHEPNLLDENDMHIFGSKPMADPLDLVCCSTCKKPVKASQYAVHTDAPAPLATKMYHSQGNYRLRLELGQLYRESCAEHSSCHTTPNLSQVNGLMGSQFPPCGNSALPGSQKNLVPQTKLLASTSESKKAQQQPNGRVHVIKSSVE >Dexi4B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:4B:7473895:7475446:1 gene:Dexi4B01G0010230 transcript:Dexi4B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELQRYLHMVAGEGENSYAQNSRLQEKAMVEVRPVLEKVVTDVYTALSPRTMVVADLGCSSGPNTLHFVSDVIRVTGDCCSRACRDPPELQFFLNDMPGNDFNSLFRSLDAQRKKTAASMPPHYVVGLPGSFYTRLFPERSVHFFHSSYSLMWLSQLPKELQVNSKIHLNEGNIYLRTTTSPSVVKMYQEQFQKDFLLFLKLRSKELGIVEKARLDSFNLPIYGPSIDEVVAAVKQSEVYDINHIQLFESNWDPYDDSEGDFVGDTVQSGINVSKCLRAVMEPLLVRHFGEYILDELFERYAFNVAKHLEREKTRYSVIVVSLRSKP >Dexi9B01G0034540.1:cds pep primary_assembly:Fonio_CM05836:9B:36445755:36448130:-1 gene:Dexi9B01G0034540 transcript:Dexi9B01G0034540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCNPVPAPLFSVPEHRKPRSPAYYTPSPWGDFFLSHQPCAPSQLELVDTLQRLGVGYHFKDEIDGLLRDVYHRDTRQHNQEGVCCDDDADELYVTSLRFYLLRKHGYRVSSDVFVKFRDDHGNFASGDMNSLLVLYDAAHHRTRGEEVLDSAIAFTRIRLRSLIDSLEPELAKECTLDTPRYRRIQRVEARRYIEVYEQKAAATRNDTILLEFAKLDYSILQAMYCEELKSLTIWWKELRSRADLRFARERVVEMYFWMLGVVEEPRHSYSRIHLTKFFKLVSLIDDFCDSYSTTEESENFTLAIESIHEGLIDITRCYHAEVKWRDDHYVPAKVEEHLQLSAPSSACMHITNLAFISLGDVATKEDIQWVSSYPKIIRSVCIIARISNDIMSHEREQASEHVVSTVQTCMKEHGFTAEQAREELGALIDRSWMDIVEECLDARRPMELLEKVVNLARGMDHMYKRDDAYTFPIGLKDVITSVLVDSV >Dexi5A01G0005400.1:cds pep primary_assembly:Fonio_CM05836:5A:4029729:4030676:1 gene:Dexi5A01G0005400 transcript:Dexi5A01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEAQILSGSFTFVKERWWASSLVDQRRRQLQLVADKVLAAVEATGSLDGPAVSAWRNRIRVEARRGLDILNSPVVCDDATVEGFASRIRAMVVGSPKTAELERITDAIRDLERLSAPGGDIETLFKLMSLHDGDSSDSARCRRFNSVAARSGLGLPAPGGKRKLRADLDGEASDGARDHQDDEGSSTGHTNMKRLDGDASDEGGERRLQLRRQHGAAAQAPPRARVEAAAPAVCSCRLRRAFLRNPPPVSRSRRRARALPVAMALSKIRRRMGSSTGAKRRDEHVNGPLGLLVTGRRRRPAPRRAQFFPRIV >Dexi8A01G0011500.1:cds pep primary_assembly:Fonio_CM05836:8A:20259684:20262218:1 gene:Dexi8A01G0011500 transcript:Dexi8A01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQVVLKVAITCKNCMSDVLSVISKVKGIKSMDIDSEKNVLTVVGNMDVMKIVAALRKAKYAAELVSATNTDG >Dexi9B01G0034200.1:cds pep primary_assembly:Fonio_CM05836:9B:36221634:36227738:1 gene:Dexi9B01G0034200 transcript:Dexi9B01G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSVRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANISTLSSVYHKPPESFVSRVKAAPRADDEEFADTGETGYSESPSQGVDGASPSSSVGTSSNVPVKHLGAASPPAAAMPDLLGDLMGMDNAIVPVDEPAAPSGPPLPVLLPSTTGQGLQISAQLTRRDGQIYYDISFENGTQSVLDGFMIQFNKNTFGLAAGEPLKVAPLQPGASARTLLQMVPFQNISPGAPNSLLQVAVKNNQQPVWYFNDKIPLHVFFVEDGKMERTSFLEGWKSLPDDHEFTKEFPGSVISSIDATVERLAASNVFFIAKRKNANMDVLYLSAKMPRGIPFLIEITAVVGVPGVKCAVKTANKEMVPLFFESMEALTK >Dexi9A01G0045950.1:cds pep primary_assembly:Fonio_CM05836:9A:49406250:49406893:-1 gene:Dexi9A01G0045950 transcript:Dexi9A01G0045950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPRRFPRTFRIQHLRGEAKVGGRGFANNALELSSNKGSLFRGGSSHVGGKRNSTSAGSPEEAGSDLEHKTGEKFKPGVHSAVKLCSGIGSLVISKCAHIFESRGDTFDGNCSLQDVLKPGLWLSPETLRRFWRVSELKPEDFLDILIGFGSSTAQVDVAAKQGVSTSAKVK >Dexi9A01G0035430.1:cds pep primary_assembly:Fonio_CM05836:9A:40102770:40104227:1 gene:Dexi9A01G0035430 transcript:Dexi9A01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQVLAQASSHSSPPATPQPPPPRLRQATRKQQEKDTQHREREPPPPPPPMAAVDENEDLIRAFAGMGGLGVDEAALVSAMGRWRMQPERRAQFRRSFHGFFSVSGGAAAGIERCEDEYLRHLEVEFARLKDAAVLWAMHPWERDARWAHHALHHHGGAPAGVALVEVACTRAADDLLGARRAYQALHHRSLEEDVAYLLKDPNAALLVGLVTAYRYEGERVSEELAAEEAKALAAAVVKASPAAKLVQNEQVVRILATRSKPQLRATFKIYKDLHGKPLEDDFVGEPCLQEAVRCLYSPPKYFAEVIGRAFMDDADRQAKAALTRVVVSRADVDMEEIKDAYAKQHGAKLVDAVASNTHGHYKDALLAMIGK >Dexi3B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:3B:1525649:1526369:-1 gene:Dexi3B01G0002170 transcript:Dexi3B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASPHGVHLAEVVVVRHGETSWNASRGQMDPELNEIGRQQATMESLGFKGDTSDPMDGWMRPEGLKPRRSTAAMTLEVSSKSSAFAASALSRSHLPPPA >Dexi6B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:6B:5331208:5332722:1 gene:Dexi6B01G0005780 transcript:Dexi6B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKWVGFGSAMAGFGLLWSRMPEHVHDEARYIISSLVPMLISYFNPYEQISIFEYGEERFRRNKMFDAGSTYLRSTCLDAASKLKAELGNRSRDDDPLISLDENQEVVDNFDGARIWWRLIPKSAKQRGPTVISFLPGDSNEQPRCYRLAFHKRHRKLVLDSYLPSVVRRWRELLTANRQRLLFTNYSREGKSYWIDVPYNPPAMFNMIAMDHDKKAEIIEDLTAFREAKEYHSKVGKAWKRGYLLRGPPGTGKSTMIGAMANLLGYDVYDLDLTSIKDNAELRKLFLDTTDRSIIVIEDIDAIEVELTTNRKGGKEASKENNNNHQLVIELSDKNKDCGKVTLSGLLGFVDGLWSACGSERIFVFTTNHVDRLDPALTRRGRMDRHIEMSYCRFDAFKMLAKSYLDITEHSLFGEIGQLLNEVDTTPADVADSLMPRGSKRNGGKIARLLDEMNGGPADLMLRVKRRREADDCLAGLVENLKKAKMKSATPPMEDAKEE >Dexi5A01G0031870.1:cds pep primary_assembly:Fonio_CM05836:5A:34462444:34464330:1 gene:Dexi5A01G0031870 transcript:Dexi5A01G0031870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFTCRWTPKALEPRALIFICHEKQENRSKKRFLYGFSMGGTVVLQVHRKDPLYWDGAVLLAPMCKIFDDMRPHPIVVSALKMIGTVAPSWRVIPATDMIDKVCKDPQFKKEIRSNPYMYKGNLALQTGRELLTASLDIEKNLHEVSLPFLVLHGTDDIVADPYGSKLLYERASSRDKTLKLYPGMWHVLMGERPEDVERVFSDVMSWLEDRVAAVQLPTPAERQSVMRQQ >Dexi4B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:4B:5803571:5805690:1 gene:Dexi4B01G0008000 transcript:Dexi4B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQVAIGGFRLPLSHSHTVHATPLPHSAPPGSGENKTRNSSSKRWQGGMVVALASPSPAAPSGGRPHPTYKEMIVQALTELQDPSGLSRRAIAKYIADHFSGLHSSHEALLSVHLRRLNSQGQLRLVSGNYFLSTEPSPPGQKRGRGRPPKPKAAPAPGTKHGRGRPRKNPDLVPSAPIPSFQGPKRGPGRPRKNALVPVASSASPLLGAIAAPPPPSGFKRGRGRPRKNALVLVVSSDSALPGAIALPPPSGVKRGRGRPRKNAPVPMASSASPLPGAIALPAPSGTKRGPGRPRKNALALVPFSSSQLERAIAPPPPYGVKRGRGRPQKNALALVPSSSSPLPIAIAPSPPSGIKRGRGRPRKNPYPVASQLLGVVSVSSTSVVGVKRGRGRPPKLVVTGERKRGRPSEQKMHTESPQSADAALTKRGPGRPRKEKPLESGDLRAAQMTEGQHEALPAQDASQAGVVQNEVEARSLQSCGSSLTEKGGRGRPRKRPLEAETAEPGAAALVVKRGRGRPRKKNPSAGRSTATGLTSSSGIKRGPGRPRKARPYESGFGGTSVEVSSDLTKGRSEKDENLSSEMKGETQGAIFVEAMDTQPADAEYVLVSRGEAASAPVDAIGAMPSVVLGKEASIAPMDAGAAMPGVDPIDSNVDTNSH >Dexi9A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:9A:8945891:8947146:-1 gene:Dexi9A01G0013740 transcript:Dexi9A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKRRADGLASVLAIGTANPPNCVRQEDYADYYFRVTKSEHLCDDLKDKLKRICHKSAISKRYFHHTEELLRSRPELTNRKSPSLDTRQDILATAVPELIAAAAAKAIAEWGRPATEITDPVVSSFSGAHMPGVDFHLANLLGLRPSVRRTMLYMNSCFGGSAAFRVAKDIAENNRGARVLVASADLTLVFFRAPDETHADAETLALFGDGAGAVVVGADPVSGERPEFEMVSASQTTMPERGHVAEGRICEDGFVYHPSKERPSLVRENIERCVAEALAPTPLVHPAGPAILDSVEAGLGLDPQKLEASRRVLREYGNMSGPSVIFVLSELRRQREEMNEMGVMIGLGPGLTVETMALRATGSP >Dexi3B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:3B:2443464:2444081:-1 gene:Dexi3B01G0003610 transcript:Dexi3B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSNTHQRTCCGGCCTLLISLGFVILIYWAIFQPHQIRATVDYAELSNLTVSNASSSPVTATYHVAFNLSLYNPSKRVNIYYDTLDAELLVRGAVLSPAAAAAASPTEFYQRRRTSQAVRLEFDAGKGVAVPGDVAPQLEAEVKAAAALGLELSVGVRVRYVFGSIKIRQKPRVWCEVSIPVPSSPGGLGVAGSGGPCWVKY >Dexi9B01G0049050.1:cds pep primary_assembly:Fonio_CM05836:9B:47874557:47875593:1 gene:Dexi9B01G0049050 transcript:Dexi9B01G0049050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAVSYVSAAQLVSMARDPRVAIIDVRDEERSYDGHIAGSHHYASDSFTERMPDLAQATAAKETLVFHCALSKVRGPSCAQMFHDYLSKAKEDSGIKNIMVLERGFNGWELSGRPICRCKDTPCKGVCS >Dexi5A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:5A:5782510:5786292:1 gene:Dexi5A01G0007800 transcript:Dexi5A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLQTLAGSPPRRARGLDRWRAREVDREERTAVQLASRARRDRGGGGHGGSGAREEGGEFEESSPWAPLDPRASREEEVELAAGELEVDSAGEEAAEAYGGGPPGMEREKADHGRQERGAVTPPCRGEGARRRGRGGGGGGGDVRARGDSGADEDLAEKTRRLDRSRKICRVSL >Dexi2B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:2B:2469599:2471035:1 gene:Dexi2B01G0002880 transcript:Dexi2B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLLTGGGGEEARGKRGRVKATADAPSPKRSRPPPATTTAALAVTAPPWAQPQPQPQHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIDKLPSLDAAAGFPSHPASALPAAGNKDQHHHHHQQQLATAADALLDADDDGHQQRSGCSSTSETSKGSVLSLSRSESRVKARERARERSATAAAVKDKDKDPAAADDATGRRAAAPTASAQAASFTELLTGMAAAAEHKPQQQQQSSAATSWQPMAVSATTADFIGFAQPRKPAGHAMAHSFVSPAPHLGNIAPIAMIPAQEFGLASGAVTVASGGGGGEQHAEMTQQFSFLQDHFMPVHAAPAASGTPAGDYNLNFSMSSGLPHISGGHHHHHHQQLQLQGLSTPLEDAPNIPFLFSPAAAAAVTAPTTAESQFAAFQLWNGFHHADMKGKGKN >Dexi2B01G0036320.1:cds pep primary_assembly:Fonio_CM05836:2B:43177625:43179196:1 gene:Dexi2B01G0036320 transcript:Dexi2B01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREARLPPPPLPLATLIGRELRAGGSERPTLRYGHAGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVRHHPSLPRMLAASFIISPLSLLSPARLWIWRPQVFDGHNGVSAAVYSKEHLLEHVISALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGGYIDKSLLLSFHLNQSITYSTSLLAGEVSGTTATLVVIDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQIKLSNAGGRLIIASDGIWDALSNEAAAKACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHLTSPQLSPKKNQNKLKSLFRRRSHSSVGKLGGKSASFGSVEELFEEGSAMLEERLRYLHSSWSISLS >Dexi5B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:5B:12547472:12549619:1 gene:Dexi5B01G0015370 transcript:Dexi5B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPATPSPVAAPSKGKLSAQNPSGHHTGATAPSGTPSKGKSAAAQAAAAGQGSSSHHHSAGGGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDLRKLHRATELLSMNEELKQARKAFDVDEETLATNAV >Dexi6A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:6A:3520203:3522168:-1 gene:Dexi6A01G0003790 transcript:Dexi6A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFSAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPPVMSTTTTTSSSVTTSSPPRSTAASDTAAALGHHLQTSPFATTAEHLQLDAIISQTLSLPPPKLAAGGEQSPPATAPLPLHCPFFMFDTSPPPSSLTSPVAQLHQHPFLTFTAAAMETPASYQLPPLVDGIGMGMTAMDCGGHDHHHQAGSNGGQAAVAGAAMANGGCYGQQQQQQKQEEQLGHEEQWDDESAQHLLMWDDDQELTPSNLEAMESGAHSLLFMGPNDHHHA >Dexi2B01G0020870.1:cds pep primary_assembly:Fonio_CM05836:2B:30865796:30866334:1 gene:Dexi2B01G0020870 transcript:Dexi2B01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADQGVGDDADLRPVAILERIDAAWEDWRRWKLTPCGVPNVVEIEDEETGHEVHPGHNGGAPRERIELVAMRDQDGMHHGVGEPRGADLRGGFGVVDGGLIHAEAYDAGSVPRPHRREGHSAAAVEQAAGGGTRGDLEDGVGGLLHSMLHHQQPPEARKRPSSSSLSERE >Dexi8B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:8B:9903437:9903650:-1 gene:Dexi8B01G0007860 transcript:Dexi8B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLSMSKAITIGGSFFLYAGIAVLAWVFFFTSLPETRGRTLEEMGKLFGMTDICKLKAPLRRGIMQ >Dexi4B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:4B:22524367:22525281:1 gene:Dexi4B01G0020320 transcript:Dexi4B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRNSEQLGSNADAMQVDQGEENTDGALHKTLLKLEISESFLASIRLMLAWDRSTFLQSKYNDTIKYEELKTAKNQLTSVKCQKKVSTDMRLLTLTVGNKKFIRSGDCNILAAFCYTTRLVVCKLCYKKLTRRVDIPFSDITSLLVCFGHKGLDTLRIEATSSPEYFCADNPPPGMFTIWDFDDTFPETK >DexiUA01G0016870.1:cds pep primary_assembly:Fonio_CM05836:UA:36038326:36040025:1 gene:DexiUA01G0016870 transcript:DexiUA01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASDPGQLRPFLPAVHLPLSPRTAILLLRARALHLQPLAARTQLECRSKFTLVPPPFPNSSNRDFPQIPISGHRSTPTSSDPFEPSPRATEHPNSSTESHWYSRAPPTLVTPWWPHRHCSVAGDVRASSGLPTATIRLVVSCSFFSPTSPTSSHRPKDVSSSSSFSQEGALADGTYNVVPVDEEEVPEGGADVVVIDPEPDSVLAQEGKPRSMT >Dexi9B01G0033870.1:cds pep primary_assembly:Fonio_CM05836:9B:35940924:35949205:1 gene:Dexi9B01G0033870 transcript:Dexi9B01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPEGEGPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFVRVTGVDRPYREDLLSKMDPDGNKVVKEVIFGPGEKTYRYCKHISKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFMELGIGELVSIYSELSELGKPPPVIDADDLQREPETFPFSFYDLLEQSLPFYNMLKRKVRRTIGSQQIPLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFRNVPTRDWIKDAIFKTLIANGMFDNAHIRLTLTRGKKVTSGMSPAFNLYGCALIVLAEWKPPVYDNSHGIKLITATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGTVLTPHADYCLPGITRATVMDLVVKENFVLHERRISLSEFHAADEVWSGQLEQWVKLHRL >Dexi5A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:5A:24402725:24403269:1 gene:Dexi5A01G0020580 transcript:Dexi5A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKPHLFTVNRWAPFHLLTTAASVFRDGPSGANASAAFFFKADTFFLAASPFSPSITSLTAASTSSRDTTTRPRPPASSSLGRSAIRARSDSITAFILCSANSGHATTGTPASTASSVEFHPQCDTNPPTARWFSTSTCGAHCGTHIPTPLVLSVNPSGKKLIGSSSSPWWSK >Dexi5B01G0034290.1:cds pep primary_assembly:Fonio_CM05836:5B:34515417:34516049:-1 gene:Dexi5B01G0034290 transcript:Dexi5B01G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGQRSRPWPGDPASTPSETTAAVAAAADARGEASTLKDFGTSMDAISFGFAATAILISLFLLLAIFEHLIKPRAFPPDSPDGGPRGAVRRHRHERSPGKLRSPPMVEVVLQAADLSVLMPGQRYPTYLAQPAPLPPSCPREGVHWPPHDHHASYMPP >Dexi1A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:1A:3296932:3297976:-1 gene:Dexi1A01G0004480 transcript:Dexi1A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHRSISLPSRPASKVEEELQILEACTSSSSMTIETTCDGLRRLGDIYSSIEGVMCLPSNQVCSSQQRKLLDGEMESSLQLLDLCNAMHEDFIELKAIVQDLQVSLRKGDDAAVQAKIQCYFRLVKKAKKHFKKAAKKVTSDKEDCRILRLLIEAREITTSLLESTVQLLAKQIAMPKSSVVLKAFQKKISVVCKEEQLQVLECNIKDLEDGAELLFRRLIQSRI >Dexi4A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:4A:23942187:23947326:-1 gene:Dexi4A01G0020160 transcript:Dexi4A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASVDVAEAARSVQLFKINAFTATKEKPGYTASRVCAVGGHYWRIEFHPKCSDWSHRYENDWIMLRVHQQRNQRGGGVASFSCQLIDPTSTSTGGDMEEKVTSSLFYENKSMDVFLMRSSDLEGSLRRFVKDDRILVKCAINVLESEDDAAARAASDAVDARPSVPSSDLHQQFGELLRSQKGADITFIVAGESIPAHRSVLAARSSVFMAELFGDMKEKASPCVEIKDIEVEVFRAMLHFVYTDTVPELDQKDEQATLMAQHLLEAADRYGLERLKRICLEKACTDISVDTVATTLALAEQHGCSELKSKCMKFIVACAENFDAVAATEGYKYLEASCPSVLTELVKHMVKGRQAPRFDLNSSTWCLGRMATKRSVGTLKEADLKGKKVFLRADLNVPLDDNQKITDDNRIRASVPTIKFLMDKGAKVILASHLGRPKGVTPKFSLKPLVPRLSELLGVNVVMANDCIGEEVQKLAASLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAQGYAVGKSLVEEDKLELANTLIEKAKSKGVALLLPTDVVVADKFAPDAESKIVPASSIPDGWMGLDIGPDSIKTFSQTLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLAELTAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >Dexi6B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:6B:929129:930701:1 gene:Dexi6B01G0001140 transcript:Dexi6B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMEELIERYKTASGEAALQGDGGGDHRMDPKQETMVLQQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKVVIIVEQSGLIDVGMMVADQQNGHFSTVPLIEEITNPLTILSGYSNCRGSEMGYSF >Dexi4A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:4A:110078:110395:-1 gene:Dexi4A01G0000150 transcript:Dexi4A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKPPQEVARPAAAVPVQLVPAAADHSAPASGVLVAVDVVVAALDPAPADLQKVVPALEMLLLLLLLLEHLLVVAALFLRTQREQATPLGSAVLGSPSSSWCAC >Dexi3A01G0001960.1:cds pep primary_assembly:Fonio_CM05836:3A:1329014:1334678:-1 gene:Dexi3A01G0001960 transcript:Dexi3A01G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDGHAATEQQSSTPLLEKMIAGCPGCAMDRRKAANPGIPYGSFIYVWIVTLCTASIMAGEEYHPGCPGCAYARRKDFLRGMPYREFLYVWMISLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVVFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLAMETLHKHKVRENENQSIEALEAPLIDSKEKVEESGSLDTKKSLFKNWPLMSSIIVYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFVYPRINKVLGPIKSSRIAAVTIITGTFILQNNAVPQNQRGAANGLSMTAMSFFKAVAPAGAGIV >DexiUA01G0021720.1:cds pep primary_assembly:Fonio_CM05836:UA:44945327:44951291:-1 gene:DexiUA01G0021720 transcript:DexiUA01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSADYSVAAGACLVSEGSAASDGTERGGGQPAAVRRHSRLFWNVPMPQVLPEPSEFFFFLLPKQCRYIKLLHFPTASAPRDKKQQINNQPALAKADQSRERERVVPLVRSLEKLLAAPPVAVAGGLRGYNTGVAQRRYEGGESEDDSVREYESRRGGRDYAAVPSLFSGSRHLRAMPDLRFSIGRLLSLVDDLAAAAPGRAGAMRRGWNAREDDEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEEGEDETVSPPRYSSRIELSPDVYRMDKIKAEMKNGVLKVVVPKVKEEQRKDVFQVNIE >Dexi1B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:1B:23622133:23622768:-1 gene:Dexi1B01G0017270 transcript:Dexi1B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISSPDRLEDPRRPFQYSNSSGSPSVSESGSDIYSKREVTQSTNLQSQLDAANRDLFESEREIQHLRKIIADHCVAEALSHEKPLQAGHWQLDATNGHSNGYANGSVDDADLHCVGIEKRKGEVERVEMLKKEVVELMEVIEGKDFVLQSYKEQKVELCSKIRELQEKLSAQVPNIL >Dexi5B01G0028840.1:cds pep primary_assembly:Fonio_CM05836:5B:30125961:30131075:1 gene:Dexi5B01G0028840 transcript:Dexi5B01G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGAGAPPSPGAAEQMFAALVGEKAYPISSEFWKQLLELPLTQQWPRDRVLQACHAFAQNNYQTKHLAKILIHLVWCLQECTSTTSVSSVVYRRAINAAYISSIFLKFIIENAKTDNWQELCLDIDKNEKGMEKFPAENTVEYFLMRGVLNYIGSVDVSPDSCYLHHEVLNLMLVLMSTQLCSGTSPEPKDVHPFIDAAMLQDSSIVVSVVQKLLLNVVTRPKFPTNGSHPVFSDDGRPGVLQRVGTAAANFVLLPYYTINYLVSSTPEGATSQLADNSLLVLLVMIHYRKCISTNESIPSNNIYTGSDANDKEAQVFHENPYCKALNNAKDIQYDRADVEGNAQDGPVVRLSFASLFDALGRCLNDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPAVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKSHKVISDQMEADNIADDMSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAVLHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKNG >Dexi2B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:2B:3019235:3020275:-1 gene:Dexi2B01G0003400 transcript:Dexi2B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSPASGVSGELPPPTHVVEDCLGFLQLLSDGTVKRAPAPLVLHNADDDTSAVRWKDVVYDETHNLSVRMYVPSSAIAGDETKLPVLVYFHGGGFCIGSFANPGFHAATLRLAAELPAVVLAADYRLAPEHRLPAALHDADTLFSWLRSQATLTGSGDPWLATAADFTNVFVSGDSAGANIAHHLAVRVGSGSLAAAPVRVAGCVLLWPYFGGEERTASETNCPSDVFLTLPLYDQMWRLALPATASRDHPVANPFGPESPDLSSVELPPVLVAVGGRDMLVDRIREYVARLNAMGNKSVELAEFEGKGHGFSIFEPDSEAAAELVRVVLRRFVHGGAAAAAS >DexiUA01G0006410.1:cds pep primary_assembly:Fonio_CM05836:UA:12357965:12358269:1 gene:DexiUA01G0006410 transcript:DexiUA01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSVVGSDGEIVKLACHCGAPDCRKRLY >Dexi5A01G0036730.1:cds pep primary_assembly:Fonio_CM05836:5A:38145083:38145533:-1 gene:Dexi5A01G0036730 transcript:Dexi5A01G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLTLVVLLLFSSAAAASSSDPQERGAVAPSRLGLVPVAPAEAELGAMALGFNDTRRRLEGSFQLCAPCKCCGGPGGSGACVLAPCCYAINCNIPNRPFGYCSFTPRSCDCLGCNI >Dexi1B01G0025900.1:cds pep primary_assembly:Fonio_CM05836:1B:30846719:30865529:-1 gene:Dexi1B01G0025900 transcript:Dexi1B01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFISDEELRHLGGDTAAVAERADAAIRELRRQVDTLRAEADAAAIAAEQTCALLEQRYATLSAEFDRSQAEAAELTAAAERRAAELAASQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIEQRDAEIKEKDGIIQSYYDKIVNLADSSASKEARIQEVEAKFTHCQAMCNRITQEKELLEKHNLWLDEELKAKVKSVAELRKTNMDEEAKMSARIAELEREISESSSSLRRSKERISELEQRVSYMEKELCSTKDAAAANEQRLSAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETLSRRDLEKEAANLKQKLEKCELDLENTRKSSELSLITLTSVAAGSTDLVDTTMQELNVSDQLNQNDLMLIPKVPSGVSGTALAASLLRDGWSLAKMYEKYQEATDALLHERRGRRHAETILERVLHEIEEKAELILDERAEHERMAEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDYSVAQKEIDDLEKQVAVLLKECQDIQLRCGSSLPNVGDGAFSANIGSALSNVENNIKDNMAFKDINGLVQQNVQLRNQVHMLSADLDKKDMELREGFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVESVPFCSPDSGRTDLMVLFEGSQEVSKKAYEQVSERARSLDEELTKLRAELVSLRSERDKAVLEADFARDRLNGFTAELEHQRKETNSISLRNAELMHLIVDYERRLREDSDSKQALEENSRKLSMEVSILKHEKEILEKSEKRASDEVRDLTQRVHRLQATIDTIHTTEEVQENARSMERRNHEEHIKRLERDWAELKKELQEQRDHVRVLALDKKNAFDSCMKQVEDMRKELQSSWKAASDAESRAAILEAKCSDLEAKLKSRKVIFRDGGREISATSEENDELFQLKEELEKYKEEAQANKNYMVQYKEIAHSNEVALKQLESAHQDYKAEAEVGRKALEDEIAKLRDKLSDMEKSYVMKCEEAASAIESKEKQITSLMNEISVLRTEVSQRLPQIESLEMELASSKSALGEQYKRWRTAQDNYERQVILQSETIQELTNTSKQLSSLQNEITILRQTADAQKAENDALRTIGEQEKIGLLKEKDDAVRKYNELNDQNRILHNQLEALHIRLAEKERSIAGLSSQRTDSHAEDDLHSVINYLRRSKEIAETEISLLKQEKSRLQIELESALNSTKEAQDLLRSQADSARTLMLKDEEFKSLQLQVREINLLRESNIQLREENKHNFEECQKFRDEAQKAKMESERLQSLLLEKELVENSKGIDLKTYEGMKNELQNIKSTLRENSMELESAKKLLLEKEVVIKNLEEKLAVCQSELDSREKKLNDVEASLKSEMDRLKKVNFSIRRKLDNLIKEKEEVIKENQSLVKQIEDIKSSQKTTSETTLEQAIKEKDFRIQVSTIGLQEKKQVEESIEKHRQAVKDVIENYPGLSSEVPTASALEEQILSYFRTAKDMEESSSPFRDAAVTQTPAVETAPVDAPASAAGRAVDTPPRPTKAKVMEDRAVATLPKPSTEARRPGGRRPLVRPTLERTEEPHADTDASAVDVTMVGQDKGGTSLERETYGSLPVLQSSSRKRLQTTDSASLGEANDSHPPSKKPKEEESSQGTSELKSGQPSVGDVAAQVGVLPSTDDQDGQQSMEEMDTDQASTPMEESEAIKDDDVGDKDDFGAHVDASLDTKGHDADVNIDIDAIPIEDVLPKSDAITESFDEDQKIEDSKEDAQLTTATDVDDEMEEGELPEESEQPLESALGETNTEPVSSDIGEQAGNAFRTSVAGGLAEKSDVDILEIEGDTVTEHAAVEPDQIPATQSGAADASPSRTAEASPAREPSPNPVQTGASSRPQNTTTTKEAREPSPNPAPAGGSSEQRNTSTVVEAAETRSRTINLSERARQNRQARFQRAQQPATARGRGQQSPAQRRLSFFCRKMLLAEDPGGVGGVVSRRDSEIGL >DexiUA01G0021280.1:cds pep primary_assembly:Fonio_CM05836:UA:44131372:44131794:-1 gene:DexiUA01G0021280 transcript:DexiUA01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTGDLRWRQGCLAVGLPPGPVLALSGIVTFFLFLTWQIDEYEKQLRLRTQAGFWVLLVLGLLALVVLAHHALFDSGGRLVVPMSWGQQLDGGYGGASDGGSGTSPWVVAAVVALLLVLASHKPSFQMFRPPFYHK >Dexi1A01G0008520.1:cds pep primary_assembly:Fonio_CM05836:1A:6636475:6641380:-1 gene:Dexi1A01G0008520 transcript:Dexi1A01G0008520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLFTFSSFHIVMSEAAPMEIELPNIHQATSSDHWSLASNQYPSGKFPKVSVGITIPRAGSISRGKDSTPAFDRNLSQGTDGISRPPKDNNASIRVSQETAKNDGSVKGVPEAVSDLKVPVSQPDDNTREQTGTFSFGTRREQGSSLDKLDTPEFISSQQKRNVESAGKSKPSSEMLRMKLWEILGGTSQNKQAVASSNPADFETPDQPKSQTVKAPSSGNKEVFTSPFPDNIKTPDPLNRQAVNFTKCKPSLDPIESDSDSPKVVEIKPVTRSLGRKKAPAASKQQNRGAKKPLSTFCSTPKKNMQGNVFIFNEKCTPKTVVKHAVGDSVSLRNLRSSNRKAKVEAQKMQYSDRISDKTTQDDKEGKLSSRNTLPDNKVDKAASFSSLSRTGKTAESCSRSPKRGRRVNAPLKVGPRKMQFSENLLAKAWNDEQTKLYSPHKISLKSKENDCSASPSKNSDRSPHGHTAAENNFNSPSGAANPSPEPKIYPWDHDGSPEIADKFGQKVASPWTDRFKDTPDEYPSPTLAPKVNPSPQISKGLDGELYTSKYPKSMDRSRSSSLASDPESESPDEMDKATELPGSESPASSEETENSKQPSLSPPCPTEDEGTKSSKPSFAKGYKSHKWLSDIDGSDKSPEHVGRKSNLNEDRKSKRQRHLSSSTRFATSGTQETVISEEEQEQCPENYLTRAFDQLIVVLGRFQTKIKSETSKKSSEILTATGEIIREHLEGVEVQMQADVDKLINLGKSKRKRLESTFEEQQEKLRILHEKFKEEVNKQLLDCRTSLEDFESYHAELKGVSDKQKSSHKKLLVNAEKTVGAQLNDAEVKIAEVQKRARKRMNGLKYVLKELIAETAD >Dexi3B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:3B:3092405:3094178:1 gene:Dexi3B01G0004540 transcript:Dexi3B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAATGAAHWAPPAPSSSSSSSSSSMVRVASWSCGSPAPRLVATTSIGRRPQGVVRAVAGLHTVLQLPLTVGNVESVLDKVRPHLIADGGDVALHEISGSVVRLKLQGACGTCPSSVTTMRMGIQRRLMENIPEISAVERVADKEMGLKLNEANVQKVLAEIRPYLAGTGGGELEFIKIVGPIVKVRLTGRAAGVKTVRVALNQKLREKIPSVAGIRVVS >Dexi3B01G0032320.1:cds pep primary_assembly:Fonio_CM05836:3B:34692492:34693597:-1 gene:Dexi3B01G0032320 transcript:Dexi3B01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRDEVRRAILDAGKELGFFQVMNHGVPEQLMLDMEVLAAEFFEMPEIDKAAYYSADINKANRLYSGTTYETGGERYWRDCLRLAYDFSVGDHVKDWPDKPQRLREIMEEYVVKARGVGMEILKMVCEGIGLRPDYFEGGISGGDVVFQMNHYPRCPDPSVAVGQPPHCDRNLITVLLPGPVPGLEVAYNGDWIKPRTSVATFIMPSGDCLIGPAEDFIDENNPPLYRSLTFAEFKRTYNVVKLGASLNLTTNLQKAQKEI >Dexi8A01G0017990.1:cds pep primary_assembly:Fonio_CM05836:8A:30173618:30177030:1 gene:Dexi8A01G0017990 transcript:Dexi8A01G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGAMGNIAPKLLQLLRDEYKLQKGLKEKVQSVSDELVHVNALLRKVAEVPWDQLDEQVKIWLDQLREKSYEMEDILDTFLVRVEGPVPSDKKDGKLKRKLKKKMDSLFSLFSKAKARHDIAGAIEDIMKQLKEVDERRARYKLDDVVAKAAATSRIDPRLVAMNIEVNKLIGIEKSSGELSNMLSPVGNDSTGKIKIVSVVGVGGLGKTTLAQAVYDKLNPTFDCKAFVPVGRDRDVKIVLRYILIDLDEKYMDVKYNILDERKLIKELQNFLRSNRYVIVIDDVWSTETWNIIRTAFVENDSGSRVIVTTRKREVASMAEEVYYLQPLSDDDSKMLLYTRLYGGEDKCPLNHPAEASEKILKKCGGVPLAVITMASMLVGKSTEDWYDMCKSFYGGNEAQQIHDTEWILSLSYYDLPLYLRTCLLYLSVYPEDYLIEKDALIWKWIAEGFVEMKTGTNLFQRGEEYFNQLINSSLIQGESSGRTGTIDSCRVHDMVLDLIRDLSKKVNFVTISNDDGEGTLQRNKQVRRLAHHNRPMKRTHEDDDMGTGKVRSLVVLGCKLDSWFLHPSFKLLRVLALERCSRASAEDWQGLRHLGNLLHLRYLGLRATRISELPEEIGKLKFLQILDLVGSRVQLLPSGVCQLTQLLCLRGDDNMRAPDGLLKKVTSLEQLCLNIDNLDDESKRQFMKDLGNLSQVRVLSIYGELRGGGMALQSELVQSLDNLQKLQHLQLVDYNFGRGDNTCKWVEWEDTVVLPRGLQVLNLCAVPFRRLPSCISPAHLQNLYMLWLRVEAIDEAGLRALGGLPELRELVLLARRSSIASTATVASINISGEGFFQKLRHCSLIDGWMVQLALNKEEEEDSKAGVVSVSFWNGNGAAPFGSRTKQQAGCRRSVEAVPPPMMPNLQYLFFEVPVRALYMDGNGGCDNLGLEFLPSLAKVYVYVDCTGATADDVEKAEADLRNAAQLHPNKFIPVIQRVQEHQMIAQSIDKGDEEDGTELTSL >Dexi5B01G0024890.1:cds pep primary_assembly:Fonio_CM05836:5B:26994121:26996556:1 gene:Dexi5B01G0024890 transcript:Dexi5B01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGRLRIFVVQEPVVAASCLIAGFGTLSSSSVVTPSARILERLFLPAVVRPILDSFETAKQAPQPALSDVCTFQVV >Dexi6B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:6B:16729853:16732305:1 gene:Dexi6B01G0010330 transcript:Dexi6B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSGSGAGAEMDALIRRLRLYQPGPSPYDPAPAAAPVGSGDRELFRPRRAAVLVCLFRGAAGELRVILTKRSSLLSTHSGEVSLPGGKAEEGDADDAATALRESQEEIGLDPALVTVVASLEHCLSKHLLVVVPVIGILLNKEAFIPVLNIAEVDEIFDVPLEMFLKDENRTSEEREKMGQTFTVHYFTYVNGNHKYLIWGLTARILIHAASVVFERPPDFPERRAHFNLPKYTKDCSSTTEGVGDFATRNGYRILGESKSYGVITI >Dexi9B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:9B:4680276:4683164:-1 gene:Dexi9B01G0007630 transcript:Dexi9B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVDASGGGERRPSEKELFRAAESGDASAFASLTPADLSLRNEDSRSLLHVVLALLEAGGEAAASLLNAKDEEGWAPIHSAASTGNAQIIDILLKRGADVELTTDAGRTALHYAASKGRLNIAEKLIEHGANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGAEVDAVDRTGQTPLMHAVVCENQGVALLLIRHGADVDVEDKEGYTVLGRASNSFRPALVDAAKAMLEG >Dexi4B01G0014890.1:cds pep primary_assembly:Fonio_CM05836:4B:16126737:16127727:-1 gene:Dexi4B01G0014890 transcript:Dexi4B01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLFGSNMLDPGLAENHAAAAGGPNRYLALHMRFEEDMVAYSLCEFGGGEEERRELQAYRETHFPMLATRLRNATVSPEEQRSLGRCPLTPEESGLILSALGYDRRTFIYVAGSQIYGGAPRLRPLTRLYPNLVTKEDILTADELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGFRIYHGRGRAPTLHPNRKRYAQVLSEEGSIAWGGFQRRVRQMVEEYKRVSPRPRGRSVYRQPRTPGCMCRAGGDGSVDF >Dexi1B01G0026290.1:cds pep primary_assembly:Fonio_CM05836:1B:31169003:31169498:-1 gene:Dexi1B01G0026290 transcript:Dexi1B01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSRGNISEDEINELISKLQALLPSSRRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >Dexi1A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:1A:6902873:6906133:1 gene:Dexi1A01G0008750 transcript:Dexi1A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVAGHLRLRLPLPTARHHNHHHLLRHRHPVAAPAAPLRLSLPRPTPTPLRLPAALPLRPYLPPLRASAAAAASPAPAEDASVPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEALFYAVIFPFIAFFGAFAYVLYPMRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNMRKNLGPGVDGWAISLKAMMSIVVILGLVITGIYWGVNRFVIDSSSVPVVERKKKDKPKLSLGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRMILRKFGWGVAATITPAVLLLTGVGFFSLILLGEPLTPLMTKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEREKMLKAKTVETTAQVVGTGNGSLQESLASDTSANGSAIKPSQETESTTPANGSADTDSTTPANGSAIKPPQETESTTPGISGHQPQ >Dexi4A01G0020610.1:cds pep primary_assembly:Fonio_CM05836:4A:24318267:24320007:-1 gene:Dexi4A01G0020610 transcript:Dexi4A01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYPVISYTVGLMQSSSIKNELFVVWASFLLLLLGSADTMTAFNFNDSSQQTRSMMNQGLHVIYLLLLILYYKGQLRYSFLISLFLLWVLSVVRLALRAWAYRTTCRSHGLVRENQIVHEYMEYEPLHSAGITGGTYDPKTMSGYIYLVDGKEEKKVQHGVEVIQVPYRVALKGQGPDYVEPTPFVVPRETVDVDRVWQCKGKLLGSSTNRGASKRRDLCLSFALFKLLRLRFAADHIGNVNLPFQRDKCRDFVVNGLLSDDKDLDRAFRVVEVELAFLFDFFYARYPSIKNSLAPDLIVYAAILATSIFTLFCPDLMRYQPAEGGTNVLIAGGLNLDLLITRLVILWYIFIESYQFLAHFIFSDWHKVKMLCRYVRNESWHNWTLVEIPLKVLCHFTFKKYWKGTIGQYFLLDNTHPHRFKSFLSWISLQSLDASLMTKSISLDDEVRHAVLRQIKKCRGEITDGRMSLDKLGVYSYDKDVLLGHTYARYILTWHVATSMCSYVLEDMENTSAELKKNHRIATKLSGYCMYLVAFQPELIPDSTYRSLSMARGTLQNARDYLADCKSNRDNWLS >Dexi2B01G0021830.1:cds pep primary_assembly:Fonio_CM05836:2B:31504128:31506479:1 gene:Dexi2B01G0021830 transcript:Dexi2B01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASQSPATSTVPLAIVKETSTCNHVNNKRGPYNGGDDGRPLVQVKHQKGSQTPLAETTKGGMGTGTGISPVSINYVRPDCHGPSSSEPGADHNLQSQRDVGIGTKSANAGLVSIHHHPPDNIIDQICPPIIQKHPEASTPFSHMEDTTNKGCGPSDKMSANAPAVQFSVMSDEGIDLVVDLNSTPASWAKNFMAEMCISPPSEPGNFSTFISSLACKDDNSTGSPSGNIIVDIHSKGAENIVPSTNSSLASDVGENSRSVPYPADTVTVNSVSSTSTLAGTPVELSGHQEGALVVSSSCLTADVQNNVTSGMMMAGALDNEVLPSESVDVSMQSEGIAVPLNDASMQPTGNKIMTSPGGVVRSVSNEDPCLKSSEKQTADVPARVQLPHSSNDIHETLMENEPVEALAVEEDVGCGDSLSIFCQLAGQTVAKLPITDAQSHASSADHRVAGSFDLAHPTSSSAASVILL >Dexi9B01G0034800.1:cds pep primary_assembly:Fonio_CM05836:9B:36670996:36674542:1 gene:Dexi9B01G0034800 transcript:Dexi9B01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRELTQVGDLPEVCLAHAIALTSPRDACRCAAVSPAFRAAADSDHVWRRFLPPVLQPGPKPAAGKDAYLRLCDAGAAVPVDGGNGMRVWWLDKASGAKCYMLSARALSLPWDDGEFSWRWTPHPLSRFRDVAELIECTSLDIYGRLPAAELTPATSYAAYLVYGVAEGHRGMSYPDQETTVALGGARAATAHCRGRRVSARQLI >Dexi1B01G0023620.1:cds pep primary_assembly:Fonio_CM05836:1B:29173558:29173847:1 gene:Dexi1B01G0023620 transcript:Dexi1B01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLGADYIDLYQIHWPDPYVPTLGETEYDPSYQYTSVPMEQQLEALGRAVDTGKVCHRGIVLLSI >Dexi6B01G0013800.1:cds pep primary_assembly:Fonio_CM05836:6B:21556108:21556782:1 gene:Dexi6B01G0013800 transcript:Dexi6B01G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTAALAILVALALSLAAQSARGGHLACEELAPEVCAFAVSSGGMRCVLERTPEGAPKCQTSPVVAGGAAAGWVESDACVRACGVDRAALGLPFVASADRRSLRALCSSACQDGCPNVVDLHATLAAAEGMSLPALCEAQRTSGNRRMMMGGMSPLGAPVSPPVVADAPVAAAAPCEEW >Dexi9B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:9B:2234743:2238159:-1 gene:Dexi9B01G0003880 transcript:Dexi9B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPKTQGGSNRRKKPKAPKANPYNVAYNRGAAPPARPGLVVLRDPTGRDLGAHYELGGELGRGEFGITYLCTELSTGARYACKSISKRKLRTPVDVEDVRREVDIMRHMPPHPNIVSLRAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLFANKKESSALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFRREPWPRVSEPAKDLVRRMLDPNPNTRFTAAQVLEHPWLHDSKKNPDIPLGDAVKARLQQFSAMNKLKKKALRVIAEHLSVEEAADIKNLFDGMDVNKNGKLTFEEFKAGLRKLGNQMPDSDLRILMDAADVDKNGTLDYAEFVTVSVHVRKIGNDEHIQKAFTYFDRNKSGYIEIEELKDALADELEGTTGEDIINGIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSIGAETR >Dexi6B01G0003350.1:cds pep primary_assembly:Fonio_CM05836:6B:2747546:2749055:1 gene:Dexi6B01G0003350 transcript:Dexi6B01G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFHVVVTDRSAAHRLHVGGAAAGAFSDRPPSVVPSAVLSRRRHYNINSAPYGPLWRAMRRNLTSELFHPSRLRLYAPARHRALGDLVADLDRQRSASSAAGGGVVPAAESLRAAMFGLMSTMCFGGGVDSELVKAMADAQDDLVQCFLGLRVFATLPAITGLIFRHRWRKLVDLRQQQEEMYLPLIDARRRDRRPDRSRDGEPPAYVDTLVDLRVPDEHAIGDGRSSDRRMKRRRKLNDGELVGLCSEFVGAGTEPAAAVLQWIMANLVKRPDEIDAAVDADAGEVGDEVVARLQYLNAVIMEGLRLHPTVPMVFRQVMAGDHVVVDGRRLPAGTAVLFPLDILAQDKTAWADPLELRPERFMTGGEGEGVSLVAAAGSAGEMRMMPFGTGRLHLAYFVANLVREFEWMEADGDHAVDLRPHVGFFTVMQRPLRARLVRRRRQANPLGWD >Dexi2B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:2B:5871792:5872508:-1 gene:Dexi2B01G0006270 transcript:Dexi2B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKALHTLGVVNITRGKAILQDIKRLTRLHKLAVTGIHKKNCQEFCSTLAHLTCLESLSVRSSQLEEGLHGCLDSLRSTPKNLRSLKLCGALYKLPEWIAGLHNLVKMRLWFTELTEVEGTIQVLGKLPNLAVLNLQYGSFKAKEPCCFATSRETLFPSLTVLVLGYEPGIGSVEFEGGTAPKLELLYFGHSTSFSGLSSLPSLKEVQVDKDLYNEDMKDVRAQLAMNPNKPVLKFV >Dexi6B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:6B:16149805:16152030:-1 gene:Dexi6B01G0010020 transcript:Dexi6B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLTILLLILTQISLTTSSPPADPVSCITNGTSSSGCTISNAYASFPDRRVCRAAKATYPRTEQELVAAVAAAVKAKRKTKAATRYSHSFTKVACPGGSDGVIISTRWLNQTVRIDAKKGLVTVESGVVLRDLIRAAAAAGLSMPNTPYWYGLTIGGLLGTGAHGSSLWGKGGAVHEYVVGLRIVTPAPESHGFAMVRELGVGDPDFNAARVSLGVLGIISQVTLALQPLFKRSVTFVDRDESHLAEKVAVWGNLHEFGDITWLPHERKVIYREDDRVNVSSPGNGLFDSLGFVPYSASGLVAARAQDELVQEKGNDTTLCSSAQGAAALQENQAYGYRNYGNSFAGYPVVGYQDHMQASGACLDIPENDTQHVCYWDPRIRGPFFYNTGLSVPLSNAPAFVADLQRLRDLNPQAFCALGSSGVLMRYVKASKAYLGKPVDSLTIDIDYYRSRTAGVPRLHADVVDEIEQMALRKYGGVPHWGKNRNFAFDGAIARYPNAGKFREVKHRYDPDGIFSSEWSDQVLGIKGSPSIVGKGCAMEGLCVCSDDSHCLAEKGYRCRPGKVYTKARVCARS >Dexi9A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:9A:5627004:5630058:-1 gene:Dexi9A01G0009360 transcript:Dexi9A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAVCPRLAVAATGATRTVWVAWPWSGVREPAPLHSVGGRARVGLACAIEVAFSVLGTAKVWCCADVSWPCELRLPWRNRMVQKPSRAARSCLRRPIRARPATSASLGPTATATMAAPSSAAPAPRHSCAKLSVAVEDPKAASAGGGAVFVRATWLPTRFSLAVTDGAGAWVADASEAEVRLRAEQWDQPVGEYLALAERYLAFQQPDSTYSFHDAGKGNRREEVVRKTQSFDRLKQEADKCLQQSERFNTEKAEFEQATFLKFVAVLNSKKAKLRQLRDKVAELESANKPPKEEEEENSTDRTELFEEGSDEEASINDEPSETGSGDLHSSPEKSAGISRGRGRGHKRAKK >Dexi3A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:3A:17022981:17024280:-1 gene:Dexi3A01G0021400 transcript:Dexi3A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRILGLSATVSGRLARGLSTATSHPPWALIYRTELVKKSPAPGASIQLGVPPCASTLFVPDHLVDLRPIPDPDSDIIFLQCGGVITTSGDGLLLLDFRDGTVTAPFVDTPDGGRGRRLQGFDLDPDAMRFVCNPLSGQVFRLPDIDGTKKTSCCRNVGILTQSAQGHGHGHGTPDRYAVAELREDLHAKERSFVMRRFLSQTGEWEKLVDLPSPLPLARPMNIYHQVLASAGRLWWVDLSWGAISADPFSDRPELRFVQLPSASMLPVPCTKAERIAARRVEEGFRRMGVSEGRLRYVELSQKMPFLLSSFALDEGSNCWTLEHQMKLGKLCGERADPPPEEQTPQIGVVHPLNANIMHITIGNRVLALDMETEMVLGQSLYEPAGSVVVY >Dexi9B01G0024110.1:cds pep primary_assembly:Fonio_CM05836:9B:19789062:19795598:1 gene:Dexi9B01G0024110 transcript:Dexi9B01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSPPENRFPHPTATAFVPATPAMDSPPPLPQLNSLPPVNWDALDALVLDFARSDRLVVPPHAATADAADAGCPSPPSSPSSSTTTATSSAPSSSSSSSSTYRSRLLILRARRALEAGDVDGALALLHAHAPAALADHRLLFHLHKQRFVELVRRGTEADKAAALHCLRTALAPCALDAYPEAYEEFKHIMLVLIYDKDDQFSPVVNEWSIKRRFELAGLLSSILRAHLQAYDPILSMTLRYLISIHKIYCTRQGISSPISDLTERLLFEDRDPPVVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMKLDLTLLDKLVHEYCIYRGIVEGSFHVLPGGGDLKCSQNNDVNNGTQLECAMADNQNGECSTSEITRDDSWSKRLRRVRSNTSGQRRRKRWRGRVDDLDYACEALLDANKHDSLSPALDMDEDTVVEQQVNFDVEANSNTSATRNMEDQKYEVVLEMQDLTRKGMASKVVEEISSVDPDFFQQNPILLFQLKQVEFLKLVAGGDHIAALKVASTHLGPLAANNQALLKPLKETLVTLIKPNEDVLNGVSLPVLASSLQVAMSRRLGIEEPQLMKIVRAAIHTHTEWFKLQMCKDRFEHFLKIDSLKEVDPPVGSCSMSKALTDECGNGSSQITTCSSGKVPDEGSSPPVSSEVACDENAILKVMEFIALPRADAIQLLMQYNGNAETVIQQIFQ >Dexi1A01G0012680.1:cds pep primary_assembly:Fonio_CM05836:1A:12780646:12783500:1 gene:Dexi1A01G0012680 transcript:Dexi1A01G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVASKWSDTAMLVIDMQKEFVDPATSSLALLAGKAILPAVTEAVEHARGRGIFVVWVVREHDSDGRDVELLRRRFYSGGKGPAMKGSKGAELADGLVIKEQDYKLVKTRFSSFFATNLDSVLKTSGIKNLVVVGKFF >Dexi7A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:7A:24410507:24411559:1 gene:Dexi7A01G0014470 transcript:Dexi7A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGDSDGFTLGFFPEHLLDGVGCGGVPVDSTGVTDDVTFTELQPQPELQPMSSSQFLPAPPQQGHVDLTHEHVGAFQAQDLSPAVMIKFGRNAQSSSPTRPQRALTITVPQSSSFAWASEHVTTAPAPPPPAVAVAVAVDDDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRLRGAKAILNFPNEVGTRGADLWTPPPPAPATKQMAAPANNKRKRQQQEESDSDVEVIAVVNKAVKIETLSSSSSSSIQVSSEASRSSMSWGESTATVSSTVTTTEAGGAGGDYCWLPVTPSGGSCEQYWEALLGSLPPLSPLSPHPAMGFPQLTVN >Dexi3B01G0020660.1:cds pep primary_assembly:Fonio_CM05836:3B:15626673:15627067:1 gene:Dexi3B01G0020660 transcript:Dexi3B01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHGERQARMLGDVRDAPAGRENDLEAIELARFAVAEHNSKTNAMLEFERLVKVKQQVVAGTMHHFTVEVKEAGGAKKLYEAKVWEKLWENFKQLQSFEPVAAA >Dexi3B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:3B:15366881:15370305:-1 gene:Dexi3B01G0020410 transcript:Dexi3B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSDVGRLGASKVGTASSWSSLIRPLLPTACAPRLLFSPETGKVSTKFSPFLALSDSVRLDLWIWRGSIASSREFVLGANRSRIVVSCSGGPVPTSPRFVVGVRERCSTARRAPPPSRRPLRRTPCSASYDTPRFLRAHDAALQLGFGPDKPPPVAVSPRLAFLRQPPLHHLPRIIRW >Dexi8B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:8B:23696931:23700824:-1 gene:Dexi8B01G0013440 transcript:Dexi8B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHQPANNLLLLCLRKYLLLLAILAATVTYIAGLNPPGGVWLETSDGHLTGNQVLVVTYHARYNAFSYSNATAFMASAVVILLLLLAVKDKHTTTKDGNNDTVFVALRVVLALDMLALLVAYATGASRDTATTAVASALASPILLYVITHMVMDSPLSSCLYRMVMCKEKPIIREEDSACRSCTTNVQCSPCVALKRRRKVLMLLAIFATTITYTAGLNPPGGFWPETQEGHRAGDPAMEERHWRRFIVFFVFNTSALVASLGVIMLLLTKQFSEKWLKNEQAEYPQYLCIAVALLGLAGAYAAGTCRKTDSTTYVVFIYLCTLGLLCFAWDKLQRDGEKDNPKTTHKQTNENAEDDDGLRTARSLVLLLATLAATVTYQAGLNPPGGFWPDDRDGHKGGDPILLAKHATRYRVFFYCNSTALAASLVVIFMIQKNCLSKKNCASKTNNNLSLRALEAVMILDLIGLIGAYAAGCCRDVSTSIYVIAVAGAVLVYVVFHLVFFTRHIKNLHEKTPTVESKRKLLLMLAILAATLTYQAGLTPPGGFWLEDDEDLGNRAGDPVLLSNYPRRYMAFFYLNATSFMASVALTVLLVNPNLYRAAINCHSLHVCAVAGLFSLMGAYTAGSSRNVRTSIYMIALVGLVFTFITLVLTIFLYLPKLKDLEIWKRILCGCKSKQAGNGNTGTQDIEAGENVMGAKETSLPQSNETGGTVSSSGNHGAAEEERRNPCGCAPKDDSNGRDKEVKPPIDTNGTEADIDDATRRLDAADGTSKGASLPGPNEGGGARSSSGNKGSDDTIEPHTERRYLVLLAILAASVTYQAGLVPPGGFWPDNKDGHAAGNPVLHDSNHHRYYIFFYCNSTSFATSIAVIALLILELIHMENKDDGQRTLLIHVAHYMMLLDLVGLLGAYASGSSREWETSGYIVAVVAVVLFYIAIYITLPSRKKIFRFAAC >Dexi7B01G0022680.1:cds pep primary_assembly:Fonio_CM05836:7B:27541301:27543679:1 gene:Dexi7B01G0022680 transcript:Dexi7B01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSGKPEEVAAYQSSEAKQARLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRVTAVKLDNTSFGSMYGTTTA >DexiUA01G0001280.1:cds pep primary_assembly:Fonio_CM05836:UA:3696616:3697065:-1 gene:DexiUA01G0001280 transcript:DexiUA01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGRRREKKAERHEHHLLGLLLLHGGFRRICSGAPGRRAAAAPLRRREQQLPHPLDPALYHRFPELGAPRPHQNHLALLFEPQPLHGRESLIPRPLARHGRRERSSSEAASWSRDNKGEEEEEEVVVVVRAQGLGGERRRRRRRRRA >DexiUA01G0000620.1:cds pep primary_assembly:Fonio_CM05836:UA:2299802:2300275:1 gene:DexiUA01G0000620 transcript:DexiUA01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCIVIFASMSFAPRLQAAAPDFKLKRPQACSRRATPGRTPALDVTRGCCVLAANCSTCSNGGGAGKRWAELGGGGKRRAMAAAQARDGRRRRGSALGFRGYRGCCSPADLEEGLGQRAARQRRWSLGGGGGGQEAVGAGGGGRPVGKAGGGGKERP >Dexi5B01G0020790.1:cds pep primary_assembly:Fonio_CM05836:5B:23018136:23024787:-1 gene:Dexi5B01G0020790 transcript:Dexi5B01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENAAAAAAAAAGPGSYKRGLAPAAQPLRAGAARRVRPRSRLARFLLFDKVDYLQWIVPAVAFFFVAIVFVAFLPGSGVVERPRLLLPSRRSGQGGGDHPSPPRVDVGLVERGAAVAFEPTRLREKWARERREEAQSLEELGTPVRRLGVRKSRLAMVFGDLYPSAMQLQMVSVASVLEAMGYEMKVFSLEDGPCGNIWRAIGVPVIILPEDTSLPNFVDWLDYDGILVNSIDARPVFSSLLHEPFKSVPVVWTVHEYSLAHRIKEYNASGMIQIIDAWKEVFTRANVVVFPNYILPVMYAAFDSGNYFVIPGSPSEVFQADSFIAKPYYQDARISLGLSPKDFLIAIVGTPFSYGENLVEEVLVLQAVSPLLQHYRSENSMESELKVKIFSGNITEKYRMVLESVALGFGFSRGAVEHVAAGDKDKLLGAADLVIYGSCLEEQSFPSVLVQAMSLEKLVIAPDLAIIKKHIDDGINGLLFPRKNIGMLSQVLLRAVLNGKVSVSGQKTASVGKAYAKNLMAPETIEGYAMLLENVIKFPTDVLSPLTAGEIPLALKQEWKWHLFENVKHLHPINESMPGYKILQKLEQEWHNNLMERPPVSTSKISEAFSAIAWEEQRENEVMDIKRKMEEDELKDRSDQLHGTWEEVYRNVKRVERLKNELHERDDKELERTGQLLCIYEPFFGEGTWPFLHRSSLYRGVGLSSKGRRPGADDIDASSRLPLLNNVYYRDILGEFGAFFALANRTDRIHKNSWIGFQSWRVTARKANLSNDAESAILEAIQSQKHGDAFYFWVRMDQNPRNHANKDFWSFCDAINAGNCRFFHLTVFYFWTTGPCSFATELIYSTLLPRRMFVDALDAQMYDKHHQTGHCILSLHKDQHCYSRVLELIVNVWAFHSARQMVYVNPETGAMQEQHQLSGRRGQMSVQLFSYVTLKSMDEELAEEFDSDHPERRWLWPQTGEVFWQGLYERERTMRLQEKERRKQQSREKIQRIKNRARQKTLGKYIKPPPEDGGGSNHTMTVDL >Dexi8A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:8A:981805:983196:1 gene:Dexi8A01G0001360 transcript:Dexi8A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATTTSSLVLFSTAPRRSSPPKSLPPSPSLLLRPLCKYSCAVSSAKQQHLVCLAVPSLHSKRRGSSLRCSSSLADGTSTLGSSVRWVLDPAGDGDWRHIGYKVERPGAIEIASDVMTVGRVADKADIVLPIATVSGTHARLEKKDRRLLVTDLGSTNGTYINERRLNPGFPIPIEPGALLIFGDIHLAMFRVRKMIVQEVPSETDDAAQQGANTEVVVSAAAEDTTS >Dexi4A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:4A:4815889:4817069:-1 gene:Dexi4A01G0006700 transcript:Dexi4A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAYIKNPSPSSRTISLIVLLPLALLCFTFLLLPLSAYLRNPLSTATFTSGSACAGVGTAAGVAVDTQRRRAELSVLVGVHTMPGKHSRRHLIRMAYALQQQTAALRPSAAAAAAAVRVDVRFVLCARPMPPEHRAFVALEARAYGDVLVLDCAESAEQGKTYTYFSSLPAMLRSGSNSGGGARPYDYVMKVDDDTFLQLDAMVETLRAAPWEDMYWGVGLPFQNRESPPFMLGMGYLMSWDLVEWIATSDMVRREAMGVEDLTTGKWLNMGNKAKNRVNIFPRMYDYKSAKAEDFLENTIGVHQLKQDLRWAHTLDHFNLTRLEPSSKLHNF >Dexi3A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:3A:2311861:2312220:1 gene:Dexi3A01G0003520 transcript:Dexi3A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGSGVRSGRLELQLNLSPPAAVGMDVDGGAHDDSDSSSPSSCVSSDGRSSSGGSPGSKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPCLVDLLHGEAGGGAEADGDKKRGKRK >Dexi5B01G0025930.1:cds pep primary_assembly:Fonio_CM05836:5B:27835930:27836753:-1 gene:Dexi5B01G0025930 transcript:Dexi5B01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSRALLAGAVLVAAALLLPARHAMATADDKARAPASANDNATPPSASGYDSAKPPTPADKGAPSPAAYDAKPPSSPGDMAVPPSPYDSSAPAPLLPLVSPPPPPPLPFVIVEGVIYCKSCRGKGYNTGIDASPLPGATAMMVCYGRKVVNATGTVTDDNGYFLIMFYDMQNFSAKTCKMYLVSSPTPQCNKPYYPPNQWIGLSLVRESRTIPPAGLQGIYTPTSVLFFAPAAKGQCPY >Dexi3B01G0026230.1:cds pep primary_assembly:Fonio_CM05836:3B:21169707:21172878:-1 gene:Dexi3B01G0026230 transcript:Dexi3B01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWPRSVLTPRAVVPVSVARSSLFSTQHRQATADLAIHPGIKIKESAAQLIGRTPMVYLNKVLEGCSARIAAKLEFLQPSFSLKDRAAISMLEDAEKKGLITPGKLVLTDPTKGMSGTVMKAAKLYEKHPNAYMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNEVGFKPDILDMDIMEKVLEVKSVDAIKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIATVLPSLGERYLSSALFDELRKEAEAMEPLPVD >Dexi9B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:9B:10297811:10298866:1 gene:Dexi9B01G0015200 transcript:Dexi9B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNAKTSTQSITITDPAARYLPAASRRRCSDHSDLPELTVQVPAVELPGPPRQHQRHERPQQRHPGDDQERLPEAPHTDAGEVTSRLPSLPPRLVVVHPPGDAHVEDVRPHGARHGAKVVERRVVLEPKHLGDDGEQQRPLRAEAEPEDHRRRVEPVADAEGDQRVADAGEEEHHGEGERARHLVAGEHVLRGEAGDHAPRMLTRDTNVEMVPCGYPSDCPIWPMLSTAVSVPPMPQMVATNRTSMSTLNRAWRIV >Dexi7B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:7B:18198594:18203122:1 gene:Dexi7B01G0011040 transcript:Dexi7B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGGKEKASFLQLVRYADARDRCLMALGALGSFGDGMMQPLSMLVLGDIVNSYGGAGNAGSAFSSAAVDKFALRLLYVAVAVGACAFLEGLCWTKTAERQASRMRRLYLEAVLRQPVEFFDASAPSSQATTFRVISTISDDADTIQDFLAEKLPNVLANMTLFFGGLAVAFVFAWHLALAGLPFTLLFVVPSVVLGKRLAAAAGEARAAYEEAGGVAEQAVSSIRTVASYRGERQTLERFGRALSRSTALGIKQGLIKGAVIGSMGVIYAVWSFLSWIGSILVIHFHAPGGHVFVASICIVLAGMSIMMALPNLRYFVDAATAAARMREMIDKLQPLEAGGKKGATKENIRGQIVFRNVHFSYPSRPDTRVLDGVNLTIAEGATVGLVGGSGSGKSTIISLLQRFYSPDSGEILLDGHDIGALNAEWLRSQIGLVSQEPVLFATSIRENILFGNETASLKQIVAAAKMANAHDFITKLPHGYETNVGQFGTQLSGGQKQRIAIARALIRDPKILLLDEATSALDSESERAVQEALDRASMGRTTVVVAHRLSTIRKADMIAVLDAGRVVERGTHDELVGMGAGAGGVYARMAQLQKASVARPEERQRVVEVESWESHRVSFRSVEIMSVPSDFHPSPVPSFQSIERSANMEEDEPAGHDTVRGRKPSQLRLLKMNRPEWKQAVLGCAGAIVFGAVLPLYSYSLGSLPEVYFLGDDHLIRSKTRLYSLVFFGIAIVCITANIVQHYNFAVMGERLTERIRGQMLAKILSFEVGWFDADENSSAAVSARLATQATKVRSLVGDRMCLLVQAGANATLGFSLALAVSWRLAIVMVAMQPLIIASFYFKKVLMTSMSKKAKKAQVQGSQLAGEAVVNHRTITAFSSQRRMLRLYEAAQEGPRKDNQVQSWISGSCLSLCQFSNTGSMALALWYGGRLMARGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAKGGDAVRAVLDTLDRQPLITDNGADDEVSKETNKKKRKQKPQQEIKGEIEFRNVHFSYPTRPEVAVLDGFSLEIGAGKTVALVGPSGSGKSTVIALIERFYDVQKGTVLIDGRDIRGYSLAHLRSHIALVSQEPTLFSGTIRDNIAYGDEHATEEEVTNAAMLANAHEFISGMEGGYDARVGERGAQLSGGQRQRIALARAILKNAKVLLLDEATSALDTVSERLVQDAIDRMLQGRTCVVVAHRLSTVQKSDVIAVVKNGKVVERGRHGELIAAGRGGMYYNLIKLQHGRSPGLSPM >Dexi7A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:7A:15752298:15757839:1 gene:Dexi7A01G0004800 transcript:Dexi7A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSMKGTKDLDLLANGDLTEIGERGINMSGGQKQRIQIARSLYEDADIYLFDDPFSAVDAHTGSQIFQDCVMGILKDKTVLYVTHQVEFLPAADLILLMQDGKIVQKGNFDQLLQQNIGFESIVGAYGQALESVMNAESSSRISSYKQKSEYSEDELNAECETDDQLQGMAMQESVHEVSEDTNEKGKLVKDEEREKGGIDKKVYWAYLRTAHGGVFVPVVIGAQLFFQVFQASNDQSVLDQEIASGLGWCMFAAIQILGTICVMSQMARAVFAIFIPVMVVCILYQIVIIGNHEFKEALIADSHYPWCIFDEIAESLHVMYILQRYQRPAATELTRLTQIQRAPILHHFAESLLRASSIRAYGQKDRFTKANLDLFNNFSRASFHKFASTGWLSLRLNMLSGLVFAVSLGLLVSLPEALLNPGIAGLVVTYALDLNDELTSMIWSISKIENKMAAGYLVGAGDQAGAGRLEGADADLAGVVAAVGLPGAAPASSMENVASVEGLAENGENWSVGQRQLFCLGRVLLKRSNILVLDEATASVDSSTDAIIKEIIHREFGDCTVLTIAHRIHTVIDNDLILVLSEGRIVEYDTPSKLLMNDNSEFSKLVKEYSRRYQRFGGSSKPLKQGATSTA >Dexi8B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:8B:22195056:22198333:-1 gene:Dexi8B01G0012850 transcript:Dexi8B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTRSKKDKEVDNMPDWQENKLPDYLEVQRTRVVCNADAPIHTQGFQYSGAFATMGVDNSVSVDKFCKNFKIDIKRLTEDDMEFDMIGVDASIANAFRRILIAEVPTMAIEKIFMADNTSVIADEVLSHRLGLIPLDADPRLFYYISENDVPNERNTIVYKLHVSCEKGSQRITVKSGELEWLPEVFKDITIARLGPGQAIELEAHAIKGIGKVHAKWSPVATAWYRMLPEVVFLKQIEGDEAEELVKKCPVNVFDIEDLGNGGKRAVVAKPRACTLCRECVMGPSGEKNPTKANVLIRGVGYCFSLLVTIESTGALPPEVLFTEAVKILEEKCEKVISELS >Dexi4B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:4B:6683762:6685561:-1 gene:Dexi4B01G0009340 transcript:Dexi4B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MPPPPPSTAAAAAAAAQKLESLLPRLATLSHHKQFHARLLTSGHLLSHPHLRARFLDRLALSPHGAALPYALLLLRSLPSPATNDLNAALRGLAASPQPARSLLLLAGRLLPAPAPPRPRLDALSLSFALKAAARCSEALATLQLHATLVRLGVAADVRLMTTLLDSYAKCGDLPSARKVFDEMSVRDVATWNALLAGLAQGTEPNLALALFHRLVGSFRELLPREEPNEVTIVAALSACAQLGALRDGLTVHQFARTIGVEDNVRVCNALIDMYSKCGSLTRALEVFHSIRLEDPTLVSYNATIQVLSTHGHGADALKLFDEMPAWIEPDEVTYLAVLGGCNHAGLVDDGRRVFDSMRVPPNMKHYGTIVDILGRAGHLDEAHDMIMSMPFPADIVLWQTMLGAAKMHGNVELAELAATKLAELGSNVDGDYVLLSNVYASKARWADVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHPRWREIYRSLDDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAYGLISTPPGETIRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHRFENGQCSCRDYW >Dexi2A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:2A:32839492:32841503:1 gene:Dexi2A01G0020700 transcript:Dexi2A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSSFLMQTALFDIVHPESKSDEEGEVPDPPKAVRPTRATPVKKRPEVDQAQKRREEIAALQEQVSGLQTKLLEKEEALRSAENLISRSSAATEAVEGLRHQLSEKESLIENAGSELHGTKIMLAEKQAAIEKLEWQAKVSNTKMEELQVDVASMDTEVSALMKLFRKITENDRAPSPRDRTDDLSLEWEPIQLNDEDGDIDVEKMEQEMSAYISALAAAKENPTDEFLEAVTEARLRLQAFVL >Dexi5A01G0031600.1:cds pep primary_assembly:Fonio_CM05836:5A:34212816:34215110:-1 gene:Dexi5A01G0031600 transcript:Dexi5A01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQQGKAASQAWRAVVGWIGFLLQVLLQILRGTPSCSQLLSFVGFRYPLLSGPAASEPSPEVAFMPLRSEIPADVAPTPVAPPEPLGRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECMSSDKDVEGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFRLRLYRPSTVTTEFREHVKDLSCVSKDFCRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMTVIFPLLKHLSLQKDVRPVLHERFHMPEWFQKHGIPQTDQAV >DexiUA01G0019390.1:cds pep primary_assembly:Fonio_CM05836:UA:40387487:40389194:-1 gene:DexiUA01G0019390 transcript:DexiUA01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDSESSRQKNKHKELHREVLGGIVTANGFFTGAVFLSITGTITPRSGIPSNCTAGDDITLKLFLFQICSLGFYLLSSLIATATKLVIVYLEKDSVAGENITYMPNSSSGMPLTTEGPWSQEHHQWWSSSVGLGPQQTLQEQEEEVGSWRTVSKEHRHIGTVQKMAHWLRPLMVSSVGFSAVGSFFMLLSMVNMVQIKLGLLSCGSTLVVITVLDKLELVEKDITLLNLQRPLECLVPEGKS >Dexi1A01G0028360.1:cds pep primary_assembly:Fonio_CM05836:1A:34020322:34020780:1 gene:Dexi1A01G0028360 transcript:Dexi1A01G0028360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSGRRGWSPFDAIRSFPSTPESLMSQIDAAIASTEYARACALLDPAPAPASASASSQSPPQPTPEGQGEGGPASPPPCYDPKIADEAYRAACGALGAGRPDAAVRSLRVALASCPPEKTAAVAKVRSMLAIASAQLHKQQHQAQQSRK >DexiUA01G0010460.1:cds pep primary_assembly:Fonio_CM05836:UA:20805318:20805836:-1 gene:DexiUA01G0010460 transcript:DexiUA01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSAANASTNELRRWNPELAHQRGRELLPNGRGNRDLDASSPGSHPTRASACRVLGSGDLAHGVACICTGCSTSPELAVVDPACPPHQRETSDASPGWHLLTRASESGGAATSARRVGERGAAISAQGVGVRGASATTSAQVVGETTASRGLWAEKVRHRGVDGSEKMGI >Dexi8B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:8B:17153057:17153425:-1 gene:Dexi8B01G0009620 transcript:Dexi8B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRTWRRLLHRAGLFLRGELEAMELEEEAGMVDLRRHHLHRRPTSCSSYLRTPWLCSSSSRRPRRRRGDLPCPPSIELDAPPRVDSAGEEWGRDKGDGCRLGIPSWLLGRGAMAGEPQGR >Dexi9A01G0041750.1:cds pep primary_assembly:Fonio_CM05836:9A:45330914:45333664:-1 gene:Dexi9A01G0041750 transcript:Dexi9A01G0041750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALLAVAALCCGPAAAALPRLEHYTAKNDGSLSLLVVGDWGRQGTHNQSRVAEQMGRIGEKLDIDFVISTGDNFYDNGLKGVNDRAFEESFTAIYTAQSLQKPWYLVLGNHDYRGDVLAQLSPVLRKTDSRFICMRSFIVQAAEIVDFFFVDTTPFEKKYWTHPGKHHYDWRGVAPRGKYIANLLKDLDEAMKQSTARWKIVVGHHTMRSVSDHGDTKELLKLLLPVLEENGADFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNKDKLRFFYDGQGFMSLQLNQDQAQFIFYDVSGNILYKWSSSNTGHPQPSTNLDDEE >Dexi4A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:4A:20457627:20460605:-1 gene:Dexi4A01G0016750 transcript:Dexi4A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPASLAAPRALSLLPTPRVSSGRWNSLSSVSVAARLVTGAVSVSVVTAQRRMVAAAAATEMAPAASGEEGSKPFVDEMRAVAMKLHTKDQAREGEKEPQAPPVAKWEPSVEGYLRFLVDSRLVFQTLEDIVERAAVPWYAEFRNTGLERSEALKKDLEWFKDQGHTIPEPSAPGTTYASLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYRWEGNLSELLQNVRNKLNQVASSWSREEKNHCLEETEKSFAYSGELLRHIFT >Dexi5A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:5A:21642699:21644202:-1 gene:Dexi5A01G0018120 transcript:Dexi5A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSRDWAGLVVDILECISGRRTDPKDFVRFRAVCPQWRDSIPITYARFAPWILNNAEVHGPGDIQLYSLGTGKIHKKHVPALEGKTTRIAGLGAGLLIGIDCDDELSAVLVNPLTGDSNALPRLPEWCQCHRDYLIHGFVMDPKVTGEKDVFVVIYGYRWPVGDERLGHIALWRLGDADGWATIPSQRFWPMMPQYMSRLSKHGPRMLEEKMATIIE >Dexi3B01G0021520.1:cds pep primary_assembly:Fonio_CM05836:3B:16403256:16403849:-1 gene:Dexi3B01G0021520 transcript:Dexi3B01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRKPPERFRRRV >Dexi3A01G0018430.1:cds pep primary_assembly:Fonio_CM05836:3A:14071179:14072023:-1 gene:Dexi3A01G0018430 transcript:Dexi3A01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPWLLLICLAAAAAAGVLQARAQPDSIGFISIDCGLPGTASYVDDVTKLLYVPDGAFTDAGSNHNISAEYMTPTLSKRYYNVRSFPDGARNCYTLRSLVAGLKYIVRAAFMYCNYDGLARLPVFDLYLGVNFWGTVNVSSANGVVILEAIVVVPGDFVQVCLLNTGSGTPFISVLDLRPLKSTLYPQVNATRGLVLLDRWNFGPTDATDLVR >Dexi2A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:2A:1664716:1665458:-1 gene:Dexi2A01G0002170 transcript:Dexi2A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLELISNVIGEILLRLPPDEPEHLFRAALVCKPWLRVVCDPDFRRRYSAFHGPPPLLGLLHSLQVMQGSPPDRFASTTSMPDFPRPSSHGPHACPLDCRHGRVLIQMWGGVGETRIVYLVWDPITGDRRVVPMPDIDWLICSAAVSCAAANGCSHLDCHDGPFLYLLPRRGAVTGGGVYFTLRRGHDIIKYDLRNDCLSLISAP >Dexi9B01G0021610.1:cds pep primary_assembly:Fonio_CM05836:9B:16353859:16355904:1 gene:Dexi9B01G0021610 transcript:Dexi9B01G0021610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFRFASWEREGDERRRGPSTTTTTGRSLSARSNSSTTTSTTDHDVRRSASECCGSSLNASELSSAGSFSRCRQLSSSSQRPHKALRVFTFQELRTATRGFSRAHMLGEGGFGCVYRGTVRGVAVDEPRRSVVDQFFYFCQIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLYELLTGRRPVDRNRPRGEQNLVDWVKPYSSDAKKLETVIDPRLQGNYSIKSAAQLASVANKCLVRHARYRPKMSEVLEMVQKIVSSSELGAPEHPLISNSEELVSDEKKKGLDLKRRIADIKAGEGRWFAWQRWTPKLVRTQ >Dexi9A01G0021550.1:cds pep primary_assembly:Fonio_CM05836:9A:16404652:16409823:-1 gene:Dexi9A01G0021550 transcript:Dexi9A01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPHGPTGSRFRTGPFRVDHSLLHEGTTSLAVSHYPHTHTSRSRPTSPRQQPMAQRKRAAEEWERRKRGRRRRRSREGQGEGEEPGKRQVGTVGWKGDPMEALGEEVMGRVMELLDARSVARCTAVSPAWRGVAADDRLWAPKSRITKEDLCDHAWEYRFTIAAPEYFRNLDPSWKHTGPPMRRYFHPDGYLSADPDDAVWGGHECTYTVITSFVGNGRIREHYVRINRWPPLKVSRKDDWSWELSNHLYCYNSIPDADKKRCTGPLFPVW >Dexi7B01G0003570.1:cds pep primary_assembly:Fonio_CM05836:7B:8551875:8553662:1 gene:Dexi7B01G0003570 transcript:Dexi7B01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGSGMLTPRFARQVFLGRWFMVFASVMILSASGATFIFGMYSKVLKTSLGYDQRTLNTLAFFKDLGYNVGILAGLINEVTPPWIGLSIGAALNMFGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFTNTCALVTCVKNFPESRGIVLGLLKSFTGLSGAIFAQLYLAIYGDHAKSLVLLIAWLPVAVSILFGHAIRIMPYPRSSPSNSTTSTNRAFYRFLYISIALSGFLLVMIVVQQRISFSHAAYAGSGAAVFLLVFLPLVVVVKQEYKILKEHEESLQEPPTLVVEEPAVLQMVDQEQKTTIETDLEPSSSSSCSPVSCLKHMFSPPARGEDYTILQALVSIDMLLLFLATICGAGGTLTAIDNMGQIGQSLGYPAKTINTFVSLISIWNYAGRIVSGFTSEILLSRYKFPRTLMVTMVLLLSCVGHLLIAFGVPQSLYLASVLVGFCFGALWPLVYAIISEVFGLKYYSTLYNFGTVASPIGAYLLNVRVSGYFYDVEAAKQHGGTLAGVDKTCMGVQCFKKSFLIVTAVTVFGLLVSLVLVWRTRKFYKGDIYARFRDTSASAKVIPAGDSVTDEREPRKEDE >Dexi1B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:1B:26344476:26346553:-1 gene:Dexi1B01G0020230 transcript:Dexi1B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVAEWWEAAAAAIAAYTGMTPAAFFTAVAVAAAALYLAVSGLLARPAQAASTRRREAEEERETGPLPPPVQIGEVTEEELRAYDGSDPKRPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFESSDLTSDTSDLGPFEAEALQEWEYKFKSKYVTVGTIKKTIPVTTVTTERDIDASILESNHVPEPKETGATNRSVVGKATEMPVVDVDTSSHEDIVENAKELLDSDTTHASSQADAVEKPDETPNVAAKNSSAEEAVETKEIPGAVVTNSSSIEKVEPKETHQLVDGKNLCNPEDATEEPNEAADAVGLNTTTSHEDVRSDQDGEEKLKDTSDVEANNV >Dexi1B01G0028640.1:cds pep primary_assembly:Fonio_CM05836:1B:33111159:33111380:-1 gene:Dexi1B01G0028640 transcript:Dexi1B01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPAEMELEAMCPAPHRAPRSRRPALLFSPLLLAPAEAPARRRADGGSNVGNGRTDTSGGSSSNSPQLDGA >Dexi9A01G0027040.1:cds pep primary_assembly:Fonio_CM05836:9A:31380595:31382816:-1 gene:Dexi9A01G0027040 transcript:Dexi9A01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHVEEAGEVGVCWGTVADNLPDPGSVVQLLKRNSITMVRIYDTNSSVINALANTDIKLMVALPNELVANAASSPSYALQWARHNVAKYYPSTHINGIAVGNEVFDSASNLNQQLVPAMRNVYAALQTLGLDGAIKVSTPVAFSALKVSWPPSQAVFRDDIPQSVMANLVSFLRQTSAPFSINVYPFRAYLDDPQHISLEYWTFQPNDGVADPNTGRRYYSLYDAQLDALRYAIGGVSPAGASRLRASLAQGTGAAVDVQLSCTECGCSCFKFRGKHCPCPKFANGLISYTLAASSSSAAARRSSKYAASLLGSTGGGLAASAYIFSLFNEDNKPGDESEREFGLFYPNMQPVYDVDFVHGSGPVSPTPAPASSWCVANAAVGDARLQAALDWACGHGADCSAIQPGARCFSPDTKAAHATYAFNDYYQRNGQASSACDFNGAGTIVYQQPSEFLASWCVANTAAGDARLQAALDWACGHGADCTAIQPGGRCFSPDTKAAHATYAFNNYYQRNSQASSACNFNGAGSIVYQQPNICDPNQQASWCVARGDVGDARLQAALDWACGHGADCSAIQRGGRCFDPDTKVSHASYAFNDYYQRNGRAASACDFSGAGSVVYQAPSEFTLMILMMLMR >Dexi8A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:8A:20695531:20699212:1 gene:Dexi8A01G0011700 transcript:Dexi8A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYNSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAVRSANLSPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQSEPLPGEETVPESNHSNENIPQSAQPLVEAPAPTAAPATSSKVEEANNDHQSDWPMPDAIGNIGVGPSSFGHLTVQVDEDEDYDNED >Dexi9B01G0025850.1:cds pep primary_assembly:Fonio_CM05836:9B:27262481:27262888:-1 gene:Dexi9B01G0025850 transcript:Dexi9B01G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLPTNDGNGSDVPVHAIMHDATTIHYGKFILVVDGNWFHGPMYAILHDATTIRYGGPVLADDGNVFHGPVLAVLHDATGIDHRRLTLPSNNVATITMGYAAAVLFAENYDPRNDVTAMPLWLHVPHDADATV >Dexi7A01G0018560.1:cds pep primary_assembly:Fonio_CM05836:7A:27927362:27927738:1 gene:Dexi7A01G0018560 transcript:Dexi7A01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDLRSTIKKWNVIYPVYLNSKKTGAASSKACPDPTCIEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSP >Dexi3B01G0034550.1:cds pep primary_assembly:Fonio_CM05836:3B:37287033:37287743:1 gene:Dexi3B01G0034550 transcript:Dexi3B01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDGGQRLWDLSRRAASFLRMARLALTGAPAHMVTEEEVIGGKCYRSVSTVEDNWESDELWLDEDESELLVDDNDDDDDVSRRTRGVSENSTHQFIGPLSKKPQFVGRRPVITASAAALRPEDDDAAVAESSEPLVPRGAAKRGNDAETVHHPFGCDRRGSESSSLLLVSS >Dexi8A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:8A:2347466:2348206:1 gene:Dexi8A01G0003280 transcript:Dexi8A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPGLLPTPPKCAMLPLLPAAAPCSVIVLPSSPPKPSRADAAGRWDAHKIKRTGSPASTSTSSSSSDAFDGKSSSTVRASSSCNGSLNSDKKNLKKRTSLASSSNSRADSEERWDAHKKPPAASPASSSSSSSASSRSSKTKTCRISKRLHNNSRASSSSAAERWDAHKKPPGDELDDGESSSTGSNDVELGMLMPQKPTAPRSLCYAGPGFITSPEPSMIPLPSFLIRVA >Dexi2B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:2B:25616682:25619622:-1 gene:Dexi2B01G0015560 transcript:Dexi2B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNAIAVVIAVLLLAPLLALASRRRARRRNPPGPSVALPIIGHLHLFKKPLHRTLARLAARHGAVFQLRFGSRRVAVVSSARAAEECLSTHDVAFANRPRLPSGGILSYDWTTMGTASYGPYWRHARRIAVTEILSVLRVHQFADVHEREARATARGLYHRAAAAAGGDGGGGRARVELKSRLFELLMNAMMGMMCARRYYGGGGGGGGGGDGEEGEVSEEARWFREMVEETMELSGGASTVWDFLPAWARWLDFGGVGRRMWRLREGRTRFLQGLIDGQRKEMEKGVPARRTMIGVLLTLQNEDPDACPDHLIRTLCISSLEAGTSTSVDTVEWAMSLLLNNPVVLKKAREEIDSCIGQPVRLIEATDLPKLHYLRCIIMETFRLYPPAPLLVPHESSTDCTVDGFHIPKGTMLLVNTFAIHRDPELWDEPERFIPERFENGKSTEGKMFIPFGMGRRRCPAEHLGMQMVGLALGTMIQCFDWERVGDDLVDMAEGSGLTLPKEVPLEALYEPRASVIHLLSGIQ >Dexi7B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:7B:13733347:13735433:1 gene:Dexi7B01G0006130 transcript:Dexi7B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGEKMILVARKGRLRQRYDGEYRLVAGCVPYRVGAGGGQPELLMVSTPNRDDLVFPKGGWEDDEDVHEAACREALEEAGVKGTINRTALGMWVFRSKSSPVSGDSPRGACKGYIFALEVTEELQQWPEQGTHGRQWVSPADAYRLCRYDWMREAMSALLDRLAEAKPAAPEQEEERSGGVYMMVKAAAGDHRAVALC >Dexi7A01G0010540.1:cds pep primary_assembly:Fonio_CM05836:7A:21307010:21308494:1 gene:Dexi7A01G0010540 transcript:Dexi7A01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAERIATPLAGQAAVHCPDVGALAGSLANLPQIPPLTLRQYADEHRPHSPPPMYSPPHAGGSDSEGSLLSDVSASPPRARSPPPPPPPKPRPIPKHTAAAAAKSNRRPKPKPKANPKPAAPACAAAAASPPILPTVALSDPHGLASRIAVAPALVAATSTVSSSSFRRLVQSRNPSFHPVTAFSAPAPAPTPAPAPTPDEIPTAAVAAQCPAPDAPPPRARPKRVHPNSVSEVPAAAAEAELPKRPRGGGEGNFVRLNINGYGRKRTFRNSHARRPTKYRSWRRQRAGGVKPQGCGEEEGDFVAEALMERENKGAVGDVGVLKAVEAAREDPSEQNLESLLRLAYGYESFREGQLEAIQKVVAGESTMLVLPTGAGKSLCYQGT >Dexi3B01G0025520.1:cds pep primary_assembly:Fonio_CM05836:3B:20240824:20243245:1 gene:Dexi3B01G0025520 transcript:Dexi3B01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETMLEDDVFFAELSKRISLLITDDDEGADFAAAAHFIPSAPLPGFASLGAHVPPRHQQQQQQQLAPPAYTLYHHGASYGGDSAARAAAVTWQQQQQFGSKGTGVFIPRSTPGAAHPKKKGKNRGAKAARAAVQAGANALAAGAPAKKR >Dexi1A01G0012810.1:cds pep primary_assembly:Fonio_CM05836:1A:14291305:14294110:1 gene:Dexi1A01G0012810 transcript:Dexi1A01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPNGIGRSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANNRKKNNSDSKSDEIPKIYVPTNPKGAERLPPGIVVSETDLYPRRLWGDPSEDLTSEPRYLVTFTVGIGQKANINAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVMKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVKKHGLEISQPGLQPDRGLTWQMTKRRGDQEVHKFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGRAPWEGVRARCRKEWGIFQTRLADAEKAYYLERGITPPNSTVV >Dexi9A01G0042870.1:cds pep primary_assembly:Fonio_CM05836:9A:46355216:46359657:1 gene:Dexi9A01G0042870 transcript:Dexi9A01G0042870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAAKCVAFVESVALVLLVVPSCRGLLVVAKPPINPYDIPAPYDMQGYLSEWKNPTDLGAQRLRPSACGCRVRACGLSSSPEDAPQHLAFPPSSTSFHSLLPSPHPPSDRARTATVALFSKAECESTARRFPAPFPVGWPVFFGRMAEQFYTVASDSETTGEDKSQPTFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGGGAQESAHEERDILSGSAIFNMKRLIGRMDTDEVVQASKTLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNVVLTIPVAFSRFQQTRIERACAMAGLHVLRSMPEPTAVALLYAQQQQQQMQDNMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGCTVGGEDILQNIMCHVLPNFDTLYDRHTADRIKSMGLLRMATQDAIHKLAYQETVEINVDLGNGQKVSKVLDHSEFEQVNRAIFEKCEKIINQCLVDAKLDPENINDVILVGGCSKIPRIRSLVLGLCKTEVSYKNIDALEAAVSGAAMEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGDNFAAIIPRNTTVPARRDMLFTTTHDNQTEALIAVYEGEGDRAEDNHLLGYFKIAGIPPAPKGSVEISVCMDIDASNVLRVFTGVVKPQGPAIPPFIEVRMPTLDDGHGWCGQALAKMYGKTLDLAVLPKKLQP >Dexi3B01G0027920.1:cds pep primary_assembly:Fonio_CM05836:3B:23727433:23727753:1 gene:Dexi3B01G0027920 transcript:Dexi3B01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPATTVVVHVVLMLLSSTVLEAGRQLPGHELKWEPPIVYPAPIWQPPIIYPAPIWQPPIIYPGIPPHMETNNLSSVNEQAPTELRDEDPANESSEPVKAVERMV >Dexi1A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:1A:5060430:5061731:1 gene:Dexi1A01G0006600 transcript:Dexi1A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDDGDIIVGEAEGTTRCRRTIHDFLGEGEDDGEAASSPPPSSPETPPWLRLPRFTCATIRFGRLGRKRGGGRKEATEAEAASSVGSSSGSSKQVEGASSTRSAAAQTGMGLSMLLLLARTCVELNRMAEVRAQMEALLKEIRDEASRVKQGAAAGHVVVAPEACNNNLQASTTTTTASSSCVSDMSTNCPEETRRGEGDKRASKNIEVCDGMDALELEAELGTPEPDEQRQQAEAEWWKCDTNDEQETPECSMQSSDDEFIELEGGRFVGGGGESHPDNSGDDGGWSSREREEGGVSAIELERRLHELRHRRDRERIVALEAALRRAERRLTEKEMEARLWQDTATLALGQPAPRGGQ >Dexi9B01G0023930.1:cds pep primary_assembly:Fonio_CM05836:9B:19366873:19367895:-1 gene:Dexi9B01G0023930 transcript:Dexi9B01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADLIPAARPDRRSLVSPTCAAKNKRPWSGHDDDEFEFEAAFEEFNGDSEEDDAFADDIDNDANEQEVLAAPFGFRPSSPLFREGRHGKKTSRLEAKRRRPGRSYRGVRQRAWGKWAAEIRDPVRGVRVWLGTFPTAHSAARAYDAAARRLRGAKAKLNFPSSSPPPPDHKTTRHRAIIANATAVTTWPPPATANYATFSRPAAATGVVGVAAGATETPAPVVGTQPWPVPRSGGYASTEQPEVFDPYDFFFYGELSAYLGCDDDQAFVPLESLLTGGGVAAEEHGEMALWNYFGDGGSLCF >Dexi5B01G0017020.1:cds pep primary_assembly:Fonio_CM05836:5B:18015597:18018466:1 gene:Dexi5B01G0017020 transcript:Dexi5B01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNNGACGASAPARAANQAVSLREEASGRTPVDEASLLRVQHLQRLAAWAGPEAGVGPVGALLGRRLAASAEAIGVPLGAATFLCQRCETVLKPGFNCRVRIRNKRNKAKRRKKSNCCQNSISYACHFCGDQNLILGSGKGVVKSLLPSREHRILTGNTRTRGTKKLLEHSQAASLQVDSPSRWRQSTSERGEHGERLKCNILMNCKMEEHAALSTVKPGHLIASTSEQDITRVTEIINDEQMHETEPISREKVNIYEAHCTSQTELPVGLTFVTPQKKKLLESTDTKDSAELLKTESKASKQRENPGSVTSNTLSSSSKSAPNGFGKNSKCASSDSAQVSGSSRKRARKGWTTLKQIAEKDELERKEKMGNFVIPFFMQ >Dexi1A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:1A:9097876:9099365:1 gene:Dexi1A01G0010570 transcript:Dexi1A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTSSGAAAASSPRPHVVLLASPGIGHLIPLAELARRLVAHHGLAATLVTFTDPSENSASAILSSLRAAEVATVSLDDLPIDAAFKVDFADQIRRSIPHLRALLHSISFSSGAPLAALVPDFFCYEALHLADELGVPGYIFYPTSLAAHVVMRRHVAVNGAATAAGEYHDLPDPLRLSDHVSLRRADIPGGFQDRTNPRFALLLDMGRRYRAAAGFLMESTNADEVKQDDDTLPPAYMVGPLVRLSDSDEDGGAAACLALEWLDHQPTGSVVYVSFGSGGSLSVEQMAELAAGIEDSGHRFLWVVRMPSLKGPYGMEMESNNEGKDKLQDPLVWLPDGFMERTNGRGLVVPSWAPQVRVLSHRATAAFVSHCGWNSAQESMAAGVPMIAWPMYAEQRMNAAILSENVGVALRLRERPDDGLIPREEIATALREVMEGEKGRAMRGRARDVQEAAAQAWAPEGSSRRKLEELAGEWKATALGRQKH >Dexi5A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:5A:1804510:1806111:-1 gene:Dexi5A01G0002630 transcript:Dexi5A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHREREETQKPSENGARSRQTTIEDTDAYEFPKQSKKQASVDCASTAPPKTIDTDLPARRSHQEMDGMELDADERKTRVCVRRIRRFLWRREEECEVIFHGCGVPPTTRALFIPVQPSRWDHVAMVVTVVPPLWLAILIVRVDMCVVLFSGTFWPPLLDQALLSSDKKGVGRGVDWSTLGSLPCDRPTAAGVSHSQERCPVVAGKATREIDPPARGPTCVSSLAAQQTR >Dexi6A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:6A:10683999:10684477:1 gene:Dexi6A01G0009310 transcript:Dexi6A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLLDAICERMNYFLCTEGTYIIREGDPLKVMTFIFRGQLESCTTDGGRVDFFNSIILKPGDFCGEELLTWALLPSSVDRTITEFEGFSLQADDLKFDDAFQMPAAHFPVPLTPMESMGSQVHSVCMEEAQEPRDGRKRIEQ >Dexi4A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:4A:17204542:17207846:1 gene:Dexi4A01G0014760 transcript:Dexi4A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHFAGLGCFPASSASAAAAAASGTTCLLRRYTPNFCAFAALRPARPASPAAAAAAAANPLASPADHEEHPAHQQAVPCRDGDPPALSSAPPPAPAPLRVGIVGFGNFGQFIAGGIQRQGHTVLAASRSDYSAYCADRGIRFFRSVDALCEEQPDVVLICSSILSTEGVVRAIPFHKLRPDTIVADVLSVKQFPRNLLLEILPPSFGIICTHPMFGPESGKHGWSKLPFVYDKVRVTEDGDQVAKCDQFLSIFEQEGCRMVEMSCTEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGYESLMQLTQNTVSDSFDLYYGLFMYNVNATEQLDNLERAFERPFTNVIV >Dexi2B01G0030160.1:cds pep primary_assembly:Fonio_CM05836:2B:38400153:38401282:-1 gene:Dexi2B01G0030160 transcript:Dexi2B01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAAAAAGGLGRTPTWKERENNKRRERRRRAIAAKIFTGLRTLGNYKLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPGMMSPCSSSQLLSAPSSSYPSPIPSYHASPVSSGFASPTRLDHSSGSNANHPGAAAAAATSLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTAASRPPTKVRKPDWDATAVVDPFRHPFFAVSAPASPTRARRREHPDTIPECDESDVCSTVDSGRWISFQMSAAATAPASPTYNLVNAGGGGASASNSMELDGMAAGEIGRGGPAEFEFDKGRVVTPWEGERIHEVAAEELELTLGVGAK >DexiUA01G0011300.1:cds pep primary_assembly:Fonio_CM05836:UA:22770321:22770847:-1 gene:DexiUA01G0011300 transcript:DexiUA01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQESEFDKLVDSTIPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSR >Dexi7A01G0021960.1:cds pep primary_assembly:Fonio_CM05836:7A:30419743:30421701:-1 gene:Dexi7A01G0021960 transcript:Dexi7A01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTNTKAPHNRMEMRVLLGSRLGSRKPQRQSKDPAAQVQEARLELLELLLTAQLQPKAQAQPRLFCSFLKRVATQDVKIGSETPAAGDGAGEAARKRPGSGDGPAPAPADKRRRPEPSSSSSGSRDRHGRRPSSAAEEKVRASHILIKHEGSRRKASWRDPEGVAISATIRDDAADLARALREQIVSGERKFEDVAAENSDCNSAKRGGDLGSFGRGKMQKAFEKATYALKVGEISDVVDTESGVHIIKRTG >Dexi4A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:4A:8908886:8912425:-1 gene:Dexi4A01G0011030 transcript:Dexi4A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAAALGLPALMATALLLSTAFFPSASAASSYPARIAGKLVTATASAVAKRLWSLTSAATKTAASTAVAGRSMVRYEGGYAVDTVFDGSKLGIEPHAVEVTPAGDLLVLDSINSNIYRVQLPLSRYSRPKLLAGSPEGLSGHVDGRLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSSRGGHIDGPSDDAKFSTDFEIQYISSSCSLMVIDRGNQAIREIPLHDDDCAYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMASRTDESQIRPRPTIASIPPYQKPLNPYVCPPLLPREDEAAKQETEEGFFTSVGKLIGGAKSSMADIFGAKKKRLNSQYHQQQRRANPWPVQDSYAIPHDERPPPLDTRAPTPQKNYAFMTKEPEKIHNVRHGRPYFNGWDGHHHHLQQQQQPEQQLNHQQQHLQQHRQYSTGPQTFYEQSCEATNEIVFGAVQEVDSKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNSNNY >Dexi3A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:3A:9738385:9738834:1 gene:Dexi3A01G0013390 transcript:Dexi3A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDDDAAVPGWASRLLQLRRVIRKTPVQCLIVASHLALSFYGVSEEPRRLQLMGYVALCDAVAVALTLFARWLDASTPEDVRSWKWWMKLAARMLASLVMDVPNWLAKSSTMPTTLLGSPSPPRCPPLCSSYSYGGDMDTVARGGRA >Dexi3B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:3B:1985386:1986233:-1 gene:Dexi3B01G0003010 transcript:Dexi3B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVRFKEGVVVDDVLKGMTDLVAEMGMVKYFEWGQDVLNQEMLTQGFTHVFSLTFATADDLTAYMGHERHAAFAPTFMAALEKVLVIDFPVVIAKPPPTAAP >Dexi4B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:4B:8464104:8464691:-1 gene:Dexi4B01G0011040 transcript:Dexi4B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAPSLERHQTSITIEEEKGATPPRHSTSGAPGATLHRRHPRRQRSSVNGGHKHPHRQISQRGSHIKPWMPRIWPTSTAHDLPLAETSEARGGGGDGLAGHTSFRRPAPTVAW >Dexi2A01G0022740.1:cds pep primary_assembly:Fonio_CM05836:2A:34546248:34547551:1 gene:Dexi2A01G0022740 transcript:Dexi2A01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFRAAVTFNGETFEGPSGCTTLRQAEHAAAEVALARLSLRGPSTSLAARVLDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVFASTVELAGMSFAGEPARTKKQAEKNAAMAAWSSLKQMPEARKEPGAGAGDEQEHVVVARVLAALKPRDDKAAAPLAKHCGTGGSSSPSALPSPSLYRHQWRPRNAPAAQPPPRQTTAGPRILPPLHLLQQQPAASSSTRDAAAAAAELVRMLERAMVRDRAAAVEAAMAPSPACYYAPAPAAYHHGGGAPPRSFAAAGGLHAPAVSVRSVIPVCAAPPPRPPAVGKEERNGPATTSSDAAGKRM >Dexi9B01G0040580.1:cds pep primary_assembly:Fonio_CM05836:9B:41117496:41118307:-1 gene:Dexi9B01G0040580 transcript:Dexi9B01G0040580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFAIANRLSPAARLPVRAWRATRPAPGLASSGTGRARSLAVASAAQENRDNTAVDVQVSQNGGNRQQGNAVQRRQRRAAPLDVSPFGLVDPMSPMRTMRQMLDTMDRLFDDAVGFPMATRRSPASTGEMRMPWDIMEDEKEVKMRFDMPGLTRDEVKAMVEDDTLVIRGEHKKEEGATEGAEGSSGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >Dexi5B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:5B:9409580:9410677:1 gene:Dexi5B01G0013250 transcript:Dexi5B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHKSREEHARSAAQKAADELAAASRRDDDHQVSPRSGGGGGILSGVQESARSVMGAVRGTFSGASAADKTAASSAMESAKDYAAEKKEGARLALAGDAVGRKGETDESAWQQGQDVRRRAAEKAQEERRRTHEPSEEEKGRAATENIYGKAMGAMGAFGEKMVMPTDVVEQKRAEAAGAGREAAAPAAGDGGDEAEEDVMLRVKAADQMTGQAFNDVGPMGGEGTGMPRRR >Dexi9A01G0047320.1:cds pep primary_assembly:Fonio_CM05836:9A:50464315:50465883:-1 gene:Dexi9A01G0047320 transcript:Dexi9A01G0047320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSKSAWKGGGARWRRAVGANWLEKAAESVLPPLRWLVILAVIAWALFIYFSMLSATEEVEVSDGGDDTTDSADPCHGRYIYVHDDLPPRFNADIIRDCNKTEDHWPDMCGHVSNAGLGRPLTDGDLTGEAGWYGTHQFALDAIFHNRMKQYECLTNDSDVANAVFVPFYAGFDFARYHWGYDNATRDAASLDLSEWLMARPQWQRMGGRDHFLVAGRTGWDFGRTNNVDSGWGNDLLAMPAGRNMSVLVLESTFKHTHDYSVPYPTYFHPKSDADVLRWQDRVRSQRRPWLMAFVGAPRPDMRRELRVRDHVIAQCNASRACAMLGCANTPGSPQCHAPGDIMRHFQKVSFCLQPPGDSWTRRSVFDAMVAGCIPVFFHPGTAYKQYRWHLPKDHLNYSVYIPDEDIRWWNVSIEDVLRSIPPDVVEKMREEVIKLIPRILYADPRSRLETIKDAVDIAVEGVLTTVARIKKGEWVDSGRPVDEDPPNMYVSTESRFRPRSVVARIMMRLKQWIKRDR >Dexi9A01G0024250.1:cds pep primary_assembly:Fonio_CM05836:9A:20508632:20519100:-1 gene:Dexi9A01G0024250 transcript:Dexi9A01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPFNRLVRLAARAFYDNISLKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVMRDHRKESAKGAKMHNTAAAAAGDSQPVTKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEFEVEIGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGETSKASKLDEKTEAKEDKKQDSKDDQSIQEEYIKAYYEALRKKQEEEEAKRRMQQEGEAFVSDSQSERQVGKKHKREDEDEGIEWEEQQPTGNATETYKLADLNAEAQESGDDEDDLVWEDG >Dexi9A01G0042110.1:cds pep primary_assembly:Fonio_CM05836:9A:45659882:45663049:-1 gene:Dexi9A01G0042110 transcript:Dexi9A01G0042110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPAASAAAVADDAVSGAGAPADGGARSSILGMPVPVAAGVVAALAVLVVLAAVASAVYFARRRGARPPSLSRVEHAPSSVSGSGSSRPVSSARKDKPAGGGVERVAGAGASSSDVASSSAAASSLESPVKRKAEAGRVAGGGGAAAGVEMGWGRWYELAELEVATGGFCPENVVGEGGYGTVYRGVLAGGEVVAVKDLFDHKGQAEKEFKVEVEAIGKVRHKHLVGLIGYCAEGPKRMLLYEFVENGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGMAKVLGPGSSYVTTRVMGTFGYVAPEYASTGMLNESSDVYSFGVLLMELISGRSPVDYNRPAGEVNLVEWFRGMVGGRRVEGLVDPRIPAPPPPRVLNRVLLVCLRCIDGDAHKRPRMGQIVHMLEGDEFPFRTEHRSPRASHRTSTGSRPSLLSDNVAAADDSDKSMWR >Dexi1B01G0026220.1:cds pep primary_assembly:Fonio_CM05836:1B:31091124:31095371:1 gene:Dexi1B01G0026220 transcript:Dexi1B01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRKGDAAAAPTPKAAATGSYKPVVKFKRMKADPEKLKAAAAESADPAEASASAPAPASKPVEASPAVAAAAGNGVVAQSKTEDSKATGKESMKGREEEKGKAKGEDSNTSRTEITKARGEERVRREEKRRRTTGKEEEEEEGDDEKGFIFMCSGRTKPECYRSGVFGLPRGKMDVVEKIRPGAKLFLYDFDLKLMYGVYKADTRGGLDLVRHAFEGKFPAQVKFSVDIDCLPVPERSLKHAIKENYNAKGRFTQELSSKQVRRLLAIFKPIGLSQPAPQHIEETRLPRIVEDRQEPTAAVATVMYPLLARDYRALPGEHARTTAAATVMDPLLARDYRALPGEHGRTTAEAIVRDPLLARDYGSLPGELAARSEHVDELYRSYKLSTRAMDLHPGPSYATTSYDNPSSLYSGSLQRPVVTRVRGPSVPVSTRYSFDGPPAYR >Dexi3A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:3A:5928881:5930631:1 gene:Dexi3A01G0008450 transcript:Dexi3A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATACVRVVPLLMGAPLRPPCHVISARQGPRRAGLAVSAAAAAGGASPPTVLVTGAGGRTGQIVYKKLKERAGQFVGRGLVRTEESKGKIGGGDDVFVGDIRDPESIASAIEGIDALIILTSAVPQMKPGFDPSKGGRPEFYFEEGSYPEQVDWIGQKNQIDAAKSIGVKHIVLVGSMGGTDINHPLNKIGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGLRELIVGKDDEILKTETRTIARADVAEVCIQALLFDEAKFKAFDLASKPEGEGTPTTDFRALFAQVNSRF >DexiUA01G0025930.1:cds pep primary_assembly:Fonio_CM05836:UA:54605576:54606642:1 gene:DexiUA01G0025930 transcript:DexiUA01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEWSPMLPPGWTLPASPRRQLNGGGTAIEHQTGRGVFLSWEDISVTAADEKGRRKVILDRITGFARPGQVLALMGPSGSGKTTLLDTLSGRLGLDMNGRGDILINGRRERLSYGTSAYVTQENTLMPTLTVREAIHFSAQLQLPDSMPPAKKLARVDRIIREMGLCDVANSRIGGRVSKGISGGERRRVSICMELLASPRLLFLDEPTSGLDSAAAYHVMAYVARLARTAGITVVAAVHQPSTEVFELFDALCLLANGRMVYFGPIDEADEFG >Dexi9B01G0022690.1:cds pep primary_assembly:Fonio_CM05836:9B:17527111:17527914:1 gene:Dexi9B01G0022690 transcript:Dexi9B01G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAREPSRSASSIIASTTTGCHTLKIDGYSLTTGTPTGEYLNSHPFTLGGHRWRIRYYPNGTNSEVKDYISIFLCLDEAVAKAVKVQHQCRLEDTEGEHEHPFTLEPVNSFVSNQSWGYDKFIKREELEKSRNLKDDSFTVRCDIVIVNEFLAVEETLVDAPPRFISVPPSSLHKHLGNLLQSEKGADVVFAVGGQTFAAHRCVLAARSPVFSAELFGTMKESNVAGVIHVDDMEAQVFKALLYFVYRFIAQDKEYRGRWKGRRK >Dexi1A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:1A:21715123:21716184:-1 gene:Dexi1A01G0014880 transcript:Dexi1A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISFSCSCTITGCHIFTNTTVLLPSNAQRFPISSREKGITSASFISAAAYAARFHPQKNPSREVALSTAGLQIPQRRGFSTPPITSAHPRFLSSSASAATSPPHSSALASAGASGSGTFSLFSEGHAAGAGGGVGGGQEGDGGGEDGSRTASTAGGSRDFGWLCIGGGGGGGVAAADALPRLPLSRRLEGGVAGEEAAADSAALRCSSKASRAAWMRSCCGEHPHRVQKLPHPTVGGWPRRRRPPPPRACSGGGAVAAFQPSRNERVTRCASTRWSSGVSPATRTRMAAGRRRNAAAMGSSAGDGWILPPLPGLGHSVFMAAAGPRAR >Dexi8A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:8A:7114712:7114963:-1 gene:Dexi8A01G0006810 transcript:Dexi8A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRASRLLLQLGSLLACSMARPLAAHLGEAVRIDPRRARVTQQRNDHRNEAAGAFVGAAACPPRWRRGGRQSSVFEQQTAEW >Dexi2A01G0025640.1:cds pep primary_assembly:Fonio_CM05836:2A:37231660:37232295:-1 gene:Dexi2A01G0025640 transcript:Dexi2A01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVLWCVWWGRSNNSQGGGWRRTTDGDIAQTNPNGVFPLREKKRGERKETAVKSPAPPRQGEEEEEQRKRGSAISAAAR >Dexi1A01G0001100.1:cds pep primary_assembly:Fonio_CM05836:1A:711546:714310:1 gene:Dexi1A01G0001100 transcript:Dexi1A01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPPLAPAAATPSSGDVAAPSPTAVSGAGAWGAAATAQRKAVVGESAAQAVSRLVASCANSSGVAVAVVDANAVIAGGSSLSTTAERLVTVPEVLDEVRDAAARRRLTLLAVPVETVEPPPEFVKKVTKFARETGDIQTLSDVDIKIIALAYMLEAEIHGTSHLREHPPPLREVNVRNLSEAQLPGWGSNVPNLKEWEELDQMSEAGRDINSRILPLKDLDNQDIPMSETNSVSEAQEDAGYQPSDKDTRTAWEDDENNQGWTPAVGRSTHRRYLRRKARRDAIKESGSSVAPSIDADKVPSENSGFEHDSAPADGSSFIPKESNSSTTGLEHQIENEPEIAGEHLYPGQLANDDDTDACTKELDNLDLKSDTEGGDDAHSMDGESSEQSWALRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQMHRWVLRCHACYKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRITLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPTSKKSSKKEDDFLGVDDIFSHSGDKKAPLKPPVRKALAMFSGKRNPNDNHFSRKKH >Dexi1A01G0004570.1:cds pep primary_assembly:Fonio_CM05836:1A:3334758:3337467:-1 gene:Dexi1A01G0004570 transcript:Dexi1A01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGFAITVLLLSLASDARPCSDHEKSSLLRFITGLSLDGGLAMSWRRNGTASCCSWEGIACDGDGAVIEVSLPGRGLQGSISPALGDLTGLRCLNLSHNSLSGELPLERLLSSSRSLAVIDVSFNGLEGELPSSVASHGWPLQVLNISSNLFTGEFPSGMWKAMNSLVAINVSNNSFHGWMPTSFCIYSSSFAILDLSYNKFSGRILAGLGNCSALEVLKAGHNKLSGALDELFNASSLAYLSFPNNGLYGTLDGASIAKLRKLVHLDLGGNLLNGKIPDTIGELRRLEVLDLDHNNMTGELPSALSNCTNLITIDLRNNNFNGELARVDFSTMINLKTLDLLYNNFTGTVPESIYSCSNLKALRLSDNKLHGQLSPRISNLKSLVFLSVSFNNFTNITNVLHVLKDCFYGAYLGKRAWLFTALGPSLTLPRTGELD >Dexi3B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:3B:4424220:4424807:1 gene:Dexi3B01G0006370 transcript:Dexi3B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLNFQPEMLMTGTRQHLFTEATEHVERIILAAGGDDVKRRLQDKKKRVLDDTSLGRFASEAAKLANNPYDSYTLVHDACKLAEELMAIEEETRWHLMYRVWVGMLCYSASIGYLHAKSLGEGGEFLSYAWLVISLKGTKTLADKLQMPEPEAEEQQLETKNAAPVNGKPSASGSTDLEETGAERLDRIFG >Dexi1A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:1A:2523480:2525643:-1 gene:Dexi1A01G0003500 transcript:Dexi1A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVCAICDDGGSVTCCDGRCQRAFHLVDSEAHDDCREKLGLTLEQAKIINEEEGFICKNCQFKKHQCFSCGLLGSSDDTLSQPEVFQCEHGDCGRFYHPKCIAKLLYPNSEEAILFAAEVAVAREKFTCLMHECMECKGVEDKNDRSLQFAVCRRCPTVYHRKCLPSEILFKSRKGPNDSPQRAWDDILPDRILMYCTYVNSLNLVAHLFIPLVLLSLFSLPELLSSAKLFCRKHKIVRKLLTPERNHIIFPEEANEHHVAETLEGAPMEQDAAEETEAPHQPASSEQIQLPPPAASDQNQCPAASDQNQCPCSHFTLSFAPSSLYKEPYPGNCGWLDDDEFDD >Dexi7A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:7A:27721482:27725549:-1 gene:Dexi7A01G0018290 transcript:Dexi7A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANRLGELLHHLHRCSSWVLGWAMSLLCSLSRAYAHHQVTERVARSRRALRSGLNSLLLHVVYFAGVSFAGWGLLSALKVRAPHARPRPIDAFFTAVSAATVSSMSTVEMEVFTNAQLIVLTVLMFLGGEVFVSLVGLGSKWYKLRRQITSRHSSQRVVSHDGIELATPPATITGAGDEDDDSRSSMSATVVVTEEYNNSLLDAKMRRRNAVRSLFYIVLGILAVVHVVGAVAVAAHVYTTPSARQTLRRKSLDVWTFAVFTTVSTFSSCGYMPTNENMAVFIRDVPLQLLLVPQALVGNTLFPPLLAACVWAAAAVTRREDLTEVDKKGREVTGYYHLLPARRCWMLAGTVAAFVGVQVAMVCAMEWGGALQGMSAGEKVSNALFLAVNSRHTGESTLDLSTLAPAILVLFVLMMYLPPYTTWFPFEENPTARDHSTESQGLRILKSTVLSQLSYLTIFVIAICITERRKLKEDPLNFNVLSVVVEVVSAYGNVGFSMGYSCSRQINPDQLCTDKWIGFAGRWSDSGKLILIIVMFFGRLKKFSMKGGKAWKLS >Dexi9A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:9A:5773311:5774307:1 gene:Dexi9A01G0009510 transcript:Dexi9A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAEQDDAHEEGENQQLMMTTTTKGGPAPEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAAAPRGEEQGEEAPFVVVSKVGDDFAYASAPAPARRPPLVCASPTTSFHAQFSDAAASAHAPDRELRLVCACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVGHVALEDTPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEACVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVAQVGVPTFHPKMLQLLEHSI >Dexi9A01G0005970.1:cds pep primary_assembly:Fonio_CM05836:9A:3352514:3355603:-1 gene:Dexi9A01G0005970 transcript:Dexi9A01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSITKNGFFQSVSTVLWKAPQDGDALPAANGPDAGRSPPPPALPKPASDVQVAVQSKAPEPVKIATSQSDPAPKPAQHQQDTKPTTTAAAANPTSGEAPKPRPKVPQVKRVSSAGLLVGSVLKRKTENLKDKYSLGRRLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQRGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQKEEAALKTIDFGLSIFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGHITFEELKVGLKKVGANLQESEIQALMQAADVDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLDDVKLEDMIGEVDQDNDGRIDYNEFVAMMQKPTLGLPKKAGGLQNSFSIGFREALRIQ >Dexi3B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:3B:13444871:13446778:1 gene:Dexi3B01G0018110 transcript:Dexi3B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPGGITPPHLQSRRVGSRGTRGGTWIPTFSTHQVSSRPSPARRVLPPLPPPPFNSWDANPHHPPPNLLRPRSPNPTRSQAAAVPAQCSLPSLLRSIGALRLRRPASPVQMDSADVATPLGAWASIRGYFTPATLFLVVNIVIGTIVLTSRATQQRRRRDHHYHDDGHGHYHFQYPHHRQQQQQQAYGDPYYHNQEQQQQPLYYATPPPAPAPAPLVRTSSVLDRLRSFGLYRFRSGDFPPEYAAPIHHAQQDDVVFAPVEEEVPTTPHYTRMSRSEPAPGMEEESRPVSRVKKPAAPAAASEVVTKAQVAPAPARVVEAFAAEDVRAEEFSAATLRRREPSPLQQEYHHYQEEEYVPPPARAAPAAPAPLARTSSFMDRIRSVGFPSFLGYEQQPSVAASTPPTDAFVTTPAAAEKKKQAHAHYDRSRSEPAWEQGGSNKKNEKKQETATKSKMAKSSSETRKKTAAAPTTLASAALASESVDARAEAFIDSFKQQQAQHHREYVPPPAPLARAPSVLERLCSFSLSQYFLSGDVGGPADLTAEAAATPAASEKKKQAAGQHYARSRSEPAPEQGKKEPRMSKSSSSVVEEPAEQGVDARADDFINKFRQQLQLQRLNSLLNYKEVLGRGKQ >Dexi9B01G0026600.1:cds pep primary_assembly:Fonio_CM05836:9B:28781995:28783370:-1 gene:Dexi9B01G0026600 transcript:Dexi9B01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFFWLSPADQGDLSDVVRASLNQPPPLSIPSPTLFSHGRRLPEDEEDLLPLQGRGNLGDDGDHDWQRHLVHGNGAMELIVGSNSGCDYHALYPQHHPEVDQGLLVPHLMSGLQPQQCASISGFGEREDDHVANAPVVQDELGPDMPIIPHAPSIKRRKSQTKKVVCIPAPVAAPPGLGGRPSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPSMLVITYTSDHNHPWPTQRNALAGSTRPAGYSSAKAGHHLRSGAHRHAGDVVVVAGNAATPAEGSITTSAHHHQQEVFKQEVVEMDSLELAQDEAAGGDHDLGGMITDVDGALSNALCAASSFHSKKQQQQRATADHLEKLPEEEEDKRQLLLDREPFSFSFLDWVGASFGVGEAAPNKGGYYT >Dexi5A01G0034340.1:cds pep primary_assembly:Fonio_CM05836:5A:36424355:36425611:-1 gene:Dexi5A01G0034340 transcript:Dexi5A01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGTRPPPPYVFDNERSSPRPVFLLPFPAPGSLRSRNPPRFLGPRQATNRDSDDRSFSQEEKTAPCDKLPATRNLARSPARKKSRPGERQKEEPQVQAGSSQGTAISVSASPDLIGREPRRRNQTAATSSFLTPSRTRSVRPHRLSASRSQMAVAFSNFGGAASIHHEPPSSTIGRAKPSCGEGIEGMGEITPTTKGAVHTISRAAVQPKHKLAPSKRSNTHMM >Dexi5B01G0025760.1:cds pep primary_assembly:Fonio_CM05836:5B:27707170:27711166:-1 gene:Dexi5B01G0025760 transcript:Dexi5B01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPVKELKLAVPAQESPVDKFLTASGTFKDGELRLNQSGLRLISEENGDEDESTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTFYALKGIQMNIQESVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQLNLNGVMLIQVLEGLMYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISNCIQKDPAQRMSASELLNHPFLKKFEDKDLDLRILVESLEPPMNIPE >Dexi1B01G0011610.1:cds pep primary_assembly:Fonio_CM05836:1B:11890065:11893426:1 gene:Dexi1B01G0011610 transcript:Dexi1B01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding DALYDMKQKLNVTGGQLSDWNQNQVNPCTWNSVICDSNSNVVQVTLAARGFTGVLSPKIGELQYLSVLSLGGNRITGSIPEEFGNLSSLTSLDLEDNLLDGQIPASLGNLSKLQLFFSGNDLNCGPNFPHSCASIMSYQSEDDRRIAFGQLKRFAWRELQIATDNFNERNVLGQGGFGKVYKGVLPDATKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKHGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREGQLDSIVDGNLNQNYDNEELEMIIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSAGR >Dexi3A01G0022890.1:cds pep primary_assembly:Fonio_CM05836:3A:18510256:18519326:1 gene:Dexi3A01G0022890 transcript:Dexi3A01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVSSDGESAGELLLRAAALVPWTTYALAALALASALLYRFLELHFLGDLLRGLRGGRVALTFHPDSQVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRSPSFTYRRQLYTVRDGGTIALDWLLASDLEDADVGSCNESISKDDSTPLLVVIPGLSSDSSAAYVKHLVFSMASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDLREVVNFLHQKYPEAPLFTVGTSIGANIVVKYLGEEGESTPVAGAASICSPWDLLVSCLLNHGVWHELNLGAAVLAEGEELGEVELAPGQGARPGRGWPAPARQRRRRHRELELPARGEKNEERANCKRENREKGEASSSIREFDRHATCVVAKYETVDTFYRKCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFYEGLTAGRLWWVRPVSEFLCALHDSSYMHRQKEQGDGLHSSLESSIDKSPYVNFMEDGMVAAVTNDGPDNDDYLHNQIVDEIESDGMVAIQQNEHTGEIHNENDSGGVGDKNNSEHNVTSVQGHEENHKQQEEPCANDICGVVAPVRRSINQITRSQGKSVWLLAYIAVVTSWPLLRSLGVFLFRKRSSNSLLAKKLKKP >Dexi2A01G0030060.1:cds pep primary_assembly:Fonio_CM05836:2A:41071628:41072661:-1 gene:Dexi2A01G0030060 transcript:Dexi2A01G0030060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLVDLAPQNGRIVARFGFGKKQAPPKKAAKSSTISDRPLWFPGAVAPPYLDGSLVGDYGFDPLGLGKPVEYLQFDLDSLDQNLAKNEPGAIIGTRFESSEVKSTTLQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLAADPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGAGSS >Dexi2B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:2B:29094366:29097407:-1 gene:Dexi2B01G0018880 transcript:Dexi2B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARAALVRALPPRPSPISRQKQLKQGLGRRGGASLAVRAKDSDDFGALLSEKPVVPAPAKRDGWEGFGRGVTSAAEEEEKEEEVQSEPASWDVLNQIGVQLDSDKSYSALVYGTSAVVAIWISSIVVSAIDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVSSIKKQILGSHDN >Dexi1B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:1B:3274795:3279810:1 gene:Dexi1B01G0004110 transcript:Dexi1B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSPLALTSPSPFPCLMEALVARSSVLSPPAAAGDASPSPSRIRVSDALPRARRSPSTLAISTRWPPRRGGARGRLLAAGSGEGGSPDPTGDAGAQVELEVLADNNVTLRESHDLDTTKDVEIGVSGNGASNTGGSRAGLFRIPISGGVHSATAAHDLPPPALAVRNLMEQARFAQLCTVMSRMHHCRAGYPFGSLVDFAPDPLGHPIFSLSPLAIHTRNLLAEPRCSLVVQITGSSGLSNTRVSIFGDVVPLPAEQQEWAHQQYVSKHQQWASQQWGNFYYYRMQTISDIYFIGGFGTVDWIDVKEYEALQPDKISRDGGEQNFKELNSMFSKPLKELLSTEGEVDDVAVISMDSKGIDIRVRQGAQYNIQRIAFEVDHSVETLDEVKEALRRIIGKSRWHTRSSIFSRP >Dexi9B01G0019440.1:cds pep primary_assembly:Fonio_CM05836:9B:14016155:14027645:-1 gene:Dexi9B01G0019440 transcript:Dexi9B01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASAISDVAEPRAEDPPRAEAAATVEPEGSEAEAAAAAEPTGASIPSAVSAAAPGDASSVPAPASTCDSVEAPPPASPATPAAPEPPRPQFAGSPAYMAVPAPSPSFSYNVLPRAPAAPQIGGGAASLQPGSSPALTAAPMPVTALQPPAPGQFFGNVPSFSYNVVSHANARLPTGQQFQPDTGTNHAGQASRFVPPGSLQPPAPGQSTRPGSTFSGAMAPNPPGSVQLPFSTLRPSNIPFGASAQQVNLDINISKSDGPSAPEVSPHNLQLPIGLPSNSPSTIASASGSSSIPIQMPTNLSLPPRPEDMVLFHLNLYGDTPILRDLLGFSSLPSRHGGQVNEQLEEKRNTRVQDSDAWSAHKTETGVVYYYNALTGESTYQRPPGYKGEAEKVATQPVPVSWDKLAGTDWSMVTTSDGKKYYYDNKQKVSSWQLPPEVAEILKNVESGSLKEGPTSLQDGATIENKGVISTDASTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGSSSAPSPLATSSSAASELNGSKPADAALKGQQVSNNGEKSKDNNGDANMSDSSSDSDDEEHGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRADEERKEKRAAQKAAVEAYKELLEEASEVKDNFRSDPRYKATKHEERETIFNEYIVELKSAKQEAEQAAKAKVDEQRCARDFRALLSEVITPEVAARTTDEGKTAVNSWSEAKCLLRSDPRYNKLASKDKESIWRRYADDLTRKLKQSDTMEKEKSDTDGKQRRSSDPPRRR >Dexi5B01G0022780.1:cds pep primary_assembly:Fonio_CM05836:5B:25085533:25088752:-1 gene:Dexi5B01G0022780 transcript:Dexi5B01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPTTSRPAACKTKKKSPPARTEAASSLDRVRYCQVGRASTSMASDVAMWGSSTSSGGAPACAFPTVARSHACDWFALVLLAAADVLLNAVEPFHRFVGAGMMEDLRYPLKPNTVPIWAVPIIAVIGPMVIFLIVFLRKKNVYDLHHAILGILFAALITGVLTDAIKDAVGRPRPNFFWRCFPDGKGVYDKITTEVICHGDPSVIKEGHKSLPSGHTSFLPSSVR >Dexi9A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:9A:3532705:3535942:1 gene:Dexi9A01G0006280 transcript:Dexi9A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding FALPPLASDTRDAPELNRALLMGSLRAPPAPAPLVGSARVSFGSGLRFASTRVLKPSGLTTTSAMKSYRLSELSDAEVSGLKARPRIDFTSIFGTVNPIVEDVRVRGDAAVKDYTEKFDRVTLDDVVVRVRDLPDAQLDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHANPVHVAADLLSQAEHGPDSQVVLVIAGDGVELDAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGHWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPHVAKMAEVEGLEAHKRAVTLRLQEIEATVTV >Dexi9B01G0022750.1:cds pep primary_assembly:Fonio_CM05836:9B:17600043:17603123:-1 gene:Dexi9B01G0022750 transcript:Dexi9B01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMCGHVPRMQLMTRVGGHGRAHAAADNDSRRMRVVAVAAGEIEEREKERERCHGWHGDAIAAARSGTVARRRSSAGPGGGDARTDLPFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGERS >Dexi8A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:8A:2710007:2710565:-1 gene:Dexi8A01G0003580 transcript:Dexi8A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEANIHDLLELMLLDENIKPTKLPITFLQSITDNFSEERIIGSGGFADVYKGQLQHCPVAVKKLKQEVSSENMALVLEEKFEQELYSLMMAKHKNIVRFLGYCVDGQGEVYDFAGKNVLGEERQRFLCFEFVPGGSLDKYIRGKFLSI >Dexi2A01G0007580.1:cds pep primary_assembly:Fonio_CM05836:2A:7498964:7501692:1 gene:Dexi2A01G0007580 transcript:Dexi2A01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSMPVESHTSSKVGGDASYQDAADEPLDNSDCGSEPSRGINAVRKQVKNHKPKKKHVPSKSGPRGRKFPGRVEPPQEDSYHETEFASRDGPSQKGHHDEKNLPYTPFQPFLFQVVLDTPMSALMTVLDKKFMDWIERRNLLKFDECDYACHLDLVARNHGFEDAQKYIERVPTAFRNEVLYETLLVNCVRQEDVKKAQQVFNKIWELSLPLTVSACNQMILLYKRVACVKVADILMLMEQENIKFSRFTYKLLIDLKGRSNDMLGMEQVLNVMKDNGLEPDFATQNMVAKFYISGGLTEKAEEVIRAMEVHASYHREATRSLLGLYAILGRPDDVKRIWNSYTKPKLDDFLAAIEAWGKLGFIEEVEETFEALVKNTSTKLTSKYLNAMLNVYAEHRLLAKGKEFLERMFLKGCPSSPLTWDAIVKLYVNSEKGDIHNSEKIFDRLKQIRYPGRTPPYNVLLAAYANAQATPYGFT >Dexi9B01G0026580.1:cds pep primary_assembly:Fonio_CM05836:9B:28774632:28777514:-1 gene:Dexi9B01G0026580 transcript:Dexi9B01G0026580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERGDAQSPLLQQHPPNQTQVSSANEHYNKPFSWKAPAIVLAFEFLESIAYSGIALNLVVYLGTILHGTTASSAANVDAWNGATFLTPVLGAFLADTYWGKVQLPTGSPLKSIVMVFVASFKKRRVEVPADRTLLFEGDDAESTNTVPNKLEHTDEFRCLDKAAVVLEDQDVKDSHRPWLLCTVTQVEEVKILIRMLPIWFTCVFYSAAMCQTATTFVQQGNAMNTKVGSFSVPAASLNSAEVIFMMIWVAFQDSVVIPIARRYRGDPAGLTLLQRMGVGRFLAIPAMASAALVETWRLRRVRDGGNLSIGWQLPQFIILACSDVFCGIAQLEFFYSEAPASMRSLCSAFSFLAMSLAYYVNTLVVSVVAAATTAGGGKGWLPANLNDGHLDYYFWLWTGISVVNYVVYTVFAKHYTVKKTVRQ >Dexi5B01G0032480.1:cds pep primary_assembly:Fonio_CM05836:5B:33127720:33132418:1 gene:Dexi5B01G0032480 transcript:Dexi5B01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFNMINFVSDNIVLNNCVKDILALIKPEEDDRSKRLSTIQELENCIHSLSSLSGAAVKPFGSFVSDLYSKSGDLDLSVQLGNGPNLPTNKKKKQNVLREVRRALQIRGVSGYMQFIPHARVPVLQYVSNRFGISCDISINNFAGRIKSRIFYWVNTLDERFGDMVLLIKEWAKAQNINDPKSGSLNSYSLCLLVLFHFQTSEPAILPPLKEIYEGNIAEDITEHSTTAHCRLSQICHLRTEVEDPVERPDNAARAVSMKGLDRIASAFNDACRKASALYAMK >Dexi9B01G0023220.1:cds pep primary_assembly:Fonio_CM05836:9B:18271505:18276934:-1 gene:Dexi9B01G0023220 transcript:Dexi9B01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELIGFLSDANPQVRSAAVDIVRGLTGGEDGLRALTARAGRALPALLRLLASAGGSGAGDAAADSLVNLSQDAALAARLVALGAVDAAMDVVAKRAGEQPTLARSLVMLLVNLTHVESGVAALLQVGDEKVQGLYLAKLVRSFCRSSSDSEEQDTFEYVASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQLQNLLSLAEYLWPALLLPVAGKKQLAYYSYNVWWILQIYSEEDRLKMPLELSSALSHEREAVEDSEIRQQTLEAIYMIVLQDDGRKSFWSVNGPRILQVGYEDEEDPKVMEAYELIGSL >Dexi3B01G0026320.1:cds pep primary_assembly:Fonio_CM05836:3B:21316050:21320026:1 gene:Dexi3B01G0026320 transcript:Dexi3B01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTTPRILLSPTSKDLLTGAAFPSPPSPTSDDPASPLDAFASDPVLSAFLSTSFSPSEFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHSLLLSHLLSLRSASASLSSLPSHLQLLSSHLSLLSSHLSAPRSHLALSSASLSSLLATADLLLHSHRLVRLSSRLLASTPAPDLARQAELHREIRLLYEEKNLSGIIAVDEEMRKVDAAASKLRLEASAVIERGVAESNQNDVWCGLQVYYNLGELKPAVEGLVGKYKAAGAKSVAVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRSVSAAWQLQTVLTKKRVPFTQMLFLEEVWQISTGPEARQVTGTATAAQLKNFALCLHLQEVHTRISSVLSTLPNAASEVLSPSLGVIYGVACDSVTSLFQAMLDRLESCILKMHEQDFAGHGMDAAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPASVILHHLYSRGPDELQSPLQRNMLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSQATT >Dexi6A01G0018290.1:cds pep primary_assembly:Fonio_CM05836:6A:26034805:26038933:-1 gene:Dexi6A01G0018290 transcript:Dexi6A01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTGYYDVLGVSPTATESEIKKAYYIKARQVHPDKNPNDPKAAEKFQELGEAYQVLSDPTQRQAYDMHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDARKLQERMQTVQKEREEKLAEILKNRLHIYVQGNKEEFMQLAEAEVSKLSNAAYGVVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAATGAIALMQLQEDLKKYMSAEGHYTEEELEMYMENHKKVMVDSLWKLNVADIEATISHVCQMVLQDSSAKKEELRLRAKGLKTLGRIFQRVKLNPTEGEASQVRNIDNMEEEDGSSPDSSPRREPQFTPSQPHVPVLLLISPYVEAPQINGTYYSFNFPMPTAPPGAQRDPRP >Dexi9A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:9A:292560:294658:1 gene:Dexi9A01G0000480 transcript:Dexi9A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVATAAARSSVLTYSSARRVQVGLGSFLGSSGMDAVSFRVSASFPRQRTSFPSIRVQQIPRRFQVSCSAKQETIEKVCEIVKGQLALPEDSTVTGETKFVDLGADSLDTVEIVMGLEEAFQISVDESSAQEIQTVGDAAALIDKLIADKDA >Dexi7A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:7A:4648503:4651186:1 gene:Dexi7A01G0001790 transcript:Dexi7A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTHSSGASPPSVPFVTNRRESEVLLLGRRRRSATMGFISFVGRVLFASLFLLSAYQEYERPLFLLRLSDPIKTVIAATMFLKGFGGLLFIFSSSFGAFLLLIYLAFITPIVYDFYNYEMESAQFVQLFFKFSQNLAFIGALLFFLGMKNSIPRRRAKGRTTKTKTN >Dexi9B01G0040290.1:cds pep primary_assembly:Fonio_CM05836:9B:40861170:40864386:1 gene:Dexi9B01G0040290 transcript:Dexi9B01G0040290.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKAATSPSHGPNEHKPNQKAQTLRAAAPPPTIEHPAEPGRRAAAMGVGIGAAADLVLKAACERCGAASDLYGTPCRHTTLCTACGRALARARGRCAVCAAPVTRLIREYDVRVDTSAEKTHFIGRFATGLPPLSKARSAGNKWSLRKDVPQGRQLTGNMREKHYSRRPWILEDEAGEHQYQGQTEDPQATYYSLTLKGKEITAVPLGSWYNFGKIAQYKQLTLEEAEEKMNKRRSTASGCEQRLIKVATNGAAAFSSGVKKNEDVNEGAANGVHPKKGDMNENGNQSIKGEEDEEGEAARKNRHGLTTKGIDEDDEEGGKDKDFDLDDEIEKGDDWEHEETFTDDDETMGVGTEEGPDLADPEAAPPEIKQDENENELGNSSNLSKSGQELKKLLRRAAGENESDTDDKNTEEDEPSSPECAPKQLVEPKSEPVDSKPAKPTPSAHAQSPNPPSKSTQKRKLGGADSNSSNGATSKKIKTEPETRKISIKEETRKISVKEETRKISVKEETPSSLEPTSDASVTVRTTELSPVTEEEVRAVLRAIAPVTSQDLVSRFRPRVVTQEDKTAFLNIVKKISHMYKNNGRSYIVLR >DexiUA01G0003960.1:cds pep primary_assembly:Fonio_CM05836:UA:7996116:8000783:-1 gene:DexiUA01G0003960 transcript:DexiUA01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSFTRCDDCALALDAIDPLTLRPELRKSTDGYLPPHIDEYPLAEEGNTEELETESVELEQQQEKDGEPQHHPEFGAQSPPHRRTRYSTLAHRTTIDPKCYHKPSSSAHLHTSPPPQPSQRARLLSLPAADTPGPLPGGTLPRSELPPPRACLPPRLRGLERTPSHSRAGLFPDPSCRRLAHAFRPDSAAWSARQAPPPILCATSARRRQTLAAALDFEPPSLIRRLATPLPPRTAPGDSPRALFFPLCSTRTRSPEYPLRRRSSPASTFAPSSSRTPKTSSPSSTLPLGPNAARFGAPQRRQRSTPARLRPPPSPFISRRQPLVLEPQPQTLASSLQGRIDDENQLRSFGPKTPLPLALPHARDLAGVPNPPPLVTSRHSRSILVHNPQNRLSVVSSLSQAFRGEVWTTLAPAASTPARLRPRAAASRRAPVSRAPICSEPLDSKPTARSENRSKPSRFTPTSASSRRRALVGEAFEFADDPVPEEQEQQQFAEEGKYNTDHPCYLYTD >Dexi4B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:4B:4289672:4290910:-1 gene:Dexi4B01G0006090 transcript:Dexi4B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRHCSSWADLHPELLGLVLQRLPSPADRARLRAVCKAWRREILPVPFPWLCLLDGTFLTVPEGDVPHFPSLLGDEDDALCHGSVGSWLFLERTNDRSMSLVNLFSGDTITLPDADTIRHHDDDDDHDARPMMYKPPVPLSSSSSPDSLLYAVLMTVGGFESVISICNSATTAAFRVPRSEVRICDIAFVGGKLYALSPKKLFVVDVDSSRRAGEPKVPSMECIDDDVDNPGDMYKTIGGESYRCAYWSYLVESRGKLLHVRRLVGHLSTLVPQQYCYMDRSRTYMDRSRTFSFEVFEADLTVRSCGQWRRVHSLGGQALFVGPSSKSLLASECGARPDCIYFMADYDWARCYRKDPLCDCGVFNMRDGTITPLLPGTMVMRPNDDNDDDDDEDEEFYLERVRPGWFFPC >Dexi6A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:6A:26442456:26443508:-1 gene:Dexi6A01G0018750 transcript:Dexi6A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQRKSETEAQIQTTTILHSSSVVVEFRINYKQAKHRGIDGRAIKSDPLCVGGQVWRINLYPCGPYETPGMNEDHFSIHLERQTKSETSKKPVKVMFQVLLIDKDGEPVSICSRMPPPMQRHSANYLWLASQTYMVRNFVKDGHIKFVFIITTLPDNPVPVPPSNIGKHIGTLLDGTDGKDVSFLVDGETFHAHRAVLAARSPVFQAELLACAEIYNCPELKNKCIEFVVADKNFRHVVLTESFMQLGQRFPSLIAEVREKAVSI >Dexi2A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:2A:15669317:15672169:1 gene:Dexi2A01G0013060 transcript:Dexi2A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARKIWGFVLSLPSSITDHESPKRKTIRDGSDFGDDSFFEIHGDKYLISKPSGEKSIPPVRRLQRRKSESLRIIVVAIRVMIGTWNAAGRVPSDDLDLDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDSRPIRKWEALIRQTLNTWLDGSSSLDWPEYPLDTPAKQEKLDVLNSLDRISDLTSEEDSPSVGTVDELWVSRKLKRHVNNLEVSPVGIGLLGYMGNKGSISISMSIFQTRLCFVCSHLASGHKSGDQQKRNADVYEILQRTRFSSLCAAGQPQKIPSHEYVYTTFAPMIFLENQP >Dexi3B01G0028160.1:cds pep primary_assembly:Fonio_CM05836:3B:23952861:23957556:1 gene:Dexi3B01G0028160 transcript:Dexi3B01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVRRLGDPTVAPGGEASPFAAVSGDHTVPELSSPTSVRVRVAATSLNFATFLQVQGKYQERPPLPFVPGSDYSGVVDAIGPGVRGLCPGDRVCSFTGLGSFADFIVAEEKQLFLVPDGCDLVAAGALPVLLVLGAAGGVGVSAVQIGKVCGAVVIAVARGVEKLQYLKSIGADHVIDSSKDNVIESAKSFLKARGLKGIDVLYDPVGGKLTQDSLKLLNWGAHILVIGFASGDVPVIRANIALVKNWTIHGLYWGSYLTHRPQVLLDSLNELLSWLSKGLITVQISHRYRLAEVSNIECSVLGFNFPQAHLAFAALRDRKAIGKVMIVMGSSAKSRL >Dexi7B01G0022740.1:cds pep primary_assembly:Fonio_CM05836:7B:27587916:27590208:-1 gene:Dexi7B01G0022740 transcript:Dexi7B01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPLAVTVIFCLLLPSSSSPPICAARTLDHVRTAYHVQPAKNWQNGPVYFNGMYHLFYQYNPHGALWDIGNLSWGHSVSGDLVNWADLGTALDPTSPFDNHGCASGSVTALPDGQPVILYSGIDADRRQVQNIAFPKNPHDPLLREWTKPSYNPVVPLPADVSPDNFRDPTTAWLGADGLWRFAVSAVAAGVGSTLVYRSADFLRWQRNVAPLHASRDAVMAECPDLFPVVAAARGGAPGGGVRHVFKVSMPAPTLEDYYAVGRYDEETDTFVPDDGDARGGGDYRRWRRIDHGHLYASKTFFDARRSRRVLWAWVNESDSDADAVARGWSGLQSFPRALWLDLGGGGRHQLVQWPVEEIETLRRRRADPLGGAAEVEAGGMREVVGIDSSQADVEVVFEIPGLERAEGLDPDRMLDADALCKAKGASVRGGVGPFGLLAMASGDDLREHTAVFFRVFRIFHEYTVLMCTDLTSTVSCIRACLALTMCPCLLSFDDTQIDHSIIESFGGGGRTCMTARVYPEYVATGSSHLYVFNNGSDAVKVSKLEAWELATASVNAIVAEEDGLASSSAGNTCKNAA >DexiUA01G0019960.1:cds pep primary_assembly:Fonio_CM05836:UA:41436748:41437286:1 gene:DexiUA01G0019960 transcript:DexiUA01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRKHPYNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTEDMKSFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >Dexi4B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:4B:20656613:20658333:-1 gene:Dexi4B01G0018310 transcript:Dexi4B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVLVNAVLDSQLVYMMSVMLIPQGVLDAMDRRRHAFFWSGDDSVHGSQCLVAWENTCQPKDQGGLGIRNLALQNKCLLMKLLHRLFNPGESAWAQRVRGRIDLITMEGDLSGSHWAQLESLQPLYNAITYCEVQDGRSTNFWSDCWLPNERVKELFPLLHSHATQEQVSVSQILEHGMRSFLERRLTRGATDGSLRSGPIYKSLITVMGSAQSPFAKFIWGSRAPPRVQLFGWLLVQERIQCRKNLLMKHVHPEEDCNHIIFGSTKRTLVSGEATSRDQRDRRS >DexiUA01G0000760.1:cds pep primary_assembly:Fonio_CM05836:UA:2491964:2493799:1 gene:DexiUA01G0000760 transcript:DexiUA01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFHPLPRIRRSSTMAGFIVQQRVHVRQGRLNYTTLADLPEGAPDMTGTFPIHNQPAVILFDSGATHSFISISSALARSAPGRSSAATWPASGGGRAELAEPRLDALTWPTQVPPGWHIEWVPSSAEDREE >Dexi3B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:3B:15360330:15360834:-1 gene:Dexi3B01G0020370 transcript:Dexi3B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLIDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >Dexi1A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:1A:21880056:21881175:1 gene:Dexi1A01G0014990 transcript:Dexi1A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNAALPVLVATLLAVATLSAAGGGKQPLKVGYYNGKHGGVEPIVRDEVYKTLNVDRSKGAALVRLFFHDCWVKGCDASVLLNPSSKNPYPEKVAGSNIGLRAFDVIDKIKARLEAVYPGVVSCADILAFAARDATRYLSDGHIDYAVPSGRLDSVVSRAKDADDTLPSSTGSFPDLKKNFGNKGFDVEELVVLSGAHSIGVAHYPSFKDRLAAPQSEIDAKYQTALRNAAKNKSRTVANDIRDESYSFKRDAGYYTVVSGRKDYLNNTYYHNAMDNRVLFKSDWVLRTDAFALSKLKEYRDKPKEWDSDFADAMVKLGKLLPAEGEGLLEIRKKCSAVNTY >Dexi5A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:5A:4022106:4028171:1 gene:Dexi5A01G0005390 transcript:Dexi5A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRGSMRSRRDLPPPEKTIEKLEAMVDGGNFYEAQQMYKSTSARYIAAQKYSEALDILQSGALVQLKHGQVTCGGELGVLFVDTLVTGEIPYSEEFFGRIRKIYDAFPRISVPHFLGDDYDDEGHQLSEAISAAKARAESCSSFLRAAIRWSAEFGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDEMKEQLKSANSEFPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFQELLDEIAAKFYNIQRQNPLEGLFGEMFKI >Dexi2B01G0021890.1:cds pep primary_assembly:Fonio_CM05836:2B:31538229:31553024:-1 gene:Dexi2B01G0021890 transcript:Dexi2B01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAAGSPPSVAALGFLLPTCWEIEVTCAAAMILVALYAAYELLNPRPPQASAGGDPSAGHIIGEHDGVDKFKGGSSGPSAYVVKLELLAAKNLTAANLNGTSDPYALITCGAEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVTVPVESEGQSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNNSAEAGARRRISLDKQGPTVVHQKPGPLQTIFELPPDEVVIPLRDIDEIRRSQHAVINPAITIFLRMGAGGFGVPPLGCPDGDGRHGGVPSLLPVPFRLSVSSSVVLSVRVYARSMERAQSALRAHSSSRKNSKKEVNVPEDCAALTGQLQPFVKEEVLVPVFNGTFPCTADQFFSILLSDDSSYITEYRTDRKDKDINEQPHRSLLRHDLCLLSHLRRLLQLLLRRHHQLQRLLRPPRPDIGCLGLWHIADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLPADKMDLVFETVQQVHDVPFGSFFEVHCRWSVKTISSDSCSLNISAGWLSLQILLCAHFKKWCIMQSKIKSGAVDEKVHKHLILRVVYFILRALQLKKEVKEMLDFAQAYMLKVRSPNEENSDLTKQENMTSDEVPGDQ >Dexi2A01G0036540.1:cds pep primary_assembly:Fonio_CM05836:2A:46083250:46093859:1 gene:Dexi2A01G0036540 transcript:Dexi2A01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRRGESVSVVSSTPPNQTPLARGGQRNHLHPPLRCCRRRTTRRACQRRPRLTQRIQVGSSMGPTVSCSSRTRFDWLITKDSETNRPVAWLYITESGFARPPPTLCSFRKRKRTERKGEPNQPPSLPGISLSSRPAAKLRSSPHPSPPARHRHGRRPPRVADPEPEEEEEEGAMVDTRRSSAAKRRASSEEASPPPASPAASLPVEPAPSSSPTPPPQSRSGKRAKVAVARAEDPGAKSAEDPAAAAVDVLDSSLNNLTGVARAPVDMTTSSAVSNSAGRRKKSRPTRSFAAGEEATLWKTRPVSATGRSEAWGRLISQSSEVGSSWRRKKSRPTRSFAAGEEATLWKTRPVSATGRSEAWGRLISQSSEYPSIPIHPTHFTIGHGGKNDLKLTESSPGSPVCKLKHVKRGAALEIYVSKVVHVNGKALDKTAKVTLVCGDEVVFSSLGRHAYIFQRLPEEKSSTSPLCSTCVTHQDQYPVVKGTPDHLSSKGTKISKPFNLGNGRPPLVPHDKEIVSSLCKTMEEPNNCTPEENMSFGRHQLLKDDLKKATISSSDISESFDNFPYYLRFFFVIMKYRLLAPHHCSENTKNVLLSSAYVNLCCKDSTKFTKEISSLCKRVLLSGPAGSEIYQELLGQPSKSKDDSYRKGDRVRYIGPRRLSGTILDGQRAPDYGSQGEVRLPFEENGSSKVGVRFDKQIPGGIDLGGNCELDHVDSLCLDGPGWENRAKHPFDVVFEFASEESQHEPLILFLKDVEKMCGNNYTYHGLKNKLESFPAGIFIIGSQTQTDARKDKSNSGSPWLKFPYSQAAILDLAFQDGFGRVSEKNKEALKMSKHLTKLFPNKVTIESPQDESELSRWKQLLDRDIEILKAKANVLKIQSFLTRHGMECTDVESVVCVKDRILTSECVDKIVGYALSHQLKDRTIQTPGKDERVVLSSESLKHGVDLFECLQSDPKKKSTKKSLKDVHTENEFEKRLLTDVIPPEEIGVTFEDIGALENVKETLKELVMLPLQRPELFTKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRKKILGVILAKEDLADDVDLDALANLTDGYSGSDLKERAIAAAENRPAPPQCSSSDVRALKFSDFKHAHEQVCASISSDSNNMNELIQWNDLYGEGGSRQKTSLSYFM >Dexi4B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:4B:9529859:9531250:1 gene:Dexi4B01G0011920 transcript:Dexi4B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSRAPAWATSSPSSALIAALATRDDVDVSVVTVLPTVSAAEADHLAGLFSAFPRVRRVDLHLLPFDASEFPGHDPFLLRWEALRRSAHLLSPLITGAAPRVSAVVTDVTLTSHVVPIAKELGVQCHVLFVSCATMLSLLAYVPVHLDKKAEPEHGVGDVDIPGVRCIPQSYLPQPLLDLNKLFTKQFIDNGREIVNADGFLVNTFDAHEPVALAALRDSKVVAGFPPVYAIGPLRSQTSAPENNSKPEREPTGSPVAWLDEQPAMSVVYVAFGNRNAVSRDQLREIAAGLEASGFRFLWVLKTTTVDRDDTAEVADVLGEGFLERVRGRGVVTKAWVDQEAMLRHPSVGMFLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVNATVVVSGGVGVWMEHWSWDGEDRLVTREEIGEKVKEVMSDATVRARAARIGEEAAKAVAEGGTSYRSLEEFIAKIKAT >Dexi1A01G0029050.1:cds pep primary_assembly:Fonio_CM05836:1A:34581282:34583478:1 gene:Dexi1A01G0029050 transcript:Dexi1A01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSMPIKEADYTRLCHRKTILTVNGLFPGPTIHARRGDVITVNIKEADYTRLCHRKTILTVNGLFPGPTIHARRGDVITVNVHNHGDKNITIHWHGVDQPRNPWSDGPEFITQCPIQPGASFTYTIVLSWEEGTLWWHAHSDFDRSTVHGAIVIRPKLGASYPFKKPHKEIPIILGEWWKGNVRELLAGALRTGGEVQPSDANTINGQPGDMFPCSEDDTFTLPVEDGKTYMLRLINAALANEFFFAVAGHRLTVVSTDASYVKPFTVDDVFIAPGQTITVLLSADRSSRGLQNARYYMAARPLSTNPLVVFDNTTATAVLEYGGDRRTPATGTAAPPDSPTLPALNNSAAADAYTSRLRSLDTDAHPARVPRRVDEHMLVTIAVNVLPCGANQTCKGPKGNRLAASLNNVSFEAPRHVDILGAYYRWRSAGGVVVGDFPSDPPVVFNFTDGDLPPELWVTKRGTKVKVLEYGTVVEVVFQDTAILGAESHPIHLHGHSFYVVGTGSGNFDKHRDPAGYNLVDPPYQNTVAVPNGGWSAIRFRAINPGVWFMHCHFERHMVWGMDTVFIVKDGHGTEAKMMPPPPGMPRC >DexiUA01G0018610.1:cds pep primary_assembly:Fonio_CM05836:UA:39402171:39402967:-1 gene:DexiUA01G0018610 transcript:DexiUA01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHLCIAAVVLALAAPALAGDPDMLQDVCVADMASPMKINGFPCKANITADDFFFAGLKNPGNTNNPAGSLVTAANVEKFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKVVTKGDVFVFPRGLVHFQQNRGYGPAAVIAAFNSQLQGTQAIAMTLFGAAPPVPSDILAKAFRIDNGEVDHIKAKFAPK >Dexi9B01G0026270.1:cds pep primary_assembly:Fonio_CM05836:9B:28090303:28090677:-1 gene:Dexi9B01G0026270 transcript:Dexi9B01G0026270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKNTGHASNSKVTAMIMMIQKFMREEAKLKKQIEAANRRGEEQKAKVKNFDPKLAPMMNCAFEGRGCEELESYHDQLVEMQRMVADLQNK >Dexi9A01G0041080.1:cds pep primary_assembly:Fonio_CM05836:9A:44740920:44742420:-1 gene:Dexi9A01G0041080 transcript:Dexi9A01G0041080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEMQVERLHEEADAGGANTDKLSYEIFSILESKFLFGYTDPHQLWLPKAAPPPAQASAASVAQGKATQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLAAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAPRMFRRPSSSSSSSLFCRGNKRRPLAAPTAALGAAMKSAFGEELTLRDTIKPVLISCYDLKTSAPLVFSRADALENESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPTMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGPGAAADADLLRMSRWGPKEWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYEPTPGKVRALLAAADEMLKQRNVESVLFEGRRVGEQTNAEKLDWFAAQLVAEHRSRGSRIAPTVAFKQAPQKPSALG >Dexi1B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:1B:12461738:12462848:1 gene:Dexi1B01G0012010 transcript:Dexi1B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLALLFLFLSGARRCSAAATASPSSPPPPPPPPPRPTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAERLGLPFVPPYLEQNMRAGAAGVGVAQIDGMIQGVNYASAAAGIISSSGSDLGMHVSLTQQVQQVEDTYEQLSLALGEAAAANLFKRSVFFVSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVSTIRQEIKF >Dexi3A01G0000070.1:cds pep primary_assembly:Fonio_CM05836:3A:58170:60130:-1 gene:Dexi3A01G0000070 transcript:Dexi3A01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAGVRRWLVDVAHWRPSPAQFDAVVALLPSHERPAIARFVREDDRKRALVSRLLHYSLVHHVLRIPFRQINICRTPEGKPYLKNGSALPNFNFNTSHQGDYVGIASEPLYLVGLDIVSVSKPHGETASEFISNFSSYLTHHEWNCIVRAGTPSQVLTEFYRYWCLKEAFVKAIGAGVGFGLRRIEFHHAHWTDISIHIDGEVSNKWRFWLFNLDEMHLASIAKGHPEDAVSSYKKTLSNATVAEEQLHSALEGPEEAFSLWTVEQLTQLLE >Dexi9B01G0040100.1:cds pep primary_assembly:Fonio_CM05836:9B:40723511:40723899:1 gene:Dexi9B01G0040100 transcript:Dexi9B01G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTSTSLDIVGRATAPATFLTPDLTRHNTRLSYAAASRQPFSTAPDLTYHATFLLSGPAPDETHHEDELNQTR >Dexi5B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:5B:22143325:22148929:-1 gene:Dexi5B01G0019900 transcript:Dexi5B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPRAPLPAAALLLAAAACLAFCAVAEPPPSERSALLAFLTATPHERRLGWNTSTPTCSWVGVSCDNGNSTVVEVRLPGVGLVGAIPPNTLGRLTNLRVLSLRSNRVLGSIPDDVLRLPNLQSLFLQQNRLSGEIPPGIAGLTGLERLVLSHNDLSGSIPFALNNLTALRVLRLDGNNLSGSIPSISIPALKSLNVSDNNLNGSIPKSLSGFPADSFSGNRLLCGDPLPPCGSSFFPPAPAPGMSPGGGGPMPGSSKKRKLSGAAIAGIVVGAVVLGLLLLIAIVLCAVSRRRRDGAREGPKAAATSSAAAAGAATGRGQPPPGSGDGGGMTSSSKEDLGGGASGSAAAVAAAAAGGGAAGEHSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMEALGRVEHRNVLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHEYDMASRVVTLGTAVRRIGRGGAPGCWKIPPETEFAGIDAPPIAAFSRVLPRCAGSRGSGRTPLDWDARMRSALSAARGLAHLHTVHNLVHGNVKASNVLLRPDADAAALSDFSLHQLFAPSSTRAGGYRAPEVVDSRRLTFKSDVYSLGVLLLELLTGKSPSHASLEGDSTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGAGHGGRTTTEESEGMRGTSEEEPSRSGGTPPAAPTP >Dexi2A01G0027200.1:cds pep primary_assembly:Fonio_CM05836:2A:38577279:38578280:-1 gene:Dexi2A01G0027200 transcript:Dexi2A01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPACSLLLSTLFRTPSLSNKNAAHTGWSDSSSSSQPRMISSGRSNPREDADADDRLPQSNRSSDTATDTVLLRRAEHVRAGSSACSTVVAGAVALPKVLDEKERAAAVAVDDDDNGGLTLLVSPGTYMQHVNGGALPAACFAACFPRPKEYSVAMSGTSLPQRCGSLAFRSVDGEVRSTSSPASASSASQPPADSLLLGCASVSGLAVTEALSSPATDASGEDTSVPGAPASSSSRAGEAWARWSSTETEGMGRGLSMSSRENWRSEKGSMETSLTSGGGSSGSGGRCHRKVSSQDAPWMESLAMAGPGQDARA >Dexi9B01G0042150.1:cds pep primary_assembly:Fonio_CM05836:9B:42445649:42448459:1 gene:Dexi9B01G0042150 transcript:Dexi9B01G0042150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLERYRSSSYNSQEVKTPLDSEINYQDYLKLKTRVEFLQTAQRNILGEDLGPLSPKELEQLENQIEISLKHIRSRKNQMLLDQLFDLKSKEQELNDLNKDLRKKLQETNAESVLHASWEEGGHSGTGGNAMQPYQGFLQHPENDPSLQIGYHHQAYMDQLNHEDMADPNEHGRSGWI >Dexi4B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:4B:6115642:6116912:-1 gene:Dexi4B01G0008510 transcript:Dexi4B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEAEKEAIPLMTLFKMGQFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATGVSATAQGYPATPGIWTHEQVEAWKPIVDAVHRKGAIFFCQIWHVGRVSRNELQPNGEAPISSTDKQTSPAAVSKTEYSKPRRLRTDEIPGIVDDFRRAARNAIVAGFDGVEIHGAHGYLLEQFMKDSANDRDDEYGGSLENRCRFAVEVVDAIVDEVGANRVGIRLSPFLDYKDCVDSDPVALGSYMIQQLNKHQGFLYCHMVEPRMAIVDGRRQIPHRFMPFREAFNGTFIAAAGYDREEGDKVVAEGYTDLVAYGRLFLANPDLPRRFELGAPLNDYDRSTFYTQDPH >Dexi3A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:3A:11833666:11836739:1 gene:Dexi3A01G0015900 transcript:Dexi3A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQQPLATPAARHPPRLLPVLACDMAAHSSAASTLSFGRHKTLAAAAGPKTLPAPASLSFSATQPACLLSSSAARRRDVAAMVAAPPAVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALAWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEELAYKNTVEGITGIISKTISKKGMLEVYNSLSEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPEGDLGPLHPFTAGVYIALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >Dexi5B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:5B:11858988:11864151:-1 gene:Dexi5B01G0014940 transcript:Dexi5B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVPLLLSLMLYLILFLSCCQDFLIRNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLVATYAEDCMMKIWKP >Dexi1A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:1A:23000419:23004503:1 gene:Dexi1A01G0016010 transcript:Dexi1A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRRVLLVAPWALLLLALQLAAASHVIHRSLEAEAAPPSVPSSIVSPLLRTGYHFQPPRNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSHDLINWFALEPAIYPSIPSDKYGCWSGSATLLADGTPAITYTGISRPDINYQEQNIAFPKNKSDPLLREWVKPAELNPIASPEPGINATQFRDPTTAWLADGHWRMLVGGVRDTRGLAFVYRSKDFKKWTRAKHPLHSALTGMWECPDFFPVAGSGEENGLDTSEHGEKYVLKASLDLTRYDYYTVGRYHKEKDRYVPDNPAGDYHRLRYDYGNFYASKTFYDPAKRRRVLTGWANESDSVPDDKAKGWAGIHAIPRKIWLDPSGKQLLQWPVEEVEKLRGKSVSVSGKVVKPGQHFEVTGLATSYQADVEVSFEMSSLDKAEPFDPAYDNDAQKLCGVKGADVKGGVGPFGLWVLASGDLREKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSIAIGKGAHLYVFNNGEADVKVSRLTAWEMKKPLMNGA >Dexi2B01G0036530.1:cds pep primary_assembly:Fonio_CM05836:2B:43323573:43333341:1 gene:Dexi2B01G0036530 transcript:Dexi2B01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPNPPRSFHAHDLPPPPPPPAHDIAERLRHLRDLRRAPLSTRVARIADLHTDEASPVRKHVAEIIGELGSKHMGYLPNVIPCLLHLLDDEAPAVVRQAIKTGTALFAKLLQHLVIQGLFTTGGIDDALKSSWEWMLKFKSTVSPMAFQTTGNEGVRLLAVKFVEKTVLMYTPDPNIPSDPPNEATKDMGFNVAWLRGGHPLLNVRDLAMEASQNLELLLEQLKSPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPSSSIIKLRVPGAFHALKSAFSACLKCTHSSAEPWQARLLEAQNIINRGDSNEDTGTAAKSFGDTSNREESRPLMERSTDSSNKRSLAEDTNHMTEDDGHSSKRVRHSLDAQEHSEEESSKRNIESTSVDTSSSQPISIRTGNSEAVYQLIGMFAALAAQGDRAGGSLQILSSSIASDLLAEVVMVNMQHIPISCPEVDQPQLPSTSSGDGIPFSSSLSLLASLLKRVNQIDQDEAPPAKECAVVSTVADDIMAVPASSSVPSSVNLPMEEDSNSPTVPLCAETAEVKFPLELDPWELLQKHVLSDYANNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLSVAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDIQNGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSTHHLEEVRMKAIRLVANKLFPMASISKKIEDFANENLNSVLEVIPSGDSSATEMSAPEAHNNGLENLSASVADAQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVQRQVPILIRTIGSSPDLLGIISDPPGDSRDLVMQVLSAFPSIVNLPMGKFQTALSRILQGSSRNGPSLDPSEILIAIHVIDPDKEGIPLKKVMDACSGCFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGVYPALVSQFLTHIVCHQDEWSYRSFRASGSHVVVDFVMDIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPTLKAPLVEHAEQPNVRSTLPRSTLVVLGLAEGQQQPPPAVSQAQSSQNLAAETSSSAADTATEVTQESSAAS >Dexi7A01G0017710.1:cds pep primary_assembly:Fonio_CM05836:7A:27294157:27294504:1 gene:Dexi7A01G0017710 transcript:Dexi7A01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSEGTAGAVAAPPSSLSAANEGSLARQYSELRIGGREAGDKEMEGILARFASLRNREERLAAIAADLREMEAQRRAAGVAPSDAEVAAFVLLRESADAALEGIPAYLPIE >Dexi4A01G0023700.1:cds pep primary_assembly:Fonio_CM05836:4A:26732358:26736386:1 gene:Dexi4A01G0023700 transcript:Dexi4A01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGAGDYAPYYPPYQAPAAPAPATYPSVSAPASAPPYSPYPTDFAPAPSYPAYPPAQPVDLPHYAPPAAAPPPPQPYYPYEPPPLPPSPHNPVPSPYPSLDRAGSYGYGSGSGSGYGQELYPPKPAGGGGWSDDEVYAYNGGDAPEPYGARGTAPRSGSGSALFDDYGRSIGSAADKGGRGGSAASPKVMRAVPKAETTEDVRGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPKTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDVEAKRIRLKSNSYTSNTILDTVTAATVQFKEMGGSSISRSRAIADVAKPPDQQNERRKFFPDLRNLMKPMNEEKDHWVPDEAVSKCMACAADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTADADAQPVRVCDRCMAEVTQRLANAREAANRPIVHSHEDLAKKLQEAMDINKRSSSGTRSSDASGKRMREVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSAR >Dexi8A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:8A:7574079:7575369:1 gene:Dexi8A01G0006980 transcript:Dexi8A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPSTAAAAAVAHHYTRLITAAASSPATLRALLPIHARAVVLGISANLAFTTRLLAAAAPASLAYARRVFDAAPERDAYMWNTLLRAHAHTHAQSHTVDALVLYKRMRAAGVAPDHYTYPIVLPACASAGVPRLGRAAHGDAVRFSLAGDGFVRSALIAMYFQQGAVADAELVFAESSGSSRTVVSWTAMVAGYVQNYFFGEAVALFGRMIAEGVLPNEITLISFLPCLQGQEWLDAGEMVHGFVIKLGFDANLPLVNALIAMYGKCGRTAMAEALFEGMMVRTLVSWNTMVAMYEQHGNSVEAIKFFRRMLTQKVGFDCVTLVSVLSACARSGALDTGKWVHEFARSHGLDTDPRIGNVLVDMYAKCGAIANARQVFDCLNVRGVVAWSAMISAYANHGESAEALKLFSVMNTEGF >Dexi5B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:5B:43457:45179:1 gene:Dexi5B01G0000050 transcript:Dexi5B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQASSTVDSGLKTHHRQQASPWRTTTMVTRFHGGYFRISLALSGQAMLWRTLIVSSSSDAQLLLLPSAAFLLLWSLALVALCALCALYAARCVLRFPAVRAEFRHHVAMNYLFAPWISCLLLLQSAPFLRPEAAPYRLLWCAFSLPILALDVKIYGQWFTRGRKFLSMVANPASHMTVIANLVTARAAAHMGWHEAAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISSSFDTCSKMLFFLSLFLFASLVSRPSLFKRSMRRFSVAWWAYSFPLTVLALASGEYAQAVRGAAANALMLALAVLSVAVTLALMLFSALRTADLLPQDDPFHFPPPPLPIVAARVLH >Dexi1B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:1B:5735655:5737746:-1 gene:Dexi1B01G0006930 transcript:Dexi1B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLDHLKIVYVSGFRCYRPQIELLHGILGNGAVLEQSELLIDFTQSNGRAVTGSDFAWRLRNSAAASRRGPLPPAAQLLMTGTSTSILEKVSPPATEMHDTSVAIAPGTGNGNGMETQPQRRVDSASSFYWRG >Dexi3A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:3A:11530708:11532420:1 gene:Dexi3A01G0015500 transcript:Dexi3A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMAFVVILCFFCRFYTKGFGMKLQREAAEEESKSAFLGYGSEENNFAIKLDYNDGIRNYDIGEGFGHLAIATENALGMKLLTKRDLPECKCTLAKLGYADEDKTTALELTYKYGIREYRQGDAYSQVVIATDNVHKSSEAIDRMVKILGGKVLQRPGPLPGQKITTFVDPDGWKMVCPIFINKSTSVR >Dexi4A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:4A:7845025:7846848:-1 gene:Dexi4A01G0009920 transcript:Dexi4A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAAGSETPKQLLSIIRDFASEKSHGERRVSDLKRRLADARAEADAAAAELEAAKRAREAAEQELRGSQVQAAIAAKTIQALEATISRLQEEISKVASNLDELKEISTDGLLRISRIQSLWAAACRRPTCWRGE >Dexi1B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:1B:22771557:22773408:-1 gene:Dexi1B01G0016240 transcript:Dexi1B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETHGIVIVGGGICGLATALALHRKGIASLVLEKSRVLRAEGAGIGIQANGWRTLEQLGVAAELRKTADLITTYQDVWLQGSKSTRDRYPVRTELRCLNRKDLIEALAKELPAGAIRFGCRITAVHADTNGHGAVLTMADGTTMKAKVLIGCDGGTNSVVAKYLGLPPIRTIPRPVLRGFTSYPHGHPFETEFLRLRVGDFFIGRLTITDNLVHFFITMAKPVTETWLTNSDLRVVRDHMLKIMEDQQCPAEIIEVVRQSDPESLNLVRDFWYRPPWEVALRGFQKGTVTVAGDSMHAMGPFIGQGGSAGLEDAVVLARSLARAVGGAAAGEEKMIGEAIGAYVRERRLRLTLLSLESFIMGVLLVRSPSPVLKLACVAVLVLLGSRSLRHANFDCGRL >Dexi7B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:7B:16791273:16792857:1 gene:Dexi7B01G0009110 transcript:Dexi7B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVADDAAGKPHAVLVPMPAQGHVTPMLKLGKILHCRGFHITFVNSEFNHRRLLRSRGAHALDGLPGFRFATIPDGLPPSDKDATQDVPSLCHSTEESCLPHLRALLAELNASPDVPPVTCVVGDDVMCFTLDAAREIGVPCALFWTASACGYMGYRYYRTFIEQGIFPFKGDQQLPNTATHTRPLHAPLKEEQLTNGFLDTPVDSAPGLSKHMRLKDFPNFFRSTDPNEFMVHFAIRITEKIAGADAVILNTFDELERGALDAMRAVIPSSASIHTVGPLPLVAEQVVPRGGELDALGSNLWKEDVSCLAWLEGRRPGSVVFVNYGSVTVMTNAELVEFAWGLASSGHDFLWIIRPDLVTGDDAVLPPEFVEATKGRGLLASWCPQDAVLRHEAVGVFLTHCGWNSTLESLCGGVPMLCWPFFAEQQTNCRYKCVEWGVGMEIGHDVRREAVEEKIREAMGGEKGKEMRRRAVEWREAAERAARPGGSSYANLDKLVADVLLSGGKST >Dexi5A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:5A:21769766:21772308:1 gene:Dexi5A01G0018230 transcript:Dexi5A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLAGHFRSCSAWKLSCIDCGEFFSQDTVQGHTQCISEAEKYGPKGQTKPSNGVQGKPDKPKPNADVDINVGLSTRPPWFCSLCNTTTTSKQTLLLHADGKKHRAKAKAFHASQKQTDGAEQTADVKETGAVPTKESSQVNGVKSGDRERDEEKDAGKRKRMDDMSREEPDNTKRQHLTSSKIGEVVQSKDGKSGNKAKGTADELAGGADCKSVQKQNIKWKKIITKTLKTNPDGVMKLKKLQKLIIKELQECGMTEDKEGLCATLMDKIASSSRFSVDGKRIRLVAKNEEES >Dexi9B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:9B:3128771:3130516:-1 gene:Dexi9B01G0005360 transcript:Dexi9B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAFSPLHCLIFWLLLVHLAGSVAIPPPSGPSNQQHEQEPSKAAYIVYTNHVAKPSHFATHEHWYTSMVASLSPSAANSSSRVFYTYDTVAHGFAAELTSDEAQRLSNTTGVAGVFEDGVMHLHTTRSPGFLGLDKEFGILPDANFGDDVIIGFVDTGIWPESASFLDAGLSPVRRSWKGRCEDGERFNATMCNNKLVGARFFPYMGTRAAKKRSDYYYYYVDFQSPRDKLGHGTHVASTAAGAEVAGAELFRFAGGTARGVAPRARVAVYKACDADPAKDCSTSSVVAAIDAAVKDGVDILSISLGDSEDDLYTHPPSVALFGAVRAGVFVACSAGNAGPEASSLSNVAPWITTVGATNLDRVFPATITLGNGQALTGQSLYPYTAKGTQRVRLVPSHCNSSMSEFVPDRIMGSIVVCTGLFRVGLLTGIAVQSAGGSGLVSISTEDWGMEGLAVDAFTLPAITLGAREAVKLEAYMHSDPYPVASFCFTCRTAVGETRAPMVASYSSRGANHIVREIMKPDVVAPGTNILAAWPDETPLSEDTGDVDPRRESFNIIFGTSMSCPHVAGVAALLRNRH >Dexi3A01G0002600.1:cds pep primary_assembly:Fonio_CM05836:3A:1742657:1748258:-1 gene:Dexi3A01G0002600 transcript:Dexi3A01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTENPDPLIFSGNGAPPSSAGPPAPHISERRRSIPLPVAFPTGFPRPSSAGFRPTAAHSDAAAFCPRLRRLASAICSGLYHFEQREKMSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKSRATKEDQAVSFRTATAKEEGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDTKGKNNLASVDYDEAVKSSQTNGSALRNQSEKNMPPPPPPQNNNFNGKEKQSAPIARADDDDIFVGDGVDYTVPNKEMSQSPISEDMDESPHNHQKQSYFTEPPMYGPVPPSEPAQAWQQPHGYDAVQAQMVAAGYQGDWSGYAYAEQQLGYPEQYVQQRTQEYDVLTDPSIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEVAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGADDGGHYDDDLPSAKKQRG >Dexi8B01G0002890.1:cds pep primary_assembly:Fonio_CM05836:8B:2026791:2030660:-1 gene:Dexi8B01G0002890 transcript:Dexi8B01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPTNLASPASRASASSSLRYLANGDSDVLPGSGSPEQPERSTGTRGLQERRGQQAGGSEEEEEERWSFLALLFELLRKSLHGCRAVGAGGGEGESGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKMADPLTALMYAVQVMNFLKKLIQKTLKDREESNLEEVSLPQKDSSDENGHHKPSVTLDSLLEEGSRRPSFVNEEPLLNSPAHSPEDKPNEITVAFTVQTSEALASREGSTSCSQPTLASPAAPTDASGATATDSLQSKGSRSLNRRRTRKVKGQSGTRATPASEKSRGASIVSRINSKVERIEAWR >Dexi9A01G0042740.1:cds pep primary_assembly:Fonio_CM05836:9A:46267426:46275608:1 gene:Dexi9A01G0042740 transcript:Dexi9A01G0042740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHGGSPAAPVTGDRYLELLVRFVARNAGALLDGTVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAAGPARDPAPLSLLPFARLRVLELRGCDLSTSPARGLLELRHTLEKLVCYDSTVSSRIVKLVVRNNALTTVHGIENLKSLMGLDLSYNIISNFSELEILGTLSLLQNLWLEGNPICCARWYRAHVFSFFRNPENLRLDDKGMNTQEYWEKQVLFARRQNQPAGYGFYFPAIDDHEDEDTLTSKMRKISRLASIVDGERNLCDDGVEQQSTPCDSDSSKKDEVAAADHDIKIASLINTVELLKKEKSSDWLREFKEWMDDNAEKIEGENLSPDFTSGNGRDVALLRSSQNKLYVLLLDMFCDEKENMPRVLDSYTLESLKMVSVGLGLQLLRVHMVDDTAHLFFTRTSKEAQDVLWLLSVTNSPKLNHELHLQSWENIQVELFEKCICGSAKTGIFFYSMFMFWRNDAEEDSLFIRSIIVTEGSILVCIEDLDQFGGVPDDSDPAYFYLDASCSIRNVLEVVIHQHDNKSLTLVLDNHRQGELQNSIQNPNNKQTDEMDLVHTWKLKWFSEEALLKFISVLKALYLTEAASSLSVKCIS >Dexi1B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:1B:11062498:11065493:1 gene:Dexi1B01G0011070 transcript:Dexi1B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIAISLSEKLGEALSSSAALRISHLFSLRSDIAAAQRELDLLRAFLRFADSRRGRDADDHVVSNKWLRQLRHVAFELEDVADECSLLSVSGRGLARVCVNIRAWLALSRRLRKAREGLTRLSAAKEQYGICCPAADGAYDDVAISITRRTRAENAHFMEREEIVGFAAHEKQLMEWVVEDEDARRTVVAVCGMGGVGKTTLVARVYKQVATTHFDRAAWVVVSQEFTMVDLLRRILKELLLHRDTSSSFRHGSDVDDDDYRSLVEAVRDRLARWRYLIVLDDVWNAHLWNQQLRHAFPEDGTRSRVVITTRNRYVAMAAAPERVKTLDPLPEAEAWELFRAVAFREYVPVRACPSHLEELATGMLRRCCGLPLAIVTVGNLLALRDRTEFAWRNAHDSLVWDRSSSLDLGIGEAASILNLSIDDLPHHLRKCFLTCSVFPEDLSIKRKSLIRNWVAQGLVLQEQQQPGHRKAEDVADDYLDQIAQRNLLQVVDRNEFGRVKHFTIHDLIRELIIQRSTQEEGFLQLLKGKVTMDCNARIRHLVVDRCREEDTSFFSQWATLRTFNTFGSDLDASILSNFRLLTVLNLWLIHINKLPDSVTNLHNLRYLGIRSTLIQELPKELGKLHKLQTLDAKLSMIQMLPSSIEKLKSLRHLIVLTRETTDLLKPYPGTAVGVPHGLENLTSLQTLKYAQAHKKMIRSLAGLEQMRSLELSGVNESHIVDLSLSISRMTCLLRLGLAIQPGTDTALDLESISRPPMKLQRLSLIGRLEGGKLPSWTSSLTSLVHLQLCGCQIARDSLVLLAELPMLVNLGLVNNAYHEREMIFFKGGFPNLQKLTLQNLPNLRQIEFVEGCLGDLRHLAIGMCSSCLPSE >Dexi7B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:7B:17948096:17948460:1 gene:Dexi7B01G0010690 transcript:Dexi7B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKTWCIAKPSASNEILAQNLNYACSQVSCAVIQKGGPCYYPDSLVSRAAVAMNLYYAFSGRHAWNCYFNNSALVVQSDPSYGSCTYY >Dexi3B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:3B:3722709:3727568:-1 gene:Dexi3B01G0005500 transcript:Dexi3B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGLIVFLLFVYAQVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLHYSNTMVSLTSVKVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRIEKSFNDMGIGGSRFGSGSGLGGLSTDMDSFASKPKGRPSTAATAPGKGFGMKLGKTQKTNQFLESLKAEGEVILEDVQPSTVSSRSSALPPSDPVTVTIEEKLNVVVKRDGGINSFDVQGTLALQVLNDADGFIQLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVIISIPLPALREVPSVRQIDGEWKFDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSSFFPISIGFSASSTFSDLKVTGIQPLKEGNPPKFSQRARLLTANYQVV >DexiUA01G0010190.1:cds pep primary_assembly:Fonio_CM05836:UA:20346857:20348088:-1 gene:DexiUA01G0010190 transcript:DexiUA01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSQNQIDNYCRAPLPPLSRLPVFARPHRSRPPATARRRLPPLANLRVEPPKSTPRQTEPLTPSRANSGEGAAVRRREPLCSVNRGSGPVHGLVHRDVMPTSAFSRRLVAEPFEFANDPVLEEQLQQQFTEEGKYNTDHPCYLYTN >Dexi5B01G0039060.1:cds pep primary_assembly:Fonio_CM05836:5B:38082883:38085918:-1 gene:Dexi5B01G0039060 transcript:Dexi5B01G0039060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSPAWRYRLFRSVCGGARSSPGATVSMEKARLTPFPREQATTRICLVSSFATELLEIRSKEKEPSLHVLVIPGNPGIAAFYKNFVEALYENIDGQASVTAIGHISHSQKDSERGRLFSLHEQIDHKVDFIEQELQHSETSIVLVGHSIGAYIGLEVFKRFPNKLNKNSVTQSAIGYIARSSLLSKGVSLFASFIGSLQPSVTRGIVRRFLGPSWSVTAVDVACCHLLKYHTVRNVLFMAMTEFQKLTEEPDWTFVRANEGKLAFLFGVDDHWGPLSHFEEISKRAPGVTLTVETEGHTHGYCCNEPGSFWVADYIANLIKNQSLIRNN >Dexi8A01G0015030.1:cds pep primary_assembly:Fonio_CM05836:8A:25810794:25820145:-1 gene:Dexi8A01G0015030 transcript:Dexi8A01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADLAIGISKTAVEALVNKVNTAIKEEAELWQIVQHDTMFMKDEFEMMQSFLKTADWDLGEAAVTEIKLLKARVEEVSSRNMRYNLIDDSSSKLILPQKVASAPAVMGTSAAVDILTEAWSTEQKMGGSVNLSMLINESCDSLRVITLWGTRCDLGVTSIIKEAFEGEVVRQKFKSRAWVKLAHPFNPNQFIKRLAEQFYVNSCKDVGESITEVAKRSDLVKEFVQLNKGRYLVIIEDLSNMSDWQAVRTCLPDSIVVIDGLRAKEDWDSRKQLIPEPSQTSSIIVVVTAEESVAKYCTEQDDSVYRVKALAADDAIKLFEKNFQEGRIFRDDVQMKKEANYILTKCGGIPKVIIVVARYLGARQGDTRQRKLSHLKANFIHELETNPEFVSLRDLFTWMHLKFDALPWCLKRCILYEPVFSESKRMGIRPSHFVRRWIAEGYSKCTNSKTMEEYTAELFGRLSKGTASMGEWRVNSFFQEYINSRLMEERAVFFPLVVSIFDKSRGLRTTEGLGQHVVIGSSWNRSEEFVFEDVDFSHLQSLTVYGAWRSFFIPYKMKSLRVLDLEGTLNIGDDELQRMLELLPRLRFLSLRGHREITCLPDSLFGLRHLQTLDIRHTSVVYIELQKLKNLQYIRAGTALSWTDDREMAATEVSTPSRNSSGSVASWLSKLFRYGPAGPCNGIKVRGGLRHLQDLHTLGAVHIKTADGMGILDEISHLRQLKKLELSGINQKNSKFLSKSILNQKNLESLTLQLEKENHVVSWDDIYLPSSIRSLKLYEHVDKLSARRFNTVRNLRKLSLEVTRLLTRDEVHLLGCIHSLLTLCLRVKNGPDADLQFPAHLPVDNAQGPAGPPVSNGQAADGERQATAPSPARRQAPNNQDGNQQVPARLFGKLQVLEIASKSRLHVRNLRKLSLEMTKLLTEEDMRLLGSVQSLITLRLRVDNAQDGELQFPPQLPVNKDQSHGKNKAPDHLPVNKDQDGNQQVLGRLFSKLQVLELVCMSKLCVRLDEGAMEKLEVMKAHCCSDSSLEVTGLQHPVSLKQVCLQGSYGDALKEALQVQLASHPKKPALKLQMKTQSPSYQASRQ >Dexi3B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:3B:5374415:5377296:1 gene:Dexi3B01G0007580 transcript:Dexi3B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGPLPYSMRDVGTGGAYNNAKFRHRSRLKMVIQSLATNSSKYRCGKFTVGKFLSLLMVSGLLYLFLHKDGVVPGEVHGKDVHNNNARRAPNVSTFWRKPPRLPPRLPPNEIYKNNSLLQQSPSEWTSRQTKVKEAFEHAWSGYRDYAMGYDELMPLSHRGTDGLGGLGATIVDALDTAIIMGSDDVVSEASKWIEENLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHAGSGDSGIPASYKKANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVILRDRTAHAAPDGLSSTSEATTLQLEFSYLSRVSGDPKYDREAMKVLQHMKTLPKVEGLVPIYIKYAFPSSGQFSGENIRLGSRGDSYYEYLLKVWIQQEEYRNSSLKYLYEMYTEAMKGVKHLLVRKTVPNELVFVGELPFGRNGDFSPKMDHLVCFLPGTLALGATKGITKKKALESNSLTDDDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEVRGSLG >Dexi2B01G0028260.1:cds pep primary_assembly:Fonio_CM05836:2B:36982439:36983381:-1 gene:Dexi2B01G0028260 transcript:Dexi2B01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGRVSFTTTRSSGDADDRDDASRRDDRISDLSDNILHQILVLIPFLEAVRTCVLSRRWRGVWTRLPLLILHAGEDAPPPRVRRFADHMDGVLRGYSDANVDVDNLFVWVDSDTVITNPVRLAAAAAHLAARRVTGRLAIFLSPTSADMYHMAGDGEAVLLQLPCLPRVTHFSLTFIGVHLEMPMAGTFASLTSMYLAGVRFTDDGEGISDVVSSRCPRIKILDLLTVRGLRTLTVVSRSLVSLRLCGVMELERLRVVAAELGEMVVDTCFVLNGGAGAAMLLVAPALEKLRWEDRLVARGNCQGAY >Dexi9A01G0042460.1:cds pep primary_assembly:Fonio_CM05836:9A:46054006:46054983:1 gene:Dexi9A01G0042460 transcript:Dexi9A01G0042460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFNLVPDAACFHHALRAAGSASDVCAVLEIMSGAGASPTAPVIVTAVHKLASAGSFEGARRLIDKMPEFGCVPNAVVYTAMLDGMCSYGNVNGAMRLIEEMEGIGLVANCAPNVVTYTCLVKCLCGKGMISEALGVLDRMADRGVMPNRVFVRTLVEGVCAAQRVTDAYDVIERLVGDGAVSSGQCYNVLLICLWRVGMAAEAEGLAQRMMKKGVRLTPLAGSLMVRELCVRKRSLDACHWLGMIEENGVLCDSDVYGTLLLGLCEEGHAHEASALGRKVVEREIHIEASCAERLVELLKQYGDDELASHLLGLKRCPGGLKF >Dexi6B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:6B:27089653:27091001:1 gene:Dexi6B01G0020640 transcript:Dexi6B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRGCSSFLRGLLISRAASRRHNPLFSALRPPVPLPPPTRFSSSSSSSSSRRSTKRSAAKKPMDSAAAGEPFYVVRKGDVIGIYKNLGECQAQVSNSVCDPSVTVFKGYSLRKDTEEYLAARGLKNALYAIDAADARDELFDDLVPCPFQQPDGGASSTLKRPHETETGPSKKHPKVDEQEPLPNSHLSCILEFDGASKGNPGKAGAGAIIRRLDGSVIAQLREGLGIATNNAAEYRALILGLKYAAKKGFKYIHAQGDSKLVCNQVTGDSFANSKFVTANVIWP >Dexi1B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:1B:710847:711840:-1 gene:Dexi1B01G0000820 transcript:Dexi1B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRNVLAVILFRPSGEVMSGSGFVIRRTEEASIVVTSSHTLGIVSDEDVLRVRYPTFPTGVEEVAATILHQDPLLDVAILRVPGLTRLFPLRFAPQDTTVGESVVAVGYCDPDDLLDGLAFTRLPAISPGLVRPEGIIYVDTYDDVDLYYVMLNCICLPGMSGGPVLSRYGVVGMVDSGGLVVDDVNDVYAKAIDPDTILGVLKAYLTSTDPALDLSQSTMEEVLQMMA >Dexi7A01G0004220.2:cds pep primary_assembly:Fonio_CM05836:7A:14609273:14609534:-1 gene:Dexi7A01G0004220 transcript:Dexi7A01G0004220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIITITPKEELLQLRDHLSKRLIHTATASPKLRICIMQPLVDSTKHSSRRSSDAESTWSQSRRSPVSGQLS >Dexi7A01G0004220.1:cds pep primary_assembly:Fonio_CM05836:7A:14608218:14609268:-1 gene:Dexi7A01G0004220 transcript:Dexi7A01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLHLVIEMLPLDALHLINTEPLDPEHIEAIIKQVTADGAVHLGKLTAVPRAHHPVSDGAELVYGLGAHEVEHLVLEGVVPSDAGAAGGVGAVLAVRCGGIELGGGVEVVHGSEREAAERAEEATGVERDGVAEHEVAELVLEPRHGELHGDPRAPRDGGGGEVVDGDVDGGECAASSSGASKTSASGVVSQRWMSCSAASKAASDSAAAAADSCSAEESSGSVAAAAGEGDGKRSEVMEERRWSNPERMRIMSSAAFLDVASDLTMCCAAASTLSSPTTAAGTGTGRSPTPCARGGDGGADIAGSGTGGLGFGSLSPPPPPPPPLDRLDSWTDGVDRQGETNQ >Dexi5A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:5A:20099494:20111224:-1 gene:Dexi5A01G0017070 transcript:Dexi5A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVCVYNHIIHWPELRFSNICFCSNKSSASAYFLFGCPVQSFAAAMWALWAVLQGHLFREYPSKLLNTTLQIVFATVQSFLMAVLIERDFSRWKLSLDVGLVAIIYCENSSTAVIQSLPEVICACLIRVCYLVPNSILGGVIMVGGLYSVLWAKRSEHVHVRKEQMADPEEAAQV >Dexi1A01G0026140.1:cds pep primary_assembly:Fonio_CM05836:1A:32171810:32177608:1 gene:Dexi1A01G0026140 transcript:Dexi1A01G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKEDKKLALLGVKRKQLKRKNDRVLECAVDSEPAAEHGVAEDKELAQRKKNTLLKHKKKNNHAKVKSSQARTDGAVELLSDSKDDTTPKLKKKSKKKLIESSSPVKVYESSVVSDDAGAPKLKKKKRKVKGRKSSAGMNDTEETLHENQDEETQSADVNQLAAQSEDMDNEVPEKAKRRKKKTVKRSGKAKADKHASSKESNLEMHFEVGTANADEITSVDEDCSKGMKKWILEYKQKRPGLKVLQERIDEFIVAHEEQQEKERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLVAMQEKMAKKKPKEIDMNFYRFQKREAHLSELAMLQTKFEQDKKRIQELRAQRKFKPY >Dexi9B01G0041140.1:cds pep primary_assembly:Fonio_CM05836:9B:41606511:41609863:-1 gene:Dexi9B01G0041140 transcript:Dexi9B01G0041140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSDEGSFILPSSFNPPILPAPKSSSSLRLTGSPARVFLDSAAYIADRKNGTFAETTTRTGQAVGVSFWIADPPAVSHMCIHCPGMKVTDLMEEPIVVGAGKDIAVIRIAYTYGARPIESMKDLGVTDFDYLVYRAHTEKPSLELLPNPKPLFFNPREIGFLASADGGGDFMMAVIRPETGQLEYALHIFSSKTNSWTTRLVLLEPPSPRYEHEYLVHETDFVISVEGGTLGWVDLWRGIMLCNVLDSNPVLRYIHFPEPMAGNMIMYLQTCARAFRDVTCSNGFIRLIEIAETRMMVDAAKGSNGPRDRTIGTHTTESYNSDRWVAVTWKTATSSNRWTKDCTAYVSERAISPVLMDNNHESELENLVLAGPVWSMHEEDNFHLMIKADMKDKIAWAFTINVRKSTLEGVTSFPEGRNLFLKLEYHPFILSKYLNMTLGNHKVAASSQIQEREDSRNKPSNAMVLVKGLDPYVTENQLKDILSMFGEPFYLKLDAKERYALVQFARRSCAEEAIRALNGAWIGMML >Dexi4A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:4A:19683550:19687204:-1 gene:Dexi4A01G0016170 transcript:Dexi4A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRRARLFPAVVAAVLVVVLSVVAAPAAALSPDGKALLSLLPGAAPSPVLPSWDPKSSTPCSWQGVTCSPQSRVVSLSLPNTFLNLTSLPPPLASLSSLQLLNLSTCNISGTIPPSYGTSLSSLRVLDLSSNALTGDIPSELGALSELQFLLLNSNRLTGGIPRSLANLSSLQVLCVQDNLLNGTIPSSLGALASLQQFRVGGNPELSGPIPASLGALSNLTVFGAAATALSGPIPEELGSLVNLQTLALYDTGVSGSIPASLGGCVELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGKIPPELSNCSALVVLDLSGNRLAGEVPGELGRLAALEQLHLSDNQLTGRIPPELSKLSSLTALQLDKNGFSGGIPPELGELKSLQVLFLWGNSLSGNIPPELGNCTELYALDLSRNRLSGGIPDEVFALQKLSKLLLLGNDLSGPLPPSVADCVSLVRLRLGENRLAGEIPREIGKLQNLVFLDLYSNKFTGTLPAELANITVLELLDVHNNSFTGVISPQFGELMNLEQLDLSMNGLTGEMPASFGNFSYLNKLILSSNNLSGPLPKSIRNLKKLTMLDLSNNSFSGSIPPEIGELSSLGISLDLSSNRFVGELPEEMSGLTQLQSLNLASNGLYGSISVLGALTSLTSLNISFNNFSGAIPVTPFFKTLSLNSYIGNANLCESYDGHTCSSEMVRRSALRTVKTVILVCAVLGSVTLLLVVVWILINRNRKFAGEKAMSLSGAGGDDFSNPWTFTPFQKLNFGIDNILACLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYIPNGNLLQLLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPGKLILLLLLSVHILLLLLLKYGYTSNITEKSDVYSYGVVLLEILSGRSAIEPVVGEGSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGVAIFCVNASPAERPAMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQA >Dexi2B01G0026870.1:cds pep primary_assembly:Fonio_CM05836:2B:35949178:35949495:1 gene:Dexi2B01G0026870 transcript:Dexi2B01G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLRASTPFAYAKVDKLDAEEARHLRAQYLIHKVLEEKSPAVRSRPPSLARVKARIGVRLKKLRLTVRSVRRHLRNLRRLVAIGGQGSGETAGSPS >Dexi5B01G0030040.1:cds pep primary_assembly:Fonio_CM05836:5B:31077565:31078557:-1 gene:Dexi5B01G0030040 transcript:Dexi5B01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPLRRWKRFLAAFGAIDAAIEAAGPDISRDEFRRARCVLVERLCDASDEDERDELCGHLDTAMVQSLLTLQMVPVAPAMLARTELVPAVRRPEAARVRALAEDIVCRWRATVEGDLVKVRAATAKLAQIESTPPAAAIGDVQFQSEHDANTEAGKNKVTTTVLVDDTDRVESTKISDPLVSKSTSQVTTASISRNRPSPKKVLELRSKETAHGVATTTRSVRVESTKLERRRRASRVSESSGSDGRGVSSCIDGKIEASKRRLREGYREEEDAKRQRKIQVIKAPDMVVVRDHRKQHPIMRERSGVRCASSVKVRRG >Dexi8A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:8A:7110282:7112734:1 gene:Dexi8A01G0006800 transcript:Dexi8A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDLEKRVILMLAKIIPRLAKPGKREPEPEEDKNKAPRRPEERLNIVILISAILWKVMNGFGTLAFVWATVVLLGGFSTLVTPRDFWFVTAISFIQAIGAEEDLLIPAEDAADEQAYNLAQAIDF >Dexi5A01G0026620.1:cds pep primary_assembly:Fonio_CM05836:5A:30205394:30210106:-1 gene:Dexi5A01G0026620 transcript:Dexi5A01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDWITRERRQMEQILELDMEELQVEEVDDDGSSSSSSDVDTFLRSEPISDPSPVSYQACESLIQRIKNMVTLPDAKVDGTRGKFAFLDGDKVLNLPMFYLQGVVLFPEASLPLRVIQPRLAEAIDKAVNHVDAPCMIGVVHAFRRANDGHHTIASVGTTAEILEIQQLDDGSSCVFCRGQQRFRLIRHWLDVDGVVNLWKQTNIARRSDMVMMSSANAIGSHNFVKEIITVQSATGLALRGSPYTWTVALCAACESNIGWLFRARKNLHPNSFWAIRTSQTVDDTQSRRADQIIP >Dexi6B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:6B:26198789:26199165:1 gene:Dexi6B01G0019460 transcript:Dexi6B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEQAGQSYLCSPAMPCHAMSELTMGGFDPRERDGLNKKEEQQQSLLHQGPIHVKYRTAHLKNKSPASPLLGGLPAQAILSIFIQ >Dexi5A01G0022920.1:cds pep primary_assembly:Fonio_CM05836:5A:27034087:27036099:-1 gene:Dexi5A01G0022920 transcript:Dexi5A01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARAAAASVSGAFGLPPDARCSFDHPRRREEERVNRTFVGVYAQQPQQQDGGLYYPKDAVMAAVEECMRKQADALLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSESTANFRCIDKNLRQVHKSVQILQDRQDLAETPNELSKLQIAHEVPSQKSEGTGFSTHAPRENDHSTQVPKHEVALLPIHQVNGMQSPAVQVQSSNGFVLQHLVPVSLSTQHDQQQLNQAPVYYVQSQDHAKSTESKTLEPLVQVVQPIVHNPEARVAVELPQKSSHATELYSQPQSHRPQMPPTQQVDSHTWHSQQPMLQPQQYIIQQVSRQMAQQQSSSPQSQSAPQATPLYPSYCSQKPTNTNSEPIPRSVAVQPPYSSPQQKHHEVAHSFYGQGNTILLPVADHNIQQQQQQPQSLQPHSQGPCLQPSQPSNCSVASYAVQGNGQTYNSTYKNPSNCPAAVVAVLPQPSASAPMAFHHLGPQVMHNLPFGNMVETASVVGYPRDQIEILPAVTAAQPAMVDKLNAGSNVTSPRDWSA >Dexi7B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:7B:8035242:8044663:1 gene:Dexi7B01G0003310 transcript:Dexi7B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARMAFPSCARLLPSTPSTLTFVRFRAGAVSFLAPTPSPSATPRSSRNLALFCSSSTPAPQAAVEETKQPAPGGDEKAEPTVEELAGLLDIRVGRVVKAWRHPEADTLYVEEVDVGEAEPRTICSGLVNFLPIEELQDSSVVVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGSEEEKDRQLDPASPNQVQKKKIWESVQPHLKTTDNCIAVLGEHPMRTSAGPVFCKSLQGARVS >Dexi4A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:4A:4698545:4699624:1 gene:Dexi4A01G0006550 transcript:Dexi4A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRWSVAMARLYVGNLDPRVTAGELEDEFRTFGVLRSVWVARKPPGFAFIDFDDKRDADDAIRDLDDLWNFDFGFRP >Dexi5B01G0029960.1:cds pep primary_assembly:Fonio_CM05836:5B:31039575:31040065:-1 gene:Dexi5B01G0029960 transcript:Dexi5B01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNAPPPPPPPASCLALRPPYPLDLYLATRRPCPNLGVLTNEDADAGDDGKENDAEDDDARGVTRDNNS >Dexi3B01G0023120.1:cds pep primary_assembly:Fonio_CM05836:3B:17897594:17900574:-1 gene:Dexi3B01G0023120 transcript:Dexi3B01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDDALQVAIKIPGREARDVTSVLELMEFKRKDVWGLLLVLFLGQLVAFSMAVSSFASSLIANLGVDAPLTQSFFAYLLLTLVYVPIVLHRRQKLQIAWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIILTWYVLGTRYSFWQFVGAGTCVAGLALVLLSDAETPQEQDPSKIPLLGDALVIAGTVGFAFSNVGEEYCVKKKDRVEVVAMLGLFGLIVSIVQILIFERKSLETVTWSPTMMSLFAGFAVAIFIFYTITPFVLKMSGATLFNLSLLTSDMWAVAIRVFFYQQQINWLYYLAFTVVAIGLIIYSLNESSSDDETATTTEAVAQYQQLQSEDNSTESGSNSGIQERMHKEELHIC >Dexi2A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:2A:6240052:6241530:1 gene:Dexi2A01G0006660 transcript:Dexi2A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKQESSPLHLVVFPWLAFGHIIPFLELSEHLAKRGHFVTFVSAPRNLAKLRPILPELKPRIRLLPLPLPPVDGLPAGAESTADVQPEKVELLKIAFDRLAAPFAAFLASACSGEDATTGEEGHGHAKKPDWIVLDFAHHWLPAIAEEHEVPCAVFFIFPAACVAFIGPKELNDAHPRSSPADFAVPPPWIPSSHSCIAFRGHEAEWIAGALQPNASGISDIGRIWETAKLCPLIMCRCSHEVDGPLVPLLAELYRKPVLPSGLLSPYAAAARASSATGAGDDDDDEEAASLMRWLDAQPERSVLYVAFGSEAPLTPEHVAALAHGLELAVAGGVRFVWALRKPIGEETPPLPDGFEGRVAGQGVVRVGWVPQVRVLAHAAVGGFMTHAGMSSLMESFLFGHPLVMLPLFADQGLTARLMVELRVGMEVPWSGGGELAGEDVARTVRRVMVEEEGKVFARNAKELQEVLWDTAKQERYIDELVEYLRRRR >Dexi2A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:2A:14836022:14837285:1 gene:Dexi2A01G0012700 transcript:Dexi2A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSLEEDSKLKEYINKNGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICNLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMHALQPYTPHNHHSSKKQQLLFLTASSSAAAPPGAAPLSSPHDYFHHYYGGGSYSSSINNNTTTSIVSAAGGRRGFFDGEHQMMSTSCLDGCGGGLVGMYFDELCATTTSSVHGQGLGMESFAIGGTPQLQVEQAEDHHKALLLAAGAANQLEPYNAAAGSSSYDEAKPLVGLTSGGTGAGGTTVQAAVSTTTLHTATTTSSAADR >Dexi2A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:2A:32526257:32526741:1 gene:Dexi2A01G0020420 transcript:Dexi2A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEVAAAADAAAGLEWWRNAAEQSTVVTTAKKPSATASDIPATARSRASCAPWNTAPSATILPPSSFSLPFLPPVVLLWHAVGAPPARGCVLSRCSRDNTREDGRDAGMEEKRRVTRGAARGREGKRRRDHLDLRETRRETHARSPCPRRA >Dexi9A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:9A:15111563:15111635:1 gene:Dexi9A01G0020150 transcript:Dexi9A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAEVAAFTSTCTS >Dexi2A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:2A:2195143:2196449:-1 gene:Dexi2A01G0002710 transcript:Dexi2A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIADADIVRGTVIFIVIVAFLAVIFLFVCTRRKWCLGGIMRNTSASQSYSVVSNHQIKNATIERFLWEIQNEKPFRFTPLQIAGFTRNYSTRLGSGGFGTVFRGSLPNGLAVAVKVFHAGLGERSEQEQFMAEVGTIGRTHHINLVRLFGFCFDDAQKALVYEFMEHGALDSYLLAVAGDDDVDVAKLRDIAVGVARGIRYLHEECQQKIVHYDIKPGNVLLDGELTPKVADFGLARLVNRADTHVSVSCVRGTPGFAAPEMWMMSGVSEKCDVYSFGMLLLEIVGRRRNFDGDAPESQRWFPKLAWEKYEAGELMELVAARSSDGVEGKETVERMCKVAFWCVQQPPEARPPMGAVVKMLEGEMEIAPPVNPFQHLMAPPMVASQWTRMTTSAVPEISIEIA >Dexi1A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:1A:25706980:25707511:-1 gene:Dexi1A01G0018530 transcript:Dexi1A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETMFDLTLKEKTSTKIDQHHHLVFYSRRLWEEEHCSSRESSEPSYDLFCLGRPFMLYHSDVKHYL >Dexi6A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:6A:23217720:23218235:-1 gene:Dexi6A01G0015670 transcript:Dexi6A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGRSSSAGGRRRRRQSGQVSRHARWKRCPQGSRFTTDSGSNRARHTRQSPPRRRPNRDARNLLRNRSARVGPDGGVIDTTGRWWSSAARPSQSHGDGAARPRNTSAARTSPARIATDAAVLYRTNPIRLSLGAGMLEMPIVAGRSVAEFPNSSSCWIWG >Dexi4A01G0022870.1:cds pep primary_assembly:Fonio_CM05836:4A:26130789:26131882:1 gene:Dexi4A01G0022870 transcript:Dexi4A01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVTEAWALAGCGAASKAAAAQELPVQQHLPAAGGKAKKAVSFRGVSSSSTGGQDRREVAAVIGRRSGLASCVLAALAASFSPLAADHPARALVLEEDDDIELLERVKEDRKKRLEKQGVISSSGTETGYLQDLIYKLSKVGQAIDKDDLPAASSVLGPSSDAKWVQNINAAFSKFSSSPEERSMVDSFNASLASLFTSVNKLDTESSKSAFVSSATALEKWIALSGLSGQLKGY >Dexi7B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:7B:22014914:22020026:1 gene:Dexi7B01G0015970 transcript:Dexi7B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREQVTEFLGQVPLLQRLPGSSIRRIAEAVHVKRYEPGDYVAREGEPVDGLYIIFDGQAEVSAPANSEETNRPDYLLNKYDYFGYGTNSSDYQVNVVALSKVDIFRGFTLPEAPTFRQVFGGQFIGQAGISVIKYYVAKHLRALAAASKTVDCLKMVHSLHAIFLVAGDNNTYASDLLYSGVSLNPHREKGLKTYSLSLDHSMWFHKSVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELIMSLTQEALIRREKTRANRRPKL >Dexi6B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:6B:11304027:11305869:-1 gene:Dexi6B01G0008930 transcript:Dexi6B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHPSPSLSSSQLGSQDSGVVLPSGGNGIQLLPQTVVAPTMAAPAPEAASIVIPEASSVGRRQRQALWLTRGRGRPPRTSPTVPSASSPARRKRPSQATSTTSMATQELPAHPTSPSVSNAMTSQAAGEVKMDDGLNMIDEMPSRDNDYHPLKKRVDKSSFPEYDHEGDENDDDQEDSVSPSLVAPNKKRPPGRKHEKEKLKKGGDSVVFQSAVQEMIATKKEMEAERKQDKEIKWGFVKAIEERKVAIEEEKMKLHGEEVQAKKMEQECKIMFMDVSVLDETQKAYVEHMPMQILASKMSGSASENGNGSISSIH >Dexi4A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:4A:23712908:23715140:-1 gene:Dexi4A01G0019910 transcript:Dexi4A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKLILEDDFVWSLPSVCSSSGEDSSDKLKYIGGTDISFLKEDPSTACAAVVVLDADTLEVVYEEFNVVRLQVPYIPGFLAFREAPILLGLLEKVKNNASHFYPQLLMVDGNGLLHPRGFGLASHLGVLADLPTIGVGKNVGSVLCYSQLHHVEGLNQSEVRRELEGKENCNKEFISLTGLSGTTWGVVGDFISYHAMRSSPGSSKPVYISIGHRISLDSATAIVKLCCKYRVPEPTRQVGRILLKKDAFKQADIRSKVFLQKLQRPEQ >Dexi3A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:3A:9335835:9337400:-1 gene:Dexi3A01G0012780 transcript:Dexi3A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCAGKARRDDDDKLDFKGGNVHIITSKEGWDQKIAEANRDGKTVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELEKKVLAAADGGSS >Dexi3A01G0031410.1:cds pep primary_assembly:Fonio_CM05836:3A:35876267:35878551:1 gene:Dexi3A01G0031410 transcript:Dexi3A01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSFDLGKREQVPGNVDIELGLQSNLTSSAQPGFEGFFEQVKDIENLLNTLTKLLKDLQNSNEESKVVTKASAMKEVKKRMDKDANEVTKVARLAKSKVQQLNEDISHLCYGLLISFLQNVANREKPGFGKGSGVDRSRTTTTVALTKRLRERILEFQTIDKLIETGDGEQIFQRAIQKQGRGRVLDTLQEIQERHDAVKEIERKLLDLQQIFLDLAVLVESQGEMLDNIETQVSGAAEHIQKGTVLLQKAKTLQKNTRKWTCIGIIILLIIILVVILSLKPWSWGK >Dexi1B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:1B:788172:788602:-1 gene:Dexi1B01G0000920 transcript:Dexi1B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHPTGQQTTSDDYDRNINSTRPSTRLCDLPPDILYRIASKLPPKEFAKTSVLSTEWFTGCMRSACPRLTFDVVAMCKCEMKHLLYTHVWRFVREVDGVLRKHHGK >Dexi1B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:1B:10805043:10805424:-1 gene:Dexi1B01G0010960 transcript:Dexi1B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGISKTTVDALVKKVNSAIKDEAEKLKIVERDIVLIKDEFEMMQSFLDSTSVGRMNNRVVTTWIRQVRDLSYDTEDCIDFVLHLDTKRSFLKGYEQYFPLIKPSRK >Dexi3A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:3A:4173413:4174114:1 gene:Dexi3A01G0006350 transcript:Dexi3A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSRGGRTLRAQKIVKKCPSCPTDICDFLVNPQINREMMALIESLQQKAVEEGVDANECGNDSDLEENDGGLANEDDVSLNEDEKDRAKDRKTKCQVKNSDVNADRSVNTMAEIKEGDQQPNKHNGEPEEEKYAKDKMMSTEVVVALVAEDENKIQKPQKRKGDASIGTDDTKKMKTNASMDETIVCSSTAVQHIKKSGEADV >Dexi9A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:9A:6439846:6440588:1 gene:Dexi9A01G0010550 transcript:Dexi9A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADDASASPGYVLRSTLSGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLGSGGGARLVKTLTGHTNYAFCVAFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLLAAY >Dexi2A01G0032380.1:cds pep primary_assembly:Fonio_CM05836:2A:42875261:42876391:-1 gene:Dexi2A01G0032380 transcript:Dexi2A01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANTLNVVGPVPFTDVCGARPDPVATPDESNGDESTAAAASTLIGGSTIRQVLRLYQGAWLAKMWVPGVVAIQQGSFVPRRGDVVLASQPKCGTTWLKALAFATMARGTYPPAHAEHPLLRLNPHDCVPFIDMLFADAASGRSKMDALPSPRLMATHMPHALLPASISDNPDCKIIYICREPKDMLVSVWQFTRRSNPNRAFSDVFELACEGMSASGPIWDHVLGYWNASKESPGRVLFLRYEEILRDPVENVKKLARFVGQQFSPAEEQAGVIKDIVGLCSFDKLKGLEVNKHAGSHYFFPNSSYFRRGEAGDWANHMTLEMARRLDVIMEAKLQGSGLSFA >Dexi6A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:6A:23825074:23825313:-1 gene:Dexi6A01G0015940 transcript:Dexi6A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRQSGQLPGGSRAEPDERSLGGGLSEPSAAGVCAIIVAGEEDVLQLALITARCLLKSLRSTASVILAVTATEAGGD >Dexi5A01G0012040.1:cds pep primary_assembly:Fonio_CM05836:5A:9012731:9015205:-1 gene:Dexi5A01G0012040 transcript:Dexi5A01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCVEACDSWRPPRGHAGAPPPLFRAGPRVPAFASLSVRDGGGGGEAAAAAVAKAVEEAVAVRAGEGRREAAGGDEAAAKTKGRRLPPAAELVRHPLAMLALVPHSAALFAAGAAAGAAAKTVTAPLDRVKILMQTHSVRVAGESGKKGVGFLEAIADIGKEEGLKGYWKGNLPQRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQALWSVMV >Dexi1B01G0029740.1:cds pep primary_assembly:Fonio_CM05836:1B:33953772:33954743:1 gene:Dexi1B01G0029740 transcript:Dexi1B01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEEALRREYVVGEEIGRGRFGTVRRCYAAATGEALALKSTPKAPLRSPGADPLDLALAEQEPKAHLLVSGPPPASRHVVALRAAFEDADAVHLVLDLCAGGDLFSLVQSRGPLPEPEAAGLAAQLADALAGCHRRGVAHRDVKPDNLFFDAAGALRLGDFGSAGWFGDGLPMEGLVGTPYYVAPEVVAGGEYTEKVDVWSAGVVIYVMLSGTVPFYGATAPEIFEAVLRGNLRFPPRAFAGVSPEAKDLMRRMLCRDVSRRFSAEQVLRHPWIETRGGSAPAV >Dexi1A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:1A:8627262:8627561:1 gene:Dexi1A01G0010110 transcript:Dexi1A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVVGSLGNIGKTFGTACSLIVGKKLENLHDALSNTRSDGIGALLREGAAAYLNSIVKDCIVVAVTSDGAASAQAGIFKKANEYHY >Dexi5A01G0030030.1:cds pep primary_assembly:Fonio_CM05836:5A:33055227:33055578:1 gene:Dexi5A01G0030030 transcript:Dexi5A01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPSPRMFPIGPCIPYMTLQEQLAMAMEDECFTWLDSQPAASVLYVSLGSFLSVSPSQITELAMGLAASDVKFLWALRGEQQSHVLQFLGDNNGILLGDS >Dexi5A01G0010440.1:cds pep primary_assembly:Fonio_CM05836:5A:7885835:7887536:1 gene:Dexi5A01G0010440 transcript:Dexi5A01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKWKATVGGAGGRDLQLLRHRRVSSLSLLILISPFLAYVVFFPVSPGSGGACGGLRYHQWWRRHDSMGRSSARAPTSLSHIVFGIGGSARTWAHRRGYVELWWRPGQTRGHVWLDEEPPSASTPWPATSPPYRVSSTDTSRYGRRAAASRMARIVADSFAAVANVTGEDEVRWFVMGDDDTVFFPENLVAVLRKYDHEQPYYVGAPSESVRQNTRHSYGMAFGGGGFAVSYPAAAELTGVIDGCIDRYRDMYGSDERVHACLSELGIPLTREPGFHQLDLHGDVYGLLSAHPVAPLVSLHHLDHIQPISPHGQTSLDAVRSLVDAARLDPARSLQQAFCYHDGPPGGRWSVSVAWGYAAQLYPWAVSVHQLEAPLHTFSPDNGPFLFNTRPWRPDDACARPLTFFLDRARNETGVAAAAATVTEYSRHVAGDKLTAKECDKPSFRLAAAVQTLRVLGPKMDPADWQRAPRRDCCETAWDGQGSVLEVRIGRCRKGELAAVNAP >Dexi7A01G0020870.1:cds pep primary_assembly:Fonio_CM05836:7A:29540771:29545432:1 gene:Dexi7A01G0020870 transcript:Dexi7A01G0020870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWLGMVLGVAAGVAIIVAFARCENYRSARRRQLAATVSSFSKMTIEDSRKLLPGDLYPSWVVFSSQQKLKWLNQELTKIWPFVNEAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGISIIENTNESGIVMELEMNWDANPSIILDVKTRLGVALPIQVKDIGFTGIFRLIFKPLVEELPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQAKDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTIDNDLNPIWNQHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVRLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKDEAPNPFMQQFSMTSLERTMSSMQNGSGGSSFDRMSSSRKKKEIIMRGVLSVTVVSGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLILEVYDHDTFRRDYMGRCILTLTKVLLEEEYDASFNLEGAKSGKLNLHLKWSPQPIMRDSREEDSLRLR >Dexi6A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:6A:2615798:2617958:1 gene:Dexi6A01G0002800 transcript:Dexi6A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLPRAPATAALAGAAFPHRLPMPERLPPPRRLPNTAHLNALLTSYGRRGRIRDAQQLFDRMPRRDVISWTALLTAYADAGDPASARLVFDDMPYRNAFSWNALLTLYLRSGPAAAVSAAAAHALFAKIPAKNAVSYGAMITGLARAGMLREAEAVYGEMPLQWRDPVGSNAIMAGYLRAGDLGMALRVFDGMVVRDVISWSAMVDGLCKYGTVSEARRLFEAMPERNVVSWTSMIRGYVKRGMFRDGLELFLDMRDEAQRLLEMDPYNATAYTVLSNMVSSAGMKDDEEMLKAAQLSNMASKSLGVKS >Dexi9A01G0042830.1:cds pep primary_assembly:Fonio_CM05836:9A:46329562:46331459:1 gene:Dexi9A01G0042830 transcript:Dexi9A01G0042830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPYLLVLVLLLRPASAPAFGAPPPSQPLPPRPPQKVQVWPKPVSISWPVAVYAPLSPAFSIRASPSHPSLRHAIAYYTRLIRTERHAPILAPVNYTLSGVPIRLLALSVSDPDVPLGPGVDESYTLSVPPNSGSADISSATSWGAIRGLETFSQLSWAGGGPAAGGQPIVPSDIEISDHPLFTHRGILLDTARNYYPVRDILRTIRAMAFNKLNVFHWHITDSQSFPIVLPSVPNLANLGSYSPVMRYTDQDVRRIVRFAEAFGIRVIPEIDMPGHSGSWAGAYPEIVTCANKFWAPTAKPALAAEPCTGQLNPLNPKTYRVAQDVLRDLAALFPDPYLHAGADEVNTACWEDDPVVRRFLADGGSHGRLLELFVNATRPFLVHELNRTAVYWEDVLLGPKVSVGPTVLPHDTTVLQTWNNGAENTKRIVSAGYRAIVSSASYYYLDCGHGGWVGNDSRYDVQEKEREGTPLFNDPGGTGGSWCAPFKTWQRIYDYDILHGLTEDEATRVLGGEVALWSEQSDAAVLDGRLWPRAAAAAETLWAGNKGVTGRKRYANATARLNEWRYRMVARGIRAEPIQPLWCPLHPRMCHLSQ >DexiUA01G0023560.1:cds pep primary_assembly:Fonio_CM05836:UA:48265598:48267370:-1 gene:DexiUA01G0023560 transcript:DexiUA01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSSSSFAMPSLLPPTIPSGAGGSPGRRIVVAHRLPLLATPDKNSPFGFAFSLDADAIPLQLSRGFTSPVTYIGTLPSPAQSELVASDELDAYLMDTFNCLPVHLAGDRHAMFYHGFCKHYLWPLLHYMQPPAFMASSSTTAAAAAFIAANRQFADRIIEVISPDDGDLVVVHDYHLIVLPTFLRRKCPHAGVGIFLHSPFPPDEIFTSAAAAGVGDELLRGLLNADLVGFHTVDYARNFISCCARLVGIRSAAAVHGGGGGHLGFNYHGRNVIVKVFAVGIDLSHLRATLASPEAAAKAKEIADEYRGRVLIVGVDDVDVFKGVKLKLLAMEKFFEKNRTFRGKVVLVQINNPARSHGADIDAIRDEMEKIAHRITRRFAGDEEEEGAAAAAPDILVRIIDGPVPMHEKVAYYAAADCCVITSVRDGLNRIPYYYTACRDEFAGVVPSSGDVVPGGAGRRRSNKTSAVVLSEFAGSSACLGDGVIRVNPWSTDAIADAMHGAITMAGEDKLARHRSNYRYLREHDAATWAPAFDGTLRFACRDHVVMTFVGLGFGMSFRAIAVRPEFQPLVHRREEDEQGGVLRGRA >Dexi7A01G0023240.1:cds pep primary_assembly:Fonio_CM05836:7A:31278418:31282971:-1 gene:Dexi7A01G0023240 transcript:Dexi7A01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIQTAPAAADGEAGARPGALLRWPGRLFQQGARRAARVCESGDSGRPSRRRRQPSEAMAGNKRKSSAEKQPKHRLPLGADADMLAAASKLRHKGAAKLHQADQEASIPSSLGTKILH >Dexi9B01G0024260.1:cds pep primary_assembly:Fonio_CM05836:9B:20146890:20149631:-1 gene:Dexi9B01G0024260 transcript:Dexi9B01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPSTAVPRRRWQNRLSPTLVRDRCYTRSFQAAGLRPAAVPLPDGAVVHIWLPPTAATGATPLHPVLLLHGFGASATWQWAPFLRPLLAAGLAPYVPDLVFFGASASPSADRSPAYQANCIAAAMAALPSAPQRYAVIGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLYALINGRKLSDLPKISQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELIVVKNAGHAINREKPAELCRHIMNYIADPSVKYRDDRKGSWKNVIKRFAGSSLRKVDSSRPLL >DexiUA01G0016540.1:cds pep primary_assembly:Fonio_CM05836:UA:35190960:35193833:-1 gene:DexiUA01G0016540 transcript:DexiUA01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTLSQLENRGAFIERHIGPDAQQQQEMLKTVGADSLNALIGQIVPKDIQLATPPQVGEATTEFAALAELKAIAGLNKRYKSYIGMGYTNVQLPPVILRNMLENPGWYTAYTPYQPEVSQGRLEALLNFQQVTLDLTGLDIASASLLDEATAAAEAMAMAKRVSKLKNANRFFVAADVHSQTLDVVRTRAETFGFDVIVDDADKVLDHQDVFGVLLQQVGTTGDVHDYSALIAELKARKVVVSVAADFMALVLLTAPGKQGADIVFGSAQRFGVPMGYGGPHAAFFAAKDEFKRSMPGRIIGVSKDAAGNTALRMAMQTREQHIRREKANSNICTSQVLLANIASLYAVFHGPVGLKRIASRIHRLADILACGLQQKGLKLRHAHYFDTLCVEVADKAAVLARADAAEINLRSDIHNAVGITLDESTTREDIVNLFNVLLGDAHGLDIDTLDKDVALDSRSIQESMLRDDAILTHPVFNRYHSETEMMRYMHSLERKDLALNQAMIPLGSCTMKLNAAAEMIPITWPEFSELHPFCPPEQAEGYHLMINQLSDWLVKLTGYDALCMQPNSGAQGEYAGLLAIRHYHESRNEGHRDICLIPSSAHGTNPASAQMAGMEVVVVACDKNGNIDLADLRAKAEQAGEKLSCIMVTYPSTHGVYEETIREVCEVVHQFGGQVYLDGANMNAQVGITSPGFIGADVSHLNLHKTFCIPHGGGGPGMGPIGVKAHLAPFVPGHSVVQIEGMLTRQGAVSAAPFGSASILPISWMYIRMMGAEGLKQASQVAILNANYIATRLKEAYPVLYTGRDGRVAHECILDIRPLKEETGISELDIAKRLIDYGFHAPTMSFPVAGTLMVEPTESESKVELDRFIDAMLAIRAEIDRVKAGEWTLADNPLVNAPHTQNELVSGWEHGYTREQAVFPAGIANKYWPTVKRLDDVYGDRNLFCSCVPMSEYQ >Dexi1B01G0007090.1:cds pep primary_assembly:Fonio_CM05836:1B:5856969:5858963:-1 gene:Dexi1B01G0007090 transcript:Dexi1B01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPAAEAEEARQRDELAESLAELFANVSVMVRGELQEMAIISVLKAYGGVLILVGGRHLLFGSRGMSEGSIAGGDGLLLTREGTNNQLALLEKMNDRVAQEYSNYGDVAAGLRVFVEQLNEKNRGFDEYVSQIDAIDQQVTEFEAVVSMLDKHVALLEKKVKSAYQISSSTQ >Dexi9A01G0022210.1:cds pep primary_assembly:Fonio_CM05836:9A:17259759:17268999:-1 gene:Dexi9A01G0022210 transcript:Dexi9A01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVLLLAAVALLLALPRAARCQQLPPSPPLAQVLQDALPPLEQVLPGAPPLPALQQDLGARLQNLTNGLTDQLQKKYSFCMIDAKKDLNQTFNFSSDLSFASDCMGQTTGNMLAMLCNKAEVDLYIKSLTSSSSSSSSITPRAMLSGFLLPPWPDLHDAYYCRKGSTDEKRKLSPGRVTAIMGPSGAGKTTFLNAVLGKTSGYKKNGIVLINGIPESMQSYKKIIGFVPQDDIVHGNLTVEENLWFSSCCRLSKGTSRSDKLRVLERVIESLGLQEIRNSLVGTVEKRGLGIKVPDRENPPDYFIDILEGIVKTTIRGNATPKHLPLLWMLHNGYEVPDDFQKDLENINTIRELYTVRSISEQSSEEQTENTDSVQLNARQSNKLLERKTPGVFAQYGYYLGRVAKQRRRESAQQAVDYLILCIAGICIGTIARVRDDSFGVASYGYAIMAVCHDSLTVLTVTFSGLEPYL >Dexi1A01G0031500.1:cds pep primary_assembly:Fonio_CM05836:1A:36454549:36457901:-1 gene:Dexi1A01G0031500 transcript:Dexi1A01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIDRQRVLLAHLLPSSSSSSSHPQPLEASACAAGDSATYQRTSAFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPSDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSVAWEGQVNPKISAFQKAQDCLLPMGITSENVARKYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKKKVVISVDDGIRPGTTASGLSKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFRSFAAVGVDPAVMGSGMGAAAVFERGDAVDELSNVRNIQSHNFLSRDVK >Dexi1B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:1B:3531108:3547253:-1 gene:Dexi1B01G0004400 transcript:Dexi1B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRFPYKKCSRRLRIPSLGLALWLLISFAAPTSSCTEQEEASLLQFLAQLSNDAGLAKSWQGGTDCCKWEGITCNRNRTVVEVSLPSRGLKGRITPSISNLTGLQHLNLSYNLLYGDLPRELLSTSSIIVLDVSFNELSGEPHEQPSSTRGQPLQVLNISSNKFTGQFTSITWKTMENLVALNASNNSFTGQMPTHFCNISPSFAVLDLCYNQFNGTVPPGLGNCSMLRVLKAGHNNLIGTLPDELFNATSLEYLSFPNNNLQGVLHGTQIINARKLSTLDLGGNNFIGDIPNYIGELKGLEELYLDNNNMSGELPSSLGSCTNLTTIDLKNNNFSGELIKILNSSKNLTTLLIGDNFMHETMPDDDGIDGFENLQHSLVMMPGSKGLENKLKFTDIVKATNNFGKENIVGCGGYGLVFKAELPDGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHENLVPLWGYCIHGNSRFLIYSFLENGSLDDWLHNRDDDRGTFLDWPARLKIAQGASRGLSYIHDVCKPHIVHRDIKSSNILLDKEFRAYLADFGLSRLILPNKTHVTTELIGTLGYIPPEYSQGWVATLRGDIYSFGVVLLELLTGRRPAPVLSTSKELVPWVLEMRSQGKPIEVLDPALRCTGQEEQMLKMLEVACRMVSLPPQRQRQTPRKHSLLLLRRASAPSLGVVVVPHCVARVLAEEEGDGGGRQHAGDGERRASEEDRGWAKHGATEESRDGGGGNLRAASCHPDGNTLIFADKPSSSSVIGLFVLGPADTAGRRNARPKQERRTAETLLLLLQDKETRDPPEDPAQAPPIRRPPDARRGLRRRVVRAGGDGAGFHMPGGLPPLWTFAKAMLEELHSSRKKSNGFHIPFLGLALLFCLAAFLPPTSSCSEKEMSSLLQFLAGLSQDGGLGLSWKNSTDCSTWEGVACGTDGTVTDVSVTSKDLEGHISASLGMLSGLLRLNLSHNLLSGGLPLELMSSNSINVLDVSFNRLNGNLHELPSSTPSRPLQVLNISSNLFTGEFPSGTWEVMSSLVSLNASNNSFTGQIPSHLCSSSPVLAVIALCYNQLSGSIPPALGNCSMLKVLKAGHNTLSGPLPDELFNATSLEYLSFPDNGLQGILDGGRLINLRNLVNLDLGGNRLNGKIPDSIGQLKRLEELHLDHNNMSGELPSTLSNCTNLITIDLKGNSFSGELQKGDLGFLIYSYMENGSLDDWLHNSDDHANSFLNWPMRLRIAHGASMGLSYIHGVCKPYIVHRDIKSSNILLDKNFKAYVADFGLSRLVHANKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGIVLLELLTGRRPVLTLSSSKELAKWVQEMKSEGKQIDVLDPALRGTGHEEQMLKLPPEYRMLGGETAAPPSAVTVAGAGQENEQESNSLLQFLAGLSQHSNLSLSWKNGTDCCKWEGINCSPDKTVTGIFLASRSLQGFISPFLGNLTGLLRLNLSYNLLSGGLPLELVLYSSITVLDVSFNQLSGDLQGQPSATPVRPLQVLNISSNLFTGKFPSSTWEAMKNLVALNNSNNNFIGEIPTALCVIAPSLAMLDLSYNRFSGSIPPGLGNCSMMTSLNAGHNNLSGTLPDDLFNITLLEHLSFQNNQLEGSLSSISKLINLVTLDLGGNGFGGNIPDSIGELKRLEEIHLDYNHLSGDLPSTLSDCRNIITIDLKNNNFSGELTKVAPKVFELPVYKDPSLQYRMPNAFPKELNLAINNFTGVIPNEIGCGGYGLVYKAVLPDGCKVAIKKLSSEMCLMDREFSAEVDALSMAQHDNLVPLWGYCIQGDSRFLIYTYMENGSLDDWLHNIDDDVSSFLDWPMRLKIAQGASRGLSYIHDVCKPPIVHRDIKSSNILLDKEFKAYVADFGLSRLVLPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELVTGQRPIPVSFVSKELVQWVWEMRAKGKQIEVLDPALQGTGYEEQMLRVLEAACQCVNRNPSMRPTIQEVVSCLDSIDDNLRIQNSVNIE >Dexi6B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:6B:5295147:5307976:1 gene:Dexi6B01G0005760 transcript:Dexi6B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYFLLAVFLALVSSQAIASDPSPLQDFCVVDKDSPVKVNGFVCKDPMRVNVDDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLHKGDVFVFPKGLIHFQFNPIHDKPAVALAALSSQNPRVITIANAVFGSKPLISDDVLAKAFQVEKATAIASDPSPLQDFCVADKDSPVKVNGFVCKDPMHVTADDFFKAAKLDEPRNTKGKVGSNVTLINIMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPVHDKPAIALAALSSQNPGVITITNAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQDTKKMAPSYFLLAAFVALVASQATQASDPSPLQDFCVADKNSRVKVNGFVCRDPMHVNADDFFKAAELDKPRNTKDSKNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKILHKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGVITIANAVFGSKPPISDDILAKAFQVEKGTIDWLQAQFWESNHY >Dexi1A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:1A:5673300:5691637:1 gene:Dexi1A01G0007360 transcript:Dexi1A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHLDIDLNEAPSPPPFEFALVLPWASAIGTRWHLPSGKLTCSCDSCRTNISDAHLSEYVRLPHFEGVHLNNTALYDGTQFVPQFDLAHCLGMRQKFTSMDRDLSADGNVPQRSINLRRRRDLSQASTLPKVAENHDFGNAGIYMDPSFLTKPVEQSTSESRSLLKPPKFLMEKSHNQPPHPTPSSFSIRELPPGNLLSRKLPPELAGDVFQVWEFLGRYAEVIGLKELPSVEQLEDELIDPWPICVNQKDTPHFRDPTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETLSCEDGQDKLAAQTLGRCSGVVLPELHLALLKVLFTELVPRLALFVDPRIDSKESKSKRGRKRDADILTRELKIDMLTANKLTWPELARRYILVVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDASLLAEAETLICNSSANEGNKVFMMDYKDSDIVDSPEEPACDTALPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWAREILEHSISKEVYKGNASGPTKVIHNLQTAFGDRPEVLVMVAALSRSFESLYKAEVQDLVEKFDKYLSNENENDHGTHVLMQEEKNCVGEEIHVSLDKLKKLAMAMDEKEYWELSVPEVVLATNFLQSMLKAEFLKKDWWYWSSFTAAIKTTTASALALRIYTLDDCIMYTKDPAPNPDPADNARSGNKGKRKRDADS >Dexi1B01G0010730.1:cds pep primary_assembly:Fonio_CM05836:1B:10594087:10598935:1 gene:Dexi1B01G0010730 transcript:Dexi1B01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRPPTYGAWPYNVGMHCRHPSEFHCCCNHTYPPDHYSFKPPLPQELPPPHLYYHGPFPQHANAFPSQFFPPHPYPVDQMPYGYDKLKSHCCGCPNHVCHGAEKGNMKIEEERPDVKLENEHKDADSGSIIHHPNNQFPFIWRPAASIQGKENGKHYKLSPHLVNGWAPMSRKMTGDVEQREQDNQLNVWAPMSCKRTGDVTNQEQNQGKEQFHLPIVWMPAEYDEPKQKAKDMKMEEASRNSEEAPRSPKIKIIPLSWFDNNGHHDQKPATRDGSGDHTDRAVNSQLAVPEHQDGLTVEESPKSTPAVPKKVNDDERKPARENYKAIPVMPEKEIGEKKASTYRTIPVMKESDQKNTGMSGKKEVAKASNVDKVEDPFPRKKSGNGSRSPSPPTRKDGDTAKKDVKEAQGQNLEHYDARHTTVSEAEEKSPNEMKKGTSPSNDTVQAASVEHSCEEEVPTSKHDDKVQASGTTIGTQENAGAESLQGDAVQENAGAESFKGCDQRQNEDMTVVKNEAAKDEARTFRSNLSEPDAAVRIQSAYRGYDVRRWQPLDKLREIRNVYEQMQGVKKQLRCIEDTCKKPTEKEQVAIGETIMNFLLKLDTIQGLHPSVREARKSVARELVSLQEKLDTLCKQPSGEFDCKNSNEKSEIAENSSQIAAPIITTEICDKRSQVERAVELGKVEEPSSVDSMEACDAVPSGIPMEVKQDADASEQKNGNEESYSTTIEEANKGKVPGHFELEVSSSMDMSSEEHSNGIMEHKIEESNDVSVGQKPAFKGEGEAVPCVKFMEPLHDAASAGDSSGLEQCTATTDQSLHAESNSAPTEDIITRDASASAENGATTEEDGPVDGQPHRTAAAESLGLKHDVSSEDQPRELSGRVCLEDSSLSLQGEEQHDIIPADDSVLSCTKDQSEAGSDISMQDQAVDTMQDSRVANIELLQTPDGTPGASMDNIENSASAEPDSASEQIVVDESNDAVHCGVSAKDEQRHEDQKTEATVGMLRGSSAGDRDSLPEASKKECDIQESHPSLEEEADDTMDEIVLPQLDSFELSCAYEGGITGHERSETDVSSESQTDTQEEHTDVVLPETGRYIETLKEDPMDAGGITWHERSETEVSSESQTDTQEEHTDVLPETGRCIETLKEAPVDAVAQEEHEGVAFPDTSECIETLKEAPVDTSAANSVEDVGVQVSVTGECTEMPENSAEDVGVQAFVTEKCTEMLEDVQMGVSGANSADDAGVQVSAIGSITKDAPVRGAGVNPAEEEAHNLKGDNTVQTENQASEAASSSDGRTEDGLQDGDNKKLAEENQQLKELLQKLLASGNDQMGVITDLSDKVKALERKLARKKRPKVRVHRPARNAMAKVH >Dexi6B01G0007490.1:cds pep primary_assembly:Fonio_CM05836:6B:8728000:8728413:-1 gene:Dexi6B01G0007490 transcript:Dexi6B01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKIVATTALCLLLMICGVEAKLCNVRSSTFKGRCSVMMVVALVVAVAAVGVGEHNQGSQCLRSQQRQH >Dexi6A01G0018240.1:cds pep primary_assembly:Fonio_CM05836:6A:26007474:26008466:-1 gene:Dexi6A01G0018240 transcript:Dexi6A01G0018240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSDTKPSDPEGEEESLSPPTPPKREAWLGASSLSQFQGCWLSEDFSKSAALVQAHFQPRPDDIILATYPKCGTTWLKALAFAITNRSHHPITCKNHPLLTHLPHDLVEDLEFPLRYLHHPVSELENLPSPRLLCTHLPLPLLPTSVSTIGCRIVYLCREPKDVLVSMWHYMNKVYRDCFTEFDRAFELFCEGVSLYGPIWDHYLGYWKQMTTEPNRVLFLKYDEMMADTGNHVKRLAEFFGGPVSVEEESSGIVQDIVKLCSFENLKKMPVNCSGVTNPIDGLAIENSVFFRTGKVRDWENHMTEEMAKKLDRIIEDKLGGCGLTF >Dexi3B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:3B:2453167:2453820:-1 gene:Dexi3B01G0003630 transcript:Dexi3B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGGGGVGGMVGKCCGSCCGFVFAIGFIVLIYWAIFQPHHIRATVESATLSNLAVVSTNASSSAAAAAVSYSLAVRLGLYNPSLRVTIYYDTLDAELRFRDDAVIGPAANNTSPSVFFQRGRTGDEVKLEFEYGRPGVAVAGDVAGELEKEMKRGGPVRLELHVHARVRYVFRMFKLRQKPRIRCSLSIPVRAEGRRSGVVGGDLSSGDRCRVKY >Dexi2B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:2B:3333030:3334934:1 gene:Dexi2B01G0003840 transcript:Dexi2B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAAPLAFRRDVRGPLGRRPLGSRSGLHGGGALWCSSSGAAGGSRPAAAPVWLARTRGRNRSGAGGRSSTKDEDEEDEEVAAEVVIVDAGDEEEFVADELAGFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILYRDDFTCQYCSSRENLTIDHIIPISRGGKWEWENLVTACARCNSRKGQKTLEQANMKLRKTPRAPKEYDILAVPLTKSAFRTLKRNQGLPEVWLQYLSRPSP >Dexi9B01G0020540.1:cds pep primary_assembly:Fonio_CM05836:9B:15261504:15262508:-1 gene:Dexi9B01G0020540 transcript:Dexi9B01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRKRPAPPLGTTTSCCPAPAAKKRVRHKIWSIYNYEKLEVLGEGTYGVVVKGRDRRTGETVAIKWIRPDANGVTDITAVLREAGCLEACRGPPSVVQMKEVVADEVTRHVFIVMEFVGPSLQTRLTRGALSAAETRAMMRQLLRGAEKLHGEGIVHRDMKPDNILIGSGGGAVKICDLGMAVPARPAGGEPYPEEVVAALWYRAPELLGGGRRYGAAVDMWALGCVMAELLLGEPLFRDAGTEEDILHMARDVEFAMESTPEVAFGGLPDLTEAGLEVLRGLLSVKPEKRLTAAEALGHRWFDEEDDEPLSSSALCSQPPERRVCIRFIV >Dexi4A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:4A:9640442:9644343:1 gene:Dexi4A01G0011530 transcript:Dexi4A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAVERSAAADVHSSAAAAVERSVAADVERSATSTLLQSVHGIGRHCDVPFQYTTTNATLPDNNNVAMSGDTEIIPSSGQGSKTAPLDSNAETEIVNPASDGDDSNESSSQRNRTKVSTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDNEPAEVERQAATGEALGPSVFIQAKTNATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEVQQDQDVHVPPHSQLARNKERPYENVGQDFLVKKSGPREYYNETCKKSFTLSNGILPFRNADHLIFPIYHEGHWFVFIAAIRDGYFVFLDSVYGEDDPYQRQVRSVIIPNFIWAWKEYIAFDCDFEDFVIHYAPVPKEKNDLWTMNDNGIFVMKFLELWDPYADMNSRFQAANVNEARIKYVREMVFTPHNRLNSAKDLLDNHIAMVTSTEEESSDDFKIFCEIDLS >Dexi2B01G0025140.1:cds pep primary_assembly:Fonio_CM05836:2B:34461619:34465069:1 gene:Dexi2B01G0025140 transcript:Dexi2B01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGSLKESRGVWASSLFAVVFFLPPVVDDAAANLRDHGRRRAMVAAMELEILGMNFGCVLAALSDAKIPDKDCLLPLISKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWMKALLYCGLAPTVLAGKIDPALFEVLYASQHAIFFFARVPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSGILNSDYSYHVPF >Dexi4B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:4B:2322764:2324315:1 gene:Dexi4B01G0003340 transcript:Dexi4B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVRALSVTHVRPTETTNTDDHTIKLSLFDTLFIALTPIRRLFFYHADDLPPFPVLVHTLRSSLAATLAVFPPLAGKVAVSGEDDVVIDCSPSTISHGGVRFVEAEYAGDLRRLAAAAEHDAEAYAQLAPALDVGKLPAPALAVQVTRGADGGGGTGAGAVVVGVSMNHVVADGQALWEFIRAWAAAARGGKSTAAGVTTPTFDRAAINRYPGAEEVARKFLRVFAPALPTVNTFPEPDNTIQGRRTYLLSASQIQSLKHCISQHSDTIPAAVKPPPTSTYAAVASLVWTSAVHAKNSLNHAGDDAYLMFAADCRARLRPPLPTAFFGNCVKSCYARATVGELRGDGGTDGAAALAHAAAAVREAVREQLEDPLGDAERWLERHRALPPDRVVQIGASNRFAAYETDFGWGNPARVELASVFVREFVAVVGAPGGGVQVSVALDQDRMDGFEASFLSQLHG >Dexi7B01G0023030.1:cds pep primary_assembly:Fonio_CM05836:7B:27766813:27767803:-1 gene:Dexi7B01G0023030 transcript:Dexi7B01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGVPPRPPSSKVQALYELCKRTFSSPSAAGASPPPPPADAVRSISSLMDTITPADVGLRDDSVEEDRGHGFFDSNFLKSSARVARWAQPITYLHIYECDAFSLSSTELECKCYL >Dexi6A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:6A:24364483:24364831:-1 gene:Dexi6A01G0016520 transcript:Dexi6A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSSLCLLFLLALCSLVQAQVLFQGFNWESWKKEGGWYNSLKAQVDDIAKAGVTHVWLPPPSHSVSPQGKLRYRLLHLPSLL >Dexi6A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:6A:25242261:25243713:1 gene:Dexi6A01G0017420 transcript:Dexi6A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSHVPLLLGRLLVAGEIHRSPDHLRRIIPLLPSHPHLAAALSSLYFPLFPSSATFLHNLLIRASAASPSPRVSFAAFSSLLRSGFLPDNFTFPPLVKSASRLPSFPRTGAQVHAQAARRGFLADIFVVNSVLAMYAAFRDTASMWGVFDSCAEVADVVSWNTVIGGYVKCGEIENARRVFDEMPQRNGVSWSAMVGAYAGAGELDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPFRNLVSWNAMIRGYTVNGEMDGARELFDTMTEKDVVSWTCMISGYAQAGHYAESLELFRSMQSQSSIQPNEVTMVCVLSACAHLTALEEGRWAHTFIDKHKMVLDNEFNLGAALIDMYAKCGRTDMAVKVFHSLDQKNVSAWNALISGLAVNGDAPQCIDVFEQMKSSGENPNDITFGFLMRRRS >Dexi3B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:3B:5668708:5672606:-1 gene:Dexi3B01G0008060 transcript:Dexi3B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTSDLCLFSSQFWLHSVGGDMKFEEDGHLLSQAKGGLVNTSGQFVGLAEMLGPVDFKKTMYFWKEDKWNGFFPIMWHIIKDIPNRLFRHIILEHNDNRPVTFSRDTQEVHPKSGNLEASMESWSLYDNWD >Dexi1A01G0031600.1:cds pep primary_assembly:Fonio_CM05836:1A:36508182:36510118:-1 gene:Dexi1A01G0031600 transcript:Dexi1A01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSDKPMSPSVLLPSHSDDCCRESPFLSPLPILSFNSTFSPPPSRAPGCNANNEFPLPVSEGLLRLPPIPPETTWNLCAARHEHVNTSTAAAATAKAVDMKWRAREERTNGPLPLRNMLSSSADDVWFWSIRTACRWGQLLLLETEPSPQRLPLLTAGEAASTEQAAHHPIPPLRSRKRSLPPDGLWNNQPVASGSDCPCRRIYLGFGDWGICGGGGCCGRRGGKEEETNGKGRERRRKQKATPVGRLSAPPQPSDQDPARISQRRRQLQLTGKSK >Dexi2B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:2B:30593339:30595044:-1 gene:Dexi2B01G0020560 transcript:Dexi2B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSDGPIGRLPEHLIVEIFIRLPVREWVEIACVNKQWGSIFQGDCLWQTAITRNWPSADLRKRWPGPIPRGSTRRRFQALYVSENLVPSGGEIDELVGHTYLYLKEQLERPDMPPSSIIHGTIIDQFIACGKTGEKAHDLASKIWLAVIDGLEENQQTFLLLKHLTREGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSGADYHDALSTAKSRFQLVPSTWLGH >Dexi3A01G0015870.1:cds pep primary_assembly:Fonio_CM05836:3A:11818165:11819488:-1 gene:Dexi3A01G0015870 transcript:Dexi3A01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHGGLGDTARRWRELHGEGGWDGLLDPLDLDLRRTVLRYGEMAQATYDAFNHEAISPHAGLCQFARERFFDRVRLPGHAAAYRVTRFLYATSSVAVPDAFLLRSVSREGQRRESNWIGFVAVATDDGKAALGRRDVVVAWRGTVRAMEWADDLDFAMVAPRGLLGDREGCDAMVHRGWLSIYTSADPMSSHNQDSARDQALSEVRRLVDTYKDEELSITVTGHSLGAALATLNAFDIASNGYNVAPAAAAMAACPVTAFAFASPRVGGSGFKKRFDAIPGLRLLRVRNARDVVPRYPAVFYHDVGAELAVDTEASPYLRKPGHERTWHNLEVYLHGVAGVRGAGGGGPVFELAVARDVALVNKAYDVLRDEHGVPPAWWVPHNRGMVEGADGRWILMDCEGEDDGAE >Dexi3A01G0010760.1:cds pep primary_assembly:Fonio_CM05836:3A:7706690:7707680:1 gene:Dexi3A01G0010760 transcript:Dexi3A01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKGTRRSRCNGNHTSFWLDSWLLNSPIAEIFPALYSHCVEPEITVADAWARTVATLLRPRLTRVAAEELAIIQSCLSIVSFSDNDDARYLSSQQCRPFDTRDVPRALQAELPSHPEAMRVWQTKLPTKVKFFGWLLTHGRVNCRAYQHRRNICTREEAFCETCSDVLETADHIFFQCPIALRFWRLVGFHHTTQASQTTGSSAENYSYHLKCNMMQSSYFSGTSGRQGMQKSLTTYSSPKKQFSGACSATWTFGAQDSKTLKTSGGFGDTTSLLASNL >Dexi2B01G0026390.1:cds pep primary_assembly:Fonio_CM05836:2B:35508345:35508875:1 gene:Dexi2B01G0026390 transcript:Dexi2B01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLPSGVSVSTVADTVSATETHLFKIEGYNRLRDMHGTVRCLESSRFQAGGHAWRIRCYPNGDAAANAACVSLYLLIDDGLQVPAKDVRAEVTLSLLRHPGAPVASLLPPRRRSFTFTYNKIGAMAPCARALTRTTAWSCGATSRNREDAGEGGGRAAA >Dexi5B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:5B:22365898:22367856:-1 gene:Dexi5B01G0020110 transcript:Dexi5B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPQSIPRDAGGEFLAAVTAPLLAHAPSPAEPSPSTGASPEITDDEIDAATAACCRICLDSESEPGDELISPCMCKGTQQFVHRSCLNHWRSVKEGAAFSHCTTCKARFHLRVERLEDDICRRMKFRLFVARDVILVFLVIQAAIAAIGGMAYLLDKDGSFRNRFSDDWERFLSKHPVPFYYCVGVVVFFVLVGFFGLILHLSSFNNNDPCLAGCRNCCYGWGLVELPASLEACFAFAVIFVIIFAILGVAYGFLAATVAIQRIWQRHYHILTKKELTKAS >Dexi9B01G0043980.1:cds pep primary_assembly:Fonio_CM05836:9B:43858364:43860462:1 gene:Dexi9B01G0043980 transcript:Dexi9B01G0043980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNGQSPKPIRCKAAAVCRAAGEPLTIEEIVVDPPKAHEIRIKIFATVFPRILGHEAYGVVESVGEHVEGFAAGDTVVPTFMSQCEHCPGCASEHNNLCTTVPFVFGPGMRRDGTTRFRDAQGNPVHDLVAVSSFSEYTVVDETQVINIDPAVPPKLACLLSCGASTGVGAAWRVAKVEPGSSVVIFGLGSVGLAVVQGAKMCGASKIIGVDLNPDKEEEIQKLTGGGADYSFECIGVTSVMTDAFMCTKPGKGKTIILGLEKNNEPISLPSLELLTGKCVLGSYFGGLKPKTDVPILAQKCMNKEVELDGLITHEVGLKEINEAFDLLLQGKSLRSIIWMDK >Dexi3B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:3B:4448755:4451218:-1 gene:Dexi3B01G0006420 transcript:Dexi3B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCTLLLTTLVFLLPLPPLAGAARASLPRGAAIAVEDYATDFLVSPDGTFACGFYNVSPTVFSVSVWFARAAARTVVWTAAPARPVHSTGARLSLDRRAGALVLTDFDGTVVWNSTSVTGDTARARLLDTGNLVLEGGGNGAGEMVWQSFDSPTDTLLPGQRFTAARHLRKIYNFTREAELDVLGQFISSDDAGFVAADLAVTGVRRRLTLDADGDLRLYSLDAMTGTWTVTWMAFANPCTIHGVCGANAVCLYGPSPACVCAPGHERADAGDWTKGCLPAFRRDCSGKTKLVALPHTDFWGYDLNDGEILPFHECARRCHVTCACVAFQHKQNMECYLKSVLFNGRTFPGLPGTVYMKVPADFAMPDELLHVHQWRTHGGLAIDEEIPTCDSVADAELLLNVSAHDGGRDVGKAVWPYMYGFLSALLIVEAVVIAFGCWLFSSRGLFRPSRVWAIEEGYKLITNNFQRYTYSEIKRATNNFTDVIGSGGSGVVYKGVLEDDRVVAVKVLRNVLQSEQEFQSELSVIGRIYHMNLVRMWGCCSEGKHRILVSEHIENGLPITEKNDVYSYGVVLLELVKGVRISEWVIHGVKLADMDTRMVVKAIREKMETSDQERCVKDLMDHRLNGEFNHAQAKAMLKIAVSCLEEDRGKRPNMSSVLQALMSVEEEGR >Dexi1B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:1B:3767953:3771310:1 gene:Dexi1B01G0004710 transcript:Dexi1B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGEKRRRVVVDEEGAGRAEEEEEDRISELPDALRLQILSLLPLKSAIRTGALSSRWKDLWASRWPDPSSVDARLPPGTAAGVAAARAEQLALIDRRGRRRVDRFSLAFHSGQLAQADLRRFLDYAAACEVEDLHLRLDGGAGRGSRGGGGGGGGGTRGRGMLTVHFPVGSRLLARLSVRGLNLTAATNVMVATLEVIHLHSVFLTDAALRRVVGACPRLRELDLRYLRRVRRIDFSAVGVPNLRSFTIVDCSRTTEVRVPAAPRLRSFRFSGAFLSSNILSGAAGASLEHLYLCSGGPETGLPPTNLPTSALRLSNLRVLTICSIALQYISAFTAKAVMDNKLHGLRELHFLMFGMANSNLADIYSFLKTCSFPQLERLFVQLPMNIRDSFTENFLEVAEEEPPKEL >Dexi9B01G0022570.1:cds pep primary_assembly:Fonio_CM05836:9B:17254152:17265239:1 gene:Dexi9B01G0022570 transcript:Dexi9B01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDEAKDARLLTMQAQVDGLTTDIQTMHERLDSAVTSSNERFDQIDLAQAATRNTLDAIMARLDGLTASITDLQRDYGGDSEPDGNRPPRARRIPPKARFSGGLNRDIQDILNFTNYDNITVRYQTTGYPKLRPKGEAQRHGGPRTAHHAGATEWGISVSFEGNPCPRTRISVPFEGTLRPRTKFRHDRPSLRGRDPRAGLKDSTPNAINTGHDRTLRFTTPRMGHRSPPTEPGTAVPRRQPLHDRASKKDLRGMTKRHATASTLERYPSKQRLANKKSAMLEGAIPARIVHGVGSTVHLATSIPSPALLVLHYYEQHETRCYAPLLDVRPHGRNQDKTPVTPSPPNTKRPPAQLLGSPNGLPAAQQLATPLPLLSSADDRFCLLQVQATDDKMERQAATEQRRSNGNGKEEPGPSRSFLGLLASKARAHRGRFCNYVNHPRDAEGTPSKDLNPMNTTPGSRQAIAANRDAEGTPSKDLYPMNTTPGSHADPAGLSITTITLQQ >Dexi2A01G0026820.1:cds pep primary_assembly:Fonio_CM05836:2A:38365931:38367626:-1 gene:Dexi2A01G0026820 transcript:Dexi2A01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAAAADAVPLLLPLGDAAVVGRGCWEDGAISLGLVGVQLAVAAYMVVVTPVLALGLDPLFLVAIGSLCTAVFTIPFAVKLESRKKWPSELSSRLLFQFVVLALGGVTGFQALLLQGMKMTSPAIASAMPNLAPGFIFAISGCLGFERVDLKCRYTRAKILGTVVCLGGAIGMSVLQSPDAPPGHVVLPRSAERAAAAAANWVVGCLFLLGAVLVLSGTIVMQAATMLHFPAPFTLCAVTSLVGAALTAAFQVATAGRFSPGTPQISLQIVLSLVFMGGVVSSACIMFQTWALEKKGPVMVSMFSPTQTVGTAIFSVLFLGRVMHPGSLLGMVFLFSGLYAVLWAKKKEWQVLAAERKETNRTANADMEKPLLF >Dexi8A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:8A:21094244:21096954:-1 gene:Dexi8A01G0012120 transcript:Dexi8A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAEEEERAAELAGPLRDLLPAVDFCCAYGSTLLHARPDRTSMVDYILGVADPLQWHSQNLERNPGHYSGWMRRLGAGAITGLADHVGAGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRLYLSGRLQKPVHVLVDNWDIRKANTINLEMATSASLLLLPEEFNEYDLYAQICSLSYMGDLRMLFAEDKDKVKKIVEGSFQSFQTMYRPLLQEYIAEGLLKTSPQGQHKTFWQDCGPSTTNELFSVLPCAIQKQMQGGYRDTPTRTVVSSKDMAANCVRRALRRQVMVSSARQAVSGLLASGGAIAAQYLGKKMAKAWQSRAA >Dexi7A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:7A:16523136:16532008:1 gene:Dexi7A01G0005310 transcript:Dexi7A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPPSPGIRDSPTAEAVKIHLHVVKPPWAITVPTNHSNRVVCIGVIYGDIDTSPLYVYQGTFSSGISNVDDLYGVLSIILYSIILLPMIKYVFIVLYANDNGDDYFKRNGKDAWISLGGTLLCFTGTEAMFADLGHFNVRAVQLSFSFVLFPAVSLAYIGQAAFLRKHPEHVLDTFYKSIPERFLFRNVESKTSRMFRCVARYGYSDKLEGAKEFAASLIEGLQSYIEEGHFITDMQIQETEDQTTSIADSNTRYHKAGSTVYIEEALTGSETTGLTQPRISSYSAHSSARISEEQSRTIAEEKQFIQRELQKGVVYILGETEIKAGPESSFVKKVVVNYMYSFLRKNFRQGEKAFAIPRQQVLKVGMVYEI >Dexi9B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:9B:7622078:7624402:1 gene:Dexi9B01G0011700 transcript:Dexi9B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCGWNHKKPIQTFRPYNIAHRGSNGELPEETEAAYLRAIEDGADFIETDVLASKDGHLICSHDVILDTTTDVANHSEFANRKRSYVVQGFNVTGWFVVDFTLKELKSLRLKQRRYEIITFEEFILIALYANRVVGIYPEIKNPIFINQHSYYEITSDSYLAFIRGYVVGIGPSKDSIVPPKDNYLGQPTDLVARAHALNLQVHPYTFRNENSFLHFDFHQDPYAEYEYWLNEIGVDGLFTYFTGSLHKYQEWTMTYPKKEKKQKHSCMRSLKLTC >Dexi7B01G0022630.1:cds pep primary_assembly:Fonio_CM05836:7B:27500952:27505803:1 gene:Dexi7B01G0022630 transcript:Dexi7B01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKNGCLKILVCAGSGSDPAAGSDADADDHPDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKADITPSNNVYTSTYSYASEKPLYQDKPDEKILHEEKSEEKPLREEMSDEKLMETSIEKPVDKLLEEPADQIIEKSMEQPAEKTTETQTEEPAEKTTETPTEEPAEKIIDAPTEVPPETVSEVASEDPAETTMENSIQDTLETEVEELIEKPTERISVSSTGPEQQETTALVEGSSVESEEDHLESGATDLQPGSGTDIVRQELLNQKDLVKLQAVIRGRLDTIVHSSGERLLRNGFALKLMDSMPTPKSVHIKCDPSESDITWKWMERWTSLIPPITGEHLPEHRENVELIGENVKEDDQHDHEIVPLDSDLSFPKLVPDDVQETQGTSDPSALEAPASIPDESSEVEIKRDPESELVENIDVDDEQVADQKTENPVDEFLISSDQQSSQAHVSTEPIPLPENPESCNEDSGDAYSSEQTLEIEGKRSVGRKMCNPAFAAAQLKFEELSTNSTVSRSSSSSYLEGASKSRVHTPRSQEDYSSKQDNDTGVLESSVAHGAKMIVAASECGTEISISSTLDSPDRSEGDGAEIVMEIGALENRNYAPDKANKDDSIVDSEVKIASELEAQLQEEEQQNGHVTNPEVEAQPQEEELVEELNVEPENSDLHYHLGKPSDSYATPEGTPMSRATVPESHGTPSSEVSVNTKKSRSKKPKSHASKRSLASPSSDSVGRSSTDNFSKESRRAKRENSNKAAKSDHVDQEPRISNSNPLPSYMQFTESARAKASASVSPKMSPDVQDSNPRKRHSLPMTNGKNDSSPRMQRSSSQAQQNVKVNSAVPHNPSDKRWHI >Dexi1A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:1A:8604210:8605262:1 gene:Dexi1A01G0010080 transcript:Dexi1A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPPPPPPPPPPFCGSAGSGGGKPDRKTVERNRRNQMNALYSRLDTLVRSGSSPSSAAAVVRRGAPAMTRPERLEEAAAYIRQTTERVERLKERKRELTAARASSSSLSSAVAAVPEVEVQHLGSGLHAILVTGSAAPASDGASFHRAVRAVEEAGGEVQNAHFSVVVEGGIERVVQRLKAGLRGDV >Dexi9A01G0040340.1:cds pep primary_assembly:Fonio_CM05836:9A:44127376:44128236:1 gene:Dexi9A01G0040340 transcript:Dexi9A01G0040340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNRSDAHLSPEDEAAREAEVREYFDDAAPKRHAKPSRSEHSAVYADALVPDDSHPELDKFQDLEAHTERLVYERSKVEEEFVETEYYKDLGGVGKQHHTTGTGFIKMDRDQGASFTLSEDPEAAERHASCKGNPATNEWIPSADTVYPESDKPNRSDS >DexiUA01G0017740.1:cds pep primary_assembly:Fonio_CM05836:UA:37523586:37525459:1 gene:DexiUA01G0017740 transcript:DexiUA01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLGFLHYYLLPNPSRRPLPSAMAENNHGKKVVDSFTIRETGEVVRAGDCVAIRPSMDDDHENLSLARVEKMETCCECRGVAAQVRWYYQPWQTKHGSRTFHGKKELHLSDRIDTRSAYTFEAKCVVHTLKEYSKLTTAQPEDFFCRLEYKVDSATFMPDQLSVYCICEMPYNPDVPMILCPGCKERFHPSCVHVDIKQAKNLDSFVCPICVPEKCSKQLPNVHAPSPNNKRKAFH >Dexi5B01G0025570.1:cds pep primary_assembly:Fonio_CM05836:5B:27603235:27605324:1 gene:Dexi5B01G0025570 transcript:Dexi5B01G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNFAGRKIWNPPEEAVWVHDRFNEINFKSKRDYDDNVSIMQSVDNGFSGGTKSYNDTKSFDNVQTQCPLYDGNVKGYNDEGNVYRERSSRTYRSHWTTSLISSAQNNRKAIVPSASIEHGTAIDSHCIVPIDTMTCSALHPVATSHNYSKDSKFWDRDRDLNISEIARPSSEPQIAFYQQRSVQPPVLPMPRASAQIIVQKDTITKNIQSHPQTTLISVSDDDEATALPETNSSLGLSAVTAQDDMKEAERSCFLDGGNLVVGDTGARSFTLDEPCSTGTPAKLPVMLFGDLHPRGSGFPSVAMVLPGFVVQQCDGNSEIGRMTWYAGVQFL >Dexi4A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:4A:16220898:16222527:1 gene:Dexi4A01G0014270 transcript:Dexi4A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTDLHMAQWGGERSYSNNSRLQRKALFETKQVLDKAIGELCSDVLPRNLAVVDLGCSSGENTLIFVSEVINTLTMSDRQVEVQFFLNDLPGNDFNYVFRSLGKFEESIAAEHKGGTSPRFYIAGMPGSYYTRLFPSQSVHLFHSSYSLQWRSRILDGLDANTKTYLNKGNIYISKTTPSSVVKLYQELFQMDLLLFLKLRHEELVFGGQMVLTFLGRKDGDVYKGDLNHICGLLAESVQSLVHKGLVQQEKLDAFNLPIYGPSVDEVKAVVRKSELFDISSVKLFRSNWDPYDDLGDNAVQDSLQSGLHVAKSIRAVMEPLFASHFGVSVLDELFKQYARNVSKHLQREKTMYSVIVLSLRRR >Dexi3B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:3B:694530:695481:1 gene:Dexi3B01G0000810 transcript:Dexi3B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADDQDSGSPRAPVPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVAQPAKSESEKAR >Dexi5B01G0016110.1:cds pep primary_assembly:Fonio_CM05836:5B:13694819:13695925:-1 gene:Dexi5B01G0016110 transcript:Dexi5B01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIKLFLLVSCALLLATACHGLQVGYYSKTCPKAEALVRAEVKKALRTNPGVGAGLIRMLFHDCFVEGCDASVLLDPTQANLKPEKLGAPNNPSLRGFEVIDAAKAAVEKACPGTVSCADIIAFAGRDASYLLSNAKVSFHMPGGRLDGRKSLASETTTFLPGPSSNLSSLVSAFNTKGLGVEDMVVLSGAHSIGRSHCSSFVQSRLTSPSDIATSLASLLRKQCPANPTTGNDPTVSQDVVSPGTLDNQYYKNVLARKVLFTSDAALLSAPNTARMVRANARFAGSWEKKFAKAMVKMAAIGVKTGSDGEIRRSCRLVN >Dexi1B01G0021540.1:cds pep primary_assembly:Fonio_CM05836:1B:27414135:27432565:-1 gene:Dexi1B01G0021540 transcript:Dexi1B01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTGEMSDASPPAPWEHGYDDRFAAESLGPFGDEAYAINDEEVSDTEVSSGSPPPPSEPPPPLRRRLAPVVASEVPEEVVRAVDAVIMGGGVERLREMVSEENGEVSHFIVDVLMVTMGGVDGIDEGAGDGIGAATGLPPSIMSSSRAAAIAAELVPFLPCGVEPSPRTRMVRGLLATLSACTRNRTMCSASGLLAVLLDIAEKLFVRMGQGSVWDGTPLVQCIQVLGGHSVSVRDLHSWLLLIKKALGTRWAMPLTLALENAVASNEAKGPAVTFEFHGESSGLLAPGDNRWPFSNGFGFATWIYVESFSGSLNTDTASAAVAATAASTSEMSSPSEAAAATCTPAGEGTEHMPRLFSFLTSDNHGIEAYFNGHFLVVESGAGKGKKASHHFTYEFKPRCWYFVGLEHTSKQALLGKAESELRLYVDGDLHESCPFEPPRIVKPLAFCCIGTNPSPTIAGLQQSWRRCPLFAEMGPIYIFMDPIGSERMSRLASRGGDALPSFGNGAGFPWKAKSNHIRETAEDSYTLDMEIGGSLHLLYHPSLLNGRFCSDASPSGSTGTHRRPAEVLGMVHVSFRVRPAESLWALAYGGPMALLPLIVSNVEMDNLEPILGDLSLSLATTSLSVPIFRIISSAVQHPGNKEELCRIHGPELLSQVLHYLLDTLSKLESGKKEILSDEELVNAIVSLCQSQRNDHAQKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESTCMRDANALQMLLDGCRRCYWVIHEADSIDTFTLTGHERPLGNVNALVDELLVVVELLIGEAPSTLASDDVRCLVGFVVDCPQPNQVARVLLLIYRLIAHPNASRANLFAQSFISRGVVEALLVLLQREAKSGDNHIFYSCKVQQNAASWNGSSKLTNKDLELKAASDEENSKDHQIQSVQHNEPTSHETGTGLESISKWCLLKGQFLKNLGGIDVLNISDNVQNSVYNIDNGDGVLVGIVHVLGALVASGHLTFTSSTVRPKLPSGFLTTSNGEGNTMFEDRVSLLLFSLQKAFQSAPSRLMTRNVYRVFISSVIDVSSSKDNLEDLHDSGCHFKHIPLLVVLLRSLPYASRAFQARAIQDLLYLVSSQPKNRSTMTSISEWPEWILEILISNHEIGDNKGSDGVSICEVEDLIHNFLVVMLEHAMRQKDGWKDVEAVIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLDFSAQELQVQVFASTADTNGQLSAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRTRLWYGVCIPPKSNVFGGGGSGLVSWKSVLEKDSNGNWIELALVKKSVAMLQALLLDSSLGDCLTTGVGSVPGVGVLGALNQLLDSDQPFFCMLRLILVSMREDDSREDEIFMKNISMKNVISEGLGCQTGSMLTLDCHSSASTKKHPAALLWRVLGPILNMPVSESKRQRVLVASSILYSEVRYRSILAGIHELTPPDGQNPLAVDDWASAAETSPVEVGVSMISPGWAAAFASPPVAMALAMIAAGASGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPTDTTPSLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRSASDIERAQRWNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDLTESSSRMRRFMKRNYNWLNHLGASVDYGEQKFLCDGTDSNAHHSEDGESLPTNVLSTSSLITVDGGHEHMGQGETENICRSVDDQLTNSLPPDQSLTGSVDSRSSDLSGVRNLVRSTVVAPGYRPSNDRIIIELPSTMIRPLKVVRGTFQVTSKRINFIVDEHMSDSYMDDIASTSGQYDQQDRDRSWFISSLHQIYSRRYLLRQSALELFMVDRSNFLFDFEDVRARTHAYRAIVHTKPPYLNDIFLTTQRPEQILKQTQLMERWARWEYPVFPWVIADYKSKTLDLESSSTYRDLSKPIGALNPARLKKFQDHYSSFKDPIIPKFHYSSHYSSPGTVLYYLARIEPFSTLSAQLQDAKFDTDDCMFSGVAKTWNSVLEGLNDVKELVPELFYLPEVFTNVNSSRRLGPVALPPWAENPVDFIHIQRKALESDHVSTRLHEWIDLIFGYKQRGKEAVIANNVFPHVTYDGMVDIDKITDPVQRQATQNQISYFGQTPSQLLTVPHMRRRPLTDILQLQLDKPSGDEDVQESKETRLSVDAPSVCLLDLYKLEVIHTLKLGEGQDVTALALNKENTSLLVSTADKQLIVFTGAATNNPNERHPVLRCGAAGIEEIYFGPWTLPSP >Dexi4A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:4A:13278186:13280008:1 gene:Dexi4A01G0013570 transcript:Dexi4A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLRNVALLTLMLCSVVALSLIRGRFAPIVTSAGDVMKPEDAAAAAGSQAAVNIDAGDVADEAAAEAAAEERDKKEVQPKPAEASGGATKPVCYETSRRSDTCEAAGDVRLMGRSQTVYVDTLDREWKTKPYCRKHDNFALSHVKEWTLKPLPSAAAPQCTVNSSATAFVLSTGGFTGNSFHDYTDVLIPAFITAHPFGGEVQFLVSSYKSWWVNRYIQIFQQMSRYEVVDIDADDEVRCYRNVVVGPTFHRELGVDASKTALGHSTADFRKMLRDAFGLERATATPSGDRWDIRRRPRLLIISRRPGRGRAFMNERAMADMAASLGFDVRIGEPESSTDTSKFARLVNSCDVLVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPSAGMEVHYLEYAVQLDETTLSEQYPSDHPVLKEPMAIHKQGWNALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD >Dexi1A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:1A:8907796:8908167:-1 gene:Dexi1A01G0010300 transcript:Dexi1A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSDGWGPVPPHHHVASATTGPVGFQTWSIPNVVLSILNNDKAIINVDAPWKPCMLSLVLSVLNKHGIDAVSAQVSADSTGSFFTE >Dexi2A01G0032130.1:cds pep primary_assembly:Fonio_CM05836:2A:42749879:42753113:1 gene:Dexi2A01G0032130 transcript:Dexi2A01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEPEVFDVVIFGASGFTGKYVIREALKFLSAPSSPLRSLAVAGRSRDRVDAALRWGASPAPPPEGVAVLVADASDPASLAALASRARVVLSCAGPFRLHGHAVAAACAAAGADCLDISGEPEFMERVEADLHEPAARNGSLIVSACGFDSIPAELGFLFHARQWDPPSAPATVEAYVSLQSTKRIVGNIGTYESAVLGVANAGQLQALRRSRPRRPRPNIPGPPPPKGSLIEGHNPLGLWAMKLPSADTVVVKRTLSAITEHPEGLPGAEETPEYAEHRKNFWSSVKPAHFGVKIASRSLMILVRFLITGLFIGLLAHFSFGRSLLLKYPEFFSAGLFRRAGPTEEEVKSASFKMWFIGHGYSDAAQASERGSKPDKEVITKVSGPEVGYITTPIVLVQCALVMLSQRGNLPKGGVYTPGTVFGPTDLQQRLQENGLSFDLHVTRAMR >Dexi4A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:4A:18613724:18620357:1 gene:Dexi4A01G0015550 transcript:Dexi4A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSMAAASDRADAGKPALRLRRAPSVDAAGELAGDSSGGRRESGEPRTPPNPQQQQQEMLYYRASAPAHRRVKESPLSSDAIFRQYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLGALMAEKLIRRKLIGEHVVILLHIIITTSVIVYPVVVILKCDSAVLSGFLLMFLASIMWMKLVSYAHTNYDIRVLSKSTEKGAAYGNYVDPENMKDPTIKSLLYFMLAPTLCYQTTYPRTTCVRKGWVIRQLVKCLIFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLVPYFIWLTLLENVEHVADILSTFCQPVHKWIVRHIYFPCIRKGLPRGVAILISFLVSAVFHELCVAVPCHIFKFWAFFGIMFQIPLVFLTRYLQDKFKNIMVGNMIFWFFFCIVGQPMCVLLYYHDVMNRQAQASR >DexiUA01G0024260.1:cds pep primary_assembly:Fonio_CM05836:UA:50126936:50129073:1 gene:DexiUA01G0024260 transcript:DexiUA01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNTRLKAEVRSLPFHRKSPFSIALRSIRRKNNPILVGEPGVGKTALVEGLALRIAEGNVPESLKPVIVRTLDLGLLQAGAGVKGEFEQRLKNIIDAVQHSPVPVLLFIDEAHTIIGAGNQAGGADAANLLKPALARGELRTIAATTWSEYKQYFERDAALERRFQMVKVDEPDDDTACLMLRGLKSRYAEHHGVHITGDAVRAAVTLSRRYLTGRQLPDKAVDLLDTAAARVRMSLDTLPEQLTRLQAELTALGMEQQELLEDISLGNSVDASRLPQIEQRTQALSQQKMALQSQYETEKQLTDSLKACREDISRQGELSTLQHELSQIQNNSPLLGLDVDVRTVATVIADWTGVPLSSLMKDEQTELLTLEEQLATRVVGQSPALNAIAQRLRASKTGLTPENGPQGVFLLVGPSGVGKTETALALADVMYGGEKSLITINLSEYQEPHTVSQLKGSPPGYVGYGQGGILTEAVRKRPYSVVLLDEVEKAHRDVMNLFYQVFDRGFMRDGEGREIDFRNTVILMTSNLGSDPLMQLLEEQPEATESDLHELLRPILRDHFQPALLARFQTVIYRPLAIDAMRTIVDMKLAQVSTRLQRHYGISTHIGESLIDTLTTACLLPDTGARNVDSLLNQQILPVLSQQLLSHMAAKQKPSSLQLTWDDEEGIVLAFDENTEVVAS >Dexi6B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:6B:25977924:25981897:-1 gene:Dexi6B01G0019140 transcript:Dexi6B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVISIVPALAGSDLFTNQGFYLKVSDSSHATYVTLPDEQHDLILSDTIQLGQFIHVDRFEAATPVPILRGVRPVPGRHACVGSPEDLVVTSSTTFLGGKKAQPSINGNSKDAGALSLEKEQSKLEKINASVKNNGTESKKPQLTKSNSSLSKQALNSLTDKKDTVISKAKSSTVRSMPSSPTSIHSLPASFDKFSNDMRQRAKTKGAEKPSPSRLSLLEKAASVLKATTAGRRSSVSNSLSNTMLSIESGPKALRRSWEGNAEAKVKGNSDSKPAKAEKKSENRSSSTPRRKSVAEEKPLPKDDSKVQTPPRKSSATAPADDSDKVVNKHSSPIRRTSGVLSNPNITNLVKVATNSKKLTDANASWTTLPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQPAVEQFLALHGALSRATVVTESLTKATATASATTSPDRSAPGDASIADEETLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPPPVNAVSPLAVVLIDESAKPAASTKASPPAKSRLSPAKGKVRPGSAAAAAAAAAAAAAAVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAEMPTPQQNPPSEADGEAAAPAVNGGAASGVPEETIERLRKKIYEYLLTNVDSAAAVLGGGEAAPAPVANGKKG >Dexi1A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:1A:22888146:22888995:-1 gene:Dexi1A01G0015880 transcript:Dexi1A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTRKLWSLAAFDRWHWRPNETLQEWWMNVTSSPSASRTSLCALNLLEARSACEDGDDKVAFASPPSGGTDEAGSNTAVAGSGGPYSGSSLTLVYGLHRCFLAWRRHWLGAGGGGEACVDVDLCGQCRATVWPTRPRGACGGEAQRQLMLRQWLYVYVRQQICGALGWLPWVARKWA >Dexi9B01G0023260.1:cds pep primary_assembly:Fonio_CM05836:9B:18310126:18311589:1 gene:Dexi9B01G0023260 transcript:Dexi9B01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAEEKKSHPRLNERIMSSLSKRSVAAHSWHDLEIGPGAPAVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNEFLPPTTALEAIQHSMDLYAEYILHSLRR >Dexi3A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:3A:11059648:11060391:-1 gene:Dexi3A01G0014990 transcript:Dexi3A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEARMRAALCAARGVAHLHTAHSLAHGNIKSSNLLLRPDPDAAALSDYCLHQLFPPAPARPSVSGGGYRAPELVDARRPTFRSDVYSLGVLFLELLTGKSPSHHASMEAAGDGVVDLPRWVQSVVREEWTAEVFDAELVRMGGGAEEEMVALLQVAMACVSTAPDARPDARDAVRMIEEIRGGHGRTTTEEESEGTRGASEEERSRGPSPTS >Dexi8B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:8B:14699786:14700314:1 gene:Dexi8B01G0008860 transcript:Dexi8B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKGRAVPEWLNSPIWSAPPPAPAPPDPYGADLAPPPPPKPPPPAAAPPVPPPPSYEQAVREGGRRGDEEEEGAGAVLRAHLLADFKAALSKKEVNMGELQRLACLGVPDGGAGSCVCS >DexiUA01G0002420.1:cds pep primary_assembly:Fonio_CM05836:UA:5478589:5479504:-1 gene:DexiUA01G0002420 transcript:DexiUA01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGGPRAWLKRVQKEWKILETDLPDTIYVRAFEDHMDLLRAVMVGASGTPYQDGLFFFDMKLPPSYPVTPPQVKYHSFGLHANPNLYPSGTVCLSLLGTFGGEGPELWSPDMSTILQVLVSIQGLVLTEKPYYNETGFEAQVGTPEGHRNELPYCESTYLVNLNTMLHLIRRPPGSFRAFVMDHFRRRGQHILRACEAYLKEGCPVRTLDGEGCATKASTEQPPCSKGFRLALTNVVPRLVEAFTRIGAQGCHEFNHIVS >Dexi5B01G0037960.1:cds pep primary_assembly:Fonio_CM05836:5B:37284722:37291143:-1 gene:Dexi5B01G0037960 transcript:Dexi5B01G0037960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLVEIHPRELQFTFEVKKQSSCAVHLVNKSNDYVAFKVKTTSPKRYCVRPNTGVILPRKTCEFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPAFFSKESGRYIEESKLRVVLVSASHSFEEEPINGVHDTKPAVEVPVLKEMPNIVNEVPAVAKEVLPPQEQAPAIASEIPSPVKEIPGLREIPVRLNEAPAGLTEAPSVRKDSSAVAIEHASNVTIEHAPAVMIESPPPLKESIAVFKESPPLEKIPPKEAVMLSDRGLFSVQNHQLSHVTEDVQNLKSKLNNLESKLEEAEKMIIRLREESRSTTQERDKLQQETVFLRKKGAPRSQVGFPLLFVVYVALLGTSLGYLLRL >Dexi3A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:3A:9773184:9778679:1 gene:Dexi3A01G0013450 transcript:Dexi3A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAPADELPSAAATGTAPVATATGTATMPRNGDGGTGASWYGGCGNDGATGKGGWRGTAALAATTSMAWPDVRQSWREVAAISSRWGLGARPGVGREGGEGHGGGQHPGQFKLYSGGLAWKKQGGGKIIEVDKADITSITWMRIPKAYQLNVGTKEGLFYRFFGFRQQAVSNLTNFIQRSTGISPEEKQLSISGHNWGGVEIDGTRLCFNVGEKEAFEVSLADVSQAQLQGKTDIVLEFQVDGTNGSNEKDSLMDLSFHVPTSNAQFIGDEDRTSAQMLCHEILHRIDGGSLSEMPVVTFDGIAILTPRGRYSVELHQSFLRLQGQANDFKIQYNSIVRLFILPKSQNSLTFVVVTLDPPIRKGQTLYPHIVIQFVTEEVVERELALSEQVLTEKYKDLRGSYRGQIHAVFSSILCGLSGAKVTRPSTFRSGQNGYAVKSALKAEDGLLYPLEKAFFFLPKPPTLILHEEIEYVLFEHHGSAANMSSQYFDLLVKMKNDQELLFSNIQKSEYQNLFSFISSKHLKILNLGDGQRRASGVTAVLESTDDNSVDPHLERIKNQACNEESDKEDEDFVADSGSPNDDSEDEGSDGSMSRGEVENSSKMEASSSKTHVKRKQNSGSGEGSQNKELKRKKDQKSGSNEGSQKKKAKRKKDPNAPKRAIVPFMYFSKAKRANIKNSNPELTTTEIAKKLGEKWQKMTAEERQPYVEQSRAGKQRYEEESAAYRSAAAQ >Dexi3B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:3B:6078584:6079833:1 gene:Dexi3B01G0008780 transcript:Dexi3B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAFILYAGFQFYFAPMAEENTGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Dexi4B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:4B:6199567:6208761:-1 gene:Dexi4B01G0008650 transcript:Dexi4B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFGWLRQASRSLALRSGEVQDKFYIPFLLFNISLFLDLNNIFLFLILVFVFISSTAACSSTCIYIGDNYYGRFMMTSSVLSRSFHATGHRCSIDKDYYKILGVPKDASQDDIKKAFHSTLRDPSKREQYDMVFQQDKNMRANDIKVELNLSFHEAANGCIKQVSFSAKNVCDSCGGRGHLENAKRYICPSCKGLGRVTNYPFTTTCSFCRGVGKVIKDYCFTCEGSGVVDGIKNVEVKLPAGAFLYFLFSAGIDSGDTIHVAGAGDSGGLGTQHGSLYIKIQVANDPMFTRDGADIHVDKKISFTQAMLGGKVEVPTLNGNIEVKIPKGVQPGQVVVLRGKGMRNLAGFFGDQYVRFQIHFPSVVTERQRVLLEEFAVEEATKEQNTSIVGNWWELVSEHMTGQKVMIGVGILLLIHTILSRAVS >Dexi9B01G0046140.1:cds pep primary_assembly:Fonio_CM05836:9B:45491866:45495020:1 gene:Dexi9B01G0046140 transcript:Dexi9B01G0046140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTAAPAAGYGAYGVYRSPRPPVRIESSPGLALTDLLLRRADACPTALALADAASGQSLTFAAVRSAFLTTAVALASRAGVRPGDVVLLLAPNCVLYPVCFFAVTALGAVVTTANPLYTPREIAKQVADSRAKLAITVSELLPKIAELGLPTILLDGDAVSATASVPPGAASVTLYSDLVAGAKETEYRRPPIKQSDTAALLYSSGTTGASKGVILTHRNFISAAAMVTADQDEHGEGPNVFLCFLPMFHIFGLSVITFAQMQRGNAIVAMSMPRFDMDYVMASVQRHRVTHLFCVPPVMNALAKIGRAGKYDLSSLKFIGSGAAPLGKDVMEVVARNFPDAIIAQGYGMTETCGIISLEYPEEGQLRQFGSTGSLVSGVEAKIIDIETLKCLPPNQLGEICVQGANIMQGYFNNVQATEFTIKQGWLHTGDIGYFDEGGQLFVVDRLKELIKYKGFQIAPAEFEGLLLSHPEILDAVVIP >Dexi2A01G0032940.1:cds pep primary_assembly:Fonio_CM05836:2A:43243502:43245421:-1 gene:Dexi2A01G0032940 transcript:Dexi2A01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQNFRLSYSLTSYLQFWPVKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKNDIGMVVIRGNSVVMIEALEPVAKSQ >Dexi1A01G0000840.1:cds pep primary_assembly:Fonio_CM05836:1A:546250:546841:-1 gene:Dexi1A01G0000840 transcript:Dexi1A01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGAMTGDAVPYCAYCGAQRALLHCAQHAARLCLPCDLRAHAAAAAWGHLHRRAPLCDGCHAAPAAAHCAVHRAFLCAPCARAAGCDAERHPRRPARAYTGFPEPAELARILFYDTDGNITPPPSSRLSPAPDTWVPDLVNMELLPQDTSSIKPNRKE >Dexi1B01G0028310.1:cds pep primary_assembly:Fonio_CM05836:1B:32877756:32882995:-1 gene:Dexi1B01G0028310 transcript:Dexi1B01G0028310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYCTHAMGAHRALRFSARPGQPPASRRLPPNPFAEPAAISSPAQKNQPPGGTHRLYPPGLRLAAATVRAAAGAPPPPPPAMLPLARALRSLGPVAAAREGPLLAWLSSSRSASSSTTPPEYEMPSVTWGVIQGRKERLVSRVLALDFLCSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSNYPLLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLSPVVKALRGLDVDRQDIPRVLERYPDVLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHYPFFLGMRVGTIIKPFCDYITSLGLPMRILARILEKRPYILGYDLEGTVKPNVEALLSFGVQKEALPLVIAQYPSILGLPLKAKLAAQQYFFNLKLQIDPDGFACAVEKLPQLVSLNQNVILKPVEFLRGRGISNEDVARMVVRNVQLTNVQVRAIMGNIMGKKVVKVAISLLLVLALLLQPCAAARPIAETPTIDGSRSLHLPLRGVAFDGDGAGPYSGVSDGRVLKWNGPVRGWTTYAYGPGYDARACTASRTRPAEVTESRCGRPLGLRFHDGSGNLYIADAYKGLMRVAPGGGKATVLVNKVDGVPLRFTNGVDVDQVTGEVFFTDSSMNYQRSQHERVTATGDSTGRLMKYDPQNNSVTVLQSGITYPNGIAISADRTHLVVALTGPCKLMRYWIKGSKMGTSEILADLPGYPDNVRADGKGGFWVALHREKMELPFGPDVHLLAVRINADGRFNFHRAFHVYPCRLPLDLPFLRASCVFGIPVSPQPPPPPANRCSRTSLLLCAAASRTSLLLRVAAGCASRVPLPAPLPAPVLCVVARLHMVLTEIPKVMTTHQVKHADTEDDAEPRPRSIRAQPVLAVL >Dexi8A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:8A:4322511:4323863:1 gene:Dexi8A01G0004900 transcript:Dexi8A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTTPGKPSDPPAASPRWVLLEPYVNNKVSDDPSVPNAKTTAASCTSTGKLFTLSFALAAPPATSTYCCEWMGGWPGDGDDAGEVERRRQIYNGRDHSKNLHIIAAHDDCVLIHLNPPEGRFCSRYDNRRDYFLYESGAGRTPPWLSLLPGCYFSKLFHRDDGNRHVPSRIDEPRSLNESNTAVVRLVGGEVLVAQLEVIREDHGLHNTAELCVLRPGRGEWKLKQLHIVHTDGGSKQLPDSQDIDATVAVGVRFVCWVDNNSGFYLHDMGDETSSKLVYIPLPIPMPEEHHRKGDRAYVPYGHNLGAAGLYAVRFVSVAPRCCCGGHGKTSCDRSRFAFNVTTWTLRLKVEGPMTWVKDGVLDCDELWQLPNYGCLPRVPPKCPIVSADNPNIICFALCEDHHLIDNADETVWLLEIDTRRKVLLSVVRHGTGGYYSDSRLPAKISW >Dexi9B01G0033110.1:cds pep primary_assembly:Fonio_CM05836:9B:35301209:35302748:-1 gene:Dexi9B01G0033110 transcript:Dexi9B01G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTRISQFCFTIYRHNDQSKQSKQARVCRADPELLRSLASTTNSEIILTVPNEQLEHIAEFQDEADLWVTTYVARSLPASTRITHVLAGDDVLANSPGDAYFLVPAMRNLRSALAAAGLDGRVKVSTAVSGETLASPAWSGVVAQLLRFLNTAGSPLFVKTPSSEASDAMADAAYAAMRALGVPAGVPVIAADPGAGASEVAAYNYYSYGTQATTERSSMRRSLATGTFCVALQNADPAALQAGLNWACGPGGADCSAIQPGGACYKQNNLAALASYAYNSYYQKASSTGATCSFNGTATTTTNDPSSNSSTPVGASPPTSLSPPTGFTPPVGSSPPSSEFSPPSGFAPPTGGGFGPPTGGFGTPPSGFGPPGSYNGTGAFGPSGTFSPYGGSPGAMSSGAGFLTALSVVAVLLVSMDAM >Dexi6B01G0006180.1:cds pep primary_assembly:Fonio_CM05836:6B:5793044:5797304:-1 gene:Dexi6B01G0006180 transcript:Dexi6B01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGDAKTATEPAAIRDWSELPRDAIASVFAKLGPVEILMGAGLEKCGGEYEDVLRAMAKKVVDRSDGQLEVFIGEGFVVDDGLLNYIREKFASFKFACPTEFTSFLRRHILSRLLGLFSCFSISIKGFLQAITNFPLLVELKLSECGDMGGRATYEAVGKACQNLRNFELVKKLSWSYSSAPDFAGYNLHDEEAHGIATMHGLRSLKISGSHSMSNKALGAILENCPHLESLDLHSCFNIVMDDGIRAKYAGITISVSPNDVNLSTDSFLVDDGYDYFDWLDDNYPPSESEEDYSDKYYDQRQRARLLDGRRFAAGKEGEGCLCVMNLPCAIYICNSMEAGGDAQPAIEPAATRDWSELQRDAIASVFAKLGAFDILMGVGLVCHSWLNAAMVPELWWSINMAHPYQDFGVSMIMTTHQLIAS >Dexi1B01G0016180.1:cds pep primary_assembly:Fonio_CM05836:1B:22720023:22720423:1 gene:Dexi1B01G0016180 transcript:Dexi1B01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILATVGDAEGALEVGEYGFGLGAGPEVGDLRGGLECLCLGEAAPGDEVLLHGEGARGKCGGGDGRAENGQDAVGEDEGMSVRGGREARFRANVAGEGRSQVGDYHRAPPVMTALPAAENGGGEERVEG >Dexi9A01G0025290.1:cds pep primary_assembly:Fonio_CM05836:9A:23343038:23344833:1 gene:Dexi9A01G0025290 transcript:Dexi9A01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYNGGVIQNSEFNSGLMGWSTYRNIKAGVSSSPTGNKFAVVHNPGSFLSSSGKFLPSHSVFQRIQMQGDMHYSLSAWLQVSNGTAHVKAVIKAPNGERVIAGAIVAQSGCWTMLKGGMTTTYSSGHGEIYFESDAPVDIWVDSVSMQPFTFDEWDAHARQSADKARRRTVTFVAKGADDKPMAHANVSIELLRLGFPFGNAITKEILDLPAYEKWFSSRFTVATFENEMKWYSTEWNQNQEDYRVADAMLKLVQKYGIKVRGHNVFWDDQSSQMKWVTPMNLAQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKLGPSASAQIFNQVGQLDRNAILFMNEFNTLEQPGDPNPVPSKYVPKMNQIRTYPGNGGLKLGVGLESHFATPNIPYMRSSLDTLAKLKLPMWLTEVDVVKSPNQVKFLEQVLREGFAHPNVDGIVMWAAWHAKGCYVMCLTDNNFKNLPVGDLVDKLIAEWKTHRASATTNDFGAVELNLPLGEYKFTVSHPSLKGAAVHTMTIDTSSSASAHTIHVKS >Dexi3B01G0032100.1:cds pep primary_assembly:Fonio_CM05836:3B:34509797:34510725:1 gene:Dexi3B01G0032100 transcript:Dexi3B01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGNQLLPAAATVVADEEGNSVTVLSGAGVDTHGSSEKRDWANLYRDAVREIAGKLLAVDVAEYIRLRAVCKPWRISTDAPSWEPKFFPRNWLVLKHDADEDDVAPPANPAVAHLFVNVLTGTTLRIHLPAFEEYGDYIAGAEGLLLFHNASTDTVRVFNPLTRATAILPGFFAGLPPVVAEEEAVNLTAAGVIVTADGNAPAASPTVVLVLALPLTTVILCAKPGDDHWGTVDAGVIEVANNGDVLPPFHGGLSVQGGFYG >Dexi4B01G0013450.1:cds pep primary_assembly:Fonio_CM05836:4B:12038390:12041637:-1 gene:Dexi4B01G0013450 transcript:Dexi4B01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAARHLVDRAIGSRAAHTSAGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRSWLESQGHHYIVTDDKEGPNCELEKHIEDMHVLITTPFHPAYVTAERIKRAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELLRILILLRNFLPGYQQVVQGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQIDPQLEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTKGMFNKERIAKMKKGVIVVNNARGAIMDTQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >Dexi9A01G0027420.1:cds pep primary_assembly:Fonio_CM05836:9A:32059274:32059580:-1 gene:Dexi9A01G0027420 transcript:Dexi9A01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCTRTHLHWTYESGIPDDPWLLVTASQ >Dexi2A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:2A:8505853:8507815:1 gene:Dexi2A01G0008340 transcript:Dexi2A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRRRRAARVALMPLPFQGHITPMLQLAGALHARGLAVTVLHTAFNAPDPTRHPGLSFVSVPDAIADAVAATANGGADRVAAMNAAVEAPGLAEGVRDALASLMRLGEEEGGEPRLACLVIDATLTAAQKAASGLGLPTLVLHTCSAACFRLFGSYDMLYDKGYLPAQESNLLLPVKELPPLQVRDLFDPSKLPNKEIGLKLLNLASETTASSSGAIFNTFGALEAQELEIIRNELAAKRIPIFAIGPLHKLTSIDGKGTSLLNQDCTCIEWLDTQESGCVLYVSFGSVVNVTLDQFTEIAWGLANSGKPFLWVVRPGLVLGVEKPELPEGFECAVEGRGKVIEWAPQQEVLAHSAISGFWTHNGWNSTLEGIFEGVPMLSKPHIGDQLVTGRYVEDRWKIGILLEGVLERGKIEKAITMLMEGKDGMEIRERAKDMKEKAQLCRESSGSSQQAVDNLVDRILSFSGISSFYSNGV >Dexi7A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:7A:16996203:16999745:1 gene:Dexi7A01G0005770 transcript:Dexi7A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLHKKMEIYRPHKAYPIELAQFHSADYVEFLHRITPETKDLYASELTRYLRALFFSLFPDNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTSDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRSILLPLKPYLLVMTVSFHKYGDLFFPGTGDIKDRGEREGKYYAINIPLKDGIDDASFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNDYIEYFAPDYTLKVANLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDEDELDPDERVDRK >Dexi6B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:6B:24454653:24461032:-1 gene:Dexi6B01G0017260 transcript:Dexi6B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQPLLPSTVFSAESQKRRGQVAELDVEMEMEMGRRKGGLLPGSVLEGADKAKVVGASGESGKLFMASVRWSTAGGGDVVWSPRVSGCRLAGSEDISLMATESAVRLIGGTGARDWSRGFGAFDSSVGGLSGEDLGFVDNGTGVYGGWRESVPNRSGSAPPSMEGSLAALGHLIGQQSGSFEASLANLDNVTGSSKSEEQLCADPAYFEYYGSKVNLNPRLPPPVISRESRRLMNRVGKAKEWRVVSQDNSSKGSIYVPRSTLSTHKEEPEDEKSPRLDSSSVDDAQIISSASNFESQEFMLENFHQSVASLPDSSSSNPSNTGDAMAVRSDINLSRSLPADAVKQSDLNSWTPKSPLNDLSSSPLSSSSYSGSKTGMQSSQQEKLGTEPKLGNVVLGCGAAVTELDNVDSNMKNLKLSLDGHTSSSVKQKWQDNVLQQYGSLLPAQGDPTQMTLQGPHLSHVPIADNLSTQLKLPAGDMQQILPQLGMTTPFFTPNSFGSPYYQNLHPANAFPSPIGTAGYPVSGSVLPPFMAGYAPQGSIGTPLDSPMTPGFSGRPSGFPSTGNPTGATDFMQSYKMYGQLGVGMQPPIPDPNFIHFFQHPSLLQYTGGNQFNTMGPRFTVVGNPAESFDPQKMIHHTAYPSDQRLQLPRAGFPNSPTARRGGSVPNYQGISPYIGVPMSYPASPVFQGQTLPGALPPSRRNDPVGFQSPSRNTTASSGIQGQRERQKFDEPKGCSFLEEIKSNRTRRVELSDITGRIVEYSADQHGSRFIQQKLENCTAEEKASVFSEVLPQAASLMTDVFGNYVIQKVFCCKVMELDQKIDLVRELDGHGQVANLSMHPYGCRVIQRVLEHCGGNSQGQCIIDEILQSACILAQDQYGNYVTQHVLERGKAHERSQIITKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIRQIVEQTEGNDNLLAMMKDQFANYVVQKILETCNDSQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGPAESDS >Dexi6B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:6B:2980162:2981564:-1 gene:Dexi6B01G0003640 transcript:Dexi6B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMRSNSMDLTLSIHGAASVETFSDDKIAIVVPPASPILVKSSSNKIVPEWVPHEDSHPPPSFEKRAAPLAIVKKVMAEFLGTFLLIFILVSALIMNEAHGGALGLFGVAMIGGAAVMVIVASLVHVSGSHLNPAISIAMAVFGYLPKAQLVPYVAAQLLGSTIASLLAKGIYKPTNLGATITTVPTIGTAETFVVEFITTFILLFVIASLATDPKAVKELVAVAAGAAVMMNAAISAMSTGASMNPARTLGPAIAAGTYTKIWVYMIATPLGGIAGTGAYIALKLK >Dexi2A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:2A:4618180:4620366:1 gene:Dexi2A01G0004940 transcript:Dexi2A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRPLVSVKALEGDMATDSADLPLPAVFSAPIRPDVVTFTHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHRRVNVNLRRVAVASALAATSVPALVQARGHRIETVPELPLVISDSAESIEKTSQALKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDTPSLKKKGFVLPRPKMTNADLGRLINSDEVQSVVKPLNKEVKRRERRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMVSDSDYTEFENFSKWLGVTQ >Dexi4B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:4B:2107342:2107993:1 gene:Dexi4B01G0003100 transcript:Dexi4B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAASAEASSASSTEWERKKRQDAEDKRWLQTLSEPELDLLIGLKELAVTRASNAGHPHLADRVFHLRALRALAFVLLEECKERLRQASSVNNTSMLERLALLDDPDPDPDHEVVRPCQDLMPVPAAVRKKRKKMQDGYLLLLICCFSCYSMPA >Dexi7A01G0017100.1:cds pep primary_assembly:Fonio_CM05836:7A:26885200:26888317:1 gene:Dexi7A01G0017100 transcript:Dexi7A01G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGAGGSSPSGTKTKKLKIAVIHPDLGIGPFPVTVYGDFLPRHVLYRFHAVCAYLRCIFVALCVLLWWPFFDVILVDQVSVVIPLLKLKAASKIVFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEATTGMADLILVNSKFTAATFARTFRDLHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFSLLRSIASSLPGDALQDATLTVAGGYDKRLKENVEYLEELKRLAVTEGVSGQVKFVTSCSTSERNELLSNCRCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEVTGFLCDPFPAEFSKAMLKLVSDRDLALRMGLQARDHVVQKFSTKTFGDLLNSYVLNVYHESIE >Dexi5B01G0026530.1:cds pep primary_assembly:Fonio_CM05836:5B:28286327:28286715:1 gene:Dexi5B01G0026530 transcript:Dexi5B01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGARRALAALRSSSPSNLSARLSHQAASRSPELAAASLPRASRRRPAISRVPVAALGAVQGSLMPMHSATASALLTSMLGLKPGSWGWLSEGKF >Dexi4A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:4A:5205419:5205757:-1 gene:Dexi4A01G0007080 transcript:Dexi4A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRLASRRGATPGAQASGGGRRKRMAIARLGGGGGDGGGGGRKMKLFGVLRLRWPWLAAVYRRALRRLRASYEQALRELVDGTVLVGKLHAPAGVDCAHAASFGPMATVGF >DexiUA01G0013520.1:cds pep primary_assembly:Fonio_CM05836:UA:28366603:28368170:1 gene:DexiUA01G0013520 transcript:DexiUA01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIEERVKKIIGEQLGVKQEEVVNSASFVEDLGADSLDTVELVMALEEEFDTEIPDEEAEKITTVQAAIDYINGHQATNVSKRRVVVTGLGMLSPVGNTVESTWKALLAGQSGISLIDHFDTSAYATKFAGLVKDFNCEEIISRKEQRKMDAFIQYGIVAGVQAMQDSGLEITEENATRIGAAIGSGIGGLGLIEENHTSLMNGGPRKISPFFVPSTIVNMVAGHLTIMFGLRGPSISIATACTSGVHNIGQAARMIAYGDADAMVAGGAEKASTPLGVGGFGAARALSTRNDNPQAASRPWDKDRDGFVLGDGAGMIVLEEYEHAKKRGAKIYAEVVGFGMSSDAYHMTSPPENGAGAAQAMANAIRDAGLTPEHIGYVNAHGTSTPAGDKAEAQAVKSIFGEYASRVLVSSTKSMTGHLLGAAGAVESIYSILALRDQAVPPTINLDNPDEGCDLDFVPHEARQVSGMEYTLCNSFGFGGTNGSLIFKKI >Dexi8B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:8B:22041222:22042424:-1 gene:Dexi8B01G0012670 transcript:Dexi8B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDKRERRTDTWSKNHPLGPPWASLHADAMGVVLTFLPCLPDRARVRSVCHQWRAVARSRGRSVPPPLPLLVFPKLRFSSLTAGGLLTAMRQAWMPREVGPKDDIIPVGSSGDWIVCARLATGECFMLNAFSYAVLKVPHLGTSSDFSLRQVVFSSSPSSSSSSSSSSGPPPPNYTMAAYMICHGKTELSLWSPGMKTWRVYEHALFAGHVDIIFYQENLYMLWRFTPCLFALELGKDEEDGVIITSMKDCLVEKLLPNALVPTHETSCNMVEWKGRLLLIIRYHQAPHHCRNMLVKVEVFVMDLSVMPCGLTQIHSLENDCIFVGTGGCKSFSAGQHAGVEGDLIYFGPDHCNPHAAFAYSMRDHGTRAIVETKPLPCVNNASPKQVLGYPVWLFPSE >Dexi3A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:3A:16471233:16473214:1 gene:Dexi3A01G0020760 transcript:Dexi3A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGIQRSATFVEDHRQTTSSGGSSSSSSPAIASPRATRFADDSRRPERSSPLAARGGDLSLPVFGERFSLSGAAAAASLVDSQPSSPMQDPVTQLYTSTTKLNDDGPKYDLELSKKDDARHGLWSLVAQKAKVMLDENGTPRTQPSESRWSYDRVRSSESPTSRKGTSDGKLDIGGKIKNVLEQEGLAAADNTTPGAGSSAVVAQKLQIRRKACSMDFRSANLVTSDMSPRLSDDVESPQIKASRDVANAMAAKVKLLQRELKTLKADLAFSKERCAQLEEENRQLRDGNQDADEDMIRQQLETLLAEKARLANENTLYARENRFLREIVEYHQLNMQDVVNLDDDDDNDDIEEEDEDDVVDDAEEFEQYGGRDTSSPSQLDELVHEDEEHQAAGPGTAPRSPSTRHTESPRTQSPNSSGGAMDNVSPRMVNTDSGGAMGDKSPRVLSTNSGAMVDHESPRMLSTNSGGSAQCESPMLRRSKDDGSSPETATDG >Dexi7B01G0017340.1:cds pep primary_assembly:Fonio_CM05836:7B:23330162:23330775:1 gene:Dexi7B01G0017340 transcript:Dexi7B01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPARKLMWGVSPPGSSDVPSDHDVVIVLGSLLCAMITVLGIGLLARCACGRGPRIPAAGNRGLKKSVLRKIPTVPYAAPTSATASSSSSCGGVGGGGDEESSGEAAAEECAICLAEFEEGEAVRVLPPCGHGFHAACIDKWLRAHSTCPSCRRILSLRLPPGERCNRCGARPHAAATAPCRRSCHRQAAVQRGD >DexiUA01G0013980.1:cds pep primary_assembly:Fonio_CM05836:UA:29595299:29604533:1 gene:DexiUA01G0013980 transcript:DexiUA01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi3B01G0014780.1:cds pep primary_assembly:Fonio_CM05836:3B:10622352:10622612:1 gene:Dexi3B01G0014780 transcript:Dexi3B01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLVLYQPIPSVPSVLMVKQQQNPILSATNIHHHLFPPCTQDSETWQLQNCLVESLSGSEVRWRALICLGYFCPHQKPRQAPGR >Dexi9B01G0033830.1:cds pep primary_assembly:Fonio_CM05836:9B:35915453:35918791:-1 gene:Dexi9B01G0033830 transcript:Dexi9B01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIGAIGTLLPKLLELLKEEYDLQKSVKKGIQFLVDELESMQAALNKVSEVPAEQVEEDVKIWTRAVREMSYEIEDKVYNFLVRASKGKDQQANAKSIKGFVRTCRNSLAKVKLRHKMAADVMDIKCRVMEAKKRRDRYNFDSIVRGNTNATTINPRIGALFKKSTELVGIEKAVDKLIDMLSVDAEDGDPKLVSVVGVGGLGKTTLAKTVFDKLKVRFQGTAFVSVGQNPDINRVFKDILYELDKQNHSTIHSMSLGSTQLIQLIQDLPDKKRKLTSLQDLMIELAYNTNTNLLAELGNLRDLRMLNVHLGELDQRLVEASLVSLQNMHKLRHLSITAWRSSSLRWEAPGFVVPRQLRSLKLMKEVSLASLPTWINSSLLPNLCFLSVQVRSMDYRDMRVLGRLPELCCLHLYTRRDMSGAPVMPSLEDIRFYVHPEELLKFHVHLGSQTVIGLDNIPLVEKIGITINEWDE >Dexi2A01G0005190.1:cds pep primary_assembly:Fonio_CM05836:2A:4921607:4923241:-1 gene:Dexi2A01G0005190 transcript:Dexi2A01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDAAEKALAPSLMDHRFHEPVFKIDSNCRPIENCWCGSSNPSTSTTLVFRSVNLGFNNTLEIKPNGSVSAAGDSELVSALREACEETQQIKYTLGGWSTGPRVVYGILAMLNLTLFAVGIMALFSGSSSAARSRTMLSASAFCAKLGFIVSVTLLLVPYSCRLGRWGCVTGVPSAMAIACVMMAVWIYGDEGDVAQVVSNLWLRLCRGCRGAGDILPVTRSSK >Dexi2A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:2A:2271838:2275359:-1 gene:Dexi2A01G0002850 transcript:Dexi2A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLRRALASSPPSAAARWRRPLLAALLSPPPAPPGPARRAPELDPPPRRAFHASPRPLGFRATPASWDGSVPGAGGAAGEEDGGLEIAKLGISGRIVEKLTARGITRLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRILTYNEKIGSSRNPLAIVLAPTRELARQVEKEFRESAPLDTLCVYGGVPINQQMRVLNYGVDIVVGTPGRVIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMEQLPQNRQSMLFSATMPSWIRKISNKYLKDPVIIDLVGDSDQKLPEGISLYSIASDSFGKPSILGPLIKLPKMPVTDEAADMFNVMRDNRSRSVGSRTGRSFGREGYGGFGDRRSGGFSDFDSFGGAFDRDGGSRDSGSRYRGGSGGFRRPSNDFGRSSFSRSDRFGDFGEGDFSRRGSADFGRSRSSDDSGSSCYGRGSSGFGSSDYG >Dexi6B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:6B:2888220:2888623:-1 gene:Dexi6B01G0003490 transcript:Dexi6B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNTEASMSKAVPLPTIGHRQLPRPAPQGPGGGAATSGCPLTSGDVVRPAKVQQQEGCPERLQLTVLGGDGEVAQGVDSRGVCQQVYEPEDGTLPGGEATPPHSAKDEEEHLQGQAQKDQDLDPTFSP >Dexi1B01G0027580.1:cds pep primary_assembly:Fonio_CM05836:1B:32270319:32273119:-1 gene:Dexi1B01G0027580 transcript:Dexi1B01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDITNLAFFHFKCRDLQSYLAELTIFLCPHTKKFLILLDNRPWLLDQDTKPAHLWQLMVTKSRFSPFANSRTRRKRDETDGNHIFSGSPISAPHLRNKPSRWYSLIDEAMREKKLQVNKLKDARILNRELHQTLYGFIIFEVDWADVRGINYLNELQTDTSMAVESKIMKRWEFDSVNQASSLITSWFSGNESERDLLQDYLDSISSNGDVFYDAQNDFLTPEWDSENSPSDSDDSGHVQIIRELSSFTDPCYTLPPSSRPYKRRKITKFDHGSSMSEESYSEIVTSPKYSSSSCSSCGSDNESADNESAKPLLEPINSVLYPVIWLLGEILAAPFRLVIGISSFIVDFLVDIVSVLRHSWSTLSALYQAGSVTRSSMLTSDNSVWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSHVSTSARYTTCREGARKYGSQHHP >Dexi5A01G0023860.1:cds pep primary_assembly:Fonio_CM05836:5A:27826097:27826968:-1 gene:Dexi5A01G0023860 transcript:Dexi5A01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLPRPPVIAFLSLVFLVVHVPASHGSSSDSLPPTYDSSMCPESFSCGGVDFHYPFYLSNTTRYTANYTPYSCGYTDLEIFCQGEGPTWTPVIRLGGDTYTVLNIIYDNKTIVLADSDVLGPVKCPAVSHDVSFDKLWLRLNPGSNENLTFYFGCKSLDRVPPVLDTYRIDCTGFKNGFGDGPSFIFTPDDHDPAQEPELAALCYKLSVPVMGEAPATMNRTNFTHGGYGDMLKQGFELVWLSNSTHDECLPCEQSGGKCAYSEYREFNGCLCSEGKVAK >DexiUA01G0019230.1:cds pep primary_assembly:Fonio_CM05836:UA:40200307:40201562:-1 gene:DexiUA01G0019230 transcript:DexiUA01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASENVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGDWLTLTESLPADELCKSIKSVMQLLRDSFDHQHDQNKPKSESQECGMLENNEQEEAYSGSVPAAEGLQSKLTMVLDRLTKFSEASLKCCEELKQNYEIAHDDYKRFGPNAQLA >Dexi2A01G0036140.1:cds pep primary_assembly:Fonio_CM05836:2A:45738729:45739573:-1 gene:Dexi2A01G0036140 transcript:Dexi2A01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLAGPDVKGTIFFSQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTAGEDGVANVNITDCQIPLTGPDSIIGRAVVVHGDPDDLGKGNAL >Dexi9A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:9A:8542190:8544007:1 gene:Dexi9A01G0013310 transcript:Dexi9A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGPGSDAPGTMRFALHVMRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAGMNLAGYLMIYLAVTGRTSRPPVWLMCFYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQIYRAIYGTDNDGSELVLLMAWLPAAISLVFIPTIRIMPRPNAGAGPASGERKAFFLFLYASILLAVYLLVINVVELEVTSFPKSAYYVTVVVLLLLIFFPIVIVVKQELKTYIQPAPAPATIPNSSSIVAITVVDDDEKTKSQPAEDDKSPSCLQDVFRPPARGQDYTILQALFSVDMLVLFVATICGIGGTLTAVDNMGQIGQSLGYPQGTISTFVSLVSIWNYAGRVVAGFASEYVLARYKVPRPLALTVVLLLACVGHALIAFGVSNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRIAGRMYDDEARRQGGQTGGDLTCIGVRCFRESFLIITGVTLLGALVSLVLAWRTRKFYGGDLYGQFREVGMVGAAAAAGGGEVASSGVSDSDTKETSSSSESSNGNKVV >Dexi9A01G0040250.1:cds pep primary_assembly:Fonio_CM05836:9A:44081997:44083515:1 gene:Dexi9A01G0040250 transcript:Dexi9A01G0040250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATSSSHLLLFSRQQAASSLRCRLSFLGQSRPAQANVRCMAAVDTAAPVATEASSKKRSYDIVTLTTWLLKQEQAGVIDNEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGVIASEEEDVPVAVEENYSGNYVVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLVDADDDNGTLDSVEQRCIVNVCQPGSNLLAAGYCMYSSSVIFVLTVGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKKYVDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDITPTEIHQRVPLYIGSVEEVDKVEKFLA >DexiUA01G0021580.1:cds pep primary_assembly:Fonio_CM05836:UA:44764654:44765679:1 gene:DexiUA01G0021580 transcript:DexiUA01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMMQRWSMDALGRENLRLTQAPVPQPGPGEVRVRVNAVALNYRDKMVIEGNMPIALSFPFTPASDMAGVVDSTGEGVTRFKPGARVISTFSPEWVDGKPKGDARNLPYQTLGGYFQGMLSEYVIMRENWLVAAPETLDDAEASTLPCAGLTAWFALVERGQLRAGQSVLVQGTGGVAIFALQIAKAHGAEVFVTSGSDEKLALAKTLGADRGINRLKGNWADEIYALTQDRGIDHIIETVGGENLRHSLRAVAVHGRISVIGVLAGSEISLPASELLLKSPVIQGIGVGHRRALEDFVRAVDVTGLKPVIEHRYRFNQLEEALVHLDRGAFGKIVLTRE >Dexi9B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:9B:15324241:15327453:-1 gene:Dexi9B01G0020600 transcript:Dexi9B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSSVEVAPATVSVPEGKSGKKGKRNAEDEIEKAVSAKKQKTVPVKVEPAKKQPPPKKVESSSSEEDSSDSEEEVKVQPKKVTQPKKGTKPAKEPIRDISSDDSSDESSSDEVRIIHFLLGPSFLPTLSVLIFFCVIKEPSKKPAALSKKPSSSSDESSDSDDSSSDEEPAKKPTTVTKKPVAVISNGSKKVESDSSSSDSSSDEDEKPAALQKKPSVASVQKKTQESDSSDSDSDDESEEDLPAKAPVAAKKKEESSDSSDSDSDSESEDKNAKAVQPAKVTAPKKEETSDSSESDSDSDSDEPEKPTIAAKRPLATDKKKEQSSDESDDSSDDSSDESDEEPAQKKPKHSAPSGASKPAPKVSKKESSSDDESSSDDEDDDDSSEESSDDDKVELKVVLIF >Dexi8A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:8A:20038978:20047541:-1 gene:Dexi8A01G0011340 transcript:Dexi8A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGDPILAPAPPPWPPRPLAPLLRRRRRLTLTPYSCSASARRPESSSPQPAAARASRRWRPRPPRPPRPPSPPTTSRNSTTXXXXXXXXXXXXXXXXXXRAPPAPAPASHPHPLLLLRLRPPARIIFPPARRRARLAAVAAATATAAAASVAPDDISQLNYRLRAIVRRRDAAASSSSSSSSPPVDPAQAEAYLRMIRDQQRLGLSQLRGGTGGGGGAGGEGDGDGDEEGETRRKGVAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFACISAEDGVDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKKRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQSRPPYPKPVALDEFAAEFPYEPTPDQCQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVVSAGYQAMVLAPTVILAKQHYDVMTERFANYPGIKVAIFSGAQSKEEKDELITKIKDGDLHIIVGTHALLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSKERALSAIKFELQRGGQVFYVVPRIKAIDDVLQFLKNSLPDVPIAVAHGKKMSRNIQLAMEKFANREVKILVCTHIIESGIDIANANTMIVQFAELFGLAQLYQLRGRVGRSGREGFAYLFYTDKSLLSRVATDRLGAIEEHSELGQGFHVAEKDMGIRGFGSLFGDQQSGDVANVGIDLFFDMLFDSLSKVDQFCLVPVPYKDVQQLDINISPHLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRRYGKEPRDMELLLKKLYVRRMAADLGISRIYPSGKMIFMKTNMNKKVFRLMTEAMTSETHRNSLSFVGKEIKAELLVSLPDTLLLNWLFHCLADCYAVIPALVRY >Dexi4B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:4B:4609406:4610448:1 gene:Dexi4B01G0006580 transcript:Dexi4B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRKRTDPTPPSPPPAAAAASAIASVFGSDDLLRSILLRLNSPTCLVRAAAVSQRWLRHASDSALLHHFGARHPPRPLGFYLRLCSGPAMNFVPMPGLLEDLAASVRLASSKPGDRVVEFVEDCRSGRLIFWVPCPCPLRMYRPLHPAREGVKSRCDGDLALSRAEDSGFYIVHLRNARIGG >Dexi8A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:8A:7875789:7876505:1 gene:Dexi8A01G0007150 transcript:Dexi8A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVNFGVGKVIDSTHPGFKAGELVWGMSGWEEYTLVTQPNSLFKINHTELPLSYYTGVLVRLDDAFNYKSETDIAAALKRRFPEGIDIYFDNVGGVALEAALLYMRWGGDGRVH >Dexi5A01G0031820.1:cds pep primary_assembly:Fonio_CM05836:5A:34430847:34431725:-1 gene:Dexi5A01G0031820 transcript:Dexi5A01G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRKKRDCPESQQLAHEGKSQEILARLDAIFDDLEKSSGARPMRRDAEHTESQEAAAWSDDDEDYDDPDRSALRFRKQWNHRWSRGCGSFEDTTLIPPMRYNDEAPIQKLYPSDTLQIFSAKIAGIGGCFQWPLDVFGLVAIRDSIDQNRNIIFQRSRVECQTLTEEVPA >Dexi7A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:7A:4549588:4549929:1 gene:Dexi7A01G0001750 transcript:Dexi7A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRTPTASPRRRLIGVGGSTTIERETGRGVFLSWQAVSVTALDEKGRPKVILDRFTRCERPSQVLALMGPGSGKTTLPDTLSVPP >Dexi9B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:9B:4040395:4043060:1 gene:Dexi9B01G0006740 transcript:Dexi9B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGQTLGRSSFSRATSNPVASSSGAAGVKLGPNGAAFVSSGIPDLDKILGGGFLLGSVVMIMEDADAPHHLLLLRCFMAQGVMHKQPLLFAGPLKEPRLFLGTLPAPVSSSKEDGRHRLMGVGSSGDGRGSDEGLRIAWQYKKYFGDEKTSRAEHRDVRDKSDSFSIAADNKQEFSNDFDLRKPLERHLLNGQNTECLLVTDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTLSLKLRKRRSLMLERLNQAPVDGSSGPSSAASGSCSSSQVSQLDF >Dexi2A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:2A:28487296:28488189:-1 gene:Dexi2A01G0016750 transcript:Dexi2A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQRPPLRTLGVAAPRGGGVRFTVGVDVVAKELGVAISEACGRPPWQQARGGRACGALPQQGVGDVVDVVVVAVQQLSEVGIGVGVPVGVDVRPVLMLLRLGVEEADRLAVGVGLAPHWCSAWRGRLWRFPVVWLTSWLKVDARLDGDAARLGEERLLLRSLSSLSKLGDVLFLGASVSCLGVVLVFGSSASSLVAGGVVDEASCVDAEEGPARLDVVVQRGEVDELVGAIVAQAAAQGTGQKQRRFGGRGKSPI >Dexi6A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:6A:18280475:18286427:-1 gene:Dexi6A01G0012060 transcript:Dexi6A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGAASGAAAAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKVRGDTDAAAAALLPRGSHSLPAQCGAPDAGSLDLRGVAEGGEIGCGTGFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRSDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVKAFEKASGKKIPLIFGARRPGDAEILFSVTAKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHQTNGSTDSPRQNGHHTNGSISSPKRNGHYAYGSSDSPRRNGHYTYGSSDSRQNGNGRLH >Dexi5A01G0040000.1:cds pep primary_assembly:Fonio_CM05836:5A:40319073:40319946:-1 gene:Dexi5A01G0040000 transcript:Dexi5A01G0040000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGEDDGDQQQQQGPPVMEIGWPTDVRHVAHVTFDRFHGFRGVPEELVLEGPPPPSASTRVFGVSPESMHCSPDGVPTILLHLQRRLYDQGGLAAEGIFRITADAAQERRARDHLTTSGEVPLDVEDVHCLAGLIKAWFRELPGGLLDSLPLDELARCLTDDDCARLCAASLPPSKAALLDWALSLMADVARHHEANKMGTRNLAMVFAPNMLTQAVDPLTALKHTVQVMNFLNMLIQRALKQQQPDDDHGSNSSPSSSLSAAPATN >DexiUA01G0028180.1:cds pep primary_assembly:Fonio_CM05836:UA:60708654:60709778:-1 gene:DexiUA01G0028180 transcript:DexiUA01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVPPTPNTVAVNSSSMSTLTKACRISSPRAGGLSAASQVLVFISAGVAGTTASSCFVMAATVAAGGGTAVTSCSGAFLTLCLKRAASSRRTMARGRRSMAQTTAALAAYSARDDDDEEVGGEAQRHVEERAERRVLTARRGGRARRADDPDGGEEDDGDGGGEPSDEQDERPGVAAASWAVDCEVELGEDGAAEPDKSFQEAEHDAAARREVLDAGDERAGVGEGLRVGAHGDVEAHQPQRRRRPDLAGDGEVEHQVPAEVHGGADGEDDPGRGDLGDEPGVDADVGADVLEEADGVELLLGVPQRRLDMAKMYVAPAADMMRNEPYAMSHRPRSTCAAKAAAAAGAMAAYLGLECR >Dexi9A01G0024820.1:cds pep primary_assembly:Fonio_CM05836:9A:21523262:21524283:-1 gene:Dexi9A01G0024820 transcript:Dexi9A01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLRSFASLYCQKLRSTTRLGPAVPPRFQAVNQRMFSSSGGTADAGPPPALLRQYNEQQRTPFFTWARLAIGSALAAAAPFLHSRWASFLRIQSEVEMVKDTAEAVAEVVEEVATVAEKVSSEVAEQLPEGGRMRTAVMLVEHASKEVAQEAHLAQDIIHKVDEIEEDVKAIIAPIVDHSKHVHGNSSQK >Dexi5A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:5A:4735447:4738502:-1 gene:Dexi5A01G0006450 transcript:Dexi5A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDFARPHHVAFFDAMATELPDEYATQEVNHLTLGYFAVGGLSLLRELDRSYDGGFGMVPGSESHETSDWWRIPREKKQAQ >DexiUA01G0014220.1:cds pep primary_assembly:Fonio_CM05836:UA:30146533:30148723:1 gene:DexiUA01G0014220 transcript:DexiUA01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAPPQPLLDALPSVRAFRADLRSGDGFEAIAASFGQFLTQVNRGVASPPDISMDITKLTQMLSIKPISFEGGVKATLEAEASS >Dexi7B01G0023630.1:cds pep primary_assembly:Fonio_CM05836:7B:28168603:28169290:1 gene:Dexi7B01G0023630 transcript:Dexi7B01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCCECNNILYPKEDRANKEVSDSNCVYRNVVDHTAGELTQVLFDDVASDPTLPRTKSVRCASCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >Dexi7A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:7A:22403492:22404286:1 gene:Dexi7A01G0012120 transcript:Dexi7A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPPHSHVVECPPTRRDGGQVDAPTTSPQERKPTCRRRKLLAVSCIVLAAAAMITGIVLLVVFLAREFPAGDPVFSVAVAGTAGLDLAQDVSSAPAPETTSTLSPVIINLTFHINNSRNSEYRACVPDLSTMAVSYGDVPLANGSVPAFCAGKKNESEDVSATARGDATVAVPRAQLDQLAGELAAGEAAVDVKVTMPGYCVKSQCRDAVLTCKAKIGGEPSPCRLDYVHKEGESTGEEDDAPVIFFMPNPVSGMPPIFIWG >Dexi2B01G0030650.1:cds pep primary_assembly:Fonio_CM05836:2B:38919422:38924974:1 gene:Dexi2B01G0030650 transcript:Dexi2B01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGREVVVRALLAVAVVAGQLLLSAGAEADGGGLHRRSLHQPFFPIGPTPPPGADDSIVPPPPPPDSSSAAAAAAASKGGGRSSPSVTNAVAIALATGLVALAVACYSCYLLWRRRSDGGGGDGGGGGGSDGLRAAKPARAGAAAVRVASDLGSSARYQRSPPPSSTASDGIYLDPLTTMVEVSRHRPPSPDLRPLALVKPPSPDLQPLPPLKRPAPRPPPPPASTPPMTTTGNSSDEDDQATFYTAPKTAMSWFSRSTSQRSTMEQTAPQPPPPAPTPPPPPQANPPRPARPPPPPSPPRQRLLRPMPTESPPPAVLASLALTNSSDPEASVQDRGGENPDVYGGRARPPKPPSLKPLHWDKLRAISGRTTVWDQVNNSDSFRVDEAAMESLFPSNTGGAGNSDQPARKGGSGKQESRLLDPKRLQNVAIMLKALNVTSNDVIGALMHGNGDLGSEFYETLAKMAPTKEEELKLKDYSGDLSKLDPAERFLKGVLDVPFAFKRVDALLYRANFDTEVDYLKNSFGTLEAACADLRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGKTTVLHFVVQEIIRSEGFSSDQTNTSKEQFKKDGLKLLTELSSELSNVKRASTLEMDTLIGNVSRLETELEKVKLVMQLKETCPDPGSSEKFFEAMDAFLGRSLVEIESVKTAGESAQRRVKETTEYFHGDATKEEPHPLRIFMVVRDFLSTLDRVCRDVGRTPERVMMGSGKSFRVSAGTSSVPPHRYEQRREPSSSDEDSSSS >Dexi9A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:9A:5348726:5348998:-1 gene:Dexi9A01G0009040 transcript:Dexi9A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGGHLSICRRWGLDSASPSLICRRPIRSATPTLICRIAGATNLSGVSFLDRVAGATTLSGVASPEAGSRHRLPPVPVAAEEKTLGSS >Dexi1B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:1B:22881594:22882742:1 gene:Dexi1B01G0016350 transcript:Dexi1B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAGEEVGDLIPGLPDDVAMECLARVPSQSHRRMRRVCRRWRGAVASPEFRRRRGAAGAAEDVVFLVQAFPAPGDGKGSTTTPECALTAVNLTTGEWRRVKQGNNPSTEAASWWGPCAAAGDDGRHVAVVGGWDPDTLRPTTDVRVLDVLAGTWRRGRPMPDSRSFFGCAAGGDGNVYIAGGHDESKNALRSAFAYAVDADAWRALPDMAEERDEPQLVAIPGGGGGVLAASGYSTEAQGAFKRTAERYATGEGAWADEGDVVVPDDATAETTCLASVGGKVWAVRAGKGGVREWDGAAARAWREVAGGPPGMEACVKAAGVGDAVFVFGKVAGAAEGRKYSAWVMEASGGSWKRVPVPSGFGGFVYSAAAVRV >Dexi1A01G0032420.1:cds pep primary_assembly:Fonio_CM05836:1A:36955891:36967867:1 gene:Dexi1A01G0032420 transcript:Dexi1A01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIACELRIHALLTLPTTPQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWYGTPMMAFELYGMLAGNVSPTTGENVKPAYGGEEEAFLKKVVTPIYKVIEKEAERSKTAKSKHSQWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNYGPRHLVNGVFGMMFLILVQEDRPVGNDNWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDRGVFKQVLSIFITAAILKLGQAILDIILSWKARRNMSLVVKLRYILKLLSAAAWVVVLPVTYAYTWENPTGLARTIKSWLGDGRNQPSLYILAVVVYLAPNMLSAMLFLFPVIRRALERSNLKLVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKEPIRTFQWHEFFPHANNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQCLIPSDTSKKRGFRAAFSSKPSKTPEGTKEEEKIAARFAQIWNLIITSFPVVYDLFFSKKNDREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIIYALVTGPREREVIQKIFNVVDDHIAEDTLIKELNMSNLPTLSKKFIELLDLLLRNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNYRRHEGITPLDQQDQLFTKAIDFPVKETQAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPSAPKVRQMLPFSVLTPYYQEDVLFSSQALEEQNEDGVSILFYLQKIYPDEWKNFLQRVNCKTEEELRETEQSEEELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDDDLMEGFRAADMLSDDSQLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAILGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMVQNFSLEFQLSLFQNDFLDTFQHL >DexiUA01G0024120.1:cds pep primary_assembly:Fonio_CM05836:UA:49719945:49725884:-1 gene:DexiUA01G0024120 transcript:DexiUA01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMEHAMAQPILLDCDPGHDDAIALVLALASPELDVKAVTSSAGNQTPEKTLRNVLRMLTLLKRTDIPVAGGAVKPLMRDLIIADNVHGESGLDGPALPEPGFEAQPCTAVELMAKVLRESAEPVTLVATGPQTNVALLLNSHPELHSKIARIVIMGGAMGLGNWTPAAEFNIFVDPEAAEIVFQSGLPIVMAGLDVTHRAQIMAHDIARFRAIGNPVATTVAELLDFFMAYHKAEKWGFHGAPLHDPCTIAWLLKPEMFTTVERWVGVETQGKYTQGMTVVDYYSLTGNQPNTTLMVDIDREAFVDLLAERLNYYSQTRFYLRLKRPTLELIVSTLWTQRQHIRRIDRNVVMAFLAHQIPQPDHFSAVIDPVVVEVLKDFTPLQLRFIGDIRQLLPQAFFNYPHKNAVGNLVLTLFVASRKLFQVAAYGARHLFHFAVLKTGPLAAMQEQYRPEEIESKVQQHWDEKRTFEVTEDESKEKYYCLSMLPYPSGRLHMGHVRNYTIGDVIARYQRMLGKNVLQPIGWDAFGLPAEGAAVKNNTAPAPWTYDNIAYMKNQLKMLGFGYDWSRELATCTPEYYRWEQKFFTELYKKGLVYKKTSAVNWCPNDQTVLANEQVIDGCCWRCDTKVERKEIPQWFIKITAYADELLNDLDNLDHWPDTVKTMQRNWIGRSEGVEITFNVENDDRTLTVYTTRPDTFMGATYLAVAAGHPLAQKAAENNPELAAFIDECRNTKVAEADMATMEKKGVDTGFKAIHPLTGEAIPVWAANFVLMEYGTGAVMAVPGHDQRDYEFATKYGLTIKPVILAADGSEPDLSAQALTEKGTLFNSGEFSGLSFEDGFNAIADKLASLGVGERKVNYRLRDWGVSRQRYWGAPIPMVTLEDGTVMPTPEDQLPVILPEDVIMDGITSPIKADPEWAKTTVNGQPALRETDTFDTFMESSWYYARYTCPQYQEGMLDSDAANYWLPVDIYIGGIEHAIMHLLYFRFFHKLMRDAGMVNSDEPAKQLLCQGMVLADAFYYVGANGERNWVSPVDAIVERDEKGRIVKAKDAEGHELVYTGMSKMSKSKNNGIDPQVMVERYGADTVRLFMMFASPADMTLEWQESGVEGANRFLKRVWKLVYEHTSQGDAPAVNVAALTEDQQALRRDVHKTIAKVTDDIGRRQTFNTAIAAIMELMNKLAKAPQDGEQDRALMREALLAVVRMLNPFTPHVSFTLWQELKGEGDIDNAPWPVADESAMVENTTLVVVQVNGKVRGKITVAVDATEEQDVPSLRVLGSTLAKDTASIFQDGRTAEYQMVLSVNAAVLIPGKDIYPISTKVYRSFFDNPQTALAKDAEQQIIINEMLYPEQLRAQLNEGLRAAYLLLGNDPLLLQESLDAVRQAAAAQGFDEHHTVQLDNNTDWNALFSLCQAMSLFASRQTIQILLPENGPNAAINEQLATLVSLLHSDLLFIVRGNKLTKAQENAAWFTQLAANAVMVTCQTPEQAHLPKWVATRAKQNNLQLDDAANQLLCYCYEGNLLALAQALDRLSLLWPDGKLTLPRVEQAVNDAAHFTPFHWVDALLAAKSKRALHILQQLRLEGSEPVILLRTLQRELLLLITLKRQSAHTPLRSLFDKHRVWQNRRAMTTEAINRLSHEQLRQAVQLLMRAELTLKQDYGQSVWAELESLSLLLCHKALADVFIDG >Dexi2A01G0016970.1:cds pep primary_assembly:Fonio_CM05836:2A:28766526:28770688:1 gene:Dexi2A01G0016970 transcript:Dexi2A01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGAGQEVGKSCVVATIGGKRVMFDCGMHMGYHDRRHYPDFARALAAWGVPDFTSALACVVITHFFLRTEEALLPYSHLDHIGALPYFTEVCGYHGPIYMTQYPTKALAPFMLEDYRKVTMDQRGEAEQYSYEDILRCMKKVIPLDLKQTVQVDKDLVIRAYYAGHVLGAAMIYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSKHAREREFLKAVHKCVSGGGKVLIPTFALGRAQELCMLLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKDSHAVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEAFKKWAPSNKNLITLPGYCVAGTVGHKLMSGKPTRIDLDKDTHIDVRCQIHQLAFSPHTDSKGIMDLTEFLSPKHVILVHGEKPQMAFLKERIESELGIPCFYPANNESVSIPTTDNLKMSTTERFITSCAAEQGKQSLRKRNLIHGTGTSEVNGCDEAAVEGVILMEKHKAPKILCEDELAQVLGMERHLVQFEALGSSGIEEAVELEFKRAEAADLGSDGK >Dexi4A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:4A:22815679:22820894:-1 gene:Dexi4A01G0018980 transcript:Dexi4A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLLAYLPLLLLLTSFSPATVTASPYPSVTPLHLQALRLQRARARSADAKYVTAAAAATAAGGNNTAAKPFTAHYFPQELDHFTFTPNASMVFYQKYLVNDTFWRRPSGGGAGAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSYKSAETLGYLTSTQALADFAILIRSLKQNLSADDAPVVVFGGSYGGMLASWFRLKYPHVAIGAVASSAPILQFDYITPWSSFYDAVSQDYKSESLNCFSVIKETWDVVDERGASDNGLLELTFTYTAMVDYPTPANFLENLPAYPLKEMCKIIDGFPANTDIMEKVFAAANLYYNYTGDLTCNPIEDEDDPHGLGGWQWQACTEMIMPMTVSNESMFPPSSFSYDERSDECLQSWGVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSAVKGDPDWVIEQRRQEIEIIQGWIDQYHQDAAEV >Dexi6A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:6A:16361607:16364212:-1 gene:Dexi6A01G0011470 transcript:Dexi6A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPQLVLFAAAAALLSSLLLAATAAGQKAGLPGCPTSCGGVSVPFPFGISPGCYLPGFNLTCDPSSAPSRLVLGNGTLQVSDISLHNSTVRVLAPDIHMDLPKLPLSNGGVASGTWGGQGWGLGDGGPYLLSSAHNEFIVTGCHFVAELTAGGGYIINGCSSTCTREAESDPRQRDQFRPQGDGGRRRCKTCTGIGCCQAPIPYGHTSYDVTLRLLNDDRLATIVNQNNFSVHIAEEGWFDGNTTTSGNLLPAVPAVLAWVIRSSVLQGTNETRDGDQTCPTDLGTGTCHSGNSSCTTVREEYTTRARGYTCKCWDGYEGNPYLPDGCQESNGLHHILDPQLLTEGGGEVVDVALLAAICVKFIGEERPTMRQVEMALEGIHAAKEYVSSNTDDESE >Dexi6B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:6B:8756520:8757427:1 gene:Dexi6B01G0007510 transcript:Dexi6B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSLSLLAIAMAILQVASAKSWLNQFTTDGRVSTGNDASGQKVVMLNLDPSSGAAGLNSKQQYLYGEFSFEMKLIRGNSAGTVSCFYLSSGNDDYRDEIDMEFMGNETGNPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPHNILFKVDNVFIRSFKRYADLAYPTSKPMTLHATLWDGSYWATEKGKIPINWSNAPFVVSYKSFYATACIGGVCHAGRDGWMSKQLDAAEWGTVRWAERSYMRYNYCNDGWRFPQGLPAECSRN >Dexi9B01G0027600.1:cds pep primary_assembly:Fonio_CM05836:9B:30068267:30069116:1 gene:Dexi9B01G0027600 transcript:Dexi9B01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVSSCVQHGGGSLRPEPPTPSPKPPPPDEAAAAAAAAAATGAARGGRSGEETMSSLAASEDEEDMAGQRRRQRRAARETGNGGGGGEPVIKGGGGGAVVGRGRGPRPRGHGRAPHRAEPEPWWARGGRRCAVSGRDGVVVGWVASGGRRVFPLGFRPSAA >Dexi8A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:8A:20125027:20130059:-1 gene:Dexi8A01G0011440 transcript:Dexi8A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRAPAPPPRLPSSSHHHRDPLFHAIPLLCFAILTPALAAAAASPAASPVGDTGEENSSSSIRTRTSTAVAWPAMDTKGVLSATEVLKKEPVSDVIALKDSMKYFDANFFNDSKLREMEDGAKEFNVPAFRENRKLVASENGGLHNPSVLLFKSSWSSDSMTSETRTFDYPCASAVRRPSNDEDIAFMSVIDLGELIRTKQITSRELTDIFLRRLKRYNPVLESVVTYTEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPHYKTTWGSRMFKNQVLDTEAFVYKKLKSAGAVIVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAETAGSITYPAARCGVTALRPTFGTVARTEVMSISESLDKLGPFCRSAVDCAIVLDIICGKDSGDPSSREVALGDPFHVDITKLTVGYLDDAEMEVVHVLSSKGVKLVPFKLNYTVESVQSILNITMDVDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLIPAVDYLQAQRARGKLIKEVRESFTVDAFISNVTDWERVCLGNLVGMPVVVVPTGFKSIEDPPKGGTKRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHNKQRLPIDDLGPNDVIHR >Dexi3A01G0036650.1:cds pep primary_assembly:Fonio_CM05836:3A:42243998:42246574:-1 gene:Dexi3A01G0036650 transcript:Dexi3A01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKQLGTLTQCARSFYLNGSRCGSTDGASCTCPEDENYAPKRQAASGIEPKSHSTHRASVKTKPPVQHVVGSIGQSTGHPAPAAHAIPSTSPPGKKPASSNRGNDPHNHHKILGSDYVQPSKQTAQSISQSGIAGAGVYSEPVNFRPTSNNGSTDHAPQMGTNYSYQTFSDNRSNNRAHNQHSFPEAKLPYNPSMDNNFEKGAPRAGYARPKQSFSGPSVVASGSPSQIRNQGRHGQHYANYHSSNFNSEARRDEVQTRNLSGSNSFSGSGNKFQSPTGTIKAHGGGPQSNLRSLKSLRAVEQYYHTLQQMKWGPMTEHVLDSLHCKIDAFQANQVLKLLHDHTIALGFFQWLKQQPGFKHDGHTYTTMIGILGQARQFGIMRKLLEEMSRANCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQEAGYEPDRVTYCTLIDIHAKAGYLDVAMDLYGRMQEVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAETVFIEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYQAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYIVLQNMLSQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMSITGHPAHMFLLYLPDAEPGGQNVRDHTGYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGLIWEVAAQKNVYPDSLREKSSSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGTAPERIDIVTGWGRRSRVTGSSLVRQSVQKLLNLFEFPFFTTRGNTGCFVGCGEPLNKWLHNPYVERMHLL >Dexi6A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:6A:724226:727503:-1 gene:Dexi6A01G0000870 transcript:Dexi6A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKSGLRLVMEELCLCSPGDGEEEQVQMKVQEQPRSSIMDLLSVSKQLLHHALLASVADEIGPTLLVLRQDIQQNIQRLQDLHERDSSKYASLTAVVTEEVEQGTAKKTKSCTRAIIWLSRSISFSKCLLERLLKAPESNLEEIVEEAYSSTLKPWHGWISSAAYKVAMKLIPEREVLIAVLMGNCQDFEDLADDAKLLTYAVQPMLEEINAILVRAKHNLDKLKSS >Dexi2B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:2B:29976829:29978915:1 gene:Dexi2B01G0019770 transcript:Dexi2B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGRRGGVMPHGGCLAALLALAALASSHLLGAAAVEASGGNWHVVPVRSLVHKPTCTATEAAHNSTTLSVVHRHGPCSPLRSRGGDPPSHAEILGRDQERVAAIHRKIGPAATSKSANMTLQTHWGEPLGTSNYFITAGLGTPARSLSVEFDTGSEESWVQCSPCRSCYVQHDPLFDPSNSSTYSTVPCDAHECGEFVGLQQNCSSSDNTCRYGVAYGDQSKTVGNLAQDTLTLTPSATVPGFLFGCGHDDAGVFGEVDGLFGFGHGKASLPSQAQASANYGEGFSYCLPSSASTIGYLTLGVASTAPTNAKFTAMLPGQGGSFYYLNITGINVAGRAIKVAPAAFQTAAGTIIDSGTAFSRLPPRVYAALRSAFRLAMGRRYKRVAAPEPFDTCYDLSGHEAVRVPSVELVFGDGATVRLDPTGVLYAWDDAAQTCLRFAPSDDGLGVLGNVQQRTLSVVYDVANQKIGFGAGGCA >Dexi1B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:1B:3683736:3686879:-1 gene:Dexi1B01G0004590 transcript:Dexi1B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDVEMEPAPEPLAQPPSPTPAAAAAGEGWSMLSRARELLQEGKPSLALQAVLLAIRSQGGEQALIQTMNRARELYAQRLQGTPSVDELASLLAQCAIAEAQSTNTNPPQGPGSDPVEMLDSDEACILAVSGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGTA >Dexi6B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:6B:4767145:4770641:-1 gene:Dexi6B01G0005510 transcript:Dexi6B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDDASPSFASAPKRQYGAAAAGEASAAAPAMNKRPRDDASPSFASAPKRQYGAGGGYGAQQGYSEERNSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLYARPGDCVLDLACGKGGDLIKWDKAKVSYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLLCTDCYEARLDEYLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGTFIGTMPDANVIIKRLRESEGLEFGNSVYWISFGEEYAEKKLPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVHEYLQKPEFTELMRRLGALGDGRQDQSTLSQDEWEVSYLYLAFVLRKRGPPPSQRRSTNANRGKMFLTEGDIEFIGI >Dexi2A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:2A:26092671:26094307:-1 gene:Dexi2A01G0015260 transcript:Dexi2A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSRQEPAVDHLANGMPQKPAVGFNSFLQIPNKIQNSFKVHFGRFLKKDDAGCGMNAQKSSEMGKESCTAAADISLDRQLQAWKNNPSWTDEPPEIKVTVPEGSLCNLNLRFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVLIDEGSRQVVEVEQAAIWKFLWWSGILSVHVFVDQNRKDHTVKFKQGRTGFMRKFEGCWRIEPIFVDKEICLPLHPCTLEEYESCTSGRGRVASAITLDQLIEPALLPPAPISWYLRGITSRTTEMLVNDLISETSRLRGISSNADGKHDVEEICSASESHPGSECGDIKERWRQRRKRGRHGNSLRLTSQLS >Dexi2B01G0031320.1:cds pep primary_assembly:Fonio_CM05836:2B:39501112:39505646:1 gene:Dexi2B01G0031320 transcript:Dexi2B01G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVSCLCDPCPSASPPPQAKRRSSTSSRGRGGGGGRDSAKAATVAIDEEALAAAAALVLGQRGAVGAFERSASVRYGAKRQSQGPPLPRSCSTRPRSLADPELQPQQLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTISLLEDSGFKVNAVDLTGSGIHSYDTNKICSLSEYAEPLASYLKGLGDAEKVILVGHDLGGACISYAMEMFPSKVAKAVFLCAAMLTDGHSALDMFQQQDVSLASVSMRLIPFAPILEKLVLTAENYGSVRRFYVETTEDNAIPLPLQQSMCSANPPEKVLRLKGADHAPFFSKPQALHKTLVEIAAMPPVQAS >Dexi8B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:8B:5197004:5198964:-1 gene:Dexi8B01G0005210 transcript:Dexi8B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSNSNKQQTKSSNRATGEPATVVPDGASSPCVVNMGGAHSSAAGGARSSAAGGAPRASGLPTPCAPGASGLSSPRAPPTSGFLAVGGHAAGQWWPSPSSSEWIYPQGGFMNILQSPRVPFVNYPNGSQMQENFHFVGGPMNYSSTPSPNGSPNAGVAQVTEAVDVEDDDTIQPANSNARSNASATSIDPTDARSDRRLNWSNEEDIRLVSAWLHNSIDPVDGNDKKSDQYWSAVTSTYNSTTKCNLHQSGMSDDQKMDQAMQLYASEHSEKPFTMLHVWRILRKERKWSAYVKKLSKEKNSTSADPAHVVNPEDAPKKRPIGRDKAKEERNGKRKGPEAIVAIGEKLDKFMEATTKAGKIAEVQQNLADKKLEVAKEQTKSKMLDLYRELLCAPTSELSEEAKAERSKALERMASVIFPKDN >Dexi2A01G0029700.1:cds pep primary_assembly:Fonio_CM05836:2A:40732767:40733119:-1 gene:Dexi2A01G0029700 transcript:Dexi2A01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINRGFNLQTFLFNPPAFPSLAPVIGEKAKWLVYTTGDIGRCILAGIFPHRQNQMEELFQRLAPWVPNLYVNPKDAFCEGFIDYFERREQPDALLLAIATKATLLSYRNEE >Dexi3B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:3B:10071836:10074625:-1 gene:Dexi3B01G0014040 transcript:Dexi3B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRVASFLRGPTSSPAPAPVARRGPGHPHSLRFPQSGEGMRRASVACSSTSAAGDEGMTYKGAGVDIDAGAELVRRICKLAPGIGGFGGLFPFGDHYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAEPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYAEGEYDLSGFAVGCVKKDKVIDGKNIVKGDVLIGLPSSGVHSNGFSLARRVLEKSGLSLSDQLPRNDGITTTVGEALMAPTVIYVKQVLEIVSKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIFTGSWEVPPVFKWLQQVGNIDDAEMRRTFNMGIGMVLVVSREAADRIIEESHGSNPAYRIGEVIEGEGVHYV >Dexi9A01G0044060.1:cds pep primary_assembly:Fonio_CM05836:9A:47669200:47671562:-1 gene:Dexi9A01G0044060 transcript:Dexi9A01G0044060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFSKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDIQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTKEDVGVKLERPAEGDEVAVGQEVAAE >Dexi9A01G0049860.1:cds pep primary_assembly:Fonio_CM05836:9A:52359892:52362769:-1 gene:Dexi9A01G0049860 transcript:Dexi9A01G0049860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKKKAKAIRVHELGGPEVMRWEEVELEDPKEGEIRIRTTAIGVNFIDVYYRKGVYAAPALPFTPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQVLPASVAVPVPPSVDHKEAASVMLKGMTAHVESGHTVLVHAAAGGVGSLLCQWASALGATVIGTVSTEEKATQATQDGCHHVIMYTKEDVVTRVREITSGKGVNVVYDSVGKDTYKASVECLASRGFLVSFGQSSGLPDPIPMSDLASKSLFLTRPSLMHYTATRDELLESAGEVFANVANGVLRVRVNHTYPLSEAARAHADLESRKTSGSIVLIPDTDS >Dexi7B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:7B:22701879:22705114:-1 gene:Dexi7B01G0016670 transcript:Dexi7B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGASAAKLHISSAARRPSMVHLVAVAALCSLSYLLGIWHHGGFSAAPAGGAGAAVSIATAVSCASPTPTVSVSSTAGGPLDFAAHHTAEGMEAEAAPRRRAYDACPAKYSEYTPCEDVDRSLRFPRDRLVYRERHCPSSDAERLRCLVPAPKGYRNPFAWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPRGAGAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHWKGWARTRDDLNEEQQAIEKVAKSLCWKKVKEEGDIAVWQKPTNHVHCKVSRKVIKSPPFCSSQNPDAAWYNKMEACITPLPEVSDITEVAGGELKKWPERLTAVPPRIASGSIEGVTEEMFVEDTELWKYRVGHYKSVIAQFGQKGRYRNLLDMNAKFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYNGRCEMEDILLEMDRILRPEGTVIIRDDVDLLVKIKSIADGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGDNKQ >Dexi1B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:1B:7069981:7070424:-1 gene:Dexi1B01G0008470 transcript:Dexi1B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDELLLVSRSRRTSQPQSGGDEAHGGDFSWLTALGFVFLTFNSGMAVYRSNGDIGAISFVAFSYLDLVALFLCLRLYESTPPESPRREHLKMAVWLLTTMLTAAFSYKVAAVLPLPVVLLVWAMAAATGHAGFFVFFRYRDQAR >Dexi3A01G0001210.1:cds pep primary_assembly:Fonio_CM05836:3A:872120:872614:-1 gene:Dexi3A01G0001210 transcript:Dexi3A01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAAGGEQYAYVTTLGPGGFSEMRRVPACSIRSINLPVPPELIFNPDLDAAADRLFEEVDRSRSSKRARAAATTEAIEGLVQVPGASRSGEDCPVCLHTFSAEETLRAMPCSHAFHHQCISQWLRRNAICPLCRHPLLVMPDDDDKEEELHQNQRRRTTTT >Dexi1A01G0007060.1:cds pep primary_assembly:Fonio_CM05836:1A:5397929:5403733:-1 gene:Dexi1A01G0007060 transcript:Dexi1A01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVVIPAQRRGCHLITPKHTSASLTINENYDSDVQADTETFLNRIVPEGQNAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGAPPDQMGASYPHMFFILLLLHGANAAPIAPAGPKWQTLSGRPPQVVARGGFSGLFPDASQFAYQFALSTSLPDVVLFCDLQFSSDKTGFCKTGLTLDNSTTVSEIFPKMERTYKVHGEDVHGWFSLDFTADQLIQNVTLIQNIFSRPSTFDGSMGMYTLDDIVELHPPQIWLNVEFIDNPDFSVDGVLTDFPPTASGAVACLAHSKGSPLPPPGKDTRPLIITHSGASGVFAGSTDLAYQQAIKDAADIIDCSVQMTKDGVAFCMHSADLTSSTTAGTAFVSKSSTVHEIQNKSGIFAFDLSWSEVQTLKRLKRNPAAKNAGKFMTLPQFLDMAKASNVSGILVEVEHASYLAKRGLGVVDAVTSALTKSGYDKETKQQVYIQSDDSSVLSAFKKFTTFKRVLSIEIQFSGASKPSLDDIKKYADGVRMHRSSLAQISGYFMTHFTHAVSSLQAANLTVFIGVLKNEFMNLGFDYFADPTVEIATYSSALMADGLVTDYPATAATFFRSPCSDMSLNLSYSILPAQPGALVNLAAPGALAPAAGPAPVLEPKDVVDPPLPPVKAVITADTPAAAPAADNTSSAAGSNAGNNLLGAGIAALLSLSFLH >Dexi7A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:7A:20444398:20447173:-1 gene:Dexi7A01G0009430 transcript:Dexi7A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSGHGGDPNANGDSTATQVAADHDSHQDFKPVSKSSDTSLHDIVAQDIRENPVLIYMKGFPESPMCGFSALAVKVFQQYGKFALVSLYMVEIFWETSGSKRLLKPTRNNWPTFPQIFIKGEFVGGSDIILSMHQASSKGELEDLLRDITQKDGQKADANEQ >Dexi8B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:8B:20105796:20114887:-1 gene:Dexi8B01G0011220 transcript:Dexi8B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAGREAPASATRRGRRRKRGSIRWGKTPRKAPPPPPGPGSSSSPRGGVDTPAAPATTLPAGALVEVRVDGAGFRGVWFEATVVSYAPARGPRTPARYAVTYAHLLDDADGGELQEHFAPTHVRPRPPPHSPSDGDGDGSFPPRFHLHDVVEAFHHDGWWSGIVVSAPDSPDPRASVTVAFPLTREVIPFPPRVVRPRRDYVDGAWVPSRSVVVVRPTHAVRVYKAGDKVEVGRERDVYGYSWFPATIAKAVDDLSYIVEYFDLEEEGDGGGGPGKATEYLHWSFIRPAVEHLPRESEFQLGPGAAVEAYCDGAWSPGVVSRVIGDGEFEVSVAGKKAEQLVAKVVELLKPQYKWNGKQWKIVIPKRRANLRRRSMLGQNLSSPVDVSSSGDDCSLDPEPSGTKRSRKKLQQHDVVLAENSEHASVSEMDTPLSALCQSRESNHPGSRFSEKNSLQVTCHRAVSSAPMNGLVCASPGHSIPQNRSIPNSAGETVDKPGVLSEMMDSDGQLNTDVYSPSGGDSHDKLSIVELREKMASARRNARRNNSGQWAQKKVLSVKTLKVKKGISKSKGGKAHPMHELQGNNDVSDNIQFKGNINFSSKDIVCALIVPVEGQTTKTPAIQSSRWTNRGSSTKVLAYKKCIDVHGNVLDEEPTAMINSISQVNINADLCTNHATIQRAKRNHLMESAILSADHPILEAGRKVDERSIRPCLLHNAANSQATRNNSPLRSCSTSGSSKASPFHVSQDHQVLFEKGDMWHSIEAEDVFKEFPQQPHFLPLQELSPPLREGMAVGLMLSFANLVKNIRKASMDCNTELFEHQISSLSYLEQNGFDVQFLRSTLTKMLQVKLTGSSYLREVHNLKAQIVGMTASSSQVDALLDEKDTAIAQLEQKLGRLRQESQKLEQKLGCLRQESQKIAKEKEHDEAVLSELQVSCSRCEQGYGDANREFNFLAELHQKRLT >Dexi9B01G0038150.1:cds pep primary_assembly:Fonio_CM05836:9B:39309912:39310899:1 gene:Dexi9B01G0038150 transcript:Dexi9B01G0038150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSQVVAAEWAWWIGLLLGAIPLLALAVWYANDAGHRAAFALKRCWRRRLPLPPGHMGLPFIGESLSLLWYFKLARRPDGFVDAKKKRYGDGAGVYRTHLFGSPTVLVCSPAANKFVLQSSQDAFGIRWPAPELVGVSSIVNVEGRKHARLRGFILAAINRPGSLRTIAEVVQPRVVAALRSWAHKGTITAATEIKKVTFENICKMFVSMDPSPLTDMIDECFAGLVAGFRAFPLDLPGTAYRHARACRKKLDAVFREELQRRRRR >Dexi2B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:2B:30626726:30629214:-1 gene:Dexi2B01G0020610 transcript:Dexi2B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPAAAASTLLALSRRPLPSPSKLGPSPSRSRPHLKAQATFQETGPARDTALDMAASNNSNDGGSGRFDVIVAGAGIMGSCAAYAASSRGGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMARLSRRLWEEAQADAGYRVLTPTPHLDMGPRDDPDFVASVRNGGATEVAAGEPWKGVFRVPEGWTAASSELGGVMKATKAVAMFQALAVKKGAVVRDRMEVVDIVKTGEGSILVRTANGEEFHGAKCIVTVGAWTSKLVKSVTGISLPVQPVHTLICYWKIKPGHESELTTEAGFPTFASYGDPYIYSTPSMEFPGLIKIAKHGGPPCDPDSRDWATGCVDLAEPVARWIDAVMPDHVDTAGGPVIRQSCMYSMTPDEDYVIDFLGGEFGKDVVVGAGFSGHGFKMGPAIGRILAEMAMDGEARTAAEAGVDLGPLRIDRFVDNPKGNLGRNPGGDQGK >Dexi2A01G0025250.1:cds pep primary_assembly:Fonio_CM05836:2A:36936508:36936771:-1 gene:Dexi2A01G0025250 transcript:Dexi2A01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDEDEVRLAALLNIGGFLAFGAAFLLVGFIPAITPPYKALCWQTATVGFVAAAVTVWRHPGVWFPLVRCWYKSLGQKAKPAPGR >Dexi3B01G0026350.1:cds pep primary_assembly:Fonio_CM05836:3B:21368482:21371519:1 gene:Dexi3B01G0026350 transcript:Dexi3B01G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTVESIRSMQIRQVLAQIISLGDALCRNLLFFTSDCFSFSEVFGDQISMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQGTAEVEILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >Dexi2B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:2B:1443007:1443289:-1 gene:Dexi2B01G0001880 transcript:Dexi2B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVVAGVRGAGGGRRGDEAGERNPSIGGERWNARSLRRKPAPETRRRHRRQTRAAPTRCAGESSGRRRRTSSTSSSISSGAAAP >Dexi5B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:5B:21148123:21150821:-1 gene:Dexi5B01G0018760 transcript:Dexi5B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGRSSILVHILVVALCLAAFGFAVAAERRRSTGSIVTDSSNTTFCVYDSDIATGYGVGAFLFLLSGHSLLMGVTRCMCFGAPLAPGGSRAWSIIYFTSSWITFAIAEACLIAGATKNAYHTKYRDMVYAGNWTCQTLRKGVFIAGAVFVVFTMILDVYYYMYYAKATNQAAKKNSKTTPSVGMAGYA >DexiUA01G0017130.1:cds pep primary_assembly:Fonio_CM05836:UA:36291586:36294552:-1 gene:DexiUA01G0017130 transcript:DexiUA01G0017130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAAAAATAVAVAVAFLAAAASASAAGAGAGAGTCARRDAPPFLDAIGSRCPFIRIEPSPPLEVGVLSSSHRLFGQSGRPPLAASFPSKSLLHVNAGITLSTMYPQIQHLAVEESSATPSCFPAVGLDPIADLDIVLQESTGSLRSIMPWDQSLREMTKDEPFLLLAVLFIILKVAAYFVPAVLSHLRAFLVVRVQNMNLGIRRGSNQLLDRALNVLDVRRLWSKLRLSNKATDLRKGASNARAWASSFTSVSLGESSSSRQA >Dexi5A01G0024420.1:cds pep primary_assembly:Fonio_CM05836:5A:28288800:28290181:-1 gene:Dexi5A01G0024420 transcript:Dexi5A01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPFMDVETILHMKEGLGETSYAQNSSLQKRGMDTLKSLITNTATDVYISHMPERFTVADLGCSSGPNALCLVEAIVGSIGRLCSSSRSSSQPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAETDEWGRPMVFLSGVPGSFYGRLFPRNSVHFICSCSSLHWLSQVPHGLFDETTCRPINKGKMYISSTSPLAVPLAYLRQFQRDFSLFLKSRAAEIVHGGRMVLAMLGRQSDGYIDRRTTFLWELLSESFAALVSQGLVEQEKVDAYNVPFYAPSIKEVEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGSDIVDALFHRYTELVTESMEREEVKSVQIGVVLTRL >Dexi5B01G0029250.1:cds pep primary_assembly:Fonio_CM05836:5B:30507984:30510022:-1 gene:Dexi5B01G0029250 transcript:Dexi5B01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLHKFPLLSRSGVLQRMISEYQPASDGGGGGMCTLQLDDIPGGAKAFELAAKFCYDVKIELNALNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLSNWKDSIKALETCEGVLPTAEDLHLVSRCITALASKACASDAAAPLLLVRNASVVIDKDALWNGIRSGDTASSTTASGMDWWYDDVSFLSLPMFKRLIQAMEAKGMRAESIAGAIMFYAGRFLPGLKRNTSFSNALASYGADGAGGGGGGMSSRNVTPRAASVSAPSEGDQRYFLEEIVALLPTKKGVASTKFLLGMLRTAMLLHASPLCRENLERRIGVQLEDASLDDLLVPNLGYHVETLYDVDCSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSITGWFFVSNNAAGGDGARLHPGGAIVPKGGAAAVVAASAQAEVDTDAEDDVPEGGKETITDVKARVSELEKECKSMKQEIRRLGKPRRSWSLLTRKCGFGAKVQQAQPAMSGKDV >Dexi9B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:9B:10848520:10850254:-1 gene:Dexi9B01G0015840 transcript:Dexi9B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATMYNAILVALLAVSILQLVLRRGGSRRRPPGPRTLPVIGSVHHVVNTLVHRSLRDLAAVHGPIMMLRIGPMPLVVVTSRELAREVLKVQDPNFANRPRLLVGDICGYGCADIIFAPTSDYWRRIRKLCIHEVLSPKRILSFQTIREEEVQRQVAAIRAAVVDGAPVNLTRMVYDISSRTISRSSFGEVRPDMPVFQDAIKRVIGLSSGFNVPDLFPRLREVLGELSGMKRKLREIHGTFDRILVDIIEKRRAERAAMVAAGKEVVDENVVDVMLTLQQQTDNPWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIVRNPRVMKKLQDEIRSTFRGKETITETDLRDSDLKYLKLVMKEAIRLHPAAPLLVPRESIDTAELGGYEVPGGSRIVVNAWAISRDPRYWKDPEEFRPERFDEDGAANFLGLHFEFTPFGAGRRMCPGYNYGLAGMQLALLQLMYHFDWRLPPGVDELDMEEAMGLGVRRKNPLMLCATPYVVPAAAPAVSTGYP >Dexi3B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:3B:15624100:15626629:1 gene:Dexi3B01G0020650 transcript:Dexi3B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHAGTFRRSFFDASGAAWVPSVEPSRSAGPSIHPGLPAASGLRGERKKKEAKSKTRRFDTSRSRGVLGTGYSGVETDPPTPGPAGVCSPKIPRRVRTYVLAASIRTTKRVAGARTHVLKRAGRLDRAVPRSDRGEKGELCGRCVRGRGNDRGVVWNIGDGGGDDVRGRLGVGNWWWWIGWVWCGAVRCGSVEWPGHGLMAREAWLAVAVVVPRSLRCPRRTPEPVGDRWWCGAGAWMVASELRPQRFGSRGPALSDSRCGAGADVAAEVYPACVSSADTATPRRFTFFLFDPKPSGVGKWQFTNYRIGGHIVAVEYVKQTADSKHGDEGWAGAGPVHGIKHTDGPGSVPSPHHFASSQSIASARRHQRQAQRESAESFVPPASSRRPTSRLARVPVTTPRFRLAPDSPSLALAIRRAFPQLQMYSDLS >Dexi9B01G0029500.1:cds pep primary_assembly:Fonio_CM05836:9B:32020835:32033819:-1 gene:Dexi9B01G0029500 transcript:Dexi9B01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGAVAARGQLRAAVQACSIGQRQHGWQAAQGNGIDGGDVWRAVVHKATTKKLKKRKKGKDDNDFYAVAECGSEVQILVQQEDLQGGVHTSDGVADEKNNLNALELEDVEVAGDGAQRLDDLGLEDSLSTLFTRSGRKSRQVPEKDAEGVEVSCSHDDEVVDKGSALAPDTASKGPKRRRRRTKEEMKNAAMQDRKASLPRKAKANGSKSTGHYKVRPHQTLRGLSSISPDLENKSVGKEKAADDGLCRRSLGEALLQDVEASKVLKDGSRNPSNGFKPCSGKLTENISCTAANMMNVGVSYAHTCSQALGKDSSDDVDCSQGKSPTSIIRRKTGLKPKQVPQKPAQQKDALSSGDSKPAETTENIEPNANMLTEGNLDQLSVLGAKDSFSLHDLAAPANDVNMADLAAPLDYEGMENASKVKRITRSSKKRKHVDMAYEGDVDWETLMQEQGLFSNPSAGFVDQSVKSKDKMKTSEVYEGRGDNGVAAVRAGLKAKAVTPIEKIKFKEVLKQKGGLLEYLECRNMILSRWSKDVKHLLDLAEFGVSVVPLKDELPRQALIRDVYLFLDQNGYINSGIASDKVATEHDAPEVVEVPELNESHQMESFSIQESSNDKDCPTVECDALELLPHLKSEEQPAEEKNLGMSTEVTGDKVPDALDEDLEAEYNGLLDEMALLFAQNGDSAIGLSLEDGLEYALRKHRAPQHMDSIEQDDNLKSMTNAEAMDISRSASTEKEIAHCGRDDKVDVLSPLERRLMNWHFAHLEYGCAAPLKSVSLPYWNQDDVYGGFGGPHCMIKGGYDTVLRSLAKGLDIRLNHVVTDILYGPEELGASCKDGKRVKVSTSNGNEFIGDAVLITIPLGCLKAQTIKFSPSLPDWKLSSINRLGFGVLNKIVLEFPEVFWDDTVDYFGATAEETDLRGRCFMFWNLRKTVGAPVLIALLVGKAAIDGQSISSDVHVNNAMVVLRKLFRDASVPDPVASVVTNWGLDPFSRGAYTYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYIAEVEALQTYQMQSDSERNEVRDMSNRLEACELSTALSKNSSDAMYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLSTLNSWILDSLGKNATQLLRHCLRLLVLVSTDLVAVRLSVEHGIPMSEEEAAVFAAAEAARAAAIAAAQAYASVEAEISVPRELPKIPSFHTFAMRDHHADESDTRKKTLTDNFGRLECISENGSKDDKAKNSPDNANCADVDSMKMSGDNGTQRSHSSEKACLANVRDHNTDLGILDGRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRIRIPDEEDSTGPKQACRSSASQGVDSKPASERQSRGVEHIKQGLVNFIASLLMPLYRGKKIDRDGYKTIMRKAVNKLEMPSAGLNFTPLLTWIQDAKSIHDWFGMYTEVGAASGMNGSNFDHSEVILEQQEGTLDHNAATTVQAVVRCLLGVVDTGGLMEHLKWEALVLNEQASNYCSAS >Dexi4B01G0004880.1:cds pep primary_assembly:Fonio_CM05836:4B:3484724:3484947:-1 gene:Dexi4B01G0004880 transcript:Dexi4B01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTGQDHQQLDQMVSSSPTRIPCDLGGLLGELGVFVLAAKKAGGCPLVYRPPCLAALPLP >Dexi4B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:4B:3252419:3252739:1 gene:Dexi4B01G0004580 transcript:Dexi4B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSCCCRCLELLCSVLLPPLGVCLRHGCCSMEFWISVLLTILGYLPGVLYAIYVICSVDPDRRGRDPDDYVYVA >Dexi3B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:3B:3294561:3295627:1 gene:Dexi3B01G0004950 transcript:Dexi3B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDELRTSFSDLVVGSPTQTEGTTNSSGDASTQGGVQVSCFTEDLHDVTLHFQIVRLSKQIYVWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYVRPAAGEPNTSTAD >Dexi4A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:4A:4745428:4747119:1 gene:Dexi4A01G0006610 transcript:Dexi4A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHKWRVKVLSEFSPRNPRLLGLNVGAGVEVKLRLRRAGRDHDFIPYEEVLDTMLHELSHNDRGPHDAQFYKLWDELRKECEELVSKGITGTGQGFDGTGRRVGGFTVYPPPPSLRQATLSAAQKRARNGALLPSGPRKLGGNNDIMSALSPVQAAAMAAERRMHDDLWCGSHDQSGIDDSDDVIILNEPPNMTTKDGKMTKGSSSNTFAESSASSGIHTAARDGSSSFWTSDTCDDSKWECGACTLLNQARFLLHLVFQILYILELN >Dexi8B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:8B:21340648:21346386:1 gene:Dexi8B01G0012070 transcript:Dexi8B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLHAPMLCLKFQTYIVYMGKKKHDDPAMVTASHHDMLTTILGSKDEALKSIVYSYKHAFSGFAAKLTNPQAEQLKKYPGVISVKPNTFHRLHTTRSWDFLGLNYYLPSGLLKKANYGKDIIVGVIDTGIWPESRSFDDSGYGPVPKQWKGICQPGEEFDATSCNRKIIGARWYLKHADAKTLAKGERMSPRDTDGHGTHTASTVAGVPVRNASHGGGGLGAGVARGGAPRALLAVYKACWNHRVDGSVCSKDGVLAAMDDAVHDGVDILSLSLGGMGKFAGTLHVVASGATVVFAGGNSGPVPETVENASPWTIDRSFPTEILLGNGEKMVAGPCSCDEENLESVNVTGKIVVCFAPFYAADGPPDAAMGDAFRALSKAQANGMIFAEYSGANLMDSAQTCQGTMVCVLMDYHLTYRIVAYITSARSPVVKISPAKTVFGDWVLSPRVASFSARGPSMAYPGILKPDIAAPGVSILAATGNSYELMSGTSMACPHVSAVAALIKSVHPEWSPAMIKSAIVTTASVTDHFGMSIQADGAPRKIADPFDFGGGHINPERAVDPGLVYGINPQDYTKFFDCTLEPDQDCTNDIGNLYFLNLPYIAVPDLKDSVTVWRTVTNVGPAQTTYRAMVEAPTGVTVSVDPSVITFNNGGSQTATFKVMFKARQRVQGGYTFGSLTWLDGRTHSVRIPVAVRTIIHDFIADAS >Dexi5B01G0028200.1:cds pep primary_assembly:Fonio_CM05836:5B:29623068:29629669:1 gene:Dexi5B01G0028200 transcript:Dexi5B01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPAATSGHAQPAGGHVQQRPFSLSRVRVDATPCPVDISPATQVAAGLSQSEGSATERRQSEEAEPSPNSPRSIPIMSSPAAEPPPKKRKLADTQDPGPSSTSVPVAPPSPALPPPQTLAAAAPSTSSPPSTEPESLPSEDEKLQKRRNREELSKVMTHYRRIRDYIGQRKDCGLTPELEQDYLYLISASRGGIQEFQDIMQAMQHQWIDLFMASPPPNTNRAPQGIHPCPPVSTHRGSVIPPPPIQTSFVRPVYHGPGSPWENTTPNPPPFTHVSPCMMPGSNFRVNPNLPFIPSSVPPIAQLPGGSAQHSEKMPPPPPPPNVAPPPFKHLDMPPPPPLPISQPPSVPPPPPPDSPPSQPIADSSDLQKPCSHPRWQGFLAKSSLNYCRVYASRVELDACKYENAVSEPAEWPEKLDVTKRTDFQHVKTTFSNTPPSKVSFQIILHFRNICSLV >Dexi5B01G0028340.1:cds pep primary_assembly:Fonio_CM05836:5B:29731004:29735276:-1 gene:Dexi5B01G0028340 transcript:Dexi5B01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGAVGGVAGDEAIWRKLREAGFDEDAIRRRDKAALIGYISRLESEICDYQHNLGLILLDRKDLSSKYEQLKASSEAAEIILKRERAAQQLALAETRKREENLKKNLCIQKECVSNLEKALHDMRGEVAEVKVSYEAKLSEALQMIDAAQKKFDEAEDKLLAAKSLEAESIRSRNASLRSLQDIEDHEDQLRRDKTSFELESASKEKEINLQRKLLDDTKKILHEQEQALLKEQALLNQRDDNILERLGYITHSEKRLEEEKLNLEDERKVLMEEKNKLDLKMQAIISREEAIIKKESVLDKRENELLVLQETIASKEKAEIERLRQEHELDLARRRHDFDTEMEVKRTSFEEGIEARKALMDQRESALSEREHAVAQREQNLDLRLAELTNKEESLMKRSDELREEERKLSSHREAVHTELQKQREEIQNMKLDLEKEKAFFEEEKRKAVEAQEKLLITQNEREDLFIFQMKLKEEIDSLRAQKVELMVDAERLLTEKERFEIDWELIDEKKEELKKEAARIAEERRVIDEHLKNELDIIKQEKENLRVQFKNGAESLACEHKEFMHKMQQDHANWLSRIQQEREDLKRDIDIQRSELLNSAKARQLEIESYLKEKEEEFQQKKSKELEYINSEKAMISSKLEHVRIELQKLEDERKEAILERERREQELSEIKNTIDALNDQREKLQQQRKLLHSDREAITQQIQQLNELEELKIEYENKQLSLRQCGRSKNGGVENLKENAVHLSPDEDQNASPKKCSSPKLILGKKLEVSPSVSTPISWVRKCAQVIFKRSPEKSADHDNDRSARAMLGNTDNFSLVENGGLFACHLENGAAEVPNAVDGVKVGKKRLNNAISHDQNETLEPKRKHQRINTLTQRVIGGEIDSNWYPFFLT >Dexi9B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:9B:12530525:12531498:1 gene:Dexi9B01G0017810 transcript:Dexi9B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHHAAPSPTQQPPPLLPLALLPPRHCPLAAAVLALLSVLLATALWLLLVLSPSQGSPPVASKYSALSDAGAAVAGPGAASPLSLGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGHVWLDAGAPAAPGPSAAWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGVRWVVLVDDDTVLCADNLVAVLSKYDWREMVYVGASSESHSANTYFSHSMAFGGGGVALSFPLAVALARTLDVCIERYPKLYGSDDRLHACITELGVPLTREYGFHQAIGVL >Dexi1B01G0015700.1:cds pep primary_assembly:Fonio_CM05836:1B:22258454:22259128:-1 gene:Dexi1B01G0015700 transcript:Dexi1B01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSLADMASEATGTKKKEAPAAPRRPSLMSVFVHADAADVALMLLGLVGAMGDAMSFPATLLLIIRITNDMGSGPDHFQDFTSRINENARNLALLACASGFMAFLEGYCWARTAERQASRMRTRYLRAVLRQDMEYFDLRSGSTTS >Dexi9B01G0049420.1:cds pep primary_assembly:Fonio_CM05836:9B:48059172:48062133:1 gene:Dexi9B01G0049420 transcript:Dexi9B01G0049420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVAALVLLPLLAVAAPTSALPFIVLHGIGDQCANHGVSQFTQLLAEWSGSDGHCLEIGRGTWDSWVMPLQQQVLFLVIFAFFLSFLLNVSRFADIYSPVSCSQADIICNKVKEMEQLRGGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGILCIIVDALIKLEIYSDYVQEHLAPSGYLKIPTDMSDYLKSCRFLPKLNNEIPGERNATYKERFSRLENLILIMFQNDAVLIPRETAWFGYYPDGAFDPILPPQKTKLYEEDWIGLKALDEAGRVKFVSVAGGHLGISKGDMKKHIVPYLADKSSKKMLQWSTSDVLGVDLA >Dexi8B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:8B:25159796:25160338:-1 gene:Dexi8B01G0014570 transcript:Dexi8B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRATRLHGGCAAALFLLGISLPLLLLLLHHGGSLSLSLFFSPGGLSSLWQARAEPYTGKQECRTSIRGRRRFGVDSSAQDLDSSGSAASLPWLMRPRALRPAEEEKGLRRGAAKEDAGAAADLGRPRCGGISVSPSLPLGAAAAGSSASSSSSLCGAVACEWQPATVPDGVEEAPDA >Dexi7A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:7A:18271755:18274243:-1 gene:Dexi7A01G0006900 transcript:Dexi7A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSILIAGIFLLAGPYLAEAEYAVELGEAVLTLDAGNFSEVVAKHLFIVVEFYAPWCGHCKQLAPEQYEKAAAVLRKHDPLVALAKLDAYDERNKEIKDKYQVHSYPTIKIIENGGNKVRGYGGPRDADGIVEYLKKQVGPASIELKSAEEAAHIIGDKGVVLVGVFPKFAGVDYETFMAVAEKKRSDYDFFHTSDAGILPRGDQTIKGPVVRLFKPFDELFVDSQDFDKDALEKFIEVSGFPTVVTFDADPTNHKFLERYYSTPSAKAMLFLNFSDDRIEAFRSQIQEAAKQFSANNLSFLIGDVEAADRAFQYGNLTPYVKSEPIPKVNDQPVKVVVADSIDDIVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVSMQDDEDVVIAKMDGTANDIPTDFAVEGYPTIYFYSTTGDLYSYNGGRSAEDIISFIKKHKGPKVSAVDEVAQTGAGAVEEVSTPSSPSELPNDEL >Dexi8B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:8B:10265726:10269516:1 gene:Dexi8B01G0007960 transcript:Dexi8B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRDRFNALRKKVSQLFADTKDMAEKIELVDTVEHLGIRHHFEDEIADALCNIQHMELNSLNLHEVSLRFRLLREHGLCVSPGIWDEKAVCLIPEYLKKFYLRIIISFKEIEDMSQPHEKYKVSYAKESFQILSKKYLQGAEWFHHKYVPTFKEKLEVSFMDSGSPFSIVALLVGLGDMASKEALDWAIGCTDAVKACGELTRYMNDISALKHGNRKQDAANCVQCYIAEHNVTSEVATANISKMMEDAWKTTNKAVLELPTLHAVVRRVVDMTVCLTLIYGKKKDVFTFGNDLDDVIKHVFANPFPI >Dexi2A01G0026880.1:cds pep primary_assembly:Fonio_CM05836:2A:38396646:38396960:-1 gene:Dexi2A01G0026880 transcript:Dexi2A01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCVEQQGEAQWRQGEPSNLVAQQRRRQARHCGGAASRAALRPSDDDVEACRRARPCVLELLREASELEPRRESSELEVSSPFSPCFIIFLVTAVDLWSISS >Dexi5B01G0039150.1:cds pep primary_assembly:Fonio_CM05836:5B:38139218:38140429:1 gene:Dexi5B01G0039150 transcript:Dexi5B01G0039150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPPLAMEALAATPRPVFARAPPPALMAPVVFRRPSSRCAPLRASAAAAEPAGEEKAGDGGAAAPKKVLKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYQDRGEVLDIRMFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >Dexi3A01G0025910.1:cds pep primary_assembly:Fonio_CM05836:3A:21922784:21925567:1 gene:Dexi3A01G0025910 transcript:Dexi3A01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILARSKALPLAAALTRAVADAAPPLAGTRALSSLPRYPGAPSPHGLGKILGYEPTSRPSGAQVLPRWFSSVASNGSLTQKSQIPETNKSGAELKQSDAQKPSDGAPPKVVAFSPLDAAIAKPRSSPLTSESSKVRRSEIATQVTFYMIPALLLVSKNSISTSLLVGAVFHQVYMFHKEILLDYVHHDITRKWSLMYFKLLLLVMAKDTITYFNLPF >Dexi1A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:1A:5908515:5911252:-1 gene:Dexi1A01G0007670 transcript:Dexi1A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWNPSRRRLLAAAVASLRPPAAAAIASLLCAIHYIIFFALSDNLILLHRGLPATVGHLGGVEDGISFLMILFVCFIVESNINNLHALRDSALVESDINNLHALRDAVTGSEGILQNWFNSEIHPCNWTGITCERKTVVAIDLSSVPLHVPFPPCITAFHSLRMLNLSRCDLSGNIPETFGNLQNLQYLELSNNQLTGPLPFSLYDLKMLKEIVLERNNFSGQLSPAIAQLQNLTKLLISKNNISGELPPELGSLRNLEVLGFHHNRFDGSIPEAFGNLTQLFYLDASKNKLTGSIFSGISKLLNLETLDFSSNSLVGQIPNEMTQLKNLKRLVLRFNYFMGGIPKEIGNMKQLKQLFLSECNLSGTIPWSIGDLRSLSELDISGNSFNSELPSSIGDLGNLTLLMANGAKLIGSIPKELGNCKKITLLRLSFNAFTGSIPVEFGGLEDVRHFEVEDNNLSGYINDLIQKWEHVQHVNLANNKFSGSILPTICGAKLLQTLDLHSNDLTGSIEETFKGCKKLVHLDLQGNHFTGRIPEYLADLPLRTLELSYNYFTGVLPVKLLESSTVLEMNLNNNKLTGHIPESIGKLHSVQMLRLGGNFLEGSIPQAIGTLENLTVLTLDGNRLSGSIPQELFNCTNLVMLNLSSNNLIGPIPRSILQLMSLTGLVLSNNQLSGSIPDEICGGFTNPGHPDSEYVQHHGLLDLSYNRLTGGIPPAIKNCVILQELHLQ >Dexi6B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:6B:2678232:2678803:1 gene:Dexi6B01G0003240 transcript:Dexi6B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQAMTTLVLVATTLAAASSLIAGGEACDNIPSLTMNETCAMACKTPHLLDVCKDTLKDAPYISAMTVYAVAAANRATKRYATARLQMVAGVSDMNGCQFARTTQEYVEAVAAVKTCGEKLSPGWPLVADVAGDLDVTTVAANLGALVIGRSSSNKS >Dexi2A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:2A:10865969:10867040:1 gene:Dexi2A01G0009820 transcript:Dexi2A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDGRAKRIMIEVPVESRHQELPLAEGGGGGEDGGPDLISRLPDEVLGDIISLLPTKDGTRTQAISRRWRPLWRAAPLSLEVGRSLSGQDRKRIIFATKILSDHTGPGRRFSLSDFRLRDRFAKIDRWLGSRALTGLREIEFSYERENSLPRPMPPSALRFAPTLYVAEFACCDFPSEIVTELNFPHLKKLSLHSHNIGGRPSQPALWFLNLR >Dexi7B01G0009680.1:cds pep primary_assembly:Fonio_CM05836:7B:17214244:17215749:1 gene:Dexi7B01G0009680 transcript:Dexi7B01G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDLGQRPASSPLSAPCLIPPSYSPKVLAAAAAAAPREREREVDAMVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTTSK >Dexi9A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:9A:5750912:5751523:-1 gene:Dexi9A01G0009460 transcript:Dexi9A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAESDDASRPAEEIMAANRPLFVIHEATGECFVYDIYLKNEDTTMEVHYSGPLVPPLHYRPLAVSGGAILGVSPQPRHIPAAYHRPMAVIAAGGELRDPSDTGPPIMVAVGDATVVRMDTVIYGESFCFEALRLLPGGGGGWHVTPLPRPPVMSLHTPWDRVSISFYFVKGKRVWISVAGEGIFSLDVMVFPSYFPYVNFV >Dexi2B01G0014800.1:cds pep primary_assembly:Fonio_CM05836:2B:24692564:24698011:1 gene:Dexi2B01G0014800 transcript:Dexi2B01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRGPASFATQANALLRKNLCVQKRNLKTNIGITFFPILICVLLIVLQNVINSELDKPKYKCGCSCLETSVDGRCVRKECGIQYSSLDQVGSCPIPNPPRWPALIQVPRADFRAIRRSSQPFDDLPDPLCRDSWSCPATVLVTGKDKAVAGAISRGLFPSLSPSLNATDFLDILSNVVAVSNAYLKFVKGAWVEMLLEYVKDMPKVGTSFQLDLSSLLSALFFTWIIELLFPVSMMRCIDVILTYLVYEKQEKLKIMMKMHGLKDGPYWLISYSYFLALSVVYMLFFVIFGSLIVVGYMYVFGSGLLGAFLFRFFVEDKTFPYGWILVMEIVPGFSLYRGLYELGQYAFSGSSMGATGMTWRSMKDPLNGMRDVLIIMTLEWALLLILAFYLDQASLLGDGVRKKPFFCFRCLQKKHAPSLHEPSFSQQDSRVILDMEKSDVALERKVVEQLLIDRNANQAIVCDKLRKVYPGRDGNPDKLAVRGLSLVLQKGQCFGLLGPNGAGKTSFINMMIGLIRPTSGTAYVHGMDINTDMGNIYTNMGVCPQHNLLWETLTGKEHLFFYGRLKNLKGAALVKAVDHSLKSVNLAHGNVGDKQVKAYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIILTSNDLSSANKIYHLSGTQKFELPKQEVKIAHVFAAVENAKRRLNIHAWGLVDTTLEDVFIKVARGAQAFNEFA >Dexi5A01G0019080.1:cds pep primary_assembly:Fonio_CM05836:5A:22553344:22554937:-1 gene:Dexi5A01G0019080 transcript:Dexi5A01G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGWQIASIKQVLAFGGEDEDTDTALKFAYESLSLPHPRATPDAPLAAACAGWRGAPGDGGVDRISSLPDEILRNVVSRLPVKDAARTGVLASRWRGLWRAMPLVFSDANLLQGCREDPLWRPGLENTLGVTNEVSDILAAHPGPFRCVHITCCYLDMNREKIKGWLKLVADKGVQELAFINRPWPLDLRLPTTLFSCTSLTHLHIGAWKLPDTATLPSAVAFPHLQELFLSLITMKDRDLAFMLDMSPVLEVLTIIASQTDVHLCLVSRSLRCLQLGMSSLGDIAVADAPRLERFFLLMTTCGLDEPDSDSDSDDEPTIRVRIASAPSLKVVGYLDTKLHQLQIGVTVIEDATEVSASFMIPSVKILALRANFRVFTEVQMLSSFLRCFPNVETLHVEFAIADRPTGKHYGKFLSKLSPIECLRSHTKKVVLHEFRGDLSEVVFIQHLMQRVNQLQHLTIVLSRIYYFQWIT >Dexi3A01G0020040.1:cds pep primary_assembly:Fonio_CM05836:3A:15940145:15940501:-1 gene:Dexi3A01G0020040 transcript:Dexi3A01G0020040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAQRRHHRSFLAGDDAMRRHSRPLSFLFSPALATSTLPKIRRERAKIRWPATSARPGASSPELHGPPLRRRVRSARGPSRGSVGAPRWRWLVTGDGGRQARGQEVHAQPRLLAAP >Dexi1A01G0029190.1:cds pep primary_assembly:Fonio_CM05836:1A:34729460:34729955:1 gene:Dexi1A01G0029190 transcript:Dexi1A01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSRGNISEDEINELISKLQALLPSSRRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >Dexi7A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:7A:16672097:16672487:-1 gene:Dexi7A01G0005420 transcript:Dexi7A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHLSHDDFPAAVGGSLFLDDYYAGSTTVLGEFPHSDLADGCYYAFVPRKRPRLAAAAECFVDDQSAGTSPAGLVTVPSGVDVPSRAAGSGAASTSGRVANGASAASRGLLVASRSTRS >Dexi7A01G0021510.1:cds pep primary_assembly:Fonio_CM05836:7A:30096869:30102946:1 gene:Dexi7A01G0021510 transcript:Dexi7A01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSSEGAQRLEIFGHNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKILDLCNCREDMRRKVHSIIDKYAERGLRSLAVARQEVPEKTKESPGGPWQFVGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQNKDSTLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVVFFWAMHKTDFFTDKFGVRSIRDSEHEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFLLAQLVATFLAVYANWGFARIKGIGWGWAGVVWLYSIVFYFPLDLFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >Dexi7B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:7B:1686295:1686516:-1 gene:Dexi7B01G0000870 transcript:Dexi7B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEKAEVGDGGMGGWRRRRRRRAAAVVEAEEGSGGGRGGGGEQAAAAAARLEEGRRQRRDERQQIGLAGWG >Dexi6B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:6B:7316564:7321235:1 gene:Dexi6B01G0006600 transcript:Dexi6B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLEVIQYRIEDHFEATIQTEEVESPDLASESSKGIALRLLIEERIQRQGACACKMFALGSQRRRVRHPVVFAKKRRRPKKWQRPWWKTFFSDWNDDEEILAGWREDDELFEEIKSNQELSENEKFEMWRRKAEAIVDQREAQQDAMNAEERSWEDWISGGSASGGGDWDGGASVLDQITDDPALILRDKGIIEVSRDSLDEDYDDMLFEDRVFMYASKNSAKFLALLVVVPWLIDFIVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLQMVKDLKIEKARYRFEVEIGKSPPLSDEEVWSELREKALELRDDWRLDNRKAFANIWSDMVYGIVLFLLICFNQSKVAVLKFTGYKLLNNISDSGKAFAIILVSDILLGYVICFFFFK >Dexi5A01G0032790.1:cds pep primary_assembly:Fonio_CM05836:5A:35162428:35169021:-1 gene:Dexi5A01G0032790 transcript:Dexi5A01G0032790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPGQLLPLTRPPPPPRAPPFLSSHRRRCPPPARAHARGSPPPLWRAHRLHDRFLHPARRFGAPARPPRAPPTPPGVSAAGGGEAQAAAVVEFVTSERVKVAAMLALALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGLVSDMLIRNGTNITLTRKIMQSIGFLGPGIALLGLNAAKGPIIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGTQGEPLEFAQRLNIAIDIVHAIAYLHGYTDHPIIHRDIKSSNILLTEQLRAKVADFGFARLAHENPEATHVSTLVKGTAGYVDPEYLRTNQLTDRSDVYSFGVLLVELVTGRRPIERGRGRRHHQRLTTEWALRKCREGDVVVVMDTRMRRTSAVVAAVEKVMALASECTAPERAARPAMRRCAEVLWSVRRDLQQEQQRVAAAAASAGARRHGGSMSAPPSITSSRQGRFENLR >Dexi7A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:7A:26620749:26624409:1 gene:Dexi7A01G0016790 transcript:Dexi7A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLLRDLLVADGFKNRRSRPPDGSAPPTTRSSTMLHRRPGKPVRSQSDVVTRSRLREMNGDRDNSGGGRDAGDERRAATATRMSSASQTSARTFSNKAGSDSSGGLGARKGTASPSAVPALDESALNALISLAAGAMKRFVKDEAFRASLRAGCATCLDGGGVSDSDSDQRAVLDLRVHAQTVERAAREGLDPRDLKRASLKLHELASALDADAKDAVTVPYRRGVVAACAHVYMSAVSKLQKRDHSAAVHALEAFCLAPREARTLLLPSLWDRLFRSSLSHLRAWREIELSAASSGDERAKEVERTFVDVVDQGTRALACYYRDWLLGRTDAMALPDVPAPPSTVVRAGAARGSASTSYEISSDVVFSSGSGSSSPAKFLYDDTMQKSEEEDEVHAMAADGDSVFRECDAGEARSYNPALQEEESVSKPGSKLSNGIIEPQAEDEQNKESYASTSYPAISDVYAIDIVTVEFREALLQSDTNANHFPIFYNVPSDFLCPLTRQIFNNPVTIETGQTFERHAIVQWLDRGFRTCPVTGQELLSSTIPDTNRVLKRLIDGWKSEHCKNLVSGSNGLEHKLTAKVIEKVFNSAEDMSEKLDKARHLMAIGGIDFLLHKFQEGGGDEQQRVAEHLLFCIKAEGSCRNYVAITIDGSSVLRLLHSEVLSERRTAVGLLTELICLRRRELFEVLLRGLGTDSVMQTMDVLLEHLRSLPVEEQASVAVLLLHLDALVEPNRNYTYREEAAKIITHSLRCSMSDDNVVPSTRSALLLLAGYFTFSGDLLAEDWMLKQAGFVDASRTSPISSYIVVQDKEVAETEARLRHATGALLGSSGVRRPFLEALSRCLGSPDADLVGACLTTAGWLSRSLAASLDGATDTDADTSLAAFSALIPRLKQCLVPGRPARHRVLAAVSLHNFSKIPDCRELLVLLADGLRDHLAELAGLTWTAGRLSAELHERH >Dexi5B01G0035330.1:cds pep primary_assembly:Fonio_CM05836:5B:35350408:35354679:-1 gene:Dexi5B01G0035330 transcript:Dexi5B01G0035330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRRHPNVWLVLRWEPGTVATTRESTTSTPTTSHITRRILSPPISAIPARRRTGSTRPGSTNHLLNPHEPARRSTCPSPSLRRPASAPTSGDHPPLPLERQRNGWEQQPDAMTPLPVVCSAAPTCSPLCPVTASHVAARVPRRADVGAALRSYADPLVAQVPPDRPPPADSSIFSPYPAAPDDIVRGFAGGASELPSAEAAADALCCAAPDQIAAVSVVTSAPTDAAEQALSDAPFPTTFPSDASDVEDSVARLIDKLGKQVFQAEDALTEAYDKLRLSAYDVLGTWRKTVREAVGGLKASVDASKEQAAGGVTDASGALQQKVAGASAVAIDVLRKAIVAAEDSLGNAATFVVYSYGSAKESLPPNVRDLLNSSEEKASLVLRPIGNALQQVYVIVEGVEKNVGLDPSDPIVQLAVVLGGSVAIGSTFWLFIYGGYSGDLSPESALELLKNDGKADLREKDGVPDLRRGARSKYASVVSPEIKGPIKNMLKGGRDVDDALLAVVIRNLKLVKRPYLVKGGFQAWSKNLRVKELKPETALTAINEDVEEIIEQIKPTPTLVFGSLLGLSAVSYAVLEWETTLQYIAVLSIGLTIYSRFSTYESSKDLERDLKLLLSPVQVGTEALSWAAKKIEPSKVGLPTSPSTTAVKDRVLQAAAKHESQPSDVEDSPAQAAEA >Dexi9B01G0036850.1:cds pep primary_assembly:Fonio_CM05836:9B:38345937:38348575:-1 gene:Dexi9B01G0036850 transcript:Dexi9B01G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLARSAVARLLSHLLHRTPDPTHHLVAHGAAFASLLGPTHGLPAAADSTLLRYTARWFSSSATAAVTETPMTTDGLTVDSISGKGWTILPESESDWRSHAAAISQSIKLIKKRLKWGWILERTKQLAVVLERPNLWDDPVFAGRVSREHGELMGKIKSVNQFEQELIEHIEMLRLAREENDNELEMESMNALADMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYQSWAQRRGYAVTVVEEMPGELAGIKVIILNSSYFQRATIKVDGEYAFGYAKAEVGVHRLVRISPFDGGKRRHTSFAAVAVIPILGDTSSRYQIKDSDLRIERFRSGGPGGQHANTTESAIRIVHIPTGISATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDDFILNYLSSSLDEADGSA >Dexi4B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:4B:1272818:1273667:-1 gene:Dexi4B01G0002030 transcript:Dexi4B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEAAPAAVVAPAPDKVDEVKEAGVVEAAEKVEEAPMPAAEEGKKTEDGETKKAEEGKKARKPRSRKPKSAGPHHPPYFEMIKEAIMAQDGGKVGASPYAIAKHMGEKHRDVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLAAAEEKKAAAAKAKKAAPAKRKRAAAPPPAKKKPAAPAEARKARAKRARKAAPAPAQPMPKPKEQGRPVRAAVATKAANKASA >Dexi6B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:6B:762188:763045:-1 gene:Dexi6B01G0000880 transcript:Dexi6B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSKSVKLVSARTNKPLEVDIAEEEDARMSSSADNTVYCCIAKGRKVIYCYNSKDGGDPDPQVEATAALCLENSPPHHRHYIHTSGSRSYGYLMADGHTFFAIIDPSVGNAGALQFLERVRDVFRSNVASRNGLHDSLVPAVRRLVASLEKMPHATFVLEEAAERGGSNEGSGCTSSKVPLLGKSGSRKEKKKSSKDKLASAGDGEHEHHGTRGVRIDVPAEDVGGMSLERSSSQSRLRRQQPSRSLWMRHVKIIIIVDAVICLVLFAAWLAVCKGFQCVSG >DexiUA01G0000870.1:cds pep primary_assembly:Fonio_CM05836:UA:2660757:2661791:1 gene:DexiUA01G0000870 transcript:DexiUA01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGHGGGGGGRRLFTASQWQELEHQALIYKYMASGAPVPHDLVLPLRVDTAPSLAFPPQTTPTLGYWGCYGAGATFGRKAEDPEPGRCRRTDGKKWRCSRESHGESKYCERHIHRGKSRSRKPVEAVMSSSSSATSPAISPAAAAYRPSALSISPPRATGHATAGALQLHLDAATTGLHAASPPPSYHRYAHGHAQYTTPPPSLFSGGYGHGQGNEVHEAEMKRRHYLALGADLSLEKTPPAAVGGGAAATEKPLRRFFDEWPREGGDARPWAAEDATQLSISIPASSTSEFTAGGGARYHNGESSMCP >DexiUA01G0024210.1:cds pep primary_assembly:Fonio_CM05836:UA:50017726:50019498:1 gene:DexiUA01G0024210 transcript:DexiUA01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTTNLNQLARVGGIDPLIGRDKELERAIQVLCRRRKNNPLLVGESGVGKTAIAEGLAWRIVQGDVPEVIADCTIYSLDIGSLLAGTKYRGDFEKRFKALLKQLEQDTNSILFIDEIHTIIGAGAASGGQVDAANLIKPLLSSGKIREFSNIFEKDRALARRFQKIDVTEPSVEETVQIINGLKPKYEAHHDVRYTAKAVRAAVELAVKYINDRHLPDKAIDVIDEAGARARLMPASKRKKTVNVADIETVVARIARIPEKSVSQSDRDTLRTLGNRLKMLVFGQDKAIEALTEAIKMARAGLGHEHKPVGSFLFAGPTGVGKTEVTVQLSKALGIELLRFDMSEYMERHTVSRLIGAPPGYVGFDQGGLLTDAVIKHPHAVLLLDEIEKAHPDVFNILLQVMDNGTLTDNNGRKADFRNVVLVMTTNAGVRETERKSIGLIHQDNSTDAMEEIKKIFTPEFRNRLDNIIWFDHLSTEVIHQVVDKFIVELQVQLDQKGVSLEVSQEARNWLAEKGYDRAMGARPMARVIQDNLKKPLANELLFGLLVDGGQVTVALDQEKNELTYDFQSAQKHKPEAAH >Dexi9A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:9A:5705367:5705969:1 gene:Dexi9A01G0009410 transcript:Dexi9A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARIIFCVFVAAAVLAVVLLATISPLPHRAGKGAPGTRTFTVYVHPTVQARQQGQLEAAVHQRGEASALVFHHRMTAAPERTSRTIGVASGFVLLPAGGERGVAVTSVFDTVHLAFDGGGAAGMFAGSLCVEAEAGKRRPGKRGRDAEEDKGVLRVVGGTGAFAFARGHAIVRGQRAGPGDTAPALLLEISVSSAGSLV >Dexi1B01G0022510.1:cds pep primary_assembly:Fonio_CM05836:1B:28251662:28253997:-1 gene:Dexi1B01G0022510 transcript:Dexi1B01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLTKIASACLGPVRRYARTRKDEDGSDNGRGGVADDLLWSRDLGRHAAGEFSFAVAQANEALEDHSQVETGSAATFVGVYDGHGGAEASRFISDHLFAHLIRLAQENGTISEDVVRSAFSATEEGRSNKIVAEPLTRDHNASMEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQGIAKRLVRAALKQAARKREMRYDDLKKVEKGVRRFFHDDITVVVVYIDHGLLQQSDGSVPELSVRGFVDSVAPSRFSGVTAIS >Dexi6B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:6B:1841540:1842084:1 gene:Dexi6B01G0002130 transcript:Dexi6B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSRSAAAAATAAVRSAALRSRSPAERLFQAARSPLVPPPIRRPVVAAALASLETLMPLHSAVAAARLRSCIAADSACWSCLSQAYL >Dexi3A01G0030420.1:cds pep primary_assembly:Fonio_CM05836:3A:34437859:34440350:-1 gene:Dexi3A01G0030420 transcript:Dexi3A01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLRSFCLHRIRSAGGGAAATSTAAPPSICGATAKDANSNSSSDSKSPKDDDEEAKKKGGGSSEAAAVVVGRKVMVASEGGSEEARTALQWALSHAVRPCDTLVLLDVVRGASSSAKNRRGCQHLEAMRSICQAKRPEVRVEVSVVEGKERGPAIVEAARKQGASLLVVGQKRRSVTWRLLSMWMAGGGGGVKGGAANGGGAADYCVQRAACMVLAVRRKSRRGGGYLITTRRQKDFWLLA >Dexi9B01G0028390.1:cds pep primary_assembly:Fonio_CM05836:9B:31022061:31024080:-1 gene:Dexi9B01G0028390 transcript:Dexi9B01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRASKRALSTFAAAAAKLPEEAVAAAGEAVATAEPLPSATPASFERTSSSRVLGFEDTGRLFAGEPTSALVRTLAALQALSVGPLVDVATAALRSPAVAGSALGRAAARATAYRHFCAGETAGEAAAVVGRLWRGGMGGILDYGIEDAEDGDACDRNAAGFIAAVDVTASLPPGSASVCIKITALCPITLLEKTSDLLRWQKQHPSFNLPWKAHSFPILSDSSPLHLTSSEPPSLTATEERELELAHERLLAVCARCAEHGIPLLVDAEYATVQPAIDYFTFVGALAFNGNGEASGEVPIVHGTIQAYLRDARDRLEAMVTAAERERVRLGLKVVRGAYLTRETRLAASLGVPSPIHGSIQDTHDCYNGCAAFLLDRVRRGSASVMLATHNVESGQLAAARAQELGIPKGDRNLQFAQLMGMADGLSLSLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSASSFDRQLLRYGNCPN >Dexi3A01G0033990.1:cds pep primary_assembly:Fonio_CM05836:3A:39298854:39302422:-1 gene:Dexi3A01G0033990 transcript:Dexi3A01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGATDYSFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSPPASPSASSSPLPVVRPLTPSSLCSAIHAHAHAHPAPRSAAPAPAVGGAVVDSPTSVIENHHAAAHHSAELPSSPSDDEGAGDDGGPQALPPKPRHQAAVTFAETSGSLLQSSNYEDGFEDEDGDDAAAGDARPRAAAGQSSGSLSPAHWRGGRSRGCYRCGKGGGFWGRDKESCLACGARYCAGCVLRAMGSMPEGRKCLDCIGRPVAECRRDALGRGSRVLRRLLSAAEVELVMRSERECAANQLRADDVYVNGSKLSPEELVVLQGCQCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIISANLNVGGLLDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKATNQCGEDVHMGNRAIPEYLEQRTIQKLLLVGSGASTILKQAKFLYKNKPFSVDEREDLKLIIQSNIYNYLGILLEGRERFEDEALADRRNSQHDPCSSGRCESGLCDEVTEYSLIPRLKAFSDWILKAMALGNVEDIFPAASREYAPLVEELWKDHAIQATYKRRSELPFLPPAANYFLDKAVDISRTEYELSDMDILYADGITSSDGLVTTEFSFPQMSLGGQGADEPDPQDTLLRYQLIRINNRGLHENCKWLQMFDDVRLVIFCVSASDYDEYYEDANGKIVNKMVESRQLFESIALHPTFEQMDFLLLLTKFDLLEQKINTSPLTSCEWFNDFTPLISRNLLSGNSRSTRGSQTGATLAQMAAHYMAAKFKRLFDSLMGRKLYVSYVNALDQESVRSAIRYSREIIKWEEEKPVFGASDTVYSEEPSSFTH >Dexi2A01G0022920.1:cds pep primary_assembly:Fonio_CM05836:2A:34684292:34684837:1 gene:Dexi2A01G0022920 transcript:Dexi2A01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISKSAPNLLKKAVRLFKNKIDALTMKLIILASLRRRMAMVYAMSRQIHTLVASDGWDKKAMVKHKNTAFASHKTMVMSKEVSSDKVHLGLFEVAMFEEDYHGYPDWINSLFDDDNDSYNDEEDVQDYEHADLDIDAFDDDIIRNNREAEGLEFNMEDHIDEACDMFIRRCRSRMNLSF >Dexi9A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:9A:1552900:1557008:1 gene:Dexi9A01G0002940 transcript:Dexi9A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTATVASSSCHCDLILFPTARRSWRGPRSRGGAGARLAVLDRAGTGGGLAVLERSGTGVAALERMGAAAAAARREEVVPAGNGRSSPYEVDSLIERLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPTESRTALSYTSLIAAYARNALHEEARALLDQMKAEGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDVSPSVRPDLTTYNTLLAAAAVRSLADQSEMLLRTMLEAGVSPDTVSYRHIVDAFASAGNLSRVAELFAEMAATGHTPDPSAYLGLMEAHTRVGATAEAVAVLRQMQADGCAPTAATYRVLLDLYGKQGRFDGVRELFREMRTSVPPDTATYNVLFRVFGDGGFFKEVVGLFHDMLQTGVEPDMLTCENVMAACGRGGLHEDAREVLQYMTREGMVPTADAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNTLANAYAKGGLFQEAEAIFSRMTNNAGIQKNKDSFDALIEAYCQGAQLDDAVKAYMDMRKSRFNPDERSLEGVLNAYCIAGVIEESKEQFEELQSSVTVPSIMAYCMMLSLYARNDRWADAYDLLEEMKTNHASSTHQVIASLIKGEYDDSSNWQMVEYALENSTLEGCDYSLRFFNALLDVLWWFGQKDRAARVLDQAVKFGLFPELHRDTKLVWSLDVHRMSVGAALVAVSVWLNKLYDRLNGDEDLPQLASVVVLRGEMEKSTITRGLPTAKVVYSFLNDTLSASFHFPKWNKGRIICLKSQLKKLQSAIDSSNGSATAGFVTMTNSRLPSPGSKIYTREVPVDNGTGHLPDEPFVEEKDAELLAL >Dexi3B01G0021960.1:cds pep primary_assembly:Fonio_CM05836:3B:16845004:16846224:-1 gene:Dexi3B01G0021960 transcript:Dexi3B01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRRAISSAGLRRSLSTAPSRPPWAIIESTILVKSTSPRASLQLAEPPRFSDLLVPAHHINPLPRIDSDPKSLGLLGGAVRSTSGDGLLLLQFEDGPATAPVVAKLRAAREGEGVRLDIDPDITRFVCNPLSGQMSRLPVIDGTKKVHTWYPQGILTQSVRGHGPPDRYAVAELSVDGQYGEGEERSFVMRRFFSRTGEWDKLVGLPSPLTRPRRIHLDHEVLAFAGRLWWVDLTWGAVSADPFSDQPELRFVELPRGSVTPVPGTNKIAAQGMFRRMGVSEGRLRYAEVSENEPSVLSSYALDDDGDGWTLEHRVALGPILADAGTQEGTPRIGVIDPLNAHAMYVIYGNLALAIDMDKGKVLASVLMEGVRGGPAAFFSAFLKACVLPPWLGTTQIPSAGD >Dexi6A01G0008310.1:cds pep primary_assembly:Fonio_CM05836:6A:8584557:8585513:-1 gene:Dexi6A01G0008310 transcript:Dexi6A01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSDEWLRSNPSVMSLGSPSFAVRQELSDEGVPRLGAEAALNAINDWGKQACHVTHLVMCTTASGCMPGADFEVAKLLGLPLSTKRFMLYQVGCHGGGLVLRLAKDLAENNPGARVLVVCSEVVALGLRAPSGDLMGNLVGQALFGDAAGAVIVGADPTSDEQCLFEMVWASQDILPGSEDAVVGKLREEGLVYNLHRDLPRHIECNIERLVTAALEQAGAAASVVNDWNEGVFWVMHAGGRDILDRVERTLGLRNDKLAASREVMKYHGNTMGSCVMFALGEMRRRSAERGPGVGVALWLRSWTYCGDYPTSRATE >Dexi9B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:9B:15154820:15162495:-1 gene:Dexi9B01G0020410 transcript:Dexi9B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCIPNFLGSELFAQWFIHVSETLDQFIMENVIFLLSTAIHHGSLALAKSPHLPLPRPPPHAAMATAAMAAAASSRAFLRPHRLLLIPHTHPLRRRLSATAAPFSTAASASVVDVLRERGLVEATTSEALAAARPGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTTVALIGGATGRVGDPSGKSAERPELDVAAVEANSDAIKSLVAQILGRIPEPAHAHDSQPVKNDQPLVNSGSATMGSFVILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLSSEDGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSSKMLSPYKFYQYFFAVPDVDVIRFMKILTFLSLDEIQELEESMKKPGYVPNTVQKKLAEEVTRFVHGEEGLAEALKATEALRPGAQTQLDAQTIEGIADDILRREEATVSRFVPLSYTPPRYLHLLADKESSGATIPHVWFVRSFPVGSNSPCVYFTSLSGALGYLLAFIVHLPGSLSPPMAKRRSRFSFGCFGGQARAEKMAEVVGDGFCPYDGYPVKLHIYDLSQGMARQLSATILGKAIEGIWHTGVVVYGREYYFGGGIQQGQPGRTPYGTPVRAVDLGVTHVPREMFEDFLREIGPRYTPATYNLLTHNCNNFSNEAAQFLAGTTVPSFILELPKEVMNSPVGALICFPRSPRATWPHRRGASGSASSAHRPPAHAASCAGFTTPGTRAAPRCTSTATASYRTAPPPPVAAAASAPRRSPRTVPDERNSSKLPRSPGEAAASEAGAGAGGAASLAFRFLASGPGAGTSMPGTQLGGQTVVGGVDEMEVWRPPLDFNAVNAHASSATMSSVLRANESSERPRMPFRPEGRTRTHL >Dexi5B01G0033640.1:cds pep primary_assembly:Fonio_CM05836:5B:34003862:34006466:1 gene:Dexi5B01G0033640 transcript:Dexi5B01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAEDAHRTDLMTITRHVLNEQSRNPESRGDFTILLSHIVFGCKFVASAVNKAGLAKLIGLAGETNVQASATLNIDFLGEEQKKLDVLSNEVFIKALVSSGRTCVLVSEEDEEATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLEDVLQPGKNMLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNARNWDTPTATFVEKCKYPKDGSPPRSLRYIGSMVADIHRTLLYGGIFLYPADGKNPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHDRSPIFLGSYDDVEEIKALYAEQAKSSSD >Dexi2B01G0032260.1:cds pep primary_assembly:Fonio_CM05836:2B:40203299:40204798:1 gene:Dexi2B01G0032260 transcript:Dexi2B01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAAGGGGGGGGRSEPARWLEIAGKLLAARDLVGCKRLAERAVEADPHLPGADELLAVADVLLASQRQLPSGRPDPVAVLQLQPGPDLAAIKRSFTRLSQLVSAPRNPRPAADTALHFVQEAFADLSKNATSETPLPAASASTPATGGASAAAADAFWTACPYCCNVYQYQRALVGRAIRCQSAGCRRAFVAAEIPNAPPIVPGTDTYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNFQSPLQLASAGTANVDHRNFQSSPQPASAGTTNVDHMNFQSSPQPASARTTNVDHKNFQSSPQPASAGAANIDRRNFQSSPQPASAGAANIDRRNFQSSPQPSSKGTANVGIQNVGNNGRPIDANSAPENVQPANKSVVRGSAPGPSRGRMKKTTARKKVGAVLKKPTSAAVESGIEPSMLGSDLWNGNAGNSSGQTLGTREININEVAKPTDGATMLNFGGDEDIGFDLDVDATDAILGNLQHLPFLREDDNTRRMF >Dexi8B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:8B:26396735:26397160:1 gene:Dexi8B01G0015640 transcript:Dexi8B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKLAGVDAVKLVIMIVQAARTVRHNMKTCQQLVQHVQASEMMQQPEIKNGLNELEQILREAYMLVTSCQNNNYVYHLFMGGKQADQFRVLQNRLNSCLQVFPLISHIDTADRLDQILEIIRPPLPQVW >Dexi8B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:8B:347563:349464:-1 gene:Dexi8B01G0000530 transcript:Dexi8B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVASSNGNAPTASDDQVAAPMLFNYQASPLAAHLLLDMPPQQRANCCQVPTTFNDNDGDASGAKRRKRVVEWASVRRACGEWMSNPMNVALLLWLLCVGVSGGMLVLLLLGLLDGAFPSPAERNHWIEVNNQFLNGLFTLLSLYQHPNICHHTFLLCRWRPGDAVDLRDAYCHGDPTVPRPAERAHMAVVVALLHLTLACQYVTCGLYWGFTVTSRPDLLADGFFVLGIVAPLAAAVYAVSSPLGKERHHDLYSLSETTKQQQEQSLSPVVGHVVVEPEWSGRGMFTCAGDASTWCLSLSCTFCVFGWNMERLGFGSAFVHAATFALLCLAPVWVLGVSALHIHDYVIGDAVGVAGVLLCAGGLLYGGYWRIQMRKRFRLPGSRACCGSKSLTDYARWLFCWPFALAQEVRTASLYHVHGELFYSKQVAAADDDHASSIVEPLLLVGSNDHHHDVFRATDTAVAASQASPPEVHHLVVVDETTMAPPPVQVVIVHQAVEEEDAHLSQASPPDAHLVVTVDDETAMAPPVQVVVVQQAVEDDKSNGCSVSSLHGESETVDSSIPMSVRLSEDEDAHSGLISEEEEGAPLVVQVHNSISSDENWRAQKVKKLINMVTLVSLLILLYTRGLIL >Dexi5B01G0022790.1:cds pep primary_assembly:Fonio_CM05836:5B:25094988:25096227:-1 gene:Dexi5B01G0022790 transcript:Dexi5B01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASHGATAAAPASSFPTMPRVARSHVWDWLVLLLLVAVEVLLNVVEPFHRFVGSGMMMDLRYPLKSNTVPIWTVPVVAVIVPMVIFTIVYIRRRNVYDLHHAILGILFAALITGVLTDAIKDAVGRPRPDFFWRCFPDGKAVYDKITTGVICHGDPSVIKEGYKSFPSGHSSWSFAGLGFLSWYLAGKITAFDRRGHVAKLCVVFLPLLVAAMIAISRVDDYWHHWQDVFSGGVLGM >Dexi6A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:6A:2854757:2857230:-1 gene:Dexi6A01G0003190 transcript:Dexi6A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPAPANGGAPGDDWFIDCGILDDLPAAACGAFPVDVGSYVNTSDVFKEPDSNKRLRSGSSGRPTSKACREKIRRDKLNDRFLELGSTLEPGKPVKADKAAILSDATRMVIQLRSETQQLKDTNGSLEEKIKELKAEKDELRDERQKLKLEKESLEHQMKLMTSTPAYMPHPTLMPAPFPQAPLAPFHPQGQVAGQKLMMPFVSYPGYPMWQFMPPSEVDTSKDSEACPPVA >Dexi2B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:2B:27147418:27152084:1 gene:Dexi2B01G0016830 transcript:Dexi2B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDGATDSDHLVGEEPGSPAQPPRDEWALAAAVLEPVRWVRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQQVQPATVQFLSVFFFVPSVLKPLWGVMTDVFPVHGYRRRPYFLFSATIVTVAAGLPLSSAVLCFMGISTAVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMAIPPATVVFLGFFIYEMKTYQHNAKEKEFVGLVHAIGAVASMVGVLIYHKCLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLSLGVPDAAFVTLEECVSRVVGRVRLMPMMVLSTKLCPPGVEGTFFALLMCIDSLGMLAAKAGGAAVLRALHVTRTDFSRLWLAVLLRNVLRLATLGAIFLVPTADQTDVLVPRELLASSPTAVDNDEEERLQLCMLTSHTDDV >Dexi2B01G0010580.1:cds pep primary_assembly:Fonio_CM05836:2B:12013337:12013853:-1 gene:Dexi2B01G0010580 transcript:Dexi2B01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERTWLGKKIHLYNVTMGLYMLDWWEQCLFTLVCASLTVADLLMLTLLWFIGFNGSRFATDIYER >Dexi6B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:6B:22394116:22395080:1 gene:Dexi6B01G0014940 transcript:Dexi6B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANASTTTTTPLTMKLLVDTSPARRPRVVFAEAGKDTDTVDFLFSLLAVPAGTAVRLLGKDDDHDQSSMPGSMGNLYTSAEKLAGGPYAHPGAAKDAILCAAMPSPAAVAGAPNSCLFRLPAPAAAPKKFFYCTSYSYANCRGYVTEVSGTRCPNCNSQMMTEAKIVGSSTPAADSAVGFVHGGMATYTVTDSLVISPMSNVSTIALLNACSVRDFGSLQERVVQIGHKEGLEILRASLQSKTVLTDVFLGKNNPKPTTMSNGRGTNTSSSNGRRPESLAWRA >Dexi9B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:9B:9085794:9086807:-1 gene:Dexi9B01G0013510 transcript:Dexi9B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACIDAPAAAGVARHHAAPQPTRKRMRVAMGTTDDYEEEEGGFLGEGGFGDVVRARHRATGQPVAIKRLRAGDDQTALLRESLFLKAASAGNPFVVGSHGLARDPSTLELCLVMECGGTSLDDALRVAPLQSEATVRAAMWQLLTGAKKMHDAHIMHRDIKPENILVGDDDQVLRFCDFGLAVYMAEPPPYSQAGTLGYMAPEVLLGKTDYDALVDTWSLGCVMAELINGGSPLFEGVDCPHQLCDIFKLLGVPDEKAWPWFASTPFANKMAGADKHSHLREMFPEETLSKAGFEVLSGLLTPNPDKRLTAAAALRHPWFSGVVASAAQSGSGPL >Dexi3A01G0036090.1:cds pep primary_assembly:Fonio_CM05836:3A:41494883:41500676:-1 gene:Dexi3A01G0036090 transcript:Dexi3A01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFARKNLQSAAKRNRKIRNQINYRRPRRGKPPSPAACSFGRPATNDATDALINGLEFSEDDAEIDAGLSDSDGYLSEDPGCPYYSDSEDGDTVKDCIMQDGLDRQNDDINIDIKKQKKKLKKLLDKDPEFANFLEKWQTELKNYRSKEDSDEDGMDSMDDDDVSNDGNSPNTKMLTSKTISEWCLLVSKEPKSPALRNLLNAFRDACQYGVHSDSPSMQRFQSTRVFYQIITFVLTESDNIFRALLEISDDANKGQIMNLRNSKKWQTVDPLLKSYLRNSLELLSQLTDNKILAFVLTRLRASAVLFSAYPSTSSRLLKILFRLWASGNQSLSLSAFLMIREVASLLPDSLGLCLTKAYNTYLAGTKLVNDRNTKHIDFLMNCLVELYSLDVQKSCERVVTSMVQLNSILRQASKTREKEDLRKIDNWQYINCVNLWVRFICCNYKDYNLNPLFSQVLQVIRGVAHLFPGSRYLPLRLKLAQMLNELSACSQMFFPIPSLLFDCLEIREVSQKEETQKTKVNFSSMLKVPKNLLKSRYFQEECVLSAIQVLSAHFAQWSYHVSFPEIATIPLMLLKRLHEQTTIEVLHRPIKRLIDQVNENKEFIERKREVVSFSPNDKASVDSFLQEEKNSGNASFKRFYSSIAENHQAKGKMVKPIPKTQHYRQLLISKGVTPLCDTF >Dexi8A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:8A:29147180:29147967:-1 gene:Dexi8A01G0017450 transcript:Dexi8A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGMAARQRTSVQEKWHSGQVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNGGNFRSAMEQAKKMQAYCELKTECRRQALLEHFGEQYSRPKCRDGPSPCDNCLDIVWEQYIICDAW >Dexi9A01G0038680.1:cds pep primary_assembly:Fonio_CM05836:9A:42839603:42842065:-1 gene:Dexi9A01G0038680 transcript:Dexi9A01G0038680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSPPSPPPPLPPGAASPAEEPRGGGRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRSGAYRGIAHCGTTVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAHGRLASGFGAGVIEALLIVVKIRLQQQKGLSPDLLRYKGPVHCAKTIVREEGLFGLWSGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGLVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYTGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERTYLQPAHV >Dexi6B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:6B:4171713:4173295:1 gene:Dexi6B01G0004890 transcript:Dexi6B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAHHLPMLPHCLAPMRHSSNGGSSSCSPPLHQASRCSVTGRPPAQLQAAPARRGERAAGLTARVAFNPSGNFDLSLSMEQDEETPQVQPPPPPTEGRLEIVINKDTIRALDLSPVREALGDLDSLKAADSKNLLDRTVGFTINYEREDEYDTRELSEFPDIRLWFVRLDAAYPWFPVVLDWRAGELARYAAMLVPHQMSMRLGVVFNPEALELFVMKKVFAVEAWLKQQNHPKPRLKTADMARMLGYGIGDELFDLIDKYPIPPS >Dexi5B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:5B:21770025:21770295:-1 gene:Dexi5B01G0019470 transcript:Dexi5B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAQLRAQAARAAELASKHGGSYYKEVMEKNKQYVVQPPTVEKCQELSKQLFYTRLASVT >Dexi3A01G0025200.1:cds pep primary_assembly:Fonio_CM05836:3A:20871510:20873679:1 gene:Dexi3A01G0025200 transcript:Dexi3A01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMGNTGNQSDKDHDFQMAFLISFLSLFFPRVAVVTGGNRGLGLEVCKQLASNGITVVLTARDQKRGAEAVSILGKLGLSNIVFHQLDVSNRSSDAVRLADFIKEKFGKLDILVNNAAISGMISEIGNSETFQQEFFSGQELKQELNNIDNLSEHRLDELSELFLKDFKDGQLEGRGWPTEGGYIAYKVSKAIMNAYSRILAKEHPSLCINCVHPGFVQTDMSFQVGDLTVEEGARGALMMALAPKGGMTGGFLNRTEAAPFV >Dexi1B01G0002910.1:cds pep primary_assembly:Fonio_CM05836:1B:2409084:2409489:1 gene:Dexi1B01G0002910 transcript:Dexi1B01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEVIRHKSSRLFLSTSPANNRSSFHTEVDCQMADNAGGAYGGDKLTLIFCAMKCHCGHNHYDDVCFCCQLSNDGSGGPVCYDKLVDCQANCPLCNPKCPPAPSLVIMLC >Dexi7A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:7A:13780277:13781995:-1 gene:Dexi7A01G0003700 transcript:Dexi7A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPYIMKMFSVVHRGTTQLEGHMVDSGQRLVDRMPINPKLAVFESTQERFEIHIRPGMRSSYVAAGGPPSSSKRPSDPSVVRKRNASYDPTGHIMEEGCGRGRKKVASRKTNESVHPTVDGVVRKKKRSFHRYSKDNNASGNSRQLTDYFGTSEGMEVPPLPKRCAKPSQSFNIRCNHSVPRMRDLLSRPLAHLSGPPLEALLEVFDQFDLTLSETSTAIQASINNIVRAPHQLAEKFRTVIDLVAAQTSVDPNIIGESSRMHSCGDEEDFVDAPTGLDHAPSATRAP >Dexi9B01G0039980.1:cds pep primary_assembly:Fonio_CM05836:9B:40641658:40645514:1 gene:Dexi9B01G0039980 transcript:Dexi9B01G0039980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNRKTSKHTSRVKKLLKLALSRLTIARRPRLARKSILLSDIGQLLILGHFDRAIEQVEHVIEEDNMLLALDIIELYCKRLIEHVAKLDKPKESSDDIREAVAGIMFAARWCGDLPELLVAHDLLADKFGSDFTTNAKEGTRIVDPMLVWKLSGGKTNMELKKKTEHVIEEDSILEAFNTIELYCNCLIENAKQLDKPHECGDDTREAAAGIMFAAGWCGDLPELLFARNILENKFEGDFSMMAKEGTGIVDPTLVWKFSGNKRNMELKKKVVKEIAAENNIQLNFSMFPEVGEQDGCNNIPHHQELNHKAIYQIDMDGSSESDSDHSSSHNENSCDISDSDGSKNAQLKDKKPTTSVRTRR >Dexi4A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:4A:6115395:6116525:-1 gene:Dexi4A01G0008160 transcript:Dexi4A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEAEKQAIPLMTPYKMGQFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLLVAEATGVSATAQGSTSRRGSPSSTPSTARAPSSSARFGMSAGFLETPNGEAPISSTDKQTSPAAVSKTEYSKPRRLRTEEIPGIVDDFRRAARNAIEVGFDGVEIHGAHGYLLEQFMKDSANDRDDEYGGSLENRCRFAVEVVDAIVDEVGANRVGIRLSPFLDYKDCVDSDPVALGSYMIQQLNKHQGFLYCHMVEPRMAIVDGRREIPHRLLPFREAFNGTFIAAGGYDREEGDKVVQKAILISSHMGDSSWLTRTCLGGLSWERH >Dexi9B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:9B:9446443:9448694:-1 gene:Dexi9B01G0014150 transcript:Dexi9B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEGAAAMAAGMDFALPDEVLAALPRDPYEQLDLARRITALAVAGRVSGLEREAGRLRADAAEKDRENAELRERVGLLDTALQETNARLRAALEDNIKLSKERDSLAQTTKKLARDLQKVPSFDLASFLCDGVLIYLLYSQLESFKRHLMQSLRDDNSSVCSSPQETVDITTCDQSSVASKASSCGGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMP >Dexi3A01G0009540.1:cds pep primary_assembly:Fonio_CM05836:3A:6676129:6679088:1 gene:Dexi3A01G0009540 transcript:Dexi3A01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSIRIALIVAFFGVLAFLLGVIAENKKPAAGTPIQGKDVVICKFPSDPTLAMGSLSLVALVVAAIVGHVAIFFPYSGKSVPRGALFQSTSLTVFFVVAELVSGLAIAMLLWATITEGLHRSNTIHHDMNYQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARADYLDEDDNKGEYGQVYAAEVDGSKV >Dexi8B01G0016160.1:cds pep primary_assembly:Fonio_CM05836:8B:27168187:27168509:1 gene:Dexi8B01G0016160 transcript:Dexi8B01G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKKGTGGALMFIAFVVAMAMVISSCHAADYCHAIFPCSDETCTSYCQKNNYKNFQTYCTSGQYYPNCCCRVPDA >Dexi5B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:5B:981448:983460:1 gene:Dexi5B01G0001540 transcript:Dexi5B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPAAKPSSLKAELAAKCSRISELEDRVSLLEAENARLRKALARRRGLASARGSGRLAAGLRGSNRKDAVKPGGSAACDVLDFGGDGEDCVELVDAHSDDKGPNPEEGAVAVEGEEDEADLGEEGGEGGGGSDSQEHCCAGLEDDDVSATPGGTNRRAAARVVTSDSEDEDHGELGSANKDDVDGHEGGGVTASRKRGLCAISGSDDENVTEVVPVVASNAASRVSAPQIESGDDDDDDMVPICQVLKKMRKEREDDADDGSPEARGCSAPTTRRSARLLRNQLKGELASRQVNNFVEPKEYEDSEDDMDVDADMDGFINDDDSSENASDQSDASDTPVLNEESSEGPEESDIVADYTSVMARIGRKKKAEDWKFEGDMLAAFAEHPELRLKAVCALYRKQTQEEQLEKAALIHNGEGFNHIDARRGSHIAEFLLDGDRDGPLKKTISDLEEYDPYALGFCHKVAKNYSKQLFAIYQNKEDPDFHP >Dexi9B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:9B:3081791:3082032:-1 gene:Dexi9B01G0005220 transcript:Dexi9B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHHPYLPVTGLANNQVEAPLVYLQSMIQGQLLIDSQSRITRDRNRIRDNRLPKSTVSKLKVLMEESSA >Dexi3A01G0029090.1:cds pep primary_assembly:Fonio_CM05836:3A:30435131:30442306:-1 gene:Dexi3A01G0029090 transcript:Dexi3A01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSGGRGQPRRRYGAVHMGAPAQTLLHGRIWHWLIGHGKGRRSRERTRTRAGLHAGLGTGLRGWLRAGLGASLRGWMRAGLRGGGDSGLRSCGQRRSKGRGRREGREGRWLRGLVASHGAAVAGSKVGHWVSLNQPVELVQGLNKLTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGFPNGNIDLTKSLWTYQIGLKGEFLKIYSPENQGCAKWSSMQNDDTQTPFTWFKTMFDAPEGNDPVAIGLGSMGKGQAWVNGHLIGRYWSLVAPESGCPSCNYAGAYSDSKCQSNCGMPTQSWY >Dexi2B01G0030810.1:cds pep primary_assembly:Fonio_CM05836:2B:39059047:39061032:-1 gene:Dexi2B01G0030810 transcript:Dexi2B01G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAEVSTTNGAAAAALRVPASPAPAPAPAPVKEGDAEKLRFIEEMTSDVDAVQERVLAEILARNGGTEYLAKCGLAPGATDRATFRTKVPMATYEDLQPYIRRIADGDRSPILSGHPVSEFLTSSGTSAGERKLMPTIEDELNRRQLLYSLQMPVMNLYVPGMDKGKALHFLFVKSETKTPGGLAARPVLTSYYKSSHFKNRPFDAYNNYTSPTAAILCADAFQSMYAQMLCGLCQRHDVLRVGAVFASGLLRAIRFLQLNWEQLAADIDSGELTTRVTDPSVREAVADILRPDPDLAAAIRAECSSGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTLMPNMCYFEFLPMDAAASGAGDASQLVDLARVELGKEYELVITTYAGLNRYRVGDVLQVTGFHNTAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGATVVEYTSQAYTKSIPGHYVIYWELLAKSPGGAGGAVDKETLEQCCLEMEEALNSVYRQSRVADGSIGPLEIRVVLPGTFEELMDYAISRGASINQYKVPRCVTFPPIIELLDSRVVSSNFSPALPHWTPGQRNDD >Dexi9A01G0027140.1:cds pep primary_assembly:Fonio_CM05836:9A:31577911:31584877:1 gene:Dexi9A01G0027140 transcript:Dexi9A01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHITSTRMEGSIKSSFLHFHDCFVQGCDGSLLLDGPSSEKGAVPNNSSARGFPVVDDVKAALEDACPGVVSCADILALAAEISVELSGGPKWGVLLGRLDGKTSDINAANNLPAPFDNITELKSKFNAVGLNIVDLVALSGAHTFGRVQCKNVADPPADRLYNFSRTNGPDPTLELSYRAFLSRRCPRNGNGMVLNDLDPTTPDAFDENYYSNLEVNRGFLISDQELKSSPQAQGTTAPLVDQFARSQDVFFKSFAQSMINMGNIQPVTDPDKGEVRCNSRQTAVACSQVTPHQPIKMASSTPHAAAGVLVLLVAAAVCLHGATAQLCEDYYADTCPDAYDIVKQVLIDAHKSDVRIYASLTRLHFHDCFVQGCDGSLLLDGVPGVINSEKGAPANNNSARGFPVVDKVKAALEEACPGVVSCADILALAAEISVELSGGPKWGVLLGRLDSKTANFASASNLPSPFDNLTILEKKFRDVGLHNIDLVTLSGAHTFGRAQCRFVTARLYNFSGTNRPDPTLNSGYRAFLTQRCPQNGNASALNDLDPTTPNIFDKNYYTNLAVNRGFLPSDQELKSSPQAQGVTAPIVDRFATSQDAFFKSFAQSMINMGNIQPLTDPSKGEIRCNCRKVNDS >Dexi1B01G0001040.1:cds pep primary_assembly:Fonio_CM05836:1B:869349:869660:-1 gene:Dexi1B01G0001040 transcript:Dexi1B01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Dexi9A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:9A:14947312:14948453:1 gene:Dexi9A01G0019930 transcript:Dexi9A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGRIELDKLSVEQLKGLKEQTDLEVNLLQDSLTKIRTATTRLESASAALHDLSLRPHGKKMLVPLTASLYVPGSLDDAENVLVDVGTGYFIEV >Dexi9B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:9B:5761896:5763095:1 gene:Dexi9B01G0009340 transcript:Dexi9B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSPDNSSASSRDHHSSANLADRKANSSSLSMKTPMHEVEAEEGVVDVEGVGVEEAMAGMVDTTKVVTIRVVGIMIIKVGMAAMTIKVGMVVDMATTKADMETTKKMVGIAEDEVVVCVEEATGVTVEAMMEAEAEAMKVAGAEAMKEAGVEAMKEAGQGRGGGYDGGRGGGYEGGRGGGYEGGRGGGGRGYGGRGRGRMGGRGRGN >Dexi4B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:4B:1560861:1564777:-1 gene:Dexi4B01G0002450 transcript:Dexi4B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLFSLLNIKADLSILQEFPSVRYRAPKGDASTTTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGTKYTYEVSKAGSEPEQKEAVLEDHDPLWLELRHIHIADASERLYEKMNNFVSKNKAAQLHSRDGGEISTRDLQKIVQALPQYSDQIAGKINRFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQVFHKIVSVLAKLPRDDMDVIKCLRYLEGSDTKKSSRSGTFSLKFDAAKELIEKLGKGELPLKEYPSMSEPSSAPKGATQTAQTAAPPAQNPQPMSMRSRRTPTWAKSRNSGDSQSSDSSVLRHSSGDFKRLGNRIFVFMIGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFIS >Dexi5A01G0020850.1:cds pep primary_assembly:Fonio_CM05836:5A:24730804:24731362:1 gene:Dexi5A01G0020850 transcript:Dexi5A01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGDHHGFYNHGHLARGDGAEYVFGSNTDMLESFFFNHPPASGGGGSRAGGGADELMPPYSSSITDYLQGFLDPSGLARHLDAPTVKHELSLDVMSHDSQGTSGGAAGEGAAAQLTPNSSVSLSSSDREGEGHGQPRRCKKKAEDADVAAVEGDEKDQEDGENSTKALC >Dexi2A01G0001670.1:cds pep primary_assembly:Fonio_CM05836:2A:1138765:1138978:1 gene:Dexi2A01G0001670 transcript:Dexi2A01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPTVEEKGLQDLQRLLLRTDVIVKEAEGGLITNRAMVHQLNIMRKEMYRGAKPAMPGVKM >Dexi7A01G0010490.1:cds pep primary_assembly:Fonio_CM05836:7A:21275761:21281902:1 gene:Dexi7A01G0010490 transcript:Dexi7A01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSDGSSPSSRRSSFNSLSRDLDLSSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRERIRGHMCSVYTGISKELRKKIFQNFCNYVVHTASHPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGISFTHMTTSLPTRVLKNMKAEVRVQFKDVPGDIYKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDDGKLKAVPYQPGSRGPKEADELSARVGYVQTHGYVWIPPTLA >Dexi9A01G0026690.1:cds pep primary_assembly:Fonio_CM05836:9A:30055183:30089285:-1 gene:Dexi9A01G0026690 transcript:Dexi9A01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRRGGGPERAADNWERLVRAALKRDRDHLRAGGTAGGLGLAAAVPASLGRTTNIEQILQAADDIEDEDPNVARILCEQAYTLAQNLDPSSEGRGMLQFKTGLQSVIKQKLAKKDGAPIDRQNDIQVLWNFYLDYKSRRRVDDMQREQERLRESGTFSTELLEMLNFYFIPGNKTCVCYIAYVCSILFATIRMGARAMEMKKVYVTLRALLDVLEILVGQSPTDRLHRQILEEIKKIKRSDAALRGELMPYNIVPLDAPSSVANIIGFFPEVRAATAAIQNCEDLPRFPSDAPLRQKDIFDLLQFVFGFQEDNIRNQRENVVLTLANAQSRLGLLVGTEPKIDERAVTEVFCKVLDNYINWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCITSDRSTSYLERIIKPIYETMAAEANNNNGGTAAHSAWRNYDDFNEYFWSRSCFQLVTDDFFVRFLPFMPYFQTGKTNFVEHRTFLHLYRSFHRLWIFLLLMFQGLSIIAFHHGKIDIDTIKILLSAGPAFFILNFVECCLDVMLMFGAYKTAKGFAISRLVIRFFWLSAVSTFVTYLYVKVLEEKNAKNSDSTYFRIYGLVLGGYAAVRFVFALMAKIPACHRLSNFSDRSQFFQFFKWIYQERYYVGRGLYESISNYARYVIFWVVILACKFTFAYFLQIRPLVEPTNIIVQLHDLTYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKNLSPQRLLALFSKFVSFLLIIPSRPDAQDSEGITKTHASIFSPFWNEIIKSLREEDYISNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCNDSQYELWYRISKDEYMAYAVKECYYSTERILHSLVDAEGQRWVERLFRDLNESIAQGSLLVTIHLRKLQLVLTRLTGLTGLLIRNETAGLAAGVTKALLELFEVITHEFLATNLREQFDTWQLLLRAKNEGRLFSKIFWPNDPEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPEAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEDDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGNPAAVYIDTQGYELSPDARAQADIKFTYVVSCQIYGQQKQMKKQEAADIALLLQRNEALRVAFIHEEDSISSDGKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIVFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNVRGNHGIRDPTILGVREHVFTGSVSSLASFMSKQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGGCFFFILSSLSMNGSAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMVLPPSSLSCFLYIWMTVLTVYIFLYGRVYLALSGLDYSISRQARFLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKATVEDFDDWTNWLLYKGGVGVKGDNSWESWWDGEQVYGFSWIVLLVMVLLFKLFTATPKKSTALPTFIRFLQGILALGIIAGIALLIVFTRFTIADLFASALAFIATGWCVLCLAITWKRIVKTLGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQQT >Dexi1B01G0000670.1:cds pep primary_assembly:Fonio_CM05836:1B:596940:598223:-1 gene:Dexi1B01G0000670 transcript:Dexi1B01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPPPPSGTGFFGMLSFRRSATAVASFDPAQDDELLALDALQAHVADRLSALSAHAAAAAAASTSSALSLPFLAKLLDAVLSSDAAFRAVLAVAPVAAAISRPPSDRLASDLLDRSVKTLDVLNAASLTLASLRAAHRAALAAASCLLAPSLHRAHLARARRAIARLLPDDARVATAGGGGGGCAPSPSSRTMRALSFSVSKNWSAGRHMNAMAAHLAPPPTQATAAVAGAGSGLGLALYTMSSVLVFAMWALVAAVPCQDRASAAVSPPVAPPKQAQWAAPMSALQDRIAEEWRRREKKGSFSGSSAPTAGLLAEMQAVERAARDLNSLLEEIAEEQEEEEGHGIVGEDRAREVTERAEELAAACRALEEGLAPLERQVRAVFHRVVACRAEVVRCIDHSTRAATANPASSASGVPPQHQHSF >Dexi2A01G0034050.1:cds pep primary_assembly:Fonio_CM05836:2A:44155887:44157139:-1 gene:Dexi2A01G0034050 transcript:Dexi2A01G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKEGVKKGPWTPEEDLILVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQSGADGAASKPPAHRPASSSKGQWERRLQTDINMARRALREALTPLGDLKPPQPQHDAVAGATIAGGEDSPAASSSSGASLCSPSAATAPGPYVLTTENISRMLDGWAGSSSRKGRRGGAGPGTPGGESASTGSSDASEVSYGGATAVAAPGSSMSEYERKPAVVAAQQQQMPLSAIESWLFDDDSHFHQVQSASMLDVAPMDYPF >Dexi9A01G0042720.1:cds pep primary_assembly:Fonio_CM05836:9A:46242897:46244907:1 gene:Dexi9A01G0042720 transcript:Dexi9A01G0042720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRDGVLPHRKCATEEMARQVEIPPSPTVTSEEFLQFKRKATTIVEEYFSTDDVAATASELRDLRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVVDRPQLYKGFCKLTESCDDLSVDTPDAVDILAVFVARAIVDMMLPPAFLAKQGACLPDGCKGGEVLRRAEKSYLSVPHHGEMVLQRWGGSKRITVEEAKAKISDILDEYLAAGDRGEALRCIRDLKIPFFHHDVVKRALVLAVERGGAAEGHIMDLLKSASEEGVINESQITKGFDRLIDSVDDLALDVPNARCLLRSVIHMASSEGWLCASCLKPFPPEPKKAGEVDDAEVRQFKAKAVLIVKEYFLTGDVMDVASRLEAENNSCCPSLNAIFVKKLVNAAMDRKTHDKEMASVLLSSLCMPPEDIVAGFHLLIEAAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAMEEDACCVKAADGSTGMLALRNARALLGAKLSAERILRCWGGDGSGKAGWELDEVKDRIGKLLQEYDCGGDVREACRCIKDLGMPFFHHEVVKKALVAIIEKRGKDERLWGLLGECYGRGLITPNQMTKGFDRVADCVEDLALDVPDAGKQLRGCVERAKKEGWLDASFSMARPGQPAVVNGVCS >Dexi9A01G0029630.1:cds pep primary_assembly:Fonio_CM05836:9A:34542417:34544383:-1 gene:Dexi9A01G0029630 transcript:Dexi9A01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEKASPEWRPMNLSWGAIWRMDTPKPLKGPFSIRLTSESGKRLVATDVIPEDWKANTVYESGIQLWDPSPTSWPWRPSWRRWSSAARAGPPKVPPGPNITASYNGKWLPARATWYGQPNGAGPDDNGGACGIKDVNKWPYSGMTACGNVPIFKDGKGCGSCYEVRCKAPECSGNPVTVFITDMNYEPIAPYHFDLSGKAFGSLAKPGLNDKLRHCGIIDMEFRRVRCKYAAGTKIVFHVEKSCNPNYLALLVKFVSDDGDIVQMELKDKASPEWKPMKLSWGAIWRMDTPKALKGPFSIRLTSESGKKLVATDVIPANWKPDTVYISNVQF >Dexi7B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:7B:25595339:25598941:1 gene:Dexi7B01G0020180 transcript:Dexi7B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGFDSDDDDPAPPAGSGPREQPGGYAAPDAPSFSLGFDFDADTDEEPRAPAGGRREEQARVSAAPDPPSFSLEFDDDTDEEPRAPAGGRREEQARVSAAPDPPSFSLEFDDDDGGALAGLQRHEQAQPQVAPGAPPSAGAEDEEDDFVLAGGGEPPPQETHRFKRLRKGPAPPNPPQARRCEAPDAPSFSLDISDDDDDEFLAGDQHHPRPPPGAHTPSALSFEDGALGTIGTGSLEDEIEDWTTDEDRPVRDVPASVGSCSTSRNPKFSLLNRGVLMTQSTTKTKAPKVTETSNSSASKSLEESCTKKLLPKITISPMRKIHLLDSDSDADDDQNKNKAKKPVSPIKRRQESMHKYMQEKPILQQNSKPQGSTTVQSEDMMNDNWATPALDEFCNEYYKSTKDAGSSQQKESNSFSCSKGFQSKYSIGDMEGHFQQQNTSGGAVLDGSLDARPPAMHYFFHHDPRVHDLVRDRLQYFFPIGVGGTRENEQNRGESFSYRREFTSSTAANNDWVTPNSRISVSTDVGKRRIHASGSQSGSGHWFTSDSGKKVYVSKNGQELSGRDAYRQYQKKVMVVWHQENMFPFYCMLVTPSQCALQESGRGYGRYKKKGSSGTKRGAAKVKVEKVAKHGTSRAKRKR >Dexi7B01G0001360.1:cds pep primary_assembly:Fonio_CM05836:7B:2297674:2306711:-1 gene:Dexi7B01G0001360 transcript:Dexi7B01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVIVSVDDRGLHRSSTMPGVINNDEITNETVSPSNLERSRTERRRQNNAADDPTKQLFDERIPIKKKLKMLNRIATIKNDGTVVVDVPSGLEPATAGGTEDAYTEVPVDESLDGTDIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFREFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDADPDTGIPFKVDAIIANPPAYGQYIVFILIRHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRVNWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGEKPIYVGFGSLVRQLTWLHF >Dexi7A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:7A:25274706:25275897:-1 gene:Dexi7A01G0015380 transcript:Dexi7A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPALLAHLLLLCAHGDTASAAATPPPLPILPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGHADPSVFAPSALDAGQWARVAARAGFGRVVLTAKHHDGFCLWPSALTNYSVAASPWRFGAGDVVAELAAAARAEGIGLGLYLSPWDRHEPVYGDTIAYNEHYMGQMTELLTRYGDVEEVWLDGAKGDTKKMDYLFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSTFNKSAVTIGHTTAE >Dexi9B01G0040300.1:cds pep primary_assembly:Fonio_CM05836:9B:40876224:40877900:1 gene:Dexi9B01G0040300 transcript:Dexi9B01G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAPSRFSVGASATAQLPRHRRCGSFRHNYSCGLAIARVRRRAILASCVGYGRAPLVPASDHWGNWTFLLSTAALGIWSEKRTPVGKALSGALVSTLLGLATSSAGLVASDAPAYRVVLDYLLPLAIPLLLFRADLRRVLRSTGALLLAFLIGSAATTVGTVVAFLLVPMRSLGPDNWKIAAALMSRHIGGAVNYVAVSEALEVSPSVLAAGLAADNIICALYFTTLFAIAAKIPAEEGPDPDTKQPAVVAAGDDGDSNSNSEQLPVLQSAVAMAVAFAICKAGKLATAALGLQGGSLPCITAIVVALATLFPTHVGKLAPSGEAMAVILMQVFFAVVGANGSIANVLNTTPSIFAFAFVQIAVHLLLTLGVGRLLGIDRKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGILGIAIATFMGIAFGVFVLKYM >Dexi5A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:5A:5959102:5968972:1 gene:Dexi5A01G0008020 transcript:Dexi5A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMAISPAGVSFGHDDGESAVSAEGCGLPVVRVRRRGIMHGALAPSTVGRAKRRPATLSGPALEKEIPGAPHAQWAYSTTATVVHGPGGRARWSPRSDGMEGGTGKARAKEFDAAGDGGAVQGASIPCAAGALQRQPLQGSQYQKLRQGARGQRVAERSGWSPVVGTGGLGYRSQPRWGRDDAAPVQCRCRCQGSRAQPAAPARPASQRRPPPGRKPTQTHHLLSPLRVVVLVVVLVVFVSLRPVYMYNRIATAMTTTTASNPPLPRTASAPAPARLPRPTRRPIPRGMDMAFPVANATAAVLTRVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLTRKSYKPPKKPLTEKEIDELCNEWQPEPLCPPIKEGARIDTPMLESAAGPHTIVDGKEVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEEYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPTVLSTLRSNIALLHKELSDTPGLEISSHVLSPIIFLKLKKSTGSPTTDLDLLETIAERVLKEDSVFIVTSKKSNLDRCKLPTGIRLFVSAGHTKSDIFTLSKSLKRVSASVLAD >Dexi4A01G0009950.1:cds pep primary_assembly:Fonio_CM05836:4A:7889264:7894624:-1 gene:Dexi4A01G0009950 transcript:Dexi4A01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGGGGGRSGALLPTTSKPKAHHHLRSKSSLSSPASSRRRGGAHGASSPPYSRRALCLAAAAFAALFVLAFLRLGFPSSRSSSAAASSHARPRPRLTRRPAFRHRDSAAAEAAAAAVAARIGREAPVDITTRDLYDRIQFLDVDGGAWKQGWEVKYRGDEWDGEKLKVFVAPHSHNDPGWIRTVEEYYDRQSRHILDTIVESLSKDSRRKFIWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAMKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETDPNNVEDRATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSVEEAEVQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYSRPGELGSSELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILGSFVLGYCQKFQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVADYGTRMHTSLQDLQLFMSRAVEVLLGDFHDRSDPTLLSHFEPVQERSKYDVQPVHRVLDLHEGKAHSVVFFNPLEQTRDEIVMVVVSSPDVSVLNSNGSCLPSQVSPEWWFVSDENISTGRHRLYWRASVPALGLETYYVVTRQDCEKAVPAVVKTFTASQQFPCPEPYVCSKLEGKTVEMKNSYYTLSFDASHGLLQTVTHHKDGQQTAISEEIGMYRSHGSGAYLFKPIGDARSIVEEGGHFILTEGPLVQEAHSLPKTEWHKSPVSHSTRIYDCGDSIQDMLIEKEYHVDLAGHTFNDKELIVRYKTDIDNQRIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRLSVHSKQSLGAASLKNGWLEIMLDRRLVHDDGRGLGQGVMDNRPMNVIFHLLRESNVSALPKTHSSLTLQPSLLSHRVGAHLNYPMHAFMSKKPHEKSFKLPQQSFTPLTTSLPCDVHIVNLKVPQPLRFPHAEAADARFAILLQRRGWDTSYCKRGGLQCTTVGEEPVNLFYMFKDLSAVNVRATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQLQSSQEE >Dexi7A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:7A:25512159:25516107:1 gene:Dexi7A01G0015660 transcript:Dexi7A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPPTGIPREAWEGCSVLLDINDGDRLAFSRLTPAATVKIGNRTCSLKPLVGRPFGTLFRVGADGLVPCTTADAPSRDDSMQDGVDGQVQDETRDNRSLVDNNTAQNLSSDDIETMKRDGATGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPSRIGFMRVDTLSLLLSMANIGAYSDVLVVDMVGGLIAGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLSSDTVSRIVQVPLSDLCSMESSENTPSVLNGAAEREVVEPEDAQASLAQAVDVAVSDEKAQLSTEQPTDMEVSEPSLEVQDANSSLDCRGGDGSFASKSKPGKRPSPEKMKYWKEHGFSSVIVAAPDHEVESLVADLLPLLSYSAPFAIYHQHLESLCSL >Dexi2A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:2A:33250068:33251592:-1 gene:Dexi2A01G0021100 transcript:Dexi2A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPARESGSSSAGESLRNSCNDFARTLARLPASIMEGLSRSIPRRRSHHPVPHHLQPPPFLPPPPPFVPEELFFFSVFEQQYGDHHPFFYGCRFADALRNARRDGKLVFVYLHDPDHPYTEPFCRRTLCSDVVVEFLDANFVSWGAVTGIGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSPSELVEILQRTIEEQGAAFRASRPDELAAAAIRSVRTAEEEERRRSAQRLRQEQDAAYLESLRRDQEKERSRKSLQEGAAKPRAGNQLRPRHPGQAAREPTKTTHVRASPQKETPASQRTEPNTKIMIRFPNGERRQQNFRHTDTIREIYRFVDSLGIPGIGSYQLVRSYPRKTYGHQQQGMTLGDAGFYPSVTLYIEQLQ >Dexi1B01G0027860.1:cds pep primary_assembly:Fonio_CM05836:1B:32501900:32503400:-1 gene:Dexi1B01G0027860 transcript:Dexi1B01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSSRAGGGGASAAGRYPFTASQWQELEHQALIYKCLASGKPIPSYLMPPLRRILDSALATSPSLAFPPQPSLGWGCFGMGFGRKPDEDPEPGRCRRTDGKKWRCSKEAYTDSKYCEKHMHRGKNRSRKPVEMSLATPAPASSITASSATSSVTTTTTTSSPAPTYHRPATNDASPYHHHSLYGGGGSPYAAAARPVAGAYHAAAQQVMSPFHLHLDTTHPHPPPSSYYSTMDQRDYAYGHAGAREVVGEHAFFSDAGATNDRDHRHTTGGQWQFKNLGMEPKHTATSMFSVGGYGNGGAAYAVDVSKEDEEEERRRQQQQHCFVLGADLRLERPSGRDVAPEQKPLRPFFDEWPHEKANKGGSWMGLDGETQLSMAIPMGPNDLPITSRYRNGAY >Dexi9A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:9A:12339865:12340991:-1 gene:Dexi9A01G0017310 transcript:Dexi9A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAGGGGGEPSRSASAIVANTSRGHHILRIYAYSRTTETPAGEYIKSLPFTAGGHRWCIRYYPSGDRPEAKDYISLYLYLHEDVSEAVKAQFMFRFIRDVGEQALTLGSLHSFDSYCGWGRTKFVKRQDLVASKHLRDDSFTVRCDVVVTSGLRAQEHPAEATIPAPVPMPSSDLHNHLGHLLETQLGVDVAFDVAGQTFAAHRCVLAARSSVFSAELYGVMMESDTGGVVRIDDMDARVFKALLYFIYTDLFPETAKEEGDEGQDEDVMCQHLLVAANRYNLERLKLLCEKRLCDYIDIGTVAVILALAEQHRCHELKKACLDFLRSRANVKAAVAVVS >Dexi2A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:2A:8595894:8596240:-1 gene:Dexi2A01G0008480 transcript:Dexi2A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTNTETRWSRVASSLPVRNVQDLATDSEGLTEETLKRYIRLDIQEDEVLAEHSGEVPVINLGKLFNPDFAEEESARLRFACEDWGFFQIR >Dexi7B01G0014910.1:cds pep primary_assembly:Fonio_CM05836:7B:20983281:20986798:1 gene:Dexi7B01G0014910 transcript:Dexi7B01G0014910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRTNPSFLHKDEPTDRYSSPLDDPLSSRPSPSDGAWAQPRRSIPLLRPRILVEPSPQPSAAAAPSSSLPAPTMASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLTRIPPKSITDKEMHKRQDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDITNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLVKYL >Dexi4B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:4B:2985551:2985964:-1 gene:Dexi4B01G0004150 transcript:Dexi4B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGGGGGGSSRPAAPPAEAAAGKKPHQVKVKHIVTREVTTDEASFKDVVQRLTGKDSAAARAAVVAAGAGATSWDDGGGAAATGVTTTTTSTPGVNSSGAVASHDYVAGASTMLPPSEEDTARWWWAGGGAEMRQ >Dexi4A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:4A:3937023:3938561:-1 gene:Dexi4A01G0005410 transcript:Dexi4A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDENDVDHETRRRRLLFERFMASCSPGSPYLFVEEKERAGPCVIYSMRMSIKKAEAFWSHRSSRRFFPERRGSFPILICRVVGPDDHIEPVMLAQSGAPGGEPPRPGVISGNFEERYYYIQLYRENGMLHKDGSPAPWKEVAVIRGMPGGRGITVTSHVKRLALRLHDFLFMLCGISATVDLRFSEKWFRLPAGWTEERLYAEDGSHIVDIGASVENLVKKLWDLRDEEEQEMEKRKKGRGGWMRRRLQEEEERRKIRERNEEKDRRRREEIAAMRPVHPPMPWDATLWSINEAHCVWYSKFKLSMDSNKKVSCHCVGKESLEDMLRRVDNFEHVLPISETAASSSAIETLGFVECDSVLEILGYGPKRDRLIDRSGRLVSSMVMVSRSVEKVLIFRVFVQKLDIVMDDGTVMSCCPGFAVNFHCDDISSSELLTLDWAYHILCKNIDSEGRTSFSTLLAHLKKKLQLELTEEELELYNMSVIDGEEENEDLAEPLRKMKEWIPPEPKPE >Dexi5B01G0021700.1:cds pep primary_assembly:Fonio_CM05836:5B:23948531:23949544:1 gene:Dexi5B01G0021700 transcript:Dexi5B01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQVPSIPLLLPARNGCASTRPRTAALSCKYNQSANAQGRTRFRNEITRRYTLLFMSSAMLATFLVASPAEARTSRLENKKKAMEKLEKLREKALGPKEKNGSTGKDMPPPANLLIPPAAVEAFL >Dexi5B01G0012120.1:cds pep primary_assembly:Fonio_CM05836:5B:8595098:8596525:-1 gene:Dexi5B01G0012120 transcript:Dexi5B01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGGRDVQVRVVSRRLVKASDTSIDPHVLHLSNLDLLMQNIRASTFCIYPKPSTGVVGFDFDAVVHAFDSGLPSFLNHFFPFAGRIATNPSSGIPEVHCHNQGAELVVGHAAGVALASLDYGTLGAAVRCVHLPYGDDVALSVHVVSFACGGFTVAWCTHHVLLDGKSLSSLVSAWSQLARSGTLAAGSLPCHDRAAVFRPRSPPTYSASLDDAFTPLDGKFQVNALTANHSFVERLYCIDASGLERLRAAASRDRGATRVQALSAYLWKALAGVVGEADTHCRMGWRVDGRSRIVSGDPERRAVVRSYVGNLTTYVVGEESVQEVMRMPLADVAAMVREAIDAPAYEERFQEVVDWVEEHKNQRYVEKAILGLWSPILSVTALASFRNDTDFGFGHAAMAVPTATATARLCSGYVQVFSRPGDDGTWFVNALVWPQLAAALEADEPRVLRAVTAAECLGLSSASQVQRCRL >Dexi2B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:2B:4982813:4983480:-1 gene:Dexi2B01G0005350 transcript:Dexi2B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLKALVVSQLLLAVSFVGSLQASEASSHGNEPQVYIVYMGHQLGPSWDFLGIPKNVRRSLPTEGDVIVGMLDTGVWPDSPSFSDDGFAPLPARWKGTCHNFTCNKYV >DexiUA01G0025210.1:cds pep primary_assembly:Fonio_CM05836:UA:53092608:53093912:1 gene:DexiUA01G0025210 transcript:DexiUA01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVYNPHTWLQECLSDCLYSFGEPFLTQWPISYMRKKALQQIAEFLKYEDDNSQYICIGAAQKALSMLCCWIENRNSDAFKHHLARVADFLWVGEDGMKVRVCVGQLWDVAFAVQAIVACNIAEEYKNTLKKAHDFIKASQIVDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKVQNLPSVLQLRYCCQNFHQTLQVIRWKPVAYRMQ >Dexi1B01G0001090.1:cds pep primary_assembly:Fonio_CM05836:1B:905191:908854:-1 gene:Dexi1B01G0001090 transcript:Dexi1B01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARGSAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTISDKDKLADKLESEEKEKVEEAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQRSGGAPGGGADGEGGVDDDDHDEL >Dexi2B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:2B:25439914:25445732:-1 gene:Dexi2B01G0015480 transcript:Dexi2B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKAIQSSSSDFHGSFDHDGRFTKIQRVEPRDDKRPPLTHRIPGSSTNFVDHPISSDSRLESKQNKDARDTKADDRETKADARDVYSDPRTEPQGNKVESDVKVDNRADESEIKAERRGHADYKGDIKFDKDSHPTASSNLGWKDNKEHRGKRYFEQASDNVDWRLPRPGLQGTDETPKGPTSVEERNSKDAHESTGENKTEPKSEDKFRDKDRKKKEEKHRDFSVRESDRNDRRTSIQIGGSGVERREMQREDRDSEKWDRERKDSLRDKEVNDREKDSSKKDSSVVIEKDNTILEKASSDGAVKSAEQENTTTESKVLKDDAWKAHDRDPKDKKREKDVDIGDRQEQRSKYNDKESDDNGTEGDIEKDKDAFGSVQRRRMVRPRGGSQASQREPRFRSRMRDGEGAQGKILTFLAESMNTGKSEVPAIVYKAGECMQELLKSWKEFEATQDAKNAESLQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKTRSIGSNSSASDAEAEKHQNSSHHSQNGDRGSVEHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSAK >Dexi8A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:8A:8483651:8484844:1 gene:Dexi8A01G0007490 transcript:Dexi8A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGTQGELAIPMHAYAGGSTAAAGHVLQLHHHHEHRNSNNNGQSSSPALRPPSPPPPAVVSAEDQEMVESSTKTTKRVGAVVVAGGGAAAAAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKDLDDADDVDIYSSPAAAGDPFARRHRRLLAPAAMAPPHHSKIGGGGLLVSSGDPYGVGAYKAAARALPPAGAPPGHPHQYVMPLSMMQQHHHHQVHHHTHTSESDEMDGGGGGVGGSSSSGKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDGMVAAFCQEIGVKRRVLKVWMHNNKHNLATTKRLEEGAAMASPPDMAMGVGAAAMASSPPPPQPAPMMPLQMIPSAGVMAPPPPTMNRGGGSPPSLKLE >Dexi4B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:4B:21312611:21314948:1 gene:Dexi4B01G0019040 transcript:Dexi4B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARASEVKMECFLRGACAALAASAALLVGLSTETETVLLVRKKATVKDVQALWVLAMAAAAAAGYHLLQLLKCFYLARRVGAAASSYRRSGRALAWTCLLLDKACAYTTFATTVAAAQACVIALGGAHALQWTKLCNIYTRFCEQIAGSLVLGMLAAVGSAVLSAVSARNVFRLYPSLSPPPQPYAAH >Dexi2B01G0016720.1:cds pep primary_assembly:Fonio_CM05836:2B:27062633:27064781:-1 gene:Dexi2B01G0016720 transcript:Dexi2B01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTFTVKNQHLVGAGVLGSGSVPAARVAASGQQPIELWLDLRGGEHGHVTHTPSLRVRLHFHDVDRDPSWCSGNAHLSDAFDPGVDGGRAYRPARLWEDIYVAIRDARRFVYVAGWSVNTAITLVRDVERMVPGAEGVTLGELLKRKAEEGVAVLVMPWQDNTSVSFLGNAGLMRTHDEETRRFFEGTGVRCFLCPRDADASLTVVQHVETSAEFTHHQKTVTLDAATPTPGTHDDGQQRHVVSFIGGIDLCDGRYDDEDHTLFRDLDTTYRHDFMQNNYKHACLERGGPREPWHDVHCRLEGPAAWDVLTNFQQRWTKQAPEDIRSCLLDDLTPAAFPDPVIDDDTDSSWNVQVFRSIDDASVVGFPSDPDEAAALGLTSGKDVTVDKSIQIGYIEAIRRARRFVYIENQYFLGGCASWSDDRGAGCMNLVPVEVALKVAAMIRRGERFAAYVVTPMWPEGSPAGEAVQAILHWHRRTVEMMYRIVSKAIDDAGMRGKAHPCDYLNFLCLGNREAPVAGEYSPPETPEVDTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPCGRRARGQVHGFRMALWHEHFMGHHAGDEAVFLEPESVECVRAVRRAAERVWDVYTQDRVEDLPGHLLPFPITVSEFGE >Dexi6B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:6B:3803339:3808491:-1 gene:Dexi6B01G0004540 transcript:Dexi6B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRQQELRPFLLRGLSPFLLHGLSPFHLWASLPCSNLVPGVHHVHHNPWCHPLHPTGFLRFNTRQSQACQLKFIYLLDRGPNSHPPGGFLNFLNSTQDPAQAVGNGSSSQPISIGDEINGNDCARTEKRLLWTKEEDLRLYVVLGLTIVLYVMFARLGFGLGPKIGDETERTAATAMDYTNAMPDAAGPDAWTNAAPSAAWDSSIWAFTEDDYRQWSVDSRNAYSRGIQIL >Dexi8A01G0001300.1:cds pep primary_assembly:Fonio_CM05836:8A:896685:902366:-1 gene:Dexi8A01G0001300 transcript:Dexi8A01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQQQQQQQHSSPLLLPPKRRCTTLAAAVPALVVCSVLLPLVFLLGLHRPGAHPVLAWALGIGSARDYIPSSASLNTVLPSAGYGSEERAAVVITTELAGVGARNKQHLENGGAMMKHKLLKQKAAEEWDRDPKSGHLVGAHSYLKSGCIMSVYPLRTSCSRRQAPAAEDLGVIVRVRSPTSSQTAGLRAARPLQLVRHRAPATEVPRRLRLDSGAVFDDVSMAPTASSDNPMASGPATSGGPMVAREEKTEADTHRQGTQRRERAGEGVLVISDGPHTPKKYQWKDLSLTSGDTVVNGKENHDQETVHEGIPKSCENEYGSYCIWSTEHREVMKDDIDSGSIVFHLFTDAQNFYAMEHWFNRNSYLEATVHVINIEDHQNLSKDADSLEMQQIWPSEEFRVTIRNYSEPSQRQMRTEYISVFGHSQYLLPDLLPSLNRVVVLDDDLVVQKDLSSLWNIDMDGKVIGAIQFCGLTLGQLRSYIAEHNFNSDACVWLSGLNVIELEKWRDLRLTSLYDQSLQKLQKERLASKRLKALPTSILAFQDLIYPLEDSWVQSGLGHNYGISRHDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMATGEKFMTECNIH >Dexi7B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:7B:15375075:15376505:-1 gene:Dexi7B01G0007530 transcript:Dexi7B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDSRRRRDQRHRQRHRAAEAARLHGLVKAVVERLPPDLHVQIHRRLDFLHRLAFASICTASSGHVLRQEAPWLILPCTGQEEEEEEAKKQQVETSKVVSMADGRSAAFVRTAMRGHVIIGSSDGWLVTADDRAVLRMANPATGAQAALPTIYTIPFLYSLCAGQWFCLDLGPFVQIRFGGPPPEEDKDWGPHPPRSSTLTAPEMRERFYRKVVLSSSPRPGSYEAMLLTHKHIGAPAFATSSDPNWRMARSPGGVEDAIHHRGRFYSVTYAGDVEAWQRDAGSGEFTSRVVAPRLDCHHEASSVAEGSDRNKQQLRRKYLAVSTDGQQLMAVLKHSEVVQAPGQYAYGRDKPKARVFFKVMVLAEAQVVGNAAARWEKAADIGESALFVGVNGTVCVSTREHQGRIAAGCVYFTDDEVGVSNRYGYDVPDDTELREAGVYNLRTGKVERIVEPDERRGEPHWPPPAWFTPSFL >Dexi1A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:1A:7862155:7863482:-1 gene:Dexi1A01G0009560 transcript:Dexi1A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTVVPLYQPARLAGLIPVMQSYVDALVANIAGYPDQDCIPFCQLSLRMAIDIIGKTAFGIEFGLSKNSMDNRSETDGCSEGDDDVREFLKEYKRSMEFIKMDLSSSLSTILGLFLPCVQTPCRRLLRLVPGTADYKMSENERRLCRRIDAIIVHRRRDRDAHRRGGGDRSAPLDFIAALLDAMENGGGGGKELVPLEDRHVRALAYEHLIAGTKTTAFTLSSVVYLVSSHPRVEEKLLRELDAFAPPLAPNADELQTRFPYLDQVIKEAMRFHLVSPLIARQTSERVEIAGYVLPKGACVWLAPGVLARDAAEFPEPEEFRPERFAAEGEEERARHPYAHIPFGVGPRACIGSKFALQQVKLAVVGLYRRYVFRHAAAMESPIQFDFDLVLAFRHGVKLRAIRRE >Dexi9B01G0030140.1:cds pep primary_assembly:Fonio_CM05836:9B:32625242:32626926:-1 gene:Dexi9B01G0030140 transcript:Dexi9B01G0030140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPVPPFDETRWIIRVRRIFDEEIELSEDQPICVFDVPKPLLGTKPEAYIPQLVALGPYHHSREELCDMERYKLSAAKRAQTHLPDTDFQQLVEIFTRLEHLIRAHYHRHLNLSCETLGWMMAIDVSFLLEFLQTFSTNINQRALQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQIPLFLLQKALETRCSSSQPAAQTVLSSMLIGFFQEVSTFRGIGRPCTEMNRHAHLLDFLYANMVPKCAEESHGATGESGDDACRKHDHIKRTLNSATELLVKRGSKIVSVIIDFMLRFLLKFIASLPCLSILEEPIEQLTQQASEPRGDTSDVQSKNTSPLLEEIAVPSVTELAYTGVKFCPTVGDLSMIAFCPATSTLHLPVIGVDINSEVVLRNLVAYEASAGSGPLVLARYVELMNGIIDTEEDARVLRECGVILNHLKSDQEVAELWNGMTRSVRLTRVPALDKVIDELNRHHGSCWKVKARAFVRAHVLGSRELLACVAVVLLVLFIGLQAFCVVRGCVPVSYGMVASRKIGA >Dexi8B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:8B:1768752:1773581:-1 gene:Dexi8B01G0002530 transcript:Dexi8B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVFRADSFPLPVLFVPVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKSETPISLEENGTVVLTPHQGQEASSSVLPINFSGLAKAVTPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNLDVYYKRAVKYVEEPMSHLESIASSAVRAAINVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSGTNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKILELDD >Dexi4B01G0001440.1:cds pep primary_assembly:Fonio_CM05836:4B:860458:861022:-1 gene:Dexi4B01G0001440 transcript:Dexi4B01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATGPAKKGTNVKKLILAALAATLAVAVVVTVFFIVLSPSRVEFSITQASSQPVNTTSVMIYLTLNVSNPSRRATVAYRSMFVDLSNSTGPQLVNFVRTTLPDGAMPLRQPTRNTTAIAATVILVAGGLVENFARNMTGTFSVVITAVARFWVGFASTRLYDIKAAGTPQ >Dexi1A01G0005160.1:cds pep primary_assembly:Fonio_CM05836:1A:3766601:3767002:1 gene:Dexi1A01G0005160 transcript:Dexi1A01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLVSTGEPASKTRRNIDASEKTALAVAATAAVELTNLFFLLSGDDAEPRCSSCCWTEGLAMALAFAAFVSASGLVLAFHATGQRVAISGDRRRVLVLVSAAALFLASGAMALSLLKSSRRWILTG >Dexi3B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:3B:12394611:12396700:1 gene:Dexi3B01G0016900 transcript:Dexi3B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSYFVLALLTLHSVSAMSGCSGALDLPAAQLSHRRLLQDTNLAARSEANFHLPIAAHVPPSGSFLPSGSQTERNHHEEVPPPPPVDPPQSQSKSHDLLRSMRWLYVIVLPATGLLLLAGLACWLLPCRKRAVATIGPWKTGLSGQLQKAFVTGVPKLQRSELERACEEFSNIIANYPHYTVYKGTLSSGVEIAVVSTMITSSKDWSEHSESCFRKKIDSLSRINHKNFINLLGFCEEEEPFTRMMVLEYAPNGTLYESLHAEDFEHIGWRGRMRIIMGLAYCIQHMHELDPPVVHPDLQSSSILLSEDCAAKIADMSVWHEVISKGKTPTSGELDRHNEQMPDGLAGNVYSFGVLLLEIISGKLPDPAQERPLVSLALECINNGDRSMASLLDPTLKDTKEDDLETIGRVIHACIQRDPRNRPSMREITGRLREAIGISPVAATPRLSPLWWAELEVLSTAEAS >Dexi1A01G0030610.1:cds pep primary_assembly:Fonio_CM05836:1A:35854010:35854789:1 gene:Dexi1A01G0030610 transcript:Dexi1A01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNAHHPMIKSHAAAEDELSSSSSGSGSSSSRGKRGLREAFQQPEATTLPLFDDGSGERSNKRPVVGWPPVSTARSRACGGATYVKVKKEGDAIGRKVDLSLHASYGELLATLRRMFPTTGSQDDKEISSSTGRVVVTYEDGEGDWMLVGDVPWDDFARSVKRLKILG >Dexi9A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:9A:6699532:6701320:1 gene:Dexi9A01G0010900 transcript:Dexi9A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEEEPLAAPPAGNPAYTPPRAPAAAQPRGPNMPRTGAASAAKVLPIDVPAFPLSELNRLTGNFGDRALVGEGSYGRVYRAKLGTGEVVAVKMFDNGSSSGQSESEFCEQLSVVSRLKCEHFTQLLGYCLELNNRIVLYQFATMGSLYDILHGKKGVHGAEPGPVLTWSQRARIAYGAARGLEYLHEKARPSIVHRDVRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVNARPAGGDHQ >Dexi7A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:7A:24053590:24057299:-1 gene:Dexi7A01G0014090 transcript:Dexi7A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMADAAAAVGETVRELREAYEGGRTRSLAWRQAQLRGLLRMLKEKEVEAFQALHVDLGKHRAEAYRDEVSVGVLTKSANGALQQLGKWMAPERVWVPLIAWPATAQVVPEPLGVVLIFSCWNFPLGLSLEPLIGAIAAGNAVALKPSELSPCTARFLADNIGKYMDASAVKVVEGGPDVGEQLMEHRWDKVLFTGSPRIARSVMAAASRHLTPVALELGGKCPCIFEPMGNARELQISVNRIIAGKWSSCAGQACIAIDYVLVEERFAPILIKMLKATLKRFFQEAGHMARIVSERHFERLCNLLKDRAVAPSILHGGSMDANNLYIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIDIIKAMPKPLAIYAFTRDATLRRRIVDETSSGSVTFNDAIVQYAIDGLPFGGVGQSGFGQYHGKYSFDMFSHKKAVMKRGFLIELRLRYPPWEESKVTLMRYLYRFNYVAFVFYFLLLGLKR >Dexi3A01G0024280.1:cds pep primary_assembly:Fonio_CM05836:3A:19906313:19908326:1 gene:Dexi3A01G0024280 transcript:Dexi3A01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAKKDAAAAARDLLKVDAARVRKAGGGQLRKPAAAPMRNPAAVPVLAASSSAATVTSTAPDGQTAASSSITAPTASSGAPDRVRNHGFLNPQIQQRDSSMGADRKNEQYWTDVEVTYNETTPSHRARNAKQIKDRFHKIY >Dexi6A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:6A:26709960:26711557:-1 gene:Dexi6A01G0019100 transcript:Dexi6A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAPSFHRAVTVLLLLAAVLSCHFNVATAVDPKCHCPGGRCRGLGVNYGTVADDLPSAPRSVELLRAAGAGAVKIYDANPNILRALAGTGLPVAVMVPNEAIPSLASSSAAAEAWVSTNLAPHIPATRVMYLLVGNEVLSNRAIRSTWRGIVPAMSNLHRALRSHGIRKVKLGTALPMDALSSSYPPSAGEFRADIAGDVIRPLLGFLNATGSFYFVDAYPYFAWAGNRDAMSLDYALFEGAASSHYVDPGTGLTYTNLLDQMLDAVVAAMGKLGYGNVKLAVSETGWPNAGDAGESGASVRNAATYNRNLAARMSKNPGTPARPGAKMPVFLFSLYNEDQKPGPGTERHWGLYYPNQTKVYEVDLTGQRPSRSYPPLPPADDTDSSPAWCVLAGGVGGKAVNETAVQAALDYACQQGSGTCAAIQPGGACHEPDTLDAHASYAFNSYWQQFKNAGGTCFFNGLAETTTKDPSEFI >Dexi1B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:1B:25909937:25911264:1 gene:Dexi1B01G0019670 transcript:Dexi1B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVELRGCVCRIKSSALELLSMEEDLATDLGDDLWDLIRRDLQLKATFLYIDLSRVIARNECEERKEKITLLANDFFYFMDELGDAVANRSVSVVKVCYGNAARALREVVAAIAPPAAT >Dexi8B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:8B:7520586:7524370:-1 gene:Dexi8B01G0006470 transcript:Dexi8B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAPSSSTSSPVQRPPRRMGPPFEEPPTSSRFCSISDVMGRLRRLIKEAPTPRRFSTMADVMRRSCPVDPPPRARVTRARDTIVMHEDDVDDVLCDTCGSGEQEDKLLLCDRCGHGRHTFCLRPVADKVPDGPWFCSDDCACAPPVKRFKRFPMEQSKINDFFRIQEDGQDGESSKCRIPQGNSFQQYVPQWRMMSSTTPDVLFKYYCLSNLLDFSDVRKRRKGCLVMQKKRMGILPFVPSEDRDRRLEQLASLATALTSSKAEFTNELTYVPNMAPRSSNQARLEEGGIQVLHKKDKETIEMCRAMQQRGQCPPLLVVFDPREGFTLQADANIKDMTFIAEFAGDVDYLESRKNDDSDCMMTLLLTADHSKNLVICQDKRGNISRFISGINNHTQDGKKKQNVKCVRYDIDGESHVLLVACRDIASGERLYCDYNGCENVYPTHHFV >Dexi9B01G0035860.1:cds pep primary_assembly:Fonio_CM05836:9B:37450064:37451642:-1 gene:Dexi9B01G0035860 transcript:Dexi9B01G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSIHCRASDDLLLAMVDGEPVLTKADTSDDRQLWLKDLRYGAGLTDEEGSPAFALVNKATGEALKHSFGHICPVRAIKFYPLGYVDESILWAEDKDDMGDGFRRIHMINNMDYIFDAEQGTPDFGGAREGTRLILFRWNGGQNQQWRITPHAPSAPAAPVLDLAPEHARPVRIVCQSGQDLSLIVRDGAAVLARTDHKDQRQRWMQSFTNTGHVTDDKGHRAFVLVNWATGKALGHCLGVGREKLVPVRLVPHKPDSVDVALLWTQGNDRGEGFRNLRSVSDTDIVLDAANGGEAGGAHDGTAVIIFPWNRGSNQKWKMIPFQ >Dexi8A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:8A:20610718:20612535:-1 gene:Dexi8A01G0011640 transcript:Dexi8A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGQRAWVVEVEKAVVDADASVEVSRWQRHSIYLVPSCIKDLRPKAYKPQVVSLGPFHHDDPELVSMEEHKRRALRHLLRRANKPLEAFAAAVEEVADELASTYLDLGAEWREGEGKERFIEMMVVDGCFLLEVMRATGLDYAPNDPIFSRHGAVYMVPYIRRDMLMLENQLPLLLLERIVAVETAKPPNSDAINRMVLRFMSPRPMPRSVSSLGLHALDLHRRNMLYGYYQTPRWRSDDMSVDADIIRSAVELHEAGVRFKKSHTESLHDIRFRRGVLSTPAITVDDSTEHMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSNGIIQNAVGSDKAVAELFHSINKDVVLEEDNALDAVHREVNAYCRKPWNQWRANLIHTYFQSPWAFMSLAAAVFLLVMTTMQIVYTVLGFYQK >Dexi6A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:6A:9616858:9625331:1 gene:Dexi6A01G0008860 transcript:Dexi6A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQFCLCLAVLLAGMVAIALADTPQCIDHGPVFPHPIREAGVVVKGLPGGYRAYVTGPASSCRAIVIATDVYGFEAPLLRQIADKVGEAGYYVVVPDFFNGDYADKTNKTLVEWLEAHSPVNAAEDAKPLFAALKKEGKSIGVGGYCWGGKFTVEMAKTNYVKVVSISHPYNVTVDDMKAYATQQDCRPAATRFAELSGLGAMGSAQVFLCLAVVLAAAAAAAQAKHSQCLDNPPDLTLSGGEAGKVVGDLPGGFRAYVTGNGKAHHAVVLASDVFGFEAPILRKIADKVSEAGYFVVVPDFFNGDFYDTSKNISEWIKFHSPVKAANDSKPLFAALKKEGKSIAVGGYCWGGKFGAEVAKTDDIEEVKCPIEILGAQNDTTTPPKLVYQFVDVLRQRSQIPYFAKIFPGVAHGFACRYNTTDPFAVRTAEEALAYMLDWFKKYLK >Dexi2A01G0023310.1:cds pep primary_assembly:Fonio_CM05836:2A:35034001:35035819:1 gene:Dexi2A01G0023310 transcript:Dexi2A01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDDSEPKASTGGGSHVLLLPYPSQGHVHPMLQFGKRLAFHGLRPTLAVTRFILTTCAPDAAAASVRLAAVSDGFDRGGFGECGDVTAYLSRLEAVGSETLAELLRDEAARGRPVRAVVHDAFLPWARGVARTHGAAAAAFFTQPCAVNVAYGHVWCRRLGVPVDATARLPGLPALEPEGLPWFLRVGPGPYPAYFELVVRQFQGLEEADDVLVNSFYELEPEESEYMASAWRAKTIGPTVPASYLGDDRLPSDTKYGLHLFELTTAPCIAWLDAHPPRSVVYVSFGSLSDLDSVEMREVAHGLLDAGRPFLWVVRASEAHKLPAGYEDAAACGLVVSWNIADFVAKYLCNSK >DexiUA01G0018280.1:cds pep primary_assembly:Fonio_CM05836:UA:39002891:39004331:1 gene:DexiUA01G0018280 transcript:DexiUA01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSSSAPSLLLPTSELTLRPSFVREEDERPKVPHDSFSDEVPVISLDGIDDDDAARRGEIRDRVAAACEGWGIFQVVDHGVDAALVADMTRLAREFFALPPQEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVEQYSEQLMALSCKLLGVLSEAMGLEADALAKACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHLLSNGRFKNADHQAVVNSECSRLSIATFQNPAPDATVYPLAVREGEAPILDEPITFAEMYRRKMARDIELAKLKKQAKAEKQHLQVQQSAPKEFKPKSLDEILV >Dexi2A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:2A:177348:178078:1 gene:Dexi2A01G0000310 transcript:Dexi2A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLPGLNAPAAAAAAATATSRHAFSGSSSGSSRSAPHVVTCQASRRAASLGLGLAAVLLRQPDAARADDEPANNGWWLTEFPLPVPKILNKEINNPETGTRSFIKNGIFMADIGPSFAAHAYRLRSTAFDLLALEDLLGKDASSYVNKYLRLKSTFMYYDFDKLITAADDKPPYVDLATRLFDSFENLQQAVTAKDDPKISDRYAETKVILQELMAKMA >Dexi7B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:7B:20767269:20769085:1 gene:Dexi7B01G0014580 transcript:Dexi7B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVMDHPFMFAIIECEKAEVLFLRYVMDPSKEDLLADVSTWPQLSFAAGVFVDRTLHLTPAFVASVASAHQAVARSVDFMDRPAAAIAEANDFIAQGTAGRLRNVLSEDTARQPVRVPFLSDAGVQYAESFDVPGLGFKVLQRFYKMARRDGKLDLGSPCFCMLVFLPHDRRDGLARLLRMAVDQPDFVMRCAPRREQLVSPCMVPKFRFSSRLDAVSALCQLGLGLTAPFDKGVADLSRMVSNVPPEGLYVSAVTQTCAVKVDEEGTTAVAAMYAA >Dexi6B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:6B:18013389:18016442:-1 gene:Dexi6B01G0011070 transcript:Dexi6B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSNAAMHPRLLMAACIGDTQRLKDLLDEGSSVGTMHPGFVVRVDVPQPSTATTLLDVVTAVEGDSVLHVVAAGGDGDAFLESAEVIHDRVRHLVAMPNRNGDTPLHRAARAGNARMVSHLIDLANKADEGLVVKELVRVENRLGETALHEAVRVGHRGMVIRLMEEDAELAGFPRDGASPLYLAILLDQAGIARSLHDMSDGNLSYGGPNGQNALHAAVLRSEGKSSYISNPSSAYQPDDVGSFPIHVAAAVGASRTVSTLVEMFPGCAGLHDADGRTFLHVAVEKKRCNVVKQACGNPSLGWILNMQDKDGNTALHLGVKAAESDTFFHLFGNRQVRMDLTNNNGQTCRDLSLVDIPPGPVLYISFARTHNPKQMIHRALTRASANHGVRRWDQFEEDYILRPRLEDEETESQKLSNSTQTLGISSVLIATVTFGAVFALPGGYVADDHANGGAPTLAGRYTFDIFVVANALAFICSSLGTVGLMYSGITTVDLPIRQRHFLRSLFFVSSSLTSLVVAFAWGTYTVLAPVAHNTAMAICVISQVVVVYRSIGRFKRMIDLVRPLYVRAGIRPLLMLAKDVFTRMLRLYWPFLVIFSWAACATNHAK >Dexi3B01G0033060.1:cds pep primary_assembly:Fonio_CM05836:3B:35439099:35439413:1 gene:Dexi3B01G0033060 transcript:Dexi3B01G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVVFTLSSCCMCHTVTKLMQDLGVNALVHELDSDPRGKEMERALLKMLGGKGPAVPAVFIGGKLVGGTNRIMSLHLGGELVPMLKNAGALWL >Dexi3B01G0032340.1:cds pep primary_assembly:Fonio_CM05836:3B:34703744:34704306:-1 gene:Dexi3B01G0032340 transcript:Dexi3B01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMIHSTPSHTSLPDCFVFPPDQMPPATSAVVSLPIIDMSRSRDEVINHGISEQVMQDMEEVGKEFFQMPAVDKVEFCSDDVNKATRLFSGTTYETGGERYWRDCLRFAYDFLAGSSTKDWPDKPQRLR >Dexi4B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:4B:2880934:2881835:-1 gene:Dexi4B01G0004010 transcript:Dexi4B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAFKGFPLAGRLMELPAAPTAGTGKPIATAVRLLNVKRDADDDDDSDDASSVDGDNYGLRRALALADALNLLGEPSKMTQMVEGGGGTALGTGFSFSASGWWVSKEDDDSMQLKVVMPGLGKEHVRLTAEKNVLVIKVVGDKDKLLDGGNKGPVVKSSRRILLPVDAFKMDQIKAEMNNGVLKVTLPKTKDEDRKDVFQIKVE >DexiUA01G0003070.1:cds pep primary_assembly:Fonio_CM05836:UA:6383434:6385945:1 gene:DexiUA01G0003070 transcript:DexiUA01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDFRYLTLTLTVIDASRIARSREVHGPDYAPSTQNFDGRVVMEVGGGKKHGRYWMGDSTPRDLAKGAERKRHNPPTYDTGAAADIVSTERTERESLQANFTQMYAWMQSMGTQVSVPPPQLQFQPPPRQPTPGLSAGSNDPAGMVTCRLVCRLRLVCRTGRLGELSRTDRAHRIATSPTPPKHTTRGNGGTTIRALGQALGKGAIFCREQGARLSAKMPFFARPRACHPVWAPWVLCREPGTWLSANLEFFAESLALLCREPGTWLSANPAAGPTETAQRRLAGVRARLFAERLHALGKYLRCTRQRLCRDPGRKLSAKVASPRKTLPSQLCREPALGKAFAERMILFAESARLTTKSLDPVESEVVPPFSF >Dexi3B01G0021440.1:cds pep primary_assembly:Fonio_CM05836:3B:16265476:16266500:1 gene:Dexi3B01G0021440 transcript:Dexi3B01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPACAVSELVAKGKESAIALRAVLGQQSSVAILRCCDRALAALRGATKESSAAATRKRKPELHGPQTTPPATTSKRMRLGGGERATKQVEKKWTMEDGFLWRKYGQKDIHGSKYPRLYFRCSYKEDHGCMARRQVQQSEDDPSVYLINYFGEHTCCRDNGVSEDPESSPEPFVINFGASTMDDGKPSGSSPAWPSSDDDGPVVSEISSDLCHSPAEEKELGAGMGNNAAEFQRRRRRS >Dexi5A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:5A:5260109:5263946:1 gene:Dexi5A01G0007100 transcript:Dexi5A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAAAAAYGCCRRLLLASTTVPARSGRAHSSITLALRCLPIAGRRLRSRRVACQATTETEPEGNDDNEEKEVFGDDASSPLADDVAEANVPAESVSNIDNKKDETTNAEPLSSSNTVQNIDGDATTVNDTQENVEVVEVNSGSPLPGMKQQLDDIERIPKATIDILKDQVFGFDTFFVTSQEPYEVNCWGIMGGVLFKGNLRGKPSKSYEKITNRLENKFGDQYKLFLLINPEDEKPVAVVIPRQTLQPETTAVPEWFAAASFGLVTIFTLLLRNVPVLQDNLLYEIGSFGAITRIVNIVRNREDLLKLAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPTVFHESFLVGGLAKLLLGDALKEGTQLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFAIWGRKISSRLSSLTVGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGVAVLLFGLLVCLPYPFPFDPSQLTDINFDF >Dexi8A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:8A:28377467:28377720:-1 gene:Dexi8A01G0016800 transcript:Dexi8A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSHVTAPEVSVARIIAGGIHNTLVPRLTNGGREELAKLEEIINRVTLTATTDHRSEQRATSRARVQEADEGYRNAT >Dexi2A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:2A:7227770:7228282:1 gene:Dexi2A01G0007430 transcript:Dexi2A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPSQHGKARRRGGCQPPLLAPDLRRSSCRGVELCQAHRGLLAVLPCCIAKTDKRAVSKREIETKGRRRLPVEERGARVGVHGGLPGEEEGHLPKLEGLGVVDRPESPFATSVGKQCCRRRRRHESELRASAGGERETERLGSGEQRASRGDDGEQQTRPSGQRLRQ >Dexi8A01G0017590.1:cds pep primary_assembly:Fonio_CM05836:8A:29238531:29241620:1 gene:Dexi8A01G0017590 transcript:Dexi8A01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRDQLKGEVRRMFEDGKAMSMADTVKLVDTLERLGIDDHFVKEIDTALNRVYNEELDFGNSNDLHVVALRFRLLRQHGFWVPTDVFDKFRDNETGSFNINIRNDPRGLLSLYNAAHMVVPGEMVLDDAISFARRHLEAAKGKLESPMEEQVSRALHIPLPRFMWQMETVHYITEYEKEDPHDSMILELARLNFILLRSVHLKELKSLSLWWRDLYDSVKLTYSRDRIVECYFYSITLFHGEENSIARIILTKMYAILVLLDDTFDVRATFEEAQMLDEAVQRWDESAVSLLPDYLRMFYIKTLSNFNDIEDMLEPSQKYRMAYVKEQLGKCKNDFPSVVECYMKEYDMTGEEATAAVADMMEQAWRRMNKDYIGMKPTIAPVAQCLLNTARCFETFYVQGTKDGLTYGRDVKELIATYFLKQVHV >Dexi5B01G0031530.1:cds pep primary_assembly:Fonio_CM05836:5B:32210309:32210605:-1 gene:Dexi5B01G0031530 transcript:Dexi5B01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPRSTAVTAAPPPSSPPDPARERAVRPRPRFRCRLAGAPRDSSARRVHDACGSSRGLAGAQRRRCRFSKADGARRAEARTQRHVRGQGSRLSSAN >DexiUA01G0022280.1:cds pep primary_assembly:Fonio_CM05836:UA:45423802:45427533:1 gene:DexiUA01G0022280 transcript:DexiUA01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPPPPLPLLPDFNPGVRRFLDARFSSAADLATAADVEAEIRGRCAELEALVSDLSVRILEAATAYSSCREAAGSALRGVGDELRALKASISSGAGEEVEGETEQMQFEQLPALASEVARMEMVREYAEMALKLDSLVGDVEDAVSSSVTGKLKSVGNNSERTHHSAIGYLRNIEDLLALVTTTRPQWTHLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPSLSGSKFSSIDSGKQAEIVNPLFSMTGDLKSKYSESFLSLCNLQELQKRRKARQLKGHNLGNQLRQPLWVIEELVNPISAAAQRHFSKWDEKPEFVFALAYKMIRDFVDSMDEILQPLVDKAKLIGYSCREEWISGLVIALSTYLAKEIFPKQIELLQESSSSDAGCTPYQARVSWLSLVDLMISFDKRTQDLMSGTGLLLTVKDDENWQRISVLSVFCDRADWLEVWAEIERQETVDKLKSAMESEKNWSARIEGAMVEYESDDYKSPAITTAVQQSLSLLIDRARPIPSVTLRAEFIRLSASPIISEFLGYMLRRCQEAEGLTALADDSALLKVSQSINAARYFESTLTEWCEDVFFIEMENSSANGEGDCIFQQEINHLKEFRVEWVDKITTVILRAFDSRSRDYLKNKRQWLEKSEGPAVSRAFVECLDYMQGQLSKLEGGLNPRDFVTVWRSVASGVDQLLFAGILAGGTKISCGGVQKLEGDLSVLFAVFSAWCRRPEGFFPRMSEGLRLLKVDKQQLGDVVFTDESWLRQHGIRHLTAAETEKIIKNRVHEA >DexiUA01G0009430.1:cds pep primary_assembly:Fonio_CM05836:UA:18577344:18580993:-1 gene:DexiUA01G0009430 transcript:DexiUA01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFDDTTEDFFDNLVNSDDDDDRPLAAAAKAEAEASAGDLAALTLSDQSDDPKPDDHPAPAPLPEDPKNHPTTEAVPDPGPASAPPGPAPLPVSHPSPPQPHPATDAVAEPEPSSAPPPPPAAAAPALKQAQWNDFGADPFGDLPPGKAFFGDTSAEDQGAQASILGAIIASEPDRSFSAGVDANAAGAAGLPDYSFYGGTDSNANSHLGSTTAAAAGYGDHQSTDAQFESADPRYLESLYPGWKYDDATQQWYQVDTLSVQQITAETSAVALLGTDDVQQQQLSASYLQNNSLAEAADEPTETEVTPQPKPVTEADDQPVPAPHEEEVEHEPAPAHPEVGPAVVHPEAGTQAVGSAVLHPQTKTQVQETAANAAAGLPDYSFYGGTDSNANSQLDSTTGAVGYGDYQITDTQLESTDPRYLESLYPGWKYDDATQQWYQVDTVNVQQITTETNDAVAVLGSDNVEHHHQHQKLSASGQQNNSHAEAVDGPTETEVVPQPKPVTEADDQPAPAPHEEVEHEPMPAHPQVGPVVVHPEPSTEMAGSAVLHAQTKSEVQETTADADAAAMVPEGGSPGSEKGTHTAVKQVQWNDFGASTSAGGADLFGDLLPDGAEDGFFGAPVPGNQGVQASVLGTNNVTALDRSFSAGVGNSAAVGAGVVDYSFYGRTDNNANSHFDSSASAVGYGDQSANAQLDSTDPKYLESLYHGWKYDAATQQWYQVDTPSAQSYAAADSTGAVAVMGSDSIQQQFNSLYLQNTSHAALETIVEESSVNATSWGAAPIEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQSIVQAATSPSALDGLAGAGHSAAHHTEDSYASTYSQQSQWQPNSLANTMQPNVSGGNSLLGSSYSSNQQAENHIGQQVNAESLQPSINYKPHIDTFVPSRGQYTVKEGNHASYEGFKGNQSWYNGSEHSTNQEVGHRGFATSTDFQTGHKEFQPPNGHQAGHMAYEPSTRVGYGNSNGPQDFTPNESMCKTQIHADSSAHTHVLNNYWSTQTTMDLGQQQSQQFGFTPHEQRSSAGRPPHAVVTFGFGGKLVVLKEISSVTANFDSGNKGNSGRTVSVLNIPEIVADKIDHSSMANGSALSYLHALCGQPTPGPLVGASVASKDVNKWLDDMIRVYESSLTEFQRGDVQKVLITLLKILCQHYGKLRSPFGSDPQE >Dexi2B01G0034510.1:cds pep primary_assembly:Fonio_CM05836:2B:41744510:41746213:-1 gene:Dexi2B01G0034510 transcript:Dexi2B01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRLLQSTAIAVQGSAAMSTVAVPNPPPTASEDAESLRKALQGNTELPLLSSRWRADKASLIGILCRRTAAQRAAIRRAYAFLYRESLLNCFRYKLSRHCLLSVDFWKALILWTMDPAERDANLVHEAVKKKDKNYILVLIEVSCASTPDHLMAVRKIYSKLFSCSIEEDVASSPAFQEPLKKMLVSLVSSYRYDGERVDMDVAKLEAAQLSEAIREKQLLHGNEVVRIISTRSKFQLAATFQQYKEDQGRDIIEDINSQCSDQFCRMLKSAIWCLTSPEKHFAQVIRYSILGLGTYEDMLTRVIVSRAEIDMKQIKEEYRARYKSAVNLDVASDTSFGYRDMLLALVARE >Dexi7B01G0021290.1:cds pep primary_assembly:Fonio_CM05836:7B:26387857:26390564:1 gene:Dexi7B01G0021290 transcript:Dexi7B01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVSSIGIMDGAYFVGRGEILHWINATLQLSLAKVEEVGPFLISFAASGAVQCQLMDMVHPGVVPMHKVYFDAKTEYDMIQNYKVLQDVFNKLRIVKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERGSKGSNKSSKSLQANRLSGGDSADGGPGVGKVCNNVAEGQYIEQIHQLSEKIADLKVSVDSMEKERDFYFSKLRDIEILCQRPELEHLPMTKAVRKILYAADAKDSPLPDANDIITKSPGLFSDETE >Dexi9A01G0025260.1:cds pep primary_assembly:Fonio_CM05836:9A:23306307:23306850:1 gene:Dexi9A01G0025260 transcript:Dexi9A01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKSEMQNPEEWLSTTAIADRNLSLRPLLQRSPNVASPVMELVQETSKSPRFTGLPPAAWSSATSDMVSLSLMAANSLTLRALRSSSRSPVVAVRREGDVEVAVADDLCAEQIRPRREADVVGPEHLLRRLRRGDHDGVDLPQPQVHHRAVLLGQVAEGCLRLRGGGCFQ >Dexi2B01G0009020.1:cds pep primary_assembly:Fonio_CM05836:2B:9809787:9810821:-1 gene:Dexi2B01G0009020 transcript:Dexi2B01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVYDYDNDIWLCHSKRECNGRSAFEPAIYTLREIEAFLLMNPSEIVTLILEDHVTSQDGLTKLFDRSGLRKYWFPVQDMPRNGEDWPIVSNMIRNNRRLLVFTSNRSKESSEGIAYQWNYMVENQFALPWTASTEHSHGLVDVLKICHIAAGNRWANFLAVDFYKRSEGGGVFQATDMLNGRLICGRNDVYACR >Dexi6B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:6B:4539817:4542848:-1 gene:Dexi6B01G0005260 transcript:Dexi6B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQNSTMPPIYNDSDRSLKGIFPPHLLKKAVAEMVSTFLLVFVTCGSGAISGSDPKRISQLGQSVASGLIITVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWVAQFTGAISASFVLKAVLHPITVIGTTTPTGPYWQSLLIEVIVTFNMMFVGELAGLAIGSAVCITSIFAGPVSGGSMNPARTLGPAFASNLYTGLWIYFVGPILGTISGALTYTYIRIEDTTSNKDAPPQKLSSFKLRRLQSQYVAAELDHIQAGATTPHVFCMVPMHQDN >Dexi9B01G0032130.1:cds pep primary_assembly:Fonio_CM05836:9B:34398976:34399744:-1 gene:Dexi9B01G0032130 transcript:Dexi9B01G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVHVHDQSKRSILQQDAHRQHARERHRVPREALADHPPEQCQRVPRPRVAAQPGQHRVPRGHVPLGHFVEHPVGLGDAAEAEVGVDEGARGEDVGVEEPQAERAGVELRGGVRARGRADERREGEAVGAERVGAREEAAEEREREAREGGAGEGGDEGVGEEERGHLKARFQVPEVAGGDEDGVGAARERGRQHWWAPPRGKFPK >Dexi5B01G0033170.1:cds pep primary_assembly:Fonio_CM05836:5B:33651334:33658852:-1 gene:Dexi5B01G0033170 transcript:Dexi5B01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPPPLGPLGGGAGGSGGAAPAAGVSMRMFHGEVFLGEMEVFPMKQGGEGGFPFPSNEIRVSHLSPPSERCPPLAILQTIAPFSVRCKLQSKLMPPNPSLHRLYITCFNEYKSAVVLVGDEELHLVAMPTKSDKSPCFWCCAARAGLYASSVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIDMLSRRMDVEDDPVRIAGMSAEIKRYIEDKELLKEFIDTDTVTDNGKIVGTQKEEVQSMSGGQERILRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPEGNLISPQQLSERVTCVKSGSKKSLQNVFRDRGCHPKMAMVIDDRLNVWDDKDQHRVHVVPAYAPYYAPQAEMANVVPVLCVARNVACNVRGGFFREFDENLLKRVFGLLYENGLLDLPYAPDVGDYLVCEDTNFLPNNQPPIPEGMRGAEVEKRLNGQSFRGEQWEGQQTVSSTRSPDDEGMPIRGITGGRNIQPNGGAVAIAPSVFVTVLQEIGRLCDSKVEFRSTVSNGKSMQFSVEVLFSNEKIGIGIGKTRDEAQVQAAEKALQNLESSYLSFASPIAGIPNEDSRKSPGSGNGFLEDVTCSDVDISMQEPSGSTLKQDHSNNLDKLSSVMSLIREHCLEDHHVVFRDQVQNSGPARNEEYHFQVNQVTCGLWLLSTFDLLVTMVELAGQILGRGVGSDKDAAKLLAAEEALRTLKSTTDPQIKKYLRPVR >Dexi1A01G0026760.1:cds pep primary_assembly:Fonio_CM05836:1A:32587450:32591495:-1 gene:Dexi1A01G0026760 transcript:Dexi1A01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMTLRASPAAASPLQRRAMPGRQRAATSVRATASASAAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYHKAAWHELIERVEESGVPARIALKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMNIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMIHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Dexi9A01G0034020.1:cds pep primary_assembly:Fonio_CM05836:9A:38849240:38854486:-1 gene:Dexi9A01G0034020 transcript:Dexi9A01G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELRAEVAALRMRVQELERENQRLANIASSCTCGFKEDGVGSVAVTSSCSYGSNPEAPSSGKFHQISTLKKDLSTPFDQKVISTENGMQRNINMEVNGLPDDASRHPKRRDRQVGILSHCSKRLVALKVMYFGQRFYGFSSEGNAEPTVEVISLYLRSNIKDVEGDMLYERSEIDYVKVLNRILPRDIRVLGWCPIPEDFHARFTCLGREYKYLFWKGDLDILEMQKAASKFVGEHDFRNFCKMDAANVSNYRRRITEFTISGCGKRFNNDELYSMTIKGTAFLWHQVRCIVAVLFLIGQGLESPSVVDSLLDITKTPRKPQYKMADELPLILRSCLFDKADFRCSSDANRSLTEHLNDEYHHYMLQAEIFHEALSCLPFPESNSLKTLQKKRNHIPLLSRQTEPSYEERIAKVKTKLTDNL >Dexi4B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:4B:3956021:3958629:1 gene:Dexi4B01G0005560 transcript:Dexi4B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLFALSVAGAVLLWAISLGRILSFTSPSCLPPSPGFLAPLRGDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHNIHILCMSQGNADGLGITRKEELYHACDTLKIPREQVEVLDHPKLQDGFHEKWDHGLLAELTMEHVQLWAIDTIMTFDSYGVSGHPNHQDVHHGIRKLLQLNGQGNIEAWQLKL >Dexi8A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:8A:28938387:28940007:1 gene:Dexi8A01G0017220 transcript:Dexi8A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQGLIQFLGAMAELARGALAPTVPPVWERELLEGRSRPQAAIVEDDMDEVPDCCDINGSSSSIKLSVDNKMSLCSLFFGPREIAAIPAQLLPDIQKHVSNFDIIAGWLWKFGTVAMAPDSNEVMSLVMAVDARGRKTTKAADNTGGEDGIVVPVCLPAPAMNRLVEEMGKLHRAWVDDETTLQQPLALFPVIKRSAL >Dexi3A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:3A:7413005:7414195:1 gene:Dexi3A01G0010410 transcript:Dexi3A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGTIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >Dexi7B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:7B:21224528:21226404:-1 gene:Dexi7B01G0015180 transcript:Dexi7B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLVPSSSPLTHARPSTQRRPCVSAAAVTVRCAASSSSSTPSSSSASESAAAGKQVAKVHSYGTVDYERRAPLRWGTLYRRIAVGNGGRPVGRTLGAWDEGERRLEKWELCRIAKELRKFRRFSLALQVYDWMTDRKDRFPLSSSDMAIQLDLIAKVRGVSHAEKYFDELPDALKDKRAYGSLLNAYAQAMMKDKTEDTFEQMRKKGFASDTLPCNVLMNFYVDVGEPHEVSAIIDEMKERNVSFDVCTYNIWIKCCAAKQDSDEMERVFSQMIADESVIANWTTYTTLASMYIKLGNSEKAEEFLKEAEKRTTGRDKKCFHYLITLYSHLGKKEEVYRIWNWYKATFPTIHNLGYQEVLSALVRIGDIEGAELLYEEWASKSSSFDPKTTNILLAWYAKEGSVTKAEQIINRFVEKGGNPKPNTWEILATAYLKDNKISEALSCMEKATGVKSASKWKPRPTNVESLLASFKEKNDAESADRLVSVLTSRGCAEDEEYKSLINTYSVAGT >Dexi7B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:7B:13937016:13937449:-1 gene:Dexi7B01G0006280 transcript:Dexi7B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSYDELLCLETLDIIFCNNLKAIFYDNAVQLPRLRRIHLQELPLLEHLDVDNPILTVPMWEELHVRGCWSLRHLPRLKQQPDKQAVKVSGERAWWTKLRWASPSHRGSYEPRFPLASTSFRERVIIKSYLR >Dexi9B01G0043580.1:cds pep primary_assembly:Fonio_CM05836:9B:43522530:43526185:1 gene:Dexi9B01G0043580 transcript:Dexi9B01G0043580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTTSPLPLLLLHRGTANPSPASLSFPSSLRASTLRSRAAAAPPAETLSDDGIPDAPPEGEGTGIPLPSSIGDDGEQLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >Dexi5A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:5A:6868783:6873924:-1 gene:Dexi5A01G0009160 transcript:Dexi5A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLAENIMAAPAMVVYWESGVLHPERSLSADRVSSVKRAYALICTTSCQQGCIRHDCCFFGLFFSSGTFNTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYEIIFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGKTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMAVELNTFFLKFCLWIPPRNPLIIYRLVLWWLIAIPTIREYNTYLQDRKPFKKVGSFCWLSLAICIVELLICIKFGHGLFPKSMPSWLITFWTGVALLLMLFLLVWTCKIYRTMIKKRL >Dexi4B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:4B:4855370:4857189:-1 gene:Dexi4B01G0006980 transcript:Dexi4B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVSGGGAVLLVAVALAAVAATWLWAALARLVWRPYAVARAFARQGVRGPPYRLYFGSSRESNAMRAATSGLTLDRSSHDFIPRVMPQHRAWTSLYGKVFLLWNGTSPALCVGSYDMVKRILSDKAGLYGKPDPPPSILALMGMGLVFTEGDDWSRHRRVVNPAFAMDKLKLMTGAMAACAGEVIRSWESRAAATTTGEVTVEVGHQFTELTADVISHTAFGSSYKQGKEVFLAQRELQFIAFTSLNTNRFVPGAQYAPTKSNLRRWRLERKVRDTLVSIIDERMAAAKEEGSGGYGRDLLGLMLEANAGEDGKRAMSRDEIIDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVLRECGGAETPITPDALTKLKLVTMVLYETLRLYGAVTLVARMTKKADAELCGVKVPKGTLLLIPIAMLHRDEEMWGPDAGEFNPLRFRDGVGRAAAQPSALLAFSVGPRSCIGQDFAMLEAKATMALILRRFAFEVAPEYVHAPADFLTLQPMQGLPIVLKLLDP >Dexi2A01G0032690.1:cds pep primary_assembly:Fonio_CM05836:2A:43081468:43084456:1 gene:Dexi2A01G0032690 transcript:Dexi2A01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPNKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVSMPKEATTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDELNPPKGGVATANGPPKK >Dexi4B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:4B:18874648:18875711:1 gene:Dexi4B01G0016700 transcript:Dexi4B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNCVLAAALEHHAVVSSPLAAAPPRQGPVLCRAMSEGDLEAQLAAIPSVMMRGKKKDEVVGHGGRAPRLSLSASSSSSASIFLEEEEEEDNEEEYEPEPEVAVAGADVPLPLRRLLTSTGLDLSAAAAREAAMVLAEQGVGGGGVKASGGHGGDAAAGDGDGGHRAAADAHYRQMIEADPGNPLLLVNYARFLKEVEGDAARAQEYCERAILASPGDAEALSLYAGLVWETSRDAGRAEDYYSRAVQAAPDDCYVLGSYAGFLWDAEEEEEEENCNAEPVPAPPPPSPLLGVAQLPSIMAAS >Dexi3A01G0005010.1:cds pep primary_assembly:Fonio_CM05836:3A:3245304:3252928:1 gene:Dexi3A01G0005010 transcript:Dexi3A01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSGNGGNASAYFDVYGRNVLLYFQLIPLRLVYPVQAKPDVVFKEAALNSKLNLQDVQGLVTWVIGDGMLPSWVFVKLSLTHYNISIVGFQNKPLIPKVVLLYVPGLDAALYMSQIRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSSKPDGEEKISSLDDLKDIPFPVTYYTLSEKDLEENGYSFNVSGFVPTVSAPSGSPPHKILALDCEMCVTGAGFELTRVTIVDIKGEVVLDRLVKPANPIVDYNTRFSGITAEMLADVSTTLQDIQEEFVGLVYKETILVGHSLENDLTALRISHGLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDAKAALELAILKIKYGPDFGSQPSLSRRKLTSILHESGKKSSLIDDVSLLERYSDTSCNSIAVFSDDDALSRSMKEVKNDKVSFIWTQFSGLMSYFSIRAQDPEKVKSCVAEAIALKTCDAKTASKKARRQICPELKEILCELDKKIRELYGALPDNSMLIICTGHGDTPLVQR >Dexi5B01G0033690.1:cds pep primary_assembly:Fonio_CM05836:5B:34024956:34028857:1 gene:Dexi5B01G0033690 transcript:Dexi5B01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLAPRSLRKASVPPALLSDPTPGSLQPTRLAVHVNGDGSSCSAYLGSGCSVYKIEVHIPTSIAPFEVFLNLFFSLFLFFWSSKISMDGATLSKGKESLLIPDNAQVISSSVVDRCPHRSEIQSVALAEGKGDNCLILGTIDSYGHLIVSRLDVVADGPPIQYYLVIVVLGKAVGLGLWYPSSFSFVQCSAQVNESSSLLAIAEGSQLSIWDLRMNSNGGCVQRISGSIGDIIYSVCSSPSGPIAIGGTDRAVTIYDPRRLNSLDPILYVGGLLCQDGWAAPNMRLQAFHSHQLTNLLSTSKVLTMRLPVDVGKKANEHSHFEAIPIGWAFQSVPILMW >Dexi4A01G0018110.1:cds pep primary_assembly:Fonio_CM05836:4A:22041316:22045778:1 gene:Dexi4A01G0018110 transcript:Dexi4A01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALPEGRTTTMPTGSHGDAAAAAVWQQLKSPVVVPLLRLSVALCLAMSVMLLAEKAYMAAVVLATRLLGHRRRPDRRYRWEPIGDGDDDVEAGGGGGGEAYPMVLVQIPMYNEREVYQVSIGAACGLSWPADRIIVQVLDDSTDPVTKELVRGECERWASKGVDVRYEVRDSRRGYKAGALREGMKRDYARGCDLVAIFDADFQPEPGFLRRAVPFLIHNPELALVQARWTFVNADECLMTRMQEMSLDYHFTVEQEVGSSIHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLMKVTLWKKIHVIYNFFLVRKIIAHIVTFVFYCIVIPATVLVPEVEIPRWGSVYIPTIITLLNAVGTPRSFHLIVFWVLFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALRMKLPGKGSKKLHMRIGDRLHILELGVAAYLFFCGCYDVAFGNNHYYIFLFLQSIAFFVVGVGYIGTFVPLS >Dexi2B01G0021930.1:cds pep primary_assembly:Fonio_CM05836:2B:31576144:31582668:-1 gene:Dexi2B01G0021930 transcript:Dexi2B01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTALSLARSVLDGILSGARAAVFDEVARLIGVPNEVEFIRNELEMMQAFLRVASAHPEAAGRTETVRTWVKQVRELAYDIEDCLLDFALYAARTSPSRATALLPGAIAERHRIAARIRDLKASIEALNQRNLRYRIVVDGPGAASAADQQQAAAATANGGHDAASSTAAELAFQESDMIGRLSEKARLTELISGAGPGGEAAAGRRRMLPLRSLVSSFLFHHVVELLCVPSIGGPGEAAIPASSPRRPDAVRVVSVWGMGGMGKSSLVWAVHNDPVLLDEFDCGVWVTVPHPLDNPEVFRWRLRKELGVARGVDLGQHLREKRYRVIVDDVHTNEEWDTICQVFQFSNTKGSRIIVTTRREDVAKHCAKHVAEWQGDVYELKPMGDAESMDLFCKKVYKTTKYTLPEDMAEQAKHILRRCRGLPLAISTIGGLLANRPKTRIEWRNLHEHLGAELESELNRIPKVIVSSYDGLPYDLKSIFLYLSIFPENHEIRHTRLLRRWMAEGYITRNHGMPMEDRGNRFYKDLINRSMIQPSKVSPGETKCCRIHSMVLEIIQSRFIEENQLFLIKDNNEAPQSKIRHLVVSRWKSRDEKLKNINMSHVRSLTNFGEYPSSLISPKMRLLRVLDLEDTINMKNDDLKHIGELQHLRYLSFRGTDISRLPSSLQNLHYLETLDIRDTKVRQLPSGIVKLEKLLYLDVGINFTKDLLHKMRDSRGRGHEGRLFGDIEAYLGCNRSECCEVFNVDHFGVRAPEGIEKLKNLHMLGVVNVARTNGVPGRFKKLMNLTNLRRLGVTGLTEEEGQELCESIGGLNRLQRLEVRSVSIKFPARMDEQEVPRHLTSLRICGKLNGMPEWISLLNNLAAVKLLGTRLNQEDITRLQNLRSLSYLGMWENSYIEESLRFSATTFPKLKFLDIDGLEKIKALTIWDGAMPQLELLWLNKCRSLHDNSFGVSGVQHLQSLKELILKNCGEKQNLIDILQEQVNNHKRRPRFLIGKSRIVL >Dexi5B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:5B:5335517:5336219:-1 gene:Dexi5B01G0007870 transcript:Dexi5B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFVCLACAVWSLILMLVAAATASVQADCSAINRCGNLIILEPFQIVMEQGTDTHCGQVGFQVTCQNDTPYLGYHRRINESQYPIPLRILEIFYGNASLLVADLRKLGDLANLSHRDCQSYKFPSTNTSSKIALPLTISPVNKNLILYSCAKPPALAEGLEERTCGNSTFVARVGGSYSEPDNSGRYFLEGCDVIIVPTLRGSGKLNATNYEELISTGFLRRCGHYQ >Dexi6A01G0010110.1:cds pep primary_assembly:Fonio_CM05836:6A:12395522:12396265:-1 gene:Dexi6A01G0010110 transcript:Dexi6A01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMECPSISMALLPILVLALAASSSNVYSSVASVVAPTTERGPPELIIVNQVGRDGDKASFAVQIHDLSLAGFANRTNHWHAFRGYEHIIPNATVLPFSNSYHDLIGGLENLPSLPLGRDPMLDAIHAVSGHDPAAAADEALKRGLATLKVAKFEAVRLNPIRDVVFDGWESGDAHLTPEHLGYIEHFDTICFELTRAKRTGVWDGPFTDLLRSRANIHSFEDARAVVGVAVHRTFDQLLRAHARSA >Dexi9B01G0023910.1:cds pep primary_assembly:Fonio_CM05836:9B:19353151:19353829:1 gene:Dexi9B01G0023910 transcript:Dexi9B01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLIGRDESNGMWRLLKIDRLEPTSLSVVEDPTCYTAIERDDLLRRIHAGNKVTGGLKRIECCGIIGFIKFLGPYYMLLITESRKIGNILGHDIYSVHKSKIITIPSSHVLPSNMI >Dexi4A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:4A:883321:885057:1 gene:Dexi4A01G0001350 transcript:Dexi4A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVLAPLLLLVFLSGSSSPLVHAGADHGDDYRIVAMSSLRPEPTCWGHRVIPPYNGSWVPLSRPMGPCSPLGAAAVASLNDLLRQDQLRVDDILKKLSGDVRDNKDDGDKPPADLEETQYNYDPTIKTKVGTQTPPPSDSTQGLSIDPEAAAASSSSSPRWSSLPGVEQTVVLDTASDVPWVQCSPCPVPPCHPQTDTFYDPTRSPTYSAFRCNAPTCTRLGPYANGCLNGQCQYRVVYPDGSSSSGTYSSDRLTLDPTNAISNFQFGCSHAVRGTFNRRTAGIMALGGGPESLVSQASSTYGDAFSYCLPPTASYHGFFVLGTPRVASSRYVVTPLLRYKNVPTFYRVLLRAITVAGRRIDVAPSAFAAGAVMTSRTIVTRLPPTAYVALRAAFRNSMGMYRLAKPKGGLDTCYDFTGVGKVRLPRIELVFDGDAAVELDPSGVLFNDCLAFVPNRDDAMPGIIGNLQQQTIEVLYDVGGGAVGFRRNAC >Dexi3B01G0004440.1:cds pep primary_assembly:Fonio_CM05836:3B:3032741:3033238:-1 gene:Dexi3B01G0004440 transcript:Dexi3B01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPDVSSRGEDELYNAAAGVAAAALALWLLPVVLLCLPLCCAARFRRRLRKKLQSMRATRGNCAARGGHSEIITTAVDAGDRAWLLHRYLHDQMELVAAPPPGQAHAP >DexiUA01G0007140.1:cds pep primary_assembly:Fonio_CM05836:UA:13663415:13665893:1 gene:DexiUA01G0007140 transcript:DexiUA01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPIHQVEQGVTKDDDGEEEQQTRDWAGLPLDTLLAVLGRLDLADVVLGAGHVCRPWRRAAREEPALWRRIHIGRSSKLGTYYRFEPGARLAVRRCLRWCEAFSADDTVFLPDAAPQLKSLRLTLTPLNVICKQDLNDAIRKFTMLEELELSLASDDTALYSSGSLAKTCAVVALSCPLLKCFRLNKYRFHWQSQFGDDEAMEIARMPGLRSLQLFGNSLCNASLAAILDGCVSLESLDIRHCFNVEMNEEMRAKCARLQTLRLPEDSMDDYELSFGCPKMEPDSPGTPGDPDNIGSSWYFR >Dexi8A01G0011260.1:cds pep primary_assembly:Fonio_CM05836:8A:19846465:19847952:-1 gene:Dexi8A01G0011260 transcript:Dexi8A01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSPITVVEETPTRPDRPVGRAAVLAIGTANPSHCVRQDEFANWYFRRHILPTEEMLDAHPQILDRTLPSLDTRMGIAADALPEVASAAAAKAIAEWGRPASHITHLVVTTSTGGAAAPGIDLRLAALLGLRPAVQRTLLYLHGCGGGASALRIAKDLAENTHGARVLVVGVETGATAFRPPDEAHLEELVGASLFADGAGCAIVGAIADDDRAVQESRPIFHLVSAAQVTMPETARAVELRLGEVGVEYRLSALLPSLVRDSIGRCLMDTLAPRGLAGGGWNEMFWAVHPGSRAILDSYEAALGLEPKKLAASRCVLSDYGNMLGATIFFVLDEMRRRRQRLGEGGGDDEEEGDRKCVWGVMSALGPGITVETMVLRAAGVVDEH >Dexi1A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:1A:3475547:3479227:-1 gene:Dexi1A01G0004760 transcript:Dexi1A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARASHLRALLSRALAPSLPPPPARALPQITGLGASPFGAAFLPRARFFSADASAAAQGGSKSPAAAATGGDGGADGQSGKSEQDAGKSVRGGPVSWLSFLLLLLTGGGIIVYYDKEKKRHIEELKNRNSAVKPGQSVGTAAIGGPFKLLNHDGKPVTEKDFLGKWTLLYFGFTHCPDICPDELQKMAAAIDKIKEKAKLDIVPVFITVDPERDTVEQVRDYVKEFHPDLIGLTGTKDEIRQVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDTESLAHGIIKEIKERK >Dexi5B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:5B:8534972:8536837:1 gene:Dexi5B01G0012000 transcript:Dexi5B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASHGNQEVDLPCWPRLGFALAEKTESMQQLQEDDEVAMVVHERILKQVKMDDYGRYDPSPTMAKPHFKDIPN >Dexi2A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:2A:8293083:8294617:-1 gene:Dexi2A01G0008040 transcript:Dexi2A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIKAGSQDVVSSVDRLSDLPDGLIHTVFSFLPAPKVVPTCVLSRRWRSLWRTAPYINIDEKDFGIYRRSSRRDALKKRTRFEDFATKLLLFRDNTLPVGEFRLSTRVYNQRHVDQWIRRGFEYCPSVLNLILRYPRIKLPPVVASNVCHLKKLHLCGVDLDSHFTGLLCSSCPVLEDLELEHWTAPRHRPPLVSRLLASPTLSMPGLLLGLVVQVATEDPGHGKPLAGARSA >Dexi9A01G0048470.1:cds pep primary_assembly:Fonio_CM05836:9A:51258181:51262099:1 gene:Dexi9A01G0048470 transcript:Dexi9A01G0048470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAPKEAAAAVAAAGRKTRVGPYEVGKTIGEGSFAKVKHARDSRTGAVRAIKVLDRNHVLRHKMVEQVMASKTKIYMVLEFVDGGELFDKIVNSGRLSEDEARKYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGTLKVSDFGLSAFAPQTKVLADKGYNGMAADVWSCGIILFVLMAGYLPFDDSNLMKLYKMICQANVSCPPWFSPGAKKFIKRIMDPNPDTRITIAEILKDEWFKKDYKPPRFEQGEDVSLDDVDAAFKDSEEHLVSVKREKPESMNAFALISRSQGFNLGNLFEKEMMMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWKAESDLSKKQTK >Dexi2A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:2A:11197872:11198890:-1 gene:Dexi2A01G0010020 transcript:Dexi2A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSIDGRCMDYGVANAAASPPPVSSLAPMVHRPDCRSRRQDLIKQPIHGFDSDRREGRGSALKAQTVESWRTVSSWTIVGPSKQRRCGGLSPCGWRSPGGGGGGSVRTSTFGRRRPGQTAMMAGASRRSGDAGRRALGREPPMGSRSLGAPRWKGRRRGGKARRGFERERKARFREGRFAGYIDGRGSRFPF >Dexi4B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:4B:20287320:20295023:-1 gene:Dexi4B01G0017840 transcript:Dexi4B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGARSSSRPQLTSPAGELDLCCGKFGARKRGRCGHHGHREVGRTPDAMSFLASGRGRLLWELSRRRAPLPQRQGVCAALVRRYSAHHFSLDVVDDAAEQAVEPPVSLAKSLAFLAEESAEAIQGQRKPLTRMERKRLAELRIKKRVKAQYLNGKFYELMGKVVANAETLEDAYDIVRLNSNVDLASAKDDVCFVTLAEQLRSREFDVQANAFSVVAKRRGEGCLVLPRLNLIVVQEAIRVVLEVVYRPQFSKISHGCRSGRGYHSALRFVSDEIGVPDWCFTVPLHKEVDSNVNSKLISLIKEKIEDTQLITFMQNMFDAKVINLVFGGYPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICLKHEGLGSEATDISEDHGSNLLSGSRDVAEDMKSEIVAYLRKSLYLEVDDRVETKESAKLKAVHKLKEKVALFAFQKQEIWDAMNLRVGKKWLAYGLRRIKESEINQLGLSTPLLDHIAKFRKEGMKTDHWFKTLLKVWMQDVNAKNEINEDVLLSKYIAEPALAQNLRDAFYNFQKQAKDYISSETAATEALLSNLKTEESISTFTDDGFPRHVSALVLQDDELIVLGMTSGRGKHELSASLSLGSAARAHEFGYRSEASLRLV >Dexi2A01G0036320.1:cds pep primary_assembly:Fonio_CM05836:2A:45871781:45874664:-1 gene:Dexi2A01G0036320 transcript:Dexi2A01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAVHHFLLLAVTMAAFATPAAAEDTILAHKCSMPAPDNTTTSDAAYRSNLNALAAILVAGARANGSAIGASGAAAAADAAYGVALCRGDFTGDACARGLADALRSAINDSEAAFGCGQQFRDVTLFYDRYQLRFSGEDFLSVSGDGGDEPRWAGNNTNFVTPSDAARRFDGIVGELVTTIAGVAAGRPDRYATGRSRFKEQGLTLYGLVQCTVDMSPERCRACLDGLISAFPATFPSGQHGGRILVPRCTVRYETDDTFFNTDNLSVDLHKPKRTLEEGNSGFSLYEFSQIKGATNGFSIDNKLGQGGFGIVYKGLLPDGLEIAVKRLGACSLQGLLEFKNEIQLIAKLQHRNLVKLLGCCIEGDHEKILVYEYMPNKSLDLIIFGNKKGVSLDWPRRLNIIDGISQGLLYLHIHSRLCVVHRDLKASNILLDSEMNPKISDFGMARIFSSSVAESNTTRIVGTHGYIAPEYASDGVCSVKSDVFSFGVLLLEIISGTTTTGSYRYNGKLYKLIAYAWLLWKAGQWHELVDHCLGIRERYNFTMERYVHVALLCVQESADDRPAMDEVVKMLSSGEGVPLPEPKQPAYFNVRPVGTEMSASCDMSISITLSR >Dexi5B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:5B:10851527:10852891:1 gene:Dexi5B01G0014440 transcript:Dexi5B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERMMGCQIPAFGVWNYCNDLSITQYFDSAMQARLMKRWNRQGAVIRREVEKHCSADVLQDVTVQAEVGAYPLEHSKVVRKPVDEDLYKVPQPLLYRKPRKK >Dexi2A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:2A:33679123:33682136:-1 gene:Dexi2A01G0021590 transcript:Dexi2A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGTGVSPESEAAAAAEKGGEIWGTLEELLLACAVTRHGTASWDSVAMEVQTRSPLATRPGLTPHSCRLRFRHLRRRFSTAGSGGDEEEVEEDPDASAAEGWVDELRRLRVAELRRDVERCDLSIGSLESKVNRLREKRERSVSGEAKPDEASGNDLLSGEEPGRSCRESNSTDLKPLKHPDHQGVGGAKEEEVAKQEASGASAAASKESSDVRSSASLCRRRRGSLKADEEEEAASAPCPPPARSPPLAALLDAVAAKLIPVLRRLHEHEDEEADAYRSTIRRHVDLDTVRRRVDTSVGSRAADDDDHYPAHELYRDLLLLCTNAVVFFPRGTPEHAAAVDARALVTVHASSSTAAREPKQEHVAAPAPAPAPAGADIVGSLIEKGGKPLIVCRKRSSITKAAAAARKEESAAKGEPEAVEEEESEDDKKATGTLEKTRGPRTKKKGRGGGASRSVGLKTAKETADDAATAIDGAKNGAAAGGPAKKRKAVDFLKRLNQSPSRKRGSSLGTTRKRSAAAAAAAEQESTARKRGAGRKEGTGRGGGSRRGGRGTGTKRGRGVGRPLKRGPAPATPPPSKRAKTSTRSEKSSGTGKRGGRRQVG >Dexi9B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:9B:14848616:14851386:1 gene:Dexi9B01G0020120 transcript:Dexi9B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLPSRPGNLILSSSSSPRWAFLPARVPRLAMRSKRIAMERKLRVTPGRRPEVVVSAASAAGSPQFSAGENENPYEILGISSLDGFDQVKIAYKRKRKDAECSCNWEYLVKLERAYDMVMMDHLLNRKKGVAYGSIQVSKDIRFADYQPIVPWGPRYSRSTVKDLQINMAISAAFIMCISTLGHADWKPLQFLCFAYFYRILEKLKSTESPIAPIYNKG >Dexi7A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:7A:472967:476676:-1 gene:Dexi7A01G0000300 transcript:Dexi7A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGAGNGEVVVVGRAEIDTRAPFRSVKEAVALFGERVLAGELHAGRRLSSSINENRAAVTRSNHHAIRATTGGAVTPATPRLAPPVTAELAVAKQELEKEREEKQKMAGCIQSLQEELTHAMRELKKLKARDADGAATTAKVIDLEVDEGLSEKQPPRRSADGIAGDARVGELQKKRYVTFADPPCRAPPLPDVVMELQHHAPPQPQPQPHYYYREPRFQRQMSAGHEAAKAMAEEGRKKKKKPLIPLVGAFFMRRKKSSSGCHDDSF >Dexi2B01G0022450.1:cds pep primary_assembly:Fonio_CM05836:2B:32099189:32101252:-1 gene:Dexi2B01G0022450 transcript:Dexi2B01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTTYSIRVSSETHKIEAWVVSDEALARQLQEEEDTRHGAADTREFAGNVSLEASSPAVEYRPAQNAAQVTREDNVDPDNMSYEVCVKFSCHMQLQALGEAVGSQSRGLSDELISYLEPFRHKCTFFSRKISEECVICKTTYKSRQKMIRLPCSHCYHANCITRWLKINKACPVCNEEVFG >Dexi4A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:4A:8987005:8988660:1 gene:Dexi4A01G0011110 transcript:Dexi4A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDCNKERGVSSPDNCSSICTEGTLIQANPLSHYWKAKGWKSHNKLGNQKSSNESVPRDSNPKQDDGTSGEATASTGGVRCFTDLPAALVCEVLARLDAKDLGIVSCVSTVLHTLATDHQGWKKLYCERWGLPNLPATLNGPLVPGGPLDGKSWKTFFVEREFRSKSFMGKYNVDVLRGHNEDVRAVFLLASANLIFTGGRDSVVRIWNMEEGLLIDTSRPLGGTIRAIAADTRLLVTGGTNTYIQCWRYVEGNDHLFHISGNGTDQNSEFRLWGHEGPVTCLALDSLRIYSGSWDMTVRVWDRAHMECVQKLMHADWVWDLAPHGNTVASTAGRDAYVWDIRNSELISLISNAHVGNAYSLARTHLKDVLFTGGEDGAIRLFNVSDVSDDEISKPVATWVPHSGPVHSLDFEYPWLVSASSDGRIALIDSRKLLTPKKSSKGSFSIKSVDASAVEPPRRMLHGFGCDLFSIAIGADRIVCAGEDGAVRVWNFSEALEIERRAQALRSLRQENRMRRRKAQAEMNATGRRPDQCSIAMKKNQLKGDKSVT >Dexi8A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:8A:19820625:19821556:1 gene:Dexi8A01G0011240 transcript:Dexi8A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFQHIDAAIEASGIDGASRDEFRRARGRIVELLRDASTGDGGGEAAAAEGLGLGLLLDEAMAGSLATLCAVPVGRIPALLAAAPGGLVGAVGTLMKAHASERVRGLARDVVREWKLSVGAELARARTAMDALNGISDDTRPKKHEEAKKIIPEEKKEKQRPKKMAVVSTSNAKRPSANTEAPAAVPGQPPRKPTTNTAVVSVGEEQRKMEATKRKLQERYQETEDAKRRRTIQVIKPPRPETKAGQRQSIAHPAMTRARGPAASGTVERRVVMKPSSLV >Dexi9A01G0005980.1:cds pep primary_assembly:Fonio_CM05836:9A:3357555:3359335:1 gene:Dexi9A01G0005980 transcript:Dexi9A01G0005980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHPETLDCRLLGRTGSAVLLVVAPRTETLHLAALQEHQMLGQPLHLAALQERQMLGQQVYYSAVQTVSQILVLVQAKVLLGDELELKGLLKVEVDEAAEVLANTLVLAAVDALAEALGDENVNPVPEPELNGFANVDPAPNDELPNPDPDVDVVAPNRTVPGPDEAAPAPKDIAPGPDEEVPAPKDTVPGPDEAAPAPKDTVPGPDEAAPAPKEKVPGPDEAPPAPAPKGTVTDPDEDVPAPKAKIPGPDEGADTAKADEVPGVDDEAPTPKDMVPEPVDEAPIPKDKDPDPDEDAPTPGVKDGNGEPNCGFGLWDGCCIGASDCVGLPPKRLGSANDVVEVLDGAAVAEPAASLEAAKGEKVGAAVIVELEMGEVRSFDDGLLAESEAVDFTPSVAPPIPDDRVLVGFTSAAVPSDLAGATIDTPTEPLEEKLYAGDDDEIAGLLLDTANMVEAPELTVGLSVGVTIGSFGDEE >Dexi9B01G0030720.1:cds pep primary_assembly:Fonio_CM05836:9B:33179717:33183765:1 gene:Dexi9B01G0030720 transcript:Dexi9B01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAVAKNERKVGGGGVSNGGGGGVNGGRRWKGGGGGRQHPIIQAYPALLPLPIHAAHGRRNGAVTLPLPPPLLVYLHQPPPPSLLFPKAAACYGKPSSAPPQRGPAWRSRKPPPPPHAVTAALLPLPHDTQLLQHKKFLVRDNETSDMKANHVSTHQNSPITLPAVTVAPRPDGGGVEGTVIPLYANHFLVRFDPSKKIFHYDVHIFPHPSKETARMIKNKLVEENSSVLSGSLPAFDGRKNLFSPIEFQKDKLEFFVCLPAATSARFTAAKENGHMLDKKKHKVFRVNLRLVSKLSGEDLNKYLNEEKDGIPLPQDYLHALDVILREGAMENSIPIGRSLYSHSMGEAKEIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHENIGIIAYLQKRCDFMKDISQMKTRALAEDERREVENALKNIRVFVCHRETDQRYHVHGLTEETTENLKFQDRSGKDYTVVDYFKEHYNHDIKFRKLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSKMLKMGCQRPSERKGIIKSVVEGAFAARSKSYADQFSLQVSKDMTQLSGRVLLPPKLKLGNGGRIKDITPDRFDRQWNLMDSHVAEGSKVKSWALISFGGSPEHQSLVPKFIKQLSSRCEQLGILLNKKTVVSPLFERIQLLNNVGILESKLKKIQEAASGNLQLLICVMERKHRGYADLKRIAETSIGVVTQCCLYSNLSKLSFQFLANLALKINAKLGGCNVALYNSLSCQIPRIFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEHLDAMAGELLEEFVKEVGKLPSRIIFFRDGVSETQFYKVLAEELQAVRLTCSRYPGYKPSITFVVVQKRHHTRLFHREKNGGSTHYSDQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSDSSATSRTTLYRSAPLQTAPLPKLRENVKGLMFYC >Dexi8A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:8A:2433369:2435932:-1 gene:Dexi8A01G0003400 transcript:Dexi8A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVVASFLLLLCCQAAAAAAGATSSHRRPPPLTRHHASPLVRQRRHVTAGDDDVTTAVPAMVQYETRYYTQHLDHFNALPASYGTFQQRYLINDTFWAGKTSPIFLYAGNEGDIDLFTNNTGFMWESAPRFGAMLVFVEHRYYGESMPFGSKKKAFKDASTKGYLTVTQALADFTSFVLRLKSNLSAPAAPVVVFGGSYGGMLAVWMRLKYPHIVMGAVASGSSVRDIPSLLESAMVNAAMTDYPTPSGFLAPLPGYPVREMCRAVDHPSSGNDTLAKVRDAMNVYYNSTGASPCLGGEEDGDPYGMYDGWNWQACTEMVLMTYGLSNRSIIQPPWPFNFTDVLDSCRNATGLPPRPFWLEAEFGGYDIGNVLKGSASNIIFFNGLRDPWSTGGVLKSISKSIIALVEPKGAHHVDLRFSSKDDPEWLKKLHLLVL >Dexi9B01G0021310.1:cds pep primary_assembly:Fonio_CM05836:9B:16058480:16067247:-1 gene:Dexi9B01G0021310 transcript:Dexi9B01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWKQEDEREDADGRKSQSARKHGYADGVDNPDDARWGSSTDRNDSRRKSVSGSGQAYSDNEDDHDVRRDSRLAKVPRRSPEEKSERPSDGYKDRHENMRSRQRERTNDCGTTAEWSHGHETLDGGSFQGRSSYRKDSRGRYESSKGPSSYGNRYDSSDSIGIRPNRNLDFGREISVSGRRTSMPAHQDLAAGANDPAEEDKRNYRSEEDSKEKYYDDFENTNQDTGKGPVDAPTARAGLRGSITSDTQVPGQSGSNSLASPIPEQGSKGGKLSRGVRGRPNGRDLQRMGGPVPMMPPPPFGPLGLPPGPMQPMGPNMSYSPSPLGPGIFMPPFPGPLVWASARGMDVNMLAVPPNLLMPPLAAGPGFSPSAGADPNHTIELDQTTAGRGGLTSAPVPGFNPMATPSHEMLHDKRPGGWTPQRNSGPYRKAPSRGEQNDYSQNFVDTGLRPQNFIRELELTSVVEDYPKLRELIQRKDEIVANSASAPMYYKCDLKEHVLSPDFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWTAEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPGLRHDSNTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKSLSTSNFNKESYIKNFADTDGKVWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPQKNQQSMPTMGSSSSTNRRSGANTPQNVVTVVGSETMMPTPWASTSIAGFGMPEGGAGSFGRTSSDRMDFDTPRML >Dexi8B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:8B:21225295:21231790:-1 gene:Dexi8B01G0011970 transcript:Dexi8B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANPNPQHKPMPPPAAPAAAAAKAAAHNPQAASPKASSSSSSAADGKPNKRTHPGTAAAAASTSSGPASAPQDPAPSLNGEAGRSPLLPAPQHPHPPPLGASPLLAPPPLPSRPLLTVAAVDAVMAAIPPPPPYGLEDLERRTVALSDGTVRTYFALPLEPPPQLRQPPPPIPAHLLVPPPPPPPLPRPPIERWAPPPMPPMPMPVLLPTAGLLPMPPPLKRKWEDQPNGGAPGQSSGRQQQHKAEAHAAKQLKEVKVEETGVDPKALKSSFLKMVKLMNENEADKKNYRANGKLSQLKCPVCQRDSVDLHALLNHAYHAKNAEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTADAEANQGDLILWPPTVVIENTYKLKNDGQKDAMSNNEMESKLREMGFAGVDVQPLPGKEGQKSMQAKFPASLDGLNKASRLVELFERQGHGRAAWARIRSIAATAEGGNHPMLVKVDGKGERTWVLYGYLATAWDLEIFDPESKQNVTVKSRKELDLD >Dexi2A01G0012060.1:cds pep primary_assembly:Fonio_CM05836:2A:14026774:14028102:1 gene:Dexi2A01G0012060 transcript:Dexi2A01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAPAPAVLDAFFAGPRHHQLRPASSAGGGCRSARLQAQQPCRAAAPFARADWQVACAILASNSGGGGASESKRPAPRVNGQKPAPALEEEEAPPAAVPAELDLVPVSNLPRPLSISDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAGAAEHVAARGLRDTAAIASARAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDNDGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDAAPSSSWSSRVDNSSSGHH >Dexi2A01G0028560.1:cds pep primary_assembly:Fonio_CM05836:2A:39831040:39831675:-1 gene:Dexi2A01G0028560 transcript:Dexi2A01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >Dexi7A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:7A:11505583:11507438:-1 gene:Dexi7A01G0002710 transcript:Dexi7A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADGPREWPVGDNSGWSLGVHGWPNYKPFKVGDVLLFEYQKGTHNVVQVNNLQYSLCEVPENAPVWNSGDDRITLARGVSFYISGVNDDCQNGIKIAPVMAKLGTNNQTGANSVHFLRPLSSTRNATSHRHHEHQGFHGAHMPDGVCKSSAADSRLWPVGDGAGWSFGVLGWPNYKPFKAGDELLFSYKNGTHNVVQVNTVAQYSMCELPRNATVWSSGKDHATLARGMSFFVCGIPGHCQKGMKIAVTAR >Dexi5B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:5B:596613:597296:-1 gene:Dexi5B01G0000940 transcript:Dexi5B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSITLFAVAAAAAATLTSSLLTNAAALSPPWLAIPPPPPPPPCVAPASAVAFLRARCATTLYGVACYDTLIPYACTFHTSHVKLARAATDVNSAWLRGLSKRVKELVAARGGSGAAGAAAAEAAALRDCAGTVSSAAGLAKQTAAELAKLDGAGATAGRKQIRWSVSNAQTWLSASMTNEATCTDGIGVAASLAAREVVIGVVRAKESTNIALALVNGIPVPP >Dexi3A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:3A:9405645:9406629:-1 gene:Dexi3A01G0012910 transcript:Dexi3A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVKILLLSPYSATSTHRLTEQARRFGGDGGSFRDEKRFSPTGSNPLHNFGEKKWRMSGTPDEH >Dexi4B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:4B:5944083:5945848:-1 gene:Dexi4B01G0008230 transcript:Dexi4B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVRAIQGERDLSPVAEKRRPSGVGTVTAVFLLVLPLLLLFFFFGDQAVASIANHPVWQRMKSQSSGNASSSRNPDITTHDHLLGGLLSPEFDAATCLSRYQASKRWKPSPFPVSPYLVEKLRQYEANHRRCGPGTANYREAMAQLMSGRNADHAECEYVVWVPLQGLGNRMLSVVSTFLYALLTGRVLLVHEPPEMQGLFCEPFPGTSWVLPPDFPYTDGFSAHTKESYVNMLANNIVRHDDGDGGGDVSSLPAYVYFHLEQISLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLVPMYRGELEQMFPAKGSVFHHLGRYLLHPGNRAWGIMERFYDGYLAGADERVGIQVRVMPFIPITFESMYEQITRCVWEHELLPQVTDTSGPGAMPSNGGRSKVKAVLVVSLKPEYYDKLHSVYYMNATATGEIVTVFQPSHDQDQHTEALAHNERALAEIFLLSYSDRLVTTGFSTFGYVAHSLAGLRPWLLTLPDRDTMRPAEVACVRPASVEPCLHSAPPLVCRASQDTDPVAHVPFLRHCEDVDIGLKLFD >Dexi6B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:6B:10798817:10799954:-1 gene:Dexi6B01G0008550 transcript:Dexi6B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKALLLAVLGCAFFGGAIVVARELTDDSAMAARHEQWMSQYGRVYKDADEKARRFEVFKANVRFIESFNAAGNRKFWLGVNQFADLTNNEFRATKTNKGFKPSPMKASTGFRYENVSMDALPATVDWRTKGAVTPIKNQGQCGCCWAFSAVAATEGIVKINTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYTAADGKCKSGSTSAVTIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKKGMCGLAMEPSYPTE >DexiUA01G0010230.1:cds pep primary_assembly:Fonio_CM05836:UA:20381634:20382511:-1 gene:DexiUA01G0010230 transcript:DexiUA01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVSRDQLIVEHDVRGLIYLEACIRKAFWLHTYNPPRVAMVDTTIANVTPKGSQVGNPGCGRTRSSFTPSVTYRRWLPWQQAEEPGRSRGSPSASTRRRRGCTGLSLGMLITVMLLARQLQGFGRQ >Dexi8A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:8A:21864536:21865438:-1 gene:Dexi8A01G0012450 transcript:Dexi8A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSPSPSGRRLSELLEEKQEPFFLDLHLLEKGCSSSRLLDGYDTALCWPAVSVSGNDAASAVLKRLTSRKNKTSKKQQPSASGGLLKLLLSKILRGRSAAPPRKPAALQFSDSFKIAAVAPAPPPCAAVKTAGGEAKVEQDKDSCCYSDGEYYSDEEKQQLSPVSVLEHPFESNKMSPSKNNAMDVFRELLDAAYSPALLTQLLAKTNDLVAGDEDDDYYYRTSPKNCREDESAAAYWDTHRAELARVSELVASEVPASKLAAGDVGPERQDVGAEVEAAVFDALLMELVVELGNGCC >Dexi3B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:3B:7366823:7368043:-1 gene:Dexi3B01G0010490 transcript:Dexi3B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATSACKSKTMLLMVFVAAALVMSTPSLAQSPLQYNFYGSSCPQAEATVRSVTEGIIKNDTTMGAALMRLFFHDCFVRGCDASILLDPTANNTQVEKKAIALRGYDAVNKIKAAVEAVCPGVVSCADILAFAARDTAVVSGGFTTFYMPSGRRDGVVSRLFDVLQNIPSPILKLPDLINNFAAKGLTVDDLVTLSGAHSFGQAHCSVFNGRLYPTVDPTMNATFADALKTVCPAPGTSGADPVVSNNRVTDPNVLSNQYYSNLVAGKVLFVSDQQLMNSTYTAAKVANNSGDAATWMGQFAAALVKMGSIQVLTGTAGQVRKYCNVVNS >Dexi1A01G0023280.1:cds pep primary_assembly:Fonio_CM05836:1A:29923473:29925841:1 gene:Dexi1A01G0023280 transcript:Dexi1A01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGALRKSFMLCSSSGAGVREAVPEEDGSAAAVGMQIGGPTDVRHVSHVTFDRFVGFLGLPADLEPEVPRPVPSASVSVFGVSPTSMQCSYDRRGNSVPTILLTMQRKLYSLGGLQAWFRELPSGVLDSLTPEQVMHCNTEEECGHLASTLPPVEAALLEWAINLMADVVENESFNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLIMKTVNEREEAATVARAFPCNFGSPNDKDDPQALNCLDVPSICSSQQNVESPTIDGAKLDQFLFKVEEALHHETQGCIGGAKNHDNSRDNEKDYGEISPLDTDLSSQNQFNNTNEEGLFDRFKFRKGVGRLCRHPVFQFSRSMKKADEAEQACV >Dexi7A01G0023410.1:cds pep primary_assembly:Fonio_CM05836:7A:31386581:31387404:1 gene:Dexi7A01G0023410 transcript:Dexi7A01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVILTCRTQEQKSSVAKGAGSEPEWNETFIFTVSDDTPQLHLKIMDSDITADDFEGSLPPTVHPVVKEEKYCGEIKLALTFTPEVL >Dexi5A01G0035260.1:cds pep primary_assembly:Fonio_CM05836:5A:37098145:37098477:-1 gene:Dexi5A01G0035260 transcript:Dexi5A01G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHAATTTHSPATDDDCGMDQLLQSSRPPAPATRESAPAASSHGSVAWACVDWKGARQLIVIARVRCNWKGNSEDEQGAKSRDAIHAIRRREGGDTMVSSGDDSSLRAF >Dexi3B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:3B:6801450:6804409:1 gene:Dexi3B01G0009820 transcript:Dexi3B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEEVILFPQSPLAFFNVSVPPPPAPPVFHHTEEIRAPEAEQEQSKHVTVEEAPAAPAQASVLPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGDTVKRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNPMFVDPNNLQWGWSWLERWMAAKPWEGRNGADKESNIDRGSVKGMNLSLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSAKVAPVPVRRKSATPKNGLSQVDDDVRSVFSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSALTNGAETPEKGGSAGPVKKRLSFQGGTAAASPMRRHSGPPKVESAVKDIVAPPQPEALVINGGSN >Dexi3B01G0030540.1:cds pep primary_assembly:Fonio_CM05836:3B:30255221:30256851:1 gene:Dexi3B01G0030540 transcript:Dexi3B01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSNSSLDFCSWQGISCSRQQGRPRRVIALDLEGEGIIGEIPPCISNLSYLARIHLPFNQFHGSMPPTLGQLSMLKYINLSSNALSGEIPAELSSCSQLQVLALRNNSFNGGIPVALSNTSLIQVIDLNNNNLSGQIPSSLGNLSFLVSLYAAVNQLTGNVPDSLARLPKIQVLDLTYNNLSGIVPSVP >Dexi4B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:4B:5704905:5708915:1 gene:Dexi4B01G0007870 transcript:Dexi4B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVMPKQRVLEAEQREEAMEMSGLELWKHEKPPRICPMPPTLPPPASACDEATLVPPLNFAMVDDGIFRSGFPETSNFRFLKSLNLRSIVYLCPEPYPETNTEFLEKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDPRNQPLLIHCKRGKHRTGCLVGCLRKLQKWCLSSVFDEYLRFAAAKARITDQRFMELFDVSSLNHLTPSHH >Dexi7B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:7B:14103020:14103523:1 gene:Dexi7B01G0006380 transcript:Dexi7B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHHHLLSHAAAFLPHHDLAHAFRALDGAAVGGGGSAFLGELGIAGCAAGIGDAAFGSATRSELTCNGGEYDGLQPRKRARGAQGLMECGGQQGGLVLPLAAPHGQVFAGDVQSRAVGCGAASTSGRAVAANGVLSQLYHQGVEIDALVCLEVLTPDQSLKSSS >Dexi7B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:7B:22602820:22605190:1 gene:Dexi7B01G0016560 transcript:Dexi7B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTSGSAAAASAQADAAAICAQITAVFSAPTTSHPPARSVLVTELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPAGGGDLLVASAGPGAFSTVDAICGVARGAGARVLLLTARPDGEFPQRQADVVAHLPAQTMADNDEGVEATEMETESSSPRAKLPMGSLYEGAMFVLFEMVVLELARGFKKVVADRWEFANDGFRRGEKHLLGGIQRRKGTCAAGPGSVLPTGIPIISSPPTSSGGEPAVSSSPPRGAAAAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRQLVARYDHGGGSGEEDPGDDGHGGDGGGPSGHRAKPMLFGVAIGAKRPRGAENGGEEDDGEDGGAEEDGEEDEEQDDDERHAARGRGSKAARRNEMSDLDVLALSVRAAAAARPGGGSARDRKSSVS >Dexi5B01G0036380.1:cds pep primary_assembly:Fonio_CM05836:5B:36104938:36105231:1 gene:Dexi5B01G0036380 transcript:Dexi5B01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRVVPYPRRRDWSWRAAHREAPPSSSGGAAAAERNRHILTGGGRRGGGEVRARRRRQSSARGGVMAASSGAVIAVSQRASGPVRVKEWACQLSG >DexiUA01G0010910.1:cds pep primary_assembly:Fonio_CM05836:UA:21711536:21713324:1 gene:DexiUA01G0010910 transcript:DexiUA01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQGFFAALREEVARGLSPARARRKAEAAADLAAAFRFTGGGGEMLAPLMEGPDPDSGDGEGGGCGRGGARGGRKEGWGNWVRGQLTRAPSSAGGAPRNDLRMLLGVMGAPLAPVHVCTADPLPHLSVKDTPIETSSAQYILQQYLAASGGHKLLASVRNTYTMGKVRMVATEIEKGSRLTKNRNAGRGGEPGRFVLWQMAPQMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRQLRRALQGLDPLITASMFAGARCVGEKKVNGDDCFILKLSTDAETLKARSEGLAEMIRHVMFGYFSQRTGLLVHIEDSHLTRIQSNTGGDAIYWETTISSFMEDYRPVDGIMIAHSGRSTVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIIKSGSVDETMELTQGERSRA >Dexi2B01G0023510.1:cds pep primary_assembly:Fonio_CM05836:2B:33022048:33028471:-1 gene:Dexi2B01G0023510 transcript:Dexi2B01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPPTRVFVYGTLKRGFPNHPLLAACGSPFVGAASTASPASLVIGPYSVPFLLPTPPSSPSAGRVVSGEIYAPSPAALAELDGTHIGVYERRPITVVADGSGELVEAEAYFAHPSYAEALWRRCGGEAAEIEVYTADHAARRRRDKGEEGRKGIAMAASPQQQGQGAQGGSGGGGWSPEQFWSLLDKADRRFARVRDLPLLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFLDSDHHLAPAKHLRFLARFLLVALLLGRRAHTVPRLAGDIRALLDDSKKSFQEAEYKEWKHVVQEIFRFLRADSPFMNMRPLRYSYAFDPAPDKLPTVPPTVKKRGLILSDAILCSYYPNEVKFTDLSIDVFRMLQCLEWEPCGSFALNNGYSAHDEGGQNQPNLLKDLRDAALPPNPLKMILNRPSVTHFLTVLATKCEELPSNGIMLIYLSAAGEMGASGFSLDTGEKVRSNFSKFDISSTSHTSSKEDKEPCLWLGCRETEGSNCIYPGDLIPFTRRPLFLVIDSSISYAFKAAPLQAFCLLIGNNGTDISRDAYNKAEELLSSSLNEWATTLVASSSLHPVWVEALGDPLLRRLLLRFIFCRATHSLFKPTSGTAEFLPTCMPPLPDSVDAQSMLSQSCLMRLASHFGAASQFAFAEITTWPDGDAEEAGVAVAGSSASGLK >Dexi3A01G0000210.1:cds pep primary_assembly:Fonio_CM05836:3A:213633:217431:-1 gene:Dexi3A01G0000210 transcript:Dexi3A01G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHLSYCSTPSSSDGLRRFLSQVQGESPADVDRILATLAPTSAGHGIARLVTRSPAPAQPTLDDFFGFLFSPDLNPPITNQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDVPIIKALQRGVRVIELDMWPNSSKNNVDILHGGTLTAPVEMIRCLKSIKEYAFCASNYPLVITLEDHLTANLQAKVAEMLTETFGDLLFIPSSDPMKEFPSPEALMKRIIISTKPPQEYKEFLKVKDNQNGSGNIADLPDQGSLRRIDSNADESDGKEELDEQDEEDSDEDDPKFLQDTACEYRKLITIQAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATTSHGAEVIRFTQKNILRVYPKGTRVNSSNYDPLNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPNGEVFDPKASLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIARVKADSVMKKTRVLEDQWVPVWDEEFTFPLTVPELALLRIEVQEYDMSEKHDFGGQTVLPVWELKQGIRAVPLHDRKGVRYKSVRLLMRFNFV >Dexi3B01G0033720.1:cds pep primary_assembly:Fonio_CM05836:3B:36554248:36554752:-1 gene:Dexi3B01G0033720 transcript:Dexi3B01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLMAAAVLVAGGSAFTPAGLVGGGSPCATAAVKVAYLLCFATSWGATVWAVFISGVIMFLNLPRHMMGSLRGKVFPACFALTAAFAWLHHLPSSSSPADLRQFVVLAAAAGLDLANLLVFTPKTLE >Dexi9A01G0036960.1:cds pep primary_assembly:Fonio_CM05836:9A:41298349:41300181:1 gene:Dexi9A01G0036960 transcript:Dexi9A01G0036960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMANPAMLPPGFRFHPTDEELILHYLRNRAANAGCPVDIIADVDIYKFDPWDLPSRAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAGQTYRPMKFRNTSMRLDDWVLCRIYKKTSHVSPMAVPPLSDHELDEPCGFDDNPYAAASSSSAAMLVHGPFPALHQQAMASGTQRMPRIPSITEMFNDPSLAHYFEDGLVVPDMARLDHQPHQQHHDQLGATTLIGHPVMNHQLLAVNNGSNSLQQGGQMTQMDSSPAGDGKRKRSSETSTSAGTASAGKKPNGSCFGATFQIGNGLQAGSLGHHMLLHSNMGMN >DexiUA01G0013750.1:cds pep primary_assembly:Fonio_CM05836:UA:28907236:28908054:1 gene:DexiUA01G0013750 transcript:DexiUA01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALGNLLYLNNPTSGPYTEFSGILQSQQNCMEMPDPGHPSVMSQDSSARESDMLGSHQGQRTFGPVKDMKNEMLIHMMDGSQSSTADLIHDDAHNGVQLDFGVLSNHSSSNVPSVQGQGLSLSLNTQILAPSLPYWSVKPDMLSPHTYHDSLRVDDIRMKSMQSEASRAIRNSRYLKAAQELLDEVVNVWKNIKRKAQKEQVEPGKTDGKETEGGPKSEGVSSNPQESVANAAPELSTAEKQELQNKMAKLMAMLDEVGVTLSVYYHALF >Dexi4B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:4B:11955311:11960815:1 gene:Dexi4B01G0013410 transcript:Dexi4B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLIESGGMARHRNKRLEKFKLSALYTLTLCSRGPSEDHSRIGTAGFSRVVYVNEPDRHQVEGFNYPLNGVSTTKYNLATFLPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALAPLCVVIVATMAKEGVEDWRRKQQDHELNNRIVKVHRGNGNFEDTKWKDIKVGDVIKVEKDNFFPADMILLSSNYPDGTCYVETMNLDGETNLKIKQALEVTLDLHEDSKFKDVRQTIKCEDPNANLYSFVGTMEWKGRQYPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKAMQNSTDPPSKRSKIEKKMDKIIYLLMSSLLMIALLGSVLFGIWTKEDLQNGELKRWYLRPDATTVYYDPKRPALASLFHLLTALMLYSYFIPISLYISIEMVKLLQALFINQDIEMYHDESDKPTHARTSNLNEELGMVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVERAMAVRKGALLDAEIGNGDRRDKKVDDSPHVKGFNFKDPRIMDGNWIHEENKDMIRDFFRLLAICHTCIPEIDPESGNVSYEAESPDEAAFVIAARELGFEFYKRSQTSIIVRERNPIRNVVKDRKYDLLNMLEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPAGRKFEEETRRHINEYADSGLRTLVLAYRVLDEKEYNEFSEKLNAAKTSVSADRDEKIEEATDSIERNLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIITLEQPDIIALEKNGDKQTIGKASKQSVMDQIEEGIKQIRLSQHSSESFALIIDGKSLTYALEDDIKFKFLDLAIKCASVICCRSSPKQKALVTRLVKQVTHKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAVAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCIQYPQLYQEGVQNVLFSWRRILGWMLNGVMNAVLIFFFCVTAFEDQAFRQDGQVAGLDALGVVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAFWYLFLVVYGVINPRFSTTAYMVFIEQLAPALSFWLVTLFVVMATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGKAMQITRETELEVQE >DexiUA01G0015320.1:cds pep primary_assembly:Fonio_CM05836:UA:32169776:32170446:1 gene:DexiUA01G0015320 transcript:DexiUA01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLLEKAGIGCDPCPYEEIDHTVWEPSMVFYWGLIPEKIIYVDTSSLKEEFMKDIINDVYGKVKCLRSNVAISVVGLSQLPKSTRSHSVHWQYMQHCMLGYQATSEDQNR >Dexi5A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:5A:4104618:4108719:1 gene:Dexi5A01G0005520 transcript:Dexi5A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCLGSIWCVSLAPVPCKPQGVKYELHTLPVDAKAVTDGDTITVYVNVANHPESVNLPQEVRKAATERIKAQMTKNYQQADALQKIMKGSGYRQVPNMRGEQVLTKKYRIRLRGIDAPESLMPYGKEAKEELVRLVQGKSLKISLYDSDRYGRLVGDVDCNGVSVQEHMLKKGLAWHYTAYDHRMELSKTKDGRSTRSLDYLTMEQPALGISDGIYAYKHLCEGGIDIHEIVVKKSSFRILLYYIGTICLLATVYRTLLSKKLRPPAKMLVPIWNALCAFKNSDSTRRLADPHPKDSACSPESKLKT >Dexi3A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:3A:5901067:5906637:-1 gene:Dexi3A01G0008410 transcript:Dexi3A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMAARGGEAFGAEAERDFEVFRSGSAPPTVEGAMGAAAAAGSLFLDDDLRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSHHRLRSSGLGGIGDGRRQPAAAAAEGTVGLPGIDLGRQRSFSTVFQDDSYQRDMDRQPANHNGNDLLGSSGIQYALHRGPGAMGGLHSSNNSRGLDEIQSNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGSNDKKINGGSSSFRRSSSAIGESDDLVAASSGMSLSSRAMSGQTMDQSQLYQDVDNVQKFLFDRQADRTNGNQQHSYMRRPEHGQSKGPDGYSANLANSSTVRNQINAGSFTSFDNLSLGSGFASPRIGSRSPGGTVSSRQNLAGMSNMLNYSGIGSPTASPSLQTPIDPAYIQYLAQLAATCDDPLMDRAHLGNSYMDLLGPQKANLGPLLQSQKQYGYYGNLGFNLGYGGSPLTSPVLPSSPIAPGSPLRHGDRSMRFPSGMRNFGSSFGPWNSGMGGKMDANLMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDFQTKMVAELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSTTESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >Dexi4B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:4B:166625:167736:-1 gene:Dexi4B01G0000250 transcript:Dexi4B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRATPPAAAAAAARRSFSTAPAVATILSCPSTSSSSSTAARCSVGSSAKLSAVQFEPPFRSGSDPWAAADQQNEDDDDDGGGERDQDELVERDGDRKSIPGIHVPRQRYIAVPKAALLDAVLTQFPSDADADADAAHFKRCARCLDAILHAEHKEMLEEMRTSYMLTQRHKESEEDDDQKQTDTSNVQTSSGFFGITQEDGTLFVAGRSLGLRTLLGLTPDPDSQTRYFQRSFMNLLRNAQFEELSVQDLLLTYALNNDYLLTLPIYVDWKKAAESNAIIFR >Dexi2B01G0036100.1:cds pep primary_assembly:Fonio_CM05836:2B:43029487:43031082:1 gene:Dexi2B01G0036100 transcript:Dexi2B01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSHRLRHRRTLSSTLVDESVAAAAALVEKWHPDLQAASLFLHPDADDEPQRFLRAAADLHRAMLFFAHDATTSAGGHGLVEAQALLQTAMRRLDLELQLLLQQQLGLGKGNIIRAVADAMMAAGYGKECVSTFKSRRRAALAAELQSLLCGLSPSSAGDQFHKLSWDQLEDAGVIPTWVAAAPVAFDSLFGAEKDLCDAVFDAPVAEAVFAAVAADQAASLVGVAEAAVARARRAPERLFRVLDVHDALTESLPELLSVFGESSEVAGRAALVVAKVGEATRGALASLEAAIHKEPTKATAAGGAVHPLTRYVMNYLVFLADYQQGLAALLACDDDGESYSSPGAIVTTIIQERLVRALLGKLEAKAGSYKEVALSYLFLANNTQYVANKVAGSGRLRGILGEGWAEEQAAKARAHVDVYVRAAWGKVTAAMASSMTLTTPGGGEAAGVEQAVMAAVGMQDQWVAADEDTAHALRAAATAAVVPKYRMFYRRYGAAVKLTPGDVAAMIAALFTGPASKSNKDGDHTRL >Dexi3B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:3B:5654661:5656338:1 gene:Dexi3B01G0008030 transcript:Dexi3B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLTRTGILPWLQSKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGGVAVAMLGSRCNAVTVMVLNLAATPLELSLIVPFLRLGEALTGSGHFPLTADALKNVLTGHASKEVLMSIVHAMLGWLIAAPFVLGVLYIVSVPCFKVLVNRFGGVPSSPRTPIKAV >Dexi7A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:7A:24023953:24025663:1 gene:Dexi7A01G0014050 transcript:Dexi7A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQTLIPSSFPPSVSASSSSPFLHASDAATPPPGGSRSSSSSALLLGSAMPSLAHHHSLPLDDGRTDALKSNNCFAPQETAEEASAAGAVVEKDGFSVEDLLDLDEFGEPDKEGADNEEAPPAPAAAADENPKEDSPPLSVLTYELPAPPPPDLVDLPAHDVEELEWVSRIMDDSLSELPPQPQPSAALVASLASRPPPVQQRRVPPVGHDGAYRAPPHAHDGAYRALPPAPGPLRTPTICALSTEARVPVKAKRSKRTRGPGWSLSGASFLSDSASSSSTGTTSSCSSSGSFSSFLLLDSAPFSGLELGEGHYNHFLPAPPSKKSKHGAKGGSKQKPKKRGRKPKHLPPNPSAAGGGAASQPASGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGMVATAAPAVASF >Dexi4A01G0019460.1:cds pep primary_assembly:Fonio_CM05836:4A:23244149:23244466:-1 gene:Dexi4A01G0019460 transcript:Dexi4A01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Dexi9A01G0031590.1:cds pep primary_assembly:Fonio_CM05836:9A:36579523:36580221:-1 gene:Dexi9A01G0031590 transcript:Dexi9A01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHLKQYEKEHMKMAMMKQEETFKQQVQELHRLYRVQKLLMTDATNVQQVATPAATRCDLEDERRAAEKDAGSSKSWDDAYSEQGKAAPPQLVALQESELELTLSLGCFGTPPGKKTAARNKETSSSVDSRTSVSSSSTESGSPHRRVVLPAPSSLIGSAAAKPTVVGQRLEQEGLQQPPWLHKCLNLAR >Dexi2B01G0031300.1:cds pep primary_assembly:Fonio_CM05836:2B:39475857:39476117:-1 gene:Dexi2B01G0031300 transcript:Dexi2B01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVAGRTGCECGASARAAGPGSGAEGRGGSSATAILRQASAAYTWRHKRRRHEGAGAVSSTAGQSRLPGGSRDGEAAQAFLYEG >Dexi9B01G0007750.1:cds pep primary_assembly:Fonio_CM05836:9B:4739245:4740612:-1 gene:Dexi9B01G0007750 transcript:Dexi9B01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPRVLVLPYPAQGHVIPMMELSHRLVEHGVKVTFVNTELNHGVILGALATSDSELAGIDMVSVPDGLGHGEDRKDLARLTDSFSKVMPLELEKLVGKINGDAPERHGRISWFIADVNMAWAFHVAKRLGLRAAGFCPSSAAMFATRIKIPEMISDGVLDESGWPRWRGTFRLAPAMPTVDTSEFSWNRAGDAKGQPVIFNLILRNNAATHLAEAIVCNSVHELEPGAFALFPSVLPVGPLSSCSDKPAGSFWPEDTSCAAWLDAQPASSVVYVAFGSLAAYDAAQLVEMAEGLALTSRPFLWVVRPGSATEELVDELRRRAAASRGRVVCWCPQRRVLAHPSVGCFLTHCGWNSTMEAVANGVPLLCWPYFTDQFLNQSYICDVWSTGIKVPRGGDGEGAGLVGREVVRGKVEELLGDAERRRGRSRCRTSPGGPSARKGRRAGTWNGSWTS >Dexi3A01G0025050.1:cds pep primary_assembly:Fonio_CM05836:3A:20664563:20667020:-1 gene:Dexi3A01G0025050 transcript:Dexi3A01G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLLSRLLPLPLRLRPQLRFLCLATPTPTPAPTDDAQAPADVAAERRRRKRRLRAPADVAAERRRRKRRLRVEPPLSRGPAPQRAPGAPRSASNPNAPKIPESASVLSGKRLDLHRRILALIRENDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNILLQAYCDCRRPDAALEHFRLLLKDDSPVLPSPTTYRILARSFAENGKLEQAIELKDGMLERGLIAPDTQVYAFIMGGFVSAGDGDMAIALYEELKEKLGGEPILDGVVYGNLMKGYLLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGRLDDALKLFDRMCMEHDPPKTIAVNLGSFNVMVDAYCRAERFQDAIEVFGKMAEKRCAPDALSYNNLIDWLGKNELVGEAEGLLDDAIKIAKGILLDESVVFSDEMKTLLEGALEKEGRDGDMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEEKKKAEAKAKEEAAARASRAAIEAVLGRKREAEKEELSDGSNAEEAEVVESNSNVIGASEEQSEGDEEKKQESVEASSGP >DexiUA01G0013960.1:cds pep primary_assembly:Fonio_CM05836:UA:29565454:29566674:1 gene:DexiUA01G0013960 transcript:DexiUA01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHTPGATQCAAAMNRQCAPVVLPVQPNKTYRLRVASTTSLASLNLNHKLTVVEADGNYVDPFAVDDMDIYSGDSYSVLLTTDQNPSSNYWVTVGVRGRLPKTSPALAVLNYRPNAASKLPSLSPPVTPAWNDFEHSKAFTYRIRALAGTPSPPATADRRIELLNTQNRMDGRIMWSINNVSMVLPATPYLGSMKLGLLNNSTLAATRPAETFSRGYDVRQPPANPNTTAGANVYVLAHNATVDVVLQNANALAANVSEVHPWHLHGHDFWVLGYGDGAYVGDDADVARLNLRDPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEAVERVGKVPKEAVSCGATAALMNGAHL >Dexi4B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:4B:10376772:10378241:1 gene:Dexi4B01G0012590 transcript:Dexi4B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLAIVLCTHHYIVHGGDEHGFAVVPPRSFKPEAACSVSRVTLEPSHATVSMSLVHRYGPCAPFQNIDKPSFTERLHRSHTRANYIRSRTFEGMVNTLDDANVTIPANLGGSVDSLEYVLTVGLGTPSVSQVLLMDTGSDLSWVQCAPCNATACYPQKDPLFDPSKSSTYAPIACNTDTCRNLAGDHYQNGCTDGGAHCGYSITYGDGSTTMGVYSNETLTLSPGVTVKDFHFGCGEIQRGDNDKYDGLIGLGGAPESLVMQTSPVYGGAFSYCLPAVNSEAGFLALGVQSVNNATGSFVFTPMSHLPDIATFYMVTLTGISVGGKRLDVPPSAFRAGMIIDSGTVVTELQHTAHNALQAAFRKAMAAYPLLPNGELDTCYNFTGYSNVTVPKIAFEFSGGGTIDLDVPNGILLDDCLAFQESGPDDGLGIIGNVNQRTLEVLYDVGRGKVGFRAGAC >Dexi2B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:2B:2180256:2183790:-1 gene:Dexi2B01G0002650 transcript:Dexi2B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVRRALASSSPSAAARWRRPLLAALLSPPPAPPVPARRAPELDPPPRRAFHASPRPLGFRETPASWDESVPGARGAAGEEDGGLEIAKLGISGRIVEKLAARGITRLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRILTYNEKIGSSRNPLAIVLAPTRELARQVEKEFRESAPLDTLCVYGGVPINQQMRVLNYGVDIVVGTPGRVIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVGDSDQKLPEGISLYSIASDSFGKPSILGPLIKLPKMPVTDEAADMFNVMRDNRSRSVGSRTGRSFGREGYGGFGDRRSGGFSDFDSFGGAFDRDGGSRDSGSRYRGGSGGFRRPSNDFGRSSFSRSDRFGDFGEGDFSRRGSADFGRSRSSDDSGSSCYGRGSSGFGSSDYG >Dexi4A01G0002360.1:cds pep primary_assembly:Fonio_CM05836:4A:1599955:1602680:-1 gene:Dexi4A01G0002360 transcript:Dexi4A01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNAWGEAEPVDGPSVPRLDLACDARIYITDGKNAGGYITDGKEEAIVAESHTSDGHRILASLFAADPPAVSHLCVHFPDSEEVEFTYKPAVIFSRNDLILFDVWFGRKDTSNFFMYKADLEAPSLLQIPDLPELGISGLYNTGIVCGGDDDFAVAALLRDYMTGMFRLSIFDSRTGIWETKLLPLEPSESLRKPNELRLHFSKVISLKGSILGWVDTWRGILLCDVLCDNPKLHYIPMPHPMPGNEGVAEYCGYSSYFRDAIGYGDLIKLVEMGYLDDDTADTDASDYYTPYEWTIATRTRRLDSRKWDICHTVDTKDITVSEEFYGHKDLLPQFCKNRIPSLKKIPVGVPTLCDCNDAVYLMCKVKIGDYKGWVVGINMNSKMLESVSKFPATSLGGFSTAYYPSSFLKYLNNNSTM >Dexi9A01G0047570.1:cds pep primary_assembly:Fonio_CM05836:9A:50641309:50642493:-1 gene:Dexi9A01G0047570 transcript:Dexi9A01G0047570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAVVPVDISSDEEDGVGKPAAAAAGKRKSPEGALEWAEKMLAEEDFGADAGGFDPAAMQAFLDSLLETTGIVMGDKESAVDDKNAVRGACGGGDDDDDDCVILDGDPDKPVAVAKEEGPRRDAGEDELQIVAEKGELACRDFPHPRHLCATLPFSTSSHAKHCSM >Dexi9B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:9B:1171649:1172951:1 gene:Dexi9B01G0002040 transcript:Dexi9B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGAAETTMIRHRTVEANGIAMHVAESGEEASQRVVVFLHGFPELWYSWRHQMVSLAARGYRCVAPDLRGYGATAAPADVASYSAFHVVGDVMALLDALGLGKVFLVGHDWGAIIAWYLCLFRPDRVTALVNTSVAFMRHIYIRSDAGASAVKPIDYFKRLYGPTYYICRFQVRIVLPCI >Dexi1A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:1A:1751820:1752356:1 gene:Dexi1A01G0002620 transcript:Dexi1A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSMLGRRQHHNQQTKGGGGGARPGVVSGAGAEVEPVSIDIMEPFAVDTISLAAFAAPALGLPPFATASMDWKETPNAHVFMADLPGVRRDEVKVEVEEEKVLRISGQRQRAAEEKGDRWHRVERSSERFVRTVRLPPNANADAAQVTLDNGVLTVTVPKDNERKAYARLIPITN >Dexi4A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:4A:2457417:2457700:1 gene:Dexi4A01G0003420 transcript:Dexi4A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSSKWRKVNHLGGQALFVGRHCSKSLPAIEHNGIQGDCIYFMRDYCPTRDTLRDSGMYNIITGMITPLFAAAPWWKLVPDMDLPC >Dexi9B01G0025140.1:cds pep primary_assembly:Fonio_CM05836:9B:24975027:24978266:1 gene:Dexi9B01G0025140 transcript:Dexi9B01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANGGSSTRGKPIKCKAAVAWAPGEPLVMEEVEVAPPGRLEVRVKVIFTSICHTDLSAWKGESVGEGVEDLVPGDHVVPIFTGECRECVYCKSDKTNLCGTYRVNPLKSTMVSDDGTRFSVVDRSSGELRPVYHFLNTSTFTEYTVLDAACAVKINPKAPMEKMYLLSCGISTGVGAAWNTANISKGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINPEKFSKGL >Dexi8B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:8B:18176979:18177876:-1 gene:Dexi8B01G0009880 transcript:Dexi8B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGPAEQHLVANQSCGLAQQQNCTGSAHTLSSKLARYLDPEASRNKDRLLDEVHWIHIHQAVGLICHDMAALLMHKERLDDIENQ >Dexi1B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:1B:6754191:6756554:-1 gene:Dexi1B01G0008080 transcript:Dexi1B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNSLSISDGGAAAAAGAGGGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMGSFVFSAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDETRSRPIQKAKIEILLGKTEKFDELMAAAAEEREAAEAEEQS >Dexi1A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:1A:26535373:26535728:1 gene:Dexi1A01G0019400 transcript:Dexi1A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQIHRSPKQKRPRPKAERHRARARSAALSSPVSHRSPTPRYTSLRPEASRRRCLPACLAPGCRWLPVAVGGGAGMPAATATAALLRLPLLKAAHRCAPCLSRPGHGCRR >Dexi2B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:2B:1374696:1376416:1 gene:Dexi2B01G0001770 transcript:Dexi2B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRRPHSPPPLMEELMEEILLRVPPDEPAHLFRMALVCKPWRRILSNRGFLRRYRTFHRAPPLLGYLHNLGEGPIPPFVSTTAASLFSPPPLGGYWWALDCRHGRVLIHSRQAGLGEHLVVWDPISGDTKRIGMPPYPDTSCAGAVLCAVDGCDHLDCHDGPFLVVFVGTDSSDEGPDTCAVVYSSETGVWGASVSTAAGDDVESKPSLLIGDALYFTLQNGVLKYDLGRHELSEIEPPGVCGSIFMEVEDGVLGFVAESDNCIYKWLWQADANGTGRWEKHMVMELETVLPRPARCTSYEVIALVEGTDAIFVSGSVVGVFTLDLKSKKVNWVGKCAPYYVILPYRSFYPPDLAMGRLSLE >Dexi5B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:5B:6506290:6506691:-1 gene:Dexi5B01G0009480 transcript:Dexi5B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLIITTMTLFFPAAVHGCEPSCSNPSPPPPPAVPTPSGATCPIDTADLSVCVDFLDSLLHIGLNVAPSQQCCPLLQPLASADAALCVCGVIKVLNLAVPVDVTILLNKCGMSCPPGFTCPLY >Dexi1A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:1A:8930808:8931731:-1 gene:Dexi1A01G0010340 transcript:Dexi1A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPLIFLSGPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCIHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKESNANHAEEALRRAKFKFPGRQKIIRSRKWGFTKFNRAEYLKYKSEGRIAPDGVNAKLYGVHGPLSKRAPGKAFLAENIKASS >Dexi5B01G0033490.1:cds pep primary_assembly:Fonio_CM05836:5B:33863809:33864042:-1 gene:Dexi5B01G0033490 transcript:Dexi5B01G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLQINRTAPQVLVSGLDGDIGGCLTSIVLDSGQTSIVSSVGRRHRDPASTLDYRSRGLGTLDERSLDGSSWTAA >Dexi7B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:7B:15392124:15394782:1 gene:Dexi7B01G0007570 transcript:Dexi7B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSSHADISVDIRPDFNSFDNFLSMQYIATDRPWLSLYVSRNTYIHTGVTEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPDKVKDAVKSMHFRASKADCVFKGDYILTEDGQIEMALLYPGHRYTLVRMCLRLRGTTVGANNRLDVLKILTTGVNATELKNWTGSILELVEGWEEDETHDPDVPAVSHSRGLSPFVFVPFEEADTSVLNLPVEKMDYYVPG >Dexi1A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:1A:1406944:1407361:1 gene:Dexi1A01G0002200 transcript:Dexi1A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYIIFCQCRILGTPNEATWPGIASLPDYMSASPKWPSVDLATVVPTLEPAGIDLLSVSRTHEFFELHNST >Dexi9A01G0045320.1:cds pep primary_assembly:Fonio_CM05836:9A:48879879:48884006:-1 gene:Dexi9A01G0045320 transcript:Dexi9A01G0045320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHFFHQQHLCWPRAQRVNIRLSSSPGAVVSKGFDSPLVEKSDTAVDAGIIQLYRIPFLQESETAELLRKVKAKVSANIVDIMTEQCFNIQLDNPLTPEKLSVLHWLLAETYEPQKLQTGSFLEEEVSRSSSTVIVEVGPRMTFSTAFSTNAVSICRSLSLVEVTRLERSRRYLLRLEPGSDPLDDGQLKEFSALVHDRMTECVYPTKLTSFQSDVVPEPVRVVPVMEKGKEALEEINVKMGLAFDKQDIEYYTHLFRDDIKRDPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPNTLFQLVKKPLKANPSNSVIGFKDNSSAIKGFPVNQLRPTIPGSTSPLAIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDHSYAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKDDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCQRERVSMAVIGKIDGCGKIVLVDSAAVEHAKLNGLPPPAPVEELELEKVLGDMPQKTFEFKRVSQVTEPLDIAPEITLRDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGILLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVPYLKKVFEAIQELITERLISAGHDISDGGLIVSVLEMAFAGNCGFKMDIDLEDRSLIEGLFAEELGLVIEVHSEHLNVVKQKLEAAGVSANVIGEVTGTPEIEVFVDGNLHLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWSLSFTPKFTDENLLVASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLSGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIKQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGTGGDMSQPRFIHNESGRFECRFTSVTIGDSPAIMFKGMEGSTLGVWSAHGEGRAYFPDDNVLATVVKSNLAPIRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >Dexi4A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:4A:22558775:22561323:-1 gene:Dexi4A01G0018590 transcript:Dexi4A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETVSQALMSSVDRDCLSGWGGYVLIV >Dexi4A01G0014240.1:cds pep primary_assembly:Fonio_CM05836:4A:16184412:16185965:1 gene:Dexi4A01G0014240 transcript:Dexi4A01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALCDKLFFDVVDGELMHHPSDANDLFGILEAWEDCVTGAAGNFRRLGDREDRTVVPPAPKRRRCSPAVSSSEAGATSEDGAVDNKTSHITVERNRRKQMNDHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLQSLEAKKQRKAYTEQVLSPRPPPTCCSPRPPLSPRPPLPPLKSTPPISPRPSVPISPRTPPTPGSPYNKLLLLSKPPPLPLPLSPPASAYASPAVTPTREPSPAAASYLPSLDTIAAELCAYAAGTTTTSSRQQQVVGGERVLGLLPDVKVEFSGANLVMRTVSRRAPGQAVKVIAALEGRSLEILDAKISTVDDTAVNSFTIKLG >Dexi1B01G0029400.1:cds pep primary_assembly:Fonio_CM05836:1B:33705297:33708903:-1 gene:Dexi1B01G0029400 transcript:Dexi1B01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPPAPAAMAVDDAEEDQLASMSTEDIVRASRLLENEIRVHKDELQRSNLELESVKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Dexi5B01G0039820.1:cds pep primary_assembly:Fonio_CM05836:5B:38491940:38493640:-1 gene:Dexi5B01G0039820 transcript:Dexi5B01G0039820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSLRRLLALRRLLSTSTSGSSNPSTPEAVIYNIHSLSSDPSRALAFFRRSAAAGQPVGSSAYNLMLRTLASHPPSVERHFWPFLREMQEAGHSVDQGTYLAALASFKRASLSSDYASLTAHYNKSREEAKAGSAASSAAAEAVRDLDGADLDTKLAAIGLLPLTETAVAKMLRELRDYPIKALSFFRWAGRQQGYTHGSLAYNAMARVLGREESVPQFWDLLREMKAAGMHVDIDTYVKLSRNFQKLHMMKEAVELYELMMDGPFKPAQKDGPVIIRRLALGPSPDLDLVNRVASKFEAVWGIKTKELYDGIHRALTSNGRFDEAAGIMQSMRAEGHQPDNVTYSQLVYGLCKANRLDDARNVFDEMEAQGCIPDLKTWTVLIQGHCAAGDVDRALQYLTEMIDKNLDADADLLDVMIKGLCSHEKVDAAYTLFVEMVDKAHLRPWQSTYKHVIGELLRMKKFDEALALLRSMKACKLPLFTEPFPPYIAKHGTVEDARDFLKALTVNTCPAPAAYLHVLKSFFAERRYSEAQDLLYKGPIHIRKHPHVTELFESIKVESAS >Dexi5A01G0031340.1:cds pep primary_assembly:Fonio_CM05836:5A:34064794:34066468:-1 gene:Dexi5A01G0031340 transcript:Dexi5A01G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSALSLISSSSPSSQEMAAAEPAASSAAAADPERLLEVSEEEVVIDFKPDSKCRADLRLRSLHPSFPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQPHPPPSFPRSRADRFLVLSSLSAAHLDSSSDATSGVSAVRLRVFFGGPYLLRLAADAGDAAAVRLILRRQPHLLPFLEPQPQVAAAPGDAEQWATLHAAAARGDCGEVRRLGPEALAARDREGRTVLHAAAAAGEAEAVAVLVDMGADTSAADARGRTPMDVAREKGYQEVVDVLERWELVMTAARRGDIQSLESLLGKRAGVRGRDQYGLTALHVAAIKGHCDVVAMLAGSGCMDIECEDVEGHRPLHLAVEGGHADAVELLLDMGADANARTRRGATPLQMAEAMGYEAIAQLLCGRGAEVAPAPALCVASSSSSSISCA >Dexi9A01G0033820.1:cds pep primary_assembly:Fonio_CM05836:9A:38635618:38638583:-1 gene:Dexi9A01G0033820 transcript:Dexi9A01G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASPVRSSHTSTAGLLAWPHPDGAGPLPARRPNQVTPALDLSSSPGRSSSIRPCGTPPPPPPLPILELYLITRSLALQPTEEFRKVVFGGQGAEEADARKNMKKWKEISGSSILKAEASAAAPTASRDRQASQITFAQDGSIAPRKPTSVAEVARQRELSHTVQSEADSKTKRQVSTAKSKELSGHDIFADHEDPKPNRSRRSDYSSSTSLSPVKNANVSTFSFGEADTDSAAKTARKKGTGNKTTDLGGKALLQREPAAPVVKKPLNRAKLEETTGSSVVFADGKAPATGEQAGRRTRQPPGGDSRISLG >Dexi4B01G0018600.1:cds pep primary_assembly:Fonio_CM05836:4B:20936541:20943423:1 gene:Dexi4B01G0018600 transcript:Dexi4B01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLRRSLPGPLATTSAPILAAAGASLLRFSELAGSARSTALAAAMEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSAISDVALDRSENDPARYHDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHMQEARSFFHTFREDHEVMHSRDLQKLEAVLSPSHLEEMELARSLRQNKFKIKLCEYSYELLLQYLQKTQALVVLGVINEHITFEVSPGQPSLISDDADVVALIGTSKDLAKQINQKEVHWGLLEDSVEERMEKALAESDKIEAENKDADAEDNKARGHILPIEVEQSILEDLRNRAQLNSVALPSVSFYTFLNTHNGLNCSSISHDGSMVVGGFSDSSVKVWDMSKIGQPAKTSGSQGENGSSQGERISVVDEGKRPYTLFQGHSGPVYSTAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWTMDKIQPLRIMAGHLSDVDKGVLTDHILSYYFSCEGALLASGSADCTVKLWDVTSSTKALKTEDT >Dexi9A01G0028760.1:cds pep primary_assembly:Fonio_CM05836:9A:33497712:33498146:-1 gene:Dexi9A01G0028760 transcript:Dexi9A01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATVPRVVSILSALLQRVAERNDAAAAAEEEERAMTAAAEGQRRPVSAFQGLTKPAISIGGYLERIFRFASCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDIAN >Dexi9A01G0025110.1:cds pep primary_assembly:Fonio_CM05836:9A:22984235:22986155:-1 gene:Dexi9A01G0025110 transcript:Dexi9A01G0025110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEYFDQSQVSTISSCYEESLRRDPTCSYSMERLIKMHRILCLHFRCLLLVTPGYYNTIQLLEAIALHLDSVSGKPCIWEELALCFLRLFSNKTADYEDYMSCTNAHRDEALEAFSKVSSFFFEGQARESWKVRCRWWMHRHFSQNAYASETLTGDCKLLVAKAACAAHLFGPEFPYVKAVGSYIAKQEALDEISLLVRNKQNSVRLLQSLEKLTS >Dexi3B01G0027030.1:cds pep primary_assembly:Fonio_CM05836:3B:22475914:22484319:1 gene:Dexi3B01G0027030 transcript:Dexi3B01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADEPRRGQEVEASLSPGESQKSDSMAAKMDGAVQVLKDDTVKRTPMARPSIGHEGKPIRLLSNHFAVKLRRVDAIFYQYSVSIKSEDDKVVDGKDVSTTMIVTPGPVIDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAKHNNMEFKIIGLSDQACSKQTFPMKVRNGSIEVQTVDITVQDYFKSKQLVVGNSEDCIPNRGRWNYNNKFIERPYTLVDEDSQSRRCLPVERVERMFEKVKMSLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEEGIVTQCIAPSNKMNDQYFTNVLLKINAKLGGMNSKLALEHRQMIPVVTQVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIMRDGVSESQFSQAYQSMGQGDLPKFTVIIAQKNHHTKLFQADSPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSADNLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEDFADTSSGSGANSSSSAAIPELPRLHADVCSSMFFC >Dexi9A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:9A:12713211:12714971:1 gene:Dexi9A01G0017660 transcript:Dexi9A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFTHTNMMSSNPRPSMAALPANLHVVAPFTVVACSLLVLAFLHYLTTKTTATIKRELHRLPPGPAGLPIIGSVHHLMYNKPVFRWIHRLVKEMNRKIMCLRFGPVHVIVVNCPEIAREVMRKNDAVFASRPLTFASSSFSFGYKGSILSPYGEQWKKMRRVMTSEILSPALERRLHTQRAEEADHLVRFVYNQCNDTKANNGVDIRHVARHFCGDMIRRLVFSKRYFVEPPLVSAGAGPGPNEVVHVDALFTLVNCVYSFCISDYFPVLRGGLDLDGHEKVVHGVMETLNRLHDPIIEERIHEWSILRKHGEKREIQDFLDVLVSLEDSEGQALLSFEEIKAQAADSHVIISRLGLGRNPNTWAAPLEFQPERHLSGSSDVLLTEPDLRFISFSTGRRGCPGVSPGQSCLAYEQSSSRSLLQASHYPNH >Dexi3B01G0023840.1:cds pep primary_assembly:Fonio_CM05836:3B:18593141:18598407:1 gene:Dexi3B01G0023840 transcript:Dexi3B01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMAVAAAALVVLAALHTSAAAEIQRREVSYDGRALIVDGTRRMLFSGEMHYTRSTPEMWPTLIAKAKEGGLDVIQTYVFWNVHEPVKGQITVITCIWKMQEHMQRFVTQIVNMMKHEGLYYAQGGPIIISQIENEYQMVERAFGSSGSSYVPWAAAMAINSCNGLTCGETFGGPNSPNKPALWTENWTSRFLTYGSDTQLRSPEDIAFAVALFIARKKGSFVNYYMVHVFETESNCVAFLVNFDNSQMSSVTFRHISFQLAPKSISILSDCRRVVYETAKINAQHGSRTALVVQSLSDIDTWKAFKEPIPLYVKKAMYTTSQLLEQLSATKDETDYLWYTVSHEYRSSGDGQLVLLNVRSVHGSHDEGDNIILKMHISLKEGQNTISLLNVMVGSPASSTDLKDSGAHMERRVFGIRKVTIQKGKQTQLLNNRLWGYQVGLFAERNYIYTQGGSRNIEWATINDTAYHPLTWYKATFATPAGNDPVALNLVGMGKGEVWINGESIGRYWVSLEAPSSNPSQSLYHIPRQFLKPQGNMLVLFEEMGGNPRQITVTQSVKSSGLFG >Dexi3B01G0023840.2:cds pep primary_assembly:Fonio_CM05836:3B:18593141:18599107:1 gene:Dexi3B01G0023840 transcript:Dexi3B01G0023840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMAVAAAALVVLAALHTSAAAEIQRREVSYDGRALIVDGTRRMLFSGEMHYTRSTPEMWPTLIAKAKEGGLDVIQTYVFWNVHEPVKGQITVITCIWKMQEHMQRFVTQIVNMMKHEGLYYAQGGPIIISQIENEYQMVERAFGSSGSSYVPWAAAMAINSCNGLTCGETFGGPNSPNKPALWTENWTSRFLTYGSDTQLRSPEDIAFAVALFIARKKGSFVNYYMVHVFETESNCVAFLVNFDNSQMSSVTFRHISFQLAPKSISILSDCRRVVYETAKINAQHGSRTALVVQSLSDIDTWKAFKEPIPLYVKKAMYTTSQLLEQLSATKDETDYLWYTVSHEYRSSGDGQLVLLNVRSVHGSHDEGDNIILKMHISLKEGQNTISLLNVMVGSPASSTDLKDSGAHMERRVFGIRKVTIQKGKQTQLLNNRLWGYQVGLFAERNYIYTQGGSRNIEWATINDTAYHPLTWYKATFATPAGNDPVALNLVGMGKGEVWINGESIGRYWVSLEAPSSNPSQSFSIHWHIVPKVAAPPRFRMKGALFARPSNVRAHRCVRCHPEMA >Dexi9A01G0020620.1:cds pep primary_assembly:Fonio_CM05836:9A:15694060:15706964:-1 gene:Dexi9A01G0020620 transcript:Dexi9A01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGTPDPQQLQATMVAIEQACSLIQLHMNPSEAEKVISSLHLSLMPYQSCRFILETSQMPNARFQAAGAIGDAAVREWGILTDENKRSLIIYSLNYVMEHASSPEGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFSEVEQSVRGIHGPNRQYAAINFLETLVSEFSPSTASAMGLPKEFHEQCECSLEVHFLKDFYCWAQSAVFNTADKILNSNVTIPEERACSAALRLMFQILSWNFKHMVEHESSDAKINSGLRMDTINMKKFERSLVKPGSMWREILISSGHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLMMILSAVILWIEPSDAIAASIRNGGSESEFIDGCHALLSMASLTTCSLFDNLLKSIRHYGTINLLSALTSEAVKSVLVNQSEEETWGVDSLDILLETWNVILGDVDADKSPISVDGALAASNLFKIIVESHLKAAADSAFEDSDDTEYFHVSVSKRDEQLALYALIARAAADTTIPFLAQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQTGFSNVIEAAQHPVVTISWSIINFSRQCLDPGIRAKYFSPRLMEVLFCIGICLVYFLYLEFFFSILDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEVSAQYLRDLMGPVAGCLVENANRSDIKSVAQQADVTYMVCCLLERLRGAARAAQPRTQKILFEMGRTVMNPLLTLLEVYKNQVMLSLSSSLRNESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFLLMSHLLEVYPEKVAHLNREAFARIIGSLDFGLRNQACTLSKAAFTLLFCACVANRFWVSTSKFGNC >Dexi1B01G0027300.1:cds pep primary_assembly:Fonio_CM05836:1B:32053945:32054934:1 gene:Dexi1B01G0027300 transcript:Dexi1B01G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPAHYGGGARHRTCRMYWCYQCGRALRIISYPSTDVFCPRCFGRFLHEIDAPPRPAFPPPHFLPHPFHPQHHHQFDGHPRRWVIYGGDPSSTVPGRAFRQPAPPAPAPPRRRVPSPPPPPPPVPRRPSTPPAIDPGNYFTGPNLNNLIEEITQNDRPGPAPAPSSAIESLPTVRITGAHLSDGPQCPVCKEDFELGEAARQLPCKHVYHSDCIVPWLRLHNSCPVCRYQLPGGGGSSGGGHGHAAPRGGGRNRGPEREPPTMVRWGPFSWQWPPRGLDDPDEDAWEHGRRGRHHDAADDAGGNDMTALQSFVLVATCVFFFSFFA >Dexi3A01G0029540.1:cds pep primary_assembly:Fonio_CM05836:3A:31671069:31672306:1 gene:Dexi3A01G0029540 transcript:Dexi3A01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNGKTYVVTDPRDDPVNPSPGTLRYGLTQDEPLWITFATDMTITPKHNLAVTSYKTIDGRGAAVVVGEGGGCLELKDVSHVIVVGLTVRNCRPTRQPDGAMSDGDGITVFRSTDVWIDHCTLEKCTDGLVDVTEGSTRVTLTNNLFRNHNKALLLGHSDVFTQDKVMKVTVAFNRFGPGLVQRMPRCRLGLFHVINNDYVDWKLYAIGGSAAPTILSHGNRFLADKEKEITKRDYTSKSVWSTWTWVSEGDLMLNGAFFRSSGHGGGLDVNTPSFAQSATLMSAMTASIGVLSCKKGSLC >Dexi8A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:8A:5595968:5606238:-1 gene:Dexi8A01G0005800 transcript:Dexi8A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRGGKRRGPPPSAPSGAAAKRAHPSPGTPQPPPPAAAAAAPLEEDMMDEDVFLDDCILAEDEAALLMIQRDEALASRLARWKRPALPADLATGCSRAVAFQQLEIDYIIGESHKELLPNSSGPAAILRIFGVTREGHSICCQARMKESNRSSNVPRLVKRVELDFIREVDPDIIIGYNICKFDLPYLIERAEVLKITEFPILGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTVGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFTKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDPATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSVSISTPSNSGIMKFAKKQLTCLGCKAVISGASQTLCSHCKGRETELYCKTVANVSDLEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRWDF >Dexi7B01G0021910.1:cds pep primary_assembly:Fonio_CM05836:7B:26886594:26886992:-1 gene:Dexi7B01G0021910 transcript:Dexi7B01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRQHATPEHDEPVSVSGHTAAQMLAEESEPSTLWGMSCATTLPPNAKKELRELDTLRGPVAFGTDQEMLSSEAFDRRTSVWMRTVPSGKEMPSTSTSRPPSPKKSLCWWCVRSKPSWEQRRPTPNG >Dexi1A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:1A:3984887:3985396:-1 gene:Dexi1A01G0005460 transcript:Dexi1A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTEARMRVSSVIFCPSSSGTLRSARTNTFFPFRSASPRVPTLLLVAITPCKAAARIRPEPGEPDGDGSGGRRTPFPPSLASKRRLTADRREPDRIGGEHEDEDDEIDRREADGTEYSGAVVPLTCGVGIGGSGVAAGEHGGLERG >Dexi5B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:5B:3608742:3609597:1 gene:Dexi5B01G0005400 transcript:Dexi5B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEAVKKEPDNIVAPRKQ >DexiUA01G0003430.1:cds pep primary_assembly:Fonio_CM05836:UA:7029279:7033577:-1 gene:DexiUA01G0003430 transcript:DexiUA01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVTSLLGVIRSEARLLRLVRSDVQFIKEEMESMNSFLAHLARWAPPGGDHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRAKGGLRRYLWWVPWFLHKLVAQHRAAIQLRELKDRARDVGERRLRYGVEVPAKSAAGQSTPVASHGLTSAASLAPSLTHAASPHPAGGYAAGDDEEDGDDQPLAAALTDYSDQRALFSRTLDDYVKAKLWEWRTGFKPNAGETMSTVIMDPKRALDAYAVVYETAVFHPGYKLNRGYNRAVVIDIPAIHPDYLPLRTKEILYYILRELKLQHLPSQSQEQDSDDSDGEEEDHESWQVYFKKLHIYREKRRVFKRIRENIKKMKIFEKLDKIQSDMQTRPPKGQQKKSMDRQDPNVHVLLKKLLWSAAVASTSQHEQLKNKEVPKLSASDDTIKAIAKKLKQHMEADEQGGGEEEGGEEKEGGAEVNKLDKFQEPGEASSQGQNAEAIFMEIMKQKMDKLKLELNEQLKIKGLVDDIKHLLRRECPLFILEVDDTVELPRWEETRNALTLLGCSADVLIVTTVKDIKQAKECCYPQREPIDYSLAGLYYDTVLEITSKQKDEDNYNPQIFRNILEECEPHEDCMKIFTHTIYINPRRSSEELNKLYRNLQASPKSFDIVARKMLKFSYSDMPKEYKSCLLYLAIFPPGYKIRRSTLIERWVTEGLIFRDDWPSSMHRANRCFDELINRWLIHPADIGARGMVKTCMVNDPVHGFITKIARKQHIVETRLSHHLARHFSVFNDLQLRSSDKITGFFERLSTSSRVSLLKVLDLEVKGLENLEAVELRMRSSRSSNMGTLLSAFSNSNQISKLILRLEQGDEQIQNPIVFNEDDFPQLKLLTVDCSAIMDIVFNSGSASKLERIVCSSLASFSDLNNLPKLKELQLNGDLVPNTVKEAIRNHKNKPMLNHNKPEIQDQAKGEEQDEDEDSARFPFCWKKHV >Dexi8B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:8B:1452157:1454169:-1 gene:Dexi8B01G0002080 transcript:Dexi8B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLITHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGNSEGEKKTETHITWSKGYTN >Dexi2A01G0022130.1:cds pep primary_assembly:Fonio_CM05836:2A:34004767:34005417:1 gene:Dexi2A01G0022130 transcript:Dexi2A01G0022130.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQCICDGMEDSSAPVYSFLEANAGKAWHGTNHDNISLEWLTERAVPIYDHSSLQCHTRANERQCNYEPFFPGQRYVMNLYFVLMLVQPEYLLTTVVVVFLETEV >Dexi6A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:6A:3024672:3027600:-1 gene:Dexi6A01G0003400 transcript:Dexi6A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMPPTACCCFVFLLPLLVLGATTSCWSVAEARRNVITHIKGFDGCLPFHLETGYVEVDEEHGVRLFYYFIESERKPAEDPLILWITGGPGCSALSGLLFEIGPLKLDVAGYTEGFPQLFYFQDAWTKVSNVIFLDAPVGTGFSYARDEAGYNVTLTGLGRRIHTFLDKWLAEHQEFVSNPLYIGGDSYSGYTVPVTALDIAASNGDDHVSRINLKGYLVGNPGTDDRYEKGGKVPFMHGMGLISEEMYEAVQLGCGEDFYDMPGTSNPLCASALEAIDMATSDVNPVHILEPFCGKALRERVPGISIFQARSMLVRDRVEHPEFLSRENLGLPVECRDNGYRLSYIWADDPEVRASLGIHNGSIGSWSRCNNLTRFTTDLDSVIPHHVILTKAGYRALVYSGDHDLDMTFVGTQAWIRSLKYPIVNDWRPWHSNGQVAGFTRTYAHNLTYATVKGAGHTAPEYKPKECLDMLERWMSPAGQL >Dexi5A01G0026600.1:cds pep primary_assembly:Fonio_CM05836:5A:30192481:30198700:-1 gene:Dexi5A01G0026600 transcript:Dexi5A01G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLAHVLHRPPLLASRSGAGARGLLASRIHPLRRLNCSAVEAAVGPTEEVPAPPARKKRVVSGVQPTGMVHLGNYLGAIKNWVALQDLYETYFFIVDLHAITLPHDAAELSKSTRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKLGGRGGSLFKVPEALIPPAGARVMSLTDGLSKVIVNKIKRCKTDSLPGLEFDNPERPECRNLLSIYQIITGKTKEEVVIECQNMNWGTFKTTLTDALIEHLQPIQARYEEIMSDQSYLDNVLLEGAEKASEVADITLNNVYQAMGFLRR >Dexi6A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:6A:5639513:5640796:1 gene:Dexi6A01G0006030 transcript:Dexi6A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQTTLGCRTDEKGKDEGEHFSIPPGYMWKIVNEPRSNYEKNKRRAQAEKEKVLATRHNKKACPALNLHAKVVGAKADNRSSKTVATSNDNEAANAKVFPCLQQISRLVDARLERSAHNCCISSVRFNKEGRLVLAAGSDGNMRLSQIDSENSNAKRVQTISLPNCEIDQAAFMPNGSEVIVVDSTEFVYSYDLMNNALSKAGPFPKWEDRRLHNFEVSPDSSTIALVGNNKGYILLISAKTKEKVGVLRMDDDSQARSVAYTDGGNQLVGTDGSGHVYLWDLRTKRCVNKTIACLDNAPLGSSLDSSLFAVASSSGFVNIYDRSEFVNGGCEPVKVIDGLTSCTSIEQVKFNHDAQILAMISRGGEHTLKLLHLPSCSVSPGTWPETDLKSQFPCSLDFSPSSGLMAVGTFCGNAMLFRTQLL >Dexi8A01G0010970.1:cds pep primary_assembly:Fonio_CM05836:8A:19297258:19302809:-1 gene:Dexi8A01G0010970 transcript:Dexi8A01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRGGGGHARRPAPSGAGVDQRRVAAQQAMARMEEMMLAHAGAAGEFSIILDAPLPSLQQYRRHPEPQQPSKGASPARRVGREGAGGGGRDEAAVPARLRRDGSGHDALADARRPSRRDADAGARRERPAGGAARGEEEKREEVEAPVRLVAGPRSVRRPVSRGATPPPAPRSDEAKRAVAEEAEEEEMPLQLLARGGRSSIATRPAEAAAPPPPPEAVETAVAAARPSSRRSRREVGVKPAVSDVTSNADSDVESVGRWSSRGSEDGGEDAVALPKPLAAVVARDRSRSNSPAISRNGVDSAGVNRPPSTGRSTFAPPVGANVRPLQAVEMPNGTPRDRRAVYPDPTFAQSTRSRDSHDSSTLTEELGLAEEQLRQSEARTAELEKQQEIRKALISKNGKSEELTALQQQLQAAREEASAALKKLKESESETKDLRTMTRRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSLAPLPFEYVTSAGQRAKNGSETGSDGPEDADKLVHDLTVTAGEGNVETMLSVDKGLQELAFLKVEDAVLFALAQHHRSNVAGPADPDIISSGDEKFTEAFDLSKDEEEDVQFKQAWLIYFWRRAKTHNVEEDIADERLQMWIDRNEQQPTSHDAVDVEQGLHELRKLGIEQLLWELSRHEMNLTKDDPSDVEDLT >Dexi7B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:7B:20681971:20682937:-1 gene:Dexi7B01G0014450 transcript:Dexi7B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGDDGVAVVVTTPLVVMTVTVITAWLFHLLLKRNSLGRRRCAATLPPGSMGLPLLGETLQFFVQSPSLDLLPFFQRRLEKYGPIFKTNLVGKDLIVSLDPELNNYVFQQEEKAFQIWYPESFMRILGDDSIVSSAGSLHKLMRSLVLRVFGPENLRLVLLHDMQSAVKRSLESWLEKPSIELKTAASSMIFSITAKWLIGYKASGSSGELWKHYDIQGVVTFPLGIPGTTFYRCTI >Dexi5A01G0039560.1:cds pep primary_assembly:Fonio_CM05836:5A:40144641:40148644:1 gene:Dexi5A01G0039560 transcript:Dexi5A01G0039560.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAHHKPTSCSATRDDPSFFLPWTPSISNPPPPAASSIAIAAKPPRLPNPPPPAEAMATRSVSRPRRPPRRAPSPPPPAAANGKAAAASKPTSPVHPPLERTVKKLRLTRALTLPEATVVSEACRRMAAKRVDAALLTDTNGMLSGILTAEDIAGRVIAEGLKPEETSAVKVMTRNPVFVMSNSPAIEALQKMVQGKFRHLPVVECGEVIAMLDITKFLYDAISRMEEAAEQGSAIAAAMEGVERQWGNGFPGLFPMNNIKINSIHNVDLGSFCFKIKLMFKPTLSTIITENSSVPVVSPSDPVILAAKKMREHRVNSVVVMTGNMLLGIFTWTDSCLLGCSTINPCNHLDVSESLDELMSAVAYRLGMDNEKSNINLLYSDDEGDRILLTSDSDLTAAIEHAKSAGWKVLRLHLEDESVARTESTLSPSPVVDPSTVQGCRLSLRLGIVAVAVAVAGVTVTVYLKRSQL >Dexi3B01G0036470.1:cds pep primary_assembly:Fonio_CM05836:3B:39347920:39348383:1 gene:Dexi3B01G0036470 transcript:Dexi3B01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRCIAIRQGRPARNLGREASVTSPSVQLLVVLRPVTVQEERPSRVRKRCVAGRSPTSSPATAAGEHVAGRRGIRVGHACQIGASRSVADG >Dexi1B01G0026370.1:cds pep primary_assembly:Fonio_CM05836:1B:31259444:31260842:-1 gene:Dexi1B01G0026370 transcript:Dexi1B01G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRGATIYLLQIKETKVTRLCHEKTILAVNGHFPGPTIYARKGDVVVVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGANFTYTIIFSEEEGTLWWHAHSDFDRATVHGAIVIHPKHGSTYPYPKPHKEIPIILGEWWNVHVEQVLEESKLTGGDGNISDVNTINGQPGDKFPCSKNGTFRLPVEHGKTYLLQVINAGLSSDMFFGVAGHRLTVVGTDGVHGEAPEHPVDVPMHVDERMLVTIAINVLPCGPTKTCEGPKGNRFSASLNNASFSVPSVVDVLDAYYYSIHGVYEPDFPNEPPFLFNFTQGLPLELAFTKRSATVKVVEHGTVVEVVFQNTAILGFGSESHPMHLHGFSFYVVGRGFGNFDENKDPDTYNLVDPPKQNTVSVPKDGWAAIRFRAANPGENLQLPLWLQYFR >DexiUA01G0015560.1:cds pep primary_assembly:Fonio_CM05836:UA:32545372:32546907:1 gene:DexiUA01G0015560 transcript:DexiUA01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding YASGDMSSILVWDLDKEQLLSTIQSSADSAISALSASQVRPGHFAAGFANGSVRIFDVRSPDRLIYMAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAADPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Dexi4A01G0023860.1:cds pep primary_assembly:Fonio_CM05836:4A:26793680:26794590:-1 gene:Dexi4A01G0023860 transcript:Dexi4A01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSLPAAIRSLAIARARHGAAGVLLQSAAAAIADKTPGSLITVRRTSNGADGALQAGAEAAVPRSVPVHIAYELQQAGHHYLDVRTETEFRAGHPERALNIPYLFRTDSGIMPADI >Dexi3A01G0035780.1:cds pep primary_assembly:Fonio_CM05836:3A:41042338:41043932:-1 gene:Dexi3A01G0035780 transcript:Dexi3A01G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWALCCLSTLLLLIGLASGHQVLFQAFNWESCKQSGGWYNLLMGKVDDIATAGVTHVWLPPASHSASADGYLPGRLYDLDASKYGTASELKSLITSFHNKGIQALADIVINHRYADYKDSRGINCIFEGGTPDTRLDWGPHMICRDDTDYSDGTGNLDTGVQYPPAPDVDHLNDLVQRELTEWLLWLKSDMIGFDGWRLDFAKGYSAEVANVYINGTAPTFAVAEIWNSMAYGEDGKPLYDQDPHRQILVDWVDRVGGAASPAMVFDFTTKGILNAAVEGELWRLIDPQGYAYILTHPGTPCIFYDHFFDWGLKDEITALVAVRKRNDITATSQLTILKYDGDAYVAQIESKVIMKIGSRYDVSALIPAGYHVVANGNDYPSGRK >DexiUA01G0013120.1:cds pep primary_assembly:Fonio_CM05836:UA:27311275:27315934:1 gene:DexiUA01G0013120 transcript:DexiUA01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARGLGCSIAQLGLNGKVSARGGLAGEQGIALRDSGVAGGAAGRREEDSNETRKCRASRVGENYLATACLWYEHSCRTSRKASVTQGRSPASFAPGRQRAPRRRCRMLQPPGSHADQQLREPPPTRPVLAPADQLREPSVVLLLQRIEREDKHWDGRKR >Dexi3A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:3A:6945077:6948317:-1 gene:Dexi3A01G0009880 transcript:Dexi3A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWIPAILRRAAPAASGYGGAARLFASSSLLFDETQEQFKESVHKFAQEAIAPHAGAIDASNHFPKGVNLWRLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGNPEQKQKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDLAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDAVLPYVRQREQFGRPIGEFQFIQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >Dexi2A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:2A:1211433:1211748:1 gene:Dexi2A01G0001700 transcript:Dexi2A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRLEAALETSEKWQITDASLLRWRRKLKRAAQECDETLHKCKQRILEDEQMQQETELVSF >Dexi9A01G0042480.1:cds pep primary_assembly:Fonio_CM05836:9A:46093045:46094954:1 gene:Dexi9A01G0042480 transcript:Dexi9A01G0042480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPAPAALGALRRRLPRVVTTSGFIDDSPASPSSPPRPRTIVVANQLPIRSHRPASPDEPWTFDWDEDSLLRHLHHSSPPSMEFIYIGCLRDDIPPADQDAVAQALLETHKCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLVVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLALPETEAKVTELMEMYIGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVVEVQTETYAMVRRINEVYGAPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPENEKRLRHDKHFRYVSTHDVGYWANSFLVDLDRTCKDHAQRRCWGIGFGLRFRVVSLDLSFRKLSLENILIAYRRAKTRAILMDYDGTLMPQAINKSPSTESVRILNSLCRDKNNLRI >Dexi1A01G0027640.1:cds pep primary_assembly:Fonio_CM05836:1A:33362320:33368324:1 gene:Dexi1A01G0027640 transcript:Dexi1A01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFRMMDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATAQSARPSVVYSSKDESGSPMQKLQQHFSKIMKGFSSSPDISGPYNPEVLTTQKRQWSRFQLKSLVCILLYLFHIPYIHELENIALGRNDEDGKRSRNLFGNSHNQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVNAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVYLYLSLRHPFCCTRWLIVERLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPIFPRRSRYVITTSRCYCIMSRLPFFELHFGVLQSILMEERLEWLTDGFSMLTSLSLEEACEENDVCEVTEVTAQKQYLDGNTTDADKSSESSTGISSKELSDTDSSSGCKEIQLDFVSKERQGQEFSCAKEQSDVETETATHCDSPEVSDHCVPEDTPVDISEVHHELDSAPDIQTESGARKNCDDDDSPQGNVDDEQLDLFISDTILPLMRSRLCEDCESSPSSQDSPSESRNLKSDTQESDSEEPSSIGHVDLVRHNNILQWAKAKKYGSLQVVCQYYQLRCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIAIVCSNLVLPIDMMDFLDAPVPFIVGVQNKTSDVLNRLANAVVVDANRNQVLEQPLPLLCGIKSSSVPQLPQHKELLSALRPYHSILVGESYLARKRPVYECTDAQVVYWYLAFIISFSELLWD >Dexi1A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:1A:5334326:5336180:1 gene:Dexi1A01G0006940 transcript:Dexi1A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPACMRWPGPLCGSLAMDSMTTAAMHRHGLDPGTLDAGQETILRLLYGSIPDPPVSAAATLSCAAAAAATDGARTAVLSTRWHGLWRSAPLVVDDTQFLPDGGADGRPPRPGPVSRAVRRAVSAALRSHPGPFPFVSLSCGFISAGDADRATLARWFHLLATKGVHELVFVNRPWLIQDLRLPPPPLPWRVEDRDLEFLITVCPVLDALAVLGDLHPLHARIASQSLRSAQFCFSFLIEVAVEDAPSLERLFVWRCWDPRRSAAKLGTRIKIGNAPRLSIVGYLEPGVHELQIGKTIIKSGTMPCPKTVIPTVQMLALHLHFGVRNEVRMLPSFLRCFPNVETLCIESEPTCEPTGNINIKFWQEKAPIECIRSHLKTLVLREFHGEENEVAFLKFVAETAQVLEKMVLVMTLKEPSAREELAAKMKDLDSARWASGSSKVGYLFSRIAEGSRIWNMRAGLDSSCNDPFYCL >Dexi7A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:7A:22212036:22214832:1 gene:Dexi7A01G0011860 transcript:Dexi7A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYAALLHQPLASSLSPCSSSRRAGAARAPLRLQRAATPSLASAPRVRISPRCAASAGAGAPGEPPAAALRRLLETPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNSMNVKRTVKGYINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAVVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVTKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFNHYYDEEKRYVVTPAQSSYQTGEPSIPGDFRSTTEKPQEPVIGILPQLYDIGSSGSRGPSTGMWSRTLRLKITGRDGVLKIDAKIPAGFLEGMTRIIPGLAGANIMERLRNAPIDADNPQNGQILLDFEDAMGDRIQVFIA >Dexi2A01G0029200.1:cds pep primary_assembly:Fonio_CM05836:2A:40259181:40259936:1 gene:Dexi2A01G0029200 transcript:Dexi2A01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSGALLAAVAFAALAATALATNYKVGGVGQWDTYINYDSWTEGKTFMVGDTIEFLYMPYHNVLEVTEADYNACNADNPISTHSGGDTTFKLTATGTRYFICGIPRHCLNGTMHVKITTVPYDAAAAAAANSPAQAPVSSTPADAFAPGPAPAGYNVAMDAAGKSPAAAPSDASRYQQPAAAVAGLALAALVALVA >DexiUA01G0010640.1:cds pep primary_assembly:Fonio_CM05836:UA:21098672:21101430:1 gene:DexiUA01G0010640 transcript:DexiUA01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEGSKTLHNWMGEPRPGDQDDEDKALQLSLGLPGGGGGGAGGGGGGAWRTLGRVKGNHSVAGSSMLSLGYSNAAPFSPCSQGKAKGSPAASTGHALASTHNASQTRSPNAPVIGWPPVRTFRRNLATSSRASLDHQKGKMAAKPEEATKRAPFVKINMDAQQDPLAAGTRECPQESVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWG >Dexi5A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:5A:1494524:1495505:-1 gene:Dexi5A01G0002130 transcript:Dexi5A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSRNLSRSELHAFIVGINFEEVDLDKTDAVDKVMADFDTSRNDTVEEGEFVEGMKKWINEAKRSMPASGAFSSKFINDFHERTRQEHDQLIDRSDEAVESVENPGWCITKAVGLLLLGAAIAAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVVMALFTSFRTTFQLWTCLVAYMLYPLSLVIVYILDYVFGWS >Dexi3B01G0035050.1:cds pep primary_assembly:Fonio_CM05836:3B:37687114:37697778:-1 gene:Dexi3B01G0035050 transcript:Dexi3B01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASHLLRRHLCAFLSPQKDRRGKRNGKSIPSTTTIACRSGVNSGSRQQQHRWTGCRGANITGAWPKFHASMQQTATRIRFLDAEDLLVGSEVRLGHFQVYFTVLRQEQLNKPGMGTKVEGTAYIPGYFDMADSSVNSNGNALPYYKEKKPSVHFSDRFTITSSDGSVHYDKEMLKRTMLVHEATFRKQVYELHRLYKTQKELMAQLQRKEFSCSPRYADALQPGSSASQLPADVYAEDDDDDDVGILEVRPSKSSPWANESNSTMAWYPQNSMGSSMEHYPPSASTFNHLIFAPPSFNHAVNPSRQSNNTSYFTKSHYGAVETSIAKHAHSSGILMDSTPTAPYHHSLKIHEEAPDQKLPPLHRNLKDIDLNDAPVDTVATWEQQSDISWLCKKPYDLNIGSSIKSEADMELQPQRKEVGTDIRNLIDLNEALPIMDDPEMDACGSGELVPREPNDPSSDSLAIKAAENLVAICNVVVQPASPQVDTLHWFADLATSKENTTLDKDSDDDFEALTMKLQETKSNEYHSTPRATQEDNRHNGPCSAASLLIPKPQRGKGRGRRQRKDFHRDVLPCIASLQKNEVSEDLCALGRPKPVTPSKRGGRNGQQPRARRRVRRVAVAMAVEEAEVGKDHQTLSKAKMPAGEQCIIAGIEELVG >Dexi2B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:2B:1120870:1129207:1 gene:Dexi2B01G0001680 transcript:Dexi2B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGGGAAAAVLKCRRANPVRPWPRCGRGDDKSTENMERLEMAHIKMESVLHVTDKWQITDVPLLRWRSKLKRAAQECGDALQRCKLRAIEEQEIRQRHYYKGTSLILTSPDFWDIIEPIMAEMVASAVAGETVSRIISSLIDKDDDKSAENMERLKIAHIKMESVLHMTDKWQITDVPLLRWRSKLKRAAQECGDELQRCKQRVIEGQEIRQRVSQSSFPKQIAYATKSFISSITGSSNDESRCSSTDVVRRFERFADGANEFLKFVEFGGTPRKYMFFNHIISNLLRGKSLMYQALQGSIIYYFVIRPMSSPERGVEAMVAFVCQDFKEPMKAFRLGVMLRLY >Dexi1A01G0023810.1:cds pep primary_assembly:Fonio_CM05836:1A:30433259:30435517:-1 gene:Dexi1A01G0023810 transcript:Dexi1A01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPHRRDPTPRQILKQTAARIWFPPSAASTQMGLDDLAAACYALPVLVALFTVRFFYVLWRSGQPSSRPQAGGLRCLIVLGSGGHTAEMMNIVTTLQKDRFAPRYYVAALTDNMSLQKAQVYEESLIQVEINYHSGEKKTIKNAHFMQIYRSREIFCNGPGTCFPLCVSAFLLKVAFTPYPLYSVFGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >Dexi2B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:2B:235214:235911:-1 gene:Dexi2B01G0000550 transcript:Dexi2B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVGVLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLTVTDPNQPLKLEVFDKDTFSRDDPMGDAEIDVAPLMEVVNMHPDDIKNGAIIKSVRPSTRNCLADESHLCWRNGRFVQDIILRLKNVESGEIQLQLQWVNIPGTK >Dexi7A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:7A:27155752:27158459:-1 gene:Dexi7A01G0017470 transcript:Dexi7A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWAEAALVLASPSSAAASSSCGGVRPRAALESGRLFCKVKGFPDSMRSRAGFQINNYRTRTVKIKAKTESGDGYTPLAPLRFESPSGQLLVQILQSHPHLLPATVDQQLENLQSDKDAQKEEALKVPQDLLYKRIAEVKEKERQNALEEIIYCWIIYKFMDNDISMTPALSPGSGPVRDISSLPNQKDKLQSIHSPDAFEMIQNHLNLIMGEKIAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKSMKTLPPNPKQQIVFENLKPTPFWDMESLVQITPDGEEISLNDEESNPNKLRSYVSQLDPDTLQRYATIRSKEAVSLIEKQTQSLFGRPDIKVLDDGSVNARDGKMITITFIELNHLVLEAVAFGSFLWEAESYVESKYHFVNS >Dexi2A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:2A:14365652:14368802:1 gene:Dexi2A01G0012410 transcript:Dexi2A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRAPPPPVCPLLQPPGPRPVMVAASASPLALPTSPALPFRGISPVAARPISHRPAPACSVLLSPPRAASRPPADEAVPDGTASHLLAVPVPVPMDPAAEDAADVKKVPEVAPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADELVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKGLFQMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRNACSVYFDFMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKNLRRAAEARGHTIWARGPDNAGHYNSEPNLTGFFCDGGDYDSYYGRFFLNWYSQMLVDHADRVLMLARLAFEGSNIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKYDAALNFTCVELRTMDQHEVYPEAFADPEGLVLNAAWDAGIQVASENALPCYDRDGFNKILENAKPLNDPDGRHLFGFTYLRLSKVLFERRNFFEFERFIKRMHGEAVLDLQV >Dexi2B01G0008490.1:cds pep primary_assembly:Fonio_CM05836:2B:8674830:8679856:-1 gene:Dexi2B01G0008490 transcript:Dexi2B01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGGGRIPVPPPGASALVKVAVFGGAAVYAAMNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPERLPHIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARFFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTIASSANKVFLDSNDLLLNLQQLNVSKKK >Dexi9B01G0003320.1:cds pep primary_assembly:Fonio_CM05836:9B:1919920:1921285:-1 gene:Dexi9B01G0003320 transcript:Dexi9B01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPLLEGRSGGATPAQTIGNIVVSIVGTGVLGLPYAFRSAGWLAGALGVAGAGAATFYCMLLLLECRDKLREQEAEEHGGHQQHRCCNYTYGDLGENCFGKFGRHFTEATIILSQTGGTVAYLVFIGQNVSSVFSATGSGLLSPAFVVLALLLPLQAGLSFIRSLSSLAPFSILADACTVVAVATVVKQDVQLLAARGGDPLAGRSAVTGPWGVAFACGFAVFCFEGFCMTLALEASMADRSKFRRVLLQAIAGVTAVYVCFGAFGYLAYGDATMDIVTLNLPTSWSTAAVKVALCVALALTFPIMMHPIHEIVEARLFSPEGGWLRTRGGVLERAALHASRVAVVAALSAMACFVPAFGSFASFVGSTVCALLSFVLPALFHLRIVVAAGEARRAVDWSILLFGLAFAAHGVYAVVSRR >Dexi5B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:5B:12229078:12232531:1 gene:Dexi5B01G0015230 transcript:Dexi5B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTPMGLAAAAAAAVRPCRRRLLSSASVAASKAAPGTATPLFPRLPHPHHPPCGRRLPFLVSAASQSQTGPDAPPAHVPVPGSTSDPRAAVSGNLPFFDRVLFPDSFPLETPPAKEHEDAAAQADEVVEPAPPVREESETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEGFEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYCKITGDSALLERVDVQTGIQLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLSDDKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRTRCSRRAAKSHAG >Dexi1B01G0030390.1:cds pep primary_assembly:Fonio_CM05836:1B:34397952:34400258:1 gene:Dexi1B01G0030390 transcript:Dexi1B01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding VATVRCTGLSTQDERTPEQKNSHIVKSSVQHMVPQIAEGGNQNMTLEDDGTPVSKFTCVQEVTEQDARVGDSSKTSLITVEAPKGIHVQGEGHAIEQTRSVQSPRQNVNVSWLKSNNKSAAEDIPESQGSTKNVQIIVLDDDSDERGKKLENSEALDQDLHNQNKRNSLGMIDLNCSELREEGFLHDSSIQRLPDQDLVGSAQKQMSQPIERMFFTKEKDTIHGKQQQYEGPTMHTSFSNFFDLTRPWYTGSLKGPKSPPSELKFRIMDRAPEFSLDLSLDSLQANSVSALRNDKLFTGGTSSISNKLTERLGTYSYKRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDTRLQFSNSRMPDDLAKQWNKEQKKLLTSALGPAPPLHVTEDYLGRDSCSGCSQSPFLGTQMDLSLGDVYRCHTRASDRGQHNLSSLGILNIHGSDGRARNLSLGGFPGASSSHGRSGSRRRRASKLQKSYYDSKSPWFQEPSERAPQLFPMNQQQINSLPQWLTKHVEIGTSQIDQEMWPSLAPAPGHSAADPPSASLRGNAPPFADDVKPHVLSDASLKRAMRRNADWRSFSKRLFQTGDSLDLNRGTRAAANAGPSNCVTPNDTGASSEETVSDS >Dexi4A01G0005050.1:cds pep primary_assembly:Fonio_CM05836:4A:3556633:3557619:1 gene:Dexi4A01G0005050 transcript:Dexi4A01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNTTSTPPRRDVRVPYLALKHATGSDDQPVFYTVSDKKATIDVDAAGELENGNCWATPQGWVLVRKAASSSTYLLDPHDRSTRIQLPHLPEDGLSSFFSCLLSDYPDPVASERCLVLLIEPDDPVIWYCHVGDDAWVRYEYDIGALELPDESEGYSEKLVMCSVASCDGKFYFNGGFDELSVLELDGPAPVFSSIAIRNAIEEPFGCQKEFLVESGQELFMEWHEVDDDIGGRAFLLSPWYFGASRAAAAEFGLEPDCVYMPYAGTKRMMVFSVKDGAMRMQELDGAPVAEQALWMLPTYP >Dexi7A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:7A:29890710:29891207:1 gene:Dexi7A01G0021200 transcript:Dexi7A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSSAAAAAPVALPGCPESCGNITVPYPFGTHPGCFREGFNLTCDETPNRPPKLLLSDGVEVVGISLLEGTVRVHSKMLGVTLPLNTTTSSLRFNASWSAGLMDMGRLAVSTRHNRFVAMGCNLLASLAVADHSLTVPRY >Dexi7B01G0013580.1:cds pep primary_assembly:Fonio_CM05836:7B:20047673:20049914:-1 gene:Dexi7B01G0013580 transcript:Dexi7B01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHATANGNGLCVPAPRADPLNWGKAAEDLSGSHLEAVKRMVEEYRRPLVKIEGASLTVAQVAAVAAAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGEDGHVLPASATRAAMLVRINTLLQGYSGIRFEILEAIAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAMAVTADGTKVGAAEAFKAAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLAVLAEVMSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENLRSAVKSCVMTVAKKTLSTTATGALHTARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALANGEAERDPDTSVFAKLAAFEEELRAALPREVEAARAAVENGTAAIPNRIAECRSYPIYRFVREELGTVYLTGEKTRSPGEEVDKVFVAMNLGKHIDAVLECLKEWNGESLPIC >Dexi6A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:6A:18361487:18364582:-1 gene:Dexi6A01G0012130 transcript:Dexi6A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLRLLCLLPLATAAAAAATLPADFAALIAAKTSLSDPTSALAAWDPHLSPSPCRWPHLLCSVPDAPAAVASLLLSNLSLAGDFPSPLCSLRSLSELDLSYNSLTGPLPPCLAALPSLRRLDLSGNAFSGEVPAAYGAGFPSLATLGLAGNELSGAFLGHLLNVTTLEELLLAYNPFAPSSLTPASFSALPRLRVLWLAGCGLVGEIPASVGSLKSLVNLDLSTNNLTGEIPATVARLENAVQIELYSNHLTGRVPDGLGELKRLRFFDASMNRLTGEIPADLLLAPRLESLHIYQNELSGRVPATLAQAAALADLRLFSNRLTGELPPEFGKNCPLEFLDLSDNRISGRIPAALCSAGKLEQVLILNNELVGPIPAELGQCRTLTRVRLPNNQLSGAVPPDMWGLPHLYLLELSGNRLSGTVGPAIAMATNLSQLLISDNRFTGSLPEQIGSLPALFELSAANNISQSRASRRGLVGSVTSILAVAGVVLLLGVAWFCYTYRSQHNHGRSTAELSNGGGGSRPRWALTSFHKIEFDEEDILGCLDEDNVVGMGAAGKVYKAVVLGRGAGEDAITVAVKKLWGGAGGKGKAISAAAAKDSFDAEVATLGRIRHKNIVRLWCCFRSGDDCRLLVYEFMPNGSLGDLLHGGGKGVLDWPARHRIMAGAAEGLAYLHHDCVPPIVHRDVKSNNILLDADLGAKVADFGVARVITGDGPSAVSAIAGSCGYIAPEYSYTLRVTEKSDVYSFGVVMLELVTGKRPVAPELGDKDLVRWVHGSIERGGVDSVLDPRLAGKSRDDMVRALHIALLCTSSLPINRPSMRAVVKLLAEAAPPPPSPPPPAKVAGQKPPDV >Dexi1B01G0006210.1:cds pep primary_assembly:Fonio_CM05836:1B:5084302:5086567:-1 gene:Dexi1B01G0006210 transcript:Dexi1B01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGGELSLAAVRDALVRLEDSVVFALIERARHPRNAPAYAPAGGGGRSLVEFYVREAEALNAKASFFLFHASLVPSFIRRPRRKGFSCPDGVASQYICELSQAGHYQKPEDVPFFPQDLPSTLFPTKSSPQVLHPLASLVTVNDAIWKMYFDELLPLFTVDGDDGSYAETVVLDFACLQLLSRRIHIGKYVAEVKFKDAPQDYSPLIQAKVNPLNFTILCLPEYFKPYIIIRTIKLLDSNSLMDLLTFKAVEEKVKKRVEKKARTFGQNVTLEDNAGGSGFKIDPTVLSKLYDQWVMPLTKDVEVEYLLRRLD >Dexi6B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:6B:23696775:23699605:-1 gene:Dexi6B01G0016440 transcript:Dexi6B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDNMEGREDKKGVGSDYVSARNSFSSQGESRVPRKLSKKETKENSPRATKSSASRQAQNKPQHKGLNNVQSKSQRQNKTVSTAKAVEVRKPDIARVPSRPPSELSEETDDIISDAGTIDDKGNEEAKEIDVLDEAPHCDQSTGTDDDIPDIEEKIVHHGKSVVDQGNEESGTRIDKLEQELREVAALEVSLYSVVPEHGSSAHKLHTPARRLSRVYIHASKFWSADKRASVAKNIASGLVLVAKSSSNDASRLTFWLSNTVVLREIIAQTFDVSRVTPTMTTSNLNGGAKKVDGKPTTMLWRNSSNGRHAKLAAGQMPEDWQETSTLLASLEKIESWIFSRIVDTVWWQALTPHMQTPAEGSSTPKAGRVSGPALGDQQQGTFSVNLWKAAFHDAFSRMCPLRAGGHDCGCLPVLAKLVMQQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDKFGIDDDDSDIGNDDDERRGAAESKSFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLQLVTRILCNFTPDEFCPDPVPSTVLEELNSENLLERRTDKDVISTFPCIAAPVVYHAPSTSDAAEKVADIGGGTKLDRKASMVQRRGYTSDDDLDDLDSPLASLIDRSAPPSPSNGIAHFSAQRGAPMENVRYTLLREVWSERR >Dexi7B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:7B:835787:839096:-1 gene:Dexi7B01G0000230 transcript:Dexi7B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVQRDDGGDAKTKKRRPHDAPPSSGGGGFFSAVKSAATGGGGSNPSTPTLRCRTKPVQQPAEAVPVTPPPPSAPAPARKHRMPLLQALSAPASPRSPSRFALLKASLLPSKTRCGVCSRGVKSGGSSAVFTAECSHAFHFPCIATHARSSSANGVLSCPVCSEPWRQAPFLASLRLHCSFHDDNKNHHRAATRGSGNDGRKTPPPPQTPPSSAKLYDDDEPLLAPKAAANGGGFNPIPEADDEDDATADDEQQGAEFRGFFPRPRTSGLAVTVSPEAALVSTGRRHGKYVVAIKVKAPGLRSSSSATTTPRRAPIDLVTVLDVSQGMMGEKLQMLKRGMRLVVASLGPADRLSIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAATTTAQGGHQEGQQQQPCVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQPADHSTTTTRKHFGRPAVAPATRFTHVEIPIDAPPPLVPELDKEDEPPVEHAFAKCLGGLVSVVMQEVHMELVFPTGEITAVYSSGPGQQAVALTGGGGGGGAGMVSVRLGEMYAEEERELLVEVRAPLGHSHPHSLSVRCGYRDPASQETVRGGEQQLLLPPLLQTSSSSSRKLHDMFVSTRAVAESRRLAELHDLATAIHLLSSARALVMQSPPSQQQQELVGSLDTELSDMRWRRSQQQQYQYPEEPLTPTSTSRSGRRRRDGEATTPVGTPRGGSGSSAGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >Dexi9A01G0038890.1:cds pep primary_assembly:Fonio_CM05836:9A:43010678:43011235:-1 gene:Dexi9A01G0038890 transcript:Dexi9A01G0038890.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEPIMDTTQKVDPVEPSDKVFKQASQFRRWGRKNPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKVLQQKVDINSYDYKPIPRPSEK >Dexi9B01G0031150.1:cds pep primary_assembly:Fonio_CM05836:9B:33588483:33597709:1 gene:Dexi9B01G0031150 transcript:Dexi9B01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGSKSKDKNKVILPPLLPPDVDDDDVHISDEDLDFYGGKKNFAARFHQFDQKVIDRYVGRTAGDEAEVERLYEEREKRKASRRPQEEDGDLEVDPVDALPVKTLQGELVYNRAKKARYEENTGSLKSKAQENGADAKQSMKKDELKGKSKSKKGDDKVKNTQSQIEAPKGKLHSDVLEEVKEELSAEELFEKKKAQLAELGMAMLEDPEANIRSLNDMLSISNDKDQKVVKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRCYKVFLSLRFDEDIREDETEEQKMKPKKNKRWQNQEAPKQLPVSDKKKTRQELISKAREEVDADLRAVSFTLGPKERKSIQRETLSALFETYFRILKHTMSISNSRSKANIVSPGASHPLLAPCLEGLGKFSHLIDLDFMGELIACLKKLSGYSDRQDEIPHDNTLSVSERMQCCIVAFKVWRSNLEALNVDLQDFFVQLYNLILEYRPDRDRGEVLAEALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALVTLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYNPEAKDPYLSGALASVLWELSLLEKHYDISVSSMASNILSMASLNPTQNPVPILNVNPLEAYRDLSIERELSKPPSKALSLNIKKKRRGKEFVALSPDVLQKADCSVDKDELEEKLQSHFAVLRGISENERLRAELNHTLSSINMYKEYKKQKKKNLKLKIVKKKLARV >Dexi9B01G0033800.1:cds pep primary_assembly:Fonio_CM05836:9B:35885376:35888026:-1 gene:Dexi9B01G0033800 transcript:Dexi9B01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPPWTGSFLGAVLATALLLVTALHRRRHRRRSSSATRKRYNLPPGPRPWPVIGNLDLIGALPHRSIHELSARHGGGAPLPLMSLRFGSVPVVVASTVDAARFVLKTHDASFIDRPKMASGRYTAYNFSDIVWAPYGAYWRQARKLWQTTLFSARQLRSQEHARAEELRAMLRGLLPPPPPPPSSSSPATASVAVELKERLILLNLNVVSRMALGRKYVVEGGAGSSSPVTPGEFRWMVDELFHLNGVLSVGDFIPWLAWMDLQGYVGRMKRLAKMFDRFLEHVLEEHIERRRREGEGFVARDVVDLLLELADDPSLEVPIGRDGVKGFALDLIAGGTDTSAVAVEWAMSELVRHPEAMAKATKELDTVIGRDRLVTEHDIPRLPYLEAIVKETFRLHPVTPLLAPRLSREDASMSTGDGAHYDVPAGTLVFVNVWTISRDPTVWGPTAEEFTPERFAGSGVDVKGQDLELLPFGSGRRMCPGYTLGLKMVQLTLANLLHGFEWRLPDGVVAEELSMEEKFGLAVPRKVPLEVVAEPRLPAHVYEEPAGA >Dexi7A01G0007030.1:cds pep primary_assembly:Fonio_CM05836:7A:18382476:18385448:-1 gene:Dexi7A01G0007030 transcript:Dexi7A01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERERDEGEAIALTGGGGQLQQEEAVSGKPPPEGERDGKERDAGEDEREEKDRGRDEREWSEIRLAIEELSTAQSGGGGDGKPAASSPPTLPFLALSHLLLRVLDKIGPTMAVLRLDVQRNIERLQELYLLDPAKYSTLTEIVEKEVKEGTARKVDSCSRAVLWLARSMDFTIALLQRLEEDSDLVQLVEAAYEVSLKPWHGWISSAACKIALKLIPERKIFISFLLGPGQDDCSILKDEIEKLVLLLRPLLDDIHSMMAMFRLDRLKST >Dexi1A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:1A:9315562:9318863:1 gene:Dexi1A01G0010770 transcript:Dexi1A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAKPVALRSGYAPPLTTTTFNPNPRTQFSPFTLHLRLCPRRAAAATAAAATLREVCAGRVPDHVLQRAEEVGYVVPTDVQEQSLPLLLSGQDCILHAQVVTGSGKTLAYLLSVFSAIDFSRSSVQALVVVPTRELGIQVTKVARLLAAKACTVMALLDGGMLKRQKSWVKAEPPAIIVATVPSLCQMVERRAFTLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFLHDCVQHKWTKSDVVHVHVNPVQPMPSHLCHKYVSERSKKAGNPPSTAIVVEFLRNTYKGNLDVLLLEEDMNFNARAASFSEVKGRGFMLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSRLECGVTTLITEDEHFVLQRFQNELKFHCEDLSLESIVMLNA >Dexi9A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:9A:9556965:9557288:-1 gene:Dexi9A01G0014450 transcript:Dexi9A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKSRKSSGAGSRSPVRRWAGADEEAEKVPRGHVPMVAAGGGAEDGGERVMVPVRLLSDPCIAELLEMAAQRYGYCQPGVLRVPCDAGQFRRVVDGAMLRCGISSA >Dexi6B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:6B:19557570:19558097:1 gene:Dexi6B01G0012010 transcript:Dexi6B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAIKPLDGADGFLRWKETVLLHLHAAGVGHVLSEDPPPPPPGGASSSSPSAEAATRTWARDDAVCRGHILAALSDRLLPDYVRYGTGRAVWEAVARTYHLDEAALFYHRFLDFRFDDGAPLLEQLAHLHAMAVHMEDLSASGTANMARGKLPADAQIPEGAIPEGGKASMT >Dexi1A01G0031520.1:cds pep primary_assembly:Fonio_CM05836:1A:36463749:36466466:-1 gene:Dexi1A01G0031520 transcript:Dexi1A01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHCFAATTAPTMAAATGSAGVSSAPPFRLLGSSSGSVSGPVHLRLPPAAACRRRLLLRCAASGGGDGGGGSDSALEEQRRRQAELAARIASGEFTVQGPGWIAPLVGRLSKLGPLGELAAALLTRLAGAAAARGGPEIPQAVGSISAVVGQAFFVPLYDLFLAYGGIFRLNFGPKSFLIVSDPAIAKHILRENSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALKLINTTLDELIAICKRLVEQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPKVMAKLQDEVDSVLGDGLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGGYPIGR >Dexi5A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:5A:133364:133909:1 gene:Dexi5A01G0000140 transcript:Dexi5A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSFSSSPPSSSSSSASNNKPQQASKWRSGTQHKIYGRRLLDALRATGGGQPRAVKAAADSALALTARGQTRWSRAILLAGAACSRRRVLVKAGGKIRRRHRRPQQQQAMASKSKAAGDGKVQERLRVLGRLVPGCRKLTAPDLLEEAADYVAALQMQVNTMRALADALAAAQLSDANAD >Dexi9A01G0038880.1:cds pep primary_assembly:Fonio_CM05836:9A:43007169:43009941:-1 gene:Dexi9A01G0038880 transcript:Dexi9A01G0038880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGATPVDDGAPPPGALYSFGTPWPEFNQGLSYSDTFRCADADATTTLIEFYSTNYQSSAPLPGWIKRIRNGQITVDGEVVTNPDMTLRHGSKLVYHRLPWKEPSAPYLLEVLYEDDDMLLFDLLLLLGLLLCAKTKESQQ >Dexi7B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:7B:19048074:19050291:1 gene:Dexi7B01G0012240 transcript:Dexi7B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPREDGPLRLQEPAAGGGRGGIIGKCFVGSPCAWLRRLSRELHWSFVLAVVVVYGACQGVGNAVGGVAAGYYWKDVQRVQPSVAQFYQGVTDAPWVVKPLWGLLTDVIPVAGYRRRPYFVLAGVIGVSSMLMLSLHRGLGITPALLALTAQSGGAAIADVTVDALVAQNGITHPPLASDMQSLCGFSSSIGALLGFSISGLLVHLMGSQGALGLLSIPSVLVLSAGILLKENRAAEFDYKQVHKKFYKAIQSMGTTLKCPEGSIGVIYAIGSVGSLLGVLLYQSTLKDYPFRGILLWGQVLSSLAGMLDLVLVTRLNLKIGIPDYFFAMIDNSISQMVGRLKWLPLLVLCSKLCSPGTEGTFYALLMSLQNAGLLMSAWWGGLLLHMLNVSRMEFSNLWIAVLIRNIARLVPLTLLFLVPQSDQNSTLLPAEMLQEGESTEAVKAGSVAFSVLVQDDSVCISPNMAAEDERTKMLDVEMMPLVNKSGPAIDS >Dexi2B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:2B:30523400:30524304:1 gene:Dexi2B01G0020490 transcript:Dexi2B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLVLIPLSLLLPMTYHVWLWREVRLRPLRTAAGIHAATRRLWTAGMMKDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGIAAVLSSTYTVKKPLSDAVFGAHGEYMMALKYVALLLIFLFAFLCHSLAICFLNQGSFLVNTSCCLVSDAGDDHSGAGGVLVGLPSARDYIGEVFERGFTLNLVGNRLFYAGVPLLLWIFGPLLALLSSMVMIPILYNLDVVDLKGHSGCVVSNDKSAQTNGNGCAHIV >Dexi2A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:2A:12188162:12189964:-1 gene:Dexi2A01G0010850 transcript:Dexi2A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVKAALNQGFSTFVLVFYCQAAACLPLLPIAALRERMEVVKIRSSYGIAKVTGVAMCLAGVFVIAFFTGPSLSPVNHHHAFHGHSSSSLMNRGTWIKGTFLKLLGDMAWALWIVFQAALLKEYPNRMLVTATQCIFGTLQTLVVAAVAERDITRWKLRLDITLVAIFYSVWCMEMKGPVFLVIWFPLGFVFTMFCSSFFLGEVIHLGSILGGILLTGGLYSVLWAKSKEEGKTKKQGSSEVNAIETIEIRYDEKEEKKLEGDQRKDKEEHEEEASISICG >Dexi1B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:1B:21882059:21882517:-1 gene:Dexi1B01G0015350 transcript:Dexi1B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHHLFHRHKDGEEEGTGGEVDYEKKEKHHKHLEQLGGLGAIAAGAYAIHEKHKAKKDPENAKGHKVKEEVAAVAALGAAGFAFHEHHQKKDAKKHLDD >Dexi6B01G0010960.1:cds pep primary_assembly:Fonio_CM05836:6B:17805995:17817511:-1 gene:Dexi6B01G0010960 transcript:Dexi6B01G0010960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVPSSVAGSRHLRLSLLAASRSRHLPLPAAAVGGRDGAEEHRGLLLIVSGERCSADLWGYQAGRNSSSETSDLLVRVVQHKMACGELVGVVDEAMAPRGRWSFVQQAGWDAELVNQSYPWVEERIVHWPKVAPWQAALRDGLLEAGVSPYNGYTYDHLYGTKVGGTIFDETGYRHTAADLLAAGNPNNLRVLLYASVSKIVFNMKQGHKKPRAIGVQFKDENGRHHQAFLQKGRGSEIIVSAGAIGSPQLLLLSGIGPRNELKKHNISVILHNEHVGEGMSDNPMNSIFVPMKNPTKQSLIETVGITDAGVFIEASSGFSQSDDSIHCHHGIMSAEIGQLSTIPPKQRSFNAIQKYVDNKYSLPKEVFDGGFILEKIDGPLSTGNLVLMDTDVDSNPSVTFNYFQHPKDLSRCVYGIKTIEKILNTNHFANLTANGAGYPMEKLLNMSVSANINLIPKHTNDTTSLEQFCRDTVTTIWHYHGGCHVGKVVDQQYRVIGISGLRVVDGSTLLRSPGTNPQATVLMMGRYMGVNILRERLGRAAGV >Dexi3A01G0029140.1:cds pep primary_assembly:Fonio_CM05836:3A:30519991:30520479:1 gene:Dexi3A01G0029140 transcript:Dexi3A01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHLPRQPSDISPGATGKRSSARERGHLLGIAVGEQEEATAALIDPPPGGGIIPAGDAGQEYVGEADGSGEVRSKKKPRRGGGWSATKLRRKAGEERAAARKARQASAARTRLGREGRRRKISQRRSSPRESMVVRERGA >Dexi3B01G0031590.1:cds pep primary_assembly:Fonio_CM05836:3B:33575459:33579544:1 gene:Dexi3B01G0031590 transcript:Dexi3B01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKRQLRVRLRVTARRRGGDGADGGGGAGGAGSGARKRRLDAPSLNSSAKLQRREIGGRQLAARGGGPAAAVPERFRNMRLQEEFDTYDVNAHLFVKLQFLRRRSKIIEIVAAKDIIFALAHSGLCAAFNRVTNKRIAFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVRTSELIEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVTPIGSINMSEIMTGKCIAKIAASDPALSVTPRRNGCKKRSSVWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHVWSN >Dexi7A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:7A:195720:196460:-1 gene:Dexi7A01G0000110 transcript:Dexi7A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLLLLCAAAVTGVTAARVDTFSYPSFDATTTQDLVAASNAWLLLSASVLFIRGDIFAKYNRTEGFLLLSRAVDVWRPGPTAIPALEASFHTSFKLAGAAPVAFVVLIDRYPTLGGRDSLRGSGNYSSPYDGVSVAVDTLASVEVGPVRSYGRDDPAVGLNVTVTPNVTAATTRTIWIDSDAAARHCRDLTVDSFREKRGTSWWVILLAVLGSVAVTAAIVTVAVCYFQSRWRRRQQLDMQPKM >Dexi9A01G0040180.1:cds pep primary_assembly:Fonio_CM05836:9A:44016147:44019194:1 gene:Dexi9A01G0040180 transcript:Dexi9A01G0040180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANHASRGRRTLEEIRQKRAAERMQHAPPTTASHVDPYGNQRAGVELLARVQELENGNAELERENKMLLSKLAEKEVEKDALVNRLNDLERNVVPSLKKSLNDISLEKDAAVVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMGNSYSGYAMGTSSEESITMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQQEQEKLAEEQSRVASLEAEKQQLEDQISVLTKKATEDASEFAARKAFSMIDSQSSEIEKLFEENSDLSTSYQEAIAVTVQWENQVRDCLKQNEELRSHLEKLRLEQASLLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLTRLYRPVLRDIESNLMKMKQETYATIQ >Dexi9B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:9B:6566660:6579810:1 gene:Dexi9B01G0010500 transcript:Dexi9B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGKKLINDPNDVVTQFIEGLAETYPGVQYLDGFPEIKVVLRSDVAVGTYDKVAVICGGGSGHEPAHGGFVGQGMLTAAVSGDVFTSPPVNSILAAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGYKVEMVIVGDDCALPPTRGIVGRRGLAGTILVNKVAGAAADAGLPLEEVAEQARHASKLVGTVGVALSVCTLPGQETSDRLSPEQIELGLGIHGEPGAAVTELQPVDVVVSRVLKQILLPETHYVPITRGDRAILLTNGLGATPIMELMIATRKAVRELQLEYGITTERVYTGSFMTSLDMQGFSLSIMKSDTTIIQCLDASTKAPCWPAETDGPRRKPAKIAVPTPLSNAMKSDKMLQQSRELTKEGCILETSIAAGAKEIIRIKDSLNEWDSKVGDGDCGTTMYKGAIAILDDMKKCYPMNDAAETVNEIGATIRRVMGGTIGILYDILCRAAYASLKGIKTVEGKHWANALQASIDAISRYGGARVGYRTMLDALIPASEILRERLEAGDNPLDAFLISSEAAITGAECTRHMQAQKGNMPDHSIEAVKIEPSTG >Dexi7A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:7A:12297698:12301326:-1 gene:Dexi7A01G0003220 transcript:Dexi7A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGNRGAAAAKQLRVLLPFSKDRLRIPDELADEIGAAEALVVSPGGGKGRVIWPVGLGRDGGGAFLGRGWPQFAAAHGVGDGWHLALRHRGRGLLTVKAFDDSCCIRYFGAQPPPAAAVQESGRSKDTDSKPQFVCMLSPDSMEKMLIPAKFVQRYISKGDLNNHTAVVFGPLGKVNSVKLEMDQSDVYFAVKVFESDGCRRKPKHKDNVTQGSEQEINLEKQQEALSVPIWKRKDQTDLTCKEHKPKGFMPSLNEASLENNSFYEIGQPSWIKKQIDTDTLENHLALPKAFCDAIGLHKSSMITFRTTMTGSWQLHGVPRGNDSYLLVHGWRKFCEENNVKEGDICTFNVIKTTMWHVVITRYIPKLRGTDFDSGTECKSSDDGSTVPAPLCDAIGLWKASTITLKTSLNSTRSWQVHGRRYKNACYLGSGWTRFCQDNNIREGDICTFKIVETTLWHVVVAHR >Dexi9A01G0048690.1:cds pep primary_assembly:Fonio_CM05836:9A:51427487:51429179:-1 gene:Dexi9A01G0048690 transcript:Dexi9A01G0048690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDQAPRTKPRPDKAKKRKRAKKDKWGQPLSAAAAEDEPSVEPEQEPSVEGAAPAEGEEEAPAAPAESYEPTKVVASGLPYTTTEADIRKLFEFYGPLLSVQLSRFPDSGNFRGLAFVSFESRTYVQVGTERMCGNRYMRVERCRVTTSSNKKRKAEFQADPEKSVGCLSAYVGNLSWNVTEKDLRDFFKSSKIASIRFAMDKRTGGSRGFCHVDFEDDDSLEKAVAMNQSELQGRPVKVAYSVSNRG >Dexi1B01G0026230.1:cds pep primary_assembly:Fonio_CM05836:1B:31113052:31114498:1 gene:Dexi1B01G0026230 transcript:Dexi1B01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAVSDELLGTFMPIAVYWLYSGLYVALDGVGRLDGYRLHTREEAAAKNVVSKSTVVRGVLVQQAFQVAVSLTLFAVIGDESGTGQKQPPALVIVLQFIVAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATVKTVDDHCGLWLPGNILQKLFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEQRQGGGVESRPAKLD >Dexi5B01G0036990.1:cds pep primary_assembly:Fonio_CM05836:5B:36502177:36503487:-1 gene:Dexi5B01G0036990 transcript:Dexi5B01G0036990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRTRPEQQRAAEAVEASPLASSRSWSPEAEIGMRVEDIWDSLDVQQQGQGQLSHGDKLNSCFDSIPVASFPHTFDGAQLVEIPSDATLAEAVDILSRNRIISAPVRNVDAPEDASWIDRYIGIVEFAGIAVWLLHQSEAAAKADVSADELAAKLGTVTLEGAAAAAANVREPKMAESEGAIAEVFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQGAVVHMLSECAGLHWFEEWGTKTLSEIGLPIMRLSKIVKVREDEPALKAFRLMRRKGVGGIPVVDDSGKAAA >Dexi8A01G0009230.1:cds pep primary_assembly:Fonio_CM05836:8A:11961533:11961924:-1 gene:Dexi8A01G0009230 transcript:Dexi8A01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVDVLERLGVGYHFEEDIAIVLDFLNRNPSVPSVADDLYAASLQFRLLRQHHYDSPCEIFKDFMDENGDFKDTLRSNVDALLGLYEAAHL >Dexi4A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:4A:12661620:12667110:1 gene:Dexi4A01G0013310 transcript:Dexi4A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRNKRLEKFKLSALYTLTLCSRGPSEDHSRIGTAGFSRVVYINEPDRHQVEGFNYPLNGVSTTKYNLATFLPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALAPLCVVIVATMAKEGVEDWRRKQQGVTEVERAMAVRKGALLDAEIGNGDRRDKKVDDSPHVKGFNFKDPRIMDGNWIHEENKDMIRDFFRLLAICHTCIPEIDPESGNVSYEAESPDEAAFVIAARELGFEFYKRSQTSIIVRERNPIRNVVEDRKYDLLNMLEFSSSRKRMSVIVKELEGRILLFIKGADSVMFKRLAPAGRKFEEETRRHINEYADSGLRTLVLAYRVLDEKEYNEFSEKLNAAKTSVSADRDEKIEEATDSIERNLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTDDIKFKFLDLAIKCASVICCRSSPKQKALVTRLVKQETHKVTLAIGDGANDVGMLQEADIGVGISGAEGMQYPQLYQEGVQNVLFSWRRILGWMLNGVMNAVLIFFFCVTAFEDQAFRQDGQVAGLDALGVVMYTCVVWVVNCQMALSVNYFTIVQHIFIWGSIAFWYLFLVVYGVINPRFSTTAYMVFIEQLAPALSFWLVTLFVVMATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGKAMQITRETELEVQE >Dexi2B01G0023950.1:cds pep primary_assembly:Fonio_CM05836:2B:33411988:33420203:-1 gene:Dexi2B01G0023950 transcript:Dexi2B01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGADADDFVSQPPSVPPAPRARADGGVYQVGGVPVEFPYKPYGTQLAFMGRVISTLDRARRQGQSHALLESPTGTGKSLSLLCSALAWQRHYPLRSPPIPPTAAPDPFLHGGGFITQVVREYRKTSYRVRMAILASRKHYCVNKLACMSDNIDEQCKLLLDDKIQGGSCPEFKNAQKLSRHPSLQIGGCYEVHDIEDLVRVGRNVNGCPYFAAQHMAEAAQLVFCPYNYLISPIVRRAMDIDIGGSIIILDEAHNIEDTARDAGSVDIDEESLYLLQGELQNLATDEAVAMIYQPLHDVIQGLMGWISEREDNLQNHEFGHPASYWTGEKATKELQLAGITPMNFPVLQECATKAVKAASDSESDGSYLTGGRAMTLESKDDISSKCTMSLWCLNPAVVFREIADRTLSVILTSGTLSPMGSFASELGVQFEACLEAPHVINVESQDELGTSLEEICRIVPGGALVFFPSYKLLDKLQVRWSQTEPRGSTEELEPVLKGYYDAILGKAPVKKGRGGARQLSLADALLPADFISRHKVIVGIPFPNINDVQVKLKKRYNDSYKSSKYLLSGSEWYCHQAFRALNQAAGRCIRHKSDYGGIILIDERYREDRNLVYISKWLRNAIKQYDSFQNTMDRLQKFFQNAEEKAKSKDRDMFPKVKLESDLLSSLNDKRKLPWPELSSSTHSAPKTNQKVKTECLSQKVQNIDEVAVDQKKVGVADAGANHEVKREAIDLEEDDFNPRYKMTILYPSEGRPHQPIPLEETSPKASPSNYSEVLLFGERLDVKPEPSKGQASRTKRNVNNSVSPPAIDLESFAYKPLKKNPVSLNSRRSKDCGSSSCIDLVKLLRV >DexiUA01G0015270.1:cds pep primary_assembly:Fonio_CM05836:UA:31963556:31967598:1 gene:DexiUA01G0015270 transcript:DexiUA01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEVLDYDPWRHGARLTGEHKNCRPRNPSDAGMRSWDVGNKGKRNHARAHEYGAPPSPGPPFIYSRVLRALRLALCSSSSLSSPRRLIDRAAPSHPLDRVANPRRLPPSPSFRAAMADVECVAAAGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLRKAMDLTGLLCAVMLDTKGPEIRTGFLKDAKPCKLIQGKEITITTDYSIKGDENMISMSYQKIAVDLKPGSIILCADGTITLTVLSCDPAQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLQLVRSVLGEHAKSILLMSKELQAQAAQPDRVRQAERAPGVGEWMTCRAEVRWERTQGELQELPLSSRSAVGRVARRRWLQQAAGRPTDALQRARTRRWMRQPDLG >Dexi9B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:9B:661575:663209:1 gene:Dexi9B01G0001150 transcript:Dexi9B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSFLPPLLLAAALVAAAAAEPASTLSGPARPVTVPLGERGHAVDLPDTDPRVQRRVTGWAPEQVAVALSADPTSAWVSWITGDFQMGAAVHPLDPTTVASVVRYGLAADSLLHEASSGESLVYSQLYPFEGLQNYTSGIIHHVRLRGLEPGTKYYYQCGDPAIPDAMSGVHAFRTMPAVGPRSYPERIAVVGDLGLTYNTTSTVEHMVRNQPDLVLLLGDVCYANLYLTNGTGTDCYSCDFAKSTPIHETYQPRWDYWGRYMEPVTSTIPMMVVEGNHEIEEQIHNRTFAAYSSRFAFPSEESGSSSPFYYSFDAGGIHFVMLASYADYTRSGEQFRWLERDLEKVDRSVTPWLIAGWHAPWYTTYKAHYREAECMRVEMEELLYSHGVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATGHADDAGGCPDPASTPDPFMGGVLCAANFTAGPAAGRFCWDRQPEYSAYRESSFGHGVLEVRNDTHALWRWHRNQDRYNAVGDEVYIVREPHKCLRPGNNKLTRLSSSY >Dexi8A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:8A:7570426:7573169:1 gene:Dexi8A01G0006970 transcript:Dexi8A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVGAMEERVVTERIRRKLEEVNAAAQQHLAGVQDHVNFTMQQAYFKCAYDCFDRRRNQEGINNCVENCSVPVLTANNLVENEMAKFQVLLILCTILSMLFSFKQIIDQERLNRSLMVCQDKFEAAKLQKMKTDATQELESCVNRSIDDSIRVLPHVVEQIKSSLKIN >Dexi8A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:8A:11784744:11785559:1 gene:Dexi8A01G0009170 transcript:Dexi8A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLPQHHSFVSTGSSHDSSPPALFKPSTNNSGNISFRLYSNTSPSVTTTSTANSSAPTPVTPSAPADSPPTPSIDLLSSQLAARDYRQADETTRALLIELAGESARRRGYVFFSEVQFISTEDLRTIDKLWKEHSNGKFGYSVQRRLWEKSRRDFTRFFIKIGWMKKLDTEIEQYNYRAFPDEFMWEMKDDTPEGHLPLTNALRGTQLLGNILTHPAFEEESQEDQATAESAIAAAATAQSKDDNKGRERPKFMRDFKPDYSF >Dexi4A01G0006400.1:cds pep primary_assembly:Fonio_CM05836:4A:4603776:4608579:1 gene:Dexi4A01G0006400 transcript:Dexi4A01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARALSIFQGDRPEFWRWIPHTDCSSRISCNMFPMNTNYGAYIMFKTTDKSYELNYPMFAPLDANLEVGERPFLLQREVYLQVSASMAAELIKRPPHRFLPKRRADGWMELEIAGFRVEEGDVGDVRFELRQRRLKRIEGLIVQAENPMEAADACEIERLPEELLSAAICLTTPGDARRAAAVSRAFRAAADCDVVWSRFLPGDLPPLAGDDDGQLSRAPPSNKARFLRLAGRPVVLADGRTSMWLDRETGVKCFMLFSEAAELRTVCWLEIRGKIDSKMLSKNSTYSVYLVFKVAREAYGLEHPEQNTSVVLGGIKSARRVCLDGYDSDGEDRASEFYHSLPSGSLLRPKRRNRLEIPQNVLLPKERADGWKELELGMFHNDEGEDGEVCISLAETSSTLKIGLIVQGVEIRPKKQG >Dexi9B01G0037490.1:cds pep primary_assembly:Fonio_CM05836:9B:38884920:38888471:-1 gene:Dexi9B01G0037490 transcript:Dexi9B01G0037490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIKSLKLIEGCKAAQLYALSSVGASTSGSSGDAAGASTSRPQPPPPPKTISMRSGSLYYPHAAPSTSGGFAPEPHLPCGLPVADALEPSLDACLRPVDHVGALAASYRRVSAAMEGGGDDLCDAYLEQHALFQSMGDARLLRRALRAARVHADDPHRRVVLSAWLRYERREDELDPPPPPLAPCTATTPLLECPRAAVFASVSSGVDPVCPCRRPPPPPSTPPPHRDTSEMDDDDEEEPENNDLWFIIGEEEVACERSCIAALSKPLNTLLYGGFAEAHRDRIDFSRDGITARGMRAVAAYSRHGLVDVDDFPPDIISQLLAFANKFCCEGLKSDCDAKLAAMVRGVDDAHSLIDIGLEEASHLLVAACLQAFLRELPKSLTNPDIARLLCSPEGRECLDVAGNASFALYYFLSHVAMEQDMRSNTTVMLLERLNECAELPWQKQLALHQLGCVMLARGEFEDAQEWFEAAVAEGHVYSLAGEARAKYKRGHKYAAYKLMNSVVAEYDEPAGWMYQERSLYCVGKEKLADLQSATELDPTMTYPYKYRACALLEEDNAESAIAEVSKVIGFKMATDCLELRAWFYLALEEYELAVQDVRAILTLDPTYMMFHGRMHGEQLIELLRGQVQQWDMADCWMQLYDRWSAVDDIGSLAVVQQMLAREPGNSSLRFRQSLLLLSGHRDEALAKAEQSIRLQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSLYVDCDMLDEAAECYGIALNIKHTRAHQGLARVHYLKNRKQAAFDEMTKLVKIATNSASAYEKRSEYGERDIAKSDLNTATLLDPTRTYPYRYRAAVLMDENKEEEAIAELSGAIAFKPDLQLLHLRAAFFDSMGDRASTLRDCEAALCLDPTHGDTLELYSKSSSRPEPES >Dexi6B01G0002760.1:cds pep primary_assembly:Fonio_CM05836:6B:2338218:2338602:-1 gene:Dexi6B01G0002760 transcript:Dexi6B01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKVKPQANPQQGEENKINQGSPQDVEQSMNSTKEAS >DexiUA01G0018400.1:cds pep primary_assembly:Fonio_CM05836:UA:39064863:39065122:-1 gene:DexiUA01G0018400 transcript:DexiUA01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVAAAAAASAGEAVDTRRAAVVAAEDDAGAGGLEAGPTGDGVAAGRGGEGTAAGAPGGCCGGGGASPEPAKRRCW >Dexi9B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:9B:15089277:15090384:-1 gene:Dexi9B01G0020340 transcript:Dexi9B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQQHRKNYPSGIRSAGGQTTLQSFLVKPRVVDAEVKPSPPPPEVGKEEAQIFPPEPPKREIVRVTRATIKEKASAFSSVGSAGKDGGSVPGGALSAAVFKRFHSSAPVARAEGGRAEAVEDGDLDFGGGGGDVRLDVEEIGAASRRPEPRNKRKSPLGGDEHGGDAKARRVVVLGDDPRPRPAWRRGAVRPTRRGGGRGEGEGGRALYNHCKIELDPAVSAKPPPTRAEVGGGTATWRAWTARRSAGPTTCGRGWAPSRSAAWSGTSRCRPGSSSGTGPSPRQAAAKCRGI >Dexi7B01G0022980.1:cds pep primary_assembly:Fonio_CM05836:7B:27740096:27740856:1 gene:Dexi7B01G0022980 transcript:Dexi7B01G0022980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVVLKLDLHDNKDKQKVLKAVSTLHGIDSISVDMKDQKLTVIGLADPVDVVAKLRKVGTATIVSVGPAKEEKKDDKKDGGGDKPKEGDKKDGDKKPEAKPLLVGYPPWMAAQYAYPPPQYPPQYFVRSAEEDPNSCVIC >Dexi7B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:7B:14024122:14024564:-1 gene:Dexi7B01G0006330 transcript:Dexi7B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHHFSHDFPAAVGASLFLDDYYAWCAPAAPAPPRDTTVLGEFPHGDLAAGCNYAFVPRKRPRLAAAPECFVDGQGRRYGSGGVDVPWGVDVDVPNMSRAAGSGAASTSGRLANGSSAASRSLLVSWVHRQGVELDAVVRLE >Dexi7A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:7A:20885643:20886221:-1 gene:Dexi7A01G0009940 transcript:Dexi7A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGHLDGLRAQDPGLMRHSSFAAGNLSNRSDSSSLEMLESKLAMQTAEVEKLIRENQRLATSHVVLRQDIVDTEKEMQLIRTHLGEVQAETDVQLRDLLETIRLMEADIQSGDAVQRELHQVRMEAKRLSAERQMLTHEIDNANKEIQKLSAGDNKSLPELLAELDGLRKEHHGLRFVTMRPPYILSYEP >Dexi6B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:6B:20411604:20412764:1 gene:Dexi6B01G0012690 transcript:Dexi6B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGGGQQQQGEARPRLRWTRQLHDRFVLAVAQLGGADKATPKSVLRLMAVPGLTLYHLKSHLQKYRLAVSRGLITTSAGDNGEGSNDRSSSSDSQPDEYDEDTVAELRSAFAADDGADTREALCDSSSSKNMVRMQREVQRKLQEKIEVQRHLQMRIEAQGRYLQTVLRRAQEVLADHSLGSPAAKAELSELASAVETGCLSSSSSSLSLSPPRHRSTDSCVTSSSEAESHAAAAAGSKRPCTCTVVEQPVQGSKRSFLQQSHEAEEADAEAEDGSSPPVIDLNR >Dexi1B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:1B:4658413:4659894:1 gene:Dexi1B01G0005680 transcript:Dexi1B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSHEPAATEQKAASPTRRSSITKLTTASSSLANLLSVFLGANNSHEPRPKRRSFDAGGGVGLSIVADMSRSCLTGEAEPIAIVGPAARRRPREEDESYTCVIKHVAGGGGGGGGSVRKRRVYFGFGDGSGCLVEADDEVPAQEADFLSRCCLCGKRLDGLDIYMYRGEKAFCSTECRCHQMLMDDRAENCGSEALRASDYSVSPHSAPMAFSPTVAAA >Dexi2A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:2A:8983564:8987256:1 gene:Dexi2A01G0008910 transcript:Dexi2A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRARISRLRDVLIVFPSTMAAAASGPHHLLLLPLLLLCLSSLASPAEPLAEDILGDARAPAFAAWLRGVRRRIHQRPELAFQEHRTSELVRAELEAIGVPYTWPVAHTGVVATVSGGGGGGPVIALRADMDALPLQVQVFSSLQELVDWEYKSQETGKMHACGHDAHTTMLLGAAKLLQDRKSDLKGTVKLVFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPVLPVGVVSGRPGPFAATSCRFLATVAGKGGHAALPHQAFDPTVAASSAILSLQQIVAREIDPLQGAVVSVTFVKGGDAYNVIPESMTFGGTLRSMTNEGLSYLMMRVKEIVEGESGVHRCTSTVDFMEDKMKIYPAVINDEGMYAHANSVAQSLLGEENVKVAPQLMGAEDFGFYAQRMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGSAFHASVAIEYLKKHAFA >Dexi3B01G0032920.1:cds pep primary_assembly:Fonio_CM05836:3B:35349124:35350223:-1 gene:Dexi3B01G0032920 transcript:Dexi3B01G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRPRPRSPPLAKSQSRMQNRRRRTMGIAPSLNMDGTVTKFKNAHSIARGKAPAPSTNADDLPSGGRSSIRPVATSQRYRSAGMAPRTSMSSFQTRLAAMCRDESNGNDEGLSHGGSDENARPPLLIWTTETASLRSFGGGDFMRSAPAKSVAQ >Dexi9A01G0029210.1:cds pep primary_assembly:Fonio_CM05836:9A:34058613:34058876:1 gene:Dexi9A01G0029210 transcript:Dexi9A01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKARKEKSPPRARNTSTSRGRVDASSIFPRSTIDLTSSRRRRRRPARARRHRQRPLPVLGQRRSAATSAAAGFDICRYRILGWRT >Dexi9A01G0029820.1:cds pep primary_assembly:Fonio_CM05836:9A:34706499:34710943:1 gene:Dexi9A01G0029820 transcript:Dexi9A01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSESGSGSGSRGRRRRSAAAHPVVQVSSSGSGDERGSSEEEEESERSSDGALRRDGGGGKARVSATEGKTAATVGDAEGANLPSCPICMLAWTADGPHRVSCIPCGHVYGRYCLERWLQQCGKKKAPCPQCGKRYKQNYIINLYVPEIAVPNNDLEQQLLMLREKNESLEKQQAKLLEEIKEHKNEFLVDGARVMGIDASNQIILASGRGPIGAEHILTKISMLARQRMQKIYIPPDIKAIRDICILPGGQAIFASLGRKLSLFSMATNNVVLQYDLPAPGWSCSGDPTSSTHLYAGLQVDFSNGMLLVFDVRQTSAPLHSMTGLSTHPVHTIHSAVDDSGSRKVFSASSIGPCIWDVDGSADRPNLLSGMENQGVCISLACTPPSSNLLVASYRPKVELLDGGTTPQVITPQSQAPTGSGRLGCHTLLRRTTTASFAKDQTCNGNVSELRMSKSAIIPCGGNQYLFAYGDESLYGIRTWQLPSFQTYTDLRPHRQPILDLRFAESSTGERYLGCLSEEKLQVFTVR >Dexi9B01G0038620.1:cds pep primary_assembly:Fonio_CM05836:9B:39593450:39596316:1 gene:Dexi9B01G0038620 transcript:Dexi9B01G0038620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQAQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLTARGVTKETPHVAKYNSNAAAAYRDRIAALAEGRPWTDPPVVKETPGSGAPAPARKPPMPASSGGGGGGGGWDDWDDDFRPDMRRNQSVGSFAAAGTQSGRQPPRSKSTQDMYTKQQLEASAANKEDFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGAAAQGDVMQVVSQGFGRLSLVAASAAQSAASVVQMKEGGYDQKVNETVSVVANKTAEIGSKTWGIMRGVMALASQKVEEYAKEGGVGGWGDDWQRREQNNEPYQRFEHETNGNGWNSSQNSSSKNYNSSSWDDWDDQGKKEEPAKPHQSSDSWAGWDDGKDDGFDSPSYSNHSTSNKGSNQNGTSSGSFWTEGGFR >Dexi8A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:8A:20020808:20027779:-1 gene:Dexi8A01G0011330 transcript:Dexi8A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPPPAPLAVEARFADLCKELGLEEGVAGEAAALLDEVKGALLATPSVGGRSAKDAERLCFAFVLYCAVKLKGRKEGCGVRLFDILKGCKLKSDDFFQELQQLSRKIEDILESRYGQDWEGQLELKQLENLVDLLAAASRFYRRVYYKLFSSASTNQEPGLATNNLDYFLFGWHLFAMLRSRSPELFKNLVSCVHGLVAVLAILLIHVPAKSRSFTIEGSSHLNGLMWFKGLIDEESFQHNLEKLEKQCNPDSWEGEFDLKLFLSDDYFPSAEGAYTKLGCSKAPQKRAFEILASPTKTIKNMLTVPCSPSSPANDVSVKTVQMTPVTSAMTTAKWLREAPDLIPSSFSSTMALSWSPGDCQSSGSAGSSRGLQVDGACPPGGGATRMPSWRTARHDNTDPRSPKRPCNESRNTGLDCNLQTPPPKQSHIVLAYLKAKCHPLQSTFASPTVSNPVGGDGNCADITVQIFFSKILKLAAIRIRNLCERVQYVEQTECVYNVFKQILDQQTALFFNRHIDQIILCCLYGVAKVRQLDLSFKEILNNYKREPQCKPEIFLSIYVGSRNRNGVLGSHHLDIIPFYNQVFVPAAKPFLVSLMDSGTCSEDKNANSMCYISQFELLFLHL >Dexi7A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:7A:15344566:15346121:1 gene:Dexi7A01G0004520 transcript:Dexi7A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTRCSASDLDISEDLASAERTGRDRKRLFNSMQEPEELTYNDLRRITDDLSEKIGEGGFGTVYKGVTKTGKHVAVKILRDGISDLDHEQFRNEFRNLTKVQHGNIVEFLGYCYEREQTPITCNGRTVLGENTHRALCFEFMDKGSLQKHLSDESCGLDWETRYNIIKGTCEGLRYIHEGLEEPLLHLDLKPDNILLDTNMVPKIADFGLSRIFGDQLTMATQCSFGTLFAKTGGKGGRQHATVISHLKHIAAK >Dexi5B01G0021420.1:cds pep primary_assembly:Fonio_CM05836:5B:23719434:23723125:1 gene:Dexi5B01G0021420 transcript:Dexi5B01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRAKGLSFLLLLVLLALCSTIDVSEARRGKHWRPRSSPSSSQLKKGKGKKSNSHRQHGSSRPSPKPPVNSTSSPGAGKGNQNPYQPSPTPNAPLGPSPANSSRHSSPKPTPSCGKGQPSQPPPAATSQGAVFNVVDFGAKGDGVTDDTKAFEGAWAAACKQGASTVLVPSGLEFLVGPISFSGPYCKPNIVFQLEGTILAPTSAKSFGSGLLQWLEFTKLNGIVIQGNGIINGRGQQWWTYSDPEDEYDDDMYDAELERMPQIKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVMVHDGGVGLVQDIRFSNIQVSEVQTPIVIDQFYCDKSSCRNQTSAVGVSEVQYENIRGTFTIKPVHFACSDSIPCSGISLTGVQLRPVQVPHYHLNNPFCWQAFGELYTPTVPPIACLQIGKPAGNNLQSYNDIC >Dexi7B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:7B:19464928:19466940:-1 gene:Dexi7B01G0012740 transcript:Dexi7B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLGWGRQEVDGWRKGPWTSQEDRLLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRQEMQQSQKQQQQLLQMGQVVVAKDENEEDGGARAGTDDDDRGSAVVDDACAAAPAVVAADEASGGHRHEDLIMQDDAMMDFMCPMSCALLLHGAGVGQGGAAGSCCGSTASEEYGSSEEDGATWGSLWNLEGVVDDDGAGACTLW >Dexi9A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:9A:7977581:7986071:1 gene:Dexi9A01G0012470 transcript:Dexi9A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGDHNVPDGIGMARLEWTRLPTAEGAGPSTSAAAGDELFSAAAVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGSAAIFINLAVENFSGWKYTATFAIMKHSYFVGFFVYTSFNLALVFSSVYIVTNFAPAAAGSGIPEIKGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCASGKCGHFGAGGFIIWDISGGQEDYSYQELFPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDACKNQKVVCLPRVSRVVDIVSVLRTNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQAKIDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFVNPSPYIVPEDMSLAKVYNLFRQLGLRHVFVVPRPSRVVGLITRKDLLLEEDGNTVMAELQSTSVRGLLNGRLLGGNAHLERPLLDNLVIE >Dexi9A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:9A:7449528:7450619:-1 gene:Dexi9A01G0011820 transcript:Dexi9A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYHQLQLSPPSPKPDQSTSSFFYYPCSPPPFAAAADASFHLTYQIVAGNAAATPPQAVIDSPEELPVHQLMDQAPAPAPATTEVAGVDRARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKSAIQEIMADDASSAECVEDGSSSLSVVDAKHHNNNPELVGVGGDEQQQQPKGDGKKPAANKPSRKAAAASNPKPARKAGIAAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEARDTCRQPGSNNLSHHQSSSSTNMACAAAAAAESLEERSSSTLNNGGRMQEITGASDVILAFGNNNGGYGGGNYCCGQEQWDLGGVVFQQNNSRFY >Dexi5B01G0024050.1:cds pep primary_assembly:Fonio_CM05836:5B:26136891:26137699:-1 gene:Dexi5B01G0024050 transcript:Dexi5B01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVDNDHHRGAKFASPSSSSMAPAPPPSSSSRTRLHDFSFPTLSWGTHRLLRCSRNGSASSPPPSGPDTPSPDKEKPHRPESGGGGAGGSLQRRRSAQRPWNLRTRRSATAAPERQARPDGVAEEAVPAERAPAPTAEAKKRGFSIALSKEEIAEDFAAIRGSRPPRRPKKRPRVVQRQLDLLYPGLCLADVTPGSYKIEER >Dexi1A01G0011170.1:cds pep primary_assembly:Fonio_CM05836:1A:10035122:10037974:1 gene:Dexi1A01G0011170 transcript:Dexi1A01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSFRGGLAMAVVLLPLLLLLALLAPLQCAAAAATCVGLAPAKRRREVISITEFGGVGDGRTVNTWAFRKAVYRIEHQRRRGGTELRVPAGTWLTGSFNLTSHMTLFLARGAVLKATTDTRRWPLVEPLPSYGRGREMPGARYASFISGNGLRDVVITGDKGVIDGQGEVWWNMWRRRTLQHTRPNLVEFMHSTGIHISNIVLKNSPFWNIHPVYCNNVVVTNMMILAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSAITIRRVRGSSPFSGIAIGSEASGGVSDVLVEDCSIFNSGYGIHIKTNVGRGGYIKNVTVDNVRMSGVRVAGVRIAGDAGDHPDAHFSQLAVPTVDAVRITNVWGVGVQQPGSLEGIRSSPFTRICLSNVKLFGWRNGAAWRCRDVRGAALGVRPSPCAELATSFAAAGSCSF >Dexi3B01G0001990.1:cds pep primary_assembly:Fonio_CM05836:3B:1427986:1428353:1 gene:Dexi3B01G0001990 transcript:Dexi3B01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAFVADEAAFARSVEVQFAALDANGDGFDCAEFRDEMRRIMLAVAEGQLGSQPLQAAIDDEDGSFLLEAVEHEAAAIVSKVDADRK >Dexi4B01G0021600.1:cds pep primary_assembly:Fonio_CM05836:4B:23546224:23547252:1 gene:Dexi4B01G0021600 transcript:Dexi4B01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEDFGKSWLGLGIGGGDLKLSHGERRSAAVQFDLLFQQSVKGEAVAVGAKVAEKGARKRLMKMIADDDDDGRRSHEPSPSDDGGDGAGTKKKLRLSKEQSTLLEETFRAHNILSHAQKHELARQVNLSARQVEVWFQNRRARTKLKQTEVDCEILKRCCESLTSENQRLKHELAQLQRSSAAAAGLYVQFPRATAMAATICPSCEKVAPTSGGETSKSSTSYSS >Dexi9B01G0047220.1:cds pep primary_assembly:Fonio_CM05836:9B:46409475:46409926:-1 gene:Dexi9B01G0047220 transcript:Dexi9B01G0047220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRGRASAAGPDTIEELLDRHLVKKPAVVLDDDAAEAEARRRLTSSRREALGLYRDILRATRLFAWTDDRGVPWREVLRANARREFEEARWERDPEVVARLLIGGRDAVQQALDRLAEASRRAIEAEEAKRRGGA >Dexi9A01G0001530.1:cds pep primary_assembly:Fonio_CM05836:9A:817174:818646:1 gene:Dexi9A01G0001530 transcript:Dexi9A01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVNAVMDVVVPPASMVMLAFAWPTLSLLRGAEWVLKSLTKEDMVGKVVVITGASSPIGEHIAYEYARRNANLVLVARREHRLFAVRDNARLLGAGQVLVIAADVVKEDDCRRLVSDTVTYFGQLNHLVNTVSLGHDFNFEEAGDTAAFPHLMDINFWGNVYPTYAALPYLRRSHGRVVVNASVESWLPMPRMSLYSAAKAAVVDFYETLRYEVKDDVGVTVATHGWVGGDAGCGAKKLTVEEGAAEMQPGGGHVEAYARALVGGACRGDAYVKSSRWYDVFLVFRVLAPDVLAWTFRLLFSTADDSSTPAAARRPPPTALLPAPPLRPLLEYQPAPRTTRVHKLE >Dexi7B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:7B:17906038:17907423:1 gene:Dexi7B01G0010610 transcript:Dexi7B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSLIKVRAAGGGGGEGQARARRRRRHRRRPPVDEEARDKDDAAASSSSASSSAKIAPAQPHESDVGDHHHHHKGRRPPHAREEEREPQGGLAGHEHCDKCCSPLDGGDGDKEEAAAGTAAESDGEWAAEPEPGVVMTLVSRGDGTNRLRRIRFSEEYFGDAWAAQTWWADNCDRIVELYSVVVPPEQPSHGSDDDDDPAAPVTPCPSEDDEPQRLDGIGELEYSASCSASASGGSTSNFSGPSSGSGSGSANKVDSPILGLVTEADSFARATQMKHGHKTTREGQ >Dexi2A01G0033550.1:cds pep primary_assembly:Fonio_CM05836:2A:43711230:43718510:1 gene:Dexi2A01G0033550 transcript:Dexi2A01G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCSSPTFPSSPRDSPPRPAAPFPVPLQNLPQTLGTTGLAAAGLAATMRGSQDRRPFRPPDWAPPPPHHHRDYRDRDRHYQNQHHQYQHQHQRYRPTQPFRPPPQLAVLLHRVGPDYSAPTATEVEALVAGLPSPAPASISVNSSGRLAARLVFRAIPDAAAAARELWDLRLQGHHLLALELPDPALAAHASPLIASVFAAHASRLLESGLLAVSSARSAELAASIKDVKRRLASRNRFRDFDKLILESKTLEAEKDLLDAKIAEYQVTTRSIRRAMLREAHDDEEGVDVFGAVQGAEVDFARVHKIMLRECRRLKEGLPIYAYRRRILNHIFTNQANSDEMKWGKFTFLKPESAEDAISKLNGIEFHGVSYNLEKNENGIFRVKLTANATKTIADMRRPLELLMEGKTINHPDLTLSAVQLLLSRDGLAHLRSVENETGTYIHYDRQTLNIKIYGHTDQVAAAEEKLVHALLQLHEKKPHEIRLRGRSLPPNLMKEVIKMFGADLEGLKKEVHGAELQLNTRRHVLYVRGSREDKQRLEEMISELITSRGHNSLGQLLSENSCPICFCELEDPFKLESCAHTFCKACLVDQCESAMKSQDGFPLCCLQNGCKKLLLLVDLRSLLPDKLDELFRASLNAFVASSAGLYRFCPTPDCMSIYQVAAADAEGKPFVCGACHVEICTKCHFEYHPFISCEAYKEYKADPDSTLVEWRKGKENVKNCPSCGYTIEKTEGCNHVECRCGSHICWACLENFKSSEECYGHLTSRAVRNVMVISGS >Dexi3B01G0013660.1:cds pep primary_assembly:Fonio_CM05836:3B:9817686:9819357:1 gene:Dexi3B01G0013660 transcript:Dexi3B01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRNSATPTRPPAHHRLPNKSPALRARRRRHATLSAVPAASAAVAAMVSAESDIERVLWTEAEVAARVGEVAAELAADLRALTEPAVVVGVATGAFLFLPDLVRRVDAPLAVDFVRVESYGGGTESSGKPRITADLKVDVAGKHVVVVEDIVDTGNTLSCLIAHLEKKGASSISVCTFLDKPARRKVNVQLVGDGKFYSGFEVRIPYPFNIILYGTHF >Dexi2A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:2A:11918270:11923778:1 gene:Dexi2A01G0010690 transcript:Dexi2A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPAPTRSPASLRLVPHYSRFRFHHQRESRGSGAGGPRRXXXXXXXXXXKTNKPASTQARPAGREALVYKRMLATPAPTRSPASLRLVPHYSRFRFHHQRESRGSGAGGPRRPVLLRCRGGTTPPAAAAAVGAAAEEGRMAEAAAAWMRVLEEGVFRFDASEAARAAAGPSLSFADPRLREAPREGADAPAVVPAFRVAAGGAQEVVLKVRRTGTPRHTCTGRVAVALIDLRQESTIKFSASAAYPVITFGPFSTPSDVMTSLSHAIGGKINSIVGTVSMPPKWSLGYHQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDNNRFPDPKSMVDDLHSIGCKAIWMLDPGIKKEEGYFVYDSGSKNDVWIQKADGSPFVGEVWPGDCVFPDYTSEKTRAWWASLVKEFISNGVDGIWNDMNEPAVFKTTTKTMPESNIHRGDADIGGVQNHSYYHNVYGMLMARSTYKGMAMGNADKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSLPMVLQLVSETLVLMLKIVPI >Dexi8B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:8B:5016733:5017745:-1 gene:Dexi8B01G0005120 transcript:Dexi8B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAPTSEAAVLFLVTAAALVAMAALQLSAAAAAAGLPQRIAKPGCNTTCDNVSVPCPFGFSPGCYWPGLNLTCDTSHEDGTPRLLLGDGTLWVTEIFIENAAVRVMRAGSSVVINATGTELNSDGWNVSFGRGFTEYGYKLSLSSSDATWWRLARILSDNGGKPPRTIGGCASFCTTIDLGDQYSIGEYCTGINGCCRASLVVSGLPNEVQAKWLYSENHTTWQDVLQVNVLFAEEGWVYKYMRALETNNEPEEEVPIVIEWSVTKDLPQHSDEEPDSTCPDHVSRILCKSDSQCSIEKPGYTCGCEEGYDGNPYLPGGCQG >Dexi9B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:9B:6105596:6107317:-1 gene:Dexi9B01G0009920 transcript:Dexi9B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPVLTVLVQKGPCKGETRRGRAGAALRVGRVVKGNHLAVRDAGASQSHLSLEFLKPPASRWAVTDLGSSNGTLLNGAPLVPTVPAPLSHGDLIKIGESTVLAVSISADEGPAPAPAATRRSTRSATATAAAAAAAEEEEQAPPVTRRGGRKKAAAAEAPDAEMEVKEVAAVPMRRGGRKRAAEPPDVEKEMKEEAAVPTCRGGRKKAADPPEVETEEEEEEAPVPRRGGRRKAAARATLPAQPTTRSTRSAARRGDAVGSGNDEVERTGKGRGPATRASARKATQPVPEEDEEEEEVPVTREEVGNPPRTTGVKGGEEEHALEIETRDGTSNASEEVPVVGRGRTKSNRGGRRSPPRARARKADEAVFEAENEKEQEESDMADGRGSRGSPLRVMAVNDGGEEDKVATGDGKLDSTSKASIDDEKLAEVEEDAPLALKGTAIEGMVSAQLATANNGGMEEEERRVLSSQGDNEVDDGLREKVLPESKPDGVGEVEEKEKMEAIGGNGEEGPVEERPLRSSLENMTLGEWFDRIEKCLVAKNEEDAEKMIAEAREKHRRFCEHLKMLKKS >Dexi3A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:3A:1905613:1905955:1 gene:Dexi3A01G0002880 transcript:Dexi3A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTEPIVSYRQEEAHRGGGFGGVPASAAAMAGLDKQTFRATAGGGGGGGDCAICLEGFDDGEEVSVMPCSHRHGFHPVCITKWLIRSNIE >Dexi7B01G0024400.1:cds pep primary_assembly:Fonio_CM05836:7B:28752804:28754546:-1 gene:Dexi7B01G0024400 transcript:Dexi7B01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKRGKAAKGEAPPPPAATNANASGGGFPGSLRLMPPSTVAISIHAKPGSKVATITEVGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVYDALKKSCNCS >Dexi5B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:5B:1723564:1725316:1 gene:Dexi5B01G0002740 transcript:Dexi5B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDDGGGSTAGMEEGRHGEQARYQSSEDGGASSDRCSGSNDMISVQFMQKIVAEVLGTYFMIFAGCGSVVVNLSTKGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTLAFATCGRFPWKQVPSYVVAQVLGSTLASLTLRVVFGGATAHEHFFGTAPSGSDAQAVVLEFIISFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLLAGPITGASMNPARTMGPAIVAGRYKSIWVYVVGPVCGTVAGAWAYNLVRFTDKPLREITKSGSFLRGARVNGQASPR >Dexi7A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:7A:27687453:27687780:1 gene:Dexi7A01G0018230 transcript:Dexi7A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGPATSPMVMYPFYPPGAFPQQAGDDQAQGPGIYAIQQNQLAAAMGMGCYAPTTLVPLTYEIPTRHVLLE >Dexi5A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:5A:7791081:7792189:1 gene:Dexi5A01G0010260 transcript:Dexi5A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGHPYSPAELELPGFVPQQLSQAEIVAACLGASLLVILAVWLISVNYLYHHFFFRSDGRRCGSRLLSTTGRLLMCWWAFTGLTHIVLEGPFPFTPDFFRKENPSYFDDLFKEYSKGDSRYVSRDTAILALEVITIGLKGPASLLAIYAIASRKSYSHILQFAVSLVQLYGCLFYFITAYLAGFNFWASPFYFWAYFIGANSSWVLIPTLIAVRSWNKISTTFQAEKVKT >Dexi5A01G0034170.1:cds pep primary_assembly:Fonio_CM05836:5A:36304836:36305687:-1 gene:Dexi5A01G0034170 transcript:Dexi5A01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGQSSRPWPGDPASTPSETTAAVAAAADARGEASTLKDFGTSMDAISFGFAATAILISLFLLLAIFEHLIKPRAFPPDSPDGGTRAAVRRHRHQRSPGKLRSPPMVEVVLQAADLSVLMPGQRYPTYLAQPAPLPPSCPREGVHWPPHDHHSSYMPP >Dexi4A01G0015170.1:cds pep primary_assembly:Fonio_CM05836:4A:17825107:17826930:1 gene:Dexi4A01G0015170 transcript:Dexi4A01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSLRPRAAAAATATAASAAKSRPTAAMADSKAATAVTLRTRKFMTNRLLARKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Dexi9A01G0049090.1:cds pep primary_assembly:Fonio_CM05836:9A:51827298:51829649:-1 gene:Dexi9A01G0049090 transcript:Dexi9A01G0049090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLAGVEPSGKVARAGLGDLSELCAAEVLLHLDAPDICRLARLNRAFRGAAAADFVWETKLPGNYGHLLHLVGADAKEGGEMGKKDIFARLAKPVPFDDGKREFWLEKSKGGVCMALSSKALIITGIDDRRYWVNMPTTESRFHSIAYLQQIWWFEVVGEVDFCFPAGTYSLYFRLHLGKSSTRFGRRTCSSEQIHGWDKKPVRFQLSTSDGQHAVSQCYLDEPGSWILYHVGDFVASSSGEAIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPERVIRAQK >DexiUA01G0011430.1:cds pep primary_assembly:Fonio_CM05836:UA:22988056:22991230:-1 gene:DexiUA01G0011430 transcript:DexiUA01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIGSIPSASDGAASAAAPSTKAPREATLGRHLARRLAEVGAREVFTVPGDFNLTLLDELEAEAEGGTGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQKSLEAAVEAAAAFLNKAVKPVLVGGPKMRVTAQEVSTMLRWGQNNIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAFHNGEGKCYTAKVRTEEELKESLKEALGHKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Dexi3B01G0037880.1:cds pep primary_assembly:Fonio_CM05836:3B:40699803:40702115:-1 gene:Dexi3B01G0037880 transcript:Dexi3B01G0037880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEKGDVVGAEEVERAAVAKRRRAGEGGGGAVGRRGRRRGGMQGAAAGVQRLFQACRHVFRGPGTVPKPDEVHLLRAMLDRMRPEDVGLSPDLKFFRAKDATQGPPTITHTTIYKCPNFSMVILFLPRNAVIPLHNHPGMTVFSKLVLGSMHVKSYDWVDPEPDTSVSSCSSSSSDSELRLAKLVVDDVFTAPCDTSVLYPTTGGNMHRFTAIAPCAIIDILGPPYSIEEDRDCTYYTDFPYTHRPTDDTGDLNNVEQDQARLAWLKEIDMPRELKICSVQYRGPPISDK >Dexi5A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:5A:21225441:21229343:-1 gene:Dexi5A01G0017830 transcript:Dexi5A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSEGSQKKGKDSRGKNDLFDRAKGGLEALAGNLQSAKNDAETATEKLQGDVKSGIETILHKGSGLLEKAKEELGGHSESSRSKELEQGSEEQGNKDMDALSAVMDKVKSHPEVMEKVKDEVKSLAETLHLRRHGSEDKEPEAEEKAQGEAAPNVDSTASADKTEEPNELEQAVEEIQAVVAAVQQQQTAPTTETETPTDVAATAETSAEGEKPEETNREVEKDDPKKRLDFLGYFAMLFERFCSPANKKKD >Dexi9B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:9B:4160811:4161970:-1 gene:Dexi9B01G0006890 transcript:Dexi9B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVAFSRRRRRWILLGAAGAAAAFGAYKIYHHPAVAARRRRLVRLAAAVAAFADAAASSADAAALVASDLADFVRSDADEVPRSVRQLAKLAASPEVSATVSSLSEAVASGVLRGAGGSTSAAPGSPGAVGLSDRLVDKLFSDSGERLASAVAGSFARHIVLAFYSAPLTPGGTASSSSPTMWVNVVTTGKGRRAITNWVEVFVGTAVREFIDKTIHINTYEQIFEGLTNPKHDAKLKELLVSVCNGAVETLVKTTHHVLYNTNDKLDGNGNGGGTGYGNGGVGEGWVETVSSTLAVPCNRKFVLDVTGRVTFETVRSFLEFVLCKLQDGARKGGDTVVDNGLRVVSLMMHVTV >Dexi7A01G0022600.1:cds pep primary_assembly:Fonio_CM05836:7A:30788271:30790849:-1 gene:Dexi7A01G0022600 transcript:Dexi7A01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGLKTGGLLLPTIERRCTSPPSVIVVGGGISGVAAARALSNSSFKVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLRLYRTSDDNSVLYDHDLESYALFDKDGNQVPKETVDKVGETFERILEETVKVRDEQEHDMPLLQAISIVFERHPQLKLEGLDDQVLQWCVCRLEAWFAADADEISLKNWDQERVLTGGHGLMVNGYYPVIEALAQGLDIRLNQRVTKITRQYNGVKVTTEDGTNHFADACIITVPLGVLKANIIKFEPELPPWKSSAIADLGVGIENKIAMHFDTVFWPNVEVLGITGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVVSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVCARFSAPVENLYFAGEAASADHSGSVHGAYSSGLAAAEACRKRLLTQKGVPDLVQVAAWEDVAGVIAPLQICRT >Dexi5B01G0023170.1:cds pep primary_assembly:Fonio_CM05836:5B:25391612:25392801:1 gene:Dexi5B01G0023170 transcript:Dexi5B01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTMRTASMRPSLKARRNCVPMSSRDCRKRSAAQVMKMIEHQHHPQPVKAPVNVPERADLDEARLAHAAAVGWGAEELARLLHGPAEAVEHVTAPEVLVDVVVVPPGGVRRGAAAAALDLRADDGVDGRDDAREEGVLAVHGGVGGATGGVVAEQLLADSARDAGVERVGEIGGGEAHLRVGEEEHEVLPLVPDVVALEAEEGAEPVHEVVVGAPLRVGRRAQAPDRPQRRRGRAHLREPQRRVVGEEVVDGEDVVGLAAARGRRRCGGRAALGGARRGHAGIWVRWGWV >Dexi8B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:8B:21885567:21886355:-1 gene:Dexi8B01G0012520 transcript:Dexi8B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVHPGHPRPVLRRRRLHLLVLHRGEMSYARLGDDRWTLITESETVPWKFGYRDAAAHNAITAAYNAKDGLFYVLTHECSIYAFDLNGPAPKARKITQRFQLSDYPYCHFVFAPWGDMLQISRYTAFRTLTDPAPVPEEHAQEVTNPRLEFYTDEMELYKVDIAGQKLVRISGHDLHGHALFLGFSSAMLLSTKGFPRLKPDCAYLTDENLEQIGMNKNGCRDIGIWTFETETLESFADFPSVPPWLNWPSPIWITPSLC >Dexi9A01G0019750.1:cds pep primary_assembly:Fonio_CM05836:9A:14638447:14641212:1 gene:Dexi9A01G0019750 transcript:Dexi9A01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLELKMSKENTTQLDLEKGLPQMSNGNDLSNPATIHKMGEDTEDSGSKIESPTPEKLESRSKGVVHLIFHLVLIHNGRHKDLLNLFNRMESSIRLLRLRKKMTTFKNIATQVEVLTKRVQTFLRQSYQNPLVQGEIEEQLDILEDLAPDWISKKVINGEILYRQVA >Dexi3A01G0026040.1:cds pep primary_assembly:Fonio_CM05836:3A:22144018:22144230:-1 gene:Dexi3A01G0026040 transcript:Dexi3A01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESSSMASKEVRGRKEGEGERGKATTSKEGRRGVQRGMREEACKESRRNYTGARTSKT >Dexi3B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:3B:11221115:11221511:-1 gene:Dexi3B01G0015470 transcript:Dexi3B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARHGPAPDGGGSGGWGGVAGAGPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQM >Dexi6B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:6B:4922675:4923168:-1 gene:Dexi6B01G0005540 transcript:Dexi6B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGQAVRSRQLQSKLDLTETIKDGTRMFLIDAGIRRQLILAFTEDSKLHVDELVDVYRLVEDQINMPSVALEVLQDFRFVACNFMLSWSDSILSDRQY >DexiUA01G0001470.1:cds pep primary_assembly:Fonio_CM05836:UA:4006092:4007453:1 gene:DexiUA01G0001470 transcript:DexiUA01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNLTDRLSRTLRNISGRGRLTEDNIKETLREVRMALLEADVALPVVRDFINRVKEKAVGHEVNKSLTPGQEFVKIVRNELVSAMGEENQVLNLAAQPPAVVLMAGLQGAGKTTSVGKLGKFLREKHKKKVLVVSADVYRPAAIKQLETLAQQVSVDFFPSDVAQKPVDIVNAALKEAKLKFYDVLLVDTAGRLHVDEAMMDEIKQVHAAINPVETLFVVDAMTGQDAANTAKAFNEALPLTGVVLTKVDGDARGGAALSIRHITGKPIKFLGVGEKTEALEPFHPDRIASRILGMGDVLSLIEDIESKVDRAQAEKLASKLKKGDGFDLTDFLEQLRQMKNMGGMASLMGKLPGMGQIPDNVKAQMDDKVLVRMEAIINSMTLKERANPDIIKGSRKRRIAAGCGMQVQDVNRLLKQFDDMQRMMKKMKKGGMAKMMRGMKGMMPPGFPGR >Dexi1A01G0026440.1:cds pep primary_assembly:Fonio_CM05836:1A:32365716:32366015:-1 gene:Dexi1A01G0026440 transcript:Dexi1A01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARGRSRRYSDAAAAGRNTARNMWAGRSSRSRARISPGPSSAALRTAAAASVTDTMARMLFTTSWYSGFSRLSCAEESML >Dexi1A01G0026440.2:cds pep primary_assembly:Fonio_CM05836:1A:32366022:32366510:-1 gene:Dexi1A01G0026440 transcript:Dexi1A01G0026440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDTTSACAPWGATWRRFRASSTEMSPALQPIPARCTLRTSLRSLYRFMIMSVKDGVGAKRLQFTTRMSMSCGDMLVLARRSSMAEKMTSSASAREDSRSRDGGMHVSSPSPERSRSRVMNRTLRSSKPGHTRECSRNVANGTRQFGLVRKHA >Dexi9A01G0020580.1:cds pep primary_assembly:Fonio_CM05836:9A:15637150:15653220:-1 gene:Dexi9A01G0020580 transcript:Dexi9A01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATETVKQALAALYHHPDDGIRTAADQWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSEAFRSLQDSLYVLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFESDLCSSANVAINLLTACMAIDQLKEQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQMPLIQILVPHIMGLKEQLKDPSKDEEDVKAIARLFADMGESYVDLIATGSDDSIQIVNALLEVTSHMEFDISSMTFNFWHRLKRNLIKRETYVSYGSEVAIEAERNRRLQIFRPRFETLVSQVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLRVLSTKLAQVVMVICPSSNPTILCLSLPGGVPLALSYVTASMPSSPRVVAANRYIFTMQIAQKSLIFVWFLFYCLILCYCRRKFAGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPESARTALELICQPVINPLQELIQQGDQVLQQVPARQLTVHIDRLSSIFRWRAWDTRTMESICRSCKFAVRTCGRTMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLIDCAMAGITIQHREACKSILSFLSDVIDLTNSPDGENYREFINTIVLQRGATLTRIMIAAITGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERQRFLNIISDAASGSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQLP >Dexi4B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:4B:10734723:10737376:1 gene:Dexi4B01G0012730 transcript:Dexi4B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPPVLRRASSVGPVRRYFERISSVGSSPTAPSSSPPAAAPPIPIAMDSEPDVELQGLDIDVELDPEDLQSSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAKAARMLLEAGAVCAERTFDGDRCHYAALNLRLRWLLKSFEARPPPLAPLPAALRATFLACPANRAAFLEMLQGSAGAEAAALAAAAGFGPKDDPTSACLFPPDITFYVDGKPVEAHRVILCARSPFFEKKFKADWKDKKEVRFSNQKLYYGALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILDKEVMHQQYAEYKSARLDLDNSQKRFILQAQSLPEQDRLPSALQRILETCLANSREECYYSEESSEMCKNSKEDLADLYIRVEDKIFPCHKVILASRSEYFRTRLSRTVDFLEGNCEFQAAQKLPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDVASRYLLFPLKRVVADMLLPHLEHVSPAELCHWLMLSDIYGVMKIREYILDIIACNFEMFANTREFRALLLTLPPPSGDDSLRTTRPSAPGSGGNSDQGNILDDLREKWLEAEGEELDERDESAALFDKRLETLMLVAEEEADDEDV >Dexi5A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:5A:19972679:19974924:-1 gene:Dexi5A01G0016990 transcript:Dexi5A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSILVIGGTGNVGRHLVTASINAGHPTAVLVRPATAGVSGKAELMEAFKSCGARLVYGDIDDHDGLVAAIRQADVVISAVGHSSPEERFVPSEYGCDVELAEHMLEPARSILGAKVRVREAVKASGIPHTIISSNWLQGFLLPRAGNPEASGPPNNSVTIFGDGKLQVFFVNEKDMGAVAMKAVEDPRTLNKILHLRPQENLCSVDKLISLWESKIGKALEKTYVCEEELVKKVQVSPFPLNFQLAVLHATLVAGEANLTDKATATGASTGERVEATELYPDMNYMTVEEYLDGLQ >Dexi7A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:7A:18497003:18497781:-1 gene:Dexi7A01G0007150 transcript:Dexi7A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGNKRTKRGSSPGVDRLNALPDHLLHEIMSRMKALQMMRTRFLSRRWRHLWPSVPCLDIDQREFADTDYHKFGDFLHFLLLRVSVSDLDALRLHVSSTYDDSHLADASASIRRAVMSSSGGATWRLKRLHLSSLRLDDLFAEHVRSRCPFLEHLELTRCVCGFHAIASGSLESLALVDCEDKGFCEITSPTLKSLAIENGSTSDVNSALVVAAPSLASVSLVVTPFNFPGGVSFSEMPSIAKASIRAGNTSEE >Dexi5A01G0024230.1:cds pep primary_assembly:Fonio_CM05836:5A:28113142:28114110:1 gene:Dexi5A01G0024230 transcript:Dexi5A01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKQPAAAAAAQQQQQRGEKGVVEGAVVMMAAPPALKRGAWTPEEDDLLARAVTREGEGRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRGPIAADEEDLIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIKRGIDPRTHMPLPGAAAGVSHSHRDAAADVAPDKTPAAAAAGAKIPVAAPQPEKPRSSSGAGVGGDGGGSDGLPAMAAGLGADVFEGLGDPFCAVDAAGRGGFDIGCPMVDDDSTFSSFLESLVSESQLANYFDEFKNAGDDDDQAGA >Dexi3A01G0008720.1:cds pep primary_assembly:Fonio_CM05836:3A:6087094:6089466:-1 gene:Dexi3A01G0008720 transcript:Dexi3A01G0008720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDYFRAAQLGDLDALAALLAADPSLARRATLYDRLSALHIAAANGRLEVAAPPRPPSPWILFFFSASEPTHLLLFFLQVLSMILDHGVPPDAVNRHKQTPLMLAAMHGKIDCVLRLLQAGANILMFDSVNERSCLHHAAYFGHVDCLKAILSAAQTTQVADSWGFARFVNVRDNHGATPLHLAARQGRPVLLENGAIVSALTGSYGGNLDCIRKLLAWGADRLQRDSAGRIPYAVALKRNHDACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILKGTKYSLPSPSHCDADVVDDASSEVSDAELCCICFDQACTIEVQDCGHQMCAPCTLALCCHSKPNPTTLTLPSPACPFCRGNISRLLVARTSTSSDPEKAVSSPQLSRRRSRRSHNLSDGGSSSFKGLSSAMGSFSKIGRGSSRMVDSDSGSLDKPEHDL >Dexi6B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:6B:5424151:5432755:1 gene:Dexi6B01G0005840 transcript:Dexi6B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCAVAGSANPNRKSRKTVSPKVKVTAFRGYVPRFLTEPWSKKGEHYDSDEETGGMYNRLLSTDPEELTGGSDIETVEVNLPQNALSTSVSREVDVAEESALDMLEVELSANALTNISLEQVEAVDEVAVEEDEFEEDFSGIELSSDAVLELDSNDEAKAKEDILVVDSLGILTNSDKMSEVVDEAEAEHDRADVDLLEFSPNDAVMDELDLMDEAWAIRERFDVDLPGNASRSGAYGIVGEVGEPLAEEDTFEMDSVNSASDSETYMSTKSSEETSEVQNEQRQYPTVPSTSIEDKAINGTHETLKPESMPLVRVQGQDKLVFDVDEGSIVGIHEEDHPMEDYHWQEQNTITFDEQKQLTARFPEDLSTVQFPEQNHDIVGSPEHDVGFSEQNQVAIGSYKQDQSIVRSHEEEQGDDIIGSHRQDKSIVGQPEQIQSVVVYSKPDIVGPCRQDESIVLVPEQIQSIVGYNKPDQSIVSVPENTQSLVGYSKAEQSIIGLRKQQQSMVHIPEEKQSIVGFHKQDLSSKESQTEKLDIPRSDTFMEGVEAKDGDYTPEKIDEDTLHAKSDVNLLAKHEEHLAMIEEQESTEEEQFIVSEEGLDEDMLHAKSDEKHEEHLAMIEEQESMEEEQFIVTEEGISVVDMGKSANKFQHQLSEEEERVDDEVRITEDEEHYEVEGTSVSAEQDIQSPQDDMDPQVLQRMLQGLAEKNYSLGSKLFVYPEVLKADSTIALYFNRDLSALANEPDVLIKGAFNGWKWMGFTEKLHKSELGGDWWSCKLYIPKQAYRLDFVFFNGRTMYENNGKKDFVMQIESSMDERLFADFLVEEKKRELERLAIEEAERKNQTEEQKLIKEERIADEADRAQAKAEVQTKKNKLQNISDLAKASVDNLWYIEPMATRQEATVRLYYNRKSGPLVRSTEIWMHGGYNNWIDGLSFSERLHRDDEDSDWWHADDEMIHLRFFKFVIYSINHNDNTCSFCTVVLPERTYMLDWVFADGPPGKARNYDNNGRKDFHAILPNNMTGEEYWVEEEQRIYTRLKQERREREEAIRIKLSAAARALVNGVLLFPVNVPQDAYIMDFVFSESEEGGIFDNRNGLDYHIPIFGSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVKNLHIHTSFSWEGSEVKVWRGLVEDLCVYFLEPQNGMFNVGCVYGRNDDRRFGFFCHSALEFLLQSGSSPNIIHCHDWSSAPTAWLYKERYAQSSLANARVVFTIHNLEFGAHHIGKAMKYCDKATTVSNTYSREVSGHGAIAPHLGKFYGILNGIDQDIWDPYNDSFIPVHYTPDNVIEGKRAAKKALQQKLGLQQTDVPIVGIITRLTAQKGIHLIKHAIGRALERNGQVVLLGSAPDPRIQGDFANLANTLQGENHGRVRLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLVAMRYGAIPIVRKTGGLYDTVFDVDNDKERARAVGLEPNGFSFDGADNNGVDYALNRQAQAISAWFDARSWFHSLCKRVMEQDWSWNRPALDYIELYRSASKM >Dexi9A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:9A:9238202:9239540:1 gene:Dexi9A01G0014060 transcript:Dexi9A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAADKVSLLPDDALAAVLRRLAPRDLAVSRCVRRAWRGVIDDRRMLRPELLPLKVGGLFVSFHNKSFWELFSRPSTGPTVSCWFDFLPGGTDDEFMPPQLHHCNGLFLFECDHVFNPATRRWASLPWRPRPALPPRHIFHQPYLVFDPAVSPHYEVFLVPRVRYKDPSRPRRDVLEPKIEEGEWPPSTCTMQVFSSRTGAWEQRSYLRQGEAAGTVADVRYVRFDCILIFDKRNAVTWKEELYVHCEAHFVMRISLSNNTFQGEEGWRII >Dexi1B01G0004030.1:cds pep primary_assembly:Fonio_CM05836:1B:3215898:3217193:-1 gene:Dexi1B01G0004030 transcript:Dexi1B01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCHPTRLWVGEAGDDDDQELISLAVLHQNTVVQDCDHVAVYGFSFSAGEKFPFSAEPSISTIPLLEVKSNC >Dexi5B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:5B:11057998:11059387:-1 gene:Dexi5B01G0014560 transcript:Dexi5B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRKKAADGNTYLIRNFIEVTKRGKEMRQMFDNEIRDGKKSIKVIDDIAKLATQCLSLEDKLRPEMVEVADRLRKCRKDLLLGRKGTIGEPSGNNCLPEKPTAHIPIDPSIKIQPSTIMNISLDELRELTRNFSDGTLIGEGTHAKVFLGELKDGRKSAVKKLGQNPVVKNLDGFFSEPDDKFVLQVRAVSRLKHDNVVQLLGYCVDGNVRAIIYEYSSRGSLRDILLGDKQ >Dexi7A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:7A:22023546:22026892:1 gene:Dexi7A01G0011610 transcript:Dexi7A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHLKDRLLLPSSRAPANGPHHRRAAPTAGGPGGGAAGGAGVSIDVNGLKRRGCVRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLHEQDAAGGAAADEAVRRYVNELQRRLVDRTDDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTEKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMEDDGDMAEMYLTEKKMRMEESQLDDEDLQGIGNNHNNHNGFSSSISAPVSPASTPPASRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVALFSVPHAFEWTLIITGVCGAIIFCCFLWYFKKRRFFPL >DexiUA01G0001430.1:cds pep primary_assembly:Fonio_CM05836:UA:3933688:3933963:-1 gene:DexiUA01G0001430 transcript:DexiUA01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGAAEARAAIFGHALNPTGKRAATKLLRKKIIGDQVAQWYPYDIKRDDPLVMAREEKEYAPPASILPLPPLAAR >Dexi3A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:3A:2776495:2779988:-1 gene:Dexi3A01G0004210 transcript:Dexi3A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPQGPGRGKAGGANAPRLPPPVTAASAGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYQCLGKADGAEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHLVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFADVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAESEAGIFRQILRGKLDLESEPWPSISDSAKDLVRKMLTRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREESLMSAFAFFDKDGSGFITIDELSQACQQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGAGRRTMRNSLHVDLGELLKPTES >Dexi1A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:1A:23794303:23797622:-1 gene:Dexi1A01G0016550 transcript:Dexi1A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGGGMRRSASHTSLSESDDFELTRLLSKPRINVERQRSFDDRSLSDVSHSGGYGRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTVAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDSGFWWIILLRAYTKTTGDMTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQMLKHDNEGKEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSVAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMLKPVLKRSASWTN >Dexi9A01G0048200.1:cds pep primary_assembly:Fonio_CM05836:9A:51064079:51068167:-1 gene:Dexi9A01G0048200 transcript:Dexi9A01G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEGLDEIKAESIDLENIPVEEVFAVLKCSSKGLTTSQAEARIAMFGPNKLEEKKESKVLKFLGFMWNPLSWVMEFAAIMAIGLANGDHRPPDWQDFVGIILDLCNCGDHVRNLVHTVIDKYAERGLRSLAVARQARFEVPERSKESPGEPWEFVGLLPLLDPPRSDSADTITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKDEATASIPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTLEGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTIAKDRVKPSPHPDSWKLNEIFATGIVYGTYLAVMTVIFFWAMRSTDFFSNTFHVRSLRGSNAEMMSALYLQVSIISQALIFVTRSRSWCFVERPGFMLCAAFVIAQIIATVIAVYANFTFAKIHAIGWGWAGVIWLYSFVTFMPLDLFKFAIRYVLSGRAWNNVQNKTAFTTKKNYGREEREAQWAMTQRSLHGLPEQTGRSSSSYAELSEIAEQAKRRAEMARFRETSTLRGHLESSAKLRGIDISAVKSPYYTM >Dexi1B01G0026950.1:cds pep primary_assembly:Fonio_CM05836:1B:31783791:31785650:-1 gene:Dexi1B01G0026950 transcript:Dexi1B01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGYDCAASVLLCAEDNASILGLDEEGEESSWAAATPPRDTIAAAADGGGWIAADGFFTEFLLQSDDYVAALVERETAHMPAEGYPQKLQRRYGDLDLAALRRDAVDRIWKVTEHYNFAPLTAVLSVNYLDRFLSTFELPEDPNHGRKVDLGWRSSWQCHACLWLQKWKRLLCHSPWTCSDLGAPSLLARSRSSDLILNTAKGAEFAVFRPSEVAASVALAAMGEYRSSVIERAAASCKYLNKERVLRCHEMIQEKIAMGSIVLKSAGSSISSVPQSPIGVLDAAACLSQQSDDATAGSPATCYHSSSTSKRRRITRRLL >Dexi3B01G0037190.1:cds pep primary_assembly:Fonio_CM05836:3B:39998088:40002900:-1 gene:Dexi3B01G0037190 transcript:Dexi3B01G0037190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGPHHAATLALKFEVQTTAPIATPPASSLPRSDEPSAASASASAGPAPTPQPRFRSGSDSSGEIRPPASCCFLLLSSGPYAYPNIDPYYGSLYAAYGGQPLMHPQLVVHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEENSDSSHKEKQNGVAPHKSGQPSTPPSPNGASSANLADSRE >Dexi3B01G0023020.1:cds pep primary_assembly:Fonio_CM05836:3B:17766340:17767057:1 gene:Dexi3B01G0023020 transcript:Dexi3B01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHIPGRPENTIKNHWNATLRRLKSKRPSKAIEMAIKDGTRSNVLELYMRDELGLDDLKEAGTPGILADPPSPPIMEEPEPQEDDCVYVMYDKDGCGRYAIAAAAGCYNPLPVPFYPFTAQQVYAHNPYPAGWDNPEAGPSHQYSNSGAGGDEAHGNAGFDPAATGQGGMSPSASGPAAQNQRGGGAI >Dexi4A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:4A:6047142:6048911:-1 gene:Dexi4A01G0008070 transcript:Dexi4A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKESDAKDKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDRDSSAAPGDGKWVTQEEAYKSVQSSKTDVGQSSTSQAKAPPAAATTVPTIKGGPAPGRVVTKPLNPMRPIKGTPAPSAVALNKRKREDGKPKVMSKEEEAALKAREAARKRVEDREKPLMGLYRTY >Dexi7A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:7A:27965482:27967119:-1 gene:Dexi7A01G0018640 transcript:Dexi7A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEGSHPITRSEIVRAKPTSIADGKYEPAGEGLPDGWFKECRPRKNRYGSRIKSDMFYIDPINGYEFRSLKDVYRYLESGDIGHCVGLPNKRKIEDLHTAGDQPDNWLSVTTPTVDPCFEIQAGKPSDHTQQDTSDSNTLRNVQREAVQVEASESTSIQSGLIEHTPGKAESITRTGANVEQKPKEKKRKTKPVKGIATPLRSSPRLAALKISQEANNSAPRDEPVSTHSDITNQSEPKQVEKPRRKANSSVPPERKDGTPTASFSEKFQDKCTSVPNEVQGASVPYSTGDAVCHNAPAEVPVLPQQLGQGEASDNMLGSTLSSLFRHVWSDPCLVFAFRTLMGDIPVLNDTLPYRSAYDGNRTYFLPPQNINKVVAPNWSSSAYDGNRNHTQIDHAAGMSIPRPSDRFYGSGWFPPQ >Dexi2B01G0006420.1:cds pep primary_assembly:Fonio_CM05836:2B:6314081:6315005:1 gene:Dexi2B01G0006420 transcript:Dexi2B01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRQQMEAEFQKKVAESSGDSGANVKRLEQETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >Dexi6A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:6A:24627674:24628453:-1 gene:Dexi6A01G0016740 transcript:Dexi6A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWALITHLHTVAGPSITLLYPLYASVCAMESPTKVDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVREQLRKYRTRHLRMGAAAADDQKVHIAKVGKDSIKLTNFVLLLPFQK >Dexi2A01G0023870.1:cds pep primary_assembly:Fonio_CM05836:2A:35568803:35571050:-1 gene:Dexi2A01G0023870 transcript:Dexi2A01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAEAGNGGGGGGGGGAGDDGRARRSVLVTGGAGFIGTHTVLRLLEQGYGVTVVDNFHNSVPEALDRVRLIAGPALSARLDFILGDLRSIDDLEKVFAAKRYDAVVHFAGLKAVGESVAHPDMYYENNLVGTINLYKTMKKHGCMKMVFSSSATVYGWPEVIPCVEDAKLQAANPYGRTKLILEDLARDYQRADPEWGIVLLRYFNPIGAHSSGEIGEDPKGIPNNLLPYIQQVAVGRLAELNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDTPDIGCVAYNLGTGRGTSVLEMVAAFKKASGKEIPTKFCPRRPGDATEVYASTEKAERELGWRAQYGIEEMCRDQWNWAKKNPYGYCGSAETK >Dexi2A01G0023690.1:cds pep primary_assembly:Fonio_CM05836:2A:35372539:35373234:-1 gene:Dexi2A01G0023690 transcript:Dexi2A01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSPPPLSAEHEEYRTVWSAPPKKPAGRTKFRETRHPVFRGVRRRGQAGRWVCELRVPGRRGSSSRLWLGTFATPELAARAHDAAAIALSGRAACLNFADSAWLLPPLMPPAALGTARGIKDAVAEAVEAFRRRRSVSASCAPSSPAAETSHGGEEDRLNSASSSAEVVLEIDHAFRFGGMVDAGSYYASLAQGMLVDAPAAAGTGAWWEDVEHSTADIALWSY >Dexi2B01G0023410.1:cds pep primary_assembly:Fonio_CM05836:2B:32882959:32885473:-1 gene:Dexi2B01G0023410 transcript:Dexi2B01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARAPLLRRLLLLAALAASCSYYLLALQAQASAPPRYDGFAYGGGAAAAWKDAVLVEAFLDPLCPDSRDAWQPLKLAVERYAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNSSSTYPLLELFFKNQEKFYNSATSSLSSPAVAVEMSKMASKAVGNSASEFLSGFSDSRTDSAARVSFKYGCTRGVFGAPFFFVNGFLQPGGGSPIDYNTWISILNPLVSQQGERIEMFTSM >Dexi3B01G0035320.1:cds pep primary_assembly:Fonio_CM05836:3B:38128849:38131570:-1 gene:Dexi3B01G0035320 transcript:Dexi3B01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMTSTPPLLITSLLLLLLTWLLSRVLKNAGGNHGHRSIPSPPAFPIIGHLHLLKKPLHLSLAALAKRYGGDAAGVLLLRFGAKPVLLVTSPSIADECFTVHDVALANRPGLASRRLLTDDCPSISTSNYGELWRHLRRLATVHALSAHRLAATASARDAGARAMAAKLYRAGEASTVSVKAMAYEFVANVIMAMVAGEGMAEDEVVGFKEMTEAGIAAAGAANRLDFLPEVMRMMDFGRMAKRLAEVGKARQQFGQSLVDDYRRRHAHGGGRGGSDEEGTKTPARTVLGDLLRQQREGSPEQLDDVVIRTVCLSLLQAGTDTSSSTIEWAMALLLNNPNILKKATAEIHSIVGTSRLIKESDLAGLPYLRSIITETLRLKPLTPNHVPHEASRDCVIAGHVIKRGTMVLVDVYSMQRDPNMWEDPEKFMPERFMDGNNKVVDEDGSRFMMPFGKGRRKCPGEGLALRTVGMALGVMVQCFEWECVGEEVDLSEGSGLTMPMAVPLVALCRPRAEMEPFLKMLEMK >Dexi9B01G0018300.1:cds pep primary_assembly:Fonio_CM05836:9B:13005324:13005876:-1 gene:Dexi9B01G0018300 transcript:Dexi9B01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVARWAKLRGVGSKASDDTMPHATVDSLVTALLRAPKYAKIFSISGHVSQGEQNRNEFY >Dexi2A01G0006650.1:cds pep primary_assembly:Fonio_CM05836:2A:6235462:6237218:1 gene:Dexi2A01G0006650 transcript:Dexi2A01G0006650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETRRQEGATSATAPAASPSLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVTTPANAARLGAPTSPGIHLRVAALALPEVEGLPGGAESTADVPPEKVGLLKKAFDGLAAPFADLVAESCSGDGDGDAAAGFSRKPDFIIHDFAHNWIWPIAEEHEIACAVFLIFPAAIIAFLGSREANEAHPRSTIEDYMVAPPWIDFPTTIAYRRHEAIAIAAAFQPNDSGVSDMDRFWEMHHRPCCRLIVLRSCPEAEPRLFPLLTKMMARPVVPSGLLLPGDEVAAVDEDDNVDVVRWLDSQPRRSVVYVALGSEAPVTASHVRELAVGLELSGARFLWALRRSSGYDAGGEEVLPEGFEARVAGRGVVSTGWVPQVRVLGHGAVGAFVTHCGWGSTVESLFRFGLPLVMLPFVADQGLIARAMAARGVGVEVPREDDDEGMLRGEDVAAAVRKVMEEEEGKEMARKAMEMRKVVGDRRKQEEYLDELVECLQSCR >Dexi9A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:9A:2355460:2361040:-1 gene:Dexi9A01G0004400 transcript:Dexi9A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKAVIPRITGRTLQGSHDSPLVLNRASSTSGGWDASTVTYYVFIRTKVTLRNGENRKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIFVTVEDLEDIKSIPADTSFGGEQKEEQTTESVPQADAANVSQQSSAVSRISPAAKRLIKEHGLDMSSLRASGPRGTLLKGDVLAALKSGAISSSKEKKAPAAPSSQPNRDSQAQPATTSQKADTYEDIPNSQIRKVIAKRLLESKQTTPHLYLSKDVVLDPLLAFRIELKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNSEKEESQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISTEVKQLAEKARAGKLAPNEFQGGSFSISNLGMYPVDHFCAIINPPQSGILAVGRGNKVVEPVVDSDGNEKAAAVTKMSLTLSADHRVFDGQVGGKFFTELASNFSDIRRLLL >Dexi2A01G0030470.1:cds pep primary_assembly:Fonio_CM05836:2A:41389228:41389809:-1 gene:Dexi2A01G0030470 transcript:Dexi2A01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFTATARPRAGRWQADTQYGRRLGTCRAATGPGGLWFGATEPYFSGQCLRRLPLFIDPLPACAPP >Dexi3A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:3A:9967612:9968967:1 gene:Dexi3A01G0013670 transcript:Dexi3A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPPSHSRAAIAAAAAVLLQLLLFPAPTSQLRIGSTIAAWINGAPPPPSPKPPADSSSSSSSSSSPSQEEYMALQALKAAITDDPHGALSSWHGANVCAYTGVYCSAPPDDGAAAAASAAAAAPTTTVVVAGIDLNRANLRGTLPESISLLAHLTFLHLNSNRLAGAVPDSLRDLPYLTELDLSNNLFSGPFPASTLLIPSLVYLDLRFNAFSGELPPDVFAKDGLDALFLNDNNFDGQIPDTLWSSPATVITLANNHFTGSVPATYGYTAGRVREVLFLNNNLTGCVPEALGFLPSIEVLDLSYNALSGHLPTTLSCLSGIEVLNVAHNQLTGELPDLLCDLRRITNLTVAFNFFSGISERCDRQLGSRGVFDFVGNCVPGRDMQRPQPECEGFPGEGGLSCLRIPGARPAGCAGDAAVSVGVGVGVGGLPFGLPGAAAGGVATVTVP >Dexi4B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:4B:10955716:10956130:-1 gene:Dexi4B01G0012880 transcript:Dexi4B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKAKVEDAASSAKAGTEKAKATAGEKMEKATTRDPMKKREAEERKEDRKLEIESDKRVEKGSHGPEKTVTHTVEE >Dexi6B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:6B:11084515:11085909:-1 gene:Dexi6B01G0008790 transcript:Dexi6B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDNGADCASGHGHTVSVTGASGFIASWLVKLLLEKGYTVRGTVRNPDDDAKNAHLRALDGAAERLTLVPADLLDKESLATAFRGCEGVFHTACPVTDDPEKMIEPAVNGTKNVINAAADVGSVQRVVFTSSIGAVYMDPRHGPGDEVDETCWSDLEYCKNTKNWYCYAKTMAEQAAWELAKERRLDLVVVNPSLVLGPLLQQAINASTWHILKYLDGSVQTYVDAAQAYVHVRDVANAHARVYEEPRACGRYLCAGPTLHRGEVCRILGKLFPEYPVPTECKGGAGETVKGCRFSSRRLAELGVGVTPASLCLYDTVTSLQVKGLLPRRTSSAVFDPVVMP >Dexi5A01G0036910.1:cds pep primary_assembly:Fonio_CM05836:5A:38306335:38309361:-1 gene:Dexi5A01G0036910 transcript:Dexi5A01G0036910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFFHHVVGDLTCGKPEVSELHDTDTLDDAARAIASSPEGAVPVWRPRASPDEPPSGARFIGMISALDIAAFVAASGVGDRAMRTVVGEVVQPNPGLLREIDPGTRLIDALELMRHGVKRFLVRKSGSWRGITKRFSVLYNGKWLKNMESTSPNSASSSKQLSSSTGSADKFCCLSREDVLRFLIGCLGALAPIPLTQISSLGAINPHYSYVEASAPAMEAIQKIPQDPCAVAVVETTPDGTRKILGDISTYKLWKCDYVSAAWALANLSAGQFVIGADENGSTLNSVLPEPPISPSSPVEEIGPGRSPRAKKFSSRSIGFQANQMSTWRTRSSFHRGRSTPLTCKSTSTLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVLGYTEIFFAATSSASPSPTAF >Dexi9A01G0034950.1:cds pep primary_assembly:Fonio_CM05836:9A:39647019:39649432:-1 gene:Dexi9A01G0034950 transcript:Dexi9A01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATADAGQHVVGSGSPEGQGSPKTLVDWALQILSTADPDEKARLGDLAASLWLRGDIPLPYDPSFPARPPPDRPARSAEVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGAQMRMPREFFDDFARVAQDEGRHYVVLSARLRELGSHYGALPAHDGLWDSAMSTAHSLLARLAVEHCVHEVRSNASVALARGLDVLPTTISRFRAGGDEQTAKLLEDIIYPEEVTHCAAGVRWFRYLCLRPCSDNPISYSVPLPESHCPGLPEDGSADDKTVKEVRDEPTSVQQVEDELTPETSQDFSSHDNMTQQVKDGLAKCKLSDSVDKDEAAVIQTFHRIVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVHLE >Dexi2A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:2A:17823172:17829261:-1 gene:Dexi2A01G0013190 transcript:Dexi2A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVGNLLRLKKICSMNCIVLIEVALNCSFHDFLAQLFGLGIANICGSFFSAYPATGSFSRSAVNHESGAKTGLSGIIMGVIIGSALLFMTPLFTDIPQVILIYASFLHAYANLISIFPQCALAAIVISAVTSLVDYEEAIFLWGIDKKDFFLWAVTFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGLDVVRVYFVILEMSPVTYIDSSAVQALKDLHQEYKARHIQIAIANPNRQVHLLLSRSGIIDLIGAGWCFVRVHDAVQVCLQHVQNSSSALNLASQASGNSAYNVSAPIAKQQRLKQDSFFKNLWKAQDGNLDSGSEVQSLLRQNLV >Dexi5B01G0009430.1:cds pep primary_assembly:Fonio_CM05836:5B:6464986:6476606:1 gene:Dexi5B01G0009430 transcript:Dexi5B01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWVQSHLNVGLVVGVLLVVLTYLVVSEQAASGRIRALRRRVSPRSNTLSLLAFPVKPTTTTKVLCSTDERLSDYCELEGDIRIRGRSWSVDIVPSTWSERHEWKIQPYSRRTATHVDKVNVTQLQGPPSAAPACTVTYTKPAIIFALGGYSGNIFHDHADVLLPLFYLSRRYAGEVQLLVINRVQPWWLGKYALALTRMSKYDVINLDGDTHVRCFRHVTVGLRLHKDFGIIPDQVPGGVHLAMPDFTRFLREAYTLPRDAAASLAKEPNKKPRMMMIQRQPYRRFVNEKEIVAAAEAAGFEVVVTELHLDAAVVEKARLVNSFDAILGLHGAGMTNEVFLPPGGVLIQVVPWGNIDLMARVEYGEPATEMGLKYFCYNITVQESSLLEEYGPDDPVITDPESVHRRGWMALYNIYLTKQNVRLDIARFSLTLKEAMDHFRQRHRKKMSCFKERTGNATRSCVPIVHLNVGFVGAAGILLVLLTYLVASQKDAITEKGKVVYNTKGHYSETCEVDGDVRVNGTAMSVSLVPTTATSSEHHQWSIRPYTGKAMSGIKNVTVTQLPDNVTAPPCTVTHTTPAVLFALGGLTGNFWHDFSDVLLPLFVASRRYAGEVQLLITNVQPWFPAAYGTILKGLSKHAAVDLDADDEHVVRCFRHVTVGIHQHKALSIVPEWVPGGHPLSMRDFTLFIREVYSLPRGTPVSLVREPTKKPRLLLIHRGHSRRFMNEPEIVKAAEAVGFEVVVMDLRRDVTVDAQARVVNTFDVVVGVHGAGLTNLVFLPPGAVVIQVVPYGRMEMMASLAFGEPARDMGLRYLEYNVTAEESTLLEMLGPEHPAIKDPESMHRSRWDKVMEFYLEKQNVRIDIARFAPTLAEAFDHLRQHPRRKGNITWNIWKERCRRVFDHKSLTVSKRALVRAPHPTFPRALGQSSRNLRAQIRHEEGISTIFGEVAHPATPSLRSDRYVLLSSLPTLPARISPATLMSCFEEKPGKSRKNLALIYLNIGFVVGVLFVLLTYLVVSQQTAISGLNACAAENGKVVYNSKGYQSETCEVDGDVRINSTALSVTLVPTTSSSEHHQWSIRPYSRKTMANVNNITVTQLALQDVNNATAPACTVTYTIPAVLFSLGGLAGINFWHDFTDVLVPLFIASRRYEREVQFLITNMQPWWPVAYRTILQGLSKHDAVDLAGDDEHVVRCFPHVAVGLHQHRDLGIIPEWVPGGGHLSTPDFTRFLRKVYSLPRDTPVSLVSEPDKRPRLLLIHRNHTRRLMNEPEIVKAAEAAGFETVVMDMRREETVDAQARVVNTFDALLGVHGAGLTNLVFLPPGAVVIQVVPYGKIEGMARLEFGEPARGMGLRYLDYVVTAEESTLLEMLGPDHPAIKDPESVHRNWDNMMDTYLIKQSVRLNVSRFAPTLAQAFDYLRQQ >Dexi4B01G0020360.1:cds pep primary_assembly:Fonio_CM05836:4B:22539361:22542507:-1 gene:Dexi4B01G0020360 transcript:Dexi4B01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKSAVAELAASLSDVRVTPRQNPKPKGFVPSASSFYISGSEVTDIGLATVANVCSNLRAIDISRCEKVTTAGVSEIPKIDDNSKETLAAECPRVTINPQPSPYDLSGSRVPVEALASIPLDHSIVEDIDPKTWAVSAAPRRPVFAPNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERDYVMNDIDARSIALAAQASRNLRKS >Dexi7A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:7A:21091063:21094666:1 gene:Dexi7A01G0010310 transcript:Dexi7A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTERCRFKMTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDHMIWETASSNGMTLDLGRSAHHQLVEEDEDLGAEATMGSERSFRAPNARTSSYRKSSAVKIRGLNPPRNKQVHRVRQDVHRKSLDSNHSNHSSIRQLANNMVNNLDAEKEEEEVNSYERPNFALPDKDDEEVKMPSYSKFRTKSSAAMSRVGSPCMSASEARSVASRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPEQPLLSTDGTEAAFQSNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLNSASLVPYSSRFKVFIIDECHLLQEEAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLENICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALSEVGIKKLRHALKILSDTEKQLRTSRNQATWVTVALLQFGATESNLVAEPNDMHAHSVTGYTDDWVSKVHSSSNFCQACNSNKSNCSERHCRRLKLENIWRKAIGKCRSRWSKNSRFGSNLLCWRAPKQSM >Dexi2A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:2A:14465098:14468446:1 gene:Dexi2A01G0012490 transcript:Dexi2A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWLLGRRGASGFSWSSTADEVTEGISAAGLTAVVTGASSGIGAETARTLALRGAHVVMAARSVPAAEGVRDAVLAEAPGARLDVMELDLASMASVRAFASEFIARGLPLNILINNAGVMAIPFALSKDGIEMQFATNHVGHFLLTHLLLDTMKKTSQESNVEGRIVNVSSEGHRIAYSEGIRFDKINDESMYSSLRAYGQSKLANILHANELARQFKEEGVNITANSLHPGSIITNLLRYHSILDVLHRTLGKLVLKNAQQGAATICYVALHPQVKGVSGKYFCDSNLYEPSGQAKDMALAKRLWDFSVELIT >Dexi4B01G0022540.1:cds pep primary_assembly:Fonio_CM05836:4B:24082319:24084581:1 gene:Dexi4B01G0022540 transcript:Dexi4B01G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGWRRSGARAVWRPATVCLWLALAAAALTLAQGKKDPTEVTHKVYFDIEIDGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGTGKSGKPLHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGMKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVVIADSGELPM >Dexi9B01G0022720.1:cds pep primary_assembly:Fonio_CM05836:9B:17582720:17589937:-1 gene:Dexi9B01G0022720 transcript:Dexi9B01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERIATQLSPTSIRIPIPTGPNRAPNRATGVGYDVSEIETKSNPAITAVLSGSPLDTDWRGTKWHGTMLYSCLAVPCHLGLRAAVPVTARRRSPLHPSHAATPSPAVPLLHPPPLRRRSVKNGGCAGREAESGGVQREGSESEMGATRRVCGAEAEARGVCGGCLWGGREKKWGNLGLGKLLYRIIGPLSGRWRADVGPAIYHGGPGTALGQALARHWHYCHRAGPVVLVSAQRAWPVWPSIESVSPLRAYITSGLHSAHSHDDSKGRQEGTAVEDGRKTRRLAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLAARRIPSPVSASRSTTWGAVTEEELTLAQGR >Dexi6A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:6A:20643221:20643861:1 gene:Dexi6A01G0013490 transcript:Dexi6A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVLRGQDGGLNVLQAGVHARGRVVARRHRRQRREAAALTPALLVSAARRYWVTKDVEKVVCVLRPDSTSRTSASRSTRRCLWGPGRVWLLPVPPYGAQQQLAAPHFAHGGETSWTQDPTSRGGSICMLAGSTLPRGEVRSVRISEPGTEVAYCKSGCSDIRTFAYCKSVFTRLIARRI >Dexi6B01G0018960.1:cds pep primary_assembly:Fonio_CM05836:6B:25872434:25874965:1 gene:Dexi6B01G0018960 transcript:Dexi6B01G0018960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEREVEDEGNRFKGGLHGDNLPEQAERIVKECAGLPLVIINVARSLQEKRTEKWRDFFGHMSAELESYPNLEDVNRMLMRSYTSLPPELKPCFVYLSVFPRDSKIRRRRLEKRWIAEGYSSEEVANKQFQDLISRNMMQSSGTTMSKISNRGETYYFEIQKLMHSVSREISAEENHVLVLDEDEHSLQSKCKTRHLTVMSTWSREERKSNVLETAVDLSRLRSLTVFGEFKSFFISRKMRLLRVLDLEEANGLRDRDLLSIGKLYHLRYLSLRGSEGIFHLPDSLGYLPNLETLDIRGTVVMKLPSSIVKLQKLKYLRAGIIAYGEGASYEIVHYYVLVYLMKCFSTETVYEEKDLKMVVPLICLAVTVWLRGLDVSGVKAPRGIGKLKALHTLGVVNVARGKNMLKEIKKLTQLRKLGITGIKKDHREELRSAICSCSHLQTLSLRAQGKNGLVGCLNGISPPEDLQSLKLYGNIGELPKWIGKVQRLTKLTLRSTLLEQAAVQDLGELPNLTSLRLSRYSFKGEELHFQSKMFPSLVVLEMDGLSGTKAVKFEEETMARLKLLKVKCWWRTANYCCSFTGILYLQNLMEVLLNGLDCGEDLDVGRSKEWLANRRELREKNQELKANLLDQLEGNRNRPSLKMEY >Dexi7B01G0021720.1:cds pep primary_assembly:Fonio_CM05836:7B:26757786:26758076:-1 gene:Dexi7B01G0021720 transcript:Dexi7B01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHVAALLAAPAVAGGFLKAFQFAFLVWPFNLVLPLARHLPRTCAALGEVASFYDAELRRRLPRSQQHASPRGVQQRTHGDLVAHAMVALVDISY >Dexi7A01G0007790.1:cds pep primary_assembly:Fonio_CM05836:7A:19051034:19052143:-1 gene:Dexi7A01G0007790 transcript:Dexi7A01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSMADAPGGDTPAPASGVTLPITGIVLGSQDYTVTVGYGTPPQQLPVDFDTSRLGGGLSTLQCTPCHAGAAQCDRPAFDPGRSSSVARVPCGPDCPSVCRDGTTCAFNLTYRSNNSVVANGTFVKDTLTLSPSAAVPSFVVACVDVGNSFITASSGLLDLNRSKSSLVSRLTTSLPAVNTTAAFSYCMPASPTSSRGFLSVGAALPDLSGDGAGSTPMVDFFPYKYEYLVQLRVVHVSETEIPATQWNLAALAVGTSFTFFRPEISGALRDEFRRQMSGYTMAPPYRMLNTCYNLTGLPGFEMPAITLEFEGGATLQPEDFCLAFAALPEDSLIGNRVQQTVEVVYDVRGGRIGFIQGSC >Dexi9B01G0028730.1:cds pep primary_assembly:Fonio_CM05836:9B:31325148:31326120:-1 gene:Dexi9B01G0028730 transcript:Dexi9B01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTPSEALWFRYTAGVSDYHLYLCNILFLFVVFTLAPLPVALLELRAPAAVSPYKLQPRVRLSRAEFARCYRDVLRIFFLVIGPLQLVSYPAVKVHPTALMVGIHTKLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHRVHHEFTAPIGFAAPYAHWAEPSLQAT >Dexi9B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:9B:14154617:14156635:-1 gene:Dexi9B01G0019600 transcript:Dexi9B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGPWDEGEDVDDMWLKMATCVRTVAAEVLGVSRGGKQEGKDTWWWNKEVQRAIKEKKEWFKRLHLDRSAANIEGYKVAKKAAKRAVSVAKGKAYDDLYQRLGTKEGERDIYRMARIRERKTRDINQIKCIKDETDQLLMKDEEIKDRWREYFDKWFNGEIEGPALELDDSFDDINRCFVRRIQETEIGEALKRMKGGKTMGPDGIPIELMSHTMKLWERVIEHRLRGATSVTQNQFGFMPGRSTMEAIFLIRQLMERYREQKKDLHMVFIDLEKAYDKVVPQKATFRYLGSMLQQDGDIDEDVKHRIAAGWMKWRQASGVLCDRRVPQKLKGKFYRTAVRPAMLDRVRNEDIREKVGVAPIEEKLTQHRLRWFGHVQRRPSEAPVRIGVLGRANNIKGGRGRPRLTWDEAINRDLKEWNISKEVAFDRSAWRLAINVPEP >DexiUA01G0014280.1:cds pep primary_assembly:Fonio_CM05836:UA:30294723:30295141:1 gene:DexiUA01G0014280 transcript:DexiUA01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQSRHGPPKLWWPELLGSPATQAVMKIKKDRPDVSVKVLPPGAPLTPEFNPERVRVFIDLNGLVAQVPVIG >Dexi1B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:1B:7254647:7255540:1 gene:Dexi1B01G0008650 transcript:Dexi1B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALLPHGGGDSHGDQRHSHPTQPKSTTETISGDHPLPDPSAARVDLPPDSSLFSSGPVTYEIERGEAEDISGGQFPTQQPGGALAAPCAGHHCRAGVDDLPSPSSATLAPPAGPHDHPSPAATPTTVTTPAPHPFSNSPRPHPPSTPRPAVERSSVGLMGVRQAPPPPPSLPPTVMSSSAPLHLGNRPRPLLQRRAAGPSTTGSVCESVPAAVRRDAAAGQSSSTGSHSATTALVTDDALVPATRHGGPRPLRATNIGAPTPNLLPHLGTTRRDSPPSRASIPADGAASGWRKAE >Dexi4B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:4B:8660067:8661159:1 gene:Dexi4B01G0011270 transcript:Dexi4B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLPELFEEQPDLLHNLEYLSTVVSSMKEKAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNSAENLRWKSICGPDSTICKSLKIN >DexiUA01G0007210.1:cds pep primary_assembly:Fonio_CM05836:UA:13752627:13753101:-1 gene:DexiUA01G0007210 transcript:DexiUA01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCITFWPSLIAGALYLIQRETQATLLPALFRVFVLMISATPYARMPKDLLPTVIKVLCSRLPNTHSNKSEHYALLVNVLNCLEAAFSKVPPTLDVFAVLTQDCGAG >Dexi9B01G0024640.1:cds pep primary_assembly:Fonio_CM05836:9B:21152140:21156565:1 gene:Dexi9B01G0024640 transcript:Dexi9B01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTHKSPVSTLTSPVSLPSGRARRRFAAPGGFLPPFGLLVGPLGPSIHHSCRDTECGSHGMDPPGSERSSPGLPRCLPGTSALINGRSPSDARVAHSFAFAGQTTRLARAPSASPRHFGSRQPLSATAGTHGLALGLRGERIIYDPLLFRFFLPLVGVGTIGFGWGSHQSGTRRGYGRTTMGSPNPVLKLAALSISIRDSWSDEELVRFLAERKAEDPLPQDVLAGLDFSVIDPRVFTDMWYLNWSDDQHPKDTAENSIRKAENGYWKPVDSSKIPTSTGIMGMKIILEFYEGQAPCGKRTGWVMREYQVEQNNEANLPQDDKTLDAESQQILNVDAPDNSLESYLQYLAKLEEPKVTADANEEDVSSKVQFEQKPVSAVDDIDVIATGDYIELNDLLTSEASASTSENSSKRSMISEEYFDSDAFLDEILKGTNTTDGQNQDHRFSIAAPTKSANVVLSPSEQGLLQIHDNNGMVPGTSQQKPVPEGDRGQHSSQGFQEQSPSMSSCFPSSHVKRSHSNSSSSSQSSNKSQKEQSTSKFGKIGKKYCCFGSF >Dexi9B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:9B:8567074:8570163:1 gene:Dexi9B01G0012710 transcript:Dexi9B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNLNPQVLAVNMETYTTDDALTMMGFGRSQALVLVYAGMGWVAESMELMLLSFLGPFIREEWNISPENESMISSVVFAGMLLGSCAWGFVSDKYGRRYGFPPTNTSMFQFSTANLSEPRVKVYPSYVRSTGAGIATAIGRVGGVICPIVAVAMLRSCHQMEALVVFEVVLCLAGVACMFFPVETKGRGMD >Dexi8B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:8B:3904635:3905174:-1 gene:Dexi8B01G0004380 transcript:Dexi8B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTKLLLLFAAAATFASLSPATAADADGFTTFKVYFHDIVGGTSPTAIRIAQAPSSNSSSTFFGAVVAIDDPLTTGPTRAAGTEVGRAQGTYTFADQKTFGLLMVMNFVFTSGEHNGSSLSILGRNEVLDDIREMSIVGGSGKFRMARGYVQAHTIDSGATSGETVVQYTVNVKA >Dexi5B01G0008230.1:cds pep primary_assembly:Fonio_CM05836:5B:5546273:5548529:-1 gene:Dexi5B01G0008230 transcript:Dexi5B01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGGGTAQLLPPLLLLLLLLLQPHSLANAGSLDADVAALTDFRLAADPSGATLASWNLSANPSPCGGAWRGVTCAGGRVTRLVLEGVGLSGAGALPALARLDGLRVLSLKRNAFSGEIPDLSPLAGLKLLFLARNALSGPIPPSLGKLYRLYRLDLSFNNLSGVVPPELGRLDRLLTLRLDSNRLTGGIDAIALPRLQELNVSNNLMSGRIPSAVASFPAAAFGGNVGFCDAPLPPCKGEAAQQQPNASGAVNASAARDCPPEAAMVAASSPSGKAEAPGGGGGGGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRNGRRLQQGEKIVYSSSPYGAAGVVAAATAGAGGGGTFERGKMVFLEDLSSGSNGGMRRFELDELLRASAEMLGKGGSGTAYKAVLDDGTVVAVKRLRDTSTAPAAAAPSKKEFEHHMAVLGRLRHPNIVPLTAYYYARDEKLLVYEYMPNGSLFSLLHSKSSSPPSLFSSITITRSSSTPLEWAARLRIASGAARGLAYIHNSGRRGSGTPKLAHGNIKSTNILIDRFGVARLADCGLAQLGSSLAAGVGYRAPEAPPPPRPWASHKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPEQRPKIGYVVKMIDEVRACGEASPSYESVDESSGVSDSPAVSEGGALSQ >Dexi9B01G0019610.1:cds pep primary_assembly:Fonio_CM05836:9B:14158733:14159355:-1 gene:Dexi9B01G0019610 transcript:Dexi9B01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKKRMLNRSSGSISLMGTPLRERALPRDDLADEREGDAELGEAADEELVGLGESEERAPLAEPERGAESPPRRDDGALEPRGVVDEAPPVAERADGAQEREEDEEEQQRLRLVDGDPRLEVELREDAPAGDGLAEEGGGEAEHGDAADEELVLLGEADAAGCAEDAVLHAGTPLVGGRGGRGGGGLLVLALVGVLGWW >Dexi4B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:4B:19275002:19275430:-1 gene:Dexi4B01G0017190 transcript:Dexi4B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRGFRRLAASVLLARRSASAVAALPAAGAALFHDYDAAITECVERRALREGRKVHARMVAAGYRPAVYLATRLLIMYARCGALEDARNVLDGMRDRNVVSWTAMIGGYSQNERSAEAMELFVMMLRAGRSLEQFSMQLI >Dexi5B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:5B:4311212:4318617:1 gene:Dexi5B01G0006370 transcript:Dexi5B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARTVLGYADAVAHHAGQAVAEGAKIINERMSTQNYKSVKQTVKRLEEAAVSSRGEERVQVLRRWLRALQEVESEVGGSGGTPGQNVSSADPDSSKTSLARVLFYHADIGGSPMNFRDVFLYSQALEGITLSMILEAPKEEEVSLLLEIFGICLTGGKEVNNAIVSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNADIVRIDAEAVELWKKLDEKEASRAHSIDDPEKVAEKTATVEVEKLKVLAASLANSSSKAEKRILDHRRQKEEALNFRAKKDNEVTAVEKDLTIEISELEKQRDELEAQLKKVNISLNAAVSRLKQTREEKDQFHEANNQMVFSLQAKLYFLFSDELERCTSNFLKLTRRHLSNFKDVLSPSIERIRTYVDNLAVLNSRLLIFFIVIAFSIVDRMKKMFYSEQGANSRQDDEEIRNLFSEIEKLRETFEAVERPTLDIEVRKAKEPTKDKSELSRSTSTKDKSGSGHSPVQAPSLPKDVPVESPKSPVKSEQLLDPDSELAKLELEFGKVNKDHEEISGWDFDELEEELRADISSSNPK >Dexi1A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:1A:26491637:26494532:-1 gene:Dexi1A01G0019350 transcript:Dexi1A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEKMKAPADTEAASEDIDEVIELPDVPTKVPERPEAPEKTKVLEEPLPA >Dexi4A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:4A:10108004:10110431:1 gene:Dexi4A01G0011860 transcript:Dexi4A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEAASAQHLDGGHGDVVEDDAAAGQPSHSNGGGATWEIEELEPDPETTTRQAGSGGGGVSSVGGGGGGVEDVYVAVGKGGSSMAALSWALRRLTRPRSFIYLVHVFPVVNSIPTRLGMMPKSRASPEQIETYLNQERSKRREMLQKFLDQCRKFQVTVDVYLIESDQIANAIIELVPVLHIKQLVLGISKSNVRKHKRGSTIAGQVQKSAPVYCEVKIVCDGKEVTTETTADPTPPLSPSPVHNSSRSNNTTPPSSTPNHDKAAANASTL >Dexi9A01G0048660.1:cds pep primary_assembly:Fonio_CM05836:9A:51404028:51406532:1 gene:Dexi9A01G0048660 transcript:Dexi9A01G0048660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANIEKMHLRQSYRNVWHADMMSTIEADCPYCCLALWCGPCVSYMLRKRALYNDMSSGKCGESQCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMVCLQQVACIFSIVAAIVGSEELSEASQILNCLSDVVYWTVCACMQTQHKIEMDKRDGKFGPQPMAVPPVQQMSRIDQPPPPPAGYAPQPAYGQPYGGYPPPPNQPPPNQGYPPAAYPQGGAYPPPAQGYPQGGAYPPPAQGYPQGGAYPPPGYPPQGSYPPAQGSYPPAQGSYPPAQGSYPPQGYPAK >Dexi5B01G0039810.1:cds pep primary_assembly:Fonio_CM05836:5B:38486444:38488841:-1 gene:Dexi5B01G0039810 transcript:Dexi5B01G0039810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEKMAPALSSVRVNWPVAVANRVMGAVHAALVAAVIGHRAQQLLVSGGKSLVVGHGAMVVADLTLLFLWALSQSGLWRPVSRVAFPERLASRGLRLPGVDVLVVTADPDKEPALGVMNTVLSAMALDYPGERLSVYLSDDAGSPLTLLAARKAYAFARAWVPFCRTYSVHCPCPDRYFFNPSGDLVADDAAAEERLRIKKMYETFKGEIEEACRKDKGRWTKEERQDHDAHVEVISNDGDQQELPSLVYVSREKRRRSPHHFKAGALNALLRVSALLTNAPYVLVLDCDMACNSRASALEAMCFHLDPSPPEPDKKMGFVQFPQMFHNLSHNDIYTNDLRHIFATRWIGLDGLRGPLLSGTGFYARRDALYGDTELLDATELARRFGHSDQLVASVRNLHADLQQSSKGKAKKLELELLEAVASCSFEAAGTGWGDEVGFMYQSVIEDYFTGYRRFFCRGWTSAYCYPAPSARPPFLGSVPTNLNDVLVQNKRWMSGMLAVGLSSTYCPLACRELLAVSVPQAMAIAYFGFLALYAIPALCYATLPQLCFLRGVPLFCPWYAAAFASSQLQHLVEASVANRRLALRTWWNEQRFWMLNAVTAQLFGCVSAAQDLLGVAAIDFDLTSKASDATLYHRGVFDFTGCSTLLLPATTLCVLNAAALVGGTWRRMMSHGGGLSWELFPQLFLLSYIAALSYPLLEGMFLRRDPARVPGRITALSVALAAVLLALFSG >Dexi1A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:1A:5226426:5231891:-1 gene:Dexi1A01G0006810 transcript:Dexi1A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELATQVLIPVAAAVGIAFAVLQWVLVSKVRLTPERRADGGAAKGSGPSDYLIEEEEGLNDHNVVEKCAEIQSAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIFNFGVQKTVQSWQLFLCVSVGLWAGLIIGFITEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTISGIMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >Dexi4A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:4A:25012732:25013190:-1 gene:Dexi4A01G0021490 transcript:Dexi4A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAVKRAKLVAAPPPEREEDDYVAGNIVEIELCNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALGLAGEPNILGRASSVGAFVKRGGVAGYD >Dexi3A01G0027650.1:cds pep primary_assembly:Fonio_CM05836:3A:26513913:26516733:-1 gene:Dexi3A01G0027650 transcript:Dexi3A01G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCMWPCAAAAIATTAATVGSGAGRKGWWLFRTKVRCPMEVVRHSRDLLRIVFEDRDASSNKEDLKPETKVTKKDVTQVIANLQRQKVDSKIIASDYLEVNKDLLDVLVSGYDNMEIAIHYSTILRDCIRHQVAARH >Dexi3B01G0021950.1:cds pep primary_assembly:Fonio_CM05836:3B:16837607:16838648:1 gene:Dexi3B01G0021950 transcript:Dexi3B01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPECAKGDIALQHPGAASIFKGIGAEKERLLPGHLDEAQLPLADPHATVPANRPQPFHSLSNAGSRQLYKAELRSVAEWVSGDGTPTHIHPPQPAGEVQHGLVYNHPARKRERRRQADQLLPLPCLREKPAHDEAPLPAVAVLDEVSDGIPVRRPVRAFRPSKDAERLTRHGAIVRAVNVGKAEELSAERVADDPRGSGVHVDAGRLACPSCEAAAHAGCRGTEIKEQEAVAAGGAGGSEQARDVGVVAGGSGPGVDDVGGDDEVGGVRGLGELEGRARLTSIVREIIAHEESDADAAAVESPRRRRAERARWIGSGILEERASEVAVGSAAAPADTEAEK >DexiUA01G0016800.1:cds pep primary_assembly:Fonio_CM05836:UA:35932916:35933233:-1 gene:DexiUA01G0016800 transcript:DexiUA01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMCGLPCRRCVVPRVLQLEVVAGVLVLVEEDGGALVLTEEDRGCPAAGHGRRSTCCCAAGAAGRSWIPSRPSCPCPLCSASAHDSLRGGGTEHGDVGDHAAAA >Dexi5A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:5A:5656839:5658952:1 gene:Dexi5A01G0007670 transcript:Dexi5A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPLSAAHPTAVIVSSSTAHPSPFTRLRSPLTHTVHAARHMCLCPIEETAHLGMNLVDDHCDSSSDGLAGWAPSGSCTLSTHTEDSPPDTLSPPLAATAVDSDSDEEAIQRARRRLHPSARYVLAAHRKSDKDGLCRAIPRLPKPKVTYRVAGWVGVSGGDAEDSHVVHVEIRVDGHRHVGGGVVVVEPGKKWGEIKGSFRVDDDDEPPRSAMVYVHGPPAVVDVKVMDLQVSTVNKIPRLRHLRKKADKRDVVLKLSSKAEEDGGVSSVIQVQNSFPIGSCITKAGLQDPNYVDFFTKHFDWAVLENELKWYYTEPAQGHVSYADADELIDFCDRHGKPVRGHCIFWAVENAVQQWVRALNPTQLRAAVESRLRGLVSRYSGRFPHYEVNNEMLHGSFFRDRLGDADVDAHMFRETAAIDASPALFVNDYNVESGNDPNATPEKYVALVTDLQRRGAPVGGIGVQGHVTHPVGDVICDALDKLAVTGLPIWITELDVSAADEAVRADDLEIVMREAFAHPAVEGVMLWGFMQGHMWRSHGQLVDADGKVTEAGSRLVDLRREWTSHARGTVDANGNFKFRGFHGTYQVLLTTAAGEVRKKAFDVNKGDAPLVLDMDF >Dexi3A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:3A:9060903:9068876:-1 gene:Dexi3A01G0012480 transcript:Dexi3A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEVGGRSGVARAVKGYADAVAHHAGQAVADILHDRMGAQSYKSFKKTVARLEEAAVSCRGGERVELLKRWLGALQDVDAELGGSDLKRSEDHDPSGEMDTSKAPMVLFYDADIDGAPMNFRDVFLYSQALEGITLSMILEAPSEEEVSLLLEIFGICLTGGKEVNKEIMSNVQDLAKAFSEYKDEVLVKREELLEYAQSIISGLKRNADILRIDAETLELQRKLDEKQRSRAQSTEDQDKTSEKVSVANLEAFKEALSEVRLCSRVEELLLKKKTITPGDSLEIHSQKASLTNAQVDKLKVLADSLASSSSKAEQRILEHRRQKEDALNFRVKKESEVSAAEKGNRNALHAYFGSQNIHLPELLDEITELEKQRDELEAQLKKEDDLSKSIALCNAESNVVKIWISFLEDSWKLQSSYNEQKEKKTCDELEKCVSDFLKLTKHHLSAFKEVINLLIESIKTYVDNLGVLNSSIVDHIKKLFYSEQGANSRRDDPDIKNLIDEIEKLRESFESIERPTLSIESEKAKPLPVEGSKLSPSPLQAPATPKAAHVDSPKSPMKPEQHFDSDAELATLGSELGKDDNEYSAEEISGWEFDELEEDLKS >Dexi4B01G0021570.1:cds pep primary_assembly:Fonio_CM05836:4B:23525123:23526056:-1 gene:Dexi4B01G0021570 transcript:Dexi4B01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVLFHTAMRDALPPEVRRCTILIDEAGGAGVANDLYESAQLYLGAHRAPPQAARVASLPDRHTTHDTFRGVRLDRHLALTRPRRRRRRVHLLVSTTPKSVIVVEDIDCSIDLSDRSKKKKGADEQSAAQAQLATAVVGTRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPKRLDDALLRPGRMDKKIKLGYCRGPALRVLANNYLGVGDEGRDDADADTVNGLMAEAEGLLDPAAGVRITPADIAEVFMACDGEGATAALTKLVDELRRRRDDAAAAGVPPGEWTDDETTG >Dexi3B01G0028020.1:cds pep primary_assembly:Fonio_CM05836:3B:23842886:23844037:1 gene:Dexi3B01G0028020 transcript:Dexi3B01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPLVRSIPLRRFVDENWCAVDEAKDGPDPKSKIIDRLVEESEEERNGGGNEEKNGGSGYTVDEDDSEDDMKGWDDNGDPYLPFSGGR >Dexi5B01G0033530.1:cds pep primary_assembly:Fonio_CM05836:5B:33921825:33926357:-1 gene:Dexi5B01G0033530 transcript:Dexi5B01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAEMMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKEQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPTCYSSSMKTLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADILHPGRSPDKSVTSSRSSQRSMSGSQYSSISGSDMDSTSVQSSERNTSGPASSSNNTIDTEGAENTDRQNHNDTAGSELEKQDSSKSVHNNQHPRHENKQPKIIKKVLTTLREEGKFRESSSPVRASRAKLNSPSNRERTSDDSRHSDISSSSRSSEVVSHEPARVSFEEHVKRGQVSPHLKHLSPIVEHSPTVKNKLDERLHPDPAKQFGEDVDASSGKAKSKTPPSFIRCTSIPARRQGVASPSRQHTGIKRAHNKVMAEHAKITCRPPHSPSNAIHLDGGIQRKTANSRAKSAPPRAVATKEESSTCSSSTVGYADNTNQSEPSEPDSPTYLVPSCKCSVPDTSIQAYGVTTKPSLEVEATSVQKKMTSNGGDICQNSVMQPSSDITEQEFICKDGMPSSKVGQDSDGVTVQGDEDKFTVQELLSPVPDAASFLPTATTCISPDRGSLPLHSSEKSSATHVIPPVEDVIHVINHSNSHVNGEQAVTETGTQSTIVGKLLNVVREEGGVRTIQPNLIPSGPIDSATLQANTTIQKPDSTDVIKLPTIQEGDFSTPGTNNGFKEEAVPPKEILDVTSFRQRAEALEGLLELSAELLEHSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSST >Dexi6A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:6A:17701994:17702317:1 gene:Dexi6A01G0011800 transcript:Dexi6A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVADGPHVCAVWSLALAGTSALAFMWAPSLPPRSSSMGRKKKSRMRSSYPHGPPLRLRSPTRTGVGGGHGSGLELQWELPMRLRVDLGCPALPSVGLHGKEPPPL >Dexi2A01G0006810.1:cds pep primary_assembly:Fonio_CM05836:2A:6391245:6399033:-1 gene:Dexi2A01G0006810 transcript:Dexi2A01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGTMGVLVELLNEGCGGGSASGGKRTVAEAVEEEDYGERKRLAMEATGLSVGKSVLTGALRYAKSTIAQEVALQLGVQRDQAFIRDELEMMLAFLMAAHEERDEHKVVKTWVKQVRDLAYDVEDCLQDFAVRLGRPSRWCFLGILVARRRVATRMKELRAKVEDVSQRNVRYQLIKGTRPKPATGAVASVISGATKFGIEEARRQKDKAKVDLSQLINEGNMDLRVISVWGANGLLGQTALVKGEYDNMKRRKRFNLYAWVRIVHPFSALDFLQCIMRQFYQTCFEEPVKTQEQTNIGAQVLKKMGAMKQDELVDAFIRHVNENSYLIVLNDLSTIEDWDAIKEYFPNNNKGSRIIVSTEHGEVASLCAGQESVVSELKQSSIDESIFASYKRWNAEGYSSEVRGKSMMEVADSYFMELIDRSMILPSDDSVGSRKARLVHPGGVVFPSGISKLKALHTLGVVNITRGKAILQDIQRLTRLQKLAVTGIHKKNCQEFCSALAHLTCLESLSVRSQGEAGLHGCLDGLRSPPKNLQSLKLFGSLDKLPKWIAGLHNLVKLRLQFTELTEVQGTIEVLGKLPNLAELYLWYKSFKAEEPCCFATCRDALFPSLTVMSLGYEPGIGSVEFEEGTAPKLELLCFGHSISFSGLSSLPSLKEVQVDEDLNNDDIKDVRSQLTMNSNKPVLKFF >Dexi5A01G0034090.1:cds pep primary_assembly:Fonio_CM05836:5A:36233331:36235920:-1 gene:Dexi5A01G0034090 transcript:Dexi5A01G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKARRTAAAAAAEQEQRAGAEAAANGRKRRLYGFSASLVVASWVAVLLLHSLVGHGDGQRDGGGSAVALTVVDPSLNEGSINPVAQGEHGENLAVPGDTCVTTDENVVLSEETLIQADQMCSNDEVQNENTEALTKDSQAELSEDQGQSLPQTDGGSGVQPGMKVESEDLPRPARLPRVVPPGLDEFKTRAIAERDPGVSSQPGNVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSADAKFVIIELSEETLVDTIAIANFEHYSSNPKEFELLSSLTYPTENWETLGRFTVPNAKHAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKNEPEDKAKEPVEKTPLTEPTGGKESAQEPLDEDEFELADDKPNGDSPKNGANDQISETRMLQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDNKDVLLDKIKQELKNLESSKDSMANEIEGILSWKQVASSQVNQLILDNALLRSEFETFRQKQTDMENRSLAVIFLSFVFACLALAKLSIGPMSKFCRFYDFEKFHNVVQDSRGM >Dexi4B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:4B:6538534:6541287:-1 gene:Dexi4B01G0009110 transcript:Dexi4B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLELDSFYNKPAPNSSSLTSRAELGCWLGWEWAGSNQWIADPIRPMKSTLKGPWVRPHRSVTPSPHGVAAIEPVEHGWMHVHVLWLSSPSPYQSHPLPPPFLIVSSSHGFLLFAARRRPRGDCGCSYISPQRITPPPLEPPPLWCRIDPSPIRT >Dexi7B01G0021770.1:cds pep primary_assembly:Fonio_CM05836:7B:26804064:26807409:-1 gene:Dexi7B01G0021770 transcript:Dexi7B01G0021770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSATSLAAAALLLLVVSMAAAADMSIVSYGERSEEETRRMYAEWMATHGRTYNALGEEERRYEVFKDNLRYIDEHNAAANAGLHSFRLGLNRFADLTNEEYRATYLGVRNKPQREKKLSARYQAADNEELPESVDWRTKGAVVEIKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDTEEDYPYKGTDNRCDVNRKNAKVVTIDSYEDVPVNSEKSLQKAVANQPVSVAIEAGGRAFQLYNSGIFTGTCGTALDHGVTAVGYGTENGKDYWIVKNSWGTSWGEAGYVRMERNIKASSGKCGIAVEPSYPLKKGANPPNPGPTPPSPTPPPTVCDNYYSCPDSTTCCCIYEYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPICNVRQGTCLMKKDSPLSVKALKRTLAKPHWAFASNEADGVKSSA >Dexi5A01G0029950.1:cds pep primary_assembly:Fonio_CM05836:5A:33003593:33005356:-1 gene:Dexi5A01G0029950 transcript:Dexi5A01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYGDFFEKAKPYIAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYVGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMLMTLYKGPLMKMAWSSHVQPHGHGGAEAPVAAIDGREWFLGSLFVIIATLAWASLFILQAHTLKHYAAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAIMGSFILSEKIFLGGILGAVLIVMGLYSVLWGKHKETQEKEEEEAMELPVASKTNGQYDDATFIKEMAVVATAAIGDDSECKKVNGVKSSSDGHGAGAV >Dexi3A01G0028130.1:cds pep primary_assembly:Fonio_CM05836:3A:28473846:28476496:-1 gene:Dexi3A01G0028130 transcript:Dexi3A01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGDRDIVTAAMERQPWRRRHGWQLPLHPLQVRRLTPDLFLPLVAAAVFALLVAAFYVVLGPYVGNTLAGNILLGAFSFSAVAAAALYVRCTAVDPSDRTEAKKDKRKRQLARGGGHRGTARLPRLRYGLILWRYMVRVLRRVETRVTNRWVRRSYLEQWNTSVQLDPMLPFAFTSLDDIVSPCAAADGHDISFCPICDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGSAIAIFVRCFVDSKGVKLEMEHRLHIRLPKGAHTALSMAFVIFTLYSTAALGQLFFFHMVLIRKGMRTYDYILALREAGAAFDPFEDSDSDESIDFDSPEKPSFLSRVFCRKDEVNESTRKLSIRIESDKMDASGRKDDIQINPWTLIKMSKEKAMAAAERARERIRQKLPTSPMKPLPVETKRGPLNPERKHITTGKEIVPVFTKSWLSGSPTTVGISSPRRRFSGSSSPKPQRYRSNFDLRLAEVSRELETHISKQVLCSVVMKGVEDEGSSS >Dexi9B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:9B:7158917:7168715:-1 gene:Dexi9B01G0011260 transcript:Dexi9B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASATSLLLGGGRLPRFHFQPLRRAPAPTKPPFHAPAALSPSVPLRGCCASARPRPLAPVSASSLPVPVTAAPSPRVDDGWGAAAAAAVRRVAVALACGALAAAWCRRAIAVGAAAAAGAGAAAGVGAPGAVEAAAGFGGAALREAWPRVLQILQLLREHGLILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAVLLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKMLGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDAIATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLNEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQRKTGNIVRREDGTFDVDANTSIDHLSEELSIKIPEGHQYETVSGFVCASFGYIPEEGAKMLVILEKEYREENGEYQEEGSDRQDDREKTQAYELEILEGNARKVGKVLFKPISSECVGVDDKGVNRLISKKIVKRKKKDSGDPYNSDNVECPDITGNGCPAEVLSYSDDTSAELEDASSSSAKR >Dexi9A01G0025450.1:cds pep primary_assembly:Fonio_CM05836:9A:25836840:25842454:-1 gene:Dexi9A01G0025450 transcript:Dexi9A01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASADDGTSPAPTVTEGETPAAAGAGAAIEESEGMKGVAATTVEEHDEVEPEEDPEEQVEDEEDVEGDAAEVEPADGMEATLVKPLAVLKPGEEDEPEELEGEKEELDEEPEEARPSEEEPEELVEEKQECNGVEEKGAVDESAANDMNEVSKQEHGKCGNINMDKVADQLIKVSDNGASKSNDAQNSELDGGLELFVDGLPKDCAEEDIAVVFSQSGEVKSVRIIKNSSTGNNKDIALVCYVSIEAAKKALTELKEGIEVKGEKAKKVYLEHVPLSWDEDKIKERCQQYGKIMKVELFQISKNMESETFSFVEFSSSKSALACVEGINNANIVEEGFKVMLMRARKSINVPKNLMQDSSLLHVKFTAPMDMIG >Dexi3B01G0002940.1:cds pep primary_assembly:Fonio_CM05836:3B:1951703:1952266:1 gene:Dexi3B01G0002940 transcript:Dexi3B01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDALRARPQLLGGAAGGARRVVVEPPAAPHGDRLQHLADDDRLQHLADDESLAICFNDVLYHNRMVEATHQQARRDMATQTEETIVAPELLDDGGGGGGCTGTTPASAAAVARLEKRRHDGGGGTGDGDDDDGGAEVRCAICIGDFEVGDDLSVMPCSHVFHEGCIAKWLARSRLCPCCRHALPD >Dexi3A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:3A:8092878:8093935:1 gene:Dexi3A01G0011370 transcript:Dexi3A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVVDFSKLDGAERAETMVQIANGCEEWGFFQIVNHGIPLELLERVKKVCSECYRVREAGFRSSEPVRTLDALVEAEQRGEAVAPVDDMDWEDIFYIHDGNQWPSDPPAFKETMREYRAELRKLAERVMAAMDENLGLEKGTIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDQVGGLEVLKDGEWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVSSGGGEYPKYVFGDYMDVYAKQKFQPKEPRFEAVKAPKSSPAA >Dexi9A01G0036290.1:cds pep primary_assembly:Fonio_CM05836:9A:40780496:40785081:-1 gene:Dexi9A01G0036290 transcript:Dexi9A01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPFAESLGQRRCRCPTSPDYLPLTAAPSPRAASPEYTPYTALGRCRWWARSPDYALSTTSTTSRAASPDYAPWTTTTTPTYQVALPECTPVSHLWRASGWSSEHRPLNPPPGATEHPGRAPASPPCGAASSLDYTPRTPPARAPPSPDYSPGTAPSSLLVPDAESSTAAAAR >Dexi5B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:5B:1909579:1910043:-1 gene:Dexi5B01G0002960 transcript:Dexi5B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKCVNQHRRLYSSWQSRRWRGVALGRRCPPLPATVIAAPVARRNATPTHTIVASAPSRPRTAALSVSEPRVRTSKSSATPRLSGDDTGAAQRAEEEVNFGRRRCRRWGSDKEWTGTARGEGGDGSPDDDPLARPVEAAAPAAGATATATVR >Dexi6A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:6A:11899533:11902924:1 gene:Dexi6A01G0009880 transcript:Dexi6A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALTSSAPSLRPLSSSPLRRRSSSTVQCRVSKPGKDSGADDDAPRTKLPSFFPDFGKLDAKSLIPSFQSPAAGALFAGGGRGRKDPQTVFVAGATGQAGVRIALKLLRQGFAVRAGVPDLASAQELARLAAAYRLISPDEARRLNAVQSGFDDAEAIAKSIGPAAKVVVTVGSAEKGPEGGGVTIEDALRVVQAADLASVAHVVVVYDEGAGSGSTYNVLDGFTSFFNYIFSRVQTLTLSQFLAKVVETNVNYTLVKASLTEDYSPESSYALVLAKEGASPRTTSPTDTGKVVEVSTNSSATAKPVAEAFVAIPEDSRRKEYQEAAAKAKAEEEALASQRASEAEAAASKLEAEGKKAPAEEEAPAEEAAASAINGAQASLENLLRISTDFSWGKFSTQLAQATTPGTSSEKEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKVKPQQKQPETKPEVRPVFGGLFKQETVYVDDD >Dexi1A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:1A:730422:735348:1 gene:Dexi1A01G0001140 transcript:Dexi1A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAGAAPAAGVFAASGAAASRPFFLRRRFCASRSAAGRVRLLRAQPPRATGDGGDLPPLDKWDMMELDFGRFLGEDPKLTLAKILVKKSDPDASSLDVEKLIATRKDKLDDILREFMDANKKDQAFKTSESASTMNTTQPAVNKPVEGKSSLNISRPVMGKPKQDGPPLTLLRPAGSKPKQGEPSLAQLRPVRSKAKEDIPPLTLSRPVGSKPIVRSPLVQDSWPSKESLAAATENSEAGNISRISDVDVSLRKPTVYQSEDDDLKSKLKMKPNLNLKMRKDMNEDLTNISLLQKPDVAKDIANPEQDHASANSATVSAAEDNSELEPEANGLGAKLVIENIHESSGVDDNSNAGLQPSVQTVIQEPNTAAGSVDNESATSNNFSMQAFLQGKPKRENLSAEILPSPVDEKMNATDNRNYVDDGGNVLPSKLEDITESDWTRLEHYASTGEKVEVELINCSPKGFLVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYRQSLGLEDGFEVNDRNIEPEPSSVLEVAGEDQGSLPSKPKIEDLLRAYNQEKSKFLSSFIGQRLRVSVVLADRNSKRIFFSMKPRESEEMIQKKRSLMAKLNVGDIVQCTIKRFVYFGIFVEVEGVPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYNNNRIFLSLKIVSFVNSCPFLCDMQPNPSVGALEAVIGEDLSLGGALEPVQADFEWPEVDALMEEMRKIENVRDVYKGRFFQSPGLAPTFQVYMAPLVGPKYKLLARYGNNVQEVMVETTLDKERLKEAILTCTNRVS >DexiUA01G0012750.1:cds pep primary_assembly:Fonio_CM05836:UA:26405270:26406058:-1 gene:DexiUA01G0012750 transcript:DexiUA01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVDDYDLDLLGGGDDERVMEWETGLPAADELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRAGSTSSSGRSFQAFAPPAAAAVASAAAATAASRDEAAADGNTNGGKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKGMQGLSNEGPSASDHIFASTPVPHSLVNDPGPQVPTAPAPYYHHHHAPAMQHMLAGHGHYHQQQQQQQQQAYHYHHRGHADK >Dexi3A01G0028450.1:cds pep primary_assembly:Fonio_CM05836:3A:29146597:29152911:-1 gene:Dexi3A01G0028450 transcript:Dexi3A01G0028450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYSLVEDAGAGVTTLSGQPHRENVEVLRLRTRKGNTLAAMYVRHPDATSTVLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKGTADEVVDWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLRHLKKFVHTVEKSPSHRQAWRESVDRIEPSRKSIDFFEPSRKSTDRREKSRATRDRTRNTEHRYSNVEKVDKLKFSLDQFEKSRRSVDIFERPKRNIEQLDCGRKSVDRLDRIWAS >Dexi3A01G0031290.1:cds pep primary_assembly:Fonio_CM05836:3A:35739393:35741698:-1 gene:Dexi3A01G0031290 transcript:Dexi3A01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDEVGLEEVPDVIQNYHLCIVRNRRIDSDIIARATHMKIIMQNGVGLEGVDIGAATKHNIKVARIPGCTTGNAIACAEMAIYLTLGVLRKQVCFIDTNLHHMKDQNGPQKEMDRAVNQRELGTPAGETIYGKTIFILGFGAIGYELAKRLRVFGVKILATKRNWSSNTLPCDAEVLVDKKGGPEHMYEFAREADIVITCMALTNETVGIVDNKFLAAMKKGSYLVNIARGRLLDYKAVLSHLESGHLSGLGIDVAWMEPFDPRDPILKLSNVLITPHVAGITEYSLRTAAKIVGDVALQLHSGKPFTGIEFVN >Dexi9B01G0026260.1:cds pep primary_assembly:Fonio_CM05836:9B:28085912:28086687:1 gene:Dexi9B01G0026260 transcript:Dexi9B01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLHNVEKWIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLQYVIEKLDTMQQNLEQSTGDV >Dexi2A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:2A:9409004:9413546:-1 gene:Dexi2A01G0009210 transcript:Dexi2A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDAAAAAQKGAAAMSVRKGMTVASSRKGTAARQKGMVKAAAMAEPQQKGGVQATGNAELVESSVTNASFLQSGTPTSMGFFPPGFQANHPAFTQESKETEEIFSVQDNHIANKLEAVHRNSQRCGKEEIKELQPLRPSSPEPALRPSHHQVSASVVVARPTTASKFHEADESVHEKVMAPLFMSSWLLNSTDPSIGADKRNEQYWGDVIETYNQTTPGNRRRNQKQAKDRWHKINRWTDLFHNDWLKARRVFTSGYNDQMWIDKAHHFYVQDNEDLGHFVLMDVWYAVRNEVKWVTYNLGLKQARKRKVSGKEAGEDIEHVDVEENAERPRPMGQKQGKKVARDIKANSKLSDSDIEELQTFGKIMLRRILYGNYAPRECVWHNTIGGANDTRVPIREDTEK >Dexi5A01G0031420.1:cds pep primary_assembly:Fonio_CM05836:5A:34090930:34091229:1 gene:Dexi5A01G0031420 transcript:Dexi5A01G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRDASRRSQSSSRFRGGLNHFFLGFSSHRKRGGGESAFGFGFASARSGTHGRLAAAGGSWPFKDDPADRTAPRAPGRIGTPGPPDTTAGAQRNAAR >Dexi7A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:7A:11940247:11940450:-1 gene:Dexi7A01G0003010 transcript:Dexi7A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHRGVLEQVEAWSERFAVIHEDDTLPGEEAGNRVADLVACQPGDNGVAEDGEAVVTVQGMVRHPP >Dexi9B01G0030070.1:cds pep primary_assembly:Fonio_CM05836:9B:32554836:32556760:-1 gene:Dexi9B01G0030070 transcript:Dexi9B01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRGMYAVGCMSRISGLIKATIGLGSAPKTGFTAEQNVPGQSRMFDITVHPGNLESSQMALSSTSHRVALEVIEENCSSGCVPLPSHGELKDLAPVDPGGAKDTDSGAAISDKASSLEYRVHVGSSSTIDCEEQKKEQNEHALSSHESQFDKIIKAVTIAYEIQAADSNCSHPVADIENFIQSATPVIGQVPCTTSNNSCMLDQEVHNQMQNVALRSVWRWYEEPESFGIEVERQRGINCHPSGTYSWSKLCAYFVPSLSAVQLFGKSPNDGGLLFEYFEREKPFLRPPLFTKIKQLVSGENPSGNPMFGDPKQLDSVKLSDLHPASWFCVAWYPIYQIPSALRSCQASFLTYHSIGKLVPKKCSKDVAGGLTAIICPVVGLLSLKCHGEKWFHQGEKKLGSKPTTGGSLEITDPAEHLNLRLEALKHSTSAMSKAVMPRATGEFMNYHQDYMFFSTRAF >DexiUA01G0012080.1:cds pep primary_assembly:Fonio_CM05836:UA:24189938:24191085:1 gene:DexiUA01G0012080 transcript:DexiUA01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNIDTATSKQASSMAPNPGKATILALGHAFPQQLVMQDFVVDGFMKNTNCDDPELKEKLTRLCKTTTVKTRYVVMSDEILKNYPELAQEGHPTMKQRLDISNKAVTQMATEASLSCISSWGGDLSSITHLVYVSSSEARFPGGDLHLALALGLSPDVRRVMLAFTGCSGGVAGLRVAMALAETSHGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAIIGADPAPTERPLFELHSAMQRFLPGTDKTIEGRLTEEGIKFQLGRELPHLIEAHVEDFCRKLMAERKEMMKSYDDMFWAVHPGGPAILTKMEGRLGLAGDKLHASRCALRDFGNASSNTI >Dexi5B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:5B:1134332:1142138:1 gene:Dexi5B01G0001790 transcript:Dexi5B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTPTICFVAVRPPHLPALALLPRPTARLASAFGLGSSAARRLGLPRAPSVVPTMRRRGRRRGTPAPEAAAGGGEAAVPNSQEKRAGTDIKTLAKMFWKVAAPYWWSEDKTQARLRLAAVFALTLGTTGISVGFNFLGRDFYNALADKDQEKFTQQLLYYLGAFAGGIPFFVLRDYAKETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTFFNAAVDLVSFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVSLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFDNLSELLIASRNLEFFTSGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSLSPQNDNNGINIIFKSSSSVVSSNGSLAYSDPCMVLEIRNLTLITPRSGNVLITDLTLELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHPLRRSSSPARRAAVGGVVPPDQQVPAARGPGGPPLRSTVAAPPHPRPHIAHSRHHPSTQPFVEHGGVRVSDLRARTAVDAEAIAAHLYSQIEAAGITYISIGHRKTLQKFHNKALYISKSESTDSNLSNWELKPTSQISAEESSPFSS >Dexi3B01G0036320.1:cds pep primary_assembly:Fonio_CM05836:3B:39148641:39152323:1 gene:Dexi3B01G0036320 transcript:Dexi3B01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAASVPVPVPVHAAAAAAQPGGTQKRRGSYNCGRCGLPKKGHVCSVPGNGGAKAVETKTQPQQQNKPRRALQFDDPATEAVTVVENAVPVSMAPPPPLPPPPPRAAAAAGRKRPRVEVVDMEEDEEDEEDLGLVELGAGRRAPWQVVAQVLRRISPRGLAAAAGVSRGWRECARRVWRAAEEIHFSAASVRPVGALVARCPALAKLALCMDRDELSRFVSEKRSLSVLKLDGCSSLGFLNISSSSLSTLGLSGLCPLSKAVMNCPNLNELSLVFPEQNNDSTDLVALMDSLGRTCPNLRNMHISSVRLCNEAVFALESANLRGLCKLSLILGSKITDAAVASIVRSHASLALLDLSGSSITDNGLGMISNAFPNTLISLLVALCPNITSSGVQVAAAQLPLLRVMDCGNSICASPQPDAGRSYFGDLTGAIKFCSKLLNQKTQQPDYQKLFIQHSSLKKLSLWGCSAIDALYVNCPELVDLNLNSCVNLHPERLMIRCPKLKDVHVMGCRDMLIGAIRNQVLNEFVAAEPRLPCKRLADGSKRVHVPHFMIEELEDQEKWGRERKSQCTVHLD >Dexi5B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:5B:5296861:5297941:-1 gene:Dexi5B01G0007810 transcript:Dexi5B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISTDYRTLKAYSRPEIRRRQLAAATTNGNGANNTSCSPARCGDLNITYPFSLSGVQPLYCGFPAFDLTCNDSRAYLTRTYREKLYHVEDISYANQSLVVVVETTFAGDKI >DexiUA01G0027640.1:cds pep primary_assembly:Fonio_CM05836:UA:58944736:58948236:1 gene:DexiUA01G0027640 transcript:DexiUA01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGSALVMLLSLTSLATSCTEQESNSLLQFIAGLSQSSGLTKSWQNGTDCCSHERTVTDVSLACRGLQGFISPFLGNLTGLLHLNLSFNLLSGDLPLELVTSRSIIVLDVSFNQLSGDLPDIPSSTLARPLKGNIPDSIGELKRLEEIRLDYNQMSGELPSTLGDYMERFRNRKRVDPETAKYFMEISNLFDNKEIDLDERSTICANALEETRGKELELATDAVISHTLQVLVQGCDLEQLCTFLRNCIGSFPVIAMDKNGSHVAEAALKSLATHLEDEISRTMIEEILNKICKVIAADAANVMSSCYGSHVLRTLLCLCKGVPLESLQDFHTTKRSAVLAERLSSGKNQTGGHGPGNFEYGFSDMFKSLVREMLHNAKADIATLRVDKNSSLVLQTALKLSSGDDNELHHIISILLGYDEYDTVEKRYYNEKREEIVTLLEESAYSHLLEVIVEVAPEELRNGMLVGTLKGALFAIASHHCGNYVVQALISSAKTSDQIFPVDIEHAICTL >Dexi5B01G0022090.1:cds pep primary_assembly:Fonio_CM05836:5B:24308492:24313511:1 gene:Dexi5B01G0022090 transcript:Dexi5B01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHDEGLKMAVSLLEEFGLPLGLLPLADVIEVGFVRATGYMWINQRKKVEHQFKLVSKQVSYDVEITGYVQAKRIKKLKGVKAKELMLWPPVNEISVDDPPTGKIHFKSLAGVTKTFPVEAFAAGHLSSFRSPLLPRRHNRGSQFLGRAATSACRHHRSSSPRHLRVSSPPPPPPRSSSSLPHGCSGGQISVKTPSCPWWRSISADAVSGGGGVAADGGARVVWGRLGMGSAEGKPVGRSAAEAACPPFHGCARACSFFRERSGGNHTAHFARRQQQGAVVVASHSAPRYSTGSSSSPSPGSVGRRASAHQVFVSVRPRRHQCCHPSSHRWAVGAGRDTAAAPAHRHRSHSPPQMRMPYSRTPSSPPRRPCASWEVTPCGAFTPGSRTRPRSGTATMAGKPDTVPWR >Dexi2A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:2A:7023348:7023903:1 gene:Dexi2A01G0007260 transcript:Dexi2A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVETALQAVAQGTGWTYAVLWRLCPHQGALVWAEGHYNGAIKTRKTTVVMPASGGGEEEEATAAARSRSRQLRELYDSLAVEDDGSGGGGGNNKDDDAPVMAVVVPRRRPGAAAQLAPEDLTETEWFYLMSASYCFPPGLG >Dexi8B01G0005360.1:cds pep primary_assembly:Fonio_CM05836:8B:5411610:5412032:1 gene:Dexi8B01G0005360 transcript:Dexi8B01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRWPQGTTLSGEGATPPAWQARPGAVAGRREAAGSGTSRSSSSGAQEEELDSGRGRAGGNGGRALGRRLWSAFEGETMEDEEMWWGQRGQTSSPGPIRAQVGCPRWCQIDIALLQINVFRRHQDEIHRHQIELRRR >Dexi5A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:5A:11631681:11634412:1 gene:Dexi5A01G0014550 transcript:Dexi5A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLELTSVSSALILAAGIGLGNLSAVALLPLLIYGFQLGITGAAISTVVSQYIITILLLRSLSKRAVLLPPRIDQLEFGGYLKSGKSFVLPLHYTLITFLEFVGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSSQAMIASSYAITDYKRVQKITMFALQVGVISGLALAVGLYASFGNIARLFTSDPEFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGVISSLVLLWAPSVFGLAGVWAGLTTLMGLRMVAGILR >Dexi4B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:4B:20672612:20682375:1 gene:Dexi4B01G0018340 transcript:Dexi4B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEDDDEDGEEFEEDPTMAADPTTMSGPGDGACGDEQVAAWRNDERATGGGQPFPLVLRLHLRPRSNRVESPGLGQTQINERPTPSQTLLPPVVHHPPYPVAAFPDLAPDPMASEIEVLEDTTTTTSLVAAAAASTAPSAADGAGVEAAAAEDDSLKNDVYTAAAYGDLEKLQRLVEEEGRPVSEPDAGGYHALQWAALNNRVAAAQYILEHGADINAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQPSDQLPHMLQHSMVKPHSFITLLRNGMLIQMSLIMTEEALCTGLLIRDLQIPSGFFCFWMLIGDGKTKKACANLSYSHVGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLDNARRVYDRGCGANTKFGKLSKLGLAPLLWCIIIGMLITYIHSVISGQYATNMSAPFGMFAWSGVFLATAGLVMFYKCSRKDPGFININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLVLEVLAMIITGSAAIMSNVSNPLCLQFTLEVLGASLLIFVAGIVRDPDSPSSFGAWIHYSAFQHPWVVSFLAMDFFLFFGVAVLTGVQAQQLGR >Dexi2B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:2B:5396964:5397174:-1 gene:Dexi2B01G0005820 transcript:Dexi2B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAELLSELCRLRLVCRSWRSLTSDPHFAKAHAARHPLLAAFHHRSGELRFMGMSGSRV >Dexi3A01G0025860.1:cds pep primary_assembly:Fonio_CM05836:3A:21821930:21827766:1 gene:Dexi3A01G0025860 transcript:Dexi3A01G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDALIEIHPRELRFLCTCPLFSYSVPSSPLQPHGGRRLEFGLHDPSLAERAAAPDAFIGLPDRAGYLFHLLSVEVKKQSSCCVHLVNKSDQYVAFKVKTTSPKRYCVRPNVGVILPLASCDFTVTMQAPKHAPQDLQTKDKFLVQTTVVPFGTADEDIVPAFFSKETDRYIEEKKLKVVLVSMTHPQEEQPINGVPHAKETVGVSVAEEIHVNESQSSNVANEVHHLPKASSPRESSAAFSEIPSPVKATVQQDFLVPPNQASFTLGSASNLQETSAISVESQFSSTETSAELKAPPLEYTPAPSEVPSLSDIKSTNTDNFHISYQSIVLMFYFRVCCFNFIFGHLWQVTEDVHTLQMKLNNLEVKLEEAETLIVKLREEARTTIQERDKLRKDMVLLKRASAAPSSTGFPLLFVVYMAVVGMSLGYLLQL >Dexi5B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:5B:509441:511884:1 gene:Dexi5B01G0000770 transcript:Dexi5B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDGGSFSMMHTLLVHLQSAPPEHSPVVAGLLLQLDLLVEPRKISMYREEAVDCLIQCLKNTDFPRSQLLAAETIMCLPGKFSSSGRPLARSTLLKLARVKERSYRQSQDLSAVRPDATGGEDEMEEEKAASEWERKTAYALVSHEFGLVFEALSECLRSKNAELFTTSLVCATWLVYMLSLLPDTGVLGAARVCMLRQFVIVLRSAKHGSDRVLAMVALRSFMNDREGMHDITTYIKDVLKTLRDLKKSSGLAFEMLKLLSDGQESSVVMLSFLSNAFACTIFRLLKQQSLQDMWNHREINQADCSSNGEVTSIVYLKNYIFSGHSDGTLKVWEGSENILRLVHDAQEHTKAITSLSVMHSEDKIYSGSLDRTIRVWQFRDGVLRCVEVHDTRDPVQNLAVANAMACFVPQGAGVKLLSWNGSSKVLNPNKYVRSMALVHGKLFCGCNDSSIQEIDLASGTLGVIQSGNKRILGKANPIYSLQVHDGLLYTGSTPSSVDGASVKVWNCANYNLVGSLPSSMEARSLVVSADLVYVGSRNGAVEIWSRDKLTRIGTLQAGGPSCRVQCMAVDGDGDVLVVGTSDGRIQAWGLT >Dexi4A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:4A:7629031:7632772:-1 gene:Dexi4A01G0009650 transcript:Dexi4A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPARGAAAAEERDPDGMFRGVSAFIVPHGVQPRRLEVWKQRLAQMGGRVVEKLDKGGAAAGVNHVLAMDAKALLRELDAGWLHRFRGSALSFEWLEECLKSGERLPEHKFAINYEEEFKPKKEVGNGDSGTLQPSKRSRTSSEDPKYQQRTGGEDREEHRDASVDKSLGVETRPTQYASSQSSSGDTKDTVGSHGKFEIEEASSGGPSIYAPADLNRNITKIFGRLIDIYRALGDDRRSFSYYKAIPVIEKLPFKIESADQVKDLPTIGKSLKDHINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGVGPATALKLYEKGHRTLDDLRKDDSLTNAQRIGLQFFDDIKQRIPRHEVSEMEKLLQDVGKDILPGVIIVCGGSYRRGKASCGDMDIVMTHPDGESHVGFLPKFVQRLKEINFLREDLIFSIHSVDGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRNRYACGLLAWTGNDVLNRRTPIITCRLRLLADSKGYVLDDTGLYLATRGSGGKHAGRSDAIVSCHTEKDVFDTLGFPWLEPHERNL >Dexi5A01G0038210.1:cds pep primary_assembly:Fonio_CM05836:5A:39273304:39278018:1 gene:Dexi5A01G0038210 transcript:Dexi5A01G0038210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTPRLLATKLRQISSAPGSPATLAARVYYSQHAPAADAHRLLDETARRRAGTIVRALAASSSAGDANAVSSLHCASLKSGAVLDPPVRTSLLTAYARARDAGAAWALFGEATVAASDVIMWNAAIGALTLCRRYDDAAALFRRMARELGEFDSTTVLVMLSGASRVGILRHGMALHCIAAKRCLDADHLSLWNALVDMYAKCGAFYSSEVVFQSMPCRDTTSWNSVMGGSIFNGLAEVSAWYFKEMTRSILQPDEVSLSSVLSACSHLDDLFSFGESVHSCVVKLGYEDTASCSAANSLMTFYSEFGLPEAAEKVFASTLNRNLVSWNALIKGLVENERVSEALTALWEMRLENQPDVATLVTIISASLASCLENLKDGRSDEGPCRCLAGLGTGTAPSDRHLEPGSNAFISIAAYGDLCCTILVKGVTEVGGAAIHQSLQSSFNGMSLQCRPASRRPRPCNSPRSSPVQVVMMAKPAIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFEQPSVFDSSAELGDITGFYMIDEEGTLQSVDVSAKFVNGKPARIEAKYVMRTPRDWDRFMRFMERYSQANGLQFVKN >Dexi5A01G0001170.1:cds pep primary_assembly:Fonio_CM05836:5A:784286:784498:1 gene:Dexi5A01G0001170 transcript:Dexi5A01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTTTPQPVCAQESLALLNCVAAVPYDRDRCLALVDALRDCITQKVLLTSLLFASPSLLKELSVLNTT >Dexi8B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:8B:26026214:26028531:-1 gene:Dexi8B01G0015220 transcript:Dexi8B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQGNRWWERWQLHALVAGSLFVQFFLLLAAVLRKRRTPPWFRFLTWAAYISSDALAIYALALLFSRHKMPGGSSSSPPPSLPRGTSGLEVLLAPVLLLHLGGRDGITAYSIQDNELWRRRFLIAGSQVAVAVYVFRKTWPPGADKRLLVAAILLFLPGIFKCLDKPWALKKSAFNTMSATVDGQQVSNDKPCQALPAEESQSEQAKKNLDIEAGSSSSKPTKPKAWSSLVKAIKCCSSQEPHKLSSEEQRCSNEKVHSPKAFVRAASRVYAEPFCSKIPLPTERLSPHDPYHLFVDLNWSYSARLDNLRCLWLRNDNKLHLVVRSGLSRSFDRFYSNVGKGYLGTLIRAMLMAMTFVATGLFTAVGQGGGYADADIKAINFLLWSTAALELVSFSAIATTCLRLMPEPWPDQVPQCNLIGYLVHREKHRRRWRLAALLGFDVYADWLWCAAPSRALPSSSSITQLIHTHITDQWIKYIKEDIFALLSYQEFSDSRGKWTLDKEGWSKLSEDLHIKDPTPKYSIASTVQRPFDQSVIIWHLATDLCFFNQVGNGREEEEDRRCSREISNYMVYLLLVKPEMLVPGARRRVFMVAYEQVRQTLVKDYYDSLEDDDDDLANKKLPRAAKDDMARKIMQKVDPTDATGPGLVQEACVLAKELMKLAKRPNEGEEKMWRVIRGVWVEMLSFSASRCRGYLHAKSLGTGGEYLSYVWLLRAYMGLETLGDRIQRSEE >Dexi9B01G0041820.1:cds pep primary_assembly:Fonio_CM05836:9B:42212668:42219363:1 gene:Dexi9B01G0041820 transcript:Dexi9B01G0041820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHGGSPAAPVTGDRYLDLLVRFVARNAGALLDGTVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAAGPARDPAPLSLLPFARLRVLELRGCDLSTSPARGLLELRHTLEKLVCYDSTLNFMILQDALRHVFTSRIMDIKDSPVWSKLSYVSCASNDIVLMDESLQLLPAIETLDLSRNKFAKVDNLWKCTKLRNLDLGFNHLRSISSLSEVSSRIVKLVVRNNALTTVHGIENLKSLMGLDLSYNIISNFSELEILGTLSLLQNLWLEGNPICCARWYRAHVFSFFRNPENLRLDDKGMNTQEYWEKQVLFARRQNQPAGYGFYFPAIDDHEDEDTLTSKMRKISRLASIVDGERNLCDDGVEQQSTPCDSDSSKKDEVAAADHDIKIASLINTVELLKKEKSSDWLREFKEWMDDNAEKIEGENLSPDFTSGNGRDVALLRSSQNKLYVLLLDMFCDEKENMPRVLDSYTLGSLKMVSVGLGLQVLRVHMVDDAAHLFFTRTSKEAQDVLWLLSVTNSPKLNHELHLQSWENIQVELFEKCICGSAKTGIFFYSMFMFWRNDAEEDSLFIRSIIVTEGSILVCIEDLDQFGGVPDDSDPPYFYLDASCSIRNVLEVESL >Dexi7A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:7A:21061066:21061746:-1 gene:Dexi7A01G0010260 transcript:Dexi7A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAGTTDGAAANPALEPDTDAAATGEGLEVAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHLDEPTYELVCAHGTGHAEVVRVHYDPEAVPYAALLEVFWNKIDPTALNRQGKDVGTQYRTGIYYYTAEQERQARDSLVEEQNKWEDPIVTEILPARRFYPAEEYHQRYLEKGGQSAEKGCTDPMRCYG >Dexi4A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:4A:8577513:8578345:-1 gene:Dexi4A01G0010670 transcript:Dexi4A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGAVLRDHPGFQLLESEEVKLLGVRARPLAHDAQLRPGRLYFLVALPRPAAPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPATSATAPASPLSSTASEGGPVRLRMRLPKAQVEKLMAESKDGAEVAARIMQLCAANAGSGAATPERGILRTPERSPRFVPTPDWGVGAFAQTPERSPRFAATPEWGTGFMMPSGTAPRTPERWPALPRTPEYSSLGVKASRKESDS >Dexi3B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:3B:12779636:12780235:-1 gene:Dexi3B01G0017360 transcript:Dexi3B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIGVCLKDILREMKELPIGDSSDSTAEKSTNGVVDTTSCSDRDEVSSDLDMDDDDFTEEEAAVAKLVVTVVSDSLAVVKEAIRFITGNKNGANEDKVEPIEKLLSHCKEIAGQVNDLGASVYPPQDASEVKLAVKRLYDCINGMRKEIGHLGGSPASVFAALEGFEKCLGSLEGELADDVVNDMENLTLSH >Dexi7B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:7B:17371282:17371785:-1 gene:Dexi7B01G0009930 transcript:Dexi7B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGTSRGQPMLEKRASHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGVHA >Dexi9A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:9A:101723:102150:1 gene:Dexi9A01G0000130 transcript:Dexi9A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRGVQAAALLCLVLLPRAALGNCRDDCISSCYSWPFICQMSCASACFGEVGISTLSTTAEGAAPPPPPPDPVAPAPAPQQQPASSWVASRGGFKPSAANGDAGDAPTN >Dexi4B01G0021990.1:cds pep primary_assembly:Fonio_CM05836:4B:23787263:23787685:1 gene:Dexi4B01G0021990 transcript:Dexi4B01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYQQVQQQQGGRASNKIRDIVRLQQLLKKWKKLATVTPSSASGGGKGGGRSSVPRGSFAVYVGDEMRRFVIPTEYLGHWAFAELLREAEEEFGFRHEGALRIPCDVEVFEGILRLVQGRKKDAMCDCSCSSETEILCR >Dexi5B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:5B:10095162:10095747:-1 gene:Dexi5B01G0013850 transcript:Dexi5B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRKSFFASLFGCKKQQEEEEAAARHYTGTRVRPSDDDEYYGHYWYAERDINRKATEYIERILISLKIR >Dexi7A01G0022200.1:cds pep primary_assembly:Fonio_CM05836:7A:30574579:30577238:1 gene:Dexi7A01G0022200 transcript:Dexi7A01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGPGDNRDRLPGVVAMGHHFKPCPCNVLDDKRPRSRVLAEPAKVDHGQWRPRRRRLPQLHSLARHGSSPTAHAEQNKCALPNACSSSKCRRRLLRVEDWVQEQATQQPQAGRSLRFLSLGLGSGHQLEFFVWLMRTRSDNALFFRLRIHGKLERQPGLRILLRWSWTVAGRMNLARGCTEAGGAHTVRATCCRHRYPPKYTFFSVDKTEEDHGMFFSACGVSS >Dexi1B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:1B:934072:937141:1 gene:Dexi1B01G0001170 transcript:Dexi1B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELRHRRAPEPEDVGAVPSSGSKEEGAEEWKGKKRDALGWLEWGRGWMAVVGEFLFQRIAASHLANPLELPPLEGVSIIVTGATSGIGLEMARQLAQAGAHVVMAVRRPKVAQELIQKWQNENSETGTPINAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLIPSLLRGSPSRIVNVNSIVSSFLSLILLQWMHTVGFVDAEDMNLTKRKYKSWLGYSNSKLAQVKFSSMLHKRIPAEAAVNVICASPGIVDTNVVGLTSISPFSLIICPARDLPKVVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPEYCEMLKSEDWPVCACISYDCNPMNASEEAHNLETSQLVWEKTLEMIGLPPDALEKLIAGESVQCRYGQQEAE >Dexi6A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:6A:12418860:12421052:-1 gene:Dexi6A01G0010130 transcript:Dexi6A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTFTKVREVLTCTLELEIDGFSVTKENINDGTAADSFESRCNLDGNDLEIWFHPALYVHGDGYYCLALELVFLNESRTDVMAVLGAKVTMQSTYEFNDFVPLEENKTLPRAFHRRFDRSLPIYIGVGRARSGVSYSERCSLTVECTITMFSEPVQEEGAIPVPSSNLTQHLGELLRSQAAADVTFSVKEIEDMDIAVFNAIVRFIYTDEVPELDGKPEAAAAMAFAENLLDAAGRYGLNRLKVACERRLALGMDVSTIASTLAVAEQQNSSLLKAKCVEFIAGGSTENLDAVLATEGYKHLVASRPMVLTELLKAAHGKNSICSSCKLRHAVSFEDQQFISSQTASIGTMKHTYTQLTEGVQSVHLLKIDGFSITKATIGNSTNCIRSSSPIFLGRGTARDSQQPASLTVECTITIFRDLKRIRLPTSDLHQHLEELLQSKTGADFMFIVSGESFAAHKNILAASSPVFRAEFFGEMQERASQCVEIMDMDAQVFKAMLHFIYTDMVPLDFNNQQEMVDGTVMAQHLLIAADRYGLDRLKLLSEHRLSLSIGIETVASTLALAAQFNCSHLKTKCIEFITGGSSKNLDAILATEGYRHLEASSPSLLTELLKATHRNKRSRLTYS >Dexi2B01G0002300.1:cds pep primary_assembly:Fonio_CM05836:2B:1850989:1851740:1 gene:Dexi2B01G0002300 transcript:Dexi2B01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVKILCKGGNNLYVSIRGDEFVLAHDDPKDKTQQWFMDYSRASNVTDDNGQTVFALVNKVTRQAMVNKEKQRDDVFLFDMDLIIKPYIFREQVQLASYVDDERVPISVLWTVLEEEHGDGFHPIATLRDSSEVLGWLKGNVKEGPILGVQPFELGSDNQLWKFLPV >Dexi9B01G0041260.1:cds pep primary_assembly:Fonio_CM05836:9B:41697116:41698268:-1 gene:Dexi9B01G0041260 transcript:Dexi9B01G0041260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIPQPEAVMATPEQSSCLTLRVFYLKVSRCEVDESTLNSLTLTHSPLTPDTVLDVSHHKPIITTSNGKGSSSSVSCSLRRDRVDARSEEATFVSTATVRMSGSVRFEVHTKDERLLVGILETHDAAEGKAGNKSWVMKCQVATQRGSGLLRCGAETEAKPPAVEVYVAGVFRGTPIVFTKAMQLRFRRPRQVKAFMEPIPECGELEVDMKQTRRPNHDPEESEYRCYRPDPDDEDGLYMGSVGLDDEDEGYSELSWFTAGVRVGVGIGLGICLGVGLGAGLLVRSYQSTSKNLKRRLISNLL >Dexi3B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:3B:12291951:12294348:1 gene:Dexi3B01G0016830 transcript:Dexi3B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSPHLTSPPASPLPPLPGSAASAPLLGRNLRGTDSPHVAGRRPRDLIARSRGEERRGAATTAPPQPQEEESGPIYPPRAEPMDSEHWISRLAAAKRFYAAQLGHSDRAGMDELDMDEEVRPEFACPYCYEDHDAASLCAHLEEEHPFEPHPAVSVDTPACTPADLIGGSELCSLFPRWVEKMKFVLRFEWFTWRLSSYRFFHRFLFQACPVCSEMVTRDMVNHITMQHGYLFKFCHLQNRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNNTTSISADPLLSSFGLSFPTSEAEQTSKSTVSCPDNATVVKETPAQARKLSIDSSLTREEREQKRKQASVRATFVQDLMLSTLFGE >Dexi3B01G0029200.1:cds pep primary_assembly:Fonio_CM05836:3B:28306121:28308903:-1 gene:Dexi3B01G0029200 transcript:Dexi3B01G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEPEPEPEQVKHPSPPAPEEAAAPETSWEEEARPSSPPAPEEQSAAGAGREALRVEEEEDAFEDALTDEQLREGKHDETIKECTKALELNPSYLKALIRRAEAHEKLEHYEEAIADLKKVIELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSIQFQK >Dexi6B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:6B:18436364:18439565:-1 gene:Dexi6B01G0011260 transcript:Dexi6B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRDKKRNQKRVLARRNAAPRSGEGKDLLPLEDGQGKRNRKQLQQPEEPDNTATVVYIGHIPHGFYEDQMHAFFKQFGDIKRLRISRNRKTGKSKHYGFIEFESPLVAKIVADEINNYLLFEHTLRITLVPPEKVHPKLWKGVRRGFIPIDRVAIERKRHNKEKTTEEHKKMVEGIIQRDEKRRKRIKAAGIDYECPALIGSVQPSAKRIKFDEEQ >Dexi7B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:7B:25977923:25984340:-1 gene:Dexi7B01G0020700 transcript:Dexi7B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAGNTNKKGLMDMACFPLLILLLPSSPCQSNDQLKQGNPLYPGDLLISEGGDFALGFFSPDASNESLYIGIWYHDIPDTVIWVANRDSPVTSPSSAKLSVTKNLELVLSDSQGSTLWATKNDAAAGGDGVFVVLLSTGNLCPPVARWHRGNTNKKGLPDMACFPLLVLLLLLSSPCQSNDQLKQGNTLSPGDLLISEGGDFALGFFSPDASNKSLYIGIWYHNIPEPQRKVIWVANRDSPITSPSSAKLSVTKNPELVLSDSQGSPLWATKNNAASGAAGAFAVLRSTGNFVLQLPNGTEVWQSFDHPTDTILPGMKVLLSSKAQGATRFFAWKDRNDPSIGNLYGGVDPNSNLQFFIWNGTEPYCRTTVFNDITQSSSTYHSNGTSVLYQELVYIGNELYYMYYVSTGSPYTRVTLDYTGKMKLVSWNSNMSSWAVITENPGSHCDTYAACGPFGYCDHTSGGVLTCQCLDGFERVDDHHNITRGCQRKEVLECGKGNHFVTLPGMKVPDKFLRISNTSFDQCAYMCNQNCLCVAYAYANISDATGSMIDTSRCLVWTGDLVDMGKSDVEENLYLRLADSPGKQKKKKAQERKMQEYLSSMDGVVDKNTEFPFISFEDITLATDNFSDSNILGKGGFGKVYKVSCSFVQIMQTSCTDDSFYSGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGLKISSPHRITDFPNLIVYAWNSWKDRKTEDLVDLSVKEKCPLDEVSRCIHIGLLCVQDSPDCRPLMAEVVSMLENKTIQLPTPTCPVYFARRDAEPGRDGNNRVLSLNVMSFTELEGR >Dexi9A01G0044800.1:cds pep primary_assembly:Fonio_CM05836:9A:48402061:48403455:-1 gene:Dexi9A01G0044800 transcript:Dexi9A01G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPAAAASTGGGDRAAAAPQYAPYPRLSPEDVAPPPPPPYHTATAAPPPPYGGNPYVSSPAGSAATAPRNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSITDAAMGRISQVTKVIAEGGYEKIFHQTFEVAPGEKLKKPYACYLSTSAGPVMGVLYLSNVKVAFCSDNPLAYQVGDKTEWSYYKVIMLIAFFYCDPGRV >Dexi9B01G0044810.1:cds pep primary_assembly:Fonio_CM05836:9B:44442368:44446154:1 gene:Dexi9B01G0044810 transcript:Dexi9B01G0044810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNHGWLGFSLSPSAGRGGGYHGDGGGGTSGSGDGEGSCSSPAAASPLVAMPLHSDGPLQFSSTPDWAEAKAPKLEDFMSVTACSNKSSSLYDSCSHEDHQAKYHDHLQHFSYFHGHSSSSSSSNNNGIGLGINMNAPPSTGFPDHHHHHHQFMSHHGDYFLGIPANPTPPPGAMPSSMPTYNSVAAGGVVGGSMSISGIKSWLREAMYVPPERSTAAAAALSLSVTDVPAAAEPPPQLPVAPMPVARKPAQTFGQRTSQFRGGGMRLTCGITLAGRKARLGKGGKEKAARAYDLAALKYWGPSTHINFPLSHYEKELEEMKHMSRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGNDAACRRSPTRPTPDAVAERPSSEAPGGGSDDHSDTSGDGHRGAQLLHGLQYAQQQQPIIKYEAGEGSRSWMTAAASAARPTVASGVPSAHQVPVFALWNQ >Dexi5A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:5A:9233595:9238778:1 gene:Dexi5A01G0012280 transcript:Dexi5A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALGISYQNQPSTGFAPIVDPPESSVAMLVSMGFDGNDARQALMRARNDINVATNILLEAQSH >Dexi2B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:2B:1103887:1105733:1 gene:Dexi2B01G0001660 transcript:Dexi2B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTMEAIIAAIVAEMTHRSISYAIHKYLEMKGPTVEEKGLQDLRRLLLRTDVIVKEAEGRLITNRAMVHQLNIMRKEMYRGHFTMDSLRIHDVPLA >Dexi2B01G0025290.1:cds pep primary_assembly:Fonio_CM05836:2B:34577322:34578596:-1 gene:Dexi2B01G0025290 transcript:Dexi2B01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAAMRAVLEAPGVKGHDVLRLPCDAANEKEAVTGLVRRIVAANDPPVTSAFSVLDLGKVAELFAAWRRGLKGVPPYYAVKCNPNPALLGALAALGSGFDCFSPAEMDAVLALGVAPDRIIYANPCKPEPHIAYAASVGVNVTTFDSVEEVGKIKRFHPSCKLLLRLKVSDAGEALDHLGTKYGALEEEVVPLLHAARSAGLEVTGVAFHVGSAVSRVGAYDAAVKAARAVFDAAAALGMPPMRVLDIGGGFTASSAAARFEDANAALAQYFGDMTDVEVIGEPGRYFAQTPFTLAARVFGKRTRGQVREYVPRPVPVVASACHSSAGDCDGDEGGETHHPSTVFGPTLNPLDVVVQGYPLPELRIGDWMVFHDIGAYTTVVSTNFNGFSASEMKTYLASSV >Dexi2A01G0024800.1:cds pep primary_assembly:Fonio_CM05836:2A:36528601:36549112:1 gene:Dexi2A01G0024800 transcript:Dexi2A01G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVHPSESPVPPCPSPSSATHDLSSTPPLRFACPLLRSRFLTASELSSLLPPSCYPLAAARASGRCSDHLSPAEPRAPLLAPLHNVPILIATSGRAGPLRDRRRGLVHWRSGCTGVVALACAPAKTPTSEVYTLLFGLDSRSADSYRYCSPLALRHFLGNLIPNLCFEFCFGGLVEISWWDMTWLVPFVLSNIVNLLCRDYGLYRRLGTGAAIVQVYHEKSIILPDVSRVLACLYEKDIKFETHTASYKSLLRLQASSHAPVPFYDGPKFLEESRGICRYIAEKYEHQGYPFLLGKDALERASVEQWLHNEEHAFNPPSRALYCHLAFPLDEEDGDDIDLHTRKLEEVLEVYEQRLSDSRFLAGNKFTLADLVHLPNSHYITASEKFVYLYDSRKNVSRWWEEISTRKSWQQVLMDMKRVEEQNKQEELKKQQQQKEHPRTSRHPIRIDSRKHISTEPRTILVPPADTMSSSSIVHSLPTDSFTNEAVVSSSESIPTADKTSDALSKETTIFNAPDKTPLTSVQSIPTTSTKHPIPVQSIKDKHPIPVQSIKDDASPTTAKKPPVADAPKSSSIDTSISESTMKDLHTSHKPKSSKDVSKNFDVFDYYPSHSDEDKPYIKPTPQRTLETPDASSGYNIASGYTKPTSFSAKEVPNQPSASDFYESDSIATGIDSQCKESVPYSGRTPPKLEPTDTSSSKLHTTDVHDRLQAEKWHIATTGLDDIKQDADHLISTQQGKPSKDLKQYTSQDSEQSTSYPVPGEPMSMELVQRQENTTGRPFTDQRSQEIVEDKPSSDQRSALRLPSVQDHDAAPSRQAAAKYARGRIPSQAEYPGGQDINKQPRDPASVPRQRLAQDATGAFGESKDADSTISSKSYPDASRSSLPRQDLDGARYTTAPFQKRYPGTQDTSKQSKDQISTPWQMADKDTEDTAEETKSSDSSSSQVQPLYFHRAAPSWKQESTKDHYSATPAIQEKHDNVKDTTGTSRDVPLQPKQTIGQDDEDTFKEKKSGGPSLLRDQQSDTWQAASTLQDPEVHQDDRSVAPPSQTKYQSSTHPSKARYQIVKDASKQPRGTASTPKKKASQDDNGTSKELYTADEVVSTEQPLNVRRAPISPRRQEVENSSMTNTSFQRRYSDDEEDMQVADTASASRQIAPDGGKDIFEETKLADSTASEKPLYTKRTALPLPRQSEVEDSGKGRHSRVTIPEQQKIVARDAQDTRGERQTTTPEEQSSELLQAIPQSSRAAAKDVQSATSETTQFPDILDTTTKSRGAFEETKDPDSTLPRAQSLGVQDAQHTIEESRTPTDDRRKDVSRRLQPDAQDSFQQSKLSAIDQKGLGSLSSQERDAKDAQPATSKEKSISTERHKEMIQREPQGSGSLQDKASNGRLSTKPSTIEQWQRASVPLNDATSSSGDDEIGMVTIDQKLTPMSQQAIPSAEGGNQMAKKSGEQRAEPHVPSEEETSAIRSASPSFPGAFTAHHATTDDKFAKQSIDERVEELTKMQTSSPDSSPAHTRIETSGGHKIGDSELVSIPDGQTPEAAKTRHDQGTLQDDVHDSNLPIHDVAKDTFKETEVAHFTPSSAKPMYTQRPAPTPRHVEDTRDKGAKSRETVPDQQKMVERRVGTADEQLSDRLKTIPPSRQAPAESALGATGDTTQSPDIHDPSKKSRGTYEEAKSPGSALSKAQSLGAQYDQRTTGESQTPTAGQRKDISTKPQPDVQDGLKQSRLSVGDWNGLGSLSSEGNDAIETEATITGEKPFSIEQLRETSKKSESTTPKKHPTDSQVSVEKTPPIYQQKPLVAQEIQHPSDAPSTFDDKLNASGPASAKEPYRDYTPDKQKLAPPLLSRELTSQVQPPSEPSHDASLHRDLSSKPSTIDEWRLTSAPLHDVTTSSGDDEVAMSTVDQKATPTRQQESGEQRVEPPFPIEAETSGVQRGSPSFPGASVDDRATTDDRFAKQSIIDERGGKPMQKQTSSPDAHPVSEPAKRATPKGHEIGDLELVSMPDGQISEATKARDDSATVHGDVYDVNLSTHDVAKDTFKETKIADSTPSSAIKPSYTRQPAITPSRQTQIEEDKYKIMPSRETIPKQQKMVERETGISGEQSSDRQKAIHPSRQVAAAQDAPTGEDYQLTKGESTMPTAERRKDWKDAKDDETIETEEKSFSTERLRKMFQESESTIPKTQPTDSQDYSTTDRTFPVYQKGPLAAQESQEEAQIIQSGEEVDTSTQEHQGSSGVPYTYNEKLSASAPARADIRDDHSAAEPYKKDIADDEKTAPSPPRKEAASQVQPLSKSFQREVPDGDSSSTSLAIDQWQHASAPLHGLSIDSGDAEVAMSSNNVPKSRPTSQEETLRSPAPIGAGTSDVGHTAPSLPEAAIADDGTIDDKSAKQGQSPASIQAQQAVETPDVSNSSQYVHTGDLGITELTKPTVTDQEATAPIAGRTSMDPQRAGTLPAEVAHSEQKYTPSDKGSGRAAQPLSSVEPINEDSNVSATDYSNSPQMIFRQQARQSAPSTIGIPASDTQGVIGKIQEVTPDNRTGDSGKPLVPSQEQVSHASKAIHGQEEMTSPPGVTVFPTSGEVGPAENKVAPSDQGSPHSAEQPTSGKPRKQQTVVPATDQIKKQPTIIGQQDAPHTREVLTSEDVLGTTPTHGDVHPTSRIEPDRRSLSVQGEEPASATQEQPRRVAKDSLGTEDIYTDALGNVQSKPSTPDALGSRPGDVVVAEQISSTSGRDSVHPAEPALSLGPRNMEIRDSTPSAQLISSTNPSKGDAIVVVPDQAKDFQTTPSQQDLLFTQRPSGKVQENVPGEDSGKDKPLRPPSAVREGQDSSYTSQPPSSSEARSKEIGNLAPSTQLNSTMEATNGDAIVAALDQAKDSQIIPGEQVKLSAPSYYTQHPSGTLQEDEPADNSGEANSSKPRPVARESKPSAAIPVSSPDTQHGATPDQVAVDEQKFALSGQDRARSAQPAFSTEPTKEETFIAATNQTSTLGKVVNQNDMTPAPDKEKTLFSGTPYASRETKKSTYDDHIDEKHPGQGQVSPYTHVSEPPHGPTPEVHSDVVIKETTFGSSQAETSKTRPDSTPVGGDARLSSGDARAASSLPETQDLQDKSPGHLSSDQALAPIMSASVPSVAPLDSVQSPRKASMEYTVDLRSVPDAQHGIPQGKATPAEKKVPVSDQEPPQNLEPPLSVEPRKQEANAAAEDNAVVTAPGQPTEIITHEQAIASARDTAKTPFPRTDQEVMAPIAEPMDPQLAGTLPAEVAYSKQNSAPSDLVKGSASVAEPLSPVEPKKADSNVSAADYTNAPQTIFRQQAKPSAPSKIVIPASDTQGAIEKIQEGTPDNRRTDDSGEPSVPNQEYASLAIPGQEEMTSPPDTKVSPISATNLAKEVQEVSPDNQQAIESITPLYSNGKQGSRVGSAYGPGEVGPFEKKFAPSGTNSVQPSKDASLDFSSDEKPTTAQGFQSKTPNDGLSTSQVVGQSESADSKWMDSHKNFKGASSDENSKKQQQIEQFDTRFKDDDKETGRTVRSNITTTFEEPPEQPSWPMGSMESSDEPENQQQTDQAVQSLEGNGNQAKQTKAHGTEMGEPEDMEASNNTNQKINRISQVQTLDHSGKEASGVQLLGKNTKDAPNSTEDAPGDVQAISKSKERSRLSEETKVQLNSEDKIGETESPSAETGQPREGGLPENSYQNNSSQSQAEASDKSVQQTYPGIQNKDRDSSRLEDSTDPTKPGDMED >Dexi5A01G0030100.1:cds pep primary_assembly:Fonio_CM05836:5A:33088518:33090019:-1 gene:Dexi5A01G0030100 transcript:Dexi5A01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLISTVPAFTLLLVAPATKPLCKLARELGLLLLLLATELLRHATAAGRKLRGLDRERGARTTTMPAAPRPKPVAAALTAAPGGSESEETVAIPAAAGLPVLDLPELALDRVLEELSPASLAAMACVCAELRDRCSGDALWGRHLRAKWGRVLGAAARKEWEAELGAMATRASAPRPARRRSWVVDSLACAWPFSWIACRLLKVDDAAVAPAPGAVAAEPAPAPVPAATPTDTMAMWYRALECGEFWFPAQVYNREVDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEDGVQWSRIRAPPVSTPAHDLHASDCLEALRPGDHFEIQWRKNKDFPYGTDAILLLVQ >Dexi5A01G0031920.1:cds pep primary_assembly:Fonio_CM05836:5A:34478669:34479561:1 gene:Dexi5A01G0031920 transcript:Dexi5A01G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSSSPGSYIRLHLIEKCICYNMNREECMETLEKHAKIMPVITSTVWKELEKENREFFETYKKGRGEEGSAQKSPLDAQGSASKSSDDDKQGRSQNPITTAH >Dexi1A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:1A:646870:648750:1 gene:Dexi1A01G0001020 transcript:Dexi1A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAPGSSLHGVTGREPAFAFNMEAAAAAEPDAAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLTMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHVLMGVLVLTLGQDLPDGNLRTLQKKGDVNKDKFSKVMWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGIISDIGARYWGMRARLWNIWILQTAGGAFCLWLGRADTLPVSVVAMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSHYSTGTGLEYMGIMIMACTLPVVFVHFPQWGSMFFPPNKGAEEEHYYGSEWSEEEKSKGLHGASLRFAENSRSERGKRNVIQASLF >Dexi8B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:8B:8776437:8781009:1 gene:Dexi8B01G0007350 transcript:Dexi8B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVSFETPPLPMEERANSAITTTEATRANEASSNNTCFHSHLDLSLGISLSHGCSSCDATGCNGIKTSTSDRQGSRGDKKFSSMTCDTTTTTTTTNNVLTSGHCHVSDLTAAGSWAAAFMPSPTGFMHPWSLAARQQKAAAEQDRAPPAAYVPSSDARVISLPSAVGWPPVHTSRRNIITAMHVTKTGNATVVADGPKGSNKTTHAGGEKNTAVATDSTVVVTRPPANMFAKVHMERYTIGRKINLRAHGSYDSLSRVLTKMTRNFFCRKCQGQTIFFIIQKSPSADSLHDGVSDRRQRSASRLRKRPFQTAMEEEVAMLPREWSG >DexiUA01G0023180.1:cds pep primary_assembly:Fonio_CM05836:UA:47260192:47263346:-1 gene:DexiUA01G0023180 transcript:DexiUA01G0023180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSAYLVLTCAHAPLAHLPRPTRRPDIARITLAGITRGARASAPLFLSPGTCRLGGVRQHRGSAQICRDASLQGPPGGDSAAQEQEDKKSDAVAAAAARIASGGGGGGKLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPYRDRYFLQKLLNLKGDDGFRMNEVLVALWYIMGLWPLLYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDEIEQWPLKFFESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRESKFVSSAA >Dexi7B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:7B:15701943:15704259:-1 gene:Dexi7B01G0007880 transcript:Dexi7B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRKSLQPTASAEEITGSTPARLHFYDPFVLSGVSVESAEHGRLLCSFVVAPRHASPAGYLRSGVTATLADQIGSAVFFCSGLPTSGVSVEISVSFVDAAAVGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLMAQARHTKYLAASSRL >DexiUA01G0012190.1:cds pep primary_assembly:Fonio_CM05836:UA:24448247:24448954:1 gene:DexiUA01G0012190 transcript:DexiUA01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPEGHGLYLGHPDLHGFARFFNLSTGAFVRSHLPLLDYHVVLDSIDGLLLLCRDGDAAVCLLHPFTGDVVDLTPLVSLLPKIELPYWYIEEDWHNEQSKRSGLMSARASVAVVGSSGKRITAMLAFDLLHRVAYAATTPKAH >Dexi7A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:7A:591481:593260:-1 gene:Dexi7A01G0000350 transcript:Dexi7A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLTLEFHMELLTLYAVSLATVALLVWLHHPGVTRGTPGSKPKKKRLPPGPWTLPIIGSSHHIMRGLGHRTMSELSRRHGPLMLLKLGEVRTLVVSSAEAAELVMRTHDLTFCSRPTTSVTIDIVGCKGKGIGFAPYGDHWRQMKKIVVMELLCAAQVKRIESIRAEEVGRLLRSVAASDSGGGVVNISDEVKALAPDLVARAMFGGKCAEKSDFVVQYDEISKLVSGFFPVDLFPSSRLVRWLSISERRLATSYGRIQGIIETIIESRKAAKYEGCSPDQEDLLGVLLRLQEEGSLTFPLTSEIIGAVMFDLFGGATTTIGSTLEWAMSELMKKPAAMLKAQQEVREVLGGSRGVIANTDLVGLSYMRMVIKEVLRLHPPNPLLVPRESREDCEIMGYHVPKGTKVLVNAFAISRDARYWENPEDFNPKRFENSNVDYKGTNFEFTPFGAGRRQCPAMMFATSTLEIALANLLYHFDWMLPDGVSPDLVDMSEKYGMGVSKKLDLHLRAIPYVHSSAE >Dexi9A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:9A:1011368:1012452:1 gene:Dexi9A01G0001950 transcript:Dexi9A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPIVLIFLLLVLIITSQFEWKQQVGEAEANPITTRRRQQALEREDAVKEKIILAQEKNIQQLNELIQSLQLQLLHCRGSNSTAHTTSAQSTGDNEVEAKEMIGD >Dexi7A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:7A:21068781:21069365:-1 gene:Dexi7A01G0010280 transcript:Dexi7A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTATDGAAAAAAANPALEPDTDAPAGEGLEVAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHLDEPTYELVCAHGTGHAEVVRVHYDPEAVTYAALLEVFWNKIDPTALNRQGKGVGTQYRTGIYYYTAEQERQARDSLVEEQKKWEDPIVTEILPARRFYPAEEYHQRYLEKGGQSAEKGCTDPMRCYG >Dexi2B01G0024780.1:cds pep primary_assembly:Fonio_CM05836:2B:34110498:34110685:-1 gene:Dexi2B01G0024780 transcript:Dexi2B01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVVFPPPFAGRPTPGAAGSEWCVAKPSVPGPIGQQAMDYARGSGARGGATCGCGCTH >Dexi6A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:6A:4835892:4837341:1 gene:Dexi6A01G0005310 transcript:Dexi6A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKKPPSLLDLCVQKIIDNLRDLGGVDGIEMELLKRILPHCTLEQLTRVENRTRMDLSSITDPLWRRFYQREFGVDHTNQVIEKMKAIRGKTFTWRELFKAKTERQKEVEDKMLEKITKKFQAEKAGGGPSSLSTCSYKSPILKKARIEANSRARLQSAIQKNTFPRSSQQIRTTSLSGQPVRTTTIHRPNSTITKPIGSNRQSQNSRPKF >Dexi9B01G0041990.1:cds pep primary_assembly:Fonio_CM05836:9B:42338657:42343638:1 gene:Dexi9B01G0041990 transcript:Dexi9B01G0041990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFSVSAPSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLGKFFPTVLKKKNEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTSKITPWGWRLSLSLAGIPAVLLTLGALFVTDTPNSLIERGRLDEGKAVLKKIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDHSDDLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFVFFSAWVLVMSVFVLFFLPETKNIPIEEMTERVWKQHWFWKRYMEDDNHHIVNGKINNGASV >DexiUA01G0000440.1:cds pep primary_assembly:Fonio_CM05836:UA:2047782:2048117:1 gene:DexiUA01G0000440 transcript:DexiUA01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADSSPSSPSKLRQRLRTTVCCCFGAGTSGGGGAERVRWRRRGGAGAGEFRYDALSYALNFDEGEDDGGACADPAAAFRYRNFSSRLPPSPPPAAPRSHQRTTAIAIA >Dexi1B01G0029770.1:cds pep primary_assembly:Fonio_CM05836:1B:33968165:33970682:-1 gene:Dexi1B01G0029770 transcript:Dexi1B01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSLQLQVVALVVLLSRLAPASSQQQPPPLARPGCRDRCGSVTIPYPFGIGAGCYRDDSVGGFELVCDDAHSPPRLTIVRLSIMLADLSLAAGEARSYLNATRMCYNSSGGFVDRNVNPSYMSLGVSSYLFSPTKNSLVALGCPTLGYFVDGEAEAFISGCTSVCRPSQYTIPGQGSCTGVGCCKSAIPPGVNFYQPNTLRFKEGQGGQTLTINSTSCDYVFLVEAAWFNYSDQAFLNRTGDFSVPVVLDWAVRNAGSGGCRAAERNATDYACRSANYKCVNSTNGDGYRCVCSQGYEGNPYLDGGCRDIDECKLPKEYPCYGVCTNTPPGNYTCDCRPGTTGDAYTHNGCRPKDKDKFTLALKVVTGVSIGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMRSYSNAGAGTGGFRIFSNEELEKATNNFAADQVLGRGGHGIVYKGVLEDKTVVAIKKSKMMEEAQTKEFAREVFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDLQAEITFDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGTSKLAPTDEAEIATLVQGTCDYLDPEYLMTCQLTDKSDVYSFGVVLLELLTTKKALYFDGPEEDRSLVSCFTKAMKAGQHEELLDSQVRKEMRAEVLEEIAHLVMRCLSMSGEERPTMKEAAERLERLRRYQRHPWAQADDNLEERQALLPMEQRDLPSMFRQDVLDLEECSTYTYSM >Dexi3B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:3B:8352119:8352911:1 gene:Dexi3B01G0011970 transcript:Dexi3B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRGMGSLLKQEQEDALPSAALAAGGPDVPVVLADVALLLADVALLLANIATVPGNVVVRRRHADEDVAGEEPGSDGEGEEQRRSGRERGYSDSDDGSDGYGGEEDDEQQAREEEEQSGSEREEEDEPERPQRVLPEEEEEEDEELPLPHGEEEEEQRSEREREQQQGDEPPQADHAVAAAVEGNLAPPPPPPPPPRPSSSPAPSSRSESSVAVGEMTVDRTDALDCGICFLPLKPPIFQVMPSLKSEL >Dexi9A01G0034850.1:cds pep primary_assembly:Fonio_CM05836:9A:39569811:39571312:1 gene:Dexi9A01G0034850 transcript:Dexi9A01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQSAIMLYFGRILLGFSTGVLSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGVVPCILLLGGLFFIPESPRWLVGVGLMVFQQLGGINGVGFYASYIFSSAGFSGKLGTILIGIIQEWKKGSSDGINATKLS >Dexi1A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:1A:22956499:22956738:1 gene:Dexi1A01G0015950 transcript:Dexi1A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAMADGGVVWWWGLGGGGGHSAVRTEESGYGRGGGTGGGRVSWPWPRDRIWSKKRTTGSERDVGSGLGSSRKEN >Dexi9A01G0015190.1:cds pep primary_assembly:Fonio_CM05836:9A:10096972:10102608:1 gene:Dexi9A01G0015190 transcript:Dexi9A01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSPYRVVLSLALAATCLAQLAAGDTNGVYEPCSDAWIQRGDGFTFGVVFAGYNAFFSGNTQLSPCDRRLNLASSAQLAVFRPKVDEISLLTINTTTGFNPASAGGFMVAFAGRKYAARSAPIFVSNSSFTVSSFTLVLEFKKGRLQNLHWKKDGCGACTGKSNFVCLGKQTCAIRTQSCKTQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >Dexi3A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:3A:1834791:1837109:-1 gene:Dexi3A01G0002710 transcript:Dexi3A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLLRSMAFLACLSLAAAAAAGAVPQPEPEVKPSDTDALTIFRHGADAHGILASNWSTGDACAGRWTGVACSADGRRVTSLSLPSLDLRGPLDPLSHLAELRALDLRGNRLNGTLDALLRGVPNLVLLYLSHNDISGAIPDAIARLPRLVRVDLADNSLSGPIPAAAALGKLTGLLTLKLQDNLLTGLLPDVTAAMPRLVEFNASNNQLSGRVPDAMRARFGLTSFAGNAGLCGPAPPLLPCSFLPREPAPTPPSSVPSSLVPSNPAASSTVASSSPALATPESLGKAGLSPGAIAGIAVGNALFFFALASLLVACCCCGKGSGEPGGAKKRKRRGRVGLEDGDGMLFGHHLKGEQPARPGSAGRCSDGCDSDGARSKLVFFGADGEGGEDDDDSDGAPLTSHLQERRSRFQLEELLRASAEMVGRGSLGTVYRAVLGDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPHLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHKMTGESALDWTTRVRLLLGAARGLACIHREYRTSGVPHGNIKSTNVLLDAGGAARVADFGLALLLSPAHAIARLGGYMAPEQADNKRLSQEADVYSFGVLVLEALTGRSPAAQHPHPLPDAADAQRRDKKSSAAAAASLPEWVRSVVREEWTAEVFDVELLRYRDIEEEMVAMLHVALACVAPQPEQRPSMGDVVRMIASVPVDQSPMPEEDDRDVSVTSPSIGVTTDDGGGRLSC >Dexi7B01G0012760.1:cds pep primary_assembly:Fonio_CM05836:7B:19482258:19483070:1 gene:Dexi7B01G0012760 transcript:Dexi7B01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPPHSHVVECPPTRRDGGQVDAPTTSPQERKPACCRRKLLTLSCIFVAAATMITGIVLLVVFLAREFPAGDPAFSVTVSGATGLDLDPARVVSSAPAPAPAPAPETKLSPVIINLTFHIDNSRNSEYRACVPDLSAATVSYGDAPLANGSVPAFCAGKKNESEPMAVTARGDADVAVPRALLDQLTGELAAGEATVDVKVTMPGYCVKSQCRDAVLTCKAKIGGGPSPCRMDYVHKEGESTGEEEDAPVIFFMPNPVSGMPPIFIWG >Dexi4B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:4B:206701:213457:1 gene:Dexi4B01G0000320 transcript:Dexi4B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKRKAPDGPATADNASPLKAPRADATEPPSLTTIAAAEPVACVHDVSYPEGYDASTSASRVLAGGVEGSEPAKKFPFQLDPFQAEAILCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDSDKKKENGKWQKGIVAGKSSEGSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKTNIETIFWSAMDLLSDDDKKLPQACSCFAHFLILVSDIPYRLMHMFVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNTLLNQMRSEDGDPEKLLRYSFYQFQADRALPDLEKQIKELESDRNSMVIEEEESLKDYYELLQQYKSLKKDIRDIVLSPKHVLPFLQPGRLVRLQYSTDEPATFSIDENVTWGIIINFEKVKSHGEDRRPEDSDYTVDVLTRCSVSKDSSGKKVMKIVPLKGRGEPVVISLPLSQIDGLSSIRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMKVQSKSYRKATRRIEALESLFERHDIRSSPHIQQKLKVLHDKQELSAKIKSIKKTMRASTALAFKDELKARKRVLRRLGYVTSDDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECRIQIDVESFVNSFRPDIMEAVYSWARGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLYL >Dexi3A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:3A:9318909:9321530:1 gene:Dexi3A01G0012750 transcript:Dexi3A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSTSPNPHSFLLAAILLLVCSSLPPLAAAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHVPWLYVINRKTSEVPLIDFHLKYSGNDLLGVTAKVVDMPHHYVEIHPDIKKNFWDLQNWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRYNSSIFICLWDSRLVKSALKICNKLKINAIVIIHFYNLC >Dexi1B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:1B:18777213:18779544:-1 gene:Dexi1B01G0013250 transcript:Dexi1B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATEAAAAVSLETLRKRMADFARERDWEQFHSPRNLLLALVGELSEIFQWKGEVPKGLPGWDEAEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVDQCKGSSKKHTHYDSTNSASTNDNVNTSNKEHNNGA >DexiUA01G0012440.1:cds pep primary_assembly:Fonio_CM05836:UA:25149444:25150430:1 gene:DexiUA01G0012440 transcript:DexiUA01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRLLGSPASIAVLILSFFQGSVCGITFTFTNRCPDTVWPGLLSGSGTPALETTGFALSPGQSRSLYAPQGWSGRFWGRSGCNFDSSGKGSCATGDCGSGEVECRGAGATPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAAPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGTPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSRLLA >Dexi8A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:8A:427456:427974:1 gene:Dexi8A01G0000640 transcript:Dexi8A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANKTSILVDASKYIKDLKEKVEEAASASAAGDSTSVRAMAATVSVSSVELDSNSSSSCRRRGFRINVSMERSRPGLLVLVLEVLEELGLDILDADISCADHTAFRLQALGSGQGESMDEQMVRQAVLQAISKCMDND >Dexi3B01G0010270.1:cds pep primary_assembly:Fonio_CM05836:3B:7162637:7163068:1 gene:Dexi3B01G0010270 transcript:Dexi3B01G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSPDSNTDSGGGGFPADERKRKRMLSNRESARRSRARKQQRLEELVAEVARLQAENAQVQTRIATFDREFSKVDGENAVLRARHSELAGRLESLGGVLEVLQMAGAPVDIPEIPDPLLRPWQPPFPMQPIAADAFQF >Dexi3A01G0010230.1:cds pep primary_assembly:Fonio_CM05836:3A:7305115:7306388:-1 gene:Dexi3A01G0010230 transcript:Dexi3A01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGMGALDELEVLAMSPGGSAASATAAAGSEDEADLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITASEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLRCDVNSRHFRHVVRHLWIPRLLERIQADAAAGASGGQPAAAPPVVALATTTASGGRLESAADNGAVVTTSKEAHAGGCYDHGDYYYYSYSEPAAADAMSPAEDTSSALRSTVTDGPAQQQHHYCASAATPTNEGCGVVGGAAAGATMEEEDVFAGTWSELLATAGCEDESKIGMTDLELGDFEDN >Dexi6B01G0014920.1:cds pep primary_assembly:Fonio_CM05836:6B:22322124:22322534:-1 gene:Dexi6B01G0014920 transcript:Dexi6B01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEESSSAATLLLALAVFVVGLAVAVARSWWRRAAARREEVRRLARLAAEESELAERESVLAYYSEMFPAVVPEAPVWGPSPVVAPAQEDAAEAQPQPQPPAGAKGVCAVCFRPTTFRCKQCKAVKYW >Dexi8B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:8B:26316669:26320215:1 gene:Dexi8B01G0015550 transcript:Dexi8B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPARKPNPKSMALWSGVGQVASIAQLAGVDAYGLISMIVEAARTVKRNRETCQLLARRARMIGDLLQQLERTQLMQHMETRNPVEQLEETLRHAYILITSCRDSGYLHSFCMGRNQSDQLRQVQNEITFYLQLFPLVSFVDNTRNWERLLSRACPLCSRETTDDLHAVHHAEHENRLRAEALIANKFGNLGTHSPSKPAEEKTEDPEYVATGIISEKTDVYGFGIVLLEIINGNLIRSYTAKTKDHSGLSLPDYARKYQMKLHKLVDPLLRVNEHESAQIMECVKVALLCTHHHAKHRPTMWQVVAMLGSINVS >DexiUA01G0026510.1:cds pep primary_assembly:Fonio_CM05836:UA:56554593:56558903:-1 gene:DexiUA01G0026510 transcript:DexiUA01G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGTPPEGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIEHLRAQCAALTDNDISHFQKVAGKKMLELEASRDLSKIWLHTDMDAFYAAVETLEDPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPNFIATSLDEAYLNITNVCIERGITGEEVATELRTAIHQETGLTCSAGVAPNRMIAKIGGIDFFLSVGLGLGGTETPEQRQRKSISCERTFTATNDSSLLFEKLANLAENLADDLQKEGLRGKTLTLKLKTADFEVRTRAVTTRGFINSKEYILIYATKLLKAEMPLSLRLMGKFLLI >Dexi3B01G0031840.1:cds pep primary_assembly:Fonio_CM05836:3B:33865449:33868811:-1 gene:Dexi3B01G0031840 transcript:Dexi3B01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLATSGRITRSKAAANGTVSGVAPSLPPLKTEQKNGTKGKMKRKASDENASSDAGVSAPHPKRRAVLENVTNIRCVDASKKCTAVTKLQSGPSHKVGQSINKQCASKVPKLLPLAVGGSSFVNDSNSAEETHKVELLAQKKKQIVLVENKEALPLQNTERIRDSACHEAFFEERNARNKLETASLKAGGSGGLNIVDIDKDNGDPRMCVTYVAEIYRNLMALELMKFYALFLQVSEEYKLVADTLYLTVYLIDNFLSQNCIQMQKLQLLGITSMLIASKYEEFCAPSVKEFCAITDSTYQMAEVLELERKVVNDLGFYLSVPTTKTFLRRFLRAAQASCINPTLEHYTSYKSSNIRMCVCALQELQHNTSDCPLKSVREKYGQQKFESVANLRSPELPQSLFL >Dexi2B01G0029000.1:cds pep primary_assembly:Fonio_CM05836:2B:37509813:37511207:-1 gene:Dexi2B01G0029000 transcript:Dexi2B01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKSGMKKGPWTPEEDEKLVAYIKKHGQGNWRTLPKNAAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSALLKPAATAAAAYYPTQADLDTLRAFEPLANYPDLLRLAASTLLSSSTSPIAGDQQLLPWLLQAQMAQQAAAAMAQQVAPPPQQQAADHHGQFLQQQQAGAACQMPDLVHTNPTVQQQQLAAAQQQQQDMAAACHSMQPPIGGYIDDGLDVPALMQMVQPGADASNLQQQWSSTVTSSSNNNNNVGSGVSTPSSSPVAGLNNHSTSTATTYGGGAIASNDAAALFSMQLSELLDVSDYM >DexiUA01G0015730.1:cds pep primary_assembly:Fonio_CM05836:UA:33385444:33386675:1 gene:DexiUA01G0015730 transcript:DexiUA01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLDCHGFGGAWPACVNLISHARHAHGAAVRRLILSTSRHPAVQSCIDHFLLRCPAMDTLEELEFFYARSSPPPPPFPAPAASRFASTLCSASFGFCHFSKETARSLAFPVLKNLVLKEVSISEASLQSLLAGCHALQSLVLADTFGFRTFRLVSQSLRSIGVSVGAVREIVLEELIVEDAPCLEWLLFPILLCSRLHVRVIAAPKLEALGWLPDARPRLNLGIDVHRELKTNTLSLTMVMRSVKILALRTLYLSLDVVINLMACFPCLTSLYISVKRKSSFYFN >Dexi9B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:9B:4727862:4729324:-1 gene:Dexi9B01G0007710 transcript:Dexi9B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTIIAAAAPQPHVMVLPFPAQGHVIPLMELSHRLVDYGFKIDFVNTEFNHDCILKSMQNRVIPEGIDMLSVPDGMDPADDHTDIGKLVGGLPAAMFSPIEEIIKIKKIKWVIADVSMSWALKLTNTVGVRIALFSTYSASVFALRMKLPKLIEDGVVDEIGNVKIHKMIQLAPPIDSTEIPWQKVLSHPSVACFMTHCGWNSTMEGVLHGVPFLCCPYFADQFCNQSYVCNVWRTGLKLCANEQGVVTKEEIKDKVVQLLKDEDIKARAIMWKNKACASVREGGSSHENLLKLVKLLQEG >Dexi4B01G0011560.1:cds pep primary_assembly:Fonio_CM05836:4B:8990595:8991280:-1 gene:Dexi4B01G0011560 transcript:Dexi4B01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYGLGHPCLNPEGTFRVFCKADEGLCLAVRGGALVLATADPSDDYQHWFKDVRFSLRIKDEEGRPVFSLINKATGLAVQRSLCPYRPMRLVKFDPEDFDESVLWTESGHLGREFGRIRMINNVDMGLDALLGDEEGGGLRDGTALTLTKRAGGDTQSWKILYWSDEANE >Dexi9A01G0032330.1:cds pep primary_assembly:Fonio_CM05836:9A:37225049:37226042:-1 gene:Dexi9A01G0032330 transcript:Dexi9A01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPRAALRCASSSIRRILGASAHTYSCARRPSPDSPASRSATLHRRTKCSTRSLPPSPWPSDMRSPGMVTLPRMTWASARTIPSGSTSKSLALAMVYPASCRLSSAAITPQILASVPSPCLAASLAVAVEEVAVVVLLGGPAAEPAGPGEGAAAGGVADALGADSTGVSSGSMFSSTHPLSDRIASTCSRGTVVSVSVSSTTEATTVVAADAIHLAATPGLL >Dexi6A01G0019510.1:cds pep primary_assembly:Fonio_CM05836:6A:27111608:27111955:1 gene:Dexi6A01G0019510 transcript:Dexi6A01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELPLTGATAVDSPSSRLDLDASLIPTWENQVLFDSPLARAGNQMWENYAREKLARGGGGRSRERRPQRIEECEGKKRCRQPNAETPGFLRLGDAASLFFDSYATTFAYVAV >Dexi8B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:8B:20882064:20882415:1 gene:Dexi8B01G0011700 transcript:Dexi8B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMASWSEPSKLMNPIFANTIAPEFRADPVDFCMLDVDEFKDLARSLRVEALPTFLLVKDFYVKKRVVGVDKEELRNTIR >Dexi9A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:9A:8910326:8910960:1 gene:Dexi9A01G0013710 transcript:Dexi9A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGKKRGVPALGWWLMVVGTVRLAFTCSCFFGSAALCSTTYSKAHMSDIHGRTVGVWTLLSCTLCFLCAFNLGSRPIYTATFLSLVYAYGHFIFEYLVFHTVRAASLAGLGFFAVPSIAWMLLEWNSHGPVLRTSAKQP >Dexi5B01G0023650.1:cds pep primary_assembly:Fonio_CM05836:5B:25755046:25763070:1 gene:Dexi5B01G0023650 transcript:Dexi5B01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHGLAAAPYVVFFSGRGFAGRCGGRSRLGYRRPPAPFSVELEDTRSSPGFFCGELWCGLMEPKGELALKRILPSHFALHPGRSGGSDGGFVAFASIEEAMDSLKIDASTKASNVNLPAKKDASSSDAVSCISSGDAASTVKESEMNQEASIGEQGMYYYGYYYPGSYGGWDENGYFVGYNGLEMHPTVVQADNGSYLCYLPGYENGYASYSPVVPGGIASADGQYVSKEPYYTTAFPMQDPSTPGIFSQPIAYGPELVPAYSWDPSVVLLDGASGASITKGYLPSSKFVTHGNNQGKGTVYQNKGINVKESGRNWNNSEKLKTRSKLTGHGDSDVSNENNHTDNSKHSLSPRGDVGISSAGDANDIIPSPVTISKNAYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYRLSQERMAEKGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFSVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGTEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPITTSLDHPLPKAEKPAEIKKQIQLISSTELDRTKSNEGQGTNVGMVLDTTKKNEQSNNVAEVLDAAQSNKE >Dexi9B01G0040410.1:cds pep primary_assembly:Fonio_CM05836:9B:40957715:40958235:1 gene:Dexi9B01G0040410 transcript:Dexi9B01G0040410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGGPLLGDPAWPLLHPRPCTNTPWPELQYELSPDDARVLCATRLHFGPGVSTPPCKPGARLVDAASLHGLPHLKTLSLFSCFAASAGAAASASLEHVGRRRRVAGSPARRRKGKKKGNERSRII >Dexi3B01G0001120.1:cds pep primary_assembly:Fonio_CM05836:3B:844829:845849:-1 gene:Dexi3B01G0001120 transcript:Dexi3B01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRNWMCPPRHVEPSLPATPRVSLLRCILLASCIPIMPPDADEWAVVERQGPHLRASGRPFIVHGFNTYWLMYFAADSATRPTVTAALAEAADAGLNVCRTWAFNDGGHRALQLKPFSYDEEVFQALDFVITEARKHRVRLILSLCNNWKDYGGKAQYVRWGKEAGLDLTSEDDFFTDPIIKSYYKAFVKAVMTRINTITNEAYKDDPTIFAWELINEPRCC >DexiUA01G0022930.1:cds pep primary_assembly:Fonio_CM05836:UA:46738821:46740584:-1 gene:DexiUA01G0022930 transcript:DexiUA01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLVPLVLLAGLAFRVDDGGAALLPPHHLPPPRPALPAPPPRLALAGPEDDSRSTEIVAAAGARSSEVVAPVAAPPKKQRLRELFVLPKPSRHEPAHVVSSEAEAEPRSVLQFYDNGTIQLVDSANEDMNGNGTELWEVYNGNNEMLPWKLEEFIARSPYIRDSVVTVGSKASTVFVVDADSGEIIYKRNIPAPLNELEGPGVEAAPSKLNARTSDDSDNIIVVVRTDYSLSASDLGKHLFNWTRTSFSASYYVKYNHPDMLDQSSCLQGDIPCIRTEGLPLALPDSESPNAIVLRDETPIISRDA >Dexi2B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:2B:941661:942040:-1 gene:Dexi2B01G0001430 transcript:Dexi2B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLSAVLSELTSRSLHFFFSKISKPMPVDVEDRLRRVLLRAQVIVDEAMGRHITNQAMLLQLDMVRGAMYRGYYLLDSCCQSHCEDKKDKAVGYSSSLSKYKQSGFEAAARDP >Dexi2A01G0012480.1:cds pep primary_assembly:Fonio_CM05836:2A:14455706:14460235:1 gene:Dexi2A01G0012480 transcript:Dexi2A01G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSSQPLPWPGPRAAARRNHFVTADRHAQAMTVSEVLQPPAKWTHPAGVAPGAGGAVDGRQCDCERADFPKNRDHLATFWDKLAVDDASTILSLSDVVVEGVTGSSILTALLAWMCKPGYASLPVAYARAGSELLDLIQTRASMQLHVSSNELFSVLDEASEQTFLCTNTAACIQKFLFDGEADKIVTELKNVIACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDEDVAVQLPETMDDGICSPGIQSFKATSDPVFYEQEESQYLPSPAPVTSETDDGFKVDLPVEDFGCVSEPEMDKRVGLRQQGGKKQLQQITSN >Dexi9A01G0042560.1:cds pep primary_assembly:Fonio_CM05836:9A:46171287:46171613:1 gene:Dexi9A01G0042560 transcript:Dexi9A01G0042560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSGNKKAPQTKTYPTGLEPYPLIGHMPQLLANRHCALDWMTEALARQPTSTFVLHRPGGLRSAITANPANVEHLLSANFDN >Dexi2A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:2A:189317:190966:-1 gene:Dexi2A01G0000340 transcript:Dexi2A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDGQAESKQQPASAVDESQQLKSLVDELCRDMENEVVDGNVADREDLAEICKVLKDISGKMTGKPEQWLLPQSKREELEKLLPLIESAFQRRRSSPPPPSKEDEAAAAADKKKKKKLLLPSCNPFINKARSSSASKQHKEEEQEEDDEGVSVKLLLRLAQNVLEPEQYYEWTTSYVDESRIYGWDKEADAVVDALIAPHPTEDDDGSSLLFRAAGIAGVHGSGKTALAQKVFVHDKAKDNFALRLWVCVGPPDSEDRFCLLYRMLDNLGLDTYKVEDIVDNSNAVKETVARIRADPARVAAIQKKAADYMTTHAQQLQHKTPDSISFDQLLREEADVESSKIGVLLYILHMTLSKTSYMIVFDDIREYGDDGWYRNLAQLPPADGQWGGRLGYGLPKGNQHRGAVLLTCRNEEHARNMVRTGRVFRPPKLELDDAWRLFKREYDQAKDAIGNNNKGEDMLFKELEQMKVQIVGKCLGLPVAIAEAAKGFAALDPLPDDGDDHHANKTAAAKDQTTPAGAAASSKSTPDTLQPATATDDNDDGPRPS >Dexi9A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:9A:2655579:2656898:-1 gene:Dexi9A01G0004850 transcript:Dexi9A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLLLLAAAAVSCLPPPASCRYSGHHSPPPKHHDKNGALTAALVVAASLLALVLLYLCASIAVRRFRSRGAVGREPSAAAGGSSSSSSAASRAAAFLRRHGLQHHRPAFTYEQLRAATAGFDAGRKLGDGGFGTVFLAYLPPSGRPAAVKRLHLPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRVRGGGLAAGAPPPIPWRTRLAMAAQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLAPPDACATGGARELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDPPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREVLAELRRIQTMPLELPGGNGS >Dexi3B01G0031100.1:cds pep primary_assembly:Fonio_CM05836:3B:31533119:31535285:-1 gene:Dexi3B01G0031100 transcript:Dexi3B01G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELLAVAARAAVEWAMASLLLANGAAFCLIAAAAERLRVGPPCILCARVHRLLCSGSASGGDGRDALRLILCDAHLAAVELEHRADTDRRDGEAGKRGLMEADDTDMASGMETHRVVSIGSEICEQDHHDSEPHTAERSSIARTSSSEDGGSGGALVSLFELAPIIAQPRGGGGVDPSTAAPADLVTADEDGDERLTVGQIVAALREHRRELEALRWELAGERRARAEAEEHQRQLEEQGELDREAARLAMQLVHESESEKHSLQRQLDAFKVKAQLYQQSESAAAAAMDDDDQGGGEEGGRREANGGGDGNNYQSLVDFLPGSVYSSSPDLANLLKLYTEYGNGVGRRQRDDLDVPGIEVVEEEAEE >Dexi6B01G0015780.1:cds pep primary_assembly:Fonio_CM05836:6B:23070980:23074821:1 gene:Dexi6B01G0015780 transcript:Dexi6B01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGIGVQDGSLVALGAKILREVRGNVVVTPAAGGGLTNGAFLGVRSAPAGSRSIFPVGKLRDQRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGTDGSQFTGDNTEQPVVYTVFLPILEGSFRAVLQGNADDELEICLESGDPDVESFEGTHLVFVGAGSDPFEVITSSVKAVERHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVTAEGVKEGLQSFEKGGVSPKFVIIDDGWQSVSMDPVGIACLADNSAKLTHIKENHKFQKNGREGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGGVGLEHYESKMQHPVSSPGVQKNEPCDALNSITTNGLGLVNPDKVFSFYNELHSYLASAGVDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASIARNFPDNGIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGCHDFNLLKKLLLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPGTVTGVIRAQDVDYLAKVADQTWNGDVIVYSHIGGEVVYLPKNASMPVTLRSCEYEVFTVVPVKLLPNGASFAPIGLIGMFNSGGAVREVRYGENADIELKVRGCGTVGAYSSMKPKSVAVDSEAVDFSFDDTCGLITFELGVPEQELYTWTVSVEY >Dexi6A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:6A:22378525:22380043:-1 gene:Dexi6A01G0015020 transcript:Dexi6A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGDVYAIKKLVISAHKELAFSTKSSKESDVYSYGVVLLELLTRKMAVDPSFPNDMDIVGWVSSALNGTDKIEAVCDPDLMEEVYGTVEMEEVSKVLALALRCAAREASQRPSMAAVVKELTDARPPAGAGRSLSKKQGKAGPGSQSQSSSY >Dexi9A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:9A:1005077:1007104:-1 gene:Dexi9A01G0001930 transcript:Dexi9A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARELLDAARAPEFAEWQLGVRRRIHQHPELAFQEHRTSALVRAELDALGVAYTWPVARTGVVATIAGPREGAGTGPVFALRADMDALPIQGTVKLVFQPAEEGHAGGYHVLKEGVLDDVQAIFGLHVDVALPVGTVGSRPGPFLAGSARFTATITGKGGHAASSAVLSLQQLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTLGGTFRSMTTEGLSYLKKRIREVIQGQAAVSRCTAAVDFMEEKLRPYPATVNDDAMYAHARSVAEGMLGEANVRLCPQFMAAEDFGFYGRLYGSEGPRADWKNGPSINIFSKIVRILIYAEKIPAAFFDVGVSNAETTREIHHLHSPHVVIDEGALPIGAAFHAAVAIEYLNKHASSS >Dexi4A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:4A:3863563:3864715:1 gene:Dexi4A01G0005330 transcript:Dexi4A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGSRSRVVAVLLLLLHAAISLSTTTPGTVRVNEEPIADGLSWGFYNDSCPWVEDIVRWYVTEAGCDASVLLSGPKSAQLEVPNQTLRPEALKLIDDIRGALSDACGGPTVSCADITMLATRDAVAASGGPLFDVPLGRRDGLAPASSDLVGTLPAPVFDVPTLLEAFSNRSLDTADLVALSGAHTVGRGHCPSFSDRLPLNADMDPALRQKLAAKCGKDPNAEQGLFTSDQGFINHPATKRIATRFALNQAAFFDQFATSMLKMSQMDVLTGNNGEIRLNCALTNAASGIIVKTTADEGHAADA >Dexi4B01G0021670.1:cds pep primary_assembly:Fonio_CM05836:4B:23607064:23612675:-1 gene:Dexi4B01G0021670 transcript:Dexi4B01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLDPASEAPRARRPPPPPPDSPEDRSPQLPPPPPGGPPAASRKRSRSPPPSVLPPPPPPPLGSSRPQRYRDHRGGGRGGSSPSPPPYRGGSRRHSPSRRSPSPPFKRSRRDDGYDRRGGRGSPPRHGYDDRRRGYDYERGDGRGGYDDDRSHGRYPNRAPDWHDSGYGAANDGPGVTQREGLMTYKQFMQVLEDDISPSEAERRYQEYRTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVLAKDFFLDLQNGTLDLGPGITAGAASKPGTGGDGNSDDDMDSDKRRKHGKDSSKGTDSHSGPPKAHPVSSESRRIQTDIEQTLALVRKLDAEKVYDLSSKCYLSNLFIVNSDPNAPGGTPVMQQSAPDRGRRKPGMDSRLRFDRGNNRDNDKAEGGRYGRGDRSPSRDGPDDQMFDAFRGRGSNAPFVAEFPPPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGAPHGNVGMLGPMMGGPAPIITMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >Dexi1A01G0005770.1:cds pep primary_assembly:Fonio_CM05836:1A:4234942:4240586:-1 gene:Dexi1A01G0005770 transcript:Dexi1A01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLPFLLLLSSPYVQAQHNITLGSSLTPLGRNSFWLSPSGDFAFGFRPMEGNTSSYLLAVWFNKISDKTVAWYAKTTTDPDAAPVQVPSGSRLQLISNGALSLQDPTGTEVWNPKVVGAAYAAMLDTGNFVLAAADGSTKWGAFHNPADTILLTQVLTPETKLHSRIITTDYSNRHECSKDSSRTAMARRGQNTNPVSNGELVHANPSVGDHGFSPQMVVGGSVPSSLAAPRAAPIIISSPLAVAERDHMRPPPPLSLSRRPALSRPSLLLSACYTLLQCFGWRLFTPSPDWWEPVAAATSATAAASAAAITSAVATHLEGKKGRLWCRLGRLVTRARGCREGQPPCALKAAHLHQTPNKEELHRET >Dexi9B01G0049230.1:cds pep primary_assembly:Fonio_CM05836:9B:47977955:47981545:1 gene:Dexi9B01G0049230 transcript:Dexi9B01G0049230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVKREREEEGGGAAMSPSLGLPGAGGGASSAARVLLLGGAMEAPSGIYTLRAPSPFVRAMRTRIDPPPPPLAAAPTPPLPLPPPPPPPQIQEKRRRGRPRNCYRLLAPPGFLLTPPARAPLALAAPLPAMAAHGEASSDDSRHGQLGGLQPHVLKVDVGEGPLEIIQVFGSILTSDSPGFGCLSVTLSCADCTVVGGIVAGPLVAARPVQAIVGSFHDDVFRANKTPNIIARYHDSQVATGYRVTYYPNSHVATGYRVTHYPNSQVGTSFRVTDYPNSQVDTSFRITHYPSVHVASATGCTPYPSSQVAVGTGSVRCLSSEVATGCLSEHESNSQVPIGDGSTNCSSSQVTVGYGGTQHPNSQITGGTATTPCPSYQVPVGNKTTPSSRVTVGDGSTRSANSQAVVGVGAGSRHEPNSHVCVGIGCTSNTNPQANDGNGSMHEPSSHVTVGDGRTDNGNYPKSLAAVGDRSTNITDSEFALGSGSTRAGNSQGTTLVDGGTICPIYKVSVADGRPNYPNSKNTVGDGSSTSTEGCNPLHASCPAVEQGELSEIDVKPSEVVA >Dexi2B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:2B:6906955:6909762:1 gene:Dexi2B01G0006940 transcript:Dexi2B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVESHTSSKVGGDASYQDAADEPLDNSDCGSEPSHGINAVGKQFMDWIERRNLLKFDECDYACHLDLVARNHGFEEAQKYIERVPTAFRNEVLYETLLANCVRQDDVEKAQQVFNKIRELSLPLTVSACNQMILLYKRVARVKVADILMLMEQENIKFSRFTYKLLIDLKGRSNDMLGMEQVLNVMKDNGLEPDFATQNMVAKFYISGGLTEKAEEVIRAMEVHASYHREATRSLLGLYAILGRPDDVKRIWNSYTEPKLDDFLAAIEAWGKLGCIEQVEETFEALVNTSTKLTSKYLNAMLNVYAEHKLLAKGKEFLERMFLKGCPGSPLTWDAIVKLYVNSGELAKADTFLVNVTEENPDRYPLFRSYITLLKGYAEKGDIHNSERIFDRLKQIRYPGRTPPYNVLLAAYANARATPYGFRERMKADNVYLNKPDIECLKRLDGCK >Dexi5A01G0031900.1:cds pep primary_assembly:Fonio_CM05836:5A:34470237:34470860:-1 gene:Dexi5A01G0031900 transcript:Dexi5A01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQSSLRVLKQACIALAFLLSATSTEAADRPARHSPPALSQSSGQTITLYTAGHTSPKATAASSHHAVFTSEGSIGHYGSWLRALTRPGALRPGTVTVVDEELRGRKEFGLPLGGRLQGVLVTSLADNSSHMVAVKASFAGDDADDSLRFFGIRRDDQVESHIAVVGGTGRYSGAAGFAVVRATDESETGGNVSSSRVLSFSVHLK >Dexi5A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:5A:5847309:5850062:1 gene:Dexi5A01G0007860 transcript:Dexi5A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGGAAGSAPGPTAAAAAAAVQKQKSLLQKADADVSSLVDNFSSLINIARVNDPPVRNSQEAFQMEIRASRMVHSADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQADGTERMLERIGQEAAASLKELEAHYYSSVVRSPSYDLGIVDLFQ >DexiUA01G0001780.1:cds pep primary_assembly:Fonio_CM05836:UA:4698845:4704288:1 gene:DexiUA01G0001780 transcript:DexiUA01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHRRGGGRRGGRGRRPGKAGPSGADEAGNQNALHHDDRGGDGLAVEGGGDDDENQHTKLCDRFLAFAYDGYHALFIAGALPFDSDEYEVTLSACVEKRRMRVRKYKVVIKHAAAISLLQLRMLFAGYPTGIPAHALQVLDTVRSDIVFNRYNDENYIAAGRSLFSRNFGCMKDGALDVEAWKGLYQIIMPMQNGLSVLVDLSSSVFIQPLQLLDFVQKTLGKDALNRKLTKPEYTKACTFSPARIQNALCNVKLLMYIRCCSFLNLQLFKAVRGLRIEVTHRGDERKYRIAGLSVKPTNCLRFKSPSGATHTVIDYFKKRYNLELKFQFLPCLDVGSKKKSVYIPIEVCKIVPRQRYQKKLDDSQVSILMKSTFQIQPEPKQSIHQAVEDKQYSSTKRANEFGINVDDILNEVNARVLLPPNLKYHDSGSQKTWSPMNGYWNMKDKRVVNGAKISNWACVNFCEDLSKNSIEQFCLRLAEMSRITGVELDNLKLPIFTARPDQVEDDIRTCYQGAQNNLRGQKIDLLLAVLPDKNSSLYGKVKRICETDIGLMSQCCRKSTISKKSKKSDQILANIAIKINSKAGGRNSVFDDTQKSLPVVSNKPTIIFGAHVTRPSAVDDPSIAAVVASQDWHEVSKYNGVVRAQGHRKEIITDLGDIVKELLHAFEKESNRRPQQLIFYRYGVCESQFKQVLEIEIPEIEKAWKALYDNEKPQITFIVVQKRHSLSLFSNTNKDKDHVAKKQNVMPGTVVDSQICHPAEFDFFLCSNPEVRGPRHPVQYLVLRDDNNFTADELQVLTNNLCYTYASCTQAVSIAPPAYYAHRLAHRAHLYLAQGSNHAAAAASSSSGANAPAGGPKQLPEIKDELKRSMFYC >Dexi2A01G0012050.1:cds pep primary_assembly:Fonio_CM05836:2A:14017883:14023093:1 gene:Dexi2A01G0012050 transcript:Dexi2A01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVATKSTRHRRRSSMEAAAAVLSGLVPSGSAVVVLFAYLGYLAAAGAILPGKLVAGAVLPDSSRLHYRCNGRPSSDAGGGSYPGLLSLLLLLGILAIGAYTGWMSPTVVADRGLELLSVTFIFSVLVSFGLYFAGIKSRHKNSSLRPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSVNLSVILYQFFCAWYIIDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNEVELSRLVGLTNICIFVIGYCLSPEYVIVLYLPRTCFLHSDLICHTSYHTVHSYLVFRGANKQKHVFKKDPKAPIWGKPPKVVGGKLLASGYWGIARHCNYLGDLMLALSFSLPCGFSSVIPYFYPTYLLILLIWRERRDEARCSQKYKEIWAEYCKLVPWRILPYVY >DexiUA01G0014760.1:cds pep primary_assembly:Fonio_CM05836:UA:30941644:30945468:-1 gene:DexiUA01G0014760 transcript:DexiUA01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKAHCHCLSVESYADTLCHEMAKLNLEIVPHGYFSHIAVEPTLHDQIVVAQLNDASIKILKRKLSKEKVKEKYKCFQLDGQGVMWFGHRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTIYAAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQSSLGTKLIRSSAYHPQTDGQTERVNQILEDMLRACVIHYGKNWEKCSSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVNEAEEKVRIIRENLKTAQSRQQSYFDQRRKPLQFEVGDHVYLKVSPTKGVQRFGLKGKLAPRYIGPYEIIQQCGPVAYQVKLPEKLSAVHNVFHVSQLKRCLRVPTEVVEQEELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKIQWSHHSEDEATNSALARPCAGRSSAATWPASGAGGAELAEPRRTLALPSLRRRRAAPPRAARTPSCGRSAAVAARARRDSPPLRAKCTVEDPPDVTRGSPRPVESLARCPSSLRIHGGLLAPRRNTVPPLRAQAPHRALTPLLSLAHLAISTSPRSPIVFFLAPREPSRASPRNSPSTLHHSQIPPNPPHFPQAIVASPARIRDFPQIVIFGRRSTRTSRPYSEPSPRSTEHAIGFLELHWCSRTLQTSTNDPDLTGVEAAAAAPPLPPELWI >Dexi3A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:3A:8972680:8980119:1 gene:Dexi3A01G0012350 transcript:Dexi3A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDIKIGLDVDLERQITTAIDSKLLQHLVNALFRGTLSTNHSDLSGPSDAEVDAVGSICAFLHVTFNTFPLERIMTVLAYRTEIVPALWNFIRRCHENRSWPYFSKFASSLPAEAPGWLLPMSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKQLQDWNSRLPFTSASDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTSRVKIFTSQLASSRQLASHSAFTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVSFINEHGEEEAGIDGGGIFKDFMENITRAAFDVQYGLFKAMYEGILVDLPFATFFLSKLKQKYNFLNDLPSLDPELYRHLLFLKHYNGDISNLELYFVIVNNEYGEQCEEELLPGGRDMRVTNDNVITFIHLVANHRLNYQIRAQSTHFLRGFQQLIPKDWIDMFNEHEIQVLISGSLESLDIDDLRSNTNYSAGYHPDHEVIEMFWEVLKSFSSDNQKKFLNKEQLQTKLLYAISSEAGFDLS >Dexi5A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:5A:5002281:5002869:-1 gene:Dexi5A01G0006720 transcript:Dexi5A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLFSIEDTYDRYRQLSIAGTSANGDQNRNSDVLAQNQDEASSDLQSRLRDIATWSLQNNAEESDANGLEQLENLLRNALRDTRAKR >Dexi9A01G0042030.1:cds pep primary_assembly:Fonio_CM05836:9A:45586390:45592554:1 gene:Dexi9A01G0042030 transcript:Dexi9A01G0042030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPAVAAPAATEPRRSSRKRFNSAAAVEAEIDREMQRAEARTRQRRAGPVAKPGPAKVAAETEGERDGAQPRGKRRQSRAAKEKRRTRPGAGKQKAKETAEAQEQAAAVDDVCAEEPNSEAMAMEEEEEAVAALEAEEEDRAKAGIEGSAEKVGARKRVARPSTERRADASEDYFVGEPMPDHEARRRWPERYKTKGPDSLVRCSVEEEELKARHHYMSASVDNVIYQLNDDVYVKTAPQAKAQFTKDCDYYYDMSYSVAYSTFANLPADNDGVSSSASSNISDDAIDSSKETQLPGFHASDAHTETASLLDLYSGCGAMSTGLCLGAALSNFKLETRWAVDMNEYACESLKYNHPRSKVRNEKAEDFFALLKEWNALCKKYIIQKGITSESEVAQSLTDDEDEPLSEDTYEVEKLLDICFGDPNSTGQVGLWFKVRWKGYDLSYDTWEPIDGLRDCPHYIKAFVERGYRENILPLPVLPKFPLPTHDVVKRGVVPNAFEQSVVAYDETEAPRLRNALFLSDAISDLPEVGNDQPKDVMDFIVGPKTEFQRYIRLNRKAMMDYSFDGEADSGESKLFDHQPLRLNDDDYERVQQIPLEKGANFRDLRGVKVGINNTVEWDPDVPRVLLSSGKPLVPDYAMSFIKGKSLKPFGRLWWDETVPTVVTRAEPHNQIILHPSQARVLTIRENARLQGFPDYYRLFGPIKQKYMQVGNAVAVPVARALGYSLGMAYLGRLDGDGPLIKLPKSFTRTSVFSEGQDVDMEEVFD >Dexi9B01G0036300.1:cds pep primary_assembly:Fonio_CM05836:9B:37826855:37833895:-1 gene:Dexi9B01G0036300 transcript:Dexi9B01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGQRCLLLGSNGADKMEKRKATLTEKREEGLTNQSRSRRRTAPCARTPSRRRGGRRPPLSLRGGGGRARLSPGLARRRRRGAAGACSPPAPAPSREPAAQPSLLSSRRRRQEPSPLQARPRRGGGAAAVRRRASERTSGILDIDLAWRMHKASDGQRRRVQICMGLLKTFKVLLLDEITVDLDVLARSNLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVSSLVPRCLYCSWEAAISAAFGKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVAEGSRVIGDPAKSAARVVNNGWAAGRLTSTIAGEDNFVFSSNSVLRQ >Dexi6A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:6A:20617772:20618790:1 gene:Dexi6A01G0013460 transcript:Dexi6A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGPRRARVQQKIPPPKPTSHSAILPPQATDAPPEAKAPAARPLHSAPPRRRGFRSLGFPGSQAPRSPWRTPRHRPSLRGRSYAVVEAAAVAPAPHQAPPPARTTALRGHLAWVADVVLLAYCAASWVNLAAIGFAIAARRVCGDDSRAAAAAKKAAETAFIVMAVLIPVASPRFVWIVERWRKLEDRQRQIGSGATRRADVQRDRPASSGPGARTCPNARLTSASVAMLVVLVCTLVMLVGQLIQVHAPAKDSYRDKFGSAISDMACLIATLVLCSFLLPGTVIQLLRHGCGVGYGRWQLNA >Dexi7B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:7B:17336697:17338862:1 gene:Dexi7B01G0009880 transcript:Dexi7B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAPKLDSSEGQ >Dexi6A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:6A:6865279:6867463:1 gene:Dexi6A01G0007040 transcript:Dexi6A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISMMEARMPPGFRFHPRDDELVLDYLLHKLSGHAHGGAAMIDVDLNKCEPWDLPGKEWYFFNLRGRKYATGQRTNRATVSGYWKATGKDRAVVAGGDAAAAAVVGMRKTLVFYRGRAPNGRKTEWVMHEFRLEPPPAPSPEREIPAAARHQRLKEDWVLCRVFYKSRTTTPKLPSEDAQDGTPSTEADLAAAPPLAPLADTYTAF >Dexi4B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:4B:2629647:2630279:-1 gene:Dexi4B01G0003730 transcript:Dexi4B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWPPPPPPLDGAQGSGHDAIALSFFVACVAATVVLTSSMCSACGRKPKPATQPDPTSSDQLAGTGSVSGGSQQEAVTEEEEEEEAAVVRLSPELATHGAIDPVALPKSTSKRRLSMSMSKNLSMSMNIPDKLRLSRRERKDHHHKVESEGTLWKKGIILGEKCRIPGEREAELGDGVDPADELAAGSFRRSSYSRPVSRSSSFALHQA >Dexi4A01G0006440.1:cds pep primary_assembly:Fonio_CM05836:4A:4636703:4638231:1 gene:Dexi4A01G0006440 transcript:Dexi4A01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIAAPPVRRRGIHALPFLHRFFRLLGVAATKTARRPCFTARSTRDRRVARPGEPKRPEEPQEQAAAAVEDADAAVVAGKYWAHRHSLFSLYDRGVRMDAEGWYSATPEAVAASQAARAAPGDLVVDAFAGCGGNSIQGCYVVAVEIDSRKVELAAHNARVYGVEDRIEFVVGDFFLLAPFLKADLVFLSPPWGGPSYIQAPVYTLDMLKPKDGYAAFQAAQKIAPHVVMFLPRTVDQSQVEELSWLSCPPLDFECEENYVHHRLKGFTAYFGKTAGPPSSLSKLDDEQPTD >Dexi3B01G0028290.1:cds pep primary_assembly:Fonio_CM05836:3B:24279491:24280938:1 gene:Dexi3B01G0028290 transcript:Dexi3B01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIKTPNVITVSPAMKKDPQLCQLCEQFATEALFYLKENETQTEIINTLHQACSKFASFKLEQLKIIEILLKGCNNADNFVQKVRLTR >Dexi3A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:3A:13520581:13522161:1 gene:Dexi3A01G0017700 transcript:Dexi3A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSADVATPLGAWASIRGYFTPATLFLVVNIVIGTIALTSRATQQRRRRDHLHYHDDAHHHYHLHDPHQQQQQQAYGDPYYHDQQQQQQPLYYATPPPAPAPLVRTSSVLDRLRSFGLYRFRSGDFPPEYAAAPIHHAQQDVFAPVEEEATTPAPYYARSQSEPAAPAREEERRPVSRVKKPAAPAAASEVVMKAQVARAPARVVEAFAVEEDDAVDARAEEFSAASFMRREASPRQQEYDHYQEEEYVPPPARAAPAPAPLARTSSFMDRIRSVGFPSFLGYEQQPSVAASMPPTDAFLTTPAAAEKKKQAAHAHYDRSRSEPAWEQGGSNKKNEKKQETATKSKMAKSSSETRKKTTAAPTTLASAALASESVDARAEAFIDSFKQQQVQHHREYAPPPAPLARAPSVLERLCSFSLSQYFLSGDVGGPADLTAEAAATPAASEKKKQAAGQHYARSRSEPAPEQGKKEPRMSKSSSSVVEEEPAEQGVDARADDFINKFRQQLQLQRLNSLLNYKEVLGRGKQ >Dexi5B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:5B:2041634:2044065:1 gene:Dexi5B01G0003110 transcript:Dexi5B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEATSAGGGGFRARMEHYLYSGEKKHVVAGIAIFAAVFGVPWYFMTRGAKHQSHQDYMEKANKARSERLSSGQTPALKE >Dexi6A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:6A:5189168:5194043:-1 gene:Dexi6A01G0005670 transcript:Dexi6A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDGSAEECVVMAEGVRWRGDKNERGKMYDFYEEYWRPFGALLVKMETEGMLVDRGYLPEIEKDAIAEQEVATNTFRKWASKYCPDAKYMNNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVDEKRVLLEWHPQPGQEKPPVPLLKVLQDAFGAERRKAKMLNFSIAYGKTPFGLTEDWKVWT >Dexi1A01G0012500.1:cds pep primary_assembly:Fonio_CM05836:1A:12500347:12501406:1 gene:Dexi1A01G0012500 transcript:Dexi1A01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVLREDDRGIPRSLPLFAALVDAEARRYAAAASQPAKTSLVRAFRGGAAPKLPIRAFLERIHLQIRSAGREAGILVEPATAHRLVAVALFLGANSAGREAGILVEPATAHRLVAVALFLGAKFGGHPPRRWTAVFEASSGGAIRAAEMADLEGRFLRAIGFRLFVNGERFEWFCKAMERGPQAPNSGGGDCACKKRKADAATVEEDKRRRVRACLPPPAVVSH >Dexi1A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:1A:6960032:6965474:1 gene:Dexi1A01G0008800 transcript:Dexi1A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKQGQAPVPNNLGSQPVPSSNVQPNQAEYASVFYPSLPGDWGSQPMFSVGASVPVSSYYIVPMSQHSVQVGASRSEVSRPLGAQPLLSRVSLRPPQQVLNIQTSLPSMVGSQPSPSTAGRKLQQTFASPKVQMLKSPSFPASNKRSVQKEPPSKVQPQQLESVRSKFRESLVAALSLDSDQQNKSQSPDNVQHDGSADKLKPAGDVVQNPVATTSKDVNKANSDVATTVAPKSCEEDEKLSSDLVSNMITSANDEMLQQSNHVSSEDELLGQCMVADELLQGHGLSWVSDLDVVITETNTESNLKRPRSSDVDSGATESLVESESKRIKSANELAIEKEKLNQKAESLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTAEELASKELSEWRLAKAEELAQMVVLPNNEVDPRRLVRKTHKGEFQVELEEPDGISVEVELGSNLTNIPSKAIEDKSKSNEKRSGDGKDVQEKDKASDSTPQDDDGGTSNNDMSGDLEYIDNEKSDLMQELILDDMKDTENLPPIPSLDEFMQGLDSEPPFVDLSVETPDDDGNDHEEPDTASEPEELPETEDKTSTPEKTASESDKPSTQDNSEPKLESPGHAAVPTSDLTEPCDGELSKSPVKDEATETATDNVSNPISVLHSKATGIPMIRESIWEGAIQLTVSSLSNVVAIFKSGEKPSLKDWRSFVEIKGRVKLNAFQDFVEQLPKSRSRAIMLECYGFFEIVDENLGRAIVKQKVKISELCWKEGSQESGRQHILQTIDAYISDERVGLAEPAEGIELYLCPPHGKTVEILSRHLPKEHQEGLSVAGSSFIGVVVWRRPNVPRVPTSHHRHDGSRRQSILRKPQVTNPAARPSLPPNSYGAPPGFLNKRHHREEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSHPAANVTAHAYKSRQHVPQPSARPAEQMRELVQKYGKRSSVQAHRWDDDDDDDIPEWNPTQATHHQQPIRHPPLPAAPQKLPLPPPPVQQMHPYQQQQQQQYHIQSAVQPQVPISSLSHAYLQTQQQPVQQLHLQQQLQAGQTWPQTGNAAWWAAQGVAAAATAPVTNIVQQPQYGVMPGSGGVQGYDSGSVGGMAWRPR >Dexi9B01G0028590.1:cds pep primary_assembly:Fonio_CM05836:9B:31193683:31200874:1 gene:Dexi9B01G0028590 transcript:Dexi9B01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSSDRLGERVQFRFSNLRAVQGSTKSGIIGEVSLNLTNYLSSTDSNAISLPLKKCNSGTVLQFKIQCLGTKSKSSPLDDVMDSKSDGSGGMISMNAPSPRNYLGGVHPDEVGIRDASFSSPRDDSDGGLYIGRQDTASSFIHKISADHGDLFCRSNDSSFSSQTPGENMLQESTAEPSLSSHAQLSSGASGSSKYLLDTAEETIEELLAEAQMWETHSRQLKTELETLQKECDEKSEKQSELLLELSASQVERESLRQEIEDLKLSFELATSRQNIAGIVKSDDAIDVEHELKDEVQFLQDSNENLTIQLKKTQDANIELVSILQELEETVESQRAEISTISQMSNAIDNEVPINALSVQEDADWARKLSLKEDEIVELKERLDRVLNIENAGGTGSDAIFLELEKENEFLKVKMEELENDCSELTEENLELIHKLKEVSGVEVQDSCISDIQEMLNAGDLSGTSKSRVKYLERKCADLEMRMLNFQSESRELEEKFQKSQEELKERNLELSELRDNLSGSHVTELEGGEIDVVRSQELGDTESELNLLKGRVQLQDKEIEGLQHSKLEMEAFIDNVLQQKMHELESCRVELELHISTLEDEKIELLESISGMEAELNNLTSEYESCIVQMDDSRTLIIDLKDKAEWQQAELEAQKVELKQKQLEFQKRYSEVQEDSEALRRLNAKLQAKVDNLVEECSSPQALTADLKRQKLELHSCAMQLEQELEHSKRKTTDFCKTVEFLEAKLSSIQKDISSKEQSFLLELENVFQEHKENEERINRAHSLLNKIEKEKIIEVENLEREVMSLTAQLSSTHEERESSTLDTIREASILRTDKAKLEANLHDVNEQLRHYESQLEDIRRESKSKKKSLVDSLNASKQNEEMLKTDAENMRRFLEAAKSSDEKLRTTSDELELKFKSSDYEKQQIMEENSGLKIQVQKMAGLQDELLKLQSSLDEAKFEKGKLEELFRLLSEECDELKVQKAMLSDKVSHMADTSNSIDEEKRSKTSKQAKHESSTKQGNNDLATDNGGCSSVNEEPDLQTMIKSLETRLAEALAENSMYKTQLKSPMPEGTSGSRDGEENNDEKIRQLEAELKDMQDRLLNMSMQYAEVEAQREELVMELKNANAKKGGRWF >Dexi5A01G0019310.1:cds pep primary_assembly:Fonio_CM05836:5A:22895272:22895951:1 gene:Dexi5A01G0019310 transcript:Dexi5A01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIASEAPGVHGAGPRDRAAAAVLLLLLGAPAAPPGRSGRVCTCGTTRAGSCSPPSAPWRSCCGGSGGGMRAGLAARVQPAYQRKLWRDTMRAALTYEEWAHAAGMLEREAAPRRDAAADLYDEELVRDKLRQLRQRQEGSLRDIVFCMRADLLRNLVNPELHKGRLQKPNLSR >Dexi5A01G0014650.1:cds pep primary_assembly:Fonio_CM05836:5A:11747870:11749449:1 gene:Dexi5A01G0014650 transcript:Dexi5A01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKPEVTEEAKMDLLEDDDEFEEFEIDQEWDDKEEGNEALQQWEDDWDDDDVNDDFSLQLRKELESNAPKN >Dexi2B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:2B:30392593:30395719:-1 gene:Dexi2B01G0020330 transcript:Dexi2B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding QWGGRGLLAPTPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPGDPKNAHLKELENAPENLHLFKADVLDYDTLTAAVEGCEGVFHLATPVPEDKIVDPEASTCSLDSSAPYSVVKLVGCILLSSEVLNPAVKGTLNVLKVCSAAKVQKLVVLSSNAAVDFNPNWPQDEIKDESCWSDKEFCKENGLFNQNLDPQADSSQSIACDLTFGSFNVDIRWGRDVVSNKLWHIVDVRDVADALLLLYKKTESSGRYICSPNHIRTKDLVALLKKMYPKIVDVDEKASLTCQKLMDLGWKPRTLEETLSDSVECYEKAGALQDVPGRPFRLPHLFRLAGDQ >Dexi7A01G0021990.1:cds pep primary_assembly:Fonio_CM05836:7A:30442707:30445015:-1 gene:Dexi7A01G0021990 transcript:Dexi7A01G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAFPLAVTVILCLLPSSSSPPICVARTQDHVRTAYHFQPAKNWQNGPVYLNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWADLGTALDPTSPFDIHGCASGSVTVLPDGQPAILYSGIDADRRQVQNIAFPKNPRDPLLREWTKPSYNPVVPLPGDVSPDNFRDPTTAWLGSDGLWRFAVSAVAGGVGATLVYRSADFLRWERNAAPLHASMDAVMAECPDLFPVVAAARGGAPGGGVRHVFKVSMPAPTLEDYYAVGRYDEETDTFVPDDGDARGGGDYRRWRRIDHGHLYASKTFFDARRSRRVLWAWVNESDNDADAVARGWSGLQSFPRAVWLDLAAAGGGRHQLVQWPVEEIDTLRRRCADPLGGAAEVEAGGMREVVGIESSQADVEVVFEIPGLERAEGLDPDRMLDADALCKAKGASVRGGVGPFGLLVMASGDDLREHTAVFFRVFRLLHEYTVLMCTDLSRSSTKAGVYKPTHGGFVNVDIGKHKSISLRTLIDHSIIESFGGGGRTCMTARVYPEHVATGSSHLYLFNNGSDAVKVSKLEAWELATASVNAVVAEEYGVASSSGGNTCKNEAYS >Dexi5A01G0031350.1:cds pep primary_assembly:Fonio_CM05836:5A:34071656:34072627:1 gene:Dexi5A01G0031350 transcript:Dexi5A01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAPLQIPNLAPEIRAQNQSMPKKKKLEGTLRPQPEKPNESARLLVRSSPSPATTRPSPPMWPWVKTRPSSASPSSATSTSSSSTALVAAAVSPRLSFSSPSLKDLQALLLSDAATPSPPPAAPCSPSSSVRVFHRVRVAASALRALRTLQSTSPAGLGAGPCAADRRVVLYYTSLHVVRGTYEDCRAVRAILRGLRVSVDERDLAMDPRYLEELSALLPRLASPRRVTLPQVFVGGRHLGGAEEVRRLHEAGELRRVVAGAVTASLAACGRCGGEGYVLCGSCNGSHKRYSVKGGGGFRTCAGCNENGLVRCPDCSPPDV >Dexi2A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:2A:29862676:29865410:-1 gene:Dexi2A01G0017860 transcript:Dexi2A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSSSFQSESVASPATRKDKNTISNVWRNTIELRDSVNMRRIMVQHLQQELKLYNVLKEQIAYLEQWQTLERENSISLFGATEALKASTLRLPVTSGAKVDAIALKNAVSSAVDVMQGLGSSVCCMLSKVRFCMYLIFLKLVTDRESLVSELSVIAGQEKVMLDECRELLATAAKLQVLILT >Dexi9B01G0025430.1:cds pep primary_assembly:Fonio_CM05836:9B:25702330:25703189:1 gene:Dexi9B01G0025430 transcript:Dexi9B01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRSMSVLVLLFLVMWRREGEAATFTFVNHCTDMVWPGILSNAGSARLEPTGFELAPGAARAVAAPSGWSGRMWARTGCTHDGATGRLVCATGDCGSGAAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGGPSGGPTAACAAAGCASDLNAMCPAELRAGGGAACRSACDAFAQPQYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGRPDYTITFCPGATPRSGR >Dexi2A01G0026070.1:cds pep primary_assembly:Fonio_CM05836:2A:37658753:37660737:-1 gene:Dexi2A01G0026070 transcript:Dexi2A01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >Dexi8B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:8B:19536676:19537074:-1 gene:Dexi8B01G0010950 transcript:Dexi8B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEHFTADHQWPSTKYGSCFYTNIKEGVCVISSEDDEQLFLLKVVSEPFGSVISVFCVWPHDTDPKFRCALFFTVLKKNLYHSQSSEFLVPSTTLSDGLPRDCFRFIVPKFSLDEDSKISVTMRKKASA >Dexi1B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:1B:23648809:23649399:-1 gene:Dexi1B01G0017310 transcript:Dexi1B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVEMKCDRCRSKALALVAAARGVHSVALAGDARDRLVVAGEDVDSAKLAGALRRKVGPAQILQVDTEAAKKKDGGGGDKKAHAAAAAPAAAVVQYVPSALCYQYAPPQVPVSFVYEPPATGYAVGYQQPRYDDPCSIM >Dexi3A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:3A:3993052:3993255:1 gene:Dexi3A01G0006130 transcript:Dexi3A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPRRGSSSPSFPLRFSLWNLEIAARSDPATLVRCAAASKDGPSPPHRRRAILPQLPPWSSPP >Dexi2A01G0035210.1:cds pep primary_assembly:Fonio_CM05836:2A:44911253:44913631:-1 gene:Dexi2A01G0035210 transcript:Dexi2A01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGANAEMHAAPGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRAGRVVAMYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENSGPAGSDKVDYDSIGASIAVHTIQSEIPVFVPSEAINRCAATEMQSSSSGSPTERSLSCVVPSPTHPLLTHGRMSDAFKPVQSEQAASQPMQSWHQVGGSTELEQSMQRSIPPASC >Dexi2A01G0036800.1:cds pep primary_assembly:Fonio_CM05836:2A:46248632:46249961:1 gene:Dexi2A01G0036800 transcript:Dexi2A01G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMASSVSVLLVLMCTAAVASAQLSPTFYDTSCPNALSTIKSAVTAAVNKENRMGASLLRLHFHDCFGCDASVLLADIPGSFTGEQGALPNAGSIRGFDVIANIKSQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASLSLANSDLPPPFFNLSNLIGAFSNKGFTATEMVTLSGAHTIGDAQCKNFRDHIYNDTDINPAFATSLQANCPRPTGSGDTNLAPLDTSTPYAFDNAYYSNLLSQKGLLHSDQELFNGGSTDNTVRNFASNPAAFSSAFAAAMVKMGNLSPLTGSQGQIRLTCSKVN >Dexi1B01G0023170.1:cds pep primary_assembly:Fonio_CM05836:1B:28839972:28843285:1 gene:Dexi1B01G0023170 transcript:Dexi1B01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGADDNPFSNGGGGGGAGGTRGGGGKSQFGFGFGFGGGKGDAAVDIPLDTMNDSKGKAKELSQWESDLRRREADIRRREEALRSAGVPMEDKNWPPFFPIIHQDIANEIPANAQKLQYLAFASWLGIRASLNRTNSKLFFLATIYGMLGIPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYLLHIGFCILAAIAPPIVFRGKSLTGILAAIDTFSDHALVGIFYFVGFALFCLETLVSIWVLQFPC >Dexi5A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:5A:17508098:17512336:1 gene:Dexi5A01G0016450 transcript:Dexi5A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGHADGGAGGWGDGDPPPPPMKKQPSRIASGMRRLASKVVPDMRGLKRTHSGAQSGLRGLRFLDKTSGGKDGWKSVEKRFDEMSTDGRLQRENFAKCIGMADSKEFASEVFVALARRRHINPDDGVTKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGKLTEDEVKEVIVLSASANKLAKLKKHAVTYASLIMEELDPDHRGYIEIWQLETLLRGMVTASGPPEKMNMASASLARTMVPSSYRSPFQRRINKAVDFVHENWKRIWVLSLWGVLNISLFIFKFVQYRRRAVFEVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTWLRSTVLSKVVPFDDNINFHKVIALAIAIASATHTLEHVLCDFPRVVSCPKEKFMEKLGPFFNYVQPTWPILLTSIPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPFHHLAGFNAFWYAHHLLVIAYILLVMHSYFIFLTKQWYKRTTWMYLAVPVHFYASERSIRKIREKSYHVSIIKAAIYPGNVLSLYMKKPPSFKYKSGMYMFVKCPEVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAEVTSKKATLARLETTVVADGLAEDTSFKTNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANTHNNSRIGVFYCGSPTLTKTLRDLSVEFSNTTTTRFHFHKENF >Dexi3B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:3B:1696447:1700840:1 gene:Dexi3B01G0002470 transcript:Dexi3B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDFVFSFAGTAGGFRRVRKRNDVEDLDNGDGVHNGAGWRHRSMKTTGLVVLAAAVAVAAAFVSLDSSRLHDDGVAVLEIRGDDEGLQLIPVDGGAAGPESVAFDASGGGPYMGVSDGRVLRWLPAERRWVEHSSPAPSSRLGSSHKTNLHHQPEPLHSVKFILPPAAYLTCFFVFFFLVSVAAVSHLAMRAEVLALAALVAAAALLSSMDSLSDVRRLELGDGDLELVPLDGAVGPETIIFGDGSEGPFTGVSDGRVLRWRPEERRWEEHSCSVPDLIYVHHANVFFLRSMRSIVIKDPGREHECGRPLGLKFNDNTGELYVADAYHGLRVVGPQDNVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFQRREFLNIVITGDKTGRLLKYDPKTNKVEVLVEGLAFANGLAMSTDGNYLLIAETTSGKILRYWIKTPKASTLEEVVQIPWFPDNIRMSPRGGFWVGLHAKRGKIAEWSITYPWLKRLILKVPMRYVQRASWFLNQLGRQVIALRLSDDGKIIEAISVHGSLQKVFRSVSEVEERNGVLWIGSVMSPFLGVYKL >Dexi9A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:9A:3414769:3415805:1 gene:Dexi9A01G0006060 transcript:Dexi9A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRIRSGGAGRPYDHLEAAGAGAWPAEAASRARTVGSLGYRESGSDSVRNLSVSATNPSLIRSGRVPHSRPRGRSGGGGGGGEVPVHERRRRGSGGGGARGGGLSRTCRRDSYCAVCTKAFCSHCCGFHHSWTGFHVVIPIALDAATGQPSLPTHYPGSRDPIPAFIADRMAAADYATPLAVDAYCVACMAPFCERAACYHHRRGCGGGDAVLRLEVRGGRHYVRCRGDEDWFSYLERILGDPVADDDADARAEEEAAGHVRAVRRAGAVPDLTPLLAVLRRSPQPRGRPASGA >Dexi5A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:5A:23954479:23956314:1 gene:Dexi5A01G0020110 transcript:Dexi5A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVILLSAAEAFGLPFVPPYLGGGDFKYGANFAVGGATALNGSFFRERGVEPTWTPHSLDEQMQWFKKLLPSIASNL >DexiUA01G0026900.1:cds pep primary_assembly:Fonio_CM05836:UA:57470827:57471355:-1 gene:DexiUA01G0026900 transcript:DexiUA01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGVDATGRYSGPSEQAQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMESIRAGPYGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQDPIRHCSVVYY >Dexi7A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:7A:29805243:29808586:-1 gene:Dexi7A01G0021060 transcript:Dexi7A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSATSLAAAALLLLVSLAAAVDMSIVSYGERSEEETRRMYAEWMATHGRTYNALGEEERRYEVFKDNLRYIDEHNAAADAGLHSFRLGLNRFADLTNEEYRATYLGVKNKPQREKKLSSRYQAADNEELPESVDWRTKGAVVEIKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDTEEDYPYKGTDNRCDVNRKNAKVVTIDSYEDVPVNSEKSLQKAVANQPVSVAIEAGGRAFQLYNSGIFTGTCGTALDHGVTAVGYGTENGKDYWIVKNSWGTSWGEAGYVRMERNIKASSGKCGIAVEPSYPLKKGANPPNPGPTPPSPTPPPTVCDNYYSCPDSTTCCCIYEYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPICNVRQGTCLMKKDSPLSVKALKRTLAKPHWAFASNEADGVKSSA >Dexi9B01G0026290.1:cds pep primary_assembly:Fonio_CM05836:9B:28097134:28099192:-1 gene:Dexi9B01G0026290 transcript:Dexi9B01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGEARVTPAGAECRINALGNDVLLRAISFLEARQLVQTCVLSRRWRNLWRSVSRINATHHEFDVMVDSEEERSVRFKKFTNALLMLRNPVALDAFRLSYFMPGYQEPAAPHADREEDANLWIDHALQSNARSVEIGVLNGSLVLNPAVFASNCFLTSLQLSRVILRRGFFRNLQTGCAALESLLLSGCGIYDIEISSETLKILAIDDSSYFTTDKQLSISIPSLSYLGFSASSRIPLLKNMGSLVTASVSVSADSKGATIDGIYQFLRSLSAVTNLDFNFKGTMLKMEKNSQWCPKFNNLTALTLGGWCLSADYVLKIFLQNCPNLVKLNVKLEKCNYTSQTIISKLKGKEGSATCENMEILEIECSEGDRKVLEKLLVEGGYNLMEC >Dexi9B01G0037100.1:cds pep primary_assembly:Fonio_CM05836:9B:38600775:38613294:-1 gene:Dexi9B01G0037100 transcript:Dexi9B01G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGHHGVVLACSICGFLFAVLSPLSFWILWAVNWRPWRLYSWIYARKWPTYVQGPQLSTLCSLLTIVAWLVVISPIAVLVVWGSILIALLERNIIGLAVIMAGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTAGASASELNSPSGFFFGVSAISLAINMLFICKILFNVSGFDVDEYVRRSYKFAYSDCVEVGPVSCAPEPPDPSELYMTKSRRVLHLGLLYICSLLVLVVYSILYGLTSKEARWMGALTSVAVVILDWNLGLCSFRFELLKSRMILLFVAGTSRAFLICFGVHYWFFEFGFSILLLSPVVCSIMAFIWSLRAEEMTMTSKPRKYGFIAWLLSTCVGLFLSFLREFDSRENVSQAPGKKERALFAISIGVFTASIIGLGAIVSAKPLDALGYKGWDANKNSFYSPYASSMYLGWALSSTIAVLTTGLIPIVAWFATYRFSPSSAICVGLFATVLVSFCGASYWGVVNSREDGVPLKADFLAALLPLLCIPAFFSLFTGLYKWKDDDWKISRGVYLFVGMGMLLLFGAVAAVIVTIRPWTAGAACLLAILFLVFVIGVIHYWTSNNFYLTRTQMLLVCSVAFLLALAAFLMGLFHGRPFVGASIGYFSFIFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVVASIIMNPPFVGAGVSATTLVIAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPALTLDRAGNFVLPRADVMKLRDRLRNEEIAAGSFFCGVKDCLMICPHSLAYVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWVPEDRRQFELIQESYIREKEMEEEALMQRREEEGKGRERRRALLEREERKWKELEISLLSSIPNTGSRDAAAMSAAVRAVGGDSALEDSFARDRTGIPGTVCILDDEPRSTGRHCGELESCLCQSQKVTLSVAVMVQPVSGPVCLFGSEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGNYDGYQNGLPLPTNNGIWEPGTDIWVGARPPIDLDAFGRSDSEGSDSKMQIMDAFLWGRCLSEDEVAALHSAMSPAEHGFFDPAVGDAWHGSYSARVDDWESEDAYDLYDQGDVEWDGQYSSGRKRTAHDAVAIDIDSFARRPRKPRFETRDEVNQRMLSVERAVRDALIAKGERNFTDQEFPPDDRSLFVDPMDPPVKLQVVSEWMRPSDIAKEISINSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEASCISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLYFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSILQVREVDGHKLIQIRNPWANEVEWNGPWSDSSPEWTERMKHKLMHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDFDSWHQNPQYRLRVTGRDAIYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGLRILKTQGCRAAYNIYMHESAGGTDYVNSREISCELVLDPYPKGYTIVPSTIHPGEEAPFVLSVFSKAPIKLEAI >Dexi4B01G0002990.1:cds pep primary_assembly:Fonio_CM05836:4B:1991189:1994834:1 gene:Dexi4B01G0002990 transcript:Dexi4B01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVSRHTLDAPTLETIADASYNVNTQVEAVRSSAAAPRTYIHLVTGGNCSRLAAPFRPSSAEAGTHPYAAALNIHQPFSLCADAGLCSVSTSSSFHGFGSDGGFCYPSAIAEQLLSYDALTSDTPNHLPTCEFGAFQGDPRSGGAAGEAHPANGVGFAYEYDGEPHHGFFPYLFDVEGSGFGSDDGFFLYGVPGHDANCAASCAVQSVWRDNCAEVFEVVLAMLRHPLLRRLYVALDLEFVADASTNVRYRPISWIDWYHQLRTFVNRGDVIQKHIKDEIRFINHKFLKDEFGFINEIFLKDKIRFINEKQIKDTFGFINEKFLKDEFGFIKEIFLKDKFGFIKEIFLKPHQLGYNGASIDFLTEQGHRLAEHRDRGVTAKQFLDGLLHPLLSEHITWIMFHGDRDLGFLTRLLQGALPSDRAAFMREVRRQFPIFYDLRVLGQLVKEGFSGKLSVLAEILGVERVGGEHHAGSDALLTMSCFWEIIRNSQHELHRLEARKCLLSGMEELDMAIKCAHHIDGASFIPIEVRECNFDKEAQVIVELVTSNFKIVGVHMQQHPQFGGPLCSAAEEQKDYDPMKSSLEGIGEFQVEIGFMNAEGMLAFGRVWKFFLRIDPAVKRSQGIAWLIKPSLPAGDLPNSCNPRKVS >Dexi9A01G0042810.1:cds pep primary_assembly:Fonio_CM05836:9A:46320307:46321919:-1 gene:Dexi9A01G0042810 transcript:Dexi9A01G0042810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPTATFLHIPASSSSSSSLVAAPGILTGQRAAPRAHPLRARPPRRVTVVCSGAAAEASDTAAPTEKNAHWVAEILGDGDVSVKLNIKAGAFSASAKEKLEAAGCNLTLLPKRKKWLSQNYLKNQARAEEYFAKKKGGAGESDGTSV >Dexi9B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:9B:13378704:13378997:1 gene:Dexi9B01G0018790 transcript:Dexi9B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCRVRARGWGMTREKAFVRVRVCEDDDDAGLSWRLPHDAQAGSGRGAPADGPAGSVGVIAAQSGPKARRMYADGRPTQSPPPVVYCSTVIHDCCH >Dexi1A01G0023980.1:cds pep primary_assembly:Fonio_CM05836:1A:30656256:30656669:1 gene:Dexi1A01G0023980 transcript:Dexi1A01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLSLSPSPKQQQQQEEDDGSGDAAQAMAVTEDESASHSKADRGARSAREERAIHLIPLLTFLCFLLLFLCSHHPSASDMSSFAGGGGVRSGNRRLRML >Dexi7A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:7A:23767641:23770223:-1 gene:Dexi7A01G0013830 transcript:Dexi7A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIGFKVKTTSPKKYCVRPNSGVVPPRSTSDVIVAMQAQKEAPPDMQCKDKFLVQSVIVAEGTSAKDITGDMFTKESGNVVDEVKLKVVYVPPPKPPSPVREGSEEGSSPRHSLSDGSNLNYQEMQTTRESDEPPPLAAVKAQKDQDGFTSETSALISRLTEERNSAIQQNNKLREELDLVRRELSKQNGGFSFVVAAIALLGVLIGFLMKR >Dexi2A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:2A:32249763:32250062:-1 gene:Dexi2A01G0020200 transcript:Dexi2A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSWRYRTSSQVAATCTGNTATVVTAMTQNLLSGRRMASMKFTGSMYMLIVPVSSDSSPGRPNATSSCALKTYTGARSTATARRMSHERCR >Dexi2A01G0027730.1:cds pep primary_assembly:Fonio_CM05836:2A:39051261:39058665:-1 gene:Dexi2A01G0027730 transcript:Dexi2A01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRDLIGRGSFGDVYKGFDKELNKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQRPSAKELLKHRFIKNARKTPKLLERIRERPKFAAKHMDATQNGQTHVEEDDAGTVLCQNDQSVSGSGTVVLRSPRASQAASNHSSKPPSRFSSYEDMSFSGTVVRNQSEDPETPKSSRSRLGIQEKASSSSLEDSAVNLAEAKAALQAGLRKGHARERPVVNRHEKDSPEHRSPGVNSQVQSESVDMQKGRRSRQLPDGQSASRASSSITSPAISSLILPSLKEATGDKFERPAVHAFLDSLMDLEHEIPGSCEVLIGRLLHRLGSSKEASFQGLQEVAVSVFAKKHEPPAEQASNKKLANTPPLAAPTVSPLARFLLTRLVCSGKTKFRKISIPFDSCEYAIQEKKMIHLGFCIINFE >Dexi3B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:3B:6761627:6761905:-1 gene:Dexi3B01G0009760 transcript:Dexi3B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEILLAILLPPLGVFLRYGIGVEFWICLVLTILGYIPGIIYAIYVLVA >Dexi8B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:8B:8248368:8248669:-1 gene:Dexi8B01G0007060 transcript:Dexi8B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARNFLDMVAALPASKLDMLYDSAFICEAVLRSLPLLAKKYALQMLYVLAPVTATAMEEWGCH >Dexi6B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:6B:5759600:5760150:1 gene:Dexi6B01G0006140 transcript:Dexi6B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASPAQESSAPAVAEQEEAPREPCPPEAATEPGAPAAAEKKVEVEEEEEEGECGLCLFMDDGGCKDAFMAWEECVAAVAAQEEDGKVMAERCREATSNLIKCMSAHADYYAPVLRAPQAFNQRVEAAAKGEPAASPQQADSVTGVGKKKEETVAEETVVDHE >Dexi4A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:4A:7306357:7307562:-1 gene:Dexi4A01G0009290 transcript:Dexi4A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFFAYDPYDYYYAAPYHYPYPYYQYQHPTPSHGHGIGEFFTDAAAPEAVSVAPRPRVESSRPVSIPVHFVGSDPEPERGSARMPRRRRAPSAEEAAVRVQAVARGFLARRSVRAVRDVEREAEEVGEKIAREAEALRGDARARIAVGEALMRLLLRLDAVRGAREYRRKVTKRVLALQDAVDALEAKSAPAAVTVDEDKSEVTAEMADDDGAAASQLPNAVEDHKGEIETMAVAEDRADGEPEEVEEAEEAQDGASLDGHKPEGSDVEGDWEMVVEEGEPEASTEPPASRPEAPRPQDPAGSDLRRAAEASAGAGDGDLDARKVMEMVAALCERNAQQCAVIGALAERVDALERAVRRAEEAERRRRRGKKAKKEGKGSNHSKCCSDCPIEGEGSEH >Dexi4B01G0019690.1:cds pep primary_assembly:Fonio_CM05836:4B:21869825:21870904:-1 gene:Dexi4B01G0019690 transcript:Dexi4B01G0019690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAYVDLTEAARSVQMFKINGFSATKEKPDGYTWWKVCTVGGHDWRIEFHPRLSCPSYQYTDWIMFRVRLISTGARDVAASFSCRLVEPSSPGGSYLDLEEITSAMFHENHEREVLLIRRTDLEGSQRQYVKDDCILVQCAINVLPGKPKDPAAAAKASLRVPSSDLHQQFGELLRSQKGADVTFLVAGERIPAHRSVLAARSPVFMAELLFGDDDTKEYASSRPCVVIDDMEPEVFRAMLRFVYTDTVPELDLLKGEQARAMATRLLEAGERYGLRRLSRICVEKICRVISVDTVATTLALAERHGCSKLKAKCIKFTLANLGAVSATEGYKHLEAICPSVLTELLKLMVEGTRRV >Dexi2A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:2A:4705874:4708620:1 gene:Dexi2A01G0005060 transcript:Dexi2A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPPPPHGAAEDDFLEHFFAFPPAASAGAGGAHAGAGAGGDHPFPLALSLDAAAAAAEASAGAAKQDRDPVQLAGLFPPVFGGGGVQQPHLRGPPPPQMFHVQPKPGEGGMVPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKQVVQSYDDLTVGFRITDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKGEASDSGSTQNIWEKWSTDGTEKQVAKLMDEDIGAAMQFLQSKALCMMPISLAMAIYDTQHPQDGHSLKPEPNTSS >Dexi5B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:5B:3095355:3096417:1 gene:Dexi5B01G0004590 transcript:Dexi5B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGRLATAVAPPALAAFLPVVLVAAFVLAVATPALARGTSCPEGWQISPVQNKCFMYISASISWDRSEALCHNNYSGHLAALSSVQELNFAKSLCGSSASGCWVGGHQYNTSTGNGWKWSDDSSAWNETVFPVELLHGNCSGALNPML >Dexi9A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:9A:4052284:4054073:1 gene:Dexi9A01G0007080 transcript:Dexi9A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEFRRAVRGLSSGQEPLPLGYKPLAIQAADATMAVLALALLLLTSLQLAVADQFTYDGFAGASLALDGAARVAPNGLLVMTNDTAAMTGHALHPSPLRFRDEAAPNGSVRSFSASFVFAIVSPHLQRSHGLAFFVSRTRNLSTTTMPYQYLGLLNTTDGDDGAVTNHILAVELDTVLNYEFADIDNNHVGIDVDSLRSVAAASAGYYDADDNGGVLQNLSVSSGEAMQVWVDYDGNSTVLDVTLAPAGEPKPNKPLVSISVDLAAVVPAGAYVGFSSSTGAMACSHYVLGWSFALDTAAPPLDTSRLPEYPKPTRRRKKKVMAIVLPVVAIAIVVVVVSVAGVVVYVRRRMEEYVELREDWEAEFGAHRFPYKDLYRATNGFTKKRLVGKGGSGEVYRGVLRTRCGSVVAVKRVSDESRQRTTEFVAEIIKDVAAGLLYLHEEWEQVIVHRDIKPSNVLLDDEMKARQRMFTPSVHSF >Dexi6A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:6A:21250696:21252488:-1 gene:Dexi6A01G0013920 transcript:Dexi6A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPRLAQMIWRRSAGSRVLGHRFVSEFVGLENSNNMQQGQSETVKYRVFAGTWNVGGVAPPDDLDLEDWLDTKADSYDIYVLGFQEIVPLNARNVLGPKQRSAAIKWQLLIGDALNNSRSVEENGNESKQMRQEQDMFRCAMSKQMVGIFVSVWTRTSLRRHVRHAGVSTIGAGVLGHLGNKGAVSVRFLLHGTSFCFVCCHLASGGEKGAALRRNADAAGILSRTRFLDSGGAPAPATKDMPKNILDHDRVVLLGDLNYRIAMEDAEPRQLVKARKWSMLLENDELLLELCKGRQFDGWREGHVTFAPTYKYHRDSDQFYWCADAGRQKKHRAPAWCDRILWRGKGMKQIRYERCSGYRLSDHRPVRAVFHTVCELAEGVDGY >Dexi3B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:3B:1319227:1320545:-1 gene:Dexi3B01G0001850 transcript:Dexi3B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAANPAVETRDGSHRCLRAAPSGPTLWEIGVPDRTAEEFFVPDVDAKYANNLFLNKDKYRQYGLWERYAELYPDGKDLVFTVGQSNHSKDWFFAHVTRKVGDGFMPTTRQIRFNLDHVVADGTYTLRIALAAAHMSRLQVVQVNGGTRRGSVFTTPEFGDGNAIARHGIHGVQWSFEFLIKGYMLREGENTISITQTRALGLFLGVMYEYIRMEGPAEAGSL >Dexi7A01G0023900.1:cds pep primary_assembly:Fonio_CM05836:7A:31777052:31780218:-1 gene:Dexi7A01G0023900 transcript:Dexi7A01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDKTAAAAAAEQPKRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFLNSCNYLSDKKNENKEVAVDAFLPATTARDAIQYSMDLYAQYILQSLRQ >Dexi7B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:7B:15370476:15370744:-1 gene:Dexi7B01G0007520 transcript:Dexi7B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVTSCPAPPRRLSSAVALRDPSPAASHRRWVVGYGCSSARRWPCRRWAHRPESAAFRIRRPLPSRRAAAVRVSCAYSSGGRN >DexiUA01G0025320.1:cds pep primary_assembly:Fonio_CM05836:UA:53296461:53298389:-1 gene:DexiUA01G0025320 transcript:DexiUA01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEAMAEMQKETGTLGRGGVTWTGRKQTPARLVDRHSNTASKAASLTASSHLSRLPRRFPSIGGECVRAGLTVPGGSDEAAGGRRQGLGFAVQTMVGKHRKQASTRLVHHGSTRRCPHKGSFRRRVIDA >Dexi4A01G0021930.1:cds pep primary_assembly:Fonio_CM05836:4A:25326971:25327539:1 gene:Dexi4A01G0021930 transcript:Dexi4A01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDSSSGLWAVLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQHVEVVGGLLRELELAELMEREATRRPLEQLGGALRRCYALVTACQDCGHLRRLLAGARMADELRAAEKEIDMFIRLVPLIALVDTAHDRRAKE >DexiUA01G0012880.1:cds pep primary_assembly:Fonio_CM05836:UA:26731524:26732012:1 gene:DexiUA01G0012880 transcript:DexiUA01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTKSIGGDAMALSIGVSGRESSSSPASCGDPTCFWATRTCRSSLLLPRCPPSPGVYVRAPAGTVVHRLEPGARPAGVRPRQQPEAGRWRRDACAEGSSRPGPSGLGALRVLGGLLGSARSGSGVSNHEPFKAGLGHWDRDPGPARRVMHPSMYLRIAREQ >Dexi5A01G0034400.1:cds pep primary_assembly:Fonio_CM05836:5A:36469428:36470009:1 gene:Dexi5A01G0034400 transcript:Dexi5A01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLPFAVDTWGRGPSSRHRRHRFLTHAHRDHLVGAGAGAGDGGTVYATRLTLALALRHFPQLESWEFVEMEVGRTVAVDDPAGAFSVTAYDANHCPVQE >Dexi8A01G0004820.1:cds pep primary_assembly:Fonio_CM05836:8A:4243880:4244347:1 gene:Dexi8A01G0004820 transcript:Dexi8A01G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPIVDDEASKGYKLWQSGNSVIAVGALVMTHDTLYHQHLEFWTMNLSVEEPLMWVKDGEMDCKEL >Dexi1A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:1A:3117999:3123299:1 gene:Dexi1A01G0004240 transcript:Dexi1A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNFNLAVPNLSRRVAPSPSRRRIPSASILPLHRRRVPSASSPPPTQPRSGPLRLHPLPRFAARLSPRERWTADEHDRFLHALVLFGRVWKRIEAFVVLVTSTQPRPEALPKVSELGLPTPAPHPRRAAVVTDAVTPSDETLIRDVFSHREALGHHDARPPPQRPRPPRCRPPGKNHIKMAPGQNRGRSKPPTAGQFIGLSSSSLAAVGVRTAGRDATRDAGSAYAVRISEHACMQLQLLLRTQPVRPTLAVSACTFACNDDPCMYVLKACIHVLLMTDRTRLPVARHTGAWPRDRRRRRGESDPAVRYLRLMRGGELEGSASAGTLSRGRRLSSFGLGGSAPEQVGGINTTPHTLPLISILPRSQPTPEVPNPRLSKATCSSSPTHHGLLLRPTHPVVVVAETKGETVSLEKPLPVVIGFGSKSLSRRSRAVVSRFPSLVFSRFEGGASLLLLNWVLFVGVNAAFMEKLMARGRTGVDAAVADVANPNSNSHGHGRLHVFMYPDHLVVRKMPEEHSATHVCISFFCTSVKLGERADPRIGRRLAGVAPRRCRDCLMRGGGGGGGGGGEPPPPPPPRGATKRGGDQGSARE >Dexi2A01G0033970.1:cds pep primary_assembly:Fonio_CM05836:2A:44122299:44125090:1 gene:Dexi2A01G0033970 transcript:Dexi2A01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIQFKPFRCMSDLVAVLYAVAPSMLNDSHFLDRAMLVDGVSPSTSPRDLTDCFALLDVEQSVLVRDSSTGYTVGLVVFSDTVDAVTAATLAVRPGFYAYCTPATSHGNSLSYIFDALGVRATRRPMPDLFRGHLSAVIAWSDGGAGVLVYDDADTTEMLFGRAPRLLHQSGLQMHDSSVFPFPAEPADREATLLLRLLPPYISEERYRGRVVLLTELDTQLTDAAEIAYAVQYRLDLIYESHEVEAVIIHRSRGVVFVVLGCSEDLEIVLKEPPDTWVLAFGRQVSLHVSKQRSSAELLEYARELLTRELEACGDHDVRQMSSCLIGLSALLSYDVPDDLLLCAARRVALAVFSSCTGASRLLREPAESWRRMGFDDCRRAPGAEEAGIIADEVHLTLSAIHGMGPDFL >DexiUA01G0021170.1:cds pep primary_assembly:Fonio_CM05836:UA:43754862:43756754:1 gene:DexiUA01G0021170 transcript:DexiUA01G0021170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGKEGADTKGSTMSARTYGRSRSRVMRIYLFGGRSNIHGRPLHNDPLQESAVGSRTLLPKRLRPWATPARSEVSLWEQDFFSSVVFCAICRLDFMGFMTHGTAAGAASPPLLEPLPLLRDVAAADRPGLLIRKLRIVAAIFDLSDSLKHPREKEAKRQALLELVDYVQAPAQAAANAPARLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDDEEEPFLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVCFN >Dexi4A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:4A:5831784:5833610:-1 gene:Dexi4A01G0007760 transcript:Dexi4A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAAAAAVRAAAGSPRAVRCVHARLLREGLTHLHPAPALLVSAYTRSGLLPDARQVFDDAPRRDLYLYSSLLAAVAHSPTPALALPLLRRMLSVDSLRPDHFVLASLASAAARLRSLRLGRQLHAHFAVSPYSGDDVVKSSLIDMYCKCGVPKDALKVFDSIGVKNSVVWTTLVSGYASNGYADEALELFWSMPARSLFTWTALISGLVKAGNNASAVVLFVEMRRDGVRIDDAFVLATVIGGSADLAALVLGKQLHGFAMKLGFLSSMIIGNALVDMYSKCSDIHSAREVFEGVTVRDVISWTTILVGEAQHGQVEEVLSLFDRMVHSGFKPNEVTFVGLIYACSHAGLVQKGRQLFESMKLEYGIKPGLQHYTCYLDLLSRSGHLSEAEELIRTMPYEPDEASWGALLSACKKHNDAQMCLRVADNLLELRPKDPSTYILLSNVYAVNCKWDSVARVRKIMAEMEIRKKPGYSWIQAGKEFQLFHAGEVPLDIREEITRFLEELVSEMRKRGYVPDTSSVMHDLEQHEKEQHLFLHSERLAVAFGILKTPPGSVIRIVKNLRVCGDCHTVMKLISEIAQRKIIVRDASRFHHFEGGKCSCSEFW >Dexi4A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:4A:21932791:21935045:1 gene:Dexi4A01G0018040 transcript:Dexi4A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRLLSRRASSSSSTASLSSLLRRAAAGAASPEQSLLLRPAVVAAASRLGFPRGMARRPGGDGYSPMRSGGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLSKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQR >Dexi4A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:4A:193168:194616:-1 gene:Dexi4A01G0000270 transcript:Dexi4A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSTQAQTTQQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRLGGPGEEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLVYYKGRAPNGHKSDWIMHEYRLETNENGPPQASLRMGISFFNIHCLHFRKKDGCGVLPHHTMSQLQEQHLQMLNNTCKRELKLQFQMPSHNVLNNIPHELESPSFHSLLVSPDHQTSVHHHAHQHAQLMEHAVDQVTDWRVLDKFVASQLSHDATKGVDYADEGDIIQVNEKQEVATDYASTSTSSSQVDPWK >Dexi4A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:4A:8486221:8486556:1 gene:Dexi4A01G0010620 transcript:Dexi4A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTVAEWEALAARAEAALAAAQSAAAPPPLVMPLPYFPYSGGASSETVVCSICLEPLRQWQLCSEVPCLGEWVRSSGTCPLCRAKIVPGSYGVAAADDMV >Dexi1B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:1B:24154695:24155201:1 gene:Dexi1B01G0017810 transcript:Dexi1B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRGRGGAAAGQRGTKSSSAQPCDEVDVELLKAVAQAWHAQLGNPRPSSRAAGDDSDAGVRRRDGAAARRRPSRFKLEAMAAAANASAPPPREEAPWDFAQSLLDTYELVAVARRLESGLVVADQVAGSAATDVPREQGKRGRESGRSLRSLLLRSTPRRFGEASS >Dexi8B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:8B:11895307:11900388:1 gene:Dexi8B01G0008620 transcript:Dexi8B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRPRRRARASTEPGDEAAAVEEEPALFPLGALVEVRSDDIGFAGSFYEATVVGYQGDGLDYVVAYSTLVRSKDGSSPLREPAAATDVRPRPPPAPPRQFAVHEMVEAFHNEGWWAGVVCAVPVPPDLETAPRPPRRVYKVCFPTSRELLEFEEAALRPNRVFQGGRWVSAAEAGNGMPLLRDGSQVEVSRSAKTFGKYWSPAIILKVIGATSFLIQYRNVREDGEQLTEILDSQYIRPTRNIIRMDSKYRFPPSSHVEVFHEGSWWTGAVLEVLDNESTKKYVIKIKNKETDVDDVECVDLLTVDHTQLRPKYNWYHGKWVRSLPEKPANRRPQLTPHKSPISATLASCNDIVDLVPLAPCNDSEQIRDEPGSFLKKKVSDEDVILKQMLKRKRLAGKKVKGGTKLAAISEESTELNNDDDNDLPKNVDLGSVLHSEGNIVTCTDPRRLKDDKGSQEISVFLRESSVADEIIPTGVPIGADTFHQEDCIRGAQHGATKVSVLIEESALSITSTLDNSSQAHVFSSYYSTRCENKAANAEKSATIMEQGTCEEFCQQSSISADDANVHLLPSVESSENTRGKDCMEAMMECVGSCVPTENDSIMGGVVPNLLLSSENCEVSKRYGMSKAVHQENICHIPDTKQASATGLSSAISLPTLNDLTDHEQHGVSQNSLAMVEFAAEGSQSIKNSGITPFSSISMSNSTEAEQGDTLIDPKDSERMPVPKYVPSRTQGTCLSLLQRSRDVHESIMSYPPTEPLAIGSVPFMKTSPMWEQIEAMEVFRNMPQRPHFYKFKQHVPEFREGMALGLMLSFANMAESIRRLSIHDDDALFEEKMKGLSSLEADGFDVRLLRSRLETLLHIRNGTAGFQGAIRDLGKKISHIEADDRHLGTQIGILNMTVRQLELQAYFFRCIMRSAISQKVNYASEIVGLETEAGTLEASYLSAEQRFSSAAAAPW >Dexi1B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:1B:2930768:2937059:-1 gene:Dexi1B01G0003710 transcript:Dexi1B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSTNNFLGRAVWEFDAGDGTPEELAEVERARREFTDHRFQRRESADLLMRMQCAKQSSHRRDLPHIKLKEDEQVTEEIALNSLRRALDQFSSLQASDGHWPGDFSGIMFNEDGGWGTLILGSSSMFGTCSNYITLRLLGEELNDKDGALAKGRAWILSHGGATLVPQWGKIWLSEDLLCPRTRLQNAVWTSLYNYVEPVLSSWPVNKLRGRALGNLMEHIHYEDENTQYVCICSVNKALNMVCCWVDNPNSDAFKRHLARIPDFLWLSEDGMKAQNKDGTFSTYECKRTSSWIEVLNPCESFPNMVVDYP >Dexi9B01G0024670.1:cds pep primary_assembly:Fonio_CM05836:9B:21221699:21222309:1 gene:Dexi9B01G0024670 transcript:Dexi9B01G0024670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKSEMQNPEEWLSATAIADRNLSLRPLLQRSPNVASPVTELVQETNKSPRFTGSPPAAWSSATSDMVSLSLMAENSLTLRALRSSSRSPVVAVRREGDVEVAVADDLGAEQIRPRREADVVGPEHLLRRLRRGDHDGVDLPQPQVHHRAVLIGQVAEGCLRLRGEQVVDASDEGEAIWTRGASLLPVRSGFPTM >Dexi8A01G0005030.1:cds pep primary_assembly:Fonio_CM05836:8A:4421212:4421706:1 gene:Dexi8A01G0005030 transcript:Dexi8A01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDNNMEVADNVAATDGGIAPPPPSAEQRLHQLNQRLHHLQHLAPGFDERVRRRMIEELQEELDLELDFDVVIDSGGDGASAMAVAGLEKQTFHAAGGGGGGGTECAICLVDFEDGEEVSVMPCFHGHGFHSDCITKWLWRSNKCPLCRHQLPTGMDG >Dexi5B01G0035520.1:cds pep primary_assembly:Fonio_CM05836:5B:35491890:35493317:-1 gene:Dexi5B01G0035520 transcript:Dexi5B01G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISMTSQPKCQLWLVFTMLFVTFAVHGEGATSFAPPSLSTSSTYAPVVKVIGKVYCYRCFNEAHPEESHGKEHLEGAMVKVTCQANDQALVAFGYTKNNGKYSVILKGLPISNNYGADSCKVELHGAPGGSDCNVPIELNLSGLSVYSKSSKEVVFKANQIMAFASKKTDGCSKPHMPPPTHPYNSPPLPYQYPSPPASHKSPPLPYQYSPPPSNQFPPPQIYHTSPPPYQQSMPPNSYQTPPPLQGTGSPVPSHKFLPPPYYYNSPPPQYQHNYVPPPLVHQYPPPPHIRKSPLLPSTPATPFSYNSPPPQHQYNYVPPPLAHQYPPPPHIHKSPLLPPTPATPISYNSPPPYNYQSSQSPAQYSPQLPLTASNHLHPEVPHAKSPPASASPQPLYQHNSPPPSIEALPPTTPPLRSYQFPTPTTKLS >Dexi5B01G0021260.1:cds pep primary_assembly:Fonio_CM05836:5B:23574421:23577537:1 gene:Dexi5B01G0021260 transcript:Dexi5B01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDLSSEMKRTVLGLTLWVWIAIGVVALLVAILLMICIWVASRRRTKRTMDNLRQTQIPIYSKEIPVDRIAGRSLAQTMHEREQPSLPPQDKYTNREPAGGTLGHLALSKSSDHDNMSQGSSVCNVDRAASVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTQRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQRGAFTWENRMKVVTGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRGPVDYSRTSNEVNLVEWLKTMVANRRAEEVADPSLEVRPSIRSLKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFGKKVMLVGGGGNASPDVAT >Dexi1B01G0025580.1:cds pep primary_assembly:Fonio_CM05836:1B:30569563:30569888:-1 gene:Dexi1B01G0025580 transcript:Dexi1B01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFNQRSSLEGKIPSGLFNVCFDLDSGAWAKDAAATKCLAMDGYFISLFDLRLDRRPLALADHVLRDVPAAWDPTATARG >Dexi7B01G0011500.1:cds pep primary_assembly:Fonio_CM05836:7B:18524474:18525630:1 gene:Dexi7B01G0011500 transcript:Dexi7B01G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPDDALADALRRLPARSLAAARCVCKAWRGIVDDRGLLLPHLLPNSVRGIFINYIDHYRPHLFARPSPAAESPEIDAMLSFLPNDESRDWWSVMDHCDGLLLCDIIDRESQLCVCNPATRRWTLLPERLHGYAGAHLMFDPAASPHYEVILIPDVPKKPSRPYGWKVKRKKRQHKIDGAFCLQWLFSSPDEEELKTATELAGHVLPCVDENEDEDEDKEPDDPYRLMEWPPSPWQLDVFSSRTGQWEAKSFIREGEPVGTVEDVRLDLSEHWRRMLRRLPKRNTLCPLWRVFYYER >Dexi3B01G0015210.1:cds pep primary_assembly:Fonio_CM05836:3B:11026355:11030233:1 gene:Dexi3B01G0015210 transcript:Dexi3B01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRGVWVMSTWWRDKQHANLINFIASFLAANYRLNFLSVPLLAQAIGSIEAIAKASKEFILGNTDLSTEKAERMVRLFRDPQYYLSPKIN >Dexi5A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:5A:5035945:5041835:1 gene:Dexi5A01G0006760 transcript:Dexi5A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVRNEEVGLTDKEKLKSWLEGSHLPGGEDERTCMLQGTRGELRTSLLYLKESWSLPRPPAVPEAHYSVFIFLCCWRIWKHRNEVVFRAEEPSLLRLLRDCKEDAHLWAGRLPRPRSPATPRNPCPWEYLSVIDQFPFRKLPFGPAAAQRVRGGLSSRGVVSSGKSVKVALRLRKGRSKLVETPPARLRQKALLRSPNPMRDCAAIFSRLYLAFPRALCAAPAFQLKSSLMRLLNSSNSQVARFLPSHPIASGGHSTTPVA >DexiUA01G0018320.1:cds pep primary_assembly:Fonio_CM05836:UA:39041976:39043286:1 gene:DexiUA01G0018320 transcript:DexiUA01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSPHEKRLLRRTDFYDYKNEKGHREGRVTQCYRLVQWDILMVQKQVSIIKQMDPRDPFRIQMTDMLLDKLYNMGLISTNKSLVKCEKLSVSSFCRRRLATVMKKIKMTEHLKEAVTYIQQGHVRVGPDVVTDPAYLVTRNMEDLITWVDSSKIKKKVIEYNDAFDDFDAMA >Dexi9B01G0045750.1:cds pep primary_assembly:Fonio_CM05836:9B:45217656:45219664:-1 gene:Dexi9B01G0045750 transcript:Dexi9B01G0045750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGRRSAALVALLLAVAATAAVSADGDDFFSPLAPIFAPVINSICSTVACGKGNCTVAPGTLGYRCECDPGWTQLHVGDNLRFLPCVIPNCSIDSTCSNGSSAPAPTPLPSPKNFSLDPCELAYCGSGGTCRNGSGLSYHCDCKEGYSNLLNMTTMPCFQNCSIGADCSRIGLPISNSSDKSPAPPGSESIYNNGNAATPGSISERILLPLLLLVSLSVGQVI >Dexi9A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:9A:5393777:5395598:1 gene:Dexi9A01G0009100 transcript:Dexi9A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAAVLRALATGPGSRVTRFAKSTAASVTPVRPGRTHALSPLDNAMERHTVHVVLYYLAAPGLDRDQLKESLSEVLSLYPAMTGRLTRGEGGGGGEGGPTAVEGETPARRGWVVKCNDAGVRMVDARAAPTLDEWLATATGDEEMDLLYYEPVGPETYIWSPFYIQLTEFADKSYALGLSCTHIHNDPTAAALFFQAWAAAHRRTTSTYPPFLHAPAFEVSPASPPPPPPILADKSSAAASPAIADAPAMSSATFHFPASAVRALLASLEPGTTPFAALAALFWLRITAGDEDGERELTVALDFRKRMHAPLPWGYYGSAVHLTRVRADLASGLPAVAAALDRHVTGVPEDDLWSAVEWLHARQQLQLEEGGAGEPFQMYGPELTCVALDHVPMYGAEFEAGAPPARVSCRVGGAAGEGLVIVLPAAEGGEARDVVVMLPAEKTASVCRDGVVLRHGGQVVFGAKAGKVA >Dexi9A01G0042660.1:cds pep primary_assembly:Fonio_CM05836:9A:46214726:46215639:-1 gene:Dexi9A01G0042660 transcript:Dexi9A01G0042660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLLVVSSLPARCSRLAVPHLQRLIGPRVSMSSSFSSPPEDLSMETEAPRAPITRERRLNPDLQQQLTKPYLARALEAVDPSHPQGTKGRDPRGLSVLQQHAAFFDRNGDGIIYPWETFQGGWPTECLFSFQ >Dexi4A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:4A:9499831:9500184:1 gene:Dexi4A01G0011470 transcript:Dexi4A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGEVLTVEAGAGVLNEGTHPVVVTDAPPAIVAAAPPAVVATAPPDASRYYAAVPVALSSPPPAPPSLDPCMAEGIDGIWIHGEGDQDVRHEGGRGFKAVWFPQRGSGGGVCP >Dexi5A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:5A:13516151:13516461:1 gene:Dexi5A01G0015700 transcript:Dexi5A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLGGNRAVVVVPGGGGVVVVVLGAGGVVVVVAGGGGGAMVVVPSGGGGAVVVVASGGGAVVVVVAGAGGDEEVPSPGHGREAKGNRERLRGRGEMS >Dexi2B01G0026910.1:cds pep primary_assembly:Fonio_CM05836:2B:35964056:35967292:-1 gene:Dexi2B01G0026910 transcript:Dexi2B01G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKNRGPPHHRTFGEVDGVGPLLACDLAGADLEQNREPPAVQAPLPTAAAAVHTSIPKPTIMSKKASFAGLGLKILCSRRFWKVAREKRAREIGGVKGKEEGKNGARVGRGRLGNFGFRCSPARWIWNRGDIPRFGEGSARCLGRARLPHGAWPASPPTTSKDFTHIAIAASALLFNNIH >Dexi7A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:7A:24612680:24615299:1 gene:Dexi7A01G0014670 transcript:Dexi7A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPQLFHFASPAGGSGASGATAGEGRRKEKPAASGAAAVARCQVDGCHVELADAKEYHRRHKVCEAHSKAPRVVVLGAEQRFCQQCSRFHAISEFDDAKRSCRRRLAKHNERRRKSNASEAMARGAAHPHGVVAAFGHGFLPPCGLPSAASPAGALSLLSSARGGAAGAPWLVVPAAPDISARSSAALDELIAENRAALLASWHFFSDSDRSGPGRHLAPPSSAASWHPHHHRNAAGHATLDLMQTSAATTTAARPDAGAPEPPFRPVPERAAAAASRPPRTKKEGDGCGSDAWASSLGGARAM >Dexi8B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:8B:15318416:15318910:-1 gene:Dexi8B01G0009060 transcript:Dexi8B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPDPAAAERTAFRRAEKQYKLYKPPNLKGKSRPRNKPAAVQGGGGDLSAVVDFHALLAADGELPAGICRRDCAGFDRSVFCFFDRPVHFEQE >Dexi2A01G0026550.1:cds pep primary_assembly:Fonio_CM05836:2A:38136858:38137629:-1 gene:Dexi2A01G0026550 transcript:Dexi2A01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAVATAAGTGGAFQFEEVTVDAIQQGFSNGSLTSTALVRFYLDQIARLNPTLRAVIKVNPDAMAQAARADAERSASSSSGRGALHGVPVLLKDNMATHGDRLNTTAGSLALLGSAVPRDAGVVARLRRAGAVILGKANLSEWSNFRPVQDGWSARGGQTVNPYVHSATTGGSSAGPAVAAAANMAAVTLGSETDGSILNPSSSNAVVGIKPTVGLTSRSGVIPIMPRQDTIG >Dexi5B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:5B:7834616:7835961:1 gene:Dexi5B01G0011120 transcript:Dexi5B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTPAPPRRVIVCGGGVIGACTAYFLSTHGTTPTVPTVLEKCAPACAASGKAGGFLAADWRGYLPASWCGSTPSLSALMRVSFALHRRLASTLDGAAAYGFRPIHALSVSLPSGDDDPMVQNWVDPTASGAEVLGAPDATAQVHPGLFTKAVLAASGAGVVIGEVERVVVREDGRVAGVAVKGRAGVMEADAVVLALGPWSARLDMIRELFVVYGQKAHSVVLRPPEPDTITPHALFLRYQPEPGGRVFKPEDEEVPDDPAAIVGEAESIAMLRKIAGKLSGKLRTEEGAEVVAEQACYLPCTTDGLPVIGEMPGVKGCYVATGHDCWGILNAPATGAAIAELILDGRAETVDLAPFSPERFLKKK >Dexi7A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:7A:23630088:23635013:1 gene:Dexi7A01G0013640 transcript:Dexi7A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSFRAYIAAQGPAVSVFLPPGQRSLTELTMDMYEQANVGAPWSLSGRVTPCPVGSRASILGLGVAVPEHFWVQDSFPDYYFGITNSNHLVDLKGKFQNICKKTMIEKRHMHMSDDLLKHNPCITAYKSHGCIPDADFEVLKLLGLPLSTRSFMLYQASCHGGGMALRLAKDLAENNPGARVLVVCSEVITMALRGPSETHVGNLVGQAIFSDARKCGHRRS >Dexi7A01G0024250.1:cds pep primary_assembly:Fonio_CM05836:7A:32000287:32007206:-1 gene:Dexi7A01G0024250 transcript:Dexi7A01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDFCDDLDDDFDEPATTVPAPAAAPTPNGLNDRLLRLARTRQDPNPNPSPNPIPPTETAGEEEARKVKLAGRHRLCKLAPTATQHLEEEAEAEQEDHRDAESIRDIMDDLTARLHTLSVQKPNPTGRPTGQQLTPLPCRITADPDDQSAEDVGVSSPLQISSSDEEATVIARRVEVKPEITSIASPFTDYHACAEVRGKGKSKGPKDVGRVSKASSFVGSDSDYDDADEQEGTAADYASKHVRRKTVARRPPKASTFRSNDDSSDDSLGQEKENRGAVEIDAEDVGWEKTEDFKMDPTGTAVTSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGMFHSRLIKRVLVVAPKTLLAHWTKELSVVGLKQKIRDYSGPSTNIRNYELQYAFKEGGVLLTTYDIVRNNYKLLRGNSYNNDDEDEEGTLWNYVILDEGHLIKNNKTQRAQSLFEIPCAHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATAREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGSSEEKTLAKKNELIVWLKLTACQIKLYEAFLKSELVHLAIQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGMVEKMAMNLADIAHDDDALEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQILMATYFCPQEIRELFSLPQQGFDVSLTQQQLQEEHGQQVVMDESLRQHIQFLEKQGIAGVSHHSLLFSKTATLPTLSENDALTLSENDALNSKPQGMPMMPQHYYKGSSSDYVASGVAFALKAKDEKFTAPRYSPSNRSAESPEEIKARIDRLSQTLSNVALVSKLPDGGEKIRRQISELDEKLASAEKEKDKGATEVICLDDLSAEMEDIVLRPF >Dexi1A01G0022410.1:cds pep primary_assembly:Fonio_CM05836:1A:29091787:29092862:-1 gene:Dexi1A01G0022410 transcript:Dexi1A01G0022410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCAQHRFNLPPPNTKQPPASSATASAGAARAHPADDLLGRSSRPSRALLQVTATKNARPSEVVCVNNTIRHTRLPRPGRFFELEMTVRDCELDKYGVVNNAIYAGYIETARQEMVARLGVCTGTIARTGRAMALSELNVKYFAPLKRGTKFVVMVRVVGIKGVRMRMEHLIATLPERKLVLEAMATVVCLNKDYRPTRMFPEMANLLHFFSHPD >Dexi9B01G0026440.1:cds pep primary_assembly:Fonio_CM05836:9B:28577328:28581116:1 gene:Dexi9B01G0026440 transcript:Dexi9B01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRHGGGRGEAGILDGGGGKSRSRTRTSGRTGEKKERKKKWGPHVSMSYFGGDGVAMAVTTPSVVMAVTVIIVWLFHMLLKRSSLGRRLCAATLPSGSMGLPLLGETSRVRRLTCFHFSSDVWKNQTFRYGPVFKTNLVGEDLIVSLDPELNSYVFQQEEKAFQIWYPRSFMRILGDDNIPLSVGPLQKLMRNMALRVFGPENLRQVLLHDAQSAVKRSIESWLEKPSIELKAAASSMIFSVTAKWLIGYKASGSSGDLWKHYDTQGVVTFPISIPGTAFLQMYAEITWEEYKSMKFTSYVIHESLRLANIAPVMFRKLKQDVHIKGYTIPEGWTVMICPAAVHLNPTTYEKPGVFNPWRWKQV >Dexi5B01G0010540.1:cds pep primary_assembly:Fonio_CM05836:5B:7468456:7470222:-1 gene:Dexi5B01G0010540 transcript:Dexi5B01G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALKDYNPLQHERGFHSELNRLVKNSLQFGSIDPASAPKHAHHVDSFSEPQAPTCQGLEENRFWNQAEENVGRLNDHLHSFSEPQTSTLRTPKENRLSSQSKKGKATPSISEEWEKLIIIDDLDDDFATPAPRRPAADKPPRAKPPSPVKPLDEKTSRILARLEAPRAKKQRQANAGKASTNAAPAPSRVASTQNRKPLLPFEPSASQPLKPTFSRLRRKLPT >Dexi9A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:9A:1438621:1441173:-1 gene:Dexi9A01G0002680 transcript:Dexi9A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARAGGRGGPLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLRRTRPDIDGLTGKESNHLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMAQNVMSGFKPDAIPVYASLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEEDGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYVVIEQRYFDCELFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALLITYDEHGGFYDHVPTPVVGVPQPDGIIGPDPYYFKFERLGVRVPTFLISPWIEKGTVIHEPNGPQETSQYEHSSIPATVKKLFNLRPNFLTKRDAWAGTFENYFKIRKTPRTDCPETLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRAFMSSGLTSEL >Dexi3B01G0012010.1:cds pep primary_assembly:Fonio_CM05836:3B:8374209:8375105:1 gene:Dexi3B01G0012010 transcript:Dexi3B01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMPEADWMDGDDEASPAPARSSSRYKGVVPQPNGRWGAQIYERHARVWLGTFADEAAAARAYDVAALRFRGSGGAVNFPGAGGVPSAAEMAFLAARPKSEVVDMLRKHTYDDELRQALRSGSGAGERGDLSLSLVPRVALFEKAVTPSDVGRLNRLVVPKLHAEKHFPPLAGDEDDATAPPVLLAFEDVGDGGKVWRFRYSYWSSSQSYVLTRGWSRFVREKGIVAGDTVAFSQAAAVTDDGEVVGGKRRMFIECRKRKRDDDDDDRGDDDCCPDGGARVVRLFGANIAAATIGD >Dexi3B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:3B:12532494:12534853:1 gene:Dexi3B01G0017090 transcript:Dexi3B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRCFLRLLSSRLAPQRSQPLAPVSIAARTLTSLSEPLAHPAPRALASPRLYYPSRSHFATRSSGDEEDEEEHYDDEGSEEEWGEEEEAVAAKKPSGKTEEEKIEEAAEIGYKVVGPLGSDEKPFKPYEPFFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQTQTVIGRPILPEASVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKPDTVAVAA >Dexi1B01G0021100.1:cds pep primary_assembly:Fonio_CM05836:1B:27054867:27055889:-1 gene:Dexi1B01G0021100 transcript:Dexi1B01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVEAAPAPTQTAIHVSRRPIPPGLVPVAVLLAAAVGLLALLPSLAQAVWEVPHLFLLGLVISYGVFTSQQRNADGNGANAAKERSLAWNARYHPDDPLVVVADHHAATSHDDDDDGEQQAAGARERPLSLPVRRLKPAAAAEEVSETVDDDAGDAFVGEEETDSCTSSSAFWAGGARAVPSPPSVLDADLGLSPPRSQPESSASASRPFFVHSGASNSHASNAAAAAASAMSRGFVAPGNLRSVPHEQPWNDDNGEGTDWDDEEDEMTVVSSVRSVRGDLAGACAYDHNEGDDGDTSVDEELFELAAKMEPDGEEEVDRKADEFIAKFREQIRLQRH >Dexi5B01G0021360.1:cds pep primary_assembly:Fonio_CM05836:5B:23629423:23629815:-1 gene:Dexi5B01G0021360 transcript:Dexi5B01G0021360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVATAPPPRRRHRRKASDAAAAALAAASSYGDVFGGPPRFAPPPAFAEGAGAAPADYSEVFGGVAASCSIPYLDLPPAVADGAGAAVGGYGEIFGCFDFGDFAVPYEEMLPGADCLAEEIVSPSGSSR >Dexi9B01G0045460.1:cds pep primary_assembly:Fonio_CM05836:9B:44948013:44952608:1 gene:Dexi9B01G0045460 transcript:Dexi9B01G0045460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPAALLGCAVAVAVLAAAVECAVTYDKKAVLIDGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNYYFEDRYDLVRFIKTVQKAGLFAHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKTAMQGFTEKIVGMMKSEKLFASQVAICVYICKICPKKNDRNFLGSRNLVELSANDRSKISHLRLLDLYKKAVLLSITTCIMEEQILDALLGVPSSQQAMIMMLQLMNMVGVQTSQMQMWADGASSMMWERYDEEVDSLAAAPLLTTTGLLEQLNVTRDSSDYLWYITSVEISPSENFLQAGGKPLSLSVQSAGHTLHVFINGQLQGSAYGTREDRRIKYNGIANLRAGTNKIALLSVACGLPNVGVHYETWNTGVVGPVVLHGLNEGSRDLTWQTWSYQAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYANGDCKGCSYTGTFRAPKCQAGCGQPTQRW >Dexi7B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:7B:22335930:22339006:-1 gene:Dexi7B01G0016360 transcript:Dexi7B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAAVSTLTALSIFSSTVEHAAYRSVHGYKVLGRKDGKWVRWERWLERQFVLSLSAPPCIEVAVPAASPRILLAGWRGRPVFREGQTVGTWRCIVAFDSVASIAPSSPPPPVLNPLVNPQLQCLPNLYMDLQKVFPFQKVEAKEQPVHSGEQKKGSDETDSSEYDSDGDPQSVKSLLLLECCMMHAELAPQVQKKPRANRKLIESITLVDIARCFHLPIREASKTLNIGVSILKRKCRKYGIPRWPHRKIKSLESLINDLEYVLDDDAREEVQQGLQKMEEERQAAAIKALTRRKKMLESEKEIIQQKPALDLMTETKQFREDVFKRRHRAKTSIMESLGSLEPLE >Dexi9B01G0033580.1:cds pep primary_assembly:Fonio_CM05836:9B:35718524:35721816:1 gene:Dexi9B01G0033580 transcript:Dexi9B01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLFARRLCSASASDTAAALTPSTISDAAELAAATALRAPSFESRLLSLVPGGVLSDPDFARLTLARLLPIPGPSLRFLRFVSSRLPDPAPDAASAGASPALPEVHEFLLRLPPHLAADAVDLLASHLGIHLSVGTINAASRAALRAARPDLVFRLFSAFSSSPDYPGDVTTVGCLARAYAAQGRPLEGLQLLRDAARRGAAPPGDAAADIVAAFAANGNWAKVSATLHLMITAGCTPDIVVYQRIVHALFAQRNCGEALRVFREIKQRGYDIDRVMYTTMIHGLCKMDCIGDAQQVWDEMVDKGLEPNEYTYCSLINYYCKAGDFEKARKLYDEMLEKGFKQTTLTCNIFIKGFCAHERVYEALEVFEEMSVKGIKHDVITYNTLIWGLCKAGMLPQAIRMYEWLPSSGLEPSALTLSPLIAAVCKEGQLEAAAKLIMSMRARGLEPSQWSNDNIIDVFCKIRRPDEGMSWLAGMLKNNIKPRQQTFDSLVCSLSTSGRVDDGLLVLDIMLKVGFELGRIACAILVDKLCTCSESYSPQLDDILASNR >Dexi5A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:5A:10595713:10600456:1 gene:Dexi5A01G0013830 transcript:Dexi5A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGFLWKENGDQAGAVRMSGGHKDKSLDWDLNDWRWDANLFLATPAAAAPSGSSSRELSRAQGEIDFGVVVDKRRRLSPEEDGSAGCSNNSAVVANGDNSHVVSVQRSPSSEEERPRKGASSSNPPSCQVDGCHADLSGARDYHKRHKVCEAHTRTSMVRIKNVEHRFCQQCSRFHLIQEFDEGKKSCRSRLAKHNGRRRKGQPQGAADGNSTNENQSLNSTLFLLLKQLSGLESGSSSEQINHPNYLVNLLKNLAAIASTQAYQDILKNANLNPTSNAGNNATNGPTMHELTKQSIPAGHESLAGGPPSKKRVQDFDLNGACTEEVESRTDKIVFKLFGKEPRDFPVDLREQILNWLSHCPTDMESYIRPGCVILTVYLCLPNWMWDEVVFYFITNALDMCTLMLASPWQPVMGDKLQRLCVTPIAVACSSTAKFCVQGFNIVQPTTKLLCVFGEKYLIQEETQMLLEDTTMQEGPQCLTFSCSFPSTSGRGFIEVEDYDQSSLSVPFVVTDKDQWCAVVKKLLNTMFRDDIDLDIPSPIEFSLGEAAISDGIGVLDALTDDPQQLGIKAWKNARDDTGLTPEDYAQKRGHISYMQMVQDKIDQRLPKAQVSVAIPSRPSATDTVGKHASQMKSTAQTRFDVESQRSISQLLSCGQCVRQVQLTYGPRTNKFLSTRPAMLSLVAIAAVCVCVGLIMKSPPQVGSMKPFLWDKIRWGPN >Dexi7A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:7A:21831794:21835186:-1 gene:Dexi7A01G0011250 transcript:Dexi7A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIADGAAEDDHSRNMDLDRGALSARQHHELDETQQSWLLGPPEAKKKDKYVDLGCIVVKRKVLWWAFWCVVAAFVLIGLPIIIAKAIPHKKPRPPPPDQYTEALHKALLFFNAQKSGRLPKNNGIPWRGNSGLSDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKIYAQVGAAKINGTQPDDHHCWNRPEDMAYPRPTIAVSSGPDLGGEIAAALAAASIVFRDNAAYSKKLTQGAATVYKFARQSGHRTPYSLRQPDIEYYYNSTSYWDEYMWSAAWMYYATGNTSYISFATDPRLPKNAKAFFNILDFSVFSWDNKLPGAQLLLSRLRMFLNPGYPYEESLIGYHNATSLNMCMYFPRFNSFNFTKGGMALFNHGNGQPLQYVVANSFVAALYADYMEAVNVPGWYCGPNFMTTNDLRDFAKSQLNYILGDNPRKMSYVVGFGKKYPRHLHHRGASTPHNGVKYSCTGGYKWRDSKKADPNLLNGAMVGGPDKNDGFKDSRNTFGQNEPTLVGNAGLVAALVAITSSGKGAGVTAVDKNTMFSAVPPMFPAAPPPPSSWKP >Dexi1B01G0023500.1:cds pep primary_assembly:Fonio_CM05836:1B:29095018:29097219:-1 gene:Dexi1B01G0023500 transcript:Dexi1B01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAVLNERIVSSMSQKHVAAHPWHDLEIGPGAPEIFNCVVEIPRGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFKDIKDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAKDAIEAIKYSMDLYGSYIIESLRK >Dexi4B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:4B:444850:448908:1 gene:Dexi4B01G0000660 transcript:Dexi4B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPRNARAGRPQPGSFECKLRRLILNGGLAVAGASAEDVANTLRIHHAEYRRQKLEPFTAQVRRALSKIPSPSSFSSSSSSSASDDDSSASRRHHRRRHDPHATASSSVSDDAAHPPSSPAFDVTKSSLRSQYQAQAQAQASKRSPAASQQLEIEVTAEKPRRLITSDGGAGGDAKPEPTASEGGVVRGDKGPRFADLGGMEAVIEELMMEVVVPLCHPELPQRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDQFHQNIGPGGGDLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLTQNLRLEGEFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDERKAQYRRNNDGSSKHDWWREPWDACEVESLHITMDDFEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPGAEERVSILKAHARSKPVSSNVDLKALAHREECSNLTGADLASLVNEAAMAALEERLNFLENGTSSMSSSCLIELSHFERALSKVKPSVSEQQIRHYEALSKRYSSN >Dexi1A01G0028920.1:cds pep primary_assembly:Fonio_CM05836:1A:34492703:34496820:1 gene:Dexi1A01G0028920 transcript:Dexi1A01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHARSSLHAVAAAVLLLHLLLSPATAAAAEPPYTCGAGAPPNIPFCDRSLPIDRRVDDLVARLTVEEKISQLGDESPAVARLGVPAYKWWSEALHGVSDHGRGIHLSGPLRAATSFPQVILTAASFDPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMSGKYAAVFVRGVQGYAIAGPVNSTDLEASACCKHFTAYDLENWKGVTRYVFDAKVTAQDLEDTYNPPFKSCVEDGHASGIMCSYNRVNGVPTCADYNLLSKTARQSWGFYGYITSDCDAVSIIHDAQGYAKTAEDAVADVLKAGMDVNCGSYVQQHGASALQQGKITEQDIDRALRNLFAVRMRLGLFNGDPRRNRYGNIGPDQVCTPEHQSLALEAAQDGIVLLKNDGGALPLSKSKVTSLGVIGFNANNATRLLGNYYGPPCVTVTPLQVLQGYVKDTRFAAGCNAAACNVTAIPEAVQVASSVDSVVLFMGLDQDQEREEVDRLDLTLPGQQQSLIESVANAAKKPVILVLLCGGPVDVSFAKTNPKIGAILWAGYPGEAGGLAIAQVLFGEHNPGGRLPVTWYPQDFTKVPMTDMRMRADPATGYPGRTYRFYRGPTVFDFGYGLSYSKYSHRFMASGTKPPSMSKIAGLKAVETTAAGGGAAIYDVEAIGEEACERLKFPALVRVQNHGPMEGKHPVLLFLRWPNATDGSGRPARQLIGFRSLRLGAMETAHVEFEVSPCKHFSRASEDGRKVIDQGSHFVMVGEDEFELSFMA >Dexi6B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:6B:19179173:19179942:1 gene:Dexi6B01G0011840 transcript:Dexi6B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHPVPTSPPPARRVVAPWARDRLAEAAAYAVICLFLASMWLLFGGLITVEVGALACGQDCPVVLAASKVLLVATVTWMLLLPFTVLLLPTLLLRAAEAATDIEAATAPAPKPFAAAAREELRDPVTQAFLVSMLFVLLLLVGVLLKDNSPVKGSRQERMGSVICEVGLLGVYTVDFFVACPILTVRTWRAWRMMLHT >Dexi2B01G0003710.1:cds pep primary_assembly:Fonio_CM05836:2B:3225914:3226861:1 gene:Dexi2B01G0003710 transcript:Dexi2B01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHRFSVTNSNPLENPLIFIPRRRLLLPLLAETLTLSGAAALSPSGDAAMSNPKGSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTTGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVPTGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAAPVAYPQVVRPPQGMPPPPMRPGMPPQMQMQFQRPPGAPPAPFPGAPPQQFMRGPPPMGPPQMRPGMPGPPPPGMRPGMPPPPFGQPRPGMPPPPPGPQQPGQNPPQ >Dexi5B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:5B:20023195:20024193:-1 gene:Dexi5B01G0018090 transcript:Dexi5B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVDVTLTKVTPRRQGWMRWYYDGEIGVVRIGRGPGVIETTLSHSDDVTGAWLREAASLARGHRLRAPLVAGLVALRGREPPALFSWRTSWRSPPPGFRASDPANPIRGVAICLGGSRVLVYRPDEHGRCHRAQHAAGVGRRLRFVEGENNMRELRAFLDGKRVTVACLGARAAVEKLAEEWGLHVARPAELTDLFARAYGEAVAADEDAVAEVEAPWIMPDRAGNHRMGRPALFARTKARAMTDVEEGPKMQGRKRAAAKRKGLSLERMARAALGPEMRLPRPPAKAVGTDWGGPELGEAEWLHATRDAYLCFEIAARCLQKLGAPIGA >Dexi3B01G0011180.1:cds pep primary_assembly:Fonio_CM05836:3B:7859951:7864670:1 gene:Dexi3B01G0011180 transcript:Dexi3B01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRRSARGESSRKAARELDRFVTANEVFHLTRARSELCHRTRGASSARRRRPFSTFELVSARESGRAGGAGFSLADRACVGRRHIPKKGPWAVDEMDSEAYVSQFSADGSLLVAGFRAYASLSPVVHIVNVQSSGKESHANINEIHEGLDFTADEHEDEDFGIFSVKFSKDGKEVVIGNSERSIYVYDLAANKVSVRIHAHMDDVNAVTFADKSGNVLYSGSDDSLCKMTGKAVERLSWHGSIIRDCSWHPCYPTLVTSSWDGYLARWEASGDNDE >Dexi3A01G0020800.1:cds pep primary_assembly:Fonio_CM05836:3A:16491057:16491353:-1 gene:Dexi3A01G0020800 transcript:Dexi3A01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYPPECVRRFLSLATKCCQDETDDRPSMWEIVRELEIILRMMPEEDLIMLETSETDSTDVSGSLDASSGMISGRVTPR >Dexi5B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:5B:4253475:4254077:1 gene:Dexi5B01G0006220 transcript:Dexi5B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMANRRRGRSPPPAPSVRDPMAATPSPPPSFPPDLLREIASRVTSLEEFFALRAVCRAALPLASPNLASQAPLLLVPDAAAASHALLHIIRGGFHRFRLTRTHLTGEAADIHSLGCRVAVDLRGRCQLRIVHVLTAERTRLPSPPSPFSGLLLSGDLVVAWYWNRPSLQYCRLGNPKWRVASTIDPFRFHDLIFVDGTL >Dexi2A01G0024970.1:cds pep primary_assembly:Fonio_CM05836:2A:36771846:36776197:1 gene:Dexi2A01G0024970 transcript:Dexi2A01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPRRRGYPHGHHHRCHRRIVLPAAALALLFLAVSLLSVSFLSAPPLTDPRTSLATSSSRRFLRRYPTNGSGGEFEGFESGEAFPVTVITLLCFDQMQTHGWIRQDNLWHSKLASNFYECSNSSSKYLDSSVTAQPERYLIVVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDMDWFISFLAKDVKIIKEPPEKGGKPMKPYKMRVPRKCTPRCYLNRVLPALLTKHVSCGTYGLDFFFFSDGRFEPDMLAFSGCYYGGGEKERRELAAIRRRWRTLHVRAIRDPEKGRRQGRCPLTPEEVQIIQKGYMGEPMEISPGRGEFHANPAACICEKTGKNSVAVKSISRSNQELVNDTGIKKAVGRPPYPVYTDEEADGSDTEDDTTARGEMIDAEPDDDSVVRQEDPELEEILSD >Dexi5A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:5A:25157974:25158355:1 gene:Dexi5A01G0021300 transcript:Dexi5A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSSQWTKQEDKMFERALAVYDRDTPDRWQNVARYMGGNKSVEEVRRHYQLLVEDVAKIESGGVPFHWYAASPPPSTLQRAPHEI >Dexi2B01G0021780.2:cds pep primary_assembly:Fonio_CM05836:2B:31476907:31477463:-1 gene:Dexi2B01G0021780 transcript:Dexi2B01G0021780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGAGRVARLREACEDDDGVHLVMELCEGGELFDRIFEREHYSERAAAKLARTIVEVVQLCHDNGVMHRDLKPENFLFVNKSEDSPLKAIDFGLSGIGSPKWLAAGVTWLQRFSREAMGQR >Dexi2B01G0021780.1:cds pep primary_assembly:Fonio_CM05836:2B:31474983:31476906:-1 gene:Dexi2B01G0021780 transcript:Dexi2B01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGALVSSCTSFSVVSLRSGERDPWPKVSQNAKDLVKKMLDPDPLTRLTAKQVLDHPWLKNADKASNVSLGEVVRSRLKQFSSMNKFKKKALGVVAMNLPVEEIDKYTQMFNTMDKDNDGNLSLEELKEGFRINGHPVPEEEIKMLLEAALGDDELKPNGQVVDDIIREVDKDKLSGV >Dexi9B01G0014300.1:cds pep primary_assembly:Fonio_CM05836:9B:9588246:9589445:1 gene:Dexi9B01G0014300 transcript:Dexi9B01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEGHGLYPGHPKLRGYVRFFNLDTGAFVRVQIPLFEDHCVLDSYQGLLVLQRDHDTAVRLLHPFTGDILDLPPLSTLLPQMQRDLRGLPARRKLRYLRALSTVATFADDGVVTVMLAFGDLYRVAAATSQDQQWTMSTWYYDIGCPPLQYRGKSYVVYDLGVDNSSKIFQIDIPLPGEVLQPPKLIATCTADKLMGPVHLAECDSEVLVIGYTDILSSKMLVYKLTDLVVGKYIPVTSIGDKAIFIQRSRTLSVSAKACPTVWLLVASHGSMQLSWHSIGSMQPRTKGSCVNGENTVADGFGGRWLQSSVKGLV >Dexi7A01G0020450.1:cds pep primary_assembly:Fonio_CM05836:7A:29232196:29237793:1 gene:Dexi7A01G0020450 transcript:Dexi7A01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGCTRGAEGSTPFCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCNVPGCTKSARGRSDCCVKHGGGKRCKFDECNKSAQGSTDFCKAHGGGKRCAWDTGCDKFARGRSGLCAAHATQMASKLELEPEQGRSMAGPGLFSGIVSDSSAAGSSMDHGISSSGHATWSDCIDSSGDMPSGGRLLIPHQVLVPGSLNAPSSYSLAGNAREDGGSQSQSFGLVVPEGRVHGGGLMSMLRVGGNLGSYPDAPKANNIHATQLLSDWRATVRTPGHRRRRTVPLNPLPRGALLPQRLRTLVLPPLPTHSRKHRPRRRRRPRRELGFGSGEAAARAPRPGVRVRPGSEAALPAAGRLDPRPAYWSVLYWSGHPSKGIVWFAAWSAVNPLTAFFSDPTMFVFGTNCASICAMEEIQKDMQSPDSSGSEPELMVTDGNGAGQSHNMDEGSIDSPIDVDGGQSSMDVDVKGKSSLDYDVNGKSSSEPSYSNVPIDMSVESLERFCKQAARSFFDEIGLISHQINSYNDFVSHGIQELFDSLGDVVVEPGYDPSKKGSGGWKHAIIKFGRVKLEKPVFWSGKDEVDIDFKPRHARISSDREAFDVIDIQDCDASVINTISATIKESDDLCEGFRKSDKARRYVDELVKNSRFPPTESFDDYVDRFLFPDISGNRNKALFLGYMVKCLLMAFTGRRKCDNKDDFRNKRLELPGELLGRELRAHIRLAERRMVKAIQRDLNSDRELQDLERYLDASIVTNGLNRAFSTGSWCHPYKRTERCSGIVATLRRTNPLQMIADLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVHETQQSDRSTGFYKDQSS >Dexi9B01G0045940.1:cds pep primary_assembly:Fonio_CM05836:9B:45356327:45367910:1 gene:Dexi9B01G0045940 transcript:Dexi9B01G0045940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHSLHRRPPCGFDMAFAILVFAAKPPAASPIRMNLNGITATATADPRTYREHCRKTWLAMEERCFRPPPAFLPVPAPAAGAAHPAASRLPCPWLRWRRHWMRLRGIKRPIGLRARAYSSLVSRHLAPIFRRTRRLLPPATSSLPSTAPPPPVHHPRFPPPHVSGSPPLPLPPSSQTTTVVSCASCAADPRVIDPPIHGRGSPRAGSELPAYIVLDDEAYMEDRGNATTAVGKTRSGHRRVKVSFFAADPPRLSHFCVHCPRLTTKFDFRGRPRVLHAEDNLALISIGFACGPTTEYIFYRAAGRGRRPSIEPLPRIVGKYLGEQSFVASVGFRPCGGDDGGGYVVAALALTLVAEESEVHVFRSERGTWTKTVLAHGRHLDCMVEKVIAMGAGELGFVSLLKGIIVCDVFRDNPTGRFVPLPKLLPANKDHSELCSARPFRDVAGYADGMIKCVEVEPLSRITIHKMSSKTLDLIPDPVDVEEVDMVEEETYEYLGWRVIVWSRMPSSTSWRKECLIHVDDIIANTTPTRAALLGELGGSRAPSLSTLKERRQRSLPHHQGETVELGGTVKINTCDKASCPYFGNGLKYQLQPLMPPTAPPPPPPPPPPPQSGGGKEKATPTSLQSPSNRSPEAIPPPVLHSSNRFLQPQLNPARVPPCRMATPSMATATARKYVEFAPPHSLQEEPGVLALRVDLTGQGKPNAHTLHRISALPQSIAGFKKEQIRVQIDSFGRLRIRGERPVDAEGRQWKRFGKEFEVPDTCDAAGIRARFDKDGVLLITMPKLTSTAAEEPMAPGANTGVAAGGQGHEPARRTGASSAGAAAAEAGEEKGKEEDAGTAAMDRTGQQDEPRPTGSDDENDAAASAARRPAAYGFGKDRRRILLAIFAVMLALVAAGLFARYRLTMDPSAETASSANHAVVSYLGPAWYLRGRQYALFSSVRRGPSVEASKKNSPAPSVASGSCEDPARPATRASRLHVMSTDTAATMKKKRVLVNITTAVGIHGNRTSATSLTRNSVPVQVSLVLQRRPQLSTVFVHTSDLSLAADDPPEIVRSEDDLLLLRVNVGKLRASMSPDDCDYFIYRAHPTHPSLELLQRPHPFFHVSDVGLLPRSGGHYTLAALVRTGERNLYKLHLFHSDTAASSGWRSTIVSAPEQLFPVEIPSKCHRILYHHTTTVIPIGGERGTMGFVDLRRGILLCDVLDPNPTLRCMPLPLPLQKMGSNHGLGEELGYPGHSRQGSQIRGNRSNRPGPVAVRGGSNRRFFAAGRRNRRASRLTALVVPPPKTDCTGDLNSKFEFDRFPPVTGQTGPVNRYRRAAVRTTGPDFRARLTATEDRPFIRSLEVNRDATPHDPRERSAPTRCCNQEEAGRPKP >Dexi2B01G0028820.1:cds pep primary_assembly:Fonio_CM05836:2B:37371872:37373599:-1 gene:Dexi2B01G0028820 transcript:Dexi2B01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEWEPREEDAYEFEFDLEDPFISAADEPIASLLDAEGHHAPSVSAVASTVRRDAAGFISKVRFGAELAVQPRVAYLALNYVDRFLSKRQLPYEQQPWAPRLLAISCLSLAAKMQRVADFSIADIQSDEEFPFEEVNVRRMEHLVLDALDWRVRSVTPLAFLGFFLSAFYPPPRHPLQVSAVKARAVDILHCAQPEVKMAEFSPSVTAAAALLGAAGEITAANLPAFQAGLDACPFDKLRECGEVLTAVCGVGPGRASASADTPVTVLGHHRSASSASESDWTVGSAANCGGNDAKRRCMGPPSQWG >Dexi1A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:1A:24056654:24060392:1 gene:Dexi1A01G0016770 transcript:Dexi1A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAALAALALLGLLSVAAASDSDHKYQADEPVTLWVNKVGPYNNPQETYNYYSLPFCHATENHVHKWGGLGEVLGGNELIDSQIDIKFRKNVDKATICSLDLDLDKAKQLSDAIENSYWFEFFIGFVGEADRNNDNKYFLFTHKNIIIRYNGNQIIHVNLTQESPKLIDANKAMDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDIETLERDVNEESGWKLVHGDVFRPPRNLVLLSSLVGIGTQRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKYWIKAMAMTASLFPFMCFGIGLALNTIAIFYGSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >Dexi3A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:3A:5570554:5571027:-1 gene:Dexi3A01G0007970 transcript:Dexi3A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAQTCRALHPPPLLYIGSSSRSCSNTNSKQPHRSAGHRLLSDASQAGILFEMGSVGVEEDAGGKVAVEAFLERCAPSGDAAYAELRALLARLHDPTTRRAARVFLADLRRRQSSSSSEDAAGGDFFQRFGFRIQELLLHHNPADAFLSMSSPGNC >Dexi6B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:6B:2959842:2962261:1 gene:Dexi6B01G0003600 transcript:Dexi6B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAPPPSPPDPGSCLEVRLFYVRLSPHGGTSPPPRLALELRPAAAGGGEAPAIPLPLRLDRHDAVSGEATYVSTASARLAPPAAAFEVADHRGATLLRGSLRRCPDAKDDPPAWEIDCVPAAGAAASASAFELYVAGCCAGEPAVLTRVLRLATPEEAAGGLVRRRPGALTAAGNEGDNDMNTTSMQYPEAWYSEDYDGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATTRNLKRRFF >Dexi6A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:6A:1923786:1924073:1 gene:Dexi6A01G0002030 transcript:Dexi6A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGHLLTRLHLARSRSPAASAEVPRGHLAVYVGEGRKRLVVPTACLSHPAFVTMLKRVEDEFGFDHRCGGLTIPCASEGDFADIVGGVDGRH >Dexi6A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:6A:25420628:25423867:-1 gene:Dexi6A01G0017550 transcript:Dexi6A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFRKVIFATAVLAIALSSQSVASKTKNAAIPPSPTHHPPSPVYYVDIADLLDVAGPFQTFLNYLQTTNVIEIFQRQANTTKIGITIFVPRDSAFAALKKKNTLAGLITKGQLKSLLLFHAFRKFYSLAELGKLSRRNPVATFAGSKYTLNLTDDNGSIRVKSTWSNAKIVSCVYARAPVAVYEVDKSHDEHVPVITEKKLIKMSVEILDGSTVRSFVEDEFAFNSTVDGRFAALDANHDGLLSYSEMARELMSLRVLEKHFGVDEESVKPNELAGLYRGLFARFDRDGSGEVDRDEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKIAVDRELGQLAKAA >Dexi6B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:6B:22003862:22008636:1 gene:Dexi6B01G0014510 transcript:Dexi6B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAARVAPSRQTGACMVPAFLSIVVASAVVLWVREAVRIPYLSTIPSQSQPRALQRPLLLELIEQTNWTRTRSLLLELILSSFSPYDHELQSIVLEITPNMEAFTYHITFGKRHDRSELHFWEEEMAGIRYQASSGDTKGGSEPDSGHAAFLAYLGMQALLFGSPGRRRVRPPVVLLLPSVDPFAWHHDATQVLAPSPARRPARSPLPLFPVSIQTKVGEQDDAGGEGVTMRGEEQAGSPGGDDTTGLGSHTEEMSAQHPWAATRRRESAGRRERTGKRESARGKSRGLPTHPWEKGLEGK >Dexi5A01G0036790.1:cds pep primary_assembly:Fonio_CM05836:5A:38234784:38236539:-1 gene:Dexi5A01G0036790 transcript:Dexi5A01G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVFAKMLSLFLRFNVVTIKDFEEGKETLQAKRRRTLQFCSENVEMTCPMTGDGLSESPQAMDVSGANCLLNSDGIDELPEDWLVDCSQDSEPRLPAEEMTSPATAVEKPDDISAGKNIIRAKKVRTSVAFPFELIKPCSIHGAITLNDINEKIHAPPPYKVKHKNDEDPNSHKASAISGKPVVHKTKIHTEGGKGSITISRTLG >Dexi9A01G0022340.1:cds pep primary_assembly:Fonio_CM05836:9A:17539291:17540323:-1 gene:Dexi9A01G0022340 transcript:Dexi9A01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVILSMDVHCDSCAKKIRKAVMKVPGAESVSASFETGLVVVEGTADAAALRAHLQAKTKKNVNVVSDGTHAGEDSGAAGTNAATAGGSSSSPLSSPAPDPAPAAAPIVLEMELHCRSCADKVERRVMEIPGVVTVRTDVAGRRVEVTGTADASAVATSLEVRMRKPVRVVSDPRSAGAAGYEHERRKAAAARAAAQQIQEMYSGTSSSYGAPPPQAGYYYNNYPEPGGGVCGQAGQQWPAYPSPGMESEMEGYYPYGGQQDDEKPPGCSIQ >Dexi4A01G0020860.1:cds pep primary_assembly:Fonio_CM05836:4A:24627192:24627530:-1 gene:Dexi4A01G0020860 transcript:Dexi4A01G0020860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSSAAGAAAVVVGEPVVEEKAAVGVHGVEATTTEQQGKAIISMAKRAVEEEAAKAKIRGAAAAGRRKKATSYAASCRRRRRLESSSTSSSSSSSN >Dexi4B01G0017080.1:cds pep primary_assembly:Fonio_CM05836:4B:19173256:19173744:-1 gene:Dexi4B01G0017080 transcript:Dexi4B01G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPQVVVATAHPGPPRFYLKTDGTRVARLHLLDWVVLVVLVAVDVALNAIEPFHRFVGEDMVPDLRYPLKNNTVPVWAVPVVAVVMPMAIVAGIYVRRRNVYDLHHAILGKFISRATAISITPFLSN >Dexi4B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:4B:22514158:22517511:-1 gene:Dexi4B01G0020310 transcript:Dexi4B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSSALLAALLASLLLHSARADDPYRFYTWNITFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSFYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPSPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHTMQSTYTSIDVHLGQSYSFLVTADQPPADYSIIVSTRFTTPVITTTAILHYSNANGAATVPPPPAPTTEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSISFIPADTPLKVADFYNISGVFTLGSMPDNPTGAGAYLQTSVMAANMREYVEIIFENAENFVQSWHIDGYAFWVVGMDGGPWTPASRQGYNLRDAVARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >Dexi2B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:2B:5894235:5895354:1 gene:Dexi2B01G0006300 transcript:Dexi2B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGQDLWGDWEVLPDRKSLSMAHASIHLDEEHILPPSEDSVVAEPVVECKDIGVVLDETKPVPSVTDLMDSDKDEEEKVFQSPDAKEFADDKFAKEEEAKALQNPDAKEVENDKFSGEEEDVKEEDNKAQPECVVFSVGKLKVNGIGALCSFGVAAATVCIFLIGGRLQHHHKQQQQKIQLQFYGDDKSIHQVVQQTSRLNQAMSSVMGAGGASTRANISFGGFYDGF >Dexi5A01G0040100.1:cds pep primary_assembly:Fonio_CM05836:5A:40377624:40378956:-1 gene:Dexi5A01G0040100 transcript:Dexi5A01G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSTSEQAKNSADGGSAADAEARRGPPSTVRFFPDAERQKARQPLPIKLEEEEGAPPPSVTDEEMAPRNLWQIEITGLSFVSGYLLQMLSNTPQDVYALGAFIVLRWAWAKWKENQDRKDSPDGDGDAPSGST >Dexi6A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:6A:9670081:9670521:-1 gene:Dexi6A01G0008920 transcript:Dexi6A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEHLDPTAPAPASSSAAVAEAGSGGGPVGRCGGGGGAAAELSLGPDPTPRGVAYLRALAAASQARSRAAGIAAAGLRAQASEYRAEAARLRETLERSGLARDALPPPAAAAARAVAAVANLLAIRDTEMSR >Dexi5B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:5B:21504402:21505338:1 gene:Dexi5B01G0019150 transcript:Dexi5B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSDLQASSESIAQKMGFFRVPDLLVKLSTSLSELDVVRSPTSPLDLKFFAGLGTKSPRSSSLDVCQNQKILLGDRVGLGLVDSLADENPTPLGGRKVLLGSEMRITDNLSRKNSSTAPIQTGEVEQKDDNMSDGLKGSIMSLDDIVNSEDYTCVVSRGPNPKTTHIFGDHVFELQVEHLMPGEITDEVNVPPLVKEGAMSFCSFCSEKLREGKDIYVYQGDKAFCSAECRENFMEDEMEEGEPMIYHPAPPSPSSSPLDHGPIFQLIR >Dexi5A01G0033160.1:cds pep primary_assembly:Fonio_CM05836:5A:35542682:35544323:1 gene:Dexi5A01G0033160 transcript:Dexi5A01G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNARGLDEQEVTSHQHDQGVRARPGGAAAAEEEEDQADQLARQASIMSLTLEELQNSLCEPGRNFGSMNMDEFMANIWNAEEFQAATGGCKEDNREQHDAAAAMAVVPMGTGENGGGGGLVRQGSFALPPPLSRKTVEEVWAEINQEDPTDAQAHPTPQAMAQPPQVGSGGVVAGGRQATLGEMTLEDFLVKAGVVRGSFAAGGHGQAAAVGGMVVPAMGRHMQQQQGQLAATPMMYQVAAPAANAVYPAVMGDGMGYHHNGGYPGGMAVVMPPSQQCVAAAVSPGSSDGMSAMTQAEMMSCIGNGGMVRNGGGNARKRDSPEDGCTEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNHLKEENARLKAEEKTILLSKKKMLVEKMMEQARENVSAKKSARGLRRCGSAMW >DexiUA01G0024900.1:cds pep primary_assembly:Fonio_CM05836:UA:52050598:52054163:-1 gene:DexiUA01G0024900 transcript:DexiUA01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGPGRSDFPRFAGSLERAPGRPSSSFPAATSNRPRIPPPLSNSPRLLEQSPARIEPHSPRIEAFPHRSAPYTPAIPVAEEPHDELHHRPVKLTSLSVHRLDHRRPPATSPELTAPPLNVDEPRRFISDREPYLNRLAVSSSPFPPTSPEPVRRRFAGVTTPTSPRTLALARPCAGRSSAATWPASGTGSAELAEPRRTLALPLPCHHVAAVESPRLAPRNAIMPVARRRGRTRARAALRALQAAIPSRYAPTRPVDDPPAARKDLPPQPSRSRSVQAPSASMARRAVRPRSSVPKPPTEPLSLSTHSLTAPVRLLAHFPVKGDLTATCNYCYENTRTLWSHKGRTRLSPLAAYHSLSVRWNTILANT >Dexi6A01G0002550.1:cds pep primary_assembly:Fonio_CM05836:6A:2411549:2411803:1 gene:Dexi6A01G0002550 transcript:Dexi6A01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSCSCSRSPPRPPARARLLTPVCSHSPPRPKPELDPASSAQPRIRVPAAAHNCAAALRRYACRCLLLAPVARRPLARVLCG >Dexi4B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:4B:3245270:3246448:1 gene:Dexi4B01G0004560 transcript:Dexi4B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAAARRHHLHLRLLHPRCLTTTSPSPSPPSPDPDPSIPEPSPLRAPPDEQFAAWVTRLRPGFTAPDLAAAISAEPDPDLALALFRWAALRPGFRHAPESYFAALRAASTGRRPAAAEALVHDVFAGACTPDLRLFNACLRFCCDRRSLFPLAFDMFNKMRAMPAAAGCRPDVETYTLLLSAVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCVEVDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGWTDKGMVYFAEMREKGFVPSGGVYMIAVSALALEWRFEESRKVLLDMLDCKRKPDMITYRTLLEEMCRAGQTEQAFEALEELKGRKRGPLDQRMYSELLDGLHWISQPHKDSRAVHDRGSDDSK >Dexi9A01G0027850.1:cds pep primary_assembly:Fonio_CM05836:9A:32446985:32450359:1 gene:Dexi9A01G0027850 transcript:Dexi9A01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLIPVNNWSLDGADDADTDARGRRRPLAGGLRGLEMRLRWEGLAFVVKGKGMEEQAAMAAAASIMAYSESSASNLPKKMTHGMAGRWFSARHARPALAQEQRARNPKTRPLCRAAFVGNPKFLREPEPPEQPETPKQPIVAFGPQPNCVYPRPRNPHVPFLNRTPRLRCPLPLPIPPPIRRCPPDQTARRTRFPDSSVAALQTVRARRCPPPPDHTHTDADAHQATASVLRPPPTCTSATRQKYPMEGAPPLSAPAPAPDYNAVQGAKASPAGKN >DexiUA01G0014800.1:cds pep primary_assembly:Fonio_CM05836:UA:30992498:30993117:-1 gene:DexiUA01G0014800 transcript:DexiUA01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQGHKLPGRVTPWWVSARPSPDAPRRLHLVELRPNAKGFSSHAQAPAASPQTRLKGLARLQAPDYNTWCVQAPISKEDRLIRCPFHATTESIGALSTPPPNQSVLTRTE >Dexi2B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:2B:11253648:11255542:-1 gene:Dexi2B01G0010210 transcript:Dexi2B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAPLSFPFPNNKIDAMKPYIVAIIIQVIYAGMFIILKAAFNLGLNTFVFTFYCQAAATVLLLPIAVFRERNTCAINLLNLALRFTSATVQSAIGNSKPVSIFCLALLLRMEVLNLKSAYGIAKLTGVALCLGGVFLIAFYAGPPLSPVNHHHAFQSGHTSSVPAGQGTWIKGTFLKLVGDMIWSLWITLQAALLKEFPNKMLVTATQSVFSTVQLFVVAIVAERDFSRWKLGLDLGLLAVIYYVIMTAPSFKCSHIFVSKLLGFVVAGVCYYLQVWCMEMKGPVFLAMWFPLCFALTIFCSLFFLGEIVHLGSILGGILLIGGLYSVLWAKSKETVVEPCSEVDPIEREQEEKEHEKSEKHEEETTVNTVEQV >Dexi7B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:7B:21742042:21746077:-1 gene:Dexi7B01G0015670 transcript:Dexi7B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPRHVDGEWLGRVTILQRLRRGDDRAPAARGCSGDPRVRQSTLVARSEEGGAGKREVGFVQSPAGMGWPVGLRWWLAAVAAAAWVVAVGAEGEVAVGAAPARHAYAAMMYMGTPRDYEFYVATRVMMRSLRRLSTTADRVVIASLDVPPRWVQELKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLVGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPCYIVNVMQCRYSSEIPVVLIQTLLYIGVIAVTRLARPSLSKMCYNRRLEKSTMFLLSLLRVVAAWSILAAYIIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINIFLLHPLSVLMTWFGIIGALFVMSFPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLQVLVERDAFRLGEPNQNAEFTKLY >Dexi6B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:6B:6918601:6919736:-1 gene:Dexi6B01G0006320 transcript:Dexi6B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRPRGTSVTSASRSPCSRSWLRERGIVQPMLIQVQGLPVLLSGRDMIGISFTAEGRLSWWRCRHEHHPAELTHKAAGMGALLLSVKAAAASVVHRGEADRLRDAACALELDDREFEMNKESCWPFSVLLSFVLLMFCSLFPWVLDF >Dexi4A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:4A:17697939:17698745:-1 gene:Dexi4A01G0015110 transcript:Dexi4A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVLLVAVLLVGVASRCSGSRGLQQGDHVAEQKSDGGGGYGGGGGGYGGGGGGGGYGGGGGGGGYGGGGGGYTPGYSGTGTCDYWKSHPDAIISCIGSLGSILGSLGDVCSAFFGSKLQTLQDALCNTRSDCYGDLLREGAAAYLNSVASAKYAYTAQQVKDCIAVGLTSKATAVAQAAMFKKANYACHY >Dexi8A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:8A:29019304:29026373:1 gene:Dexi8A01G0017300 transcript:Dexi8A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLTTSLVMILSCPPFRANKTRNIEGSNNLLKDGCREERALDLVLSKSNEVVQAFLKGMEDANRLLPKDNNFGRDNLVNRIVTQSSSHSGAKKSSLMDESVFFDDPSPRDIVLNNIKKMKPDVFIQSIVNCSYGSSFITRFRETMFYYMALFDILDATVPMESKSRLVLEQFVLGSSALNAIASEGVDLVEHPEKYRQRQARNQRIGLRELPLKSRIIKGMQDANRLLPKDNNFRRDNLVNQIVTQSSSHGGAKKRYNRDDHDEENKNRKCTGKKASKDDVVDICMLLISCAEVVVANDHMRARELLKKINKHASETGMPHNA >Dexi8B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:8B:8021748:8022849:1 gene:Dexi8B01G0006880 transcript:Dexi8B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQDHRPQTRQGDVVGDGETTSIGATIVGALLHQDTLYEILLRVPAKPLCRFRAVCHSWRSLLSSPSPFITSHAARHRDQPPLVAVCGMVPGSDHREAEIRLVDATSGNFVRRFGVGWPTVAPPPGVDHAGAPRRPRPDRPPRRPRPRDVDDDDEHHRLSVLDPATGAVSDLPVHYDDDAASSSFVFGWAAASSSIGGDDDDGEYKVLSINTRRRYYYAGTHKLCKILTVGGDGGSRGTWRDAPGPPVAIKTFHRGETVVANGVVYHLADDNSSGWTIAAFDLEAEQWLPELLHGPTVVPVLLPSAATNNSSEHRRRSLAEVNKRLAAVHSTCSTMDVWLLMGSQCFGP >Dexi9B01G0039460.1:cds pep primary_assembly:Fonio_CM05836:9B:40138970:40140016:1 gene:Dexi9B01G0039460 transcript:Dexi9B01G0039460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRGSRSVESSDAENPGNNLYVTGLSARVTDRDLEKHFSTEGEVIDASVVHDPWTRESRGFGFVTMATVKQADRCLKYLDRSVLEAELLSVLLFVEDFADSNRVDICNTLSLLLLMSKTLSYPVPVTQAKRRRGRTPTPGKYLGTKSSRGK >Dexi5A01G0036310.1:cds pep primary_assembly:Fonio_CM05836:5A:37907816:37911393:-1 gene:Dexi5A01G0036310 transcript:Dexi5A01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSSPPHSQENPPEHGGELGEAPAEEIGGEAADDFLFAEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSSAYTNTAGGGAGGGAAAADPASGGEGRFDALDDIDQLLDFASLSMPPWDSEPQFPDVSMMLEDAMFAPPHPVGAGDERRQGKAVLEGTTGGDEAACMDAVAAEPGEELPRFFMEWLTSNRDNISAEDLRGIRLRRSTIEAAAARLGGGRHGTMQLLKLILTWVQNHHLQRKRPRDAIEEAAAGLHGQLSSPRASNPGYEFPAGGHDMASGGGGASWMPYQQPFTPSPAAYGGDSTAVYPSGGAGGQYPFNQSSSTSSVVVNSQPFSPPAVVGDMHATGGGGNMPWPSQQYVPYPGASTGPYPMPPVVPQPFSPGFVGGGQYAGAGHSHTMPAQRMAGVEASATKEARKKRMARQRRLTCLQQQRSQQLNLSQIQVSVGHQQEPSPRSTHSAPVTPSAGGWGFWPQQGSQQQQHVQNPFSKSNSSRAAMPQQVPRSQEVAPAATGARQEESPQRSGASDKRQGTKSDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEIHLPELKTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDVKSGKYLIRGVKVRPAQQEQGNGSSVVGKHKHGAPSEDGVADGSSKPDGACKGRSPQGVRRVRHQGAPSMAVSI >Dexi2A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:2A:1101280:1104350:-1 gene:Dexi2A01G0001580 transcript:Dexi2A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRQKLFEVFWKEVLVRFPPDESASLLHAAVACKRRARVVADPGFRARFRGFHGGDSVPRFLSDLRGDAEGSISRVVRTSTFRPRRSERLCWRAADARHGRVHLYTVRDGIPFSWSDLIDTGDLRRLFTPPRPRPRSWNAALLCGGPFRVVVVGTDEGGFFCFLYSSEDGARSVEASTEQEIGVDPAPPAQSPESPAPPLDRFSMDSVIDDALEEILLRLPRDDPATLIRAGAVCKRWSGIISSPGFRRSFAQPALAGFVANLRDGDSGGDTDFVARFVPTSDDPSFPPGTDHRARRALDARHGRVLLATTASGGLRLEVWEPTTGVLRELPPPPRTLHDSVLDDPFGWNAAVLGGTHGTPDNLHCQPPAPFRVVLLDDDGSKCKLRLHIYSSEGDAWSQAKYGPLSPLLGVDTAPPALVKSKLFFLIDANSKILQYDLSSERMDVILLPRDHYKQQFNVLTTTEQGGLGLARICSKEIVLWSMNMDSEGVVSWEETDTLDLTKLLPPDAFPISEVYLGFAHGLQHSELTLHEELKFLLQGLLREEGNGKCVLPLLGLDSRSASESATEIDNGAGAKKKTTRTTLPCDANNFD >Dexi9A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:9A:1428149:1430303:-1 gene:Dexi9A01G0002650 transcript:Dexi9A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALFSAASLFRGADGSDDERDEMQVDTEGEKKQALEYVERAHDFPGMKINVREFSSHELNANLLWPGTFSFASWLVKNQSILDGQRVLELGSATGALAIFLRKSFGVNITTSDYDDKEIEENIAYNCRANDLDVLPHIRHTWGDPFPVSRPDWDIVIASDILLYVKQYDNLVKTVSFLLKEYKKISEKAGCTTITDKSGSY >Dexi1B01G0027210.1:cds pep primary_assembly:Fonio_CM05836:1B:32001502:32002261:1 gene:Dexi1B01G0027210 transcript:Dexi1B01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAARHQIMGGGGGRKMGPALAPPAMGLQKQNSWSPDIERDEAWERRRRGMRRGGTALRRVRSVTDDDLDELRGCIDLGFGFEPPAAGSGCAACGGVGRSRLVETLPALDLYYAVNGGGGGAGADGCTCSCGAASEVSSDESPLGSPMSILSPDDTPETVKMRLKQWAQVVALSMLSRN >Dexi5A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:5A:21418990:21422528:-1 gene:Dexi5A01G0017960 transcript:Dexi5A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLAALAAVLLLLLLASAPGAVVPASDGEVRALLALGAALDPTRRLLPSWAPGRDPCGQPGFEGVACDARGAVANVSLQGKGLAGTLAPAVSGLRALTGLYLHYNKLRGGIPRELAALSGLTDLYLDVNGFSGPIPPEIGAMASLQVVQLCYNQLTGSIPTQLGNLTKLTVLALQSNRLTGAIPASLGGLPFLTRLDLSFNNLFGSIPVRLAQLPRLVALDVRNNSLTGSAGFQYGNNSDLCGAGLPGLRPCTPADLIDPDMPQPFSAGITPQVTAPSHGHAPSTKALAAVVVAAVSLLAATAVGLFALSWRRWRTQRVAGGGSSSSPSTATAAGGRCSTELAAAAKASSSRKSTSSALASLEYSNAWDPQDALAQGLRMSTEEVESATRYFSELNLLGRRGRKKAGGGQVATYRGELRDGTPVAVKRLGKTCCRQEEAEFLRGLKLLAELRHDNVVALRGFCCSKARGECFLVYDFVPNGSLSQFLDVDDADSTAGGGRGHRVLEWSTRISIIKGIARGIAYLHSTRPSKPALVHQNISADKVLLDYTFRPFISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRLSDKSDVYAFGVIVLQVLTGKTKVAAAAAQLSGDVGELVDGNLQGSYPATEAARLVKIAVACTGEDPDQRPTTEEVLQELGAI >Dexi2A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:2A:32513639:32514460:-1 gene:Dexi2A01G0020390 transcript:Dexi2A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAALVDLRALSQPDLVSLAAASPYAVDPRRGRRRDGDFLPPPKIDRAVFNESAGSRKQTFSRHRPAANISHNLTLSPAAASSSSPTTAAAPAEEDSENRLILFHLQRLFARDDPSYPAPPTIPHRQQTLTAPATAAVAPPATSRPPPPLAAAGADPDREVLNPKGLAVDLARLAELVDPYGEELRQRTAGMGSESELLGFMNALEGQWGSRRRRRKFVDAGMFADHLPRGWKLLLGLKRKERVS >Dexi6B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:6B:1377132:1377543:-1 gene:Dexi6B01G0001520 transcript:Dexi6B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYGTGKEAGNEEGYIVGMSSCYPAPGTATVRDGEALTVVSNYSSERQHNGVMGLFYILVAQQHQQQPAGAKKPAWCFSFPVSWCLSAWLSGY >Dexi9B01G0033940.1:cds pep primary_assembly:Fonio_CM05836:9B:35991351:35992596:1 gene:Dexi9B01G0033940 transcript:Dexi9B01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARTNGSLPVANVQELAKACNGVADGRVPERYLSKDPTSAVEAVVAAGDDDGGACAIPVIDFHRLLDPRSSEEECARLASACRHWGFFQAYMLYLQVQPIESRDTRFWPTRPASFRHSVDAYSSEAAKLTYRLLEFMAKGVGADPASLRGVFEGQAQGMRVNFYPPCRNAADRL >Dexi6A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:6A:18743105:18743483:-1 gene:Dexi6A01G0012410 transcript:Dexi6A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERAMAMERSVRATISWTRRRQRSTEAASVEGACSSCSSRRTRSCTRPSSATRRARPPLSPPSAIAAAAAGSRTEGGSAGAGGGGDRRSAVRERRSGLTTTRSGGGGGDWW >Dexi4A01G0022680.1:cds pep primary_assembly:Fonio_CM05836:4A:25996502:26000991:1 gene:Dexi4A01G0022680 transcript:Dexi4A01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQQQQQLQQQPASSVGQQATVAAAAAGGCEGEKKPAAINSDLWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDGHVPSYPNLPSKYIDQALVYFELVVNDGQYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIQARDLHDATWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQIKIQE >Dexi9B01G0039330.1:cds pep primary_assembly:Fonio_CM05836:9B:40070249:40072992:-1 gene:Dexi9B01G0039330 transcript:Dexi9B01G0039330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSGGGSGGGSRGSKFGCTNVKAWMRSHAADRSGAGEPCSMTRGELQLMLGVIGAPLIPLPVHHAKQSPSSVLCEQLKADPIESSSAKYIVQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDGDGAHGGGGGHGHRGGKKGGKGCGGEVGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSLCVGERCVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGGAGGSVFWETTMESRLDDYRAVDGVNIAHAGRTAVSLVRFGDCQDGNTRTRMEETWSIEEVDFNIWGLSMDCFLPPSDLREGGGKESHEVAVVKADARPPPIRIPAVTVRVGPSQVAAVNMDDSDSLIARS >Dexi3B01G0031120.1:cds pep primary_assembly:Fonio_CM05836:3B:31540664:31541152:1 gene:Dexi3B01G0031120 transcript:Dexi3B01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLGASLLGLGEFFIKRMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVALRGAGVRGDQDLACVYVPTNNLYLGDIFLMSRADVIIPDLSVREAIGN >Dexi9A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:9A:5807459:5808591:1 gene:Dexi9A01G0009570 transcript:Dexi9A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWAAPPPGYPVGHGQAYGNQQPQPQATAVAVTAASNGVGNPYVMVTPAAATPSTCQTVMKALGRYGKLLEDGTRKAADATGNIWHHLRTAPNMADAAVARLAQGTKVYAEGGHDRVFYQTFGAMPGEQLRKAYACYLSTSSGPVIGTLYLSTARLAFCSDSPVCYQGPAGQPHECMYYKVVLPLSQLRSVSPSSSTRNRAERYIQITTMDNHEFWFMGFVNYDKALKNLYEALQHRELDVHVHKQS >Dexi1B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:1B:24415131:24415903:-1 gene:Dexi1B01G0018130 transcript:Dexi1B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHVEFLRAQPAWALALAAVGLLVALRAAIRLALWVYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRFAAAGLGLVLVGRNPEKLAAVAAEIKAKHPKVPEVRTFVLDFAGEGLAEGVEALKESIRGLDVGVLVNNAGLSYPYARYFHEVDEELMRNLIRINVEGVTRVTHAVLPGMVERKRGAIVNMGSGSASVLPSDPLYAVYASTKALR >DexiUA01G0018830.1:cds pep primary_assembly:Fonio_CM05836:UA:39528384:39529232:1 gene:DexiUA01G0018830 transcript:DexiUA01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGAMGNLAPKLLQLLQDEYKLQKGLRDEVKSLAQELESTHVALCKVAQVPPDQLDPQVKLWARDVREASYDMEDVLDTFLVRVDGGGDDHTDKGKFERLREKMGMLFSLSKLKARHDIARAIKDIKKQIQEVTERRDRCKVNEIVAKPPPGSTIDPRLEAMYKEVSQLVGIGGAMDELISMLSLHRDDEVSNAKLKIVSVLGIGGLGKTTIAKAVYDKLKTDFSCGAFVPVGRNPDLKKVFRDILIDLDKDKYSDASMLIWDERQLLNEIRDFLSCKR >Dexi2B01G0024340.1:cds pep primary_assembly:Fonio_CM05836:2B:33809385:33809683:-1 gene:Dexi2B01G0024340 transcript:Dexi2B01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTAAPAQRVPPAEATARATTRRPSRVRSGAARSSGAWVARFRSVVVGQWRSGNRRQQRGEVKSDFASEPARERGWGTGRPAALLWRGDVE >Dexi2A01G0035420.1:cds pep primary_assembly:Fonio_CM05836:2A:45067481:45070301:-1 gene:Dexi2A01G0035420 transcript:Dexi2A01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAENAAPPPRQEGARGRGAAPAPAPEAVEKVVVVDQAPPPQAAPMARLQAQRPLAPLQVTTQAPPPPMSVASGAVEPPPPGAAYQPVPQGPQQPLPSLNSHKYTNGITLCLFLLHLAAAAFAMGFFVFKTVQDNTQHPRSHNAQRERSLLRHWLLPVEGAVALSIVLAFAWQKAVRKWPSAMVRVILWSSFGITLAVGALLMCFSMLATVGLGVAMVVFSISTGLYACWVTRRVGFTARVFDRAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANFRFPGLTILALVASLLWTAEVMRNVANLTASRVIALYYLRGMQSSVKFSFQRALTYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNGVFSFGNSWAFVHIAAYGRGFVQASRSTWGQFEALPGMAALVDSDITSSVCFLTGVTSGALCVALAGSWAFVMHRHYTATVSLLAFIVGYLMTRIGMALPQACVGCYYVCYAENPRSQLFDETIPNRLHKIGEGQDPLVGTTPRLQQRRAGV >Dexi1A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:1A:12893985:12896212:1 gene:Dexi1A01G0012690 transcript:Dexi1A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTVSSFAGHRTPAAAIAEAELGSNPSSSAAVMESSMASLIERATSTTAPAVDPVLLRAIKSAARASDGAIRDAFRLLLSLMSKPHSHVRLLAFSIADELFMRSKLFRSLLADALDGFLPLAVGFRRADPLPPPATSAALLRKAAVQALERWHHLFGAHYRQLRLAVEYLKVSARVQFPGLRATVEVRAAREARTQEILAAKVEQLRENFAPIKAEIRSTIVEIRNGLEVIRAEYEKFEGYVNDDDAELEIASLSMRSIRMASLMAGEWVPETQENEAVFDALREAYRLLVSKHLVTVKEWISVLVRVNLQDNRFRDSALKEFIDVKNEIQAVRGRCSELGLDLDNIRRRKGDQEEEDDEFWVEGNIGAPSPTRVQSSVDVATSSRDTGKGNKVVDGVKSDIVKMPVAVNGNINLDPTKSKLFAEAPVVQWSSVLDIWGSNRDAHVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSVYREAPVEILPCHAPLKKGRLCQRRDLKVCPFHGPIVPRDAEGNPIEQHSGSSDAKVDTVEHCDVRGSSNVLNIKSDGDYMEEASSSRMPDISHDYGNTAGTHYLGKITVEQLAQQAIKNVRKRDIDHKAQERAQRQRIRQHNEDVLREAAIASTSHSAAAYEQPPEAWGRRGRRGKTKEPTLASMLKKKVTSKDRIADRLLNTRATDATIREASHNEDISYREAFPNQW >Dexi3B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:3B:7914943:7920044:1 gene:Dexi3B01G0011260 transcript:Dexi3B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLVLVSDLDQTMIDHDDRENLSLLKFEALWEAEFSQDSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNNWDRNIVVEETARFSQLKPQACLVPERNQGPHKVSFFVGKQGAQDVMDSLPQKLEKRGLDVKVVYSNGEALDVLPRGAGKGQALIYLLNTLGSHGKSPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYQENAKTNPKIIHATERCAAGVVVKFYVLYEKWRRGELPNSPSVMQYLKSITHLNGTIIHPSGSECSLHSSIDALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEMEGGARYCCRTTLLLNMKGTERSTQLAPAIFAGASMDKLDGSARLMIVSDLDETMVDHDDLEDLSLLRFGALWNAEFAHDSLLIFSTGRSPIGYKDLRKEKPLITPDITVMSVGTVVAYGADMVRDVDWEEYLSSNWDRDIPENEQSPHKVTFLVDKQGAQGVMDALPRNLQKRGIHVKIIFSYGVLLDVIPQGAGKGEALQYLLNKLSSHGKGPNNILVCGDSGNDTELFSVPSVHGVVVSNAQEELLEWYEENAKHNPKIIHATNRCAAGIMEAIGHFKLGPNVSARDLELPFPRLDTIKPADMVVKFYVLYEKWRRGEVQKSSPVIQYLKSIAHLNGTITHPSGLEHSLDASIDTLSSCYGDKQGKRFRAWVDRVVTSPIGTNSWSVQFDNWEMEGDARYGSRSTFVLNVKAEAPEGLELTRICKTWLEGQSAAGTEHTFIL >Dexi2B01G0000440.1:cds pep primary_assembly:Fonio_CM05836:2B:183283:183651:1 gene:Dexi2B01G0000440 transcript:Dexi2B01G0000440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNARPGTTELPLPLITCTAVGSLPVTVSCMKKCRCVVPSSAMATATATREATRNNAVAALLP >Dexi6B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:6B:601962:604486:1 gene:Dexi6B01G0000680 transcript:Dexi6B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMATACAAAAASLQLPHSKAQTPSSQGRVLFPGAPSSSRSLRLRPAGRRSPRSTKAVVAALADPLKVMISGAPASGKGTQCELIKTKYGLVHISAGDLLRAEIAAGSDNGKQAKEYMEKGQLVPDEIVVNMVKGRLAQPDSQENGWLLDGYPRSYSQAMALETGGIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTYYQNIESLLETYADIIVKVKGDNTVDNVFSEIDNLLTSSLAKKTEAVAST >Dexi2B01G0000860.1:cds pep primary_assembly:Fonio_CM05836:2B:419164:422067:-1 gene:Dexi2B01G0000860 transcript:Dexi2B01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDGGGTGVGNGRDLSGGPPCGQVRVLVVGDSGVGKSSLVHLLLNGSAVARPAQTIGCAVGVKHITYSSPGSSSNSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDARRVSSGNLVDVARQWVEKQGLLSPSEELPLADSFPGNSGLLTAAKEARYDKEALIKFFRMLIRRRYFSNELPSPSPWSLTPREDTILRVETVNDDDLFQRKR >Dexi1B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:1B:4258328:4260495:-1 gene:Dexi1B01G0005220 transcript:Dexi1B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRVGSPDLSYGDSAGIARNRSVILRTIHGYYKEALDALPLEDMPELSPWLLDAGVCFGFADPVTNIVANTLCFLSENYGEADHEGATADILRLLPDKNGEPEPDGTKKRKRKAKEAAMSREEVLSKIGAGNTPSSQSQEARTIAERSLDGLVMFLTSYFCYLPSWDALRYLCLAKADLLVAVRLIEIDRCYDKEDGFCIGSYAAKTALKYAALSARQPNASDFYSISLASRLKLITQAVLADRLSAEKIRWLSRMLKKTTYKLEDLDNPMLVADERVHSCCHSNAIGEKVPGGLTISLRSVLLDRIHSHYLKAISHIPTQDVRFCYHRGLVNAGYCYGPLDPVANIIVNTIWYDTTFPALENLEVDMICTSTFVRVESRSLSGLINHLLTCIPEISEHEAMVYLLKNNLEVKRAIQMARSEGCDISDSDISAYKVAAIGSFHPEVEAYILVAAIVSMKALELCIRMRTTMAATMTL >Dexi6B01G0020670.1:cds pep primary_assembly:Fonio_CM05836:6B:27100772:27103195:-1 gene:Dexi6B01G0020670 transcript:Dexi6B01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYIYSVRADGRVGCLNRAARLEQKPPATAAVVLAGSIHRIPSAREVDRPIAGDRRKPASCPSPALRLPRQRRPSAYRAFLHLHLRGCAYARRPSMPCCVGVAPAPRSYRPPPSAVDQICMPGTKSLPLAAATMYSPPCAVSNSPDAPPASAALAVGARIGPVLQSWPLLTVVPLSPAALAPSRACDDFGEVRR >DexiUA01G0004790.1:cds pep primary_assembly:Fonio_CM05836:UA:8861350:8862909:-1 gene:DexiUA01G0004790 transcript:DexiUA01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANNLLQSSSGDQCSLSSAFSMCVDSVGAFSKSLQEASRFCPTDNGTRKDQLVNQRVTGCSNHRVIKKRYSKDEQLEVEVCRARKAMVMMEEIEEVFGKMMLRGYETWRNDMEKLHIAKANEAMNKKSGSKAKSDVVDLGALLIRCAQAVAAGSVLTAQGLLKQIRQHASSTGDATQRLAQCFSKGLEARLTGTGRQLCLLGMADRTLVVEVALFFNIMTIENAMAGKSKLHIVDFGPHHGFQWAGLLRWMSSREGGPPEVKITAINRLQAKSCPAEGIDDTGHRLGKCALEFGVPFKFHAITAKWETICADNLNTDVDEVLVVNDLFNFSILTDESIYFDRPSPRDVVLNNIRKMRPDVFIQGVVNSSYGTSFLARFREALFYYSALFDMLDATIPREDNMRLVLEQGMLGHSVLNVIACEGMELMYRPEKYRQWQVRNQRAGLRQLPLKPNIIQVLKEKVMKDHHKDFFVGEDSQWLLQGWMGRILYAHSTWVADAISE >Dexi3A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:3A:8540842:8543518:1 gene:Dexi3A01G0011850 transcript:Dexi3A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCWPFPLPSAMDYTSDGDAEVEAYGASTFELLVSGSLKVMSDEGVYQCPFCSDEEQEYSLDDLLQHALEVGTAFDQQAKEKADHRALAKHLKNKPAESSVDSLLQPMLTDPQIPQNSRDEQFAWPWMGILVNMPNEFFGKSANRLKEHLSSFHPVKVHPVYSRGSPTRDAIVEFGKDWNGFRNARAFESHFTMKGYIKKCWKEMKCRGTEPVGWMARADDHSSQGAIVKEKEMHLEKLESEYNKSSTTLDELMQKKEQLLQSYTQEILKMRQLSQQNTHRVVEENRKLQSDLKGMMDELDRRNKQIEELSAQSECNIRELELEKQMNASKANNLRLAALEQQKASENVNKIMERQKREKEAVLENIMRLSIQSEKKHNLELDIKHLMRQLQVMELKPEDEDSGLGKKIDELKEELSEKITELNDAESFNQTLIARESKNSDELREAREVLIDALQGLIGTTNSQTHIGIRRIGELDSKVFLKTCKRKLSSQDAKAESIILCSKWQSEISNPEWNPFRVTMVDGHEVEVIREDDKKLHELKEYGEEAYAAVTKALTELKDVNGRRRDPFPELWNYKEDRKAHMTEAVRYAMKLWNESKVKKAKRRS >Dexi5A01G0020050.1:cds pep primary_assembly:Fonio_CM05836:5A:23914862:23917262:1 gene:Dexi5A01G0020050 transcript:Dexi5A01G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVQTLAPALRKAATAAAAASAPAAPASSAARAAPLSSAAAAFRRTSPLLSGDKPAKVEDVMPIATGLEREELEAELQGKKRFDMDPTVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEGHH >Dexi7A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:7A:14094104:14094985:-1 gene:Dexi7A01G0003940 transcript:Dexi7A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGGRSAFHVAGPGGMSVHGYVAQKRQMILNYVFGCSHSTVNFPSGGVFAGIAAINPAPASLTMQLAERGMTWFSYCLTGGPSRHGFLRFGADVPHNPRYQTTRILPALDANDSAAYYVGLIGISIGMCRLNMIHPEVFARGKGGQGGTIIDLGTPVTVMAEEAYQVIEQAAWSALKEHGAERVARCPYNLCVRVTKVVKGHLPSLSLHFADEEDATLVVSPEQLFLMMDDEHVGQMACLAMVPGRRTVIGALQQVDTRFVFDLKDSKILFAPESCIKDTVSDI >Dexi8B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:8B:4398672:4400095:1 gene:Dexi8B01G0004720 transcript:Dexi8B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRPESVAVAAFPPWVLFEHPCTVEALGCSSSIADTKTMAASRSTTGLPVTVSLRLRLAAPPELSRVCVQVELPARCHVSTVNHIYSIVVAAHGDSMLVQIKGVDNFTTDHFVYNAGNAAADPPRPPSLRLLPRYGLREDGRAGCRSYLNREATGLLRSGEDELVVAELNTLDVHGLMTPGMTTTELRLLRYPGSCRWSVKRTQISHHHAGAGELPSSWRNDTVVPLGDDRLCWVDFQHGLLFCDVFEESPELRFVPLPEDPFFDGVGYRNVSVTAGGAMKLVNIFPRCCCGGAGRSYCRRSHHAYTIHTWTLTTHSMTWVKDGTIDATQIWDMDGYKGFSLPRVELELPVVSLDEPHVICFVVCEDRHVVGDGDKTIWMIMVDMRSGTLRSAFRYPEEWGYVGRHLLLPSRVSECFNSEPSSSGGSKLGRPLQAGTVPNRGGVAVQCTYASIFTGDDLGRS >Dexi4A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:4A:5427399:5427829:-1 gene:Dexi4A01G0007320 transcript:Dexi4A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPEPAPPPAHRPRLSSTPAANRAPFSALPEAPRPPEPPPPLRAPAPAPPPGPGRVRNRAGMGFIGAHGVETLKRYKYSGEDRSVVAKYVLQPFWSRCVTLFPLWMP >Dexi2A01G0034590.1:cds pep primary_assembly:Fonio_CM05836:2A:44492926:44496655:-1 gene:Dexi2A01G0034590 transcript:Dexi2A01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPSPKTFASWTGGMEKASIDHDLPKILERWKISKLARSVSQPLFDIMETPVIPSVRSNSSTSSSRYTGISLESTTKETNIVTSFGKLNIKEEPEEASIPFSGEAVTAFEQLLMVCRQYKPATLAEVFSAYCKLGSIEKLGEGTYGEAYRAGRTVCKVVPFDGDLLVNGETQKRSEEILEEVLLSLTLNNLRSNRGSDDKEDFCNGFIETKDFRVCQGPYDPSLISAWEDYDTKRGSENDHPKDFTSEQCYIVFVLADGGTDLESYALVDYDEIRSLLVQVTASLAVAESACEFEHRDLHWFVLSSQFWGNILLAQDESSDINHTVSFALQGKRMHARTFGLNVSIIDFTLSRINTGSAILFLDLSADPALFQGKKGDKQAETYRKMKQITQEHWEGSFPKTNVVWLIYLVDMVLHKMKSLVLGAKMDRELRSFKKRLASYESAGDCLADPFFSDLLLVDAHLSPMPQL >Dexi3A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:3A:16791481:16794461:-1 gene:Dexi3A01G0021150 transcript:Dexi3A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPSSATSAAADDLETLALDSSSSSGAATDPLLRPSPSQTAEANHDAFVIDDFLDEDDFSPAPAPSVAHPPAPRADGAPPAFTKITVSDPKKHAEPSGGGTAGGVIPGSGSYFSYLITTRLAGGGGEVRVRRRFRDVVALADRLAAAHRGLFVPARPDKSVLEGQVMQRHDFVSQRCAALQRYLCRLVAHPVVGRSADLRTFLTEPGAIPAFQGEAPRHWTTTVNAAAPLVPAKTGRDLFGMFKGLKKTVVNGLVATKPPPVEQETDIEFLAHKARCEDLQQQLTTTSQQAEALVKAQDDLRETTGHLGMTLIKLAKFEREQATCNSLRRRAGEIHNFANSVLKMSRSQTKLNSEIVKHLGIIHEYLEMMISVNHAFADRSNALHHVQSLSADLFSLHNRAGRLESSSARDMGHEWSTYQKVEGLKETIRSTEAAKSDALREYERIKENNKIEIKRFDKERRRDFIEMLKGFVVNQVSYSDNFANMWTKVAEETEIYTNRAN >Dexi4B01G0002180.1:cds pep primary_assembly:Fonio_CM05836:4B:1375070:1376077:1 gene:Dexi4B01G0002180 transcript:Dexi4B01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVSTAPLTRLHSLPPPRPALLHHHHHPHPHVLLRSHRSRMAPGGVTAVAALPTAADLPALSLPAAAAAAAAVAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATEGVNRVQLDIREGHAQTVAAALSMLRDSPLDLAGATVCDAGCGTGSLSIPLAAEGADVLASDISAAMVSEAQRQAQLAMASQPSKSPFRMPRFEVRDLESLEGKYDVVVCLDVLIHYPREEAQAMIRHLASLADKRLLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHAERDIEDALRDAGWRVNKRGFISTQFYFAKLFEAIPAGSSS >Dexi1B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:1B:6466276:6466538:-1 gene:Dexi1B01G0007790 transcript:Dexi1B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDQPPAAGPRPRKILDPSAAISQREDGLTRALVVTVLSGSHESILACVAGRFDVDPASMTVQHFGMARFLLTLPSVDLAGV >Dexi3B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:3B:10234526:10239227:-1 gene:Dexi3B01G0014190 transcript:Dexi3B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFPSSSPGSANRLLVLFAATCLILAAASFAFAVSASRSRTPPQQPPPAVAFRCGRAEDSLRSFLASSSPGRNYSAGDREKVLAVVGVHREHGSAARRAALRSTWFPPNPEGIVSLEHGTGLSFRFVTVRPKDKQKMEDLHKEADMYHDFFFIDADEDTKPPMKMLAFFKAAYHMFDAEFYVKADDDIYLRPDRLAALLAKERPQHRTYVGCMKKGPVVSDPNMKWYESSWELLGNEYFMHASGSLYALSSEVAEAVATAKTDSLRMFDYEDVAIGAWMLAMNVKHEDNRAMCDPTCTPTSIAVWDKKCSGSCNITEKIQQLHNTTLCSKSPTLPPEVEEEE >Dexi9A01G0020720.1:cds pep primary_assembly:Fonio_CM05836:9A:15779872:15780820:-1 gene:Dexi9A01G0020720 transcript:Dexi9A01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTRMLTRSKYISLYPEGPPSCTSAVTNGVKVRASGVFVPEYPQSLVPERSCMYTYSIRMSVPEAFMQGGVHCSCCQLYSCHWAIRSRDIVVSDASGEVIGQYPVLSPGEDESVYESCMSLPEGPQSVEGSFSFVPGRLGYPVGEPFEVTVAPFPLEVPEYIF >Dexi9B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:9B:8016984:8019369:1 gene:Dexi9B01G0012030 transcript:Dexi9B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADIRLSIAHQTRFALRLAAALSTPSAAAAAAAAPATNAAFSPLSLHVALSLVAAGAGGATRDQLAATLGGDGPGAAEGLHALAEQVVQVVLTDGSGAGGPRVAFADGVFVDASLKLKPAFEGVAVGKYKAETQSVDFQKKAAEAAGQVNSWVEKITSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDSEFHLLDGSSVQAPFMSSTDKQYIASYNNLKVLKLPYQQGGDKRQFSMYIFLPEAQDGIWGLAEKLSSEQEFLEKHIPMRKVPVGQFKVPKFKISFGFEASKLLKGLGLQLPFSPEADLSELVDSPEGHNFCVSSVFHKSFVEVNEEGTEAAAASAATVVLRSFTVPMDFVADHPFLFLIREDMTGVVLFVGHVVNPLLAP >Dexi2A01G0023990.1:cds pep primary_assembly:Fonio_CM05836:2A:35677820:35680495:1 gene:Dexi2A01G0023990 transcript:Dexi2A01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAASSSSSPTARPRPAAPPPKSQSSDYAMDNATPNPSAAAAAAAGNGVQVQGAGGERPEDASKQNLAQVTSSIQKTLGLLHQLNLTVSSFNSASQLPLLQRLNALVAELDTMQKLAEGCDIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEQYRDIRATSAAEAKRLAQSQGTLPNGDVKVKAEH >Dexi2B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:2B:21060992:21063590:-1 gene:Dexi2B01G0013320 transcript:Dexi2B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGSSAAGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSCIFLDLQTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMNCVNHETGVVDPKKFSVLANWQREYTMEYILTQLKKEMASPQNRKLVQPPEATFF >Dexi2A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:2A:12381999:12383122:1 gene:Dexi2A01G0010940 transcript:Dexi2A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLYLGNGSLQVMGISLENSTVRVVGPDIDMVESISTDYAAIGTWGGQGWGLSDEGPYILSQEYNELVLSGCGLSIELLIPDPQVDQVINTCGAMCSPSDRDNECREQPKSPRCGKCSGLGCCQVPVAVGRVAYKARLIKTLQDPIVITNYSVFISEQGWFQPYNSSRSAIPVMLAWAIVSNVLPHVSDGSRDGNATCPKDLGSTACHSSYSTCRNTGRLYGNNGTPSYSCSCWDGYQGNPYLLTDAKE >Dexi7A01G0022580.1:cds pep primary_assembly:Fonio_CM05836:7A:30779713:30781803:-1 gene:Dexi7A01G0022580 transcript:Dexi7A01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNVGKAAAAPMSAGSSDPSPSSSAAAASPFPLLRQQQHPHTTAAGHLTPPSPASGGPAPPPPSPASAPREYRKGNWTLNETLILINAKRLDDDRRAGALAGHVHVHGLPGSSPTTPRSSEQRWKWVENYCWNHGCLRSQNQCNDKWDNLLRDYKKVRDYESRTAAFANAAALVVSDHAGGGGGPAPAPPATAPSYWTIDRHERKERNLPTNLAREVFDALTEVLYRRAARRGRGGAEIAVASTPPQLALPPPPPPPPPPPPATPSSPPKPLMLQPRPLPPPLLPRPTAVAPPATSVSAEELTGSSESGEEEDDEGSSEDGEQPEPKRRRLNRLGSSVVRSATVLARTLVACEEKRERRHREVLELEERRLRLEEQRTEVRRQGFAGLVSAVNSLSSAIHALVSDHRSGDFASR >Dexi9A01G0037910.1:cds pep primary_assembly:Fonio_CM05836:9A:42158096:42165631:1 gene:Dexi9A01G0037910 transcript:Dexi9A01G0037910.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRQDKETPRMPSYLRWPHIQADQVHGLGLREIGGFTKHCRAPSVRASCYAIAKPATLVEKMQIIKRLRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLSVSSNNAVVASSSNDFIIRVWRIPDGMPVSVLKGHTGAVTAIAFSPRPGAAFQLLSYQIIFVGRSSDDGTCRIWDARYSQQPPRIYTPKPPDVAPGKSGDTSSSAAQVQPTNHQILCCAFNANGTVFVTGSSDTFARGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGSAIDQETQLIPHRRNMQDFLCDAGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQTIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGMDENSSDGEQEIMSGDSSGTSYSSAEIDADNPNSAAHRRRSRRKKKKYEARLNFQDHPIFLCAVF >Dexi6A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:6A:15853651:15856062:-1 gene:Dexi6A01G0011120 transcript:Dexi6A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGLSLDTAAPGAPAATTGGSGQHPPPPAGLPPVVPGNRDATGFVPAYSQVYTRRLNAPPGASLQAPSGPLCMASLHLPHGTTRTCTYGHGFPPCSRLWLHANNAPSLYFPVRQKLGPRSAATA >Dexi6B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:6B:3349391:3349735:1 gene:Dexi6B01G0004110 transcript:Dexi6B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANIHQSYTRQLNSTLDPARTTKANTASGGGGEGEVARGITISSGLRMPNCTSWTLATSAGEYGNLASILAPPGAAAGGDDHHHLGFQIAAPPPPTPRGRTRRQATALGRCVE >Dexi5A01G0028490.1:cds pep primary_assembly:Fonio_CM05836:5A:31750569:31760117:1 gene:Dexi5A01G0028490 transcript:Dexi5A01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPIVFPVVVHPTSPCRPPPYERNRRQHSHWRYCGKFSPRHRAPASLTELRQPNPLNPGHHLHQGWIQGGRPVVIEPYVTLMEALVAAWLPGTEGQGVADAFLGIMDSLESFPAPEHSTVARSVTIRIGMQMAVLDAHARPAAGCPVAPHWRCLVVSWIGKDVVVVGRPRRLLKVDAETHTPRSVLSHPSASRIRRRIRIVSTTKPESSGVFIQAVCRRPNLAGFLPLSNLDRPSSIVPCMDASMDGVGVVSGQNRWTRFLRRHPHVELALIPAILGLFLLLFCWQINGPPDVSATVASIQDLEPGHRAAGAPKFAVTLRVRNPYVWRHCFKPGNGSAVVAYAGVPLARADLPGFCVPGRSTKTVRFVAAGGGLGVPSALEGGGSSLAVRVRLDADRVMPHNVVMDWSPMLYWCQAMLDGHPPSGRPRCAAFAMTRCSVYADVEIEHESIETQCTGTTAHSSKMTRGKREEACLARHVVRMTLATTGLGLGLAPPASGPGAAAAASCQARETTVGHFMSHKERYFASSDIAAESAPRWTVEDWLARLGGRFMSVPPSVSLSLGAVGWLSEGKGEEREEAARFAAPVDSKRNMTSADAARHGGFRRVNAEQASGGAWELGGPAAAAPAQMRLMQGAARQRRRKLHDLFLPQVLPLGHPLLEVASMLSHGSDTLIERKLSLATCGALPRLASRRTAAQARSTAKGGTEGGGSGGGGNQHRPEGEAMAKDRDREGPRQAKYGPVLYGLRKACIYIAETMKKRPTASSQNRTRDRKKREGRQASYTDRTKTSRSLSSPRNFEREELNSRDSNSSFLFMSSPLSLLFLRLPHAHTVRSAPALILLWCLYQSLSISPSGTDPGYGRHGQIAGS >Dexi1A01G0027410.1:cds pep primary_assembly:Fonio_CM05836:1A:33210363:33210970:-1 gene:Dexi1A01G0027410 transcript:Dexi1A01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPESMPTACPLAPAPSTGEPAAVEAVCVPWPSVSSGDLDSFFSSMGWPRAASFGRDASTGEGRGRRAEPGGRRERGPTDGIEPGVEHADDGRRRAAPRGGRKETGGLGEVEERWGVGGVELPRAVRVDGQDALTGGGVLRLLRREARRDARGRVRVGVEHARPGRTQPAREEAG >Dexi8A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:8A:22633044:22636235:1 gene:Dexi8A01G0012860 transcript:Dexi8A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGSSNITADEFALLAFKSMFASGGSLASWNSSSYYCRWPGVLDLHDKNFIGQIPPELGRLSRLHVLNLRTNSLQGSIPLPLVGCSNLTKLHLSDNRLQDILYSQTFNATEYGAGNVISTNGDIYSYGILVLEMITGKRPTDNIFTQGMSLREYVEMALHKRPMEIVDTRLCLSLNSEAPDASASDNRKIEAVISLLRLGLSCSEEMPTSRMPTRDIIKELVFIKSSILS >Dexi5B01G0032450.1:cds pep primary_assembly:Fonio_CM05836:5B:33107489:33108844:1 gene:Dexi5B01G0032450 transcript:Dexi5B01G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDPRRRSSYQQMQLSATSTSTMAASAFSLSAHLSVCSAYTLGAPSNRRVLSMFLSACHRSVSLAMGASHAMIRSAASFHPPARPSSSGPGSRHHSRSFDLTADLSFAGSISYISARSAAASSCLPSASIRSSSSADTITFAYCWSSPAPPTVAGGASRSLRQSVDGRSPMIGHELLLIGGWTRDGPSRRVMGPEDALAFPERGWRRSFEMRVWTLSVVAAGSGGGRPGTNWPKIEQMRTNTAQAARAMRPTAFSDSSLSRFTLRRCVLSSCRSDSAPGDNSSRRRRRLWLQRDRAAEAAPATDVDLALGGLELVERLHFGAELGGGGDEALHLLGLDPEPFGDQGVEPRIGLAGLEAAEHAAVVEAAAQAEARDGGGRGVERHDELGAGEPEEERLVGGVEATPGERMASERSRESSGSDSDR >Dexi1A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:1A:11773743:11781966:-1 gene:Dexi1A01G0012280 transcript:Dexi1A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQSLTLRGAAVPSARRAAPPPASSAAHLAASGPCLLRVPRALRRRRPRSLRASASLEQEVKEVAGAPAPSAIPPSLLLLFPFLLKPCQFNVHSSPDISAGKSSQTTRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLADDLGPLFEAILRCIPEPRIEKDGALQMLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRVAKISELFVYQNFSRVPVESVSAGDICAVCGMSDIMIGETIADKGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKVLEPYEIAAVEVPEEYMGSVVELLGKRRGQMINMEASGPEGTTLLKYKIPTRGLIGLRNAILTASRGTAVLNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGLLFVQPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMSKNPKISKKK >Dexi6B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:6B:22217410:22218923:1 gene:Dexi6B01G0014730 transcript:Dexi6B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQRRPPSSKTAPVAMKASSAHATAQGIRNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSMAKSAYSPDGGDRCTPARHKPPAQVHSSEVSTSARQASDQDAADWSSLKRATNVKQTPRRPSRSLSQGDCPGKENQSCGTNSCRDFGRAPLSNVPKCRIPPAEKCAGVQTTGTVEDRNSNGTDSDMASTSANKVSEELLTCLLAIFSQMNASSSQDGERASSPSGVAREALLG >Dexi5B01G0038720.1:cds pep primary_assembly:Fonio_CM05836:5B:37817100:37817772:-1 gene:Dexi5B01G0038720 transcript:Dexi5B01G0038720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIGRFFDAVGAFFSGGENIPWCDRDVIDGCEREVAEATTQEHEKEGIMRLSWALVHSRNQDDVLRGIGMLQASLVGATTSPLQVREKLYLLGVGHYRNGDYPRSRQFLDHCLEVVGFIHDISFINNGVEHNALLNCL >Dexi7B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:7B:14121826:14124558:1 gene:Dexi7B01G0006390 transcript:Dexi7B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGGFAEGVSDDKFEEQAALNGYLPQNLYCLDSCYGSLSELKSLLHKMNEHNVRAMADVVINHRIGTTQGSNGKYNRYDGIPISWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQTFVRKDIIEWLIWLRKSVGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWDSCEYSPPDYQLNYNQDNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGEFWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQMC >Dexi2B01G0024240.1:cds pep primary_assembly:Fonio_CM05836:2B:33662225:33664799:1 gene:Dexi2B01G0024240 transcript:Dexi2B01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKRASGGGGGKSGDHGGKPASLERSGSKVLDGDETIFTEMAQEHKEEGNKLFQRRDYDRALLNYDKAIKLLPRAHPDIAYLHSNIAACYMQMSPPDYYRAINECNVALEASPKYTKALLKRARCFEALDRLDLACRDVNKVLALEPNNLTALDVADRVKKAMEEKGMVLDDKEVMPTPEEVVAAAPKQKPRKKRGGRKFAAKAAAAAVEEVEEQKMTEAVKEEDGEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAQELAEPGSSLRLYVTEANPEHEPYADDSNNGPLERNVNSASDNGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHELSMKLYTEAIEDTITTEEAQEVFQLAERNFQEMAALAFFHWGNVHMSRARKRLLLSGDSPRELVLEQVKEAYEWAREEYNKAGMTYEEAVKAKPDFFEGFLALAHQQFEQAKLSWYYAVGSNVDLEICSTEILELLNKAEDNIEKGIEMWEEMEEQRLKNRSKPTQENVVLEKMGLEEYIKDVSTDEAAEQASNLRSQINILWGMLLYERSVMEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >Dexi3B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:3B:5776254:5777063:-1 gene:Dexi3B01G0008160 transcript:Dexi3B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEEETRRMFVEWKADYGKTYKDVGEEECRYAVFKDSRRRIAGQLNTGVTSYVPNLFGDITNEEIRAHWHGRGFRIGEESYEKGTRRMFVEWKAKYGKTYKDVGEEECRYALFKGNRRVIVQLNADAGQISYGLNQFGDLTKEEVRECCYGRGVLSNLEMEGKLSAGCQAAAAAAAALELPDSVDWRKKVQLNLTQYPYEHK >Dexi9A01G0043030.1:cds pep primary_assembly:Fonio_CM05836:9A:46566397:46570045:1 gene:Dexi9A01G0043030 transcript:Dexi9A01G0043030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHFAIFTTASLPWMTGTAINPLFRAAYLAKDGDKDVTLVIPWLCLRDQELVYPNKIVFDSALEQESYVRRWIDERIDFRPSFSIKFYPGKFSTEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKHKFRRVIGIVHTNYLAYVKREKNGQVIACFLKYANTWVTRIYCHKSYTLLFTNRYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRIYNNEEEFVQLTLNALSEQPAPLTDMQRYELSWEAATERFMEAADINPHPTEPRTHQTSRISLPAFLRTRRLKQNLEDASVYLHQALSGLEVTRRAFGVVPKTLQPDEELCKDLGLTPPAKRRSLKFKLTT >Dexi2A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:2A:26767102:26771693:-1 gene:Dexi2A01G0015640 transcript:Dexi2A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRESRRDSSHSSSSNGFLPPAAASHSSSALPSPFPELGVALTAAELREAAYEVLVAASRTTGGKPLTYIPQSGAGAGPAASPASSASSASSASLQRSLTSAAASKMKKALGLRSSASTKGVGSPGSGGKAAPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAENMVLPLEFLQQFKASDIPDPQEYEAWRSRNLKLLEAGLLVHPFVPLNKSDSSAQRLRQIIRGAYDRPLETGKNSESMQSLRTSVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNEEGTVVDEIDEVMELLKKTWVILGINEMLHNLCFTWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAAKVLVEDISHEYRRRRKEETDVARSRVETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAMKEKNLYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLTWNPGANRENFAPSSVEMLRVIGETLDAFFELPIPMHPALLPDLTAGLDRSLQLYVSKAKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRVSQNGATNGNDPLGLPQLCVRLNTLQYIRGELENLEKKIKTCLRNVESAQADITDGLDIKFELCQAACQEGIQQICETTAYKVTFYDLGHVLWDTLYVGDTTSNRVEVLLRELDPVLETISGTVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRADSESLIERFKRMMVESNRSASKNRLPLPPTTGHWSPNEPNTVLRVLCYRSDETATKFLKKTYNLPKKI >DexiUA01G0009640.1:cds pep primary_assembly:Fonio_CM05836:UA:19036737:19043327:1 gene:DexiUA01G0009640 transcript:DexiUA01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPASPPPEPTGGIVAPVPVVAPHFCAPYVVQLSVKEKFSMLREGDFTITETNGAVVVRVKGAFISIHNRRLLLDANGNPLLSLREKMHRQHNVTSTVLGTDHYDVTVFPNVDYVFISALVVILQELHTDKND >Dexi9A01G0046060.1:cds pep primary_assembly:Fonio_CM05836:9A:49455241:49458871:1 gene:Dexi9A01G0046060 transcript:Dexi9A01G0046060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPEDDDDDDMDRLFVRSLSFDNLSTLDTLESPSAFLDALTSKRLIARGPLSCEKREFDPFQVETTVSTVSPKPAKKSCNYKPISLPRYESLENLPLNSPVIGMVSPKHQAAAIRVQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEVQKPESALSRWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRLDIGEGKEVNMEDHCPRWKLLQQCIRYLGPKEREFYEVVVEDGKMMYRMSRKIVDTIEGPRDAKWIFVLSTIRVLYIGVKSKGTFQHSSFLAGGATSAAGRLIVENAILRAVWPHSGHYRSTEANFREFKSYLRKRNVDLTNVKLSPSEGEEDEWLRHRGSLSQISLTESSNLARQEDSKPQTPVADQGNTTPATPPSTRHDAAGTPVMKRSSSGSRLQRKRPPRLAVGKNLVGRGTAAEHGAGAFGDCLDFCKADLFPGGEAGEEVVVVPQEKILHRINSKMALHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQFAEGQRAGTAALEPSPPLIAISPSLPQPRPDPEGGGRSAAQAMASSSSPPPDPHWVILGRVALVRRNSVDALGGISVAVAKPPSVSTLSVATSALRKPDDEDDTDKDR >Dexi9B01G0013000.1:cds pep primary_assembly:Fonio_CM05836:9B:8755575:8760656:-1 gene:Dexi9B01G0013000 transcript:Dexi9B01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVVGGGGKDRSSPGGGGGPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQQHQQQNAAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDILHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEHVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDPPSGTRTLDLASTLEVGSGGTTRASSDPSSTSNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAHTLARWIGRSYRFHTGAELLRTDTQCTDASLKALWQHSDSIMCCSLKAAPVFTFANQSGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMQQGSAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >Dexi1B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:1B:9278480:9280062:1 gene:Dexi1B01G0009920 transcript:Dexi1B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDFADQLRRSTPHLRSLLHSISISSGAPLAALVPDFFFCYDALPLAAELGVPGYIFYPTSLAAHVVMRRHVAVNGAATAAGEYRDLPDPLRLSDHVSLRRADIPGGFQDRTNPRFALLLDMGRRYRAATGFLVNSFYEMESSNADEVKQDDDTLPPACMVGPLVRSSDSDEDGGATACLALEWLDHQPTGSVVYVSFGSGGSLSVEQMAELAAGIEYSGHRFLWVVRMPSLKGPYGMKMESNDGQNKQQDPLVWLPDGFMERTNARGLVVPSWAPQVRVLSHRATAAFVSHCGWNSAQESMAAGVPMIAWPMYAEQRMNAAILSENVGVALRLRERPDNGLIPREEIAAAVREVMEGEKGRAMRGRARAVQEAAAKAWAPEGSSRRKLEELAGEWKAASLGRQKH >Dexi5B01G0038230.1:cds pep primary_assembly:Fonio_CM05836:5B:37463967:37465182:1 gene:Dexi5B01G0038230 transcript:Dexi5B01G0038230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLAHCFKLLLLLALGGVTMHVPDADVLSPLTLDGHFTFHDAPAMARDFGNRCSLLPAAVLHPGSVSDIAAAVGHVFSLGERSPLTVAARGHGHSLMCQSQAAGGIVVRMESLRGDRLQVVHGGGVPPFVDAPGGELWINVLHETLRYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDVVTCSPEENADLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFASFTEDQEMLIMAEKTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASRFQSDGRVLYCLELTKNFNSDDVDTMEQVGSLFPFAHQDDADM >DexiUA01G0012830.1:cds pep primary_assembly:Fonio_CM05836:UA:26673227:26673876:1 gene:DexiUA01G0012830 transcript:DexiUA01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDHKKCAAEIDPDHRFVSFAGPPCSGAQTGRPAAAAAEGSSSSLRVGQGRGSEMPHRARPMTGLLLFMGVNLVLVNTISLVYDFVCFHPYWERRRERRQRDRESH >Dexi7A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:7A:15732175:15733229:1 gene:Dexi7A01G0004790 transcript:Dexi7A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGTVLDKCQLGDIVRQSPKKLDSTGNVLFHAKIHTVVDSDLILVFSEGRIVEYDTPSKLLKNENSEFSKLVKEYSRRSHHFSRRGNNQMGEMSTA >Dexi6B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:6B:10879601:10880723:-1 gene:Dexi6B01G0008610 transcript:Dexi6B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLIAIFVCAFLFSAALAARDLSDDSAMVARHEQWMAQYSRVYKDATEKAQRFEVFKANVKFIESFNAAENRKFWLGVNQFADLTNEEFRATKTNKGFKPSSVKVPTGFRYENISVDALPATIDWRTKVPSLLSRTRANVVRCCWAFSAVAPREGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDEAFKFIIKNGGLITESSYPYAAVDGKCKSGSNNAATIKGYEDVPANNEAALMTAVVNQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTE >Dexi7A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:7A:30230575:30232674:1 gene:Dexi7A01G0021700 transcript:Dexi7A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGDSHSLELAAGPELDDDGHAPRTGNLWTCFAHIITGIIGCGVLALSWSAAQLGWVGGPVAMVCFAFVTYISAYLLSHCYRSPDLEKRHQRNYTYMDAVRTHLGEKRTWLCGLLQYLNLYGTAIAYTITTATCLRRKHTTRRGRAIKRANCYHEEGHDAPCSAHDEHFFMLLFGAAQMVLSFIPNFHSMAWLSVVAAVMSFTYSAIGLGLGLAKTIENGTIKGSIAGVPMSTPAQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLKSPPPESKTMQKGNVLAVLVTTFFYLAVGCFGYAAFGDAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFAARFPDSAFVNRFYAVRVLPGLPSYKLNLQRLCFRTAYVASTTGLALLFPYFNEVLGVLGALIFWPLVIYLPVEMYCVQRGIQPWTRAWVALQVFSALCFVVGTFAFVGSVEGVISKRLG >Dexi2B01G0001490.1:cds pep primary_assembly:Fonio_CM05836:2B:977449:979116:-1 gene:Dexi2B01G0001490 transcript:Dexi2B01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKMIASGGDGGVPELEDPVVARPAAEEEKESRRRRPLLVAVLAALLLLAFFVLGRESTSTVWEMASAKLLAVVNNDAAAGDVADELLGGLLAAVDDRRTCRSRYELWRYYKHFPYRPSPHLLRSLRAYEARHRRCAPGTPLYVKAVEQLKSGGHGGAAAAAMEEECRYVVWLPFDGLGNRMLSMASGFLYALLTGRVFLVALPPDSGDLFCEPFPNTTWLFTPQDYPVDNLPWLGQSPNVSLAALLAANKTIDGAAYVYLSLGWQMTDGTFFCGEHQAVLGKVSWLFMFSDLYFVPSLYPIAEFRDELRRLFPAAMESISHLLLRYLLHPSNPVWDMVTAYYASNLAYADKRIGIQIRMFDFASVPADDLYNQILSCSRQENILPETDGDEVAAAGNSTTAIFIASLYADYYERLKTRYQHVDEAASGRSSKVGVFQKTHEEQQATEKLEHNQRALAEIFLLSLSEEMVTSGMSTFGYVSSSIAGVRPAILLPAHDHKVPATPCRRAVSMEPCNLTPPRGVTCSRGMAVDGEDVARHLKVCEDRQQGVKLFD >Dexi9A01G0034530.1:cds pep primary_assembly:Fonio_CM05836:9A:39299421:39303410:-1 gene:Dexi9A01G0034530 transcript:Dexi9A01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFLVPLAVVALLAAAITWLWDYTFMRLVWRPYAIGKKLRRQGIHGPTYKVVKGCKEDIKTMKEETDGLVLDVHDHNYLPRIAPHYLKWRAQYGMMTMVVLETLRLYCPPLFMQRKPIVDITVGGMKLPKGVAVVIPIPIMHREEEVWGDDAGEFNPLRFENGVTRAAKVPHAMLGFAMGPRSCIGQNFAMLEAKSALAVMLQKFSFTLSPDYVHAPTDIFMLKPKFGLPVILRRLG >Dexi9B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:9B:5006295:5008582:-1 gene:Dexi9B01G0008130 transcript:Dexi9B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEKRRNAAALNGDEQRLRRKQEETALLLRRIKGFVRWVSAGRSPSIVIHRYRNYCSAADAASPSPCYDAPVGTDVLSLLHKDSQTSRLKVAVVDRAINDICILFKCSRHNLNVAFSIPVSIEAIKAFGQ >Dexi7A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:7A:19365945:19366291:1 gene:Dexi7A01G0008200 transcript:Dexi7A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVEQTAEAAIEIIEKVAEETEKIADEVAESFPGNEKLKAVASKIKAVADVIEEDADKAEALIKKVSFPRQSM >Dexi9B01G0021940.1:cds pep primary_assembly:Fonio_CM05836:9B:16607092:16607736:1 gene:Dexi9B01G0021940 transcript:Dexi9B01G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEVVGPAVDVVETAGERRRRPAPLELGGGRTPVGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPAPLELGGGRTPVGGVGGGGEKGVVRKGGEGGCGGRWEDGRRRGRRRPRVVVEAGGGGEGGGRRRKKMTGEGGGREKEEEERAYRRDVAAWSLTDEVDADGAERSATADGAVVRARAEAPALGRRKDRVGLPGVVRPCGR >DexiUA01G0016060.1:cds pep primary_assembly:Fonio_CM05836:UA:34239421:34242512:-1 gene:DexiUA01G0016060 transcript:DexiUA01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWAGAPPEGRWYEGARRLASGNGAELVPTSKTWHQNGKCPDNTIPIRRFKDEDILRASSIRKYGKKRPSNIPNLTTADPDTPSVLIGHQHAVASARNANNHYGTKASFNLWQPEIERKDDFSLTQLWVTSGSYTDEDLNTVEAGWQVYPSMYGDNNTRLFIYWTRDAYSETGCYNLVCPGFVQINNQIAIGGSLSPVSIYGGSQYDIDILVCKDPKGGNWWLQVGGSDVGYWPSSIFSGFTNGSSSIQWGGEVFSPDAGQTSTQMGSGHFPHEGFGKASHIKNIQVVDSSNSLQPPSGVDLITEQSNCYNVQVGGANSNWGTYIYYGGPGKNPNCQ >DexiUA01G0021370.1:cds pep primary_assembly:Fonio_CM05836:UA:44271775:44272679:1 gene:DexiUA01G0021370 transcript:DexiUA01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQMAKKYYYCWGMSSALSARTGGAAATTTNIRSWPSPAVHGGEQQPSWEEVAFARDAAGQLGGCVWPPRSYTCTFCQREFRSAQALGGHMNVHRRDRARLRHCTSPDDLVDDDEAPPPPPPHKQQLPPPPPAAAHNNLLVQDDASTLFISRANKQPLLMSGSADGTPISLSAACDHQQQQAAASSHIAATIMRMRESKNKLVISIPAPAAAATAGMSKDALLAVADYQKEEEEKEIIVAERTKRRRLVVHHHQQQQQQPDLDAPLFFLRPPPLAASNNCGVEHDDAKSNHHKPY >Dexi3A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:3A:2244336:2245946:1 gene:Dexi3A01G0003450 transcript:Dexi3A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIACFSQILFHLFYCRILNAYAKVPPKPKVPPTKRFTYYLVMCNSEFEELEAVPTSAAIDGPLEPPPSSSALVSDAPDESLATYFNSDEFIDLILRELQNAHM >DexiUA01G0019700.1:cds pep primary_assembly:Fonio_CM05836:UA:40921353:40922937:1 gene:DexiUA01G0019700 transcript:DexiUA01G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLGFFKAVALILGPVALAVALYNPGDFSPAPMPPEYSYGPVVSAPRHEARVLEHIERVGEGKLPGPENLAYDAAGGWLYTGCDDGWVRRVTIPGGLVHTGGRPLGVVLASDGGLIVADADIGLLKVSRERKVEALTDAAEGVKFAMTDGVDVAADGTIYFTDASYKYNLANHMTDILEARPHGRLLSFDPATGRTAVLGRDLYFANGKGTVEKLIDNLPGFPDNIRYDGEGRYWIALSAGRTLQWDLLMKYPFVRKLVYLVDKFVAVPHGLKNAGAISVTLEGEPMSMYTDPGLALATGWLKVGKHLYYGSLTETYLSRIDLTKSSAELHE >Dexi1A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:1A:9019890:9021061:1 gene:Dexi1A01G0010420 transcript:Dexi1A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRTWQCLVALLLLASASHGQLSPSFYATSCPTLGLIVRATMIKALLTERRMGASLVRLFFHDCFVQGCDGSILLDDVGSFVGEKTAFPNVNSVRGFDVIDQIKANVELLCPGVVSCADIVALAARDGTFLLGGPTWAVPLGRRDSTTASLSQANSDLPSPASDLATLVTKFGNKGLSPGDMTTLSGAHTIGFAQCQNFRAHIYNDTDINAAFATARQGSCPAAQGSGDTNLAPLDVQTQLVFDNAYYRNLVGQRGLLHSDQELFNGGSQDALVKQYSGNPGLFSSAFVAAMIKMGNISPLTGNAGQIRANCRVVNSN >Dexi1B01G0031570.1:cds pep primary_assembly:Fonio_CM05836:1B:35064547:35076713:1 gene:Dexi1B01G0031570 transcript:Dexi1B01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIACELRIHALLTLPTTPQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWYGTPMMAFELYGMLAGNVSPTTGENVKPAYGGEEEAFLKKVVTPIYKVIEKEAERSRTAKSKHSQWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNYGPCHLVNGEDRPVGNDNWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDRGVFKQVLSIFITAAILKLGQAILDIILSWKARRNMSLVVKLRYILKLLSAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGRNQPSLYILAVVVYLAPNMLSAMLFLFPVIRRALERSNLKLVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKEPIRTFQWHEFFPHANNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQCLIPSDTSKKRGFRAAFSSKPSKTPEGTKEEEKIAARFAQIWNLIITSFPVVYDLFFSKKNDREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIIYALVTGPREREVIQKIFNVVDDHIAEDTLIKELNMSNLPTLSKKFIELLDLLLRNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNYRRHEGITPLDQQDQLFTKAIDFPVKETQAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPSAPKVRQMLPFSVLTPYYQEDVLFSSQALEEQNEDGVSILFYLQKIYPDEWKNFLQRVNCKTEEELRETEQSEEELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDDDLMEGFRAADMLSDDSQLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAILGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMVQNFSLEFQLSLFQNDFLDTFQHL >Dexi1B01G0014640.1:cds pep primary_assembly:Fonio_CM05836:1B:20990710:20996631:-1 gene:Dexi1B01G0014640 transcript:Dexi1B01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKWPHAAAASGRRAGAGASYALLASSPPPTSNDGCSPQHYPPAPASDDDDDDVGPSSSSFDASVSRPPFQQQQQQHPAQQQLGVADWLLLQRQSSGSSVGGGDDAEGSSTASTLANAAAVFRDDKGDAAADRPPSSSGGGKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSAAAADHLHHIPSPQSLSHRFWVNGSLSYSDKVPDGFYLIQGMDPFIWTLCNDVHDGGGRVPSIESLKAVNPTDSAIEAVIVDKVADYELRQLINMAIDVSRNRADSKEIATRLAGVVSAKMGGSVAATEEHELVPRWRDTVGFLKISSGSVVLPIGKLSIGFCCHRALLFKVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDRKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSTVDPKVAALIESCWVRSQETTIFCQYHGIPETTHQDTTTQSIPRGKLVAVPQTEASDILASKGD >Dexi3B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:3B:12803802:12804327:-1 gene:Dexi3B01G0017420 transcript:Dexi3B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRAPLLLPQFTLRDGDGREKCGGVGWWRDLARREAGKVGCVALPMAAVSVSQYAVQVASNMMVGHLPGVLPLSASAVATSLATVSGFSLLIVLN >DexiUA01G0020300.1:cds pep primary_assembly:Fonio_CM05836:UA:42283500:42283976:-1 gene:DexiUA01G0020300 transcript:DexiUA01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYYSPYYQPAAPYYYNYQHQQRVRGGSVYVFFLLATVSLIAATTLYAWCESAMESLLDQLRRLLILSPLLLIVAVQLWIATGGGNGGGGGGVMCNLAEMVAGDHRRQHYYGYGYGGGGAGSSPWGVAVALVLVLLLVSYHSSFQERWFPLLGR >Dexi7B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:7B:24630903:24633622:1 gene:Dexi7B01G0019010 transcript:Dexi7B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding PMVPTESQIALEENSSASVDAQEQVTAVVSRKDKKISTTVVQGYLNHLGQWEEYPYVVSAEGLDAAYPVMHGTYSPLSTFGDSQSYFSLVYPLSIPYYQPHASPSMGCSSYTTGISQFDPMHQYYLPDELYYSPTPGFHQLFGSFDGVPMQSSGIAEFFVQGSIQVNHGFVCAPGIHAQVNGSGQFCGVAEMIGPVDFDRSVDYWQKDRWSGHFPVKWHIVKDVPNKLVRHIILENNENKRVTNSRDTQEVKLEQGVEMLAIFKNHEAETTILEDFDFYEQQEKAILDDRQQQNVQCADDKVQKQVKASVAVGIVAEISDTFAQAVQLEEARDREIRQKIEDTAASDNASAAPVETERAVALKTAEPGSLL >Dexi4B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:4B:6135288:6147936:1 gene:Dexi4B01G0008550 transcript:Dexi4B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRGRWSWDVPGFEPPQPAAAASAPTAMPRAPPTAMVPRPTAGAPRAPLGAVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEEEGPSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSALDGYNISIFSYGQSRSGKTHTLVRDLLSESSSTVPKVRMGVQESFVELVQEKVENPLEFSVSLKTALKNRSVNSPKAVVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDASRDNVTDFLHVSKSLSALGDAFASLSAKKEPILSVNSRITQILADSLGSSSKILLIVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKHSLREANDQCTLLFNEVQKAWRVSSTLQADLKSENLMHAEKHKIETEQNNQLRDQISHLLKVEQEQKLKIQERDLTIQSLQAKLKSIESQLNEALNASDARSTIGSESASVISSPKITESTADSSSVTKRLEEELAKRDALIEASSPSANKPANAQGREIGRSDSSKSRSPDVFASSVSQDKTGVSGAIVKSNNELAKTTPAGEYLTSALMDFDPDQFEGYAAVADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKSNTGRSRSSSRGSSPVRSPMYRHDHGSRTALVDEHVHGFKVNIRQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDAAGGATGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYTKRVYTSQLQHLKDIAGTLATEEADDPAHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKQVKEVMRQSSTRPMRKSKRKSLLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLEEDPDDPAPQSNALGESEVSQWNVLQFNTGNTAPFIIKCGANSSSELVIKADLRVQEPKGGEVIRVVPRPSVLADLSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVPALKEIVAEMERGGIFKDVRSS >Dexi8A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:8A:19527087:19533382:1 gene:Dexi8A01G0011050 transcript:Dexi8A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEDTGGGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRLLYFEQAFWRTPEKPFRQRFYMVKPCPKEMKCDVELSSYAIRDAEEYKNFCDRPKDQRPQPEEVIADVAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWSGASYCTSDLSIHKNGEVHIWDKGFDEEGNQVWGTKAGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKKLDKAYVIDDQ >Dexi3B01G0028190.1:cds pep primary_assembly:Fonio_CM05836:3B:24017522:24018873:-1 gene:Dexi3B01G0028190 transcript:Dexi3B01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSFLNRAALITDGGAIAADGSVARPWRVCTVQQVEDFKAVLRILPLWSAAIFLSVSIGVQINFTILQALVMDRAVGHFTVPAGSIFTGCLIAVVVSLGVLDRVLLPLWRRVTGHDPTPLQRIGAGHVITIASMAASAVIERQRMATVRAHGEEGDPAWVSPMSAMWLLLPFALSGAGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIVALGFYLSTALIGIVRRATPWLPDNMNASRLENLYWLLTVLVSVNFGYYMLCARLYKYQNVGK >Dexi1B01G0024640.1:cds pep primary_assembly:Fonio_CM05836:1B:29897771:29898586:-1 gene:Dexi1B01G0024640 transcript:Dexi1B01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDTREFVGGLNITVVKGTNLAVRDMLTSDPYVVLALGAQIGRWFMTKDNALLKDSTVNVVGGKVKQEVQLKLQNVESGELELELEWVPL >Dexi3B01G0030320.1:cds pep primary_assembly:Fonio_CM05836:3B:29858015:29858344:-1 gene:Dexi3B01G0030320 transcript:Dexi3B01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARVSFPDFSSYSSSSLSWLLTVLGGGSEAARGARVVFDEMLCLLLV >Dexi5B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:5B:1854127:1854600:-1 gene:Dexi5B01G0002860 transcript:Dexi5B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHHSTAQHSKQAQLLDRPSNMSDKCGNCDCADKTQCVKKGDSYGAVVADTHARPAAEDFAGENDGCKCGSNCSCGSSCKCGGH >Dexi3A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:3A:14095513:14098238:1 gene:Dexi3A01G0018460 transcript:Dexi3A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGQEVEEDEREEEVRGGGDEVAAVVRLKAKRALVGAGARVLFYPTLLYNVVRNRFEAEFRWWDRVDQFVLLGAVPFPSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQSYGIDHLEIPTRDYLFAPSLEHICRAVDFIHWNEMQGGCTYVHCKAGRGRSTTIVLCFLIKYRNMTPEAALDHARPTSPTGCSDLVFITEADLEGYETFTDSGKGDVEVDIVVRHKPMMRKLSCFLGSLKLASNCEPPPNRLTEVRAC >Dexi1A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:1A:5150218:5152725:1 gene:Dexi1A01G0006690 transcript:Dexi1A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLLAVSGGTAAAPFPAAAGADARRPPPGSVAVVDKSNSNGRSVQAAQNDDGMEPLRPLDVEEAMCMLREGKAVRSAMYVPLLHRCVETGSLGDARALHGHMVKTGTSADMFVATSLVNAYMRCGASRDARRLFDGMPEKNVVTWTALITGYTLNSQPVAALEVFVEMLEAGRYPSHFTLGAALNACSASDNIGMGKQVHGYIIKYGTESITSIGNSLCRLYAKSGSLESAMRTFRRVPDKNVITWTTMISACAEDENYVELGLTLFLDMLMDGEMPNEFTLTSVMSLCGTRLDLNLGKQVQAFCFKIGCETNLPVKNSTMYLYLRKGETEEAMRLFEDMDDVSIITWNAMISGYAQIMESAKDDLHARSRGFQALKIFRDLKRSSMKPDLFTFSSILSVCSAMMALEQGEQIHAQALKTGFLADVVVNSALVNMYNKCGCIEDATKAFVEMSTRTLVTWTSMISGYSQHGQPQEAIQLFEDMIFAGVKPNEVTFVSVLSACSYAGLVEEAERYFNMMKEEYQIEPVVDHYGCMIDMFVRLGRLDDAFSFIKRTHFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLDLKPKGIETYVLLLNMYISSGRWHDVARVRKLMKHEDLGILRDRSWITIKDKVYFFRANDKTHERSEDLYQLLENLLEKAKTIGYEPYQNAELSDSEDDDKPAAGSVKHHSERLAVALGLLQTPPGATIRVTKNITMCRDCHSSIKFFSLLANREIVVRDSKRLHKFKDGRCSCRDFSALL >Dexi2A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:2A:5929913:5930424:1 gene:Dexi2A01G0006300 transcript:Dexi2A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNDFGLEKAPEADVLCRLRLVCRSWLSLTSDPTFARAHLFRHPLVVGIHDIDGGHEVQFVDMSGRIVKRIPFIERDWDGYTVSVKPSQIDYTRRTEKTCVLNPRTGAFTVSPPDMAAVHESRKNPFFSLYLLGRIP >Dexi2A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:2A:2810187:2810857:-1 gene:Dexi2A01G0003230 transcript:Dexi2A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSPSSPPRAAAAADLSLSLAPATGSVDLAGGKNSRIYPCLFCDKTFFKSQALGGHQNAHKKERSASWNPFVYDNGGHHAAAAVDVAVPFPVTAGSASSSAGATMMSIPSHGSSAVAVMGPEHTTGGHHDDGDGDGDGRDDDFPNFRAQMQRRRSGMLLRASGGSSAAAVAPTSCSGEDLDLRLRI >Dexi9B01G0047090.1:cds pep primary_assembly:Fonio_CM05836:9B:46302245:46305777:1 gene:Dexi9B01G0047090 transcript:Dexi9B01G0047090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSFARSSETAATELDARSLASITTAAAEAVDCPFGGVDGLSRAELREAAYEVFFMSCRAGGGRGGGLNYFPAGGDGAGGGDGSSSPTIGAGPRGGTGMNVLSSRVKRALGLKARRASQPTTVRSSLSASSSPGSPGRMRVMRDQQPPGSPGRSRRPMTSAEIMRQQMRVTDQSDARLRKTLMRTLVGQVGKKAETIILPLELLRQLKLTDFADSGEHHQWQRRKLKLMEAGLILHPSLPLDRLNAPVLRFREIMQGADARAIDTGRASDTTMRALCDAVHALAWRSAPGAVSPGDACHWADGYPLNVILYVSLLQAIFDLKEETVVLDEVDELLELMKRTWTTLGIDKMLHNVCFAWVFFQQYVATGQIEPDLAGAALTILTDVVADAKQEDRDPVYARVLSSVLGAIHDWTEKRLLDYHDKFGKGIAGTGSGAMVNALSLALATSKIIAESVPGMGITLATDSENEGSGVGTFAGNRVDYYVRCSMRSVFTKVLENELGQGNSTIIRRDDDPSEILARLAKDTEQLAQSERDYFSPVLKRWHPFPGAAAVVTLHSCYGVVLKQYVAKATGLTNELAHVLHAAGRLEKALVHMMVEDVADSDDGGKAVVREVVPYEVESLVRRFLKTWIDERLRIAKECLVRAKDTETWIPKSKGEPYARSAVELMKLAKATIDEFFGIPVAARDGMVQDLADGLGAIFQEYISFLASCGNKQSYLPSLPPLTRCNQDSRIIRLWRRAASPCQATVTSPRGGVYHSQSNVSFSGGNNPRPSTSRGTQRLYIRLNTLHYLLSHIHALDKSLSFFSHAPPPTSTATGRHLAQAQPTHHFDHPHAAAQSAIVRVAEVAAYRLIFLDSHHSFYGGLYVGGVADARIRPALRTLKQNLSFLVTILVDRAQPVAVREVMKASFQAFLMVLLAGGSERSFTVEDHAVIDEDFRSLKRAFCTRGEGLVAEEVVEAEALAAESVVALMGQTAEQVVEEFGIAACETTGAVSDRQQLPMPPTTRRWSRTDPNTILRVLCHRDDEVASHFLKRTFQLPKRR >Dexi3B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:3B:8296172:8298314:1 gene:Dexi3B01G0011890 transcript:Dexi3B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPCHDKLKGIGKCDVCGVAVAGGYRRCHGMERLVDSLRAACPNAPYGCAATPPYHSREEHLLACPHAPRHCPVAACGFAGSTAALGDHIASAHRHSSPCEGHEYIVKWDKSMSTKVIKVAKYNNEDVIVLNLDPKSPRLIKPEVLRIIHGNPRGTAEVPKDILECPDVEPVDLRNFILDANPENSGKFFITISQIKEACSAARRDGDIRMEVRLFLSALFNFSSFTTSALYLTQKNEEYVLDMKKIATND >Dexi3B01G0027620.1:cds pep primary_assembly:Fonio_CM05836:3B:23286578:23289748:1 gene:Dexi3B01G0027620 transcript:Dexi3B01G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPGGASPDPYGGGGGGSIHLVCENCMLGDDYSADDAEDGLFTCRNCSVVHATQATAADPHDFLATGDISVRRVATQPKPKLGTQTPAPYPRTPHATPGRAPAPAAAAAGFDDFAEPSEPRDFAPAAGAWGAPEDLAARVRWRYVRGLQVILQRQLEVLVERHRVGALVCGVAGTVWLRWVAASKVFDEMWARQVIAEHEAAGREKRPGSGDNNKPDKVKLECEDDIVPRQKDTRRVEFAFLRSLRMLLPVYSTLAVCFLSCHIAREAVLPSDIYRWAMEAKIPYLAAFTEADRLLGSSLQLQGCPLDARQLFRPVRVIGAWQLEAAAGSIAQRIDLRLPSVNFYAIAERCLKDLSLPVDKILPHACRIYEWALPAELWLSGNPARVPTRVCVMAILVVTLRIMYNINGQGIWEVSLHIDSFIFFDKCKWTEVFFLSFLQKICEERSDPDANSPTLSKLDDTNSKEFGIRELLCAVAAAYDKINVVHDYSHDLRSYLKYCKDVIFTGITLSTEEEHLIDIFWDMYKTREDDNPKEHVKSQSQGIEETTITNGVNKRYRDGTFVEVSCISSSSGHDAMQILKSEMQDHGFHYMPPRKARKSDGYLRYRRRRLSGGFIDVAHADYYMLLRSFAKLAEVNVRIMHISVLKLERRLACIEDRIERSLNTLKNLSSSSKDELRSVSG >Dexi4B01G0023640.1:cds pep primary_assembly:Fonio_CM05836:4B:24939822:24943081:-1 gene:Dexi4B01G0023640 transcript:Dexi4B01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAVDAFVQRGGRSSPSATPGMSASRRTSSSATTGKLSTLSNSTFMPSTVSGVSVDDDYPDGQILESPNLKIYAFSELKSATKSFRPETVLGEGGFGKVYKGWVDEKTLNPSKSSTGMVVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMDDNELLLVYEFMAKGSLENHLFRREAVYEPLPWSLRLRILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDGEESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMISGLRALDPSRPSEKVNLVNWARPLLADRRKLSQVMDSALEGQYNSKGALLAAQLTLKCLNGDPKSRPSMKEVVEVLEQIESMKSRRSSSRSGSSLTRRGQGQSPRSDSSRKNSRGR >Dexi1A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:1A:9436318:9437062:-1 gene:Dexi1A01G0010880 transcript:Dexi1A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFCFDVQMMVMMSTVLLGGAGYLAYLLGLAERSDRKGSIVVISVFLGVWVVLGAYIFLSYLIDFLPLPEMGLQSLAPHLGSLRRCLRGVAWLLRLPMRCVRARLRRRCATSGGDDAAQTLLQFMALGEGRGMATLAREPPVRGGVAAVDGIAAYGEKRDGAAPECSVCLCEVETEVTAKVN >Dexi9B01G0016220.1:cds pep primary_assembly:Fonio_CM05836:9B:11093001:11098025:1 gene:Dexi9B01G0016220 transcript:Dexi9B01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAARVRRPRPLPEPARPSVSPAARANTEKAPIPLNLPLKAFKLRLANGAPLAPTANAFKSYAETCASLLRLCRHTAADASNLPSFSVSSALQLVLSLHAHALRSGLGSDCSVASNLLTAYAAFGRSVDRDRAFDDCVTSGAASSFVYDFMVSEHVKAGDIASARRLFDGMPVRSVVSYTTMVDALMKRGSVRDAVELYEQCEFDLAMSVIGLAIKWNLFEKSIEVHNSLITLYLRMGDAAAARRVFDEMEVRDVVSWTALLDVYAELGDLEGARRILDAMPERNAVSWGTLIARHEQKEMGKMVHAKIVKLGIEDDIFLGTALSDIGLVEQAIHYFEKMHTHGIPPKEKHYTCMVDVLARAGRLKEAEELLMKVPSNLEANSWSALLSACNTYSNKEIGERAAKRLHELEKDNTAGYVLLSNIELISPLPVVRWSSAAMEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >Dexi2A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:2A:7793418:7794613:-1 gene:Dexi2A01G0007710 transcript:Dexi2A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNLEYFFRLPLDKKNEFGQLPGDLQGYGQAFVESEHQTLDWCDRLYLVTQPPKDRDMRPWPTTPVNFRESIESYSSVVMRVTCSLMEIIAINLGIDLEKIRDTYVSQALRMTYYPACPVAHDNVLGISPHSDISTLTLVWELNLVEGLQIKRQGAWVPIKPQSNALVVNVGDFLEILTNGKYQSIEHRVTVNPHKERMSISAFHLPKFDMSVGPLSEIVGQELKKYKTVRVDEVAKVVFSSKLDGKKTKEYAMFNI >Dexi4B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:4B:21059869:21062967:1 gene:Dexi4B01G0018730 transcript:Dexi4B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQQVAFNLEASPDAAFWTDVAKRTFIGWMRDLRGIVMATNSRKTYGLLFDWLYPSRMPLLLRAITLWTDEPEVTTPLLKFMCEFVLNKAQRLTFDSASPNGILLFREVSKIIVAYGSRILLLPNGTDIYRSKYKGIWISLTVLSRGGSIFRLLADYVLISLELAALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDILAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDSGISTQCASAIDSLAAFYFNNITAGDAPPSPAAVNLARHIGELPNLFPQMFSDLRAHILASQVRVVLCLNFNIPY >Dexi9B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:9B:3595696:3597160:-1 gene:Dexi9B01G0006070 transcript:Dexi9B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGGGLDRLLLTLFLAAALLLAPAAAAEAEVEVELEVAVTAPAPAQDASEEAADAVAQQQEQHLLTSPLVIKLPSEASERGAGSDEWSYDELPPEVRCESWRLAAEANNLAPWGAVPAECAAHVRGYLTGAGYRSDLELVARESAAYARAAPLGGHGRDAWIFDVDETLLSNLPYYADHGYGLELFDHHEFDKWVEKGEAPAIPSSLKLYKEVRELGFKIFLLTGRTEGHQAVTVENLNKQGFHDWDKLILRAAADRKKTATTYKSEKRKEMETEGYRILGNSGDQWSDLLGSSMSARSFKLPNPMYYIP >Dexi9A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:9A:1664345:1664911:1 gene:Dexi9A01G0003200 transcript:Dexi9A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHRNRVYYASEALVRRATAVARPRLAAVGTPIGKFTHHGSFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELM >Dexi7A01G0022340.1:cds pep primary_assembly:Fonio_CM05836:7A:30670951:30671760:1 gene:Dexi7A01G0022340 transcript:Dexi7A01G0022340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAFAARGVGDADPIARGKRGLPARAPSAAWHSLLLPLQRRAELPLRASPLQAPAGREVNQPGKGEMGPPDSSPNKWNLKEQRNTYLQWFSLADEDGDGRLTGNDALKFFAMSNLSKPELKQVDLA >Dexi7A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:7A:22447409:22453215:1 gene:Dexi7A01G0012180 transcript:Dexi7A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAPASACRVTAARATASSFLTARSPACCRAVVWWRCWSARRGRRRRWAGLRARCVGGQSSAVQPGSESAGEGLVAEEDGPRRPPFDLNLAVVLAGFAFEAYSSPPADVGWREADAADCQTVFLSDVFLREVHDGQLVVKLKKGRNLPAMDPWGTSDPYVILQLNGQTAKSNIKWATKEPTWNENFTFNIRKSQENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNSHDITVELEGLGGGGTIEIEVKYKSYADIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPSSLESGGEVSENVEKSQDNSVESNELQQQKIDSVDGSDSHNEAQSPAAAVSSEGNVSSDMKESDEYFWRALNSVLNQNVLQNFGFSLPEVKKLDGFDLLRSLGLKSRELAEQKYLESGLATADASTSDGRETAPEDAVGVDNENGALTTKADESSFLDINKVSRDVLSQTENILGALMILSKNLSPDDNKSVTTNEINGKDDMIIEQEVTAAENSIDKDNTVALTKLSVDAQKAEDMRRLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNISTDTQVAIWRDSLRRRLVVAFRGTEQSKWKDLMTDLMLVPAGLNPERLGGDFKQEVQVHSGFLGAYDSVRNRIMSLIKYAVGYQDEDAQNIPRWHVYVTGHSLGGALATLLALELSSSQMARNGVISVTMYNFGSPRVGNRRFAEVYNAVISGPFNNFDLET >Dexi1B01G0025760.1:cds pep primary_assembly:Fonio_CM05836:1B:30754015:30755139:-1 gene:Dexi1B01G0025760 transcript:Dexi1B01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPAAMWAGLALAVMLLAQSASAAAAGLPRFAEAPEYRNGEGCPAPVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAEGGGAPPVADLRAAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTEAFWNDPVLGARVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRQRIERWMEMQKEKRIYELGSLPPFLLVFAGEIEAVDHRWNQHGLGGDNVFGSCRPLHNGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIGENDSSASGQPRSALSSSAALPAAVFSW >Dexi5A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:5A:7844975:7845660:1 gene:Dexi5A01G0010320 transcript:Dexi5A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATAVVFPAVALVKGRPAARSVAVVRVPGRSVRAAAVAVVAADPAEVDYSSSSSVFPMEACELLGGDACSGQMYPEAKPAAAAAAASRREEEVERDYLSYDEPKTVFPGEACDDLGGEFCEPPYQAGVSRELAHSEVEA >Dexi1A01G0028960.1:cds pep primary_assembly:Fonio_CM05836:1A:34521436:34522325:-1 gene:Dexi1A01G0028960 transcript:Dexi1A01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMRQLLGLLGAINGRPRERKKKMVLRRPLQVVELRVRMDCERCEREVKKALSGMRGVQHVEVSRLQQKVTVTGEVDPLEVLWRARSTGKKAEPWAHHQNAPGGYYYAPALYGIGAAQLPAHDAGRWANPAAAYGYHHPAARSVEAAIGAEHLTDLFSEDNPNACSVM >Dexi1A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:1A:3910175:3911341:-1 gene:Dexi1A01G0005340 transcript:Dexi1A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPTPAATAAAAAPVANTTPAAADQSPFRLVGHRNFVRVNPRSDRFHAFSFHHVELWCADAASAATRFSFALGAPLAARSDISTGNSAHASLLHRSGSVSFLFTAPYASDAAAATATLPSFSAPAARRFAADRGLAVRAVAVRVADAEDAFRASVDAGARPAFEPVELGLGFRLAEVELYGDVVLRYVSYPDDDATSKPFLPGFEDVINPLAGAMDYGVRRIDHIAGNVPELAPVAGYVSRFTGFHELAEFTAEDVGTAESGLNSVVLANNAESVLIGVNEPVHGTKRRSQIQTYLDHHGGPGVQHVALASDDMLRTLREMRARSAMGGFEFMAHPPPSYYDGDVLSEGQIKECQELGVMVDRDDQGVVLQIFTKPVGDR >Dexi1A01G0025610.1:cds pep primary_assembly:Fonio_CM05836:1A:31790319:31790752:1 gene:Dexi1A01G0025610 transcript:Dexi1A01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGLVGEAWQRTTMSAQALSAPLRRSNMPRQVCHLHSKPAQPEKSPEELHHLLNNTGEAPPMLSYISEQNRSNQRNDVSMENSADAAVGSIG >Dexi8A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:8A:26452036:26452900:1 gene:Dexi8A01G0015370 transcript:Dexi8A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCVRIRSSSSNHPSPSPSPSSAAAHLTLRNGRRVPVATAAAACCSSPGRRHRRGSSACYRWCGPKGRAYGCKSVGPTPAVLACGGGRPEKEEEDKTPPPSSEKISVAGDVVDVNCRRDSNEPNTPLAGDDAVRANNPENGNKSGVVVAGKPSPSPLVEAEIEAFFAAAEHAERRRFAAAYNYDVALDRPMEGRFEWAPVNT >Dexi9A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:9A:3370955:3371548:-1 gene:Dexi9A01G0006010 transcript:Dexi9A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGTPPVGLTPGDGNSSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTSASDAAAGEAPSRRHGGVHGGGEGVHDGDVDVDVELGIDEATLKGYPEVVYGEARREAKAKKGTTCTCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPMPTPLAEVTPLAMARMSS >Dexi7A01G0023790.1:cds pep primary_assembly:Fonio_CM05836:7A:31695794:31699366:1 gene:Dexi7A01G0023790 transcript:Dexi7A01G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEACPDSPSSRRVVPHAWRRTPPPLSPRRLRPGLLLSLDPRRPPLPNMRLTLTLEGCTSIAAYKNEMEEGMDLVLTGPCVMLEAYGGLGLKVFTDDDEGSCTGRIHDSWDVTDPDEVEEFTQTIYGGLGRKLEVTYVVIPEGVETHVEVRLNLGGSTSRAVYGSVKATFLHSTKQPLSRERGRSLPTPCGSTCILPLTPYVIALSASQLLKLHIEVYLRVITTCDSQEEDKSFKFCLDCSRRIRSEERLEPPFRIRSQKREFNGGQVEVNVMWHLQRSH >Dexi7B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:7B:22238125:22242534:-1 gene:Dexi7B01G0016290 transcript:Dexi7B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRRRHHHHGRWVVPGVAPAAAAFAAAGLLFVVVAFHCFLSPPLGDGGKGGGARVVRRPNPPFLLNKPAEVARNVIGAVDFSVPSGGSRLGEELWESKAAGNFFGCSNATKQFADAKAVTKSERYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDEESFWKDASDFAEIFDVDSFISSLSNDVKVIRKLPDRNGKKLSPYKMRIPRKCTPKCYENRVLPALLKKHVVQLTKFDYRVSNRLETDLQKLRCRVNYHALQFTDPILRMGELLVQRMREKSGRFIALHLRFEPDMLAFSGCYYGGGDIERRELGEIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELAPFLPYSSRMAALDFILCDKSNVFVTNNNGNMARMLAGRRRYFGHRRTIRPNAKKLYSLFLNRTSMSWDKFASKVHTFQKGFMGEPNEIKPGRGEFHEHPMDCICAKSKEKIGQSRSHQIKRAGEAVENHSSGGDLDWRDLDYGEHTPLGRDSSNEPESDDIRVGGSDIPELEDMMSD >Dexi5B01G0026240.1:cds pep primary_assembly:Fonio_CM05836:5B:28031649:28031932:-1 gene:Dexi5B01G0026240 transcript:Dexi5B01G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWEALRAAADSDLALAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIRDG >Dexi6A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:6A:3446969:3447286:1 gene:Dexi6A01G0003690 transcript:Dexi6A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAIVPTVQGDAAGSDEVQKSESISANMWAGNAVGTLAFLWATVVLLGGFSTLLSRMDFWFAMVMIFVEGSRCVP >Dexi8A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:8A:5639550:5639768:1 gene:Dexi8A01G0005840 transcript:Dexi8A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRQPPTIAVGLYSTRPRCSEELPPPAPLHHAARLAPSDRRSSPRCRIDPDTMEHKQRAESTVSREQKLN >Dexi2B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:2B:25322185:25322704:-1 gene:Dexi2B01G0015310 transcript:Dexi2B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSSPNCNPVLHDGSLYVLYEDGKLAVYDEHRHNEDGYLEILDKPKGFAGGYRCDFSYLFESDQGELMAVLVGRRGTPVHVVKLNEQEMEWENVESLQGRALFTGTLTTMMRKTKVKWMRDKIFLPRLHDWPDTVHVDIVDRDGELAFRKQWQQMKVRVYGHMN >Dexi4B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:4B:6177994:6178586:1 gene:Dexi4B01G0008590 transcript:Dexi4B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSSAALVAAAVVVALLALAPEASRAERFIVGDAARWTWGYNYTDWVIKKGPFFQNDTLVFMYDPPNATVHAHSVYMMRNAADYQSCNLKAAKLVAGVTQGAGSGFEFVLRKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >Dexi3B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:3B:15601594:15609396:-1 gene:Dexi3B01G0020640 transcript:Dexi3B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVLSDDEENEMEDLDDEEDQRPPQRGRDDGDDDEDDDEDDEDGQDEFEKDGFIVDDEDEEDEEGEEEEQKSDDERRKKKRKKKRESEDFMLDEDDYMLLQDNNITGISRPKPGNKFKRLKKAGRESEMDERGLSDDDGTGKKRTGKERVEYSLFGDAQDAAPFEEDFEDEQPLEENEEPDDEDEMADFIVEEDEIDGNGQVVRRKKFKKKVPRQAAGVSSSALQEAQDIFGDVDDLLARRKQEIEREAANSGEFRGKRLEDEFEPFILAEKYMTTKDEQIKENDVPERMQLSEELTGYPPVDDKIIEEESAWIHNQLTGDGFLSLYGNEHVYRDINQKDIVNVLTMLHVNKFEIPFIAMYRKEMCPSLLRDPDSNEHTNENEANKDDKPEMRWHKLLWAVQTLDRKWLLLQKRKVALQVYYEKRFDDEKRRIDDVTRQDLNQQLYNSIIDALKDAKSEKEVEDVDAKFNLHFPPGEIEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKGSPEEVAANFTCAMFETAQDAAVEIGCEPIVRKHIRGIFMKKAVVSTSPTHEGNTIIDPYHQLSGVKWLREKPLSKFVDAQWLLIQKAEEEKLLKVTVKLPENAKKELISDARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTARAKHWLHMEYGKRLWNKVTVAPWKKKDSDKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAEQQRKKNDQQRVLKFMTDHQPSVVCVGASNYNCRQLKDDIYEVIFRIVEDHPRDVNPQMENLAIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGPGKEILSWKLHALEQFLTPDEKYDVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFIAGLGPRKASALQKDLVREGSIYSRKELVKPLGRKVFMNASGFIRVRRSGAAAASAQIIDLLEDTRIHPESYVLAKNLAKDVYAEDAPHEVNEMDDDEQEMAIEHVRERPLLLKNLLLDEYIKSIPEEFRKRETLEDIRRELVSGFSDWRITYTEPSPDEEFWMLSGETEDTISEGRIVQVTVRNIQENKIICTFDSGLKAIVMADNYSDEGFDPESSQLHEGDVLTGKIRNVNKNRFMVYLTCKASEMRRRPFSRGDQDPYYHEQDMTSQTVEDKARKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDDVFAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEEEGLIQGTVLVVVVEDVGVVEATLAMTTVVEAGLITLAVVEVDGTEVAVVAPPGELAVLVEDLGVGMLLDGLELVVVTVTVEVAGEQLVVVLTVRVLEVEEGAGGLLLVVRMTQDGAAPKRLYQLRMVGTVAGAPEAGDEFVHHTCCEVVHPIR >Dexi7A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:7A:11974249:11974602:-1 gene:Dexi7A01G0003060 transcript:Dexi7A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKALPLSLLKNITDDFSNNNEIGRGGFAVDYKGLLENGVVAVKKLSDMSVTDERRFLGEVECLMKAKHKNIVRFLGYCSDTQGQ >Dexi9A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:9A:3470052:3476398:-1 gene:Dexi9A01G0006140 transcript:Dexi9A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMAYDYYVSGAEDEWTLKQNREAFSRILFRPRILIDVSKIDMTTSVLGFKISMRIMIAPTAFQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPRIRFLQLYVHKDRKVVEQLVRTAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDIGKMDKVCDIFSDVKWLQSITSLPILVKGVITAEDARLAVHAGASGIIVSNHGARQLDYVPATISALEEVSSFTPTVLSPCRWLAVADVVTAAQGRIPVYLDGGIRRGTDVFKALALGASGIFIGRPVVFALAAEGEAGVRNVLRMLREEFELTMALSGCTTLADIKRSHILTEGDRLRPVPRL >Dexi9B01G0048150.1:cds pep primary_assembly:Fonio_CM05836:9B:47180143:47181817:1 gene:Dexi9B01G0048150 transcript:Dexi9B01G0048150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPAASLPLPRRASSSSSTVNARRPGVPSLRKQHCAVAPVAAACSPAPPRQLDNEEEGSGRRQVLVAGAAAAAAFISRPSPAAFAAEAKKGFLPVVDKKAGYSFLYPFGWEEVAVQGQDKVYKDVIEPLESVSVNSIPTSKEDIRDLGPPDKVAEALIKKVLAPPTQKTKLILAKENDVDGRAYYTFEFTAQAPNYTRHALGAIVIANGIIF >Dexi3B01G0037430.1:cds pep primary_assembly:Fonio_CM05836:3B:40155163:40156511:1 gene:Dexi3B01G0037430 transcript:Dexi3B01G0037430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGSYERFIWGFSLKTLTSPAATTASSSETLGLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPTAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGALHVYDADGFALLTTLRAFPRHEAAEGLAVHPSGRVALAVGRAGALAMVNLVRGRRSFSCRLERPASVVAYAEDRDGGDRFVMAAEEKVTLHDSVDARIIHEMVCGKRVLAMAPAKSGVLYTGGEDRGITAWDLSSGKVSSCIEGAHATRVKGVVVFDSRKDGSELSNLIASASSDGIIRIWDVRTIGNAKPTPLAEANTKARLTCLAGTSLKCKY >DexiUA01G0022680.1:cds pep primary_assembly:Fonio_CM05836:UA:46116174:46119700:1 gene:DexiUA01G0022680 transcript:DexiUA01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAKTQEDVRLMKDMGMDAYRFSISWKRILPKMTIRTMLKSASKSSVTESNTGSRSMNLWASAQQGVRNGVPIGPQGASPFIYIYPRGLHEILLYIKENYGNPAIYITENGIDEANNMSLPLHEALKDDTRVEYHSKHLLALLSAIRDGANVKGYFAWSLLDNFEWVYGYTVRFGLNFVDYKDGLKRYPKKSAHWFKDFLQK >Dexi8B01G0003490.1:cds pep primary_assembly:Fonio_CM05836:8B:2633339:2638167:1 gene:Dexi8B01G0003490 transcript:Dexi8B01G0003490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVALYIDRFHNLDLFQQGWYRMKISALWEDDEHRAPISPARVTQYEGPSHFFCYIEVRAYIHPNIGKWMALEKNFGSKSYDIVKATLISREILLEEVKKISNAIGYTLKDLDDTDLTLGKYETILPPSRQGTPTKCAPQMVGILRDFLENSGVLVGNTDDIMLYTLSEEELFELFQIPIQNSLSQAELHRKSIAQMKINTRSVQDMHIYGDPSCIPVVRMEQHVMVVPRHYSSKDLLTEALEPMDNILPPLLRGQSLEKKSSSFKSGHILRAVIFVHGFQINEDRTSGDFTEMGRRLANEVVAFLKRKIDKYSKYGGCQEVKLSFVGHSIGNVILRSALTEPKLQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMHQLTFSDDNDPQNTFLYKLCKMKTLENFKNIILVSSPQDGYVPYHSARLDLCHASSSDNSKRGQVFTEMLNNCLDQIRAPTSEKRVFMRCDVNFDQSAQGRSLNTMIGRAAHIEFLENDIYARFIMWSFPDLFR >Dexi9A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:9A:12971781:12972790:-1 gene:Dexi9A01G0017860 transcript:Dexi9A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFKEAQARKARALAEKCFLAGDVPGARRWAQSAARLAPDLPGTAQAAAAYDVHAAAAARKQQPINWYAVLGLARPRSSGSGSGAGALAHGDIKRQHRRLCLLVHSNKNPSAAADGAFKLIQAAWEALSASHPPAAADDAAAAQPPRRRPDPPPRPQPTKPRQRRAPTKPRGPHQEWGKSDADAARAPQAASSPTPAPAGCCRSCGALTPRGKRSLRCMID >Dexi1B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:1B:20959916:20960693:-1 gene:Dexi1B01G0014610 transcript:Dexi1B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAPPADQKAGPRQQRSASFHGRSTAEQQEQQRGDLLRQRPRTHPDLLAGVREDRRSFRRRPATHGADAAAPDTWPRRAAPSKVLVTVSVQRSMWPLHVMASAEWRVADLVAAAVGLYVREGRRPLLTSDDPSAFGLHYSQFSLQSLDPGEKVVELGSRSFFLCPKVAAASVSSSSSTCPTEATTANPADRPNMLPPWLGFMHYFWPLLQRSLKSAENTCKS >Dexi7B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:7B:22525925:22526206:1 gene:Dexi7B01G0016520 transcript:Dexi7B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYILILFFSWILVLSVFGCAPGTAWTLVNLGHFAVPLVLAHPWI >Dexi5B01G0038810.1:cds pep primary_assembly:Fonio_CM05836:5B:37871459:37873590:-1 gene:Dexi5B01G0038810 transcript:Dexi5B01G0038810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLFDDPAFASSISSLEAADIFSAGGQLPSPPWPDLDLDDDDIHDLSALAANGGASSGGYGSGGSGSHRKLNHNAYERDRRKQLNELYSSLRSLLPDADHTKKLSIPTTVSRVLKYIPELQKQVDNLERRKKELTDANCKPGVLKTSKIITPIVSATCLNDMEIMVQVSLQSNVAATTLPLSKCIKVLENEGLHLISSSTYSTFENMTFYSLHLQRGQRRMKEECPAFCDELEKIIRKKAGA >Dexi1A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:1A:720734:722696:-1 gene:Dexi1A01G0001120 transcript:Dexi1A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAKAKAKAAVVPESVLRKSKREEQWAAEKKEKALADRKKALESRKTIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGSHNIICTEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Dexi2A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:2A:7877431:7877847:-1 gene:Dexi2A01G0007740 transcript:Dexi2A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSTKKPSLVITVVTIMLLLLACCSYGDGTIRVSCNATWYSDDDPFSVSKGCMMQELLTDTPRASLHDIYRSCTYHGATAYGHATCSPALDSHLCENCLSYMVQQMATVCVHSVGGMGVYEDKCNVRFQNYAFTD >Dexi7A01G0021890.1:cds pep primary_assembly:Fonio_CM05836:7A:30375877:30377392:-1 gene:Dexi7A01G0021890 transcript:Dexi7A01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGRGLVAVALAFLLLFASSPAFAAASTAGATRGMARTEEQARAAYELWLSRHGKVRSAPRDYEHRFRAFWDNLRFVDAHNARAGARGYRLGLNRFADLTNAEFRAAYLGASGIAGRNATTTGERYRYDGVEALPEFVDWRQKGAVAPVKNQGQCGSCWAFSAVGAVEGINKIVTGELVTLSEKELVDCSKNGQNSGCNGGMMDDAFAFIANNGGIDTDDDYPYTARDDKCDLVKKARRVVSIDGFEDVPHNDEKSLQKAMAHQPVTVAIEAGGREFQLYESGVFTGRCGTSLDHGVVAVGYGSTEDGQDYWLVRNSWGSDWGEAGYIRMARNVSSPAGKCGIAMEASYPVKAGPNPDPSPSPPAPAPPVTCDRHSTCPAGTTCCCTYGVRNTCLAWGCCPAAGATCCKDRATCCPADHPVCNTNTRTCAKSKGSSDIVDALLRFPAKRRRGSLIADAEELVDSVFAI >Dexi5A01G0030160.1:cds pep primary_assembly:Fonio_CM05836:5A:33174277:33176630:1 gene:Dexi5A01G0030160 transcript:Dexi5A01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPPPPPPXXXXXXXXXXXXXSLPGVPPGRRRPAPRAMGNRCSGSGDAEPAPTASSSSSHLGSGAAASPHNATGVVSTTTTTTSAPTPTKPPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGERFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGKYTERAAAALLRTIVEIVHTCHSLGVIHRDLKPENFLLLSKDEKAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRSYGPEADIWSIGVILYILLCGSEHGIFNSILRGQVDFSADPWPRISPGAKDLVKKMLTSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDADNSGTITVDELRRGLAKQGTKLTEDEVEQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDGSGCISKEELEQALKEKGLMDGRDIKEIISEVDADNDGRIDYSEFVAMMRKGNTEQNPKKRRDVVL >Dexi7A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:7A:28348206:28348451:-1 gene:Dexi7A01G0019050 transcript:Dexi7A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGGPGLREVRIAWASCESRPGRVVRAGGEAESGGSGRRDCGSEGGEQEGKSRGSRGDETMLAAESDGLEASVGAQLCQ >Dexi4A01G0020320.1:cds pep primary_assembly:Fonio_CM05836:4A:24007382:24008001:1 gene:Dexi4A01G0020320 transcript:Dexi4A01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATASIEPTDPPYSISVCKFKITGGFEKSYENQERGVATTTTSACGYDWVIDYFPAVWRYGSCWIVLYITLASDSNGPVTVRFAGNLVDHRKPSMVPPLEISVIVMPGDKPKEGTAVAPSSDLQKDFGELWRSKRGTDVTFLVSGEPIAAHRCVFAACTRTRRRRS >Dexi9B01G0040120.1:cds pep primary_assembly:Fonio_CM05836:9B:40737314:40738942:1 gene:Dexi9B01G0040120 transcript:Dexi9B01G0040120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRPGPPTQTLGFPHPDPGLHSHHRHRAMSTAAAQGGDKPALRKPVFTKVDQLRPVTNGHTLIVKVVSATPVPGRARPGAPASSRAPRIAECLVGDETGVIVFTARNDQVDLLKPNATVILRNARIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >Dexi3A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:3A:494254:494687:-1 gene:Dexi3A01G0000590 transcript:Dexi3A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESKMERMSSSVQSWVEEHKLATIGGVWAAAAGASVAYSRRRAPSLIHGRKKALMSLVVLGGAAAAWMHYRNSTRREREQMDLDFYSQLPPATDAGGNENERWSW >Dexi9B01G0021160.1:cds pep primary_assembly:Fonio_CM05836:9B:15805522:15809369:-1 gene:Dexi9B01G0021160 transcript:Dexi9B01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQRNRPHTMFASGPPTQGLPNGGPPVRPYVNGTVPGQIQHIRPPPPGPIGQFPPPMQMHGQPAWPAPPHTAPPPMAQQLQYRLPARPPPPNMMPPPVGMVRPPPPPTGMSAPPMWMPPPPPPQQGGGMPPPPMSMPPPPPPPSG >Dexi5B01G0032120.1:cds pep primary_assembly:Fonio_CM05836:5B:32749746:32753804:1 gene:Dexi5B01G0032120 transcript:Dexi5B01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSSSNKQRIATHTHIKGLGLDVDPQCFCLWFFLQEQANGTAVGLADGFVGQAAAREACGLAVDMIRQKKMAGRAILLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSAEVKKTGVLSENFRRCIGLRIKENKEVYEGEVTELSPEESESTTGGYAKSISHVIIGLKTVKGTKQLKLDPSIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDVANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIGIDEESLAYLGEIGQQTSLRHAIQLLSPASVVAKTNGREKICKADLEEVSGLYLDAKSSARLLHEQQERYIT >Dexi3A01G0032840.1:cds pep primary_assembly:Fonio_CM05836:3A:37709645:37710377:-1 gene:Dexi3A01G0032840 transcript:Dexi3A01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDCGIAANSSYSDQSSRGLLYVSDAGYVDAGDGLNAFVRPPYDDPDMAERYRTVRFFPGGGAGESSCYTLGPVSPGGKYLVRTAYCYGNYDGLNELPVFDLHLGVNLWATINVTAPADKYIHEAVAVAPADFLQL >Dexi6A01G0000940.1:cds pep primary_assembly:Fonio_CM05836:6A:776697:779185:-1 gene:Dexi6A01G0000940 transcript:Dexi6A01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMATACAAAAASLQLPHSKAQTPSSQGRVLFPGASSSSRSLRLRPAGRRSPRSTKAVVAALADPLKVMISGAPASGKGTQCELIKTKYGLVHISAGDLLRAEIAAGSENGKQAKDYMEKGQLVPDEIVVNMVKGRLAQPDSQENGWLLDGYPRSYSQAMALETGGIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVQICMVKLRLQTYYQNIESLLETYADIIVKVKGDNTVDNVFSEIDNLLTSSLAKKTEAVAST >Dexi9B01G0031050.1:cds pep primary_assembly:Fonio_CM05836:9B:33498185:33500061:-1 gene:Dexi9B01G0031050 transcript:Dexi9B01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLAGTSVWMMYYAPHGLMILAQLFFTLLYFITEAAFNRGLNPYVYVTYRHLLVACVLWPFAYFYEKGSLTLNMYFSSLKYTSPTFVTSMVNAVASITFVIAIILRMEIVDVRSLRGLAKIAGTMVSFAGVGTISLYKGAGVKNLWKPPIRIHGSGPVVVHESWVKGSLLAVASCICWSVCFILQRNLEDWLIGFGLKFWCVVYTGIACNGLTVVIQLWCNKKKGPVFVTMFNPLLTVMVTILAYFIFGENLYVGRDQEHRSTEEQDSELDCEKQATVSDVAAARNDQPPKLMK >Dexi5A01G0039780.1:cds pep primary_assembly:Fonio_CM05836:5A:40213243:40213536:1 gene:Dexi5A01G0039780 transcript:Dexi5A01G0039780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHDKNKKSNGEAGGGVDWRKEEKHHKHMEQLAKLGAAAAGAYAMHEKHKAEKDPEHARSHKMKEEIAAAIAAGSAGFAVHEHHKKKEAKKHARHH >Dexi9A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:9A:1189212:1189816:1 gene:Dexi9A01G0002240 transcript:Dexi9A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVLRMDVHCYGCADKIRRVLKNVLGVEEVWVSVERGLVVVSGAALDASLMRRKIQKRTKRPVAIVSAGAEEPPPLQYSAPPPGYPPHLIGMAPHLGPHVPYTSYSYAQPPGSYPYGAPTVAGGGWMLVHARHGQYYMPNEPLLWSNDEDPDGCCSVQ >Dexi4B01G0007240.1:cds pep primary_assembly:Fonio_CM05836:4B:5120803:5122809:1 gene:Dexi4B01G0007240 transcript:Dexi4B01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEEDMKLVMEMKAEAMKTITQAGDPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMVDCLNRFHVALPKPRDNKERPVCIPPAVLEARANAAAAKEKKKLEKDIEQENGGAGVYSASLKKHYILANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEQAAQDAFEIDGNELTEEQKEILSQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTARMGRQLYSMGVDPSAAIARSRSRGRKRERSLSRAAADEDGMEIDGQQSNKKLRLRSRSRSKSRAPEEVIPGEGFKDSEQKKKAIKKAKDSTKKRNKEARRGEADRVIPTLKPKHLFSGKRTLGKTSRR >Dexi4A01G0016250.1:cds pep primary_assembly:Fonio_CM05836:4A:19854455:19854922:-1 gene:Dexi4A01G0016250 transcript:Dexi4A01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRLSGNITQDWEPVVLRRTKPKAADLKSAKAVNQALRSGAAVETVRKSTAGTNKHAAPAVAPARKLDETTEPAAVERVATEVRAAIQKARVSKGWSQAELAKRINERAQVVQEYESGKAAPAQAVLAKMERALEVKLRGKGVGAPLAAGGGK >Dexi8A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:8A:5074384:5078983:1 gene:Dexi8A01G0005490 transcript:Dexi8A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTSRFISEICVPSTLFTSRFYMCSEGDYMELLENAGANQTALDKTMFGGQSMHICSEVLVGRSGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKAKILFVSVGHFGRMRSCVYWSMFMVSTYISGYPFSLLFTSSLSWLWKSQRQQHLMLARNSNCVMISFGLESLESSGGSYSSSPFRQNAFELATFLWSLWELSSQTCFMKHYYMIVIRLISGLLVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRNRHNPLFSRNGTVTSRSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVGTVEDDEPSCIEKDV >Dexi9B01G0047150.1:cds pep primary_assembly:Fonio_CM05836:9B:46352594:46355022:1 gene:Dexi9B01G0047150 transcript:Dexi9B01G0047150.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTRLVAFAGRPRHPPTQRQQPRHAARGQTSRCRPPPPLRPRFSAQPKPLTATAPHYGIRRAAGMGRPSASTAGRKPPPFLSPSASFSSSSSYSKRPRAPRRLPSLPKPPPLATPAPRFAGRRRNKAPARLWMRMDRRGGCEVFMCDKAFVAERSGVHTRELRLVGPILSRSPSILAREKAMVINLEFVRAIVTADEVLVLEPLAQEVIPFIDKLKNHFPLKTMEHDVGATQEENLDARHAQNGEECELPFEFQVLELALEAVCLSFHSSLSDLNRHSVFVLDELAKNVSTRNLERVRSLKRNLTSLLAGVLKVSLVIHILVAPCFSNLKSYAHLVRDEVEHLLDHNENMAQLHLSRKQTKIRQDQALLASAAINSNFLSESNLHGPDFIIDQSVVIVRSASLDTDPGNLEMLLESYFKQLDEIRNRIVMVRGYIVDTEDYINIQLDNQRNQLIQFHLVLIIVSFGIAINTLIAAAFAMNLPHNGDVKNTTVGPFWPFVGATSSFCSLVVIVLFGYAWRNRLLGS >Dexi2B01G0022380.1:cds pep primary_assembly:Fonio_CM05836:2B:31994794:31995579:-1 gene:Dexi2B01G0022380 transcript:Dexi2B01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRLEHSTSSSSTTSASSSSSEQNKASCWSPSSSSSPHQPPPKKRPAGRTKFRETRHPVFRGVRRRGAAGRWVCEVRVPGNRGARLWLGTYLTAESAARAHDAAMLALGRGSGEGVLNFSDSAWLLAVQPPSANSGLEDARRAALEAVAGFQRRYRSTAIGAEEVTSSVSAPSQPLPDISSVWPAASKAPDAAPVTKADEPAAPVVDVFEPNWFSDMDLDMYYASLAEGLLMEPPPPPATAWEHGDCVDGGADVALWSY >Dexi9B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:9B:3486851:3489548:1 gene:Dexi9B01G0005880 transcript:Dexi9B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQKNREAISINLGKVHETSPTRVARQASLDAANVDHEVQPAPLPVYCTRLAVTHAVIPYHMDLDLLWPPATSTEQRTLAYVRSCWVHFLANPSSFNLPPSKTTENCRRDFSTGGRLPPPKASDSPLITTAHAHTAMAGEKKPQGLQLLDFWVSPFGQRCRIALAEKNLPYEYLEQDLRNKSELLLKSNPIHKKIPVLLHDGRPICESLIILQYIDEAFPSGTRLLPAGDPYARAQARFWADYIDKKVYECGTRLWKLKGEPQQQARAEMVEILRTLEGALGDGKFFGGEAFGFVDVALVPFTAWFLTYERYGEFSVEKECPKLAAWAKRCGERESVAKNLSPPEKVYGFVGDLKKRLGIEGSAVLPSCVARVPQVPTWGADDRMTHDTRQSSLALSVLLLLRLPTDPHQKRARPWFIDLAFQQTHHHEPEPPTTTHGRRHNRRRRSRASGRRVRIALAEKGLAYERDEQDLAAKSDLLRSSNPVHGKVPVLFHMDRPICESLVILQYLDEAFPETPPLLPPATDPYARARARFWAEYSERLHLVGKRLWLRRREHAGNGDGDDDAELEAAREEMAAVMRAMEGELGGREFFGGEAFGYVDAAAAPFAAWFLTYERHGGPSVAGEFPGMAAWAAQCLRRESVAANVYSPEKVCELVQEYRQWLLTRK >Dexi2A01G0029920.1:cds pep primary_assembly:Fonio_CM05836:2A:40925263:40925877:1 gene:Dexi2A01G0029920 transcript:Dexi2A01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPRKGKSAQTDKLQFYEPATMKYLGYFPALTPDEESSKDMGKKHLQAKTPVSSVSSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKRSKPEFRLECS >Dexi5A01G0039550.1:cds pep primary_assembly:Fonio_CM05836:5A:40121844:40123424:-1 gene:Dexi5A01G0039550 transcript:Dexi5A01G0039550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLANLLVAGGTVVGRAMLQAYRQALVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQPNARFPSSNTLQKYDTMFERNAKNGSFYLQSKVHRAKECLEPLYQKPDVPN >Dexi6A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:6A:3006263:3006666:-1 gene:Dexi6A01G0003380 transcript:Dexi6A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKREAASNNDIVSTVQACAKEHGITVEHAIEKLGELIEEAWMDITEECLRQPQPMALLDRVVSLARTMDFLYKDGDGYTDSYRIKNTLDSMYVNLIQ >Dexi4B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:4B:7413896:7414671:-1 gene:Dexi4B01G0010120 transcript:Dexi4B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPWASFLAVVLATVLVTALFRRNRKSYNLPPGPRAWLVIGNLNLIGPLPHHSLYKLSSRYGPLMSLRFGSVPVVVGSSVDAARSILKTNDLVFIDRPNTAAGKYTAYNNSNMLWSPYDDYWRQARKLCQAELFSAKQLKLHEHARGEEVSAMLRDLHASLSSSSTVVLSDHLMMTNLNVISPMKIG >Dexi3B01G0036920.1:cds pep primary_assembly:Fonio_CM05836:3B:39725342:39725869:-1 gene:Dexi3B01G0036920 transcript:Dexi3B01G0036920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWTYRRCKGSQTTRSTKYAVKLLRRTRPSRASQTCQPMGPPGRKEKVKSANCARPRAAGEYRCGGSAGQKFPGASNSRNPRTGAGRRERKRRPEMTRRKVVQAAEARARSARASRRRKMSSRSTSGRTGCGAGAAGGDIARRRWRSEVGSEESWKRQCEVKCRGKNPEEA >Dexi8B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:8B:5599090:5600106:-1 gene:Dexi8B01G0005480 transcript:Dexi8B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDVPSRREETAGPGVEDRLGALPDEALKHVLSFLPSDDAVRTCVLARRWRDLWKSTPALRITEPECRWKKPEDMNEFVNHLLLLRDRSPLEICELNSYPYHTMSEQRDRPCRYIQLWIRYALAHKARMLRVLIHNTNGYFELDSAPLVSRHLIVLELDGVELGKRALDFSTCPSLKALKMTNCFIKARS >Dexi9A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:9A:278659:279487:1 gene:Dexi9A01G0000430 transcript:Dexi9A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHKLEQLAVQVLVHAAGGIKRRPGNPMLCAPSVETTTTRVYIRLISVGISDGDGDRSLPAGRHIISYLICRGSSPDSPAWTDVPDAARRYAPHKASRPPLSPSILPVPCIPIDPWIISLPSSTKVLDR >Dexi5B01G0025790.1:cds pep primary_assembly:Fonio_CM05836:5B:27726881:27728414:-1 gene:Dexi5B01G0025790 transcript:Dexi5B01G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKANTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Dexi2B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:2B:28470281:28471754:1 gene:Dexi2B01G0018090 transcript:Dexi2B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRFVALVLLVASACASTDASAFVGDVRVALKHVDAGKQLSRPELIRRAMRRSKARAAALSAARSRGVFRFPPGKSDQQAPGGTPVRPSGDLEYVVDLAIGTPPQRISALLDTGSDLIWTQCAPCATCLAQPDPLFVPAKSTSYEPMHCAGPLCSDIAHHGCQRPPDTCTYRYNYGDGTTTMGVYATERFTFTSSSTSGDDVLTTVPLGFGCGSVNVGSLNNASGIVGFGRNPLSLVSQLSIRRFSYCLTPYASGRKSTLLFGSFANTLYGDDDALVQTTPLLQSPQNPTFYYVHLTGLTVGTRRLRIPESAFALRQDGTGGVIVDSGTALTLLPGAVLAEVVRVFRTQLRLPFANGSADDGVCFVVPTAAWWRRAAGTSSSSSSSQVAVPRMSSVYNAS >Dexi3B01G0026080.1:cds pep primary_assembly:Fonio_CM05836:3B:21004291:21006673:1 gene:Dexi3B01G0026080 transcript:Dexi3B01G0026080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDAIASPCRPRSARYAEKGYPNYAELDARRRPRWRSDGGGRAKKPKVRSGRKRRRGEDVEAAAVGKVQPSILQAEEAVTQEHGRKRRMTDATAADKVFPLIEDGIVDGEEEAASTDERGVGDYWRQRMPLAKQHHKRGSNGSTRGRTPKRSNSQVNGIHQEVKRISKRPDLKALAKACFY >Dexi6B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:6B:7381176:7381586:-1 gene:Dexi6B01G0006720 transcript:Dexi6B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVTVEDIQPKVFKALLQFIYTDALPQWDDLHAEEYCEISRHLLAAADRYAMDRMKSLCASNLVDYLDTQNVATTLALADQHNCDRLKDVCIEFMASSDEMDAVVKTEGYANLKRTCPSILVDVLEKNIRKHTT >Dexi1B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:1B:21029339:21030171:-1 gene:Dexi1B01G0014660 transcript:Dexi1B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDQVMEGKEQQMQMVCVRSASTGAAGEEEWAADDQTSSRSALSLFKEKEEEIERKKLEVRDKVFSMLGRVEEESKRLAFIRQELEVMADPTRREVDAIRKRIDKVNKQLKPLGKTCLRKEKEYKMCLDAYNEKTNEKATLVNRLMELVSESERLRMKKLEELNKTIESLY >Dexi3B01G0001480.1:cds pep primary_assembly:Fonio_CM05836:3B:1055860:1057192:1 gene:Dexi3B01G0001480 transcript:Dexi3B01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSVKSEQGGDLFLPPGFRFHPTDEEVITSYLLQKLLNPSFDPRAMGEVDLNRCEPWDLPSKAKTGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFKPSSASAAGGRELVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHGKSRHNNANLRFNPKDEWVVCKVHHKNGEANKKPAAEELSAGTPNVSSVISDDAGAGEGGDEFLADSMLNPNPSMYFNSTINAAPPHNADYFISSAAAGATTSTTTIGSFVDLPNNYVFNDTTSFNNLHQMAVANSAAPRISSVSSYGSPWNMADYNQAMGSYNLHHQAMLAKALGGNHFAGGLPSPSEFCSITLKGAPAQVWERR >Dexi1A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:1A:7021531:7022127:-1 gene:Dexi1A01G0008880 transcript:Dexi1A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTVVVSQSSLTSRGARSIFRPASSPGGLQPTAKKSKTCPLPSESDRSNEAATEYRPTGPGRLDTSDSSSFHRRPDPRLTISSVHLRRLRVSLCCAQVPQHLRFTRDPYLASIARGMAAAGKSSSMEETASATAAAPVRGRSGIDRQAVDRGVAYALMVAALVATYALH >Dexi1A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:1A:94673:99860:1 gene:Dexi1A01G0000120 transcript:Dexi1A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGEQAREAYTFRTAEFRPDSRPPVKLAATERISTLEARGLEGWISIQRRHPPLSAHLLPHRAAHHHGQKHSSAHITVGHDHSPFTPQPRLNSFLLYTVAPVIPKAGAGRVLSQECLESAYGVNLEDEVGLGQDRLSQLQASRPRSVMGNSTVAPDVRDLLRACPIDSRGQVIFCYRVIKNMVVRRPPLSHSSRSNIPPHTRQTTPKTKGYQGLGSFSSSPKPLYTDSRRPLLDVRPRGRNQDKPLVLSLRAAARRFGFFPMPIIHEWIRDRPAMGESDPSHAGHLLWFWSLQFETTGNGYHLRLLNQGAPSPPNVVPPPPLPMPEYASPPPPEAACGSTHAPCADEPARVGTSGSQPALAGVINIPHDLVATDAPDAPPGRSYAAVAASLPPAPFTRASPRPLGPSPFPTGLRNAAAGYSSTASAGASAYDDLSGDPLTSIHNFAASASDDSYAEAAAEVFANWDDPTWDYSWLHNPDAYHAFQAAADFCFGYYDDSSDGDYDPFAESTRKKATPSATRRRLLHPFTTRQQQRSHVARKYNEKADELAKIASGRITIPPNVLSLDVRKPSIEIERPALISSEAPTAPVSSHDDPMDEDPPMGNLEPMDYDTGSETEVMEIDEAPATLDWRDPFLAWLDRGVLPSNQTEARRIARQAKAFVLLDGELHRRSASGILQRCIPILEGKELILDIHAGICGHHATPRTLVGNAFRQGFYWPTAIVDATEAVCTCEGCQFYARKTHLPAHVLQTIPITWPFAVWGLDLFSKWIEARPLEKIKSKQAVECFTDIVHRFRVPNSIITNNGTQFTGKKFLEFCDDYHIRVDWSAVAHPQTNGQVEHANGMILQGLKPRIFERLKKFGSKWIEELPSVIWSLRTTPSKATGFSPFFLVYGAEAVLPTDLEYGSPRLKAYQEQQNQCLREDTRDQVDEAREVALLHSARYQQSLRWYQAQRVRRRDFNKGDLVLRLRQDNRGRHKLTPPWEGPYIVAEVLKPGTYKLTNEDGEIFSNAWNIQQLRRSDLEARIGNITQGRFDVAPRNAQSPSGADKGPTLEPSKTCLESALRLPTIRHTLFPLRRLGTTIGLASVREEIIDKYLCKALTGAAAKRISQRRARESLAATAARRRKRRTRPGTLMALSPIAADGWARPSRKPNGRGSIRRAQRAWQPARRGVKNARRGVKNATTSTDVACRCLRQPRLKRRACSAPPGTRRPHLSGNATARFPALQIEQSQGPLFKRALISRPTNAEFEALKQPFSRLTMKPRSRDPIEAEHRALDPYRMGSSPA >Dexi8A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:8A:1235721:1239050:1 gene:Dexi8A01G0001810 transcript:Dexi8A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDQIKKNKLRVPQREEAKGAEDEKKVVNIARYYEKAADMVIAAPPAEKLKVMEETFNAAVAPDPAGCPTVDKSFCETSSKIQEVYEKFSTLIYSHKASVWHDADEKKVVNIARSYEKAADMVIAAPPAEKLKVMQEAFNAAAADAAIAAAPAETLKVMEEAFKAATVHPDA >Dexi1A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:1A:2631595:2634180:-1 gene:Dexi1A01G0003600 transcript:Dexi1A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAATEATAEKASSYRYWVREATGDAAPLPVPRKLDPAAAAANGNGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKDLLGSLGSLDFPTGKASIDEVSKCSGDLQVRLSDDKGLASDDKTRICKDLKSFLTLIQEKMRMFEEELKGR >Dexi3B01G0017270.1:cds pep primary_assembly:Fonio_CM05836:3B:12712769:12713038:-1 gene:Dexi3B01G0017270 transcript:Dexi3B01G0017270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESHSVGRALSSPWSPRAVQARCSLLTREIRFSMATAPGGRDLAGSREAAREARSRRGAGGKGGAGEVSRPPSRVEASVVGLER >Dexi1A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:1A:8607517:8608002:-1 gene:Dexi1A01G0010090 transcript:Dexi1A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAGGRRVIITTSSLLAVLLVATSAVLVVAASTPASDGGGANNHRGMFASRFLATSAARRETGHHTTCSGGGPGHPAGSCSGGGGGGEHRRRFKCCGGACTDVLGSASNCVACGRRCPFGRLCCAGWCVAVAYDAGNCGACGRACAAGTPCIYGMCGYA >Dexi8B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:8B:18672347:18673324:1 gene:Dexi8B01G0010230 transcript:Dexi8B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRPELFLDPTLPSLSARLAITANAVPELAAAAAAKAITEWGRPAGDITHLVVSTNSGADVRLAELLGLRPTVQRTMLSLHVCFGGYSALRLAMDIAGSNRGARVLVAVAEATTVLSFRPPVETHPDALVAAALFGDGAGAVIAGAGDDDPTGTTMEERHIFYMVSASQATLTLPGTEDTLSMRLQEDGYDIGISVKAPAIVRDNIEGCVANMLAPLGLAGGGWNGLFWAVHPGGRAILDSCQAAFGLEPGKLAASRHVLSEYGNMYGATIIFVLDEIRRRRHQDGERKERDDCELGVMLGIGPGVTIEMMVLLAAGSSVDGS >Dexi1A01G0020150.1:cds pep primary_assembly:Fonio_CM05836:1A:27043173:27049363:-1 gene:Dexi1A01G0020150 transcript:Dexi1A01G0020150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSFGSRSSLLLRLAKAVEIDPTEKPGATADDARSPAAVSSPRRATMAEIEIKTAPADFRFPTTNQTRHCFTRYVEYHRCVNVKGDDTSDCEKFAKYYRSYPIGFQSSPDPSWVEKTTAAPLGQGFRRVLFLQFIVQRRPVEAAAAGDGSPAAGKLGQQTGMNL >Dexi4B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:4B:20784643:20787278:-1 gene:Dexi4B01G0018450 transcript:Dexi4B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWHRHSPQALPLEGKTERGALPEIQHLVVSLSVENDALRKEMKELQRACTALSKENGKLETRLDHSSKRKGVISTGNNKGKPQPDHQHAAEQEAQNGFALPDLNLPADA >Dexi4A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:4A:1118943:1120594:1 gene:Dexi4A01G0001700 transcript:Dexi4A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGPSGFGSRTTAEEATAGDGDLRHVTAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEDARARLRDECPGADIVVLPLDLSSLSSVRRFVDRFLDLGLPLNLLVNNAGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLEKMVETARATGVEGRIVNVSSTIHSWFAGDDAVGYLDRVTRRKIPYDPTKAYALSKLANVLHTRALADRLREMNANVTANCVHPGIVRTRLIRDRDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAMAGVSGKYFADCNEASPSRLGASSEEATKLWNFSENITAEKIQKMSAHVSTGCFRLLVQSSNADRGMALA >Dexi5B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:5B:4922494:4923918:1 gene:Dexi5B01G0007320 transcript:Dexi5B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKIKRGISTKRGDNLVQNGQHPTDEWEEQQRYWPSPSPRAPPASPTESPRTPGGSQKKAVLGKVKSKAKKWMHMLHHKKKPAQEEMMWTPRAGPSAEDTKVKEERRDADYRGTPKKVQHLPSSSGHSDRASEVFLEASARQNSPVPSPTAHKDQTYFKVSSRFESEMKEANEMLMESKKLRVNTTKPKTVTFAPTIERELLGNEKSGSNDRELSEAATEVFRNAFATVYQVVLKMIAKIQDTMVAYNIDRRHMLEKLISVNRYLMMKLEPGEDDKVLSEVITDAILNLFDTWIENVEQPLVQRAKGISSWFLHEGREETPPVPLSTHPCAFEGKIM >Dexi4B01G0002240.1:cds pep primary_assembly:Fonio_CM05836:4B:1406243:1409315:1 gene:Dexi4B01G0002240 transcript:Dexi4B01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATSQLATTHTGFGLGDASTTMFRRGVQGMAAGSSRASSPATVSVRTSARATPRQQSRRAQRGGGRFPSLVVCAASGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVVSPRYDQYKDAWDTSVAAEIKVADRYETVRFFHCYKRGVDRVFIDHPSFLERVWGKTGEKIYGPDAGTDYNDNQLRFSLLCQAALEAPRILSLNNNPYFSGPYGEDVVFVCNDWHTGPLSSYLKNNYKSNGIYMNAKTAFCIHNISYQGRFAFSDYSELNLPERFKSSFDFIDGYEKPVEGRKINWMKAGILEADRVLTVSPYYAEELVSGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYIAVNYDVSTGTGKKKFERMLKAAEEKYPDKVRAVVKFNAALAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCVCASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPADVQKVATTLKRAIKVVGTPAYEEMVRNCMMQDLSWKGPAKNWENVLLSLDVAGSQPGIEGEEIAPLAKENVAAP >Dexi6A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:6A:19471730:19483257:-1 gene:Dexi6A01G0012760 transcript:Dexi6A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVPSSVAGSRHLRLSLLAASRSRHLPLPAAAVGGRDGAEEHRGLLLIVSGERCSADLWGYQAGRNSSSETSDLLVRVVQHKMACGELVGVVDEAMAPRGRWSFVQQAGWDAELVNQSYPWVEERIVHWPKVAPWQAALRDGLLEAGVSPYNGYTYDHLYGTKVGGTIFDETGYRHTAADLLAAGNPNNLRVLLYASVSKIVFNMKQGHKKPRAIGVQFKDENGRHHQAFLQKGRGSEIIVSAGAIGSPQLLLLSGIGPRNELKKHNISVILHNEHVGEGMSDNPMNSIFVPMKNPTKQSLIETVGITDAGVFIEASSGFSQSDDSIHCHHGIMSAEIGQLSTIPPKQRSFNAIQKYVDNKYSLPKEVFDGGFILEKIDGPLSTGNLVLVDTDVDSNPSVTFNYFQHPKDLSRCVYGIKTIEKILNTNHFANLTANGAGYPMEKLLNMSVSANINLIPKHTNDTTSLEQFCRDTVTTIWHYHGGCHVGKVVDQQYRVIGISGLRVVDGSTLLRSPGTNPQATVLMMGRYMGVNILRERLGRAAGV >Dexi5B01G0013200.1:cds pep primary_assembly:Fonio_CM05836:5B:9378463:9379887:1 gene:Dexi5B01G0013200 transcript:Dexi5B01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRARGSAHRATPYHRRGWLNDDGGGLLVSDDGEPLPIPDDALSPVLARLPSGADVVRSAATCRCWARLVAKDGAVLSRALPQLPCLTLGFLHQDDAGTTARRRRSSAAAAQPCFVPTAAAGRLIGLRAPSWTALADAVLGPGDDHRGVLEHARPVAARNGWVVLELRQERYTDSLKLCVCNPMRGEIAMLPPLAGAEKPGDYACALYTGHDDLVTPRPLSAFFRLLIVYNRRAFTALRSYSSDAGRWSTEAKRSWGPKIASPRHLGQSIVVDGVAYWHLRHSAFAVRVDISEPTEVLMPAASHHISNLPRGWQSLGVDTDGKLIFIDAGLCNLPNVGLGKRLGATVRSYYLVIATRAVFCPGSGGGDCSGEWEKRLIPIKLQQLKVRCVGFGEKEPPPFGDKVNLRWFCEKSGTLLFTLGEGTSSPGAFLLNIATEQVEKVADGVDCDSWRNFVGYEMDGAAYLASIART >Dexi6A01G0013570.1:cds pep primary_assembly:Fonio_CM05836:6A:20820856:20821163:1 gene:Dexi6A01G0013570 transcript:Dexi6A01G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAPATSLNLSIHAHMLSVPTPRTASSIAAATAAHALSNPPAPPPASAALGASANAGPTQLPRVRPGAVVDGEQQAGVLAVATGACRLFGSLVA >DexiUA01G0009490.1:cds pep primary_assembly:Fonio_CM05836:UA:18685824:18694736:1 gene:DexiUA01G0009490 transcript:DexiUA01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKGYGQQQAHLLSPVGSPPSDNESGAAAAAAAGSSAGYGGGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGGGRHGEGVAEDGGGGSAAVAHGDAGAGGGHDGGADVGLMMGVGVGFNAGGGASLAPLRLDLHYYSTSTERARTRFGFREPSGKAYFSALIQRRAMRTCLLVVAAAAIAICAMAMATTVASQEDQWIPLPVVDAPLVQELASWVVAEHDKRANDKVRFIRVVSGQEMEDTKLSGVRYHFVIDALDASGKPAKYDAVLADIVWQNRRVRAPRHATEHGGAARRGRSGSRGSAVVERSGPRRNTAAHAAERRHGRNGGASQAGKMQLELNWGKADVTASKLLPASSGGPLHRPRLERRRSWRCHATVISAVDKARHRPLLLPFSAVSSSAHRPRRRRAGVLQPPSEPLRVVSSHHKLRRHLLELFHPSLLRFPHSIARRNRFGSSLFPSSFQWERSKGWEEGRRRMITRANSMVCLHPKASSTALCKRCGRLTSAVTALHSQSTVLTPAASRSRRLHSALCLLPLNPLAFPYIRFPLHALCPLPGYLGIEAHWDLWCHLFIGELFSESVSKGVRRPVRAGGLVLQVRRSRKDLYIPSSMVSNNQDWDKGWFYLRNDGGHLPPYTGLLLTQKQDDWHFGVSPPARKKKLDPLIEALQRLSRLGLNAGGVIANFHRRRVLPLMRRRLPLHKMTPDADLTGTVMAAEPLPVATAVQRARRAVDKLPDDPWAVPMRPEDGYVSLGVSRGQYSKPPVPEDKAVNRVLAEKAKEAKARREARRQRKDRKRKKLEAENRERAKRGLSPLPTPESSTDPDGSDGDGGARSPSPFELRIGGPAPAAASGGGGEEVIDLGTPPSTVVPSTERPSGAATAVPEEAQGRGEAPPSTAGPTSEGPSGASPAALEEPQGGGEAPERPSAVEEAPARGPEVEVPRVEPVDSTRGEEASRVTPQGEIVVSAGDEASRMAPQGETVVSAGDEAPGAAPVPASRPKRKLPFVR >Dexi3B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:3B:9466826:9469489:1 gene:Dexi3B01G0013290 transcript:Dexi3B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSGGVRLQCADTKDWGCCFLALPPAAPAGVDSDGGFNLSWNLHQSFHPPAGLFASVGQQVGVGFPGASSNAPSPETTRDPYMKYVSPEVVETPLPGEGVGLREKGKKKGVKLKIKVGNHHIKRLISGAIAGTVSRTAVAPLETIRTHLMVGSNGNSVTEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEERKIPLPPSLVAGAFAGVSSTLCMYPLELIKTRLTIQRGVYNNFLDAFVKIVREEGPTELYRGLAPSLIGVIPYAATNYFAYDTLKKAYKKIFKTNEIGNIPTLLIGSTAGAISSTATFPLEVARKHMQVGAVGNRKLYKNVLHALMSILEDEGVGGLYRGLGPSCMKLMPAAGISFMCYEACKKILIEEEDE >Dexi9B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:9B:8354253:8358037:1 gene:Dexi9B01G0012440 transcript:Dexi9B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKCFLSMHRIAYRSGLLDSRWYSAAAKGSPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALAKEFKLKVGLLDADIYGPSVPTMMNLHAKPEVSEDMKMIPVENHGVRCMSIGFLLDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSDALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGAQRTAEEMDMKLLGEGTFDILLRKETT >Dexi1B01G0027230.1:cds pep primary_assembly:Fonio_CM05836:1B:32009852:32011539:-1 gene:Dexi1B01G0027230 transcript:Dexi1B01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAFVQTGHVAGEDGRSSAFARANGTRFTLAGRPFYSNGFNAYWLMYMASDPAADRSKATAALEEAARLGATLVRTWAFADGGYRALQGLDYVIAEAKKDGVHLILSLVNNWDAYGGKKQYVQWARDEGHYLNSDDDFFTSSVTKGFYKNHVKAVLTRVNKLTGVAYRDEPTIFAWELMNEPRCQSDLAGKTLQAWITEMAGYVKSLDPNHMVEIGLEGFYGESTPNRTRQFNPGSYTVGTDFISNNLVPDIDFATIHSYPDQCDEQVAFMRRWMAAHAGDSAAALRKPLVVAEFGWLARSADGDVAESSAREHRDAYFRMVYDAIYASASAGGPLAGGLFWQVMEAGMEGWTDGYDVVLERSPSTAAVVGRECARITSLNQVS >Dexi5A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:5A:22372666:22388639:1 gene:Dexi5A01G0018860 transcript:Dexi5A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQHNLQESRTTPAFQTAEAAEDSGDWLAEKVGMRSDGRTDGRTGRRARVRWKRRRVGQRACDGQGDEPRDRRKTRRVEDAVNRATDNTDAAQELDILSLHNQLGSLTTILLCVDVASTSARSGDMCRFLLDEATSLLSEIFPGSSPKVGETYWDQIQELAMISVIKRILQRLHDILDLEALPYLQMFFTKMSISLSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAVIDEGFDSFYAKEGSNLERKDALISEKGVVLGHGLRILKQASRSDLASSNAPEGSSEHKGSANRYMGSVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVLPPRTGHGWACIPVIPILCNISSENRSCAIPKSLPPAQGWSAHDSSLSYRQEPIYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDNASSPTYVKDTTQAPEIERSFFEFALEQSERYPTLNRWIQMQSNLHRVSESSVTDKSESELSLHQSKGKFTMKRAREPDSDGESELEDTVISGNTTSSPLESPKHEDSRVEPTSFISFDWENEGPYEKAVERLISEGKLTDALAVADRCLRNGASDKLLQLLIEQEEERSLGMGQIREYGSHHIGSDTWQYCLRLRDKRLAAQLALKYLRTWDLDAASNVLTMCMCHLPENDPMWSEVLHMKQSLQRYGHIMSADDHYTRWQEVEADCEDDPEGLALRLAAKGAVSAALEVAESVSLSIDLRRELQGSQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGSLSDPEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLIVEVLLMMKQFQSASLILKEFPMLRDDRLIIAYAKKAISINVSSTSRERRLTISATRAKQKKATTPAKPNFVQSLGNFQREARKAFSWVPRDSGTKTPTKDTLRKRKSSGSGGDRSSWDAMPAVQEERTPVYPSEGQDRLPFVSAPDEWVLTGDPDKDGATRSSHRYETSPDITLFKALISLCTDESVGAKGALELCMTQMKVVLSSQQLPLDASMDNVARAYHATETYVQALSYAKNIFKKLVGSNDLSSGSERSRDVDDTSVDTGSSSAGSQYLDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSTSLRDRLVRDERYSMAVYTCRKCKIDAFPVWVAWGHALVRMEHYAQARVKFKQALQQHKGDATTVVSEIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSESSRQSTDPIDNQFTPASPNLEDGPRSNLDSVRYAECIHYLQDLQWNMMCQVLKDDHVASGLCCIQLFMNSMSQEEALMHLGHAKTHFEEALSVQDRTIEATKLVLRSARNKSTPGKMTRETIMKYSTRVSYQMDVVKALNSIEGPQWKTSLFGNPSDPETLRRRCMVVETLAEKHFDLAFRMLHEFNLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLVSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDLCKQWLAQYM >Dexi2B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:2B:1783535:1788388:1 gene:Dexi2B01G0002230 transcript:Dexi2B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRLEAMPSSMARNRNKLLTISLCLVILAAAAADEQFVYSGFTAANLTLDGAAVVTPSGLLELTNGTLRQKAHAMHPTTLCFRNVTSSGKQQARSFSSSFVFGILCHDPNACGHGVVFFVAPERYDLSGAFPSQYIGLVNGTTNGDVGDHLLGVELDTDQNNEFRDIDGNHVGVDIDSLMSVSSSSAGYYSGDHRGSGGEFRNLTLASGEAMQVWVEYDGEEKRIDVTMAPLKMAKPSKPLLSVAYDLSMVLTDVARVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDISKLPKLPRFGPKHHARLTEIVPPVATAVLILGVGAIAILLVRRRLRYREVKEDWEVEFGPHRFAYKDLFKATDGFKNKNLLGIGGFGRVYKGVLPVSKMEIAVKKVSHDSKQGMKEFVAEVVSIGRLQHRNLVRLLGYCRRKGKLLLVYEYMSNGSLDRHLYGASDVPVLDWDQRFRIIKGIVSGLLYLHEEWEKVIIHRDIKTSNVLLDSDMDGRLGDFGLARLYNHGANPHTTHVVGTIGYLAPELGRTSKATPLTDMFAFGIFILEVTCGQRPIMKNSNGDQLMLVDWVVEHWHNGSLTDTVDARLHGRYNIGEASQIIMAHIEIISFLVVTVIVLFHSLNPDASVSATADQDQFVFTGFAGANLTLDGTATGTASGLLELTNGSVHLKGYAFLPAPVHFRSSLHSTVRSFSVSFVFAILTTYPGLSCHGIAFAVVPGTDLSSALGAQYMGLANIDNNGNTTNSFFAAEIDTMQNVEFQDMNNNHVGIDINGLHSIEAHAAGYYDDMNGSFHDMNLISGEVMQAWVDYDGEAARINVTIAPVGVTTRPVRPLVSTIYNLSNVLKEPSYIGFTSATGPINSRHYILGWSFAIEGLAPAIDITKLPKLPRLGPKPRSKVLEILLPIATAALIVILGTLVVVLVRRRMRYAEVREDWEVDFGPHRFSYKDLFHATDGFNDKHLLGRGGFGKVYRGVLPKSKVDVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYKGARPTLDWAKRIQVIRGVASGLLYLHEKWEKLVIHRDIKASNVLLDKEMNGRLGDFGLARWVTYPTLAPMAPIPKPLI >Dexi8B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:8B:1214371:1215555:1 gene:Dexi8B01G0001810 transcript:Dexi8B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVLYRIASKLQQKEFARTSILSGEWRRISSSACPSLTFDAADAIRRSEFWNVWEYISEVDAVLQKHQNKVVEALQVRIGFTTLLARHIDAWVDFAVSARTKSLTLDLKPRMTWTYQHRYVFPFHLFDPGSISRLQDMQLCFVSLSSPPPWFKGFPNLKRLHLQILQDNRKDLEHLLSLCRALEWLCIDRCNLEDDELTVDTPLSRLTYLRVERCRLTKIRFNAVNLATFEYDGNFIPIDLVSSFKLKSANIEFDEAVFHHALVSLLNGLPNVQNLTLKIGLLHLEKQWLWDNALKFSNLRNLQLSMFIWRKYVDKILYAVSFLRATPFIEKLEVHVSDITCFSMVFNSIILILAILFGTRFVIQN >Dexi9B01G0024230.1:cds pep primary_assembly:Fonio_CM05836:9B:20113371:20115346:-1 gene:Dexi9B01G0024230 transcript:Dexi9B01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRRKQLDVIVRMLHLNQQQLPNGGEGQGEEEAYKLLVMDQPCVNLLSPVLKVADLRRHGVTLTLGIDRPRQAVPDAPAVYLVRPTPSNVDRIAADAAAGLYSSFHVNFSTSVPRPVLERLATACAAAPAACAGRVARVADQYIDFVCLEEGLFSLAQPRAYVALNDPAAADADITSLVDAVALGLFCVFATLGVVPVIRCARGGPAEMVAAALDARLRDHLLAKPNLFTEAASAAVASFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKLNKLKLPAEKYELDDSDKFWVTNSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGSGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYCDCENDMLVNGTVDRNTLLNLLRGKGTKEDKLRLAVTYLLSFETPPSSELEQVEAALRESEVDMSAFQYVKRIKALNTQFAAASGTATKSNIVDWAEKLYGQSLSAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDDYLLFDPRAPRSGTAGQFKGPFREAVVFMIGGGNYIEYRSLMELEQRTQPSKHVIYGATEILSGAEFIHQLAELGQKAGLGGGSSNIPSGAAQ >Dexi8B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:8B:7941432:7941707:-1 gene:Dexi8B01G0006800 transcript:Dexi8B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEFLESSEFVEPESSQSSAARGQEVVQKLLRSVGKAAWIAGTTFIVLGIPLLFALEKEAAYIQMENLEYDRQAEMEALLGPTSSSHQH >Dexi3B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:3B:6319539:6320770:1 gene:Dexi3B01G0009180 transcript:Dexi3B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSKSSTGTTRRDRSPRRPHAWPGSSSSREDRRSEEEEDQEEVMDQRSMAAATMSMCSKSIKQKKDGEHSSSSSGSQQQTAAAPGMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILELHAQYGSRWSRIARSLPGRTDNEIKNYWRTRTRKQKAAAHQATAASASSSSTVTTTTTTASCSGGSPSSGDCGSVLTESALREIGCGDDDAELDEAASTTTASHQHHHHHHQQQKQQDSTITMDQFWNEIAAADAAASYMMVDGGCWGGAGHPAAAAAAAVEPPMPASPVWEDCSDYSLWRIDDEEYYKKMLHAS >Dexi3B01G0030310.1:cds pep primary_assembly:Fonio_CM05836:3B:29852597:29852833:-1 gene:Dexi3B01G0030310 transcript:Dexi3B01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRTLTFLDFTGDTDEENELETLIDMQLTALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >Dexi3A01G0022990.1:cds pep primary_assembly:Fonio_CM05836:3A:18582490:18584279:1 gene:Dexi3A01G0022990 transcript:Dexi3A01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAILLVMFPWPAFGHISPFAQLARNLVSGDAAGTPTIRITFLTSAGTVPRVEAMLASAAGAVKVMPLSLPHVPGLPEGAASTADLSADGAELLKLAVDATLPDVRALLAELRPDAVLIDFATPWVLYFCVFSAAFFAYTTVPGRLAVDGHCPSAHDLTMGPPGFPDASALASASANEGRDLTYLYTSFHGMPSVYDRVIAGVKGSDGLVMKTCTEMEGPYVEYISAQYGSKRVLLAGPVVNPVDPLEKRWDTWLSSFRDGAVTFASFGRETFLPVPAATELLLGLEATNRPFLVVLNFPKGTVDAAAEVAARVPPGFEERVKGRGVVHMGWVQQQRILCHRSVGCFVNHAGFSSVVEGLVAGCRLVLLPMKVDQFFNARLFARELHVGVEVARRGEDGWFGRGDVTDVVAAAAVAATGGDGDARKWRDFFTTDAMQKKSALDFVRARIIDASASRRLTLHVG >Dexi3B01G0035910.1:cds pep primary_assembly:Fonio_CM05836:3B:38884911:38885994:1 gene:Dexi3B01G0035910 transcript:Dexi3B01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWKLRKMGTTYPKPEVYVFMKSKFIEVEEGICQVVSHLWLGSEIFAGSSSNVASSSSASSPLSSSAPTSSKKGAKTKFEKKLAAFIKNQIETDSSKVHGDGFRAGYPAVERYGLRRTLDHIKLVMQRK >Dexi2A01G0023590.1:cds pep primary_assembly:Fonio_CM05836:2A:35304743:35305222:-1 gene:Dexi2A01G0023590 transcript:Dexi2A01G0023590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSWSTKRYPSLVASNLKRQQQKTKVHVSVAIRRRLRLRRLRVGAEAMEMVNLKLYLENRCIIAENERLRERASALRRENLALRQNLSKTAADAELPVAGAGAGAA >Dexi7A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:7A:19866265:19870682:-1 gene:Dexi7A01G0008650 transcript:Dexi7A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLRWWWAAALLFVVVGVSRVIVAYCDDTSLAGDALYSLRQSLKDTNNVLQSWDPTLVNPCTWFHVTCNTDNSVIRLELYSNNISGPIPPELGNLTSLVSLDLYLNNFTGNIPDTLGQLLKLRFLFANNPNLCGPGTTKPCPGAPPFSPPPPFNPPTPNSSKGASSTGAIAGGVAAAAALLFAIPAIAFALWRRRKPEEQFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNRNVLGRGGFGKVYKGRLTDGSLVAVKRLKEERTPGGELQFQTEVELISMAVHKNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPDDPPLEWETRARIALGSARGLSYLHDHCDPKIIHRDVKAANILLDETFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEQLVDPDLKGGYEEHEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAERAPRHNEWEVDSTYNLNAVELSGPR >Dexi5B01G0029540.1:cds pep primary_assembly:Fonio_CM05836:5B:30747806:30749140:1 gene:Dexi5B01G0029540 transcript:Dexi5B01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVSPARRLSSIFSSAKPRAQPPKPSPAPAPKAAAGNAEVKPNAGRGNLGRSLVKITKAIFRERDPDKLVSQFVAASSASRRFRDQHRVYEVAVARLASFGRTDAITAIIDAQKPFLEASGEGFAARLVRLYGRAGMPSHAAVTFHDLPPKLKSVMTFNALLAAYVDAGDLDTLATAFRQIPASDPTVVPSLYSYNILISALCQKPDLSAAVDVIALMEKCDINPDEISFNTLLNGFYNNGRFNDAEKIWEMMKERNVEPDTRSYNAKLRGLVSEGRIDDAAALIDKMQKDGPKPDTVSYNELIRGYCKEGRLDAAKKVYDDLVKNECAPNRGTFHTLVPHFVEAGELDRALSCCHEIFSRKCKVNCSLLQEVVTALISASRVEEAKRIVDLGRMNYYPRKGLRMPPRNRNDNDAKTETDLEDSEPDEEGCEEEDESKNA >Dexi8A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:8A:30639397:30641974:-1 gene:Dexi8A01G0018320 transcript:Dexi8A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGGARNDDEDVQVLVERPYSHETGPAPPRPPAAAAAPGHRHHQRQQQQQQLIRARPYYRRWTPWIVSTATLACVAIFVVTMAVNDCPKHNTNCFAGLLGRFAFQPLKENPLLGPSSATLLKMGALDVSKVVHGGQGWRLITCMWLHAGVVHLLINMLCLIFIGIRLEQEFGFVRIGLVYLISGFGGSLMSALFIQSTISVGASGALFGLIGSMLSELITNWSLYANKVAALVSLVLVIAVNLALGILPRVDNFAHIGGLISGFLLGFVFFIRPQFAWLNQRRVAAASAGGVGVAVGEQQQLHQQQQAARPVKQRKHKTYQYVLWLAAAVLLVVGFSVAIVLLFRGYNANEHCSWCHYLSCVPTKHWKCNSSPTVCTATRQENTLTLTCQGTSKNQTYLIADASSQARINDLCNQLCV >Dexi7A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:7A:29659576:29665266:1 gene:Dexi7A01G0020960 transcript:Dexi7A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIPFVNILDDDDLPSPAVFASPPSSSSRKRSHGSAGSTSQSDFLDAFSPSPPLQKRLLLALGDPVVLDDTPSPPKRRPSSAPEPPVLVLDDDDYDPSASGGVASGGVVTVTPDSVLHRAAFSQTPEMAMPSSASLGTVVPETPGGTVVPETPGFPSLRSVGPVPAKNLSGKPSLISLESDDEDDDTIYKNYLKSSVGCGTTQSEKNPEMNKRQEKDDAQQIEAKERKQPAKKRLTKEEKAKMLDERKQKQQEDKLQKQAMRAQQAVKKKNDKEIQKWESGKYALKCITAEIDHNVAESGSIGGCLLSSLSEKCLSYKLTNNPVKGSILWKMNVPPDVAQAFSSLHDDCDMDPASLSKVQYIAIVLQAEEFCDLVSKGLFFNHVQEIRKKHSEFSICYVINKLTNHINKCEQSQYKNNLNTWKRPPVEEVLSKLATHYTNVHSRQCIDEAEVAEHIVGLTSNLAKCKFRQVTNNFVDKNLAKKDTWLKALIAIPDIQPRYAMAIKKKYPCMRSLLNEYMDPSKTVQEKEDLLSDLKWDNRLGEEGKRLGKKCSRRVYRMLMTQNGDLYTDDPEAGGSA >Dexi7B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:7B:17713192:17715332:-1 gene:Dexi7B01G0010380 transcript:Dexi7B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHSLEVVDGSGAGGGHHPLRRTGTAWTCAAHIITAVIGSGVLSLAWSVAQLGWVIGPACLFCFALVTYVSAALLADCYRRGDLEKGPRNRSYMDAVRFVSLYGCGVAYTITTATSIRAILKANCYHDHGHDAPCNYGGSYYMLVFGAAQLLLSFIPDFHDMAWLSVVAAVMSFSYAFIGLGLGVATTISNGRIKGSITGVQMRTPVQKVWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFVDRYFAEQFPDSGFVNDFHTVKLPCLPPWRVNLLRVCFRTLYVASTTAVAVAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSTRWVVLQSFSVVCLLVSAFALVGSIEGLITQKLG >Dexi2B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:2B:20237185:20238370:1 gene:Dexi2B01G0012960 transcript:Dexi2B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAAMMSDDDDAAPQVSAVEDYYLVDSSKQPVCFSTLPLQDGDTDDVPEGKKRLVLWGIADRIEKVYREVVAWRLVLEGKQPEIAVLAADGGGWIRLLKPKKSYEEEIRRVLITAQMLHFLRRKPDDPEKNLWRHLRKIFDKFDVRPSEDDLRSHHSLMKHFAEKDPVLAKSEVLQVFIEGRSRKNISEVGADNIEIKQPFIADDEDIDEMIIEDANNESDEEEDEDLFDSICAICDNGGDILW >DexiUA01G0007100.1:cds pep primary_assembly:Fonio_CM05836:UA:13582646:13589124:-1 gene:DexiUA01G0007100 transcript:DexiUA01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAARAARSARVAAARAGRLGSGFIAALNRFPIRGEASTKSREEIVNADYGHLPGKPNPPSSSGAGSMAIAWMSAPSSATARQRARSSTLRHGSGNALARPPPAAGACSSPRVRDCPLGYCIESFCVVATVHRTLGCVAQLLILLLSLL >Dexi4A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:4A:10643680:10646301:-1 gene:Dexi4A01G0012130 transcript:Dexi4A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLLATASAVYYAISSRDQFYPAMVYLSTSKICFVLLLNTGLVAMGVAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFMAFLLIVDCLFLSNSLRSLILKREASVSIFFSFEYMILATSTVSTFVKYVFYVSDMLMDGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFKIRIADYVRYRKITSNMNERFPEATAEELNASDATCIICREEMTSAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASVRQHGAQHGVQQGTGTPASEGAPGESTSRRQAKLEAAAAAASVYGRSFAFPPANALNGYVTVTI >Dexi1A01G0028150.1:cds pep primary_assembly:Fonio_CM05836:1A:33882626:33883245:-1 gene:Dexi1A01G0028150 transcript:Dexi1A01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYALPRAASRLALRGRQPPSRALCAAAAAGEGSTRRLVLYTKPGCCLCDGLKEKLHAASLLAGTPYSLADITTNPEWERLYQYEIPVLAKVLPDGTEEILPRLSPRLSVELVQKKIYSAFDQ >Dexi9A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:9A:5606242:5607980:1 gene:Dexi9A01G0009330 transcript:Dexi9A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYFYQSLLLSLAAFALSPRAQLPPGPWKLPVIGSMHHLVNVLPHLKLRDLAAVHGPLMMLRLGQTPLVVASSKETARAVLKTHDTNFATRPKLLAGEILCSAEILSPKRVLSFRHIREDEVALKLEEIRAVGPSAPVNLTVMFQSLTNSIVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFNIPDLFPTWTTLLAKVTGMKRSLQDIHRTVDSILQETIDERKAILDEKLKSGAENAEENLVDVLIGLQGKGGFGFHLSNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPAVMKKLQGQIREAFQGKAEVTEADLQSSNLRYLKLVIKETLRLHLPAPLLVPREGIEACELDGYTIPAKSRVVVNAFAIPRDPKYWDEAEEFKPEQFEDGGIDFMGSSYEFLPFGSGRRMCPGFNYGLASMELVLVAMLYHFDWSLPEGVEEVDMEEAPGLGVRRRSPLMVCATPFVPAAVATK >Dexi9B01G0031630.1:cds pep primary_assembly:Fonio_CM05836:9B:33965449:33969784:1 gene:Dexi9B01G0031630 transcript:Dexi9B01G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRTFIPKKKASSGNKGSQLKKYIDTTLGQGDLREAVLVDFFNNVNILYSTLFEVCTPTTCPTMSAGPKYEYRWADGVRVKRPIEVSAPKYVEYLVDWIEAQLDDAAIFPQQFGTPFPPNFRDVIKTIMKRLFRVYAHIYHSHFQMVLKLQEEAHLNTCFKHFTLFAMEFQLIDRAELAPLNELVESVMLGR >Dexi2B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:2B:12199892:12200698:-1 gene:Dexi2B01G0010790 transcript:Dexi2B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETTGSAAAKEVVEKKVELMKEIRAHEVAIAELDNLNPSRGVYQKAGNIFFRKSVKSVVTTEQKQLDVAKARLSKLN >Dexi2A01G0035100.1:cds pep primary_assembly:Fonio_CM05836:2A:44800046:44800479:-1 gene:Dexi2A01G0035100 transcript:Dexi2A01G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPEHGGEARVGDERVGPRDAGPECPEAEQRDDAEEQDPGDSEESRPQQRVSAPLRVVAEESAPAADAGRPGGRARGEERAQEGERHAGGVTGGGGGGHGREGRKGGAEAGVGGRSGGRSCERSRSSSSSWKKRRHSPMAL >Dexi7A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:7A:27422390:27423272:-1 gene:Dexi7A01G0017840 transcript:Dexi7A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKTNFFGRAWNRARGKSDVERICKKVFDDLADKDTALLDINSLHVATLMLVGPHKDPPCMKIVAEKMEEYRAKKGIAFEEFQETILKWVEKDLQLVLVNKAAVSILGAPLLAVTAKNAGRQVPRVGDAVEKVPTPLIAAVFSVGLMLLQDVRFGRQRE >Dexi3A01G0024370.1:cds pep primary_assembly:Fonio_CM05836:3A:20015009:20015227:1 gene:Dexi3A01G0024370 transcript:Dexi3A01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLVAGDGEDDVNEVAGDGGGAGRVSHRRERQRTPSPAALPFAEVAATSSTMEGALGTAGCSEEDGGIKE >Dexi5A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:5A:25185623:25187539:-1 gene:Dexi5A01G0021330 transcript:Dexi5A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHFLVRMAGLRQHTVDVDGAGTVITIWVPKDKVPNEQKPTVRDVTPGSTATTTKPPPPPAAKKNDRPAVVLVHGFAAEGIVTWQFQVGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLATALRKLGVGACTVVGFSYGGMVSFKMAEAHPDLVRSLVVSGSVVAMTDSISETTLERIGVKSSAELLLPESVKGLKALLSIATYRKLWFPKRLHRDYLKVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAKTMKEQLGEKTMLQSIRKAGHLVHLERPCVYNRLLKEFLASVTATEIPQGKP >Dexi1A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:1A:1295883:1296943:-1 gene:Dexi1A01G0001950 transcript:Dexi1A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAFVYMEDERDAEDAIKRLDGIDFGRKGRRIRVEWTKEDRSAGRKGSSRRSPTSARPTKTLFVINFDPMNTRIRDLERHFDKYGRVSNVRIKKNFAFVQFEVQEDATRALEGTNGSHFMDRVISVEYALRDDDEKGERGNGYSPDRRGRERSPGRRRSPSPYGRGRERGSPDYGRGRERASPDYGRGGDRRSPDYASNGGGRGDERGSPDYDRERREASPRRERREASPRRERREASPGYDRPPSRSPGRDERD >Dexi8B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:8B:19740514:19741505:1 gene:Dexi8B01G0011080 transcript:Dexi8B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGFSGTYRDLVGGNRELANVALGRRQMAHAVDTLAAHTTASGGCYSVVAAHRYVLAGKSAVFLRQLIGHREGKKTTPVEVKDMDAATFRAMVHYIYTDTVPEFDDRRRRTPEEDEALDRLKLICKRKLQSGAIYVDMAARTLALAEQHGYRRLKAKCIDFTVGTPETLHAVLATEGYKHLEASCPSVLTELLKSVHGRKNQLLK >Dexi2B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:2B:6783727:6785304:1 gene:Dexi2B01G0006790 transcript:Dexi2B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAAAAAATSTATAFVSGHHRRLSSSSAPSPSFSQSTHPRAVAARRRRAALPASRAATVMTVRADAKTKKSVLIVNTNSGGHAIIGFYLAKELLAAGHAVTVLTAGDEASDKMRKPPFSRFEELRSAGGKTVWGDPADVGAAVAGGASSFDVVLDNNGKDLDAVRPVVDWAKSSGVGQFLFISSAGIYKPTDEPPHVEGDAVKESAGHVGVEKYVAEQQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRRRPVPIPGNGMQLTNISHVRDLSSMLALAVESPGAAAGKIFNCVSDRAVTLDGMARLCAAAAGVAVEIVHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEALGWASTTNLPEDLKERYAEYAGSGRGEKAMAFDIDDKILAAVGKAPASVIA >DexiUA01G0025650.1:cds pep primary_assembly:Fonio_CM05836:UA:54022846:54026066:-1 gene:DexiUA01G0025650 transcript:DexiUA01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWLPLFIMPSNALRGEAACIKPEQGWPHNLLEGQANSDAQGRVTDECNYHNQVDRVKKSESSEAEFAGSCGAKTNEVYDGRGEQSTTHVKESVHQADASKEGRGAQRRHRRRAGKQPRSFRLRSGWRLVFRPPHESLAGMEAARWWEGRRRGTGAAVVRWRLVKEKVAGGIDEAAEGRERGRNRVAVAAQITGTRDAVRVGRRKDEQSPAAVPGSPADQHSALYLPHRNNTNACAYIMVETQLKDIPVVCEYADVFPDDLPGMLPNRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDQGFIRPSVSPWGCPAIFVKKKDHSLWLCIDYRPLNAVTIKNKYPLPRIDVLFDQLAGARVFSKIDLPSGYHQIKIRPCDIPKTAFSTHYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRFVLQRLRDHKLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVMDWQPPTSVHEIRSFLGRAGYYRRFIPDFSRIAKPMTELLKKGVKFVWSDKYEEAFHTLRKHLTSAPVLAQPDSTKPYDIYCDASGTGLSCVLMQENRVIAYASRALRPHDQNYPTHDLELAAVIHALKIWRHYLMGVPCNVYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKARCHCLSMESYADTLCHEMAKLNLEVTARILQPYLLMWFGHRIVVPKNTELRRKIMDEAHLS >Dexi3A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:3A:16273106:16276165:1 gene:Dexi3A01G0020490 transcript:Dexi3A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFLKLVGPTRNAAAFISASPIPLPLRLRSEPAPPHAGAEMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIAWLLLSTYFYNVITVGFNVETVEYKNSSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >DexiUA01G0015450.1:cds pep primary_assembly:Fonio_CM05836:UA:32380522:32381148:-1 gene:DexiUA01G0015450 transcript:DexiUA01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLYVTESLSITLSSEHRKEICRYLHNRQNIDGGWGLHAEGESSMLSTALNYTALRLLGESVDDGPDMSMPKARKWIHDHGSATMIPILGKVWLSVTILSTESHNCNSLVSRNIR >Dexi5A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:5A:21905924:21906673:-1 gene:Dexi5A01G0018400 transcript:Dexi5A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAAISGCCSSSRLSQPAALLRSTSRRRFKVTAMAPMKKVVIAALNQIYLGPSLLLRSEIDPHCYVMLLQVNRYDDNWSKQWFGAGIFAEGSEEVSVDVLKKLERRKVLSTVEKAGLLSKAEELGLTLSSLERLGLLSKAEDLGLLSLVETAAGASPSALASSSLPLLAAAVAAVVLVPDDSAALVAAQAVVAAVLAAGAAGLFVGSVVLAGLQESD >Dexi9B01G0042890.1:cds pep primary_assembly:Fonio_CM05836:9B:43000263:43000965:1 gene:Dexi9B01G0042890 transcript:Dexi9B01G0042890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGWNPLAPVLQTVAAFSRGLVIAPDAGPDDHRLRPLLSLSLSPPPTPPPPPEEKEAKAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELVSVIDDAANLI >DexiUA01G0009540.1:cds pep primary_assembly:Fonio_CM05836:UA:18747624:18748767:1 gene:DexiUA01G0009540 transcript:DexiUA01G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCAPACAASGKAGGFLALDWCDSTPALSALARASFALHRRLASDLGGADAYGFRPVHTLSVCVPTLPKPASPPSPHPLLPPWVDPSASAAPPRELGTPDTTAQVHPGLFTKAVLAASGAEFLIGEVERVLVRDGRVAGVAVKGRDGVVDADAVVLALGPWSGRLQVVREVFGVSGLKAHSIVLRPREPEKITPHCLFLSYQPAPGAKMLDPEVYPRPTGEVYICGMSKDENPPDDPATITGEPESIAMLHKIAGKVSSQLKKEEGAEVVAEQACYLPCTTDGLPVIGEMPGVKGCYVATGHSCWGILNGPATGAALAELILDGKAKIVDLAPFSPARFLKRRSRR >Dexi6A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:6A:7552720:7555894:-1 gene:Dexi6A01G0007630 transcript:Dexi6A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAAISAGIPPAMVTSSSGYISVHSYRLSTVVPSSVTGEEVNYELTDADLLHKLHYLRAVHVFRAPAPGVAALTIRELKEPMFPWLDLYFPVSGRLRRREEAADGEVADKAAAETGRPYVRCNDCGVRIVEATCDATVDEWMEAEAARGGQCKVLAYDKVIGPELFFSPLLYVQVTSFKCGGMALGFTWAHLIGDIPCAATCFTTWANILSGKKVVPAPTLRDPLTSPPPPGPLPTGVAAAPPSAVVKVTAAPVGDHWAVPTTHDMVPFSFHVTEQQLKSLQLQLGASRHVGTFELVAALTWRALAGIRGLDEEDATRTVTVVKTIDDTANGGGRLGLTNEHRIGHVVATSPAVTDVAKLAALLAGVSLADAATVAAEAAAMADDVVVYGANLTLVDAEGVDVYGMELGPWRPAHVEYAVDGVGDGGAVVVHRDGGGGRGRTVAGVVRRGEADRLRAALRDALRVAV >Dexi7B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:7B:22064008:22064497:-1 gene:Dexi7B01G0016060 transcript:Dexi7B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLFFHHIIYSKKFQVEERNITIDELLGADEVFCTGTAVVLSPVGSITYRERKVEYGKNQEAGVVSQQLYAAFTAIQKGLVEDSMGWKLQLN >Dexi5B01G0026850.1:cds pep primary_assembly:Fonio_CM05836:5B:28512115:28515148:-1 gene:Dexi5B01G0026850 transcript:Dexi5B01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDLIMRERRQMEQILELDMEELQVEEVDDDGSSSSSSDVDTFLRSEPISDPSPIQRIKNMVTLPDAKVDGTRGKFAFLDGDKVLNLPMFYLQGVVLFPEVSLPLRVIQPRLAEAIDKAVNHVDAPCMIGVVHAFRHANDGHHTIASVGTTAEILEIQQLDDGSSCVFCRGQQRFRLIRHWLDIDGVVTLYT >Dexi1A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:1A:8205137:8210141:1 gene:Dexi1A01G0009870 transcript:Dexi1A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHHLLSRLVASHRLLHSTTAPSLRPTARRPLPLHSPPPFSPPHCRTLLPFVAVASRQYAASSFRRRRSSPPPMLLRRRRARRPTRKGPGELIVQIGIEEALPDDPEILSIAETLKTDVGKAAKVAFDDLEDSEYKTRDPSISNLNKYNSVELSLLLCDDNFIRQLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISVETAQRQAEERGHTLLDEIRILTVGTLVDCEGRLHEESLESLKEATVTGANFITVTGKSRASIIRIFKLLDFHDEGDFVSETSPGVFLQGSLVYGRNGQEVYKAKLDVDICKEAFLYSLKHKIPVVAYCEDQCLTLFEHPFANLLHTVHHENKVKVMHSIEDLLEYSSIQKLLLFDTAEEDPSVLRQHFSELTEGKAHILKMQPNTIDIVPLNASKSDGIRILLDHLGITEDYDLDAIGDYTRWLSNK >Dexi9A01G0027400.1:cds pep primary_assembly:Fonio_CM05836:9A:32007040:32007294:1 gene:Dexi9A01G0027400 transcript:Dexi9A01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTPQYRTDSSTPRDTCKDAFIPNKYSIRRLAEARDTNGASWSSTKDAAAVVDGCTREKEVVPVVSKRHQPDAVCRWRRTGGG >Dexi1B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:1B:5237642:5241509:1 gene:Dexi1B01G0006350 transcript:Dexi1B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVSHHVVFVGSIGRPQLTFPTPPTRATGQRPRKKLRLPEVQQLVRSLAVENENLRESAQENFVLPDLNLLPQDTADVPSVH >Dexi3B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:3B:2959760:2961352:-1 gene:Dexi3B01G0004300 transcript:Dexi3B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPAPPTAGGIPLPAQPLPPPPAGVVPFSVSESGTPHSVTGPDEQDVVSVGNDVNAEPDRTARRLYWTEVEELRLVSAWLNSFRLSNAKKYKVYWAMVAGMYNRNTPKDRRRSHLQLKCHWQKINAKIAHFYDCWCRVEAKYSSVQSEKMQLMDKTWAMYNEEAREMYLEEAKRHFTFSHCWKAVWDQPKWKKYVSSVCYKKTKLSESGDCTSSSEDAEDAPEIETGEEGSMPVKKHKVKGKAPSPSSELQEDIRYSVDPQNMIGKNDKEMIGAELQCSDQKLELARTNQLEMKGKEMEISRMQTGLLMADTPRILDFQHERDRLMADTARVIEFQHGSAVREDVPEKKTHPPQGHKTVEHAGTERVDLPENETHQRGSKKAKSKRKLKGNTSSPPSEVQEDIKRAVDLQTMLQKDREKMSEAQFRLSKEKLELARLKQQEAKDRKETILYEKYTELLMADTHRFDGFQKEEHRKAVKRMGEMLFGNDGVHISSDNLKHM >Dexi3B01G0020800.1:cds pep primary_assembly:Fonio_CM05836:3B:15746702:15752108:-1 gene:Dexi3B01G0020800 transcript:Dexi3B01G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEAVLSAFMQALFDKVIAAAIGELKFPPDVKEELQNLSSSLSIIQAHVEDAEEQQMKDKTAHRWLAKLKEVAYEMDDLLDEYAAEALQSKLEGPSNHDHLKKVRSCFCCFWLDNCLFNHKIVQQIRRIEQKLDRLVKERQIFGSIMMSGTERQEIKERPKTSSLIDDSSVFGREEDKETIVKMLLAPNNSNYANLPILPIVGMGGLGKTTLTQLVYNDARIKDHFHLRVWLYVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDPEKWDRVTLGKQHHSLFTSSMLASICRRRLATPLRQILAGGGGAGTNPFRSSPEAALLLPHGYYSTTVAAASAEPKPWPAAARNVRIRDTDRANAVAALLRECGFSEAQVTRTLRYEPLLTLDPDRIIRPKLDFFSSLGFVSGVKACGQRQLRYGE >Dexi9B01G0027700.1:cds pep primary_assembly:Fonio_CM05836:9B:30130188:30131485:-1 gene:Dexi9B01G0027700 transcript:Dexi9B01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMDRMEEEDAGPVVFRLFGVDVLRRVAAVEEPDEDGDAFGLKKSTSMPIIAVPSKDPPLLHGEAKEYASDDLELFRQQKHRRRKAQERKKGVPWTEEEHRKFLDGLRQLGKGDWRGISKGFVPSRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGIADFSGDQVPSPPNNATNKPAPPQEIIHTDRGDVPIPGYRGFGGILGNNIQVNELTNYFMTPKAHAETSLASMASGLETASSINSMEFSIAVKNLELSIAPPDRCGCGGAVGAIKVL >Dexi8B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:8B:11237253:11238162:1 gene:Dexi8B01G0008400 transcript:Dexi8B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPASRGGFAAVKSPRSSSSDSDGSSGGGKKRKHFAWEEAVSHAASGLELQLGDPLPLDWEQCLDLHSGRMYYLNRKTMKKSWVRPRSNKEEQSTLNLELNISMTPSTFYDGKASPVADVNKSMNSNSITSGGHMVAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPVAMPRTLPRRLDAVKPLETLSLLH >Dexi8B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:8B:18167972:18168641:-1 gene:Dexi8B01G0009860 transcript:Dexi8B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHVMDPAPPNGSKAVTLVLRLCTLGLALASAVVMATASTCTIYDADDDTAATTVTFKSYPPFVYFGKQDDPEAPILPGVVLVVVDVAVQVLVYTSTGAVFAAVTAYGAEIRACAAAGGHFCEQVHRAKLIGLGASLTAGLTAVAKDAPLPFSVWPVSSE >Dexi2A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:2A:27174419:27175882:-1 gene:Dexi2A01G0015790 transcript:Dexi2A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAMSSATSTVVASVLALPLPLLAPLVISALAFLATVLRRLLRRERPVYLLNYSCHLPDVNLEVCEYFGLKCRRYSDDIADFMRLIYSKSGLGQETFAPPFIFSGKFEKTLAYAVQEAEEGLFAVVGQLLAKSDVTPADITVLVVACSMFSPMPSLASMIAHRFKLRPDVKSYSVAGMGCSAGTVGVDTAARSLRATSASSGKPGGYALVVVTENTSLNWYFGENKHMLVTNCIFRVGTAAALVTDVASRRADARYELARALRTHHGADDAAFHAATQMEDEQGNQGVALTKDLVRVAGAALRRHITALGPHVLPVSEMLRYAWRVATAYAAGNRKAAAAEVPDFQRAFEHMCIHSGGKAVIDTVARLMGFGPFVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVKAGDRVWMLAFGTGFKACSNVWRALRDCGPDADNPWNGCVHRYPMPLPPPSKTHKHDAGVVHDSKMHKHAAA >Dexi7A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:7A:2215516:2216266:-1 gene:Dexi7A01G0000790 transcript:Dexi7A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAVLAILSKLQSVGFCTDIRVPDAAAVSDPSELFDAVLAVFLREVSIGGREARPIPAALGDGRCVDLLRLFLAVRAVGGYARVTSSPGGWAAAAESAGVDANLAAPVRLLYAKYLGGLDRLIQRLEEAHGLFLDNDMRKRQKLFIGANGVDEEEALLGCDGMEQRHVMLKRKRGDMVGMLRWVREIAENAGDGGAMVAGSMDEYFSMALEVRKVVTRKKVRRASMVNGSNFQANV >Dexi6A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:6A:28569902:28572127:-1 gene:Dexi6A01G0021250 transcript:Dexi6A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYGYGGGYGHGPPPSAPPAPTSAPPPSSSSYEYGYGQGGYPPSYPPRPPPPPGGFGFGYGAVPVAFPPGTPPDVERAFRAADRDGSGAIDEHELQGALSSAYHRFSIRTVRLLIFLFNDPASSLPSRMGPAQFVSLWNCLGQWRGIFDRYDRDRSGKIDSRELTEALRSIGYAVPPSVIELLIANYNNGVPSNGALDFDNFVECGMIVKGLTEKFKEKDTRYTGSATLSYDGFLSMVIPFIVP >Dexi7B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:7B:13724738:13727698:1 gene:Dexi7B01G0006120 transcript:Dexi7B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Dexi9A01G0046090.1:cds pep primary_assembly:Fonio_CM05836:9A:49467871:49477347:-1 gene:Dexi9A01G0046090 transcript:Dexi9A01G0046090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGHELRSKPGWVRRSSRHSLVPRRSPAVPVKPEEYVSPAGIKFDGDAPGGFSQRQCWGKYAGLSEKTPDSIASEGPTAQTVRAGALGSAQRITPYVLLRRPDGGVFTDDVSEASPVDGYFMRYKWYKIITLKNSLHQSLTNMDIKHFIKEGQQRCSQEALKQLMVEFNKAAQSLTDAIIPAAQKKLALNRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIQHDLKDVKLWQIHTLLKGLEKIAVSADIPMLVCGDFNSTPGSTSHGLLAMGKVDQLHPDLAVDPLGILRPLSKLTHQLPLVSAYSSFARMAGVGYDLDHQRRRMDPTTNEPLFTNCTRDFTGTIDYIFYTGVADMNLMTDEEENVGKWLTAARNVLVAAVWVRMSAISHATWTSQAFTSPYGQDELQKLLGAAVQWQCSTPLFPVVIGQLNFVVTAPVRQ >Dexi5B01G0037950.1:cds pep primary_assembly:Fonio_CM05836:5B:37281355:37282922:-1 gene:Dexi5B01G0037950 transcript:Dexi5B01G0037950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVADGHSRSSRCNRFRRKFSVVADATQPSRVRPASDRGSAAVAKANDEVTKDKATTSTQKAIEEENKEEGINESDQAVKDNKEAFAVTEGNITSTANATSEKKEVEIKKDEANAKDNKEVVTAAKGREASPEKVAKDQTQEDKKNEADLTPAEDVIVEEKEEDKIKNGDVIDISTKGTVNGNENEGGNKEIPMEEEEAALTENDSSSQNGIEENREDDSVTFPVAMLTEEDGSVSFRVPDDTMTKDDDSVTFTTAPAIKNSNMVAMVTEEDGSLTFAVPVAPVTKDNGMVAMVSEEDGSVTFAEPVAPMTKDGRSVTFSAAPATKDGDSVTLATAPTIEDNDIATLTVASVAKEEDVGTLMTAPVTKEDTTEQSEPSEDEEVKIEAESTVFEDEESITEVDGSGTMKDDEEVVAEQSEPSEDNEVKNEAELPDPTFVEQVVTEVVEAMKVEEGRVDTMREIKTDTMGDIEVEQNEESVSKVPEENSGEILREEDGRLKFLDC >DexiUA01G0004200.1:cds pep primary_assembly:Fonio_CM05836:UA:8248216:8248921:1 gene:DexiUA01G0004200 transcript:DexiUA01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGKDVFLKPGQKVLNWTEGPRGDLKPW >Dexi1B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:1B:23561472:23561917:1 gene:Dexi1B01G0017180 transcript:Dexi1B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCLTGSRQLMGEDLSGLNVKELQSLENQLETSLRGVRSKKDHLLIDEIHELNRKASLFHQENMELYNKINQIRQENTELYKKVAYKLNRNITE >Dexi9B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:9B:1360092:1360988:1 gene:Dexi9B01G0002340 transcript:Dexi9B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDKDIVALSGGYTLGRVRPERSGFDGAWTKDPLNFDNSYFVELLKGDSEGLLKLPTDKALVEDPEFRRYVEKYAELHIIQLLSSIHVITDSRNVENFQHFANLHLQDEEAFFRDYAESHKKLSELGSMPPPMLCQSCRPQMAIS >Dexi9B01G0046900.1:cds pep primary_assembly:Fonio_CM05836:9B:46157171:46158763:-1 gene:Dexi9B01G0046900 transcript:Dexi9B01G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARAFRKKGYIPLTTYLRTYKIGEYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Dexi5B01G0024010.1:cds pep primary_assembly:Fonio_CM05836:5B:26091479:26092346:-1 gene:Dexi5B01G0024010 transcript:Dexi5B01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTCLNLVISRIKLLRNRRDLQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIGSIIFASGRCSDLPELMHLRNLFTTKYGKEFVAGAMELRPDSSVNRTIIEKLSVKAPSGESKLKVLKAIAQEYNIEWDSSNTEAEFNKKYEDLLVEFTN >Dexi2A01G0024850.1:cds pep primary_assembly:Fonio_CM05836:2A:36582408:36582903:-1 gene:Dexi2A01G0024850 transcript:Dexi2A01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKPAAAVDPEVALAHKFPEVSFDYDERDVALYALGVGACGDDAVDDKELHLVYHRDGQL >Dexi6A01G0019290.1:cds pep primary_assembly:Fonio_CM05836:6A:26933795:26936544:1 gene:Dexi6A01G0019290 transcript:Dexi6A01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPSDYAEEPPRHPALKINSKVSAALPLLPAVRVLPMEIRVIGWVALWCLSPIARIRSRLGLGRMGARVSAVQEPFNAEPHRSALVTSYITPVEFFYKRNHGPIPKVEDLSRYSVSICGLVNKPIQISMADIWALPKYNVTATLQCAGNRRTAMSMVRKVRGVGWGISALGNATWGGAKLSDVLELVGIPKLSSVSSLGGKHVEFVSVDKCKEEKGGPYTASIPLKQATDPDADVLLAYEMNGEILNRDHGYPLRVVVPGVIGARSVKWLDSINIKEEECQGFFTQKDYKMFPPTVDWDNIDWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEARRYQKNNIPYVSDGPHSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPEKVEDIWNLRGILNTSWHRIKIQNSSSVGRSKL >Dexi7A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:7A:18467124:18470089:-1 gene:Dexi7A01G0007110 transcript:Dexi7A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSSRAPPPAPARPLAAFADDDDDDVEAEILRQAAKKKALQKVTLSGHHPLAYPLPTVCVPCREVIDLPPPPLRGFGVSDAQVEEQQKKAMEEDPSVFAYDEVYDDMKEKEARPKMQDKVVRESKYIAQLKEKAEQRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEERLRQLREEREDVTKKTDLSDFYFGLQKNVAFGAQTHGNTKHADPQKLENKPEDIKSSNLDAEVSEPSPKRRRESSLGSERAKSVEEPSRSRSRDPTEAASTEKSPTDVPSNASQTPQNIQPVKVTDDHYKRSDDALAAARARALARKKAKEQQL >Dexi2B01G0025410.1:cds pep primary_assembly:Fonio_CM05836:2B:34675050:34675635:1 gene:Dexi2B01G0025410 transcript:Dexi2B01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVCVWPCVQANSASGLAVNDECKVKFRELKARRSFRFIVFRIDDKDMEIKVDRLGDPNQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVRSRTN >Dexi5A01G0028190.1:cds pep primary_assembly:Fonio_CM05836:5A:31514933:31515217:1 gene:Dexi5A01G0028190 transcript:Dexi5A01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPADGGRLPPELSAPGQNKNGRRERDATRPDPNNIIRRVRFRPLLSPAHRTLGAVRISTRGDQHERLERLDGWIPPATRLAFCRAVYYVASAF >Dexi8A01G0006740.1:cds pep primary_assembly:Fonio_CM05836:8A:6999271:7001431:1 gene:Dexi8A01G0006740 transcript:Dexi8A01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARMNDAEPVKLTTTPIFPQIPRSQTSKDFQVLVTVEAPPPAGQKGRVPIDLAVVVNVGGGSKARLDSVKKAVRFIIWQLYDDDRLAVIGPSNTRLFGETATGFLDIRGGRGNAESSLEKLQPRTRDGQAQQTSGLKVAMKMLSELAASTSTRASFIILLTDTGESGSFSKVAGDLPVVHTIGLGAWHDPKALRSIAKESHGTYSFVFDDENADDAIAGAVAVCVSGVKAVAAVGTRLRLEAAAGSGVKIERVESGGYNYKSTAAIDKTSGEITVGVLYSGEAKSFIVHLHVPAVPPTTSPSVFEGNCDKQHLLTASFVVLTDEGDASPSTSILSVQRPVPDYNAVTAALLKVPVPVVMDHIAQFGVLELVTTFVEEEIWGLSSLTSITAEMAAAMATKLQTMWEEFVLARQFWTGLNLATFEVEINYMVSHLVAAGSGGGSPVFVTAYIFSWQSSYQMQRPTAMGSPSSVAQTFVTLNVLLTVQQITTFVTVAPGGCGDCLPCKDDCVEAQLPPVFVPSGGHGDTYDVNPAYPAELLGVINQAINQMYMVMIRASNVKQCDSSGVVEKQSLPRGLA >Dexi5B01G0035060.1:cds pep primary_assembly:Fonio_CM05836:5B:35158629:35162130:1 gene:Dexi5B01G0035060 transcript:Dexi5B01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLASLRFGAFHCRASACGGNTSLAGDGGRLSFIVPEVDEVDAAPPENKHKWRMVIAYDGTKFKGWQYQPSPPTIQCFLEDALIRITKLDRKKLCLVGAGRTDTGVHAWGQVAHFTTPSAYDCLDDIHSAINGLLPPEIRVREISAARPEFHARTSTKSKIYHYKIYNEAIMDPFHNRYAYHSAYKLNPRAMRQAAKHFVGIHDFTSFANAAHNDRDRRPIKKITRFDVTEMGAILQLEVEGTGFLYRQVRNMVALLLQVGKEALPPDIVPVIIAARDRKELAKVALSAPPHGLYLMSVNYDEEILKPPEEVP >Dexi9A01G0037230.1:cds pep primary_assembly:Fonio_CM05836:9A:41538874:41539771:-1 gene:Dexi9A01G0037230 transcript:Dexi9A01G0037230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTSDNLSKEDIPEVFLKKKVLPGLMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSHSA >Dexi2B01G0010620.1:cds pep primary_assembly:Fonio_CM05836:2B:12038647:12039740:1 gene:Dexi2B01G0010620 transcript:Dexi2B01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLESGGAARDYVDPAPAPLVDVDELGKWSLYRAVIAEFVATLLFLYITVLTVIGYKHQTDANLAGTDACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSGFYARYGGGANEVSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >Dexi2A01G0033660.1:cds pep primary_assembly:Fonio_CM05836:2A:43847251:43850292:-1 gene:Dexi2A01G0033660 transcript:Dexi2A01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNSAAAAAAAAVSGGAASQPRHSASFLPLRRRTISAVHAADPAKSNGPAVPAAKASSAAAVATPEKKTAAPGKWAIDSWKSKKALQLPEYPNQEELDSVLKTIETFPPVVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFHANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSDAFEERDGVKLPSYRGDNVNGDDFNEKSRVPDPQRMVRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGLIVTWITDPMHGNTIKAPCGLKTRPFDSILNVTECIGGSRTVTFDDLNDRYHTHCDPRLNASQSLELAFIIAERLRKRRMRSGLNNSLPLPPLAF >Dexi1B01G0027100.1:cds pep primary_assembly:Fonio_CM05836:1B:31890638:31893531:-1 gene:Dexi1B01G0027100 transcript:Dexi1B01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVGEGAKLQPSRAGSRLCVRCGERKAALKRPKTLEQICRECFYVVFEDEIHQTIANNSLFKAGDRVAIGASGGKDSTVLAYVLSELNRRYNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPFYEMYRIFICCHVGFIQNILIWLCCDPGIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKVGAGAGDGMQHAKHSERNTSSLQGKHGNFDF >Dexi1B01G0021870.1:cds pep primary_assembly:Fonio_CM05836:1B:27638519:27639797:-1 gene:Dexi1B01G0021870 transcript:Dexi1B01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRETLVLTRALNTFSPLLSTSAMQRPRLSSRSNAVFRSSSSGSTAASIWGRDADAAAAFSVCCLLADRRLARDIHRMRSATHQTRGTGHADAGLVVTITCLGVVPDGVLPNLPAGEGIQHGGASTSTRAVAAATERQDTPENTAADADATAAAASTTAAAMAAASAGRSAGGAGGAFAATARAADAIRGRSRPDRRSARAADASLAGSSLRSAARASAWSAASATSADRKLPCASARRRKSSSSRSASGDRPRRRRGSCACGWWSRSERETSTRPSCSAPSATASAEACDTRRPTRARRSATSSSSWSTSGWNRHGRLADRARYAADLAVAVAAPPAGGVGDLGAGKEIVRRNPATIPESLIDRWINSQAMTAQRRGSDLKGKVEWGGDGMERSRKASAEGLGGMDSLERTAACI >Dexi7A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:7A:27440650:27444506:1 gene:Dexi7A01G0017850 transcript:Dexi7A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFISQCDFETEIPEETGIQQVASDLQALKTLYGLLHKGSADEHLDETSRILLGKMLDDSSQQALLKQAKMLSGSLVSPALERKLSIRSNRLARDAEPRLSLRPPSSPSPSVFAGERSSRFDLKYSTVSSRAGGQLDETSRALMMKMLDDATQQALLKQAKVLSACSLMSPVLERKLSIQSNRRTRDAAEPPRSLRPLASPIPSLLAGERSSQLSLQYSTVSSRAGRNVHGHHHARKEPVLSRLGSYRSSTSALPPPQQRPSGEQRRSGLSVHRMSVAATSQHGVVTGSNRHADRLERTRHSFSCGDQSPSVEGSNSCRSVSREMSADENLDETPRGLMTRMLDDATQQALLKQTKMLSAGSRMSPALERKLSIQSNRRTRDADESSRSLRPLASPSPSILAGERSSKLSVQYSTVSSRAGSNVHGHRRAREEPLLSRLGSYRSSTSALSPQQRRPSEEQRRSGLSVNRTSVAATSRHGTVTGSSRHADRRDTTRRHSSSRGEQSPSSLEGSSSSRRRRSVSSLEMSSRGRRARLQHDVGTPRHVAAAASYSSSTRRSGRLDSRLSLSKTMSRRGSERRPGRGVATLERSSSSNTAVTIHSRIRPDRNIKERHYQRQAEQEEAEVSTRRRGRRKGASVSSGGRSSISRPPRRRALKRIDSGSMYMSSSSRSSPRAAMSHPSTSPTVLPADSSASASSYSPSPPAMSRRRGIDAWPPVFALAPRMSRSMRQHRRQEVLEQRVGRLRRLKSKIAAVFHHRHDHHHHHHFGRRGHEQEVPSSSIGIGGIPGEQIRRSPWRYIEGMLDRWKGKGKKTTTSRTAVGTPAAKRRGGGGGNVQALFDAMWQHLRSKRKAPAATSVKKMRKMATRSKNKMHWWQQLRRRRRGKTQVAAGKPRRRLI >Dexi3B01G0025780.1:cds pep primary_assembly:Fonio_CM05836:3B:20676425:20681818:-1 gene:Dexi3B01G0025780 transcript:Dexi3B01G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAEAPSPSPSSSSGSDDFAALLDSELELISGADSAFPGDPSSASPDTDDEGEDEDEESEEVEVEVLEENGCGKPQDEEDVSGVAFGYIHKVWQKHKENLILMERYHYFASSCRQFGFGVKSLSESMQDERESDGALATVLNVLKRIHAIFFDTAVETNLSSRDVRQVIKKVRKYVLQGCKLVFSRVFPNTTRPHEQMIWKMAEHLGAICSKEVDSTVTHVVSVDLGTEKARWAVDNKKFLVHPRWIEAANFRWERQPEEDFPVTPLKEKSRDKTNAVAGQKETSKYKEENAVVGQKETSNYKKEENAVAGQKEMSNDPEGNDVAGQEEDDSKENVVASTSTSSTDPAES >Dexi1B01G0017900.1:cds pep primary_assembly:Fonio_CM05836:1B:24201447:24202735:-1 gene:Dexi1B01G0017900 transcript:Dexi1B01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGGVVVSASLLLVLLLGACLPAPAAAGVLLSKLPKALAVTASAKSGQVLHAGVDKLTVTWSLNTSEPAGTDAAYKNVKVNLCYAPASQKDRGWRKSNDDLSKDKACQFKLTQQAYAAAGGNFEYTVARDIPTGSYYVRAYALDASGTQVAYDQTGPDAAFDVAGITGIHGSIKVAAGVFSAFSVAALAFFFVIENRKKNK >Dexi5A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:5A:16330829:16331074:-1 gene:Dexi5A01G0016330 transcript:Dexi5A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKPREACGEARIPAGGSRQGPLGMEILRQKPHEACDLTRAMRDGEATESGRRHGAGSRAWCATMGAAANGSEQRKG >Dexi1B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:1B:9631558:9631956:-1 gene:Dexi1B01G0010280 transcript:Dexi1B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLRRVYGHSLPRLRARQVQARADATHQGRHRRRLHLPRLLARRQRQHLPFLLRLALPMPPPPTTSIPAYEQPEDSSSDCAVCLGGVEKGEMVMWLPACLHMFHQVCIDMMWLRDHATCPVYRCNVLVPG >Dexi9B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:9B:6392905:6394590:-1 gene:Dexi9B01G0010280 transcript:Dexi9B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQQHQRHHHHHHHLPQPPMQLPPRQAPPMPPAVAPPHGQIPAASLPYGGGAWPHSEHFFPDTFVGASAADAVFSDLAAAADFDSDVWMDNFIGDPSFADSDLERLIFTTPPPPVPVPTPAAVSVDAAVQSEAAAPALLPQPAAVAAPAACSSPSSVDASCSEPVLQSLLACSRTATADPALAAVELVKVRAAASDDGDPAERVAFYFADALARRLACGGGGAQASTATDTRFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGTATKIHIVDFGIVQGIQWAALLQALATRPEGKPSRVRISGVPSPYLGPKPAASLAATSARLRDFAKLLGVDFEFVPLLRPVHELDRSDFLVEPDEAVAVNFMLQLYHFLGDSDEPVRRVLRLAKSLSPSVVTLGEYEVSLNRAGFVDRFANALCYYKPVFESLDVAMARDSPERVSVERCMFGERIRRAVGPEEGAERTDRMAASREWQTLMEWCGFEPVKLSNYARSQADLLLWNYDAKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >Dexi2A01G0025290.1:cds pep primary_assembly:Fonio_CM05836:2A:36945905:36946853:-1 gene:Dexi2A01G0025290 transcript:Dexi2A01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRGTVGASHPSATPRHPAKQARERQRLIGMPPARRSPRRRPRSATPPPSPCHLMLLRCLAKVDNYRWYYRYATSHCTLQPLPTPCVGLGAKLLFPGQCARARHDDGGAASSTNGSARRASQPASAGASRVARLILGRLSASYNTCSKGLVGSHRTSSLFSKFQILTSNYPPPS >Dexi5B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:5B:8802740:8808198:-1 gene:Dexi5B01G0012440 transcript:Dexi5B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVPSAAATDAPPTLYAAAPYPERLREALTALSQACDSGVSDASEAASFTVSDTLDAAAAAIAMSAEADDGSDDAVARISEELLREVHEFLARSSSNQMAMDALSLVLPKPVAKLGAQSGGCWDIATAVLKFFVTNCSPRDMLSILCEALDAPIELPNGLSSFVLLLNALAEVLTSIQRRHVEQVKVALPAVLKVMRATVSECDEEHGKAAVDLFYAAYGIGNAIQEMCKSVVNRNKEDLCAILGLYSLQNIALVSRSRQHDILSACSIVLQHFRLIKFSGFSYLGLLTGSDVTSAMDKLSKEDDADFLEYFSFAMDGAALTGVMIVSTTEISFYHVFCSYAPWLHVSPVCFTAVWTYMHDDVSKYAGEELELALKVVRDNHMKKWEAINMLKYVLSSISYPWIIKSHGINLLLSLVGENNVEEISNHADLTSYAPRIFATLKAIESVMMAAPEASMRKKAFAALKKASTHDSFFFSMRGNLLVISMVPSSQRFDILQALVNNSMSPSLVISALNLLRFILIIDSRGPRPGKLFQKETLHKVHSEWLIPLRPIVAGIQSENERDDSEIANQIVCLLNPVQLVLHRCIELVEEKMKGC >Dexi4B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:4B:7860108:7866008:-1 gene:Dexi4B01G0010520 transcript:Dexi4B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSKRRVAEGDDTEREEESSEEYEVEVVRDHIASSRGSRLALFGSDLRLDRFRPRRRRRRRPLAGDGAAHGFFHDLVIHPDNRWYRLWTKFILVWAVYSSFFTPLEFGFFRGLPRKLFFLDIAGQIAFLIDIVVKFFVAYRDPDTYRIIYDPTAIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRVMKVTEFFWHLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYAHFREIDLAKRYITSLYFAIVTMATVGYGDIHAVNIREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYVESVPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETLLMLEPESTFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSEGEYGGRIKMLESDITFHIGKQEAELSLRVNSAAYYGDLHQLKSLIRAGGDLKSTDYDGRSPLHLAASRGYEDIVQFLVNEGVDINLTDHFGNTPLLEAVKQGHERVAALLFAKGAKLSLDNAGSHLCSAVAKGDSDFIRRALAYGADPNAWDYDHRTPLHIAAAEGLYLIAKMLVDAGASVFATDRWGTTPLDEARKCGGRTLAALLEEARVEELSKFPERGEEVRGKMHPRRCSVFPHHPWRAGGEERRRKEGVILWIPHTIEGLVASAQEKLGVPGERSRMRLLGEDGARVLDVDTVSDGQKLYLVGGEDDDQQDGE >Dexi6A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:6A:26068083:26069244:1 gene:Dexi6A01G0018320 transcript:Dexi6A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAATKPAAIFIAKHHPVPKPRARAAAAAAAGPCSCSERAKKSRSDRAPWRIAVASSDAEADGGEDEAEAGQAAPERARGRWARLSARRRESVRLPDGVTGGDVGEFLRHPDAVVSLLNTGALESFSPAVGSAEPGTFTCALRRIGFLGFEVAPVLDLRVAPTSTDCTIEMLSCRFEGSESIEQQNELFSAFMSNRITWSDNGEEPCLDIDVSLEVTLEVYTKPFSMLPLSAVEKPGNLY >Dexi6A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:6A:24645831:24646172:-1 gene:Dexi6A01G0016770 transcript:Dexi6A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLTLGQLHAELRPPPVPESRIPRPPIRHGRKLDFRLPWLRLCVPLPLGLQIHTRAPEYSSLDARAELRRGRRGSAAPREGLATRPGCSDESQSRRKKEIVRLGEKIGPAKR >Dexi8A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:8A:29537363:29552784:-1 gene:Dexi8A01G0017700 transcript:Dexi8A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPRPTGAALHVRLVALADNLLASRYLWGPWRSASRRHVLVALVGGLPAFRHCRYYPWRPTSWSHAALCAQWADWRCRLSSPGTSWRRRSSGTPCFFRGLAASHFCGSSKRCDVADLWCHVPSGCSCKPSKAHAVGCGVIVATLVVAIFSLVWLLGSGSFGESHAQPVLVPTTVTFLDAALLAGGVVYSSPSSNLGDLRAKALNRFWPDGNGGVSDVVPYLLLCCHRYATPRRRPRLAARRLSTAAAAPCLYLDPPQAPRPPPLPHPPQASASPFHPLVSHPHPAVAAFPHAGFSGGLADHPLLARAVHGLAVRRALPLSAFHRNTLLAFYFRHRSSPAAALHLFDEMPHRTSSSWYTAVSGCVRCGLDATAFDLLRRMREYGVPLSGFALASLVTACERRGWEEGVAWGAAIHALTQRAGLMGNVYIGTALLHLYGTCGLVSDARRLFWEIPERNVVSWTALMVALSSNGYLDEALVAYRRMRREGVTCNANAFATVASLCGSLEDEAAGLQVAAHVVVSGLQTHVSVGNSLITMFGNLGRLQDAERIFNRMEERDRISWNAMISMYSHAGACGECFAVLSDMRHGGVRPDVTTLCSVVSVCASSDHVDLGSGVHSLCHRSGLHSSVLVGNALVNMYSAAGRLDEAESLFWNMSRRDVISWNTIISSYVQNDNCVEALQALATASLASLEEGMQLHGLSVKCGLDSDSHVVNAAMDMYGKCGKMDDMLKMLPDPASRPTQCWNTLISGYAKYGYFKEAEDTFKRMVSVGRKPDYVTFVALLSACSHAGQVDKGINYYNSMASTFGVSPGIKHCVCIVDLLGRLGRFAEAEKFIEEMPVLPNDLIWRSLLSSSRTYKNLDVGRKAAKNLLELDPFDDSAYVLLSNLYATNARWVDVDKLRNHMKTIKLNKRPACSWLKLKDEVSTFGIGDQSHVHAEKIYANLDEMLLKLREVGYVADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGSTIRIFKNLRVCADCHVVFKMVSMVYHREIVLRDPYRFHRFKGRSMEAPLGPLPSLLPKLGELLFGEYSLQKEVKGGIRFLQSELESMQGALDKISGTPADQLDNQDKIWANDVRDLSYDIEDIVDTFMVRYKGRKSANQHGFKKVIDRSLNLLMQPKIRRKIATDIRDIRTRVEEVSKRRDRYKIDGVVAQPVTTAVDPRLLAQYKKAKALIGIERARDELIKIMTEENEFPLQQGKIISIVGFGGLGKTTLASAVYEKIKARFDCSAFVPVSQTPDMKKVLNAVIYDLSKQTNKETLDERQLIDEIKVFLLEKREIQQKDVDILGKLPNLRSLYLNVDHENLGIGLERVVSIGACSFPSLKECSLWGFSGVVVFQQGGMPLLTCLRVQLLMQEAEQITDTFTVVKFDHGYLPSLQEFYIDIRSRGIRLAVTDEAMVHRISSVMYYYAWRRREVVSGLVVLLSGHAAIGAAMDVGRAAHTAALGLLWRAMFSGDLDAGTASEVSDIVGEASVLAGGPNVSDFFPAVVAADTSKVFAGGCHGW >Dexi4A01G0006660.1:cds pep primary_assembly:Fonio_CM05836:4A:4771600:4774290:-1 gene:Dexi4A01G0006660 transcript:Dexi4A01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYHDLKDAAAGDLGYPLVAVCIDKDKNSQNALKWAIDSLAQKGQTIVLVHVNTKGTSGGMEDAAGFKQPTDPHMKELFLPFRCFCTRKDIQCKDVVLDEHDVAKAIIEFTAHAAVEKLVLGATARGGFVRFKADIPTTISKGAPDFCTVYIVNKGGKVSSQRNSIRAAPRVSPLRSQIQAQAQTLSAPKPEPPSTHRWSSSSRGSDTSETPRVDNFRSPFARGGAGNTRRSYADLSHMPMPDSADISFVSSTGRRSIDHHPAIPPRMSNSSADSYDHSFEMSRTPSKWGGDSFGGGMDHTSFSQTSSSSFCSSLGTDDVEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKMEEEQKTQVTEDSAMAMIEREKARAKAAMEAAEASQRIAEMEVQKRITAEKKLIKEAEDRKSRGGVSHEVVRYRRYRIEEIEQGTDRFNDARKVGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRGGGSGGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLTHHVGHALERGALQDMLDPAVTDWPVEEAQCLAEMALRCCELRRKDRPDLGTVVLPELNRLRALGEDNMQYCGAIRGGGGGGGMNSSPFYSNISRSSHANEMMSDSSQYPRSVFSSRASESPIPPRRSNV >DexiUA01G0017160.1:cds pep primary_assembly:Fonio_CM05836:UA:36300125:36300331:-1 gene:DexiUA01G0017160 transcript:DexiUA01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEVALQVASVAVVGFLAIANGTARTVVVSTAVLCFAASRWLANFIEKNFYFQDYIHAYK >Dexi1B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:1B:6590659:6591597:1 gene:Dexi1B01G0007930 transcript:Dexi1B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIMLFTFNILREKIKTQKSSGQHSSIKRDEEESNCESTKPRKVGSTPSYSFTFKCDERSEKRREFYSKLEEKIHAKELEISSLQAKSKENEEAELKMLRKSLNFKATPMPSFYKEPTPAKVEVKKEMFWFLSS >Dexi2A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:2A:4195353:4197776:-1 gene:Dexi2A01G0004600 transcript:Dexi2A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAISVRLHHPAAVAGRHVATAGRRARLGVIRAQSVPAAAAAALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLAAGKLEKIVGVPTSKRTYEQALSLGIPLSTLDDHPHIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVIVDETKLVDGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRLEGDKPYVTDNSNYIVDLYFKTPIKDALAAGKEIASFEGVVEHGLFLNMASSVIIAGSDGVSVKTK >Dexi7A01G0020400.1:cds pep primary_assembly:Fonio_CM05836:7A:29204537:29206050:-1 gene:Dexi7A01G0020400 transcript:Dexi7A01G0020400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVGIRAPRRAWGVGFEKTQRGAYCTGSVPAVRFTADKLPQRLVLGTDPRRSTGPVTRAARLRPSCCKKSAVISW >Dexi9A01G0030880.1:cds pep primary_assembly:Fonio_CM05836:9A:35763766:35764845:-1 gene:Dexi9A01G0030880 transcript:Dexi9A01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESTSTPSFTAASSPAMMSMMEQRLRMARWAWGAIPDAVPSALPLTLAFSTKLPAAVLAVWVPCPASSTGGAVEFTAALPNARAPMILLLHPPPAMDLNSQVPFHPLGGGWMPSSPKEGWLGRIPVSKIPITTPWPNPERLQNPSLPRWRPRKPGERVEHLRVEARAPVRGFDGLRLLVRQPRREAGEHVGVGVDDPRAVVAVVACVRVEAGGRPRQEGAVPLLDGSAAAGGVPRPEVDDFLRSWRYAATRGGWGRRSSREARAMHQPGFSISRSGAAAEEQAGQPVAMWVGWKGVTGRGVACVAGDEAIRASFYGWGGGTGAAAGSLLAASGCCAAKCGGLGGVE >Dexi4B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:4B:21578450:21580932:-1 gene:Dexi4B01G0019320 transcript:Dexi4B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKNNIKALGDRLDKLSLRPTRRRCTHQDPVVWGLISMVDAERRLLANALEDVDNQFFVLLSDSCVPLHSFDYVYNYLMGTNISFVDCFKDPGPHGTGRYSIEMYPEIDERDFRKGAQPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYSAADVTYDLLKNITAIDENFHVTSDDKKLMMQKPCLWNGSKRPCYLFARKFNPEALDNLLKQFTSYTSV >Dexi2B01G0023880.1:cds pep primary_assembly:Fonio_CM05836:2B:33336455:33337820:-1 gene:Dexi2B01G0023880 transcript:Dexi2B01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPAIIRYAIVLHLLLRPPCATGTGDRLVPGKPLSPGTTIVSEGGSFALGFFSPTNSTPSKLYLGIWYNDIPRLTVLWVANRENPAMNSSTSALPSSPALSLTNASNLVLSDAGDRVLWTTNVTGTASNASAAVLLNTGNLVIRSPNGTTLWQSFEHPADTFLPGMKIRMNYETRTGERLVSWKGPDDPSPGRFSLGVDPDMFLQLLVWNATVPIWRSEPWTGYFVSPGYRAIDGVIVYLTVVNTKEEIYMTFSLSTDAVHTRYVLTDSGDYQLQTWNNASSAWTLFIDWTSGPCNRYSYCGLNGYCDNSNTPSTSTCKCLDGFEPTSMEDWKSGRFSQGCRRKEAVRCGDGFVPLKGMKSPDKFVLVENRTFQQCEAECAGDCSCVAYAYANMSTSRREGDVTRCLVWAGELIDTAKIGEGQGDVISDTLYLRIAGLDAADFLPSVLSY >Dexi9B01G0010390.1:cds pep primary_assembly:Fonio_CM05836:9B:6461388:6482102:-1 gene:Dexi9B01G0010390 transcript:Dexi9B01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPETAVEEVLRAAAAEVSTSSVKRRLRLFRHTLPPLVAKASESPSDAALLVDLIFQTLPIYDDRASRKAVDDMVIQALGESTFIKPFAAALVQSMEKNLRVTNPLTSFRLLRWSYYLLKWSQFATLSKGAFSRLANAQAVLCQILMNGSFRRRRTCKQLFIQLFSKPSAIYKMYIDEVRDLKISMRDSPAFLNLILDFTIKSPSLSAEYKSIFLDLYVKTILSSKDRPPEAATEAFKPLFLEIGHEDFKNTVMPSCIKMLKRNPEIVLQSIGYLLKIVHLDLSKYAMEFLPAVLHQARHSDEERRINALSIIGTLSEKSSDPDALPSMVNAIKAILGGSEGKLSLPSQRIGMINALEQLSKSPPKQIGRLAPSVSSFLLKCYKDDGIEEVKLAILSALGSWSLVTAEAVQPDVVSFIAAGLKEKDTLRKGHLKLLRVICKKSDSLTKVTSLLDHLIQLSKAGFSKATQRLDGIYALFAVLRLAAVDTKADGAILKEKLWQLIAQNEPSIISLQLVILLFAFRPRLSKLADDDCLAAVDLLQSLLVEHLFRVREFLSIQSLLQVGLQCSKFDMDSSSDSQLPFVPSTEVLVKCLFLIAPYAVVHSPRSYSRLILCSHHPCLSSSASQAGVYKRLQRRLRQQQIVFVDLITPNISVICKDLLSHDGLFSSNKQVQSAALCSLSTLMTITPNDTFLEFEKHFIGLQERTLHDSLSENDIKIFYTPEGQLSTEQGVYIAEAVASKNTKLAKGRFRAYGDQDADTARSVVPAKTEKRESSGTSKRETGKSTKKTAPVDKAKTAKEEARDLLLKEEASVRTKVGQVQKNLSLMLDALGELAIANPIFTHGQLPSLANYVEPLLSSAIVSDAAFRTMLMLARCTAPPLCNWAPEIAAAIRVISVGDFEMILDLMPVIMEEDSKKPSSGLFEQIVNGLTIACKAGPLPADSFTFIFPVMERILLSSKKTCLHDDVLHILSMHLDPILPLPRPRMLSVLYHVLSTVPAYHPSVGPMLNELCLGLRSHELAQALVGVYAKEVHVRLACLNAIKCVPMHAVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDIITDYSGIFDALSHKNYNVRAASAEALAAALDENPDKMQDALSTLFSLYIRDLGPDIEFGDTHWLGRQGIALALHSIADVLASKDLPVVMTFLISRALADPNADVRGRMINAGILIIDRHGKENASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVIEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEGQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFEISSLKKYGIAATLRQCLEDRMSAKSREGALLGFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVLAVREAAECAARAMMSQLTGPGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALKEVGSVIKNPEISALVPILLAALTDPNDHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVETKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKASFLYCATPQKRNCSVLVDPIPEVRAVAARALGSLISGMGEDIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGHDYFDRILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYAASSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQASLHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSLERRQVAGRSLGELGVCIGLSEVMGSAGKHQLLSFMDELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSATALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVLLVIDEEGIEMLIPELLRGINDSQASMRRGSAYLIGFLFKNTKLYLVDEASDMMSTLIILLSDTDKATVSAALEAFSRVVGSIPKEQLPTHIKLVRDAVSTARDKERRRRKGVPVLVPGLCLPKALQPFLPIFQQGLISGTAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIIAKGGIALKPFLPQLQTTFVKCLQDSNRSVRTRAAAALGKLSALSTRVDPLVSDLLSMLQSGDESVKESVLSALKGVIKHAGKSVSAAIRSRGCDLLKDLLQADADDVRSCAAKVIGTLSLYMEETEISDLVQILLNLSTSPEWSTRHGALLGFSSIAMHSPSKLCHLASFPSLVDLLKDSLKDDKINHSALATHHSILGPAIADALKDPSMPVRLAAERCALHVFQLTKGPDNVAAAQKYLGMTSLEVKKIAKLNEESDGSESSDNDKRA >Dexi5A01G0008980.1:cds pep primary_assembly:Fonio_CM05836:5A:6743545:6745321:1 gene:Dexi5A01G0008980 transcript:Dexi5A01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWVQSHLNVGLVVGVLLVVLTYLVVSEQAASGRIRVVTTVAQWIVDKQVNEGSSETVAIPEAEQIVDKQLIQVPSETAEKPTTTTKVLCSTDERLSDYCELEGDIRIRGRSWSVDIVPSTWSERHEWKIQPYSRRTATHVDKVNVTQLQGPPSSAPACTVTYTKPAIIFALGGYSGNIFHDHADVLLPLFYLSRRYAGEVQLLVINRVQPWWLGKYALALTRMSKYDVVNLDGDTHVRCFRHVTVGLRLHKDFGIIPDQVPGGVHLAMPDFTRFLREAYTLPRDAAASLAKEPNKKPRMMMIQRQPYRRFVNEKEIVAAAEAAGFEVVVTELHLDAAVEEKARLVNSFDAILGLHGAGMTNEVFLPPGGVLIQVVPWGNIDLMARVEYGEPATEMGLKYFCYNITVQESSLLEEYGPDDPVITDPESVHRRGWMALYNIYLTKQNVRLDIARFSLTLKEAMDHFRQRQ >Dexi9A01G0022240.1:cds pep primary_assembly:Fonio_CM05836:9A:17304292:17304952:1 gene:Dexi9A01G0022240 transcript:Dexi9A01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNYKDAPPTAIDLFKDLHYSSKTGLSEPVREAVEQMKAIMAEHTTEGQDPKTPSEAVAQVLPSSKFIQNVGFEIKASKKTTATAARVQELEAEVQAEREDAAALRCQIDYQRNQLEDLNSKFKKL >Dexi8A01G0017840.2:cds pep primary_assembly:Fonio_CM05836:8A:29963529:29963983:-1 gene:Dexi8A01G0017840 transcript:Dexi8A01G0017840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTALFPTAPSATQPITEQELIAAVAAAVAAKRKVKASTRYSHSIPKLVCPGGNDGTIISTVHLNRTVRIDPEKRLMTVESGMVIADLMRVAGEAELSLPHTPYWYGLTIGGVLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPPS >Dexi8A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:8A:29962090:29963519:-1 gene:Dexi8A01G0017840 transcript:Dexi8A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELNAGHPDLDAAKVSLGVLGVISQITLAMQPLFKRSVTFVDRDESDLPAKVTVWGHLYEFGDIKWLPQERKVVYRKDDRVGVSSPGNGLRDSPIFRASPTSTIVAARAEAERLEKNGTDGERCQAQRQLAATEEKEAYGFTNDGVNFTGYPVVGLQHLMQASGRATHK >Dexi4B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:4B:22643779:22644677:-1 gene:Dexi4B01G0020470 transcript:Dexi4B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLGLRLACFAVVFAAASATQFRVGGQKGWTVPDAGFEPYNTWAGRLRFQIGDQLPVFVYPKETDSVLLVEPAAYNACNTSSSVNKFDDGNTVFTFDHSGPFFFISGNESNCRANEKLIVVVLADRTPPGAPPTMSPPSPAPLPSPSSPPPAAAPAMSPSSPPPSGGSPLPAPAATPASPPSPATSAPAPAATPGSPPAPPMAPSATPGGTSQPPSASANAPGGGGSGSTTPPPPSAAAPVVTGLVGSLVAFIGYAMIAA >Dexi2B01G0028970.1:cds pep primary_assembly:Fonio_CM05836:2B:37465753:37467959:-1 gene:Dexi2B01G0028970 transcript:Dexi2B01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLAVALLLTLAAASARASDVPSVPLSQAQSPSNSSSPSNASSPPCHLDLSAELFGGVAAACGAGGGPSSLDRGRCCPVLAAWLFAAHARTALSVPPAPAPSGVSGEEGLGPGGEDGPMVPYDNQRCVDALGAALEKRGVALPSPNKTCDTVLCFCGIRLHQIGSLRCPAAFAVVGAAAKNATPTAAVKDLETSCRNASYAGCSRCVQSLQKLKGNVSREVSGGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYTAHPTESGTGGSPPRCSPDQENMPLAVDSLQFEHIGSTSSAAGTSSTPQVFHVLLGLVVLCLMMIRSRDTFL >Dexi5A01G0034300.1:cds pep primary_assembly:Fonio_CM05836:5A:36407566:36408393:-1 gene:Dexi5A01G0034300 transcript:Dexi5A01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSFSRSTSARLGARGGVGSPRVSTPGAAHRNWWAAAAPSGPSFERIAFAFFLASLALVLCCALYLYVFSHPGRDGVVAEFAGENLESCDVFDGIWVPDERYPLYNSSRCPFAERGFNCLANGRKDADYLKWRWKPRGCDLPRFSARAVLQWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPKTVYEVNGNQISKTIRFLGVRFESFNLSVEFFRSVFLVQQIPALRHARRRVRAILKLDKLDDLSREWADSDVLIFNSGHWWTASKLFDM >Dexi1B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:1B:23529410:23530818:1 gene:Dexi1B01G0017160 transcript:Dexi1B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVHHLHHQLQQQQAMELPPGFRFHPTDEELITHYLTKKAADPRFAPHAVGEADLNKCEPWDLPARATMGEKEWYFFVVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLDGKHAAGNSSSSSLIPSIRAGASKEEWVLCRVFKKSIEPPPLVAGGKRSSSSGASMEAEGVAGPSMASMVDDLAACVLPPLMDVSGGNGAAMSLSAAPQHVTCFSNTQLEGQYLNPPYLPLSAAVGPAAAQAGTMAAAVDQLAMAASSSTFLASMMQQTQQYDGGIGMVHELLQESGGWYSKLGEMERLSGGGGASQDTGITSEVNPGEISSSRQHMDREASLWGY >Dexi9B01G0041040.1:cds pep primary_assembly:Fonio_CM05836:9B:41523361:41524149:-1 gene:Dexi9B01G0041040 transcript:Dexi9B01G0041040.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLHPYIADLPNDTTAEGVTSSGLPITVTFRPARPARSPLLSHFCVHCPGLEFRRTALKIVAPDADLVLLCLPTQPGGTVSILDWDYFVYNPRAQRLDLLPNLHPNCLDDSATALVSEGGGRYVVAALSEWIITNPEDEWITKRLSLHEFERDRVIPLPDALGDRLYRETGKTITVGGEHGTVAWVDLWRGIFLCDVLEECPVLKDIPLPVPARANWNRLLNRCDPTYFRDVT >Dexi9A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:9A:15874285:15876214:-1 gene:Dexi9A01G0020840 transcript:Dexi9A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITGSADHSDQWRRKAWGHWGLEWPQAWT >Dexi5A01G0037330.2:cds pep primary_assembly:Fonio_CM05836:5A:38727082:38727848:1 gene:Dexi5A01G0037330 transcript:Dexi5A01G0037330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASTADGAEPRRRGWARVRGLGGPHSGASTTGLPQQQGHEAESPSAGRRRGCKVAPEPGAHDEEAATGPALRPMPGSPSFRYYCQKTAFVDKIVADADDGEGSVRIRATSRQASNGDEVTTANAQESSQVSEPKEGARWLRFRGLSMVATAWHNLFSRHTSKPSPPPAAVRPHV >Dexi5A01G0037330.1:cds pep primary_assembly:Fonio_CM05836:5A:38727082:38727576:1 gene:Dexi5A01G0037330 transcript:Dexi5A01G0037330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASTADGAEPRRRGWARVRGLGGPHSGASTTGLPQQQGHEAESPSAGRRRGCKVAPEPGAHDEEAATGPALRPMPGSPSFRYYCQKTAFVDKIVADADDGEGSVRIRAALVLKFLGSSFSSSALQPRRVRQAMGTRSPQPMRRSPVR >Dexi5A01G0038300.1:cds pep primary_assembly:Fonio_CM05836:5A:39340302:39342406:-1 gene:Dexi5A01G0038300 transcript:Dexi5A01G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGEPPAAAAPSPPPVDSSIGPQPRPPSPSRDIGAAPQPTPPPPAPNQNVAPVNSSNVSLPTPSLDLPDVADLFAPPAENASRKRESNGSAHHDSRSKFPRVQPQPQAIRGAAGNTLIPPQLRGRSNVVTEDMSKLFVAKRKE >Dexi6B01G0007660.1:cds pep primary_assembly:Fonio_CM05836:6B:8925805:8928921:1 gene:Dexi6B01G0007660 transcript:Dexi6B01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEQNGLKVVVVQEIIACYLLDFVWAWDPRRSRIRCRRQRQRQICQRSTRHHQIRHWVSRNQIQHRRHLCRTTTAFVLLLALPPWPHPSPLTHVTLRPHRLGGEGGSWAGVEARRWRRCGRAMGRNMGGGGREREEGEGEKGGGSAGTRERHSADLGE >Dexi9B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:9B:8945952:8953545:-1 gene:Dexi9B01G0013280 transcript:Dexi9B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAAAASAGGSGSGSETSSSSAPSTPGRPGTPTAAPNQNQAVVGAAGPRPAPGYTVVNAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVNDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNAANHAAASAATNLPAGRAPGRYAYNDERARQTTSESGPDGAVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEQSPDSTSSSKHSSLIKPDSVLSSNMAAAPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAAFIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPEASPDHIEDTWMQELNANRPPTPTRGRPQAVANDRGSLAWI >Dexi6B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:6B:19786123:19788216:1 gene:Dexi6B01G0012180 transcript:Dexi6B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLLKQTKLAHQAYRPLQTGKPTQQSWSYPTSFWFTCRDAEYDWIAELVTPMECFVRSMLFLAVNQIIQKNPTQPAGGHKIAKNPPAAANDIRTETMTALAVATSRKNMKIRRPVNAISVMVPTYHNRNE >Dexi9B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:9B:1287729:1288089:-1 gene:Dexi9B01G0002260 transcript:Dexi9B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAALTILLAMAMATILSVHGTRTLERADQVTILEVFVGGRAPFAPSLLMVHLKKRQLAPSQQDAKPVIVTKRHQCLSLWMTSLKKQQVAPSQRGTTPFFGP >Dexi2B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:2B:7999911:8000525:1 gene:Dexi2B01G0007790 transcript:Dexi2B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGLQAALFFSTFFLLVLPYASTAAAAASSSTTLENECERYAAGDKGSNDYCLWKLRRDNGSATADARGLAAIAAMMARSTAKATRERIIAGMTTIQSANETTTVSPTAAARRDCLAACAAEYAAAVRRLGHAARDAAGGGGGAAGLRRAQTLLAVVAGTPARCDATFAAAGQSSPLAGADFGLDDEVELAMALLPSPPLTD >Dexi2B01G0001740.1:cds pep primary_assembly:Fonio_CM05836:2B:1261373:1264864:1 gene:Dexi2B01G0001740 transcript:Dexi2B01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEPAGAPLEEPQAPPPPNPDPNPSEDAAVEGAEEEEPKTLEHAQELFDRGSKAIEDEDFVDAVDCLSRALEIRTAHYGELAPECASTYYKYGCALLYKAQEETDPLGNVPKSASNEESVKSTTAKDDSESSKASGSNAEDDVSSDKVAEEAITLCKSRIQNLKASKDALLAGKDGEESAAEGGSEKSSPDGEIEQLTGILAELEKKLEDLEQAMSSPSPAMDELMKAIASRETAGQKVTDGMPRAASFTSSQMAASSNGFDSVMSTAATTGSTGSTVTDLGVVGRGVKRANIKPISAEPAPKKPALDSASAKGDSGNSSDALPTTQNGDESVSK >Dexi7A01G0011580.1:cds pep primary_assembly:Fonio_CM05836:7A:22011692:22014807:1 gene:Dexi7A01G0011580 transcript:Dexi7A01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISISTMSLDVPVTAMDTKKGENRRLAYAVSAMQGYRENMEDAHKVDLNLDPRTATSFFGVYDGHGDITLYTFMSTDLLFQSIARNTCTLSFAKMQDFVNDPVAAIQRTFLRMDTMMASRKAGKELCEYGAGSEYWDNCKKEIRAARFTFCGQAIVLSTDFKPSLPGERERIENAGRTVSAPAGRGNIERIDGEIAISRAIGDLAYKNVEGLSAEQQAITAYPEVRTEAITHDDQFLIIACDGIWDCLSSQQAVTFVNMYLNSNVGLSVICEALLQHCVSVPSGRDNMTVMLVRFKNPPPAGPAA >Dexi5A01G0029670.1:cds pep primary_assembly:Fonio_CM05836:5A:32693740:32694626:1 gene:Dexi5A01G0029670 transcript:Dexi5A01G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRTTRSWDFLGLNHQMPSELLHGSKYGEDIIIGVIDTGIGPESRSLNDEGYGPVPARWRGECQVGEPWDISNCSRKIIGARFYTAGMDEETLKSDYQSPRGVNGHGTHTASTAAGSIVEAASFHGLAAGAARGGAPRARIAVYKSLWGPGSGSGSSATVLAAIDDAIHDGVGVLSLSIGAILDTNSFGALHAVQKGITVVYSAGNDGPMPQTAPWVITMAASTIDRSFPTVITLGNKQQIVVQNTRSSNG >Dexi2B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:2B:4010234:4011561:1 gene:Dexi2B01G0004410 transcript:Dexi2B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILATMVVGPLLSMVKDKASSYLLDQYKVMEGMEKQHKLLKRKLPTILDVIADAEEQAAKHREGAKAWLEEVRKVAYKTNDVLDEFKYEALRRKAKADGHYKALSMDVIKLFPSHNRFVFRHRMANKLQMILQEIDVLIAEMNAFRFKFRPQPPMAMQWRQTDASTPTDCVEIASKSRDQEKRYLVDRLLAQARSKDLTVLPIFGMGGLGKTTLAQLIYNDPEIKRHFELRLWVCVSENFDVNSLADRIVKENGCEASGSSALDKLQNAVSGKRYLLVLDDVWNRDEPSKWEKLKSYLQHGGSGSSVLTTTRDEAVAKLMMGTSTTQEAYKLESLDDVSIGKIIKKKAFGSKQEKDRPSDLVNMVCEVAKKCAGSPLAATALGSLLGL >Dexi5B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:5B:2937521:2937852:1 gene:Dexi5B01G0004350 transcript:Dexi5B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSSSMAYSWHMNKRPIDPFATYIWSNAPTPRCKHFLWLPHRVRLPSAALLHRRNIVDHDQPKSHPAPMPQSKESLAIAQLAAMLVILPRSLGSGRRPC >Dexi3B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:3B:836440:837908:1 gene:Dexi3B01G0001100 transcript:Dexi3B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLASITLATRPPLLSNVPAEARIALPPASTPAPRRPGRSDRLASNPLNLTVRPSRKGEVLAMKRLGFLGSQTRDGSDIKAARKEYHRFFTETMDSPTSLHSGTSFPPHGCTSTYKRSTEKGIPAHNPDSTLASILLRPWPAALSTARFGCIDEGVQSTVNLVRRVYIKTANDRMVKLEQQDAMISRWPPSVVMVMDSDHSPFFSAPEHLLELILKSL >Dexi4B01G0021760.1:cds pep primary_assembly:Fonio_CM05836:4B:23646939:23652077:1 gene:Dexi4B01G0021760 transcript:Dexi4B01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRLPAPPAVARWAPPPSPPVPASSAAAGQRVRPPRRLAARRAKGEETPEPVEAQAPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWGDVFNRVYKDKAFDNIVISPGPGSPACPTDIGEIASSSVVYVCGYFWSVVTYLSWVSALATSEIEHDGCYLFNSVPSGRNSGFKVVRYHSLVIEAGSLPDDLISIAWNASPNLLSYLESDRTNVSTFLGSLDTNFMAIPLEHSNSGGKQSSISNGNDNESDGSRVIMAIRHSSRPHYGVQFHPESVATHYGRQIFQNFEKMTRDFGLHSSWLQERKVHGIGKLEKSQVNSAGQFGSISQDLSHAERLELWEPNRAHILAKGAIRKKRLRLRWKKIDNFLCSTVGSEDIFAVLFGQQSGEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQMTFHLSGQRANCGGTLVSRDAYGSTANNFIKEGFLEFLNKDLQSIEYNEKDYEGLPFDFHGGFVGYLGYGLKVECDASSNQAKSSTPDACFFFADNSVVVDHRLWFESQFSCASFSLLHVLNFNSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKAVHTMVSTIRGTKKSNLSPVECIKAAFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNHTFDLNIVIRTVILHDGEATVGAGGAIVALSNPEEEYKEMMLKARAPTKAVEDCAQTIYSSDRSDSMQATTS >Dexi5A01G0026360.1:cds pep primary_assembly:Fonio_CM05836:5A:30025552:30026527:-1 gene:Dexi5A01G0026360 transcript:Dexi5A01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPRRGLRNPRIPERSPANGGGAASEGSGVAVSPREEEEEGSEAAGAAAAAGRRSDAAAAERSSAVPGRRGGGGRYSDDEREANGSARDMMRAMPEL >Dexi3B01G0035080.1:cds pep primary_assembly:Fonio_CM05836:3B:37713341:37713867:1 gene:Dexi3B01G0035080 transcript:Dexi3B01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIWLKEYRYFKDKSDAINSNTGISSDLTELLQKWLCPGQKIAVGKPEYKNIIEAYLEIPCLYDPAVMEVMLGVKNLMRSLLPQEIRTKEDRPQMSQGLKTFLKGYGLEVEPEMVSAALAFPDFQHYI >Dexi9A01G0026470.1:cds pep primary_assembly:Fonio_CM05836:9A:29449262:29449698:-1 gene:Dexi9A01G0026470 transcript:Dexi9A01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLVSARSSLVATLLLLLSVAAAATPQATAATACHNDIVALRTTCYEYVQEGGRTIPPSSNCCATLMGLTNVPCVCEYLGSDLDVDMDKVFYVGRHCGVAIPRGCGGQMI >Dexi9A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:9A:14709764:14710255:1 gene:Dexi9A01G0019790 transcript:Dexi9A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKIVVALIAVLALLHVSSAAARRHGKPPGPCDDAADLAVDVLRHNCAPARHGGGGIPAVMTVNGFRRGESGGGPSACDGHFHSDGELIVALSTEWFARGRRCHRRIRITSGRRTVEARVVDECDSRRGCRHDIVDSSPAVWKALGLDTDVGEVPVTWSDA >Dexi3B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:3B:1259344:1264770:1 gene:Dexi3B01G0001750 transcript:Dexi3B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHSLLSLSNRHTHCISSYKWKPMGFKEASSATIFCLLLLFCLGCRCLASELEATQTGTLKIDASPQLARKIPETLFGIFFEEINHAGAGGIWAELVNNRGFEAGGPHTPSNIDPWSIIGDDSTIFVATDRTSCFSRNIVALRMEVLCDDCPTGGVGIYNPGFWGMNIEDGKAYNLVMYVNSPETTDLTISLTSYDGLQNLASATVTVSGASNWTKLEQKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDSLGYYEFLQLAEDLGAAPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSLEFARGSANSTWGSVRASMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSKPLDHPADLYDFHVYTDSKTLFNMRTTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPITISSGYSGSLAASAITWQDSDTSYLRVVNFGSDAVSLTISTSGLEASVNALGSTTTVLTSANVMDENSFSNPTKVAPVKSELSNAAEQMQVTLAPHSFNTFDLALAQSKLVAEM >Dexi3B01G0033000.1:cds pep primary_assembly:Fonio_CM05836:3B:35377241:35377936:-1 gene:Dexi3B01G0033000 transcript:Dexi3B01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGWTLDLSLATAGTSAAAASERRVGWRRRTVSSLFAELGSMLPNLPTDRPATQEEIVDAATAQVKMLEEEAAILETYRAVRRGPRPGPRPEVAVAVATVCFCVRLPARPGALTRVLEVFHRRGVEVLMATVARHGGAAAVVTVTAAAAPPEVLEMISADIGAIY >Dexi7A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:7A:21845473:21846842:-1 gene:Dexi7A01G0011280 transcript:Dexi7A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGHGTLSLDSFSASAAALVKRWKEIEVDDSLPDWTWKPCCKTGGPSELEGYLALEGVYRDCGGSQEQIQENGNSDGADIVACDTWVQSSSYNVHVYDFHVVYSYSYKVPMLYFQAYRSDGQLLTLDEIKQDLPSDSLKVLSESKWTFITREEHPYLCRPWFTLHPCATSDWMKLLLEEGKVAYEEQSLRYLPAWLSVVGQAVGLKIPLELHCRS >Dexi2B01G0028070.1:cds pep primary_assembly:Fonio_CM05836:2B:36750030:36750949:-1 gene:Dexi2B01G0028070 transcript:Dexi2B01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHRAAGVPVLALLVAAAALALTTTIPSATAIPSATTEPWLGCDAQSGNYSAGSAYAANLLRLISVLQTNASNSPAFFASGSAGVGAGAVVYGLMLCRGDVSASDCFDCGTRAGQDVEQACNRTRDTALVYNQCYVRVAGVDFLASPNNTGLVHLIANISIPSGVDVGAYDAAVTQLLNATSRYAVDSSSSAAARMYFATGQLVGLDPRVPNIWSMAQCSGDLSPEQCRGCLGVLVAMWWNGSRFHLNEEGARLTGSRCNLRSALGDKFYTGAPMVKLQMNGEEAVPAPAPSTTVAGSTGGVL >Dexi7B01G0010290.1:cds pep primary_assembly:Fonio_CM05836:7B:17652251:17663434:-1 gene:Dexi7B01G0010290 transcript:Dexi7B01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLPGASKPAIAMAALSLPPLPHRAPPPAAPASSPPHSTAASLKRLCKEGDLRQALRQLTARAPPPREHYGWVLDLVAARRAAEEGRQVHAHALASGCLDEDDEGFLATKLVFMYGRCGRVDDARRLFDGMPARTVFSWNALVGAYLSSGSAVEAVRVYRAMRASAAPGSAPDGCTLASVLKACGVEGDGRCGHEVHGLAVKSGLDKSTLVANALIGMYAKCSMLDSALRVFEWLHDGRDVASWNSVITGCVQNGRTLEALELFRGMQSSGFSMNSYTAVGVLQVCAELALLNVGRELHAALLKCGSEVNIQFNALLVMYTKCGRVDSALKVFHQIDEKDHISWNSMLSCYIQNDLYAEAIDFFGEMLQHGFQPDHACVVSLSSALGHLGWLNNGREVHAYAIKQKLHTDLQVGNTLMDMYIKVVGILKHWKCSEKYRNKGLSHSKLVDEGKYYLDMMVSKYGLKPWQEHYACVVDILGRSGRTEEAYRFIESMPMEPTSVVWCALVGACRVHENHDLAVFAANKLLELEPGNPGNYILVSNVFAEMGKWNDVKKVRIRMAEQGLRKDPACSWIEIGNNIHTFTARDHSHRDSEAIHLKLAEIAEKLRKEGYKEDTRFVLHDVSEEEKIDMLHKHSERLAIAFGLISTRPGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVQKIVIKVSMSSEKSRSKAMELVAKADGVSSMGVTGGDKDQLEVIGVDVDTVCLVKCLRKKLGHADILKVEEVKDKKAEEKKKPEEPKIIVDPPPYYNYYPGYGVDATCLVNCLRKKVVRSASILLMEEVKDKEEEKKKPEEKKPEELLEWGWPGYYYPQRHPLPMFFCEEPTAGCHVM >Dexi5B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:5B:13484488:13488689:1 gene:Dexi5B01G0015990 transcript:Dexi5B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWLSRFFHYLDRYFISRRSLPPLREVGLSCFRDLVYQEIKGKVKSAVISLIDREREGEQIDRALLKNVLDIFVEIGLGSMEYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKDMVGLQEQIFVRKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISSHPELNPGIDLAVTVLTTGFWPSYKSFDINLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNISAKFEVKTIELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYEILNKEPSNKAISPNDIFEYNSKFTNKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRTFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >Dexi4B01G0023910.1:cds pep primary_assembly:Fonio_CM05836:4B:25156035:25156271:-1 gene:Dexi4B01G0023910 transcript:Dexi4B01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVSSTGSGQNKRTPAMVTEAARRRPTGCAGTHPPLPSRAAAMRRSSEVDGDGGARELEPACDGGAGEAWRWGTNT >Dexi5A01G0036320.1:cds pep primary_assembly:Fonio_CM05836:5A:37920014:37925385:1 gene:Dexi5A01G0036320 transcript:Dexi5A01G0036320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAPSSSAPAPSRKEHLEAGKKRLEQFRKKKAAKKATAVAASTEQAKPPAPDAVDNPSRITSTASSGDGLVSDVGQNQASTSSVPSAVYESGLAVSSKGAESLSNGLVSANSNIRLQQDAVSDGGSKFYGNLSFSDLVNGHHENWRGDAAQKGDEHSPDKDVQPTSKLSSSGNTNSLGLSSSIDTLPSWGRNSLFSQVRDTEQSSSYSPSTFFGNSENTYTQDYSTNNDIFGRFRATSKESSQAEQSMYASSQDYGSTFNSSKLLWFGCQLIEDLTMEKFSLQRSLQKSQELAETLATDNSALTDKFNQQAHVISQLTSDMERLQEEIQAQLLALESVRTEYANAQLECSAADERAKVLAAEVILLEDKALKLRSSELKLEKEVEGLHSEISSYRRKVSSLEKERQHLQSTVEALQEGMSLKKKLLYSKLRNIPVNEKATTAIEKPSADKRDASTATEDLDTGETSSSETLTSTVDTLDDAGTSVLRSNNVSDFPSLEEVSSSIPDDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLETQTQRLELLTSRRMANESVLTKPIDTRSINDATMYADEGDEFVLSP >Dexi5A01G0031680.1:cds pep primary_assembly:Fonio_CM05836:5A:34298778:34306391:1 gene:Dexi5A01G0031680 transcript:Dexi5A01G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRLPPMDHLRSEKMCFVQLIIPAESARVAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKASVRSSVRPALEPEIDLEELEVRLGEHEHELLEMNTNSDKLQQTYNELLEFKLVLTKAGGILASSHNHAASAERELDENIYDREVDEGNAYLLEQGVHQGSSGNSGVRFVSGIILKSKALAFERMLFRATRGNMLFNQAPAGEPVTDPISGEEVEKTVFVVFFSGEQAKAKILKICDSFGASCYPVPEEMIKQRQIFNEVSARLSDLEVTLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDCLQRATLHSNSQVGIIFHEMDTIESPPTYFRTDKFTNAFQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLILREKKLSSQILLLLLALVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARARHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSFIVKLGGLVVFAFATAFILLMMETLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >Dexi3A01G0034160.1:cds pep primary_assembly:Fonio_CM05836:3A:39412597:39414487:1 gene:Dexi3A01G0034160 transcript:Dexi3A01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAVNMDLAPFKVDIDELVADYAQGKCTSLTEFKRVWMAKKFSYIYEGRPKTNSGFFMQSLFLHCIALIKRMLDKGMFLFGYMNLLGDDGEKQVEELAALQNKRVKFACDKLFENTQAESYMHLDLRAEFELDSIKKLSKEYAEAKELALAEASQTVDIEDAKHILQSDKLLGDKIDEVVKEWDAQKEEFYETTGVQHHEPDDFDENEITQLLD >Dexi8A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:8A:19768835:19769794:1 gene:Dexi8A01G0011160 transcript:Dexi8A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFLQTINILTAFGKLIHLKYLEIMFFSPNLSEEFDFCSLISFLDASPALETFIFRIGTPTIRHDSVVEDPFGGSSHPRCFSECCHDNVKNMMITGFCSAKSMFALVIHIMERTKSLECLTLDTTRGHDRRFPSMDRCWLLNEEALVEAEKARIAIQWYIEGRVPAAVNLKVIEPCSKCTI >Dexi1B01G0021300.1:cds pep primary_assembly:Fonio_CM05836:1B:27227585:27229229:1 gene:Dexi1B01G0021300 transcript:Dexi1B01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAAALAAVLLPWLWKALVHLVWRPYAVSRAFAAQGVNGPAYRFFVGNTGETGAMQAAASGESLDRGSHDTTTRVMPYVRAWTSLYGKVFLWWSGPRPVLNVGDYDMVKRILSDKSGLYVKPDPGPSIMAMLGNGLIFSEGDVWARHRRVVHPAFAMDKLKMMTGTFEASAREVIVGAWEARVEAAATTKEATVEVGEQFTELTADVISHTAFGSSYRQGKEVFMAQRELQFMAMASMNKVHVPGLEYVPTKNNVRRWQLQRKVRGTLMAIIEERMAAATEAKGYGTDLLGLMLESNNAGDGGRRVMSMDEIIDECKTFFFAGHDTTAHLLTWAMFLLGTHPEWQQRLREEVLRECGGAGTPLHGDTLNKLKLVTMVLYETLRLYGAVNVMGRQATADVDLCGVKVPKGTMLSIPLVTLQRDEEVWGRDAGEFNPFRFKDGVGRAAGHPNALLAFSLGPRSCIGQNFAMLEGKTTLVLILRRFEFEVAPEYVHAPADFLTLQPQHGLPVVLKLL >Dexi5A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:5A:6105242:6106545:-1 gene:Dexi5A01G0008160 transcript:Dexi5A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGAINNYTEQLYSYGKKTSYNLTESLMFLAHFVGDVHQPLHVSYEEDEGGNTIIVHWYRRKTNLHHVWDVSIIDTAIKDFYNKSMDTMVDYAIESIYYSCNYAYKDVEQDITLGGLSEVT >Dexi3B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:3B:369207:371843:1 gene:Dexi3B01G0000520 transcript:Dexi3B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKERGKATMGICCSKAKDDDDEQGGGGGFPWMHDDLFHHHLWTTAAASMHTKQGWKGANQDAMTVCQDFAGNKGHIFCGVFDGHGPLGREVARHVRDTLPLKLSSALKPETEEEEPSTDTLKLRTEEDPSSNTDLDSSDKSDSTSFSDDTIRDEKHLLSTWKDILVKTFEQVDEELRQHSGVNCICSGTTAVTVVKQGDHLIIANLGDSRAVLCTRDSKDRLIPVQLTTDLKPDLPSELARILNCKGRVFAMDDEPDVPRMWLSDQDAPGLAMARAFGDFCLKNNGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVVKTVSSVSDPSKAARQLIDRAVRAWRRKYPTSMVDDCAVVCLFLNRAPGTAGDVVKAPPHVDDQAAVSSSSSFTGSFRRVLSGRGGEASSEVWRALEGGVARANSVIRLPRMLSWRRRSNSLEEDEDQQN >Dexi7B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:7B:12966883:12970851:1 gene:Dexi7B01G0005520 transcript:Dexi7B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVATARAFARNRWLVFVAALWMQSMAGTTYMYGSISPVVKARLGYDQRQVAALGVAKNLGGCLGILAGALSATRPALLLLLIGTAQNLLGYGWLWLVVSGHAPAPPLWLVRRLILFDVPAMPVEFGELENGNEMCLIIFVGTNSQTYFITVSLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYTVMRTPDEATLILLIAVGPSLVAIGLMFVIRPVGGHKQVRPSDKNSFMFIYAVCLLLALYLVGAMLIQDFLEPSYDVVVFLTVILFVLLISPIAIPVILSLTPEKAEHTMEEALLPNQLTGEASTSQEKEDLPEVILSEVEEEKSKDTDSLPPSERRKRIAELQAQLVQAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFQNAHIFVSLMSIWNFLGRIGGGYFSEIIVREHTYPRHIALIFAQIVMAAGHFLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGIKHFGAMYNFLILANPTGSFIFSGLIVSNLYEYEAEKQAHQHQTSTLLSLRNMSLFADEPLKCEGSACFFVSSLILSVFCLIGAALSLLVVHRTKRVYARLYSSVRT >Dexi9B01G0022280.1:cds pep primary_assembly:Fonio_CM05836:9B:16929217:16930566:-1 gene:Dexi9B01G0022280 transcript:Dexi9B01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLVGDDGEASRSTWDATADSSDSWYHLFVVRGYSRTKETPNGKCINSQQFRVGGYRWHIGYYPSGLKANDKDHMSFYLRLDDHVVKDVTIQFELSFIDQVDRQEPSRIRDMKSCKIRHDCSWGYPCFIRRSVLEGSRHLKNDSFTVRCDIAVLATNANTERSSSTVPAPPPPSIQQHLSSLLVSGEGTDVTFEVGGETFMAHRCVLAARSPVFRAELFGPMKEGTTRNAISIDDMEPKVFRLLLSFIYSDSVPEINYDNGHGDDDGVMALWKKLLVAADIYDLQKLRMSFDTF >Dexi7B01G0012220.1:cds pep primary_assembly:Fonio_CM05836:7B:19033976:19035785:-1 gene:Dexi7B01G0012220 transcript:Dexi7B01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKISAFFKRKETDPDPNRFLFGDGEEHWQGSGGGGGGPAEAKRKPKNGGGRGELVSKKRSYAQFHLELGQPDFVLHTCSVCGMMYARGNEDDEKVHKSYHKNYFEGIPFKGWRNEAVIARSQGGDRVTLATDENSCMWNSKVKEVITVVEKELGFGEGKLLHKLCKVYLYISGQRIVGCLVAEPIKTAHRVIPSSTEENHSDLLSNNTASSKTDHTLEFGKIIFKREVVRRNTPSVKNKEECQDPGAIICENEAVPALCGFRAIWVVPSRRRKRIGSKLMDVARKTFCEGGTLGISQFAFSPPTSSGKALACRYCKTSAFLVYKNRDA >Dexi7B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:7B:14411122:14414777:1 gene:Dexi7B01G0006700 transcript:Dexi7B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIARVAAPVHLASSARPTRRGSIGWERRRGPPRPPNSVPDPTARHHDRGLEIGDVDGRSANGAAAAAKPQAQASSRRRRGAAAAVEDQGWELLRESVVQYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGVAFLLKGEYDIVRNFILHTLQLQSWEKTIDCHSPGQGLMPASFKVRVIPLDGDEDAVEEVLDPDFGEAAIGRVAPVDSEMPMKICYPALEGDEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMDRPEIAERAVEVAERRIARDKWPEYYDTKRARFIGKKSRLFQTWSIAGFLVAKQLLETPDKSRILCNNEDEEILNALNLMADGSSPRRRRGKQAPNTYLV >Dexi9B01G0021890.1:cds pep primary_assembly:Fonio_CM05836:9B:16584984:16586814:1 gene:Dexi9B01G0021890 transcript:Dexi9B01G0021890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSCVISAFVSKERQEFLSLVNKEIKLYNSMLENGGAAGEEAKKAYFAAREEPEHDAEVDAEEIVSSALIEKDVAAAAAYLKDTIGKEGVRELISNLSKDKEGKILVEDIVRLASQTEEHNEEESRQ >Dexi2B01G0029010.1:cds pep primary_assembly:Fonio_CM05836:2B:37529062:37534158:-1 gene:Dexi2B01G0029010 transcript:Dexi2B01G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDMENGDEKSVLASVAIAMAGTRESVVVEFANILPEYVYVFPRRHHQARRGSRSRHTQPCRSSTSRSRRTASSSPAVVGCSPRRLPATPACPSGERDPNPQGPNPTLSHVRQLRNPTAAASAHSCSFLDRAAAGDAAVSVAAEVCGLLFPPERASHHRELAAAVATVERACRLCVDVKRSLFSGGKSILEKNDQTPVTIADFGVQALVSLELQRLFPSIPLVAEEDSASLRSPEADDNNNVLVESIFSAVADKVSSSGSPLTQDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLRGDDALYVLVQVSNGSFRERLCFCPSSTAGNSDQAAAAASRCLYGDCCCCSSGLGAASAANTPLEAGGQISDWSGKPLDLAADLTSCRIIYPSGGILVTNGVLHDKLVEMISANYK >Dexi8A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:8A:15810892:15811122:-1 gene:Dexi8A01G0009970 transcript:Dexi8A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGHRNRQRQEQRAERPVQGPQAPGLIQRRRQTAGGARNYRRSNRGTRGNPGRGVWMDNRRGRGPPRGRRGGTT >Dexi9A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:9A:15997833:15998068:1 gene:Dexi9A01G0020980 transcript:Dexi9A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGYRRSLGRLAGGGGGRDDGAEAARAPPEPGGGGPPVDGGGGGGISVGRRKRAAAKTAEAAGAGREVVAAG >Dexi5B01G0028140.1:cds pep primary_assembly:Fonio_CM05836:5B:29581669:29582112:-1 gene:Dexi5B01G0028140 transcript:Dexi5B01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAQEQPSPTAPRPVRCIVKLGGAAITNKGELESINEESLRSACAQLRQAMSESDGDGSPEKVLGMDWSKRQGDPADPAVDAELIAGMAGLGIDTNFIVVHGAGIARTLN >Dexi3A01G0022100.1:cds pep primary_assembly:Fonio_CM05836:3A:17669588:17670398:-1 gene:Dexi3A01G0022100 transcript:Dexi3A01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGADGERRIGVAMDYSDSSKKALGWAIDNLLRHGDTLVVLHVLHHGGEETKHALWAKSGSPLIPLSEFREPEVMKGYGVKADAEVLDMIDTAARQKQLKVVAKLYWGDAREKLCDGVEELKIDSLVMGSRGLGPIERYNPTHHF >Dexi1A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:1A:9955861:9957510:-1 gene:Dexi1A01G0011120 transcript:Dexi1A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMFENMDDQLLDAMCDRLKPMLYTEGSCIIHEGVPVNEMFFVMRGILESMTTNGGKMGFFNSNVLKGGDFCGEELLTWALDPMSGSNLPSSTRTVKTLSEVEGFALRADHLRFVATQYRRLHSKQLRHTFRFYSHQWRTWAACFIQAAWHRYCRKKIEDSLHEKERRFQAAIVSDGSTSCSLGAALYAAHFACNMVRVLRRNAARKARLLERVPSRLLQKPAEPNFFAEEE >Dexi2B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:2B:6795769:6801351:-1 gene:Dexi2B01G0006820 transcript:Dexi2B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAPPPPPPSPNPDAPAPPPRVVRAPPQRRAPGPRPWAERRPAVSVDLDRGRRVARTEVDGVRAASLPARHRLSVEGTRWQRDWKVSEAAARVLALPRDDARAVDAVLNCWAGRLARRNFPLLIREITISGSLKHAVHVFRWMKNQENYRARTDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADTYNSLIHVHARAGQWRWAINIMENMQRAAGKLTEAEYTFSSMKESGCFPDVLTYTAMIKAYSDDVRFLLLCNRLREWKIASEIIEHLDSSLSTISVGTLNHLLNFLGKCGKTESMMKLFYKMVTSCSTVGLSTYTVLLRNLLIVGKWRKYIEVLQWMEDAGVRPTLYMYQSVLPYIWRDNGMDYVILMQEKISMTLL >Dexi4B01G0010180.1:cds pep primary_assembly:Fonio_CM05836:4B:7443264:7445646:-1 gene:Dexi4B01G0010180 transcript:Dexi4B01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERSAAKATLSLPCVLVIVMAGVERFANKGVGSNLVTYLTGVVGMSTAAAAKSVITWNGVSFMLPLVSAILADSYWDRYSTIAVSSLLYVLGMVALSACALLRTRMPRYTFFVPLYLTCLGQGGYQPSLQAFGADQLAIGDDEETDSGLTAEEKGKVKGMFFRWWYFGMCSGSLLGNSIMSYIQDNFGWGLGFTIPTAVMALSVVAFFCCTPLYKHTQPKGGAGSRTSSSSCSILKVVKSFIASRKISLQSRDEERNGNGDAISELELQEKPLKAEPGASKESQEEATPSVAKIILGLLPIWAILLVFAVIFQQPTTFFTKQGMLMNHTIGAFVIPPAMLQSSITISIILLVPMYDRVIIPMINAITRSTDGITVLQRIGVGMVFSVLAMVIAALVESWRLRVSAGATAGAGEEEAAAAARLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPATMRTIGIGLYLSVFGVGGFLGAFMITALEMATARPGNKRGWFSDDPRESHLDNFYWFLALLCFVSFVIFTHLSKFYSGKDASGN >Dexi3B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:3B:11515466:11521211:-1 gene:Dexi3B01G0015910 transcript:Dexi3B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSLEAEVEEMRAALMLHGGGAGFGARRSGGAAAKRAARAEEAAGAEARTVCVTGGTSFVGFAVVDRLLRHGYNVRVALETQEDLDKLREMEMFGENGRDGVWTVIANVMDPVSLHEAFDGCVGVFHTSSLVDPGGISGYTLWFSLGKTMAEKAAWRAARGTDLKLVTICPGLVTGPGFRRRNPTPSIAYLKGAHAMLAEGLLATANVERVAEAHVRVYEEMNGTAGGRYICYDHVVRRAEEFAELQRQLGLHAHASRGPATPDERAARFELCNRKLTALMSARRRCTYDAYFPVLYE >Dexi1B01G0000270.1:cds pep primary_assembly:Fonio_CM05836:1B:328168:328434:1 gene:Dexi1B01G0000270 transcript:Dexi1B01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNQAAGGSSESSLVVTVDVTAARDLLAGDGGHRYLDVRTEEELSRLGRPVVDSKPFLNVPYMFITPQGKQASATNYLYNLLADFA >Dexi4B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:4B:1851601:1856717:1 gene:Dexi4B01G0002820 transcript:Dexi4B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAFSKDLDNIIDFTLLQTANHVLSGHGACWSDSDDPPSNFIKYDAESVEGFNKDGLKLFESVFRVRRSVVSLACTADRTRMVCSGTIVDHVDKKTWILTSASLVRKPDTLFEAYEPRDVKIQVALHNQLVEGSLEMCNLHYNIAIVTIDFRGSEHAIELSDLPECYSLQPRPVVALGRDMYSKKFQMRCGELVRMNSELDCTELLTCTCDVSEFNSFLCFQNFIGGPVMDSDRRFLGITFLYRETTLFLPVEIAARCLKYYKKSKYIFFLSTLPRLCIRGQALHMLNTYDLERLCCKYARPPDGILVQEICKVSAEKFGGIMVGDIISELDGITLYSAAQFTAILLDKMEAPSNPQDRLTLQMDPVDMVYMSSCGYGILKIM >Dexi1B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:1B:25031954:25032580:-1 gene:Dexi1B01G0018880 transcript:Dexi1B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVYLPPFPELCVDASGNFAGDQAGDDAAATATAAAAASSIDAAPASASPSASVDGGGGAPLMLPVECQWSRRVRTFDVGGAGAATVCPAARRGGKKPSLAPLPGPSLTQAPELGPTLEKRVSEWAARKTAAGVPAHHCVLPFLTGAPKAVRSIQ >Dexi6A01G0019040.1:cds pep primary_assembly:Fonio_CM05836:6A:26657084:26668608:1 gene:Dexi6A01G0019040 transcript:Dexi6A01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEERAAVAVADLASSCALQTSPLPLSSSHGCRGPLPGPLSPSGDSLGRGIGAHGGQDHHDPIVDLFLWGFLGHSVLLLESALPSDFSSISAAMSDDGDRTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTRYDKDRIVKMAATCERTVAEKNTERKHKTQKVKPKAASAASATTTTSTVEAKKHLASVRVIQRNLVYIIGLPAHLCNESVLERREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYNATNQTRLSPPNSSSGRSTLPPAASWGHRDLNARTTAPGVTLSDSHTKTKPEPQSNSFSSSSTTSSTRIPSSWNDDTNTAPTMSGGWQVSEQDSASKTLQPYKPGIAKEAQAVSSLESSLDIDFSTIPSAWNDDDIVVSDGMSKGSEDQVGNENVNLTHPVSESPISPKKDMTVNMASVSPSDFGSNAAIPKSDANASDGDCPITKITPKGPTSNDVSCQSSHAVREKILEDIGPQDTGMEKLSVEMSSVTLDGKHEDHSMVGNNQPDAMPCTPVVVVPMDQIVDKDQSHLNLDGLLPSENKDTVLSCRYVFFSGTSWSKISAPPGFSSATRVPPPGFSSGFPSQDGINPPPGFSGGISSHDGSILPPRFHSGVLSQEMSKPPPRLPSPFSSGFSSQVGANSPSRFPSSFSSGFSSQVGSNQVYSSKHSETLIQDNVLGSNRNHYRTSFGRHTSDIEFNDPAILAVGKGRMPGIGDSGLEMNNAPAFPSQLQTSNNELRFQLGMQPNVQSHQNMRFTDHMQDAFNPVSDNHLASRFLSQNHGLVSPYTQRPQQPGNSQIINGQWDGRSDLRQGSNNPLPDMSRTLYPSELNNLHMLGSNDIFNRAFGM >Dexi7B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:7B:5161690:5166729:-1 gene:Dexi7B01G0002650 transcript:Dexi7B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGDEEVGAGEPSSSAGSGGELDLPAETTESLASMVNLEWGNAACSEESVEKWTAADVFHPGELVECRVPTKDDTPSPSILGDQFVILSLNHIMCGLRMDASDFMVSVLAHYGIEWSHLTPNSITALSIFAHLCEAYLGVPPTVEVFAHFYRLYRNKKGETDTLGGVYFRLRDKMKRNYPVYYLRASQFVWTCLWFYAKLPQSCRLAFKGNALKESNNWKEELLLSSEQDKQVRQIGELSTQGLTGVDIVHDYLKHRISPLRRRAHLACNYTGPTDPTRDSDTDLSEEDIESMLSYLLDLKKTGQKEPPRRPTAPTSLIIASTNQKAEQHLDLLHVLSTLKTKNKTVEELTGPRSIRKSSAYKPLLPASPRRFTRQSSAPRKIVESPTPEIDSSPVQGHSDIEDEETLEARKTITTTASPDRGMGQKPIENPDEIEEGKRAVLLKPISSIIGEKRKSSSPGSQRKAKYSFISVMAKTRMSTLDNGCIKGTSFKKEAAAALNPGSPGLARHSHATSVEKGKKSALCLLANVVDQNKVAPDCPSNVPVHQHVRDSRHKKVDPALQSIAERDQHQEGIEESAPIQVPNPEEINSEAIWDKMVKVRCEYVSSSQTALSELLEQAKKLVIENKRMKNEHIVLEQQVKDLEENKRLLIDTTRKAEEEAAKTIAENKKLKDEIRDQKKMNDELNERNELTQGSLVQKSREVNRLEEEVAVLMKDKEQLQSRVSRANELLGLMTSTLGDGRGEGNRDS >Dexi9B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:9B:407965:410853:1 gene:Dexi9B01G0000640 transcript:Dexi9B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRKNFRRRADDAEEANGDGGSHPKPATATKTQTLTVPKPKSPPRRQVASRLSFADDEEDDDAEEGLFANRRRPTASVRPARTASPAAASLHRLTPARERHRSSPVAAIAAASAPATKPSNLQSHAGEYTPERLRELQKNARPLPGSLMRVPPPPTPLTPATEPRSQRLAGALASSTPTTSTAAATEPVVILKGLVKPMAEASIGPRKPLQQQEEDKSEEEEEGDEEGQGPVIPDRATIDAIRAKRQQMQQPRHAAPDYISLDGGGVLSSRNAAGESSDEDDNETRGRIAMYTDKSSDGPRSTKGVFGGINNRGPAASLGTLSDGISREVEDDRDDDDDEEERRWEEEQFRKGLGRRVDDVSAQRSANGAPAAAQVHPHSFGYSVDSHYQHSLSGAVPSASVFATGSVEFLSIAQQADVANKALQENIRKLRETHKTTLTALVKTDTHLNEALSEISSLEIGVQDGEKKFIYLQELRNYICALCECLNDKAFYIEELEEQMQKLHENRASAISERRVADMADESGVIEAAVNAAVSILSKGSSPAYLSAASNAAQAAAAAAKESSNLPPELDEFGRDINLQKRMDLKRREENRGRRKARSESKRLVSAEKNNDIEQIEGELSTDESDSESTAYVSRRDELLKAAGVVFSDASDEYSFLSIVKDKFEGWRTQYPSAYRDAHVALSAPSVFAPYVRLELLKWDPLHERADFFDMDWHKVLFDYGMQDHESDSGSNDTDVVPALVEKVALPILHHRIKHCWDVLSTERTENAVDAVRMVIGYLPTSSKDLHKLLGSVKGRLTEAVDDLSVPAWGSMVTRTVPGAAQYAAYRFGVATRLLKNVCLWKDILADHVVEKLALDDLLRGKILPHMKSIILDVHDAITRAERIAAPLSGVWSQQSQKLQPFVDIVVELGNKLERRHTSGVSEEETRGLARRLKNILRTLNEYDKARAISKKFQLREAI >Dexi5B01G0026430.1:cds pep primary_assembly:Fonio_CM05836:5B:28218219:28219406:1 gene:Dexi5B01G0026430 transcript:Dexi5B01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQYYNDKLESFLRSVPRQRLHSLFLDMFCVEATDVAEKLGVPVYTFYPSGASPLAVFTQLPALFASRQTGLKELGDTPLEFLGVPPMPASHLLAEVLAHPEEEIIKATVRIYERGMGTRGVLVNTFESLESRAVQALRDPLCVPGKMPPPIYCVGPLVGNGAGNGEKAERHECLAWLDAQPERSVVFLCFGSLGTFSEEQLKEMAVGLDRSGQRFLWVVRMPANVGDPMRILENQCEPDLDALLPEGFLERTKDRGLVVKSWAPQVEVLNHPATGAFVTHCGWNSMLEGVMAGVPMLCWPLYAEQKMNKVFMTEDMGVGMEIEGYMTGFVKADAVEAKVRLVMESEEGRELKARVAARKKEAETALKAGGSSHAAFLQFLLDVENLAEQQLTE >Dexi3B01G0033690.1:cds pep primary_assembly:Fonio_CM05836:3B:36532875:36533111:-1 gene:Dexi3B01G0033690 transcript:Dexi3B01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLGTVPAVVVSSAEAARDVLRTHDADCCSRPDTPGPRRLSYEHNDVAFSPYSEQWRERRKLMVVEFLSKRRIQDT >Dexi1B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:1B:19814578:19815492:1 gene:Dexi1B01G0013820 transcript:Dexi1B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHLIDIMAPRHLRNHTLAADPSPCVNPNPSTCPSGLATNVKVIEINLTENDAAVVFPLDPPWAPRWSRWWGHHDTTHRPPLRRRPAHNNLARAPSRALASSSHIDLLNPVRAAAALTSVSVSVVGRSPPCQCRSFSSAPTLMS >Dexi9B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:9B:6539536:6545281:1 gene:Dexi9B01G0010470 transcript:Dexi9B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNRFAKAEAALRGELTGRGDSNGPTTQRRVAEPKEDDEQEDSVGSNVGPKGTASVRSADSSREFIVKEIDVGALPNGSDGRKGSGIGQPQENNMGDLYPWTFSIANSTAEQLAELLVSEEVPRHKRGAMMAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDAAGTGRAETNEQGHSRDKNLVPEKEEILNGCTVKTVLPFPGENPSSSYNGTHHENERKEVKKSINADGVGKAVKGRLDEGNRQYYFEKSQDTDLVADRCFDLQLVGDSQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGAKQSSAADHAFMIGSYLDVPIGQEITSSGGRRTIGSSWLSVSQGIAEDTSDLVSGFATIGDDSLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGTDRHDRATKDEDDDQSFVEEDSYISGEQYFHGKNIAQVGNSVGPMVHGIPDNDMMAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSEQPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQETEKKRVGGKGAKHDQINYADMQKVNLPPGATDGGFSFPPPLHSGKNHDSDAKALWSKKDEIYCVNDPDEFQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASYDETEDTTNVRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEEKNFNVVLNSVIAGRYHVSEYLGSAAFSKAIQAHDLHTGMDEHLLIVCELLKANLYEFQKFNREAGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCQYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVSGYCMLIAIVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQVLSLHFFVLQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >Dexi5A01G0023230.1:cds pep primary_assembly:Fonio_CM05836:5A:27283813:27284100:1 gene:Dexi5A01G0023230 transcript:Dexi5A01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLREKILSTEARCRHTPWCQDWSCLWIRTESRIPPRRAALGVCAAMNAAGAEPGSKLELGLTRWASITKAIRGYLDGPGLQPPSSPTRPNIG >Dexi3B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:3B:10684082:10692817:1 gene:Dexi3B01G0014850 transcript:Dexi3B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELDSRRKVPVSAVEASTSHKNVVGPPCEATMNIVDDHADGDTNLVAAKIDVKSHEDLGNSRCYSTNENSDLVQPEANNILHIKDEMEVCDSAIVAQKDTGCLSSGQTMNIEESPASDRKNTCDGDSNPVYPISVGIDLPKALPSPKNDESNLETEIKNSTENINKMANKAYEDSILTNARVIEACIKRAGKRSHCNIALEKRSKSHWDFVLEEMAWMANDFVQERLWKSVAASKVCHWIASDGRAKFEEASIQRKQKTVMKTIAKGIMSFWRSAEALQTAEMEANNSTMLEGTQPSGTKAEKEQVYKPLEIKESRQPRQSQIQDYAVRFLEYNCRAADSHVLPEAPPTPDRLNDFGILKVSDHLAEENLFYTVAPGTMLAYRESLESLFVYHKEAGNAELNDDYEASVCDSAAVCSDILPENAYEEDEVGTCTYLSHMGHKKKHLMHQRISVARQYEIGTNVSYEPCLESKSGNRPLLSNGKRPTSFLARPPKRIRTAARRVVSPFHAGASGPPQVTSKTDASSGDTNSYQDDQSSLHGGSLPWRNTDFESTVDSDRQLPYDASEACTKANKKKKVKNPGYKIAQNTIKCSVPTSVKFQGHMYDPRLQVDLTNKYEQKEYLKKRSDIHQYDSNGNSVAYGGQHVSKKLKMVKQGIAISQEASPATSQMSNMANSTRLIKIIANRDRGRKCKALKVTSIGGWSNFEDQALVVLVHDMGQNWELVSDAINSIVKFKSVHRRPKECKERHKGNRQELKPIIQPHSSHVIALSQACPNRISGGTLMPLDLCDVTSPNLDSVTPGSVYPGSHGITLPNHQVSVGPSTPTSNPNSRLPGSPGVVLGSNSPSPSTLNAPRDTQKYGVPRPTLQGDEQKIQFNQMVNGRNLHQPGGSVPGAFPAGVDRGARIMPAAHGMGTVAGLNRGMPAGRPGFPRINSPAMLNAVSSGNMLTNSEQGVPNAVSVHPGAVSGPGNTILRPRNSMQTLSSADSGSTVEPVVNLQKQESSHDPDSVTPSSKLLSSPKNTFGNETLSSQDMLQRQVSGGFPVHGHGVGGQWNQQARQQLPSQHQQRPVVPGSVYAPSNSGPN >Dexi3B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:3B:13859506:13859800:-1 gene:Dexi3B01G0018630 transcript:Dexi3B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLFPEIWDALKVAADSDLALAQTIVDSAGIIISNPDLTLCYDERGAKYELPKYVLSEPTNLIREG >Dexi4A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:4A:17292354:17293717:-1 gene:Dexi4A01G0014830 transcript:Dexi4A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSISTLRVIFILGMVVCAAHAGKPAPKGKEEKSDSAAAPSGDAAGSSDISKLGAKGDGKTDCTKAMNDAWAAACGKEGAQTLTVPKGDYLTGPLNFTGPCKGSVTIQLDGNLLGSTDLSQYKGNWIEIEHVDNLVITGKGTLDGQGKKVWDNNKCAQKYDCKILPNSLVLDYVNNGTVSGITLLNAKFFHMNVFQCKGMMIKDVTITAPGDSPNTDGIHIGDSSDVTITGTTIGTGDDCISIGPGSTGINITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCTLKKTSNGVRIKSYEDAASTLTASKLHYENIAMDDVANPVIIDMKYCPNKICTKNGASKVTIKDVTFKNITGTSSTPEAVSLLCSEKLPCSGVTMDNVKVEYKGTNNKTMAVCQNAKGTATGCLKELACF >Dexi6B01G0008780.1:cds pep primary_assembly:Fonio_CM05836:6B:11080270:11083660:1 gene:Dexi6B01G0008780 transcript:Dexi6B01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALTSSAPSLRPLSSSPLRRRSSSTVQCRVSKPGKDSGADDDAPRTKLPGFFADFGKLDAKSLIPSFQSPTAGTLFAGGGRGRKDPQTVFVAGATGQAGVRIAQKLLRQGFAVRAGVPDLASAQELARLAAAYRLISPDEARRLNAVQSGFDDPEAIAKSIGPAAKVVVTVGSAEKGPEGGSVTIEDALRVVEAADLASVAHVVVVYDEGAGSGSTYNVLDGFTSFFNYIFSRVQTLTLSQFLAKVVETNVSYTLVKASLTEDYSPESSYALVLAKEGASPRTTSTTDTGKVSKSQIAALVADIFSNVAVAENKVVEVSTNSSATAKPIAEAFVAIPEDNRRKEYQEAAAKAKAEEEALASQRASEAEAAASKLEAEGKKAPAEEAPAEEAAASAINGAQASLENLLRISTDFSWGKFSTQLAQATTPGTSSEKEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKVKPQQKQPETKPEVRPVFGGLFKQETVYVDDD >Dexi1B01G0023400.1:cds pep primary_assembly:Fonio_CM05836:1B:28998308:28999755:1 gene:Dexi1B01G0023400 transcript:Dexi1B01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLALLLLLVPPVGLLAALAFLARPRAARVPLKGRHVFITGGSSGIGLAMATAAAREGARVSILARNPARLEEARAAIQRDSGRDDVGVHAADVRDADAVARALKEAGPVDVLVCNHGVFVPQELEKQDIEEIKWMVDINLMGTFHLIKAALPAMKARTRETRLPGSIAIMSSQAGQVGIYGYTAYSASKFALRGLGEALQHEVVTDNIHVSLIFPPDTETPGFEQEHKRRPELTNIMAGSSGGMKASDVAKKALDGIKSGRFIVPCNFEGALLAVATAGLSPQSSPIIAFLEVIGGGLMRFAALCFQWNWFSTIESYYAKNKKGE >Dexi9A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:9A:1242687:1245231:-1 gene:Dexi9A01G0002340 transcript:Dexi9A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAQRLLASSTKIIGVGRNYIAHAKELGNPVPKEPVLFLKPTSSFLHAGVSSGPIEIPEPLDSLHHEVELAVVISRRGRNVPEASAMDFVGGYALALDMTARNLQSVAKIPKSAVTNPDDLELWLKVDDELRQKGPTSDMIFKVPFLISYISAIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITDLIDVEFDVQRRNQSFSG >Dexi9B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:9B:13855357:13856143:-1 gene:Dexi9B01G0019260 transcript:Dexi9B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMKASLYVAGVASLLALAAALAGDFTFVVTNTASNTPGGQRFDQVVRPDYAVQVLSDASAFVLTIFNQTNPADRRPVVEVTLVVEDIGGVAFTSGSGIHLSAQYVGNYSGDVRTEVTGVLYHEVVHVWQWGLQDYGAHPGIFEGIADFVRFKAGYAPAHWVQPGQGDRWDQGYDVTARFLDYCDSLQSGFVALLNAKLKDGYSEDYFVQILGKNVQQLWQDYKAKYGG >Dexi4B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:4B:17911858:17914199:1 gene:Dexi4B01G0015920 transcript:Dexi4B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGDFVLSSVYIGSAARASKLRYPLRSASRGKAAASPATDAPPTISGPRRPKPSSDVSKSMCLDLSVKDKSAKPPRRHSIQTKPGASPRPTPSGIVTPVSGVRSRRSDSQGMFDTPTSEVSMSTTRRKFSTLSSISYWMTQIRVAEAASKHSVSLAFFKLALESECEPLDRMREELKSYVARHGLATELEDPVKEVLQVYDIVEDLEKLKISAEPSQQPKRSDKAARTATNVSPNGNLKPRSLNSEANENKEAGKKDNIQKVKPDARVRGSYNRNPAKNTTAKEVVAKTAGKKTKKQAKGQQEVSNGESEALAVGQDQDPADVVKEITHEDKENMGETEMAMDAGIPQEA >Dexi8A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:8A:24579041:24582695:1 gene:Dexi8A01G0014170 transcript:Dexi8A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFLTGGAIVRVAEEEGSGMAGDDHVVVDVEGLAKVKDDGVAEKASEGVSASVAAVSSAAVVVDLVDEEEGGGEDEPLIQAAECRICQEEDSVKNLEKPCACSGSLKEYKPGYTAPPRVDPDETTIDIDGDLIMDLRDPRILAVAAAQRRLLEAEYDGYATTDASGAAFCRSAALILMALLLLRHALSISDNEGNDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEEAALAAAEVAFILQSARGRTLQFAIAPDSPATPQQQEHEPTTQQQQ >Dexi9B01G0031240.1:cds pep primary_assembly:Fonio_CM05836:9B:33640552:33641064:1 gene:Dexi9B01G0031240 transcript:Dexi9B01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRASASKSWTRDKLVARTAAAVPIPGRASLSDSWTKDKTERKEASVVVEQQRVGRSPSREESPVGGKRASSRALSDVVERSEKKAKPEENAEAKKLDEDVVEAVFYAGPAFVKSPDPSEVPLPPKFLLLGKSPEPSDLPAPKATRWFLIKAPKALRRRSI >Dexi1A01G0025420.1:cds pep primary_assembly:Fonio_CM05836:1A:31657171:31659297:-1 gene:Dexi1A01G0025420 transcript:Dexi1A01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNEKLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILAKQLGENDSRTKDSENWLQTFKLREEQVNAQKQKGQGANASDNAINFLKAHPAFLQAMKAAAIQSGDGSAMGEGVPRLRGVDVRAARATAEARKKAAARGLNVRNGPAANNASDELAQILKLINSAASASSTSATAKTKESEGQEENGPAQNGTPTEAKGTINDGSSVKSTGNTPAGLGAALEKKQRSKQKS >Dexi5B01G0002220.1:cds pep primary_assembly:Fonio_CM05836:5B:1434601:1435101:-1 gene:Dexi5B01G0002220 transcript:Dexi5B01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLPSPPPPQPPPLPPPQPPSPSKYQPRLLRRPSHSQSPASSQSWADFFQDTPLPPPRHGRLTATDDEFVPETPEPSPPATNLHSSPADLPPAVPATYAEAVKRGVNAPSQHQPRPQSSTYRGCTEKRSSNFERTPRAQRPESKKDPLPYGEPGVRAEPPLRQL >Dexi9A01G0005420.1:cds pep primary_assembly:Fonio_CM05836:9A:3046421:3047348:1 gene:Dexi9A01G0005420 transcript:Dexi9A01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFRHRNHSKRVYYLAYDVKDASMYMIPCIPRDLEATYTVTPVPVRPAGGQGHELVLMARKFWPQRNERGRLCVCSPSTRTNSARDSTGPWAIKEHSSSKLPQAFSADVMFSFGDKVFWGDLSQGIAYSDLRKGDSAAFVKLPREYLVDFSVEPMYAQTNMYRTMGCVQGSVKFVCINRSVRAPGYTMVRVWTLDLGHKQWMEEKGFPCMWKDLWKNSYHLNSGLRFVVPPEPQYPILTPDGVLSVLLPKALLSRGGKEADYICSFDILSHSCLYMGELSCLPISSIAILVPSSGSGQ >Dexi9A01G0031900.1:cds pep primary_assembly:Fonio_CM05836:9A:36779825:36782841:1 gene:Dexi9A01G0031900 transcript:Dexi9A01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIFRFRAPPGKPAAVYINSSSATGNSDGHPPRRYFPVRRRDMKNLNKNRRRARPSTCRPPRAPSDSPSHLEAKRRQATRLRKATGAARRGVAAIASPVHACDSENDHCSRFIRIDGAIQCPVAGARFLPVTRAVPALPPSRALPCGTLFLPISRAAVLPPPFQSRVLPSLVGRRLCCLACCGVAEGSLEAALAVYLQPLAGLQPAGLLFDPAANHHGRTHHGLWLCLPHALNMERLRGCQDHNNNTQIWMDHNALLDTLLL >Dexi6B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:6B:3441502:3445782:-1 gene:Dexi6B01G0004230 transcript:Dexi6B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAPAAAGELLSIEPVELRFPSVELKKQISCSMQLSNPSNDYIAFKVKTTSPKKYSVRPNLGVVLPRSTCDVLVTMQAQQEPPRDMQCKDKFLVQSVVAPAAITVKDVTADMALRERIEPQEKSLEAGALISKLTEEKNSAIQQNHRLRQELDAVRREVSKRHGGGFSFLVVIIVGLIGILLGYLMRS >Dexi1A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:1A:10342373:10343499:-1 gene:Dexi1A01G0011350 transcript:Dexi1A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTNSAESSSQAQNTQGQGTSAHDLESIREETNLSAPTYHLVETFDASSITEITNELKHRQDIIPKEQRTLLVIFSDGYPLTGDELNDFFNRYGAVEEIVIKEPPLRLYPVCALIIYHSPHPLLIVLNEEKRVHLIINRKDVWVQRYVKDEMPTSP >Dexi8A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:8A:760966:763865:-1 gene:Dexi8A01G0001090 transcript:Dexi8A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRKGPNARPLPPHLVKLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWLLGTPSEEQWPGVSDLRDWHEFPQWKPQSLARAVPTLEPQGIDLLSKMLQLDPSNRISAIAAMEHPYFDSLDKSQF >Dexi5B01G0021740.1:cds pep primary_assembly:Fonio_CM05836:5B:24043641:24044996:-1 gene:Dexi5B01G0021740 transcript:Dexi5B01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGDPLLHGEGSGGVRSLGFLPSSIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFTTGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAVLLFLGATGLMTFIYRLLPLPVVRGVQLSQGLSFAFTAVKYIRYVQDFSKSSSASTAVARPLLGLDGLVLALAALLFIILSTGSGDDEDIASDGTVRRRRSRSRVPAALIVFALGLLLCFVRDPSILRGLRFGPAPLRIVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGTKEESFVMLICAGVSLTGSSAALGFISGIVLYLLLRVRDVDYRGVRASSMVGDLAG >Dexi4A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:4A:5897189:5899085:-1 gene:Dexi4A01G0007830 transcript:Dexi4A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSAVGPGVKKLDDDATPSREEERWSRTGPVLIVCLMTLPFLAFFFGGMDMERASTVWRSTGAKLNAVSGGFLNASSNARRTDDAKVVDELLAGLLTPGFDRRSCLSRYQAAHYYKYSPYKPSSYLLRKLREYETRHKKCAPGTPLYAKAVEQLRSGHATEAMECNYLVWLPYNGLGNLMLSLISTFVYALLTDRVVLVYSPGDFTDLFCEPFPDTTWILPPDFPLTNLSRLGLNPPQSYRNLLTRKMIVNDSTKATVDSLPPYVFLNLGHEKPYMDRLFYCEDDQLVLSKVSWLLVFSDLYFVPAMYPMVQYHDELQRLFPEKESVSHLIARYLLHPSNTVWGLVTRYYSSYLAQAKQRIGVQIRMFRFGTIPVDDMYNQILNCSRQEHILPEIAGDEELLEAGNATASGGSGSGDGSKAILIASLYGEYYERIRSMYYEHAAKGGVRVSVFQPSHEEVQATGKKGHDVRALAEIYLLSFSDVLLTSGDSTFGSISSSLAGRRPTILLVAYGFKVPKTPCVRAVSMEPCDLAPPRVRCKGYPADKDKEDVARHVKGCEDDRGGIKLFD >Dexi5B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:5B:3047074:3047352:1 gene:Dexi5B01G0004500 transcript:Dexi5B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEMRYPGRAIDDRRRGVLLDSGRALMLLGALVLITCRRLLAGCDNAEHVLAGFALWLLGVGLATLSLVAGHFPRLAAAAAPLATALRG >Dexi5B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:5B:457183:461954:-1 gene:Dexi5B01G0000730 transcript:Dexi5B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFRLGRKIGCGSFGEIYLATHIDTYEIVAVKIKLTFVTSLPLQESSKTKHPQLFYEAKIYNTLQGGSGIANVKWCGVDGEENVLITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGNLPWQGLKAPTKTQKYDKICEKKISTPIERPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLKHKQSQKQRQSPGVPARLIQADAQKQAGVNGVFHHNEAGEHVETSHPAGQAAQSQGKQTSDKDRNSNVQRSVNLRQNMAAEKAQLTSASLPSSLWNNDGDSRQKGQSDDVHNNHGFVNISGSSNGWLPTLHNAPAK >Dexi3B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:3B:3569623:3570009:-1 gene:Dexi3B01G0005340 transcript:Dexi3B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMPRGTRLQALWRHAASPWRLHQASSPSQGAPSSNHAIDYSGAQKVFDEMMPQQKVSMVGRNIVVKRGILRDFLLIRGHHIMKVWAKRRRKYRHWKLALASLVVDDTGHGASATPTGEHVAVIARV >Dexi1B01G0031090.1:cds pep primary_assembly:Fonio_CM05836:1B:34824144:34828085:1 gene:Dexi1B01G0031090 transcript:Dexi1B01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAGVGGATAIRVPYRHLRDAEMELVSLNGSPRAGDEGPPPRATDHQLGTGAATSRAKVVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYILGDTTEHCRTYKGSRYRAAIVFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNILGFSAGASGNWHKWFPFLTTRACCEACGNLKAAFLVAVVSFQTVTLYAKAELAVLQLSWFPFFLFDTDWMGREVYHGDPNGDLNERKAYDNGVREGLATGVLNLAIVVPQIVVSLGAGPWDALYGGGNIPAFALASIFSLAAGVLAVLKLPKLSNSYQSAGFHGFG >Dexi7A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:7A:23255763:23259936:1 gene:Dexi7A01G0013060 transcript:Dexi7A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVLALLAMLYPAAVAVKGGGNCPGCGSFDIPYPFGIGPECSLPGFNVTCVAGEDNNTYLLLGNPSIMVNSSATSIDVSALPTVSDAAIAYSMKIRDNYSVHWTAPGRPFAISGSSDMSLYVFGCGVKAMLFKDNSDVEIGNCSVDCVQDQIMDRLPLMPCMGIGCCLINITMDLRAFTLNISRTGRAARLQEQVSAFIAENDFAFQFQPLEFDYDYWSPPPPLAQLSWSIPYQWNCARAMEDRANYACVSNNSYCLESPIGGYVCSCAKGFKGNPYVSNGCTAYQVSRPAPLQAWDTIQPKANCPTSCGNVTVAFPFGIEEGCFGKIQMYLICDPGPPVVLRMLVGSNVTNLSIDEGTLHVLNWADPSSNDTYPSMYAVSGEWGGVVLKWAVDNLTCKNAMASKVVYRCSSYSDCVDVTDDVMHRQVGYRCKCSQGFGGNPYIKDGCTDIDECEEPDKYICHVAGALMYLHSAASVSILHRDVKCMNILLNESYRAKVSDFGASRSIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILVELLMRRKPIFENKNGEKQNLSNYFLSAIGEKPLNEIVDQDIVGEASEEAIAGTARLAEECLSLTRGERPTMKEVEMRLQMLRARIAVPLGLRRGEEMCHAHSEAAAKVNGTSAAIPVPAGHHGTRQYSLEQEFVSSARVPR >Dexi4A01G0024140.1:cds pep primary_assembly:Fonio_CM05836:4A:26975745:26976692:1 gene:Dexi4A01G0024140 transcript:Dexi4A01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAALAILSSSARAEQCGTQANGAVCPNGLCCSKFGWCGTTSDYCTNSCQSQCGGGSGSPPTGGGGGGSGVGSIISESLFNQMLLHRNDAACPANGFYTYSAFISAANAFPGFGTTGSLETQKRELAAFLAQTSHETTGGWATAPDGPYAWGYCFKQEQNPPSSYCQPSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGQDLLNNPDLVASNAMVSFETAVWFWMTAQSPKPSCDAVATGQWSPSSTDQAAGRVPGYGVITNIINGGLECGHGADSRVADRIGFYKRYCDLLGVSYGANLDCYSQRPFGS >Dexi2A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:2A:32368712:32374364:1 gene:Dexi2A01G0020290 transcript:Dexi2A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLAVAHRPPLPVPAPGHLRRRHLHHLRAPLSLSSRASLSLPFPHRHHRLSSSTPRRHPVLPPLLAASQAAAVSKAEPKTEAAGAKLVPLIISIAVGLAVRYLAPRPAEVSLQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTAAVTTHTLSFAAAFAAFTNDIIWLIVISFFFARGFVKTGLGDRMATYFVKWLGSSTLGLSYGLAFSEACIAAAMPSTAARAGGVFLPVIKSLSLLSDSKPNHPSSRKLGAYLVMSQFQLAEEVGVVMANPWVTWFKAASLPAIASLLATPYLVYKIFPPEIKETPEAPALAAEKLKRMGPVTKSEWAMIGTMILAVSLWVFGDAVGVSSVVAGMIGLSLLLLLGVLDWNDCLSEKSAWDTLTWFAVLVGMAAQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLQASYFFIHYLFASQTGHVGALYSASLAMHVAAGVPSVLAALALGFNTNLFGAITHYSSGQAAVYFGAGYLELPDVFKYGIMIAMINTLIWGGVGTIWWKILGLY >Dexi9A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:9A:7105179:7105548:1 gene:Dexi9A01G0011390 transcript:Dexi9A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLCPVFVAGEVTSDETTKVVRQVVKEGDLDEEAIKAKFVDKDVSGKQAMDKDIGREAAELEDVKVDEEAMHARFEDSMKQYGRSYNSDEEKAR >Dexi2A01G0022890.1:cds pep primary_assembly:Fonio_CM05836:2A:34667768:34672180:1 gene:Dexi2A01G0022890 transcript:Dexi2A01G0022890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLIFLVAGAASAATASGDGCHDGCSLALAAYYFSGESNLTFIASLFNFPDYTALLPYNPNITNPDYIVTGDRISVPFRCSCLKLPADPAATFLAGPIPYNLSRGKTYGDVAAEFANLTTVAWMEATNRYPAGNLPASGTIDATVNCSCGDKSVSPRYGLFLTYPLWDGETLASVAEQYGFSSPAQMDLLRRYNPGMDGVSAKGIVFIPVKGSKKMQMVVTVLSNQGNGLSGGAVAGIVIACIVTFIAGICLGAIYCRRQKMRKAMSLPYPEDSIQLAAIKKMDAKATQEFLAELKVRLIGYCVESCLFLVYEFIENGNLSQHLHGTGYEPLSWTSRVRIALDSARGLEYIHEHTVPVYVHRDIKSANILLDKNFRAKVADFGLTKLAEIGTTSQSLPTRVVGTFGYMPPEYARYGEVSPKVDVYAFGVVLYELISAKEAIVKSTEFTDAKSLVYLFEDTLSRRDPKEALQELIDPRLGGDYPIESVLKIAYLAKSCTHEEPRMRPTMRSVVVALMALSSKEYELTRGLEFSPRGPRSG >Dexi7B01G0001780.1:cds pep primary_assembly:Fonio_CM05836:7B:3000664:3001179:-1 gene:Dexi7B01G0001780 transcript:Dexi7B01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGHGRALNPSWTPGHNFSLAGCFPWPHQRSSSSSCYTCGYCRREFRSAQALGGHMNVHRRDRARLRQCCPAPYVPSSSLPTPSLLASQQHRAPLPNLNYSPPHCAAAPEPPPVIYSFFSTTTSTSMVGVATKATLEVSLELGIGVCGRGGEAVEEEGLDLELRLGCA >Dexi3B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:3B:2916161:2916921:-1 gene:Dexi3B01G0004230 transcript:Dexi3B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHLPVCCCGDSGTDQEDPRRTLLDTICGFYVEALDRLPIHDYPNLVHCLFVAGHCYGLLEPVSNIILHAIAQHGRDLKEPYEREDMTTEFLDKIHKSWYWLRPAERSLDLDGLTTFLMSWYRYLTADQALQYLCLAKADICLAMDLVEQEFHAQCLVEEVLPAPSFDDDAWILTVKDSLMYAAMAAEHPKPDGLVALATEKFGPDHADKIAERTGRPVSLQPAVKLP >Dexi6B01G0002000.1:cds pep primary_assembly:Fonio_CM05836:6B:1693624:1694940:1 gene:Dexi6B01G0002000 transcript:Dexi6B01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQARQATQEWIHRFPHHLLGIGGEDKRYVVPSVVAIGPYHHGLPHLQDMEEVKKVGAYSYCRYRGQPVEAVYKEILDVASEVRRCYHAMVVANLSDEDLAHMMFVDGCFLLSLMVGDQHDPLSTGCTLSSGNSFFKDIFMLENQIPWLVFEAIISIDIETTTTTAEESMAALLGFVMVMGLLLSPANIEEDKIWGCLPKRVVEEVRQEAMLADFANYSPPHILGLLRLSSLSIGAIHLVQSGVKLIGRAGSLGKFADMNCRKKLLFGELSLSPVALNDITTCWLVNMAALESAQATTPVNWDVDGYVVSSYLSMLAMLMDREEDVHVLRRSGVISSIFSDQQTLVIFKCFAQNIRMGFNYLDTLGEIDGYMRHRPVRIAIHKFVYNNYKTIAAVLSIAAALIGVMKAIYSLKRP >Dexi5A01G0007570.1:cds pep primary_assembly:Fonio_CM05836:5A:5598281:5598736:1 gene:Dexi5A01G0007570 transcript:Dexi5A01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSGVFDPFADFWDPFDGAFRSLVPSVASSDRETAAFASARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKVDKNDKWHRVERSSGKFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >Dexi6A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:6A:26837095:26839144:-1 gene:Dexi6A01G0019200 transcript:Dexi6A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVAAAMAPLYFALALGYSSVRWWKFFTPEQCGAINTLVAHFSMPFFTFDFLSRADPYTMNRRVLAADAASKVIAALAVAAWAHCCGAKAGARSWSITGFSLAAFNNTLVVGVPLLDAMYGGWARDLVVQIAVVQSLVWFPLLLLGFELRKACVVGGEDVVAAVAGRRGVEPVVLPVAENDDDDDVEMDVGPGAGVAAGIRMWPTVRTVGLKLARNPNVYASVLGVVWALFAYRWDLRMPGVVTGSLQVMSRTGTGMSMFSMGLFMAQQERIIACGPGLAGLGMALRFVAGPVATLVGAAVFGLRGDVLRVAIIQAALPQSIASFVFAKEYGLHADVLSTA >Dexi6A01G0013620.1:cds pep primary_assembly:Fonio_CM05836:6A:20855185:20855948:1 gene:Dexi6A01G0013620 transcript:Dexi6A01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVHPLPTSPLQARRVVAPWVRNRLVVAAADAVVCLFLASMWLLFGSLITIEVGRLACGQSAPVVLVASKVLLVAAVTLVLLLPFSLLPLTLMVREAEAAADIEAATAPAPKTFAAAARKVLRDPVMQAYLASMMFVLLLGVGALLNYTSPVKGSRQERMGSVIFEVGVLGVYTVDFFVICPILTVRMWRAWRMMLHS >Dexi6B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:6B:12599283:12606297:1 gene:Dexi6B01G0009010 transcript:Dexi6B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYWATDLEEDAVKRSAEEQQQRTAIDTHDADIALVRAQAKQAREEAEQLVTARAQVCMDLAENQGRIATLDVECATLKQISNFISTLTPLETLELLHQEIASTSAKLNEKRLFYTKTTETLAVKLQQQQEWLGSLKTNSTTMEPHVATTQSKQTFIEGESHGTYSSEGSLDMVKQILEPEKNIFAGFPAALLQMDMKSLGEEYKALQGDKAGEIEYFHSLEETIIGMKGVSKPVRCRCGLEYKVELAGEAMDLS >Dexi6A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:6A:28710745:28713892:1 gene:Dexi6A01G0021480 transcript:Dexi6A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTAAYSPAGSPTPLGTATARRRSVHQFLRPRRPLLATVRCSVDGAAAVATETPASRKDCFGVFCNIYDLKAEDTTKSWKKLVNIAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFQDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVLVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGRLVKDVIKDTKWLEEEFTMTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVSDVLMDDFLWERIKKSEAELLAEKKCVAHLTGEGNAFCDLPEDTMLPGEM >Dexi2A01G0001880.1:cds pep primary_assembly:Fonio_CM05836:2A:1374930:1380740:-1 gene:Dexi2A01G0001880 transcript:Dexi2A01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIAVVAEMVGAAVVQEAVSGAISLVLGKRKEKASQVEYLERLRKAVNVVEFVLERTAKLPITEVSLLREKIELKREFSDTAASLLTSRRKKRLDTSQVAEQQSGHMSDLVVAAGQMVAGAVVQEFVSRAVSLVLGKRKDKASQGEYLDRLQKAVHEVEFMQERTAKLAITEISLLRQKIELKRQFMEAAACLLTSRRKKRQQETSQVVALSPTPHGLLPTSSGAMFPVSSFIATAKDELRLSCDDVERFERLAVSARSILTDVQSGCSLRSSMNFSSPLISHLFEWKTLSYRAMQADQERCFEVWPFRSEDRGLEAVVRYCYWDCIRPDKSFGVELVLRLSESTDIVGIAIKCLQSMTSQFNLVADTATGQLTLLASSNLQDISYSHAPPWGTIHETFSELTQWCRPNPLCCKAKGYTPCADKVVVSSQISQAFPEEVILFSFECCISAPEYNLRSSADARGKRSVMRNRKLPHLMLVALVLPHCVHDGRWRQSSYTFETIGDNDTEPIDVSIQQIGKTVRPRAINCFLRQPKVTKYGIGWISKHGAAVVVVKKLNA >Dexi9A01G0022820.1:cds pep primary_assembly:Fonio_CM05836:9A:17945506:17946322:-1 gene:Dexi9A01G0022820 transcript:Dexi9A01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLVGDDGEASRSTWDAAADSPDSGYHLFVVRGYSRTKETPNGKCINSRQFRVGGYRWHIGYYPSGLKANGKDYMSFYLRLDDHVAKDVTIQFELSFIDQVDRQEPSRIRDMKSCNIRHDCSWGYPCFIRRSVLEGSRHLKNDSFTVRCDIAVLADANTERSSSTVPAPPPPSIQQHLSSLLVSGEGTDVTFEVGGETFMAHRCVLAARSPVFRAELFGPMKEGTTRSAISIDDMEPKVFRLLLMTQCRRLIMIMAMATMTV >Dexi9B01G0015130.1:cds pep primary_assembly:Fonio_CM05836:9B:10226265:10227650:-1 gene:Dexi9B01G0015130 transcript:Dexi9B01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKQHEKGSPKRAPSDPAPRSAFAGRPPAPFRLEPCRHCDDDRRQQQDDGSNGAGPLLLTLGSAADSRCSCAAAPAPAPETMSVLRGSRYLKPAQELLGEVVRMADLAAGAGDEEAGAEKKQEGLDAGGLRAARRAAKNNGDGIQAKLLGLLSLSEPALGDGAASTYTSLMAQAMARHFGNLRRAILQRLRRHAAAAAKRTLLRAGEEGVDDGEEEEEEAEEVTEEMVERVARRTKLAAAARAEQAWRPIRGLPEGSVAVLRAWLFDHFLHPYPDDGEKLRLAVTTGLSRNQISNWFINARVRLWKPMIEEMYKVEFSDGSAVSRDDDASASGASSSS >Dexi6A01G0000150.1:cds pep primary_assembly:Fonio_CM05836:6A:92266:95763:1 gene:Dexi6A01G0000150 transcript:Dexi6A01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDEPHLKALEEALSSSSPPLQAQVGLVVGKLSASSDRALVYSLLPTPPTEAAAPACSLRAAPKPKPSKGKGPSSSDTSLEFDVDWIAEHARQVSRMLLGGMSVIGIYVWASEASFKATPPAVLSQVIRAVSQAWYGSTFNERLLIHISYSPRRLTAVQAEPFKKVILKAISHLTKEVQNARALVDGHLFSEDINIGTEDSHQVDFLVPFKNSVPVEECSLEGVDGLLRFAGSVSALAYLGPKESISEAISDLKADIITSLRSRLDIILDEADDGSAADELEKSSSQKATQVIFNELREPYSFSFPRRVLIPWLSGAYVCDYLQQSETTENAMDRCKEVIPMETSMKSSSILEPESSVACGTLDSFWDMVPGSHTGGRFRSSGLKDIGSTGQEDGDGSRRQEGGVNFNVLAALFVLLGALIAGFVFTFSAGSNT >Dexi7A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:7A:1417545:1417794:-1 gene:Dexi7A01G0000520 transcript:Dexi7A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERTARELVPPHGDVEGGREGQGCGLAGAEEIWSRVGRGSGASRRDAAESAEAEPARREEKEAVRRTEGRRRKSS >Dexi7B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:7B:1533627:1534278:1 gene:Dexi7B01G0000760 transcript:Dexi7B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMECPSISMALVPILVLALAASSSNVYSSVASVVATTERGPPELIIVNQVGRDGDKAYFARSGHDPVAPENADVEDALKRGLATLKVTKCEAMRLKPIKDVVSDGWENGDAHLTLEHLGYTSTSTPSASSSHGRSGAACGTGLSRTC >Dexi5A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:5A:22100635:22105007:1 gene:Dexi5A01G0018590 transcript:Dexi5A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIQCATCGTYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSSEITFKTDPQNSDYTVESGASRNFEPWRDQDEAADKEKRKRDAEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKRSAHEKEEKGIAELDEEDEELIKSITFRNSGFYVKRIEDDDDDDDDLVSGQSSKTTKTNGSSESVTKATDVLSKTNGPEGANKAGSNSWMPKLIVKPKSTSADPKRQKTESVDAQNNGKLPQNNGKLPVEEQKSEPAMQTNVLQSLCQNYDSDDSE >Dexi4B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:4B:977497:978996:1 gene:Dexi4B01G0001650 transcript:Dexi4B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDTVRGDLALVILYLNKAEARDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLSRIAFYCFLGSNTCTTLIELAELQRLSVSMKKLEKELKHQELYKNEQYRMRLQKSNERRLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQVIYHKTRTSLAPLYRAGHRKTRSMSLTRLLSSPWQLLPSPGPAKSK >DexiUA01G0027410.1:cds pep primary_assembly:Fonio_CM05836:UA:58705935:58709864:-1 gene:DexiUA01G0027410 transcript:DexiUA01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTAADYCFGYSDDEYDPTRECFAINDGQVSEGSTSDDDGEGDDQGDNDGMDPVGAHPSDPLDHSPSEDERHPRHLPRVDPPRDLGSGDVSPPARSNHEPPKQGHEHSTDARRAVRVAQARILAEGKDDDLAPRTSQKLIAAAALLRAMPEAATPEGRKLHLEAQKPRAQLPAFDAPQPRRANEKGSHRYAHPGLTAGHERNAARRHASEPRAPEARTLPARVPARSRLRDTRGAIDDGDARNTLNQIRQGEGARTHQRGRTNVGWNRDTAPEPAGTRVFSHNIRTAPIPPRFRQPTTITKYSGETDPRVWLNDYRLACQLGGATDDAMIIRNLPLHLADSARTWLEHLPPNRIRDWDDLVETFVGNFQGTYVRPGNTWDLRGCKQKPGESLRDFIRRFSKRCTELPNITDHQIIQSFLESTTCYSLVASKYASGEEAANAIFNDKKGKRPKEASAEDDKAKGPSKKRKHGKKGKKLRTPRGAGDVEDEALAVDPNHKGPRGPPRGGGVFDDLLKKPCPYHKTACEMLRKFYNRIPRKDGGPPKDGGGKDDDGYPEVEHMFFITGGPAANLKPRQRRRERREVMLVRPATPSYLDWSSETISFGREDHPDHIPNPGQYPLVVDPVIGNTRFSKVLMDGGSSLNILYAPTLELMGVSTSELRPNKSSFHGQIDMPVCFGTPANFRKEVLTFEVVGFKGAYHAILGRPCYAKFMAIPNYTYLKMKMPGPHGVITVGPTVEHAYVCDIESIELAEALALDETLVADLEAIVNTLPDANERQPGSFAPAEDTKTVPLDADSHDGREVVLVDCLRAHADIFAWSPSDMPGIPREVAEHSLDIRPHSKPVKQRLRRFDEVKRRAIGEEQVLF >Dexi9A01G0002040.1:cds pep primary_assembly:Fonio_CM05836:9A:1044800:1047431:-1 gene:Dexi9A01G0002040 transcript:Dexi9A01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFELERKRNQPERYDRNMAEQTLKAIPLITKIRHHRLQKHIADRHKPGKKKELERDNKELEQDINMLPKKVISKAEPLKMKVQVVPPQTQENLMEE >Dexi9A01G0025880.1:cds pep primary_assembly:Fonio_CM05836:9A:27332291:27332555:1 gene:Dexi9A01G0025880 transcript:Dexi9A01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQPWVKSTVFRMSLWYERLGLLHDDFKNPGSLERVQRVNNMASKFWELYASDNSTATSLGICSANQWKWPRMVL >Dexi5A01G0027880.1:cds pep primary_assembly:Fonio_CM05836:5A:31238556:31240845:-1 gene:Dexi5A01G0027880 transcript:Dexi5A01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGATMSWNVTRCAVALEEAGAEYEIVPINFATAEHKSPEHLARNPFGQVPALQDGDLYIFESRAICKYAARKNKPELLKEGSLAESAMVDVWMEVEANQYTSLLNPILFECLVKPMLGGTTDQKVVQENLEKLKKVLEVYEARLTKCKYLAGDFLSLADLNHVSATLCLFATPHASVFDGYPHVKAWWSGLMARPSVQKVAALMKPSA >Dexi4A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:4A:17505317:17506921:-1 gene:Dexi4A01G0014980 transcript:Dexi4A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSKGLALVLILSFSSCCYLSTPSSATPDSDGFLQCLLGNIPGGLIYTQGASNFTNVLASSVRNPRVFPGDTARPLCIVTTTDASHVQSAVRCGRAHGVRLRVRSGGHDYEGLSFRSVQAAEVFGVVDLAGIRAISVVVSDSGDDTAGKVWGEKYFAGNFRRLAAVKAAVDPNDFFRNEQSIPPFLQGNRLGKRLG >Dexi1A01G0023480.1:cds pep primary_assembly:Fonio_CM05836:1A:30139980:30142152:-1 gene:Dexi1A01G0023480 transcript:Dexi1A01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLTKIASACLGPVRRYARTRKDEDGSDNGRGGVADDLLWSRDLGRHAAGEFSFAVAQANEALEDHSQVETGSAATFVGVYDGHGGAEASRFISDHLFAHLIRLAQENGTISEDVVRSAFSATEEGFLTLVRRTQFIRPLIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGCLGRSNKIVAEPLTRDHNASMEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSETLRRPVLTAEPSMCTRVLRPQDKFIIFASDGLWEHLTNQEVVDIVHNNPRRGIAKRLVRAALKQAARKREMRYDDLKKVEKGVRRFFHDDITVVVVYIDHGLLQQSDVSVPELSVRGFVDSVGPSRFSGVTAIS >Dexi6B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:6B:4494984:4496692:1 gene:Dexi6B01G0005230 transcript:Dexi6B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPGLLRRARAPPCLPPRRHLSRLLDRYGFVAPASLSPAPREGPRAAAAADSAAAKKRRAKKPPYRPPSSLDRGGRPPTHSDLPFDFRFSYTESTPASKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDATLRDVAADDPLPDAEKGLEEARRRERERVLGEPLTPAERAFLVEKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKSCEAVRVKCLGVPTVDMQNVCHQVEDKTGGLIIHRQAGHLILYRGRHYNPKKRPVIPLMLWKPAAPIYPRLIKTTIEGLTVEETKKMRKNGLHVPALTKLAKNGYYGSLVPMVRDAFLADELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGKDYDGSLDNQMQKPSPSVVDSHDASVKNESGDQEQPGSDWSSDDCSGISCSNEVPDDIPIISNLDSSRTI >Dexi5A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:5A:7646896:7648153:1 gene:Dexi5A01G0010060 transcript:Dexi5A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSKILVVGATGYLGRHVVAASARLGHPTFALVRDTSPSDTAKAELLKSFQDAGVTLVKGDLGDHGSLVSAAKAADVVISTVGVRQVADQTRLIDAIKEAGNIKRFFPSEFGLDTDRSDAVEPTKSLIINTKASIRRAIEAAGVPYTYVWTGYFFGYGLPGIGQVLSFSPPIDKAVVLGDGNANVAYVDEGDIATYTVLAAGDPRAENKTLYVRPPANTVSHNELLELWEKKTGNTFQRVHLSEDAVLKQTQEASVPLDSILLSIGHAVHINGEHAKLEMDPASGVDATELYPDVKYTTVDDYLNRLL >Dexi3B01G0003170.1:cds pep primary_assembly:Fonio_CM05836:3B:2083793:2085157:1 gene:Dexi3B01G0003170 transcript:Dexi3B01G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTEPAQPTRITSSRLRVPPAASFLTSHRVGGFLTSESRPPTPTNGSWISPPAATPPPAEMKRRHLPPVLLLLALSLLSVSFRRHLFLPQGPSLYAADDALLRRLAAVDVGGDQVLAEAAALLANASVSSYPSLGNHHRLLYLRLAYRRNATSAPRQRAVSRLRVPFKTVPADESLLAAFRASLRAFLLARHPRRGSGDDVVAGVMGDLAGFLGRPRRFPTCAVVGNSGILLGSGRGAQIDAHDLVVRLNNARVAGYAADVGAKTSVSFVNSNILHYCAVRSAVATAGCNCHPYGRAVPMAMYVCQAAHLLDALVCNATATPASPFPLLVTDAHLDALCARIAKYYSLRRFVATTGEPPSNWTRMHDERYFHYSSGLQAVVMALGACDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYRDLQERPKAVPFLDEAPTFKVPPVKLYR >Dexi6B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:6B:26364413:26370216:1 gene:Dexi6B01G0019730 transcript:Dexi6B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIRGFSLSMGQPGVDSLQGKESNGVVPHRNGGASPAKQQLEGKEALRYANVLRSRNKFADAIQLYNVVLEKEGTNVEALIGKGICLQAQSLPRQAIECFTEAVKIEPENACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKPASEFLAIVLTDLGTSLKLGGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMMQFDFALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPSFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPAYAEAYNNLGVLYRDAGSITLAIQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYDAHREWGKRFMKLYPQHTSWDNSKIADRSLIIGYVSPDYFTHSVSYFIEAPLTHHDYANCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPSTKQKHVEELVRLPESFLCYTPSPEAGPICPTPAISNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVSLALDLASDVNALQELRMGLRELMMKSPVCDGEKFTQGLEAAYRNMWLRYCDGDVPSLRRLELLQEHPVNKQDSDKTTEKLADLKAQKANAAVEEDKQPLIMANGVSSPDSPASAKCEANGHCSQ >Dexi5B01G0036070.1:cds pep primary_assembly:Fonio_CM05836:5B:35917949:35920197:1 gene:Dexi5B01G0036070 transcript:Dexi5B01G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGGKSREAMASSSMDTEAVGRELKGSGYTVAATAHAVDSDSWQQVGLLLVIGFNCAYVLSFSNLMMAPLGWGWGITCLLLVGAAAWYANWLLAGLHFIDGQRFIRYRDLMGFVFGRKMYYFTWFLQFTTLLLCNMGFILLGARALKAINLEFTHSPARLQWFIIATGIIYFAFAYFVPTISAMRNWLATSAALTLAYDVALFAVLIRDGKSNTQRDYNVHGTPAEKVFNALGAVAAILVCNTSGLLPEIQSTVREPAVRGMRRALLLQYTAGAAAYYGVSVAGYWAYGSSVSEYLPNELGRPRWAAVLINAAAFLQSIVSQHAMDTQLQRLDEGMFSRYNLTRRFFARGLIFGFNIFVTALFPFMGDFVNLVGSFALVPLTFMFPSMVILKIKGKSGGRWTRVWHWGIIVFSSVLCVATTASAVRLIFNNARIYHFFADM >Dexi9A01G0040940.1:cds pep primary_assembly:Fonio_CM05836:9A:44619145:44619777:1 gene:Dexi9A01G0040940 transcript:Dexi9A01G0040940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQYPPPPVYASAQPTPGNGNLETGHVAVYPPPPPPLARGLPVTVSQQQLVGEPEGHDPNALLVGTTVITMLAFMLGTFIPGGYWEHSMASSSDGKVVYHAGDPIMRDLHRPRYWVFRVATWVGVASSMVLTLSLLLRMAADSRHVRWSFAVAYSSLLLTFAVSQTKTHLSLDIIVWLAVLVVSWLITRNNGEKRARIMQLLCCGSRGN >Dexi1A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:1A:26666900:26670133:1 gene:Dexi1A01G0019580 transcript:Dexi1A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVAVFGCRNPEEIPWGAAGAEYVVESTGVFTDQEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKAESEGNLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLIRHMHSTN >Dexi9A01G0047450.1:cds pep primary_assembly:Fonio_CM05836:9A:50544363:50551089:-1 gene:Dexi9A01G0047450 transcript:Dexi9A01G0047450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSPAAPRKRAANRLVVEEAKSSTDEYNSICGLHPSTMEILSIYRGDVVLLKGKRRRDTVCMALPDDECGEGQVRLSKVVRSNLRVRLADGDLFLVRGGMRSVEFKVVDVDPAAGEYCIVAGDTEIFCDGEPVKREDEERLDDVGYDDVGGMRKQLAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPNRDKTHGEVERRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRVHTKNMKLAEDVDLEVVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILHSMAITNDHLKTALAGTNPSALRETTVEVPNVSWSDIGGLEGVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTKWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGRVGGGAEASDRVLNQLLTEMDGMGAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPVPDEASRLQIFKACLRKSPVAKDVDLAALAKFTAGFSGADITEICQRACKYAIREDIEKDIERERMAKERPGEMEVDGCADEPLAEIKASHFEESMRFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPRQPQAAEPAATANDADEDDLYN >Dexi8A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:8A:3747153:3747424:1 gene:Dexi8A01G0004360 transcript:Dexi8A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVMGRLRQWRRVGVVSDGEKKATLISRVVVGRMKKACDTKFSEDCKVDSVSPSSETVYSDLDELFVFNTSAILPCFVVIYS >Dexi8B01G0010900.1:cds pep primary_assembly:Fonio_CM05836:8B:19487487:19489166:-1 gene:Dexi8B01G0010900 transcript:Dexi8B01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVAMILPAVVAAAALLAPAEAELTVVEHPPKTEGSLNVLAVGDWGRRGQFNQTLVAEQMGLVGEKLGIDFVISTGDNIYDDGIANTSDPLFKECFTNIYTAQSLQTPWYIVLGNHDYTGNALAQQDPAIREVDSRYLSIAKSFIYWNSTKYDWRNVAPRDTYIETLLKDLDDALTVSEAPWKIVVGHHPISSACEHGNTTELQQLLRPILEA >Dexi2B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:2B:28817543:28821922:1 gene:Dexi2B01G0018510 transcript:Dexi2B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVSGNGGSPRVEGAVMLHPWDRAYYEAVAASAWPGEVSWLESEGEEHVFFLGKPECENAKKLMERVVAFIAGE >Dexi2B01G0035230.1:cds pep primary_assembly:Fonio_CM05836:2B:42351436:42352333:1 gene:Dexi2B01G0035230 transcript:Dexi2B01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFWRRPSLPLKLAFTISLAVSFSVSCCAAPSSPSSHTSASSRSPPPSSKAVAADLLSVLGGPRAAERVPPAEASRLHACLRFLSPVNPAASKVSSWGARKFLLEGLDVGAAEADEMVKWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVELNS >Dexi9A01G0024190.1:cds pep primary_assembly:Fonio_CM05836:9A:20417209:20432227:1 gene:Dexi9A01G0024190 transcript:Dexi9A01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding YERQGELIDHTSTRSPELTSAPLTGAHLGAAHRSLACRSLELASPLGIKGLRDAIASGISSRDGFPANADDIFLTGGANLGVHMIMKLLIRDDKDGIMCPVPQYPLYSASIALHGGALVPYYLDESKGWALEISELRWELQDARSKGIDVRALVVINPGNPTGQVLSEDNQYDIVKFCKTEGLVLLADEVYQDNIFLDNVKFNSFKKMTRFMGYNEDDLPLVSFQSASKGSYGECGKRGGYMEITGFSAPVREQIYKIASVNLCSNISGQILASLVMNPPKPGDLSYASYKAAKDGILQSLARRAKALENAFNSLEGFTCNKAEGATHRFPKIHLPQKAIEAAKAAKEAPDAFYVLRLLQSTGILAVPGSGFGQFPLRAPDPSFAMAPSIAVENLNPKVLKCEYAVRGEIVIHAQILYCNIGNPQSLGQQPVTFFREVLALCDHPCLLEKEETKSLFSADAISRAKQILATIPGRATGAYSHSQGIKGLRDAIAAGISTRDGFPANADDIFITDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLDEKTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEDNQCDIVKFCKNEGLILLADEVYQENIYVDNKKFNSFKKIARSMGYGEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKVGDESYASYKAEKDGILQSLARRAKALEDAFNNLEGISCNKAEGAMYLFPQIRLPQKAIEAAKAANKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAVITRFKAFHEAFMAEYRD >Dexi6A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:6A:1610017:1610304:-1 gene:Dexi6A01G0001700 transcript:Dexi6A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKPSSCFSKKVVARSLLPRPAAVHPWTPPLAEVPPGQRVTVRMRASEFHALAVAHREAAAAGAAIADDGVGRLILDGCAAGRWSWSPALE >Dexi1B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:1B:24117291:24119651:1 gene:Dexi1B01G0017780 transcript:Dexi1B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTSDAVRSVCTEVATDLQILVDGCVYHLHKYPLLSKCMLLQALCADSGAGDAVELHGFPGGAEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSESADRGNLAGKLDAFLASCLLRRWKDALAVLHSSRHHAPLCEDLGLTSRSVDAVAALIVNPDTPSPPARSSASPWWAHDVAELGVDLFWRIMVAVKSTGAVHDKTVGDALKAYARRWLPNVVAKDGQAFDVDAGDVEDVKRMTTRHRLLLERIVSLLPSEKDAVSCGFLLKLLKAANILSASKAELVRRVAWQLEEATVSDLLIPSMSCVAGDTLYDVDAVAAILDEFALRCAAAISPAPAMAMSGTSTGDEEDDSPAGATGHHRRSRSAESVSFDGTRRSVSAAPVSQAALARVGKLVDGFLVEVAKDPNMPLNKLLAIAEAVPDNARPEHDGLYKVVDTYLKAHPEMSKSGRKRLCRVLNCRKLSEKACAHAAQNELLPLRVVVQVLFFEHARAAAMASGGNGAAAAAELMPSNIRALLSKASGSSEEDEAADHRAVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRTKLAEEEEEEEEDDGEFARKAGLARSASMRFRAFCAIPAGKPKRMLSKLWPLGRSGVSH >Dexi1A01G0032170.1:cds pep primary_assembly:Fonio_CM05836:1A:36855224:36857023:-1 gene:Dexi1A01G0032170 transcript:Dexi1A01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDFQRRVAQRVRAVEIRPVLAQTISLGMILASALIIWKGLIVLTGSESPLVVVLSESMEPGFQRGDILFLHMNKDPIRAGEIVVYNVDYVVIGVLGLLVVASKD >Dexi9A01G0047410.1:cds pep primary_assembly:Fonio_CM05836:9A:50533565:50534206:1 gene:Dexi9A01G0047410 transcript:Dexi9A01G0047410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTTCFPCPPLCFHTRYPSALSFPAGGDAASTVTRKLRWSPNSTKDSVVGATAILTPGGAAICTVYVEFGVPTLVTVRRSTADDDLAPPLLNATAIEGSAVQLTPVWTRPGSTARAGLTCPAPMANTPARPPSPSMIAFPCRSVMSADVMIADRTIAGLHDGCDDRTSAAMPAT >Dexi9A01G0047410.2:cds pep primary_assembly:Fonio_CM05836:9A:50534216:50535289:1 gene:Dexi9A01G0047410 transcript:Dexi9A01G0047410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESTLKFDRRASTSSPDGPMLPGHAAMMFTPGAITSGLSTDGFVKLGPRAENSATAGARARPIRVPANTNLADGRRVELDGLLIADERRRKDVHVDGVLLPVYLGVCQNHGRAACFFHGLAFVGTAGDATVADHHLSGDGGFIQGPFETVLGFPGPTSGVHELEVVLIPAFFQTTGFPGVHGLAVQDTAVAKPDVRREAAVEGGGADGEHPRRLVGDGAPRGAIVPGGAHDGDAPRRGAEAADGDAVLEERDGEAAEGEGEHVDAVAYGVVHPGEDVGAVAAVEPAHLAATCAPGATPRASPAPAPRTLAPGTAAPAAVDAVWVPWPCASRGDTYSSSDAASDGLVG >Dexi2B01G0032820.1:cds pep primary_assembly:Fonio_CM05836:2B:40607327:40611472:1 gene:Dexi2B01G0032820 transcript:Dexi2B01G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAIAFMLLCSLLAISSTAEEFDFFYLVQQFYPADQWPGSFCDTWSGCCFPDTGKPAADFGIHGLWPNYVKCHSLHDDEGLAAGEHVGDVNAFFHSTSVGRRHGKNNKKKCWPEYCDDGNAALSPWEIRDLVSSMETSWPTLSCKSSQSIEFWSYEWKKHGTCSNLGKHDYFARALALKAAYNLTAILAAAGIVPSATDTYSLSDVSNAIAKGTGFTANLECNRDADGEDQLFQVYQCVDRDGSQLIDCPVPMPTKCHATHTKQIADSTQGRRSMKLAIAFGLVFPLLAVSSAAERFDFFYFVQQWPGSFCDTWRGCCFPDTGKPAADFGIHGLWPNYAHCHRHGDDDVFSIIGRLLRGRCWPQYCGGDDDGDEGGKLSPWAIRDLVASLQRNWPTLSCKSGDSFRFWSYEWKKHGTCSNLEPHDYFARALALKAAHNLTEILSAAGIVPSATATYSLDSVSDAIAKGIGFKANIECNRDSDGESQLYQVYQCVDKEGKNLMDCPLHMRSKCSDQSQGGRGGWIRSTGVCVVVP >Dexi2B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:2B:7832903:7833857:1 gene:Dexi2B01G0007600 transcript:Dexi2B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPAEEQLDEPVPDHDPLRVRDLIRVDGCDDDEHCSFITSVADAVRAAASGIVINTFDAIEASELTKLQSELSLPAFAVGPLHMLKFQAPPVEQSLHEPDRGCLPWLDTHPPRSVIYVSLGSLACGDRLVFDEMAWGLAASGVPFLWVVRPGLVITGSGDDDEAPPPPLPEGFEEETRGRGRIVKWAPQREVLAHASVGVFWTHCGWNSTLESVCEGVPMLVQPCFGDQMVTARYVTHEWGVGMEVGEVIERGKVAEAVAEVMVGEDGALMRERARHLKMEASAATGSAMDGLEWQ >Dexi2B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:2B:22264698:22265591:1 gene:Dexi2B01G0013550 transcript:Dexi2B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSLGTRSSRSEDDLDLQAQMERRRKRRKESNRESARRSRLRKQQHLDDLTSQVKQLKDQNKQLSMALNITSQNLVAVQAQNSVLQTQKMELDSRLGALTEIICYMNTITSTGAYAPTNPAMANNITSTSSYDLLGASSSWNQQPIDLYQCF >Dexi9A01G0035540.1:cds pep primary_assembly:Fonio_CM05836:9A:40189647:40192709:1 gene:Dexi9A01G0035540 transcript:Dexi9A01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLLSLPSPLHSPLPLRFAALPPLHQTTTALPDLRFACVSGSAAARRQRFRIRIHRARSTAPPGTVEFRTPMPLLLLLLLLAASPAPARAGDPYAYYDWEVSYVSAQPLGVKQKVIGINGQFPGPTLNVTTNWNVVVNVRNALDEPLLITWNGVQQRKTAWQDGVLGTNCAIPAGWNWTYTFQVKDQVGSFFYFPSTPLHRAAGGYGGITINNRDVIPIPFGFPDGDITLFIGDWYNRGHKELRSALDGGTLLGAPDGVLINGLGPYQYNESVVPPGIVYERINVEPGKTYRFRVHNVGVSTSLNFRIQNHNLLLVETEGSYTSQQNYTNLDIHVGQSYSFLVTMDQNASTDYYVVASARFVDAAVVDKLTGVAILHYSNSQGPASGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGKLRSTLNEISYIPPSTPLVLAQLFNVPGVYKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATTVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQNLDTWYLGQEVYINVVNPEDNSNNLPDNAIFCGALSSLQKEQSHRFVYSEASSVAQWRKTFSLLFLLASFTIWLQ >Dexi2B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:2B:29501670:29508007:-1 gene:Dexi2B01G0019360 transcript:Dexi2B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRARPGAFLRLFASSCRRSSLHPSRPHLPNPTALPPPPVAKKLPFTVSAHGRSWTDPYHWMRDTSDPDLAALLAAENTYANAFVGSAGGGALCARLAAEMRARLPPSAATPPQPWGPWLYYQYVPEGEEYPVLSRKLRPLGGLARALLDYLSGLEKEQVLLDWNEVAEKNGYVHIGSCRISPDHRFLAYTVDTSGGELFSLEVKDLQTQNVVFSSPDKGIVSLAWARSCESLFYTVCDETLRPNQVFCKKLQSDEPEFLVFTEKDVFVMESDNVREGLWPIRKRVDKVQYFLEHHNGFFYILTNAPVNGTEPTTEGYYLARCRAEKSLVDRWQTVAFPGSYCTIQDMDIFHDNLVLFLQKNGTPLFCSIDMPIDDDVQEGKELDDLNPWYFPIPSNLCSIVPGSNNDFISSTYRLVVSSPVVQLLSVGGGGDLSLHLAGTKAKKINSIQDFAACGMHLIKEGLVHQNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMLDPTLPLTVLDYEEFGDPNIPDEFEAICSYSPYDNLSPGVCYPPVLVTASFNDT >Dexi3B01G0036560.1:cds pep primary_assembly:Fonio_CM05836:3B:39436055:39441602:1 gene:Dexi3B01G0036560 transcript:Dexi3B01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLRFHPHLRRRGGVQSPAAFPGPPLLLAARLLSSSSYSSAPSPAAAAGGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRAADEAVRIGPPPARESYLNAKAIIDAAHRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKVFGDQHGNVIYLYERDCSLQRRHQKIIEEAPAPNVTAEFRSHIGGVAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGECLPLSQEEVPLNGYMLKMFQEDFFRQQEPYITTDQSPLPQQIAGLPTNVGFLQELASHSAFETGIVDTHFIERYKDDLSSTSTKASGESHDVAELGAMLAAACICKKDHITSKESIRANKTLSVWYNSTPFRMHHFVRQPLELELEEHDSFSELMLKLFVTYKSDGSYFVETEDCTSGLDVKVDHKGDHDFRVDAAGLQTDVTLAYYSKDNSKHIHLWNGKHHHHYRQTMRAEHVADDSSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGALVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFAIKAQYSAEFQTNLFQDNTAN >DexiUA01G0007200.1:cds pep primary_assembly:Fonio_CM05836:UA:13745822:13751716:-1 gene:DexiUA01G0007200 transcript:DexiUA01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVIHSSFAIIHPFLWCAQVLRSVVHNYPSCANMIWEKIRDNVLDLLQVQNFEDQKSDANFGPPGPKEESSIKGRCLVAGIKVRTASLTCFAGMTSDVFFSLSENKRDYVTASSVHAALSDVVPSVRSAACRAIGIIACFPEILSSPSLPVKFIDAIEFNTRNSSAPVRVTASWALANLCSCIRFKALEGGTDTYSGVGILNKSSMSLLVEIALRLAKDGEKVKSNAVRALGYLSRFIRFNHQANTVNDPSDSVFYGDPVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALESLSSNNSSLPSNFKQKDNVEKQLTFTALHLLGFVSQNEDPSLKDFLIKKASFLEDWLKSLCASFSKDEHQPLPKEAINDEDGFSPNVTQKVMLSSAVQSLLGIYAGRNQQVITQKFEQLAASVS >Dexi2B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:2B:7521437:7522231:-1 gene:Dexi2B01G0007170 transcript:Dexi2B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCGEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Dexi2B01G0007520.1:cds pep primary_assembly:Fonio_CM05836:2B:7793600:7794950:-1 gene:Dexi2B01G0007520 transcript:Dexi2B01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHSQQLTTLPSRRSSRGLGVTVLHTDFNAPDPARHPAGLAFVPIHETLPGEATSPDSNILTKLLALNAACDAPFRHALASLLRARHRDDDVACAVVDGQCFAAMRAAGELGVPVLALRTDSAAAFRKMLAIPRLRDAGYIPIKGNKKEKLDEPVPEFEPLRVRDLIRVEGSDTDEICGFITSVADAVRATVSGVVLNTFEAVEASELSKLQSELSLPAFAVGPLHLLSSSSSSSQAPAAAEQSLHEPDRGCLPWLDGHPPRSVLYVSLGSLACVDRVLFDEMAWGLARSGVPFLWVVRPGSITGVGDDDAEAPPPLPEGFEEETRARGRIVKWAPQREVLAHQAIGPFWTHCGWNSTLESMCEGVPMLVQPCFGDQMVTA >Dexi1A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:1A:1319937:1320496:1 gene:Dexi1A01G0001980 transcript:Dexi1A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNIVEYKSLLAFLHSEQDIGDYIDADALAILRTRFKRHIAYEQKACSSKFMEYWVPAYFSQAQLKQYSSLLLANSSILQSQMATDNVKALRDIVMSLWKCCNQPCLVGLQHSPISTHDVNESADDIMHNSGKLLLLDKNA >Dexi1A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:1A:24729108:24730973:-1 gene:Dexi1A01G0017370 transcript:Dexi1A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHGIVIVGGGICGLATALALHRKGIASLVLEKSRVLRAEGAGIGIQANGWRTLEQLGVAAELRKTADLITTEARVPGIGIQTEFRCLNRKYLIEALAKELPAGAIRFGCRITAVHADTDGHGAVLTMADGTTMKAKVLIGCDGGTNSVVARYLGLPPIRTIPRPVLRGFTSYPHGHPFETEFLRLRVGDFFIGRLTITDNLVHFFITMAKPVTDAWLKNSDLRVVRDHMLKVMEDQQCPAEIIEVVRQSDPESLNLVRDFWYRPPWEVALRGFQKGAVTVAGDSMHAMGPFIGQGGSAGLEDAVVLARSLARAVGGAAAGEEKMIGEAIGAYVRERRLRLTLLSLESFIMGVLLVRSPSPVVKLACVAVLVLLGSRSLRHANFDCGRL >DexiUA01G0008500.1:cds pep primary_assembly:Fonio_CM05836:UA:15905062:15906119:1 gene:DexiUA01G0008500 transcript:DexiUA01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLICSDKEQVIPTIDAEQAHALLSSGHGYIDVRMREDFDKGHAPGARNVPYYLSVTPQGKEKNPNFVEEVSALFGKDDGFIVGFKNARNLQGGYRSFLQSANQQPSQQE >Dexi8B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:8B:2504824:2510772:1 gene:Dexi8B01G0003370 transcript:Dexi8B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGDGGAEEGVGESSSPPRSPAPVPVPAASGGSAGRVGGGGGGAGGRDICREVFERLVADGHVEAAGASGPELRARLEAHFARLPTSYMLDVNLDKAEDVLIHQKILAEAKDPDKRPAFAVHFLRLEETNVDETTNSDAHEEGADIDEALSTRSKRYTHIHEILFSTTDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWAVEDTDGLHKALEASILRNEGSWSGSDSSASGRSLPFLAQDCESDIDTRLLKIVKKVASGSCGDMFLGIYGGEEVAVKILNPENVDENAWNEFKQEIYMLRGSLFDFLHNDHNVLDLPTILKFALDVCRGMSYLHQKGIIHRDLKSANLLLGKDHVVRVADFGLARFQDEGGAMTAETGTYRWMAPEIPYTGMSPLQAAIGVRQGLRPQLSENAHPRLSLLMQRCWEALPINRPSFADIITELEDIQVQAQGTSGESSQKQKDGDNDN >Dexi2A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:2A:12266731:12269898:-1 gene:Dexi2A01G0010890 transcript:Dexi2A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSPPCAPHLHHHLHLHRHRPPSQPGATTTTSRSSRVLGVTLCLRTPTTPEERWRQRRRVAKLQDASVPSPVPAPPAPALKPPPETAPSPAPVAAARLTPAAERRGRLREMRRVWWVCGVGYWVQGFRCFPWLALNFHLARGLGLSPAALQLAQNAANLPLVAKPLFGVLSDAVYIGRAHRLPYISIGALLQLIAWGTLAVIPATSDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLKTQEPKIMFTAFSVLLGFQLALSLGTKETLPSTQETLASTPRNTRSHLVRSSLAANLRKQFSNLMMAVSEDRIFYPLTWIMTSFAVVPILSGTMFCFQTQYLKLDPSIIGLSKVMGQIMVLSLTVLYNRYLKRIHLRHLIAGIQMLYAVAVLSDFALVKQINLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGVFGVGLSTLIGVSSVDYSNLPLGILLQSLAALLPLGWISFVPEKWTADEKIVIQR >Dexi9A01G0026220.1:cds pep primary_assembly:Fonio_CM05836:9A:28482539:28484319:-1 gene:Dexi9A01G0026220 transcript:Dexi9A01G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASTSTPRRGGAPGDYVSMSPSPSHTPRSASAHKSTTRLRDRTPLLYSNSSISSGQASQQQESSTPKAPAASSKGPGPGGVNVQVFGPKSQQQDVFNHAVVPLVNEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQNGDLPSDAGVIPRAVKRIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEIVSSAAEIYRILERGSAKRKTAETLLNKQSSRSHSIFSITIHIKECTPEGDEMIKCGKLNLVDLAGSENISRSGARDR >Dexi5A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:5A:10090735:10091353:-1 gene:Dexi5A01G0013450 transcript:Dexi5A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPFHFLLLIITVPLFLVLLLLHRSTLPTATLLRATGPDPRRFSLLIKLLAYDRPASLRRCLRSLAAANYDGDRVALHVLLDHRPPNSSLSSLAASHEMLNFVDAFPWPHGEKRVHYRVANAGLQAQWIEAWWPGSDDEFAFVVEDDLQVSPLYYKFLKRLVMKYYYDRENYSPYVFGASLQRPRFVPALGRK >Dexi8A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:8A:26842580:26842819:1 gene:Dexi8A01G0015750 transcript:Dexi8A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEVHQHPFLIKCDVTVIRANRVQTTTARSVAVPPPDLHRHFGDILVRCRWGDVHGT >Dexi9B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:9B:4898805:4902673:1 gene:Dexi9B01G0007940 transcript:Dexi9B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSTASTAVLLLLVLLAAAVSVSSSSELHRGQEQDRSALLQLKNAFPSLELLRRWSPDSGGADHCTWPGVTCDARSRVVALEVPSPPRHSGPSRKLAGELPAAVGLLNELKEVSFPFHGLCGEIPGEIWGLEKLEVVNLAGNSLRGALPAVFPPRLRVLTLASNLLHGEIPSSLSTCKDLERLDLSGNRLTGSVPGALGGLLNLKWLDLSGNLLAGSIPSGLANCAQLLSLRLFSNLLNGSVPAEIGRLTKLRVLDVSSNRLRGPIPEELGNCSDLSTLVLSGQFNSIESHELNLFEGGIPGSVTALPRLRVLWAPRAGLQGTLPNNWGRYSLEMVNLGENLLSGVIPQQLGQCRNLKFLNLSSNNLSGSLDKDLCPHCMAVFDVSGNELSGSIPACVNKVCEPQLMLDEMASRYSSVLMSKTLAELPLSFCDSGECSVVYHSFAKNNLEGHLTSLPFSAGRFRNKTSYVFVVDHNKFSGSLDAILLEKCSGLKGLIVSFRDNKISGQLTADFSTKCSAIRSLDLAGNQISGVIPANVGLLGALVKMDLSRNLLEGEIPASFKDFKSLRFLSLAGNNLSGRIPSCLGHLSSLKVLDLSSNSLAGKIPSNIVTLRDLSVLLLNNNRLSGNIPDLASSPLLSIFNVSFNDLSGPLPSKFHSLTCDSISGNPSLQPCGLSALSDPLVNVRALSETDTNPPADNTAPDDSGGGGGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRPSRRSLRREVTIFVDIGAPLTYETVLRASGSFNASNCIGSGGFGATYKAEVAPGKLVAIKRLAIGRFQVCRLSAVLVSATTSNVPNKLVASNSNAISEGTLKLDIHGIQTLVDYAALDCCMEH >Dexi3A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:3A:4808239:4810348:-1 gene:Dexi3A01G0007100 transcript:Dexi3A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPAATAAAVDASDDLKGTELRLGLPGSESPDRRVAASAATTLDLLPAKGAKRGFSDEAPPPSPAAAAGKGKKVADEEEDDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATTQQKGNKEDAEAKQGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSNGKDGLSEYRKDGEYVLTYEDKDGDWMLVGDDVH >Dexi1B01G0021340.1:cds pep primary_assembly:Fonio_CM05836:1B:27273864:27274956:-1 gene:Dexi1B01G0021340 transcript:Dexi1B01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSMRLRFCQLPPNTNQPPPRALSFVARPRDGHLAVLRGRPCPHRARAPPRIAAPDSNPLETICTNNVGLGTKLSGNKFFPVEMTVRDCDLDQYGVVNNAVYAYYIEKANNVTVPSAREERGARFVVMVRVVQIKGTRMLVEHTVETLPERKVLVLEATATVVCLNKDYRPTRMFPEVASKVLRFFSS >Dexi8B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:8B:281166:284380:1 gene:Dexi8B01G0000430 transcript:Dexi8B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRSSPAPTRLLSLFLFLVLVAASAQQGHHHHPAGDGVVISQADYQGLQAIRHDLADPYGFLRTWNDTGLTACSGAWLGIKCVLGNVVAITLPWRGLGGSLSQRGLGQLTRLRRLSLHDNAIAGPIPASLGFLPDLRGLYLFNNRFSGAIPASIGGCVALQAFDASGNHLTGAIPPAVANSTKLIRLNLSRNALSDQIPAEVVASASLLYLDLSYNNLSGQIPDAFAGFPSSSKLLNNNNNKEAITGSYQLVFLSLAHNSLDGPIPESLTRLAKLQQLDLSANNLNGSIPPSLDNLTATLQSFNVSYNNLSGAVPPSLARKFGEPSFTGNIQLCGYSASTPCPASPSPAPSVPASPAEGESHGRRKFSTKELILIIAGIVIGVLVLLLLCCLLLCFLTRKKSSGSSTTTAAARRGKQQAATATKDAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSALLHARPPNTPVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEQCNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDAAAGTVGDELMDTLKLALHCVDPAPSVRPEAREVVRQLEQIRPGSDGGAGPSEEEGGGAHVPAGDDE >Dexi3A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:3A:3034280:3041441:-1 gene:Dexi3A01G0004680 transcript:Dexi3A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPVGAEEEGARELLYEAYNELQALAAELGGAAGAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPSCAAPRCRLFTGPGDGDEDAGVASRPMPLADIQAYIEAENMRLENDPCQFSEKEIIIKVEYKHCPNLTIIDTPGLILPAPGRKNRVLQVLCLFVLLWFSLSVYIGSYTLVSTFDSTLKMHKLWQSCNLQSQASAVESLVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPELARTVLVSTKLDTKIPQFARPSDVEVFLHPPTCVLDVSLLGDCPFFTSIPSGRVGSCHEAVFRSNEEFKKAISSRELEDIASIEDKLGRSLTTQEKDRIGVSNLRLFLEELLRKRYIESVPLIIPLLEREYRNATRKLREISQEISDLDEAKLKEKAQLFHDSFLTKLSLLLKGMVVAPPDKFGETLLNERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCSPITREEIVNACGVEDIHDGTNYSRTACVIAVAKAREAFEPFLHQLGFRLLYILKRLIPISVYLLEKDGESFSHEVLVRRVQAAFKRFAESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFVAPEQLAVNTLHEQSPGLNDNKQDRAKGDAKPNHPSDTNSPSPVPEARLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLRQDLESAFEDELDSIFDVTQLRQSLGQKKRELEIEVKRIKRLKEKFGVINKKLNSLRVRQ >Dexi2A01G0036310.1:cds pep primary_assembly:Fonio_CM05836:2A:45868650:45869887:-1 gene:Dexi2A01G0036310 transcript:Dexi2A01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAAAMAAVVAAALCVAAAAARVEKVVDEFGGGASFIFGDSLVDAGNNNYIPTLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQADYSPPFLAPNATGGAILNGVNYASGGAGILNATGKIFVNRIGMDLQVDYFNITRKQLDGLLGQDRAKEFLRKKAIFSVTVASNDFLNNYLMPVLSTGTRISESPDDFIDDLIFHLRDQLTRLYTLDARKFVVANVGPLGCIPYQKTINRVEDDECVKLPNQLAAQYNGKLRELLIELNDGLPGARFCLANVYDLVMDLIANYPNYGFETASVACCGNGGSYDGLVPCGPTTSLCDARDKHVFWDPYHPSEAANVLMAKYIVDGDSKYISPMNLRKLYSL >Dexi2A01G0035710.1:cds pep primary_assembly:Fonio_CM05836:2A:45275105:45276463:1 gene:Dexi2A01G0035710 transcript:Dexi2A01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGVTMLCPMALALALLLLASGATASHSPSSCPATPPDAGGTLQVSHAFGPCSPLGSSGGGASPSWAGFLADQSARDTSRLLYLDCLAAVRGRAYAPIASGRQLLQTPTYVVRARLGTPAQELLLAVDTSNDAAWIPCAGCAGCAASNPFTPSSSSSYRPVPCGSPLCTQAPNSACPPNTRGACGFSLTYADSSLVATLSQDSLTVAGDVVKSYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYEETFSYCLPSFKSVNFSGTLRLGKKGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVTIPAPALGFDPATGAGTVLDSGTMFTRLVAPAYLAVRDEVRRRVGKPVSSLGGFDTCFTDPAVKWPPVTLMFDGMQVTLPEENVVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAI >Dexi5B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:5B:8553163:8557927:-1 gene:Dexi5B01G0012020 transcript:Dexi5B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASSGPQGPCHAPRAPAPPSDPARPATNDFEGGPAPRTPALSKRPRRVVKSAGGHSPRRFLAVSSASPHPIGSRLASARPSPLLPPVPSRLLPLPQQQQPAGHLDSFAVRAGPPTAASSSPPSSPACAGGVLVVPRGADPARSAAERLRGFGEVSSYKSSPVTFLFVWYDARVRLCVLRFLCSWGSLELEIYCKMEALRNMNLPPGFGFYPSDTELIGHYLKRKILGQKIEHDLIPEVDIYKHEPWDLPAKCNFPIEDNKWHFFASRDRKYPTGSRSNRATVAGYWKSTGKDRPIKQNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDEKECQVSPDMKDAFVLCRVTKRNDWALENGNGNEVGNDTDVENRNPNPQQPNSAVTSVVVSAVKPEDAAASVICAEDPNQVATPAGSAQLSNDVAMAASTGDTASPNGSNEVDLDALLEEMLDSSPSFNSVPDIGSSVPFVTEQYAESSNLGSVVPKIGPDHASPMKDGTDDYLFFDDIPDDLRSLLFPGSDDFSSCIFGPAGQAEAFATNQTYCLMGESSFARPNNSEDGTPKDELQLEQENNNPNMSNGNSDNGIIIRRRSVTSSTANISPVFGGFKMQVGIKKMVTSNSEYINQTMKFADNSGRCLDSEHQKEHANDATSVKQSGASKLSEAPSQGYPRGMKKAFRCSSVLFVVLVAVVAAVALHYHRSDVSL >Dexi4A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:4A:6730369:6731439:-1 gene:Dexi4A01G0008760 transcript:Dexi4A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYTNQLSSSSSSSSDQELMKALEPFIRSASSPTSSTSTTTSPFSYPYYPSASPQDSYYYHYLATASSYTSLPPPPPAPTATSFSQLPPLPSSSSSSSYASPAAAPYQTSSSMDAAAGLALNHLGSAQIQQIQAQFLLHQQQQRGLAASLLGPRAQPMKHAGAAPPSSLAAKLYRGVRQRHWGKWVAEIRLLRNRTRLWLGTFDSAEDAALAYDKAAFRLRGDAARLNFPSLRRGGAHLAGPLDASVDAKLTAICRSLTATPDSKAAATAAHSDSPKATSASTTTTEGDESSVHSAGSPPPSQPAFPLQQQQATPLPEMASLDFTEAPWDESAALHLNKLPSWEIDWDSILS >Dexi4B01G0006800.1:cds pep primary_assembly:Fonio_CM05836:4B:4766117:4766846:-1 gene:Dexi4B01G0006800 transcript:Dexi4B01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVAPRAAVVVTLRVEGWPCVRAHCSIRETDSGHHPSLMLAAPSERSYLWSTLRRHAGATMYQGAMAARDRFLPWVAVSLCPMGMAQRSNLQEAAELNRW >Dexi9A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:9A:7468221:7469552:-1 gene:Dexi9A01G0011830 transcript:Dexi9A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPTIVPAFEAPTWLRPVEPYKQLPEVVVDDHRPAQVDIWNAIQADVVNKAADVNKEATGKPYVHPLVRRSSSRLMSQKSLEVCTESLGNETGSGDFTSSLDMASLFDSALPAAEDSTFFWHHHDADRDHEEEEQWEETKGLAAVNYHCSSGTTRARSPRRAFPPPLPSMSRRDGPCLQMRSHRRDGRLVVEAVAVRPRGYLNARRQGGRLRLSFVECTQSGAARSSSSTITAGASEAPFFPAVEPSRNNVQEEEEEVSDVEMEEGDEEVDEEEVEVVDRGTVVEVKVSTQPQAPAAAKVHRSTLVINKFVGSTPVSVVDQQQPRCHVTDTAVEAAAACDERPTLRRVPSSTTTLAAAVAVASTETDAPAAPEEEEEDDECGGAHPSASSGGDEPKQPLLFTSRRGDRQDLLQSVRRCRQLRQKPLFILEPYCIATS >Dexi1A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:1A:7253076:7255316:-1 gene:Dexi1A01G0009060 transcript:Dexi1A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWREGGDSERSPTTATGGLRRRPDGEETMTVGSDKFYIELCVKTKCDPGNKRCYCCKTVPVERCFWGQQECWNYCPNRPQLRVGSQIPAPATGPIIHDR >Dexi5B01G0038350.1:cds pep primary_assembly:Fonio_CM05836:5B:37565263:37566328:-1 gene:Dexi5B01G0038350 transcript:Dexi5B01G0038350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGHSSELPVADTTATDPDRARLHQLGYKQELKRALSVLSNFALSFSVVSVLMGVTITYNTGLRYGGPVSMTLGWLVVAFFNGCVALSMAEICSSYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQAL >Dexi7B01G0022030.1:cds pep primary_assembly:Fonio_CM05836:7B:26983730:26984194:-1 gene:Dexi7B01G0022030 transcript:Dexi7B01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATTPLAHLALAAPPISASQSSLLLLPRRPSPAPVSLSLRSRLVAAVATKEPELGGGSGSEGGDGAGGSGGGGGDRDPREGVPEGEGEGEEEKMGQGLSMSQKLTLAYAALVGVTNHTEG >Dexi2B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:2B:4371288:4380372:1 gene:Dexi2B01G0004720 transcript:Dexi2B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGIFRDDSDEDDDNVQEREANKDMVVYLVDASPKMFTPAKEKKNLQDLAGVYVYNVGDRDPLDRPTAKLIKDFSCIEDSFMDNIGSRSVKTVSKRILIFTNEDNPFGAITGAVKTDMIRTTVQRAKDAQDLGLSIELLPLSRPDEEFNMSLFYATERSFICNDTGALLQDPQMRFQMYNEFALAFYGNPTRPQLVALVAQEELTSSSGQVESPGMHMIYLPYSDDIRYPEEVHLTSDDAPRATDEQIKKASNLLKRIDLKDFSVSHFANPGLQRHYGILEALALGEDEMPDIKDETLPDEKGLARPGVVKAIDEFKASVYGENYDQEEAEAASAKASHGDAAKKRKAITDAASLKSAAYDWAELADNGKLKDMTVVELKSYLTAHDLPVSGKKEALISRILTHLGK >Dexi5A01G0034890.1:cds pep primary_assembly:Fonio_CM05836:5A:36850743:36854748:1 gene:Dexi5A01G0034890 transcript:Dexi5A01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAEPVSVEDLFTSIHRHIEAGQFAQAAKVADQVLKAAPGDEDAVRCKVVAHIKADEIDKALAAMRAAERLPIDLSYYKAYCYYRQNKLQGALDLLRGQEESAAILQLESQILYRLGRMNDCINSYEKLQKFKIDSMDLKINIIAALVAAGRASEVQAAMKAQKVDLTARALRDARSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVEDYGEGEIEFELAPVSAQVAYVQQLQGQSQEAMQTYVNMIKGNSADGLPIADPSSIAVATTNLISLKGTKDSADSLKKIDRLIEKSAAPNQLQLIENLDFKLSQRQKEALYSARVLLLLHGNKTDQAHELVSGLLGMFRDSVFPVLLQAAVHVKEKKVQKAEEVLSLYAEKHPENSKGVLLALAQIAANANHFQLAADSLSKIPDIQHMPATVATLVALKERLGDSNGAASVLDSAIQWWKNSMTEDNKLDMFTREAAAFKLSHGRDEEACLLYEELVKSHGSIEALAGLVATAARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARHVEGPQDMKVDVPEEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVTRETAATNAGGSSKGSQATSSSKTPAANTDQPKTSNKSRKKKSRS >Dexi1A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:1A:6621228:6625027:-1 gene:Dexi1A01G0008500 transcript:Dexi1A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQVLASATQLVSAMVTAVGALEQAAADLAEAPRRLQVLEDFVSDLDALAQQARQRHAHKMHGPQLERQLQSLGRLMDQLGTNIAKARQVLSKKGRGKAFARVVKSSVVGDPLMRYVKLIRDDLNWWLELQELTQSVGDVIASTAKSTPSLVRVKSERGYPVSKKCSYVRELLERDGGHRVVLIVGLSGIGKSCLARQIASDPPGYFVDGAIEISFGRWCSRTACNGSRSEYHKRLVRKICKFLVQIGSMIVKEEMGKDLDDVCCLLQTALVGRNMLILLDDVWEQDIVDRFTKLYDNDCRHLVTTRDEAIYEIAEAEKVEISKDDIKEISKEILLYHSLLSVGELPPVAEVLLDRCGHHPLTVAVMGKALRKETRVEKWEKAISNLSTYATCAPGPVSYVNEKDVESTLTIFGSFEYSLEAMPENSRSFFMVLAAISWEEPVPEACLESIWSALLQDSLFPLVVSKLVEGSLIIKLEDQLLYHMHDMVSLYLENKTNDAVRTLLSESISVCAALIASWLFVFGKECVKGPAEQKMRSFFSLLEFMEIEILLGSTTQALMACRSISNFESSRLGFSKILGPRIAEIISVGSPDLIFAITKAITVIFFQADYVNLAQSLETAGSIDKLIDLLGVCEDTSTLVNFSSVLAKISEHVDATTADEILSRTPMDRMADLLCPENEQWHEIVFTTLSSLTKVGKLKAVETMIESGVDKKLLVLLGNGSEISQHHAITMLKTFCELGAPLQGCMGPGVLVHLPWRARISLERFVLFDQSVPPSPKPQQSFEVILHKILQKDNKEIIEAIQGLLPFAERANDSRVQDLLLGSILFDRLALLLQRREVESNQVRSQTAFLVMKLACTGGEPYVHRFLELNIVLNLIDMMQCNIDELQDSAYYALHQIIVFAKGGSLVLQRFLQLGTIEKLVNLLDRKSLKTKDLAMQLLVDITVVGTKPCIERMLASHVVEKLVALEKAGDEPFNGAVSRYIQGLNMCKNVQSAERAVMKQHILRKVRSAVRGHILEASLVASVEACIAEGTKGASSSRKKK >Dexi8A01G0010830.1:cds pep primary_assembly:Fonio_CM05836:8A:18916751:18917119:-1 gene:Dexi8A01G0010830 transcript:Dexi8A01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRTWRRLLHRAGLFLRGELEAMELEEEAGMVDLRRHHLHRRPTSCSSYLRTPWLCSSSSRRPRRRRGDLPCPPSIELDAPPRVDSAGEEWGRDKGDGCRLGIPSWLLGRGAMAGEPQGR >Dexi6A01G0010880.1:cds pep primary_assembly:Fonio_CM05836:6A:15422014:15424838:1 gene:Dexi6A01G0010880 transcript:Dexi6A01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGGEDEEEVNDHPIEEVRNTVPITDDPSEPCLTFRTWILGMSSCVMLAFINEFFNYRSSQLSVGTVLVQIASLPIGRIMASTLPEQQIRVPLTGGRWSFSLNPGPFSLKEHCLITIFAGAGSSGVYALNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRTFLVDSAYMWWPINLVQVTLFRAMHEEEKRLKRGLTRLQFFIIVMICSFSYYLIPSYLFPSISTVSVLCLVYKDSVTVQQIGSGLKGLGVGSFGLDWNTVAGFLGNPLASPAFTIVNVIAGFDTYIVLPLLYWTNTYNVKRFPLVSPHVYDDAGGAYDTNRVLDPETFALNLKEYNAYSRINVSVLFVINYGIGFASLMSTLSHVALYHGKEIWDLWREATAGKADGGKEQDVHTRIMKRNYKPVPQWWFHLMLVIVLALSVLTCEGFGRQLQLPYWGLLLACAIAFSFTLPIGVISATTNMQPGLNVITELIIGYLYPGKPLANVVLKTYGFISMGQALAFVSDFKLGHYMKIPPRSMFFAQLAGTLTASTVHFATAWWLLTTVKNICDVEHLPVGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLIGLLAQVPSWLLHRAYPRSRVLRSINLPLIFAGASGLLPARSVNFVMWRLVGILFNHVVYRRCKAWWMRYNYVLAAGLDAGVAFMGVLTFMALGYFDVYGPQWWGGVADDRCDLATCPTAPGVVAKGCPVA >Dexi1B01G0023690.1:cds pep primary_assembly:Fonio_CM05836:1B:29218273:29218618:-1 gene:Dexi1B01G0023690 transcript:Dexi1B01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRQAEELLRETVYFIENYTWSNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQAKDKKDSKQAKPEEPKPKNPPAEAKQYQKSNDSSVRLLFNSSET >Dexi5A01G0028330.1:cds pep primary_assembly:Fonio_CM05836:5A:31639623:31642181:-1 gene:Dexi5A01G0028330 transcript:Dexi5A01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPLLGSRAANDGHQERRRPSWLSRLVDTEEASVQLRFSAPMILMNMFYYGIPLVSVMFSGHLGDVQLAGATLANSWVTVTGYAFVIGLSGGLETLCGQAYGAGLYSRLGLYLQSSLIVSAAASALISVLWAFTEPLLLLLRQDPRVSRAAAVFARAQIPGLFAFSSMQCLLRYLQMQSVVAPLVAFSAASFALHLALACLLVGPLGLGLAGASAAVSASYWAACLALLAYVLRSGAFSETWKGFSAKAFRYVLPTVKLAAPSAVMVCLEYWAFEILVLVAGLLPNSTVSTSLIAMCTNTEAIAYMITYGFSAAVSTRVSNEIGAGNVDKAKNAVKVTLKLSVLLAISFVLLLAFGHNLWASLFSGSATIISEFGSIAPLVIISIVLDSAQGVLSGVSRGCGWQHLAALTNLVAFYLIGMPLAILFAFKLKLYAKGLWAGMICGLACQACSLLVITVRTKWSKIAEAMQQEKDNYGYGVA >Dexi3B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:3B:11031041:11031912:1 gene:Dexi3B01G0015220 transcript:Dexi3B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPRAESSASGCGKVWVHNQKAEQARILALVREFPIVAVKTSHDVPAQRTPPATPPGILNGSYEAVRASVESMRSAQLGLALANCDGNLALGRVWRFPLSDQLGAERECVEPGTEPRRADPRGLCAALRVWCAIDAWPNGVLVTRDGAEDVAYVVRHLRGEALLPVREEFLQMCNAAFPALYDLKVMAEWATLNKEEAPLAGARRDAFRGFLALVRGKKHGGILDDYNAFLFGVGVADTMELMRFKKTIVEDVERLRQMKELFRKLYPGHDPEYPDRLPLC >DexiUA01G0010060.1:cds pep primary_assembly:Fonio_CM05836:UA:19746848:19747503:1 gene:DexiUA01G0010060 transcript:DexiUA01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRACTSAVKTATAAASSVTMARSPSAPDLALASATERVRAGAFGPGDARQLLDELRRQGTRVHARALNGFLAALVQAAPSAACSDSPALAVSFFSRMSRAAGSRMMSLSFHTYDILMDCCIRAQRPDLALAFFGRLLRTGLGADEITLRKLLRSLCKAKRTEDALDVVLHRMHELGCEPNVFSYNIILKSFCDIGNTGRAWSWLLT >Dexi2A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:2A:28310312:28313224:-1 gene:Dexi2A01G0016580 transcript:Dexi2A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDASYLLCAEDSAAAFFGAAACNTDDDDEDGCCSSAGEEESAAASIVELIGGEADYSPRPDYPDRLRSRSIDPAARADSVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPQEDWWAMQLLAVTCLSLAAKMEETLVPSLLDLQVEGTSSYVFEPGTVGRMELLVLGALNWRLRSVAPFTFIDFFACKVDPSGRHTRCLISRATQVILAAMHDIEFLDHCPSSMAAAAVLCATGEIASLESVSPGAAVSWCIGLAEEAISSCYRLMQQLVIGNVQTRVPSAAANLCCSDEAVSSHSSSVSSPPPAKRRKRSPPGI >Dexi5A01G0032600.1:cds pep primary_assembly:Fonio_CM05836:5A:35082932:35085599:-1 gene:Dexi5A01G0032600 transcript:Dexi5A01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGEQSAITASAVAAVEPAVEQGCVSGGGRRLREKCSDEEEGSQRFSDAEDRSLHSHSRQGSAALEDCISTPASVGCDAGASAAAGDAAERARKSCVSECSVDDVIDLEAGLTEIAKDSPDKAERNCRICHLGLDSAAAESGAGIVLGCSCKDDLSHAHKQCAETWFKIRGNKICEICGSAACNVAGFSDADFMEQWNESSNTVAAHATATEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Dexi2A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:2A:4830376:4833918:-1 gene:Dexi2A01G0005150 transcript:Dexi2A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFNRQDEEDDFKGVPRDSRTEIQQVGAEMRRHIQKMNRRFLVIFHNGSSEEIDLANFCGFPLSGYSTNKHCWDKLRVLKLSRCTFNFQSPPFICCHSLRFLWLDHCQGTQTSTDRGARKEEDVRRCFQRLWVLDGANVIGAQHWDMGQLQGRLPNIRKLRTVKIRGCWSLKSLPYVGRGSNNKVVECDCEKEWWDRLEWEDSSQANRYKPIHSRYYKKTMLRASFWPAIHWVAAARLPESLALPAGW >Dexi7A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:7A:27522276:27523435:-1 gene:Dexi7A01G0018040 transcript:Dexi7A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARARLLACLSALTTLAAASWVAAGGHRMTDQVDILWGPTQVINGSSDGDQIVGLSLDRVMGSGFRSKTSYLFARIDIDIKLVAGNSAGTVTTVYMISEGQWNIHDEIDLEFLGNLTGEPYTLHTNIFANGSGGREVQYRLWFDPTQDFHTYTILWNSEEILILVDDMAIRRFKNHWDAGVPFPVYQPMRLNGVLWDADQWATQGGRVKTDWTQAPFTAYFRNYRATGCEPSLDGAWVCGQDPSGGGDWFDGGVAGMDDVKQTQQLREAQQRYTIYDYCTDSVRFPDGFPKECGLP >Dexi3A01G0031450.1:cds pep primary_assembly:Fonio_CM05836:3A:35906380:35907140:1 gene:Dexi3A01G0031450 transcript:Dexi3A01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLGVSGISQKNSRRVLSAISGHYHLESLSMGLAKDNQIVHWDGIYYPKNLRSLKLYGHVQMLPPQIKELQNLKKLSLEIISCKEEDIKVLGKLEKLQTLRLFVMKFDNGDLLFPVMPEDADWCALFPKLTVLEIECNSNLHVNFPLRTMPNLELLKVSYRSGSQLELSGLDHMTSLKKVWLMGPSDRVLFDSVQQQLYRNPKKPVFDHPEE >Dexi9B01G0034360.1:cds pep primary_assembly:Fonio_CM05836:9B:36345477:36348042:1 gene:Dexi9B01G0034360 transcript:Dexi9B01G0034360.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQPPPPSAGEMALYLLFESASGYGLFHAYGIDEIGQSVEAVRSSVLDLDRFGKAVKLTAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHIAEVTGIPCQSNEFVQELLRGVRLHFDRFIKELKESDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFGWHFPELVKIVNDNYIYAKLAKFIVNKSDLAEKDIPALSDIVGELDKAKEIVEAAKASMGQDLSPIDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRATTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLREQVEERLEFYDKGVAPRKNLDVMKAAIDSMVNEASIDDDDNERTDASAKKSKKKKSKTEADADAMDLDKPSKAADGEAEPGTEKKKKKKKHKLENQEQENVTANGDAEVEETPKKKKKSREVSEDAEPKTATEGKKKKKKKSKAEDDE >Dexi5B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:5B:4739825:4739977:-1 gene:Dexi5B01G0007060 transcript:Dexi5B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSSPAPSSPPAGGMATEEGGEQDTEAGRCDYCELS >Dexi6A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:6A:28198625:28200755:-1 gene:Dexi6A01G0020810 transcript:Dexi6A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGGGGGGDDEAAALTAMREALLPAADKVVAEDLEEIRSVRSFLRHAAEENRRLWSLAGPAIFTSVAQYSLGAITQVFAGHLTTLELDAFSTENNVIAGLALGITLGMGSALETLCGQAYGAKQLHMLGVYLQRSWIILNTMSVLMLPLYLFATPILRFFHQDAEIATLAGKLALYMIPQLFAYACNFPIQKFLQAQSKVMAMAVVSAAAVVLHVALSWLLVGPMGMGLVGLAIALNVTWWFTVLGQFAYILMGYCPGAWNGFDWLAFSDLVGFARLSLGSAIMICLELWFYMFLIVIVGNLGNAQVAVAAVSICMNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAAKFAIAVVLMSSVAIGLAFFVLVLALRDVYGAPFTESPEVVRAVASLGLVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYLVGIPVGYLIAFPLHGGVKGMWGGMLTGVGMQTVILVAITLRTNWDKEASEASSRILKWGGSAALKPDH >Dexi9B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:9B:4464997:4465917:1 gene:Dexi9B01G0007310 transcript:Dexi9B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRKMARVDVAELKQRLVKRLGRQRAGKYFAHLTRLLNLKLTKVEFDKLCCATIGKENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVAAVLDSGDGELARERGAPVGKVVSVEDGEEVEQVRSAPCVQSRSPITAPLGISLAGSSGVRMQRRMDDPKVSCYDSGHLLDTGTLCEGLKRRLHSDGIGVTVQGVDALNRGLDEFLRRLIKPCMDLSRVRASSRRISKVNEKFTGRMNGVLQPNMGHCSTLQDFAVAVQSDPHSLGPNWPTQIEKIQTMSFGGE >Dexi8A01G0001740.1:cds pep primary_assembly:Fonio_CM05836:8A:1193613:1208127:1 gene:Dexi8A01G0001740 transcript:Dexi8A01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVTVYIITRSESAMFEAQVSLDLLMMAVTSGKERDEDEWRKIFMDAGFRRYKARPLLCFLSIIELYPTLRRSLPPGLADARCCLSAACYCIRPSGCRSFSPVAHSMDAAGPATNRGGRHDRGPAAAAGHTSTRERPSSSASSSSSPPAPGQERTGREWRFDLVAISVQAEAAQQAKEPTRRPKTVGDRFPLDRHEVSLLLKLLPMLPLPPHLNPKFMRASSNTVDEIREAKGEISFGDQQRCAADGRWHPWFAAAFSSLSSSVVLNLRLFRDNRAAHRAHVPACAATEAHSGKQLAAASPDLNRGCIHHTPCAHFTSKPLLLLPLLNCRAPALYSQLGARLFFLRRCWLVDAW >Dexi9A01G0027030.1:cds pep primary_assembly:Fonio_CM05836:9A:31338690:31340336:-1 gene:Dexi9A01G0027030 transcript:Dexi9A01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSVIYAHVAEAGEVGVCWGTEADNLPEPGSVVQLLKNNSITMVRIYDTNSAVINALANTGIKLMVALPNQQLDAASSPSGALQWVHDNVAKYYPSTLINGITVGNEVFDQASNLNQQLVPAMRNVYAALQTLGLDGAIKVFTPVAYSALKVSWPPSQAVFRDDIPQSVIADLVSFLRQTGAAFFINVYPFRAYLDDPNHGISLEYWTFQPNAGVTDPYTSRVYYSLYDAQMDALRYAIGRVPPAATASSLRASLAQGTGADDLLLTCSECGCSCWNFPGKHCPCQNFANGLISYTLAASTPSNSKYTASLLGSAGGGGATSAFIFALFNEDNKPGDESERDFGIFYPNMQPVYDVDFVHGSGSWCVANAAVGNTQLWAALNWSCSHGADCSAIQPGARCFSPDTMVAHATYAFNDYYQRNGQASSACDFNGAGSIVYQQPKLDWACGPHGADCFDPDTKVSHAFNDCYQRNSRAASACSIVYQAPSKFTLRILMVFISNQEQIEK >Dexi7B01G0022050.1:cds pep primary_assembly:Fonio_CM05836:7B:26994293:26994922:1 gene:Dexi7B01G0022050 transcript:Dexi7B01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGAASASASFEQHGKRRPPAPPGPSSQQQQRKLRLKLSVQQDDDVVPPVTVVLDGRCICHRVHLNRHTGYRSLAAALRRMFVDDTDAAAADDGEDGLDLANAIPGHVVAYEDMEDDLLLAGDLKWNDFVRVAKRIRIIPAKKSSRTKKCGGGLDN >Dexi7A01G0007950.1:cds pep primary_assembly:Fonio_CM05836:7A:19154111:19155725:-1 gene:Dexi7A01G0007950 transcript:Dexi7A01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDTRSDTFRTASTAAPSTSLPGVEDMGGGRQYMKRRTESWDTSESGPRPRPTSPDACAGGPPALESLHPKTTPWNTLVPTASLSMARLAAGVAAAGDDGEGGGEPGVEDGVELAEAADAGLALEPDEVGGRVDGGREEVLRRADAELDEVLAPAGRQRGLARRGGAHAAASAAASHRGGDHRAGEAALHGAADELVAREVGVRAGKVEADGRRRHDDAVRREDREGEEEQEHQKLHGGGERRVPGALVRHVP >Dexi3A01G0034240.1:cds pep primary_assembly:Fonio_CM05836:3A:39461705:39464725:1 gene:Dexi3A01G0034240 transcript:Dexi3A01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVLLRCCDVELKPPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSRKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDDKIDFSFRLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIVESIIDKTFEEADTKHDGRIDKEEWRSLVIRHPSLLKNMTLQYLK >Dexi9B01G0034190.1:cds pep primary_assembly:Fonio_CM05836:9B:36211873:36215235:-1 gene:Dexi9B01G0034190 transcript:Dexi9B01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEKRRRSWFERIRRLFTSEPKEKPTPDKKAKSKRWLPGKLRTQQSFALPAPAASDQQQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAGVVRLTGPQQPAPPPPGHEHIEREHEQAAVAIQSAYRGYLTAATLRGLESLMRIQARHRSKSGAEEEEEDDDAMLLRRGRELYAAAVHEQQQQQAAGNKGWDSSIFSKEEMSAMTRSREEAALKRVRALQYASLQSEKLGIRRPPLSSRDEMDTTLNHQRWSWLDEWVGSQPPFDKDVPVAHQSPYTTAAAKDDPTAKTRRTPAAAVDPLAAGGDNDDRLGCSARRSFVRPRRAPARAAAAGDYYYYYDDVAAPCSPAPFPGYMASTASAKAKFRSMSTPKERGGGSDAYSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTARPPPIAQRSPRVAAKGPMTPARSRSRKSTNHHSFGSEAALHQLQMEHYTPVR >Dexi8B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:8B:21235454:21236452:1 gene:Dexi8B01G0011980 transcript:Dexi8B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGRFGGGGGRGGGGGRFGGGGRGGGRFGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMMEGIIATSYKEGDKFYIDPMKLLPLVRFLPQPKYEFI >Dexi9B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:9B:1740301:1740732:-1 gene:Dexi9B01G0003040 transcript:Dexi9B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDAGDVTLSLSLALGGHLPGEHGGGNNKKHRRAGDGEFVCKTCSRAFASFQALGGHRTSHLRGRHGLALGMLAAAAPPAKQQQAAAAKDHPKPAASHQCHVCGVGFEMGQALGGHMRRHREEAAAVAQAPPVLLQLFV >DexiUA01G0021210.1:cds pep primary_assembly:Fonio_CM05836:UA:43904255:43905811:-1 gene:DexiUA01G0021210 transcript:DexiUA01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGRLPIELAAEYGTWEDVELLFPVTSKIPEVADWSVHGIISHVFMEVMKLEDDDFVQKKRSELKRKGADAFRNEEYLKATEFYTQALKVDQFDSTLFSNRSICWLRLGDGKKALYDARKCKDLSPKWAKAYYRVGAALMFLEDYDSAYDTLSRGLELDPESEEMEQLLW >Dexi8B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:8B:18789195:18789454:1 gene:Dexi8B01G0010310 transcript:Dexi8B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVEIVTDMKFDEEPNYAKLISRFDGLTESPGSRLIRDRWSSQGWSETWNVACKSGRR >Dexi4B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:4B:10081388:10084082:-1 gene:Dexi4B01G0012300 transcript:Dexi4B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVRLSFLLKLLFSLALSLVSSTTDTGKDQFVYSGFSRSILDLDGAATITPDGVLELTNHTVHIKGHAFYPSPWLLRKSPGGMVQSFSVSFVFGMVPIYSDKCTDGMTFLISPTKDFSGAQASQYLGLLNKTIDGHKSNHIFAVELDSSQNTEFGDIDDNHIGIDIYSLTSTKSNTAGFYDDRNNGIFKNLSLVSHKEMQLWVDYNGETTQINVTLAPLRLTKPSRPLLSTTYNLSTVLEDQSYIGFSASTGPINSLYCVLGWSLGVNRPAPLIDIAKLPKLPHVGPKSRSKLLEIILPIATAIFIFLVGTTVILFVRRRMKYAEINEDWEAEFGPHRFSYKDLFHATDGFKNKNLLGLGGFGKVYRGLLSASKLEVAVKRVSHESQQGMKEFVAEIVSIGRLRHRNLVQLLGYCRRKGGSYLVSMRFDRVRSTSGGHSALTGNLPAARHRTLQTLAPHRKREAVPSPHTATIAGKQSAAPSEPLSRGDGVDEDG >Dexi2A01G0011120.1:cds pep primary_assembly:Fonio_CM05836:2A:12774623:12778432:1 gene:Dexi2A01G0011120 transcript:Dexi2A01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTTWSASLPCLRLCSCYLVLCFMVVAFAASATGWVVSSLPGFDGYLPFNLETGYVEVDEANGVELFYYFVQAESESEAETAAAPFVLWLTGGHRCSVFSGLAYEIGPIRLVVEPYNGTLPRLQEPKGYDVGDISASLQLQEFLSKWFNDHPEYLGNPFYIGGDSYAGKIVPFLAQIISEGIEEGMKAVPNLKAILEHCQGEDYANPANVLCAQSMNTFNNLISEVQTGQILLDKCVFASPEPNVNIRRIDRSDGGKILLEEVGVEQLDHPPARPASLSCFTYRYYLSYYWANDRRTRDALGIKEGTVDEWVRCHEMGDLLYNQDLKGSIKYHRNLTSRGYRALVYSGESS >Dexi6B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:6B:1499824:1502805:1 gene:Dexi6B01G0001730 transcript:Dexi6B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSGEASAGPAPAPMATAAAAAPVVTEATASFQSPAPAAAPSAAMEKGSSSGVLVSPPATGPPPAVAAGAGGALALGPVMMKVPKKRGRPRKYGPDGSLIRPLNATPISASAPMAAAVAAGQYTPASAVGAAMKRGRGRPIDFAAAAGKHHQHHQFHHHHQQQPFGFHFDSIGDMVACSAGANFTPHIITVAPGEDVTMKVISFAQQGPRAICVLSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKARVDPTPTLPQTPPAIPIASTDAHSVEQGQHSSASHQRTTNIMTSAYGADQSWASQPTPEASRTPSGDQKMTTSGS >Dexi4A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:4A:3158121:3164385:1 gene:Dexi4A01G0004440 transcript:Dexi4A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGAETTAAPTPPSASAPAAAAVAMELFPDGAHVRLRSRVHGTYLHADADGEGVSTSSQRASLNGAWAVHRLGRGGEDGGAASASASAYVLLRSAAYGRYLGLWAHPAPPLGVVHLPVLRVYEYPEQDDVLWVAVGAADESGDVLLRHGRHATSFLGVNADSHGSQRAHWVVEVIAARQKPPILPAPVPVSSPRRFIFYGRSVFQLTGVLSILLREWFFGIRLCVRAGSQGRLTPLVIDLPANEQPMDIVVLTAGSPATATASSSASARRSRSAIGGGAMDFLPDGAHVRLQSLVRGTFLHADEDGRGVSLTGRRETISTAWQAHRVTGEDGNPYVLLLCSAYGRYLAVSPAASPHGHRDRRAFQNAYNDPHQPDIIWEGVAVGDHVFLMRHGSGCLLRANGDEQRWVNGLSVDDASNQSTMTHWKVEAIPPRALAPALPLPTPVVHSSRMHNAQFSLFWFPSQQSHGTLLRAIVYVRANNLEDLELNERKDLLFPGRSVFNLRSAIALELKVAYLTITLCIRAGNLGRFVPLTTNLPHDRAPLFIGVLSTGSPGEHFAYCVL >Dexi9B01G0033350.1:cds pep primary_assembly:Fonio_CM05836:9B:35519658:35520143:1 gene:Dexi9B01G0033350 transcript:Dexi9B01G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNTSWPCDSSPACAHADRALKKVAWFGVTSRRRRISPNTWRASSERPFFPYPSTIDVTTFLSGIWSKTLRPSSTRPHLAYMSTSALPTLTSLKRTLFIAWQCTCSPRSGDDKREHAPSTLTNVDTVGRNPACCIALYTSREPSAVSSALLYPSTMAL >Dexi4A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:4A:17401754:17412356:1 gene:Dexi4A01G0014900 transcript:Dexi4A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPRELEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQVEGTFVDGTKLITVHDPISLDDGNLELALHGSFLPVPSPENFSGGDVEDYPGEIHYSSGHIVLNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRERAYGMRLNILAGTAVRFEPGDAKSVTLVSIGGRKVIRGGNGIADGPIDSSKINEVMQKVHGNSFGHEDYPDAREGLVGDGPFDCTVDREKYTSIYGPTTGDKIRLGDTSLFAEIENDFAVYGDECIFGGGKVLRDGMGQATGYPESSCLDTVITNAVVIDYTGIYKADIGIKGGLIVAIGKAGNPDVMDGVHSNMIVGVNTEVIASEGMIVTAGGIDCHVHFICPQLAEEAITSGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPINMGFTGKGNTAKPEGLAEIIKAGAMGLKLHEDWGSTPAAIDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRAIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVGKLADLVLWKPSFFGAKPELVVKGGAIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKVDQLYMLIF >Dexi2B01G0021860.1:cds pep primary_assembly:Fonio_CM05836:2B:31520332:31524098:-1 gene:Dexi2B01G0021860 transcript:Dexi2B01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSIVASSLPRCSSSAAAPTMRGQPSLLPSVRKQWLGKPLLYGIGSLLVMPLRTLHGVGRVFGAGRFLCNMTSVSSSLQIELVPCLRDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSKKDKDRIPGIDITLKEGDTWMFAGHQVLVLETPGHTSGDTLFSLSCGKLFEGTPQQMHSSLQKIVALPDETKSNSKFALSIEPGNKELQEYATYAAELRSKNIPTVPTTIGREKQCNPFLRTSNPEIKRTLSIPDHFDDDRVLEVVRRAKDNF >Dexi6A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:6A:12451865:12452095:-1 gene:Dexi6A01G0010160 transcript:Dexi6A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYLVLAIALIASMVVFSGSADDAAGGSQNNLPRGLLPLGVQSYTLHPGGALHVTLPGECSFTVTVDC >Dexi2A01G0037380.1:cds pep primary_assembly:Fonio_CM05836:2A:46966875:46972031:1 gene:Dexi2A01G0037380 transcript:Dexi2A01G0037380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVMCGQPCSGKSAAAGCLAAALRSSSTDLTVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSQVDRSVSRDSIIIVDSLNNIKVRALSNYSSYFRKFAVGYRYELWCLARASGVRYCVLFCDTEVDHCREWNRNRQEKGEPAYDDNIFEDLVRRFEKPERRNRWDSPLFELFPSRDEIVESAPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTVRTSEANSLYEMDKATQEVVNAIVEAQPCGLGLAVNKISVGPNLPTISFAH >Dexi3A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:3A:2919248:2919796:-1 gene:Dexi3A01G0004460 transcript:Dexi3A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTKTFRKSTHLLSAYCNSHPRVATTATSPTNLVAASASLSKRHHDSASIAATGNALASRASAGGGGGGGGLRAKVRAAASLSRRSPPQREPGSSSRATAPGAAFDPFDVDADPPRRLELTPEQVGRCSDALAHFEEKKTRLDVLSEEFRSLSRSD >Dexi9A01G0042330.1:cds pep primary_assembly:Fonio_CM05836:9A:45942591:45943436:-1 gene:Dexi9A01G0042330 transcript:Dexi9A01G0042330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRDGDTLLAAPYDFRYAVAPRGYPSAVGRRYFRDLGRLLTHGRRPAVVVAHSFGCALAYQFLLSRPLPWRRRHVKHVVFLGSALGGFAPGMHALAAGMDYGLGGLTRPAMLRLARSQQSALWRLPTPLVFGDRTLAVTTTATYSARNMSGFLEAIGFGEGVRPYETRVLPMWEALPAPMVPVTSVIGVGVRTPETYVYGNGDFEGEPEVVYGDGDGDINMVSLEAVEEWAGVEGQDMEVVRLPGVRHDGFFTVDFAVERVVLEIRKAVGSIERDLKFSV >Dexi4A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:4A:4427959:4429014:-1 gene:Dexi4A01G0006160 transcript:Dexi4A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAAPSSGAARSVVLRLDDLSLPPRYLTVPSHLPVSDLLGALPLPSPSSYYLTSDGRPLAPSSRVAALPPSASVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLYNKEALVEALLHKRLPKELAHIRGLRDMIPIHLDPRPDAEGEEVRFQCPITGLEFNGKYQFLALRGCGHVLSVKALKEVKTSACLVCHKEFKEADKMPINGTEEEVAVLRKMMEEERGKVKEKKDKKVGNGLSGSKHAAAAALAAAGPEKLENGKKGEAAAAKRFKASDHAPAHANKEVYASIFTSSRKSDFKETYSCRSLPLGRN >Dexi5B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:5B:4070292:4070881:-1 gene:Dexi5B01G0006040 transcript:Dexi5B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGGGWTLSEEFEKYQSHFSEYIKKGIKADDVETLYKKVHAAIRGDPSVAKSTKESPKEHKRYNSKKLTHDERRSRLVERLNALNSCGDNNVEDGNN >Dexi3B01G0025230.1:cds pep primary_assembly:Fonio_CM05836:3B:20029689:20030457:-1 gene:Dexi3B01G0025230 transcript:Dexi3B01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQQSMASALLFLNLIMYVVVAAIAGWAINYSIDESWNSLQGVTPPVRLFPIYFPIGNLATGFFVIFALIAGVVGISTSLTGLNDVSQGSPANMMSAAASALVAWTLTLLAMGLAFKEISISLRPASLRTLEAFTIILAGTQLLCAGSLHAGANEAILATPVGGRV >Dexi2B01G0023240.1:cds pep primary_assembly:Fonio_CM05836:2B:32768847:32771547:-1 gene:Dexi2B01G0023240 transcript:Dexi2B01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGSALSFARPVKNDSFDISRSYNVTFDVSQAINIKSVSFSGLRKDNVAFRLQPVPQRFAVCCPAKQETVDQVCDIVKKQLALADGTEVCGTSKFQDLGADSLDTVEIVMGLEEAFGISVEESSAQSIATVEDAANLIDELVAGKSS >Dexi1B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:1B:25582058:25582444:-1 gene:Dexi1B01G0019380 transcript:Dexi1B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYPIRGKRYKCRDCTELVGFDLCGECYNSSLKLPGRFNQQHTPDHRMELEEATLYQRLREEAMMVEPGAPVGALIILGNQGIVANAEGPGEAAIEEPVGVPGDMLHIIIDDEGIEDNDEEDQVV >Dexi5A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:5A:22204937:22216542:1 gene:Dexi5A01G0018700 transcript:Dexi5A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLGILKSIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSRDPLQTECPKIIYLVHSQLSFMKFVASQIKNDELKGLQREYFLFFVPRRTVACEKTLEEEKVYQKLTLGEYPLYLVPLDDDVLSFELDHSLQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNIRAKGVASTKAAELLNNMQVEDPVNMDDVTPTDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQIHNGSVEVDASIMGAQQDAKKVKVPLNSSDKLYKEIRDLNFEVVVQTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFELCFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMHLLYNLEKASLFKRQESRSNWVGITRALQLVVDVNDTANPSDISYIYSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTINSSLEALPGSGSQQSIDSCKCAHLSIYVCSRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILKPIIASSKEGMM >Dexi3A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:3A:14310200:14310421:1 gene:Dexi3A01G0018760 transcript:Dexi3A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAASTQARALPHAVATEQAPRASSEMEAAPHLGSRSRRCGGAKVVAGSPCSLAPLLEIEEAGDGDRAHLEA >Dexi5A01G0010900.1:cds pep primary_assembly:Fonio_CM05836:5A:8171400:8172226:1 gene:Dexi5A01G0010900 transcript:Dexi5A01G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRADLIGSNCNMAILSTVAKLEGIKSMDIDGENCTLTVVGTVDPVAVILELKKACLAAAIVSVEDDKPKEPEPEPPKENDDPCHCREACVQACVEACDCEKGCCVPGCYYSPCLLPNYCFYTAFRPAPYGYGCYW >Dexi8A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:8A:26505334:26508844:1 gene:Dexi8A01G0015390 transcript:Dexi8A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGDRRPGEAGGGGGGGGGGVVVMFAWLSSQERHVRAYVELYAARGWACLVCHSEFPTLFFPEKAAMLADKVLGELIRELKIRPVPVVFASFSGGPKGCTYKVLQLIEQRCKGQLSLDEYQLVRDCLCGQIYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMAKGVASGLDALFINKFEEQRKDYWETLYSSAHIGPILILCSEDDQLAPYSVVEKFCQRLLELGGDVNLVKWHSSPHVVMVYYAVQLFVKDLIVPNLKEEDAQCRHYKHHPEEYRTAVTELLMKASALYTSRREQNGYEADTSEHSDVPPSTSNHHRTAASSNNRLRRAPIDPTDEFFLPSSMEYHESSERPKPELFNMPSVESLSLHGLLGQVLYDVCVPKNVEGWDLKPSASRHTHTVASRHGSFNPMKCVRRSRL >Dexi5A01G0026490.1:cds pep primary_assembly:Fonio_CM05836:5A:30123473:30126565:1 gene:Dexi5A01G0026490 transcript:Dexi5A01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKGYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Dexi1B01G0018140.1:cds pep primary_assembly:Fonio_CM05836:1B:24420934:24423418:-1 gene:Dexi1B01G0018140 transcript:Dexi1B01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRLRSHDRAMIIIQSDEDEEGKKMSGSVNVVKNRKTSTAALLEKGKQKEGRNNSKKNTLSDDQEKEDKMKKSRKKVSTASLEKMKKRKLCDDNKKKKMKIRDDKEGKNRFSSDHKLKNMKEPTTLSEEEKNKQKMNKTHKEKMCAADSKERKIRSGHNRVQKSGELSNALFGKEKKEKMMNNTISEELQSDEDGQEEVWKYGSKVKNGKVAATFCEEDKRKKGPNNTNTEKGTAPLTPAVKEKKMRPSESKEMMFHDKPNQRNVSSNVSKEKKMDTSSGSNYKKRKREEPQSLSKKEKRGWCDDNDKKTHHVFTMPVEESSSADRVSKCRHGGTEIDHNIIEKGGGK >Dexi9B01G0032600.1:cds pep primary_assembly:Fonio_CM05836:9B:34786629:34789392:1 gene:Dexi9B01G0032600 transcript:Dexi9B01G0032600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRRSGPPESRDTFSLLVLNLSFRTRADDLFPLFDRYGDVIDIYIPRDRRTGDSRGFAFVRYNYEDEAQEAVYGLDGRRVDGRVIMVQFAKYGPNAEKIHRGRITEENPKPRGHFRSPSPRRRYRDDYRDRDYRRRSRSRSRERYEQDSRSPAHRSPSHGKNQSPRKIPSREGTPNRPRDGRSPSSQNP >Dexi5B01G0022230.1:cds pep primary_assembly:Fonio_CM05836:5B:24463999:24465462:1 gene:Dexi5B01G0022230 transcript:Dexi5B01G0022230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILALRLCNAAGGGGEDEGGGDTRPRKRRRGDEFFPVELLGQVPASGIPYAAYGFRWSEEPEARAEAAQLPAAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPVKPPVPVKTEPLVHKNGVRHDRVAISDRSFALSELDDDDEEEAMVARHPPQRNFGGSRYLASCSTLTSVHDEPYNKYHRKEVMLRHYYEEDDYRKEVMLRHYYEEDDEENEDDEDDEEEDEEHEEEKEEIFHCTEKFVYGDIVWAKLGKRQPMWPGVVVDPTQEASAEAMPPQPRGVSVVCVMLFGWCTEFSDEKKYVWVRQGLIFPFADYMEQFQGQTELSSCKPADFQRAVEEALLADQGFSEVLMDCSTKGQPVVSRSFPDDLYEVTGSNELECQPQVKAS >Dexi1A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:1A:6836723:6838234:-1 gene:Dexi1A01G0008700 transcript:Dexi1A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEEPTAPTTLTPPPPPPAHFVIVPLVAQGHTIPMVDLARLLAERGARVSLVTTPVNAARLRGVSDHAARAKLALDLVELPFPTDIDGLPPGIENIDQVKDNNHFVPLFEALQKLAGPLEAYLRGLPVRPSCVISDWCNAWTADVATRLGVPRLFFHGPSCFYSLCDLNAVDHGLHKQTAAAGDHDKFVVPGMPVHVEVTKATAPGFLNAPGWETFWNESLEAMRTADGAIVNTFLDLEDQFVTCYEAALGKPVWTLGPFCLVNRRDAEAMASRGNAPDVAKQSTVTAWLDGMDTASVVFVNFGSLARKLPKQLFEVGHGLEDSGVPFLWVVKDTEAETPDVHEWLEALETRTAGRGHVARGWVPQLAILSHRAVGGFVTHCGWNSLLESIAHGVPVVTWPHFADQFLNERLVVDVLGVGVPVGAAAPVMIFDDESVAVTRGDVARAVAELMGGGEEADERRRTAKEYGEKAHRAMEKGGSSYENLTQLVESFRRSGRKEG >Dexi8A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:8A:205834:207844:-1 gene:Dexi8A01G0000250 transcript:Dexi8A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGSERKGKVLTEIKRAVDQYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGIHKLSKFLQGDSGLLFTNLPRDDVERLFRDFEEHDFARTGSTATETVKLKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELVADHVVCEEGKPLSPEAAQTLRLLGKEMATFRLYLVCRWSSDDFEAYKEGLAHLGADDSS >Dexi4B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:4B:5854305:5857598:1 gene:Dexi4B01G0008070 transcript:Dexi4B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDEFFSNFMVLRPDKGGFRSLLHLLYSCKVADNDAVDCPIGTEVAERWRRWAIFVSLVAQMLLLSIKRPLAAFGSAIEYWMNLLTDNGGGVLGLVRNAMQGKVQTPDRKSPNYRSFIGLIDTRVELDKKIKPGDSNYHAALGIMAAKLAYENELVIKTVVENHWQMTFLEFFNCWNEFSSDYTTQAFMLADKPADAELGVVAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPENLTTTTTSPPASNADEKSPHQYAYYAIREKLRSFLASNPRAKLVVTGHSLGGALAVLFPTVLALHKEDATLARLAGVYTYGQPRVGDVALGKFMAPHLEKRYFRFVYCNDVVPRVPYDDAALLFKHFGLCVYFDSLYRPAAMAEEPNRNFFSPVWVVPKYANAAWELVRGFLIGYAVGPEYAEGWVMRAARAVGLVIPGLPPHAPQDYVNSTRLRLQPLLADL >Dexi6A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:6A:8345832:8346914:1 gene:Dexi6A01G0008160 transcript:Dexi6A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKNSSISSYGAVDVVKNRPVRFSSLQLQEFTNNYADKLGAGGFGVVYRGQIQYTTQPVAVKVLDTDMGRRAEEQFMAEIGTIGRTSHVNLVRLYGFCFDAELKALVYEFMPNGSLDHHLFHSDGDGDHLSFEQLYEVAVGTAKAVRYLHDECERRIIHYDIKPGNVLLDESFRPKVADFGLARLCERERTHMTITGGGRETDAVAARALAGGKEEGKEGRKKVERMCAVALWCVQYRPDDRPSMSGVVRMLEGDEDVAAPAVSPFVHLDSDQLVSQTFTADTTTTFGSTT >Dexi4B01G0021590.1:cds pep primary_assembly:Fonio_CM05836:4B:23538706:23541225:1 gene:Dexi4B01G0021590 transcript:Dexi4B01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAVAGESSATKTKRLRVAIIHPDLGIGLFPVTVYGDFLPRHVFYRFHAVCAYLRFLFVALCVLLQWPAFDVIVVDQVSVVIPLLKLKSSSKIVFYCHFPDLLLAQHSSMVRRLYRKPIDMIEETTTGMADLILVNSKFTAATFAKTFRGLHAKGIEPAVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFSLLRSVASTLPDDALQEATLTVAGGYDMRLKENVEYLEELKRLAVTEGVSGQVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNGVTGFLCDPSPAEFSKAMLKLASDHDLAVRMGKQARDHVVQKFSTKTFGDLLNSHVLNVHHERME >Dexi9B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:9B:14030611:14037962:-1 gene:Dexi9B01G0019450 transcript:Dexi9B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLAAAWPCAILLLLLAGALRSAAVATSGAAAVRPEWQVLTRANFSSQIRLHPDVLLIVTMPWYGESRALMADIEHLVGSNEELGCLKMMVVYRNSEKLLTDAIVDISRKTLTRESDGPLELVGADESGGEAIFPVNRPSVVDSLSVEESEYKTTDNSFATTDTPNDDTKVSVDENTAKETEYIDDGQAPSILEKTLTTYPDGHDNAFESDVTEAEDQNKSEASDMSPDLHAEVPCNAYSSEVGGFMWKKRATTQDDAPQAIQFQISDKGSDNVGYDLPSHSDVVTGSILTATEKLETAVPFDKAKVLIVSSDSHEGFQGLIINKRLSWGVFKSLDSSMEPIKHAPFFYGGPVVVQGYHLVSLSRVALEGYMQVIPGIYYGNIIATSRVVTRIKSGEQSTDDLWFFLGYSGWGYNQLSDELSEGAWLVSEKPIEHLDWPES >Dexi6B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:6B:24212775:24216407:1 gene:Dexi6B01G0017010 transcript:Dexi6B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNIPYLASSSSSSFFSSYCSSTCRGRRAGKLLLTASAAGGRSAADLAHSQLFEQENEGALSGPRSSWHYKRREFTSAILLPFLLPHADIASAAEAYDGSIIRSGVRNVLTKAKAAGVLRLVFHDAGTFDVSDKSGGMNGSIIYEVDRPENTGLNRSIKVYPLESILGKAKAGIDDIQKVSWADLIAVAGAEAALELSWLYPFSLHRTADPAGKLPEETLDAAALKLAFSTKGFSTQEMVVLSGAHTIGGKGFGSPIIFDNSYFKVLLEGKPQTSSSGMEAKAMVGLRTDWALTEDDECLRWIRIYAEDQARFFDDFRDTYIKLVDSGVTWRTA >Dexi4B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:4B:9791690:9792949:-1 gene:Dexi4B01G0012110 transcript:Dexi4B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASMWWFVSMATIGALYAISGCFRLLRFLALFLRRPKDLRRRYGSWAVVTGPTSGLGRSMAMELARVGLNLVLVGRDPAKLQDVSGKIARCHGVQTRTVVFDLSLVSTPEGAEAMRRLREAIEGLDVGVLVNNAAVAKPGAMYFHEANVESLVRVVRVNLLALTEVTTAVLPGMVARGKGAVVNIGSGSSFVLPSFPLYSVYAATKRYVAVFSKNLHVEYKSKGIDVQCQAPGLVDTNMMSSAVKNSFSPLFVVTADACARAVVRRIGHGTLWVPNFAHQIQWWSAGFAPETILDVYRLGKHLQQREAFRMIRSSRPPAQEGKVY >Dexi9A01G0046720.1:cds pep primary_assembly:Fonio_CM05836:9A:50011372:50011656:-1 gene:Dexi9A01G0046720 transcript:Dexi9A01G0046720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAPSSDKGVDEAESGADVMRPAIEHLVGVVGGSFVVAREATRAASRGGEEVGEVEEDADACWSELLETQLNWAFISSF >Dexi7B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:7B:22021112:22021845:-1 gene:Dexi7B01G0015980 transcript:Dexi7B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSHARGSTPRRKRKAPPPAAAAEEDEAESEAQKLRREVEELEDELADLDRRVLEHVRSNAACLVDAAVTRPTALRPQELPTASESSVAEEDQGQLDKLNILKSKIEATIADLPKVLEERNESVVRCEKMESLTVNIHPVFRRKLM >Dexi7A01G0021880.1:cds pep primary_assembly:Fonio_CM05836:7A:30370341:30375254:1 gene:Dexi7A01G0021880 transcript:Dexi7A01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKNGCLKILVCAGSGSDPAAGSDADADDHPDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKADIPPSNNVYTSTYSYASEKPLYQDKPDEKILHEEKSEEKPLHQEMSAEKLMEKPIEKPVDKLMEDPADQIIEKSMEQPAEKTTETQTEEPAEKTTETPTEEPAERIIDAPTEVPPETISEVASEDPAETTMENPIQETLEREVEELIEKPTERISVSSTGPEQQETTALVEGSSVESEEDHLESAATDLQPGSGTDIARQELLNQKDLVKLQAVIRGRLDTIVHSSGERLLRNGFALKLMDSMPTPKSIHIKCDPSESDITWKWMERWTSLIPPITGEHLPEHRENVELIGENVKEDDQHDHEIVPLDSDLSFPKLVPDDVQETQGASDPSALEAPASIPDESSEVEIKRDPESELVENIDVDAEQVADQKTENPVDEFLMSSDQQSSQAHVSTEPIPLPENPESCNEDSGDAYSSEQTLEIEGKRSVGRKMCNPAFAAAQLKFEELSTNSTVSRSSSSSYLDGASKSRVHNPLSQEDYSSKQDNDTGVLESSVAHGAKMIVAASECGTEISISSTLDSPDRSEGDGGEIVMEIGALENRNYAPDKANKDDSIVDSEVKIASELEAQLQEEEQQNGHVTNPEVEAQPQEEELVEELNVEPENSNLHYHLEKPSESYATPEGTPMSRATVPESHGTPSSEVSVNTKKSRSKKPKSHASKRSLASPSSDSVGRSSTDNFSKESRRAKRENSNKAAKSDHVDQEPRISNSNPLPSYMQFTESARAKASASVSPKMSPDVQDSNPRKRHSLPMTNGKNDSSPRMQRSSSQAQQNVKVNSAVPHNPSDKRWHI >Dexi2A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:2A:4754849:4756749:1 gene:Dexi2A01G0005090 transcript:Dexi2A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVWIGEGFVQCKKGEDNLFQAGERYFKELVNRSMIQPMEDNFDKFEQWFRVHDIVFDLICELSRDENFATTLGSREQQASSASSIRANKIGMPHLDSKVRRLAVHNLHVQNLPEETMDKPEVLRSLNIVGSEIGNMIPLDSFKVCRVVYIHGCQVSIHLKHMGGLLHLKYLEIQDTPVPELPKEIGHLKSLQALLLINIGLHELPPAVCSLTQLMCLIAEGFQRFPADRMGNLTSLEELRLKSVAGRSSTKDLVVELGKLTRLRMVTITFSEELEESLQKALVQSLCNMQELQELALYSKMLAQPGDSAWEGWVPPRKLRRLLISGIIFTRLPGWINNYRLPLLYFLSMAVYVVEVQDLDNLARLRVLSYLMLDGYSWPPGYIVGTGGFKNMKFCFVGTALKFHVGAMPRLEQLQFGVNAGHGSFGVHGVPFEHIRTKDAIADLDLALDSLLSLEIVTANVNCLGATAAEVEEVEAVVRGQMEGHPNRPTIRLNRVYETCMLPDEDPEAQLQQRVEELTLNNTLEWNDESDARFISLLRSFQRLQKAVISMDCAGASLCEVDKVEAALRHAADVHPGHPTIELIKINTEVTASI >Dexi9A01G0046110.1:cds pep primary_assembly:Fonio_CM05836:9A:49487252:49490914:1 gene:Dexi9A01G0046110 transcript:Dexi9A01G0046110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQDIVIESCVFLAVQDARTAFKEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTIISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSPGYSVCIKLLCIQNHLSHKDTSNLSIINSTSQGKLKVKQIHMDAAEVEAGGEEGAGVAAGVDMVEAMVDMSTITTKEAMVDMGTKAGMDIKVDMTTTEDMATTKVVMGVMVTTKVVTEDMKMVVAGTTTGTEVVAAVAEGEATGAMVVLDMTVGAEVLVAQAAGAMREAVDEWVYT >Dexi2A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:2A:13526487:13526897:1 gene:Dexi2A01G0011630 transcript:Dexi2A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEPGKKLSPARSRAVAQAFIRALGADERLPASADLPDAYSALVRAVLSSTDVSASPAPRVSSTITVSPAVTNNYNTLHGGAVAAVAEAVGMACAGRGWG >Dexi7A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:7A:1716994:1719084:-1 gene:Dexi7A01G0000690 transcript:Dexi7A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEATQDFSLTQLWMVAGSYNNNDLNTIEVGWQVYPYLYGDANPRLFIYWTRDAYKTSGCYNLRCSGFVQTNSQIAIGGTLAPQSVYGGSQYEFGILAWKHIWPIAIYTSKSYSYSLDSSGNFGLQDPGSGNWWLQVGGTNVGYWPSSIFTHLANSASYVQWGGEVAPSENSQTSTQMGSGHFPGEGFAKASYIRNIQTVDSSNTLSSANGLSLLNPSPNCYNVQSGTSSNWGTHIFYGGPGRNPNCP >Dexi3B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:3B:8067416:8067976:1 gene:Dexi3B01G0011480 transcript:Dexi3B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSVPLEVAVAVLAVVSATASRAAEATIESTCSAAATQDRRVDIAFCSRQFAAYHGAAEEAGPWGLARTAALVGVNLADDAEYDIGEGTIRAPPASGARGKAAMDECARAYDAVGMAFAEAADELGARRYSAAEERFARVAALARRCDGELAVAGVGTLPELARYSAECLQMAVIGIAITNLIN >Dexi7B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:7B:20277942:20278761:1 gene:Dexi7B01G0013840 transcript:Dexi7B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLLCCVTAVDSGKRALELLGSESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVHPADVSRLCSRVLR >Dexi6A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:6A:9603562:9603804:-1 gene:Dexi6A01G0008840 transcript:Dexi6A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPALRWIRSSSSLPSPTARRIRTGAPPPHRLPPDPGRHAASTHRHHTASLQMSCTGEATCDGGEREEAAAVAMRSR >Dexi1A01G0029850.1:cds pep primary_assembly:Fonio_CM05836:1A:35277976:35280874:-1 gene:Dexi1A01G0029850 transcript:Dexi1A01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSARSQIICVSFNQDNSLFSIGTKDGFKIFDACNGRLCYEKNLGGFNIVEMLFGTSLLAIVGTGEQPAMSPSSLCLFNTKKEASKKDLNFKTSILAVRLSRKRRQANTLLSSVIPGSVTDALDPANHHVIHHVVPADIKSCLAVHSVENSQNSSKLPALKTVIYIVTHDGYFREYVIGTTKSNESSWLLEREFNLLDAGLSSLKQNEQHIDL >DexiUA01G0005350.1:cds pep primary_assembly:Fonio_CM05836:UA:9486742:9491246:1 gene:DexiUA01G0005350 transcript:DexiUA01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLASRVSRWAKLEPIERPPDSDVETLINPNRAFCLIIGHGEQAGGGRAARFRSSHANVPRVCVHGEASTWNSSHQTCVQAAKKLPRAKGRQQAGCLPPSHLHHPTEVPSHPSMGRSPCCDENGLKKGPWTPEEDQKLMEYIQKHGHGSWRALPKLAAPHVSPPLSWAN >Dexi1A01G0027330.1:cds pep primary_assembly:Fonio_CM05836:1A:33154015:33159821:-1 gene:Dexi1A01G0027330 transcript:Dexi1A01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAWWTVAAVLLQVAGLSLFLYGFFPVKPTLHGFSGAESYRMPSCGPVNAVEQEPALPPNQLRSLYREVSGIPPVYDRLVLMVIDGLPAEFVLGRGGNPPSKEMMESMPYTQSLLAGCKATGYHSKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLEDNLLDQLHMIGHKLVMLGDETWIKLFPTLFARQDGVSSFYVRDTTEVDFNVSRHLDFELVAKDWSVLVLHYLGLDHVGHIGGRRSVLMTQKMKEMDDVIRRVHDVSLQDNLERTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQRPHCSPYDQNEALQVDLAPTLALLFGVPIPKNNIGVLLPELFNSLTDDQKLRTLELNSWQILRLLQAQIPAFCLEECINSEDSLGIDIHPEYIEKKICHFLSKAFASHQSSRLQQSSDFKSAEALYVGTAVDNYYGFLRYASEWLSHRATDVIAMQFLGMAGHFGLGNTNSLASIDVAGAFIGVSSYSTVLSGILMFIITYGSPLMLYLGMLVYISVKDSDDISTQRQYLYVCAATVCTYVGVSIIAMTAVYTGAVFSFRTR >Dexi1A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:1A:19812690:19817263:1 gene:Dexi1A01G0013960 transcript:Dexi1A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEDAVRALGAGFDLTSDFRLRFAKASEGRRLVELGGETRDVPLPGGAGAMLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALYELTGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALAWFIKTYGTHIIVEMAIGGQDVICVKQSHSSTVSTADLKLHMEDLGDFLFSDGKNQSPIHRKTKDGKSKVPDVFVRIVQQPNNLHLSSYSETSTKDGLTVTCSKRGGDTYIQNHSKWLQTVPRKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLPELQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRFLGPKLHVSTSQVSSSQKPIVGLRLYLEGRKCNRLAIHVQHLSSAPSMLVDSMASSMSEWRESEDTDPGYIEAIQWKNYSCVCTSAIKYNPEWHKRDPGGVFIVTGAQLVTKGTWSKKVLHLRLLYTHIPNCNIQRTEWTRAPAASQKGSFLTTISTTLSHPFTQREAQQHAPKHEPAQLNSGVYPDGPPVPLRSRKLLKFVDMSEVVKGPHDVPGHWLVIAAKLVKEGGKIGLHVKFALLGYDGQQSQGDSFGN >Dexi6A01G0005350.1:cds pep primary_assembly:Fonio_CM05836:6A:4849268:4850074:-1 gene:Dexi6A01G0005350 transcript:Dexi6A01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGQRLNVVPTVTTLGMVKARLAGATRGHALLKKKSDALTVKFRAILKRIVSAKDAMGDAMRGASLSLAEALYVAGAPLRHVVQQSVSGPARLRVRAHQDNIAGVRLPRFESFLADDLAGRSPPATSLAGLAGGGQQVAACRAAHARALEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTIAYIRGELDEHEREEFFRLKKIQGYKQRELERQKEAARRYAEEKAAGEVMLKRGVSMGTAESMLENGDDRDEDIIF >Dexi9B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:9B:4786028:4786983:-1 gene:Dexi9B01G0007880 transcript:Dexi9B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSGYEAAVTNDKGSSGRPTATDEGDGSGSHEGAEPSVGAEHVIVDSLTLPRGRNRGWVRTRGAMRPDPVQMSAPWPSPGSDARLKRNMAGSLNSAIGSSFSLLNSAIGSSSAQEQNLTKTRACLVALAVALAFLIFMEGTAAVTAGKPRSLLKQLNKPPVSSIEVPLADPSSVSWLK >Dexi3A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:3A:6350445:6353986:1 gene:Dexi3A01G0009160 transcript:Dexi3A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGAAPVLPVAAGRDRDKGKDDRRRWAARCGFAVLGIMSTLLVYGVLQEKIMKIPYGVEKEFFRYSLFLVFCNRITTSMVSAMVLLASKKSMDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVIVTLGCSLFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGADSPESSDSGCGLYVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYTKSFLRSKPQKSAVVSPPRGSSPNPPNNS >Dexi1B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:1B:2538795:2539352:-1 gene:Dexi1B01G0003130 transcript:Dexi1B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGSSGSLSAATAAALAAAGVAGGTAGDLRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLTAQAAHLRRENAHVAAALGLTAQGLLAVDAENAVLRTQAAELAARLASLNDIIACMSTTTNAAAAAGAVAVSLTAAAAAAAAAASASASPDPFLAFDGGANAAFDDLLRSCAEMFPLC >Dexi4A01G0008050.1:cds pep primary_assembly:Fonio_CM05836:4A:6030618:6037239:-1 gene:Dexi4A01G0008050 transcript:Dexi4A01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPSPPVPSAKLHRGRRPLLSPPAPVLHFTAGCWNHYPGSGNAGKNPIFKNSWTLGAGFSNMFGLPSRLLRCMATSGPGDGGFARPTSTDEAVSPLLFYSWPDNQRPRVCILGGGFGGLYTALRLESLVWPSDKKPQVLLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVRDTVKLLHPSDHFRREPGESRTGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLDDALRVENKLKMIERKRFGKSPPTIEVAIVGLGYSGVELAATLSERLKTTGTVKAINVQKTICPTAPQGNRDAALKVLESRNIELFLGYFVSCIKEASTPDDSSGTVTNSKVDSDHKKLILDLQPAERGIKSQALEVDLVLWTVGSTSQIPRLQSPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGRLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAITASFIEGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGISWLTKAAIDSLASVQNAVASSFPSPTPMADSTVTNQSSPAAAMDPDSEVVFDFMPYLCQYKSGRIHRPGGAPTAPAGTDPVRGVVSKDIRAGPASVRIYLPPGATGKIPVVVYFHGGGFVVGSPARPGTHNYLNDLVARSGAIGVSVYYRLAPEHKLPAAYDDAWAALRWVATLGDGEEPWLLDHADLSRVILAGCSAGANIAHNTAVRASAPGALPDGVAIRGLALVHPYFTGSEAVGGEIDFGPEIRGYMDRTWRYVVSDTVGLDDPRVCPFVDDAARKASAGIPCERVLVCLAEKDFLLRERGLWYYRELKASGYAGEVELFESKGADHAFHFDKLDTEEGVKLQEQLVAFIKK >Dexi4B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:4B:22526428:22528030:-1 gene:Dexi4B01G0020330 transcript:Dexi4B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGVEGGGGGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERHILLLHGLIGNKWARISSHVISRSLAASTDNEIKNYWNTRLKRRQRAGLPMYPPDIEREIATLRAQNINPFADADGGNAGLQPPPPPPLLYDASNPFALPSPSGSHSPLINQNYPLLNQMQGMQQQQQQHVFHLAGGHQSPSLSPQPAFHHQDSSGTAALGHGHGGFVSSSGLPPLPTRPHELPSNQFDTSTTGGLLESLLLGGGDHQPRHNTSMVKVNSMPALTYRDPGSRLLPVHGAGSDSDDASHCLTGEDMYHGATWNFTFEDMKPAKRRTPSEAGISDMFGINAAGSIPGEWFGGGSTAPSPGPSSAVTDEEFSLEMQQFMSLLPLSIDEHSWNA >Dexi2A01G0036130.1:cds pep primary_assembly:Fonio_CM05836:2A:45718951:45727744:-1 gene:Dexi2A01G0036130 transcript:Dexi2A01G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWATTTRVQAATASSRLPRPPPHVGQRRRLELTHATTTTMRWCRPPPRAHPRAARCPHCTLPSGRQLARLTVRFLLITYSSFLPPRSPIYHVPDEIAQLAAAAAAGSSSSSGDPCGSAGLYSLLMTREISSADSYNIVPVADLFKSSTVEHHNDPTIFIFTELPVKKSSQSFVYNHTLAKALAEYASAGLEMRSLIVDVENCLQVWVQDSKGNTTVQICDNSGEDPHCCRCISMFGLRIQDHFTYLGVDMEADDWSTCRIITTQSVKQFRKELASNIMMTKHNVEVSIVEPSIQTDRSSF >Dexi7A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:7A:11093435:11098334:-1 gene:Dexi7A01G0002610 transcript:Dexi7A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSLIFLWLLSSLHLPGQYFYEDTCLMIEHVFFRQLLVLFLMHSTALSLFRCVVSYCQTAPVSSVGSTLSLLFILLCGGFVIPRTLVSIVTASMPNWLKWVFWISPMSYGEISLTGNEFLTPRWKKVMVSGDTIGKSILMDRGLDFSSIFYWISVGALILFILLLNIGFTIGLTTIRRTSQALVSRDKLTIIQGKDFEDMVNKRPKTNLAMNSFVTGKAIPFKPLAISFRDVNYYVDAPMLMLIKRGGKLIYAGPLGHNSCKVIQYFQAIPGVPMIKENYNPSTWMLEVTSPSTEAYLGVDLAQIYRESSLYK >Dexi1A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:1A:6009900:6015213:-1 gene:Dexi1A01G0007810 transcript:Dexi1A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCSKCHKEMILKQEQAQLAASSIDSIVNGGDPGKGPIMAATTEVVVAQVEEKMIFVQPPLVAEPSEAVAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHECQFDYRTAARDAIAKANPVLILVMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGGKGPVMAATAEVVVAQVVKTIVMQPPLVAEPSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >Dexi2B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:2B:3139745:3141016:1 gene:Dexi2B01G0003560 transcript:Dexi2B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKESATLKEKSKDEIHLKIKSKDKLSGDEDEKKEVEIEIDAKFVEKEEVKGLADSAGSAGKVKEVKKDKEKEKKSEKHEDEHEDDKDVEKVTKKKDKKDKDKKKKDDDEDSGKADKDKKSESKEKDKKDKKAKDKEKDGSESKEEEKHKKSESKDKSKDKKAKDESQSKGSNDEKQEKGKDKKNKDEKSEEPKHKEGHLENKHGEDTQKDVHVNKDLAGAAELATREIKLTNEGSQKDDIDNEDAEVKKKNKEKKEGDDEGDNKEKEKKEKKKDKGDKKDDVKKKEKDGGEEEEGKKKDKDKKDKKKDKGAKEKINDPAKLKAKLEKVDTKLQDLQAKREDIMRQLKELEEGNKGKGNEEKPALVQEDKGKNTEEVPAQVLEQSGQSKVKEENHVASA >Dexi3A01G0012200.1:cds pep primary_assembly:Fonio_CM05836:3A:8805823:8809672:-1 gene:Dexi3A01G0012200 transcript:Dexi3A01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVNDLSPIPSFSDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDPGEANVAAALDSKLVV >Dexi1B01G0021390.1:cds pep primary_assembly:Fonio_CM05836:1B:27299353:27300336:1 gene:Dexi1B01G0021390 transcript:Dexi1B01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGSTARRSNTTSSPPLPTRTESNCSLGFGFVSAPVVPAASATRPAMTLHRNMARLPVAGAPLPPRALACAPPLPSKVRMRGASSSPPPAAAEGDEPCGGAGEKRIISLPSAALRESSNLATARAGLWTRNSISTTPGNALLLVVGGAPGCCGGCETGAGRGDHEERKMISTTCRDEWPAGSSVSAGRGPRGPGGGDSGGNTEVGFGFLPVSGLGFDPGCFGRLGLPVDFEEATWVRAASPELPQPARGRSGWSFLGGAGATLAATSSAAVDLQWRERTQLPASVGRRRGGGGLSGIRRAQLEMQRAPRGRCG >Dexi2B01G0029880.1:cds pep primary_assembly:Fonio_CM05836:2B:38221645:38225445:1 gene:Dexi2B01G0029880 transcript:Dexi2B01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTSRRKRDAAEPSGEAPSSSSPSSPRSSQHHGYSFRISSSSDPYPQPQSSPCNRALASAITAAVTSSSSSARDLGSGCGSGGAGGGFLSWIFSARAARADEGKPAGGDWDAHGLPVTRTPVPLARLDGRKRYKVSELNFLDRRARDSASAAEKNPLFDEMSTLRPGGVYTRKQLLGELQTMNSSGMFQQVRLQGKPQPDGTLALTVTYAETVWPGAPKRLKCVNVGLMAPPGAGPDEDMTEREKMDYFRREDRDYVQRIRNAKPCILPESVRQEVLGIVKKQGKLTAGVLGRIRDHVEKWYHDEGFVFAQVQNFGGLDTDEVVCEVVEGDITKVEYQFQDNLNNIVEGNTHIAVIDRELPQQLQPGYIYNVGTAKQALKHINALGLFSNIEVSHRPDEAKEGGLVVEIKLKEQKPKSAEVTTEWSIVPGHQGRPTLASIQPGGTISFEHRNISGLNRSLVGSVTSSNLLNPNDDLSFKLEYVHPYLDGVEDRSRNRTFKASCFNARKLSPVFVAGPNMDEAPPIWVDRVGFKATITESFTRQSKFTYGLVVEEITTRDQDYNICTHGSRVLPSGALSMDGPPTTFSGTGVDQMAFLQANITRDNTEFVNGATVGDRFIFQFDQGLGIGSKNPFFNRHQLSVTKFINLNKQDKGAGKPPPAVLAVHGRYAGCVGDLPSYDAFAIGGPHSVRGYGMGELGASRNLLEIATELRVPITVKNRQTQVYAFAEHGTDLGSSKDVKGNPTEFFRRAGNGSSYGLGVKLGTVRAEYAVDHNAGTGAFFLRFGERF >Dexi7A01G0015340.1:cds pep primary_assembly:Fonio_CM05836:7A:25171623:25177725:-1 gene:Dexi7A01G0015340 transcript:Dexi7A01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYVSSNYSADNEDHADSQLPSPVENDTENTTKNSSKNKSSNACKDHPGTITTKIVKETSKPAANALLNNHTPSTSPRVPRSPGRTDNPLSPASRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQHCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGVSMTSKIQLFHFTAAIPHYISNLVFYPCVHADKKYVLRFGGSDYIPEKGCKIIFKTQ >Dexi9A01G0008140.1:cds pep primary_assembly:Fonio_CM05836:9A:4832994:4834436:-1 gene:Dexi9A01G0008140 transcript:Dexi9A01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >Dexi2A01G0036740.1:cds pep primary_assembly:Fonio_CM05836:2A:46196789:46197492:1 gene:Dexi2A01G0036740 transcript:Dexi2A01G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNKSLLSAGTLYCFLLLPLAAQAKDTTIVVIGGQARCKSDPSRIISDTTLKLVIDKDEIPGGTVMTTSTGQVAMAVKLRSQEEVTSVTKGKAYLVAPPHACGAPSIPQGTVMAARVIVTAEQTIISPATNISDAARPRINGDTSSELKPTIKLYISTLECLVCHTI >Dexi1A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:1A:12362718:12363014:1 gene:Dexi1A01G0012410 transcript:Dexi1A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPIPSSQQDVQPCLIYKATIDILEVTDLRAGSDVPPGGAAGGSLGSSSPDGPWRPGPGSGGGSSDWPLSAGGSGTGSSLGLAANPPRYAFELSSSD >Dexi2B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:2B:27514404:27515316:-1 gene:Dexi2B01G0017120 transcript:Dexi2B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSNHRPGRQAIESYEPGPANKPKPRGAQAGNTHADVRAAPTGTGASSKSQRYGPLEASRKDEPLEETSYELYEEEERNQD >Dexi2B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:2B:4110858:4112829:1 gene:Dexi2B01G0004500 transcript:Dexi2B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPPPPHGGAEDDFLEHFFAFPPAASAGAGGGHAGAGAGGDHPFPLALSLDAAAAEASAGAAKQDRDPVQLAGLFPPVFGGGGVQQPHLRGPPPPQMFHAQPKPGEGAMAPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKVTLRKNIYFYSSSSL >Dexi3B01G0033390.1:cds pep primary_assembly:Fonio_CM05836:3B:36037106:36038072:-1 gene:Dexi3B01G0033390 transcript:Dexi3B01G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIVIGRCGNNEEEPTTARKLAGAAAVAVKLVVFAMILCPLCGLYINAAISVWRLIKHDFHAADGDPSKANMNPALDVLYSLALVQGLLFGYKELLVFTEKKLVNVVVKEYSFEQQASKSVSDYLSETKVGCAKDPSFSRGRNLITYAVDLMDSKSPDNYTSGVRILDTIIRRPDDHVLVDGNRELIRRLLGSASSSHILQKMLQTLDSRSPRDRAIRLPTARILALLAGNIRLQQFRRGVQSLSSLLETCLEEDEDGSCSTNTSDQKELLSHGLCIFGDLAIDEGNCRAMSNNRRLISKITAPNGPP >Dexi3B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:3B:14335709:14339084:1 gene:Dexi3B01G0019320 transcript:Dexi3B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARAPHLIFLLCLLLVSLLAPPPKGAAAAAPGVIRLPSLAGKAGARACGAQPDPAAYDRPVIGIVSHPGDGAGGRISNGTATSYIGASYVKFVEAAGARVIPLVYNEPEERLLEKLSLVNGVLFTGGSEKQGVYFETIKKVFQHVLDKNDAGEPFPLFAQCLGFELVSMIVSKDNNILETFDAQNQASTLQFPSYSFEGTVFQRFDPDLIKKVSTSCLVMQNHMYGISPKRLRENGELSSFFRILTTSPDENGKVYVSTVQANKYPITCTQWHPEKAIFEWRKPMIPHSEDAVQVTQHFANHFISQARKSPNRPPADKVLDNLIYNNNPTFSGKISKSFEEVYIFS >Dexi3A01G0006840.1:cds pep primary_assembly:Fonio_CM05836:3A:4587501:4591473:-1 gene:Dexi3A01G0006840 transcript:Dexi3A01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGTLPGGAATAASAPQRQRLRGAASLPAAHVPGGARLVCGWQLRAAPVLASSPLSLSGAAKRRILRAGPDAVASSGSAGEAKPQGFAEKYPTLVTGFFFFVWYFFNVIFNILNKKILNDFPYPYFVSASHLFIGVLYCLIGWSFGFPKRAPVNSALLKQLVPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFVNAAASQFILGQPVPLTLWMSLVPVVVGVSVASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTGMDSTNLYAYISIIALIVCIPPAIIIDGPKLVQYGFKDAIAKVGLAKLISNFFVVGLFYHLYNQVATNTLERVAPLSHAIGNVLKRVFVIGFSIIVFGNKITTQTGIGTSIAIFGVALYSFLKAKIEEEKRVCFL >Dexi1A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:1A:9104846:9105129:1 gene:Dexi1A01G0010600 transcript:Dexi1A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEQRINVAILSKTIGVALRLRERRADGLIPREEIAAGEKGCAMRGRARELQVAAAQAWAPDGSSRRKLEDLVGEWKATVLGKVKH >Dexi5A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:5A:6144290:6145660:-1 gene:Dexi5A01G0008220 transcript:Dexi5A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGELQADSLSDGEKAKEGDVFFVPAYTEVKLSTCGPESMQLQRAPPELALSQAHAPFSQRASGAESKPALPAPASTSEFQDL >Dexi3A01G0022780.1:cds pep primary_assembly:Fonio_CM05836:3A:18382939:18383365:1 gene:Dexi3A01G0022780 transcript:Dexi3A01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLISSDNERFEVAEAAATLSQTIRHMIEDGCTDGGIPLPNVTGKILSMVLEYCNKHAPESTDGEDAAASSDKEDLAKFDKAFVEVDQATLFDLILAANYLDVKGLLDLTCQTSPEEIRKMFNIVNDFTPRK >Dexi1A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:1A:26332590:26334769:-1 gene:Dexi1A01G0019170 transcript:Dexi1A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKMPAAVWLLILGVVALAFGVAATPAQASRTNNHYDFFVRETNVTRLCHEKAVLAVNGQFPGPTINARKGDVVVVNVYNQGHKNITIHWHGVDQPRNPWFDGPEYITQCPIAPGSNFTYRIIFSGEEGTLWWHAHSDFDRATVHGAIVVHPKLGSSFPYPKPHKEIPVILGEWWNVDVEKLLEETKRTGGDFKISDANTINGQPGDLFPCSKDGTFRVPVERGETYLLRVINAGLTNDMFFAVAGHTLTVVGTDGHYLKPFTTGHVTISSGQTMDLLLHAINGSASRYYMAARTFQTNTELTVNNTTATAILEYTDAPPLTAGSPELPVLPAVDDLAAAAAYTAALRSLDTVDVPTHVDEHMLVTISVNVLPCTPSNETTSCEGPINGTRFAASLNNVSFAASPTGVDILDAYYYSIHGVYTSDFPNRRPVAFNFTEPEPGTELWFTERGTRVKVVEYGAVVEVVFQDTAILGAEPHPMHLHGFSFYVVGRGFGNFDGSKDPDTYNLVDPPCQNTVSVPTGGWAAIRFRATNPGE >Dexi2B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:2B:24879818:24881048:-1 gene:Dexi2B01G0014940 transcript:Dexi2B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVNKDKVHEKETRGTSSDINENTSVDEVKGPNLLERAKEEIEALAGAVQTKMEQHSSPHVKDVLGKDVMQISEIRMHAESHKEDKDGSLQKIKTHKNETHGTSADISENTPVEKVKGPNVFERAKEEIEAIAEAIHPKKGSDSK >Dexi8B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:8B:21426729:21427619:1 gene:Dexi8B01G0012190 transcript:Dexi8B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKQQQQCVAEEVLEKLCPPPRIQHIIIRGYFGRMLPVWMTVPASTGSFKSFTILMLKDLPCCTRLPEGLCRLPSLKLLDIRDVPAVKSVGSEFQQVASSPGVGQGVATSKSAAAFPYLADLHLEGLLSKIRIDRCPDIEVLEGVPSLDRLVLWDLTMEALPGYLRDVTPSSCQRDKIIHIGKHNINCIE >Dexi7B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:7B:15693696:15698194:1 gene:Dexi7B01G0007860 transcript:Dexi7B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVMDAAPDAPPQAVVLVSAGASHSVALLTGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGITSVICGADHTTAYSEEDLQVYSWGWGDFGRLGHGNSTDVFTPQPVKALQGLKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLLPEKVSSVEGERMVLVACGWRHTITVSNSGNLYTYGWSKYGQLGHGDFEDHLVPHKLDALKDSTISQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGNNDDHCSPVQVHFPEEQKISQVACGWRHTLALSEKKNVFSWGRGTSGQLGNGEIVDRNTPVLIDALSPDGSGCKKLESSTAAPFTAKVWVSPSKRYAIVPDENVPKSGEGTARGNGADANVPENDVKRMRMQS >Dexi2B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:2B:30385312:30386275:-1 gene:Dexi2B01G0020310 transcript:Dexi2B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNLVARKERKRRGKQQDRAAGEMASQPPRVCVTGAGGFVASWLVKLLLSRGYSVHATVRDPNDPKNAFLKQLDGASENLKLFQADVLDYDTLTAAFAGCQGVFHPATPVIGDKLVDPEKEIMAPTVKGTRNVLEACSATNIQKLVVVSSAAAACLDPNWPEDRLKDESCWSDKQMCKEIEVRNTKLN >Dexi9B01G0011310.1:cds pep primary_assembly:Fonio_CM05836:9B:7196460:7197666:-1 gene:Dexi9B01G0011310 transcript:Dexi9B01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPPPPEPEPEHHPRPVRFGILGCASIARKVARAIGLVPGATIAAVGSRSESKARRFISENGLDGAAVRAHGSYETLLDDPGVDAVYAPLPTGLHARWAAAAAARGKHVLLEKPAAPCAADLDAILAACDAAGVQFMDGTMLMHHPRTHEMRNIIADKKDFGDVRMINSVLSFPVSDDFLENDIRVKPELDGLGALGDIGWYCVRAILWAVGYELPTTVAALPGSVTKNDAGVVLACGASMHWAGSKVVATFTCSFLASLAMDLTVVGTNGTTLRVTDFVIPLEESSAAFSVAPPEELAVVVVANDLPQEALMVQEFARLVRSVRDDGGRPEGMWPAIARKTQAVVDAVKASIDKGCEPIEIMHGLNN >Dexi1B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:1B:17530146:17539888:-1 gene:Dexi1B01G0012790 transcript:Dexi1B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFERPLVAPPVAPGLDSATGVQSRDVQLGSYSVRLYLPPAAATAPGAKLPVIVYVHGGGFVAESAASPGCHGFLNRLTASCPALAVSVEYRLAPEHPLPAAYDDSLAALKWTLSAGDPWVAEHGDLDRVLLAGDSAGANICHYLAVHPDIAQATPRRRLKGAVLIHPWFWGWEAVGEEPSHPAARAMGARLWLFACPGADGMDDPRMNPMAPGAPGLDTMACERVMVCAAEHDFLRWRARAYAEAVAAARGVGGEGTVELLETEGEGHVFYVFKPDGDKAKAMLDRIVSFVNAP >Dexi5A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:5A:9272605:9280384:1 gene:Dexi5A01G0012360 transcript:Dexi5A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVVSSTEGVLRILLGKLGAVLAEKYVLLSSVGREIQELKEDLEIMNACLHDLAAGSEYHHSEQTRTWMKQVREVAYDAEDSIDIFWYHNSHRHCNHNLIVGWLCKIIRPLKTLRAMYNLAIEIRDLKERAMKVSERRLRYRVEAASGGASDAYAAARSSLDYNHLERQLPALNINEWQLVGITEKTKSAIKLLEDGNLARLKVVPIVGFGGLGKTTLAVNVYKSTEMKGIQTRAFLAVSQHYDLRILLESLLRQLIRVSLRDPSCSVEEPIKDPLRGIETWHISELIGRCRTHLEDKSNEDLKDICKMSLLRYLCLRRTNVSQLPKQVGALKELVTLDIRETSIRELPDTVTELGNLKHLLGGRLKQLIVDNAPNLDELRFDGGAPNLEMLTLAFEREPAKGTSAEVLIEYNLFS >Dexi5A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:5A:4208389:4211668:1 gene:Dexi5A01G0005680 transcript:Dexi5A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLHPPGKLGTFESPQVWPLSAPMSQPEAGRSDDQDVRLLSVSWNQDCGCFAAGTSNGFRIFNCEPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLAKDYIVIVLERKIYVYNFTDLKLLYQIDTLSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKMTKTIPAHDSHISCMALTMDGLLLATASTKGTLIRIFNTMDGSRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDSSNEQRTLEGPRMDHQNSSSSIDPLVQMNTGSNASSSLSFMRGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFLKSDSPPFRTSAS >Dexi6B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:6B:25892186:25892900:-1 gene:Dexi6B01G0019010 transcript:Dexi6B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELMRKIRALEEGQAELKREVSKINQLRTDRRGGVQSQQPLAAAAAASPRRAAGLSRRHHAMVMQSLGQAVHVLDPYGKILYWNRNAEHLYGYSSAEAVGNDITRLIVHSDDIPALNSLVGKIFAGRCWRGNFPVKKKSGERFFVVADGTPLYHDDGSLIGLVCLSEDTQTLRELIDPSNSGYYYAKY >Dexi7B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:7B:20252699:20257390:1 gene:Dexi7B01G0013810 transcript:Dexi7B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTVLYLHATVVALLLCFSVHFIQSPSDVFGPVALLDPTPSAARDFGAVVSEPPIAVMRPGSAADVARLLGTLSSPPGTRRRARAVAVAARGAGHSLHGQAQARGGIVVETRGLPRAVDVVADAGGEAYADVGGGALWVEVLEECLKAGLAPRSWTDYLYLTVGGTLSNGGISGQAFKHGPQISNVLQLEVVTGTGEVVTCSPTQSPELFFAVLGGLGQFGIITRARIPLQVAPPKMRWVRAFYDSFETFTGDQELLVSMPELVDYVEGFMVLNEHSLRSSSVAFPAEVNFAPDFASDSDGVGKAKVYYCIEFAVHDFQQRDSDAAAADHVSLSSQQVVELVSGKLSYLRPHAYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNLFVPRHGVQGWDGNTSAVVPAAPDGVMYIFSVLRSTDPARCGGACVEGILEEHRRVADEACRRLGAKQYLARQPSRAHWQDHFGPSWDRFVARKARFDPMHVLGPGQGIFTRTDDDDSAAI >Dexi2A01G0003040.1:cds pep primary_assembly:Fonio_CM05836:2A:2600988:2604830:1 gene:Dexi2A01G0003040 transcript:Dexi2A01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWVVYSTTHPGLLLSQTKSQKPHPSPWRWCVPCSSPPPGIEPPPCSPLPTPPPPLRRPRRPVGTLPIRALAFPAQHLRIRIHAGAAFVTTAAGKDGTSSDGAEELKAFIAKELSKHALKNSRVLEKLFDHDRQILATIIIAVVMEAIGIAMSKKSETEKGVEACCSGGGGGAKVSRSGAKVAGAVEVEAAGSSVDKERSGTGAKEVPAIGSGAEKNTGVTKDPAATSVIINFITITINNGSLYAVSRLKPAYWLVSCAPTFLQGPETQTRQHGHQAHFQ >Dexi9A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:9A:7609779:7610477:1 gene:Dexi9A01G0012010 transcript:Dexi9A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKFAALALAIVAAVALSSFFHYRCRHLPSPFVPGGARRLIVVTNEQEVGEEMDLADGNVELRCHGYGEHDHTRPVWSARASIPSGAEANLTFPAVHGDEVFEVLCSYRGANRCWAHGVRVFGNPGHDNLFCSEQIGGCTVRFRKDGGVEKVYEANAPRDVEKLYEDSARIDLLQPAIFMGFVPDFDNARDGGCASASCAGRAINRVIGEESCCDDSCGGWDKATPKK >Dexi9A01G0036470.1:cds pep primary_assembly:Fonio_CM05836:9A:40899860:40901111:-1 gene:Dexi9A01G0036470 transcript:Dexi9A01G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLSRRLVAAACVAAVALALIMATPSRGQLDPHFYDEACPAALPTIKRLVDEAVAAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKSASPNVNSVRGFEVIDRIKAAVNAACRGNVVSCADIVAVAARDSVVALGGPSYNVPVGRRDARTASQAAANSSIPAPTFDLDRLASSFASHGLSLQDLVVLSGGHTLGFSRCTNFRDRLYNETATLDGSLAASLRAVCPRPTGSGDGNLAPLDPTPARFDGAYHASLLRGRGVLHSDQQLVGGVTDALVRFYAANGEAFRRDFAEAMVRMSSISPLTGNSGEIRYNCRKVNYS >Dexi9B01G0048520.1:cds pep primary_assembly:Fonio_CM05836:9B:47470292:47471737:1 gene:Dexi9B01G0048520 transcript:Dexi9B01G0048520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVYLLVNNFNRATYPLRRIDVSTLFSSTDTASSTKLSEAPLPQPFISFAPPRGTCFYGKLDFFSRFGQGKNKSFIAGADQNGGTFLYDLDQRTVHSQVRLNQPKMVNTISVAARDALYVLDNEPHPGGCFEALRCQPSRELVLVPKWRWHSLPPPPYVLEPGYTPTSIGAYTTVNSDCFIWISTPGLGTYSFNTLLSELRKEGDWELPFRGRADFFPEYNLWLGFSWIPGKSFLVKLGFGKFCVPKFFQTHHKERTAYEGDVIGDIQNFVLLTGLTLLRTGVNGELRMIRHGSQLYYFQTMTNGWVF >Dexi9A01G0031990.1:cds pep primary_assembly:Fonio_CM05836:9A:36808419:36813092:1 gene:Dexi9A01G0031990 transcript:Dexi9A01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLPPSSETLFPNRMWRPAKIPRLARPMTTLRSGSMSFTVFIRSAGSFPSPPTRCTTFLYISLARNDILAQQRQERGQVRRRMKGGSRGAEEAGAAALGTRGGAGGCSRVWGVGLFGAVGRRRLAPNLASMAAPAVICLYATDRSRHHAATATRVDLPRIIGPTPLRPRPRPLAPYCLCDVLDAAPAVLPTPTSAVERHGRLCLLVVVPQPATSSPERPLRSRPPAIPRPLRFVPAHGGLARRGLSPWSVLLLYATTSRRRSGWLAGSGCAEGPTVAASPTHSTHTAPVHLMPLARGTDDAAVNCRWAVDEAKCKQFYKRASRDLLGGTLLYVLRWHPAVHGIKNDEVRCPAKVGNSGSSSNPA >Dexi6A01G0018460.1:cds pep primary_assembly:Fonio_CM05836:6A:26203404:26211928:1 gene:Dexi6A01G0018460 transcript:Dexi6A01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTSSGGAGGEGSGGGTKVNQELWYACAGPLVSLPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSQLICILHSVTMHADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGAHAAANNSQFTVFYNPRASPSEFVIPFAKYQKAAYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDMDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWEIEPVIAPLSLNNLRSLFRLSLNNLKSSSRLKSRNNNLWFQITPFYKVVFNKYNFCGNSNRICRSSSHNRFGTKTPQSSAVVDPKVEKGMKPYESPQQMVMIPKMAEQRPAARQDYANNTQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFNQPQLLREEVPDSDFEVTDPRNNFLFGVNTDSHLESLNADALLANNFETEKYMDQMPGHGIANYISSKDSQQELSSSMISHSFGVPDIAFNAIDSSINDTPFLNRNSWPPAAAHQRIRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLSDQSRGGWKLVYEDHEKDVLLVGDDPWEDFVNCVRCIRILSPQEEMQMRLASDLGTLTDLWVPRQGHGEGIRVEQLEGEQFGSASLALHSLFRQATTSLFVASDHLSNSEKKPSRRNRSCRCGAATAWDQRTMAVSQELEGLRRIAPSRFVSFSFPNPFLGHASNPYGDGSGGGGGGDAGECLRVAVLDSPIPSPPIPRTAAMLVPAGRHRDWIFSTRAGHLHLLLSTQFSRLILVGPELSAPSPRVIPCVARPDPDPAHARLLPLLLALCPMAAFRDNTVPDVPLLTFHDDLLLLAPVKFVTGPVVGEMVIEDVAIDCAPGPAELRRRLRFKRMPCLVQTQVRLCQSPAAAASSSSSLLEVMEGSGGLLQPEVGGSLVQPYLQAMVAGLAVIAPSIEESIQSGVRPRCLCAGVGGGSLPMSIRVGLQFSVLGVEADGDVLDVARSHFGLVEDEFLHVHVGDAIQTIVDFSRRREPDVNFRAVMVDLDSSDAMCGVSAPPLEMIHESVLLAARKVLDKHGVLILNVIPPPADGSFYKGLIDLLQQVFSELYEIDVGNGENFVLAATVSPETALTGNSGHFLTELRKLAGDFLEHIRKL >Dexi3B01G0038230.1:cds pep primary_assembly:Fonio_CM05836:3B:41046093:41046585:1 gene:Dexi3B01G0038230 transcript:Dexi3B01G0038230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDENAGASDISFFLCSCHLIVMVSIEFKLQYIAIGNMIMLQEAKAYAQENGLFFMETSAKTAINVNDVFYEIAKKLLQGQQVQNPQGGMVLNQRPPERTVSSSSCCA >Dexi4A01G0022140.1:cds pep primary_assembly:Fonio_CM05836:4A:25445919:25446898:-1 gene:Dexi4A01G0022140 transcript:Dexi4A01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAPIQCFLTGKPVLRMSTLPKLSRRPLRISCKAAGDEKDKVPSGGDGLGVKLGKLAMVALAAGVLALGPVDGAMAAKSGGRVGGQAFRSAPRPSGPRINNNRTNIYINPPVAPPLGGYGYGGYSPFFGGGWGWSPFTFFAPGPSVAVGVGGGFDTLVLFMVLGVVVGAVRRFLNRNNDDDFDDY >Dexi6A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:6A:2360127:2363068:1 gene:Dexi6A01G0002490 transcript:Dexi6A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETQKRPNAESAVSSLLVRESTRCLQYFSSHSIKSAATSDFYYSLRSKLPLALALLLALALVADFLRTSSSSRRVPALSSSSTPQTVKGKGAKDVESVVGHLNATYADLPAPRWDWEEMPAAPVPRLDGAAVQIGDLLYVFAGYASLDHVHSHVDVYNFTSNTWTERFDMPKEMAHSHLGMVSDGRYVYAISGQYGPQCRASINRNFVLDTETKEWHELPPLPLPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALEIEWRAEVPIPRGGPHRACVVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHETWSVIGRMPFRIKTALAGYWEGWLYFTSGQRDRGPDNPAPKKVVGSMWRTRLHL >Dexi6A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:6A:26671509:26673233:-1 gene:Dexi6A01G0019050 transcript:Dexi6A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRTQEDE >Dexi6A01G0009080.1:cds pep primary_assembly:Fonio_CM05836:6A:10086706:10087152:-1 gene:Dexi6A01G0009080 transcript:Dexi6A01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGADEAAAAAQPRATISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLNGLLTPEQFLEERESMLQALFPSCTKLPGEQMIT >Dexi1A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:1A:27502831:27503257:1 gene:Dexi1A01G0020700 transcript:Dexi1A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNPDSMDTEPPGGGGLSISVERNPPESRLLQLGVKSWPKWGCPTGKFPVKFDARQTCYLLKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSS >Dexi9B01G0044730.1:cds pep primary_assembly:Fonio_CM05836:9B:44396074:44401391:1 gene:Dexi9B01G0044730 transcript:Dexi9B01G0044730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATYSLLHARPPAASGGGPPLPLRRLRPIQCRRRRRAAPVYHSQHRLLLPARGPAACLTTRATADPSSSASSAVDAASAAAATEEGAGIGAGSAVLLDVSGMMCGGCAARVRGILAADARVETAAVNLLAESAAVRLRAPTPPGAGEELAARLTECGFPSTARRGGAAAGAGESARKWREMAARKEELLARSRGRVAFAWTLVALCCGSHASHILHSLGIHVGHGELRTFLDLLHNSYVKCGIATAALIGPGRGIIGSNISLYCALITSLLWLLTPFFLPHIIPMPPDILFDGFRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLIVTSSSDGPSWDTILNSDAITVEVPVDDVRVGDSILVLPGETIPVDGNVIGGSSFVDESMLTGESLPVAKETGLPVFAGTVNWVEDAQAREAPVQRLADSIAGPFVYTVMTLAAATFSFWYYIGTHLFPEVLLNDIAGPDGDSLLLSIKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDALVLDKTGTLTEGKPVVTSIASLEYEEAEILRLAAAVEKTALHPIANAIMHKAELLELDIPITSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASPTKLRDIRNHLEVMLSSEASSSNQSKSIAYVGREGEGIIGAIAISDILREDAKLTVDRLQQENITTFLLSGDREEAVTSIGRTVGIRNENIKSSLTPQDKANIISTLQGEGHRVAMVGDGINDAPSLAAADVGIAMRTQSKENAASDAASVVLLGNRLSQIVDALSLSKATMAKVHQNLAWAVAYNVVAIPVAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQNTEKRQGDLRSRLN >Dexi9A01G0023190.1:cds pep primary_assembly:Fonio_CM05836:9A:18421279:18422361:1 gene:Dexi9A01G0023190 transcript:Dexi9A01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISASSVGSNGADPQASSRSSITAAAATGKHQLKIDSYSLTTALPRGAHIKSSNFQAAGFSWYINYFPNGCGRLKRARGHISLQLVLDGTIPTRAVKAQFILSLLNQSGHPAQSTVRKSPVHKLAGGWWFYKFIKKEDMEISHQGLLQSDSFTLQCEVVVLDRFHAVKIAPFVEVPPPDLQRHFGELLLSGEASDVTLQAGGVRFNAHRCVLAARSPVFKAELLGSMKEGTSNCVNIEDMEPRVLKAVLQFIYTDMLPEVSKKEEAAMSQHLLEAADRFNLQRLRLLCEDKLCGCIDTSSVVNTLVLAEQHSSQGLKEKCFEFLKSTGLNAVMATDGFNHLASSCPTILEELMSKVTLH >Dexi9B01G0034780.1:cds pep primary_assembly:Fonio_CM05836:9B:36665021:36665299:-1 gene:Dexi9B01G0034780 transcript:Dexi9B01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCGPWKWRGWGEASRWRLRRLEEKAGEAAVMAGRRGLWPWEVGRGEGDRRERGAVGRPGGDGGPMLL >Dexi6B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:6B:2584315:2586677:1 gene:Dexi6B01G0003140 transcript:Dexi6B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQQLLCRSHCRTCWRLANPYGNDKNMKASIRVFYASVLCEGLLYIMACILATFSVIPRQRLARRLGFGDDWGARAVDLYYEHAYTKRIEIGVFAEDTISLASFVVDSLNSASSISRELHFSASKARELQLAGVRVLHSLLQQKGSSNEELISVITRSEKAVPTLISMLDWIFKQDKDIRLFAAKAIADLASYLRIAGNAGAVRLVSSLLDAAENQPSNDEGNDDDGSSHGTAQPAGGDNESGGSIRNEQGRNCCPWWCSWVLQNIMAAEEEQLEALIVLASQICYVLPAQPSVQGLESHIIGATIVRKLVGTLNSNKKPSHKYPRMRRAIVDMVISVLRRSPGYAIIFGREGMVDALSKVEMTPSCKVEKYRVFLGNEGVVLEQGIHLGELVATAKGLIHLATPT >Dexi6B01G0019350.1:cds pep primary_assembly:Fonio_CM05836:6B:26133801:26135655:1 gene:Dexi6B01G0019350 transcript:Dexi6B01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTPSAAASSFRPPIPPPPPCFDYRAAMLADTLAAAGNPALAALVESGALVRVPRRRFGPVPAWRPPDFVEPEEVWILGTSHLSTESVADVERVLRAVRPDNVVCPHCRAGIMYVSSDASDEQVLKSNMFSLGGTQFFGAVNRSINLGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARRVSEDIGAQLVLGDRPIEITLERAWKSLTWDKKTKLVISLFRGITSTSDKPQDEKTAVSPYELYQKLSTSYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGVVGKGHMNGIVYALISDQGDLRFRDLVGRASSDTWVTSLVKGLVRDTVIGLVLWALYEQLQSVL >Dexi4B01G0023760.1:cds pep primary_assembly:Fonio_CM05836:4B:25066589:25066907:1 gene:Dexi4B01G0023760 transcript:Dexi4B01G0023760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRPRLPGFHKVVEGLATTSMDKVLCLNLAEQCHSSGGLLQGIWVMVRLTEKCNHHKTMVVVEVDTGQVHPP >Dexi4A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:4A:20627674:20631639:-1 gene:Dexi4A01G0016900 transcript:Dexi4A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGRTKRVGRYEVGRTIGEGTFAKVKFAVDSDTGAAVAMKVLDKETILSHRMHHQGVGLLHTTCGTPNYVAPEV >Dexi9A01G0040890.1:cds pep primary_assembly:Fonio_CM05836:9A:44579353:44584090:1 gene:Dexi9A01G0040890 transcript:Dexi9A01G0040890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAQSVFGTEGTMGLVSDPQENGNHQPAPAPVEAEEAVEEGDSGETMEGVASIALLPSGAISGHFIRLPDSICYGLQGTPEVGFSHKFVMIICQSKKEKVLVVECRGHDAARIQNIDHLHGHREVVAAVKVMDVEAKDAGTRARINATIMNKKTALRCCEETVVLRYHMKKTSLSFPNANNMLCRWEDDIVGLVEKEHGNQKVLFSFECETLKADKDAEDHIIKYMPNLRGMDAVVNIGKMCITGIDLNEDDEPRGDN >Dexi1A01G0031060.1:cds pep primary_assembly:Fonio_CM05836:1A:36213222:36216120:1 gene:Dexi1A01G0031060 transcript:Dexi1A01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSSAYAAYMVFKVAQGAYGLCSPYPETFVTLGGSRSTRQVCLDEDGDPWLSWGPMPDRGGRDGIPQNVLLPRERGDGWMELEMGEFQNDEGEDGEVSIKLMETSATVKSGLIESDRSREEAKTRAEGSMAEAPAPTTCEIARLPEDLLAASIAGTTPRDACRAAAVSPTFRAAADCDAVWDRFVPRDLPPLADGELSPAPPSKKALFMRLADGPVLLADGLTSMWLDRETGIKCYMLSARSLCIIWGDTPQYWRWIPLTDSRFTEGAELRAVCWLEIRGKIPCNMLSQNSGYAVYVVFKMSDESYGLQYPLQEASVTIGESRISRQVCLDGYQNEGEDDEEEVPQNHRSLRVPATRLGLRRRNRRVPHGVVVHFPKKRDDGWMEMELGQFNSEDGEDGEVSISLMETRGGNWKKGLIVQGIEIRAKK >Dexi2B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:2B:799688:801188:1 gene:Dexi2B01G0001250 transcript:Dexi2B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDVLEDEMGSNLSLDLRYFASKAVKRARDVLALNVDDCILRLEEEQDKIKMFRQELPVFVRLLAEVIDVMKAETGKKMKSDHKTAMTIEEEGAPGDKSKWMSTAQLWISDANQEDAESEKQDKGRSSPEETFGGDGAFLPFKVVGSSVPAHASLCLRGDDKAMDVGMPGLSLLSSSMIKSAPTTSTGATKESHRQVVDFAQEAARAVTMASSVPPLSLKSQPQQTAQQQQQQQLARKARRTWSPELHRQFIAALNKLGGPQLATPKHIRELMKVDGLTNDEVKSHLQKYRLHNRKASGSAVVSQPIVLVGGLWIPEKQSSSQSGSPQGPLHFSTPSSVVSSTAAVNYEEEDGWSESFGWK >Dexi9B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:9B:13323185:13325138:1 gene:Dexi9B01G0018680 transcript:Dexi9B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKGHVKFQPKDHAVHLDLIGEIHGVAAAETYFNNLSDSDKTEKPYGALLNCYTRELLVDKALAHFHKMKELGFVFSTLPYNNLMGLYTNLGQHERVPSVIAQMKSNGIIPDNFSYRICINSYGARADFFGLENTLEEMECEPQITVDWNTYAVVASNYIKGNLREKAYSALQTAESRIDKRDPDPYNHLITLYGHLGDKSEVKRLWVLQMSNCKRHINKDYTTMLAVLVKLDEITEAEALLKEWESSKNAFDFQVPNVLLTGYRQKDLLEKAEMLLDDFLKKGKTPPSTSWAIVAIGYAEKGELKDVETFVDLLKAAVPMNSDMTEALSMARAREETKGKETSA >Dexi3B01G0027840.1:cds pep primary_assembly:Fonio_CM05836:3B:23552652:23564654:1 gene:Dexi3B01G0027840 transcript:Dexi3B01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTGLLTKHPTSPYVLALKALILERMGKPDEALSVSLNAKELLYSDNIFHFDDLTLSTLQIVFQRLDRLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTALKMYKTVGEERFLLWAVCSIQLQVHFSSGGVKLLALAEALLKKHINSHSLHEPEALSLYISILEQQEKYDAALEVLSGELGSLMGREEDKLRLQGRLLAQASSYAAASEIYQKILESCPDDWESFLHYIGCLLEHDVNLPKPCTGEHSCPSCSVDSALSSKTSLSQELVESRLTNALSFVQRLQENNSSDCVRGPHLANIEIERQRCLNGNLNNIKLMEALEKYFHSLSFRTFECCLGTCRFGHLSCSAADVEKYLHMLSGDEISKLLDEISGSFDASSVSVNTLGLTITLFKVQELLGTLFTKSTAELQGIAKRMVDTFYKNLSLSNDLDPQESMYGEELLSMASSILVQLYWRTRNLGYLLEAILVLEFGLAVRKYVWQYKITLVHLYSYLGALPLAHKWYITLEVKNILLESVSHHVLPQMLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLQRSMQYLSLKSDSVMLHLKQKAESLDEVESILVNVNLGTKLVELSNEDRMKHLTFNEDLEARPWWTPTSSVNFLSESFDEGSTPASYRTKMCKYKSNEKDGPKLKDAERKSVIPRLVYLSMHGCTTFLRESESNGASSDVTVSGEMKTLLEKYARSIGYSLDDALSIVLGMSTGKKAVKDFAPDIVSWMSFAAFINAWNLCSSESVIPGTEQSSPNSWQIVGDLFKTCIEAQLTDAKQMPTSPGNNIPLLAQMVTEPISWHLLVIQSCMRAMTPQGKKKKKGGPLERPNTPHLQAIQSSVNYMVDTLQSVQAWLSDQVRPEEQALDTLMSHLQGTSADGPGQIPRTLDESAAAANAEIGGRIAQSLEPWSSTRVMRRIVGAGDETINDLKKICAKKLKMLASASASLSSVLH >Dexi9A01G0043400.1:cds pep primary_assembly:Fonio_CM05836:9A:46867079:46874059:1 gene:Dexi9A01G0043400 transcript:Dexi9A01G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFALVARDSRAMEQDPSVAAGVDSGSAEPGAAAFDLTSAYPDANAYGHNPPDVTGGSAVAPNGGAQAVDASAYPAEHAALNGTAGEMANYQSTGATENGAADANEMGEPVPEQSYEEAVLSAEEARLWGVVTTNSLDFNAWTALIDETERNAESNILKIRKVYDAFLAEFPLCFGYWKKYADHEGRLDGVSKVIEVYERAVLAVTYSVDIWYNYCQFAISTYDDPDIIRRLFERGLAYVGTDYRSNNLWDEYIKYEESLQAWSHLAVIYTRILEHPIMQLDRYFNCAFFFCFTNCYFLHSLKELASTRDLSEILTAEEASVYGVTSENSTQPLDGEAHPEDPDKSSQPESENVAKYVSVREEMYKKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDFMEKEEDTHKKQPEIHLFSARFKELNGDVSGARSEYQHLYSVLCPGFLEAIVKHSNMEHRLGDKESACSVYEKVIAAEREKDQSQLLPTLLIQYSRFLFLAIQDMEKARDTLTALHEQLNVSKPVLEAVIHLESIFPCEKRIDFLDSLVEKFITYESSQGEGSLGDKEEISSIFLESFSEPVFFQVMLRILHMELMTTAIKCLSLLLKLLTVLTLQHTLLRATHNKATHNLQQWRQHQCPQQHQHLRQLTLSNLRQRLNPTTGQPTTDL >Dexi7A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:7A:27908185:27912831:-1 gene:Dexi7A01G0018520 transcript:Dexi7A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKYLCREPNVGLSANKTFAVSHAPRLSAKRKPARAKELSANYDISAYMITCHRRRVGRLTAVNVFAVSLHGAHGKGMFAESRGRPLGKIGHVARHHLFAEILPETLGKGTALGKEALYRERPGGSRQTASRRAHGKQGVCRELGLVALGKEVFAERFRRSSRQTTLGKAGVCQIYALFAESQVFAESLDLALGKRLLCQEPDLCSRQTGHHAARPSSSLTLTVIDASRIASTQNFDGRVVMEVGGGKKHGRYWMGDSTPRDSAKGAERKRHNPPTHDTGAAADIVSTERTERESLQANLTQMYAWMQSVGTQVSVPPPQLQFQPPPRQPTPGLSAGSNDPAGMVNMSPGVSPTARVSDWSPWGTQQDGQGSQDRDLSNPSQAHNPLSAKMPFFAESPSQGSRQRCHFLPRALAKALGKAPVQRPRACPPMWAPWVLCREPGSWLSANPAAGPTETAQRRLAGSLHALGKYLLCTRQTLCREPGRKLSAKVASPRKSLPSQLCREPALGKAFAERMSLFAESSRLTAKSLDPVCRFGSGNRAVLQPDRDGLVGNRSNRTGSQRLGEPCPSPHKWCSIHGSAPLSFITKLKISEPATNLPRPGWMVTDGWPACGSNVRPGVAYSSAFSPESICRVRWFGGELHASSRDYYHCRAVSLSNCFRAPDGDGSLSQPQESTRVRMPTSPAVLPF >Dexi7A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:7A:15705138:15707278:1 gene:Dexi7A01G0004780 transcript:Dexi7A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLDDHDEYAKLVRRMNPPRVVIDNDASDDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGNWFMDVFNVTDRDGNKVVDASTISFIQKTLEADDWYYPEARNTVGIVPSEEYTSIELTGTDRPGLLSEVCAVLGAMGCAVQSAELWTHNTRVAAVVHVTDADSGGGAIADAARISDISARLGNLLRGHADDARAAAGAAAHHKDRRLHQMMFEDDDPSSDSAADSASPETRTEVSVTACEERGYTAVVVRCRDRPKLLFDTVCTITDMEYVVHHGTVSSEPGGGAYQEYYIRHVGGEPIRCAGERRRLVRCLEAAIERRTAEGLELEVRTEDRAGLLSDITRIFRENGLTIRRAEISSADGEAVDTFYLSDTQGLPVEAKTIEAIRAQIGEATLRVKNNPCGGGAGGDTARKDADVAGAGTTAFIFGNLFKFYRPFQSFSLVKLYS >Dexi9A01G0039130.1:cds pep primary_assembly:Fonio_CM05836:9A:43222229:43224049:-1 gene:Dexi9A01G0039130 transcript:Dexi9A01G0039130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGGGDLLSEAMGSAARVVVVEDCVEAPGAFVLHLLLKRALASGGAAAFLALAQPFTHYDRILRKMGCNLSLHRRNERLHFFELQAFPAGARNGAIADSLVRLYSEIQRVAEATRTEENAGQFTVMVDDITLLEVAAHGSVDDVLDFLHYCVTLTSEMNCWLVILIHEDIYAGEENMGLLLHLRHIADLVVKAAPLSTGLAADVHGQLSVVNKGTFNKQRANGQKVWNFHFKVKENGADFFYPGSRH >Dexi3B01G0029790.1:cds pep primary_assembly:Fonio_CM05836:3B:29085778:29092986:1 gene:Dexi3B01G0029790 transcript:Dexi3B01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGGGTPDAATAAAATHGSGGWSLGSVFSWATGGGGRRRRRGGAAPNGGSPAGGGAVCVPGSGCELVAAPASPGPGGGGADAAVYLTWEDVEVTVAGPRGAPAVEILSGVSGYARPGEALAIMGPSGCGKTTLLDTLAGSFRLARVPAYVTQDNVLMSTLSVREAVYYSAQLQLPDTMPASEKRSHADRVIREMGLGDAMDTRIGGRITKGISGGQRKRVSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARIAVREGMTVVAAVHQPSGDVFELFHGLCLLAAGKTVFFGTISDATESRCEVIMYTTALLTFMAIGGFPSFVEDVKIFRKERLSGHYGVSEFVISNTLSATPYLSVIAVLPGAMLYYLTGLTKGVDHFIYFVIVLCICCLLVESMMMIIAVLVPDFLMGIIIGAGVQGVMMLNGGFFRLPSELPKPVWKYPCYYISFHKYAVQGFYKNEFMELSFPSEQLVESNVTISGIQVLKTKLQVEMGYSKWVNVAILCGMMVVYRLLFFIIVKITEKIRPKLGGRRGCVR >Dexi9A01G0046670.1:cds pep primary_assembly:Fonio_CM05836:9A:49994386:49994935:1 gene:Dexi9A01G0046670 transcript:Dexi9A01G0046670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISTPAASPSPTPSPARARIRLPGGCALLPSRRLPSAGGGVAAAWPRARLQLRPGAVALASPTRHEVVPAATEEAPAAAGLPWKLLGSLLPKS >Dexi4A01G0012120.1:cds pep primary_assembly:Fonio_CM05836:4A:10639255:10639484:1 gene:Dexi4A01G0012120 transcript:Dexi4A01G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAALISTCVAARPTRSASYGLHPVAAAASAAGAARGMAPAMMWVETERELSSEAQSSAPSPSWGNGE >Dexi1B01G0025750.1:cds pep primary_assembly:Fonio_CM05836:1B:30753805:30753952:-1 gene:Dexi1B01G0025750 transcript:Dexi1B01G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCDALPLPPRLLLLQASCRLRLYRTRQKGDEDSE >DexiUA01G0003300.1:cds pep primary_assembly:Fonio_CM05836:UA:6696991:6697938:-1 gene:DexiUA01G0003300 transcript:DexiUA01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGGFAVVVLIMLAIDLFLQGRRGAHGMTMKQAAAWSLVWVTLSLLFCAAFWWYLASTEGRAVADPQALAFLTGYLIEKALAVDNVFVWLMLFSYFAVPAALQRRVLVYGVLGAIILRTIMIFAGSWLITQFEWLLYVFGAFLLFTGIKMALAKEDGSAIGDRPLVKWIRGHLRMTDKIESEHFFVRKNGLLFATPLLLVLILVELSDVIFAVDSIPAIFAVTTDPFIVLTSNLFAILGLRAMYFLLAGAAERFSMLKYGLSVILVFIGIKMLIVDFYHIPIAISLGVVFGILIVTLLINTWVNRQHDKKQQVE >Dexi6B01G0018310.1:cds pep primary_assembly:Fonio_CM05836:6B:25305031:25306138:-1 gene:Dexi6B01G0018310 transcript:Dexi6B01G0018310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGASPALWGHEHLQLLARAGSKESLEYILQALWRTRRTGLDAADRAIARDALQLTTDAELDPLLVCLRILIRRCVSENVSKEDIPKLFPDEVPPELQKLLTLLLQKFQPEWQGDASKDQASAPHSGAAECQLNPNGGTSEQPAAPDAELQNGNASDKDSIKSGEREVKKFPLAKDSLDKMLKELFSTKDQMTIAQ >Dexi7B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:7B:22420294:22421797:1 gene:Dexi7B01G0016440 transcript:Dexi7B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQVRPRRSLDAACGALPAGLAWHRRAAAIKRQADAFELRSRNEGLGRGTHDGTKPMQHACRRQCMLRISASQGNRGQVMEQPPPSVNQETGDRRGYTGTGWNTEVRRYVVVVSLGMAVRA >Dexi5B01G0027160.1:cds pep primary_assembly:Fonio_CM05836:5B:28765191:28767026:1 gene:Dexi5B01G0027160 transcript:Dexi5B01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLAVNLILYFMTIMHIPLADASNLLTNYMGTSYMVAVLISVFADIFVGRYMTVIISSVIELVGLLLLTLQAHSGRLKPPGCQFPFDPTCQRVHGGNEVHLYMALYLIAIGSAGIKAALPAHCADQFDEKHPEEKLQMSSCFNWLLLSLCTGGAISVTVFVWIQNKDWAKGFAAATGAMGLALVVFVAGLPKYRIATVQGSSALTEIFQVYVAAFRNRNLQLPENPDELYEISRSKASPDTEFVAHRDRPFRFLDRAAIVQTPKDEAPNPWRQCRVTQVEHAKTVLAMVPIFCSAIIMGTCLAQLQTFSIAQGSTLDTRLGAHFKMPVASLPIIALVILIFAVPIYERLFVPFARRLTGVPTGIKYLQRVGVGLVLSIISMVIAALVEARRKRVAVDSGMVVMIPTLQHLPMSCFWLAPQFAVFGIADMFTYVGLMEFFYSQAPRALKSMSSSFLWCSLSFGYFMSTIIVQAVNAATKGSTPTGGWLSSNSINGDRLDLFFWLLAVLSTLNFINYLCWASWYKYKPVVAEVGPEDEQQLQA >Dexi6A01G0000950.1:cds pep primary_assembly:Fonio_CM05836:6A:778945:779219:1 gene:Dexi6A01G0000950 transcript:Dexi6A01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLHLLGSDRRPAGRSRRERELEDAPGKRTRPWEEGVWAFECGSWREAAAAAQAVAMEEAMGGAGGEEAED >Dexi5B01G0009930.1:cds pep primary_assembly:Fonio_CM05836:5B:6929842:6931229:1 gene:Dexi5B01G0009930 transcript:Dexi5B01G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRILNTAKPTPSPTHRHHPSPPQPPPKLSRRAAAAGIAIAAAPALLTLSSPSSSKAQEEPEPIAAAAAPPCLEDLPVTAKAFLDVSIGGEPAGRITVGLFGDASPAAASRFMSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAVPAVTDRLAAEADALRARCGGAAVHAAAGAVSVVVRDPSLPPPKPKLVAKGGKLEVEEEQVGVVPNGTEFVITTGAAPELDEAAVLVGRVLDGMDVVAKIAAVPTVRDNAGSPYFRVAKLIGDKRAVVAERGFNRPYTKIVVTNCGRTISSATRSFSTASVFTFSSGDTRFLSSKMP >Dexi7B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:7B:20569617:20573632:-1 gene:Dexi7B01G0014250 transcript:Dexi7B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPHPWVSFTGVPPLGRVRHQLPGSRRRRAIGRWSRGGRAAGDLCVDREHREGIVEPSMTGVPAAQMEAGGGQQERGPGPRTSREDEWRSAASTSREEEEAKDDDSLRREREIGEYEETVGTCYLFSESEAEPKRPSDETTPSEVKTDKPDSSSKEAPSKEVNHLASVQKILKFRPTNAEHPQHRAYQHSDLEI >Dexi7A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:7A:6143347:6143721:1 gene:Dexi7A01G0001970 transcript:Dexi7A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPEVAEEVIIFPATLIPKDAEEWSDEDSGSECETDSSDDMLHGYKRPVPSSNDLRIYLRPEDLMWGCPVCPNKGPQRETIHALRDHVIGQAKSMALREDYKKKWSHHCRLARNMGWSLLGKH >Dexi5A01G0031450.1:cds pep primary_assembly:Fonio_CM05836:5A:34097689:34098072:1 gene:Dexi5A01G0031450 transcript:Dexi5A01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVPRALPLTPLPDLAAALPSLLTGVAVCEKQTLYLGARTRRRGPAHGRRTPCPPSLPLSGEVSEWQTAGCGRRRRGERAVAANGARGTRGQEGCGKRSEMGRASLISALRRRVAVEMEFHFLDG >Dexi9B01G0034620.1:cds pep primary_assembly:Fonio_CM05836:9B:36535341:36535887:-1 gene:Dexi9B01G0034620 transcript:Dexi9B01G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFARLAARAAASRRGSSARLAPAPSASPAEPRCGPPPPPHWLVPARGHVGHSHHHGGEGGGGEASERIFRLGLAADVALAAGKAVTGYLSGSTAIVADAAHSLSDVVLSGVALLSYRAAKAPKDKEHPYGR >Dexi3B01G0034900.1:cds pep primary_assembly:Fonio_CM05836:3B:37552051:37555185:-1 gene:Dexi3B01G0034900 transcript:Dexi3B01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQRNPKNGEVENSVFRRMILNQIAGDIDFDEENVPCNTPRNSVHSTFGRTSGRAVASSSGRHNTDAVSPGEYLRDPGSILSLQPWIFKRSGSQNNEERMHASGSRAFGSGKNLADCFRDAQAVEVCARSPGIGSGPGKGCGALRSRKSRRNLMKPLVPMDNSYVPHLYSENFEIEECTFAPVPSPASARPFIVTDGRRVISKSCYEPVPVPFNIGFEKEEFIGTSVMPGSVIGITPLPELKKLKQEGRDSHVSRLGLTGSKRNSKSYGQAGLCDRLLLFSVGVSIGILSSSLSNKKEFDTLKGTLKQMENLVQDLQDELEMKEGLTVKELPNETSGEHGDDNSKVREVDPEPLSKIEAELEAELARLELNITSKRLEEETSDVNDLDLEFIGDIVRGELKVDMIPRDFTDYSSESDHVRDSRESSPDYTRGANYPVSPRDLSIRLHKVIQRRLEDRIKELESALGHRQKQAQVQMMVTDGIFSERIISNSETGSSNQESPMFIQETSSMAEPYCLNLSGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVTEDYSANRGLTWGVEEDSSRELNEVPTWEQVLKSVDPSRAQESDGCDGNESDDDDSKLLIQQILERTKQGSPVLINAQKLLFSVD >Dexi3B01G0025970.1:cds pep primary_assembly:Fonio_CM05836:3B:20926215:20926574:1 gene:Dexi3B01G0025970 transcript:Dexi3B01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRPPDSGSSPSLPPLASPLLQRLARADLAPPPDSRRPSLPPLPQWIPPPHSRRRRCCCTLRPAQALARPTLLLSRPLLLRPSSSPWGRGSLRRRRSRDCSTSRPLSLFRRRGWSSP >Dexi9B01G0024240.1:cds pep primary_assembly:Fonio_CM05836:9B:20119839:20120124:1 gene:Dexi9B01G0024240 transcript:Dexi9B01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAAARGEGEEEVGDDGLLVRREGAVVEDGDRHGALEHRAGVRVERHGRAKLIITSRGRRRTPQPAPDDLGFCMAAQNAIAM >Dexi9B01G0024610.1:cds pep primary_assembly:Fonio_CM05836:9B:21110692:21115831:1 gene:Dexi9B01G0024610 transcript:Dexi9B01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVAGALVSLLLLQLAVTSHGVRRILASEATAAVPPSITSPELRTGYHFQPSKNWMNAWDHSVVWGHSVSLDLVNWMTLEPAISPSIDSDKFGCWSGSATILPDDTPMIVYTGINRIQGNNTFYQVQNVAYPKNASDPLLREWVKPSYNPIISPEADLNATQFRDPTTAWQGPDGLWRLLVGNEKGQEGRALVYRSSNRKEWRRAEEPLHSAMTAMWECPDFFPVADAGSEDGLDVTSSRDAAKHVLKSSLPFMWNDCYTIGTYDAGTDKYVPDDPSGDYNRRRYDYGTFYASKTFYDPAKKRRVLWGWVNESDTATADAAKGWAGIQSIPRKVWLDAGGKQLVQWPVEEVESLRVNQTTVSEVVVKHGKHIEIEMYEACQADVEVVFEIPCLNKAEPFDPSFSNDAEKLCNVKGADVGGGVGPFGLWVLASSDLEERTAVFFRVFRAYDGKPVLLMCSDTTRSSLSTDLYKPRVAGYVDADNIADGKISLRTLIDRSVIESFGAGGKTCILSRVYPTIATGRDAHLFVFNNGEEDIKIKHLTAWEMNNAHSTDQ >Dexi9A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:9A:10361250:10362626:-1 gene:Dexi9A01G0015510 transcript:Dexi9A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKRRRPFIAPPSPDQNETTPPHPPPPPEPEEEEREPALPADLLPEIAARSDVTTLVRFAACCKSLRREILRPAFIRRACRDPSAAAVVPPCVLGFSAAHPATPGVASFFERRLAPFAARTAGASLFGDYEPLTSSRDGLVVLRRRYLGDGDQSSDMCVYDPMSGDRTFLPSPPDFKIWERGASEYSVSYTYVLLTAAADGIGSSFLVLAAAFNRLGRDTDNLMVQTVSSLSSGKADDGGTDAVVLRGYIHWLMYDFLGPVRFRILTDVGTATAGSIELPKEKDALPFNCDRILLGSSPDGRLSLHVSKKLKISVWLRRPAAGGDGDGWSRHAVIDIARAARSLTPPGMPYYWCIKDAVDFASSGVRSGAVLVRPFNAYFTERMMEEGCEEVLAVLDMETKELRRVNKRKNITLFPYEVDLEARLLAMKTFV >Dexi6A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:6A:28092928:28094847:1 gene:Dexi6A01G0020640 transcript:Dexi6A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRASLARPIQVAEQLIKWADEAQTSRQECQDLKSRIERVSTLLRQAARADLYERPARRILDETDRALDKASSLLDRCCARGGFLRRLLTIVPAAAFRKAYHLLDNSLGDLTWILRVSTYAAAAAAAASDNDDEDDYDDDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGKLIIEEDGVPPLLRLIKEGRADAQESAALAIGLLGRDPECVDLMILAGVCTSFVNILKDAPMKVQGMVAWAVSELAANHPKCQDTFLQHNVVRLLVSHLAFETVQEHSKYAVVSKMSIHSVVMDNKTTTTNHTSSHDTPAAAAKPAAMAASSSATAAACPGPSAAAARPAGIAGTRLHNASMSAAATRGREYEAPDIKAYLKAHAARALGTLATGNPAICKNITESRALLCFSILLEKATGDVQYNSAMALMEICRVAEQHPELRRSAFKPTSPSARAVVDQLLRVVEKADYDELLVPCIICLGCLSRTFRATETRVIGPLVRLLDEREADVTLESAAALTKFACRDNYLHVDHCKAIITHGGAKHLVQHVYFGEQAVQTAALILVCYLGHNVPDSEELAEAEILTVLDWACKQGYMSQDPLIESLLPEAKIRMELYQSRVAKGYY >Dexi5B01G0024830.1:cds pep primary_assembly:Fonio_CM05836:5B:26854230:26858792:1 gene:Dexi5B01G0024830 transcript:Dexi5B01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAPSSSSSSSARVILAAPQSPPLTLLQLRGVRRRTVGLARRGGGDGCARLLVVRRGAADEEAGATARGQQEVEESVEDPVPGRDLVTLAACLVGLLTGVSVVLFNLSVHEIRDIFWDGIPSRGASWLREEPISEVWQRVVFVPVTGGVIVGGLNTLRSSIKTSSNGPVSKIKSAFRPFLKAVAASFTLGTGNSLGPEGPSVEIGSAVAKGFGNVFEWNEGKRLSLVAAGSAAGISSGFSAAVAGCFFAVESVLWTSSTDSSSLANSTPMVILSSVIASVISEIGLGSDPAFTVPEYDFRSPTGMAYGKFMRFTFTGPEPLLHVPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIASPQRFSKRIRSKLDSLEEKSSIARQANNMTTQKKLVSMDTADSSQELSQIESSLCVYDANDENLFGNLTVAEAMKTNYFSVSMTTPVVEALDLMLAEKQPFVMVKEFLVSHIHQVGKFNSCSVTPQMSLTTAEKIMDSHGVDHLPVVSEHANLQDRGLLIGFVDKECIAIARRM >Dexi9A01G0035130.1:cds pep primary_assembly:Fonio_CM05836:9A:39783297:39783656:1 gene:Dexi9A01G0035130 transcript:Dexi9A01G0035130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKERTREGLLRQELRRTIFSAWCPGNYTGRSHQAKIRGLQPNVVQTTYRQVIGELTGGVIVFDGRGGTIRVMGRPFYWL >Dexi5B01G0005240.1:cds pep primary_assembly:Fonio_CM05836:5B:3483038:3483392:-1 gene:Dexi5B01G0005240 transcript:Dexi5B01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLASANKEEKEPAMSTTAHKVATQAAARTAKTTGKRPPSVLREIVYGMSLGLFAGYLWKLHHWNNQRRTREFYSLLDQGKITVVADEPPSGAGD >Dexi2A01G0027580.1:cds pep primary_assembly:Fonio_CM05836:2A:38945651:38950728:-1 gene:Dexi2A01G0027580 transcript:Dexi2A01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTQSSHFHTAPRGRLRQRDAAATPRRDRRSAHHINATAPRFLTARRPAAAGRRRPPGRRLLAASSGSAPQPGGRPFDGGDSSMSSSSSDPLLGGAAARGEGGEPGARPSSASWVGRVVDTEEARAQLGFAVPMVLTSMAYYAIPVVSVMFSGQLGDVHLAGATLGNSWATVTGYAFVTGLSGALETLCGQAYGARLYRMLGLYLQSSLVMSAAVSVLVSFLWWYTEPVLVFLRQDPEVSRAAAAFVRCQIPGLFAFSSLQCLLRYLQTQSVVVPLVVCSVAPFALHVALAHLLVNVLGLGLPGAAAAVSATFWVSCLMLLAYVTRSREFSETWKGFSAEAFKYVLPTVKLATPSAVMVCLEYWAFELLVLIAGLLPDSTVSTSLIAMCVNTEAIAYMITFGFSAAVSTRVSNEIGAGNVDRAKNAVSVTLKLSVFLAISFVLLLAFGHGLWARLFSGSPAIASGFAAITPLMAVSIVLDGAQGVLSGVARGCGWQHLAAATNLVAFYLVGMPLAVLFAFGLDLRARGLWAGLICGLTCQACTLLVITVRTRWSELAEAMREEKANYVA >Dexi8B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:8B:6000469:6000808:1 gene:Dexi8B01G0005740 transcript:Dexi8B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRAPQAVPPVDGIDRIGALPDEVLHHVVSFLRAQDAFRTCVLARRWRHLRRFATGLRIGCGEDDGTLASVQELPTKTCTA >Dexi9B01G0045120.1:cds pep primary_assembly:Fonio_CM05836:9B:44744469:44745282:1 gene:Dexi9B01G0045120 transcript:Dexi9B01G0045120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRAAIGQPFSPCPALSACRASAPAIGSGLRFEFSRPRHPGVGAPRRAYPRIEATARRGARTENPKVRNRRLQKKFNGTATKPRLSVFCSSRQLYAVLADDHNKKILFYGSTLQKSICGDPPCSTVEAARKVGEELVRVCEELGISEISSYDRNGFARGEKMMAFEVPVSQHGFLPR >Dexi3B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:3B:6232200:6236491:-1 gene:Dexi3B01G0009030 transcript:Dexi3B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTDAAAAAAVAPVEEENPAPAAEAAAAAEEEPAQKAEAATPVTTDAAPVAPPPEEEKPAPAAVEEEKPAPAAGEEEPRESYLEGNKIEAGQGEEEGAEEADEVRLEGQGEGSGGPQAENGEADGAGGGDDGGEVEDGEDGRLGAAEAEKDDVGGEAAAAPTPVVESKSETGELGEEDASLVSPDAPEGDEKGGLGDEQEEGSAAVDTKAVDKVADDTESAVTEVKLEPEDDKGDEVGSGSGDGGELGDEKEVESSARSIEVTKPEDKVAPAAEANVASQDGSRIFTMDRPAGLGSTAPSLRPTAPRQPARSNPFNPLELAVTGDPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARRKALLLEAEGKEDLDFSCNILVLGKIGVGKSATINSIFGEEKTKTDAFSSATTKVREIVGNVDGVKIRIIDTPGLRPNVMDQGSNRKVLSAVQKYTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKPFGFRFRSPPLPFLLSSLLQSRAHPKLSAEQGGNEGDSDIELDDYSDVEQDDDEEEYDQLPPFKPLTKAQLLRLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKSYMDDDYGYANITGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLAILSRFPANVAVQVTKDKKEFSIHLDSSIAAKHGDNASSLAGFDIQTVGRQLAYILRGETKIKNIKKNKTTGGFSVTFLGDIVATGLKIEDQLSLGKRLSLVASTGLMKAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITIRTSTSEQVQIALMGLVPILASIYRSFRPSEPSFAY >Dexi2A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:2A:13959270:13970659:1 gene:Dexi2A01G0012000 transcript:Dexi2A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPQTAVAALPAMDAATNTTIFSLSLPSLLRSHLTCGNRAATATTVAFSSRRFRDVNSSHKSSRGKAALAPATNDGLGVLEAELWRLRRRVELRLHRLAVEADEAYRDLRYAARDVGGDRVVITFRRSSLRFAAGALLWMVVFAIAARALVGMVFRAWRRGLGMGWFGGRGGGGAVVRRRDRSLGGKEVVVAVSSSPLAAAPTSHVQEPARVVWRKDPRAMVPDWWPEVGMPVMEPGPETEKWARVANRLVRAIIDNRITGRDYRYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAVNFVLDGCSRAAKDIGAAQVNGENPRDFLAGLAANIGLDKSRAATLLCACVAARTRTCFLQCWALEIQGKRPEALEELLKICRIHNMFPPEDNSSEA >Dexi9A01G0042270.1:cds pep primary_assembly:Fonio_CM05836:9A:45877224:45880927:-1 gene:Dexi9A01G0042270 transcript:Dexi9A01G0042270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMASGSNWLGFSLSPHTAMEVPSASEPTPARHAPAAPPTTTISSSSNPTAATSNFLFSPMAAPYPGYYCVGGAYGDGTSSAGVYYSHLPAMPIKSDGSICNIEGMMPVSQPKLEDFLGGGNGGVQETATYYSHQQGQEEEASRDYHQYQHHQLIPYIFHPMTEAEMLQEDAAPMDDAMAKNFLMTSYGACYSNGEMHPLSLSMTSPGSQSSSCVSAAPQQQHHQMAAVAAAASAAAAQGRSNGGGGEQCVGRKRGTGKGGQKQPVHRKSIDTFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPVENYQDELEEMKSMTRQEFVAHLRRSVLAILPILWYPSVFSSLASCITEAATQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKITESSTLLPVEEARKVKAIEAAKDVSTTHNNGGRELNPKEETSSDWRMVLHGSSQEAVHCPEAIDLQKSVMSGSHSMHGIVGLDVECVAHDHHLDVVPGSVNFSNSSSLVTSLSNSREGSPERLGLAMLYGKQQHAVSLAAMSTWMPVPAPTGQHVVSHLPVFAAWADA >Dexi7A01G0001700.1:cds pep primary_assembly:Fonio_CM05836:7A:4513879:4515601:-1 gene:Dexi7A01G0001700 transcript:Dexi7A01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDSSYDRTAELHALDATLAGVRGLVASGVKHVPRIFHLPHPEEQLGGGDQQPPSATISVIDLGGDRAAVVDAVGRAAAEWGFFQVTGHGVPEEAMASAMAAVRAFHEAESGEGSDKARLYSREPGKAIKYHCNFDLYQSPVANWRDTLYLRMAPDPPASDELPESCRDVLVEYAKQVKNLGDRLFEALSEALGLEPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLMQLISNDKFSSVEHRVVAKNAEARVSIACFFSTHFHPASTRMYGPIKELLSKENPPLYKETLVRDYIARYYSVGLDGGQKTALTDFRL >Dexi3B01G0020710.1:cds pep primary_assembly:Fonio_CM05836:3B:15658489:15661046:-1 gene:Dexi3B01G0020710 transcript:Dexi3B01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHHHAAAAQQPANREAAVPAGKEKVPAAGRRRRALGDIGNVATDVLGGMAKLPEGINRPITRSFGAQLLKNAALANKNAVEPAKPVAARAVPKPVKKVPAKPAPRPKQQAPKISTSSDENSKPSEGAPSSSNNSVQKNSRKKVVCTLTTVLTARSKTACGLNQKELIEDIDKLDGNNQLAMVDYVEDIYKFYKAAEHESRPSDYMDNQPEVNPNMRAILTDWMAEVHGKFELMPETLYLTMYIVDRYLSLQSVPRVELQLVGIAAMLIACKYEEVWAPEVNDFISLSDDTYSRLQILMMEKDILNNMEWNLTLPTSYHFLVRFAKAAGSDDKKLEHMILFFGELALMDYRMVTIRPSMVAASAVYAARCTLRKSPLWTDTLKHHTGLQEQQLIECSKILISSHAAAPEGKLRTIYKKYGLEQFGCVSLHPPAAGPGHI >Dexi3A01G0010340.1:cds pep primary_assembly:Fonio_CM05836:3A:7378599:7379713:-1 gene:Dexi3A01G0010340 transcript:Dexi3A01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSVSKAMLLTAFVVAAALSTVSRAQSPLQVNFYSSSCPQAEATVRNVTEGIIVKDRTMGAAFMRLFFHDCFVRGCDASILLDPTSSNPQVEKKAITLRGYDAVNKIKAAVEAVCPGVVSCADILAFAARDTAVVSGGFTTFDMPSGRRDGVVSKLFDVLQNIPSPILKLPDLINNFAAKGLSVDDLVTLSAAHSFGQAHCSFFNGRLYPTVDPTMNATFADGLKMVCPPPGSSGGDPVVSNNGVTDPNVLSNQYYGNMGVGKVLFVSDQQLMTSNYTAAKVANNSEDAATWMGQFAAALVKLGSIQVLTGTAGQVRKYCNVVKS >Dexi2A01G0014140.1:cds pep primary_assembly:Fonio_CM05836:2A:23067256:23068535:-1 gene:Dexi2A01G0014140 transcript:Dexi2A01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHGAPPCAPERRAPSGGLSGGSQAARCCAPLRRACFLRPANKNSGGALSYLMKNSRWRPAFALETGGPSANADGQDFDEDSGFLGRTRLGRLIQAAGRELLEKLNSGRTNSPMKIFLVLFGFYTANALATILGQTGDWDVLVAGVVVAALEGIGMLMYRKPIARPPGRLQSLISMVNYWKSGVCLGLFVDAFKVGS >Dexi1B01G0027020.1:cds pep primary_assembly:Fonio_CM05836:1B:31841993:31843990:1 gene:Dexi1B01G0027020 transcript:Dexi1B01G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPLPLYSMNEIIDKYSTHSKNLGKAEQPSLDLNLEHSKYANLNEQLAEASLRLRQMRGEELEGLSVEELQQLEKNLEAGLHRVLQTKDQQFLEQINELQRKSSQLAEENMQLRNQVSQIPPAGKQTVADTENVIAEDGQSSESVMTALNSGSSQDNDDGSDVSLKLG >Dexi7B01G0024700.1:cds pep primary_assembly:Fonio_CM05836:7B:28990315:28992561:-1 gene:Dexi7B01G0024700 transcript:Dexi7B01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGKAAAGLGTLRAVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCVHFICSSIGAYVAIHVLKAKPLIEVEPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSVTELSFHIFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVINWFYIHDSVIPALTIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISAMNAIGCGITLVGCTFYGYVRHLISQRQAAAPVNLPRNQMEMLPLVDDKQEKV >Dexi2B01G0033190.1:cds pep primary_assembly:Fonio_CM05836:2B:40866652:40867242:-1 gene:Dexi2B01G0033190 transcript:Dexi2B01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLAPSSKLVNLATVTLLLLVLQYADVAHAGRQQRSTAPCKEMTVYHHNILYDGTNTANATSAVAAQPTLLSRSVSVNDTYFGEVVVFDDPVTAGPELSSEEVARAQGFFFYDGKVVPNAWFAFSLVFNSTAHRGTLNLMGADPMMEKTRDLSVVGGTGDFFMARGVATLRTDNVQGLYYFRLQMDIKLYDCYDV >Dexi9A01G0028420.1:cds pep primary_assembly:Fonio_CM05836:9A:33104262:33104937:-1 gene:Dexi9A01G0028420 transcript:Dexi9A01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCITPPGEAAQLKKFPERLFAIPPRILEGHPPGVTEEDYEEDNKLWKKHVNTYKRVNKLIGSSRYRNIMDMNAGLGSFGAALDSPRSWVMNVVPTISERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHGYGIFSLYQNKYSSGDGSDFTT >Dexi8A01G0012320.2:cds pep primary_assembly:Fonio_CM05836:8A:21550011:21550663:-1 gene:Dexi8A01G0012320 transcript:Dexi8A01G0012320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAPGQCLLPAPNGTILISLGPGTRLRFSASPPEMNRSGLNSSGSLHTLGSLPITSALNMKRATVPLGGVGIPAAAAPSGPTSRMSIGSGGWSRRVSRTTARRFRDEMCHCPLDDCHDSLCAGTEELPDELDDLVVPKPTGGFVAALAGVVEVAWDTNVEERVEVGASHAAAIIVASTTSRQPS >Dexi8A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:8A:21549441:21550006:-1 gene:Dexi8A01G0012320 transcript:Dexi8A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPKASPSQLRVLSRWRHLPRCTSLAHLEHLLHRANVVVVADVLAEAEARHRGEHGGVDCVADPDDGVAGGGGGDVGDEGIHGVPLEGNQELGDAGRVEDVHGEVAADRAPERAVWRAGDAGGFGVAGVEVDAEWEQGVVREGVGVVEDEAPGDVRVSNYNRWPCCPGSVA >Dexi2A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:2A:1942573:1944174:-1 gene:Dexi2A01G0002470 transcript:Dexi2A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDRGQVVNQPCGYVFTNGSGTTGIGTIIGLVTLDKIWHLDNMDPMMPRLKDLCVSFSLFKLLRCRFARYKITNVGSVRMLKFFCSQLLKDGEHDRVFRLKRTRTAIIPNSSIVTTGALKYSRRTLRLPDHEKKVKVPKAVKICIFDALRSRNYNDQQLSNGTVSLRQSQVGESFLWACNSIGTSDIVLTWHIATSILEVRHPYQDNQEQGICNQKKIAATHMSRYCAYLVTWCPELLPDEEEWSKSLYNSVKEDVTRVLSVWALTRPLTPEIEYLELVQLLSEYSENEVLRNGVRLGKQLVELVEGEETSWEMLSGFWAEMILYIAPSKNLIGHSNAIARGGELITLLWALLFHVGIASRPDYTNVTATTANIV >Dexi9A01G0037010.1:cds pep primary_assembly:Fonio_CM05836:9A:41341713:41344801:1 gene:Dexi9A01G0037010 transcript:Dexi9A01G0037010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERPLLPPSTPPQPPSSQQADEAAAMDTTPARLPDPVPSPILRHSPANSIRRTRSLRSLLAESPSVTFAAGPRSSFESFRFQRDGSKSATPTPTGLGRVSTRRSASERLGSQRDLRDEDARFVYINDAERTNAAPAAAGGLHLPDNSIHTTKYSVLTFLPRNLYEQFHRVAYLYFLVLMALNMVPQLGVFSPAASALPLAFVLAVTAIKDAYEDWRRHRSDKNENKRTVSVLVDGVFQPKRWKDVQVGEVLRVVANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMATPHEALAGVIKCERPNRNIYGFLATVDIDGRRAVSLGPSNIVLRGCELKNTSWAVGVAVYTGRDTKVMLNSSGAPSKRSRLETHMNRETIMLAVVLFLLCSIVTLLDGIWLGNHSDELSVIPFFRRRDFSNTNDQDATYNWYGMGAEVVFTFMKAVIQFQVMIPIALYISMEIVRVGQAFFMVQDRGMLDEERQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGADFSDTTDGGAGDRHSVIGEDGVILRPKTEVKTDPKLVTLLRNGAGEKADRARDFFLTLATCNTIVPIVEDGGAGERLLEYQGESPDEQALVYAAAAYGYTLVERTSGHIIVDVFGARQRYAPFFGPPSLMGLPFRW >Dexi3B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:3B:3205026:3207810:-1 gene:Dexi3B01G0004760 transcript:Dexi3B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRPLSFTRCAPSPLRCVGLGVGTAGGRRTVVVALRRDAAGRELLTWALVKAAAAGDRVVALHVATANAIAAAEGGEIMEEEGKARARDSLASVLGAYRGFCDRNQIDLVLKVIWEEPSIKRALVAEVTSSGAAHLVLGVTTTTTSRPSGSSATAVARYCAKRVPPSCMVTAVSNGAVVYRRDAAVHQPQLSPYTAMVETPRRLYRKILDARTTAAGDKSQDDMLIGDGRSLRRNMSVAMSALVSPRVTMAPGKARRCHALDSPKKMAAGWPLLNRDTTSEASVVQWAMQLPTRFSDDEGEEQQKQLPEDLVSLRDKYSSKYTMFSYRQLAKITNGFSPERLVGKGGAGRVYRGCTEEGRELAVKVLKPSDDVTKDFISEIDILSSVEHKNAMSLVGFSVDGGKLMLVYDYMPRGSLEEMLHHGEKKQGKGALGWPERFKVAAGVAQALESLHCGGDHGPVIHRDIKSSNILVADDFEPKLCDFGLAMWGDDAAAQITGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGRKPVSAGGTKGQESLVMWATSVIQGGKLMDLVDPSLPLDDADAGEVERMSLAAALCIRREHQHRPTMSNVVKLLAGDGDAVRWAKSQVGVSGDDDDGGCGGVVTSPDKKDIQSYINLALRDIIDDDASSVSSTDFIGANMSLEEYLKGRWSRSSSFDE >Dexi1B01G0029280.1:cds pep primary_assembly:Fonio_CM05836:1B:33640105:33641965:1 gene:Dexi1B01G0029280 transcript:Dexi1B01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKRDISETHDTLRFGLNAGVKADLAPPHPLQSTIQSEAKFWTDKKKFGAEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >Dexi7A01G0001760.1:cds pep primary_assembly:Fonio_CM05836:7A:4551502:4563228:1 gene:Dexi7A01G0001760 transcript:Dexi7A01G0001760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSPTPPRWTPAASPRKRLNGVGGDNTGERKTSRGVFLTWQDVSVTAVDEKGKHKVILDRITGCARPSQVLALMGASGSGKTTLLDTLSGRLGLDLIGTGDILINGRRERLSYGTSAYVTQENTLMPTLSVREAIHYSAQLQLPGSMSPAKKLARVDRIIREMGLSAVADSRIGGRVSKGISGGERRRVSICMQLLASPGLLFLDEPTSGLDSAAAYHVMAYVARLAQTAGITVVAAVHQPSSEVFDLFNALCLLANGRMVYFGAILDAAKEIKEGYNLKTPSAAEAIETLVNSFRSLHNLATNMQAVGTENDVLPFIKERQAGFITKLLVLINRSSVNMHRDIGYYWLRSAVFTVVCFCIGTVFYNIGGTSIVSIQARISLIITILTLSTMASLGGFPSFVEDMKVFRKERLNGHYGATAFVIANTLSSAPFLGLMCIIPGAMVYYMTGLRPGIDHFIYFVAVLWACTMQVEALMMVTAAMVPDILLGGAIGSGIQGVLLLSCGFFRFPDDLPKPVWKYPMYYISYHKYGIHGLYKNEFQESAFGDQLNHTSGPLMGADHILKNILHVEMAYSKWVDLTILCAMVIIYRLMFLAMIKLTEVRGPIIKFGLMKV >Dexi2B01G0033850.1:cds pep primary_assembly:Fonio_CM05836:2B:41215811:41220713:1 gene:Dexi2B01G0033850 transcript:Dexi2B01G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSCDATGVPFTLLGALLTAGPAAWPACVGGGRAFLRDYAQRGTNALLWAGLLAVTWVLLLRVVALLRLWALGSRIPGPHALVADPGLAAVLRSGGDITAFLSKLHNSYGPVVRLWAGPSQLLVSVKDPTLVKEVLTKAEDKLPLTGKTYNLACGRLRLFVSSFEKVKSTRESLKIFLNEKLTIGVGPSSFIAIDAIMDRINSIMSKDILDSRSLSQHMAFNIIGATLFGDAFFNWPDAVTYEELLMMVAKDGCFWASYAVCPFWKPSYRSYQSLCAKLKILTEDIIRNSRDQNSSLHHFDRRSYLKSEGMIKDPNRGVLGETMAGHCLHRTAEGPISSEEETCGNIVGLMLHGISASANLIGNILARLILFPKLQEQLHAEIVAVCDESSDLVVDDVVRMQLLLATVCESARLLPAGPLLQRCSLKHDLDLGSGITVPAGAILVVPLHLMHMDASVWGSDAGQFNPHRFLKKDVDLGDILSTPSGSNGMNLFTDSAKTESFLPFGSGSRACVGQKFAILAISLLIANLLRNYEVFRQAASARLLTDSVLTHDAEAARLVDELPDLGRFLDAFAVSIQKLWRLGRDVLTGSQGEIRRNCRAAN >Dexi9A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:9A:4228520:4229080:-1 gene:Dexi9A01G0007290 transcript:Dexi9A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDAADEGAATARVVLPSGELREYAPPATAAMALEAAGGQGSWFLCDADGMVFEGPISVAAVAPGEELQPGQIYFVLPAEMQRRRLTRYEVAALAVKASSALVKAAAEAAAAQPSSPCRRRRRGAVAPLVFPVPEEEYAAAEPVSPVAVKPAAAAQKRRVACRGGRASRFSPDLTAIPEIE >Dexi7A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:7A:20609669:20612452:1 gene:Dexi7A01G0009600 transcript:Dexi7A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTSLPLFLLVSTLIAASPSPSSAAAAEPQTPQDLLLDFKASLHDPTGALSSWSPSTPYCNWAHVSCTSATAAANATVTVSLSLDGLGLSGELSVSSLCRVPGLAELSLASNGFNQTIPLELARCASLVSLNLSAGAFWGPLPEQLATLASLVSLDLSRNSFEGQVPAGLAALGSTLEVLDLGSNRLSGVLHPALFGNLTGLHFLNLSGNQFMESELPPEIGRMSSLRWLFLQGSGFTGVIPESFLELEQLQVLDLSMNSLTGAVPPGFGLKFQKLMTLDVSQNGLSGVFPEEIGKCSMLQRFEVYDNAFTGELPGGLWSLPDLRVIRAQSNQFTGRLPEFSGGQSRLEQIQLDNNSFSGVIPQSIGQVRTLYRFSASLNELNGSLPDNICDSPAMSIMNLSRNSLCGTIPEFKSCKRLVSLYLAGNGFTGPIPASLGDLPVLTYIDLSSNNLTGGIPTELQNLKLALLNVSYNHLSGRVPPALVSELPAVFLQGNPGLCGPGLPNDCDGTWRKHRGLALAATVASFLTGVTLLAVGAFAVCKRLLHGGEPSSPWKLVLFHPVKITGEELIAGFRDKSVIGRGAFGKVYLIELQDGQSIAVKRLVNSGRLPFRAVKNEMKALAKVRHRNIAKMLGFCYSEGEVSIIYDYLQMGSLQDLICAPKFTMGWKDRVRIAIGVAQGLAHLHHDHSPQVLHRNLKASNVLLGDEFEPRITGFGIDRVVGEMAYQTSMASDLNYKCYMAPEQSCAKNPTHLMDVYSFGVLLLELVTGKPAEQPASDDSVDILRWVRRRINVADSQILDPSISRTAQQGMQAALELALRCTSVMPDQRPAMDAVVRSLCSSVHPQTLQPTEIALEP >Dexi5A01G0030510.1:cds pep primary_assembly:Fonio_CM05836:5A:33416171:33419823:1 gene:Dexi5A01G0030510 transcript:Dexi5A01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLSSLLLTSSPLRLRPTTAAAALFLSPPRAASRRLLLLSSRSPLRTLSTSSAAAAASSSSSLPHGSSSASPAPPPRAPFPEWSRLVDRLAAAGYSARAPSPADELAVAPGCDLSDGAEAAVSTCLAFARDRPDLLRSLPRKDVEVLVANAAPVLFKDGEASVQRLRRYLAGEETDVILSERAGTVDIIRYLLSYTYSCSESYSEGKELIDSAVRNIMAELVSFTGLSQSSSFAESNPKQSSLSQHERFSRPQGQNIEMKRGDWICTRCSFMNFARNVRCLECNEQRPKKLLTGGEWECPQCDFYNYGRNMSCLKCDCKRPATIPPNPASTGAGLGGVAQLLNVANVGKSEIERKLAENDEKAERWLSKVSQLDDSADISSLAEDEDFPDIMPMRKGVNKFVVSTRKTPLERRLANAESSNNSPQAASSDSKISQTLDRILGRSKSIAASNNQPGGGDATEKSTDHISSIDPVPFVPLPADMFAKPQSNTEQGNVAGQINAEAESSMENSTAPLPERRDGMESSYTAEKWSMKVAELDNVNNVPSITSDVYVPEIMPTRKGENRFVVSKKKDRSLTSPQYKRRSLLEHADNSDFVPFVPFPPGYFDKKDKPVESTADTGIVPEGSPASEKRVETNASQVGGSQAKGNMNNGNWNKNYSQQNSSSVGYGQSNNYQHQSQSHGAQSWPSGTANTGTWNTGYSQGDFNESRGDSTYNGANSAQQPYSSGYNNNSNSWSGQNNSSNTAWNGNSNYNNNSAWSGNNHYNANNAWNGSTSYNNSSTWNGNDSYNSNTWSSSHGYNNNATWSNSSHSTWSSNNQSGSFADNSNPASSSGSMNPNQTLHSSGYGGSSNRGYTGQSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSEPEKDAS >Dexi9A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:9A:5635737:5639060:-1 gene:Dexi9A01G0009370 transcript:Dexi9A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVRLVSRDQILSGGGSDSDFSDGKRRAKRSKRGRDEKEKNKRKSRRRRRSKRYSSDEKSGSDTTDDSIGEEEEEEVSRSRRRGKHRKRRHNFSDDDSESSGSDRGGARRKGKQRGDEEEGNDTGGDEGLRSSEVVRREMGLEWMLKSASSSRAEGSIRKDDNGEKDETAHEEIARPNPKELNPYLKDNGTGYPEESTPSSTSNQLLASSVVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGDLAVSVSASRAAHSHAHLHAIRGRKSGNADNSEEHAKGNSEGRQGGDSGRREYLRDVSSQHHAMRKPKPDSIPWKRRKQNISSEDQALISSAVASLNKFSDDGSFMEKINNVNKNTDASTSIANADEQQDSDQKHFKDSSKKVPLASTQKLNANQLAAKILQLRMKGKNDEAEQLSIEMQALLENQDTVPEEPSHGIEESSIRHTLKPSADDRRRREENADLHMANKIMHNKQYSMSKSIEDEYDFGDAPSKKDKRKNKEAHEERRSSNRQMLTQKERCLFCFENPSRPKHLIVAIGNFTYLMLPQFEPVVPGHCIILPLQHESSTRTVDQNVWGEIRNFKKCLLKMFAHQEKDVVFMETVISLARQRRHCMIECIPVPSDVSSNAPMYFKKAIDEAEEEWTQHEMKKVIPTSASRNLRQAIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNIIRGMLQLPEEDMHRRRRHESMDNQKQAVASFKKAWEPFDWTKQLD >Dexi1A01G0022320.1:cds pep primary_assembly:Fonio_CM05836:1A:29004081:29005127:-1 gene:Dexi1A01G0022320 transcript:Dexi1A01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVEAPAPTQTTSHVGSRRPIPPGLVPVAVLLAAAVGLLALLPSLAQAVWEVPHLFLLGLVISYGVFTSQRNADGNGGAIAAAAKERSLAWNARYHPDDPLIVVADHHAATSHDDDDDEQQAAGAQERPLYSLPVRRLKPAAAAEEVSETVDDDASDAFVGEEETDSCTSSSAFWAGGARAVPSPPSVLDADLGLSPPRSQPESSASASRPFFVHSSASKAHASNAAAAASAMSRGFVVPGNLRSVPHEQPWNDDDDGGEGTDWDDEEAEGSEEMTVVSSVRSVRGDFGGACAYDHNGGDDGDTSVDEELFELAAKMEPDGEEEVDRKADEFIAKFREQIRLQRH >Dexi9A01G0033250.1:cds pep primary_assembly:Fonio_CM05836:9A:38151618:38152472:-1 gene:Dexi9A01G0033250 transcript:Dexi9A01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDEFSSQELLQAQLQLWHQSLGFFKSVALAIAIDLHIPDAVHRLGGAATLAEILAEAGISPCRLRDLRRVMRVLTVSGGIFTVQRQPTSSEDVVYKLTAASQLLVRDNRSTTMSLLSNVHLMLTACRQCPVSRGMHAWFRQQHHDEGRLSPFALANSGKTVWETADRDTVAFPFDDAMASDTAFLMPIVLKECGGVFRGLTSLVDVAGGLGGAAAAVAAALPDLKCTVLDRPQVIAKAPSSTNVQYVAGDMFESIPQGNAVFLKR >Dexi2B01G0032930.1:cds pep primary_assembly:Fonio_CM05836:2B:40676546:40679982:-1 gene:Dexi2B01G0032930 transcript:Dexi2B01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEAAAGSGGGSSGAGSSSGGGSGGGGAKRRFDDKNVVARTSLILWHTHQNDVGAVRKLLEEDATLVNARDYDSRTPLHVAALHGWQDVAECLIANGADVNAQDRWQNTPLADAEGAKRQTMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVVIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPLVAINFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVADGHRPAFRKGHANEVKDLVEVCWSGDISLRPSFLEILKRLEKLKEHFTQDTHWHLFQ >Dexi1A01G0008060.1:cds pep primary_assembly:Fonio_CM05836:1A:6204195:6213712:-1 gene:Dexi1A01G0008060 transcript:Dexi1A01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFRLTRVCTCLTPFLLLLCSNAAATEHPIAHDGDRATLEAFKAAIVRRSGALASWNSSTSYCSWEGVTCGGHRHPWRVVSLRLDFQGLDGTISPAIGNLTFLRSLNLSYNSLQGEIPPSVGSLRRLQYLNLQSNLLIGAIPSNISRCASLRVMRLADNNGLTGSIPTEIGDMPLLRILYIANSSITGAIPLSLGNLSRLTVLALTNNYLEGSIPASIGNMVQLRILELYSNSLSGLLPSSLYNMSSLNELYVANNSLHGSLPDDLGTSLPSIQRLDMASNHFTGAVPSSIANLSSLQIFEIAKNKFRGVFPPVASLQYLQWFDIDLNEFETKDEKEWEFLTSLANCSRLQLLSIAWNRFTGKLPNSLANMSSNLRELRMFENENNNLSGHIPSSIGNLTDLTELDASFNSLDGPIPSSIGNLGELLVLALSTNKLTGVIPKEIKNLSSLSIFLDLSYNLLEGPLPTEIGSLVQLENLAVSGNQLSGEIPHEISNCIVLEMIAMDNNSFQGNIPLTLNGIKGLIQLYLSSNKLTGSIPGDLGNIGSLQELYLAHNNLSGPIPKLLGSSTSLLILDLSFNNLQGEVPTEGVFKNLTGLSITGNHELCGGIPQLHLPKCPNPTARKNDKTVSMPLRIAGVTIGAILVLISGLALALFLYRRYKAGMKKEQLPSHFTEIDIPIVSYNEILKGTDGFSEANLLGKGRYGTVYKSTIENQGVVAVKVFDLQQSGSYKSFQAECDALRRVRHRCLLKIMTCCSSINHQGQDFRALVFEFMPNGSLESWIHSSTETQNGDKTLTLAQRLDIAVDIVDALDYLHNSCQPSVIHCDLKLGNILLTEDMRARVGDFGIARILSEAASGPSANPSSTVRIRGSIGYIPPEYGEGLGVSTCGDVYSLGIILVEMFTGRSPTDNMFRDGGRHQSATSTAAPSSTSSNPHALISARIAISDPILRWTFEPTPRTKKGRAAERVEAQIRNIIYLLLQNVLQAKEAHSHRRARLD >Dexi3B01G0024840.1:cds pep primary_assembly:Fonio_CM05836:3B:19512971:19514035:-1 gene:Dexi3B01G0024840 transcript:Dexi3B01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRSHLLAALLALCACTVAAANVPITTCRSFCGNITVDYPFALRPGCGHAGLRDLLFCINGALMLHLPSGSYRVLDLDYAYRGLTLHDPAMSDCRALDRAPRGRGNGFVLDPWREPYLSPDPDNVFLLLGCRATSPLFQGFPDRHLPCRNVSGMGCGDYLACPAWDDYYADTGGRRETTPGDGAPPECCGVPWGAIRGVNVSRLECEGYSSAYSLAPVRAEGGAAGWAYGIRATWALPESNRGFCGACRATGGVCGHDMESHADLCLCGDWNSTSNCDSTADAATSGAGAAVAVVRGAVLATGLTSLWWHASRSNLW >Dexi1B01G0027340.1:cds pep primary_assembly:Fonio_CM05836:1B:32076092:32078229:-1 gene:Dexi1B01G0027340 transcript:Dexi1B01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALAMALAVASLRAEAVWLDVPQSGTKCVSEEIQSNVVVLADYSIMYESHPYSHPTIAVKVTSPYGNTLHHSENATVGQFAFTTAEAGNYLACFWIDSAEKGSGVSVNLDWKIGFATKDWDAIAKKEKIEGVELELRKLEVAVQAIHQNLIYLKERQGSTDADCE >Dexi5B01G0028190.1:cds pep primary_assembly:Fonio_CM05836:5B:29605683:29616737:-1 gene:Dexi5B01G0028190 transcript:Dexi5B01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGLPPPLRPSTAPTPPAVARALHAINTCTSAAALGPIRGGILRDPALLRNTTIVSAFFLACGRLRSLDTALALFASLPRPHVFVFNSLLRSLPLPALACSPLPLFRHFLGLGVRPNRYTFPLMLTSLSSLRDLTVLHSQVAKSGFARDLHVRNALLARYAACDPDLAHAEQLFDEMPCPDVIAWTTVITAYRNRGHSFHALAAFRRMSAASVAPNRVTMVSALGACADHGAIDTGAWIHRYVQKQGWELDVVLGTALVDMYGKCGRIAEGARVFSEMVERNVYTWNSIIGALALAQDGETALQWFFRMKANDVKKQPWEQKIPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVRDDNSADDIKFMDLTITHYLSGPLRIVDSEGVPASPGDLLVVEICNLGPLPGDEWGYTAIFERDNGGGFLTDHFPSARKAIWCEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQATIIKVNKVFKGKKLLRRYYIPPVADVTEGELFRVEMVDWSGGRVRDDNSADDMKCMDFTIAHYLSGPLRIVDSEGVPASPEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSREQVYLLLSCCPCEGRISGIVDAPNAVTTLAIPTAIFDQFINRVFELRSRL >Dexi2B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:2B:9451593:9454755:-1 gene:Dexi2B01G0008730 transcript:Dexi2B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTGSITSILPLLLLVLLFVPSSSSHVKFDLKGNVYPEGHISVAIKIGDPAKEYYLDVDTGSILTWLQCHDPSCRWPQRHPLYQLTPGQPLPRNDPLCQPGLRPVPDQMCRYGIPYLGGHSNGLLIRDKFTLPSPHAQHSIAFGCGYDNVPTDQAPVDGVLALGRSSPVNLVSQLKKEHVITKDVIAHCISTRGGGFLHIGDYEHFSSPITWVYIDNKAQQGHYSPILGANLHFGSSGDGTLISNHQIKVIFDSGSTFTYLDQQTYKRIEGKVLDTLHRSLREDHDNTLNLCWKGPNKFTSIFEVKPLFKPIFLVFGKGSQRRIMEIPPENYLIISQYGNVCFGILQLPMGWKNTMLLGAITMQDRIVIYDNEGKGRVGWAHHSC >Dexi9A01G0031140.1:cds pep primary_assembly:Fonio_CM05836:9A:36012917:36016906:1 gene:Dexi9A01G0031140 transcript:Dexi9A01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPRPHPVLLLLLFLPCLFPSQMAPTTAAAAAAAGSDDEASSSALLALLLRVQTEALGTLGPGGDFDPKMYVDLPLATDERAAAAALPPASPGPSQEELASYVARYYGEAGSDLLEADPPDFEAEPRGFLPRVVSPAARAWALQVHALWKELARRVAPGVAARPERHTLLPLPGRVVVPGSRFREVYYWDSYWVVRGLLVSKMYDTAKDIVLNLVYMVEKYGFVPNGARSYYTNRSQPPLLSSMVLEVYGATGDVEFVRRAFPSLLKEHSFWMSEIHNVPIKDSHGQVHNLSRYQARWNKPRPESATIDEELASKINSVADKEKLYHQIASTAESGWDFSSRWMRLDMERDIAVFAKLLGENATSEKFLEASKARHIAIDSVLWNSEMEQWLDYWLPTDGDCQKGVYNWKSESQNRKIFASNFIPLWLNAYPSGSVQFADAAKSERVMASLQNSGLLCAAGIATSLTNTSQQWDFPNGWAPVQHLIAEGLLHSGSEEATRLAEDIATRWVRTNYATYKATRAMHEKYDVEACGKFGGGGEYKPQTGFGWSNGVVLTFLEDFGWPEHKEIDCPRRGEVVLAGTQSG >Dexi9A01G0040860.1:cds pep primary_assembly:Fonio_CM05836:9A:44557828:44558675:1 gene:Dexi9A01G0040860 transcript:Dexi9A01G0040860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNRKTSKQTSRVKKLIKLALSRLSIARRPHLARKSISLSDVGQLLMLGHFGRAIQRVEQVIEEDNMPLALDIIELYCKRLIEHVAKLDKPKESSDDIREAVAGIMFAARWCGDLPELLVARDLLADKFGSDFTTNAKEGTGIVDPMLVWKLSGNKTHMELKKKVTKDIAIENNIWMDFSELQ >Dexi6B01G0009050.1:cds pep primary_assembly:Fonio_CM05836:6B:12973881:12979248:-1 gene:Dexi6B01G0009050 transcript:Dexi6B01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGAVVGVFTGRKTSWGRKGRRKEMTLGPHAVPLQNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQVCDIAHELRLRDIGGIIVVDFIDMTDDSNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHGAGRVEALDTSFSKIEREICRRLAASRRKSDPEKPKSWPRFLLRVDHEMCSYLTSGKKTKLGLLSSSLKVWILLKIARGFSRGAFEVVPYSEKENGEDKETSSDYPQKEGSPKLSVFPIKKWMSRAKRAK >Dexi1B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:1B:15448976:15454236:1 gene:Dexi1B01G0012450 transcript:Dexi1B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVAKLGTLLLKTMSKPIATRLKTEASRHPKFRQLIINLAQANHRISTNIQRRIYGHATNVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARKKEIEAIRQKEDQLAEEILTMKQKLSDLERIANSRGLE >Dexi7A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:7A:15806284:15807193:1 gene:Dexi7A01G0004830 transcript:Dexi7A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPFFGRRGEEKEKGAKGGNGGAAAGWAAASASSSTAAGGGAKEDVEQPPPPPPRVDRILAGYILMGAKGLKKDLPVLRDADGNVISARTFTFRQLAVATKNFRAECFIGEGGFGRVYKGRLDGTGKLYQ >Dexi1B01G0029750.1:cds pep primary_assembly:Fonio_CM05836:1B:33959824:33964265:1 gene:Dexi1B01G0029750 transcript:Dexi1B01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPAPLPRAPRLRRRSLPLPRGGSHCPHFARCAARSTRCSYSREGNGEPRSSKLRRESSGTDRESLLFQGTNLRNDQRKGDIQELFSQAQRNILYLNKQRLLAMEELKKLQDENKLLLQEIQVLETEVQGVPFEAVQSSRFGKGNLVEVILPNLCHIYILIITSKAIMLIALLQMYSPPNFLLRCSTSSGVGLILIEPTQLSYFNRDMLRGYPDDFERV >Dexi2B01G0028390.1:cds pep primary_assembly:Fonio_CM05836:2B:37050710:37052401:1 gene:Dexi2B01G0028390 transcript:Dexi2B01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQRARSSEELKAEDFADSVLNFGGAGGGEGEGEEEEEEGEAAGGGDGQPAATEYKSKNLEAERRRRGRLNSNILALRAVVPNITKMSKESTLMDAIDHIKKLQNQVLELQRQLADSPGEAWEKQGSASCSESFAATENIPYQGQVELVPLGPYKYHLKIFCKKAGIFTKVLEALRSYNAQVTSLSTITFYGYTESVFSIEVKGEQDVVMVELRSLLSSIVEVPNN >Dexi3B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:3B:12397953:12398696:-1 gene:Dexi3B01G0016910 transcript:Dexi3B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATSSSAACAGCRISTALFIVRSRSASALSSTSLTRRTSPLTSSSPPPASSAATTSTPWPSCTCAISRDSASSSATVRRCSSSTRASCAGLRSPRFPDRTPRRRSGSCASNRRPDSSRWTSVVGRHPASQYAAPRSAGVAHGADSVHTGSSSARAAQYDSRWATKRPTSAAIWAGSSDQRAETRSRRSERSPRNQRWNRRVAASASIFPSRPEQAGSEAGGEKPRGQRRRRRAADTRGRWNL >Dexi2B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:2B:23915571:23916413:-1 gene:Dexi2B01G0014340 transcript:Dexi2B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNANKEWLQAKVSLNNALFTEGTSPSPRPSDFFSVGECEGQKTIDGEQMPLVLTPSSTTAAGKDCTSSHEALVAALRANREWLQGKVVANSAVLLRGFDVRDAVEFNAVVEAMGWPDIRYVGPAPRSHVHGRIWTANEGPLEQFVYFHHEMVLIKEFPEKVILFCEVPPPEGGETPFVPSFRVTERALEEFPEMVEELDAKGLRYTLTALSKNDTKSMRGRGWEDAFGTSDKTEAEKR >Dexi7B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:7B:1334323:1335420:-1 gene:Dexi7B01G0000560 transcript:Dexi7B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAGKPALLLLRRSDATFTAALRARFRVLDYYASGAPPLITFLAAAAREPDPPRAALVVAGGAVLVDAAFLDAVPSLRCVVTTGAGVDHVDLAGCARRGVAVAGAGKVFSVDVADHAVGLLLAVLRRVSAADRYVRAGLWAAQGDYPLASKLSGKRVGIIGLGSIGLLIAKRLEAFGCVVTYHSRAPKATVPYRYFSDVCDLAMNSDALVVACALNDATRRIVGRRVLDALGPNGVLVNIARGGNVDEQELIAALREGRVAGAGLDVFENEPHVPPELKEMDNVVLTAHEAVFTEESTADLRELMIGNLEAFFSGKPLLTPVHLP >Dexi5B01G0023810.1:cds pep primary_assembly:Fonio_CM05836:5B:25918772:25921128:-1 gene:Dexi5B01G0023810 transcript:Dexi5B01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLPKLPKKSSASGKGDRASGSSPGRNAAGNGSTIQRTSSCPSGGPARPASSVKRMSSAVFPSSVVAGIEPLVSFNDVPNGEKPNLFVSKVSLCCVVFDFSDPNKNSAEKDFKRQALVDLVDYVDSASSRFTEPMVLACCRMFAINLFRVFPPHCRSSSTGSGEGEEEEPMFDPAWPHLHLMYDLLLKFIGSSYLDSKVGKKYFDHTFIVRLLELFDSEDPRERDCLKAILHRIYGKFMVHRPFIRKAVSNIFYHFVTETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKTLGVYLQQLTYCVTQFVEKEPKLASSVILGLLRYWPITNSQKEVMFLSEIEEVLEATDMVEFQKFMVPLFRRIAHCINSSHFQVAERALFMWNNDHIISLVAQNRQAIVPIVTPALEENIQNHWNVSVLNYTANVKKMLSEMDEELFSACLAKHKEDRERQASLEQKRRLTWERLESAAAFQPVTGNTAVLVSR >Dexi1B01G0010710.1:cds pep primary_assembly:Fonio_CM05836:1B:10559898:10562122:-1 gene:Dexi1B01G0010710 transcript:Dexi1B01G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPGAGMATATLPLRLLPSKANLSYLPSARRSLSVSAVAHRRWQLRAAAEEAPEAVEVEFVEPEAEEEEPAVPEPVEAQLAAAGAGKDADIFAVVMILHSLTMLSLQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVYKYKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILAPTAA >Dexi9A01G0048530.1:cds pep primary_assembly:Fonio_CM05836:9A:51295796:51296574:-1 gene:Dexi9A01G0048530 transcript:Dexi9A01G0048530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQACSSGREVATARLWVPGMSPGPVVDAGSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGGPNGDAAAAAAVAAPAPPSEGKEQPADPVGRSPSGRPSAAGKPERRASNVRRRDSGSAGGGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRPSRTPSISGGRTPSVASECSKSHIA >Dexi7A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:7A:3712531:3714483:1 gene:Dexi7A01G0001470 transcript:Dexi7A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVTVEEVRKAQRADGPATVLAIGTATPPNCVYQEDYADYYFRVTKSEHLTDLKEKFKRICRKSMIKKRYMHLTEDILQANPNMASYSEPSLNVRQDILVEEVPKLGAAAAEKALKEWGLPRSQITHIIFCTTSGVEMPGADSRVIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEDHLDSLVGQVLFGDGASSVIVGADPNERVERPLFQMVSAAETILPNSDGAIGGHLKEVGLTFHLQERVPDLISANIERLLEESFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRATRHILSEYGNMSSACVLFILDEMRKSSVKDGRATTGDGMDWGVLFGFGPGLTVETIVLHSVPITYAA >Dexi1A01G0026750.1:cds pep primary_assembly:Fonio_CM05836:1A:32584161:32585617:-1 gene:Dexi1A01G0026750 transcript:Dexi1A01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAEKAVRCLGRGFDMTCDMRLKYCKDAGGCLVDRSGVETAPLAVPGVGMVAGVPVDVKCGKGDRVRLKSGVLEFNKMSELFNQRSSLEGKIPSGLFNVCFDLDSGAWAKDAAATKCLAMDGYFITLFDLRLDRRPLALADHVLRDVPAAWDPTATARLLRTQH >Dexi7B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:7B:20335933:20336718:1 gene:Dexi7B01G0013920 transcript:Dexi7B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVACRKRSALPFLDDVIPEPPHLAKRSRLYQCPAAGVAAQQQPPLPAFALDPLDALLRVFPDADPAEVEACFAASGRDVHMTVEAFRARQRLAREREAAAARVATAAARDGGMEECAGVLVEQMSSAADVEDARSRASWILKLIEAAIAQRAAAAAEAPLREENAALKARAEELERDKGVLMRGVAARQGRQEEMERENGVLKRAVAVLHRRQEEAERAAEEMRKKVAELTAANYALSVQARTADSCRFQVFRGPDVF >Dexi3A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:3A:7372251:7374861:-1 gene:Dexi3A01G0010320 transcript:Dexi3A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRERLEAENQGTPEQVVHGTHSTVEGLPQDPLIQELLQRPRHGVAASDRPFNTTPATMDIGFTARRRCLNKVEEASRLDGRSYLQAAKGGPSPMAAYPDWARCVITATGGIKRSRDELIGATVVCWLNGNSHDSDPHHVSKMLEDQLCISRHDVKVVKHYPEQYLLFFPSSRICNRVLDRGTIHSRGRVFNFAPWTERRYAGESKLKFRVHLRIELLPVHAWNETVVAQIIGEQCAIHYVEEYSRRCDRTRSYDLWAWCADPSKPVNGQYEFLHDPPADYKGAYDYKVKIHLAVVEDLLFLHGGGRDAPPNRKPRREFIWNYGVLDSQGERRDGQHEDNHHAGRNYRPCRTRRHRSSSAWNRVSRCRGAVGDCYSSTLHRGDNYGQSSYRSRCLTPPPSWGHDGEQQKKPNLQWVAKAKKRVSFANPIAQVFGEVFCELSDEACLLFTNPTHDSSNTSYDPMLEEVLLHHVPAGPTKEDRTLAMLSMPGWVPTASPLAEEELEEGELREPSVENNQDLEGGEQHDPTEMMNQNTEEGEQHAEPNPLSASPLKQPPIPLPTTCRRIMLTRISRGKKSSRI >Dexi9B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:9B:3197728:3200217:-1 gene:Dexi9B01G0005440 transcript:Dexi9B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDTLQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >Dexi3B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:3B:6286974:6288204:-1 gene:Dexi3B01G0009130 transcript:Dexi3B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQEIVPLNAGNVLGAEDKGPASQWLDLIRRALNPSSSSSPESRRSHGLFTSDGRVSFWDLLAAEDSRLSTASEPDDDASEPSTSNPESSSEEEEEDAGDFGRRRGQHGYRLAASKQMVGIFLCVWVRADLLPCVTGLRVSCVGRGIMGYMGNKGSISVSLTLRGGAALCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFAQQSSPPLRFPRRPAAAALSPETILDHDKVIWLGDLNYRLTSNGGGGETRELLERKDWPALLERDQLRTEQRAGRVFAGWEEGRIRFPPTFKYLADSDAYAMSLGSSGSREKKRTPAW >Dexi5A01G0031240.1:cds pep primary_assembly:Fonio_CM05836:5A:34024995:34026585:1 gene:Dexi5A01G0031240 transcript:Dexi5A01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGDSGGAARRLDLVCVTGGSGFIGSWLVRLLLDRGYTVNATVKSLEDEGETKHLQALDGADTRLRLFQMDLLDPASVRPAIEGNDLLEPAVKGTLNVLRAAKDCGVGRVVLMSSQAAMVPNPNWPLDKVIDEDCWADLEILKKVQYWYCVSKTLAEKAAWDFAAKEGLQMAVLNPGMVLGPMLTPSVNASLELLLQLLGGERLNLDNIYVGCVDVRDVAQSLVVLYENPSAQGRHLCLESVERLVDFTNNIADLYPEYPVQRVTEDKQDWVVRAKDPSKKLIDLGVRFTPFDKTIRDTVDCLRSKGLI >Dexi9A01G0014940.1:cds pep primary_assembly:Fonio_CM05836:9A:9824299:9834002:1 gene:Dexi9A01G0014940 transcript:Dexi9A01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLESQIDNFEAEIEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVPSVSTSTVLSTKSSVTTSSTQACATQFRGRPEISADQREKYLQRLQHVQQQQGSLLNVSHITGINQKQFPTQQPNALVQQSSSIPSQVNLGLGVQGSDAGHIKSDEQQSLPEDTGVESAVTTGSNKQTSEDDTKIPYPNPSAPAAENTQLPRDTDLSPGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLTGSSANSGHDHLYNLQLLEAAFQRLPQPKDSERAKTYVPRHPAVTPNSYPQNQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAARELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQAN >Dexi1A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:1A:26126770:26127610:1 gene:Dexi1A01G0018950 transcript:Dexi1A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKVTMATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPPSVDAVYTTFGTERKINVLLPSLESYNVCQLYPDGPNIGVSDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFRNLHHLLNSLRPHQARATLIHMLESQIQSRKQAIEDIKQ >Dexi1B01G0015820.1:cds pep primary_assembly:Fonio_CM05836:1B:22354432:22354887:-1 gene:Dexi1B01G0015820 transcript:Dexi1B01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRYDGVVGDNVAILGRHCVEQVEGVGEGVRPARERGQERVVGVRVLVREVVEGGDRRGRGRELGVERDEAGVAGERRLDEDRVRGAAEAEHVPRGDGPLQEGQHLLDGEPRRGGGRRRRRGGALRRVDGDCGEKAAHAFSGDDE >Dexi5A01G0036270.1:cds pep primary_assembly:Fonio_CM05836:5A:37878473:37882522:-1 gene:Dexi5A01G0036270 transcript:Dexi5A01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWKPSESDALAHHEPTPSVRSQQNQTTASPPAATSRSIPSLTFALELGGDLASSPCSLVAPSSSPLRPTPAPGTMNPYDLRYADPSSYHDRRSDLAVAPMVAPPAPMPAANPYAAAYPPVPVASSGGDYPRYGQGGRGRGGGGYGGGGGYGGGGRGGRGRDGLDTLALPKPDFRSLIPFEKDFYVESPSVQAMSEADVVQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSPELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHANAKFSRNLVKILREAGQVVNPALESMSKSASSGGGGNFRSRGRGGFGNRGHTSGSNSFPVGGRRPY >Dexi5A01G0032530.1:cds pep primary_assembly:Fonio_CM05836:5A:35034264:35034480:1 gene:Dexi5A01G0032530 transcript:Dexi5A01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSPQHQPRAMEPKKSSHHGAGAAAAANDAKSPLSSLFYPQEPRLVNSYA >Dexi9B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:9B:1508837:1511054:1 gene:Dexi9B01G0002680 transcript:Dexi9B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEESDGPRRGAGGGEPGGSSASSSSLPYRPGERKRPRFADVITEVMRNANIEKFFKAIEPLIRRVFMTKLSLPIFTGSKIEGEGSLSITVALVDTLTRQVVASGKESLMKVEIVVLEGDFESGEEDDWTAQEFNNNIVKEREGKRPLISGDVFVALIAGIGTVGELSFTDNSSWTRSRKFRLGARTEDVYKKHHPPFLEDEVWRLEKIGKDGAFHKRLNRERISTVKDFLTLLHLDAPRLRKILGGGMSAKMWEATVEHAETCVLTDKK >Dexi5B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:5B:19407575:19409186:1 gene:Dexi5B01G0017610 transcript:Dexi5B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYCDGDADWYQYGLDDDFPPLCSSASPLAILSAQDYPLPIAAAPPPHLSRSNADELIEGSGSSHGGALVAPADYPSSALMNLREDESYYGLPFFSAPPPAATGDQYHFSTNQLPPLPADIATVGLDDTLLHPLGDIDLEAFDTDDVEHKPPHHHMQADQHPVAQEYACLDVDFHEEDQKPMVIVDTFRPRAHAFEMMNMNNHHAIADHQEAKPAVPALLPPPSLARPRGRRTGGDYRSSAPAAGKTRLDHIGFEELRKYFYMPITRAAREMHVGLTVLKKRCRELGIARWPHRKMKSLKSLILNVQEMGNGMNAAAVQEELAALETYCALMEENPAIELTEHTKKLRQACFKESYKRRRAAAVNVIDHHFYDFGNQYHHRQLPPPPQPSSAESHGHDSSFFGY >Dexi3A01G0033240.1:cds pep primary_assembly:Fonio_CM05836:3A:38005129:38005488:1 gene:Dexi3A01G0033240 transcript:Dexi3A01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASSARPLAVLLLAATVALLLAASVADAGGVVGGGRMLIIRRAPGTTTSGGGGRSRWQQQSVEDEVAPEFGAMLATNGNFVSSRALTASKAACINNCGGKGRSYVRPCNKLYRSQGC >Dexi1B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:1B:22783931:22790555:-1 gene:Dexi1B01G0016270 transcript:Dexi1B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLIGVPLFEWCAGEEDILMRLPMGVEDFEGVLSMAGREIPAKAPPARRTRRGRAAAAAECAVCLGELEDGEEARFLPRCGHGFHAECVDTWLSSHTTCPLCRLTVAKPDDDEAPPCPVALLLPPVPPEPANYAAASNLPATVLLGMSDDHGDVAMATGASRGVPRLVIEIPELPVTTAPTTPCDAAVSSSGSARLRSSIKRLWSFGMQGAGTSSSCTCAGASEEADLEQGISVTSTTDQPESNSRPLAFQQ >Dexi3B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:3B:2666697:2667098:-1 gene:Dexi3B01G0003920 transcript:Dexi3B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAVDDGGGASPSWGGRLAAEGCTSTGATMSVSHRAPTAAASGGDHSCCGGHVVNIYVNNNVQGVTNSVLLGSKVAMRDPGARVTTRRRGRRCGRRKVRANRMGIVAGVVLLAVAAAVLCLGLFVRVRSLH >Dexi5A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:5A:1242083:1248591:1 gene:Dexi5A01G0001750 transcript:Dexi5A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTPTICFVAVRPPHLPALALLPRPTARLASAFGPGSSTARRLGLPRAPSVVPAMRRRGRRRGTPAPEAAAGGGEAAVPNSQEKRAGTDLKTLAKRFWKVAAPYWWSEDKTQARLRLAAVFALTLGTTGISVGFNFLGRDFYNALADKDQEKFTQQLLYYLGAFAGGIPFFVLRDYAKETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTFFNAAVDLISFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFDNLSELLIASRNLEFFTSGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSLSPQNDNNGINIIFKSSSSVVSSNGSLAYSDPCMVLEIRNLTLITPRSGNVLITDLTLELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHAHLYSQIEAAGITYISIGHRKTLQKFHNKALYISKSESTDSNLSNWELKPTSQISAEESSPFSS >Dexi5B01G0026290.1:cds pep primary_assembly:Fonio_CM05836:5B:28073460:28076169:1 gene:Dexi5B01G0026290 transcript:Dexi5B01G0026290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWSESEGKRAHDPIFQFFSQNQNHRQPVENCCKQRSVDAAAAAPSERCTCFWVSGPIIVGAGPSGLAVSACLKEKGIGSLILERSNCIASLWQLRTYDRLSLHLPRKFCELPLMPFPADYPIYPSKQQFVAYLESYAARFGISPMYNRTVVHAEYDEELLLWRVRAQISGTMGEEVQYVSRWLIVATGENAEAVQPDIGGLQEFPGTVMHTSAYKSGSAFTGKRVLVVGCGNSGMEVCLDLCNHNAEPHIVVRDAVRDLFSPLLNCRKLGLQLYILYYVGDKGISLVQDCGHHWQVHILPREMLGYSTFGLSMRLLKWLPVHFVDRILLWTARTIFGDTARLGLKRPAFGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIRQISGRDVEFADGQLEGFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGERGLYSVGFTRRGLMGTSVDARRIAYDIEQQWKAKGTHPDVFL >DexiUA01G0025020.1:cds pep primary_assembly:Fonio_CM05836:UA:52484348:52485367:1 gene:DexiUA01G0025020 transcript:DexiUA01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEARTKSSTTDIISSPELLTTMTSGTIKLTSFDRVFVKVSFTALLVFEHMGHEAIDIIKRALSKALVHYYPFVGRIVSSGAIDGDEFSIYCTGDGVEFLTVSVDSTLKEAKIFDESSGAKTKALLDDLAVTKFSCGGLVLGVTWNHAIADGIGIAQFLAAVGELACGSPSPSVIPARWDDALSKLHPWSDPVLQATLVCPESHDMELIVPLDITIPSALINRVKAEYRSCFNGQPCMAFAVVLAILWRRRIRATMSNNPGVPVYVTSATNMRKFMGAKDGYYYNCVANHLLIVATRGTVAEAGVVDLIRMIKQAKDLTR >Dexi9B01G0021830.1:cds pep primary_assembly:Fonio_CM05836:9B:16532088:16533143:1 gene:Dexi9B01G0021830 transcript:Dexi9B01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRTTEAVDVLEKMARLNNVPLPSGRLVSDKNIELDEVSGSSESATLLSSAEESDNIDKDEGSDFGGIKSVGKLLAPKLIRATLLLWMAFFGNAFAYYGIVLLTSELSNGNRICAKEEVESVHSNNAALYKNVFISSFAEIPGSILSAMVVDRFGRRLSMASMLFTSCVFLFPLVFSRTDMLTTISLFGARLCIAASFTIVYIYAPEIYPTSVRTTGIGIASSVGRIGGILCPLVAVALVHSCHQTTAILLFELVVFLSGLAVSFFPFETKGCRLNDTEVDMS >Dexi9B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:9B:11344707:11348250:-1 gene:Dexi9B01G0016490 transcript:Dexi9B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRALMPGDEESMSDEAIWETLPASFAFIVQCFFNNSLLHMVGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKPHTNGEASSSSAAIRYRDWESGLVLPSLEDHEQERFCGLPDIGGHVMKIPLIAFQVLLCMRLEGTPPSARYIPIFVLFSPLFILQGAGVLFSLARLVEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRRRFFVGFATRERFVWSYFPAGTGLYASPVLRSARSVQSAVCQLKSACLYMMFKPH >Dexi5A01G0033010.1:cds pep primary_assembly:Fonio_CM05836:5A:35422901:35424440:1 gene:Dexi5A01G0033010 transcript:Dexi5A01G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAVHPPSLKPMEAAAAAAVARRVGLLALARPGGAGRARGWERGVGVCPAPPAARRRLLVASLGVGEPLPAQSLGEDAVALEVREDDDSIVSAENLPPPDADEIAPVPEAKTVRVKFVLKKQCAFGQQFLVVGDDPALGLWDPSKAIALEWSEDNVWTAKTDLPANKLIEFKFLLRDSSGHVRWLHGPNRTLRTTETTNTFVVHEDWDHAKKQKVSEEVEELSIEAEDVFSDNLTRSNGAMLADNIITDENLENMPVTAEVADAHLQLQGQIMVANETKQPQLMLDKDLTVLAELHGEEKTVAQNGTPSADHHAGSNIDDTNLFQEGALLANRRTSILENDLAWAGKAMQQVLRILGFQIGTTKTLN >Dexi9B01G0023020.1:cds pep primary_assembly:Fonio_CM05836:9B:17982945:17987586:1 gene:Dexi9B01G0023020 transcript:Dexi9B01G0023020.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATWDLRLRLRLRLLRLRLPLRLRLLSYLGNILGPTRDIAERALDRVLNGSAASRRQAPPFAEVTIKSEDDKVVDGNSICRKIMKRLEAEGNELSYKGFAFDGDKSLFTVAALSENKVEFIVSLGIISSRHDGLQSQSEKRYRICLSYAGKIPVEPVALTLQGCESGDAHVALTVLNTILRQRQSRRGCFVVNQAFFNTDTGNLIDLSGGVSLCYGFHSSFRPSLGGLTLNIDVSTTTIINPGSVIDFICASQSITDINGADWGKAKKELQNLRVIAKHNKFEFRIFGFSDKPCYEQLFQMKSHLGSTTDITVQDYFKLKKINLEMPHLLCLDVGKENSPCYLPIELCNMVSPQRYKTALSSQQRATFVEKSRLEAKRLMEIVADAIKSDGYVDDPLLSLAGIKIEKQLIRICGHVLSAPTLVVGNGEECIPNEGRWNYNNKMLLNPVRIEHWAVVNFSTYCNMNWIIQRIIDLGRSKGIFMKFPATIVEEDSKRKNCDIYGPWKRKTLHEVGIASQCIAPSSNTTDQFFINVLLKINAKLGGLNCKVAFKNDYMIPAITETPTLILGMDVSHGPPGRADVPSVAAVVGSRCWPLVSQYRASIRIQPQKAEMINSLFTPLYNGNDGGMIW >Dexi3B01G0034780.1:cds pep primary_assembly:Fonio_CM05836:3B:37478961:37479209:1 gene:Dexi3B01G0034780 transcript:Dexi3B01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYMDDKWKLSSSKKAAGSRRSAAVAPAAAEMASPRGLKARTSRGMARSSVPGRLASMVKEQRARFYIMRRCVTMLVCWRD >Dexi2B01G0025310.2:cds pep primary_assembly:Fonio_CM05836:2B:34591700:34592585:-1 gene:Dexi2B01G0025310 transcript:Dexi2B01G0025310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAFRPTASGPRRTSAALICPSSPAGTAVKFATRTATSDASKRQSTSCCSLRSRRLPVARKWAPSTAPVVLNAQHDPHAPCVLTPVTAPRSRQSKVSGSRVTSSSVAGAAAAMLRRARRWKTRPGHDRERPPPSVT >Dexi2B01G0025310.1:cds pep primary_assembly:Fonio_CM05836:2B:34591456:34591696:-1 gene:Dexi2B01G0025310 transcript:Dexi2B01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSWSEALASGRRKRRATKSWWPITFGGTRRWIKESEEGEVAAMQAGGVDACLCLLVERRRAAPS >Dexi5B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:5B:5387143:5391178:1 gene:Dexi5B01G0007980 transcript:Dexi5B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVLLLLLLLPLSSSLLLCLATSAAADTYGSCAPATCGNLNISYPFSLGGKQPLHCGFPAFALICDDRSGHAYLNRTFRENLYRVDNISYANRSLVVAVETAFAGDATCPIPDFNVSAGLLPLPAYLAGSGEPPWVGPSNNCSTVTVPVRGSELGIEPVSENYKRLITNGFLLEWWTPEDCDHACTERGGECRFVEVAFQCICSDGRPCRNSHDTRGLIKILAGIAAALLLLIILGALTLLITIHKRRKRKRSTSLAGLIRDRDGTPLASLRKEFSMTASPRTHIFTYEELDEATDGFSDERELGVGGFGTRLYKNSYKSVEQFQNEVEILSRLRHPNLVTLYGCTSPRSSRDLLLVYEFVPNGTLADHLHGARAGGSPPPPLLPWRARLRIAVETASALEYLHAVEPRQVVHRDVKTNNILLDDAFHVKVADFGLSRLFPAHATHVSTAPQGTPGYLDPMYHQCYQLTDKSDVYSFGVVLVELISSKQAVDMTRSGADVNLANLAVHMIQCYEIDRLVDPRLGYRTDAETKRTVDIVAEV >Dexi9A01G0005110.1:cds pep primary_assembly:Fonio_CM05836:9A:2806168:2809928:-1 gene:Dexi9A01G0005110 transcript:Dexi9A01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPILRRKLMRATTTRFISKIWGDYYATHFPEDTKEDGNEQKEIDEEQEENEDDDTEEEVNVEMEQILKTPPSARSRKSSSKICKEVNWKGQAAGKTESGEALYKYVTVRDLTIGVGQSVILEDDSGEVIMCFTEYMYEEHDGTKMIHGRILQKGFHTVLGNAANEREVFLTNDCLEFELGDIKQLVTVNVQLMPWGHKYRRENSEVILTERAKAEERKRKGLPVEYFCKSLYWPEKGGFFSIPHDKLGVGTGACSSCEQRKQVCDEFKILSDTSFVFKNIRYNVHDFLYIRPEFFSQGEGHGTYKAGRNVGLKPYAVCHVLSIHAPAGSKKAHPESTKVTVYYSEELVTVPVAMIEGKCEVRLKDDVPNSNLPVVVEHVFYCEHLFDPVTGALKQLPANAKLMSLVRRVPSASKKNKGKQICDDDLTGSDKRKDMLSENTLATLDIFAGCGGLSEGLQIAGASQTKWAIEYEEPAGEAFAENHPEAAVFVENCNVILKAIMNKCGDADDCISTSEAAERASKLSDEKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFSLRKRQELCFIQQRPDLQTYTGITPGDGIPAFSLACSQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKINLPDGKYYAAVKSTAAGAPFRSITVRDTVGDLPPVENGASKPTIQYGSKPVSWFQKKIRGSIESLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYRFAGNIQCKHRQIGNAVPPPLAYALGRKLKEAIDAKR >Dexi6A01G0014560.1:cds pep primary_assembly:Fonio_CM05836:6A:21966959:21967435:-1 gene:Dexi6A01G0014560 transcript:Dexi6A01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRSAPPAPSLAAVPAASVAAAAAAAMAAMARKRPHRTRFSPEQKQRMQALSERLGWRLPSLDEAVVEETCREIGVTKAVFKVWMYNNRHKFIGGHISRRSRRGASAATGAVAAAILPSPPAAVVRHPSHAATIGYFRVKPATASGGSPQSSLVKT >Dexi1A01G0032570.1:cds pep primary_assembly:Fonio_CM05836:1A:37176706:37178063:1 gene:Dexi1A01G0032570 transcript:Dexi1A01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDHCFSMKEIEEEHFEAHIEGIARDLLGKFHDHSFMISNFGNRKEESPIYHILSEYGMTVLDYPDDYEGCPLLTMEMIHCILKLSFPTWMQLLHISQQSLLFIKRNKDLRLKSLPRF >Dexi3B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:3B:5530412:5531110:1 gene:Dexi3B01G0007810 transcript:Dexi3B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATTPARRPMEPGLARRLWHVVLAVCHMLRRGLSRKRIMMDVHLLLGRGKLAGKALRGLLPHHPSAHGHRHHLTSYGGASSSSSLASFYGHPREVEFSCTTTPYGIFPFKGGRGRGARGEYGGLDAAAVARAFEMLSAEVEATPAAAGTPAATATPSPLVAWILGRSPAGVRPLRVTDSPFPAVPEDGCGGNERVDAEADDFIRKFYEQLRLQPSAATPDYHHHHLRRRG >Dexi5B01G0028970.1:cds pep primary_assembly:Fonio_CM05836:5B:30258500:30261490:-1 gene:Dexi5B01G0028970 transcript:Dexi5B01G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGFTSKNSTTVGPWGGLGGDPWDDGVNSGVRQIIITHGVAIDSIQIEYDQRGGAVWSEKHGTASGNSKTDQLILDYPQEVLTSISGCYGAVGNSVVLRSLIFESSCSKYGPFGTEQGTSFSLPVSSGKIVGFHGRSGSSIHSIGCHLNKEISTKLSKNAPSALRSITRSYDSNGHRYSDGSAGYDMVLAVKDRADNYSVLTSNLPKEQYPRPQMNKVITYGVVKEPIPIGPGPWGGDGGKPWDDGVYTGVKQIYITRTDFIGSIQIEYDRSGQSVWSTKHGNGGQITHRIKLDYPHEVLTCIYGYFNTCVEEGARVLRSITLVSSRGKYGPFGDEIGSYFTSATTQGKVVGFHGRSSLYLDAIGVHMQHWLGDVKTTSASNSKYYISKYLF >Dexi8A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:8A:22014547:22017465:-1 gene:Dexi8A01G0012470 transcript:Dexi8A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLSPEEEEPSSSGPVPSASPGSSSPPRGVREDLSELTGALANRFQDFDLTDAQQDHALAVESVAPELADLRIELCPSHMSESCFWKIYFVLLHPKLGKEDAEILSTPQILEARGKLSHDLQYQTKLQSSNEDTIPVPLSNVDDALASPVEVLGEVKSQDGSVMATSFSNIDYGILQPNSQEFLSADAVSDAEAVSSDKISSSVPVQLVPVLKDTTVVSPATVEEITRDLATKDAAAEQSMQMSEIALVDNSPPKDDQQKQPPLAHVSKQSRVDSKKTYHDEDEDDGDEWLEEETGGPENTTIPIVDDEDVSFSDLEDDEGMS >Dexi5B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:5B:2771231:2771824:1 gene:Dexi5B01G0004080 transcript:Dexi5B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFAVVQEPRVAPPPPPHQLGRQTQVHGGMGWRGPVSCALAAVVFLAVTFNFAFAAYRARHSGRDLAFVLVTYSLLALLVCFVSRLEWLRRRDLAAGGRVTERKWLRIAVWCVSAALANTFASRVADAMPRLELKLVVWGLTAVLLALGFYFIFFSKDAECCSDEELGRGRDDVHVAGHYRPATAAHHLSPEEKV >Dexi6A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:6A:22950823:22953082:-1 gene:Dexi6A01G0015460 transcript:Dexi6A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWRSLLCCVSSDGCTAEDGDDVPAPRRRGGARRRDRQRLLPPSSSSSATSRVSLTSLSSSGTLTPEDLSITLSGCSSNLHAFTYAELRAVTGGFSRANYLGCGGFGPVHKGRIDAGLRPGLDAQEVAVNELKLTGSLDGSMPWMRRMQIAVGAAKGLAFLHDADTPVIYRDFKASNVLLDEDYNTKLSDFGLAKDGPQGDATHVTTRIMGTNGYAAPEYIMTGHLTTKSDVYSFGVVLLELLSGRRSVDTSRRPREQSLVDWARPYLKKPDKLHRVMDPGMECQYSCQGAERAAMVAYRCLSQKPKSRPTMREVVEALEPILDMDDYLEIGPFVFTVIVEDINEKNENKGKTTEGEKVNMRIETTVEEKRQSHQDRHRQKFPNSAVHADVVLHRDGELGTHISALRRHRRTPSHVKERGA >Dexi3B01G0023300.1:cds pep primary_assembly:Fonio_CM05836:3B:18094443:18096097:-1 gene:Dexi3B01G0023300 transcript:Dexi3B01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFDFDLNELPPEDDGSSDTSPARAPEPTGVPSPHDLLPAPVPESSPHEPLQASASAPQSSAHDLPPAPVPEPSPHDLPAAAVSEPSAHDLPPVPAAEPSPRDPLPDPVPDADSRGVAAQPAVTGVGPGGPTVFSLDDDDDDDGYDFDEEDLPPPPPGPPPFGQAAVVAAAAPARSSSSVDTPSSALPIRWNRRRERTSRQPFGLSSPEDPVPRGRSRTASGTELYARRDPYTYDDDDPRGDMMISSKQRRVDDDYDGDEDARSSLSGSRRSELASPPQFEQGGRRHAPATHGHQPPGAPPRNRRRRRRPQQGYQHPGPVEKQPARGREQQQQGFRGQERPKVHQGYRGPHGPEVTKVGYSSSPGPFVRLGSSDVRRHPEREPPINNGKLGNGGYHQTPPVRAYSRPHGREDSYNGQQSQVQEAPKVSYREAPKVSHREAPSFRPSSGAHGNGMDSGGRRQAPAQRPTDVVGAYQQKRKAPRGRERFPDRSYHPYARDGGAFYKNDGGGHRAKREPQHNYERPGDSKRQRTSSGWSPILR >Dexi9B01G0029080.1:cds pep primary_assembly:Fonio_CM05836:9B:31648874:31652672:-1 gene:Dexi9B01G0029080 transcript:Dexi9B01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALSTIGAPPTAPRSSGLSSTDADNIRFIEEVTTNVDTEQERVLEEILSRHGEAEYLVKCCGGGLAGDTSRATFRAKVPMVTYEDLEPYIRRIADGDRSPILTGSGHPVTEIFTSSGTSGGERKMIPNVEDEVDRRYLLESLFATVLNQHVPGLDKGKALYFLYVSSEGKTPGGLPSRTVMTSYYKSHQFTNSPFPRNNTSPMAAILCLDTFQSSYAQMVCGLCQREHVMHIGAAFAVGVVRAIHFLQQNWEQLSSDIEAGKLNPTSVTDPSVREAVAHILQRPDPELAKFIRYECSKGDWAGIIPRIWPNAKFLGIIVTGSMAQYIPTLNYYSGGLPMASDIYGASEGDFGLNLDPLCDPLEVSYTMMPNMAYFEFLPLDPDRQEDGVEITAAQLVELGQLEAGHEYELVVTTYTGLNRYRVGDVLRVTGFHNAAPMVRFVRRGNVLLSVDGEKTDEAELHRAVERAASLLLRPHGVAVAEYTSRVCTKDVPGHYVIYCELEVNNGSGNAAAVVDGDVLERCCLEMEEGLSSVYRQKRVVDKSIAPLEIWIVRPGTFGELLDYAISRGTSLSQYKVPRCISESPPIIDLLDSCVMSNHFTSTLPMWAPDQRSNN >Dexi5A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:5A:21904717:21905139:1 gene:Dexi5A01G0018390 transcript:Dexi5A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRVVTAPVALASSALFLLTSAIAARRAIARGDAGDAALVVAAAVLVAALLAAVRAHDEAEGRRGRRRRGLLRAVVWAVSATLTAMFARRVAALVADPGVAALVWAMAGATVAGGFCCLFVMRGQDDDGVGHDARPA >Dexi9A01G0032430.1:cds pep primary_assembly:Fonio_CM05836:9A:37322612:37325545:-1 gene:Dexi9A01G0032430 transcript:Dexi9A01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAGNPTNHISPHVIGGAFVQQYYHILHEQPDQVHKFYQESSILGRPESNGTMAYVTTLDSIDEKILSMDFRNYLTEIETADAQLSHKDGVLIVVTGSMTSEQGVCRRFTQSFFLAPQESGGYFVLNDVFRFISERKPAEVNQVVTQENGSSQNGISAPEPCSALPEPSPANRNVISDHVTTENIVTERQISNPSVNGAAVENNVNAKPPVQVAKEDSKKAPATAPPPPAPTQTDVTRKSYASIVKDMKEGPPTTQVAKTTPSVAKQKPAPKPVSKAVEDSEKSSVKPTQANETSDGIVAQNNSSRNEQGYSIFVKHLPYSTNVEILEEEFKRFGAIKPGGVQVRHNKDDHFVYGFVEYESQQSMQAAIEASPIHMEDKEVRIEAKRANSRGGRFQSGRGVYHGDNFRGRGGGYVDNANYRGGDNFNRRNEGESYNRRNEGEFYNRRNDGEMYRRNNGENYNRRNDGENYNRRNDGENYNRRNDGDNYNRRNDGENYNRRNDGENYNRRNDGGENFNRRSNFRNQNEFSGRGRGPPPPGNGYQQNGNGVHPPRPFQNGNARFGRVNSGPKQAPVAA >Dexi1A01G0008450.1:cds pep primary_assembly:Fonio_CM05836:1A:6579097:6581252:-1 gene:Dexi1A01G0008450 transcript:Dexi1A01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNSLSISDGGAAAAAGAGGGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDETRSRPIQKAKIEILLGKTEKFDELMAAAAEEREAAEAEEQS >Dexi3B01G0011290.1:cds pep primary_assembly:Fonio_CM05836:3B:7930913:7931386:-1 gene:Dexi3B01G0011290 transcript:Dexi3B01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTHHQPIPNPDLPSPTPPPPTASSEEEGSGDSESKSGTPVSLVLLIGAHPAGYGDEDDAESCSGGGNGVCGGATSAAVDYRNGGDDAGAGGEEDEVDSWMAVPWWRRGVVEDAVAQDGGGGCCAPAAEGAAVADVGGHAAESNRLFWEACIAQGY >Dexi6A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:6A:3630556:3631032:1 gene:Dexi6A01G0003940 transcript:Dexi6A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPGGKRVEATDPGDRISGLPDEMLHRVISFLPARDAVRTCVLSPRWRHLWRLAPRLNIDAEGFTSQTRFINFVNALLLSRGSIPLESFWLRANGPGIFLENFRDTAYLWIGHALRSNVEELGIVDHDQNDNEDESEFVQLKHCPFTSSCLKKMHLC >Dexi3A01G0029610.1:cds pep primary_assembly:Fonio_CM05836:3A:31797033:31798429:-1 gene:Dexi3A01G0029610 transcript:Dexi3A01G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCFAEAGGQFVSEMAQAVELLGLRACLTKSTMDCGEGLPPNWSSCSTDDCIQSQKELYEKHHNTADGRIRIWFGLRQIMNATDRLLLETRDVAQKLNTGIHMVHKVYWVKAYDLSFPSACAVMLNV >Dexi7A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:7A:25689696:25694747:1 gene:Dexi7A01G0015810 transcript:Dexi7A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGELCRAGGWSYAAVWRFHPHDPRLLTLGESYCENEAKTVVERILNQVHIVGEGKSLAVLSKSKHSNKWKTSLLQGYTWWQHQFLNGIKTIVVLPLQLQGLVQFGSIRKVPRSSDFLNQCSIDALLERTIKHMVFLQSVTKYAEKIKQADEPKSGVVLKDNPDAGKNGGATWAYEVAGKTMVCPIIIEDLSPPGQMLVEMLCEERGLFLEIADSIRGFGLTILKGMMELHDGKIWARFFVEANREVTRMDIFLSLVQLLEQNNIVRPTEQMAKVMNNGVPSFTDHQRSPLPIPVGIAERMQ >Dexi8B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:8B:2498917:2500266:1 gene:Dexi8B01G0003360 transcript:Dexi8B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEESSYLQWSPQVARLENSPADPFPYLQEDCEFFDTIAIDPSNTNGQNAFDVDVLTHCHESLLHQESGNLAAIQEELMEENSLSDLLLAGAEAVDAGDSSLASVVFSKLDHLLHATSENAATSSFDRLAYHFAQGLQSRMSGACSPCYPPEPVQSGTMSVLQMVQELSPFIKFTHFTANQAILDATTGNMDVHVIDFNLGEGVQWPSLMSDLARQGGKSFHLTAIITDADYGDDTHHTARHLAEFAESLDLPFQYSSLCIHSKEDLEEFSRNCKGQVVVSCDTTNLCYKLRNKLRMLLHGCVKKLQPTSVVIIEEELFRIGKEVSLSQASFVEIFFEALHHFTMVFESLACCFSSGNNRVCLRLVEKGMVGPKIQDFVGQYGSVTLDAPPPKDLEGFMSCELSARNIAQARMLVGLFNRSFGVGHEKGRLQLCWKSRPLISVSVWTPL >Dexi3A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:3A:2077355:2082201:-1 gene:Dexi3A01G0003200 transcript:Dexi3A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSSTSRQDRSLLGYGFHGAIANSAPANFFDQEGGTYFGELEEALMHQVASFRRTQQVATTSTAHHGDTKPFPTAVTATAATATARPPPTLDIFPAWPMTMRYLHTPKEGSNLTAESTDDSESSSKNNSNHSSDQHGAAANMVSQLDQGSQQHQEIQHKAYIQQLESSKLKLAQMEQDVQRAHSQGLFLGGATGANTSSGAAMFDSEYARWLDDHGRRMAELHGALNAHLPDGDLRAIVDDTLTHHDELFRLKAVAAKSDVFHLITGVWTTPAERCFLWMGGFRPSDMLKTLLPQLDPLTEQQLVGICNLQQSSQQAEEALSQGLEQLHQSLADTMVGGSLTDDANKSFMGQMALALGKLANLEGFVIQADNLRQQTLHQIHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREVLVADEGNCGERSIAAQPSQSQFSAF >Dexi5A01G0015000.1:cds pep primary_assembly:Fonio_CM05836:5A:12324962:12326464:1 gene:Dexi5A01G0015000 transcript:Dexi5A01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGPGSRLRGWVRVERVVGWVEFSRSCTRGGGRRRGLPARREGGAPVRRLLSLGVSLASWSRGQKRLRQSTRKNNSLGHMQQAPASLLDEKCPAWRMSSLVRKEMVPALAAVPKLLWPDALPRT >Dexi9B01G0003310.1:cds pep primary_assembly:Fonio_CM05836:9B:1881698:1883623:1 gene:Dexi9B01G0003310 transcript:Dexi9B01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTPPRKGRSTAAAMGDGLEEAWLMAEAPAAKKSHAKAAECGGVTVSFGLRGHFNSFVLLLLVLFVVFAVSITTKHDDGNGAREQPETTTTTVPTPLVDGGHEQTETTTTTTLPLPPHADDAGDRDDGGQADVAGECDMSSGRWVYDDVAYPLYKESACKFMSDQSACGKFGRKDLKYQQWRWQPHGCDLPRFDAVKMLRWLRNKRLAFVGDSLNRNQWVSMVCLIDSATPTLHKSMAGGNSSLVSFRIHEYNASVDFYWSPLLLESNADHPVHHRVADRVVRAGSIAKHARTWADADVLVFNSYLWWRRPTIKVLRWGSFEAATAASEDGTHRAAYEVTDRLRAFELAMRTWSEWLELHVDRGRTKLFFTSMSPTHLYSDEWEATGASDGNHQCYNETEPIMAEGEHRGRDTDPAFARAVEAEVARLGARGVAVRVLDVTRLSEHRKDAHPSVHRRQWGPPTAEEVEATARDPSSGADCIHWCLPGVPDVWNQMLYAHIMSSSSS >Dexi8B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:8B:28167711:28169588:-1 gene:Dexi8B01G0016990 transcript:Dexi8B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVELEEEQQQLGVMEATPPSPSVFLDLPPAPCIDGGRHSSPEDDDLVLPYISRMLMEEDIIDKFSDRYDDPDHTAILNAQQPFAEILSNAIQTCPCNLSQPLHTSAVGPYFDTQVPGIVFLNGTATGKVETNSLLTDENSVNEVSMAFFKGMEEANKFLPIRADSETIDSCSWKKRFGRVDEVGRSTKRMAAPLQTESEEEVDAREMLDRLMLNGYNPSLATIQEPPHVVKGTGKTPQPGRHTVDLHTMLIRCAEAVATDDRRGAADLLERIKCHSSPTGDSMQRLAYCFAKGLEARLAGTGSQIYRSLMAKRASMVCILKAYQFYMDSCCFLPVKHLFSNKTIYNAVAGRKKLHIVHYGIGHGLQWPDLLRWLAHREGGPPEVRLTGIDNPLPGFRPAQRIEETGQRLSECARQIGVPFNFHGIAKKLEAVHVDDLGIDPDEVLVINSMLHLQTLMDESVVVERPNPRDMVLSTIRKMRPSVFIHTVNNGSHSNAFFMPRFREALQRYAALFDMMDTIAPREDDKRLLVERDIFARCVTNIIACEGMDRVQRPQSYKKWQARSQRAGLKQLQLDPEILQMIKDKVKEYHMSFMINEDQRWLLLGWKGRVLYALSTWTANDNI >Dexi5A01G0036590.1:cds pep primary_assembly:Fonio_CM05836:5A:38039268:38041464:-1 gene:Dexi5A01G0036590 transcript:Dexi5A01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRQLIWLAAAVAAAAMLLTAASAKKSRDVTELQIGAKVRYGFSVVTCQKEVSFLVGVAFIFSFSSSFQYKPESCTLQAHKGDKIKVHYRVSDMMQGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLRIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSGGEKAESNSEL >Dexi7B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:7B:7739306:7743928:-1 gene:Dexi7B01G0003210 transcript:Dexi7B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALALARRRGHAQVTPLHVAFALLTGPAATCAQPPLAAPFSTPAAPYGLLKRACLRSHTTASSPAAHQHPLQCRALELCFNVALNRLPTSGAPPSAHFASPLIQPSPTLSNALVAALKRAQANQRRGCVELQHPPPPPPPATAQQQPLLAIKVELDQLVISILDDPSVSRVMREAGFSSSTVKSNLEEESALMPTLPPSSSSSSPPPPPPPSIPPHFFLDPSSIAGNGGGGKGVVRSLALWPAQFLASPPSSDACSDDVRAVLEVMVRKEGRRSNPVVVGDSVSMAEAVAGEVLRRLERGDVPVELAGAHLLKLQLSYVHVRLMSRADVEARAAELRRSVDAVQLLHRGGGLVVYVGDLRWALDEEPPAMASSYSPVEHMVEELGRLLDDLRASRGRAWLVATASYQTYMRWQQQRRRRPLDSAWAMQAVVVPTGSGTGLALNSLHSSSSGSVPSASAAPVPPAMATAQQLLAHASPFAMVAGEPTAFSASGDELDETQLLLCTECSKNYEREASLVKAEAGVEGPRGSLPAWLVLDRPPADQMPHHKEKYLMELKRKWSRLCRKLHLCSSSDPYPWWSGSCLLTDGQSKPSVARFLGLEGLMEHGNSRTMGQWSPPSPPLPRWGLSPAMAAAAPATATALALGSHPLSDSATSDGRAPVTGDASAAARELEHRLRRNIPWQPGAVALEIAEAVVAGASGRGKKGVWLLLKGSDHAGARRAATVIAEARFGSAERVIWADPSRFSCAEEMCSEVVSRAAEMGTGNGETASVVVVVDDVENAPCDVVDCLVAASRRGSFKDHLSGQELDLSNSVVIMTTSKLTVVAGDVIGLRIWLEDEASSGGLKRRTESSLQSECKRTRHDALDLNLNLSAEEDTGEEDNDGSDNDDEAVPSDITHEGDSGKSSEHGHPDGILESIAACVVTLDEEEGGRDAAAAAIRARLAGAMNGQGRARVDEAAVQALTAASGHFLDEVLERWATEVLGPAVATVRDGGKGNEVVLGLGGSGGPRETAGFMGSVLPSRVHVD >Dexi3B01G0030420.1:cds pep primary_assembly:Fonio_CM05836:3B:29938891:29939794:1 gene:Dexi3B01G0030420 transcript:Dexi3B01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPFPGAAELHVALLVLAELRRRFDSGRLRVFVWLAYMMADSIAIYAIGHLAVTSQAAEHQVMALWAPLLLVHLGGQDNITAYAIEDNRLWLRHLQTLAVQAVGAAYVLYVSLQVEPAAGGDGRRSSSQRHPWLRHAAILLFLVGVGKYGERVWAMFLADHSTYASYKYTQAKSAIRYTSVTEIPKTELSERDTEGMWQVAICLLDFAKDVLMGPRLWLNLVEPYKLPLGGDVMRKVAEMQLSLMHDVLYTKVEMIHALPLSFASVCFIWWPHPSHCPCFTYIKLLLINKVLAVEMP >Dexi8A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:8A:6448376:6448666:1 gene:Dexi8A01G0006310 transcript:Dexi8A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGLIASMYRDERATTEAEVTCLLEALLFAGPHMSSTVAAWTASRLLGHGSTHALARATSWGFQLSYLVQAEKTLREVGKTVIKSGGGGGGGVFP >Dexi9B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:9B:822849:823805:1 gene:Dexi9B01G0001410 transcript:Dexi9B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSENPTVTERGSKDRRDDKNEDGDKKEGGGGFIEKVKDFIHDIGEKIEEAVGFGKPSADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGEETVKIPVSLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLQKDGEIPVPYKPDVDVEKIKFHHFSFEETTATLHIKLENKNDFDLGLNMLEYEMWLGDDSIASAELTQSAKIEKQGITRMQIPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDDDDN >Dexi8A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:8A:123445:124595:-1 gene:Dexi8A01G0000140 transcript:Dexi8A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRNAIPILGSRRHETQSDATHSFQRRPHHLGPTSPVPAWPPPVRPRRCCCHVQCRRSSTTPGGAALAEELDHCGLCLTARKEEEEGVPWRAARPHPGRSRAAAAFLFCFLMVAASPPPVCLGENCKFVVLVTAGFFRHHSPPTTALFSPWPGQKYFWSNPLTVRAL >Dexi9A01G0043110.1:cds pep primary_assembly:Fonio_CM05836:9A:46620066:46624330:1 gene:Dexi9A01G0043110 transcript:Dexi9A01G0043110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSSPLAPTAAAASGVGGRSPNHLPSSPHLTNFFGKCLPISPLHIDSDNQLSEWIWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQAIMTGAKAQKNIFQMVRTVWASDGMRGFYRGISPGVTGSLATGATYFGVIESTKTWLEDANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTQKSWASTAAKGSISQAHGTQMYGYYNGMFHAGCSIWRNHGLKGLYAGYWSTLARDVPFAGLMVTFYEALKELTEHGKTKYLPNSDLDVSNSFEGLVLGGLAGGFSAYLTTPLDVIKTRLQVQGSTSRYNGWLDAITKTWTSEGVHGLFKGSVPRIIWYIPASAFTFMAVEFLRDHFNDKVDTDARELGTLSIDARSKVEKTV >Dexi5B01G0032320.1:cds pep primary_assembly:Fonio_CM05836:5B:32951672:32956499:1 gene:Dexi5B01G0032320 transcript:Dexi5B01G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLGDEEVMGAMAEVVQEGCVENRQPLAAPSSSVSDGSSCGGGGPAGTSPPVSSSGNSIPGLRRTSGPIRRAKGGWTPEEDETLRNAVETYNGRNWKKIGCLFFPLYLYLFIAAESFPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIDLVRKFGPTKWSVIAKSLPGRIGKQCRERWHNHLNPEIRKDAWTPEEEKALINAHRVYGNKWAEIAKALPGRTDNSIKNHWNSSLRKKLDVYGTNSVVAPPNLLAHDDVKDHMRPVAIGSHLDLNKSPNIGSKCVPGRAHRFIMGSLSQGYTIQGLGSNSIRDSVHEKDS >Dexi1B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:1B:7173540:7174589:1 gene:Dexi1B01G0008560 transcript:Dexi1B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPKGPPPPATKYFWGDTPEPDEYYATHGLRHTDSYFQSPHGRIFTHAFHPSTSAHDGDVKGVVFMTHGYSSDTSWVFQAISISYARWGYAVFAADLLGHGRSDGIPGYLGDMEAVAAASLSFFLSVRGSEPYSSLPAFLFGESMGGAATLLMYLRSPPEANWTGLIFSAPLFLIPDGMRQSRVRMFLYGLLFGLADTWAVLPDKRRAPAPGAGGAIRDPEKMRLIVSNPRGYRGAARVGTMRELARVTDLLRATFGKVTAPFLVVHGTHDAVTSPEGSRMLYEQAPSEDKELILYEGMYHSLISGEPDESRDRVLADMRRWIDERVRRYGPAAAANGDGGKEAPAP >Dexi1B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:1B:17321778:17322122:-1 gene:Dexi1B01G0012710 transcript:Dexi1B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKDGLVRRVENPGQDEEAGSSGGGGQRRKVLVHVPSGEVVTSYEVLERRLRELGWERYVNDPCLLLFHQRSTVHLISVPRDFARFRLVHMYDIVVKTRNVFEVRDAAN >Dexi6B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:6B:26814457:26815773:1 gene:Dexi6B01G0020330 transcript:Dexi6B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMPPPPRPRAPPALPDELVEAILLRSPPDDPARLVHAALVCRRWCRLVSGRSFRRRFRARRPAPMLGFISNDAVGDGGFSARFVRTAASCPPLAARRGWHALDARAGRVLLHHRAAAAAATQGIRLAVWDPLASVQHQHMVDLPSPVLPRRPRSLNAVLLCDDDDPPAEGAFVFRVVLVGTDAEGAFACVYSSSPPEAAAWSEPSQQQHPGDDHVDVVRGALVGDAVYFVCQRRTRVLRYDLATRAITLLHLPPVSHNQRIALTATDGGGLGFARMERYRLGLWSSMDGGGGTMEWTRDREIDLRTLLPVIDLLGFAHGVVLVGTVDGFFSVDQKSDRITKVGDGPGFYNLVPYATFYTHPALGTTSTDEGSSADG >Dexi9B01G0044820.1:cds pep primary_assembly:Fonio_CM05836:9B:44448383:44449949:-1 gene:Dexi9B01G0044820 transcript:Dexi9B01G0044820.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRRPFTAEKINEETYVDIHGNKAVFDSLRNNPKVKFDRRHFFYKPTHDLTGRDELLNLIKKCEDGIAVEELKDAYPSVLEDLQALKASGDVFWLSGTNSQEDTVYFNEPQYWITVDNDLKELYRKVDLPRDMLDIEKELKKIGEKPWTDTAKRTALAQIHGAASKAKARKKQRGMSRIKKLTNHHLPELFEQMST >Dexi4B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:4B:21142672:21145070:-1 gene:Dexi4B01G0018870 transcript:Dexi4B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTTTTTLEERHGAGCCGGHAAAAAGVVLSSSSSPPAKGGGEDQLPRLVRFEELPDYLRDNEFIHTHYRSEWSVRDALRSVFAWHNETLNVWSHLGGFFLFLYLAVGEETGRVAAAAVARAAPGIVTFVLTSANASWETHYSNSSLVASKGLSAVLESQAVHAVPTWPRTVFLAGAMTCLAVSAAAHLLACHSRRFNRLFWQLDYAGIAVMIVASFFPPVFYAFLGDALTQLVYLSLITALGLVVVALLLAPARSSPRLRSLRAGMFVLMAMSGAAPAMHALWINWGHHECHLALALEGVMGLVYAAGAGFYVSRVPERWMPGKFDCVGHSHQIFHVLVLVGALVHYAATAILITWRESMAAGVASALLL >Dexi8A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:8A:26180300:26187555:1 gene:Dexi8A01G0015150 transcript:Dexi8A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFSDVKVNDQNQLMRPHPYANQLEEEDHVQSSKAVKPTYGVGGAPSASTDTAKVTMLDKVNFDHR >DexiUA01G0022780.1:cds pep primary_assembly:Fonio_CM05836:UA:46461299:46462206:-1 gene:DexiUA01G0022780 transcript:DexiUA01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFSSKSEELKSGDEDNTRPADDGSKDPTRLPTRGHGVLWWMMVAIDMAMLLSGGTVATLLSRLYYNSGGNSKWMTTLTQSGGSPLLVVPLLLTPPPPRGEEQPRQPPPASSKLAGIYIGIGVLIGVDNLMYAYALQYLPVSTFSLVAATQLGFNAVTSRLINAQRLTPLIANSVVVLTFSAALLGVSSSSDTSGGDHLPRGKYAAGFVLTLAASAIFALILSLYELTFEKVVKAQTPRWVIRVQMHSNLVASA >Dexi3B01G0014510.1:cds pep primary_assembly:Fonio_CM05836:3B:10472430:10474770:1 gene:Dexi3B01G0014510 transcript:Dexi3B01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVKPGSTVKCVPGDGYILHLSQAALGESKKSDNALMYVQVDDQKLAIGTLSPDKYPQIQFDLVFDKEFELSHTSKAASVFFSEEELNVPVIKENGKAEGKNSQGKPVAAVKEAEKKSKEDDSDEDETDDSSDDEGLSPEEGDDDSSDEGDSSDEDDDESEEDEDEETPTPKKPEVGKKRAADNALKTPLSDKKAKATPSGQKTGGNKATAHVATPHPAKGTKTPANNASKEKSPRSGGSVSCKSCSKTFNSDMALQAHSKAKHGAK >Dexi7B01G0022380.1:cds pep primary_assembly:Fonio_CM05836:7B:27312470:27318336:1 gene:Dexi7B01G0022380 transcript:Dexi7B01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRDLARNGPGSVKLVPEEEDDLWHAYNLIGIGDNLQAVTVRKVLREVASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPSASADLAVILMQEGLAHLFLIGKSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYMFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGIMALIKDTKAAQEVRALQDFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADISTRQKYVNLVEGVKKYGGTVHIFSSMHVSGEREFYAFIL >Dexi3B01G0022360.1:cds pep primary_assembly:Fonio_CM05836:3B:17182409:17186158:-1 gene:Dexi3B01G0022360 transcript:Dexi3B01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDGPPIAGPSSPPPTPKRPRVPTPALPKDPKSPTPSSSRSHRIQPPQIPLLPSASALAAGASRLARAPFSPPFLTTMAEEAQATPPTTVAKAKALPQLQLFNSMTKTKEPFQPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIMENGKAYAMEGDVYFSVDSFPEYLSLSGRKLDQNQAGARVAFDTRKRNPADFALWKAAKEGEPFWDSPWGHGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDKKMAKSDNNFFTIRDIIALYHPMALRFFLMRTHYRSDVNHSDKALEIASDRVYYIYQTLSDCEEALAMHREEGTSALVPAEEQKMIEEHHKAFLDDMSDDLKTTDVLDGFMNLLKAINSNLTDLKKLQQKLEQQKKQQQQQQKKKKQQKQQQSQKQPADHIQALIALEAELKDKLSILGLMPPSSLAEALKQLKDKALKRAGLTEEELQEQIEQRNVARKNKQFEVSDGIRRNLATKGIALMDEPSGTVWRPSEPES >Dexi3B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:3B:15045281:15056447:-1 gene:Dexi3B01G0020080 transcript:Dexi3B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKQVAAEPPGPGAAGASGSGGKRRKGSGDAGPSSSSAAKRRRRAGVLRFVDDAAGVDEDYEEEDVLESEEEASDPDDGFFTGGEHVQNLSHKRTERSHPLPFLVKEEELSGDELEEFIRNRYSSGVKYAADRNYVREDDDDFPMDDALKEPTIWRVKCMVGRERQMAFCFMQKFVDLQKIGTKVPIITAFALDHVRGFVFVEAEKACDVTEACKGFCSVYASRITSVPAAEVPSLLSSRTKPFEISRGTWVRMKNGNYKGDLAQVVSDDDGRKRVMIKIIPRVDLHAISKKYGGAISLREAAVPAPRLISSQELEFFRPHIEMKRDRQTGEVYEVLDSLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPINRASADDLDWVSSIYGPKKRNLPAERNITSSSKMKSSKTSKASTSTENLDDNDDFNLHDLVLFGRKDFGVIIAIEKDGFRILKGGPEGSAMTVRKQDIKKGCVDKMFTAVDRQKKIISINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESENVFYVILLSLYQDNPIPMFAGPSYEQNEHRNTERPYRSTREQLFSVGQMLRIKKGPLKGYLCRVVKIFRNDVTVKLDSLLKIVTVQAEFLSVPANRGDNSSGAPAGNFGSQNTSFFGSEADKTSWDNGLPSFGSDSWQPFSSSTLPAGNSCVICSIDIVCGESEIDPWGKKSSADGESDSWGKKTDGDPDPCGDKAMPSADGDPDPWGNKAVPPADGNSDAWGNKVVAPADGNSDAWGNKVVAPAEGDSDPWGKKVVAPAEGDSDPWGKKVVVPTDSSAVGVWNTSATQKESSSDNAWDKQAGIGGSEAAAGSWDGITINNESEKSDNWGEACRAADMGTGGDTDPWGSKVKAVDTEGNDNWEKATMPPENKLEGVSQRWGQPLGKSNEDQEKDNISKVTGNNGAWGTALPVNEDGTWGKSKDINGGGAGGWNEARPSDKNGSTGGWDASAANWNKSSAVAEAQEDGWGKGKGASDQAGCGGWNKPKSFGGDGSSSWNKGEETKADGQDNSWSRPGNFGGGRGFGRGQGRRGQESGDLDGRNDQGSWKSSWGGDNAGGLSWRSDGMVDNEVGGPGGYRGRGRGGRGQYGGRGRGRDNGWRNNDRSNSGFGTENDSANGQKWGNGGSSDWNATNPPSNQPWSSSGGTKSYGENKPSTWNSSEDNKPSVGEQDDPWASKVASTEGNEQNDSWASKMTSVGADDNSGGWNNTKAKDSFGKEQETDPWASKGTPSEEKADDPWSSKGGIDNIKKTDTDSWGAGSSGVDLDVDIAAEGEEGILGIVDPCGMQGTEMMNQAVKGLKRHGTGGISMVAEEEAEAVLGEVIETRVTTTLDQEMVAVGALTGEMVTVVVTETGVITISKGLSVMAVAGLPIGMPTKEAAKEVKLLRKASPAGKAKIRLVETVREERVTQTTPGARTDHLPLSWVSQAVILTSLAHGVLLAVELEVPGEKATKIAGTLREQPTKRSRHGEVGRKLLRRKMMMAHGEKAVRGVAAKEVAVPGTKRRMVRGTATRVVMSVVAD >Dexi3A01G0034140.1:cds pep primary_assembly:Fonio_CM05836:3A:39404095:39408104:-1 gene:Dexi3A01G0034140 transcript:Dexi3A01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLFFFLLSLSLFFLPDAFTAAAAGGSACNRRCSGLVVPYPFGFSGDCPIALDCNATSSTALLPNTTTASAYPVVSFNSSASTFLVTLAPSCDGRTVHEANASLNRVTSLSAGGGGYGVSSHTGIFLRGGCTNRTAANDTNSCSVPADVMTKLLDTARCGNDTAWTCVAGSPPASNATATASNAMAAEEEGPRRGEFLDWDAVKASGCREALTATVYVVAEAGMPSLEFGVAELGWWLAGTCAAADATGGRCATNATCLEVETPGGAVGHRCSCLEGMTGDGFAAGDGCHYAAGESFSFSLGCCCRKGSRGVFVSGAHLCHVSRTEEVPSVVAGVLAVAGILLLCRVQCRRYKAGRSSSERLAAMRLLSEAATSSGVPVYSYAEVARATNSFSHTHRLGTGAYGTVYVGKLPSSSISPAAALVAIKRLRHRHHDDDDEAAAAALLLNEIKLISSVSHPNLVRLLGCCLDRGEQILVYEYVPNGTLSQHLLAGGGNGGGRRRLTWRARLGVAAETAAAIAYLHGMRPPIFHRDVKSSNILLDGELRPKLADFGLSRSGSAAGEASRSHVSTAPQGTPGYVDPEYHQSFHLSDKSDVYSFGVVLLELITAMKVVDFDRPPAEVNLASLGLDRIGKGKVAEIVDPAILAAAGEEEWVMASVRHVSELAFRCLAFHKDARPSMSEVADELRQIRDAAPGSDSGEAGSRLSRPIMMDVQIDLSLDGDGTVGKKAAVSPVSVQEVWVSDQSTPSTNEGSMRTMPRFVA >Dexi7A01G0019430.1:cds pep primary_assembly:Fonio_CM05836:7A:28652885:28653158:1 gene:Dexi7A01G0019430 transcript:Dexi7A01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSRSAPKHVHQHLSKTSSRYVAGSITATHDFVVTSFSLIDGMETGKFISSATFSVGGRDWNIRLYPDGSRAEDKGYISQ >Dexi4B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:4B:10211253:10212659:-1 gene:Dexi4B01G0012430 transcript:Dexi4B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGDPRPHVVLLPCAGMGHLVPFGRLAVALSGAHGCDVSVAAVLPTVSSAESNHLDALFAAASPGVRRLDFPLAPFDESQFPPGSDPFILRFEAMRRSAPLLGPVLASAGASALVTDLMLASVVLPVARERGVPCYVLYTSSAAMLAFCAHFPAHVDANAAAGVVDVDLPGVYRVPKASVPKALYDPEHFFTKQFVANGRGLVHADGILVNTFDAFEPEAITALREGKVVPEFPPVFAVGPLLRVKFPPAKEPAGYMRWLDAQPARSVVYVSFGSRKAISPDQLRELATGLEASGHRFLWVVKATVVDRDDAAELGDLLGHGFLERVQGRAFVTKGWVEQEEILQHGSVGLFISHCGWNSVTEATAFGVPVLAWPRFGDQRVNAGVVARGGLGACEERWSWDGEEGLVSGEEVAGKIKAVMADETVAKKAAGVRDAAAEATANGGTSYRSLAEFVGRCRDAGGRHD >Dexi2B01G0002260.1:cds pep primary_assembly:Fonio_CM05836:2B:1814514:1815227:1 gene:Dexi2B01G0002260 transcript:Dexi2B01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSLQCSRGHLFFSILLVILTSSMEVAYSDAGRMPPLRLPEIGAIKQQEQEASILIRDEMVMKQGRRALIGSRPPRCERLCISCGHCEAVQVPIVPQQDDHHRLIRSKRSTTAMSAAMFTYRVDGIANYKPLSWKCRCGGTILDP >Dexi2B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:2B:1091209:1093843:-1 gene:Dexi2B01G0001640 transcript:Dexi2B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELASSFTPAAAPTVISASLYSSPAASRGWWDDVNKSPQWQEGAFFSLATAYALVSAVALIQLIRIQHRVPKLRWTTQKIFHLMNFLVSGFRAVVFAFHAHVFLLRPRVYKLVLLDLPSLLFFSTYTLLVLFWAEIYHQVRSLPTDKLRPAYISINTIIYVVQLCIWIYLGIHDNAALELASKIFNVAVSCIALLGFSVYGGRLIFCLKRYPIESVGRQKKLYEVRTVTAICVTCFLIRCIVVALSAFVPDVSLEVLDHPILYFFFYSLAEIVPSGLVLFILGTLPPKQMPSRQYHTVR >Dexi6B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:6B:18248302:18255220:1 gene:Dexi6B01G0011190 transcript:Dexi6B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRGLPGPLPRYAKPQPAANTRHRKEAEEARKRKAAYAKPAGGPGRPLRRSRRCLPAMGDAGRRTLLLVNLASIMERADEALLPAVYREVGEALRATPAALGALTLCRSAVQAACYPLAAYAAVRYDRARVVAVGAFLWAAATFLVAVSDSFAQVAVARGMNGIGLALVTPAIQSLVADYTDDSTRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHIVALISVIVGILVRLFAMDPHFQNVGRGTGRGKQLFRKPAWSEMKDLVVEAKAVIKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHTGTGILMITFAVASSLGGVLGGNIGDYLARRSPNSGRIILSQISSASAVPLAALLLLGLPYDPSTSFLRGVVIFIVGLSISWNAPATNNPIFAEIVPERSRTSIYALDRSFESVLAAFAPPVVGYLAEHAYGYNPVTSGVGTTSIARDRANAAALAKALYAAIAIPMLLCCFIYSLLYRTYPRDRERARMDTLISSELRRIELERSQGRGEYYQGEGVAVIDIEYQKELLDADYDEKTMMLHKIEQSTPPPPPRSSKRLRPPPVAAAEEMARGSDDAAAAARRRWTLVLVNLASVLEKADEVLLPAVYKEVGAALGASPTALGSLTLCRALVQTACYPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGTYLQMAISRGLNGIGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNMGSILGGSFGVLLAPIAFLGIPGWRLAFHAVAFVSAALGALMWLFAADPRAKPKGAGAAASAAEEASELLGEARRVLSVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGLIGDPVSRRFPNTGRIALAQISSASALPLGAVMLLALPNDPSTGVAHAVVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEHVFGYKPVASDTSVDTDRENAAALAKAVYTEIAVPMAICCVTYTFLYCTYPRDRERARKELLMASGDQLGEETTDSEASATRTREDEESSVSSLNQRLISRGE >Dexi9A01G0027690.1:cds pep primary_assembly:Fonio_CM05836:9A:32362678:32363822:1 gene:Dexi9A01G0027690 transcript:Dexi9A01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKDRYNASQLLSQKTARYLVEHKQNYSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEQA >Dexi1A01G0000690.1:cds pep primary_assembly:Fonio_CM05836:1A:427563:431237:1 gene:Dexi1A01G0000690 transcript:Dexi1A01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGDARGLEAEAAAAAEAARELREAAAALVATRAAEEDALRRRAVALDADVRRLQGSLPALDPSTVDKIEEELERARVAITDSDVASFLPSKRNGKFLKMFLGPVNVCVARKEDKLKIKDEYNNYRAFDLSSVTLMDRTAYKFLLFPSILLLLRWWIWDGCLPAWAVQMYQHLPSYQAWLLYLYTSFALRENVLIANGSDIRPWWIYHHYLAMVMALISLTWEIKGQPDCSNKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKLNLTFITTWQAKRMDVVAGETAGVEGQLLLLYPVLFILQVCGVNSKQFLLATHLGPFRVVVCGILLVVMAVGNFVNTVETLILKLRFKAKMKRAKNRQDRPHQN >Dexi9A01G0030420.1:cds pep primary_assembly:Fonio_CM05836:9A:35436318:35436694:1 gene:Dexi9A01G0030420 transcript:Dexi9A01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALRIAPTLCVAWFAFCEFPSELPPALGFPHLKKLSLRHVSVSEDALHNLLSGNHPHPCNTGT >Dexi3B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:3B:903133:903816:-1 gene:Dexi3B01G0001220 transcript:Dexi3B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGSVLPTHTAAPSAPAWPSSSSKMDTKEDPSRRRRCLCVCLLVTLAVLLALAITLLVLFLTVLKVRDPTTRLVSTRLAGVAPRLSFPAMSVQLNVTLLLTVGVHNPNPASFDYDAGGHSDLTYRGAHVGDAQIDPGRIPSKGDGEVKLALTLQADRFADDLMQLLADVEAGSIAMEASTRIPGRVTVLGIFKRHAVAYSDCSFVFGVAEMGVRSQQCHDRTKL >Dexi9B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:9B:4263616:4264675:-1 gene:Dexi9B01G0007080 transcript:Dexi9B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGGKQPAPPIWGSGERAAPAQIHSSRLRLQASSGLLLVTAMSGLIDIWTLERERMVRTRGVQAFRSVASLGAGSRQASVTRSGSGGGSSSEHEPCHGHGVGAHGRAVVTDSAEKQQAGADGRSAAPAFVREDAFLSILVDCFGQ >Dexi6A01G0000030.1:cds pep primary_assembly:Fonio_CM05836:6A:16768:20122:1 gene:Dexi6A01G0000030 transcript:Dexi6A01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAVPVLDSATQHLLEENNQLLTQIASNIETFKTEENMDLFLLTNNNIRAILERYDIAIAYFSFIPAKELLNSGNEFFPTAVMMETHSIMGRMPSLPVHVNEEHLTSLVHLHRGVRPLACDYIIIEINCGN >Dexi7A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:7A:19113902:19114563:-1 gene:Dexi7A01G0007860 transcript:Dexi7A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCRCLVVKLLTPIALASPSRWHSSIPRHTPSKSNGMASSFLAGNDGGPALMQTGQWIRVSRRAGTTRSGWHLVHQSLDVTNSSSRDATSLRRSASATASPSGFSVAYASAVSKWR >Dexi9B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:9B:1573109:1573390:-1 gene:Dexi9B01G0002840 transcript:Dexi9B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHGEEAMMVAAAAEAEEGWQTPRREDCRIPVVPPCPAAPARKRAVARPELVGGSGKRREPPKGGYFQPPDLESLFVLAPPRRQAASTCA >Dexi7A01G0016930.1:cds pep primary_assembly:Fonio_CM05836:7A:26745822:26746133:-1 gene:Dexi7A01G0016930 transcript:Dexi7A01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHLACAFFFDAEPIGEPGVPALDACALCSKPLGRDSDIFMYRGDTPFCSEDCRDEQMQFDAIRARQAARSAGRRQQYSSSATEARREHQETRKVSAVAS >Dexi3B01G0027970.1:cds pep primary_assembly:Fonio_CM05836:3B:23820332:23821059:1 gene:Dexi3B01G0027970 transcript:Dexi3B01G0027970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGTPITLIFFLAHSSALATDMSDISVGDAGSCSYGKGRDDHATTDSKAVVEELYRALERGDVDAVRLLLNPDVDWWFHGPRAHQHLVLMRLLTGAGGGDGLPFKIRSLDAFGATVLAEGTDATGALYWVHAWTVGPRGRVTEVREYCNTALVVTRLGGGGGGEARAVSEAATAKDVCSKSQQVWQSRLPDQARRNLPGLVLAI >Dexi1A01G0004400.1:cds pep primary_assembly:Fonio_CM05836:1A:3220973:3226993:-1 gene:Dexi1A01G0004400 transcript:Dexi1A01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRWVAVVLLLFLASPATSCKEEEKASLLRFLDGLSQNSDLTTSWQNDTNCCLWEGIICNVDGAVIDISLVSMGLMGHISPSLGNLTSRLRLNISGNSLSGGLPPELLLSSSITVLDVSFNKLIGEFHELQSTTDSMMKVINISSNLFTGYFPSTTLGIMKNLAALNMSNNSFTGEIPRTLCVDKPFFEVLDLSYNQFHGRIPLELGICSGLRLLKAGQNQLSGTLPAELFNINSLEYLSLPNNNLQGTLDPEHVVKLSKLIILDLGGNGLNGKIPDSIGQLKRLEELHLDYTNMSGELPSALSNCSNLTTLILKGNNFQGKLTNVNFATLANLKFLDFRSNKLTGTVPESLYS >Dexi6A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:6A:365715:375907:1 gene:Dexi6A01G0000610 transcript:Dexi6A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDICCATFVGVSRREILFLRDELTAMNALLEKLDDAEELDLEAKHWRNQVREMAYDIEDCIDDFTRLGKTTLASQVYREVGGQFHCKVFVSVSQKPDMVRLLTSVLLQLKQHPSHACGVQDLINSLRKYLLDKSWFHPPFLNLEKLDLLDWTFSKVPRWTGHLHSLRELALGAKQILQEDVSMVGTRLPFLVHLSLRIVPGIPAKERGIIVAGTTGFRALRFFCFDSSKMSNLAFEAGAMPQLRRLLLGLDPLEWDEATPIGLDHLSRLEEIRVLTTASSPAAGGSKSMKDKPVLVKDVFQSAANALSSRPSFIMLPRIRVMNPLLAKLTTLMGDEYKFKGLRKEVSFLKYELNAMKALLEKMDNTDDLDPQAKNWRRDIIDMTYDIEDYIDDFMHHFGEANDKVGILQKASHSLRVIKDRYRIANKIQEIKSRVLEASERRMRYKVDECISIHISTSIDPRLSALYKESTNLVGIDKQKEHLVKLIGDEGQQLKVVSIVGFGGLGKTTLANEVYVERIDLTGIIHLPQLRYLKVVSKAWSEDGPELRIVVPRWIGALNNLRSLRLGLKSTSSCWEEDVGITGRLPSLVELWLRLPIALTERIVICCSTGFTVLEQLMIDYDGMSYVTFEAGAMPSL >Dexi2B01G0009590.1:cds pep primary_assembly:Fonio_CM05836:2B:10321397:10324488:-1 gene:Dexi2B01G0009590 transcript:Dexi2B01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVWLLLCLTASLAGASAGDAGGGSRGRQVYVVYMGAVPPRSSPNLLLESHLRLVSTVLKRGQKADSVVVRQYKHGFSGFAARLTDDEAAALRRKPGVVSVFADPVYQLHTTRSWDFLQQAAVKVDAAARRSGAHNNKASDDPSSDTIIGLLDSGIWPESPSFNDAGFGPVPSHWKGTCMTGDNFNSSNCNKKLIGARYYDMSGVRGPSESGGGSPRDDVGHGTHTSSTAAGNAVSGASYYGLAAGTAKGGSSASRVAMYRVCSEEGCAGSAILAGFDDAVADGVDVLSVSLGASPYFRPDFADDPIAIGSFHAVARGVTVVCSAGNSGPAAATVVNAAPWILTVAATTIDRDFESDVVLAAKSTSESDAESASHCEPGTLESSKVQGKIVLCNHTEGDTSKLVKADELKSAGAVGAIFVNDVENAVATAYLDFPVTEVTSSATMAINKYIASTSQPVATITATATVTEYKPAPVVAYFSSRGPSAQAGNVLKPDVAAPGVNILASWIPTTSLPAGQAVASQFKLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATQLNNDHAPMTTDSGSPATPYDYGAGQVHPGGALDPGLVYDAGEEDYLQFLCNYGYNVSKIRLIANATVPGGFTCAANATASLISDLNYPSIAVSGVLGGKAGRTVTRAVTNVGAQAEATYTVAVSAPAGLDVKVTPSKLEFTGSVKTLAFKVTFSHRSDAAAKKQGALWGSITWSDGKHLVRSPFVVTS >Dexi6A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:6A:23727493:23727731:-1 gene:Dexi6A01G0015830 transcript:Dexi6A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVFEEVVIQSETIWLSRWPFTRVFADPAKFCREKSYTEKDISPSLAGAAMSNN >Dexi1B01G0029080.1:cds pep primary_assembly:Fonio_CM05836:1B:33474935:33476539:-1 gene:Dexi1B01G0029080 transcript:Dexi1B01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYGVEPTEDGAAAGGLLRMTGVSPDAGVEVRALPTRAGTRVVSAFWRHPAARLTLLYSHGNAADLGQMVGLFLELRAHLRVNIMRLWCLYRKSKFLKR >Dexi8B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:8B:27786359:27786594:-1 gene:Dexi8B01G0016630 transcript:Dexi8B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGEFFGLLCDGARSRLPRVKPSYPLASLVHLDAIVFLLKEDWHTFWLVEVDMKNKVVRSSARRTLHS >DexiUA01G0018150.1:cds pep primary_assembly:Fonio_CM05836:UA:38735442:38738072:-1 gene:DexiUA01G0018150 transcript:DexiUA01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTAAIPQALAKDVPWRLKGPRVLEIIEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFSKFDVDGDGYITSDELRMHTGMKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARIVQTPRGARMS >Dexi3B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:3B:13023784:13027199:1 gene:Dexi3B01G0017660 transcript:Dexi3B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWLAKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANTWRYCTDLFDYLTLSAIINDTVLCVHGGLSPDLRAVDQVSNSLHCASFLLMCRFSMQFNHINKIELVCRAHQLVQEGLKYMFDKGLVTVWSAPNYCYRCGNVASILSFSENMVTSFLFMHQLLNPFFEREVKFFTETEENIQMRGPRCAVPYFL >Dexi3A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:3A:2630853:2631492:-1 gene:Dexi3A01G0003970 transcript:Dexi3A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPHALAARRGGGTNPTAGFEKVELVDGNFRVQSPYNVPESQRFRYRNGVRTFWVYKDDMPFNTATHTNPRTEVKISFEGYGYVPSGTSGVSVMQIHNQEGAEHSTVLMLHVYDGVLRFYSGAAVEPDIYERWFRLNVVHDVGASTVAVYVDGQERFSTSVIPSDSYYFKFGVYMQHHDQSPCMESRWTNVTLYTKH >Dexi6A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:6A:25120135:25121007:-1 gene:Dexi6A01G0017280 transcript:Dexi6A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGDTIAQVHSGIDSSNKTLLKSEALYKYVLDTSVLPHEPECMRELRLVTDSHPWGFMQSSPDEAQLLRMLIKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAIDVDQEYYDIGKPFIEKAGVAHKVDFRHGPALEHLDALLADESNVGAFDFAFVDADKPNYVKYHEQLLRLVRVGGTIVYDNTLWAGTVALPPDTPLSDLDRRFSAAIKDLNARLSADERVEVCQLAIADGVTICRRLV >Dexi9B01G0037810.1:cds pep primary_assembly:Fonio_CM05836:9B:39106741:39110131:-1 gene:Dexi9B01G0037810 transcript:Dexi9B01G0037810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQHGVSSPGGGGGGKAREKFSVYQNPSLTRALASRSARPSVPVLIVLAVLPVASASSLIALSSREEQLAKFAGRAGVSVLVAAFVFKMVKAALGLVAVLTLLAFFRALILYSGKKALAKDDKVVLSERQLGLLGLKTVGPGGGMGEQTKKPPKTKASTPSEPIVPIRKSSFSYTPSRPLGQSRIASSHLSPGGERLTTALQMSPSTPLQKSVSSPSTPWSRKSSGSAKGIQTEAMLEHFLAALDENMDKITDSETKPTTPPATITNFGVATPVSVTTSTTPSGAARSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVEAFENLGVYPEIEQWRDSLRQWFSSVVMNPLVQKIITSHTQVKQTTAIVGASVTVSQVGSDLPSTTTPSNLSPLGGTKDWQPTVTVDEDGILNQLRSTLLHSRDAPVAQTFGSPQQPQQNPLLPAIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVQRVQELAEGTCLKNYDYMGHGNGYGKSEKKWTSELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAIIHPGALILAVSKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNLLSVIDSDAET >Dexi9B01G0011580.1:cds pep primary_assembly:Fonio_CM05836:9B:7517781:7519869:1 gene:Dexi9B01G0011580 transcript:Dexi9B01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTASSEEGMDKAKEPKKRGRRKIKTTEESSDDEGDDRGKDLMPYNDRDQTVIENLANVLESKIELALHEDVGEVDSLMPLVCCFGPAKYSFIPSGRPANRLIDHEIHDGMKDMFWSPDKFVRAPGGPSSNVALALAAIGGRVEFMGKLGDDEYGQSFLYHLNINGVQTRAVCMDPSAPTAVSLMKVTNRGSLKTNCVKPCAEDCFLQSDINPTVLKEAKMLYYNSSALLEPTTQSSLFKAIDVSKKFGGIMFFDLNLPLPLWSSSKETNALIKEAWEAADVIEVTKQELEFLCGIKPSEKFDTNDNDKSKFTHYSPEVIMKLWHDNLKVLFVTNGTSKIHYYTEKHNGWVRGTEDAPITPFTSEMSQSGDAIVAALMRMLAVNPHLITDKVYLHKAVKHAIKCGVIDQWVLARERGFLPKERGDPTSEEYGVRSITEREYRTLPDALQSENSSTSELAYVE >Dexi5B01G0031350.1:cds pep primary_assembly:Fonio_CM05836:5B:32132632:32134577:1 gene:Dexi5B01G0031350 transcript:Dexi5B01G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPTTNAFASQQFSWLTPPQQQQGAAEQGGDKDGQRQTYPDFSFQTAPTTEEAVRTTTTFQQPPIQPGAPLQQQQPWSYQQQPGMDAGSSQAAYGGQFQAAGSSDAATEMAPHVPASGGYSQQQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSSAAAQLLQLQGGDASGEHSFGGTPVATPENSSASFGDDEAAGGGSPRAGNAGGDEFDEDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPPAENYLAAPAAMAYQTGQQYGFGGQQSTFAQSGGGGGAASGSFGFSSGFDNPMGSYMSQHQQQQRQNDAMHHASRAKEEPREDMFFPQSMMYN >Dexi9B01G0045360.1:cds pep primary_assembly:Fonio_CM05836:9B:44863662:44867222:-1 gene:Dexi9B01G0045360 transcript:Dexi9B01G0045360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQATAGSLAPATAGLKRKGPSLGPLVACKRWRTTASGWASLPTDLIQLVACRVLAGDVVDYIAFRGACSGWRVCTPSPRDPTLRKYHFRPRGWVALCDGDGVRPDDAGEITFLHTRTSRCLRVSLPEIRRYRIAGFTDGLLILLHKRDTTVRVLHPFTRVVVDLPPLGPVYHEVVRNRNAMLEMRAAVCSALATSIAVVVWFPWTAPVVLCAVPFRSGWEVIHETMELGNTLPFQGRLYGFLRLSRKIVQVYPPSPTGPVVANVPDKFGNPFLCHCHYYLVESGSHMLLVFQHGNVKREGTEPWQRFAFAIFRVDISDRWRLFPVTSLCNQALFLLEDRCLSVSAKELPSVSSNSVYFSVPLPDHVIMHSLKDQSFERPTMFCQVHNMKERIRSSVRPFTICDHLLTYCSHLEWARGLMFHEYNGVPECFEDMWKKIRTQNSQLRIPRAIWGVTKGDRLGLVLEAQNLGGSELPG >Dexi5A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:5A:1092208:1094459:1 gene:Dexi5A01G0001540 transcript:Dexi5A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKFRALDVELAAKSARVAELEARVSLLEAENARLRKALGREGAMDRTGEEGPRSDRFGGVLRGSRHEDEAAENKLGGSAACDVIEVSDDEEGPAAADSSRGRTPEDGVAAVRTPRKRAARAVTGESEDEDDTGAGGGGGSKSKENSAGLEDDDVVVSPRGRKRAAARVVTSDSEDEDVNGGELGSGADGVDDQEGGGNMPSKKRGFCGISDSDDEDATEGVDVVTPNPKAAASPAQIESGEDEDDGVPICQVLKKMRKKRAHDSDDDELGETKGCSTPATRRSARLAKNQSTKVDRRTARRALNFVEPKESERSEDDMEDDEDMEEFINDDDSSENDTESAEESCDEPQVSGTSVLNEEPSRRPEDSDSEVDYADVMACIGRKNKDKDWKFEGDMLAAFDERPELCLKAVCALYRKQTEEEQMHKATFVQNKEGFNQIDALRGSRIAEFLLDGDLYGPLKKTIADLEQYDRSALGFCRKVASRYSKQLFAIYQNKEDPYFHP >Dexi9B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:9B:5578655:5579934:-1 gene:Dexi9B01G0009040 transcript:Dexi9B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCHAVRNPGLIIDIVQDIRLMNGEAIHAAPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPFLVAATFARKLHGSKSTN >Dexi5A01G0029860.1:cds pep primary_assembly:Fonio_CM05836:5A:32908855:32913712:1 gene:Dexi5A01G0029860 transcript:Dexi5A01G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAHLLSQSLYSSHRAGPAAPRNPRSQARTPARGSLAARTGASARGCRGVSLRATASFEQGRRQLEVSYDPQAKFNQLADQIDKDAGLTRLHLFSPCKINLFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSRDRLSTNVPGVPVDESNLIIKALNLYRQKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFAGCIASEKDLQEWSGEIGSDIPFFFSRGAAYCTGRGEVCFTWHLVSSCRFSFLIVQDIPNPLPENLPMVLIKPPEACSTAEVYKRFRLEQTSQADPLTLLNEITQNGISQDVCVNDLAEHYRHRLNWYTKTLMSFCSGSTIVGIGSPDPPSFVYDDGDYKDVFVSEACLLTREENEWYREPISSSSKAAYNKDELAASVTD >Dexi2A01G0001780.1:cds pep primary_assembly:Fonio_CM05836:2A:1319940:1321100:1 gene:Dexi2A01G0001780 transcript:Dexi2A01G0001780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLAAAAYCGGGKAAEVAVAVMGQEVVVVALWLSYCAGATRIRDTAEQQIHRDHHGDRTRRDHDKYERIDGELTVYVHRAAAAASRVTCPSSLQATGVKGESEESTMRQNVSSILTRLTYRA >Dexi1A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:1A:24231861:24237717:-1 gene:Dexi1A01G0016980 transcript:Dexi1A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKSTSAWLNQQRSSLIKSHGPRTRDVARVRGPQREVKFLVRRLPVPNRRRTSRLRKPPSGFSPTFYRHDAPHVSQHPTSHPRSTSSYQDDDIDHQQPPSRPCRQSPSIDPEHADGLKSNWETHRRDASVHPGAAANKRMMNEQDLDNMDLDTATREGRSSPSQASISPDARIQGDAAETPTNGAYCPRFSLSRSQSRAAADPSPDNWRHQLSSTGHDDVAADPWPVNRAAVRHLLPATTSPYTVRTSQATPSPDNIPAAANHTNSGRLDREYALTFARRPSSSCARTPTTPAIPRWKPSQSRARCNPNPHPHPHARRSDQAPSSTGGSPPCVCRRLLVREGHLAAQPSAPSSTRRRPVHLPAQLDLRNVANTPSLRPHRRRPIDIPTLLLSNTATDHNDLPTSLQNLHNKYKGSSTDGTFLPLDAGRPHHHQIAGDLTRSKTQNPELTPPILPLLVPVVRALIVRISASERWSRGSRVRALIARISASERWSCADHTL >Dexi4B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:4B:6560256:6562982:-1 gene:Dexi4B01G0009160 transcript:Dexi4B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAALGLHKFTPQNSPSLVCVTPFGPYAGGTELGMPAGVPPMFAAPAAPAEPNQGHPQRFNMNVAAHANGTVVNSLVTSLQTPPSAATPSLQTPLSAATPESGKRKRGRPKLVSDTTVPSAPPAPTIPPIPSLPLVPSAPLEGGTPMPSAASAQEVGKRKRGRPKRVQDVPVLSTPIAPQADDTHVLQTLPAPTVHESDKRKRRCPKRLQDSPDTSTTSIHSKDNEPTFQTPATTSPESGKRKRGRPRRVPDESSIDETVDATKRGQPRKMDTTLLQLPSLSSDDPRESADNVLMMFDALRRRLMQLDEVKQAAKQQYDLKAGRIMINAEIRANKNERIGEVPGVEVGDMFYFRTEMCLVGLNSQSMAGIDYMSAKFGNEVVPVAISIVLTGVYDNTEDDPNVIVYTGQDMSGKDDKKLERGKLALESSLHRG >Dexi2B01G0030280.1:cds pep primary_assembly:Fonio_CM05836:2B:38550603:38551405:-1 gene:Dexi2B01G0030280 transcript:Dexi2B01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSERKVRETKPPVKRLIDKEIAHPSARTRNWNISEVYMNPMGPRPMEKNDTKARLDTTHRTTAHGDGGETHSKAEERYDHPAGAAQQQGTPVDTIEQERGDENGEQLDHADGACRPQEAVVVGVYKTTESIPEWLNPMEEIEEDRREKYAPYGGGRGKTSSFQTRFFSPWSLPGTGTTSPSRSTGIPAAALMSARRSVTSSDVSEVLSKTALASARRPFMTSHRGDSGMPNTMSATRMDGAALMPSMMR >Dexi7B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:7B:23307541:23319171:1 gene:Dexi7B01G0017320 transcript:Dexi7B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSATASATKKKRSRGGALTLNEVKTLGLELLSSRAHLNNAPVLLALLSPSAPLDLALEALISLQSFFVPLIPSIPSASAAATVGDAGSDPELVFGAWLRQRFDELVAALVELSVSAHSDDAIRDVALDALMDFVKLGKDGKFHSAIYHKFLHAVVSLSSKGEKDSSKDSSSTNIKKKLKLKFTKAWLSFLKLPLPLDVYKEVLASIHQNVIPSMSNPAILCDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYEKLYALLTPAVFMAKHRSVFLQLLDTCLKSSYLPAYLAAAFAKRLSRLALSVPPAGALIIIALIHNLLRRHPSVNFLVHWEVDENESNTRGEASQPKKIGADPFNNDEADPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLEEDLTVRAKTTEMKITDFSSGSYATVFKDENISVRPDVVNIGVLCSFNSTIGRVAKVAIAAAINDINNDSSVLSGTKLAVQMQDTNYSGFIGIVQDLVDYYGWKMVTAVYIDDDYGRNGIASLSDELAKRRLKIVYKAAIRPGAKKSEMAAVLVKAAMMESRVFVLHAHDDSGLDVFSLAYNLTMTSGGYVWIATDWLSAVLDSEPRLDNALLSTMQGVLTLRQHTGNTNRKKILTSQWSTLVKEDSGGTGSKFVPFGNGLENPSYTQLINEIVTDEFDAVVGDIAIVTNRTKLVDFTQPYVGSGLVILTSVKRQSSNGWAFLQPFTIGMWSVTGVFFLIVGAVVWLLEHRINDDFRGPPAKQVITVFWFSFSTLFFAHREDTKSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSSIRGIDSLIASEEPIGFQTGSFAESYLVHELGVSPSRLHSLGSPDEYKTALDLGPSNGGVAAIIDERPYVELFLVAHDKFAIVGPEFTKSGWGFAFPRDSPLAVDMSTAILTLSENGDLQRIHDKWLSTGPSSDSTTDLESDRLHVHSFSGLFLISGVACAAALAIHACVLVRQYSRHVTAAEQAGGSAAAISRSGRHRSSIRSFLSFADRRDTQIHRSSKDPAAVGSSGSGSGVSFTSSDASTASRGRSELGISVCCGSVEMREAAAHGGRGSFAALNLSLMFGGLMSDPRHVIKKDNQYTSLVQILLFMNFYSPVAVRYLHQCEDKSYCGDSARPRQCRDDVWCD >Dexi1A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:1A:26428614:26430062:1 gene:Dexi1A01G0019250 transcript:Dexi1A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGLGGAGAWALDAERAEEEERDAAANPPPAQPPAPAAGFPSLREAAAGAGGKSKKKNKGTTLSLSEFAGYGPGRRQAAAPAPEPKGLTAAEMMMLPTRPRERSEDELDRSRGGLGGGFRSYGSGGDRGGGGGGFDDDRRGPPGRGSDLDMPSRADEDRDWSMSKKSFAPSPAADSGPRSRYGGLGGGAPASVGRADDDEDWSRGKKPMAPAPSRYPSLGSGGGGFRDSPVSTDSSDRWSRAAPTNGERERPRLVLDPPKREASATPTPPAEAGRSRPSPFGAARPREDVLTDKGLDWKKMETEIDQKKASRPTSSQSSRPESAQSSRPGSPGSQVSAAGSEVPRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRLC >Dexi5B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:5B:8839466:8840260:1 gene:Dexi5B01G0012490 transcript:Dexi5B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGSAQDLKNVNWRNGDLKPYAVVWVDDGPKSSTHVDTHDGENPDWDEKLLVPVPPSAARLEDAVLHIDVVHANAAQGVKPLVGYARLPLRDVLDGAKVGSKVNRNLRLKRPSGRPQGRLDVRVAIREASSRGARYPDPAPYGHRSDKGGYAAAPPPAPAPAYAAPPVGYPAPAYAAPSAPAYPVTAAAAPPVVVAPVVDGRRHGGSGMGAGTGLAVGAAAGMLGGLALAGGAHYIGGAFDDDCCDDDYYCDDDC >Dexi5B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:5B:19329044:19329988:1 gene:Dexi5B01G0017540 transcript:Dexi5B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHGSRRTKADQWFFGGKWRGTSKETRHPVVPEAKPPNPTVVQKDEGICLEKSRVHVSGLERRQSIDIMPGRRSMPEMEINMKEVVAVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVLAS >Dexi6B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:6B:14476078:14478058:-1 gene:Dexi6B01G0009670 transcript:Dexi6B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLGAYDGDSLEKTIAHSSKQTGESESVSSWCGWHTDHGSLTGLTCGLFTKDYVEIPCPDNAAGLYIRTRDNRVVKVVFDENHIAYQIGETTEILSRGYLCATPHCVQAPSSENSSNVDRSTFALFMQPDWDEKLEFPSEISYHQELIPPNGTLTFGEYSTRLVNKYYQSTP >Dexi5A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:5A:5559665:5563728:1 gene:Dexi5A01G0007470 transcript:Dexi5A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVLLLLLLLPLSSSLLLCLATSAAADTNGSCAPATCGNLNISYPFSLGGKQPLHCGFPAFTLICDDRSGHAYLNRTFRENLYRVDNISYANRSLVVAVETTFAGDATCPIPDFNVSAGLSLFPVNISATNKNLVFVYNCQVPQSMRLQRPCANQTMGAYIAGSGEPPWVSRPNNCSTVTVPVRGSELGVEPIPESYKRLITNGFLLEWWTPEDCDHACTERGGECRFVEVAFQCICRDGKPCRNSRDTRGLIKILAGIAAALLLLIILGALTLLITVHKRRKRKRSTSLAGLIRDRDGTPLASLRKEFSMTASPRTHIFTYEELGEATDGFSDERELGVGGFGTVYKGTSFFSFSKCSMRLYKNSYKSVEQFQNEVEILSRLRHPNLVTLYGCTSPRSSRDLLLVYEFVPNGTLADHLHGARAGGSPPPPLLPWRARLGIAVETASALEYLHAVEPRQVVHRDVKTNNILLDDAFHVKVADFGLSRLFPAHATHVSTAPQGTPGYVDPMYHQCYQLTDKSDVYSFGVVLVELISSKQAVDMSRSGADVNLANLAVHMIQCYEIDRLVDPRLGYRTDAETKRTVDIVAEV >Dexi6B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:6B:18986425:18988618:-1 gene:Dexi6B01G0011740 transcript:Dexi6B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTKSQSASEARPKPAPSAGPGAEAAAGGADRRRALPAAQGKSPAKVRPSPFACLRHASKAEPKDNATMETCGTGSHVTSNLEEKTEAVCDNDVAGMDWEEGHVEHNAYSHELGDTVTVEFTDDVPSSTNKKTVRRASSEEKELAELVHKVHLLCLIARGRIVDRACDDPLMQASALSLVPSHLLLSVADVPNLKAVNLRNLVSWFDSVTKWSAMSVALFRAFNLTA >Dexi5A01G0028380.1:cds pep primary_assembly:Fonio_CM05836:5A:31664616:31679020:-1 gene:Dexi5A01G0028380 transcript:Dexi5A01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPQQQDVVEDPQPMEVKYNSCFLLTSLITCFFGCLAESSSAVENQLVPDPSTSRFTWSIENFFKRNVRKYYSDDFMVGGYKWRVLVFPRGNNTDQLSMYLDVADANFLPIGWSRYAQFSLAVINQLDSKMSLRKAKPIVLFDFLSFFFSTEATHHFNARESDWGFTSFMPLMDLFDSSKGYVVNDKCIIEAEVVVRKVVDFWNYDSKKMTGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPSGSIPLALQCLFYKLQHSDNSVATKELTKSFGWDSYDSFMQHDVQELNRVLSEKLENKMKGTTVEGAIQKLFEGHHMNYIECINVEYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEEHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHRYKFDDERVTKEDMKRALEEQYGGEEELPNTNPGLNTTPIRFTKHSNAYMLVYIRESDKEKIVCDLDEKDISEHLKVRLRKEQEEKEYKKKEKAEAHMFTALKVVRDSDLKEQIGRHVHFDLVDFDKIYSFRASKSMSFNDVKGELSKEFGIPVESQRFWVWAKRQNSTYRPSRPLTMQEEKTAIGLLKDANVTKLQTSEVRLFLEVHFGQENQPIAPPVKTKEDILLFFKLYDPEKEDLRYVGKFFVKASGKPSDIAERLNEIAGFPSDEDIELYEEVKFEPCVMCEPIDTDVSFRLSQIEDGDIICYQKRCLPDKMDQYRYPTVPSFFEYIRNKQVVRFRLLEKPKDDDFSLELSKRSTYDDVVEKVAHRLGLDEPSKIRLTQHNPYSHSPKPYHIKYRSLDYLLDMLQHHTQICDILYYETLDIPLPELEGLKTLRVAFQNATNYEVSFHIVRAPKSNSLFDLIDNLKSKAELSCNGAEFRFFDVYLHKICKVYQPGDKIDSVSDQHGPLRIEEVPEEEKNLGPRDRLVHVYHFFKDNHGSDNLHRDSAAVTFIDLTTYSLQQIQYFGEPFFFLIRDGETLSDMKVRIQKRLQVPDEQFLNWKFAYVTYGRTEYFQDSDIVLSRFQRQKPIYGGWEHHLGLEHTATTPNRSFLASQNRHSFEKPVKIYN >Dexi5B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:5B:9408215:9408902:1 gene:Dexi5B01G0013230 transcript:Dexi5B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPPCSSGRPFLPELQHDPAVACRDGAGVLEHAAVVVARAQHGIGQRCPRRGPQADEPSSGRRRDEAWLRGGGGGPPPARGGAGVVLVEEAEGEARQLRQGSGEHGAVLGDEAGPAPARGGGADHVGAAREPGEDGREGVVRDGEGLAVVADKQATAVIIQPASAVVGRGAPAASGQISWGSRGCRG >Dexi7B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:7B:18139228:18142972:1 gene:Dexi7B01G0010970 transcript:Dexi7B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPSPLLLALLPLLLLPALAAAASLPALPLSTSSRWVVGADGRRVKLACANWASHLEPVAAEGLARRGVGDIAARVAAMGFNCVRLTWPTYLATNATLSSLPFRWSLERLGMLESIAGVRVNNPALLDLPLINVFREVVSALSSKGIMVILDNQMTTPGWCCSRTDGNGFFGDKYFDPDEWLKGLSAMATMFNDTKNVVGMSLRNELRGPNQNVSLWYRYMQLGAEAVHAANPNVLVILSGLDFDITLSFLYYKKAELSFTGKLVYEQHWYGFSDGGNWEIQNQNDACGLVVDSIWVKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGLNLSP >Dexi3B01G0005830.1:cds pep primary_assembly:Fonio_CM05836:3B:3989787:3990566:-1 gene:Dexi3B01G0005830 transcript:Dexi3B01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYAMAAAVSLLAVGVIVLVIHAVFRSEDVHLSVNNGYIGADRLWDRTPVLSPDEQLGNIGATNNIKASAVVVHSKAPADVSETQLKHESTIDDDVGSSSIEPLPKECFLGCNSGDGETTQYTLQKASTTNLCVILIASNPSGRTKIDCGDTTVSLIDMSSPYKPIGEPLKLENFTVPPQTTITMQKRLKITDTTYIWNNYAGELRFSVRLQVSSTVTSYPLGKTHIKQQKYTCQPVTVGLVDVEDIFATDRVDCRPS >Dexi6A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:6A:25627202:25627716:-1 gene:Dexi6A01G0017750 transcript:Dexi6A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLRAGTRSRRLWWWWWARYDAGGGGDGEDAAEEEAGEVKVGEDDMTVGAEEDVLGLEVAVHDAGGVELGDGGDDLGDVEAYRGGGEDAVGEGVAELVEVAAGAVRDGPGEEVVGLGEAEEGREVRVGEAGEHAHLPPRAAVGVGLGGGGALVEHLEGVAVGP >Dexi7A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:7A:26641305:26645046:1 gene:Dexi7A01G0016800 transcript:Dexi7A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGALRSKYLHSFKHAASQRHDSDHSATDDSPKKPSRPPTPPATTDAHAGHASAPPASGMRRGGGGGPGATPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGVDYACKSISKRKLITKEDVDDVRREIQIMHHLSGHGNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKSYGAEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDSSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >Dexi9A01G0039220.1:cds pep primary_assembly:Fonio_CM05836:9A:43272995:43280374:1 gene:Dexi9A01G0039220 transcript:Dexi9A01G0039220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFTRCCPSPLTPASTSAPSTTGAPSARIKIRRSRRAAHRIAAKEPSRIVAMAAAAPASSVKEVLPSPLTSASEPPPIFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKVKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYLDSNFEGPSLLPDDPAKKQFAEELLDYTDAFNKAIYSSIVSKEDVSEETVAALDKIEEALGKFNDGPFFLGQFSLVDIAYVPFIERFQIFLSGIKNYDITKGRPNLQKFIEEVNKIDAYTQTKQDPQFLLAHTKKRLGGLQEEIKLVPMDMADKPAWYKKVYPNNQVPSLEHNQKIIGESLDLIKYIDSNFNGPKLITDDPQKQRFAEELLGYSDAFNRAMLDALRSKGPVIAEAGKNPKY >Dexi3A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:3A:11611165:11617288:-1 gene:Dexi3A01G0015610 transcript:Dexi3A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTENYDPCYPDQPVVDRYLPIWAKLPAFAAKPAFIWADDDDDKSPSRTALTYTQLDSAVGRVARYLLGVLRRGDAVLVLASPGLRLVTLLFACQRAGLTAVPVIPPDPARFGPAHEHLLRAVSQTKPAAAVSDAVYIDAVTKTAAAVAGEEGESGRLAAMLRSLRWLAVDELEREPRGGGGPGASSPAAKAYVGCGPDDVYLIQYTSGATGVPKPVMVTAGSAAHNVRAARKAYDLCPGSVVVSWLPQYHDCGLMFLLLTVVSGATCVLAAPGAFVRRPRLWLELVAEFRATCTPVPSFALPLVLRRGRSHGRRSLELGSLRNLILINEPIYKSCVDEFVQAFARDGLRGASISPSYGLAENCTFVSTAWRATCSDHDLPSYKKLLPSARLSSSSVGSNVGPPEIEIAVVDEETGEPVEDGVEGEIWVSSPSNASGYLGHPSASHEAFCKRVPGRAGACFVRTGDRGVVKQGRGPERYLYVVGRSADVITVLDDGGRCRRRVHAHYVETAAFGGAPPGRLRGGCVAAFTAPTSTPRSLTSQTDVAVVVVVELQKGSGGGDGDHRDLCDRMKAAVWREEGVMVGLVVLVDGGVVPKTTSGKLRHGAARQMFLAGKLRAVFEARYDDGDDSVAGLRQARAPPCPCDSVRTGSAAPALCAVALTDSPPGRPTGSSSPRGRHALMEFRGQEEEPAEEMGASSAPPPLTPRASAHAAPPEAAVRYHECLRNHAAALGGHVVDGCGEFMPGAGAGDDALKCAACGCHRSFHRKDDGQRRHQLLLPAPAPPPPPPVTPTASRVPLLLPLPPPHPYAAAAGSSHPHYAPLFPYHGTPSGSGGTTTESSSEERGPPHAAAAAQAQGHLRRKRFRTKFTPEQKEQMLAFAERLGWRMQKQDEALVQQFCEQVGVRRQVFKVWMHNNKHSGSGSRRQPQQLPLQEQQSQQPPQPQQ >Dexi2A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:2A:13818696:13823092:1 gene:Dexi2A01G0011880 transcript:Dexi2A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAITVFFLLVVAFYAFFVPFLGTQVLEYVAIGVYTPVALAVFILYIRCTSINPADPGIMSKFEDGFINVPADSAGVEGTNFPQKANNATGTNSPTSTCRSSLDGHSNRRGSSLGETNINLGSQLPKKRSSCLLFGGLLCAIFVKEDCRKPDVSEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMAISLIWLAIEFGSGIAVIVLCFVDKNSSRNIQDKLGNGLSRAPFAVIVSIFTLLSLVACIPLGELFFFHIILIRKGITTYDYVVAMRAMSEAAPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDHDEVIPHLDPGMVPSTVDPDAAGYAERANKAKKAVKISARSLAKLDKNEVKKAAAKARASSSVLRPIDTRRGHEADVISSGNASIRSSRSVDYSGTKESNSEMRLSPLQNSYPQSLASQDDYETGTPTASSLSSPVHIHKLASHSQFNAGPHVPPERPAPGMMRPPVPTTQITNPGIPPRPLVPTTQINNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRMGPGAEQAARNPRFLANPTGEPSSHGRSLAPGNTSSSAMPSGQPSERLTYSGQSIFFGGPILGTSGINTQRNEVASRARPEGSRDPNAQQRDIRGEKARTGSLPVFAPGTFQRNPPFEK >Dexi2A01G0031160.1:cds pep primary_assembly:Fonio_CM05836:2A:41914008:41916498:1 gene:Dexi2A01G0031160 transcript:Dexi2A01G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRSCLWCLPMGTAALPIEKQFVPPAALPSWPSSAVSDGGFAKGTIDLGGLEVRQITTFTKVWYTTQGGQDGVGATFFKPSPVPAGVSVLGHYAQPNNRPLFGHVLVARDTSGTGAILAAPVDYTLVWSSPDGAGHFWLPNAPEGYKAIGAVVTATSDKPSPDEVRCVRTDFTDGCETEESVLSSDKDGFSAATLRPSVRGIDGHGVHAGTFVAQSSATPGPGASTLACLKNNAASHTSSMPDLAQVNSLLAAYAPHVYLHPDEPYLPSSVTWFFENGALLYQKGNQTPTPHSAGTWVEASQLEYLVDGDGGNSRPVAYASLHGHAMYPKAGLVLQGDGTLGVGIRNDTAKGSRLDAGRRCEVVSAEYLGVAEPAWLGFEREWGPREEYDIGREINRVARILPRSVRERLAKLVEKVLVGEGPAGPKMHGNWRNDEREA >Dexi9B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:9B:3112075:3112612:-1 gene:Dexi9B01G0005290 transcript:Dexi9B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFRHRSHSKRVYYLAYDAKDASMHMIPCIPRDLEATFTVTHVPSRPTNGHGHELPQHNEGRLCVCSPSTRANSARDSTSGRWAMKEHNSSNLPQAFSADVMFSVEDKVFWDPSMCTIVLGIPW >Dexi5B01G0021050.1:cds pep primary_assembly:Fonio_CM05836:5B:23279775:23282563:-1 gene:Dexi5B01G0021050 transcript:Dexi5B01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSMLREASPWALAGAAAAVALLWLVAWTAEWAWLTPRRLDRALRAQGLKGTRYRLLTGDLRENARINREARAKPLPLGCHDIAPRVQPMLHRATKENGKMSFTWFGPIPRVMIPDPELVKEVLSNKFGHFEWQERAREEVLSHFGRAKPDFDSLSRLKTVTMILYEVLRLYPPATFLNRRTYKDMELGGIKYPAGVNLLLPLLFIHHDPDIWGKDASEFNPARFADGISNATKHQGAFFPFGGGPRICIGQNFALLEAKMALCAILQRFSFELSPSYTHAPYTVITLHPQHGAPIRLKKL >Dexi5A01G0037430.1:cds pep primary_assembly:Fonio_CM05836:5A:38788730:38796594:1 gene:Dexi5A01G0037430 transcript:Dexi5A01G0037430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDSVAVMEVAPVPDPPFVDAPPLQPPPPPEPLAALSLRRSARCLNRPVRPNYVDQEPTKQPGGRGRGKRKRDEEKLQEPAAAVLGGRSSPGRMASKAEAGERNPKEVTAAVPISCAGVAPAAAEDDGTGTGKSAKLRVKETLRAFNSHYLHFVQEEQKRAQAALQEIEAKGGLKRQTKGGKKMQETNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYEGKKGYENFTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLKRGNLALKNSRDNGNPIRVIRGHVSKTSYTGKIYTYDGLYKVVDDWVQNGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRADAPTTISELPGLVCDDISGGQENLPIPATNLVDDPPVPPSGFTYLKSLKITKGIKMPSNIDGCDCEGDCANNKNCSCAQRNGSDLPYVSYKNIGRLVEPKAVVFECGANCSCNHNCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGELRRIEELDGSQDNYVFDIDCLQTMKGLDGREKRAGSEMHLPNLHPENDSDSPPAPEYCIDANSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSVVGSDGEIVKLACHCGAPDCRKRLY >Dexi7A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:7A:20960947:20961507:1 gene:Dexi7A01G0010060 transcript:Dexi7A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTSTASSALASAASSSSSLSISICNYHSLSAHIQSLKPQGGVQPPWTPTPASSAARAPCPGKPLAGFEAVLLALYAHAAAQRGAGEVVAVSIPNLANPRPYHDAKVAPKAKPAEIEVAVLSPPLEQHGTMRSMRRARLVGAIRGAMKIEDAQGGVEEERGLLRQSVEQAERVAEVAWVRDAVG >Dexi2A01G0000650.1:cds pep primary_assembly:Fonio_CM05836:2A:339181:340673:-1 gene:Dexi2A01G0000650 transcript:Dexi2A01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSKKVCVVGAGVAGLASAHELRREGHDVTVMEQSSGVGGQWLYDPATDAGDPLGVAGAQSSIYASLRLNTPREAVGFSDFPFFPANDGTGDARRYPCHGEFLRYIRDFCDAFGLMDVVRLNTKVLNVAPRGGGDDGVMRWTVRCAAEQGDDEATVEEEVFDAVVVAVGQYTQPRLPAINGMDKWRRRQMHSHSYRVPDSFSGEVVVVVGCHESGKDIALELREVAREVHVSVKSMDDVTPGISKALSRHHNLHLHLQANSSFRAIRFGWLALRAAQIDRLCEDGRVVFADGSCIVADAVVYCTGYNYSFPFLDTAGHVTVDDNRVGPLFEHTFPPALAPSLSFVGIPKLVVVPRFFEVQARWVAQVLSGRRSLPAPEEMMRAAEEYHRAREMAGVPKHQTHRIAFDFELCDEFGENCCGFPRMEEWKKELILSSIRSSRDNAESCRDDYHDSELVREALRSHGWLTGRPPQHDRG >Dexi9B01G0022550.1:cds pep primary_assembly:Fonio_CM05836:9B:17239570:17243835:1 gene:Dexi9B01G0022550 transcript:Dexi9B01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRFTASLLARIALPTYRRALCSGAPLGQELYAAVRDVVCSGSGSLDEVGSRLDRLGVAVSPDLVERVIDSCDERSGGSGSGRRLLRFLAWCRSKDPGALGEEALDRAIGVLARVGDLAAMRIAISDAEKEGRRMATETFTTVVEALVDAGKEDEAVRLFRGLERQRLLPERGDRSGGDGVWSSSLAMVQALCKRGHAREAQGVVWHHKSELSVEPMVSIVERTLLHGWCVHGNAKEARRVLYGMKSAGVPLGLPSFNDFLHCVCHRNLKFNPSALVPEAMDVLTEMRSYGVAPAASSFNILLSCLGRARRVKEAYRILFLMREGKAGCSPDWVSYYLVVRVLYLTGRIIRGKKLVDAMLESGVLPTAKFFHGLIGVLCGTEQVDHALDIFRLMKSCELVDTRTYDLLIEKFCRNGRFELGRELWDDATKSGLVLGCSEDLLDPLKTELYFKLHFMDTSQENEQDFGVLLKQGAEGRVFVSSFVGRQCVIKERFSKKYRHPLLDAKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGVNEERLNDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKSNDNQLVLIDFGLSFTSTIPEDKAMEKILAAYRKASKQWCSTQNKLAQVRQRGRKRTMVG >Dexi3A01G0025360.1:cds pep primary_assembly:Fonio_CM05836:3A:21052238:21054413:-1 gene:Dexi3A01G0025360 transcript:Dexi3A01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAHLITNCSFSTSPAVKTFSGSPSYHLNVGQLQNSKSSNLCFKSCSKRQKKSYVTCASAAVQGKTQTPLTGSQQASDQPSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQDRIRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEYSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGLRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLDVQTKSVPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSLLNFAVQYKDRVGTAQIMPSVSWKKMGAKPRTVSV >Dexi2B01G0007580.1:cds pep primary_assembly:Fonio_CM05836:2B:7827512:7830013:-1 gene:Dexi2B01G0007580 transcript:Dexi2B01G0007580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTGATATADDLRRVLLFPLPYQGHINPMFQLAGVLHSRGFAITVFHTHFNAPDASRHPDYHFVPVPRDGGMPTLAGDSSLDTAISQLVKVQPQGSVLYVSFGSLASMSSSDFAETAWGIAGSGRHFLWVLRPGLVPDGGAGEPPPLPDGFDAAAGGRCVVVRWAPQEEVLAHAAVGAFWTHCGWNSTVEAACVGVPMLCSSCFGNQMGNARYVVDVWRNSLMLAGGKIERGKVAAAVVAT >Dexi2B01G0027440.1:cds pep primary_assembly:Fonio_CM05836:2B:36367782:36368064:-1 gene:Dexi2B01G0027440 transcript:Dexi2B01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEKRTATGEQTAVARTTLPCRVRRAGVQSLRWSSVGTLILLYFTLSMSRADSSGSGSGGASDAR >Dexi7A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:7A:26588200:26591035:1 gene:Dexi7A01G0016730 transcript:Dexi7A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESPPALYARTDRAKSRTEGKRTSKILHPTVPNPCGHQPNPPCTHARTASPRAHEQIAQQPSHRACGALRERVYVFVLRSETPKQARTNRLPSMCRDAADCIYNVDHGNIALFTGSGSGLTCTSGSTYLDDTHALDKDARPSARKASDGWMAGRRGVMRVAGDRNAPRGSFFLFPHGHHAAPLFDWQLQRRAAPLSPASSQRVTAPRARVRRAASLVYSLQGVREILTKEAPILSVVPVSPTHAYASVSDALYRLPLHGTRDDIGDLNKVSLSPAGFIVDAIIAPGGRTRTHFPLFRWLIKGNLPAPTASASCSLLVLGHCSRFNRAQVTRPPVHFSRSLCPCPWPLNRCTLEAVLPPPLQLQETPPSGSFPLFACNGGVLRWEDSDQRR >Dexi7B01G0017710.1:cds pep primary_assembly:Fonio_CM05836:7B:23637097:23640025:1 gene:Dexi7B01G0017710 transcript:Dexi7B01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRAAGEDAGESQGIALQPLSDYITSLPDRKQSQEGSTEQSKVLEDCDGISSVDTQEIAPADQEVEYSKQSVDCDDARMANVDTAEIAVDAIDQIPLNSNCVNLACTEENTQDGVEHSIRPVSHNDFILVDTEENSPLEETRCCKVKLDRKRPQEIVASDGFIAVKRKENRPDECKMNKIPRYPTGREKGKGKLQENKVVSEQKVSVQEQTGRPLADRTNFSGVATVAPSAQRVSKKWKCPSKGKPFVGRPMKQLRLEQWHRTGLCHTGHAAAHRRSVEPALLTRLPPHGATPSKKSPRAVSLAEQQLQFDRMQTAKVKAKDMASSAKEKVKEGSAKMQGKTGEATAATHGEKEMAKESAHAKKDQANAEKHQEKAEHRADATTGRHGTGVPLTGPHGHHGATGTTTYPAASDKYL >Dexi1B01G0025190.1:cds pep primary_assembly:Fonio_CM05836:1B:30323482:30326615:-1 gene:Dexi1B01G0025190 transcript:Dexi1B01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPAGEHQPQLHGVVIITLPPPDQPSKGKTITAFTYSDDPAAPPPPQGLVMGYPAAAEPRRRSRRALSARRVAAMVLVLGALAVAAYYCFYSDMAVQFLGVEQEEAQRNETRSFLLPLYPKAGQGRALREFGDVKLAAKRVDDGGVKATNKMEVRRVAAAGTNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHALYKPTKEKIVPPRDLLCQELQGEQNYCETCKQCDYEIEYADQSSSMGVLARDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASHGIISNIFGHCITRERGGGGYMFLGDDYAPRWGMTWTSIRSGPDNLYHTEANNVKYGDQQLGVREKAGSSVQVIFDSGSSYTYLPNEIYENLIAAIKYASPGFVQDSSDRTLPLCWKADFPVRYLEDVKQFFKPLNLHFGKKWLLMSKTFTISPEDYLIVSDKGNVCLGLLNGTEINHGSTIIVGDVSLRGKLVVYDNQRRQIGWANSDCTKPQTQKGFPFFL >Dexi3A01G0003900.1:cds pep primary_assembly:Fonio_CM05836:3A:2589665:2593918:-1 gene:Dexi3A01G0003900 transcript:Dexi3A01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVQSPGATASSVWSRLQDNISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEDLERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGNIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMVTSEHLVTLLAIVPKYSQKDWLSSYESLDTFVVPRSSKHIYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQENRKQEMEKLLQDQEAMRTSLLQWCYASYSESAVLAPPTKSEKKVRSILEELCGNVHSIYWKAEDDVGVAGLGGESEVHPYVSFTINIV >Dexi2A01G0030920.1:cds pep primary_assembly:Fonio_CM05836:2A:41733946:41734482:1 gene:Dexi2A01G0030920 transcript:Dexi2A01G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPCRTAPSGFARKNFSRARFRLHSTQAELNTRDKATQKNTRPRKQSEASVRPVARACEGETMVFSAAFLAAAARTPAELCQRPPRPGRRRVRADEVLRALFLPPARELGRLGDFLFAFFCLPLPEYYMPATGRGGGWVARSPDPVLLYRYRRSLSVSSSSSSSFSSSSVSDEED >Dexi8A01G0013310.1:cds pep primary_assembly:Fonio_CM05836:8A:23305037:23310696:1 gene:Dexi8A01G0013310 transcript:Dexi8A01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVEDEFHSLLEDPGVANTVVIPGASGDTLVKSMKHPLSFGYGTATVADPDLRGGHTLSDTSEPPKDEALKSIVYSYKHAFSGFAAKLTNSQAEQLKKYPGVICVKPNTFHKLHTTRSWDFLGLNYYQPSGLLNKANYGKDIIVGVVDSDIWPESISFDDSGYGPVPKRWKGKCQPDEEFDATSCNRKIIGARWYLKHADAKTLVKGERMSPRDTDGHGTHTAATVAGVPVRNASHGGGGLGAGVARGGAPRSLLAVYKACRNHRVDGSVCSEDGVLAAMDDAVNDGVDVLSLSLGGMGEFAGTLHVVASGVTVVFAGGNSGPVPETVENASPWAITVAASTIDRCDEENLESVNVTGKIVVCFAPFYAADDPPDAAMGDAFRALSKAQAKGMIFAEYIGANLMDSAQTCEGTMVCVLIDYHLTYRIVAHIKSARLAGRSPVVKISPAKTVFGDWVLSPRVASFSARGPSMAYPGILKPDIAAPGVSILAATGDSYELMSGTSMACPHVSAVVALIKSVHPKWSPAMIKSAIVTTASVTDRFGMPIQADGAPRKIADPFDFGGGHINPERAVDPGLNYDINPQDYTKFFNCTLEPDQDCTNDIGNLYFLNLPSIAVPDLMDSVTVWRTVTNVGPAETTYQAMVEAPTGVTMSVDPLVITFKSGGSQTATFKVMFKARQRVQGGYTFGSLTWLDGRTHSVRIPVAVRTIIHDSIADAS >Dexi3B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:3B:11310688:11313834:-1 gene:Dexi3B01G0015610 transcript:Dexi3B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKQKNVDEAVEGLKAKGITVVGAVCHVSDAQQRKSLIDTAVKNFGHIDILVSNAAANPTVDDILATKESVLDKLWDINVKASILLLQDAAPYLRKGSSVIIISSITGYNPEQGLAMYGVTKTALFGLTKALAREMGPNTRVNCIAPGFVPTRFASFLTTNEAVKKELVDKTTLNRLGSVQDMAATAAFLASDDAAFITAETIVVAGGMQSRL >Dexi8A01G0012180.1:cds pep primary_assembly:Fonio_CM05836:8A:21179752:21185644:1 gene:Dexi8A01G0012180 transcript:Dexi8A01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEVVSDGARRDEEEEERRRRGAAAGEPDPVVDVYSAAAYGDLERLRGFVECGGAAALMEPDGNGYHALQWAALNNYPHVALYLIEHGADVNATDHAGQTALHWAAVRGSTSVANVLMERGARVEAADVNGYRAVHVAAQYGQTSFLYHIISKYGADFDALDNDGRSALHWYMIIDNYSSFIMHVFLSNATKLSFEDKYFPGRSKKIGYAPFLFAYLVICLILFLNSIVFAPNFSRITATAGLWSWAAVSLFFASQVMFYRVSRKNPGYIKASTKRYDPKEPLMEIDLTNSSTWTGNWSQLCPTCKRNRWDFFVFLCMGIATSFLGAAVGFHRLWTEPIMLSSSESWTHFMVKNHPGAVLFMFLDVFLLTGALILTGAQAVQIAWNITTNEQANKSRYSYLRGPDGRFRNPYSRGCQRNCTDFCVNGYTNDEEVAWPTLPQTVQRS >Dexi5B01G0037520.1:cds pep primary_assembly:Fonio_CM05836:5B:36986796:36997696:-1 gene:Dexi5B01G0037520 transcript:Dexi5B01G0037520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPSSRRPHTCRPRILAIAKGSTPSAPVVPSSVCQEVVQACLPSTPYMISGSTASCRIGASRPRFYASAGRPPLVIGRAAGSATDQPPTFRPTPWSPLGPTDTSFIDDDGGVFNYAEPLAASRGLVLVKLVPSTLNLQWTRHDTSTPSPSSLPSSTPSPANATSPRLPALASHAVHTYAIVTTADLDPPPRASMTTKLPCVRAGGCSPLLCVTRDGKLSVASVYPMHMTIWTQQQQQQDGGDPAPCGGVAPHPGDPAESQAAGVFILDLEDKVLEKVMDRYPSLHTNNGRIKYLPYEMDLPDFFVSRLGGLLRSDPTHHLLVPLVHDIAPSTTTTTTTYRLQLLYDDESAVHAVSSSPTHHQAGIMEVHAAAPAVGKRMWSYLRAIFFMARKGLLSNKRKLLLGVHLLMKRRPNKAVSRTVAALLSHHHGGHGSNALRRRGEYEFSCTNSPAASSGSSSKRHLAYFPCLGAVAEEDDDHRRYSGSHLESPVPLARIEYYAAASPAPSSSPEEEEEEYSCCASPALMMAGAASVRVSNYSSSEEDEGGAGGGAAVDDEAEEFIRRFYEQLRRQNTVAMLPYMQDCAA >Dexi4B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:4B:5187782:5191247:1 gene:Dexi4B01G0007320 transcript:Dexi4B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAIFVFLLVSALQMLECVLDLARRRGSISDEQVKLRMEITQILKEASTLSTPSTFAQAAKLKRLAAAKEKELAKVQDLTIKGKQSLYEQYGKVLLITKVLIYGALVLWFWSTPVTTVPKHLLQPFGRLFSWRGIDAATGRVVGGD >Dexi2A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:2A:14328496:14329360:-1 gene:Dexi2A01G0012330 transcript:Dexi2A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGRRILLLLAVAAVHHQLPRCALAGDPNILTDFVTPMGVGPDQIDGNFFTYTRLLSVLPGDTDKFTVSKATAAEFPALLGQASELLVVVQGTLLVGLVDAARYGTVYTAALETGDMFVFPKGMVHWQWNNGSDVARAFSAFGSASPGTISLPTTLFETGIDDAVLEKSFHTDEATVEELKRDLAPPGPSSSAFGGRAQLPRLAAALLCVGAAFSLAL >Dexi2A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:2A:30727754:30728869:-1 gene:Dexi2A01G0018530 transcript:Dexi2A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILDSHMSLIINIGDLLERWTNCVFRSTLHRVVPVGKDRYSVAFFIDPSPNLLIQCMESCCNEAYPPRSPLTMLSFG >Dexi6B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:6B:25997950:25999993:1 gene:Dexi6B01G0019190 transcript:Dexi6B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAASPPVFERVAGIRTIAESGRFKASSSPTSLIATADSPCDYLCEADSGHQITNSIVFCLLSVAGAATYVDYQLVVAVEKLAGNGAKMVIISNSSRRSSVTVEKLKSLGFDTSCFLATITSGELTHQHLLKRNDPWFAALGRKCIHITWGDRGAISLEGLGLQVVNNVDDAEFILAHGTEAIGSPSGDPVPKSLEELEQILMLGLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYENLGGEVKWMGKPDKVIYKSAMSLAGVDAHECIMVGDSLHHDIKGANASGIASALITGGIHADELGLREFGETAEEDAIRTLCSKHGSYPSYVLPSFTW >Dexi2A01G0026970.1:cds pep primary_assembly:Fonio_CM05836:2A:38435031:38436494:1 gene:Dexi2A01G0026970 transcript:Dexi2A01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHVLVFPFPIQGHINCMLHFTTALVDAGVHVTFLHTDHNIRRLGGRAPSAGPGSPRLHFLSVPDGLPDDHPRSEAYLVDLYKSLAAATRGPYRDLLARSSSLATAAADAAGADEPTGDFPPVTCVVADGLLSWAVEVADELGVPAIVFRTASACSLLAYLSVPKLFELGELPFPVGGDLDEPVRAVPGMETILRRRDLPSQCRRPNATDEVNPGLRALAKVSADSSKARALVLNTTATLERTALEHIAPHVRDLFAIGPLHAMSSSPASCSLLREDGGCMAWLDGQPDRSVVYASLGSLATISREQFTELFAGLLATGYPFLWVLRPDMVADAVALQDEEVRAADGGGSSKARVVAWAPQREVLRHRAVGCFLTHAGWNSTLEAVVEGVPTVCWPFSADQQMNSRFVGAVWRTGLDMKDVCDRAVVERTVREAMESAKIRGAAQAMAEQLKCDVAAGGSSATEFQRLVGLIRELSALSETRGSQ >Dexi6A01G0002790.1:cds pep primary_assembly:Fonio_CM05836:6A:2610265:2614318:1 gene:Dexi6A01G0002790 transcript:Dexi6A01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLRPSHLVLALGAAYLLLVSLKFRRVLDLATADLAAADFSSPXXXXXPQRPPPYGGGGGGGEERDGAAGSPAVAPGASARCRLPPPRLPQVPPRARPSHRRPRRRRLLLPFLHRPPPPRHHHLQXXXXXXXXXLVLALGAAYLLLVSLKFRRVLDLATADLAAADFSSPSSTDHLPPGTTTSNSTTTSSSPSTTSTFPVQPFWHRYDRVSLPDPTSRTHALTSALDRMADDAWSLGLAAWDEAAAFAGDPWELLDSATARASDASRCPSAVAQRARGRVVFLPCGLAAGSSVTVVATPRAAHREFVPQLARMRQGDGTVMVSQFMVELQGLRAVDGEEPPRILHLNPRLRGDWSQHPILEHNTCYRMQWGAAQRCDGTPSDDNEDKVDGFPKCEKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPAPGDHFQGFTLEEATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLDMSEKWRSQPLPKRPVSLFIGILSASNHFAERMAVRKTWMQTPEIKSSEAVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAANIMKCDDDTFVRVDVVLRHIKLNNGGKPLYMGNLNLLHRPLRTGKWAVTDEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNSTTPVQYSHSWKFCQYGCLENYFTAHYQSPRQMLCLWDKLSRGRASCCNYR >Dexi1B01G0025140.1:cds pep primary_assembly:Fonio_CM05836:1B:30301876:30305726:1 gene:Dexi1B01G0025140 transcript:Dexi1B01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRGSTPVAALLLAALLLVGACAPASASSYPARVVSGVLSNAASAVMKRLWSLKSTTKTGDYCGLPAGFLLLPFRLGFPDTGLHFPFGAATGGKSMVKYEGGYTVETVFDGSKLGIEPYSVEVTQGGELLVMDSMNSNIYRMALPLSRYSRPKLVAGSPEGFPGHVDGKLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTACIQHLDPKLHSNEDAWVTTIAGGKSGRGGHVDGPSDEAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLHFDDCVYQYEAGFPLGVALLLAAAFFGYMLGLLQRRALGMYSNGDEQQEQEAPSPVEAKLSSIPPPYQKPLKPSFRPPLIPSEDEPVKQEEEEGLFTSISKLVGGAKSSIAEIVRAAFSRKKHVNVHHHQVGLGRPASWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRPQLHGWTGEAPHQQPQQQQPPLPQQVHHQQYLQHHRQYSAGPQTFYEPSCEATKEIVFGAVQEADTGRRAVETKTVNHGDQNGLRYRSGYNVGYTGNN >Dexi2B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:2B:27633572:27635890:-1 gene:Dexi2B01G0017210 transcript:Dexi2B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAFGDFGPLTERRRAEKARQQRRRIMIAVVTVSIVVILIVMGSLAVMYSGKSSSDGGHKSGSKGSSSSSPPKAKSGGGGSSSSSSDSSSSNSSSSDSDSKSDAKPADLKTVSKSIKAMCSQTDYTDACEKSISKAANASASSPKDIVRTAVEVIGDAIGQAFDRADLILSNDPRVKAAVADCKEIFGDAKDDLNRTLRGVDAKDGISKEGYELRILLSAVIAHMETCIDGFPDDEFKAKVKDSFTNGKELTSNALALIEKGASLLSMLKGGSKRRLLADEEERAASKKDEPALDKDGIPEWVPDGERRVLKGGGFKNNLTPTVVVAKDGSGKFKTINEALAAMPKTYSGRYVIQVKEGVYEEYVTITKAMANVTLLGEGSKKSVVTGKKNFIDGITTFKTATFTAQGDGFMAIGMGFQNTAGPEKHQAVALLVQSDKSIFLNCRMDAFQDTLYAHSKAQFYRNCVISGTIDFIFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADAREATGFVLQKCEFQAETALRDPGKPPIRNYLGRPWRECSRTVVMESEIPDFIDKAGYLPWNGDFALKTLWYAEYANTGPGANTAGRVTWPGYKKVIGKPDATKFTVXXXGGGRMLRC >Dexi4A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:4A:21189917:21190252:1 gene:Dexi4A01G0017440 transcript:Dexi4A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHNLLHMFSLIKCSPPSCLSPSPNHQQVIHSFSNIQFNPNPKIRAIFPIFKYPQFSHSLISQPLKYHSFNHNCLVPKDNQHILQLLLQLISNLPLPLLRQ >Dexi5B01G0038980.1:cds pep primary_assembly:Fonio_CM05836:5B:38034611:38042811:1 gene:Dexi5B01G0038980 transcript:Dexi5B01G0038980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAQRYGPVMLLRLGHVRTVVVSSPEAAREVMKTHDAIFADRPLYVTMDIFIYGGKSISFAPYGSRHWKELRRLCATELLSARRILSFRPIREEETAAMVRFVAAAAPLVNVSDRVRLLLNDIIVRAVVGGRCPQREAYLQEMEKALRLLAGFNLIDLFPTSRLARVLGGRSLRAARRVHARIHSIVGDMIRDHATAMESRGAAADGEDLLDTLLRLQKGGGVDTTLSTDELFSAGSETTATTITWAMAELMRNPLAMERAQSEIRKLLHGKAKVKEEVTEGRLHYLRMVIKETLRLHPSVPMILPRFCAEQCTVMGYDIPAQTTVLVNVWAIARDENSWSNANEFRPERFEDGTVYFNGADFRFLPGGAAVTKVTHTWQKSHSHRPCAVHLALRRRLHRSPQAEILLYLPRRRSEPTSRAMAAADNREHALPAGRPAAPRHAQAGAEVRPRHAPPPGSRPHGGGLLAGGGEGGLEDPRRTLADRPLYVTMDIFTYGGRDISFAPYRSRHWKELRRLCATELLGPKPVLSFRLIREEEAASLARAVAAAPAAVNVSERVKALMNDILMRCAIGDRCPMRDEYIAELDAALQLLAGFNLIDLFPSSWLARTLGAGSLRAARVVHDRLHRITHAIIDYHESKGKGAAAAAADDGGGNSRREDILDLLLRFQKDGGLGITLTTEVLSGVLFDVFAAGSETTATTTIWAMSELVRSSRAMERAQSEVRRVLQGKTMVAEADIQGRLPYLQMVIKETLRLHPPAPLILPRFCGESIKVLGFDIPEGTTVFVNVWALGRDEKMWADANEFKPERFEDETVDFSGGDFRFLPAGSELETSPAAYYTLLCLVVGVIVLLKLTKAIFFSRHGAGALNLPPGPWPLPVIGNMHSLLGALPHHAMRALAQRYGAVMLLHLGHVPTVVVSSPEAAREVLKTHDAVVSNRPLYVTADILSYGGQNIAFAPSGSRHWKEVRRLCATELLSPKRVLSFRPIREEAAAGLVRSVAATAAPPLATVDLGERIKVVMNDILMRCAVGDTCPMRNEYMAGLDEVLELLAGFNLVDLFPGSRVARMLGAGSLRAAREVHDKVHRIVQVIIQDHESKAANDGGSGGRRDDILDVLLRLQRDGGLDTVLTTEVVCAVLFDVFAAGSETTATTTIWVMSELVRNPAVMQRAQSEVRRVLQGRTRVAEADIQGQLPYLQMVIKETLRLHPPLPLILPRSCAEPIKIMGYDIPKGTTIFVNAWAIGRDDTAWPDANDFKPERFEDGTVDFSGSDFRFLPGGGGRRMCPGLTFGLANIEIVLASLLYHFDWKLPNGADPCELDMTEAYGITARRKAALLLEATPFLPVEL >Dexi5A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:5A:9576856:9577730:-1 gene:Dexi5A01G0012710 transcript:Dexi5A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCENGSILLVEEVKGVDVVLVSEVDEAVDAVVLDVVERGVPLAQAAHRRAASVERRVAAAGGEVAVHESAAAEQGGGLACGGAALDDEPEQRRRDEAERVGEAVEYACIGRARVGVTTIRVAGSRSSAASATRASAGGGRLPGSGRSWWRSRWRRWWCAKGKSPATKPRRKQSRKHGDEKGRRRGKKASAAAPAIAGGGCLVSLLLPLMRAASWEWQVAARQRRDLVAGVCAVAWRADVDLGWTASAARGG >Dexi2B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:2B:8385629:8386655:1 gene:Dexi2B01G0008310 transcript:Dexi2B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAGGVVVVHAEELARRGGSSGAPASNMLLWVILIGLVFALIIQSLSANLGVVTGCHLAELCKTEYPTWVRICLWLLAELAVIAADIPEG >Dexi8A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:8A:6957978:6960041:-1 gene:Dexi8A01G0006700 transcript:Dexi8A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVASIASPVAGDDDINSLKVRPSMESIIYSFWVAGLVIYKVFFCNTEEMEVIMIIVPVYPLWVFGACRMVLKFVAFHRATGSFALGRNVQLIHGYMTQLQEAADCLGRAGDPVAVPHLIVTGERKWDIEETSLGYRVKRSAMELVVDQPTSSLVTLDRVWSESEPLLVPQLKDLCLSFALFKCLRRRFAGYPLAEAGSSWAFRFVSDGLLGGRDDHERIFRVIASELTFASDFYYSPLPVASLGSRNAGIHFFLSALIFCCLCLLVLYLITLIVCVYIVDEILEAISGGPLDDTQAFYIVPMLPVFLGLVIAWMEMSEMLASVRSNWTKISIVGHYIRCHNRLLRRIFTCLLGRCKAPKQWKDKIGQVDLLTNASLLAGNNRLSCARLLFIIKGRRSPLIKVPLAAKAAIITSFRSNGRQLSAGTAAVQRRRQAFCHDITWACLGGDVVTTTTDAILVWCVATALLEKRRCSSKQQQAINSMGVAVCLSRYCVYLVAKVPCLLPDNSAWTKRRYQEVKESVKAAALLRTGGHDTEAGAYGQLLDSFGCEGSHEVLKRGSMLAKQLVDEGEKQRSSEEGDDAAGAEGGGEDAVWELLAEFWSEMLLYVAPSDNVKGHIEALQHGGELITLLWALLLHSGITSRPARNHTRIMILEYVH >Dexi9A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:9A:14619417:14619896:-1 gene:Dexi9A01G0019710 transcript:Dexi9A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPQHGPCGLGCWARSWARGHAIGPTQSPPLRGGGSTLVSECGERTSPASSRFQSSHRGQAMVVIELEPEEATSTSSMAAEEREEAARPSSCAAPEEQAVAAAAAAEEEEEAFQDALTDEQLLEVATHILIAFPTSPVADCDSRIWGSVEMTACLELE >Dexi9B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:9B:5172038:5175285:-1 gene:Dexi9B01G0008440 transcript:Dexi9B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVVRTVRVRNISDLATEREVREFFSFSGEIEHVDIRFDGAATGRTAYVTFKDPKALEIALLLSGATIVDKVVNITPAEDYIYIPVTEQQLLVNELTSTSSTPNSESEYSPEVSSPNSRVYVSKAHDVVTNVIAKGSAIRQDAVNKAKAFDEKHQLRANASARINSFDKRVGLSEKINNGISVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQLAVSNLTAKGPAVAA >Dexi3B01G0007900.1:cds pep primary_assembly:Fonio_CM05836:3B:5592195:5594233:-1 gene:Dexi3B01G0007900 transcript:Dexi3B01G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPALLFPSTSSSASPSSARVEAVVLFNICDSYVRRPDQADRVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVAIDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQNPIHLTVDTGFTRGEASIKAYISSNLSLGDRHLAAQFQEIPLDLRMIEAEKAGFEILKSTMVEKLPNDLEGMESSMEKLYILIDEIYKYVDDVVEGRVAPDNKIGRFISESVASMPKLSPASFDKLFNDKIQDNLALVYLSSITRTQISIAEKLNTAAQVL >Dexi7A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:7A:22686872:22687474:1 gene:Dexi7A01G0012520 transcript:Dexi7A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAQPGTRGQNHREAKLVRPATHGAPNAAERVEDVPRRPRPRRAPGVGQAAGPAVEVDERVHEAASEGQAEAQDPRVQDTACPRRVRPVRTCVERVAGVALSCERREEVGVVGSRVGIASRAGGAREGGAEGRALGSRLGRGAEERCGGGTHVRTAAGRRMCRSVPSGFCTRARARGTG >Dexi2B01G0014860.1:cds pep primary_assembly:Fonio_CM05836:2B:24824862:24826415:-1 gene:Dexi2B01G0014860 transcript:Dexi2B01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKSPSSTTPSAPSKAAAACSELRAAYHECFNRWYAEKFAKGQWQKDDCADHWHKYRACLEEHLEDKHLRQILLDAETSAFYARPDADPPSGQGATK >Dexi2A01G0022610.1:cds pep primary_assembly:Fonio_CM05836:2A:34464819:34467434:1 gene:Dexi2A01G0022610 transcript:Dexi2A01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITKTLERYQKSSYGGPDTAIQNKENELVQSSRNEYLKLKARVENLQRTQRQVEFVLEENLLGEDLGSLGVKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKVRPLPSRYIYVGSNEQLEETSSQVHGQVWEHGANLFGYERQSPQQAPSHVSNGFFHPLEVAPEPTLQIGYAMHVPTYCFLFARSHLLLFAPEHMNNFMPTWLP >Dexi2A01G0025040.1:cds pep primary_assembly:Fonio_CM05836:2A:36827905:36835323:1 gene:Dexi2A01G0025040 transcript:Dexi2A01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQPAAQGAEKVAEEKKLILFTCQFMQQLDEKELERKLKKDQKAKEKEEKKLKAKQKEAARLQAQAASDGTKKTEKKQKKKAVGDENPEDFIDPDTPNGRKKLLAPQMAKQYSPSAVEKSWYAWWESSGYFGADSASKKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGRENFVSEVLKWKDQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKSGLIYRDYRLVNWDCTLRTAISDIEVDHIDLKEETMLKVPGYANPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYTHLHGKCAVHPFNGRKLRIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKVNINGGAQFEGMPRFAARVAVIEALKEKGLYKDTKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDLDKTLGSDNNRWIVARNESDANLEAQKKYPGKKLRLDQDPDVLDTWFSSGLFPLTVLGWPSDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLDGLLKRLEEGNLDPNELSIAKDGKKKDFPDGIAECGTDALRFALIAYTSQADRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPATVDVSVMPPICKWILSVLNKAIGKTVTSLEAYKFADATSTIYSWWQYQLCDVFIEAIKPYYFNDSQEFESARAASRDALWICLDNGLRLLHPFMPYVTEELWQRLPQPKDSCRTDSIMVSEYPFVVKEWTNDRLENEMDIVLDAVNRIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIVSLSSVSHLKILTENDDTPADCATAVVNKDLSVYLQLQGALNADAEREKLRKKKEEIQKLQNALSQKMDASGYREKAPQNVQDEDMRKLTALMEQLEVISEAEKKLDANAGST >Dexi4A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:4A:5907650:5915171:-1 gene:Dexi4A01G0007850 transcript:Dexi4A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNKVIGAATQQMPAFAYVYLHSEASAHDKSFFWDEDHGLLRRIQWLVMRTDNYIVPGLFLAEAFRVELDKMFPEPDAIFHHLGRYLFHPNNHVWGLVTRYYDAYLADAAELVGIQVRVFGAQPNSPELLEQITKCTQNHGRLLPALLAAGTEPGTPESRRGKTKKAVLVTSLKSWYYEKLKGMYWENATSTGETVGVHQPSHEEYQRFGVVSHDAKAWAEMYLLSLSDALVTTSQSTFGYVAQGLGGLRPWVMYRPAYDVATVPDPLCGRAVSMEPCFFAAPNYNVWEKQWFDASTVD >Dexi4A01G0004480.1:cds pep primary_assembly:Fonio_CM05836:4A:3179195:3184315:-1 gene:Dexi4A01G0004480 transcript:Dexi4A01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAGAEVDHLAAERAAARFDVEEMKVAWAGSRHAVEVGDRMARLVASDPVFRKDNRTMISRKDLFKDTLRKAAHAWKRISELRLTEEEASLLRQYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYRFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGITLGDIGGKFGSGAYNSMDNGVLRFDHTIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETQDAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKAVSQLTSGKQPVGTMAYMGKVQYLMQCKCAVNTAEDWLNPVAIQEAFEARALRMVVNCAQNIGQAAKQEEGFYERSPDLLEAAVAHIQLIIVTKFIEKVQQDSPGHGVKEQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANELLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLKLSRL >Dexi5B01G0022190.1:cds pep primary_assembly:Fonio_CM05836:5B:24403718:24404085:1 gene:Dexi5B01G0022190 transcript:Dexi5B01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAEGMERSVAGTPAASMRRNASKAEPGRPFWEKEDMRDDHAAGEGGHGRSRKARWERPGSAQRAGSGGGEVAVELLPLGERARGGERDEDLGQRAREGRQRRAGRR >Dexi9B01G0047390.1:cds pep primary_assembly:Fonio_CM05836:9B:46506480:46508168:-1 gene:Dexi9B01G0047390 transcript:Dexi9B01G0047390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGGRGGVNIMMSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPLERPPGVFPNIDFSSTPPPNKDDPPAMPPSTPLE >Dexi9A01G0001770.1:cds pep primary_assembly:Fonio_CM05836:9A:933933:934524:-1 gene:Dexi9A01G0001770 transcript:Dexi9A01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPASISVTLFLVVLAVATSAAAMAGAAAAEENAAKYQLGILGAALGSEEKAKAAILYNYRNVMSGFSARLTPSELEAVKSVPGAAERDASCR >Dexi3A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:3A:7965868:7970736:-1 gene:Dexi3A01G0011220 transcript:Dexi3A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDLKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTPVVIGDEPDRDTLKMIARTTLRTKLYEGLADQLTDIVVNAVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSCQFLLCGERFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGGDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFADALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >Dexi8A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:8A:24263180:24268873:-1 gene:Dexi8A01G0013960 transcript:Dexi8A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAEATGEPFDEMPLKKPRLDTGSELPPPPGLWAEIHPDILGVVLSGLDINGLKDITFYQGKLYIFHMFTKRLLAFVLDEDDRGIVVSRVEQCVTESLPPHPMGQNGSSKSFPASLHDGVEGDLIYFVPDHWSRPDRFVYNMRDGGCLSRADVVLGEMPQDKRERRTDMWSRNHPLGPPWASLHADAMGVVLTFLPCLPDRARVRSVCHKWRAVARSRGRSVQPPLPLLVFPKLRFSSLTAGGLLTAMRQAWMPREVGPKDDIIPVGSSGDWIVCARLAAGECFMLNAFSYAVLKVPHLGTSSDFSLRQVVFSSSPLSSSSASSSSGPPPPNYTMAAYMIRHGKTELSLWSPGMKTWRVYEHALFAGHVDIIFYQENLYMLWRFTPCLFALELGKDEEDGVIITSMKDCLVEKLLPNALVPTHETSCNMVEWKGRLLLIIRYHQAPHHCRNMLVKVEVFVMDLSVMPCGLTQIHSLGNDCIFVGTGGCKSFSAGQHAGVEGDLIYFGPDHCNPHAAFAYSMRDRGTRAIVETKPLPCVNNASPKQVLGYPVWLFPSE >Dexi5B01G0014970.1:cds pep primary_assembly:Fonio_CM05836:5B:11918912:11923666:1 gene:Dexi5B01G0014970 transcript:Dexi5B01G0014970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPSQRPPTPSRAGRTNLFSAPPPPLSNRRYPQHRSLPPPPLPPRRRHHPKNHAEQPSQEQEPTDGTQRATTSSSNNNPAFRAAHLRTAYRKPVSPVAAAGEGETLLAADPADAASGRSVVVGPTGLSFRLPGAPFDFQFSYSEAPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLSKEEKARRRGVRLHTPLGQEPPQTVSAHGIMMEVRGRRQMDLARVSPGDGRTREEVLGEPLTRDEVRELVKPHISHNRQLNIAKNGIYITLVKDVRDAFEANDLAKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMYRGKEWKSRYSKPLTLIPKVSKNNPTMLFDVGGSDANEATDADAQETIREVLMPKMFKLWKSALDSSLALLLDDAEANDLTPDSLLMRVEEFSITSQAVEHSFPALLVANCEVNTGSLNGECINDESETSIVGNQEDQLEQSPDLISDEHFELDMLERLESSVPLGSLPIDTMIEQLNSE >Dexi1B01G0025950.1:cds pep primary_assembly:Fonio_CM05836:1B:30916942:30921336:-1 gene:Dexi1B01G0025950 transcript:Dexi1B01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIDAMLTKLGISQPDRADPLPPRHETLARLHGRSFIRLQQAQAQARPQAQGILAVPPRASPNPAPAPAAAGRRGRKPRRHEAPADADAARPASPPRRGEPKPVANGGDALAVAVSEAGPASWDEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQDYEKSGEYTGFPILGIEWQKMENPDLRKSMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGVDIANDGTADVSCMSCFILSSIPELMFLMYQYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVTMVENCKDEFLKFGILL >Dexi3B01G0030000.1:cds pep primary_assembly:Fonio_CM05836:3B:29325813:29328181:1 gene:Dexi3B01G0030000 transcript:Dexi3B01G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEPVDDEEPKQEGPAPAGQLLLAPPANFGMVDAGVYRSGFPDAASFAFLRGLRLRSVVYLCPEPYPEANDAFLKAEGIRLFQFGIEGTKDPCVSIPVDAIVGALRVLLDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSIFEEYHRYAAGKSRLSDLRFIESFDVTCMRDCMLRVIYRYHDCLQKSKRLQYDVR >Dexi6B01G0007330.1:cds pep primary_assembly:Fonio_CM05836:6B:8508368:8508970:1 gene:Dexi6B01G0007330 transcript:Dexi6B01G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELPPRRHLSPSLSLRRPSPPHPHHISTATVASPPPMARLLAQTLTLARPGPCPSASASLRGFATKVEVIEIDLTEDDAAAGSSHGSPASSPSVEVVGIRRLEEAIHGVMVRRATPDWLPFVPGGSFWVPPLRHPHGVAELVSRIAAAGSAEGYAGAAGSAVEVVELDAPLTEEEVLSFTTARGWPSASYFVDGEHPGP >Dexi9B01G0022530.1:cds pep primary_assembly:Fonio_CM05836:9B:17212367:17214791:1 gene:Dexi9B01G0022530 transcript:Dexi9B01G0022530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGQVVDVPPPDGRLRSRVPVPPPRSFLRTAGEGLRETLFPDDPFRAVAREPAGRRRALAVLRYVFPCLEWLPSYSSADLRSDLVSGLTVASLAVPQGISYARLAGLDPVVGLCEYIPDHSSFVPSLVYTVLGSSRDVAVGTMSVGSLLFASMLGPAASPATDPALYARLAFTATFFAGVFQAGLGVLRLGFLVDFLSHAAVVGFMGGAATVVCLQQLRGFLGLHHFTHATDIPAVLGSVFSQSGQWQWQPFVLGCCLFLFLQITRYISKRRSKLFWVSAAAPLVSVILSTVLVYLIKGEKHGIQTIGSVKQGINPPSFKSLLFSSPHTWLAAKTGIITGIISLAEGSAVARSFAMAKNYHVDGNKEMIAFGAMNMAGSCTSCYLTAGPFSRSTVNRDAGCRSPASNAVMALAVLATLLFLTPLFRHTPQVALSAIIASAMLGVVDLRAAARLARVDRVDLCVCLGTFLGVVLGSIDVGLAVAVAVLVLRVLLSVARPRTTALGKIPGTAAYRRVDQYAMAEPTPGVLVLRVDAPICFANASYLRERIARWITDEEDRVVGSGAGAAAAAPLRCVVLDMGAMASIDSCGTKMVEDLKRSLNKRGLQIALANPGSEIMRKLDKSKVLQLIGDEWVFLTVAEACDYAQSNCKVGTASPGAAAAASPDEMV >Dexi9B01G0049150.1:cds pep primary_assembly:Fonio_CM05836:9B:47936142:47939825:1 gene:Dexi9B01G0049150 transcript:Dexi9B01G0049150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLASRGALPAAPALGGWPKPHSLSPFHVFLGLQIHNHIYSMPSIAYKVPSMTKYQASLATNYTDTSEVVDLDWENLGFGLVETDFMYVAKCGPEGNFSKGEVRQFGPIAVSPSAGVLNYGQVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVDELLDVDEVFCTGTAVVVSPVGSITYMGERVEYGNQGVGVVSQQLYKSLTSLQMGLVEDCMGWTVQLNQ >Dexi1B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:1B:20866918:20868000:-1 gene:Dexi1B01G0014530 transcript:Dexi1B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDRARRLLAKPINFYHEMEELFSGSSADGSLAMDQETCLDNDGTSSDNSDLQWMNDTSSYGQAVDLAGDDSDTLPTTKSHKPSPRCAASGDDSSSTKPHASKKRFRGKSPKKPQKSRSRFAEATKEISNTMKAIVQALAEPPPPPPLPTPQPGGAHASLWKRIEALPITSEDKINLGVYLARPEHEGMRDFLSASSDNTLETLVYKFFSQD >Dexi9A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:9A:4767593:4769914:-1 gene:Dexi9A01G0008040 transcript:Dexi9A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLTGGATAGAASRVRFAPTSDNLLVSSWDSGLRLYDADVGTLRFKAESEAALLDCCFEDESTAFTCGSDGSVRRSDCDR >Dexi1B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:1B:11022444:11026429:1 gene:Dexi1B01G0011040 transcript:Dexi1B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDQAEINPCYHTDQNTLRLVSYLILLSRVQSIPNPSQRVTRTSASRAAGGPASAVIMSSVHFFPAASQAPVPARLLKPSPKPPLTFPHRTLSSAAFHHSDGNAYAWRRPHPRLRRKVCAPAAPAEAPPVGPDGGSGGGGAGGGGGGEDEEEEGEKKEKGGLLPEWMNVTTEDAKTVLVAVAISLAFRSFVAEPRFIPSLSMFPTFDIGDRIVAEKVTYYFRKPCVNDIIIFKSPPVLQEVGYTDNDVFIKRVVAKEGDVVEVHEGKLVVNGEARNEEFILEPPSYDMNPVRVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPGRIGGTTKGCLNPELNPAETKPTTLIDAKLTK >Dexi1B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:1B:12972760:12974447:1 gene:Dexi1B01G0012060 transcript:Dexi1B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSTLRLVCMMICIQVLGAVAGCKEPKVPPAIFVFGDGMLDVGNNNYLNYSEDLGSPHLANHSYYGIDFPNFEPTGRFSNGYNIADFIAKAMGLEISPAAYLSLTGPINMDGFTGVNYASECAKILNINDEMDRCAANTIPLLTQVAAFTATRAQMELQLSSRELKKLLSKSLFLIGMGTCDLFRASLLQALGISTKFDPSADVQYVASSLAAAIRALHDAGARKFAVINAPPIGCAPGGRMPWLVRGRRVHVPNGRCDETKNKLVVEFNDGIRHLMANLSSELDGLRYSIADFYGFANATFVNPSAAGFVDIASECCTNYLCDSPPCQNRSQYWFWDDLYPTEQAAKLAAAAFYDGPAQFTVPFSFKKLVQKK >Dexi1B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:1B:9407723:9411393:-1 gene:Dexi1B01G0010020 transcript:Dexi1B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFQQLWDGVQVKRSSDSFTIELLPSLGATINHSNKLQKFIISPYWELFLIVLVIYSAWVMEVQAEYFPPKEDIMLQNEGAADIYIIVSGEVTLITTVNGNEKKLKLQENLPGFMHKYETFHVPREAWLLPQPYLQYKEHRCEDTSKKVPTFGADNGSTKLVAESNQLRKPQQENSHDQSNCNCGATDGMAGKEEDHDEVHINCEARKGTEELCIQIKSEHCDTASSWHTNHETVKLASYHNTSEGITRRRNQDNNYIKASNKRVTVHAYAYNATGSLVQNGKLISLPGSLEELFEIGSQKFPGFHPTKVFSRDYAEIDDISVIRDGDHLLLQM >Dexi5B01G0030410.1:cds pep primary_assembly:Fonio_CM05836:5B:31306315:31308092:-1 gene:Dexi5B01G0030410 transcript:Dexi5B01G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGAALLYYFRVFERQIGSNKYAVFIVFSTVISVLLQILALGYLKDPSINPLTSGPYGLLFASYVPFFFDIPVSMRFRIFGLSLSDKSFVYLAGLQLLLSSGRRSVVPGVSGILAGLLYRLNTFGIRRLKVLLSRSFLKSDEQYRLPTTENDGNIPSHQGVHTATQDPAEASIAALVSMGFDNNSAIQALALTDYDVNLASNILLEAQALRQ >Dexi6A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:6A:25924236:25924727:1 gene:Dexi6A01G0018120 transcript:Dexi6A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding STELKVEMVALHEKRVRKCLSKVKGIERVEVEASLQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLCAYATTSLMFNNFYAFF >Dexi9B01G0041250.1:cds pep primary_assembly:Fonio_CM05836:9B:41684854:41688031:-1 gene:Dexi9B01G0041250 transcript:Dexi9B01G0041250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPAASAAAVADDAVGGGRAPADGGARSSSILGMSVPVAAGVGSALAVLVVLAAVAAAVYFARRRGARPPSLSRVEHAPSSVSGSGSGSSRPASSARKDKPTGVSGADRIAGAGASSSDVASSSAAASSLELPVKRKAEAGRIVGGAAAGVEMGWGRWYDLAELEVATGGFCPENVVGEGGYGTVYRGVLAGGEVVAVKDLFDHKGQAEKEFKVEVEAIGKVRHKHLVGLIGYCAEGPKRMLLYEFVENGNLEQWLHGDVGPVSPLTWEIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGMAKVLGPGSSYVTTRVMGTFGYVAPEYASTGMLNESSDVYSFGVLLMELISGRSPVDYNRPAGEVNLVEWFRGMVGGRRVEGLVDPRIPAPPPPRVLNRVLLVCLRCIDGDAHKRPRMGQIVHMLEGDEFPFRTEHRSPRASHRTSTGSRPSLLSDNVAGADDSDKSMWR >Dexi3B01G0031540.1:cds pep primary_assembly:Fonio_CM05836:3B:33410977:33417639:1 gene:Dexi3B01G0031540 transcript:Dexi3B01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGHVSVTTVRYSVRFNGVPLAPFSPSRGLRQGDPLKLKINVDGASIVETGEAAAGIAIRDQEGKPLLMSCRRLAHCRDAEEAEALACLEGVKMGARCNVSVIEKLKVEGVDRSVHGVLCFYSAPPLFPPSRSRNKIIHCPAAATNPQNPNQPPFAAPNHPGRRSRRYGMASPAPSSSPVPPRWVLLDRDADAEVPRDATSSLPAPPRVARFTFPAELHPDPAAPDAQPYVLAADTDAGLLLNLARGPLTGFDLALCPQTASSLVLLRGFVPHVAAGQRDAQSTARSVVRIPDRPAPRVSCLKSLGLVSNPGTDGADYIVADLRVDPGIHYANLSFFRSGAAAWAEKKLARPEMPGRTCLHWGWNSDDVVSQGGKLWWIDLKRGLMSCNPFEEHPVLHLDELPETISEDYQFKSDRIVEADRCIRMSRDRLRFVELTRAVSDSAGETLIVTWTLVSSGVDGLSWWKNRSVTSLQQIWSSPEYKSSKLPEAIPVLASISPTNPDHIFFFVDHYLFLADILEGKLVGFVVHELALLPPPHPPVSWRYVVAWELPLVDSPSLSSSRAPSRSQMHQARGASGQHAAVQAAALRMGEARCPARTPVLRRSAWRGALLATGPTHQPTVLTPAGHQLLPSSTSFHSLLSLFSTKPRRSCSFHWSTQDLARASRASPELVASEVVHPHAILFPMFGAGSFPKLPSLFLHCSPSSSIQGRVKLPQTLPTPTCSPHPSCHY >Dexi2B01G0033560.1:cds pep primary_assembly:Fonio_CM05836:2B:41104387:41108713:1 gene:Dexi2B01G0033560 transcript:Dexi2B01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLGGGGGSGGGAGDDDEPYLLGFIVTKIVGMRHYPRARIAGRENVGLVREPLNRYDGNAIAVRNSRGEQVGHLPASPVARTLAPLLDSGLLHALHGIVPRSNSKANFTPYSLPCQVHLFARPDDAAVVEAALEEAGIDLIHNDHPEFALSQSAAVMEQTKKTDREVDKLFSLVGGKKGKAPIQPMEAPRDVVLSELFEHQKEALGWMAHREESDDLPPFWEETEDGGFKNVLTNQSTGERPPPLKGGIFADDMGLGKTLTLLSLIGRTKARNVGVKMAKGAKRRKVKDAGEGPRPTLVVCPPSVFSSWVTQLEEHIELGSLKVYMYHGERTRDKKELLKYDIILTTYSILGTEFEQEDSPVKLIEWFRVILDEAHVIKNSTARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLKFQPFSIKSYWQNLIQRPLENGNKAGLSRLQNLLGAISLRRIKEVDIGTKSMIELPPKTVLECYIDLSEEEREIYDQMELAGKDKMQEFGDRDSILRNYSTVLYFILRLRQLCVDVSLCPLDVKSWFPSNSLEDVSKNPELLKKLASLVDDGDDFDCPICLSPPTKTVITSCTHIYCQTCIMKILKSSSSRCPICRRSLSKEDLFLAPEVKPSDEDGSGKQESDRPLSSKVQALLKLLKTSQNEDPLSKSVVFSQFKKMLILLEAPLKNAGFNILRLDGSMSMRKRLQVIREFAHGGPDSPTVLLASLKAAGAGVNLTAASTVYLLDPWWNPGVEEQAMGRVHRIGQKKEVKVVRLIVKDSIEERILSLQEKKKRLISSAFGKKGGKDDKEMRVEDLRMMLGIDGGRPVAGGRGSRLLG >Dexi6A01G0012830.1:cds pep primary_assembly:Fonio_CM05836:6A:19547060:19551852:1 gene:Dexi6A01G0012830 transcript:Dexi6A01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGGESWTVRTRGAPRIGREKRSGVTAPGSLQGLVNAFSSASSPQNQRLAGKVAVITGGASGIGKATAAEFVRHGAKVVIADVQDELGHAAVAELGPDAACYARCDVTDESQVAAAVDLAVERHGKLDVMFNNAGVSGSPLRPPLAASDLASFDRVMAINARGVLAGLKHAARVMVPLRRGSIICTASVAGVVGGMADPAYTASKAAVLGMVRGVAAEMARSGVRVNAISPYAVPTPMAMRTFAKWFPGKSDEVVRRIIEVDMVVMEGTVLEAEDIARAALYLASDEAKYVNGHNLVVDGGSSVSRNIVNPGAIAARE >Dexi6A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:6A:15872646:15873149:-1 gene:Dexi6A01G0011130 transcript:Dexi6A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLPCKQGFIYVSSVADESTPGVASTRSGLLELYRRARRASRLLVVSRVGREKVLPWAVSATGDVRCSDTVSLSQLLSLHRHALRPVTLGFLMWEELSVAALLRSAGASRPSAAAVMLPAAASDNEASSDEIAFDGDGPEIVLSKDSDDCSFRFQHIGLPDSWL >Dexi5B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:5B:21726243:21727797:1 gene:Dexi5B01G0019430 transcript:Dexi5B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNCPLDEPLLAPGKDENHRGGGGGDDVASMEAQLLHHGKGASFSRSCLNLSNVISGVGMLSVPYALAQGGWLSLALFALVGAVCYYTGELVGRCMRADAFVRSYPDIGELAFGRGGRKAIGAVMYAELYLIAISFLILEGDNLAKLLPNTSVALPGGYYILEGKLLYILVAAVGVLPTTWLRDLSVLAYVSALGLVASVALTASLVWGGLAEHGFHAKDGNVFSLAGLPTSLSLYFVCFSGHGVFPTVYTSMRNKKDFSKVLLTSSVLCSLNYALTAVLGYLIYGDDVKSLVTLNLPSGKVYTKVAILTTLVTPLAKYALVIQPITTGIEEKLSLAGGQGGGLPRAAISTAVLVSTVVAACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLRIYMPRGVVRRREVAAIVGVLVAGVCVAVVGTYTSLHQIASTF >Dexi2A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:2A:22636908:22637325:1 gene:Dexi2A01G0013870 transcript:Dexi2A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGLFANDGSFMERFKQMQEKEKAAAAAAASAAAPKPANPKPSFAVAANKRPFELKKAGPVATGGKLAFSLKKAKVAIAPVFAPEDDEEEGAAAVEREEPAKRQKSVKAEAPAVPASTEAVVLLHF >Dexi5B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:5B:11958235:11963459:-1 gene:Dexi5B01G0014990 transcript:Dexi5B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHHQASNVHALPEEMLADVLRRLAPHSLAASRSVCEAWRAVVDDRRLLRPELLPRTLGGIFINFNFLARPEFLSCGGATAVVSGDLSFTASPKGLVFDHCNGLLLSYRDVVNPATRQWAPLPPQPPPRMTTKGFFADPYLVFDPATSPHYEVFLMPIVATWAYKDDDAIMAASEWPPSLYETHVFSSRTGRWEESKHRAIKPPEKNDSDNPHEAYLGRSEKGVYYVILDRGVELRVWILDESSTTGQMEWVLTHQSNLESVLASQDDSYQRDGDGACWCFKDINHYAEYPEDEGDEEATEDGEHLAHSNLTLLGLHPFKEVVYLSSDMTCGLAYDLDTSKVQDL >Dexi6A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:6A:5001666:5002166:1 gene:Dexi6A01G0005520 transcript:Dexi6A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSPLPRQLLQSLPASSLGMALNRPAPPTISLASVPRGGVDTAARRRGGPSPKGRPGSPAQGGGGKVYAAPLAAATARVVVMRRPAPPSSPAQGRGGKVHAAAARVVMRGPTPPSSPVEGSGGKVHAAAAARVVMMRGPAPPGGGPAEGAGGHGGVVHTATS >Dexi5A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:5A:1653778:1654875:-1 gene:Dexi5A01G0002330 transcript:Dexi5A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASAGFSASGQGTPVRRRQRGARLRLRRRRSPTPTSHDATTQELIPSSSAASFSQQLPPYIPDPEPDDAIVSYAAVAPLPVFRGDPGECPDAHVARFERVCRANGAVTPAAAARIFPASLDGDAGLWHDLTASSSSPPWHDVRAAFLDFFRAPGAADLARADLVALRQGSGEGVNRYHLRMQGILRRCADLGVIVDISGAFLKDAFVDGLRGEFQDWVSLQQPETLDEAVALALTWERAGSVREARRAAKAACAAGDDQCAFCGEEGHDEARCEVRTGKRELWLGRSTSSGRGGAAAMAVVANDGEQAEEGGGRMALARLESAVSTRSTQCQCRKHQCGKKSPSPAAMEVAGGSDVDGVVWDE >Dexi7B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:7B:9598527:9599316:1 gene:Dexi7B01G0003890 transcript:Dexi7B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGELKKLVEEGEIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDVIPTCRELGIGVVAYSPLGRGFFSGGTKVIDELPDHDFRKILPRFQRENLEKNALIFERVSDMASRKGCTPSQLALAWVHHQGNDVCPIPGTTKIGNFNQNLGALSVKLTSEEMSELESYAATHNVHGDRCPSKMLNTWKNSDTPPLSSWKSN >Dexi7B01G0023870.1:cds pep primary_assembly:Fonio_CM05836:7B:28348894:28350819:1 gene:Dexi7B01G0023870 transcript:Dexi7B01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVAAGGGSLPGLLGRKNRYARMDDVLPPEPDDGGGVRVRGGGSSSSSRRYVVACSVFASLNHILLGYDVGVMSGCIIFIQKDLHITEVQQEVLVGCLSFISLLGSLAAGRTSDAIGRKWTIGLSAAVFQAGAAIMTFAPSFAALMAGRLLAGIGIGIGIMVAPVYISEISPARLRGTLASFPEIFISFGILLGYVSNLAFSGLPDHINWRVMLGAGILPSISIAFVLLVIPESPRWLVMQSRAGDARAVLSKVSDSDEEAEERLAEIEEAARATASDTAAWRELLRPSPVVRRMLITGLGVQFFQQATGIDALVYYSPTIFKDSGITTESQLLAATVAVGFSKVAFIVIAIVLVDHVGRKPLLYISTAGITACLAVLAASLSLLARGALPGAAAVGLAVVTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVAIGFALNRMASGAVAMSFLSICRAITVAGAFTAFAVVSAISVVFVHLFVPETSGKTLEQIESMFHGGGGGVVSAEVELGDGERLEHKRLVPRPSS >Dexi7B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:7B:17240334:17241125:1 gene:Dexi7B01G0009750 transcript:Dexi7B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVVVEHGESSKAPLVAPVAAGVGRAVAVADVFLRFIAIIGTIGSAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTKPFTACSLLAASASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVLLIMLIFLSAFALARRQ >Dexi3B01G0021300.1:cds pep primary_assembly:Fonio_CM05836:3B:16180887:16181202:-1 gene:Dexi3B01G0021300 transcript:Dexi3B01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQWLEAMLPLGIIGGMLCIMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLIEQSSGN >Dexi7A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:7A:29434563:29439681:-1 gene:Dexi7A01G0020710 transcript:Dexi7A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSNEQSLRPPRRLIWIYYFNERTRIMAPGIARMGSGKYFEQSGRVNGLPERRGGGGREAVAPSSLAVGVAGEPEGRKLERQDREGEGRKARRETVNEASSTAPHFGAGERLAAGRGEAKWAVKKLLWSGLGCWPPARPSPLLTLTPTLSLFLLSPPLRRFQEFAGASPELDADELLLHAAIFPKQGASPSSSSFSIAPLLKLKVKNKQTSLLLALHQPATLVGVVHILEFFLLSHRENRKIRVCAGSSDLVGRPKVGRSEHKPEDPMLKSQFTTGKIETPGQGELEYGSDPVSPDAAQPCDDRETMAATVALPVVDLAPFLAGDDKADGVAGATETVREACRTHGFFRAVNHGVPTELMARALQLSAAFFALPDEEKAKARPADGSGAPLPAGYSRRPANSTDKSEYMLVFNPKFGFNAYPADPAGFREAVDECFTKLTELGLLVQEILNECMGLPPGFLRDFNDDRSFDFMLALRYFPATEEESNGLSAHEDGNCITFVIQDDVGGLEVLKDGVWIPVEPIDGSIIVNIGDVTQVLSNNKLKSATHRVVMRKPVHRHSLAFYFNIHGDKWIEPLPEFTTRVGEAPRYRGFMFREYQQLRLRNKTHPPSRPEDMVHITHYAI >Dexi3B01G0027690.1:cds pep primary_assembly:Fonio_CM05836:3B:23373105:23374670:1 gene:Dexi3B01G0027690 transcript:Dexi3B01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRRSGQRSSEHSEDLGLEGAKKSAKTAPAAPEDEVSDDEEESDEPGDARPVRHAPANVAAKPKGTPELAKKPSPLPTEDAGARGSGEASPARGAPSDELDAAAPPPRKKSKTKKRAKKFPPPSKEEDEVDESVDSSLISKAPADETTAMSPRRDIVASPRLKSGAAPAQKRAKMGATPPTQEEEEQVEEHAAGADGDKVNHKTEKKKKLQKVLAVVSQPDSTGLELTGNREEVPDASNTSCPQEKANAQEEEQQGEMVEQGHAGNTSPPQMVDSAEEGEHEVGEVAEHAEDTSLPQQKDGAHEDGDMGVEVSDESLLERIASSSNISTSDGEKKPALEQSWSQDDELKILTALVEHAQSHGGALPDSSDLVATLTFDKTNANEDKLNDKIRKLRAWYHRLSSKGRPKDDIGRRLFDLSVVLWGQVGNDVQVDTTFVTGDRDFTRLSSLYPYLAEEVKVYAEKHSSGNLILAAFPTIGDRMARQLDAMCKKQRLDAFKVELSQANLTKALLSAVSSQIN >Dexi1B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:1B:4816816:4818200:1 gene:Dexi1B01G0005940 transcript:Dexi1B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDDVVANILGRLPPCSIAGCRGVRREWRATIDGRRLLRADLLPLRLDGFFCRATLLDSQTYFFAPPSAAQGIDPRLDFLGEEGRDYANIVDLCNGLLLLWDRVVNPATRQWIPLTPFPRPCAGMEGFFYRLILAYDPLGLMPPPPHCSVVRFPIVPIYNDIDFTDDSEWPPSTFTIHIFSSAQRVWQERSFVRQGAPTGTIADMRHENDGCHRRAVFFRGALYVHCQNNSVIRIALSDNKYQMITSPATAENQVFHHGHVCLGKSDKGVYSAFLSKEDEWPWCRVWLLDESCQWVLKSNISLRWLAQNFPFDNTDKYSRPWINNSTKHVHQARVVQDESEWDFDSGITLSDEISDSTDVLTYGTVLLGFHPYKEIAFFHISNSRVVSYHLNTSRVQELGVLIEQPIAESFPYTRCMLDVP >Dexi7B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:7B:14057257:14057658:-1 gene:Dexi7B01G0006340 transcript:Dexi7B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSLFSDDYAACCAPTTAQAAGVADTTVLSDFPASDLTGGHYGFLPRKRARVAAEPGGFFVDQCVPAQGLMPLPVTTTTGDVQSRVGSGAASTSGRVAVHGATLPSYHDDGDEIDALIRLQVRACCDPSTC >Dexi9B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:9B:2682552:2683201:1 gene:Dexi9B01G0004690 transcript:Dexi9B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVLASAAASLPLASSRWRPLVAAHPRANPTPALRLRAPASPLPISIPGNPCTGAAAVARTPCAAAGSGGVRAEATEREAPDWVALKRVALVALGCCAAAAVLGCGATRAAAEDSIKASGFGLRVAESLRGLGWPDDAVVFALATLPVIELRGAIPVGYWMRLHPVRLTVLSVLGYSSHA >Dexi7B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:7B:10902491:10904592:-1 gene:Dexi7B01G0004480 transcript:Dexi7B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELAEKIGIDAQQVKFWFQNRRTKIKLKARGNENKEIRKENAQLRAENMKLFQQLQCGKCRDVNPMDEKWRIANENARLMGMKQRAQGYLIKLINEAPLPHSEMLGHVASASLHQVPFIESISTKQAMLLAYAERALSEFMMLARNGEPLWQRCATGKMLNRQEYKRHTFPGLLGPCPEGFVIESSKETSFVRGRPYELVDIITDVSRWSKMFPGIIAGVRKSNVITSGPLTPQNGLLQESPQAPNRRMKFLRFSRQIEGMWAVVDVSPMDSMRGIEAEGNQIGYMGCRLLPSGCLLEDIDSTGFTKVTWIVHVEYNDTIVSPPFKSFFQSGQALGASRWLALLQRQCEYMSNQDSSYSSDMSDLGRRSVLDLAKRMMASFYTAMSKPFTLKQPSNNVRNWRGRCKIRADMFGVTSHLPWF >Dexi1B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:1B:3814914:3818454:1 gene:Dexi1B01G0004780 transcript:Dexi1B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDHFLKKGLRKEKEECHRSQARFERLGDLLASDVLKRGANEEVSSINVGEGPNGPYERSPNAATAKKRSIPYSTSEEAKAVKKRRDRDAESDKPSKGTEPTKSLYLKKKLWEDEKDKIGNVFSSASIDKVKDSPVRHVLPSTGMAAHAVDDLFEAVELEDRHDPMNASIENDAGDEIRSPALPPQPLPVANSYEQYEGDDEEVDVE >Dexi1A01G0023610.1:cds pep primary_assembly:Fonio_CM05836:1A:30229151:30232561:1 gene:Dexi1A01G0023610 transcript:Dexi1A01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPAAAWAFAAATCVKLLLIPTYWSTDFDVHRYWLALTHALPARQWYTDQSSQWTLDYPPFFAYFSRLLSLPAPLVDPNLVSVPVPESPPFAHLLYLRLTVAFSDLVLLGSVLLLARDARRRQRAFLALSLVLWSPALLAVDHIHFQYNGFLMGLLLLSLYFLEQGWDLAGGVVFASLLCSKHLFLVAAPVYFMYLFRHYCCGRGVIKGLGRLVLMGSGVAAVFAAAFAPFVYYGQVTPITTFLLVILAMTPCLFKAFSNPQPKYIIRWVSYACTCGFIFGWHVHEKASLHFTIPLALISMDNLNDARHYFLLCIVSCYSLFPLLFENQEYLIKVMLLLTYAALMWFANAISELLKSVGCQSGHLLILIPEATGRMDGGLTSSTTV >Dexi6B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:6B:26619558:26620372:-1 gene:Dexi6B01G0020070 transcript:Dexi6B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTGWCATAFLSAFSSLAGTSSYPSMVTIGDVDFLQLACRALYGYASSPATPPCLAMYWNAPPLHPALAFVSQSTSCCSDSEVRFLVLMALTPSTAAIVENAQHEPHWPWSLTSVTAPFWRQSTDAGRSRGGGGWPAVAAERAVVGDPGAGVVAAELVGGHVGEAVEAELVRLVALVELVDEPRVLLEHVEAAGLLGEVARHRVHAAPALVEIPQGVLRGKVIGAKVERGGWRRSGEDDEGRRHKEHGDGRQRRSRHR >Dexi5A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:5A:4203064:4206356:1 gene:Dexi5A01G0005670 transcript:Dexi5A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding TERGSKGQTTKYPISLSQKIPPTTTATTTATSTGTLHRLRLRLAACGLRAAPTSSPPPSPSGPVAAPPALRRRGRRGGSILWKLHPSRAPPRPMDPPPQQQQGQAPRAPSPPPTPQPPAPSRRYGVHFSASSFIQAPLTALLEYSGILRPDPGGGPQQDGAGAGPGEVSIRIVAPGEAGTSSERTEEVIVEEEEEEGHAARARAAEPAPAASGGEGGRESSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKVSDCFVELVLVILVMFVLQSNKRQKSFCDTMVRQTAMIVKCLLLMYYKNSRGRSYRRQGQMLTIVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSHATSEQVIAAGDMCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >Dexi9B01G0022380.1:cds pep primary_assembly:Fonio_CM05836:9B:17041031:17042875:-1 gene:Dexi9B01G0022380 transcript:Dexi9B01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLGRVLLLVCSAWLLTKSGAWVPRIRATSLGGWLVTEGWIKPSLFDDIPNNDLLDGTQLQFKSVTQNRYLVAEDGGGAGILTNRAQASGWETFKLWRINATTFHLRVFGNQLVGVDCAGRVVATATTPGPSETFQLVRRPGDKSRVRIRAPNGLFLQAKTRESVTADRREYTDWSDDDPSVFVTNSVKHLQGEYQLCNGYGTAKATQVLMNHWSTFIKESDFRFMASSGLNAVRIPVGWWIASDPYPPFPFVGGSLQALDNAFRWAEKYNLGVIVDLHAAPGAQNPSEHSGTRDGSQEWGTTDASIAETVRVIDFLASRYASSPSLLAVSLLNSPEAPGVTLSSLMKY >Dexi1A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:1A:3233189:3233948:-1 gene:Dexi1A01G0004410 transcript:Dexi1A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLHSSCPKRNSKILHINSLGVALVLLFLAPLASSCTDEERTSLLEFLDGLSQDSALNTSWKNGTNCCLWQGVICNVNGAVTDISLASMGLEGHISPSLGNLTGLLRVNLSGNSLSSELPSELLLSSSIIVLDVSFNKLNGELHGLPSTTERTIKVIDISSNLFTGYFPYNTLQSMKNLAALNMSNNSFIGKIPSTVCVDKQFFTIIDLSYNQFHGNIPPEVEFLRLARTISVGTSPLSSSMSCR >Dexi5B01G0039160.1:cds pep primary_assembly:Fonio_CM05836:5B:38147801:38149322:1 gene:Dexi5B01G0039160 transcript:Dexi5B01G0039160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAGVPEDEESGLLPRPSAAGRRPSSRLPPPPAAWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPPASSPAAFGPIRPCKDCDPFFPIPVSSLHVVRSAIGFAIFSVVLITWATTFIVGGKRLFGPAWNDLVMYNVADRLGLSGFMG >Dexi5A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:5A:7835792:7837759:-1 gene:Dexi5A01G0010300 transcript:Dexi5A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAIELEAPPPVAAAGKEIIKSPELLSSLHRKKLGAHFMESDERRRFSSGSTTIRTPLAGGYNEPPPPSSAAFGTTPVNLRGRPISDLSKTGGWLAAFFIFGNEMAERMAYFGLSVNMVIFMFKVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTLYLLGLIALTVTATVPALVPSQDGCDTLALLLGGCAPANPWQMAYLHTALYVTAFGAAGIRPCVSSFGADQFDERSPDYKRRLDRFFNLFYLAVTVGAIAAFTAVVYIQMHRGWAAAFGTLALAMGISNALFFVGTPMYRHRLPGGSPLTRVAQVLVAAFRKRNAAFDSGEFVGLYEVAGAKSAIKGSSKIEHTDDFRWLDKAALQLDGDEVNDPWRLCTVTQVEEVKILLRLMPVPACTVMLSVVLTEFLTLSVQQAYTLNTHVASLHLPVACMPVFPCLAIFLILALYYQTFAPLARRVTGHPHGASQLQRVGLGLVFSVLSVAWAGAFERYRRGYAERHGYLGLFLTPMPDLSAYWLLIQYCLIGVAEVFCLVALLEFLYQEAPDAMRSVGSAYAAVAGGLGCFVASAINTAVDAATRDDEKGRPSWLAQNINVGRFDYLYWLLAVLSTINLLVFIYVARRYKYRVRIDAAPATVVNKQ >Dexi2A01G0026630.1:cds pep primary_assembly:Fonio_CM05836:2A:38199999:38203394:-1 gene:Dexi2A01G0026630 transcript:Dexi2A01G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAQSAVDALLGTLAAVVRDEARLLGGVRGDVEFIKEEMEIMHGFLRDASDAAGETSNQVDALTRQIRLLASDSQNSIDRYVQTFGGGLPSEAGSLRRLPQVVRSMPDRHRIAKEIRKLKARAREVGERRRRYGIAVLSAATPRDHHHKQQQEPSLQHAGTSGGGGGEAAEMQAARRRRFLARAMDLLDMDASAREVTAWLSTSGGDRQMQSRASFAEYLKDLVRHPAKLYDLMRSLQSDEAIGALIETRWPATPGDEFDEAVLLRIRRGPPSSSSTQVTGGLAAIVGKAVDPIGIFERELKYVTQVLLWLCQVFHKGHRRGLILAIVTPPVDAHDQENDLGTCNPATELARRVYDDQSKDGRFDCKAWIEAGVHRQPVERLRCLLRQVRRQQEASAAGSPPESDETSTWDEPRLREEIKRHLKHKRFLIVLADHEDDSPCLYHYKVHFYPHFEAVAAKANELLLLGASHGRRRDRDDLQLTVREILKKCRRDSSTTRMFIRALDANPHWSNAELLALLDQLRDFGKASNARHIIGFFYNHLPTQYKSCLLYLLSILPPGSKIRRTSLVRRWVAENLISTRHPFTEANRCFDVLVRQGLVLPCDMGPEERVKTCKVDPHVVSFIANMALQENVGRSTGLPPDLASRLSIRDGIQLQQLYDKTLRYTGSQTCWSWRVRNHTSPAMDSRDPSVCMAKFLNSLPASPQWGLVKVLDLEGCSGLNKHIIRNICNKIFQLKYLSLRKTDVIQLPSEISKLQDMETFDIRGTKVRSLSTKMIALQRLVHLLAGHTGHHPSRYKASGSSEPFSTIQMPSGIGSFGSIQVLSSVEVSQTTDVKELDGLGQLLHLRKLSVVIIPCKEANNILSHFLRAIAKLDGCLSSLSVHLQPVEGQQDADAEIKAGDDATISPPSSLESLTINGNIKGILTWLKELHQLSKLTLTRTALKDTDIEFLGKLASLRCIILRHESYTQPSIYLKKKEFHKLEFLVIEDSCISDIHFEHHGASKLRKIVWTSDSIKGLYGIQQVHSLEEIEFNSQCDLRAVKEAISAHPNHPIILKHNGETNSL >Dexi1B01G0004920.1:cds pep primary_assembly:Fonio_CM05836:1B:4037093:4039516:-1 gene:Dexi1B01G0004920 transcript:Dexi1B01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAQLAAVLQACIKRSGGLKPSRAHAKAAHARLLAAGLAADTFLLNRLIELYSLSGLPCHALRAFRALPHPNVYSHNAAISAACRAGDLAAARDLLGGMPGRNDVSWNTVISAVSRSDSPGDALGMYREMRREGLAPTHFTFASVLSACGAVAALDDGRRCHGLAVKVGLDGNQFVENALLGMYTKCGSVADAVRMFDGMASPNEVSFTAMMGGLAQSGAVDEALRLFARMSRSGVRVDLVAVSSVLGACAQACAGEYSVVRAIRLGRSIHAMIVRKGFGSDLHVGNSLMDMYAKCMEVDEAKKVFESMPRVSIVSWNILVTGYGQVGLYAKAMEMLELMQESGFEPNAVTYSNMLACCVKARNVLSARAMFDKIPKPSVTTWNTLLSGYCQEELHQDTIELFRRMQHQNVQPDRTTLAVILSSCSRLGNLELGKQVHSASVRLLLHNDMFVANGLIDMYSKCGQVEVAQFIFHRMTERDVVCWNSMISGFAIHSLNEEAFDFFKQMRENGMFPTESSYASMMNSCARLSSIPQGRQIHAQVIKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDCMIAKNIVAWNEMIHGYAQNGFGEKAVELFEFMLTTKEKPDTVTFIAVLTGCSHSGLADEAIAFFNSMESNYGITPLVEHYTCLIDALGRACRFVEVEAVIDKMPYKDDPIVWEVLLAACVVHHNAELGECAAKHLFRIDPKNPSPYVLLSNIYASLGRHGDASAVRALMSSRGVVKGRGYSWVDHKDGARAFMVADDLGTNVGQSTMFSDNEDTCRITEVDTDETCVG >Dexi4A01G0020820.1:cds pep primary_assembly:Fonio_CM05836:4A:24581474:24586426:-1 gene:Dexi4A01G0020820 transcript:Dexi4A01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQADSPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELIAKDLHGNEWKFRHIFRAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSLHGGKDDDLTNSLMWLRDTANPGFQSLSFGGLGVNPWMQPRLDASLLGLQPDMYQAMATAAFQDPTKQVSPTMLQFQQPQNIAGRAAPLLSSQILQQVQPQFQQQPYLQNISESTIHGQGQAELLKQQLQRSQSFNEQKPQLQHQQQQQESQQQSQCLQVPQHQQMQQHNNMTNYQSVSNALSAFSQLSSPPQSSPVALQTILPFSQAQSFAEANMSSLSPSNATTMQTTLRPFSSEVASHLSMPRPTAVPVADPWSSKRVAVESLLPSRPQVTSQMEQLDSTPCIPQSSALAPLPGRGCLDQDVNSDPQNHLLFGVSIDSQSLLMQGGIPGLQNGNDSTTIPYSTSNFLSPSQNDFPLDHTLNSSGCLDDAGYVPCSDNSDQVNRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPMRSGWQLVFVDREEDVLLVGDDPWQEFVSTVSCIKILSPQEVQQMGKQGLELLSSAPARRLGSSCDDYVSRQESRSLSTGIASVGSVEF >Dexi7B01G0004500.1:cds pep primary_assembly:Fonio_CM05836:7B:11005497:11006648:-1 gene:Dexi7B01G0004500 transcript:Dexi7B01G0004500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRRNQGLLLEQLISSDENASDKTKIFSVEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKIIEEGEINQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLYEFLHGDSSKDMDLSWDDSLRIAAEAAGALCYLHSAASVSVFHRDVKSSNILLDSNYTAKVSDFGASRLVPIDQTHVVTNVQGTFGLEQEFLSTAGLSR >Dexi1A01G0029370.1:cds pep primary_assembly:Fonio_CM05836:1A:34883531:34883785:-1 gene:Dexi1A01G0029370 transcript:Dexi1A01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKLEFWVAEFGSFDKLLQALRWRSGFFTIARPNVAFLRQHGLNISDTTSASMYYPRLFNINPELLKEAVQRVEELA >Dexi9B01G0003780.1:cds pep primary_assembly:Fonio_CM05836:9B:2174275:2175587:-1 gene:Dexi9B01G0003780 transcript:Dexi9B01G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSISSLQITRIAVVTGGNKGIGLEVCRQLAGNGVTVVLTARDETKGAAAVEKLRGMGLSNVIFHQLEITDDTSISRLGNFLKTRFGKLDILINNAGVVGLDFLQDRIDGTSTTSEKFGGIADTYERINLLLKWCFRETRDAGKECLRTNYHGTKYVIQALLPLLLASDDGRIVNVSSELGQLRLFGDEALRRELDDVDALTEERVDAMVAAFLRDLDREADAAARGWPAGAMSAYAVSKAALNAYSRVLARRHPEVRVNCVHPGFVRTDMTANFGLLSPEEGAARVVAVALLPAGGPTGVYFEERQQASFV >Dexi1A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:1A:4404943:4406802:-1 gene:Dexi1A01G0005910 transcript:Dexi1A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFRTHFSYEALLELRSSYTCSSVKTDSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGVASLRASQQVVEIVDRYDDACVPANVTDKLAYIQDKSIQKSCTRTLTVTKDMNQPIFVYYQLDNFYQNHRRYVKSRSDDQLRDKSKAAVTTNCDPEATLNGKPIVPCGLIAWSLFNDTYNLILNNDNLTVDKKDISWKSDREHKFGSDVFPQNFQQGPLIGGKTLNPNVSLSEQEDLIVWMRTAALPTFRKLYGRIHVDLKVNDTITVHLENNYNTYSFGGKKKLVLSTTTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKSGDNNYLSWNRPPVGR >Dexi9B01G0044200.1:cds pep primary_assembly:Fonio_CM05836:9B:44018807:44020465:1 gene:Dexi9B01G0044200 transcript:Dexi9B01G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNFSYVKICRARGLSAARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPITGGNTKYLEWIKNSTREN >Dexi4A01G0008400.1:cds pep primary_assembly:Fonio_CM05836:4A:6324392:6327005:-1 gene:Dexi4A01G0008400 transcript:Dexi4A01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAGGLRRRSDETAPGTWPARARIWSGTKERWRRAPPGTVLIACTVALVFLALLFLGTQENASLVWQNAGAKLTAMSKDSMKPRDDASSTGGATAADELLGGLLVPGFDRSSCRSRYETSQYFKHSPFAPSSYLLRKLRAYEARHKRCGPGTPLYAKSVEHLRSGGRGEVDAAMECNYLVWLPYNGLGNRMMSLVSTFLYALLTDRVVLVYSPADFTDLFCEPFPDATWVLPPDFPIANLSKLGQNPDPSYRNLLDKEKIIVNEPYVFLNLGHERDIDKMFYCRDDQLVLAKVKWLLVYSDVYFVPSLYSMGEFHGELRRLFPAVESVSHLLARYLLHPTNSVWGLVTRYYDSYLANAKQRIGVQIRMFNFARIPVEDMYHQILACSRQEHILPEIEGDEDDLGTTKNGTTNSDAADAVSTAGGPTTAILIASLYADYYERLRSRYYEHAAKGGMTVSVFQPSHEEVQVEGRRGHNQKALAEIYLLSFSEVLLTSGTSTFGYMSSSLAGLRPVMLYPARGHKIPKPPCVRLVTMEPCLHRPASMECQANAVDKEDLARHVMW >Dexi3A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:3A:11475591:11476541:1 gene:Dexi3A01G0015430 transcript:Dexi3A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPVSTSAAVSSSSIVIDDDITAAATGVPGLPAAPAPSSSSSPSTQSIVLRVVAVIAVACASLFAQHKASKGFGINVVSAASTRSSVADAGRRFDLFFVSNGRAERILHYASRGVERALFPDASFPRKHVRRVTVRMAGHNLTADGEDAAVDATAAPGEYVISLSPALVSRTGDHDAAVAAAVRRAVARMWLWDGRGAAPARVTETMVEYLASVASGDEAAAATPLSSSPVDDGEEERRCMSARFLRHLERQREGFVARLNRAMKDRWSDAAVDAALGAPARHACAAYRAARATSSTGRQDPAGATLAAST >Dexi3B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:3B:1196077:1196415:1 gene:Dexi3B01G0001670 transcript:Dexi3B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRGSVTMISAMLIFLHLLLLSSPAAAAATPTGTTLQPPDDASNTAQGWAAARRLLLRQQKPATTGTATFFHVDVAARQAATTSPAAKPNVEFNASTKSAPGSRFNPKQN >Dexi9A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:9A:7776547:7778049:-1 gene:Dexi9A01G0012290 transcript:Dexi9A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWADLPPDLLRLISGNLHEVGDLIRFLSVCQAWRDTAPPAPLPQFLPWLLAPRGKYSPSIARFRSVFSNTTTTTTTTWCAPGTYSRRTMWLSTEDGTAMWSLTSEAGPSQSPLRVVDPFTGAATALPPFAREIAGYKLYYTDGFVLADGTFVLYGVEDLDRMGCAVTAAMLRPGDTAWMEGGALLIVYPGFCGGSAATYHDGEIVLVDVLHVDTVKLRITRGGGNIGDVLDVTANTTSREDPPWSRSPGPQPRRTYTFKSRGELLVACLLVQDMAEQDGGVDQPRALAGAMSVSVFALEEPEEVAGDGVDIIRRWVKRDGRSLGDRVLFLGCPTSFAVDAARFGGAISGGCAYLVLSSQQNAGWSRRNVPETCRVYRYSFEDGSATVVEELPTGAGWDDDANMTWVVPRPSAIAPVRREARSRSKEAAGRFLSKKHNPCSKKSAWAPVQDFLGQPANMVI >Dexi6B01G0016400.1:cds pep primary_assembly:Fonio_CM05836:6B:23684428:23684882:-1 gene:Dexi6B01G0016400 transcript:Dexi6B01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLRAGTRSRRLWWWWWWARYAAGGGGDGEDAAEEEAGEVEVGEDDMAVGAEEDVLGLEVAVHDAGGVELGDGGDDLGDVEAYRGWGEDAVGEGVAELVEVAAGAVRDGPGEEVVGLSEAEEGREVRVGEAGEDAHLPPRAAVG >Dexi9A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:9A:2508528:2509192:-1 gene:Dexi9A01G0004620 transcript:Dexi9A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSLLLLLLSTAALIATCRGDPDPVQDFCVATKVGDSESSAFPYLLSDDFFFGAQAHGASTDNAMGSGVTPGNVEAFPGLNTLGLSINRVDLAPGGVNPLHTHPRSAELVHVEAGEMLVGFVSTDGTFYSKVVKAGESFVIPRGMMHFQYNVGKSAARAMTVFNSQLPGVVFAAQSLFATKPEIPDAVLAKSFMVDVEIVKLLRSKFRKG >Dexi9A01G0018210.1:cds pep primary_assembly:Fonio_CM05836:9A:13257782:13260500:1 gene:Dexi9A01G0018210 transcript:Dexi9A01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKEQQGAPERQPGKNLIKIPSYQEVFGTGSTSSSSTPPSYNPPLPSAGTPAASTSSSSSGSFSQAFSFLKSSEFYSPPPPPPPQPASTPRPPQASSSVAVPQSKIAILVSHRQRGNPLLKHIRNARWTFADVVPDYVLGQSSCAFIRYHLLHPDYLYYRIRELQKNFRLRVILCHVDIEDVVKPLHEITRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADNIREHMDNDYLSRFTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKRLHDTFHEPFKRVSVRRNFVVPDTPDREKASGQPSSMNDSSENTAEKSEASKNKKGSDVRSALTAAFAKYSEKIRSQSSEAANEAGEGASSSNREEAKTKD >Dexi3A01G0025070.1:cds pep primary_assembly:Fonio_CM05836:3A:20683727:20695448:1 gene:Dexi3A01G0025070 transcript:Dexi3A01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCNVRYDEDERTPLLLHCGHGFCRACLSRMLAAAPGATLPCPRCRHLTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSDDEDDFFARPSRRPASAPATAPPGCSSFDLASHPDLKLARRIGGGPPGPAGQEVWAGTLSRGGGAKRCKHQVAVKRVPLAAGEGLEGVQEEVERLRRASTWCRNVSTYHGAVRIGGHLCFVMDRYVGSVQMEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDANGHAVVSDYGLSAILKNLSSRRVPDDSGAGIDAALLSPNYTAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFRASRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNETLPNGIKPPTTSILEMVHDNPNALHHLVCEGDAAGVRDLLAKAASERNDSLIRSLLEAQNTDGYTALHLACRRGSAELVEAIVPYQENVDILDKDEDPPIVFALAAGSPRCVRALVGRSSSINSRLREGLGPTLAHVCAHHGQPECMQELLMAGADPNAVDGEGESVLHIAVARRYTDCAIVILENGGCRSMGIRNSQHKTPLHLCIETWNTAVVNRWVEVASLEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPAAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNIQDDDGDNAFHIAADAAKMIRENLTWVVQMLQQPSPAVDVRNHRYEVADWVKFRRTVTSPAFGWQEAGPRSIGFVQSIVDNDHLVVSFCTGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAAPWQADPSDMEKIENFKVGDWVRVKATVPSPKYGWEDVTRNSIGIVHSLQDDGDVGVAFCFRSKLFLCSVADVEKAQPFEVGEKVHVSPSISEPRLGWLNETAATIGAIARIDMDGTLNIKVSGRKNLWKVAPGDAERLSAFEVGDWVRQKPSIGSRPTYDWNSIGRISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVQPFKIGQHVRFRAGISEPRWGWRDARPESRGIIAGVHADGEVRVAFFGVPGLWRGDPGDLEIEQIFEVGEWVRLRNDANQWKSLRPGSIGVVHGVGYEGDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHNHASIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVVEEEEICVGDWVKVKDSVATPTYQWGDVNHNSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFRQGDRVRIRPGLVSPRWGWGMETYASKGEVVGVDANGKLRIKFRWRDRLWIGDPADIVLDDSALIDGAS >Dexi3B01G0005810.1:cds pep primary_assembly:Fonio_CM05836:3B:3976545:3976892:1 gene:Dexi3B01G0005810 transcript:Dexi3B01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVVVAAFAVMLVALIAFSGQPAKAATSCGDYTSQCTSACNDQAAASCGAAKASATQQCSSSCQGSNACSMYCGKAGDNAYSACWSSVFPNCWNHCNSTCISNCAPAPAPSP >Dexi1A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:1A:3006993:3007286:-1 gene:Dexi1A01G0004100 transcript:Dexi1A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWAPLAAAAVCLVVLLVALLAAVSGARGAAAPVPAARRGAAATAAFDAAARVARCKDGNSKRKAGGAACAGFTGGDDDDMRVVPTGANPLHNR >Dexi1B01G0001670.1:cds pep primary_assembly:Fonio_CM05836:1B:1322830:1328876:-1 gene:Dexi1B01G0001670 transcript:Dexi1B01G0001670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKCKGLQLSVCAHAKRTTKRATSPHRLLLLVLAEHLPLTHPLPPSTPRLLAAAVLCCSSTPGAKGEDKNRSKQPPTAPARSHAASAIRFPCSLPPLLVAGHRLRRLPPPLLRGRFEEMQIGAGGCNGKEREGGDHKVALLRQKKLKAKTFKWRSSNCKDMNGKVEAGGSDEVYDDTVLCSLPTASFSSLVSQKRVRTLGKVAEQCDAVDPPVPRKLRSAINKRVGRFVSASSRHVKKRRHLSAISAQISFVDQETRFSGNSLFTEEEEAIADVLLSISQIPSLSGATADKAIANRSNTNVASTSYSEEATKDGEGIVILPNAPNEVASQATCTNKVVEQTKSVTHVNPVPCSTDQSNNINPPLSENEQMKDLSSGTVVNLPSPSKDSNNSPLKQQKVQLDDSLSFPAQKPEAPNCLVNSNKFGSIPHEEEKAKNSSAQETLPLVQTPLPRVPEGYLVKPSSSKWASHKNIISEASRFTAPGNQDKHSLARNVDSTKAWKRSITHVYVSHVIQMHMNKEKASQTQVKPEERPHVRSSRSPNGPAIHKNNARDEKFYTVHFDMRVPVQPSPGMCDMSADRQKIVSGNFLNLPTSTAMPGTQHLQYLHPQMAHRGAMPYPFPHLPYSRGNLAPAAAIQQMPQQYMANMGYAPRPGLPASLSAMMKPLQQQQQQQMWQYHVSQYQPRPDATPPPPSVAAWHNMSSLRPTTMAMLPSPAMSAQMELFCAPYQGGSRQSQQLRLI >Dexi2A01G0027400.1:cds pep primary_assembly:Fonio_CM05836:2A:38818085:38827810:1 gene:Dexi2A01G0027400 transcript:Dexi2A01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFDYPDFPELSQPPPRSAPLPASVAAAASSSSWPAPPPPPPDAASPEPDLAPQDVPTPPASSSSPSPRSASKARSSAAVDGLASGVAALSFEEPVGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKSENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVSVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQSVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYPGDSSHPTWQSVGHVIKLTAQEEVALELRASQGVPTELSVGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGRVNSTNDLLMGPSQPVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVVRLCAKSREAVSSPVEHLTLHYQVKHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKSLKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGQGVMHGANFGAGASPAADKRSGRGKGQSFVPYGPPNGVHKPGVHPAGYAVPRMPFPPFPGTPHSQPYAIPTRGMHGPIGAVPPVPQPGSRNFGAPRSNTGGPIGGHLAHSQNSQQAMGGMGSNFNYNMENPSSQPSGAAQMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVPYNIADFSTQASQGGYGVEFTQAPQSGYSGNYMNQNAHPGYSHIGTTNDIVSQDHMAHGSHGMFTQAAYNDPSQDESSQMHYGMASAGPLQSQSMMNPLYSQSYAHYNTQPQSLQPPPQ >Dexi5A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:5A:5170555:5171823:-1 gene:Dexi5A01G0006980 transcript:Dexi5A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNQYALPSVVSIGPWWCRFGDRSRRLRIMDTVKLAAAYSLCKLSARSPSHVYDKVISILGHVRGHYEGQGFHFSNYYHSPFDVSDGELATMMFLDGCFLLQYMMGGGTDPMLQKLMSPSGIKKDIFMIENQIPYLVLKALMEFVSFDVHGFIATMGTEVFPNNHNKEEVQRQKPRRRTQSGCTNNVIEDGSYEPPHLLGLLHFHLTGSMPEMARLSAAELARIGIKLAAATTTATCRVDMHFTRMPIMFAELSLSPLFLDDVTVSWLVNMAAVEETAAGDFIMPASSPEAQFRLSSYLSVMAMLVNREEDVQELRGRQLLHGTLSNTQALAFFKGLGHSLHHGRQYDAILEKIDTYKRDRKVRVAVYRFLYDNYKTIAAVLSITGVLIGIFKTLLSFKQH >Dexi3B01G0025410.1:cds pep primary_assembly:Fonio_CM05836:3B:20170835:20172023:-1 gene:Dexi3B01G0025410 transcript:Dexi3B01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPYLVGLLGYCADQSHRLLVFEFMPNGSLKSHLHPPQQPQQPLDWQTRLGIALDCARALEFLHEHTSPAVIHRDFNCSNVLLDHNLRARVSDFGMAKVGSNKADGQVVTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTNREKLVQMVDPALKGQFALKDLIQVAAIAAMCIQTKAEYRPLMTDVVQSLIPIAKPTPGMSCSSTPLTPALQHVIFMSSQCGDKAAA >Dexi9A01G0032680.1:cds pep primary_assembly:Fonio_CM05836:9A:37593213:37596069:1 gene:Dexi9A01G0032680 transcript:Dexi9A01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATPSARLLLLYLSIAAAAAATTARAHGGGGDDDADADADSPKPDLRSRGLVEAKLWCLVVVFVGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDANETFEDLLPDSGYPWAFMLACAGYVVTTLADVVISYVVSRGRTSPGSANGGGGSAAGLEEGKVSTTNGISSEPQPAEAHGSDHSVASMLRNASTLGDSILLIAALCFHSVFEGIAIGIAETKADAWKALWTISLHKIFAAIAMGIALLRMIPNRPLLSCFAYAFAFAISSPIGVAIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYKPRRPVAVDTPVGRWLAVVLGVAVIAVVMIWDT >Dexi1B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:1B:19705082:19706676:-1 gene:Dexi1B01G0013690 transcript:Dexi1B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVGTDRRVEVMRHILNLSYKNLPPHLKGCFLYLDSVIWRDDLVRQWVAEGFVGGTHLEAIDVAANYFNQLVNRSMIQPIETGYDGEILSCRVHDLMVDLIIRPKSEEENFLTVIKYSHEVKIKPGSIHNVRRLVQHSDPTSVLSATPLQALSIDLSKLRSVSTCGSCTYTPPLSEFKFIRVLILRFARNRTEAPMVDLTAICKLFHLRYIKISSRLRLRLPTHIRGLLHLKTLEISSAHEQQVPSDVFQLPRLSFLSILPHMASLPAGVGAARCLRSLASFVLQEDTLDLIDGLRHLSKLKELHIHLPVDERFEETAEARVDGLCSSLPRHSECKLYINAWSPKAWFDGVPAWVSRLQRLYSLELGVEEVSRDGVAILAGLPALVRLDLWIRGTPKESIVVAGVGFVALKHLIVTCRALCLTFEPGAMPRLQNLKLEFDADGEQGGCRNALAGVEHLPGLREIHARIGVLRGATGIAVGAVMSVLDGRSAAGAGVSVLEDAIGLHPNKPRVDIAFMEGSYGGS >Dexi8A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:8A:12113880:12115287:1 gene:Dexi8A01G0009310 transcript:Dexi8A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAFDAVKLVLNFIREEARLQGSAGDDVRFIMDEMESMNNLLHQLLKERAREISERQVRYGGGLLPAQRQDGNAEDASDRSAVSNQRQRRAHAGSSSLVRRAIVDREFVDNSSVDEALLRLNVLRNLNQQSQQQPGSDDEDNDNDDSGSGGDESSVDGEQSDSSNKQQKADGSDKVPKQTQLDAGEKQQQPTQSDASYKEQQSDVGNKKQQQQPQPQLDVSFKLLQSDGSDKLPQQLLTQPDADKEEQQPQPQLDREKQLQSDDRDKPPQPEPAPAGNMEQQPDPQPQLDVTNKQLQPDGSDKLQQEQQSSQLDSSDKQKKKKKKEEEEEEEEEDDNDIEVVAIVVQDDTDEAAVGETERCSTSPSDGLRFSRRSKCT >Dexi1B01G0026850.1:cds pep primary_assembly:Fonio_CM05836:1B:31697671:31702204:-1 gene:Dexi1B01G0026850 transcript:Dexi1B01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMAAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPNHGYPYRSYGAPYFPPYGYGRAPRFRRPMRYRPYF >Dexi5A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:5A:1041392:1041961:1 gene:Dexi5A01G0001500 transcript:Dexi5A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLQDAIRRVTGAISGNVTEDHLDGMPYLKAVISETMRLHAPAALLIPRETTEDTELLGYHIPAHTRVVINAWAIGRDPAAWEHAEEFVPERFVTGGGGAAVDYYGKVGQDFRSVPFGAGRRGCPGAGFAAPVMELALANMLYRFDWAVAHGDGRRQGMPSVDMGEVFGLSVRLKAPFILVAKPWSGL >Dexi6B01G0010030.1:cds pep primary_assembly:Fonio_CM05836:6B:16176167:16177168:1 gene:Dexi6B01G0010030 transcript:Dexi6B01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPDADLDTTGSYSTADPNTLAIARTSTDHPIGVSLRLALPPAESRVCIHLPQGSKPSRLDNQVIAAHGDSVLVRASREGDYGKPKDYFVYNAGTTATDSPRPPSLSLLPPCYHYMYKDSTGILRRSENDLVVARLKIEARNNGDKTPKKDHVAEMLMFRSGEWWNIRWARIAGIENDELGRYLWSSSSVIPVGDNMLCWVCLGRGLIFFNVDDDDARLVYVPLPEDPRSAYSGRNVCVTSAGNLVKFVNMFARCCCGGDGASECEHSKHCYVIKTWTLRMDSMTWVLDGMIDCTELWALDAFKSLPHVRLEFPVVSMDEPHVICFMVHEKE >Dexi7A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:7A:21304958:21306223:-1 gene:Dexi7A01G0010530 transcript:Dexi7A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVSKDIITLRGSAAIVSEFFGYAANSILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLTSQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFNIVTDAEVVEKGAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKKDEWDDEE >DexiUA01G0018720.1:cds pep primary_assembly:Fonio_CM05836:UA:39475274:39475952:1 gene:DexiUA01G0018720 transcript:DexiUA01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRFSCSLLLITLLSRLSLPYPLELSPPLTALDRRHRPAMLQLHPPRFLPLPSHRLAGRRRRARPALALNSKWKLPDVDKARYTEPRQRRGAGCLCFMSLISAASCADAVRERVRSWMSLARGAIADAAQSARERARHKEDPESGKKQQRKEVAVEEQALVDVPEVTGWLSLDAVVSIEQFAR >Dexi1A01G0031380.1:cds pep primary_assembly:Fonio_CM05836:1A:36384811:36385903:1 gene:Dexi1A01G0031380 transcript:Dexi1A01G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKSTLAELKEANEQGVEIGEAESTITEVEAVVKPTEE >Dexi4A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:4A:117810:119489:-1 gene:Dexi4A01G0000170 transcript:Dexi4A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARRPFRRGAPPTGTGYVRRGPVPAPAAAATAAKPLRKPVFTTIDQLRPQTHGHTLTARVLSARTVLDKQSANQSRTRVAECLVGDHTGTILVTARNDQIDLVKPNTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDAADFKVKEDNNMSLVEYELVDVAEEED >Dexi1B01G0001020.1:cds pep primary_assembly:Fonio_CM05836:1B:860146:862912:1 gene:Dexi1B01G0001020 transcript:Dexi1B01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPPLAPAAATPSSGDVAAPSPTAVSGAGAWGAAATAQRKAVVGESAAQAVSRLVASCANSSGVAVAVVDANAVIAGGSALSTTAERLVTVPEVLDEVRDAAARRRLTLLAVPVETVEPPPEFVKKVTKFARETGDIQTLSDVDIKIIALAYMIEAEIHGTSHLREHPPPLREVNVRNLSEAQLPGWGSNVPNLKEWEELDQMSEAGRDINSRILPLKDLDNQDIPMSETNSVSEAQEDAGYQPSDKDTRTAWEDDENNQGWTPAVGRSTHRRYLRRKARRDAIKESGSFVAPSIDADKVPSENGGFEHDSAPADGSSFIPEESNSSTTGLEHQVENEPEIAGEHLHPGQLANDDDTDACTKELDNLDLKSETEGGDDAHSMDGESSEQSWALRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQMHRWVLRCHACYKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRITLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPTSKKSSKKEDDFLGVDDIFSHSGDKKAPLKPPVRKALAMFSGKRNPNDNHFSRKKH >DexiUA01G0020510.1:cds pep primary_assembly:Fonio_CM05836:UA:42814159:42816414:1 gene:DexiUA01G0020510 transcript:DexiUA01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSHTVCKECIAHHYWHHMDGRKRFVKFMVGDFKNGVVAVEQNELVLRSGWADFATAYDLKVGDLLVFRNNEHAPSSESIQEPVNSGGFQKPTKSCIVLPTGCNLTSEQRAKIVALEQKIQPKIPFYITAMHRKSVASGILAIWKKYSMKYLSNKNGIIQLSQLDGSKTWAINLDIITDGHHAVSTGWMDFIRDNKLQEGDICIFQPSKSKNGVTLNFHPLEESCHLQPPGHVPSAKTKSPRHGVPKPPYMLPRYTTLNDQQKTEVEKKVEAIQSKIPIYVAIMNNTNTNSGPCLFEFGLDYATKYLPKENQTMRLRRQGPSKEDRWEAIFRVKNRRYSLGQGWGKFVADNKLKTGDICLFSLMRNTMALTMNVHIIRKRSV >Dexi5A01G0022060.1:cds pep primary_assembly:Fonio_CM05836:5A:25970567:25970821:-1 gene:Dexi5A01G0022060 transcript:Dexi5A01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAHPVGRTTPTTYESVGGGENRTRTDLRSREDQGAIQIEKVQDKVDDAAGRGVDHSTFGAKKDDSRNADADAGATGTGE >Dexi7A01G0023610.1:cds pep primary_assembly:Fonio_CM05836:7A:31543563:31548103:1 gene:Dexi7A01G0023610 transcript:Dexi7A01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRQQATRLEAEPFLLLSSKPQLLLVVSSSSEEGEDHRRERSPTLHPSPLLLRAAPARFAWRRLLAIRLVSGQATLHGWIEMGACVSRVTCCCRSPRNGITNETTDAVTEEQGEAYELPAFQEFTFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLIDSDYSVHLTGESQAMKWPMRLRVVLYLAEALEYCTSRGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTMLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRLLVQSLAPLQKDVEVNTCAAMLESNSIL >Dexi2B01G0010550.1:cds pep primary_assembly:Fonio_CM05836:2B:11997836:11999126:1 gene:Dexi2B01G0010550 transcript:Dexi2B01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQMEGTVFTPSLEGMKYVKSDSGVILTKPFLEVCKHILPVLEKFGSAMSIVKTDIGGNITRLETKYASDPTKYEQLHSMVKLEVSAKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMSQVCSDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDVNKDIEKFCSTFSPLLAENHKFLASVGMDDLKAS >Dexi9A01G0009380.1:cds pep primary_assembly:Fonio_CM05836:9A:5659144:5660064:1 gene:Dexi9A01G0009380 transcript:Dexi9A01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVGVKKEALAATEEATPTWNAPPLLLEKEPEASEKYHNQMVGEESAKDYFNQFKAMPAQKHWICRVLMPRQ >Dexi3B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:3B:4957983:4959309:-1 gene:Dexi3B01G0007070 transcript:Dexi3B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPAATAAAVDASDDLKGTELRLGLPGSESPDRRVAASAATTLDLLPAKGAKRGFSDEAPPPSPAAAAGKGKKVADEEEDDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATTQQKGNKEDAEAKQGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSNGEMSPVTLCFYRAT >Dexi9A01G0022030.1:cds pep primary_assembly:Fonio_CM05836:9A:16978089:16979685:1 gene:Dexi9A01G0022030 transcript:Dexi9A01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSAARLLGSAYCASAAAAPGAAAPAEQLVTPPPPPPPPPHLAACSAPLESCGLGGYSSSATASGVACELSRSPWDLIAELSLSDPQVEDDLVDRYFVHVTTRASWLFSASMPVSSSKKAAAAARERAAAARERAKRRRDTARRLSKKAAASKQKDGEASSKAKVKKEEEVAVPVQVWKCKKNDGKRWHCHRTVSQPNTLCSYHFVQKRAYLNPDFELDSAMEPEEDEAPAPAPAPAAASKPSSNSKPRKKKPSSDFNATEGFYYYAGFGPFRSKRHCRSGGTNEPVLAKQEEEEEAPEPEDASPPPADQAPAAENKKNQAAVKAEVSSCDDDIAGIAGVDEDTSDDGYDGIGIAGSSMDPQARNGDGKRKTPWKRWRKPVKARSLMSLM >Dexi9A01G0032070.1:cds pep primary_assembly:Fonio_CM05836:9A:36865824:36867050:-1 gene:Dexi9A01G0032070 transcript:Dexi9A01G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAGATSWLPLILLATCCLMVAVAAAADASASGVAGDNLMMGRFLRWQAAYGRSYPTEEERRLRFEVYRRNVEHIEATNRAGNLTYTLGENQFADLTEEEFLDMYAMKGMPSSSGGLRRDAGRKQANLSAAVDAPPNVDWRSKGAVTPIKNQGPSCSSCWAFVTAATIESITKIKTGKLVSLSEQELIDCDPYDGGCNLGYFVNGYKWVIQNGGLTTEANYPYQARRNYCSRSKASQHAARISDYVQVPAGEGNLQQAVAQQPVAAAIEMGGSLQFYSGGVFSGQCGTRMNHAITVVGYGADASSGLKYWIVKNSWGQSWGERGFFRIRRDFTRSGLCGIALDLAYPVV >Dexi3B01G0030340.1:cds pep primary_assembly:Fonio_CM05836:3B:29867218:29871379:-1 gene:Dexi3B01G0030340 transcript:Dexi3B01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKKMTRIKKNGDLESIPARTTPDLNATGAEKRNSCGTETDRGRDSSPREGRWFGEGLRAADWEEEGVERRLRSGRPAVPAGNFMKPSPPIQPALAQSILPLSNRSNRPLIRSNNPMLPRSDRSRPSLPFLTYASSSTLLPRSNRRTASPVPTLHVPPLRSFPHALPLQRVPPTGTLSADDWCLHRVPPSGVSTGCRRRVGCLHQVPLLGSFSAPFMKPLHPGSHRPVVRRHGERHPPGDRPVVQHCGQSPSSQRFEGLLPLKINRDLPHPRPSSLALQADVKESIRRWRQDGLGESAIVQV >Dexi3B01G0027320.1:cds pep primary_assembly:Fonio_CM05836:3B:22856259:22857269:1 gene:Dexi3B01G0027320 transcript:Dexi3B01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADAWGRSSSAAARRLQARYDLYMGLDDADAAGDEAVDPRGGAELYNCPFCGEDFDFVSFCCHIDDEHAVEAKTGL >Dexi7B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:7B:934693:935104:1 gene:Dexi7B01G0000300 transcript:Dexi7B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEHEAEESSSQRRERLLALRSAANASPAEAPPPAPPGTLLPDLELSGDLASFRGPGPDPRSRPPQRFDYYTNPAAAFSSYSGGATNPTWSHKLDC >Dexi1B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:1B:2010023:2010726:1 gene:Dexi1B01G0002490 transcript:Dexi1B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPNPAAVLHAALLRASSSASGLPPRITFNSLLAAASSSPHPRLRALALPALALAHAAGVVPLDSYTLCPVLRAAPSAAETLHALAAKSGWLGSVFVSCALAASYGGSGRFHDARRLFDESPTKNSVFGNAVLAAYLIAAKWAPALGFARRFLELRLQVNGCTMTALVQACGEVANADLGAQAHGHAIRRLEGLEADMFLVSALVDMYAKCGLVGRAERLFGLAHQM >Dexi2B01G0031670.1:cds pep primary_assembly:Fonio_CM05836:2B:39724454:39728726:1 gene:Dexi2B01G0031670 transcript:Dexi2B01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLKALAYGAGGVAVAGLAALVALQERLVYVPVLPGLARAYPITPARLRLAYEDVWLRAADGVRLHSWFIPHSPTCRGPTILFFQENAGNIAHRLEFVRLMMQRLQCNVLMLSYRGYGESDGYPSQKGITYDAQAALDHLAQRKDIDTSRIVIFGRSLGGAVGAVLAKNNPDKVAALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIVGEVKQPILFLSGLQDELVPPSHMKMLYDKAVEHNRNCRFVDFPSGMHMDTWMSGGDRYWRAIQLFLDQYAPEVQSRDGSFKSEIAEDVDFSG >Dexi7B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:7B:14520615:14522063:1 gene:Dexi7B01G0006790 transcript:Dexi7B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSGNAVFLLLLSACCVTTLACDPNGAKFGYVGSVGPAHWGTLSPNFSQCAKGMSQSPIDISTAAAVCNPELQPLHRDYTVANATLVDNVFNIALRFDGGGGNVSIHGKQYRLKQMHWHSPSEHTINGQRFPVELHMVHASDDGNVTVVAMLYRFGRPDPFLSQATPVAAGVVSLWPLRLHSHMYYRYVGSFTTPPCTENVVWSVLAQVREMSVDQAAALMAPLEEDYRHNNRPTQPMNGRIVQVYPWFMGNKETP >Dexi2B01G0034220.1:cds pep primary_assembly:Fonio_CM05836:2B:41504798:41507022:-1 gene:Dexi2B01G0034220 transcript:Dexi2B01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQIDNFDPCTDYYVEAYLNHPDVQRALHANVTRLGHPCRYSVNQLQLPVAAKWRPWFSDTKYKGKEKGSLSLVTVRGAGHEVPSYQPKRALVLVQSFLAGKTLPDCKKCESF >Dexi4A01G0002720.1:cds pep primary_assembly:Fonio_CM05836:4A:1866763:1868411:-1 gene:Dexi4A01G0002720 transcript:Dexi4A01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVAAVEGQERHYGGRITPFVVLSCMTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMIGDTRVSNYCKFDSQLLTAFTSSLYVAGLLTTILAARVTSGHGRRVSMFLGGAAFLAGAAVGAASANIYMVILGRVLLGVGLGFANQAVPLYLSEMAPASLRGAFSNGFQLSIGIGGLVANLINYGTEKIAGGWGWRVSLGLAGVPAALLTLGAVFLPETPNSLIQQGKKDRREVSQLLQKIRGAGVDVGDELDDIVSANAAIAAGGEGFRRLLLERRYRPQLVMAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSSAMVTGIVGVGSTFASMLAVDRFGRRTLFLAGGVQMLVSQVLIGSIMAAELGDTGGVSKATAGVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRAAGQGVTVAVSFAFTVFIAQAFLSMLCHMRAGIFFFFAGWLAVMTAFVYLLLPETKGVPIEQVAGVWRAHWFWSRVVGAEHDDDGGEREGGAGKL >Dexi3A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:3A:3682595:3682976:1 gene:Dexi3A01G0005680 transcript:Dexi3A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVARCREQRRCGEASCSGEQRRGPSSRSPPRRLLLEPASGGEAGISSQRRHPCFSSLLPPPLFHEEDVAPQIRLARLLLELGGLELLLELALEEEVAASHLGRSPTPPASSFPPGSGRAG >Dexi7A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:7A:16408541:16410547:-1 gene:Dexi7A01G0005260 transcript:Dexi7A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVITVDLECSRCRSKITKVLDCLKEEYCIEKVEFDDKNKKVVVRGKFDAEKLCKKVWSKAGTKVVKEIAIAEVWPMPPAPKPCKPDPKCDCTHCKPATKPEEPKPKPKPPVKCDCDHCCKPCCNCKPDDKKPADKEKEKEKEKKPEKPKPEYKLVPYPYPYPYTYPMTCPSWPSQCPPQQQCQGCQPPPPPTPPPPACSCSSHGSCSCHGVWPPQPPAVWPPQPPVWPPPPAWGGCSVVADENSCSVM >Dexi3A01G0022920.1:cds pep primary_assembly:Fonio_CM05836:3A:18527907:18530531:1 gene:Dexi3A01G0022920 transcript:Dexi3A01G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSWIRLRPPRAALLILLLLALNLTFSIAANFEGFDSDDLPSAADGLDADDDEEGLDGVDLPPPPPISLSTSAPSPPVTTTSAPNPNPAKPTPPNPTPALDLWDEDEFEGIPVPEAISSEDSAAPAEAAPSDPTAEAAAEAAPAPKKTPAELLRAFSVEIACISFLICFLLNYFTGKKQNENIALAWATKFATRNSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKFVELVFPRKDTITFEVVMNEDAMDHVVLAVARKKAAKTMQKEERDLQKFANVLTSAPAGRKWVSDELAVVAESKEVAGDMITEAVLDQVLGEKAFEKFGKWFISLHFSDQLAGSYKKVLTFKFILPDASNMSEMTRLVALVPYYIDLVGRYKLSSHARSKTDAARTKAAQEAFRELQGARQEALQRKKAEKKKLMEEADAKLSAEALRRKEEKERARQMKKSGPKVKMLRS >Dexi5A01G0025410.1:cds pep primary_assembly:Fonio_CM05836:5A:29268375:29268851:-1 gene:Dexi5A01G0025410 transcript:Dexi5A01G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQSKACEATRFVAKHGCTYHKTLMEKNKHYVVDPPTIEKCQELSKQLFYTRLARSV >Dexi9A01G0023840.1:cds pep primary_assembly:Fonio_CM05836:9A:19277669:19279813:1 gene:Dexi9A01G0023840 transcript:Dexi9A01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCGVGGGGGDCLIKLFGKTIPVPEAADTAKESGSSSDISSSTESDAPDAENQHQAASGPSPQPEVVDADDPKSSPETTTPQRPGGDVASQREKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKHAVASHHFLHSLRTAGDHPLKTTTNGTVLSFGAMAPPAMQEVTEQVSNLKEKLLTISPRKNALQGPCSEGSSSADDKWSSSSTVDKPANRVQHHPAGGSMNNAWPYSCAPSPAAAYFSSRIAIPIYPAAPGYWGCMVPGAWSLPWPVQQPLPQPQGQGISSTTAPSVSSSEHDESLTLGKHPREVDEGRTSAGHGNGGKVWAPKTIRIDDADEVARSSIWSLIGIKAAGDTKNQDDADHDGGHKHGTVFEPKREGKKTAMITSSPLLHVNPVALMRSVTFHEAS >Dexi1B01G0022850.1:cds pep primary_assembly:Fonio_CM05836:1B:28525438:28526075:1 gene:Dexi1B01G0022850 transcript:Dexi1B01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQRLRASEESDEQVMDKAMAFYEADLEEGGCKKAKEEERSGKGKGKGSSSTMDEIDKLREVQAKSKEDHIEVLERHQQIAAAKKESARLNHLAAQEKKEAKLLEKEGKMHDKESKLLETYKSLLTFDTSQMSEDLRAEHMIAVKTMRERIFATRAS >Dexi4A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:4A:8976960:8977505:-1 gene:Dexi4A01G0011090 transcript:Dexi4A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYEAGRVVACHYCLAPGVPDMTRGHSLRMAFRAALRALLAAAAPGGFQGTVIVRTLSPTSHFEGGEWDRGGDCRRTRPLVAGSDGTGMAGLDLDFHTAQVEEFARAKAELEASGGKGERMVLMDTTPAMVLRPDGHPSRYGHWAHENVTLYNDCIHWCLPGPIDAWNEMLLQMLLPGYSP >Dexi4A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:4A:16415328:16415615:1 gene:Dexi4A01G0014370 transcript:Dexi4A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTLDPHLVGGEPVLGGEVDVVEDEGVGAELAVEPIRLPLLAALNAFGADDETRGTGSSAKSGRRTPPPPLPLLAPLPLTCLTPPPLLATGTR >Dexi5B01G0036210.1:cds pep primary_assembly:Fonio_CM05836:5B:36026077:36026370:-1 gene:Dexi5B01G0036210 transcript:Dexi5B01G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSMASPAGFGRHGGVQQQVVREKFEEVDTFSRTGSHGHHHGHHGHHGHGSGRFVMRETKVEEDINTCTGEFHERKETFVVRSD >Dexi7B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:7B:23283860:23284844:-1 gene:Dexi7B01G0017290 transcript:Dexi7B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRGGGRGGGGMQRNDDGGEEWPNLVDVVLSWSLKDVMNEDLFKDKVKKIPATFCYLKNYLEWFTSPLLEELRAEMSSSLESLSTLPSVKISSIEEKKGKYDIWVASDSQAAKSCNQPECYAPSVGDVMILSDVKPGHISDITRNGRPYRVAFLTEGGDEDDDLPTSKYGIISSGKIDAADEKCQDGKIKPLFAVYLLNIVTYIRIWRCLDYEAVRRNRGLIQEMVHYFPVCLS >Dexi2B01G0002130.1:cds pep primary_assembly:Fonio_CM05836:2B:1662711:1664270:1 gene:Dexi2B01G0002130 transcript:Dexi2B01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAQGPPVAEEEAGETRKLFVGGVPSSAQEAELRAHFARFGEVRSVVVMRDRETGHGRGFGFVEFEDEAAAAAALGDGDKPRHFICGRMVDVKRARTRALRNQGGEHNSHPQLPEHGQGHQDNQPPSGNGVADSGGSNVTYDSKKVFVGGLRDNITEEEFKAYFETFGTVTDVVVIYDSMTSRSRGFGFVTFDSEEAVGKVMRQSFHILNGTKVEAKIAIPKDEQYYRNGRGRGARTFGGRGPAAYEGSGYQPYNPRYGLYNGYMPQPVPAQPFFPAPYFAVGGYPYGSGYLGQGAMPNVPGMMSRRAPPAYGAYPQMYPGFNYVYRAGFGGAAASFQHGMNGGSDNKKDQMNVDMQQVDSTASVASMLEHMKLGSQ >Dexi6A01G0020240.1:cds pep primary_assembly:Fonio_CM05836:6A:27762160:27762479:-1 gene:Dexi6A01G0020240 transcript:Dexi6A01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELMQTDEGIHPLKLLLLALSATKFLPPAEMIEADVKRDYTAGAHQLIGQWTSECVAGQVEAQHAMKVT >Dexi3B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:3B:13748832:13750355:1 gene:Dexi3B01G0018510 transcript:Dexi3B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSSAVVGEFMNRSISFLLSKLDKETTATMQEDLQRLRHLLLRSGAIIQDAEHRHVPSKAMMQQLKALRDETFRGYYVLDVVRCRAALGGDDTRRRNRNGEEDEVGIRAFALSRFNPAKRVRFPSGGAPPETDESVVLDGGARSPVELQQVVRSLEFMVGDMKEFVMFLGSYSPLYRQPYSAHLFVDKCMFGRQMEKEMVLEFLLKKHEPETLGVLPIVGPAHIGKSTLVEHVCGDERVRSHFSLILFYTGNDLKDVTVSSFKDRCMIKHHTDNNASQERQRLLVVIELLDDVDDETWNEMYSSQRSEVAEGSKIIITSRSEKIARFGTTQAALRMKSLPIEAYWYLLKTAAFGSDDPGQHPKMASLALEMADTVRGSFMFVYIGASLLRDNFNARVWSRVLTRLKQYLVKNASVIGEYPDDLKTRDNPRFTWSLVKPEPDKYFMLHDIYQRQGGSAQDEGVPAISYVDLLAGSDQPKGVHEILFWKSRIPPYFNYMCTCEIRDM >Dexi7A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:7A:17951088:17951532:-1 gene:Dexi7A01G0006570 transcript:Dexi7A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPAITGGELCCGYTDLPWTFTPSPSVTVAVKSLSTVAAPAVAIHGAPFMMVYPSEPSFPAAHATKTPFAMAAKAPMAITLAISGNPKESDMTSTPSAMAASMAASTSEEADSSVSAENTALYMATLAMGATPVAVPLA >Dexi1B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:1B:3061730:3063802:-1 gene:Dexi1B01G0003870 transcript:Dexi1B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRAAAAAALLLVLAMLHPPPSSAQQEGDVPEADAATFLSRFAAPPSWPFPNPRLRAAYAALQAWKRTAIFSDPSNFTSNWSGPNVCSYNGIFCAPLPTTTTSSYTHAPSTNSGDLVVAGIDLNHADIAGYLPSSLPLGVPDLALFHINSNRFCGIIPSTFRHLHLLHELDLSNNRFVGGFPEVVLNLPSLKYLDLRFNEFEGSIPPPLFDRDLDAIFLNSNRLRNPIPANFGNSPASVVVLAHNKLGGCIPPSIGKMANTLNEIVLIADELVGCVPPQVGLLKEVTVFDVSDNHLQGQIPASIAGMVAVVELDFARNRFEGAVPAGVCGLASLKNFTYTDNFIVSRPSCAKATADGAWNCIPGAAAQRPPAQCAAAAAHPFDCSKAQCQAPPASYTPTPAAGGGSHGGGQGGHGRRGGGRQPPTPVGSPPRRSRSAGYPPPSSTPSYPSPPSSTPPSYPSPPSSATTPSYHHSPPPHGSTTPSYPPPPASATTPSYHNSPPPQGTPTTPSYPSPPSSSSTPSYHSPPHGGASTPSHSHPSPPKGSSHPTPSVTHAPPPPTSADEPDERYAPPPPTGSHGGPTPSSHHGGSPSSPPSTSHQPPSSGHGHPTTPSPPPTEHPGYVLPPHTPSSPPSHPSAPSTTPGASPPQGGSPGTGGDHGHGHGGKLPFPPVYGVSYASPPPPGKPYY >Dexi5A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:5A:6854634:6861958:1 gene:Dexi5A01G0009140 transcript:Dexi5A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPPAHEENANQGRPTVVMEFRVHVGGEFSVNPKGYLGGRTGTMTFVEPGTMDPKLLHERADMDRIVHQARGEMDSKLKLERENMLGRVKLQRRVLDQKLQHHRASMDKMLCEERENMDQMLKLERESMDRRLQQEREEMDRTIKMDRLSMDAEIMQERAQMDMKVLEERQEMDLKILLEDEENEEQEGTGSSEEHEKFIATVDDISNIGTQVEVPSVTANNLKRDRDSWGCNKTDVDQSEEGSSPPNKQHAEASCPAGTFGAAAWQLTDQENITGFGAERARSMGGVNGDARARGAVTTMDTVAARSGCVVTPMGKVGGDAWG >Dexi9A01G0032290.1:cds pep primary_assembly:Fonio_CM05836:9A:37207570:37209415:-1 gene:Dexi9A01G0032290 transcript:Dexi9A01G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSLAGTSVWMMHYAPHGLMILAQLFFTLLYFITEAAFNQGLNPYVYVTYRHLLVACVLWPFAYFYEKTLRPKMTLMLFLEIFVLSLLGGSLTLNMYFSSLKYTSPTFVTSMVNAVASITFVIAIILRMEIIDVRNLRGLAKIAGTMVSFAGVATISVYKGAGVKSLWKPPIRIHGSGPVVVHESWVKGSLLAVASCICWSVCFILQVPSYNNNEQKLTYKAFYNLVKKWYQASSIKRYPAKLSLTAWMSMVGGMRSAVFAVFMQRNMEDWLIGFGLKFWCVVYTGIACNGLTVIIQLWCNKKRGPVFVTMFNPLLTVMVTMLAYFIFGENLYVGSIIGGALVILGLYLLLWGKDRDHEHKATKEQDSELDCEKHAMVTDVCAAPNDQAPKLIK >Dexi7A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:7A:23821017:23821828:-1 gene:Dexi7A01G0013890 transcript:Dexi7A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLVFYKGRAPNGQKSDWIMHEYRLETNETGIPHEEGWVVCRVFRKRLATVQRMAGDSPYWFNDHTGFMAPNIGSPRQVAHQQQSAVIYHRPQSSYPCKVELEYHHLLPQEHFLQQLPQLESPNPKLPDLIGQVATTLEPCNLTQEHGAPSYMVHELQAESVYLPAGAGDGSGTDWSALDKFVASQFSHGDTNTKESSYSNPVQVFQQAEEKEEEALDFVSTSASCGRDNDLWK >Dexi2B01G0036140.1:cds pep primary_assembly:Fonio_CM05836:2B:43045665:43048677:-1 gene:Dexi2B01G0036140 transcript:Dexi2B01G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRARAAAQLPRPSPPLSSAPARFSRLSPLAALAPLASAPPPARARIPPPSPLSARWTGSPIRPGGHSRPTTRVLCTAASSAQREGKELLVQHLLVGEKDVRLLVDLEKSIIAGGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDIDPEELHTKMQDPSFLEEAQLIDVREPDEVAKASLPGFKVLPLRQFGTWGPVMTDEFNPQKDTYVLCHHGMRSMQVAKWLQSQGFKKVYNVTGGIHAYAVKADSSVPTY >Dexi6B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:6B:2220957:2222214:-1 gene:Dexi6B01G0002550 transcript:Dexi6B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRFLNPKPQHARHVTAGASSSSERVGWSVARKVRRVAARISPTSVETVDGGGDLVLAPGGGGRPRLVLPQRAAQHPRDRRGQRLAPLRRGPGPLALAAAPAATEGEGRSAPSIFEWWARQEGSRLAYMHCNSARARQDIRGGGIEFRLTLSTNATSGIQCPPFPFASSSSSSAAAAAAALAATLIEHCTEQTSSESRQYTRPPSPASAASHRPPEQEIWAALRPRCRNAPRRARPREVGVQGIEEAKSRAS >Dexi9B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:9B:3752756:3753028:-1 gene:Dexi9B01G0006320 transcript:Dexi9B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPPRRRRRPSSAPGSCSPGDALTPLRPGPRRAATPATRRLSAPASYSPGDVQALRLGELLLWRRVDSPPPRRAACPATRSLSSAASS >Dexi9A01G0022070.1:cds pep primary_assembly:Fonio_CM05836:9A:16999201:17004705:1 gene:Dexi9A01G0022070 transcript:Dexi9A01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGIAAPDQSPPLVMPSKMKLEVEDQELADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNVGWEIGDEGQILFTEPLFTPKTLREQLVQLMFEKFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWMGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >Dexi1A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:1A:28026937:28027499:-1 gene:Dexi1A01G0021180 transcript:Dexi1A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLMENSLQSSPKREMIRSWLRHSRNVERRAFKGCGEMGVGRDGTVERKASRAFSGREVGGDERLRIGEAAGVGEAARGDGCVDGLKDGDRTVRIELAAEAPPCGGQRDDAGFGASCGGGERAGEGEAMGVGAAAVAEDERERRHFHWRRR >Dexi2A01G0027520.1:cds pep primary_assembly:Fonio_CM05836:2A:38914273:38915867:-1 gene:Dexi2A01G0027520 transcript:Dexi2A01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATVHEIRRTLRADGPATVLAIGTANPTTCIPQDEYTDYYFRVTKSEHLTELKDKLRRICMEKRFFHHDEALISANPEFADRTLPSLDARVEIASKAVPELAAAAAAKAIAEWGRPATDITHLVFSTYSGARAPSADLRLASLLGLRASVSRTILSLHGCYGSARSLQLAKELAENNRGARVLVACSDLTLIGFYGPEAGCFDTIVGQGLFGDGAGAVIVGADPVGPTERAIFEMVHATQATVPGTEDAITMQLMKGGLDFHISDQVPKLLGANVERCLLDAFESLGMSGVKWNDLFWALHPGGRAILDRIEGVLGLEDGKLAASRQVLRDYGNMSGVTVIFVLDEVRKRRVAKEGGGPEWGVMMAFGPGVTIETMVLHAAKQ >Dexi3A01G0030650.1:cds pep primary_assembly:Fonio_CM05836:3A:34982018:34983171:1 gene:Dexi3A01G0030650 transcript:Dexi3A01G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFKHFDVVQSPQDHHYLDCNDKASGGGRKWLKRVQKEWKILETSLPDTIYVRAFEDRMDLLRAVIVGASGTPYQNGLFFFDMLLPPPYPDTPPQVKYHSFGLRVNPNLYPSGTVCLSLLGTFGGTGPELWSPETSSILQVVVSIQGLVLTSQPYYNEAGYAAQIGTPEGHRNELPYCEKTYLVNLRTMLHLIRRPPVGFEAFVKDHFCRHGRQILRACEAYLQEGCPVATLNGEACATDGRREPSCSKGFRLALATVVPRLVEAFSGIGAQGCNEFDWIKQTGSTAM >Dexi5B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:5B:22860657:22865502:-1 gene:Dexi5B01G0020680 transcript:Dexi5B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLVAELGSGTACCGWNHCGRRLAAGAVDGSVSVYDSHPSPSSKWQAHEQSIVNVIWLPPEYGDAIACVCADGTLSLWEEVAEDNQLPTWRKCKVFEGDNCRILNVHFGLHLGSLKMVTPYSDGQVKIYELLDSLELDKWQLQIWEFEEAHQRWLPLVELDSPEDKGDRVCAVAWAPNIGRLSLRTSITRLYSAMLAYEIIAVATCKGIAIWHIGLNTDPDGGPLAQNVALLSGHNGEVWQLEWDMGGMTLASTGGDGMVKLWQANLDGVWHEQAILDCNGSHV >Dexi5B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:5B:21562164:21562804:-1 gene:Dexi5B01G0019220 transcript:Dexi5B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRAVGVVLLVVAALLAMAARAKDDDDHMHHWRCFKSCTRNCHDEDALDAAGDDGGVSRRCKTGCLGECFQELPVLCYQQCVVSTCLCLPPYSKEKITCMKNCCDKCFHHGPKPPGPGPGPKPPTPGPPKPKPPPGPTPTPRPPMPPPRAPPSPKNPPPAPKKPPCPPGSETMNADGN >Dexi8A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:8A:8669656:8675089:-1 gene:Dexi8A01G0007620 transcript:Dexi8A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVGFSPTAILCLLLLFCLGCKCLASEFEGTQTATVRVDASPGLARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSNIHPWSIIGDDSSIVVATDRSSCFRRNIIALRMEVLCNDCRAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETAYLTVSLTSSDGSQNLASVNITLVSFLMGHGFRTDLTSMLLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLSAAPVWVFNNGISHHDEVDTSIIAPFVKDVLDSLEFAKGSSNSTWGSVRAAMGHPEPFPLKYVANGNEDCGKKHYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLFDFHVYTDSKTLFSMRNTFDRTPREGPKAFVSEYAVWQSDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNNNDRKWNPDAIVFNSWQHYGTPSYWMQTLFRESSGATVHPLTINSRYSGSLAASAITWQDSGNISFLRVKIVNFGSHAVRVRISTTGLEASVNELGSTVTVLTSGNVMDENSFSHPKKVVPVKRQLPDAAENMQVQLAPHSLTCFDLTLTQSKLVILTEKGDEYLRSGI >DexiUA01G0013320.1:cds pep primary_assembly:Fonio_CM05836:UA:27704621:27705928:1 gene:DexiUA01G0013320 transcript:DexiUA01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVAVVYLVYRCLKEHGLPSINIKTTGGHSGAVAGTAELTPLLPDSTIRGTTIEKFLNEIAREKPIQFTSEQLDRYTQQRSAELGAGGYGVVYKGMLPNGLDVAVKFLHDHMGTDATEQQFMAEMGSLWRTNHANLIRLIGFCFDAGGRALVYEFMSKTSLDKYLFDRSHRVSSPATLLAVATGVARGLRYLHEECQKKIIHYDVKPGNVLLDGGGGGGDGEALTAKLTDFGIAQLLSRADTHASVHGLRGTVGYMAPEVINGGSSSPVTEKCDVYSFGMLLFEIIGRRKNMDNDAVEEDRRWLPLLAWTKFDNGELMDLVKEWRSVSDVEEEERWKETAERMCKVAFLCVRELPEARPTMSMVVNMLEGYVDIPAPVYPFGWMYPPEAASSRSGGSTSSDVIISIDT >Dexi7A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:7A:28518507:28520191:1 gene:Dexi7A01G0019270 transcript:Dexi7A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGFKAIALTVDTPRLGRREADIKNRFTLPPHLVLKNFEALDLGTMDKTNDSGLASYVAGQVDRTLSWKDVKWLQTITSLPILVKGVVTAEDSECLVSDFQISTRSSTSASAFIIACNCNHTKHICNAARLAIEHGAAGIIVSNHGARQLDYVPATISCLEEVAREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRAHVITDGDRIGRSRL >Dexi4A01G0024060.1:cds pep primary_assembly:Fonio_CM05836:4A:26918137:26919024:1 gene:Dexi4A01G0024060 transcript:Dexi4A01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPLSSHGCRTPARSSKMVGAAGGHVRSASVPCYTHPLLMHVDDQLLALRSWTSNPGQNPLSLAHVRALLCVLDELLHLPLAQDALLRGGADGLLDGFLVLADAFGSFLAALVALRQHASELRAAVRRRDAAKLASAARAQRQVGKELDQLASAVARDAARCARDSLAVSSFSSTCGGAAGELEISRTVAEAVNDTAIASASVFAEVGAVADAAAALASPVSSSPKKRLPPLVNTSSRSSKVRPASEQREAVALGKLHELEQCIRELESESEKVFRSLVQTRVSLLNIHTPTF >Dexi4A01G0024220.1:cds pep primary_assembly:Fonio_CM05836:4A:27016046:27018790:-1 gene:Dexi4A01G0024220 transcript:Dexi4A01G0024220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEQSFSLLGSYALPLWATITAGVFVVVSLSLSLYLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGGSGSDAPLLGQASEQRYVHHPFPMNYMLKPWPLGEWFYLVIKFGLVQYMIIKSICAILAVILESFGVYCEGEFKLSCIAIALLYNWGLLRGPIAQELQFKSSIQDFIICIEMGVASVVHLYVFPAKPYELMGDRFIGDVSVLGDYASVDCPLDPDEVKDSERPTKFRLPQPDDRVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHMISQNIKKHEKGKKKTNDDSCISSPTSLNRVISGIDDPLLNGSLSDNSGPKKARRHRRKSGYTSAESGGESSDHGLGGYEIRGHRWITRE >Dexi3A01G0032440.1:cds pep primary_assembly:Fonio_CM05836:3A:37344156:37344488:1 gene:Dexi3A01G0032440 transcript:Dexi3A01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKGTLSPALAETRRCRCCPCLAFLCYCLRLTSSWLPSTHYGLLRDELHEHGELLRLVSQSTAVSTAGKALGGGAGRRAQDGEATETRPEVCCWMDGEGTGKRVEGRRR >Dexi6B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:6B:18831760:18832058:1 gene:Dexi6B01G0011650 transcript:Dexi6B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVTNGRVPANVHRVRTPSDRERISVQFESRPRYGWTVRPAEELVDEEHPRQYSPCNFDEYVDFRFVGDGRKSSDPLKSFCGVVKDEQ >Dexi1A01G0023800.1:cds pep primary_assembly:Fonio_CM05836:1A:30428847:30429765:-1 gene:Dexi1A01G0023800 transcript:Dexi1A01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDKDVTSKFQEINEAYKGILPVYILSDPAKRLEYDLSGCYEINQYTLRVRFSCSLYKRQSLHIITYALCLIGDTLSSLEMGPTFEGIEAPLIN >Dexi8A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:8A:17372106:17378285:1 gene:Dexi8A01G0010390 transcript:Dexi8A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAVVNALLSGFMHIGLTLVLLVYLPIAFVCRLLARVFVRPFSSGEDLRGKVVLVTGASSGIGEHLVYEYARKGACVALVARTEIALRAVAKTARDLGAPDVLVVPADITKVDEAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFQNIIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILMPGYVVSNLTMGKGLQKDGHVGIDEEARDINVGPMPVGKTESLAAVVVASVRRGDYYVTWPGWYWPFHMVMCAAPELVDWFSRTFYVSKSGEQEGGAALSKKILEAVGGKKFLYPKTIRSQAGAMAAN >Dexi1A01G0026100.1:cds pep primary_assembly:Fonio_CM05836:1A:32142357:32149510:-1 gene:Dexi1A01G0026100 transcript:Dexi1A01G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRAAPYRHSSHLCAATLLVLSPSQHRHFASFPSSPPTAARRILPSPLRVPIRAVESSPGPTKEEKEPSPAADEAQEPPTPAASAFDVEELGWGNQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEVLASISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDMISSTHGKKREEIEEFINSGVYQVARLKEEGWITDLMYDDEVMAMLKERVGQKDKKSLRTVDYSSLHFTESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKLYERIDFNKEILSKGRYAELSAADQRPLRPDEAELFEKSAQNAYVLFRDKAAMSRSMSVDKMEIVAQGRVWSGQDAFSRGLVDSVGGLSQALAIAKQKANIPKDKKIQLVEISKPSPTLPELLSGIGSSLLGVDRAVKGALQDVSSLSGVQARMDGILFENLGEMSGANQLSMLIKDIISYFD >Dexi1A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:1A:22803323:22805012:-1 gene:Dexi1A01G0015740 transcript:Dexi1A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSASIVTRFEAGATFIFGSWLCIANQDGTLLRELRDEAVASLREDRILLGGVHGARSRFKLGPTTSTQPLSSGRIDPRVHDSLVGLQITSDFHQGSTIRTVTTTPRAPRNPNSSSRGTKTSSRRSRAPQFPFGLTNSAATYQKQLATKCHQPRQDNTDLVMTTTPSDVIVYWPDMDPVVALHEANVPSTEGRKLSVTTGNRKTGPNNLGRQSCVILNDDNDDEVVSDDAPTVDGETDGDRELRIEQNRNCALRRRHLQTKNLNAEFDKEGIFNSPAANIMFAVSVFEGFQATPDIDLAKARLEAAAVMVDRLDGGRSASKSKSSAHHRAPSARRQSSHYGSSAARTKDTARPREEPRRPREEPPRPRRREEPRPARSHITQNDARNEIIRIRERRATSHVADSAGGYDIPNPDALSCYTRAIRPPGVTNFDGKQDPNIWLRRYFSAIEASGGDDISKMLYITVAMEQGPLTWLESLRPDSIDSWHALKKAFISNYQGSFERPGSKYKLRACKQKPDESLRDYNRQFFATKAS >Dexi3A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:3A:3550015:3551347:1 gene:Dexi3A01G0005500 transcript:Dexi3A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDHFSRSSSLTKVIKNKPDLSYRSRRHIADRPERNKKIGWQRPPGSGPVRGARFRISEMLVAGRGGDGGRAVPLVTAAESKRGEHGRAGFSFGSDQVSAQGCLGFRVRRSSVVAEVGCSEARR >Dexi6A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:6A:7439228:7440619:1 gene:Dexi6A01G0007520 transcript:Dexi6A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALTKDEPLTKALPDGYLNDEMPSRTRSGLVRRSPTVKVQKFMNRPHPLFDFNAGEKTSMAPVEAKLVTKGQPDEWPKKDKPPSVTRKYGRVVEGLPDGWRKEYRPRKTGSFKDTVYIDPVSGYEFRSMKDVHRYLETGDIYQCAMRPKKSSTICDNHITGSQTLVSSLQLLLSD >Dexi1A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:1A:8535917:8536714:-1 gene:Dexi1A01G0010060 transcript:Dexi1A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPELAAALPRPASASPRDVQAAAARAAAMEAPPVAMAAAVTALPTSAPGSVAGKQQDEDDELEAIVELPALDEDAAAELVTLGAAASWCDQEWIDDGGAGYMVAAHDEMLGIWPDEGDHGWAQSESLGVGG >Dexi6B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:6B:10960402:10965703:1 gene:Dexi6B01G0008690 transcript:Dexi6B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTGAGPPVVNVYHEKSMILPDVSRVLTCLYEKNVKFETIKASYKDILGLQASRSVPVPFYDGPVFLQETYEHQGYPFLLGKDVLERASIEQWLRNEEHAFDPPSRALFCHIAFPLHDEEDNNNEDINREKRKLEEVLEVYEQRLGESDYLAGNKFTLADLVHLPGTHHVITSERFAYLYDSRKNVQKWWNRISARDSWQQVLKDMRTVEEEHRKEEDEKQQQWQTEHSPQFGVRDIYISHRQQEGTKSQTVLVAPPSTGAIITSMPPAPQEHEKNSDHKPSSPIQRNQGGSFTTTEKPQPLSRQTESTTQKPPSSVQSTKSSFLTQPSTPTTATTQQRTDAEKPSHKEAPDKPHLTDFFKVSGHKDEAGSLAKPSPQASSKIPGARQTSEAVAPDKTSSGSDKSPHRITEPDYSESDNKPLVVRPQVDKPDTQKPQTSYGKPPEQRLTDTSVGPEAGEKQKNALGIPYARGETTKQSTYMGAPAYAKRPAQANQDQAPSSRAKEVQSGGQDAKKQAKVLPADQKVPGTSPLQVESEESTQDGMHEDERFSTKRLRRMFNPDAPDSQDPNMEEEAPAIHITPSDKHDREKQTTTGPTNKMNSSPLTNTKAPYIPETADERGAISPPKGVTYNDRPTAGPEETPPIKRVPTAPPSTDKMAKTEDANMRAPQQTPTDTRSDSALVQGADQRARVTMGGKAPEETRKASDSQRDSTSIQEAVRDAPEKQAPVGQEEIPGVQHTDYRDATKRFVIDKRTAVPTSGSQQITEPGGFSPTSARSPGDKSTRAATADPNAALPAVVRAPASGGQNASAVLHEENLDANGKNEAAKPSPVDPRSMVPTTPGRIASGAHTQFRDSSGQLSKSSPPVSSLSDTRNEKTGIADNSQTSVISSNDKLGGQATRNAGAASSVPPPVKSPEDNNKTYKEEAAIQELQRDQSRAQIAENKRQSDDAAPTTRIGKRNDEDSLANASGSNTGRAQGTTNYASSKLQIQSDQNKPKPSNGGRQTKDTTNSPGLSTSKEVLPSPLEKSKEEQQLKGDRSGMSLQDNVKQGYEAAPLGSGTVQQKKDLSTNADKNYEKTSEGNPEEKNAL >Dexi8B01G0004450.1:cds pep primary_assembly:Fonio_CM05836:8B:4007736:4008421:-1 gene:Dexi8B01G0004450 transcript:Dexi8B01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKGIARGLICLHDDMRIMHGHLTVMNVLLDTHFHPKLADFGLFHLLTPAANSDVLTAACESGYHAPELSSTMNYSTKTDVYSLGIIILELVTGKTPSNMDLPSFVRSREEWWSDIIDQELMLDKDAGPSLDQLEATLKLALECVDPSPSARPQAWEVLWKLAKICLPGPKNAAKPSEDRSPSLDLEARDDDAGAGQSEDDDLSLRIEQSHQAK >Dexi5A01G0039010.1:cds pep primary_assembly:Fonio_CM05836:5A:39751179:39751981:1 gene:Dexi5A01G0039010 transcript:Dexi5A01G0039010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATTLFATVLLALAAPSLAGDPDMLQDICVADYKSLQGPLRLNGFPCKRPENVTANDFFSDVLANAGDTGNAVGSAVTAANVEKLPGLNTLGISMSRIDYAPWGVNPPHTHPRGTEIIFVLQGSLDVGFLTTGNKLYARTVCRGEVFVFPRGLVHYQRNNGNTPAAVISAFDSQLPGTQVVAETLFGASPAVPTDVLARAFLVDGGLVEAIKSKFPPK >Dexi3A01G0022220.1:cds pep primary_assembly:Fonio_CM05836:3A:17785382:17788786:-1 gene:Dexi3A01G0022220 transcript:Dexi3A01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRSCVSVKATTVSPVRYRSARVGGAVGLGAPARLRICSSSVSPGGEGNYCSRDGGCASSSGRGVVAGGGGLGGLLGGDVGPRITRREEVAVAKCSASFDGVRPAAAVAGAVQPAPAAASGAFSERAKVVALVAAIMLICNADRVVMSVAVVPMAAQYGWSSSFVGIVQSSFLWGYVFSSMVGGVLADKYGGKKVMAGAAALWSLATILTPWAASRSTIMLLVVRALFGLAEESDPIDSRTISKSELQYILAGRTGSKVQGSKCPSLRELYSKTEFWAVTIANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAMSGYVAGASADFLIKSGFNIGLVRKIMQSIGFMGPGVSLLSLRFAKTPTVAAVLMTISLSLSSFSQAGYFCNIQDIAPKYAGSLHGLTNGIGTVAAIVSTVGIGYFVQWLGSFQAFLTLTAALYFSATVFYNVYATGDLVFD >Dexi3B01G0037760.1:cds pep primary_assembly:Fonio_CM05836:3B:40590944:40592469:1 gene:Dexi3B01G0037760 transcript:Dexi3B01G0037760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPRRRRTPADDDAGAAARERDSSPWSSLHEDMVSQIAWRVLAAGDLLDYIRFRAVCANWRSSTSSPRGRGIVDRRFHPRRWMMLPEGHGLHPGHGKLRGFIRFFNLSTGAFVRVHLPIFRDHCVLDSIDGLLMLQRDHDTAIRLLHPFTGDILDFPPLETLLRNKGQLCFQGKMNKWRVKGKWRMGPID >Dexi4B01G0007860.1:cds pep primary_assembly:Fonio_CM05836:4B:5684927:5690888:-1 gene:Dexi4B01G0007860 transcript:Dexi4B01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRDDEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDSWVRMKLGIYKGDLAKVVDVDNVRQRVDVKLIPRIDLQALASKLEGEAVKKKAFVPPPRFFNIDEAREMHIRVERRRDSQTGEYFEWVDNLKFKDGFLYKSVSIKSIHTANIQPTFDELEKFRKPGDDMNGDMASLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVISGVQEGATGMVVKVEGHVLILLSDTTKEHIRVFADHVVESSEITTGITKIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNIISSKDVVRVVEGACKGMDTADARLGALRSPASILQSPGRLPPRGPQMNYGGRFGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPTVATPFRDNWEEGNPATWNSSPAYQPGTPPARPYEAPTPGSGWANTPGVSFNDAPTPRENYANAPSPYVPSTPVGQPMTPNSAAYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEATWLLPDVLVNVLRGGDDGPGVVREVLGDGSCRVALGLSGNGDVMTVLPNEVEVIRPKKSDRIKILNGNFRGYTGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT >Dexi7B01G0009760.1:cds pep primary_assembly:Fonio_CM05836:7B:17241548:17242570:-1 gene:Dexi7B01G0009760 transcript:Dexi7B01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLSAGTVGTLLGRLYYDSGGNSKWVATLTQSGGAPLLAIPLLLTRPSPADERQPAASKMAAIYVGIGVLLGFDNLTYAYALQYLPVSTFSLVAATQLAFNAVTSRLINAQRFTALIANSVVVLTLAAALLGVGSSSDDDTSSSNVPRDKYPLGFVLTLAASAIFALVLSLFEAAFEKVVMARTTRWVLRMQLHTNLVATGVGVVGLFASGDWRTVPEEMETFRHGRARYVMTLAAAVGTVRLIARVSSLFANVTGAVALPLVPVFAVALFGDRMTGVKAVAMLMAVWGFLSYVYQQYLDGRREAAGKGDAECCVCAARTPSDTDTASNA >Dexi9B01G0037530.1:cds pep primary_assembly:Fonio_CM05836:9B:38915829:38918419:1 gene:Dexi9B01G0037530 transcript:Dexi9B01G0037530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHIGSVDGPAAAAANGTVGCPASAPGCPMASTPAQPAAAMSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQVRPRVSLSPVPLRFRVGSRAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPSGYAYAVMPSAKGLVPETHPHFIGTYWGAIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLSELAKRVKKNSTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHIQKMLTGDSAVVAETGDSWFNCQKLKLPEGFGALLGYAQGANTKRVIACIGDGSFQEELTAAIKTAVEEKKDSLCFIEVICHKDDTSKELLEWGSRVSAANSRPPNPQ >Dexi2B01G0021010.1:cds pep primary_assembly:Fonio_CM05836:2B:30975209:30975841:-1 gene:Dexi2B01G0021010 transcript:Dexi2B01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAVNRLVAYFSVPFFAFDFASRIDPFALNYRVLAADALAKLAVVVCLAAWATASACASASARRRGKEGKSGNNKDRALSWCITGFSLAALNNTLVVGVPLLDAMYGKWARDLIVQISVVQIIVYFPLLLLVFEARHAWGAAGKPPAIAVAEEEEAAVAGDVEGTGGETAAR >Dexi6B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:6B:23269183:23276716:1 gene:Dexi6B01G0015980 transcript:Dexi6B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLVTGQNSCAPDGASSSNPLNAFANALLGQSSKTQSIKELPGSVSVPSTSDFGTAAPLSTIPGSENEFKQDQRPLARGADFIRGGPANDWIESFRPPSLPEYGGADSHFAEFDQIYNNTGTTFGPPLDGPPQRVLSGVLHSFLASGRSGVPFQPVPVNTLLHSLDIDNQMRGPMHGQYPELEQYWNQSQSSMGPASMHNAADKWITEFGNQNNNPESWANSFEQQYGPSGWASEFEQYQSQMARGQMGGPNMANLAAMEQSRMLAQTLASNNDPKFQLSQGADKWASEFSSEHNQGALNDSWVDEFSKLNVTDEWAEEFSGGRFGESSADPWADEFQEQLSSFKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAHEANPTNLEVLLALGVSHTNELEQGEALRYLYRWLQNHPKYGGLALPQSTDSPYGPDISDGYPVYMIVVRLFNEAAQMSPEDADVHIVLGVLYNLSRDYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSAEAILAYQKALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLKISLSNASRGDMIPACDARNLDALQKEFPL >Dexi7A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:7A:20967918:20968166:-1 gene:Dexi7A01G0010100 transcript:Dexi7A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVAVVAVPFPAQGHLNALLHLSLQLASRGFPVHYAAPPEHARQARARVHGWGDAALRRVSFHDLPIPPYASPPPTAASS >Dexi4B01G0021300.1:cds pep primary_assembly:Fonio_CM05836:4B:23308160:23311780:1 gene:Dexi4B01G0021300 transcript:Dexi4B01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQATATAAAAVARIPRDALLRIAAPLREPLAAAPYEPPAGSSAAVKSLLASLLPSPSPSPSQPQPGEAKEAADLFLFCTAVLASSPEHPALHWVPVSLVGAAAIAVEEMAAAGGWGSVGEMVVAVMPEVVPPLKAVVKDSCVDADNDEIGAVKPPKEHAVVAAHQFRWLVSQICYPKLGDLCWLVIPCALTTLDHWSPEVKEQGMVSFIHIAKNVKVTELSLYEDAILDACCHNIAADDELWYRVVEVSVILLTCTQRSNPRSPWYDRMLSEMLGHLERQPLNKERRVAWLTLIGPVFDAMGLFLLAHFRRLFSLFFQWMHTDDEKMVLLVLEQMHAIVKLTWIRKSPYTLRLVDELVLLYKESATRSSRAVIRTHILEMLALLQKCKGQQFEEAWKKHELDPDLTMLLSSFNQLCTQNSSPGC >Dexi5B01G0030450.1:cds pep primary_assembly:Fonio_CM05836:5B:31393577:31394081:-1 gene:Dexi5B01G0030450 transcript:Dexi5B01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAPAPEASGLCATTGGLSTGRKLVPWSSWEEWRFVRDGIFSLFPAAALRRIAAWRSRGSLLIPVDVTAAFVEIRLRDPFFRMAITR >Dexi9A01G0035580.1:cds pep primary_assembly:Fonio_CM05836:9A:40205997:40208996:-1 gene:Dexi9A01G0035580 transcript:Dexi9A01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLEAEGQVERLLRAQEDDQAARAGDEDEEEEQIQYPFFTEGTQELLNARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEADLVVKQAGDFVLDCSEIGDDRPLTGCSFSRDASLLATSSWSGLIKVWSMPQITKVATLKGHTERATDVAFSPVDDCLATASADKTAKLWKQDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGTELLLQEGHSRSVYGVNFHPDGSLAASCGLDSYARVWDLRSGRLFFTLKGHVKPVLGICFSPNGYLVATGSEDNFCRIWDLRTKEVLYSIPAHKSLVSHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRGSTQDNAMELD >Dexi8B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:8B:2668432:2670903:1 gene:Dexi8B01G0003500 transcript:Dexi8B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVAAASTVHRVVRPTCWDMPSSAEQDDESARLLSKIDSHYASACDRLTVRGRPAAVTPLARFFLDAGGVCIGFLDPVSNVIINTACTSPSSSDDDLNVLAGAAAVEHDEVDLNAAMCRRSLDGLVAFLVYFFPYLAGWEAVRYLLAAGADLLAAARLIVADRGMAARFPPTSAASSPAFEAALDLAAQISKHPQPKRLVHVWMSLSSRSHQVLALLSRELHVQEHHSPREELERCLRPLLDDDTLVVPSMAIPWDLAGSRSPCCVSSSTIADVLPYQHTRSLRMVLLDTIHGFYLRAMARLPGGELRSRLHRSLLRGGYCYGPMDDPRSNIILNTIWYEANFPSEITPVLDVIGPKSLTRLVSRSFYGLVSFLQTRYHYLSEHQAVQCLVSSSGQLSVADPNFFAPGNEEQQRIPSASIKEAYEAAATAAWHPKPEQQAAFLASCSVSSGYTDHMLSLEEVQCLYKMLSPNQPPVPERICSSSYHVKLGKWRSEAQERRVSRKKPEFDLHIICCVNEDVCGPEYCEEVEDCLSVAPCKYKYSHVNFLAAQKEHVRCLYCEAKGSRVVHPSSEKFHGGGSEFEKMIRGEHSLDNDFLICKNEYAVQRMCSVEEDFLYVDVK >Dexi2A01G0035720.1:cds pep primary_assembly:Fonio_CM05836:2A:45279971:45283858:-1 gene:Dexi2A01G0035720 transcript:Dexi2A01G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTRVRAWEATTTRKVLQHPQPVGRRRVSPMSAADDSETASSSASSSSSAGGDDGDGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGSGTYTGAAGDTYRGSWSMNLKHGNGTKSYANGDQYDGEWRSGLQDGSGRYTWRNGTEYTGQWRGGLIHGRGALVWSNGNRYDGGWEDGCPRDQGTFRWADGSVYVGYWTRDSPTGIVQQKGVYYPSPAASSPTARDPRDVFARDLPAFMGTSSNSASPRKARTSSVNRAANGRASSVSGLSNSSGGDRKYDKICIWESDGDITCDIVDGRDLGDEVVAARRSVRTDDGSDDRGLPPLSPAPHITQWVPPPEPKRQGETISKGHKHYELMLNLQLGIRHAVGKQGPIVLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSEYPIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQSILSPHLSRGNTDQLLADTNRCPKIKLGANMPSRAELTTRKSDCELQLIGEPTGEYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDSTSISAVDPRQYSRRFRDFIYKAFQEDG >Dexi7B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:7B:22647120:22648540:1 gene:Dexi7B01G0016620 transcript:Dexi7B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGGPARPAPATTTTGIKPPPGRYNMTGNGNAPPSYVPPSPFYYDNAAAHERHHWSWLVPLVVLANVAMFVVVMYYNNCPRGGGDCVGRGFLRRFSFQPLKENPLLGPSASTLQKLGALDWYKVVHGNQAWRLESCTWLHAGLIHLLANMISLILIGVRLEQQFGFWKVGLVYLVSGFGGSVLSVLFIRKGVSVGASGALFGLLGAMLSELITNWTIYTNRFAAMMNLIIIAGINLALGILPHVDNFAHIGGFATGFLLGFVLLIQPQFGWLEQPFGAKSKSKYKAYQIILLLLALVLLAAGFAVGLIMVFRGENGNDHCSWCHYLTCVPTSSWKCDN >Dexi7B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:7B:17918145:17919728:-1 gene:Dexi7B01G0010630 transcript:Dexi7B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPAHSPLRLPPVHGGARRRSAAAAVTITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAVLRGGSAASAAAAVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPRLLWYVDLVTVLASKGLRPEVDKACSYLKREQLEPDTEGFHLLLKALLDAGFTQLSMDCFRLMKLWDSDPDRITYTTLIKGLESLGKMELCAGIRLEAENDYGSLDFLDEDIEEETEEACTSHSS >Dexi6A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:6A:1329788:1333106:-1 gene:Dexi6A01G0001490 transcript:Dexi6A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSVAALAAVLLLPYFSTRAAAHAAGPPSPFDVAAGPFLRFRRAFLVLFALASVVEGIQSVFGEDEFVRCGLGREQMATRIAATAAAALFPGAISGVISDKTGYKKFSVDFCRGPRRACIFYWVLQLAVGALKSFSVLRCAWINNFILALASSVFSFSFETWLVVEHEKQDQKQDLLFDTFWLMTFFESVSHIGSQEITNVLVGGEDRRFWLPYALAATLSVVGILYIRNVSSTTQHASAVGSYQKSFFAHVLRGEWSSFSLLTFTYSISISDRNYYFSDKRVLILVLAQASIHFAVSAFWFLWAPTIVADGRYTQLSLIYPCFSASRMLGSAGFPWFYGATAPLRNEDSLTIAYIGSGLALSIVAYDYQDIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLTLANAAIFVFLLQGAHRRSVANSTILGLASCGLLGAGGCIHMLRWWRKHTRQNARSL >Dexi7B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:7B:22040311:22042605:-1 gene:Dexi7B01G0016020 transcript:Dexi7B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLSLLLLAPFLLLAAVPLVAGDELSTFIVHVHPREGRVLATAEDRNAWYRSFLPDDGRLVHAYHHVASGFAARMTRRELDALSAMPGFVSAVPDQTYELHTTHTPQFLRLESLDAKRTYPSHERGAGVIIGMLDSGIVPSHPSFSDHGMPPPPARWKGRCDFNGRRVCNNKLVGARSFITSPNATRSNSSSSELRVSPVDDEGHGTHTASTAAGAVVAGAQVLGQGKGVAATGIAPRAHVAMYKVCTETSCADSDILAGVDAAVGDGCDIISMSLGGDSKPFYEDSIAIATLGAVEKGVFVTISAGNSGPDASSVVNEAPWMLTVAASTMDRSIHSTVRLGNGFFFHGESVYQPSNVSASTLYYPLVYAGGSGKPYAELCGNGSLDGLDVRGKIVLCEAGSEPGILIPRILKGAVVQSAGGAGMILMNKFPHGYTTFADAHVLPASHVDYAAASAIKSYLNSTASPTAQIVFEGTILGDTSPAPSMAFFSSRGPSLQNPGILKPDITGPGVNVLAAWPFQVGPPNVASPPLPGPTFNIISGTSMSAPHLSGVAALIKSKHPDWSPAAIKSAIMTTAEVMDRSGDPILNEQRVPADLFATGAGHVNPEKAGDPGLVYDMAASDYIGYLCGLYDSKNVSVIARRPVDCTAVEVIPGSMLNYPSISVAFTQTWNWSTPAIVERTVRNVGEVPSVVYYAAVDVADDDVTVGVYPRELAFTQVNQELSFRVIVWPRQNGPKVVQGALRWVSDTYTVRSPISISFA >Dexi5A01G0009410.1:cds pep primary_assembly:Fonio_CM05836:5A:7070299:7076205:1 gene:Dexi5A01G0009410 transcript:Dexi5A01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTNYNPYTTRVLLEITEVSPTSPIVAPREISTRVRARAGGLPNWSAHQPSYARSAYLLNSGDSGDEPNHGRSSRANKIIKLNGRIAKPRLQKLRCQREKVGEVKKLVACSSTVPSSSQRLTLNIPFSKRERKKCRSAVLLRPRYRSGMALTRHSERGSGSPLRRHGGAGPARHPALAPFPQPPKPRIGALRRRTMLGKKNRGIKVQIEGGGEVAENLEPKVTRDGLDRFGSPERNTLRPDGPPGLFSPSGLYTHKPPSTLSPEEQPCAPNWAAPEPRLSLKKKFEALSNRSKSSKRKAEAMKQVVTTEEATPAGGMAVIEDPISDWPTSILKEKHIKALEADGFLPAQQISQWRSASGHEYPSEETEEITVWKAFYEVGFGMPTCDFFRRILQFYGIELLPPLDPSNTEEAALLARCVDPKVREQGRPSKPATIEEPDEPTIKIEPQDPEDAQAKAGATSRGGERSKRPAPSEAQAPVPKKARTLPKPRARTIPEGRAKVSPQPRASAPVGIAIGEIGTSMPQQSSSARRALSDEEILHEIFNPASAPFEGTTPIMEEPCPAGPSTAGQEVEEEFTLGEPEIPMRPSTVVESQVDHMAVEPEAAVPEVPRVDPETTLPEVQAAMPADPPAPEEAQVEEIVAEVLAGIEQLVTDTVIEESEQERRDQSSAPPPSITVTTQAGAEAECSRGKQTEETTPEQMIEEIPRVPQSTGVEEEGRSFRIGSFDPTFEYILDAEEDEQHIDRGLYHTERAIAYFKADRLHKELEREREDRKLQEAEDANTIRTLHLRTKELAAEKEDVKKKLAAAKAELKGAQQQLATAQSKMTDWSNVANRYEDALKTLSADHEGVKEQLRVAVQQRKDADEQLVQILEQQKQLAKNLEGAQEENNRLSRDLVQAQKHLADKKVLDEKLEQAARRMSELEEELRAMKKSDDDLAEAQNRIAQLEKAANPVVKALVPEDPSAPQTFLERLKAMPRQLKAYIKRSSKACLVHVLPVVKSRYPEQEAEPVAEAIAQSLRL >Dexi5B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:5B:22382923:22384162:-1 gene:Dexi5B01G0020130 transcript:Dexi5B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRLGGHGPHAPVPGVPHGEGQPVAPPGHGAHLLHQRALPPAHELHGAATLRHGEVRRVHVLDGLGRHDHPPGQRRVVVLDVGRNKDAPRRVGQEVGPLLEAAVLEHVATCERRRVEGTYGDAVDEGPTRGVLLDARVVEDERDGVELGLERPGVRVPAVAAVDGLHREDAPLGAHRHVETLRLRARARRAEGDGVVGAARHGEALADGGLVAEAHGVGALRDDEEHVALLVARLDVLDEARGLPARDGVGARHHRAVHQRGLGRAILEVAYHRL >Dexi2A01G0032510.1:cds pep primary_assembly:Fonio_CM05836:2A:42965727:42967654:-1 gene:Dexi2A01G0032510 transcript:Dexi2A01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGREGGAPAAGGAATVADKSDDIELSLGLSLGGCFGADSSQETKKPRLVRSSSIPSICSLPTTIPSSEEQAAVTAPPSDLLRTSSLPAEYMEDRLRRRAMQSQRRLEAKRKRLERRNSMNSGRSGGGGGGGKEEALEQTVPSGFQFRRTVALQGTTSSSAPPEEGSAAFSSGEAKSPSAMNTSSDTSGGHSSSRPPTGSGTGRPPNGSTGAGRDQPPLRTLRSLTMRTSSTGDLRNSMVEDMPMVSYKVEGPSGRKTDGFLYRYRKGEEVRIVCVCHGNFLTPAEFIRHAGGGDVTNPLRHIVVNPQQSVFL >Dexi5A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:5A:22440105:22440759:-1 gene:Dexi5A01G0018950 transcript:Dexi5A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASWLAVAVVVVAAAALPMLAAGGYTECYDFCFKDCISKDKSMRDYCSYACDKTCAPDAPIRRRTEPAMECQIGCVRKSCHGGIRADGKDMEACYGQCYNSCETGTVLPLPRPLRAGSGPVRPAALPDHPFHKKQDAFRPAALPDHPFHEKQDVWPAALPDHPFHEKHDAVVP >Dexi9B01G0000810.1:cds pep primary_assembly:Fonio_CM05836:9B:496538:498637:-1 gene:Dexi9B01G0000810 transcript:Dexi9B01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCWRRRVLPLLSQSQCSSLRRHGAVAEPRTPPRQRAHGGYDPLALARRRAAAAARAPFGLPFRCSFLRLHGTAAEPHAPLQKPDYGAICSNRESPAAPTQQRGAALDETPDQDAVSYAAKVGRHLKNRDLPVAEALFHAAPAAARGLHLDTVMLGGYVKAGRVDRARELFDGMPVKNVVAWTSMVSGYCSAGRVDEARKLFDVMPGRNVVSWTAMVQGYASNGMLKEAREMFDQMPERNVVAWTVMVKAYADSGHIQEALELFDRMPERNAYSWNAMISGLLCAAKVDEAVQMFEKMPCKSVVSWTIMVTGLAKNGFASRAREFFDRMPAKDTAAWNAMITAYTNSGQLNEAQRLFDSMPAKNLVTWSTIIEAYSKNERKVEALNFFLLMRCSAVSPNISTLISILVISESMMEVQQIHGLAVTLGLLSETSLGNALLTMYSRCGDLLSAWLAFKRLEEKDTITWTSIMQAFANHGCGYHALQGFAQMLRHGYKPTSTTVTAILSACSHVGLVNKGRKMFKSVYHVYGVEPTIEHYSCLVGLLGRAGYVREAKELVDSMHPGMRDEAILGTLLGACMMHNEVEVAREVGEDLVRSEPSDSGRHTLLANVFASHGMWDETANVWKIMRGSKMKKTPGCSQIEANMRNHMFYSRDQEHPQCAEIYEMLNDIVVPQMKDLSCAEFLEPTLQPDPTIYQV >Dexi7B01G0023880.1:cds pep primary_assembly:Fonio_CM05836:7B:28352144:28354623:1 gene:Dexi7B01G0023880 transcript:Dexi7B01G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFAADDGADELPRTASHPFDSDDFGPAASAGDDAGGYGGYSSFADGGVEEVEEEITVESDGVPIRHVSGGYSPSPFSPDLEPNGGDGPILPPPTEMGREEGFLLREWRRQNAIELEKKEQLEKEQRAQIIAEAEEFKKAFYEKRIKNCAENMVNNREREKIFVASQEKFHASADKQYWKSISDLIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMLQPPPAPAAKEGAKDGAKEGAKDGAKEGAAAPANGTKQPAENKEIPANGLSEAEKEQPAASE >Dexi9A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:9A:4886902:4887785:-1 gene:Dexi9A01G0008270 transcript:Dexi9A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRNNKLNDRAEMSVCNSFHAAEPGAFKLYPNILPIGPLFADGEFRKPVGSFLPEDERCIKWLDTQHDGSVVYVAFGSMAIFDPRQFEELAEGLELTGRPFLWIVRPDFTPGLSKKWLNEFSQRVAGTGMIVSWCSQQQVLAHCAVACFVSHCGWNSTMEGVRNGVPFLCWPYYCDQFLDRSYITNVWRTGLAVSPNAEGVVTKEEVRSKVEQVVGNAEIKERAMLLKDAAWRCVSHGGSSNENFKKLVNLLSE >Dexi5B01G0037230.1:cds pep primary_assembly:Fonio_CM05836:5B:36810311:36813721:-1 gene:Dexi5B01G0037230 transcript:Dexi5B01G0037230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLGKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRRGKTSRGPAAAPGCALGWWWPGEVALRHDLSGRIRQIKKRLDEISANRAIYNIEHTPAPAWAASCSSATTLAAWDDLEEYTVGLDNDMLKEQLLDDAVAARAVVSISGESSIGKTTLARKVYQSPEVRNHFEIRTWTVLPHKCRAADILRDIHRQMTNQLRRPPSRQVAADDASDRAAPCGPGRDISNQLYKSMAGRRYLVVVDGSIAVADWNSLRASLPDEGIGSRVLLITDTAGLEAAGHTYDTVKLTRLSPENTYEVFRRRVFGRGGDCPGRYKSRYYQDVFRITRGLPLSIVVLAGVLRSKEMPAEWDEVMAQLAPAREQQQQHKKAAAAAGNNNSRRIMSVAFDDLPHHLKSCFLYMAAMRESTPVDAQRLVRLWVAEGFIRPRRGSTMEEVGEGYLKELISRCMVQLVDKDELGAVQTVVVHDRLHAFAQDEAQEANFVESHDSTDVLAPATVRRLAVLSSATNRYVQLSNALPKLRSIICDFAEGRNMSTSSKCVQSSDLGFLHASKFLRVIDIQGLELKKLPNEIGSMIHIRYLGLQCGDLEKLPSSIGNLVNLQSLILGGRRVLEVTAAFWRIPTLRHVVAPFALPSRAMGDLHSLQTLHHVRPRGWDTGNNPLAKAMNLRSLELIELTAEHAGSLEAALESLDLLVHLDLRGDSLPASVFTVPSLRRLQSLKLCGAMDTPEGPGGGGAEDVRYIRPNLTRLSMWFTLVKQEFVDMLAELPSLAELSLMSDAYDGDRLAFGEAGGFPSLHELKLSLQKLEEWTVGAGSMPKLAMLTLLRCARMRMLPEGLAGMKDLEEVVLYSMPDMVDRIREDQGHDHHKVKHVPVIQAIY >Dexi6A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:6A:193428:194757:1 gene:Dexi6A01G0000270 transcript:Dexi6A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGATTSSSSPSSQRGGGGRRELQGPRPAPLRLHKDSHKIRKQQQPVQQQQVREPVIIYTVSPKVVHAEPSKFMSVVQRLTGARRHTATASSSSVPAAALQDQIHQPPSSSLPFPFFAGGHHHQATSSSRLMLPPPAPAPHFPFQLLQQQQQAAAGVHDELLLSQLSPATRLAAIHQHAGGGGPSSSSSILPPFPSVLSPAGPLPAIQPSFFSSPALPGGAAGINLFGELISPAAAFLPGAGAAVTRHHQQQQVAGAMTSHHQDADHVTMLQEASPSSAAGGYYYWGDLFNNQGN >Dexi4B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:4B:10061586:10062997:-1 gene:Dexi4B01G0012290 transcript:Dexi4B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRLFNNGKKLPVMHRLSPCSPISGGGGARKHGTAALHEILHRDGLRLRYLSNLQAASATAAPAPAPSPSSTQTSGVLVPAAQNVVSSLPGVFTYTVVAGYGTPAQPLPLFFDASGMSNLRCKPCSFSGASAPCDQSFDPSMSSSLRTVPCGSPDCTETACTSGSSCTFTYTNSTFVFGNGTVVTDTLTLSPSSTFDNFAVGCMQLDNLFSDGVAVGNIDLSRSRHSLTTRVLLSSSPGTAAFTYCLPADTDTHGFLDIAPTLSDYSGLAGVKYVPLVTNPTGPNFYYVDLVAITVNGKDLPFPPATYRGEGTMIDTQAAFTYLNPPIYAALRDEFRSAMAKYQSAPAFSDLDTCYNFTGIDYITLPDISLRFGNGETMDLDDRQFMYFFRDHLDDGFPFGCLAFAAATPDPNFGWNLLGTQLQRTKEIVYDVRGGMVAFVPSRCGLR >Dexi4A01G0008200.1:cds pep primary_assembly:Fonio_CM05836:4A:6143920:6161218:1 gene:Dexi4A01G0008200 transcript:Dexi4A01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRSRWSWDVPGFEPPQPAAAASAPTAMPRAPPTAMVPRPTAGAPRAPAGAVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEEEGPSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSALDGYNISIFSYGQSRSGKTHTLVRDLLSESSSTVPKVRMGVQESFVELVQEKVENPLEFSVALKTALKNRSVNSPKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDASRDNVTDFLHVSKSLSALGDAFASLSAKKEPILSGNSRITQILADSLGSSSKILLIVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKHSLREANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHKIETEQNNQLRDQISHLLKVEQEQKLKIQERDLTIQSLQAKLKSIESQLNEALNASDARSTIGSESASVISSPKITESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSAPQASSPSANKPANAQGREIGRSDSSKSRSPDVFASSVSQDKTGVSGAIVKSNNELAKTTPAGEYLTSALMDFDPDQFEGYAAVADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKSNTGRSRSSSRGSSPVRSPMYRHDHGSRTALVDEHVHGFKVNIRQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDAAGGATGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYTKRVYTSQLQHLKDIAGTLATEEADDPAHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSTIRNPPTAAEDARLASLISLDNILKQVKEVMRQSSTRPMRKSKRKSLLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLEEDPDDPAPQSNALGESEVSQWNVLQFNTGNTAPFIIKCGANSSSELVIKADLRVQEPKGGEVIRVVPRPSVLADLSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVPALKEIVAEMERGGIFKDVRSS >Dexi8B01G0012180.1:cds pep primary_assembly:Fonio_CM05836:8B:21419366:21420330:1 gene:Dexi8B01G0012180 transcript:Dexi8B01G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQDAFAPYVKKLIVDMAQEEVSMLLGVSGEITKLEDNMESIKAFLTDAERRRLTDQSVQRWVSKLKDTMYDATDILDLCQLEADKRRGPTDEEGSNTVKKKKVSIGSQIKELNRRLENIHKEADKFKFNINLGSNPEPRKLTDAERSVQKMTSEFNESSIVGEKIEQDTRELAQLLIPSGNHDIEVMSIVGMGGMGKTTLAQKIFNETTVQDHFKMKVWLSITQHFDEVEMLRTAIKHAGGDHGEEHDKTLLSRILTKTLSTGRFLLILDDMWNEKAWSHILSIPVRNACQKQRGTGS >Dexi4A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:4A:17100937:17102991:-1 gene:Dexi4A01G0014730 transcript:Dexi4A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTPEEDAKLLAYTSTHGTGNWTNVPQRAGRLKRCGKSCRLRYTNYLRPNLKHENFTQEEEDLIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMNSIGALAIRPPPQPAPSPHASSSSSYLPATSLPLVHDVKYHASGILQPPPPHQHQQAVIARVGRDAPASPDHGQQELKWSDFLADDAAVVAAAASEAQQQVSGHYYHDVAAAANVAASSSGGGGDDGAAAFIDAILDCDKETGVDQLIAELLADPAYYAGSSSSSLEMGWGC >Dexi3A01G0029900.1:cds pep primary_assembly:Fonio_CM05836:3A:32438947:32443201:-1 gene:Dexi3A01G0029900 transcript:Dexi3A01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKPASPSAEPETLELSAIDRVPGLRHAVRSLHVFRNNKDDSTTTHCDDDGAARPAETIRSALSRALVDYRPFAGRFVGSVEAGEACVRCTDEGAWFVEAVANCTLEEVNGLEYPLMLSEEELLPAPEEGVESTSIPIMMQVTEFACGGFVVGLVAVHTLADGLGAAQFVTTISEFSRGVDKPTVAPIWSRALIPNPPKLPLSPQPSFQSFGFEHFVTDCTSAHIAHIKAAYFQATGQYCSTFDVAIAKVWQARTKAIKYKLDSEVHVCFFANTRHLLTEVLPNDGGFYGNCFYPVTVTATAEAVITTGLLDVIKMIRDGKSRLPLEFFSWAAGEAKVDPYQLKFEHNVLFVSDWTRLGFFEVDYGWGPPNHILPFTYADYMAVAVLGAPPSPKKGTRIMTKCVEEKYIMGFKDEMKAFL >Dexi4B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:4B:1287530:1289031:1 gene:Dexi4B01G0002080 transcript:Dexi4B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTRTPLKIKRKGTEKYAIAFGHCRATVSKIVRPRPSTASAFISSIRRDHSRGGVAFSGKCLATSNNCACLLSSGLPPPGGEARGRAPPFLAAEVPSSVPSNGASQKAIVRASPSAAAAAAAAIGAHAFDRGAAFMSVTARGLPDRPRLAVSEVEIDPEAVWELESESWCDPCAERPRVRVSAAVMSAAAGGGREGKAMAVEETTRRIAGAELAAASLAPRPSAAGCAAPAQGGRAGIPSRFDLRGIRSLLPTPEAVGGEKTGGRLQATKAYLALTILCRPQDTVGVPLQDLLALLVGLSSLTLRHGGFSGAEQLGLQSSSSEFRLQVQKSASLDHFKVQTDPALRLSFPMNLQASIQIKL >Dexi6A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:6A:15844375:15849001:1 gene:Dexi6A01G0011110 transcript:Dexi6A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELGQQTVELGAMVRLAAEESYLALRELVERSRAEAEAEAQGKEGVRLRSDTEKKIDLLKFVDRTRQRMLRLHVLAKWCQQANVLWQGRWKDAIKSELISDSSAVQGGNSAPVQIGQDGELDLSGFKIPGLKKENEKSFENSCTNEVLQVRAYGQSYIHLGINISLGLGVVDDSRWAQVGLRQQPEKHDLCSGGRSDGMGLPETGAAGSGRLLLQSSKNILLPSALIESEEALNKGSLTATEVFVSLRTRSILHLFAATGRFLGLKLIM >Dexi6A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:6A:19411997:19412254:1 gene:Dexi6A01G0012710 transcript:Dexi6A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTDTECMQPTNQEESQPSRYCERMSNCSGAAAASPVDFRFSAAAAALRRLASPVPHRLWLEQLPRRRGRLLLLLPHGGRRRQ >Dexi1A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:1A:3687295:3687606:1 gene:Dexi1A01G0005040 transcript:Dexi1A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLRAAPERQHGSSHHRFLRPGALARLRDSRIVARSVRSSACLLLQRSSPAPPADATAAAAAEQAGAPRFLGTGGSGRYPLRRRVAAARSVAFFPPPPPSP >Dexi9B01G0049500.1:cds pep primary_assembly:Fonio_CM05836:9B:48112076:48112564:-1 gene:Dexi9B01G0049500 transcript:Dexi9B01G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQAAPQRHAYRTPPRPPPPPPRFLPSCRPLSIPSIRLLLLFCLPNRIESNLGINRHARSSSPNAASSLSQPVSPGEHGSPRAGEVVLHPSEAGGQARARLFFDLAQLSSSPESMASSAGEPSRTAAAGELELSTWLEHETEEQGRGGAQARPWIRRAQAG >Dexi7B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:7B:24165376:24167222:1 gene:Dexi7B01G0018360 transcript:Dexi7B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSNSISSRKSASRRAAAPRPPLQEAGSRPYMPPLSTGPRNPSAKCYGDRFIPERSAMDMDFAHYLLTEPRKDKENPAAAASPGKEAYRKLLAEKLLNNRTRILSFRNKPPEPVNMLADLRSDAVQAKPAKQRRHIPQSSERTLDAPDLTDDYYLNLLDWGSSNVLSIALGSTVYLWDASSGSTSELVTVDEDYGPVTSVSWAPDGRHIAVGLNSSDVQLWDTTSNRLLRTLRGMHELRVGSLAWNNSILTTGGMDGKIVNNDVRIRNNVVQTYHGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDASMSSSVQSAGRTQWLHRLQDHLAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEAAPKAAAKASHTGIFNSFNHIR >Dexi4A01G0003790.1:cds pep primary_assembly:Fonio_CM05836:4A:2697610:2699341:-1 gene:Dexi4A01G0003790 transcript:Dexi4A01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAADELARRVAAAFLPIPPPQKQQLSGVAAAVLDAGGRLGRAVVDVFRRLRIDDTFYSGAPHDRRRSTAAKNNGRRPAAGAPPSPGKEGTTGGTSGRFARSQGSMNLSATYDSRTNDVESSVVARGDLWRAEASHSSSGGGAIGSAPRSSGDGANLFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHKRWFFMSMLCLNPFACSFMDMQFPNGQLKYVAGDGFTARAFLPLGGGILQAHGKFPGDKRVSFSFKIGRSKLNGGAARSGVVLRVDAPLHGFGRPWFSVQMSSGIEF >DexiUA01G0001880.1:cds pep primary_assembly:Fonio_CM05836:UA:4780555:4786187:-1 gene:DexiUA01G0001880 transcript:DexiUA01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPLPIGDPPSPDSCGAFAVSAPSPFLPPPPLAVQALKAPSTTGHRAIKYEDKNYPDFNGNIEHIARKGSGSHTRTESPTACNFSPSARHAKAEKPQDGSPTVAAGGWLPVTALHSTSSNPPPPPPLSSRHSVQPRRTRRDLPFPASTLHWASEVARSCRMESSSGEELEEEFPGHEWITPQSSINAAYQSQTEKGIRKICSELLELKDAIENLSGNMQSKYLAFLRISEEVVEAEQELIELQKHVSSQGILVQDLMGGVCRELDVWFKSSKEEDLKEKDFQTELDEILSDDTQDPKAIFLDNLDALLAEHKMEEALLALEDAEKKYLVTNESSKELNAELSAFKTALFKRKAILEEQLVKWSEQPTLPITELRKSLAGLVKIGKGSLAHQLLLKAYGSRLQKNVEAFLPSCSIYTETYAASLSQIVFSAIAKAAKETNTLFGDSPMNMNRIIQWAECEIETFARLVKENSPLPESVSALRAACLCIQTSLFHCSSLESYGLKFSKLLMVLLSPYIEEVLELNFRRVRRKIVDAARNDDILLLTPQEGSPLSGAVAPNIMLTSSGKKFMSIVNDILDQVTPMTIVHFGGVILNKFLQLFDRYVETLIKVLPGPAEDDTLLESKEAVEFKAESDAQQLTLIGAAYTIADELLPASLSKFFDMQTEKKGTGGSSEGLGSGSIYSIEYKEWKRHLQHSLDKLRDHFCRQYVLSFIYMEGKSRLDARMYMERKTDDLLFDADPLPSLPFQALFVRLQQLASVAGDVLLGKDKIQKVLLSRLTETVVMWLSNEQEFWDVFEDRSIQLQPSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSTVITRAIAAFSARNADPQSALPEDEWFLETAKAAIHKQMLGTSGSESELEPDEHITLHDEMSDSGENIATPSVSGSEDSFASANNDDLESPVYFTDPEA >Dexi7A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:7A:23136024:23138508:-1 gene:Dexi7A01G0012950 transcript:Dexi7A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPAFTEAAAAEPPLAESYLDLLRRGGGIAPPMTGGAAVQERELPLIDLGCLMKTTASGGAKEARACSDAMARAASEWGFFQVTGHGVGRGLLERLRAEQARLFRLPFETKATAGLLNGSYRWGAPTATSLRHLSWSEAFHVPLAIISGRDFGDLASLRGVMQEVADAMSRVAKTVAVALAGSLLQQGHEAAAAFPPGCDETTCFLRLNRYPACPFAPDTFGLVPHTDSDFLTVLCQDQVGGLQLMKDSRWVAVKPHPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKAERFSAAYFLCPSYDSPVGTCGEPSPYRSFTFGEYRDKVQEDVKRTGRKIGLPNFLKQQPPPQ >Dexi2A01G0034950.1:cds pep primary_assembly:Fonio_CM05836:2A:44729707:44730141:1 gene:Dexi2A01G0034950 transcript:Dexi2A01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESYRAGAKVVCGDAAACKKAAIELLGEIGLPKGLFPLDDMQEFGYNHEAGFMWLVQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKVVGVKTKELMLWLSIVEVYVDEAAPGKVTFKTGTGLSDSFDATALELGM >DexiUA01G0001740.1:cds pep primary_assembly:Fonio_CM05836:UA:4660375:4665818:1 gene:DexiUA01G0001740 transcript:DexiUA01G0001740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHRRGGGRRGGRGRRPGKAGPSGADEAGNQNALHHDDRGGDGLAVEGGGDDDENQHTKLCDRFLAFAYDGYHALFIAGALPFDSDEYEVTLSACVEKRRMRVRKYKVVIKHAAAISLLQLRMLFAGYPTGIPAHALQVLDTVRSDIVFNRYNDENYIAAGRSLFSRNFGCMKDGALDVEAWKGLYQIIMPMQNGLSVLVDLSSSVFIQPLQLLDFVQKTLGKDALNRKLTKPEYTKACTFSPARIQNALCNVKLLMYIRCCSFLNLQLFKAVRGLRIEVTHRGDERKYRIAGLSVKPTNCLRFKSPSGATHTVIDYFKKRYNLELKFQFLPCLDVGSKKKSVYIPIEVCKIVPRQRYQKKLDDSQVSILMKSTFQIQPEPKQSIHQAVEDKQYSSTKRANEFGINVDDILNEVNARVLLPPNLKYHDSGSQKTWSPMNGYWNMKDKRVVNGAKISNWACVNFCEDLSKNSIEQFCLRLAEMSRITGVELDNLKLPIFTARPDQVEDDIRTCYQGAQNNLRGQKIDLLLAVLPDKNSSLYGKVKRICETDIGLMSQCCRKSTISKKSKKSDQILANIAIKINSKAGGRNSVFDDTQKSLPVVSNKPTIIFGAHVTRPSAVDDPSIAAVVASQDWHEVSKYNGVVRAQGHRKEIITDLGDIVKELLHAFEKESNRRPQQLIFYRYGVCESQFKQVLEIEIPEIEKAWKALYDNEKPQITFIVVQKRHSLSLFSNTNKDKDHVAKKQNVMPGTVVDSQICHPAEFDFFLCSNPEVRGPRHPVQYLVLRDDNNFTADELQVLTNNLCYTYASCTQAVSIAPPAYYAHRLAHRAHLYLAQGSNHAAAAASSSSGANAPAGGPKQLPEIKDELKRSMFYC >Dexi4A01G0019960.1:cds pep primary_assembly:Fonio_CM05836:4A:23748270:23752341:1 gene:Dexi4A01G0019960 transcript:Dexi4A01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLAGVVAVVVQLLLIVAVQGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPEKKATGCAEFDTKFKSKSRRPVILLLDRGECYFALKAWNAQRAGAAALLIADTVDEQLLTMDSPEASPGTEYIDKINIPSALVNRAFGESLKRMAEKVAAGDGAGEEVIVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQMEFVRGFRGHAQLLERGGYARFTPHYITWYCPEAFRLTQQCKSQCINHGRYCAPDPEQDFGSGYDGKDVVVENLRQLCVHRVANESGHAWLWWDYVMDYKIRCSMKEKKYTKTCAEDVVTALGLDLKKVMDCMGDPDADAENAVLSKEQEDQVLSSTDSESSGKLERTAVLKAVCAGFKEGTEPRVCLSPDIETNQCLHRNGGCWRDEATNVTACRDTYRGRVCECPVVNGVRYEGDGYTDCQAVGPGRCAMNNGGCWSETRGQQTFSACSETALTGCRCPSGFHGDGHNCEDLDECREKLACTCPDCQCTNTWGNYECKCKGNQLYIRGEDVCIANSMSRLGWLITLVAVACVAGIGLAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALR >Dexi9A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:9A:12347926:12352838:1 gene:Dexi9A01G0017330 transcript:Dexi9A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCKSATTIAACGLLLLCVSFLAELVHGRQVPALYVLGDSQADVGNNNYLVTPARANFPHNGVDYPDQQATGRFSNGKNFVDYLAGSLELASPPPYHSISDSAAERDSTFLKGVNFASGGAGVLDLTNKGLCFSFDNQIELDYLNMYSELVRKLGQAQAMDHLSKSIFAVAIGGNDIILRSLPPGAPTVTVELPAVELQLQVLTPQQFVELMVQTLERQLQRLYELGMRRLFLVGAAPIGCLPVMREVNLLTKECHAVANDMSVRYNTAVASLLAGMSSRHGDFRYSFFDSYTALMQLIDDPRPNGYAEVKAACCGLGENKAMYRCGRVSSVCPDRTDHIFWDLVHPTEVTSRKLTGVAFAGSAPLVSPRNVQGGLVPALYVLGDSQADFGNNNYLVTPARANFPHNGVNYPGHLATGRFSNGYNFVDFLAGSLGVATPPAYRSICDATGSSSRFLNGVNFASGGAGVSDLTNKGLCISLDEQIERDYSNVYSALAQLLGQAQASTHLANSIFAVAIGGNDIIDRVLLAGPFNVSSGQQFVDSLAQSLKRQLQRMYGMCMRKVFFVGAPPLGCCPILRRRSLAGEDMGCHVEANSLSAMYNAAVASLLRDVSAQHPDFQYSFFDTSTALLPYIHEPQANGFAETKAACCGLGDGNAMFGCTPASSLCANRTGYVFWDLVHPTEATAQKLTRFAFDGSAPLVSPVNVRQLCAS >Dexi9B01G0048240.1:cds pep primary_assembly:Fonio_CM05836:9B:47235734:47237313:1 gene:Dexi9B01G0048240 transcript:Dexi9B01G0048240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMLPITNPRGGAPPPSSPVDEWTKRFQEAERLVDDVAERIAERESVTILGTRLDMLQEDLSDLPKRQNIGLKQLGKLAEKLSGLSSKAKEVGGQFTMKYASDKNDLLGSSEQSAKIDVNNIADMDNHEMISLQRQVIKEQDSQLEILEETIVSTKHIALAINEEVDLQTRLIDDLDERVEDTSTQLERALKRLKKLNMRVRKGDSCWGILLAIIAAVICVVVVWVLITIKG >DexiUA01G0025980.1:cds pep primary_assembly:Fonio_CM05836:UA:54743792:54748871:1 gene:DexiUA01G0025980 transcript:DexiUA01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQKVELGIAEGSLLTSAMLVYLSPLVGLFVMGGVFQMLFGTDVAAMCGAALGGVGGFWLAKALSPKLAAREEWQPVILSVGLAPDQLRVESLSSEARMRRFRTEKRQAKNSGLQEFICGGLSDREMEAQVLDSMDLERERGITIKAQSVTLDYKAADGEIYQLNFIDTPGHVDFSYEVSRSLAACEGALLVVDAGQGVEAQTLANCYTAMEMDLEVVPVLNKIDLPAADPERVAEEIEDIVGIDATDAVRCSAKTGVGVTDVLERLVRDIPAPEGDPDAPLQALIIDSWFDNYLGVVSLVRIKNGTMRKGDKIKVMSTGQVYNADRLGIFTPKQVDRTELKCGEVGWLVCAIKDILGAPVGDTLTGARNPADKALPGFKKVKPQVYAGLFPVSSDDYENFRDALGKLSLNDASLFYEPESSTALGFGFRCGFLGLLHMEIIQERLEREYDLDLITTAPTVVYEVQTTNKEIVYVDSPSKLPPLNNIEELREPIAECHMLLPQEFLGNVITLCIEKRGVQTNMVYHGNQVALTYEIPMAEVVLDFFDRLKSTSRGYASLDYNFKRFQASNMVRVDVLINGERVDALALITHNDNAPYRGRELVEKMKELIPRQQFDIAIQAAIGNHIIARSTVKQLRKNVLAKCYGGDVSRKKKLLQKQKEGKKRMKQVGNVELPQEAFLAILHVGLLWCLDKFIFAPKRHERQAAVQAATGDGLDAKTLKKVGPKPGWLETGASVFPVLAIVLVVRSFIYEPFQIPSGSMMPTLLIGDFILVEKFAYGIKDPIYQKTLIETGHPKRGDIVVFKYPEDPRLDYIKRAVGLPGDKVTYDPVAKEVTVQPGCSSGTACENALPITYSNVEPSDFVQTFARRNGGEATSGFFQVPKGETKEDGIRLVERKETLGDVTHRILTVPIAQDQVGMYYRQPGQQLASWIVPPGHYFMMGDNRDNSADSRYWGFVPEANLLGYTFHHQELLQQALTHRSASSKHNERLEFLGDSILSFVIANALYHRFPRVDEGDMSRMRATLVRGNTLAEIAREFELGECLRLGPGELKSGGFRRESILADTVEALIGGVFLDSDIQTVEKLILNWYQTRLDEISPGDKQKDPKTRLQEYLQGRHLPLPSYLVVQVRGEAHDQEFTIHCQTTRHRIVGIHTEGAYQAIYVDTPGLHMEEKRAINRLMNKAASSSIGDVELVIFVVEGTRWTPDDEMVLNKLRDGKTPVILAVNKVDNVQEKADLLPHLQWLGSQMNFLDIVPLSAETGLNVDTIAGIVRKHLPEAIHHFPEDYITDRSQRFMASEIIREKLMRFLGAELPYSVTVEIERFQTNERGGYDINGLILVEREGQKKMVIGNKGAKIKTIGIEARRDMQDMFEAPVHLELWVKVKSGWADDERALRSLGYGDDV >Dexi8B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:8B:19504325:19504721:-1 gene:Dexi8B01G0010930 transcript:Dexi8B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPKSNTKGVLYMAVTICIILAIMSSISPCCQAEEVDPDDRRRKPGVTCYPYGGQHCMDNECQQLCDDTGIHGTGAFCSGHAGAWDWECCCPQLAV >Dexi6B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:6B:662431:665067:1 gene:Dexi6B01G0000770 transcript:Dexi6B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHAHAIHSSSCFFPLIPLLVVFFLILSGELDVCSATRQFSTVAISHAPNSTLICALVSISGDAAAATGGGSTSKLRCTSLPDGEQYVYPSADIPYNAIAAGTGFLCGLMAPTGGHAAMRWWSFSEEAAANRSRPVGRRLYWGPSLRSLNAGGSHVCGLSDDHDPACWEWPDLDLPKGLDFSKIALGHDFLCGIVSNDHTNMSCYGGLTSPSLAPSPANFTTLAAGHRHACAVDAEGGFGCWGDDAPEVKADELPATMSAMALGNGTTCILDGGGIAHCWGGAPVPEKYTSTAFLSIEADGDAVCGIIKSNYSVVCWGKSDHYLSGGHLVYNNTMPGACVPAANCGDCDIIFGSGELCGNGGGEGAVELAVCQPCKLKLNASRILISNGLASPSPGSDEGARRKKKKIMTVALSVAGGAAAALAGMGLFVVAVRRKREKRKKTKTLQLGESSSRRLCRDVEAMVIPAPQDISPLRHGRPLGCEEFTLRDLSRITNGFDEEKKIGSGSFGSVYRAKLPDGREVAIKRAERSASASGDRRRRRRRFDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGVVSSSGGYSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDVKPSNILLDGEWTAKVSDFGLSLVSGGAAAAAASSSATAGTVGYIDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRTSGEEDGGSGSPRNVIEFAVPAVETGEIGKILDGRVPSPRGHEVEAVARVAKIASECVRPRGRARPVMSEVVAELEWAVTLCEESVLVSGAGGGGRSSDRHGGGGGSDLSRSRSRSESDDPSPFHTRELGFGFGFSFTHGSSRPPVAHGRSHSTM >Dexi4B01G0009240.1:cds pep primary_assembly:Fonio_CM05836:4B:6642039:6643220:-1 gene:Dexi4B01G0009240 transcript:Dexi4B01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRHLLPLLRTATLLPSPIHHRGCLLSSSADRFCLEDYLVGTCGLAPEQARKTAQKAFDEAKRHCRKEAFEDLSWSRLHSASNPDAVLALLSGVGLSRDSIAAVVSADPLLLRASTKKIGPRLLDLRDRLGLSAPQIVRFLLVGSRALRNCNVVPRLEFFISLYGSFEELLWILKKSDCVLLSDLETVIKPNIALFRQGGLSVRDIAQMCSRWPWLLTFNTEHVKEYMLRAEDLGVPRSSRMFNQAVCVVANNTKEMLAAKLEFLKSTLGCSESDVATAVSKMPSILGLSEDCLRRKIDFLVNEVRLEPQYIVQRPVLFALSLEKRMIPRYYVMKVLLAKGLLSNMSYYSLLSCGEKTFNLKYIDRHKESVPELADAYEKARAGIVPSGV >Dexi7B01G0022700.1:cds pep primary_assembly:Fonio_CM05836:7B:27562641:27565692:-1 gene:Dexi7B01G0022700 transcript:Dexi7B01G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSTATASPEAHERREQETLASAALALPLLRAAFTRSSADASALPDALAPPRGASFRAPGSSPLPPPHFHDLLARLGKAVASVFFGDGPAPRGAGTAGAEGDDAGWVPFLKGFNRCCARVSASRSLALLLRVFAAACADAGAPCGVQFHPGEGGGDEEGKVVGELAPEEIAVFLWMCWVMAWSGSAAPKASGGEGEKSEHAAVLLPDVTHLVLSALVSAGAVADDEGIWGWEVSSGGKGVKVQEFTSWALSMASGLGNCLSRYVQERFRSLAADPTEENSVSTVNATFDTSDVYLLTRGRAWAIALSLRNKLTEKFLSASVVGMDTEDLLYRSSVHGKGLSRFWSGVEGYKGPILILLSAFSKGGVENAVSGRRWVIGVLTEDGFESKDTFYGKEKNLVYSHLHPQIRVYEANPKPVGLAFGGTVGNERIFLDEDFSKVVVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDMYKNRENIFSEQRRKIDLKTFANWEDSPEKMMMDMMSDPNAVRREDR >Dexi9B01G0044090.1:cds pep primary_assembly:Fonio_CM05836:9B:43956966:43957902:1 gene:Dexi9B01G0044090 transcript:Dexi9B01G0044090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIGNNAPTPPRSWLSPPVVLSAFFVLLGAPGTLLLRLYFVHGGRRPWLSTLIQVSGWPLLLPPICLSLLLRGRAAAVDHLLPRRLAAAVAVLGAFFAMACFAYSLGSQALPLSTSSLLQATQLTFTAVSAFLFAGLRFTPFSVNAVVLLTVGSAVLGVGPSSAKKPEASVAYWWTGFFECMASASLLGLVLTLVEVAMSKYGRRSDDLAGATARVLMGAAGTVVCLVGMAIAEDFQAMPREAGTFGLGETNYYLVLISGSVLWQLCNLGIMGLIICSSPLLAGIMVALVLSLSER >Dexi4A01G0019620.1:cds pep primary_assembly:Fonio_CM05836:4A:23471144:23474047:-1 gene:Dexi4A01G0019620 transcript:Dexi4A01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHLAPQPTPSSFPADAAAPAPRLKPLLPRPATAAEPPLLLRRGHYSASTHRFHSILPLLSHPSVILSSALHLSRRAHPSLLTPPHPPPTTVSSSAAAAVAAISSPSSHLRLLLPSRLKGQPLPFPTLPLRLAMRSAASALDAVFAPRAATFAYRGRHSAIRYLRSIPSASWFFRVAIPRQRFGPRHVRRLLDAISGKVDDPGFLEYLNELFVSEAVAFELGGCELGRGLPQESELTATLVNIFFDPVDRELMAVREEVHKKNPRIKDETVLHTPVRVYAVRYLDDILVVTSGSKMLTIEIRDRVIAVLERDLEVKVDRLGSSIHSAVSEKIEFLGIEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRMRRGEEFKFDFQIENEVQQVFKDWAEETVAEYFRSQEHCQYWHRLLTSGDFLSLARVRDQLPLALVDSYDKFQETLDRFLMPTRGHNMSEEEERIAEEEEEEEYEKRTVEDLTELKMRANAPIELVRKAVKLAGFTNSMGRPRPIKLLLCLDDADIIKWVQD >Dexi6A01G0021310.1:cds pep primary_assembly:Fonio_CM05836:6A:28595342:28598180:-1 gene:Dexi6A01G0021310 transcript:Dexi6A01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLFWYTRDKKSDTEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKAPRDEGESSSFNLDPPETEVSPKQADDPPPAVKQEDSEEDRKGKRRRERDERRGEREKERKREKHADGKERRRDKHDRRHDSEDRSKRHRKDKQRRRHDSDSD >Dexi4B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:4B:6065374:6065637:1 gene:Dexi4B01G0008410 transcript:Dexi4B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFASGGGRSPHQRGPSRPRVVWGGAPARQPSGAFEEVISDSEDGQGQREPTAKYGRGFGVLQSSEYKRFPASPSGSAPLNARASR >Dexi9B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:9B:3977602:3984841:1 gene:Dexi9B01G0006640 transcript:Dexi9B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDGDRENADPNRCSTPDEAPPPPDGTEVKSDLDTKSTLSTQLLERKLGEKKETGSHDIHSNSTNTLEIGEEMNNTAKKRNVFRPSVFDRIPYHRDRLCDDDTKANSEPHQNQWREREKEHSDMNKMGRTYDDSRQYHDSHLSPQECRGSSFNATEEISLWQEDPMDPLALIAPNAEEVVILKGIEKGDITNSSGREDQEGSSPGEITIRTRGIPEGADLSERLKSDKSPCNAPQESESVGGHIHGSSTEFGQQYNVLDPGTEVGEMVGVDDIVSPENLSLYYKDPKGRIQGPFSGSDIIGWFEGGFYGIDLPVRVASAPCDSPFLLLGDVMPHLRAKVRVPPGFSNAKPRSMPETSHLGAAYLEKSVYGSINKNGSVTEAENHFVDSPMSSYTQNPRAETKPVTGGMDEWSCSTFGNLFVSGGESSVDLFSMLLPAIKHEAPAANSGLPLWSNTLDSGNLHPDTVIIDSADDTAFPPLAPYGTQRNAHYLDDGDFIEVKDTRKKKNKAEKSKGSSVKAPPPIDSLNPSVISVPIEKGNSGKQAQQEKDELAPEPRAPSLGDFVPWKSDEENAVPGPAWLTDPQQARKPLSLRDIQMEEERKSDSLQQLVPASSHAKQPMDRQCHGNDSSWLGSGSPPSGVTDTLPMTSHVSSQSYTSSDEDFFWAPHEHAKQDKYVLSYGTLLEFQSPSQRGASVINTTSAALDIPMKGKKGKKLSSLLLGFKVHSNRIMMGEILRADD >Dexi7A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:7A:21883973:21885166:1 gene:Dexi7A01G0011350 transcript:Dexi7A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSAADAPPVSAIGFEGYEKRLEITFSEAPVFADPHGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYPLKIVIKTCGTTKLLLTIPRILELAEELSMPLAAVKYSRGMFIFPGAQPAPHRSFSEEVAALNRYFGGLKSGGNAYVIGDPARPGQKWHIYYATEYPEQPMVNLEMCMTGLDKKKASVFFKTSADGHITCAKEMTKLSGISEIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGFDANELAYGDLVKRVLRCFNPSEFSVAVTIFGGRGQAATWGKKLDAEVYDCNNMVEQELPGGGLLIYQSFSSADYPVVGSPKSVLHCFEGENVDNAAPVKDGKLANLLCWEEEDAMEEKDGVLAE >Dexi4B01G0000910.1:cds pep primary_assembly:Fonio_CM05836:4B:583763:584767:1 gene:Dexi4B01G0000910 transcript:Dexi4B01G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEANLNGEGIAEEVGESSNCREHGALHELPRPGESLPQVLRGIITPVPPLLLFLKHRSDANRSPPPRRTDEVIGLLSPAEEAMDNWEAAGGSGGGREEKRRACLVAAARPVVAGKRRGTKGEGIEMRWKGATRQRAATR >Dexi3A01G0031850.1:cds pep primary_assembly:Fonio_CM05836:3A:36259832:36260194:1 gene:Dexi3A01G0031850 transcript:Dexi3A01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALALLLFLAVAAATASASFDMEMGFLSTAAGSGRRECRGTVAECLAEEEEDAELGGSPAAESHRRVLQGRGYISYGALRRDNVPCSRRGASYYNCRPGAQANPYHRGCSRITRCRG >Dexi5A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:5A:661278:662405:-1 gene:Dexi5A01G0001000 transcript:Dexi5A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGGELDVLDAGRCGDGYALGLAVGRRFSDAIRSRMRQDLVLREQLLPFASTAKGQPLLAALQAANSERYPRYWDELLGIADGSGVPLLHVILVNFRKEIQPFIPKAGGGDRARQEEEEEADGDCSDVLIVDDSTAIVAHNEDGNVALLGHTYLVRATLPDGMSFTAYTYAGELPSCAFGFNSHGVAFTLDSVPPVNDEIVAGAIARNFVSRDLLEAKNLHDAMHRICSPSISVGHSYNLMDVRGRRIVNVETASGNRSAVHEAGAAPFFHANMYRRLQVKQVVDTRHF >Dexi4B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:4B:11618044:11618591:-1 gene:Dexi4B01G0013240 transcript:Dexi4B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKFNKDEVWGHLGKSTGQLNDDPNDYEDDVLEDEISPRKAEAKTFGDSARHRPMGMRGRAPRGGGPRGRGYYGRGYGYMGRGQGYSYPNHQS >Dexi4B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:4B:16210093:16215435:1 gene:Dexi4B01G0014990 transcript:Dexi4B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGGPLPGVPAAADAMDVDPPRASADEKTISYMAERVVGTGSFGVVFQAKCIETGETVAIKKVLQDKRYKNRELQIMRSIDHCNVISLKHCFFSTTSREELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLSHQVKVCDFGSAKILVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKIFHKRMPPEAIDLVSRLLQYSPNLRCSALEACAHSFFDELREPHARLPNGRPFPPLFNFKQELANAPPELISKLLPEHARRHSGFSSLFGTGP >Dexi2A01G0024020.1:cds pep primary_assembly:Fonio_CM05836:2A:35702218:35702943:1 gene:Dexi2A01G0024020 transcript:Dexi2A01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGNDGVDLTELTLGPPGINARKARRARKNGQASSSSATMQAFVKVSMDGTPYLRKVDVAAYDDYGELVEALNELFCCCSIGLIDGYSEWEHAVVYEDGDGDWMLVGDVPWEMFVTSCKRMRVMRSCEARGLSSNA >Dexi8A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:8A:9529564:9531027:1 gene:Dexi8A01G0008150 transcript:Dexi8A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTMVSPSPVRVLSRRTVKPPPRPREHIQLTTWDLSMLDGDYIKKGLLFTPPPFSTPHLVDHLDASLADALAVYYPVAGRLSTHRHRRDAIRDVVGCSVSIDCAGQGAELLHAVADDVTLSDVIVPPNADVPRVVRSFFPLDAAANYDGHELPLLAVQVTELVDGVFVGFAYNHALSDGTSCWNFLNTWAEIARLKLSPPGAKATRVLKLKPPLIQRWSPDHGEAAAPIVLPYADLAPLVQRQPAPELRERMLHFSSESLTKLKEQARRELMAARDEDAAAAVTKFQALASLLWRCVTRARHRHVTTTTTTTTTKTVCASFAVNDRSRLRPPLPAEYFGNCVDLLTTEAVSASKLLARGHGWAAGAVGRAVAAHTDAAVRACTTAWEEGKKPMVSMLRPAGGGGGDVFVMLSSPRFDVYGCDFGWGKPVAVRSGDGNKFDGVVGLFPGREGGGSIEVEVALAPQHMAVLEQDDELWAAVSPGARGR >Dexi8B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:8B:3857260:3861456:-1 gene:Dexi8B01G0004370 transcript:Dexi8B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNATTHEPRGTAAAAAGLAASSPPSSSPPPPATNKMDCFLTTACTPFNLRFIDVSYRIKTSHSSTTPAKSSPPGRISSSSSSTAAATIAGGGAAAQSDERTILSNITGEARPGELLAILGPSGSGKSTLLSILAGRLTHHTGKILAGENPLPSRSVQRRTGFVAQDDVLHPHLTVRETLLYCALLRLPRATPTTAKLAAIDAVIAELGLGSCADTIVGNAFVRGVSGGERKRVSIGHEMLVNPSLLVLDEPTSGLDSTAAARLVSTLSALARKGRTVVMSVHQPSSRVYRMFDSVLLLAEGRCLYFGAGGDAMEYFASVGFKPGFHVNPADFMLDLANGFAQADYNFTAEGGNVKQSLISSYNKVLAPKVKASISAGAAEHAHDNGHATSPPPPLPAAESCSGCASWCHQFTILLQRSLKERRHETFTSLRLFQIIAPSLVAGAMWWRSSPAAVADRLGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPTAFTVIVYLMAGLNPSPSAFALTLVVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLILVQYGGHLRRLLPPEVVDGEAGPGACVAALVAMFFGYRLLAYLALRRVRK >Dexi1A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:1A:15442124:15442835:-1 gene:Dexi1A01G0013180 transcript:Dexi1A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTDKRTTTG >Dexi9A01G0030360.1:cds pep primary_assembly:Fonio_CM05836:9A:35374852:35379478:-1 gene:Dexi9A01G0030360 transcript:Dexi9A01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSIIMIMVPEEDKKDGDEHDVEGILFAYIHVEDDVEERLAIGFDGRWAIIGACLQKTIDRYKAYTRENVNYKAVQQDIQQVKADALSLANRLEALEKSKRKFLGENLEDCSVEELHSLEVKLEKSLHVIRGKKTQLLEQQIAKLKEKERTLLKDNKELREKQRNLQLPLVSPVPNRCLPPWPRNLPAPPCDDSMDVETELYIGLPGRERSSNRDSG >Dexi9B01G0027730.1:cds pep primary_assembly:Fonio_CM05836:9B:30182820:30186414:-1 gene:Dexi9B01G0027730 transcript:Dexi9B01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKVEQEDTVRRCKERRRHMKDAVAARQQLASAHADYLRSLRVTAAALSRFAQGHPSLAVSHHTAPVLLSTAAAPPAPGAARALPTPPPSTAASSSLPPPTPLAQHPPPPPPAPQPQMAAAGPAPVRAPRPRRLRVPHILSDSSVASPAQSSFRKQPPVGTPSSSSAWEWENFYPPSPPDSEFFERRKADLEQANRLRELEEEEKARAYLHHHHPYNLKEEDEFEDDDDDDDDKVDHEREEMHCGGWEDDEEHYASTTTSETRSEDEGEMGTRSECGFAARSECGFAARSEYGGTAVSEYAAVPLPLRRDERSEAGDSSSTVTAATEMRMVVRHRTLEEIVAAIEEYFVKAADAGNDVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEMESTEGKSHGCTLERLLAWEKKLYEEVKARENVKIEHEKKLSTLQSLEFRGRDSAKLDKTKASLNKLQSLIVVTSQAATTTSSAIVRVRDNELAPQLVQLCFALLGMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVASWHSNFNRLIKFQRDYIRALYGWLKLTLCQVDNSAPQDAHASIISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRSIEKKYYQSYSMVGLGLPGSGRDGIESHAYDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGIFQAIAGFSATVVEALDVVCRRAGSVR >Dexi1A01G0015470.1:cds pep primary_assembly:Fonio_CM05836:1A:22548020:22549810:1 gene:Dexi1A01G0015470 transcript:Dexi1A01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQHLAHAFHHGSLSIRPALDNATNAFISEPAGGHLPPLAAQRQQQHQLGGHTVFSDPLSELTCNNLHDSVCFEPRKRARTGDVAGGAGLIMEGHRALLPVPVPPQAAFAAPPEDARSRVLCSVDASTSGRLPSSSTPQASHGVLSHLHRHSVEVDAFVRIET >Dexi2A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:2A:23462793:23464708:-1 gene:Dexi2A01G0014280 transcript:Dexi2A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSKKAYTLAIVMQVIYTGLSVLSKAALDDGMSIFVFNFYRQATGSLLLLPLALLFQRKNMQSMSLVVLLKLFLCALFGMEVVSMRNSSGIAKVGGAVLCLLGVLVMAFYSGPGLSPISHHRVFAAHTTNSSGGHDKTSKAAWITGTFLMVINNMAWAVTAVWQARILKEFPNRMLVAVFLCVFSALQLLVVAAVAERDFSRWKLKLDIRLLAIAYNGFVVTGVAYYLQAWCVELKGPVFFSAWLPLYSVFTMFCSSIFLGEIVHLGSILGGILLIGGLYSVLWGKSKEGNSNKSASCSQMNTNDGAQGEEQHNKPDTHVEAITTPRDGQV >Dexi6B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:6B:26423303:26424131:-1 gene:Dexi6B01G0019800 transcript:Dexi6B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSPTSSSTSTRQHGEASGGGSSSRSMYRLPRRVAGAVARGVVTFVFAAVGMVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSHDSGIWTILYVLDVIWSLLTGRLVREKVDPAVQSAVDSQMNAAESSSNRDRETSPTTLADVFETATAATGMAAAAIEALPVTTFAAEHDDTDRTGCSVCLQDFEAGEEARSLPECGHTFHLPCIDVWLLRHASCPLCRRAVG >Dexi1A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:1A:6168714:6169048:-1 gene:Dexi1A01G0008020 transcript:Dexi1A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGRGVVGERWSQRVLWICALGSAVSLYFVAVERQAQNRARAVAEGLKALDGAGGRAGEDV >Dexi9B01G0047620.1:cds pep primary_assembly:Fonio_CM05836:9B:46699274:46701241:1 gene:Dexi9B01G0047620 transcript:Dexi9B01G0047620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPLPVATRAATPEHQQAGAGGGVDARRRAARALLFLASVALPCLVLYRAAVSRGAVLVRPGGAAVPWRMGVDLKKSEDARLERVLRAAAMANDTVILTTLNSAWSEPGSVLDVFLESFRTGESTRELLDHLVIVSLDTTAHARCRQVHRHCFALLTDGVDFSGHKNFMSDGYLKMMWRRIDFLGQVLEKGFSFIFTDTDIVWFRNPLPHFYPDGDFQIACDHFTGDPNDLSNAPNGGFAYVRSNTETIEFYRFWYEAREKHPGLHDQDVLNSIKRDSYVAELGVKIKFLSTELFGGLCEPSRNMSRVCTMHANCCVGLGRKISDLNAMLQDWRRFMALPREEKHSVSWTVPRNCSLQKLER >Dexi6B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:6B:24691779:24692406:-1 gene:Dexi6B01G0017520 transcript:Dexi6B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVIVLCRPPIGGHLGHLLDSTDDSSGVSFTVGGETFHAHRAVLAARSPVLKAQLAARLHGGGQDEPRHAARHQARDVPDPAAIHVTDKLPRDKELGETSSATAIEVFQDLLAAADMFQLDRLKLLCAQKLWERVSPENVAAVLICAETHSCPELKNRCLEFFVDKNFKVAVLTEGYFRLMQSFPSLIDEIRERVQS >Dexi5B01G0010080.1:cds pep primary_assembly:Fonio_CM05836:5B:7014626:7016184:1 gene:Dexi5B01G0010080 transcript:Dexi5B01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYFYSPVVLLLLLQLGGKGGTMPRANEMFRADSRMMVVFGALTSKPQQLTFEESLRFVKKVKARDYMLYLSLFDILGRMELSQLDAYRQLQLLFQNHPDLCEELEKFRPPVPIKHATNSFWAWVFMCAVPLVAVSLIPALGNPVLWFVQQTVGEKMAA >Dexi1B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:1B:7911056:7914938:-1 gene:Dexi1B01G0008960 transcript:Dexi1B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASPFSVSSSHSAEHEAAARDGSIIVAVDRDKNMQQAAKWAVDKLLTRGSTLQLVHVRTEAGKDEGDAEITQLFISYRGYCARKGMHLKEVLLDGNDISKAIIEYATSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKAKAIQVKAAKAPAPFTTLPPKQHSQPNIECDVSRTSRGDWKKTSHTSSPMANRNSVDRLSAYAKAPSRDRPLSGARAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDFPMSMELPSIDFAESMELSSAMSMESLSYAGKDVETEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKVEESKKYQELRNSEEEALALVEMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKQEAEDRKKATDALNKNDIRYRRYSIDDIEAATHKFDKALKIGEGGYGPIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSIRFRISADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGIMLLQIITARSPMGLTHHVENAIERGAFQEILDPTVTDWPVEEALEFAKLALRCAELRKKDRPDLGNEILPELNRLRNLGHEYEAAQVSSASTNCSSSAPYSFNNDDI >Dexi1A01G0025030.1:cds pep primary_assembly:Fonio_CM05836:1A:31382820:31385245:1 gene:Dexi1A01G0025030 transcript:Dexi1A01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEEHMAGPLYRLRHRRLMDIAPASPSDDSASGHSGSKGMTIMVSILVVVIICTLFYCIYCWRWRKRNAVRRAQIERLRPLSNSDLPIMDLSSIHEATNSFSKENKLGEGGFGPVYRGVLVGGAEIAVKRLSTRSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVERDEKMLVYEKSGQLDWKMRQSIILGIARGMLYLHEDSCLKVVHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSFGVLVLEILSGQRNGSMYIQEHQHTLIQDAWKLWNEDRAADFMDAALAGSYSREEAWRCFHVGLLCVQENPELRPTMSNVVLMLISDHMQMPPPEQPPLFARFKNVSVSDFSLAMKSETTKTQSVNEVSISMIEPR >Dexi3A01G0005700.1:cds pep primary_assembly:Fonio_CM05836:3A:3684247:3686732:1 gene:Dexi3A01G0005700 transcript:Dexi3A01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDSDSGQLLKVVSIVGFGGLGKTTLAKQVYEEIKDKFECKAFVSVSRSPDIPKVLKGILSGDGLSCMEATDDWEKLIQILRAQLANKSFGEEQLW >Dexi2A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:2A:1941199:1941522:-1 gene:Dexi2A01G0002460 transcript:Dexi2A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNPLDPWFLSLIVAPICPTTPLSPLFLCSRREDDKGCDGVGQGIMAVHVLAPLDRKDVVVPKVLDIMAGYNAPSFLASAVPLNKTQHQGVGVAGMPLPPSS >Dexi4A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:4A:16239440:16245598:-1 gene:Dexi4A01G0014280 transcript:Dexi4A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLALLRRAATSTSAASQRADPLLHLNPAPPRNGAAGPFSPRLFSSRARSAAGAKSLVEDEADLSDWISDLKTDSFHLGVSSGDEGDAPSTRRPAAGASRGGRGGRDSRGAFSRSRFGGGEFGGDRRGGFERRGRVMSSDLDSDEDGDSGFGSSRGKRGRGGRSSGFAMRGGRGNGSDDEAGFRSPRGQRGRGGRVSGVERRGGRYSDLDNGDGDSGFGSSRGRRGRGGRISGFSQRRGRESDDSEDDDDEAIGFGHSDRMQRRGGRRGARAESGMHRGRGRSDLGVSRRGGRHNELDDDDSDIGFGSLRGRREVSGRSSRLSQRRGRETDFDDEEDDVEDGDEDDSDDDDVVGFGHSRERQHHGEKRGARSVGAHRGRRGSSMDFGQQKGAKKLDFGLSEDDDDEVVEVDDDDEPSGFEDDLFDDEGGKKNVREIAGNMSDSFVSAGGETIKQEGVAGTRSTGGGDSYLSQKRFDECPLSPLTLKGVKAAGYERMTAVQEATLPIILQGKDVLAKARTGTGKTVAFLLPAIEVVSKLPSVDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLRDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIEKIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVQEGSEETHSQVKQMHLIAPVDKQFSILFGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPTDREQYIHRLGRTGRKGNEGAGVLLLAPWEEYFLRSIEDLPITEATQPLIDLDTKKKVDKALAHVEVKDKESAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSMGLNNPPAVPKLVLRKMGLNNIPGLRSK >Dexi7A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:7A:23854242:23855433:-1 gene:Dexi7A01G0013910 transcript:Dexi7A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGANFQNIYFPFVAPSPSAKPSPPTPTPWPPGGAMHNMTDEELFRWASMAPKLDGTPYHRVPKVAFMFLVRGDLPLRPLWEKFFEGHHGLYSIYVHTNPSHTVSPPRDSVFYGRTIPSQRTTWGGVTLVEAERRLLANALLDLSNERFALLSESCIPIYNFSTVYAVLTGSKTSFVESIVSTARYRPLFALRNNISEAQWRKGSQWFELDRALAGEAVADAAYFPTFRENCAGERFCVVDEHYMSTLVSVLGWGRRNANRTLTFADWDPKRRVGAHPRNHRGEEVTVELIERIRKGGGAPGRNCSFDDGANGVCFLFSRKFAPDTLQPLLRLAPKAMGFG >Dexi3B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:3B:12879258:12883222:1 gene:Dexi3B01G0017560 transcript:Dexi3B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELPLHHSFRLSSGPHLRRLLPLRFLSSRHSSSSSAAAAAPSASSPSSSGGNRAAPPAPSTGAPWLQKWAPSDPSQPAPAPALSPTTSIDRIVHRLRNLGLASDDDDPSAATATAPPDGTERLGDLLDRSWARPDRQFAAASFDDAVLPWERDEEAAAGSRDEEDGVKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTTAITEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSQTLNGTSSPVKGEDGALFIPDASSPVENDSQGKDLDAQHAKVSQLNMQNTEEMTEEEQEFNHMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKAPYRVLPTGMRSTLTNAELTNLRKLARSLPCHFALAVLAEREELTKDIQNMEEQRRTISIEQPPDDGLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSEKQKLYRKLEHKLSIAQAKIHRAERLLSKIEASMVLADPSDDKEMITDEEKSVFRRIGLRLKAYLPLGVRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVQETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLESNIKQMKLDLVCNFSVRFLVGGIKDYEEQEEDNSDSESEDGTAVTYASYDEDQEDFDESADEDEYDDYDDDENEDIDS >Dexi6B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:6B:823044:824170:-1 gene:Dexi6B01G0000980 transcript:Dexi6B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRRWRSLPHQLPKLALDVNDFLPDDEEDMYYEDEGDDDELELPAYDILAKASDAMFKAMVALLASRRGGEGDTAAAAGCTLAVGFHLRHNFMSIGQLLDNAMASGKARAIELDISTTFSLTECDGDMGHSINILLAYGRRFRKLFDGCPAAFGGLTRLTMANMWLRRRDMDDILACCTRLEMMSLFGCDAGPHVPWCVRHPRLNELRITHCCFKRVELAWLPRLQRFTYRLWASMDRPVVSFGHVPRLATVTFTQLQTIGHPTVRLSEMLANTAVTDLRLNFRGQDIWVKPEGPKRLVNLFRNLKRIKIRNLDEDCGLSWISFLLQSSPCLEELHVKV >Dexi9A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:9A:7585963:7590786:1 gene:Dexi9A01G0011960 transcript:Dexi9A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFGTAAAVNSGASSQPVEFESPSTYASTRAAALAVPTEKRKKVGMRVAATLASMGFTGVLSPRIGELEYLNVLSLPGNNITGGIPEQFGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLGAIVDRNLNSNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIYNQDAVELSTGR >Dexi1B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:1B:14281307:14281827:-1 gene:Dexi1B01G0012140 transcript:Dexi1B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKTSQALLDAQLELWHHTFGYIKSMALKSALDLRIPDAIYDHGCAATLSQIVTKVTVHPSKFSCLRRLMRVLTAAGIFSVRCSDDDAGEQVYGLTPASHLLVGNPNNIAPFLTLMLDRIMASPLNDLSKWFQLELPDPSLFEVTHGQPGWDVLRNNPSFGVLDACR >Dexi3A01G0009060.1:cds pep primary_assembly:Fonio_CM05836:3A:6280621:6283176:1 gene:Dexi3A01G0009060 transcript:Dexi3A01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKWNDERNKGSGSSIQSGNAMHACHFQRARHRRRRSNPIPPLHLPPHLPADVCSASCYSRKQPEPAFPH >Dexi6B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:6B:10107120:10110671:1 gene:Dexi6B01G0008150 transcript:Dexi6B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEECGDGDRRHLILSGSRILVGVPDNSRGCSELLSWAIGAVAKANDSVVAVHVIGGRGRKKRLQKANAFVIHMLGEFVEACEAKQINLEAKVVCSSNIGRALTQESALTDGNILIVGRSRNGYHRSHFEIANYCFMHAPKNCSVIAVGREGLPQCNTRSRSKSLDAESNISSSSTWSRRFPPLQKLLRSNSMRRLAQSISESTEDKSSPRAVLDGPEEGEQQVTEECYSTSSHEVSRRGHNGLWRRLSDMKLWLPFLRTIGDDSARASDAGSAYAEDQKPAWRCFSFQEISMATNDFHPDNLAGRGGYAEVYKGVLSDGQYVAVKRLAKGTPSEQKEKEFLAELGIQGHRSYFAGKSGKTLEWPLRYKIAVGVARGLQYLHMFCKHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWVKPLLEAGQVTELADPNLGDDYDKDQLKRMISVASRCIMRPAMWRPSMAEVLHFLSTDDCLNEPEKWNIPEHEVDDMDDCTLFSESCSL >Dexi4A01G0012940.1:cds pep primary_assembly:Fonio_CM05836:4A:11819048:11823443:1 gene:Dexi4A01G0012940 transcript:Dexi4A01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVPDATIIAEHPVVRVGVVFSGRQSPGGHNVIWGLYDAIKAHNSNSKLIGFLGGTDGLFAQKTMEITGEALSSYRNQGGYDMLGRTRDQIRTIEQVKAAMATCQALKLDALVIIGGVTSNTDAAQLAETFAESKCQTKVVGVPVTLNGDLKNQFVETTVGFDTICMVNSQLISNVCPDALSAEKVILGEEVSTSKLTISDITKQICDAVQARAETDKYHGVVLIPEGLVESIPELYALLQEIHGLHNKGVSVENMSSHLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNKRLVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >Dexi3A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:3A:4906951:4908297:-1 gene:Dexi3A01G0007230 transcript:Dexi3A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRRARAAAEVVGHGVADDDDEEEELGVFAAERYFYGDDDAVWCERSSSSLSSSAFRTAGTLEHDRSVVPTPTAGTTSSEASWNSRSALLPHNNDPPPPTAATKLHGGAATPVAAAEPVVSGAEKSDERAGRLRRASSSTSSSSNMRRWLLGVVAGCACGGGGDGDGEESMASADKMEASDDVVGVGGEKCNTAVASNLSPRTEMAFEEPTVVTVRPGSGRWLLEEDEFVLAGRESFYATEIAGGHGHRRSTKSGEMSMPLVFQPDATSRRRTKSLEMLRPLGDDDRGNAFSSATQSSGFTIVAGNGHAPPRASAGGEEDDAASAASEVGWSSAYPPSEASVVWSVVTADGAASAGNFSSAASGYYRHHYYGFSSYGGEDGAAKGDRRRRSGITTASSLLACMSDKAVDAVGPIHRPEVSRQPWRGWELPAAAGTAAVVVEGTSGT >Dexi3A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:3A:6940717:6940930:1 gene:Dexi3A01G0009860 transcript:Dexi3A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREVVAGRLLPSAYPDPTTPRKTPAYPGRRNSF >Dexi6A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:6A:18461788:18462066:1 gene:Dexi6A01G0012230 transcript:Dexi6A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWSGRPLGVGLDEEVTLVARPSTSLPARPGSRPSAWRWRRGAVGRRPRRRTGGEDRCVGALVARSSPSPTRPAAPPPPPIQMRRWVAACA >Dexi9A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:9A:8413434:8418192:-1 gene:Dexi9A01G0013110 transcript:Dexi9A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLSASSQAGLLLLSLPLLQPPGAGAWLRYRLPRLPPALSSVRKGGILPLPLLAPPRAAEGKDGRAVTKEEVLEEEEEEVEVRKEGGEEGGSGDGGVTREEAARGSGSFSADYISLGVREPVYEVIEVRSSGRISTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILINLGSLRAIAMYERVLIFNYNSRGGKVFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSKILRLEQRLMKIEPSVAALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLNDPHEIRRICIMGRNCTLDRLSDAMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSISVNLSSRRLEVSRVELLLQVGTFCIAVGALVAGIFGMNLKSYLENNAV >Dexi9B01G0042750.1:cds pep primary_assembly:Fonio_CM05836:9B:42919615:42921113:-1 gene:Dexi9B01G0042750 transcript:Dexi9B01G0042750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNENAAHSAPPLRRPRGKRKALAELPTYEWRKNTHGGSAPRTSKPRTRSAARAEAEAEEARKAQEDEKVASGADVARLRDPKRQDAGAAQAAVAPYLGDIDRYLRSLEVEPLRRPCPDYFQKIQKDISAKMRAVLVDWLVEVADEFKLQAETLYLAVSYIDLFLTMNVVTRDKLQLLGVTALLVAA >Dexi7B01G0024440.1:cds pep primary_assembly:Fonio_CM05836:7B:28762298:28767908:-1 gene:Dexi7B01G0024440 transcript:Dexi7B01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEGVGESCWPPADAAAAAAGRGASSPGGGGGYTDIRKEIFNRLMAKGIEEVVSDPLAFRDQLHRHFERLPASYSIDLDVEKAEDVLLHRRILDECADPDKRPVFHVRFLTVSTTPLLCSALLCSVPRFLSINSAAAAAAAAAAAAAACVIFCMEGNSQDKSQGPSTKENGNSGGSLASTLRDAEFRNSEPCQRLMEDLSLERVTDDSEASSARKDEGILRIHEIIFSTVDQKKLLARLSALLSELGLNIREAHVFSTTDGFCLDVFVVDGWETEETDGLLLKLKEIVAQNHALFSNPTNSAASEKIQELQEKIGDSNCDRSSLHIREKIASGSSGDLFRGSYQGRDVAIKFLRAEHVDDSSKVEFLQEIIILKSVNHDNVVRFYGACTKERKYVIVTEYMAGGNLYDFLHKQKNTLEITMVVRIAIDISKGMDYLHQNNIVHRDLKTANLLLGSDHVVKIADFGVSRNPSQEGDMTAETGTYRWMAPEIPYENMTPLQAALGVRQGMRLDIPSGVHPRLSQLIQQCWDENPNMRPSFSEITAELEDILRQVQAPKGTNRHSKAKVLKKSQTDR >Dexi1B01G0030010.1:cds pep primary_assembly:Fonio_CM05836:1B:34101526:34103901:-1 gene:Dexi1B01G0030010 transcript:Dexi1B01G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLHKKRMWGEPWKYLQPTTEINGILITNHRKMDRRWWIAQATRYLMRFPTEYMCGLLNVARHSAFGLEAAKLVLKSTQNDSPKVGTTTRTKSDIERLVWSNHRPYIPRPLLSMHVRMGDKACEMAVVGFEEYMKQAGNLRRRFPTLKNIWLSTEMQASQFAHPV >Dexi3A01G0034940.1:cds pep primary_assembly:Fonio_CM05836:3A:40225906:40227800:-1 gene:Dexi3A01G0034940 transcript:Dexi3A01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGAMARLVVAARAFSASASAGAGGVAMVQGASRGIGLEFVRQLLRRSDQGRVVATCRAPAAAAELHKLREEHAPGRLTVLPLDVTDEATIEAAAASIAETHGSLDLLINSTGILSIPNVIQPETTLGKVQKPSLLLAYEVNAVGPILVIKNMWPLLKVGGHSETGRGFSLVANMSARVSSIGDNGLGGWHSYRASKTALNQLTKTVSVELGRKDNIACILLHPGTVDTDLSRPFQRNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >Dexi2B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:2B:12418943:12420328:1 gene:Dexi2B01G0010920 transcript:Dexi2B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRNDWGRNFMAKFNTGGAVSSEPDAKLTPAMIISSIHVESAEKSTKAANRCSSRRVRQETVDSISSEKSYMQNKEEWDMKIADDSSLGANIDITRILHSMKRRKRSARQRIKSSEPWPQRLWALNSRKNVAIVHQNVQGVGVGSKNMKRNRGGTKFWCVNNREAKGKKHVGGENNDASNQSIPANSDEVMQCSTDTNATEHISVPNSDTPSLSKEQYSTYASEFAFKPSGASEISLEQTAILMNNTFLGFPKSKGAVADDLMATTDVISLYQDREKCTKFDAAIIPGLDLNDGAENFDTSTAESALASLCSLCAVSVPDSCVEFAVKVLKDETPLSAEVSKVDRFFMQMGYRQKSTIAGPSQSSQGSKG >Dexi9B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:9B:6944135:6955124:1 gene:Dexi9B01G0010990 transcript:Dexi9B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSSPDGSNSPRTPTSAPGSASAASPASSSSSRSDKKKTKSETPRKRDKLFGSAAAAPKSAASSASSSPAAGDGRKGSVAQFRDASGGGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLSRGQVQAEPSAAPAGVVGGRKGVSSWADSSSTGSSSRGKGKTAELPRSAAGTSFGAEGKSAVKAKPSALRNHSGDLRTPTHIPEAACAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAVFAGDLVSVMEKYADSHPEWKETLEDLLLLARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVIDQRDKIIQSADRQILAQPGVDDRTSRASKSDARKSYSQEQHNLKWKRSQEIKPVKLLPPLDTDVKKEADSPTKERISSWKPFPSPVIKPPKESTPTKSESPNKKADPHSPVSSHVELSSPVESIPQQQLPAKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVEDHSRVCAVADRCDQKGVSVDERLIRVAETLEKLVESYSQKDLPNAAGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADNTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPITTPRSNHIDMLLAGKNAVNESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIINRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKVWTHAHGFSADWWSVGVILFELIVGIPPFNAEHPQFDSVAVAASQGLLDILKISIPYTIFDNILNRKIPWPHVPEEMSLDARDLIDKLLTEDPHQRLGANGASEVKQHPFFKDISWDTLARQKAAFVPSSDGAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNRQDDMGEDSGGLNEFESSANVNYSFSNFSFKVKLHPLL >Dexi9A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:9A:3220020:3226289:1 gene:Dexi9A01G0005790 transcript:Dexi9A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAKPATVVLAVNGQRYEAAGVDPSTTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTEFSASSCLTLLGSVDRCSVTTSEGIGNSKDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVNADKAADRPAPPPGFSKLTTTEAEKAISGNLCRCTGYRPIVDACKSFAGDVDLEDLGLNCFWTKGSEPAEVGKLPGYNSGAVCTFPEFLKSEIKASVEQATVLVSDDGWYRPKSIDELNRLFESNSFDENSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVIKRSNKGIELGSAVSLSKAIDVLSDGNMVFRKIADHLNKVASPFVRNTATIGGNVIMAQRLQFPSDIATVLLAAGSTVTIQVASKRLCLTLEEFLQQPPCDSRTLLLSIFIPDQGSDGITFETFRAAPRPFGNAVSYANSAFLARSSGGGLIEDICLAFGAYGVDHAIRARKVEEFLKGKSVTSSVIFEAVQLLKATVSPSEGTTHPEYRISLAVSFLFTFLSSFAKSLNEATKINVPNGTHTNGVTNGGIQHSPEEHLKVDSNDLPIRSRQEMIFADEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPYAHVKGINFKTSLASKKVITVITAKDIPSGGQNIGSSFPMLGEEPLFADPIAEFAGQNIGVVIAETQKYAYMAAEQADVEYSTENLQPPILTIEDAIQRNSYFQTPPFLAPKPVGDYNQGMSEADHKIISAEVKLESQYYFYMETQAALAIPDEDNCITVYSSTQIPEVTQNVVARCLGIPFHNVRLITRRVGGGFGGKAMKGIHVACACAVAAFKLRRPVRMYLDRKTDMIIAGGRHPMKVKYSVGFKSDGKITALNLDLGINAGISPDVSPLMPTAIIGALKKYNWGNLAFDAKVCKTNVSSKSAMRGPGDAQGSFIAEAIIEHVASALSVDTNTIRRKNLHNHESLAMFYGESAGEASTYSLVTMFDKLASSPDYERRAAVVEHFNRNNKWKKRGMSCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGQLCPDGGDCLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRLSCAALVERLKPMKENLEAKAGTVEWSALIAQASMASVNLSAHAYWTPDPSFTSYLNYGAAISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYTTNSDGMVINDGTWTYKIPTVDTIPKQLNVELINSARDQKRVLSSKASGEPPLLLACSVHCAMREAIRAARKEFSVCTGPENSTATFQMDVPATMPVVKELCGLDVVERYLESVSTNGPTTVKA >Dexi5A01G0025490.1:cds pep primary_assembly:Fonio_CM05836:5A:29341741:29342295:-1 gene:Dexi5A01G0025490 transcript:Dexi5A01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYNRDPKHNLLLTKSIVELEHRVGEGVVGALDLVVVHLDLVVLELAPAPAGPGLPFPDQVLVRAADGGLGVVGGLTRRPDEAAVGRRGTVDLHRARAELLLRVDQAAVDGEHPPVLATLATHCAGLPWLLLGIV >Dexi5A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:5A:5632587:5634572:1 gene:Dexi5A01G0007640 transcript:Dexi5A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLPIDQEVLFNVNLIENSSLEDGLSGWSPVGSCTALSVHEEEPAKVPTETINDVEEGYRPSGRYILASGRADEADGLRRPINGGALKPRVTYRVAGWISLGGAAATGEGGHPVRVNLRLDDECVVEGGAVCAVAGKWTEIKGAFRLKKSPSCDAAVYVQGAPAGVDVKVMDLQVFATDRKARFRKLRKKTDKVGDLALIEVTYSRRERRTFRVLQVRKRDVVLNFGSGASAISGASIRVMQMDSSFPFGACINPGVIQNPAFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADSDALLDFCDKYGKPVRGHCIFWAVENTVQQWVKNLSNDQLTSAVQERLQSLLTRYAGRFPHYDVNNEMLHGSYYRDRLGDDIDAFMFREAARLDPGATLFVNDYNVEGGNDPNATPEKYIEQIAALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLSAATDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVVFWGFMQGHMWRQDACLVNSDGTVNDAGERQTLSIDRFSGDAKSFVDLRREWTSHARGHIDSAGHFKFRGYHGTYVVQLATATGKVHKTFSVEKGDTPLVLDMDL >Dexi1B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:1B:17568434:17574394:1 gene:Dexi1B01G0012810 transcript:Dexi1B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQAWRASSNLIGFAASRAATSTSAAKPLYLRLRCCSGAATTTNQKPLPQDRRRRSASTSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHMTKELQEEYTHLENGEVREEAAVSIAGRIVARRAFGKLIFMSVRDDTGTIQLYCEKDNLTDDQFEQLKEFVDIGDILGASGSIKKTEEGELSVNMKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTVESFGFIEVETPVLQGAAGGAEARPFITYHNSLERDLYLRIATELHLKRMLVRLQFIYHALGQGFIELDHYSASAPVFFLVFKVMSTIDKVQVGGLERVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNIAEEIVTRCAMATHGKLKVDYQGTEISLERPWRRETMHSLVKEATGINFSGFGQDVESAKSAARGLLGIRVGSSESTSLQSCSSVGHVLNEVFETFVESTLVQPTFVLDYPVEISPLAKPHRR >Dexi8B01G0006930.1:cds pep primary_assembly:Fonio_CM05836:8B:8070180:8075794:-1 gene:Dexi8B01G0006930 transcript:Dexi8B01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLQPLLLTLLLHSVLISGNAVVAVAGGGDLRGDAAALLALKAALACRPHALRSWTSANAAAVCAWTGVRCSGGRVVAVDLANMNVSNGAAVSVRVAGDELDALETLSLAGNGIVGTVGASSLPALRHVNVSGNQLTGDLDGGWDFASLPALEVFDAYDNNFTSPLPLAVAGLPRLKYLDLGGNYFTGEIPPAYGTMPAIEYLSLNGNNLQGRIPPELGNLTTLKELYLGYYNEFSGGVPPELGRLRNLGVLDVSNCGLTGAIPPELGALSSLNTLFLHTNQLSGTIPPELGNLTSLTALDLSNNALSGEVPTSLASLTNLKLLNLFLNRLHGPVPEFVAALPRLETLQLFMNNLTGRIPARLGADGAQLRLVDLSSNRLTGVIPDTLCNSGELHTAILMNNFLFGPIPDSLGSCSSLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLSGEVPSNPTPASSSSQLAQLNLSNNLLSGPLPSTLGNLTSLQTLLVSSNRLSGEVPAEIGKLRRLVKLDLSGNELLGCIPATVGNCGELTYLDVSRNNLSGPIPETIAGIRVLNYLNLSRNALSGDIPAAIGDMSSLTAADFSYNDLSGKLPDTGQLGYLNATAFAGNPALCGVVLRRPCSAAAVSGESSSSSAPVTSTRRGGSGELKLVLALGLLACSVAFAVAAVLRARSIRGGDGDAGEGKWRFTAFHKVDFGVSEVIECMKDDTNIIGRGGAGTVYAGRTRSGGAIAVKRLQRGGVSAGAGEDDVNNDRGFRAEVRTLGSIRHRNIVRLLAFCSNRDANVLVYEYMGGGSLGEVLHGKGGAFLAWGKRYRIAVEAARGLCYLHHDCAPMIVHRDVKSNNILLGDGMEARVADFGLAKFLRRGGGGGGGGGGATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWAKRATGGRREAVPGIADRRLAGDDDAPADEVAHLFFVAMLCVQENSVERPTMREVLQMLSEFPRHGEDSSSPSTLLSSVKTSPASTSSAAAPPEGPAMSSSSDGSKDHSPPVANCGYKLFVPDLLA >Dexi1B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:1B:4785448:4785732:1 gene:Dexi1B01G0005880 transcript:Dexi1B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLLPSQPPEARFGQQEPLRWSRSGRAGEEEQGRQGGGRFGGFSTRSGGGGRPPGALLLQILAGGRRLDELECFTPPPDSKKTMGLDGSGER >Dexi9B01G0029800.1:cds pep primary_assembly:Fonio_CM05836:9B:32199327:32203253:1 gene:Dexi9B01G0029800 transcript:Dexi9B01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGDHHRYYSTVPSATIAGGLALLALCSYYLLVVVVRRGDKKAKSKRYAPVVGTVFHQMYHVRRLHDYHTDLFREHKTFQLLAPAGRRQIYTCDPAVVEHILRTNFANYGKGPFNYENMTDLLGDGIFAVDGEKWRQQRKIASYDFSTRALRDFSGAVFKRNAAKLAGVVAGRAASGQPMDFQDLALKATMDSIFTIAFGLDLDTLGGGSSGEGSRFAAAFDDASEFTLLRYVNAFWKAMRLLNVGSEAALKDRVKVVDEFVYKRIRDRAQELSGSKAQADPDSRQDMLSRFIETATSESGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMACKHPQVQEKVRQEAIKATDASETATVEEFAQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKQCFGDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGDDAEVFRPERWLDDCGEFQQQSPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRSFVFRLRDGVGATVNYRTMITLHVDQGLHLTATPR >Dexi7B01G0022950.1:cds pep primary_assembly:Fonio_CM05836:7B:27721022:27722389:-1 gene:Dexi7B01G0022950 transcript:Dexi7B01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCYLRGSMASQVVQLRQPSSSRPPLPVINLGRLSKDPATRALAIQDIARACREQGCFQVVNHGVSKSVMKGALEAASEFFELSPEHKELFASTDIRRPIRYDTSSRDGISKARSFLKHYANPLEDWVQYWPMHPPSYRQKMGEYAVEIQRVSMQLMDAILQGLGLRPLCMQEKLEKGVQFLALNNYPQLSHRGDKIGLASHSDYGFLTILLQSSPGLEVMPHGDDAWKSVPVIPGALHVHIGDHLEVLSNGQFKSLVHRAVLNPDEARISIASIHGLAKDEKVCCADELVDEENPEMYRESSFQDFLDFLPSNINNYKRFVESLKINRA >Dexi8B01G0000870.1:cds pep primary_assembly:Fonio_CM05836:8B:597199:599424:-1 gene:Dexi8B01G0000870 transcript:Dexi8B01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPTYLSDFGSSHRAARNQQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRCTYKNDMKCPATKQVQQKDTSDPPLFSGYRNAVIFKKGSINLLQPSHCQRATHILDFLGQSTTKDEPLRKPVSVDRHIIAYKQRSV >Dexi3B01G0024400.1:cds pep primary_assembly:Fonio_CM05836:3B:19039288:19043258:1 gene:Dexi3B01G0024400 transcript:Dexi3B01G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGEAAALEFTPTWIVAAVCSIIVLLSLVAERCLHYLGKKLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPAGWTDHMLPCQRPDETVGGAGATEGHFGASGILGRFGRRLLSEGAAAADHCQKKGKVPLLSLEAIHQLHIFIFVLAITHVVFSVTTMLLGGAQIRKWKQWEDGIQKDAPEKGTDNKVTHVRTHEFIKKRFKENSVILSWLHSFGKQFYGSVSKSDYTTMRLGFIKTHCPGNLKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLLLLLAIGTKLEHVIAQLAHDVAEKHSAIVGEVVVKPSDDHFWFGKPRIVLFLIHFILFQNAFEIAFFFWILTTYGFDSCIMGQVRFIVPRLVIGVVIQLLCSYSTLPLYAIVTQMGSCYKKEIFNEHVQQGVLGWAQKVKMKKGLKGAASKAESIRSADSAGPSVKIEMAKAGEDAEVVGNTE >Dexi8A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:8A:25562727:25564373:1 gene:Dexi8A01G0014890 transcript:Dexi8A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLLSYFRSCPDSLKPCIFYLSIFPLNHTIRRRRLVRRWIAEGYFRDNKESTAEENGERSFSKLVNLSMIQAPRTKVDHMRMPLCQVNGFLREYVISRLMEENLVFALEGQCKRNIQRTGRHLAVDNSWDRDRNVFESIDLSLLRSLTVFGKWESFSDKMKLLRVLDLEDVSSGVTNGDVEQMVKQLPRLKFLSLRRCKEITCLPDSLGDLKQLQTLDIRETSVIKLPKSIVKLEKLQYIRAGSTKHNRATEAADQNPSAAAIASKSRPCATLGSRLLSMHRYLDDGSRCGVKVPRGIGKLSSLHTLGVVNIHAAAEEDGFLEDLKNLTQLHKLGVSGINRKNSEKFFSYVSRLAHLDSLSLQMQANQDNEATGCMADISSPLEKLRSLKLYGLVDRLPSWIMQMCLQLPQLEKLDLQMKTLPQQELDFILTLRYLRSLRLRLAEFQDGELRFCWSIAQSSGGWIINFLEIACNSRLQAVRFGSKLDVEILKIRCCSASPSLKFSGLQSMDSLKEVWLSGSYDQAFKQHLESELKENENKPILKLE >Dexi7B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:7B:4046260:4048329:1 gene:Dexi7B01G0002370 transcript:Dexi7B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQLEMAAKVTVEEVRKAQRADGPATVLAIGTATPTNCVYQEDYADYYFRVTRSEHLTDLKEKFKRICRKSMIKKRYMHLTEDILLANPNMASYSEPSLNVRQEILVEEVPKLGAAAAEKALKEWGLPRSQITHIIFCTTSGVEMPGADSRVIKLLGLSPSVKRVMLYHQGCFAGGTVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEDHLDSLVGQVLFGDGASSVIVGADPIERVERPLFQMVSAAETILPNSDGAIEGHLKEVGLTFHLQERVPDLISANIERLLEESFKPLGISDWNSIFWVAHPGGPAILNMVEAKAGIDKERLRATRHVLSEYGNMSSACVLFILDEMRKSSVKDGRATTGDGMDWGVLFGFGPGLTVETIVLHSVQITSAA >Dexi9B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:9B:2611789:2612122:1 gene:Dexi9B01G0004570 transcript:Dexi9B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCGFYLVAPTMRKRRHCREIISSGFLRSPVAIYSDANREAGETCVGVQGRRFIVFFRLHRGGRSWPMRLGGSVLGICA >Dexi9B01G0021860.1:cds pep primary_assembly:Fonio_CM05836:9B:16560859:16561727:-1 gene:Dexi9B01G0021860 transcript:Dexi9B01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLLLCTTFTACLALAAADWSQGMATFYGGNDGSGTMGGACGYDNLYNAGYGVLNAALSQTLFNDGASCGQCYTITCDTSNSLWCKQGNSVTVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAFEHIGVAQAGIVPILYQRVQCSRTGGVRFSFAGNNYFLLVNIQNLGGSGSVGAAWVKGDNTGWIQMSRNWGANWQALAGLCGQGLSFAVTSTGGQYIQFLNVIPYWWQFGMAFPTNQNFYY >Dexi5A01G0026400.1:cds pep primary_assembly:Fonio_CM05836:5A:30050816:30051428:1 gene:Dexi5A01G0026400 transcript:Dexi5A01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDEHLMCEIEGHHLTSAAIIGHDGTVWAQSAAFPPFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGVTLKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQGL >Dexi4A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:4A:19100035:19104054:-1 gene:Dexi4A01G0015770 transcript:Dexi4A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAVVKSWRLTVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKTLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAH >Dexi5A01G0025190.1:cds pep primary_assembly:Fonio_CM05836:5A:29016798:29017174:1 gene:Dexi5A01G0025190 transcript:Dexi5A01G0025190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTQLTDLSQWRGKRLSPAAPGSGGFALAAVVAATTLQPLGRGGGAAPAGWEGNGAGGGGRSTGATRLGEAAAAPRVSRWGSSASGKTRTSLGLVAE >Dexi2A01G0024640.1:cds pep primary_assembly:Fonio_CM05836:2A:36398483:36401225:-1 gene:Dexi2A01G0024640 transcript:Dexi2A01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATALLQDKGSDEVVFKAMGRAINKTVMIVELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGYQSPLPADEVKPLMHIHLVAEGGAVVGEPVDEAGEGEEVDVGMDTMTMLMVVGVRMIMPLSIWATDIPMEEGEVSGAVAGEAAMVASLITNRMEAIMTRHLFLLQPEVVVVEVAGVGEVHPEAEDAVAM >Dexi1A01G0027780.1:cds pep primary_assembly:Fonio_CM05836:1A:33500165:33500476:-1 gene:Dexi1A01G0027780 transcript:Dexi1A01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLRSPQSSRPTLRRDAADDSDGDSDGVEGSSVPTGTSESGARTRLTLMDRIGRKLSDLSPPSLEKTLDELRRMSPWTSTNTATFTSASRSSGESERKA >Dexi8B01G0008510.1:cds pep primary_assembly:Fonio_CM05836:8B:11429936:11431106:-1 gene:Dexi8B01G0008510 transcript:Dexi8B01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLPTLRQKREVDAAIRDTLDKVLVLRFGRADDAACLHLDDILAKSSWDISKFASVALVDMDSEEIQVYIDYFDITLVPATIFFFNAHHMKMDSG >Dexi2A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:2A:5828916:5834896:1 gene:Dexi2A01G0006170 transcript:Dexi2A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMALATSDSYRRWRGGFTRRRCEVVSQPRHRNPGREHPDPSHRGGSHNGRPPLAAAVVHRRRARVGKEEEGKMKTTKGGKVMNPTDAFRKQQRKKELKRNKKERKKVREVGILKKDPEAIREQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKIAVLLFLLTQLPLFPTSHLGQPKRRPAAEEEDRANPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSTAGASSSMSESEAGPSTLPPPPPPPPLPATSESIDPSVTSFPLPPVPPPPPPPPKPVSDSALPSLPPPPPPPPGPRPREPVSGHTVLPPPPPPPHRSSGANESIADSAQPSVVLPPPPPPPGLPPKSNDMEAAGTSKDTSGFKQDTAARVLPPAPPPQSSNLQSLPPRPPLQPDMLAPGVMRFPPPPPPPPDSRPQFMAPGVARPPLPPPGLPPAQIPMPPYGVLPGPPPMPMPPFLPGPPMHPDEFTAFGPRPQLPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQQQQSSTPSISKPSVTLVRSDAQPSSSAPKPPSIDDSYMAFLEDMKELGALDG >Dexi5A01G0035150.1:cds pep primary_assembly:Fonio_CM05836:5A:36990969:36992329:1 gene:Dexi5A01G0035150 transcript:Dexi5A01G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRASRLLPVLVVLVALAVAPSAVSREEREVREVSGAPDGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVNPDLVLITGDLTDGKSKDLLTMKQNEVEWVEYESTLNDIIQISRLPRSIFYDLRGNHDSFGVPESGGDYDFYQKYSINAKLRRQGRVQSITLEQEERYCDSYFVVIL >Dexi5A01G0035230.1:cds pep primary_assembly:Fonio_CM05836:5A:37047774:37048110:-1 gene:Dexi5A01G0035230 transcript:Dexi5A01G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGEGHVEVEVGVGADGKGVIECRICQEEGEEDAMDSPCACTGTLKVSAG >Dexi7B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:7B:23929799:23930161:1 gene:Dexi7B01G0018090 transcript:Dexi7B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSISAMPKLLPEEAAGAGDDDDVEAKPEKAPAPRSSGKERSVHFIPLIIVLCFVLLFLCSHDPSPSDMSSFGKEAGIKNTKSL >Dexi9A01G0049150.1:cds pep primary_assembly:Fonio_CM05836:9A:51851557:51854165:-1 gene:Dexi9A01G0049150 transcript:Dexi9A01G0049150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLATSLSHHFSRRLHPSISHLIPPHHDRSESSSSSTAAPPPLQSQPSPPFPSSLPRPSASRALTSLPLPFALHLAAHRNFSTTSSSASDIDAAVDVLSDAASSSPVSELLSDEVASAAASVPLPPAPYAGEVAAAAAESFPPVAALQHLLDAVHSFTGLNWWACIALTTVLIRLVTVPLLINQMKSTIKLNDIRPEIEAINEEMRNSTDPRSVEVAKQKLGELFLSIFFLLSYNCIRDITKVIVSLLSCSRNGVTPLTPLKGLFIQGPIFMSFFFAISNMVEKVPSLKGGGAYWFTDLTTPDDFFILPVLTSLSFLAIVEVCILAAVDKVSYLLICYQDTSVFISAQYARWYGRKSYGENNEKGLQIFWRYVCSIINRLSKGM >Dexi3A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:3A:489735:491270:-1 gene:Dexi3A01G0000580 transcript:Dexi3A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPMATVYRLPRNAICAPCYEGAKAIIGFLSKDEQEDDHGSVNSRVSRKLNGSTKGMRDAWEQVKEMRDREDDTNQRAAFLEQGFALAWKEEIHTDIVVQPGTGPPIPAHKAILAARSEVFRHMLSGDSQFKAAAGESFSLPELSHDELSLLLSFFYTGVLQQKVPERHLHALLVAADKYDVPFLRRACEARLAAAVEPRNVLRTLEVADLSSSEVLRERAMDTVLEHAEQVVFSPEYEGFAVRNAGLCVEITRALLDKQVSTTSAKAPCIQDHV >Dexi5B01G0028670.1:cds pep primary_assembly:Fonio_CM05836:5B:30021064:30021890:1 gene:Dexi5B01G0028670 transcript:Dexi5B01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMQPPGKRAGAAGPAHAQATAAEEEAPPPGGPGAPCGACKFLRRRCVPGCVFAPHFGGVGGAREHGSGAGAAQFAAVHKVFGASNVAKMLSRVPVALRRDAASTVCYEAQARIADPVYGCVGTILALQHQVALVQAELSIAQTELLNRRLALATVNPSYAAASPTSQMVNCGSIAQAVDFIDIEPAMRALPSPLIPSQQPQRQQEQNGGSPTMDVFSHDVLGK >Dexi6B01G0017960.1:cds pep primary_assembly:Fonio_CM05836:6B:25036364:25037734:1 gene:Dexi6B01G0017960 transcript:Dexi6B01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATAVVSRLGIRFRRLSTLPEFPEAAAQPQHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRVIRAATPAAPLHASRFLAWLRAKPSFAASAEHFDALLLPLARARLFPHLWSLASDMRGLALPLSPATFSAVISSYGHSRLPDQAVEVFNRLPRFGCPQTTEVYNALLDALCANGNFAGAYKLLRRMARKGVPPDRATFSTLVDSWCAAGKLQEAQAFLDDMASRGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGILPDVATFNSLAEALCNAGDVQFAVALLADASARGLCPDISTYKVMLPAVAKAGLIEEAFRLFYAAVEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKTKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFTPRAPTFNAVVDGLRHCGKHDLAQRLEQLEMSLKGN >Dexi2A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:2A:23508313:23513362:1 gene:Dexi2A01G0014320 transcript:Dexi2A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVLGSRCAAGAGQILGAKSGPATAVEEKIGVLLLNLGGPETLDDVQPFLFNLFADPANALKTALEKKNLHANIYVGMRYWYPFTEEAIDQVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRSRGVLNRHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPTASALVTRKADSADSDMDLMHYLTKMFFGSILAFILLLSPRLISAFRNTML >Dexi2B01G0031840.1:cds pep primary_assembly:Fonio_CM05836:2B:39861961:39864763:1 gene:Dexi2B01G0031840 transcript:Dexi2B01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQAILLASDSPAAAAASPSVRAEALAFCARARDESPPSSLLRLCLSGIASSPHAQVHFWCLQSLHDALLRRRLMLPDDIALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLVRLEYPHAYPSYFLDLLPPAPPQPGPTDMFARVLISLDDDLLSQDYLRNAGEANDAMRVKDSMRAQCVPQIARHWHAAASTLRTADPVAAAVALDAARRCISWIDVGLVANDVFVPLLFDIAMSPGSAAPLAAAAVGCLSAVAAKRMDARAKVGLLRSLLAAQQGLGSPDSGLKMAPLVTAYAVEALECYRRLGPSDADGAAALEMLEEVLPAVFAAAESFDDEDVDSGAVLEFLSGYVSMMKAPSEKQLGHLGRILEVVRVQMLYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRNICRVAPAASQLFIRGMLVTALSSAEASVEDVEVALTLFYRLGEAVGEEEIRTGTGLLGELVPMLLAARFSCHTHRLVALAYLETVTRYMKFMQEHVQYLPHLLGVFLDNRGIHHQNALVSRRAGYLFMRAVKSLKAKLVPYLDTILQSLQDVLSQFTSMDWASKGTKFPSSEDGSQIFEAVGLLISIEEVSPEKQAQCLTALLNPLCHQIESLVMDAKAQGLEESSPRAISLQQIIVALNMVSKGFNERLVMGNRPAIGVMFKKTLDVVMQVLISFPNVKPLRSKVNLKLFVEHLYHFLL >Dexi2A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:2A:3842511:3843893:1 gene:Dexi2A01G0004380 transcript:Dexi2A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLVLVDYDTRIHSLFRLDVAKHLFYPSTAQAETANAKQETNNGGGGGGDNKSRKPPRLKWPKLPRFKLLGRLPEPCMRFFQYPPADDGDEEPEPPWLSYEVDAFMLLRPGCSDGTILHATNGGRTVIYDADKNAICATVPFFDTGMGGELVVFSIPGAGSEEKERLYVMRSTFASPVHHYDHRRYYYHPRNNDEDDEDHRCSGDFVVLDFNQQQPYKWQHLPRPAFVVDKGCSAGSHFRIRSSAVVDGGRTIVVSADESSNGYSIKCSGGVEFTYWFDTATRQWRHAGDWALPFSGRAEYVPELNTWIGLSSTSPHHLCAIDLSSAMDAGDRAPPTPHHVWDDFTLPVYEESSAVLNRHHPQYVLHRSTEWWPEKHSLVNLGSGRFCTLKVFFIRRRECVGFYEPDMDKPDDEEFAVLTGVEVVRCHDGEEEGGLRMVKHKSKRCVLDHDHCLF >Dexi9A01G0023170.1:cds pep primary_assembly:Fonio_CM05836:9A:18402456:18403544:1 gene:Dexi9A01G0023170 transcript:Dexi9A01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSTAATDGSASSIAAGAATGYHLLKIEGYSRIRFAIHNGKHVESHPFRAVGHMWSIRCYPNGECPDTAEYISIYRVLKESVANHLMVQLVFSFIDQVEKQKPSYIRTIKTSKCDIVVIGEPRTEATTFPGSSFVVVPLPDSSQHFHAFLLGGKGADVRFLVTGESFDAHRCVLAARSPVFDALLFGPMKEGTNTGSCIRIQDMQPQVFQSLLHFIYTDSLQETEGQDKEVRVIMTQHLLEAVDRYCMERLKLICEERLCRYIDVSTVATSLALAEQHRCQGLKKACFEFLKSPKTLDEVMATDGFQHLAKSSPSALFELMSKLAER >Dexi9B01G0042230.1:cds pep primary_assembly:Fonio_CM05836:9B:42494709:42496182:-1 gene:Dexi9B01G0042230 transcript:Dexi9B01G0042230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRACKTCNKKEGDDSYILKLKEATWVPHLFRVSVAQHEYMNERRQRITVRTEAPVDYAAESKYLLEEIAKLTA >Dexi3B01G0021320.1:cds pep primary_assembly:Fonio_CM05836:3B:16192120:16194763:1 gene:Dexi3B01G0021320 transcript:Dexi3B01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASSAASGAAYEEQRRKRVLENLKHLEDLGISEMSKSLLQAAKLQKQNKVGVRASPKARKKFDATVVRRSSRAKATVSYKDDDFGELDTFLRRNRRSGGGGKNTDHGREYTGRVSSYEQQQRAFRRAEKLQDGLDSNNPAFVKTMGTFAVSKGLPTSFCKQYLPPREFRMVLEDEDGVEFDAVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEHDRFKIYIIKAIDEDDVEEAESDDKNASGGTKEEPAQEDSPAAEPPKGAKRRKLRGRR >Dexi2A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:2A:30300808:30301380:-1 gene:Dexi2A01G0018250 transcript:Dexi2A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVLVDHDDSSTSSEASAGDREDSVVDLLPLFPCGGHYRPATAVTAACALRWLPFAAAVSAIRALLGASHEDLRLRAHQLSRALSGAFFFDRDDAAPPCCPVGGGGARFPEDGLYVCVDLPPLCPALLAVQRALMQVVVKEASHDPCGWYYDTLVEVMRLLVGGDGGGRGPAVFDRVKFESALALEWTE >Dexi5A01G0010140.1:cds pep primary_assembly:Fonio_CM05836:5A:7704222:7708780:-1 gene:Dexi5A01G0010140 transcript:Dexi5A01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSNLSDHLVQDGLPAWPSSSSSLPFAPAPHSAVVIGGNHQWSQQPLTLNCADHHYSNELEVLLSAQGHSSHHAAASPLLLPHHQLSSLLMMQDLGFQWSNCSFPDTSSMPMMNGQQQDGHNNKTIKEEQPLKSRSSSCTAGTAAMSYRDVVDGGGGGGLPVMAAAGLDRAVLPSVNISRPVQKAVTWPAAPPPPLAGDAFEILASSRLCKTLLQASPVMLHNGMPLLRSEHVPYGPPASHLQGPSSDNYREMGAAALVDGTAGGGCHWSAEHDAACEKAARPAVVPSSQAPPPKKPRVESRSSTIVPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLKSSRNKKPRTAERGASNANGGEQEETKLDLRSRGLCLVPLSCTSYVTNDWAPPNFR >Dexi9A01G0038570.1:cds pep primary_assembly:Fonio_CM05836:9A:42755613:42764176:-1 gene:Dexi9A01G0038570 transcript:Dexi9A01G0038570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGISDQLFVFIKLESPRLAELDLAPHLFGSHPVAGSWDPCKALPLERAAAAVWDLSCVVPSQHEPLDFKFVLKQQNDSSQLIVEEGPNRSLGCQSNEAEMRTAVFKLNEGKDVLECKVQVETEMLSPFDLAASWRVYQEYIQPSRVRGANDVTIDTGLEGRAKDMPAAEGAVAAAAVADQMYGPKEDRKLTIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQLAISVLTMILYISVQEEFLTGSSSFEQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRIRRNMLMKMAEGKCKIIFLETICNDKDVLERNIRLKVQQSPDYAEQTDFEAGVQDFKERLAYYEKVYEPVEEGSYIKMIDMVSGKGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESMDNVRGRIGGDSSLSEAGQLYSRKLASFVEKRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGVCDGMTYDEIKKSKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADKPLEEVPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAIHPTAGL >Dexi3A01G0011270.1:cds pep primary_assembly:Fonio_CM05836:3A:8034541:8034816:1 gene:Dexi3A01G0011270 transcript:Dexi3A01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGAAEARAAIFGHALNPTGKRAATKLLRKKIIGDQVAQWYPYDIKRDDPLVMAREEKEYAPPASILPLPPLAAR >Dexi3B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:3B:4428582:4433409:1 gene:Dexi3B01G0006380 transcript:Dexi3B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPPTPPATSLYVDGCPGCAIDRKKATNKGIPYKELFFVAVTTIASALPISSLFPFLYYMIEDLHVAKTEQDIGFYAGFLGASYFVGRFLASIFWGVVADRIGRKPIIVFSVFSVVIFNTLFGVSMKYWMAITTRLILGALNGMLAPIKAYSIEICRPEHHALGLSIPAQQYPNIFSDKSTFGRFPYLLPCLCISFFAAVVLETLHKHKNIDSEIEMSTESRTPQTEHGEKSLYKNWPLMSAIIAYCIFTLHDTAYSEIISLWTISDRRYGGLSFSSKEVGQLLAIAGAGLIVYQLLIYRPVYKFLGCVNSCRASSALSIPLIAAYPFMTHLSGYRLGLALYSAAIVKGALGPQSQRGAANGIAATAMSFFKAIGPAGAGAVFSWAQKRQHAAFFPGL >Dexi5B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:5B:1552028:1557369:-1 gene:Dexi5B01G0002400 transcript:Dexi5B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSARQRRATAASPLTDDGYAKEAKDRRSRPGGGGDGDDGGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYKSGFQTWEYSSDFALRSYLYLFIHALVAGPASLIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRRYGKRLACYVLAMLCLSSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAAAVSVAAAGVILGWPFSILVFLPVTIYSLIRGPFGRVFLSGFLTSMSLLVLSFLADYHCYGRLTFSVFNLLKYNVLGGGESHLYGTEGPSFYFKNGFNNFNFAFILALLLLGVVPFARKKYAPDLMIVVSPVYIWLAFMSLQAHKEERFLYPIYTLICVAAAAVIDSFPDFFHDKYSSDQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPLQIYQHLEYHEDSGPGSILCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPAYFNNKNKASEEQYLKDIGACNLLVELDLRRSYPSRGNDLTTWEALAALPFLDRELSPALYRSFFIPYRWQQNNVFGLYKLLRRLRTDQS >Dexi6B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:6B:18963650:18964723:1 gene:Dexi6B01G0011690 transcript:Dexi6B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLSPWRSTVPPHYLLLGSVSSTSPSCLRLHQAPHHRRAPCCSPSTRLLVPPPARHFLYRLTPDADVHGHPILFNLKDSLSRVLNAFYPLAGRLRLTPGTSSRYELHYLPGDGVTFNVAEHGGGVDDSIFDVLATDDPWAATLLPGLRRSLAVGVAVHHAAVDGSASTHFLHSWAAAVACTHTNSSLPPVIDRSLLPGALFHFQATPRTATTFRKVKLEMPAGQLLATFTLTRDDIQRVKDTVTTEDARHGVAPPPRCTSLVAALAASSGRVVPPVSQRGDEHHHTRGEPAGQQRRLPRDLHACASSSTTVRGNDASAQRSPWLPMQ >Dexi2B01G0027180.1:cds pep primary_assembly:Fonio_CM05836:2B:36157433:36161220:1 gene:Dexi2B01G0027180 transcript:Dexi2B01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNAVLSYWSAEMSSAHFFALLLCACVLVSGTTAANQNDTGDPARPAEVRIGALFTFDSVIGKAVMPAIELAVAHVNADPSILRGTNLSVLMQDTNCSGFVGTIEDSGEFNKISSCPALQLLAKDVVAVLGPQSSAVAHVICHAVNELHVPLISFAATDPTLSSLEYPYFVRATQSDYYQMGAIASIISQYQWKQVIAIYVDDDYGRGGITALGDLLAKRKCKIAYKAKLPPGAANTTIENILMQVNEMESRVYVIHVNPDSGLNVFSAAKSLGMMSSGYVWIATDWLSAVIDSSVHGNPDVMELTQGVLVLRQHVADSEIRKATFSKRNNLTRNGSAYSMRAYDSVWLVAHAVERFLSEGNAISFSADPSLLAKKGSNLQLDSLRIFNNGNKLLEKVWSVNFSGVSGPIQFTLDRNLIYPAYDILNVGGTGLRTVGYWSNFSGLSVVAPENLNSSALNFSTNNVQLCSVIWPGQISEKPRGWVFSYHGKPMRIGVPLRTSYKEFVMQDNGADGVKGFAVDVFKAAISLLPYPVSCDFILFGDGLKNPSYSDLVQKVSENYFDAAIGDIAIVTNRTRLVDFTQPYIESGLIIVAPAREIESNAWAFLKPFTFQMWFSFSTMFFAHRENTVSALGRFVLLIWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDNLISSSSAIGYQVGSFSRNYLVNELNIAESRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVDIFLSKYCKFKTVGQAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGTECSADNNGAASNSLSLSSFWGLFLICGLACLLALVIFFLRIFCQYSRYSNQVEVQIPEPQIVNRPARLTTIKSLISFVDKKEEEVKNALKKRPNGSQHPTIGDSQHPNIGSTATEDQSTLPT >Dexi7B01G0019880.1:cds pep primary_assembly:Fonio_CM05836:7B:25330118:25330966:1 gene:Dexi7B01G0019880 transcript:Dexi7B01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREIAIVAGGCDKNGQVLRSAELYNSENGQWETLPDMNLARRLSSGFFMDGKFYIIGGVSSERDSLTCGEEYNLETRTWRRILDMYPGGTSASQSPPLVAVMNNQLYAADQSRNVVKKYDKANNTWNILKPLPVRADSSNGWGLAFKACGDRLLVIGGHRGPRGEVILLHSWCPEGGEDGADWEVLSVKERAGVFVYNCAIMGC >Dexi3B01G0026380.1:cds pep primary_assembly:Fonio_CM05836:3B:21425740:21428178:1 gene:Dexi3B01G0026380 transcript:Dexi3B01G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding IILRKWADKSPVLPAWTGTILVHVALSPPTRLHRPPVERETMAMAAARRALLTHLRVPVARPAAAAAAAAVGSIPVASRRLLSTTEETKGSFLDKGEVADRVVSVVKNFQKVEPAKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFGFEIPDNEAEKIDSIKTAVDFIASHPQAK >Dexi9B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:9B:1133625:1134275:-1 gene:Dexi9B01G0001970 transcript:Dexi9B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMGTSLIVLLVCATALDAVPIAGAARVSPGASPIVATCMTGPYPELCVGELGQRLLDIQTAIASADPNQGATIAGAPGQVDVKALVAVALQAASEAGAVAASIFEGKLPGFNTGVPDFRKCLGNCSVTMGSAMQKLHGASAALRSGATDVAKTLASKSFTDVSSCTVSCKELSGDVRLIVVQSLTEFQKMLQIAISFINKMKTQQPPPLKNMP >Dexi3B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:3B:6005079:6006921:-1 gene:Dexi3B01G0008610 transcript:Dexi3B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREYCDIDSNITLEYINRVLMEEDIDEKDIIYQEHDALQATEKPFYDILGQAYPSSAKEMVLNNDSTVDCPYGSSNNNLEGACCGSFVNGFLGPQVMHLTANHCASETDHLSSQFTKRAEEANRSVPIIEKLVVDLDSSELADSKQMTQATVGRKGKHVTQMMSHLHVNLELLDARNSNHLAIAGSEIIRNGSFDNVLLCTEQLYRDAAHLREMKAKEACDSSQNAQSKGYGQGQVKTRAKKQQEEAIDFRSLLIQCAEAIASNNQPFDRELLTKIRNHSSPNGDSSQRLAIYFVDALEARLAGTGSQMYHKLIAKRRSTTDMLKANRLCTAACPFTKCFANREGGPPNLRITVPRSHEARILIERDIIGANVFNVVACEGAERIEKPESYKKWQVRIFNAGFRQLPVNQTILKSSIERKELYHEDFVIDEDNGWLLQGWKG >Dexi7A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:7A:23740624:23741049:-1 gene:Dexi7A01G0013770 transcript:Dexi7A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKVKQKAMETVADLYGIDSIGADLKDQKMTVIGDMDPVDIAKKLKKFGKIDIVSVGPAKEEKKDDKKGAKK >Dexi2A01G0008150.1:cds pep primary_assembly:Fonio_CM05836:2A:8376155:8377008:-1 gene:Dexi2A01G0008150 transcript:Dexi2A01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARKAAAAALLSPPLSSSSPSSAALQPRAGRDLLGRKTGVASSGTPQERARSCDLPCWVGARGFHDAGRAFDRTSTRSLPAWTAIISGCARGGRHADGMRAFAEMLGDGGAPTPNAFVLAGVLRCCAGLGDVEPGRRVHGWMLRRGVRQDVVLCNAVLDMYAKCGHHGRARRAFGAMADKDAVSWNIVLSACLQGGDVLGAARLFDESPLRDTTSWNTIISGLYAVVAGPGAAAPWSRGDVCAGG >Dexi3B01G0008770.1:cds pep primary_assembly:Fonio_CM05836:3B:6072748:6077510:1 gene:Dexi3B01G0008770 transcript:Dexi3B01G0008770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDAVEATIAVEVRDYHVLHNSVASQRTGQGGTIELLRHFPTRRNRRLAPPIPLTLLRCPRNLSPRSSPFLPPGTFKKISPPSATMAAPAQLTPGGVAAVSEHVDGDATLQPVLQVADVRKVPNPQKNPAAAERFRMALSDGVHTMQSMLATAINPLVLNGVIQRGSVIHLQEFTCSTIQNRRIIIVIRLDVLQSECDIIGNPRPYVSPNLPTEQSPNIPANVAQVNSGAYPNGPGTLRAADVANNVSHGGHPNIPANAAQVNSGTYPSGPGTLGSSVAPRVAEVANNVSHGGTYGGHQGTVDRPVGRSADVSHVGSYGGYPGTVGPPTGRAVEPVTNVSLGGSYGTTSAHNTMNADMMRPNLQQPLLNSRPVESVPNVSSGGSYGIASTHNTMNADMVQRNLQQPSLNSHQNHRLGTPGNTYGRPAQSAYQQPHPEHMNRGPVAKDEATNFVPVAQLNPYQTGWTIKARVTAKTDVRHYKNDRGSGKVFSFDLLDAQGEEIRMTCFNAHVDQFFDLIEVDKVYKISRGTLKPAQKKFNPLNNEYEITADFKTSIEVFSSDDSSIPRQQYKFRRISEIESIGVGAIVDLVGIVTSVCPSVAITRKDGSEAQKRTLQLKDMSGRSVEITFWGKFCDAEGQQLQSECDSGLNPVLALKSVRITEFNGRSVNSIGSTQLKINPDIPEAEKLQHWYATEGKNAVFVSVSTASMGKTDIRKTVVQIKDENLGRSEKPDWITVKGSISHVNTDNFCYPACTIEVNGRQCNKKVTNNGDGTWLCDKCGQNLPNCEYRYLLLCQIQDHTGLTYATAFQEAGVEIIGCSAEELYNIKEEDAERFTEIIQGVRWQLFLFKLKVFEETFNDEQHVKCSITKAEKLDVSRECSYLLKSIGATLQDDTGSPSKVQGAMGYNAGINDPGAGQSVQVSNSANAMGMGTPRYGESGNLVGQQANTYGGASTPLSATRNVLTCMGCGSSEHNAQNCTAGMYRQQQPAASTASSYGSSPGNAGSGVCYRCNQPGHYASSCPAVDAAAGPGSGRCYRCNQPGHYANACPGAGAAPQQQPYGSGVASGGFGRQSYVRATNY >Dexi7A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:7A:23031561:23033786:1 gene:Dexi7A01G0012860 transcript:Dexi7A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAACSATQVGKLPFADRMPPPVEEERCVNAQLWLACAGSMCTVPPVGAAVYYFPEGHAEQATGPVVDLAAAPPLIPCRVVDVRFLAEPHTDEVYVKIRLVPLLPGEPVADAAAAAAAAGDDDDQPPKPASFAKTLTQSDANNGGGFSVPRFCAETIFPALDYRAEPPVQTLSASDVHGEEWTFRHIYRGTPRRHLLTTGWSNFVNKKRLLPGDSLVFVRGEDGGIHVGLRRAKRAFCDDDGSVAVRRALVRGNTAGGGARSPADGGKVRPEEVAAAARLAAAGKPFVVTHYPRASSPEFCVRAAAVNAAMQVPWCPGTRFKMAFETEDSSRVSWFMGTVSGVAADANSRWPQSPWRLLQVSWDEPELLRNVKRVCPWLVEQVSQMPNLHLPNFSSPPPRKKPRIPEFPFDASQPIFPPTHPLSLPPPHHHHHGLIPFLPFFPDGGSAAAAGTQGVRHDQPQLAPLLSDLRISSSNLQSLLLYGVGGHADHHRAAPPAPPSSRQDAPPRFPSSPAGDAKKNKPVGIMLFGREILTEEQTVMKRKRSGGAPPTSPEAAGKAGSSPNNKPGAGCDADQRAPSTPDSGVTEGGSPTKNSLWCSEFGLEPGQCKVFMESDAVGRNLDLSALGSFDELCARLSAMFCIDDADLRSHVRYRTAAGEVKNVGDEPFSAFVKSARRITIPSDAGSDNTGSQ >Dexi5A01G0035900.1:cds pep primary_assembly:Fonio_CM05836:5A:37558169:37561510:-1 gene:Dexi5A01G0035900 transcript:Dexi5A01G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADATAFPYGLRVLVVDDDPTWLKILEKMLRKTNCSVFTVMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQMFRNGCEGLEERGLFMRADSDTMRKRKDVDKDHTDQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFGHKGTSDNLNLRSSFQEQSGNGTTGFQHGSQKIQAQSNMLDPHLEDTKIVVPLKVPDKNGTSVSDVIDPQNVTSASPLGGVLSFDRMPVNQDRKPSETMILECQSWSGSVPPKQFMQYPKHNRERCDLLGDYSCLPKPDLEHPITPSHLYAPPQLVSMSCSMEGDARDFSDVKPDVLGCMKSLSPALMCTVVDSVSAQLSDSVVTSTNSDQKFSSVEGLSSAKDCHIDQARNQAPLLTSEDANIICGTDLACLPDDLSGYQLQGIGWNSIDLFQCNDVMMLNGLQNNWYDDPELSSEIMEFPLLDGCLFA >Dexi9B01G0031610.1:cds pep primary_assembly:Fonio_CM05836:9B:33957536:33959620:1 gene:Dexi9B01G0031610 transcript:Dexi9B01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKRHIDATLGSGSLREAVRLPIGEDLNEWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDENIFPQKLGAPFPANFRDVVKTIFKRLFRVYAHIYHSHFQTIMKLQEEAHLNTCFKHFTLFTLEFRLIDTAELAPLSELVDPIILGC >Dexi6B01G0015230.1:cds pep primary_assembly:Fonio_CM05836:6B:22583378:22585194:-1 gene:Dexi6B01G0015230 transcript:Dexi6B01G0015230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQAEIPGGINTYGVQFDDRFNTSGILRFSPCSYAVLMEAAAFDFKTTYVTAGEFVKSTGGTVPLVLDWVVGKETCREAVRNTTGYIANLSAADASSSSRSPEIHGRWSSTPAVAGAAAPPCCMGGSASVSGNSECVDSRNGHGYLCNCSTGYDGNPYVPGGCQDVNECEDTRYPCSVPGTCTNTPGAFICSCPDKTSGNAYNGTCEANKSQLGVRLAIGISSLYCLNCLN >Dexi5A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:5A:22223401:22224021:1 gene:Dexi5A01G0018710 transcript:Dexi5A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTRKLTEIDILFLIFQIRRSSYHDVVKVSELEDIIDISDVQTYVINSARVVFLNERPQLCPSGGLSSSPYNCETCNRALLNEFRFCSLGCNLRGIKKDMKMLSDVANDSEFGAMEDVAAGNNSGSTTSSGKEICNNDDNNEEPPAKKVARHRRKGIPRRAPFF >Dexi6A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:6A:22597815:22599820:-1 gene:Dexi6A01G0015200 transcript:Dexi6A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSGSWQNCVLLAVRCGKFAEKQQTPSSLEPEPKRVRPSYPFPELVSSGRLEVHTLINPSVEQFGEAQQAVQPNLLYLQGQQLENGEIGSLAWGDTDLSDPQTFCSLISPPFPTIEWWERREKRERGGKLISDGKMLYLPSPTVLCLDSAASARSGSRSAMAAAVDLDESASSRRRSGWEMRWCSIWGWPWQEGGAAALLHEKAA >Dexi5B01G0028720.1:cds pep primary_assembly:Fonio_CM05836:5B:30052671:30053283:-1 gene:Dexi5B01G0028720 transcript:Dexi5B01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAKYLETARADRSLWLMKCPTVVSHAWQEAAAAAPGLDAGGANPNPNPIVAKVILSLDPLRDDDMPSLASLLLLPSPD >Dexi4A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:4A:11214663:11218613:-1 gene:Dexi4A01G0012570 transcript:Dexi4A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHRLPLLLFLFAAAAAVSAGGADKAHVSAVVAEKGLAFAKDVLIGKAVRSLTPLRLPGVEKAVRVPFLGGVRVVASNITLFHLDVGDNSTVYPGHSGLVVVASGVTANISMHWSYRYDSWLFPIEIADSGTASILVKGMEVGITMVIKNINGSLALSVLQCGCYVKDLVISLDGGASWFYQGFINAFEDHIKAAVEKAVPENIVEGAGKLDSFLQGLPRTVSLDDIAALNMTFVNDPHYGNSSIQFGINGLITKAVAKTTNLQKHPQLSLSCGGASKMLLLSIDEDVINSASEVYFKVRAIQFICRAEPGPREAGSMHWVVDKVPDQSLLNTASWKFIIPRLYWNYPNDDMRLNISMASSPVIRITSEKIGATINADMIIDIIDGKETVPVACISVVVSASGVVETSGNKVYGRVGLDDFSLALKWSKIGNIYMSLIQGVIRVLLNTVCMPYLNSRLGSGFILPVVHGFTLQGVYIQTSAEQLTLCSDVVLANASSLASLAHL >Dexi2A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:2A:26702607:26705083:-1 gene:Dexi2A01G0015570 transcript:Dexi2A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPATKDAGGSSDATKKVRKPKPWKHPQPITVPQLRQMRDEFWDTAPHYGGQKEIWDALRVASESEVSHAQAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIRDS >Dexi7B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:7B:15535259:15535629:-1 gene:Dexi7B01G0007770 transcript:Dexi7B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGLSGSGAPPGLRLPLAAVAVKPKRRSSRVAQTPHQPDARIPGTQTIYVKTFGLPH >Dexi1A01G0027180.1:cds pep primary_assembly:Fonio_CM05836:1A:33029027:33030559:-1 gene:Dexi1A01G0027180 transcript:Dexi1A01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRRARAHPAAGPYTTDGWLSRRRRHRHRHHRGVVANPATTNLPDDALFEIFSRVSCAADVARHAATCRRWAAWWPRVPQPSPASCRCLRPPVTSRRCWHRLVSGEAAFICRRTMKLPGSGVKFLPPLAVGFFHHHPNAAAPCFVPTASASRRFPVLHQQNPSSVSTLVRGRLLDSSRVVASRNGLAVVELRRGKHDMPALKLCVCNPMTGHVHALPPLTDKDSLRHYACTVITFGDYYDGGERRRSPSTYDRLLLVYSRRGFTAFRIYSSDDGSWSSETKVTGARLSKKQMRLTRSGVVARGGHAAYWLAKNLVFGLRLDTLEASVATLPWSGHGLAFDKENTLMGFTPEGRLCTVQLDLSPRRPAATSGKRRCLKICVYTGRHGGSCYYSEETLQIGRDRWKTKEEIWPVEPFSVLDEATASLKLHWFCERSGVVLFTAAGVSFHGGMSEVYAFSIHSRTVEKVVVSNGEGGSGGNPWRGIHGYEMDQATYLTSLGEQERTITEDT >Dexi3B01G0034070.1:cds pep primary_assembly:Fonio_CM05836:3B:36829992:36836873:-1 gene:Dexi3B01G0034070 transcript:Dexi3B01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTSDVAADVKCLRRLIGMRLANVYDITPKTYLFKLMNSSGITESGESERVLLLMESGVRFHTTQYVRDKSTTPSGFTLKLRKHIRNKRLEDVRMLGYDRIILFQFGLGSNAHFIILELYAQGNILLTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFSKLKDTLTMSDNVGNNEPLEITSGSTDAQEPSQSTNDGVSATDMSEKPLSRKEKRAAAKSKQSGSNAKANNGAQSNKTTLKTILGEALAYGSALAEHIILDAGLVPSTKVEKAPESTIDESTIQALMESITRFEDWLVDIMSGQKIPEGFILMQNKMTAKKNLTSSESDSTNQKIYDDYCPLLLNQFKSREYDEFATFDAALDEFYSKIESQKVNQQQKAKEESAVQRLNKIKLDQENRVHTLKKEVDHCVKMAELIEYNLEDVDAAILAVRVSLANEMSWEALTRMIKEERKAGNPVAGLIDKLNFERNCITLLLSNNLDEMDEDEITAPVEKVEVDISLSAHANARRWYEMKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDTPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPNQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEALEEIEESRRKQSSPLSDDEIASENGSNKEMHEDESSRENTKVDQNSNLGPSDLSTDIGTTNSPEPVAETEIEKKLDNGNSSSKQEIVDASVSSQLDDLLDKTLGLGPAKVSGKSSLLSSISSNLAEDDDDLEVKKPAVRDKPYVSKAERRKLKKGQSTGEAATDSQNGEAVEIPGGSQQEKGKANTNATGSKVSQPGSSQQEKGKGGTQAANPKLSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKALRKDKPSQDEETIAKESKPSAESTSEADRNDVSTSRSRDGMGSSTTPGGNNAMDEDDVQEIGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMGLFLHTTDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKQK >Dexi8B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:8B:431204:432016:1 gene:Dexi8B01G0000660 transcript:Dexi8B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRITVVLAIIIIMVAAPQPSEAARYTAATSSDADALRFPGRPGSARPRSSFLPGFPGARPSPPASSSGSFPRPSPPAPMPSAPAFQQPACPKAPPSVLPGFPGLMPGGGGGSSSPTECVTPLAGLMTCGTFLTGSEAETPTPQSECCTGLGGFLNTSSAAGDGDRTLRCLCPVILGDVNKMLPKPVDPVRMMYLPIACGVVLPPQVLYICFTGQQTPPLVGRIPDVWEKPSAGKTIQLTFFY >Dexi9A01G0006170.1:cds pep primary_assembly:Fonio_CM05836:9A:3489235:3489951:1 gene:Dexi9A01G0006170 transcript:Dexi9A01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVPTGAGDLVLLDVFGSPFAQRVRIALAEKGLAYERDEQDLAAKSDLLRRSNPVHGKVPVLFHLDRPICESLAILHYLDEAFPATPPLLPPATDPYARARARFWAEYSERLHLVGKRLWLRRREHAGDGDEDEDAELEAAREEMAAVMRALEGELGGREFFGGEAFGYVDAAAAPFAAWFLTYERHGGPRIAGEFPGMAAWAARCLRRESVAANVYSPEKVCELVQEYRQWLLTRK >Dexi2A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:2A:28906361:28912519:1 gene:Dexi2A01G0017140 transcript:Dexi2A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLVRSGKLAEARSLFAAMPHGDEVTYATLLAGHAEAGDFPGAMDLFRLLRASSTPHAAADPFVLSPVFKACACAAADAGFIGHAAALHAFAVRSSAVSSVFVSTALVDAHAKAGGLELVLKVFGEMPCKNVVSWTTLVASMARAGRRHDALRHFAEMRASGVPCDSHAYAVALPACAEARLLPRGREIVGKGRGTPNP >Dexi2A01G0017140.2:cds pep primary_assembly:Fonio_CM05836:2A:28912502:28913983:1 gene:Dexi2A01G0017140 transcript:Dexi2A01G0017140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVTVHALCAKLGLNATSYVANTLATLYARCGDVDCALAAVSCMGSRDVAAWTTLIASYVQTGRAREAIEAFIRMLRESSNSASPNKYTFSAVIAACTNTERVYLAEQLHAQAARRGISHSRSVANSLVKLYARCGRLSASDAVFQQSFVKDVVSWSAIISGYAQEGLAEETFAFFSEMRAGRINEAEKLIGRIAADERDARGVEETGKKAAERVMDAEPWGSGAHVAMANLYASKGQWLESAQERHLMKQKGVLKGAGWSSVEIGGDDRGVGVFVAGDRTNHQGNAIYVMLDLVYYGAGMVRHIPDQLDLGSEVELAVN >Dexi7A01G0021290.1:cds pep primary_assembly:Fonio_CM05836:7A:29934250:29936894:1 gene:Dexi7A01G0021290 transcript:Dexi7A01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLVLHVLLVAAAARAPAAHAWGKEGHYMVCKIAESFLTKKASTAVKELLPGWAGGDLAETCSWADRQRFRYRWSSPLHFADTPGDCKFDYARDCHNTNGEKDMCVVGAINNYTAALQDASSPYDPTESLMFLAHFVGDVHQPLHCGHSDDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKDFYDNDLSTMIQAIQQNITEEWSSEEKQWETCRSRTKTCADKYAEESSELACKAYDGVEQDSILEDDYFFAALPVVQKRIAQGGVRLAAILNKIFSGNSRLQSS >Dexi5A01G0010990.1:cds pep primary_assembly:Fonio_CM05836:5A:8248477:8249677:1 gene:Dexi5A01G0010990 transcript:Dexi5A01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMGGHQWQHKNVVGDLKLLPGFRFHPNDDEIIVSYLIPKVHQRNFTCTVIGEINFNKTEPWELPDKAKIGEKEWYFFCQKDRKYPTGIRTNRATEHGYWKATGRDREIYTVTKEATLELVGMKKTLVFYKGRAPQGQKTDWIMHEFRLETTGKVSCPTSFSASTTTTKSSTPENEWVVCRVFHKPNGIKRDAQPPNDPYMASNEIEQSNMPMPMSMPLPMLSNFTMNPATSYYSNTGRSFSSLPPVMPSMVGMSNIGLQMNNTMFENPMVMAPSMSYHQTGMEGASTCDFVASSRSETPSPVSQKDTGMNSDQNTTTKISSMALATPEFLSTIDIDGIWKY >Dexi4A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:4A:10779460:10782474:1 gene:Dexi4A01G0012220 transcript:Dexi4A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSIPITGYDYDEFPGTLFYTVPLGYGTPAQQFTVSFDTAAGSSFLRCKPCKAGDSGPCKTAFDPSLSSSFAPIPCGSPECAAGSCSSGQTTCPFTFVAEANGTLLKNTLTFSPSVTVEAFTFGCMESVDPDLFYGLAGLIDLSRSNRSLASRRSNGGDATIALSYCLPSNQSSQGFLSVGSSRSLYSGRRDVQYAPLVENAVLPFFYFVELVGSSYFVPSVYAVLRDEFRRQMARYPVPPPLEGLDTCYNFTGLNETIFLPIMRLEFAGGSSLEHGSGIQNRKKFGAHRIGRISEI >Dexi1A01G0029710.1:cds pep primary_assembly:Fonio_CM05836:1A:35171951:35175093:-1 gene:Dexi1A01G0029710 transcript:Dexi1A01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYAAASPAAVATLPSAAPPLTPDAATVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFSVALDRLPTSTDLQHHHDGGFHAAAPPLSNALAAALKRAYAHHRRIGSGGVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVFVNARVMQQRQAASHREEEVAKVVEVLKRSTKRNPVLVGDTADVDAVVQEVLTLIQRQRLGNARVVSFPKDSGDLVGMDRAELIAKIKELGEVVRSASATAGVVINLGNLQWLVEERCPAAASANHGEQAERRDVVLDTARAAVAEMARVLKNQSGEGEHRVWVIGTATCATYMKFQVYHSALETEWDLQAVPITPRPPPPPTPPPLGLSPSVGVNRGILSSSVEVLSTAMTATMPRAPSLCNACADGYERERAEMMSSAERGAPCHAEQPMSQWLQIGTPSSARPVVDRAQDKAREADELRRQWRDRCTQLHSHGRPPLVTCSEWNGATILGNMQAAPVRPPVQLQPRGTMVDTDLALGLAAAARPPASCETDEDDKVLTRRLTEAVRWQPEAAAAVASTIAKAKSGEAKRRSSAAKADAWVLFAGGPDVVGKRNMAEALSKSVFGTGTVTVHLGCSPPVAGAGDDDVVSCRGRTALDRLAEAIRANPFRVVVLDGVDHADTVVRGSIVRAVESGRLSDSHGRDVALGSNIFVVMSQWSPSPDNLIMKSSQDLPWNLEHRKRKPEQELEDDRRTRARRDHSGRELPLPLDLNLSMSDDHTDAIDDSGGEGSRNSSSDLTVEHDQDYGHNLAQDRCSTAAPLNVSDLIRAVDGVVVFKPVNSSDQQPLKRSFSDMAPAKSGDITGGGGWPLSAHVDESFLLDRLAAAAAAAGGAARTTTTATTAMDAWAAEVLCPSSLRQFKRSLSTNDVDGATVEGGGRRKGGGEVFHMPVTVDGS >Dexi5B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:5B:7779056:7780779:1 gene:Dexi5B01G0011000 transcript:Dexi5B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKDTSLLRLDGAVEKLDSERLPVPLALFVEHAVRELRDPELQVVHVPQIINDLVVVFFVFVVVVSLDNIQLLLAALELGGRLLQRGRESSRLNGAQGGAARADAPVVGLGGEKTLVRLLLLLRCVEAPGARPEKVELPLRRGVGAVLGDGAGREKRELSGDPAEAAEVGVGGALERHGEAADAGLGGAARASSVSFAGGAEPSTVGAQWGNRSLAVGQCGRSSLAAGGDLTQYAQQRAADLALAELPHPRRHYGTLCRAPASPPPRV >Dexi5A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:5A:13826471:13827197:-1 gene:Dexi5A01G0015920 transcript:Dexi5A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIIPSFLVMNQTTLPVNELAKLLRKIGHDVMRSDDADRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFSTIDEAVQMHFVASLLEAVASLN >Dexi2B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:2B:2226186:2226533:-1 gene:Dexi2B01G0002680 transcript:Dexi2B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTVLSLLRSAETAAVDWSETTADSSYTQLGNGGAIKQAASLQNLMRGRSFIELSGPDPTITMAKASPAERGTARLRQGRELGASLWPEGAVRLPFRPVGEGNGGWWRTGTAV >Dexi5B01G0036090.1:cds pep primary_assembly:Fonio_CM05836:5B:35925965:35929717:-1 gene:Dexi5B01G0036090 transcript:Dexi5B01G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSRSSPKEGSGHGRGYGHSHSFPQPSGAPPQYGGYYGQDPSAGYYGAPQQGGGGYAAPYAAPAYQPPAAAPAPQPAKPRRQLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGKSLHHISSTPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDASTHDQDVFSFYPDERPCNGFSEALHRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVKASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQSRKEASFALSALMEIPQQYKATVELGILGSRRSFKSPDRVALPPPAGVDDACPYSSNKSFSKPTTYPQSSSSSSPYPHYENPHSATPAAPTSSYDNQVCPICLVNPKDMAFGCGHQVNLLSYVSFIALPNKAFSLQTCCDCGPSLELCPICRTPITTRIKLY >Dexi7A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:7A:28201962:28202439:-1 gene:Dexi7A01G0018910 transcript:Dexi7A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRFFDSSSSSTAPLSRFAALGSGIRRGRGWGWISPNKLHRAGAGTMFWGTGRGRGSPAQPRPAPLPPWVIVGAPQKSEGGPSTGGGAQIRGARSSHAPLPPSTAWPRQPARSPEI >Dexi8B01G0000700.1:cds pep primary_assembly:Fonio_CM05836:8B:478414:478794:1 gene:Dexi8B01G0000700 transcript:Dexi8B01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLWYVTLALIYGSHLFMIFSELLGCFRRWRSRRDAELAADRLLESVPDVAYQQLPGQECCVVCMEEYGHGESCFVMPGCAHMFHRGCIAPWVRRGNTTCPLCRASLAAVPPAQHSCITTPEDMV >Dexi1A01G0028710.1:cds pep primary_assembly:Fonio_CM05836:1A:34318360:34319475:-1 gene:Dexi1A01G0028710 transcript:Dexi1A01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNVEFHPECVRNSPVDGCDRTSSCGHQLRNIPLSFVSSKNSSGSTAFSPVTRSGRMTHRNGRPQLAKPHANSASCPGVITVMLPKLTYTTEPGRRASSHWRQLASSFQRLPAIAAVSGRATMVFTNVTSGPMVYTRGKMRRRASTTSCSSSSNVLTMIPCAWSAFCASPPSKWTMKSSGSVVRMKLGMSRRRMPGIPGTQSSTVSSRSLNGAAAFRDRDSQSAGMSCVTSSSPDGGRPSGMASKRKSSSPAMASAPRDRSRRRWLYSELT >Dexi9B01G0037070.1:cds pep primary_assembly:Fonio_CM05836:9B:38549109:38552423:-1 gene:Dexi9B01G0037070 transcript:Dexi9B01G0037070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGMEYFDARRKPHNVGKIIAALVLTTLCIFVLKQSPGFGGNSVDNLSRGNMGAVKVLKELFPQPGRLQFIFADLGDQKSVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPGKVGIYNVGTGRGRSVNEFVDACKKATGVDIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYTDLTESLSVAWRWQKSHPRGYGTN >Dexi4B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:4B:19930108:19935641:1 gene:Dexi4B01G0017590 transcript:Dexi4B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGLGFLVAGSRREFVVLNVDDFSKYERQEGTQVCPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSNTVHPYRVSVPESSINSWDIDSVSITNSGASVHFYEEHVGTPTNHHALVMHPNTGEIVRYNPLRTRPINPNRDLALYGYGSVAWKNRIEWKRKQQHKMQKVSSDGEGSDLNDFDCDRDIPRYEKEGKPSELAPIDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEGLTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAVVANSHKVPEGGWSLPEGAPWHGNNVRDHAGMVQINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTAAKPPSKTCNCWPMSCCLCCGSKRKCLKAKKKQENQKKVKCRNASKKVHALEVTGKENAALVPQEKFENRFGQSHAFLASTLQENGEACRFDMLKSLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPRRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSIPLIIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMRWGGVGIDDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVDTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYESWGPLLGKLFFSFWVILHLHPFLKGVMGKQHRVPTIVVVLSVLLAAIFSLLWVRVNPFATKFDGPVLEVCGLECE >DexiUA01G0018090.1:cds pep primary_assembly:Fonio_CM05836:UA:38636621:38637119:-1 gene:DexiUA01G0018090 transcript:DexiUA01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGDSEGSNKLNGVSKRRAQGHLPLYHFN >Dexi1B01G0025480.1:cds pep primary_assembly:Fonio_CM05836:1B:30510231:30513208:-1 gene:Dexi1B01G0025480 transcript:Dexi1B01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTDLINLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRYNAAKIFSSPEVAAEEPWYGIEQEYTLLQKDTKWPLGWPVGGFPGPQGPYYCSIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKSAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIVWKP >Dexi7B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:7B:23885898:23886487:1 gene:Dexi7B01G0018020 transcript:Dexi7B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERTEAAMKGSLRHEFETGLPAADVWEVYGGLLVGDLIPQLLPEVFSKVELVEGDGNVGTVLLVTFPPGSEFFKEKFIKVDNENYIKEVLVTEGGFLDHGFQKYLVRIEIIRKKEKTSIIRSTIEYEVDHEHANNPPVVSTSGLATIAEAITKYIKEQKGLE >Dexi2A01G0019470.1:cds pep primary_assembly:Fonio_CM05836:2A:31667429:31668901:1 gene:Dexi2A01G0019470 transcript:Dexi2A01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSERRLASAVVRLPGRSRVSASPSPRRRSPSPPPRRDRRRVDRSPSPYRDRRRDGRSPSPYRDRRRQWSPYHNDRGRDRDRVPPVRGGGAGGAWSASDDDDDQELQGLSYFEYRRLKRQKLRKSKKRCIWNITPSPPRVEGDEENYGYSDVEEENKESPKKKGSPEGSEEDSKDVSGSESGESDSLSESSESEGPRRKRKGRKSSHRSSKRSRRRHRRRSYSSESDEESESNDDSEGSVDSEDSRDRRGKKRSRRHKKSRRGRSSRRKKRAHDTASEQSSEEVEHSDSRPKDSKKKSKSSKRKRSKQSDSEESLPSDANPEVEEDAEIKEPEIDPEAIKFKEMLEAQKKAALENDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRTIGNDVGPSHDPFATTDG >Dexi9B01G0016360.1:cds pep primary_assembly:Fonio_CM05836:9B:11197972:11201792:-1 gene:Dexi9B01G0016360 transcript:Dexi9B01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGIAAAPAAAHCRRLQLLLLALALMPLGGHGLHHVRAVGADTGGLSRDAFPKGFVFGTATSAFQVEGAAASGGRGPSIWDPFVHTPGNIAGDANADVTTDEYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGKVNEEGVQYYNNLIDYMVKQGLTPYVNLNHYDLPLALQKKYKGWDIFADYADFCFKTFGDRVKNWFTLNEPRIVAFLGFDTGIDPPNRCTQCAAGGNSATEPYIVVHNILLSHATAVARYRNKYQATQKGKVGIVLDFNWYESLTNSTEDQAAAQRARDFHVVSEDSPSSFLLNVTVQRNGVQIGQLANSAWLYIVPTGMYGVVNYLKEKYQNPTIIISENGMDQSGNLTRDEYLHDTVRVDFYRNYLTELKKGIDDGANVVGYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSAYWFRDMLSGAGSKAAGTPQTGSQTSAGSPAASAPAASSGRALLVSLMVSLCFLVPSVFMV >Dexi4A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:4A:4329494:4330403:-1 gene:Dexi4A01G0006060 transcript:Dexi4A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGSTPTARPPRQTRGGSAAGAAGEAPSTPVFLNVYDVTPANGYARWLGLGVYHSGYAYGAHDGASSGIFEVVPRRCPGYTYRESVLVGTTGLTRAEVRAIMAELAAEFPGDAYNLVSRNCNHFCDAACRRLVAGRARIPRWVNRLAKIGVVFTCVIPGNGRAVVRRNGECPVSSNSAAAGKHGGIRSRSVRQGPAAPKPPRPRAFFRSLSVGGRRNLTSQPRPPLPASPPPSPPPPSPPTQPAPASTSTSSGSTT >Dexi5B01G0023640.1:cds pep primary_assembly:Fonio_CM05836:5B:25749853:25750719:-1 gene:Dexi5B01G0023640 transcript:Dexi5B01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Dexi2B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:2B:26542697:26542975:1 gene:Dexi2B01G0016430 transcript:Dexi2B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSTPSTPVPRPIVQINRLGRRGPGWPAGGRRVRVAGAPRVIRYDPIRRNRVSTFLPCPTGWGGVGWGVRFACDQVSRWGLGSCGHWRRGC >Dexi8B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:8B:27726378:27727256:1 gene:Dexi8B01G0016560 transcript:Dexi8B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKFSNITICRAIAWRKKVHIVDYGEHYGFQWPTLLGVLATWEGGAPEVRITSIELPQPGFRPAAQIEQTGRRLSKFARQCGVPFKYRSIVAKWETICADDLNIEPDELSDEGGDIDSPNPRDMVLKNIQKMRPDVFILCTENSSYNTPFFVTRFREALFHYSVMFDMMDATTPRDNTERKLVEQELFGRRALNAIACEGTDRVERPETYRQWQVRTDRAGLRQLPLDPGIVKAVKKKVKDGYHKDFFIGVDQQWLLQGWKGRILYAMSTWVADDAITL >DexiUA01G0008780.1:cds pep primary_assembly:Fonio_CM05836:UA:16661751:16664952:-1 gene:DexiUA01G0008780 transcript:DexiUA01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGATTFLPPIPTPRPRLAAAIRRPPPIFTGAAAAVPPLEDEDSSDDDDAGGEDAAPRRSGRKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAHVAAKKADLEVSMLLRDDLVCRSINHSHINCKYLAEHRLPENIVATTSAADALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLASAVQQLLASPNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLGTKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDQIMSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVMELMNLPQVNHFI >Dexi1A01G0030880.1:cds pep primary_assembly:Fonio_CM05836:1A:36078770:36080505:-1 gene:Dexi1A01G0030880 transcript:Dexi1A01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEQTLPPGFRFYPSDEELVCHYLHSKVANERLAGAGGAMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPISKGAVVGMRKTLVFYRGRAPNGTKTCWVMHEFRMENLHTPPKEDWVLCRVFYKKKADAMDYGGMDSEQDVAMPHHSAADHHPSYSPPFPALGSSHYHLPPPPSSGHLSDFPAAMAMLHHHNSSIFDVHAQPAHHDGGNNFVLAAAAAAESRDGSGAGDQQCSSGVLMDLGLDEHYNNYNYNSLMQM >Dexi3A01G0031930.1:cds pep primary_assembly:Fonio_CM05836:3A:36490480:36493859:-1 gene:Dexi3A01G0031930 transcript:Dexi3A01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPISSRRLPWSGDGAGAGGELSLPRRRWQSVVLQVRGKGKKTTEGLFGFRRIMDAEFMSLFLPVFGSMFQRVVSEEVEKAMFRQFSAPAAPPRLLADWNQHPRYHLVFLNGLKPVYTMTKLESEDGTAIKVAIVERHENNRTSIVRFGPLSSARVEVVALHGNFNSKNEESWTPEEFNKHIVSGREKNAQLLAGNLTLKLNGGEALLENAIFTDNSSFTSTKMFRLGLRLVNSSGERVLEGVTKPFRVKERRVEGFEKHYPPMLDDEVWRLKKIGKAGAYCQALSDNGIDSVKKFLQAYMKDEQKLMKIFNKMPQSTWKSIIEHAMTCKFGDSLYFYEVKDNNAGLFFDEIYQLVGVKFGDCYKPIDQLDQIEKNLVDSLKQVAYQNIDAIQSDYKMVNNYPVLHRFPGTSLLSPVLTNQQILNYGQHNSYLEDTSSTSQGYGATYSREEFSMPQGSNSLLRVNSLSPTDPVMSLMQPQFHLPSNGESFSNHWNQRWNRETTMQPQQVVTAFQTSRTNSFDSSSCEDLIQRFISQIPNSDGPAMPLSPKWFKIKVAFKLASVGRLSRASRRGLHCPPPRTRLVPTI >Dexi4B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:4B:3145222:3147606:1 gene:Dexi4B01G0004380 transcript:Dexi4B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPAPDLAEDKERETNVLIEAAPPGSPVKAAEDDGGSGRQQLSPVAWLRMLARELHWSFVLGVVATYGVSQGLGGGINRVASDYYWKDVQRVQPSVAQVYQGVTSIPWMVKPLWGLFTDVLPVAGYRRRPYFILAGFVGVIAMLIISLHSKLHALFALLALMTGSASVAIADVTIDACVAENSILHPHLAADMISLNGFCSSVGGLIGFSISGFLVHAIGAQGALGVLAIPSALVILSGMLLKEVQIPNFPYGQLAFLKPVVVKLVRNARFDFGASSKSENGDT >Dexi2B01G0022720.1:cds pep primary_assembly:Fonio_CM05836:2B:32302425:32302814:-1 gene:Dexi2B01G0022720 transcript:Dexi2B01G0022720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVLRVPAPIPPPLLPAPTRPLLVLRRCRSRCLPPGTPMASADGGGAANPDAAASPAPAPAPVPLPEPPEKPLPGDCCGSGCVRCVWDIYFDELDAYDKALAAHAASSGSGGKEPSADTKPSDGAKS >Dexi3B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:3B:9725709:9726883:1 gene:Dexi3B01G0013610 transcript:Dexi3B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKTCLLHFVRCSARNNAKLGQLDEAATGIMMIRLSTEGLMMDFLFQLLCHGKPDAQNNDTQQTVVLPKGNVIPSSKALAFYRASTFAVDVVNVGANDAQVEPKVSTYTVGPFQSSNGEKAKLKVKVRLNIHGIVSIESATMLEEDEVEVPVSATNEAQKEATKMDTDDTPNDPASGTDVNMESKGATDTAEGAENGARTVV >Dexi9B01G0031590.1:cds pep primary_assembly:Fonio_CM05836:9B:33928370:33929541:-1 gene:Dexi9B01G0031590 transcript:Dexi9B01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADYNKLINRDRVTDPTATTFPDSDSVEIPERFIRTDDEVAAAVVVDEEEHELPVVNMANLLDPELSVSETAKLGSACRDWGFFQLTNHGVDEAVIQQVKDSVARFFSLPLETKNAVAVRPGGFQGFGHHFNAPASNKLDWAECLILETQPVHVRNMEFWPANPLTFRHVLDRYSVETTSLAMRLLGFMATDLGISQETLQSAFRNKRQTMAMHHYPPCRRPERVLGMTPHTDGFGLTLLLHVDGTPGLQVRRGRRWFPVRPLPGGLLVNVGDVMEVLSNGAYGSVEHRVIPHAEKGRTTVVVFMDASVDGMVAPLPELLLKGGETPRYEAVERLEFSKKHLKALAQGQGKQLLDTKP >Dexi3A01G0032170.1:cds pep primary_assembly:Fonio_CM05836:3A:36899436:36900374:-1 gene:Dexi3A01G0032170 transcript:Dexi3A01G0032170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPLPFLCSRELQTASLGVVRSRNQIRHLAHLAMAAAPCSVPPAKTMRVAGRRVRGPSIRRRACGGEETAYRTRACGGEEAA >Dexi9A01G0043590.1:cds pep primary_assembly:Fonio_CM05836:9A:46977594:46978160:-1 gene:Dexi9A01G0043590 transcript:Dexi9A01G0043590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPPPAAFFGSGLLPDGGRAPPPPLVGEFSDGDDWSLWCSFSRSSSILSSSFSRCRRDTSKGTHTYSVVNINRVLKN >Dexi9B01G0023460.1:cds pep primary_assembly:Fonio_CM05836:9B:18759818:18761170:1 gene:Dexi9B01G0023460 transcript:Dexi9B01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTTVGKLSVPRQQRRLLQPMHSPKHKRVTATPPAGLLQELFGGDAPQPLKPRRASDETLAVHAGEKLGKDADEASTDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSHEYGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPSGGHVVTTTDCYSEARAFIGDRLSQMGIRSTFIDLDDIESLEAILEKDGVTLFYADSPTNPLLKCVDIRLVAELCHRKGTLVCIDSTLASPINQKPLVLGADIVLHSATKYMAGHHDVIAGCVSGSEVLISKIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTSLEMARLLECHPKIERVHYPGLESNPWHQVAKRQMTGYGGVVSFEVKSDLRGTMMFVDALEIPLIATSLGGCESLVQQPAVMSFWGKCDEEKAQNGIKDNLVRFSFGIEKFEDLRDDILQALENI >Dexi9B01G0039750.1:cds pep primary_assembly:Fonio_CM05836:9B:40451965:40462821:1 gene:Dexi9B01G0039750 transcript:Dexi9B01G0039750.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLRFRNPMATPPSPPTPAAAEPATESPPSPSTITDGTISGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAISKARSSEPGARLELRFRPEDPYCHPAFGESRASTGLVLRLSRRKGAVAPRAEVVARVRTAYHFEGMADYQHVVPVHAAHSRKRKRSDVKNDYEHLGKKGHQETDDGNVMMLVPPLFSVKDRPTNIALLPSSNAVSKSMHRGVVQERWEMKVGPTLAIPFNVQDILCENTLLFRAGYYFSTGPFGKFWVRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAELCKLEVIPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSVNYYVIFVGVFWDDIGIHSTGWFSKPMMKTLRLQVSIRFLSLLPNEEAKSLLRNAHELIERSKKQEALWRSEQSKEDTHVDEAPATHTETEDQVGLNNSDSEDVDDEEEEEESDGYDSPPMAEGFHDFTLDDSYALGEGFSNGYLEEVLRSFPLQEDVQNRSGDAPNDADGSDGEFEIFEQPSDDDESSDG >Dexi9B01G0037630.1:cds pep primary_assembly:Fonio_CM05836:9B:38969745:38971304:-1 gene:Dexi9B01G0037630 transcript:Dexi9B01G0037630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAIPSSPVFSPSRRPLSCKAASASPEPAVAVSASSPAPAPPAATAGSPLRPFALRALLREETSPSSSPQSASAAAVASAPTGSVLKRRRPAPLVVPAAGAASAAAAAAAAAVAAVEADPRNEVEEEGEEFAAYCRRGKGRRRVEMEDRHVAKVALGGDPQVALFGVFDGHGGKNAAEFAAENMPKFMAEELNKVNGGEIEGAVKRGYLKTDEEFLKRDQTGGACCVTAVLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWIVADPDTRTLSVDQQCEFLILASDGLWDKIDNQEAVDLARPLCINNDKASRMAACRMLTETSISRGSTDDISVVIIQLQKFTSS >Dexi7B01G0002740.1:cds pep primary_assembly:Fonio_CM05836:7B:5276450:5279137:-1 gene:Dexi7B01G0002740 transcript:Dexi7B01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRLLLTFSVIALAASNATHGFHFEEATIASIHHGFNKGSLTSVALVRYYLDQIARLNPLLHAVIEVSPDALRDAARADAERASRHRRAAGGLHGIPVLLKDLIATRDRLNTTAGSFALLGSVVRRDAGVNPYVMEVDPCQASTGSAIAVAANMAAVTLGTETMASILCPASVNSVVGIKPTVGLTSRSGVIPFTFRQDTVGPLCRTVADAVHVLDAIVGYDALDAEATRAASKYIPTGGYKQFLNIDGLRGKRIGIPNGFFGFKNGTVKHRVCKQHIDTLRQHGAIVIENLDITNLSIIQNITKSGFQAAVPAEFKFNLNNYLSNLSYSPVRSLAEVIAFNNAHPVEEKLKQYGQQLLLLSENTTGIGPRERAAIHQMTELSANGVEKLMKKHQLDAIFTPDSSVTTVLAYNGLPGIEVPAGYDEKGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKPPMIKV >Dexi9A01G0044310.1:cds pep primary_assembly:Fonio_CM05836:9A:47925161:47925745:1 gene:Dexi9A01G0044310 transcript:Dexi9A01G0044310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAASPRRPGQAEVTELELRIQLLGGGGGGVVGYNINDNADILAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPAPPAGPATRAVVAALGGYRRLYRLCLGPALDRLGRAAGGGGALAQQAHHHHHHLVGRAHHQHRLSLSLSLSLFSIDCYERLGAGTSAGRKPPPPSSLLFLCKPVDVS >Dexi5B01G0039600.1:cds pep primary_assembly:Fonio_CM05836:5B:38366284:38375530:1 gene:Dexi5B01G0039600 transcript:Dexi5B01G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLLQLTERGRNLLSSRRRTLAVVSGALIAGGTLAYTQSGRWKKEQKQDSCSDGNAHTRTKDDIDQNGIDSKLVKPRKKKSGLKSLHFLAAILLKKIGPNGSNYLLGLIITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLRFKKILTDLVHGDYFEAYVIGAGGTIRKFSPAFGKLKSTEQQLEGDYRQVHSRLRTHAESVAFYGGENREASHIMQRFRALVKHLNIVLYENWWFGMIQDFLLKYLGATVGVILIIEPFFAGNLKPDSSTLGRAEMLSNLRYHTSVIMSLFQSLGTLSISSRRLNILSGYANRIHELLDVSRELSGVRDRLMTQNSSVGNVISEANYIEFSGVKVVTPSGNVLVDDLTLRLESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKRVRAMGTSCITISHRPALVAFHEIVLSLDGEGGWNVQDNRNGSSLSPEVEFDVKSSETDRKSDALTVQRAFITSKKGNALSKPKQQSYSTEVIASSPSMEIEHTVQSPIVTQLQCSPRPLPVRVAAMSQILVPKLFDKQGGQLLAVAVLVFSRTWISDRIASLNGTSVKYVLEQDKAAFIRLTGISVLQSAANSIVSPSLRNLTSRIALGWRIRMTNHLLHYYLKRNAFYKVFNISGMNMDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVTILYAYMFLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKRFLELSGGINRIFELEELLQAAQSNPAVPSNAINAASEEIISFRDVDIVTPSQKLLASRLSCDVSQVKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTKPSEGIFNVPQRPYTCLGTLRDQIIYPLSREEAELKMLSHETSGKSAASKTLDDHLKTILENVRLVYLLERESWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCEIHQ >Dexi7A01G0019320.1:cds pep primary_assembly:Fonio_CM05836:7A:28552888:28553966:1 gene:Dexi7A01G0019320 transcript:Dexi7A01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTTPPRGVTAPRTTSGFHTRSCACSHHLPPPPNPTKDDTSPFVQGQPRTPVATSPLRVRPAAHLVDKERLAKYERAALMKKLPDDDPRSFAQQWRVHCACCDATYVGFPDLDLQIHKSSLFFPWHRFYLYFHERILGKLIGDDEFALPFWNWDAPRGMALPAIPRRSLYDDRRNPGHQQVFTLDLDYKGTNETIPRDGQIEQNRQDHMISRAKKTELFFGQLFLVPAHVSSSWRRTDAFAAPTAWSWTGRSSSLTNHDG >Dexi4A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:4A:822142:823765:1 gene:Dexi4A01G0001260 transcript:Dexi4A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVFPLLLLLLSCSSPAVLGADEEHGYTVVALSSLKAHAACSGHRVIPPHNGSWVPLHRPLGPCSPLFSGAGGPEPPPSSSMAELHHQDVLRVDDIHRRLSGDVGDDKQGSNKTPMNTQVTQLNGQSTLQANVGSGGSTTTTLMSSDDQPTATGGLPGVTQTLVLDTASDIPWVQCSPCPVPPCHPQTGIIYNPSMSSTYTAIPCNSPACLQLGPYANGCINNQCQYRVVYPDGSSSSGTYSSDLLTFDPSNSISNFQFGCSRAVQGGSFDPRTAGIMALGGGPESLVSQAAGVYGDVFSYCIPPTASVKGFFVLGVPRVAATRYVLTPLLRSPNVPKTFYRVLLKDISVAGRRLNIPATVFAAGAVLDTRTVLTRLPPTAYVALRDAFRGAMGMYRMVPPKGPLDTCYDFTGVGVVRLPKITLVFDGRNAVVELDPSAVLFNDCLAFIPNSNDAMPGILGNVQQQTIEVLYNVGGGLVGFRHGSC >Dexi5A01G0023800.1:cds pep primary_assembly:Fonio_CM05836:5A:27773102:27786245:-1 gene:Dexi5A01G0023800 transcript:Dexi5A01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMPGGEGTLAAVMPRSPSPMPAEAGTSAAEAPVLIFLYFHKAIRAELEALHGAAVRLATERFGDVAALTERCRFFFNIYKHHCDAEDAVFPLLTKKFSCEEQADLVWQFLCSIPVNMMAEFLPWLSTSVSPDEYQDIRNCLYKVVPDEKLLQQVVFTWFEGKSTKEVAESFAGGNSAGDVQEQGEKHICSHQDETRKVQQSGDFSDISAFNERLQFIADVCIYHSIAEDQVIFPAVDSELSFVKEHAEEERRFNNFRSLVQEIQMAGAKSTAVDFYSKLCSHADKILETIEKHFCNEESKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFEDISEVLLELSHLYDSKSHDQTEVNEAERKCPDSSNKVDWVRKYKELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALSQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSADSSSAPDSHVQDKLDQNDQMFKPGWKDIFRMNQSELEAEVYFGMLDALLAAEELPEEYRDRCQAHGTLLRHSFARAHLLQDEDGLVGGGDSSALVVVVGIIFLLPLMDTVIRQKFRPDGPVRNNPHARARAGVKCIQW >Dexi8A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:8A:4255694:4258531:-1 gene:Dexi8A01G0004860 transcript:Dexi8A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTLRQHGNPNQSDTTLGHGSAGGDPRWLLLDQYVNNRGSEPSIPDAKTTAASCTSTGQLFTVSFALAAPPAISTFCCEWIGDAPVGNDRRRRDFTGHDESKNLHIVAAHNGSALIEMSPPESRFCSRYDNKLRRRPDAAMAVAAPGLLLLQARHVPTRIDKPRSLDVGNNTALLRLVDGELLVCQLEVIHENDRPNDTAELCVLRWPGRDWEMMRLDIAHHEDGGELPWSPEMDAVVPVGVRFVCWVDYNLGFFFHDVADEAFSKLVYVPLPDVPPPEQQRRLSHERPYMPYRCGLGAAGLDAVRFVSVAPRCCCGGHGKTSCKRSRFAFNVTTWTLTLKKERPMIWVKDGVLDCDELWQLPYYGCLPRVAPEYPMVSSDNPDVVCFVLFENSYVIDNADKTVWMLEVDTRRKVLLSVVLHGSGGYYADCRLPAKLCW >Dexi1B01G0012750.1:cds pep primary_assembly:Fonio_CM05836:1B:17499309:17499566:-1 gene:Dexi1B01G0012750 transcript:Dexi1B01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELPSRPPSRDGGDGCSGAPAGRARHERHWAGPIARVRGGNRGAGKLGRAGRQLGTGRVKEARFTLLLLLELLMAHAQDGEEG >Dexi5B01G0030900.1:cds pep primary_assembly:Fonio_CM05836:5B:31742226:31745724:-1 gene:Dexi5B01G0030900 transcript:Dexi5B01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRVSRSLLRAATSSASAASAAGRGAAAAAPRSWTPPPCALQRFCPGRGYASLPGAAEAAAARRDGEEEEVTAAEARRLMRLANVEALKRRLGDGEVIPYADLLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDRVYLQPDKIVDLVRKAMPLALTPENDPGKEELKQLQAQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERMFESRQKKLIQRQNFNLERYLELQRRCKDPLEKVCGTSNPDVAHRHELSIHK >Dexi6A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:6A:1295554:1295807:1 gene:Dexi6A01G0001470 transcript:Dexi6A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHHRTSASPRLTPMFDGGHSSAHMNKPYSGTNTVMTSSAPARAATMVPHQTTSVAFLSTASLSSTTSTEEDGR >DexiUA01G0013500.1:cds pep primary_assembly:Fonio_CM05836:UA:28363711:28364505:1 gene:DexiUA01G0013500 transcript:DexiUA01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEAAQRAIEMAGIDKDQIGLIVVATTSATHAFPSAACQVQNMLGIKGCPAFDVAAACAGFTYALSVADQYVKSGAVKYALVIGADVLARTCDPTDRGTIIIFGDGAGAVFKVAVTELAHIVDETLEANNLDRSALDWLVPHQANLRIISATAKKLGMSMDNVVVTLDRHGNTSAASVPCAFDEAVRDGRIKRGQLVLLEAFGGGFTWGSALVRF >Dexi7A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:7A:25382146:25385156:-1 gene:Dexi7A01G0015540 transcript:Dexi7A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAQRSFLVGPVSFHGPCASGKITVQGKIVAQPPSAVNTWSNDSWLMFRRVDWLGKGVLDGNGQSWWVSRCSDASLRLLMCNNLKVSQLSSKDSPQMHIAIQNSTAVNVTGLTITAPGTSPNTDGIHIGESHNVHITSSSIGTGDDCISISSGSRFVTVDGVGCGPGHGVSIGSLGKDGDMAAVEFIDVRNVNFTNTMYGARIKTWEGGQGYAKSISFTNIQFDNVDHPVLIDQFYENRLSVQPAVAISNVTYSNLTGTSSMATAVAFDCSDGGGCTDIHVNSVVITGLGGRQTVARCRNAKVATSGQVNPEIPCGS >Dexi2B01G0028060.1:cds pep primary_assembly:Fonio_CM05836:2B:36743370:36744317:-1 gene:Dexi2B01G0028060 transcript:Dexi2B01G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHTHRAASVPVLTLLVAAAALALTTTIPSAAAQPWTGCDAESGNYSASSAYAANLLALISDLRANASTSPALFASGSAGSGASTVYGVVLCRGDVTASDCFDCGTRAGEDVEQACNRTRDTALVYNQCYVRVAATDFLASPNNTGVVGLWSGTTIPSGVDVAAYDAAITRLLNATSSAAAAARMYFATGQFAGLDPRVPNIWSMAQCVGDLSPEECRGCLGDLAAQWWNNVTGFVRNGQGARFAGSRCNLRSELGKFYTGAPTVKLPMNGEAAVPAPAPSSTVVGGTGEE >Dexi2B01G0033990.1:cds pep primary_assembly:Fonio_CM05836:2B:41324680:41328177:1 gene:Dexi2B01G0033990 transcript:Dexi2B01G0033990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARRRRDLTNEQLAAGRSVSTAEHVELLRETVVRTLQPQLIEKNGRTHGTIHAEHTLATSRHMHARVPRWHKGRHALVDLSLSPQRACNCNLDLQLANTSSATSRLQHAPINELRPPSAIAVTGRRLLASRSRRRRRHCLQLAKTKAGEQIGGQAQQEQLESSVVVAVHPLPNFILPSSARTNTSGARWWHPSHACHPPPLTSLLPSIPSCPTTWMMAASTAVKLLLALLLVVSAHAVAGIRVDVIRLPSTPPPSSPTAAAAFREAPAFRNGDECPPPDEGRVHVAMTLDANYLRGTMAAVFSILQHTACPESVAFHFLAAARRRDDVDDPEPDDPLASIRATFPYLDPTVHRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPADVRRVIYLDSDVVVVDDVRKLWSVDLEGHVVAAPEYCHANFTKYFTDAFWGDAELRDTFRGRRPCYFNTGVMVMDVAKWRLGGYTRRVEEWMAVQKQKRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNMEGRCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDYLWAPYDLYKAAATALEDDRASRCMGVRSGGQLNDVKYATHAMRCKCDDDDDELGSRWELNEDYWKKGKLEEEEEEDGDSSSRQVKGSAPRSILDLRETGQRRDRSSSEIVRSIKDSLVALDTKTGAKRLASTFPSTPYPIRARMLSRISSHPTPAGY >Dexi9A01G0032690.1:cds pep primary_assembly:Fonio_CM05836:9A:37605315:37606153:1 gene:Dexi9A01G0032690 transcript:Dexi9A01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPRMLCASSFGAPPLAGVGASRRRTTTSTAQNRNKSNQIKANRRWELDLRAEREGGRSSEEAHAGPASRRGAGPELATLTARLPVRPLSCCRRAWLCVVLLATIARLLCAVLLAATTREKPSSRHSRRGRGRRRCGGGGRGMAGRPHHWAGAQQRLRDPLVVVVSEAFKVAGIEDGFFKLNPKEGLAIVIEGGEPGRGGSTAGATRSSRGEEPPRFGSADAYAVGDRYFWVTLGFTVRHPNSLMGLVFAFPLLETALDRSS >Dexi2A01G0035220.1:cds pep primary_assembly:Fonio_CM05836:2A:44916937:44918022:1 gene:Dexi2A01G0035220 transcript:Dexi2A01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTAQAWFTGGTAASSAAAAESQPSLLADWNSYAATRPDASSSSPLPFDIEAAVRSANDTVSGTFSSVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAISFTLGCALIIASLFALKGPANQLAHMTSKELKLRVP >Dexi9A01G0012410.1:cds pep primary_assembly:Fonio_CM05836:9A:7892200:7893966:1 gene:Dexi9A01G0012410 transcript:Dexi9A01G0012410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPAVASPASEQAKSKKKKHKSKDDPAAAATAAADPPSLAEAEEKTDGYIIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYLRYGVINLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNYKDESYLRRAVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALRKKKMIAEGLLDKHGKPNEKTPAEWLRNVALPTGGDVSIASIAAASEPEKVKVEEEAAVTAEVKEKKKKRQKDEEDNDADASVPAKKIKVEEDAEPVEGEKSEKKKKKKKDKGESGSAETVEAKEVANVADENGSEKKKKKKKSKEGSDAVDAESAQNGDGAEVEKSEKKKEKKKKKSRDTEEEQ >Dexi2B01G0010240.1:cds pep primary_assembly:Fonio_CM05836:2B:11307084:11308324:-1 gene:Dexi2B01G0010240 transcript:Dexi2B01G0010240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTIAVLREAPPAGGRGGRRRSPDGSWPAVAAPAQGPARELLREGTGADVRFPASGKSIFRRAQGHSRHDTSLVQHLLAAADRYGLERLKLISEWKLSGGITVGTAAIDHAGAGGAAQLLAAQGQ >Dexi8B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:8B:1825999:1832979:1 gene:Dexi8B01G0002620 transcript:Dexi8B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGGDPWLRTKSGHVGRQVWEFDAAAEPDPAVDAARRAFVERRHDLKHSADLLMRIQFAKENPVKLDLPAIKLDEHEDVTEEAVSTTLKRAISRFSTLQAHDGHWPGDYGGPMSLVILVLQEDLYYPHPFVQDVLWATLHKFVEPVMVHWPGSKLREKSLETVMQHVHYEDENTRYICISPGNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVTTNLIEEFGPTLKLAHDYIKNSQVRDECPGNLDERYRHTSKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGESLDANRFYDAVSCLMSYMLINPAETFGDIVIDYPKLYPGHRRKEVDNCISKAASFIEGIQKSDGSWYGYWAVCFTYGTWFGVKGLIAAGKTFENSPAIRKACEFLLSKELPSGGWGESYLSSQDQVYTNLKGKRAHAVNTGWAMLALIDAGQTERDPTPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAGKL >Dexi5B01G0024640.1:cds pep primary_assembly:Fonio_CM05836:5B:26676812:26678206:-1 gene:Dexi5B01G0024640 transcript:Dexi5B01G0024640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPFMDVETILHMKEGLGETSYAQNSSLQKRGMDTLKSLITNTATDVYISQMPERFTVADLGCSSGPNALCLVEAIVGSIGRLCSSGRSSSQPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAETDEWGRPMVFLSGVPGSFYGRLFPRNSVHFICSCSSLHWLSQVPHGLFDEATCRSINKGKMYISSTSPLAVPLAYLRQFQRDFSLFLKSRAAEIVHGGRMVLAMLGRQSDGYIDRRTTFLWELLSESFAALVSQGLVEQEKVDAYNVPFYAPSIKEVEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPDIVDALFHRYTELVTESMEREEVKSVQIGVVLTRL >Dexi5A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:5A:8573560:8573865:-1 gene:Dexi5A01G0011440 transcript:Dexi5A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSVSSALRAATLASAPRAATARGYAASAASGAMRRAAAAAEGAASGEAKEAGRRGAAAEISWVPDPVTGHYRPSNWAAAADPADLRAAHLARAYARA >Dexi1B01G0011600.1:cds pep primary_assembly:Fonio_CM05836:1B:11870668:11874601:-1 gene:Dexi1B01G0011600 transcript:Dexi1B01G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIAVVVEDRCRPNKCGQECRRRCPVNATGRQCIQVMPSSKVSLISEDMCIGCGICVKVCPFNAIQIINLPRELDKETTHRYGPNSFKLHRLPVPRPGQVLGLIGTNGIGKSTALSILAGKLKPNLGKFTDPPDWNDILRHFRGSELQKYFNRLLEDKMKATVKYQHVDDIAKSVKGKVVELLDKMDKRGVKDKLCDILELKHIMNRNVSDLSGGELQRFAIATRAMENADVYMFDEPSCYLDVKQRLKAAQVIRSLVQPKNYVIVVEHDLSVLDYLSDYICCLYGSPGAYGVVTLPSSVREGINIFLNGFIPSENLRFRDEKLTFRVTESAEEITEGETYQSYKYPTMVKRRPGFMLSVMNGSFNSSQIIVLLGENGTGKTTFIRMLEGRVKPDKVGDEDVDMPAYTVSYKPQELIPKISSTVRELLYKKIPGSCSHAQFRSDVMKPLKIEELMDRQYANLSGGELQRIALCLCLGKPADIYLIDEPSALLDSEQRLLAAKHLDVTFRTDPTTYRPRTNKLGSTKDTEQKGAGCHYYLGY >Dexi5A01G0027920.1:cds pep primary_assembly:Fonio_CM05836:5A:31265412:31269048:-1 gene:Dexi5A01G0027920 transcript:Dexi5A01G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKVATKAAAAASPVAARRGGALSGGAQGPIRVSFFGPARAPTRARALRVANNSVPPVTKEGRVDRSETLTLDSIRQTLIRLEDSIIFGLLERAQYRYNAATYDKNSFHMDGFEGSLVEYMVRETEKLHAQVGRYKSPDEHPFFPEDLPEPRLPPMQYPKVMHILALSKRIHYGKFVAEAKFQGAPEVYEPAIKAQDHHQLMQLLTYETVERAIEHRVEAKAKIFGQEVNIGAGNKQKVNIDVENNGQQVNIGAQDNGTPPGFKIRPSLVAELYSHRIMPLTKEVQVAYLLRRLD >Dexi1A01G0017870.1:cds pep primary_assembly:Fonio_CM05836:1A:25186133:25186497:1 gene:Dexi1A01G0017870 transcript:Dexi1A01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDHGLPHELFRCNLCRLRLQHRNEHDQNHHVLIRYGSDLYPRVPGPYKISCNWCLTREEDGRGGGGSPMKAAVTNGG >Dexi4B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:4B:608358:611596:1 gene:Dexi4B01G0000960 transcript:Dexi4B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPAPLAPMAAAAASASVSIACSSSPSSSCEDEDSATSSWSLSSPRHRPYRRVLHEEAQRLRRARRSQGPGADTPRWVRRTTDQMARYVEDDRAGHVYGRHVVAAVRAVRATASRPSADMRLAMSSFVTKLTFREMCVVLREQRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGRVGKIKLAEETFLEMLEVGCEPDAVACGTLLCAYAKWGRHKDMMLFYSAVRRRDIVPPISVYNYMISSLQKQKLHGKVIQVWKQMLEAGVAPNQFTYTVVISSYVKEDLLEDAMDVFGQMRRYRFVPDEATYSLLISLSSKHGKGEHALRLFEEMRAQGIVPSNYTCASLLALYYKNEDYPKALSLFSEMESNKIVPDEVIYGILIRIYGKLGLYEDAQNTFVEIEKADLLSDEQTYVAMAQVHMNLGHYDRALEVLESMSTRNVKPSHFSYSALLRCYVAKEDMAAAEDSFRALSKYGLPDMFCCNDLLRLYIRLGHLEKARALVLKMREADFQLDEDLCMTVIELYCKSSMIEDAEKLFVEFQRNGKTMKIPTMVSLVEMYARNGTRAMQKEQGLSKVPHETESSAASMVLKSLLDMPGGFSSVSQLISKLAREGSTNEAKFIYDQLTEMGIRPDESAIATLIVQYGQAKKLEHAQELFELASASFPEEGHVCNAMVDAFCKCGKAEDAYNLFMEMAGQGKNKDAVTVSILVTHLTKHGKFEEVENIIQGCFCDEVELDTVLYNTFIKSMLESASVLSFSVYGKGGKLDRAVEMFASAQELGLPIDEKIYTNMINLYGKAGQVGIRRRL >Dexi2A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:2A:14699387:14702403:-1 gene:Dexi2A01G0012670 transcript:Dexi2A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLSSARLITLHRPILPPAFSRLLSSAPSAPTSSEPDDKGKKKAAAAAAVVVEAAATSRREDPEVCARDGSEEDDEDAGLPWRSWRPDVAWLSKALEPALHLYKQYNWKPFASTGGGESIPASTRTFSEILSDLQRSKISIKDWSLSDLTVGLYLIYLSQASSKNTETFKGVQISSNKMVQELIYHLELEDAIKAMQLDLHVYDLVTDLIALSDKKVSPRGFSTHFGTYEAARWYLRHELGIIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSNEELGFSPDIISAVGFGTPPCISKEAAESCASYISTVVLQDDIIPRLSAASLARLRNEILKTDWVSVLEKEDLRHIVDIVTNAKLVVSSIQDVARKLGDYAKIVSTSTNSDVAKDPADSTKMLSSDSTNDVFVPEDLFLPGTLYYLQRDIENINGVDDESYTLWKGDPGENFQRILLSGNLISDHRCESIHCALREVLKTLPPPPQDG >Dexi2A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:2A:1650357:1652085:1 gene:Dexi2A01G0002140 transcript:Dexi2A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPELMEELVGEILLRLPPEEPKHLFRAALVCKPWLRILCDPAFLRRYRAFHGAPPLLGLLHKRQVPIGVSPIRFASTTSLPDFPHPFPDGCPLDCRHGRVLIRMLGNTGLEYLVWDPITGDKHGVHVPDVKWMAYSSAVLCAVDGCGHLDCHGGPFASCTHVGDAIYFTLRWCNDIIMYDLRDDCLSMINAPSGNWCNVALMVMEDSSLGLACIECSSLLLWPMMVNTKGAVEWVQRRVIKLETVIPVADPDYIPIVVGSAEGVGVIFISTDAGLFTIDLESERVRKVDEPGVYISVLPYMSFYTPVP >Dexi4B01G0024000.1:cds pep primary_assembly:Fonio_CM05836:4B:25189638:25190926:-1 gene:Dexi4B01G0024000 transcript:Dexi4B01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETITAATTALGIRRLRHHPTTASSSFVSFRFRPTARLPGAVADSASASSRLCKAKAHQDDAKASSADQLVLDVAESTWDDLVLGCESPVLVEFWAPWCGPCRLMHPVIADVAKTYAGKLRCLKLNTDENHDLATWYGIRSIPTILIFKNGERKETVIGAVSDTTLATTVERFL >Dexi2A01G0007280.1:cds pep primary_assembly:Fonio_CM05836:2A:7099047:7101218:1 gene:Dexi2A01G0007280 transcript:Dexi2A01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQDERRQTKPLREVLDTFSNATGFRGSATCGPGDRAGWVLPIYRAITACEVLDVHYTFENILLRTMASGLITADDASTRDYGSGVMFPVVMTCLMAASCGLVYGYNNGISDGVTQVESFLSKFVPGVLLGTKDSTRDIYCKYDNQWLTAFTSSLLIAAALSSLVASHVTRMVGRQVIMLLGGALFLVGSIINAGAMNIAMLVIGRMLLGFGIGFTFQVHIHVKKMQNI >Dexi4A01G0016820.1:cds pep primary_assembly:Fonio_CM05836:4A:20569047:20572634:-1 gene:Dexi4A01G0016820 transcript:Dexi4A01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNALSPDGVSCCHNARDSTGRTDHADPHGQEAAVFDPARQAARLDTIAIAHLNPSCVIRPYYIITSLSRENDFSSFAPMIPGSYPPPDSLRETSLPWVTRPERDAKRGASPAHTLSRVLYQITSRHMWRPPCFIHSKPRCLIRSQAGQTWPADSAQADGATRELRAEVQQPACCQSKMASGGTDGRTEERREETDRRLVIAFSADAAGPPDVRRRRPRVYLTSRRCWGTCRRCGGTERPTAGGLGYVGRKGSTPDGARQLPSQEASLRFPPSQHLSVFLHILCFPERCSFQVF >Dexi8A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:8A:4722273:4722806:-1 gene:Dexi8A01G0005240 transcript:Dexi8A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTKLFLLPLLLCAAALSPATAADADGFTTFKVYFHDIVGGTSPTAIRIAQAPSSNSSSTFFGAVVAIDDPLTTGPTRAAGTEVGRAQGTYTFADQKTFGLLMVMNFVFTAGDHNGSSLSILGRNEVLDDIREMSIVGGSGKFRMARGYVQAHTIDSGATSGETVVQYTVNVKA >Dexi7A01G0018820.1:cds pep primary_assembly:Fonio_CM05836:7A:28101952:28110519:-1 gene:Dexi7A01G0018820 transcript:Dexi7A01G0018820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWSAVSSSPSAQPPSMACGGRAGAAAVNAVFAKLGQTASSSPLAWNISGDPCTGAATDGTVLDDNNNFNPGIKCDCSDQNNTVCHVTKLDLRQNYLTGPLPSFLGELTAMQYMSLGINALSGSVPKELGNLSNLIVLGFGSNYLNGSLPSELGNLAKLEQLYIDSAGLSGPLPSSFSRLTRMKNLWASDNDFTGRIPDYIGSWTNLTDLRIGDIVNGSSSLAFISNMTSLNTLILRNCRISDNLASVNFSQFANLNLLNLVANNFVISNSNNSVLPSGLECLQRNTPCFLGSPQYFEPTVRSAAQKNSSNKIGIVVGVVVGAVVLALIALAGLCMWRQKRRKLALEKQELYSIVGRPNVLSYGELSGYLAPEYAMRGHMTEKVDVFAFGVVVLETLAGRPNFDNTLDEDKIYILEWVWQLYEENHPLDMLDPKLADFNSDEVLRAIHVALLCTQGSPHQRPSMSRAVSMLAGDVEVGEVVNKPSYITEWQIKGGNNSSFMSSNVSGQSSLAPRAASSHASSSFMTSVIEEGR >Dexi8B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:8B:27643208:27656925:-1 gene:Dexi8B01G0016460 transcript:Dexi8B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSQIKELNQRLENIHKEADRFKFNINLGSNPEPRKLTDAERSVQKTTSEFNESAIVGEKIEQDTTELAQLLTTGSNQDIKVVSIVGTVGMGKTTLAQKIFNETTVQEHFKVKIWLSITQHFDDSEMLRAAIEHAGGDHGGTRDKTLLTRTLTNTLSSGRSLIVLDDMWSDQAWSHVLRVPIRNASLKQPGNWVLITTRFGDLAQRMGASFYQHHVKPLNDEDAWSLLNKQLPHYPNQLTTISGLSRLHKIRIVCCPKLEVFQDVPRLRSLGLRDPTMETLPGYMLCINPRYLDLGCGKRLYESLKKKASVGCFQPLLFCLKNPVFAHKIGSQIKELNQRLENIHKEADRFKFNINLGSNPEPRKLTDAERSVQKTTSEFNESAIVGEKIEQDTRELVQLLITSDNHDIKMLSVVGTGGMGKTTLAQKIFNDSTVREHFKVKVWLSITQHFDETELLRTSIEHAGGVHGGAQDKTLLTRTLTETLSTGRFLLVLDDMWSDQAWSHILSVPIRNASLKKPGNWVLITTRFRDLAQRMGASFYQHHVKPLNDEDAWSLLNKQLPPYPLIR >Dexi2A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:2A:5195928:5197432:-1 gene:Dexi2A01G0005370 transcript:Dexi2A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGVVIACHTKEEFDSQMNKAKAAKKLVVIDFTASWCGPCRFIAPLFVEFAKKYPHVFFLKVDVDEVKEVAAEYKIEAMPTFHFIKDGVKVESIIGAKKDELATK >Dexi7B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:7B:18293157:18299704:-1 gene:Dexi7B01G0011210 transcript:Dexi7B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPPQPPKAHRDGGDAPVTLFLDTDLGTRLALLVAPDTTIRGLKLQVDAEHAAAFPDLGPVAAKSFQVRRKGVLYHLSDSMTVTSAFTKIKGGCFLHVKMTEAEAVARCCQDDGRRSSDGHLGIHVEKRIQELRALTSEIACDGLPQMLEVQLTWMLKFIYTDFRFPPYHPGDAYDVETTGELVQFMHDAQASTAIIFEQRKSDHALKECRNLSIGDAINSAPEVVASEVGNPKGSITSWNGEDKNEQIKHGKGSHDDGVGEIKDMDKDGKSTDALEKRQINDNTSQLKKHKKAKKVSSVHLVSVDTTHEKEMHGYRENAARSDTVSSEREIVHDPSKQHISINVHQGNSDIIASPNGDGKKKKRRRRSESSKVVDPSQDLTKSSEFVANESSMHFTDGTLLDARKTTPGGIEGATIIDHKDLGENLAVQDVIDEVSADLRSKDSSSKDLDEDMLTGETHLGSNKNALELPESAADEVGVSAALPPKYPAAIHSDAPASSPRLMKSNEEKLKLLSTMIDSSHHSCSTPKEDANRELNESESLRFSDSDPKDILTGDVIAQVDGKSKATKRKRKVSVKQVPADNGKTLDEQVSHVDTLDLKGANATEANLVQAGSVVDTPLITVSGKVKQKDRRSSKIRTAEVQETNGATSGLDSDLAKDSQCGYANDVIRTHNNENAAGTATETPVVQKDGIALKSSSPNAQKASKVSLNSELQSWDHTLEHGSSAELGNLRSEENLIRPKDSSEPNFDGVVHPDAINFLDHFSSSKMSDPPVSVEHKQKDEDECLREMKNKRKIKRKKGTGSIEPNDALESLLPADKSSLTGHFGTSSVIAPSVGAEKMNIEDKNVKNTKEKKRKRKVDMEMPVAEKEIPNCDNQGTDIATQESHLSFIQKGRMGLDNGKERSSKVTQNNSIVPYEPVDAALEKKLQQNAVDQNKLLTDKDHEDINKGVRKSSSKIKPHAKSKHDESIKGSVASNPKPERNFVKDFSTSPRVSSDSAEVAPQNANRYRVAVRKVPSKRYEKTREKSKKENRKVVSGTIFNDNNNEGSDDDLDIKDDLAFMEASPDNSATSGDSGISSAAYDDSDVPDDDGTMSLSQKSLKDGLHIGSILRGSRSYKKARRKQSELLDDDTVVPDSQPADGLWD >Dexi3A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:3A:2993482:2994948:1 gene:Dexi3A01G0004580 transcript:Dexi3A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVHIPWTDFWGSDVAYIPLASLDTCRELCLKNCSCVAFEYKVHPHPTGCFLKASLFNGKTSPGYPGTAYVKVPESFLSRSQASYSSELSHGHVCNASRTLTFNYAAQTNDMKGRTWYYYWCFLAAFFLVELCFITVGWWFMARQQPARSAIWVAEHEEGLRVVADHFRSFTHNELQKATNNFKDELGHGRHGSVYKGTLQDNRIVAVKKLKDMKGGEAEFETEVSVIGRIYHMNLVRVMGVCSEGKHRLLVYEFVENGSLAMFLFGSKGLILQWHQRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENTLLDEEFEPKISDFGFAKLLQRDEADSNVSKVRGTRGYMAPEWVSSAPVTEKVDVYSFGVVLLELVMGLRVSEMPTDGSRDAESALRQLLSTIGEKMKTGEDTWIDDLVDPRLNGDFVQSEVLLLLEVAALCLEQERNGRPRMSVWFICSSDPLMRVDLTTGKGPLFFNLSFPLEGIM >Dexi2A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:2A:25241303:25243714:-1 gene:Dexi2A01G0014960 transcript:Dexi2A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGARTSPATSITSSSKKPFLGELLRHLSLKIDQASNALKDVPQRFLDVLVDSTFEFTDQPLDPSESNFAPVDEISEAMEIHQIEGAIPEGFPEGVYIRNGSNPLFGALHSTTSIFGQSREIWVEGEGMLHAIYFTKSSSDSWSVHYANRYVQSESLRLERARQKPCFLPAIEGDSAAIIAAYMFNYLRFGKVNKDISNTNVFEHAGRVFAVAENHLPQEICIDNLDTVATWDIGGEWNRPFTAHPKVAPGSGELVTFGTDAKRPFLVIGVVSADGTKLKHRVDLKLDR >Dexi1A01G0029280.1:cds pep primary_assembly:Fonio_CM05836:1A:34814657:34816256:-1 gene:Dexi1A01G0029280 transcript:Dexi1A01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPPKKPLEKTIPPNDFQKDQFNSAESALTLLGITSIIQHK >Dexi5A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:5A:11739991:11744127:1 gene:Dexi5A01G0014640 transcript:Dexi5A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFENPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSSRHGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYAVSFACLLLIIRKLDDSLFRNAFSRSYIRVKEYDARSRSRSCSRSYSRSPSYSRSRSPKSVSRSPSPVDERSLSRSQSPVSSVTFPWKICEQKP >Dexi8B01G0009600.1:cds pep primary_assembly:Fonio_CM05836:8B:17120374:17129221:-1 gene:Dexi8B01G0009600 transcript:Dexi8B01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFAASAAVLSCLLALAILANCNSEGDILYKQRQLWKDPNDVLASWDPTLVNPCTWFHVTCNNDNSVIRIDLGNAGISGTLIPDLGQLQNLQYLELYGNNMTGTIPAALGNLSKLVSLDLYGNQFTGDIPAELGAISTLRYLRLYENNLTGAIPTSLGNLTSLLELKLQNNALSGSIPSSLGNLKTLLSLKLNDNMLSGTVPLELLSVVISGNLTELFTAMASRFGVLSCLLALATLASCNTEGDILYKQRLAWKDPNNVLQTWDPTLVNPCTWFHITCNNDNSVIRVDLGNAGLSGPLIPDLGRMRNLQYLELYSNSLNGTIPSTLGNLTKLVSLDLYQNKLTGAIPTTLGAIRPLRFLRLYENHLTGHIPTSFGNLTNLLILQLQKNALSGSIPSSLGNIKTLGSLKLNENKLSGTVPLEIMSLVISGLTELFATMVAQLAAAAAAFITGILALARLANCNPEGDILYAQMMAWQDPLNVFKSWNPILDNPCPWEHVVCDSENYVIRLDLGKAGIIGPLLPQLGGLKKLQYLELFGNGLNGSIPTTLGNLTNLINLDLQENMLTGMIPASLGSIGTLINLYASGYKNFTRNIKRMP >Dexi4B01G0022000.1:cds pep primary_assembly:Fonio_CM05836:4B:23790193:23790588:-1 gene:Dexi4B01G0022000 transcript:Dexi4B01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGYFRSTKGAAAATSPTAGKKKAKKLAQEDAGLRESLLEPSPATPEGGGVPKGYFAVYAGEESRRFVVPTRYLREPAFRDLMERAADEFGFAQAGGLRLPCAEEDFEDLRRRLHRNNAAAGGKGKKAVR >Dexi2A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:2A:1736397:1740567:1 gene:Dexi2A01G0002230 transcript:Dexi2A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSDGSLCIMEALRRTDQDHHHGPKLEDFLGAAAAAAAQSQAMALSLDNAAASSFYYYGNGGGGGHQQHGGFLHPCAAGDLYGGPSAAALVADDEAATAAATAMASWVAARAAESGVLSAAAAEHHHHALALSMSSGSLSSSCGVSAHPGEYGMVAAAGAAAMDGGRKRGGGGGGSAGGGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPADLARRIKKDDATSSPTVVVSDAGVTTAALTAGNTAAAASTNDTWKIAAAALAANPRGVVVSDHGHTHHHHHHHHHHDVLAGGGAEAFSVLHNLVVTAADSGGHHGGAAAHAQHMAMSSAASSLVTSLSNSREGSPDRGGGLSMLFSKPPPARETAGNKPVAMSQMMPLGSWASPAASARAAAAPVSIAHMPVFAAWTDA >Dexi3A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:3A:16479354:16482357:1 gene:Dexi3A01G0020780 transcript:Dexi3A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLPPPPDWHTGGPIPTRPPRAAMDGLHGTDACFSPARAMSPQVRPAGPPDVGRVTGTALAPLLILPAIFIQFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDSGKSAFIF >Dexi9A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:9A:3914315:3915282:-1 gene:Dexi9A01G0006920 transcript:Dexi9A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIHSLPAPPGPPARPPLRRGTVAASASRLRGSSLPQPPPAAPPSLASRRAALLALVLAAAPARPDAAAAFSLGIPGPKELLREQKKKSASFLLAPIAASRDTLAQALLASPNASAEIAEEVRGRIGAAGRDCVPRQRNSIVAFQSKTGVEDPLKVEADSKLGELIQSFSDLGTVVENSNFELIGD >Dexi9B01G0023940.1:cds pep primary_assembly:Fonio_CM05836:9B:19374342:19374987:-1 gene:Dexi9B01G0023940 transcript:Dexi9B01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVTSTPRLLLYGRCKAPPTPSSMAALRSYCLSSTTTIVQRRKSSRRMMVRASLDACSSSESKKIPTSVSFTGKVNKIYEDKNMGILCYTDENGELVCEGLDEGPRLTWQDMEKLNMEKKTKNQEEQRQRTLPFPGGIDWSSLQAAVSMGKN >Dexi8A01G0017210.1:cds pep primary_assembly:Fonio_CM05836:8A:28936542:28937177:1 gene:Dexi8A01G0017210 transcript:Dexi8A01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSAAAKFTVRRRAAVLVTPASPTPHELKRLSDIDDQQSLRLQIPAILLYRRNESMAGKDPVKVIQDALANALVHYYPFAGRLREHDGRKLAVDCTAEGVLFIEADADVGIEHFGDAPLPPFQALRSSSLTSQALPPSLILLSCSSRRVESLGTYRRTN >Dexi1B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:1B:8346695:8347996:1 gene:Dexi1B01G0009290 transcript:Dexi1B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQAQRLFLTPSTSSSSSTFTARRPRRSAHCRAAVRAPNGLQTTNTTPADLSLNLNWIDAHLSPSSSPSQQQQHQDVGAAAAEKLRLVAEAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASAMAALAPTIGSTMPALKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERHLRASLLAAGNITDADVQDAMDRVLALDAAYPLPLLPGMLEKFPKSVEPARWWPRRRTHHYQPKTTKRSKSFGRRAIAGNNGWTPELEEEMRGLLRVLRAKDEHQYLTVGKLVLTLNKGLAVAGPTLAATAAVASAFIGDAAATWASGAAVVCGAAAAAANTVEHGGQMGMVFELLRNCAGYYRKLQEDIEACLGEVDVERRENGEVFETKVALLLGRSSSELKQFRWMASASFKDEDIKDYAGKLF >Dexi9B01G0048590.1:cds pep primary_assembly:Fonio_CM05836:9B:47502055:47503991:-1 gene:Dexi9B01G0048590 transcript:Dexi9B01G0048590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAKAPVLGCAGKRQPVGSVVGAVGRWIRGAVPPPPPARVCGTPGGPPVTAPRVRLRDGRHLAYAESGVRKEDARFKVVISHGFTGSRLDTVRAAPEVAEELGVYMVGFDRAGYGQSDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPDRIAGAAMMAPVVNHWWPGFPPELAAEVYAKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRRNMKADGSFQQRMEQATQQGIHESYYRDMMVMFGKWEFDPMELPAPPCPVHLWQGDEDGLVPVVLQRYLAGRLSWVNYHELPGTGHFLSAVPGLGDTVLKTLFGR >Dexi9B01G0043320.1:cds pep primary_assembly:Fonio_CM05836:9B:43295983:43296363:1 gene:Dexi9B01G0043320 transcript:Dexi9B01G0043320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISPFLDGLTVRFSASFANLLLRRVAGLFAVDAGGRLVMALLGMLLIMVTVRPTRCLSGTPSSPPSQQPWRGLWWPRGLAKPRVLQESLASPLSLGCGPTQPVFEAFGHRRGLGHPSRSRVLSG >Dexi9A01G0004000.1:cds pep primary_assembly:Fonio_CM05836:9A:2093241:2098770:1 gene:Dexi9A01G0004000 transcript:Dexi9A01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAPVPPQLLLLLVAAVLLLTAAPAGAGDFDVRRHLSTVTRYDVARGSNSVTSMPSTPDGCQVIHLNLVARHGTRAPTKKRIKELDRLAVRLEALINEAEQGLKSDSLKKIPSWIKGWESRWKGRTKGGELTSEGEEELYNLATRVKERFQDLFEEEYHPDVYSIRATQVSRASASAVAFGLGLLSGKGKLGPGNNRAFSVLSESRASDICLRFFDSCETYKAYRKRKEPDVEKQKEPILEHVTASLVNRYHLKFTTQDVASLWFLCKQEASLLNITNQACGLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIAREENRPVGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREEALDLPPVPPQGRNWKGSVVAPFAGNNMLVLYQCPGKTSDGSTSGGQSNSYFIQVLHNELPVSMPGCGNKDFCPFEEYKEKIVKPHLKHDYDMICKINPPAAREEPATFSSKLSGPSVDAETEVELGDAIGSDKQRKEKQRQPEQMNQHMRICNSGTGERTDRDSRTHHTEEEGAAAARRRSLTARGGGGRKRV >Dexi9B01G0049260.1:cds pep primary_assembly:Fonio_CM05836:9B:47998673:48003579:1 gene:Dexi9B01G0049260 transcript:Dexi9B01G0049260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSGDASFSQDNYALKWTFHNDLGLVFVAVYQKILHLLYVDDLLAAVRREFAQIYDPKRTAYDQDFTDIFRQLHLEAEARAEEMKKSKQALSSRPLPPAASHKTDPKARGDSRPNAAKKKKKGKDDSDDGDYTAKEALPNGNGTFKAQDSVLVLPKQGKENGGPNDNGAFDVSKLQRLRKNDRKNNTGAADNGAKKLTTKPDTKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVTDHVAVNQGESMMDKDDNLSSDSEEDEQEVEDGPPKKKGWFSSMFQSIAGNNVLDKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVNAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEASRNKSDVVLVDTAGRMQPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Dexi9B01G0047800.1:cds pep primary_assembly:Fonio_CM05836:9B:46806077:46806496:1 gene:Dexi9B01G0047800 transcript:Dexi9B01G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHALNFRWGQLECPYHRRQCRATHNHKPRCTSPARPLAAAPTPPHLATLNPPSPPCRLPLPDAPLALRSPAMEHTPNDPETEIKTQLLPCINPREQRGAGATSSPAVYNHPPELEWRRRENKVRRRWSRGQAMDECR >Dexi9A01G0037630.1:cds pep primary_assembly:Fonio_CM05836:9A:41942133:41945824:-1 gene:Dexi9A01G0037630 transcript:Dexi9A01G0037630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRTAATAMRHDGLLVGSIDAVSDRDFVLEFLAANSIAAVHLSRIGEQWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVDLMTVLTLCKGLPQAYNRDLQVGLILRVSPIQNFS >Dexi2B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:2B:30650862:30652223:1 gene:Dexi2B01G0020640 transcript:Dexi2B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNLFIQALYQIAVLLIFNFDGKRILHLQNESRENADKIKNTFVFNAFVFCQIFNEFNARKPEEKNVFMGVTNNHLFMGIVGATVVLQILIIEFLGKFFDIARLNWRLWLLSIVIGAVSWPLAYIGKFIPVPVRPFQSYFKRCSCRRRSRQDEEQGGRS >Dexi2A01G0025370.1:cds pep primary_assembly:Fonio_CM05836:2A:36985804:36988057:1 gene:Dexi2A01G0025370 transcript:Dexi2A01G0025370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEEKQSAAAARELLKAEAMRERRGKSSQSRKAPSAAAMKRKSTGGAAAAPEQLISARVAVAPEQNGAPPMFFESSCFFNGAGNFFGGSPMSPPWMIPSDPATWDKNPTPPGGFTNLMQPHLSQNFHFTGGSSHFAPFKPPRAMQDSTEEQQISTPPSSNGNNQYVVVDSGDELPRTEKRILWTQEEDVKMMSSWLHNSTDPTMGADRKNEQYWYDVVETYNESTPNSRKRNAKQIKDRFHKVNRWTDLYHSAWVKARRIYTSGHNDQMWIDKAHVLYIEDNKDQKLGPFGLMDVWRAVRNEAKWITYNIGLKEARKKKGSVTGNEGKEAKDVENIDDDEFEDPGRPMGQKRAKKAALEKKKEPKDSDIEELDKFGKLQTEEHANRLKVLEVQQKLSTEKIEQAKLAHLAAKEQKEAAEKQREARKLELEAKMEMGAIWELVIREMEAIWELVIREMEVI >Dexi5A01G0022990.1:cds pep primary_assembly:Fonio_CM05836:5A:27097236:27105405:-1 gene:Dexi5A01G0022990 transcript:Dexi5A01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRTSSGQSEASSSEPSWWPPDFLEKIESASISRKHDVFGNLRSTSWKASQLLWSQGSYSGLIPNGFYSIIPDKKLKETFPTIPSLDDLQALEADGLKADVIIVDTERDKKVLMLKQLSAALVKGLNSSPAMVIKKIAGLVFDCFKRQNPDSSPARNSTEDSHFFGNKGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGYAYVLNICLSIIFSLSFFFPSPEHPLMRARGRSILGGEKQSFQEYTESGAASRFATCAIFWDDDASRRVGATDNGSRNRTGLTQKAMSLPSSPHEYRGQVTEKSDDFVSKEKMALAWNKVFQSSPFLNKPLLPFEEWKIDFSEITIGTRVGIGKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYTVANEGSRLEIPEGPLGRLIADCWQEPENRPSCQEILTRLLDCEYAVS >Dexi6B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:6B:10061984:10066686:-1 gene:Dexi6B01G0008140 transcript:Dexi6B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPFHQWPPAAAAPPPPGAPGTASVPPPPPVPGAPGTAAADEVRTIFITGLPLDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFASAHQAIAAKAALQDLVFDAETSSKLHTEMAKKNLFVKRGVGTDANAVDQSKRLRTGGDYTHSPYAPPPFHPPPPAVSMWGTAGYITAPPPYNPYGYPVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRSLFSVTFSKNPFGRRKDSVGGLGGVLNGSSAN >Dexi2B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:2B:29046491:29046709:-1 gene:Dexi2B01G0018840 transcript:Dexi2B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARWPNASAGVVYACRRADDRCTLTRRRRRPRPCWSAPPSTRQCGCDSHGERRREEKDPLAEQRPCVGIW >Dexi2B01G0034080.1:cds pep primary_assembly:Fonio_CM05836:2B:41381804:41384950:-1 gene:Dexi2B01G0034080 transcript:Dexi2B01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARRRASKAQCAAAVAGDQQIALWGACVLLSSLSLLLAAASSGLGAATLTALEVTALVRATTTATATSAAVPVAGDVDGVTKRYCSEDDDLIRTMSMDGEWVRDDAGDEHRRRRALYEPGKCPFVDEGFRCVENGRPDGEYAMWKWRPRRCELPTFDAARLLETLRNRRLVFVGDSIGRNQWESMLCMLASAVDVAEDDGLDDEGSAIYEENGSPITKHKGFLSFRFRDYNLTVEHYRSPYLVRRGRPPRRSPRYVAGTLQLGAMDSRAPLWKEADILVFNTGHWWNQERLQRLGCYFQDGKKLRLNMTVEDAYQRAIDTLQKWIHKEVNTTKTLAVLRTYSPAHARVADSGGGCAMETVPELNTSKIALHRWPGILNPTLEAPKKKKKKLHSPPELHVLNVTLMTAQRRDGHPSVYNVVPSARSPVGQRADCSHWCLPGVPDAWNELLYTLIIRRFL >Dexi6A01G0007310.1:cds pep primary_assembly:Fonio_CM05836:6A:7262303:7265828:1 gene:Dexi6A01G0007310 transcript:Dexi6A01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFYLLKIIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPTPCASCRAAAFCSPSCAAASHPGLLCAALSHGAGAGLAAAAPTDAVQEPLLFLLSAYSLPDPTLRALLSLSSAPPPPPGAQDAATLHAAVAALAPAHMLPQGFSPDLTVALLAKDRGNSFAIMEPYHPGMSLELLKARAYAIYPRASLFNHDCLPNACHFDYPDRPGPGNTDIVVRALHDISEGREVCISYFAANWRYADRQRRLLEDYGFRCECDRCQVESLWKDDDDDNGEDGDDVMEDEGAEDGGDDGDDGMEDGEGDGGADGEDDFPHAYFFVRYLCDMEGCWGMLAPLPPLPNGELSNVFECNLCGKLRKEEDVIPGEGTSGMVH >Dexi2B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:2B:10634578:10643113:1 gene:Dexi2B01G0009910 transcript:Dexi2B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFVFTNKCPETIYPGVLTSPGKPAFPTSGFALPPGPDAAFPNIPAGWSGRIWARYHCATDAATGSFGCASGDCGTGRVDCYGNGGGQAPSTLADCATVTCAADINGECPPELVARAADGTKVGCKSGCGAFNTDELCCRGAMAATFAFRNNCHVPIYPGVLTNPDRPAFPTSGFMLLPKTAIRYHSVPPNWAGRIWPRHRCVPSGEGGLSCHSGDCAGRLQCSGAGGQAPSTLAEFTLSGHDGKDFYDISNVDGFNIPLQIVPAGAGCATVTCGADIVRECPPDLEVKAPDGAKVGCKSGCLAFNTDELCCRGAYGTPDRCRPSGYSQFFKQRCPQAYSYAYDDGSSTFTCPTGADYQIVFCP >Dexi9B01G0005560.1:cds pep primary_assembly:Fonio_CM05836:9B:3275062:3276387:-1 gene:Dexi9B01G0005560 transcript:Dexi9B01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFARPREAGTRPLIPPPVWAAIPVRRLGVSSSGLTLPNRTAEPPPGGEPCSSSTSPFSPLLFPPRPPAKMDPFIPRNQEEARRLVMIAQDILSGEITEGKVERSREVLVKALCLDACVLGGHPLLVAAVALRAMYRHRLPSGHPNPYSVFGLIPDVPSARDPARIEAFYRQASDLLNRECLNGARVHHPCYPAFSTAARLVADAWTFLSDPDRKASLDSRFTTAQAVATTPPSGDVAQAAATTPPSGDVAQAAATTTPPSGEVPNGHRASSRTRKPNVRLAGDEWI >Dexi1A01G0022290.1:cds pep primary_assembly:Fonio_CM05836:1A:28976232:28981222:-1 gene:Dexi1A01G0022290 transcript:Dexi1A01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGADWREAAAAEEDPAPAAAGGGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSGKVIVHCSNRNMHKLTLDGIDVMGERLAQEVPFLFGVTAIENFACCIIHLIFGRTGKHLFLTDNDDGKPPLLQRMVDNWGDLQFISALQAFRRRVAYSNVRHDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVHEELSKAEAPDQCADTDNYTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >Dexi2A01G0036550.1:cds pep primary_assembly:Fonio_CM05836:2A:46094215:46094401:-1 gene:Dexi2A01G0036550 transcript:Dexi2A01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYTITADECSGGKQGCRKVTASRVALGDDVLSLVVKPGADPSLVVGLVLVYGLMNRSI >Dexi8A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:8A:28308091:28308903:-1 gene:Dexi8A01G0016660 transcript:Dexi8A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPVMENTAEDYSRLMATNLESCFHLSQLAHPMLLKATVAGGGSIVNISTIGSYIAFPGGMNQLTRSLAVEWARDNIRVNSVAPGYIATDMIKGV >Dexi2A01G0035610.1:cds pep primary_assembly:Fonio_CM05836:2A:45194978:45198111:-1 gene:Dexi2A01G0035610 transcript:Dexi2A01G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPADGAAYWLRWQVLVCGALIALPAAAAAALLPRLRRNAAPLRATDLWVPCWVRLHPGWLLAYRAFALAAAVALLARLLLGHGISVFYFYTQYGSFPSSSSSPSQFATAISAHGCWVYSKKSSRKAAESHGFLNDDIENHALSTTVSGETKKDETTKSSSYYEQIVNEKRAGFWGRCMQIIYQTSAGATMLTDVTFWGLLVPFFYRDKFGLALVTDGMHSLNAVFLLIDTVLNNMVALPVSGPFIIWGSSMVLAHLTSPLTTYHPGILTAILIWCRYLGMAIAHVPCFSLYWLIVKAKHTYFPRMFPHAYARTV >Dexi9A01G0023420.1:cds pep primary_assembly:Fonio_CM05836:9A:18785913:18789817:1 gene:Dexi9A01G0023420 transcript:Dexi9A01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPSHSSATSGGGASHRKRKLPPSSLSDATADEDEDTTAPSSPSTAPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPGGGSASGRPPKPDSSSVSAAAAAAAAAAGAGPKPEPGTVASGDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWHGYIKDYDGGILMECKIDPKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRSAFSPDYNTYRQQLTNLMRILLKGMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSRRVESEQYYVTLEMFVADMKRMFNNARTYNSPDTIYYKCATR >Dexi9A01G0041970.1:cds pep primary_assembly:Fonio_CM05836:9A:45532784:45533395:1 gene:Dexi9A01G0041970 transcript:Dexi9A01G0041970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGLSRVGVKEATPRLSEDKVKQCVDPKLGEDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLKAAAATAGEPSST >Dexi2A01G0025440.1:cds pep primary_assembly:Fonio_CM05836:2A:37059934:37060234:1 gene:Dexi2A01G0025440 transcript:Dexi2A01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRYGFAGTSVSGLKRNGVYFSEPLYGDQYDLAHSMEIADIATGISEVKTFHPKMQGLDALGWFRPNLWRGGGQAICAYLVHGYER >Dexi3A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:3A:5446573:5449008:1 gene:Dexi3A01G0007800 transcript:Dexi3A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTVCVHDCSVKATIERYKKATSDNSSAAGTVAEVTIQHYKQESARLRQQITNLQNSNRTLIGDSITTMSHKDLKQLEARLDKGLVKIRARKNEVLCSELEYMQRREMELQNDNLYLRSRVEENERAQQTVNMMGTPSTSEYQQGFMPYDPIRSFLQFNIMQQQPQFYSQEDRKDFNVGKISVEDKWTDPYHMELQLSV >DexiUA01G0000310.1:cds pep primary_assembly:Fonio_CM05836:UA:1762133:1767776:1 gene:DexiUA01G0000310 transcript:DexiUA01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMQRAATRSCSSGSARASRCKSGPTKLGLASSIYPPSSTPTSQCSRSQAEHTPPAAPSRPRLLLSQACDAGAYKREWSSPIALGYPAIPAPSDHPWLPASFPFLSSSVSALAPGRRQLRRHSDSGETPPSQHSKTMAPTRETPPSGSRGGRVKTKSVRRLLDPPSGTPPLPPDVINVDDDLDADEEEGDDEDQQQAQLTGKRKKSCIPTHPVYAHRSFQENMRAGGLCLCNLARNTLSSGEPAIAPGLGLPPSLEEPYEAEAPRGSALQREGRLASRALEMRPFGEAKRSRATPQERIPTSRGTGDGHLEAHHDLQATPARPGKPSPSVAPVVVKFPLHVGRPP >Dexi5B01G0032590.1:cds pep primary_assembly:Fonio_CM05836:5B:33185367:33187910:1 gene:Dexi5B01G0032590 transcript:Dexi5B01G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFVLNTGAKMPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCAKAYYNEKEIGLVLKKLFEEGVVKREDLFITSKLWVDDQAPEDVPEALNTSLNDLQLDYLDLYLIHWPFRVKKGTSVSPENFVTPDIPATWGAMEKLYDDGKARAIGAYSPLGSPGTTWINGNVLTEPVIISIAEKLGKTPAQVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPDDLLAKFSEIKQVRLLRGNFVVNPQSVYKTHEDLWDGEI >Dexi2A01G0036580.1:cds pep primary_assembly:Fonio_CM05836:2A:46103705:46104399:-1 gene:Dexi2A01G0036580 transcript:Dexi2A01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVHSSPESSSSTATCTAEQRKVFTVWMKSLVLNGHGCTVYDSGGGIVYRVDNYGSRCGGVCLMDLDGTVILDVVKKKLAFGRWKGYRWRGQTQKQEPRPWFTVTRPIRPFQWSHGHPASCELRCNAGGVMTRYTITGGSKQGCRIVDEASGVAVAEVRRKVTSSGVALGDDVLTLVVEPGADLSLVVGLVLVYGLMNRSM >Dexi8B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:8B:2835195:2837859:-1 gene:Dexi8B01G0003680 transcript:Dexi8B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGYERSLEASMMVDALARVVAGGEAAAPEAGGARRREDASPAVAWMGYDYDAMSPPSSHSARQPHDYSASAVPAHLSPTAVTASPGSSEQIPSPSSTPTSSSSGGGQRRRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDEAALGFRGSRAKLNFPESATLRAPPSTPPVAPTPPPPPPERPGSLLESQAVSGAGGSGEEYSEYARFLQGAGETPRFFEPAVTAAAASSSEFPVLFSFGGAAAGQQRWPQQGSRSEGDGGDGYTPAVSWTESGWWPAAPRDPSAG >Dexi5B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:5B:2000320:2004136:1 gene:Dexi5B01G0003020 transcript:Dexi5B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPLFVLIICIHVITTPTLTSLVTSSIDETIRELADATAGTFSTDAEATALAATDFGTNVSVDAARPAAVFYPSCPADIAALLRASSSSASPFPVSARGRGHSTGGQAMAPGGVVIDMPSLGRCAAVRINVSVDGRYVDAGGEQLWVDVLHAALAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGTGEMVTCSKEKHGDLFDAVLGGLGQFGIITRARIPVVPAPARARWVRLLYTDAAALTGDQERLIAEQGPLAGIMDYVEGSVLTGHDEGIIGSWRSQPPPPPPSTSFFSDAGGDHAAANVAKLAMEAGGVLYCLEGAVYYGGAGDTSATDVDKGLEVLLRELRYTRGLAFVQDVSYVEFLDRVHAGELKLRAAGLWHVPHPWLNLFVPRSRILDFAAGVFHGVLLRHHHHGGPVLIYPMNRRVWDGATSAVFPDDDVEEEVFYTVGLLRSAVAAGDLAAVEAQNAEVERFCEDAGITGRQPVGGADCGVERLPY >Dexi1B01G0025360.1:cds pep primary_assembly:Fonio_CM05836:1B:30421041:30424355:1 gene:Dexi1B01G0025360 transcript:Dexi1B01G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTMTRPWRLVLPPPSFASLAAVGLGEKSDAYTATRAPRLRRRAASCRRRPEADRDLTWADGRQEPGGPLLRSQPSPQKPECGCVNGSALTVTGPEGDRGRVAEVFKVLLAPAAATREALAMRPSTQLPPPTCSSRLPQLRSFVGLRWSAPRFQVRERPGVAVAVLNGTPGCSFRLGYCSEIHVGSVGHPFRTCRGMLSDQRRGGHDWGSTSVEAVFLPVEAYHLEDRLGKRIPHDLRFAVPRIPALVELCIQAGVDIPEYPTKRRRKPIIKIGRNEFVDANEDDLPEPEPDRFKRTLLEELHHDEIIAPSSPEDTVALAEETLEAWETVRDGALKLMKGYAVRVCGYCPEVHIGPTGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELKTFYGQAPAVVEICIQGGAKVPEKYKATMRLDIGIPSSLREAEMVI >Dexi8B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:8B:15824352:15826477:1 gene:Dexi8B01G0009140 transcript:Dexi8B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFYAMLAAAVVVPPAASYYSPLKPARQGVPRWGISWSCRAARVEAGYSQLELLAGLSEPTSGSICIQKYDNNGTPIGLSEMLTSQRVGIVFQFPERYFLADTVLEEVTFGWPRQKADLLFKEQLALNLQNAFNSVGLTTISLDEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVVSHDLS >Dexi7B01G0021640.1:cds pep primary_assembly:Fonio_CM05836:7B:26644474:26648488:-1 gene:Dexi7B01G0021640 transcript:Dexi7B01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLRIQSVDFPTAVAGDEVGTSSGGGAATSRPLSPPSTHPHPSTTSSSILPLELPGATSATPARSPRIQHTRGVIHLYHSSSSTSTSSSSYASAVAATSSSSSVPAASDSLLPPWRCMRLLVLAVPTRVSPDDFVRFCGPYLERASDIRFIRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEYYEGLLSETKRNKERLISEAVDKAVNDKLQELQLKLENLVVEKKKVADTNEKLTRSQDMWRQTLRDIEEREKAQLKSKDETIRDLEEQIKDFRFSIKVQKSIEKNDGIKGGTLVPLATVSDCGGKGKRSSRTSKRRN >Dexi1A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:1A:28108871:28111301:-1 gene:Dexi1A01G0021300 transcript:Dexi1A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPEPVPVNPAAAAVVFLVPFPAHGHVSPMLRLARALAARGVAATVAVPDFVHRRIVGAGVELASIESGVPDDGEGEPPGFASFAHSMEHRTPASLEAMLLKKKTTPRHGDVAACLVIADVLASWAVPVAARCGVPAVGFWPAMLATYRVVAAIPELIDKGLISDLELELSTAELPWLVGDAACQKSRFTFWLRTMERAKGFHAILVNTFPGEVIGDSAGKHLLHASLVLQILQVGPLPTKGAFGCDDTKGDLLLDDSPPAKNPSMWQTDETCMEWLDQQREGSVIYVSFGSWVASIGPDAINELALGLEATGRPFMWALKDEPSWREGLPRQYTSGSIAGRGKIVGWAPQEDVLQHKAVGCYLTHCGWNSTLEAIQHGMRLLCYPVAGDQFINCAYIVKMWQTGIRLWSTERSVVEDCVERIMDGEEGRRMQEKVVELRERVLLDETRCAAKRNLDSFVDGIMRDDLGQLSL >Dexi5A01G0024020.1:cds pep primary_assembly:Fonio_CM05836:5A:27902110:27907636:1 gene:Dexi5A01G0024020 transcript:Dexi5A01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPYASDLRAFLAAAAVAHLSSSSPAHARASPCAPLPAPPPPPRLRAFATSRASPSPSPTGAVVSAGAATAACEQGAAKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGADPAEMLRVPAIPLGALLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLIFNNFCTVPAKVLLQLTTAFRDGGLRNRTGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGEPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDKVSS >Dexi1B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:1B:4064237:4066033:1 gene:Dexi1B01G0004980 transcript:Dexi1B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTS >Dexi7B01G0019260.1:cds pep primary_assembly:Fonio_CM05836:7B:24828100:24830448:-1 gene:Dexi7B01G0019260 transcript:Dexi7B01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVGGLARSTRLRGGAVQLFLPRCGRGLSSGPPPPQDPEWTDTVEYLDESGALLSTAPGARPAVPGADATILSGASAHPLPRPAAAARLAALALRHRSGAPLSAALSALPSAPDPPLLLLAAASLPASDPVPLISLVAWARMQPWFVPSDDLSSLLAARLPPATHSSELLALFDDTLGLPDPAAFPKTLNAVVSALATHGLLEPAFYCFKRLRDAGFKGLETPAYNALLSLLLTRGLAFKAFEVLDEMASSGCALDEGTYELAVPALARAGRIDAARKMFDEMKQREGVGRAPAGVYSVMVDVLAKAGRLDAAMRMYREMVAVGHRVSTAVSTAMVEGLVRSGKLDAGMELWEEMRRGGLRPNFGLYTMVVEANARSGRLDIAAKLFADMEKAGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTRPGLSTFTALLTMLANKGMLDLAAKVLLEMKSSGFPIEVTASDLLMIYIKDGSTDLALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDESSERAIMDILSASKHKAHDFMCGLFTGPEQRKQPVLSFVREFFQGIDYDVEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFAKAIVFDQHIAWSLDVRSLSVGAALIATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPDVRSLSFPRSLGMSR >Dexi9A01G0048910.2:cds pep primary_assembly:Fonio_CM05836:9A:51671514:51672479:-1 gene:Dexi9A01G0048910 transcript:Dexi9A01G0048910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIADLIAAGDQLGCMLLTMAAMPLRCGVDIEVPDSMKKVRLTSSANSGCISLGAHAARMFMPGPVMSGFRMPGLALLGPRDEKKATDGAGDEPITVPRKTMVAVGFGVDLITWASAKVVYPSAALFIRIMPAPPYADTVCPCSTCSPMERLSQSTTLPRTSASTSVLQPRASGSPFRPGNTSGSWRPAVLFRGWNRDSPSNSWPLPSLTVVRMARSMVPAATVSIHGAPFLMVPATGPSLPAAALTKMPCSMALNLNWAPSVALMEKESTSTPSLTAASRPAMMSMMEQRLRAQTL >Dexi9A01G0048910.1:cds pep primary_assembly:Fonio_CM05836:9A:51670740:51671513:-1 gene:Dexi9A01G0048910 transcript:Dexi9A01G0048910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCACGAIPDAVPCAFPRTSAFWTKLPAAVLAVCVPCPASSTGGAVSLMAALPNARAPITLLLQPPRPPAMDRNWQVPFHFLGGGGRPASPKEGWLGRMPVSRRPITTPRPNPERLQKPSLPRWRPRKPGEWVVASGRNSSGYSRRHPSLLLRLSASASVSRAANPFSTWVYECMILGPPSPAESGVASGRNERCHSSTEPFLRSCAEETASSAAKDERKSKARWRLVLVLIRLEGRSGGGRH >Dexi9A01G0032220.1:cds pep primary_assembly:Fonio_CM05836:9A:37134270:37139820:-1 gene:Dexi9A01G0032220 transcript:Dexi9A01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPMSADVLSFLPSAAAPTPVVAAAWGAARAGSVRGKAALRLVRGGSGLAPVVGRRPRRPPLSVRCDATSRDGRITQQEFTEMAWQAIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTKLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSYVSVEHLVLGFAEDKRFGRQLFKDFQVTVKTLKSAIESIRGKQNVIDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVFVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASKDRLSRLEAELSLLKDKQRALTEQWEHEKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEDELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEGGSSDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFRKVGEETKQAAEGEKFLPAI >Dexi6B01G0017360.1:cds pep primary_assembly:Fonio_CM05836:6B:24583923:24585297:1 gene:Dexi6B01G0017360 transcript:Dexi6B01G0017360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYNPLPVGAQPSDPVERILFRAGILPPPPMLAMAHKGGPRDKHPIDPMTGKPLDIESLTIVDDPNGENGAPIEDMA >Dexi4A01G0024350.1:cds pep primary_assembly:Fonio_CM05836:4A:27150547:27152680:1 gene:Dexi4A01G0024350 transcript:Dexi4A01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRYLQSNKSPLEVATEVAEEADEYNGFNLILADLTTNIMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFGELLMKHGDEEVEVKNIVETLMTDTTKADKDRLPNTGCDPSWEYGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVTYQIE >Dexi9A01G0036220.1:cds pep primary_assembly:Fonio_CM05836:9A:40748362:40748734:-1 gene:Dexi9A01G0036220 transcript:Dexi9A01G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDAAVARVDGAPIAADGVGQGGGRDGDEAGSTPVVGAEEPQHRGRAVELPEPAAEAGVGDDAGPALADEGGADGELGLFRREAEENLVDEIVRQRRRRHAAPLGRADAGGRARRG >Dexi9A01G0025600.1:cds pep primary_assembly:Fonio_CM05836:9A:26220138:26223293:-1 gene:Dexi9A01G0025600 transcript:Dexi9A01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTADPSHAAGGGQGHLCFRPTALDHLSIGTRGPDGYPMSSSAAAHPVGRAAGSSGGSHWPPYHAYSLNQRECCGAYDSLDHRPPPFDGHPPDHRDRARGAGGLSASSTPPPPAHRRAGYGVDSPSVVPALYPHMMSSGASSGRPLRSRRESDISPAPSALIPSTEGEGYRGHQHHRARDESGHNPRFHSPLRGSKSVPPLPERHPSEDGRKGSKSLPSAKRCRLEDGRKGSPSGGHWYHDGQRCESRGRYGDGWHTQELAYPSRCDQGLHNLGSSKRDHSLRDCGERAQHQLSLRVKPPSPMPMEISPWDDGYESPTSWLGSDFDGSGISGRDPSFKNEDHVLKTKEDSAYTALDAVMKSWRNPNPNIRVDKEAHQKQADRFAELALKRYNKNKNNKVKYALIEAIVGAAILEGSELYGHVNFYAKAKNGPKKDEGKVLVFAELQQIGRCPNAMALTCFRLLDENNQLCGHRNQVRSCHMIQDQDKSHCYACSDRIKHPDGSCYKAGHFVNMLCYHNN >Dexi5B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:5B:5883662:5884383:-1 gene:Dexi5B01G0008680 transcript:Dexi5B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLHQRALLLFPFVFLLLLAPPRSDAWGKEGHIMVCKIAEKYLSEKAAAAVQALLPESAGGELSTMCPWADQVRWHYHWSSPLHYANTPQAEGSVVKNVV >Dexi9B01G0045640.1:cds pep primary_assembly:Fonio_CM05836:9B:45062016:45063226:-1 gene:Dexi9B01G0045640 transcript:Dexi9B01G0045640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASQLPPGYNIEIVRSSVTVDFIVTILRIALIKWVAARRAQKKLSHWDHMLGHREPDVRLGDEVKEAVLRSLKDSHGRLTNGWSSVRRTAKERDGDLLWACRLLTHTHTVLVWHIATTFCDVVEDDDPTSSDDDRLIATSLSGYCAYLLAFIPEMLPDHSYAAKQILDAVVLEARHHLGRAKDMPERCKEIGGRRRQTRLGSLLVAVDKARRWKLLAEFWAELVLFLSPSDNADVHAENLARGGQFMTHLWALLTHAGILERDDIPAPVV >Dexi2B01G0000770.1:cds pep primary_assembly:Fonio_CM05836:2B:362413:364578:1 gene:Dexi2B01G0000770 transcript:Dexi2B01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSQSTLCSTVVFLVLALHLRTASCASSSPHEQDRSALLELKNGLSSGSGDVLRQWAPESGVHHCSWPGVTCDARSRRVVALSPPSRPGWRLAGELSPAVGRLTELKALSFRAVGLGGEIPQEVWVLQRLEVLNLAGNSLRGRLPATFPEGLKILDLSGNRLSGRIPPGLGACTALQRLRLSSNSLDGSIPKQIGKLARLRVLELSGNRLTGGVPPELLHCRVLVKMDLSRNFLSGRLPSGFKELKNLKFLSLSGNNFTGEIPSDLGQLRSLKFLNLSSNSLSGAVPIDLVAIRNRTVLLLDKNMLSVSGEITAEAAPSMPSVISVPAVNVSSSVNLSRPPYELFTASPISSMRVLAETSPGTPSDGSGGLGTKEIAAIASASAIVMILIVALMLCICSRKWTPKPSRRSFRRREVKVFDNVDIGAPLTYETVVRATGNFNASNCIGNGGFGPTYRAEIAPGVLVAIKRLAIGKQHGDKEFHAEIRTLGQCRHPNLVTLLGYHISDSEMFLIYNYLPGGNLEKFIKERTKRPVSWRRLHKIALDVARALAYMHEECVPRILHRDVKPNNILLDNECNAYLSDFGLARLLRNSETHATTDVAGTFGYVAPEYAMTCRVSDKADVYSFGVVLLELISDKKALDPSFSSYGNGFNIVSWALRLIQRGRVREFFIEGLWDKAPHDDLVEFLNVAVQCTQESVASRPTMKHVARCLKDLRPPSY >DexiUA01G0021270.1:cds pep primary_assembly:Fonio_CM05836:UA:44120106:44124433:1 gene:DexiUA01G0021270 transcript:DexiUA01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYPFTDIAADGAPRLDTVAGEELVRVERAAALALGHRAPEPPGALFLTSRRVIWIGEGGKGYAVDFIAVSLHAVSRDLEAYPSPCIYTQIQTEGGFDEESDESDSEINGEIELSKVTEMRIIPLDPGQYFSVDGLFEAFSHCAELNPDPNSECDEENGWVHGDEDMMDGSDTEFVDVNPIGQGDDNNITHAVVELQINDQRFQDAEGADEESNRNGH >Dexi2A01G0023930.1:cds pep primary_assembly:Fonio_CM05836:2A:35614661:35617231:1 gene:Dexi2A01G0023930 transcript:Dexi2A01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKLLALKRKATVESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSTGRAVDALETLLKEGLAMIDDGHRDGKRRYWFPCVTISSDTIVGEAK >Dexi9A01G0038940.1:cds pep primary_assembly:Fonio_CM05836:9A:43057387:43059567:-1 gene:Dexi9A01G0038940 transcript:Dexi9A01G0038940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTQYGKLRGHDGCVNTVSFNPAGDLLVSGSDDTNIILWDWLAKTKKLVYPSGHHENVFHARVMPFTDDSTIVTVAADGQVRVGRLKEDGEVTTKLVGEHDSRVHKMAIEPGSPYIFYSCGEDGLVQHFDLRNDSATRLFTCYSFLNDRRRLKLNSIAIDPQNPYYFSIGGSDEYVRLYDMRRIQLDDSRNINQPVDTFCPKHLIKSGKVHITSIAYSYAREILVSYNDELVYLFQNNMGLGPNPVVAQPEFFDMLDQPQAYSGHRNYRTVKGVSFFGPNDEYVVSGSDCGNVFIWRKKGGELMRMMNGDKSVVNCIEPHPHFPFMATSGIDKTVKLWTPAAKKVLPLPKNAKEIIASNERGREVDASRAEVTLSSDVIMHVLRLHRRQSELHRENEPAAEDFASDDDEAFYIGFGNADRDQGENSDPRECIVT >DexiUA01G0020980.1:cds pep primary_assembly:Fonio_CM05836:UA:43229621:43230510:1 gene:DexiUA01G0020980 transcript:DexiUA01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIMHIVSSLFILTRSFEYQDKDQFTDESCCSDLSETTRPYLCTGFDIYLVWEPCTMCAMALVHHRFKRVFYAFPNPATGALGGVYRLHGEKSLNHHYNVFRISVPEAYLNGLTNCLIEC >Dexi8B01G0015060.1:cds pep primary_assembly:Fonio_CM05836:8B:25800749:25801662:-1 gene:Dexi8B01G0015060 transcript:Dexi8B01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVRSRAERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEEARRRWAEKGLVVTVSVCDVAIRADREKLMATVSELFGNKLDVLVNNAGQSLFKPAAECTDEDFSRVMATNLESCFHLCQLAHPLLQAGGNGGSIVHISSIAGFIGLPALAVYSMTKGAMNQLTRSLAAEWARDGIRVNCVAPGGVKTDISSDKTIDPELVKKEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQVICIDGGRTIT >Dexi4A01G0017190.1:cds pep primary_assembly:Fonio_CM05836:4A:20976167:20977321:-1 gene:Dexi4A01G0017190 transcript:Dexi4A01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGCREVGARGNLKPRAVAAGEMRPHPLGHWRRAVWRERWDKGSERTCMWPQGGHSLPGAREDGKGAGDSASTGVTALGRLTADGAHAGASRVRAPDGLGGSGTSASTPSRARGAWTLFSGSGAAEG >Dexi9A01G0023690.1:cds pep primary_assembly:Fonio_CM05836:9A:19110819:19112081:1 gene:Dexi9A01G0023690 transcript:Dexi9A01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRRLLSTAAAAARGPPPIRVDVTESAGRGVFATRPVPAGELLHSAQPLVCHPSPSLLNEVCYSCLRRKSGEGRVSSGGSYFCSDACRDHPKGFHDVEKNADWSLFDDHCSSRGLKYPYMAKRLACMLISGAANADCLNILQPARLHKGTLIEMEEEFELLESTFTKAGFQKEVTTCILEILFYFHL >Dexi7B01G0017430.1:cds pep primary_assembly:Fonio_CM05836:7B:23386953:23389024:-1 gene:Dexi7B01G0017430 transcript:Dexi7B01G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGKLFTWGDADRGKLGHADKKMKLVPTCVDSLADYDFVEVSCGTALTVVLSITGVVFTIGSSMHGRLGNPQADGKSVCVVEGLLKCEFVRHISSGSSHVAVLTTDGKVFTWGNGKEGQLGSCSSNKVAKAALAPDKSRRYRVTLLAEQYQQKNLQVQLYKQKLDETWLIVRDEAAKCKAAKDIIKVLTDQCKAMSEKLLVGYQSENPTITYDINQGQPLTADLQHCPREKLATGKFSQVNTTKNHQTSSQGDEKYAPPSNSDMLVDGPCNHQNGSQTFDVNGCITDVDAPVSLVMSNGVIEQIERGVYVTFDVSSSGEKDIRRVRFSRKHFGEKEAQQWWEENKSKVYANYGTEQMQNQLAVPMKTVQLKE >Dexi3B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:3B:7920696:7921331:-1 gene:Dexi3B01G0011270 transcript:Dexi3B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDADDQPVERHMARHHGIAAVGGKVYFEFTGNELGFVEFDTADPDEPKLGLIEVDMVDIPDSMPVWWSYLVESCGELFLAVVFFDGENVHKVVEVAVYKMDFSTPAWCKVDGIGDRVFLLGGDRIGLSNFGASCSASEHGLPSNCVYFLNHLAIIENFVHVIDLDKGTQEVRRPFGDFVDPLRPPFWMLPTEDVDGNTTLLLNTPRSLEA >Dexi2B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:2B:5001494:5002125:-1 gene:Dexi2B01G0005420 transcript:Dexi2B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVSVFPSRTHKLLTTRSWDFLGLPQTPTEELLLQGEVIIGMLDTGIWPDSPSFSDDGFGPPPSRWKGVCQNFTCNNKIIGARAYNQGRSSTSLSPLDDDGHGSHTASTAAGREVANANFGGLAVGTARGAVPGARLAIYKVCWEECADADILAAFDDAIADGVDVISISLGTFFPL >Dexi9B01G0028770.1:cds pep primary_assembly:Fonio_CM05836:9B:31331701:31334146:1 gene:Dexi9B01G0028770 transcript:Dexi9B01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGGRKLPFFTRSSSSSSSSKRNRSARRLPSLPKQDSTARALLASPTDASPSTAPATAAVGKAAQPPPPLSVSAGAAGAVSGKVGKKKAGARLWMRLDRWGTSEIVELDKASIIRRAGVPPRDLRILGPVFSHSSSILAREKAMVINLEFIRAIVTAEEVLLLDPLAHEVLPFVDQLRQHLPMKSLVGGNGECAPDGNGEKQHGQVPCLNEATGAEHELPFEFQVLEVALEIVCSSLDLSVADLERHATPVLDELTKNVSTRNLERVRSLKSHLTRLLARVQKVRDEIEHLLDDNEDMEHLYLTRKQVQNQQVEALMSSAASNSIVPVGASVPRLNASFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAANTYIVGAFAMNIPSSLYNFTDNSLFWPFVGGTSSGCFIIVILLLGYAWWKKLLGP >Dexi2A01G0027540.1:cds pep primary_assembly:Fonio_CM05836:2A:38925410:38926182:1 gene:Dexi2A01G0027540 transcript:Dexi2A01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPAATGVSTRDVVIGDPAAGVSARLFLNVGAVATGTRLPLVVYFHGGAFCTGSAFSEPFHSYAASLSALVVSVEYRLAPEHPIPAAYEDSWLVGAPVGNHPWLAYQEQEGYHVDPTRLFLAGDNAGANIAHDMAVAAARRRAAMHRREVTLSWCRRERAMGSTSTGRSAQGPWSSWIAEFINGRAPSSLITDGKTEARSIFNALMRGRVRTRSCAWAPLVMGQIRKSATAQQQG >Dexi3B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:3B:468171:469429:-1 gene:Dexi3B01G0000610 transcript:Dexi3B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPELLNRVFQYFAGSFSVAFRTPPVSPPQHDYLTGLRRVCSMEFKSHHMNSPEGLADPLLSSAAAPSNAELSILPQSTIYNWSCGHFSKPLLTGSDDNEEANATREEREQTALDCIAKCQRSSCKMTSQIASWDTRFESGTKATLLLPFSPIVVAADENEQIRVWNYEDALPVNSFQNHKLSDRGLSKLLLINELDESLLLAASSDGNVRVWKNFTQKGGQKLVTAFSSIQGHRAAGRSIVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSADSAISALVSSCCHVQFD >Dexi6B01G0010990.1:cds pep primary_assembly:Fonio_CM05836:6B:17865250:17866102:1 gene:Dexi6B01G0010990 transcript:Dexi6B01G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYLSWWPTSSWMSPGAALFLLCNVLIGAIVVTSRGEQQRGRAAAAASTRRLCRSASSMVLDPLRSFSMFSVHTLAVEEDYHHSPSLELQAEEEAAVEEPAIAMALPASVPVAAPSATSQSAMEVAGTGGDKPVIVSSEEAQTQCPAWQGHAHQEAPSSTAVVASAEAITTAAAERPATVAESSAARESVQEGSGGSSGGEGGAERAGGGVHPAVPRGPQAAAPQLHHELHPRAPPRRWHGTGRRGVARGSRDREGC >Dexi1B01G0005860.1:cds pep primary_assembly:Fonio_CM05836:1B:4780022:4781999:1 gene:Dexi1B01G0005860 transcript:Dexi1B01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGAAGGAGGGGSGRLQGGIPFEKSKGQHILRNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLTQDAEMGEEKMSADDVALLANMVEDLSMETGDEKEDDEMEMDDADMAGDGAASFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >Dexi5B01G0028410.1:cds pep primary_assembly:Fonio_CM05836:5B:29777649:29779737:-1 gene:Dexi5B01G0028410 transcript:Dexi5B01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPTPAASTATASQPPGPEPAPAPAAGLPDAIAAALPPDPYEQLEVARKITAVAVAARASRLELEAARLRQRLADRDRVAAGLADRAARLELALRDADARLRTALDDNAKLAKERDSLAQTTKKLARDLAKKLTITHITPRLTSDPAPKLRTAATSPRRYSTAVSPKLTSGATSPRLEGHMAMSPWLPSSKMSSAANSPPRGRSISGRTTRVDGKEFFRQETLRKADEIFGAENKDLFMSFQGLLSRSLS >Dexi3B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:3B:1514818:1516374:1 gene:Dexi3B01G0002150 transcript:Dexi3B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAMLLLLLLPILLYISYHLTRALIKKKPTTHGLKSHPLLGHLPSFLRNRHRFLDWSTELIVASPDHRMGFWIPMMRTGIVTANPADVEHILRANFANYPKGEQAISMLRDFLGDGLFNSDGEQWLWQRKNASLEFTKRSLRKFVVDVVQAEVAGRLLPLLRRNATAAGDVLDLQDVLERFAFDTICMVAFGHDPSCLADGGVVAETRSDFMHTFGEAQDLIVGRFLEPIEVSWKIKKWLNVGTERRLKKAIADVHAFAMDIVRTRRSQSASSLDDRVRDDVLSRFVASDEHGDEVLRDIVLSFLIAGRETTSSALTWFFWLVSSRPGVVSRIADEVRAVRESTGTNAGEPFGLDALRDMHYLHAALTESMRLYPPVPIDSQSCAADDTLPDGTHVGAGWQVTYSAYAMGRLKTIWGEDCAEYRPERWLDDDGTFRPESPFRYTVFHAGPRMCLGKEMAYMKSVVASVLEEFVVDVDKNVAGGGVPEHVLSVTLRMKGGLPVQVRRKDGACKCQ >Dexi9B01G0036150.1:cds pep primary_assembly:Fonio_CM05836:9B:37722220:37722759:1 gene:Dexi9B01G0036150 transcript:Dexi9B01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRMLQPSLAATTAAAPSSFFFFSPRQRVVAACPVRGRPAGVAAVRCAPSGAPAGDTKSKLKVGSPIVILEAPVMLKTAASVPSLRHNSGQVKAGDVGRIMARKPKDVWAVRLAVGTYLLDGKFFRPLDVVEDDEKSLDE >DexiUA01G0008880.1:cds pep primary_assembly:Fonio_CM05836:UA:16929013:16931889:1 gene:DexiUA01G0008880 transcript:DexiUA01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQKPAKRLGGMAEALAIAADLGFPAPPPQEDQSSTDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMETKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPSGSSDDSKFLTPLQWRDGSMLDTWKQVDEINSESDGLDGMNQRRLSWPSSIKRDP >Dexi8B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:8B:27286171:27286712:1 gene:Dexi8B01G0016210 transcript:Dexi8B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVKKFTQEVEDQRYNDFLAEVSIINRLRHKNIVPLVGWSYNKGVPLLVYEYMTNGSLDHHLFRHDRHDPRDARICQWETRYNIVRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSTFRARLGDFGIACAVAPNKSYVTGFAAPLAT >DexiUA01G0017890.1:cds pep primary_assembly:Fonio_CM05836:UA:38072532:38073712:-1 gene:DexiUA01G0017890 transcript:DexiUA01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILLPLGAMAILPIMVCTLHLRGLIPHLTIVHRGTKATSTTISGLTTRRRRNLHHRMGDISTTTTTMKRTVPLGSSKDVWLLSAAAACWRNAAAYSDFRYEY >Dexi7A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:7A:28424361:28424705:-1 gene:Dexi7A01G0019130 transcript:Dexi7A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLLDWYCQPVKDGAWSHVVENAFGAYTPCGTDSLVVCISYLALFGVCFYLQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQPGLAPFEVEG >Dexi7A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:7A:3493013:3495999:-1 gene:Dexi7A01G0001370 transcript:Dexi7A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPISVDGKVFHAAPRTHLASPPLISVTEVCNELTGGLPRDLPLGLEELILSLNNLVGTIPSTLGNITLLNKFICMFNGLDGGIPGELAALREMELLDIGGNRFSGGFPEAILNMSSLVRLDLDTNHFRGKMPSGIGSSLPNLRRFFIGGNFFQGNSTTSVAINGTIGYVAPECAVGGQDSTASDVYSFGVILLEIFIRRRPIDEMFKDGLSIAMYTEMNIPDKILQIIDPQLVQELGLSQEDLVTADENAAHCLLSVMSIGLYCTKSAPSDRISMQEVSAKLHAVKDSYLR >Dexi3B01G0022280.1:cds pep primary_assembly:Fonio_CM05836:3B:17139205:17140576:1 gene:Dexi3B01G0022280 transcript:Dexi3B01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKAIKINKTDPSTDAQPTQRSACCGS >Dexi8A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:8A:10696612:10697401:1 gene:Dexi8A01G0008730 transcript:Dexi8A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGDSPAGGLVCVTGGSGFIGSWLVCRLLDHGYSVHATVKNIQDERETKHLQALDGAASRLRLLEMDLLDPASVRAAVEGASGVFHLASPKELLEPAVKGTLNVLRAAMDSGIGRVVLMSSKAAMVPNPDWPEDKVIDEDS >Dexi6A01G0002200.1:cds pep primary_assembly:Fonio_CM05836:6A:2057782:2060877:1 gene:Dexi6A01G0002200 transcript:Dexi6A01G0002200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTPPRTTKRREQTPLWPRRRRRPVTPRGGGTSTVTTSSHPRTTLATPEPAQLPLLRRYRHRRRPPPPVTTTAAVTEAAQIPKRQLRAPKDTASAARYRAVRIIGALRGWPPGAAAGESEEVVAAVPNGRVYTGGPASDGWASDAAVWRVAGNDVWARRENAPMTGGAACNGTGTFVQCGGGLQPCRHDHPLPTPSRDSPTPLLECRRASRHRSSPPLPTPRLRLTLPGPTCHRPPPPAPARPPFTDLYLRGTGRGILAVMPPPHPHPSARAVAG >Dexi6B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:6B:1512129:1514215:1 gene:Dexi6B01G0001750 transcript:Dexi6B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNPNPVKCSRQCGNISVPFPFGLEEGCSARRLFQLNCSDPAHSILQYNDLLRVTYIDVGEGFVHIHFNSSFEKMEFDMLLKMMISFKEPDLFADPLESAYVPWAVANLTCQLAKQNASGYACVSANSICTSVVSSSQGYVGYRCKCSPGFEGNPYIEEGCIGNLSLPVIFSFVLRHNINECEQTPIICEGLCENTIGNFTCTKCPGHTVYAAITMQCVSSPKRNILLGEFHIGQFCTRKGALYYLHSAASISIFHRDVKSSNILLDGNYTAKVSDFGASRSVPIDQTHVVTNVQGTFGYLDPEYYQTGKLNEKSDVYSFGVVLLELLLRKPPVFTDESGMKQNLCNYFLSEIKTSPVTKIIAPEVLGEATEEEIGKVASLAEMCLKLKGEERPTMKQVDITLQLLRTERMNSSRSDPGNEQEIQPLLSRRAVASRRQPFSVELNGGDNVAPQGSYNCSSLEREFLSSASLPR >Dexi4B01G0021550.1:cds pep primary_assembly:Fonio_CM05836:4B:23520412:23521252:1 gene:Dexi4B01G0021550 transcript:Dexi4B01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAPSWSSLGSLFATAMVVRAAARDVLPPEAYRALRALLSHAVSTFATKPGDTIVVSSPTPMASPTSSTTDVVASLPHHHTTRDTFRDVAVVWTSGTSPAASAGTTTAGYTPSLFAGRRGGFGSPITVAVRCLRLEFPRHHRDVVRGDTTPMAAKRTAMAVDEDEHQMMWLSHPFTHPSTFDTLAMDLALRDAICSDMLCFVHRRALRARRTRVEAWVPAPWPTRHR >Dexi1A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:1A:14563049:14567456:1 gene:Dexi1A01G0012850 transcript:Dexi1A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAGGGGGWFAVVRKVFRPSASSSSSSSSSKDKEAVLQHGKQQQDGGAVEEEAAACRAEEPEVLLLEHFPASETSGEASNEGGDTDLAALGRNDDRRAAAASAAEDEEDMERARALAAAAEAAVAAAEAAARVVRLAALRRLSREERAAVRIQANYRGYLARRALRALRGLALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTELPLLHLPPPTPPAPASHPSLPESTRRDSHHQPCPDLAMVSNHHRDVSDDGAEVADMLLQQRSRSRGRLTTRGEDANGGGRSPSTGWDCSSRTLEDARAEGARRHDAAARRERALAYAYAYQQRQWQRQEDEKAGLGFHWLERWMAATQRPQDAPDHTKTTTYQQGPTARTASYVTAAGAFPGVMIPEKTVEMDTSFRSPLNQATHGRPPAIPGYMAATRSARAKARPTPLPATPTHGRSRSGGGLAGDTSSSGQSAAGQNGGAIAGYSPDSSCTGDWTPPRLGVSTRTSRVAYT >Dexi3B01G0033980.1:cds pep primary_assembly:Fonio_CM05836:3B:36768795:36771524:1 gene:Dexi3B01G0033980 transcript:Dexi3B01G0033980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALGVVLLVLAAGLTPFFPSTADVLCDNIKQMAPTLSNNTSSSPLHFSTTTFGQAPDVVYALALCRGDVDDSVCGGCMASTFNLLLNLKPPPPQQCYEAAYYYGDLCAVIYSVDDILNTTGDDSNNGDDEPFTRWNTYSWGGGANWSIDDITGDAQDVSLTVGLLHQLLVETAQAAAAASATPRRFATGMMGKPMVFYTLAQCTPDLSEASCWACLNRLLGMVNTTIALRKGGQIHVIRCYISGYMAPEYASEGLYSIKSDVFSFGVLVLEIICGRRNSGGHKCGYFFNLLGYVSCKHI >Dexi3A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:3A:633935:634565:-1 gene:Dexi3A01G0000900 transcript:Dexi3A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCCVAPEEEEDGHERAVKEVAEVYERIKIQQPLLLLHCSSSRHQHLAQTLLGEALRALNVALSVMTTTHHAASPAAPAISVVVKAEPHHHHSPPAGSAGDPPAQTATIRSGSNKKRRRSTMEALSSWAGLTTVPYDDGYEWRKYGEKKINGTSYTRSYFRCTYKDDTGCLATNRRIPAILPCSTSPTTTSTLAPTITLLLLLLP >Dexi9A01G0047750.1:cds pep primary_assembly:Fonio_CM05836:9A:50759099:50762565:1 gene:Dexi9A01G0047750 transcript:Dexi9A01G0047750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEKKAASAGAGSNKLAMESGGGTAAEEYAQDGSVDLRGNPVLRSERGGWRACSFIVVYELFERMAYYGIASNLIIYLTDKLHQGTVEASNNVTNWSGAVFLTPLLGAYVADAYLGRFWTFVVGSAIYFLGMVLLVLSVSLPALKPPPCQSKVCPKASALQLGVYFGGLYIVAFGNGGTKPNISTIGADQFDEFDHREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSIAIFLAGTKLYRHRVPQGSPFTSMGRVLAAALWKWNVPVPADAKELHELDLDVYARKRKFRMDSTNAMRFLNKAAVKDDGNGGSPARWSLCTVTQVEETKQIVKLVPLLVTMFVPCTLIAQTNTLFVKQGATMNRHMGPHFQIPPASLGAFVTLTMLIAVVVYDRVFVKAVRRYTKNPRGITILKRMGIGMFLQVVTMAIASATENRRLAYAQRHGLDKTGGELGLTIFVLLPQFVLMGLADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGIGNILSSFLLSTVTRITGERGNAWVTNNLNASNLDYYYAFLTVLGGINFMAFVALSVMYRYKAESSETIDIDMGLEVEKAKLQAEPLA >Dexi9A01G0024520.1:cds pep primary_assembly:Fonio_CM05836:9A:20910903:20911518:1 gene:Dexi9A01G0024520 transcript:Dexi9A01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEPQLLAQYNGSIDAGGYDPETGCITWLNDLAVLHNRELRRMLRDLRRAHPAASIVYADLYRAITELVVSPDRYGFISERPPVACCGDGMAASCGAAGMTVCGDPSEYVSWDGVHFTEAANRRIACAVLERARVVTDARRRRIGCD >Dexi6B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:6B:5109865:5111898:-1 gene:Dexi6B01G0005640 transcript:Dexi6B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRKLLPWRRPTQGAQTLADRLLRSSSSLPDSDDDPPFTRIPNRPPRAPSNPPPHKPKAPAGKIRPDEPAHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGTAAARIGEGSSGAERSREEVLGEPLSEKEVAELVERYRHSDCSRQINLARNGVYVNVVDKVREAFKTVEVVRLDCCHVGTSDCKKIGVKLRDLVPCIPILFKDEQIILWRGKIDQEHSVPVPLERED >Dexi1A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:1A:4698192:4702780:1 gene:Dexi1A01G0006280 transcript:Dexi1A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSSASVYLGVDVGTGSARAGVFDQKGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKSACSLANVAPEDVAGLGFTATCSLVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVNQAEQINASNSPVLQYCGGGVSPEMQAPKELGLLPGTPVGTPLIDAHAGGVGVMESIPDEELKTDSMVPEFWLTEGGQSATGALLDYIIENHVAAPLLSNRASSQSISIYELLNKMLLSMSHEQNSPFLSALTQDIHVLPDFHGNRSPMADPKSKGVIYGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSMYIQEHADIIGCPIILPRENEPVLLGAAVLGAVAGKKFPGVRDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRTAMAQALQ >Dexi9A01G0046230.1:cds pep primary_assembly:Fonio_CM05836:9A:49611949:49614636:-1 gene:Dexi9A01G0046230 transcript:Dexi9A01G0046230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLNPHRHPAAASPAPAPAPRLGHTPQPFLHLPPSRRRLAGCAARPRAVAAAVTGPVNEARGRGQPPRGAGDGEKVTDLATLGNLCVDVVLSVPQLPPAPRDEREAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLQAEGISVVGMLENTDATACRRAYETLLCWVLVDPFQKHGFCRLEPTVSSPFFWKILFDIFLLCLSGFMLADLFYFTGITCLVIYQCCFLCNVSRADFSEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDAGTAVFFDPGPRGKSLLNGTLDEQRALEHALRLSDVLLLTSDEAESLTNIKNSIEAGQELLKRGIRTKQVVIKMGSKGSIMITKNAVSCAPSFKIKVVDTVGCGDSFTAAIAFGFLHDLPAVNTLTLANAVGAATATGCGAGRNVAHLDKVLQLLREADLNEEETAWDELIEGSSRCPEVTILSRTSVNGFSEHLVHAPVCDVVVDILPMFEAMSERSTVQA >DexiUA01G0021060.1:cds pep primary_assembly:Fonio_CM05836:UA:43371837:43374024:-1 gene:DexiUA01G0021060 transcript:DexiUA01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELWRSVVMVRKIKSDALLAMAKVAVDRSSGQLEVFVGKVFVTDELLKHIGNRYNCRLSVASSEGHRPHICKGVSNEGFTYLVAKCPLLEDLNLVQCGNVRGRDVYEATGNACPHLKRFRLHKTPTPRRQRGLLVREALGVATMHGLRSLILMGSDVTNGEVATILDGCPHLELLHLRGCFNIVGRQPIAPPRRGPTHGAHGNGKGPPVPFRHDPGRTTDTTVRPSSVSGGPAVQGHERGSAVGARSHEGAVRPAALGLWLPPRRLRL >Dexi9A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:9A:2699871:2701670:1 gene:Dexi9A01G0004920 transcript:Dexi9A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPVLAAAAASLPLTSWRWRPLVAAHPRANPTPALRLRAPASRLPTSIPGNLCAGAAAVARTPCAAAGSGGVRAEATEREAHDWDALKRVALVALGCCAAAAVLGCGAALAAAEDSIKASGFGLRVAESLRGLGWPDDAVVFALATLPVIELRGAIPVGYWMRLHPVHLTVLSVLGNMVPVPIIILYLKKLATFLSKRSASATRLMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFVGVILASLLVNLLMNLGLKYAIITGVVLFFVSTVMWSVLRSLKKSAST >Dexi4B01G0015330.1:cds pep primary_assembly:Fonio_CM05836:4B:16826397:16827427:-1 gene:Dexi4B01G0015330 transcript:Dexi4B01G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNGGLGKNIDRMFKDAITAGKRVRCQINISSGAVSVNSAAVELALMKLPKTEALSARKMKEAAVKLAIKHLIAKGVQLRRFDPTIKKLRSYADRIQASELEKCLRKIGEDNLNKKMRRAIEG >Dexi3B01G0000600.1:cds pep primary_assembly:Fonio_CM05836:3B:414317:415201:1 gene:Dexi3B01G0000600 transcript:Dexi3B01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDPRPQLPPRRALLPHLLFPGDVPPHRPLRPPPRRTAPHAAPDAATFRIFTSALCRAQRPTAADDLLRCMPGLLLDPDPRHCRAVLASLCRFAPAPDALAFLDDMRRWGVPPSRSDHGAVLDALLREGMVAEAYELVAKQMDSYDGVAPGLPEFERVLRAFRESGSFDAVEEVFEEMLLRGLVPGARVYDVYVGALCDKGDLAGARRMLGCMDRAGCPPDVATFGVVVAGCVAAGDVDAAREVAREAELVAALRGGGHLAPARGLLLDILRCPDGCVGV >Dexi5B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:5B:21152666:21153844:-1 gene:Dexi5B01G0018770 transcript:Dexi5B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTLVFEELMRGADKINLVDEQAGPFLTLSLGPTKNAMGASRLSTGEADIDTKLPAHLAADEAIPTTAAGLALGLRCDSGEPPAIRATVSGTNKRQRAASDDHHQRGNSRSSGSKKAPAPAPAPAPARHHQERPPGRVTFRARCGAATVSDGCQWRKYGQKVAKGNPCPRAYYRCTGAPDCPVRKKVQRCAQDASVLVTTYDGAHSHPLTPYAAAMASAMLASAAAASSSSSSSATARDATGGIATLARVQRDEAPLLVQPVAVRPPQQRYPSSGAMAAVYGGAPPAVASVQNVGGQMANIMEKAVADPKFRAAVMAAVASYVGEQCGGTNYQISTDKRGRD >Dexi9B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:9B:4082957:4088137:-1 gene:Dexi9B01G0006820 transcript:Dexi9B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGDLGRGGSSASKASFLQLPLPASSAQRFPPPYGHHHSSRIALQQLLTEPSAVQHSRRQDGTAVQGEISPLDAENIKAKIMSHPQYSALVAAYLDCQKVGAPPDVSDRLSAMAAKLDAEPGPSQQRHEPTRADLELDQFMEAYCNMLMKYQEELARPIQEATEFFKSMERQLDSITDGSCEGAGSSEEDEQDASCPEEIDPCAEDKELKHQLLRKYGGSLGGLRQEFSKRKKKGKLPKEARQKLLHWWELHYKWPYPSEPEKIALAETTGLDPKQINNWFINQRKRHWKPTSEDTFATMMEAGGYPHAPQGTAALYMVDSRPPSFMADGGMYRLGS >Dexi4A01G0024100.1:cds pep primary_assembly:Fonio_CM05836:4A:26947952:26949699:1 gene:Dexi4A01G0024100 transcript:Dexi4A01G0024100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYALYTELWRACTGPLVTVPRPGDLVFYFPQGHIEQVEASMNQISGKQTRLFNLPSKLLCRVLNVELKVETDTDDVYAQIVLMPETIEENEVVAGSCTAMTRPTAEAVKSFCKTLTSTDISSGGLCVPRRHANECLPPLDMSQSPPTQELVAKDLHGTEWHFRHIFRGQPKRHILGGNWSVFVSSKRLVAGDVLIFLSTSPTEFIVPYDQYMESVKSNGYLGMRFSMKFEGEKAPAQR >Dexi9B01G0005430.1:cds pep primary_assembly:Fonio_CM05836:9B:3194073:3197105:1 gene:Dexi9B01G0005430 transcript:Dexi9B01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSHLLLIFGSVFLALLPETTQLQPSQVWTLIKIQQLLNNPPMLSHWRRSTDFCGGAGGFMGPNGSAAVLCYGDTVTQLHIAGAPGSPPLPTNFSIGALVTTLSRLPDLKVLTLSSIGLWGPLPGKLSRLAALEIVNVSGNYLYGDIPRGMSRLVGLQTLVLDGNMLGGELPAWIGTALPSLAVLSLRNNTFHGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRMTNLQAIDVAGNSLGPAFPKLGRKVVTVVLSRNRFAGGLPEALSSFYLLERLDVSWNRFVGPFTPALLSLPSVRYISIAGNRFTGTLFDKTPCGENLQFVDLSLNLLMGSVPTCLRSPERKPATVVLVSTNCLDSSDGSQRPSPFCQNQALAVGIVPGKERKNIGRQAGVVAGIVMAILVAVSAVGFIVVFSVRKAATKGSKARTLAPSEEESSSTGYPSKMLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSHGEMYRGRLGNGTPVTIRTLKIKRSQTTQSFNRHIETISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGIAKGIQFLHGGIIPPLVGNDLRITNILLDQNHVAKIGSYNIPILAEAMKSDGGAGNKFQSDSPMYGDKTDIFDFGVILLEVVSGKTITSMYEVDILKELLAWAIADEDRVRRRSFADPAVSKGCSDESLRTVLEICQRCLAKEALQRPSVEDVLWNLQFAAQVQDDWEVEAWSSGGGSPVSSSSRASRVARPSPLNLSR >DexiUA01G0019710.1:cds pep primary_assembly:Fonio_CM05836:UA:40931200:40932678:1 gene:DexiUA01G0019710 transcript:DexiUA01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLRFLKAMALILTPVALAVALYNSDDFSPAPMPSEYSYGPDVSAPRHDARALERTERVGEGRLPGPEDLAYDRAGGWLYTGCSDGWVRRVTVPGGDVEDWAQTGGRPLGLVLAADGALIVANADIGLQRVTPEREVELLTDAAEGVEFKLTDGVDVAADGIIYFTDASYKYKLGNHMTDVLEMRPHGRLMSFDPATGRTAVLVRDLYFANGVTVSPDQSSLIYCETPMRWCSRYHITGDKKDTVEKFIDNLPGVPDNIRYDGEGLYWIALAGGRTTRWDLLMKYPFMRKLVYLVEKFVALPHGSKNSGAISVTLDGEPVSMYTDPGLSLTTGWLKVGEHLYYGSLKKTYLGRIDLSSKSSTEFE >Dexi4B01G0021630.1:cds pep primary_assembly:Fonio_CM05836:4B:23583385:23584098:1 gene:Dexi4B01G0021630 transcript:Dexi4B01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAVGPGAPVASYGQMCGGGGGGARKRKDVVQDQEAEVNAGGVARRHPGAGLFVLETVEEAVDEERSSIGAASEDEVEDGDEADSGGAMPPSARKGGGALASMDALDDALPVKRGLSNFFSGKSRSFANLQDAATAVSSARDLAKPENPFNKRRRVLRCCSIRRVASTSLTALPLFLPPTTAPDDGAAGGGSSSSGG >Dexi2B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:2B:25270852:25271680:1 gene:Dexi2B01G0015240 transcript:Dexi2B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHQAVHAPDALIRCRYIGFVKTVEKAMASIGSLRGIETSVGDVDSLECHSGREGGSNTENGSGPEKQMIEEKIWGLEKVRDVLKIKNLENKLQQRMSSNSQGK >Dexi1B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:1B:4699425:4702949:-1 gene:Dexi1B01G0005760 transcript:Dexi1B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKERHVRSCIEDIDSAWDCSAWVRTYALFLEERLECFRVLNYDIETERLMRSPQRSSKGHSKTRSLPCPDLLEQLPALQQLLFRVVGVQPEGSACSNYLIQYALALVVKESFKIYCAINDGIINLVDMFFDMPKYDAIKALTVYKRAGLQAENLAEFYDFCKYLELARTFQFPTLRQPPPSFLVTMEEYIREAPRPSIKSVESEEPKLLTYDQEAPAEPEKPAEEEKGEPEQEPEPEPEPEPQPQQTTGDLLNLDAEVNPLISELEESNALALAIIAPGDQNKTPASQYQFDCNSSGWELALVTAPSTHTSQAVETNFAGGFNKLLLDSLYEDETRRQQIASATYAGSLGAANPFNANANDPFAMSSSFAPPPNVQLALMTQQQHQYYQAQQQQQYFQPHQHQYFQMQQQMVAMPTANIYHHQYQYQYAAPPSGAPNPFGNPFGDLVAVAAPGKKGNSSFL >Dexi1A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:1A:18786514:18786937:1 gene:Dexi1A01G0013560 transcript:Dexi1A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGTKKKQHLNVIHTEAQYADEIDQQHDNEIDEAPGI >Dexi9A01G0038920.1:cds pep primary_assembly:Fonio_CM05836:9A:43034484:43038216:-1 gene:Dexi9A01G0038920 transcript:Dexi9A01G0038920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDHVGAGGRGKKQGGSQLWKKALLHSCLCFVMGFFTGFAPSSVSDWTSAAVSAGGVGSSHVVRALSAASGAVNRTLLAHGAVVDVDVSASSSPRPLLVVVTTTESTPAASGERAAALTRMAHTLRQAAPPVLWVVVEAAPDVPATARLLRTTGVMYRHLTYKDNFTAADVAAGKERHHQRNVALGHVEHHRLAGVILFAGLGDIFDLRFFDQLRQISAFGAWPVATMARDERKVVVRGPACSSSTVTGWFSQDYSSNGTVPAATSTTARPPEVDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMKFVQQVVLEDFSKVKGIPSDCLEIMVWHYDSTVPSSSS >Dexi7A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:7A:8328128:8328703:1 gene:Dexi7A01G0002140 transcript:Dexi7A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLFFFLAVIPLAFAALSPHASVAAVAARNNGSFTAGQPKVVTYQQQFLQAHNEAHTAAGVPPLVWNSTLQLDSLRYANELRQRCSTTPLYAWGTDGVYGRNLFKGSRMDIPGTPTEVVASWRWYHRRSNTCAAPDCRTCGGYTHVVWRATTQVGCARRRCRSSFDDTVAVCEYYPPGNIEGQRPY >Dexi8A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:8A:28996025:28997207:1 gene:Dexi8A01G0017260 transcript:Dexi8A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTDAITRRATSIIPATKGPKIERAALAARPNSAPRLIQHKKEALWFYRLISIAYDELLSPPHYTEDMREAALEPVDLHSRHLKVIDVGGGTGFTTLGIVEHVDPENVTILDQSPDQLKKARQKEALKGVTIMEGDAEDLPIEYWPDPQRGIKEAYRVLKTHGVACVIGPVHPTFWLSRFFADMWMLFPKEEEYKDWFESAGFEDVKLKRIGPKWYRGVRRHGLIIGCSVTGVKRGHGDSPLQLGPKAEDVSKAVNPITFLLRFLLGTLSAAYYVIVPIYMWIKDKIVTRSMSI >Dexi2A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:2A:32509424:32511983:-1 gene:Dexi2A01G0020380 transcript:Dexi2A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFGFQEMTVLPQREREPSDREPVSMNNKEQEKGSAAGEPISGHHNHPQEITDFPQKEKALASGGTVSGHHKNPLEETTSTAELEKGPSAGEPASEHHLVAVDNSDDRRTHNGTCDYAASLSVDTESKLSTCNSKDVHEKDSSKDLDLSNTGYLEFNMSDENYGVPKEVSPTEDDPVEGKNMNGLMEHSDITPEEQGSQPYDLLHGKFGSSEGKDFHNQLESNTLSATRDEPDLNSVGVVVDDGNITCNVENPTSFKSEKPPEDKIMDCEMASLKGDEIKSGVTIRDVNLNSCSDTISSPVSGGTYETSNTPDDAIRSSIITQCFGTNSNDENAGKDGNFATQNSASKSENFVSQKNDMVYQSNLTMGPIPPAQINVDCFASCSMTPEIKNYGDRGENDAKEAYVNSQNIPSNESGYDVEAYNSDIFNSTITESSLAQLNNAISMKNDFASCYSLSDLNTLTGGTGTDEIDIHGMRNSFVSSTSRTDQNENCTLDFDIKGSMLEALEKSDSDLENQYNGAGPSCDSLPTAAGTSGNIDDFLSMQSNFGSFTSLVRAVEDVPLSRILQDQCDLQLGFGAQKQPMYPSFEQHLRMASAGAPPYGNMGRHDAVPVPEPTLMLGYAPPLGSCPPPFQLGWGPSYPKMVGVLQSVCVWCNSQFQHFGTIAEQQQADSLGYICPSCKAKFSGHLGINGPSI >Dexi4A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:4A:18303393:18306435:1 gene:Dexi4A01G0015390 transcript:Dexi4A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPAAPQQILPSSVTAGGGDPAELVLDGGFVVPDANAFGNTFRDYDAESERQQTVEEFYRVNHVSQTHEFVSRMRDTFPVGCAYDECNVHFKYFKENPDYHNPKFNTKFGVYSEGCGLDKVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHYDLYSKSNVRIDVEKVKPYYMSLIKKYFPEKLRW >Dexi2A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:2A:32113315:32115353:1 gene:Dexi2A01G0020060 transcript:Dexi2A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPSSSSPAAARRWSPEVRRVRKRALEEVLEQVQRAVEMLRDADADLGVSLSESEDAAAAAPEGEDREGGVGDGADDAATSSVASDSDYETAQMCDLLKSKVESLDFLKKLNGVQKSVYQDGAGMSLLATTVFKKGSPLVFGTVEPDSSWDIIKAVDLWEDGDPDDGYVLVKQEDVVDGITSYMAAYLLSLKKTKDLSPDQLQRALRKTFSAEKKKSRIRKAWDGTKVIYNVASWGATAVGVYNNRALLTVTSTAFRTSCRVVSKFL >Dexi9A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:9A:12133515:12134262:-1 gene:Dexi9A01G0017090 transcript:Dexi9A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEKLFAVATEAAKDAGEIIRKGFYLRKNVEHKGQVDLVTETDKACEDLIFNYLRKHFPDHKFIGEETSAALGATADLTDEPTWIVDPLDGTTNFLH >Dexi2B01G0029480.1:cds pep primary_assembly:Fonio_CM05836:2B:37860267:37860683:-1 gene:Dexi2B01G0029480 transcript:Dexi2B01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYADVIYQLHTYLQGFSLPYTFIDTMTLCPGWLFLMIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSMAFIQIFTVLECVRCALCGMYPDVPFISHAAFIHSDLNLFR >Dexi5B01G0015710.1:cds pep primary_assembly:Fonio_CM05836:5B:13087559:13087762:-1 gene:Dexi5B01G0015710 transcript:Dexi5B01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERGPEAKLPHAASFAADVPSPFRAAAADSAPPPHRDGDLVKGEREVASTADPAPPPQLPAQGER >Dexi1B01G0003940.1:cds pep primary_assembly:Fonio_CM05836:1B:3135089:3135875:-1 gene:Dexi1B01G0003940 transcript:Dexi1B01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLNIADGGGDPWLRTKNGHVGRQVWEFDAAAEPDPAVDAARRAFVERRHDLKHSADLLMRIQML >Dexi1A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:1A:15432504:15434445:1 gene:Dexi1A01G0013170 transcript:Dexi1A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANHRISTNIQRRIYGHATNVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARKKEIEAIRQKEDQLAEEILTMKQKLSDLERIANSRGISGLFRSSSVPDQMKPT >Dexi1B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:1B:2514884:2515767:-1 gene:Dexi1B01G0003090 transcript:Dexi1B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGLPSDILVEVTASIATRSATPLSDIVNLRRSVFRDATAARKVGRCMAVNREWRLHWWDKSRFLSVLRRCAASGNPEASYILGLEEFCNRRRKATGLWHLCRAMEHGHAAAAYMIGMITMHDSLCSPAVAEQALERLDWLSPSASAGPQTRRRMASVRREAVSVMRRLTLRRWRMVEPPMPCADPWCGKVEMATAEAWDEDGEEERWFCSRTCRWRHEYCKFIAKI >Dexi9A01G0018600.1:cds pep primary_assembly:Fonio_CM05836:9A:13653275:13661237:1 gene:Dexi9A01G0018600 transcript:Dexi9A01G0018600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCGTCGKVIDQDVYTDEPNFVKDATGQSRLAGSILRSIDSGYSMSRQRTLDKGKDEIRQIVNNLHVGGGDSIIDKAHRFYGIAVDRSFTKGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVYVLGAVFLQLCQVLLLADHPVVQKLIDPSLFIHRFTDSLLHKRDNAVTDTALRIVASMKRDWMQAGIPSYVSLISRLHKLITLPSLADREEAEWIMWCSTIHCYTFSWVQFYQGRYCIWLNVVLKASVLFYIYQVSVVHVCEATLTKRLIEFENTDSGSVTIAEFLATADEYNQEPVPKRSLKSGELLCKHKGKEGFEHFAHGLCEKCYNKFTKLSGGLEGGADPPAFQRAEKQRLEAAKRAEEADAVKEAMLEESLCDTLNSDVENTVTPAKGISRYKSSTVGSGKLTNDSVLSKDAEEGGENCEGDADPESLSDIDDVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRKRNEDTKSSTPAETPAEATYNMLKRKGLGSKVSEGAVGDLYKTKDESEDGSTNRKEMDFDAQYGQGNADGETFDHGYDYYDGAGAGSGIDDFDFF >Dexi2A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:2A:15616336:15616749:-1 gene:Dexi2A01G0013050 transcript:Dexi2A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALAWAVTRVLCGGLTPAASATAIPGLSLRIHRQLCGLPTADEPSSVGEAHAWEEAEAEILRDVKPVVELVKDILHSGSSLE >Dexi1A01G0024690.1:cds pep primary_assembly:Fonio_CM05836:1A:31158059:31158361:1 gene:Dexi1A01G0024690 transcript:Dexi1A01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLRLRSPGRAASAVAGAVAAVASLTNVAYADGASLFRHQSEPSNPGDADNFGATAFGRDPETLERMARALREINNSPLAKQARCSRFCPTQTGGCPY >Dexi9B01G0028710.1:cds pep primary_assembly:Fonio_CM05836:9B:31317632:31318168:-1 gene:Dexi9B01G0028710 transcript:Dexi9B01G0028710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLAGCATTGGDGGAAAAATSTPPFCTIVAADTSDFSYLSCPRCELALPNGAASCFACAGSGGVQPAPARVYRLLLSLATHDRVFPVVLFDRAARTLMGCPADELARLFAAHPDSARAAAAEALCGEMCRVVLRAPTRNNKRSAGAADERQRVVSVVPLRDGFRPVVDALRMLYPRG >Dexi3A01G0034080.1:cds pep primary_assembly:Fonio_CM05836:3A:39392122:39392733:1 gene:Dexi3A01G0034080 transcript:Dexi3A01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDASFTAKLADFGLARLVDDGRRSHTTCVAGTMGYMDPECMLTGRATMESDVYSFGVLLLEIASGRRPAVRIVGDDDYFVHLVQWVWDSYGGGSILDAADVRLDGGEFDGREMACAMLVGLWCAHPDRTLRPTIRQAVNVLRFEAPPPSLPAKMPVATYGPPAGRRSSTTSSEESATVSCRGYGTGHYSSNKATELCRPNPL >Dexi2A01G0022070.1:cds pep primary_assembly:Fonio_CM05836:2A:33978803:33981545:1 gene:Dexi2A01G0022070 transcript:Dexi2A01G0022070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGVLRYFVSKLMRSPAASPSPDPKLVREGQVVIRARNLRTNAQFIPTKAFKARKVYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGFNPAMSLGAEKDSLDIIQHDWALPKMERHAEDVLKKLLKK >Dexi9B01G0009570.1:cds pep primary_assembly:Fonio_CM05836:9B:5882206:5884377:1 gene:Dexi9B01G0009570 transcript:Dexi9B01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASSLASHLKSLPTAWQDMTLMLDQKRWKKILEKKQQRQA >Dexi5B01G0009200.1:cds pep primary_assembly:Fonio_CM05836:5B:6259317:6260255:-1 gene:Dexi5B01G0009200 transcript:Dexi5B01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVPEVEATGGASSEPVAAAAAAASMEVTAKMDMAKLHCPRCDRPFKPPIFEFLCDGSHLACSDCHGELPKDKCYACGQEGAYRRNTTLEDNVGSHKTLCPYSIYGCQIVVPYHESSSHRLECPYAPCGCPEQGCAFAGSPSMVHDHLRDSHGWPVDKIRYGETLDLRLPESQRRRLLVDEEDGRVFLVVAVGAVVGECHEVSLACLRANAAAGPQYSCRMWAMGNAVGPAGAQSVMMKMMEVPSLAASGEYAAAPLVVHRKLLNGASAEIHLIVRVDEVLP >Dexi8A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:8A:21367350:21370338:1 gene:Dexi8A01G0012290 transcript:Dexi8A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGMTKKAAASGGQDRISELPDALLHHVLSLLPVDEAVQTSVLARRWLHLWKGMPVLRLVGPKKRFPTAEGFDRFVNRLISARGDSPLVSYQSVVLNSLSNAVNLELKDQPKVFIYKRDLECCPIFGRLKTLLLDMWCRAVDLHALVRILQHTPILEKLTLQLLSDERFLNAGRGERKHARIEQSFACPHLKEVSFECEEKLRVKDKVRQIVKILNRSGILTEQISFKKLPRPEGCYRLVAVSPRAFDDNWCD >Dexi8A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:8A:28689287:28692327:-1 gene:Dexi8A01G0017010 transcript:Dexi8A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDGKPSSAGAVTVAGVDALSQDLLAHALAGVDDPRDRKSCRLASRAFARAEAASRRSARPLRREALPRVLRAFPSLTSLDLSACAGLDDASLAAALSSTTGGTAELGLGVRRVSLARASGVGWRGLEAMVAVCPRLEAVDLSHCVAAGDREMAALASAAGLRELVMDKCLGVTDVGLAKVAVGCPGLERLSVKWCREISDIGIELLAKKCPNLRSVDISYLKVSNESLRSLSTLEKLEDIALVGCMFIDDDGLRMLCAGNSLQSIDVSRCHHVTSQGIASLIDSQRFIQKINAGDSLHEIESCFLSKLSTIGETLTVLRLDGLEIFASNLQAIGDSCKNLAEIGLSKCNGVTDDGIASLVVNCGYLRTIDVTCCHLLTNDALAAIAKNCRMVECLRLESCPFINEKGLERIATHCSHLKEIDLTDCRINDEAMQHLASCSELETLKLGLCSSISDKGLVYISSNCGKLVELDLYRCSAITDEGLAAVAQGCKKIRRLNLCYCTQITDAGFKHLSTLEELTNLEMRCLVRITGIGITSVAIGCASLIELDLKRCYSVDDAGLWALSRYSQNLRQLTISYCQVTGLGLCHLLGSLRSLQDLKMVHLSWVSIEGFEMSLRAACGRLKKLKLLGRLRSVLSPELLQTLQACGCRVRWVDKPLVYKG >Dexi9A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:9A:808987:809764:1 gene:Dexi9A01G0001520 transcript:Dexi9A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRIREQGEVKMSGRRPATWEQTADDYDYLFKVVLIGDSGVGKSQLLSRFTRNTFSLDSKSTIGVEFVTRTIQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKAATFENARRWLKELRDHADDAHTVVMLVGNKTDLAHLRAVARADAAAFAEGEGLAFVETSALDATNVDTAFQTALAEMYRAVSRKALLASSDQAAATAVGEGQAIQVSAGDSGGLSTRSCCAF >Dexi9A01G0042020.1:cds pep primary_assembly:Fonio_CM05836:9A:45583663:45585223:-1 gene:Dexi9A01G0042020 transcript:Dexi9A01G0042020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSLSLGFSSPLHPSPRVRSQPQLQSQPPNPKPLRLGLSLTLTPATAAPRLAAVPDGVAIADVVEKDWSFLESAGGGLQRALAAGALSPSSRVLAVTPTAAFVGALLASTPCDLLVAAHESLYVLAGVKEEHDEVRCFHLEGGGGGRGGGVVEAVPERFNDFDVVFVCYFPGMGISAAALLKSLAKRCSKGAKIVMFLEDRQNFEQHRREHPDVVTSDLPNKSSLEKASSGNKYEIIDFVDEPTLYLAVLQFQG >Dexi3B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:3B:1348475:1351970:-1 gene:Dexi3B01G0001920 transcript:Dexi3B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNIKKARKAKSNKTKKVEASSSSNPVVASGPAKVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWSCLSFDVVRDQLGLVRSEFPHTFYGVAGTQAEKASWNYIGVFKLSNISGKKREPIPASAVDGDTDMDNDSSSDEEDEEINEDTKPILHMKKVAHAGCVNRIRSMTQKPHICATWGDTGHVQVWDLSSFLNSLAESGSATPAPKEDDIIHKHLPVKVFSGHQKEGYAIDWSDCNKCIHLWEPTPNSWNVDANPFVGHSASVEDLQWSPMEADVFASCSVDGTIAIWDIRKGKKPCISINAHKDDVNVISWNRFASNMIASGSDDGSFSVHDLRSIEDPLAANFKYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLEKDAEEEAEFREKMKEQANAPEDLPPQLLFIHQGQRDLKELHWHPQIPSMIISTAVDGFNVLMPSNIGTTIPGNTDAAMASAEP >Dexi3B01G0007770.1:cds pep primary_assembly:Fonio_CM05836:3B:5482508:5483759:-1 gene:Dexi3B01G0007770 transcript:Dexi3B01G0007770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASVLCCADDAALCARCDREVHAANRLAGKHQRLPLLAPCGDQSAAATAAAAVSPPKCDICQECDAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQELEDPAPDRSEPSPPPPAKSDDPAPPLFGESNFSWESGVTGTLADWSAMSDQFGSPARRHAQAAASRATPKRSPRAPSAFNAGQGRVAGGVMDWPLGEFFRGVSDFNGGGFSFGESGTSKQADSGKLGGSAGSSPYYRSSSEDRDGANNELFGQVPEMQWSVPELPSPPTASGLHWQHGGTDSTAFVPDICSPDSAARCFPAAAAKRQRNR >Dexi6B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:6B:8091157:8091633:1 gene:Dexi6B01G0006990 transcript:Dexi6B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGPVSTTASTCTAETARGRHTFKIAGYSLHKGLAAGKFIRSATFYVGGHGWSIRFYPNGHTSKDDANKNFICVYLELMAEKNTTKVRAAYDLSLVDQLTGESKVQFNPVTPRAFTGEIPAWGARTFIRRTYERTPS >Dexi3A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:3A:2947175:2951877:-1 gene:Dexi3A01G0004490 transcript:Dexi3A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRGSGLGLGLVLTLASLLALAAASESDHKYKSSHPFIASLMQYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPIHKWGGLGEVLGGNELIDSQLDIKFLKNKDKGSICTLELDAKKVQQFSDAIENSYWFEFFIGFVGETDKNSENKHYLYTHKNILVKYNENRIIHVNLTQESPKLLEAGKKLDMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYVGSTLFVRRIYRNIKCD >Dexi4A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:4A:2722664:2725210:-1 gene:Dexi4A01G0003840 transcript:Dexi4A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVDMSALETNFSIPCLDDGDGMHFSADSPDVPSMVLPTCADFDGFQAATKDMVKNKRGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGNKVRFPLHLYYCFLHYTFASGANIGSDSQCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGFDEKGPGLYYVDSEGARLVGNRFSVGSGSLYAYGILDEGYRFNMSVEEAAELARRAIYGATFRDAASGGCVSVYHVGPDGWKKLSGDDVGELHYHYYPVQKTSVEQEMTDAPSTSA >Dexi4B01G0014200.1:cds pep primary_assembly:Fonio_CM05836:4B:14914190:14919002:1 gene:Dexi4B01G0014200 transcript:Dexi4B01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPAPAQQRKAAASGRSALKLLLFVTLAVLALRLLAGPAAYLLPPIAAPEGGARLVAAPGRERTAAGGGGGTPPPETDDSIYPVTSSVPLPAPDKKEGSLGAKIFEDDDGVSTADPQLQLDVLETNWTSQWESFDYVVISTGQWFFKTAVYWENGAEIGCHSCQNKNLKEMSPEYSFRKALSAAFQFVISSPHKPIVFYRTWTPSHFENGEWFSGGTCNRTSPFKPGEAGDRESDNKMWRIEREEFDKAVMNKGPNEGSDRLKLLDTFELSLLRPDGHSGPYRTYHPYDKGMTAKVLTPHLRTVGACFNLNHVISTTNRHIWDDSKVQQRVRKCQDHRSTAINSGGQAADIAEMFRN >Dexi9B01G0025070.1:cds pep primary_assembly:Fonio_CM05836:9B:24666272:24672349:-1 gene:Dexi9B01G0025070 transcript:Dexi9B01G0025070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCEQRRKSARDAKPEARRPQHSRVQEGLSVDRIGAASGAAARLSAGDCLLPCRARSQGFAPILRIAPAPALQSIQRLDEKVPKYVQISPERYSHLTESEEQVKILNAKIKVMNEKVFAAQSEMNTKDALVKQHAKVAEEAVSGWEQAEAEASTLKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGELKLHDVVLAKATHWEKIRNELETKLVEFEQDLIRAAAENDALSRSLEEKANLLLKVNEEKAKAEAQIELLKSTIQSGDKEINSLKYELHVVAKELDIRNEEKNMSVRSADVATKQHAEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEIDSWGRDPGDNKMRRSPSRSSMLHYPMSPSPDCAHESMQRMQKENELLTARLLSMDEETKMLKDALSKCNQELQASKNMCAKTSSKLRSMELNMSSSNLYKSSTNSCVDASASSQKGSNPPSLTSMSEDGVDDARSCEESWANTLVSELSYNKKYKGGKHNLTENSNQMSLMDDFLEMERLACLSSEAQECEGIVEKKVSKVGATLSYVKESDNNCLTALQSPGSQFSSDHLIANSPLSKLHLRISALLTSQSPQNDVVKVLDGIRNILGDIQHEVESVNINKLEHDDMFEVTGNGPSTEGTKSLGVMDHRLEDAILKIHEFVKLLIGQASELQGSSYYNVLSQKIGQFSTLVDEVVSDGNGLKQIVIALSEILVESGEFKVILLRKTANEVESNNVDCVDKVTLLENKGHHEPSQDSVSGACSLMLHSSSDPDFQGQSPSDAFDVKTTVQMCALEEYEKLRLEKRNLEMEVTKCNDMMESANLKFCGMEKNIEDLTSKLAACEKSNSLAETQLKCMAESYKTLESQKVKLEEEIRVLQAMIDTLSADLAEERRSHQDDMTKYRDLEEKMERYEKSSLFVTEEPDVKLKK >Dexi3B01G0021310.1:cds pep primary_assembly:Fonio_CM05836:3B:16184975:16188143:1 gene:Dexi3B01G0021310 transcript:Dexi3B01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSLPPPDWHTGGPIPTRPPRAAMDGLHGTDACFSPARAMSPQVRPAGPPDVGSQYLADLLQEHQKLGPFMQFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDSGKEEQLKGRPGYEHLSEPLHILIEAELPANVIDARLAKAQEILEELLKPVTAEY >Dexi6B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:6B:3058433:3061393:1 gene:Dexi6B01G0003740 transcript:Dexi6B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASDCVLLRADAFRDRKYSCDTTLASAVTSRHLPIEVSLRCPECPLLPVVLFVRIPGVHLTDDPPRIVRAVEDLILIRVPIPTPEAPRFPPVPSDDYFIYRIGLDEGTSSLRLLPHATTFFNDDDVGLLRRDEEHYTVAALLIGSKYGVYDLHRFDSETDRWALDEVPLVEPQVSFPYKSDIPMNSRRVLYHLTTTVIPIGGGGGTMGWVDLWHGVLLCDVLSSEPKLRGVPLPLPLEHLSLNHGLGVELGCPKSLRGIGFIDNPGTDGMEPCLKFVDLGVTAVPIFAEECCDDEWEMHDWTLTTWSNKKMTTSWEDWHMDGQVKASDTTISDELNSKMLKSGLLSTESGRAFQNLLVSIPALGIDDGVVYLQARVRFWDSKVFVLALDTRVNKLLGVVEFGTERSPRTGIVYATSTIVVAVEAAVAASSSPSAEATPITSGSSVVVGRASATSTATSLGTLRPEGHKRRRQALHPSHHQPVADLYAQISRVVVVCRSGMPTSRPHRELRLLLPSRDRARLVPWIEQGPWMQDADARAPLLLPSSVGAGVDSAASPDARKSSSPCSSVR >Dexi7A01G0014870.1:cds pep primary_assembly:Fonio_CM05836:7A:24783486:24783903:1 gene:Dexi7A01G0014870 transcript:Dexi7A01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNNTTPTLEVKLRRAASCHATASFPPDRPAHPLDGRSSASLPGGGLVADGWTLDADGLVADEPSASGQRRSRAQAAAERRSGD >Dexi6A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:6A:3486553:3486900:1 gene:Dexi6A01G0003740 transcript:Dexi6A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGEETKYRGVRRRPSGKFAAEIRDSRRQSARMWLGTFDTAEEAARAYDRAAYIMRGYLAVLNFPGETHNYVRGGSSSSSSQQQPRQQVIELEYLDDKVLQDLLIKDGKEKKK >Dexi6A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:6A:2422750:2426337:-1 gene:Dexi6A01G0002580 transcript:Dexi6A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVKPAAAAGAKAAAVVVAAAAAGDVPSPAAAPTPAPAPTPAAANGNGTPQKPPPVPAAAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKACCYKAQNPCHIHVSVRLVRPDLERILLPPLQQIWQDEASGQGAVSVSGDLRREIDIRRKEMRRRGSFAMATKKERRAQKRQDVASINKWRFMKLKEHMQGDIDAENEAYERYTQNVGLLEETFCPMEDSAAEAEAEATSEDEERMDLLVSEAKVRLKSDSDNAESFKERVATILDQKLKNLREAQSSYEDDKLSDENQDDYTIPVKFTAKQKMERAAKFNELLGKMTRARNEDDLKPCRDLIEQLFGNEVLFRKENDSTDKSNAMETEPPSGQESTSAAAASQRYSFPKLCTRIEVGEDFASKLDAEFSSLSEVTQL >Dexi1B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:1B:17992832:17993225:1 gene:Dexi1B01G0013020 transcript:Dexi1B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDAVGNTDIGNASAEHTVSPIEDLNFVPFVCSQLDCSNCRSVWDVFHESADHRLYFDVHIAEPGTFEHRIFDRTYIDADGQTVLNELVYLE >Dexi2B01G0020850.1:cds pep primary_assembly:Fonio_CM05836:2B:30837936:30839261:1 gene:Dexi2B01G0020850 transcript:Dexi2B01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAQALKHVEVPIPSPGKGEVLIRMEATSLNVADWMFQKGAARPVMPRKFPFVSGFDLAGEVVEVGTGVSDFKSGDKVIAINFPNGGGLAEYAVASASLTARRPPEVSAARGAGLPIAAATALRSLQRAGVSLDPADVTGRKNVLVTAASGGVGHYAVQLARLGGHHVTATCGARNLGLVGDLGADEALDYKTPEGAALRSPSGKKYDVVVHCGTTRIPWSVFQPVLAANGMVLDLTPGFVSVSITILQMATFSKKRLVPLIVWPKKVDLELLVGMVKQGKLKTVVDSRYPLSKAQDGWAKSMSGHATGKIIVEIGAAE >Dexi2A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:2A:1347070:1349703:1 gene:Dexi2A01G0001850 transcript:Dexi2A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHLPAAKQRRGVHLERARGASPSTTLQQPRTAAAAFSFSSPPPVLPGGARARARRRLGVFGPGTSWAVSGQSAVCWALSGYFNNWPMEAPGRALTTHDGSPPSQLTGDETNLATQFSPRQQTKKAIMAPPPPPLLPELMDELVEEILLRLPLDSPAHLVGAALVCRRWRRLVSGAGFRRRLRAFHRSPPMLGFLCSTLCNNQNSYITHHTHFVPTMTSSCSTHAGDHPGGWRADDARHGRVLLSRRGASGLELMVWDPTTDHLQELPALSWPTYSWKAAVLCASAACDHLDCHLGGPFRVVYIGVGDDGAFSLWSKPVSIELRRFSYTKSVQSVLVGKALYFMFHENNEDGGLGFATAIEHRLYLWSRKDGSKEQSH >Dexi8A01G0009020.1:cds pep primary_assembly:Fonio_CM05836:8A:11451468:11452106:-1 gene:Dexi8A01G0009020 transcript:Dexi8A01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVVEPPAQVEDPPLKRALSYEVTITVLQGDGLPLRDEASSPPPLGDHGGSRRRRRDSWSQGSSLGSAEDGPSPRGAAPRAREPDASEPAREGAGVQEVAVVAPSPGAVPTAVEIIDGMEALKIIPSVAAGCMSPGNPVDVGINEDAYSNGDLAGLELTEYFHGPSPMLSTEVGVYPAHQPSLALGLVDPIEAELETLGETQNDGYQPSS >Dexi1A01G0028820.1:cds pep primary_assembly:Fonio_CM05836:1A:34393877:34396688:-1 gene:Dexi1A01G0028820 transcript:Dexi1A01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGTKAAHFVSDLTTVILNPVSERETSHLPVSHRIPAPVVGDKKGTVFGGLVEAPLQPSSSKKYQGTNNCFVFTNLHDRPVIYRPTGANNYFTVCSTEYLALGGGGHFALYLDADLLTGSSSNSETFNNECLSHSPEFSVKDVELWGFVYPSKYEEMLTLCRTEKPGICRW >Dexi5B01G0038210.1:cds pep primary_assembly:Fonio_CM05836:5B:37446810:37450817:1 gene:Dexi5B01G0038210 transcript:Dexi5B01G0038210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPPVAALEQLSSSKMFGGHNLRFRHQSATLGCPMTFSVYLPPSPASSIPVLYWLSGLTCTDENFIIKSGAQRAAAAHGIALVAPDTSPRGLNIEGESDSYDFGVGVPYRLGYKAYLSLKVLWMCLIIYSHLLLLGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDHFEQLNTAHASIFGHSMGGHGALTVYLKNTDKYKSVSAFAPITNPINCPWGQKAFSNYLGSTKSDWEDYDATCLIKKNNNVSNPILIDQGEDDKFLAEQLIPCNFEEACKAVGVPLILRMQPGYDHSYFFIATFIDDHIAHHAQFLKSA >Dexi9A01G0035920.1:cds pep primary_assembly:Fonio_CM05836:9A:40470517:40472612:1 gene:Dexi9A01G0035920 transcript:Dexi9A01G0035920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVGHQPTFYRVYKKKSTESFQSVPYVVALLSAMLWLYYALLSMDVLLLSINAIACVVESVYLAIYLVYAPKDAMVFTMKLLSIVNMGCFGAMVAILQFYVEGQRRVTIAGGVGSAFALAVFVAPLAIIRQVIRTKSVEFMPFWLSFFLTISAVVWFFYGLLMKDFFIAMPNVLGLLFGLAQIALYFVYRNPKKNGAVSEVQVAQEAAADAEKEQQQARQPPHVAVVTPDDANDGEVATSTTDDDNKDVVVVDIMPPSLPAERAPSPPLAPPHPPAIFIPQPRAVEVV >Dexi9B01G0039030.1:cds pep primary_assembly:Fonio_CM05836:9B:39902471:39903085:-1 gene:Dexi9B01G0039030 transcript:Dexi9B01G0039030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWANFSRAGAALSKAQVKKAKRFLPNPERHWGPKVRAGRKITSKHISLLGPEAINGALNGTVSHEDRNEAVPDKPAPESEGIQDDEPLTKRQKISDNEQANEP >Dexi1B01G0030560.1:cds pep primary_assembly:Fonio_CM05836:1B:34487191:34487693:1 gene:Dexi1B01G0030560 transcript:Dexi1B01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAHAAAAIAAGEPALSHSRAGTRPARPRLGFPSKPSSRWAAISLPLGPRHAAPAAAAAKERVAEEEGPAWVELEPITSEQELDRALAEAQQLDIPIVLLCW >Dexi7A01G0007270.1:cds pep primary_assembly:Fonio_CM05836:7A:18662773:18664740:1 gene:Dexi7A01G0007270 transcript:Dexi7A01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQNVPNSGWAAFDRKWRSADGRGHEGETDSFPALSDFGPPNPASSLITENNVLRPKPFASVVRPSVDFAAVSNGGESKRSANHVDNVNHGASASSNNKIKLLKDAHSWADSNLIEDVLAAVNNDVIQASDLLKAMVSPDLQTGGKSCAPLADEMNKTHSLPSEESRAGNTKPDSSHLSVVPMNLSSIPLEPELEELDDDYFNYRKDALKMMRAATKHSQSASSAFLRGDHATAKEFSLRAQEERTAAEKLNNKAAEEIFRLRNRNNDIWKIDMHGLHASEAVALLEKHLYTIEFQQPGNNSASTEDLANLEAAYSESTTCSKFELAAEKVVLRRPKQAILHVITGIGKHSKGQASLPIAVRSFLIENGYRFDELRPGVFAVRPKFRRR >Dexi7A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:7A:9925236:9925595:-1 gene:Dexi7A01G0002370 transcript:Dexi7A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGERP >Dexi3B01G0011980.1:cds pep primary_assembly:Fonio_CM05836:3B:8353186:8353834:1 gene:Dexi3B01G0011980 transcript:Dexi3B01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVDAIRAACPHAGHGCAARPAYHDRERHAGECAHAPLRCPGDACGFVGPAPALAEHAAAAHGWRTCAAEAIAGASFRVDLRDGFNLITAARGGAAYLFLLNVATTPFGRAVSVVRLVPQAPAWRSTKCELQVYYVRLKDFYREHYYQTSRFEVADMDPASDELQDPSASFQFLVD >Dexi7A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:7A:28916707:28920474:1 gene:Dexi7A01G0019950 transcript:Dexi7A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHLRYNNHRHVLAQSSLFLIFLGTFAAAQAASDTLINGSNLTYGETLVSVNGTFTLGFFTRGVPARRYLGIWFTVTNSSTDAVCWVANRDQPLTDTSGVLVVRDAGDLVLLDGSGHVAWSSNTAGAASSSSPTLQLLDTGNLILRDDSSDVKLWQSFDHPTNTLLPGAKIGMNLWTGGGWSLTSWNTSDDPSQGLFRYVMFMRGGLPEILMLDSSDTIRYRTGVWNGLWFTGIPEMQSYSDKVAFQVTVSPSEVSYSYSIKPGGPLTRLVLMDTPLIQRFIWDSRSHSWTVIFKGPRDGICDAYAKCGPSGMCDESADGATSSSFCSCVHQGFSVVSMPDWNMGDTSRGCRRDAPLDCGDKSTDWFAALEGVKLPDTVNASLDMSITLDECRARCLGNCSCVAYAAADMRGGGDGSGCIMWPENLVDLQYLGGGQTLYLRLANSKSVRPIEDTSVFSIALATVKAATRNFSTRNVIGEGTFGIVYENCSLKFEHCDALFCERRKIMVSAGLKIIGLTPANAALLTGKLPRGHPLLQGVAGRTIAVKRLRRTSDIPETIVSYFTREMQVISGLKQHQNVVRLLAYCNEDNEQILVYEYMHRRSLDAYIFGKPKERALLDWQRRLHIVLGIAEGVKHLHEGEGSAGNVIHRDLKPGNVLLDGVWNAKSDGGETSLKCDVYSFGVTLLETLSGRRNSDRPTSLVSEVWRLWVERSVTALLDPAVTPSRAELPLLRRCIQIGLLCVQERPDDRPAMYEVVEMLRSSTLELVEPTVPMLSSSRTLATLLEEADLSRTIDFT >Dexi7B01G0019450.1:cds pep primary_assembly:Fonio_CM05836:7B:24941547:24942526:1 gene:Dexi7B01G0019450 transcript:Dexi7B01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding IENIFDRYLKAPNTRFEEMDIQQKIIQEMTRMKDERNRLRIIMGQYMGDDLASFSVQDLSNLEQQMEFSLYKVRLRKQELLDQQLLEMRHREMHMAEEEGSFLCLMNPAASRVQSQAAEMPGNTRPFLPWWGAGASGSGSGGQSQRLHGARDAEAEPSMTTTALQLHGFRLQPRQPNLQDANLHGWLW >Dexi9B01G0045860.1:cds pep primary_assembly:Fonio_CM05836:9B:45305596:45312604:-1 gene:Dexi9B01G0045860 transcript:Dexi9B01G0045860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNPPPPHPAAAGKPPPSAMALPRKSRWGPPPPGAAPAGDKAAASTSARTPTPTHAADSRRHQAPPGPAPRNPASPAAALRPPQAHPAAETPPPPAYGFQNLDRRTMLLADGTVRTYFALPPDYPFDTAPLPPLPQHLLPRAGPDLWPPHQPPPPQMPMPMHMPPHKAKRRHPADQDDGFARHPKQPRHDAPHLTPQLPPHAAVDRHALRGAFLKYAKMLNESSEQRRSYLEGRRVPCLACGRSSKDFADAHGLVMHAYNPPNSDSLVDHLGLHKALCVLMGWDYTKIPENSKGYQSLSADLVRASREDLIVWPPTVIIHNTATGRKKDGRSEGLGNKDMDKKIAELGFSGGKSRSLYGKEGHLGLTLVKFANNTAGLKEAERLAEFLERQDHGRIGWSRAHATHSIDSDQNPLLVETDTRTGERKRILYGYLAIASDLDELDSDSRKRAFLKSRREFDPSD >Dexi1A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:1A:1212243:1212464:1 gene:Dexi1A01G0001800 transcript:Dexi1A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKARSSWFKDPDDCEVMRMAEFSRDAGGVMIVAEVAGPDVVGHRKSHDRRWQWQPRRDRLGRRHKDVTPTTS >Dexi7A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:7A:15217825:15218279:-1 gene:Dexi7A01G0004410 transcript:Dexi7A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTRRTSSGTSALTGGENAPSDPTTFSVRIWHDSKNRCGVADRTAASSPRANPPSTCASGRNRPNVVPTTTTGGEGREGEAGDDEEVDGGGDGEGEVDEWDQPGGGEHHDEGDELELDHAE >Dexi2A01G0013390.1:cds pep primary_assembly:Fonio_CM05836:2A:21944813:21945649:1 gene:Dexi2A01G0013390 transcript:Dexi2A01G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLQAEAQRALAFGEQRFLAGDIAGAKQWAGYANYLAPGLPATGQALVAYDVHSAAADADDWHAVLCLPPPHHQQLDITHDVIKRQHRRLCLLVHPDKNPSAAADGAFKLVQAAYNALSSTTYLPPDRSWSWEEEPIRFWQDAPDDVTPPPEAPKQPPIARPTPCSSASPPPADPDADGVTPAPGHEQTPSPTAGSGHGHTALLEEAPPGSRSPPCRAAPTPAGTPERPPHGQSCPLLPYCLFCRSYEGGQRGDDFFLFPCMGFSFSNRDQWTTN >Dexi9A01G0025250.1:cds pep primary_assembly:Fonio_CM05836:9A:23301616:23302147:-1 gene:Dexi9A01G0025250 transcript:Dexi9A01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATLSLRPCAPPAPPRITLPCARAWFAPAARASPAVAASHPPRRLCGPRRAVAIESDQQGSSELPKQAPFPLPLFTPPAGALNSEEKPKTYHFLVANAKFMLDEEEHFQEQLAEKLRNYAERDKERDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWIT >Dexi4B01G0021560.1:cds pep primary_assembly:Fonio_CM05836:4B:23522331:23523862:1 gene:Dexi4B01G0021560 transcript:Dexi4B01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSLSWSSLGSLVATAVVVRAAVQEVLPPEAYGAARALLARAAAAFRHPTDTIVVHESDANGVPNELYEAAQLYLGARCLSTAPSVHLHKAHGAPEPVASLPDDHAARDTFRGVTVAWRSQRVDQGSGGGGPRGGLVGAMAGGGGGGGFGRQQRCLRLEFPRRHRDVVRGAYVAQVLAEAAALRLKMRQRKLYTNNNSMFCGGGMDVHQMLWSSHPFAHPSTFDTLAMDPALRDAIRSDLLRFVRRRDHYARAGRAWKRGYLLHGPPGTGKTSLIAAIANLLEFDIYDLELTAVGSNSELRRLLASTRPKSVIVVEDIDCSLGLFDRTTRAESADDAGTPRPVHASPFPPRAREKISLSGVLNFVDGLWSSCVGERLIVFTTNHVDRLDPALLRPGRMDRKIELGYCKGHALRVLANNYLGGAGAGDEDDHVPSGDCRYEELIGEAERLLEEVHLTPADVAEVFMGCDGDGPHAALQKLVDDLNSKRIGAQKCVESNGV >Dexi1B01G0023510.1:cds pep primary_assembly:Fonio_CM05836:1B:29100688:29103442:-1 gene:Dexi1B01G0023510 transcript:Dexi1B01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEFCPNIIFKGPPGSGKRSLCRAVLTEIFGDSSLNVSHYLKSCSGQRAKASVILQGSASVPILVPVSSSNHHVELNMRSQSKNARYALMTLANEMSENRKITEPVVRRNFKVIVLYDVDKVSENNQRLIKWILDSSSDACKIIMTCQDDSNLVDSIKSRCKLITIGVPSTREIVDVLTFISKKESFDLPTSFATTIASQSKQNLREAILALEACRANNYPFIDGQAIPLGWEEVLEELSAEILDDPAPKRLFLARGKLQRLLVEFVPPKLILQKLVELFLKGIHNSVKREVYYWHAYYDKRLPVGASAMLKLEGKLLFAPCIQTITAPV >Dexi7A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:7A:24692284:24695604:-1 gene:Dexi7A01G0014760 transcript:Dexi7A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALKLAAFCLLAVSSSLPLLAAADCDCSASNDGEDSNKPKALTLKIIAIFSILVSSSVGCAIPSLGRRFPALRPDTDLFIALKAFAAGVILATAFVHILPDAFDKLGSPCLLVDDGPWNKFPFAGFVAMLAAIATLVVDTVATGYFQRAHAQRTAAVGDVVTSHHGHGEEEHGHSHGVPALIVSSSSSTPNIYDGAELIRHRVISQVLELGIIVHSVIIGMSLGASESPNTIRPLVAALTFHQFFEGIGLGGCIVQARFRLRSVVTMALFFSLTTPVGVVIGIGISSAYNENSPKALVTEGILTAAAAGILNYMALVDLLAEDFMNPRVQNNGKLQVILNVSLLLGTALMSMLAIWA >Dexi9B01G0026530.1:cds pep primary_assembly:Fonio_CM05836:9B:28743049:28745488:1 gene:Dexi9B01G0026530 transcript:Dexi9B01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRQRATHPPTGPPRNNLLLLVSVSALFLICGLRVNPLSLQSTTSLFPSSRPCLCPPHAAQPSQKEKISRRRVLAASNRSCCDLQMDSVFRRALNDPMCLEEAVVQEGIVRCPFLRNINEPTSFSFSVNFPVPARGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGSFPQIEKPLPTPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNLNKKNPNELPQNKGQSNNHEALSNEWLENGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLKCPPAVVAARAAISRTAFAKGLRPQPLPTKVLVIALLGMAANVPLGIWREHTQKFSVQWFAAVHAAVPFIGMLRKSVLMPKSAMALTIAASILGQTIGSRAERIRLKRVAAAKSAREGHDTVDCIKTPMSLKTGKSNVVQFWDPLALRVESTVGTGSPAVLVPAVGGFN >DexiUA01G0010210.1:cds pep primary_assembly:Fonio_CM05836:UA:20367466:20368763:1 gene:DexiUA01G0010210 transcript:DexiUA01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGISKTAVEALVNKVNTAIKEEAELWQIVQHDTVFMKDEFEMMQSFLKTADWEQVKNTVGRTWVRQVRELSYDAEDSIESILLLDTKRSFWTFCRRLLASFNCDSGALSPLEQAVDEIKLLKARVEEVSSRNMRYNLIDDSGSKLILPQKMVSAPAVMGTSAAVDILTEAWSTEQKLGGSVNLSMLINESCDSLRVITLWGTRGDLGVTSIIKEAFEEEVVRQKFKCRAWLKLAHPFNPQEFTQRLVEQFYVNSGKDVGESITEVAQGTNLVKEVSNASRWALYTVALP >Dexi3B01G0002540.1:cds pep primary_assembly:Fonio_CM05836:3B:1728830:1729231:1 gene:Dexi3B01G0002540 transcript:Dexi3B01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTESDRRRRLMGYPLRVRVVGKKTILSSSASSQLQIYWSRVQDSISGVQNLKDKDVLPKARYKMMQENRNYHPGKLIAWAQTRSTTKGSEQTGPYRTLKFILTLHNANK >Dexi5A01G0037390.1:cds pep primary_assembly:Fonio_CM05836:5A:38768011:38770590:-1 gene:Dexi5A01G0037390 transcript:Dexi5A01G0037390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWGSPLALAALLLLAVVAAQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISKRWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGVLPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGEDVFLKPGQKVLNWTEGPRGDLKPW >Dexi1B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:1B:8997816:9003519:1 gene:Dexi1B01G0009690 transcript:Dexi1B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSLLLLLLHIAAAASRSDAAAFASPTTGSIVKQLSSVVKWPRGAASPHGGPKLPAHSQYASDGHVGVSLQFESGYFVETLVEGDKLGVTPHTIRVSPVESGELLAVDSAHSNIVRITPPLSECISGLMIESSADSRERLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSSIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDSSLLSSGPLSFTFSLCCAVHVDVSDKRKVEAPETEQHESSTIGKPPLVVESLKEEPGAGWPSFGTLISDLLKLAIEGVGKLLFSVVPQRLQHGKRKTDLTPLEDRLVMPEDREEAPMAQKLSSTPMRPETLHAPNAVNETATKAQKSIKPSKFRDSTLSSKHRSSKRQEYADFYGTSEAAAVSSKVPKDRLRHRHREKSGEVAYGTAPPELKPEAKPTDYSDPKYDHYVRSKYAAESGYRH >Dexi4A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:4A:20825804:20829996:-1 gene:Dexi4A01G0017070 transcript:Dexi4A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSCREFVQRRREGAWSPYHKQELGAHTASTKTSTASPRTKPLLLVVLASYLAAPDSRLLLVDGPPGLFSPSGLYTHKPPAPYLLKNSLVESTEFFEVLQSSFEIRQGSLRPTGFFDLGSAPNWAAPEPRLSLKKKFEALSKDRAESSTASPNLNPIHTKSQKIPMAGGEGSSRGKGAKRKAEATKEVITTEKAASTGDMPIIEDPISDWPTSNLKEKHIKALEADGFLAAQEISQWRCAYGHEYPTEDTEELTVPEEPQTKAGATSKGGERSKRPAPTEAQAHDHPRGEGKGIPTTQDIIFSSSARQPLSDEEILHNIFNPVSAPFIRTTPIVEEPCPAGPSAPEQEVEEEFTLGEPEIPMRPATMEEPPVDHAAVEPEAAVPEEPRVVPETTLPEVQTALPSDPPVPEGAQVEETIAEVLADIEQLVTQAVIEESEQERRDQISAEPPSVTETTQTRAEAECSRGKQTEASTQEQNIEEIPRVPRGTGAEEGIGSFRIGSYDPMLNPNPQSFEYILDAEEDEQHIDRGLYHAERAVAYFKADLLQKELEREREDRKLQEAEDADMI >Dexi9A01G0032440.1:cds pep primary_assembly:Fonio_CM05836:9A:37332694:37341339:1 gene:Dexi9A01G0032440 transcript:Dexi9A01G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPAAEALDGHKDRFDLGVFVGDLALDEEVTRYYDRLLFPSRVAPCAGPTRAAAAILKLACCFAVASDDESLGGLQQELDNCKNDKEVANILANGIKLREYTKGVENNIRQIELDSIQDYIKESENLVLLHDQIRDCDNILSQMEMVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSILLKYKYTITFLKEHAKEIYAEVRAAYIDTMNKVSVEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFTDDFFGEESIFHDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNATIKTLWEDDVHPHYVTRRYAEFTASLVHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFSKPKLQTIFLINNYDLTIAILKEAGTDGGKAQLHFEEVLKSNIAIYVEELLQEHFSDLIRFVKTRPADETATSSEKVSIAEVEPLVKDFASRYKAAIELMHKDVITSFSNFLCGMEILRAALAQLLLYYTRLTECVKKINGGSALNKDLVSISSILFEIKKYSRTF >Dexi5A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:5A:22403047:22403310:1 gene:Dexi5A01G0018900 transcript:Dexi5A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVAQIVCLQCLYYLALGLSMALLVGTRVPRLTLLYFFDFATLTPRTPTGWCAIGSFPLAAVAGYAAPAARPLLDL >Dexi1A01G0032160.1:cds pep primary_assembly:Fonio_CM05836:1A:36853452:36854972:-1 gene:Dexi1A01G0032160 transcript:Dexi1A01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPPSGSGSGSVVDLSRSLCAAVIKSAFRRHLPLLAAAPSLLAALLERLSPLPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPDARALLRDLSLRHPLSSPLLLPSLLADGPHVPSWLLLLLSQSSRTDAAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDQMATTPINTHVYNAMLHVCLKAGDAARAEALITRMDVTGVPLDHFSFNTIIALYCRKGMRYEAMCVRERMGKEGIQPDTVTWNSLIHGLCKDGRVKEAAQLFTEMTVPPDNVTYTTLIDGYCRAGDIGEALRLREEMEARGMLPGVATYNAILRKLCEDGNMKEANRLLNEMDERKVQADHVTCNTLINAYCKRGDMASACKVKRKMMDSGLRLNQFTYKALIHGFCKAKELDKAKEALFDMVDAGRIMQMQCYSSLMSF >Dexi6A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:6A:7351613:7357077:1 gene:Dexi6A01G0007450 transcript:Dexi6A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAATRMNVDYHLRGGGIGSEEAAGDHLALAQVPPAFSTSLHSSWNWGGSERDKQRTEDGDVMGFIPSVSVVAKMAGERGPGIGVKGTCYVHPWIAHAASKRAVEKRSMALPHPTHLTLDAKDGTNT >DexiUA01G0025530.1:cds pep primary_assembly:Fonio_CM05836:UA:53808847:53809995:-1 gene:DexiUA01G0025530 transcript:DexiUA01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLAFSATASVAVATTGAAGSSCTRSCGNVSIPYPFGVETGCYHTGGFNLACITRGHGPPKLFLGDGTVQVLEISAEHSTVRINSTSEVPFDDSGRTTSRAWGLGIPESGPYFLSESTNILKAIGCNIQVSILGGVNKSLLVSSCSAICPVLTPDSGFMGNGSCTGIGCCQVSIVLGYPRYTIQTKWLSEFQGLPLGAVYISDRSFDYTSDMMFGIGPKAFPATLDWIINNSTCPANETIAPECRSAHSYCQDSSSAVHEGYLCRCSKGYEGNPYVTGGCQDIDECKNSSKSYPCYGDCKNTPGSYVCLCHNGFKGNASVPNGCQGINVSYDETRSD >Dexi4A01G0017520.1:cds pep primary_assembly:Fonio_CM05836:4A:21216140:21220168:-1 gene:Dexi4A01G0017520 transcript:Dexi4A01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMAVSSAMAVAVAMLLLCAAASVRRGAALHLCTDRLFNNMQGRHDDGLPHLAPAEEATWMALLPRRLRGGAGAEFDWLALYRGLTRSGGTGSTAAGRPPGAGEMLSPAPLHDVCLDAGGASSSMFWRAQQTNLEYLLYLDPDRLTWTFRRQAGLPTVGDPYGGWEAPGGQLSGHFTGHYLSASAHMWASTGNDTLRENMARVVDILHSCQKKMGTGYLATYSDAVFDAYEQLDEAWSPYYTTHKIMQELLDQYTLAGNKKGLGVVVWMADYFSNRVKNLIRKYTIQRHWEAMNEETALFLFFPQKDQKHLTMAHLFDKPCFLGPLGLHKDDISGLHANTHLPVLVGAQKRYEVVGDVVYKDISTYLFEVVNSSHTFATRGTSTMEHWHDPKRLVDEIKISSNEETCATYNFLKVSRNLFRWTKEAKYADHYERLLINGMMGNQRGTQPGVMLYFLPMGPGRSKSVSGRPPSGLPPMNPGIESFSKLGDSIYFLEEGKAPWLYIIQYIPSTFDWKSAGLTVRQQAKPLFSTDTYFEASVTISAKGDARLAKVSVRIPSWTSTDGAITTLNGEKLDLTASSNSSNGGFITVTKLWLEDTLTLKFPIALRTEAIKDDRPEYESIQAVLFGPHLLAGLTHGNLPVTDSNHSNDGLTSGIWEVKATGAASVAGWITPLPSASLNSQLVTLTQSSGDRTLVLSVSIADGRLEMQEQPAAGTDACVHATFRVYAIAGGGEAEALPNVTIEPFDMPGMAVTNALVVGRAGSSGGQDTVFHAVPGLDGAPGSVSLELGSRPGCFLTTAAGANATQVGIGCLGDDGGAEFRRAASFVRAAPMRRYHPLSFAARGMERGFLLEPLWSLQDEFYTVYFSLVSGDADS >Dexi9A01G0039330.1:cds pep primary_assembly:Fonio_CM05836:9A:43343458:43343859:1 gene:Dexi9A01G0039330 transcript:Dexi9A01G0039330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPSLAVDWFRWYLDATPGTSCVPSSPEPSGDGPSCSCNCSACSRHQSTSPMAGRSAPYSVRHFSAVSANFLSDSGRIVPAILWSTMRSSSPFTMSGGRVGSMTGRAQSTSSKTTPKE >Dexi2B01G0025590.1:cds pep primary_assembly:Fonio_CM05836:2B:34869612:34870390:1 gene:Dexi2B01G0025590 transcript:Dexi2B01G0025590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRPYVCVAVNNFTRGTYPVHRIDASGLFYQKDQGKVTTTPPVEHPLPRPCISFSPSPCRSGCGVLDFLGLFGLCQKRNLLAAVDYQGVSRVYDVDDCIVNITPAPQEPKCCEPVSIDLGGAFYVLDRQLIPGRQKCFEALTFGPPNLFGTPRWHWRSIQPPPFIFDPGYETTRIGAYTVVGDSSIMISTPLGTYTFDTMSSSWDKAGDWELPFHGRVEFFPKYGAWLGFSAQDNRLCYSSKASTGIGHGLG >Dexi3B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:3B:2832503:2833265:-1 gene:Dexi3B01G0004100 transcript:Dexi3B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGKRWRFAMVCSSNMNRSMEAHSQLGRAGLDVESYGTGTHVKLPGPSLHEPNVYDFGTPYGAIYEDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGIFDMIMTFEERVFDLI >Dexi4A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:4A:3651947:3652666:-1 gene:Dexi4A01G0005170 transcript:Dexi4A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPCFSLTVMLRFQSNAVLLPDPSCPKKCGEVDIEFPFGIGAGCALAREFELDCNKIENGSSKQVLGCLSQSSPYVYGLSAQDGCEFR >Dexi8B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:8B:25287810:25292614:1 gene:Dexi8B01G0014660 transcript:Dexi8B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINAALPDELLEDVIGRVGGGKLDLDACALVCRRWRRLERASRRAARLAASGERADEVLRLVAERFPALADVSVDERLTAAGVAGAAPRSRRPRQVRPVLPSWIWSLSFIAKGCKNLTDLILNDCQLLTDSSLEYVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIFCPRIQNSAFLEIGRGCSLLRSLYLVDCSRISDSALCHIAQGCKNLTELSIRRGYEIGDKALISVAENCKSLRELTLQFCERVSDAGLTAIAENCSLHKLNLCGCTLITDSGLTAIARGSPDLVVLDISVLRIISDIALAEIGDGCPKLKEIALSHCPEVTDVGLGHLVRGCLQVESCQMVYCRQITSAGVATIISGCTRLKKLLVEEWKVSERTRRRAGPVLSFLCTGL >Dexi3A01G0013770.1:cds pep primary_assembly:Fonio_CM05836:3A:10018866:10019139:-1 gene:Dexi3A01G0013770 transcript:Dexi3A01G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVREAGAVNVVEICQAFTEDDKARIELWKWVKVTRKCGNALATGHNCAGYIVQPPAS >Dexi3B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:3B:13076373:13076907:-1 gene:Dexi3B01G0017720 transcript:Dexi3B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFKTTRLVIRPNNWIHCRMRSIIDHLKQTRNFPRLRIGIGRPPEVMGAIGFVLQSFSKEEKEELEVTFQRGLHAVRIMVREGFNKSATFVNTPLPLEMLNR >Dexi8B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:8B:24328469:24330674:-1 gene:Dexi8B01G0013960 transcript:Dexi8B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFRRLAGIPWRSIAGDAFSRALLVAQAYCAVHVVDQHLCSLAFVRGPSMLPAINLAGDVLAVDRVSARLGRVENGDVVLMISPEDPRKVVAKRVLGMEGDSVTYLVDPGNSDASKTVVVPQGHVWVQGDNIYASKDSRQFGAVPYGLITGKIFCRVSSVISFASLSF >DexiUA01G0026520.1:cds pep primary_assembly:Fonio_CM05836:UA:56668950:56669839:1 gene:DexiUA01G0026520 transcript:DexiUA01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLSSGINFASGGGALVGWNLDTQIDELRKLVRHGIIDKNLTKSVALVAVSDGSDYADFPAEQADQDRLIRNVTDAIVDGVRQLEDLGVDSVLVDLMPPIGCNPWNTRMYNYTKCDDEKNRITSAHNKHLKEKLDDDDSVLLLDLEKVFNKIVIPKTERLFQHRHVPCCETMEMYDGFCGQLDGDGNRNYTLCDKPDDYFFWDDSNPTQAGWKVVMGQLEGQIKDFLDI >Dexi5A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:5A:779526:781996:-1 gene:Dexi5A01G0001160 transcript:Dexi5A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEEVAAVLETIWDLHDKVSDAIHALSRAHFLRAVRRHASSAAGDKPAGLVYVKGAGGGGEAAALAALAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDFALARLEQSRIMLAIRLKEHHGNNQEIIDEASDFLRNVYQDVWPSLSVNKAEKCADSASDMVKGPNFFVRMISSSLAIAGSTFSVKNLGSVLGNTAAFAIGVCTLVQLSRLASGAHSPAAGNYPFKRINEKNSSRFETSRGGSTVAHLDVSLAKG >Dexi5A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:5A:9782789:9783232:1 gene:Dexi5A01G0013100 transcript:Dexi5A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYQTLGLRRDATKAEVKAAFRRSALRDHPDRHASSTDAAARADAARRFRQASDAYHVLSDDRRRAEYDLRLRGSTSSYARTSSGWTSSSSASYGYGYGYGHGGGSWRRTPPGAGAGASVGSIDWEFVLKAVTRRGFLINLGFAR >Dexi5A01G0038510.1:cds pep primary_assembly:Fonio_CM05836:5A:39486231:39486460:-1 gene:Dexi5A01G0038510 transcript:Dexi5A01G0038510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAGSPSPGWPWSPCSSASPWRTPSPRPTSTTRRWPSAACWRSASPRGCSTPGSGPVTNVDASAGPADTI >Dexi4B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:4B:4000173:4000486:1 gene:Dexi4B01G0005630 transcript:Dexi4B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSPGVSAVAVSGLGLGLELEREYHEKLREWKAKGGSSRGGSGSLGVGIGRWWGRLGGGEVGNGGGEWRREESAAAEEANRKRHGDGHVPSMRRDKKG >Dexi2B01G0025220.1:cds pep primary_assembly:Fonio_CM05836:2B:34508176:34511317:1 gene:Dexi2B01G0025220 transcript:Dexi2B01G0025220.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNPDVLAQAARADAERSASSGRCAGGLHGVPVLVKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVAAGWSARGGQTKNPYVLSVTPCGSSAGPGVAAAANMAATCRPATIPTLPMVDLRLQVVAVVMGIALSTAAAGTISSGRFEFQEATVDAIQLGFKNGSLTSTALVRFYLDQIARLNPLLHAVIEVNPDALAQAARADAQCSASHSGGLHGVPVLLKDNIATRDRLNTTAGSLALLGSAVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVESGWSARGGQTLNPYVLSVTPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSWNSCKCLISAPHGSEHGAILVDDLDIATNFSDLGDKETLLMEAEFKLSLNAYLSGLVRSPVRTLSDVIAFNNAHPVEERLKDFGQPDLIAAEKTNGIGVKERAALRRLHEISTNGLERMMNEHQLDAIVAPNHNAGGVLAIGGHPGIAVPAGYDKQGIPFGICFGGLRGYEPRLIEIAYAFEQATRVRRPPTFKQ >Dexi9A01G0031370.1:cds pep primary_assembly:Fonio_CM05836:9A:36320418:36329456:1 gene:Dexi9A01G0031370 transcript:Dexi9A01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVLIDTSFTERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTAALEQDVENLQKKLGGCLRENQNLQEELAEAYRVKSQLAELHGAELSKNKDLEKQVRFFQSSVAQAFAERDSSLMQCEKAKEREEAVLKEFADFEGRTREHQSAIDDQKHLNDDLQRKLAELKAQTESSLKASLEEERESLKAKMAKLQSNLRMGLEIEQHLQRNARILEKRQALYDGFLRNGLSKLQTFYKCQRAEIMKVLEEESSRLSKVVAEIQDKLNEICINTEVSEHPVDVVQCCDSSCKDVHVTTDISPRTCSSLKNDPPVDADSISFDVSKALAQALQEKMEALMLFSQEQERYLLEKQKDQIVIEDLRKNLSQVKDEKVKILMELAKLKEEQLNGSAVKEHRDTSDSSKVTPGHDQQGMLKTMLKKTSLRHWMRKESSNIGHGSSDGNDHTVCKEHLVDIARLRIENATLLEGVGTVERLTSSVHRLHIVLLKAYDDVKSAGSLESTFEALSSLITEANLMKTALSVVLPVSWSGDSSDAITYEALCDPSDSPKSKSDKVDALSSVGMEMVELLIFAAEVLKESFLMKK >Dexi9A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:9A:9755860:9758518:1 gene:Dexi9A01G0014810 transcript:Dexi9A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGGGGGATLSEMYQSARRLLLSARDGVARVERLSSAPTSSSYSSAPLVGGGGPGDPAAAEGVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDRHTLRQQKRILEAKERAELFERANGESSHVLRIFDEEAQAMQSAQSSKRMLEEAYGTGVAILHKYADQRDRLKSAQRKALDVLNTVGLSNSVLKLIERRHRVDKWIAYAGMIITIVVMIAFWRLTH >Dexi7B01G0022710.1:cds pep primary_assembly:Fonio_CM05836:7B:27566393:27568828:-1 gene:Dexi7B01G0022710 transcript:Dexi7B01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSTSTKAPHNRMEAGLKEAAEAEQGPGSSAQPRLFCSFLQRVATQDVKIGSETHAAGDGAGEAARKRPGGGDGPAPAPADKRRRPEPPSSSSGSRDRHGRRPSSAAEEKVRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALREQIVSGERKFEDVAAENSDCNSAKRGGDLGSFGRGKMQKAFEKATYALKVGEISDVVDTESGVHIIKRTG >Dexi1A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:1A:7009310:7018641:-1 gene:Dexi1A01G0008870 transcript:Dexi1A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADNEIADGDRLPLAVKELGSCDLYPQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLDMAEDCLLHATDLSGLLLLYSSLGDANGITKLASVAKEQGKNNVSFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPDEYPNLFDDWQIALNVEATVAPKRGIYPPAEEYLNYAERSNESLVEAFKNMRVHEESLPDDNEDTVDEVVEDGGVEESQEDAVEVDAEDDGVEESQDDAVEVEAEGSTDGAVLVNGNSSEEQWGTKNEEPSA >Dexi7A01G0001640.1:cds pep primary_assembly:Fonio_CM05836:7A:4381523:4386147:-1 gene:Dexi7A01G0001640 transcript:Dexi7A01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCTRSPAPARGHRGRLLLRAESGACARPPRPPAPARRSPTPARGRRGRLRLREVEELADKQRRRGAITVASWRGSAKSISAYTINAGGMANALRVLLALAVIALAAGGSCSFSFEFEEATIDSIQAGFKNGSLTSTALVHYYLHQISRLNPLLHAVIEVNPDALRQAARADAERSTGHRSGALHGVPVLIKDLIATRDKLNTTAGSLALLGSVVRRDAGVVSRLRRAGAVVLGKANLPEWANFRSARSTGGLHGWRTITGPLRALGESVRVEHGFVDSGGGEHGGGDVGDGNHGFHTLPGVAELGGGDQAHSGTDKPEKLKEFGQQVLLLSQNTTGIGPEEKAIIRQLEELSENGIVKLMTEHRLDAILTPDSDATPLIAYIGLPGIVVPAGYDEQGVPFSISYSGLKGYEPRLIEMAYAFEQATKVRKPPTFKT >Dexi4B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:4B:6401623:6404134:-1 gene:Dexi4B01G0008940 transcript:Dexi4B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVTLKLAFHVITSRQQNYNDFLSVQKLQKTGRIAAADRRRSTQISGVRLRRAATATDQAMCSRVRSVPVAAAIAGSLDGVQANLAANGGGFSTRNCTSRTIPYLCLTAGHDDDGMEADEEVLCLAAAMDATALAHATSSSFIRFSSLHISGSTGRSLSVARNAGAGLPATSPSLPLAWNGRRRIASASRAIA >Dexi9A01G0029370.1:cds pep primary_assembly:Fonio_CM05836:9A:34215503:34218436:1 gene:Dexi9A01G0029370 transcript:Dexi9A01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAKVAVVGAGAAGLVAARELLREGHASVSVFEKSGRVGGTWAYDPRADADPLGRHDPDSPGGAVHGSLYASLRTNLPRELMGFSGFPMAGGRVFAGDPRTFPGHREVLAFLDAFAEESGVLTHVRLRTEVLRVRPLGNEQGERWVVAWRGEDGEVAEEVFDAVVVCNGHCTVPLVPKIRGLGASGIDIAREISYVAKEVHIAARYSEDRLGKIERYQNVWLHAEIDCIQDDGRVKFAEGSAVAADTILYCTGYRYHFPFLDLDGLTVDDNRVGPLYRHVFPPKYAPNLSFVGLPSKTVIFPSFELESKWVAAVLSGRVTLPSEEVMMAAVREDYRRMEEAARPKRHTHALWPEWVEYVNWLADQVGEPHLDARLCDMYERALKCIWSLDDRYRDRWEEEEKVHNGGDSAVSSVLGINLPEHRIA >Dexi2A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:2A:32383019:32384092:1 gene:Dexi2A01G0020300 transcript:Dexi2A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRGGGGPSLPPVANPDDEDGYGVAGGVEAEGDTEEDMMACGGGSGGEKKRRLSAEQVRALEQSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRHDHDALRRDKDALAAEIKELKAKLGDEEAAASFTSVKAEPAASDGPVPAGVGSSESDSSAVLNDADAPVTEEAPVPEVQQGTLLLPTPPVPAAAASHGGIFFHGNFLKVEEDETGFLDVEETCGGFFAVEQPPPMPWWTEPTEHWN >Dexi3A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:3A:7721404:7722306:-1 gene:Dexi3A01G0010790 transcript:Dexi3A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITFPVRRQDPSSSAQRRRRHGRLSASPTSTARARTRIACTHGLPSSTAAGADPAAVIRRALGEALVPYNPGRIREVDGKLVVECTGEGVLFVVADADVRLAELEAATAPTPPVAGDDMVSRAFTLTDADVAAIK >Dexi5B01G0033180.1:cds pep primary_assembly:Fonio_CM05836:5B:33660988:33662155:-1 gene:Dexi5B01G0033180 transcript:Dexi5B01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRSPAASCQLLAPSRRTRLLTPYPRAGATPAAEASLLPGALRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEETVRLSRAWGFLMGLFERVIHESVAEFLREGIRLRVIGDSSRLPVSLQRTAREAEEATRNNSQLDLTLAISYSGRRDIVQACRSLAQKVQDKVLRPEDIDESLFADELETSPADELTYPDLLIRTSGELRLSNFMLWQSAYSELFFTDTLWPDFGEDDYLEALVSFQSRDRRFGVRKL >Dexi9B01G0019010.1:cds pep primary_assembly:Fonio_CM05836:9B:13560434:13560925:-1 gene:Dexi9B01G0019010 transcript:Dexi9B01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAEPETKTQGPCPVASVLGDDNLLAEILHRLDSPTWLVRAALVSTRWLRSASDPYLLRRFRARCPPRILALCFKWKRVDKIQVPWPPELAGAARRALATLARSDVLDCLNGPRPL >Dexi3A01G0036670.1:cds pep primary_assembly:Fonio_CM05836:3A:42254331:42256398:1 gene:Dexi3A01G0036670 transcript:Dexi3A01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRPRPRTRWSALAASALIQCFAGSSYCFGIYSPALKASQRYDQSALDAVAFFKDIGANAGVLSGFLASWAPAGRRRPWVVLLAGAALCAAGYLPIWLAVAGVAPAPLPLMCVYMLLAAQAQTFFNTADVVCAVENFPDRRGTVIGIMKAKLSFLSLRMLCSTIRLSGAILVQIYRTLHIGPTTFILMLAVLPTAITLMLMYFVDVHDSHERYNKRFLDAFSSIAVTVALYLMIIIICDQVFTISSAVQSVCFVILLLLVLSPVAVAVKAQKPESMQHEEESTSEQRTGLLSREDVAEGSGSTALGGSDQDLSQGKENLNVLQAMGKLNFWLLFVAMACGMGSGLATVNNISQIGGSLGYTNEETSTLVSLWSIWNFSGRFGAGFISDHFLRLRGVGRPLFIGATLLIMGVGHAVISSGLPGSLYIGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRVVGYIYDMESPPDGHGCQGKKCFALSFMIMACVCVFGSAVAFVLFVRTRTFYRRVVYARLQSFLEK >Dexi5B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:5B:4508046:4508685:1 gene:Dexi5B01G0006720 transcript:Dexi5B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVGDFGISKILHDNTSNAQFNSVSFTGIRGSIGYLPPEYGEGSAVSIHGDAYSLGILLIELFTGRSPTDDMFKGSLDLHKFAKDALPYRAMEVTDPIISLHEETQDRDAHNTALLRRTEACLSSAIGLGVSCSKKQPRERMLVQDAAMQIRAIRDAYRNVAIAMPGSS >Dexi5B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:5B:8327404:8335375:-1 gene:Dexi5B01G0011760 transcript:Dexi5B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGVVVEEGEPQQEAPPRRPPLAVEALRDKIIEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRYKVIILDEVHERSVESDLVLASIKQFLMKKSDLRLVLMSATADITRYKEYFRDMERGERVEVIAIPNSPRTTIFQREVLYLEQIADILKIHSQSLSTTYCSGLDASADAELNHDVYELIHKLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLPYNSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIFRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSGILISIMLDIQPLPILQPFGQQALCQKFRDNYFKENGSVQIGKKEATTIGNLCAFQFWECAFKDKYCLGYLKNVVNTQEPEESHTFLAKPEEEWCAIHNLAPAAFKNISEICMSFPSTSVIYDDVMKQLHRFRPSFLVKINPPKYLLPSKFNHTCLHKTMELEEDMDSLSLEADNSPCDSHKRCAATPYVSQTDFGTPTIVEMLKTLVKEMKTHHVEEKAVSYKGRFGPHVKPTLGTEACVFFVNGSCNQGAACRFSHSSLAPKPVCKFFLTLQGCRNGSSCPYSHDTGSLISTPMTSGICSQEGRRATSLCCTRLFPADGDGHILILNDKNLQFSSNLSQYYDACKIVAGTPGLQSLESYSVPKGLKILQNLADPSSLITAREHKLSVPWTKLKRVFWFADFDNEESAGEQVLLQKFFESIAIKILSERLSDLQVILIMKNTRYIQLQVERLARECFFFLSESFMFDEATLGWFSDISRHPRGMQVSAPVTYIFNMHPPSITQFGDYPAELRKALCRD >Dexi8A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:8A:12814456:12817919:1 gene:Dexi8A01G0009550 transcript:Dexi8A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSPFHIINHGMAALLTLLLLGRSAAAGAPPFSCGPSSPSRGLPFCNTQLPAAQRAADLVSRMTPAEKVSQLGDIAPGVPRLGVPGYKWWNEALHGVAISGKGIHLDQGAVRAATSFPQVLLTAASFNENLWFRIGQATGKEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYAAAFVRGIQGSATNTRSPPPPVLLTSACCKHATAYDLEDWKGVSRYNFKATVTAQDLADTFNPPFQSCVVEGKASCVMCAYTSVNGVPSCANPDLLTKTFRNSWGLDGYVAADCDAVAIMRNSQFYRPTAEDTVATTLKAGLDIDCGPYIQQYATSAIQKGKLAQQDVDKALKNLFTTRMRLGHFDGDPKANVYGNLGAAHICTPEHKNLALEAALDGIVLLKNTAGVLPLKRGTVASAAVIGHNANDVLALLGNYWGPPCAPTTPLQGIQGYVKNVRFLPGCNSAACNAVSTPQAAALASSSDAVILFMGLSQAQESEGKDRTSLLLPGNQQSLVNAVANAAKRPVILVLLTGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGEKNPSGKLPVTWYPEEFTRIPMTDMRMRAAGGYPGRTYRFYNGKTVYKFGFGLSYSKFSQRIATGRKNPAYNTSLLAAGGLMATTEDKPSYYVDHMGDEVCEQLKFPAVVKVKNHGPMDGKHTALMFLRWPNATDGRPARQLVGFQSQHLKAGEKANLRFEVSPCEHFSWVRRDGRKVIDRGSHFLKVGKHEVEISFGA >Dexi9A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:9A:14146388:14148829:-1 gene:Dexi9A01G0019210 transcript:Dexi9A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYRDSIKVLEADIQHANTLASEFPRDDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDVEDKKQKAVCMERYRKKDEDERSSLSDIDVEREEECGICMEMSSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTCPGDLWIYVEDQDVVDMETVSSENLRRLFMYISKLPLIVPDVIFTVYDSRIK >Dexi9B01G0028670.1:cds pep primary_assembly:Fonio_CM05836:9B:31303875:31304651:-1 gene:Dexi9B01G0028670 transcript:Dexi9B01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLLPSRSRLLTALLHTPGPIPNPQPAAAITASTPLAPFLRSFASATRRAGPSRPRAVDIGARARQLQNRRLWSYGLAFACAGGFVVAVLATFQDQLVFYVTPTDALAKFAADPSKSRVRLGGLVLEGSVAHPSPSSPEIEFVVTDLITDVLVRYDGALPDLFREGHSVVVEGFLKPFTDDLRRDDGRKVADKARECACFLRGTEVLAKHDEKYMPKEVAEALERNKKQLEADAEAAAAQATTVAAVAEGAKASS >Dexi2B01G0021290.1:cds pep primary_assembly:Fonio_CM05836:2B:31129921:31130523:-1 gene:Dexi2B01G0021290 transcript:Dexi2B01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDEPKSRARTDFLRANEAAVQLRWDESKVFEADPGGGPPRPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLCGSNVLLPFGFHCTGMPIKASADKFAREIQQYGNPPVFPAAQEEGSCAADADATQADMVGPGKFKGKKSKAAAKSGAQKYQWEIMRSFGLEDEEIARFQDPYHWLTHFPPLCYVPRSE >Dexi3A01G0031070.1:cds pep primary_assembly:Fonio_CM05836:3A:35500003:35504972:1 gene:Dexi3A01G0031070 transcript:Dexi3A01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKKRGDAAAAAAAMAIPLVLVVLPLGLLFLLSGLIVNAIQAIFFVSIRPFSKSFYRRINRLLAELLWLQLIWLVDWWAGGKPYMKNSIGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKGFVSAVSIMSDFVPAIYDTTVIIPKDSPAPTMLRILKGQSSVVHVRIKRHAMSDMPKSDEDVSKWCKDIFVAKLLTCIPVVGAGRSVLVMPPPIWFLQILTVDSALVDMERCYPLCHWIGIGDLHYACLHHVLPVRTVELCQSSEEPSEERLRDSRFNYVGVSVMGQIFSALVLFRN >Dexi6A01G0011320.1:cds pep primary_assembly:Fonio_CM05836:6A:16088182:16088943:1 gene:Dexi6A01G0011320 transcript:Dexi6A01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWSAGGCPGRMLTMVVHERTISGSSLKLSSISPTSDPDRLCCVQDRKVGKSWLPDKSPRGQAEQEDRSPADVVAVEDDGLRGGQRSPGAGYPRDARCPQEAGLQAAIPWRPGAGTPCGRRRSWQDGGRGVDRRAERSISRD >Dexi3B01G0026300.1:cds pep primary_assembly:Fonio_CM05836:3B:21288830:21289117:-1 gene:Dexi3B01G0026300 transcript:Dexi3B01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLATPVVHLVHSRRLGDDAARVRHQRTHVGIKQEASSHNATMKGRNQVLSAFWQLLGILKSTGGKGVSTLHELKGQPNAGSLLGNAAPPRDD >Dexi4A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:4A:13270354:13271515:1 gene:Dexi4A01G0013560 transcript:Dexi4A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGSFILHFTFLVPQPYWRAGSCNRRRRGIAGVLEANFIRPTHDKQDFERTGLFQRLETRLKDMATEYWTDHCHLVGYTQTAKRTPQACYVSTTANHDDHNLVAQATTNTRNYSSRARASVALHPCSDGYTIRYPLHIDPDALTDQRECAASPSASINVDSTSYRPRNAPQQSQTELHKRRKPCLEMFWRAQKKRNTNLYSDQPGSDSGTEVGNAVIT >Dexi9A01G0032700.1:cds pep primary_assembly:Fonio_CM05836:9A:37605548:37606223:-1 gene:Dexi9A01G0032700 transcript:Dexi9A01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSWGPEPRKGKHETHQRVWVAHSETKRDPKVSISNGVRIRRPKSRRLLATAAPRRPRRGPASPRLPALDDDGEPFLGVELEEAVLDASDLEGLGDDNDEGISQSLLCSGPMAAAISASLRPPRHASPSTSTPPPPPPPPAVARRWFLSRGGGEKHGAEQARDGCKEHDAEPGAAAAREGPDGQARRKRRELGACAPP >Dexi4A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:4A:23725076:23726030:-1 gene:Dexi4A01G0019930 transcript:Dexi4A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTTTSPLSRLLLSLPKPSAARHPRASAPEATGVGSLVLRRREAAAAVLSTAVLSRFLPLLPAAAADGGECPLEVAPSGLAFCDRVVGTGAAAQQGQLIKAHYTGRLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLKLPPALAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAIS >Dexi8A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:8A:26708938:26710834:-1 gene:Dexi8A01G0015590 transcript:Dexi8A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHTTCRRQASPLDDDDLLGEILLRVPPHPSSVPRVSLVCKRWRRLISDDPSFLRHLRAHHRKAPLLGFFEHRVVFSNHFRRVELNNIMFGPIPESPDRIAPHRSSLEGSITGSATNRLLGCRHGRVLLLEDTSGNNKVFNSLIVCDPVTGGHVRLVIPPEFTDITINGTVLCAVGDEEGHVHGACHWTPFKVVLVAMSRNDRRPMACIYSSETDLWGNIIWHDGRPRTFVALGNSNIPIAAHHALICWEIMTYGSEDDDDYIGVGILELNLDRQTLTVLKGPPNANSSLSRIIKATDGGVGFATLSYPSSRLRVWHCCNVNGRDIATWVLVKTVDLHNMFGLKEHERLPLPGRRGFILGYDEDDAGASAVGSDGD >Dexi3A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:3A:16770505:16773135:1 gene:Dexi3A01G0021130 transcript:Dexi3A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPGSFGTLGNSGPVALSFATSSFSNFLGGPAASASSGAESGGGIGLSKFKAMPPPSLPLVHPAPASPSSFLNAFSGFLDSPIILTPSLFPSPTTGAIPSEPFNWMGTAENLHGGSVKAEQRQYGDFTFQTAVAVPETMVTGAAQTASFPQSSSSSMLMAPLGGLGTSYNAQVQQQPWSYQQDTTTQFEAPSAATTTQYDMPGHGGYTTVPPAAAALREQSNRASSDDGYNWRKYGQKQMKGSENPRSYYKCSFPGCPTKKKVERSPDGHVTEIVYRGTHNHPKPQSTRRNSSSAPASASASYVLQSASDAAGEHSFGALSGTPVATPENSSGSFGDDEINNGVSSRLAAGSSFGAEELDDDEPDSKRWRKDGGGDVEGGVVTVVGGGNRTVREPRVVVQTMSDIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERACHDARAVVTTYEGKHNHDVPPARGTASLYRAALTAQQQSDTAGEGYGYQQSQSSSGGHGAFCGGAPVQQAPEGSFALSGFGNQVGMAYSSYTSHQQQQSDAMYYAASAKDEPRDNMAFFEQRLLF >Dexi9B01G0047840.1:cds pep primary_assembly:Fonio_CM05836:9B:46845114:46848315:-1 gene:Dexi9B01G0047840 transcript:Dexi9B01G0047840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSGAASTAAAAFLLLLLLLLTHWAAAATDAERRILLDFKAAITDDPNGVLATWTPTGDPCDFVGVTCGASSTSSSSGPVQRLRIHGADLAGTLSPSLARLPALESVSLFGNRLTGGVPPAFRALAPTLRKLNLSRNALDGEIPPLLGAFPLLRLLDLSYNAFTGGIPAGLFDPCLRLRYVSLAHNGLTGPVPPGIANCSRLAGFDFSYNRLSGDLPDRVCAPPEMKYISVRSNSLSGDIAPKVASCSSIDLFDVGSNNFSGPAPFALLATVNITYFNVSSNAFDGQIPNITTCGAKFSYLDASGNRLTGPVPETVVRCRNLRVLDLGANALAGAVPPLIGTLRSLSVLRLAGNAAISGPVPAEIGGIEMLVTLDLAGLALTGEIPGSLSQCQFLLELNLSGNKLQGAIPITLNNLTYLKMLDLHSNQLDGGIPVTLGQLTNLVLLDLSQNTLAGPIPQELGNLSNLSHFNVSFNNLSGMIPSVPVLQKFDFTAFMDNPLLCGPPLNTLCGGQGQGHRKRLGVPIIIAIVAAALILIGICIVCVLNIKAYTLDSRDVEDSKEEEEVLVSESTPIASPGSNAIIGKLVLFSKSLPSRYEDWETGTKALLDKDCLVGGGSIGTVYKATFENGLSIAVKKLETLGRVRGQDGFEHEMSQLGNLSHPNLIAFQGYYWSSSMQLLLSEFMANGSLYDHLHGNRPYALSESSSRGSGGIAKEQLNKSIVMQEQLI >Dexi5B01G0031990.1:cds pep primary_assembly:Fonio_CM05836:5B:32621485:32622228:-1 gene:Dexi5B01G0031990 transcript:Dexi5B01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKHGEEPARVVKLRALEATPESFAPFGQVIAASHDGDEFGPHDAQLDLSRGIPRFYIMRLEDRPLEFSSVTHHASVTQCLGSIGGQDWYLGVAKPSIVDGPSEQSGQEGRKPVQSHAGHYYLPPDPAEVYVFRVSGPKFLKLNKGTWHAGPLFKADAVDFYNLELSNTNC >Dexi6B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:6B:21680944:21682112:-1 gene:Dexi6B01G0014030 transcript:Dexi6B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAQTRQGTMTETAFDCAWILAGSDYDCRLPRLVARSAVAWIRSGVKSMGHRSHVRARHVFSTEKARLFLDPAICPATTRASDPPSITRCGSSEGRCRIDGLNRARPGRRPCSCMPPRPCVRARDFSEQI >Dexi3A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:3A:8982352:8983802:1 gene:Dexi3A01G0012360 transcript:Dexi3A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCVGSKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTVSDVAAAWIVLGIFSLIRLEADYLLVVGVCLSLSIANIVGFTKCNKGILTVKFTF >Dexi4B01G0005120.1:cds pep primary_assembly:Fonio_CM05836:4B:3631460:3634554:1 gene:Dexi4B01G0005120 transcript:Dexi4B01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVLGAAWLLAALLPLGAAAAVAAPEQTGVAAVAGRRAIASTAEDFICATLDWWPPDKCDYGTCAWGRAGLLNLDLSNKVLLNAVRAFSPLKLRLGGSLQDKVLYGTGDLRQPCTPFVKNESEMFGFTKGCLPLRRWDELNAFFQKSGAKIVFGLNAMNGRVPLPDGSMGGPWDYTNAASLIGYTAGKGYQIHGWELGNELSGTGVGTRIGAGQYASDVITLKKIVDSIYRSNPSKPLVLAPGGFFDQGWFTELIVKTKPNQFNVITHHIYNLGAGRDTHLIEKILNPSVLDNMLSAFSNLQGILKSTGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWFLDQLGMSAKYDTKTYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFKGTNKIRAYAHCARDSPGITLLLINLSGSTKIQVSVTQTGAAAGGHNHGAGKGNFTIQASVATKASAAAAAVEHKHGGRRHGRRFGHGHGHAPGFAGFPAAGGGATRDEYHLTPKGGDLRSQVMMLNGRALATGADGSIPRLEPVKVDAAQPIAVAPYSVVFARIPHFQAPACS >Dexi1A01G0032220.1:cds pep primary_assembly:Fonio_CM05836:1A:36878000:36880698:-1 gene:Dexi1A01G0032220 transcript:Dexi1A01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSRRGPGGARNMDDDNLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDYLNVQVHACIGGKSISEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Dexi9A01G0042320.1:cds pep primary_assembly:Fonio_CM05836:9A:45939675:45940977:-1 gene:Dexi9A01G0042320 transcript:Dexi9A01G0042320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAGLLSALLILLPPPLRDHLLHASHRHPADGLHPIVLIPGLICSDLEAQLTVDYQPFTPGCHRLKRDEWLGLWTNRTWMFDPEQAACFLELMRLAYDPDLEDFQDTPGVTTRVPGFGSPHGFGSKHPDHPEYCLGALRFALERLGYREGETLFGAPYDMRYAPPMPGQTSQSYSLYFSRLMKLIEDASMRNQGKPAIVFGHSLGGGVAFEFVRNTPLPWRNRFIKHLFMAAPTWSGGYVSTLTHILWGPQDLLFVPSATRLAMRSMWRTLEISLANLPSPEVFGHKPLVITRQRNYSAYDMADLLATIESTNGLSAFRDREIAKMEYFEAPMVPMTYMNGVGVPTPEQLVYREDNFDDDPLVVYGDGDSVINLNSILAFEGKVGMQPGQKERFKVHQA >Dexi5B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:5B:7140785:7145701:1 gene:Dexi5B01G0010200 transcript:Dexi5B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATACATPAAARPPLNTVPARRSSPSAARPPSAAPARRAAGCCFCAAASSSAPSPSTWDWTRWSRHFDEVEQAESYASLLQFQLEEAVENEDFVEAAKLKKAILETTGKDAVAHVMAKLKSAIEEQRYQDASRLTKLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASTGTPLFEIFLVRENDETYTMKVVHLRSTKGTSSASSISSTATEALAKVESESSSESSVISENITEEASTDTTIKGNEDVEEKEQDTGRSKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELAANSSEELVQDDVKSTSENSLEEPTTEEIQQEEDVPEEDIDEESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDMAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLRLTLSKARVKLTENTTFNRIITDTNSTDPFSGLYVGAFSPYGPEIVQLRRKYGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Dexi7B01G0022670.1:cds pep primary_assembly:Fonio_CM05836:7B:27534825:27537801:1 gene:Dexi7B01G0022670 transcript:Dexi7B01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDSSTGPPADVPTVLVKTEGVAAGEGSSALLPAAAPTINIPLPPLPLRCPPFPKSGKRKAMEEWNAECRRISNLAAKDPRRKLPTVIKPKDPYTADTVASSRDKALVRNAARSVVNVTSIKPDGNTIHSCCTGFIVSWNGTKKCARILTSSATFHGLGDHKPKLHVRLPDNTVVDGQLLFFDEHYDIALLEISSESDLPLHLPSFGSNPNYGQEVFMLARGEESNLMARHGSILWFDGLDFLQGNYHMFLSCDLPRGGTGGPVIDHDGNVIGMAFIAPKPNILAISTILTCIEMWSRFSHIARPIHGLHLRTVELLEVSLQEAISLHHNIHSGYIVDKVDADSTAERLGIRYGDVIVSFDGLQTHTLPQLEDYLLSLGWKFLERSIDSSSSVDLTLEVYDLLGRITRNIKLPVEFSGP >Dexi7B01G0011970.1:cds pep primary_assembly:Fonio_CM05836:7B:18874933:18875573:-1 gene:Dexi7B01G0011970 transcript:Dexi7B01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASCSASTSASSSAAASGDVAAMLPDAPPRRGAGHRRAQSEILLGGAALPEDLTFDADLGVVGEACGAGDEDEDDDEDDEDGAGGAGGSRMFEMFLENGGTLPGPPEPSAHPHPASTPPPRRRHHHSMSMDGSTSLLGFASAGTPGRAGADAKKAISDAKLAELALVDPKRAKSISGW >Dexi5A01G0025280.1:cds pep primary_assembly:Fonio_CM05836:5A:29155273:29155781:-1 gene:Dexi5A01G0025280 transcript:Dexi5A01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAALPEQQPLPFTFTSPVSSERHEDAVDFDYESWIQQSKITNCYSKLWLEDAADAQAINRHHREMMLQAVDNLPEEAYELSLRDLSDLNHRRFGIEQLEEIEHRKESFQLQALAEEDTRHGGSRLHHKAVHAFAIKACWWWWWEEEEVIQFNCVSPARK >Dexi3B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:3B:758517:759302:-1 gene:Dexi3B01G0000990 transcript:Dexi3B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHACAVGSESETVTVEGIPFPAEITVGNPLSLVATGITDIEIHFLQIKYTAIGIYLDVNDSQLLDHHLGSWKGIKSADELLGDEAFFEAVVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAADKYDDDEEEALEKLADFFQSKYFKPGSVVTFHFTFTPAGAWVVEVTFATEGKDVAKLTVDNGNVAGMIQKWYLGGDSAVSPTTVRSLAHRFATLLSSASASA >Dexi7B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:7B:16875522:16875747:1 gene:Dexi7B01G0009220 transcript:Dexi7B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAGMLASLAASSVTRRVGRRAIMLIGGTMFLAGSVINAGAVNIAMLIIGRILLGFVNQ >Dexi7A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:7A:26000036:26003057:1 gene:Dexi7A01G0016080 transcript:Dexi7A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMVELEGRPRRPLMLKEWMELESSAELSRDGFGCYPRHLAAELRRSASVRRGNGDVIARFSAAVRAALARPPLPPAGRETEAAAALSRSLSRRLRVGFWKKRRGEAEETDRPMASCSATAASSGRRDAAASSSPAMSPRRVSWEGRQVAGRGGGAGLSAGRASQELLERIRRFEQLAQVDTSDADDATTTTEDASCHAVEELGSNSAGGDEDEPEGAGDLLGLQEATSPSTCDAGQRFRGLLRDFFREGLSSSCHDGDGPDVERSLLETARAWLDGRHGALRSDGKAEVEEIERLGRCRCFREDEQELLGCDVESDIFLTLMEELIVDLC >Dexi5A01G0025650.1:cds pep primary_assembly:Fonio_CM05836:5A:29480654:29481309:-1 gene:Dexi5A01G0025650 transcript:Dexi5A01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLARCLVVCLPLVVILAVGCSLPSGGEAMGLPQPPPDLNFTISAEGVVWCNSCRYAGYVRSMGASPLPNATAMLRCRRDDGQALTVWNTTGADGYFLIQADWESAPFKSKDCKVYVARSPAHGCAMPVKPAARKGAPLKFRRFVPLPNELQARYSAGNFTFAPENPAKC >Dexi2A01G0026150.1:cds pep primary_assembly:Fonio_CM05836:2A:37730637:37730861:-1 gene:Dexi2A01G0026150 transcript:Dexi2A01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVVYSPPFVGTPTPGTAGSEWCVAKPSVPGPIVQQAMDYACGSGADCDSIQPSGPCFRPDTMLAHASFAFNS >Dexi1B01G0027950.1:cds pep primary_assembly:Fonio_CM05836:1B:32602053:32603524:1 gene:Dexi1B01G0027950 transcript:Dexi1B01G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSLCHKTGAIHITLVNLRNVLDVQVAENMLGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIKKRFKTFVDSSLPVIDYYTSKDKVKKIDAAKPIAEVFEDVKIIFAPYAPKVNYWLHLFFCTSIS >Dexi8B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:8B:3370603:3371143:1 gene:Dexi8B01G0003860 transcript:Dexi8B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSRTTAETHTSIGYRLRVSFDLVAPPAISLLRYTCTETTPEDKFSDLVVITAHGDTVLLRMACHRRTEYKYDHFVYTSSSGGGSAARRPSLSLLPYPLKVVQRSEGDHNFRPVLSSDTGILRRGGGDGEFAVAQIKVLAEHNGGHGMANLCVLRPGSSQWCPSLTKKETS >Dexi6B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:6B:3843789:3850381:-1 gene:Dexi6B01G0004570 transcript:Dexi6B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSGDGRRNGGEMVVAKQQQGKDCVCGFPVCACAGAAAVASAASSADMDRVEAAATTEGQIGAVNDESWVAVDLSSDDLSLDDGVAIEDRAVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRISHRNPDAQWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRADGTSRLPGLDIFVTTADPFKEPILSTANSILSILAADYPVEKNTCYLSDDSGMLLTYEAMVEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRSQEDFVNDRRRIRKEYDEFKARINGLEHDIKQRSDAYNAARGLKDGEPRATWMADGSQWEGTWVEPSENHRKGDHAGIVLVLVNHPSHSRQLGPPASADNPLDFSMVDVRLPMLVYVSREKRPGFNHEKKAGAMNALTRCSAVLTNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRVTLYGFDPPRINVGGPCFPALGGMFAKTKYEKPGLEMTTSKAVVAKGKHGFLPLPKKSYGKSEAFVDSIPRASHPSPFDDAAVVTDEATIIEAVAVTTAAYEKKTGWGSSIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSKNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVLVKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIIMVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGLLGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGGGKHAGHVGGKHGGHGHHGGELYGWP >Dexi3B01G0032390.1:cds pep primary_assembly:Fonio_CM05836:3B:34761334:34764383:1 gene:Dexi3B01G0032390 transcript:Dexi3B01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTATSCVASWNGADDRRKEEKKQSKCELIRYEELPDWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWSHLIGFLLFLFLTVFTAMVIPRDGSSSSSWSSRSSTAYWGDLVEMANMTVALRHDALAACFLLPPSAAEPGLSEDGQKIPTSCPPNTSSSQSNRHHGIQIQDNTSTPGPSMDDDADTLAAATAVPDPVTRWPVFAYLAGAMVCLLTSSACHLILCHSERTAYVTLRLDYAGIASLIVTSFYPLAYYSFLCHPSLQRLYMGSITALGAAAATVSLVPAFQAPELRPLRAALFTCMGASGVVPVAHKLVIYGGTSPGAVASAWYEALMGVSYGVGVVVYAARVPERWAPGRFDLVGHSHQLFHLFVVAGAYAHYLAGVEYLKWRDVDKC >Dexi2B01G0009510.1:cds pep primary_assembly:Fonio_CM05836:2B:10257014:10257235:-1 gene:Dexi2B01G0009510 transcript:Dexi2B01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCLLPVDGRSRTEVAHLRIWGSGSPATRMRRDGDPWCRGGGPSRRRPARGSREERTEEEVGAAGRLAAVRV >DexiUA01G0014710.1:cds pep primary_assembly:Fonio_CM05836:UA:30709317:30710896:-1 gene:DexiUA01G0014710 transcript:DexiUA01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLREKAAAPYTPVGLDNPEGRVSIVDANHIATGAVEILRGVVGKGVPIGSRIRIREDFRSGLPFGGNARHGVADTLGSSVAILGRSGRLIL >DexiUA01G0027960.1:cds pep primary_assembly:Fonio_CM05836:UA:59764957:59768700:1 gene:DexiUA01G0027960 transcript:DexiUA01G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPEEMALGFGDLVGDLREVYESGRTRDLEWRQSQLRGLVRLLEEKEEDIFDVLREDLGKHRGESFRDEVGVLKKSVVDKLQNFKNWAAPEKALQAHTPLVAFPATAFVVPEPLGVVLIFSCWNLPIGLVLEPLSGALAAGNAVVVKPSELAPSTSAFLAANLPKYLDPKAVKVVEGGADVGERLMEHRWDKVLFTGSSRVGRMIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYVLVEEEFAPILIEMLKTTLKKFFTKPEYMSRILNEKHLKRLSDLLADRRVAASVVHGGHFNPKTLTIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSITFLKSKPKPLAIYAFTRNEKLKNRIIEETSSGSVTFNDAIVQYGLDSIPFGGVGHSGFGQYHGKYSFEMFSHKKAVLKRSFLIEFMFRYPPWDETKISMLRRVYRFDYISLFLAIIGLRR >Dexi5A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:5A:8808191:8812575:1 gene:Dexi5A01G0011700 transcript:Dexi5A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTTTTLPPASPLPGAASANPNPRPRLVRLPAASSAPSAVVVRARGRPPMGSVRASAVAGAGGPGAAAEGEVATERLVEVAQLAADAAGEVLRKYFRQRVEIIDKEDHSPVTIADREAEEAMASVILKSFPTHAIFGEENGWRCAENSADYVWVLDPIDGTKSFITGKPLFGTLIALLHNGKPVIGVIDQPILRERWIGVDGKQTTLNGQEISVRSCNLLAQAYLYTTSPHLFEGEAEDAFIRVRNKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWRGDKLHWPVTAESRPTSFNVVAAGDARVHQQVLDALQWR >Dexi5B01G0030300.1:cds pep primary_assembly:Fonio_CM05836:5B:31245917:31246893:-1 gene:Dexi5B01G0030300 transcript:Dexi5B01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALVALVASVSPGGVVRSARIASTLPTRHSSTMLLFPSPGKAVLPPNDLLRSARQRRRLATRSTTSSSSEASADDSPSPKQEKAPFGYTRKDVLLIGVGVTAFGVGLKYGLELLGVDPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAKQLRDYEKQVMEKRLESLSEAELQALLEQVEEEKQRLPQIPEEPNAITFKKK >Dexi4B01G0017690.1:cds pep primary_assembly:Fonio_CM05836:4B:20042093:20046481:1 gene:Dexi4B01G0017690 transcript:Dexi4B01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALPEWGTMPAGSGGDAAAAVWRQVKSPVVVPLLWLSVALCLAMSVMLLAEKAYMVAVVLSTRLLGHRRRPDRRYRWEPIGDGDGDDDVEAGGGGRSEAYPMVLVQIPMYNEREVYQVSIGAACGLSWPADRIIVQVLDDSTDPVTKELVRGECERWASKGVDVRYEVRDSRRGYKAGALREGMKRDYARGCDVVAIFDADFQPEPGFLRRAVPFLIHNPELALVQARWTFVNADECLMTRMQEMSLDYHFTVEQEVGSSIHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLMKVTLWKKIHVIYNFFLVRKIIAHIVTFVFYCIVIPATVLVPEVEIPRWGSVYIPTIITLLNAVGTPRSFHLIVFWVLFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALRMKLPGKGSKKLRMRIGDSLPLLLWML >Dexi9A01G0008530.1:cds pep primary_assembly:Fonio_CM05836:9A:5048231:5049913:-1 gene:Dexi9A01G0008530 transcript:Dexi9A01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTRLVIAALIVSTVCAAIAPRALAARELMAAGEDAATAAAMALRHEKWMAEHGRTYKDEAEKARRLEIFRANARLIDAFNAAGKHSHRFATNKFADLTDEEFRAARTGYRPVVRSSAGGRFRYENFSLADAPQSVDWRARGAVTGVKDQGDCGCCWAFSAVAAVEGLNKIRTGRLVSLSEQELVDCDIYGEDQGCEGGLMDDAFDFIKRRGGLASESAYPYDGEDGSCRSSALRAAASIWGHEDVPRDDESALAAAVAHQPVSVAIDGGDPAFRFYGGGILDGGCSTDLDHAVTAVGYGTAEDGTRYWLMKNSWGTSWGEAGYVRIRRGVRGEGVCGLAKLASYPV >Dexi9A01G0024560.1:cds pep primary_assembly:Fonio_CM05836:9A:20954375:20957086:-1 gene:Dexi9A01G0024560 transcript:Dexi9A01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVVLSLRLGFVAPPYPRTSSGRLATSFRAVACAGGGTTSPITVAGDPPTVVSAPGRRIVAIGDVHGDLSQTRAALVLAGVLSAESEGHVWTGGRTVLVQVGDILDRGEDEIAILSLFSSLNAQAKSQGGAVFQVNGNHETMNVEGDFRYVVNPGGFEECIRFLEYLDECDGNWDNAFLSWVNVAERRKKEYRASPNGDHTRWNFVKKYSGFAARSSLFKRGGLLACELARHPVVLKINDWIFCHGGLLPHHVEYGIERMNREVSMWMKCQSEDSGDETDIPFIATRGYDSVVWSRLYSQDPAERTRRALMLSSIIAEQTLKSVEAKGMVVGHTPQIHGVNCKCDGKVWCIDVGMSYGVLYSRPEVKS >Dexi7B01G0001730.1:cds pep primary_assembly:Fonio_CM05836:7B:2918910:2919224:1 gene:Dexi7B01G0001730 transcript:Dexi7B01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGIVRARVTVLQEKIDAKIKLAPAKKQASWPAPSDSAGRVVVYVMSLRGIQKMYRDCWSMIAILRSYSARVDGRDLSMHAGFKDELRAALGGGDGRWLPPLP >Dexi9A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:9A:8093742:8098121:1 gene:Dexi9A01G0012670 transcript:Dexi9A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPSACVGKPHTPRSGDAAGRSAGGSGARRRRSRRAGKGRRKTPSRAASMETIQEAEVPGSPSGAAAAAADHRTYSNPAFQAVSGSIEEAWYDSLAMSESDAEDDFHSVQDDAFSLNGFENEAVLSTRDGNGGSFNGAAHSGEQHHKRPKSSELSKGSSDNGVRTSVSHDDVASVSGEDTTHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHMSSTLLSTKDFLERPLAGSQIKMLFSRDKKKELAPNYAAYYPFGVDVYLSSQKLNHISRFVQLPDIQFSSKLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDAYSKELPPSFIESIRGDNYFEIDIDMHRFSYISRKGFETFLDRLKACVLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYQPLLTHGA >Dexi6B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:6B:26702495:26704558:1 gene:Dexi6B01G0020170 transcript:Dexi6B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLAALLNSMFSAGLLNDQFQQLKELEDPSTPEFLSEVVTLFCEDGERIIGELARLLERPSVDFDRVDAFVHQLKGSSASVGAQKVKNRCIQLRDFCQQRNRDGCLKTLESLERQIQGF >Dexi5B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:5B:21828610:21831249:-1 gene:Dexi5B01G0019520 transcript:Dexi5B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERNTLVHVLLATWFLVFLQIQSTTAASARSVLCIPHERDALLAFRAGGLDDPDDYMSSWQGEDCCQWKGIRCSNRTGHVVELWLRSLEDVRSSIRFRGGNQRSSPLLDLKNLRTLDLRGNNFDGGPIPEFIGGLKSLRYLYISGSKFGGRVPAHLGNLSRLLYLDLNSIGVHDSYIYSTDLAWLPRLTRLEYLDLSNVNLSAATDWAHVVNKLPSLVTLNLRFCGLQNVIPSPVNVNLTSLEYLDLYGSIPEEVGNMTLITRLYLNVNNLTGTIPEELVNFMAMTHNPADNDSLSDYSSYGGGFDEVDFVPYPDTLSVVTKGQQLEFMTEIMYMVNLDLSCNNLSGHLPNGIGKLAALRSLNMSWNHLSGTIPISIGGVHALESLDLSHNELSGEIPSSLSVLTSLSHLNLSYNNLEGMIPSGNQLQALDDQPSIYIGNPGLCGPPL >Dexi1A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:1A:25494854:25495489:-1 gene:Dexi1A01G0018190 transcript:Dexi1A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDEAGALGGDMVGFNFKVSIADSGLRTQTYWSKAGTWGPVVRHHLGRVSLSPRRLQASAPVVAGRAWQRRALAVPPWKGLSRLQHPRPGRRHWAGASSMDRGPARVPPATQGHPPPRSVQGAAPGGRPLAVSMWTMSSSGRSWARRVLIDRTTILGSVPPTCHPLWCQRVEFQWFAQGSGTVVFYMNSVGVREFVVFVRAGYDLVIASL >Dexi4B01G0023830.1:cds pep primary_assembly:Fonio_CM05836:4B:25102673:25104498:1 gene:Dexi4B01G0023830 transcript:Dexi4B01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVHEPLVRRKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIYLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYTPVKGNLKEIAQAYADSFAPREGHPTEVPDFVEGMVYTESEGVMMTGVYASKQEAKKKGNKINSVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLFKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >Dexi1B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:1B:9733149:9734387:1 gene:Dexi1B01G0010380 transcript:Dexi1B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAMLAVVAVVLAAASAAGGAAAESREAAAKGRYHALFNFGDSLADAGNLIQNGTPEILATARLPYGQTYFGRATGRCSDGRLVIDHLAQEFGLPLLPPSKATNASFTYGANFAITGATALDTPYFVARGLGDVIWNSGALMTQIQWFRDLKPFFCNTTQECKKFFAKALFVVGEFGGNDYNAPLFAGKGIKAAYKFMPDVIQGISDGIEALIAEGAVDMIVPGVMPTGCFPVYLNMLEVPKGGYGERSGCVREFNTFSWVHNAHLKAMLEKLRAKHPNVRIIYGDYYTPVIQFMLQPEKFGESLNS >Dexi9B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:9B:8875170:8876398:1 gene:Dexi9B01G0013160 transcript:Dexi9B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMASKIQHLSSFIGMIAFAALLHPCVSTEFRHELYSWTTGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSLIAAGSPFIYDSGNGCGSCYQVVCSGNQACSGYPVTVVITDQGPGGGPCLSQASDGMCLNEGAHFDMSGTAFGAMAKPGMADQLRGAGILQIQYTRVQCEWPGVDVTFSVDSGSNPFYLAVLIEYEDSESDLLGVDVMQSSTGQWVPMQHSWGAVWRLNSGSALQGPFNIRLTFSSGRMLIASNAIPAGWNAGVSYRSGGVAVTRARPRSSGYRGYKAAGALSHLAYNLLLLFVVLAL >Dexi5B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:5B:7518162:7520129:-1 gene:Dexi5B01G0010630 transcript:Dexi5B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAMELEAPPPVAAVAGKEIKSPELLSSLHRKKLGAHFMESDERRRFSSGTTTIRTPLAGGYNEPPPPSSAAFGTTPVNLRGRPISDLSRTGGWLAAFFIFGNEMAERMAYFGLSVNMVIFMFKVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTLYLLGLIALTVTATVPALVPSQDGCDTLALLLGGCAQAKPWQMAYLHTALYVTAFGAAGIRPCVSSFGADQFDERSPDYKRRLDRFFNLFYLAVTVGAIAAFTAVVYIQMHRGWAAAFGTLALAMGISNALFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNAAFDSGEFVGLYEVAGAKSAIKGSSKIEHTDDFRWLDKAALQLDGDEVNDPWRLCTVTQVEEVKILLRLMPVPACTVMLSVVLTEFLTLSVQQAYTLNTHVASLHLPVACMPVFPCLAIFLILALYYQTFAPLARRVTGHPHGASQLQRVGLGLVFSVLSVAWAGAFERYRRGYAERHGYLGLFLTPMPDLSAYWLLIQYCLIGVAEVFCLVALLEFLYQEAPDAMRSVGSAYAAVAGGLGCFVASAINTAVDAATRDDEKGRPSWLAQNINVGRFDYLYWLLAVLSTINLLVFVYVARRYKYRVRIDAAPATVVNKQ >Dexi6B01G0000230.1:cds pep primary_assembly:Fonio_CM05836:6B:146906:147235:1 gene:Dexi6B01G0000230 transcript:Dexi6B01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHVSLRDIADEHGGLVVSSPSAAEAVLRAHDHAMASRPPSPVADILLSGASDVALAPYGHHWRQARKLVTAHLLSATKVHSLTGMDAACQGHEAQEAMGYVAG >Dexi3A01G0026070.1:cds pep primary_assembly:Fonio_CM05836:3A:22157362:22158485:-1 gene:Dexi3A01G0026070 transcript:Dexi3A01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSPLHSAITADRWDAERLLGSLIVLVHAAFLDAGFVPLPRHPWWSQSTVPRQAGETASALSLRYAAPQLLLQHRHGAAEVAVLRLLAHGSRHLVLYARSKCDPWPVERCVVVDALAAAPLLSGGLDAAARALRRDARLAALWRRISDDLCRGALVDMCRRGGVALEPTFMSFPDDAKEAVLSRLATGGDLARVERVCTGLRRLVAERDCQLWKPRYRAGSLRLRGCCHSPETSWKERALE >Dexi9A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:9A:1933001:1937389:-1 gene:Dexi9A01G0003620 transcript:Dexi9A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELFAAAPGEEDDPEALLEGVAMAGLVGLLRQLGDLAEFAAEMFHDLHEDVMATASRGHGLMLRLQQLEAEFPAVEKALISQTDHSNYPHDDGVEWHANLQLKQNMVTQGDMPRFILDSYEECRGPPQLFTLDKFDVAGAGASLKRYSDPSFFKTEHTPNMIEMDVSIEKKPRRIKKKAIRWRKGATLQALLIANSESHTTPKDRTSRKVPPRTTKLKSRHPRSPDHNTISRICREHLQEVISSQQKILSRYSRQYHVKFRSSDSSEMASPFGEMDKLGAIVQSSGKLELSKVVPVNESDTFEIVSAPTDASAYKRIPGKEHEPSEGNAMVCDSEKLQDCPTFQAGEIDHSSHSGHEETPLLTGVLTDQDADGCRPDDIGSDQDNFIDALNNMDPEGEAEPEIENEFGPIAIVEQIELNHDINEGENALYEESPEVSPAIDLPPRFNSSCNGGQPICTDLPYLSDPAPSAVSATNGPSSGSQSGSDRQLDGVDCTQDKEPSDDEDLMGVSSSSSVASDCADLQTNDLIGCQQHQEEAYHYLSGDHAAVIHSSDKHSPKTSSELDGMAGCNDETDKARHSVEHGQDIVLDDSSILSQPNDVSEDEGKISFGIADDLFLQPTKLNQEEMQQEMKKLEEGGSQDTDSPGIFASWPDKDHAVRMNDVEMEEDDVTVPDIISADTASTGLDPD >Dexi1B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:1B:20515383:20515703:1 gene:Dexi1B01G0014260 transcript:Dexi1B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELPPPDPCAAPRTAPVRCHEGACLHTAPAAAQADHAAGLLAEELRLEDSHLAVDQLMLGLLEDSQISDYLKEAGVSAAVSPRSSAAGRATALSPRPGTPTSST >Dexi9B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:9B:15092128:15095907:-1 gene:Dexi9B01G0020350 transcript:Dexi9B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFMPDTAVRWRGVVTGDVARRMGVAAEAKALAGSLERAGAAVLDAEERAARGDDGAARWLANVRAAAYDADAAVDRCRVAARRRRLREHHHSQHQQQHHHHQAKEFSHRLFNSSKNRDHGSCCSSALPRLLSSCCDAEVAGGDIAADIRSLNRKLQVILKEKNRLQLRSFLGDHHATPPVRSALRHHRQSQVTFTPPPHNSDIVGSRIEDDTAVLVRHLTKASSPGQAAGCEIVAITGPDGIGKTTLAARVYGSKRIRRGFGARSWVRVPREYTEAALLSLVVDSFGGDTSGHESFAELEKALAKLVGETRFLLVLDDVRHGAVWEDVLRRPLLQGAGHGSKVLVTTRHGSIAKEMGAGHVHRVKKMGADDGWLLLSAAAGVADEATAGELKDAGERIVEKCCGVPLAIKAVAGVLRTREARAQEWEEVLGSPAWMVKGLPEDAMKPLYLCYDDLPCHLKQCFLYCSLFPSDMAVDRRVLVQLWIAEGFVQIRADASVEEVAEEYYDELIRRHLLQPAEEDGDEHGGAAPPRCAMHDMLRALAQLLSLGEGFTGDSYRLVVDSDATFAPRRVSFPRRNLAAIPDKILKLEGLRTLILQKNPLTIEGNIFTRLGHLKVLDLSETAVELIPDSLGNLVYLRFLNLSHTRIQAIPESIANLWSLKFLVLRGCKALHALPKGIEHLRGLRDLDLAGTVIDDAAFRVGHLRSLTSLRCFAVTSKEARAAQDRSGWPLDELKNLSQLRTLHIHKLEKVAGRSEATEMSLAAKKSLRELELSCSGTVMPLQTPELVRKIEDTIEEMMPPICLESLKLVNYFGTRFPRWLSVTFLPNLRDLDIIGCNFCQSFPPLGRLPELRSLYIADSSALKDIGAEFIGTNHPHQVAFPKLENLHLQGLQQLNEWRDIEPGSLPSLQVLQLESCPKLQSLPGGLRHVTSLTELRIADMASLEVVDDIATLKELSAWNTPNLERISNLPALVDINMCHCPVLEIVENVNRLRTVHIFDHDLRDMPKWIEKHASKLQSLNFTSTVGLLKRCLVDGPDWPVIKDIKEVHGYSTGSNYIYYTRSPYIFESNVSAEGNLDIKENSADPGTGYLEIRGFFDSKAMKTGAPRTEDNVPNRNVESSMPIPTERRSHKLVEVIPEEDEDDDVTDSAVPTDPTRGAVDVEKGHPAVTYVHTNSEDFGYGQEARKQ >Dexi5A01G0037260.1:cds pep primary_assembly:Fonio_CM05836:5A:38656785:38658080:-1 gene:Dexi5A01G0037260 transcript:Dexi5A01G0037260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSPSRTRTPPPPPSSSPPPHERNSATSFSGSAAGPYAAGGPHPKSAARNGSLDHAVITSVDASDGRARSTADLMYLSVTASTAPRTSRTATCGAASSPAAHDALVSTMALPKYPAGSGGANLGRPSTANSSLLRRDGPIPNARVLALHTNPASASNVVHARRRTPSSSIGCAAIALRREIDAGVNRNDRYRSALPSSWLSSSSSPVTGANSWCGNSSLGGHLEYVLGARDAVHELAEHGRIRLGDVADVSHGAVGLGFHEDGAIAGAVDGQLPLAADRQAAGERWTSTFASDSLSTSETLLLSAALKQYTVCTMTAMFWSRLER >Dexi2A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:2A:3791055:3792047:1 gene:Dexi2A01G0004240 transcript:Dexi2A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTMVMISLVAVLVALACSQAAAQGNGCSSVMMTLSPCMDFISSKAPNPGISCCSVLAGVVQTDPRCLCMVLDGTAASFGISINQTRALDLPEVCKVQAPPISQCSGMS >Dexi6B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:6B:289048:289593:1 gene:Dexi6B01G0000400 transcript:Dexi6B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTRLPDSIGEVKSLRTLSGFTVPMDSLESLHLCFTRAMQRAEEATWMTALSTSLDKLCGLKKLRMDPSDIFQSVALCADDALGSLSPAFRNLEVLDVGYGCTLSRVPRWIGCLRGLCELQLGAKQLLQEDVAIMGTRLPSLVYLSLRIPGIPTGRITVGGSTGFPSL >Dexi7B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:7B:15186770:15187347:-1 gene:Dexi7B01G0007280 transcript:Dexi7B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAAGAFAMYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHDKKESKEQAEDAEEDADRAEGKKKHHFFG >Dexi9A01G0023860.1:cds pep primary_assembly:Fonio_CM05836:9A:19297070:19298536:1 gene:Dexi9A01G0023860 transcript:Dexi9A01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAEEKKSHPRLNERIMSSLSKRSVAAHSWHDLEIGPGAPAVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNEFLPPTTALEAIQHSMDLYAEYILHSLRR >Dexi7A01G0007610.1:cds pep primary_assembly:Fonio_CM05836:7A:18928088:18929947:-1 gene:Dexi7A01G0007610 transcript:Dexi7A01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFFTEALMEPSPLSPSVFLDLTRTADPNTGQLSRDDLVLPCISRMLMEDEIDDKLLCQYSDHPALLQVQQPFAQILSSPSTGANNHDAANEGNTDQANDLLLVSSGDQTHISLAFSDRDSEYVVGEFLKGMEDANRFLPRDNSFVKEHQMDQMFIVSKRKNLEGEVGSRASKIMVRTEVTEEIDIHEMLDGMVKGGHEAFIRDMAKLRIAMDEEAEKKSRIGASKATRDMVDLSALLIRCAQALDTDNYLIAGELLKQIKQHASATGDATQRLAQCFSKGLEVRLMGTGNQLWKLLIAERLSDMEFLKAYNLYMSACCFQKVAQIFSALTIARVMKGKSRLHIVDYGIHCALQWAGLIRWLAKREGGPPPEMKITAVCCSQPTSFPVELIEKQRYRLSKYASELGLPFMFEVIATEWEKVCIGNLNINTDEVLVVSDLFHFSTLKDESVFFDSPNPRDIVLSNIKKMKPAVFIQSILNSSHGCSFLSRFREMLFYYSAMFDMLDAIVPRDSEPRLVLEQDLLGRCALNTIACEGVDLVKRPEKYRQWQSRNQRAGLRQLPLTPVVAKTLKDRVKKHHHKEFLLNEDGHWLLQGWKGRVLFAHSAWVAENLSSQ >Dexi6B01G0018540.1:cds pep primary_assembly:Fonio_CM05836:6B:25473007:25475197:1 gene:Dexi6B01G0018540 transcript:Dexi6B01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNHSQGAIGAARSRDYTGRDIKNFVLFSVSKAKLSEYSEKIEALAARLAAPVPENEKPVIESTKEEIVDEKAKAESPISLSSGLRRRSSAHVDVRPSHQERKGDIGAPIELDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTKRAVEHSLASTGRATSRAAEVYSLTSKTTCFQWLLMC >Dexi8A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:8A:26644267:26647796:-1 gene:Dexi8A01G0015550 transcript:Dexi8A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLHGTLEGTIFEAKFNNGPGKFLEGLLPHFEGQRTGAQLFATVNLDRVRVGRTRVIDQNYGHPQWNESFHVYTAHVASEVIFSVTVQMPVGIVEPVVGYAHVPVQDLVNPKGQLVDRWLNLLGEGKRPLPNSPKIHVQVRFTDVADDPQWGSGIGTAQFVGVPKTFFKQREGCRVTLYQDAHVLDTFKPSVQLDGGQPYEARRCWEDIYDAIDGAERLVYITGWSVYAEISLVRDEKRSHPGGGTTLGELLKRKAKEGVHVLMLVWDDPTTLLNLGLTQGLGTKDANTFHYFRDSGVHCVPCPRIQDPTDNVVQGLKVWGYSHHQKSVVVDVKDGAQRRIVSFVGGLDLTNARYDNQEHSLFRTLDTAHSSDFYQGNINGATISKGGPREPWHDIHCKIEGPAAWDVLHNFEQRWRKQGGTDDLIHNALWPWKNQKDVLVDLNGMEDVVMPQSSPVLPNGDHETWNVQVFRSTDTSACDSFPKTPEDAALSGVVNGKDHLVDRSIQDAYIHAIRRAKNFIYIENQYFFGSSFGWKPDSITPQNIGALQLLPKEISLKIVSKIKAGEPFAAYIVVPMWPEGDPSDWKVQAMLHWQRKTMEMMYDDIATALKAKSSNADPKDYLSFFCLGNREVKLTPSPTVRPEYEPRDHPTKGTDYYRAQQARRSMVYVHSKLMIVDDEYIIIGSANINQRSMDGGRDTEIAMGAYQPFHLNTKGQRARGQIYGLRKSLWFEHLAGLEPEFEDPGSRNCIQKVNTMANNYWQLYTSNTVSELHGHLLSYPIDVARDGTVTSLKGMKVFPDTNAPVLGSLSEIVNVGGIFTAYTFTT >Dexi5A01G0035500.1:cds pep primary_assembly:Fonio_CM05836:5A:37294673:37298200:-1 gene:Dexi5A01G0035500 transcript:Dexi5A01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTINWVKTPFDSRRFHDLSSLSFRCRNPFGSIQHCWLSADQDTLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNQPHGHVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGERSVAISDFSDYMDGENFVDVPDEHYSKIDTEITDILIEWGMPATLRAIHPIYFAKCLTKAVRDDHGEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNSDGYSSDSKDDDKSRFDFSNPGINTDSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILATSASAIIERIKENSDQCAVALRSLCRRKKSITVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRYQRKTVKTSTEDES >Dexi1A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:1A:6134344:6137505:-1 gene:Dexi1A01G0007980 transcript:Dexi1A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARQLRSFVEVDAGSHFPIQNLPFGVFRRRGSQQAPPRPAVAIGDFALDLAAVADAGLFDGPALSGSLCFHQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNGALRKKCLVPMSDIEMVLPITVGGYTDFFCSVHHARNCGFIFRGPQTPEPESLGCLLELTWNGQKEIPVGNSARKFLEDGDEVILTGCCKGEGYNIGFGTCTGKVLPALP >Dexi9A01G0025380.1:cds pep primary_assembly:Fonio_CM05836:9A:24302816:24311322:1 gene:Dexi9A01G0025380 transcript:Dexi9A01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASAPAALLPLLAALPRFLHLPLRHPSGRRHGCGSGGGGSLLLQPPPLVPSSRARCRTTCCASCPYQTDVVLGEASLVSVTACTLLAALQLVWLRWRTATHGDSPEVLHDHGKNILNKALGASKTIYESDCAAQTTRDSGLPELGFSGRKSVDELHCKSGHFAPVACLREGPVSKQKKAVKDHDGAPVTGWGISNILNKENPDNYAPTKRGRSKGAKDTLDYSRIYNSFLIDGRLKDCVDLLERMQQNGLLDMKEIHHSSFFRALQVMELLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCARAGQVAKAFGVYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMIAESSESKGSRPILPDHVTVGVLMKTCIQADQADRAREVYKMLPEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHARRADAAFEIMKDARAKGLHVGIIAYSSLMGACCNVLKAVNVLNEMNSLGVHPNEITYSVLFVACERNGEAQLGLDLFQQLKIDGIDINPTIVGSLTGLCLQMFDSDLSLGNIFVNFSSGKPQIDNKWYAPQLSQTSSAIIVYRVAVSNGLIPSSDVLSQVLGCLRLPHDNSLKSNFIENMGISCEISQHPNINSLFEGFGEYDIRAFSILEEAASLGAVANLEVLVGELVLVGAHGVGPHVDAVAVACALLCIAVGAREPAQLEGEHDGLVGLAPDRYSCCYCCFCSSMHPGGRRIHRGEMARRDLGAAEQQAAGAGMEPDVDALEVECVGVWPQAGSRRLFDGKMVTLVETRQKAGT >Dexi8B01G0007880.1:cds pep primary_assembly:Fonio_CM05836:8B:9919585:9920093:-1 gene:Dexi8B01G0007880 transcript:Dexi8B01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSCHFLAGGSGSASFLNGVGRIQDGVEGVAVSDRKRMQAEAICSAICVADGSSTSDAMSACRSLRTASSAPRQTWCCVTCGQTVSRTLFRRCADTPSTSQTRVSELSDIPFIFGGGWPRLAAAAAATNPAASASNRVRAGHEGARGAVPRKARRVLDAVE >Dexi6A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:6A:25741737:25742941:1 gene:Dexi6A01G0017920 transcript:Dexi6A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADEDYMGDLSHFLPPSPSSSPSRNLGRRKQPPAQAQPQAKRGKGVPWKERRRQERERKQQEEDARTMAGLAEAIPESNVGFRMLKRMGFDPGERGAAEPVGIEIRRSRAGLGAEPAVAPPPSAAPVEKSPAAVEMERRRQEEMAGELRARKSTQWRVRRVVWDFRKAEAALAQLENREVEPPAPEGEEKEKGAEEKEEEVITEEDLQNILSNLRDLYQYCLYCGCKN >Dexi9B01G0023430.1:cds pep primary_assembly:Fonio_CM05836:9B:18728506:18731319:-1 gene:Dexi9B01G0023430 transcript:Dexi9B01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTAAGQGQERKPVLPVAGRRNVLVTSALPYVNNVPHLGNLVGCVLSADAYARYCRLRGHNVLFICGTDEYGTATEARALQEGCSPREICDRYHALHREVYRWFGISFDHFGRTSSPEQTEICQGVFRRLLDNGWLSEKTIQQLHCGGECQRFLADRLVEGSCPVQGCGYGSARGDQCEKCGELLNSIDLIDPKCKVCGSTPSVRDTDHLFLELPLLKEKLERYIDGASGDGSWSQNAVQVTNAWLKGGLKPRCITRDLKWGVPVPHDKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLLKTISVTEYLNYESGKFSKSKGIGVFGNDARDTNIPPEVWRYYLLTNRPESSDTQFTWTDLQAKLNNELVHKLGNFINRVLSFIAKPEGVGYGSIIPPATNAESHPLTQAISETVGKLVDQYIDAMDKVKLKQGLKIAMAICNEGNAYLQESQFWKLYKEDPPGCATVIKTSAGLVYLLACLLEPFMPSFSKEVLQQLNLCPEEHLSFFDEKGERDKAKRPWDLIPPGHRIGKPAPLFKGLDDKAVKGFKEKFAGSQAERRLRAEVATQLEETQLSS >Dexi1A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:1A:19826311:19827986:1 gene:Dexi1A01G0013970 transcript:Dexi1A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATSYSHQAFSPAASLRRHHHDAHPCGTCPRFSPLPPPPRALLWRRPRRLAHTTFCSAPSLPCLGRVGWPRREGNAWLLSFRADPVAAPGAAQGDPSQALSALLPLVVAATAVAALGNPATFSWVSKDYYAPALGGIMISIGIRLSIDDFALAFKRPVPLSIGYAAQYLLKPLLGVMIAKTFRMPSAFFAGFMLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKGVVNVIQPVMPFVAMVCTSLCIGSPLAINRSKILSSEGFFLLLPIVAFHILSFVAGYWVSKLPQWRQEEPVCRTISVCTGMQSSTLAGLLATQFLGTSQAVPAACSVVIMAIFGLTLASYWGSGSRIRDIAGGYFPQASACVSL >Dexi3A01G0029850.1:cds pep primary_assembly:Fonio_CM05836:3A:32236619:32242303:-1 gene:Dexi3A01G0029850 transcript:Dexi3A01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRELGGTGLRVSAVGFGASPLGNVFGDVPRDTARAAVRRALDLGINFFDTSPYYGGTVSESVLGDCLRHAAVPRDRVVVATKCGRYKDEGFDFSAARVTRSIDESLARLGLDYVDILHAHDIEFTKLDQIVNETIPALKKIKESGKARFIGITGLPLSIYPYVLDRVPPGSVDVILSYCHFGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPEWHPAPEQLKVEENVAAALELSTSGIDEELLHEVEAILEPVKNLTWPSGIQQA >DexiUA01G0012320.1:cds pep primary_assembly:Fonio_CM05836:UA:24928615:24929019:-1 gene:DexiUA01G0012320 transcript:DexiUA01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPAHHRFKNPSAGRNQARKRLHKKSHGGERDLTLKGEAEEEEEVDGDGPGAHATAAAAAAVCIIGEEKALFVSSRAVLGWRLESGDRGRFGVGGIVELCSLWACG >Dexi5B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:5B:8562185:8562610:-1 gene:Dexi5B01G0012030 transcript:Dexi5B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLYHKNRPEEQYQFLRVRLNEVYSFIEYRLQDPYHMHMNFMAQDVKTGLEKTFFAELFGL >Dexi9A01G0039030.1:cds pep primary_assembly:Fonio_CM05836:9A:43147939:43148342:1 gene:Dexi9A01G0039030 transcript:Dexi9A01G0039030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFTIQDQQGTLWWHAHSSWLRATVHGALIIHPSRGLPYPFPNPHNEFPWSGGGGTQSPCSGSL >Dexi1A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:1A:5394386:5397183:-1 gene:Dexi1A01G0007050 transcript:Dexi1A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATHFGSPPPPPPRGCRRRGAAFPAGARRAAAAARGRIRSLRNISCLVSQTAKAPVSGPEPSSSKEGVNEIIDAVEVESTATGASFLAKGAVAISVAATVTVILLLMKQPSSGPSFSLPQIVDASAQSDAAAATIGYTFSLFGKKVIIPEYTPGWVYFCLLMTAGFGLFISEEALNVWLAVGFALRERPVVALASVAAAVGICTVFPYAAAACTALFLYLRRREPSS >Dexi7A01G0005890.1:cds pep primary_assembly:Fonio_CM05836:7A:17178729:17179724:-1 gene:Dexi7A01G0005890 transcript:Dexi7A01G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTAPPHVVEDCLGIVQLLSDGTVVRPDAAQLLPPTTFFPDVPGVEWEDVAFDAPRGLKLRMYRPPVGADKLPVLVYFHGGGFCAGSFDHPHIHASCLRLAAELPAVVLSADYRLAPEHRLPAAIDDGAAVLAWLRGHAQAADPWLAESADFTRVFVAGESAGGNLAHHVAVRVVGSEAALAGAAATLHVAGSILITPFFGGAERTGTESEPSRAGVLFTIEMSDQFWRLSLPGGATRDHPAANPFGPGSPSLAPVALPPVLVVAAGRDVLRDRVVGYAESLRGMGKAVELAVFDAEEHGFSVVQPWGDAATEMIRVVKRFVWEGARSN >Dexi3B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:3B:12988413:12994525:-1 gene:Dexi3B01G0017650 transcript:Dexi3B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCNPPSFTSGASISRTWRAPRDLASQSALHTCAALATHFHLDVARRKVVAALMVLEPINGTGSSPNDSHHPSPAQLLLVALAAMAVVLLLSGGGADAATCDATQLTPCAGAIIGNSAPTAACCARMKEQEPCMCTYARDPNLQRYVNSPNGKKALAACKVPVPSLCGYVRTFRGRLKIARLRAAIYYEAWTAAMRIAEACHLVLLLAVQKRWPRASDFAGLDQPLPSLHQVHPLHDALFVCPPVPSEFDASNAAYKYTTDHTLHTPQLNSSDTTSKASLALFLVALTVSSAVLGLATGAGAATACTPTQLTPCAPAIIGNAAPTAACCSKLKAHPASCFCQYKKDPNMKRYVNSPNGKKVFAACKIITRGRSCRIILSPLSSQEAARTAVGDPPSGRHHAYATCLQDSRSIKLTCQLMPPAPRRPLTTMGCPERGEPLMALSPPLAADGTELTRE >Dexi5A01G0018790.1:cds pep primary_assembly:Fonio_CM05836:5A:22309279:22309473:-1 gene:Dexi5A01G0018790 transcript:Dexi5A01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLLVFTVFFFSGLMELSMAHDKPANLRATARVVDTKAIDQAIAYLLMFAALFVTYLAH >Dexi1B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:1B:19852110:19855031:1 gene:Dexi1B01G0013860 transcript:Dexi1B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREAGELTTLTKIRKRCAVSPSSGASAERQRRRALRLRRGVRLIGGGHRRGGFAGGGASPCETSGGKKKKKKKRMSESSWNRHCRDAEARSAASARKLVSALWQMNKGDDGALEEEEEGIGWDAAAAARRSLDHRRSASLELSKISRRKSRALKDDEEQRSWHNGHAHGQWFSDVMSNGGAVEVHTCPQSRTPARPGDRAAQVQDLHNSLTASTELVRVLANVLGPTGALSPTAASLLAALRSELDAARRRARRLAKHHHHGGAGEEEDEHHHHQLRRQLEEEVRAWKARHREKAAAAARLVASELDGERRSRRRAERVGKKLAEALADAEASLRAATRELEREKAARERLEKVCDELARGVAGVEEEEVLRREVDAAREEIERERGMLHVADELREERVRMKLAEARIQFEEKNAAVDRLRQELEACLGNNNSSKERQESPDHHDEHRRSLQLVLASEFGVDGIDRVATDKTTAATRAEDDGGDGEADDGSEGSDIELNMDGNSWSYMTTSRETTAKNAASVHGGSLSDRGTECGGAERSHGTRDALDLHHEWNDGCSDGRASTRDMDEDAERYEAIKNLREQMLAGHGFVFLSQGEGDGDRDRHRQGLMIPHQIEDGGLW >Dexi3A01G0004130.1:cds pep primary_assembly:Fonio_CM05836:3A:2725794:2726181:-1 gene:Dexi3A01G0004130 transcript:Dexi3A01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVSHF >Dexi9B01G0038780.1:cds pep primary_assembly:Fonio_CM05836:9B:39702379:39703262:-1 gene:Dexi9B01G0038780 transcript:Dexi9B01G0038780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYFVRVIRRKHKKDIRSNRKAFQRLRTAWERAKRMLSSRAQTTVEVDALHDGIDFHETVTRSLFEERNSSRSATSTSSVQNMLQEFFDGKQLCRNINPDESSAYGAAIDAASFIREANMEPPLTLLLDVTPLSLGVEADFGAMRVVIPRNTAIPTRKEEVFTTYYDDDTTVVIQVYEGENAKVAENNLLGKFELTGIVPGPRGKPQINVTFDIDMNGVLKVSANDMATGLENKITITNENVGLSMEEIASMTQEAQR >Dexi5B01G0039620.1:cds pep primary_assembly:Fonio_CM05836:5B:38376991:38380735:1 gene:Dexi5B01G0039620 transcript:Dexi5B01G0039620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMLRSSTRLLRKVSRPPLPSPAPHSDDGSFTHTCSLLQLRGLEPAAAAAAARRLSAADASAASASSSASENSLLRPLPGLDLPPPLPDNLGRCPTRITTLPNGIRVASENVPGPSACIGVFVDSGSVYESGESTGVSYMLDKMAFKDTKHRGHRDIVHELELAGGNVGASCSREQTVYSYDTLKGYVPEAIEILIDCMRNPLFLQEEVERQLVLAREDVQDLHKNPDRFLHEQLNIVGFSGALANPLIPPEDALARINGKMIQKFYHMTHVALAFEVPGGWLQERDATVMTVIQTLMGGGGSFSSGGPGKGMHSRLFLRVLNKYHDVQSFSAFSSVYDNTGLFGISLTTPPDFVAKAVDVAISELIAVATPGEVTEVELRRAKNSTISSVLMNLESRVVVAEDIGRQLLTYGSRKPIDYFLECMEEITLDDITTFARKMLSSQPTMVSWGDVDKVPPYEFVCKRFW >Dexi7B01G0021920.1:cds pep primary_assembly:Fonio_CM05836:7B:26890093:26894824:-1 gene:Dexi7B01G0021920 transcript:Dexi7B01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRGAYGGSGGGGGYSNGTESQSPAAPVMTTSSSEIAAMAVHKVAPPPPRSTASKMKARVKETFFPDDPFRGFKGQPLGTQWLMAVKYLFPILDWVPGYSLSLFKSDLISGLTIASLAIPQARMHAFLPLHTSHASKSFLMFANCIRAVLILHVRMHGTCSWLQGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLVMGSMLREAVSPSAEPLLFLQLAFTSTLFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGITHFTTDMGLVPVMASVFHHTNEWSWQTILMGVCFLVLLLLARHVSIKWPKLFWVSACAPLASVIISTLLVFLLKAQNHGISIIGQLKCGLNRPSWDKLIFDSTYLGLTMKTGLITGILSLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVVMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDFPAVYQIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVTNTLIKRWIEEESLAHNKKTELHFVILDLSGSKLFSAVPAIDTSGIAFLVDIKKAIEKRGLELVLVNPTGEVMEKIQRANEAQNYFRSDCLYLTTGEAVTSLSALAKMTKP >Dexi8A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:8A:25647915:25649195:1 gene:Dexi8A01G0014930 transcript:Dexi8A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKTTVEGTVTMARSAMEEEAKLQKSVQRDLLVISDEFEMMNSFLNDAKDRVTDNVTRTLVRQVGNMALDVEDCIEIIVNLDKKPHWWRRMMLPWCMPTAAAWKDLDAAVANIEQLKARVEAMGQRNLRYNHNRIGDSSHKPVEQMHQQAVANTMAPNIFVTENNAQKKQNRQMDLVTLINKKDTEEIGQREGAAEQTSAMQGNDTNETAENKNVVEQKTDDVQLQVISVLGTGSDLEMTSIEKAYHDSETYKSFECRAWVKLANPFNPIEFIRSMLSQFYKNFCAKQDKIVDFLEVLVATDNELIVDFMCQIKLKYLVVLEDMSTMVDWETVMGYLPDNKNGSCVVVHTRRFEVARSCVGNGYQVSELEKNPSVYLLYKEVWKKLLNHDKLF >Dexi5A01G0024360.1:cds pep primary_assembly:Fonio_CM05836:5A:28227855:28229074:1 gene:Dexi5A01G0024360 transcript:Dexi5A01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQEDHPDDGGNTNATNGNGNGSDDNNNDSGRRDDDHHHLRIPPKGSRVDASEDSMESSEEMVTPRAAASEADEEERKAAAAEVPVERAGAGEAGEEVMVDALAPETAGHEEHEGKVDAAVEVHAVAEQEPEVKDVVVAEESVVQEPGVVDAPAVEVPEVKEVAKVHPVHEPEPKVDEVVVVEQTHVTPEVQEPELKGDDANVVAQEPETKGGNVVVKDSVEVSRSRDAVDVHTTEVARGPAVAVAASGQRATWWNCCGLFDAFTGSGR >Dexi2B01G0021720.1:cds pep primary_assembly:Fonio_CM05836:2B:31411400:31417341:1 gene:Dexi2B01G0021720 transcript:Dexi2B01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGPLNWLPFSCSGDRYCNVPKRFTFFPNPNSERPRAEPATEGRAADASSMTMYSASGCLFLRRVRGTVGRRREIVVPEDDHGLYAIDILDPSWFVELLHLSMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLKSKLETAYKTSGGKKVTIISHSMGGLLVRCFMSMNHDVFAKYVNKWICIAFSFQGAPGCINDFLLTGLQFVYGFESFLFISRWAMHQMLVECPSIYEMLPNMDFNWKGKPVIQVWRKSPEKDGTAELIQYESTDCVSLFEEALRNNKVDF >Dexi4B01G0012260.1:cds pep primary_assembly:Fonio_CM05836:4B:10018710:10023847:1 gene:Dexi4B01G0012260 transcript:Dexi4B01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWQAVLPETTMPQAIRDLLTQSKGEYESRKVTTSYRTQADDSKKVTTSYGSQGEDSRKVTMSYGLQDQDDARKVTTSYGSQDGDDSRKVTTSYGSQGEDRSRKVTTSYWSQGKDTREVTTLYELQGEGDSRKVTTPYGSQGEGSRKVTTTYGSPGDGDSRKVTISYGSQGDDKSRKVTTSYRSQAEDSRKVTTTYGSLGEHDSRKVTMSYGSQGDDESRKVTTSYSTQPEESRKVTTSYGFQGEDSRKVTTSYGLQGKDDSRKVTTSYGSQGNGDSRKIAMSYGSQGEDSRKVTTAYGSLDGDDSRKVTTSYGSQGEDESRKITTSYGFQSEDPRKVTMSYGLQGKYDSRKVTASYGSQGNDDSRKVTMSYASLGEDSRKVTIAYGSLNGGDSRKVTTSYGSQGEDESRKVTTSYGSQSEDPRKVTASYGSQGKDDSRKVTTSYGYQGNDDSRKVTMSYGSLGEDSRKVTTTYGSLNCDDSRKVTTSYGSQGQDESRKVTTSYGSQSEDPRKVTMSYGLQGKDDSRKVTTSYGYQGNDDSRKVTMSYGSLGEDSRKVTTTYGSLHGDDSRKVTTSYGSQGEDESRKITTSYGSHGEDTRQVTTSYGLTGEDDSRKVTTSYGSQGEDESRKVTTSYASQGDEDSRKVTASYGSSREDTMSYGSKEDRDSRKVTASYGSQYDEDSRKVTTSYDSKSHSHLSKTTISKNQQILSEKGESSNGDRHDHVHSHKNANKLADVFFFHDVLRPGSVITPTIPVTTSLPTLLSRREADSLPFSTERLDDILAMFAPASLAMADEIRWTLDTCEHPRPLPGEKASCATSLESLAKLPAALLGTRNVRAFSGDMSIDPMGTTTAQRGRYNVKAVQKLSESSPMVAVCHDLTYPYAVFFCHTTDPAAAYLVTLEAEDGMAPDMEVLAVCHLDTSQWSPRHPFLEAHNLKPGDVADVCHFLSKLSVVWVPAGEQGGAVEVR >DexiUA01G0002830.1:cds pep primary_assembly:Fonio_CM05836:UA:6080613:6081366:1 gene:DexiUA01G0002830 transcript:DexiUA01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHADLASYPNDVSFSDCLGWEGFPSILWSVLQALDYPTPPQYERTLIRDRGVTRSRVRLVLYRHPPCPNSPTWTVEVHGHHMETTCELAALNGISSFVAQNQELVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAENPIAAAALMMRWMRAYHRLQALLGRSQSEMLNLAMDMSARARDIGVERTSLSIEVTTKDAMIADLERQLNDLHIAHNNVQNELAQTRDELEEPPMMLSTF >Dexi8B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:8B:560901:562942:-1 gene:Dexi8B01G0000790 transcript:Dexi8B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMLHLWNEWEIQILVLVSFALQVFLLVFAWLRRRSISPALGVLVWLMYLLAEYTATYTLGHMSIGCKEADKKQQQLMAFWAPFLLVHLGGQDTITAYAIEDSQLWLRHLLTFTVQAFGAAYVLYKGIRGPLVTPSAVMFLVGVLKNGERVWALGFSRLDIIRRYLDGVSIKQNHNKVHRESSLDDECVLQGAHDLLYICMGQFVDDKIWPSVFQKDALDHFSGHRRTIDLAEMQLSLMCDIFYTKAAVIHTWYGRCVRAMSLLGTAAAFFLFRFSKDSGYNRVDVAVTDILVIGAFLLETTSVLRAMGSTWTCAMLKAIKWDWLHHLHELVLEEIQRMVKACAGKEDVMSSYRGQCSLERLDGYNLTAVASTTGTEFDQSILTWHLATHKLLSKSTSSSTATDGDQEAIVRQKQQQQQLVEATRVVSNYMMFLLVERPHMLPSPVRPTLHLKARQQLMERPHSSYWYPSPVQARGHNTDDQVVTLAGAQLGDQLVQIKESGMTELTLLRVVLGVWVEMLCYAAHHCSRESHASQLNNGGEFITIVWLLTTAEFNRKYANEAEFKERTRGSIWNFMNFLNSTF >DexiUA01G0018890.1:cds pep primary_assembly:Fonio_CM05836:UA:39562028:39566431:-1 gene:DexiUA01G0018890 transcript:DexiUA01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGGAEEEVAAVPLGQKLLVHVAENGHTLEIRCFGDTLVEAVQQSIELHCGIPPGDQRLLCGNTSLDGAHPLAYYRLPRDDREVFLYNKARLQADSRPPPPESVYIPEPKFPPPPRLQDSPPPEVSADPALKALVSYETRFRYHFQVANAVYRCSDTKFELCKRLLREQQVQERALDTARSNLEHTFRKVSQRYSEFVRCFSQQHRAHMEMLTNFERDLQKLRAVRLHPALQFEGRQCLLDLLKENDMRRLADGCFSSQKQFEMKVSQLKANFLELKRRVENLFNVMSSSGCKDLEKLIKEHQGVISEQKSIMQSLSKDVDTSKKLVDDCSSCQLSASLRLAGTYAEKLATECETEKARREDFYRTWVKYIPDDIMGSMGLFDSPSQCDIKVTPFDRDLLPIDVDDVEKLAPQSLLGSFLKSERSQLPKSSLSNSSTSGNLNKSEQNPLSADDKMDFQDFLGDYDTIDIAGTSKLEVENARLKAELASAIATLCTLGAEYGYESIDEGQIDAVLKKAREKTAEALAAKDEFANQLKSLLTANQEKCLSYEKRIQDLEERLANQYMQGHMGSGSKGTSDCLLSAFKSNDCNLDESGGRQTQIRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGTLNLQLLDSAACTNLDAFMTELPRDNDPKTVSIDKEGQMLTQVTVAETSDVPVEDHHALALKNKELLVSELQNALEEKSKQLGETESKLSAMTDEINSLNKELEHARGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAIEYDALRSSALRIHGLFERLNSCVTAPGVTGFAESLRSLAVSLASSAKKDEADATVQFQQCIKILAEKVTFLTRQSAELLDRYSAVQAAHGAIAKDLDDKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYYLSEESVALFTQQHPRHPTYIIGQIVHIERRIAHQDQMGAAPRPDSSGGRRSPASTLNPYNLAPGCEYFVVTVAMLPDAVR >Dexi5B01G0029280.1:cds pep primary_assembly:Fonio_CM05836:5B:30559688:30564480:1 gene:Dexi5B01G0029280 transcript:Dexi5B01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLSLVRRAAKAVRRTPRWRKRLIYFGVGLTTLTYACQDNEVLQICDGTGNKKKVVILGTGWASASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPVRNIVRKRKAAFRFWEAECFKIDPTNKKVHCRSDVGTNINGDGEFDVDYDYLIVGVGARPNTFNTPGVTENCHFLKEVEDAQNIRKSVLKCFERASLPNISEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGGHILTMFDKRITQFAEEKFKRDGIDLKTNFKVVKVSDKDITMTNPATGEIAVPYGMAVWSTGIGTRPIIMDFMKQVGQGNRRALATDEWLRVQGCEDVYALGDCATIAQRKGMMKGFHDLLKDSDGNSKELKELDIEQFKKALAQVDSQVKMLPATAQVAAQEGEYLAKCFNRMKICEEKPEGPLRIRGAGHHRFKPFRYRHFGQFAPLGGEQTAYQLPGDWVHVGHSTQWLWYSAYASMQFSWRTRMLVVSDWGRRFIFGRDSSSL >Dexi9A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:9A:3806584:3807934:-1 gene:Dexi9A01G0006710 transcript:Dexi9A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRDHDHLTGPNNPAAPTKRVRASSPRYAPAPTLQSPPPSPLPVDLQLEIFARSDNVTTVVRCAATSKPLRRAILEPAFKSRLLARLAEPNNGDLLAAVSFRIHKHGGGGGGGKDRTVARTSPHLRFDYGLLKSFKPASSRDGLLVLWRNHFPEEEDSSSYFRNSRCRVEVEICVCNTFTGHVTSLPRTGLRLGRDDGIRSLYRPALLTAADGSFELLVMDHGLQIQTFSARDGDGAVRQAQWHRDSWQIRRESLTAPVVIGRAIYRLFHLRGGMSSSDHDDKIIVLAMDADTGEADSIELPGRFPLWRKRSVVIGRLLCPIEELVVLGATTDDDGTKLSAVLVEDDRVSVWTLSQRRVWSQQVCIRRAAIEEQLAGGAEEALRSIRFEGFWGRSRTVLCRMAGVGLVRLDLETKKATVLCRCGELLPTDTCWA >Dexi7A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:7A:18358155:18361768:-1 gene:Dexi7A01G0006990 transcript:Dexi7A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPMASSLGTNPPRVFLGDLDCGACHLCDHFIWKQKQESKPSLNALVTVRARTRHVLRDLQVDAIKEQLLNSEPSPSPSPYDTAWVAMVPERRGSPGVPRFPRCVDWIMESQRTDGSWGLKQLGLGDDDPSLAKDALSSTLACVLALRTWGAGDEHVRRGSSSHFTPQPLPGAGTCHSMCGRTVAAGLSCQCNALVAGLRFIGHNSSCLTDDKCDTPVGFNVIFPGMLARGIGMGLDIPLNRADMDAILRLRDMELKSRLNSIMASGSKAFMAYVAEGLGDLLDWDQVMAYQRKNGSFFNSPATTAAAAIHNGYNGRALDYLDSLISKSWLDNDEEIMLDMATCAVAFRLLRMHGYNVSSDGLALFSKESSFHDSVQGYLGDTEALLELYRASQVQILEEEIILQDIGSWSAKLLKEQVEYALKFPWYATLERLEHKRNIEHFKTDHFQLLKSAYCLPPRANEEILALAADGFRSSQAVYQQELQHLESWVKEVRLDELEFARVLPLQVLFSAAATMFPSELSEARLAWSKNSILTTVMDDLFDIVGSREELENLVALVDRWDAYQDVGFCSQRVEILFRAIYDTNTEFAAKAAGVQNRSIIDHVAELWVDTARGMLAEAEWRTSGQAPSSMAEYMVTAEPSFALGPIVPVPLYLVGPELPGNVARCPEYREMLRHMNICGRLLNDLWTYSKERGEGTVNGVLLLADLRYGGSSSAASVEATKRELSRTIEASRRELFRLVVREDGAVPRPCRQLFWNMCKVLHHFYLDKDGYVSPKVMMHAASAVLLQPLQVPPR >Dexi5B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:5B:7317766:7319088:1 gene:Dexi5B01G0010380 transcript:Dexi5B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKSKILVVGATGYLGRHVVLASARLGHPTFALVRDTAPSDAAKAAVLKSFKDAGVTLLKGDLYDHASLVTAAKAADVVISTLGSLQIGDQTKLIDAIKEAGNVKPGKFILAGKVAVRRATEASGIPYTFAVAGYFAGYAYALPNIGQVLAQGPPVDKAIVLGDGNTKVVYVDEGDVATYTVLAADDPRAENKTLYIKPPANTLSHNELLSLWEKKTGKTFERVYIPDEAAGFEIDPAKGADATELYPDVKYTTVDELLNKFL >Dexi4A01G0022320.1:cds pep primary_assembly:Fonio_CM05836:4A:25587276:25587677:1 gene:Dexi4A01G0022320 transcript:Dexi4A01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWARAAATLCLAIVVALQLLAACEGRRPMLPPPGRARGHAHGHGHAHSGKELPPSTGSSPSSLPVRHDDAAAGASVGFNVAGARCKNTERKAAGGAAGQPPAAAAACAGEDDDDDKRRIPTGPNPLHNR >Dexi5A01G0026830.1:cds pep primary_assembly:Fonio_CM05836:5A:30412433:30412935:1 gene:Dexi5A01G0026830 transcript:Dexi5A01G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRGRDRGEEGEGERAGAVEVGVEVGGGEALDGDEERGGVGAEGGEAEGVGEEREEEARVGDGGQGGGEHGRGEAGRERCDDRGDGVRGGRWRVGAAAASTEAVRRAAGSGGELYVAGDGRRCGGGAEETAEERGHRGGGRI >Dexi7A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:7A:29752703:29755452:-1 gene:Dexi7A01G0021010 transcript:Dexi7A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCEMLQVVESAAPNLSTINLLGDLVQMSFGTSSQVKNVNVGFSFRPDILNHAITKLPTIMPHLETLTVSSMQEIEHQGAMKHDPVSGDDTKTMRQIPECKHDMLKKRINTPMVADKFLHVKHLNVFLRDDDDGASCYPDLRLFVLGFISDDDAI >Dexi9A01G0040320.1:cds pep primary_assembly:Fonio_CM05836:9A:44121039:44121766:-1 gene:Dexi9A01G0040320 transcript:Dexi9A01G0040320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLSNYSSFEGVPYCKAHFEQLFKETGSYNKSFQSQQSPAKITPEKLAPELVSVGL >Dexi2B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:2B:808877:810211:-1 gene:Dexi2B01G0001270 transcript:Dexi2B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTRAKKRRLEEEDHISGLPDVILSDIVTLLPTKDGARTQILSSRFRHIWRSAPLNLNVEHLDGHLWSLPIFRILYKRPGPVRRFTVLFNKASAADLRLVDRWLRSHTLDGLQELEFHSYAEHRLMGVPPRPLPASARRFSSTLIAARFGGCVFFPDDGGVHHYPLLKKLTLSNLDISETSLHAMVAGCPVLESLLLLNNHGPTRVKIASPTIRSIGVGSFWGCGRLKQLVVEDAPCLERSLFFEGVKIDISGTTIASMTTVVPSVRVLALTQMNPCFDAIVNLIKCFPRLEELYIEVPVWARLTWEETALYDRYCELNGALDIRSGKSC >Dexi3A01G0033320.1:cds pep primary_assembly:Fonio_CM05836:3A:38113595:38114698:1 gene:Dexi3A01G0033320 transcript:Dexi3A01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNKPVAFPCFGVFKKEKYVILPSISDVVVEQGYLQSFFGVYSIRIENVGVRKPPSDDVKITGVAHPHDFRKAVLVHLSNTRNINFCREAPSDGQQSTSLNPIAGVGVRLLNNIWFPK >Dexi8A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:8A:284379:289670:1 gene:Dexi8A01G0000390 transcript:Dexi8A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPTTAAPIRAPPCPGINRVSLPFCHRRPRRAAPSTRCSRKGEPAVTDVVQEEAPRSPETQGKDGEEEEEAAAQRGALGWLRLDGVAVDILSIAVPAVLALAADPITTLVDTAFVGHIGSAQLAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVVDDSSNSIGQRDEIFMQQKKASKQRKVLPAVSTSLALAAGIGLLEMVALIVGSGTLMDIIGIPVGSPMRAPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVVLLTMTLSTSLAAREGPVQMAGYEICLQVWLTISLLNDALALAGQVGGVTGVALAATLFIGFGSLSLLFTDDPAVLDIAQSGVWFVAISQPANAIAFVADGLYYG >Dexi1B01G0014730.1:cds pep primary_assembly:Fonio_CM05836:1B:21105128:21105421:1 gene:Dexi1B01G0014730 transcript:Dexi1B01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSPAVATKEFVPCSLHTGICHKRALEMRQGEHRRCAEDGDSGVTPEREGCLGAAVSRVRAAPLARSPASGLLFRATSQPRRRGVAGGSEELDLD >Dexi1A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:1A:4989400:4991032:1 gene:Dexi1A01G0006550 transcript:Dexi1A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAPEPMKKTMIRVREFDVARDLRAVEELERLCQVGLSGDQSSDDSDPAAADHDDGGAGRKKKKKRSSKKKKKKKRGMSLYVEQIGDPFARVRHAPDHIILVAEYGEDEEVVGVIKACTRMVSRGKKNKKQSFSSSSKQFVKVACLLGLRVSPSHRRLGIATELVRRAETWCASRGAAYATMATTESNAASLALFAGRFAYAPFRRPVFLGHPVHRHRARLPRAHRVLRLPPALAAAAYAALLPPSAAEFLPADLPSLLSHKLTLGTYLAIHRRSAAADEPSAAAPSFALLSVWDATRSLSLRVGGAPPLLRASLAAARALDRHAPWLQVPSVPDIFRPFGTYLLYGLRMSGPEGPAMLRSLCRHAHNVARKNPACAVVAADLGPEDPAAALVPHWPKFSCDEDVWCIKKLAGNVAGDDDAEEDDDDWTTSPPPSGVMFVDPREF >Dexi3B01G0005330.1:cds pep primary_assembly:Fonio_CM05836:3B:3528240:3530577:1 gene:Dexi3B01G0005330 transcript:Dexi3B01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTVVYDAESGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSCVTYYTSALLADCYRYPDPVDGAVNREYIDAVRCYLGRKNVLLCGAAQYVNLWGTLVGYTITASASMMYVMSYSLTHAVTVTNNFVTCFLGSTTSAVKRVNCFHRSGGLSAASDCNPSGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHHGEVRGTLAGAAVDVPKEKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASFYGLGMTTVFYLLLGCTGYAAFGNDAPGNILTGYAFYEPFWLVFAQPIFARLESCVACRWPDAKFINATYYVRVPCLRSSTSSSPPATVAVAPLKLVLRTILIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLKIRRGELRWWMLQAMSFVCLLISVAASIGSVQDIVHNLKAAAPFKTSG >Dexi2B01G0019110.1:cds pep primary_assembly:Fonio_CM05836:2B:29278190:29278770:1 gene:Dexi2B01G0019110 transcript:Dexi2B01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALPLVALLVLAGSAALASATTFTVGDSQGWTTGVNYNSWASSKSFAVGDTLVFNYETKAHTVTEVTKSGYDTCSEANKLSDDDSGSTTVTLATPGTHYFICNIPGHCSSGMKFAITVSATRSGGTGTSAGAPRVPAMASVVVAAAAGAAFIKLALL >Dexi4A01G0013740.1:cds pep primary_assembly:Fonio_CM05836:4A:14245561:14246549:-1 gene:Dexi4A01G0013740 transcript:Dexi4A01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRARRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTSHLPRRATWLDAQYRRPGLVDLVPSRAQGARLSFGHSSATHSLKGTWDAEPADGMPRRCDALAASWGESAPTCACGLQHQRQLNELDDNDERSGGWHWLEHSHTGGQPKQQASQHGPAETSYVTAAATDGVSENTVEMEETASRKSPTRDLYPVRPPGIPGYMAATQSALAKTRMAPPSAPRAGTRTRSGSVAHSGGLTSSTANLDWSMRHGAASTHAPQHRAR >Dexi7B01G0022590.1:cds pep primary_assembly:Fonio_CM05836:7B:27468769:27470108:-1 gene:Dexi7B01G0022590 transcript:Dexi7B01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKDPDTVRAVYSLPDKAVVLQAARSVVSVSSFRQDGFSGTQCTGIIIQKWEESFLIVTSSKIVCEGFKLIDPIPKGGNGGQLIDHDGNTRGMAFYLDPYPAVLSISTIMKCVNMFMRF >Dexi9A01G0024430.1:cds pep primary_assembly:Fonio_CM05836:9A:20702424:20707206:1 gene:Dexi9A01G0024430 transcript:Dexi9A01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPLSLQQFLEKTAYPLLEGSASFLLDWLIEGHREYLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSIIREVFSAVLLSADILGKSDTNVVQRIKKVLPNLPPVKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEQTPDLCKAVAKSLYKRGDDGPGWSTSWKMALWAHLHNSDHAYKMILQLITLVDPKHEVSREGGLYSNLFTAHPPFQIDANFG >Dexi3A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:3A:373115:376315:1 gene:Dexi3A01G0000410 transcript:Dexi3A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRSHPAPTRLLSFFLLLVAASAQHGVVISQADYQGLQAIRHDLADPYGFLRTWNDTGLTACSGAWLGIKCVLGNVVAITLPWRGLAGSLSQRGLAQLTRLRRLSLHDNAIAGPIPASLGFLPELRGLYLFNNRFSGAIPASIGACVALQAFDASGNRLTGAIPPAVANSTKLIRLNLSRNAISDQIPAEVVASASLLYLDLSYNNLTGQIPDAFAGSISSPSSKFLRKDAITGSYQLVFLSLAHNALDGPIPESLTRLAKLQQLDLSANNLNGSIPPSLDNLTATLQSFNVSYNNLSGAVPPALARKFGEPSFTGNIQLCGYSASTPCPASPSPAPSVPASPAEEESHGRRKFSTKELILIIAGIVIGVLVLLLLCCLLLCFLTRKKSSSSTTTAAARRGKQQAAAATKDAAGGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSAFLHARAPNTPVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEQCNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGIIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDATAGTVGDELMDTLKLALHCVDPAPSVRPEAREVVRQLEQIRPGSDGGGAGPSEEEGGGAHVPAGDDE >Dexi1A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:1A:3623836:3625133:-1 gene:Dexi1A01G0004990 transcript:Dexi1A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKRKTREPKEETVTLGPTVREGEHVFGVAHIFASFNDTFIELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Dexi5B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:5B:22669044:22676201:-1 gene:Dexi5B01G0020470 transcript:Dexi5B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLYPYSRGVFPGGDGAPPPPPRYSDVEVDLIAARYANDPPPYPSANVSPIDSHVGAFDSGFGAFDSHVGAFDSHVGAFDSAFTAFDSHVGAFDSHFGARRSAEGAAWAICLLIVVTMDPNGEGSYPERPGKPDCPYFVKSNKCIFKSKCKFNHPKETLSALEAGSDNEQSLIADSAILPVRPSEPVCSGEVDCSFYMKTGSCKYGSTCRFNHPYRPVVDIPLMAPPVQTTLPAPAPIVSAANMLQIFDFHATHMPIEPVQIIYPQRPGETVCDFYMKTGFCKYSQKCKFHHPMNRSASDANEIEDPVHPLTLTLAGLPRREDAEVCAFYMRSGTCRYGARCKFDHPPPQEAIAKLQAGGNEDGKEKEGKQKADDKEGLSVVQR >Dexi3A01G0023670.1:cds pep primary_assembly:Fonio_CM05836:3A:19352062:19352746:1 gene:Dexi3A01G0023670 transcript:Dexi3A01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADEAAPLEFTPTWIVAAVCSVIVLISVAAERGLHHLGKTLKKNNQWSLYEALLKVKEELMLLGFISLLMTALQRTIQSTCIPPSWTDYMLPCQRPGEGLAATRARFSAAGILVGISRARVLGEGEAAGAEEGLCQKQVNSLN >Dexi2B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:2B:6856661:6857101:-1 gene:Dexi2B01G0006860 transcript:Dexi2B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRFSHIVLLSAAAVLLSLIATASAGTNWCEPGLVIPQNPLQSCCAYLVRRTCGPGRGAFVPLPVIRERCCRELEEVVPYCRCGALRIMVDAMPSGGEATSPCSWAAQLELASTLVSEAECNLTTIHGRPFCYALGAEGTTSD >Dexi2B01G0027120.1:cds pep primary_assembly:Fonio_CM05836:2B:36070018:36076530:-1 gene:Dexi2B01G0027120 transcript:Dexi2B01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSKSAVKSHPRSPTTAQPPPPPNPGAASAAGGGASAPPPSGPAAGATPSKNAAMAELKSRVLAALAKLSDRDTHHIAVEDLDRIIRAPPSPDAVPMLLNALASDSPGLASPARRESLRLLATLCAAHPDAAAPHLHKALAHLARRLKDPASDTSVRDACRDAAGQLAAVYLRPLAASGVAEAGNATVTLFMKPLFEVMGEQSKAVQGGAAACLAKTVEGAGPGPGVIGMFGKLCPRICKLLGGQGVQAKAALLGVMGSLAQVGAISSQNMQQTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLVGDGTAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDANDGRNKEPADGEGKLESKRSMQRSGKSESFEDCSPDSPSNNVKGSSIAEKAAVLLKKRPTLTDRELNPEFFQKLETRKIDGLGVEVVVPRKTLQSHLRSKDEPEEDGDHVGPANGNGSAEDEADQTQMRASSNFQNIRDRWASQRGNRNKDAKTRTSDVEDRGESSAKDSTAATMNIPGEGPFINNKTNWLAIQRQLSHLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSSRRGGSSALGFDSSPGRSSKYNGFHEYSNSKFGRSGDGRMGFAERYFSSDGASGMRSPSWRTDSEQWDSYAYSGSRGGMNARRGLDPVSSDNRMPRNERSNDQAGPRRGWGDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVAIPELDGETLNDDNQGDERGPLWDAWTRAMDAVHVDDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDVALTWLQQLTDLVMENGSDYLGIPLDAKQDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >DexiUA01G0004730.1:cds pep primary_assembly:Fonio_CM05836:UA:8793463:8799490:1 gene:DexiUA01G0004730 transcript:DexiUA01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSSTTAQQQLLNSRKQQNQHGGFIIRRRNSRSGLSAAAAMNNSSKQQAELDESKQTEQDRWRGLAYDTSDDQQDITRGKGLVDYLFQAPMGDGTHEAVLSSYEYISQGLRQYSLDNTMDGYYISPAFMDKLVIHITKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYCEAADIIKKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVATTSPRCTRRSYVTDDRIGVCRGIFRADGVSDEDVVKLVDAFPGQSIDFFGALRARVYDDEVRRWVAEVGVDNIGRRLVNSKEGPPVLEQPEMTLEKLMEYGHMLVAEQENVKRVQLADKYIREAVLGDANDDGDMMATAGDDFYGKAAQQVGLKVPEGCTDPNARNFDPTARSDDGSCAMWELIHKLLPSLSSRSIIDHRAGGEISTRPPHTSRNKKPAASEIMAAAFSSTVGAPVSPRCFVTASTPTSFLGKKLKKHQQVTAATVNYHGKSSSGANRFKVMAKELDESKQTDQDRWRGLAYDISDDQQDITRGKGIVDALFQAPTGGGTHEAVLSSYEYISQGLRDYSAWDNIKDGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVPEEDVVRLVDTFPGQSIDFFGALRARVYDDEVRKWVAETGVENIGKKLVNSKEGPPKFEQPKMTIEKLLEYGHMLVAEQENVKRVQLADKYLSEAALGEANEDAMKTGAFFKGSSSWQRLCREPALGKADSAKFFSAKLPLPRAFCRDHGKAFAVCTGDGIAPSLWGPQLGLPRATCQALGKELKGPHREKQARGLCTWLCREPSPALTAKNGSFAESLALCSRQKMAPLPRAWPHALGKPLG >Dexi6A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:6A:11488804:11489336:-1 gene:Dexi6A01G0009670 transcript:Dexi6A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSTVLVISFLILITFASRSSGSAGEFRRATSAQTSSAGKKGLEIQSPSRRLGLGHGVGTVEMKHHGRMVTGHKGGSMGGGGAGGGGAGTGGRNVGGGGAVTRPHNSKNGAAALPVPMASVLALAFGCGVALSALSF >Dexi3B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:3B:3811863:3814031:1 gene:Dexi3B01G0005630 transcript:Dexi3B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACGRAEAAVLCCADEAALCRRCDAAVHSANRLAGRHSRVELLPSSTTGAPSHSNILVGEGSHPACDICQEKTGYFFCLEDRALLCRPCDVAVHNAGGAHVAPHRRFLITGVRVGGGVEGHHHVPTGMDAAVVSPSTSSGNGSSSVPCSSGNPMMTMPEKVRPSSPACADQQWPWSELLADDDVATAGVELCCPAGLSEPGSSSLTG >Dexi3A01G0027760.1:cds pep primary_assembly:Fonio_CM05836:3A:27400378:27402833:-1 gene:Dexi3A01G0027760 transcript:Dexi3A01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGEQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDMTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWAISFGVMLFSCFINQ >Dexi3B01G0029350.1:cds pep primary_assembly:Fonio_CM05836:3B:28554211:28561111:-1 gene:Dexi3B01G0029350 transcript:Dexi3B01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLEHAAADHLHQHPPRILAAAPPLPQQQPPPAAAQPAVAGVLHKWVNYGKGWRSRYFVLEDGVLSYYKLRGVGAGGGGGSGAGEAAASPAFAAARVIGEGSALRRAREEAAAAGKRWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNTWIDALLAAKDRFPRSLTSNDLGPVADILLSTEKLRVRLLQEGLSEVVVKECESIMMSELVELHNQIKSQQQQQSILIDRLRQLETEKVELERTVVHETKERETHGYANGRQSDFYSVLSGGTTSESDPDTASQVAEVETEDDEVTYFDTRDFLSAESLRSASCRRKELMANGCSGSEYVGDSVANTVKTTRYPFVLRRDKLPEPKEKEKPIGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQVEVVTLIDGMLVGIQVNGLPVFSEPFIYNCCTSQARRLQEKGWKPRWFKKDDDDSYRYVGGYWEAREKGNWDGIPDIFGQNCVSPTLP >Dexi5B01G0031090.1:cds pep primary_assembly:Fonio_CM05836:5B:31910234:31912531:-1 gene:Dexi5B01G0031090 transcript:Dexi5B01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRLAVTGSGMAAGEDEHEAVIRELTRGRQLTARLRAEALSALRGQGQAEATAALILQEVSRAFNVCLSIMSSPSRAPPPPPPEIMPAAAAARLSPTATTDMAGGQRRNREDSIPRECYYRCSFHRERNCRATKQVQQCSAGDPPQFLVMYFNEHTCDTAATWEPEASSSANPAAAVLDMSSVAGLAARRGVQEEHERQVLVDSLASVLGGHQHQHFHQSPLPDVAVGVAHQAEDTLARTRDAPAPRAAPAAGGGMPRLDDVDVAGTLDVMDYDDVTAELCFGGDPYGLPDGGDLPFC >Dexi6A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:6A:23200872:23201316:1 gene:Dexi6A01G0015650 transcript:Dexi6A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTCNGLLCLRRWHGDFVVVNPVTGEKLAVPPPWMSHKVSMEAAAYSFAYHPETGLYKIMHFACHRGSRTLGAVKVFTLRERAWREVPVPVGTSCLSRCGLVSVGGATYWVAADANSVMSLDLKDERVVFVATLPVHGRRSSLCT >Dexi9B01G0026040.1:cds pep primary_assembly:Fonio_CM05836:9B:27711317:27713961:1 gene:Dexi9B01G0026040 transcript:Dexi9B01G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLSSSLSFVLMSLSVHQLKVHDHRLEAPMDAQDEERPLIHHLPPQRNRFPLEFTVQDEASEFTCDGTVDVSNQTALKQSTGNWRACFFILVLKKKSYLGVEFTESVAFFGIAKNLVTYLTGALHESNVDAATAVSTWIGSSFFTPLVGAFMADTFWGRYWTVVIFLSVYVIGMFTLTVSAFLPLLMGSSYDRGIHRVTAYLGLYLVALGNGGIKPCVSALGADQFDANDPEERVTKGSFFNWYYWSVNIGSLLSATVLVWVQDNIGWGVGLAIPTVCMVSGLSVFIAGRKVYRYQKVGGSPLTRVSQVVVAAVRNYHLVLPEDCSALHEAPSPTVGNCKIQHTSQFRFFDKAAIVAPYSGGKGDTSPWRLCTVSQVEELKMLLRMFPVWASMVLFFAVTAQMSSTFIEQGAAMDNHIGPFTIPPASLATFDVLSVMFCIPIYDAVLVPLARHVTGMERGLSQLQRLGVGLALSVAGMAYAALVEARRLALARTGKPMSIMWQAPAFAVLGAGEVFTAVGILEFFYDQSPCGMKSLGTALAQLTIAAGNYLSSAVIGAVAAVTTRGGEAGWIPDDLNEGHLDHFFWLMAALGVVNLLHFLYCSMRYRGNNTTAL >Dexi1A01G0006350.1:cds pep primary_assembly:Fonio_CM05836:1A:4751822:4755766:1 gene:Dexi1A01G0006350 transcript:Dexi1A01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGKKSGPLTPKVGRKREFPIRAEDYELLEPIGDGATAVVRRARCRPLAGEVVAVKIMNMAHRTESDVNNASEEVKTMIMIDHPNLLSAYCSFTEGEALWIVMPYMAGGSCYHLMKSSYPKGFEDENFIAFVLRETLKGLEYLHENGHIHRDVKAGNILLDQEQGVKLSDFGVTASLYDSLINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITALELAIGHAPFSSQPPAKVFLMTLQHAPPSLHNTKEKKFTDENEAKMQADKKPHDKCKEKASQEEYRRGVSEWNFDIADLKVQAALYPDENEAEDFLRFLFELDIVDESTKLQDLRARNCPMNDERMNAGDDGSGKSNSTSPMSLSRRLHVSTCKDVDEYLEKTAFQKGRFKVIHDYSQLEGATQREKELLERINSLEKMLLASQDEVERLKAKGKHTIMLTLRQKEQRNVRKNNSSEANANSTVT >Dexi3A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:3A:4095637:4095740:1 gene:Dexi3A01G0006240 transcript:Dexi3A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDFVLPRRYDVYSPAGEQEQGAI >Dexi6A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:6A:12694722:12695159:-1 gene:Dexi6A01G0010300 transcript:Dexi6A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAPAPGKPLVLLAQQPFPTFAEAVGAHYRFVLAAEADAAAAGEARVLLVPGLKAVTGELIGRLPALELVVATSVGLDHIDLDACRRRGIVVTNAGGSYTVDSADYAVGLVVAVLRRVAAADSYFRRGRWAADGDYPLATKVS >Dexi9A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:9A:3876765:3877228:-1 gene:Dexi9A01G0006830 transcript:Dexi9A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHPHAAAALDPLDVFDTVRDIKDPEHPYSLEQLSVLSQESISVDEKLGRIQYGMGVSH >Dexi9A01G0024580.1:cds pep primary_assembly:Fonio_CM05836:9A:20967303:20970806:1 gene:Dexi9A01G0024580 transcript:Dexi9A01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRIPLLLLLASLLLATANAIDGNTTTYIVFLDPAQMRAVHASPAHWHASHLESLSIDPARHLLYSYSAAAHGFAAALLPHHLPLLRGSPEVLQVVPDEVFHLHTTRTPEFLGLLTPASYQPAIGNLEAATHDVVIGVLDTGVWPESPSFAGGNLPPPPARWKGACEAGVDFPRSACGRKLVGARSFSRGLRAANGVLGKTTFRSARDRDGHGTHTASTAAGAAVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVSCSAGNSGPSAATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSSSSPRPAMLPLVYGGGRDNASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGRTVGDKVREYASRGGGGRPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGVVGPTGLAKDGRRTNFNIISGTSMSCPHISGVAALLKAAHPNWSPAAIKSALMTTAYTVDNTNSSLRDAADGSLANAFAYGAGHVDPQKALSPGLVYDISTNDYVAFLCSLDYSLPHIQVMTKMSNISCPKKFRPGDLNYPSFSVVFKQKSKRILRFRREVTNVGPAASVYNVKVTIPESVSVTVTPAKLTFSMVGQKQRYYVTFASKAAQNHTKPDFGWISWVNQEHVVRSPVAFTWKM >Dexi9B01G0017560.1:cds pep primary_assembly:Fonio_CM05836:9B:12334054:12334764:1 gene:Dexi9B01G0017560 transcript:Dexi9B01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEAKPSGASTSAAQPIFLVVLDGGVETPVHDELTTLYGAAGGTVTVTGPGQLSAEGLRSVLVRGVVVDNDDGEGESEGEGVVAATTTAVRFTLCADAAAECVGAASFDRCGAARVEGAREVSASRCRAAEVERAGKVTLERCRDARLRGGGFLRASRCRRADVESFGEARLARCKEARLDWCGTVEVDMAVNVSRCGAVTGERCRVVNAAGCGSVAVARAVVNMVEEERMQ >Dexi8B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:8B:1009375:1012097:-1 gene:Dexi8B01G0001530 transcript:Dexi8B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYLGTEFMAINMAFYMNNLLSNIKTRVCSCIGFGYQSACDYPVVLGGGFVLLFLHKLCPSLFAFLLSSSPVILLTALLLGALLSYGEPSAPVIGEETLENQQILPHKSKISIADCSVEKVENVDEKVCTTMRSASKVIYTMETISGGIWHGTHYHAENGIPSPTDTNTILCAESSELAKSNANVVREEHAKEICENDQVQKFEEENVTSVSADTVLCAETSGFAKNEVIVEREESVKEICEEKFELQHFENTNCERCHYEVNNQYQFGELMSSCWQPVIKHEPCSDSESDLSESSSDASITDIIPMLNELNPSANLGSDNPSSNFRDNLNSSSDDDEDDSEDGNISSNEDGAEEQKGGGNKNNWKEFVDSTSSDMENNENLESLMERRKAKNIMKFELDRRLMDMQAADAIQKMEEASRFRVQVPSISTPRPNLSDPSNGSEEVVELPQIPDSAPSVLLPSRKTFDIPFDQTVDRDSRLQETWTPRSCFPSTQRRSHENLYLKQSSYLRHHNGITLEKPEVSEKDAGDNNSDSNSEQAWDNGKLFGSLEPHVGDEIKILSAAISDVCVLEVNHGINEGNKSTDPINGTDSFYIQKSISSTSEANDSVSAGCEQLLLHSPSEEYNTEKHTIESDSISEVNSLFKCRMEEVLVQSISESGIDQPFAGKLENGLNDTLSTESALSVIKAKSVDELNSQCAQLNEEALECTASDSSCDNESIQYRSSESLPVENGHTPMVVKVEYKSKELLTEDSELPVLEASSVEQMNLTFKQLEDEAQAPKEMPQSSELTVGENNGETDSGVLVPDASSRESISSAFVHLSTNDDNIEIRRDGEVILDSAELNSRLHVMENNALDSNDRSRSDSTTKGIEVTESLERAAS >Dexi4A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:4A:11595379:11595892:-1 gene:Dexi4A01G0012760 transcript:Dexi4A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDATLADFGAHFDRLFASPDAASDGKVKLLLFLADREPGSSLTWCPDCNVAEPVIYERLEALKGKDAVLLRAYVGDKPTWRDPAHPWRVDPRFGLKGVPTLIRWENGAAAAKLGDEEAHLKDKVDALLGAGAGN >Dexi7B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:7B:25867395:25868856:1 gene:Dexi7B01G0020620 transcript:Dexi7B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGERKKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDEMAKNSHFKALQALGPLEVFRADLEEEGSFEIALAGCDYAFLVAAPVNLMSANPEKELIEPAVRGTLNVMRSCVKAGTVKRVILTSSAGSVIVRPDLQQGDGHVLDEESWSDVEFLRAEKPPGWGYVVSKVLLEKAANRFAEEHGISLVTVCPVITAGVAPAPTAHTSVPGCLSLLSGDEAAFNALRGMETFGVVALCHVDDLCRAELFVAEEEAAAGGTSAAASTRRSGDLLEKPRVCLSSAKLEREGFEFKYKTLDGMYDDMIEYGKALGILPS >Dexi7A01G0001290.1:cds pep primary_assembly:Fonio_CM05836:7A:3333179:3334350:1 gene:Dexi7A01G0001290 transcript:Dexi7A01G0001290.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIAKRVEKQPVGNSPEQIMASSKAFLAILGCVCLCFSVIEARELSDAAMVERHERWMVEYGRVYKDTAEKAERFEVFKDNVAFIESFNAGNNKFWLAVNQFADLTNNEFKATKSNKGFKPMSTSAATGFKYENVSVNALPASVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGNLISLSEQEVVDCDTHSMDEGCEGGWMDGAFEFVIKNGGITTESNYPYKAVDGKCKGGSKSAATIKGYEDVPVNNEAALMKAVANQPVSVAVDAGDRMFQFYSGGVMTGSCGTELDHGIAAIGYGVESDGTKYWILKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPTE >Dexi2A01G0028220.1:cds pep primary_assembly:Fonio_CM05836:2A:39508307:39511157:-1 gene:Dexi2A01G0028220 transcript:Dexi2A01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSCKGTASSQSCEVETTNVISVSKLVSEDDMGCGCNISSQAFFEHKQLVSTCIGLPARKASVAAQRIQSAYGRFLNNRLLKITAAIKIQSHWRCYSVRKCFTKQVQAIVGIQNSIRLSLHHQARQRQELSAVFIQRVVRGWFARNKMLGSSLLHTNMRFCVLDQSQQAKCHQSLELKIVLHSVIRLQRWWKKFLLHRSIRTSVISIQSFVRGWLARKQLDRTFCCISIIQRWWRKLLFLESRKQAVTVIQAHFRGCVTRQDAIRTRRCISTIQSYVKSYLVRKASKQEVAHTRSGLQKSSAQVDDGMHLINRLVAALSQLRHSRSTHSIRQTCATLSTATEYSKKCCETLVTAGAVGILLKQIHLLNRGIPDQEVLKQVFLTLRNIACYPNLRQVLIKTPESAEIIFQELLRNKSTGFFIASDILKKFCESKEGHETVRALCHHIKRLRNLVQDLEKKVEFNKRNGRAAAVKENNLRRLQEAATLYHLLTCDA >Dexi9B01G0021070.1:cds pep primary_assembly:Fonio_CM05836:9B:15746780:15750233:-1 gene:Dexi9B01G0021070 transcript:Dexi9B01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQRGNLRREDGVENFMMDESSSDDNTENYLPNGEKKDRPDQQSKKCNFLNEENNWWEELLDDNTYMEADYSGKMILLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKYWKRGKDWYRWIAGYHEHEALLQENDEDRLTKEEQDMALSEWEALRRAGRDPERKSNITAVPTDPNFVRPVKAASRSRQPQQPKVNSNNQKKCNNLAHLLTLRSHGTKAGCTTTCNECGQEISWETLNRDGGRSR >Dexi2A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:2A:27622964:27623404:1 gene:Dexi2A01G0016130 transcript:Dexi2A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCPARANTTVAIVGSRKGHCKAVAEWGGVSLGKKSDYYKLLSLEHSASVGTVEIKRAFRRLALRYHPDLCPPSRRAESTEVFVQLRRAYETLSDPARRVVYDAELRTGEKTAMATAGFARDVWEEQLCILRARSERRQRARS >Dexi9A01G0017620.1:cds pep primary_assembly:Fonio_CM05836:9A:12676315:12677068:-1 gene:Dexi9A01G0017620 transcript:Dexi9A01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGRPATFASNLFSYGYKCASLAIHEHQWQKMKRIVASEILSPALDRRLHVQRQQEADHLIRYVYAEINAGHGGSIKLRHVTQHFCANMIRRLVLGKRHFSESPGTMMAGHHEVEHLQALFTLVEYMYGFCVSDYFPALVGFDLDGHEKVAKRVISVLERLHDPIIQERMLEWSNYRRKGCSKRDAADFLDVLISLEDADGQQLLSPEEIKAQVVQ >Dexi9B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:9B:13381482:13383184:-1 gene:Dexi9B01G0018800 transcript:Dexi9B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLANEVSDLCIGKPAVRSLPLSAAAGELAAALRRVARSGAAACVAVTGPARAVVGRVGLADVLCFLCTDPEALARPAAALAKPVSALLLPKDGAGEVRRVDPRSSILEALDAVLSGAQVLAVPLRAGGRRKQLAGGGGAAGDFCWLTQEDLVRYFLNSIGLFYHVAARSVSSLGLVRTEFLSVRPGEAALSAVPLIRRAIATETAVAVVTEDGHLVGEISPALLAACDETAAAAIATLSVADLMAYVDYFGSPPEHILRAIKAGLKDKGLDSMLELIEDETLSSFSSLSSASSSSDEETGRAQLRRPSSGSYGRRSAEEPVVCSPASSLVAVMVQALAHRVSYVWVLDEDDDCRLAGIVTFADVLRVFREQLL >Dexi1A01G0026120.1:cds pep primary_assembly:Fonio_CM05836:1A:32158628:32161966:-1 gene:Dexi1A01G0026120 transcript:Dexi1A01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLAASSLVLLLFFSACRPARSLLSPKGVNYEVQALMTIKNYLKDPRGVLKNWDQDSVDPCSWTMVTCSPENLVIGLEAPSQNLSGILSPSIGNLTNLETVLLQNNNINGLIPADIGKLTKLKTLDLSSNHFSGEIPSSVGHLESLRYLDLSYNNLSGPIPGSLTRTVNIVGNPLICAATKEQDCYGCLPMPMSYSLNNTQDQHIENANLGNMKRFQFREIQAATENFSSKNILGKGGFGIVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLAVHRNLLRLYGFCMTTSERLLVYPYMSNGSVALRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDCCEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKQLDILMDKGLGNKYDHIELEEMVQVALLCTQFLPGHRPKMSEVVRMLEGDGLAERWEASQHTDSHKFKLPEFTFSRCYSDLTDDSSLLVQAVELSGPR >Dexi5A01G0026970.1:cds pep primary_assembly:Fonio_CM05836:5A:30507494:30509670:1 gene:Dexi5A01G0026970 transcript:Dexi5A01G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAASASLSSSFAPRHHHRRLARPVTRRAAPAGLAVRCEQSDKQKRKPLAALVPREERFMFEGDELCGPDIWNTTWYPKAADHVTTAKTWYVVDATDKILGRLASTIAVHIRGKNEPTYTPSVDMGAFVVVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIRDKRIQKTD >Dexi4A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:4A:687399:690971:1 gene:Dexi4A01G0000970 transcript:Dexi4A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding APTPHAHGRDAMAAGPPPLAGDRGSSSASSSHHHHHPPPPPPPKILLAKPPLPHASPSGADDDGGGPGGARARARQAPQPGSLSLVSDAWDAHTDKILPYLTENNDFMVIGVIGPPGVGKSTIMNELYGYDASSPGMLPPFPTQTEEIKLMGKHCTTGIDLRISNERVILLDTQPVYSPSLLIDMMRPDGSSTIPVLNGDPLSADLAHELMGIQLGVFLASVCNIVLVVSEGMNDPSMWELMLTVDLLKHNIPDPSLLTSSASQDKENKSDDQSGSEDYISDLCFVHARLREQDFSPSKLMLLRKTLEKRFDLSSFRVGSASATGQVSGPSVSSSAKVEDLTSNRQDIFLLPLRGHDNSTKFEYGTHSCMLGVLRDQILSWPARSFSKNLSERDWLRSSAKIWDMVKKSPVIAEYCKALQSSGLFRK >Dexi5B01G0036010.1:cds pep primary_assembly:Fonio_CM05836:5B:35872839:35876328:1 gene:Dexi5B01G0036010 transcript:Dexi5B01G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGSGMGGFPPASGNLLDAAAQAFYPAVGAPYPLQPPLPHQLYCPPHPYPAMPVPPPAMAVPVLPPPMAMAMAPPQPGYTLPTPAAAAVDGPSSRAVMLSLVPPHAQEADVAQAMAAFGAIRSVDASAVASDGVAAVHFFDIRAAELAVACVREQHMRQQSRLGQLYAAAAAVSPAWPPPPPPPAPWDWSHDDSRGLVLGHAVWAHFATGDEGGGDTNRGSLVVLSPLPGVSVADLRHVFQAFGDLKDVRESAQRPSNKFVDFFDTRDAARAIAELNGQELFGRRLVIEFTRPSAPGPRRRGGYVMTHHRPSAPTPPRHQPAWRPASSQQPMSQPSISSSSGSVRAREGGAVVLLRRTSSNKASSAGDQSKSGSVTTSHERKIKQGGSNKSVASASSSSATAASGNKQALKGGSSSGGGNWKGRKSGWEARFLFKEPEVTGDEAAAAAAPPEMDTRTTVMIRNIPNKYSQKLLLHMLDNHCIQSNERIVEQGDEGQPFSSYDFVYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVYNSRKICQVTYARVQGLEALKEHFKNSKFPCDSDEYLPVAFTPPRDGKQQTEPVPIVGRSPATASSSPSESRAAASVDPLAQELMPGPPSSSGDGDGDGASSTTASTHAPSDGHGDDDDEEEDDSSRLAGELRRLDYTD >Dexi8B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:8B:16020563:16022070:-1 gene:Dexi8B01G0009220 transcript:Dexi8B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMLHITPSYTSLPDGFSIPADQLHPATTSTVVTLPVIDLSGSRDDVCHAILHAGMEFGFFQVVNHQICEETLREMEAVCDEFFELPVEDKMHLCSDDKSKPNRLFSGSNYKTSSKMYWIDCLRLTPSVLVTARTTGPTSPKGSVFENFIEQTRVLGMELLRMLCKSLGLPLCYFDGDLSSGDMVLGVNLYPPCPEPSRMLGLPPHCDRNLLTLVLSGAVQGMEVFYNGDWIKVEPMPNAFIVNFGLQIEVVTNGILKSVEHRVVTSMSLARTSVVTTINAANDCLLGPAEELLSDSNPPRYRTIMCRDFARIYTEWLEQCEGDMKHHMKPFKI >Dexi3B01G0021530.1:cds pep primary_assembly:Fonio_CM05836:3B:16405039:16407802:1 gene:Dexi3B01G0021530 transcript:Dexi3B01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPVGIDNTSRRKFDKEEYLERARQREQREKEEARKGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLNQQAGYFCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPSTFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQAAQHEPEDIDPDVAAMMGFGGFGSSKK >Dexi2A01G0008940.1:cds pep primary_assembly:Fonio_CM05836:2A:9007365:9008090:-1 gene:Dexi2A01G0008940 transcript:Dexi2A01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGERRRLLTGVAATASLVRAEHGGISCWSIYGNSDAGEGTSLWLAPASSRPDPVLWWSDPRPTQVDLLPPGGYSCRSAGEQPLWELLAGTTRPGGDQHELLPFAASSTPPTTSPFDRPPRRRRHPTPTLHRCRPAPPLPLPPPPHHLAAHPHLARSPPPPLPHPTRCRPRVLAPQATQAAVKTPGATASCFVPLAGVLCQKVVLHSESFWDASQPDGGDALGRCSTSWRRWSSANSHF >Dexi9B01G0034300.1:cds pep primary_assembly:Fonio_CM05836:9B:36287851:36291288:1 gene:Dexi9B01G0034300 transcript:Dexi9B01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSLILRHLRLAAAPSSATSFRPAAALQEALCGRRWMSSEEAKGSFLDKSEVTDRIIKVVKNFQKIDDPSKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >Dexi7B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:7B:11537853:11539779:-1 gene:Dexi7B01G0004570 transcript:Dexi7B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPAGAAAAAPAAPGSPPPAQVVGNAFVHQYYNILHQSPELVHRFYQDASRLGRPAGAAADGMDTVTTMDAINDKIVSMGITRAEIKSVDAQESQSGGVTVLVMGHLTGRSGGASREFVQSFFLAPQEKGYYVLNDILRYVGEEEVEEGAEQPVVRPPTPEVDAEEAPAAVLPNGTVGGDTETVPREQDASPQPEQLAAEATPQAQEKEHLNGVEVCSPPNDVDKHVVEETPVPEVINEVPNNVAAAAASSSPPVPVEEAPKKSYASIVMKEYRPPARAVPSRPAPQKPEKQAPPAPVVVADAPAFSPAPQSGSFQDPEGISQPKLAAEALIDFD >Dexi8A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:8A:26134495:26140089:-1 gene:Dexi8A01G0015120 transcript:Dexi8A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIGISKTAVEALVNKVKSAIKEEAEKWQTVERDIVFIKDEFEMMQSFLNTASGDRMKDQVARTWVRQVRDLSYDTEDCIDFVLHLNTKPSFLPRLQSILRRLQPSMVQKTLPLDKAVAEIKQLKARAEDVNQRNMRYNLIVGKSGDQVQQATAASQMTLDILKKPTDAFDNEEDNLDLTMLIKVEDKGLQVISVCGTGGTISIINKTYDDPEICQMFEYRAWVKLVHPFNLHEFIRSMLAAFYSESSHKTGGATSGQTEADLGVQVVATMNATQDSIIKDFMEIVKKKAYLIVLEGLSDIVEWKAIRAFMPDMKNGSRIVVSTQQPEVASLCVEQPNHVWLLREFSADHSVYAFFKELPRKHQQTKNHGGNTHWDSIMMEEAELIVKKCGRLPKLSVALVMYLAEVRNGIREARRLNTNFMYALKTTKGLENFRDVFTWIYSNYQACPHLLKKCVFYLSLFTQSSMIRQSRLVRRWIAEGYSEGTDTNSIVEYTQNLLHELANLGITEHPQQTPTGAGNRSSCQINSFFLEYVISREMEENIFLPLEVSILQGEGSVNTQRVGQHLAIGSSWKRDKFVFENMDFSRLRSLTVSREWRSFLISDRMRVLRVLDLEDTNVADGDIEQVVKLLPRLKFLGLRRCTKVSCLPESMGELRQLQTLDIRHTSVAKLPKSITRLQKLQYIRAATRIGLMEEEPSTPWRGSQGTVDACDGIMVPTGIGGLTALHTLGVLDISARGGKAILNELKNLTQLKKLGVSGIKRSNIKGLFATIVGHRHLESLSLQLHKDKDLDWLGKITPPRNLRRLKLYVHVVKYPHWSCLQVLGQIKRLHTLGLRFETDQDVELQFCDNLDRNPLTAFGQFSEVKVLEIACSSNLHVKFAGPEMSDLEMLKVHCLHGSSLQLSGIDHQRKLKHVWMKGSFDDTVKEELRRKVGQHPEKPFCRLD >Dexi9B01G0030870.1:cds pep primary_assembly:Fonio_CM05836:9B:33288772:33290181:1 gene:Dexi9B01G0030870 transcript:Dexi9B01G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVVVIPFPAQGHLNQLLHLSLHLASRGLPVHFAAPAEHVRQARARVHGWPDDAVRRVEFHELAISDYASPPPDPAATSPHPSHLMPLFEAFVAGAPAALAALLRELSAASTPRRVAVVYDVMTAFAAEEAARLPNGEGFAFHCTAASIIARDMDGGLQLLRDVRGLDDLPPQAYSTEEHMEFVAKRARTHQTIPSSNGILMNTSRALEGEFVDLVTRELAGYGKKVFSIGPLNPMLELDGSLPDKGTSRHECLDWLDKQPAASVLYVSFGSISSLRGEQIEELAAALRDSKQRFIWVLRDADRGNVYADSDGKSRHAKLVLSEFMEQTEESTGLLITEWAPQLEILAHPATAAFLSHCGWNSTMESMSYGKPILAWPLHSDQPWDAQLVCKYFKAGFLVRPCEKNHGEVVPAATIQRLIEKMMASEEGLAVRQRAMELGKAIRASMAADGSSHKDLVDFIAHITR >Dexi3A01G0030180.1:cds pep primary_assembly:Fonio_CM05836:3A:33801257:33806059:1 gene:Dexi3A01G0030180 transcript:Dexi3A01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYQTAVQPVAPELPRIPEDGSPKPATTLEGLIIAEDSYQQPSPTRSEDGAANNGPGDIGTRSASLDSKSPVSPGTHTDVAEDEGCITIPYKSLPDNWNDVLEMAQLQPLDRSFLFPVIRRAGSYTGMPVSFSARYTGYIPLRIAAVMSKNGNSLQQSPNKSSPVKSNGHDNGATGENGFQDFDNDMQSVELNGEASPSEHDILETQSRLQMEDHKQQIELMLRRFRESNFFVRIAESDEPLWSKRKATTPKMADGRSDSQGNSKASKIHIYCHHIMKLHFPWDFINFKCHADSTIMFFVGRMQVVLQVNVGVNKLEDPVLEILQFEKSISSSCMPENLVDGLSDSNDDPCRELLSWLLPLDRTLPPRSLAPPTLNPSISHKQSAPGSQIFNFRSYSMPSASSIQTPNNIRPPPISESHEFMPEKPAKTPDIINDGQLSFRGVPLEAERYSVRRGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERRTNSDAPLALSLPTMTGAALNTTPKVGEPYVALSDPCVPPRSSTIVKLELLPLSDGIITLDTLQITAREKELIVALQALPTYRSNPWRYMLLLAFHLECLCESSSWYIVLYIGV >Dexi5A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:5A:6626838:6629614:-1 gene:Dexi5A01G0008830 transcript:Dexi5A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEDPEIFPSRMVGSGVWVPVGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADTAEVLLASSSASAAAAPSASVAANPSSDFSFDNDAPDSSDVEPPLLGMQNFQDGAYAEDLSNFHERSHADDWFGTEVMDVRVGWTKNLCSSKDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELAHNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGILVITSCSRTKDELVQEVVNFNQRKLGAMGSEGLPANDTAVFSYLDHVRSYPSVDSSCITTIAFLHK >Dexi5B01G0039010.1:cds pep primary_assembly:Fonio_CM05836:5B:38054119:38057586:1 gene:Dexi5B01G0039010 transcript:Dexi5B01G0039010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDEAPSWGSRSVDCFEKLEQIGEGTYGQVYMAKETGTNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGHLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDVIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQVCYIHTSFYKKYYLLLFVRLALPFLRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLNHPPPHSRLPPIQQSGQAHPQIRPGQGMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGPRGAGGSGYGAGGTNYQQGGPYGSSGPGRGPNYPPQGGSRNQQQYGNWQ >Dexi1A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:1A:24761062:24761934:1 gene:Dexi1A01G0017420 transcript:Dexi1A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPRPPAAASSSSYSQREPRPENPLLLLPSCRAAKFSLGCPILDRLLAGGLPAASVTEIAGESASGKTQLCLQLALLAPQSPLSASALFLHADLPFPLHRLRRLAPKSRPDILDHVLVAAAHSPTDLLSLLSRAQRLLAHPGRSPHPLPIRLILLDSIASLFRADFDASPTDLRRRSALFFQISTKLKELAYRHQCVVVVTNQVGDVVEGDAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTREVDGASGIARRWMKVAFAPHLPERACEFVIRRDGVFGVEPPER >Dexi8B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:8B:27355558:27356340:1 gene:Dexi8B01G0016250 transcript:Dexi8B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEVLETVRVDEHSINIVEDLGHLCQLRVVHIDFNLQRWEGLRESMGKALMESLNNLQKIQSLEITDFNGEDNHMKEGWVLPPRLHSSISTWIKPASLPLLSYLDIEFHKIGGNDIQILGMLPSLHHLWLGVSGHIQELPMEERFMVSAEAFPCARVCKFFNFVMVPSIFPRGAMPKVEHLEFCIRSRHFFADGDLDLNDLDMGHLPSLERVFVHLHSERVDKEKVIEVEMGLRHAVCVHPNSPSIDVRHH >Dexi9B01G0028990.1:cds pep primary_assembly:Fonio_CM05836:9B:31564403:31564663:-1 gene:Dexi9B01G0028990 transcript:Dexi9B01G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGMDCRCASASGGGGGGGQQREHTTCTCGEHCECSPCTCGRAMLPSGREDRRANCSCGPNCNCASCGATATA >Dexi5A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:5A:23512186:23512524:-1 gene:Dexi5A01G0019580 transcript:Dexi5A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLTKVSIAVAACARRLLRARRLRRGKQQVVPAGGGVQQEQGGALWRRAILMGRRCEPLDFPGAIHYDSSGRRVESPRCGSRKVSGALFCRSSDAVEDAITAAKRANQAH >Dexi6B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:6B:11261071:11267436:1 gene:Dexi6B01G0008900 transcript:Dexi6B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQGSGGTTTGGRTRVSVRSAAASAGSGSASVWEARMRMDEVKGGVKVFSAGGGDEPADEEGMRVFRRLRRNQSEGTGGAGTAAAAAKKRRNWRASEPVTAIGDLRKSRSDAAVAMATTTTTTTAVVARRAVARVTTPEKKVAPATPAGGEVKEVVVVEVRKAQAEEANSVVDEPEDELDDDVDELDDEELEEDKEMLDQDYMAIDNDETALHQGKESWMTTTKISSHRQKPTLSVEDERAANPEPVKPPPEKKLASEIDLRVINPEPMTPPPVGKKTTPIIVHRMTNFEPAKSSPVEEYEEIQGRPSQPSRSHERMQNIVNLVMWRDVSKSALVFGLGTFLLISSSYTKDLNFNTITAASYAGLIYLGLRFLRKSILNRDETVDCDAERDGKRCYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSNQLARYGKFWLERFRDAWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKLYQQRLVEFGWSSWVEDGAASDEAAADEPHTEEPPAKSSPSRAQGEPQSPQMFGTAAAPRHRRAPVSGEFARERLRVRGGIQPR >Dexi2A01G0025160.1:cds pep primary_assembly:Fonio_CM05836:2A:36904121:36906046:-1 gene:Dexi2A01G0025160 transcript:Dexi2A01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGLMGWISEREDNLQNHEFGHPASYWTGEKATKELQLAGITPMNFPVLQECATKAVKAASDAESDGSYLTGGRAMTLESKQ >Dexi1B01G0027840.1:cds pep primary_assembly:Fonio_CM05836:1B:32491005:32491529:1 gene:Dexi1B01G0027840 transcript:Dexi1B01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVDGVARFGAGKWSEIRKLSFSSYSYRTSVDLKGVCPRKINPSIIPIPTSILLRVKELNELQSQGGGFTGPVKLSGQNSKVVKGIGS >Dexi5A01G0018760.1:cds pep primary_assembly:Fonio_CM05836:5A:22258816:22265743:1 gene:Dexi5A01G0018760 transcript:Dexi5A01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGYSSWPPLPSTLKPPAAALKRPASISSVYPPLHACTHNTHISLVSSSRVCGAMAAANGSGGGKGFEVPKVEVKFTKLFINGNFVDAVSGKTFETRDPRTGDVIANIAEGDKADIDLAVKAAREAFDNGPWPRMAGYERGRILHKFADLIDQHIEELAILDTVDAGKLFAVGKARDIPGAAHLLRYYAGAADKIHGETLKMAQRMHGYTLKEPVGVVGLIVPWNYPTTMFFFKVGPALAAGCAVVVKPAEQTPLSALFYAHLAKEAGVPDGVLNVVPGLGSTAGAAVASHMDVDKLSFTGSTEVGRLVMKAAAESNLKPVSLELGGKSPIVVFDDADLDMAVNLVNFATYTNKGEICVAGTRIYVQEGIYDAFVKKAAELAKKSVVGDPFNPRVTQGPQVDKDQYEKVLKYIDIGMREGATLVTGGKPCSDKGYYIEPTIFTDVKDDMSIAQDEIFGPVMALMKFKTVEEVIQKANNTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYFAFDPDAPFGGYKMSGFGKDMGMDGLEKYLQTKTVVTPLYNTPWL >Dexi5A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:5A:1529005:1530364:1 gene:Dexi5A01G0002170 transcript:Dexi5A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPAWPEFGGGHHHHHQRSLSMGTFLTVPMPPLPPQHHHHHQQPSWVDEFIDFSAAKRGAHRRSVSDSVAFLEPDPDTNNAAAVGAHDFDRLDDDQLLSMFSDDIPPPPPQQAAPAPVASSSSPSDHNSINDEKTDRGEAEETQSNCQEDAAVQGQPVSATAVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNIESSTPDAAGDKDLIVSEGTTAAAAPCPPS >Dexi5B01G0037750.1:cds pep primary_assembly:Fonio_CM05836:5B:37130213:37132046:-1 gene:Dexi5B01G0037750 transcript:Dexi5B01G0037750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVARSYNHRGRGAPTRAGERWRRGGIRCSSSPAHEFAALAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFVG >Dexi5A01G0026960.1:cds pep primary_assembly:Fonio_CM05836:5A:30504205:30506300:1 gene:Dexi5A01G0026960 transcript:Dexi5A01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDEDGAAAAPTRRGLRAGRRKAAARSFGQRAVRLLARWWPVLLLLPAVALLLFEASRLSGSPPAPAASVSSLGRLDPTTHLVHGVREPCLKLLSPKSLANLVFPEGTKRDSVVKKIIYKSDDDDYDTYHSEANSTYLLQHAEATRFNLFAGFQTLAEREDSFKVNETINVHCGFYSDSGGFKISDEDRRYMRTCKVVVSTCAFGGGDDLYQPIGMANSSIGRVCYTAFWDEVTLSTQEAEGKVIGDNGMIGRWRIIVVKSLPFVDQRLNGKIPKMLTHRLFPEASSS >Dexi5B01G0031640.1:cds pep primary_assembly:Fonio_CM05836:5B:32345881:32349646:-1 gene:Dexi5B01G0031640 transcript:Dexi5B01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLISPCALTAKLGVGHATYGPGRRANLRRRGRLSVVAVQTGPQKPSPSSSSSQAAAAAEDEADALQKLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLEFRLAAYRRFLTMVEPTWSDNEYAPVDLQSICYYSAPKSKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPELVKRYLGSIVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDCQQADTGTKMIHRGKNSRSRIISKGISAGKSRNCYRGLVQMNSTAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGVDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >Dexi2B01G0023450.1:cds pep primary_assembly:Fonio_CM05836:2B:32918603:32925067:1 gene:Dexi2B01G0023450 transcript:Dexi2B01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSESPAKPVLLHGDLDLWILEARLLPNMDLFSEQVRRCFAACRPPTSCGPKHPPAAVSRDASGGGGARQHHRKIITSDPYVTLSVSDAVVARTAVIPNCQDPVWDEHFTVPLAHSAAALEFQVKDNDTFGAQLIGTVTVPADDVAKGEEVEKWYTIYGTNGKPYKPDTALLLRFKFHPIANNPAYQHGIPGDPEHKGIKDSYFPLRHGNQVTLYQDAHVKEGDLPEIELENGKMFEHNACWEDICHAILEAHHMIYIVGWSVYDKVHLVRESSQPLPEGGNLNLGDLLKFKSQEGVRVCLLVWDDKTSHDKFFIKTILMILIIICIHWMQAGSKGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRDRFRKVSHWKDDALIKLERISWILSPSPNVPNDHPSLWVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASNQNLVCRKNLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGLIFFFVFIQCYMMLHDIFMAGADNLIPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIADELKAKGIKDMQPEDYLNFFCLGNREEPSSNGSPESEKSTDKSAAGLAAKYRRFMIYVHAKGMIVDDEYVILGSANINQRSMSGSRDTEIAMGAYQPHHAWSTKNRHPHGQVYGYRTSLWAEHLGKVDDLFKDPSSLDCVKFVNEIAQDNWQRFTAEEFRPLQGHLLKYPVKVEADGKINPLPDHECFPDVGGKILGAPTSLPDTLTM >Dexi9B01G0019960.1:cds pep primary_assembly:Fonio_CM05836:9B:14699614:14701389:-1 gene:Dexi9B01G0019960 transcript:Dexi9B01G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASASAAKKSPAVGGEEMSDGSGSRMGVVGALSLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLRFFEPKPIDARTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTIVLETIFLNKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSVIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTKRDVFAFNYTVQVVAFIALSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILKDPFSIRNVAGILIAIFGMGLYSYYSVTESRKKTEAAGSLPIAAQMIEKDSAPLLGAKGSPRPENKAEESFDYMPRTAKSAFTGR >Dexi2A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:2A:13040669:13041992:1 gene:Dexi2A01G0011220 transcript:Dexi2A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILKPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPGAANTTATTTNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQEIVMDGGSLGETSFRDTKSEAGGIDVGGGDSPPSPGTRRSRRHRSRGSATSSMDVTGGEGGAEEKKKDKAKKGIRKNRKKDKDKPSTGEDGGSATCQDLPAVPKKSNRRKSKGSSEGTAKEGAAAPEEGAAAPPLPPLADD >Dexi6B01G0003180.1:cds pep primary_assembly:Fonio_CM05836:6B:2612663:2613742:-1 gene:Dexi6B01G0003180 transcript:Dexi6B01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPDDFRCPITLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLISAVAPVAAAAPVPSEGGDARGRRREAAPAVAHQSSPSSPVQALLRLAKSGAAGRREVLESGNAAVLLRHAAAGDEAAARAVLLLTLDGDDTRVGLVADGAVDALSAAVRRGGAAAALAATALTSLATVDVNKCTIGAHPSVIPELVGLLRRGGQRERREASTALYELCKLPENRRRAVREGVAPPLADFAGDGSARAVEVLGLLAKCREGRQELCKIPGMVEVLSGVVKSGNPRAIEQAVLVLNWICSESNGLALEAIKLGVFQLCENLVNDDNCKIAKNAVELARTLETA >DexiUA01G0009130.1:cds pep primary_assembly:Fonio_CM05836:UA:17559567:17561517:1 gene:DexiUA01G0009130 transcript:DexiUA01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEELAEMGISKEEKDKLVAEVMRYMLFKTHQTSGCPIKREELTGIVTKNYRQRALPTLVIKEARDRLAATFGYEMRELQRSRAPSTRSGRPSQQPSSVDAKSYVLISQLDPEVYSKYVEDKEAAPLTGFSFTVISLVHLAGGKISEEDLWHQLKRLGLHETDENHPVLGNNKQALELLVQQRYLLKEKLAGPEGHVMMYELAERALDETISGKIKDDISQVWYLSLLRARTS >DexiUA01G0027260.1:cds pep primary_assembly:Fonio_CM05836:UA:58389657:58391787:1 gene:DexiUA01G0027260 transcript:DexiUA01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDWAELKKELQEQRDHVRVLALDKKNAFDSCMKQVEDMRKELQSSWKAASDAESRAAILEAKCSDLEAKLKSRKVIFRDGGRDITATSEENDELFQLKEELEKYKEEAQANKNYMVQYKEIAYSNEVALKQLESAHQDYKAEAEVGRKALEDEIAKLRDKLSDMEKSYVMKCEEAASVIESKEKQITSLMNEISVLRTEVSQRLPQIESLEMELASSKNALDEQYKRWRTAQDNYERQA >Dexi3B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:3B:6390516:6395118:-1 gene:Dexi3B01G0009300 transcript:Dexi3B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNVPAYLLLALILAGTAASAARRHSPPVNATSAGAPVYIWPLPSSATSGNRTLTVDPDLALDPKGPGGASPAVAEAFQRYRGLVFAPWAHAARSRGGRYDVAKLTVVVASANETLALGVDESYAIYVAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRNAPWHILDEPRFPFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKWERYTVEDAQDIVRLNKHNMTTKDAYKYFVLKAQELAIKLNWIPVNWEETFNSFKENLNPLTVVHNW >Dexi2A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:2A:4850907:4859957:-1 gene:Dexi2A01G0005170 transcript:Dexi2A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDVMLLPLSDVICCSVSMASFFRPRTSRQQPPGQLLGVAERGHGRPQEIYVSTIDGAAERILQLLKDHIYGDRSVSSRNNVFYFDGWDGLGASAVLRALAERLTPTTPAGKKALAEQEFDQLIHIDCSMWESKRALQKAVAEQLKLPDEVMELFHRQDEEDDFRGVSKGSRAELPEVLRVMYEHVQNKNNGLLVIFHNGSSEEIDLASLCGFRLSGFATNKVLWTFQGRFRHRPRAKVDMAMKSAGTTDAFVSVSAMAQQDVTEFDETHGWSYFVSQEASELVTAHKINTRPHSTSDQAAQVHECFLYLLELCCRSSHSVDYDLTTHIPNYWVCDGIIHQLLRQGQRDDISADGDNDKLWRAAEALQREMQLDVDYHQYSPSSPLAKFVKSKPYWTSPTCGFTWIPAGAIPNKDMFQGYSDKLRVLKLSRCTFDFKSPPFICCHSLRFLWMDHCQGTGTSTDGGAGKEEDVRWCFERLWVLDVRHTPCDQILSVQMLDLMNQLRELNVIGAEQWDMGQLQGRLPNIRKLRVKDSGVICSCSENDLFSEANKLELLDVSGSRQISSPMRSLSAQRVTCLETVIMEGYYIEQINLRGCSKVKNLLLTWMPRTGSLDISGTAVKTLDHSTAYIENLDELYLLRCEKLRAILWPPKHKMRKQGLSKLCIDTTQPEPTAQPSKEKAERDTTSTTGTSVLRTTVQRGSQLIDEFPWYISVRDARLLASLEAICSDSREMYVEVSSVECPTIADGGCKYEGIKGTGNSEQQAPVNLQHQAEAAIYAADILVDYLKFASEGNGVASGFMSMWPCPDVPGLPKERGYIHIQDRMRTKLLWPQGEKGNNTIVVPRLIHVLPFLETMSNPNGFSKLKTLEIMWCGDLKEALPCTINTIGGKMWRQVIQEFTSLKHIHLHELPRLQSICGTKMYAPNLESVKIRGCWSLKSLPCIGSGSKVVECDCEKEWWDRLEWDDSSQASCYNTVHPRYYKKTMLRGSVLSAGAEIFRA >Dexi2B01G0017260.1:cds pep primary_assembly:Fonio_CM05836:2B:27671118:27676462:-1 gene:Dexi2B01G0017260 transcript:Dexi2B01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRNNCVLLAVLCGKHAEKRASAANSGPEAKRLRPSYPFPELSSAGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDADVSDPQAFSTLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINISPPETEMVDEEGSSEVTPAIKIYDEELNMSASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKSQLVRALVNNDDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTDYAKTDGLEYICPHCSLANYKKKPPPPKVANGFSNTASVS >Dexi9A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:9A:11867175:11871933:-1 gene:Dexi9A01G0016740 transcript:Dexi9A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDVLCNLRVVGVPTKSAIYIWGYNHNGQTARKGKECHLRIPKSLPPKLFKLGNGESLRWTDIACGREHTAAVASDGSLFTWGANDFGQLGDGTEESTKEPKKVKSLETEFVKSVSCGAHCTAAVAEPRENDGTVSKSRLWVWGQNQGSDYPRLFWGAFTPNTVIKQVSCGAVHVVALSEDGLLQAWGYNECGQLGRGCTSQGLQGARVLTAYARFLDDAPEQVKIIRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRIVALDGIVVRDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQSGFFSCSHNGSDMLLRNIPVMVIPSGVRLATCGHSHTLVSVKDGRIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDASSLKELCEFKLAEIVNRSNAELIEDVASRTGADSLARLCEKLREHVHEQGECELLEKQVPEEVEAKAS >Dexi2B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:2B:13747540:13750000:1 gene:Dexi2B01G0011920 transcript:Dexi2B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGLGAAAAAAWRAVRWSVVVPVLQLAVYVCAAMSLMLFLERLYMAAVVAALWLSRRRKRLAADARQRVKDDEDDLEAGVDDHGCPMVLVQIPMFNERQVYRLSIGAACGLSWPSERLVIQVLDDSTDPAIRELVEAECARWARKGVRIRYESRSNRDGYKAGAMREGLRKPYARCCELVAIFDADFQPDPDFLRRAVAPLRRDPGVALVQARWRFVNADDCILTRIQEMSLNYHFAVEQEVGSACHAFFGFNGTAGVWRLAALADAGGWKERTTVEDMDLAVRASLRGWRFVYVGDLGVRNELPSTFRAYRYQQHRWSCGPANLFRKVLPEILRSDRVSPWKKLHLLYGFFLVRKVVAHLVTFLFYCVVIPACVLVQGDVHLPKYVAMYVPAACTLLNAACTPRSWHLLVFWILFENVMSMHRSKAAVIGLIEASRANEWVVTDKLGSCKQQAAPVAKKKKQPARRREVHVLELAMGSAAAFIVGFGYVGATAPS >Dexi9B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:9B:3392121:3394166:1 gene:Dexi9B01G0005770 transcript:Dexi9B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPRRASVPAAAASMAVLLLLLASVATGDDRNGVYDPCADTTVRRGDGFTFGVVFAGHDAFFNGGVQLSPCDSRLGLSSSGAQVAVYRPQVDELSLLTINSSTFDPTSAGYMVAFAGRKYAARSPPVFVGNNTLTVTSFTLVLEFQKGRLQNLFWKGGDCSSCKGRSGFACVDNTCAVQTTSCKGKGGQADCSPGIQLAFSGTDNHEDVLNSWYEVSKLRQYSLFGLFSNLKDSLTSQFSSFF >Dexi6A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:6A:8095734:8096785:1 gene:Dexi6A01G0008030 transcript:Dexi6A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSKPQSTPPSTTTTSTCTVEAAEGTHLFHVEGYSLHERLVGGGKSVRSATFSVGGYDWAVRYCPEDAEEGGGGALVLTLELLTKNASATASCTFSCSSNQGLGDQDGHPDWRPAIRLVSAPAFDVQGESISAHKIVLAMWSPVFKAKFYGPEMEDSTRRIAVDDVRPAVFRALLHFIYTDSMPDIDDLCDDDDRKEMFRNLLVAADRYGIKRLVLMCEDNLCKSLSTDSVATTLALAEKHNLCNLKDTCLEFVALSNKIDDVVASEGYSHLKRSCPSVLLEILEKSSKLRRV >Dexi9A01G0029700.1:cds pep primary_assembly:Fonio_CM05836:9A:34591842:34593674:1 gene:Dexi9A01G0029700 transcript:Dexi9A01G0029700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFYRKGPSGFSGASTAEEVTAGVDGQGLVAVVTGASSGIGVETARVLALRGVHVVMPVRNVAAGLAVRESIVAKIPGARIDVLEMDLSSMASVRRFASEFEALNLPLNILIQREMMLVLLGCVSVDPIDVLIGSNNAGVMTRNCTCSCDGLELHFATNHIGHFLLTNLLLENMKRTSRDSGTEGRIVNVTSSGHVMTYPEGIRFDKIHDPSGLNDFIAYGQSKLANILHAYELSRIFKGAATTCYVAMHPQVQGTSGKYFADCNVASPSLQASDPEMAKKLWQFSLKIVSS >Dexi2A01G0031930.1:cds pep primary_assembly:Fonio_CM05836:2A:42584897:42585443:1 gene:Dexi2A01G0031930 transcript:Dexi2A01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRPRPGSLQALAVAVLLVLAATAAGSPDRRPAPGPPLFLPLQRSYPNATRLAASLRRGLGDGAHPNARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQYQHEAV >Dexi6A01G0006320.1:cds pep primary_assembly:Fonio_CM05836:6A:6060237:6062624:-1 gene:Dexi6A01G0006320 transcript:Dexi6A01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPQPGVAAPPPQAVPGAPPHWGVVPPPMPAQHQYAPPPTQQQAPPPPQMWGHQAPPPTHQAAYGQAPPPTHQAAYGQAPLPPPQAAYGQAPPPPQAGYYGAPPAPAPAAPAPVGPSEVRTLWIGDLQYWMDEGYIYGCFAATGEVQSVKLIRDKHTGQLQGYGFVEFVTRAAAERVLQTYNGTMMPNVELPFRLNWATAGEKRDDSPDYTIFVGDLAADVTDYVLQETFRVHYPSVKGAKVVTDKLTMRTKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKATGVQEKGEE >Dexi2B01G0033740.1:cds pep primary_assembly:Fonio_CM05836:2B:41159428:41160471:-1 gene:Dexi2B01G0033740 transcript:Dexi2B01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTETQETSAAAPAALPWTVRLQIFSLVTATDFAQRANGTVNRFAFSLADRQARASARPDSLGVVSADIAVDADRGLWARVFSPSAAAESAALPVVVYFHGGGFALLTPASAPYDAMCRRLCHELAAVVVSVNYRLAPEHRYPAAYDDGVDVLRHISSSGLPGLAVSIDLSRCFLAGDSAGGNLAHHVAQRWTAGIASGEPSIRIAGIILLQPYFGGEERTAAELRLEGVAPVVNMRRSDWSWRAFLPEGADRNHPAAHVTGESGPEPELGDAFPPAMVVVGGLDPLQDWQRRYAGMLRRKGKAVQVVEFPEAIHAFYVFPELADAGEVVKAIRAFMDESESKRA >Dexi2B01G0012160.1:cds pep primary_assembly:Fonio_CM05836:2B:14344944:14352743:1 gene:Dexi2B01G0012160 transcript:Dexi2B01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAGASYQRFPRVKIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFNLSARATDSDQTLEVTSNDLRSADPKVCPVDQARAYQQALSSGDYDPNAGDQRQDGRSVGMGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMDTLTLDEKRSWVESSPTKVFELDPVTQQVTVIDAEAYTYDEEVIKAAEAMGKPGLVEINAKEDSFIFTVETTGAIAAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGP >Dexi9A01G0030770.1:cds pep primary_assembly:Fonio_CM05836:9A:35688858:35689670:-1 gene:Dexi9A01G0030770 transcript:Dexi9A01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGELKLLGTWASPFAVRVKLALYFKGISNENIEEDLRNKSDLLVSSNPVHKKIIVQYIDEAFVGTGPSLLPADPYERAIARFWAAYVDDKLLASWLQTFRGKTEEEKAEGVKQTLVAAEHLEAAFKECSKGKPFFGGDSVGYLDVTLGCLVAWVHAGEKLYGFNPFDATKCPLLNAWVERFGALDAAKAVLPDTDRLVDYAKMRQAEAAAAASNSNN >Dexi3A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:3A:13710016:13713259:-1 gene:Dexi3A01G0017970 transcript:Dexi3A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNEKIIRGLLKLPGNRRCINCNSLRAKEIYFKHWDFQGPVIDSSDVYRLRTFIKNVYVERRYADQRIDEHLPQAKKSTSSRTDDSSPATLKDAKLYVSQNLIDFDSDLEAPQGVAQTDTQKDSLPPTDVGWATFDVATPKKTITMPSSFSTVAVEGPKLHIPDLASAPQIRFPNGPLSFPPAKYGSQQHQHYLYAVNTIQYNNTLLNRATSAPVYSQLQRAASPAPTTHGGTVLPANQGSNILIGTHDPAIVSSSHQPAAEATCNGRKAFPEDMFTTSYHPVSSSWNWQTNPHINIQYGQYRTHDPVGIVNVRIVTPYQFVIFFFRVSLMFVPFFNFCARSIAKYGQYGIVIVCS >Dexi7A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:7A:28010214:28013992:1 gene:Dexi7A01G0018690 transcript:Dexi7A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCQVLPLSQLFPADLQASNLVKYVTSTASLQGHAECLTDASVRHFSSAPSAQSDSTEENGFKGHGMLAPFTAGWQSNDLHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTKPSLDLAQELLSMFTAREMGKVFFTNSGSEANDSQADGFVSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGSLIYQHLLFCTLTVLTTGDIIFLIAAFIAEPVMGAGGVIPPPKTYLDKVQAIVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVRQIAPKFQDGIRAFADSPIIGEIRGLGMIMGTEFTNNKSPTDLFPAEWGVGAIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVSIYGEALKATEARVAELKSKRN >Dexi5B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:5B:809236:811717:1 gene:Dexi5B01G0001280 transcript:Dexi5B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEVAAVLETIWDLHDKVSDAIHALSRAHFLRAVRRRASSAAGDKPAGLVYVKGARGGGEAAALAAMAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDFALARLEQSRIMLAIRLKEHHGNNQEIIDEASDFLRNVYQDVWPSLSVNKPEKCADSASDMVKGPNFFVRMISSSLAIAGSTFSVKNLGSVLGNTAAFAIGVCTLVQLSRLASGAHSPAAGNYPFKRINEKNSSRFETSQGGSTVAHLDVSLAKG >Dexi2B01G0035220.1:cds pep primary_assembly:Fonio_CM05836:2B:42345846:42346519:-1 gene:Dexi2B01G0035220 transcript:Dexi2B01G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQVHSSPESSSSPATCTAEQRKVFTVWMKSLVLNGHGCTVYDSGGAIIYRVDNYDSRCGGVCLMDLDGTVILDIIKKKLAFGRREGYRWRGQKQEPRPWFTVTRPIRPFQWSHGHPASCELRCNAGGVMTRYTITGGSKRGCRIVDEASGVAVAEVRRKVTSSGVALGDDVLSLVVESGADLSLVVGLVLVYGLMNRSM >Dexi5B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:5B:19717442:19717747:1 gene:Dexi5B01G0017830 transcript:Dexi5B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTRLRCDSLLMVFTSATNSFSPRFELLLLLLLLPAAVLVVDTRFTATSMFILGRYTVPKPPLPSLRSGLKLAVALRSSLSLNLIGPRASIIFSRLR >Dexi9A01G0032040.1:cds pep primary_assembly:Fonio_CM05836:9A:36848082:36852149:1 gene:Dexi9A01G0032040 transcript:Dexi9A01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAVAKNERKVGGGGVSNGGGGGMNGGRRWKGGGGGGGRQHPIIQAYPALLPLPIHAAHGRRNGAVTLPLPPPLLVYLHQPPPPSLLFPKAAACYGYGKPNSAPPQRGPAWRSRKPPPPPHAVTAALLPLPHDTQLLQHKKFLVRDNETSDMKANHMSTHQNSPITLPAVTVAPRPDGGGVEGTVIPLYANHFLVRFDPSKKIFHYDVHIFPHPSKETARMIKNKLVEENSSVLSGSLPAFDGRKNLFSPIEFQKDKLEFFVCLPAATSARFTAAKENGHMLDKKKHKVFRVNLRLVSKLSGEDLNKYLNEEKDGIPLPQDYLHALDVILREGAMENSIPIGRSLYSQSMGEAKEIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHENIGIIAYLQKRCDFMKDISKMKTRALAEDERREVENALKNIRVFVCHRETDQRYHVHGLTEETTENLKFQDRSGKDYTVVDYFKEHYNHDIKFRKLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSKMLKMGCQRPSERKGIIKSVVEGAFAARSKSYADQFSLQVSKDMTQLSGRVLLPPKLKLGNGGRIKDITPDRFDRQWNLMDSHVAEGSKVKSWALISFGGSPEHQSLVPKFIKQLSSRCEQLGILLNKKTVVSPLFERIQLLNNVGILESKLKKIQEAASGNLQLLICVMERKHRGYADLKRIAETSIGVVTQCCLYSNLSKLSFQFLANLALKINAKLGGCNVALYNSLSCQIPRIFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANRYISRMRSQTHRKEIIEHLDAMAGELLEEFVKEVGKLPSRIIFFRDGVSETQFYKVLAEELQAVRLTCSRYPGYKPSVTFVVVQKRHHTRLFHREKNGGSTHYSDQNVPPGTVIDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSDSSATSRTTLYRSAPLQTAPLPKLRESVKGLMFYC >Dexi6B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:6B:23157627:23160609:1 gene:Dexi6B01G0015850 transcript:Dexi6B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGGELAGVYPVEDEDRQDVHMGDSDYEDDDSDQPISKATEDTTAMDVKNGKDIQGIPWERMNTTRDSYRQARMQQYANFENIPNSGRTSKKECTPAEKGRLYYEFQHNTRSVKSTILHFQLRNLVWATTRHDVYLMSYYSVLHWSALTREKHEIIDLQGRVSPCEKHQGNFSEGFYRTQVSTLAVKDNLLVTGGFHGEIICKFLDRQGVSYCCKSTSDDNGITNSLEIYEKPSGSLHFLASNNDCGLRDFDMEKFQICNNFRFAWPVNVNPSANSLIVYFSVEHHTSVSPDGKLAVIVGDNPDGLLVDANSGKAVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRVWDIRNLSHSVAVLGGNIGAIRSIRYTSDGKFMAMAEPADFIHIFDVESGYSKKQEIDFFGEVAGISFSPDTEALFVGVHDRAYSSLLQFNRRRFYSYLDSTL >DexiUA01G0023330.1:cds pep primary_assembly:Fonio_CM05836:UA:47713549:47714640:-1 gene:DexiUA01G0023330 transcript:DexiUA01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTVASPSSLLLTRRFLAAGTFSIFPVAGYSSSTTTAVKMARSALDEVTDTGAFDRSPSTFRSSVSGDASARFPAVPGRYHLYVSYACPWASRCLAFLKLKGLDHAIGVTAVKPIFERTKETDDHLGWVFPTTAEEEPGAEPDPLNGARSVRELYEIASPNYAGKPTVPR >Dexi2A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:2A:14104767:14106818:-1 gene:Dexi2A01G0012140 transcript:Dexi2A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTERLCCYWLLLLVAHFSLSATRAAAGKVSAVIVFGDSTVDAGNNNFIPTVAKGNFPPYGQDFDGGVATGRFSNGRLVTDFISEAFRLPSSVPAYLDPTYTIDQLAMGVSFASGGTGLDHLTAQIASVIPLSQQLEYFKEYKERLKRGKGESVANQIIAEALYIFSIGTNDFIVNYFPIPLRSTQYTASEYVTYLIGLADAAVRDAYDLGARKIEFTGLAPFGCIPASRTLNHDEPGECNEEYNQVAIKFNAELQEAVSKLNGDLAGAQVVYAETYSVVSAIVADPSDYG >Dexi5A01G0010630.1:cds pep primary_assembly:Fonio_CM05836:5A:7994437:7998633:-1 gene:Dexi5A01G0010630 transcript:Dexi5A01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGAHMFAYNATLCACDPGYYLVANRSVGGGNSSCVSLPGGGGDGFGDWHVGSVGATRNQSFYFLTPVLSLDVVRRLTQSQAVLLWSALAVLLAWFAFCAAARLAGRDPGRHKKLFNARFWVSRLDCIFDNNHYADDQQVLRKRKTELGGTFSVATLILFLGLVTVLLYQAINRRNVEVHRVKPANAPDLLSFVNDLEFHITTVSSMSCAHAVTPSTIAMGTPGFMDFRVQPLSTLLTYSCQNTSDGPSITLKCNGCRIPPRDHYVSWQFVDLPGQPAAAVGFQFNLTAKQHGDNNDVSFVSGTISSNNFVDDKLKTFRGRDSNVLKIQLFPQIYNNHHGLKLLQPLLQDFTQGSTFSDVSSLNASLQNPSDGVINTTLYISYLSDYIVEISNESVLGPVSILASIGGLYAFSVAIFLCLMAQCEGRIKKFRDEDTRMLKILSKQRAQRNWNKVRKFVMYTWGPSNLDPTDRSGKWPEASVMDSLHGSFHKRRKPIRRGTSNGTKHKKPADVVQYSDSSS >Dexi5B01G0023410.1:cds pep primary_assembly:Fonio_CM05836:5B:25579146:25580100:-1 gene:Dexi5B01G0023410 transcript:Dexi5B01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTRAKTEPRPRPPPPDLSSHPYEEELITRFLRPRAAASTTTDHPCASASFVHDADVYSACPDELTSRFAPARASNNGDDDGAWYFLSAVRAKTRDGQRKARTVDTGEGCWHSEAGAKPVVDETGWLLGHRQSFSFVTKVDGGRVRSGWLMVELSLDADDDVDENATDMVLCKIYFSPRARLNGRGAPTSSSAAGRKRKAAVDDKKNPTLSRQRRRRVDDTTSDAEEKDRNKGGEMANDEEEELWADDSSFSWWMRNMDQLMKEYNIVDRPDEEIQKTHGVYDAQHGLS >Dexi3A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:3A:10921852:10925678:-1 gene:Dexi3A01G0014840 transcript:Dexi3A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWSIYRRKPKKSFDNIPVSQIPDVSKEIAVDEVREDAVVQNFHVQETHALAVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEYATNRFSKENVIGEGGYGIVFRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWIHGAMRQHGVLTWEARMRVILGISKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMELKPATRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEDVPSREDRRSRRGHSSNADNESKASSSEFEISSDRRESGPSARFQS >Dexi9A01G0021820.1:cds pep primary_assembly:Fonio_CM05836:9A:16633744:16634544:-1 gene:Dexi9A01G0021820 transcript:Dexi9A01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANTVSSLLPSSAAPLPLAGRVALVTGGSRGIGREISSQLAALGARVVINYASNSGKADELVAELASRGHQSVAVRADVSDPDAVRALFDRAEEAFGSPPHIVVASAGLLNPKYPSLVDTTVEYFDAMFAVNVRGTFLVIREAARRIPANSGGRIVTFSSSIMGTLLPGYAAYTATNGAVEAMTRIMAKEVAAKGVTANVVAPGPVRTELFFAGKDEAFVRKVEERSMERLAETTDVAAVVTFLVSDAAAWVNGQVIRVNGGFA >Dexi1B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:1B:5322071:5323982:1 gene:Dexi1B01G0006470 transcript:Dexi1B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSPSSPPSPTHHHHPSKRARCSPARRREALLHQLFPLFPDMDPQLLERALEAAGDDLDSAIKSLTELRLESAEAILSTTVGESQSGLSAALKLSAQGTVSNGHLGVVTEHPPPTDNDQTNHHGSEWVELFVREMMSSSDIDDARTRASRALEAFEKSVMDRVGPEAVQNLHRENAMLKEQLAIILRENAVLKRGVAIQHERQKDFDVRTQEIDSLKQLVLQYQEQLKTLEINNYALRVHLKQSQQSNSMPGRFPPDVF >Dexi9A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:9A:1937280:1937558:1 gene:Dexi9A01G0003630 transcript:Dexi9A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRSASGSSSSPGAAANSSGSDSPYSFQAATTALPSRAPHDSAIGATTSTPTATDSILPGPLAWGRFAGALSA >Dexi6B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:6B:10036643:10042775:-1 gene:Dexi6B01G0008100 transcript:Dexi6B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVSQILEKQVLSAAKAVEDKLDEKIAALDRLDPDDIEALRERRIQQMRRAAERRAKWRALGHGEYTEVPEKEFFAAAKASERLVCHFYRDNWPCKVVDKHLSILAKQHVETRFIKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVISLDGEGSAYASKQAAATKRSVRQSGTGDSSDSE >Dexi5B01G0029360.1:cds pep primary_assembly:Fonio_CM05836:5B:30617771:30620075:1 gene:Dexi5B01G0029360 transcript:Dexi5B01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVDRSNMLKEFYIPTYIFVPESPVEHVSQIPTCPVIVFINTKSGGQLGRDLIVTYRKLLNHAQVFDLLDETPDNVLHKLYSNLERLKHIGDALASEIYKRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPFSFGWGKRNPGTDQDSVISFLQSLAYRHENGKPKRNILTHIAGVFGITSVWVSSLFEKGMDAQVSYAFHSERKLHPEKFKNQLSNQVK >Dexi2B01G0027220.1:cds pep primary_assembly:Fonio_CM05836:2B:36201020:36202225:1 gene:Dexi2B01G0027220 transcript:Dexi2B01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKSSSDECHAAKRRPKQAKKKHLYLLVDDWERGYSVRKLDVDAFDYCDGETDDLLPREQHQYFTDPPVARIEALHEISCHLISHGTKIFAMQPGEGKPAIPAFDTHTHGVTICPWPSCQGNNYSSPLFVSVAGEKLFLFMDVLAEVLGDQPPYDSKAPWSWTTINQARPPFFTGKVLCHAMHPDGRTLFVSAGSRRQRRPNRREEHYYPESESDSEQGQGTFSFDTERLQWTKHGDWVLPFSGQAYFDAELDAWIGLCGERNCAGFLCSCDVVAAADEFITGASPPCWKLGQEKLFMNRQQLHLGAKLLYMGDSSFCLVESLVHKEDDHLFLLHVDSQFPLPRRRVLCITTFGLKYNKKGELQTKPRRAGACITYKRPHDFGESLSLSPSAFWL >Dexi1A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:1A:19234486:19236980:-1 gene:Dexi1A01G0013750 transcript:Dexi1A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDITKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTEKVLQSELSECDAEAQVLDFVMRHVSSGTPLLAGNSVYVDLLFLKVSMNSLESFFSLLLLLQFLLVLLNTSIKSTKYMPQLAAIFSHVIVDVSSVMALCIRWYPK >Dexi1B01G0024850.1:cds pep primary_assembly:Fonio_CM05836:1B:30006310:30008715:1 gene:Dexi1B01G0024850 transcript:Dexi1B01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESENEQAAVSGGDGAQPPALSKSARKKLQKQERYAERKAARKAAEKERRRADVERRRREWDEALAAAPSDEARAEMVAARRETRRERVGRRTEERGARAERLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSASPAHLWLTGCSGEMATHLQRIPGYDKWIIEKAAKPYLEAFEDHKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAAEQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTAFFHVIPQRKRGQAEAGDDEAKWTMMTRMKSWKTKKPMFPTRSSVLDVKTRKQGINPLL >Dexi4B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:4B:18940558:18945646:-1 gene:Dexi4B01G0016790 transcript:Dexi4B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDDSDAGGASPSPSASGSLSPAARSPSGSPSRPAALMDVDEDEDVDPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVKFEVGTRAAATSTYASTDFLLGLAGNPALVRNLALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGAAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLIVGEQKVNVESKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKIDHIYTGPQDSSLVDAMKNCDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVKVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVAISKAPAGSWVLIEGVDASIMKTATICPVNIDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVNLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSVEVDKNLLNAIKDSIVQGFQWGAREGPLCDEPIRNVKFKILHANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSISKFFDEAMMHELAQQAADLHIQM >Dexi7A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:7A:28711065:28712554:1 gene:Dexi7A01G0019570 transcript:Dexi7A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGKQPSKKVHIILFPFFGTSHIRPFTDLAVNLAAARPNDIRATVAVTPANVAVVESALSSWRSSSGGGGPYAHAAAADVAIATYAFPAVEAGLPPGVENMSDAKGTDEESRIVAPPATRRPPQERLVRELSPDAVVTDLHFFWKACLGVPCVSFNLGGVFPTLAMARLASARHRVDGDAKAVTVPGFPAPEIRIPVTELPEFLRMSQNVYTDPGRGEQTVSALRSCIGQAMNTFYDLEHDYCELYKEVGYIRRAYFVGPLSLPLVPPASRDRSACLEWLDTKAPQSVVYLCFGSLATLPEAQLNELALGLEASKAAFLWVVRAAEGWTPPEGWTERVGDRGMLVRGWAPQVAILGHPAVAAFVTQCGWNAVLEAVTHGVPVLTWPVLFEQFITERLVTEVLRVGERLWPEGAGVRSTRSDECELVPAAAVAQAVARFVEPGGNGERARRRIQELSVEARAAVVEGGSSHHDLQCLIDDIMEACRARVAAA >Dexi9B01G0038050.1:cds pep primary_assembly:Fonio_CM05836:9B:39253677:39256575:1 gene:Dexi9B01G0038050 transcript:Dexi9B01G0038050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGFALVRTARSRAELQTAQRGRPSDLAQRFSHSAAGPGRPSFGIAFDIDGVILRGRSPIGGAQRAIRRLYSEDGTLKIPFLFLTNAKRCSCYYVTPFKFLLRLLRFTNLSAGGGVPEHRRALELSQLLGVDISPTQVVLGHSPYRELVNRFEDDLIVAVGKGEPAIVMSEYGFRKVLSIDEYASYFKDIDPLAPFKTWKVGQTESYLSAKVHPSYDVYSERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGTEKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQISYHPLKYTSYGKPNPFVFKNAAKILEKLVMSMYPDSQTLKEVNECQFSAIYMVGDNPKVDINGASKAGHPWSSVLTRTGVFRGKDNDPQFPADVVCLISECIVPL >Dexi1A01G0025870.1:cds pep primary_assembly:Fonio_CM05836:1A:31959518:31964673:-1 gene:Dexi1A01G0025870 transcript:Dexi1A01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKKSSQLWHGLVAVIFPFRKNNPHSAAPCHKNPEEMLGIFLKVPKQFPFPPPLLSSQRAPTRRLLLLLRFLMASPTAGDAPLAAPAPAADATDAALPATAAVPDPDAEFGFQRAEVGKEKLAGTVGFHERHVFLCYKGPEVWPSHLEAADSDRLPRLLAAAIKARKSNLKKTMGLSVEQQKQALELRSMITGAKESESATNGASCNPTAAGGCCQGNGGFTCCQTDLPKEKQDKSVAAEQNQKGSEKENDSQKGHTKTCSMPNWFETWERADTYAALAVVAAAASVFVAFRIYKNLN >Dexi9B01G0030750.1:cds pep primary_assembly:Fonio_CM05836:9B:33194080:33194295:1 gene:Dexi9B01G0030750 transcript:Dexi9B01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLHERCPVRELEDARATADSKHSEADRDSGGKVGCGRRAARVRAQGLEVLRLRWGGKRRPDGIPRWAR >Dexi3A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:3A:11879873:11880151:-1 gene:Dexi3A01G0015960 transcript:Dexi3A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFGRSSAQGDGRRKQQPRVDENGSSNGARTGRTSGFPGRRQGGQPDREAERRRRRRRQRSLAQSRSGRNRRPAGKPPGENAWIVYSSPVP >Dexi1A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:1A:560625:563305:-1 gene:Dexi1A01G0000880 transcript:Dexi1A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHMKLLLAYLSCILLIQAASCEFLCSCPIICGGESGTFPGVIIRFSYSELAEATGKFSDEQLIGVGGSSKVYRGQLSDDRIVAVKRLRPLGGADEDFEFLSEIELLSRLNHCHVVPLLGYCSEIQGRQLERLLVFECMSNGNLRDCLDLKQGRKPMDWQTRVSIALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELMTGRPPIHKSSTTRADESLVIWATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPDARPTMTEVVQILSTIAPVRHGAKRRNLPIAAAFNLTPSPHVGRCQPGADDIERQQECSSTAFVQWQQADRCATPPPAPGPGRASWPGDRGSAMMNKGGAVVSGELVNGMLLMSPHGRSSWRPPPGDEEEAVDLTEPRLEAFTQPAVFR >Dexi6A01G0014740.1:cds pep primary_assembly:Fonio_CM05836:6A:22129415:22133225:1 gene:Dexi6A01G0014740 transcript:Dexi6A01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTGVFAEILDGEVYKYYADGEWHASSSGKSVAIINPTTRKTQYKVQACTQEEVNKVMEAAKVAQKAWARTPLWKRAELLHKAAAIMKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESIADAVVQKVNAKLAKLKVGPPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >Dexi2A01G0025430.1:cds pep primary_assembly:Fonio_CM05836:2A:37054956:37056158:1 gene:Dexi2A01G0025430 transcript:Dexi2A01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGQGTASAAMARSISPDWSSGLPPELIESISRCHLASGTDAASFRSVCSPWRAAVPFAPCFAPLLLLPSGGAAVTLYSVAEDKAFSVPLPDGVGKVPCGSSCGWLARMDEVASVTLFNPFTAGVVKLPPVDEEIPLSSSTFVFKKDGRWVFHPDEDDEYVNAAAAAAAADDIDAVTLDELRQVFFQEIVLSSPPDAGGCVAMAVLRSSTEVAYCRVGVDTAWTLVETNLDCSVDSIVFCQGRFVAIDCTGEISIFSGDIATLPAGTPPTATPIPSLTPPVGLCHRSYIFQAI >Dexi9B01G0040840.1:cds pep primary_assembly:Fonio_CM05836:9B:41354529:41355257:-1 gene:Dexi9B01G0040840 transcript:Dexi9B01G0040840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASACAPPPPLLLLSVVLDSAAAAAATVSERSRLTRPEELDASVLDAAWLLISDSSSHLK >Dexi7A01G0011340.1:cds pep primary_assembly:Fonio_CM05836:7A:21878858:21882918:-1 gene:Dexi7A01G0011340 transcript:Dexi7A01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDSRARTRDPEQISKNFPPSPPPDAANPTETSEKDYPPLELGEFLDERRRRRRGEEEIRWQQEACGWGREALIWPRFYSSPYRVRVSVAAKNLFGPLGRWDPPRSGFAAVKARAIVGRAQGERVDSSRLGDSGGSLMFQGLTGGSLLWWLTRRGRLGNLPGGLVYALYTHRTLHGRSALDQPLPPPGVRDEKKSEKEIALTFPTSILQFGALHRWPYRRPGKDLATIQRDVESSTEFRPVLVVEHDLVHDSSRHLALSVVSTRLGQLGTLVPFASFLVSSEPKPHRHLPFPQLGGLVRKLICASEPFHCPECHAGSVPAELIKKQGIGVSCEHILSRREPRHGRFGFGVETPTAQPHGLKRMHTPHHTRSAGAWRPPRRAAQLLQLSLHKEASERLIAIGDSSASLPRLRWSRNRDEIAEVRIPFPTMG >Dexi1B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:1B:22083536:22086258:1 gene:Dexi1B01G0015500 transcript:Dexi1B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAMAEAIPIAYRNSSSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDKLLPFWGKARPALGQSFLVAQSELTATAMTYQNGTHEADMLHPFYPAATMVYFQCMFATITIIILAGSLLGRMNIKAWMAFVPLWITFSYTICAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRGRFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGTTTGLFAEPVLCSLFLSIPESRGAFYGGDGGSQFGRQIAGALFVIVWNIVITSIICVLISLVLPLRISDEQLLIGDDAVHGEEAYAIWAEAEPNDIAQHDESRHGSMAVGVTQNV >Dexi8A01G0004390.1:cds pep primary_assembly:Fonio_CM05836:8A:3786346:3787121:-1 gene:Dexi8A01G0004390 transcript:Dexi8A01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFESLRAVDIGYLAYCTELPDGLCQLPCLDNLDIHDVPAIKHVGHEFLQHNQHHLHRSAVGAVFPRLHKLHLQRMVEWEEWEWEERVTAMPILETLVLEKCKLNRVPPGLSFHAKGLRSLRIHDVKNLCFLENLSAVVQLEVSQCPDLEKIKNLWKLQKLDIRTCPKMKVLEGLSALNRLKLEDNNMETLPVYLQGVMPRHFQLDCSLSLLTSIAAGKYSHEWDKFSHIQQFHAYADDKDIEEKRHL >Dexi8B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:8B:3374044:3377302:1 gene:Dexi8B01G0003880 transcript:Dexi8B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELCTRRRWFDNHDGEKLKLTFMAVVLDVLASYVQNMLTEMAKKELHKLLGVSGEIDKMYTKLGDLKNYLADADRRNITDQSVQAWVRELKGAMYDATDILDLCHLKSMARQSGMDAGCFNPVLFCMRNPLHAHHISSRIKKLNKRLDDIKDRGTTFNFINLGSYEDANQTVALFHPSKRETSGELDGSGVVGENIEVDTRNLVRLLTHGTETSHGDNKILIFAIVGVGGIGKTTLAQKIFNNNMIRQEFSKKIWFKC >Dexi2B01G0021910.1:cds pep primary_assembly:Fonio_CM05836:2B:31565320:31570100:1 gene:Dexi2B01G0021910 transcript:Dexi2B01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSSAGSAVADEVARLIGVPKEVDFIRNELEMMQAFLRVSSTHPVPSDTVRTWVKQVRDLAYDVEDCLLDFALYAARASSSRASFWLSPGAIAARHRIAARILDLKASVEELNQRNLRYHVVVPPRAAEESSSSSSHPAVLTDGHHDAGSSAELAFQVLDVIGRGSEKEQLTNLISGSNGAVSVVSVWGMGGMGKSSLVRMVHNDPNLLDEFDYDAWVTVPHPLDNPDVFRRRLRKELGVAHDQDLVEYLREKRYLVIVDDLLSQDEWENIWPVFPRNQLFENRKGSRIIVTTRREDVARHCETHVDEWDGHVYELKQLGDDESMKLLCQKVYKKTDYVCPPDMMDQARSILERCHGLPLAISTIGGLLANRPKTGIEWENLHQHLGAELGSDLRNITKVIVSSYDGLPYHLKSIFLYLSIFPENHEIRRTRLLRRWMAEGYIAKDRDMPVEAVGERAYSELINRSMIQPSKANLGVRADRCRVHSILLKIILDKFIEENQLFLIEKQSGEAPQSKIRHLVVSRWRKKKEKLQNINPSYIRSLTIFGECPASLISQKMRLLRVLDLEDTSNLKNSDLKHIGKLQHLRYLCLRGTDISKLPSSLQNLRYLETLDIQDTQVRELPSGVAKLEKLRYLLSGVSFTKDLLQKMGESGKVNYKTRLFGNIGACLCCNSSECCKVFNVDQFSVRAPDGIEKLKNLHMLGLVNFGKGNGVAARLKNLTNLTNLRRLGITGLSEKEGIELCESIGDLRRLQRLEVRSDSLKFLIRTDGESSTPPRMPKHLVSLRLCGDLGSMPRWISSINDLTKVKLLGTQLKQGDIEQLQNLRNLALLGLWENSYMDTSLNFCSGTFPNLKFLDIDGIKEIETVTILDRAMPELEQLWVNKCPSLRDDSPGLSGVPYLLKLNELVLKNCGEKEKLINILQAQVNRHDRRPKFLIGKSILLTTPTQDTITTTE >Dexi1B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:1B:6457572:6457805:-1 gene:Dexi1B01G0007780 transcript:Dexi1B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLSAKQAKLDQAIWNTMEEIRRGILEPMDRSNDSSGAHTPSVTDHLQRHPVHQLLVRGSSSLRGL >Dexi5A01G0028070.1:cds pep primary_assembly:Fonio_CM05836:5A:31401849:31402566:-1 gene:Dexi5A01G0028070 transcript:Dexi5A01G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGEKEDGTSSSVTSRRGSSSLASRRSSRTLGRAPRHASTSSSLGRSAAQLAAPPQCLAQRRRRWATGLLGSPCRHRASPCRSEQLLATGPCRLASPHTCSSSLLTSSRRADSAAALTPARGADGAQPAASAAGSARDTLLAEQLPPLRLQLRTGSPERSLLQEIEKRWWWHKMEIEEASRRNRTRTN >Dexi2A01G0033210.1:cds pep primary_assembly:Fonio_CM05836:2A:43494714:43496895:1 gene:Dexi2A01G0033210 transcript:Dexi2A01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAGLLRPHLAAAAAATGRVRRHPAPHIPAVPYSSASSSSCRQILGTFRHSIGVQYRRLLSQAGGGGGSDACWSCGVAGAFLSCGSCGSVQPVDPAVDYFQIFGLNKEYNIKDNNLEGRYKEWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSNPLSRALYLLKLEGIHVDEEKTINDPKLLMEMMEIREAVNDASDSQTLEKIQSQVKEKLETWSHSFQEAFEKKDFDRAIEATQRMRYYERAVEETVKKL >Dexi1A01G0023890.1:cds pep primary_assembly:Fonio_CM05836:1A:30491048:30493620:1 gene:Dexi1A01G0023890 transcript:Dexi1A01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVERAKLVRSLRQESRRLRMLVLVIGFFLVTLTFVVVTKPDALLFNLNGRLSVDQAPRSLLIRQRVDADADADAAARSADTLAAVDTKVVEDDNAAEEANANARAASEDEKRVLTSEPDQGKKAEQATASELLGGEDKDSTKDLQEGHQEHQEHKVTLPTVSNYTIHDATEDGDNGKQEDGKTEAEIKLAKDVDQSNGGDRSHQTALDNMDWNKPLCDFSNFRANVCEMRGNIRIHPNGSSVMYMEPPGSKRNEQWKVKPYPRKGDELCLGQITEVTVQSSNVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITNMAIWWTRKYGVVFEKLTKYPLIDFDKDNEVHCFKHAIVGLHAYMEFTIDPLKAPHNYSMVDFNRFMRRTYSLPRDAVTALGEIPKTKPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVNSNVAHFAKVVNSVDVMMGVHGAGLTNCAFLPHGAILIQIVPWGALDGICRIDFGYPAEQMGLRYKHYSIGVHESSLTDQYPLDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFRPVLLEALDQLNQ >Dexi3B01G0014180.1:cds pep primary_assembly:Fonio_CM05836:3B:10216385:10217266:-1 gene:Dexi3B01G0014180 transcript:Dexi3B01G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPGAATAANGNGAPASFPAPKSQMYQRPIYRPQAPAKRRRRSCRCSFCCCFCWALLVVILLALLAAVAGGAFYLLYRPQRPSFTVSSVRLSSLNLTSSATAPVLTDAISLTVTARNPNKKVVYLYDDFTLSAATAANALPLGSATVPGFTHAAGNTTVLTATIASSAVTVDPSASGDVKKSGGFSVVLDADTSAGVRVGSIKTKKIGIQVHCEGIKVTPPPPPPPAPKKVKGKNGTVSLAPAPAPSEADATMPTTKGATVSTAAHSCTVRVRVKIWRWTF >Dexi9B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:9B:7850331:7852277:1 gene:Dexi9B01G0011930 transcript:Dexi9B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPPASSSSASSAPAPSLPPLLPLPPPPSSSEPEEHHHPPPPPTIADKENAAAAPLRLTRAQAKRAAAVTAVAVAVAAKRKRVALSELSGHPNAAHEWDRDDDGAKPARKQRVLPADPEPEPEPATKSTKTRAAALKPAAPLPAAAGDAADGEEEGDPQLCAPYASDIYSYLRSLEAEPRRRPATDYIAAVQVDVTPNMRSILVDWLVEVAEEYKLVSDTLYLTVSYIDRYLSVHALNRQRLQLLGVSAMLVASKYEEISPPNVEDFCYITDNTYTKQEVVKMEIDVLNVLKFEMGSPTTKTFLRMFIRSAQEDNKKYDSLSFEFLGSYLAELSLLDYGFLRFLPSLVAASVVFVARLTLDPHTNPWSKKMQTLTGYKPSELKDCVTSIHMLQLNRKCSSMMAIREKYKQHRFKGVSALLPPVDIAASYFKKLKE >Dexi5A01G0025020.1:cds pep primary_assembly:Fonio_CM05836:5A:28894181:28898223:1 gene:Dexi5A01G0025020 transcript:Dexi5A01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISKRRTSPASSSSSSGDGMTRRITRKPRSARRGPRGGVRRPPAPRLAVNELDLNAAALDPDNYATGLRVLLQKELRNSDVSQLGRIVLPKKEAESYLPVLISKDGKSLCMYDLLNAQQWTFKYRYWPNNKSRMYVLENTGDYVKTHDLRQGDFIVIYKDDENNRLVIGAKKAGYGQTATVPQIHEHMHIPTTLLPAPQVFHDYAAPVAPEDDMLAVVPQADEIFDGILNSLPEIPVANVRYSDFFDPFGDSMDMTNPLGSNHSVNLATHFHDEKTASSLFPYPKSGPLI >Dexi3B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:3B:11285413:11292659:-1 gene:Dexi3B01G0015560 transcript:Dexi3B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRAGAGGLRAQTLRDLADEGKKRAVLLLVFAFGLAFLMSPKIGKEKFVNLPSERRDAELKMTLIAENKLHPALFSANAEYKVLQSLAEGLISVTVKPQDLQCTFFRCTARELLACAVLRPVINLANPRFINERIESLALSRANKLEKGVEKSTEDATTVKQRETSMPSVDEFSALIDHSSPGQQSVPCSTSISQRQALLSQSENQRLIRHSTTPTYSNGANHLPKSLSAEMAEHTGPEDIEVESESSYATEDDEINNVTGLDSPVTRVWESKRRKRSRSNVQKPPIWQEVERSSFPLGDDLDILNASANDSKTDELVEDTEVENSYLKLRCEVVGASIVKSGSGMFAVYSISVTDANGNSWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCQLLDIYLKNLLQIPIVSSCIEVWDFLSVDSQTYIFTDSLSVIQTLSASLDERSNGKHGKAMNSYGALNGNFISGSQSFHGHKDHTMHNDFAVNDGLRSRKGNVEKNLGNSVANKTNSVCNTTANLYQDNSGSDPEQNDYSLSIDSVNPKKLRSSETNDTPQILGSDGYSLSPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVAKQLLQLGMGDTFDDWLVDKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPQRKTPSPPPGAQNNGMGNYLTDEQRIEAAHRANFVRELIIDKAPSPLVSLVGRKDYEKCAQDIYFFLQSPVCLKQLAFELLELLVLAAFPELDDTVRKWREDKQQFGAMQ >Dexi5B01G0002820.1:cds pep primary_assembly:Fonio_CM05836:5B:1819484:1820143:1 gene:Dexi5B01G0002820 transcript:Dexi5B01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAAATTARERPAPPSPVAALPMQLPQASADPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAAAGGAQASPQAPQKPAPAPTGPKKPAFKLYERRSSMKSLKMLCPLLPAAAAFAAGGSAAANGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSVEAAAAEDRAIAEKGFYLHPSPRGNAGAGGDLQAPPRLLPLFPLQSPTSRP >Dexi9B01G0008940.1:cds pep primary_assembly:Fonio_CM05836:9B:5498121:5499833:-1 gene:Dexi9B01G0008940 transcript:Dexi9B01G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFYQSLFLSLAAVALLQLVKLALRPRARLPPGPWKLPVIGSMHHLVNVLPHRKLRDLAAVHGPLMMLRLGQTPLMVASSKETARAVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCSAEILSPKRVLSFRHIREDEVALKLEEIRAVGPSAPVNLTVMFHSLTNSIVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFNIPDLFPTWTTILAKVTGMKRSLQDIHRTVDSILQEIIDERKAILDEKIKSGAENAEENLVDVLIGLQGKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPAVMKKLQGQIREAFQGKAEVTEADLQSSNLRYLKLVIKETLRLHPPAPLLVPREGIEACELDGYTIPAKSRVVVNAFAIARDPKYWDEAEEFKPERFEDGGIDFMGSSYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVEEVDMEEAPGLGVRRRTPLMLCATPFVPMAK >Dexi3A01G0033600.1:cds pep primary_assembly:Fonio_CM05836:3A:38316407:38317207:-1 gene:Dexi3A01G0033600 transcript:Dexi3A01G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPQRKEVGTDIRNLIDLNEALPIMDDPEMDACGSGELVPREPNDPSSDSLAIKAAENLVAICNVVVQPASPQVDTLHWFADLATSKENTTLDKDSDDDFEALTLKLQETKSNEYHSTPRATQEDNRHNGPCSAASLLIPKPQRDKGRGRRQRKDFHRDVLPCIASLQKNEVSEDLCALGRPKPVTPSKRGGRNGPQPRARRRVRRVAVAMAVEEAEVSPPPPSPSVAPADLDADALGVTRWGRTTRRCRRPRCPPANNALRVA >Dexi3A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:3A:718698:722090:1 gene:Dexi3A01G0000970 transcript:Dexi3A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADGEVYKEHFVLLHGAGHGAWCWFKLASLLQASGHRVSCVDLAGAAGSLVDPNSVSSFDQYDAPLVDFMAALPDGGYKGVPDLSEFGDVYDLTFGLGGAHPPTSVALRKEYQRTILYQQSPQEDSTLASILLRPWPTALSTAKFGRVDEGAQSTVNLAEARLMGMAKEHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLSGGGVDPTDPNTVRSFKQYDKPLIDLVSTLPEGEKVILVGHGAGGLSIIHAMHEFVDRISQAFFVAATMLPFGFQTDEDKKDGLPALAENEIELTHGAAPDDPPTTIALRPEFQRDRQSQQSPEEESVLASMLMRPWPAAAISTASFEGDDERLNRIKRIFIKTERDHMLDPEQQDSMIKKWPPSEVLAIDTDHSPFFSAPEQLFNLIVKSL >Dexi1B01G0028160.1:cds pep primary_assembly:Fonio_CM05836:1B:32737621:32740684:-1 gene:Dexi1B01G0028160 transcript:Dexi1B01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHKPGLRVRLRITAARRRAWLSAGLHSACRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSAAFSCPEKFRNFQLQTNCHVPLTILSIEDGTPLKTFSQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDLRPWDQLT >Dexi1A01G0008220.1:cds pep primary_assembly:Fonio_CM05836:1A:6309522:6313727:-1 gene:Dexi1A01G0008220 transcript:Dexi1A01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAATRRRTAITDYRKKLLNCRELESRVGTVRESLKNAKKDFAKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Dexi7A01G0012330.1:cds pep primary_assembly:Fonio_CM05836:7A:22566579:22567934:-1 gene:Dexi7A01G0012330 transcript:Dexi7A01G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDITVQAEVPASPHQMARMRLARFLLEDAGAYRRDGGVWSVAGQLAVGVAASRARGWVGLFGLGPAASLLPRHLLGSYPFPPVCVGPLVKRPPRRHVRRRGGRERPHTDEGPLYPHRLHSDPQLLRITVVDKDASVETINLASIFTPANCNTWPVDDAPRLSLSAFCGNVMTPARIRAAAAAYEY >Dexi2B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:2B:13207720:13210414:-1 gene:Dexi2B01G0011630 transcript:Dexi2B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLACARWAWKRCLHSGESDSATWGLASAADFEPVPRMCRLVMANYEPDLSPSAPLLFAPPGGYGIDPACVLRRRTYADTRGHVTPYLLYLDHAHSDIVLALRGLNLVKESDYALLLDNRLGKRRFDGGYVHNGLLRAAGWVLDAEYDLLRDLLERYPDYTLTFTGHSLGAGIAAMLTMVVVLNLDKLGNVERSRTRCYAMAPARCMSLNLAVRYADVINSVVLQLMLEEETMAVPSEQRMERNETLQREHVEEHKAALRRAVTLSVPDARAPSPYGTFDDDGRRQLEHQPERSESFPPAGARQRMSWNDLIERVFDKDEDGQIVLRSSVFS >Dexi7B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:7B:2382468:2383303:-1 gene:Dexi7B01G0001460 transcript:Dexi7B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIITRIHTTSQSSPAQAYNLAVKDLDKELDSLKQAFEVCITL >Dexi9A01G0027100.1:cds pep primary_assembly:Fonio_CM05836:9A:31448722:31451428:-1 gene:Dexi9A01G0027100 transcript:Dexi9A01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAALFLVIVFLAPVVPNVAGEPWQACGDTGNYEPKSSYLASINSIAATLPGNASASPDLFATAEVGAIPEQVSALGLCRGDANATSCSSCLTQAFRTLPDACAGNKDAAIFYDSCMLHYSNIPFVHDDGTWPIGLVGYLMEYFNFSVKSEPERFNLVVAALLNATAEYAANNSTRRYAAGEADFNQEYPKVYSMAQCTPDLTPARCRSCLAYIIEASLSMYANLIGARTLAIRCTFRYETKPFISGPLMVSLGGTPAGSGAPAQAPAAVVTRTTAAGRGPKYRVAVMVPMVLLPILAAIILVACLCLWRRRRSVRKGKQTYESYPTTGEKAMASVDSMLMDISALRSATGDFAESNKLGEGGFGAVYKGTLPDGSDIAVKRLSKSSTQGVEHLKNELGLVAKLKHKNLVSLVGLCLEQQESGYMAPEYVTRGNYSVKSDVFSFGVMVLEIITGRKKSKHNSNPRQSEDLLTMVWEHWKAGRVLETIDPSMNGIFSEDDARRCAQIALLCVQDNPVDRPVMSSVVMMLGSNTVSLQVPSKPEFFVRNGGARPGIGSDV >Dexi6B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:6B:2906239:2906610:1 gene:Dexi6B01G0003520 transcript:Dexi6B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCRCLDDGSEETKYRGVRRRPSGKFAAEIRDSRRQSARMWLGTFDTAEEAARAYDRAAYIMRGHLAVLNFPGETHNYVRGGSSSSSSSQQPRQPQVIELEYLDDKVLQDLLIKDGKEKKKS >Dexi9B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:9B:5099699:5107341:1 gene:Dexi9B01G0008330 transcript:Dexi9B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSTAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSAPSSPPQHPMAASAPAVAFMDQEELPESSSSDDDNGEEFLIQKNTLKRPRSPDGDHILAVGNFEGSANEAAKILDAMDSRTSSDNSNKRKQGRGRGRAGTGRGRGSKTDQTRVTTSSSAGVTNGQLDKLNKEIRPIVQPGHEDRAAAQELKDLKDHDQQMRTKQMKVLSDLLIAVSKAERQEARMRIRQESFRLGNVGVMRAGTMISETWEDGQVIKDLNSHLKSLLETKETIERHRKSLKKRQSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRGQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKVSFQFLQMV >Dexi2B01G0031600.1:cds pep primary_assembly:Fonio_CM05836:2B:39689160:39692650:1 gene:Dexi2B01G0031600 transcript:Dexi2B01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGPSFVRWREEFVSQERGSRVVHYYLDDDAGGSHLAVVGTERSLRHMLYVVSEDFRAAWGCGVGADDGVGPAAVFARKWRSRREVVDFLASFLPVKAALDSKFSKCRSSVDNDIGLDGYSETDSVLHQNLGKDCSSDITWSGPFWTCGKQLRHYQAFCRNGTTISTHTFVLVLSEEESRYLAYLEDMYEDKKGQKKVKETVPASTLALPDKLGLRSPDRLRIRPRPRQNSYVNGAALIPGTAIDVWQFSGWWEGIIVSLDNTAADSLQVYFPGENFFRVCQLQNVRISKDWVKNQWVDIEIRTDVLSQIPSDGAGTRQPDNLSSVRMLDSSSSGVPEQELPAIQGNSCGDKPVLEQGFVATQANSSGEKPVPEQGLAATQASSNGDKPVPEQGLAATQASSNGDKPVLEKGLPAIQAASSEDKQTEVSKQAESSLTDKTSSVVVEDEKQTILGKRPRDTEQDCKEEVGVDVGKT >Dexi6A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:6A:610702:612198:-1 gene:Dexi6A01G0000730 transcript:Dexi6A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGQGPQGGAPVGVTSDPGLEVHNIPWRHEFLDCTLNRVPSLSIVAYAQGSQLTETVRVPGSQPIAYAVRALPPVLVILRHMYHSIWVMHRSGVCLWGQFGPANLLVYQTLAVRMTGPLHLSYYTRNGGDLDYAQLAASVEAMFTARESVPMDIQGWLGLIREGVRGYEYLIRYDVALMEPVQAFSWLMAARALLERLSVMNHTAFNMIVYDLHANLMDWNVDSYCLNTLLQATREHINPHTGLEVEFERNVRGLLTLFRNCSQHSARFMEAYMMLIVEEDFPGFVRRFQASLFRAGVIGHHHLEASMG >Dexi7A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:7A:23018287:23019254:1 gene:Dexi7A01G0012840 transcript:Dexi7A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAEKHQLVKMSAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSILSGLAIATTCVGQIVSYI >Dexi1A01G0028080.1:cds pep primary_assembly:Fonio_CM05836:1A:33816678:33817022:-1 gene:Dexi1A01G0028080 transcript:Dexi1A01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEEKKGKVKKGWLAVRVGVEGDERGYQRFVIPIAYLYHPLFRRLLETAREAYGYNYSGGPLRLPCSVDEFLRLRALVERETQASPSSSHRVHGGGHGHYGFPSPCTRARVSS >Dexi7A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:7A:18875370:18878693:-1 gene:Dexi7A01G0007530 transcript:Dexi7A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEATLEDTPTWIVASVCSVIVLISLVFERGLHHLGKALERRRVTLYEALLKLKEELMMLGFISLLLVVFQNLIQKICIDESLMEHWLPCRNGKKAAVAHYVGASSTFAGGGRRLLTGGAAFGHCLSKMHIFIFVLAITHVALSAVTVLLGLLQMRKWMHWENNIQEEGSSAPKMIKRVQKIKFIQDRCKGHEKFTWIIIWMRSFFKQFYGSVSNDDYVSMRLGFVMLLLLIGTKLEHIINKLAYEVASKHAVSQGEGGAVMRLSDELFWFHSPRLVLVLIHFILFQNAFEFAYFFWTLAMFGANSCIMDRLGYSISRIVICVIVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVADHLRGWADEARQRMRRSATTDNAGCLGAPAAGRRWEAAGWRSMPERSAAQLRSISF >Dexi7B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:7B:13886089:13900725:1 gene:Dexi7B01G0006260 transcript:Dexi7B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIGVIYGDIGTSPLYVYSSTFSSGISNVDDLYGVLSIILYSIILLPMIKYVFIVLYANDNGDVLSAVSGLQEKAPQLKQAGQIAWISVGILVVLFSVQRFGTDKVGYSFAPIILLWFLCIGGIGFYNLIKYDVGVLRAFYPKYIVDYFKRNGNDAWISLGGTLLCFTGTEAMFADLGHFNVRAVQLSFSFVLFPAVCLAYIGQAAFLRKHPEHVLDTFYKSIPGVICIKKAQMSLEVEDRPSVEATKQLKRQDSLYGDAEKVSSTKYHGSEGSWSQLLHLAFQSVGIIYGDVGTSPLYAISSTFPDGVKNPDDLLGVLSLILYTLILIPMVKYVFIVLYADDNGDGKACGTFALYSLISRHAKVRLIPNQQDEDAMVSNYGIEARSSQLRRAQWLKQKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVSGIKEKVPSLTETQVVWISVPILFALFSVQRYGTDKVGHRRNVCRPKPFQYQGHSGNGGTFALYSLISRHAKVRLIPNQQDEDAMVSNYGIEAPSSQLRRAQWLKQKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVSGIKEKVPSLTETQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFVLIAGIGIYNLVVHDIGVLRAFNPMYIVDYFRRNGKNGWVSLGGVILCVTGKLFRKICNCNLQILFMLQLQLAAICNRHRRNVCRPKPFQYQGHSEQRFYNINCILCLQISFNTILFPSVVLCYMGQTAYLRKFPEDVADIFFRSIPALMFWPTFVIAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSNSYEGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLIWKKKFIFVFLFYIVFSSIELIYLSSILTKFVQGGYLPFCFSLVLMALMMTWHYVHVNKYWYELDHIVPTDEVTALLKKHDVRRIPGVGLLYSDLVQGIPPVFPRLMQKIPSVHSVFLFMSIKHLPIPHVAPVERFLFRQVGPREHRMFRCVARYGYSDILEESGLFKGFLMERLKMFIQEEAAFETNPTTGDTNTQTCSEGSACPITFDSNSDLVEKEKQLIDMEMERGVVYLMGEANVIAAPTSSIVKKIVVDYVYTFLRKNLTEGEKTLSIPKDELLKVGITYEI >Dexi5A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:5A:23705478:23705944:-1 gene:Dexi5A01G0019740 transcript:Dexi5A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWACPVAWTLYGLVVSQFGDITTPLDTGVPVNVFIENYFGFKHSWLGVVAVAVVAFAVFFAFLFGFAIMKLNFQRR >Dexi7A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:7A:21499079:21506267:-1 gene:Dexi7A01G0010810 transcript:Dexi7A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDNARTRRLISARNVAAAAAAAAAEVVAGVGCEGRREGPGILVACGVLQAFSSSAKARDDHEENINKREKHPHVPTPPSSAPLPRTRLDTSPSPAPPPPCSRRPFPPAPEIPAPTLPARPRHRRHGPRGALAESGARLDRAERDSLRARGAVTRQAGLDPRDPAPAFPHLPYWQGLLRDHSCRVVLLILGGLEEMQRNGVLECSVCCSKVAVPSPRSVSRAYDKHRSKISSKYRALNVLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFAIIMLIIQSRKQKVGEKPLLSLSTFVQAARSNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRKFSIIQWEALALLLIGISVNQLRSIPAGTNAFGLPVTAIAYAYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFHGPESFDILRGHSRATLFLICNNAAQGILSSFFFKYAGKPS >Dexi9B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:9B:6181394:6188351:1 gene:Dexi9B01G0009990 transcript:Dexi9B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITHHFGVGPSGHAQHHQHLHPWGSSLSAVVAPPPPPPPSTAGLPLTLNTSAATGNIAGNPVLQLANNSGGLLDACVKAKQPSSSSSPYAGDVEAIKAKIISHPHYYSLLAAYLECQKARPTCAATTTSSSFVGAPPEVSARLAAMAQELEARQRTALGSLGAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLNSLSISGRSLRNILSSGSSEEDQEGSGGETELPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLNWWDAHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTAGAFYMDGHFINDGGLYRLG >Dexi9A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:9A:3205307:3206858:1 gene:Dexi9A01G0005750 transcript:Dexi9A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLAALRPWLCLLVAMAAAASWSGVARVEALGMNWGTQATHKLPPKIVVQMLKDNGIKKVKLFDADEDTLSALAGSGIEVMVAIPNVLLDRMTDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNNTFDKVTFPALQNIQNALDEAGLGDTVKATVPLNADVYMSPTDNPVPSAGRWRPDISDLMTQMVSFLSNHSAPFTVNIYPFISLFLNDNFPVDFAFFDGGATPVLDNGVSYTNVFDANFDTLVAALKSSGHGDLPVVVGEVGWPTDGDKHATNAYAQRFYNGLLKRLAANTGTPVRPNQYIEVYLFGLLDEDIKSVAPGNFERHWGILRFDGQPKFPMDLSGQGQNTMLVPAQGVEYLSRTWCVVNTESPNMDKLADNINFACTFADCTALGYGSTCGGMDSNGNASYAFNAFFQMKDQADESCDFQGLARPTQTDPSTATCNFTIQIATTSAGSRRLAGPFAAVAVLLLALLH >Dexi5B01G0006700.1:cds pep primary_assembly:Fonio_CM05836:5B:4505424:4506515:1 gene:Dexi5B01G0006700 transcript:Dexi5B01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMSLLPRTIFTMIAIAFWGLAHCNGDEAGALLAFKAELTGHRTVVLPSWNASTGFCSWEGVGCSSGHVVALTLPSYGLTGSLSPAIGNLTFLRTLNLSSNWFRGDVPASIGRLQNLHSLDLSDNTLSGTLPANLSLCVSLQFLSLGSNRLHGRIPLELGDKLTGLQKLSLTNNSFTGAIPASLANMSSLNYLDLSTNHLEGPIPPELGKIVGLRVLALKENNLSGVLPHSLYNLSLLKDFEVQENMLSGTIAADIGDRFPSIEILSFANNQFSGSIPASLSNISSLTMLRLHANSFSGYVPHGLGRLQGLIYLSLNDNKLEANDTHGWEFMTSLANCPQLQFLVLRKNSFSGQLPSSIANL >Dexi9B01G0027870.1:cds pep primary_assembly:Fonio_CM05836:9B:30420127:30421634:1 gene:Dexi9B01G0027870 transcript:Dexi9B01G0027870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTMEKLLLFHKLESDLFHRLVHDLAQDPVAMRWVIALWLWLESAGHHNFIRHVAALPGPVVLRLIDEAVACLRCLANPCQVTIAGVDDGRDRHLPCMNALMTKPIDDVGYFQGRHEILEGVIHKYRSICLVVCDAGVSTTCIPSNKGSVPAASLMVSSPSRTTLMRVAPSPLNLMATPFPLNPMATPWIPVQSPLPDDYRSLFITFSKGYPIRREDIMEFFNLVFGPCVETVMVEKVAPGQLPVYGRVILRSTAMIPVVLDGQQTAKFLIKGRHLWARMYIPSSKLSDA >Dexi9B01G0025550.1:cds pep primary_assembly:Fonio_CM05836:9B:26151814:26154438:-1 gene:Dexi9B01G0025550 transcript:Dexi9B01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALSLDGLLITILLILAGAMVAAGISVAPAPAPAPAPRLGAPFLGPLPPRRLGDPPRISPLPPSRKRVPPPFPSRKHVPPPIPSPKHVPPPFSPPQLGIPLPTPPLPGPRLGGPPRPPLLPPPGKGTPPLISPPQLGVPLPTPSLPMPRLGAPSHPPLLPPSRKGTPPPIPPPQLGIPLPTPPLPAPRLGAPPRPPLLPPSRKGTPPPIPSLPPPQLGAPLPAPPLGSPQLGAPSHAPLLPPKKATPTPAPTIPSPQLRAPLPAPPRSSAPLLPPARKGVPPPTPKLGAPLPAPPLPPRLRVPPRAHHPPPSRKHAPPPVPIIRPPRSRAPLPAHPLPPSRKGAPPRAPRRPPFRKGAPPLVPQLGAPLPTPLPPRVGAPPPTPRLGAPLPSPILPPPQQVNPPPAPLLPPPQQDNPPPAPLLPPPLTGAPPSRPPPVVGPGGPKIPALIAFGDSIVDTGNNNYLGTIVKANFPPYGKDYPGHNATGRFSDGKITVDFIASALGLKETLPPYLNKNLTLKELKTGVTFASAGSGYNNATCKTSSTMTIERQLELFTEYKAKVGTIPDRALYIVCSGSNDIVEHFTLADGMSSPEYADMMAHRAIALVERLIAEGARQIALTGTPPVGCLPSQRRMAGGVKMQCATDRNQLALMFNRKLSQEAAKLSGRYRGVNIFYVDLYSILADVVQRYQHLGFKNGKDSCCRYVGLAVGPLCNMGSRLCPDPSQYVFWDSYHPTERAYKIMIDEFMRRYSRYIH >Dexi5A01G0010590.1:cds pep primary_assembly:Fonio_CM05836:5A:7969050:7969499:-1 gene:Dexi5A01G0010590 transcript:Dexi5A01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRWRISLAESKEFDFVTSLVRRKHGVMSLGGGCARQIPTPGEQAIEEAASDGWNGTMEGLRYTEETNNDRERISRVEGRRRRRGWLGSFAVDRARLAAMEGDTLRGLARFAAELRMRAEKDRDRATGDVRRRCDGSRADDSPLRSSF >Dexi5B01G0034060.1:cds pep primary_assembly:Fonio_CM05836:5B:34343762:34346107:1 gene:Dexi5B01G0034060 transcript:Dexi5B01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPEMAEEPQQEAAAATPVAAEVVVTEAVPAELEKKTEEPAVAEAEAEAEKKADEAAVTADDAGTGSFKEESNLVEDLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKEEPKAEETKTEETNAEEPAKEEAKTKEPAKAEEAAEEPKTEAAVEVPVEEAKTETPAEAVAEETKAEPEEKTVVAAAEEGATKTVEAIEEPVVPAAATSEEAAAPETEAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSTVLWRKRFGITSLLDADLGLPELENVVFYRGEDREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSKLDFAPSSNCSMVQVTDLKNSPPMLGKHRTVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPATENSTAVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKLVLTINNPASKKKKLLYRSKVKSTSE >Dexi6A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:6A:27694638:27695961:-1 gene:Dexi6A01G0020180 transcript:Dexi6A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIAGIGGKAKVPTALQMDKDESVVFFRELYKREKDKDVNLLEPMYSVEFEAIQAKPEATKTSARSATPTSKPSSRKNTIKGVPAIPKEKKQPYTADQRPSHKVPVNGHHQKAAAAATIPGTRIGGPPKKHSERCCAAQASGTSAINAVTDQETPFKAPKNLITTTARSIFRRHTPSAENARTKDPGSLVDVKKGIKDPGSLVDVKKGNGKARSQWCPPVPVRGMTELQLQDRREALPPRGKSDREWEWRRFRH >Dexi4A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:4A:4297980:4300820:-1 gene:Dexi4A01G0005990 transcript:Dexi4A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPEDVRGSDVDLSKMPVEEVFKTLKCDRKGLTSAEGEGRLRAFGPNKLEEKKESKLLKFLGFMWNPLSWVMEMAAIMAIVLANGGGKPPDWQDFVGIKVHALINGYADRGLRSLGVAYQQVPEKNKESSGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTTLLGDKNGTVNGMPIDELIEKADGFAGVFPEHKYEIVKRLQERGHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFLLIALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPKPDSWKLNEIFATGIVLGTYMALATAFFFYLAHDTNFFSDVFGVVSIKENDRELMSALYLQVSIISQALIFVTRSRSWSFVERPGYLLLFAFFAAQLVATAIAVYADWEFCRIQGIGWAWGGAIWVFSIVTYLPLDVLKFMIRSALSGKGCSKEQNKASLPNI >Dexi9A01G0035050.1:cds pep primary_assembly:Fonio_CM05836:9A:39725254:39729203:-1 gene:Dexi9A01G0035050 transcript:Dexi9A01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKDTLERLIDGLRSLTPRRTGRRAITATSSNGPRVVIHHRPAGSSPERAAAQYQAAPVTIRVATFNAAMFSMAPAVPPAAADDDVVEYPGRSMAATAGAGRRRQRLQQPKKGILKAQQGPPSPVKQLRVSINLHDDEITAAERRGSRNAAGEAVNGGAWKGKAVAAEEGRRSAPEWRRRSSSRSVAEVLREVGADMVALQNVRAEQERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKTHRVADQSDIRNVLRVTVDVPGAGEVNFHCTHLDHLDEGLRMKQVNSILRSADGHHILAGGLNTLDPTDYSVDRWADIVKYYEEIGKPTPKPEVMRYLKAKRYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYAVVSSKGTSDHHIVKADITVVAGNGGARSLSFRRQRVVRMGKGSTKGIWAAR >Dexi1A01G0025640.1:cds pep primary_assembly:Fonio_CM05836:1A:31807258:31808793:1 gene:Dexi1A01G0025640 transcript:Dexi1A01G0025640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLPLLLCAGALSSLLCCHGAAEAPGFVTVSAASFKPGSTCRDPDPATPTPHRNGTSAVLRLTHRHGPCAPSRASSLAAAPSVADTLRADERRAEYILRKVSGASAQQLLGSKSAAATVPANWGYNIGTLNYVVTASLGTPGVSQTLEVDTGSDLSWVQCKPCAAPTCYAQKDPLFDPTQSSSYAAVACGGSACSALGLYASGCSSAQCGYVVSYGDGSNTTGVYSSDTLALTSTNSVHGFLFGCGHAQSGHFTGIDGLLALGRLQQSLVGQTSSTYGGVFSYCLPTKPSTTGYLTLGGGFTGGFSTTQLLTSPSAPTYYIVMLTGISVGGEELSVPASAFAGGTVVDTGTVVTRLPPSAYAALRSAFRAGMAAYGYPSAPANGILDTCYNFSGYDAVTLPNVALTFSGGATVTLGADGILSFGCLAFAASGSDSGMAILGNVQQRSFEVRIDGASVGFKPSSC >Dexi3A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:3A:6601192:6602246:1 gene:Dexi3A01G0009440 transcript:Dexi3A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDEGDKGLFSNMMHGIAGGHGYPQQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPQGGYPPSGYPPAGYPGSSHQSHGGSHGGLGMGTVLAGGAAAAAAAYGAHKLSHGHSGGHSGHGVMGGYGHGGYGHGHGHGKFKHGHHGKFKHGHGKFKHGKHGHGLFGGKFKKWK >Dexi4A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:4A:20159915:20163890:1 gene:Dexi4A01G0016530 transcript:Dexi4A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRETPPTQTKPNGMATTTSLRSRLLILPSPAAFPTAVNLRLRPCAAALPSPSRNKRPLLAARAAPPGGAVAPASSSTPAAAAEEEKDEKGGAEGLSAAEAERLCEFLRADLPHLFDDVGIDRSAYDDSVRFRDPITRHDTIDGYLFNIRLLKLLFRPHFYLHSVKQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSFEGLMDVFKQLRIYKTPNIETPNYLILKRTAHYEVRSYEPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQASDDTLSDVSIQIVLPMNKDLNSLPAPNTEVTLRKVEGGIAAAKKFSGRPKEEIVLQKEKDLRSQLLKDGLKPQQGCLLARYNDPRTKSFVMRNEVLIRLNDFTLEL >Dexi5B01G0022680.1:cds pep primary_assembly:Fonio_CM05836:5B:24948458:24954997:-1 gene:Dexi5B01G0022680 transcript:Dexi5B01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGRGVAGPVPASARKLVQGLKEIVNRPDAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEKRQSQDLEEQVAQIVEPLEVVQTVLDEAVPFSLDPVALIIWYQDHRYWDLPCQHPIPLRSNHLPANKDVVPNGSVGAPQSSSGFQHPWCGVPGQMSMADIVKMGRPQDEPPSGTQSRGIEKSSDTSSATPFNSSVLVSDAAYSQENSETEENSSVIVKPAISSETHLEILEENNQFNDGLLSTHQAQVHSYVDNEVEVSNLDAESAAANFQHLSLQNEDLVPTKSAEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSNVPKSSLEEEVPIPDESPSVDQIDVRNQDYYDNSALNPPADEVETRIGTNLETIDGPSVSEPDVLRQSALDVPGLQYNLPSSDNSAMWLHGAAAGSRGAAPPGNFYGFPGQNQQGGFRQTQQSSQYGGLGYPSFYQSQTGLPQEHPQNPTEGSLNNPQAAPSQPSHQLWQHSY >Dexi6B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:6B:10112826:10113116:1 gene:Dexi6B01G0008160 transcript:Dexi6B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPVPGTTTPAAAAASAGDHAVVVAHAAIPAGCIHNGGAPPYPPPASSTSERRSSRLPPSAPPLSPLVVKLVDELPFWGLPCT >Dexi7A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:7A:26316940:26319413:-1 gene:Dexi7A01G0016490 transcript:Dexi7A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGMQQDHTHPHAQRVGKSGHETEALADPPHSHPPHRSPPGDQEEGENPTTLPRPRTRAEGGEKEKGREGEVAARSNTRRGMRDPVDRVWGGATALRRPAGAAATASGMKGGGGGGGKETVTATFLRFLLLLLLPLTALYFFYTLHLLLASASSSSASTCPPGSAASSSVSISRVSANLTAAAVAVAERSASAATAAPTATTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDRAVRESNMSTARTGLPAIRISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLAVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALSRMQDGCLRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLASHPVAPIVTLHHLDVVKPLFPGARSRPAAVRRLFDGPVKLDTAGLMQQSICYDGGNRWTVSVAWGFAVLVARGIMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYMSSARRAAERGGGETTVTRYERWRHPNETRPACRWDIADPDAHLDHVVVLKKPDPGLWDRSPRRNCCRVVSSPKEGRNGEKTMTIDVGVCREGEFSQVAGV >Dexi9A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:9A:6973834:6979279:-1 gene:Dexi9A01G0011200 transcript:Dexi9A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPGSPDAARSSLVAPAMQTEAPSQALDMRLFWAYLEMQALLVQEGPGYPMRARLCRCRHPNGPWCPLPRLLRLDTLFFPIFESSGAFPLPSFLPVHALEQEEAEAVGASEEPRCSSLVSLCGGPPMPRSRATLSCLPRGEPGLGDSLASLRIPSFSWPLSVEVGGPGVAEVETKKMTNAATVNRTGTYHVAFSASRFSRVAALLQVVLRAHLLDYSPRIWAVARTPDWWTGRLLGGYLGCGWGGRKQTSWWRVAATWSQLDSAPPDAEAPRTPLQILNLPFAAADRTPSLPSTSSALSASPPFDLAAAADLPTFLSLSPAASPTKRAPSPAPHMPRPSPDTERAPSPAPHRPLAAVPPFLSPSWRPGLRFSSLHLSLFLFCSVSVLSRSPPPPAKTGRAARQLPIRDAFTMPFAIDEHPHGMPEPSLPFLLCPRGRFAALLFLFTERCSSSPSSSFAFPLPFVALQKNRSRVKSRRRPMIFLFP >Dexi1B01G0026980.1:cds pep primary_assembly:Fonio_CM05836:1B:31812345:31821246:1 gene:Dexi1B01G0026980 transcript:Dexi1B01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNPHALAMFTEGLDRDAVKWVREGQGAAALHSHDRMDALRAVRGAAGRGGLGMPPPEKFRSRHMPRVATMRVSRSSLRSDDGSAASGSDMDESSDNEEIEVCGGRYSVDSSPRLDDATRRTAAPLYRYATMPGQQSYCSTDDGYSDLSSSRDTALPRAKAQPLRRPQAGVVGYVEEECSDYSAGSSEFSSQVEGQSNGVASKGGYASEYSYTGPARRQVNNVVQKTRAAAAENYSRNLPANSKAYQPDSYSSHVPAREDVESAPKLLFKFDGIFCTQDGLSDVPSAPPIHDYNQDHSGNANSTDGLSGKKEEHREVNGAANLADRNVRGTLNADHTSKPSSSIPLRVPTFHASLQNVLLQSEEELMSKRASELVSEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCNFSSLPMVKLDSVRYRLSNVQSTLSSGWESVRRVRVIPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRSSSSYEAPQETYSCQLRLKSLPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVADSNGRPCGRVVAQVATMAEDPTDKLRWWSIYREPEHELVGRIQLYINYTTAADENNMKYGSVAETVAYDIVLEVAMKAQQIQQRNLVVHGSWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPILMKNHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDESVPSGLAEDFQPPTGLAAAALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVTGNSEGFRVDLVTVTTAYQKMKSLCNNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPAGPASPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRMFLENCRLDKVKWSGVRTQHMTTPFVDEMYDLLKKTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLAPLKDCIAPKKFGLKVVQKLTKRNSTVPYTVPEDTRMQNTTKLKKIIQDSKELVIESDIRSRMQPLKDQLIEAINHVHKVSEVHVFVAICRGLWDRMGQEVLSFLENRKENKAWYKGARVAVTVLDDTFATQLQQLLGNTIPPKDLEPPRSIMEVRSILCKDAPREKNSSFYY >Dexi9A01G0049060.1:cds pep primary_assembly:Fonio_CM05836:9A:51784033:51785345:1 gene:Dexi9A01G0049060 transcript:Dexi9A01G0049060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDVTRAELGLLVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDKSTTLARKVFRLLKWVNDLHALITPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVGRISLYCWMASSACASLVELGELKRLSKSMRKLARELRDTDKYENEQYQNKMKESDERLLALVKAAMDVVVAVGLLQLAPKKITPRVTGALGFITSLISCYQQLPSRAPVDKIKA >Dexi9A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:9A:5099558:5103272:1 gene:Dexi9A01G0008600 transcript:Dexi9A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRSKSPVAAEERAGKDHHHHHHQQLGTEEGEWERCPVEEVALVVPETDDPTLPVMTFRAWTLGLGSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRVLGGRLGSFNLNPGPFNIKEHVVITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFVCALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHETKEEGVKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWQFNTFDARKFPIFSNQLFTAAGQKYDTTKVLTKDFDLNVSAYNSYGKLYLSPLFAISIGSGFLRFTATIVHVLLFHGSDMWKQSRSAMNAVKQDVHAKLMQRYKQVPQWWFLVLLLGSVAVSLLMSFVWKEEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGRHGLYRNLVWLFLVGAVLPVPVWLLSRAFPEKKWIALINIPVISYGFAGMPPATPTNIATWLVTGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNANHELKWWGTEVDHCPLASCPTAPGIVVKGCPVF >Dexi7B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:7B:23918080:23919402:-1 gene:Dexi7B01G0018080 transcript:Dexi7B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVAYIQKYGHANWRALPKQAGTHASQPARPGASSVSSIGLCSSRWSKIASCLPGRTDNEIKNVWNTHLKKRVSPGAEDGAKKKKKKKAAGAAGVPAAPSPSPSSSTTTTTTTNCSSGDSGEQQSNVSNKVPDELDMEELEIIPMLDDPTAFDFDDMLVVDPMPEAPCCLAVSVSGPTSPCASSTSPPAPARASVDELLDLPEIDIDDHELWTIIDGDGTPAPPCQSNATEPNAAASTTSHGAELEGKEWWLEDLEKELGLWGPVEDYQYPVGPQGPVPAHPDPIPAMVDDPVSCYFQAGPASAMLQDLGYSAVVTSSNQMCL >Dexi5A01G0020290.1:cds pep primary_assembly:Fonio_CM05836:5A:24090762:24098504:1 gene:Dexi5A01G0020290 transcript:Dexi5A01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVSTTVQEVTGPRPLQDYELLDQAGSGGPGLAWRIYTARPRDGAPSAPYPVVSVWVLDKRALAEARARAGLSKAAEDAFLDLVRADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVSNALGSLDNVGKVPKELKGMEMGLLEIKHGLLQLAETLDFLHNNAHLAHRAISPECTVISLILLQTVFITSSGSWKLGGFGFALSIDQATGGLTSSQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDMFSFGCLAYHLIARRPLLDCHSNVKMYMNALTYLTSEAFSNIPSDLVSDLQRMLSMDAVSRPSAMAFTGSSFFRDDTRLRALRFLDHLLVKFPKAKCNSGCYDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIINKATQEHLISHILPMLVRAFDDNDPRLQEEVLRRTVPLSRQLDIKLVKQAVLPRVHGLALKTTVAAVRVNALCCLGDLVPSLDKEGILGILETVRRCTAVDHSAPTLMCTLGVANAMYKQCGVEFAAEYVIPLIFPLLTAHQLNVQQFAKYMLFVKDITSKIEEKRGVTVTDNGHAEVKASTSLANGTHSEPLSARVGQPAQIPAAKSTSAWDEDWGPTKKTSAPSLSLDSSAQTKQPSADPFDFSTQTKQSTTLPFDFSTQTKQATIVSQVTTAIIPPAQPLPSLQSLAPTSGPQTSGSCVPVDIEWPPRRSSSSDFNAPLSLENDSGRLSSDKLDDIDPFADWPPKPSSATSISATEHRPSTNRNISGLSSGNVGFGGSGNSLGQMKSYQMSWSNSSNLMGMNSSGSYLNQGNTSLGFGNPIGGLDTGLSNPSSSSAGQSMMQQPSNFGSLSMSTNNATHGPPRLAPPPSASVGRGRGRNQGQSALSRASRPPHSNSSSGQPILDLL >Dexi1A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:1A:4427061:4428998:-1 gene:Dexi1A01G0005940 transcript:Dexi1A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATTAAAAAAAVSSNDRAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQVQKHLQMRIEAQGKYMQSILEKAYQTIASGDVTACPAGYKSLGNQTILDVCSLKDIGPASMGFASLQDLHMYGGGHLDLQQQLMDRPMEAFFANCDIGSLGKKRAHNPYCAGKSPMMWGDDEQCKGIVDQLQMAPPMMDAGGIDVMDSIADVYGDAKPMLSGDSTGSKGFDCNKLERPSPRRPHMGNERMGSPSVIGGQTRNMSYG >Dexi2A01G0033120.1:cds pep primary_assembly:Fonio_CM05836:2A:43405126:43405560:-1 gene:Dexi2A01G0033120 transcript:Dexi2A01G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFANVNSEAGLKKLDEYLLTRSYITGYQASKDDLAVYSSFSAAPSSKYINVSRWFSHIDALVRLSLNS >Dexi8B01G0015430.1:cds pep primary_assembly:Fonio_CM05836:8B:26235305:26236582:-1 gene:Dexi8B01G0015430 transcript:Dexi8B01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWRRATGVPAHQPVTQDDDDEQQAAHDGQFRSVALVVGATGIVGSSLVSILPLPDTPGGPWKVYALSRRPLPPWASSSSDHHVTHLQVDLTDSTAVAAALAPLTDITHVFYVALSTSHVIESLNRRSNPAMLRNVLSVVVPNCPALAHDVLLDAISQPQRGGDVISWSVHRPNLIFGISPRSIMNIVFSLCVYASICRKEGVALRWPGSQGAWEGYSMASDADLVAEQHIWAAVDPMAKNEAFNCSNGDIFRWEQLWPILADRFGLEWVGYEGEKKRAMLAWAMPRKADVWAQIVEDNQLVATQVQDVNWWFVDGLLGTNWEFLDSMNKSKEHGFLGFRNTAKSFDTWIDRMKACKIVP >Dexi1B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:1B:11724399:11725656:1 gene:Dexi1B01G0011520 transcript:Dexi1B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRALLLVAVAAAVAGLAAANFRDDCDIPWEPQNARFTGDGNGLSMSLVSNYSGCMLRTKKQYIYGTVSTLIQLVPGNSAGTVTTYYVSSVGDYHDEIDFEFLGNETGQPYTFHTNLFADGVGHKEMQFKPWFDPTSGFHNYTISWSPCMVVWYVDSVPIRVFRNYKANGVAYPTSRPMYAYSSIWAAEDWATQGGRVKTDWSKAPFVANYDNIQLDICECSGYGGCATGCSAAAAPYNGACQLSPSELGQMQWVQSKYMIYNYCVDPKNWANGQEPAECKLSQY >Dexi1B01G0022380.1:cds pep primary_assembly:Fonio_CM05836:1B:28109944:28112880:1 gene:Dexi1B01G0022380 transcript:Dexi1B01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITKTLERYQHCCYNAQDSNGALSETQSWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKLEAEGSSNYRTLQHAAWPAPGGTIVEHDGATYHVHPPDHAAAMDCEPTLQIGYPHHQFLPSEATNNSIPRGAAGGENNFMLGWVL >Dexi3A01G0024940.1:cds pep primary_assembly:Fonio_CM05836:3A:20552080:20553286:1 gene:Dexi3A01G0024940 transcript:Dexi3A01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPAPPAASWRGLPPLRLPARCGPLLARAAARSSRYRFRTDDDGVVDVAVAGKDGGAGYAVAVEVQAPGRDGGLVLRAAGSGEGVPLAPSPSSGGALAAELSYDGARAPFHVSFLLADAAGAEIRTHRGSSFRVPVGVGRGRPAPLGLSLSKDGAANFAVYSKSAKGVVLCLFDGRDAGGHKPALEIELDPYVNRTGDVWHVSLESVEGYASYGFRSGLFSLFGIDRPLLDPYAKVIGNFVPEDTVDDKGLAVPSIRCLASLENAPSYNWGRDKHPCLPLEKLVVYRANVALFTKDKSSELPDNVTGTFSGLAAKVEHFKRLGVNAVLLEPVFPFHQVKGPYFPYHFFSPMNVYSSERTSVSAIKSMKDMVKAMHRNGIEEEQSVR >Dexi1A01G0001720.1:cds pep primary_assembly:Fonio_CM05836:1A:1143867:1147865:-1 gene:Dexi1A01G0001720 transcript:Dexi1A01G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEIAEFELRREERLKAAEERTAKKRLKRQKKKQRKKAKQTKTSNGGEEPNRVESSDDEEGSDDDDKSKQ >Dexi8A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:8A:2764313:2764907:1 gene:Dexi8A01G0003620 transcript:Dexi8A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLINDIFFYSIFSIYRATSISRATSPSCRISLHRYFSFSIASLSPTHGSRLLRPGLLLLFPRIFLLPHLSSTPHSNLGSIQSTVSDLGRASLNPPRGNRAEEDEFVGDAQSFVHRIDVCTAISEDLVANIQSMPGTNLAEDGYSAWYFYCPKRFKNAQGKTSRHRQLPITGGETCWHSYVEHMLFG >Dexi9B01G0002610.1:cds pep primary_assembly:Fonio_CM05836:9B:1488186:1489769:1 gene:Dexi9B01G0002610 transcript:Dexi9B01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAKETVFSTSDDASISLLRRLRAGATVHFVHHVDICSAAPEDLVADLQPVPGTDLAEDGYNSVWYLYCPKRFKNAQGKPSGHRQRAIAGGDTCWHSETAPKPVKGLEGATFCNLSFGRKEGSGRSFNRMGWCMTEYDDKNDHVLCKVHRSSSSLAKEKSKNSSAGCKRKATVEHPQAPPTKMSLCASVDDQVDHHQVQPPLLTGQQMTMPECEDIDYESLFAAIDDYESLFPIGEENQQLDQNILPPAEEQQQLEQNIIFPAEEQQQPEQNILLPGEEQQQLEQNTFFPAEEEQFEQNTLFTMEELLRSPFRD >Dexi6A01G0020000.1:cds pep primary_assembly:Fonio_CM05836:6A:27568910:27570966:-1 gene:Dexi6A01G0020000 transcript:Dexi6A01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAFACSRKLRGRISFVLPVSEHDRDDRSTTTTTSTSASASPSQSPRKPGDTTAAPAVVVRTTAPEFARRYALGKELGRGEFGVTRRCKDTTTGESLACKTIRRPRTTRRLGVGGASPAQQQQPQPQQDNAADVQREVAIMRRMSSRGGAAVVRLREACCEDGGAVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSSGVIHRDLKPENFLFANKSENSPLKVIDFGLSVFFNPGDRFTEVVGSAYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGGIDFSREPWPRVSGNAKDLVRRMLDPDPSTRPTARQVLEHPWLKNADSAPNVSLGDAVRARLQQFTAMNKFKKKALGVVARSLPVEELDKYVQMFRVMDKDQNGNLTLEELMEGLHINGQPVPEPEIRMLLEAADVDGNGTLDCDEFVAVSLHLRKMASDEYLAKAFRYFDKDGSGFIELDELREELGPNEQVILEIIRDVDTDQDGRISYQEFELMMKAGADWRNASRHYSKANFDTLSRKLCKDRS >Dexi1B01G0024290.1:cds pep primary_assembly:Fonio_CM05836:1B:29657089:29659211:-1 gene:Dexi1B01G0024290 transcript:Dexi1B01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNEKLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILAKQLGENDSRTKDSENWLQTFKLREEQVNAQKQKGQGANASDNAINFLKAHPAFLQAMKAAAIQSGDGSAMGEGVPRLRGVDVRAARATAEARKKAAARGLNVRNGPAANNASDELAQILKLINSAASASSTSATAKTKESEGQEENGPAQNGTPTEAKGTINDGSSVKSTGNTPAGLGAALEKKQRSKQKS >Dexi9B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:9B:11099244:11100263:-1 gene:Dexi9B01G0016230 transcript:Dexi9B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWACFLGLVGPRLLHPQRHPPAHPVRSVCYRLARRRVTKDTTQRGTGKVAAAGATEASPMAALLLSRARWLLVEHPAVASFRWQPGRTLGATPSFAAAVIFGYLATVLVLHRLVLPYLPPLPPRALRVASAAHNTVLLALSAAMAAGCALSTAATAPSSPRRWAWPFCFPPGGATEASGPVFFWAHVFYLSKVYELGDTALILLGRRPLTLLHVYHHAVVVAMCYLWLATRQSLMPIALVTNAGVHVVMYSYYLCCSVGLRWPKRLKRAVTELQIVQFVFSFAASVVMLWLHFTAGGCEGMAGWLFNAVFNASLLALFLDFHGAAYKAVKGNNKGKAE >Dexi1A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:1A:20897530:20897972:-1 gene:Dexi1A01G0014320 transcript:Dexi1A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIKSPLHVTRFQFSLQPRLTRRPAAATRFFPSLRTPAHPSPPLPLQNPTNGHQHGAAPHLRPSPDSAPSPPPAGPGAPKRRLLQAAADGDLQRFKSTCAPRRWCSITR >Dexi3A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:3A:3124123:3125223:-1 gene:Dexi3A01G0004780 transcript:Dexi3A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTALFLKRRRGREPGASARYSVCRAGGAGPSVMARRRVRRPLGAGTSLVARSRAGQILLRRRPLAACREDRMSALPDDLLRLVLDRLDTRSALGTGMLSRRWAHLPRELAALDLRAVDMLPPRYHRLLGLYMDIRNNATVLHYRSGTLPKLAVDIRRYERRAMRAFTSAMESFLEGRPRRRINRLSLDFFTIGNAGCCMNRLVAEAIDAWGVEELEAVAKPSFNRQQGPPHGQDGIHSFPSHGLCKEPRASRLRSLKLGGCVLPPLHEYGALTTLILQGIPDSTPVAAYEGVFTLCPQLQTLHLISCSCRTSRGVSLTVVVDSPGSQIRELVVDKCKYFQKLVLKALPCLESVDSEQSVLRVY >Dexi6A01G0020080.1:cds pep primary_assembly:Fonio_CM05836:6A:27633091:27633693:-1 gene:Dexi6A01G0020080 transcript:Dexi6A01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESKKTASRYTTEAETGTHSFKIGIGVGKCIRSGTFNVGGYDWAIDFYPDGVNKATKEYALVYLVVVSENVEVRASYSLSWCTVTVVKESLMETIGDFDIEVPPSDLSEHFGKLLLAEEGSDVTGPLSSRRSCMDK >Dexi9B01G0042440.1:cds pep primary_assembly:Fonio_CM05836:9B:42694446:42696455:1 gene:Dexi9B01G0042440 transcript:Dexi9B01G0042440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAAGANSAASAKKKKASAHGVLLTICSAVKHLVQAAFEILLVIYGITSDEDSAGEDSIMKDEGDLGLQPASRTTSYAADSAGENSMKDGGLQPMPADFLNAGPNRLYSSLDDNTDRLGLDSRTSAGGCRSRRTSLKALRSSWNKNLKVTSPHPFKLRTEQRGRFKEQQLAQKVREMLLEQEKKRIPIAQGLPWTTYEPECIIKPAVKEGTEPLDLVLHSDVHALERAEFDQRWNEFGKQQRLKWQRQDELEEQERIRQLRTELIPKAQPMTYFDRSFILKRSTKPATIPMELKFHLGPEKLSCMLTMLGYANGQW >Dexi7A01G0019830.1:cds pep primary_assembly:Fonio_CM05836:7A:28852986:28854462:1 gene:Dexi7A01G0019830 transcript:Dexi7A01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTIVDVSYVAAPQHAPIKLNAMGAQWVVAPVLQYLLLFDAGGDECQLPPFHDIVQSLRSSLAATLNTHAPLAGKIHYLADTGDVAICCSTAGDEGGGVRFVVAETDADVRRLAGDGEHDMVTFERHVPEVDMTSLPAPVLAVQATRLGGGGRGGVALGLTVHHAVADGRSLWRFAEAWAAACRGDAPPQPPPCFDRSRVRMPGGDELARTILRKYAPDLPLVTTTPEVSQQDRLTRRTFILDAAQIARLKETIVAETQGGRAPSTFVAVIALLWTSSVRGRSIPPDDDVFLFFFADLRDRLDPPAGEDYFGACLAACLAMLPARELHGDGALVTAAAAVQGTIQKMADDPLGFWPGWEFLNMPGYGDRTVGSPCSGRVMNVSGHSGFRAYEAGDFGPRRTENVTMNKDGQVELVRARDCGGVQAAVSMLRRDHVHAFQSELRKLLG >Dexi2B01G0024290.1:cds pep primary_assembly:Fonio_CM05836:2B:33774221:33782342:-1 gene:Dexi2B01G0024290 transcript:Dexi2B01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRGRTPPSPSSFPPLSSSYAAALMAAARPPAPSLQLPAADGHAAAAVATAAAGAAGAEAGAGPAASADSSRLQAAAPPPPPSPVAQIRVQPPPPPGIPPPPPLPPAGASHQWPPLPPPLPAGEADADLQPPPVGLAMGPTVQQPPPLTRAVAAAYHAELVDFAAAAGLADPADLADLAATAGPRGFARSLRDTGKQVSVLPPLGSMVITSIAAPFADNLISNGVDTTKGITNTVGAVPGIVGVALTGYLLDATHSWSISLFAPSIFFYLTGTVVWLAFASSKPQDFSKSGSES >Dexi5A01G0002730.1:cds pep primary_assembly:Fonio_CM05836:5A:1918630:1922159:1 gene:Dexi5A01G0002730 transcript:Dexi5A01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKATIERYKKANSDTSNSGTVAEVNAQHYQQESAKLRQTISSLQNSNRTIMGDAIHTMSLRDLKQLEGRLEKGISKIRARKNELLYAEVEYMQKREMDLQSDNMYLRSKVAENNERGQPPMNMIEAPSTSEYDHHMASYDSRNFLQVNIMQQPQHYSHQLQPTTLQLGRACQSSEWLLLPVASIDACLIVLRFFAVRAWAWRPVQRSRHAGEGSRRAAPAVV >Dexi9B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:9B:13221058:13221911:1 gene:Dexi9B01G0018530 transcript:Dexi9B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIRITSSASGASATVRHLQRRPVRTSNVEARDRSAGGRWARTAATSWRPKKKDRCTMSSSGMMVPLVSGTTSYSRCANAGRGGSRASSSSRPHTGRTDGAAAPRASSATAPRNCTRPCASAIDLGGARDVEDELVEARERRLEGVTEVVEADVGVGLDEEDALAGAVDGELASLELPEPAGERWTSALAMAPRITGAGSRAPISAFRR >Dexi9B01G0038570.1:cds pep primary_assembly:Fonio_CM05836:9B:39573092:39577541:-1 gene:Dexi9B01G0038570 transcript:Dexi9B01G0038570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFAAVFDSSLLLRTLKNMLAWGEEDNRCGKEPSTTSVLVPLKRTLQERVVETERDGHTTIGNIDPDTIVFCNQCERPCHIRCYNDGLAKNKVPLEILKEYVRFCFLCCEKCRVLRTHLDEELEKCEEIAFLRRIRYHICWRLLSGMDISSDVQLYMRQVIDILRDAFAETAEHSGFFSDMPFCSHIEVCKHSKLHCYSKDVEGEKDFRGMYCAVLTASKHVVSAAILKVRMEQVAELGYFVLLLNSIEAHLRAWNVNLLVAPVDPEMAPIWSEKLGFTILSDEEKKSMLEVHPLVMFENLLLVQKSLA >Dexi6B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:6B:26119361:26123837:1 gene:Dexi6B01G0019320 transcript:Dexi6B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIHADGIDVALMTMGLVGAIGDGMSMPLRLLVACSIANDLGSGPDRLKQLASRINAGFCWARTAERQASRMRSRYLRAVLRQDVEYFDLKAGSASEVVTSVSNDSLVVQDALGEKLPNLLMNVTMFIGSYAVGFALLWRLALVALPSLLLLVIPGFMYSRIITDLARRIREQYTRPGAIAEQAVSSVRTAYSFVAEASIMARFSAALEESARLGTKQGLTKGVAIGSNGISFAIYAFNIWYGSRLVMYHGYPGGTVFMVASLTVFGGVALGSALSNVKYLSEATAAADRIQEMIRRVPKIDLESDAGEELANMEFRNVEFGYPSRSNTSPVFTCFSLRVAAGRTVALVGSSGSGKSTVISLLQRFYDPSAGEVLLDGVDIRRLRLKWLRAQMGLVAQEPAMFATSVRENILFGKEDATLEEVVAAAKAANAHHFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDMASMGRTVIIVAHRLSTIRNADSITVMESGSVQEQGCHSELIAKNGLYSSLVRLQQTTQDSSSSPGNEIGEDICPVTSSPAAASLVQQDQYSSSKASRIMSCSPPSRSSSMEDHGEIKDKTRMYVLVFLALTVLSILLNIVQHYSLGAMGEHLTKRIREKMLAKILTFEVGWFDRDENSSGAICSRLAKDANMVRSLVGDRMSLLIQTVSVVLVAFTMGLIIAWRLALVTIAIQPLICASLYARRALLKSMSARSVQAQSESSKLVADAVSNLRTITAFSSQDRILRLFAQAQDGPRKEGLRQSWLAGLGLGTSVSLMSFSWALCFWYGGKLMAERRITGEAVFQTTMLLVTTGRVIADAFSMTADLAKGADAVASVFAILDRESKIDPDDAQGYKPEKLATCGVEIVGVDFAYPSRPDAIIFRGFSLSLMSAKSTALVGQSGSGKSTIIGLIERFYDPLDGVVEIDGRDIKTYNLRALRRHIGLVSQEPTLFSGTIRDNIMYGAKTASEAEVEDAARSANAHGFIASLKDGYDTPCGDRGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDNASEKMVQEALDRMMAGRTSVVVAHRLSTIRNCDVIAVLERGNVVEQGTHASLMAKGPSGTYFGLANLQQQGSRYPAQQ >Dexi5B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:5B:705872:707152:1 gene:Dexi5B01G0001100 transcript:Dexi5B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGFLKYLRGGVVAGNQRAAVAATTIAASACEDGGGGGGAGAGAGGGDVDDDASFFDLEFAVPGDESAASDAEEERVEFNFAVAADDVASGGGEVVAVDDAVATLAEKGDEKDAAAEAEAEAESETADVTAPAPPPPPPAASLLRPATKFRVLLLKLRKPKAATVPAEGNGGAVPAAPKQASRFLIKFRVEDAPLVSLFTRDNSSRTSDAGAGADRPAATSAAPAQQQQTQDASAAITAEERRFAKEVVLKYLSSKIKPLYVKVSRRYGERLRFGGASEGEETDLEPDLSSSPAPSPSPAPASQAPSAAATAAVATPAPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPPAASNSGGGGAGGQPQRRDDSLLQVQDGIQSAIAHCKRSFNASSKGTCTDGVAPPPSDAAAA >DexiUA01G0011910.1:cds pep primary_assembly:Fonio_CM05836:UA:23715820:23718213:-1 gene:DexiUA01G0011910 transcript:DexiUA01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRFYRDPFHHPSISGSRLAFTRSRYCSANSLVTFFQQGTVPCVSPDGRFIMETPYKVAKAPDGNGGVYAALKSKRLLDDMAAKGVKYVDCYGVDNVLVRVADPTFLGYFIDRGVSAAAKVVRKVCLHMFTLDFLNQVTNSLEKDSIYHLAEKRIPSVHGYTTGLKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLCSYAGENLEAICRGRTFHAPSEISF >Dexi3A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:3A:10295799:10300880:1 gene:Dexi3A01G0014110 transcript:Dexi3A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAVDRRHLSPFATLSESSSSSFFSEDLVPAERQVGFWKTESTVDHRGSKSAIASPLDKVHPMGANPTGGLEHARGQAFNGQLDMLSLSNLMGQEENASSLPSISWGDILGSSRSGLGSSTRGTASVEPTSADQRVHDYGSYFSSSSVSEVFSSKSRMMADGVPSQPADASSTGCEGDEPLVSMKEMEAQTIGDLLPDDDELISGITDGFECTGLSNHDDADEDIFYTGGGLELENDDTSNGDKFHEGSLESQLSGKHSIYEHPSRTLIIKNISPIIEDSELRVQLQQYGDIQALHTSFKNHGFVTVSYYDIRAAQNAMRVLHNKTLGLMNLDVQFSITKENKDPNNGILAVSIIDSSISSHDLLRIFSVYGDVKEIFKAPTSYNKNFVEYYDIRAAELALNKLNNSDMSCSKIKVEHSRSGGAGLCFTEQCSGEWKQNTVTHQLKNSPPGTIESENKKQFELDLDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKVYGYVIMTY >Dexi8B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:8B:19828534:19832487:1 gene:Dexi8B01G0011150 transcript:Dexi8B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYPTELCFPLRPKKHASCLLHLLNKDHDRVAFRLHSNSPKGYLTKLPLCGVVPPSLFENAKEVDRDEVQELTLKSVFAPVAEDAPSKWDEYWDFEQGWTCTRTFGGHSNRVSRVIFNPEESGSFTSASLDGTVKIWSLDSDVNSITLDELRGGFLCADYFTGADRHHLITGSKDGTAQIWDLETKGCMENLQGHADHISVVYYQPELQKLMTGSLDGTVRIWDPNAYRYRFIYFKGYWIQRQTPKSPLAPSLHNPSSSSKLVCSINPRRILSPGHGARRGGGTPKGT >Dexi1B01G0001150.1:cds pep primary_assembly:Fonio_CM05836:1B:925112:927029:-1 gene:Dexi1B01G0001150 transcript:Dexi1B01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISYKLTYPSGSATAHLINSFHTPQGAIQAKQQVSILFKSFVGSFLWSLFQWFYSAGPGCGFSSFPTFGMEAYRRRFFFDFSATYVGVGMICPYIINFSLLLGSVVSWGVMWPYIESKRGLWYDAKLPRSSLHGLNGYQIFISIAMIIGDGLFNFLSILVRTSYDMYLKRTKPAEAAAKPFAGVDISERQALSFDDRRRTQVFLKDQIPTSIAAGAYVLLAAISVVAIPHIFRQLKPKHVVWAYVVAPVFAFCNAYGTGLTDWSLSSSYGKLAIFIFGASIGSADGGVVAGLAACGLMMGIAYDVGLEEGYPAPYAKIYRGIALLGVNGWNQLPRYCLRFCLGFFLLAVAICALKEVAKARRWWMQDYIPSALGMAVPFFLGSFFTIDMCVGSIVLYLWSKSDRVRAHTFAPAVASGLICGDGIWSLPSSILSLLNINPPMCLRVFSADTNYQVEEFLWTLKNPAAT >Dexi8A01G0004620.1:cds pep primary_assembly:Fonio_CM05836:8A:4040173:4040952:1 gene:Dexi8A01G0004620 transcript:Dexi8A01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGRTRSLLVLLKVVVNLDRRLVLIGEQKEQEGDGEQEEEEEYVIEEEEDAVQGPCWMAVARFYSGQVYKTGVLFNELSKAWVKALPVPRFSEVVIESIALWIRVYDILERLMLDGFVRSLGNKVGKVLEIAEARMDYKRVKVDFPLDKPIVAVVKRKVQGRGIMEFNVRGLVTLIANVLTRLRWKEV >Dexi5A01G0033590.1:cds pep primary_assembly:Fonio_CM05836:5A:35899114:35904388:-1 gene:Dexi5A01G0033590 transcript:Dexi5A01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQPPRFLPLPSRRLAGRRRRARPALALNSKWKLPDVDKDAVRERVRSWMSLARGAIADAAQSARERAMHKEDPESGKKQQRKEVAVEEQALVDVPEVTVDPRVSQGWLSLDAAVSIEQFASPQLKRLSLGFIFIFIRWNGLTGRQVQRIFEALAPEHLHNDARSLVEYSCFRYLSRDDSDFHPNLKGRLVGEDAFVRIAPAVAGVADVSTAHFLFRALVGAEQGLSFDIWTTYLAELLKVHHSRQTHQMGDKALYFEQAIGLSGTKKPLRLDLTNQNSRVEKAKVGPFGSKLFDSAVSVSSGSTSDEWTLEFVDFSGEMRRDELMLPFAIVAEKFKKLQRWENPRSTICFLLLIYTVIFRNMLSYVFPFTLMMMALSMLAVKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTIFLAGQPEVTTQIALVLLASSAVLLVFPFKYVLAFFTLDLFTSELEFRREMVRAFINFLKERWESIHAAPVVVLPYEGAESNPKTLPAKASGQSESQNVQRGSSYVTSKNGINSS >Dexi8A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:8A:6326181:6327982:1 gene:Dexi8A01G0006220 transcript:Dexi8A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPELRSVAIGGDEASAPRVQGGGAPFIVKDRCVCHGILVGYNVDVVLDDVKRFLADTSSDVIILEVRTESMASKTQRLGDYLVRQDEQVFSKTITELLPKRVICVQKPRQTFWINTDMPKMKFDSNLSKLSQNPPNTATAVGDNLAPLAVETVTRRIHRCARLFISRVVADGHSNKLQVFSTDFIDEDFTRIDGVPRT >DexiUA01G0008570.1:cds pep primary_assembly:Fonio_CM05836:UA:16212318:16216539:1 gene:DexiUA01G0008570 transcript:DexiUA01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTLGDISRLPKSLKVLLENLLRWQDGDSVTLEDIQALAGWLKSAHADREIAYRPARVLMQDFTGVPAVVDLAAMREAVKRLGGDTAKVNPLSPVDLVIDHSVTVDHFGDDDAFGENVRLEMERNHERYVFLKWGQQAFSRFSVVPPGTGICHQVNLEYLGKAVWSELQDKEWVAYPDTLVGTDSHTTMINGLGVLGWGVGGIEAEAAMLGQPVSMLIPDVVGFKLTGKLSEGITATDLVLTVTQMLRKHGVVGKFVEFYGDGLDSLPLADRATIANMAPEYGATCGFFPIDDVTLEYMRLSGRSEEQVALVEAYTKAQGMWRNTGDEPVFTSTLELDMGSVEASLAGPKRPQDRVALPDVPKAFAASNELEVNVAKKDHRPIDYVLNGHQYQLPDGAVVIAAITSCTNTSNPSVLMAAGLLAKKAVELGLKPQPWVKASLAPGSKVVSDYLAQAKLTPYLDELGFNLVGYGCTTCIGNSGPLPEPIETAIKQGDLTVGAVLSGNRNFEGRIHPLVKTNWLASPPLVVAYALAGNMNINLTTDPIGHDRKNEPVYLKDIWPSSRDIARAVEKVSTEMFRKEYAEVFEGTPEWKTINVVGSDTYGWQDDSTYIRLSPFFDDMLAEPAPLKDIHGARILAMLGDSVTTDHISPAGSIKADSPAGRYLQSRGVERRDFNSYGSRRGNHEVMMRGTFANIRIRNEMVPGVEGGMTRHLPGTEVVSIYDAAVKYQQEGTPLAVIAGKEYGSGSSRDWAAKGPRLLGVRVVIAESFERIHRSNLIGMGILPLEFPQGVTRKTLGLTGEEQIDISGLQNLQPGKTVPVTLTRADGKTEVLECRCRIDTATELTYYQNDGILHYVIRKMLD >Dexi5B01G0031040.1:cds pep primary_assembly:Fonio_CM05836:5B:31861372:31870626:-1 gene:Dexi5B01G0031040 transcript:Dexi5B01G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWVHHRGTSKDDGSSVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVVPLSEKVKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEASGSPMLQFEWLVGTQYKPMELTKSDWASIRKSPPWAIDSWGLGCLIYELFSGAKLARTEDLRNTGSIPKKFVRTELLTISSLQSLLPDYQRLLNSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASSLEFGSAAAPALTVLLKMGSWLPADQFSIKVLPTIVKLFASNDRAIRACLLQHIDQFGESMSAQTVDEQVFPHVATGFSDTTVSIRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEDPGIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIARQHHEKLTTGDNMVTESTGVQLKPGNAGLLGLIDLQFSVVSFATGTQASSVGYAPSTSSSLDQAAPASARSSVDGWGELEDGNVHEENGSDKEGWDDIETFDDKPSPSLLSNIQAAQKRPVVQPKQA >Dexi7A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:7A:21942137:21943861:1 gene:Dexi7A01G0011480 transcript:Dexi7A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIGFPHLVLLILACSGAIAPATSSSGGSWELLQDSVGVSAMHMQLLHNDRVILFDRTNFGASNLTFPPGHPCRNNTRDQALPGGDCTAHSVEYDVASNTFRALSIFTDTWCSSGYVAPDGTLVQTGGWGDGIRKVRLMPACSGSADVATCDWSEKPTDPDVLAAGRWYATNQKLPDGGAIILGGRDQPNYEFYPKAADDPTTTTTLPLQFLSDTSSNSMYLYPFVHLSPGGNLFVFSNNRAILFDYKSGAVVRAFPTLGDGAPRNNPNAGSSVLLPLKPNATEGAEVLVCGGAPASSNDAVAKGRGFPPALTTCGRIKITGDDPNPSWVVEEMPSPRVMGDMILLPNGEVLIVNGATDGVAGWDSANTFNPTPVIYRPDLPVGTNRFEEQRPMGTPRQRTYHASAVLLRDGRVLVGGSNPHQFYVFGDGVKFPTELSLEAFSPYYLDASNDERRPSIVDPSPSSPVSVGYGGQLALLFRVPVRDTVVSVTMVAPSFTTHSFAQNQRLLFLEVQVSKAQKLPVVGGGAVLPADDAYAASVTMPASKVLAPPGYYMVFVVNGRIPSQGIWVHIQ >Dexi5A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:5A:2583241:2585418:1 gene:Dexi5A01G0003450 transcript:Dexi5A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGATATAAAMAATTTVQEQHRRDSGSGSCSSRDHEVSTTSFPAGYTVTAAVEMQQHMKQQSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEQHHGQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHTSVVLDHDQFHVPAILLHHHDKFQVIDSSWLALFHAFARKIN >Dexi3A01G0028730.1:cds pep primary_assembly:Fonio_CM05836:3A:29649248:29652792:1 gene:Dexi3A01G0028730 transcript:Dexi3A01G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPHHLSRPAAASGAVSGALTRHRAFSSSSCSPLRLPLFRAAAAATRSARFSAAAVSTAASVSAAAMDAVAKWGLTSLAEADPEVYDLIEREKRRQRSGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEIEELCQARALAAFHLDPALWGVNVQPYSGSPANFAAYTGLLQPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSDTGYVDYDRLEEKAMDFRPKLIICGGSAYPRDWDYARLRAIADKCGAMLLCDMAHISGLVAAQEALNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYIQQVKSNAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVELLCDLCSITLNKNAVFGDSSALTPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAITICLKIQKEHGKILRDFKKGLVDNQDIENLRAEVEKFATSFEMPGFRVSDMKYKD >Dexi3B01G0031580.1:cds pep primary_assembly:Fonio_CM05836:3B:33553890:33556103:-1 gene:Dexi3B01G0031580 transcript:Dexi3B01G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAQNPNPSPVRPPISAYYQTRAEHHAVVSSDWLAHAAAAAAASPGADAAPAAAAADAPPPSPGGAGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKARKTIAMLSQDFIYDGCTMLVHGYSRVVLEILKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFNWYWDAKCLPGFS >Dexi8A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:8A:25128968:25129223:-1 gene:Dexi8A01G0014670 transcript:Dexi8A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEEGKEEQGMEEADTVAPTAPERQQDAASTAGEIKKKIDEAIHSLGSWCSRCSEMLQAGGRAHVTGDFERRVS >Dexi8A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:8A:4085280:4085922:-1 gene:Dexi8A01G0004630 transcript:Dexi8A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTNEEVVAASNTKAKQARQQVAAPSVSGERKPRPKLDRALNCPRCDSTNTKFCYYNNYSVTQPRYYCKACHRYWTQGGILRNVPVGGGSRKNNKQQRAFVAAAALGSAPTSASSSSSGSSKKINTNMPQLMKMPTTAMATSTDFPNVLPTLMSSTSSGLELPSNGGDHQHQVSLPFAPLSLPSNPPGNNLFMDAMRGGFLVAAEG >Dexi8A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:8A:19796608:19800608:-1 gene:Dexi8A01G0011210 transcript:Dexi8A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAGGGEGRPATASAAAMEAKGKAKRDGVVKEVIRLERESVIPILKPKLVMKLAYLIERDNDRAEFMKLCKRVEYTIRAWYLLQFDDLMQLYALFDPVNGDKSLELQGMTSSELDTLELNFLTYIFQIMEKSNFKLLSDEEYEVAQSGQYLLNLPIKVDESKVDSKLLTRYFKEHPHDNLPSFADKYIVFRRGIGIDRTTDYFFMEKVDVIISRAWRSLLRVTRIDKLFSKKQVSSKMDKKKTDEINEDAEEPDLYVERVRLERMELRKASTESKPDRGIYVKHFKHIPMADMELVLVKEVIVSYYILMEQGKATIQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRILCVPLKRANEIIGTTTEEMVIRAQQAPAGS >DexiUA01G0016070.1:cds pep primary_assembly:Fonio_CM05836:UA:34243753:34244192:-1 gene:DexiUA01G0016070 transcript:DexiUA01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVCMAAALVAVALATPPVGAAAGGRSSTERLRRQQVRGLLRRLNKAPLASIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQVSSSPHLSYSSFHSIYQD >Dexi9B01G0041480.1:cds pep primary_assembly:Fonio_CM05836:9B:41949087:41950265:1 gene:Dexi9B01G0041480 transcript:Dexi9B01G0041480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRTIARRLWAGKNATATGAAIPKPPAAAPLPPARRPPLPAVDDCPTLAFLRPKPTAVGYSTATVPLPAHCFPALPVGDHLFRRLRLDGLVAPPAVNTTTRAPEEAGGGVTVEQARKVARAAEMEVARARLRSNAQTVVSGSEFAALCVDIAGGGVEGGRRLARALDDSGVVIVLGDAVFLRPDMLAKAIGSVILPAKQQQQQLAPLAGDGEGEAAVAARRRELEALEAEKAAIDVDAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFISGYAFFMRTATEPSFEGFFRSRFASRQRRLMRARGFDAERYNALRHELGLGPLGHAPAPATLQSGEPATTA >DexiUA01G0001570.1:cds pep primary_assembly:Fonio_CM05836:UA:4189970:4191352:-1 gene:DexiUA01G0001570 transcript:DexiUA01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEIAPAAVPSAAAAAATEREQGVEIYPLSCYYFGAKDAAGVPRAVETAADRALRLKANFAARGLRTSVHGVLLVELFDHPHVLLLQVRNSSFVLPGGRLRPGEEDVQGLKRKLSSKLSVVDDLADAEDDWQVGECIGMWWRSEFEAIAFPYMPPNFRSPKECIKLFLIKLPMSRQFIVPRNMKLLAVPLSQLHNNAQVYGPIISGIPNLLSKFSLNVISD >Dexi1A01G0025320.1:cds pep primary_assembly:Fonio_CM05836:1A:31588767:31589831:1 gene:Dexi1A01G0025320 transcript:Dexi1A01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSANEGIEGLRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRAASTWSQQLLDAGVRLFQGDIRKKDDVGRAFRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGKPIANGNEALPYFPIEDHVDAYGRSKSVAEQLVLKSNGRTAK >Dexi9B01G0030400.1:cds pep primary_assembly:Fonio_CM05836:9B:32914589:32919326:1 gene:Dexi9B01G0030400 transcript:Dexi9B01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLHGQSDLTDGERLCFSLSNSSSSSSSRFLLAAPADDGSLCKRNKGGRGGGAADDQPDVGSDADSVASMSSALSDLQLAQATEHQYDYVIFAHIVFSLYLYLQGLLAMTLGPGSSSHEIMDESHPQLLRILQTWPDAPKMISALDCLAVVTFVGETDLAETQLSLKAIWDVIHPKSGSNVGIIRKPKPPLLAAAVSAWAFLLTTVGSSRRNTDSWKELVKDSSIISGECPEETLRLSGKNGILRVTSWRESVQLNYLRRFLGRGFLKHAQDNDLLHDIFDIKMDRIENMSNTEKKIFRSEEEKGRALKLNKERRLAQERKQNILDEQYG >Dexi5B01G0022020.1:cds pep primary_assembly:Fonio_CM05836:5B:24259740:24269384:1 gene:Dexi5B01G0022020 transcript:Dexi5B01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGDMAGRWRELHGSGHWEGLLDPLDADLRRCLITYGEMITATYEAFIGESRSPNAGMCRYRRGDLFRRVDVSHPGWYAATRYVYATASAEVRGKVLLRPLCREGRARECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLALASAAGILGPEGADGSDPSVHRGYLSLYTSADEGSKLNKQSARMQSSSGIYIARVQLDYRWILVSPSFLFFSEYSASYCAIEQVLTEIARLMDKYKDEDTSITVVGHSLGATLATLNAVDIVANAYNKSPGFDVDSRRAAPVTAVVFGSPRTGDRDFRDIFHRLPDLRMLRVRNKPDRIPHYPPVGYADVGVELLIDTRRSPFLKPHGNESQSHDLEVHLHGVAGWRGGSGEFGLVMERDVALVNKFDDCLADEYPVPVGWKVHHNKSMVKGPDGRWVLEDHEPDCDDEEEDDNNINFRRRRDAQLPPSWTSSEIEERLAGVPVYVLANAVARSSSIRRRVELVGPPLQPRSRGSRGAPELGGPDGGDAEGASEDSWPSGSRVQTLDTHKLLVPHHKTMRLLRSHSLTALAAWWSLSLLAVLGIGGSPALAGCYTRIFSFGDSLTDTGNFVRLTAKSHSLFGSPPYGRTFFGRPTGRASDGRLVIDFIAEAFGLANVTAIQTGTAPADFRNGANFAIISATANNGSFFAGNGMHINPFSLDTQMLWFRTHLRQLVQAAAGQQQQQPTTTSSLLGGALVALGEIGGNDYNLAFAGGVPRDEVRKFVPAVVEKLEMAIEELVAMGARAFVVPGNLPFGCTPLYTQRFRGNAGYWEYDAKTGCLAWFNRFAEYHNRVLNARLEKIRRRHPDVTIVYADWYGAMMSIFQEPEELGFTNALRACCGNQTVPCGSPGCSVCEDPSTYGSWDGTHPTEAVYKVIADGVLNGPYASPVPLAETC >Dexi9B01G0005040.1:cds pep primary_assembly:Fonio_CM05836:9B:2960947:2962530:-1 gene:Dexi9B01G0005040 transcript:Dexi9B01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGEPQWLCFMRSMPFQLKSSHHHHHGAVMEGKPPPPPPPSTMQQPAATPRVSMFRRLLVKVSASEKFVADGKEKDKDDKSEPPASGEADAAGSVGLDRMVLSFMEEAATVERPPRSRCNCFNGSNQEESDDEDLDFFLPSEHAAKPATAGAGDALESLKGLVQSASVAERNLLADASRIADKCGKNCKGKAECRRVVADGLRALGYDAAVCTSRWEKTSSYPAGEHEYIDAVVGKEEVRLIVEVDFRSQFELARSTKAYRAALQALPAMFVGTPDRLGQIVAVVGEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCGDGEKAVIPGPAAAAATPVQAASFSGAFELVFDRKPNNASAAAVEGGGSVGEKITVVVSPWRPTEEASKMQQQPKAKVVTGLAAVL >Dexi2A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:2A:7234399:7237695:-1 gene:Dexi2A01G0007450 transcript:Dexi2A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMVILLFSSCLLPFTDAAAGHHGGVHLASQAAALFRWKSTLHSRSESASQALDSWRQGTSPCTGNWTGVACDIVQHGHRAPLVVTEISLPNSGIHGRLGKLNFSSLPFLTYMDLSFNDLRGEIPLAITTLPALSFLNLGFNWLHGNIPPELGNMASLTQLGFEGNDLTGQNFSDKYIIGSGGYGTVYKAQLQGGRLVAVKKLHPSEEDMSDEKEFLSEIEVLTKIRHRSIVKMYGFCSHPRYRFVIYDYIERGNLCGVLENEELAKELDWQKRVAIATDVAQAIYYLHQECNPPIIHRDITSNNILLDAAFKAYVSDFGIARILKPDSSNWSELAGTYGYIAPELSYTSVVTTKCDVYSFGVVVLEIVMGTYPMDDMQSLGSMGQYQQLAVDDMLDKRPASPTIVEKKEIATLVEMAFACLQNSPQFRPEMRDVYQKLSLNKPSSSASPSHALA >Dexi9B01G0032670.1:cds pep primary_assembly:Fonio_CM05836:9B:34837359:34846690:-1 gene:Dexi9B01G0032670 transcript:Dexi9B01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVHGTGPYEFRRPRAAEYPFPAEAPAPATAAAPDKVPAPTGGASITLLDIQRDRLTRVAAEHWGTPAAAAAFDADLVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPTDASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEERTLNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWNTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKADQPTNPSEMLENKFLGNLIEEFLEILDSKVILSSQDDGEESLLNESLSGQIDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYESFEINDHSGTQLGDDDVLQAHYSRFQAFQLLAFKQVPKLRDFALSSIGSLHKRADLTKKLLALSDVELQDLVCNKLKLISEKDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGQGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTADVTFSISSYRHQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGTLMNDFTGRIKREEWKPPKGDIRTVRIALDTAQYHIDVTETAEKGAENIYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLEVIDFKDTFLDAKHVQQSFPDYQVTFINSDGTENLHPSPPFKIRLSKKIRESSHALPGNVNSSLNVKNNDNIADGESQKEKLIVETYVPADPGPYPQDKPKQNSVRFTPTQIGAIISGVQPGLTMVVGPPGFSFEYQLVDVPDYRGKGEYAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKAWNIEPPNKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLALNLEECTPFTERPLGETGNIHYVTSIEDIEHLVKFRLQHLQQMQYMQYYAPPANELPPVAPENNADVVPSENGSVLNQPNEHMAVEENGGASDTTVSDKMEEDTVEAKDEKMQEGNKTGEENGDGNVAAKDKGEEHVDANDKMEEGDATSKGEIEEENSEPKDKMDEE >Dexi5A01G0037620.1:cds pep primary_assembly:Fonio_CM05836:5A:38912097:38913546:-1 gene:Dexi5A01G0037620 transcript:Dexi5A01G0037620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKEAARERREQRRREVTLLRALPYEPRQRWWDHLNPQAVAVVTGANRGIGFEAARHLALHGLHVVLTSRDAARGQDAAERIRAEDPGDVGLSVEWRQLDVADAASVEAFAAWAAETHGCIHVLVNNAGVNFNKGPDNSAEFAEQVIETNYYGTKRMIDAMVPLMKPSPYGARIVNVSSRLGRVNGRRNRIGDSVLRDQLSNDDCLSEQLIDEMIMEFLEQVRQGTWSSSNQWPQMYTDYSVSKLAVNAYTRLMSRRLSDRPEGQKIYINCFCPGWVKTAMTGWEGNIPAEEGADTGVWLALLPCEQGTNGKFFAERREISF >Dexi5A01G0036060.1:cds pep primary_assembly:Fonio_CM05836:5A:37717461:37721007:1 gene:Dexi5A01G0036060 transcript:Dexi5A01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGSGMGGFPPASGNLLDAAAQAFYPAVGAPYPLQPPLPHQLYCPHPYPAMPVPPPPAAAMAVPVLPPPMAMAMAPPQPGYTLPTPAAAAVDGPSSRAVMLSLVPPHAQEADVAQAMAAFGAIRSVDASAVASDGVAAVHFFDIRAAELAVACVREQHMRQQSRLGQLYAAAAAVSPAWPPPAPAPAPWDWAHDDSRGLVLGHAVWAHFATGDEGGGDTNRGSLVVLSPLPGVSVADLRQVFQAFGDLKDVRESAQRPSNKFVDFFDTRDAARAIAELNGQELFGRRLVIEFTRPSGPGPRRRGGYVMTHHRPSAPTPPRHQPSWRPASSQQPTSQPSISSSSSSSGSVRAREGGAVVLLRRSSSNKASAAAGDRSKSGSVTTSHERKSKQGGSNKMVASSSSSSATAASSGKQAQKGGGNWKGRKSGWEARFLFKEPEVTGDEAAAAAAAAPEIDTRTTVMIRNIPNKYSQKLLLHMLDNHCIQSNERIVEQGDEGQPFSSYDFVYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVYNSRKICQVTYARVQGLEALKEHFKNSKFPCDSDEYLPVAFTPPRDGKQQTEPVPIVGRSPAAASSSSSPPESRAETSVDPLAQELTPGPPSSFGDGASSTTASTHAPSDDHADDEEEEHSSGLAGELRRLGYTD >Dexi7B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:7B:18814796:18815786:-1 gene:Dexi7B01G0011840 transcript:Dexi7B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPTTLTVLALGLAVLCAAASPAAAQNCGCQPTDCCSQYGYCGTTDPYCGKGCQSGPCYSGGGGGSSSGGGVDVGSIVTDDFFNGIVSQAGSGCEGGSNFYTRDAFLNAVGSYPDFATGGSSDDSKREIAAFFAHATHETGHFCYISEINKDNDHCDLSVTQWPCVAGQAYYGRGPLQISWNFNYGPAGQAIGFDGLGNPDLVAQDPTIAFKTALWFWMNNVHNVMPQGFGATIMAINGALECNGNNQAEMNDRVANYQQYCQQLGVDPGSNLTC >Dexi7A01G0004740.1:cds pep primary_assembly:Fonio_CM05836:7A:15675100:15675507:1 gene:Dexi7A01G0004740 transcript:Dexi7A01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRGSRCSSWLRSLPLASKFHPTVLEFAVIVTYLLRIKPAAAPFNFIYKKKLLRRQGSLPLGSSHHSM >Dexi8B01G0007420.1:cds pep primary_assembly:Fonio_CM05836:8B:8935682:8936932:1 gene:Dexi8B01G0007420 transcript:Dexi8B01G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKFLLHTLFISFLLAAPQCYSDRPVLRATMTRSEKTIDFTRAVRRSHERLSMLAARLAATSGDASAQAPLQQDGGGEYGMTFSIGTPPQQLSALADTGSDLVWLKCGPCTQCAPQGSPSYYPNTSSSFSKMPCSGGLCGVLKNQSLAACSAGGDECDYLYSYGLSKSSHHYTKGYLSTETFTLGTDAVPDIGFGCTTMSEGGYGTGSGLVGLGRGSLSLVSQLNVGAFSYCLTSDPSKSSPLLFGSGPLTGPGVQSTPLISVPSPSLYSVDLQSITIGNVTTPGTGDSGFIFDSGTTLTYLAEPAYTLAKAAVLSQTDLVRAPDQDGFEACYVAPSDGSDTAAPPMVLHFDGADMVLPVANYVVDVGDGVVCWIVQKSPSVSIIGNIMQMDFQVLHDVENSVLSFQPANCDNL >Dexi5B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:5B:4796277:4798969:1 gene:Dexi5B01G0007160 transcript:Dexi5B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEADNDAGDLLVWPWTGILATTATAADADAATTLASHAHRRFAGVTTTALLEEPKPTNHQHRRRQHYLLLHFGKSWTGLRDAMSLAFQFAGAGRREWRRRCREGDGGGVFGWAAAEEDLLGDGEVGRFLRNSGATARSVENVEKEEGSAAATLAAVAAKYERREKFLEAKNEELVKMVQRMEEESHLLDVDLKDLKAVADNSLPEMNNGADEENKKLREELEAIKQEIGFRADRIQELKECKTDLHWSKVEKLVIEINSLDMADIKPEASDHAQKLHDEHKVEMEEINAQIIQLEMQLVQKEALESAICLLNMKLQAGANLRMEEYEHLYKLMANWKECLEQKCEIFQNAYVDLARRDHLNRYELQETRQQLIKCFESMMINDCAVVGIKKMGQFDEKPFHYACKRKHRDDDPEGKSARLLSSWQEELNNISWNPFITSLVDGEVKAIVNEDDPKLRQLCTEYGDNVCNAVKVTLRELNEYSPQGRHAVNELWNFKEGRKATIGEVVKYIFKQLKASSYWQNMGSCGMSPI >Dexi4A01G0002170.1:cds pep primary_assembly:Fonio_CM05836:4A:1452696:1453754:1 gene:Dexi4A01G0002170 transcript:Dexi4A01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHHLILAALLIASLLTAAPPTISAWRPWPPPPPRNGTAHLEGLGASKKFEGSSEFVKLQYHMGPVLATSITVHPIWYGPWPPPQKRTIRAFLRSLSPSPSPPSSSSKIPSPTVSAWWRTVMLYSDQTGANVSSTVSLGAEKSDTHMSRGVRLSRMDIQLIIRDAVTSRTRPLPIDSGGVYLVLTSPEVMVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSATRCPEVCAYPFKIPAYVPGRRPESPPNGDVGLDGMVSVIAHELAELASNPLANAWYAGMDPSFPTEIADLCEGIYGTGGGGAYTGQLLMDERSGAAYNVNGVGGRRFLVQWVWNPVVSYCSGPNALDQ >Dexi3A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:3A:13601581:13603078:-1 gene:Dexi3A01G0017840 transcript:Dexi3A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGISEEEQEATFRVVAAVLHLGNISFVKGREVDSSLLKDEKARFHLKAAAELLMLVNRLNASIGQDTSSDRLIGNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKAW >Dexi9B01G0014940.1:cds pep primary_assembly:Fonio_CM05836:9B:10019989:10020469:-1 gene:Dexi9B01G0014940 transcript:Dexi9B01G0014940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLFLLLLALLLTASAHASTHGHGKAAFTEDKSIAGIIGVIGSRPPSCAGRCRSCGHCEAVQVPISPQELQKRKKKLGHGTRAAAAAATIGGRARPASYEDHSNYKPLSWRCKCGRHILDP >Dexi9B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:9B:1154828:1155424:-1 gene:Dexi9B01G0002020 transcript:Dexi9B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSAIRWYCGSLLAVVVALFLTASLGTTDGAAGDLKATCAATPHPDACVRALQAADPAAAAKSTTPRGLAEAAIRAASTAGAAAGEYARREMDVSKDNGVWQCLNECAEDIEEALSHLDDSEGDDAKLREVNKFLDTAEQDAWDCDDSCKGAPNNTVKATLLAKNKDFEALMAVALSLIKRVTAGDAPAPSSSSPP >Dexi9A01G0021700.1:cds pep primary_assembly:Fonio_CM05836:9A:16529229:16529976:1 gene:Dexi9A01G0021700 transcript:Dexi9A01G0021700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESITYQINPRPGGSPAQSAGPREEEGGGGGQTSGRWGSGGGAGTDADEAARKTAAAAAPAAMHSANDAEETGYTGVNYAGVGNGRSGGAGNGYGYLGMVYANGATDGGCSSQSTLSLASSVIIVALGCLRLL >Dexi5A01G0036490.1:cds pep primary_assembly:Fonio_CM05836:5A:37983379:37984919:1 gene:Dexi5A01G0036490 transcript:Dexi5A01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCPTTSTSSLLFFFIFSCLIISHALCNHSHHGRISGAEYVQQYSHQELPDKHIVSHKTMKGVNNDILPKYARRMLIGSIAPVCTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCICHR >Dexi9A01G0043450.1:cds pep primary_assembly:Fonio_CM05836:9A:46903085:46907207:1 gene:Dexi9A01G0043450 transcript:Dexi9A01G0043450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQIVAIAVFSALGFAFYVFFVPFVGTKPFQIVAMAIYTPLVRSLTVYVQVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFVLMASAVILATCTILAMVATIPLAQLLCFHILLIKKGISTYDYIIALREQEEQGVPEHQSPQMSIISSVTGFSAASSFGPLHRGSWCTPPRLFLEDQFDVIPPEASMSQNSGSKKMKEEEGARRKTGGVKISPWTLARLNAEEVSRAAAEARKKSKILKPIAKYDAPENGGKPDHKLSNKRRPDRRGFPAELSLDPLATLSASGSESNFGDAAMEMSSSLAPLQLEARSAFQPSTAGSTRNLASSPESSFDSPDLHPFRISSSTAEEMQGAMPHSAHKGIEFTRSSSDGYEASGGEDSDRIPSRIVHRSSNWDNVILNASQGGPAVDLDML >DexiUA01G0021660.1:cds pep primary_assembly:Fonio_CM05836:UA:44850179:44850973:-1 gene:DexiUA01G0021660 transcript:DexiUA01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAASKMRKSQDRMAASRPYAETMRKVIGHLANGNLEYKHPYLEERDVKRVGYLVVSTDRGLCGGLNINLFKKLLADMKAWSEKGVQCDIAMIGSKGVSFFNSVGGNIVAQVTGMGDNPSLSELIGPVKVMLQAYDEGRLDRLYVVSNKFINTMSQVPTLTQMLPLPASEDDELKQKSWDYLYEPDPKPLLDTLLRRYVESQVYQGVVENLASEQAARMVAMKAATDNGGSLIKELQLVYNKARQASITQELTEIVSGAAAV >Dexi4B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:4B:21401387:21409704:-1 gene:Dexi4B01G0019130 transcript:Dexi4B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSFVFMQQQEMGARLSSPCVLGPPPRATAPLPPLSLSTPRRLKPLLLPRPATASSTPHMLRRLAHARARHRLIRRTPHALSTAAAPAPVPPTLTTPPAAPPLSAADLELLLRRGHYSASTHRFHSILPLLSHPSGQPLPFPTLPLRLAMRSAASALDAVFAPRAATFAYRGRHAAIRYLRSIPSASWFFRVAIPRQRFGPRHVRRLLDAISGKVDDPGFLEYLNELFVSEAVAFELGGCELGRGLPQESELTATLVNIFFDPVDRELMAVREEMLTIDIRDRVIAVLERDLEVKVDRLGSSIHSAVSEKIEFLGIEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRVRRGEEFEFDFQIENEVQQVFKDWAEETVAEYFRFLMPKRGQNMSEEEERIAEEEEEEEYEKRTVEDLTELKMRANAPIELVRKARRNMVVAIVQPCLRRHFQSAAPRAAAGSSEMSYRLGVSHRRSLEDGARTSKRMPHLSTTHLQRHLYRIRKDPGTIWVEHVKLVACPHARQKQRQAPREPAAASLNHGAAGRSAPAASRAPVGRRRQRLQQQQQQQIGTRVMAWWRARVVAPMRRAWLAVAAARARVRKGDLRVPGRAGDVEHAELGEGGRRHCRRRRDSAEAEETAVLEAAALARPTAAAHRGGAARLTRRRWQRQAWSSMSEDTMIIMACRPPPTKIARCADCQSMVRCL >Dexi2B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:2B:118290:119282:1 gene:Dexi2B01G0000250 transcript:Dexi2B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKIERLLLAVLVVAGLTTMSSGTTSSPLQYDYYNATCPGVEEVVRTELVALFGNDSTLPAGLLRLHFHDCFAAGCDATIMLKSHNGTAQRDADPNSTVRGYEAIEAIKAKVEAACPLVVSCADIMAMAARDAVNYTMGPAYQVETGRRDGNVSRKEDALRFLPPADGNVSVLLDYFAVQNLTYKDMVVLSAAHTLGVAHCPSFSDRLYNYSGAGDVDPRLDAAYAANLTAACPAGNVATVQPLDPVSPYTFDLGYYQTVYNREALLASDAALLDDSLSFAYVQLMTNGSSLSIFFDDFAASMINMGRIGVRTGTDGEIRATCSIYVD >Dexi2A01G0027690.1:cds pep primary_assembly:Fonio_CM05836:2A:39013693:39015935:-1 gene:Dexi2A01G0027690 transcript:Dexi2A01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKTIDFMDLLSDTAFLSDELCAANALIEKLEDGDEPDPQLKNWSNQVRELGYDIEDCIDDFKHRVDCADARAGFAGRISHFISTLRAHLETARQIKELKTRLQEISKRRKRYKLEHHTPGSSFAAVDPRLPALYMEAKNLVGVDAPRDDLVKWVLVSQRPDITRFLKSIRSKLGRQESSYSCEVKDHIDDVREYLQHKRQDAEDLAKSYFNELVNRGLVQPEETDYSGEVEARTKRVKLPTEIRGLRHLETLEMHCRIIG >Dexi9B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:9B:1961485:1962848:1 gene:Dexi9B01G0003410 transcript:Dexi9B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICRKWCPISFSVPGPDEDGSPEEDGPGSCLYIMEKVATPEPDQGQFEAFVYTSAETSFSKSWYRHSLPPPPYVLHPSYQLARIYSQAVLGGGSHLCISALGHGTYCFDTASREWSHAGDWILPVYGMAEYVPELNLWFGLSEKDLLPCAFDLSSVLEGRKPTLTDIWRINYPPDWCSHGITNIISLGAGRFCIVKFFETMQEGTYCFGEPVVDEIFAVFTGVELLSSCAKGVKLNGNGKGTCSGNHNGKQGIRMVKHMSKVHVLTGYTTIEAIL >Dexi4A01G0020140.1:cds pep primary_assembly:Fonio_CM05836:4A:23937598:23939194:1 gene:Dexi4A01G0020140 transcript:Dexi4A01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAAATLTAATSSLLRFVRHIASSTNEEAAARAAAATADIGGPTIFDKIIAKEIPSSIVYEDDKVLAFRDINPQAPVHVLVIPKVRDGLTGLEKAEPRHVEILGHLLYAAKLVAEKEGVLNGYRVVINNGPEGCQSVYHLHLHVLGGRQMKWPAG >Dexi5B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:5B:9515793:9520576:1 gene:Dexi5B01G0013400 transcript:Dexi5B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGEEAPPAAGEREAPPWHEGCPGCRQERKVLASDRIPYREFVYIWISCLCSTLPVQSLFPYLYFMIRDLKIAKQEQDIGFYAGFVDKYGRKPCILISILSVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYATEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQETLHFHDDEKVEATDELKAQVADSNLQGGKAQESKNLLKNWQLMSANITVALDIAAKLHMSDHNTFSKYHLQTFSLWAVSSRKFRGLSLTSQDVGIVLAVSGVSVLVYQLAVYPFVVKYFGAIRPLRPAAVLSIILLAAYPFMANLHETELKILINVASLLKNVFSTQDQRGVANGISVTFMSLFKGVAPAAAGILFSWAEKHMTGLFLPGDQILFLMINMVSFVGLLLTFKPFFSMSSSLRRS >Dexi5A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:5A:6623752:6625809:1 gene:Dexi5A01G0008820 transcript:Dexi5A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCVRAGPMGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPETVAVEYCHRTVGDGGGGSACKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLDDARIITMVPTDRDFMDSYEDYMPIRTRSTTLCCRTVAIIFMSLLVLRHTLPLMVGANGEYSLALFSDSGNSIPNPGHGQSHGKLPPSTAAAVSDPVPA >Dexi7A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:7A:20896556:20898030:1 gene:Dexi7A01G0009960 transcript:Dexi7A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQEIQLQITGFPEHDESGGGDDNDIEWPESARTSSPNKRGVRWWMLVLVDMLILLCGEAMAPLLTRLYYNSGGKSMWMATLAQSAGSPLLLIPLLLLTPRATAAEEPHRPAATGKVVAAVCVGLGLLVGCENLMYSYAMLYLPVSTFSLVSATQLAFNAVTSRLINGQRFTALILNSVVVLTFSAALLAVDGGSDDASGDGEGASGNGKRRALGFVLTLCASAVYALTLSLFEVTFEKVIRARTLRWVLTVQVATSAVASWVSVAGMFASGEWRTIRAEAAAFEHGGTAAYVATVVGMAVGWQAATVGAKRLVARVSSLFANVTGTLALPLVPVFAVAMFGDRMTGIKVVAMLMAVWGFLSYVYEHHLEDRRRVAAQKGRRTAAACSVCSVRLRTGHRAQVVVPAPDLGVTYQG >Dexi9A01G0028960.1:cds pep primary_assembly:Fonio_CM05836:9A:33715046:33718186:-1 gene:Dexi9A01G0028960 transcript:Dexi9A01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGAPADGGEEGYSGRVTPFVVLSCVVAGSGGLLFGYDLGISGGVTSMDSFLKRFFPEVYRQKQDTKLSHYCQFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRLSMIIGGTVFVAGSVFGGTAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPSVFLTIGAIFLPETPSFIIQRDGDIHKARILLQKLRGTTSVQKELDDLVSASNLSRTTQYPFRTIFKRNYRPHLVIALLIPFFNQATGINVINFYAPIVFRTIGLKEGASLLSSVVTRLCATFANIIAMMVVDRFGRRKLFLVGGVQMILSQFTVGAILAAKFNDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQSFLEVLCSIKSVTFFIFGGWICLMTLFVYLFLPETKKLPMEQMEQVWRRHWFWKKIVGEEVEEKQAESGKTTLVGL >DexiUA01G0000840.1:cds pep primary_assembly:Fonio_CM05836:UA:2595614:2599617:-1 gene:DexiUA01G0000840 transcript:DexiUA01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPQFKWAPSAAAHAPSSSSRCSALRVRCAAAVVDADRANGGGAAQLRLAYASPALKRNFESTLASEALLNEETLVKAAAVEAVALARAAAEAAQEVVQMAQKNSYQPVVRQKKGVDSYLANEILRTEIQPRTPDGYDNDVLVEDIESYGIKAPDGELDSADGELDNDTRYMEKNIAVKSARQSERRARRIRAETKAVTSVRASQKSATTSKKKRLKGSSPSMNPLGSLWKMTGRRLLTAKEEVEFSEGIQAFLVDHTRLLISDCIFGVNHYGGAPKP >Dexi7B01G0003560.1:cds pep primary_assembly:Fonio_CM05836:7B:8522558:8523862:-1 gene:Dexi7B01G0003560 transcript:Dexi7B01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVELLTSELVAPAEKTPAGAVWLSNLDLAARRGYTPTVYFYRPDGEPGLFALDVIKDSLARALVPFYPLAGRLGLDATGRLQVDCTGEGVLFVTARSDYVLDDLINDFVPCTEMRDLFVPPEPSPSSTCVLLLVQVTSLRCGGMVLGLAAHHTLVDARSAAHFVETWASIARGSNGENIPASPCFDHELLRARPVPTVLYDHPEYKPEEPAPAHALTASSTSTTYASALITLTKAEVSAIKARCEVASTFRAVVALVWQCACQARSLPPEAETRLYSMVDVRPRMSPPLPPGYFGNAVIRTSAVATVEEVVSNPVGHAARRLRAATSQGDEFVRSLVDYLEGVNTMNLPRSGISRAHLRAISWMGMSLHDADFGWGAPAFMGPALMYYSGFLHVLNAAGKDNAVTLALSLEPESMPEFRKVFTEELARLQQE >Dexi9A01G0019400.1:cds pep primary_assembly:Fonio_CM05836:9A:14295250:14303169:-1 gene:Dexi9A01G0019400 transcript:Dexi9A01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSFSDGGGGVSSSHDAFDFDADGDVLGSSASQPLPLPPPSQESSSTWEFDEDPPPSPPQPRPEARRRGARRGGGGWELAEPAVLAPTATLMEAEEYGEMMESMDEVSFALDGLRPAAQRRTRRASLHALLGICASAERRRVLRVQGLLQQIIDAILVLDIDDPPCAIAAGALLFVLASDVQDNNLLDSETCVHFLLKLLNPPVKNVVDAKAPSIGSKLLGISKFQMLNGPNKDSDSSSDDILSKVEEILLSCKEIKPLDKDDKRTSRPELCSKWLALLTMEKACLSAVALEETSDMVARIGGNFKETLRQSGGLDSIFSVMVNCHSELEVGPYLCDIFALNMLRQLHYLGIICFAMRLVKDASTTAMELKEGTPLQSAALLLKCFKILENATFLSENNKTHLLRMNRKLSPKCSLPFIGVVINTIELLSGASSDSRAEDESTLLEDCLLASVKVLMNLANDNPSGCEQIASCGGINTMASLIIKHFPSFDFSMNNNNQLKDRVSSGQHVNVNEDLSSSQNMARQVKTKHLRDHELDFLVAILGLLVNLVEKDSLNRVRLANARVSVSTSQNQDSEETQRDVIPLLCSIFLASQGAGETAEAISPDDEESLLQGAREAEMMIVEAYAALVLAFLSTESMKVRGAVTSCLPDNSLKILVPVLEKFVTFHLQLNMMSRETHSSVTEVIERCRLS >Dexi2A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:2A:879577:882295:-1 gene:Dexi2A01G0001340 transcript:Dexi2A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKICSKEFSKGIYLEEPKIISILQLKWMEAHNKVLVIMMWMVRVVKFIFGWSFILLVNISPLVIVGISVWRLVQRDYGDADGDPGNRAKLTAALDIFYALTLFQGLFSLYHVALIWKWGRYLLSKSSVAKYCELGVEKWGYEVARKYLRETKRKFSKEGVVPDNWNLIAYGVELLQSASEDDHLWGARVLDLVYDKDESVVVTQNLLFSRLSIMNLITMIGLRGKDNTEKRERAARIVAHLASNLSITHFPGTLQCICSLLESSKQSCDQKLPDKKDQNGSLNTSQQHQDSSLCDWLLANIKRTTLYVIQQDMEDSVKERRTSYTSKGAKELISQGLLILEKLTEDEGNCTEISRHQRLMSKITSPLSSHNFLSIVQDSTMIQMISSLLTVVSRILTSYGDGTTRLRQELASNTEVVSNLMGILDTDSEGAQELHGRALEILTELAFDDYFTKLTFGDPEPKCMLNKLFETVQRIFLDRERAIRLRGQAGEALARLLTLRKATARGANVSDILSKKDAINLFNKVLDHILSSKMGTAAGGSNSNVAENISDTEQCEERNLISALLSVAVVMCNENVISKEDFAHANTEDTALVKKLKEVLESNKHSTAECLRVVKLTCQLVIAIIQAKPNCIQLFDEQNFKEALTEALDTMSEIDGCMLFSGNGYHREGIKPARSLAPLVKEAQQLLQ >Dexi1A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:1A:5997317:5999317:-1 gene:Dexi1A01G0007780 transcript:Dexi1A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVHLAGTGDHTFERRLRLGGPLLKDNIATMVLESLLYWLETEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGVYKYATAWDVLREDAAALTQDVTSLTEDAAQKTGITIEQVRERLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALNRL >Dexi2A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:2A:1528791:1529402:1 gene:Dexi2A01G0002020 transcript:Dexi2A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSGAAAEAARAPAAAPRDTALVLLPDGDLREYPRPVTAARVLDDASSSSAAASGDWILCDADRMGFEGPVTAVPAAEPLRAGQIYFVLPAAAAGRRGLSREEVAALAVRASAALSRSAATAAASGSAGRRRRGAVAPLVFAPPEEEQDEEVNEVERALAHKAAAQRRLKRRPTPRPGRRIERFASDLTAIPECEMASE >Dexi5B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:5B:12020990:12024093:1 gene:Dexi5B01G0015040 transcript:Dexi5B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFSSSFTTSALAIVVLLPVVAMGAGLKVGFYNKSCPSVETLVQQAVAAAFKNNSGIAAGLIRLHFHDCFVRGCDGSVLIDTTSNNTAEKDAAPNNPSLRGFEVIDAAKKAVEAQCPKTVSCADILAFAARDSIALAGNNLTYKVPAGRRDGNVSLSSDAVSNLPRPTFNASQLVANFAAKNLTAEEMVILSGAHTVGRSHCSSFVNRLYGFSNASSVDPSMSSAYAFLLQNICPSNSSQFFPNTTTEMDLMTPEVLDNKYYLGLTNNLGLFTSDQALLTNATLKKAVDEFVKSESKWKSKFTKAMVKMGSIQVLTGTQGEIRLNCRVINNGSSSTAGVELQMTTGSSADEFAATD >Dexi5B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:5B:99012:100483:1 gene:Dexi5B01G0000170 transcript:Dexi5B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELPLVYHIGLVLAALWAAGAVGIRHSLLFLLAFLYLYMVAHIVFMYQLLSDSESVRWLNHAINKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVIAHFHRFTLQSKASVQELYMGRNPPMFTSMRVLPETSDDDHLVLELGMNFLSAEDMSAVLAMQLHKSVGLGMTANMHLTSMHVEGKAS >Dexi2A01G0030030.1:cds pep primary_assembly:Fonio_CM05836:2A:41038114:41041058:-1 gene:Dexi2A01G0030030 transcript:Dexi2A01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHYKVIIRKNRAEDHKCRTRVSRGYRTLSVAVANSSATQQAQLAWKRLSHMYSYSGPRFPLMSRAACAVSLSFTRFHIIPGVMALAFGKMALAPPVLADSRSFMPRMDGIVTKAQDTRQFLSSLVWSIWEGITLLIRAVHLTFLFFPATALAPFADKFSVAVRRRWLSLVRQTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFSYSKAAIEKAFGRELSEIFESFDENPVASGSIAQIHRATLKHQHPRKHVAVKVRHPGVGESIKRDFLLINLLAKASNVIPGLSWLRLDESVRQFAEDNFIHADMHPGNILVRLNESKLSRRRFFRAKPHIVFLDVGMTAELTRTDRDNLKQFFKAVATRDGRTAAKCTLQLSKNQNCPNPLAFTEELDKTFTFWGTPEGDVFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEVNFFGKHYVPRKGGWQRKLDPGFDIMHTLKTLLIEKDVKQPIDFFS >Dexi9A01G0024140.1:cds pep primary_assembly:Fonio_CM05836:9A:20311538:20311907:1 gene:Dexi9A01G0024140 transcript:Dexi9A01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVIWSSRSLLAIEHSPGHRLHNLRHRRSELWLGLYQQKFVGELPIGQVIALTGT >Dexi1B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:1B:18901004:18907802:-1 gene:Dexi1B01G0013330 transcript:Dexi1B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPSPGNPVNAAKWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSNIDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKSPKAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTSGLRSSLTASTSNLDETPYRTRPLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGSLWDEHGSNDTKKDDKPGDKKLLTTRKFPYMESNDEPHPISDWRSTATSRQDSISSMTTTSMPSWKSELSISSPETATGNALPDRLIQRQQISRFGASAFSTGGLAFTALQDSSSASSQLLKGSLTSNILMNLQNKGLLSNANSTLDASAPNLQSSLPSSYGSKAVSSLNPDQPGAAQSSSMWKPTTYTDRMSSSSVFSDGLASAFGSTKSKKTGAETKDELLSSLLSRQEAATASTSASPLASNGVVPPQLANTGSSTDPQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEEGFMS >Dexi2A01G0032210.1:cds pep primary_assembly:Fonio_CM05836:2A:42809231:42812886:1 gene:Dexi2A01G0032210 transcript:Dexi2A01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDCPPLAVELPPRESSPPAQAPADASPVGVAVITGYLGAGKSTLVNYILNEQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCDVVILNKIDLVNDSLDDLEKHIHDVNALVTVVRSVRCQVDLNELFNRQAYGAKNSSHLQELLDYSKSIPPSRRHDNSISTLCIYEQDPVNLAKAVREVYEVVPARKWSETESRINKIVVIGRNLDINVLQDSFSGCKS >Dexi5A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:5A:25052873:25053932:1 gene:Dexi5A01G0021160 transcript:Dexi5A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEHRRAEAADGATPHRTLTTVSVSKPSYPTVTTPMSAYAYSSPGSPSPTFPTLATGNGGAGAGAGAGGGGGGGGSWCVASQSANPTALQVALDYACGYGADCSAIQPGGSCFNPDTVHDHASYAFNSYYQKNPVPTSCDFGGTATITNTDPSSGSCQYPASSGGTQTTMPPPSPTTTMPPSVPTTPMTPTPTTPDTGTPVYGMSPPDYGSMSPPDYGSTSPPGYGSTSPPDYNDVGAAATTGQGRAVLALLCVLVATISLHVSK >Dexi3B01G0025960.1:cds pep primary_assembly:Fonio_CM05836:3B:20922359:20925457:-1 gene:Dexi3B01G0025960 transcript:Dexi3B01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHFGIPTNHNILTDLEEKTLHTKVDLVVTLGGDGTVLWVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPPA >Dexi2A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:2A:8560996:8561817:-1 gene:Dexi2A01G0008380 transcript:Dexi2A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYHVDDGSHMRRHADRIIALTDARSIRIRAPYREDPELQAWTVDLPPAAHHLEVVGSDTDSELEDVTPNLLAPAIAGSGATALRKLNLESVLIREWPLHLPSLRYLSLAGVTIEAPFAPGAWCPLLEKLNISCAKVEHVRVDIRLPFLRFMNLDDLDVSPDGDSERPPFGEITIDAPELLRLAVNCDWPEFTADYKSFTLRAPRLRRLFWQHVVINVGRPGSVKKGAIELRTIHRRDLKDYQEQMMRMLEGLLPDLPQENIADVSK >Dexi3B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:3B:13434609:13436444:-1 gene:Dexi3B01G0018090 transcript:Dexi3B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEAAVAGSSRFRTICVFCGSSPGHRKVFAEAALELGRELVRRGVNLVYGGGSIGLMGVIARTVSDGGCHVLGVIPKALMPIEISGESVGEVKVVDDMHQRKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLIALFEKGAAEGFINPDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGKDGAPAEDS >Dexi9A01G0025500.1:cds pep primary_assembly:Fonio_CM05836:9A:26008818:26009309:-1 gene:Dexi9A01G0025500 transcript:Dexi9A01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKLSFALLAVVLTLLAAGPLTTPVEAAHLHFYMHDVTGGPSPTAVRVVNAPHGYFGNMFVIDDVLTEGTSSSSTRVGRAQGYYMCASVSNLELLVTMNVVLTSGPYAGSSITVVGRDDINAPVRELSVVGGTGQFRMARGYVLWKTVTPEIIDLELFVNP >Dexi2A01G0019800.1:cds pep primary_assembly:Fonio_CM05836:2A:31935340:31936305:1 gene:Dexi2A01G0019800 transcript:Dexi2A01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTDEVLIACDAFNIYRSGKMDRFHSPARVPAGLDPATGVTSKDVVLDVTTGLSVRLFLPSRGAGADGSSDKKLPVLVFFHGGAFLIESAVSPLYHGNVASLAAAAGVLAVSVEYRLAPEHPVPAAYDDALAALQWAASARDEWLAEHGDVSRLFLAGDSAGGNMVHHVLVRLASFPHPDAPRIEGAILLHPWFGGNALVEGEEPTRAKEMALIWEFACPGAAGGADDPRMNPMAPGAPALESLRCERLLVCAGEKDWAAPRDRAYYAAVAASAWPGSTAWLESEGEGHVFFLEKPECAKAKEVMDCVVKFIGGSRAPS >Dexi9A01G0040100.1:cds pep primary_assembly:Fonio_CM05836:9A:43953599:43955917:1 gene:Dexi9A01G0040100 transcript:Dexi9A01G0040100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAERPPPPFTPPPPPPAPPQYGPLPKPSSVASLYALAGDFLDRAKTVVVTAGTVGPDAISSTTTSSARLADSSPAVVRRTRGASDGATTWPVLQQATPSEFYFAQQQQQRPTPPQTSGTFSDAGTDVVTELPTGGSHSYEQLADATDGFAPGNIIGQGGFGCVYRGVLDGAEVAIKKLKMESRQGDREFRAEVEIISRVHHRNLVSLVGYCVYSDERLLVYEFVPNKTLDSHLHGHNGPPLDWHQRWKIAVGSARGLSYLHDDCYPKIIHRDVKASNILLDHNFEPKVADFGLAKYQPGDHTHVSTRVMGTFGYIAPEFLYSGKLTDKADVFSYGVVLLELITGRLPVQSSQSYMDDTLVGWARPLISQVAEGGSLQALVDPRLGSDYDPSIMMRMVECAAAAVRQSAQQRPSMVQVRSLTTFVP >Dexi3B01G0009950.1:cds pep primary_assembly:Fonio_CM05836:3B:6902628:6903771:1 gene:Dexi3B01G0009950 transcript:Dexi3B01G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNLVVGYLNLGTLLASIPIIGAGLWLAKGSTSTCSSILQTPLLVIGFVVLLISLAGFVGACFHVAWALWLYLLAIMLLIAFLLGITAFGFAVTAGGGGTQIPGRPYREYHISDYSSWLQNHMQDMKYWRPALACVVGSKACAKIENWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPNVLCYQCNSCKAGVMEQIRQDWHKISVLNVIVLGALICICACGCCAFRNARHSLSQYPYGVNRMSKINPRWDYYWYSQRYISDD >Dexi7B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:7B:15503163:15503746:-1 gene:Dexi7B01G0007740 transcript:Dexi7B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPPSSEKKGSSAATLLEIREGGSGSGAAALADAAEALRAAVVWRAGVLGMPGRCSQEKGTAEARSGERKRWWNGDGAGEKDGKEKERPEEAEDNDDDEEAEDAEAPEVEVGAVEEEDVDDGRSCRRRRRRVAAVGAAAGVGEEEEGLGLGIAPVLRFLVVLSRETGCDGVDAQVRGCVGPFYGWAMAPVT >Dexi3B01G0020200.1:cds pep primary_assembly:Fonio_CM05836:3B:15217259:15218455:-1 gene:Dexi3B01G0020200 transcript:Dexi3B01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWVILGRVIRVGVEVEKEAQAEVAAAVDADAQPQAKQVAAVEAEEGEKAEGVTAVQAEAADAQPQAKQVAAVEAEEGEKAEGVTAVQAEADAQAEDATVLDADSRAQAEQVAAVEAEEEAQAKDTVAAVGAGAAQVEHAAAEPDFTLQVAPPPRVSVLTAGRGVHPDPGSPDKYPYIVAAAPSCLLAHFAAAPCRGMQFDDHSPPKSHLVLVRGFHTAAGEMTASAELVPDRTGSTPILRNIGSVVLAPNDGGDYTIAELRVDKGSDRATIVYLRLGGREGYDASLTEPHLGFHKLPDARDLGEETPHIHTKRSITTSRNKLRYVEIDGEAATVSMWTRRRSRIPGAWQWDWNRR >Dexi2B01G0019710.1:cds pep primary_assembly:Fonio_CM05836:2B:29904868:29905664:-1 gene:Dexi2B01G0019710 transcript:Dexi2B01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDQVQLFGLLLEMLGARNAIEVGVFTGYSLLATALALPDDGKIVAIDVTREFYDRVGSPVIAKSGVAHKIDFRVGLALPVLDQMVAEEGNKGAFDFAFVDADKESLLSYHERLLQLVRVRGLIAYDNTLLRGHVAAAPDAPISDRHRAFAAAIREFSAAVAVDRRVHICQLAIADGLTLCRRVA >Dexi8B01G0007300.1:cds pep primary_assembly:Fonio_CM05836:8B:8655475:8657646:-1 gene:Dexi8B01G0007300 transcript:Dexi8B01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKKSMRACQSGQTAFTARLLGRFTSEAAASGRNLIFSPLSIHVALALMSTGASGDTLAEILSVAGAPSREELREFVRDSLIDSVLADQSGSGGPIVVFACGAWTDWRKPLKPEYRDTIVNTFRGSTTTVDFEDKPVESRQQINSWVAEVTRGLITELVKPEDQRTETVNVVVNAIYFKGEWCDPFDKNYTQDREFYRLDGSSVKVAFMQMWTSQQIACHNGFKVLKLPYKRMVADSAFDWNQCKGIPKFSMCIFLPDSNDGLQSLTEKIASTPEFLHNHLPSEYVPVNKFQLPKFKLSFGGSIVEDLKSLGLVLPFSPSTASVTEIAEVEETDGPIYVSDVIDKAVVDVNEEGCEAAAVTESDDDMGFCLDYEPPKEVDFVADHPFAFFIIEETSGSIVFAGHVLDPSSE >Dexi1B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:1B:6335770:6336577:-1 gene:Dexi1B01G0007650 transcript:Dexi1B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTIVPRGSFKFTYLQNLRLELVISSYESRKTDVLDYAYLLKIAPLMKTLKLSMWIGLMCRERPYCKENGELRTGLPHQHVHLKSVRTCGFFGYKDQVELALHPQR >Dexi4A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:4A:16642780:16646422:-1 gene:Dexi4A01G0014500 transcript:Dexi4A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPRRHRSCLPTPAMAIAHAPQRRRGVLLLLLVAALPAALLPATCAAARSKKSYTAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFRKPTGRCSNGRLHFGLPLPPPSQAQGKDFRKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLENMKPSLCKSDKECKDYFSKSLFVVGEFGGNDYNAPLFSGVPFSDVKTYVPLVAKAIANGVEKLIELGATDLLVPGILPIGCFPLYLTLYNTSKKSDYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPKTKIMYGDYFKAAMQFVVSPGKFGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTEAAYKKVADGWLNGPYAEPPILKS >Dexi4A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:4A:7096317:7096844:1 gene:Dexi4A01G0009010 transcript:Dexi4A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRASVSEEEINELISRLQTLLPNARRRGGSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSLLR >Dexi9A01G0046770.1:cds pep primary_assembly:Fonio_CM05836:9A:50043684:50045647:-1 gene:Dexi9A01G0046770 transcript:Dexi9A01G0046770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEDIVIAGAGLAGLATALGLHRQAIAHRFLLISTTIRKGVRSLVLESSPVLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERMITFSAATGEPAAKILIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCNDTSVYWNYTWYPSPADGDAEESVAKMRSYVLDKLRAAKIPAAALGAIEQSEMSDVVSSPLRFRSPLSLVRGSISRGGVCVAGDALHPMTPELGQGGSSALEDGVVLARCLGEAFADGNGDDEGRLRAALGRYAEERRWRSIKLITAAYVVGFIQQSNNVVVKFLRDTFLSPLLAKTLVAMADFDCGTL >Dexi2A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:2A:1838947:1839357:1 gene:Dexi2A01G0002330 transcript:Dexi2A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPGLLPDDEEWCEILHQDVKKDVDHALAGRVTSSEALTAEAECQQLMEMLSANSNHEVVMNGMPIGKQLVKADGDQWEALACFWSEMILYVAPSQNLDGHAKAIASGGELITLLWVLLEHAGIVGRQAWTVAAP >Dexi5B01G0001600.1:cds pep primary_assembly:Fonio_CM05836:5B:1018377:1026844:1 gene:Dexi5B01G0001600 transcript:Dexi5B01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPPGDIGTAIGRPSSILLAQQAYVVASRNETTAAAKSRCDRRFVLLRTRFASGDGEYEYFLYKGDVKSPSLECIPLPEDCMLDGVNEFGILPRGDTFLLVGFYDGLMPLDYDLRIYSSEDKTWRTEKLLNPCPDVGIIIPEKVITLGEGVLGMVDFRRGMLVINLLQKSPVARYIPLPEPLPENTQKLQIYSPSASPRRFRDLACSDGVIKFIEMEHRVIVKETREIAPEKPKNPIQNGVLHDSELIWRQTQKVVESKTKMEYLMNGWWATTWTREMESDFWLPGCSIDVDDILVEDSFSKLLSGQSDDANLLFKNLNSAWPILSTDGDDILYLKSLPEFRGSNGWVVAVDLAKKTLKAEERADEAHSLGRYIPSQQIFHPCTLSKHLNITPAYSGCFLFSEIGAVGFLLSAEEVNRAENGAQSIVQNARILNVVEPDSCETENKSPRLSAEKDNLPQNGAQSVVQNAHILSAPPDQCSQPPHMCGYSWWPLESNLPPQQSFNKWGGSTPVPCCHFQYEASSHGYSHEFTDCELYAYGSRPDYGSYQLQSQQCPPIQELPAYAPLQQPLVQLQHQG >Dexi7A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:7A:8922221:8929992:1 gene:Dexi7A01G0002220 transcript:Dexi7A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRISPCLAATALSAALLLLILLHASSSPPLLLWPSSAAPAPPPRAERGPGRPPSFAYWISGTGRDARRVLRLLRAVYHPRNRYLLHLDAGAGDGEREALAAAVRGEEPAWREFRNVDVVGGAYAVDRTGSSALAAVLHGAAVLLKMGPHWDWLVTLSAEDYPLVTQDDLLYAFSSVPRDLNFIDHTSDLGWKAHERFEKIIVDPSLYMDKNTELFLSKGTRQMPDAFQIFTGSPWLILSRSFTEHCVHGLDNLPRKLLMYFANTAYSMESYFQTLICNSSDFRNTTVNADLRYFIWDDPPGLDPLVLNESNFQNMVNSSAAFARRFVEDAPVLKKMDDELLNRSPVQLVPGVWCPNLAKEQSGVNMESCLKWGDINAVRPGRAGERLRRFISKIIETRGCS >Dexi6A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:6A:23752936:23761125:-1 gene:Dexi6A01G0015860 transcript:Dexi6A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATPLLSLSKAVSSRSKPFLLRRGAGSGYRLPAATPLRRRLPFAASASSSAPRGLAVPSDLLLLSLARLALRGPGPRAAAAVPRRWFASVSGASPLASGGPPRGGGGAGNGDGGGGGGGGDGWKRPRASQGAGVAEEAAGQGADVIVLDVGGMSCGGCAASVKRILESEPQVRSATVNLATEMAVVWAVPEDRDVQDWKEQLGEKLASQLTTCGYKSNLRDSSKISSQAVFERKMHEKLEQLKQSGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDAEKSSLIEVPCDTLAVGDYVVVLPGDRIPADGVVKAGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKLTVEVRRPGGETVMSDIIHLVEEAQTRAAPVQRLADKVAGNFTYGVMALSAATYMFWSIFGSQLVPAAIQHGGAMSLALELSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFADVDAVVFDKTGTLTIGVKMKIISETETFLLTNHISVIRDFGDNQWTEADILSFAAGVESNTNHPLGKAIMEAAGAANCINMKANDGTFMEEPGSGAVATIGEKQVSVGTLDWIRRYGVVHNPFPEAEHFGQSVAYVAVDNVLAGLICFEDKLREDSREVIKTLSNQGISVYLLSGDKASAAMNVASIVGIHADKVIAEVKPHEKKKFISELQKEHRLVAMVGDGINDTAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMKTVKQNLWWAFMYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMANSLLLKVRLSSRQEPRKTISDALSDTSDESLKRYSSKWST >Dexi5B01G0023800.1:cds pep primary_assembly:Fonio_CM05836:5B:25914898:25917509:1 gene:Dexi5B01G0023800 transcript:Dexi5B01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSSPDHSPGRSLTPPRITPSSTPALVTSVQDLYDFICSGPLVDRIGYTKEKIAESIDRWLRCGGQVARLFRLNELQLSEAEKARIYHFYIPVFLWCEDQVIEHRAKYKEGDDIPPLVIGVSAPQGSGKTTLVFALNYLFRVSGRHSATLSIDDFYLTAKQQNELRDRNPGNALLELRGNAGSHDLQFSVETLESLMKLRKEGMKMKIPRYDKSAFGGRGDRADPSMWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYDAWDRFIESWIVIKIREPNSVFQWRLQAELAMRADGKPGMSNEEVMDFVSRYLPAYHAYLPTLYKEGPNGSKPEHLLVIDIDEDRNPIWATEVLNC >Dexi3A01G0005660.1:cds pep primary_assembly:Fonio_CM05836:3A:3675858:3678656:1 gene:Dexi3A01G0005660 transcript:Dexi3A01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKPVLYSAWISSCSFRVRIALNLKDYEKINPIKYVPALVDGDFVVSDSLAIILTSILNTLFCLKISKRKLLICSNRKTFGRSKYPLLERFYKAYMEIPAFQVAVPEKQPDAPSPGV >Dexi8B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:8B:4746663:4746881:1 gene:Dexi8B01G0005010 transcript:Dexi8B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRQPPTIAVRLYSTRPRCSEELPPPAPLHHAARLAPSDRRSSPRCRIDPDTMEHKQRAESTVSREQKLN >Dexi7B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:7B:15129765:15131823:1 gene:Dexi7B01G0007190 transcript:Dexi7B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLFPAAPCHRATASAASTAAGALRHCGLLPPPARRRRGSRGVSAAAAAPPERSSVPLAAASGHGSSDDDDDAKAALYRALEGVNRGIFGMTSAQRSEIHGLVELLESRNPTPEPTAELQDKVDGCWKLIYSTISILGKKRTQLGLRDFITLGDFLQIIDVKERVDIKLENSTITPEQLMNLFQKNYDMLLAIFNPEGWLEIT >Dexi5A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:5A:1625305:1629199:1 gene:Dexi5A01G0002290 transcript:Dexi5A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCFFKGGVTMTTQSNDKCPLCLEKMDLTDKQLKPCKCGYEVNIHGLSTILVLKELCADKSNYQKEQTKSQKQTSVKGQLAQPEPKDPNNVRVIQRKLVYIVGMPNEFASENLLRQKNFLGQYGKIENIIIDNIGANPQIPDSGRVYVTFAREEEAVRCIQAVNGFILDGKPLKATFGVTRYCHIWLSNRVCYKTNCSYVHQKASAEDICTKDDVSVVCARCRLGDEALQASKASRTPCLFHIRKPGRERGGREGGKRDKEEELVREEPRRQQGGAVALVWGDWLM >Dexi4B01G0013380.1:cds pep primary_assembly:Fonio_CM05836:4B:11923037:11923300:1 gene:Dexi4B01G0013380 transcript:Dexi4B01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGRSKSREKSREATESLDLHRWAMGIGRRSSVGCRPWGQGPCSSTPLLPEAASRPAVRSSTCRTCSVPTVAEEDSDGSRRSRRS >Dexi3A01G0009580.1:cds pep primary_assembly:Fonio_CM05836:3A:6688906:6689505:-1 gene:Dexi3A01G0009580 transcript:Dexi3A01G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRSSAASLVPSIRGAAELVRQEALRRELDGCQLLAGIWCHGLTVAQLRSIRASLPPTARLLVTKNSDMAAAVAGTRWEALKPCARGMNAWLFVRSDEIPPALKPYRDFQKEWKLQLNDFTGAVYEGRLYGPDDFAQLEAMPTRVQSYQYLLGCLQMPAVNLLAVLRARQEAMLAEADKPPAEGDAAAAAPEPAAEK >Dexi5B01G0019240.1:cds pep primary_assembly:Fonio_CM05836:5B:21564952:21565508:-1 gene:Dexi5B01G0019240 transcript:Dexi5B01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYKTATGKALFAVVLLAVAAHLAAADDDDDKAYPWKCFRSCAKACHKDDDDYAAAAVMDGGGPTGNGSCSASVSGDGPSGSGDCSSPVSGDVGECKGGCYDDACFEDVPPIGYPQCIREGRRSA >Dexi1B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:1B:26316008:26319551:1 gene:Dexi1B01G0020120 transcript:Dexi1B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSAASGFFSVHAVLLALLVIAAFLFVSGEASGAAHKVVDPEWHPATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDHGICSRRAVTVIVTDECPGGVCGGGRTHFDLSGAATACRYGGKNIAFRVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMQHIWGATWSLTPGPLVGPFSVRLTTLTGNQTLTAQDVIPKNWAPKATYTSRLNFA >Dexi1A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:1A:27112503:27113126:1 gene:Dexi1A01G0020220 transcript:Dexi1A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRGSEMVYPAAETPRRRLWNSGPDLVVPRFHTPSVYFFRRKDAEGKDLAGPDGSFFDGARMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAGGVLFQEADAPDATIDDFGDFAPTMDLKRLIPTVEYTDDISSFPLLVLQFTASARTPATSGST >Dexi3B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:3B:14412042:14415048:-1 gene:Dexi3B01G0019400 transcript:Dexi3B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKFKHKPTGQRTFSTPEEIEAGTSAGRPRTFKKIGKTPETSRREREELEKQQSHERYMKLQEQGKTEKAKKDLERLTLIRQQRAEAAKKREEEKAAKEERKAEARK >Dexi5A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:5A:24965458:24970826:1 gene:Dexi5A01G0021080 transcript:Dexi5A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYSYLPTKLNRRVKRNAREVEALLKAIITKKEVALRNGHADDTDMLGLLMLSNMKESQESGSSKPMMTMDDIIGELKIFYFAGMDTSSVTLTWTMIMLSMHPEWQERGRDEVLHVFGNNQPDHNGVNQLKVCAATVQLSLLPNSTRRPAMAIGAVLLQQWSLLCLSGALVSLLLWWAWRVLESTWIIPRRLDRALQSQGLPGTVYRFPFGDLREFARQATAARAKPMPLSHDITPRVHRFYHNIIREHGKIAVTWLGPTPRVIVDDPKLVREIMANKFGHFRKRKHNGLVKRLANGLVSHDGEKWAVHRKIISPAFHLEKLKKMLPAFAACSNDLITRWVGYVDSDGAKEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQAQNLVKMINTLYLPGFRFLPTQLNRRIKENAREVEALLRGIVGKRERAMKEGRANNNDDLLGLLMESNIAETKQAGTSKPIMTMEDIIGELKLFYFAGMDTTAVLLTWTMVVLSVHPEWQDSAREEVLRVFGKNQPDLDGIHQLKIVTMILYEVLRLYPPVVQLDRQTYKEMELGGVTYPAGVVLSLPIVFVHHDKDVWGEDADEFRPERFADGISRASKDAPAFFPFGWGPRICVGQNFALVEAKMALSSILQHFSFGLSPSYTHAPFPVSTLQPDHGAQIMLKKL >Dexi8B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:8B:8805132:8807516:1 gene:Dexi8B01G0007380 transcript:Dexi8B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGHHHACLLLLLGLLALARLASASALSTFALAKAGDATIVCGLLPSSSSVDLNCTAAGGDHVRQETYPSSHPFAALAGGEDFLCAVGPSEEVAGDVDMRWWDLSGKGEDGGRDKRVYQGPPLRALAAGEYKVCGVMQSGELYCWRWRGLNVSSATAGLRFVAAAVGDGFVCAILDATPASIRCFGTNATAVVAGAPATGSYDVVAACGTRACALSMEGELTCWGHGSPELPPSSSGGYAALALGEDGVCGLRTNFTIRCFGHGVASPPGTLAGEQFLDVKAQGKAFCGVLMANYSLICWGGREFNATNRLVFPRVVPGPCVPMSSCKCGVLPGSANICDAGSCICTDCAFQLNLAVPNASVVPVKSGGRSSRKTMWIAIAAGASGLLLVLVALQCAFLLWCRRRRRRKEEQDASAAAGEAQQLLMMPRLGSSRSKGPGSVVERFTVEMLHAATNGFSDDCRIGTGSFGSVYRGTLADGREVAIKRAEDSAKASTSSAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADDDSGERMLVYEFMANGTLHDQLHSRTPMAPPVSSWRGRLAIALDAARGIEYMHVYAVPNIIHRDVKSANILLDDAWTAKIADFGLSSVLDPTTACGNAGEGTPNEPLYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPHILADDVARVLDPRLPAPTAGESEALAYVGYLAADCVGPVGCDRPSMTEVVDALERAVAACGAAPLSRAGTARRPALSRSGTDQFDLTDTE >Dexi3A01G0025600.1:cds pep primary_assembly:Fonio_CM05836:3A:21309428:21310932:-1 gene:Dexi3A01G0025600 transcript:Dexi3A01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTASKISKDKCATLLLCLKEVIDKSLEVGADSSQFPENWIFHSREKRPGKAFVDGKKIDFLTVGGRTSAYVPELQKLDGADVAASGSKRREDKEHGDDKKSRKGTSSPKPAKGRVKEAKGSSGKAANASDDGDVEEEAKPAKRGRKQSARAAIVSTQNAGGTLGDEDSDEDKEVEEDAKPAKRGRKQIGKTMKSSSKDADDEDGDDEADDKIETKQGKRRGQKTQPSEAKSLPNEGQDAGPARRSQRKARQT >Dexi1B01G0018060.1:cds pep primary_assembly:Fonio_CM05836:1B:24373122:24376259:1 gene:Dexi1B01G0018060 transcript:Dexi1B01G0018060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGNVEPCFITPTVVAVNDSFSSSAQPAPRGAPAKGNWLAQHSAGVMADLDFYIGEEALARSRASSTYSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSGIRSIPLTGKDVTQFVQQLMKERGENIPPEESFDVARRVKEMYCYTSSDIVKIFFNPEIYNNDFTTPLQVVIDKCIQSSPIDTRRALYKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >Dexi5A01G0028370.1:cds pep primary_assembly:Fonio_CM05836:5A:31662409:31663726:-1 gene:Dexi5A01G0028370 transcript:Dexi5A01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPASSRSASLRRPHASSPAPPSFSSWRRHGGAPPFASPTACPQPPRALAAASYGYGGDLLRPIDTQTIIIAAAVVSAVSLSLVLGLKGDPVPCDRWKMESSSAVYAKEQ >Dexi7A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:7A:18278025:18280166:-1 gene:Dexi7A01G0006920 transcript:Dexi7A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAASTVSAISNHVAAGNLFAAIDALPACSGSFLLPASLYASLLRLVTSRRSLAAARRVASHLACSSSSSPSTSSPSIPTFLFNRSIESLAACGSLADARELFDAMPRRDGGSWNAIISAASRAGNPEEALSLFSDMNSVGIRPKDVTLSSVLACCAECLDLHGAQQLHGHIAKRDFQSNVILSTALVDVYGKCLLLADARRAFDDILQPSDISWNVIIRRYLLAGMGDMAAHMFFRMIWAGVRPLVFTVTHAILACRDNYALKEGRCIHTFLLRHGYEHHVHVRSSIVDMYAKCGDIDAAQRLFNLAPMKDVVMSTSVVSGLAACGRIADAKRVFDGMEQHNLVSWNAMLTGYVRSMDLAGALDLFQQMRQETKELDAVTLGSVLNACTGLLDLGKGEELHAFAFKCGLFSYPFLMNALVRMYSKCGCLRSAEQLLLFEMGSERDSYSWNSLIAGYERHSMSEAALHALREMQSEAKPSQSTFSSALAACANIFLLKHGKQIHAYIIRNGYEIDDILQSALVDMYSKCGLFDYSTRVFESGLSQDVILWNSMIFGCAYNSKGDYGLELFDEMRKQGIVPDSVTFLGALVSCICEGHVGLGRSYFTLMTDEYSIVPHMEHYECMIELLGKHGYMVELEDFVDHMPFEPTAAMWLRIFDCCREYGNKKLGERAAQRINDSKPLTPVRFIESAPDYECSDSDDVEHLPYC >Dexi9A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:9A:11297880:11298574:1 gene:Dexi9A01G0016390 transcript:Dexi9A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEAAHLDAAAAAMQWPRHEEESASAEGWARGKRSSSTSRRHPRRAPTTATEEEHLALCLLMLARGQRDAHAPSPPQADHSKAFPSHQALGGHKSSHRVEPGPAAKDHQFPAPSAMAPVSSASSPAASSSTSGGAGRVHQCSVCNKTFTTGQALGGHKRCHYEGTATITGFSRGFDLNVPALPDMMIAADRCRQAGEEDEEEVLSPLALKKPRLMILA >Dexi2A01G0037540.1:cds pep primary_assembly:Fonio_CM05836:2A:47080341:47081353:-1 gene:Dexi2A01G0037540 transcript:Dexi2A01G0037540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEISSKRQELRQHLNGRRASAFLGLPRGLPRGAPPEPLPLEAGLLRSKSRSDSESVVPFFLGSQSSSESSLRASSFLFLPLLDAEGVEARASLVSGGRPSSKSPEGVEGSEVRLGDGSLDPVGEAHAIGGEVVQRGDEIGGGEGARRGGEGSLDAAGELVEGGLDGGVEVLAAVVVELGDGEVGLVGPELGGVAPGEGLVAEVGDEQLAVVAGAGGACGVDEARPGGVVELLAPDHVARREAADPGGVGIRRSRAGGGRGVLAGAAAAALGRVGGVEVDVGGRHGLGLGFGGRSSGFEEEI >Dexi3B01G0004050.1:cds pep primary_assembly:Fonio_CM05836:3B:2808191:2808581:1 gene:Dexi3B01G0004050 transcript:Dexi3B01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDTQLVDDIPVEKLQIHDVPVDIICTPTQVIFTNTTIPKPQGIYWEKLSPEKLGQIRVLRELKGRIEQETGTKLPSRPSAKLPQTSKEEKELECKCEGR >Dexi1A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:1A:24273479:24273868:-1 gene:Dexi1A01G0017050 transcript:Dexi1A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANQEAEAAVPVPGAVTAVDPMRLASRWRSPEEWERAVKELEAEPLPSELNTFNSSGLFAVVSTDKLSVRYLGSHNHGHDVGVADRPAPTRRAVYYFEMGVKNAGFKGQTSIGFTNESFKMRRQPG >Dexi3A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:3A:4506529:4512399:1 gene:Dexi3A01G0006750 transcript:Dexi3A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASPAASPPRRRHSHRDDDSPRRRKRHVSPSPPRSPSPGDEADRRRRSRASPPDPDRRRDAKPSEEKENGHAKPGKDEGGEDDRLSRRARVSDGEEDGDRRRRRSRVSDDEKEDGRRRRRARDSDEERDDRRGKRDRERDSRRHRRRSPSSESGSSPDDRRRRRHRRDEGSRRRDDRRRRDDDRGERRRSPENREPTPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMRDVEDKSSPEYQRLTWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQDDQFTHEISLEDDLDPETNLNVFRANPNFVEDEKAYENLKRSILGAESSEDEEGSDAASDEEDEESDEEDDEEQMEIKDRTETNLVNLRRTIYLTIMSSVDFEEAGHKLMKIKLEPGQEELSEHLGIRLLNERLNDPNMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLREHLKNMPRLIMQQQKPESSESESSGSESGSEGSSSGSSSESESSSDESDRRRSKKRRKRT >DexiUA01G0000560.1:cds pep primary_assembly:Fonio_CM05836:UA:2151016:2151787:1 gene:DexiUA01G0000560 transcript:DexiUA01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASLPCDLLVDIFRRLDATAVLRCAATCRPWRRAIIANASCLQPHPDRFNPNLLIGFFYRDWWCRYQAWLQYVPGPFQGLLAMDEDVSIDFSKHDEPLSSRDGFLLLRGGTNLCLCNPLAGTCTILPAAAFDKYDDGRNKCTYVLVTGHDYDGGGGSPAAVRVLAVRRGYDIKHGVIYQIFSSTSGEWGPVKRSAKLDDGGLTITKADMWSEPPGDVVVCHGGGSVYRIIRSGRCAG >Dexi3B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:3B:5417988:5418440:1 gene:Dexi3B01G0007680 transcript:Dexi3B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVDDLSAAYDDFMAAASAVVEARALSGGEKTPATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSATTPMAAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAPGTGGAATPSSGAGPRPGGQHAEEGGQ >Dexi9B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:9B:12574854:12581556:-1 gene:Dexi9B01G0017860 transcript:Dexi9B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAIRAAAAAAGRALSSPARGASPRSSGAPAWGAIRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNQAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGNYLENAGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYAIGIKEIWEIEEGKHEPGSVIHTVGWPLDTKTYGGSFLYHFDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPSVRKLLEGGTAVQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFQALIEGSSMELYWENIKKSWIWEELHKARNYRPAFEYGFIPGMSLSALERYVFKGKSPYTLKHGKPDHEATDAANRHTPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTVPERINLPVYAGPESRYCPARVYEYVTDEKGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >Dexi2A01G0009810.1:cds pep primary_assembly:Fonio_CM05836:2A:10861890:10862924:1 gene:Dexi2A01G0009810 transcript:Dexi2A01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRREPRFGLQREAEVSSTSTAPSSSTASTRASTSLASWTGRTAPEHLHQLRTAVDAKIQAIRTGRRSACCLGFRRVPSEADLVQLSAAIAAEEDLGPFVRRAFACGRPEPLLASLRAAARDREDEIEELCHAHFHDFIHTIDDLRSLLFAMDALEQRETRELAPGTDPSQIKHKLADKFITNAHELGNPHRCPRAPIEAAATAGQGRKRLRRGELFCNDRAGEGAVLEVERRRRGKLLCSGGAGEGARAGGGGAPPPREAPSQLRQRLMLATFHCLEQQRRHDGGPGGASSGHGARVEGGERARNGLRWGGDPALGCASLERQNGSRG >Dexi8A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:8A:3953431:3958233:-1 gene:Dexi8A01G0004550 transcript:Dexi8A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSPPKVYKPAAEVDLGPDSDEHYISPNVRAPRVAGLLVKLLAWVLETPIIGWIVLSVLKRDNLVYKLVSDADIPEPPLFTATHAWRDIPEQNVVRVRKPGLLAPPELVQEAVGCLPPLLPEPADPSPGFRRWTVRDFHKAYSSGQTTPVMVARRFIAAVKECSDLKMALFISCDPADVMRQAEDSTRRYHQGTPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGAARRCSADAATVAQLRACGAILAGKANMHELGAGTSGINPHHGATRNPYCLARVSGGSSGGSAAAVAAGLCPIALGADGGGSVRMPAALCGVVGFKPTAGRISNSGLLPLNWTVGMPGILAATVEDALIAYAAIVDQSKPSPLQQKQQQPELNLPLLTCTRSMPNIRLAKYAKWFDDSSEDIRSLCGKALQMLRAHYGWETVDVTVPEIEDMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIGLSAYRSFSSRDYLNAQRLRSRQMYFHDKIFETADAIVTPMTGVTAYPLQDDAMSTGELDYINGAALVRYSIAAAITVPVGYDRGGLPVGLQFIGRPWSEATLLHLAYSMQEACRDHRREPKVHYDLLRSSP >Dexi6A01G0010330.1:cds pep primary_assembly:Fonio_CM05836:6A:12718982:12719436:-1 gene:Dexi6A01G0010330 transcript:Dexi6A01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPEDVLGGEILGRLPPRDLAACRCVCRPWRAAALRHMLPHSGSFGPSIDSTFCCIDDRYCWDSVLDHCNGLILYDHHHREELYVWNSATRRRVLLLRGPNVGKRELLSAMASRLAQLLRYGWIPWHP >Dexi3A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:3A:4137386:4140907:-1 gene:Dexi3A01G0006310 transcript:Dexi3A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADTPSKTSKTSAPQEQQPPASSGAATPAVYPDWSSFQAYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTAPPYVMYPPGVYAHPSMPPGAHPFPPYAMTSPNGNADATGNTAAAGDTDGKPSEGKDKSPTKRSKGSLGSLNMLTGKNPTEHGKTSGASANGATSQSGESGSESSSEGSEGNSQNDSHHKESGQEQDGDVRSSQNGASRSPSEGKLNQTMAIMPMPSSGPVPGPTTNLNIGMDYWANTASSTPAIHGKVTPTTVTGAVVPGEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRADVLKQENASLRDEVNRIRKEYEELLSKNNSLKEKLDGKQHKADEAGLNKPQHSGDDSQKKGN >Dexi2B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:2B:24260963:24262393:1 gene:Dexi2B01G0014490 transcript:Dexi2B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSESVRALEGKIMESSKVQVIESSFVAPSEAAPTKELWLSPFDLMMANRGHTPVVFFYRSGAAFSDAARIKEGMAKALAAFYPLAGRLGVNGDGRAQISCSGEGALFVVARSDLKSDDLDFTKPSPELREMFVPRVEPSSLILAVQVTFLKCGGVVLGVTSHHAVADGPSMFHFMVTWSAFTRDGEGAAIDLPCHDRTLLRARSPPVVHPGALSVLCPRVTFSDTPERPAATEVFTISRDQLIALRRLCGGASTFCSVSALVWQCTSVARRLQPDAVARLNFPANVRRRMASQLVPDCYFGNALVFLGAAAASGDIASEALASVAGRIGGAIARMDAELVRSAIDYSEVAGVDGRPMRGSMPETELRITSWLGMPAYGADFGSGSPQAMTRAESVRGGVVYLIDDGPRDLQRGAGAVRVVVCLEAANMKEFGRLLYANIAEAAKKLALDN >Dexi1A01G0024360.1:cds pep primary_assembly:Fonio_CM05836:1A:30938611:30941159:1 gene:Dexi1A01G0024360 transcript:Dexi1A01G0024360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPCACGAPSPSLRCVLAPSLPFASFPPVVRLAARQLLPRRLAVLRPRAASALEPLVLESDDEDGDEEAEEEAGSGLFQGDTWAVERDAVKSPELEVFELEELPEQWRRARIAWLCKELPAYKHSTLTRILNAQRKWITQEDATYVAVHCLRIRNNDAAFRVYSWIVRQHWYRFNFALATRVADCLAREGKVEKCREVFDAMVKQGRVPAESTFHILVVAYLSVPGGRCLEEACTIYNQMIQMGGYKPRLNLHNSLFRALVSKTGGTAKHNLRQAEFIYHNIVTSNLAVHKDVYAGLIWIHSYQDVIDRDRIKALRDEMKRAGFEETNDVLVSLMRAFSKEGDIRETEATWHRLLQSGGKLPAQAYICRMELYAQTGEPMKSLEMFKEMKSRNVPPNVASYHKIIEIMAKSREIEIAEKLMDEFVESHMKHLMPAFLSLMYLYLDLDMHEKLEQTFTKCLDRCRPNRILYTIYLESLVRLGNVTKAEEIFGEMHKNGTIGTNAKSCNIMLRGYLSAEDYQKAESIYDLMCKKKYDLPVDSLEKLQSGLLINKKVVKPPKPVSMKLDEEQREILIGLLLGGTQIESHAQKGVHIVNFKFQEDSNAHSVLRTHIHERFIEWLPSACRSFNDDSQIPYRFSTIPHAHFGFFADQFFRKGQPILPKLVHRWLSPRVLAYWFMFGGVRLQSGDIVLKVSGGNIDSVERIVNSLQTQSLTCKVKRKGRFFWIGFPGSNADSFWKIIEPYVLDTCTGSVMQESHSVGSDALRDSHTDYEDDTQRYDKETEE >Dexi2A01G0015650.1:cds pep primary_assembly:Fonio_CM05836:2A:26776514:26780578:-1 gene:Dexi2A01G0015650 transcript:Dexi2A01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSLRFSPSEYFLLQIARMEKLASDIKEQVLDNKFCQKLAEEFNHSNGRAGSKALQATQVQGWFLNKFPALKPTCVPTASQEKASASEVNVSVSKKKSAVSEEKVLPLDTSISNNEDEVSPIFQTETRDKIPELEELEFEAKSAKDSAWYDIAMFLAHRRNRADEVEVRVRFEGFGADEDEWVNVKKCIRQRSIPLESSQCGCIVEGDLVLCFREANDEALHFDAHVLEVQRKQHDIRGCRCVFLVEYDHDRSQVFSTTNLGRANHSVETVSLKRLSRRPKYF >Dexi9A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:9A:365102:366031:-1 gene:Dexi9A01G0000640 transcript:Dexi9A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAVNKKRRLPKQDDSLDSESASATLDGQLIKYQPMINEAAKAMLRKILKLDAPHRYESVGNSDNFLLENYIPATQGFDSSSSTRNSGVTLAEVPANSGLPYVPASSGLSAICSSSVGPEIQCPVALDNHSSNQVPNMSVVPPVSKPLTPAPSDITIPEFPDLADIVPEGSVDIPVGPFGMPGPEFPLAEEGDDSVPIETDEIMYNDDTQKLPGIVDSFWEQFLVGSPLSVDNDEVDSGVLDARETPQENGWNKIEHMANLTEQMGLLSPNHRV >Dexi9A01G0009350.1:cds pep primary_assembly:Fonio_CM05836:9A:5618632:5620522:-1 gene:Dexi9A01G0009350 transcript:Dexi9A01G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDMDSSYLYAGYFHDEAPSFYPHGALPVPPSPELPFGLIASPAREPELPFVSPMPTSFHDFYTAGPELMMPPAGEAPSHVSRGSTNTHAPLVSTMGGGSGAGEPQDQTSMEEEDEPRRQPPQQLPAAAAVESSRGFRHMMRERQRREKLSQSYADLYAMVAARSKGDKNSIVQAAAIYIHELKGAREQLRRRNEELKAQIMGHDARQQCVRVQFEVDEPASAVDSMIGALRRLKSMDVRARSIRSTMSGHRLWTEMNVETTIAAGEVEKAVEEALMQEVERNQQQQPEAGSGGPGFPGTSSSPGSSGGRGWGPQASSHVQNVF >Dexi3B01G0031220.1:cds pep primary_assembly:Fonio_CM05836:3B:31925728:31927872:-1 gene:Dexi3B01G0031220 transcript:Dexi3B01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPHLSVRNATLAAREWSASQVGLLVRVEVLVTLSCVLLTALVFLGSSRRTSRSAAFRLVVWLVLMLSYPAVSYTIGLMQSGSFHNDMVVVWACFLLGCSDGIATCSVDGSDNQARIMLNQATQVLYVFLLLLSYINSLHLHLKILLLLLWLLNVAKLGTRLQSLMSVGRERILTADNWHISMYMANSNEEVKRVYDFDPETMKGYKYVVTGEKIMRDGSGEYKLDTTDDYLVTVDKVWRCEGGLLSKAWIGSGKFRDLCLSYALFKLLRRRLNKSPLHDYDDIRTAVFFQRGIAGGDLHQDHERMFRLIEVELSFLYDFHYARYPSPKQTLIPETVMFVAAMALGLCTLFSSPLLHYTNNPAAGDNISMGLVDIWLARLVIVLFVILELFQYLSLVLSDWHKVKLLCRYVRRRSWHGHRVLETLLWLVCRATLTTRYWSDSVGQYSILHACFEKERSWILRMPIHEWVKTRVIEARRVTRRSLPVSVKRAIHQHLRSDWLCYSVMYGDRTLQRNNLQTDFEWSTSRYRYGYIGNLLIWHIATAILGADAGAGARASPDHEVATTLSNYCGYLLFQAPELQHLRINRCRSEGDMFVKLFAFQPSATNTREEAILADGVRLRSLIWANIPDEQQRWKVLAEMWVELLLSVTPSDNVSAHVKMLATGGELITHLWALLTHGGIIERPTPATIWS >Dexi9B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:9B:5071616:5073806:1 gene:Dexi9B01G0008260 transcript:Dexi9B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVAAALLVAALAAFCGTDPLRTGSMVDFPGFEAHSVDLPEPSEMPPHADAQERLRGAEVRFRGEVQGPESVAFDPQGRGPYTGVADGRVVFWDGERWVPFATASPRWTQELCGGPKASPLEYLPNEHICGRPLGLRFDKRNGDLYIADAYFGLLKVGPEGGLATPVATEAEGVRFNFTNDLDLDDEGNVYFTDSSIHYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGMSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSIYARLMSRHVKLRKFMLSLPIPAKYHYLMQIGGRLHAVIIKYSPDGEVLDILEDTKGEVVKAVSEVEEKDGKLWIGSVLMPFIAVFDLAKAS >Dexi9B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:9B:1424813:1427011:-1 gene:Dexi9B01G0002470 transcript:Dexi9B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGIGEEASWHAKFKDSAYVFVGGVPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIIRVDHVSKYKKKEEEDEEERQQKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEDSGARWEHDKHHDVPKSRGVCYAFQKGECNRGASCKFSHDEQKNANTRSSRDGEPSRSEWYRDRDSRSRHDGRRAEDRDRYRHDHSPERSRGERQRNDDRYSQGREERSERRKYDDMDCKLSRYDEKSERHERRVTD >Dexi7B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:7B:18952884:18953887:1 gene:Dexi7B01G0012080 transcript:Dexi7B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGDLRALVASSASAQWESFSSASLRNWDLGGGDTVGADRGRRWTRGPPGLLLDGEEQPRRGDDVAQPPTSGSRRANSWAKRARSVSKR >Dexi8B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:8B:25847530:25850891:-1 gene:Dexi8B01G0015100 transcript:Dexi8B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARHRIAMRIRELKVRARDVGDRRQRYGVTVPPASAMAGTDMYEDEDVEPAGGDTEQADFHRQRRAVLLDDAEPPKDKEEAVKEYINTILKCLPRPREQLAAASTAAARGDHLETQHVRVFAITGTLQDQVVNGVYQHPSLVTLLRCKVYVSYKEKARTTLAKTLEQISAGVQPHQEDELKSKEREHSMGTSSVDKDELDRLVCKLQGHLKGKRFLMVFEDVSNDWEWECLLDALLRSAVGCHPNSAIIMTTRYDRLAMESSPYKIIKAYGPDYDYIIKARKMVGDNSELCVNIADQLYLFSAFVTKMFLHLLYAKPIMTEDEGQKIMMEISKCVHLNKGIAQKVVMWCYNELPSKYRSCLLYLATFPQGHVIRTTALARRWAAEGLITTATRSHKRAKAADQAAEHYWDELLTRGFITPVEISAEANIKSCTLHHEVHKFIAKISRDVNILETNLPTEWSHRLSIHNRIGRLKTHSEDQRKGIVSSLPSLATSPQWKLLKVLDLEGCHGLEKRHLKSICKILLLKYLSLRNTDVTELPKKIKELQGLETLDIRQTMIEKLEECLRSLSIRMDQTAVPENHDATSLPQFIERLNISGIAAGRLPLLVQEHHQLSKMTLSQTYLKKDDISIIGKLHGLRWLRLQNKSYTERELAFRADEFQSLNFVLVEVSEVSNISFSHGTAPKLERIVWSFATMEALSGINHLHKLKMLELNGDCNLDLIEEELVDHPSNPR >Dexi4B01G0010890.1:cds pep primary_assembly:Fonio_CM05836:4B:8268780:8269208:-1 gene:Dexi4B01G0010890 transcript:Dexi4B01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAMAATPAGAAAGAVLAVAAADPELEVDGSGDEEEGGREKRGGGGGWLPAMEMAAAAPAARRAGGGWDERRERKSNPSLVPC >Dexi5B01G0029640.1:cds pep primary_assembly:Fonio_CM05836:5B:30818348:30820513:1 gene:Dexi5B01G0029640 transcript:Dexi5B01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSNEPLLSSPSPRNSSASLGKPLLLLLITLLSATLLCAAAFRLLFGIAPPDLCAGSPDPTSCNAIVADAAVAASSPRGALYSTRRRPAHVLRAIVSRSLAQHDVVATAMAGMHRRASSDDPRSRQQRAALADCVQLMDLARDRLADADASVADDDDDDARTWLSAALTYYSTCADGVGEGPLRDAVGARVAPLKSLASASLAVLNAGGGEGGSGEDVLDAEVVADAFPTWVPVRDRALMEQGAVKVKADVVVAQDGSGKYRTVKEAVDAAPEGGKTRYVIYVKKGVYKENVEVGKKKRELMIVGDGMDATVITGSLNVVDGATTFNSATLAVAGDGIILQDLRVENTAGAAKHQAVALRVSADRAVAYRCRIDGYQDTLYAHALRHLYRECFVSGTVDFVFGNAAAVLQGCTLASRLPLRGQQNAVTAQGRDDPNQNTGTSVHRCRVVPAPDLAPVAGRDFPTFLGRPWKAYSRTVYMQSYLDAHVDARGWLEWDGDFALSTLFYGEYQNEGPGNGTAARVKWPGYHVITDRSVAMQFTVGQFIQGSSWLNGNGVPYIDGL >Dexi1A01G0028380.1:cds pep primary_assembly:Fonio_CM05836:1A:34035058:34038678:1 gene:Dexi1A01G0028380 transcript:Dexi1A01G0028380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDAMVRENMEDLGMDADEALADAVEVLSLQGADLSGIIKRVPGEAAAAEVSPVVRVLDELKASHSSSGGLRQDLDGLVSLIDELRDLCCSGDGSENTAIAVRNGGVEALVGLCASARIEQERLLASALKALSSMLRDVGSTEKFRQSEGPKIVMDILKGGSESSDLLDAGFSVVAAGSAGNEVVKESFMDLKVDELILHVMREKSKTNVQSLYDAIRVLLTPDDNRVVASQDEICRSISENGGIDVLLQCIGEAGEQKNKVIARSCCSLLSKLAASDANKSVIIQQGGFDRFLKLTSRFSEDPSIIQEVMSMVTVLTLRSPENAARAMEAGYGTLAIQAMQRFPSSGQTQKQACLMIRNLVVRNPENRTILLNDGAEKLIRKAKGMHGSCKDAASSALRDLGLDNYNA >Dexi5B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:5B:7927204:7927967:1 gene:Dexi5B01G0011200 transcript:Dexi5B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVIKADLVGNACMRDIMAVVAKLQGIKSMTIDADKCTLTVTGTVDPVCIVQRLRKKCFSAFILSVEDVKPPEPPKPPNDPCKEKCEKLCKDKCERIGCKECRDKCEKACQERCERRCNAWLTGSSCSCGGCRPSSGRCDAWLTGSSCSCGGCRTSSGWCHTSSYTYCWCGRGCGGGCRRPFGGC >Dexi1A01G0021780.1:cds pep primary_assembly:Fonio_CM05836:1A:28496799:28501080:1 gene:Dexi1A01G0021780 transcript:Dexi1A01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVIPDGRRWSKGGQGSPSSPVTTAIFLFFFVVVVGVLVSARWITTTAILTTTQTTSIPAIPAAPPPPRPTYSLSCSAPPLPRDPDIPSNISQTLDLVLSPNASSASTCAAIPDPPPLPATANASSTCPAYFRFIHEDLHPWRAAGGITSAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHAEQYQGENATLLPPVFRYCGDNETLDIVFPDWSFWGWPEINIKPWDALQKELRSGNKRVKWMDREPYAYWKGNPDVAATRQELVKCNVSSEHEWNARIYKQAQIIGKQASNFIQKELSMDYVYDYMFHLLNEYAKLLRFKPTKPPEAVEVCSESLACQAIGREKKFMEDSMVRSDSDAGPCDLPPPFSPEEFKAQQRRKEKSMKQIEAWEQKASKPVDRKP >Dexi2B01G0035030.1:cds pep primary_assembly:Fonio_CM05836:2B:42158119:42158639:-1 gene:Dexi2B01G0035030 transcript:Dexi2B01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLARGLRNVDKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLERKVLEWGEPTVPSGKQELAEMLFQSAL >Dexi1A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:1A:24853449:24859408:1 gene:Dexi1A01G0017550 transcript:Dexi1A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAGAATRAASTLTSAECSPASVAAAGAASPPPHRRVQERHKWESSSGGSSSSSSTDEPEPRRIRAEVHCPRCSKHMDILFSHRGPPPAAAGGYQALNLCPNCRSAYFFRPHVLAPLQGTFVEIGRVRADFLDHPAARVRDPSFWEAIRASSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGSVGGEEGTGKEGWGGSNLGKDLPTPKEICKGLDKYVIGQDRAKKAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVKLHFTDGALRIIAKKAMSKNTGARGLRTILENILMDSMYEIPDAKSGEERIDAVVVDEDAVGSAEQPGCGAKILYGDGALDRYLSQIKVSRDGAGNEVDGEAELSASRAIGM >Dexi2B01G0000530.1:cds pep primary_assembly:Fonio_CM05836:2B:225707:227480:-1 gene:Dexi2B01G0000530 transcript:Dexi2B01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVYLQASLLTSALFSVVVSLLWFYSEPVLVFLRQDPEVSRLAGVFLRYSIPAQFAYGFIQCTLRFLQAQSVVMPLVALSLLPLAVHVGINHALVNCLGFGFAGAAMATSVSMWLSFLLLLAYVAFSDAFRDTWGGFTAEAFRHVLPGMKLAVPSAVMVCFEYWSFEILVLLAGLMPESQLSTSIIAMCENTEAISYMITYGFAAVISTRVSNELGARNIAKAKKALGVSMALSLALGVAFLLLLGLGHDVWARLFSNSQEGLWLGQICGLLCQNCVLLFITLRTDWEKLDLTTVDKDNDFVC >Dexi3A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:3A:3918693:3919502:1 gene:Dexi3A01G0006040 transcript:Dexi3A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSDSDHDLAAVAASSYSSSSSTTTASPLPSPPPACLLRRPRNRRRRRGSSRQGTTPAPTAAAIEPEAEDVWRGAQWEAAWPGRREARPVLLASNAGGGAGADDGVGRSRSLTDDDLEELKGCADLGFGFSYDEIPELKSTLPALELCYSMSQRLLDDPVTDSPAAASPAQQPPVTNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Dexi2A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:2A:13403681:13409907:1 gene:Dexi2A01G0011490 transcript:Dexi2A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCGWLSSLCRPRRGGRALPQPDQTRPDSEPEVTPIVMMLDTLKFCFMYNVEQVADKRGASDEVVMQNILSNSDFSEGLHLWQPNSCHAFVAVEGSGYHYGVRPHSGSSYAVLTHRTQSWQGLEQDITEKVTSGTEYFIAAYVRIYGDVHEPIGVQATLKFEDEGSSTNYLSIARTLASQERWEKMEGSFNLTTLPRRLVFYLEGPPSSVDLLIDSVTISYKKAERSVSSLTGGTENIISNYDFSKGLHPWSPICCHAYVASQWSGFIDGIRGSSGENYAVVSKRTEHWQGLEQDITNGVSTGTAYVVSAFVRVDGNVQGQVEVKGTLRLQNTDGSIHYNPVGSGFASATGRVHNWNGIQQEITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVGLAKNMASDKQWTHLKGRFLLHAPFTKAVIFIEGPPAGIDILVDGLVLSPARKLQAAPCPKIENVRYGANLLDNSAFTRGLAGWSPMGSLEADGDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLRVMDLQIYPVDRKARFEYLKEKTDKVRKRDIVLKFQGSNAINLLGSSVRIQQTENSFPIGSCISRHNIENEDFSEFFAKNFNWAVFENELKWFVVTAYSGKWKTRSNLGLGRDIRAYMFREAHKLDPSAVLFVNDYNVEDGCDSKSTPEKFVEQVVDLQERGAPVGGIGMQGHITHPVGEIICDSLDKLAILGLPIWITELDVTAENEHIRADDLEVFLREAFAHPAVGGIILWGFWEMFMFREHAHLVEADGTINEAGRRYLALKQEWLTRVNGNVSNQGEFMFRGYHGSYTVEVDTPSGKVARSFVVEQDSPVQVISLNI >Dexi6B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:6B:2701317:2701871:-1 gene:Dexi6B01G0003280 transcript:Dexi6B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAILILVILTASMATTSLFVTANACAGVPSMPIDAACRAASTSQAMYDLCTSILQSSPPNSDLATYAVSAAGAAALSCDSAADASVRMLQDGSVSGDMRDACSACVDDYHAAHQGIAGAADQLGQCAFQNVRQGYMDALSSIEDCTAKLVAAGGTTTTLYAMAVGVRDRTAIALPLGTAIGA >Dexi1A01G0004980.1:cds pep primary_assembly:Fonio_CM05836:1A:3622569:3623290:1 gene:Dexi1A01G0004980 transcript:Dexi1A01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALALACFAFLAATAGATQYKVGGDNGWAVPDASAESFNTWAEKTSFQIGDELLFVYPKDKDSVLLVEPADYNTCNTTSYDKQFTDGSTTFTLDHAGAYFFISGVEANCRANEKLIVMVSGEGPAAAPDGSPAPAGAPPSSGGGGKAPPSSSASSKSPAPKNSKGAPSSAKGDKNGAAGLTVAGFAASVVGCIAYAMLAF >Dexi4B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:4B:20903861:20905301:-1 gene:Dexi4B01G0018570 transcript:Dexi4B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSEVRAASRRIVDYLNDGEELGVEGAVETPPCTPAAVMVGEAARSVLPRFRWPRLVRRGRKGGGAGKAKEKEEEVPVVAEKRECGDLPVAAAVSPSVCQSEPASDKRHSDLSVGLSLVFLLAKTSDEFNKMVKVRTEMEALLKEIKDEVRNKDHDDAPKASNRESTTSSCVTDGNDQSVSARLEYQAASSGVEPASYEKSFEDGGCCAMMDVLEEELQAEMEMLKVNYGSETPSLLPEEEEEHYSEVCDPLTDRIEELEAALRCAEKKLVEKEMEVSLWKDTAKYALRHDDEM >Dexi4B01G0013890.1:cds pep primary_assembly:Fonio_CM05836:4B:14113889:14116193:-1 gene:Dexi4B01G0013890 transcript:Dexi4B01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQERDYIGLSPATAATELRLGLPGTEEAQGGDAAAGTPLTLELLPKGGAKRGFADAIVRPEPAARGKAPAEDEEDKKKAQAPAAKAQVVGWPPIRSYRKNTMAMNQGCLYVKVSMDGAPYLRKIDLKMYNSYKELSLALEKMFSSFVVGDSEPNVKSGREGLSDCRLMDHKNGTELVLTYKDKDGDWMLVGDVPWR >Dexi3A01G0032980.1:cds pep primary_assembly:Fonio_CM05836:3A:37777575:37780352:1 gene:Dexi3A01G0032980 transcript:Dexi3A01G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTLVALLLFGLAAAAFAADAPFVVAHKKVSLSRPKPGVERVAVSLDLYNQGSATAYDVTINDDSWPTEAFELVTGDKSKTLERLDPGATVSHTFVLETKTQGRFQGSPAIITYRVPTKTALQEAYSTPIFELDILADRPPEKKFEWRLVAKYGALVSVVSFVVLFIYLVATPSKSSSKASKKRR >Dexi3A01G0026190.1:cds pep primary_assembly:Fonio_CM05836:3A:22274248:22274739:1 gene:Dexi3A01G0026190 transcript:Dexi3A01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGLAAYRAVLRAARRTFAGDQLMLKESAVEIRRRFEDHRSLAPGSDEAARALADAREAAHFITHMIVQATRAPSGSFE >Dexi5B01G0035750.1:cds pep primary_assembly:Fonio_CM05836:5B:35647706:35650232:-1 gene:Dexi5B01G0035750 transcript:Dexi5B01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLWLRHRLQSLAAADPDPAAAAFGVSCRPDEATAPANPAFSAADGVASKDLHIDPKSSLSVRIFLPTPPPTVPAHLLLHPHPRRASDPTPTPASNGGAPYRGYLPHAVSSSPRAPASARRRLPIVVQLHSGGFATGSSSAAANDAFCRRVTKLCDAIVVAVGYRLAPESRYPAAFEDGVKVLKWIAKQANLALMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGASCGANIADYVTRKVVEDSKLFDPIKKEFNLDHPAANPLAPGRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDSPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >Dexi5A01G0020810.1:cds pep primary_assembly:Fonio_CM05836:5A:24625160:24626793:-1 gene:Dexi5A01G0020810 transcript:Dexi5A01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLLLMAENFFNDWDLQAVVRSCGSVAHHAPEPAAAAASPRAEEEAGPAAAQEPTKPAAPARAAAAAPVAAHQGHERQVSSVAATKGAARMYELEYLDLDHKKPFLLPVTPSPRAGDDGREREVMISFPAAAVTPGHHRKAGARTPRPKRSKKSQLKKVVREMPVADGGASSSDPWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLIVTYMAEHCHPVPTQLNALAGTTRHKSSTSAAEDSAASSPKSHEQQGPPPQAAEKAAGGLSREHDSNETSASAAMDFVDEENELWPAGMDLDELLAPVDDDFDFEHVVDDEDAVLGRRLSL >Dexi8A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:8A:28241712:28245590:1 gene:Dexi8A01G0016590 transcript:Dexi8A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGADARRRPAPPRGRQGSRRRRPPAGSVQDGPDPITQEAPCFSGPRRSGTRSESRASSFPAPVSYPDGSVGIRSSLTGSSSSSAAPLVDGGPADPDLPTLPHGITLFDPDGGVRGPFTPGEVMGYATTHGRQRPATHSQASSSAPRSNHPGTFTRVRQSVLYSDRPRRPHPRRPAPAEGITHDESSSEIGKATMDLPTIEALSLSGPTLMTSQPGTDEETDDDCAEVPTYERDALFFNRPKGLSRSMIVSLADSLFTTVSIDKMQGPRPCSNLDPEELRRSIKEYLDACPCIDLSVPSDRTETIEDANLNAHAAELATEPSSVLTEQASVAAERYEYRNKVSTTWMDEEVMLCFKKLIDISPDLAELQDYRLDKLNHQCFNVERYDKVYHHYNFTVRMKMPNSADWAVELYFAEVKEIFRTKHYLCYPLDPNENGQCYACRSQGVEELRHPAIGLYEMGSPDVSMSNLWYGDD >Dexi2B01G0009710.1:cds pep primary_assembly:Fonio_CM05836:2B:10452378:10461176:1 gene:Dexi2B01G0009710 transcript:Dexi2B01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGVMVGVAIMAGWSRVMLRRSRKRVAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVADAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDAVVASLPIQLKDLQVYTVIRVVFQLSEEIPCISAVVVALLADAICQLVMSDNIILKYLYPPEPRIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLQWPHRLVIPLGVNADTSDLELKPQGRLSVTVVKATSLKNKELIGKSDPYVTLHVRPMFKVKTKVIDDNLNPEWNEKFDLIVEDKETQSVIFEVYDEDNLQQDKKLGVAKLAVNNLEPEVTREVTLKLLHSVDPLKNRDTKDRGTLHLKVMYHPFTKEEQLEALEVEKRAIEERKRLKEAGIIGSTMDAVGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGIGAVGSGLGKAGKFMGKTMPFSMSRKNGSSSNAPQPDQPSA >Dexi2A01G0021150.1:cds pep primary_assembly:Fonio_CM05836:2A:33311162:33313773:1 gene:Dexi2A01G0021150 transcript:Dexi2A01G0021150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRRAALSRGGCGLAAVLALVALACPAFPGASADESSVAPDWHVVSVTSLLPSAAVCSSARAPNSSLALSVVHRQGPCSPLLARGAAPSHAEILDRDQDRVDSIHRRVSSAAATAITASSATNPARGSKGVSLPAQSGLSLGVGNYIVSVGLGTPRKDFSVVFDTGSDLSWVQCKPCNDCYDQQDPLFDPSRSSTYAPVPCGDPQCRGLDSWSCSASGNKCRYEVVYGDQSQTDGNLARDTLSLGPSDSLKSFVFGCGDDDSGLFGKADGLFGLGRNKVSLASQASAKYGAGFSYCLPSSSSSTGYLSLGPASPANAQFTAMATRTDTPSFYYLDLVGIKVAGKTIRVNAAVFKSTGTVIDSGTVITRLPSSAYRALRSAFARPMRRYKRAPALSILDTCYDFTGHTTVQIPAVELVFSGGATVSLDFSGVLYVSKVSQACLAFASNGDDTSLGILGNTQQRTFAVVYDVANQKIGFGAKGCT >Dexi7B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:7B:3987002:3988826:1 gene:Dexi7B01G0002340 transcript:Dexi7B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASGVAFMVSQLRGRWFMLYGSFLIMSAAGATSIFAVYSKDIKARLGYTQEELNTVGFIMDLGANVGVHAGLIAEVAPPWLVLSISAFMNLGGYLMLYLSVSGRVGSETPVWLACLYIFIGANSQTFSHTCALVSSVRSFPGSRGAMLGLLKGFLGLSGAIFTQLYLAFYGGRSGADTRSLILLIGWLPAIVSVAFLGTIRVIPATKQAASAFREFRAVRCLLYLSLVLAAYLMVAIILQKRFSFNSTEYGVSTGIILTMLLVPLAIVQREEAAAALIHETSDMALAETSVVDVATEPTPQPEASTSTAMKNPASTMVRTLRSLRPRPPPRGQDHTILQALVSVDMLLLLTASAVGVGGMLTAVYNIGQIGESLGYPQQNITNLVSLVSIWSYLGRVTSGFGLDTALVRHNLSTPLLMFVVLLLAVPGHLLVAFGVPGSLYPASMVIGFCYGATQPLVLAIISELFGLKYYSTLSNFGPTVCPLGTYILNVRVAGRMYDMEAARQSVVGGGHVMAGKVTTCIGVRCYRESFLVIAVATSVAAAVVATLTWRTWEFYAVVKNRKEQSGDGRQGV >Dexi8B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:8B:11589756:11590160:-1 gene:Dexi8B01G0008540 transcript:Dexi8B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAMAFYEADLEEDQFKLIACWKILRDQPKLHAYNEDLNGSSKRKNSESEVVDLTSSPVVVNNLPRPGGCKKAKEEERSGKGKGKGSSSTMDEIDKLREVQARSKQDHIEVLERHQQIAAAKKESARLNHLAA >Dexi2A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:2A:3568489:3572121:-1 gene:Dexi2A01G0004050 transcript:Dexi2A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADQATDLLQKLALDTTADAGDVLATKEKVPAKGAVAAVGNLQPGVEALQDYNDASMYYGAYPAYAYGAYGAWGDYSTYLSHDGAQTPTSGAYADMYYGYAPYGVATLGHDGQIYGSQNYQYPSTYNKQQNSTAKLSSNVKSEKLAPSPQGDVSSNGIDGVKSLKNSNSSLKSERPVSNGSYGRSSGRSSYQNQNNWSAYPYYSSEMFSDKQQKFNSNRNSTASNVKTKGQSRNQNTRQYPHLMTPTSPMGSPSVYSANGIYGYDGSSYGSGLCEDDVHKSIKYNVWASTPNGNKKLDAAYQDAKEKSSESPVFLLFSVNASGQFVGLAEMVGRVDFNKTVEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNSRDTHEVRLEKGLQVLKIFKDHVCKTSILDDFGFYDNREKMMQERKAKQQQSLKKVIDVQVANTDDAEKKSLNGETGLPELTKVEVDVLNKESSLDKTEEKNDVKENGVAPEVLKSATEKLAGTDGC >Dexi3B01G0004580.1:cds pep primary_assembly:Fonio_CM05836:3B:3106947:3110395:1 gene:Dexi3B01G0004580 transcript:Dexi3B01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPMSQPWVEKYRPRQVKDVAHQEEVIRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTTRKAGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEDVMSSRITHICYEEGLNLDAQALSTLSAISQGDLRRAITYLQSAARLFGSSISSSDLISVSGVIPEDVVKSLLAACRSGEFDVANKEVSNIIADGYPVSQLMGQFLDVIVSADDIPDEQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQTLVF >Dexi3B01G0029090.1:cds pep primary_assembly:Fonio_CM05836:3B:28110941:28112665:-1 gene:Dexi3B01G0029090 transcript:Dexi3B01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLVSSLSILLFVLSAAYVYYTTKSRSPQRLPPSPPGWPVIGHLHLLSAGMPHHVMTDLARAMKAPLMGLRMGSVRAVVLCKPDLARAALTSNDAALASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHFAKNTVPDRPFDLSECFLNLANDVLCRVAFGRRFPHGEGDKLASVLAEAQDLFAGFTIGDFFPELEPFASTVTGLRRRLKNCLADLREVCDEIIDEHISGKRPHIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQEEVRRVVGAKGRVEESDLGELHYMRAVIKETFRLHPAVPLLVPRESVAPCTLGGYDIPAKTRVFINTFAMGRDPEIWENPLEFSPERFENGGGEIDLKDPDYKVLPFGGGRRGCPGYTFALATVQVSLASLLYHFDWALPAGVRAEDVNLEECFGLATRKKEPLFVVVRQTEGYEFKGEELNEV >Dexi5B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:5B:2035234:2038910:1 gene:Dexi5B01G0003100 transcript:Dexi5B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGSRAFAAPSKADASAVAELDGLPRFEKNFYVESPAVAGMTEDEVEAYRRRREITVEGRDVPKPVRDFRDVGFPEYVLQEITKAGFVEPTAIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQMKKIVSQIRPDRQTLYWSATWPKDVEQLARNFLFDPYKVTIGSEELKANHAIVQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELINILEEAGQKVSSELAAMGRGAPPPSSGKLLLEHSTFSYRDRYRGSGGGRSWS >Dexi1B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:1B:23610545:23611037:1 gene:Dexi1B01G0017250 transcript:Dexi1B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAIVFVLTINQLPVAHARHVRSPSMSTGEHSSVDQKGSRGTSNNDHGVEHTKNTETVSVEKGSTAEGASGASFSRSGGSTSPAASDEKVVVVARYGPRPHPKKHN >Dexi7A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:7A:19090603:19091816:1 gene:Dexi7A01G0007820 transcript:Dexi7A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGRAVPVSELVLRSGSSWPMPAVGMGTAVPVPVPHEVTRDAVLAAIELGFRHLDTAAKNLNMDYVDLYLIHWPVAIKPGPLILPYNKEDAVPFDLEGVWRAMEECHRLGLAKAIGVSNFTTRHLDKVLGVATVPPAVNQVELNPVWQQRTLRAYCAEKGIHVNAYSPLGGQDWSRSGEANAVLESEVLAEIAQARGKTVAQGLSWIVKSYDKERLKQNLDIFGWQLTDEDRLKISQIPQRKFLTATALFSAEGEFTSVHLSEMDVVEE >Dexi3A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:3A:5297236:5304029:1 gene:Dexi3A01G0007650 transcript:Dexi3A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTKGELEQITLIPSAQRASSPPPLAVVPEVDLSTAIDGTAAGRAVAARAVARACEEHGFFKVTGHGVPARLLARLDAAAAAFFALPQQEKEKAAGSPFGYASKRIGGNGDLGWVEYLLLGVTAAGAAAPPLPCCSFRDLLDEYVAAVRKMTCTVLELMAEGLGLDDHAAFARLVQASDSDSMLRVNHYPPRPEPGQVATGFGEHTDPQIVSVLRSNATSGLEICLRDGTWAAVPADDAASFFVNAGDALQALTNGRVRSVRHRVMVSSARPRVSVVFFAGPPLRERLAPLPGLVDREGGRRRYRDFTWREYKTSAFRTKLAENRLGYFETTAAATS >Dexi4A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:4A:22781898:22785025:1 gene:Dexi4A01G0018930 transcript:Dexi4A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPPPLPLRCSPILALLLLLLLLMLASSLSSADARAAPSSPGATTFAEHIRAVDAAAMVDPAAGANGTVSVAPAAPAPPPVDNALPELTPGLPVISSVIIVERHHHFHRELVIASVLASVAIVAIILSTLYAWVLWRRSRRLPDGKVHRTSDTGRGIMLVPILSKFSSLKTSRKGLVAMIEYPALEAATGKFSENNVLGVGGFGCVYKAVFDGGVTAAVKRLEGGGPECEKEFENELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLDTQLHGPSHGSALSWHIRMKIALDMARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNIDKGSMNLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSQTQCQSIVTWAMPQLTDRSKLPNIVDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVAEPPSPNLKHSPC >Dexi9A01G0049730.1:cds pep primary_assembly:Fonio_CM05836:9A:52295635:52299216:1 gene:Dexi9A01G0049730 transcript:Dexi9A01G0049730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSGDASFSQDNYALKWTFHNDLGLVFVAVYQKILHLLYVDDLLAAVRREFAQIYDPKRTAYDQDFTDIFRQLHLEAEARAEEMKKSKQALSSRPLPPAASHKTDPKASGDSRPNAAKKKKKGKDDSDDADYTAKEALPNGNGTFKAQDRVLVLPKQGKENGGPNDNGAFDVSKLQRLRKNDRKNNTGAADNGAKKLTTKPSETKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVTDHMAVNQGESMMDKDDNLSSDSEEDEQEVEDGPPKKKGWFSSMFQSIAGNNVLDKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVNAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEASRNKSDVVLVDTAGRMQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Dexi9B01G0032480.1:cds pep primary_assembly:Fonio_CM05836:9B:34711904:34713985:1 gene:Dexi9B01G0032480 transcript:Dexi9B01G0032480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGRPHGASTIRCKYCRSSLTVIPGERAIQCSQCNCVTRVRRADRIPLPPMGPMTAPFLHARSKKRAVLIGITYASGMRRGCGELRGPINDVKCMRNLLCNRFGFPSECVIMLTGCSYGDSLVFHFSGIGAQVADDDGDEVDGYDEALCPMDAFQRGPILDDEINEAIVRPLVRGVRLHAVVDACYSATVLDLPFLCRVSKNGYWRWEDHRPPTGAWKGTSGGHAVLISGYSDGNKNFAVCEPYGVTYGRLLNSMRTIMHKGGGGGYDDLQGPIGAPIMGNHQVANFSGVQEPNLSSSEMFDIYRKPFVL >Dexi7A01G0024320.1:cds pep primary_assembly:Fonio_CM05836:7A:32031821:32032783:1 gene:Dexi7A01G0024320 transcript:Dexi7A01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTDIRMAAVFGEAKPENGDHDADALHRRRVLFHAHAHSQGGLRVVATDLRSLAWQCSLDIDDLQDLQDDVGISGSCSDLLDYLYSSLSSGQVRLRFPADQGPGTGPADAKLVATKAKGLPLITISLHPVAASELKDVIAVFSLALYASYKTTQEHASREQERASQLMDSLASERVGYPLVAT >Dexi3B01G0017930.1:cds pep primary_assembly:Fonio_CM05836:3B:13243937:13245563:1 gene:Dexi3B01G0017930 transcript:Dexi3B01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAGIGGRRRLTRLRTLGRGASGAVVSLFAAGDDEGELLLAVKSASGAAGAAQLRREAGIMAALRSPHVLPCLGFRSSAAAGGEEEEESHLLLEFAPGGSLADEVERSGGRLPEPAVRAFAADVARGIAYLHGEESVVHGDVKARNVVIGADGRAMLADFGCARRVGSKDYPIGGTPAFMAPEVARGEEQGPAADIWALGCTVIEMATGRAPWAGVVDDVVAAVRLIGYTDAVPESPAWMSAEAKDFLSKCLRRDAGERWTAAQLLEHPFLASARCGGEEAEDAIKPKWVSPKSTLDAAFWESDADEDEEEDDEMPESAADRIKALAGPCSALPEWDSDDGWIEVCGGCSEVSAAAASAQEVKFPTTQCEFPWTAVAASSEQMRSEVPDVPAVAALAAETTSYENFWGNEWDAEVEVQTIAI >Dexi1B01G0004470.1:cds pep primary_assembly:Fonio_CM05836:1B:3629233:3629737:-1 gene:Dexi1B01G0004470 transcript:Dexi1B01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGLYNNIEEMMCSPSGQVSLCQPQQRKTVEQELEKSLILLDLCNAMQENFSEFKTIIQEMQLAIKRGDDSTLQAKIQSYMRLVKKAQKQFKHISKKPTTVDQDSCSVVKQLAEARQIGIYMLESLAHLLSKQIVTKGSSKWRWN >Dexi3A01G0019710.1:cds pep primary_assembly:Fonio_CM05836:3A:15635156:15637866:1 gene:Dexi3A01G0019710 transcript:Dexi3A01G0019710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLMLLHGTMEARIGDARNTYDPSRLTSWVPMGLGEQVQSLEESVGIGTGMVRIHVVLGLDGSRLVRTRDVVQCPAPSVTRYNETIRAYCAHTVADVVFSVMIQQLYTYDDTLLGSAYIPVQELLSSPNRELQGWFDVLDASRRKIPGDPQLWLSVKFTDVAADTAVWGGGVDGAGCLGVPHTFFPQRTGCKVTLYQDAHTVDSLRIPLDGGGNFQAERCWEGVFYAISNAKHLVYIAGWSVNTKITLIRDGTRTVETLGHLLKRKADEEGVRVLLLVWDDPTSLQVLRDLGFTMSLMETHDEDTAADFRNSGVHCVLVQRELSVVYHGGGPEQEMPMWFYTHHQKTIIVDQYMRVGGRQIVSYVGGIDLCDGRYDTPGHSLFRTLREGQAHHDDFHQPSLAGATVDRGGPREPWHDIHSKLEGPVAWDVLSNFEQRWKKQAGMDKADLLVDVDALVRSGLIMPSSTLAIQPDYHEAWNVQVFRSIDSTSTLGFPDAETREDRLMIGLVCGKNQIIERSIHDAYIHAIRRAKNFIYIENQYFIGSSFQWKDGSISPRDPGAQNLIPRELSLKIVSKIEAGERFAVYIVLPMWPEGLPESGTMQEMLHWQRKTVEMMYDDIAVALINKNKTDANPRDYLTFFCLGNREFKSNCQCKPMEVKCNCEYEPPSHPFFWTHYARAQKARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPHHLNTSNQVARGRVHGFRMSLWHEHLNSIENQFLDPASLACVQRVNKLADDNWSRYARDMLDGDLPGHLLAYPITVARSGAVKDLVDNFPDTEAQ >Dexi2A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:2A:15797767:15802222:-1 gene:Dexi2A01G0013100 transcript:Dexi2A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGQAAAVPAAAAGSASESGGSPAASAAAAAAAFPATSLYVGDLHESVQDAQLFDVFSQVGGVVSVRVCRDINSRKSLGYAYVNYNNPADAARALELLNFTPINGKPIRIMYSNRDPSSRKSGAGNIFIKNLDKSIDNKALYDTFCAFGNILSCKIATDPSGESRGYGFVQFERDESAQSAIDKLNGMLINDKKVYVGHFVRKQDRENVSSNVKFSNVYVKNLSETVTDDELKEMFGKYGTITSAVVMRDSDGKSRCFGFVNFENADDAAQAVQELNGKVFNDKELYVGRAQKKSEREMELKVKFEKNIQEVAEKFQNTNLYLKNLEDNVDDEKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDANRALTEMNGKMVGSKPLYVALAQRKEDRKAKLQAQFSQMRPVAMAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQAGFAFQQPLMPGMRPGSPMPNFMMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGGQQQMFPRGGRGYRYPTGRGMPDPGMHGVGAVMPSPYEMGGMPIRDAGVSQPVPIGALATALANAPPDQQRLMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHLQQTSASPEQQLANLSLNDGVVSS >Dexi1B01G0006550.1:cds pep primary_assembly:Fonio_CM05836:1B:5364444:5365105:-1 gene:Dexi1B01G0006550 transcript:Dexi1B01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRREAAATLHRSLARFVAHDEAEKALSLVAAKARQHGALVSADLASALRACRGRGDRWPHVLEIHAASVVRGLGADRLVGNLLIDLYAKNGLLRWGRQVFEELSVRDHVSWVAMLSGT >Dexi3B01G0018450.1:cds pep primary_assembly:Fonio_CM05836:3B:13677202:13678042:-1 gene:Dexi3B01G0018450 transcript:Dexi3B01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHGLGSIPSTHESSHEEVVDLGIRPHKPGVEHLILEQDGIREPAVFNHQALDNDAVGRRGGRHRGPGPGEEAEVMERVVGEAARGERGEVEHVRGGGGRDGGLEREAVQREELLEAARAEERGEDGGAGRQLEWSAWRVRSAASGRSAWAPERSARIRLWKARREGARVGSGSARRTALGDGAGTGGVATNVSLRRSRRDAAAEAASACASGKSPGTRRRAEIGLERDIPAVGGGAAAGRGEGFWLGLGFRSSELGSGRLACSTSTVGKRFS >DexiUA01G0009990.1:cds pep primary_assembly:Fonio_CM05836:UA:19589048:19590025:1 gene:DexiUA01G0009990 transcript:DexiUA01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIFPPSRSLLSSMASGPPRRWLPMLARRVASVTAPLRLRSPLRQVSRFPRGSRNMTNGSGVTDEELDKPNKRHLIPSANDPIQTTKDIMISMDKHFDMHNEINRAAIQDFITHNADVRPGNIEDGSKINMYGNEDVCKRTMEVTDGKQDARLAAKEVADVEDVSDQRASEVSYDDAYEEFTDCIDNYPCDGFDYWITLERSSHRDGSIYCTRGSFGYAWKNDYRIADRNESK >Dexi2A01G0034720.1:cds pep primary_assembly:Fonio_CM05836:2A:44580563:44585865:-1 gene:Dexi2A01G0034720 transcript:Dexi2A01G0034720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAERELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDQTVTEADLNANFLIPPDESIYGGRSLAEVCCESLKDFNPMVQVSVEKGDPSLIDGEFLDKFDIVVVSGASLKTKLLVNDNCRKRSKHIAFYTVDCKDSCGEIFVDLQKHSFIQKKNGGETEQQELTYPSLQEAISVPWNNLPRKTTKLYFAMRVLENYELSEGHSPGKTTLSDIPAVLARVKAVRDKISLNESQVPTALMERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVIEDIPTPPPCAN >Dexi7B01G0016100.1:cds pep primary_assembly:Fonio_CM05836:7B:22127131:22130808:1 gene:Dexi7B01G0016100 transcript:Dexi7B01G0016100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDGRGAIEYEGRSPDGVQWHRLNNRDAGRATGAGRRLGVARRVFKRNKATASQNMAEAPNGNDDVKQTGLEGTRLPLQGGSPGNIRSASSDQQLKQMLDSLKCSKSPAVINYGASWCRVCSQILPSFCKFSNEFKNLTFIYADIDECPETTQSIRYTPTFHFYRGGERVDEMLGTGEERLHDRLWLHS >Dexi3B01G0027730.1:cds pep primary_assembly:Fonio_CM05836:3B:23391871:23392986:1 gene:Dexi3B01G0027730 transcript:Dexi3B01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLQKHLVLFSRHQWLFPLSRFATTAASSAPAPFAVADYLVASCHLDRDQALRVSQKLSHLKSPSNPDAVLAFLSGFGLTPEDIAVAVVRHPKLLCCKVDKTLAPRLAALKAYGLSASQIASFLVLDPRFLLPSVISKLEYYVPLFGSVDAVRKAFKHNRNLIGADLERVVKPNVKRLGEYDISRICRIAPSLFLIRPEHFKDLVARAEDMGVQRGTPMFIYALRCVSTHKKELIASKMEFLKKTFRDPWVLTISEYRALRTSKFLLSVIGLDPEYISCTPALLRYALESRQMPRHYVMEFLKANGLLKRGPSYYTAVQVSEKVFMEKFIQPFKEAAPHLAEDYSAACRGEVPSRLRL >Dexi9B01G0045110.1:cds pep primary_assembly:Fonio_CM05836:9B:44740536:44744132:-1 gene:Dexi9B01G0045110 transcript:Dexi9B01G0045110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRLWGRRALAAAASGDRIAAAWAEVRSRAVAPALEAAVWVCLAMSVMLVLEVFYMSVSSFVAVKLLRRVPERRYKWEPMPSGDGDEEAAAEVYPMVLVQIPMYNEIEELVELECKDWARKKINIRYEIRETRKGYKAGALRKGMEHSYAQECDFVAIFDADFQPEPDFLLRTIPFLVHNPKIALVQTRWEFVNYDACLLTRIQKMSLDYHFKVEQESGSSMHAFFGFNGTAGVWRVSAISEAGGWKDRTTVEDMDLAVRASLKGWQFLYIGDIKGVSVWKKFHLLYSFFFVRRVIAPILTFLFYCIVIPLSVMVPEVSIPVWGIFYIPTAITIMTAIRNPWSIHLVPIWILFENVMSMHRMRAAMAGLLETMYVGEWVVTEKVGDHVKDKLEVPLLTPVKPTECVERIYVPELLVALFLLVCASYDLVLGSGRYHLYIFLQASVFLVLGFGFVGTREPCCP >Dexi2B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:2B:25005324:25005980:1 gene:Dexi2B01G0014990 transcript:Dexi2B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLWFFVLNSVIAAVAFLSRARPPLPSPRRGAGGLTRMASSSVLQRLRSLSIFSYPSASSFNNNNNAAPSPSSPQPAAAGAAAAAAAHQETEEPPAATTAAACRTIMAKQSPRPRALPVAPSPKEAERVQAPEDDDDEGGMSMDEAYALALRARRRPERERETAARRSEVDAKADEFIRGFKEDLRQQRFNSIFNYTQMLKRRAFGGGGGERPDQL >Dexi9A01G0044530.1:cds pep primary_assembly:Fonio_CM05836:9A:48140932:48145730:-1 gene:Dexi9A01G0044530 transcript:Dexi9A01G0044530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPETAGALDFRLGSSPPGGSAVPRRAAGRSTDTGKHVLRLFRRDGFPRRCLLSALARLVSGERNTQPPAVCRDAEEAPGGPGFEDRDAVAAELTGSNSEGPAGGDAGDVDVLCSENGAELESAEPGVLDVRLGSPLVGRREGELDGTGLGSDEAGEISLVEVSPSDASSSLDATGSIGGYSLVEGSLPEASGSIGCEPEVQEVPTGTPAAVDCPNGDLSSGFGIQPNDDVDGRNCLPRGELELPTDGDDAKDSIKITGILHDGRVEGVETNLRKCEAVNGFTERVEEGVDRIEATLDDSEASDSSTTQDSDTDVETTSSGSSIEEQDAGYGAHIPQLDQAICKVARENKTSGVKISDRMTSVSESTLVLASGAAMLPHPAKVSTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKIVTETQGAPRMKTEEVLVKAADEARSPGSSTVLVAHFDGQVLHASNIGDSGFLVIRNGEVYKKSNPMTYGFNFPLQIEKGDNPLKHVQKYAIDLQEGDVIVTATDGLFDNVYEEEVAGTVSKSLTADLKPAEIAELLVARAREVGRCGFGSSPFSDAAYQAGYSGYSGGKLDDVTVVVSIVRKSEV >Dexi2A01G0034500.1:cds pep primary_assembly:Fonio_CM05836:2A:44446871:44447431:-1 gene:Dexi2A01G0034500 transcript:Dexi2A01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATATMLLFLLGLQAAGVAHAGRQRSAPCKEMTVYFHDIRYDGTNTANATSAVTAQPTLLSRSASVNDTYFGEVVVFDDPVTAGPSLASEEVARAQGFFFYDGKVVPNAWFAFTLVFNSTAHRGTLNLMGADPVLEKTRDLSVVGGTGDFFMARGVATLRTDNIQGLYYFRLQMDIKLYDCYDV >Dexi9B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:9B:7100586:7100822:1 gene:Dexi9B01G0011150 transcript:Dexi9B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAEDAAQLVSMLAPLLAVALVAAVVASAWADGGVDAQADEWARYVFGSEDAEPAAAPSALPMAWQGEHG >Dexi3A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:3A:2830202:2830513:-1 gene:Dexi3A01G0004310 transcript:Dexi3A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRWRPANGGATGAPLGPMAWRHGVVGDEIWSSSQPRTSRHCPPPGTTEEERGAVSSLVCPSPVDSDGGGSSYGVVVVSAMLARLPAAGVGCLATAPVLLHL >Dexi9A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:9A:2084787:2090928:-1 gene:Dexi9A01G0003990 transcript:Dexi9A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGYHSPRFSEVAHHLPLPRYCLAPTMASAASALDGWRRPESFYERSVAFMSGDPSQEHQSATINAAGYSGFILHLSGDEETAASTPISSNVLPFSLHLSSESAAESSPAEHNDNTQVPNYGTPKVPSEGSFLDGQEQEVNFVCQNQFEAKDPQPDNQTGVCKVTGKAISMQFDANKHKRHDVSGGKVDVRKLRNADVNDAIELSIAASEAMVIAEMILDDSQSDKLAAAAIEAALHVKEARKQFYCEETEHACGSSENDLDETDWLAELDEAEMVDVFQDVGLPLVHVSCSSQGQYTGDLKQQNSHPNSPPCVADTHILGSCSSEKQNNRWDIKNADSDDHVSDSFPANQSAGVLPNESTPCPDFVKQAALGKTFSCSRNKKTVLQASTENNAALHGALGALVTCQSIHKEVGRVAAQMNAGTKKHVKGAGGRIEKKLETREYIDYKEAILQGVDFMLTGFPNQKEKEIESLIRKCGGYVLSKVPPFPLDKTNSIAESSSWKPPIVLSPKKVSTAKFLYGCAINAWVLNPNWFFDSLQAGVLLPPGKYLIQRRNAQKHSSAFGHSVHPKCRTLIFDRVGFLIHGKISFCSKFSNITKHGGGQVFVSLQGLVESLKDGSASHGIILVASEASASRHLSHCGLEHDIKTAPASWIIASLFSGKLIPLKKDRCASFRRIKMPSFHQQHVIYDMSQEI >Dexi6A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:6A:28829474:28838521:-1 gene:Dexi6A01G0021620 transcript:Dexi6A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAANWRPTQGADPAAVAAAAGGVDPNAAAPAGGDWRTQLQPEARNRIVNKIMETLKKHLPVSVPEGLSELHKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKQNPGNAQVIPNQNPPGPASGLPPQGSNPAQSSAIPLMAQQQTRQPNASTSVQGSLPSLGQSLPGVSQTSTLQNMPGMQMQQNAMTNGLVQGAQQDMYAAQRQMHLWIPLLKLAIQVQLRTTPRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKIKRDTSAMPLNVSSPGSVNDSFKQSYIVDTPELQSTATSRVKWQKAEVNHALMEEIHEINQQLIDTELHISEDDAESFATTSEGGEGTVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQSRNSDDLSTKAKSKFGILLRGLSEPMSLREIARTWDACARKVIAETVY >Dexi8A01G0010810.1:cds pep primary_assembly:Fonio_CM05836:8A:18888961:18892569:-1 gene:Dexi8A01G0010810 transcript:Dexi8A01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFAASAAVLSCLLALAILASCNSEGDILYKQRQLWKDPNDVLASWDPTLVNPCTWFHVTCNNDNSVIRIDLGNAGISGTLIPDLGGLQNLQYLELYDNNMTGTIPAALGNLSKLVSLDLYGNQFTGDIPAELGAISTLRYLRLYENNLTGAIPMSLGNLTSLLELKLQNNALSGSIPSSLGNLKTLLSLKLNDNMLSGTVPLELLSVVISGNLTELFAAMASQFGVLSCLLALATLASCNTEGDILYKQRLAWKDPNNVLQTWDPTLVNPCTWFRITCNNDNSVIRVDLGNAGLSGPLIPDIGRMRNLQYLELYSNSLNGTIPSTLGNLTKLVSLDLYQNKLTGAIPTTLGAIRALRFLRLYENHLTGHIPTSLGNLTNLLILQLQKNALSGSIPSSLGNIKTLGSLKLNENKLSGTVPLEIMSLVISALTEL >Dexi5B01G0010210.1:cds pep primary_assembly:Fonio_CM05836:5B:7149096:7150578:-1 gene:Dexi5B01G0010210 transcript:Dexi5B01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNTSPSHPSAARSFLSRRRDRVVAACAAVAAFALFLAAAPALEDPSRRRAYLMRPLPSGGLNETMVDAAVEGSFAGAAASSPPPAEVPLSSLGESNFSLGIEPTPALSMFLVPSPSPSENFDDGSMEEPEHPELKGNPSKGPAPFLQEPISSGLPTMSSDINGEHDMDGKPVLPLRPEAPLWSTGADKELIYAKNEIINAPLASDDPDLYAPLFRNVSIFK >Dexi4A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:4A:2270211:2271519:-1 gene:Dexi4A01G0003110 transcript:Dexi4A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTILFFCIVATAVTAAAPPPTTTSFNVTASDDDTYWSERAAEASETNRAAYVSVPITAMNRFNAETLRTTSRRSLRGRYRGPCMATNPIDRCWRCRSDWSTDRQRLAQCARGFGHGTTGGAAGKDYVVTDASDDEMVIPKKGTLRYGVIQGRPLWIVFARDMIVRLTQELIVSANKTIDGRGANVHIVGAQITLQSVSNVIVHGVHIHHSAPHSGGMIRDSKKHYGFRTRSDGDGISVLSSSNIWIDHVSMYNCSDGLIDVVSGSTAITVSNSHFTKHDHVLLFGASNDNPQDASMQVTVAFNHFGKGLVQRMPRCRYGFFHVVNNDYTHWQMYAIGGNKNPTIISQGNRFIAPDDPNAKEREYTPAEEYREWVWKSQGDLMMNGAFFNESGGQNERKYDQLDFIPARHGSYVGQLTKFAGALKCRVGQPC >Dexi4A01G0014020.1:cds pep primary_assembly:Fonio_CM05836:4A:15294044:15295069:-1 gene:Dexi4A01G0014020 transcript:Dexi4A01G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSVPTRTASRKLVSSSCLLRYTTFHALLYSTTNSCSLDDGEAVDVDVAVATLRLSCGGRRMRKLVEHLQGELERVELCRDDEAVGEEGGVEGCEGAVVENRAAGEEEEGVHRREEARAGLVDGEHHRRATCGRHGAEAADDHVRRRGIEAGGGLVEEEHAGVPEEGEPDGHAPPLAAGEARGGDPRVRDVRQPEVGEEPRNVRLGRVRRVEQRRGEREGLGDGEEGEGDVRLGHVRREAAEGRGTERRGVEQQPPVGGSGACREDVEQRGLARAAGAHDGEDLAGARRERDVAEDSGGAGLAQHGLQATRRARERGAAAGLA >Dexi8B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:8B:23769113:23770690:1 gene:Dexi8B01G0013490 transcript:Dexi8B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALKARNGLDSFEAVLTWMYSTFQDFPQVLKKCIFYLKVFTQSSTIRRSRLVRRWIAEGYSVGTDSNSIVEYTEKLIHELATLGMMEHAPETPTVAGARTSCQINSLFLDYIISRETEENIFLPLEVSVLLGESGLSTERAGQHLAISSSWKRDKFVFDSLDFSQLRSLTVSREWRTFFISDRMRVLRVLDLEETNVKDEDIEQIVKQVPRLKFLSLRRCMSLSCLPESLGDLRQLETLDIRHTPVTKLPKSIKKLNRLQYIRASTRVEFAGHEPSTQLRSIHGHLDVCDGIVVPRGIGSLTALHTFGVLNISARGGKAILNALKSLTQLHKLGVSGINRSNIKGFFSAIATHSHLQSLSLQLHKDKDYDWLDKKITPPENLRRLKMHVHVEKFQHWSCLKVLGQIRRLKFLSLRFKTDQDVELQFCDRLDSAAWSTPGQFCELKVLEIACSSNLHVKFAEREMDDLELLKVHSLDGSSLQLSGIEQPPSLRHVWLKGSFDDTVKEELRRKVGQHPNKPSCKFN >Dexi9A01G0030310.1:cds pep primary_assembly:Fonio_CM05836:9A:35235822:35236594:-1 gene:Dexi9A01G0030310 transcript:Dexi9A01G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVSDLVLSCCCFYAPGDNGVGAHHHHHYGGGHHAHPTGRNAAYHHGGRSRHVPLQGNSLDREIQTVELRVGMCCQGCERVSVEVNLRTEKVTVRGYVDRMKVLQEVRRSGKKAELWPSGGGGGGAARWFTSSPRRSYFHDDGGVSYRRDSYNYRRHGYSDGDRRGRMREHARGGNMFNDDDDVDAGCRIM >Dexi3A01G0029160.1:cds pep primary_assembly:Fonio_CM05836:3A:30568591:30571310:1 gene:Dexi3A01G0029160 transcript:Dexi3A01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSSRPAGEPATEPGPAPEVECKNEDGVLQDPEDPESTGGNGTDISSLEQPLLKRSPTLTASHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASVINLAIENITGLKMLHMVNLVRERRYWAGFLYFAGVNFALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIIIGSIGAVSSGMDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAAVVVVLRGFIEVCRDGRCGMFGEGGLILFDVSGVTVHYHVGDLLPVTLVGVLGGLLGALYNHVLHQVLRLYNLINAKGRLAKLALALAVCVFTSAGLYLLPFAVPCTPCDAGLAAAGVCPTVGKSGNFKQFNCPDAYYNDLASLLHATNTDATRNIFSTGTSGEFRLDSLLIFFAIYCVLGLITFGIAVPSGLFLPIILMGSAYGRILALVLAKFVHIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLTVGELAAAKPRAITLQVVEKVSTVVEVLRSTPHNGFPVVDRPRPGVSELHGLVLRSHLMAVLRKKWFLTEKRRTEEWEAREKFSSTELAEKAGSIDEVQLSPEEMEMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGPEVSGKL >Dexi5A01G0023680.1:cds pep primary_assembly:Fonio_CM05836:5A:27641327:27643550:-1 gene:Dexi5A01G0023680 transcript:Dexi5A01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTQNALSQLTYVYLFLTSCSAMERGYWIVLFGKEESVKRDYVEGLGDSLDLVPVGAWYGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDEFYKEMKEFYSGERILFKKPVYYKTDEQPEVWFTAEQVWEVRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSVPDRSPEDCSAAADIATMFKAQTRKMDVRSEDAS >Dexi2B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:2B:27042087:27054408:-1 gene:Dexi2B01G0016710 transcript:Dexi2B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRSRPLSPEDARSSPWRISGNAIAHSAQSSTRFEFGEDSSARFGFPSLPFDTVGVCLLAELHVSVVLADTIFGAECRTAEVYEARTKRIVDSVVRGFNGTVFAYGQTNSGKTYTMRGSVSEPGIIPLAVHDIFQRIEEHMDREFLVRMSYMEIYNEDINDLLVPEHRKLQIHESIEKGIFVAGLREEIVTCADQVMSFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDYNEADDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIDGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERIALELEEEKKAKEQRDKRLIEQEKKIENLSSLVLNSERDDRNTAFIKDKRRVTWCPGPNTRQFGTQVPGHVEEGSAGSTMRSKRNMGMPPSFEELTEGSYARNGAPFAQACSSSDMSKDSEDVSLPDSHALLHVTNRRKTNTMKKSDQEPPRVVVGELIIPQDSDDGNDALQSYESTMPYAVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKFEELQQNALAAQEQAKVANEKLSTLYATVKSKQEVANEFLSNVLMETRGINLEMDQSRKSIESAFSYIDELRQNLFMMSQVIVEVKHSAHEDITWVSSMVRDYEKLSTCLMEKVCKLESEKRLLEEQSQDRQNEIDKLKSSLNSCEKTIDDCTLQHELEKDNILSELLKLQKEVSTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNSIQEKIKLQSEKAEAHKEIKKLQSQRTLLERDLRKRDSITVGKRHELNSMPQELPGVYDQAVQMQESQKLETQVFDMEEEIFSLQEALITALAEKDEALSKVELLTSELEDLANTLNSAEKERNSLSDEIALLTEKSSASESTLKILEASLSSVSREKEDMGMQLTDALLDMESERSIWTAKEKEYLEANQRLNKCLDENHKLSEDLVKVKQELVCCREQCRTLEEKLALSMENGMDEKGIKCWSVFSSNISIKAFLLCSLTTDSKIVSCSSKACKGSGEKGRTVDGTVGENLIHANATIDELSSRISVMEVNMKNNDVANSKEKTKLRMQIRSLQPQLDAHRGRLEQVFNEMKTMDAKYQEASTMLKKELSQSCREVLRLREKLKAAQGK >DexiUA01G0028190.1:cds pep primary_assembly:Fonio_CM05836:UA:60709863:60710421:-1 gene:DexiUA01G0028190 transcript:DexiUA01G0028190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRLIRLLLINIATHSRLLKPGVDVSGIERPRPPRQRERHHRRADGDRRHDEERLPVAPDADARQATLPSHRRRRRLPVVHPAGDAHVEDVGANGARHVAHVVERRYLSPKSSEMMAKIIGYAAPKQNPMSTDAAYSDPGTPNAISRWPGTVRTSTPATSSGRGTRYRARSNSEAKPAATRPR >Dexi1A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:1A:386275:387898:1 gene:Dexi1A01G0000620 transcript:Dexi1A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMETFGDEDQDKEEKKAANNKAIKVEKEEKKDKHKKKGNVEIVEIEDNSAGCVAIRKLSYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRRRIAHESEERQRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGGAIPREMRDGVKNVVRIVEEGK >Dexi4A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:4A:20995848:20996451:-1 gene:Dexi4A01G0017240 transcript:Dexi4A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEVEDVDASRAVDGVEDRLVRRQVGEAEERRDLVERQVGAGGRRRPGGGGGGGFGVGAESEGTSEVVGEAAIGGVGGGRRRGEEDAGGAAGGGEVAALEEVGAGEHEVARGWRRRGEDVAGVVVVVVIEEEEFEPSIAPAVRPGWSLLFTGGDSGGGRRRRWWEERSMRGQRRKRRRKECISWRV >Dexi1B01G0026930.1:cds pep primary_assembly:Fonio_CM05836:1B:31760293:31761448:1 gene:Dexi1B01G0026930 transcript:Dexi1B01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAALLQQGKARRGKLEEEDGGDSDTAPLLPPTVVIGPDENGTKKVIEHRFDNAGNKVKVTTTTTTTRKLVRVRLGSSRSVTERRSWPKFGDAMNDTTGSRFTTHSPDEILLERPPRAPPGRPSSGDVVPGCRICGNSGDHWTAKCPRRCPAPQEYPLQTPPITPRRKKLDPLQEAHPLSFMMNTSDRIRDRLMRLIGDDRCTGLGRGVGFVKFGQRREAEAAIERLNGRHTYNGVVLQVEWAAPWLKGELPVPPIPTRATDVYRVRVTNLSKDIHEYDLFELFGRFGMVTYAHVAVDKKSGLNKGFGFVNFAQRHEAENAIRVVNGHTYDNLVLGVEWEQHHQENLN >Dexi6B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:6B:733271:734164:-1 gene:Dexi6B01G0000830 transcript:Dexi6B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLESLCLYSAIELPKYLKLKSVEIIGFTSAKILVELACCIVKSAVSLERLTLNTSDSGGWCSGGNSSDCQDLCYRIEQDILEEASRAVVTIRRYIEDKVPPYGQADSFGALPLLKVSFHS >Dexi4B01G0018910.1:cds pep primary_assembly:Fonio_CM05836:4B:21175616:21180951:1 gene:Dexi4B01G0018910 transcript:Dexi4B01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLASWPWASLGSYKASKLISLDLVIVSVYLLYGPLVAKVAQAWRETGGLPVGSWCLHLLLLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDQEWDWDNLVILQTLMAAMVASSPAFPGVAELRAWDPRGWALALLLHVAVSEPAFYWAHRALHRGPLFSRYHAKHHSSPVTQPFTAGFGTPVEAMVLTVAMGAPLVGAFAAGAGSVSLVYGHVILFDYLRCMGYSNVEIISHKAFADVPALRYLIYTPTYLSLHHREKDCNFCLFMPLFDALGGTLNTKSWELQKEVDQGMNDRVPDFVFLAHVVDVVSSMHVPFAFRSCSSLPFSTHLVLLPIWPLAFAFMLLQWFCSKTFTVSFYFLRGRLHQTWSVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVISLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSTERFLKIQREAPAEFQHYLVQVTKYQAAQSCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLGSCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDVVWKAALKNGLTPA >Dexi9A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:9A:1547026:1547829:-1 gene:Dexi9A01G0002900 transcript:Dexi9A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATFCNLSFGRKEGSGRSFNRMGWCMTEYDDKNDHVLCKVHRSSSSLAKGKSKNSSGCKRKATVEHPQAPPTKMSLCASVDDQVQPPLPTGQQMTMPECEDIDYESLYSVIVDHESLFPIEEFQQITMEIPLEDQQLQQNILFPAEEQQQLQQNILLPGEEQQQPEQNILFPAEEQQQPEQNILFPGEEQQQQNIFNAEDEQFEENTLFSMEELLRSPGYGGYGACSPNHIYTVDDLFNSCSGCCDTPKAMGPPDAVFFEGLAAF >Dexi6A01G0001070.1:cds pep primary_assembly:Fonio_CM05836:6A:908233:912875:-1 gene:Dexi6A01G0001070 transcript:Dexi6A01G0001070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVFVMRCDKVIRYARANLPPIMPNLKTLELGSTFEVNTPMLPSKFLNLKHLIIQVHQTHMEHESVFEGSSHLRQLPECHHDRLKSFEVTSPPMLPTKFLNLKHLAIQIYGAMSSQPYDYFALASFLDASPCLETWRLDVNKDRGKHQPVFGDSLHLRQLPKHLKLKSVEIIGFTSAKILVELACCIVKSAVSLERLTLNTFDSFGWCSGGNSSDCQDLCYRIEQDIKEEASRAVVAIRRYIEDKVPPTAKLTVLEPCPCSRCRSTHRCDK >Dexi2B01G0029320.1:cds pep primary_assembly:Fonio_CM05836:2B:37753205:37756879:-1 gene:Dexi2B01G0029320 transcript:Dexi2B01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Secretory carrier membrane protein [Source: Projected from Oryza sativa (Os07g0564600)] MAGRYDSNPFEEEEVNPFSEQARGKTGGQSNFGGGAFYMPDLKRREKELQAREAELNKREKELKRREEAAARGLVCCLFWNVIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISVNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >Dexi4A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:4A:2443563:2445093:1 gene:Dexi4A01G0003400 transcript:Dexi4A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTMQKARCLLAMAALLLLVVGGGAQPLVPAVMTFGDSSVDVGNNDYLHTIIKANFPPYGRDFANHVATGRFCNGKLATDITADTLGFTTYPAAYLSPQASGQNLLIGANFASAGSGYYDHTALMYHAIPLSQQLEYFKEYQSKLAAVAGSGQARSIITGALYIISAGASDFVQNYYINPFLFKTQTADQFSDRLVGIFSNTVTQLYGMGARRIGVTSLPPLGCLPAAITLFGHGSNGCVSRLNRDSQSFNRKMNATVDALSSRYPDLKIAVFDIYTPLYDLATDPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATSYVFWDAVHPSEAANQVIADSLITEGLILVT >Dexi5A01G0027740.1:cds pep primary_assembly:Fonio_CM05836:5A:31138451:31139317:-1 gene:Dexi5A01G0027740 transcript:Dexi5A01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICDDIREDSDSSSNSHSNSAVTERRGKSRKRPCTVDQVEQLKSAIRVLPIWSSTIFLALAMNQSFAVKQADTMDRRVGRGGFQVPSGSLALFNMATMSLWSASYDRWVAPALRRCTGNPRGLSMKQRIGGGLLLATASTAVSAAVEGARRRQALRGTAISAFWLVPQFALVGLAEAFGVIGEIEFLYTELPKSMASFSMSLLYMAFGVGNLAGALIVKVVQVASRGGGHTGWLVDDLNAGHYDYYYWLLTGYGVVNFVYFAWCCWAYGEEGKNVDWEEHDDGEQPIL >Dexi7B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:7B:2699616:2700035:-1 gene:Dexi7B01G0001610 transcript:Dexi7B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAGARCDVGPRRSVSLLHLPPELLTEIHDRLDFVDRLNLAVCCRSGGEASSSSHKTPCLVLPGATAKTATLFSLPDGRFATSRAVDPAMRGHVILGSTDGWIVTADA >Dexi9A01G0039450.1:cds pep primary_assembly:Fonio_CM05836:9A:43414392:43417908:-1 gene:Dexi9A01G0039450 transcript:Dexi9A01G0039450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKPRWVQGEWPWPGARRTPARCSSSGGPPPPQLPIRRSANYQPNSWNYGSMESLAAEHDGNMNRTAAFLTNSLIPVVQVDPPSFDKLKFYVRHLLLREVELLPKLRTIDTVQRLGVAYHFDEEISAILNSVSVEGQDIDRRDDVHLMTLLFRLLRQNNSPSSPELLLRSLKDGTGNFKKMLHKDAEGLLSLYEASHLAFEGEALLDEARVFSTEALRELMPSMHPHLRSYVDNALAVPLHWAAPRLQARWFIDHYAEDVEADQVLLRFAKLDFNKVQKLHQQELSRITRWWRNADLNKSLPFARDRLMECFYFATGVAWEPTLAACREVVAKAFSLIVVLDDIYDIYGTLDELVAFTNAIERWEATATEQLPEYMKAIYLAIFNFSNEEELKRGDAPSSIAIYMFEKGAMEHDARKAMRDLTMETWKIVNQDVSNNSHYPPSFANVCVNMARISHCIYQGGDGISAPNDGKKMEISELFLEPLKVNQDRHN >Dexi7B01G0004740.1:cds pep primary_assembly:Fonio_CM05836:7B:11707982:11710605:-1 gene:Dexi7B01G0004740 transcript:Dexi7B01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLAGGVLKLVAGKVAEATGDRLMLQWRFGEDLEGMKVTAESIQAVLEDAERKSLAETSFKVLNPCLTLPSEVRMAAKMKVVREKLDIISKERDKYSLATSNSNNVQQVINDRATSPETYRKYVTFGEEKGRKDITFFTMHDLVYDLVRSVMGDELLDASEEFSKRGGSSFRYAFLADCSKPLNSYVPQSLGFRSKFLKEVAIFYWSTETVEVSQCSRYRRSSDP >Dexi9B01G0041950.1:cds pep primary_assembly:Fonio_CM05836:9B:42298101:42303272:1 gene:Dexi9B01G0041950 transcript:Dexi9B01G0041950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQNCRFDADLLILGFFLPLSESELGGNGAMEEEEEGGGGEMWLVRWWPAEEARDQDPMHGNQGSPNRREPVRFDRFPTKPPRTGSGSGRPMKAQRRPNPNPPVPQQPALILLPPPAQPLLLQANFRRRPPRSSSRRIFAAGRRNRRASRLTAPGIPPVRLRGNGRLTGSRPHTPAYRSVAAVSRPAAAVSRPTEVVHCEKVSKEVREYFQRELERAKKVTAQRAQEKLRKEKAAAEGNYPGGDEAYDEEAELKRALNQSRAEEEFRRGVQQRGGAYEYGGGSGTRGEGGTLQRMLRRATSSRQTPGVTDYNLGSARGSTQPRIDTGSWTQKDEDDDEGDTPLLSNIVADKINPADLRNTHVGKRKYHIAPSKVNPKRQRGQATGKGKQKEVEVLSDEETDDAVAVLLAVAGTEACHLQTHLLDIDHGAPMSQRRTVGPTDYDSPQFSSSSSYRESSHVFSNQPAG >Dexi5B01G0029630.1:cds pep primary_assembly:Fonio_CM05836:5B:30816449:30817081:1 gene:Dexi5B01G0029630 transcript:Dexi5B01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGARAHHRASGRASPATSQIVRAMDGQGQPGSGSGGAGSDCDAVARAFVEYYYNTFDANRGALAALYGHTSMLSFEGHAVGGAEEIGRKLAQLPFDQGRHSICTVDCQPSPSLPGSILVFVSGNLQLAGEEHQLRFSQMFQLVPNEQGSFFVQNDIFRLNYG >Dexi3A01G0027560.1:cds pep primary_assembly:Fonio_CM05836:3A:25465166:25467785:-1 gene:Dexi3A01G0027560 transcript:Dexi3A01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRKPQPHPPPSFEHHHPPSVGPASPDSLAAQAMRASAAHRDASSIASAYSSSASAATRRGHHEPSVSAPSPDSSGYEYTSMKSLNEAKYGFWGALARKAKSLLDEDGSPGQHESPTGQQSPRDGASASVQEGRTIVENKTADILQETRKLNIRRKGAVSSTQGEAGHKLAQRYLPQNPLDHETQLKASRDVRYN >Dexi5B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:5B:5238506:5240681:1 gene:Dexi5B01G0007690 transcript:Dexi5B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVQPAMAAGVGAPGPGPGPGPGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRHASLADVHARLSRSLLGGRPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAAGSSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVPNSAPSEDQRAAQQKLPAAATAAAVAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPADRPQDSRVMPPATVEDHFAQMGISEQQPPPPVAYMQPPQQVPIPAMAVPVASPSEASSRVFSDDDRSDQGGSRKPQPPKQEVPPVADPTNRAVYYNDRSPPAELKRDMPVGAEAASYRLPVSTPDAAAVAAAAAATQPPPGYVFAQLHAPPPQQQPPPPQPPQQPQQPAPQQIVTAGNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPVPRPQQAPAFDPNTGMYYIPMQQNAPQPYSMPPGAQASLPPASLVDTTPKPTVPIPQMAVKPELQQVQQPGVYRTTAAPAPAPAPNAAPGYAGMGYHHVIQSHHHPAQQPVANMAGNYGYEYADPTRAQQQVYYSQAAPQPTLPPQYQPIVSPDAGQAEKH >Dexi8A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:8A:28350524:28351869:-1 gene:Dexi8A01G0016780 transcript:Dexi8A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRSREQRWSLAGATALVTGGSKGIGHAIVEELAAFGARVHTCSRNETELEECRRRWEEKGLHVTVSVCDVSVLADRESLMDTVKATFGGKLDILVNNAGQSLFKPAAECTGDDYARIMATNLESCFHLSQLAHPLLLNATIAGGGSIVHISSIAGIIGLPALAVYSMTKGAMNQLTRSLAAEWAHDGIRVNCVAPGGVRTDLSSDRTIDPELVKHEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQVIYVDGGRTIT >Dexi8A01G0002640.1:cds pep primary_assembly:Fonio_CM05836:8A:1749120:1751194:-1 gene:Dexi8A01G0002640 transcript:Dexi8A01G0002640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTTRLTPGGFGANQGSTSAQNQQAQPEQQPLPAPPLPNWQQMMAMHAEVMRTMQQQIQLQQQQLAQNMNIGAQRQNGRNAPAAQVARFEDFLGTQPPVFSKATEPMEADAWIRAIDSKFAILAIPCSEERKVTFAAQQLRGPALVWWETYRGMLPAETMLTWQNFKDAFKAHHIPKGLVERKLREFLTLTQGTHTVYQYAQAFNNLCQYAGHHADSDDKKERFRMGLSTKLQERLLNIKPATYADLVNVAIAQEDAIMTHKADKKRKGPVVSASGGKPQRFRIVPPQGQQRTGQSGRWVVNPPQQGGSRFPPQQPQQQKAPMKQQPAQPGAGTSHFIRDCPQAKQRNNGNQPNKGKLQKIHVKQGRVNFTTLTDLPEGAPLEDIPIVCEFPDVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPAELAEMKTQLQDLLDKGFIRPSTSPWGCPAIFVEKKDHTLRMCIDYRPLNAVTIKNKYPLPRIDVLFDQLAGAKIFLERRRIKPD >Dexi3B01G0038450.1:cds pep primary_assembly:Fonio_CM05836:3B:41268298:41269608:-1 gene:Dexi3B01G0038450 transcript:Dexi3B01G0038450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEVRHWNADVNGVSIHVAEQGPADGTVVLLLHGFPELWLSWRHQMAALAARGFRALAPDHRGYGDSSAPADPAAYSIFHIVGDVVALLDHLQLTKVFVVGHDWGAQVAWHMCLFRPERVRAVVAIGVPFYPRSPRSFSELFTDRGDGFYITQFQEPGRAERAFARYDVATVLKKFYSIEFDDLTAPPGVEIIDFLEAHSSPLPWITDEELGQYAEKFQKSGFTGPLNYYRMMDMNWRATAPWNGARITVPAKFIAGDKDIGTHSFGTEQYIKSGRFKSIVPDLEVTIIDGHHFLQQEQAERVNSEILTYLDKFVSEQSLEASA >Dexi4B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:4B:6661705:6662934:1 gene:Dexi4B01G0009290 transcript:Dexi4B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQKQHPPLPFRPRTNVTLLSLRHHQGRLPATRLSTTAAAAAADYSASRSVVFAVEEYLVSACHLTPAQALKASKVLSHLKSPSRPDAVLAFLSGLGLSDADIAAAVAYDPKLLCSEVERTLAPRLAELQDLGLSPSQIACLVLVDPARFRRPTVVSKLQYYVPLFGSFENLLQALRSNAYLLSSDLEGVVKPNVAFLMECGLDACDIAKLSFPVPRLITTNPERVRAMVERAEAVGVPRGTRMFRHALLAVAFLSEEKIAAKVEFLKKTFRWSDAEVGVAVSKLPLVLKHSKDRLHRMSEFLITQVGLEPEYIAHRPALLTYSLERRLMPRHCVVKFLKEKGLLEQDRSYYTAVQVSENVFVEKFICPYSEAAPSLAQDYAVSCRGGVPHAFRFQEPNSDSTRVSS >Dexi9A01G0008330.1:cds pep primary_assembly:Fonio_CM05836:9A:4910810:4911726:-1 gene:Dexi9A01G0008330 transcript:Dexi9A01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRYHHNTNIQPCPVSVTFQMRPSYHPGGLYDDSNIAAHPITQIWHQKGKCPENTIPIRRTKEEDVLRASSIKRYGKKRPMSTPKLASIYEPDAGATNTHHQHAIASAYGEKYYGTRATVNLWQPTVERGNGFSLAQLWIGGGLGNDINTIEAGWQVYPALYHDSNTRLFIFWT >Dexi5B01G0038630.1:cds pep primary_assembly:Fonio_CM05836:5B:37745603:37747029:1 gene:Dexi5B01G0038630 transcript:Dexi5B01G0038630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQARKKPKDPGAVLDGDAEPARGDPGSSRGTLPGYMRATTCSDAKAGTGARVAAPTAPPSKREPVRAKVVFTAAAAAAAPRVGRATCSSTMKGPGAGGAHLCPYGYCSLKGHVHAPVEPLGSFVASRRRLIKTQQSMKLKGASPFRKPGNGGGFFVEVRTGARAAAAPTVGSDASCSDLSAEEMDAMVRRMDYVVFDRVSYGDEVEGRARDLGASVDGSCGSSDVISDASVELLGTTKHRGRKEDDALVDHEDEDFGACKSDISEELDAKHERNIPEVTNDKKQLQQDGDEANFTLTRPSQAWS >Dexi9B01G0035530.1:cds pep primary_assembly:Fonio_CM05836:9B:37150604:37153111:-1 gene:Dexi9B01G0035530 transcript:Dexi9B01G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEKNDGDSAGVCLLDPWQIIRNSISGSSTRGKRKRNEEIQVTDYAERVSRPGDFATLRQKNKDGMYTALEQFEAMSLLDQAKQVFMSLKNNQMYSVTELAAWRQRHLDQLHQQAITPEGREGGNRGPPRHVAAMPSQPSAATPRKKSTAETRKQENANTVTGGNTPANQRARRRGPNKESKGTPPGKRARRATTASVGRAGVVTRRRLTYNGGAGADRVWRAMAMPVFQGQHVTFNSQPHEQTYRDSLHGFVRHAGLKARVAAEFRTLECVSRTRRSPVPQCSNGFAPGAGFLPPSSPRLLAGAAVEAIPARPPAAPAGGEAAAAPECKLETDEVLKLFLLMGTPAAFLERAKKMFGEGEREESARKEEGGQVAMVSDEARAGAAVADSTGQKSGASEAAGSTAACGPFAPPKLVPGRLGFGQFAGSSAQPFKMKPKPSTASNAAGKKKIS >Dexi7B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:7B:22829537:22829809:1 gene:Dexi7B01G0016790 transcript:Dexi7B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTPSATARSTAARMSELKHPPDQHTLYAATRARGAMPRATPEALASGTGAPAAVAAVCVPWPSWSRGDLSSTVSLMGPDDAS >Dexi3B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:3B:15599428:15599874:-1 gene:Dexi3B01G0020630 transcript:Dexi3B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARCPFVVLVLLLACSCAAASADGDLIHLHFYFHEVDAGTPNATVVNVASLHRNASTFGDVNVFDNALREGPDPASRLIGRAQGLAAHASLDESGGLTAIDFVFSDYG >DexiUA01G0007090.1:cds pep primary_assembly:Fonio_CM05836:UA:13577481:13578040:-1 gene:DexiUA01G0007090 transcript:DexiUA01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELMQKDEGIHPLKLLLLALSATKFLVFIHVVDGNTPPSSEVVEADVKRNYAAGGHQLDGQGTGDAFPHAAVRVILPECGKATILCETSKELEQGSLLLLSARSGYGEKKHKHKAERR >Dexi4B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:4B:4393021:4396679:1 gene:Dexi4B01G0006230 transcript:Dexi4B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGGLTQFPAPKVELEQYPTGPHIASRMLYTLYHTHAHINQAENSFDDITGKVVADFGCGCGTLAVASALLDAEHVIGIDVDPQALELAQENAADLEMDIDLIWSDIKNLNLKVFQSIPSLLSDRKQFGAGILVDTVVMNPPFGTRKKGADMEFLSMGLKVASQAVYSLHKTSTREHIKKAALRDCNAISAEVLCELRYDLPQTYKFHKKKEVDIAVDLWRFVPNARRSS >Dexi9B01G0001800.1:cds pep primary_assembly:Fonio_CM05836:9B:1023790:1024494:-1 gene:Dexi9B01G0001800 transcript:Dexi9B01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDMEEEAERAYMLAEERFVAGDLAGALRSARNANRLFSSLPALQNAAAAYEVHAAAARRGRTNWYAVLGLRQEETAVTTHEDVKRQYRRLCLVLHPDKNPSAAADGAFKLLQQAWEALSARHPPGRPTPSVSASKPSKKAPPPPPPPRPRASPCRERRKTGGSQRRPPSPEWSSFGFTAPPEPDGSTAWREAYARANGAIYCGHCDTESAAAEGGAGHGKSGSGGRCH >Dexi4B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:4B:22072425:22078000:1 gene:Dexi4B01G0019930 transcript:Dexi4B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLHSLLLMAASSSAAAAGGDSGLLLAARRRLPVAAVAAVSAGGHRIRLLHSFSGPRFPVRHEVACCVRSAPGAARPPGPVTVRSRNVHSANKDRTASEARLGQLVQRLKNEGINPKQWRIGSFQRMMCPKCNGGSNEELSLSVYIRHDGMNASWNCFRSTCGWRGFVQPDEVPKVSQAKSGIESETDQEVEASKAAKKVYRKIREEDLNLEPLCDELGAYFSERMISAETLRRNKVMQRNWGNKISIAFTYRRDGVVVGCKYREVDKKFSQEANTEKIFYGLDDIKRAQDIIIVEGEIDKLSMDEAGFRNCVSVPDGAPPKVSSKIPDKEQDRKYQYLWNCKDHLDSVGVFFIIHLSTYSLKHAGQALAEELARRLGKERCWRVKWPKKNETDTCKDANEVLMYLGPQALRKVIEGAELYPIRGLFAFRDFFPEIDNYYLGIHGDELGIRTGWESMDDLYKVREHARKLLEKRIEKPFFDARYGGSAERMTPDEFEAGKQWLNETFHLIRCEDDSLPSINWVLDLAKAAVLRHGIRGLVIDPYNELDHQRPSNQTETEYVSQMLTKVKRFAQHHSCHVWFVAHPRQLQNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDQNAGPLDVVQVCVRKVRNKVIGQIGDAFLTYNRVTGKFKDADKPTIAAATAASAQKRTNSYGTNSYVKSTKDNVAYEMPFPIVPEDVLDSSQDLPF >Dexi5B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:5B:12971764:12975091:-1 gene:Dexi5B01G0015670 transcript:Dexi5B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADYRLPTPSFPPPSPPPPSKRAKFAPFSSLDPSTSATPPPNPSPQIIHDAAAASTSSAPGPSSSSIDSSSPFTNRRRLPPPPPLQRPIHGPQRVLRAFRFGGPARTHAGGTSWFSQSSPPTPPPRSLGLEQYVELVNSVSHPAPPTPTDAARKAEALPLQLVAIEEDGDEKKHQDDDDEVVRGSVFVRRVPLYKELYQASSRKRDAKLKSLEFEVRLAEEGRLGLERLAEVLPRITPKKEEVPEPFVPLTDEDEEIVRAALHGRNSREKLAVHEPSNIVITREILQCLNNQEWLNDEVINLYLDLLKERELRQPNKFLKCHFFNTFFYKKLISGGYDYKAVRRWTTKRKLGYSLIECDKIFVPIHKEVHWCLAVINIRDKKFQYLDSLGSMDMKALRVLARYFVDEVKDKSGQQIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMDLIFGQKHMRYFRRRTAKEILNLQAE >Dexi5B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:5B:1475170:1476003:1 gene:Dexi5B01G0002310 transcript:Dexi5B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSRLLLASAVLALAVLAAAAAVPPTCERIECPAYEVVDSANGFEIRRYTDAMWVSTAPIEDISFVSATRSGFLQLFNYIQGKNAYNETIEMTAPVLTEVSPSDGPFCASSFVVSFYVPAKNQPDPPPADGLPVRRWAGDRYAAVRRFGGFVSDADVGEQAARLDASLQGTRWAAAVNEGRSGSQPTSYTVAQYNSPFEFSGRVNEIWMLFDAAKVGSDVN >Dexi3B01G0033930.1:cds pep primary_assembly:Fonio_CM05836:3B:36705326:36706214:1 gene:Dexi3B01G0033930 transcript:Dexi3B01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHVTPQIYATGINNPSGCSFDSDSSSRLFCADVNEVVHLLKEGAIGYDGGSNMSSSNATTTVASVVNRGRPKEGVGGIVYRRRSPLRVGCSKKSPLPCGGGIVGGRVLSMGEDNSNDALFLTARGMFRVVAPGACAVPAADPQPPGTNYWVLFVLGFHMVFGQYLFWTFWSTATGAGEININFFSNVGSLLVINYNRREHQE >Dexi2A01G0012690.1:cds pep primary_assembly:Fonio_CM05836:2A:14785287:14787423:1 gene:Dexi2A01G0012690 transcript:Dexi2A01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPDFLTDMLMYQQGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAEAKARRKAKKLSGVSSSSNFDSEKSGALTEKVDARSIGKKQMAVEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVIKESSAASGSDEEEEEEADVRPNSGSDEDLSEEDNREIHEEMEGSVDEDCNRSDEEYDDLAMRDSMDNGYLTDDVVFCTGLSNQRSLSTPRKHQGKLDAGVPETARSTSSAVPAGTSSKRHAARRWKRSLSDSFRSRPRSAPELVLTNKGSPPVPVAPDGR >Dexi9A01G0026430.1:cds pep primary_assembly:Fonio_CM05836:9A:29362909:29385458:-1 gene:Dexi9A01G0026430 transcript:Dexi9A01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYREDVGTVGMPEIFDTPELIQNKIEELADMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLHVVLMSMLLALNNVDSLHLRSGFPREKLSELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSGKNCGARLKDTVLDWEVSTTSSTVKLQTFYLAKYVILCRGAVVLAVLPAVQVRVLDLTGGISDRYDVCVAAEEFTDQWATPKDKKASLVIHGLVDKGACIAPRARGGCEVGEAHGVGEARRSREIRELREVGGSDSRGEGRRLQRRWPERLLLRLLGVAVRCSRRSHCRGGVRVSARSSRHLHGAFSCNGGGGRECRVQRQQQSDPGPRLQMRQRRDLSPRLQRRWTLRVTSIHGLRAPLPFIQSIEVSFPERPDMKSVELKEQPFSLQRETSMNRSFFMLLKLNFTDGCSCLSSSIGWPVDFQVSKQKDSFVRDKTLVIPELQCAAEHESCSGQQEILERESLPRAETAIHAIVTNVVRYDMGNDKISLPRDKGVNCSSSNSGKRNISGCYPAAPKKLKYFLKDEKLNC >Dexi1A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:1A:10160136:10161314:-1 gene:Dexi1A01G0011240 transcript:Dexi1A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLGGSGAASRNRWEYINAGVYVFAAILLVGGFLGQLVTPWGPGWSTRGALVVAAIGLAVVVAVNLHDLLAHVAGVDYRLGMAAGLDSQLALVEIAVPIVQIVGTVLMLVSFIFFEIQMERGYSHGLARHGLNLLIAGPALWCLGSVHNICQVYERASGHVQLLQKSVQIPLLLGSTLFLVAGIVNRHDRRSRGTAFMLLGRSWAWFCLIGSLLFLAGGVLNLLKVFKTQQMGGRGMEKLRGGAQERLAMEREGKVPLILEHGGGGRRGNREPPVMVPPPPPQGSYKDALVSSAS >Dexi9B01G0047040.1:cds pep primary_assembly:Fonio_CM05836:9B:46263394:46268915:1 gene:Dexi9B01G0047040 transcript:Dexi9B01G0047040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRREMGGDARRPVAAIDRHPPDPRRSAPKGPAYAEDISSPIAVHILDFCDDGLGDDLFAAVTTTSDPFPASSDDVSSSTTTTPPVCSCSDETPAVVPTAYSPLPSFDSTLTALLEQEQRHDLDTELLPPIDGLSEVAYYPHAANEVSIEQFSQMELPGTITEQVPPIQMSSSAPALMPIASDFDECFTAALAGGFMGLDGAVFQQAGAILPSCNAEAPQRGFFNSASDCSNSMSMIGEFQKMMEDEGLTRTYSDTDSMQGAVNNAEVQVVGNNQHLTNGCNGNPATLSTELSGLEDSTFKVVRLSPEERKEKIHRYIKKRNERNFSKKIKVLLSISMPAILVQGRVTDSAVLINNKMQYACRKTLADSRPRVRGRFAKNDELCEAGQSSSQNHEQYEQTDRMKEEDMMDTSDILAHLSGFNPYNYKYKSTIESWI >Dexi3A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:3A:7260680:7265464:-1 gene:Dexi3A01G0010220 transcript:Dexi3A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRTPRRRDAASPQTAGAFNPGDPVEVLTDEPGLRWAHFEAVIVGPSTKPRGYTVEYEALLESEGSDRKLREAIPVRSLRPRPPPLRAPASGEAPAVHAAVDALHDDAWWLGVALGGADGAGKVKVCFPETREVIEFDAADVRPHLEWVDGEWCCPDSMEIPKTMAYTKGIQIEVSKLEGDSVVAWVPAVVAKTIWKNNLLVEYTVPKSDGTLSEEIVDVKHVRPCPPQASAIKFHIDDEVEAFQGGRWWLGVITDVHPELRYTFKPAHLGVEVQLSQKLLRLRSDWVDGQWTQKSQNSSKPKFKQGVKVECSSDDTGFLGAWFEATILKSAGSKFLVEYAILKADDGINPLTESVERRNIRPCPPHIPVVDGFKLLDEVDAFCNDAWWVGVISKVISSHKYTVYFRPWKEEKEFEHGQLRFHCDWMGGRWMWASP >Dexi5B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:5B:3117378:3120516:-1 gene:Dexi5B01G0004640 transcript:Dexi5B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEGSSAPASSAENKLMQSLTARGWRFRDPIDDAIQALLLASPTPSPEAVETELVDMDLRTFGDKSLPDRATTAATAKRLSYIHGPIVLQVVSVRDIYRSSIDASFKNSHQRRLLRFGLTDGICEAIAIEFSPISFITEEIAPGTKIRLENKIPINNGILCLSAKNVSVIGGTVQSLYEEWQMNQKYSGLSRPSLRLSQSDDGAGPPPFEKLDIEAHPCRTTKVQAYPGNHSYPLVSTHAVQKSWKLFGVAYFSFYYVVVTCELSSFHKARKLAVTHDHTPVSSGGKPMNDGSKDVNKDTTKSNVESKQITQDSRPKEVSETAPVQNQAAAQKLLQKMSQAVPEDRRGRGHRFKGKGKEEDSQVFTLDEWEKRKAIGSKSTAESYMQDTSRDEELARQLQEQLDLEDMHGGADGFHGGSESSDAERLRMSMFSFSGPDEAGGGRRDFGGRGRGRGRGRGRGRGRGRGRY >Dexi5A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:5A:9006497:9008536:-1 gene:Dexi5A01G0012030 transcript:Dexi5A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKVRISF >Dexi5A01G0030430.1:cds pep primary_assembly:Fonio_CM05836:5A:33376120:33377663:1 gene:Dexi5A01G0030430 transcript:Dexi5A01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKNLVAWSAMIGGYSRVGMVNEALGLFREMQAAGVEPDEVTMVSVISACAKAGALDLGRWVHAYIDRKAITVDLELSTALIDMYAKCGLIERARRVFDAMVERDTKAWSALIVGLAKHGLVEDALDYFSRMLELKVRPNNVTFIGVLSACAHSGLVDDGRRYWSTMQNLGIIPSMENYGCMVDLLCRSGLLDDAYSFVIGMPISPNSVIWRTLLAASKSSNRIDIVESASKKLLELEPLNPENYVLLSNLYASKSQWDRVSCMRKKMKDSNVTAVAGCSSIEINGYLHKFVVSDDSHPEIKEIRLVLADIADRVRRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVAKIISKSYNREIIVRDRVRFHKFTGGSCSCKDFW >DexiUA01G0010180.1:cds pep primary_assembly:Fonio_CM05836:UA:20099087:20104806:1 gene:DexiUA01G0010180 transcript:DexiUA01G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAPLGTLNLSEYAPAGARTVDCYRRIRKIGEGTYGVLQIDISADSEASPRRNVPASLTLRHVMDSAKQATKSGNLNELSMVLLNNILSLPLGIILVLGFNEVGYLLET >Dexi9B01G0028020.1:cds pep primary_assembly:Fonio_CM05836:9B:30632913:30655488:1 gene:Dexi9B01G0028020 transcript:Dexi9B01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNPAVAAEVRALIQGADDSTFDPTYRELCQVTLQHLLPSPNPRWRKFTNAQIEELCSNHAHSVSNERIQEILVYLIQTEGLSKHMDSFTNIVSLLNVKERPFYAPVSLPEANCNQANSSRHTELCIGGLDDDFDSLLSEIGKEISLPDIITELGYGCASDIAHCKDILSHFEPLDDMGISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTIDSSQLTTWNIDVLLDSINEIAPGTNWAHVMENLDHDGFNIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWKNTEGQLSFLKHAVASPNDIFTFAHCTRKMAFSDLGNFNQGNQAWFCLDLLEVLCQLAELGYSKPVRTMLDYPLTHCPEVLLLGVSHINTTYNLIQHEVSSYVFPAMLKNTMHSRVMNFLWHINPYLTLRGFVDAHSDINCLLRTVEICEDLKILATVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQRATFLEECVKFLKEIMISTNHGSTEGSIQHAQATISTICQDCCPVFIKVLRSHSGQLLSVQLADELRRVEAIYESRNHGDVGRGMPSPDGGSEDIESQANIYFQQMFAGQISIDAMIEMLASFKESKDRREQSIFNCMISNLFEEYKFFPKYPDAQLRLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGPMLPADQRGSGSQSIENIEATMSLSSQASPHHSSTASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTLIEAPPSEVQDKIFFMINNISTTNMETKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEVSFIYCGMMLAKQMFYGLNIMLLPGLTCDILNCCFPFQAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEYAAPIRLPPNNMVEDDKVALIMPEQVTSHSLTQVAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRSAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETAEQIIQILVNDNLDLGCALTETVATRKVLRVFLMYFVLSLLVICLLSNGEYTSVSAQAITDSSGHVSGVSNVASVFPPMASGDLLVGELATASKDLGAAVQPSPTVAINRLGSAFPEPLNTGDALDRYQHVWQKLEALIANNGKDSEIQAVIAEVPDILVRCVSRDEAALAVAQKVFRSLYENASKSTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNMDIIIGLIRSDLLSLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDSSSVSELFNVVDALSKLATRPGSPDSLQHLIEIARSTFNNSANYAASKDDKVIQSRDKKVLPGRPLMNNEEDNADGIAFANAADFQDKVAVLFSEWCQICDHPAMGDSVYSHYIVQLQQNGLLKGDDSTERFFFSLTSVDLGPNKGSLLHKILAVTARIIQKDAEEKKLSFNPRPYFRLFINWLSELTTSDLHHDSTNFQVLTAFANVFHILQPLRVPAWRFILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDGALKAKQMKVQVDEYLKRPEGSLFLTDLKQKLLLPQNEAHAAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASAQINQSPQMDIFQIETATELFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEVVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLTDGGHYDIAFRPTVPERCAAELALHRALVPPPPACSTFVRSAAGSLRCRTLGPLRMQTGSTSSPLRGGLLSLHHRNRCLFWHPSAASSLPTLPLAFALLLTVLLLPALLLSAAKEYGSLLPSCFPFVTPCCITHSAAETTRVPLRHELASPSSPEMGADGEQKCWAGNSSARNERGAEVEPGPHGTEGCRASRVGIDAERGR >Dexi5B01G0022810.1:cds pep primary_assembly:Fonio_CM05836:5B:25112603:25113867:-1 gene:Dexi5B01G0022810 transcript:Dexi5B01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVPSPKAGEAVATTNPFEFHVYGPRHLSSPSWWDLLRSSWKDPNYRRMAIACFIQGVYLLELDRQDKRDERTALAPRWWRHFMYRLAETLVDERDGSIYGAVLEWDRRALLSGYAPFRPAGAPAAVVALRGTLLSGATLRRDVTDDLRFLAWDSLKGSVRFAGALAALRGAARRYGGGAACVAGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLATSLRGFAETAGEMWGRVRSWLPYVGSAAAAAAADAGGEAAAKTPSSGGTTAKWLPHLYINTNDYICCYYTDAAAGTATVTARGGGGSGSSKTVAGGDGGMGRAGGLARMMLVSKGPTKFLDAHGLQQWWADDVELQVALNHSKLIDRQLRSLYAPPPAAPPQM >Dexi2B01G0034160.1:cds pep primary_assembly:Fonio_CM05836:2B:41466655:41468141:-1 gene:Dexi2B01G0034160 transcript:Dexi2B01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKPTDLPLPSLNSHKYTNGITLCLFLLHLAAAAFAMGFFVFKTVQDNAQHPRSHNAQRERSLLRHWLLPVEGAVALSIVLAFAWQKAVRKWPSAMVRVILWSSFGITLAVGALLMCFSMLATVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFDRAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANFRFPGLTILALVASLLWTAEVMRNVANLTASRVIALYYLRGMQSSVKFSFQRALTYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNGVFSFGNSWAFVHIAAYGRGFVQASRSTWGQFEALPGMAALVDSDITSSVCFLTGVTSGALCVALAGSWAFVMHRHYTATVSLLAFIVGYLMTRIGMALPQACVGCYYVCYAENPRSRLFDETIPDRLHKMGEGHDPLVGTTPRLQQRRAGV >Dexi9B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:9B:1040841:1045654:-1 gene:Dexi9B01G0001820 transcript:Dexi9B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGPKPLNQQNGQVCQICGDDVGLNPDGEPFVACNECAFPICRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEDGVDDLENEFNWTDKHDSQYVAESMLHAHMSYGRGGDFDGVPQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDRGGNDDGDDADLPLMDEARQPLSRKIPLPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSIEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGNRKTKKKTKTSKPKFEKIKKLFKKKENQAPAYALGEIDEAAPGAENEKASIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKDIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >Dexi1B01G0025730.1:cds pep primary_assembly:Fonio_CM05836:1B:30725584:30741080:1 gene:Dexi1B01G0025730 transcript:Dexi1B01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRHAPPQTQTPPLALLPSRASRLHLSSARSPARAAASVSDDDDDEDEVDVDDDDDDDEIGIRDADDEDYDEYEDGDEGDEEAPEDEESGGEEEEGGQGEGAEREDTTARRRESEEYKSRRVAKLVAEVREFGEDVIDYNELAGIYDFPIDKFQPTMHDLLQALKDLGGQVQDLSTRVQALETAAPASSPTMHDLLQALKDLGGQVQDLSTRVQALETAAPASSVAMPEGFSNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWISQIHGKTELVTSNKRPVPLTWHFSKKYALQPLLDGKGKKMNRKLRVSNFQNLTSQKNDFYYVKGKRRLRTNKNEQGRSPLDISKQVPLIRDTLSQLWESDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELRRFKMQYPDAVRESAVKGLLQGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHTVLVQTPYEGPEECCDIIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDLKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEEIERIQQEIQYLSSEITDESIDRKCREELSEEDYAEISILQKRLKEEKQIRNELKKRMELERMAAWKNRLEEFDSGHLPFMCLQYKDKDSIQHTIPAVFIGNFKSFADQKIANMVEEDSLGSGKHKVGGGEQHYCPSNYVALSSDNSWYLFTEKWIKTVYKTGLPDVPSVEGGTLPRETLKQLLLREEMMWDKLANSEYGSLLSTDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECYKQQRRKVSHLKKTIKNTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIKQIEPTGWKEFLQISNVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLVDLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVVTGVISYLEEQRNSLIDLQERHNVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACSVMDRVPISELAG >Dexi3B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:3B:3435704:3439100:1 gene:Dexi3B01G0005160 transcript:Dexi3B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGEPPLLHPRIRHRRLLRSPISRCACLLLAFAALLLLSALRQVARVDFPRPDAPRQALPGKADRYMTVRSNGGLNQMRTGICDMAAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDQPRFIKALEGDVHIVADLPESLQSAPRARKHFTSWSSASYYEEMKELWKNQKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKA >Dexi8A01G0018040.1:cds pep primary_assembly:Fonio_CM05836:8A:30317532:30321670:1 gene:Dexi8A01G0018040 transcript:Dexi8A01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAASPPPPRTPGWMEPPLPAPTGDGSWLILDRFVHYSRRHRGVIEGDATTSSPAEDCAGRHVRVSLRIADPPAVSHLYLHWTDRPQIALPFMEPAAIAAHRNSILFRMTHFVYSCCSSSSPPSLTALPPCFHGGGKDRVLDKAVQQHRSQRQRIMFDEDMCILCHGDNGEFTVAHLACRRKKLELCLVHHPLSASGAAMEWSVKELKTPPDMKIDLKSWRNDVVIPIGKSLCWVDYYQGLLLVDVLAVGAQSKPNPEHLHGIRLPAQALKPCRLYDDVGEPDPFRHVCVTDNGIIKLVCVFANHPPSDDDFKIITWTLVDINKGSWIKDVDTIMVADKFFGLYDAAQSCLPRVNPTFPVMSLVDPDVICFLLKKERSNLTWMVEVNMRSKVLQSSTLYINKEEEGHPSEKDSIGSFFGHYFIPTKFSSYLSKDAITR >Dexi3B01G0032940.1:cds pep primary_assembly:Fonio_CM05836:3B:35351542:35355616:-1 gene:Dexi3B01G0032940 transcript:Dexi3B01G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATPAPAVARRGTLVCASLTARSPQEMAAEVAAAAALGADAAELQLGCLDGFEPRRDLPVLLFQPRLLPVIVTYRPKWEGGQYEGEDEPRFEALLLAMELGAEYVDIEFKVADKFLHFLSGRKPETCKLIVSFHNYEYTPSVNELLSLVDQIQATGTDIVKIATSATEIDDVSIMFQVLDHCKAKKVRVIGLVMKERGFISQILCAKYGGYLTFASLEKGKESAPGQPTVAELINKYKIRQIGPDTKVFGIIGNPVSHSKSPIVQNQAFRSVGFDGVFLPFLSDDLVKFLNTFSSPDYAGFSCIMPHKETAVRCCDDLDPIARDIGAINTIVRRPDGKLVGYNTDYVGGIAAIEDAIRGASQPTNPTASPLARRLFVVIGAGGAAKAIAYGAKEKGARVVIANRTFARAEELANIIGGSALTMAELENYQPEEGMILANATSVGMYPNVDETPLSKKALRNYSVVFDAVFVPRETRLLREAAECGATVVDGLEMLVRLVMVQFELFTGGMPAPQKLMREAILTRTQ >Dexi2A01G0029170.1:cds pep primary_assembly:Fonio_CM05836:2A:40248798:40249726:-1 gene:Dexi2A01G0029170 transcript:Dexi2A01G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRAAGVPFLIALLVALTTTTIPSAVAQPWPGCNAESGNYSAGSAYAANLLALISDLRANASTSPALFASGSSGSGASTVYGVVLCRGDVTASDCFDCGTRAGEDVEQACNRTRDTALVYNQCYVRVAATDFLASPNNTGVVGLWSGTTIPAGVDVAAYDAAITRLLNATSSAAAAARMYFATGQFAGLDPRVPNIWSMAQCVGDLSPEECRGCLGDLVAQWWNNVTGFQRNGQGARFAGSRCNLRSELGKFYTGAPTVKLPMNGEAAVPAAAPSSTVVGGTGEE >DexiUA01G0028150.1:cds pep primary_assembly:Fonio_CM05836:UA:60592289:60592779:-1 gene:DexiUA01G0028150 transcript:DexiUA01G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVASGWNILKEELILPAGGALFSSCHASTIVQIEENNFLVAYFGGSREGAPDVKIWLQRYRDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQK >Dexi3A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:3A:6605812:6606483:1 gene:Dexi3A01G0009470 transcript:Dexi3A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGTRLGEGSLDAAPDQKCASAGDRRRCLALVNADGASSSARLQL >Dexi9B01G0023690.1:cds pep primary_assembly:Fonio_CM05836:9B:19108964:19109347:-1 gene:Dexi9B01G0023690 transcript:Dexi9B01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAGLAKILKKYDKRTGRLLRLPFIEKVLGQPFFATELISRLVRDCEATMEAVFEAGGGRSTIAGTTTVIFRNTVAALVTMGELRSGSSTYGHFSLPPLASPESDLLRCIQLADPVPI >Dexi2B01G0026250.1:cds pep primary_assembly:Fonio_CM05836:2B:35376578:35377503:-1 gene:Dexi2B01G0026250 transcript:Dexi2B01G0026250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFRFHELLEFDDVKHSCRRRLAGHNERRRKSSADRHGSGGDQDGRSHPGNPKKNSNNGNERPGRSNGLYIGFPNGVAAPAPSTTASIARTNMLLPADPAFLRSEPRTPPPEPVFASTRRQIVILSANDAFPTTWPSLRLATTSMRRTSCWTRPWT >Dexi1B01G0004380.1:cds pep primary_assembly:Fonio_CM05836:1B:3520061:3522307:1 gene:Dexi1B01G0004380 transcript:Dexi1B01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARAEDAVSPADDDDLCECHACVCSRGDGLIHKVTGGGGGGRRSGGSGRVLQRSAYLATGDCDSPAPAASCSGDGIGKSNVSGKREDNHRMRQYRLQLEQEVKKLQRQLEEEVDLHVALADAVTQNAAPILKSSVKLPHKAQELLINIASLESTVSELEKELNDLYYQLCHERNERLLAENNQGCLPSTSSDDHQSLSTCTCTWEEVSIIWHISSLRDLKFGGSESMRSTKQDLFPELEDGQDMGENPEVPRIYLSFYFLQMQESCSVEQEGNEDEKLGTLSFEQSVLKITSMKGGSLWNDPNELSEEMVRCMRNIFLRLSESSKVSLKASSDCSSSSADCLSGSTLASFSDSSMIPSMLRSPSVDSNHNDEKMKEVRNFDPYKINGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFAAATFV >Dexi3B01G0023910.1:cds pep primary_assembly:Fonio_CM05836:3B:18655319:18657202:1 gene:Dexi3B01G0023910 transcript:Dexi3B01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTERLPQPEKKAPRSRPMSGKAVVMLCATSFFVGLLLSGRMALLTPPSSEPSGHGSRIPLFADDCGQSRRKLEEGKPNDIMKEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGVSAPSRGVLPKAFVVIGINTAFSSKKRRDSLRDTWVPRGDKLRRLEKDKGVVVRFVIGHSATPGGALDRAVDVEASATGDFLRLADHVEGYHELSAKTRAYFATAVSTWDAEFYVKVDDDVHVNLGMLAARLAKYRARPRVYVGCMKSGPVLSQKGVKYYEPEYWKFGDEGNKYFRHATGQIYAVSRDLASYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWSAAAI >Dexi3A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:3A:8734570:8735158:-1 gene:Dexi3A01G0012090 transcript:Dexi3A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSFTRCDDCALALDAIDPLTLHPEHGALNDQSLAEFPEDLPYF >Dexi9B01G0030420.1:cds pep primary_assembly:Fonio_CM05836:9B:32931943:32932717:1 gene:Dexi9B01G0030420 transcript:Dexi9B01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEACVLRPCLQWIDTADAQGHATVFVAKFFGRAGLLSFISAVPDAQRPALFQSLLYEAAGRTINPVHGAVGLLGTGNWHLCQAAVDTVLRGGAIGPLPDLGGGCGAGTGSGDLYGPAGAGVGGKRAGGWSTFSTAKRVVRKAEAASCDLGLCLSPGSPPATGDRRAPLLRPGTPSMSSDESVTTTTTGSGDREPVLLNLFP >DexiUA01G0023920.1:cds pep primary_assembly:Fonio_CM05836:UA:48949731:48951667:-1 gene:DexiUA01G0023920 transcript:DexiUA01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEAELVVVIGKTAHKVSEREAMDYVAGYTVCNDYAIRDYLENYYRPNLRVKSRDGLTPLSPHIVPKEAIPDPHNLTLRTFVNGELRQQGTTADLIFSIPFLIAYLSEFMTLQPGDMIATGTPKGLSDVQPGDEVVVEVEGVGRLVNRIVRSDYFHTTNPATGEVLAEVASGGEAEIHQAVAAAKEAFPTWANLPMKERARLMRRLGDLIDQHVPEIAALETADTGLPIHQTKNVLIPRASHNFAFFAEVCQQMNGKTYPVDDKMLNYTLVQPVGVCALVSPWNVPFMTATWKVAPCLALGNTAVLKMSELSPLTADRLGELALEAGIPAGVLNVVQGYGATAGDALVRHHDVRAVSFTGGTATGRNIMKNAGLKKYSMELGGKSPVLIFEDADIERALDAALFTIFSINGERCTAGSRIFIQQSIYPEFVRRFAERANRLRVGDPTDPNTQVGALISQQHWEKVSGYIRLGIEEGATLLAGGPDKPTDLPAHLKNGNFLRPTVLADVDNRMRVAQEEIFGPVACLLPFKDEAEGLRLANDVEYGLASYIWTQDVSKVLRLARNIEAGMVFVNTQNVRDLRQPFGGVKASGTGREGGEYSFEVFAEMKNVCISMGDHPIPKWGI >Dexi4A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:4A:23639400:23642981:1 gene:Dexi4A01G0019740 transcript:Dexi4A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAVGALKDSTKVGLANFNSEYKELDIAIVKATNHVECPPKERHIRRILYATSAHRPRADVAYSICTLARRLAKTKSWIVALKTLIVVHRLLREGDGTFREDFLTYSYRGNILQIPQFKDDSSPLAWDSSAWVRTYALYLDERVECFRVLKYDVEADRLLKLPQASGKAHSRTRTLPLADLLDQLPALQKLLLRLIYCQPEGTACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFEMPKYEAIKALEIYKRAGQQAERLSNFYDHCKHLDLARTFQFPTLRQPPPSFLVTMEEYIREAPRANIESKSLENEENHSCDNEEAAPQETEKVVEEEKQEPEPEEEPKPVAEPAEEAVEPQPLATTGDLLNLDAEINPLIADLEESNALALAIVTPGNENKTSTSRDLFALDKSGWELALVTAPSNHTNQQIDNQLAGGFDKLLLDSLYEDEARRQQIASVTYTGSLAANPFDSNDPFAMSNSFAPPSNVQLAMMAEQQQYYHAQQQQYFQIQQQPQMVILPPQTYQQQSQYPAPSSQAALSNPFGDPFSSLVAMANPPKQSNSNLV >Dexi7B01G0022090.1:cds pep primary_assembly:Fonio_CM05836:7B:27034902:27038458:1 gene:Dexi7B01G0022090 transcript:Dexi7B01G0022090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSASIARQTWELENNIPAATTDPDAMDAIYRYDDAAQVRAQQEKPWANDPHHFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDSIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFTEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLGNVVYLIYYAADKLEQAEGQLAHSRFGSMLVPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSSKASSSAPDSSGPEPMVET >Dexi7A01G0003600.1:cds pep primary_assembly:Fonio_CM05836:7A:13634303:13639570:1 gene:Dexi7A01G0003600 transcript:Dexi7A01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKATAPYGSWASPITAAAASVAGRTVEGLAVAGDGRLVWVEKRPDQGGASVLVREAAEPGGEAVDVTPEGFAVRTLAQEYGGGSGAFAVQGDTVVFSNYSDQRLYKQTIGGGTSPLPVTPDYVGSTVRYADGVFDPHFGRYVTIMEDHRDKSSSPITTIAALNIHDRELSGGPTDEARGILDLNVQYWTSRGWALVDVNYGGSSGSHKFEAYYTDNLVGNKQAYFERSPINFVDKFTCPLILFHGLKDTAENIKFTMEQEMVFFARLVGKFNVADDITPIKIENFD >Dexi6B01G0016240.1:cds pep primary_assembly:Fonio_CM05836:6B:23498895:23501139:-1 gene:Dexi6B01G0016240 transcript:Dexi6B01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSCEGRCLRSFHATKDSCEDCATLGYSRKQFNAMKAFLCKNCELERYQCFACGCLGSAKTDLPEVFPCASATCGYFYHAKCVAQLLFPESEAKATEYTSKIASGAKFACPLHKCGICKKIAFEDFTENGQCVFQRAWDDLLPNNRILIYCLKHDMDPKHRTPARNHIKFPEDPAAFKKSTAVNKPLNYVGMKKKIVKMRRIDELPSAPLPSAKVSSGIVKHSSSSNLMNKRRKVPVSGERSVVMEKPVFMSNIPFSSFPEIDRYTEMRQAYHPSAVIAALQMLENGACIEEAKSVCAPSDLYQIVKWKVQQK >Dexi2B01G0031980.1:cds pep primary_assembly:Fonio_CM05836:2B:39964206:39964591:-1 gene:Dexi2B01G0031980 transcript:Dexi2B01G0031980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQECHCVLLDPPARSHRAKRSSGRSRSGTTGAVTPLKERGDETTMSALKFCRECNNMLYPREDKETRTLLYACQSCDHEVDPVFLIR >Dexi5B01G0034610.1:cds pep primary_assembly:Fonio_CM05836:5B:34808217:34814695:1 gene:Dexi5B01G0034610 transcript:Dexi5B01G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVAAPSSSSAAPTPAPAAARVPAPVPAAAAAPPALAPQPLPATSAAAAAPEAAAACRRQLFTVELRPGETTIVSWKKLLREAGHAAAAPAVAAEAAAFAAHAAGPSGAAHPAENDPKDPAQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEAGTSANAAPKKRRRKDSSSTYLEANQLAPVDYFNSGDVPGKSSGRGTVQAGKQLASGNASSYGQYHDDNRVVKNKTSGLGGAPKRKSSEFSVGADAVARAKISKDASHAPLELRDLEKHKAAALPVDYAHKSKTSETYDYAYSAYRDKGTSVQLDFQQRKAIGENQDPSTRIYRKEKYGASEYPVMAMGSSVYSTQTVHPIVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPQIDINEVDPNGQAAVKRRLPQEVKQKLAKVARLSANQGKIQEHELMDRLMGIVGHLVQRRTLKRNMKEMVESGLSAKLEKADQFQRVKMEINEMIKARVAAKSKVNEQDGSADDFQVANDERRALKGKSVMDTVLEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAISRSKDRKRLLYNQQKVRNEERMKRKKLAAAARVQDGYPVVMQSGVAPQVAQPPITNPIAYPAPDYRQNLGLKSYERVREISSSAIPDDSNRNAGEIKKKKRKSEYDPVDIQANLPKAPLQNEKQRPSKPSDEANAGSQLTQTVLGLPTVIGHNQQPS >Dexi4A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:4A:22256892:22258872:-1 gene:Dexi4A01G0018260 transcript:Dexi4A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLASGRGRLLRELSRRRAPLPQRQGVCGALVRRYSAHHFSLDVVEDAAEQAVESPVSLAKSLAFLAESAEAVQRQRKPLTRMERKRLTELRIKKRVKAQYLNGKFYELMGKVVANAETLEDAYDIVRLNSNVDIASAKDDVCFITLADQLRSGEFDVQANAFSVVAKRRGEGCLVLPRLNLIVVQEAIRVVLEVVYRPQFSKISHGCRSGRGYHSALRFVSDEIGVPDWCFTVPLHKEVDRSVNCKLISLIQEKIEDTQLVAFMQNMFDAKVINLVFGGYPKGHGLPQEGILAPILMNIYLDSFDHEVFRICLKHEGLGSEATDISEDHGSTLLSGSRDAAEDMKSEIVAYLRTSLYLEVDDRLRLMPVRRNLRGLQFCGVVIRVETKESAKLKAVHKLKEKVGLFASQKQEIWDAMNLRVGKKWLAYGLRRIKESEIKPLGLSTPLLDHIAKFRKEGMKTDHWFKTLLKVWMQDVNAKNEINEDVLLSKYIAEPALAQDLRDAFYNFQKQAKDYISSETAATELLLFNLKSEESE >Dexi9A01G0045520.1:cds pep primary_assembly:Fonio_CM05836:9A:49068743:49071807:-1 gene:Dexi9A01G0045520 transcript:Dexi9A01G0045520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVTATAAALLAPRLTRSAGRARLPSSSRAPLRRVAAMASSSFRPEDARSPPALDLPAPPLSKFKVALCQLSVTADKARNIAHARAAIEAAAADGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQNPTVVDTGDCDY >Dexi9B01G0000040.1:cds pep primary_assembly:Fonio_CM05836:9B:76182:84972:-1 gene:Dexi9B01G0000040 transcript:Dexi9B01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDPFGRAQSEQHGHRDEDEENLRWAALEKLPTYDRMRQGILRRALHHHLDDDDQQAAGQQPAIEVVDIQKLAGGDGGRQLLERLFQDDSERFLRRLRDRIDRVGIELPTIEVRYEQLTVEADVIAAGRALPTLWNAATNFLQGLIGRFGSSNRRRIIILNNANGVIKPSRMTLLLGPPSSGKSTLMRALTGKLDKNLKVSGSITYCGYPISEFYPERTSAYVGQYDLHNAEMTVRETLDFSRRCLGIGARYEMLAELARRERDAGIKPDPEIDAFMKATAVQGQDTNIITDVTLKVLGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYMRQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWYLDQEQYHYVSVPEFAEHFKSFHVGQLMQKELQTPFERSKTHPAALTTRKYGLSSWESFKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTKMPHDDIKPWWIWGYWASPMMYSQNAISINEFLASRWAIPNNDTTIDAPTVGKAILKSKGLFTEEWGFWLSIGALVGFIILFNILYLWSLTYLSPNGGSIAQVSEGEDNENEMVVKGRNKDAGTEYEISQAVSSDPGINGAIQRPAQSHVTLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTSGAVEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESIIYSAWLRLSSDVDDGTKKMFVEEVMALVELDVLRNTLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTACVEVMYNILQGILYTVIIYAMIGYDWKADKFFYFLFFITASFNYFTLFGMMLVACTPSALLANILITFALPLWNLFAGFLVVRPAIPIWWRWYYWANPVSWTIYGVVASQFGENGGSLSVPGGSPVVVKQFLNDNLGIRHDFLGYVVLVHFAYIIVFLFVFGYSIKFLNFQKR >Dexi7A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:7A:25442367:25444640:-1 gene:Dexi7A01G0015590 transcript:Dexi7A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNFGQLVPLMAQYSAPTWATLVAGLFTLLALSLSMYLIFEHLSAYNNPEVYSGEDKTIAFLKREGGSVENKTYVLPHLQMIIKTLTAILSLLLEPFGVYCEGEFNLRCGYPYFAAVLNFSQYWALYCLVAWYTATKDELAPIKPLAKFLSFKSIVFLTWWQGVVIAILYALGLLRSSLAQSLELKSSIQNFIICIEVFILLD >Dexi7A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:7A:28908678:28910334:1 gene:Dexi7A01G0019940 transcript:Dexi7A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKGGRGGLGAAACLAVVAAAVAALLGAGAAMAAAKFDDVVQPSWANDHMVYDGDLLKLRLDSNSGGGFVSKNKFLYGRASADLKLVPGDSAGVVTAFYHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFMVDDTPIRVYENRQNATVKGHHRHAINATTNATTPSPFPGPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFEATFREVRVDGCAWAANATDTDAGEVSRCSESSWGKEGRYWWKEKEMSELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECSGR >Dexi9A01G0026800.1:cds pep primary_assembly:Fonio_CM05836:9A:30787446:30789459:1 gene:Dexi9A01G0026800 transcript:Dexi9A01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPPAGSPAATLLQTKMVSFKARRSEPQLVSPARPTPRETKLLSDIDDQHLLRYYETVVGFFRTCPGRTDRPADLMRATKAALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVVFVEADADVRLDEFGEPLLPPYPCLEELLCDPGDIKAVIGRPLLFMQVTELKCGGFAAGFHMCHNIADGFGMIQFMITVAELAVGEASPSILPVWNRELLSTAHSTSPITYPNPAYKPLLNSLDFTSDDVMLSTPPNRMKAEYIVFGQREVTTLRSHLPGYLSDSVTSFEILTAFMWRCRTIALGYESSQRVRLMITMNARGRWNRHTLIPWGYYGNAHFSPIAELTVNELCRQPLADTVELVRKTKLSVTKECMRSIVDTTAYIRQWPSLVTMDKTYEVSDTRWIAAGNGLQLGWAEYVGGGIPLAGDLTSKLGSHHMRCKNEDGEDSTVVSLLLPTSAMERFKKEMDVWLNKHENNLILPSSL >Dexi6A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:6A:20242620:20248070:1 gene:Dexi6A01G0013210 transcript:Dexi6A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCCFCHCINDVEPRPFHPADIYQQIKIIQRRRTWFMARAIGPTRSPSTITAQRCLETLYFYGKLKWCTPHCGALASPSYEVMLEQRWEMYRGGWVDEGEDTAKVAGGSVLVERFAVKRLNSGVVVAFDFVHLNKVRAKQL >DexiUA01G0007300.1:cds pep primary_assembly:Fonio_CM05836:UA:13901356:13905839:1 gene:DexiUA01G0007300 transcript:DexiUA01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKSPTGPTQEERIPRRDTEWNSFLRTRALKEKADENDPIEGSSIVLCYSGPGASLRWPELGRHVAGERRRRRRAGRATADVGASVTSPSSSRFASRRASAIMRSERRRGRARARRDSPPLRAKCTVEDPPTSLEDLLARSLRIHGGLLAPRRALARSRGSTVPPLRALALHRALTPSTHSLTSPFSLPRARSLASPCKERQGKGNQALWLPILASPFLCSSSHQNLHWCSCSSIWHVAANCYRSSTKQQRRFVD >Dexi9B01G0022600.1:cds pep primary_assembly:Fonio_CM05836:9B:17313285:17314703:1 gene:Dexi9B01G0022600 transcript:Dexi9B01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASRHARPVCWYSCLSPTRALRSRSSSLSASHAGSSPAAPGAERSTSLSRELRILAAPACCPPSAAARAAAMSSVAARRTRSRSTSMFGGGMCRPLDNRGRSGGGGSMPRRGDLVGAAHLDKTRRLLRRAGSGHVHEHEEAPALVVVELAGVDGGGASVGGEVARTAFLWRRAKSTWAPTRRPSAMLVPFTVTREATASFAAALPGGDMRARRVRRDAASEKVTTERRSEGPSWSMTNHMACLRSSSFWPFMLPLTSRTVTRSRGARATSAGALASTSTANLSRDVFLPRDGNSHCVLTTRPPLVAGGVAPPVVAAGSSNGSSSWNMPAGGATCGTLVSGGGGGGGIAWG >Dexi3B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:3B:14990033:14992108:-1 gene:Dexi3B01G0020000 transcript:Dexi3B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAEGKTEATAPAPTPAAPVEGSVIAIHSLEEWSIQIEEANSAKKLVVIDFTASWCPPCRMMAPIFADMAKKNPNVVFLKVDVDELKTIAEQFSVEAMPTFLFMKEGDVKDRVVGALKDELNLKLEHHK >Dexi3A01G0032410.1:cds pep primary_assembly:Fonio_CM05836:3A:37325893:37326497:-1 gene:Dexi3A01G0032410 transcript:Dexi3A01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASGLAGEMEVEAYRRLFPLAFLERHLGQSIRPDARRLSQVRETTVALGAVSTAHGSALTMIAAVKLEVMSPTADC >Dexi2B01G0033500.1:cds pep primary_assembly:Fonio_CM05836:2B:41076348:41077315:1 gene:Dexi2B01G0033500 transcript:Dexi2B01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLPSLNRVLVEKLVQPKKTAGGILLPETSKQLNAAKVVAVGPGERDREGKLIPVALKEGDTVLLPEYGGTEVKLAADKE >Dexi9B01G0012290.1:cds pep primary_assembly:Fonio_CM05836:9B:8216280:8217942:1 gene:Dexi9B01G0012290 transcript:Dexi9B01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYLISLSGSSKSMQNLDKNCRVCMEWQEHCYWSHMADDQKHFFKPMLGDFTETMRIPAKFANNFNGHISDVVSLKSPSGKTWNIRVGNDADELVLQSGWKEFVSAHSIEEGDYLLFKYSGVSSFDVLMFDSSGCQKTSPHFARNLGHERIEGSAGVEGVRHGSHKSKGGKEALPQLLPSDDDDDDGGNGHLKLAVHKNTKPTKYKGHRDIGQAHCEVKTDEEDLELDQEGDAAAKTGYYFCKNGPVSAYHLTEKDREDISSIRIPAMSGTNPVYVQVMHPSHVRDKKPGMVGISSEFAVKYLGATRGDIIVERASRKGRWLARYNCNSTCGLTGRGWCSFVGDNGLLDHDVCLFELVKAKRRPTMAVHVLRRKRGRFVLLR >Dexi2B01G0023260.1:cds pep primary_assembly:Fonio_CM05836:2B:32780090:32785676:1 gene:Dexi2B01G0023260 transcript:Dexi2B01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSPASPPPTAAPSAEEMEEYQNWKKNAAVLYDLVISHPLEWPSLTVQWLPSESSTRSHRLIVGTHTSDEAPNNLMVLDAVLPLPPRLAAAAAASGGAVAAPSLSVSRAVPHRGEVNRARCMPQCPLTVATKTCLDEVHVYHLGDGDGTSKSGADVVLRGHGAEGYGLAWSPMKQGWLLSGSYDKKICLWDLASGSGSPVLDAQQVFEAHEDLVEDVAWHLKDENIFGSVGDDCKLMVWDLRTNKPEQSIAAHEKEVNSLSFNPFNEWILATASGDATVKLFDMRKLSRSLHTFDNHEGEVFQVEWNPNLATVLASSAADKRVMIWDVNRIGDEQSEEDADDGPPELLFVHGGHTAKISELSWNPSEKWVIASVAEDNILQIWEMAESIYCDDYSMQDN >Dexi3B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:3B:3635206:3638561:-1 gene:Dexi3B01G0005450 transcript:Dexi3B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVRFLLSAAAAFARLAHALLRARLILFFMPLCSPTTTVAVPAPWQHLQPPARSSTTKVLHHPVVDDDGNKDQQPPTVVVPVIKQQQQKDGERGGAEKTTAKAAARGRPPRLAIPPPVACAPGVDPFGAAAERETDVATEVEVQGEGFCLASSRGVRHAMEDGYGVIIDNSIGGGRGSGSQQLAFYGVYDGHGGRAAVDFVADKLGKNVVAALAAASRRQQPPEPRKTSVSEEDATEEHDVDAVAAAIRAAYLTTDSEFLSQGARGGACAATAVVKGGDLYVANVGDCRAVLGSRGGVATALTSDHTAGREDERRRIESSGGYVSRGSSGVWRVQDCLAVSRAFGDASMKPWVTCDPEVTRRRITPDCRFLVVASDGLWNKVSCQEAVDAVVAGTTSSSSVGSCKELVALARSRGSRDDITVMVVDLQRFLQQ >Dexi8B01G0010440.1:cds pep primary_assembly:Fonio_CM05836:8B:19025697:19026693:1 gene:Dexi8B01G0010440 transcript:Dexi8B01G0010440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARWLLVAIAAAAVLSCARAAAPRKPVDVPFQKNYVPTWASDHIHYVDGGREVQLFLDRTTGTGFQTRGSYLFGHFSMHIKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDVPIRVFKNSTGDLGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWANAPFVASYRGFHVDGCEASAEARFCATQGARWWDQPEFRDLDGVQYRKLKEVRDRYTIYNYCTDRDRYATMPPECARDRDV >Dexi6B01G0006680.1:cds pep primary_assembly:Fonio_CM05836:6B:7363342:7364409:-1 gene:Dexi6B01G0006680 transcript:Dexi6B01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKKTASSHTTETETGTHSFKIVGYTLNKGIGVGKSIRSGTFSVGDYDWALRFYPDGVNEVSKDFAAVYLLLVCKNAEVRASYSLRLVNKNTGLQETLCSETTIRVFKTCNTACFSPCTWIARSSELLAGYIVDDCLTIECTVAVVKDSWVENTGSFEIEVPPSDLSEHFSKLFLAEEGSDVTFSVGGKNFPAHKIVLATRSPVFKAQLYGQMKERRARRVTVEDIQPDVFKALLQFIYTDSLPEWDGLDAEEYCEISRHLLAAADRYAMDRLKLLCASNLVNYLDTENVATTLALADQHNCDRLKDVCIEFMGSSDEMDAVVKTEGYANLKRTCPSILVDILEKKSRKPMT >Dexi2B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:2B:25404977:25406995:-1 gene:Dexi2B01G0015450 transcript:Dexi2B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGFKMFNNIVSSWNKRRRSRSLDQLNPWVYKPAELWQAKEHSPPPKKRNCTMVFTLKEMEEATNMFSDRNLIGKGGFGRVYRGLLKDGQIVAIKKMDMPTCKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVKMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRRVVDRDMAKGSYTVESVSMFAALAARCVCFDGAARPSMPDCVKELQFIIYANMKI >Dexi4A01G0009430.1:cds pep primary_assembly:Fonio_CM05836:4A:7454991:7458468:1 gene:Dexi4A01G0009430 transcript:Dexi4A01G0009430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLAGTKLDLREDKHYLLDHPGAVPVTTAQGEELRKHIGATCYTECSSKTQQNVKAVFDAAIKVVIRPPTKQRERKKKKARRGCSML >Dexi2A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:2A:4386284:4386579:1 gene:Dexi2A01G0004860 transcript:Dexi2A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPGAGGGGGGGGHGARRGAAAGGTRDASPGVRLEGSGGAGAEAAQGGGGAQGLGDMER >Dexi2A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:2A:2815372:2815911:-1 gene:Dexi2A01G0003240 transcript:Dexi2A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKRAPPPAPDDDITAAAGGVVDGARLFPCLFCSKTFLKSQALGGHQNAHKKDRVAAATGSCWSNPYGTTSSYAAALELDALAVASGGALITAADTSRGLLLPPYCVGGARGGPSRDTYMDAAAAAAALRQGWSLSAAALHGGGTELNWRRGTQAAAAAARTSGGGGSEEPDLELRL >Dexi3B01G0022380.1:cds pep primary_assembly:Fonio_CM05836:3B:17193805:17194792:-1 gene:Dexi3B01G0022380 transcript:Dexi3B01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQPKSGLFVGINKGHVVTKRELPPRPSHRKGKATKRVSMVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRCVDLRYYLIY >Dexi7A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:7A:29719356:29722182:1 gene:Dexi7A01G0020980 transcript:Dexi7A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEATPPSPSADDSGASSSSAAALVEIPDDADDDSAVVTRQFFPAPPVAAASGADNAPRPGWLRLSAAAAAPGPPAAGANAATAAGPAAAASKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGVEADINFSLEEYEDDMKQMGNLSKEEFVHVLRRQSTGYPRGSSKFRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEELEPVAAATGGGGGGGDEHNLNLSLGSSAGSKRGSLDGTGTGDDETSDQRFLSNGGDPGTAGGLSLAIGGAGGGGGGHYWPAQLQHQQQQQRLLHGWGNGGGGTSWPPPPPMTNAAAAATAAAASSRFPPYVTTQGPSWVQKNGFHHSLARPT >Dexi9B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:9B:1677629:1680675:1 gene:Dexi9B01G0002980 transcript:Dexi9B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCAGGGAAGRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKVLVPFSADDDLVADAKAATAAAEEATTFRAISGAALSANVSTPLSTSVLLLLPDDSAASSAAAASSGFESSESFAAVPLQPVPRFPSGPICAPAGGGFLSGPIERGFLSGPLDAALMSGPLPSAATSGRMGGTVPALRRSLSHGGRRLRNFTRALLARAEKFQDSMDLGLPDAAAAVAACGADSAGLQWAQGKAGEDRVHLVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHRELRGLLWDQCDQEDQHDQYADQPTSTTASDSQDQSANRRRARRSRPPRGADDDHRRWRCEWERDCSSLKPPAQRAPRSNSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGDDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLAGDFSPLLSAVQLTSEHSTSAREEAVAQVETFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >Dexi7B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:7B:23934782:23936480:-1 gene:Dexi7B01G0018110 transcript:Dexi7B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTIVRLLGKQSQMGYLDEVYNSVEDLSSDYFQTKACKAMLLKPLNAASSHCHRLKINIDDTKPREVYVCKDTSCYAHCAFSSVPDTLCKCGKVMEYAGDRPENDAKTAAAGSDNGVFVIGSQKFITTDDLQVARASTSLMLSLCQEFGVQDPADLEQTILQLTSEKAEDKIGNVKIKVLQTRINSAVLSIAGSAEGCMGPDYKRMLLAPELAPFFGSGASQILEAAESAPKKIKNSNCDAYVKQGPQSFMVTDDLHVRPLTLDSSLRVVSEGKVQMKDLVEKEVALTKLQIMELQRAALMTCEALSSVLLPPKKKKKNLKHLMY >Dexi7A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:7A:24271044:24277954:-1 gene:Dexi7A01G0014320 transcript:Dexi7A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKSAPASSGGWLAGWGWDIMLGSIAAFYAVMTPYTKVEESFNVQAMHDVLYHTHRIEKYDHLEFPGVVPRTFIGAVVISIISSPAVFLMRLLHVPKFYSLLTVRLVLSCVTLLSLRLLRVQVKKKFGHHTEAFFVVLTAIQFHLLFYSSRPLPNIFALALVNLAYSLWFKGSNLRTLQALIVAAVVFRCDIILLLGPIGFALLLSRSVSLMEAVKYCVSTALICIGITVLIDSIMWRRILWPEFEVLWFNSVLNRSSEWGVSFMLLENYTYLDLSLRPIQFIGTLPRHFLAPCLWHTLFACLIVYFQVGALLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIVSIPMFNVSAALAASRLYNNRKKSGWNLLYILMLGAFLVSLGYSAVTFMASYNNYPGGYAIKALHEADSSGNEKMVHIDAFTAMSGVSRFCENEYPWRYSKEEGLSVEEFQERNFTYLLKVKLQPQIPPLSLVQEPKVFAHGNMRDPDILSLNWPGCR >Dexi3A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:3A:6789204:6790101:-1 gene:Dexi3A01G0009670 transcript:Dexi3A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSDNTACATLPHGGASTTTPPTSPPQPAVVLSPCAACKILRRRCVYRCVLAPYFPPTEPHKFATAHRELPEEQRGDAVSSMVYEASARIRDPVYGSAGAIWQLQKQVNDLKAQLARAHAELAGARAQHAHLLALLCVEVAAASPAAYCAAAGYHPSSQQLAAAAAPAAQADALYVVDGSGGLQLQASPVSWADEPLWT >Dexi7B01G0023990.1:cds pep primary_assembly:Fonio_CM05836:7B:28427803:28431885:1 gene:Dexi7B01G0023990 transcript:Dexi7B01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGAAAAAVTGQGEMRSLALTPTWSVATVLTLLVAASLIIERSIHRLSNWLKKTHRNPLYKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKFYNSRFSPCTKEEVEASLNEEHAVAHARKHLIEVILHHSLRRNLKTRYHNHQGCQEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHKWRKWEDEAFRDNHESFSQIAFESATRRQPALTKSYSFRWWSQNTVVMWIVCFIAQFGQSVVRADYLILRKGFIMTHNLSTTYDFHNYMIRSMEEEFEKIVGVSGVLWGFVVAFMLFNVDGSNLYFWIAILPVTLVLLVGAKLQHVIATLTAEGAKMTTYGPRIQPRDDLFWFKKPEFLLWLIHFVLFQNAFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETIHGWGKATRKKRRRRRGAGDDSTVRTETSTVCSLTDEDEDDFDDHHHNHGPFEETPRAARAPPFLKIELQHHGQRGGGHGPVRAGTPCFHPAAMPGSSSTHGGGSGHPMLTRQSSSASAPSSPSYRGGNVTRSASMPGIASLRTGAFTPTRMSHEGHDEST >Dexi9B01G0023550.1:cds pep primary_assembly:Fonio_CM05836:9B:18900514:18901160:1 gene:Dexi9B01G0023550 transcript:Dexi9B01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLSSASRFRLATAAAGNGVPRLSVTSARPAARASWRNARPKALSVRCEQGAKGGGGGGLDMWLSRGAMLGFVGVVAVEVTTGKGVLQNVGLTAPLPTVALALTGVVGVFTAFLIFQSGSQD >Dexi3A01G0031460.1:cds pep primary_assembly:Fonio_CM05836:3A:35921419:35924867:-1 gene:Dexi3A01G0031460 transcript:Dexi3A01G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAVGGGGGGGRGGGGAGRGGASTADAPKRVARLLAASRVASKMEPLRRRIRALAIIPTAKRMRRRRRRGIGVLDGAARRGEFAVGGRGSVLCNRSGQGRSKKECGHLISLAKPHMTRSRVVDVNAVGGKESSSDVKEGGETVFPLATTKSRSLNGGAKNGISVKPKMGDALLFWSMKPDGSRDPKSQH >Dexi2A01G0021390.1:cds pep primary_assembly:Fonio_CM05836:2A:33482820:33483545:1 gene:Dexi2A01G0021390 transcript:Dexi2A01G0021390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMVLLYNFEDSFGDLYHNGVWKKLGGRVSRKIRQSVVSLASFSGATRIFACSGIFIHWDGRWDNECQTILTSASLVRNPEYPYDGNDEIIEGLRIEVLLPRKKHREGTLIHYNLHYNVALVSVQELCADPQAIFKHGSANWSSKLVAAVGRCFESSDLMASSGKLVPWSGPYDFEKLYYSTCRITKVLLF >Dexi3A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:3A:12031446:12032207:-1 gene:Dexi3A01G0016110 transcript:Dexi3A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDHSQGVTAVARDLLEPPVVAAMAATTSASSSFSHDDAEEDGFTFAAVPRLPSSGALPGGRLAPLYYPVFGRPRSPPSVQDEEEEEGDLGTATVMAPLAQLLMEERGAPLPSEKLAEDDNDDGGLDGVPAETYCLWSPGASPAAGSRSSSPSPARCRKSGSTGSVLRWRQRVMGRSHSDGKEKFVFLKESSAERSSGGAGGGHGWMIGSDRSGKGGGGGGDRMSTFLPYKQDLVGFFANAGAFRRSYLPF >Dexi7B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:7B:18409293:18411795:1 gene:Dexi7B01G0011330 transcript:Dexi7B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASSPSPHCYSRRRGALLDARHVFDHVPQRRLAPPSAGHRAEHSAAARRPARCLAVSAFSPACRAASSVPRLFRTFLQIPTASSTPRACSTPSSFLPSRRNFEGYIPRSCSGSSLKIYSRSSLLTLQPSSAIMVSSQLTSSDVAQRSEEWFALRRDKLTTSTFSTALGFWAGNRRAELWNEKVFGPIEIKLADTARSAMDWGTNHESVAIEQYTSITGKLVGTLGFAVHTEANSGWLGASPDGILGCEPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGNVMPARELVILGKDAEARSFEPQPKHRLTNLVLFRSRKLASEAKLLCMDVGGHVEFFQ >Dexi9A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:9A:2156193:2159344:-1 gene:Dexi9A01G0004100 transcript:Dexi9A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSRTRDLLMEGLEGLVREGSFKWGLPRREDADGDEDNDGSLPGRRSSIPSLSFKANSVVARCSRQHSVKVEVDSTVSLEAFTRIAPAIPTIADVIMLFTLKLFELLPMISPKLMNLQKM >Dexi9A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:9A:6019687:6021667:-1 gene:Dexi9A01G0009990 transcript:Dexi9A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAGCLRAVQRALAFLCTRAPTPTHGVFRDGAGPFRQAYSAHHYTSEITETMKAGYIVGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWLKATDAKLQAWPRTAGPPVIMNPISRQNFIVKSTE >Dexi5B01G0021430.1:cds pep primary_assembly:Fonio_CM05836:5B:23725740:23728489:-1 gene:Dexi5B01G0021430 transcript:Dexi5B01G0021430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLCLHLCPRLRAFAASSRPLFAAHSGALPLRRAGPAMPLAARARRGLSSSTAAAPPAEDDDFATAADLRFEPPLEVVKYPDPILRARNKRINTFDANLRALADEMFDVMYKTDGIGLSAPQVGVNVQLMRPESVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLLGILFFDRMTMDILETVREQLKNLEKKYEERTGLLSPETVENYKG >Dexi2A01G0027050.1:cds pep primary_assembly:Fonio_CM05836:2A:38480614:38484120:1 gene:Dexi2A01G0027050 transcript:Dexi2A01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPTSRSVLYSSCNRLLALAPSGKVSASATLKGKGVVCIMGGEKKDVAEGKIRRRAAFSLLLASPVLSVAFSAYGKSKNMNPYDERRLLQQNKKIQEANRAPEDFPNFIREGFEVKVVTLDNYITRDSGLMYEDIKVGTGNSPKDGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >Dexi5A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:5A:12243483:12246546:-1 gene:Dexi5A01G0014960 transcript:Dexi5A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVLEEAQEEPDVKLMIERSMEEEDEHKEEDGGGADEVEKNGGGCDTLEEDSDDHMGGWDENGDPCLPFIGSWHRPVIVCTAASPNHPYFKPCEMMRVFSLRLSSPIAHPVNIYGTFSIRDGWEPLRNYLFKRSRNDPATISKGCSFLPLCSPCRGIYVLQYCLVDVDLWIKEEGDGSADKLLFCGYVELDAFLDGFGSKLLGGFQGNCHVLDMHYAFLPKGIETVIEVLAEAEHQSNVKFSASTNGFDDEISLYDGTFCGSGSMFKHYMAVNKQEELHNFLKMDDLQYNWTFKAGVGVVVAPEHPVPGFPQYFVMNVSFRTRGKAASGWQWSCICSDVSTKPYM >Dexi2B01G0026880.1:cds pep primary_assembly:Fonio_CM05836:2B:35952930:35954602:1 gene:Dexi2B01G0026880 transcript:Dexi2B01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPMNGQQGQGPPPQQGSGAPAPPQHQQAPPYYQQQPPQQYYQPGPPPQPWGQQQQYPPPPQQYAPPPPQQYAPPPQQYAPPPPQQYAPPPQQYAPPPQQYAPPPAQYGTAPGSGEIRTLWIGDLQYWMDESYLNYQAFAPVAQQIASVKIIRNKQTRQSEGYGFIEFHTRAAAEYTLMNFNGQMMPNVDMAFKLNWASAGAGDKHGDNGPDHTIFVGDLAADVTDSMLEEAFRSNYPSVRGAKVVIDRITGRPKGYGFVRFGDLNEQARAMTEMNGMMLSSRPMRIGAAANKKNMDTQQTYATNGMYLWNIFP >Dexi1A01G0030190.1:cds pep primary_assembly:Fonio_CM05836:1A:35516793:35520140:-1 gene:Dexi1A01G0030190 transcript:Dexi1A01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYGVEPAEDGSAAGGLLRMTGVSPDAGVEVRALPTRAGTRVVSAFWRHPAARLTLLYSHGNAADLGQMVGLFLELRAHLRVNIMSYDYSGYGASTGKGIADEIVDFTHGKRLWELAKEKYEPLWIKGGGHCNLETYPEYIRHLRKFINAMEKLAKDKAPKPPQLPSSSMTEVKHNKCLRFGKR >Dexi9B01G0048300.1:cds pep primary_assembly:Fonio_CM05836:9B:47286992:47289592:1 gene:Dexi9B01G0048300 transcript:Dexi9B01G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLEKHQRSGDDTSPEANDSGELDDRTSLECSSSTEMSSNSGHRSRNRAPDDDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRAGLPTGTVPKKSSLAFPEHGGRPPAVAKVVADVPTNTGPLVKNSDGLTQSDLFKPAQTASIVDEPAIAIRFQREEVKIQAWENHQKAKIEAETKRIEAKIERKRAREQDRLANKLAAVSHRAEAKREAAEARRNQEAARTEEMAAQIRETGHAPSSFSCWCWCL >Dexi6B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:6B:3360882:3362151:-1 gene:Dexi6B01G0004120 transcript:Dexi6B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATAAKAGDIYELELKDAKKKVDADTAARVGHAEFVTKDELERRRETLLKDDCLAIRCDVGVTELTVTTEKNGKH >Dexi6A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:6A:28863442:28867085:1 gene:Dexi6A01G0021680 transcript:Dexi6A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAADRSSSAHSSSSPPPPARPPVAMASVSDSPNPIVLGCGAASVDYLATVASFPNPDDKIRSLALKVQGGGNTGNALTAAARLGLRPRIISKVADDAQGRNVLKELQNDGVDTSYMLVAEDGNSPFTYIIVDNQTKTRTCIHTPGYPPLWPEELTKDNLSAALEGADIVYFDGRFHDTALVVAQETHHSLWDNPVQASQRKIPILIDAEKKREGLDKLLNFASYVVCSAKFPQAWTGTSSIPVALVSMLSRLPKIKFVIVTLGEKGCLMLERSMIGNSTVYASEAEKIHIDALLESLQKKVDQGSTIPNCITSKVCELLLAVAEA >Dexi9B01G0010410.1:cds pep primary_assembly:Fonio_CM05836:9B:6501632:6507883:-1 gene:Dexi9B01G0010410 transcript:Dexi9B01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHGVGGSDESVHSTFASRYVRTSLPRHVVDGPPRPVSFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPQKAVDLVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNEETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEENAMVLKEGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVIDIEKVLHELDTLPARVPSGDLAALAAAEASERQMEKQREVISLWKRAVLAKKKTNGVC >Dexi9A01G0020100.1:cds pep primary_assembly:Fonio_CM05836:9A:15062169:15062507:-1 gene:Dexi9A01G0020100 transcript:Dexi9A01G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSSPPAAAIAAASVSHLPPLRPSLSRLRFSSGPAVASAAAATAAAASPISLGPGCRPLPRIRCRAAAGPSPPSSDPPPSPHVLV >Dexi1A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:1A:26930778:26931619:1 gene:Dexi1A01G0019950 transcript:Dexi1A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAAVVITVASLLGLAFVGSDTYRCEYRRTPALGFGIVAALLSLSSVMLVTGASSCFGRCGAIATESGRGCVWKVLASIAWFMVASATVMFLYGAAWNAGGTRGFTTVSRPIGDNGRGFAFVCPELRDGVFVAASIKAGIAVACAITAYADILKRRGRTPTLGVEMEQAAYPAAPVAYPHAPPPPYGGGYGAKY >Dexi7B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:7B:5233052:5235326:-1 gene:Dexi7B01G0002700 transcript:Dexi7B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPTPPLLLLAFSIFLAPAAAAGDNTSATGGVASGEAVGTYLVVVCRANGPKENGEKLREWHASLLASLLNTSTGAILEEARSSPSGGQLVYSYQHVVSGFAARLTTTQLDNLRRLNWCVEAIPDVDYRLRTTYTPALLGVNTPQTGLWAVSRSMGEGVIVGVLDNGIDPRHVSYGDEGMAPPPAKWRGRCEFGGAPCNKKLIGGRSLTPGDHGTHTSSTAVGAFVSDVRMYKKNVGVASGMAPRAHLALYAVCFEDTKPFYKDLTAVGSFSAVMSGVFVSTSAGNAGPDYATVTNCAPWVLTVGASTMTRRIVSTVRLGNGLVFQGEANRRYKPVKTAPLVYVSGMFEEGALKGVDVRGKIVFCDRSESVSMRGQMVRDAGGVGIVMFNGVDEGGVTYPQGNVTIAAARVSHADGVKIMAYLNSTSNPTAGLHFTGVVLDPSYRPAIAGYSSRGPCNMSSLGVLKPDITGPGTNIIAAVPGTTINGSSGPTRSFNIMSGTSMAAPHLSGIAAVLRRARPGWSPSAIKSALMTTADMAHPDGTPITDEFTGEPATHLLMGSGIVNPTKALDPGLVYDLSTHDYLTYVCGLGYNDSFVNDIIAQPLQNASCASSGKIEGKDLNYPSFLVTLTTAAPVVEVKRTVTNVGEAGSVYTAEVVAPKTVDVEVVPPRLEFGTVNQKMDFTVRFRRVANPTQHTAEGSLRWVSGKYSVRSPIVVLDGTLNLV >Dexi6A01G0000320.1:cds pep primary_assembly:Fonio_CM05836:6A:215333:216366:-1 gene:Dexi6A01G0000320 transcript:Dexi6A01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTYDFNNDVWLCHSFGGTCYNITAFYWFPVDKMPKSGGNWPLLKDMISQNLRLLVFTSKKSKEASEGIAYEWNYVVENQCNRWPNYIAVDFYMRSDGGGAPLATDVANGHTVCGCDNIAYCKVRKSFFAVSK >Dexi9B01G0030970.1:cds pep primary_assembly:Fonio_CM05836:9B:33424445:33426116:-1 gene:Dexi9B01G0030970 transcript:Dexi9B01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEATMVEAEAATKTTVAKTPTRGKLRTPDSNESKKKSDVQNEGQRKEIGKNFFGANKEKGPRTVNDVKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPPTGKQSEKRAAKKAKDFRCGCAIM >Dexi9B01G0048010.1:cds pep primary_assembly:Fonio_CM05836:9B:46976288:46977165:1 gene:Dexi9B01G0048010 transcript:Dexi9B01G0048010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGSIGAGTEAAPAGSPMPGIGAAPAPAVSEVPATATGAASAAAQSLEERFSILLSIAEECVEEDEFAMLNKKMGGDLDAIRTVGSYMVEVWKALGVNMDSVEFLWSSEEISKRPNEYLSLVMDIAMTRRVLPGG >Dexi5A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:5A:6545962:6549111:-1 gene:Dexi5A01G0008760 transcript:Dexi5A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSALFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRKLLRLLLAVYHPRNRYLLHLSADAPASERAELAAAVARAAPAVRAFGNVDVVGRPTAGTPMGSSGLAATLRAAAAMLRLDAEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPVIVDAGIYLAGRNQFFQATEKRDTPDGSPWVILNRRFIEYCVFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNFTVNNDLRYMVWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKVLGRWRHRPVPGAWCTGRRRWFSDPCSQWSNVNIVRPGPQAEKFRKYINQILEESKSSNNSCKQ >Dexi3B01G0002440.1:cds pep primary_assembly:Fonio_CM05836:3B:1667646:1669163:-1 gene:Dexi3B01G0002440 transcript:Dexi3B01G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFPEDTVSSATSSPASSLYTPSPHGYGSWVQELSHDQQGVQLIGLLYQCAAEVGAGAFDRANHSLEQITQLASLDAPHTLQRLAAVFADALARKLLNRVPGLSRALLSTANSPESHLIPAARRHMFDMLPFMKLAYLTTNHAIMEAMEGERFVHVVDLSGEASNPVQWIALFHAFRARRGGPPHLRITAVNESKEFLADMAGVLARDAEALDIPFQFAAVEARLDDLDPDALRHVLRVRSGEALAISVVAQLHRLLAADDASRRHVPGSSCLTPVQIMARSSPSSFGELLERELNTRLQLSPDTSSVISSLSPQSPVVQQQQQRPAAAKLGSFLQEVRALSPKIMVVAEPEANHNAAAFMERFEEALNYYASLFDCLERASSSSSPAAGQGRWRAERARVERLVLREEVCGVVAREGAERKERHERLAQWGRRMEAAGMEKVGMSYGGMMEARKLLQSLGWGGYDVVHDARGEAFFFCWHRKPLYSVSAWRPAACRHGRLAGA >Dexi4B01G0004430.1:cds pep primary_assembly:Fonio_CM05836:4B:3161384:3164678:-1 gene:Dexi4B01G0004430 transcript:Dexi4B01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAANACEIERLPEELLSAAIRLTTPGDARRAAAVSRAFRAAADCDVVWSRFLPGDLPPLAGDDGQLSRAPPSNKARFLRLAHRRVVLADGRTHPEQKASVILGGNSSVRLVRLDDSDSDDEGHLEIPGNILLPRERADGWKEVELGDFYIDEGQDGEICISLRETSATSKSGLIVQGIEIRPKKKG >Dexi7B01G0000820.1:cds pep primary_assembly:Fonio_CM05836:7B:1638135:1640774:1 gene:Dexi7B01G0000820 transcript:Dexi7B01G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding THNFANILQVSFLLGLSSVLTAWIYSEFLGYRASSSREKLHSDASLGNTIKEDDKTVLLEGGQSKLPSRDKSAKANLIRFITLNESFLLENQGVLRAMSEFGIILVYFYTCDRTNIFAESKKVRMNSLMLLILYALSRVARDKCNIGYKNPEPSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESRTKVRLSIKVTIVSISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITSCLYMPTQLHPAVEEFLFGSFCVSI >Dexi3B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:3B:15186807:15190501:-1 gene:Dexi3B01G0020170 transcript:Dexi3B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLSTRSSPSSSTAPPPSDDARRRDQEHATPPQYRRAPRRRVRWWWRRPGGLVGAAWAVDPGASWVREWNRAYLLACAAGLMVDPLFLYTVSLSGPLMCLFLDGWLAAAVTALRCAVDAMHVWNVATQLRIARGGDEEQQHDKDAEEEEGEDEESAEAARKLPADARSRKALLLNLYVILPVMQVVVWVAAPAMIRAGLTTPVMTVLLVAFLLEYLPKIYHAVRFLRRMQGHSGYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRASKCLKEQCGLQAAAAGSCARGSVACAAPLYYGGAPATSSGRLAWSRNAQARATCLASGDAYQYGAYKWTVMLVANPSRLERMLLPIFWGLMTLSTFGNLESTTEWLEIVFNIVTITGGLVLVTMLIGNIKVFLNATTSRKQAMHTRLRAVEWWMRRKDLPRSFRHRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGETIVREGDVVQRMLFIVRGHLQCRQELRNGATSSCTLGPGNFSGDELLSWCLRRPFRERLPTSSATLVTLESTEAFGLDAADVKYVTQHFRYTFTNDKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >Dexi4B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:4B:8480243:8481727:1 gene:Dexi4B01G0011060 transcript:Dexi4B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSTSAKKASAAAEAVGAKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPTSPPLSASPPPPAKREPSAAPGDEVVPAWFRRKARTPRGGHAKSVSSRRLVVPESNGEGEAVEEEEEEEEQLLYRVPIFDPTLVAEFSSPPPPPPPLEDAAATAVASPCSVEDTAKPDAPVQLSFTDGGHGSFEPTDAELREFAADMEALLGRGLDDGNEEDSSFYMETLGLLDPVDDDEAAALVKFQIDGGSETSGGTLLACGGGGLELEMEMEAEASDQMLDIDFDYGSPHQHETTHGDEKSASSGTSAQFLQRSLSLTLNYEAIIQSWGSSPWTAGGERPLVKLDHSWPHDYTGAMWVVGGVVGHGGEELGTPRLGMTMDGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRANTTEASVVAVAGLA >Dexi2A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:2A:27353594:27357128:-1 gene:Dexi2A01G0015940 transcript:Dexi2A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAQAHPASMDARGWDEASYRRGILRARDLSSRTLFRAVFFDHTDDTDPDVLLAAASSDGSLASFSLSSCISAASASSQLEAAVALVDPVCIVQAHSGPAYDARFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGARSPIPENNAIAVNKQDGSIFAAAGDACAYCWDVETGKCKLTFKGHTDYLHSIAVRESNRQMVTGSEDGTARIWDCRSGKCTQVINPVKNKAFESSWVSCVAIDPSETWLACGTSSGISVWSLLSNECIFNLDCHAPVQDLLFDKNQILAVGAEPMLSRFTINGTVLSQIKCAPQSAFSVSIHSSGMAAVAGYGGLVDVISELGSHLCTFGSRGLDK >Dexi9A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:9A:206045:206655:1 gene:Dexi9A01G0000300 transcript:Dexi9A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMESQVLTPAPGTLGAAALAPRYAAVVVAVERMVRSPRLVGPEERDELYGMLTASVRAQLRGRLRGTVAAADPELAAQWRAALAGILEWLAPMAHATMRWQAERSFEIEQRTAVPGGVGGVLLLQTLHMADRHKVEAAVVELLVGLNYVCRFHKEMMTCCGPPAMAAA >Dexi8B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:8B:18753451:18756522:-1 gene:Dexi8B01G0010300 transcript:Dexi8B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVSVEEVRKAQRASGPATVLAIGTATPANCVYQADYPDYYFRITQSEHLTDLKEKFKRMYCTVRRRILIASDSGTSDDSFVCWLNDFCTMHKNLVFQDYGCSDKSDKSMIRKRYMHLDEEFLKENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPDERVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDWNSIFWVAHPGGPAILDQVEAKVSLDKARMRATRHVLSEYGNMSSACVLFILDEMRKRSAEEGHATTGEGFDWGVLFGFGPGLTVETVVLHSVPISAGTTA >Dexi7A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:7A:24812105:24812403:-1 gene:Dexi7A01G0014950 transcript:Dexi7A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSMIQIREAVVQAISSRSAWVAVKLANWSTGLVSIELKGYIEWRGEAVGAMVSVGERDEEGLLEVGGRAEERLPNWGNLLIEPRQYLSRAD >Dexi5B01G0030150.1:cds pep primary_assembly:Fonio_CM05836:5B:31146388:31149244:1 gene:Dexi5B01G0030150 transcript:Dexi5B01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQGCATANCRRYTIDYYFCRPSKQMAESLKTNDDQQRSKPQATIHEVATILSITHAQEGLEQQQGAIQLRLLLPVHLQHSSLYMGGEHGPRALQGRGRQPPIATRPYRCSGTETHGLTAYVTRDAVVVLTRPDGNCTTARRENFPCVVCLQIQQSERYASLRRSLSSTHSSHDGAKEAGRPLHLPALCLPSSFAFACSRCRRLLAAAELGHTGAARTERWTLLTRHQRSFPSPRGELGSGGDESSSGIHESSSGSGESSSAGDESSSGTHESSSGSGESSSGFRELSSSGGESSSGFCESSSSCREDEEAVDKFVRSVGLDGWRRRAGERQDCGSDGAAAGVEGRGGTAAGSTSLL >Dexi1A01G0029460.1:cds pep primary_assembly:Fonio_CM05836:1A:34931325:34937635:-1 gene:Dexi1A01G0029460 transcript:Dexi1A01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGEGSSEGGGSSPPPPPSRKGGSGVGGVHHHHQPPHDQRPQQPSAVSSWLDSVPARPPPPIPVEAEVPTSASVAAEERSASARQSAAAVRRRSQHEERERRRSQEEEVLRERRRSQEEELLRERRRSQEEEVLRERRRSQEEEMGRERRRSQEEDEVEERVIRESSEAEERKREREKEDDDLEAYQIQLVLEMSARDNPEEMEIEVAKQLSLGFCPPQRSPAEVLAARYWNFNALSYDDIISDGFYDLFYVGNGSPSVTMPSFAELRGRPFSRKVNWEAVLVHRGEDPELMNLQQEALIMSLDLQSRTSEPVGNALVKRLASLVARHMGGVFDPENMSVKYQNMLNSLRSRIGSVVVPLGQLKIGLARHRALLFKVLADGLDVPCRLLKGRQYTGSDDGALNIVKFKDGREFIVDLVADPGTLIPSDGAGVSMEFDENFVPDNHQLNKDYTTNLLGSSLSGASSSAYGSFDYELLDRRSTSSNVGPSDADGPTTNQTSNQQNMLSSSFEKLSVSTCTSDNRPTINESTNSDYIMVAKNKEKSIAPVDSSSTSPSMSDMGSTPPVRRMKVKDISEYMINAAKENPQLVQKIHEVLRENGVVAPPDLFSEDSMEEPKDLILCDTTLFQSKDEMKRRMNELESREYTDCVHAPSLPHLPGHEFQPKVVPHQAHLESLKPVEGLGIYHPHDIRDIASPFVSQYEPSAPPQEAPSPLSKQLPVTAAAVATAAVVASSMVAAAAAKSNSDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLDPGNQLLSLPSPSKGNEPVDKGGDDFWDKDNLETDHAQDNAPDQEIPQEAERTSDKSSGTESARSDLALEDVAEFEIQWEEITMGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDLSGDALEEFRTEVRIMKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNKTFLSSRSTAGTLSKTASYLEIYSSADKINLSEQIWFKCYLKSLLNSCAGVQAEWMAPEVLRNEPSDE >Dexi8A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:8A:30610070:30610350:-1 gene:Dexi8A01G0018280 transcript:Dexi8A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDALAPYVKKLIADMAKEEVSMLLGVHGDITKLEDNMESIKAFLADAERRRITDLSVQRWGAY >Dexi1A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:1A:14568254:14568975:-1 gene:Dexi1A01G0012860 transcript:Dexi1A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLQPTAKLVELHGGHLVNMSLMEMIKASKSNTDLEEWSNFPKKSEAGSLRTGDGDSAKYLIVTYKLLGKLQLILLFFFGAFYFILEHTRRTLRVLKPVRVSASTL >Dexi9B01G0028600.1:cds pep primary_assembly:Fonio_CM05836:9B:31203159:31203860:-1 gene:Dexi9B01G0028600 transcript:Dexi9B01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARASGRRLAAGDGTTRPTPAVGPPAPGGGRTQFNLSSNAATAVVFVSIMLCFILLCTYCRCARQRAIAGARRRVMRELTLPGGAAAALFLRPSAAALPPVLPYVSAISEGSAKKGAVLFPEDCPICLEPFGDDDGVRVVPACGHLYHAPCIDRWLDMRNSCPVCRCAVASLYDRAAMDAVAVADDDEDDDQEAVLERVVAMIEAIRDEQREEEAAARRTPGRAAGGDGGS >Dexi9B01G0026810.1:cds pep primary_assembly:Fonio_CM05836:9B:29220218:29222029:1 gene:Dexi9B01G0026810 transcript:Dexi9B01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSTRRITRSLAAVAAASTQKSAAAGADSAAAGLIPRAKTAAAGETQPRAVLHDITNDSPIVGLAAGSLLQHGTDKTPASTAAKTRRRAPRRTPGSGEALLRGQVKALLHKVEEEQGCAAPAALVRPAARIQALLGVSRSPAQLLAPTPANTPQIVPVSVARDGLLVPDGVPVVPCVLKEELLLPKLQVVAASLPPPQPEENLGECQLNRALVFDDSPEKSDASDGSAVSFQVSSTGSCMGKSSSPEDDSSSAWSIQVHASSEKGDEELLGVEELEGEYTEEEDWEEEDSDDDCFDDLCEEMCRMTVVDEEDRKAGLPQFEGKHTRFIYNSDDEIEGEEVVDAAEARAELSALILRGMPVPQGRHLRFHDDEEDEE >Dexi1B01G0008100.1:cds pep primary_assembly:Fonio_CM05836:1B:6763315:6764556:-1 gene:Dexi1B01G0008100 transcript:Dexi1B01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASKACVQPSLRASIHPLKTKASPRPANRSRGGGQCPLLPGLPDDLAIAVLIRVPRADHWKLRLVCRRWHRLLAGNYFYALRRRLGLAEQWLYAVTRHGHDGHVSWHALATSRGGGGAWRTLPPLPPEYAGAGADGFGSAVLGGCHLYLLGGSTDPRGSGGGGGGAMRRVVFYSARSNRWHRAPDMLRRRRSFAACVMNNRLYVAGGESGGGGAAALKSAEVFDPAKNRWSFVAEMAVAMVPFVGAVHGGRWYLKGLDAENQQQVVSQVYSPATDTWTVVLDGMVTGWRSPSACLDDGRIFAADCKDGCRLKVYDEVADAWATCFVDSKQHRGSSPAMEAAAIVALQGRICVVRNDMSVLAVDVAEGEGKQQRWETLTGKSHGKGFVTGLLSNLAGRGRAKNRILHCQVLEA >Dexi4B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:4B:5633114:5636150:1 gene:Dexi4B01G0007810 transcript:Dexi4B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPWKQGGGQACADAGPRSPLGGRSRRRARLTLYGLAVAFAAFTAYVAFATPSAAGGAGAEGGASWFGGVYASTAPYRSQISSFFSSILPANPPAPSPEPLRGGSGGGSGDVTRDVSGGGVGSAAGSNSSAAAGSDKQLGSGGSAPIGNDGGGSVPPAGGSTGTDIGGKGEDGAPTNNSASSGGAPNGAVDPNKGNDGAVSSSKGGGGSGSPSNSAAGDETVAKAGEQSVDTSNKQLGSESGSPSNVTDGLGSTVRAEAKVGDGVPSNNSAGSSSSGKVDLSTGSSNNQAASTRGSASGNSSVVKADTQGAVGAGSSGSSGSGTEKVDLSKGSDAQPVSGNGDASHKSAGNSSLAKSTDTKADLNHSSDAQQGSGNGDASHKSAGSSSQAKSADTKAGSSNSSDTQPGSGSGDASHKSTGSSSPAKSDAGHGGGERNRSSVSVVPTSTQTGSLALTGEKEVGSPGKNNTVVASPAVKNQEQTSSEVSSGGSSDTVNKQKGDAAQGSAGSSKDHLANNSKDGGSSGNMKVDWFKQAASCDMFHGHWVRDDSYPLYPEGSCPHIDEPFDCYLNGRRELAYQKLRWQPSGCSVPRLNATDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMQVSGGKKKETLRLDLVEQSSPKYKDADFLIFNTGHWWTHEKTALGKDYYQEGNHVYSELNVEDAFHKALLTWSKWIDANVNPQKTLVLFRGYSASHFSGGQWNSGGSCDRESEPITNEQYLSTYPPTMSILEDVIYKMKTPVVYLNITRMSDYRKDAHPSIYRKQNLTDEERRSPERYQDCSHWCLPGVPDSWNELVYAQLLIKQHQMRQQ >Dexi7B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:7B:17293478:17296193:1 gene:Dexi7B01G0009820 transcript:Dexi7B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLTSPEACQKAEVGADAFVLAKGGSKLETTTAQLARKSSDQLLVDEIHELNRKGSLIQQDNMELYRKVNQIRQENVELYKKVFDKSRKLYEKEAAGEINQDSTTPYNFAVIENTNTPIHLELNTSPQESDIEQPAPPKLG >Dexi7A01G0022210.1:cds pep primary_assembly:Fonio_CM05836:7A:30583415:30585625:-1 gene:Dexi7A01G0022210 transcript:Dexi7A01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASPSASASAPAGERWPPLESSPEVFNQVRPLLCGFAYICDHQFHDLALSTHSAAARAQFMWSLGVPEDEAEFHDVYGLDEDALDMVPQPVLAVILCFPDPPQETKDRVYFIKQIESLGNACGTIALIHAIGNTSSELNLVENSCLDLFFKSTASMDPYKRALFLEKNDAMAGAHSLAANAGVTELCDVVEEHYICFVAVNGTLHELDGMKDGPIKHGSSSSKSLLQ >Dexi2B01G0031090.1:cds pep primary_assembly:Fonio_CM05836:2B:39302028:39304041:1 gene:Dexi2B01G0031090 transcript:Dexi2B01G0031090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDVVKPVAAMVLVQVIFASVNILYKMALSDGMDLRVLVAYRYLFASAFLTPLAYFVERRNRTKLTWRVLVLSFICGLTGGSLTQNLYISGMKLTSPTFASATTNLIPAVTFVLALIFRYERLAIATSPGQAKVAGTFLGVGGAMLLTFYKGADITPWHSHVNNFVSTGPSVHREFEEATSSHVMGSLLCVSSCVVYALWLILQAKLSKLYPFHYSSTALMSAMSAMQAVAFALCFDRDVAQWRLRLDVRLLSVVYSGVLASGAMLVVLTWCVKRRGPLFASVFTPVVLVVVAVLSSLLLGERLHLGSALGAVLIVMGLYAVLWGKGREMAAGVAKVGELPADDEEDNGDRRVDVAVQLPSTCLPQITIGSDHQTSGPRSEEDRWKQQDQPPSTAR >Dexi1B01G0031000.1:cds pep primary_assembly:Fonio_CM05836:1B:34769527:34773370:-1 gene:Dexi1B01G0031000 transcript:Dexi1B01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGPNFSSFYAQHRGIGAPGVPGHSPGLHGPPPGGYRHHLDAVSAGYAFQTPHVGGPHIGQGYHHVEASPPMAQHGAGGGASSGGAMEIGMVVAVGADAKGVQGSGAGQDEQVKKKRGRPRKYKPDGAVTLGLSPSSSSTPHSSNSGMGTMVSTPGSGFGSGGSGGSGSGAPSEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIIQPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLHQDSDSGGVVTYEGRFEILCLSGSYLVLDDGGTRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKAEADMEQEEANAAGEEEAGPVMALPEHNMPPHAMGGWPPDMMRQMDSRTSNIDINSIRE >Dexi8A01G0006540.1:cds pep primary_assembly:Fonio_CM05836:8A:6794977:6795183:1 gene:Dexi8A01G0006540 transcript:Dexi8A01G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPATHLHAPPPPGTQAPAPPQLQGTTMLTHPAVERGVGMEETWKEGGEERGGRRWRRGDEDRASGG >Dexi4A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:4A:7226076:7232979:1 gene:Dexi4A01G0009170 transcript:Dexi4A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAHDSSIGPPNAGPPSRRPLPCCPLAPPPRRSLASRWLARRATTKPATAVTTEPDPYSSLVRAAAAQRASARGGPAWPTAPTHPPGHTTATLLLFSATAGKEKRSARQLARPRDTGRRTAPPIRPGRGRSVRGVKLKQPPRHGHTHTVFEAFDFPRFACALRFRPPSPPPRSLARAFRRRVFSKPRCSLAPLRSGALRWAGIVLVGRTSEGPSSRVAAAVIFLPRCSLAPLRSGALRWAGIVLVGRTSEGPSSRVAAAVIFLEAGVAWRELNTALAYDDSVASVNGSTQQKDKGNQCPYSIRRMNATRVGDRFALKIPCGLIQGSSITVIGTPGGLLGNFKIELTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTVADDWGSEDRCPSSESDAKDSAKVDDLEKCSGMVGKDQKEVLASKLRSNVSTMPPARKRSAEPRKYFPFKHGYLAVAILRVGAHGIHMTVDGKHITSFAFREDMEPGFVGEVRIAGDIKLLSVIASGLPTTEDFKHVMDLETLKAPPVPIDRHVDLFIGVFSTANNFKRRMAVRRTWMQYDDVRSAKVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRVNISDGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSKDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKGGLDVKYENDGRILVEGCEDGYVIAHYQEPRDMMCLWDKFQKTKRGTCCKE >Dexi1B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:1B:3268080:3268846:-1 gene:Dexi1B01G0004100 transcript:Dexi1B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPPASLLRPWSDLLPELLGHIIAFLPFPGDRARLRAVCRGWCSAARRHVRQLPWLVLPDASFCTIAADGDDGCTSFFFRRGNIPGLPEDATIVGSTGAWLAVDRTDDAYRRTRFIPGVYPRRDVNHRHSYLLHNPFSSETVPLPELDDVISHVSDKFEVRKVLMRSPSSAPADDVIAVITNSCYCNVILCHPGKGVEILPYFGICDVAFLGDCLYGITADEDLLVFELDEDEDDGRSIVVNAPIG >Dexi5A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:5A:1751400:1758966:-1 gene:Dexi5A01G0002530 transcript:Dexi5A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRGRVHLITITGADDHRLNPTRLAAIRSAVAASSGAGALVIAAEGKYFSNGFDTEWVRTAPAHLHATMDGTFRALVADLLSLPMPTVAAVTGHAAAAGCALALAHDAVVMRGSRGFLDMSEVDAGIKIVDFFAELVREEGPRRGGEEGSAAEGGQDDGGRGRAAGIVDAAVDGGVEDVVAAAVAEAERLAARGWDGEVVAEIRKVLWPKVWSKVKDHGAGPPAARPRL >Dexi7A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:7A:16625852:16626363:-1 gene:Dexi7A01G0005390 transcript:Dexi7A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHHLSHAFFPHDLHAFRPTVDTTVAGTAFLDEHGWSAPVAEGLGDFPRSELAWDYGFQQQPRKQPRVVAAAGCSVEEHSVALPQHVPQQQMPAPAGDVRQGTRAAASGAASTSGRAINGDGLLSSLCHQQIVEIDALLTRE >Dexi1B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:1B:26317286:26318152:-1 gene:Dexi1B01G0020130 transcript:Dexi1B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSSNSTRRESQKLVEPSFTRKAMFLPPRPNAAPLRSKWVRPPPQTPPGHSSVTMTVTARREQMPWSRQRTL >Dexi2A01G0027410.1:cds pep primary_assembly:Fonio_CM05836:2A:38830075:38832303:1 gene:Dexi2A01G0027410 transcript:Dexi2A01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLASRAAVAPTARRALATAEVPAEAAEDSTFVEAWKKVAPNLEAPKTPISLMQPRPPTPASIPTKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFAFVHANSIADIVAVEAVPVDQIDPALVQKGLADFTAKLGSASTDLEKAEAQIGVDVHSALNAALTG >Dexi9B01G0012530.1:cds pep primary_assembly:Fonio_CM05836:9B:8419081:8420666:-1 gene:Dexi9B01G0012530 transcript:Dexi9B01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFEETELRLGLPGDAAAAVRKRGFEETIDLKLKLEQPASTAAPPHVANEAEDGEAEEAAAAGIAAAATGGGNMKRSPSQSSVVSAAAAHQPDLDKPRAPKAQAVGWPPVRSFRKNILQTRAAGDKEDDGGKSSGGAAALVKVSMDGAPYLRKVDLRMYGSYQELSKALEKMFSSFTIGSCGGSQGMKGMNETKLADLLSGSEYVPTYEDKDGDWMLVGDVPWE >Dexi3B01G0031430.1:cds pep primary_assembly:Fonio_CM05836:3B:32841444:32846711:1 gene:Dexi3B01G0031430 transcript:Dexi3B01G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALRPLRHLPVRPAALSTSNSPPDPREVLRIERILHAPSAAAARTTQPQEHQPAAAAAAAGLRHLFHSTAGLTDEESTTLLRRLDSPSTHQRLGRLLQELAGLPLRGGEIKAALASDPDGLLSMCPGEPSRFLEFVRDNLRCRKAVKEQILAHGALRAAVAARRRVELLHARGLTRHDALRVLAAEPRAVVYPVEDVERKVEFLVSTMGFEVRWLVQYPEFLGVNLDKWIIPRYNVVEHLRSVGGLGDSIEMKHYVRFSRKRFYNMFVKPYPECERIFGGMVREKEEMARRRYPTGLWKLFTPARYEQTQEEVANMKLLVGSLQLVENSMVKGSRELVMAMRVQVLLPKNAAGNRSNSNLRCTTQTKNIDEIEFVNAGGMRYVLHETMTTPLLVQTTAIHHPAACRRPRRRSTSIRRPGHSARSSTKKRHLPHPARSMTQAPFPFPAVVASGHDAKLPTRCPRRAFYLQQHEHSIPFLLALGHLSLPANEKLSPVTSPITRSPATSVRSASTFTSERPILDSVPVAGEGICGHSQIYLELKVWRSLFEALLLRQATYSQHQQEVLE >Dexi2A01G0037660.1:cds pep primary_assembly:Fonio_CM05836:2A:47153914:47158228:-1 gene:Dexi2A01G0037660 transcript:Dexi2A01G0037660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFRAASGAARLALRRSLASRATPAAAAAARCGSRGFHSTTLRPRSAAPVPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVAQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGLDDRSVPEDLHLGLYGFTDADLDREFFLGVWRMSGFLSENRPVLTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKPRDYDKDRRLVMLDRLIWSTQFENFLATKWATSKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVGGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDADRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVLKEDVQRIHDKVNRILNEEFSKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEILKRVGQAITTLPENFKPHRAVKKIFELRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQETGVKYCPLDHVAMNQSEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFANGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVTAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNEHKQVEEGINRLILCSGKVYYELDEERKKSERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYTAMKALGRGSFEDIKYVGRAPSAATATGFLSVHVQEQSELVKKALQPELIKFP >Dexi3B01G0027340.1:cds pep primary_assembly:Fonio_CM05836:3B:22873364:22874173:-1 gene:Dexi3B01G0027340 transcript:Dexi3B01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGLHPASPPAIPGSTAVAACAAMAFSYVAVLYAPTVILRFPPPTSLRAFLHRRFACAAVASTASALATATLLGVWSLGDFADMLAVFGIRKDHFLQAVVIPLLLTSLVYAGSFVNRLWLLASSWGGDADEAGIGCAQKLVLRIQATLDDAMTEEAKITECMAED >Dexi5B01G0029340.1:cds pep primary_assembly:Fonio_CM05836:5B:30606455:30606917:-1 gene:Dexi5B01G0029340 transcript:Dexi5B01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAAALLIASLLVAVAVADARLTKPRHARVTLHYDAHGRAYFVSDAAKVPALTCSKAHAVKVGETCFSIAEAAGLTQDEFLGFNPNINCEKVFIGQWVCIAATSA >Dexi4A01G0017840.1:cds pep primary_assembly:Fonio_CM05836:4A:21793979:21798177:1 gene:Dexi4A01G0017840 transcript:Dexi4A01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQGGEEAPPPPTQAEGEAQPSEDVPMGEAAAAEDEEEEPVVGEGGSVGAAGAFDSVEGSVMPKAAGAEEGVGGELNGDAAVDSVDKAEKLANGEEPVTVGDSLEGAAADGGDDKGVDGQNLDAENQIVLVPSEEDLAMAEISKNSFMFDYSTGADDSGTEEEQAYFMKELERFYREKMMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSSKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKVETGQFQVRSPALADRIGSESQVSGSHISGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGAIVLKKDKTPKSSGSAKRKRTPSLEDDRVMPYKSDKLQNDSMVVDIGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >Dexi1B01G0003610.1:cds pep primary_assembly:Fonio_CM05836:1B:2871327:2872836:-1 gene:Dexi1B01G0003610 transcript:Dexi1B01G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLSLTKILPFDLQKSSPDSNPGSAASRVSQAELLDPISSSSSTFCSSDVKDFLNLSGDVSEGSFHGESNAMAFSEQMEFQFLSEQLGIAITNNEESPRLDSLPYNFIRTYMTDHHKTLLVQYNLILTKKTSQALYLLLKNIVLPSIFQRQKKVDFWKQSKKSPSEDKKSQSLLPGNDSGKKKNLQVAEALRMQMEVQKQLHEQLE >Dexi3A01G0036770.1:cds pep primary_assembly:Fonio_CM05836:3A:42303956:42309344:1 gene:Dexi3A01G0036770 transcript:Dexi3A01G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEAEQPNGLVVVPAPRPRKGLASWALDLLESLVVRLGHDKTKPLHWLSGNFAPVVDETPPAPDLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTARLKQEEYFGRAKFMKIGDLKGFFGLFMVQMQQLRKKFKVLDFTYGFGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYEKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGTMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILPRYAKDDKLIRWFELPNCFIFHNANAWEDGDEVVLITCRLENPDLDKVNGHQSDKLENFGNELYEMRFNMKKGAASQKQLSVSAVDFPRVNESYTGRKSEVNVIDAKTMSPDPVAVVELPNRVPYGFHAFFVTEEQLAQQAEGR >Dexi9B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:9B:1575033:1577108:-1 gene:Dexi9B01G0002850 transcript:Dexi9B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGGTADSFYREAQRLGYVARSALKLVQMQKQHKLIAPGAAVLDLGCAPGAWLQVTIRVALRRLLVLAVACQNLGPLEKGGVVVGVDVKVKQKVKVPSAHCDSRVRTERGFSVILSDMCPRISGITTKDEAISCELGMRALSLAIGKIKVKESDYSDTIERYLSSTEPDPDDDGVLRRGGNLVLKFLENEDLSGFGKFCKVKFKKVSLLRPKATRPSSREIYMICEGLR >DexiUA01G0022430.1:cds pep primary_assembly:Fonio_CM05836:UA:45648135:45648570:1 gene:DexiUA01G0022430 transcript:DexiUA01G0022430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLQETKDQQNSISETEVFACPVCYEPLIRKGPPGINLPAIYRSGFKCTKCNKSFTSKDIFLDLTVTSGTKDYTEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEVPFC >DexiUA01G0018350.1:cds pep primary_assembly:Fonio_CM05836:UA:39045844:39046044:-1 gene:DexiUA01G0018350 transcript:DexiUA01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAFSWPFRRRGSGSGAGGGGASKSAAAAEEDEELGVTPQLLDFLRTLSPDAFKAAALQLQGNQ >Dexi7B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:7B:17938189:17945736:1 gene:Dexi7B01G0010680 transcript:Dexi7B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASQTWPPPAPSPPPFSSRPRASPSPHRRHSKRQHKAAPQPPSPPAPAPQGADFSALPPELVHRALAAACASDVSAASRACRAWRDALRPLREAAAMHAYGRRVKHGPVAGAAARGGVVGGGRHEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRREEAVEYYRNAAELGHPVGMCNLGVSYLEADPPKAEEAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEATGDKVKSLTYLELATRRGESAAAHMRDVILESLNAGNAQRALADADKWKPRALHPRSSLELKTAETKAKPQAHRPVGRPHGPQASRQATRPTGSNEAVPGISASIVSLPAAPLPSPGSPLQLFGSSAPPAAALVRYRPPPWRLAAAAASGLRCSGTGTPPPLYPARTPSLAPATSTAPLWSGVTSSMLSSKLSGVRSPCKHLSNSNCTSRISSSNVASAMASCQQPTLINTKECKRQREKVCRPTNLLAPAFPSDSASASASASAATVLSILHGAEPDRLLPAASIAPTPTILQHLRPALPTVPNLAIPALACWAGAANTVSLLVSCGLFATAWRFLLLQSPPSPSLPLSAFASLLRRYARLGRTTAAIRAFHFLHRHPDRYTVVDDGNVKDGSSSTTEVCPLILAVDALCKEGHPRAATQLVEQLRREDPDWAPDVRTYNVLLNGWSRARRLDKVEKLWVAMRHAGVQPTVFTYGTLIDAHCVMRRPDQAMELLDQMREEGIEANLLTCNPIVYALAQAGRFGDAHKVLEKFPLYGVAPNISTFNSLVLGYCKHGDLAGASRVLKVMMGRGISPTARTYNYFFMVFARNCSVELGMNLYSKMVNNGYTPDRLTYHLLIKMLCEANRLELTLQMLQEMRNSDFEPDLATSTMLIHLLCRRHQFEEAFAEFEHMFERGVVPQYITYRMLMKELKRLGLVKLVQKLTDLMRSVPHSTKLPGSYRDKEGDEAIEKKKSILQKAQVVSDVLKERKDPKKAHMLKNPEETDVEIADRIVANIRRRVYGDVSRTGPSVS >Dexi9B01G0021290.1:cds pep primary_assembly:Fonio_CM05836:9B:16001581:16010692:1 gene:Dexi9B01G0021290 transcript:Dexi9B01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVEVVELAGPEPAMVLALVSASVMPRPMMAAPKLQTADMRMVVGPVEETEFGKAMTSMRLAMELVAHPVQAMVTQVAQAPMGPQTQMEMEGEAALVEATVMVADLVVARELGKVRAITMATMARAMRTVVAMEAEAEVVGGFGGGSGGGSGNSGGAVSGYDDETWSVRISSPRKESEARTLHEILFEMCSSISAKQKIKNSYLLVLVCSRARTHGSCILERLARFAALREEGQGEGPQMAMVLDPVLVTDHPALVMLQVASMVLVIQWQGVKVVVAAVVIMEAMELVLDLLQDTAALLASMVVELQALGVVAVAVGKGEVVVAQLEVVMVVMALAMEVHLALALARASKTPTVGMPCRGHPGIFCETMALQPGVIFPASMKSYPIDISF >Dexi5A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:5A:15668656:15674106:1 gene:Dexi5A01G0016300 transcript:Dexi5A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFAVPASWGHLPTAGDATGGTRGEAQFGLGFGGGTRGRGRRLSKMFDWNEERQQVGDAIWAEFNESENHILPYPNGAKDMQLDGKCEVFGNDHEEKSSSFLDCDWGNIGDFDDFDRLFSSNDSMFGNEMVTNGREFLSSLDLMDNAAQSVPIPQVPLSKQPSAEHGPSFLLVNEVSRGISKQESKVADANSKSGEQVECKNHLT >Dexi1A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:1A:11650105:11651892:-1 gene:Dexi1A01G0012130 transcript:Dexi1A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPSPPPPPPQEESSEESSSEEEEEEPPSLHPPRMPPPAAVDNDADSSEGSEDDSDTDAQAFQLRQVPAAQGAPHRVTQPESDEEEEEEEGESSESESESEPENPEPVVQKKASPAAARKSKAEQERKRPAEDPAPSGKAKKAKAGADKSALSAEVTAAGKGKKGKTELEKVAPEATPAGKSKKGKAGLEKAAPEATPAGKVKKGKAELEKAVPEAVKGKKGGANAEKPVALDSSSSRKPSRVQRLWGTNDEMKILEALAAHVKSEGTLPKTDFLIATVGDRLDRKNCTYTDVYEKVRMLKRRYEKAVSTGIVPSKEDELKMYKLSEAVWGEKAKEAIAATTARNDGAVIKSKKGQANKEKKDGNSKGGAPKEAATSTASQNGDSQKGSKKGQAIKEKTDRGVKSRLSKESTTTGNPTKRMKRDTHNEALDKDAISGALKETTTTGTQNGSDFAKSKRGETDKGKMDIDADSLKQKDATTVTQNDGTLTNNKDGETHDEQIERDDNVQRRRRGFDELQGLYSNLSANVEQIEVRHPCGETLKRAFGFIDDEKAQSLESKLKKLSVDEAKVQIRRGDLKKEVFNALISLMD >Dexi2B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:2B:24625631:24632392:-1 gene:Dexi2B01G0014740 transcript:Dexi2B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKFPEGLRVLAIDGDRTTLLVLKRQLQLCNYNNVLSANDEMETMARGIMHGACDYVVKPGCMEQFRNIWTHVVRKNVADPKNNINDGKKLGADHTKKHSKKNKRDVGCPEKAKEGTSTQRKQKIKWSDHLHSKFVEAINQIGIDRAVPKKILEVMNVDGLNKDNIASHLQKYRIHLRKKLSEGTLNRSSPFVDEPQACLSDHSAANMLNDGGAPGNLPGGCTIDQQGVGDQGNNSNELPPGTREALDGPIDDLDSLLTDLINQPDFLSNGDPFFYGNM >DexiUA01G0019430.1:cds pep primary_assembly:Fonio_CM05836:UA:40529325:40530403:1 gene:DexiUA01G0019430 transcript:DexiUA01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAQQQTKPTQEEEGEDHISKLPDDILVRILSLCRYMDAVRTASVSRRWERLVAQLPDLDLCMSALGFLSPIGTPSEERVRSMARTLRRRCRGDDVVKALHLSYRKDVPMECRHAEDFVARANAAKLVLHLQNLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMILDSIPSLPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAVKAFSEYFTPSDIQIAREMEKSQDTHDATHPEVQMSSVSRA >Dexi3B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:3B:5932796:5934839:-1 gene:Dexi3B01G0008450 transcript:Dexi3B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGRRCVGKGRRELTWRKNIAPDIREKPRHVLVV >Dexi1B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:1B:1282048:1286995:-1 gene:Dexi1B01G0001610 transcript:Dexi1B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLLAGSPWLRARLLPDAPSRPFARHIHLGRVLSSRSRSRARASAAEPITGPVRVRFAPSPTGNLHVGGARTALFNYLFARSNGGRFVLRVEDTDLERSTRKSEEAVLADLTWLGLQWDEGPDVGGEFGPYRQSERNSLYKQYAQKLLDSGAVYRCFCSNEELEQMKEVAKQRQLPPVYMGKWATASDTEVEQELEKGTPYTYRFRVPKEGSLKINDLIRGEVTVKPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFVHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLIEKFTINRVNKSGAVFDATKLKWMNGQHLRSFPPDELIKAFEDRWKNTGILQESESGFAKEAAELLKDGIDLITEADAALTNLLSYPLHATLSSDEAKPVVQDKISEVASGLIAAYDSGELTQALAEGRDGWQKWVKGFGKSLKRKGKGLFMPLRVLLTGKLHGPEMVGSITLIHKAGTCGAVTPKSNFVTLDERFRILKEVDWESLVKEQESPAEPAIHATS >Dexi2A01G0002900.1:cds pep primary_assembly:Fonio_CM05836:2A:2335549:2348193:-1 gene:Dexi2A01G0002900 transcript:Dexi2A01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSEDSKVVGAKPVLKPRPVAPTGRFALGTASSIKKRTDGAASAEVAVSRPSMMKSTSSVNASSVQRRSSTGTAGKQQENGSSVVGKKASPTLSDGGAKKSKPVSATTVSSKPAVEKKTSLTDKATADLVKKPAVKASPTSTLKKVQSKTESSNGSSGSTRRVASTTSLQSPRSVASTATKKSETSSSVPSRRKSSTADSRDSRFMMLPQVDFKASDEVRLDSRGHRVRSLKQLRLSPALEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLGNCMVLQINISHLSLLLHQFLSVAQNRLKSLCMAHQPRLQVLAASRNKISTLKGFPHLPSLEHLRIEENPLLAMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAAGTGCPKVINVTVRGEPVEGNILSGVPEIAWCGGTPGKGVASWLRRRWNGNAEVIDGAEGMEYQLTVNDINSSLVFMYTPVTDEGVKGEPQCTMTDFVKAARPSVSNVHVLGDIVEDNIIIGKGKYFGGREGLSKLRWFREKENGEFLLVLSDSVEYTLTEEDVGRHLKFVYTPVNLEGEEGESAGALTDVVKKAPPKVFNLKIIGEAREGSKVSATATVTGGTEGSSRVQWYKASSSEFKNEHELEALSTSKVSKTFRIPLSAVGYYVAAKFTPVAPDGEIGEPAYAISDGVVETLPPSLNFLTVTGEFSEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPVPEASGLLQYHISKEALGKFVSFKCTPIRDDGIVGEARAFIGKDRVAPGMPTILSLEVTGEAIEGTTMVASKRYWGGEEGDTMFRWILASSDGTEKEIEGATNSSYTLKCDDIGFYISVLCKPVRNDGVHGSLVSTEMIGPIIPGPPACQSLELAGSVVEGGRLTFHAEYTGGLRGSCIQEWFRLHGNGYKEKLTADECLDLDLADVDCQIELTYTPVREDGLQGSPRSAISDIILPGEPKGIDLMLPQCFEDNEVSPIKTYFGGKEGTGNYMWFRNKEKLGNLEFDLVAASSEVIGETLKYKVSLDDVGSYLVLYWVPTRCDGKTGYPMMAITDDPVMAGEIGRMYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEPIAVEPEIYKEVKQKLDDVSVKFEVLCDKDRTPKKAQVMGHLERRVLEVNRKRIKVVKPGSKTSFPTTEVRGTYAPPFHVELHRNDQHRFKIVVDGDNEVDLMVQTRHMRDVVILTIRGLAQKFNSTSLNTLLKIEA >Dexi4A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:4A:20619989:20622018:1 gene:Dexi4A01G0016880 transcript:Dexi4A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFQGYRGDGVLAGVRSRKRVFASAADEPVTAAAVPKRQKRREEPSLDALPDECLFEVLRRVRGARARCASACVSRRWLALLAGIRASDAVLAPAAPAVPDLNQEYLGDEDDDEADLMDHDGDSRERTFEGKEATDARLTAAAVAGRLASVSVRGSHPARGVTDAGISALARGCPALRSLALWDVPQVTDVGLAEIAAECHSLERLDITGCPLVTDKGLVAIAQGCPELKSLTIEACSGVANEGLKAIGRSCAKLQAVNIKNCAQVDDQGVSGLVCSATASLAKVRLQGLNITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRCMTVVSCPGLTDLALASVAKFSPSLKLVNLRKCSKVSDGCLKEFAESARVLENLQIEECNKVTLMGILGFLLNCSPKFKALSLAKCIGIKDICPAPAQLPVCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVNLSGLGAVTDNGFLPLIKSSESGLVNVDLNGCENLTDAAVSALVKAHGSSLAHLSLEGCSKITDASLFAISESCSQLAELDLSNCMVSDYGVAVLAAAKQLKLRILSLSGCMKVTQKSVPFLGSMSSSLEGLNLQFNFIGNHNIASLERQLWRCDILA >Dexi8B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:8B:11059203:11064298:-1 gene:Dexi8B01G0008340 transcript:Dexi8B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSLLLLATTLLVVASSSSASDAPGFSCGPSSPSRALPFCDRSLPAARRAADLVSRMTVAEKVSQMGDEANGVPRLGVPPYKYWTEGLHGLAFWGHGLRFNGSVRGVTSFPQVLLTAASFDEGLWFRVGQAIGKEARALYNLGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPATASKYAVAFVRGIQGSSSPSATGGGAAAPSPLQASACCKHATAYDLEDWNGVQRYNFDARVTAQDLADTFNPPFRSCVVEGKASCVMCAYTGINGVPSCASSDLLTKTFRGEWGLDGYISSDCDAVAIMHDAQRYVPTPEDTVAVALKAGLDLNCGTYTQDHGMAAIQQGKMSEKDVDKALTNLFAVRMRLGHFDGDPRGSAPYGGLGAADVCTAEHKNLALEAAQDGVVLLKNDAGILPLDRSAVGSAAVIGHNADNPLVLSGNYFGPACETTTPLKGIQGYVKNVRFLAGCDSAACGLATTGQAVALASSSEHVFLFMGLSQDQEKEGLDRTSLLLPGKQQSLITAVASAAKRPVILVLLTGGPVDVTLAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPATGYPGRSYRFYNGKTIYKFGYGLSYSKFSHQLVTGGQSPAPDTSLLAGLPSTTSSKDTAKSYHHVDDIGTEGCEQLKFPAEVEVENHGPMDGKHSVLMFLRWPNATDGRPSRQLIGFRSQHLRAGEKASVRFDVSPCEHFSRAREDGKMVIDRGSHFLMVGKDEWEISFEP >Dexi3B01G0000510.1:cds pep primary_assembly:Fonio_CM05836:3B:365005:367864:1 gene:Dexi3B01G0000510 transcript:Dexi3B01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAHRHSKRCRLSPVPLDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRVLRPLRADLLPAALARYPSVSRLDLSLCARVPDAALAAVPSSLRAVDLSRSSGFGAAGIAALARTCPGLADLDLSNGVHLGDAAAAEVARMRKLQRLSLSRCKQVTDMGLGCVAVGCTDLRDLSLKWCIGITDLGLNLLALKCTKLTTLDLSYTMITKESLLSIIKLTNLQVLTLVGCIGIDDDALSSLEKEYSKSLQVLDMSHCQNVTDVGVSSIVKSIPNLLELNLSYCCPTLKLEGCKFMADGLKAIGSSCVSIRELSLSKSAGVTDTELSFAVSKLKNLLKLDITCCRNITDVSVVSITRSCTSLISLRMESCSHVSSGALQLIGKHCSQLEELDLTDSDLDGEGLKALTGCTNLSSLKIGICLRISDEGLTHIGKSCPKLRDIDLYRCGSISDDGVIQIAQGCPMLESINLSYCTELTDRSLMSLSKCTKLNTLEIRGCPRISSAGLSEIAMGCRLLSKLDIKKCCEINDVGMLYLSQFSHALRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLIAALMVCGGLTKVKLHEAFKAMMPLHMLKNVEARGCMFQWINKPFKVLYIFFQPACLPLRYV >Dexi8B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:8B:247617:248363:1 gene:Dexi8B01G0000360 transcript:Dexi8B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSSGSSSPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQHDAHHAAIASSPSQHLKQQQLMEMECEAAAYGSLLMQNGRLMNSTAAAHHQQMLGSLGSAGNSAMMLQEITCLKKESLWT >DexiUA01G0014900.1:cds pep primary_assembly:Fonio_CM05836:UA:31156425:31157668:-1 gene:DexiUA01G0014900 transcript:DexiUA01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVELVVITMTTLLLLACRGSHGHAASAADIEEELAPAWAVPHLRRLLARHKVDAVVDVSTRGGHHYGSIAEALAAAPPPPGRYTVHVRAGIYREPINITRSDVTLIGDGMGRTVISGNQSMHTGHGMLQSAILTVSGNGFMARDLTLQNTAGASAGPAVALMTMSDQSVYYRCELDGYQDTLNADCNRQFFHSCRIMGTVDFIFGYAKAVFQECQVLVRRSVDGKDNVITAQGRDGPDNQSGFVFQRCAVKALPGDHLQKNTRTFLGRPWKKHSRVVFMRCALDSIVNPEGWLQWNATTPVPDTVYYAEYRNTGPGANTQGRVKWDQLHLLKEPAEAANFSVHNFIQGDDWLPRFGITYDQE >Dexi4A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:4A:22974788:22980392:-1 gene:Dexi4A01G0019120 transcript:Dexi4A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSHRRRLLLVPLLVAAAALWGPLTAGAGEATCKAWLVQSIPTDMPQLRRVPGVLSTGILRSLGLYPTPLSCARLRLFGYFLRGGVDGCGFAVSWGLGARPACAGMRRKRFAFDTIFLVSSSSRLVAYSNLIGKAMRNGGNVWVIDFCLLFGSTGDVLQWLSGNATKNLDILAQYWQFLAQPNNPKSGDYGFTDNDMKRFGADEGRRVYKALENAADRKIKIRQELDLILELSVQQTMIGNLLLRSPVPLSVDIPYTVGYPELANPEMIDVPFETPGSKKSTQEHYLSYLSFAPPEVTFDKFQADEQGWVDTIKSVKVGGIVRMNTMDWLGQSQYATETVYWPALSSAISEVVFSKNATVRLLVAYWTHFIPNTEKYLKSLLYSNILCASSKYNHCGGKVEIKYYIVPGYNNTGPALSQGGAATGNLYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYNPSIVSQLQDIFDADWYSPYTVPVKPLESSV >Dexi3A01G0027200.1:cds pep primary_assembly:Fonio_CM05836:3A:23968344:23969153:1 gene:Dexi3A01G0027200 transcript:Dexi3A01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAPPGIRRRRGGCAAHEPCCHHDSTGYHTGIAGGRVCHHGNTERVVINGFSADDELCRKYWGKSLCYVCQSRDWNR >Dexi4B01G0007150.1:cds pep primary_assembly:Fonio_CM05836:4B:5052026:5056419:-1 gene:Dexi4B01G0007150 transcript:Dexi4B01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHADSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGILRKWISRFDVWPYLETYTEDVSSEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELLFSDVENSEHKYVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKKMYSLIDQYNLKGHIRWISAQMNRVRNAELYRYICDTKGAFVQPALYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFDKCKADPTYWDNISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLANAVPLSFD >Dexi2B01G0027420.1:cds pep primary_assembly:Fonio_CM05836:2B:36357047:36357988:-1 gene:Dexi2B01G0027420 transcript:Dexi2B01G0027420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSAGVVVCTTTAQSTRPSTPHEPQKVSPSPTDQRRALQLLEDHRPWDALDDTVLAIIDQTYALLLAILGLAPKAPGRAVRVVTHLAAATAADPDDDSPSPATPVLRVVVEASAGHCRTSVIDRLDTAWWERPEDARYVSAWDPRRGRQQIRRASVRTAPGMVLLAGAEDDAGGGAGAQGQGGHHDHGVEEEFGEGVAVCEEAVLGVLDAMRSRLDAAVQAEAGMVRMARTSGCRNRSKIMDIVLVRMALEGMRRQLDVGAVMRRFRYRRQYYLHARYREISRRRPAAEMDVDQVDEAEVVTKRLKAMHL >Dexi3B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:3B:4295814:4297778:-1 gene:Dexi3B01G0006190 transcript:Dexi3B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPFPAPAATAPPPRPAWNTNRNLVVTHPLLSLLERCASFRRLLQLQALLTVSGLAAHRFPASRLLAFCALSDPPHLAHAAAVLSQCAEGPNAYMLSTMMRGFLRAGLPARALALFRRVLRDRLPADARTIVFALKAAAAAASSASSPGEAVHCVALKRGFLSQSALVGNALVHLYASSMSFPDARKVFNEMPDRDVISWTTLVDGYARGGLPDEAWRVFCRMVASEGLWPNRVTLVAAASATGQMGLLDLGMTVRRCVAESGVGTSVNLENALVDMFGKCGCLASAKEVFDGMAAKDVYSWTSMVNACAKCGDLESALQLFEEMPWRNTISWSCMIAAYSQANQPEEAVGIFNDMIATGVEPIDATLVSVLSACAQLGCLDLGSWLYETYIVTHKVGLTVNLGNAFIDMFAKCGDVAAASRLFGDMKERNLVSWNSVIVAHASHGQSVEALHLFHQFKGTGLLPDEITYIGVLSACSHSGLVSEGQHHFKEMKVVYGIEPRAEHYACMIDLLGKVGLVEEAFEVARSMPIGADVAGWGALLNACRMHGNVEIGECAADKLAELDPSDSGIYVLMSQIYASKSKWDQVKMLRTVMRDRGVKKNPGCSSIEVDGKFHEFLAADVSHVHSEDIYASLKNIYIHLKAEGYIPPA >Dexi5B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:5B:8430011:8431349:1 gene:Dexi5B01G0011870 transcript:Dexi5B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAAGAAAGLEYLHDRETPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTYGYCAPEYALTGQLTTKSDVYSFGVVFLELITGRRPHDSNRPPEEHDIVAWARPLFKDQRKFPKMADPLLHGRFPKKGLYQALAIAAMCLQGKAKNRPHIREVAAALSYLASQTYDRNNNVTRRNRAGPSASRVLDDQMNQDTTLSSQQEVHMSIHCQTNYVIPEVKETTWSGSPRAGRGRVAPKGIDRERALADANVWAEAWRRQEKASKMR >Dexi6A01G0018590.1:cds pep primary_assembly:Fonio_CM05836:6A:26329352:26340338:1 gene:Dexi6A01G0018590 transcript:Dexi6A01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTSPPPPEIRSPDERGGAEDGAEAEVEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEIIRRKIRSHAQVIRAAFLFKEAGQKDIREAYSGINLATASRSFPIELEKLTTLNREHDSVLLQEVGGVKGLSDLLKSNLDKGVTTNEDELLQRRNIYGANTYPRKKRKNILRFVFEACQDLTLAILMVAAAISLTLGMTTEGPDEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSAASIFDLVVGDVVPLNIGDQVPADGVLISGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRLAYSMKKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFAGIKLDPCDDISHISDNSSALIVEGIAQNTTGTVFLPEDGGEAELTGSPTEKAILSWGLKIGMDFHGMRSKSSVIHVFPFNSEKKRGAVAVQSDEGVHVHWKGAAEIVLSSCNSWLSVDGSVQPMSAEKHDEYKKSIENMAANSLRCVAFAYCDYDTEMIPKEDITNWKLPEDDLTLLGIVGIKDPCRPGVRDAVRLCTTAGVKVRMVTGDNIETAKAIALECGILDANGLISEPVVIEGKVFREMSESARVDAADKIIVMGRSSPNDKLLLVQALKRRDHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVEPLVTNIMWRNLFVQALYQVAILLIFDFAGVRILRLQNESRDKAERMKNTFIFNTFVFCQVFNEFNARKPEERNVFKGVTKNHLFMGIIGITTVFQVLIIQFLGKFFKTVRLDWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLQDYFKPRCCRKTRRDEEGGQT >Dexi7A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:7A:20348778:20349664:-1 gene:Dexi7A01G0009290 transcript:Dexi7A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAARERKRPREGDGAPSAVVAAGEAQYVYLPIADALKAPGARVCLFAAISEIGATVRSRGTDFTLTLRIADQSRPAGISVTFFADNTALLPYVRSGGDVISLHNVVV >Dexi5A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:5A:9445350:9457322:1 gene:Dexi5A01G0012600 transcript:Dexi5A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEPVDMAGLVAGTTCGSLWAIGVLVEDAVAGKGIPAVEEVANGKARRLVESAKGEAGEVGMVQGTVAGVVDEVVLFYHLFGVIQLYVFCDIRCKMSCLICFRGNQAYAKGQLAEVEECYTHGIDSCPPNEASRKPLMLCYSNRAATRMSLGKMREALSDCRKATDIDSSFLKAQVRAAKCLLALGDVEEAQKDFEMCLKSNNLSSLDHKIVKEASDGLQKAQKISGVILQSKEYLIKKAFDKIPSALQMISDALSISIYSDNLMAMKAEALLLLQRYEEVIRFCEETLSLAEKNSVCLCLDENSESKNLDNNTCSVKLWRHLLIAKSYFSLGKLEEAHQLLKKYGQMKIIECRCGKQYQESVSSFSMTISELLRLKAAGNESFQSGKYLEAVEYYTTALRSSSESLRFLAVCFCNRATAYQALGQILDAIADCSLAIALDADYAKAFSRRSTLYELIRGYDQAANDIRSLIALLEKQLQENMSMPLEKIESIRRNLNEANLHLSILEMNAKFGVSSDMYSVLEIEPSSSAVDIKKAYHKAALKHHPDKAGKFLVRGENISDTVWSEVTNKIRRDANYLFKIIGKAYAMLSDPTMN >Dexi5B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:5B:8109172:8110092:1 gene:Dexi5B01G0011440 transcript:Dexi5B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGEADEEEAGNHFFFSAPASPVHYILRSPPSSTAAAGYYSSSSHCATTGASDSIAGEFEFAARGAADPGGGAEAGAAAAMMCSAEELFVAGRIRVGRLSPIRQEGGRCHGDCWEEEEEDEAGGGDDEEAERGDGPRAARRARSASPPRSPRSSGGAEPSDPFASSSSSSSSSSSSSAAKSIRRRISLRDLLTRTGGDCAGAADQAAPGGGAEISRQGFWPPSIWPSRSSKKALLLPCPAPAPPPQPGRRSTSSDRGTAASKRAPGGSARRTTSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSR >Dexi9B01G0027820.1:cds pep primary_assembly:Fonio_CM05836:9B:30322597:30325749:-1 gene:Dexi9B01G0027820 transcript:Dexi9B01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGTPATGGEGYSGRVTPFVVLSCVVAGSGGILFGYDLGISGGITSMDSFLKRFFPEVYRQKQDTKVSHYCQFNSELLTMFTSSLYIAGLVATLVAASITRRYGRRMSMIIGGTVFVAGSVFGGTAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANILNYFVIKITAGWGWRISLSMAALPAVFLTIGAIFLPETPSFIIQRDGDTHNARILLQKLRGTTSVQKELDDLASASNLSRTTQYPFRTIFKRNYRPQLVIALLIPFFNQATGINVINFYAPIVFRTIGLKEGASLLSSVVTRLCATFANIIAMMVVDRFGRRKLFLVGGVQMILSQFTVGAILAAKFNDYEDMDNEYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMAFVIGQSFLELLCSIKSVTFFIFGGWICLMTLFVYLFLPETKKLPMEQMEQVWRRHWFWKKIVGEEVEERQAESGKTTLVGL >Dexi5A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:5A:12827297:12830179:1 gene:Dexi5A01G0015300 transcript:Dexi5A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQISFALLLLLGAAAFSAAPTALADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVVVLSPETFDSVVLDETKDVLVEFYAPWCGHCKSLAPTYEKLASVFKLDEGVVIANLDADKHRDLAEKYGVTGFPTLKFFPKGNKAGEDYDGGRDLGDFVKFINEKSGTSRDTKGQLTLEAGRIASLDALAKEFLGAASDKQKEILSSMEEEVAKLSGSAAKHGKVYVAIAKKILEKGTDYTKKETDRLRRMLEKSISPSKADEFIIKKNVLSTFSS >Dexi8B01G0007270.1:cds pep primary_assembly:Fonio_CM05836:8B:8542957:8548981:1 gene:Dexi8B01G0007270 transcript:Dexi8B01G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGLAKTVVEGALTRAQSAIEEEKRLRLSTQQDLVFITGEFEMMHSFLEVANTERVRNNVVKTWVRQVRDLAYDVEDCIEFVVHLDDSDGPTKWLFRMLPPCLLAKPLPLDVAVAEIAQLKARVEDVSCRNSRYNLISDSGSKPVVVQQQKTDDPDAAVTVTMTASAGDTMSEARDTAAKKQPGLGDLTQLITKVGSELGVISVWARSDDLGTSMSVIRKAYEDEEIRKNFWCRGWVKLEYPFNPRKFQQSVLAQFYTNTCLKQGKSVDVVELERREATVLKAGGFAEEFKAQVNNKRYLLVLENVCTMGDWDAIRACLPESVNSNRIIVSTEQREVARLCIGHSYQVLELKQYSADHPVCVFFKQVLRKKPSSTVKDIKYENLKQLILKCGGLPKVIVAVADFLAPKTVKFMESETALDKKFMHELENNPEFKCLRQLFEWMHCFFLRCPDFLRPCIFYLSIFPGYQIIRRRRLLMRWVAEGYSRDTKDNTAEERAEKLFSMLVNLSMIQPPPCTVMTPMRMVKCQVSAFFHEYIISRPEEDNIVFALEVFELKGKCRPSTRRTGRHLVIQSDWDRDMIVYDSIDFSKLRSLTVFGEWQSFFVSKSMKVLRVLDLEDASKVTDKDLEKIVNLLPRLKFLSLRGRSEINRLPSSLGELRQLETLDVRGTSIATLPASITKLKKLQYIRAGATSLTEERSCASKPWFPFLCRPRQLGVEVPAGIDKLTALHTLGIVNIATVPHKATLEELKILTQLRKLGVSGVKKKNCKEVSAAIQCHVHLESLSLWLSKGDQPSCGDISSPSKDLKTLKLYGLVDFVPLWIKDLPKLTKLELEMTISDKWVDQSGIIGILGDMKELTVLRLSVKPHQDGEGNKLDFCVWLNGIQNSCYLRVKILEVASSSNLNLVFGSHSMQNLELLTVRCSSASALQFFQLQSLSNLKEVQLIGAQGRIPEELRTQLNEHPKKPALKAE >Dexi2A01G0031260.1:cds pep primary_assembly:Fonio_CM05836:2A:42014656:42015800:-1 gene:Dexi2A01G0031260 transcript:Dexi2A01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAAAAAAAAGGLGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPGMMSPCSSSQLLSAPSSSYPSPIPSYHASPVSSGFPSPTRLDHSSGSNANHPGAAAAAATSLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTAASRPPTKVHKPDWDAAATIDPFRHPFFAVSAPASPSRARRRGHPDTIPECDESDVCSTVDSGRWISFQMSAAATAPASPTYNLVNPGGGGASASNSMELDGMAAGDVGRGVPAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >Dexi9B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:9B:7158289:7158681:1 gene:Dexi9B01G0011250 transcript:Dexi9B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQSSDGQVIFSSIALLQQRFRELERIREKREERLLHVLAPRPAAAAAATTTTAPRETPVKWFFHPELLYPCRPLRDTAALFPAVPATACECKSFKLRGDPIAVELWPSKGYNYKHVPGEVDVDTSLHL >Dexi2B01G0005960.1:cds pep primary_assembly:Fonio_CM05836:2B:5551988:5552645:-1 gene:Dexi2B01G0005960 transcript:Dexi2B01G0005960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEPPRHRPRRDATAPTGAVPLDLVHEILLRLPAKDLCRLRAVCRPWRALLSDPLFAAAHAARHRPYPLIAVGYEASPLANGDRVLCDIVDLSGRVVKRVHAGSWPAPRERVMSSHLDHLIVARGSSMSCHLLNLTTGAVHLLPQGLAPEHAKHRWTFSECWASMAFGKVPSTGKYKVLRVLHSFHSGDSPIWKLFEVFTIDGSISSSQQAWG >Dexi9A01G0024680.1:cds pep primary_assembly:Fonio_CM05836:9A:21207627:21208573:-1 gene:Dexi9A01G0024680 transcript:Dexi9A01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSSHAKTSLPRRERRRTLRPRRSLKPDRVLVVDSTIAFCPLTLIDLSGTAADAQAQFPEHDDLPLMVENQTTKHAGDKTLAVHAGEKLGARGGAVTTDSIVTPVVSGTMHWFKNSEDLIAYKEGRHQGFEYGRYGNPTVKVLEDKISALERAEVTLVTSSGMNAIAATLLALLPPGSQVVATTDCYSEARAFIRHRLGKMGIKSTFINLDDMEALKAVLEKNEVTLFYADSPTNPLLKCVDIRLIAELCHRNGPLVCIDSTMASPINQKPLTLGADIVLHSAT >Dexi5A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:5A:4751230:4754175:1 gene:Dexi5A01G0006470 transcript:Dexi5A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGCQPWGILQFFGFRRRLRSTKMLSDKKHGQEKSIGGYKKTEGLKKEFCIPDSYASDLSSSLLLKRDDSRVKRKSHRSISMDGVLHKVPYGKKVSGDPISGGLPRSVSATYDRDGLKPYTGPAAKRHVNQASEVEFRQDLGRHVKNLVTGEDALAALAQEKTDFDGDAKVAVDSISGDVVAGVSENHALLICEKQFDAVVSVEEDSCIVPSPLEVGTSEEQAANFDKNDQIPSSAEVELCADHSMSEEVDILEEHAETCDGAQIHCSPQADSVSEDTNIGAEQTPTSHDNQMHPFQIPKSTKDTSLKPRILHLDADVSDDTDIVKESDFDDLNGFQVDPSHEVEFNYVKDIFKKSSFTHEALLDEWYSQNITALQEEDCQHYEAAAASFYFTDMSADQLLLFDLTNEALLDIYKKYSFAKSKFSGFSSSGRPKPVGHHILEELWSRVSSRLDERPKSSIQVDMILSKDLAKSNRWTNFDRYADHMGNSLADFVFDKLLTELVLQLAEF >Dexi3B01G0030900.1:cds pep primary_assembly:Fonio_CM05836:3B:31127250:31131535:1 gene:Dexi3B01G0030900 transcript:Dexi3B01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPHRLHAHGPAAVIVLRLSIVIRSSHSSQSPSSPSPPPRRRIVTISVLRPPMAQDPSHPHRQTKDTAAPPPEHPEQQPEIAPQPAPPPPPTSPTREAQEPSTSGSSDAGDSSWLQLGIGPSSASSPSPSSSSRRKRQRTTTDDVAGPSTSVHPAPPPPQPQTQLQLSLQPGPSSSSSSQAAVVAAAPPPPAHEAGTWFLLRAAQNQRREPPLPQIPRSYLRVSRDGRMTVRVVMRYLVNKLGLDDDSQDLNLEGAYA >Dexi3B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:3B:2161156:2163234:1 gene:Dexi3B01G0003300 transcript:Dexi3B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVPYKIGEGVRNFARPAVEVKATDGEVGQLYVEEIASMVVAELKKKAEDHLGRTVRDAIVTVPGHFNDPSTWAAMDASKMAGLDVMRTVSEQSAAAVAYGLHVDGKLRENGNVLVLHGIVGYFVKLIKTKHGKDISDDRNRIALGKLRTAFIALVEKAMVQAELEMGKIKIDEVALVGGSTMIPKIQRLVRDYFGGKELSIVVKPDEAVAHGAAVHVHSTPDSDPRGTSAVRSSTPSSPCRSISAVRPGRQPSTADVSVVTLMGGSLGVLAYWDGPLLGGDDFDQRIVEHFAELVKVKHGKDVREDRIALAKLRTACERAKKALSSQDRVQVTVESLFDGVDFSEPLSRSKFEELNDDTFGRVVALIRSTSRRDEDNHATITTEEGSHATSKISEIILVGGRTMIPKLQTLVKDYFNGTEPNMRLKQDEAVALGALFHAYSSV >Dexi1B01G0008500.1:cds pep primary_assembly:Fonio_CM05836:1B:7119197:7119591:1 gene:Dexi1B01G0008500 transcript:Dexi1B01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRKESATMDEPSQSEDRKLSAVEHVKKRHEEKGFLYAWSVRSPQPIGYELFCRNYISTAFMFCCCFCCYETCEHCLECFCCCGKKDE >Dexi2A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:2A:7418752:7419696:-1 gene:Dexi2A01G0007530 transcript:Dexi2A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAEAIGVCYGMSANNLPPPSTVVSLYKANGISAMRLYAPDQGALQAVGGTGISVTVGAPNDVLSNLAASTAAAASWVRNNIQAYPSVSFRYVCVGNEVPSGDAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILAVYSPPSAAEFTAEAQGYMGPVLQFLASAGSPLMANIYPYLAWAYNPSAMDMSYALFTSPGTVVQDGAYEYQNLFDTTVDAFYIAMGRHGGSGVPLVVSETGWPSGGGVGASPENARIYNQNLITHVRQGTPRHPGAIETYIFSMFNENQKEPGVEQNWGLFYPNMQHVYPISFS >Dexi2B01G0033970.1:cds pep primary_assembly:Fonio_CM05836:2B:41308616:41309269:-1 gene:Dexi2B01G0033970 transcript:Dexi2B01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDEPPSSSHAYEHWPSAPETPNSTVSVKHPSAAEPLTSSQRPGSTASSTSECIAANSFARAPALSPRTPLGSLTSEKSTMDPNAKEFKLNPNAKSFTPSASLRPQHPPSESYYYPNNMPAAPIGPGLLVGMR >Dexi9A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:9A:362302:362790:1 gene:Dexi9A01G0000630 transcript:Dexi9A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRTKKTAPKPGRKKKKTNPSPSGVNTPRTRAALAREAAAKAAQEAQEAAAKAYAVGEVAAAAERDVLDVLPIESDPAPSTSTRRNLCLDLQVVDEVDETNIASADPPLKKMTPKKKLATKVKKTPAKKYVKSPAKKGKK >Dexi3B01G0033210.1:cds pep primary_assembly:Fonio_CM05836:3B:35593455:35596046:1 gene:Dexi3B01G0033210 transcript:Dexi3B01G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLRNEALLLSRVGNDVEFIKEEMESMHSFLEHLARTVPPVGGHDEQVRTWMKQVRDLAHDCSNCIDLYLRRGDPEFYRARGGRWRYLWWASWLVQKMVAQHSAAMRLHELKERARDVGKRRLRYGVEIPGREAGGSAGVPLISSSSQAAAAAAEEAGEEEEDHQYHHSVVAYVSDPRQRVLEPHVLEEYCAEKLANWLKLQAESKKDVSIASIAIVAPDGTEDAGAIARESLTLASANFMCKIWINLSALHLPWDLPLLGWEILAYILRECELQQGKVLGKQRKQDPREQAYHYKDKLEDKVFDMIGGSDDNDYDDDDKKDDDNDEDRDDEHNNDDDDKKDSDNDDEDDDDDVDKKDSDNDDEDKDDDDDVDKKDGDNDDIDEKIEKIKRRIGEVDEGKIGVDRKKIEKTKSLSILLRALRLAQKAPDMGVPLSLEEAMNETASRLKTQIEAGKSKPKICLDVIQYIDILRKVFLANKPLQPHVHEVSPSATTLVEDHIKEIIHNHKITLDIIWDLLQKQQLLEGQMKEILGVVQASRAIAATKNKAKEIAGEVKARSTIINETMEKMDEISEEIENKLFIKGIVDMIKPYLQNKKTLIILQDDKDYISTEDDEDDVSTWKEAINALNLLGCAPGSAVIVSTKNNQKAKEFCYPPGEPVTCSLVGLYHDLVLQLIQQRMYNENDGYNPKILREIMDKCHPHEFCMKVFLHALYANPNRSYAEL >Dexi3B01G0034320.1:cds pep primary_assembly:Fonio_CM05836:3B:37079204:37083122:1 gene:Dexi3B01G0034320 transcript:Dexi3B01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMAAGKRRTTILALFLWAMAAVIFMLALHTHGTRVTIVLPPSHSAFPFPFPAPAHMASPPELIDDAAAEIFVRLPPDEPAHLIRASLVCRQWRRIITDGAFLRRYRASHGAPPLLGFVCNLAARVDTITSPRLFPTAGPSIVPMPAFLGSSKALDCRHGRVLLGPFDDDTMARLVVLDPITGDHQRLPDLKLPRGYYTATVFCAARGCAHLNCRGGPYRVVVAGAASSAGGAMRARLYSSEAAAWVASARLIPCPHVRRKPTAVVGDDVYFQLMFADDMILRYDMGRNCLSTFHPPADAKEGGIVLLPMADGSLGLAGIRGSRPCLWSTDASPEGIAGWVRCKSIELMEKICKLC >Dexi9A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:9A:7785965:7789223:1 gene:Dexi9A01G0012310 transcript:Dexi9A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLSPTFFGGLRSRELSGAGGSSSSRAAARLPYLADLSSDPGGRGGGVIAVEHSGDPAIPFAISFGKTARTCNLLAVADEDGYVGLYDTRRRLPSSSSSLEKSAETRVSDWVAHNNAIFDVCWIKEGSQILTASGDQTVKIWSVEDRKCTGVLSGHAGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKSPNSHGEACLMSSAVVREAHSPIQRSRTRSRAKAASTSITAVLYLKDDISIATSGAADNVVKIWDTRNLKVPVSNKNSQAGAQPLEGVKHGISCLSQDSYGAHIAASCMDNRIYLYSVLHVNKGPVKVYTGSKIESFFVKSAISPDGNHILGGSSDGNVYLWQVDQPESEPIVLKGHEGEATSVDWCASEVGVIATSSDDSTVRVWSTKKMDCTNITSPTAFRKRITAPNTEYRRSVTHERATTSCTSTDGKSPSGSHSPLQPRVLDFGTPESAKKRGFALFQEEALDTRKSPEAQINSPSSVLSPPPSLKRRTIRDYFASSAS >Dexi4B01G0021640.1:cds pep primary_assembly:Fonio_CM05836:4B:23586106:23589982:1 gene:Dexi4B01G0021640 transcript:Dexi4B01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSLAALSSSRAARPGPLRPVAWTPRAVSAARAAPAPDHARRLVAEFDPAVPLEAAVTPPSGWYTDAEFLQLELDRVFLRGWQAVGTPDWFPCLGHIWQVKNPNDFFTGRLGNIEFVICRDPSGNLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGSLLKATRISGIKNFNKNDFGLVPIKVATWGPFVLARFDDESTEGDASDVVGDEWLGSASELLSANGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETFVLSTYERVSVQRCESAPSDSDGFERLGTKALYAFVYPNFMINRYGPWIDTNLAVPLGSTKCKVVFDYFLDKSLLDDLNFIERSLKDSEQVQMEDIALCEGVQRGLESPAYSIGRYAPSVEMAMHHFHSLLHTNLSG >DexiUA01G0013030.1:cds pep primary_assembly:Fonio_CM05836:UA:27051654:27056543:-1 gene:DexiUA01G0013030 transcript:DexiUA01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGINMPGGGGGDGNPSPFSSTPECRMRRRRRLAPPPMAAAAGSGDAAAGPSREKRVQLASPSSSSSPPPSDGSAEDSDDDGEPGEEEGVDVEAAAPPAGPPPPQQQQLIPAAAWPVAFGFLSVAGRSRDMEDTVSIHPRFHTWVDGSPMHFFGVFDGHGGSHVSELCRDQMHVFLADELTAEEATFSERLRRRKLQAAAGEGTSTSSSSTSGGASTTSPLQEEAEEERAWRAALERAFGRIDAMASLACACGRIVSPPCRCPLAGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPNRADELARIQAAGGRVVYINGHRVRGILAMSRALGDRMLRPEVIAEPEITITNRTPEDECLILASDGMWDAVPNEIACSVARQCLQDGSPAADVDDAAAVAVGPEPDARCTNAASLLVRLAYGRDSWDNISVVVIDLKQRE >Dexi8A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:8A:13650886:13659585:-1 gene:Dexi8A01G0009730 transcript:Dexi8A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAICCRPLVAELVLVIIMETVCFELVQDLLRLEAIQAELAEYNGGQTSFLQWATAAGTDEKTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECTKRLRRKLRRRPTNEEIAMDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRLSMKKDVHQALDTLTAREKQVVVLRFGLKDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPVGNW >Dexi4A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:4A:22556553:22557073:1 gene:Dexi4A01G0018580 transcript:Dexi4A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTQRILLQIPTHHLIPETRLHPTESSKAAPNLTRKQDRQPPVAQPAPNGTRICLRDQTGETDRDVPSWRCFGGLGAFFFRAPAAADDVAIAAA >Dexi3A01G0030470.1:cds pep primary_assembly:Fonio_CM05836:3A:34464653:34466481:1 gene:Dexi3A01G0030470 transcript:Dexi3A01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIESKAGAIAVMLASLLLLGTWPALLTLLERRGRLPQHTYLDYSLTNFLAAVFIALTLGQLGGTDPSFITQLSQDNWPSVLFAMAGGVALSVGNLSTQYAWAYVGLSVTEVISCSMVVVIGTTVNYFLDSRINRAEILFPGVACFLVAVILGSAVHASNAADNKEKLRACENAPKDLENGDPDTKDATKAEAGTAEYLIKLEQQRSIKVFGSSTFFGLGIVFFSGVCLSLFSPLFNLATNDQWRALPAGVPHLVVYTAFFYFSISCFVFNIGLNMVLLYRPMAGVPKSSFRAYLHDWNGRQWALLAGLLGGLGNGLQFMGGQAAGYAAADAVQARLALPLVSTFWGVVLFGEYRKSSRRTYILLGFMLFMFVAAVAVLMASSGHRGSQ >Dexi2A01G0001930.1:cds pep primary_assembly:Fonio_CM05836:2A:1447829:1449139:1 gene:Dexi2A01G0001930 transcript:Dexi2A01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEERHCRRSCGSNNNPYRSPPELVDDNIDEILVRLSPEDPALLVHASTVSKSWRNTLTNCTFASRYREFHRTPPVLGIFRRDGILIPTTSFLPPAVHHFNCHVLDYRHGRVLLENLDCGEIVPDHLALVTNGAVLCAMDTGCDHLNCHDGPFRVALVGADPEGQLHACVYSSETDEWSPHTSLDVNDDIPPEFLANAYLAFIDEFYNKILRYDPMEPSLSVIETPVKETCDDGVIVMREEDGGLGFTFIKANSLHLWSMRKDRNGKPQWTNFRAIELEKLLPVGKMSPYPNED >Dexi4A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:4A:21739404:21745785:-1 gene:Dexi4A01G0017830 transcript:Dexi4A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSFSCVLLQKDRKNICRTKICDLPIEVPFVWPLISFMPTKAYQKSVFPKFSLLPYPEDGRQKHEWGKFMRFLWDNKKTGAMKLGKDAIVLTQTSSSRSVAFLSQSFNEGKDNLEIPVVAYRKEGQYMEVDLSVQSEATAEYNLNAIKEFSSFNEYFIGEKLGLFGEERTGTQIYIWNLDKWGNDYTLEWNSGKSSGNPVHNDHGDILIRSRRVRSRPGQTSNKVPLDYSLQSYLEVMFLNPRMKIYVQGSLVKSRPLAKTLNKTSVISGEIMGRTIELTLGRSKVEWDRTNCGIFLYWHGRLIESYKRVGGQKHSADVGRGVIGVADISNLIDDEDGNSWVLNSKKGFQDCEMYAKLEEWLGRRVDEYWDAKFDNLELRKGDERHKPDAHWVQCYSCRKWRVLNAGFKIDTLPEEWFCYMPPFNGKCEVPEQQMKRGVIVIGEKRSGHDEQNKTAHQRDTPKKEMRTKNHQVEKVSQDEEDVKDTLEVNKRKKCSSGIPSKPKDNSDDDLDGVTSQAEDEPRPPLRRLRRGPSKTSKC >Dexi3B01G0030220.1:cds pep primary_assembly:Fonio_CM05836:3B:29737408:29741281:1 gene:Dexi3B01G0030220 transcript:Dexi3B01G0030220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPKRSGSSTRRSRRCRLERELAKRRSHAEQADLGRVAADIEEAPDCYEWEWSCKEGERRNAKSTREQSSAFEGLSRGGGRGSGRGERGRGGRGRGYWSYR >Dexi1A01G0015640.1:cds pep primary_assembly:Fonio_CM05836:1A:22760792:22762951:1 gene:Dexi1A01G0015640 transcript:Dexi1A01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHTMPGAFAAHSPAYNLPHGADARRRKRNTNSVSNARALQGLLKIPRLRSVQLQCQRIDDLARVTEGNGTWVKDAMNNASQVLGDASVPDQAVGGNGSLNGNTNKPPPQRRKTSSVDDEAWELLQESMVYYCGSPVGTIAANDPNDSDPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLIMEFFH >Dexi2A01G0035360.1:cds pep primary_assembly:Fonio_CM05836:2A:45017402:45022069:-1 gene:Dexi2A01G0035360 transcript:Dexi2A01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRTICRCSRGRRGEDGGWKPSKTKRSVRASQRVLATGKKGDAAQRVLDAGSLHPPPDPARATSAYHEQLPRRAGVLAAAASGARGAEEGEVGRSAGGAANLAGAAVGLRARGRAGGWADGAEGGEGGEDCGRLRPWRRRLLASMALSWPSAVRLAVAAVLLVAVGFALFTLPVEKILKDFLVWIKENLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMILIISGFVLSVVLIICVTKVAKSALEKALAENGEVDVGTSQLPVVASPSDLHQPLVIKIDASNEDHEK >Dexi4A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:4A:363399:366439:1 gene:Dexi4A01G0000530 transcript:Dexi4A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMARLDEWKPVIAMLVFDIISAVTTALLKKALQEGLDRLVLITLRQLVATIFLAPIAYFKERRTRPKLTLEILVYLFFSAAFGAALSQYTFFYGLQYTTATFAITFTNMAPVLTFLIAVLLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVEPSSVTLSPDHHAAAAESSKKSWTLGTVALLANCLCFSFWLLLQSKLTKKYPALYSNTAYMFLISSLQGGGLTAAIQRRASVWILTRPMEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAMIDFFFLHENLYLGSVLGSILMILGLYILLWGKKRDASELASPSPATAKEEEEDKEKQVKS >Dexi5B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:5B:21402708:21407099:1 gene:Dexi5B01G0019090 transcript:Dexi5B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGCNGNGKAEGVVVPEIKYTKLFINGEFVDAVSGKTFETRDPRTGDVLAHVAEADKADVDLAVKAARDAFEHGKWPRMSGYERGRIMNKLADLVDQHTEELAALDGADAGKLLLLGKIIDVPAATQMLRYYAGAADKIHGEVLRVSGKYQGYTLKEPMGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGHTAGAAITSHMDVDSVAFTGSTEVGRLIMESAAKSNLKTVSLELGGKSPLIVFDDADVDMAVNLSRLAIFFNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDVTSNMGPQVDKEQFEKVLKYIEHGKSEGATLLTGGKPAADKGYYIEPTIFVDVTEDMKIAQEEIFGPVMSLMKFKTVDEVIEKANCTRYGLAAGIVTKSLDIANRVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGLAAMDKYLQVKSVITALPDSPWY >Dexi7A01G0023520.1:cds pep primary_assembly:Fonio_CM05836:7A:31471601:31472018:1 gene:Dexi7A01G0023520 transcript:Dexi7A01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMSLERGGVFAVVAPGVVIRHDDVDEEVEEVGVVDGGGDVLLARRVSSAMKSSHALEKTTGASPEIILAPPSAVSPAERFMIFLMRASGSACGPVRADTPPQNIPEYGLACLIFSFSLAAAAC >Dexi6A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:6A:5378377:5381971:-1 gene:Dexi6A01G0005860 transcript:Dexi6A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYFQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKKIKIDSWHIILRMRIPKEGPCDPIAPLELPHSLHAFHRVSSGDSLNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFCASLSQPSSRNLAQLAKVKIMKRPGSQWEEIQIHHSIRSIVCLNLPSFSGGLNPWGMPRTRRAADREYTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRICFEFHKGAADHTFMRVDGEPWKQPLPTDDDTVVVEISHLGQVAMLANEPCRSKSINDPSLPSPSHDSHDDAKEDAEEDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >Dexi9B01G0040930.1:cds pep primary_assembly:Fonio_CM05836:9B:41431886:41436970:1 gene:Dexi9B01G0040930 transcript:Dexi9B01G0040930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVMAGYGLDKAVRASVSLDTPCGALLRELEQIWTEIGERDQDKDRMFLELETECMRVYRRKVDSANAERAQLRQSLMAKEAELKALIASIGENTTRFKSDRLQKVFIYVDEVHCLCAVLGMDFAMTVKEVHPSLHETNSENSTNISDSTLEGLTQTILKLKAEKKTRVLKLQGIVEKLYKLWNLMESTEQERRQFAKVAAVLGSSEEEITSAGILSLETIQETEDEVERLTKQKASRMKELVLKKRLELEDVCRNAHMEPDINTAPEKIIALIDSGLVDPCELLSSIEAQIAKANEESLTRKDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARILVQKIPTMIDNLIAKTFTWEDERNVPFLYDGVRLVAILEEQKLRRAQREEDKRRCRDQKKLQSLLLKEKELIFGSKPSPRKTNSFNRRTSNHHSNANGTGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTAAPLNFSAVSKEDSMSSFASISGSEPDSPLYLH >Dexi3A01G0013110.1:cds pep primary_assembly:Fonio_CM05836:3A:9519780:9524967:-1 gene:Dexi3A01G0013110 transcript:Dexi3A01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPPPRTPARHPPLSCASAHRRLGLRLASRWRRAPLRRRPPLVAAQYLSSLKLLVQMTGPNKAPFSGVAEDVKGRAACYKQDWNDGFRSGLRILAPTLYIFFASAVPVIAFGEQLSKDTDGALTTVETLASTAICGIIHSIIGGQPLLIVGVAEPTIIMYTYIYNFAKSQPNLGEKMFLPWAGWVCIWTAVMLFLMAMFNAAVILNKFTRFAGELFGMLITILFMQEAIKGMLGEFKAPEGVPRRLFTPLPWEAKSLQHWTVAKDLFLVPPAYILLAIVPATMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSFTTLICGLLGIPPSNGVLPQSPMHTRSLAVLKKQLLRKKMVQTAKEGMMKNDTSSEVYGKMQDVFIKMDKGSNSISANNELKDLKDAIIPEGDGASKVPEVFDPDKHVDAYLPVRVNEQRVSNLLQSLLVAGCIGITPIIQRIPTSVLWGYFAYMSIDSVPGNQFWERIQLLFITPQRRYKVLEGAHASFVESVPFNTIYAFTLFQLFYLLLVFGMTWIPMAGILFPLLFFFLIVIRQHFIPNYFDPCHLRELDAAEYEELEGFTPDPSTGDDESVRSRDARPEYASEILDEFTTHRGELKRRNSSFRDGRLLQLNSVKMTRELSRAASRVPAIREEY >Dexi1B01G0029530.1:cds pep primary_assembly:Fonio_CM05836:1B:33814671:33818889:-1 gene:Dexi1B01G0029530 transcript:Dexi1B01G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLADGAIDVKLRKVLVGNSGRAVESIELLELAALIYWEVGEGDRAWLRMAPIILQRALSFSNLPVHRYDVSPLKVSICSSSTTDANLQVSAVSNGNEGLALLTTIAEGTDDCCIPSPLLSNRSPLEKNLISKIIHLREVLDLPLQSSSDALDQLLLGTLEALKIAYPKCEGVIEMIDQVIPVAKEMFNFMESSRSANAAASAAWLEDLPGRRSLPPVLCHIRSPEHFKGAGPHASDSAEVATPCQDGAIRHTEQLKVQKHVPRQESGGGSFTAEDDQTSRSQPPSTPNGDSLLLQLTPSSVSPHPLSAPPPSPVPLLGLPMLLQSWEAMQDDKATAATVTPMDAAPTALQDTADVTGSKDNSAASVSMEEDTAVVTGSKDNSAASVSMEEDTAVVTGSKDNSAVSVSMKAGQPSSPSSTVGNATMPSVPQPLPPPSLVQEGLPAKVSRAPPPPPPGNISAALRAKKAACKLKRSTKMGTLYRHLRDRLEGSGCTHGGKAQAKNKTPGGHKSNAGLGMADALAEMTKRSAYFRQIEEDAENHATTILELKDAIGSFESKDMAELVRFLQHVEQQLACLTDESQAGFLLSSVLARFEGFPSKKLDSLRMAAALYSKLDGVVSKLKGWKLAAPVSKQLDRLEAYFNKACALAVVEQNELRHIKDDVDMIERNKDEEAKRFQSHSIHFDFGLLVRIKECMVDLSSNCMELALKESEDAKETASVRSAGAPQVAGAPSRTLWRVFQLAFRVYNFAGGQDERADRLTAILAREIEAKTRAVARRPLKSN >DexiUA01G0007740.1:cds pep primary_assembly:Fonio_CM05836:UA:14473415:14473818:1 gene:DexiUA01G0007740 transcript:DexiUA01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVGSKAIRPKGSRQNVLIDGGSDDSSHKKKGRKDKGEKPRKGGHGSSKGPGKPQHGKDKKQRRGADGKKGEKRGKDHHSGSSAVMNPGNLKNQDSQPSSNTTKPVQNVLRW >Dexi5A01G0030080.1:cds pep primary_assembly:Fonio_CM05836:5A:33080933:33081586:1 gene:Dexi5A01G0030080 transcript:Dexi5A01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEEAHPDDM >Dexi8A01G0009120.1:cds pep primary_assembly:Fonio_CM05836:8A:11721243:11724715:-1 gene:Dexi8A01G0009120 transcript:Dexi8A01G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPVSSPERPRPQSPGVGGVPLSSAIGNVLRFVLSSHASAGSPGDDPDGFPLSPTYCARLLDDDGELCRKLASVIEQCIEEGRIPGPPAVDGIPVAEEGPEEEWEAVLLEKGAESKLAVRKYRSFSEMLQAEIISNVLPGISSIEEGIGL >Dexi6B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:6B:11244846:11246622:-1 gene:Dexi6B01G0008870 transcript:Dexi6B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHGRGANDLGTAGDQQAKNHRETDQLPKPLVDDDGRPLRTGTLWTVSAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMVLFAAVIYYTSTLLAECYRCGDPMFGLRNRTYIDAVRATLGDSKEKLCSAIQLSNLFGIGIGIGVTIAASVSMQGHEDPCHASTSPYIAIFGVMQIVFSQIPDLDKVWWLSIVAAIMSFSYSAIGVSLGISDIIANRGLRGSVTGIMSAGASVTSMQKVWRSLQAFGNIAFAYEVCIGCCLPVLRPCLLCSSIQDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGDAAPDNMLTGFGFYEPFWLLDVANVFVVVHLVGTYQVMTQPIFAYVERRAAAAWPGSVLVREREVRVRRIMAFSVSPIRMAWRTAYVCVTTAVAMLLPFFGSVVGFIGAASFWPLTVYFPVEMYIAQHKVEQGSTRWLLLHALSAGCLVVSVAAAAGSIAGVVEELKAHEPFCWSC >Dexi2B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:2B:27878372:27881172:-1 gene:Dexi2B01G0017490 transcript:Dexi2B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRFLLAVALALLLRPGEASVHEYRGLAFANKGNAFILHAGSEGLYAASPATANATAEDDEDAAAAADAFIRFDKIIFRRPEAIDSVKDATSAKVQVLLFEIEDREMIGGSAYGGQKAICCTSDLSKLGACTEGSVIYRPSQYAEFNETGVRPKGITFWAVTFGTVKRTAAEVIVLIVSMGYGVVTPTLGGLTSKVVMLGGTFFLATETLELVENLGAVNDLSGKARLFLVYPVAILDAAFVIWIFISLAKTIGKLQIYFKSTDVFNERWQYAWIIPAFWHVLTFSLLCVICYLWAPSQNSMRFAYDASEFFDRKDNLSLIRPAPSASKNGWSLSSTPDDKATKNVNTVTSFDEDDEENKRE >Dexi4B01G0021420.1:cds pep primary_assembly:Fonio_CM05836:4B:23402318:23404992:-1 gene:Dexi4B01G0021420 transcript:Dexi4B01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASHQQQQPSSQRANHAGVELITSPAFRLSDGEEDDEARELLLDVGGLLLAGDEDEATAAAGSGGPPKIRVRGLRRRAAGGGQEILRGVDLDVPRGVVVGVIGPSGSGKSTLLRALNRLWEPAAGAVLLDGDDICCLDVRALRRRVGMLFQQPAMFDGTVAYNVRYGPQLRGKKLTDAEVQNLLSLADLDPAMASKPASELSVGQAQRVALARTLANEPEACPCTQPHLTCFVLLLDEPTSALDPISTQNIEDTLVRLKKTKGLTTVMVSHSVKQIQRIADLVCLLVAGEVVEVLPPSELSNARHPMARRFLELS >Dexi5A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:5A:9573284:9575156:-1 gene:Dexi5A01G0012700 transcript:Dexi5A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITSDDDLSTLEIAQRRPGEYGTKGNKIRLLANHFKVSVHGADVIFHKYHVKLLYEDDKPVQILGVRRKVIEKLQEIYALTSQGCNRGCLLVGQSFFNTSLSNSIDLPGGLKGLQGYHSSFRVTQSGLSLNVDVSTTTIVRPGPVIDFLRFNQDIKDTSRIDWGKVTAIMLLAEHVLKRLRIKTTHTNAEFTIFCLSEKSCYEQTFLWKKRNGNGSDTVEVTVYGYFKQQN >Dexi5A01G0025870.1:cds pep primary_assembly:Fonio_CM05836:5A:29603555:29605142:-1 gene:Dexi5A01G0025870 transcript:Dexi5A01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVGADLLRDRLSDLPDVILVSILSLLQLDEAARCTVLATRWHRLFPSTLLLDFNANMPGRRDIIATVNSILAAHPAAPELARRGVEELVLDFDFRDWHHRIPASLFDCSSLKRLRAGSCTFPDVPKDGAVPTPAPLVRLTEIELCHVSISDDSLNSLLSQCTALERLKMEGTRKCDLVHVRSPSLKILDSDGTFSELFIEYAPNLELLFGECMYMKAGSREVRLKIAHAPKLKFLGYLGMNMKAIEIGETIFKEDQINLKTLMPSLKTLAVEVSYTREGYIDWITQLLNLFPCLEALYIRSDTWSRFQAAASETWDVLRHIPCVHNHLEKVVFEVYRGHDWQREMAKFLHGRSRFLKDMEFHCKRERERDAKLPNVEWVRKQQGLLCLDSRASKDARLLFFSGMLVSNHWDVCHHEWYKRKYYDEICEV >Dexi4B01G0002880.1:cds pep primary_assembly:Fonio_CM05836:4B:1912051:1914146:-1 gene:Dexi4B01G0002880 transcript:Dexi4B01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAAPANSSCFHARADANQTSSLRLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTGHSVEKARISDYLNLLSRL >Dexi7B01G0024260.1:cds pep primary_assembly:Fonio_CM05836:7B:28623114:28628470:1 gene:Dexi7B01G0024260 transcript:Dexi7B01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQFLSLPAASSPAPHLPPPRTCKPVASSSASFRRPSPPPPAPPKPSPPPPSPPNPLSSKLWLSSKLSPPPPPPPPSLEPIEEPPPPPEQEAAPERQEDFRHKGKVFVGNLPLWARKPEIAEFFRQFGPLDKVELVRGHDDPERNVGFCFLYYGGDDPEAAAERAVEVDGVEFRGQSLTVRLDDGRKGRARAEDRARWVEDGVCREARSPWHKGREDACREFRRVLESRPEDWQAVVSAFEWIPKPSRREFGLMVVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCIEEMKSEGIELTVVTYSILIAGYAKINDAQSADNLFKEAKTKLVNLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDVYHSMMHGYTTIQDERKCLVVFRRLKECGFKPSIISYGCLINLYVKIGKVPKALIICKEMESNGIKHNNKTYSMLINGFIHLHDFANAFSIFEDMLKSGLQPDRAIYNLLIEAFCKMGNMDRAIRIFEKMQKERMQPSNRTFRPIIEGFAVSGDMKRALDTLDLMRRSGCAPTVMTYNALIHGLIRKHQVERAISVLDKMSIAGIAPNEHTYTIIMRGYAAGGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLIKQMKEDGVPPNIHTYTSYINACCKAGDMQRAENVIQEMTDVGLKPNVKTYTTLIKGWARVSLPDKALKCFEEMKMAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMFENDLTVDLRTAVHWSKWLQKIERTGGALTESLQRIFPPDWNSSENLEVSNSVSDGDSESCSDSDFSDNDEDHDVDDH >Dexi1B01G0025330.1:cds pep primary_assembly:Fonio_CM05836:1B:30411921:30412971:-1 gene:Dexi1B01G0025330 transcript:Dexi1B01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAVFALAILTHCSAVMGRAAPAIRSTGADTRNFTIPSAPPPSTTAKGPVTYVFGDSMSDVGNNNYFPMSLAKSNYPWYGIDYPNRKATGRFTNGKTIGDYMADKFGVPPPPPFLSLSLAGKDVLGGVNFASGGAGILNETGVYFVQYFSFDEQITCFETVKKAMIAKIGEEAAEATVNAALFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLITTLDRQLKARPSIGSSTLPSPRTAPAFEIIS >Dexi9A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:9A:1630366:1634960:1 gene:Dexi9A01G0003140 transcript:Dexi9A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARTTSSAAVSDKSSAVSSSVVVVDAGLGVVQPGMTQVRTPGRPCPLMRGTSEEGSSCDSGQRQQESNKARTREVWVGYCGSGLSSGYTSPHLSSAMREEETGNGARNGRILCGPDAMGWEGAEDNTANRGRFQGGANFGMFGCLELAGLAASPWAKSALANYQSSHNTPAEHLQIRRLYGIFPCLVGVEAADSGPCLTSGHKTGGAADCCEGGEDRRRASARCGEDVDCRESLPCAEYVNQNNAMLTTKLRRAPKNLRGAVDPPYVMMPPPGPTAPPRLGTGARIFLDLFQDLTGAILSVVGDVPLDSETGPLDPHPLFGPCSSILLRTAHEPFCCASAPRGLRSPTLLTREEDCNRPTADAQRRHSQARLATGSSRVVWGPWPHRHRPSGQCDIWVRSRRRSDHHHCLCSTALQVNSQDTQHKAIQPTEQGGGAKCKKKPTRGGVGLAAACANSQSSQLIDCYGDRWGV >Dexi1B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:1B:17284853:17285494:-1 gene:Dexi1B01G0012690 transcript:Dexi1B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCKSEGMAPAIADGFHGTRIECLCFGKARHTFRDIAYVHLGINVRDSFKTKISPDLSLAPLPAMADGSHRRRCFAGRSHPRFDPARPAAPPRSSRAWDAARRPRLARAGVPCRRSLASRHDELKLDLLHESACGAPRKEEVPARADSRSFISFAATRRQTSLPRLLLIPFSSKAPGSASARRARGGWRTRGKATLRGQIGRQLRSYLVLAF >Dexi1A01G0024560.1:cds pep primary_assembly:Fonio_CM05836:1A:31060665:31060973:-1 gene:Dexi1A01G0024560 transcript:Dexi1A01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRTTKDMAKDGVEVEGAQENENIVPGDSHKGVDGADDGVKENSDRRMVLVGEVDSVKGGEDEEDEFVFEEEDEVEQAPTKWMAIARFYSGQQIKTGFVQ >Dexi9A01G0047120.1:cds pep primary_assembly:Fonio_CM05836:9A:50342584:50346736:-1 gene:Dexi9A01G0047120 transcript:Dexi9A01G0047120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLRSPPPVPAALRRSRAVVRASSSPSSSSAVSSSSSASKARFVARRSESVPVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEANGCCIRLLSCKLEGSPLVEAQNDKFSVTAIESSGRQVLEQLLGVMLPRFLNQLVKDYQAWASGDSSRKPLGTGEI >Dexi2A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:2A:30395464:30396606:-1 gene:Dexi2A01G0018380 transcript:Dexi2A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGADNDWYDSIAHDAQMMEQMEDPGINAIASPLQLPRSQAPDQEPTAKEPNPKLSISKIWGLHPSELDPDEPGPSTRAQSRVAPLADDEVAKFDCGICLETLPIFDLFHGMSCTHKFCVVCMDKYIKGRTRAGEVPIPCPDPSCMEEGNDSILNPEDCKKSIDFDVFCAWTNLLTENAIPPNQRVYCPNRECGIMLEMVESTCTNKTPSKVPCPVCNHLMCASCRMDWSSDGSGQHDCTEGPDAELMKQLAAQHQWKKCPRCRYYVERTTGCDVMTCRRGV >Dexi3B01G0034380.1:cds pep primary_assembly:Fonio_CM05836:3B:37156587:37157924:-1 gene:Dexi3B01G0034380 transcript:Dexi3B01G0034380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLWLAVLCASSLTFTASAGLRLELIHVDAKANRTVSERMRLATARTHRRLASMSGGVVTAPIHWSESQYIAEYLIGVPPQRAEAIIDTGSNLIWTQCSACRFVAGCFTQNLTSYDPSLSTTAAVPMSCNDTTCSVGSETRCARDGQTCAVVTAYGAGAIAGVLGTELFTFGSQNVSLAFGCITATRLTPGSLDGSSGLIGLGRGNLSLVSQLGETKFSYCLTPYFSDAVNTSHLLVGDSASLTAGGAPVTTVPFVKNPSDFLFGTFYFLPLSGISVGQVKLDVPAAAFELRQVLPGQWAGTLIDSGSPFTSLVDVAYQALRAELARQLGASNSSIVPPPEGFDLCVAAAQGDAGEVVPPLVLHFGGGAGAGGDVVVPPENYWGPVDENTACMVVFSSAQGNATLPSNETTIIGNYMQQNMHLLYDLGNGVLSFQQADCSSV >Dexi3B01G0028200.1:cds pep primary_assembly:Fonio_CM05836:3B:24023070:24024528:-1 gene:Dexi3B01G0028200 transcript:Dexi3B01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNRSQEPQPRRSLGVDDESLQQPQSDPKRKGGWITFPFLGVAMMGLGVATSGALSNMVVYLIKEYNVPSVDAAQISNIISGCLSLAPVAGAIVADAFFGCYPVVAVSMAFSVLSLVMFTLTAILPGLRPAPCQQLSSGGHCEPASAGQMAVLYTGVFLMCVSAAGSRFNQATMGADQFDAAADRDVLFNWFFIFFYASSVLGSTVIVYIQDTVSWTLGFGVSMAASVVGLAAMLLGARYYRRPAVRGSPFTGLARVVVAAVRKRKVSVVTSGEVKFYHGRRRSGDGDDKSGNIAHV >Dexi2B01G0033280.1:cds pep primary_assembly:Fonio_CM05836:2B:40914655:40918637:-1 gene:Dexi2B01G0033280 transcript:Dexi2B01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPSPKTFASWTGGMEKASIDHDLPKILERWKISKLARSVSQPLFDIMETPVIPSVRSNSSTSSSRYTGISLESTTKETNIVTSFGKLNIKEEPEEASIPFSGEAVTAFEQLLMVCRQYKPATLAEVFSAYCKLGSIEKLGEGTYGEAYRAGRTVCKVVPFDGDLLVNGETQKRSEEILEEVLLSLTLNNLRSNRGSDDKEDFCNGFIETKDFRVCQGPYDPSLISAWEDYDTKRGSENDHPKDFTSEQCYIVFVLADGGTDLESYALVDYDEIRSLLVQVTASLAVAESACEFEHRDLHWFVLSSQPWGNILLAQDESSDTNHTVSFALQGKRMHARTFGLNVSIIDFTLSRINTGSAILFLDLSADPALFQGKKGDKQAETYRKMKQITQEHWEGSFPKTNVVWLIYLVDMVLHKMKSLALGAKVDRELARSRSGWRHTSRQEIVLATPFSVIYCWRTHNSLQCRSCRP >DexiUA01G0000780.1:cds pep primary_assembly:Fonio_CM05836:UA:2528045:2532613:-1 gene:DexiUA01G0000780 transcript:DexiUA01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMSVVVPGYGYDQQFSEEIHHQWHQGHMLRRTPHDGVRYVCSLCDAEIAAGAERYECGHGAFCGAVDKPKFHVECACHHYPPQGSRPAPPPLSTSARQPTPVAPAVLVFGDGALDVGNNNDLTGGEIGDPPRANHPYYGIDYPGGQATGRFSNGYNIADFIAKALGFEMSPPAYRSLPDLIPEKMQGFTGVNYASANAGIESST >Dexi7B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:7B:19563474:19564792:-1 gene:Dexi7B01G0012880 transcript:Dexi7B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQGMNTTRDERRRFPGVSSRPSSAAYVAFVHQPTKLTDHSSQLSVVLQF >Dexi9A01G0049260.1:cds pep primary_assembly:Fonio_CM05836:9A:51914799:51917743:-1 gene:Dexi9A01G0049260 transcript:Dexi9A01G0049260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLGPYVYYLYRQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRILGGIATSLLFSAFESWLVAEHNKRAFDPQWLSITFSKAIFLGNGLVAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAVTLVLPVVTNFLVPASSVKGGGISFGGSLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNKHKK >Dexi3A01G0027080.1:cds pep primary_assembly:Fonio_CM05836:3A:23737157:23739767:1 gene:Dexi3A01G0027080 transcript:Dexi3A01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTRSPDLGSGEPNQHSATPIPLLFSPLCSLYKEQGHGMPLYKDASAPVEERVRDLLGRMTLREKAGQMAQIERTVVSRRALSELGAGSVLNGGGSAPSECDSPAQWARMVDGMQRLALSSRLGIPILYGTDAVHGHNNVYGATVFPHNVGLGATRENVLACAKHFVGDGGTDNGINEGDTICSYDDLERIHIAPYLDCIAQGVATVMASHSRWNGERLHGSRYLLTDVLKGKLGFKIMIPYRFENFLEDLVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLLDMVGCKVVFSL >Dexi4B01G0017350.1:cds pep primary_assembly:Fonio_CM05836:4B:19647888:19651615:-1 gene:Dexi4B01G0017350 transcript:Dexi4B01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAPGTPSSLLLSPRRASSSFRAAAPRFRSPRCVLGSEQLRVVDGGKRKAGLESRGAVWTPKAPAPEARLAALPRDTPDSRMKIFSGTANRPLSQEIAAYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIYGQPVILDYLASKTISEDLVVVSPDVGGVVRARAFAKKLFDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACCTHAVFSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >Dexi3B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:3B:1970714:1972243:1 gene:Dexi3B01G0002980 transcript:Dexi3B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKATKGVPAIAAVLVAVLVVASTTAPRVRGEDQLVRQLSNGFTATHAAGATSAFEPLLYAPSGVFAFGFLRVGAASLDLAVFHLPSSFPVWRATPASPGDWSRPATLTFDDGSLVLTDPDAGVLWRTLDAIGDTVALLNTSNLIVRRYDTSVPTWQSFDHPSDTLVVDQNFTVSSPPLISGNRRFAFRLGKTFMALQMEFYGGRSTPTYWRHTALEAQPQNATEPPVYGRLDGRGFFGLYLAGGGGGEQKVDTLSFDTFVQNLTGVVFRRMTMDDDGNLRAYYWTDGGKDWISDYKAIADRCELPTSCGAYGLCVPGAAAQCQCLLDSDTAGTSPPCHAGEETADLCASGADGVEQVDFDVVRRTRVSVAYKEVLPSLETTNKTEAECEAACAGNCSCWGAVYNGESGYCYLIDFPVETMVYEADDRKVGYFKVRRLPSMKRSRMSPAVIAATAVLSLVLVGLTAAGVCSGYRLWERRRRKRAGMEQELVVGPGPYKDLKTMGSLTKP >Dexi9B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:9B:202027:205263:1 gene:Dexi9B01G0000290 transcript:Dexi9B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLVVVVLLLLLVTTALARCLLLVEAYQYNASSGPLVTSVIVFGDSIVDPGNNNGLPTLIKANHPPYGKDFINHQPTGRYSNGLIPTDLIAQGLGVKQLLPGYVGVDLSPEDLLTGVSFASGATGFDPLTPVVVSVISLEQQLLYFDEYRRRLVDIAGEEETEKIIGGALFVVCAGTDDVANTYFTTPFRSVAYDIPSYVELLVSGAEAFLRNVSARGASKVGFVGMPPVGCVPSQRTLGGGPGRDCEPERNRAAQLYNARAQEMIAGLNAEPGFPTLVVFLDIYRILDDLMERGDRYGFTETTRGCCGTGTIEVTGLCDSRFVSVCDHVDHYVFFDSYHPTERAYRIIVNDIFDNYIQDLL >Dexi2B01G0033100.1:cds pep primary_assembly:Fonio_CM05836:2B:40778229:40779672:1 gene:Dexi2B01G0033100 transcript:Dexi2B01G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTSAPKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLAEANGIRGGAAAASSRTAVFSDAELILSSSSPAARHHMPPPPVATGAESFVYSRSISADDGSGASDGGGSLQSLSAGYNTQTGDLPAASYLHDMAVVLPSVSSSSTLTSMAAGLSPATTTATDEQCNNNNNGGGGSFEMSTTQQSCSNNLPWLELGTSGGGGGAVDQYGAVLDELKWSDYVFDGYGGGGGQYQAGQSIYGGDSKDAVQFGDGSSWCLN >Dexi4B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:4B:427082:427531:-1 gene:Dexi4B01G0000620 transcript:Dexi4B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFPVGYSDVPKLLLHLLFLLGHLRRLSSCLLRLAGVDVDVADVHHPPRRPYYDDGAAAERLEEHSPAVRFDSLRSSSPVPEAGCCVCLGDFDGAAEVRRARGCRHVFHRGCLDRWAAHGHRTCPLCRSPLLPPPLLLPLPLQPPPS >Dexi7B01G0016510.1:cds pep primary_assembly:Fonio_CM05836:7B:22517207:22522283:1 gene:Dexi7B01G0016510 transcript:Dexi7B01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGELCRAGGWSYAAVWRFHPHDPRLLTLGESYCEDEAKTVVERMLNQVHIVGEGMIGECLVSGECQWIYDTTSHASNQTSHADNRDLFETIVVLPLQLQGLVQFGSIRKCSIDALLERTIKHMVFLQSVTKYAEKIKQADEPKSGVVLKDNPDAGKNGGATWAYEVAGKTMVCPIIIEDLSPPGQMLVEMLCEERGLFLEIADSIRGFGLTILKGMMELHDGKIWARFLVEANREVTRMDIFLSLVQLLEQNNTVRPTEQMAKVMNNGVPSFTDHQRSPLPIPVGIAERMQ >Dexi2B01G0035850.1:cds pep primary_assembly:Fonio_CM05836:2B:42796725:42799772:1 gene:Dexi2B01G0035850 transcript:Dexi2B01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKASIALFQLRTQNPMRRRAARHYNPNPSAGLIPLVCICAGLFRVLVVPTVPEGDHTEGSGWAGLPRRHGHWQRQVDLLQKFVFVNVQKLLFVHGTSLSGSYQIPPLVTKKTAVVVSPLLSLMQDQVMILKQKGVKSEYLGSTQTNSSVSSEAEKGMFDVLYMTPEKAISLPSRFWNNLQAAGICLLAIDEAHCISEWGHDFRMEYKQLHLLRDRLEGVPFVALTATATESSYLHST >Dexi2B01G0029570.1:cds pep primary_assembly:Fonio_CM05836:2B:37941888:37944221:1 gene:Dexi2B01G0029570 transcript:Dexi2B01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTSLPFLLYLPLLLLLPQLSHPGATPEPKQNLTLNMKPEPSSTYIVHVHHLAKPSHFATLGHWYTSMVATHSPLPVADHSTRILYTYDTVMHGFAVQLTGNEARRMSDAEGVSGVHEDRKLHYKTTRSPGFLGLDPAFGAWQDTDFGDGVIIGIVDSGIWPESQSFNDSGLGPVRPSWRGKCVGTADFNASLCNNKLVGAKAFTAGPFNLRDGYGHGTHVASTAAGSEVRDAGFIMFARGTARGVAPKAKIAMYSAGIVPSMSATTAAIDAAVKDGVDILSVSIGNPAPLPFYNDTVSIAAFGAERAGVFVVFGGGNDGPKASTVDNSAPWMTTVGAGTVDRVFPASLHLGDGTVLTGQSIYTMKANRANVVPLVIKRCSKKTLTPDHIKGKIVVCIFSLEDKHEDQDGVGIIVEILQRAGAVGLVQVAMPCWSPDDTYADIAQGANFPGLGLSYTSAKKLRAYTASEPYPVASLSFSCDTVINENRAPMVATFSSRGPNPFAPELLKPDVIAPGVNILAAWPANDPRLPKFFNTSYRLNFGTSMATPHVAGVAALIKKKHSDWTQAMIRSAIITTAATLDNTGREILDNGLVDSSDNAKVSAATPFAAGAGHVRPQLAMDPGLVYDSGARDYVDFLCALNYTTEQLRLFAPDMATCTRELPGGGAGLNYPSFVVIFDGRTDVRTPTRTVTKVSQEPERYEVTVAAPEHVKVTVTPAILEFKEQYEKKSYTVEFRSQATSPEPEWEFGHIIWENEKRRVRRLRSPIAFTWKTNN >Dexi9A01G0031660.2:cds pep primary_assembly:Fonio_CM05836:9A:36624484:36626506:-1 gene:Dexi9A01G0031660 transcript:Dexi9A01G0031660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNMEKNKAAKGSQLEVNKKAMSIQCKVCM >Dexi9A01G0031660.1:cds pep primary_assembly:Fonio_CM05836:9A:36624379:36626506:-1 gene:Dexi9A01G0031660 transcript:Dexi9A01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNMEKNKAAKGSQLEVNKKAMSIQCKVCMQTFMCTTTEVKCREHAEAKHPKSDVYQCFPHLKK >Dexi2B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:2B:27162317:27167473:-1 gene:Dexi2B01G0016850 transcript:Dexi2B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSEPALPAAFLCVPSPLLSAPLPGAAFSASPAPSSHHASFLPRPQRGGGGPRALSAPGPAFTAVSRLHRMWGEFARFVRLHGNQIAPLGFASLGLGLGGGEGGGGNAGGGGGGGGGGGDVDGLGEVEEAAARVEAPKKVLILMSDTGGGHRASAEAIKAAFMQEFGDDYQLCNLENATCFCREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSTEVEKRALKAGLKPSQIKVYGLPVRPSFVKPVRPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATAKALGDSLYDENLGEPTGQILVICGRNKKLANRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPKHIAKIVADWFGPKSDELRVMSQNALKLARPDAVFKIVHDLHELVRQKCFVPQYACAT >Dexi7A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:7A:25363540:25365327:-1 gene:Dexi7A01G0015520 transcript:Dexi7A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNPSAFVHVDARAAAGAMSRGQDPHALDRGTSAVLYYLYTSLPDLPVSADARLSALPASPSAKGTDRISALPSTVLREIVSRLPIKDAARTSVLSKRWLPVWLSAPVAIDDTTLRPRALVGRAPVRADSPVLAAAVTRILAAHPGPFRAVYLVRSYMDGHQQQLAHWLRLLAAKGVDELVLVNRPWPIDMPLPATILNITTLTRLFIGVWKFPDTAGLPRGGCGGGAALFPHLRELIVCCVSMENRDMDFLLAGSPVLETLGIQGYKKVALCLRLVGQRLQCVQICLSVVETITVVDAPLLERLILWEPLDDSCVRLKIDRAPKLRLLGYLEPGTYTLEMRNTVINTRKLVSPSTMAPSVKVLALSSEKTVEATGKLNLKFWQENGPIESMQSHIKLMSFREFRGDRSELDFLKFFMKNARVLEKVEIMSASGCFTSVHELQSKVAALDPDNWASDDCSLAVYIGSEGSDIWNFRRGLDVSVDDPFARY >Dexi8A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:8A:26360558:26360845:1 gene:Dexi8A01G0015290 transcript:Dexi8A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFTVAPLRTHMQHQHELHFHLYLHRNVNNLTVASTHHGGCDTFGNLVVNDWPIYDGHGHGAKVVAHAQGLHIHSSKEGNGTSNSFTILFQVER >Dexi9B01G0041680.1:cds pep primary_assembly:Fonio_CM05836:9B:42137407:42140621:-1 gene:Dexi9B01G0041680 transcript:Dexi9B01G0041680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPGVGLAILVLFAASLPALHADDLNSDAQALQALRSAVGRSALPSWNSTTPTCQWQGVTCENGRVVELRLPGAGLMGTLPSGVLGNLSALRTLSLRYNALTGPIPDDISRLSELRAIYFQHNSFSGEVPASLFGLKNLVRLDIADNKFTGKISPDFNKLIRLGTLFLDGNSFTGEIPKLDLPALEQFNVSYNNLNGSIPTKLRKMPKDSFLGNTGLCGGPLGLCPGETAPAPAGSPDAQPIAGGAADIGGGKKKKLSGGAIAGIAIACVFGVLLLLALLFFLCRKKKSSAARPAAAVEKGRDLGMEPLEVEPKGQNGSANGHNGAAAAAAVAVPAAAVAGAAKAGGGSTTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMENGSAVAVKRLKDVDLPEPEFRERIAAIGGVQHELVVPLRAYYFSKDEKLLVYDYMSNGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPIMAEVATRIDEIRRSSLDRQGGDSAGDGEGDEPSL >Dexi5A01G0025670.1:cds pep primary_assembly:Fonio_CM05836:5A:29488059:29490025:-1 gene:Dexi5A01G0025670 transcript:Dexi5A01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKDLVERYEAATHTKVWTDIRQERRAELEKVEQMCELMEKELRFMTVDDGEHYTVPSLELLEHNLEAAMHKVRSEKDRKIGGEITYLENIIRGRQEERYGLCEKLAHSQAQNDEEGGSSSLSNGLELKLGFN >Dexi1B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:1B:1496249:1497363:-1 gene:Dexi1B01G0001880 transcript:Dexi1B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAPMATAVRAGLLRVSCSASTSPPGPTKQSSTGGPNKQRLQVPRQQQGPTPPPLTSTAAASAAMAPLLLAALTPDDALAAGGEFGILEGRSFALVHPLVMGGLFAYTLWAGYLGWQWRRVRTVQDEINELKKQLKPAAAAAPAAVGAGGNAASSAPPPAAKSPVEIKIDELTEERKKLIKGSFRDRHFNAGSILLGLGVLESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAGAAALVPAMQKGNETARNLHIALNGLNVLLFIWQIPTGLEIVGKVFEFTTWP >DexiUA01G0020280.1:cds pep primary_assembly:Fonio_CM05836:UA:42265814:42266598:1 gene:DexiUA01G0020280 transcript:DexiUA01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFQANNIISPVESRLLLFVYMQVNVPNNCSYSIVTVKCGHCTMVLSMDLSPFHQARTVPDSNQPLRRDSVFHQLTTDSSSEISSHNSSGH >Dexi5A01G0021420.2:cds pep primary_assembly:Fonio_CM05836:5A:25264254:25272154:1 gene:Dexi5A01G0021420 transcript:Dexi5A01G0021420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIRASVSSIVASLRTPAPSSARPRLIRNAPVFAASTTTVVGMDAVQRLQSGFEKFKTDVYDKKPELFEPLKEGQAPRYMVFACSDSRVCPSVTLGLQPGEAFTVRNIAAMVPPYDKNRYTGIGSAIEYAVCALKVQVIVVIGHSKCGGIKALLSLKDGAADSFHFVEDWVRIGFPAKKKVQTEHAARHVDDQCDILEKEAVNVSLSNLLTYPWVKEGVEKGTLKLVGGHYDFVIGKFLAWEK >Dexi5A01G0021420.1:cds pep primary_assembly:Fonio_CM05836:5A:25264254:25272154:1 gene:Dexi5A01G0021420 transcript:Dexi5A01G0021420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIRASVSSIVASLRTPAPSSARPRLIRNAPVFAASTTTVVGMDAVQRLQSGFEKFKTDVYDKKPELFEPLKEGQAPRYMVFACSDSRVCPSVTLGLQPGEAFTVRNIAAMVPPYDKNRYTGIGSAIEYAVCALKVQVIVVIGHSKCGGIKALLSLKDGAADSFHFVEDWVRIGFPAKKKVQTEHAARHVDDQCDILEKEAVNVSLSNLLTYPWVKEGVEKGTLKLVGGHYDFVIGKFLAWEK >Dexi2B01G0033730.1:cds pep primary_assembly:Fonio_CM05836:2B:41156994:41157285:-1 gene:Dexi2B01G0033730 transcript:Dexi2B01G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIFTVGKWIRGTGQAMDRLGSTLQGGLRVEEQGERPPPSVRSVSLSPSFPAAGSLLFAVFVL >Dexi9B01G0032310.1:cds pep primary_assembly:Fonio_CM05836:9B:34552503:34553751:-1 gene:Dexi9B01G0032310 transcript:Dexi9B01G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYVFTKDEFRVLILGVDKAGKTTLLEKLKSIYLKGEGLPPDRVVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYEEAHAIIYVIDAATASSFEDAKSALG >Dexi8A01G0000130.1:cds pep primary_assembly:Fonio_CM05836:8A:118361:123340:-1 gene:Dexi8A01G0000130 transcript:Dexi8A01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASDRPFEAFLAATRGAIAHLHLPIIHIPGSNSSPKEHKRQEPEPDCFLHLHVVVTNFLHKPLRSFSRCLAKPKRRGGKHSRPLRDREPSAAAAPQQQLELLLCIAFDAFAHSLHVLECACKQKGAEFGIATRQFHQFEFLRKVTDGKREYFDGFLSNLGFAKVGALPPPAAIMGTPPDPAQVSDQEEDGGGIPGDGVDNANGTTQPSQKLPGRFNIPLSNVERLRSTLSSVSLTELIELVPQLRSRSSTSADGHPDKKKLFSVQDFFRYAEFEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYARDFLRRTRSNFFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSDGSISYGHFRNFMLLLPSKRLEDDPRNVWFEAATVVAVPPPVEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRVQASTLSFPELISKLPQIGLRGLYRGSIPAILGQFSRFALFHCLYAKSLVGCRIHMSFCSHGLRTGIFEASKLVLINFAPTLPEIQVHFTTAVPPCAKYASSTVQSVASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQQDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKVSRILNDSVFSPYLQCSISTCLWLLIISSITLKNAMPLTAKRQLNRIFFYTAAQHVMKRDLEPWEIVAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQMIVFSILRNEGPIGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKDESESREQVLGKKATVDSRG >Dexi9A01G0031290.1:cds pep primary_assembly:Fonio_CM05836:9A:36184357:36186041:-1 gene:Dexi9A01G0031290 transcript:Dexi9A01G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPALPFDETRWIIRVRRIFHEEIELSEDQPICVFDVPKPLLGTKLEAYIPQLVALGPYHHSREELCDMERYKLSAAKRTQTHLPDTDFQQLVEIFTKLEHLIRAHYHRHLNLSCETLGWMMAIDVSFLLEFLQTFNTNINQRAVQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQIPLFLLLKALETRCSSSQPAAQTVLSSMLIGFFQEVSTFRGIGRPCTEINRHAHLLDFLYANMVPKCAEESHGATGESGDDSCHEHDHIKRTLNSATELLVKRGSKIVSVIIDFMLRFLLKFIASLPCLSILGEPIEQLAQQASEPRGGSASDVQNKNTSPLLEEIAVPSITELAYTGVKFCPTVGDLSMIAFCPATSTLHLPVIGVDINSEVVLRNLVAYEASAGSGPLVLARYVELMNGIIDTEEDARVLRECGVILNHLKSDQEVAELRNGMTRSVRLTRVPALDMVIDELNRHHGSCWKVKARAFVRAHVLGSRELLACVAVVLLVLFIGLQAFCVVRGCVPVSYGMVAGRKIGA >Dexi5A01G0037310.1:cds pep primary_assembly:Fonio_CM05836:5A:38711338:38714348:-1 gene:Dexi5A01G0037310 transcript:Dexi5A01G0037310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASAGAGAGGEGPLVYKAWKGNNDLSLLLLTSGRDPGIIPRNAHPPEPEGFDGNAERNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNAEQITIWKAMAKTPASIALIIYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTHIPPSKNDFRGKVPQEHGLRPRATNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRSASPDLSRDALAVGGGLEEQGSSATNPGRTSWGVEAGR >Dexi4B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:4B:18758709:18760622:-1 gene:Dexi4B01G0016650 transcript:Dexi4B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >Dexi9A01G0044980.1:cds pep primary_assembly:Fonio_CM05836:9A:48579936:48581428:1 gene:Dexi9A01G0044980 transcript:Dexi9A01G0044980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAKQGMSPYEAARERTVLENKRKMEALNLRHLSAAIKPPKTPSPMKQKRRRIIEDAVVVPSPPRRSRRLANLPEVKYAEIAPHSADRMTRSPRKPTDLLYLVSRGSISMKARLEAARKAEELESQLDPEFPSFVKAMLHSHVVRGFWLGLPSHFCDTYMPKQDSIVTLVDEKDEEFDTNYLAYKKGLSGGWAGFAICHGMQDGDAAVFQLIKPTTFKVHIIRAASDEDE >Dexi2B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:2B:24453143:24462856:1 gene:Dexi2B01G0014620 transcript:Dexi2B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRFGDRAASRRRSVLASSPLDPRHQRRMEPQNQAKEWPESTCGLCFVKICSFEDPELEEKLVEADNEFQKKIQARNKIIEVARAKKVEHSIISSELKQLIAENNQYHGVVETLQNHLGRMFRDRDNILQAHGSVLYSAIEELEQRIKMLSDRVVSESITIREEKLFVKDIKDIEKAKSKVIYLYTNRAKLQDTMDGNEATQDKDKVIDAIRKDQQTIRSKIKVLKDELTIVDTEVASIQEDLDAAIARKDRAYESLAELRHARDAKNAPFFQNQLVLNKARDYASRGMVTELQEHHKTEVERFMAQWCHSKAFRQDYETRNLSSLNARQLDRDANSFKFAFALVPLSKTFLLDMGMEVVGAETAPAEVKVSDGEVNLFQEKESKATAKEREEAAVFGSETTTNAADMAPPKDAKDEWPEPKQTYAFYFVKIRSFEDPKLRAKLELADKEFQKKIQARSKLIEALRAKKAVRSGIISELKPLSAENKQYNEVVNEKIKEMEPLRNSLGKFREENNALRAQGAGLCSSIEELDQTIKMLNDRIVHESISLNEEKRLVKEIKDLEKTRSKVLSNTANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKAVDAEIASLQEDLDGATARKDKAYESLQELRAVRDAKNASFLQNRTVLNKARDYSSRNMLTELQELHKTEVDKFMTQWCESKAFREDYEKRILVSLNSRQLTRDGRMRNPDEKPIFIESQAPVPAVEPEPIPVKLPAKQVKEVPAPQAEAPKVEARSKGPVKSLKAKAALDADDDYEAEPPKEKAKPTEADVAKLKEIKRQEEIEKNRLALERKKKQAEKQAAKAAARAQKDAEKKQKKEEKKAKKKSGAADTDEPSESDAKSDEATETQAEEEAAPTSATLKKEQKESVRPRNVVSRSKAPPPRAILKRKKAQSYWSWAGPAAAVAAVLVALVAVLAYYQYYLPASTSN >Dexi3B01G0025400.1:cds pep primary_assembly:Fonio_CM05836:3B:20166963:20169878:-1 gene:Dexi3B01G0025400 transcript:Dexi3B01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPAGGEGKVVDSFGGLKAYVAGSEDSKAAVVLVADVFGFEAPLLRIFISGSKSVGWLMPALEFSEKGFEEAKPVIAALKEKGISAIGAAGYCWGAKVVVELAKAHEIQAAVLLHPSFVAVDDIKEVKCPISILGAEIDKMSPPELVKQFEQVLSANSGVAHFVKIFPGVSHGWSVRYSLDDAAAVKSAEEALADMIDWFNKNLK >Dexi1A01G0032050.1:cds pep primary_assembly:Fonio_CM05836:1A:36780969:36782620:-1 gene:Dexi1A01G0032050 transcript:Dexi1A01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSTGLHTPRPSALRSSCPSTRSSVGSASSSSAPAASKLPVPAAAPRDVASNAKVVAKCLAYDDDDDTLSAATLSLDAAREEDLEPLPELPDPDVSAEASSTSVISAAPDGDALAASADSCVTEVGGNPDGCGSDDPQQVLAAADFTNNVREAPLPEAINVVLGELHSASGLSPRSKRLLAALTEAAALELAPSATARRLRRAAFWGKVRVAVLAGTLAAVVAVDVALAGYLYARHANDRYHVLPPT >Dexi6A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:6A:24321106:24322340:-1 gene:Dexi6A01G0016470 transcript:Dexi6A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGITIAQWRKAGYQDQEGHEAFAQLLEAPQEEADAIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDLIFTDDVSFQVFMDHLQRLAVQ >Dexi4B01G0003800.1:cds pep primary_assembly:Fonio_CM05836:4B:2655862:2665013:1 gene:Dexi4B01G0003800 transcript:Dexi4B01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSAAMLLRSPSSLRSLIRLXXXXKPSLEARARSPSMPHPSAAMLLRSPSSLRSLIRLLQPPTPPLRRPLSPRLPGHALSARARFLSSSSSSSPTPGSLTDSLSASAALPSHSTPDAPADSDSWSVFDPVAGRIFVQGSPPHSSSTTAAAAEEEEEEDDDDEEEEEEDGEDGEVAGEQPPVKKPATARGAKAQTSWSSVVAARKPAGKAVKEKFTYVCSNCKEAEGQWWGTCPHCSAVGTMDKYVVGPAGPVPVAADDSAVGSGRVERSSWIPQKSMEMVPQSLQEVNKGVNRAAWRIPLSGSFGMEISRVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILEGFKVGESSPVVYVSGEESIEQIGNRADRMSITSSKLYLYSGTDIEVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEIMAGLAVAVILDGSRTFALEVQALCVSGSHRSGQVVGIPSKRADVIISVLMKQAGLKLQDSGIFLNVVSGIELTETAGDLAIAASICSSFLEFPIPNDVAFIGEIGLGGELRTVPRMDKRVMAIAKLGYKKCVVPKTSEKLLKPLNLDIEIVACSNLKQFINAIFRPQG >Dexi2A01G0000580.1:cds pep primary_assembly:Fonio_CM05836:2A:317490:318652:1 gene:Dexi2A01G0000580 transcript:Dexi2A01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILAVCFVLLVLLHAPMAAESRRHRHNHHNHGGDDDSNKRATHKLFVFGDDYADTGNGVSDPELGYKSRQWRPPFGMSDAAHAKQPSGRFSDGLVQPDYLAKIMGHRESPPPYTYDDWADGIDDAGLNFAVGGSVVLRTAATPKLGDQVQQLRSLIRDDTVTRGDLNGSVALIAISGNDYSSATAETFEAMMDTVVDGIADAASRLLDMGVAKVLVNTLQPLGCTPWQTRGFSNYTSCNGDGNAGCDRHNAALRDRLAGDGDVMLLDVNTIVMDLVAPRPGSALDQGRFEEELRLRPCCEATDPDAGYCGLDGGYSLCDHPEDYFFWDDAHLTQAGWRAVMQLLQGPIMSFLGISNLQHF >Dexi2B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:2B:28867058:28868502:1 gene:Dexi2B01G0018650 transcript:Dexi2B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDTWNMEEEKPIQPPTYGNLVTILSIDGGGIRGIIPAVVLTFLESELQKLDGEEARLADYFDVIAGTSTGGLVTSMLAAPNKKNRPLFAAKDIQAFYMNHAPKIFPQKRGLFGKIMRIFRSLSGPSYDGKYLHEVVRKKLGITRLHETLTDVVKNAKCNTMDALLSDICISTSAAPTYLPAHYFKTEDCHGNTKEFHLIDGGVAANNPVRYLSVIMH >Dexi9A01G0036460.1:cds pep primary_assembly:Fonio_CM05836:9A:40898228:40899523:1 gene:Dexi9A01G0036460 transcript:Dexi9A01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVVSALAQEGVSRASSYISAKLEDKASSAHNLARLEMALSQLEFALERIARVPITYLSLLRRVRMLKNAYAEGTALLNKYRGRQGEAGQAATPFRLLEWIGRARSISSSLLGLNKDHLSSGAVQMFEWYADCAEKLVADVETGCPLRRDTFRYPFVRHLLEDKTLWYERIRGSQRMRFHMMPLRLGDRGVEAELFYCHQDLERTQKNFCVWLMLRLSESTDVIGIAIKCLQLLTSQFKLAIESAVGELTLLPGLQDVSDSYAPPLDCIQDTYASRDTKYWRPDPTCCNADGTSNNTVSQNGVPEQVIAIGFSCWISAPEYTPRDARAPPPLYVEAFFAPHLSCAPNPEIMWKYGGKEEESTSGSMQQMEEVIRSNALDYLVHEQEPTDYAMFWFSEHGAAFITLRKTSNEKAWPKLRARGRSGGKRKR >Dexi1B01G0024150.1:cds pep primary_assembly:Fonio_CM05836:1B:29576626:29577092:1 gene:Dexi1B01G0024150 transcript:Dexi1B01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAVLARTASPLAGTGRRPSASVRPSASLSFSAASTGTRRRVGRGLSAAKVESRSAARTRAAPRGIVASSLGYAEQQN >DexiUA01G0015040.1:cds pep primary_assembly:Fonio_CM05836:UA:31506468:31508406:1 gene:DexiUA01G0015040 transcript:DexiUA01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCLRRRLRLGGTRTPPPPFVRRFTAREVEAATRGFTAVLDAAGGGGARGTAYRARFAGGLVATVRRAGAGEDGGKAAFYLELQLLARLNHRHLVRLRGFADGHHARFLVFDHMENRSLKECLHDPLRTPLDWRTRLQVAIDIAAALEYLYYFCDPPVFHVSVNSGNVLMDSNFVAKLSDVGVICQDMKLATTESFQEQVEQRRAGLVFEYGVLVLELVTGQSPGSDGELVRWVQEPGFAGTMNRMVDADLGGVYDARELRDLVIVARLCTRRRDDGDDGGGVVISIPQIVRYLQGKVERLGVAGNSFVVPFVNVVAYRTDCLMKKRLALQ >Dexi5B01G0029160.1:cds pep primary_assembly:Fonio_CM05836:5B:30411506:30414864:-1 gene:Dexi5B01G0029160 transcript:Dexi5B01G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGDGIGGRNITDAELERLQQALRILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEITHSRSSSKQSAKATSETMMEAVRESSASRTTSHPLFTFRNSKKASDLKTTSGHSSPQGPSLSSRMKPNDNVIYGECRSVDRAILDPAQTSVPSEQRPTNSGISDNLTRIWMKCIENCHSKTLRQLLYDHGNLASVKECEGTIVAFIAFGDSDIKFRAQRFVSSIRNSMETVLKCNVEVRICLMQEFLAGGLQHQAYLDETGESDVLSCSTNSERLKGVLNPSGAGGGSQSSNVPMIISDGNSGIHRMRGQEVSVEQLKISALDEQRLESAWLQTAEKHAPGMLNQARPERNQVLPQTGGQQRSSMGTIVPSRHVDKDLTNELKALKISDSHGPQKCQNVQTENGYAISPSLLHRNNHLANCDNESVVSESGAPGCHGLFPCWKTEKTKRRKGKGQTRLRSS >Dexi4B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:4B:4028546:4033236:1 gene:Dexi4B01G0005680 transcript:Dexi4B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSSPPPSPPLPSPPSPPPPPDKTQDSVDLLVVLAWVGVAVVVVAVGATLWYICNYVKKKRKSATTSTGVEMQNADAAWRAPGREFFPARLRREVEKLSSPSYPAAGATSPPLTPPWSTPSASTTSSTPPSSTPPSPNCGRPAPSPSPPSSPEAEYITRTRTFSGGSAPSPPPRPTTASPGDDDYGCIPSISPPHYPRRPRSCLHERVEYIFGGSVSPRAAAAPPAQPDDEEELVMQQLPEWLREFAMWYKEQVKEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEAQQCSRVLVVNWMLK >Dexi8B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:8B:24078895:24079428:1 gene:Dexi8B01G0013690 transcript:Dexi8B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPEIEELEAQEPPPSPASGSSPTHATAAAGFRLTGNKRGRAAASSEAMQGLREVAAPMNGSDCCCAICFHDMDAGDVKLRAMPCSHVFHQDCIFKWLRRSAACPLCRHQLPTEAAAAAEEEEVQQQQVRRIWILGEELDHWHNLVDQQLERIRFESERLDRWINLVDVLLQTRS >Dexi9B01G0031070.1:cds pep primary_assembly:Fonio_CM05836:9B:33507836:33512191:1 gene:Dexi9B01G0031070 transcript:Dexi9B01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDLNGDIICYQKRPKPQDKYPYVRVFFQHVFDEKLFRWVDDDGNESMPGRAATAGGEDNGSGSRTVRDCCSPPMSRSTTVAGEGRWVDGFPSFVKGNILTSSPLLEYSEACAIVYEWLPNRNLEDNMVYNNDSPPLLWHRRAEIIAEVCSALLYLHSNKPNALVHCDLRPCNILLDADYRSKLCNFGSSNPFLEPDACAANLTARLPYLDPEFLITGELTPLSDVYSLGVIILRLLTGMPPLSIAKKVAAALESDILHMLIDKSAGDWAYTQAKQLALVALSCVEMSKEKRPDLLTKVWAVVEPMIKRPPAASWPYLQPDSDGNRAPAHFFCPILMEIMNDPQVASDGYTYEAEAIRRWLDGGNNRSPMTNLDLPNRDLVPNHALRSCIQEYLKPQRQQGQPGS >Dexi3A01G0035440.1:cds pep primary_assembly:Fonio_CM05836:3A:40729279:40729768:1 gene:Dexi3A01G0035440 transcript:Dexi3A01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGGSNPNPYVTGCFSYCQGINDTEDGAPCTGMGCCKAPISSNLTDFLVILSNLSSVWNFNPCFYAMVVEVGWYSFRKQDLVGHRFINERATRGAPIVSDWAIRNGSCPKEGTIEPKDYACVMRVILISAEDAKVTLY >Dexi2A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:2A:29026179:29034069:1 gene:Dexi2A01G0017200 transcript:Dexi2A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGGSHSFAHPPSAMASRRASNGRSPLVRKQSQITAFFSSPTPSPSPSTRKTGASKPSPSPLNPSAARRPTLAAASPSPPKQTPPPPAEQEEKRDRKERDAAPAAVAAAPAAEVVGRRLRVYWPLDDAWYEGRVEAYDAGSHRHRVKYDDGDEEQVDLEKERFEWAAAGEESTPPPARKLRRLRRMSDTAVAKSPAAVEDNEDGIGVSTVAEDLKRNIVAEDDLEVVELDDEEEIVSVSSRKEKNRNSLGVSGSAPSTLGSGLTSASGSTISKKRKKLDVGSLDCAKKFSFEAFNTTRKVDPEVPVSSGQKLQTTKNANTALTGEAAERFGHRDVEKFKFLGEGRKDAKGRRPGSPGYDPRTLFLPSHFLNNLTGGQRQWWEFKSQHMDKVLFFKGEQPHCGFPEKNLSVNLEKLAKKGYRVLVVEQTETPEQLELRRKAMGIKDKVVRREICAVVTKGTLTEGEHLLANPDPSYLLSVTESPQLSSKKSEDTCTIGVCIVDVSTNKFIVGQFQDDPERHGLCSILSEMRPVEIIKPGNMLSPETEKALKNNTREPLINELLPSTEFWDAEKTIHEIKQYYSSADKQNNVDDVKDSVDHLPNLLSELIGAGDKMYALSALGGSLFYLRQTLLDEKILPCAEFEPLACSGLINTIQKNMILDAAALENLELLENIRGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYNRRAILRRQSSIATFKGVGHDYAIKFRKDLSRLPDMERLLARLFSSCDESKRSSSVVLYEDVSKRLLQQFTTALRGCQQMFHACSSISTLIRTEESQLNDLLSPGKGLPDVSSILDRFRDAFDWSEADRNGRIIPLEGCDPEYDAACNAIQEIEPTLKEYIKEQRKLLRFASVNYVNVGKDIYLIEVPESLKGSVPVNYQLQSTKKGFYRYWTPELKELISELSKAEAEKESRLKDILQNLIQLFVEHHCEWRQLVSVVAELDVLISLAIASDYFEGPSCRPTIKESNGPDDAPTFHARNLGHPILRSDSLGKGSFVPNDVKIGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGADVPAENLELSLVDRIFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSFVALDELGRGTSTSDGQAIAASVLEYLVHQVQCLGLFSTHYHKLAMEHKDTKVSLCHMACEVRKGEGGLEEVTFLYRLTTGACPKSYGVNVARLAGIPASVLQRANEKSSDFEANYGKRHSMTKDKDACEQSEDRFSAIRDLFRIVKAWPCHDDQATSISMLREVQKLAKVQAVEG >Dexi5B01G0039800.1:cds pep primary_assembly:Fonio_CM05836:5B:38483359:38486120:1 gene:Dexi5B01G0039800 transcript:Dexi5B01G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIDTRPTSAGSRGRPAPDDDREEGEIADDSSAPAPPLHPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLIVGSDFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTMWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANETAQVSIGKQWKELLDYKDSIGFIVHEDAKKMDKGPKNRYTI >Dexi1A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:1A:6303581:6308674:-1 gene:Dexi1A01G0008210 transcript:Dexi1A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPAAAGPPGRAEPDHHDAPMLLRVHVIEARGLPAIYLNGYSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTTIMETEDLSLGTKWYQLQPRSAGKFRRKRRGPPFVRMLIKLRRNLSMIYRAAHTDFWPEVAELQGTSGFHIEPWKHENNENCLKRTLTYTKAASKLVKSVKATEEQKYLKATGNSFAVLSSVSTPDVPCGNCFKVEILYRITPGPQLPSEEQTTQLTNIIYMFPVSMLGSDHGIKAHGDGWLLTIALIEGSGVVGAGTPGMPDPYVIFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVIVHDSDGPSNEAPIGQTEVNFVKNNLSDLGDMWLPLDGRFPQGHQPKLHLRIFLNNSRGTEVVLNYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRITGFYSNIFGRKTKFFFLWEDIDDIQVVPPKLATVGSPSLMIILQKDRGLEARHGAKALDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSSGLEQKGETIDKESELKELPSEEGARLANDDVKMSEVYSAVLSVDVSALMEMFSGGLLEHKVMERAGCVDYSIQLKYQMTSTPLRPNTCSLKVLLGIAWLKGAKHQKKAAKNVMVNSTNRLREIFGEVEKEIASRKGNLSDTIGSSYE >Dexi2A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:2A:22640786:22641748:1 gene:Dexi2A01G0013880 transcript:Dexi2A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHHLLLCKVLLRRDTTWVTLFLKKNLKSSWLVVMMLKHKRLPKKLPRRPRFKQTILGTNFYLRWAGGKGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Dexi1A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:1A:3200944:3201291:1 gene:Dexi1A01G0004360 transcript:Dexi1A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDINSSGRPPDSKLWDRLSRKRPLSMPRDAEMCPSRSFDVTETSATPSHVQQSVLFFHDKPRPPSCESPARNCRRELISFSEQELVGGRKAARQNKSARPKNGM >Dexi3A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:3A:11543016:11547681:-1 gene:Dexi3A01G0015520 transcript:Dexi3A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLGVERLGTGDGRAADQVSGGAVGFGRAPVRAPAMCASAMQFSSVFPLEGKACVSPVRRGGEGYGTERVRIGDSRSIRQNRALRRICFGARGSANGAQCVLTSDAGPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEQMKVDTSFLNFAIDDPSKFPYIASMGVYVFKKDVLLNLLKSRYAELHDFGSEILPKALHEHNVQAYVFTDYWEDIGTLRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNSEGVQEADRPEEGYYIRSGIVVVLKNATIKDGTVI >Dexi3A01G0016960.1:cds pep primary_assembly:Fonio_CM05836:3A:12863433:12864121:-1 gene:Dexi3A01G0016960 transcript:Dexi3A01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPGGEDRISALPDHILLDILERLDLHTAIQASTLSRRWAQLPRSLSRLRIHAAHFLPRDRKRAQSIGHTVGNVMDSGNTDCLEFTILADIGHPEYEQCLLFGERLMSFSQSCPVAFKWLTRLILEYITFGDSDIHNLLSTCNKLELLSLTYCDAVINPVTGEEPILTIDAPQSGLLALEVTTCGYARIDLIQ >Dexi9B01G0046300.1:cds pep primary_assembly:Fonio_CM05836:9B:45569248:45570813:1 gene:Dexi9B01G0046300 transcript:Dexi9B01G0046300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQLNVLVSLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPTSSTPGSLPPSVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGHTAKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIVAIIVSAAFRNAYPAPSYAVDPVGSLVPQADYVWRVILMFGTIPAALTYYWRMKMPETARYTALIAKNTKQAAADMSKVLQKEIEDEHEEVQRQVVAGDNWGLFSAQFVRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFTKVGWIPEAKTMNAIEELFRIARAQALIALCGTIPGYWFTVALIDIMGRFWIQLMGFLMMTVFMIALAVPYEHWTHPAHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPKKPDHGYSPGIGIRNALFVLAGTNLLGTVMTLLVPESKGKSLEEISKENVDEEAA >Dexi2A01G0006700.1:cds pep primary_assembly:Fonio_CM05836:2A:6274728:6276473:-1 gene:Dexi2A01G0006700 transcript:Dexi2A01G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGADDTPAAARVVMMPLPFQGNLTPMLQLAGALHARGLAATVLRTAPFNAPDPARHPGIAFVPVAVDFPEAVVGSEDNMTKVFDLNAALDASGCLSDALASLLEKEAPRRPACLVTDAAFPAAQKAAMDIGLPWLVLHTCSAATFRLFMSYHILYGNGYLPKRESNLCLPVKELPPLQVRDLFDPSELPNQENVQKIMNLWNQTKMNSATIINTFEDLEGPELEMIRGEVTDNGTLVFNIGPLHKLSPIGGTKTSLLEEDCSCIEWLDTQAPCSVLYVSFGSLAQLTQEEFAEIAWGLANSEKPFLWVVRRGLVLGVEKPELPEGLERALLEGRGKVAEWAPQQNVLAHSAVGGFWTHNGWNSTLESIYEGIPMVSRPIFGDQFSTGRYVEAVWKIGFLLDGVLERRKIERVIQRLMVEKEGLEARERAKDLKKKAIMCLESNGSSQMVLDNLVHHILSL >Dexi5A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:5A:23439499:23440092:-1 gene:Dexi5A01G0019480 transcript:Dexi5A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTEVGDDTACEDSAPDSYPPRVAGVALAGAGVAGGGEVGGGVGSAELDGGGVVDAGGLLGGVEGAEPDADAAPRVADLRRELAPRPSPDAAELGARRRARPGAALGEPPPRAAAAHRRASAAGAVEPPHAVAFPGAALLRHGSSRWGDKGGSSRTILPILREPHPSSSPEESVRSGSSQKILTVRDRDMARR >Dexi2B01G0032220.1:cds pep primary_assembly:Fonio_CM05836:2B:40156075:40158485:1 gene:Dexi2B01G0032220 transcript:Dexi2B01G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQPVGAWKNAGMESTYATEQSNSKHTSANASSSPTVSSDTGPETLSSSGPTESFISSTNGKADHPSSANAVEVNSMPLNVSNGTGTALRDEMKPKEDHTNYQTDMAIKSRRKEDSEPTPESPYKGLVDTAAPFESVKEAVTKFGGIVDWKAHKAQMVERRKLIQLELEKIRTEIPLCKEELEAAEMAKSQVVDELKHTKRLIEELKHNLEKVQVEEAQAKQDSELAQLRAHEIEHGIAGEASAIARTQMEVAKERHEKAVTELKSVKEELRSIQEQYATLIDERDTAIKRSEEVISAGKEIEKRVEELTFELIASKGSLELAHAAHHEAEERRIGAALVKEQDCLGWERELRQAQQELQQLDSKLASNKDMQLNIDANLRKLISLSSELSAYVENKLTEEAEGASKEHEPGDSRQISNPTKEALASKQKELQEVKANIEKAKAEANVLRFAAMTLGSELDNEKASLVSLQQGENMAYIAVSSLEDELNRTKQEIESVRSKEADAQEKMVELPIVLQQATKEAEDAKVAANLAQEELTKAKEDFKQTKAAAATAETRLSAVMKEAEASIASERLALAAVQALQESEEARDVKDSPRRVTLPLSEYYELSKRAHEAEEQANERVAEALAHVVSAKESESMSLDRLKEAYEEMNEKKENLEIALERAGRANEGKLAAEQELRKWRADHEQRRKALEAAKRAVNPLNGPSRVFVEQKDPYQNEQESKLQMSGSSYDGLVSNQKQQRKKSMFPKMGSVLSRKTRAQT >Dexi9A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:9A:9270922:9273588:1 gene:Dexi9A01G0014130 transcript:Dexi9A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSPSPPPAPRTTPAEAELEALRLRRLSDNLERLLDPAFLDCADAEVALAAGKGGAAVGVHRCILAARSAFFLDHFASLPPPAAVGEKPRLNLADLVPGGCHIGGDALVPVLGYLYTGRLKPPPQEATVCMDDACGHVACRPAIDFVVESMYAASGFQISELISLFQRHLSDFVGTALDEDVVPIVHVASTCKLQDLLNQCIERIAVSTLDSRYLEKEFPDDIYGKIKEIRHSAFQDDSENAVLDPEHEKRVRNILKALDSDDVDLVGLLLKESAVTLDDAFAVHYAAAYCEPKVFAELLKLESANVNLKNNSGYTPLHIACMRREPDIILSLVERGSSVQERTLDGRDALTICKRLTREKDCNRKLEKCEEKSKPYLCIEILEQELKRKSIIFDPISMEESIATPLLVDNFHVRLINLENRVAFARIFFPSEAKLVMRIAQADSTEEFAGITNFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPNCSDVLDNFLNEESTDLIFLETGTPEDQQVKRMRFSELKEDVRKAFTKDKANVQAIASSASSSSSPRCEGRSRQSNKRSRASR >Dexi9B01G0024940.1:cds pep primary_assembly:Fonio_CM05836:9B:24133495:24136132:1 gene:Dexi9B01G0024940 transcript:Dexi9B01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVCLLTGLAWAAQSPGGSDLSSREKSRKKNERTTAGSTQLANVEPHTGWCASSPLLQQKACAHPQCMSAASPGSIVSASAAATSSTSSRTTAAEQDGSGLLGLHSGEEPDER >Dexi5B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:5B:11637528:11641431:-1 gene:Dexi5B01G0014790 transcript:Dexi5B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAAAHGSASNGGEYSPKPSKPLSWISRAARYAAAEHRPVFALAGMLFAAALFTFSSTTSTSSHAYPAESVGLNHLAVGGHPSFHESVGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKGNVAHHLQNPRFEVIRHDVVEPILLEAFTDANTSVLIIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPEARIEFRQNTADDPHKRKPDISRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEGSTETAGGLS >Dexi2A01G0009010.1:cds pep primary_assembly:Fonio_CM05836:2A:9054047:9056438:-1 gene:Dexi2A01G0009010 transcript:Dexi2A01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAPSSSEGRWSRVASSLPVRNVQDLAACGEELKAETLQRYIRMDVQDGEVLVERSGEVPVIDLGRLLDLQFAEQEADRLRFACEDWGFFQLVNHGIPEEIITNVRSAIHKFFQLPLEIMSNGKYKSIEHRVTINANKERITVSAFHVPPLGGVISPVRSTTEEKILYKTMGVEEYAKIYLSNKLDGKRALDHAKLL >Dexi7A01G0012740.1:cds pep primary_assembly:Fonio_CM05836:7A:22926432:22926863:-1 gene:Dexi7A01G0012740 transcript:Dexi7A01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDFGASMLAPSDKEQYVTMVQEVLTGQEPLKLDGPETKRSLSSNFLIAMKENNLDPILPSHIKGQESNELIRGLAQLAKQCLDMSGINRPSMKEIVDELGRLRKLSLHPW >Dexi5B01G0037440.1:cds pep primary_assembly:Fonio_CM05836:5B:36948194:36951814:1 gene:Dexi5B01G0037440 transcript:Dexi5B01G0037440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAELKAGKEKDVVRLERESVIPIMKPKLIMKLAYLIEHQSDKEEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGSKKLQQQNFSSEEIDTLEQNFLSYFFQVMEKSNFNIVNDDEVELAHSGQYLLNLPIKVDESKLDNKLLSKYFKEHHHDNLPDFSDKYVIFRRGIGLDRTSNFFFMEKVDTIITRAWRRFLEKTRLQKLFSRKKNGKQKMDSKKNDDLASKRDDKELYVERIRLETMELSLRNLIGKVTIQEPTFEEVIVLYRKKSSKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLISSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIIAYYILMENGKATSDDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRVG >Dexi1A01G0019240.1:cds pep primary_assembly:Fonio_CM05836:1A:26410473:26414884:-1 gene:Dexi1A01G0019240 transcript:Dexi1A01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTPLLRRLLAPSPSPTPSTPLAGAASRRTVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVHGDMPTVPAEVIESIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYAALVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKLVAKPEQFDVMVTPNLYGNLVANVAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNEKIVEKKRANPVALLLSSAMMLRHLQFPSFADRLETARERREEAEEAEAAAADSMDLDADAPRPSKLRGMPSLSDPSKPASFGQPTYDGVIAGRVSWRRWKEPRTRRALAVVVSRKPTPLEQRVREK >Dexi1A01G0007690.1:cds pep primary_assembly:Fonio_CM05836:1A:5921235:5923765:-1 gene:Dexi1A01G0007690 transcript:Dexi1A01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSRCDLSGNIPEAFGNLQTLQYLELSNNQLTGPLPSSLYDLKILKEMVLERNNFSGQLSPAIAQLQNLTKLLISNNNISGELPLELGSLKKIEVLGFRQNRFDGSIPEAFGNLTRLIYLDASRNKLTGSIFPGISTLLNLQTLDFSSNSLVGPIPNEITHLKSLKRLALGSNNFTGGIPKEIGNMKQLEQLSLSKCNLSGTIPRSIGDLRSLTELDISGNNFNSELPASVGNLGNLTLLMANSAKLIRSIPKELGNCKKITLLHLSFNAFTGRIPVELGGLEDVRHFEVEDNNLSGYISDLIQKWENVQHVNLANNKFSGSVLPTICKAKLLQTLDLHSNDLTGSIEETFKDCRKLVHLDLQGNHFTGTIPEYLADLPLRTLELSYNYFTGVLPVKLLESSSVLEINLNNNKLTGHIPESIGKLHSVQKLKLGSNCLEGPIPQAVGALENLTEMSLDGNRLSGSIPQELFNCRNLVMLNLSSNNLIGPIPRSILQLTSLTGLVLSQNQISGSIPGEICGGFKNPGHPESEYVQHHGLLDLSYNRLTGGIPPAIKDCVILQELHLQDCTASGICAADSINHRGGYAPYMEAVEKQVTASSTCQSIRGYS >Dexi8A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:8A:28906213:28911440:1 gene:Dexi8A01G0017170 transcript:Dexi8A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHRVVGGPLKDLGDAIKRAHEVVTACQGRNILCLFCKAGKLAKKLSQGVLRDGLKVAIKEFQDPPQFLVGRLSAKLRIASKLQSKYIEASGYNKYIIRVQGYGHECKWGHDSLETHIFLVEDYCPNGNMDTIIFGPYRLHWSSRFRIILRAWNVRNNQQMDVTFEASLFEESELPELTRCLEIGLLCTQFEQAERPTMAEILDMLNGKKGLTIPKQPEYTKKKGL >Dexi3A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:3A:322522:328719:1 gene:Dexi3A01G0000340 transcript:Dexi3A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAVDARLSLFASRLSHHSFGDDDLLLLEAALSTGADVPALLATRSEARRLLQQHAPQAFAAPPPPLPDHHARSLATADFFARAFALVGDVQALLLRDAKYSGDHHLQVSHEEWLTFAKDSLHNGFYTIASKVAIMAGSGSSSGSSSPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQHDAHHAAIASSPSSPSQHLKQQQLMEMECEAAAYGSLLMQNGRLMNSTAAAHHQQMLGSLGSAGNSAMMLQEITCLKKESLCT >Dexi5B01G0005260.1:cds pep primary_assembly:Fonio_CM05836:5B:3487272:3488108:-1 gene:Dexi5B01G0005260 transcript:Dexi5B01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDMAGRNIGVAVDFSSCSKAALRWASTNLTRNGDKLILIHVNSSFQNEQGTVHLWQQSGSPLIPLAEFSDVTKTYGVSPDKETIEILTKVAHQRGIEVFAKILYGDPAKKLYEAVDLVPLSCMVIGSRGLSTLKRALMGSVSTYIVNHAACPVTVVKENM >Dexi5B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:5B:2788363:2788602:1 gene:Dexi5B01G0004100 transcript:Dexi5B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRDILNNGRINSSKIIQGEQRNAMQNSSSRCIEWEAHSRRATGLTLAFLSAAAKELGCPLPC >Dexi4B01G0016840.1:cds pep primary_assembly:Fonio_CM05836:4B:18966092:18966424:1 gene:Dexi4B01G0016840 transcript:Dexi4B01G0016840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGSGIGQTTAHTCRGAKSRPAQENQRSNSTTTTASATPPHVGLTGSLTYGLVASKNARSPPPLRDEGEEEEEEDDDAREVGRPPDEKRSAGQAGRAVTHAAGRTRLE >DexiUA01G0009510.1:cds pep primary_assembly:Fonio_CM05836:UA:18716268:18718410:-1 gene:DexiUA01G0009510 transcript:DexiUA01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGERILVDPLLLLLPHPLEADAELEANNKPLRGVSDYRGRPVWRRSSGGWRSALFVVAVEIAGSFAYFGISANLITYLTGPLGQSNASAAASVNAWSGTASLMPLLGAFLADAYLGRYRSIILACTLYVLVSQLRSIRPPATNGMHDAAQGFGLLTLSSTVPALRPWHLPCRDGDLSSSPCQAGWAQVGFFYVSLYLIALAQGADKPCGLAFAADQFDAEHPGERASRASLFNWWFFCMAIGIAVAVSVVGYIQEYVGWGVGFGVLCAMVLCAFAVFLLGTPTYRLVVVEQHEEEESPFVRLARGLRRRLLLNKGHQHPLELEQEQEDARCVLRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGGGGGILDLDLELPPAALQALGPASILLFVPLYDRALVPALRWATGHPSGLSMLQRVGAGMATSLAAVSVAALVEARRLATAREHGLVDDPAATVPMTWAWLVPQYALMGVADVLAVVGLQELFYDQMPHGLRSLGLALYLSVMGIGGFASSLLISLIDALTSQGGAAHSWFADNLNRAHLDYFYWLLAGLSAIELALYLAFARSY >Dexi3B01G0026670.1:cds pep primary_assembly:Fonio_CM05836:3B:22114928:22115539:-1 gene:Dexi3B01G0026670 transcript:Dexi3B01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAGQETTKAPRITATGGDGVSTRASVAPPVEEQQRRRRRVPPPAAAAGVAATATATTGGSPAEQRCRGEEEEDDEQVERFYTLLANIRALRGLYSAGDGPMGGGTADGRGRKRPAREAEEPWRPAFRMEDFVDGEEVAGGARCGAVTTKQGGAAGDGDGVARTPAAAMARAAGDAHEGDEVAEARGRKLGRRVAARG >Dexi8A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:8A:28302225:28302839:-1 gene:Dexi8A01G0016640 transcript:Dexi8A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGEDKKESKAMTAVSIAGRIIAMGLAVAAAVLMSTASQCTIYYSYGTRPRTVTYADFPPFVYLVIAAAMAAFLEGIAIFLAVWKKGKDNKATVLMPLLGAAVPALLYSAAGAAFAAGADLSYCSAYGKRVSMCAGSAAGGSSNFCSQVHIAVILSLAAAVVVSVAEVVRGIGGAAGGGGGSDSESSSSSDSGGCDHGCHHKH >Dexi2A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:2A:13974971:13978656:1 gene:Dexi2A01G0012010 transcript:Dexi2A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLLLGDAAGLGAHLAIRRRRTHLYLRSWGPRRLGLGAAAACVSRSRGRRGHVARFAASASGGGDEPGELSEDEAQREWEAELNRRLKEAEEMEELERTAEELQSQAAAEATEESEEEKRERVRRELQKVAQEQAERRATANQMFELGQKAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRKMIAASNQVQPSPNIFGDFSFLRLPSEWKKSAWVIVTLWILLIGTAIYLQT >Dexi1A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:1A:28442510:28444381:1 gene:Dexi1A01G0021710 transcript:Dexi1A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTRYPPGMGNGRGGNPNYYGRGQPPQHPQQQHHHQHQQTSGTHHQQQYAQRQPQHHNHNHHHLQQHHHHNHHQQQQQQQWLRRNQIAREAAGAAGISEPKALAPSTAGDGVDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQGAF >Dexi5B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:5B:5603827:5605173:-1 gene:Dexi5B01G0008310 transcript:Dexi5B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWRKMGKPKPKLPAGAGAPVSPAAPLRRSQRLVSAAAEPSGAVLAGGSGKLSPIRLLGKKRRFHFCANAPAWAAICCGGGDAKQLDPAPAQDVDWANLGDGPAGLIADLLLANDVADYVRFRTVCQPWRRCSPDPRAGGLDGRFLPRRWIMLDKAITANPRCHRFLNISTGESIRMDLHELAEHKFLALTPEGLLLLLHEPTRIIRLLNPLTRQLTNLPSVASLRSMEEWFGIQVYGVGLADASTVAVCFSHPRVLAVAKPGDVCWTVVHSGYLHSTLPYAGRFYCCVGKRLMVLNTTTDQQPPRLMVAAERSSRIYFSQMSDSLHLVDNAGELMMVHRSLYQDSEHNYKRKYQLYRVDLDAGAFVPAKGFNGRAIFMGCRRTISLAAETFPSISADTLYLGYDFDESKMIDEYNDLADDSSDETHPFSIVDCLSHCIQRNGEELA >Dexi8B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:8B:21177442:21181142:-1 gene:Dexi8B01G0011920 transcript:Dexi8B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTEIIPSSGQGSETAPLDSNAETEIVNPASDGDDSNESSSQRNRTKVSTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDNEPAEVEHQAATGEALGPSVFIQAKTNATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEVQQDQDVHVPPHSQLARNKERPYENVGQDFLVKKSGPREYYNETCKKSFTLSNGILPFRNADHLIFPIYHKGHWFVFIAAIRDGYFVFLDSVYGEDDPYQRQVRSVIIPNFIWAWKEYIAFDCDFEDFVTHYAPVPKEKNDLWTMNDNGIFVMKFLELWDPYADMNSRFQAANVNEARIKYVREMVFTPHNRLNSAKDLLDNHIAMVTSTEEESSDDFKIFCEIDLS >Dexi9A01G0037920.1:cds pep primary_assembly:Fonio_CM05836:9A:42176415:42177089:-1 gene:Dexi9A01G0037920 transcript:Dexi9A01G0037920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKASAMAVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDDFILNYFSSSLDEADGSA >DexiUA01G0011590.1:cds pep primary_assembly:Fonio_CM05836:UA:23132752:23140319:-1 gene:DexiUA01G0011590 transcript:DexiUA01G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCCEPLDKVKAEGITFGKVACLARCSGANVQNQSSSEHPGQENGGGGVPDKYGGGGVHVHQPSHEGRQRSGVTAHNMKALSEMYLLSTCDVLVTSGFSTFGYVAQGLAGRRPWVMERASPFDEWKEGQVFAPEPPCRRAPSVEPCFHSPSYYDCAARKDVELDKVRPYIRRCVDVSWGIQLVNESTSG >DexiUA01G0014980.1:cds pep primary_assembly:Fonio_CM05836:UA:31325778:31328106:1 gene:DexiUA01G0014980 transcript:DexiUA01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESEDHGSLLEKINEKIHEYKHSSSSSSDSDDDKKPKKSKKKKLFGRKHPLHHVLGGGKAADLVLWKNKQTSGSILAGVTVIWLLFEGIGYHLLTFLCHSLIVFLTVWFVWSNAASFVNRSPPKFPEVILSEVQCLKIAHIMRKEINEAFYTLRNVASGKDLKTYLMMVAVLWFLSIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDQVDVVGEKALIEIKKQYKVIDAKLLSKIPMLSEKKQH >Dexi3B01G0015760.1:cds pep primary_assembly:Fonio_CM05836:3B:11412662:11413383:-1 gene:Dexi3B01G0015760 transcript:Dexi3B01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQEPQQHELVQQLQFPPGYHFAPTELEIVNYLRRKIEGHELPLHVVNEVAILDWQPGSLVESYKGYGENRWFFFTIREPSSSNKEEEPNRKVRAPPGVKATWKATGSVVPILAKAELPEQQGHGGELAGEEKVVVGTKRVLIYHSSDAEEHGKWSMHEYILKDHAKVLHMRIHTTYYAFSTIRKFM >Dexi7B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:7B:16717091:16718584:1 gene:Dexi7B01G0009010 transcript:Dexi7B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAATASFLDRRGAVSFPSRAALPIRLPAYAPGAPSSQTQGARHGLRLRNAGAAPRQLNDASLGGEGDAARRRPRLLCLHGFRTSAEIMRRQVVGRWPAEVTSRLDLVFADAPLPAGGASPVAGVFDPPYYERFQFVGEDFLQCRNLDRCVSYLEELMIREGPFDGLLGFSQGAAVSAMLAGLQQQGLALTGVTKVKCLIAIAGGKIHAPVAAARAFAGKIMCPSLHFIGDDDFVKDHSEELVEAFADPLVIRHPCGHTVPKLGEIRLVKTSGMIRLDV >Dexi1A01G0027320.1:cds pep primary_assembly:Fonio_CM05836:1A:33150503:33152275:1 gene:Dexi1A01G0027320 transcript:Dexi1A01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSSSAASPPAEEAASAAARRRMPEELKLRRRTLETVLEQCQRALEMIREADLGVDEEGANSGVVQEGEGGGEADGHGGDDEGEQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSIQKSVYQHGAVDETVSWDIVSAADIWDDKSINVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQG >Dexi9A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:9A:12913608:12914849:-1 gene:Dexi9A01G0017790 transcript:Dexi9A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLPRRHDLLLLLLLLLLPIAVVHASPSTVPSPVPTQQLQLGFYARSCPRAESIVRRVVRRRAAKDRSVLPALIRLHFHDCFVRGCDGSVLIDSTPGHPAAEKEAPPNLTLRMLDVVDDAKVAVEAACPGVVSCADIVALAARDAAAMAGRVRYELPTGRRDGTVSSAAEVNLPSPSVSFADALSAFRSIGLGVVDLTTLLGSHTMGFCHCGLIMNRLYNFNSTSPFDPTMDAGLLAVLRQRCPPHVVTTPQNESRDVIVPMNFVAPLGPFGLDNSFYPSVLAGRAVLQVDQEIMSSGVARRVAAMFASRPGNFKRQFAKSMVKLGGVNVLTGRQGEVRLNCRRFNT >Dexi6B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:6B:2582942:2583485:1 gene:Dexi6B01G0003130 transcript:Dexi6B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAADDAAGSQPEKKLNLFVRVLATAELVGDGLGKLASLWATFVLIGGYRSASLKQVDFWIATAMVFLEAFRTFF >Dexi2B01G0024210.1:cds pep primary_assembly:Fonio_CM05836:2B:33627241:33630756:-1 gene:Dexi2B01G0024210 transcript:Dexi2B01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKAAMAAAADLDLIPGTCETCKDIHPTAEELLECQRYVNWSDPNESQLQEILLKSLETTFDNAVSLITTMGYSEAAARAAVVRSAKQYNWRESLAGFGEAAVEVLKTEGDMLPREGASIEDMRKIEHAVLGSMVAVVNEAQPFYTTGDRQKELQAHMRDWTDWAQQKVMQVAHRLAKEKEELQSLRKEKEDADRLQEDRHHSEESNRKKLLEMESAISRANAQLEKADASARRREAENAQLMLQMEAAKRHAAESATNISELLKKDENGRKRSQRWESERALLQEDLAAQKNRLSRVQEQLQHAKEKKDKVQARWKQEEAAKIEAIALVTSERKERDQIETSVRSEENLLHLKAANDTQRYKSQIRALEQLIVQLKVSVDSSKVAAPKWGADNKTHALHLSEGRKNDNAQILSNIAVPQDLDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCTKCSNLHEKQGMKECPSCRTPIQRRVCARLAGC >Dexi2B01G0026010.1:cds pep primary_assembly:Fonio_CM05836:2B:35174469:35176821:1 gene:Dexi2B01G0026010 transcript:Dexi2B01G0026010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLASRAAAAPTARRALATAEVPAEAAEDSTFVEAWKKVAPNLEAPKTPISLMQPRPPTPASIPTKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFAFVHANSIADIVAVEAVPVDQIDPALVQKGLADFTAKLGSASTDLEKAEAQIGVDVHSALNAALTG >Dexi6B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:6B:4061857:4062349:-1 gene:Dexi6B01G0004780 transcript:Dexi6B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNGGDMKTFFRQQKAHAATKPTGGVSKKASAAAHHHQKAPAPALHVHPSTDHGADASLLAEERERAAREFDMDMRYGPCLGITRAQRLRRATALGLATPPGLLALLCTDDQPCLWEGRV >Dexi3B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:3B:11081747:11086301:-1 gene:Dexi3B01G0015280 transcript:Dexi3B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLARCNDGDLFDGRQAPAGAGRRDVEIRKSDVGSGSSRERRPSYGRQRDERAGWPANEWAAAPAGERRGENREELRRARAVADVVEMRLMREDEGALAARLSGPPARHLKSVQQRLPPSSASVDREAYMGGFRGFRAGGERTEAEAGGGYSANTRGQNANHNILPTIAGAGLAPYPLVITPLYRRRFPRPPTLIQHALVFGSFSIYITRPAAYPLVITPLYCRRFRLPAHSALVFIRSSAAPPCSQACSAAGGVARVNGSPRGGASVVGSTVAATSSSNFGETTTHHECSAWVTLPQLFMTPAGAVSFLLSLSLHSCPAASGSSCITVGHRLRHPHNRPSLSSFLAGEILPTWLI >Dexi9A01G0018890.1:cds pep primary_assembly:Fonio_CM05836:9A:13921044:13925550:-1 gene:Dexi9A01G0018890 transcript:Dexi9A01G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSRWRGGGGKAKAVPELGWEAMMVVVTPQDAGRPTARSEPAELVDGACRWADPILEATKLPTGKDKIYQFLVYETGSSKAALLGEATVNLAEYADALKPSAVTLPLKGSPGALLHVTIQRVVGGAGGCGDDASSENGDTSPVVVKTPQRRTTLQSQLSRFEDEDSEKARATTDAMSPVQDGLLIRKPPGMRFPSRRNAPMSVDPVGHLHNGSSFDAISVSGSDGSSGRFTPKASASMHNTFLQDSSNALSPFANNSTLRNPLTSSGDWSGSSAPDASTDGSTSNSGEAGLRGEEDDAEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLREERNALRRECEGLRGTKKTIHDSNGSGKRLSDGEDPWSQIEELKQELNHEKNLNADLRIQLQKMQESNSELLLAVKDLDEMVEQKNREISILQDDTHGDLQEAEYEHALSNVHNSGHKIALSETSSEQEKEDELMLDALAKKRDDISSSELEKKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLAIISDLEANVESLDNELQTQAKKFEADIAGIISAKVEQEQRAIKAEESLRKIRWNNATTAERLQEEFKVLSSQVSSAFSANERHLVQARKETAELQLQKSQLEELLQKAQGDLGSIQDQHRVKVQQLITLVDFKSKEIDRLLMELKSKSDESQNQKRSDEAKLKALSEEIELLNAKIDKLSSERDELFEKNEQKDKELAGVSEKDMQLEGKTAEITVLNKEILLLKDQVKMHLEELHKLKCSKNEKEETIGKLQIDIGSLKLQCENLKTMLSKKESEKDSLASQVLKLRRSLEAREAAKANRINADEKDNQHSNHKRIKHNTGSTGSTTALPGTSRQSAEGDCNCNGQDIRNAAEQSSKELTSLKERNKTIEEELKELHERYSEISLRFAEVEGERQQLVMTVRSLKNSQR >Dexi3B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:3B:8333010:8333876:1 gene:Dexi3B01G0011920 transcript:Dexi3B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLMTVADGVLDCGVCFLPLKPPIFQCDVGHVLCSPCHEKVKVAGKCHVCRVAMPGGYRRCHAMERVVEGIRAACPNAPYGCAATPPYYGREEHLGACPHAPCHCPGEACGFKGSTAALRDHIVSAHGWPVEVEPSSRSEFDVRLSDGFNFVVSYYDDLFLLNFTRHPFCRTMSVVCICPRKGAADAEQQRLELELFIKYDDFRVFDHDQRTRFQVAYSDLSDGLPDSNDYHQLIVLNKYVHGDDEDMEVSVDIYQEADCFD >Dexi4B01G0022740.1:cds pep primary_assembly:Fonio_CM05836:4B:24286648:24289495:-1 gene:Dexi4B01G0022740 transcript:Dexi4B01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRALLQHGHHHWPQQRWTGVPSGAARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQESNISKFKSQVQSSQRETEKLRGDIDKMRSELKRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >Dexi9B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:9B:2648269:2649890:-1 gene:Dexi9B01G0004630 transcript:Dexi9B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSRAKVVRLKSHHDKFLYADEDEAHVTQDRDGASPNARWSVETVPNVPGVVRLRSRYGRYLTASNEPFLLGMTGRKVLQTAPDRPGSSVEWEPVRDGFQTRLKTRYGHFLRANGGLPPWRNSVTHDVPHRTATKDWVLWDVEVVQAATPGPERAGSAPVKMPDSPPAPELSHPPPQPHHRPSKSYAAPSPSPPPPLEPDALPGPRLPKLESSDSFSAPLHKVEGRAIHYHIADDLGNVDDDKEGHSFTFNGSNLEELAQKLQEETGLDDIIICTRSPINGKLTPLRLQLPPNNAAMHIVLVQESSKGEFTTPCFHHF >Dexi9A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:9A:4616029:4617858:-1 gene:Dexi9A01G0007880 transcript:Dexi9A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYVAILTFVFLLVLRYLVGRRVGAGGKGGNNGKQGKQAATRLPPSPPSVPFLGHLHLAKTPFHATLARLAAAHGPVFSMLMGSRRAVVVSSPELAKECFTENDVVFANRPLFPSQRILSFDGASLSMASYGPYWRNLRRVAAVQLLSAHRVACMSPVISGEVRAMVRRMNRAAAAADGGAARVQMKRRLFELSLSVLMETIAQTKTSRTEANADSDMSPEAHEFKQIVDDVVPHLGTANLWDYLPVLRWFDVFGVMKKLVDAVNRREVFLRRLIDAERLRENGGGNDGEKKSVIAVLLSLQKSEPEVYTDTMIMSLCANLFGAGTETTSTTTEWAMALLLNNPEVLKKAQAEIDTAVGTSRLITPDDLPRLTYLHCIISETLRLYPAAPLLLPHESAADCKVGGYDVPRGTILLVNVYAIHRDPAVWEDPGEFRPERFEGGKAEGRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWDRVDGVEVDMTEGGGLTIPMTVPLEAMCRPRAALRGVLQGL >Dexi8A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:8A:6248239:6249974:-1 gene:Dexi8A01G0006180 transcript:Dexi8A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASDRAEVDTARPFRSVKEAVAVFGDRILVGQSHSIHSSSASAIATPNANTSASANAMPTPSANHEASSSSSTMTFSPTPMAETEEEEIMPATVPMYSAPSSPPSLTSSPSPINARSERDDDHRVGHEQGIMVVHSIQKLEAEVAETRQEVAQLRKRGNEMEMAVASLNAQLHRGLSKLAEMEADRAARRSIGGDTDVASTLRWGDKLAASEYLPSFSHALSLGEIDDAAAELMGSRRRKAQKVKPIVPLIGDILFSKRKSTEEKEARNAVDGRLDAIAMRITSPPLTSSGDPTKMMNKQEEGQGQGPPGGSCCLWAVTVLLLLSLLAGGGCLAGYILMPPHEVPEWLPTVGLALVALPWAFWILTCAYRCAVARAAERRAMAVAPVSGSMRSRSGS >Dexi2B01G0003300.1:cds pep primary_assembly:Fonio_CM05836:2B:2962467:2964314:-1 gene:Dexi2B01G0003300 transcript:Dexi2B01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDEEEAAAAQPAAQTPPCAGCRILRRRCVPGCIFAPYFPAEGGDGDEPSRRFAAVHRVFGASNAARMLADVELPDDRRRAAETLVEEARARVRDLAFGLVSLHAVLLMRNQEARGQVVALREEIARELGAYAAAAVVDVAAASPEVRMEAKAMADRALADARKLDAELLARRSAVDLEWWQKQCPQAAKRAAAGEEGRRRRVSGEQMEGAEDMVATAGESSSEKTMLTSQAAALHGGVEPGIPEGYKQSDAPKEEEEEALVSEQDMAADELLLQRHLSAATELGGAGTSSCLDVAAAAELAKQLDAMINRVPAAQQQYDDDPAAAAGCASLGGLDVAPPLQQQPPQLRDVADMAQQIAEVQAAAAVAAEQSFLIQLLAKQHGVKSDTELDITLGQDMHQQMTEAQLVAAAFAAGAVSGEQALTEEMMMMQYAQMAPLAGEHGIMPAEVAAAELAREQALLITERTKQREEEMRLLLEAVAAAAQYASTELDVSPEHHQQPTAQQMLQEQELAVAVQVAMDPSTTTMQQGAEHGCATVAFQPPASGETAPFLVGQQPPPEGQAAATLGLQPDSSLLPLLDETTAQVPPQQQDQSTDGDDEGQDSDFTGLFDY >Dexi4B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:4B:619046:621604:-1 gene:Dexi4B01G0000980 transcript:Dexi4B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYERTAATGAAGASTAVIVSLAGETLRWAYVGDSGFAVLRRGRIVCRSTPQQRSLNSPFRLSGRSGGDSDGVAVARTGEVAARDGDVVVVATDGLFDNVRDEQLERVVQMGTRLGFSAKNMADIVAGVAYEASRRTLMGKPDDITVIVALVAQSNSSYIHTRTVMALWPGSEIGQTSQMACCTPSFRDLLAFAASCHPWRSAFSSYPSKSTLYTLFPPLLLRPNVPLCSPRPLPNAARNTFVPKRPCYVTDLASQDKSLCSQIPVLSFDYGNNRPPNALDKFAFRGASFGLMIFSNNRSCFLFYVFTGIDVSTPLLPFDEYSELYYGAALTAPLASPNSHRIVSTGSSNFFWPVGSNSWLKRSSRNGTLTKIVIFKGQVFGMSSDRRLFMVHLTPRIHI >Dexi1B01G0023610.1:cds pep primary_assembly:Fonio_CM05836:1B:29170690:29172527:-1 gene:Dexi1B01G0023610 transcript:Dexi1B01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFIEAQKPLLSRLMKMAGLRPIELELEPGTTMHIWAPKHHVGKNGTTISPIEPATDSMGSRKKKKKNRSLESRPNVVLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGKSSTASPDRSPDFQARCVAAALARLGVSRCDVVGFSYGGMVAFKLAEARPELVRSLCVSGSVVAMTDAVNREAMDRLGAGSSAELLMPETLKGLKALLSVSMYRKMWFPDRFYKDYLKVMFTNRKERMELLQGLLTSTIDAKIPVFQQKIMLLWGEEDKIFDIELAKKMKEQLGDRCFLHGIRKAGHLLHVERPCAYNRQLQRWLAYVNSAAGADQAS >Dexi3B01G0028650.1:cds pep primary_assembly:Fonio_CM05836:3B:27107207:27111715:-1 gene:Dexi3B01G0028650 transcript:Dexi3B01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKRGTEMGLSKGGEEGNGILPTCTKATCFPEQSMKGLTACSRGKEMAAAEVGENVLGKIREPAPTCGKWHPGESQRPEVDDAPIFTPTEEEFKDAIGYIASIRPLAEKYGICRIVPPSSWKPPCPLKEKTFWESTEFNTRVQQVDKLQNREPPKKQTQPRVQRKRKRKKRLKFGMTHRRSSMESQDKFGFQSGSDFTLENFQKYADEFKQEYFGMKGIDEISLSEIRNHKKIWEPLVEEIEGEYWRVVIGSTDEVEVDYGADLDTALFGSGFAKLLSDGNKKDAYGVSCWNLNNLPRLPGSVISFENEDVSGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEPKVWYGVPGCEAVKLEESMRKNLPKLFDEQPDLLHELVTQLSPSVLKSEGISVYRAVQRPGEFVLTLPRAYHSGFNSGFNCAEAVNVAPVDWLPHGQCAVELYREQHRKTSISHDKLLLKTAKEAVKQIWMNLFNCNSGEGKYRWLKTCGEDGILTSAVKTRVKMEGASRDLNGNLKNKKMDKEYDSTDRECFSCFYDLHLSAVSCQCSPNRFACLNHTNILCPCEMDRKIAFFRYSMEELNTLVAALEGDRIAVRLWGQEYLGLFCPTGNVHKRKVDSDISTEFAGSAIDISVVSGFGGSEDGWYDLQKPAGFEQENGIQNNCVE >Dexi7B01G0010310.1:cds pep primary_assembly:Fonio_CM05836:7B:17667993:17669990:1 gene:Dexi7B01G0010310 transcript:Dexi7B01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPNSGGDHAAGAGADATGPTNTTVTLPPLTLRDVPRLPSALASPSPAVPNPISRHPYYHPPSTFYISPGDVSLRHAFFDLASAAPSPLVAYRRAGPRRDLAVDPARARATLVTCGGLCPGLNTVLRELVVGLQELYGVRDVFGVAAGYRGFYGGDEDHVRLDPAAVDDWHKKGGTVLKTTRGGFDLGKIVDGIVARGYTQVYAIGGDGTMRGAVAIFQEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIDFYLEGKGGLFEFLYERIKKKGHAVIVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGRWWKREHSDELLTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPGPINGTYSYIPLEDIAVAKNPVDVNDHKWAWVRSVTSQPDFLKSQA >Dexi6A01G0011630.1:cds pep primary_assembly:Fonio_CM05836:6A:17227184:17228081:-1 gene:Dexi6A01G0011630 transcript:Dexi6A01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVVASNLHTITTSPIAPTPRSRLTWSSHSCVPRRGSLKTRSMRNGSTDSLDHLQRPSKARQQQMQGSSAPRRRVIQTTPFGLWDSFPEARTLDQMVRTMERIMDGDGDDDRVLVVPASAVPRAENGGVAVPAGAATAAYRRGRTPWEVRERAGEYLVRFDMPGMTREDVRVSVQDRKLVVAAEKAGAKERLSEEAEEDEEGGEAWPAASFGRYRTRVELPENVDVERIMAEVRDGVLYLTIPKLSAGGKVVNIQVQ >DexiUA01G0005150.1:cds pep primary_assembly:Fonio_CM05836:UA:9317908:9319842:1 gene:DexiUA01G0005150 transcript:DexiUA01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVQTPRVIENAEGARTTPSIVARNQNGDLLVGITASRQAVTNAQNTIRGSKRLIGRTFDDPQTQKEMKMVPYKIVRAPNGDAWVEMAGQKYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLEYLVNEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADASGAKHFNITLTRSKFESLVSNLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQEVVSQIFNKPPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGLPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEGDIEKMVREAELHAQKDQEKKALIDLKNSADTTIYSIEKSVSEYKDKVPAEVTKEIESAVSDLRAAMAEDDLDKIKQKLEAANKAVSKIGEHMQQGGGGGSAGSGGSSSGGDQTPEAEYQDAKEAKM >Dexi2A01G0013300.1:cds pep primary_assembly:Fonio_CM05836:2A:21156549:21157459:-1 gene:Dexi2A01G0013300 transcript:Dexi2A01G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAQIHLEEAASVPRVRPGEEVATPLVCQEVEVAGLDQEGDVAQAGKEDPRLGRAGDAVKTRTGGGIELEVTRALAELLRREVAAKTSLLADLAACEGGGELTRLEDDLWLEEKAHTTAKIQLERERKPLAVAESQLQRERAALKAAKTHLQEERAAREAAQTQLQEKVAACEAIGASLQAKEEAIGRLTQSLVGGNITVMELRDLAEG >Dexi3A01G0030970.1:cds pep primary_assembly:Fonio_CM05836:3A:35323646:35326039:-1 gene:Dexi3A01G0030970 transcript:Dexi3A01G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVESPLLAVVDGKPAPEDAKKGSSYALVCALLASFTSIIYGYNRGVFSGAQEFVQSDLGVSDGQLEVLIGATSVYSLVGSLAAGWTCDRAGRRRAVALSAAMFLAGSAITAAANGYATLMAGQFVAGIACGFGLVIAMNSGILLSYIADFALAGLPKTINWRLMIGIGAVPPFFLAAAAMLAMPETPRWLVLHGHTDEARRVLARTAGDADRHLQEISTSVQEASKQQPGESSKGVWSEMLLRPTPAVRRVVLVIVGLQVFQQASGVSALLLYAPRVFSHAGINSERAVLGATVFLGAVKTVAIVIPLFLSDRLGRRPMLLTSAGGMAVSLLVLGVSLWAPVATASWWAVPTCVAAAAVFMGTFSLGFGAVVWMYVSEILPLRLRAQGTGMGTAVNRVMSAAVGTTFISMYKAVGMANSFFIFAAFTVAAWVFVYACLPETKGRNLEEIEALFDAGAAPSSQATQS >Dexi5B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:5B:451589:453229:-1 gene:Dexi5B01G0000720 transcript:Dexi5B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCTDHNPQLPRLEGIEEEGSPADKWAPTSVRAPETPTETMEFLARSWSLSAAEISKALKVLSCGKASSDSPAAVATMEQRPRTPAAGDHHHQRADAVAQAGEAGGAMSPPVSPRANLDVKLLRGAGRGKTVGAWINEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPEQRGPKNGGGATKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIHSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPEKDISPLVFVSRGGELLKRTRQGILHWKLVTVYINSSFQVVLKMQSAHMAGTFIKTKKCVVLDVCSEIPAWAGRELEDGSHKRGYFGIRTVERVIEFECRNKHDRHKWVQGITEMLVRRDSMNSAL >Dexi1B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:1B:4744222:4744547:1 gene:Dexi1B01G0005820 transcript:Dexi1B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMGVEEEDAPPATGAVGTEEALTMTPAGMGAAAAEATTGIEEEAMATLQAPATITANSATPKVCSQQAKKNEGD >Dexi7B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:7B:22446094:22452067:-1 gene:Dexi7B01G0016460 transcript:Dexi7B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPVMVRKKRTGTGGAGETSGESSGSTGQGSSQRPERTQQHGAGRGWVPQQGGRGGGQHQGRGGHYQGRGGPGYHQPGSGPQEYHPREYQGRGGEYQGRGDDYQGRGGEYQGRGGPRPRGGGMPQPYYDGRRGGSVGRNVPPGPPRTVPELHQAPYVQYQAPMVSPSPSGPGSSSLPVPEVSSGHVQQQFQQLSIRGQSSTSQEFQVAPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMAELVTLHRQSQLGGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLSGSQGAQRRERAFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFMETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYYEDPYAQEFGIKIDERLAAVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVDRALKARYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGVRGPPGGQRSSRATGSVAVRPLPALKENVKRVMFYC >Dexi3B01G0029320.1:cds pep primary_assembly:Fonio_CM05836:3B:28511677:28513330:1 gene:Dexi3B01G0029320 transcript:Dexi3B01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAAIHSAAGHFPRPRRHQSAARARASSLLRLLHLPPKHLPRRRSRRVAAEVRRDSALHFKNEPLPRSCNALSSRTSQFATGGADTTTGDGGGSGGGRTRGGVRIDVAAVAAFLREARTAEDVEILVNGFLDGRGGGEGRALPLQVYTSVIRGLGKENRLDAAFAVVEHLKRKGVGLNQFVYNCLLGAVKNCGEFGRVDPVLADMETQGVSPNIVTFNTLMSIYVQQGKTDDVFRVYSKIEDRGLVPTAATYSTVMSAYKKAGDAFAAIRFFVTLRERHKKGELLGNYDDWEQEFVKFEKLTGRVCYMTMRQSLVSGQNPVSEVLKVLLAMDEAGVKPERSDYERLVWACTGEEHYTIGKELYQRIRERDGEISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKKRGIWRWGVRLLNKMQEKGLKPGSKEWNAVLLACSRASETTAAVDIFKKMIEEGLKPDIVSYGALLSALEKGRLYNEALRMLFFAI >Dexi6A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:6A:22014888:22021074:-1 gene:Dexi6A01G0014640 transcript:Dexi6A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVSSCNITCYYSGRSSTGDENVDMWNGYVNNDDRALLKVIKYSSPTSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRGLVENINLNGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEIGVLRHLEHLLKTKGFCVVCVAEAAGQIRMGINYTTSPLLLQDLLQKSGATDASGNVILSDIGVHMQQKIKTHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVIKAPKRVNPNSRMWHRCLTSTGQPDFH >Dexi7B01G0001590.1:cds pep primary_assembly:Fonio_CM05836:7B:2686579:2687312:-1 gene:Dexi7B01G0001590 transcript:Dexi7B01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSFYRKVVLSADSQSAMLILDRIFGSPAFTTAEDATWRLSPSHAGAVEDAIHHRGQFFSITHTGVVETWARDDVTVQFTSTVHAPAMAENDDGRRLRRRYLTVGMDGRLVAVTKHTKDVPNPEWTHEMITRVSFKVVVLDEARRRWEAAEEVGGAAVFVGVNASVCVAAMEHKGIRPNCVYFTEDDARIWHEEEERRSYYSYREPKDGELRNVGMYSLKRGKVDKIRARTAARRLP >Dexi2A01G0032090.1:cds pep primary_assembly:Fonio_CM05836:2A:42702725:42703195:-1 gene:Dexi2A01G0032090 transcript:Dexi2A01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTCQLQAHGDRACPRVQRRAGQAASRKRRDGLTCWPPFTRGAAAEQGKDRSGGHSSRRLHTDAALRLGGLVSSRAEPSRGREEGRHLAPPAGAGTHFGCLAAEKAGRGRGSPGQRQRRGPTRPTGEPERHRGEEKYSKAIRKTSELANRAVMAP >Dexi4B01G0013320.1:cds pep primary_assembly:Fonio_CM05836:4B:11758524:11761888:-1 gene:Dexi4B01G0013320 transcript:Dexi4B01G0013320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTKASVDEALLDGVEPGEDQTVREEVKKQLWLAGPLIAGALLQNVIQMVSVMYVGHLGELPLAGASMANSFTSVTGLSVLRPVVPFSGLLSSRDYALDTLCGQAYGARQYTLLGIYKQRAMLLLTLVSVPLSVVWFYTGGILLLFGQDADIAAEAGAFARWLIPALFAYGLLQCHVRFLQTHNIVQPVMVSSGAAAACHLIVCWLLVYPLGMGSKGAALSNAISYWLNVAVLAVYVRVSSACKETWTGFSTEAFRDALSFFRLAIPSALMVCLEMWSFELVVLLSGLLPNPKLETSVLSISLNTSAFVWMIPFGLSSAIRYTF >Dexi7A01G0023260.1:cds pep primary_assembly:Fonio_CM05836:7A:31289888:31291177:-1 gene:Dexi7A01G0023260 transcript:Dexi7A01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLQTHDVSDLCIGKPALRWLLPSSTVADAVAELDRGATAVAVWDGDEGSPVAGRVSMADVLLFLCAGDNLASPAAALQATLSDLLLAAAAPPPVRRIEPDASVVEAVDSLLGGAHCLVVPVRERWRESRGELCWLTVEDVARFFLSSIGLFSPTASRSVSELGVVRPPTLAVAAGDTALSALQLLRAAVASHTSVAVVPGGLFPHRLVGEISPSSLCSSCDVSAAAAIAALSAGDLLGFIYWGTDIQSEARLHAVRSRLRRRNLHGMVDLLLYGGVDPSSSSSSSSSSSSDDDDEEEGKNCVATSPCGRRGKRGGMFSAHAAMREEVIACQRKSSLVAVMVQALAHRSTHVWVVDDDDEEGELVGVVGLLDVLRVLRHHLL >Dexi5B01G0009640.1:cds pep primary_assembly:Fonio_CM05836:5B:6668418:6670300:-1 gene:Dexi5B01G0009640 transcript:Dexi5B01G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKVKLFLGVLALQFLLAGFHIVSRAALNMGISKLVFIVYRNIISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSRRHGLAKVVGTVVSIGGATVITLYKGMPLFHQNLTIKSLVTLSSSSPILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAVFTEEDLSRWKVHSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVIIGAVLIVIGLYFVLWGKSAEKKAATRNHQGQLVQGGGGDMTRHLLGGDDASKDEEAPAIDLLA >Dexi6B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:6B:5259370:5260153:1 gene:Dexi6B01G0005730 transcript:Dexi6B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSYFLLAVFLALVASQAIASDPSPLQDFCVADKDSPVKVNGFVCKDPMHVTADDFFKAAELDKPRNTKGKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHN >Dexi4B01G0023330.1:cds pep primary_assembly:Fonio_CM05836:4B:24691174:24693192:-1 gene:Dexi4B01G0023330 transcript:Dexi4B01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNNLAMKALVVSIVLGVVAAGVELPGNKKVARQVPAIYVFGDSTMDVGNNNYLPGNEVPRADHPYYGVDLPGSGKPTGRFSNGYNVADFVGSGILDSTNAGGNIPLWQQVRLFESTKAEMEATVGPQAVSHLVSKSFFLFGIGSNDFFAFTRELAKQNRSATQSDVAALYGSLVSNYSASITELYTLGARKFGIINVGPVGCVPRVRVLNATGGCVDALNQLAAGFDVALSSKLAELAAKLPGMAYSVADSFGYASGTDPKAAGFVSADSACCGGGRLGADADCQLGATLCADRDRFLFWDRVHPSQRSAMLSAQAFYDGPAHFTSPITFKQLAQHNI >Dexi2B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:2B:690407:691543:1 gene:Dexi2B01G0001100 transcript:Dexi2B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSSLPDEFVEEVLLRLPPDPPASLVRAGLVCKRWCRLVSGAGFRRRFREFHRRSHPILGFLYDQRDVAGGGGHVARFIPMPASFPPHHHAGHRAGVVFLLVKGELFTVDLRSKQITKVYGDLYPCIALVVPYLNFYTPGMIVASAL >Dexi4B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:4B:801424:803736:1 gene:Dexi4B01G0001300 transcript:Dexi4B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSSCNATVQSYVFNLTSSYADQRNEATMVATSVVMFSLATLFFNLNLFSRPSDISAILNPSVRLFLRTSLSLFLPVMSYLFSEAKNKGAATAAGPMIDELSLRARVILIWMILVELLRKKAEAILGGMQGWTGTIERASRIAWLGYLVFYNLRSAGKRALYGILWVFVAAKLVQRVVTLELAKRSFAYGKNPALVSSYMAQILKEEQHAGSSGSSHGGGPSGSELLKRCNYVVAGEEDLVKKAGPHGYDLQPERLMEDITKVDSTVITVGKIWRLAETDKLLRGDSRLKRLCLSFALFKLLRRRLEDFPITDAEAQNCRGLIFRGLCQQGREPAAALFQVFNDEVQFLCEYYHSVNPVVLASPFFFLANYILFPIVVWAICVFVIILCSNGDVAYAFHSFSGDNYAISFGLMKMFGCILGEVGTSPQNLFSTVDISITILLFLSVAYEEVWEFFLFLLSNWLMVSLLCSYTSFRRWRESPLLSGIIRRILWVRNKLSHPSVSLKQLSLLWFCRFSMALPTKAVPIEAKKAIMDRLARVSDAAPLSNGSSVLPRSDHDLSKACESDSIAEVILTWHIATALLEAEHPVTKPAKPGSSRKVAMALSRYCAYLVAFQPELLPDDKDGTERVYKEMTEELKEIWCPWYYWSGVRARARKLKEIIPPQGQAATTPLLKGAKLGKILSDRHTGATADDVWKLLADLWTEVMVYAAPTGSELHAKAHKEALAQGGEFITVLWALTTHTGIARAVPTTHTGIARVPPVVPLPESV >Dexi7A01G0004970.1:cds pep primary_assembly:Fonio_CM05836:7A:15968392:15970921:-1 gene:Dexi7A01G0004970 transcript:Dexi7A01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPLHSDRNTVSLVCRVWYEVERLSRRAVFVGNCYAVRPERLVQRFPNVRTLTVKGKPHFADFNLVPPDWGGYAGPWIDAAARSCMGLEELRMKRMVVLDENLELLARSFPRFKALVLISCEGFSTDGLAAIASHCKLLRELDLQENDVDDHGPRWLSCFPDSCTSLVSLNFACIKGEVNPGALERLVARSPNLRSLRLNRSVSVDTLSKILVRTPNLEDLGTGNLTDESESYLRLSSALEKCKMLRSLSGFWDASPICVPFIYPLCHHLTGLNLSYTPTLDYSDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSVVTEEGLVAISSGCPKLSSLLYFCHQMTNEALITVAKNCPNFIRFRLCILEPKKPDAITNQPLDEGFGAIVRECKGLRRLSISGLLTDKVFMDIGKYGKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFSDVALLGNVAKYETMRSLWMSGCNVTLKGCQVLATKMPMLNVEIMNELDESSEMEENHGDPSRVDKLYVYRTTAGARNDAPDFVKIL >Dexi9B01G0036840.1:cds pep primary_assembly:Fonio_CM05836:9B:38331111:38332697:1 gene:Dexi9B01G0036840 transcript:Dexi9B01G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRQGHEEYLQGARSSEPCPWNRIKGLKAVELCKIQGLDYSSYRGSGESCCKLTIEFIDRSSSGFGKTFMITLPELVSFPDFLVERTRYEASMERNWTLRDKCKVWWRDEEEQEGGKWWEGRVVAVKPKSPDFPESPWEKYGIQYKNDVSNHGHSPWELHDVGNLWVPWKHPHIDLEIRDKLLLEMENLQEMSHRNQDRYGVLKLDKVTEKSDFINRFPVQFSIEVIKTRLANNYYRTLEAVRHDTTVMLTNAESYFSKSAEMTKKISKLSEWVDRTFSTLGV >Dexi1A01G0008290.1:cds pep primary_assembly:Fonio_CM05836:1A:6385065:6385842:-1 gene:Dexi1A01G0008290 transcript:Dexi1A01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKCVDEMERERGAVVDGYREREAEAEHDRPAEPRSRRSSWNTTTHYCLWNGVTCSSKHPGRVMKLDLGYYGLSGSLSPSLGNLTLIEELNLSCRL >Dexi4A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:4A:2650007:2652483:1 gene:Dexi4A01G0003710 transcript:Dexi4A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAGQWGAGVAAARRKAKEAAVGAAARVLFYPTLLYNVVRSKAQAEFRWWDEVDQVVQEFSKKNAELPAVTSDCAKASPPSEAVPLTEANLDGNDTPVALTEAAACLA >DexiUA01G0000550.1:cds pep primary_assembly:Fonio_CM05836:UA:2146297:2146803:-1 gene:DexiUA01G0000550 transcript:DexiUA01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADELKAKAEVYYGDDICQQCTHLLLKEAGLPNGLLPLKDLIECGYVQETGYVWLKQKKRVDHVFQSLGRLVSYGTEITGYAEKGRIKKVKGIKTRELMVWVPVEEIAIDEPATGKLICKSIAGITKTFPASAFHIPEKENQKMNCAAPKPVVLMERAPQVVKNN >Dexi3B01G0024380.1:cds pep primary_assembly:Fonio_CM05836:3B:19016706:19018570:1 gene:Dexi3B01G0024380 transcript:Dexi3B01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAAHHRLRLYSSSAAAAPTPGRRLRFSPRPSSHGRSISGPAARVPTPASGGGTSSAAAPPAAATSPSSLSLEELRRGCTTWTWRGMRVNYLAMGQGGPPVLLVHGFGASVAHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLEEVVKRPTVLVGNSVGSLACVIAASESNRGLVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRPIASALFERVKGRHGMDNLKDILLSVYGNKDAVDDELVEIIRGPADTEGALDAFVSTVTGPPGPSPIALMPRLADMPVLVLWGDRDPFTPIDGPVGKFFSKLPSELPNVTLHMLEGVGHCPHDDRPDLVHERLLPWLDGLPPLVAGAAAVV >Dexi6A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:6A:27933392:27934899:1 gene:Dexi6A01G0020430 transcript:Dexi6A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAVASTAAASTFLSSANPRRSSFATRIPAPLRRSLPCRLLSSSSSPHSSLAPVSAVASQAAAGEAASRKKLLIFDAEEDLASELAKRAAELSARFAAERGAFTVVLSGGSLVKALRKLAEPPYLEAVDWSRWHVFWVDERVVPKNHADSNYKLASDGFLSKVPIPAGQVYAINDTLSAEGAAEDYEARLRQLVKDGVIGMSPVTGFPKFDLMLMGMGPDGHVASLFPGHPVVNENQKWVTFVKDSPKPPPERITFTLPVINSSAYIALVVTGAGKAGAVHKALSEEENTSDLLPVEMVSLHDGELAWFTDKPALSMLSSM >Dexi7A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:7A:28671971:28673119:1 gene:Dexi7A01G0019500 transcript:Dexi7A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINNSASGAKPSPSLPEVSYVTSAPFSAGGCDWFISFYPDGDYTMFRTGHLTSFTSVYLNFVGGPPTGTRVMFVFSLLDDKGCQVSTKRGKRRKKAKEPNMPRERHMTTFDYPGQSWGSSMFFEKSVLADVLESCNGCFTIRCDMCIVRLKIEDNPIQIPDSVLHQDLSRMLKDKEGTDVTFSVGDRFFHAHRYILAARSMVFKAQLFGAMKEAEDAQCIKVEDMEPQAFEGLLHYIYTDALPDDHNTADRITATQHLLVAADRYGLDRLRAMCEARLSGWIDVQSVATILALAEQHQCVELRNHCLRFLGWPDILRAVMKTDGFKHLVASYPSVVSDVLETVVSARIAQ >Dexi5A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:5A:769798:770856:-1 gene:Dexi5A01G0001150 transcript:Dexi5A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTLRIIWDDPDLTDSSSGEDDDGCGASRRNARMMVRPLPPVAVTSLPSAAPAPEDRYIISGNGNRKRRLLGDGADPPQGDCGGARRRLAKGATTSSKFRGVRRRPWGKFAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIQLRGPDATTNFDQVDDSAAAVPPEVAERLPRPPPPLVAPGNASSSATSYDSGEESSHAAAASPTSVLRSFPPSAVVVDDACGKKPALAAAPAAKTAAAFRAMETDESSSDGGSSFFGCPFSGDGCFAGEFPPVYTDFDLLADFPEPSLDFLAEIPDEPLSFPAGAAIPEESSSEPEAEPSPARWQQVDDFFQDITDLFQIDPLPVV >Dexi3B01G0031080.1:cds pep primary_assembly:Fonio_CM05836:3B:31491013:31491333:1 gene:Dexi3B01G0031080 transcript:Dexi3B01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDGRTVEQGLRTARDGEAARRQETHRDGLQLLLLAVRPWQGHTSPTRLQLAEEAGGGSSETAAGADVCMMQLAEEAREPVAQPRRVASREIQRGGTETGGAGL >Dexi9A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:9A:55486:57171:1 gene:Dexi9A01G0000080 transcript:Dexi9A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSPSVSFQSPCLKLQALAPQPRLLQLSRRQETSRARTKPLHAALGADRYGGLNKANHRDRDATLPSSPLSDVIHEFYSSLNDKNSKRLDSLIAPDCIVEDTAYYKPLDVKCTRIYFKRLMESMGDNVKFVIGEVCQGAEHTAAVMWRLEWNGYVIPFTKGCSFYICSENGAVLLIRKVHIFDESPLKPGKWALNLLNIVTYLLNMFPKMAEGFLKDPEAVVQPFVKLYNFYVEPFILPFLAYYTHFWAYVARGLTVVLHILYSLFKRLI >Dexi2B01G0014930.1:cds pep primary_assembly:Fonio_CM05836:2B:24878527:24879082:-1 gene:Dexi2B01G0014930 transcript:Dexi2B01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVQAHPASMDARGWDEASYRRGILRARDLSSRTLFRAVFFDHTDDTDPDVLVAAASSDGSLASFSLSSCISAASASSQAHSGPAYDARFYPDPQQPLLFR >Dexi1A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:1A:629527:636405:1 gene:Dexi1A01G0000990 transcript:Dexi1A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATYQIPPRLSSGLASGPGKGGAHPADNPHSISLPPKPPTPSSIADHHRRPRVLLQVRARRFYALSSDDEDTWATVKEEEELFAQHERPMFNASTVVSHDSVLTFVDAHHALLLQFNPVDDSATRIIEFPSPTRDIDSFVLDELNGEEAAEHRVAFSQGSLCVITVSREGQIRLFCEELGEDKWSKVLQVASDEIYCCDTSLAGCHACAELVALDPTDRCTLVFAMPEANAAFTVLVDPSKCFSPGRVRLIKFANINLNTSSLDAVVWKFNPAAPAVQDGEAKRIRRRGRTLSRKGLFGMARNAFNLARKHIDQVQPLADVAGTVAEAAGVPFASKLAPAVKGLIAANKAVHIGDEFRHWLTKASPLEKKMIGNAQEADEVIDYWIKSGDYFRVTPAPGMSDQEQLKLRELFRRRGAEELLLSPPRPPPPDEEEAAPSQACPTPTPATHYNPTQIETRTERESEKRGEGNGLPARSPTEMYPAAATLSPRAVVPIPKLSKFRAGGRNERLFLAWADFGPAHANPGRNERGLSMELTICTRPLFIAIHRGNKLMARKLIRQTDISKVRFFVLEMAAKPTQNAVDLSDELPDVCVEQMLHLAFAHGDDIKC >Dexi1B01G0017500.1:cds pep primary_assembly:Fonio_CM05836:1B:23806383:23809854:-1 gene:Dexi1B01G0017500 transcript:Dexi1B01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKLPIRYLSSLPLKVFQVEPFCAAAEPSESNSRSRAEPRTEQARLLLPIADGHLHEHRRRRRQQLQKLKLQERPAPGEKGEEEEEQCASGGRVGFLRPRERQINGKTGAGDAMMLLSCVPCVIGEEEEKVASGSGEPGKGEGGQRIPGSSGDPCHLRFSDEAIKRFACGLGPDPVMIAHLIIIRRRADETRGVGASRAARAVTYASTLRDMVAARRVASRRVPANLWTRGTHPLCSALFSARPAMRSAMDDDAVGRGLGEPSRAGTGSLPGSHPCVLARTSAQPERSRGTVTASLKMVAVVALNGAVTLALPAWLLPAPQQDDNGSSMPPFTIFS >Dexi7A01G0005930.1:cds pep primary_assembly:Fonio_CM05836:7A:17198685:17203210:-1 gene:Dexi7A01G0005930 transcript:Dexi7A01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALVFRTLTLNPHLSQPRGRRRGVANARTLSLIPSPAAAAASAFRLRASAITDSSSPPVPPYPLAEVFPYISAEWETIAKGWACAAAAVFCLSRAVPAAGRLPRALAAAGGDAGAAVDVAARGGLALAALASARAAAAYAQQALLWEAALRAAGRLRERAFERLLERDLAFFEGRGGVPAGDVAHRIVDEADDVADAVFSVLNTIVPTSLQLITMGIQIVTINPLLSLLAATVIPCMCIVIASLGKRLRQMSKEAHLSLAMLTAYLNDDFGKAYNDYKQGEPALERIFDLMRFNPEVLTNNCLLFLISMILPSWLNYKNSLEQVTDKPRAINLRHVNGDIKFHDVTFRYVDGMPPVVDDVNLHIRPGESIAFVGPSGGGKTTLAKLLLRLYHPQSGYVLLDNHDVQDIQLQCLRTHIAFVSQESMLFSGTIAENIAYKDPLGDINMVRVEYAAKIANAEEFIKMLPEGYNSNVGQRGSSLSGGQKQRLSIARAVYQNSSILIMDEATSALDIRSELLLKEALRNLMANHRVTVLIIAHRQEMVLMADRIVSLKVVNCGRSQSQLSCLKTGTLAYQKSPVLVRI >Dexi3A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:3A:1269538:1270312:1 gene:Dexi3A01G0001890 transcript:Dexi3A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATARGGEWEGDGGVAVAGDSGYTTNGAKGFGSQACLRHLVAPPSPSQSPKPRSANSPLTQPPRTAADIARSARIRGRRARPPAMDWVGIMTWRRIGPSEPMRGGKAVEITSSQEDGDAWSLDREETGEPSGESACATHRQCGEPTDPNQTNKNYFADMDP >Dexi9B01G0003210.1:cds pep primary_assembly:Fonio_CM05836:9B:1842748:1844781:-1 gene:Dexi9B01G0003210 transcript:Dexi9B01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREEGKGETVMVTGASGFIGSTLVRCLLGCGYNVHAGVLNPGQIERALLSYHDKAKTDHLLGLAGDGRLRIFRCDLFDGAALLDAARGCSGVFHLASPCIVDAVTDPQRQLIVPAVEGTLNVLRAAKEAGGVRRVVVTSSIAAIVPSPGWPSGEVRDERCWADVDYCDKHGLWYSASKTLAEEAAWKFAEEKGLDVVTLNPGAVLGPIIPPTISSSMSVLQRTTKDIWMGAVHVEDVALAHILLFENPSASGRHICSQSISHWSDFAAKVAELYPNYNVPKFPKDTQPGLVRAEIGSKKLIALGLQITPLEKIIRDAVESLKTRGP >Dexi2B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:2B:23753735:23756801:-1 gene:Dexi2B01G0014210 transcript:Dexi2B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRLLLAFLLLLFQTIATSSSSDAEHLLAASPLRSQRRALAGWGSGRRTLCRWAHVSCAKNSTTAVAGLDLSRLFLSGGFPEALCSLRSLERLDLSANEFVGPLPACLAALPALTHLNLAGNNFSGEVPPEWGAGFGSLLVLNLVQNLLSGEFPAFLANITTVQEFSLAYNRFAPSPLPESLGDLADLRVLFVANCSLNGIIPSSIGKLKNLVNLDLSTNAIRGEIPPSIGDLTSLKQIELFANQLSGSIPVGFGGLKKLRSLDISMNQLTGEIPEAMFLAPRLSSVHMYQNNLSGRLPATLGTAPSLSDLRIFANQLSGPLPPEFGRNCPLQFLDTSDNRLSSPIPATLCASGNLTQLMLLDNEFEGAIPVELGQCRTLVRVRLSSNKLSGPVPSGFWGLPGVYLLELCGNALSGTINPAIAGAKNLSKLLLQDNRFTGALPAELGTLANLQELRAENNCFSGPLPPWLGNLPLLFNLDLSHNSFSGEIPRDFGKLKKLSQLYLSDNHLSGNVPPELGEIIEMNTLDLSNNELSGQLPAQLQNLRLTHFNISYNKLSGTLPVLFNGLQYKESFLGNPGLCHGFCQSYGKPDSKRHTIIKLVVPIFFAAAIILLIGLAWFTYKFRNYKMNGAELDDGKSSWVLTSYHRVDFSERDIVNSLDENNVIGQGGAGKVYKVVVGPQDEAMAVKKLWPLVTGMKPMALEIGEMDLVMWVSTNIEQSGLESVLDQTLAKQFKDEMCKVLKIALLCVSNLPTRRPPMRAVVKMLLEVKEENKPKLKVEPLAT >Dexi1A01G0025710.1:cds pep primary_assembly:Fonio_CM05836:1A:31863322:31868346:1 gene:Dexi1A01G0025710 transcript:Dexi1A01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTPEWGCARVTIDSLAPLRSARERPRCVTRAPTLTCEVKLLVFETKDPAGRFYFLLYTLPQHQGLPPPRHRQLPVRGEGCRLEKEEAGALALAVVRKHGAAAGVEDQRMQTALPDAFYLPGSYMHTYKQGEEIGAKVNSLTSIETELPFSYYSLPYCHPEGGIKKSAENLGELLMGDQIDNSPYRFRINVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYIPEGTNDVYIINHLKFKVLVHKYEGGKVKVLGTGEGMEVISETDSDANSGYEIVGFEVVPCSLKRDPKAMLKLKMYDKVDPVNCPVELEKSQLIKEKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGSKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGIGLEVVVESFLRLWNSGPLCVPLLYQLLGV >Dexi7A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:7A:21481678:21489828:1 gene:Dexi7A01G0010780 transcript:Dexi7A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEAEDDAARDAEAASQRLVEAVARGDAREAGELLAAGRADVNYAGVVWLRARRIAEAEPREGAAAEARAVHEEIRADVSPLFLAAGNGDVALVRALLVCLLGLLLLRVQAKGADVNGKVFRGYPATAAAREGRAEVAELLVRAGASQPACEEAVVEAALQGQAALAAIFMGSDLVRPRVAVHALVSAAARGFVDVVDTLIKCGADPNATARVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRHLLQYFTISPAHVQAGVKRDTKVRLGAWSWDTATGEELRVGAGLAEPYDAIWCAVEYYESTGSILRMLLQNGYTLSSTHLGRTLLHHAILCGSAGAVQTLLASGADSEAPVKTSRSNRSRPVHLAARLGQPEILQTLVDKGCDVDVRAEAGDTAAILCSRHKHDDCLRVLVAAGADMALLNSAGESAEAAASSAGWKSGFEGAVLAVIWSATIPRSSDRNVFSPLMFAARCGEAAAAAAAMEVLLAQPDMDMDEQDLDGWSPVMAAAKAGNVEAFRALVFAGANVKLSNRRGETAIGLAQQSRKRDLFEQVMLEFTLEKGIPGGGFYALHCASRRGDAAAVRHLLATTTTTGGGCDVNIPDGEGYTPLMLAAREGHAGVCELLISYGARCDLKTSRGETALSLARAAFNKAEDVIMDELGRQVVLQGARVRKHTKGGRGKLHGKSLRMVAAAGVLRWGGGWWSRRNVICREAEVGGSSAFQRHRQRKGDAYEPGLFRVVTATGREVHFVCQGGEEAAELWVRGIRAVTRAAYGKRGEE >Dexi3B01G0017050.1:cds pep primary_assembly:Fonio_CM05836:3B:12521904:12524317:-1 gene:Dexi3B01G0017050 transcript:Dexi3B01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVCLAIAGSNQQGISWRCPPAATSMAPGRGNHPQHQLPQWDMAWTTTGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERLVCLCLAQNKVHFALKYC >Dexi5A01G0034910.1:cds pep primary_assembly:Fonio_CM05836:5A:36857501:36857962:-1 gene:Dexi5A01G0034910 transcript:Dexi5A01G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFQLPKSLPLPRRSSSVIERKGRAVGKEVKEGSNLQQLPQGYLGKMLVYKSGKIKMKLGDVTFDVNPGTESRMAQHVVALNTREEHCCLLGEIENQHVVVTPDVDSLLNDK >Dexi1B01G0015730.1:cds pep primary_assembly:Fonio_CM05836:1B:22290131:22291170:-1 gene:Dexi1B01G0015730 transcript:Dexi1B01G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKAAAPSSSTAFYATLERGLDDLDRSLASSPFLSLPSLRAALALLRAAHAGLARLVASLHLPGGAAWLDEYMDEASRLCDACRALRLGAAAVEGFASSASQLSSLLVQAPSNPHLSRQVVRAISVCRREAMALKEENRALVEARAEALALRLSEGVPADAKLGGFNGFRGVLCATRMLTSFLLTLLSWGVLHYHPSNAGAGDCSGGGAAYFGAAFAAALSRAQQRAAAEAGRSVAAAAGGGGVMMHEFRRARAAVEEAKEAVERGGGGDVVAAEVGVRAGALRAACEDVLAMIDDLFDE >Dexi4A01G0014700.1:cds pep primary_assembly:Fonio_CM05836:4A:17067195:17071979:1 gene:Dexi4A01G0014700 transcript:Dexi4A01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLTEDSLKRESGAKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQQVLLELEEEYIKNLCAQILKFKPDLVITEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRTMTQLQGKHANGENAWVGLDGRSGDIVDMKERKVWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Dexi3B01G0029280.1:cds pep primary_assembly:Fonio_CM05836:3B:28462314:28462649:-1 gene:Dexi3B01G0029280 transcript:Dexi3B01G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFKPVPKDGSPAKKRPAAAADSGDGPAAAGAGGEESSPCEEPRKFLTWNANSLLLRMKSDWPAFSQFVARLDPDVICVQCMP >Dexi2B01G0036600.1:cds pep primary_assembly:Fonio_CM05836:2B:43352197:43352876:-1 gene:Dexi2B01G0036600 transcript:Dexi2B01G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLERDRWTYWLSLVSGSDHLRQVFSTQMGLSDQDIVALSGAHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKYVGWESSLLKLNTTLAGRELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAADEDAFFADYAEAHLKLSELG >Dexi9B01G0034680.1:cds pep primary_assembly:Fonio_CM05836:9B:36605174:36606061:-1 gene:Dexi9B01G0034680 transcript:Dexi9B01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYDGRFTTFALSGFVRAQGDADSSLDRLWQKRKAEIKQ >Dexi2A01G0006790.1:cds pep primary_assembly:Fonio_CM05836:2A:6366576:6373165:-1 gene:Dexi2A01G0006790 transcript:Dexi2A01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAPCSYTTMSRVEEKTGVAKMEKEEEQTGSNDNGDRGRRIRWRGDCDSVGFGEGPTLGELPTRLVGEFPKHCGDEPRASSDARSVSGQWTITPVAVLDMKIHWSAPADMVVASAGSVVFPRGLSKLKALHTLECVDITRGEAILQDIKRLTRLHKLAVTGVHKKNCQEFCSTLSHLTCLESLFVGSQGEAGLHGCLDGLSSPPKTLRSLKLWGALDKLPEWIAGLHNLVKMGLWYTELTEVEGTIQVLGKLPNLSVLRLWNKTFKTAEPCCFATRREALFPSLTLLVLEYEPGIGSVEFEEGTAPKLELLFFRHSTSFSGLSSLPSLKEVRVHEALHNDDMKDTRAQLAMNPNKPVLKFIA >Dexi9B01G0037240.1:cds pep primary_assembly:Fonio_CM05836:9B:38724173:38726221:1 gene:Dexi9B01G0037240 transcript:Dexi9B01G0037240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLGPPVIRGTRPSPPVAAAAAEGPASHPFLDLLDACFNDDAPAADDGKGGPRMARTENNSATYASSGNPCLDLFFQVVPDTPPERVRQLVTVAWAHDPLTALKLVANLRGVRGTGKSDKEGFYAAALWMHERHPKTLACNIPALAEFGYLKDFPELLYRLIHGADVRKLAKANVATEKIRREVREVRAARLAGSKRAAASGLADFVSAAFSKLKTMSKGSNSSGAAAMEEEAASEAVEQKSEAMEVDHKEAAAATAKKEIPMTKQVRKVAKLAAQSLETYYGDGGYRFLFDCVAQFFADLLASDLEQLAPGGNKRKIGLAAKWCPTPRSSFDRTTLLCEAIARLLFPRDSNPDYALLSDEHYAYRVLRRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKDLFKKHDEARFDKYLEDVEAGKAKIAAGALLPHEIAAAAFRGVEDDVSELQWRRMVEDLRKNGSLSNCISVCDVSGSMSGTPMEVCVALGLLISELSEKPWAGRVITFSSIPQIHMIKGKTLAQKLSFIENMDWNMNTDFQAVFDRILRTAVDGRLAKDKMIRTVFVFSDMEFDQASLNPWETDYQVICRKFKDAGYGDAVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVSPEAVMAAAISGAEYQKLAVFD >Dexi2A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:2A:5075924:5082643:1 gene:Dexi2A01G0005320 transcript:Dexi2A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQLCDALAAAGFDGDDPLDPDSLEWAFLQGDDSRRMLAWVCARLRPGNVLSATDLELYEQLEMEGKLLEGEDLDSAFDSISAFSDNGENQDYTFLSEESLEDIRDSKLALRAEVSDLEKQLASLEWKLDLLTAQATTITQGKKSRSAAKTRANGQLTGLDEKFAKRSLEMNAVLGKLAATTQELSYYHSEADIGVYLSYWDFQSYVRSNLACTKELSRWFSERFEKGPLQLVVKDDKSRGDFVNSHHFVVELNRINSVFAKSKRRYIEAQAEYAKEEAIVSMLRTQLAFQQSIIDQDSHSLRRKSYELAEELKDLSLHVQKYLSEIITGLCADLAQLAGANMLEGDHNLKLLRQECYISHQKKLINHLVNQLAAHQFLKISCQLEKRAKISSAYSLLKAIELELQSYLSAVDFRLDRYHSIDQAACEMFEEGSVDDRDSFLHAVRDILSSHSNSQAMTPTYVSSYGLVEQILELQDELQYLQHEAENVLPRERGRCTDELYRMIQTLEQILGVPLSEEQPKLTTWPLAQSLEELEMVSQQVSASVSEVTLARDEKAEMFKQPSRNVQQERQVFVDFFCRPGRLENQVKELFSRVRALSE >Dexi1B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:1B:5784091:5788641:-1 gene:Dexi1B01G0006990 transcript:Dexi1B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVSPPGKAASPLRSFSSVFVHADVVDVVLMVLGLVGAIGDGISTPVMLVFVSRILNDVGDGPDLIQNFTSRINQNARNFLFLGDGELEAYCWTRTAERQASRMRARYLRAVLRQDVEYFDLNAGGGSTTSEVVTGVSNDSLAVQDALSEKVPNFVMNITMFVGSYAIGFVLLWRLALVALPSMLLLVVPGFLYGRILIGLARQVRDQYTRPGAIAEQAVSSVRTVYSFVAEQTTMAKFSAALEESTRLGIKQGLAKGLAIGSNGVTFAIYAFNVWYGSRLVMYHGAKGGTVYVVSAGIVVGGMALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSEQDTGEKLANVAGEVEFRNVEFCYPSRPESPVLVSFNLKVPAGCTMALVGTSGSGKSTVIALLQRFYDPSAGEVTLDGVDIRQLRLKWLRAQMGLVSQEPALFAMSIRENILFGKEDATEEEVVAAAKAANAHNFISELPQGYDTQVKELGSHDELIANETGPYTSLVRLQQTKDSREADEDDGIGRTSAMGQSRSHNMSRRFSTVSRSSSPQPMDDAGDHDNNKNHKLPIPSFRRLLMLNAPEWKQALMGSFSSILFGGIQPLHAYTMGSMYSIYFVKDHEEIKKNTRIYALIFVSLAVVSFVLNIGQHYNFGAMGEYLTKRIREQMLEKILTFEIGWFDRDENSSGAICSQLAKGANIVRSLVGDRMSLVVQTVSAVLIACIMGLAIAWRLALVMMAVQPLIIVSYYARHFLLKSISKKSMQAQSECSKLASEAVSNLRIITAFSSQGRILHLFEQAQDSPRKEGIRQSWFAGLCLGTSMSLLRCTWALAFWYGGMLMADHQITAKALFQTFMILCLLFLTGKQKIEPNNLEGYEPEKIIGEVDIKEVEFSYPSRPDVLILRGFSLNIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVQIDGRDMKTYNLRAIRHHIGLVSQEPTLFAGTVRENIVYGTDSTSEEEIETAARYANAHDFISNLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQDCDLIAVLEKGIVVEKGTHASLMAKGPSGTYFGLVSLQQGGNQHLDA >Dexi3A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:3A:5561996:5568099:-1 gene:Dexi3A01G0007960 transcript:Dexi3A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVEDRDVDAFLAECAPSGDAAYGAAKAVLERLHAPATRPAARRLLGAVRRRFAADGEDCFRTFHFRIHDVILDPHVQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVTELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFHESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPSGIMVFNMGGRPGQGVCEHLFERRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYMKSGGRISHALSVYSCKLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKQWLTSLAIEGRADCNRGEGTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSTTKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYKALSQTIELLEGHTSLISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQQMIGFSDPAMSILKAAEFFIPDSNESSVIHMDLDRSFLPVPSAVNASVFESFVRQNITDSETDVHSSIQQLVKDSYGLSEDGCSEIIYGNTSLALFNKLVLCCMQEQGTLLFPLGTNGHYISAAKFVNASTLTIPTTFGSGFKIEPKVLAETLKNVSRPWVYICGPTINPTGFLYSDSDIQELLSVCAEYGARVVIDTSFSGLEYQTDGWSQWNLEGFLSSLKCSKPSFSVVLLGELSFELIASGHDFGFVILNDPSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDQHFSNLMVEQKELLKNRSNHLIKTLQSCGWDVASGCGGISMLAKPTAYIGKSFKAGSFDGKLDANNIREAILRATGLCINSSSWTGIPDYCRFSFALESGEFERAMGCITRFKELVLGCDARGQTNGN >Dexi1B01G0018850.1:cds pep primary_assembly:Fonio_CM05836:1B:25000696:25003003:1 gene:Dexi1B01G0018850 transcript:Dexi1B01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIVAGHGLALRRNLPLPNPPGRPTSVSLSARSLPRARHRMIVPACPSPPRSCRCRSISSESSAAAAAASTAADTVEEEDSEPEAYDGDDEEEVDPQAEVCYLDPDADPDAIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRYFPNNAINSVTLRDALASVSEALGVPLPDRARFFRSQMQTIITRACGELGVKAVPSRRCVSLLLWLEERYEAVYSRHPGFQAGTRPLLALDNPFPTTLPENLFGDKWAFVQLPFSAVREEMELLKRSYAFGAGLDLDLLGFELDDSTLVPGVAVESSRAKALAAWMNGMEICAMEVDTGRASLILSAGVSSRYIYAGYQKTPAATQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >Dexi1A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:1A:930936:932471:1 gene:Dexi1A01G0001420 transcript:Dexi1A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAFPSWVLLEPFVFRRDDDDSFPDETKAPIRATGTTTWGATFRIAFSVADPPRISRLYAQLPAPGFLGREANPLAIMGTHRHLALFRVGTQAAEEAIVQNFFVLNAKHHHPSSELKLLPPCTEPSFDYTRRSLRLPRRRRLRRLPDEDATPRLLNFFSLGFWCRGEEDEFVVAELTLFKPIDRSRVFADICLLHSTSDAAADQQLTWKSMRVELFLSTNNNRSAGDADLCQIRWWYTDAVIPFDKWLCWVDYQRGILLCDMSKLPNHPTVSFIWFPLDKLPISGNRNGTSTCCYRAVSVVDRGRKLKFVNITRQDGVPFAALKPGTGFTVTCHTLVLGGDGSMAWKEDYTVTSGELWEANTPDRLPRHILMFPRVNMDRPHVAHFLSVEFGFVNKKMWLVSIDMSTRTVESSSLYINGNEGLQTDDADLIRYRSMSALPFMPCEFPEFLNSSSVSSVSYEAY >Dexi2B01G0022100.1:cds pep primary_assembly:Fonio_CM05836:2B:31772047:31784147:-1 gene:Dexi2B01G0022100 transcript:Dexi2B01G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCKMADICFIFTRENVVELLQRYRRDRQVLLNYILSGNLIKKVVMPPGAISLDDVDVDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAVEEFYLLTKPEYSGPAPTREPPPVPVTTPSPVVIPPPVVESAPVTMSSPVAATNLTKSQSFDSPSEKELTVDDIEDFEDDEDEFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHKLIRKLGRSKSESVDTHSQRQPGLVGLLETLRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNILIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRMECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETVHDTCYAWVLFRQVGSIRVIINVGSAMQFVLTGEQGLLKVVIDHLRKIPLKEQRGPQERLHLKSLRSAVDADGSYQDFTFFQSFLSPIQKWVDKKLNDYHLHFSEGPTLMDDVVTVAMLTRRILGEETDKALESTDRDQIDRYITSSVKSAFLKMAHSVEFKADTAHEHVLASLAEETKKLLKKDTTIFTPLLSKWHPQAAIVSASLIHKLYGNKLRPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVAGDAGLDSICRQKIAPYQIESKSGTLVLRWVNGQLERIETWVKRAADQEVWDPISPQQRHGSSIVEVYRIIEETTDQFFAFKVPMRDGELNSLCRGLDKAFQVYTQLVTAPLVDKEDLAPPVPVLTRYKKELGIKAFVKKEVQEVKTVDERKATEITQLTMPKLCVRLNSLYYFFMQYGISQLSKLEDSINERWTRKKTDCANIRRSTSEKSKSAVPNQKNQFDGTRREINSAIDRLCEFTGLKVIFWDLQQPFIDNLYRNSVQQARLEIIMEVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILDGGSTRVFAPSDASYLEDDLEILKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGGKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPST >Dexi9B01G0020740.1:cds pep primary_assembly:Fonio_CM05836:9B:15436038:15443121:1 gene:Dexi9B01G0020740 transcript:Dexi9B01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVLDEPVVSKKSGLLFERRLIERYIEDHGKCPVTKEDLTMDDIVPVKTNKEWDALMLSNYALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARTLLAQAERQIPASVAVAAPVAVVSNGKRAMEDEIGPDGKKIRPGINPVMIEELTECNTMLSAQRKKRQVPPTLAAIDALEKYTQISSHPLHKTNKQGILSMDIHPSKDIVATGGVDTNAVLFDRPSGQILCTLTGHSKKITTLKFVPRDELFVTGSADKTVRIWQESENGNYSCVHTLKDHTAEVGEASGQEGYTSASFHPDGLILGTGTTDALVKVWDVKTQANVATFEGHVGPVTAMSFSENGYFLATAARDGVKLWDLRKLRNFRTISPYDPDTPTNAVEFDLSGNYLAIGGSDIRIYQVANVKVEWNHIKTLPDLSGTGKVTSVKFGADAKYIAVGSMDRNLRIFGLPGDDQMEESNTAAE >Dexi5B01G0028390.1:cds pep primary_assembly:Fonio_CM05836:5B:29771987:29772623:-1 gene:Dexi5B01G0028390 transcript:Dexi5B01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPRRVTKIKRLQRPQHPHIESSTNILIRRGRKQRTITPSALRSNEATHEAGEKKPCIPSRSALKKAPSPQSNENRDQNPPRNRSEPTPRYLGLPESSAETNKRQQSSRAWGAGGKVGVEKKALVTLRGAVTARREEGPSEWGGARRSRWCNAIGTGAGWAWLGSEPAIFRFHDEPSRRACCETGGRR >Dexi9A01G0040190.1:cds pep primary_assembly:Fonio_CM05836:9A:44023505:44023925:-1 gene:Dexi9A01G0040190 transcript:Dexi9A01G0040190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAGGQGGGGMDAALLDDIIRRLLEVRTARPGKQVQLSEAEIRQLCTVSREIFLSQPNLLELEAPIKICDGLQSV >Dexi1B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:1B:11535889:11536501:-1 gene:Dexi1B01G0011420 transcript:Dexi1B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQLRGPAAQEARTQQKRSKYPVSGVEASGAAADDADVERLGGGGGGEAPRRPQRKHRPQPPHRAGEQPQVSRHLGRSVRVL >Dexi5B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:5B:1493452:1495706:1 gene:Dexi5B01G0002350 transcript:Dexi5B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLAKGELDQIALPSADLPPVADVPAVDLSAPAGSAREAAARALVAACEEDGFFRVTGHGVPMELVRAAEAAAAAFFELPQGEKEVEAPTLGYGSKQIGGNGDLGWVEYLLLGVTPAGAAVPASSSSTLPCAAAAASATAASSSSAPAGPLRGLLDEYTVAMRRMACAVLELMAEGLGLTGGELARLVARADSDCMLRVNHYPPRPAAPAVGAPPNLTGFGEHTDPQIISVLRSNGTSGLEVARHDGAWAAVPADGDAFFVNVGDTLQVLTNGRFRSVRHRVVVNSERSRVSMIFFGGPPPDEKLAPLPELLVGDGGRSRYREFTWTEFKASGCRTRLEDDRLARFEN >Dexi5B01G0024500.1:cds pep primary_assembly:Fonio_CM05836:5B:26525849:26532221:1 gene:Dexi5B01G0024500 transcript:Dexi5B01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTATGRDGEEKKTKGNGGDAGDKKVSFTGLFRYADGTDVLLMLLGTVGALANGVSQPIMTIIFGQVIDAFGGAVAMDDVLHRVNKVGKFQQLIATFIGGFVIAFVKGWLLSLVIFLVVISVKQVVSFNGEKQAIAAYNKLIHKAYKSAVEEGITNGFGMGSVFFIFFSSYGLAIWYGGKLVLSKGYTGGDVINILFAIMTGAMSLGNATPCMAAFAEGRSAAYRLFTTIKRKPEIDPDDPTGKQLEDIKGDVELKDVYFSYPARPEQLIFDGFSLHVSIGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLEWIRGKIGLVNQEPLLFMSSIKDNISYGKEDATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKDPRILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLSTVRNADCISVVQQGKIVEQGKDPFPFGALGAKLSVDALNVRRLVGDNLALITQVISTLITGFVIAFVADWKLTLIILCAMPLSGAQGYAQVKFLKGFSQDAKVFFALMLATIGVSQTSALASDSTKAKDSSISIFALLDRKSKIDSGSDEGLTLDEVKGDIDFRHVSFKYPSRPDVPIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKGLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGEVTEEELIKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMVGRTTVIVAHRLSTIKNADIIAVLKDGMIVEKGRHDTLMNIKDGFYASLVELRSASS >Dexi1B01G0021950.1:cds pep primary_assembly:Fonio_CM05836:1B:27685839:27687002:1 gene:Dexi1B01G0021950 transcript:Dexi1B01G0021950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADTSNKGAGRLKAGGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQQYQAEHPENKSVAAVSKAAGEKWRGMSEEEKQPYVDQAGQKKQDYEKTKANFDKKSTSSKKAKTQDDEGSDKSKSEVDDEDDEV >Dexi7B01G0022310.1:cds pep primary_assembly:Fonio_CM05836:7B:27258929:27264610:1 gene:Dexi7B01G0022310 transcript:Dexi7B01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRLAPAALSPACAVVCFPCRPSSELVPRPASRSALVCLAATPKVPLPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMVTLMEDMEMDGAFQHVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAHKDSDDERKSLLEIIKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNEKGLKCQIPGANLNDIANQADDLLETMESRDIIPDRKLLARLVIVREEARNMMGGGLLDERNDRGWTTLPEAEVNFLSKLVAIKPGKALERMIRDVMNGKGEGADNIGQSSADSPSEQEHLAGVSGKGSVSGNKPRPVRPGMFLETVSKTLFQNSVVEAM >Dexi6B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:6B:8743753:8748493:1 gene:Dexi6B01G0007500 transcript:Dexi6B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIAMAILQVASAKSWLNQFTTDGRVSSGNDASGQKVVMLNLDPSSGAAGLNSKQQSAFASLVVAANPPSTTAPCQEPLAITQIRKGAPESGPMQAHTSSRCRCARHTGAEPSLGRQAPEPAWKPAPPP >Dexi6B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:6B:16260305:16261560:1 gene:Dexi6B01G0010100 transcript:Dexi6B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPSSWEELPPELLGLVLHRLPSLGDRVRLRAVCRPWRAGALVQRQQEKPLPPPLPWLALRDGSLVDLDGAPVRCAPILRKGIYSYRAVDDLAFLVHDDGGSSLVNPLSGFTLDPPELAPAVHRAIDGMRTYYNHSHIRKAHVKAILSSPVESTPDPLVAVLILEGFSVVISACKQHKVINNESREESKSSTKIHDVAFFQEKLYALTGREGLYAVELRAGSLGAPDLSSGGFHRCITEDPDQLPIYDPREHQIYYRGTGDDPEYLVLRYLAESNGRLLMMRRWMCHPRDSMLGDHDQTFQFEVFEADLFRVPGRWMKVDSLGGHAIFLGSECTKSVLASQCAGGVQEDCVYFMHRIFDNPAKEFLGPCVDPLADSGVYNVRDGRITPLLPEGVMVELRRKQQYLTWFFPVDA >DexiUA01G0018260.1:cds pep primary_assembly:Fonio_CM05836:UA:38939522:38941850:1 gene:DexiUA01G0018260 transcript:DexiUA01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRARPQQRPPPPRSWSSFFSEMGVELSSDPKRRPRYFSKLIMLALLAAMCILMLTQPPCHRRIPSVFSVHQPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKVLQNLFPEPGRLQFVQADLGDLKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPDKMPITEETPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGRVAIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINRELNWTAQHTDLHESLRVAWTWQKAHGSGYEPPQAMIL >Dexi1B01G0030730.1:cds pep primary_assembly:Fonio_CM05836:1B:34600634:34602978:-1 gene:Dexi1B01G0030730 transcript:Dexi1B01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAFEPSPEMERFLCERLLDAEQPIAERFRALFSLRNLRGDAPRRALLQAARDPSNLLAHEAAFALGQMQDAEAIPALVAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEESLTADPAVEVQETCELALRRIEEQKKANGVESTTASPFLSVDPALPAKHGLSVDQLRDLLLNEQESMYERYAALFALRNDGGDAAVSAIVAALGVKSALLRHEVSYVLGQLQNKAASDALSAVLKDACEHPMVRHEAAEALGSIADQESIALLEEFSKDPEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPHVQ >Dexi7B01G0010670.1:cds pep primary_assembly:Fonio_CM05836:7B:17933105:17937257:1 gene:Dexi7B01G0010670 transcript:Dexi7B01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRKRSDNGSRGRRIANTPRVPNSARGKRSTRKKKDDMRAFDLLATVAETLLDDQDNSANAPNASGAAKAKNKKAVKEEHYDEILPLKNMVTEKDSRSGCALGSDGSKLNLCSKKIPATRQKMQRTIFKKKKLAHRATSFAKEMLNEVKLRIKSFNIPELFIDVPENATIGSLKRTVMDVVNSIIQGGLRVGVLLQGKDIQDDNKTLRQAGICHDKKLNNIDFTLECEGGQDSPSGVVIPEHMDLLGADVVEPLARVKCEEPFPETGGDDNQQLTPPYRSRSLSDLYSDVHPVEMASQDASASSQAIVPVAPSDDGALAIVPHCRPRRTEIGQRRTRTPFHVDEVEALVDAVELIGTGRWRAVKMHAFDHADHRTYVDLKDKWKTLVHTASIAPHQRRGEPVPQELLDRVLAAQAYWTEHQQDKPPRGKAALPAICPA >Dexi5A01G0024520.1:cds pep primary_assembly:Fonio_CM05836:5A:28372341:28376350:1 gene:Dexi5A01G0024520 transcript:Dexi5A01G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGMTVTNSSGSGNIRATLKEIYNKLKEEMLNDPAFDFNEYSRQWIDRVGLIAINDGILLRSHVSRILQRLFKGKPYCNYLTNLFTESECKAASGQLLDLIITHEGKKDLTKYDMTIYRRIVQHKTAYNSFYLPVTVACALLLAGENLDNFCKAKNILVEMGTYFQVQVGTDIEEYKCSWLVVQALEHADENQRSILFENYGKSNPACVSKVKDLYKKLNLQNLFHEYERESYGKLIADIETQPNEAIRNVLMSFLHKIYKRNK >Dexi1B01G0001810.1:cds pep primary_assembly:Fonio_CM05836:1B:1453517:1455385:1 gene:Dexi1B01G0001810 transcript:Dexi1B01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAPTEPEPPAVAAARKLHHLLRSRDLRPALSYLRTLPSPFTLLPNHALNSLLRALAAAGRVRAATSLFRSIPSPTPHSFNSLLAALLRRGRRRAASALLAVFLRSPDASPDVTTLNTLIHGFSTASPRPSASTLLKLFRFLPETYAFAPDAITYNTLLSALCRGGDLATACKLFDGMRVSEEGSKNDAFPNVVTYTTMIRAYCAKGLADEALAFFKVMVSKGIPPNSITFNTMVQGFCAAGRMELVKEVFEMDSFKPNTCTFNTLMAAHCREGRVEDTMKVFDQMTKLHVRHDSASYSTVIRALCESGEFGRAEEFVDEILEKEMLKKGGSFVPLVASYNPVFTYLCENGKAKKARMLFGQLLGRRSKVDFQAFKTLIIGHCKEGDFEEAYELVLSMLKRDLVPDIECYISVIDGFIQKGRMKSAWEALHKMLNSGLRPSTSTFHLVFLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNLIDALFKSDLTERAFMITKRIYDNGYYIKMEKIIAALCEEKKFIDAAEFTLFSLEKGHELGAAVHSLVLDGLCMDGRASEAFQLLYELIENGSTSAVATPRSLVLLHHALEEARKMKEADFVAKQMRRATARIKQRS >Dexi6A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:6A:18173025:18173683:-1 gene:Dexi6A01G0011990 transcript:Dexi6A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLQGEVQLNIPARKAWEMFSSNEIASKVNPDMLASAEYLEGDGSPGSLRLFRLGPGLHHFVKESVQKIEKVEPGRCISYEVIRGELKDMYDPYHATFSFVPVPGKEGEQCIAGWKAEFEPISPTAPLPENAKDAALGFLKLLESCSASN >DexiUA01G0019860.1:cds pep primary_assembly:Fonio_CM05836:UA:41212678:41214668:-1 gene:DexiUA01G0019860 transcript:DexiUA01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLIEATRFLSTPLPRPRPPLLPPRRGLRLLLRPARLPALRAHHRLPPPPPAAEEEEPATRPGALLALDALRRSVLDSLAAVKRPALALLLAGALLAAASAGGGPNVAALAASGGRVGGSAFSSRSSSSPPSYGYSAPAPRGGYTSAPFYSPSPFVSVGPVVGFGFGGSGFLLALMGFAAFLYLAGFLSDSPGNGSVLTETQKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTGKTKSYMRQRWHCFGIRIAVSQLTH >Dexi9A01G0028540.1:cds pep primary_assembly:Fonio_CM05836:9A:33263138:33264397:-1 gene:Dexi9A01G0028540 transcript:Dexi9A01G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQHGFYKGKCNGADVEAVVQSIVRARFARENPIAAYLLRMQFHECVINGCDGGLLIDGPGTEKTATPNLSVKGYDVIADIKAELERRCPGVVSCADIQILAARDAVALARGAAYSVRTGRRDRRRSSASDVKLPSPEYTAQQTTAYYGRLGLSAFDTVLLLGAHTVGVTHCSVIKPRLYSYGGKAGATDPTMDPSYASVYKKYVCPNVASSDSNIVFLDDQWSALKVDNHYYRNLQLRRGVLACDQNLYNDGSTRWIVDLLANNTGLFTSLFPQVLIKLSEVNVLTGTQGEIRKVCNKFN >Dexi2A01G0033560.1:cds pep primary_assembly:Fonio_CM05836:2A:43720141:43722753:1 gene:Dexi2A01G0033560 transcript:Dexi2A01G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYTGSTHKHIYTGTANSEEDGCTGRRLSAQPPSRHGRNGAGEEPADHISRLYDDMLIQVLSRLGCAAAAARTSALSSRWRDGALWRHLSELSFRGVAHGALEAALAQVTLPRLSLLDIEVTDRVPAEAVASLLRAAARVDPVEVSVVVAWVIRNEDEPAAVEVPSFPRATSITLRLHDLRLTLPAAQGGAGEEFQCFPVLERLSITSGRFDTGALISRCPKLRVLELIYCWGIETVKVHSATMEELLVTSELLLGVDVAAPMLKKFTLRSGVHFHFGMSLLAPAVENLSWKCWCHGKSIAPAMAVAVGVTTLWRLVRLELGADWERLRPWLGHSKIGAFLFLKLFILLSLKSYSALNLRNLQEMFPLPKISVLELCINTCGHVYGGAVVDLMRIWMLYEGLSSSVIVIWCAPLMTKVTMKLASTVAPRSRGFKEAYTIFKANPAVECKVYLKSGN >Dexi9B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:9B:2663067:2664097:1 gene:Dexi9B01G0004650 transcript:Dexi9B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSYSIHILGGIVALGKFDALHIGHRELAMHASKAGPPFLLSFVGMAEVLGWTYKPPIVALCDRNRVLSSWAPYCRNMVPLEYQVEFSKVRSLSPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTAKRSHNGVAAAINSSDKGQVSSTRVRHALAMGDMEYVSKLLGRKHRLVLMVNQNCLHERKKIVLPNSCMLNMPPAEGLYENCDLVTGGYLGPCRVIINSDTIVIEMKDENSLSPNSIQEVRQLGIEFG >Dexi3A01G0024600.1:cds pep primary_assembly:Fonio_CM05836:3A:20223209:20225279:-1 gene:Dexi3A01G0024600 transcript:Dexi3A01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHQVVGRRAEVGATKKLEVKQRNKEMASSHCFENPPELDPACGGGEVIDDFGGQKAYVTGSGDAKIAVVLISDAFGYEAPKLREIADKVASFGYFVVVPDFLHGDPYEPNHPNPLQWLQSHDPVRCVLKLYRHFLI >Dexi3B01G0030480.1:cds pep primary_assembly:Fonio_CM05836:3B:29983775:29984730:-1 gene:Dexi3B01G0030480 transcript:Dexi3B01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKNHVSADEVVSRPAMMKLITMSRIASSPYPAAAIRDTRSSPSPRRRARRRRMSSVMAPWITAISSRRRLSDPTPSARFAFHTAATGAAPRRATIAAAASNADASLDITGNNGVSSSHTAYDVERELPQELLHVDDGHGDLAAAGARRGVERREQARAGLGVERGAHTLPEGPGGELVADELALGAPRLTVDVEDAAAEEVAECLREGLPFRIVPKVTLEDVLDVRRVRGHHGASRAEAFHDERLRRRRREEAGVPVEQPATVPVELHQAAQHRVAPRRVPQSGANVTSPCCEQSMAEGAEEEEAGSHEW >Dexi4B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:4B:1369465:1373433:-1 gene:Dexi4B01G0002170 transcript:Dexi4B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRNIADPKKTLHLRALDGAKDRLQFFQASLLEEGSFDAAVDGCETVFHTASPFYHNVKDPKAELLDPAVQGTLNVLGSCKKASIRKVVVTSSVAAVAYNRKPKTPEQWYALSKTLAEEAAWKFSRDNGFEIVTINPAMVIGPLLQPTLNTSAEAILKLITGSSSMYPNLSFGWANVKDVALAHILAYEVPSANGRYCVVERVVHYSEIVNIIRKMYPTIPFPAKCANDKPFDPTYQISKEKIRSLGIELMPVETSIKETIESLKEKGFVTFNSSKL >Dexi9A01G0035010.1:cds pep primary_assembly:Fonio_CM05836:9A:39684306:39684953:-1 gene:Dexi9A01G0035010 transcript:Dexi9A01G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKVQIEFYLKEATWSHHGYKPSLKEHVEMARVSSGLPMLVPGLLMGLGCSVATDKETFEWALSIPDMVLAGGEIGRFLNDLASYKVGKNKKDVVSTLECYMEEHGATGEEAFAAVTRMNELAWRRINRACLEMDSKLLRAAQFAVVDLARSVEFIYLGGRDAYTFGSSVKGHVTSLFRKPVVPA >Dexi5A01G0032760.1:cds pep primary_assembly:Fonio_CM05836:5A:35149594:35150888:1 gene:Dexi5A01G0032760 transcript:Dexi5A01G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIARVAYAAPKVGAGGAQFGGANRFEEGAAAQTGPAPGAGGAAHPKSCTVAARCCACELMPASRHARPVCSYSRRSATRALSSATSAAHLASPASTAFAAEASRRRSSICAAFACSPRSAAAFAAAMSSAASTRNRSRATSTHVDEQQEAPLLVGVQLSDVHGCGSAIRVDAAVRRMTLATAFLCRRPWSTSAATRRPSPMLVVPSAKRDSTALLANALPPSVMRESRMMRWAVHEKVTMLSRSPGPRWSMTKPMACFRSPSLGPSMLPLTSSTVTRSSGARSDSDASAAAATGALACSSTAKFSLAASLATAGCS >Dexi6A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:6A:22971603:22974858:1 gene:Dexi6A01G0015480 transcript:Dexi6A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVHAPPEHDASSRGTAPCSAAAASPSAASSHRHAAVAGGLSCLFSSPCAAPRATGHEELGALWHDRSDDTAVLPVGAGFGGGGYSYPQSSSSSSPSPFKLRDHLHRSPVPLFHSPTTSPASRSPSASWLAGRERDRLFSNFVRNALGSCIDYAPVTSLPLGVPAAAGVDAAELAFELDESLSEAEPSCEPYAHELLAGAQARHRIFRDELVVKAFFEAERAHRGQKRASGDPYLQHCVETAVHLAKIGANATVVSAGLLHDTIDDSFMDYDHIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGINSWKDQLENICFKHLNPEQHKELSSKLVMSFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVETEQDCYRALDIVHKLWPRVTGRFKDYISHPKMNGYAEQKFDQLLEKKTLLEYMLIYYLQMSVQELPANSTVVDLMERVGAISPRWSPYSFPLKEELRPRINHKPISDPNRKLSMGDVVELTPALPHKSLTEYREEIQRMYERGGFALAITPRS >Dexi6B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:6B:11434056:11441645:1 gene:Dexi6B01G0008960 transcript:Dexi6B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPGARDSRPKHHAFTFIYELEALGDDDFAFALTFELEELSNDDFAFALTYELEGLVTTTTSTSPSPSDSRGSAMTTLPSPSDSWVETTTLPSPSDLRGSAMTNGEYIMVKRSTFAVLIVFAFLLVGFSIAITKYLTNKNRANETTAESLKSSQGNRVHGEATSRWSGLYKFTKEEIERAINYANSRIYLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEQLSKVRHPNLVCLFGYCDEEGDQYLVYEYCANGNLAQNLLRSDSVLPWETRVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTENKVPKLSDFGLAKMLEMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVVLQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLEEFIDPRVRDDVIIEDFVLILKIAVLCVASSSIGRPTIKDVFEEMDKALRNTTMKVRISISIPYYIYCAM >Dexi5B01G0029970.1:cds pep primary_assembly:Fonio_CM05836:5B:31042541:31043188:-1 gene:Dexi5B01G0029970 transcript:Dexi5B01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHHNINCANSRPPTPMGPLHLPTKRTKRNTTNQPPPPATQGGSHMRRLQGGHDASGAAVARPKWTRFSPLRPPCRREYGASQWCPNGRTTPRCAATIATDRTSPPYAPSRALDAPPLDPVGAIQIWRSPASAAARAIHASTRGKGEESRCHRLWEPHGLLKAPSGSSTVEGGGGERDGDGDG >Dexi6B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:6B:3693023:3694102:-1 gene:Dexi6B01G0004420 transcript:Dexi6B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGRRPITHASAFPIPGQSISDSPSWALTSAPGYLSPTVLDPATGCSHGGGSWGVVYSWKLRLVPVPENITVLAVDRRGSADEIAELIYKWQFVGPHLPDEFYLSTRIFFSAKTTTNAGGGNLTMSFTGQFLAPKHHVLFVLNNSYPELRITSPELEEMSWLEAAAKFAELNSVADLTSRFPGVGEYAKRKSDYVQAPISVPYNAVSHAAEWGQRYFGNNYDRLVRAKSKIDPGNVFNNAQSIPPLH >Dexi8B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:8B:3302624:3304145:1 gene:Dexi8B01G0003820 transcript:Dexi8B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTHPMVLPTDTELLKAQADLWRHSLCYLTSIALKCAVELGIPTAIYRLGGAATLSSLATELSIPQSKFPFLGRIMRFLTSSGIFTTDDSSGEGTYNLNLLSKLLVDGIVLDGDAHQKAIVLTTTSRYYMEAALGLASWLKKDTSPPVTSPFQDLHGATLFDDSMACLDPEAHELFSEALAAHDNLGISVVLQKCPELFKGIQSLTDCCGGDGTTARAIIKAFPDLKCTVLDLHPVINKISADDTIEYVAGDMFKIIPPAQAVMLKV >DexiUA01G0011680.1:cds pep primary_assembly:Fonio_CM05836:UA:23290268:23292369:1 gene:DexiUA01G0011680 transcript:DexiUA01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAPDLAQGLPAGEIQLPPPLAVPQPPPPGLSPPGRPPATVGRPPAAAWLDPVNLPSGKSSCCDFCGEPLHFVLQVYAPIQSKETAYHRTLFVFMCPSMACLLLNQHEQGKDRARYPKRSCPRTMNFIYMKNLRDAVNPLGHRPHVQLCHWCCTWKGEKRCSVCRKAYYCSKKHQELHWRTSHKNECRQIIGASNDSASILPDAKKVLGGTAWPEYTLVDEIEKPFCSANCDGNSSELSVVQGKNKPDGMISLMDEFEADADNRCWASFLDRISRAPDQVLR >Dexi2A01G0025240.1:cds pep primary_assembly:Fonio_CM05836:2A:36935099:36935858:-1 gene:Dexi2A01G0025240 transcript:Dexi2A01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFEELRSQAEELQRRGLPNKWHIVKIVAFTAAGIFFLLEAVLSLNQTKSDRIAAWSSPPAPSSLRAALPVRPVASGCAMAGIQALAADPKTWLARDLPRRPVAVASTATKPDVAAGAVRVGDSIMDPGNKPATTPPLR >Dexi2B01G0013690.1:cds pep primary_assembly:Fonio_CM05836:2B:22721533:22721778:1 gene:Dexi2B01G0013690 transcript:Dexi2B01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAIGAGPSRPGRDMAHLILTARGAQARQWAHRPAATQVAQRQRRACAAPHLLLLLLLVRPQRRPFPPDCRTPSALWTPR >Dexi6B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:6B:27108013:27108672:1 gene:Dexi6B01G0020700 transcript:Dexi6B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRAAMVALSSSSSAQSSAISYLSREGRKNRIRSSPALEVWRKASRRRLPAARERRKGVYAAKAASRVEAAIQKRRAAEPSATTAAEEEDAMAGGRGRDDEKRAWQREAAENKHIRIRMYQRRRRRRRRSLAESPAAGKSSDSPPYLEEDDED >Dexi7A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:7A:23600644:23601252:1 gene:Dexi7A01G0013560 transcript:Dexi7A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPMDGSKPWSCMSASMLSPLYVGDSSGSGTSPSRYCTTCRIPGRAPGSGCEHSRPSLSTRLASRSEKSPSRRASTVSATAPARHRSSTQSTNTIRPSSCCTTTGFRPHATSSMKAPKANTSDALEAFPVCPSSGAMYPMVPTTWVVCGSVPWSYSRASPKSPSRAFISLSSSTLLALTSRCTTTCSQSSCR >Dexi2B01G0024200.1:cds pep primary_assembly:Fonio_CM05836:2B:33615604:33626181:-1 gene:Dexi2B01G0024200 transcript:Dexi2B01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVRGLPLPPSLTYPRTSPRRLAPSTRGRSSHSGRRVHGVRAAAVEAAEGASRATEPVEVVGVGSRKDAVLDFCLGSRTLSSTPIRFWTVNVMDNSRVQLIQKGHGTGFNMKDDISVFQMQFSETWNRPYIFIRVHLLLFLFVHFSSFFLTPCVVLVLFGIRPLSMHLVSSAGHDADHISAMELLTAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLQTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFSSSLDAQIKEIDPEEVAKDLNNVVFLSLTTARVLSETDMISILHIFRRVTGFTRDIIFSRNSEPDLEPKLIVVSLLTIRNHYDENVATVQEGFLSSLALHFPFISSLMRGDIPEQKQAGQKHSLNRLPDNGSNNVEQELSQLSNGSSDATVPKMFPEKNEDLESERENNDTNRSMKPESLESDFVVAEGMCNGGNREHLGSEQEHSFLSNSPGFGIAQLWANERTMASRSSKNDELDIITLPVGVKLSEVRSDHSPNTQPETTHSGTTVVSGHVGFGVPFSDVHLEKVMGMCSSAVTFLRGRMDRSQKRGSNSISSRAALMLDAEREPEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFSDGSFYDGLWRYGKRSGLGTLFYSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFGHFQNGWRHGESLLVDANGTRWIEVWDEGGGYASKSQMLQGTLWNAADRRARPSRRRSLAGQGHPLRPSEVGETGGKRAVLWLLTTYARTGQRADTRPAPPRETSAGAGCPDASAHQSGHAGAPKAS >Dexi2A01G0030910.1:cds pep primary_assembly:Fonio_CM05836:2A:41728875:41733052:1 gene:Dexi2A01G0030910 transcript:Dexi2A01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRAARLAARPPGPRAPARLPFASSSSTAPRRVGWWCWCPRDLRADSSGGGSGGGTRAFPFPGRRCCTRPALAHRNRSPAANLGLPPSLRPRLPRSPAARIVTRVTGDGGGCEGRPFVECAGDRPIQELAGGRELHTRGKLAYVLDGDNLRHGVNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASLISPYRRDREACRALLSDGSFVEVFLNMSLELCEARDPKGLYKLAREGKIKGFTGIDDPYEAPLNCEIEIKELDGACPSPSDMARQVVTYLEEKGFLHE >Dexi9A01G0028180.1:cds pep primary_assembly:Fonio_CM05836:9A:32842867:32845390:1 gene:Dexi9A01G0028180 transcript:Dexi9A01G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIAKDLTAGTAGGVANLIVGHPFDTIKVKLQSQPTPAPGQLPKYAGAIDAVKQTVAAEGPRGLYKGMGAPLATVAAFNALLFSVRGQMEAFLRSEPGVPLTVKQQVIAGAGAGIAVSFLACPTELIKCRLQAQSSLAEAAAASGVALPKGPIDVAKHVIRDAGTKGLFKGLVPTMGREVPGNAVMFGVYEATKQYLAGGRDTSNLGRGSQILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPKYSGSLDAMRKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >Dexi3B01G0029500.1:cds pep primary_assembly:Fonio_CM05836:3B:28796032:28804033:1 gene:Dexi3B01G0029500 transcript:Dexi3B01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSTEETPVSDVCEAFKVARGMIQALQENAGRFASMVSAFCQRLGWNDLEGLVGKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQDDSGLRRMQFGIAKKIKDGARKIVLEEAEAARVAAFSAFKSLGLEVPQFTAPPLPAIEESPTRDTIVSPCGDQAKCNKLVKRRLHSEAAAAASRDGRWRNQMHKAAHNGCCRRAAQTRALYTVLKKLLDSQNLHDLVDTIEGPLVNVLADMELWGIGADMDACLRARHIIIKKLKELEKEAYRLAGRSFSLNATADIADILYTHLKLPVPKGCEKGKLHPSTDKQSLDHLRDQHPIVPVIKEHRTLAKLLNGTLGSICSRAQLCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHLVEFVTGKSDNDYSGVPGVDHHQINARNFFIPTQENWLLVTADYSQIELRLMAHFSKDPSLIELLSKPDGDVFTMIASRWVGKEEALISSKERENTKRFIYGILYGMGANTLAEQLDCSTEEAAQKIRSFKRYFPGVSSWLHEAVASCRQKGLVYLLSNSVHTSADGIGLKVFSVVVYVETLMGRRRFLTKIMAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIRVHSVITNRTREVDSSDEVARNFAEIGGHCHLILQVHDELVLEVDPRMVAQAARLLQIFPS >Dexi7A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:7A:18003257:18007822:1 gene:Dexi7A01G0006680 transcript:Dexi7A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPNLQKPPERFPSSSARSIGEPSRGAMEKYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICTAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKELLSRIFVANSAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEKARTPPPSSTPVAGFGWAEEDEQEDSKKPEEKAEEEEDGEDEYEKQVKQVHASGEFQIS >Dexi7A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:7A:17950482:17950853:-1 gene:Dexi7A01G0006560 transcript:Dexi7A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLKLELSGHTPVSTIPMMTSSPYLDAGQTPELVLRPRNAGVCVVWRRPYVSGNAATWPSTRLSSSSCRAVSTALKPVMAWVCTGAGEPSIDVSDDSTERYHPSCSTNGAGILSTSM >Dexi7A01G0017810.1:cds pep primary_assembly:Fonio_CM05836:7A:27351791:27355442:-1 gene:Dexi7A01G0017810 transcript:Dexi7A01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERAWLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSIETCTAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIYELRQAKVSCCDFLLYITLFFFFLQSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >DexiUA01G0000940.1:cds pep primary_assembly:Fonio_CM05836:UA:2995130:2995567:-1 gene:DexiUA01G0000940 transcript:DexiUA01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVGDFGISRILPESASKTLQNSNSTIGIKGSIGYVAPEYGEGSAVTTYGDVYSLGILLLEMFTGRSPTDDMFGDTVDLHKYAEHALSGRILDIVDSTIWLHVESKDGIIRSRIND >Dexi9B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:9B:9701816:9702187:1 gene:Dexi9B01G0014470 transcript:Dexi9B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSELPEPTCSGSEARRANAGLACGGSSRLKMTQTTPPPWAPVTNSITRKTSPVAASSTTSRRPTTEGWPLAAACGLAEDGAEVGGVAGTLHGHRLARDAVPRLDHHASTPKLPSPSTSSFS >Dexi9A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:9A:1462198:1466934:1 gene:Dexi9A01G0002710 transcript:Dexi9A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLALSLRIAPSAPLGLHRRRRVLACRATATFHQLDAVEVRGEEAGFKLAAADGTNLLPLKRCIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQGAEGTNVGRYSVIGAQPTMEIVAKANHVTVMDHEMKSRREHFVPDPMKIPRSIMEQWTTEITDGLPDAFCGGWVGFFSYDTVRYVETKKLPFSKAPHDDRNLPDIHLGLYNDVIVFDHVEKVCARSNMHLCVSKTHVIHWVRLDCYNSADEAYEDGTSRLEALLSKLHCLNVPTLSSGSIKLNVGHFGSALQKSSMSSEEYKNAVVQAKEHILAGDIFQARGCILVASSPEILTRVQKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELRDDLTCWDVLRAALPVGTVSGAPKVRAMELIDELEVNMRGPYSGGFGGISFRGDMDIALALRTIVFPTGNRFDTMYSYTDGNPRQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGAARAIDLAESTFLE >DexiUA01G0011780.1:cds pep primary_assembly:Fonio_CM05836:UA:23516834:23519665:1 gene:DexiUA01G0011780 transcript:DexiUA01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIGNPAKRLDRNPATFLHAYTCLPLAQATRQAASAVLQDVADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKESRDRIQNVLLKSNVLIEVQRSLHESTLHVEDLPTDTNYQSASQHHSSRDMSSQSLSPDMAIGGPAGLWHFIYKSVYLDQYVSSEFPSSISNQKQQKRLYKAYQKLCAFMHDKATGPHKTQFRRDDDYVLFCWITQDFELYAAFNPLADKVGTFT >Dexi1B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:1B:24504626:24512115:-1 gene:Dexi1B01G0018230 transcript:Dexi1B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRHCATRGAQRHTVPSPSTTATYGRPPHDLASRVKASSPQTPATKHAHARTHERPHAIAISDSEQRAMGSSNGRRKSWMSPENMPALDLGSLFRCALRGGARAGMGWALRFGAATCPAAVLLGSRGVSLNLVPVSLKLAYNGVQICDPLAPGHMTPTVLMEFGHQRPIKRGYEEMVFRGGTAAARGYTETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPEFAAPVPVSRAPALSSSSGPRAKKVQRGTTVARGAKGRFLPSKPRPEPSVVLSEAAVFKQCEAILKKLMTQKYSHIFNVPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSEFAADVRLTFNNAMTYNPRGHAVHDMAIHLNKMFENRWRPIEKKLASLATGKHVEVDRADSKRQTPTVDLSEVSMEGVRQAEPLKPKMTAAEKEAFGNCLAEISDDLPTHIIEFLQQCIDSNTDMPGDGEIEIDLQAVSDDLLFELKKQVDKYLQEREQSQQVKPEPSGNEAVNVSGLSHSSTNPCKGGEPIEEDVDICGNASPIMLDKDAQIKNSKFGSPSSSSSDSESSSSDSESGSDSESESEKVGSPSKLVKGTKKPDQLVEQEKSDVISPADANCPMDIVGHPEEDSESKPAPEGEDSKPDTQVSPDRILRAALLRSRYADVIVKARGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDFEREKARQALQEMERTVEINDNLHPKDLEMLGTATAEHIVSSVDETSPERSQDGMPGFHPGSVNPLEQLGLFMKADDEEYEEEPSSVPGIKEAEEGEIN >Dexi3A01G0027410.1:cds pep primary_assembly:Fonio_CM05836:3A:24677808:24678101:1 gene:Dexi3A01G0027410 transcript:Dexi3A01G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLLRLRAAWISSSLCSRVVPGYYAPSWPMAAALAVDLALLRTRHSVYADADQAPGWRADLDASEEEPAVRRDEGVGLVHGDVAAPTEQRAVMQK >Dexi8A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:8A:17067499:17067922:1 gene:Dexi8A01G0010260 transcript:Dexi8A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGTKKKQHLNVIHTEAQYADEIDQQHDNEIDEAPGI >Dexi2A01G0037590.1:cds pep primary_assembly:Fonio_CM05836:2A:47093090:47093639:-1 gene:Dexi2A01G0037590 transcript:Dexi2A01G0037590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYPTVSEDYLKAVDKAKRRLRGLIAEKNCAPLMLRLAWHSAGTFDVATRTGGPFGTMKNPAEQAHGANAGLDIAVRLLEPIKEQFPTLSYADFYQSWPLRSPAGPMSPSTQGGR >Dexi9A01G0005310.1:cds pep primary_assembly:Fonio_CM05836:9A:2959627:2960052:-1 gene:Dexi9A01G0005310 transcript:Dexi9A01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFMARVMQNPEFMRQLASQHKMRKGLEDAISKKRRRRIDHGPEADSMGNGSSLEQGPHAVFEQQEPVESLANGVPSDLESSSVEAKGFEVQQGVSSGGSEHLKGRPTGELNDDFWEDLLHEGGLGAEGGNAVGQGDMNM >Dexi5B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:5B:8337007:8343718:1 gene:Dexi5B01G0011770 transcript:Dexi5B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRLPRAALLFVAAAAAVAGSGELGGGLSREDFPEGFVFGAGTSAYQWEGAAAEDGRSPSVWDTFAHADGRGEVNPKGLEYYNNLINELLDHDMSNSTTRVLEYLKQSYGNPPIYIHENGYAMKQDVVFDDGPRVEFLSEHLRSLADSVRQKATISIDTAMINFCLFRNGSNTKGYFVWSLMDLYELLGGGGLGTTYGLYYVDFADKDLKRFPRRSALWYADFLQGRSGGVIPARASGSSLAVSSV >Dexi9A01G0021880.1:cds pep primary_assembly:Fonio_CM05836:9A:16860487:16864559:1 gene:Dexi9A01G0021880 transcript:Dexi9A01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGITPEDNSSDEELSEEEDDSGDEAILGNPDP >Dexi7A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:7A:23074249:23082344:-1 gene:Dexi7A01G0012890 transcript:Dexi7A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPLRSPAAPCVAPLPASRSLAPPRAVSFAHIDAPVRHRSRPPAPTRAVSSARMARRRRSCQCFGSLPGAATRESALPERGHHPGHRVGGGASVVARADAAAPAVRATMAVRITLKPPWEVEKKLKSAKRRSSRSVLWTEMADDDPAAAAPPGLHIQEGSIRRVKLSVASSEEILKAQPVDELGKPFPITHCSQLQDNPSLGLPLQVGSCESCGAAQINKCEGHFGLIELPVPIYHPSHIAELGKILNNICLCCLCLKKPRKKGTGMEKKFTSCSYCQDIPPLFVTQVKKSNGACSLELKAPLKEEVADGFWSFLDQFGFHTRRTSHRRPLHPKEVQNIMQKISKETKTRLAARGYNLQDGFVMSYMCVPPNCLHISNLLDDNTAMCPPDTSKGLLQKVLRIIEQIKSSSINQPNFEAREVGEDDLQLAVADYINMGETTKGTQSVTFTRQPAPKQWQQRMKDLFISKSSSFTCRAVITGDPYIRLDVVGVPDEVAGRISVEERVTSYNIARLQVMMDKGGLCLTYTDVNSNTYDLIGEKGSKKRTTLKVGETVERRVIDGDLVFLNRSPSTDKHSVEALYVHVHVDHTIKINPLICGPLGADFDGDCVHIFFPRSVSARVEAKELFAVENQMMNSHNAKLNFQIKNDNLLALKIMCDRRYSREEANQIAMFSPGMIPPGDHYWTIPQILQVTGALTTLPSHTNKESVGALVTAAISSTLSEKGPREGMELLNLLQPLLMESLLMDGFSMNLSDFDGPSAMRKAMESTVLDLNEFRQSTVDFIAHSSALGLLVDLKSDSAQRKLVEQIGFLGRQLQNSGRLYSGNLVEDCYRFLDKCSGSTKCCDPLKAHDVVKSSFYNGLNPHEELLHSISVREKIERSSSKALAEPGNLFKNMMAILRDVIVCYDGTIRTSCSNVIVQFGSTKVARSVTPGDPVGILASTAIANAAYKAVLDPNQNNMSSWDLMKEILLTKTSSMTYTTDQKAILYLEKCFCGLEFCMERTALKVQSCLKRIKLEDCATEVSINEYCLCDQDIGDEKTLQVSCLQFFLDASTTTGLSETNVVQLMTDTICPILLDTIIKGDPRVQEARIIWVERELTCWVQNSSAEQKGELALEITVEKVAAAESGGTWGVVMDSCIPVMDLIDTTRSTPYNIQEVHKLFGISCAFDRVRQHLSKAVGMVTKSILIEHLTTVASSMTCTGSLHGFNRPGYEATFQSLKIQAPFTEATLFSPMQCFRKSAEKIDSDQLASVVSTCSWGNRAAIGTGSAFEIHWKDENQFASNEILGGYGLYDFLATVGTIGAAEHKTDTHQNPCLYDVDDIPEDEVVCLGGNLPLSWTDQPKVNSLLHDFKGRRAGIHNNRQEHQGMENMSNWNSVPNWKNDRPRGPPHCAFAGSTNTSGSNKRRFTGELFERKQSKHSWSSAVTRQDDKPSWCSKNDAGKQKYGVAESSSSGGWKRKNGVFGQGGGRGMGKSEGSHRGGSNSRNWRVQNNSSARQGGHTSYSFTPVEQQIYAQVEPIMKNVKRIIRESRDGMKLSRDDEMFIVNNVLILSLNPCRDGMKLSRDDEMFIVNNVLMYHPEKEKKISGQGNYIM >DexiUA01G0016660.1:cds pep primary_assembly:Fonio_CM05836:UA:35563596:35565529:1 gene:DexiUA01G0016660 transcript:DexiUA01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKAGTEEPLLLSRPDSENTAVAEAKRLLRLAGPLVASCILQNVVQLVSVMFVGHLGELPLAGASLASSLANVTGFSLLAGMASALDTLCGQAFGARQYGLLGLYKQRAMLVLALACIPISVVWANAGQILVLIGQDHDIAAEAGAYSRWLILSLVPYVPLVCHIRFLQTQSIVVPVMVSSGVTALSHVVVCWALVFKAGMGSTGAALGSAISYGINLAMLALYVRLSGTCTRSWTGFSTEAFKELRRFTELAIPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGALLFMVPFGLCTAISTRVSNELGAGQPQAAKLATRVVMGIALSAGLLLASTMILLRNFWGYMYSNEPEVVTYIAKMIPVLAISFFTDGLHSSLSGVLTGCGEQTIGARVNLSAFYLAGIPMAVLLAFVLHLNGMGLWLGIVCGSLTKLVLLLLITVRINWEEEVT >Dexi9B01G0041650.1:cds pep primary_assembly:Fonio_CM05836:9B:42099919:42105173:1 gene:Dexi9B01G0041650 transcript:Dexi9B01G0041650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHDKISAVISLLTTEFTYSPQANHRKQGGLIGLAAVTVGLTSEAAQHLEQIVPPVLASFQDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPVVLMFYAFHADYSTLNEKNVDYGRMAEILVRRAGSTDEFTRLTSITWVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLSRYRVEVVLLVLEVHACIAEESHHFHHLVSYLIRTFHDNHVLLEKRGALIVRRLCVLLGAEKVYREFSTILESEIDLDFASVMVQALNLILLTSTELGELRSLLKKSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSMGEDDINVKFLVQLDKLIRLLETPVFTYLRLQVTCSDNPFLQVYAHVPHEPLNLTSMFPTWLF >Dexi8B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:8B:6849519:6850128:1 gene:Dexi8B01G0006040 transcript:Dexi8B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHLLNAATTGDGASMKRLALRDPSMLLATNPQGNTCLHIASMYGHKSFCIDVITLNLSLLAEVNMDGETPLLVAVTSGHVDLASVLLKWCHEWQLSCTILKQDKHGCNVLHHAIRSGHTALAVELIVAEPALSRAVNCDDESPMFIAARRDFMDVFEELLNTPGSADCGAMGYNVLHAAVCNDNQGIVKC >Dexi3A01G0036370.1:cds pep primary_assembly:Fonio_CM05836:3A:41774734:41775474:1 gene:Dexi3A01G0036370 transcript:Dexi3A01G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWATDDNSLHNAFSSFGEVLESKIILDRETQRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRSITVNEAQSRGGRSGGGGGGYGGSRGGGGYGGGGGGYGRREGGGGYGGGGGGGYGGGGRGGYGGGGGGGYGGSRGGGGGGYGNSDGNWRS >Dexi4A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:4A:6636761:6637779:-1 gene:Dexi4A01G0008680 transcript:Dexi4A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPLPAAAVSGEEERRSAATGPCLSRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEGDFIEQLLHARFLQDQYEPAAYMAYRWQVSYFTTGSVLTSRQCRNTNHRASHTFLDDHVFQQVGIADNYDLYDVLEEVLSEGLSHDALKKLPHHVVTDQKQESIGEDLSCAICLQVLMSHCIFV >Dexi9B01G0048620.1:cds pep primary_assembly:Fonio_CM05836:9B:47511253:47515278:-1 gene:Dexi9B01G0048620 transcript:Dexi9B01G0048620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLATSLSHHFSRRLHLSISHLIPPHHDRSESPSSSTAAPPPPQPQPSPPFPSALPRPSASRALTSLPLPFALHLAAHRNFSSTSSSSSASDIDAAADVLSDAASSIPVSELLSDEVASAAASVPLPPAPYAGEVAAAAAESFPPVAALQHLLDAVHSFTGLNWWACIALTTVLIRLVTVPLLINQMKSTIKLNDIRPEIEAINEEMRNSTDPRSVEVAKQKLGELFLSIFFLSSYNCIRDITKVIVSLLSCSRNGVTPLTPLKGVFIQGPIFMSFFFAISNMVEKVPSLKGGGAYWFTDLTTPDDFFILPVLTSLSFLATVEVCILAAVVKVLEHRFGVMVVFKISEVLRKPAIRLWLDLPSLESQPTPVRMPINLFGGGPKPSPGVDSPTADKECDQYSTPADKECDRSSSVLSDRIIDLENRAKSRGESQE >Dexi2B01G0014660.1:cds pep primary_assembly:Fonio_CM05836:2B:24472842:24477437:-1 gene:Dexi2B01G0014660 transcript:Dexi2B01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRESRRDSSHSSSSNGFLPPAAASHSSSALPSPFPELGVALSAADLREAAYEVLVAASRTTGGKPLTYIPQSGAGAGATASPASSASSASSASLQRSLTSAAASKMKKALGLRSSASTKGVGSPGSGGKAAPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAENMVLPLEFLQQFKASDIPDPQEYEAWRSRNLKLLEAGLLVHPFVPLNKSDSSAQRLRQIIRGAHDRPLETGKNSESMQSLRTSVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNEEGTVVDEIDEVMELLKKTWVILGINEMLHNLCFTWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAAKVLVEDISHEYRRRRKEETDVARSRVETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAMKEKNLYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLTWNPGANRENFAPSSVEMLRVIGETLDAFFELPIPMHPALLPDLTAGLDRSLQLYVSKAKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRVSQNGATNGNDPLGLPQLCVRLNTLQYIRGELENLEKKIKTCLRNVESAQADITDGLDIKFELCQAACQEGIQQICETTAYKVTFYDLGHVLWDTLYVGDTTSNRVEVLLRELDPVLETISGTVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFRALRYLYLADGDGLPEELVDKASSQVKNVLPLFRADSESLIERFKRMMVESNRSASKNRLPLPPTTGHWSPNEPNTVLRVLCYRSDETATKFLKKTYNLPKKI >Dexi8B01G0007790.1:cds pep primary_assembly:Fonio_CM05836:8B:9693652:9694383:1 gene:Dexi8B01G0007790 transcript:Dexi8B01G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVDWLFDVLASLGRFWQKDAKILFLGLDNSGKTTLLHMLKDERLVQHAPTQQPTSEELSIGRINFRAFDLGGHQMARRVWKDYYAAKVDAVVYMVDAADVARFAESRAELAALLSDDALAGTPFLVLGNKIDLPWAAPEHELAYHLGVAGYTTGKGGVDLAGTGVRPVEVFMCSVVRKMGYGEGFRWMSQYIK >Dexi8A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:8A:6798025:6799566:-1 gene:Dexi8A01G0006550 transcript:Dexi8A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCEMNESPPPVVVVSDEEEIMSAPQNTMNIQNPKMKGPEILDAVPLRMIPYGGKEPIAFDREKLGLFGNQSIPVKARLIHGRKQEVPVPPALQSLNGYKEGDWRAFLDKGVNGRRRDWTFRHRKYRRAFRSQELVKEFLESNGPATGMFQGKEIRKKVVTTLHELIA >Dexi4A01G0023810.1:cds pep primary_assembly:Fonio_CM05836:4A:26780899:26781325:-1 gene:Dexi4A01G0023810 transcript:Dexi4A01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSTYIHEIRKLIAASSEETASAGSAPGSAHLEVKLREVLPNLLRDYVIPSPKASERELREVIALLKLVAFTALKFPGVFYNGRAADVISVIGRILPFLAEPDFR >Dexi2B01G0025880.1:cds pep primary_assembly:Fonio_CM05836:2B:35067173:35071044:1 gene:Dexi2B01G0025880 transcript:Dexi2B01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWLLVVLASLLCFVAAAADDGDVLLEVKSEFVDDPEGVLSGWNATSGASAAGFCSWSGVTCDDAGLRAVGLNLSGAGLAGPVPRALARLDALQAIDLSSNALTGPIPAALGNLASLQVLLLYSNHLTGSIPPSLAALGNLQVFRAGDNPGLSGAIPDALAELGNLTVLGLASCNLTGTIPASLGRLPALTTLNLQQNALSGPIPRALAGLASLQVLALAGNQLTGPIPPELGRLAGLQTLNLGNNSLVGPIPPELGNLGELQYLNLMNNRLSGRVPRELANLSRVNMIDLSGNILSGELPAELGRLPELTFLVLSDNQLTGSVPGDMCGDEAESSSSILQHLMLSTNNFTGEIPEGLSRCRALTQLDLANNSLSGGIPAALGELGNLTGLLLNNNSLSGELPLELFNLTELQSLALYHNKLTGRLPDAIGRLGNLEELYLYENQFTGEIPDTIGDCTSLQMIDIFGNQFNGSIPASMGNLTHLTFIDFRQNELSGVIPPELGECRQLQVLDLADNALSGPIPATFGNLRSLEQFMLYNNSLSGTIPDAMFECRNITRVNIAHNRLTGSLLPLCGTARLLSFDATNNSFHGGIPAQLGRSSSLQRVRLGSNMLSGPIPPSLGGIEALTLLDVSSNELTGSIPATLAQCKKLSLIVLSHNQLSGQVPDWLGSLPQLGELTLSNNQLTGAIPVQLSNCSKLLKLSLDSNQINGTVPPELGGLVSLNVLNLAHNQLSGLIPTTIAKLSNLYELNLSQNYLSGPIPPDIGKLQELQSLLDLSSNNFSGHIPASLGSLSKLEDLNLSHNALVGAVPSQLAGMSSLVQLDLSSNQLEGKLGTEFSRWPQGAFADNAGLCGSPLRGCGSGGDRSPLHSVTIALVSAAITLSIVLFIILLALLVMRRHGRRSREVNCTAFSSSSGNTNRQLVVKGSARREFRWEAIMEATANLSDQYAIGSGGSGTVYRAELSTGETVAVKRIAHVDSDLLLHDKSFTREIKILGRVRHRHLVKLLGFVTSHDAGGGGSMLIYEYMENGSLYDWLHGDCHKKRVLGWDTRLKVAAGLARGVEYLHHDCVPRIVHRDIKSSNVLLDGDMEPHLGDFGLAKAVAENRQAGFGKDCTESASCFAGSYGYIAPECAYSLKATERSDVYSMGIVLMELVTGLLPTDKTFGGDIDMVRWVQSRMDAPLPAREQVFDPALKPLAPREESSMTEVLEVALRCTRTAPAERPTARQVSDLLLHVSLDYYRAGEKR >Dexi1A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:1A:19679638:19683728:-1 gene:Dexi1A01G0013930 transcript:Dexi1A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVKSSFIHRMSCLRHRQYRWAYGPRPMGQPKARIFSPTRARPSTKVFVPCRAWATSSARRAVQARHDERRPRKARWTAHGTRPTGPYKTLIFYYTQSTSHILRHAACLRPSPTRALPFIASRRRVRSSSSRELPPHALFLLPWPPPAGRRRRAHTTGRILAPSPGGTLCRRRASCRHGTTKTLACRPPCLAGPGRVGLVPRRARAVSGSCRAVPAIRPSILDPVFSFRYSRRTYARQKREAYSSSFSADEIIRLASSLRPRRLPPSLSQIPRLPSAISSAPNFTVSTPSRWPTGWTYGDVLSCITKAKGESAPRPGRRISPLRFPSSSRLNNVECRHRVREDPREQTESDRPPLCFITAGGLLAKSTGCRRGIPYRTATGGFLVRRDSSAAAGIASWLSGGVAEAIIKDTQIHSDKQRQRRTSVAKVNFAVMLAVLRPAHIGRSHHSLAIESFNFAGRTGGLR >Dexi8A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:8A:23391364:23392624:1 gene:Dexi8A01G0013400 transcript:Dexi8A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEDRISGLPDELLHGIFLRLGSVRDAVRAGVVSRRWRHVLGRPLPELVFEQDLHDHEPPPRPPRLEPFLDSVDAALAACDAPVVKRLRIYWMWCGPLSMAAASRLPTGRVEPWLRFASERVVDFLDLHVHPPGLRGVNGEGGVIDLPLCEWVKGISVGFGTGWRIRPPSAGLFQALTELTIRDGCMDGSELTALVCTQCPGLKKLHLCLRLVDAFDISIRSDSLQSLWFGVQKTRRLEIVAPELEKLSVILAIDEVQITAPKLEELVWPKFDPSCHGFDDVGRHLRMLEPGRKSGSLMQQFDEVDDLRLTISIPQELDGYESFLDETNWLPK >DexiUA01G0021020.1:cds pep primary_assembly:Fonio_CM05836:UA:43312971:43313192:1 gene:DexiUA01G0021020 transcript:DexiUA01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAEWHPDSASAVAREGGRCSWQEDGQQARAVGEGGAAGKQSGKAVPFPDQGVLLQSLEAVGEGNTPGEP >Dexi6A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:6A:24579406:24583133:1 gene:Dexi6A01G0016700 transcript:Dexi6A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEPAQLSRLDLTSTQQMQALINSTRSSYPRAHSNHGGIITTRIPSHHACDNEDDAEHEDWRELYGLHLQLELEPAVHDARDEGTTDSWIERNPSLIRLTGKHPLNCEPPLPHLMRHGFLTPAPLHYVRNHGGVPRGDWSAWTVEVTGLVARPARLTMDDLAGGLFPAVEVPVTLVCSSNRRKEQNMARQTLGFHWGPAAVSTSVWRGARLRDVLRRCGVVFPGAGAGALNVCFEGADELPGGGARTTYGTSIRYEWAMDPTMDVMLAYMQNGEPLLPDHGFPVRVIVPGCTAGRMVKWLRRIVVTTAESDNYYHYRDNRFLPSHVDAELADAEGWWYKPEYVINEMNINSVITTPGHDEMLPVNAITTQGGYTMKGYAYSGGGKKVTRVEVTLDGGETWLQCDLNHPEKPNKYGKYWCWCFWSVKVEVLDLVTAKEIAVRAWDQSLNTQPEKLVWNLMGMMNNCWFKVKVNVCQPHKGEIGLVFEHPTQPGNNPGGWMSRQKQSHLDAGEVAAVAGHKRTPPNPITNTTVTTDAEKQFTMAEVSEHASRDSAWIVVHGHVYDCTNYLKDHPGGADSILINAGTEITEEFDAIHSDKAKTLLDAYRIGKLITSSSSGHNSDDSIHCASSLSHLDPIPMAIKLPTPIALSNPNKKIPCRLVSKKELSRDVRLFRFALPLPDQLLGLPVGKHIMVCATIDGKLRMRAYTPTSSPEEVGHFDLLVKIYFKNEHPKFPNGGAMTQHLDSLPIGSCIDVKGPLGHVVYTGRGVFTIDGTARKVRRVAMIAGGSGIAPVYQVIQAVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAKEFPERLKVWYVVDQVKRPEEGWEYSVGFVTEDILREHVPEGDGDDTLALACGPPAMIELAVSPSLEKMKYRRSSNFFVF >Dexi2A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:2A:5787453:5789790:-1 gene:Dexi2A01G0006100 transcript:Dexi2A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNHDLRKLLAEITEKSIIVIEDIDCSLDLTGERRRARRRGQRSPSPDYAGDDHEKPSKVTLSGLLNFIDGLWSASGSERAHRDGEAAKSAGEKKGRRRRGRKKKKQEKAEVTPEADAKAATAAAAPAPEMKQEMAEVTSEADSETV >Dexi2A01G0012520.1:cds pep primary_assembly:Fonio_CM05836:2A:14492470:14495176:1 gene:Dexi2A01G0012520 transcript:Dexi2A01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGAARQAHGILKVLPTTCRSLLQRHAECLELEELAAISVNPTLLPTLNQLLLEVYAILRPKPLDYEQRNSLVDVFRKITKQIFGCNDGFPVVEPFGSFTMDLFTPYSDLDLSVNFSANTDDRYTRKEKISVIRKFSKVLYSQQRNGVCRGVLPIVSARVPILKVTDCGTGIECDVSVENKDGMTRSMIFKFVSLLDERFQILSYLVKFWANVHDLNSPRQLTMSSMSIISLVAFHLQVPWICYRFVQTRCPPILPAFSAFLKDGLDCANVERNILLFKESGSKNTESVAELFVSLISKVSMFKFHLLLVFAVEIAFHD >Dexi4B01G0023800.1:cds pep primary_assembly:Fonio_CM05836:4B:25084001:25088062:-1 gene:Dexi4B01G0023800 transcript:Dexi4B01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGYDAGAGFLGEVDPDGDDGRREDSLVAADSSSVDCMHGSFSSSLSLNGVRVDDEQSALDNSSRPSSPFDILTPQDVLPIEVARSKFLDLIVNYFISEHVVEVVECTGLECSQMDDKSSKRKQHGVRYEGDPRVASPLMYIANLYETLVSDVNMRLVSLIGFREKTIGLALEASGGLYRKLTQRFPKKGPCSFKRRELATSHATRTKFPELVAQEEKRVRFVVINGLTVIERPDSMRMEDAEWFKRLTDRSEVAICSSDYKFYSPRHKLRRSPQAPFDIPETSPTSPAKFCDECGSPYLRATSKFCSECGTKRLGM >Dexi7B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:7B:25248369:25249369:-1 gene:Dexi7B01G0019770 transcript:Dexi7B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALAALLTVVAFVGFVSVPRALATDPTQLQDFCVADNNNQAVLVNGVVCKNAKVVTANDFFFHIKPATPNAQGSGVAPVAVTELPGLNTLGISLARIDFIPGGQNPPHTHPRGSEILTVIEGTLLVGFVTSNQLLNNTLFTKQLVVGDVFVFPQGLIHFQLNNGKVPAVAIAGLSSQNPGTITIANAVFGSKPPIQDDILAKAFMLEKATVDWVEEAFGAPPMAGGGGGMPGGGGMPGGGGGYPGGNGSGGGYPGYP >Dexi9A01G0043840.1:cds pep primary_assembly:Fonio_CM05836:9A:47541014:47543333:-1 gene:Dexi9A01G0043840 transcript:Dexi9A01G0043840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFWVSAEHLEDVLLKNLASSFCHALKKFLSFISNSDEDNVLLDSSVDLMETISNLENGNLTGPDSGATHAHCIDKHWICEDLLNFFSAVPGFHANSKSFARLINYMLHLERQA >Dexi5A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:5A:8501062:8502048:-1 gene:Dexi5A01G0011350 transcript:Dexi5A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKSSTPSPPTSFFKILKDGVLLPARNRSLFMVVFALAVAYTSLLLLINDLAIKPRANDVLRDVMAFRVTNGTEAITPDERDQLLQSLGKDTWRLVWASAAYLLLDVTVGNAVWIVALFAAVATFSGETSSCSFAALLGKARAQQLKGTVLTVAFVYGLQVAYFVLLLSAMAALLVHLFVKGPTGLLLLGFLLLFAAAVFLVYFVFLCALSVVVAVAEPERHGAGAVGRAWRLLRGRKRRAVLLVAMVGALNFACYRAHALARTRAVGSTALGMLLGFVYAVAVAGVELFAVCAMTAFYYECKESNDAATTKEFVKLASEEPLIGA >Dexi9A01G0038020.1:cds pep primary_assembly:Fonio_CM05836:9A:42297870:42298629:-1 gene:Dexi9A01G0038020 transcript:Dexi9A01G0038020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQEGDASGKSKQSRSEKKSRKAMLKLGMKSITGVSRVTVKKSKNMLFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGVSQEDNEEEDVDETGVELKDIELVMTQASVSRPKAVKALRAANGDIVSAIMELTT >Dexi9A01G0035800.1:cds pep primary_assembly:Fonio_CM05836:9A:40380687:40385310:1 gene:Dexi9A01G0035800 transcript:Dexi9A01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSASSPDCPPSQPPEPPPAPPQEAAAAGKSEQPAAPAEKPARRERRSRSSRPAPGGSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDCKQPMTSRVVTLCLRAAGGRANGDGSKKTRTRDRPKAVPAPEANAELQKRRFITHTNAKSKSEKFPPPHQDGAVGVPLDSSNHMDPLYEPPDPSSFSTVFTYEKGAVPTWSGPLADPAAVANQKRKHKSGRSSKQPATARAWLGRELK >Dexi7A01G0019280.1:cds pep primary_assembly:Fonio_CM05836:7A:28525525:28526681:1 gene:Dexi7A01G0019280 transcript:Dexi7A01G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTARIAIELGAAGIIVSNHGGRQLDHAPATISCLEEVVREAKGRVPVFLDGGIRRGTDVFKALAMGASGVFIGRPVLFALAVDGEAGVRNALRMLKDELEVAMALCGCPSLKEITRAHVTTESDRIRRSLL >Dexi5A01G0012160.1:cds pep primary_assembly:Fonio_CM05836:5A:9080087:9083144:1 gene:Dexi5A01G0012160 transcript:Dexi5A01G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >DexiUA01G0026620.1:cds pep primary_assembly:Fonio_CM05836:UA:56938085:56940097:1 gene:DexiUA01G0026620 transcript:DexiUA01G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKCLDILGVSDSLTQYVYRTQQMPILAYQPPIAITISRMVAQVEKPNIEWPKALQRSRTLLLEKKDMLKTWQNQMSPAVSRHMSVESFVEDIASPFLHVLSPLSLRPVALNLLSEREKDELVELIDTMVSYSVTYRNTKFAAQERANMSVAPHDAPSLSLYPPISDVINFKGYKSEHVDLSQAMKQVLVHEVEKQKIIKDSTGKLLNQTNDGDINRKTLSAIRKKAVTDSVAPALHSSKDSSKRNSTTLQMQSSSAPSLNSKDPTPPKKHSNHATNFFDRFRKERPVDAKTHSDSGQQGATIQRDSRPLIFKYNEVCSPQLRL >Dexi6B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:6B:1096310:1097104:-1 gene:Dexi6B01G0001340 transcript:Dexi6B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPQRRKSRSPRLAAVRTGRSPAAASPPRRRQGFLTAVRRAPPQGPSSRSSTRTSRREGKGKGRTLSRSASEPALWFGARVHAVLPADLDHHSPPSPPPPPLERPHTCFDVFAPESPFPSAAALTNPSPREAKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAREGRRPHLDPAAADSFQLHHSHFSLQSEA >Dexi8B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:8B:26424760:26425312:1 gene:Dexi8B01G0015670 transcript:Dexi8B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWERKPRRMSSEWSAERSGKEETQPRRGRKLRRSGEWRRRRADSIRKASSGEDDAERALTAALRSRSGDGGASGGRRRRSGNAGGGAEERRSSAAREWWCGDGGAAGSARRTAKARSSSGRARASGQWWRRCSRRRSAVRGCHPSGVVMAEEKGGDGDGAGSPVAGDIGDGDDLARGGG >Dexi9B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:9B:9138966:9148869:-1 gene:Dexi9B01G0013640 transcript:Dexi9B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIITEEGEDPRFPGRARTLGSAGADPTAREASANLHARHTVANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQG >Dexi2B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:2B:25495039:25498235:1 gene:Dexi2B01G0015490 transcript:Dexi2B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLAALAAALVVLLACCFCGVRGDESPEVRDSLIKFLTALAGGDDQAALRLGWNASIDPCRRGNVSSLWGKTVGCFGSDSGNDGFIKRIDLDAVHGLTGTIDAALLCAAPAVRVLSVRDNGLRGGIPEGISACSGLTHLIVSGNELSGDLPASLTQLKSLHVIDVARNNLSGPLPGDLSKLNLDRFMANDNHFDGTIPDFNLYQLENFTVSNNNLTGPIPENAEKFGNQSFWPNAAGMCGTPLFDPCPSEADDGNGKNGKHKKRAVPKIVMYLGYVLLGAAVLAFVLYKICSSKKKRSNKLGLKSKPSRGKGVYDSSRLTTTTTTTTTSVTTPNKSAAYSIPTSAEHSVAAAGAASAVAPPSTSLVVLRRSGTASPITSRAAAAAAKDLRFEDLLKSPAELLGRGRFGSSYKVVVPGGAALAVKRVKDAAVDEAAFRRRMERIGRAKHAAVLPPLAFYCAMQEKLVVYEFQSNGSLEKLLHGSIESSQGPLDWTARLHIAAKVADGMAFMHTTLSGSDGATSNNSPSSGDDAAATDGPIAHGNLKASNVLFTAGMDPCVSEYGVTSPAGGDAAALRGDVHAYGVLLLELLTGKATSARGDGAELARWVTSVIREEWTAEVFDRALLSGGGDGSSEQRMVRLLQVAMRCVDASRGSAPPPTMREMHLNI >Dexi9A01G0042130.1:cds pep primary_assembly:Fonio_CM05836:9A:45675345:45677144:1 gene:Dexi9A01G0042130 transcript:Dexi9A01G0042130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVINTQAKLRLLKFYSFQPPEKHQELVRGVFQLLSARPDSVSNFVEVDAIFGPGMKMVYKHLATLYFVFVFDNSENELAMLDLVQVFVETLDRCFKNVCELDIVFNFNKSV >Dexi2B01G0029040.1:cds pep primary_assembly:Fonio_CM05836:2B:37544987:37549984:1 gene:Dexi2B01G0029040 transcript:Dexi2B01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEERHGPGGGDSGGGKLWNLCRMPFRQAGGAPAPAPPQSSSSGIHHSTGRYGHEAPVAGDGGAQGASAGSISSVAKSLLPARRRLRLDPANKLYFPYEPGKQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAVLAPGETIIATVFKFVEHPENNENVRQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILKVVFLDINGPSPQLEKLNNQLAEAEAALEARKKPPEEGQKLVGEGLVIDEWKERRERYLAQQQVEVVDPL >Dexi7A01G0003390.1:cds pep primary_assembly:Fonio_CM05836:7A:12808145:12809341:-1 gene:Dexi7A01G0003390 transcript:Dexi7A01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVSRGDRHVALATGEEGTRLSYYASASEMNKYWHSVFPKTSIPSAVLDRFNAPSDQVSRENTKILHNWAHRVASEKLLFPENVFTVGAQINLNIDRADGLHTPWLHPDIGDSIVMSTNNFTDTATTFAPVSASMARDMWSTLSSCEHPRELSSEQKACAASVGSMHEFVVSALGTGDLHAVSTSLDVPEEGIRSPSYMYKVATVRAVSAHGDKAATNTVTCHSMSFPFALFYCHTVNPTRIYEVTLQREEDGVVIASPRTPAVVRRALAVCHVNTLGFDPTLKYWVKLGARPGEASVCHFLTRGDVLWAPTSAS >Dexi4B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:4B:3223946:3225244:1 gene:Dexi4B01G0004530 transcript:Dexi4B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAEVLTSEVVVPAEETPAGGLWLSNLDLAARRGYTPTVYFFRSNGDPGFFAADVIKASLAKALVAFYPLAGRLGVDATGRVQVDCTGEGAVFVTARSDHYTLEDLLSEFVPCDAMRDLLVPPTPAPNPPCPLLFVQVTYLRCGGVVLGQAMHHSVCDARGAAHFFETWASISRGEDDAPVTPPCFEHTLLAARPSPRRAVAYDHPEYKPDPTPVDAAAAASEYASAIITMTKSQVSALKARCPGASTFRAVVALVWQCACRARSLPSDAETWLYSMIDMRARLAPPLPPGYFGNAVVRTSASATVREVVSDHVGNVARRALAVTSHGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWVGMSLYKADFGWGAPAFMGPALMYYSGFVYVMNAAGKDGDLALVLSLEPESMPEFRKVFAEELARLDVV >Dexi9B01G0037960.1:cds pep primary_assembly:Fonio_CM05836:9B:39207725:39210394:-1 gene:Dexi9B01G0037960 transcript:Dexi9B01G0037960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTACFRLPSLPARARGRFANPPPTASPHRRHSLKCSAANGDSSNPNSISISSTSPPRGTVADGVESVDVNGLRRPSAPVSGLTVPGARDPHWLPRPLTSADVMEANGKGLKVAYQGCPGAYSEAAAKKAYPNCETVPCEHFDTAFQAVQNWVADRAVLPLENSLGGSIHRNYDLLLRHSLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTELGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLLKNFDYLFYVDLEASMADPKTQNALGNLKVLFLG >Dexi6B01G0008180.1:cds pep primary_assembly:Fonio_CM05836:6B:10125444:10126328:-1 gene:Dexi6B01G0008180 transcript:Dexi6B01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLA >Dexi2B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:2B:27253766:27255308:-1 gene:Dexi2B01G0016940 transcript:Dexi2B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVERYGPSVGMVLVQLFYALVDVALKTASRLGMRPIVFVAYRQGIAAATLLLASLAGRGCTLRPMAVGARAFGLIFAASLATATGQYFYLKGLLLASPSMARATTNLAPGITFAIAAVIGLEKVDVRNVRSIAKIVGTAICLAGAMFMAFFKGPKLLGAILLSATSDDWVKGGIYLIGNAVCVSIWYIFQVPVCKSYLDPLSLATWMCFLATLQCAVVAFFLEPNYLEIWKLNSFWQFPCILYGGVFASGANFFLQSWCISLKGPLYSAIFTPMSAVITAILSTLFLNEELHVGR >Dexi6A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:6A:20832185:20833373:-1 gene:Dexi6A01G0013590 transcript:Dexi6A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFPLIDAAIEGADPAAGGLLSRDEFRSARGRIIELLCDAAADEDEEEAEGLCVLLDEAMAGSLATLRAVPAERLALASGDLVGAIGQLMKDHASEPVRGLARDVVRGWKADVGAKLARARAAMDLLDGLSSTAPPPPLQDVATTAPPTAADSSARAEKILEEQARPRKTSVVVSNSRRVSTTESYAALSKKRSSDPIVRSSNDVKPAANMGAPAAVSALPKKTPPVVLVSRVAEEGKKIEATKRKLHDHERYQEAEYAKRRRTIQMIKPPRPPPATAPRIAHQSVRPRNCAAESATTVH >Dexi8A01G0016320.1:cds pep primary_assembly:Fonio_CM05836:8A:27693228:27698342:-1 gene:Dexi8A01G0016320 transcript:Dexi8A01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSVQWRSPSRLLRIPIGDWLVGAGRRPQRRRRGEPASLGLDAPNGRGRSELRRPAFDVRSPVARLQREEPKKQSSSEAGQRDEEMNRRSSMAATCISIVLLVLSSAAAAAAPIPTNGNGSDTDLAALLAIKAQLPDPLGILSGNWTTAVTFCHWVGVSCSRHRNRVTAVELQHLPLNGVLPPQLGNLSFLTVLNLTNTSLTGTIPDDLGRLHRLKVMDLMMNSLSGSIPPSIGNLTSLEVLVLNLSGSIPHSIGSLPLLEYLNLQVNHLSGPVPPTIFNMSTLQILALTYNYGLTGPVLGNISFSLPMLQRISIGMNSFTGQIPSGLRACRFLQHTQLHSAIPESIVMLENLQWLALERNDMFGPIPSNLAMLKNMPSNVLFDEDMTAHVADFGIARLLLGDDSSVISVSMPGTIGYIAPECGAYGKASRKSDVFSFGVMLLEVFTRKRPTDAMFVGDLTLRQWVFQAFPAELVRVVDDELLQWLSSCNLEDFLVPVLELGLLCSSDSPEQRMTMSDVVTRLNKIKVECNKSIATAQKIAQ >Dexi8B01G0002790.1:cds pep primary_assembly:Fonio_CM05836:8B:1963737:1964138:1 gene:Dexi8B01G0002790 transcript:Dexi8B01G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVIADIEEDELFELDIALLDGHGDCHVHCSQTVHSSAEDDDAAAGHALLANCLLPVRSVSNAVPVVAASSVLSSPSYPYSGYYGSRRLLFTGGSSSRRFLGGRWSAGGSSARLCFSSRGFETMGNYFQRY >Dexi3A01G0012280.1:cds pep primary_assembly:Fonio_CM05836:3A:8895846:8896523:-1 gene:Dexi3A01G0012280 transcript:Dexi3A01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVPPPPLLASHAAVRAAASAVSRSRRGRLTGDHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDI >Dexi1A01G0001630.1:cds pep primary_assembly:Fonio_CM05836:1A:1089788:1092467:-1 gene:Dexi1A01G0001630 transcript:Dexi1A01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHQCMAAAAAAAAGDGGASVEAALRPLEFGSGGSRTRLLVPVAGGLVELFAARYMAEEEHMAELVMAQCGVPEQAPAGDGGAAVHAWPETPGFAWDGGGADTQRMYGTVLPPSLNLFDAGAADPFLAAAAPGVAVDDAAATEWQYTAAVAEGSELSVVAVQQQEQQVNVGGGGAADSGSEGSDGEDDGDGGGDGQGRGGGKGGGGKRQQCKNLVAERKRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDELEDPADGGAHDVLLDHPPPASLVGLENDDSPRTSLHQQPAASNKRARVSAAAEEEKGHDMEPQVEVRQVEANEFFLQVLCEHKPGRFVRLMDAMNAQGLEVTNVNVTSYKTLVLNVFRVVRRDNEVAVQADRVRDSLLEVTRESYGVWSSAAAPVGSSSSSIDVKLDGVDDVKLHGGVDAQPQVAAEDHYAGYNHLLQYLA >Dexi6A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:6A:27471650:27473410:-1 gene:Dexi6A01G0019820 transcript:Dexi6A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGNVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVTQIKEYRGKIESELSNICDGIVKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGIEEGKEATKGDADE >Dexi2A01G0029180.1:cds pep primary_assembly:Fonio_CM05836:2A:40251746:40252252:-1 gene:Dexi2A01G0029180 transcript:Dexi2A01G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMCPEYVMHGQYSTKSDVFSFGILVIEIVTGQRNTGHHFCEQNEDIISTKLLGG >Dexi1B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:1B:18923213:18932086:-1 gene:Dexi1B01G0013340 transcript:Dexi1B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQHQQQHGGAVAVAGGGDARHHAPQPVVIGGDWLGFLGRGDLEEPARNAPSPATFLLPPAPLDDRAAQPEPKPKPGQLAGAVDEERHLALAHQNYRSGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPHFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQERFSFGNAGMLPSLLFLKLQEAVKLKPSFADAYLNQGNVYKVSLLVVTKITCKRMQRELRSGRKFRSYTFLVVQALGMSQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISTAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPTAADALVNRGNTFKEIGRVNEAIQDYVQAANIRPNMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRDAMFRDVEEIIKRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLAPFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPSCYAHIYSEKLVHLPHCYFVNDYKQKNQDCLTPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCKILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSQLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCVATGLGEEMIVSSMKEYEDRAVELALNPAKLQALTDKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSNRHPEPFKVEEDGSEFPFDR >DexiUA01G0019260.1:cds pep primary_assembly:Fonio_CM05836:UA:40232060:40232886:1 gene:DexiUA01G0019260 transcript:DexiUA01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSITAPAQRELRMTLYNKEVYFGRDINGVTTVGRQPLGTTWVFSWSVTDGPGPDANVVGHLQGTGVQVANTPNYMWHYSLGLVFSDERFSGSTLQISGTSQINGEWSIVGGTGELTMAKGIIKRTEITYTGNTRISELKIHAFYTPMNRRSVSIKYPDKF >Dexi3A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:3A:13555507:13556893:1 gene:Dexi3A01G0017770 transcript:Dexi3A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEATPDDEVFSSNDEMQELWPLGETRREQGSNLVNVDDLAYGSAARCLQLDKKKCCFPASLAAHVCARSHEHSEAGTAISWDDALRSGARRFEHRCYNLFTCNSHSFVADCLNRLAYGGSVGWNVLNLAALVWLRGRWLDRTAALRSFLPFAAVSCVGVLMAGWSFLIGMAAFSLLLLGWFVLGVYCWKGLVG >Dexi5A01G0003970.1:cds pep primary_assembly:Fonio_CM05836:5A:2971728:2972805:-1 gene:Dexi5A01G0003970 transcript:Dexi5A01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDEQPGAIVGPEPFLNSGEDVPSIPVTGLTTDPNLWVSLYQGTWVLTTLVPGIVSIQQSFTPRRGDVVLASLPKSGTTWLKALAFATIARGAYPPAATDAAAHPLLRLNPHQCVPFMERLFAAGEEGAMDTLPSPRLMSTHMHHSILPTSITNNPHCKIIYICRDPKDMLVSFWHFVKKLSPKITFADVFEAACNGTSVYGPIWDHLLGYWNASKASPETVLFLRYEEMLRDPAGNVRKLAMFVGQPFSPAEEESGVVEQIVKLCSIDKLKSLEVNKAGSCSPFANDWYFRRGGKGDWANHMTPDMARRLDVIVEEKLSGSGLSFA >Dexi1B01G0006200.1:cds pep primary_assembly:Fonio_CM05836:1B:5078351:5079978:1 gene:Dexi1B01G0006200 transcript:Dexi1B01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAPEPMKKTMIRVRKFDVARDLRAVEELERLCQVGLSGDQSSDDSDPAAADHDGGGAGKKKKRRSSKKKKKRGMSLYVEQIGDPFARVRHAPDHVILVAEYGEDEEVVGVIKACTRMVSRGKKNKKQSFSSSSKQFVKVACLLGLRVSPSHRRLGIATELVRRAESWCASRGAAYATMATTESNAASLALFAGRFAYAPFRRPVFLGHPVHRHRARLPRAHRVLRLPPALAAAAYAALLPPSAAEFLPADLPSLLSHKLTLGTYLAIHRRSAAADEPSAAAPSFALLSVWDATRSLSLRVGGAPPLLRASLAAARALDRHAPWLQVPSVPDIFRPFGTYLLYGLRMSGPEGPAMLRSLCRHAHNVARKNPACAVVAADLGPEDPAAAVVPHWPKFSCDEDVWCIKKLAGNVAGDDDAEEDDDDWTTSPPPSGVMFVDPREF >Dexi4B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:4B:6362405:6363337:1 gene:Dexi4B01G0008870 transcript:Dexi4B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLIVLSLARLSLCQRRELELVDTTPPSDQQLTYHGGAVLAGDISVTIVWYGKFSSEQKAIVVDFIESLTSKPPSATPSVADWWNTIYKLYLSNVTTTTTTGGETRVQLASQVADEQYSLGKSLTLAKVSQLASSTAPKKGSLVLVLTDPDVVVEGFGSVRCGLHGADAGGVGYAYAWAGDAERQCPGQCAWPFAKPAYGPQDSKPLAAPNGDVGVDGMMVTLASMVAGAVTNPFRDAFYQGEKDAALEACTACAGVYGSGSYPGYAGDVLVDKATGGSYNAIGAGGHKYLLPAVYDMGKPGCSTLV >Dexi6B01G0003790.1:cds pep primary_assembly:Fonio_CM05836:6B:3086328:3089019:-1 gene:Dexi6B01G0003790 transcript:Dexi6B01G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALAGSSLSRHQCLPASNPGLIRFRSSPAKPLFGFRFQTESSHHGDGAAARGSRPAPPLRRRVAGVTKMASSNGEAEHQWIENVKSGGAVPCLAPESCPNGWATPPGDSFMVRGPEYLTNKVKIPGGEYLLKPLGFDWIKGPAKICEILEDKNHRVRKAIDEEVSQGKQPFVWAFNLQLPKDNYSAVFYFVSLEPVPEGSLMDQFLKGDDAFRKSRLKLIANIVKGPWIVRTAVGEQAICILGRALSCKYVQGSNFLEVDVDIGSSIVANAIVHLAFGYVTTLTVDLAFLIESQTESELPERLLGAVRFSELNPGSAGHYERQSEEHQENTQSRPVGFWQGFWSNNQGNPREPSPSSQNANGNLHKEEAFENAKW >Dexi3B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:3B:5599568:5601703:-1 gene:Dexi3B01G0007920 transcript:Dexi3B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDPSVTVYKGYSLRKETEEYLAARGLRNTIYSIDAADARDELFDDLIPCPFQQPDLSAQSTLKRSEEMETGPSKHIKFAELELLSDSDLSCILEFDGACKGNPGKSGAGVIVRRLDGSVIALLREGLGITTNNAAEYRALILGLDYAAKKGFKHIRVQGDSKLVCNQVQDLWRCRSDNMAVLCNEAKKLKGEFLTFKINHVLR >Dexi2A01G0036050.1:cds pep primary_assembly:Fonio_CM05836:2A:45668863:45673393:1 gene:Dexi2A01G0036050 transcript:Dexi2A01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGASSRGAQARGRRKRQTPPLPLDILLEIAARSVDPATLVRYAATCRDMRRRAADESFRRRLRLRHTGGRFVLPLLRGHLTGPTYGRKDEQYMVDTAAAMATTRLTRLVFPPTPQGSPHETFEPLDSRGGLILLAVSDNSNHHYYQERIHLRVCDPATRHSHTFPFPYSDSSGRSSYVLLVGDGEGDGAAAGRPFQVLDAKLAFTSVLCLRIRIFSSEHADWGPCTTIPITPGLNTAGYAYDLATYSKSLVVGDVVHWLYLTPSGSHVITLHVGATTPRAKVTTLPASFPRAPVSRRYHDRGRIKHYSYLLATATPGGGPIVLVADDDKISAWPRYEGSKIWKQQPWTVVDSVGELPATTSSRSLRVSLECFAETSGAVLIKIYRRGFVWLDLQSKAIVREFATAAPHRRTEQMGPGLRQTQVSWAWASLILVLGQNAHHQQTEVVDMDGDDYTNGDEADCRRHPGALQRTSLGTRTSSPWDPQPESLGMTRIAATTATPWPLDTRTTALFRVRYGDAGELFYSLVHRSCPRW >Dexi3B01G0024470.1:cds pep primary_assembly:Fonio_CM05836:3B:19095488:19099931:1 gene:Dexi3B01G0024470 transcript:Dexi3B01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARLLLVLLAAAAALAGPCAGDLWPMPASVTRGTQTLVVSKDLKLSTAGSSYSDGKGILKEAFQRMVAVVELDHVINGSYYGVSPVLAGVRVVVRSPNDELNFGVDESYKLSVPATGNPLYAQIEAQTVYGALHALETFSQLCNFDFNARIIQLHSAPWTILDKPRFPYRGLLIDTSRHYLPVPVIKSVIDSMAFSKLNVLHWHIVDEQSFPLQIPSYPKLWNGAYSYSERYTFDDAIDIVQYAEKRGVNLLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSDFTFQVINGILSDFSKIFKFKFVHLGGDEVNTSCWTTTPRIKSWLIQHGMNESDAYRYFVLRAQKIAISHGYDIINWLGSGVAQKVVAAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPEQQKLVLGGEVCMWGEHIDASDIQQTIWPRAAAAAGMTSNCTYIKSLADSFL >Dexi5B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:5B:21212782:21217163:1 gene:Dexi5B01G0018870 transcript:Dexi5B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIQCATCGTYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSSEITFKTDPQNSDYTVESGASRNFEPWRDQDEAADKEKRKRDAEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVSIDQMLEILKRSAHEKEEKGIAELDEEDEELIKSITFRNSGFYVKRIEDDDDDDDDLVSGQSSKTTKINGSSESVTKPTDVLSKTNGPEGANKEGSKSWMPKLIVKPKSTSVDPKRQKTESVDAQNNGKLPQNNGKLPVGEQKSEPAMQTNVLQSLCQNYDSDDSE >Dexi6A01G0013000.1:cds pep primary_assembly:Fonio_CM05836:6A:19912973:19913638:-1 gene:Dexi6A01G0013000 transcript:Dexi6A01G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRALPPSYPAAPPLVRYRSFGLRLNPNLYESGTVCLSLLDTFGGKGTELWSPETSTVLQVLVSIQGLVLTSLPYYNETGFVAHSGEPEGRRNELPYSENAYLLSLQTMLHLLRRPPVGFEEFVKGHFRRRGRRILRACEAYVDGCTIGSLDGEACPTELSKERPSSAGFRLALANIIPRLVEAFKEIGADTTTYPSSS >Dexi9B01G0033950.1:cds pep primary_assembly:Fonio_CM05836:9B:36002536:36003057:1 gene:Dexi9B01G0033950 transcript:Dexi9B01G0033950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFLQHFAEQDVGGGGRRRLLRRRCLGCPFPNVEGAGARELRHACDRCMLILPHARIGRRSSPPPAPCLAPGRLALGGAHRGSLCFSPMAPRPSALAPPLPCHAPAIPPAELSRDVKLRPPCAGGSVGCSHVGLPARLEPFSFGLTSPGLAWPRRAGGVGLACRHQPPPHS >Dexi5A01G0039570.1:cds pep primary_assembly:Fonio_CM05836:5A:40149845:40150849:1 gene:Dexi5A01G0039570 transcript:Dexi5A01G0039570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAWGCFFLLAACARALRRVVQLPALLCCEAMVWAISFLAFPLRMLTAVDRERKLGGLIGEMQAQMDHLVWANRDLEDKLHAALRERDAMEALLDEMEDEHDDAFAKIDALQTQVKALRQENMRLNEHKGKSMWDKHGDDGNTKAAAAAESSGATTKQAARSPRVASGRERGEKEEEAMKAAMEDEGQARAVARRRSVFSVGMSVAVGGVAWSADAACLPLLAGLFAVVGVSMRSVSRLRRGRGADAVALLSLNWFLLGLLTSPMLPALAHALVPRALVAPALTSFAAATAPVCVTAEAACPL >Dexi6B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:6B:24209409:24209756:1 gene:Dexi6B01G0016990 transcript:Dexi6B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAILRRRPAISPTQPLLCWAWRPSKPALGISPGTGPTRRRQLPPHDGAPPSRRHDRRRLRGQRPGALGDHHGITVALPAMTLPTTASQWPAMARPASSTRQREWRAVWSQTG >Dexi2A01G0035650.1:cds pep primary_assembly:Fonio_CM05836:2A:45209917:45211673:-1 gene:Dexi2A01G0035650 transcript:Dexi2A01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRHFRRGLEAVAPATRTSSFAPSSPLPFRRLPDLLPTRVLSPRLLSTSGRDDDDGNKPWSFAADSGDPYPFAHEDAAADAGEALPVGPAAVADEPWAKGFGVEDGENGDVFEGIYKEAASVAPASGQAAPAGDEEQWTLSGDEKDPFADAVLGEGIDGIQGEGGGLDELDAGEDAEAELKRQQNKEREEELMKILKGPNRAFGDLIASSNITEGMIDSLILLKDVRDVPGLPPLSEIEDEAIEKLNATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIEPGDGKFFVNEKEFDAYFPILDHRAELLRPFTVTKTLGLWDVTCTVKGGGVSG >Dexi9A01G0035340.1:cds pep primary_assembly:Fonio_CM05836:9A:40003109:40005346:-1 gene:Dexi9A01G0035340 transcript:Dexi9A01G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSSRCSKQIYREPPLCRKKEFKSSVIKVVDSSWSSLPANSLSSDPASEDDYQLTKRRKMDEEYNSLLANVHTRETNTKSLAASGCNSSRAQNGDGETFMVSNVDISHSGANTVGYKGDHEEHEEASAGTHLRNSAGSQSGVDNGPQSSTSSMPAYIVHRIKDACEHSSSDAYAAKPVMELISARDLCISILRREDILPTKESQLNQKLAPNDNEKSPLFECMGCESMEDPSKMLICDRCEGAFHLSCCKPRVRKIPQEKWYCQVCSRRKPKRQSGKLSPKHKLPTPIKRPRRGLGTIHDMLVDAEPYETEVRIGTDFQADVPEWSGPIPRNEDQFVEPSELDPSETTMMGSLQLFRDKKNSVGNWIQCREVLDTGIVCGKWRRAPLFVVQSSNWDCSCSVTWDPVHADCAVPQELETDEVLKQLKYINK >Dexi2B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:2B:10679961:10683015:1 gene:Dexi2B01G0009960 transcript:Dexi2B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGHQADKNEDHLDLNVGTEPSHQEPKHDVDVVVDLLGDEDDAHTDPEDEDYIPRRKRSKRMKRHTVEQTKELIDAYDQCTHPDRKTQQALGTKLGLKADVVKFWFQNKRTQMKKRSQVEQNEQMYQENASLLAENTALRKALLTKSCITCGGERLPTHPPSEKQHLLEYNSKLKDEYLRASCDRSKTVHASTFRNSTSLGIKGRAEYEAVIRLAEMSMEHFMVLATKGEPLWLPATDGEMLNAGEYTRIYPCVYGLRPEGYVVEATRDAANVWGSADHLVHHLMNTALWSEMFPGIVASVVAGDDIASISAPYDGTIQLMNAELCVQSPRVPNRTMNILRFSKLIAERQWAVVDVSVDSIFGQQVMPARYMGCRLLPSGCLIEDMNNGYCKYDESTVPMLFKPLFLTGQALGARRWLAAFQRQLQYMVVLYSSSGIQSNNTAAAGGILKLAEKMTASFYRAISGTVTPTQTSSSINEWIGSKGAGVDKFDVAVRMVTWMKTGTVAGDAEAGLVLSATTTLWLPSVQPQRVFDYLCDGQLRGQWDVLANGAAVKQLTSIATGPLGNAVSVLCPNNIKTGVKAELVLPV >Dexi5A01G0004030.1:cds pep primary_assembly:Fonio_CM05836:5A:3013418:3014378:-1 gene:Dexi5A01G0004030 transcript:Dexi5A01G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAAKKQRPEEEVEEEMHLAFRGAANALSQVYTHAVAHQKASFLAGERRAMDNIYQWLASQPEEASEVPVAAVLAYLQ >Dexi4A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:4A:19589657:19594272:-1 gene:Dexi4A01G0016070 transcript:Dexi4A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYEVCNTEQTGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPETELPEQDEDKENPDERHDADSDVEMNDAKPLEDSGRRSSIQNVRMKKEPAETEATDMPNDASPMAIEEPGAQKVETESSNKLQDQPTMHQKP >Dexi3B01G0022500.1:cds pep primary_assembly:Fonio_CM05836:3B:17314667:17314989:1 gene:Dexi3B01G0022500 transcript:Dexi3B01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEESLSTQTSCSSRSKRRSSPRPARRSSPRPARRCSPRPARPLQAGGSSPGRRGGGRQAATPQAGALPQEGALLRLACSLGRRLPRHLLAGSSLAS >Dexi9A01G0049320.1:cds pep primary_assembly:Fonio_CM05836:9A:51948688:51955150:-1 gene:Dexi9A01G0049320 transcript:Dexi9A01G0049320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEARCPGDDPMDFSWTTGGETPAVDCPSPDGGEEEEAAPAPPPALSPHEIAESMILVSGPRVVMSGLRLADCRSGPLGNPAYSLFVAWHKADDSVLFINAGGGAIEGCDSNVQLRTSLLNPQILSELDVYAVVGGNKPLQVRDIRVTVDMNGVIMVNFKGVRGSPMVCGICIRKAPLLTANSVTDGNALCKRCSADIDFSSTQNRTSKLISKYEKQIEELTTQCTMKSNECYMAWSSVDSTNLELGRLKIELHQKGAEMESLEQALGRESGQLRNVSQKYENDKKLWTAAISNLERKIKAMKQEQALLSLEAHDCANAIPDLSKMIGAVHGLVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEASSGQKCVVDFDGANDGDITITNAGATKKTFKFDRVFTPKDDQDAVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKESVAYDLSVSVLEVYNEQIRDLLATSQSKKLDIKQNIEGYHHVPGLVEAKVENIKEVWKVLQAGSNARAVSSNNVNEHSSRSHCMLCILVRAKNLLNGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERAKQEVRLKDDSLRKLEENLQNLETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQITSEKQQRQLTGKLKEKEEMCAALQQKIVELECRLRQTHQSDSEVAALKQTIKELELKLKEQEHERSAVELKVKELELRLKEQEHQRSVAELKIKELDLRLKEQEHQRSVAELKAREIGHELLETQRTEALLQIKLRDLESKTKVQETDITMGSTIATPGPVEAKLLPFSRDEVMGEEENHILRISNSMNKQLPLGEKSCVPEVPETAVSEKKRKGAARNASSIGGEQENNGGQNVGRKRSLPAEREPRLKRKSTEPQVKNLGRSTAASRAAAAHKVTAAGSRVPKQQPGGNKTRGWVR >Dexi4A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:4A:24680967:24684096:-1 gene:Dexi4A01G0020950 transcript:Dexi4A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKSVVAELAASLSHVRVTPRQNPKPKSFVPSASSFFHCMKQLAILSIARRRRLLNDDILTSLAESSWEILDISGSEVTDIGLATVANVCSNLRAIDISRCEKVTTAGVSEIVSHCPSLEILRCGGCPRSEFTARRCLNLLKPKLNTLEEDSWEELDTLDIGGGAESLRWLVWPKIDDNSKETLAAECPRVTINPQPSPYDLSGSRVPVEALASIPLDHSIVEDIDPKTWAVSAAPRRPVFALNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERDYVMNDIDARSIALAAQASRNLRKS >Dexi9A01G0033280.1:cds pep primary_assembly:Fonio_CM05836:9A:38168587:38168982:-1 gene:Dexi9A01G0033280 transcript:Dexi9A01G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVKKAVAKAPSATSDSRTLGRGSVVARATAGKHQLEPDSSILVEMGSETASDDAAKVARPSKSKVRKVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDH >Dexi2B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:2B:1499933:1500510:1 gene:Dexi2B01G0001970 transcript:Dexi2B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGDSAGGNIAYHTAVRASRRRDLAVEGMVMVQPYFWGTERLPSEAASDGARTMLPAYGVDWLWPLVTAGQTGNEDPRINPTDEEVASLTSTCRRVMVAVAEKDTLRERGVRLFERVRECYDLTGTGGEVTLVESEGEDHGFHLYSPLRATSRSLMESIVHFINPTPPAPEKNADGLLHAWEAVVVC >Dexi9B01G0003020.1:cds pep primary_assembly:Fonio_CM05836:9B:1735021:1736459:-1 gene:Dexi9B01G0003020 transcript:Dexi9B01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARSGVAVNDECMLKFGELQSKRLHRFIVYKMDKDYKEIVVDQVGDRTASYEDFTNSLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPSTAKVRSKMLYASSNQKFKSGLNGIQVELQATDASEISLDEIKDRAR >Dexi1B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:1B:21014795:21016773:-1 gene:Dexi1B01G0014650 transcript:Dexi1B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDSKGGMGGMNMVTTAMAFSVSAFFVIFVFTRLLCARLHLSRAAAADSRDAFVVNVERGIQGLEPSVVTTFPTVKLGDGGGGQQRPEVQEESQCTVCLEEYEPKDVVRVLPACGHAFHAPCIDTWLRQHPTCPVCRASLRAKGNRATPTPPIDYSLLVAGGGAAAATAAAPQIPDSSSDITAPPELAATDQTDMDDANGRLEIVTEEPGSTGDQSPAAAGGGGGHSPCAETARQSASGAGVSEHC >Dexi9B01G0036810.1:cds pep primary_assembly:Fonio_CM05836:9B:38313763:38314089:-1 gene:Dexi9B01G0036810 transcript:Dexi9B01G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQPPRPSELPSRCRRRCGRWRVPRRLLVSICRGRPRRRRCSPGGCSRRRRRGWWGAAAASPSPGAAAAARLLSNTRWPLSRRLLSRPSSSHHFLLYRRNRHRLLL >Dexi5B01G0003980.1:cds pep primary_assembly:Fonio_CM05836:5B:2689065:2689655:-1 gene:Dexi5B01G0003980 transcript:Dexi5B01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRPAPLLRDRGPAVLTLHHCCEIEVIRFQDADARDVHGAIDFRRRAARGRRPLSLLLLVAGASAFTGLAYRARDLAFLLFAYYLLALLVCCVAKLEQLRRRRDDPAAGDELRRVKSAVSFFSVALVNTFTYGVVCKTPAGLAVKLAAVGLALAVFALWLHLMFGSEDTESCDAEHGHGDEKGPYEVSSEPEQRV >Dexi5A01G0039930.1:cds pep primary_assembly:Fonio_CM05836:5A:40291403:40300375:1 gene:Dexi5A01G0039930 transcript:Dexi5A01G0039930.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANVPTMASLQLLQLTERGRNLLSSRRRTLAVVSGALIAGGTLAYTQSGRWKKEQKQDSCSDGNAHTRTKDDIGQNGIDSKLVKPRKKKSGLKSLHFLAAILLKKIGPNGSNYLLGLIITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLRFKKILTDLVHADYFEAYVIGAGGTIRKFSPAFGKLKSTEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFRALVKHLNVVLYENWWFGMIQDFLLKYLGATVGVILIIEPFFAGNLKPDSSTLGRAEMLSNLRGYANRIHELLDVTRELSGVRDRLMTQNSSVRNVISEANYIEFSGVKVVTPSGNVLVDDLTLRLESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKRVRAMGTSCITISHRPALVAFHEIVLSLDGEGGWNVQDNRNGSSLSPEVEFDVKSSETDRKSDALTVQRAFITNKKGNALSKPKQQSYSTEVIASSPSMEIEHTVQSPIVTQLQCSPRPLPVRVAAMSQILVPKLFDKQGGQLLAVAVLVFSRTWISDRIASLNGTSVKYVLEQDKAAFIRLTGISVLQSAANSIVSPSLRNLTSRIALGWRIRMTNHLLHYYLKRNAFYKVFNISGMNMDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMFLGLGFLRAVSPDFGDLASQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLKAAQSNPAVPSNAMNAASEEIISFRDVDIVTPSQKLLASRLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTKPSEGIFNVPQRPYTCLGTLRDQIIYPLSREEAELKMLSHETSGKSAASKTLDDHLKTILENVRLVYLLERESWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCEIHQ >Dexi3B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:3B:15332768:15334198:-1 gene:Dexi3B01G0020330 transcript:Dexi3B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRERRRQPPPQLRLLVAAAMVVVVGALASAAEAALQVGFYQDKCPIAEDVVLEEMRLILMEDATLAPSLLRMHYHDCFVQGCDGSIMLRSRKGKTTERDALPNRSIRGFDAIERIKARLETVCPLIVSCADIIAVAARDAVYLSHGPWYDVETGRRDGNVTVAEYVENDLPPPDSNIVDVKTFFSVKSLNSKDIAVLFGCHSIGTSHCGPIQKRLYNFTGNMDQDPSLSPAYAAELKKLCPPPRPGAGDEGGGEGKTKVPMDPGSNYTFDLSYYRHVLATGGLFQSDASLLDDPVTRDYVEKVAKALSPDEYYADFAVAMVKMGRTDVLVGDLGEIRPTCGIFVD >Dexi1B01G0027140.1:cds pep primary_assembly:Fonio_CM05836:1B:31932408:31933353:1 gene:Dexi1B01G0027140 transcript:Dexi1B01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKFSTGAPPTAPPAAAYQQQGMSMNPSRPGGGLRKWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCSCIASGCLYGLICASTGMGCLYSCFYRSKLRADYDLEEGECPDFLVHCCCEPLALCQEYRELKNHGFDLGIGWEANMDRQKRGVAGGAVMGAPAMPMGMIR >Dexi3A01G0036590.1:cds pep primary_assembly:Fonio_CM05836:3A:42156270:42157721:-1 gene:Dexi3A01G0036590 transcript:Dexi3A01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQFVRCLVPPSLPTAEEGSNLSPSSVLLDVEAYITAAAVSNATTAAAFLSTGNPIHITFYLARPPRLSYICAHFPGPAAADSLHPGAPAARCIKIPPIVISTHADLALLHVTHPAARDLGNDRYHDFFVYTALPLPALHLLPYPHAAAGFQDNEVAIFRCSGDGGGGRYVIAALRNTTDTKKFRLQRYDSDTCRWTSTVLCVDDPVRDIVLPIPDTATELIYHNTDKVITLGGPRVTIGWVDLWRGILLCDVLDHQEELRLRDVPLPKPSRANRRSFCIGGPRPARDIAVVTTSPVNKVIKYIEMEIRPGEDLPPTRHQSASGSDSDDDAPSPPPRVRVAPYWKATIWTMPLPIGSWKDWHKDGKVDVTDILVKDQNQREQLMLLPQLTTTDDPQNLTMRLRRLHAAHPTLGMGEHGDLVIYFLSKAHIMDDKGWVMAVGNYI >Dexi9A01G0003510.1:cds pep primary_assembly:Fonio_CM05836:9A:1858835:1860542:-1 gene:Dexi9A01G0003510 transcript:Dexi9A01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYDVARGSNSVTSMPSTPDGCQVIHLNLVARHGTRAPTKKRIKELDRLAVRLEALINEAEQGLKSDSLKKIPSWIKGWESRWKGRTKGGELTSEGEEELYNLATRVKERFQDLFEEEYHPDVYSIRATQEKEGA >DexiUA01G0014110.1:cds pep primary_assembly:Fonio_CM05836:UA:29975626:29977022:1 gene:DexiUA01G0014110 transcript:DexiUA01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGLFLKALQESPPYSDTHARSSEAESNGAPRFVSTALLLTRPPYSRLPLPLPMPDPCTAVAEDAGASSPEPAATLCEDSV >Dexi5B01G0003420.1:cds pep primary_assembly:Fonio_CM05836:5B:2260492:2262529:-1 gene:Dexi5B01G0003420 transcript:Dexi5B01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGSPAPPRGQVYLPQWRRLYDRLLKMLREEHTLAEELSVERAHLLAELEFQRIGRREREGIFQARIQQILTDEERRRRVEKAETAVLVGAKDMESRCYQELVELADSDAEDLRSHISTLAAENSELKAKLKDVEHQTELNGNNVDQHSGKDLRQELRKLKQAYKTLSSEKDKHISSLTAEKDFVWNQFKTMEQEYIVTIKNKNMEAKQATEAAHKLQQKVDELQVEGQKKDDEIVRLRVEVTKAKENMLILEDELKQMNSLKKNKKSKSEGPIRKERSRTSVTPEMRDVKTIRTRVSDTSKKRKRVSSLPCVSIPFRHLQVYAKAHICYSHIIHASKHDLF >Dexi9A01G0043680.1:cds pep primary_assembly:Fonio_CM05836:9A:47153821:47155104:-1 gene:Dexi9A01G0043680 transcript:Dexi9A01G0043680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSFPARPAIHHPHLHPYRQDSLLFHTKTRRRRRDHDDTYGAVSHARPFGADAAAVTGTALCDSLALSHLQERFFPLPPRPHPTVGAPPPKRVRLAPDPRWDPPLPPQTPHPAPVSAVSERPRSGGAASRALLSREEIERRSPSRRDGIDSALEARLRASYCAYLRCLGIRLMLPQTTIATAVVFCHRFFFHRSHACHDRFPCF >Dexi6B01G0001370.1:cds pep primary_assembly:Fonio_CM05836:6B:1117613:1119242:1 gene:Dexi6B01G0001370 transcript:Dexi6B01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRELRAEHPNAAMAPKTTGVLAAAAALHLILLAPRLAAAFNYADALSKSILFFEGQRSGKLPPNNRMPWRSDSGLTDGSQHNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVADLGKFMGSELPHALAAVRWGADYLLKASTSTPNTLYVQVGDPNQDHHCWERPEDMDTPRTVYAVTTTRPGSDVAGETAAALAASSLAFRRADPAYSSRLLAAARRVFELADNHRGAYGGACPFYCSYSGYDDELLWAAAWLHRATKNDTFFMSYVRTNGLQLGAGDDDFSFSWDDKRAGTKVLLAKGFLRRKLQGLELYKSHSDSYICSLIPGTASFQSSQFTPGGLIYKGEGGSNMQYVTTATFLLVVYARYLRSAGGAAVACGGKEVSPEEMVATARRQVDYILGKNPAGVSYMVGFGERFPRRLHHRGASMPSVRTHPGRIGCDEGFRYLHGGAPDANVLAGAVVGGPDARDGFVDDRDGYGQTEPATYINAPLVGALAYFAGTAKF >Dexi3A01G0028110.1:cds pep primary_assembly:Fonio_CM05836:3A:28451515:28453661:1 gene:Dexi3A01G0028110 transcript:Dexi3A01G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITAVNGQLPGPTIEAREGDTVVVHLVNESPYNMTIHWHGIFQRGTPWADGPVMVTQCPVTPGATYTYRFNVTGQEGTLWWHAHISFHRATVYGALVIRPRGGDDAYPFPKPNREETVLLGEWWNASVYDLERMAFITGNTAPPADAYTINGKPGDLYNCSAANQTYGFQVQSNETYLLRIINAALNTPMFFKVASHNFTVVGADAAYTTPYETDVVVIAPGQTVDALMVAGAAVGRYYMAASPYDSAIPNGPPFSRTTATAVVEYVGSATDAPPQLPPRPEYNDTNTAFRFFSSLTALVLPGKPTVPLSVDTRMFVTVGLGNADCQPEQLLCNTTGTRAPIFAASMNNASFLLPDAVSMLQAHYANASAGVYTRDFPGQPPVVFDYTADASDTAVLKYTTKSTKVKTLAYNETLEMVLQNTRLIAKDSHPMHLHGFNFFVLAQGFGNYDEATATPRFNLVNPQERNTIAVPTGGWAVIRFVADNPGMWFMHCHFEAHLDLGLGMVFEVQDGPTAETSVPPPPVDLPQC >Dexi3B01G0038590.1:cds pep primary_assembly:Fonio_CM05836:3B:41392716:41393271:1 gene:Dexi3B01G0038590 transcript:Dexi3B01G0038590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLVVSLPATGAVVYRCKHCDTHLAYGTDIMSRTFCCKHGTAYLFSKIVNVNVGEKEDRRMTTGDHTVCDIFCVACGSILGWKYLAAVSKSQRYKEGKFILDMSKASTMASVSVVWPHAADQQQQQQQQSDDEEDSSSSAADHQDPMSD >Dexi9A01G0044960.1:cds pep primary_assembly:Fonio_CM05836:9A:48574844:48577716:-1 gene:Dexi9A01G0044960 transcript:Dexi9A01G0044960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHVNVGGLVNVLKAAKRTPEVKKIVYTSSYFAIGPTDGYVADEKQIHQVKSFCTEYEKSKFLADRIALQAAAEGVPITIVYPGVMYGPGTLTAGNIVCRVLIERFSGRLPAYIGDGYDRESFSHVDDVVSGHIAAMEKGRVGERYLLTGENASFVQIFNLAAKITNTNPPKFHIPLWSLEIFGWISVFFARITGKPPLISYPGVDCLRHQWAYSCDKAKKELGYSTISLTKGLSETLLWLKNEKLIKF >Dexi3A01G0018850.1:cds pep primary_assembly:Fonio_CM05836:3A:14720930:14723245:-1 gene:Dexi3A01G0018850 transcript:Dexi3A01G0018850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPFPEKGLEFSRSRPDSQAPDMPAYLGDKPEADLRLGNRESQATWSVPANAVRPLMLKPGARHVLQPSASGVYQESPWPVHSATPILGPGEQPLIRPEKPWKAARLRHVLAATSRPSSDARAPPWCAPPPSVRLAPWRTGSPPLNSGETAQRNARVIHAVRRGDSWSSGEPAWKRGRVPQLPHSPDLVGKKRGGAVAHRGACVANRRRRRSGLPDEGEGGKCLRVFLLPVVSAF >Dexi6B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:6B:20736925:20741763:1 gene:Dexi6B01G0013010 transcript:Dexi6B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAAAASAAKQVTRRNFAEALRELRAHLEACDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFLLRNSSPSTLVAYPYNFHLFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGISYLSRVQESFARQKIFAPSLRQLLPSPSTSVADSVFMSRIKSRITHWRKGYAEPSKKDDGSLVSSLSRLLLGGESYGSRPSMTIGVCSDRQVQLVLEAANHISDDLVPLVVPDKAGAARAVCVIFTSSKEDKNLLLVCISAYLEISSIMDIQKSEEEQNFKFRGFREVIDLLSSSQKPIISYDCLNDGTSTVTKNEQNVLRITKLFAKLSNLLKIGPQCQLQSGEQFAAVEEYCNIFYPSCMVEDSDVDFGNEPDTVKTVSTDNIVFLWGFRGKSVNELKSYLPGLHQVFLEDFEVKLLDKTCSALVFRNSDTAMQLLKEISSESPSLHTFFEEGLNAAGFEVYRKICRLGLWGSDLAEALEGVSSEVAASTLSDCNSSQIYWNSSLMLDLKEYLG >Dexi4B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:4B:8034199:8035876:-1 gene:Dexi4B01G0010680 transcript:Dexi4B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLRLPAAAVTVLFLWFFSCGKASVDFANMTALEKHVEFFDRDKDGIITASEIFEGYVAIGCDTKFARTTAASVSANVGPITSPVDAPLPHSSIYIEYVHRAMHGSDTGAYDAQGRFVPEKFEEIFTKHAKVRPDALTSAEIDEMILANRDPLDPQSW >Dexi1B01G0029510.1:cds pep primary_assembly:Fonio_CM05836:1B:33804488:33804792:-1 gene:Dexi1B01G0029510 transcript:Dexi1B01G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKEDMMLKYTTSVQGTTSLPGHEIKPARQETCMVNSDLFNRGSLRKEVAHDLMPKNTGSVFMPYLHR >Dexi9B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:9B:820784:821543:-1 gene:Dexi9B01G0001400 transcript:Dexi9B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALPLPTSAASATLPPFRALLPTTLRLPSACPHRPRAVSAGYAASFYGGSASATGGAEDEEVGDEAGSSSGFGGGLGLGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLITYFTKPDSLTALQQRLNADDDVIRSTSFKVRPRKAF >Dexi9A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:9A:9762059:9762421:1 gene:Dexi9A01G0014820 transcript:Dexi9A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEGWSISGGAAGLKGRRDSSRRRRRLSGGVSVMDAAVASGMKKGPRDARPRRRLTGGVSLRRRVQVVDAATAAPPLQRLLAACRRAFGGPGTVPAPDDVAVIRGILGTYAN >Dexi9B01G0038710.1:cds pep primary_assembly:Fonio_CM05836:9B:39679104:39679792:-1 gene:Dexi9B01G0038710 transcript:Dexi9B01G0038710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKCFRDAKMDKSSVHDVALVGGSTRIPKVQEMVRDFFDEKELFRCINPDEAVAYGTAPPSKPASSAAEPMSVVIPRDTAIPTKRTRPCYTTLYDNQVRASFNVYEGECASVKDNNLLGVFALSGILPAPRGVPRFDVTFDIDANGVMNVSAVDMSTGQKNGIVITSHTGRLRKEEIERIVRDAESHKGKAKPALLALEV >Dexi9A01G0031860.1:cds pep primary_assembly:Fonio_CM05836:9A:36764650:36765321:1 gene:Dexi9A01G0031860 transcript:Dexi9A01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRHGRPPGVGDHHAVVDGAVVLERGADGGLPVDGVAAGVRLDARQVRVLHVGQQARPLAEVAEQHVPDDLRRAFAPLPQRLRVRRQLEDEAVLAPHLLLPDAPSIGEQPRRRERVEALERAAEGADGALGGVGAEPRGVAPDEAAVAAGADLAAADGKLHRTNPPCSTAGAPPPPEPRFFTTRVAVSNSDATIRTLPMA >Dexi3B01G0022050.1:cds pep primary_assembly:Fonio_CM05836:3B:16900930:16902962:-1 gene:Dexi3B01G0022050 transcript:Dexi3B01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEVAPVQHRSHAAAAAWRVVAGWLGLLFQILLRIIRGTPSSWAQLLSFVGLRHPLLPVAAQAQPSPEVAFVQLPSEAPADASTPPLRRLTVVLDLDETLVCAYESSTLPATLRTQAVEAGLHCFDMDCTSSEKAKRDLQEVMLHGLQDAEGRQRVNRVTVFERPGLHEFLQRTSEFADLVLFTAGLEGLISPTILLSEFKSFIAVTELVQSSDTYVYNFREYRDHVKDLSCLSKDFQRIVLVDNNPYSFLLQPLNGIPCITFSAGQPVDDQLMGTIFPLLKHLSLQNDVRPALYETFHMPEWFQRQGIPQIEQAV >Dexi2A01G0033740.1:cds pep primary_assembly:Fonio_CM05836:2A:43900262:43902439:-1 gene:Dexi2A01G0033740 transcript:Dexi2A01G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGMMDDDERPTHAQIPTSFGPELRACLRCRLVKTYDQFRENGCENCPFLEMERENENVVNCTTPNFTGIISLMDPSRSWAARWLRIGRFIPGCYTLAVSEELPEEYQGICQDNNVQYVPPKRV >Dexi6B01G0008400.1:cds pep primary_assembly:Fonio_CM05836:6B:10555504:10558953:1 gene:Dexi6B01G0008400 transcript:Dexi6B01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPLFRRHPLASSVDLVRWLPSSTASPSGRLLAAAVHDPSLGSAGSSIHLFPLSDTTSPLASLPLPSRATALRSSPAALAAATSSGSLHLLPSSFECDAAVAVPGGAGFHVGPVRGLDCGGEEWVTAGEDGRVHVVGGGGDGRVVARRVWDGKGMSGYEAARWASPAEFATGGAGCGVQWWDRRKGDGVVAQCSGIWGRGIVTGMVHSIDINPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVGLNGTTEPVCESEVWEVLFDPYTQSSDIITSASSKILPVMMCSEDGILAVVEQDERPLELLAEACAINSFDIDPQNPSDVVCALEWESIGVLSRGRDTMAEDDNC >Dexi5A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:5A:308895:312365:-1 gene:Dexi5A01G0000460 transcript:Dexi5A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGPGREPELFAELWRACAGPLVELPQTDERVFYFLQGHLEQLQEPTDPALLADQIKMFQVPNKILCKVVNVELKAETETDEMFAQITLQPDPDQVNLPTLPDPPLPETPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRLSQSQYIVSLNKYLEASKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVQWDDAANFNGPERVSSWEIERFDASAPTLNIPVQPSTKNKRPREAAENLDIQALEPTEEFWFSGMPEQHEKTGTEPNCISGHQLAWTSERAGYSAISSSVCQNSVGLGNWFKDFNTSSKGVSPSLSEISQKLFQVQMHGNAVGRAVDLAYLDGYPQLNSELEDMFEIKGLSSKEKWKAAFTNDENETMEVGDVPWLEFCLMVRKIVIRPIEDESNMDPCLEQDVKTSF >Dexi9A01G0023250.1:cds pep primary_assembly:Fonio_CM05836:9A:18480805:18481479:1 gene:Dexi9A01G0023250 transcript:Dexi9A01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFCKPTAGFGYQKFIKRAWLEESERLKDDCFTIRCDAILTNELRAEERRPAFPFVVVPPLNLHKNFGDLLVSEEGVDVTFVVVGETFRAHRCILAARSPVFKAEVLGTMKESTSGAVIRVDDMDAQVFRALLHFVYTDALPDFQDMKKQDEAAMAQHLLVAADRNGMERLKLICEDRLCGCIDKASAATILALSDQHHCQGLKEACFRVPELPIGSECCNDD >Dexi3A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:3A:1447871:1449446:1 gene:Dexi3A01G0002180 transcript:Dexi3A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYLRATAIAAAPPALAPAAGSISAAAGGGVGLGYGIAIAVGILVLISTVMLASYLCVLTKAGAAHLAAADAPVGPPTSPSSVVPGLDDAAIDALCPKYPHAGSGDEGPCAICLGELALRRGSPGCVHRFHASCAELWLRVSATCPVCRDSPVPSPAATPLAEDVVPLAAHAHVRFLPTIPSFPFLAVVVSCRHGVYAGEPGELARLFRPLPKTEQRFFFTSRKLQPQRAGKAIKATRAAGAGSWQSQGSKDVLNKDKEKVGEVTKLRYKKGGKYTDWLMDEYSCGLQDAIVGGDRQLVFCNIYVSPRAHQDSVAYKESAAFFAPPPPSAPVVVMAQAAAPHKRQVPEIASPPCPKRMRIAAVAPSHPVAQPPRPCVLQYGVAPPSSTPSVSVTRPSPASVAQPPAPAPTRLTTQAPAPPRPLGQPKQQQQMPPATPPVARASPHMPVQAPACHCRPQASVQDT >Dexi6A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:6A:20300334:20300603:-1 gene:Dexi6A01G0013240 transcript:Dexi6A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACRRALCLPRRHDPPWREGGLSRARRHAVPSRPSIPRRQSSQEAAAVPFSTSASPDARRIGLRDEIQIDRWEMEAGVHVVSSLPPP >DexiUA01G0026800.1:cds pep primary_assembly:Fonio_CM05836:UA:57330814:57331101:1 gene:DexiUA01G0026800 transcript:DexiUA01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGGVDKYRWKHKGRSRSGRPHAMAAAASTSVLSRSYSASTVVTRTSCSQQQQQPPRPSKNKQQCVKEAVKEHRARFYIVRRCVSMLVCWRDY >Dexi5A01G0004100.1:cds pep primary_assembly:Fonio_CM05836:5A:3050721:3055006:1 gene:Dexi5A01G0004100 transcript:Dexi5A01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRARAPPLPAKPPRAPAPDEAPGASEDPVVLLRRRWELASVLHFLKVFEPVIKADLGLSAEEIETALASNDRNLARIHIALLKGIPPVNKNLKDGDGWIILTSKKLTDWWSWVAEGANPFKSNPGKEVETYKQQDPIKRMLILKALCDVRSEQNDAVWYVNDEMKKGANISNFRKDKLGSGSNGTVYWYDGDSTIGHRLYTEDVTVNFKQNWKGKNGRLTKPDINIRWETVATNLDEFLEISEKLSRKGRSETVIAEHLKAEIIPAVEKLQKKKERDLKRQEKKDKLLAVANSFQTRSLRNRRPVNYNYSDYDHSIEEAIKAASKAKKHDSYEAGGKEKRASHLGDKGANGSSDINSEGNKDGGLDDAKYLSDLSSGDEEDRDYTDQDGNSADSDGDNNVSDPNTSDLEEEDVFVPRKRTRLAARLLKEKPRPRQGLRRSQRNMKNDEEAMHSGPGQATPPPMTKKTLRQRPTPVKQPDIAFSGSEDDLAQFVADSEDESE >Dexi3A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:3A:3055658:3056990:-1 gene:Dexi3A01G0004710 transcript:Dexi3A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNSASHMDPSPPPPLQPPPHPPRNPHGGGEMPYKDADCSLRALAGRAEGFGRHAIGGLHGPVYHVTSLQDDGPGSLREACRAAEPLWIVSGTIHLHSYLRVASHKTIDGRGQRVVLAGKGLQLKSCHHVIVCNLIFEGGRGHDVDGIQIKPGSTNIWIDRCTLADYDDGLIDITRQSTDITVSRCHFSRHDKTMLIGADPTHVDDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTHSWGIYAVCAGVEAQIVSQCNIYEAGGGPPKKTTVFKYMPEKAGDREDVVAGSIRSEADAFLNGALPCLTMDGHGAQEAVFRPEEYYQHWTMEPASPVLKDIIKLCAGWQEVPRPPDDC >Dexi1B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:1B:9488213:9490392:-1 gene:Dexi1B01G0010120 transcript:Dexi1B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDGMATFELYRRSTIGTCLTDTLDELVSSGAVSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDATFKSEEITETLSKVKIVACDSKLLQPNQP >Dexi3B01G0016690.1:cds pep primary_assembly:Fonio_CM05836:3B:12167559:12170869:-1 gene:Dexi3B01G0016690 transcript:Dexi3B01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMYRSTLACNFQQPQPDMNNGGGGGKSSLMSSRFLAESDPPEHGPVADANVFYWFQNRKSRTKHKLRAAGQLQPSGRATLARAYAAPPPVAPAPVTPPRQQHLLVASSSPVAPTSSSSSSSDRSSGSSKSVRPPAVVALASSSPAAAAVIQHAHLQAATAMDLLSSTTPTAAPGLAAARQLYYHSQLMAPAATPELITSPAEPFILQWQQQGDHYLPATELGGVLGAHTHEPGVVMNPAVSVSPSVLLGLCNEALGHDDYCVDIGSSKQGIGHGQYWNNTATCGSDLSSDDKTDAVSAVIRDDEKARLGGLLHHYGFGATTATTTTAAAAAGSGPLAAHHLQAAADASCSTAMLLPTNPAPSNVAAATSALLTDQLQGLLDGGLIGGTTPPPPTATVVAVARDAGVCAATAHFSVPAMRLDVKLAFGEAAVLVRHTGEPVLVDGCGVTVEPLQQDTLYYVLMVK >Dexi3B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:3B:11599342:11603174:1 gene:Dexi3B01G0015990 transcript:Dexi3B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTDLENWNCAISYGAGSTSPLSPSFIFSLELARSTQLTASFYQHLVVQRRVKNPFEDDQVVGITNYIDFGLELAARVDKDKATQDGSSLFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGLRVEDLRQASYQRADPNYVMLKPSKEHLAPGVLRDYGKRPMFQTLIDSGNYDHLPAELKPIGRIL >Dexi7A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:7A:29348817:29351524:1 gene:Dexi7A01G0020600 transcript:Dexi7A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVSSIGIMDGAYFVGRGEILHWINATLQLSLAKVEEVGPFLISFAASGAVQCQLMDMVHPGVVPMHKVYFDAKTEYDMIQNYKVLQDVFNKLRIVKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERGSKGSNKSSKSLQANRLSGGDSADGGPGVGKVCNNVAEGQYIEQIHQLSEKIADLKVSVDSMEKERDFYFSKLRDIEILCQRPELEHLPMTKAVRKILYAADAKDSPLPDANDIITKSPGLFSDETE >Dexi2A01G0023040.1:cds pep primary_assembly:Fonio_CM05836:2A:34800565:34804391:1 gene:Dexi2A01G0023040 transcript:Dexi2A01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGLDSHTGTIKATVCKHGGNGGLVNRDGGAVEGARQRKLILSARGKKQPSEIELAWLSADDAVAPGRSDKKGTRVRSSLCSRRARGASPLRLGLFYRARSLPLSSASGMYKLAMGVYIVMNITFLSFVQAVRSESVIVLPPLCSITRSDVNGLYTLLAAGLVFSVLDETPELNWGVLLVACSCAGYVEPLDDDVEIVVPEDDHGLYAIDILDPSWFVELLHLSMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLKSKLETAYKTSGGKKEKVTIISHSMGRLLVRCFMSMNHDVFAKYVNKWICIACLFQDNLFDLSVPQDASMILCLRDCSLFMVLRASFLFLVGQCTRW >Dexi9B01G0046580.1:cds pep primary_assembly:Fonio_CM05836:9B:45825240:45825699:-1 gene:Dexi9B01G0046580 transcript:Dexi9B01G0046580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAANTMPISFRFSCPVCLRSSCDMSSIWQKLDQEVAASPMPAICQKKMVWILCNDCGMTSNVKFHVLAHKCPGCNSYNTRQTRGDPAACSRV >Dexi6A01G0004550.1:cds pep primary_assembly:Fonio_CM05836:6A:4122983:4124129:1 gene:Dexi6A01G0004550 transcript:Dexi6A01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGRPPEYHCQSKKSERVGVDYKFTLLDHSGKLAYDLPADTGVVKFPSISVHRNGGYGSEYSAEEIEEEEEEEDCDVQWRVGHAEFIAMEELERRRETLLKDDSLAIRCDVGVTEMGVLDVAPKESQLVEGSARDGTSKRRRQPKPLDDEESNPI >Dexi2B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:2B:29576666:29581981:-1 gene:Dexi2B01G0019430 transcript:Dexi2B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFATERLRARALYMFYAATVATAICLVLYYRVAFVPGEAGGGRATSWLWLGMLAAELWFGVCWVVAQSVRWRPVRRRAFSDRLAARYGDNLPCVDIFVCTADPRSEPPSLVVCAVLSVMAYNYPADKLSVYLSDDGCSALTFYALWEASQFAKLWLPFCRKHSIEPRSPAAYFSESEMGKLGELCSVQEWSLLKVSMISFQSFFRRIRFESYKGMTERIDCAVLLGMVPEQIRAMHKGFYEWDSGITLQNHQPIVQVLIDRNNPTVVDNEGSQLPTLVYMAREKRPKCHHNFKAGAMNALIRVSSVISNSPIILNVDCDVYSNNSDSIRDALCFFLDEKMGHKVGFVQCPQNYTNLTKNDIYGNSLNVINEVELCGMDGVGGPAYIGTGCFHRREIISGRSFSEDYKQDWETGIMEKLGEHMNEIEEQAKSLATCDYECNNTQWGREVGVKYGCPVEDVITGLAIQCRGWVSVYFNPARKAFLGLAPTTLAQTLLQHRRFSEGNFSILLSRYCPFLFGHGKVKLWLQMGYCTYGLWAPSSLPTLYYIFVPSVGLLCRIPLFPELTSPWILPFAYLPAAMYIYSLYEALSCGVTLKGWWNGQRMWVIKRTTSYLFAVADTIFRLLGLSAMAFAITPKVSDEDQSRRYEQELMEFGPSSSLEFVIVAAIALLSLVCLAGGLSWIVASGCTASCLKFFLQIVLCGALVAINVPVYEAMFIRNDRGRMAPGVTLTATGLVLPACLIWANIVL >Dexi1A01G0011250.1:cds pep primary_assembly:Fonio_CM05836:1A:10177287:10177670:-1 gene:Dexi1A01G0011250 transcript:Dexi1A01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAASFALYILLLTLCSWVAEAGGLAGYPVDASDTPYCGRTFIPSPGSGTCDDTKCWGLCNDKYIRSPKVTHVGGACETPTTCLCEVDCE >Dexi1B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:1B:2651016:2654827:-1 gene:Dexi1B01G0003360 transcript:Dexi1B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKTPRPRGGKTRPRNAAASAVKTRAARPSAAAAAEGSSPSGELSLQLEHVSLISFLSDRCPGAAAAGLTRFEALLEEEEEDGPRGDPALVKAPSPALPQLQQHLPPPQASPMDEDEHMEEKELCILSQDFFCTPDYITPEMPQVANEFDDDKENIPCPKSPEKSANPRSKRYRTDCSPKGLGPTEFSFDHQITPVPFESLIPDDPEEEHLIQPSVHKRGGYVSQSAVALRCRVMPPPCVKNPYLNTDSCIDDEVYGGGRQCNSAGFSPSIGGNGLSRYRTDFHEVEKIGHGNFSVVFKVLNRIDGCLYAVKRSIKQLHNDMERRQAVKEVQAMAALGSHENIVRYFTSWFENEQLYIQMELCDRCLSMNRNQPLKRGEALELLYQICEGLTFIHEHGIAHLDVKPDNIYVRNGIYKLGDFGCATLINRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTPLPESGPHFTNIREGKIALLPGCPMQFQNLIKSMMDPDPVRRPSAKEILRHPAFEKLHKTLPAKK >Dexi8B01G0016550.1:cds pep primary_assembly:Fonio_CM05836:8B:27722522:27724663:1 gene:Dexi8B01G0016550 transcript:Dexi8B01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGEPFSPSAFLDLPPTPSVDDVDGEDPAALPDDLVLPYISRMLMEEDIADDFFYQYPDHPALLQAQEPYAQILSDSTSDLSSDAAAGASGSSDAATSNTSDGSGTFTLSPSSSDIPVFANATWPYDPLELTKLLAAGAASAAVCLSDAGEASRPEQAAGSEGEEHGVSPVLFSGQNRVMFSGQNRVNMDMVNQAFLKGMEEASKFLPDLPTSKSVLMDNVNAAQAKKETPRKFQDEKSASNGKGRKKNRNRWEEDEDETVTRSSKLMAPEPEETGDLVDKMVYEGYLMSLENMKSLRITMGTEAKNTNTTNTKKGRKGSTTEGEAVDLRTLLIHCAQAVSMDDHRSATELLRQIKRHSSPTGDANQRLSYCFAEGLEARLAGTGSQLYRTLMAKRTSVVEFLRAYQLYLAVTCFRMTAYRFSNMTITKAIAGKKKLHIVDYGVRYGCQWPNLLDYLANRKGGPPEVRMTCIELPQPGFRPTARVEETGRRLSDFARQRGLPFKFHSVTAKWETVSVDDLNIDPDEVLIVNSITHFQNIMDEGVDIYSPSPRDLVLNNIQKMRPDAFILSVVNGSYNTAFFVTRFREALFYYSAIFDMLDATAPRDNEQRLVVERDLIGRCALNVITCEGSDRVERPETYRQWQARNRQAGLRQLPLCPDIVNVLIDKVRSQYHKDFVIDTDRHWLLQGWKGRILYAMSTWTADDATISDM >DexiUA01G0002040.1:cds pep primary_assembly:Fonio_CM05836:UA:4908938:4913539:1 gene:DexiUA01G0002040 transcript:DexiUA01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGMLYRQQQLSEVVTQEAQTRTATQEKLLTPLSQMADELQAALAASAAERHVMPHIEGIPVLPPIRGTGHQSSYYVGGGLRPDLASPVTGRRIQRTALGFLPVTPLPGLSRTIANPTPSSAHWRSAAPLRLRLRFSWSHPCIRHPCRRRHTLALSPPGRRRPPHHASSPLSHLHKYHSPQPMRQAEPGEEAGQRRNVDIDHKHDATTFHGGGSPMAPPATAIKRMWGPAAQTTRFTVSHSPPPNPSPIERDTLLAMGSSTGVNRCIDRRSPCAASTNERDIADQRYDSHMGMPGRFDLEGHSHQLAVVSNTTYTSRSSAASPLRLKHADACGTSAIRSGGTLCSLPKKNIPV >DexiUA01G0003390.1:cds pep primary_assembly:Fonio_CM05836:UA:6871509:6873377:-1 gene:DexiUA01G0003390 transcript:DexiUA01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHPKGVSAEEDAVVVVAAGAIATSEPDAGDPELQRKKGGRRRRRKKRRPRAPTEEEVAARRFVLRWAFHGREAASDDDERGAGPGRAPVRRPRVSVELHAHSTRSDGTLSPADLVERAHRNGVKVLALTDHDTMAGVQEAVEAAKRYPIRIIPGVEISAVHSARQAFSRYLYDGGPAYAKGSEPTGESVVQLVCQTGGLAVLAHPWALKNPSAVIKNLKAAGLHGIEAYRSDGKVSGMNKG >Dexi1A01G0022300.1:cds pep primary_assembly:Fonio_CM05836:1A:28991230:28994855:1 gene:Dexi1A01G0022300 transcript:Dexi1A01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGSPTGIDTPTNPPARGGATPPLLRLRRTTPELTSLMPVWARTPTTRRPSKSRRPQLPISTGAMEEADNHRPASSAGRPFLSGLCAAALRRKPIGAHASTTASGEGLVRQLGVIELILLGIGASIGAGIFVVTGTVARDAGPGHGEEFFGGVVSINILAPVLLILLTAILCYGVNESSAVNTFMTTLKIVIVIVVVIAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKRPQRDLPIGILASLLACVLLYIAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPKIFARVHPTRHTPLQSQIWVGCVAAVMAGLFNVHMLSHILSVGTLVRKLHAYVAPPGFSCPGVPLVPIISVFFNMILFAQLHEEAWYRFVILSLIAVVIYAGYGQYNAAPSTSEQSSMGYQGVPSEAP >Dexi5A01G0035850.1:cds pep primary_assembly:Fonio_CM05836:5A:37534463:37538467:-1 gene:Dexi5A01G0035850 transcript:Dexi5A01G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDLRDRISDRLRPWSRSVEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPFDVVKDVVEKQFGRSFDELFEFFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEHLMMVDIRNMQAMALFLQKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMVSREVLVMEFVKGTPIMNLGNEMAKRGVDPGGKIAAMAKQKILSDLTLAYGQMILKDGFFHADPHPGNILICKDTEARDLFKLFHPLLQANVSFQELGIKTTTIADNKLEELFQLSLRMFDTRLPPGVTVMSPFADDSSLTKVGVEV >Dexi5A01G0026850.1:cds pep primary_assembly:Fonio_CM05836:5A:30432873:30436796:-1 gene:Dexi5A01G0026850 transcript:Dexi5A01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALSSPAVSRTPNPKSAAAPPPSPSTRRAVADAASAAAAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGSPMVLATAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPDEILSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMIVISKFDNRLKEFTDRWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRKQICQVDIDVLRHLRDNVKGGFNEEKYGSHIGFSCLRKYLESELQKRYKEAAPATLALLDQRCSEVSIELARLDSKLQATSGVSQLRRSAMQHAASICTHLRALLDGAADPAPEIWGKTTDEEQMHSGISSWPGINMSVKPPNSSLKLYGGAAFERVANILLAHAGRGGSSGLTEAAAEIARSAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRNEDSQYQNVEDMDGYVGFLAALRCSYYKFVRELSKQCKQIVRHHLDSVTSPYSHICYENDSLSGIGSVVNSMNRFNQFPGVASFDLSDSGSQLEEAQENMPPRDQRHMTPPTKGNESKDILRESQLTVPETPSPDLPSDIHGGKKKDTGIPNDGGPRKRQARMAGYTNRNHHNNSIIGADDLGSKSGSSYSTICAISARYFAKMREVLIERSVPSALNSGFLTPWYVQTNNYVSLIPLSACSVLEVTKTRERLFLALGFELFAVNDEKFMDMFVAPGAVDTIQNERQSLLKRQKILLSCLNEFKNISRAL >Dexi2B01G0031070.1:cds pep primary_assembly:Fonio_CM05836:2B:39291567:39292121:-1 gene:Dexi2B01G0031070 transcript:Dexi2B01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLMALLLSCSGMSGAARLLEEAAPKEEYPHPAVPELPKPELPPHPTEPELPKPEAPHPVPELPKPELPPHPTEPELPKPEEPHPVPELPKPELPPHPTEPELPKNEEPHPVAPELPKPELPPHPTVPEHPTVPELPKPELPPHPTVPEHEQPPKPEGHYPEPEAKP >Dexi2A01G0013670.1:cds pep primary_assembly:Fonio_CM05836:2A:22382383:22382652:1 gene:Dexi2A01G0013670 transcript:Dexi2A01G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSVRRCPAPPPPPTGGETRSGTGKNLKAPNEQGGQASSGRPRRSSGCHAEAAARAAKVQRALTWDEIRRDLEELEPGGRGPRGRES >Dexi5A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:5A:1600923:1603192:1 gene:Dexi5A01G0002270 transcript:Dexi5A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLAKGELDQIALPSADLPPVADVPAVDLSAPAGAAREAAARALVAACEEDGFFRVTGHGVPMELVRAAEAAAAAFFELPEGEKEVEAPTLGYGSKQIGGNGDLGWVEYLLLGVTPAGAAVPASSSSTLPCAAAAASAASSSSTPAGPLRGLLDEYTVAMRRMACAVLELMAEGLGLAAGELARLVARVDSDCMLRVNHYPPRPAAPAAGAPPNLTGFGEHTDPQIISVLRSNGTSGLEVARRDGAWAAVPADGDAFFINVGDTLQVLTNGRFRSVRHRVVVNSERSRVSMIFFGGPPPDEKLAPLPELLVGDGAGGGRSRYREFTWTEFKASGCRTRLEEDRLARFEN >Dexi5A01G0013010.1:cds pep primary_assembly:Fonio_CM05836:5A:9718003:9722963:-1 gene:Dexi5A01G0013010 transcript:Dexi5A01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAWGDEPSARRRPKTKIVCTLGPASRSVEMISRLLRAGMCVARFNFSHGSHEYHQETLDNLHAAMELTGILCAVMLDTKGPEIRTGFLKDAKPIQLTKGQEIMISTDYSIKGDEKMISMSYKKLAVDLKPGSVILCADGTISLTVLHCDKEQGLVRCRCENTCMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILAHSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDHASVFKSIINSAPIPMSPLESLASSAVRTANSAKAALILVLTRGGSTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARQSLIVSGVIPMLSAGTAKAFDSEATEEALSFAIENAKVMGLCNTGESVVALHRIGIASVIKLLTVN >Dexi2B01G0007950.1:cds pep primary_assembly:Fonio_CM05836:2B:8135572:8138619:1 gene:Dexi2B01G0007950 transcript:Dexi2B01G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGEGAEAGAGASEITLEYTPTWIVASVCSVIVVISLLFERLLHRLGKRLLKTNKKTQYEALLKIQKELMLLGFISLMLGVFQSATQKICVKESVMRHLLPCPLQSSGNASAKYGAAMFTGMVGGARRLLSGEGAVDDYCLRKGKVPILSLEALHQLDIFIFNLAVTHVVLSLLTFVVGVAQTKNWRRWEEKIQQSDHNGPQMIKHVQEFKFVRDHFKGHGIYWRIFGWMRSFFKQFYGSVTEEDYTAMRLGFIAKHCRGNPKFNFYNYMIRAFEVDYKKVVGISFILMQLVSLGFANDILTTECSWYSSNRINNFVSKLIIIDHWWYVYTWLSLAPFIVAYGYKSCIVGKHAHAIARLVLSIASRILCGYSTLPLYVIVSHY >Dexi8A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:8A:24954001:24954418:1 gene:Dexi8A01G0014460 transcript:Dexi8A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISHTRMVAVISHTELLRKCHRGVGERVLQEEAVLAGEGQPVTTGIHPGKWNGLLSGRLYMVPSGSANSGSGAGRGTSFVATHHCWHTAAKWARSASAGSRRRTSPASSSGMSDHDGSGGVLAIGRANPVE >Dexi7A01G0003570.1:cds pep primary_assembly:Fonio_CM05836:7A:13263418:13276966:-1 gene:Dexi7A01G0003570 transcript:Dexi7A01G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVFLFLVLAAQCCLNLTSQAFNSIIILINVHFSKHFRRFMNQYFLLIACLQLWSRITPVSPVTTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERNVWVVKDGVRRQIKAQDIHVGDIVWLHENDEIPCDLVLIGTSDPQGICYIETSALDGETDLKPRIVPPISANMSVEQLEKVKGIVECPNPDNDIRRFDANMRLFLPSVDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFMLQIVVVLVLGYFGNIWKHTKGLKQWYLMYPAEGPWYGFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKRCCINNIMYGNDNGDALKDARLLNAVSSNDPDIVKFLMAIEELRARSFKIKVPNYHHLAVGHNDDTVSYKAQSQDEEALVNAASNLNMMLISKDSSTAEICFNGSKFKYELLDVLEFTSDRKRMSVVVKEGQTGKILLLSKGADEAILPRVYPGTRHLFQPYLLSKIAEVCDSLERDLHILGVTAIEDRLQCGTSVDLEPNSQLLSISGKTEQDILRSLERALLITKNTCETKLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGTFSIQALVIFFITICAYADEKSEMQELSMVALSGCIWLQAFVVMMDSNSFTSPQIILIWGNFVAFYMINLILSAVPSLQLYTIMFRLCGQPSYWITMALTVAVAMVPVMAFRYFRNLYWPSPINILQQIEQSNGSIQPSRNVGSALKPARTNLTNLLTGSRGSRGSSYQPLLSDSAESTR >Dexi8B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:8B:20937703:20944543:-1 gene:Dexi8B01G0011750 transcript:Dexi8B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKLAEGNGPWLRSTNSFLGRQVWEFDPNLGTPEEHSEVEKVRQEFVRNRFKQKHSRDLLMRMQFTKENPVELDLLGVKLGEHEQNDDGGWGLHIEGHSTMFCTTLNYVTLRLLGEGPDGGDGAMKEGRNWILQHGGATFTTSWGKFWLAVLGVFDWSGRMWCHCRSVYLPMSYIYGKRFIGPMTPLVLELRKELYNDSYIHIDWNKARNQCAKGYDGGHLWDAGFTVQAIVGTGLIEDFSPTLKQAHTLIKNSQAALLLSTISPGIVGDPLEDERLYDAVDCLMSFKNNNGGFATYELTRSYAWLEYFNPSETFGGIMIDYPYVECTSASIQALVLFRKLYPQHRRKEVDNCINESASFIESIQRSDGSWYGSWGVCFTNGTWFGVRGLVYTHLEGKRPHVVNTAWAMLALIDAGQAERDPEPLHRAAKVLINLQSEDGEFPQQVSNYIQLTN >Dexi2A01G0014100.1:cds pep primary_assembly:Fonio_CM05836:2A:23038108:23039485:1 gene:Dexi2A01G0014100 transcript:Dexi2A01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYNDALGSFLGSIPRARLHSLVTTMFTTHAVDVASKLNTPAYTFFTSAAATLAVLTQLPALLAGRTTGLVELGEEPLEHLMPEVLPHPDDDELCRTIVNVWTRIMDADGVLVNTFEWLEAGPVQALMDPRCVPGRVLPPVYCIGPLVGDGASGGEAVNRHECSAWLDAQPARSVVFLCFGSRGSLSVEQIREIATGLEMSRQRRTHNFVPSSAPAPSGGRNKDRGLVVQSWVPQLDVLGHPATAAFVMHCGWNSTLEAIMAGVPLLWAFVTHCGWNSTLEAIMAGVPLLCWPLYAEQMLNKVLITVAMGIGVELEGYKAGFIKAAEVETKVRLVMESQEGRELRARVVECKKQACTAMEDGGSSDAAFSRFLSDVENLAERV >Dexi4B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:4B:20533136:20534923:1 gene:Dexi4B01G0018130 transcript:Dexi4B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHYLYLCLALASLLLVIATKRRRAPAQHGLRPPPGPWQLPIIGSMHHIAGQLPHRAMRDLSRRHGPVMLLRIGEVPTLVVSSREAAREVMKTHDAAFASRPLSATVAVLTSGGRDIIFAPYGEHWRQLRKVAITELLSARRVLSFRAIREEEVAAMLRACASAAAAAACVEMREMVSALVADVTSRAVLGDRCKDRDVFLRELNLSIELVAGFNPADLWPSSRLVGRLSGAVRRAEVCRDTVYGILDGIIKEHLEMIDAGGGDGEAEDLLDVLLKIQKQGTLQIPLDMDVLKAVIFDIFGAGSETSATTLEWAIAELIRNPKAMRRATAEVRDAFGSLGTVPEHALGELKYLHLVIRETFRLHTPLPLLLPRQSQEPCTVLGYDVPKGATVLVNVWALGRDERYWPGDPEAFRPERFEEGEEAGGVEFKGVDFELLPFGAGRRMCPGMSFGLANVELALASLLFHFDWEAPGVADPAKFDMTDAFGITARRKANLLLRPILRVPVPGV >Dexi2B01G0013860.1:cds pep primary_assembly:Fonio_CM05836:2B:22942454:22947662:-1 gene:Dexi2B01G0013860 transcript:Dexi2B01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGAKLERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLREMVQECYEVAAEYETKHDSQKLDKLGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGNFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVFTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRIRAEELHRSTKKDAKHYIEFWKKVPPNEPYRVILRDVRDKLYNTRERSRELLSSGHSEIPEEATLTNVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWTEERRQQWLLSELNGKRPLFGPDLPKTDEIADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPNAPKPEWRALLDEMAVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYEETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVALRPHLSKEIMDSSKPAAELLKLNPASEYAPGLEDTLILTMKGIAAGLQNTG >Dexi9B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:9B:5275557:5276547:1 gene:Dexi9B01G0008660 transcript:Dexi9B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLAVWSWSSVLGMFLRSKIQEMILRRRSRSMNTTANAQRSHAPDQVAISSTAPCDGDSAGAGSKSATARALFASPRLLHCSSLPTGTVVFAKSPVAADAGESETTAFSMSPTSVLDAASFGSGGSDSVGNSKRRPWRDNALHGLADALDCGDQQQQEGVVLAATSPSLLLRSCSLDRRVEFGVKNKSSWLPLRAGEAVSSPAAAAEDPWEMEPSSEDYTCVISRGPNPRTVHIFGDRVVEADADAESSPRPINLPARGDRGFLSL >Dexi2B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:2B:20517204:20520107:-1 gene:Dexi2B01G0013120 transcript:Dexi2B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLASASPPAGGLAPPPPLAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGTGL >Dexi6A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:6A:28099369:28100846:1 gene:Dexi6A01G0020650 transcript:Dexi6A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRLLGSPASIAVLILSFFQGSVCGITFTFSNRCPDTVWPGLLSGSGTPALETTGFALSPGQSRSLYAPQGWSGRFWGRSGCNFDSSGKGSCATGDCGSGEVECRGAGATPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAAPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGTPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSSDNSKHSSRRPSHEQLEDSVWLASLKASDARALTVAPCSASIVLQSVVAIAVVIALVGLEQPLFSLL >Dexi9B01G0044550.1:cds pep primary_assembly:Fonio_CM05836:9B:44295012:44297580:1 gene:Dexi9B01G0044550 transcript:Dexi9B01G0044550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGATSIGVRGKDCVCVVTQKKVPDKLLDQTSVTHLFPITKFIGLLATGLTADARSLVYQARNEAAEFRFKWGYEIPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD >Dexi9B01G0041570.1:cds pep primary_assembly:Fonio_CM05836:9B:42030980:42031362:-1 gene:Dexi9B01G0041570 transcript:Dexi9B01G0041570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQKMPSLEDVPALAVTKATEEGGKPAWLKALVRTRFWEPCDEHRGVTRGQRSLFCVHCYEAMCPHCRHHEPGHHLLKIRRYGYRSVVDANDMKALGVDVSNLQLIS >Dexi9A01G0007760.1:cds pep primary_assembly:Fonio_CM05836:9A:4538799:4540262:-1 gene:Dexi9A01G0007760 transcript:Dexi9A01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFQHTWLEARKVFVRMLRNRGVASVSGDVLVDALEPSKRLCKLIISCRKASALEHELDHSGVRVTPEIAEHVLERLDNAGMLAYRFFEWARRQKRGGCTHTVRSFHTVVASLAKIRQYQLMWDVVAIMRKEGVANVETFGIIMRKYARAQKFDEAVYTFNVMEKYGVAPNLAAFNSLLCALCKSKNVRKAQEIFEKMNNRFTPDAKTYSILLEGWGRAPNLPKMREVYSEMLDSGCQPDIVTYGIMVDALCKTGRIEEAVRVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIAPDVVVYNALVTAFCKVKKFDNAFRVIDDMEGHGITPNSRTWNIILNTLISHGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKIEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEPLFD >Dexi6A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:6A:28108699:28109848:1 gene:Dexi6A01G0020680 transcript:Dexi6A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPEKKSNTVDEENDVSLPEDQMLEVLTRVPLDDLAACRVVSTRWRSITYEPAFTPLHCRRTGTVSGYFLQSMLRDHYRAAFVSMNDASSPAAPAISLDFLPSTNVRIEAVAAHRGLAFCVGLDTPRRPCYYVCKPATRQWRAIPNPRTRFHTAAMAMAARPSSDAAVKAEFKIVRFSIPKRLHDMLHCEVFDSRRFAWRRAPDVPIVSLPSSTPAVRAHGAMHWLRLGAQDVFAFDLESEAWRLIALPQELDETRYHWACKQLSAVAGRLCLLVTTTETEEVGEVLEVWEMASYVEGRWDKKMTVSLKSLREQEGTMILRHLYSSDVGFLYSFYRAMCVSCYW >Dexi4B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:4B:8231252:8232007:1 gene:Dexi4B01G0010840 transcript:Dexi4B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPTSPSADSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGEHRRAAAAAEEAAEGARRGEEEEGRRGGGGVWRRRRRRRRRRGRSLGGSWWRLCRDHGDGGPPPTSLGEFLDMERQLAGADDFLFDGTTGASGPEAAAASLAATALFEEDGRVRPPPQPAAEERGRWRLLRPSDGSSSSLGRLPVLLTGICSGGAG >Dexi4A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:4A:9284655:9286802:1 gene:Dexi4A01G0011310 transcript:Dexi4A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGYGYGGGVLPTFKIFCKADENFCLTVHDGAAVLAPADASDERQHWYKTSDSAHSYRMRRRNPPSPSSTRPPASPSSASVKLVQFDQDSFDNTLMWTLSNEDSRDGGFGFIRTLNDISLKLAAFHHGDNGVTTVKLSDSCDGDNHQWKILPWRDEAIVGVGRQSMRIYCKADEGFSVTIRNGTVCLAPTDPGDEHQHWVEDTRYGDFIKDEDGFPAITIVNRATGDAIKKSEGKVGPVQLVPYDPHYMDKSLLWSKSGDINDGFHYIRMVDNIYRNLDICDKGDHDKYQSGVQDGTKVMVSHWCDEGDSQYWRMASWSSLPT >Dexi3A01G0008040.1:cds pep primary_assembly:Fonio_CM05836:3A:5600394:5602093:1 gene:Dexi3A01G0008040 transcript:Dexi3A01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVRLVMGKTESNDPEEIKRLHPRFAELKAFHGIEDEKPTSSTAGPQKMASGLISTELEL >Dexi2B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:2B:1694506:1696603:-1 gene:Dexi2B01G0002160 transcript:Dexi2B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREGEHPASPWRPGAPGEDRDSGASPSAILLVGLVGATATTAAVSPSTAPDDQLVPHSGQDQSHFQMLKSSFGLGQLKS >Dexi7B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:7B:18133478:18135189:-1 gene:Dexi7B01G0010950 transcript:Dexi7B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMETLQPHELLALLLILLATVLLLRRVFPSPPPPFKRCATSGATGSPPFTVPRGLPVIGNLHQLGGALPHASLAALAAEHAAPLMLLRLGSVPALVVSSADAARAVFQPRSNDAALSGRPALYAAARLSYGLRNISFAPPDTDGFWRAARRACVSELLGAPRVRGFRGVREDEAAALVAAVEDESSKGSPVNLSEKLVVTSNRIVRRIAFGGDDDDDDQEISIRAKAILKETQSLLGAFFVADYLPWLGWLDALRGLRRRLERNFHELDALYEKVIDDHLNKRTMTNKEEDLVDVLLRLHNDPAHRSTFGSRSQIKGILTDMFVAGTDTSAAAMEWTMTELVRHPDVLAKAQREVRSVVSDDRDTVLESDLPQLRYLKLVIRESLRLHPPAPLLVPREATEPFTVHGYEMPAGTRVIINAKAIGVDPGAWGPDAARFVPERHEHDGVDLDDHKPWHDGGGFALVPFGLGRRSCPGVHFATAVVELLLANLLLCFDWRGPPHGGEVDLEEETALTVHRKNPLVLVAERWRAASDDTSRG >Dexi9A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:9A:2714147:2723154:1 gene:Dexi9A01G0004940 transcript:Dexi9A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGCRGGGDRDRGDQRPPFGHSGGGGGGRSGSFVWPPPATTPRPVPAQYPVRPMGYRAPMVLPHQVAYGSPAAVYRAPAPAPATPPVSFSPAPPAAQVTIRAPPASASPAPSSSAPYPRQLVAPASSSAPSAAALAKEVEKKLFVSETALAPSAAAASAAVAAAQGAPASDAEDAADVDLAPVSKKGLAHPARPGLGTVGKSVMIRANHFLVDVADNNLYHYDVSINPESKSRATNREVLNELIKLHGRTALGGKLPAYDGRKSLYTAGALPFESEEFVVTLVDPEKKEKERAEREYKITIRIAGRTDMCHLHQFLRGRQRDMPQETIQVLDVVLRESPSWKGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQPDQIRRYKITGITPIPMSQLIFPIDEMGTRQTVVQYFWDKYNYRLKYGSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDRQVTNILRATCKRPQEREQSIRDMVLHNKYAEDKFAQEFGIKVTNDLVTVPARVLPPPMLRYHDSGREKTCAPSVGQWNMINKVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKDNVKDVMFYC >Dexi3B01G0038200.1:cds pep primary_assembly:Fonio_CM05836:3B:41018739:41019774:1 gene:Dexi3B01G0038200 transcript:Dexi3B01G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSVSHGALGSLLGKLGELLTAKYKLLKEAKGHIIFLKAELESMHAFMKKISDADEEPDEQDKCWAKEVRELSYDIEDSVSEFMLRVEHDSCQPHGIKGFISRSKKLVTTMNIKHEIAKKFEGLKIRVQEVSERRSRYKMDYSVPKANKTPVDTRLLALHAETASLVGVTGPRDQLIQLMDEEGTPPHQLKVFSIVGFGGLGKTTLANEIYRH >Dexi2A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:2A:5280159:5280894:-1 gene:Dexi2A01G0005470 transcript:Dexi2A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVAAAVLVSNGAVSPRTPPSAAAFLEATPGAYTTARGSLLWWPRHLRRLAESAALLARSHPHLLGLPRPSSLDALFSETPIHALVNPSVRIALHEMRSRLPVMKADELALTALIKGGDSVSRDDLDVFVHVGTYTPPVFGYSGARVAVAGTGRDAAAAKYASWARASVD >Dexi1A01G0026560.1:cds pep primary_assembly:Fonio_CM05836:1A:32424562:32427415:1 gene:Dexi1A01G0026560 transcript:Dexi1A01G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALAMRPSTQLPPPTCSSRLPQLRSFVGLRWSAPRFQVRERPGVAVGVLNGTPGCSFRLGYCSEIHVGSVGHPFRTCRGMLSDQRRGGHDWGSTSVEAVFLPIEAYHLEDRLGKRIPHDLRFAVPRIPALVELCIQAGVDIPEYPTKRRRKPIIKIGRNEFVDANEDDLPEPEPDRFKRTLLEELHSDEVIAPSTPEETVALAGETLEAWETVRDGALKLMKGYAVRVCGYCPEVHIGPTGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELKTFYGQAPAVVEICIQGGAKVPEKYKATMRLDIGIPSSLREAEMVI >Dexi9A01G0033700.1:cds pep primary_assembly:Fonio_CM05836:9A:38574936:38575226:-1 gene:Dexi9A01G0033700 transcript:Dexi9A01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDSSMEEGCGIAERRGVVDVVCTMDMVNDAKGTDTVGDACDGVLSMKGLWRGTNGVEPLWLGGERPSTNEALPRRRLCGSSGREELILIGLPE >Dexi4B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:4B:8880989:8885562:1 gene:Dexi4B01G0011440 transcript:Dexi4B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAARSGFRPAMGSDTEAENKRAPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVMTKPAKGEIEGEVKEKLKTDYDQVMSDVAEVTSAMF >Dexi2B01G0006730.1:cds pep primary_assembly:Fonio_CM05836:2B:6688090:6688294:1 gene:Dexi2B01G0006730 transcript:Dexi2B01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAHGGGSGGRGHRPIIPGSLGYYYGQTHGRGKRVAALLLRPLCLVPVQVYCLMSFLCML >Dexi1B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:1B:9427240:9427737:1 gene:Dexi1B01G0010040 transcript:Dexi1B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMWNQREDGGECSMESYHVDLGKAMDLSEADGALLMELMEDLPPSDFLDGDVDRLSHVIQSLEAEIGGSGNVAARVVNGESVAGASTDDGVILEDMLLDFDDHCEGWYVYSNGYESAVVGYEGIDHQYHYYVEGSVDQVYSPLWE >Dexi2B01G0022220.1:cds pep primary_assembly:Fonio_CM05836:2B:31895814:31899405:1 gene:Dexi2B01G0022220 transcript:Dexi2B01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRNNVAWNTLIMGYSRSGNARQCLLLFNEMRMAGLICDDATLCILVDACAELAHPSTGFAIHKIVVQSGWNAMSEVSNSLISLYTKFSLLEEAVRIFESMELRTIVSWNSLIDAYMKLGHVEEAASLFQSVPETNVISWTSMIGGLARNGCADEALVLFVNMLALEHIHPDDFTFGAVLHACAASVSLASGRMVHGRVFQTGFASYLYVANSLMDMYAKSGDVESASIVFNGIFGKDLVSWNTMLLGFAVNGLANEALVVYDSMKSHKVCPDEVTFTGLLTACSHSGLLEQGKTFFQSMVSVHGIQPKPEHLSCILDMYARSGNITEAVELLDRCSETVPTHNSNIHEALLSACSWEHLNSRVARKVAEDMVTSEPGRDAGYVTLSNLFCASGQWNVAEKVRRAMAERGVKKSPGCSWIEVKGAVKVFVSGAQDPDRTGTTMDPDASPGINFWKDPNAESCCICGGEAEAEAKHTELTCPYNYLAPASYVPCRARVAAWRESRSALSEHRWFLRRLVRVNNLPGSCRPVELARLFAEFGPLLMWHVAMDGTGACKGFACVVFERRQHAEEAIDRLNCYSLGGRQVIESEAGAALGYAKSTRSLRSPTR >Dexi6B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:6B:23981044:23983213:-1 gene:Dexi6B01G0016680 transcript:Dexi6B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQAMGDALWDLLGDDMSASGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGVDIAEVDLNRCEPWELPDAARMGEREWYFFSLRDRKYPTGMRTNRATGAGYWKATGKDREVLNAATGALLGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSCKEEWVICRILHKVGDQYSKLMMVKNPCYFPAMGMDPSSFCFQQQDPAAAAAPIPNPSACTSIVTLPFHHGHPSMQPPLLPPASSNHGSNSKISNGCGFPASVCTQEPPHSYGGGSNAAMAMPPPYLPPSFTSMIAGRSAPPPQPPQVGVNAGQQEPPLPVPPTWLEAYAQHDGILYEVGPGGGAAPRGA >Dexi7B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:7B:17132780:17134756:1 gene:Dexi7B01G0009550 transcript:Dexi7B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLRQLLLATLCVAASVLGAQATGGGGDARTKGVGVYELRMGDFSVKVTNWGARLVSVVLPDSKGNLADVVLGKDTVTEYVNDTSYFGPITGRVGQRISGGRFVLDGKVYHLERNDGRNTIHGGGTAFSKSAWTVKEYVGNGDSPHITMCYHSFDGEQGFPGSLDAYVTYRLSSPYTLAVHMNATALDKATPVNLLQHVYWNLAGHGSGDVLGHTLRLFASRYAVLDAELLPSSGRLAPVAGTPLDFRSPTAIGARIRDVMGGKVVGYDANYIIDGDQGTMRPVAQVQDSESGRKVELWANQATMQLYTGNWLNHTKGKDGKVYNQYAGFTLETMGYVDAVNHPEFPSQTLLPGQEYKHDMVFKFSF >Dexi5A01G0039360.1:cds pep primary_assembly:Fonio_CM05836:5A:40024033:40026558:1 gene:Dexi5A01G0039360 transcript:Dexi5A01G0039360.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLAMATRVLSRQSLRKLASFTLQNISQRQLISPFPPLLRSTALFPSKCFSPLYLFGHSCAVRWATYGSVNLVLSDDGKPKFQFEEVEPSTKRRYLTKKRLKVQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKARLKEAMLVEKLKKYEVARAQGPIVKPDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIREYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYMRHVALYGIPESQKADLVSGDDREASLLKMWGLDQEKGQLPHLATNHFYCDISESDEEGTSGSEYDVNDDDTEDTINISEDTIYDFGGLANRK >Dexi6B01G0016540.1:cds pep primary_assembly:Fonio_CM05836:6B:23784495:23789051:1 gene:Dexi6B01G0016540 transcript:Dexi6B01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSAGAPRARTGTASYLLPFRAPRMTPMASWTSRLALAVVALLWTSCALLFADASVHDYSGERFVGSGNAFVLHGGSEGVYASTKAAFIRFEKVAFRRTPESAAAAEEDGNRTATVTAAIFEAGGRDAIGSTDVVSGERVLCCTPDMAKLGACTEGAVNRDLGFGPNGPNFSEIRPFRTLAVIHRAWRNDTAWPKVLSASFLPGTLEAAFPDETVTISRTGMYTLLFAHCDASLAGGEVAGAGKTIWKNSHGYLPGRMAPLVTFYGAMSLAFAALAAYWFAQCARFWREVVPLQSCATVVIVLGMVEAATWYLDLAEFNESGVRPRGATFRAATAGALRGAVARVLVLAVAMGHGVVRPAMAGLKSARVVGLGAAFFVAAEALEVTENVGTVSDDHSSSPARRLFLVLPVAVLNTVFVYWIFSSLSKTLNKLKARRMTEKLEMYRRLTNALLIAVAMSLGWITFEIHFKSTDEYNERYAYSEEEEEGEDADRDLEDTRPLIRPGPLSYVDTWAISVSQDATKIILRTDSGVYAKAAGDGGKRV >Dexi3A01G0018660.1:cds pep primary_assembly:Fonio_CM05836:3A:14215644:14218344:1 gene:Dexi3A01G0018660 transcript:Dexi3A01G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRRNPPQNPNRRKGEEPWLAASLRPTNFLPGLAIGFLLGLLLDLSSSWRPKSGPAPAPAAAPARGSSSKRASGSSFASGGEELKMVLVVRQDLKMGAGKIASQCARVGWI >Dexi1B01G0014040.1:cds pep primary_assembly:Fonio_CM05836:1B:20176953:20178072:1 gene:Dexi1B01G0014040 transcript:Dexi1B01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNAALPVLVATLLAIATQSAALKVGYYNDKKCAGVEPIVRDEVYKTLNYDRSKGAALVRLFFHDCWVKGCDASVLLNPSSKNPYPEKVAGSNIGLRAFDVIDKIKARLEAVYPGVVSCADILAFAARDATRYLSDGHIDYAVPSGRLDSVVSRAKDADDTLPSSTASFPDLKKNFGKKGFDVEELVVLSGAHSIGVAHYPSFKDRLAAPGNEIDAKYQLALRNAAKNKSRTVANDIRDESYAFKRDAGYYTVVTGRKDYLNNTYYHNAMDNRVLFKSDWVLRTDAFALSKLKEYRDKPKEWDSDFADALVKLGKLLPAEGKGLLEIRKKCSAVNTY >Dexi9A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:9A:864860:865730:1 gene:Dexi9A01G0001650 transcript:Dexi9A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTHHTIRRAAARPRGWCCSFSGVPDSPEHRAFPPAAHKQSLPPPPPKSPLAPPFHSPPSSKLAGRIDPRRILSPGRVSPIDSEGSPAVAPADAEEALTREQAPFVAVREDDEEEGAGGLDLRLSLRGRDGRCVVMELDSTVLRDSSAFFADMVPDASGGAGKRIEVDGVDNLEAFKETVELMFEPDALRWLARAGVSRAIAVLEV >Dexi5B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:5B:8163208:8167355:-1 gene:Dexi5B01G0011510 transcript:Dexi5B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGRIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLANVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >Dexi6A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:6A:3364876:3368000:1 gene:Dexi6A01G0003620 transcript:Dexi6A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTSALHGGLLLLLPLLAITSAASSAPLPLLALLSLKSSLHDPSGALRPWTYAAAASAGATRSLAPPWCSWPGISCSAATGDIVRIDLSRRNLSGTVSPTAARLLAPTLTSLNLSLNAFAGEFPTAVFELRRLQLLDVSHNFFNGTFPSGIVKLAGVLADLNAFSNCFVGDLPSGMGELRRLERLNLGGSYFNGSIPPELRQLRRLRFLHLAGNALTGRIPAELGELVSLEHMEIGYNAYDDGELPPELGNLTELKYIDIAVANIAGELPPSLGELGKLESMFLFKNKLAGAIPHQWSRLRSLQVLDLSDNLLAGEIPAGIGELTNLTTLNLMSNSFSGEIPPAIGSLPNLEVLQLWNNSLTGGLPESLGSSGKLIRVDVSGNSLSGKIPQRLCSGNRLARLILFGNKFTGAIPASLATNCSSLWRVRLESNNLSSEIPAGFGTIGNLTYLDLSSNSLTGGGVPDDLVTSTSLEFLNISGNPVGGDLPNVTWQAPKLQVFSASKCHLAGELPAFGADGCTNLYRVELGENDLTGGIPHDIGVCKRLVSLRLEHNKFSGEIPATLADLPSITEVDLSWNDLTGFVPPELANSTTLETFDVSFNHLDSPPASAAGDGDGSSSTSKHNAAMWVSAAAVAVAGMVVLAVTARWLQWRDDGGAGELDGGGARRRGNFGGVGPWRMTAFQKVEFTADDVARCVEGTDGIVGAGSSGTVYRAKMPNGDVIAVKKLWQQPPAQKETQPQPPPETKKKDHDDGEVVTAEVEVLGNLRHRNIVRLLGWCTNGEVTLVLYEYMPNGSLEDLLHGGGGAAMAAKVRMGWDARRRIAVGVAQGVSYLHHDCRPAVVHRDIKPSNILLDADMEARVADFGVAKALHGIAPVPMSAVAGSYGYIAPEYTYTLRVDEKSDVYSFGVVLLEIVTGRRPVEAEYGEGNSIVDWVRRKVVAGGVVEVAAWAAEEGGEVREEMATALRVAMLCTSRSPQERPSMRDVVSMLEEARNQLVGKKHAQAKMV >Dexi5B01G0011250.1:cds pep primary_assembly:Fonio_CM05836:5B:7950271:7952826:1 gene:Dexi5B01G0011250 transcript:Dexi5B01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGRSKEGKKPEVGDFRSQGVPLKRKLREFPVTEDALLPVGTTITFRHFMPGKSVDVTGITKGKGFAVPIVSYPYLFPVTSLLKTVQFSKIGRCLDAWVMGGVQRTVKNVCVYQIDPDRNLLHLKGQVPGPQGSFVLVKDSIFKKPAALLPFPTYFTQGEVGDLEPLIADLGDIDPFMEPD >Dexi8B01G0014370.1:cds pep primary_assembly:Fonio_CM05836:8B:24989903:24991602:-1 gene:Dexi8B01G0014370 transcript:Dexi8B01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGDHMAADNTAAVPLLPPPAKAAVAGEPRRNMFAFACATLASMTTILMGYNLALMSGAQLFIREDLDLTDGQVEVLAGSINVFMLASILAAGWAADVLGRRGTLVLANVFLTAGALAMSLGASFPALMAARCVTSVGSGFSVVVSSVYNAEISPPSMRGFLSSFLDMFVSLGLLLSYVSNYAFAGLPVHLGWRVMYAAGVVPPVLLAAGVLAMPESPRWLAMRGRHAEARAVLLRTSDTPAEADLRLQEIIKQATVAKHDGGDAGNVWKELLVSPSASVRRIVVCVVGIHLFQQASGIDAIVLYSPLVFKKAGISSNTTVLAATVGVGVVKMCFVLVATLFSDRIGRRPLLLASTAGVAASMASLGAALCFAGAASTAAVVTSVVAFMAAFSVGLGPVAGTYSAEVMPLRLRAQGASLGMAVNRLTCGVVSMTFISLADAITMPGCFFLYAGVAAAACVFVYVRLPETRGRSLENMDVLFAK >Dexi7B01G0021880.1:cds pep primary_assembly:Fonio_CM05836:7B:26870598:26870956:-1 gene:Dexi7B01G0021880 transcript:Dexi7B01G0021880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSPASRLLPLLQLAVVIAGTVPGVRCSDRRFPHLDRVRELHRREGSSPAEQEAAARGLLQRILPSHSASFEFRIISTTRKS >Dexi8B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:8B:26373185:26373444:1 gene:Dexi8B01G0015610 transcript:Dexi8B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMPNTKEEAKDCTVLLGESRRRNGDGKNAWPMLKAVPLTALCNRGCAIKGEAGVGEAGIDKSMVLISGGECCNAKAKAN >Dexi5B01G0013190.1:cds pep primary_assembly:Fonio_CM05836:5B:9377330:9377918:1 gene:Dexi5B01G0013190 transcript:Dexi5B01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRGYPWSKGYRVGNPIYLFLVIRRISTFFSTSQAQPSPSPQFPNHLAGVDGLWCAAEPAPRTADSTVEPIHKEIEEHSRRQPGTMSIDGSSIDGGAADELFAGGRIRACSALVRSSSSCSSSQECRLQRQAAVVREGNEERPASQVFVRIPTLISIWILLQPIWP >Dexi4A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:4A:1984050:1989057:1 gene:Dexi4A01G0002850 transcript:Dexi4A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLYPGKNELMIAVAAMLVLQLGTATDGGIGKDGCQDKCGNVSIPYPFGIGPEVCFREGFEVNCGPDNVAILVNSSMIPLLDVNLDLSEARVQNNAIARLCKYTLENGTEQRDWTTTNFDVGPSFMVSGAKNKFTAIGCATVASIMIGPNYGKESFVTCACGSFCYREDFIDNQTECFGRGCCQSAIPPESLNSFYPSILFTIDNTGVQSFSPCSYAFIAEEGSFEFNPSYATSTSFDTTHGHPLVLSWIVGEGTCVEAKNGSSYACVSTNITCSDVPDRGYRCNCSEGYHGNPYLVGGCQDIDECKILPHPCKGGECTNTNGDYTRTCPRGTHSEDPKTIPCTETNNGPNKLIHGNHGSRPPISLEARLKIAQESAEALSYLHLSTNRPIVHGDVKSLNILLDENYMAKVTDFGASRILPKDAVQLMTMVQGTLGYLDPEYLQEQKLTEKSDVYSFGVVLLELITRRMAISFEGPAEEKSLVSSSLKALKGNRVKYMLHSSIMGVEMEELFQKVVTLASMCLSSKGEDRPSMTQVADNLKAIRSTWREVLLLQHKEITEHIAEGLAASSTCDLSPSMYWTVKIMGLDIEGTPQDNVGTTHIWRIGILDLRSRV >Dexi5B01G0023930.1:cds pep primary_assembly:Fonio_CM05836:5B:26016765:26017020:1 gene:Dexi5B01G0023930 transcript:Dexi5B01G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTLFGRGKTKSLIAGADENGVTVIYDVDERTLHSYLRLNEPKQVDPVSLAVGDALYVIDRYPHPDSRCGFEALTQSQ >Dexi3A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:3A:6195348:6198603:1 gene:Dexi3A01G0008910 transcript:Dexi3A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSVSAADMAAVKTSSNGVWQGDDPLHFAFPLLILQALLILVLSRLLAFLLRPLRQPKVIAEMVAGIILGPSALGRNAAYLHALFPPWSTPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRRAIPGADQAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGDGSSGRGPVTSVWVLLCSAAFVAAWMVGVKPAMGWVARRADAAGEGGGGEGWVAVTLAGVLASGFVTDLIGIHSIFGAFVFGLTVPKEGAFAGRVTARVEDLVSELLLPLYFASSGLKTDVAAIRGGEAWGMLALVIATACVGKIAGTFGVAMACGMEVREAIVLGVVMNTKGLVELIVLNIGRERKVLNEETFAILVLMALVTTFITTPTVMAIYKPARAGRRRRQLHHRKLQGPIPSAPSSPSAGAATANAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGLPFLRPRRAGDPHHDQVDVAFDTYAQLGHVAVRAMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHHTGGHGGGDDVENLGPEWRAVNRRILREAP >Dexi3B01G0010980.1:cds pep primary_assembly:Fonio_CM05836:3B:7712967:7714709:1 gene:Dexi3B01G0010980 transcript:Dexi3B01G0010980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWALSGGGAQASPVDALIRSGLLEERPADGAGFSLDGKRALNWAFDNGLGLVFVAVYRRVLRLLYVDDLLAAVRAEFARVYNPKRTSYDGFGDVFRQLHLEAQARADEMNKFKQAPIKPRPPSPVPVPLSHNDVPKVPGDDGGNDGESQQGGSDGESGKEENSGDPEPKDGGAFNLGILHRLRIKVIPTKDINNVNGNKNNNKGRKKNKEKEGTHRKLDFSDPVDGGKVTDHVVVKKGVQGQSQVDTDENVGDGPKAEGWFSSVFQSIAGGNTVIGKSDLQPTLKALKDRLMTKKVAEEIAEKLCESVAASLEGKKLGSFTSISSTVQAAMEEAILRILTPGRSIDILRDVYAAKERERPYVIVFVGVNGVGKSTNLAKVAYWLLQHDLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYERDPAVVAKQAIQEATRTKSDVVLVDTAGRMQDNEPLMRALSKLINVNSPDLVVFVGEALVGNDAVDQLTQFNQKLADLSAVPAARLIDGILLSKFDTVDDKVGAALSMVYVSGAPIMFVGCGQSYTDLKKLNVKSIVNTLLK >Dexi5A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:5A:10737606:10741217:1 gene:Dexi5A01G0013940 transcript:Dexi5A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASHLLLRTTTAAATRRCHPQPLLAPPPPLPLAPPWLASASSSYATQAAAAAAAPAPARAVKPLRTVGSLLRLNDLRDNPGATKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELISMKTLKETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRTTARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRDAARVTA >Dexi9A01G0034690.1:cds pep primary_assembly:Fonio_CM05836:9A:39434551:39440333:-1 gene:Dexi9A01G0034690 transcript:Dexi9A01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGISRITARTADGKGILPIKNEPYFFTIGKSEVPKGLEMGIGTMARKEKAIIFVNSTYLTKSSLMPQLEGLEEVHFDVELIQFIQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYRGILLDESKSVFYDTQVDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGATVQWEIELLGFEMPKDWTGLTFKEIMDEADKIKNTGNRLFKEGKYELAKAKYDKVLREYNHVHPHDDEEGKIFADSRSSLHLNVAFCYQKIGEYRKSIETCNKVGFLGILVVLLEQVLDANPVHVKALYRRGMSYMLLGEFDDARNDFQKMITVDKSSEPDATASLLKLKQKEQEAEKKARKQFKGLFDKKPGEISEVGVESDGGKDSGNDKRSGEGTNADREADTKGSPSGDSEYAFEEERPGLLGRLWPSGRRIFSSLGLNRCTIL >Dexi2B01G0027380.1:cds pep primary_assembly:Fonio_CM05836:2B:36338026:36338663:-1 gene:Dexi2B01G0027380 transcript:Dexi2B01G0027380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >Dexi5B01G0027060.1:cds pep primary_assembly:Fonio_CM05836:5B:28685134:28685468:1 gene:Dexi5B01G0027060 transcript:Dexi5B01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNGAGSGRKAGRRREWVRSGRRRRASGMEAREEASTGGVRRDGRDAMAAEMASGEGGGGLGPRRLRRKRCGVRRDRVENDGRGCGGGAEETAEERGHRGGGRI >Dexi3B01G0026440.1:cds pep primary_assembly:Fonio_CM05836:3B:21586359:21587014:-1 gene:Dexi3B01G0026440 transcript:Dexi3B01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPGGYPGGAINNRPFANSQNSIKVQNSNANSPTSSGASSNPGPQIEGPPGANLFIYHIPQEFGDHDLASAFQGFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQTAISMMNGFQLGGKKLKVQLKRDNSKHSKPF >Dexi9A01G0033750.1:cds pep primary_assembly:Fonio_CM05836:9A:38609553:38610413:1 gene:Dexi9A01G0033750 transcript:Dexi9A01G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDGRKAALLPWLSSSRWSVSGRQLLSAAGNPEGRAHAGMVLVILAYSGYHVLTKSVLNVGMNQVVFCVYRDLLAFAVLSPVAFFRERRVRPPVTPQLLASFALLGFTGLYANPLLFLIGLQNTNASYAAAFQPSIPVLTFVLAAIMG >Dexi1B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:1B:26432541:26434141:1 gene:Dexi1B01G0020340 transcript:Dexi1B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDRSKGLKKGPWTPEEDKLLVDYIQTNGHGSWRLLPKLAGQEISDQSKPNSQVPFLRNLLPVLLIGFFRCWFCYLRWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLDDPPPGPASGCPAARHMAQWETARLEAEARLSLAAASSSSSGAAPTGTTTTSVSSSSAVAEKDPKAADIFLRLWSSDIGDSFRRRKATEAAPPPLLMPAAPVVKRKDVVVIKQEEAQALPSGPGGDDSSAASSNETEAMEEMEGYYDYQTFVDNFAGEELGLFHGRYGGFSLFPPIDVLAEASLDTAF >Dexi7A01G0023190.1:cds pep primary_assembly:Fonio_CM05836:7A:31243257:31246104:1 gene:Dexi7A01G0023190 transcript:Dexi7A01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRLQSVVGTSAATVARPPRGRRAAQAALTRPRRGGAWRSSSSAFAAVASLLLAPRTPSWRSRAALRWRSAGARSRPHRAKTAEEDPHFNTPIPLACSALPTRAELTVRPWRAMPHSPRALEHPTELPTHSTRSLKPPENPLAHSPSFFFLATRKPTLTPPFPPTFPNTLPTPRLEFPISPKSGFPATLLRAIPELPRARQQLPQALLKLTDPSALLERLRARRRRSRRGRAATSSSPAPFPPTSPSPVRRGLPGATPARSQGPFFIFFLVQGPLRKNQGLLREKGVLPDGDYTLVPAEEEQVPEPDAGADVTNTGANPQPEQEGKPRSMT >Dexi7B01G0007430.1:cds pep primary_assembly:Fonio_CM05836:7B:15327098:15329726:1 gene:Dexi7B01G0007430 transcript:Dexi7B01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQGTLVFGLHLWELVGIGVGIAFVLLLVLLSLVCLLASRRRRRRRAAPATPVLHLPVVVPNAQPKHPAKPPKDIQEVPSRGAGAPAAPSKVPLAQVLQAPPPDSIQIETGKEHRITFPEQPPPPHHQRSGGPSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEDATCMFADEKVIGEGGYGIVYHGVLEGGVQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDIRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALNVAVARLQPKGDQSKHLQANQAIAVGTTRQKTHRKEESLSNGELERLSSEENR >Dexi9B01G0023210.1:cds pep primary_assembly:Fonio_CM05836:9B:18267424:18268632:-1 gene:Dexi9B01G0023210 transcript:Dexi9B01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRRSVNGGAKVVTFDDAVAGMRRNGSLSSSLAHGMASRRRTYTDGELDVFTAERYFTGAIMDGGDGGPHKVAFTDAASAMAPPVETPVVIAKPSSSSTRASTASSVSSANSQTVLIRGGGGHGRRGRGSGGEKKCCVQVGALMRTCSGKRSVRVDGGCASATTKEAHAAGGEVVTASRIDWYRELRMQKAAHGLPGDGGNTNSHAGLVAAAGLPPSLGLGGTAKVAAIGRDQLTRDEKKASGELTFSSPSSVRRSFALVAPVRATVPAASSRVGDATGGAGNKCGDEDDDDDGAGSESSSDLFEIKSLMIEECPYEPSEASVQWSVVTADASERGGDRVCARWAAAGGRAPHVGGRQYRPVGILSGCASHRAVDVSPATKAVPEMQRRGDGLQKARNGA >DexiUA01G0026980.1:cds pep primary_assembly:Fonio_CM05836:UA:57535203:57537894:-1 gene:DexiUA01G0026980 transcript:DexiUA01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIQPLWLSLASFVPALPLSSPAGPTTRPRHGTAHQTVLGPTDAVKQPPFSSMVTAGGLSIFQNGKGCGSCYQVPFVQTTRHHRICLKVTVGIIIKFVQVKCTEHASCSGTPVTVVLTDECPDGACQQVPVHFDMSGTAFGAMAKPGQADQLRTAGRLKVQYTRDIFGLVFCAVHDTAPAGVSAYACRVPCNWRGAHIAFKVDAGSNPYYLAILVEYESGDGDLRSVELMQNGAVWAPMQQSWGAVWKYNSGGPALQAPFSIRITSGSGRTLVAGNVIPARWTPGGTYRSVTRTLGLCFELCDGAYRTRTSPLYSLCLSRPGSQAKSLEVVTVLLFFTINRSRMSSPPPPPVQEGDLGATITSSSCKRRHLAPGGSDGGNREAGRPWDSLPEDLVELIGWLVLTGDLLDYVRFRAVCSHWNKSTLRPQGRGLVDPRFHPRRWMMLPEGHGLHPGHPKLGGYVRFFSLATGTFLRVHLPLFDDHVALDSVDGLLLLHRNHDTAVRLLHPFTGDIAELPPLTSVLPQLERYRFMDEERKLRELRFYLRGVCAAVTVSDAGGITVMLAIDTRHRIAHAAAGDLLWVLSKLPYSVIAPTMSSQGKLYALTPKPRDENVVQIWKTDPPQPTTEASHSLSLQPPRIIAECPVQVLPAQTLTAAAPAVRLKLLSV >Dexi7B01G0020160.1:cds pep primary_assembly:Fonio_CM05836:7B:25581405:25582634:-1 gene:Dexi7B01G0020160 transcript:Dexi7B01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSAPGELAARRRVRERADAEAPATGRRVEEVSAGTSSRHGEVEMMNTTTGPRSTPRLGAKRKRAADDQQVAVQQQVPPSPLQRLLDACRATFGVPGAPPMASIVPYIRGIMDMIGPDDVGLRDEVRFFNWMNARGHQNPPIVTSKTIFQCNNFTIAVFFVPFGTVMPLHDHPDMTVFSKVLMGSARLEAYDWVPPRIMWRHGSWMLAEKVRDHSVTRASGTWMLFPDGGGNLHRFVAAEEEHCAFLDVLTPPYAPAEQRRCTYYQQYSPHEFSVVEGGQTRRLTWLKEALEPRSMRIMGLPYRGPQII >Dexi4B01G0014850.1:cds pep primary_assembly:Fonio_CM05836:4B:16079086:16079429:-1 gene:Dexi4B01G0014850 transcript:Dexi4B01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRRDGDAAGSSDISKLGAKGDGKTDCTKAMNDAWAAACGKEGAQTLTVPKGDYLTGPLNFTGPCKGSVTIQLDGNLLGSTDLS >Dexi5B01G0023950.1:cds pep primary_assembly:Fonio_CM05836:5B:26043107:26048437:1 gene:Dexi5B01G0023950 transcript:Dexi5B01G0023950.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRSEFLPLPKSSVRRRAALVAISRTNTQSIMAGIRLTPEEPDMPVGTPPRPQLPPSVAGAGSGGGSGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSAASDYCHSLMTHVDPSFGVDKCKIKAYETKSSEGITYSELHKKELITTACEQLIHTGPLELPFLIRMIKILVMLKDRCWVSKAIGMLLIQKILQIFRNMGMLEKYGDHILSLLISTVKIFTLSKISCEQNLLFVGLVQMFTDLTGTDYSEGAVELARNLAARDGFTSIKFLVDDILETKLDRKFKIITDKGTLDAIGLHPDGRAKRIIYWESVSNLVEPGGIVVITSCNHTKDELLQEVEDFSKRKFGKENMDEGAGLVSQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRM >Dexi5B01G0039350.1:cds pep primary_assembly:Fonio_CM05836:5B:38247172:38248178:-1 gene:Dexi5B01G0039350 transcript:Dexi5B01G0039350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSIRRSSMESSCSSESHVDDLVSKLPQREGLVLHRGFWLWPQTTKRIMLLQDSMFKPRDDDIILATNPKCGTTWLKALAFAITTRSRYHDFHSHPLLTHHPQELVPFLEIPTNEDLTYVETLPSPRLVATHMPMSLLPAAGSIASHGCCRIVYICREPKDVFVSVNVAFLKKDTRRRTRFAKVCLPYGPFWNHCLEYWRESIARPDRVLFLKYEDMMSDPVRYVKKLALFLGVPFTCREEEDGVVEQVVRLCSFEMLSGLEGNRTGSLKLRPRPNVVYEKSAFFRTGKVGDWVNDMSEEMGRKLDRIMAEKLKGSGLVFS >Dexi3B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:3B:3122274:3125558:1 gene:Dexi3B01G0004600 transcript:Dexi3B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWALPAGLVLLVLVLAPAVRGDKPLRGGPSGVGAEAQASSAVFPLYGDVYPHGMYYVAMNIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCNKVPHPLYRPTKNKLVPCVDQLCASLHGGGRHKCDSPHQQCDYEIKYADHGSSIGVLINDSFTLRLANASVVHPSLAFGCGYDQQVGSSGEVSPTDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSLRGGGFLFFGDDLVPYSRATWAPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALFTALKGDLSKTLKEVSDHSLPLCWKGKKPLKSVLDVKKEFKSLILSFANGKKAFMEIPPENYLIVTKYGNVCLGILNGSEVGLKDLNILGDVTMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSALL >Dexi2A01G0010260.1:cds pep primary_assembly:Fonio_CM05836:2A:11420613:11429001:1 gene:Dexi2A01G0010260 transcript:Dexi2A01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQLPADQAEAELPRSNKKEKKSKKDKKRKLAAEAEAAAAAAAIEEQLAKSSKKKKRAEDETADGGGEAENGAEKAVAVTGKGSEDPKYAPLRSFSAAELPSQVLDCCKEFARPSPIQAHAWPFLLDGRDFIGIAATGSGKTIGFGVPALMHIRKKVGGKAGKKAVPRCLVLSPTRELAQQIADVLSEAGAACGINSVCLYGGTSKGPQIAALKSGVDIVIGTPGRMKDLIEMGICHLNEVSFVVLDEADRMLDLGFEPEVRAILSQTSSERGEEEGRGGDGPLLRRSAPSLQREKRERGRRTWDPVGASSGLCFASGVCAPACPPCCLCSASSDPLLRRVRPSGLSLRQMVMFSATWPLAVHKLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLLALLDKYHQAQRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPQALTKFGTHVKKKESKLYGSHYKEITADAPKSTKITFGDSDED >Dexi9B01G0039970.1:cds pep primary_assembly:Fonio_CM05836:9B:40638748:40639594:1 gene:Dexi9B01G0039970 transcript:Dexi9B01G0039970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNRKTSKHTSRVKKLLKLALSRLTIARRPRLARKSISLSDVGQLLILGHFDHAIQRVIEEDNMMLALDIIELYCKRLIEPAAKLDKPKESSDDIREAVAGIMFAARWCGDLPELLVARDLLADKFGSDFTTNAKEGTGIVDPMLVWKLSGDKTNMELKKKMTKDIAIENNIWVQSSNKK >Dexi5A01G0032400.1:cds pep primary_assembly:Fonio_CM05836:5A:34936877:34941851:1 gene:Dexi5A01G0032400 transcript:Dexi5A01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPLVKSRPATSPRHRPSQSPHPASPGSDPRDVAAPQDRHVCPQGPRLLTSRTFLLLPGHECPSRSSGPRRATCRAPGHVGVRCGAPAPEETDTAQTSRLSASRLEKQVAAAYHSASTNTTTNALLARSLAPSLASLPSAARPASQPSKIAEKSAADSDRQGFLEEMNGRGNGGGGGGEEEMEEDGGGAGCAQGAGPGPGNKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSGVAIVRADAGWAHCVAITGEGDVYTWGWKECVPTGRVVGDQASVGTMEKDERQIAMANDQGGGQVWGWGYGGEGQLGLGSRIRTVSSPHPVPCIESALYSKDRPSAMKGNKTAEGHIQELFLHLDGGCMDRVVLHQCTSFVTTMLVLLQCGQGNTEDVLSPTCVSSILGIKMQDVAAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCNVPVDAYHPLNVSCGWWHTLVLAESPT >Dexi6B01G0006170.1:cds pep primary_assembly:Fonio_CM05836:6B:5772253:5774582:-1 gene:Dexi6B01G0006170 transcript:Dexi6B01G0006170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRRRGQRPAPPVPHPEAFERVAAPAIAPQLPPPASFARKLAPTAPDAAAVERARAPVAAALLPPPAAFARGFGTAAPRNPPPVEARARSPPPPPPPTSSSSSTRRRRRNRGRKAGKGREMRDWAALPREVLAAVLRKLDHIEILMGPGQACRSWRCAARDDPALWRRIDMRGHADLFYQLNLHGMAQAAVRRAKGQCEAFWGEYAGDDAFLLFLAEQAPSLKSLRLISCYDVSNEGFAEAVKKLPLLEELELSLSSNVFGQEVFEVVGKSCSQLKRFRLSKHSFYSFEDVDYNKDGEALGIATMTGLKSLQLFGNNLTNTGLTAILDSCHHLESLDIRHCFNAYIDNTLRAKCTGIKTLRLPDDSTDDYEFIVSPPIWANHSQSEDDSDGSYMGSDVYYELDTELDDDDDMDEDDEEARMILMALWALMG >DexiUA01G0019770.1:cds pep primary_assembly:Fonio_CM05836:UA:41000999:41003677:1 gene:DexiUA01G0019770 transcript:DexiUA01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASMALLVFLSALSLLPRWLIAFSALLPALDGSACVLRGGALQLTDDGNMLFGVRRLLRYGLLLSHRDRRPRWSRLRGHDLQGTPRCKQHGFSSGNTTGDRDPSNHTSSPSSSIYTIPDQTAERDKQQPRRHVGEDLNNSVSNVSEPAGYFNSPTTHPLLAFHVTTDHNRPLGQEFGLLNETKQAKSDVGERDESGHLATRPPFAGATRSAAGDWLNGECCSRLPSSVSSMPPTTSMALLVCLSALSLLPHHRLIAFSALLPALDGSACVLRGGALQLTNDGRQQPHGSWATPSSTRLSELLG >Dexi9B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:9B:2204593:2207224:1 gene:Dexi9B01G0003830 transcript:Dexi9B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYGGGGRGVHLSSHKDLLLGRGGRSFLFGNTWFLLSTYPARLLHTTDRRAPAAFFAAIHRAPCVRSPCAGQGLLQRGGIVMAACGYALRRAELGATKRQLDKDPSAGTGVSRIAAMGAVGSAPRPDVSFRYRGMESCKKFGASLKCREPWGNRSFWTNAVGPSWKLSFAVEPWTRDFSSSCAAPYSAGATEHQLSLDEKMDNSTIASDAKAPTSENLKLVSGSCYLPHPAKEATGGEDAHFISTAEHVIGVADGVGGWADLGVDAGLYAKELMRNSMSAIKDEPEGTIDPSRVLEKAYTSTKARGSSTACIITLKNQGIHAVNLGDSGFVVVRDGRTVLRSPSQQHDFNFTYQLESGGGSDLPSSAQVFHFPVAPGDVIVAGTDGLFDNLYNNEISGVIVEALRVGLEPQIAAQKIAALARQRATDKNRQSPFAAAAQEAGYRYYGGKLDDITVVVSYVKSA >Dexi1B01G0002600.1:cds pep primary_assembly:Fonio_CM05836:1B:2088952:2091052:1 gene:Dexi1B01G0002600 transcript:Dexi1B01G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPGNPYEKPSGMATEEAGAAVSSAWTRVLRSWTWPPRSLIAWRRSCMVGSGQASFLLSSSPGIPDTKLVGFLALPGISRREYECAGGGGGERRRRWCAVIAGKVEAVAL >Dexi5B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:5B:11569877:11570633:1 gene:Dexi5B01G0014690 transcript:Dexi5B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIFDYIPARRRVSAADFWPDSDADDSHAPHPSDERGVAPRGKAKRGRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDAASSSPATARAAAAPPAVLPPPKLEEEASSAVSDEVKELSEELMAYENYMNFLGIPYMEGGSAAAPPAAAAAPAAVEEPQAPVQAGLWSFEDFNYYPASLALFTE >Dexi1B01G0025010.1:cds pep primary_assembly:Fonio_CM05836:1B:30198223:30202524:1 gene:Dexi1B01G0025010 transcript:Dexi1B01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKPSLEFMRWRWRPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHLKSLLCLLSKCTQGRKGVLGQHGMEAGAAR >Dexi4A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:4A:16638777:16640081:-1 gene:Dexi4A01G0014490 transcript:Dexi4A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGTAARARWFCAGVALLPLLATAVAGAPGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLFGLPFLPPSKAKNASFAQGANFAITGATALDTEFFQKRGLGKTVWNSGSLSTQIQWLRDLKPSLCNSAQECKEFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLVVPGVMPSGCFPVYLTMYTDPKERHGSRTGCLKRFNTLSWVHNAMLKRALEKLRAKHPGVRIILAGNR >Dexi9B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:9B:3965193:3972510:1 gene:Dexi9B01G0006610 transcript:Dexi9B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHAAGSDEGDWPGESDLQRKKAMASPALICDTEQWKALQGHVGAIQKTHLRDLMADADRCKAMTAYAAKLKEKIEKMFKGEKVGATGKALTNVVSVGIGGSFLGPLFVHTALQTDPEAAECAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIISSLGPQAVSKHMIAVSTNLKLVKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDNHFYSSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVPLPLETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFKGNRPSLSLLLPTLSAYEIGQLLSIYEHRIAVQGFIWGINSFDQWGVELGKSLASQVRKQLHGSRMEGKPVEGFNHSTSSLLERYLAVKPSTPYDTTVLPKV >Dexi3A01G0029100.1:cds pep primary_assembly:Fonio_CM05836:3A:30454067:30454969:-1 gene:Dexi3A01G0029100 transcript:Dexi3A01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPPSRWPPPPITNIGFLALLVGLLAAYSAPMRAAEAAGVLRQVVSGGDGGTFFEPFNVTYDHRAVILGGKRRMLVSAGLHYPRATPEMWPSLIAKVKEGVLLRRKI >Dexi5A01G0033270.1:cds pep primary_assembly:Fonio_CM05836:5A:35614217:35615476:-1 gene:Dexi5A01G0033270 transcript:Dexi5A01G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWNGERSYWALLEQPPKDLEIAIVQAERSDRWDPDDVQRLKALAKREPKPDTGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSGVKN >Dexi7A01G0000610.1:cds pep primary_assembly:Fonio_CM05836:7A:1593595:1594468:-1 gene:Dexi7A01G0000610 transcript:Dexi7A01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRQIRFAGGEPPSPATKGDGGDEWGPILPRTYTLTSWQMRQSFYRKVVLSADSQSAMLILDRIFGSPAFATAEDAAWRLAPSHHGGVEDAIHHRGQFFSITNTGVVETWARDDVIGQFTSTVHARAGHGRKRRRRKAPAKDVPNPEWTHEMITRVSFKVLVLDEARRRWEEVEEIGGAAVFVGVNASVCVAAKEHKGIMPNCVYFTEDDVAQARIWHEEEERRSYYAYREPKDGELRNVGVYSLKRGKVDKINHPTSWPPPVWFTPSLL >DexiUA01G0027880.1:cds pep primary_assembly:Fonio_CM05836:UA:59679551:59679874:1 gene:DexiUA01G0027880 transcript:DexiUA01G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTRTDGRCDPAHRQAAASFAQRTRRMCSLLIFAWARRGGDAVAIDSTSASAVVDCRRAPRPFAARIRPWDHRIWPPGCRIRRLQPPLSSQPASIAMAGPPVPPP >Dexi5B01G0032560.1:cds pep primary_assembly:Fonio_CM05836:5B:33170457:33171586:1 gene:Dexi5B01G0032560 transcript:Dexi5B01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSILDRIELRPTSIEKEVFPKIAADQQLYAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSASKLATGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHAFISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKDIKSSILKPEIVM >Dexi9B01G0036860.1:cds pep primary_assembly:Fonio_CM05836:9B:38352518:38353084:-1 gene:Dexi9B01G0036860 transcript:Dexi9B01G0036860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVDFVVPWAGLAAGVHSGLTYGLTEVRGTHDWRNSAVAGAITGAAVALTSDRASHEQVVQCAIAGAALSTAANVLSDIF >Dexi5B01G0021250.1:cds pep primary_assembly:Fonio_CM05836:5B:23566394:23568427:-1 gene:Dexi5B01G0021250 transcript:Dexi5B01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSHTTFGLLFLSAHLYQGPSAMARLRRRSCLLLAVAMAALSIAGLGLGSARAQETCSGMVPAPPRRGARVSLASSGGVGDGRTLNTAAFRRAVDSIERLRAPGGAELYVPPGVWLTGPFNLTSHMTLFLARGAIIRATQDTSSWPLMEPLPSYGRGRELPGGRYISLIHGNGLNDVVITGENGTIDGQGSVWWDMWKKGTLPFTSPHLLEFMSSSDIIVSNVVFQDSPLWNIHPVYCSNVVIRNLTILAPHDSPYTDGVDPDSCSNVCIEDCYISTGDDAIAIKSGWDEYGIAYGRPSSNIIVRRITGSTPFAGFAIGSETSGGVENILAEHLNFFSSGVGINIKTNVGRGGFIRNVTVTDITLENVRYGLSIAGDVGGHPDDHYNQTALPVVDSITIKNIQGQNIKQAGLIKGIANSSFSRICLSNITLSGDGARIRPWKCEAVSGSAIQVQPFPCPELSSTSGTSLCTDSL >Dexi4A01G0003550.1:cds pep primary_assembly:Fonio_CM05836:4A:2559463:2560339:1 gene:Dexi4A01G0003550 transcript:Dexi4A01G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDNANDVELHDKGDVIGKATAEERASSGHESSSSSAAAAMSSSELEDAATPPPMQLMERPSSSSSSSSSESEGGGDPGRIPAAVFERDDDPSEPNKDWSMMSTESVFGLQVAPSSDFTGFFLAHPELMDIATPPRSSTVTTPPRTSSAVDADADVANKSPVSPPFDSIPELPEATMTGNYNYSFAFPNLIEDKRNISKKAQQEEQPMEAAAPPEPAEAAPAPAQAEAQAKRQASSKTEAAPVPEAGKGGLFSCFPCC >Dexi4B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:4B:4324023:4324346:-1 gene:Dexi4B01G0006130 transcript:Dexi4B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEPDPPAAPAGADSAEAQKCSHALSDSLSSDPRGTSFHPQGALAEDHMRIQPELCPEQQMVHWEAANAVAHAVEMELMLPEALVAISVPGLAVWEIDDFGRPLG >Dexi9B01G0049120.1:cds pep primary_assembly:Fonio_CM05836:9B:47915842:47917769:1 gene:Dexi9B01G0049120 transcript:Dexi9B01G0049120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVSKVVLGSIAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIVFHVISPDEAYASVDLPILGLLFATMVVGTYLKSAGMFKHLGTLLAWKSQGGRDLLCRVCIVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLCMYWKDLAAADIAADGKQMEAVEEGLQAAASKTPSPPAPSGGQQLNKSPKLAYSSPLMTEDISTKHPWFMHCTEERRKLFLKSFAYIVTVGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFITVSGFNKTGLPGAIWNFMAPYSKVNSVGGISVLSIIILLLSNLASNVPTVLLMGGEVASAAALISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWNHIVFGVPSTLIVTAIGIPLIGKINV >DexiUA01G0019580.1:cds pep primary_assembly:Fonio_CM05836:UA:40822418:40822602:-1 gene:DexiUA01G0019580 transcript:DexiUA01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVTRTTRTPDLHPHLFIHRCPISIHPPPPPMAPSEGRRPGYAPVFQGIILI >Dexi7A01G0024060.1:cds pep primary_assembly:Fonio_CM05836:7A:31884101:31884669:-1 gene:Dexi7A01G0024060 transcript:Dexi7A01G0024060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARERRSPAAEMSGRGLSSAKAAGEGGGRGCASASGEGGAGGAARAEAASGGGIVLHLLLVLLPQRACVWLALSNPALLVVVAPPIDKGKSGVRPFSDVRWESDEAQIRGERVRSRSPEAAAAAAAARWLAATRVCFGAVAMGGPTRQAVATPAIRQEEERRERLASPP >Dexi5A01G0030040.1:cds pep primary_assembly:Fonio_CM05836:5A:33056853:33058868:-1 gene:Dexi5A01G0030040 transcript:Dexi5A01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRNHNAAAAAAAAPQLHHQNRAGVPALGKQKAVAAGRADAMNRRAPLGDIGNLVSARAAEGKPQEQVTRPVTRSFGAQLVKNAAAIKDPIPTFSNRNVCFQQNAAIAPAPKLQRKPPSKLPPPEHVIEISSDSEQSMTQSESSVSSVRSRKKDINTLSSVLSARSKAACGITDKLRQVIEDIDKLDVNNELAVVDYVEDIYTFYKTAQHESRPCDYIDAQVEINSKMRAILADWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTSYMFLVRFLKAASPGIKTDKEMENMVFFFAELSLMQYGLVTHLPSMVAASAVYAARLTLKRAPLWTDTLKHHTGFRESELM >Dexi5B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:5B:7687507:7691141:-1 gene:Dexi5B01G0010880 transcript:Dexi5B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGAHMFAYNATLCACDPGYYLLANSTGGGNGSCVSLPGGGGDGFGDWHVGSVGATRNQSFYFLTPVLSLDVVRRLTQSQAVLLWSALAVLLAWFAFCAAARLAGRDPGRHKKLFNARFWVSRLDCIFDNNHYADDQQVLRKRKTELGGTFSVATLILFLGLVTVLLYQAINRRNVEVHRVKPANAPDLLSFVNDLEFHITTVSSMSCAHAVTPSTIAMGTPGFMDFRVQPLSTLLTYSCQNTSDGPSITLKCNGCRIPPRDHYVSWQFVDLPGQPAAAVGFQFNLTAKQHGDNNDVSFVSGTISSNNFVDDKLKTFRGRDSNVLKIQLFPQIYNNHHGLKLLQPLLQDFTRGSTFSDVSSLNASLQNPSDGVINTTLYISYLSDYIVEISNESVLGPVSIIASIGGLYAFSVAIFLCLMAQCEGRIKKFRDEDTRMLKILSKQRAQRNWNKVRKFVMYTWGPSNLDPTDRSGKWPEASVMDSLHGSFHKRRKPIRRGTSNETKHKKHADVVQYSVSSA >Dexi9A01G0049030.1:cds pep primary_assembly:Fonio_CM05836:9A:51751083:51753567:-1 gene:Dexi9A01G0049030 transcript:Dexi9A01G0049030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVSRPHLTPRPVRAAALASPSRARVAAGGLVGRGMRCQAQAAAGDLDGHYMRRCVELARKAAGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALIKAKVKEVVVGMTDPNPIVASKGIEKLQGAGIDVRVGVEEALCRRLNEAYIHRMLTGKAFATLRVTLSMNGIVTNQIGKGADQSGGYYSQLMKEYDGVIISSDLAKMSTLPVSHEAGANQPQYIIIAQGESSRLHIPSLSEEHASKAIVLADSPVTVEPAGVEVAVLRQIDLDSILQLLAQRGLCSVLVDFREAGESFASLLSDFQEDKVVQKAVVEVLPIWLASEGLNNLAFGGSQSFPLKNVEHREVNGSLLLEGYV >Dexi7A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:7A:28459284:28460025:-1 gene:Dexi7A01G0019190 transcript:Dexi7A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDKAGRPLPKFGEWDVKNPATSEGFTVIFQKARDGKKTTGPGHAQSGIPPAFRDHHGSAGGDGGYRSSDSHQYDTPPKHAKVWTSIAAMRSARLDQILRACARMLTRGALDCRRSGRSVRVAADSRPRSLLPEIDKGDDRGCVAHRKGLHDGGAGAEEQSISAIVFRLVLTLTLVSI >Dexi4B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:4B:1499895:1501252:-1 gene:Dexi4B01G0002360 transcript:Dexi4B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGETSAKAADEEKGEVETRAADEGCGATPANKGEMGVNATDEEKEKEKEKEKEKGAMAPKKGETGVKASDEDNGGAEEVEGTDALEKGEKAATSADEENGGTAEGTGKGKLSEEAELELLEEAEWKWRIAAPADGEEEPKPMRERRRWRSTRRQGRRRTRSGSSQGKLSEEAVCKLSEEAEWKWKIATPADEEEGPTPRRERRRLRVKRRVPPRPPADEVEAAAKEVAGTGEKKVFFFGAAAAGKMINLVSSEGIPFKMSEEAARLSVLLADMIDDGCAGGTIPLRNVDARALATVIKYCDKHAAAAAAATPNLGSHHNAAEGAGSSSLNATASEKTLAEWDRKLVDDITQDALYDLIQAANFLDVKGLLEATCQKVADMIKGKTPAQIRSIFHIANEFTKEEEVEMREESPWAFED >Dexi5B01G0023660.1:cds pep primary_assembly:Fonio_CM05836:5B:25781499:25781879:1 gene:Dexi5B01G0023660 transcript:Dexi5B01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPAPQEEEAGAAGPADDPEDYDGGGPRAPPPRQQSAPASLALAAAKAKGRVQREQQEDEDDEEDQMEVDLEKLPTSTGDPDKLAKMKFC >Dexi5B01G0031860.1:cds pep primary_assembly:Fonio_CM05836:5B:32535713:32539091:-1 gene:Dexi5B01G0031860 transcript:Dexi5B01G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDPTKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKTKTTTPMTTELFMEWKRKKAEEREAGQAALKAERAKNDRMSGRELFMADASVFVDDAEAYEVYEREEEPEANEESSKKSQDAGPSSSTSNGKEVEDPDEEDIDLDDDLDIDELNELEASLSRTSIQIREPGEGTSS >Dexi5B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:5B:1049746:1051956:-1 gene:Dexi5B01G0001640 transcript:Dexi5B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVTREATAELVARVARRAYQRNGVITDVKSFGKVQLGYGIKKLDGRHYQGQLMQMTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGLEFINEDDGKYEMSGFNRERTKDDFDIETDSDDSESEFLTSSDEDSDAYKYEIEEGDKKK >Dexi1A01G0002150.1:cds pep primary_assembly:Fonio_CM05836:1A:1385477:1387787:-1 gene:Dexi1A01G0002150 transcript:Dexi1A01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSPLHLAPLRSLASPPRDQHSKVRRAPSSQRDLHLLPAPAGCSTKCLEGETSKTKRAVRSRAAAAGGGIESLPDGVLQHILGFLQSEEAVRTCVLARRWRHLWKSATGLRVGVGIWDPRLWVSVEDLRSLTNHLLLLRGGAPLDACYFTFKHHLSNHDDVPHVNLWFRHVIMCKVRDLRLYIFGHSPGETWAELYNRPLISKHLARLQLVGVMLHNSLLNFSSCPALEHLELADCELSSVKEIVSESLKYFSVFDLVCSDDHRIRIDTPNLLSLSFHSLERTPLLEKMPSLLKATVSIPFGCWDHCTNANYETCDCESCDTFDSMASGNKNGLVLKGLSEAKCLELISAPHMRFIRHKFVTKLRVIPMKKSVIISEQLKLVEFKCDVLDDRVLKVMKFLCAFNIGFSVEEMETLEG >Dexi9A01G0046630.1:cds pep primary_assembly:Fonio_CM05836:9A:49965303:49965804:-1 gene:Dexi9A01G0046630 transcript:Dexi9A01G0046630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALLGDQREGESGHDIAAAIAAAWTEADGGHKALLSEIFADDLRERLNRRPPPAPCSPVEDANPMLMRARLSSAWRTRGLPRKSNLVVMRGQWTQKWESRGGSAAKKETLTRRCPDLVLPTAVVAFSRSSMYASSSRTMYAGSSEPVARESAPGFSG >Dexi2A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:2A:23466994:23476789:-1 gene:Dexi2A01G0014290 transcript:Dexi2A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASKKAYATAILVQVILTGMSVISKAAFDAGMSTFVFVFYRQAAGSILMLPLALFFQRKNAWSMPFPWLLKLFMCALVGNTLSLSLYNVSLKLTSATVAAAASNSMAVVTFCLALLLRMEVVKLRSASGVAKLAGVALCLAGVFAIAFYSGPTLSPINHHHTFTADGPGSGHANASSNSKTTWIEGTFLMILANVAWSVSIVWQVTMLVATTLCVFSAVQSFVVAVAAVRDFSRWRLRPDVSLIAVVYAGFVVTGVTYYLQAWCVEMKGPVFFAVWTPLCFVLTMFCSSFFLGEIVHLGSVVGGILLVGGLYSVLWGKNKESSSKAASRSHVNMTDRAQDEEEREKPNRYEVEEAASASTSQ >Dexi9A01G0048630.1:cds pep primary_assembly:Fonio_CM05836:9A:51342844:51344933:1 gene:Dexi9A01G0048630 transcript:Dexi9A01G0048630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSGEEGEEGCAGGVVTAMSSAASTGRGQEVVLHVYDVGRTGCDKTDRTVRNINRFFKDCIGVGGIFHTAVQVYGDEESSFGFCYCGTGVFRCPTRQNPMYRYRESIVLGVTSFSEPEVNQILTELSFDWCGFSYDLLSRNCNHFTNEFCEKLGARKSPGWVNRFANVIYSANVFAGTTVQQFRQAKSDIANASRAAYDKFMTGLGQNNQDNAETQTINQNPSSSWFQGNWFKNMAHSFDPKRELVPETAASMACFPGYYHQKGFLTRMWVPWMAFRSADATECTAADAILALICPRLGMCLAVLPPPLLHGAAEAATAAAWLLIFFLSVEEDEKATISALLLQCCGFGVFVECLLWLREAR >Dexi5A01G0036990.1:cds pep primary_assembly:Fonio_CM05836:5A:38358472:38362002:1 gene:Dexi5A01G0036990 transcript:Dexi5A01G0036990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRNPFRHFRVYADMSCSSDVFKPWRAPLDQDFNTGLYYMKATNRTIEMMKYWIASRERFPGEHDQTVFVRIKHELVSELQAKIEPLDTVYFGGFCQYHDDLEKVCTMHACCCVGMENKLHDLKDIAADWKNYTSLTPELREKGGFKWTSQVSVQAEADMGLAFGRKESGSNAASFLLGAALPTALLFFLASDRLGDGLSSVSRSWGSAGRTQLPSADGAAPNTRDHEVEFAGLAELLPKVAMEDKTVILTPVNEAWARPGSLLDLYLESFKNGEDIAHLVNHVLVVALDSLGFDRCKAVHPHCYLLQVPTAGNMSSSKRFMSKEYLELVWTKLTFQQRVLELGYNSLFTARSIDHHIYFKDADMIWFRNPLRHISLYADMSCSSDDFDPSRAPMDQPLNTGLYYMKSTNRTIEMVKYWRAARARFPRQHDQQVFVRIRSELVSKLQVKIEPLDTVYFAGFCEYHDDPERICTMHADCCIGLENKVHDLGDMVADWKKYTSLTPEERKKKKKGDGFKWTYPARCRKSSHWRKPSDEHKG >Dexi9A01G0016880.1:cds pep primary_assembly:Fonio_CM05836:9A:11982606:11983143:1 gene:Dexi9A01G0016880 transcript:Dexi9A01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAPSLLAVGSGAAFTAQPSPRKGASLFRDRVVSRRARISAKLGGDGELKPPGKKKFITREEEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIGFANGWIKVPVR >Dexi2B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:2B:13165460:13166195:-1 gene:Dexi2B01G0011550 transcript:Dexi2B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPDQIDGTFFTYTSLLSGLPGDTDKFTVSKATAAEFPALLGQSGTLLVGLVDAARNGTVYTAALEAGDMFVFPKGMVHWQWNNGSDVARAFSAFGSASPGTISLPTALFETGIDDAVLEKSFHTDEATVEELKHDLAPPGPSSSAFGGRARLPSLAAALLCVGAAFSLAL >Dexi7B01G0021520.1:cds pep primary_assembly:Fonio_CM05836:7B:26510597:26510992:-1 gene:Dexi7B01G0021520 transcript:Dexi7B01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCPCATSSSPALCLLPFVPAASRSTTSSSSVALSPCGGASSSVSGRLRWGTRPRGGRHATVAASGNDGGGTAEEEKEGDKPGFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYLLNQ >Dexi5B01G0034530.1:cds pep primary_assembly:Fonio_CM05836:5B:34779723:34780090:1 gene:Dexi5B01G0034530 transcript:Dexi5B01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGGALASLVDLVGTAMSFAGGSPVTGVSLEITISYLNAARANEELEIESRILSIGETTRCVTVEIRNKATGEVISHGRHTKYLAIVSSKL >Dexi6B01G0016760.1:cds pep primary_assembly:Fonio_CM05836:6B:24031482:24033335:1 gene:Dexi6B01G0016760 transcript:Dexi6B01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALHRVAINSVDPIICKKTLYISYSPLSWVGTFAAVREGFSSETPEFRGRRSRSSSLASSEVAMAEEAELAADQHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVEAAEVVDWIMSCYHPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVEKVADYVAGLQNEDGSFSGDIWGEVDTRFSYIALCTLSLLHRLHKIDVQKAVDFVVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVRFATHGGCYQA >Dexi7B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:7B:21779358:21779728:-1 gene:Dexi7B01G0015720 transcript:Dexi7B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATPLAPKGQLMKHTTAILLVLSVVLFAADSCEARGLGVHGENRGSSKSHLQGKVGCANNKLECPWLGDRSQINCPC >Dexi4B01G0023080.1:cds pep primary_assembly:Fonio_CM05836:4B:24570651:24571321:1 gene:Dexi4B01G0023080 transcript:Dexi4B01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSIIAALLLLLLWPLCHHRASAAEYVVGDVIGSGWDSGVNYVAWAREHTFAVGDVLVFEYVSSQHNVYEVNESTYKSCDTGAGGSNGVRAMYTSGYDTVVLDEARAYWFICNFPGHCLGGMKLAVNVSASGSGGPSPAVSQTQTDGNSNSAASLAGEGRRGWVALGLALGAIVLMNCPSFAAWQ >Dexi1A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:1A:12440282:12442172:1 gene:Dexi1A01G0012450 transcript:Dexi1A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPTTAGRGAVACAAAPFPRRSLLLSTAAAGAALHSEQLAGTTTPLRLTWSATGAAAAKIRASADAATFTSTDEAVAWAKKDNRRLLHAVYRVGDLNKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEESHFVVELTYNYGVDKYDVGEGFGHFGIGVDDVAKTVELIRAKGGKVTREPGPVKGGNTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKAYGMELLRKRDNPEYKYTVAMMGYGPEEKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLFGGKVVREPGPLPGINTKITAILDPDGWKSVFVDNIDFAKELE >Dexi9B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:9B:7006488:7013748:-1 gene:Dexi9B01G0011060 transcript:Dexi9B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRVQAGAASDRDRSPPPPPPPPPQSSAAAAISSPLAVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASPDEKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKEAEVSLLMDEVERAQARLVSLEREKESALMELKKELQERPTQKLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKTSLLEEAEKRIVELTSKVEEQQKLILKLEDDILKGYNSTDRRASLLNDWDLQEIGSSEASEGTDPRQVSPDQDQNSMLKVICNQRDRFRARLRETEEELRKLKEKYEMLTVELEKTKADNVQLYGKIRYVQDYSHDKIVSRGPKKYAEDIESGSSDVEAKYKKMYEDDINPFAAFSKKICPDICVLLQHWVASPGFYIAVQNVSFELSQHDTST >Dexi5B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:5B:21794782:21796226:-1 gene:Dexi5B01G0019500 transcript:Dexi5B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPAQQAPGVVVVVFDFDQTIIDWDSDNWVITKLGAADAFQRLRPTMRWNPLMDRMMAELHARGKTVEDIRECLRSAPLDAHVVSAIKTAAALGCDLKVVSDANTFFIETVLAHHGVLGCFSEIKTNPASVDADGRLRISPFHDSASAPHGCSLCPENMCKGKIIEKIRAIAGAEKQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWNLICSNKQLLKAEIHPWNSGEELEKTLLKLVNKVISRPAQVSPVDCSKCESAPTELGHHQALRVPH >Dexi9B01G0031830.1:cds pep primary_assembly:Fonio_CM05836:9B:34111273:34113898:1 gene:Dexi9B01G0031830 transcript:Dexi9B01G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIRQAEVWVGQAESWIRQQPPEQIYIAAAVIALTILVFIAASCLKSSKSNTIVLSGLSGSGKTTLFHQLRDGSSHQGTVTSMEENNDTFVLNSEKERNGKVRPVHIVDVPGHARLKPKLDEVLPKAAGLVFVVDAQDFLSSMQDAAEYLYDTLTKASVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVKLGVPGEAFKFSQCQNKVTVAEGACLTGNVSAVEKFIREYVKA >Dexi2B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:2B:13517469:13518440:-1 gene:Dexi2B01G0011840 transcript:Dexi2B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLDSTLQEFVRRTRKTTTTKKQETAKKIELANQWWNDGRLGLPVTPEAPPLSSSSSALDNKHNQDMVAPSNDGEQSPNHDDADDRENVNGEPKEGAVVVPANRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIAESIANFARRRQRGVCVLSGAGTVADVALRQPAAPSAVVALRGRFEILSLTGTFLPGPSPPGATGLTVYLAGGQGQVVGGIVVGSLTAAGPVIVMASTFANATYERLPLDEGAEEGMEGRHGGGGGVPPLMGGMPDPSAMPVYGAVPPNMLMPGGGQQLGGHGGEGSAWPHARPPY >Dexi3B01G0010190.1:cds pep primary_assembly:Fonio_CM05836:3B:7071345:7073138:1 gene:Dexi3B01G0010190 transcript:Dexi3B01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSTPPLPAATTKESTVMASLLLELAAADDVVAFRRAVEDDKAPALDAACHCCVPCPEFRKGGACRKGDNCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPDELRAVNPSAVSVGMQPAVSSPRSSPPNGLDMGMLNPAWPSSPASRLKTALAGRELDFDLELLALDQYQQKLFDKVSSPRASWSSAGGIGGSPLPSAAAARTVPDYTDLLGSVDPAMLSQLHALSLKQAGDMPAYSSMADTTQLHMPTSPMVSANTAFGLDHSMAKAIMSSRASAFAKRSQSFIDRGGRAPATRSLMSQQATTGAAPSMLSDWGSPDGRLDWGVQGDELHKFRKSASFAFRGQSPAPVPTHTEPDVSWVNSLVKDGHAGDIFAQWPEQEQMVA >Dexi1B01G0024110.1:cds pep primary_assembly:Fonio_CM05836:1B:29554623:29559734:-1 gene:Dexi1B01G0024110 transcript:Dexi1B01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVLRELSEVQKKRALYQPEVPPCLQVRTALPIATDSLHCVRHCVPCRLLGPDLFNGGQRSARSRAVLLPDRLVRSALMFTVHRSPTPDLFSRRAVQTLRHDLCTVNQGTTVRVEYGDAAIAADPAGAHVISQAFPHTYGQPLAHFLRKTAVVPDAKVISEHPAVRVGIVFCGRQSPGGHNVVWGLYEAIKAHNQNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCKALKLDALVNSQLISNMCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMEIHGLHGKGVSAENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLVKSIVKPGCSQDVLKAALSAMSSVTETLNIMISSSNGQTPL >Dexi7B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:7B:19999904:20002577:-1 gene:Dexi7B01G0013530 transcript:Dexi7B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPWWVNLRGTPYLFSDIHNKFTVIGCNTLAYIGYDINSPGYQSGCVSTCHNLSDLADGSCTGIGCCQTAIPKGMDTYFVGFDKNFNTSQIWNFSRCSYAVLMEAEAFNFSTAYITTTDSLLRIVHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQEPLKLDGPETQRSLSSKFLIAMKENNLDSILPNLIKGQESNEFIRGLVELAKQCLDMSGSNRPSMKEIADELGRLRKLSQHPWAQLDVEMDTQSLLDGASTASFQIEGATTGYPTQEGEDLPMNPRSSYYAR >Dexi1A01G0001160.1:cds pep primary_assembly:Fonio_CM05836:1A:738730:740322:-1 gene:Dexi1A01G0001160 transcript:Dexi1A01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQFRPSSKHDATVTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTSLTCADFLSSPGTRVPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGVPTDYRHMEGFGVNTYTFVNAAGKSHYVKFHWKPTCGVRCILTDEEAALVGGRNHSHATQDLYDSIDAGSFPEWKLFVQVMDPDTEDRYDFDPLDDTKTWPEDLLPLIPVGRLVLDKNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRQAAPTPIPARPVVGKREKATIRKPNDFQQPGERYRSWDADRQERFVKRFAKELGHPKVSQELRSIWIDLLSKCDASLGMKIATRLNVKPSM >Dexi5A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:5A:25337297:25337560:1 gene:Dexi5A01G0021540 transcript:Dexi5A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRGGRRRPFKSPPPDWADGLPLDIMLCIFHRLGHVQIMMGADKVGQAWRHAAREEPELYRRIDMRGHGDLA >Dexi9B01G0009180.1:cds pep primary_assembly:Fonio_CM05836:9B:5682813:5684451:1 gene:Dexi9B01G0009180 transcript:Dexi9B01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDVMSAQFAEVQYQIMEIGFGHVFTTSALKRALFLSSMMKVRSDITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGLIYRSGLEMMESKLSQLQKFAAQLAGISSVLDVMIASFTETVSAHVNDLHQLIENTLKAKQHLEAMIWCIRHKFLQNIYSRYTDYTAWSSDVIQRKEFAEARKWPELFYGGSGDNEANQGVLFIEQALQNLGIEESYRNEDEEASITCLQNEQSSSMFCSTITADHFSLNRYPFKNLREAVDVLFLHGASTMVIAKQAIVSFSFVIS >Dexi9A01G0024470.1:cds pep primary_assembly:Fonio_CM05836:9A:20805214:20806698:1 gene:Dexi9A01G0024470 transcript:Dexi9A01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCQRLLVDLVLTAVLLVVAEAGGDLDTTSGCRGLTRGRFSRVFAFGNSLTDTGNAAIFPLTAGGPFTQPPYGETFFGHPSGRASNGRIIIDFLVEKLQVPQPTPYLAGRTAADFVNGSNFAIGGATALEPAFLQSRGLTSFVPVSLSNETRWFQNVLRLLGSSSYHAQLRVTARSFFFVGEMGVNDYFLSLQNNRTVDETASLVPHVVAAIRSALVAMIAGGARTVVVTGMAPLGCAPYFLALFPGAPGDYDRVTGCNTRLNGLAELHNRELKRTLGELSRIHPRRSFVYGDVYRPIASAVASPAAYGFGDTPLAACCGGGGDPYNFSFASFCGTPASTTCADPSKSIAWDGIHFTEAANRLMATAILSGQ >Dexi5A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:5A:11673789:11676241:-1 gene:Dexi5A01G0014600 transcript:Dexi5A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNECSIDDKLDRILQDERAEPCSIPLQYLRDITANFSADRELGNGGFGVVYKIHSLNAPSFASYADEMGGLEWNRRYKIIKGICNGLHYLHDECQADGSIIHLDLKPENVLLDENFVPKISDFGLAKLFDHEKTQTSMTLFAGSLGYMSPEYIYNSIVSPMSDVYSLGVIIMQMVTGNKHGPSGTEEFCEDFIEPVISSFPKL >Dexi3A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:3A:10948976:10950018:1 gene:Dexi3A01G0014860 transcript:Dexi3A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMASRCSRCGGCGHSSIACRIAAATAGQRGSEVANMRCGGGAAAGLRLFGVQLGAAAGPGGASSSPALQLHKSYSVDCLSLQGSAPAYATLVASPLLLSPSPSSAALLLSIDECSTERATDGCGGGGGCYLSDDGARGGTAMRERKKGVPWSEEEHRLFLEGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRQNSAGKKSNAKRRSSLFDMNCESGRDAILVSDPSDGKAAGGTSRSLSPKASCDLYLKESTGIGERMTMRPSSESASVSETVTVTTDQAHGYHCCPLNLELGMSLSTPSIGT >Dexi8A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:8A:1392272:1396657:-1 gene:Dexi8A01G0002070 transcript:Dexi8A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMETGGAAAAAGDGEVGWYVLGQNQESVGPYAVAELRAAPDTEDDFEKFQKEVTEAEAEVEALKGSAADGDVNQLDDERPATPPDGEEEFTDDDGTIYKWDRSLRAWVPQNDASDKKDNYAVEEMTFAVEEEVFQAPDIPGPSALEEINTLAENINKESGKAEKKGEKKRKSSEKPAEKKEANKPPESWFDLKVNTHVYVNGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKATGRNKGDALVTYLKEPSVALAVQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFIAKKNDKQKKRKTKKVEDKMLGWGGHDDKKLMIPTTIILRYMFTPAELRADEELLSELEEDVREECTKFGPVDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVKHTLIRDYDAEVSRLDRFGEELEESG >Dexi3B01G0011210.1:cds pep primary_assembly:Fonio_CM05836:3B:7882945:7890549:1 gene:Dexi3B01G0011210 transcript:Dexi3B01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPVYREVEGWEGVGEDAPGFRCGHSLTAVAPTKNHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRLHPAGEPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDRPSARMYATASSRSDGMLLLCGGRDTSGTPLSDAYGLLMHTNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTARTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGVGGEPCVSYSLLLQIISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGDRNSLAYI >Dexi9A01G0026070.1:cds pep primary_assembly:Fonio_CM05836:9A:27628782:27630922:1 gene:Dexi9A01G0026070 transcript:Dexi9A01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFPFAHRVEVALALKNVPYELVVEDLANKSALLLQHNPVHRFVPVLLHGGRVVCESLLIVEYVDEAFHHGAAAPRILPADPYDRAAARFWAQFIADKCLKPLWLSMWAGGDAQARFARETKESLAIHDAQLEGKKTRFFGGDAIGSSPSSPPTRRGIPDRDELVAFFAANKERIGQ >Dexi9B01G0018750.1:cds pep primary_assembly:Fonio_CM05836:9B:13365166:13367311:1 gene:Dexi9B01G0018750 transcript:Dexi9B01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGKWSKEEDNLLKSHIEKYGRCGRSCRSRWLNYLRPGLKHGNFTPAEETIICEMYSKRGSCWSVIAARLPGRTDLAVKNYWNSTLKKKFPAATRRNLPACSTSTDAGTPARDLQLISYSSHESSTPGSSPVKPAMAGLLPVQAVQPQALLIAGVQAPITAVPVKIEKTTPPPPPALS >Dexi3A01G0021920.1:cds pep primary_assembly:Fonio_CM05836:3A:17486723:17489001:1 gene:Dexi3A01G0021920 transcript:Dexi3A01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQEISSSTSPNDSVDLSIKDDSKWSDQDHLKFRVNAIKGLIALLNGETDSAAQLFVDWSKDVFGGKNQTENAALSYGEYLHCVGDYPMATQMYERVLQEDMSGNLLAAGNMVPEEVSLGATCLYGQLLSHSGKFGDAEDYLTRALQKAEEQFGANHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEGTSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEDAWRNRRLSLAQALEFSEPSKPIVVDTRIGRVL >Dexi9B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:9B:15270704:15271872:1 gene:Dexi9B01G0020560 transcript:Dexi9B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARPFISPALQRSTSGEARVDWTRVGRQPNPFGPDPVQKLPLPREERIMSVRTDVIAYCSDPVRHASGHFLPVWRTWHRLDDPTRPVGLTVTGPGSQPSARVEAPWRPSAS >Dexi2A01G0022600.1:cds pep primary_assembly:Fonio_CM05836:2A:34454320:34458373:1 gene:Dexi2A01G0022600 transcript:Dexi2A01G0022600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELDHDAVPPAIAAAPPAAASASGIAAAAAASSSAQRGTPASRAECSVDLKLGGLGEFGAAERMKEPPPATAPSPMKRPRSGASGGGGAAQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPTVVVAGREMRFCQQCSRFHLLAEFDDAKRSCRKRLDGHNRRRRKPQPDPMNSGSFMTSQQGTRFSSFPAPRPEPSWSGVIKSEDSSYYTHHQVLSTRPHFAGSAYSSKEGRRFPFLQDGDQVSFGGAGAASLEVSSTAVYQPLLKTVAPPESSSSNKIFSDGLAPVLDSDCALSLLSSPANSSSVDVSRMVRPTEHIPMAQPLVPSLHHQQQHHQLGSSPGGWFAACSQAGSSGVVSGAGGGGFACPASVESEQLNTVQVPSSDGHEMNYHGIFHVGGEGSSDGTSPSLPFSWQ >Dexi4B01G0012440.1:cds pep primary_assembly:Fonio_CM05836:4B:10222620:10223770:-1 gene:Dexi4B01G0012440 transcript:Dexi4B01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKSCVLLLLPVAILLLAGTSPAVAQLEIGYYSKTCPNVEAIVREEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVERVKAKLEAACPNTVSCADVLTIMARDAVVLAKGPTWPVALGRRDGKVSSATEAADELPPAYGDIPLLTKIFASKNLDVKDLAIRKKCYIVN >Dexi5B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:5B:8727014:8730565:1 gene:Dexi5B01G0012310 transcript:Dexi5B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDAARCPEIPGRCHHCAGPLSKDMAPPVIVFSSACAGLAGGTIPAAAQLVSSSYHASMSSSSLARSSSHATMPSQSFARSASHDNMHKARSSSPL >Dexi6A01G0015300.1:cds pep primary_assembly:Fonio_CM05836:6A:22714343:22715418:1 gene:Dexi6A01G0015300 transcript:Dexi6A01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGVSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCAGAASSGGGGADAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILDAMSHTAPAENGKGESLEDMQRQFEELFLRPSPSSFCPPET >Dexi3B01G0024770.1:cds pep primary_assembly:Fonio_CM05836:3B:19445019:19446899:1 gene:Dexi3B01G0024770 transcript:Dexi3B01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARDGGESVMQRCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRMTPWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFILAVLFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRVVEMVWTKHMHLHAPHPADAAAAAAADKDWFTGSIFLIIATLAWASLFILQAATLKRYDSPLTLTTLICFVGTLQAIVVTFVMERETSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENLEKKEAEAMEIPVAIKAVDGNGRIVDVVEVDEVQLEKAQANAKAAVAITVTGEESRMQGKDES >Dexi2B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:2B:23570848:23572278:-1 gene:Dexi2B01G0014100 transcript:Dexi2B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERRRRRRKEKKDSSKPKTKKAPRQHDEQLVSTAESTSTSMHDVPDHLLELILLRLRSSACLLRAAAACKHWRRVIAGADFLSRCLHASSCVAGHYHDWVADYGSPPVTGSPVFVPSDSSLAVDRRRFSLDDDDDLLPASDTGWVLTDSRGSLLLLSKRTTTGWRRDTGWRADIHSHSFPDVVVCEPLTRRRQGILRPEPEEHHATCLLGVFLLDGCHGHIGMSEFRLVAVLHEDHVSENGRAMPVACVFSLGSDGGGWRVLPNESTDSAAVSLPGVIERTSFAGRANGCLYWVVEEEDDGAAAMMVLDEAAMNFSRVPLNLGENEGDSHDGNHYDRWSFRVIGGGEDDGVLRVVRLVRNELRVLARREGTDEWVVEGHVRLREATLGMPGREERFFQRDAMVVVAHEGYVVVTPQEKTWLFSVDLETMEVEREHERNRYAGPAFPSELPWPPTFMAACGSRRERGSRRRGSCP >Dexi9B01G0026800.1:cds pep primary_assembly:Fonio_CM05836:9B:29217268:29217574:1 gene:Dexi9B01G0026800 transcript:Dexi9B01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEFAVMTPAKRAAMRRFRRWNILYTVCHDLYRYNGTVFPECDDADGTEREDFHKWGESKRVSPSRRGYTTQQQEGRADGNDAAGGRPSTGPLN >Dexi8B01G0008850.1:cds pep primary_assembly:Fonio_CM05836:8B:14690939:14691949:1 gene:Dexi8B01G0008850 transcript:Dexi8B01G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGRARRFRRCHTAPSHSVEQGPTLQSLQGASAASKLVPPKGLLTGGTQPRFWLVGVLLLAYLLAGTAAFYLVMDHMSGDRSANRALDALYFCVVTMTTVGYGDLVPSSDAAKLLACGFAFAGVGLVGAFLSKAANYLVEKQEALVFRTIHLHHADDCKSLRDMEANKVLYKLYTSAALLAMILASGMAFLVKVEGMRPVDAFYCVCATVTTLGYGDRSFTSAAGRAFAAAWITVSTLVVALFFLYAAELGAERRQKELAQWVLTRRTTSMDLEAADLNGDHRVSAAEFALYKLKELGKISQEEITEFLEEFDVLDVDHSGTLSCHDLAAAQPG >Dexi3A01G0029300.1:cds pep primary_assembly:Fonio_CM05836:3A:30740566:30743016:-1 gene:Dexi3A01G0029300 transcript:Dexi3A01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRDNYTFHTDDLKMDLNTTMPVWLDWAIRDNLTCYEARMSQSYACVMSTNSKCRDSSNGPGYVCNCSKGYQGNPYLAGGCTGCCLEVDVPILVYEYVSNGSLDNILHDSNRVSLDLDLCLNIAAQSARGLAYMHSEITTPILHGDVKPANILLDENFVPMISDFGTSRMITIEDHYTSTIIGNWGYMDPEYAQTGLYTSKSDVYSFGVVLLELITRKKVLDPDISNLLGNFYDPYTTKKGVVELVDPEILAQGTIEMFHSLAEIIVQCLNLDVDLRPKMADVAERLQYLLK >Dexi5A01G0017140.1:cds pep primary_assembly:Fonio_CM05836:5A:20326136:20327121:1 gene:Dexi5A01G0017140 transcript:Dexi5A01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTIPAAAEGATRIILVHGTGHGGWCWYRVATLLRAAGHRVGAPDLAASGADARGLRDVPTFEDYTRPLLDALRGLPEGERAVLVGHSFGGMSIALAAEEFPEKVAAAVFVTAFMPDCANPRSHVIEKIPLTAADWMDSVTDGEHVPPSVFLGPEFIRQKLYQLSPPEDYTLCQSLARVSSYYVADLRSKPPFSEARYGAVRKVYVVCGQDKAMLEPYQRTMISGCPVEEVREIADADHMAMFSTPAELAGHLADVANTYA >Dexi9B01G0040450.1:cds pep primary_assembly:Fonio_CM05836:9B:40981660:40984793:-1 gene:Dexi9B01G0040450 transcript:Dexi9B01G0040450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRSGSLLRLGFRQVSSPLLQLTTCPSPSLGLNLAVGRAGLVRFRCSAAGAGDDGGKKVSARLALTQQVLRDAEERAALAGSDPAPKITLDHVTVNFARSGGPGGQNVNKGSILTPILLLLEKNRINKDGELVISSTKTRTQKGNIEDALQKIQEIIDAASYVPPPPTEEQKKKIEKM >Dexi6A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:6A:22482963:22483278:-1 gene:Dexi6A01G0015100 transcript:Dexi6A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDSCGEIPVAFVVTSNGSEITEDEIKQYVAKQVVFYKRLHKIFLVETIPKAPSGKILRKDLRAKLAAC >Dexi3B01G0008020.1:cds pep primary_assembly:Fonio_CM05836:3B:5649468:5649785:1 gene:Dexi3B01G0008020 transcript:Dexi3B01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADAGGFRVGQRVHAAGDPRRVGTVRYLGPVEGHTGEYFVAAGERSASFARPTALSQGISLPDALRLRYRVEDFTKEEQG >Dexi2A01G0028080.1:cds pep primary_assembly:Fonio_CM05836:2A:39389745:39391406:-1 gene:Dexi2A01G0028080 transcript:Dexi2A01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSADQERRMQEHHHHHGHRRSTATGSPNVSTSSATRNAIIRRAHGMLRSLMPSCFVSHGGPPPLSPSPPAKVHHVWPGRNVFFLDGRVICGPDPRGLILSAMALLLSEWIFLTDVVDPSAPHRILISASSMILSATVSAYNHSLIVNSHSSRFATASLLLAATSDPGIIPRNPLSPSEEEGTGAVRRAPTQFVVVNGVEMRLKFCRTCKIHRPPRSSHCTVCDNCVDKFDHHCPLISQCIGLRNYRFYLLLLCSALTFYTFMFTFSVRRIRTRMKITNAGFFSLVRTLPEPLLLAAFSFMAICVIVCLLAFHIFLLAKNTTSHEMDRGRYHSSPNPYDKGALGNIRECLFEELPPPRVDFRAAATETSLGWVSGELSHSFSWRRQG >Dexi7A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:7A:18162811:18166834:1 gene:Dexi7A01G0006850 transcript:Dexi7A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERVETVVVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLLYAGPASLVWGWVVVSFFTWFVGVAMAEICSSFPAYAGSQVLQSIILLCTGTNKGGGYLAPRWLFLVMYIGLTLIWAVLNTFALEVIAFLDVISMWWQVIGGTVIVVMLPLVAKTTQSASYVFTYFETAPESTGISSGAYAVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYDTSNETAGTFVPAQILFDAFHGRYNNSTGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGIPFSSMWRRIHPKHKVPANAVWLCAAVCALLGLPILRINVVFTAITSIATIGWVGGYAVPIFARMVMKEENFRPGPFYLGAASRPVCLVAFLWICYTCSVFLLPTTYPIKMDTFNYAPIALGVVLGLIMLWWVVDARKWFKGPVRNIDEHNNGKV >Dexi5B01G0035650.1:cds pep primary_assembly:Fonio_CM05836:5B:35591003:35594456:1 gene:Dexi5B01G0035650 transcript:Dexi5B01G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVLGHVSYLPSSPQLPTSLVPSSPLPHGRGGSRYQLATKHCAIAPNETQRSQSAASLSRAMAEQRPATGIDPRSGFCGATRTFHSLRPAATLPPDSLPTIAAAYAFSLLSSPLPDRPALVDAATGIAVSYPSFLAAARSLAGGLWSALGLRPGHVALVVSPSRLDVPVIDFALLSIGAAVSPANPASTAEELAHIVALAKPVVAFVVPEVAAKLPRGLRCVVIGSDEYKRLSSVGGASPPPPVAVKQSDTAAVLYSSGTTGRVKAVAVTHRNLITLICAHRGNREIAEKEAAEAGEPPYPPMVTLFPLPIFHVFGFMMLLRSVAMGETAVLMERFDFGAALQAIERYRVTLLPAAPPVLVAMIKSEEARRRDLSSLLIIGIGGAPLGREVAERFAAVFPNIELIQGYGLTESSGAAASSVGQEETKAYGSVGKLTAHMEAKIVDPATGEALGPGQRGELWIRGPLIMKGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILNSHPEIMDAAVIPYPDEDVGQLPMAFIVRKPGSNITEQQVMNYVAKQVAPYKKVRRVAFVSTIPKSPAGKILRRELVQQAVLGASKL >Dexi8A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:8A:7525160:7528017:-1 gene:Dexi8A01G0006930 transcript:Dexi8A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPNFGVEPLDLEARYSNLTPRPHSYFSPFSFSPGATPPSPDPAAATTIPRHPSPEFRRVARRWGFSMAGSTPLGNGEQSWGSDGDGSSRNRLPWPPPARTNSGDGRPPRPSVTTSASKKRRSTFVAPTRPPAPHLGGILPSLDLNAGVDPVGVRQQQAPDAALVPDTFLRRQLFEAPPPGPHLPSPLRHEPRRDSVFAGASKKYQTPSFSEPARLPSHQVQKTSMQVAATASDNPRIPSTTSIQDDDEYFSPSSSMPFYDWSCNPTADTTLQDPIITSQSYVELLTRDLADLEVITQTQVPDSGSSKGRGGNYNHNEDIQLCWSWIAITFDPRTGADQSKGTYWNRIAEHYHENRTFTSDRNATSLEKRWNGIQKECIRFQECIEKIERLRPSGVPHTEYINLAQQSYEKTKGFPYLHCWMEVRHTEKFQTVYEAMKQAQGKRQKPKETTPSQQSHEDDRAEEHWKRDQRWKAEKELEERKLLWEQEQKIMFCDTSVLDETQKAYVIAMRKHIASAKEASVKGGVSTSEQGSGGDAEEAESLMGRLS >Dexi9B01G0010490.1:cds pep primary_assembly:Fonio_CM05836:9B:6556380:6565902:1 gene:Dexi9B01G0010490 transcript:Dexi9B01G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINNPDGNSLLLHFPHFSLNFDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVERGTYDKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGPKGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLADVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPIDVVVEHVLKQILSQETQYLPITRGSNAVLLINGLGATPIMELMIAARKAVPELQLAYGIAVDRVYTGTLMTSLDMAGLSITIMKSDENILKRLDAPTKAPAWPVGSEGNRPPAKFPVPVPPSPSMKDDEILAQPQELSKEGCILEAAIEASATEIINLKDSLNEWDSKVGDGDCGTTMYRGATAILEDMNKRYPMNDAAGTINEIGATVRRVMGGTSGILYDICSIGADALEASVGAVSKYGGASVGYRTMLDALIPASTVLKQRLKAGDDPATAFIASAEAASAGAESTKQMQAKAGRSSYIAPDLLASIPDPGAMAAAAWYRAAALAVKKKLHG >Dexi3B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:3B:10784843:10786429:1 gene:Dexi3B01G0014960 transcript:Dexi3B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGASRSDPCTAAMGNLCCCVQVDQSNVAIREQFGKFDSVLEPGCHCMPWFLGKRVAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDAFEQKDEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSSVSHH >Dexi1B01G0031610.1:cds pep primary_assembly:Fonio_CM05836:1B:35086765:35088402:1 gene:Dexi1B01G0031610 transcript:Dexi1B01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRMRSTAHTARRCSASVRFSTSTSTTGRRPSASPSASTPSAALATPSPSSVDSAASAASTSRASACSRHATSRSTAAAAAALPSTRQKLSRSEHRPPCTRHVPGPGAIGGGAPPPPKHAHASSRPRGATGDGASGGTTVSLPDCIDRSSTKSGASPSSRATSTYSAPKIPTAHVTLYSALTAPSVKGATWRLPRMAPRRSSASRDASARNAPSSCSCRVSSQSRSGRSPSRPAAAACSSVTATPSDAALTRLPRWPPRASASSAPMCGDTLRSSLRDSTRISRCAASTNWTRLMVAPELRTPETTSATVAGDAVSPTSTLRSLHSMAGPVLLMRWSRLCTTPSDTSVSPLSSSTAMGPAAPDATRLARIAADTSLSLMGRCPAPTERRSLTSGGRMPASSRILALDSLDDISLSALSVASLAGRLPVLMMLTSSAPTCSATTTLTSLASASSPRFLSVLSAFSTATRFSDLLLPMPTKRRIAP >Dexi3A01G0030930.1:cds pep primary_assembly:Fonio_CM05836:3A:35235114:35238380:1 gene:Dexi3A01G0030930 transcript:Dexi3A01G0030930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTTSCVASWNGTDDRRKEEKMKQSKCELIRYEALPDWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWSHLIGFLLFLFLTVFTAMVIPRDGSSSSWSSRSSTAYWGDLVEMANMTVALRHDALAACFLLPPSAAAAEPGLSEDGQKIPTSCPPNTSSSQSNRHHGIQIQDTTSPPGPAMDDDDDAIAAITAIADPVTRWPLFAYLAGAMVCLLTSSACHLILCHSERTAYVTLRLDYAGIASLIVTSFYPLAYYSFLCHPSLQRLYMGSITALGAAAATVSLVPAFQAPELRPLRAALFTCMGASGVVPIAHKLVIYGGTSPGAVASAWYEALMGALYGVGVVVYAARVPERWAPGRFDLVGHSHQLFHLFVVAGAYAHYLAGVEYLKWRDVDKC >Dexi4A01G0002130.1:cds pep primary_assembly:Fonio_CM05836:4A:1443392:1443703:-1 gene:Dexi4A01G0002130 transcript:Dexi4A01G0002130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLICSTTAATVAPRPPHRLFLLRLLSTHRPSFLDDPLLHPPALQRGPFPSTAVFRSPRRLAAPDLIRGDGPSHSPPSFDGARRRAREHVEASEAGKKEPR >Dexi8A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:8A:1793258:1796417:-1 gene:Dexi8A01G0002740 transcript:Dexi8A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKKISHHHQLPAPASRLWEASIRKLQTIRRVGTVVPADAAVTPSLLSVPSSSNTIYQYHHDADDSDTSSNNDSDDATGDDDAEATTTTTTHSEQLLPSGDFYQGDLRGDLPHGAGKFLWTDGSMYEGSWRHGRASGRGKFSWTSGATYEGDFAGGYMHGHGTYIGELGDTFAGLWSTNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWKAGDMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGGMYIGTWCEESGAVHADGVYYPPSGGPAVPVPREPCEPITALLQELEACEGKKASLMPSHKILTWPGVEAVQKKPVWRPPKDQPQPGRMSSVGSRRMSSASLDMDSLVGDGDGEEGSTAARSCLRTSSSSRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLITKFFGLHCVELTGATQKKESIIKTRNLHALKSGERSEES >Dexi5A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:5A:7696845:7700650:1 gene:Dexi5A01G0010120 transcript:Dexi5A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEHPQQQPPSSLRPGREAAAAAAPAVLGLQLSALVDHVACVDWSLLNRVPGDRGGSQQVSFEELDHILTEVNAHILPARNDLTPVTTIAGGSVANTIRGLSAGFGISTGIIGACGDDNQGILFVNNMSFSGVDLTRLRAKKGHTAQCVCLVDASGNRTMRPCLSSAVKMQANEFRKEDFKGSKWLVVRYAQQNMEQITEAIRIAKQEGLSVSLDLASFEMVRDSRLKLINLLETGNIDLCFANEDEAREVIGGGPTSEPEEALAFLGKYCKWAVVTLASKGCMAKHGKHVVQVPAIGESNAVDTTGAGDLFASGFLYGLVKGLALEECCKVGACSGGSVTRALGGEVRPENWQWMYKQMHARGLQLPELKN >Dexi3A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:3A:7944324:7949256:-1 gene:Dexi3A01G0011160 transcript:Dexi3A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKNSLLLQGRLRHAAASFSPRRGAATSKEEYDRRNYADNASEYNTVMGSLVAQRRQGNVGFRLTIALIVFRPYLLRDAYDDMILDGVQPERDTFHTLIVGTMKGNRLQDALYFRDQMKEMGLQPDVNIYNFLISTCGKCKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVSDMSAAGLGLNKYCYAGLITAFKNKTPTTEETMAKILDFVEQSKGWKYVERVSKDNAENVMMNVSEEELYNLPTAEYVNRRGGFVLKQFTVYHVALHACAELGSKETLEALLDMFNKDNRDGSTYDVFMVMQAMRCYLRCGDIDSAVKMFEEYTSSRVPAAELYVTLAEGAMIGYTPRGMQVAQETIEKMVSRNFFLNPRMGTDLLLAAAGERTGGYTTANYVWDILQSRNIIPSLPAVEAYHKGLKEREIPADDPRLLNVTRVLDNLKLRFGPRRNIQ >Dexi9B01G0013710.1:cds pep primary_assembly:Fonio_CM05836:9B:9194504:9198862:-1 gene:Dexi9B01G0013710 transcript:Dexi9B01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAAHLPLRAPARVLAAPSRASVAAVARLRGRPERRVLEKRGGRGLAVPRAEAVSGGGGGGRREPMVPPYNVLITGSTKGIGYALARKFLEAGDNVIICSRSAEKVESVVGDLKREYGEQHVWGTACDVRDGKDVKALVEFAHEKLKHIDIWINNAGSNAYTYKPLVETSDEALMEIITTNTLGLMICCREAINMMRNQPRGGHIFNLDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPEVVADYLVPNIREIPTNQSMKPTYIRFLTGLKAYSRIFSRLAFGARRNKYVTED >Dexi5A01G0029920.1:cds pep primary_assembly:Fonio_CM05836:5A:32965881:32967042:-1 gene:Dexi5A01G0029920 transcript:Dexi5A01G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCRARSSEASPFSVQQGLLSMHTGHRRSLVEAKQGSGPPCNCNMDGNASTAYNVLERMVLDESEEPRSLPLSLLETITNNFSDEMKLGSGGFAVVYKGVLGSRAVAVKLLCGAMNLDEKQFIKEVQCLMKVRHKNIVRLLGYCADTQGLMINFEGKSVMADVRNRALCFEYVSNGSLDNFITGRIMLHAICISWT >DexiUA01G0017310.1:cds pep primary_assembly:Fonio_CM05836:UA:36570200:36570650:-1 gene:DexiUA01G0017310 transcript:DexiUA01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGSSTSSGTPSRATASVVPMTDIDWLICTVAVSCAAADGCDHLDCQGGPFRVVFVATDGHTLHVRASMYSSETGVWSAPATLGTDCECYAQHVKDDIRDNHYRTTFPMSCLAELRLLEMKPPAHFGIVVQSSSTTALRIACP >Dexi6A01G0001980.1:cds pep primary_assembly:Fonio_CM05836:6A:1904283:1904570:1 gene:Dexi6A01G0001980 transcript:Dexi6A01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGHLLTRLHLARSRSPSLAAAEVPRGYLAVYVGEGRKRLVVPTACLSHPAFVTMLKRVEDEFGFDHRCGGLTIPCASVGDFADIVAGMDVH >Dexi3A01G0023970.1:cds pep primary_assembly:Fonio_CM05836:3A:19596393:19598470:-1 gene:Dexi3A01G0023970 transcript:Dexi3A01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSSTQWHGEGDTHRQRKQGGFKTMPFILANDFCDRFATIGFNANLITYLTQQLHLPLVEASNTLTNFHGFSNLTPVIGGLVADSFAGRFWTIAAGSVIYQLGMVSLTVSALLPSLRPPPCQAGAEQAQACTRASAWSLLVLHLSLLCTSIGTGGTRPCVMAFGADQFELDRPCHGGGKQRWSFFNLYFFGVELAKLAAITMVVYIQENVGWGWGLGVPTVAMLVAVTAFVSGYPLYVKMAPGGSPFTRLAQVAAAALRKRNVALPSDPRHLYQDKKLDAAISTAGRLLHTNQLSFFDRAAIVTHGDFTSSGTPRPWRLSTVHRVEELKSIIRMLPIWGAGILLVTSASHNHSFAIQQARTMDRRIASLEIPPATMLIFSNVAMLGTLALYDRVLVPRLRHLTGQPTGITHLQRTGVGLTISMLSNMVSAVVEGRRKRVAARHGLLDRPGATVPMSVFWMAPQYAIHGVADAFMDVGRMEFLYDQAPESMRSSAAALYWLTMSAGSYMGTLLVTVVHEWTKGEGEWLQDNLNRGKLDRYYWLVVTLQVINVVYFVICARLYTYKKLEVVDQESTDEREEKHVELQPRKDSDVELQPPKDSDENDVELRPLLSSDL >Dexi9B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:9B:9629377:9636521:1 gene:Dexi9B01G0014400 transcript:Dexi9B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRTLGAGGIDPIAEEPHHGRTSQADGADQAALACAISAEASAVLAVMRRGLRHPRATAADDAAAEHPLVASLRALRRLAFSPSAAAGSPSALPAAALRPFLDAVRSEDAGAAATSASLAALHEVMSLTGPALPGAALREVVDAVASCRFEAGAEPAAEETVLMRMLQALLACLRAPAAAALGDQHVCTAVNTCFRVVHQAGAKGELLQRFSRHAMHELIRCVFARLPQIGSADGVAGAVKPEMGGMDMNHPFGIRQMENGNGSYMSEIGTSDENSADGSGLVVEPYGVPCMVEIFHFLCCLLNVEQSGLDEDLPLFALKLINSAIELGGSSIQKHPKLLSLVQDELFRNLMQFGLLMNPLILSIVCSIALNLYHHLRTELKLQLEAFFSCIIIRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDIICRNVFEELGNLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSRPELMPVELDEYTPFWTVKCENFSDPRHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAVMSGPTIAAIAVVFDHSEHEDVLLTCVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADDSELSAEAVQGKAAPSAIPPSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVATSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCTLVERAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVGDAYSENITQEVARLVKANASHIKSQMGWRTVVLLLSITARHPDASEVGFEAIMLIMTEGSHLSLANYGFCIDALRQFAESRVGLADRSIRSLDLMSDSIRSLALWSQEIKGTGEDGEKRLEAIREMWLKLLQSLKKLSLDQREEVRNHALASLQRCLTATEQICLQSASWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLELLPDLFGLSSFCKLWLGILSRMEKYIKIKVRGKRSDKLQEVIPDLLKSILLVMNNKGILAKRSTIGGDSLWELTWLHANNISTSLLPEVFPSQDYEQQSSAGSPRGPNAVEA >Dexi7A01G0022820.1:cds pep primary_assembly:Fonio_CM05836:7A:30967683:30968532:-1 gene:Dexi7A01G0022820 transcript:Dexi7A01G0022820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGRAGVACALLLLLLVLGTTETALANAAVDHWSPAPAPSPMNPIDCGSACASRCAVSSRPNLCKRACGSCCARCHCVPPGTAGNRHMCPCYAAITTHGGRPSAPDPEFGANIYHGLDGLASATREYMRERETVAERSGSEEELLLQ >Dexi9B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:9B:12876283:12876827:-1 gene:Dexi9B01G0018130 transcript:Dexi9B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIFLSAILNTEGSNPSHKCDKRCQNEHIFGNMYRCKLTGTTHICDKNCNQRILYDNHNSFCRVSGQLFPLSPLEQQAVRGIRRKHEVDSSEGCSFKRRRGAQLHPSPFERSYSAVSPIPSQDGTIRASFFVDL >Dexi4B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:4B:2677394:2679429:1 gene:Dexi4B01G0003840 transcript:Dexi4B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIEGQYLTSSAIVGHDGSVWAQSEKFPQFKPEEIAAIMKDFYEPGTLAPTGLFLGGTKYMVIAGEPGAVIRGKKVPRSAVGFAAAAAAAGTGGVTVKKSNMALIIGIYDEPMTPGQCNMIVERLGDYLIDQGY >Dexi9B01G0030640.1:cds pep primary_assembly:Fonio_CM05836:9B:33101054:33101370:1 gene:Dexi9B01G0030640 transcript:Dexi9B01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSESTDIVRGCLKLLVTPHFRSTAEAATRELANLPTQDFSWVSYADTTRKEHWDSIHRDMSQWFRPDPLCCSSSSHKQRELCCKKHFEGDGRTSACCR >Dexi5B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:5B:11454183:11454782:1 gene:Dexi5B01G0014610 transcript:Dexi5B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSSSPYGETNTGVDTAPAAVSSSIRWAPHGRAMTACLVAVNVALVALVYLYFWRVLSRKRAATPSSAAAGDDDSSSSAASSPARAQDDEQRRQHDRLVASLPTAFVARGAGEECAVCIAELRDGEEARALPRCGHRFHAACVEAWLRRRHTTCPLCRASVVVAVADAEAAGPKGGGVTGTTTAAAAVEEDMDAPPV >Dexi3A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:3A:6002081:6004852:1 gene:Dexi3A01G0008570 transcript:Dexi3A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGRVDVALWRGAVAAMMSRQLAAGVLQPVLQLVDAPRPVLAATSPPPRYRMTLSDGAHLQPAVLTTSLNGLVTGGELRRGTVIRVLEYISGVIQKQRFILVIQLEILHAEFALIGNPTIYEDNATQHFDVCCSGGLGSNEPCFMPEGGEVRATCFNSQAEQYFDLIEVDKVYLISERSLRPAQKKFNSLNNDYEILLDHRTSIEICCGVETSFIMQQYNFRQISEIENMEIGAFVDLVGIVMAVGPSAMLMRKDGTRAQKRPVQLKDMSGRSVEIVFWGKFGDAEGHQLQLLSDSGSNPVLAVKGGRISDFSGRSVVTISSTRLKVNPDLPVVEGLKQ >Dexi7A01G0014170.1:cds pep primary_assembly:Fonio_CM05836:7A:24158361:24161282:1 gene:Dexi7A01G0014170 transcript:Dexi7A01G0014170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVHVAIDAVEDAVRDRGHGRDDDEAPRRGAPQRADTDADGEEDRDERSRSTWAEVVSDHKGGEQDDERRDHRNSGRDKRNERKDDDGWERAGGRNQQHPAGRQNQYDGDDRREGGCRRQQQQQQAPEYRRQEQEGERINDGGWQTVGEKKHHGRPQKSEAWSGYRKPPSEQQYSEDVGQVHQGLNVEPTREELNSLSRACSRLWELDMNRLRPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVCQDYEDFKRMLISLWFDLYGRGGSSSSSSAFEHVFVGEIKGRGQGENEFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEIALYTLCFFVGGEENHVDIGPYTVNIKCYRLGNNKIGSAFPIAEN >Dexi2B01G0032500.1:cds pep primary_assembly:Fonio_CM05836:2B:40349913:40352314:-1 gene:Dexi2B01G0032500 transcript:Dexi2B01G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLIWPEGAPQEPLGATPPSNRRPHQPSEAVRKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAGGEVEEDESANALVTPIRTAPKNYQAISTISHISFAEEESISPKKPTSIAEVAKQRELSGTLLSEDDSKMKRQISDLKSKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAYVSNIKFGEADEESVVKTAKKIPTKKFSDLTGNGIFKADGAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >Dexi9B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:9B:12328364:12329122:-1 gene:Dexi9B01G0017540 transcript:Dexi9B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDAASKEEQARRARALAEKCFLAGNVYGARQWMQSALRLAPGLPGTAQIVAAYDVHAAAARRPPDWYAVLGLRPPGVTHDDVKRHHRRLCLLVHPDKNPSAAADGAFKLVQAAWQTLSVRHPPGAPAPPPRPQPPPQQRQPPTRAPEPQPRQRPQVVQMQRRAPAPPPRPAAPTRPSYAQQASAPKPPEKGPPMPTASRSSASPDKCPACGASTPNGKSSFRCGSCHWSPMDGRPDDDDDDFFEDDYYF >Dexi3A01G0023690.1:cds pep primary_assembly:Fonio_CM05836:3A:19356110:19357368:1 gene:Dexi3A01G0023690 transcript:Dexi3A01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAIGAKLEHVIAQLAYDVAERHTSFEGDLVVVTPSDEHFWFGRPRIVLHLIHFILFQNAFELSFFFWILMTYGLHSCFMDHMGSYYKKEIFNEHVQQGVIGWAEKAKKRSGLRESNSVGEFMHGDGIDAP >Dexi9A01G0024180.1:cds pep primary_assembly:Fonio_CM05836:9A:20399591:20402763:1 gene:Dexi9A01G0024180 transcript:Dexi9A01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDDALTAMGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSAQHESLLSSVVFTGMLIGACSWGYISDKYGRRSVDSHDLTLHSSSLQVYPTSARSTGVGIATAIGKIGGIVCPIIAVGMLRSCHQMQAVVVFELVLGLAGVACILFPVETKGREMK >Dexi2A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:2A:19043129:19044760:-1 gene:Dexi2A01G0013260 transcript:Dexi2A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDDVWEVAIEKGSLAEEKDGEQEGEEKVKGTEERKARHGKRSGRGRQGGGCGREREERSQKKTGVGVCGAVANGKGRS >Dexi9A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:9A:9765222:9766404:-1 gene:Dexi9A01G0014840 transcript:Dexi9A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVVKKEMQLVPYNFTRQLGWASIQLGETGQVLSPHHLAGILISELKHMAEAHLGRQIENAVVTVPRHVTYDGREHVRFAATSQAGFRVAKIVDEQIAAAAAHGHHTEHGDGGGAVLVFHVGGRTSHATIFKFVDGRARLIQARDDLFFGGKYPSEFLADSFFYCFSSDDFTARLVDHFVELIKQQHGFDIRQDTAALLRLKAECERAKKALSYQQETTVSLLDGVDSSLLTRAKFEELNRDLFERAMALVDSVVMQAPVVGEHRKGRSLLDTAMDMFAGRRSRAAESRRKDMVDEIVVVGGSTRIPKIRHLVKEYFRGREPSNRGGVEPDETVVHGALLLTRPPMAPRIHLTRLIPVSNTTTTTSPAF >Dexi8A01G0015660.1:cds pep primary_assembly:Fonio_CM05836:8A:26763946:26764565:1 gene:Dexi8A01G0015660 transcript:Dexi8A01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWISFYLSLKHIDVVDAADVKARFRFSLLDERGEASQSYTEGWGEIQSFKTADHCWGHQRFIKKAALEKSPYLKDDCFRVQCDVTVSKEFRVEDTTQFVTVPPSDMHRHFADLLQVGEGADVTFQVAGEIFAGHRYILAARSPVFKAELFGPMKEKTMNSIKINDMEARVFKAMLHFIYTDTMPDIDKDDAQI >Dexi7B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:7B:19267775:19269284:-1 gene:Dexi7B01G0012520 transcript:Dexi7B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLDSPRLNAASRRRLRRLAALLAPLLLFVAAALSFPSALRLPPRLFLLAPQRHQELPPISDRRSTPPRSPPPSPPPPAPARVAVCLVGGARRFELTGPSIARHVLAALPAGATDVFLHSPLDADAYKFSLLARAAPPGLTLAAVRVFRPEPIDETPERAAVLTSANSPNGIQGLLQYFRLVEGCLDLIRERESRGNFTYAWILRTRVDGFWTGPLVPSDAFDAGGAYVVPEGSSFSGLNDRLGAGGRAISDAALSRLSMLPRLAAAGYGDLNSEGAFQAQLEESGVQALERRFPFCVLSERVYSSRPDHRYAVPVASMASPGQLSGAKCRPCRPACRGWCAAWHASRLERGWGWTEWRDGGLELCDASGPWEDGWEALFDEAAGEEAAEARRRAERMGPKECAAEMEALRARAERWDAPSPAEMCRLGLVAEAASPSGSSSPTTQT >Dexi7A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:7A:17127803:17129410:1 gene:Dexi7A01G0005840 transcript:Dexi7A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGGAASAGPEGAAAPGNKNAPPAAARSGALKKLPLLALLVLFFSAFLYSQIQPPPSKIPGAPGGPPVTATRTRLRDGRHLAYLESGVPKEEAKYKIVFVHGFDCCRYDVLNVSQGLLEGLGIYLLSFDRPGYAESDAHPARTEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLAGVAILAPVGNYWWSGFPPDVVEEAWYVQFPQDQRAVWVAHHLPWLTNWWNTQRLFPSSSVKSKNPEQVRQLGEHDSLHRDMMVGFGTWSWSPLEMENPFAAGAEEDEVKVHLWHGVEDLYVPVQLSRYISKRLPWVIYHELPTAGHLFPVADGMPDVIVRSLLLGDE >Dexi6B01G0003910.1:cds pep primary_assembly:Fonio_CM05836:6B:3199685:3200600:-1 gene:Dexi6B01G0003910 transcript:Dexi6B01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGLSSSQLDYVLVPLGLAVMLGYHLWLLLRIRRRPETTVIGINAINRRIWVRHIMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGAAHGLFSGSGVLFSTSGGESVLTLKFFAVLVCFLVAFLLNVQSIRYYSHTGLLVNVPLAAHRRPASAVGYVTGTLNRGFYFWSLGVRAYYFSCPVFLWLFGPVPMCASCVAMVAALYFLDVYKEWDQDDEDGGDDGDGHDGRERKMSTSGRLMPVEGV >Dexi9B01G0025620.1:cds pep primary_assembly:Fonio_CM05836:9B:26699570:26700208:-1 gene:Dexi9B01G0025620 transcript:Dexi9B01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTLDLSRNPCPDRIVEDIGVGLGMGALGGGAFHFAKGLYNSPSGYRLAGGATAMRMRAPGVAGGFAVWSGLYSTFDCAFVYARNKEDPWNSIAAGASTGGLLVLRRGLRASAVSAAMGAGLLALMEGAGILINRLNSLRPLPQPEYLPPVMETPAGEGLPLPIVDQELPVPTGLFGGIFGRKKHDHHKVAVKSEVLELDLPGTAVPLFD >Dexi3B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:3B:11868140:11873658:1 gene:Dexi3B01G0016310 transcript:Dexi3B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSRRCCCGPLADRASHATSLYQIHPPHSRNVFFFHGFPFWIWSAIHPSPSTAVPMAELPTFAIHEISARLGCEVDRLHMTRACPLWREALAGIDVPPLLRRLPWLVFPFNNTGPAFCCIRCGNGDQSVTHHVSAPESERGAHYFGSHEGRWLMLAASRTTSNLITNVLTGATYSLLDTSVDMRGDVDFDMFMYAAVLSSPPDKPGCVGAPIVQYMSSLRDPRRIVLFRVGAEAEYTFDPFPEDYNEDPEDLIHFKGSFHVLTREEQVRACRPVFSNNGEVRFVWHYYQFPDGRIYNHYVYGRYLVQSRGELLMVIKLSPHYDRALDLGGRIMFIGRGCSRCYDVESFPDFDDGLFFSDDRSFYDVYRIEHNLPFPSDDNGSMTLIIDEASGWCLHVPYPADQHTSTRERDRYALIASCDGLLLFERGEGPSGSMVFYLCNPATQQWSLLPLRPAGTFTLPCGFYVHRPSGEHRILCLTGDQQGSHYVYSLEAGGEARRLGPAHPYVPICSVLPLHDVTLGGKLLHWSRYPAVLFPMDAGRLGPEPKERDKIVAFDSESETFRRISRPPTRRGCYSREALFLLKVEDRMLAMADFLKGSMDLWVLEDYEDDASWALRLRVDLPSPLRHACWAVMSPRIGHDVILLGDHRRSWVGLYDVAEKRVLKQIRFVATDDRRTCFNVFNRPGPSPLSFSPRDTAQQADGPAALAPSLSLTGGSHLSAPSYRAALSLSLSVPPVPPVGAASLLSRARNRSPFLSLTGRTHLSALPPTSRQGHAIRMTPSPAALPRSPSRAAGTFWRPLTRAARPALPPWVHAACPRRLHCSPPPSITSQALTYPRGTRAPFPRTLAANLGFSPFHPPPPEKKKEKGGEKEKGGEKEKREGHGKEAPCRCLESSRSSREKDDTTPPRAAGVERRRRRRRAGNEDEHRLRARLYPTPASFDSPRPPQPPCTA >Dexi3A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:3A:15846441:15847203:-1 gene:Dexi3A01G0019950 transcript:Dexi3A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGHWQTTPAVARTNVYGMNINGTSTFTAPWPAYLVPPFSQPNSSVGSNGAMRVPAPHLAAVYTPATLAGSLTGSALPLPETQVASASNRGSLAPSHSVQAGAMVAPRDVPIKMDHDGAYDDIAILLSVGLTAPTEGTEATSATAAPELTMIDTRHSGASAFHPWCPPGFKSVDGSSSSRQAQELQGDSNQGEQGAKPLLDLFKP >Dexi5A01G0029730.1:cds pep primary_assembly:Fonio_CM05836:5A:32793688:32797415:1 gene:Dexi5A01G0029730 transcript:Dexi5A01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTPPLAPLLSPARHRAANPPLLAATKTPSAHISLFPHNHRCHHDAPCCPNGRSLRRSRRWGAAASLDQEEAGTSETTVADEEDPGPPVSSEQAEASPEDLENIREIKRVLELLEKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDELADALVEVYEGQIPENRVALQLLAKEMAEWPDLEVEAPKGKSKLGKSVYAKATDTGIDPEAAAKRLNLDWDSAADIDGEEEEETEVPSAVGYGALYLLTAFPVIIGISVVLILFYNSLQYPFRVFFLLLDAQLGTSGKQAHFSPCAMSLEEASSFKKDDDAEARVVADDREEGHAARDGTAGRPFRSGSTATDLSSNASSINYRKARQDKIGGGDGVFWRCGVLSCMHLPGLSRRRSMRQQPSMSLSEASTGTAAVAAGGVRGSTVSKVASMERFKYSSSSSGIVFERADNKEEEEEEDEDGEEVSAYFDLPLELLRISSVDTESPVTSAFVFDGNRGRRANKVVPEMPLDLDFSFPAPPVFSKPSSPGS >Dexi5A01G0032140.1:cds pep primary_assembly:Fonio_CM05836:5A:34715831:34721677:-1 gene:Dexi5A01G0032140 transcript:Dexi5A01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPSAPAQRHRPAAAAAAARSRGRSPDANSGPLLRARAARGHSYQEVPGPEASMQNHHAYSRLGPSSGGGAAVPSPPSSPRRAWGRRASSKGGWSARAGAGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSMYRSPQLYARLRADMDADNATDAVSGSSCTSAAQSIGLGIMFVTELRPGSACGGHGMICNAVAVAGFLNATLVIPNFHYHSIWRDPRQENAQPLIIPFQDMVAFSCCVFDGGDNEKKELDAAREIGWRGKFTRPGRVIRPGAIRMNGKCPLTPLENFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLIGHRRYLYGGHAKTIKPDKRRLAILFDSPRIGYGITVIY >Dexi9B01G0028950.1:cds pep primary_assembly:Fonio_CM05836:9B:31515768:31519860:-1 gene:Dexi9B01G0028950 transcript:Dexi9B01G0028950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAGAGAGPHAAEKPPRNRPPPPPPTNRSPAFSLNAHQAAAAPSARSGGAGGGGGGGDAPVFAEFSLAELRAATGGFAAGNIVSECGEKAPNVVYKGQLKGPGGGRAIAVKKFAKLAWPDPKQFAVGEHGRLLAYFGFARRRWDWGLGVAWGESDGQLIWLQEEAKGVGSLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYISQALEYCSIKGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHVPSHVMLGIPKPVEVPQAPPTPQRPLSAMGEACSRMDLTAIHQILFTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFKDKDFKAAIDCYSQFVDVGTMVSPTVFARRSLCYLMCDQPDAALRDAMQAQIVYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQKSTKGP >Dexi3A01G0032560.1:cds pep primary_assembly:Fonio_CM05836:3A:37417368:37419820:-1 gene:Dexi3A01G0032560 transcript:Dexi3A01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKQCKSVLDAVESIFQCGIPGVMVEQKLQETVSRSVELLPELWKQAGAYQEALTSYRRALLSQWNLDDECCTRIQKRFSVFLLYGGVEASPPSLASQTEGSFVPRNNLEEAILLLMILLKKWFLGKTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTERWYSLALCYFAASHNEAALNLLKKSLHKNESPNDIMALLLAAKICSSSYLLASEGVDYARRAVKDVESSDGHLKSVALHFLGSCLAKKSKVASSDHQRSLWQTEALKSLNEAISLDRHNPELIFDMGIEYAEQRNLHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIKAKLKVAQSLPMEAVEAYRILLALVQAQRKAYGSTTNGAEEDEDKVSEFEVWQGLANLYSSLSYWRDAEICLQKAKALKKYSATTLHAEGNIHEVREKIQDALAAYFNALSTEVEHVPSKVSMGALLAKKGPKYLPVARCFLSDALRLEPTNRMAWFHLGKVHKHDGRLADAADCFQAASMLEDSNPVESFRSL >Dexi3A01G0001190.1:cds pep primary_assembly:Fonio_CM05836:3A:857226:858592:-1 gene:Dexi3A01G0001190 transcript:Dexi3A01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGTDGFSVYDAAGALVFRVDNYSRRRKIFAGELLLMDGQGSPLLALRPQVLYYGHEAEVSMSGCSTASNHSGYSPSFWIEGCFRRRSCKIRSSDGKKVARIMRKKAEAASSSLTLGDDVFSLVVEPNVDCTMIMAFIVVLDRICWRPCTPMICSL >Dexi2A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:2A:8153653:8158703:-1 gene:Dexi2A01G0007840 transcript:Dexi2A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAASAARSPLLVHHHRRLPQVPSGGGGSLRVGGAGRGREVGWRRARLGIRVFARYSQAQDFSTRLQVSGCVVLLFVFYLNADRVGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDLSKTANTSSGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPSVPYDVIQSILREELQRPLDSVYEYIDPVPIASASIAQVHGARLKNSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVSIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQYCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGNDINIDEFAKDLRKIFSSIQNLDTEIIVATARGPDATAVSANVVLDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINISTNRQPRRTDRFQ >Dexi3B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:3B:1023516:1024354:1 gene:Dexi3B01G0001420 transcript:Dexi3B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVHHTTMNQLQEEVPKLFGFWASPYVLKVIWALRIKGVEYDYIEEDLRNKSDQLLEYNPVHKKVPVLVYQGKPIAESEVILEFIDEAWKHCGHPILPEDPYQRAMARFWVKFQHDKLSPPIWKWFTTQGQEEEEAYEASIEQLLVLEKELDGKRFFGGDKIGFVDLSLGPLSYVIPIYEEIIGAKLITEHKFPSLFMWMGNFLSSPSVKGHLPPLDELQARYQPIREAFLKGKN >DexiUA01G0025840.1:cds pep primary_assembly:Fonio_CM05836:UA:54431329:54433515:1 gene:DexiUA01G0025840 transcript:DexiUA01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVVIRINLHFAMATEDDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDDYGETVLYAPKFDLQTAGIWLSPVIVGGIAAGIWAYQKHRQRTNVHIMALNLIRGVPLTPREKETMLDILTPPPPPRKWWWPGK >Dexi9B01G0029760.1:cds pep primary_assembly:Fonio_CM05836:9B:32181579:32182639:-1 gene:Dexi9B01G0029760 transcript:Dexi9B01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSGDLKLLSSFASPFSLRVKLALSFKGLSYENLEQKELHTNKSELLLKSNPVHKKLFSSWMIVFKGKTDEEKAEGTKQSFAVAATLEGQLRECSKGKPFFGGDSVGYVDVALGGFVAWVHAIEKLYGLKLFDAANTPLLAAWLDRFCALDAAKVVMPEVDKLVELAKMRQAQAAAAAEAQGN >Dexi4B01G0023570.1:cds pep primary_assembly:Fonio_CM05836:4B:24853619:24854785:-1 gene:Dexi4B01G0023570 transcript:Dexi4B01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGASSSSTTGGAAELELPGFRFHPTEEELLEFYLKQVAHGKKLKFDIIPTVQLYRHDPWELPGLARIGEREWYFFVPRDGGRKHHQVAGGGGRPSRTTERGFWKATGSDRAVRCASDPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDDSSSSSGTSSPPNKEDIVLCKIYRKAVSLKELEQRVAMEELARASATPSSAASHNTGSPADDSTSMSSSDHHQQQQQTGEAAVMMTTTLMMGMKKEAAESSSPAAVLMKPATLSLPQLEVAKQQQQQEWMQDPFLTQLRSPWMESWSPYYGASVLNF >Dexi3B01G0034460.1:cds pep primary_assembly:Fonio_CM05836:3B:37201333:37201818:1 gene:Dexi3B01G0034460 transcript:Dexi3B01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRCDGVSSGKGMSPSRYCITCRMLGLELGNGCEHSSPRLSASIASPASFASMISASESFCQCSSTQSTSMSRPSAMLFSMGLRPQTTSRMNAPKAYTSPIVPTTCVVCGSAPWSYSFARPKSPSRPFISLSSRTLLA >Dexi1B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:1B:22809145:22813631:1 gene:Dexi1B01G0016300 transcript:Dexi1B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGGRLQRSGSKRGLDPTGGGDDDDHAPKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLQFRSQLALPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDILVLEGDFNKEEDEDWTEEEFESNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLRIAAGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAADTRSVGAIFNNIYEFTGLIADDEFISAENLTDNQKVYADALVKKAYEDWMHAVEYDGKALLSFKQKKKSVTTRSDTAAASTSNPASYGSADSQKQLSLPAKAGQPSSTDGRSAYNGNQSARYAANAQNVPTNITMQYDRGALPPESQFNGSSLQAQASRGPSMLALGPPQQQHQSFEFPALGQSMQPTGMNPFDEWSQPQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGAGTNLTEDGFNFPSYMPAPSPNLSFEDDRTRAPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >Dexi2A01G0028860.1:cds pep primary_assembly:Fonio_CM05836:2A:40000080:40003115:1 gene:Dexi2A01G0028860 transcript:Dexi2A01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLKSLAEALFANVTTSNSHSAYDTAGTGSDMVYGAVLCRGDTAPGTDCAYQLKEVLEAAMNPSHNTSCNPQKDITLFDDGYLVQLRFSDQDFISDSSNSQECIVRANLNPPPMGQVSEQFDSLVSRLMMKLTEAAVKEKDRYESGQGWLTEERQTVYGLVQCIADMPPETCRACLNSAITKREQMVKSGQMGGAILSVRCSLCGYISPEYAFDGVCSIKSDVFSFGVLILEIISGKRTTGFYPYDGKLYNLTSYAWKLWKVGEWRQLVCCRIGENREVIERCIHVALLCVQESAEDRPAMDLVVSMLNSENVSLPKPKQPAYFFVRSSETEASACNINVSITLAR >Dexi2A01G0032930.1:cds pep primary_assembly:Fonio_CM05836:2A:43238517:43240174:-1 gene:Dexi2A01G0032930 transcript:Dexi2A01G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHAISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPEGGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVVRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTMPLPDLVTIHPPKEEDELMRPLAPEILVA >Dexi5A01G0039090.1:cds pep primary_assembly:Fonio_CM05836:5A:39828053:39830091:-1 gene:Dexi5A01G0039090 transcript:Dexi5A01G0039090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATRPVLRVAAICGSLRKASFNRGLLRAAAEVCEDSIPGLRVDHLDISDLPLINTDLEIDGGFPPAVEAFRAKVAQADCFLFGAPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELCVQAFQQPPKFDSDGNLIDAQIRERLKQVLLSLQAFTLRLQKD >Dexi2A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:2A:30197674:30199079:-1 gene:Dexi2A01G0018170 transcript:Dexi2A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEQEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAETRLQLLTSPTATTTWQQSSFASSSATERLQVMSMRLLHRRHRQQIQAQLDTPAAFTLYNYSNSLGAAAPLWQPSHSSSSPSPSPSPTASESSEIMRPRHLPGAAASTGYSGLWAHMPSSFSYACAGMPENMDGTCTPPLSSSTGGETVMAAAVGVESSSSTPTASSASATFGSSMDDKIDMLLRQIPCFGENGHIGDEAAVYGGMDQYCFRSMGDHEAVDGSVGSWSSCSTPGVDSVFHDYVQGYNQ >Dexi9A01G0044390.1:cds pep primary_assembly:Fonio_CM05836:9A:48040239:48040883:-1 gene:Dexi9A01G0044390 transcript:Dexi9A01G0044390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKQAVWLLIAAALLFAATAAAQQQDCSSAKFPAGRSFQRCATLPVLGANLHWTYHPTNATADVAFRAPQSADGWVAWGINTNALAMVGSSVFIASQDGSGAVSVLATYLDNSIAPSLANNTLRLSVPVGPAAEYSGGAYTIYARVELPGNNTVQNTVWQAGPLSGGDIAMHPLSASNLQSTMRLDFLSGNSSSTGAPSSNMHRRNLREFRG >Dexi3B01G0025700.1:cds pep primary_assembly:Fonio_CM05836:3B:20526861:20527273:1 gene:Dexi3B01G0025700 transcript:Dexi3B01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLQDPRGLFDEAGQAIGGLERTSSSCRPWCGRTRARQPQTRGASSSYAAMAQIELGSHEPMTGGSQLERRGYGAGELELGIHGLGELELCVHGESELELRSHGADELELCNYGASSTAQPCVAPLENAPSDY >Dexi9A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:9A:448611:449164:1 gene:Dexi9A01G0000850 transcript:Dexi9A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQDLTPSPSMTVPIEHSSRPTLGFPLGTALLLLVIFSLSGLFSCCYHWDKLRSLLRSRNPAMFQEGEHIVVSIGSSPSKEASDHKLEKVGKECGLPVIMPGDKVPKFFARPCPHELCSPDAEKIEVPLETKCSVHETVSICT >Dexi4A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:4A:2291396:2294008:1 gene:Dexi4A01G0003150 transcript:Dexi4A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKPIALRPGRGLPRQPDRRGFNWSVTRWPGHTQDKPTSRWQTTRPRRLLVRNLFGDMPPPAPLFLSLPSPPPLLPVHHPKSPQQALFLSPPLTSSRKHVALPACPVASPRHSDYFDPRAPPPPRGDGGYGGRPPNGAQEGRVFTSYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEIGTLLTLGPTDSCEFFHDPFKGRSEEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNVDESIYIPITKGEFAVIVSTFNYIIPHLMGWSTFSSSIKPEESRPYIRPQSAPEYEWRR >Dexi7A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:7A:23108481:23110699:1 gene:Dexi7A01G0012900 transcript:Dexi7A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAPEGGEYTAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDSAASGPDAACGGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIIAQCLGAICGVGLVKGFQSAFYVRYGGGANELSGGYSKGTGLATEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASAAKLGSSASFSR >Dexi2B01G0030200.1:cds pep primary_assembly:Fonio_CM05836:2B:38441517:38444540:1 gene:Dexi2B01G0030200 transcript:Dexi2B01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIRRAIGVCIKEEAEIIEGEVVEISIDRPLSASSAGGSSAAASGATAAGKSGRLTLKTTEMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQINTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYIEDEIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLINAAALACQKRKGKVVEMDDISRVYQLFMDVKRSTQYLMEYQSQYMFSELSGQADEEDDDFCVPRHGDVE >Dexi2B01G0021820.1:cds pep primary_assembly:Fonio_CM05836:2B:31492990:31497737:1 gene:Dexi2B01G0021820 transcript:Dexi2B01G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERGGEEEVVKLEDAVKLLVEHLVEPVLPRGALRREEALAPQNQETVARQVHATVLLYNYYHRKQFPQLEFADPKRFCICASLTAGEALLMYLQQAHDHYDSAGGGLSATDKAVVDACDIAEALDATKDSPEMTMWPISKVAVLLLDQTMKKCLLEHGSETKGVMSFLEKDINSALGDSHGSDLSVQESSNKSVPLSSEPFVLQQIAYSEVELKTGIKRSNLRFLEEHRVYSLSKKRTATMLYILQYEQTGNGKLMERPLEVLVSRMSGPIFRSHPGLGTTTVVECYHLLPYKEVLLNILNRAWPLDSSHNARKERLLQNGNPSSHSEIDESLKEQEANSRSKMKRMAMNVSTSKKNKQIVKVVGDSGTNKNKKNSSTNSKRKSETFRAEHGDGESPTKETDSLAAPDVESSKLVSVKATKSTNVGSIDLQARIQMDKNTKEKHSKSRNMPQDIVPAPDVDPVIKNHALESQKGKVVEKSGVTTGNNVQMYATLQLLQKMRDDTLREHCMLGDRSAQYEMEIQTILTDTYQASVYLMGPDFNMSADGDSKITPHEARNSAASHMLRQLHQKAKEN >Dexi8B01G0015950.1:cds pep primary_assembly:Fonio_CM05836:8B:26790607:26792227:1 gene:Dexi8B01G0015950 transcript:Dexi8B01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCEFQLANWIPQEPAATGLPVVEYASTRCLLQLLRTCGLQAYQLPEASGVMQQQEQLVEYDTYYSAATSQHHANNATGEKATISNDSYARSVVKQVMGEFEEHIDMKKVKLHRHPECLQAIDKSYTVPRIVAIGPYHHQVLDHDHLKPAETVKHAAACHHGLDHLKPAETVKHAAACHCVVQSGCLLEDLYGAVVPVADSVRCLYDNDVMAGIGCEDFRHMMFFDACFLVQYMLMQVYTGNVYDSLNGFLSPNRVDIFHDVMLLENQLPWKLVETPPQHKDFEWDSTYKPPHLLGLLRYYIVGRSDTEFPKPETKNISFSVSAMELAEIGITLTANKTMQLIDMGLNQNLILFPELSLAPLTLDRNRASYLVNMAALELCTVESFSLAEDEEDSAVCSYLLLLAKLVYREEDVQELRERDLLQGGGGLTNQEALRFFSSFQGLRFGPYYLRIMLEIQNYRETSRMKTKSLAFFHDHKSTIAKVVTGIGAVGGIIGTLLSIKKSL >Dexi2A01G0004320.1:cds pep primary_assembly:Fonio_CM05836:2A:3822799:3823708:-1 gene:Dexi2A01G0004320 transcript:Dexi2A01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFFSISTSKIYAMHRLDVSKHLFHPSTVEAEQAHSKDEKETNNGGKPKPLRIERLRRLPAPIAGFESSDWCPTTLNMFVLLNGGHGEPGGRILHANNHGQTALYDTESRTVVCVSDLGMPKGPKPMTFVIPGAGTGEENLYVLRSIYDTNYQCSQNFEVLSAMNHRCSINFKNIGYSSDDEFGGDLVDFRTNLKWYPLPPPPFSTGDILVSSTELDRSQTICVSSMYKGTFCFDTETRQWWHAGDWKLPFYGRGEYVPELEPG >Dexi5A01G0030170.1:cds pep primary_assembly:Fonio_CM05836:5A:33205713:33209679:1 gene:Dexi5A01G0030170 transcript:Dexi5A01G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKAAGALVALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIQGAIPSELGNLKNLISLDLYKNNISGTIPPTLGKLKSLVFLRLNGNRLTGPIPRELAAISSLKVVDVSSNDLCGTIPTSGPFERIPLSNFEKNPRLEGPELQGLAMYDTNC >Dexi3A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:3A:14235421:14238656:1 gene:Dexi3A01G0018690 transcript:Dexi3A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAITGASGGDGAAGDSFERVLSTALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSVARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRRLKQRREEMMFSLQEAERRMDLARVADLKYGALQEIDTAIARLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAGAKDELAYKVDRSGGLVNAETGQKSDILIQVPNGAVRSDAAQAVKKMRIMEEDDDGMDEE >Dexi9A01G0004800.1:cds pep primary_assembly:Fonio_CM05836:9A:2630956:2631300:1 gene:Dexi9A01G0004800 transcript:Dexi9A01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDKAVGFLLTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVIAGVVLLSFLSVFVGLVMLRSKKKKKTT >Dexi7B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:7B:12371863:12375609:1 gene:Dexi7B01G0005110 transcript:Dexi7B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRLVHAVINTRTKSTTRRHALVSIPIFILQPPTHGHRHPRSSSFPPLLTATLAAPPFLPSSPPAPARARRSRTTRPFLRVACAYALQEGQSRRFHRLPCGLDLEVIAQPTPAPGERPPLVFVHGSFHAAWCWSEHWLPFFSRAGFPCYALSLRAQGESSVPQEAVAGTLEVQNFFIQSSLVLSFGLVWRYLLTKPVAAIKVTLSLAAKAYANSLSLCKETFFSPQMDDELVLRILKGFLKPQDSTECSQYVWKV >Dexi5B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:5B:5805526:5808262:1 gene:Dexi5B01G0008550 transcript:Dexi5B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDKNNKEGTSPAAGKMAGPAASWRLNVSDFHMPERPKEPPFVTRVLLRSHGFPLGTDTIPPERLLSTLAYVAFTTRRSLGAAAAPMPCLAVFDSALDGVSMGSGA >Dexi4A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:4A:16614694:16614950:-1 gene:Dexi4A01G0014470 transcript:Dexi4A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPLASALRLSKTPRAPSSSSGSHLAPPHLARRLQTLARALASSSPQAMASAPAPKKASSPLFTPFAPAMPP >Dexi5A01G0003470.1:cds pep primary_assembly:Fonio_CM05836:5A:2623277:2625149:-1 gene:Dexi5A01G0003470 transcript:Dexi5A01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLLGGGGGGGKKQQEQGKDQQRPPADKKRWSFARSSRDTAEAAAAAAAAADRSVRGGGGGNAAIARAGEAAWLKSMYGETEREQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSRAPGFGGGGGAVVDPRGRAGAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAVRAARCRAVPQLPPLHHHPPVRPRFSLQERYGDDTRSEHGVAAYSRRLSASIESASYGGGGYDRSPKIVEMDTGRPRSSRASSLRTDASCTGDEWYAAMSVSSPLVPSCGGGGPAPPRIAVPSSRQFPDYEWCAPEKPRPATAQSTPRCAAAAYFAAPPTPAKSVCGGGGGNCPGYMSSTQSSEAKSSRSQSAPKQRPEQQQPGRKRVPLSEVVLEARASLSGVAMVNNNNKPACGNRGAAAQEAFDFRAAVVSRFERAAAAEWERDAFFLQRR >Dexi3A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:3A:3523621:3527257:1 gene:Dexi3A01G0005460 transcript:Dexi3A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDIRTDGRKRLQFRALSVETGGIDALLLKKSRQISSYEFVLFEGRGSEELSTELAVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSAANDEEPEVDVSDEEFLQFDTSGVPVIITLTKVGRHYIVDATSEEESQMSSAVSVSVNRHGQICGLTKRGGAGLDPSVIFDMISVAKHVSQQFIGVLDSEIAAAEAEADE >Dexi3B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:3B:13345353:13353654:-1 gene:Dexi3B01G0018000 transcript:Dexi3B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVPPPLALAALALLVLCGAAEARVLLTLDDFGAVGDGIANDTQVRSCSQPPASILLVLAIHGTKKSSGQRAIDDRSVPESLQAFVDAWNAACGSGEQAVLAVPVGKAYRIWPVQLFGPCKKKLKMLYRTPPSRIPISGSIVAPASPDEWAGRDPMKWLYIYGVDGLSISGGGTIDGMGQQWWASTCKRKKTPVIIDRPGFNYQPPNLSGLCCLFCTDEPCYSGPRPKVNALLPVQFGHAPDPLLFRSRILCLHADGAFRGGVTLQNGQQFHLTFTRCSDVKASFLRVIAPADSPNTDGVHLNDSSHVQITDNLISTGPIGSLGKNRTTDMVENVKVDTCLLTNTTNGVRIKTWQGGMGFARDLRFESIVMKNVSNPIIIDQYYCDQPTPCANQACMTPLLNNIQCKAQSFHRSESLANSTQAVEVRKVEFVDIRGTSATPVAISIACSDAVPCRDLELKNVNLTLEGGGGQATASCYRASGKSSGTVLPPSCLAKDARRLPAKPDPFHYLARLSVLPLSRTREPLVYVRVPRSPLRTHAAPCRCALAACPLRSSTHPLARNLKFSSAITLAFRRIVPVMARAAAPVPLLLAAAIAAILLGGAEPRTLLTLHDFGAVGDGVTDDTKALADAWAAACAAGDDVILNVPAGKTYQIWPLTLAGPCSSEIKLLISGNIVAPASPSDWGPGYHRQWLHFLNVHDLKVTGGGIIDGSGEQWWAQSSCEEKEVHQRHHLTPGNCTAQPAPKVSTFDWRFARTELAVHFEDCQGIGVMGITLQNSQRYHLTFTRCSHVEANYLRVTSPEDSVNTNGIHLVKFESIVMRNVTNPIIVDQGNSDDPEGSFEAQVEKINYIDITGTSASEHAVTFSCSDAMPCRHLSLTNVNLTRVDGRNASSYCRKAYGRSIGTVIPESCLSKEDFGTRDHGAEPPKPRKNNGRELLTSSTRLSYINRTPETITAHTTNTMERFVIASLLLGLLASAAAVEGRVVPSVFEDDRPSKRSGDRVLIGVDLFHQACDLFLDRSMIHDLIEQVHFKTMCQSLTKLPGVTTPRQVLLASMRVAAAKAMEAKARVDEYAARTPVTGPMVSIVDGCRKGYDDVATSLEETRKRIEAQGTQMVDLNNQVSGALTHTDDCQNGFDDFEMASPFAAVQKNVFRLVDNVLNIAVEVQKAEAKQNPHQAVKGRVGALPISSTT >Dexi9A01G0007490.1:cds pep primary_assembly:Fonio_CM05836:9A:4348599:4350777:1 gene:Dexi9A01G0007490 transcript:Dexi9A01G0007490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQNLAASFGLRCTSAAAAGAGPAPGAGCRFIQDALSRPFCLFASSRHADSPHDAEDHNHPPKPPRPKALPAATAGGGHSLLLLRSSSTKPPVDEPPSSLAVGLLSVFASGMGSTGGIAGASSLAASPSISAGFNPAALLPFLQATKWLPCSDIVTAATASRGSGRPAVASAAPAPRAGAPSQRSAPRTVPSSGPAPVPSPAVAAPSKVGIQALVGGASIASGSAGIGSGAIASGAAGISSSAIASGAAGVVRKSGASLASGARVSRRASWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTLDVGDRILAEKVSYIFREPEIFDIVIFRAPPVLQALGYSSSDVFIKRVVAKGGDIVEVRDGSLLVNGVVQDEEFVLEPAEYEMDPLTVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSMLRYWPPSKITDTIYEHDMAQFTVATP >Dexi1B01G0010050.1:cds pep primary_assembly:Fonio_CM05836:1B:9448616:9462652:1 gene:Dexi1B01G0010050 transcript:Dexi1B01G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIVEVGPSSRPLRYVPRRPEPDQWHYPAPPPPPPPPPPPPHGEAGGAGEPAAPATQQFDSEKLPQTLVSEIRPFLRVANQVEAENPRVAYLCRFHAFEKAHKMDRLSTGRGVRQFKTALLQRLEQDERSTKLKMTQRGDAREMRSFYEKKKQANAHDLLPVLAEVLKALLSGTALEIKVAVSAVFNVRSLPSANIKDDKTVTDILRWLQSWFGFQTGNVANQREHLILLLANMHARLNPKSSSAQMLDDRPVDELLAKTFENYLTWCKFLGRKSNICLITGEKVRPAYGGDDESFLNNVVTPIYKVIYQEAQKNKNGASDHSTWRNYDDLNEFFWSTDCFKLGWPMRLNNDFFFVSSATKHSQVLRGSKLSRLPNDFENCASCVPLVSIDAPKQTEQQSLQPPHESSSTENCLNSEAAGQRQQQTTSPCSQERWLGKTNFVEVRSFWHLFRSFDRMWTLLVLGLQVLIIMAWNGLGSPTQLLDPIIFEDILSIFITNAVLRVIQVILDIAFTWRTKRTMRSDQILRFTLKLSLAVSWAIILPIFYASSQNYKACSAKQSKTFLGMFCLSKYMVVVALYLASNVIGMALFFVPAVTNYIETSTWRVCSMLSWWCQPQLYVGRGMQEGLVPLLKYTAFWIILLSCKFLFSYYFEIKPLVGPTKEIMKINVNKYEWHEFFPQVKSNAGAILAVWTPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFSALPEAFNMSLVPPAMPKEKKGMLPSFLEKKIFKVKYVHAILKYPYVCYFFHQMIPYFQRLGKAERFDVTKFALVWNQIIISFRSEDLISDREMDLMTMPMSLEHRPGSTRWPLFLLAKKFSEAVDMAANFTGKSAKLFWNIKKDDYMFCSINDFYQLTKTLLKFLIIGETEKRVVAAIFDEIEKSIQKSSLLTDFKMDHLPSLFSKFDRLAELLVITALEPILLSLYNYNQS >Dexi7B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:7B:12804544:12805546:-1 gene:Dexi7B01G0005380 transcript:Dexi7B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGDKIGEPDSAADPQSVSEAPPCAADGATQQPRMMPLLGKPYFTCIMCKSHVRPPFQVVVPRSLAPFLPEATAPAAVTWRGRSWGMRFTGGRQIQRLEAGWRGFALDNGLRLGDGCVLELLDGSPEGVVFSAQVLRADIPAAIRERAGGYTSSSPILID >DexiUA01G0014000.1:cds pep primary_assembly:Fonio_CM05836:UA:29726911:29748988:1 gene:DexiUA01G0014000 transcript:DexiUA01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAPSILLLLLFLALLHPAAAAAARHRHRFSASLHAASPNASEPPTTFFEIFVGTDHSTLRNLMNRAIVVEKERLDFEDSLRAKKRKAEVVHRDRQTQQPRFGQSSQQRGGFRTGGRSFGGQSSGGGSSFSGARSTGYPSQGGGAFGRQQSAQRTGSGGAQVTEVRPDVSLVVRMVVSAGNYDYILDWEFKTSGSMKFVASLTGLLEVKATTYTHADEITADAHGTLVSENILAIYHDHFIKYHLDLDIDGTNNSFVKNVVTTKRNTGDPATGGADTPRRSYWTMQREVAETEADGQVGYRLIPSGATAASVLADDDYPQRRASYTKKQVWVTPYNKSEKWAAGLYADESTGDDGLAVGSRRNRGIRDEDIVLWYTLGLHHIPYQEDFPVMPTLSGGFELRPSNFFERNPILRASSPGRHGHSETMASGTRAKRGRIRLANPPPALTAGSSALHQDDRDWTGLPSGPAGLIADRLLSGDVADYVCFRAACAGWRACCVDPRAQAVGDRRFHPRRWIMLPSAYDISSNRRCFLNVATGGCVHARIPDLRSSCILGTTAEGLLVLCRRDIITNNVVQLVNPLTGQRTDLPGTDTMIGWGRSRSTARRLTILGLDSAGIADDSTVAVSYDSTTLAVAKPGDERWTRFTLDYYTYGNVMASVLPYAGRLYCVTHNKILVVEAAADPIRLEAVALSRYELEIKEGHFKCDWRMYPVYDDEGNLILVHRSMGGGYSSEKYTTYRAKLDTGSVVRMRGLGGQALFLSGLRGSFTVCACQGFLAH >Dexi7B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:7B:20323041:20335363:1 gene:Dexi7B01G0013910 transcript:Dexi7B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNSTLPVSNDSSPITESVSFDDERRTELFLKDQIPRSVAFGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASHGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFTDIGISGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCYIFFAAAIAVNLIRDLVPKKVAKFIPLPMAMAIPFYIGSYFAIDMFIGTVILFAWQVINRAKADAFAPAVASGLICGDGIWTLPQSVLALAKVKPPICMKFLSSGTATAYLINGFHTPEGAERAKKQVRTLGKYFGLSFLWAFFQWFYTAGDDCGFSSFPTLGLEAYKNKFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYSESLPDSSLHGLNGYKVFITISVILGDGLYNFLKVFIRSISALITVYKNRNAKSIPVSDDGTPVPTIETESFDDKRRVELFLKDQIPKIVAFGGYVGLAIITIFCLPLIIPQLKWYHILAAYILAPILAFCNAYGCGLTDWSLASTYGKLAIFIIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGSSDGAYPAPYTIMYRNMAILGVDGLSLLPKNCLTLCYIFFAVSFTINLIKDNVPNKVAKFIPIPMAAAIPFYLGPYFAIDMFLGSVILFYWEWKNKAEAESFGPAVASGLMCGDGLWALPQAVLSLANAKPPICMKFLSGYLFGMSGTIAKKITEANDAQDIKEPHLGWMIGFMFLVSFAGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHAPQGTERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDHCGFGSFPTLGLEAYKNRFFFDFSPTYIGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGNWYPETLPDSNLHGLQGYRVFITISVILGDGLYNILKVFGRTIEAFISRYRNRNTSMLPVSDDGTPVTTTETESFDNKRRIELFMKGQIPKKIALGGYVALAVITICCLPLIIPQLKWYHILVAYILAPALAFCNAYGCGLTNWSFASAYGKLAIFGFGAWAGASHGGVIVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGASNSAYPAPYTIMYRNMAIMGVDGLTLPKHCLTLCYIFFAASFAINLIKDLVPKKVAKFIPIPMAIAIPFYVGAYFTIDMFFGCVILFIWEWKNKADADSFGSAVASGFMCGDGLWALPEAILSLANVKPPICMKFLSSCRLAGTRSASGQDGSGCEVEPVAGGIRRFAAAHPRRRAGACRLAAFQCGGFGSYLFGMSETIAGQAAEENNADNIKEPKLGWMIGFLFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAHLINGFHTPDGSERAKSQVRTLIKFSMASYLWGCFQWFYTAGEGCGFGQFPALGLIAYKNRFYFDFSTTYIGAGMICPHIVNISVLLGGILSWGVMWPLIAEKRGSWFGAELPDSSLEGMQGYRVFIAIAIILGDGVYKFAMVLMRTVSAIAAASTEKKKFFGALPVSSDDRPISGNDAATPTPSFDDARRTEFFLKDQIPTSVAIGGYVAIAAISITTVPHLIFPQLKWHHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFIFGAWAGESHSGVLVGLAACGIMMNIVSTAADLMQDFKTGYMTLASPRSMFVSQVVGTAMGCVVGPCVFWLFYKAFHGVGTHDGAYPAPYALIYRNMAILGVDGFSKLPSYCLVLCVAFFAGAIALNVAKDVAPAKVARLVPLPMAMAIPFYIGSYFAIDMFLGSVVLFVWERADKAQADAFSYAVASGLICGDGVWTLNQALLSLGNAKPPICMKFLSRSVNYKVDGFIEKL >Dexi3A01G0030800.1:cds pep primary_assembly:Fonio_CM05836:3A:35126472:35127544:-1 gene:Dexi3A01G0030800 transcript:Dexi3A01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTLLVSTGRASRRPRYHPTTPAPAPAPTAPAFLLGGAKAGPAGDGRAGGSGGGRGAEGGGLEEIRWERTWDQGEEGLRRSGRRRRPPAWKAPAVAMAAAADGWVGGRPALAGT >Dexi5A01G0025310.1:cds pep primary_assembly:Fonio_CM05836:5A:29198715:29202590:1 gene:Dexi5A01G0025310 transcript:Dexi5A01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAATGGGDDRPLAGVASEHKVNLSARRPFVEALRTGLAETFFPDDPFRGFGSRPPAARAWGGLKYFVPALEWAPRYSLGKFKYDLLAGVTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIETEVPPEENPQLYLQLFYTAAFFTGVIQTALGVFRLGLIVDFLSRSTITGFMGGTAAIIILQQLKGMLGMKHFTPKTDLISVIRSVFQYRHEWKWQSAVLGICFLLFLLSSKHLRKKRPNLFWVSAIAPFMVVVIGGIFAILVKGNEHGIPIVGDLKKGINPLSISQLTFTDKHVNLAVKAGFLSGILALAEGIAVGRSLALIKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCRTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFCHLYRVDKFDFCICMVAFVGVVFFTMVIGLGASVGLSVIRALLHVARPNTCKLGSMAGGEIFRDVRQYPHARNIPNVLVLQLGSPIYFVNAGYLRERILRWVEDEENACKIDGQDLQYLVLDLSGVSSIDNMGIGILVEVHKSLDRKGIRVALTNPRLEVAQKMVLSGYIKDTIGEEWVFLTVKDAITACRYALQRSRSKEDGEV >Dexi9A01G0013480.1:cds pep primary_assembly:Fonio_CM05836:9A:8689772:8690188:-1 gene:Dexi9A01G0013480 transcript:Dexi9A01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRGRGRKSSSPSTSQGAAMRALRGARDLYVRGLRGLDRLLAAASPRRGGVGRPTSRVFGSGGGRDSDEELRELVRATQARRAAAAAAAASTGGAAVGGVKDEAGAPAVKRKDRRRVTPQLERINEDAAAVYPIAS >Dexi2A01G0029660.1:cds pep primary_assembly:Fonio_CM05836:2A:40709393:40710157:-1 gene:Dexi2A01G0029660 transcript:Dexi2A01G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPQLIIFLLLACLCGMAASAAASDTLRQGESLSAPATLVSSPNGVFELGFFAPDTNRPGRLYLGIWFRTVTPRAYVWVANRATPATSPSPSLTLTESGDLLVLDGGATGPNGTALWSSNTSSQAATALRGAGYRAVLQDTGSLQVRGDEGTDLPLWDSFWHPTDTMLPGMEIAVHTPHGRDTSERMLFTSWASETNPAPGRYALGLDPNGSGQAYIWRDGKDIHWRRTL >Dexi5B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:5B:5356788:5358902:-1 gene:Dexi5B01G0007930 transcript:Dexi5B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMLLFFFTTSVWVASSPLPLVRVVAAGGKRCLPASCGGVNITTPFGVLEEQASESSCGAIGFQVRCSNNISYLAYSEQDHQFQILRIFYDSSSLLVADSHKLQALDGSVNDSCRVPKNNSPAKIGFPFSISPSNRILVLYNCTKAPASLEGLVETICGTTTTFARVGGSYDDDDGNGNYSVEGCDSTIMPVLGERYGMANASNYVELIRQGFLLTWSPPLAAPSPCQRRLRVGHMRQPHSHLPILAPQQQPGDSSPCGHPAFEVWCLDAVKGVASLKGSSLHVISIDYPNSSFVASHTRVAAGDDGVCRTDFNMSVSIALTPFTISRRNRALCFLYNCSSGTAPSGPEYVNATSNCSAPIYAYLAGAYDVEGSPPIETDGCTYTYVPVLMGGRESEAMTAANYSRLLKAGFLLEWEKARVGDCAACNATGGECRYNSSTAAFWCLCPSGRRAGSTCPGESLLPTGSPLAHVSRHA >Dexi7A01G0015110.1:cds pep primary_assembly:Fonio_CM05836:7A:24951835:24952531:1 gene:Dexi7A01G0015110 transcript:Dexi7A01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPAVFCPVAGDAIRCGKACVPASRPQIWRRRGEALYGTRGLGPCVAPSQPTAVAPEREEEVGWRSTRRRGRPEDLPPQHQPWQSHPEKTGRAAVERQQGQGPSPTEARRTVERPRRPPRSPRRAWPWRGTCGARFRAAIGRSRGAAGPAVPLRPCTLAAAPIFGGPAPGMGRFRARGWEQLAGVGASAPLLHVPLHAAGQSSYRAGQAEQRSATGQSS >Dexi1B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:1B:3803425:3808543:1 gene:Dexi1B01G0004760 transcript:Dexi1B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTTWDRDSHRGPVTVSGSHMRTVRAQRCGEGGGGWPSPEARMAGRPLPRRGADRVEASGVWCEWPPAHATRLAFRRFSFPRASWRETGFDSSRQFILAHLTGLRASLRTLASLARQQVMTSLRFALLFLVAAALIKLSGGVRVEDDWEHFLLQWGQHTSLPSPLLNGDLAPVKVYLLCRVVHGWQVDKIWPICLRDMVGAEEILGNVLSFAPDEQLSRSSENVLKTMLFLELLALLSHEKLSTTCDCIRANYFDLGIPQEFSVALGTYLESHKSPLDSDFYPRRHLVDQSIGDAPSMAPAFVPSMSSGDEVQFPQSVTETPSTPSNSLNPEYPNQPRHHKPAHKHEGVPPPVSPLENHSDYIKLVLIAVLPTAAFSFIAALLILYCCGCNKNKVSGSEQRDDHPLLHMQLANVPGSSPDARCPASPLHKDDHRVRPSKAGVSMGQCFSCCFKGSSGTTPASQVMGGTLENNATSDAPKPTPPPPPPPPLPPPIKKAPPPPPGPPKGSKARLDQLSSVESSRSEGSSAGDQTSESSEAEVNAPRAKLRPFFWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYNAGSKNNLKDKELPSTDPASQNISILNVKKSCNLAVVFKAMNVRVQEIHDALIEGNELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFRRINALLFMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGTSSSPSTSDDNFNLSLEEDGDYHSHRGLKIVSGLSTEMDNVKRVAAIDAEALSASVVNLRHELLKSKDILSEISTIEEKSGFRRTLECFLEYAENETNFLMNEEKRLRSLVKKTIRYFHGNDSKDDDFRLFVIVRDFLVMLDKACKEVGASQKKGTNKSRSNDSGNPTSQSILQGRQFPAVIDDHSDSSDSNG >Dexi2A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:2A:32527903:32536442:-1 gene:Dexi2A01G0020430 transcript:Dexi2A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLQEAAAGNVAAGPCVDGHRGSLVAVQQRWVSYPSWRAWQTLLARMGPSSLPSTMHTRCRRRAIGVRGRRRDPAAGVQKGGPGGGGRGGRVRAPRGEGSPPRHYSGTCESVHATLQGRHLVLPLDQLLVCFAPTPAFESYLVTNSRHHVLVLDQPLVSPTRSPSSESPSMLPDTAGRLPLRFLARGQLTAQVQAKVASGIHSKDAPTCSNNYDDFTSKARSFVMETLELMEDKAINMSKGVYRYVIRAMSRGGYAKEALHWLSLLGEKESTHATLPFFNIFLNACGSNANLKDVECCLEKMENYLLGKSEITYCELLKIAVLQGNLPAVYDIWKDCTRYYSPNIITQRRFLRALTMLGDLQSAYRILQDMVTIAAQNSDHLGFSSKGRYQSSRLDIPVLALSQSEDIKLLLDCNLQPSQEQLPTDKSFADVQSLENVQLKADVLSAGNSLADKVGLKNGSVRVKRILRWSFNDLLHACVQFNNCQLAEQLFLEMQTLGLRPSEFTYDGFIKTVIAGKGIPYAMKVHYVLAHILDSYGFQIEAMERRGVRPYSDTLAALSVGYSQNLQLDLAEEYLERIPEIQPKHLRAVNALLSGCESMNEPERAVRIVAKMKRMNMKATVRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIEMDMLNNEIQHSFVSTKNLIRALGAEGMIEEMLRYLNIAENVSWNIDHYEKSDLYGTVLHALVKAKETHKAIRVFKIMRSCGLPIDISVYSTMIECCKWLPCFRSASALLSLMLRDGFHLTVVTYTSLLKVVLAKGDFEGALDLLYLCKTEGIEPDIQIFNTVLSHAYTRGQIHVMEYIVELIHQAKIKPDPSTLSYTFCAYEEHELYNTAIEALQVLSMRMISYDVSILSEKKIVFEDLILSEEPDAESRIIRAFEAGEEFLATALLNLRWCAMIGATITWSPEESFWGRRLASSYDANKRPHISPSKVPRPWVREPYFRVLG >Dexi5A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:5A:2118245:2119012:1 gene:Dexi5A01G0002940 transcript:Dexi5A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGFGKRLMSVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRNLVAAAAAHHHPHSSAAHHAMFAARRHAAAGATAASSSSSSSSAAAAPTDDLPFVPNPQDVEFSCTTTPSYGDFVVSPAAARSLFPSFGRIRGRGAAAAKRGCDGLDFAQVARALEMMSAAEDAAGAGGEMPSAAAPSPMLALSLGRSPAGVRQLRVTDSPFPLEPEGVVDDRANSNFDAFINKFYENLRLQAANATPDNCVRRRA >Dexi6A01G0014780.1:cds pep primary_assembly:Fonio_CM05836:6A:22173524:22175612:1 gene:Dexi6A01G0014780 transcript:Dexi6A01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAICTAALLLLAFVCPSAGLSLDTVREFLTREEDTIVFSLIERARYPINRPAYDPVHLGDGRRLNASFAELFIRESEAVQSKAGRYQSLLEIPFFAYRVPFTLAPPYNFTRELYPAAAFVNVNDAIWSMYFNELLPLLAKTGDDGNYAATVDSDLACLQVLSRRINYGRYVAEVKFRGDQQTYTSLIQAKDRDALMKLLTSEAQEDVVKRRVEKKAIVFGQSITSDGPIETSVNNSSGTDFKVDPSVVYKLYDQWVIPLTKQVEVEYLLHRLD >Dexi2B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:2B:29459561:29461115:1 gene:Dexi2B01G0019310 transcript:Dexi2B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPGQPLDRSAELSARVVLIDNLLHHVHVQANRCARARWSRGPPHRPPLQVAKLLSKCVWPGEMRCAGVLLAVLLSLSALAASTAEAHKERLVGDNVVLLTGRKWLRGRKIMAALGHGGGGAAKKDEVVEGKEAKSTGANTVHVHGEEEKTVEVTVVGLGGEGAELASQEADPPADAVHDSGRRSKGSAAHTMLAEPRQGVTAAVAPEILGMDYSNYNLGAHHHRPINNDAPLDDLAKKP >Dexi4B01G0021220.1:cds pep primary_assembly:Fonio_CM05836:4B:23237217:23237946:-1 gene:Dexi4B01G0021220 transcript:Dexi4B01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSTFKPNPLSLSVPDPALDRWLRDSGYLDLLDSTATAPSSSASAPSSSSTAAAAAAAASPTSSASSGVAADVLAFARTLSSLLALNPFARLSAADLAAPTPSWSLAFVGPPGASSYSWPPTPTQARLRVQENIRRYARNYAALAILVFACCLYRMPMALLGMLASLAVWEGVRYCRDHWALTTRAPGIAQALLHCAQIGE >Dexi3B01G0029690.1:cds pep primary_assembly:Fonio_CM05836:3B:28968880:28969523:1 gene:Dexi3B01G0029690 transcript:Dexi3B01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKARRRHVPAFGEWNYYSSSSSPEEPQPPSYYTTSTAAADVGGYSWWYAPAEAEARSDAWFRYSPPPRRPPPPKKARRVPAGQKLCCDDEDNGGGVTAMEARAARASNAAVAARATPGKGARRVVRPVDADLYRVPPPAEVTVSRRPRRKRAAKSLWMGCLGGFNCVA >Dexi5B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:5B:14489955:14491094:1 gene:Dexi5B01G0016390 transcript:Dexi5B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKVDLRGVEPGLPGWQEARAAVTASLLAHGCIVITYDALGQEGRQALFGRALPELFTLPLETKQRNVSTMGKFRGYLGNKDAEVDNESVSIDEPTDKGSIHGFANLFWPEGNPEFCDITLPFAKNLLKLEQTVHRMAMEGLGVQEENIGSHLCSLTHTLRFWHYGAPKDTVNGLSLKMHRDFNMSTLIVQHEVEGLEVQAKDGSWLSIDPEPNTFTFLAGELFTVVTNGRVPSCVHRVRMLSNRERFSMVFGCWSTVGGVVSVMDELVDVEHPLMYNPCRADEYVEFLYWDGLKHDDPLKAFCGVVDKGSSSSME >Dexi2B01G0027310.1:cds pep primary_assembly:Fonio_CM05836:2B:36296956:36299241:1 gene:Dexi2B01G0027310 transcript:Dexi2B01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding THPSACSTTDARLHTMVSPEAGEASPPSTPTTTASPCPTPRPLATTAPPTMSPALLRAARSGDERRLVKALLADPAAPDLESATTAGGNTLLHVAAAGGQADLASLLLRRAPRLLAARNAALDTPLHLAARAGAHKVVALLVASAPSSSPASSLRSLTRATNRRGETALHDAVRGGHEAAARALAAADPGLVELCGGAGQSPFYMAAAAGSLGMVRLLLKTYRSAEEEDVPVLGSTTGPGGRTVMHAAVLMSNEMTQELLRWNPSLVKEADESGSTPLHYIASVGNISAMKLLLRHDTSLAYSSDSSGLFPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHVAIEHKKWKVVWRFSGTAELVRMANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNEGLTALDLAVLGTDKGISYTLVSFI >Dexi4B01G0014670.1:cds pep primary_assembly:Fonio_CM05836:4B:15818527:15819042:1 gene:Dexi4B01G0014670 transcript:Dexi4B01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFPPFPPFPLPPPYPTWYPPPPPPSSNNSVIAGLTIAFGIFVFLVSCLCTMSRQNRATEAATHPAVAIVPPEPWDSDERRVRPAVADLPSFTYSQSVKHNVTGAGEEAATCSVCLGVFQNGEMVRLLPVCLHLYHVECIDLWLDAHSTCPICRSGTDPTTDSRLHPPV >Dexi8A01G0017920.1:cds pep primary_assembly:Fonio_CM05836:8A:30046132:30046744:-1 gene:Dexi8A01G0017920 transcript:Dexi8A01G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNGSGDCTVWVDYHAAEHQLYLYVDGSGKQRPTKDYRRWKKGQDKVAKLMKELPGGPPKLSFRT >Dexi9B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:9B:5626294:5642213:-1 gene:Dexi9B01G0009100 transcript:Dexi9B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPSYAHHQLQQHLSSLLSAAAGDPPHPSDDATRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSLEAPGGLPDGFVAWALPLLGDPSSAALVSEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWVFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAVSHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCASVIASKIGWSERMEEASRCLVLLAEILQERFAEFYILFVDVWAQSLEVASSAQLVAALKTNLQVLSMQNLGLRASSVGALLDFSSILSKLRLHPNNTVVANSAATYLFCLQHGLDDVVDQAIASLMKELELIKSLLEEGQSEEALTSMKSDGIQFIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDNGDFNSAWGYLDLTPKSSSELTLDPKVALERSELMLLRGMLQSNSKLDGIKEELDKAKLMLDEALSVAPLNGFPEAAACAGQLHCILAFEEASGLACQNGPNQSQSIMDSLLKSSDEMDQEILGLNIKYEGALLKHEKGNNEEALIDMWSLAIHLIEAAAGAPGFEAREGEEPPAVLALELTAELQPSYHHDALKGKTRSYTMRAMLYLLHIMLNYGAELKETLESGVSSVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPYSIVYPTLVDINACEGEPSEELQHISNFLVKLFPNLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEYNAKLKSAITTLKTPPGSPAALGDIWQPFDSIAASLATHQRKSCVLLSEIAPQLAVLSTSDIPMPGFEKQILDSSESFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSLLYSSSDTRSRNITLRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAEAQLSSVNTGSIHNPIPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVKSNGDVVHIDYNICFDKGRRLKIPEIVPFRLTQTIESALGLTGVEVFVWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDLFLTNLPATVSALKKFLDTLDHYEIASAMFYHAEKERSSVLQNEMSAKADDSGLQADVGMSLQFFEQYIEKCSLISGVVDEVHEVIGKTLAETSAAYVKPHPRHWASTFQAALHSEVMEVVDSISEIRGYVDKALEKLVEVELEKTSLTELEQSYSVKVGRITQQQIALEEAAARGREHLSWEEAEELASQEEICAEQEWKLGQLNLETAGKGLFSLNNQVSVVSVKAKSALGSTQIGTSDNLEKIGALMDDKAEGEGPGATDQETRDSNGKNPFALSILKQVEHKLHGRDIDGTRSLNIPEQVDYLLKQATSIDNLCNMYEGWTPWI >Dexi7B01G0021350.1:cds pep primary_assembly:Fonio_CM05836:7B:26427516:26431195:1 gene:Dexi7B01G0021350 transcript:Dexi7B01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSLALPRAAVPCPARSRAGLRAGFLGFAPPVALPAQQLRCCASSVDDGVVSAEASKPRLPRVVGMGSKLVGCGSAIPTLSISNDNLSKIVETSDEWIAARTGIRNRRVLSGDETLRGLSVQAAQNALEMAQVKAEDVDIVILCTSTPDDLFGGACQQVLTEVGCINAFGFDITAACSGFIVGLLTATHFIKGGGAQNVLVVGADALSKYVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFSVQSDGNGQKHLNAVTSNDESILSNTNGVAGFPPKKATYSCIQMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRSGKVKSGDIIAASGFGAGLTWGSAIVKWG >Dexi2B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:2B:14739269:14741265:-1 gene:Dexi2B01G0012240 transcript:Dexi2B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLLSADAALAARFRPSTPARGPSRVVPGQRSASCRPVRARASAAASPAAGGVAGQSHGVYTVGDFMTRREDLHVVKPTTSVDEALEMLVQHRISGFPVIDDNWNLVGVVSDYDLLALDSMSGNGLTDTNTSMFPDVDSTWKTFREIQRLLSKTNGKVIADVMTSSPLAVGIITRGNVVSAALKIKKKSEEGA >Dexi7B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:7B:11688867:11689067:1 gene:Dexi7B01G0004710 transcript:Dexi7B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTSSSCIIQGKKKHHAAHLHRCPWSRRAPEVPIINNAFTFFVLLRHNPHRALARLAKTYGPIFL >Dexi2B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:2B:14509393:14519398:1 gene:Dexi2B01G0012190 transcript:Dexi2B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLILMSTSHILHDQMNNPGSIQYGSYCCKSYSAICRTRGRFGVLFLAFFLALQIMRAQRGKQSESFWPSIVMKKWLNIRPKLNDFSEDESDTAGSEDNDGSDFGDDSFFDIHGDKYLISKPSAILSLGEKSIPPVRRLQRRKSESLRVDYISNKDIIVVAIRVMIGTWNAAGRVPSDDLDLDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDSRPIRKWEALIRQTLNSWLDGSSSLDWPEYPLDTPAKQEKLDVLNSLDRISDLTSEEDTPSVGTVEELWVSRKLKRHVNNLEVSPVGIGLLGYMGNKGSISISMSIFQTRLCFVCSHLASGHKSGDQQKRNADLYEILQRTRFSSLCAAGQPQKIPSHEYVYTTFAPMIFLENQP >DexiUA01G0000220.1:cds pep primary_assembly:Fonio_CM05836:UA:1619227:1623850:1 gene:DexiUA01G0000220 transcript:DexiUA01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKPQGLQLLDFWVSPFGQRCRIALAEKNLPYEYLEQDLRNKSELLLKSNPIHKKIPVLLHDGRPICESLIILQYIDEAFPSGTRLLPAGDPYARAQARFWADYIDKKVYECGTRLWKLKGEPQQQARAEMVEILRTLEGALGDGKFFGGEAFGFVDVALVPFTAWFLTYERYGEFSVEKDFLT >Dexi1A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:1A:26942412:26944219:1 gene:Dexi1A01G0019980 transcript:Dexi1A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQRNETITPFFDGYVDIKTTLKQFLGKYEMALQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNMFKKFQDEIEAIMYCHVSLIVVDGPVSTFNVKECIFLEDVLSDKYRLALRLVREMEKFLLNSNTHDDTQPRIKSRVPKVNKPNTVTGQNVVDAATDNGNGGPKGPEAHPVMQASQIQKGGAERGVIPAGYIGVPANVQQFVPNQAAIQPSIVYMVPTNVDPHAFGNGVLMPVMYQQMFQVPQKPNGTVQDTSANGKKKRPRGQKLTETSQLSSGTPGPSSG >Dexi3A01G0032140.1:cds pep primary_assembly:Fonio_CM05836:3A:36844543:36849473:1 gene:Dexi3A01G0032140 transcript:Dexi3A01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRRRRESGDTAGGASEQEGSTPALIRRRPFDMVDPWPFTISTSGLEFEPLWKGEAAKVLASNLYHIPGARIVKKQSLTPTYKLSFVNNLSDQIFTKREIRAADGAPIKITITGGDSNWQFSSSARLHSARAKIVVLDGDFNADNHEVWTSEEFCNHIVRPRDKIGVVLAGDLELKLKNGEAYLENATFVDNSRFTRSGKFRLGVMLIDNLGERVQEGISEPFSVKDRRGEEFQKREIPRLDDEVWRLQKIAKNGVYHVELKRNGIHTVEHFLKLYYKDEKALRNILRNAPQLVWTTIVDHAKKCDPGRALYSHFLKDKDIRLYFSSVGQIVGATIANQYHAFNDGYLAQNKDESYDETLGSLALDQGAVTMDQGNSSLFQWGEEQQAASQCASPISQSTQSEPPHISKENFFRDIEFDMQDFWNDGDQNQLWQNILGDDIAQFFSAPNVSMLPGLLT >Dexi4B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:4B:17587997:17589446:-1 gene:Dexi4B01G0015740 transcript:Dexi4B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLPACCRFPLLIVLLLFLLLAGGACSQPTAGAGDRDTLLAVKKEWGNPPQLNSWDPAAAPDHCSWTGVTCAAGSGGPVTGLALSDQNLTGSVPASVCALRSLARLDLSYNNLTGAFPSAALYACAELRYLDLSYNQFSGPLPRDIDRISPETMEHLNLSSNGFSSELPPTVARLPALQSLLLDNNAFTGVYPATEISNLAGLKVLTLAKNTFAPAPIPTELAKLTNLTYLWMDEMSLTGQIPEELASLTELTLFSLAYNKLTGSIPAWVWHREKLRYLYLYDNGFSGDLTRNVTALSLIELDVSMNQLTGEIPEDFGNLKNVAYLFLYRNQLSGTIPASIGLLTRLRDIRQFNNRLSGELPTELGKHSPLNSFEVSNNNLSGPLRETLCANGKLLGIVVFNNNFSGDFPTKIWSSCPKLTWHSRRSMRKTTGCAANCHLT >Dexi3A01G0005920.1:cds pep primary_assembly:Fonio_CM05836:3A:3828958:3833803:1 gene:Dexi3A01G0005920 transcript:Dexi3A01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHLPPPAMEEDGATPPAPAAPESVPPPPEPKPSLLRQRPPVRVTSEFDSERRLFSHRFSCRVLDGFAKLRLRVSHGAGGGGIAWGPPDVSLLAKNFSVIVDPASRSAVLRGSTDLAGSLRLRASHNTKEQQGEVSVAANLGDSPCKIELSSLVPPSGLPRATFFFPKGEVSIKEKILDEGERILSVNGLVKSHVLNGVCTAVYNDNALNIKYRYKDDEISFIPSISLPSNSLSFAFKRQLTPGDKLSYWYNFDTNYWGAVYKHKENKHLKWKAGYESDNRLGWASLWVGDAGGSTKEVPLKAKVRFTLKVPQDNVQNSVVVFHVKKRWDF >Dexi7A01G0009190.1:cds pep primary_assembly:Fonio_CM05836:7A:20265470:20267175:-1 gene:Dexi7A01G0009190 transcript:Dexi7A01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGYEALLGCLSAGVIVGIIAVFCHIRRRASKLKPTRKDTEVTLASLEYEETICRPMSIKDIYTATENLSPSNVIGQGIAGKVYRGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWVQRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLSDFGLSRVMDLGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAMPMSLDKMASTLIQDGNVLEFADPRLNGEYSTEAFDLSLKLALSCTGHKKQRPSMEQVVSRLEKALEISMRDDAKHNSISIIESLA >Dexi7B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:7B:5214113:5214719:-1 gene:Dexi7B01G0002680 transcript:Dexi7B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSPMLPGWTPAASPRRRLNGVGGSTTIEHESGRGVFLSWQDVSVTALDEKGRPKVILDRITGCARPGQVLALMGPSGSGKTTLLDTLSGRLGVDMNGTSDILINGRRERLSYGTSAYITQENTLMPTLTVRDVSNMEL >Dexi4A01G0001150.1:cds pep primary_assembly:Fonio_CM05836:4A:755702:756951:-1 gene:Dexi4A01G0001150 transcript:Dexi4A01G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMSRPALACCKLYISEARNGAALRAIELAAAALRPAAVLVNAFADDAYNRIGYTLVSPLAGGGGDSAPPPLHRAAFGVVAAALDAVDFRAHAGAHPRLGVVDHIAFHPLAGAHLDDVAALTRAVAADIGDKLQVPTYLYGAAHREGRTLASIRRQLGYFTPNSPGEQWQGSPDTSSLPVAPDAGPTTPSRSKGVVAIGATAWVDNYNVPVHTSDVAAAKRIARAVSERGGGLSSVQAMGLAHGNGVTEVACNLLDPARVGAEQVQERVRQLAAEEGLAVGKGYFTDFSWERIVELYMQSVGAEASG >Dexi1A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:1A:4074693:4076828:1 gene:Dexi1A01G0005560 transcript:Dexi1A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSRLLWASRAAAYLRISTFPRAFSTAYSTRFALLNSVPSFGFQDHLGDVVYVELPEVGISVSQGKNFGAVESVKATSDINSPVSGEVIEVNEKLSEEPGLVNASPYDKGWIIKVKLSDSGELSSLMDEEKYSKFCEEEDNH >Dexi9A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:9A:6619742:6620267:-1 gene:Dexi9A01G0010800 transcript:Dexi9A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGRGKAKPATKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVMPNIHQTLLPKKAGGHKGEIGSASQEF >Dexi9A01G0025710.1:cds pep primary_assembly:Fonio_CM05836:9A:26387178:26388481:-1 gene:Dexi9A01G0025710 transcript:Dexi9A01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGREEKRREEVAAAGNGREEWRQRQQEREWGLTCDMETFVKHCSVQVQPNSIPLNHVQLCKLSLTHVGFAERLMWVQVLDLSHNSLRSIEGLEALQQLVSLNISNNHISSFTALEPLTKIMFLKVLDLSSNEIGAHSMDTTRYICSSPFSHKIEAHEAFEECQKKNINVEEYWDAILFFKPLKLGQLDIKGNAVASKENFRTLVMTLIPSLKWLNGECVN >Dexi6A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:6A:16285964:16294917:1 gene:Dexi6A01G0011450 transcript:Dexi6A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAFRPCPAGPLVSRNPGRPFFPSRPLHVSSAVAAPAAAAATTTTCSAVGTRGLGLGLLPLAPDWEGKGKARQRQVACGAAGKAVAGKAEEESGGFAKTLQLGVFFGLWYLFNIYFNIYNKQVLKVFPYPINITEIQFAVGAVVALFMWVTGILKRPKISGAQLVAILPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAIFLGELPTVWVGLSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKVMVKKEGQEPLDNINLFSIITVMSFLLLAPVTFFTEGVKLTPTYLQSAGLDATQVLTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKAA >Dexi6A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:6A:26471287:26471835:-1 gene:Dexi6A01G0018830 transcript:Dexi6A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDGNTLDSCFTQFKIDFAETKSFAIVKAITRDVSVGGHRWKIHCYPRGSRAEDKGEYVTVSLSSPAADPMASSTYGIFEAFVTKPADNPSSSRAQRTAQQIYLPPSSSEDLKPTGLYYKLIKRSDLESLYVFDGQATITCGVIVLRDGDDPLHVPPSDIGAHLGVVSWNRSSQVQSNQRT >Dexi8B01G0006670.1:cds pep primary_assembly:Fonio_CM05836:8B:7823394:7823897:1 gene:Dexi8B01G0006670 transcript:Dexi8B01G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHLPLLASVLFLAAVMAFPSMASAKLSPTPSPPTAASPMTTPPMANSTTPTAYEMLEQYNFTRGILPQGVTGYVLHPDGSFEVYLPGECNIHASNMQIKYSSRIAGNIHAQSISSLEGVQVEMMLIWFGITEVTRTGDQFKFSAGLISKSFPIDSFANSPKCNS >Dexi1B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:1B:22247458:22248732:1 gene:Dexi1B01G0015680 transcript:Dexi1B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGELTSAATPQPRTSPRSAAAAAEEEAAAAPPSLADVPDNIPVRISAFLPCLADRAHMACVNRLWSRAVRGVGRPPPPTLPPLPPPPPQLPWLIFPNTEAPTFYSPITRRHHRLFNLPPDVRRARLCGSGDGGWLVLALDSRHGYALYNLGSGERFPLPPGYMTARNAPFPLVVRAATLSAAPSRGTDYMVAAIVNAGSGGTNAAFWCEGNGTWFSPPGMRAFRPQDVIFYQGSFFFLGADERVVAFGQMPGPDGTVAFGRGDYDMEQREGYAEDVGYGGRMRRYLVESRGGLLMVIRYIHDGTTLTIRVFELVPTEEELVDGALPRVTWEHIGTVLEGRMLFLGRGCSRCFEVADYNGFQESMIYFLDDGMVAVPSADDRTLYSFTDMGRYDMDEIEAAPWPEGLYQRTSDNAPPTWWLH >Dexi5A01G0014010.1:cds pep primary_assembly:Fonio_CM05836:5A:10823896:10824237:1 gene:Dexi5A01G0014010 transcript:Dexi5A01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFASHARRLLIAGAGAPARSFHAEPYQAKVGVVEFLNGVGKGVETHAAKLEEAVGGDLQRLLETRTLRLKKLGIPCKHVSR >Dexi2B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:2B:7713782:7717834:-1 gene:Dexi2B01G0007400 transcript:Dexi2B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDDLIKKSKSRPKSNPASSSGPARRAPPAARAAPYPPAAPKVQRAGADSPYGVYSGHIAAMSGVVVPPPPPPTATARALETGTKLHISNLDPGVTVEDVQELFSEVGELKRYSMNYDKDGTSKGTAEVVFARKVDALDAIKRYNGVLLDGKPMNIELIGSNAEPPLMPLPPIIHNRPLQNYNDIHSSMPQNQRGGQQRAPQGNGRGGGSSQSSGGRGGQGKGRGQDRSRMPISAADLDAELDNYHASAVKEK >Dexi3B01G0036760.1:cds pep primary_assembly:Fonio_CM05836:3B:39627873:39628434:1 gene:Dexi3B01G0036760 transcript:Dexi3B01G0036760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSKSCECSGDHDLSIPVLGTHAWIRSFNFSIVDDWRAWHLDGQAAGFTVKYANNLTFATVKGGRHAAPGNRPRECFAMAKRWLDNKPL >Dexi8A01G0015360.1:cds pep primary_assembly:Fonio_CM05836:8A:26443653:26445130:1 gene:Dexi8A01G0015360 transcript:Dexi8A01G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGTTTTADTTVPQPPPSQGKLITVLSIDGGGIRGLIPATIIACLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDANNRPLFAAKDLNTFYLENGPKIFPQKNAGWLTPVMNLIGTITGPRYDGVFLHDKIKSLTHDVKIADTVTNVVVPAFDVKYLQPVIFSTYEAKSDPLKNAHLSDICISTSAAPTYFPAHFFTTTDGVKGGLSREYHLVDGGVAANNPTMIAMSMLTKEVLRRNPDFNPGKPTEYRNYLIISIGTGSAKLAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFEALHCQKNYLRIQDDSLTGHASSVDIATKENMTALIGIGKELLKKPVSRVNIDTGMYEAVAGEGTNEDALARFAKMLSDERKLRCGRGISTPTNN >Dexi2B01G0035870.1:cds pep primary_assembly:Fonio_CM05836:2B:42813299:42816312:1 gene:Dexi2B01G0035870 transcript:Dexi2B01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDGCIFLRMHRRRGSPKVVQDSMMSIRKLIATPQVVSLNQKLFYFSVHLAQSLLSYAVLPAQKVKPSKAVAESTRDTRTSFVVKMEACINKRMEQMERTIARAKSCTVDCKNVDRKLRQILVMTEDEAHFHMKQSAFLYNLGAQTLQKSHHCFSMRLTLEYFKSSSLDSDDSPPGKFNSPKYRHYITLSKNVLAASVVINSTVSSCKDPGNLAFHVLTDAQNFYAMKHWFARNSYRNAFIHVINYEAIVLEKLPKYNIRQLYLPEEFRVLVRSIKQPTENTRMEYLSLFSHSHFLIPEIFHYLKKVVLLDDDVVVQRDLSFLWDIDMGGKFKFKDEQTLRAAAFPLSLLSFQNLIYPLDENLTLAGLGYDYRIDEEVARRSASLHYNGNMKPWLELGIPNYKKYWKRFLVRGDRFMDECNVNP >Dexi9A01G0037290.1:cds pep primary_assembly:Fonio_CM05836:9A:41556758:41557820:-1 gene:Dexi9A01G0037290 transcript:Dexi9A01G0037290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPSSHQSQINSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFPRYYYRCTYKDNMNCPATKQVQQKDRSDPPLYAVTYYNEHSCNSAFLPLSPSEFQLQTSSGKAVSICFDSSTGTAAQEPPSAAAAAATATNASGGSPSSSAAPRRGTPPEISHAPVLRRSETYPWGAGAVEQKQASCSTECHDAFSASAGAVPEEVVDAGRFGPIRFFHFL >DexiUA01G0023670.1:cds pep primary_assembly:Fonio_CM05836:UA:48389443:48389731:1 gene:DexiUA01G0023670 transcript:DexiUA01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERSAAAAGSAFRRHLPPPPSAVDDDAVVVFLVFGEATTALGGGLVTMERNLRSGMALKRSSRRRATTS >Dexi6B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:6B:8465565:8466647:1 gene:Dexi6B01G0007280 transcript:Dexi6B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIMDLRGSTTFEFVGVLAYGDDGAPLHYIPLHYGGSTVFAAGGYYWCAWVYPTNTVRTRTASDNHFSVSLKLCSSDVRVTAAHEVSVLDPSANLPPMLLSTWPPLCFASDTGDDTDHRSRGGMDLDQFVAYVHHGRILFQSTVTVVSPEDPAKIDLPPSDMLGQLGDILETAENADVTFSVDSELFPAHKIILAARSRVFKAELYGEMKENGAAQAIVVDDMRPDTFRALLRYIYTDDAPPDIIGSNDSRQEVEEGGEDGEDENKVWELLVAADRYGVERLKLICERVLCKRLDVDKVAETLALADRHHCDTLKDACIEFMTTSHRMGQVAVTPGYMQLKSSNPCLLFEVLEKSGKFH >Dexi2A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:2A:2168683:2171642:1 gene:Dexi2A01G0002670 transcript:Dexi2A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDDGFSAARLFSQGVSYTYDDVIFHPGYIDFPADAVDVSTRLSRRVPLAVPCVASPMDTVSEASMAVAMASLGGAAVVHGNTDPATQASILRAAKSRRLPFVSATPFLSPSSVFPSAADFSGGCAYALVTENGDSHSLLVGVAAAAHHKPGVPVSEYMTPAPRTTSAAFDFEQAAAFLADEGLDFAPLVSDAGEAVDLITAQDIERIRSYPKLGKPSVGDDGRFVVAAAIGTREEDKRRLELLVKEGANAIVIDSSQGNSVYQLDMIKYAKRIFPEVDLICGNVVTIAQAQNLIGAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHDLPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSLEAPGVYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDMLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRSESLRLEVRTGAAQVEGGIHGLVSYEKKAF >Dexi4A01G0003730.1:cds pep primary_assembly:Fonio_CM05836:4A:2659020:2664400:1 gene:Dexi4A01G0003730 transcript:Dexi4A01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCAACDEEVHAANKLAGKHQRVPLLSDADAAATAAATPAVPKCDICQEASGYFFCLEDRALLCRDCDVAIHTANSFVSAHQRFLLTGVQVGLDPADPVPPIADKHVNGTGGSVYQPAKHVPRRSPTVQISGEGSVSVPSKNVLNGDYSRQNSVPTDRSGMVDWTMHNSAIQLVESPPKYMSEESPTLMQSSQTTAAFSNQINSDCDRAYNLPFSGGNGSNSLPDWPVDEFFNSSEYGPSFGFPEHCSSKSDNAKLGSAGGSPQCRLAEGFVADELLSQVPGLVNDEYMSRVPENSWAVPEVPSPPTASGLNWHGNLHFPAYGSTMFVPEISSLQSSQDQFAVPSVFKRRRTQY >Dexi9A01G0028590.1:cds pep primary_assembly:Fonio_CM05836:9A:33330461:33331782:1 gene:Dexi9A01G0028590 transcript:Dexi9A01G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYHHHHRESPFLARGGGAPESGRIVAAMELHQAQKEAIAPQQHPPLFLDFSHGDCAAGRKRQREPDAAPSMSPQLLSLQSQPNAQVPKVISLAQLQKRPAMGLRLDFDEGSEHVSTTSSASASCLLSDELAAQRDHHRNEMDRLIQEHAERLRRALGDARRRQYRSLLVAAEASAAQRIREKEAEASEAARRGADLEDRVARLRAEAAAWQAKALADQSTSAALHAQLQQQAAAAQQAARGKAEEEDNAAADDAGSCFVDTDRVDEIAPPPPPPTRPCRTCRRASASVVMLPCRHLCVCADCEPVVPAATGPFAAGAAACPMCRGAVTGTVQVFFS >Dexi4B01G0008630.1:cds pep primary_assembly:Fonio_CM05836:4B:6189272:6190123:1 gene:Dexi4B01G0008630 transcript:Dexi4B01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWKIPPSVSDWKNAKGYCVPLDKRVASSDARRMRQDDAHVSDGFASLSEALYVAEQKAREAMMIRDKVRREICMKEKEQREHRLREIANEARAAFAAAAPAAQPVDAEGARIREELRRERRREASREKRSRVTRERDRDVSERIALGMARTGVAGAGEVTYDERLFNQGTGMGSGFAADDVYNVYCGRLFAAQPPALSELYRPNKNADSDAYGADADEQLEKISKTDRFKPDRGFSGAAGLTDGKRERPVEFDASEESVEADDPFVELDRFMSRVKEGKKD >Dexi3A01G0028240.1:cds pep primary_assembly:Fonio_CM05836:3A:28772346:28775925:1 gene:Dexi3A01G0028240 transcript:Dexi3A01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANMGTKARPPPADAEKGEIGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLSNAETTRVQALSELEKAKKTVEELTTKLDTINKSKELAIQATEDAKTRTKQLEGGSSNEGLGTDGPLKQELESAREQYTVALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHTTEANKEKANQLRNEIAETQESLMHVKAATQQAHEEESQILAEKDVARTTYKQALEETQKKLSSLRNDFDPAAYESLKEKLDQTNSEIASMQKKIEDARARDLESVAVVSTELDDAKEMLQKVAEEESSLRGLVESLKAELEAVKQEHNQLKEKDVETESIVGDLHVKLQKCKSELNAAVTAESKATSASDDLMLALQQLSSESKNALQEAEMMQKSAAELRDEAEKARVELAEAEQKLQLALKEAEEAKAAEAKALDQIKELSDRASAARASTSESGANITISKEEFDSLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKKAVEGELKRWREKEKKTAEAQPSTGESPGASPPVPQASAGKASEKNEGHQRNTRTLLRKSFMLPNITSMFHKKKGQSGSSPSYLPGEKSV >Dexi1A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:1A:22581097:22581995:1 gene:Dexi1A01G0015480 transcript:Dexi1A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACREGLALANDLLLRKVRLAGDCTNVIRSCGGAAMGPYGHIIQENKRKRRVSRTSKANYDAHNLARSSVFDPVGRHVWFLNPPAGKRLNEPPDLLHVPDLWCGPRNQSYHRAGCSPSLTQNCRDRSIISSSHHNSLSMSRPEEERNKEKGGPVRVHLLPAAASNDLPLPSRTCRNHLHMIMMETQRWLGWAAGDEEHSLHFHTELQYNVAAPFWSFGRCRTGFGFYSPGWITDLEL >Dexi3B01G0012190.1:cds pep primary_assembly:Fonio_CM05836:3B:8520769:8525199:1 gene:Dexi3B01G0012190 transcript:Dexi3B01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVVAIAGDGDDSARRPLIAPTEEIHPYAESPSPQRPLLDAAAAQPEQQRKTQRVASLDVFRGFTVAMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFIIGVSAALVFKRIAIGYFLAAISEIWLVNNNLVDSPVSFVKKYFMEWIMAILLTVLYVALLFGLYVSNWEFHVEPSNSTLSIPSNLVEMKTECSLNSPDYGPLPPNAPDWCLAPFDPEGLLSTLTAAVTCFVGLHFGHVLIHCKVDVIHIKKPFILFQWMGMNALIVYILAACELFPTLIQGFYWRSPENNLVDITESVLQAVFHSKRWGTLGFVLLEIVFWCLAAGFLHMKGVYLKL >Dexi5B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:5B:1614859:1616214:1 gene:Dexi5B01G0002510 transcript:Dexi5B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNTILMNRYELGRMLGQGTFAKVYHARNLASNQRLAIKVIDKEKVLRVGMIDQIKREISVMRLVRHPNIVHLHEVMASKSKIYFAMEYVKGGELFARVVRGRLKEDAARKYFHQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSALNECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFHDTNLMEMYRKISRCDVKYPHWFSSDLRRFMSKLLDPNPNTRITTERLVEHPWFKKGYKPAVMLAQSHGSNSLKDVQVAFSTDHKDNEANKVEQPDSSLKPTSLNAFDIISHSKGFDLSGLFGNDQEQKANSRFMTQKPASAIVSKLEQIAETERFMVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPAFYVVEVKKSAGDTLEYEKFCNKDLRPSLRDICWSSQSEEKFPSLAESSH >Dexi7A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:7A:17634839:17641216:-1 gene:Dexi7A01G0006240 transcript:Dexi7A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREEDEELQMALRMSLQGSPPAQPEPKRSKPPPPVAESPEAEARRKQRELMAAAAEKRLRATALPAAVSVARSSPPPSPPQPAVVEPPPAPEVSKEEKVEPEPEPEPTGVSMEEDKEGEEEEEGEELPPDVAEKLWAMVFGGGVSKAVLAQWTNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDDLSNPEISSPLYTLGQRRFYQSSFSAGDDFSSLTDDRKTRALVHAMVEILFLCGTGKRAVVASIACINRGKTDAVLEGLSVESAMDLQKVLRTTMLFLISALLSRGLEYIQEDRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNNVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESRIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIFHFNGIAKSVLNGNAGAGGSVPIQRPRLCKLNVTVPPRWTQDEYLADVVNASTSGSKDDSILSLAPPVQTSQHAPLVDCIRTRWPRAVCSWAGDVPSIV >Dexi8B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:8B:28115346:28117208:-1 gene:Dexi8B01G0016950 transcript:Dexi8B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLEEIFVECLTDPSPQSPPAFLELPTMPDGDGQGLLPPDDMVLSYAARMLMEDTDNKFLYKYYDSAALLQVQQPFAEILSSPSFSANNGNDINMCNTRGGMDLLQGGSGDQNTFSSAFSKGTDVVGAFLKGMEEASRFLPTDNVYKRNMQANQIFGESSTPGRLNKRYNRDEHPEERVGRASKAIMVMGELEEMRDEMMVRGYEICIKDMYNLRIAKTNEVEKNRLGGSKAKKDMVDLCTLLIHCAQAVAVNNHMGAHELLKQIKGHASKTGDAAQRLAYCFAKGLEVRLSGTGNQLCPSLMVEGPSTLEFLKVYNLYMAACYFIKATFIFNAMAIEHSMAGKNKLHIVDYGLQHGLQWAGLLHRMANREGSLPKVKVTAISHLQPRPCPAERVEEIGHQLSKCASKFGVPFKFHAITAKWEEVCIDNLDMDADEVLVVSDLFSLGVLMDESIYFDDPSPRDTVLNNIRRMQPDIFIQSIVNYSYGTSFLTRFREALFYYRALFDMMDATMLRESKLRTVLEQGMLGRSVFNVIACEGMDLLNHPERYKQWQVRNQRAGLRQLPLKPNIVNLLKDKVKKDYHKDFLLSEDGHWLVQGWMGRILYAHSTWVADKGASR >Dexi1A01G0001580.1:cds pep primary_assembly:Fonio_CM05836:1A:1069622:1070310:-1 gene:Dexi1A01G0001580 transcript:Dexi1A01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAPEAPALEDPSPREATLDDETRALVFPDAADLPASPPYAVEANFARFFVAEWNV >Dexi2A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:2A:7228809:7230559:-1 gene:Dexi2A01G0007440 transcript:Dexi2A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGFLGNGKDDKGDIDNARKKFTRFFTETVDIKSLSALWNLFTAASELTDEELLGAARQAMGWHEGVHLGYQATALLQWKSSLWASAPALDSWRQGTNPCTGNWTGVACSVVHHGHRHPLAVTEISLPNTGIDGHLGELNFSALPFLTYVVQ >Dexi6A01G0000370.1:cds pep primary_assembly:Fonio_CM05836:6A:239395:245087:-1 gene:Dexi6A01G0000370 transcript:Dexi6A01G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMDVAEGKPEQCTSEQGSAFEVKDNLFRGCILGVIAPHLINPLLKKKSGKEMWDALDAQYGVSDAGSELYLMEQFLDYRMVEDRPVVEQANELHVLAKDLGCCNKENPCVLPDKFVAGGIISKLPPSWRDFATSLKHRRQEFTIDGLIGSLDVEEKARAKDVRNKGTLVGASANFVQKNINARTNSKGKGKKPPQPQNPGKAKQTTGYKKKKGACYVCGSEDHFAGKCPQRKEGKSANMVISEPAGTSGLPIAGAARTPPARADPARDTGAIRFLGSVSTRLLAQNCYVFTGVRFTVLETPPPSNDYTAPRLLPARLRRTDCTASTDLPASNLYNYFGQGQSRNIMSTDDIPPAGNGATDASGRAAPEAKVGDDYYRRRLQQQEERYVRARDRALLGPYPYILPLHDLDCSLL >DexiUA01G0002080.1:cds pep primary_assembly:Fonio_CM05836:UA:4931753:4933886:1 gene:DexiUA01G0002080 transcript:DexiUA01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRAHLILGRKKETGSAAAAENRDFPQIPIFRPPKHLHVEPHLRAIHDANRASQHLRHAPLMLTSPSNRSVEAAAAAPPLLHRRPPPGLLRPPNRHHSTNGELLVISPHFSDLIPPSFGRRNDVDEPKGTSSSIQGPACEVFKSSEEGALADGTYNLVPVNEEEVPEGGADVIVIDPESDTGVAQEGKPRSIT >Dexi2B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:2B:785342:787978:1 gene:Dexi2B01G0001220 transcript:Dexi2B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKARLARIFLAMVIVPKWILWGCVSLFFFYSPLVSMGVSVWRLARRDYSADVGGSKANKAKLNAALDIFYALALFHSFFVIYWMNLALSSSPDLNLVLKQCGFGKWGPNVIGMYNSETERKLKKDGKLPDKWNLITYGVGLLQSASEDDHLWGARVLDELFDKDVSVREELLPSRLSIQNLISMIGTDKIENSERAARILARLASGLRITQFPGTTQSICYLLERSSCKQYFEPQVTLLSEYPEQRLPNKKDPHGSLEISEHEDDAYTVPTYNSQERRKFLDPYRHPYEHRGAKELISQGLLILERLTQDEENCTEITKHQRLLSKITSPLRSREFLSNEAMVEMVSKSLTVVTRLLTSPGEGATKLRQQLASNKEAVSNLMAILKTYRKGDEQLHQDALEILTELALDDSFKNLDFNKLFKALLKIFLGKDASNAIVESEQLDITTIVELEQVGSNTRAESPAQKNTIAESEQVDSNTIAESEQVDSNTTAESEQVDRERPTRLRGKAGEALARLLTVRIATARRANVADILSKRKAINILKKVQMQPQRLVQATIVQQRLWKKATPQRKLTVDSLTLMEMNRNPTKESSWQPC >Dexi6A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:6A:27199226:27201832:-1 gene:Dexi6A01G0019580 transcript:Dexi6A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRTLLLSRAALSPLPSAVSFASRRLTAILRPLAGAATLLPAAAPLPGAGVRCFATQAATSSLRDTSPNWSNRPPKETILLEGCDFEHWLVVMEPPPGNAANPDIMRDEIINGYIQTLAQVVGSEEEARLKIYSVSTRHYFAFGALVSEELSYKLKELPNVPWVLPDSYLDVKNKDYGGNHVSYLNIDRTETRLLGRVTMPLRLRMARTKCHLVKALRTMLRATCLRQLHHAMQVVANQTTSKVELLGTSRATCLPHLGRPTSKAVRLDTKVDLQGTKVVTKVTKGTRALLTRVVSLATKVVLQ >Dexi2B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:2B:27662841:27663371:1 gene:Dexi2B01G0017240 transcript:Dexi2B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNHKNITANSRAIRSNKNITTINKFICNHNSKSLSSHESITNYIKVMCINNHHKINIINSAMSNHNNNMVNPMTSHHYSINQVNRVVRNNHDINAARRLGNLSHVISTKQYQTWFGP >Dexi4A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:4A:4324921:4327020:1 gene:Dexi4A01G0006050 transcript:Dexi4A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLCAVVPLYVAMILAYGSVRWWGVLTADQCSGINRFVAVFAVPLLSFHCIASTDPYAMNLRFVAADTLQKVLVLAILAAWSYLPFLRRGGVGRAAAALDWSITFFSISTLPNTLIMGIPLLAAMYGRYSGDLLVQVVVVQCIVWYTLLLVLFELRAARALIAAAAPPDTAAASIAGVHVDADVVSLAGSHAEAQAEVGPDGRTHLVVRRSTSVSRRRSAAAVAATPRRSNLTGVEIYSVSSSRGDDDEYAMPRVSCSFGHADIIVSGAGAASTRMSSFGAADLFSLHSSRQHTPRPSNFDDRARSAAAVAPSHDEDEHAFEWSSGGSEVAGLPVFCGAGDHRATAARVGERVAGGGLKTEAVEDALSKLESGGSTEKKEAAAAGGKQAAAPAGLMMRLIVTMVWRRLMRNPNTYASIVGLAWSLISFRFHVTMPIIVKNSISILSDAGLGMAMFSLDLFFNSPPRFPNTQSFSPTLHGMQPKIIACGNSVAAITMAIRFLLGPAVMAATSAAVGLRGTLLCVAIVQAALPQGIVPFVFAKEYNLHAAILCTGVIFGMLIGLPIALVYYIILGLL >Dexi7B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:7B:13643938:13645881:1 gene:Dexi7B01G0006040 transcript:Dexi7B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRNESNVNQSDNKRLFELDIERIVRGEDSRTTLMIKNIPNKYTSKMLLVAIDESHRGTYDFIYLPIDFKNKCNVGYAFINMINPENIVPFYKTFNGKRWEKFNSEKVASLAYARIQGKPALVAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGSNIRSRPGRSRMLSWEQNHQDALLHQSLEVEALTTQTLR >Dexi6B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:6B:13338746:13339688:-1 gene:Dexi6B01G0009210 transcript:Dexi6B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDLANVATMSLYGFEDYHGVDIGVVRAVRLWYAPVAGELALEIKLQPGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELYRRARRASRLLVVSRVGREKVLPWAVSATGDVRCSDTVSLSQLLSLHRHALRPVTLGFLMWEELSVAALLRSAGASRPSAAAVMLPAQTAASDNEASSDEIAFDGDGPEIVLSKDSDDCSFRFQHIGLPDSWL >Dexi9A01G0026300.1:cds pep primary_assembly:Fonio_CM05836:9A:28802994:28834678:1 gene:Dexi9A01G0026300 transcript:Dexi9A01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGVATPTPAGGGGGTSTSTSKTVSASLWWDSFVDLSDDLDRAAAGPSVPDALAKRIKSHHAWLLGSVSMFGKPNEASRSALDAGEVAVGEHRLAVKPELKEAALLVSKCLNLDEVQSYILVKRSSEMSPTVHDADAGDFLHLVSVQYYLERQCLLKCIRRIFVHATHTGDGSDLTDAIQHEASLLVNEDVERKLISVIEDSFSAASSVKADILCGSYDIRKFAVSVEAKNSFHYAKAQLLLILIETLDFENLLRMIHDKVPLSVGCSAFSVGDILEMDVEISKLPEFSMVESGPLILAWAVFLCLVLSLPESNTNLEIDHASYAQRAFEFAPFNYLLGVLCSSIFRESDGPVSGYRGILRTFISAFIASYEISYQAEDSSLDMILSILCEVYDGEESLCMQFWDRNSFVDGPIRSVLHMVEKEYPFRISELIRFLSAVCHGIWPAQCVYSYLERMNGVTTVYAIPCSVAENMSYGHQVESNHPVSIPGIEGIMIPRGTNGYILEVLQEDAALVRWEVEYHLCPTKLSICLHTYNFPHSGVFFLLVTLAQELHSCNYKEASDIMDLLYRMMSSNKFLIILDYSLCTISLVNVLLLCCLFSTPYHVFDEAFECNIFTSQLNGPSSDWILSGALSRMLFAASEVNGDCSSLTTSGIYVNSDLVLYFPVLDFAIQVLRKGAAADDIISPLVIFSVQYIMVNHMNWKHKKYSRWKTTLRHSYRSKYHGLKDIEDVQLVLCNGLDIIYYILSNLPEDFLPNPPFVTMVLSSSLKPFSLITALTSLLSFRNSVWMSCQFEIGMITHLTLMDGGSRLFVKDIQVAAAKALSVLCFIVCKAQPQMTENASFTGDVSEIRRLEASIYCILDEEEKTNDCLVVAVFNLLTSAARYQPAFLTSLIEQSVKSTDHNTSASNQTNGFSGHTSKCNARLVDQILDYVVRSIELMNRYQCQGKIFEIMSYELFLQGKLLPETSNPAPEGTKGQKEHSSAPCRSSVVFKWFDTAILDDLINHFSSNAYKKELLHHAKVAACLCTVHLITKLSTGNTGSLSFSVMKKIQIISTKLLQHHAFAALLSQYSLHGYSQAKEALKRAEELQFLDLAHCPELPMPDILHGLQDQVVSIVTELFEANGSSTLNSETERVCHLLLVTLEMSLYMELCVSQSCGIRPVLGRFEDFCKGIKSMLQAIEKHNSFKPLVRSLTQITTLLYPGLGQNNFLM >Dexi4B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:4B:276408:278367:1 gene:Dexi4B01G0000470 transcript:Dexi4B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPRLPPLPGPRCTAVSARAVNHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSFPTVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLHLLCLSKPRSKLPLAFGSKTLTWVADALRRTPNPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKGGLFSFFSR >Dexi7B01G0013750.1:cds pep primary_assembly:Fonio_CM05836:7B:20211266:20215505:1 gene:Dexi7B01G0013750 transcript:Dexi7B01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEAAEMVASAAAAAASEAKREEEEEEKREGAGILGRIWRALFGGRGEDYEKRLQNLSKEEAAVLARMRRRAQFSRRGVRNLIALSVLGEYNDFLFIPLCTVERKDKNTLERLRAERKAKIDELKDRTNYYLTQELIQKYDLDPAAKAAAASVLASKMGAETGLKVHMGEEAKSDSAQAKSNDGEVAAPVGLRNRKETKAKGSSYVSTTAAHTQQDTSNEAGNGGMEATPPSKVVGHYQGTGTSDGGWIAKIAALLVGEDPSQSYALICGSCHMHNGLARKEDFPHVTYYCPHCRALNLSNQSIGQCSSSQSGQLSPLAIADSKIELSSKIEVQELSEEGNAGKQPVEPAN >Dexi2B01G0000520.1:cds pep primary_assembly:Fonio_CM05836:2B:220404:224531:1 gene:Dexi2B01G0000520 transcript:Dexi2B01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIIVRFGPTKRCRPKKGASASSSPRFPATTRTEIARRRRRRRFSRSRSNSHAYDMPPKELPGFYYDPEKNRYFPIKGPIPGATARRPPPPDPPTPPPADKAGCSRKRARRPELLSAREMYGGGVIFSNKAIRSTFKQEYHYVQASQPLVWKYQATTFVADKGLEQLNATLQTPQGLRESRVLVTGSMNGLIRLYGLGRTLNNFGDEIEFLPQPAWTPMEKHKSAALPSIWSSEAGFTNFPSSITCIKKFGLHAPDASNTTLSVQRALYPKIYFCFYLLLVATLDHTVWTIDCSSDGKHAAFGMDHGAGLLDLETSGLSWLCRSKSDILSQKFVHSGNVVLCGLRNGIIAPVDVRQKHHNHPTARRTVPMLPRKRPGSRRNQADKAKCSRDISMPSAVCSLVTLTSDENYLLGSSMDGSIKLFDLRLIEKGGIQSYEGHVNTHTHLPLAVDPSETLLMSGRMLFRFLLETLPYDARRDARLNYLKLTL >DexiUA01G0022210.1:cds pep primary_assembly:Fonio_CM05836:UA:45366037:45368229:1 gene:DexiUA01G0022210 transcript:DexiUA01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKIKETKVTRLCHEKTILAVNGQFPGSTIYARKGDVVVVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGANFTYTIIFSEEEGTLRWHAHSDFDRATVHRAIVVHPKRGSTYAYPKPHKEIPIILGEWWNVHVEQVLEESKLTGGDGNISDVNTINGQPGDKFPCSKNGMFRLPVEHGKTYLLQVINTGLSSDMFFGVAGHRLTVVGTDGHYLKPFTVESIMISSGQTMNVLLVADRPTDGSGSNSRYYMAARTLVTNKAIRFDNTTATAILEYTDAPPSAGPPDFPDNLPTVDDIAAATAYTAKLRSMATKEHPVDVPIAINVLPCGPNKTCEGPKGNRFSASLNNVSFSAPSVVDVLDAYYYSIHGVYEPDFPNKPPFLFNFTQGLPLELAFTKRSATVKVVEHGTVVEVVFQNTAILGFGSESHPMHLHGVQLLRGGARGWKL >Dexi7B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:7B:1739661:1740683:1 gene:Dexi7B01G0000930 transcript:Dexi7B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEFACGWFVVAVTWNHLLADASGMAQFVLAVGELARGGGVSVSPVVRLWDDVSLPGVPSSAIAAQKATLDFDPLPLARLDVAVPSSLISRVKAAAGEFAAGEPPCTVFEAVAAVLWRCRVRAVMSSSAGDESPAPLTIPCNVRKLAGAPVGYYGNCVVMLVVPATAGDVANSDVGDLVRMIRSAKAKVPGMLSSSSINGDSGGGGDDDGEVVQGKEASAPAVWYQALAVSSWRNMGMEGTDMGGGAPVRVTWQPERTMVPACVVCPPRRDGREDGSVDVSSMFVRDEHVDAFKDELARLIADDGSEE >Dexi5A01G0024000.1:cds pep primary_assembly:Fonio_CM05836:5A:27895677:27898038:-1 gene:Dexi5A01G0024000 transcript:Dexi5A01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARGGDELRLLGTWSSPWVIRVRVALGLKGLSYDYIEEDLANKSDLLLRSNPVHEKVPVLTHAGRPVCESLVILEYVDEAWPALFRSLTEEQRAEAFKNAVPKVETLERALVECSKGKPFFGGDTVGLVDIALGSHLVWIRVVDEVAGTNLLDGAKFPGLAAWAERFMAVDAVKEVMPDAGKHHANLRKTAMAAEGELQLLGCWYSPYAIRAKMALGLKGLSYEYSEEDLFGKSDLLLKSNPVHKKVPVLIHGGRPVCESLVVVQYVEETWAGKGPPLLPSDAHDRATARFWASFFDDKFFLAWRALYRSTTDAQRAEAFKNVVPQVETLEQGFRECSKGKAFFGGDAVGLVDIAVGSFLIWIQVVEEVSGTKLLDEAKFPGLAAWAERFLAVDAVREAMPDAGRLLEHYKGFLAKLASSPAAPAG >Dexi5A01G0011470.1:cds pep primary_assembly:Fonio_CM05836:5A:8600199:8600393:1 gene:Dexi5A01G0011470 transcript:Dexi5A01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYELLGGGGLGTTYGLYYVDFADKDLKRYPRRSALWYADFLKGRSGVIPARASVSSV >Dexi4B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:4B:5716580:5716792:-1 gene:Dexi4B01G0007890 transcript:Dexi4B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWPPPREEREVGGKQRRAGGGREAAATAATSEERWGPREEREVGGKRRRAGGGREAAATAAMTEERWG >Dexi1B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:1B:24336588:24338177:-1 gene:Dexi1B01G0018000 transcript:Dexi1B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLVSAVVHLVTEYVRASDMAIAAAVLFVCSAIRNRLTTPPGAPMMWPVVGIIPSLFAHLDDIYEWGTAALARAGGTFPYRGTWGGGSSGVVTSVPANVEHVLKTNFGNYPKGPYYRERFVELLGHGIFNADGDAWRAQRRAATAEMHSARFLEFSHGTIDALVRGKLVPLLEKISDKGEVVDLQDVLLRFTFDNICAAAFGVDAGCLAEGLPDVPFARAFERATELSLARFVTPPFVWKAKRLLGVGTERELVEATRAVRGFAKRTVAERRAELRKTGTLAGRCDLLSRLMSSSSSSSDSGGYSDEFLRDFCISFILAGRDTSSVGLAWFFWLLASHPDVESRVLADVLSSGDGGSSSMDYLHAALTESMRLYPPVPADFKEALEDDVLPDGTFVRARQRVIYYAYAMGRDKSLWGPDCLEFRPERWLNGKKSGAFAGGAESPYKYVVFNAGPRLCVGKRFAYAQMKAVAAAVLGRFRVEVMPGQGKVKPKLNTTLYMRDGLMVRFARREQRHEPGHADVPAAGG >Dexi6B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:6B:5312564:5314973:-1 gene:Dexi6B01G0005770 transcript:Dexi6B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPQPGVAAPPPQAVPGAPPHWGGVPPPMPAQHQYAPPPTQQQAPPPPQMWGHQAPPPTHQAAYGQAPPPPHQAAYGQAPLPPPQAAYGQAPPPPQAGYYGAPPAPAPVAPAPVGPSEVRTLWIGDLQYWMDEGYIYGCFAATGEVQSVKLIRDKHTGQLQGYGFVEFVTRAAAERVLQTYNGTMMPNVELPFRLNWATAGEKRDDSPDYTIFVGDLAADVTDYVLQETFRVHYPSVKGAKVVTDKLTMRTKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKATGVQEKGEE >Dexi5A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:5A:4558724:4559266:-1 gene:Dexi5A01G0006130 transcript:Dexi5A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFCNVPPLRAGPETDVLVGQPQARNVPSGHVHASSPTRHAHHTRTTDPHAPVKPRGSALIPTVTSRHSGPGPSTLAAL >Dexi3A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:3A:3131470:3132441:-1 gene:Dexi3A01G0004810 transcript:Dexi3A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRGEVDTSSAFRSVKEAVAVFGERLLVREGHFRPNGGAAHGDRRVGREVNPRTNTIAIAASDAKLEQSNGVRVSDLNPSESYSKPSVTFSFNAKQEGSSSSNDSKTASNQLPAAPRSVSEDVTMYLVPTSPPFFASSPSLANDEDDDDEQERKEADLMVTSSIKKLEEEAARTRQEVAQLKRRLADMELSMATLNAKLHRALSKVAHMEADNAAAARASIERGHRGGDMALAVWAERRRDPSRPQLGHLLSLGDADREAMVAGGCGRAVAAPARTKVQKQKPIVPLVVPLINGVLFSKKRKTKDKESVYIKELYSLLRLS >Dexi5A01G0029440.1:cds pep primary_assembly:Fonio_CM05836:5A:32505812:32506423:1 gene:Dexi5A01G0029440 transcript:Dexi5A01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding REHPEHKRTTGRVPDRAMDGQGQPGGGSGGAGSDCDAVARAFVEYYYNTFDANRGALAALYGHTSMLSFEGHAVAGAEEIGRKLAQLPFDQCRHSICTVDCQPSPSLPGSILVFVSGNLQLAGEEHQLRFSQMFQLVPNEQGSFFVQNDIFRLNYG >Dexi4B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:4B:8381261:8382090:-1 gene:Dexi4B01G0011000 transcript:Dexi4B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGAVLRDHPGFQLLESEEVKLLGVRARPLAHDAQLRPGRLYFLVALPRPAAPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPATSATAPASPLSTASEGGPVRLRMRLPKAQVEKLMAESKDGAEVAARIMQLCAANAGSGAATPERGILRTPERSPRFVPTPDWGVGAFAQTPERSPRFAATPEWGTGFMMPSGAAPRTPERWPALPRTPEYSSLGVKASRKESDS >Dexi4A01G0003650.1:cds pep primary_assembly:Fonio_CM05836:4A:2600061:2601399:-1 gene:Dexi4A01G0003650 transcript:Dexi4A01G0003650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAERLDVVVASRTLVRASDPPPGFPAVLQASNLDLIFGSFHVFFVSVYPAPAAGFAAVAAAARAALPTFLSIFFPFAGRVVADASTGVPEISCNNAGAELVAGHAAVPLPDVHFADADRSVGAIPVPFEHDLALSLQLVRFACGGFSLSWGSNHLLVDGHGATAMSNAWDELLRTGGLSWQPHHERASLFRPRSPPRHSTSLDAEFTRYAPEGLPNSLLVATLVRRNYVVSGADVARLRAAASTATRRATRLEALSAHVWKLLAVAVGDSDTHCRMAWPVDGRARLDPAKFEELVDWMETRKGVFREGRKWTETVGVGTGSPALVLSAFVSFRVDGDFGFGRPRLMVPVLRPGRLGSAAMAVTRLPGQDGAWVVTARLWPRLADAVEADPEAVFKPATASRLGFAAPEPSAVVEHEHTLV >Dexi7B01G0000550.1:cds pep primary_assembly:Fonio_CM05836:7B:1209550:1211810:-1 gene:Dexi7B01G0000550 transcript:Dexi7B01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKQSSSSSSFRYARRRTSSAPATPRPEPAEDSPPIGCMSMVHYLIFAPGAGCVGRPPTGSSNVAIVTPHDSIIHSPRRRDGDKKSSGFDAPRNSLDLDTDNPNNIQIGVQIEPVFDALARTSMRRHKPTAPSSEAETPRTPSLVARLMGIDGLPDQASPSPAARHKKPSSTKKHHHATVASAAAADDDKENHYCSSAPSPASCNKEKKKRVIPESMNRREPLRSLSCNVTTAGELARSLPETPRASTSARASWDGARLSLQALKENVLDRAAQYMSMPSSPTSAGGGKNRSFTSRRRRDEKEREKVAKEHAREILRQAKENVASRKKASSKQMSTSNKSSSPATDKENVAPAASVEEKVVVDVQPGKPKSQGTENPASSQKSLRVPLAPRQQQQQHPPPQRAKPSRPPPPPPPLDPPPPPPPTRARRPDGCERFATRVKKPSVNAGQGQPLAPASSSSPAMAAPPPAPTSSSPSVQIGNKQSPISPATVPLEEDPEYGYVRSVLERGGYMRRSPPAPPPRRPCHSVSSPVDPIVFHLLELDLPADEASAEAVRLGPLRHRWNRKLLFHLTQELLADLAESDTPAAMAVAAAPAMTGVPLLRSVWRKVRSFPAADCRVVGDIDALVAADFESSRSRQRMVSHPGAVEEAAAVAEEVAARVMEALIGECVAESFSLSTVPGGLTRSSSSSSRAQAR >Dexi1B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:1B:712877:713089:1 gene:Dexi1B01G0000830 transcript:Dexi1B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKRARPQRHKMLPLRRVGVGADARDRVTYRMATDRTSAAESADATCELLLLLLLLAGRRRRRLQDVTG >Dexi5B01G0028650.1:cds pep primary_assembly:Fonio_CM05836:5B:30014195:30017675:1 gene:Dexi5B01G0028650 transcript:Dexi5B01G0028650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKHLETARADRRMWLMKCPTVVSRAWQEAAATSNPEAGGANPNPVVAKVVLSLDLLRDEQPTKAKLLLPSAPYILWSRFKMEMAQSNNGNTPKRKYSCEGKVENKFDMKPHSENLAEYGKLCRERTAMSMAKPRRVEVLVDDRGDRMRPMPGMVGLMPSATNAKEKKKPVPQKPFEMKRTRRNRTDMENILFKLFERQPNWSLKQLMQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSAEDSNAT >Dexi9A01G0048060.1:cds pep primary_assembly:Fonio_CM05836:9A:50911863:50916036:1 gene:Dexi9A01G0048060 transcript:Dexi9A01G0048060.1 gene_biotype:protein_coding transcript_biotype:protein_coding HALSCPSEIASGRGPKAEAPSPPLSPLLRRVAWRTRGSPPGRIDLGLQGMVGGDADGGAESAAAQATLHIRCTNGSKFAVRADLGATVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYVLFTLVTYIVFLFEGVETDHTIHMVRGAAPPPAPITPAVNQETSTTAPASSPAGGLGGLLQGLGSTGAANSGRLGLFGSGLPELDQMQQQLAENPNLMREIMNMPLMQNLMNNPDLIRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRNSNPFAALLGNQGSNQARDPAANAPTTASDPAAGSPAPNTNPLPNPWGSSAGSAQGAARPPPASNTRSATAGGLGGLGSADLMMQNIMSNPQSMNQLLNMNPNVRNMMESNTQVREMFQNPEFVRQLTSPETLQVLKNTAIVTRNVNLNTLMNMFSGLGTGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTHENLRALIATAGNVHAAVERLLGNLGQ >Dexi4A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:4A:4584271:4584724:-1 gene:Dexi4A01G0006370 transcript:Dexi4A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAGAVGLLVLLATAAAVAAVEGEWREEQVRDRVPRVPGQGFNTSFAHYAGYVTVSEPRGAALFYWFFEAETDPGSKPLVLWLNGGLVI >Dexi9A01G0037030.1:cds pep primary_assembly:Fonio_CM05836:9A:41356589:41358167:-1 gene:Dexi9A01G0037030 transcript:Dexi9A01G0037030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSIHCRASDDLLLAMVDGEPVLTKADTSDDRQLWLKDLRYGAGLTDEEGSPAFALVNKATGEALKHSFGHICPVRAIKFYPLGYVDESILWAEDKDDMGDGFRRIHMINNMDYIFDAEQGTPDFGGAREGTRLILFRWNGGQNQQWRITPHAPSAPAAPVLDLAPEHARPVRIVCQSGQDLSLIVRDGAAVLARTDHKDQRQRWMQSFTNTGHVTDDKGHRAFVLVNWATGKALGHCLGVGREKLVPVRLVPHKPDSVDVALLWTQGNDRGEGFRNLRSVSDTDIVLDAANGGEAGGAHDGTAVIIFPWNRGSNQKWKMIPFQ >Dexi3B01G0036810.1:cds pep primary_assembly:Fonio_CM05836:3B:39662972:39663851:-1 gene:Dexi3B01G0036810 transcript:Dexi3B01G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDALECREGVSHAAAPIRDTASIRQKKQHDRSVQVEEAQRTRKKLIEEEVKQQETWDVAGKLAGTVAVRRLKRTNSIREEGERRGMVIPY >Dexi1A01G0027470.1:cds pep primary_assembly:Fonio_CM05836:1A:33240909:33243112:-1 gene:Dexi1A01G0027470 transcript:Dexi1A01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTRQIAPQQAPHRTTGNNVVGRPPAMASCLLLLIATAAAVVFLCAVASDQDDKTPDCSSADNYTADSQYKKNLDQLLAALPAAAGGNGWFYQGSAGAGADKVFGLIMCYADYNATACLDCLSRAPASITTVCPGSRNVRAMYDACVLRYSATPIPATADLSVLYHVVMTDHGVSVTTEGVRAAWGPLMSKLTDGVASSLLRLANSSTPYPSWQEMDGLAQCSRDLSASECSSCIKSYTNRLGELFPGNNTGGAIKGYSCYLRYQVGALEITLPPTTPAPPSPQPPSGRRGPSRIGLIIGLSIGAASMIIGLFLILWLRRLRRRRRAKLIEEARELEEGNFFDDDPDMEDEFRHGELAIATDNFSENYKLGEGGFGSVYRGFLQEINLDVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYSGGVLLPWPLRHEIVLGLGSALLYLHQEDIKPSNVMLDASFHAKLGDFGLARLVDHGRGSHTTELAGTMGYMDPECMMAGQASTNSDVYSFGVVLLEIASLHEEEDVIHIVQWLWEFYGRGDIHGAADQRLNGEFDAGEMESLRPSVRQAVNVLRREAPLPSMPARMPVATYLSPPDAFYHTTSSSVATGGSSGGGGTDNTPSNTTEASTLLE >Dexi8A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:8A:30601666:30602642:-1 gene:Dexi8A01G0018270 transcript:Dexi8A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQPLVFCLRNPVFAHKIGSQIKELNQRLDNIHTEADRFKFNINLGSNPEQRKLTDAERSVQKTTSEFNESAIVGEKIEQDTRELAQLLITSGNHDIKVLSVVGTGGMGKTTLAQKIFNETTVQQHFKVKIWLSITQHFEDSEMLRAAIEHAGGDHGGTQDKTLLMRTLANTLSGGRFLMVLDDMWSDRAWSHVLGVPIRNACQNQQGNWVLITTRFGDLAQRMGASVYPHHVKPLNDEDAWSLLNKQLPPSPNQEGSKPPCSPMPDVCRVDSARIQA >Dexi1A01G0015270.1:cds pep primary_assembly:Fonio_CM05836:1A:22247914:22250321:-1 gene:Dexi1A01G0015270 transcript:Dexi1A01G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFREDRKSNAWLGSRFHSNGASCESPGNAWYIFFLLALNDNQSISVRALHLLCYWQCIFMKFTETVPFFSYRKQKLLKFFSDYVRTNDIFTFLRLVAAIWICSHSEEFEPLVLPELNEDYSLRDWCSREVLQCQVFTDHVQMTALVTALGVPLRVEYLLQGVGQDFYTDQEDSQDDTPRSTCWPHRQYQTPHGHVVPYVTVLYTNAHYDILYPHCRDVRSIDGRCNQLTAQVQRPTAASLSQQIARGESWSGADSSHRIIQEESSTGVRIQINMKCTRKLPLTGAHEYDEHRSILSEATDN >Dexi2B01G0035450.1:cds pep primary_assembly:Fonio_CM05836:2B:42460738:42463140:1 gene:Dexi2B01G0035450 transcript:Dexi2B01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTTPKSDDVYLKLLVKLYRFLVRRTKSDFNAVILKRLFMSKTNRPPLSMRRLVKFMEGKGDQIAVIVGSVTDDKRIIEIPAMKVCALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Dexi6B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:6B:771758:773785:-1 gene:Dexi6B01G0000900 transcript:Dexi6B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLAAAGGGGPRGAPRSHRLRRAGGGPRRARSITRGKLEEIGADAEANGNDEAAKAPSRVEQQNDGSSLLRESVNVEEPAAVEEGTLLLPRGCQMASMAIGTVASSEEKPLEPAPEKQQPKLHSRKVVKC >Dexi5A01G0006300.1:cds pep primary_assembly:Fonio_CM05836:5A:4658605:4659248:-1 gene:Dexi5A01G0006300 transcript:Dexi5A01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWAISPLPATAAKADDDGATLLAFKAAAIGGGSDPLPSWNNSGGAGEFCSWEGVTCGARHRRRVVALRLPAHGLAGVLSPAIGNLSFLRVLDLSYNSLAGEIPSAIGRLHRLRSLNLSSNSFTGELPTNLTSCAALEVMMLQTNRLHGRIPPELGNKLTRLEVIILWQNNLTAP >Dexi1B01G0000260.1:cds pep primary_assembly:Fonio_CM05836:1B:322419:323027:1 gene:Dexi1B01G0000260 transcript:Dexi1B01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGGGGGATEVNKVVIPMVDADEACALLSAATHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHGKEKNPHFIEQVSALYGKDQNLIVITCIYT >Dexi3B01G0036260.1:cds pep primary_assembly:Fonio_CM05836:3B:39105676:39106370:1 gene:Dexi3B01G0036260 transcript:Dexi3B01G0036260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRTEPQATGIDPHDATLFANLSLCWLRLGEGELALSNAQQCKALAPQWVKAWYREGMALSMLKVYLTLLSP >Dexi9A01G0018900.1:cds pep primary_assembly:Fonio_CM05836:9A:13925667:13925960:1 gene:Dexi9A01G0018900 transcript:Dexi9A01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAEEATGPRDAIEVGMAVRCGGVKRCGLLVVSWRSAKTTTATTWWQSKHRAWGGSGRGRVRPLQLRARRGQQAGAFGQRHARSSSSKIEGQGSGH >Dexi1A01G0025310.1:cds pep primary_assembly:Fonio_CM05836:1A:31585510:31588457:1 gene:Dexi1A01G0025310 transcript:Dexi1A01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQNGTKPRGGTIGAPWSSSSSEMHRLSANEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRASSTWSQQLLDAGVRLFQGDIRKKDDVRRAFRGVDCVFHLASYGMSGKEMVHAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGNEALPYFPIENHVDAYGRSKSVAEQLVLKSNGQPAKNGTSTRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGGPDVKTDWVYIDNLVLALILASMGLLDDIPDRKGTPVAAGQAYFICDAVGEWNMLDTCTVGVTHYFSFLKAREELGYVPMVSPQEGLAATISYWQERKRRELDGPTIFTWLSSDLGMLAIFSASCLPPVGPLKWILDIHLFLFRSLLVTRIIFAVAVALHVGEAVYAWFLAKRVDPRNASGWFWQTLALGIFSLRYLLKRARK >Dexi5A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:5A:532877:538620:1 gene:Dexi5A01G0000770 transcript:Dexi5A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGGEFKSSSLLQQMMWSGSGGTDSKNMMSSLMPCAEEHLLQISSGLAPEVNNTGASLARSSDLHDGVREGNMPQSWSQLLLGGLVGDHERYSAAALLSKGLEEQPMPQDAAAYTFYGHGGGEEIQTPGTNKSQVSQMLLASSPRSCITSSLIGSNMLDFSNNSTVQAPEVKNHHSDNSSEGNSTASGSAPKKARVQTSSSAQSTLKVSSSLRSTDTASVLQETIGYIRFLLSQIEALSFPYLGHGNGNSMQQHTVASLLNNSTGDGMGEAQGSTAQGKKDLRSRGLCLVPVSCTSHLADDNDFWAVAAAPPPPPLGGIIWR >Dexi8B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:8B:2317085:2319406:-1 gene:Dexi8B01G0003130 transcript:Dexi8B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIGLLYLNTRRSLLEKYDLLVVGSSHGGLFDPKEFPYRTGDGKYNDPHNAKAGSQYTFFGRNMKPVDQEDEIEITAPKEVANECPLKSFKFLATKEMPTNSDGIKTGHYNEEHPNLSDQELYRYAKLVTSAVIAKVWIIG >Dexi5A01G0034240.1:cds pep primary_assembly:Fonio_CM05836:5A:36351857:36352785:-1 gene:Dexi5A01G0034240 transcript:Dexi5A01G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFISHGSPTLSIDDSMPARHFLKSWVPTGLAGPQPPRAILAVSAHWETDTPSVNVIHGTNDTIHDFYGFPDQMYKLKYPAPGAPDVARRTKKLLEDAGFGPVTEDHRRGLDHGAWVPLMLMYPKANVPVFQLSVQTSRDGAYHYDLGRALAPLREEGVLVFGSGSATHNLSRITRHGQPPPPPRWAVEFDGWLRETLVGGRHDDVKRYREAAAPHAEVAHPRPEHLYPLHVALGAAGDGCEAELIHHSWSNASLSYASYRFTSSRN >Dexi1B01G0029820.1:cds pep primary_assembly:Fonio_CM05836:1B:33978671:33980159:1 gene:Dexi1B01G0029820 transcript:Dexi1B01G0029820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPSHPNQPTSCGPSTRNARHPLRLGPTPLLRFLSPQTNPYSLKKKNPTNPKPVRRFTTVVAGGDPHVRRGPCPPAPPRYATPPTTTHTPPPPPSRRLASPPFLHSTRTRAARRRPILTARLLRLAWRLLDALVLLLPSLSLMPPFAIWCGFLHVVLPGRTV >Dexi7B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:7B:25631890:25633894:1 gene:Dexi7B01G0020250 transcript:Dexi7B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSGSETSSRLVTRSAHVAHNFEVTGFSLLDGIGAETYVSSKIFSAGGHDWTIKVYPDGYYKEDKAAYVSVLLCLVGGAVGAKATVTYAFDVLEKDDRMPKLSPDINHMLSDAFEPGVHDLGGFPRFVRKSKLEPLLRLNGTDVAFHVDGRLFHAHKCVLAARSPVFDAELFGLMKEKDTEPIKVDGMEACIFEELLHFIYTDRMSDKFDGSDRDLATQHLLVAADRYGLDRLMLMCEAKLCHGIDAQTVATTLALADQHSCVHLKDACLTFVASGDALGDVMKTDGFKHLVESCPFVMVEILDKIAKSKSQ >Dexi5A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:5A:24475186:24477463:-1 gene:Dexi5A01G0020680 transcript:Dexi5A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSGDETIEEFELLTRDAGRVQQDTLRKILELNADADYLNRFHLKRRTDSDSFKSCIPLCVHSDIESYIQRIADGDNSLVLTGKPITSLSVSEYPIGNGKTLQFVYGSKQVFTQGGILATTATTNLYRSWRFKEAMKDIMSQCCSPDEVIFGPDFHQSLYRLGDIVKIAGFHNSTPELQFICRRSLVLSINIDKNTEKDLKLAVEEAEKLLAEEKLEVVDFTSFVDRSSDPGHYVIFWELSSDNASEEVLSSCANSMDLAFVDAGYVGSRKIKTIGALELRVLRKGTFEEVMNHYLSLGGAVSQFKTPRFVTQSNRKVLQILNRNAIQSYFSTAYGL >Dexi8A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:8A:18094739:18095590:1 gene:Dexi8A01G0010580 transcript:Dexi8A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAALSNLLPKLGSLLLDAYNLQSGVKDIVFLKDEMERMQAALSDLSKLPSDHISDMDKLWARDLKELSYDIEDSIDAFKVRVQAGCDQPSSLKGFRKFVHKIGLVKKIKTRHRIAMDMNEIKGRINEVAERRARYNVNLPGVAGQTADATTIDPRLTALYERVERLVGIDGPTEELMNLLMKSDGVRNQGLTVVSIVGVGGLGKTTLTNSVYERLKEMFDCHAFVSVSMKPDIKKVFGSFLRKLTRQEAGERDLDELISEINLFLKSRRYVLHSPNIDP >Dexi5A01G0033840.1:cds pep primary_assembly:Fonio_CM05836:5A:36055035:36055787:1 gene:Dexi5A01G0033840 transcript:Dexi5A01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAQVSDANTTAPLGRRPITRGRKPNGSRTAKTLSAVRNSSEYAPLRRSQASQMRESSGRDSEVAMRWRITSVSDEVLKMEPWASSLLRSAWVAEDVPDEAQPRHRLELAIGVRGGDARALLPPQSDDASGSPMTPNTPHSSRGWSSPSASKENGVMRSGSRARAGAARAARCRSSSGDGLGEHLSW >Dexi2A01G0023440.1:cds pep primary_assembly:Fonio_CM05836:2A:35165570:35166899:1 gene:Dexi2A01G0023440 transcript:Dexi2A01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASTRGTCGGMVEDAVRDPACAGVNGGVAGGGVHVATVSDGCDAGGFDEAGGIVAYTARLEAAGSETVGALLRSKAELGRPRVARWHGVASAAFFTQPCAVDVAYGPALAGLLDTPLVGEMTPPIDLPGLPGGLRLCDMPTFLTDQGDDRAYLDLLVNQFSGLDTADHVLVNSFYELQAQESEYMASTWRAKTVGPTVPSAYLDKRLPDDTSYGFHLYTPLTAVTRAWLDARPARSVVYVSFGSLAKPNLVQMAEMAEGLYNSGKNFLWVGLRDLKDT >Dexi3A01G0029980.1:cds pep primary_assembly:Fonio_CM05836:3A:32958081:32960624:1 gene:Dexi3A01G0029980 transcript:Dexi3A01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEEPQVLDVLNDESQEVELYQGNVPRQFKYSELAVATGEFSDKKKLGEGGFGKEIILGICSALQYLHQEWEQCVLNRDIKPSNVMLDESFNPKLGEFGLARLVIHGQMSRTTVLSVGSQSFVKWVWDLYGHGQIINAADVNLNGEFDGLEVQRVMVTALWCTHPDRSLRFSIRQAMNILRLEEPLPQLPAKMPVATFTAPLDSMPSSEALATAAIGSSNSKLASVPSAVVSMDGFRVSGSRVDSSSCILRRRSALAVGLGSSGMVILRPNSPSSPRQVCCDHSILTYCTRRHEFALLDAVNLPTPAAAKVFMGTTTFFYLTTPDAN >Dexi4A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:4A:7926475:7928136:-1 gene:Dexi4A01G0010000 transcript:Dexi4A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASGTERSRVLVIGGTGFIGRHIVAASARERHPTFVLVRDAAPNDPTKAAVLQGFRDAGVTLLKGDVYNHESLVAAIKSVDVVISAVGHHMIHDQTRIIDAIKEAGNVKPARSLMFGAKAQIRRAVEGEGIPYTYVAANFSTGRFLPTLAQVEVGVTGLPTDKSFRHHLI >Dexi5B01G0021480.1:cds pep primary_assembly:Fonio_CM05836:5B:23811871:23818709:1 gene:Dexi5B01G0021480 transcript:Dexi5B01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIRASAVPSIVASLRTPARPRLIRNAPVFAASTTTVVGMDAVQRLQSGFEKFKTDVYDKKPELFEPLKEGQAPRYMVFACSDSRVCPSVTLGLQPGEAFTVRNIAALVPPYDKNRYTGIGSAIEYAVCALKVQVLVVIGHSKCGGIKALLSLKDGAADSFHFVEDWVRIGFPAKKKVQTEHAARHADDQCDILEKEAVNVSLSNLLTYPWVKEGVEKGTLKLVGGHYDFVIGKFLAWEK >Dexi5A01G0002850.1:cds pep primary_assembly:Fonio_CM05836:5A:2058469:2059351:-1 gene:Dexi5A01G0002850 transcript:Dexi5A01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARPDHRRHHPPFLRDLSSPISSSLRLPPASLRRETQASTPPPPPPLRSLDDLSHLSPSPQPATPPQATMTPSPTPPRGAGLFASPLRSNGSPAPSAWWSPAREEKPREGSPMDGVVQNQQQSPTGQQSQQQQQKVALITLPPPREVARPEMPRDSAPASGQVDEEEWDIDI >Dexi5A01G0028960.1:cds pep primary_assembly:Fonio_CM05836:5A:32138473:32140542:1 gene:Dexi5A01G0028960 transcript:Dexi5A01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAGGGAGALAAAAAPAMLVLLLTLPPLAAPFSFTYNFTSPSDTPPPGIAFQGDAFYNKAIRLTRDERLGPITSSAGRAFYSRAVPLADPVTRRRASFAAAFAFSIAAPDPASASGDGLAFFLSPFPSALPSSSAGGLLGLFNSSAPRAGKLVAVEFDTYKNGWDPSGDHVGVDLGGIVSAATADCPTSMKDGRTAHARVAYDGRAKNLTVALSYGANATRPNATGDVLLWYAVDLLDYLPDSVAIGFSAATGEAAELHQVLYWEFTSTVDPKEESVILWVVLGLCALLLVLIGAGVVWFVRQWKKAGEFDDGDIEVDDVMGGGGEYDELADEFVVESGPRRFRYAELAAATRNFAEDRKLGQGGFGAPSNVMLDATFGAKLGDFGLAKLVEHGSQPYTTALAGTLGYLAPECVMTGKASRESDVYSFGVVALEIACGRRPAELDVEPSKARLVPWVWELYGKNAILEAADWRLKGQFDEEQMERLMVVGLWCAHPDYAHRPSIRQALNVLKLEAPLPVLPPKMPVPTYFPPLPESMAPISVGGASSTEDPGISDYGSSGKGSSVRDRLLEP >Dexi7A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:7A:12995765:12997084:-1 gene:Dexi7A01G0003500 transcript:Dexi7A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQEGEESLPKPSLPPEEAAIIEKVLGNDDLLREVFRRFSLPTSLVRIACVCRRWLRIVSSQGFLDDFRRLHPPRLLGSFPSKPQDLPKLLPCRELPEDLIAVTSHAKAYFSRVSKNIPFGKYVILDMRNGHMLVAIVDVCDRTRIAVIVCTPFKAAPPVYLPFSQLSAYRGTNKGEFDMFEFLPEDGGDGRSYFEVRVIKRYNGDPRFILATVGSCEGGVRGEYRATEPMRLPKDTIDNYSDTDYLLHLKTRAVEVVSESHWQTTSLLNPFMMIWPPTFPAVMNEEDEDERGHAGL >Dexi3B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:3B:4223993:4225183:1 gene:Dexi3B01G0006120 transcript:Dexi3B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAILRPRKRPSRRSHAASIPLDLVLEIAARSDPATLMRCAAACGELRRQISDPISFHGRLRLRRHAHRFVPSLLRGSLVDKCDRNLNLVYKTAAATTRLVPTAVIFPPAQEYRGTVWDVHPVAARDGLILVRGNFDKLCVYCPVTGRTQHLPRGPTDHGQHVLLVGDGRPFQVIKACFVKSSYGCRSLQIHTFSSEQGTWSCRIRSSSLLIMHGDEELHRPENSVVIGDTVHWLYHLDRTYYVLKAHLRAARVTFTELPKSFHVACRSLRDATEQILLVTSPPSGRSLMVLVANGGMISAWAQSERTGKWSKQPHFIVKDCGAAMKAGGDLGTMRLQWFAERSGIVLVAAPDSSTFLLDLQSKGMTECSSSGSSAIAYEMDVSSWVPTFTKIL >Dexi5A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:5A:22422137:22424512:-1 gene:Dexi5A01G0018930 transcript:Dexi5A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEGASASAPAEAPPGAAVAAGAMAPEEAAARKRYESLVHVRAKAVKSKGAWYWAHLEPVLAPPPASGLPPKTARLRCVLCSATFSASNPSRTASEHLKRGACPNFSSPPPMPPASPSPFSAPPPPHQLQALAITGASPVLPIASLPPSSSSSQRRHSTPGGGGGRKRHALAAAYAAVEAAASSQHHVVVLGEPAAVFSPPAPPVASSPRPMLPRRQAEVAVELLSDWFLESSGTVSLAAAEHPKMRAFLHQVGLPAAELSAADITGARLDARFAEASDAAAARLDARFAEASDAAAARVRDARFFQLAADGWRGPVVTLAANLPNGTSVFHRAVPIPAPPPSDYAEEVLLDAASSVASPGDLRRCAGVVADRFGSKALRDLETNHPWMVNIPCQIRCLTRLARDMARELPLFHSATTNCAKMAAFFSHTAVARASLHRHQVQELGHAAAGLIRVASNASGDGDGDGEFTAAFAMLDDVLTSARPLQLSVLEQPFKLLCIDDSAAREIDDMVHSTAFWVEVEAAHSLVKLITDMAKEMETERPLVGQCLPLWEDLRGKVRGWCRKFNVDQEGIAMSVLERRFRRSYHPAWSAAFMLDPLYLIKDSSGRYLPPSKYLTPEQEKDMERLITRLVSPGEAHLAMMELMKWRSEGLDPLYAQAVQVRQPDPATGKMKVANKQSSRLVWETCLSELKTLGKVAVRVIFLHATARVFRCTPAMARWLMKSPGGGGRAQRLVFVVANSKLERKDFSNDEDDERDVLEMLTEGDDDMLTDPATAATVGGSTSLKHTC >Dexi6A01G0016980.1:cds pep primary_assembly:Fonio_CM05836:6A:24846277:24846579:1 gene:Dexi6A01G0016980 transcript:Dexi6A01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRGPVQGPGNGGDGGRQGAEPMEGDRAQRQWRAAGRDGGRKGTEADGGWRARGAEGGGSDVGGQRREGRRPTQRLDLARLHESRGAATACWGLGGVGH >Dexi9A01G0022320.1:cds pep primary_assembly:Fonio_CM05836:9A:17511175:17513762:-1 gene:Dexi9A01G0022320 transcript:Dexi9A01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILLCSDATFFLHLATNAGGLSNVNSGNSETDSKNFRVKVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSPESFNKAQYWVKELQKHGGPDMIMALVGNKADLHENRTVSSQVFKKDMPV >Dexi1B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:1B:19988783:19989850:-1 gene:Dexi1B01G0013930 transcript:Dexi1B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISFSCSCTITGCHIFTNTTVLLPSSAQRFPISSREKGITSASFISAAAYAARFHPQKNPSREVPLSTAGLQIPQRRGFSTAPITSAHPRFLSSSASAATSPPHSSALASAGASGSGTFSLFSEGHAAGAGDGGHEGEGGGEEGSAGTASTAGGSGDFGRLCIGGCSGGGGEGVAAADALPRLPLSRRLEEGGVAGEEAAADSAALRCSSNASKAAWMRSCCGEHPHRVQKLPHPTVGGWPRRRRPPPPRACSGGGAVAASHPSRNERVTRWASTRWSSGVSPATRTRMAAGRRRNAAAMGSSAGDGWILPPLPGLGHSVFMAAAGPRAR >Dexi7B01G0004140.1:cds pep primary_assembly:Fonio_CM05836:7B:10061953:10062965:1 gene:Dexi7B01G0004140 transcript:Dexi7B01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKMASARLALAAVGISCVVLLSSFGTAAATGKTGRITVYWGQTSSEGSLHKACQSNLYSAVILSFLTKFGHGSYKLDLTGHSWSAVGPDIKYCQSKNILVLLAIGGGFGDYSLASKADAKAVADHIWDVYLGGHSKSRPFGDAALETGLFDRVHVQFYNNPVCSYRSSNVEAFTKAWQRWTRSFPRSSVYLGLPASPRAAGSGYVEPATLVSKVLPIVRRSKNYGGIMLWSRYWDLQTGYSRAVKHAV >Dexi6B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:6B:13526716:13543163:1 gene:Dexi6B01G0009290 transcript:Dexi6B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGPRRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQNDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQIEDIAKVPARIENLMADKQLYAAVQLHVQSVLMLEREGLQAVGALQDVRSDLTKLRGALFYKILEELHGHLYNNGEYSSVTLSMVDSEEVPASIAAGRVVNSMQPLSRRTRSIKGDNHISGPVTADGFPKTSSVDGGSSFDGPDDDSSLDMREIDGRTRKDSKSISREIPIFLSCAAPDEFIDSMIKTNAPLNVKYLRTLVQCLSMLGKVAAAGAVIWYFSSYSFSIFLTEYALYLSQMHFHDSQRVRPTIHDVITSKIKAYSEEAAKSSTDKHAKRTSDLSYSDGPIPRFQMLKQKTKNGASVMSAQLVVSPISPAMAPTGDAQRAASQLLRSIFECLLDILENHIIVGDLLEQKSTSEVDNINTPHIANGDANWNPDSESSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRQVFASYYIDYYIFTCILALLDGSEGLSFAFRITDAATSAQKEAPNADLVPFAAAVPFIADVLPLGRRTQSQGPPTHHRSFAVAATGAWFFSGDGGVKLWPESEGCGEMRRRGRQLRLWWEARRWLVLPYPFSLSLALATVASRAAGKSSSTVGSRRAACRREVDAGRLAGIGQQEAAPRWRVGLQLASQAACSTGTWLPLWVACCVGWQRAPLAGIKRRRVAAGSSLPSGWRVAQGQWSFARGGRHAVQDYGSSTRQGWRRNSNVPQEGYGTTSVIPDQGIFLAASVYRPVFEFMNKIGSMLPQKYSQLGYKVNVLILTMSDGLLHFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVQATSVYDSSVEHGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLEPANISLQNSTSQPDNNVTDAEAVEVETELCDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVER >Dexi3B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:3B:14962879:14964581:-1 gene:Dexi3B01G0019950 transcript:Dexi3B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVDTEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALVKRLRKAKKEAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Dexi3B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:3B:273085:274700:1 gene:Dexi3B01G0000340 transcript:Dexi3B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAVDARLSLFASRLSHHSFGDDDLLLLEAALSAGADVPALLATRSEARRLLQQHAPQAFASPTPPPLDHHARNLATADFFARAFALVGDVQSCLAMRYEALLLRDAKYSGDHHLQVSHEEWLTFAKDSLHNGFYTIASKDRINDIIGLQNLAKSLSAQHSGPLDT >Dexi2A01G0000140.1:cds pep primary_assembly:Fonio_CM05836:2A:105755:109670:-1 gene:Dexi2A01G0000140 transcript:Dexi2A01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGRLLAGLLRDSGAAFAHPHLPLHRIVRAGENDAAAAAGGLMPQLPPSGALMPMPDLSPTASPEPFLPFLAPAPLAPFFNNSTPKLSGKCTLNFTAVDKLMTTTAVDCFTSFAPFLANATLTILIGQSSKQTGSLALDPTVANYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTVDAFESVIDSSKLLEACRKIDPVNECCSQTCQSAINEAAQKISSKDGALTTYTGSPKIDSCRNVVLRWLSSRLDPPSAKQMLRQISNCNVNGGVNHKNLKLRLLVCLVSLVLVLLVQG >Dexi5A01G0016070.1:cds pep primary_assembly:Fonio_CM05836:5A:14275639:14278869:-1 gene:Dexi5A01G0016070 transcript:Dexi5A01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARYRTASTQGQLFFNKKSVQRQKVIKSVAKELEKRGLDAKIIYSGGQDLHILPQGAGKGQALSYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSIQDIALIIEQSDVRVCSLSSLALSLKPESPEGFVVITHIHKTWLNGYSSGVEQASKNGESYRGQNNGEDLVHLD >Dexi9A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:9A:12368938:12377863:1 gene:Dexi9A01G0017370 transcript:Dexi9A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTELQNQREPSQSLGKEGGSPSEQRRSLTFGSILSEPGGPPSKAGRGSPGCKRRGPACEELVGLVGDGRIQSSGDVADFDLLPPLHSSERTLLISQQLRHTRQMLPHISTLSKAPAVTRPAFRAAQFGPLGRALALLLHVPAGARISHCHAIGAPPRCPHPRLHLRSRPHPHRLSSLQRKVSSASAPPSACRPHHKPSRPPLTFNPTPHLKLPPKNARLSTTASSSSSSSRPTMITSHLSPTPKPCVPSPLHSSFHHNHLAVHLPLPLPLPRHHRHLAKPTAVAAAAPDLLSSVESVASAASVLAAIVLVHESGHFLAAASRGIHVSQFSIGFGPALARFRLGAVEYALRAIPLGGYVGFPDDDPESGFAPDDPDLLRNRPVPDRLLVVSAGVVANLAFAFLIVYAQALTVGVPVQAQLPGVLVPEVLPGSAAARAGLLPGDIILAVPGAAPDPSVPILVDLIKASPSKKVPITVSRPTPGAVDRQSVELTVVPDTSADGTGRIGVQLSPNFRVTRVRPQNLADATVLAVREFTLLTGTVFDGLRQTLLNFSQSAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPRVPNWAAPEPRLSLKKKFEALSNPNPIHTKPQETAMAGGEGSSRSKSAKRKAKAMKEVVATEEAAPVGETKEISRWRSAHGHEYPTEETEELTVWKSFYEVGFGMPTCNFFRQPRAKAGATSKRGEGSKRAATSDLNAPVPKRARTLPKPRVRIVPEEKEKISLQPKIPSSVGIAIGEIRTSRSQQGSTARQPLSEEEIIHNIYNPVSAPYASSIPVVEEHYPAGPVAVEKAPEATVPEEPREEPQTTLPEVQPAAPSTNPPVPAEAQVEETIAEVLADIEHLVTQAVIEETEVEHCDQKNAEPPSKYILDAEEDEEHIDRGLYHAGRAVAYFKAERLQKELEREREDRKVQEAEDANMIRTLHLRTKELAAEKDNLKKKLATAKSELKAEVQQQVTITQSKMTDWSNLANRHEEALKTLSAEHDIIKEQLRVAVQQCKDADVQLIQVIEQQKKAAKDLEDAHKENNQLSEELIQLRKNLADKKTLDEKLEHTTKRMSELKEAMQQMKKSDGDLAEALKRIALLEKAANPVVKALVPEDPAAPQSFLEHLKAMPQQLKAYIKKSSKACLLHVLAVVKSRYPEVDIGKLVEGAEPNCTEEDFRGLKQEAEPIAEAIAQP >Dexi1A01G0028170.1:cds pep primary_assembly:Fonio_CM05836:1A:33898741:33899739:-1 gene:Dexi1A01G0028170 transcript:Dexi1A01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPAHYGGGARHRTCRMYWCYQCGRALRIISYPSTDVFCPRCFGRFLHEIDPPPRPAFPPPHFLPHPFHPQHHHQFDGHPRRWVIYGGDPSSTVPGRAFRQPAPPAPSPAPAPTRRRVPSPPPPPVPRRPSTPPAIDPGNYFTGPNLNNLIEEITQNDRPGPAPAPSSAIDSLPTVRITGAHLSDGPQCPVCKEDFELGEAARQLPCKHVYHSDCIVPWLRLHNSCPVCRYQLPGGGGSSGGGGQAQATHRGGGRNREPEREPPTMVRWGPFSWLWPPRGLDDPDDDVWEHGRRGRHHDAADDAGGNDMTALESFVLVATCVFFFSFFA >DexiUA01G0006490.1:cds pep primary_assembly:Fonio_CM05836:UA:12514777:12515038:-1 gene:DexiUA01G0006490 transcript:DexiUA01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASEEREEKEQGKEEADTATPEAPERQQDVASMIGRRTKKQIEEAISLMRSCYMRVS >Dexi9B01G0007180.1:cds pep primary_assembly:Fonio_CM05836:9B:4339264:4340382:1 gene:Dexi9B01G0007180 transcript:Dexi9B01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKSVNDGNPASLPLLLHPIVSNPSPTSSSSTSSRSSAQHHHQQQQQRSTSATSSPQGQGQGPAAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPTPVVKPAAVASCKSPSLGLGVGLGMGMGPGGPVLWASSQQAAAAQLMALLNSARGVHGGGHGGSNMHRLLGLDTTMGHLPLHVLPGTCNPAGGAPASLWPPQTPASRPVHTPPPHMDSHQLGMGSLGQHDVLSSLGLKLPPSSSSPAASYYSDQLHAVVSSGAAGGRPHEYDASGGGMSLPCTTAATSLPPVTSSVSAALSSATVGLDLPPVSLPGPEMQYWAGPAAMSVAWPDLPTPNGAFP >DexiUA01G0017110.1:cds pep primary_assembly:Fonio_CM05836:UA:36263991:36264509:1 gene:DexiUA01G0017110 transcript:DexiUA01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRLPAVRRSATRIRRSPSKIARSGNAATACCEIPPLLQKGSTRQPPKKQAAAEGLIAEQDFLTTPPFFHRNPSPRMRQPATVAVKPQRRQSSTGISSRWLELPVFIPQQRHQERNPERELSPRRGEGFNFKPEEPSSYQKMTRRMYAHPWEKGLRGASEENTSNRSNSN >Dexi9A01G0019780.1:cds pep primary_assembly:Fonio_CM05836:9A:14702649:14703068:1 gene:Dexi9A01G0019780 transcript:Dexi9A01G0019780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVVFLALMQLAAVVSCAARRHSPAVSAHTAAVMTVNGFERGGSGGGKSACDGHFHSNGERIVALSSGWLRLDGTRRCNRMIRITTRGGRSVVAKVVDECDSSRGCDDNIVDSSAAVWKALGLDTDVGRVPVTWSDA >Dexi9B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:9B:8783303:8789410:-1 gene:Dexi9B01G0013010 transcript:Dexi9B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRASRPTKPRAGSRRGGGGDDDPFFESEPKRRRGGRDEDIESEDSDDDAVAFGGAVDEDEDEVEGVKEGDEETAGEKKMRIAKEWLKTLTDAAKREEDDEDEDEEEVGGRRVAEILQRRQLEESGRKRRELAARVLPPEPKDGFKVIVKHRQPVTAVALSKDSDKGFSASKDGVIMHWDVETGRSEKYLWPSENVLISHHAKPPLSAKRSKHVLSLAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGPISCLAFGPDSSELFSGSFDRSIMQWNAEDRTYMNCLYGHQNEILTMDALSKDRLLTVARDRTMHLWKVLIFLKYACIPEESQLVFRAPASASLECCSFIDDKEFLSGSDDGSIELWSIMRKKPTFIVRNAHPSLDSDDQELPNENGIHKPENFSMAQSWVSAVAARKGSDLAASGAGNGLVCLWAIEPDSKGIRPLFKFKLDGFVNSLAIAQSGRFIVAGVGQEPRFGRWGRVRSAQNGVAIHPIRLKEEKDDL >Dexi6B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:6B:16241220:16244232:1 gene:Dexi6B01G0010060 transcript:Dexi6B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAACMPQLVPPSSPLHGSAAQQQPAMAAAERRQPGVTETAKIESHGSEEQRAEDNSDRGSAVGKPRPVVRTLTCERKAYMEGFALWRSIGRPELQELDPILSLDEFEFSAPAGFTDHPHRGFENVTYMLEGSVSYHDFSGHKGTINTGDVQWLTAGRGVVHAEVPGGHGVQRGINIWINLSASDKMVEPRYQDLASDAIPVATTDNGGVSVKVIASECLGVRSPLRPRTPALCLDVALRPGVRLHQPIPRGWTACAYVIDGEAHFGGEGATSAGARTLVVFGDGDGDVVDVCAEASGARVMVVAARPHGEAVVRDGPFVMNTREEVEQAREDFRHRRNGFEMANGWTSDHAVAATAR >Dexi2B01G0011360.1:cds pep primary_assembly:Fonio_CM05836:2B:12990367:12991431:-1 gene:Dexi2B01G0011360 transcript:Dexi2B01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDGAVTLGAGSSVGGGAGGGGGAGAALDRSLQAATASVYRVALSSGKELRIRVTWTRAAGAAAAAGATGLAVAVDDGSRAIPAPPSAAARFGTPRRTTVAGAQQQHLQLQKKRGTRSFVTDAGTAVSIYWDTAEAKYGGAAAGPEPSRDYYLAVVADGELALLLGSGGDGARELGRRFPPAPRRALLSRREQVRGGGAFSPHSPAAQAQLVHTSKCRFRDGGAEHEVTVSCRGGEEWGRGGPTSRGNDGEVAVAVDGKKVVEARRVKWNFRGNRTAVLGDGAVVEVMWDVHDWWFAGAGGGGGGGGAQFMVKARGAADGGRVWMDEEMASKGQPPAGFFLHLQCYRR >Dexi3B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:3B:1633927:1637639:1 gene:Dexi3B01G0002380 transcript:Dexi3B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGVVRACAPPAAASLSREAAAQRKSSRTARVLVLGGTGRVGGSTAAALSNLRPDLTILVGGRNREKGESLAAKLGEQSEFVQVDTRNANMLEKALQGVDLVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHGSRSENGEPERLRLEFLTSLLTSGHLVYGKGDFWLELFFYYTAGTGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALGIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPVFWNWAMQAFANFLPAEFLRDRNKVQKLVESVDPLVRAIDGIAGERVSMRVDLECSNGRNTIGLFTHKKLSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIAMEARKLLLERASQGTSNFVMNKWFLFRQP >Dexi7B01G0020170.1:cds pep primary_assembly:Fonio_CM05836:7B:25587177:25590759:-1 gene:Dexi7B01G0020170 transcript:Dexi7B01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNRSATAAPAPAAAPSALRTPRRLRRRPVKAASTSASAPGGGRRSGPATPLLKWDVGGGEGRKLVGAGGEAAAGGSAREKAREVSVRRLAAGVWRLRPPEAVAGAAAGADERRVRVGVEHIPRHLQVQLLKQNTSGHHQSLKNEVSSPISVLERKSGELHKVQLHATSTTMEKATKWEPEDIKGMESHDAYLIASQLNLLDEQRDTTYVANLQVELQQARDRVTELEAERRSAKKKLDHLFKKLAEEKAAWRNREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYETERKARELTEEVCNELAREVEEDKAEIEALKQDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLMLDAKYTQLSKLQQEVESFVAACSCANRDVKVVEEAENIIHAIKSVRAQDDAEFRYEPPAASEDIFSIFEELRPSEEPVIKEIEPCYKNSSVKCESEIQEASPMTDIFLEKKAKVYLNKSPQDESDTEDGSSWETISHEDMQGSSGSPDGSEPSVNNKICDGSISWKSGNDFEYRGNQKLKDDLTDAYLTNMNQPKKKESAISKLWKSSRPKNSEICKKDAVETVNARSSNVRLSVGTYSTVESGIQEIGLSPPSVGQWSSPDSMNIQFNRGFKGCIEYPRTSQKHSLKAKLMEARMESQKVQLRQVLKQKI >Dexi3A01G0031540.1:cds pep primary_assembly:Fonio_CM05836:3A:35979696:35982789:1 gene:Dexi3A01G0031540 transcript:Dexi3A01G0031540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGAMSSLVPKLWEIIKDEYGLQKGVRKEARSLAQELESAHAALRKVAEVPPDLLDEQVRVWARELREASYDMEDVLDAFLVRVDGVGGGEGDQEKGSFIRRLREKMASLLSKSKARRGIAGAIDDIKKQLREVAERRGRYTVGDIAAAKPASAPIDPRLAALYNDAAKLVGIEDAMDELVSMLSLSTPQGEGDGASAKEKKVVSVVGFGGLGKTTLAKAVYDRLKADFGCGAFVPVGRNPDLKKVFEDILLDLDRRTYMKYMKVKTLDERQLIDEIRNFLNNKRYLIVIDDIWDVSSWKTIEYALLDTNLGSRIIITTRNSDVAARAGSCYKLKPLTPESSKVLFYRRIFDSEEKCPRQILQVSNKILKKCGGVPLAIITISSLLAIKDNITEWQEVCDSIGSGVENNPDMNDMRKVLSLSYYDLPSHLKTCLLYLSIFPEDYQIRKNKLIRRWIAEDFIQHEKHGDNLFEIGERYFNELINRSMMEPALLDEEGMPQACRVHDIVLDLICSLSREENFVTIPDHIEQSTSWGISVKAHRLSLQKPTNTSTCSQVTTASMSQVRSFTVFSPAIKMSSLSCFQVLRVLDLEDFDLSEDGPLKLRHLGSLLHLRYLGLRGTSYSGELPAEIGQLQFLQTLDIQGTDIQELPSSTARLTQLKCLCFDYNHRTRLRCSWLKQLTSLEELTTVRVDKDSASELVEALVHLTHLRVLSIKIAAGHGELEESLCRALVESLGNLQEIRHLEITDFAGADLMKQAPPPPKLRKLAVSVVQMRSGGRAFSSLPPWLSPSSLPLLSSLHVAASRVRKEDLEVLGAMPALRYLWLGATGLIEEWPAQEGRSSAFVLGGGAFPRAIECAFLNFVTVPSMFKLGAMPMVRRLRFCIRDWDFANGGDLDDLAMAHLPALEHVVAEIYTKRACSREVVVRLEEALKQAEDQHPNNLLSVRTTHKSYGTFESYPLLWRETVLRADRPNPSYIYWEYDG >DexiUA01G0026590.1:cds pep primary_assembly:Fonio_CM05836:UA:56886368:56887880:-1 gene:DexiUA01G0026590 transcript:DexiUA01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQYLYNFKPTLLQCVEERLRRLISTLIRVSKQRIDTEKTGHRLVITSDVGRQIFQMNQKAKEEWDKKQAEEADKNKKQTEADGSGAAESEKEKEESRSKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAAASQSGRGSGPRPLSKFGKGPGENQEGSKRSHSAAFGTGGMKRPGRTPFAGPQRTISVKDVICVLEREPQMTKSRLIHRLHERMPGDSTAD >Dexi7A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:7A:22418202:22418822:1 gene:Dexi7A01G0012140 transcript:Dexi7A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQPDYEPGPGTCCCCLVFLAATVGFIYLMVLLSVSKGATYSVTVTGVAGLDPAADLLSASRPTLSPVFNLTVRIDNAREKFSTHCLGSFAAASVTYGDAFLGKGSVPEFCAGKGKVRERGARAWGHGVDLPRFLRDQMAGELAAGEAAVDVEVTVPDQECYHHCFDTVLTCSQAKIGGGPAPCTVHQNVVHHGHDGETAAGSND >Dexi9B01G0048530.1:cds pep primary_assembly:Fonio_CM05836:9B:47477374:47479494:1 gene:Dexi9B01G0048530 transcript:Dexi9B01G0048530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCHPVRIRTGGKTARRNAAKVFCSMQTPPSQSTIKVVIVGATKEIGRTAIVAVSKARGMELAGAIDSQCIGEDAGQISGMEEPLELPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPDPSDLPSQDAIQIANNISDLGQIYNREDMDTSSPIYTLRHDVTNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >Dexi5B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:5B:8275140:8275759:1 gene:Dexi5B01G0011700 transcript:Dexi5B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVISTVLSDLISRSISFLINKSQAHVSNTDNKVSRLQRLLLRASTVVEEAEGRQITNRGMLLQLKKLKEVTYRGYYVLDTKTDVSEER >Dexi3B01G0033570.1:cds pep primary_assembly:Fonio_CM05836:3B:36319690:36323611:-1 gene:Dexi3B01G0033570 transcript:Dexi3B01G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPAGSQVTVMVSPTRGKAESPGGDAVVKRGAGGIGITSPAPRHSLGGAGSPATATVQLSPVRRSGGGSRYASRDTGVVDDNAEFVHYTVHIPPTPERAVAASADSIDAPRASAAAAAEEEEVRGAQRSYYISGTIFTGGLNQATRGHVLNTSTAGGAAAAVAASANMSCKMRGCDMPAFLASGGAGGGPCECGFMICRECYVDCVNGTGNCPGCKEPFSTGSDTDDTDAEDDDDDEAVSSSEERDQLPLTSMAKRFSLVHSMKFPPSGNGGCAGTATGGKPAEFDHARWLFETKGTYGYGNALWPKDGHAGAGATGFSGFEEPPNFGSRCRRPLTRKTSVSQAILSPYRLLIAIRLVALGFFLTWRIRHPNPEAVWLWAMSVTCEVWFAFSWLLDSLPKLCPIHRAVDLDVLAERFELPTARNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAGTLPAALPEAAATVKATWMSDGSHWPGTWLAAAPDHSRGDHAGIIQAMLAPPTSEPVLGGEPAESGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSSALREGMCFMLDRGGDRVCYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRRKPTMGKKTDRETDNDKEMMLPPIEDDGFNQLDDIESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHHGRPAGALAVPREPLDAATVAEAISVISCFYEEKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNVGMYPFTSVFLLVYCVLPAVSLFSGKFIVQSLNVTFLAFLLIITVTLCLLAVLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGSGDDNEEDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLICMTVSLLWVYINPPAGGRERIGGGGFSFP >Dexi3A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:3A:1391375:1397186:-1 gene:Dexi3A01G0002080 transcript:Dexi3A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFFYKWLVTKYPRIVSHAKEEPSPDGIGYDNLYLDMNYIVHSCFHPQNRMHADTDHVCPPMTASEVFESMFQYLDRLFCIVRPRRLLYLAVDGVVPCAKMNRVRWGRYHAAKQATNEAIQQEKMNKELRDQGKEVPRREISDPNVIAPGTEFMVKLSQALEYYIRARLNTEPGWKDLMFAGDLLIEVYKTTFNKMGGYIVNTDEVKDKHGAYLEVSRLEIFFRELSMYEEKIFLKRYELEQDFLHEVYCEKLCEASESERPELRRKLDNILYNEERPYDRIRLGLPGWKSRFHREYFGIDTSNEIGKLQNEMTEKYLEGLCWVFQCYFADVPSWSWHYAYNVAPFVSDLKGLSRFEISFTVDKPLRPFDQLMAVLPFPSRCLFPACYRWKIMGRQEYDYPKLHADMDGEHFWWTGISEDLLSVKRAVDEELTTQEMRRNATRQEKIFLLRNSDALPHIKQAIIQTLCCSPQKLPIDSSTSGIGGWLSRDDDDGLNNGFFPSPTKNLQDITDDEAISALFFNPKSANPISRLLTNVRVPEKTVTGADISKRPLWHTYPGSRPPRPIVQRPDSIWKPSTPATPREEHKHAGTGWLGRGRGNATATTAAQTQLTISSCYRKGFSQNRGSSSSSSSRFDNNGDALWTPGGGGAGHGGRRWRHCQHSSSIASSFLRLPVSPSLTP >Dexi8B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:8B:5981509:5983363:-1 gene:Dexi8B01G0005720 transcript:Dexi8B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLVSLVLVQFLKAKADMEALAVSAVASPVAGDDDVNSLKVRPSMESVVYSFWVAGLVIYNIFFGKGGTSLVIILPVLPLWALGGCRMVLRFFAFHRATRSFAHGRNVQLIHGYMVQLQEAADYPDVPRLIVTGERKQDIEETPVGYRVKQSIVEEEPSSSLVTLDRIWSESKPPLDRDLCLSFALFKCLRRRFAGYPLAEAGSGWAFRFVSDGLLGPQDDHERIFRVIATELMFASDFYYSPLPVASLGSLYAGLYFLWSAVIFSWLCLLVLLLIALIFVFADALNDGTLDKKLVPRLPIVLGVVIAWMEMSEMLANVRSNWTKISIVGHYIRCHDHRFLQRIFTWLLRRNKTPKQKCKDEMGQVDLLANLGNHQSSCARFFMKYLYIGRQNPVISIKVPLEAKAAILNSFRSSGGQLSAGTAAVQRRRQAFSHDITWACLGGEAVTNTTDTILIWCVATGLFENRCSSRLSSSMQTTTKKEVAVCLSRYCMYLLAEVPGLLPDNSAWTKRRYQEVMESVKAAALPTSGGSGGGGDIETGAYGQPVVFFSGEGFHEVLKRGSELARQLLDEAEKQRSSEEGAAGDRGEDAVWEMLVEFWSEMLLYIAPST >Dexi5A01G0027780.1:cds pep primary_assembly:Fonio_CM05836:5A:31165226:31165752:-1 gene:Dexi5A01G0027780 transcript:Dexi5A01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHACLPGAGCRSGFLSIGSVSRRTPAGRGVATSVRAIDGASAAAAVAAAADAPLPPAQVTWQIVVGAVGRAKEM >Dexi2A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:2A:3794613:3796352:-1 gene:Dexi2A01G0004250 transcript:Dexi2A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRGREETTVVDCSRRKTREGRREGGKMAPMKKSKKGKRKSKDSGKLKVARTRSAPPPLPPELRGLDTEWWYTFLAKHTELGHAIPSDEGEAFRHFFRTSRKTFDYICSIVREDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGDSQVSVGAAFGVGQSTVSQVTWRFIESMEERARYHMAWPGQERIEEIKATLEAVYGLPNCCGAVDATHIIMTLPAVESSEDWCDAAKNYSMFLQGIVDDEMRFIDIVTGWPGSMTFSRLMKCSAFFKLCEAGNRLNGPVKVSGENAEIREYIAGDSCYPLLPWLMTPYEGKNLSAPMLKFNARQKAARLLGTNALTRLKGSWRILHKVMWRPDKNKLPSIILVCCLLHNILIDCKDELLPTVEIPEHHDTGYSEENCEQVEPNGKAMRENITAHLQSHEAPKLLC >Dexi1B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:1B:20119752:20120934:1 gene:Dexi1B01G0014030 transcript:Dexi1B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNTTVLSLCVVLAFLAGQSAAGRYYADKVQDKVRKEVEKAIAYNPSVGPALVRLVFHDCWVNGCDGSVLLDKTPTDGTNTEKKAVNNIGLAGFEVIDTIKQKVGGSASCADILVFAGRDAADILSGGKIFYTLTGGRKDGVSSSASAADATLPSSTFDFSQLQDNFGAASHGFTVEELVVLSGAHSIGVAHLSSYQDRLAGADVTPIDSSYQAALIKVTPPGLLTQGQDPTVPNNARDASSAFQKAAAYDPVKLGVNPTRGVLDNSYYHNTLENKVLFKSDWVLRTDSFAAGKLEEYKNNATEWNSDFADAMVKLSNLPALQGKEIRKSCRFTNQQYY >Dexi7B01G0010280.1:cds pep primary_assembly:Fonio_CM05836:7B:17648831:17649453:-1 gene:Dexi7B01G0010280 transcript:Dexi7B01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRIVIEVQMTGDKSRSKALGLIASTQGVQSVAIEGRERNHLVVVGDGLDAVGLTSYLRKKVGGAQIVQVEVVGDVAAGPQQQWQPRYGSYYYSPAVRRAPYCYDDHQSSHSHPDVDSSCAIM >Dexi2B01G0006050.1:cds pep primary_assembly:Fonio_CM05836:2B:5681638:5682692:1 gene:Dexi2B01G0006050 transcript:Dexi2B01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSDELLEEILFRLAPDDPVSLVRAAAVCPRWRRVVSTPGFRRGFAQRHRTAPMLGFFANMRDWDEDREVDDDDYESYDYVARFVRATRFYPCRGERRDFRALDARHGRY >Dexi4B01G0019790.1:cds pep primary_assembly:Fonio_CM05836:4B:21932290:21940930:-1 gene:Dexi4B01G0019790 transcript:Dexi4B01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASPRTTRELMDALTAHLSLYHAAANPSPASSSSSSSSQRGAILRWLGSLSPAARAAAATSILSPAAAAALLSMLRRLRLRGHSSFFVLHSSSSPSTSSAPARGGGEEPTVLSRLSRGLLARAAAGSRSHALLFGNLLLFPSSPASSSRCPDAITVAEAFLADLDGFVAAMDEISGGRFLCSGEVEVDLTALACQDFPELPWLDAKGYYVIEEFVANRLEIALRMSWAAAGGGGVGGRKTVRVGKSVKEKAGLAANAFWREKGYVDWWMRLEPRMRARIMGAFFGKGAVALANEMVEGSDIAWDNFSSPLALAATAAGDILVKLRGLLMVVSTESINLELIGDGAPKKKDVGKNSGGSRKGKKKSDTSKKLAASAKPSKMQSSAWSGFPAQLMHRGGICSDSDIGDDTESYWFSEEESDSRAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVIRVVDDLANGIPSTYTNGVSSPPSTPSCSQNESFDPAAQSITGNDINSEALTSPSSMQESPEDKTSSVAKSVPCGNEVVKGDTLPYAMLRPIVVPISRRSSRSDIKGGHDHRSPCVSSTRDIPLPRRPPSPVVLSVPRMPRPPPPSPAGESRKRGFPIVRSGSSSPRHWGMRGLFSEDKIFHRAQFCLDGPEVVWPSWGNKGTSSGTLVQSIEDTVLQDHLVKISQLSRDQHPDVALPVQPPDMLNGSSHKASLSLMHNVLHEEIDQFCKQEPIKEAGILEGRNGIKETCLQHAARCLTNQDWVRSDSLKTVENTAIPVIMLVADVPCDTNTFNEYSSVLDSSQEYSVNVLGEQGSPPRSDTSSSEGSNMLVSSKLNKDDCDIVQSIRLDISFKSSSHTGLQTTELVRQLTQQFPAVVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELSQFSSECSMPASSFYILKKIIPSIDSDEL >Dexi7B01G0000150.1:cds pep primary_assembly:Fonio_CM05836:7B:739427:743925:-1 gene:Dexi7B01G0000150 transcript:Dexi7B01G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMDFMWNSISGGIPKEVGNITALELMSLDNNNLDGSVPSIIWQNGFPEQYSHQSIKSSFTPS >Dexi7A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:7A:20486781:20487983:-1 gene:Dexi7A01G0009490 transcript:Dexi7A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPSMPPAMPGATAAAALAGGFPASTQTQTQADDTIERIKLGLSRAIMSEPVAGAGADKQAPWAAAASDMPEGMTGMYAAYGQEEFRYDNGVVPEYVLGGVGGEADQLGTSMWSHSHQSLYSGSSGTDVAARPAAPAPLPEKAGNDSVGSSGGGDEEADDVKDGGKGGGGSDMSALFGSDCVLWDLPDELTNHMV >Dexi1A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:1A:1553095:1554671:1 gene:Dexi1A01G0002350 transcript:Dexi1A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGSVSVPVPWRRGDFARGSSLRASSALDPLLRGADRLSSEMKRFKELAGLEPLVDEAERRAKEADWLQYLRQMARYRVHRERMREAEDKIRDNDPKQGGEYFNRIDSSFIDLTRFDLDEESPLGPMRFTDTVYKSKDDYELCEGINFFSVRIAVSDVGFPIHVYGTVIARDSLDPRCVYLFRRDRDHCQLINSEV >Dexi1B01G0021290.1:cds pep primary_assembly:Fonio_CM05836:1B:27218624:27219773:-1 gene:Dexi1B01G0021290 transcript:Dexi1B01G0021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSATPRNIRIQTPRLDRRVDGTNQVPPKFIRKATPARLMRRVRSSHNFRQRVGAIDVINEWRLPKVSEGEDEGGEQKDWQNETGGNHSDRAFGDSDGENCPVAAPRMERRLPSSVLKPQGNFVVHAKLVAWKDAQVAKLLEKGQTEDERYRVGIGEEES >Dexi2B01G0033240.1:cds pep primary_assembly:Fonio_CM05836:2B:40892660:40893190:1 gene:Dexi2B01G0033240 transcript:Dexi2B01G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPWGRKPRRTGSRRSIHARLASLLHQPDAENWATPRRHRPRRRRRRGRHGRMRSSEAMELLGFPPYSRPSPSEVKAAYRRMVMESHPDRVPTHLKSQAESKFKEVR >Dexi5A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:5A:615590:618530:1 gene:Dexi5A01G0000880 transcript:Dexi5A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLMLHPFNAYLEQELDRRFRLHRFWEAPPGAPRDEFLRAHAGSIRAVVGNANYGADAALIDALPALEIVASFSVGIDRVDLAKCRDRGIRVTNTPDVLTDDVADLAVGLAIAVLRRIPQSDRYVRAGQWKAKGDYALTTRFSGKRVGIIGLGRIGLAIAKRVEGFDCPVNYYQRTKQAYPNYTYYPSVVELAANSDVLVVACPLNAQTRHIVNQEVMNALGPKGVLINIGRGPHVDEPELVKALAEGRLGGAGLDVFEDEPNVPEALFGMDNVVLVPHVGSATHETRTAMADLVLGNLEAHVLKKPLLTPVV >Dexi5A01G0037970.1:cds pep primary_assembly:Fonio_CM05836:5A:39105874:39109050:-1 gene:Dexi5A01G0037970 transcript:Dexi5A01G0037970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDFNFTGFPQHEQPQNHSRASSDSTSRDGYSGSGQENLLPIANVGRIMKDALPPQAKISKRAKETIQECATEFVGFITGEASERCRRERRKTINGDDICHAMRSLGLDHYADAMRRYLQRYRESEELAAALNSGSAGAGGIQIDGATLFNSVRVRVQQPARSISKSSSMAIEAYSVDESERRYEQLFDPPYVLVIISLAPASLDHPSAMEPHGRKKKKKKKGSPSFLIKRKVQSATIYLDTPPPLTTASGGEQPEFTVTLRYRVNRYTKGGPKLRSELSYHGGGAEASFGADDVPRDEDGVRTVMRSLLRAICPLQRGTSSGKAILPEDVVPKLAAMARGGGGGAAAVVELEVDRHVRYSAPRVLLTVCWEAVAGGECSICYEPLRKKKVAAGGVELPGCAHAFHPRCISKWFRKKPTCPLCRGDVTKHLDPELRMHLAVVF >Dexi4B01G0023000.1:cds pep primary_assembly:Fonio_CM05836:4B:24499550:24499750:-1 gene:Dexi4B01G0023000 transcript:Dexi4B01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRRSSAAPTRYGAVTTPFQSDAAGGGRSGRWRWQERAVEVAGAGGGGRRWAATAAGWGRRRWQ >Dexi4B01G0022050.1:cds pep primary_assembly:Fonio_CM05836:4B:23807913:23809215:-1 gene:Dexi4B01G0022050 transcript:Dexi4B01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALELSSFEVPSSRVGVLNAPSFCASHDDPSGRAFSGEACGRNSSKFTAQLLDCAVDAARAVTSPGAGLAVSPRGCRVNAGVLPCLAPRGSINSLAVPMPRPLPSALFALAFLLLAAMAQFVDPAPWKPSNATKHCLDSLVEVGVLPPNVDGEPPVWISPGTATEPAPPQGYVVSLARFHERGFGVPVGRFMRALCFHYKVELHNFSPNAISQAAVFVATESKEAVLVAQTETQRELDELVQAASAACNEIAGPGLHRLRALGGHFSSRVKEALLLGVRKALGVVTTHYQADLSKLAAGYVVADDLNDEEAEAAMDEADAAADGTARVLAGYFEGALFPGEDGGGWDDLGGGGEP >Dexi1B01G0005020.1:cds pep primary_assembly:Fonio_CM05836:1B:4095392:4103475:-1 gene:Dexi1B01G0005020 transcript:Dexi1B01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNITNILEKMTGKDKDYRYMATSDLLSELNKESFKADQDIEPKLVITVLQQLEDASGDVSGLAVKCLAPLVKKVGEEKVVDMTNKLCDKLINGKEQHRDTASIALKTIIAEVTTPSLAENILLALAPQLIKGVNTAKGAEIKCECLDILGDVLHRFGNLITKDHESMLTALLSQLGSNQATVRKKSVSCIASLAPSLSDDLLAKATLQVVQLLKNRSAKSDIIRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYVSYDPNFTDSMEEDTDEEGQEEDYDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINKQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALSDKSSTSNLKIEALVFTRLVMASHSPSVFHPYIQALSAPILSAIGDRYYKVTAEALRVCGELVRVLRPNLEASAVDFRPYIGPIYNAILGRLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGSQIGSSSYETIIAELSALISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALILIRSSLLQGQALQALQRFFASLVQSANTSFDALLDSLISAAKPSQSGGLAKQALSSIAKCIAVLCLAAGDQKCAATIEMLKGILKDDSASNSAKQHMALLCLGEIGRRKDLSNHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQTVDHNGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPKKLIPALKVRTSSPTANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVIKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSIVEPIEKTISHKPKADAVKQEVDRNEDMIRSALRAISSLSRISGSDYSIRFKNLMNKIVTTPALAEKYNSVRSE >Dexi7A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:7A:15964978:15965490:1 gene:Dexi7A01G0004950 transcript:Dexi7A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDLELERRRRARALLDATAPGRSIAVRRCKLALETFRRDGDLREARALLRDALACANVHHPSIYRAWISMEEEAGNPAAAIRDLFEAWRGHQLDKKENGGGAGSQDDEGGGFWCRYIGFELRRGSAASARGVAERAVAACPRDPACTPGTPGRSSAWGAPAAPAPCS >Dexi5A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:5A:21936060:21945374:1 gene:Dexi5A01G0018420 transcript:Dexi5A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNQRLINFLRDKAQVEQLLRYVVEEVPEDSEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMNYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADENIYSNYGDTLQWLESTDVLEMIADKFSSSIVEFIAVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCNIVGKILVAERLSSLSTESNGENTEWVEWQTNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGDRGINDRLSGSVPSSSPNSEEICLETEEADDGKAIGTEDEMETVCLGNGSTEEVKDVAECIEQPDCSTTDEPLQNTEGMECHSDISNGDPEVVRDEVGSAAAESSAPSVETVAEKMVDEPLVAERTIDEPAVSADVDNSVSEVSPGVNGSEPTDTEVSSEQVSHHTDVQQPVKDVAGEDIDETSAVKASD >Dexi7A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:7A:23062319:23067301:-1 gene:Dexi7A01G0012880 transcript:Dexi7A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLNLSLNQTQRVRLEAALHELQSLVPAAASAAAVTVADTIPVNQEDNILKYISLSQENTIQEDTISLFVCADELVWCRGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFVENDVICVVLESLLLYADTLRVINHQAEVRGFQHDGSLHLQARSEKYGKLERGQLLMVPPYLVKRKKQHFHNLAQYDVDLILGCNGFIWVGEHVLVGENVKTTEDRQKYSNEAENFTPLETRKHICRLANAIRVLSALGFTLTVELIIETAEASVSSNVEVNDMLGAEFYVQTAEREAKRRAALLRKKKGGR >Dexi1A01G0018910.1:cds pep primary_assembly:Fonio_CM05836:1A:26093695:26094087:-1 gene:Dexi1A01G0018910 transcript:Dexi1A01G0018910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAAGATACACEEEEDDLELLGGEAEPAAAEDDAMEPAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRAS >Dexi9B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:9B:793885:796005:-1 gene:Dexi9B01G0001350 transcript:Dexi9B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTWPPTLSSPPVPLSRTAKLPPAPARLLPRAQPSNAPPTMAAASVAGEPPDVVLDCKRLDALMKSGRLEDALDLFDRMPRRNVVAWTSAIAGCTRNGRPDAAAAMFVAMLESGVEPNAFACNAALAACAAAGALGLGEQVHSLAVRAGLAGDAWVGTCLVELYSRCGSLRAAGEVFRLMESPDVVGYTSLVSALCRGGEFARAVEVLCQMMSQGLQPNEHTVTSVLSACPRVLGEQIHGYMVKQMGLQSVYASSALIDLYSRNGDFDMAKLVFQKLDSRNVVSWCSMMQLCVRDGRLEEALRVFSEMISDGVEPNEFAFSIALGACGSAALGRQIHCSAIKRDLMTDIRVSNALLSMYGRNGLAEELDAVLDRIEKPDLVSWTAAISANFQNGFGEKAVALLSKMHAQGFTPNDYAFSSGLSSCADLALLDQGRQFHCLALKLGCDSKVYTGNALINMYSKCGQIASSRLAFDVMNLHDVTSWNSLIHGFAQHGDANTALEAFIDMCSSGCKPDDSTFLGVLVGCNHAGLVEEGKMFFTLMIDQYGIIPTPSNFACMIDMLSRNGRFDEALGMIEKMPSKPDVLIWKTLLASCKLHRNLDVGKLAADKLMELSKRDSASYVLMSNIYAMHGEWHDAERVRQRMDEVGVKKEAGESWIEVRNEVHAFVARDTSHPDSVSICKMLAELVDVMQDIDHSDVLMQVP >Dexi5A01G0015310.1:cds pep primary_assembly:Fonio_CM05836:5A:12832904:12834354:1 gene:Dexi5A01G0015310 transcript:Dexi5A01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPARARHTHRAYLEAELGKGEAKLARVRQGGPDGLGRWDRALDGVDTAEEAQRLLDDVDAAIKAARERRRALGMPVEEEDDDVGAVLEGVQPLSFAAGTAVDGYLMPHANGGDNTSSSDHQAIWSGGNGFHHQGMQHGGYYGFHQYSSCDGAGMEGYHHLQMAPDMYGSSDAYQQMQHGYLGVSSDSQMLLGRGAAAQPNLAMWSGADEPCLAMVPVEYPSADAGINYADTSAAHCAHQDIGGGSSFAMGINSNFVSSAPALSLGMGTGTGSGDSSFINAAPAATSYAMGGSGDNFTKVMPAQPLTMSYGGDLTDVGRQWQTQCAGSNQKPSIDEQLPYLGDLEDTQLHLWGN >Dexi2B01G0004550.1:cds pep primary_assembly:Fonio_CM05836:2B:4139877:4140215:1 gene:Dexi2B01G0004550 transcript:Dexi2B01G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKWAMASLAPKLGELLKDEYVRQMGLKDDIVTLSRELVTIHAALIDASRVPPDQLTELDKLWAWQFRELSYEMEDVVDDFILRVAGRESDVANTDANVFNKIFSMIAAV >Dexi6A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:6A:351739:352542:1 gene:Dexi6A01G0000600 transcript:Dexi6A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVSASIGVMNQLLAKLTILMSDEYKKFMGLHKEVSFLKYELSATKALLEKMDNADELDPQAKNWRRDIIDMTYDIEDYIDDFMHQASEASDKVGILQKASHSLRAIKDRYRIANQIQEIKSRVLQASERRMRYKVDERISNPISTSIDPRLLALYKESTNLVGIDKQNEHLVNLIRDGGQQLKVVSIVGFGGLGKTTLANEVYREVKGQFNCNLFVSISQNPDMTRLLSGVLSQQLHLPPPSYACEVTDLIDMLRGYLQDKRY >Dexi1A01G0027070.1:cds pep primary_assembly:Fonio_CM05836:1A:32957213:32959836:1 gene:Dexi1A01G0027070 transcript:Dexi1A01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAEEIEMLLHEAEVINTAKGPITIEIYKDASADVVGRFINLCKSNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNNLSPKHEAFMIGTAKNPNNKGYDLFITTAPIPDLNDKLVVFGRVIKGEDIVQE >Dexi4A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:4A:12043880:12049091:1 gene:Dexi4A01G0013060 transcript:Dexi4A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRPMLCCLLALLPLLYWLKSQLASRNSHHGNNLWLPPAPWQLPIIGSLHHLRGALPHRALRDLAHRHGLLMLLKFGEVPVMVASSREAAKEILKTHDALLVTRPKTTTFKILSKHGLGIALAPYGDHWRQLCKICNMALLRAHHVWSFRAIREEEVARLVESIMSASAAPLGNLSKMIAGYVADAAFRAIMGNRLKARDQDALIYQLAEGVRLEAGFSLADLYPSSRLARLLSRASRKMEIHREAMLELMDIVDLFAGSNDTSATTLEWAMAELMRNPTVMSKLQDELRGAFAAMMKVSEGGLHELSYLHMVIKETLRLHLPAPLLLPRESQEQCQILGYDVPKGTMVMVNAGALSTDPEYWDEPQAFRPERFADSEIDFKGNEFEFIPFGAGRRICPGMFFGLANVELALANLAFYFDWSLPEGLSCSELDMTETAGVTVRKTTDLWVRATPSSLLPR >Dexi3B01G0035420.1:cds pep primary_assembly:Fonio_CM05836:3B:38328753:38335121:1 gene:Dexi3B01G0035420 transcript:Dexi3B01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMVNVFYTYADVPVTEYEKERAQRMIRNNQVLQSLGVTQLASFLNSCSNGKSKRVACEDSDSLYERADDDEANEHGVVDKEKCLKAKVSRGKVQYPHQTGSRCYIAQTNVLEQMKAIMAEPTAEGQDPKTPAEAIAQVLPSSKFLQNVGLEKKAPNKTTATAARVLELEAEVQAERQDATVLRCQLEDLNSKFEEVVAAKEKQQEELDILKKQGEETNALLRRLLCVNRE >Dexi9B01G0042590.1:cds pep primary_assembly:Fonio_CM05836:9B:42814197:42816368:-1 gene:Dexi9B01G0042590 transcript:Dexi9B01G0042590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEKATSDEHKNLNSEGRKKYSVVAQKVSTNLRYSNEVKKIDKMETVSAKGATFPAKTKFPEKTLMKKSITVDKVTTANQSWDKRPASSPSKPNITKQVYVPSQASSHYVLLKHKSTQKGKVTSPAETITRVHAKPGQSATRSSAANRKGKEGLDMSGSSLSVKPKLIASVEEQKDDLQIRGYYIESIQAELSPDATEYVDNSQPAPVETSKSISEDDVVWSTEKGELIAGEALMESVVTLEQQESLDSQEFNATLGESDPECKLAEQNIINGQASKAEDGQTDAAALCRLSEHITTVENTDMYDSLWIGNDSKIEDDQVEVNAYVEPLISECKEQVSVCEDLGTSPELLAVHEKHVEEPESCLDFASGNAIENVKADEFFDDRVNNSTSHCQSISETSSDSASGNAVVNVKTDEVFDARVNNITPHCQSISETSTYFASGNEVANVKADEVFDVRVNNSTSHCQSISETSSDGGLLEEPKSMLIEPSDSAVDELTSVSNERTIEQDGLKSKVFISQSPEELSDDEFYEEYDFESSESGTEDEEDGKPASSTQQAGNSQDLFPDDERNAPEEAE >Dexi8A01G0015570.1:cds pep primary_assembly:Fonio_CM05836:8A:26684552:26685742:1 gene:Dexi8A01G0015570 transcript:Dexi8A01G0015570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHVFTIDGFSLSKGAELDRFISSPTFTAAGHRWLLRYYPHADTTWGSWISMYLQLAPCAMRDVTARFAISLLDWNDQPVPSCTHAVTRPRRFSTSGYFDTMAGFRKFIKRKDLKRSGHLVGDRFRVRVDITVLNETTILGGTTAVANAVRSAAVAPPPTVANAVPAVRSAVVPPLPPPPPSPKIHVLKKEMTKEEDDDTLERFVVVPPPDMDRHLGRLLSSGEGADVTIEVDGETFMAHRTILAARSPVFKGELFGPMEEGTSPTRVRIKDMEASVFKVLLHFVYTDSLSPDVEDEGEAMAMVQHLLVAADRYGMERLKFMCEDKLCNCIGASSVGTILALAEQHGCEGLKKACLKFLMSGSNLKEAIETDGFDHLTNSCPSILKALLSKVAL >Dexi2A01G0025580.1:cds pep primary_assembly:Fonio_CM05836:2A:37174886:37177534:-1 gene:Dexi2A01G0025580 transcript:Dexi2A01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSRAVTSPACTARLEPRRRHQHGRWSSSSDLPRRTATATFLANKARERGRGCGAAPCSSSVRADTIGCLEAEPWGAAVALQVAPPATTAPAEQRVHEVVLKQTALAAAAPRTKARIEPMAGGLKTAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALSDTVANFPPFRDMIEGMRMDLRKSRYRTFDELYLYCYYVAGTVGLMSVPVMGISPDSRAATETVYKGALALGLANQLTNILRDVGEDARRGRIYLPQDELEMAGLSEADIFNGRVTDDWRSFMSGQITRARAFFRQAEEGATELNQESRWPVWASLLLYRQILDEIEANDFDNFTKRAYVPKTKKLMALPKAYLRSLMVPSSLTLSQRHYSSL >Dexi3B01G0015620.1:cds pep primary_assembly:Fonio_CM05836:3B:11318539:11322484:-1 gene:Dexi3B01G0015620 transcript:Dexi3B01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQRKKVSSAETDFFTEYGDANRYKIQEVIGKGSYGVVCSAIDLHTRQRVAIKKIHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHSANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPVPFSQKFPNADPLALKLLEKLLAFDPKDRPTAEEALRDPYFKGLSRIEREPSCQPIRKVEFDFEHKRMSKEEIRELIFREILEYHPQLLNSYMNGTERTTFLYPSAVDQFKKQFSHLEENGGNSPSVPMDRKHASLPRTTVVHSNPIPAKEQPLAASSRPRSVSDDSCKNPWEKERAPGNVPRASLAPQGLQAQAGPGRLNGSVMNSGYPSHQQIPQAYGYHQMPAGLNSTSQSKAMGGYTMHSQAHACANSKGTADVSVNMRAPPFHVPAGPKNNPLDRIASGTDIYTRSLNGIVAAAAASVGTGTGTHRNVGVVPSGVSRMY >Dexi2A01G0014640.1:cds pep primary_assembly:Fonio_CM05836:2A:24457064:24461061:-1 gene:Dexi2A01G0014640 transcript:Dexi2A01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPDSSIQISWRQEGTLGSGSRATAVCRSLPACHRRYLRLASDKKGGLEERSERDMGRHSRFLSIRAQFDGLEWRMGRQHLGPLQKVLTGPWLVISSYLVVTFLAHQSFTFVLQQVENVFDQLITKIEAAYFDPRPLMKRWNTLDRYEPIKRRCCSLVVHQAVNVITHYLVIDDKTCEKRLWQMKRLDRGNKFYLCEVSSNMVVDATYKGNMSRFINHSCEPDTEMQKWTIDGETRVGIFALRDIKKGEELTYDSNFVQFGKNQDCHCGSSNCRKTLGKAKMVNSFILNKGNSGSSQNQHIKKKQKKSGDLNKE >Dexi1B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:1B:2418251:2418582:-1 gene:Dexi1B01G0002950 transcript:Dexi1B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIISGFQGEIPASGRRGVPFIAGRRNMAACAKHYVGDGGTTRGINENNTVATFHELLGIHMPPYYDAVIRGVATIMVSYSSWNGGMY >Dexi3B01G0014420.1:cds pep primary_assembly:Fonio_CM05836:3B:10414314:10420984:1 gene:Dexi3B01G0014420 transcript:Dexi3B01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDELGRRLAAVGVSEPPTAGAALESSNNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTQELNRIRSEATNTNQTTSRVIHQDHTHTDTFSSAPLGSTTDAFKWGAGEPMLQDAMRHKYLEATQSNGISRKLSGDQSAVDSAFPSQLSTPSSRSLSPTRNRKEPDYDSRFNMSGQGALPVSELNSNIIWKQDLLAKVKEHEEEIAQLRRHLSDYSVKEAQILSEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERTTFVSSLLPLLSEYNLQPSVLDAQSIVSNLKVLFKHLQEKLIITEVKANLDIVPQQAYSQIQSPASSPVRGRRDWDFNHNMKDAPQGTEHDSRAVRFNIESKDQNPSFKDLIRNDATESLEGAEAQISQEPSAQWESDGSPHLTSGLDDATQPYPYLPTVLEEPSSSFSEVVEDDPLPAIDGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLDNGSVHFIEGARQPTYLVTADDVDSVLAIEVQPLDDRKRKGEIVKVYANDQRNITCDPETKEHIKKILSTGHASYEVLLPINIPYGRPNEFSIGSADGAEYTLKPAENAPSRDAIVLVLRLFRMKAVEKSKGRRKGIFFK >Dexi9B01G0004010.1:cds pep primary_assembly:Fonio_CM05836:9B:2326378:2327808:1 gene:Dexi9B01G0004010 transcript:Dexi9B01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLLHLEFAAVPLLALLFLSSALTASSQSVFSLDRYGGHGDGRHDDTQALAMAWKAACASPRPAIVLVPGGKRYLLKLVRLSGPCKSSVTLTVKGTLVASPNMADWSDKDRRHWIVFRSVDKLTVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVEDLKIVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSRDVKVTNCKIKTGDDCMSIEDGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTISKDAIKMNCSKNVPCHGITLQNINLKMQGGKGTTKSTCQNAKWRKSGTVLPQPCTAKN >Dexi1A01G0030600.1:cds pep primary_assembly:Fonio_CM05836:1A:35846404:35848654:-1 gene:Dexi1A01G0030600 transcript:Dexi1A01G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHTHFSLFGYLQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Dexi4A01G0013710.1:cds pep primary_assembly:Fonio_CM05836:4A:13964126:13967501:1 gene:Dexi4A01G0013710 transcript:Dexi4A01G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTGAGTVGEGMRRLTQLSLVSKVCSELEAHLRVADRVLAEFVVDLGRASASAADFADALRDHGAELPDYLVRSLHGEPELYQVRRGRVTRVADAGCFVRLGGARGREGLVHVSQMPARRVDVTRGQEVFVKVVSLDGAKLGLSMRDVDQDTGRDLLPFRRRSAEDDAPRANPPADRGRAAGKRKGVSGIFVPDDDVGSAPRRPTRRMSSPERWEMKQLIASGVLDAKDYPCFFDYDDDEMLYQEEAEDELDIELNEDEPTFLRGEGRSKADLSPVRISKNPEGSMSHAAALQSALVKERHDIRTQEQRGMVDAILKDLNRSWEGPPCQRSRLSIQEQRESLPIFRLKKELINAVHDNQALVVIGETGSVEILHTKQPESDYMDAALITVLQIHLTEPEGDILLFLTGQEEIEHACEKLHERMKAFGGDIPELIICPVFSALPIEVQSKIFEPAPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKLGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYCNEMSPTTTPEIQRANLGWMVLNMKAMGINDLLNFDFMDPPARQALISATEQLYSLGALDGEGLLTRLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFHRPREKQAQADGKRSNFFQPEGDHLTLLTVYETWKAKGFSGPWCVENFVQVNSLRRAQDVRKQLLEIMDKYKLDVGSAGNNPVKIGKALAAGFFFHAARKDPNGGYRTLADHQQVYIHPSSALFHQQPQWVIYHEIVMTTKEYMREVTAVDPRWLVELAPRFYRSVDPTKINKRKRQERIEPLYDRYSEPNSWRLSKRRW >Dexi5B01G0018920.1:cds pep primary_assembly:Fonio_CM05836:5B:21271254:21271563:1 gene:Dexi5B01G0018920 transcript:Dexi5B01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTRKRIPKGYLPIVLVREDEGDAETRVLVRVKDLKEPCMDALLEMAEQQFGYGQQGVLRVPCDTQRFEHVVNMARKSKAAR >Dexi7B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:7B:18835540:18836772:1 gene:Dexi7B01G0011880 transcript:Dexi7B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRGAGPLMNGNFEYPPNQSQMSGSTVTGQNAIPYWTTSGFLEYIGSGQQQGDMILTVPEGAHAVRLGNEASIQQRISVTPGLYYCITFRASRTCAQDEKLSVTAIPVTGYPTQSGILPIQTVYTSCGWDAYSWAFKAEAGIVSFTIHNIGQEEDPACGPIIDAIAIKTLNMPQPTGNNLLTNGDFEEGPYIPPDSKSGVMVPPMDEDDVSPLPGWKIMSYKKVVKYVDSAHFAVPRGARAVEMVSGVEAALVQEVYTTVEGSWYRLEFSVGDAGNQCVASSDGYSTSGMKVKASAGTSDTTVEVNFGGNGGSVRGKLEFQAPASPTRVVFESLGYYTKADKSGTLCGPVLDDISLVAIAQPSARRLLL >Dexi1B01G0011050.1:cds pep primary_assembly:Fonio_CM05836:1B:11027623:11028565:1 gene:Dexi1B01G0011050 transcript:Dexi1B01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQILHSPSTNKAARSSVVEQAKAPMAVSYYYARAALALALGCALAAAAAAQSQWVRAHATFYGGADASGTMGGACGYGNLFAQGYGTRTTALSTSLFANGASCGQCYKLVCDRKFERTWCKPGVSVTVTATNFCPPNWELPDGGWCNTVRPHFDMAQPAWEKIGIASRGIIPVIYKRVSCVKKGGVRFTVNGHDYFNLVLVTNVAGPGSIRAMDVRGSADWMAMARNWGANWHSLTYLNGQELSFRVTVTDGQSIVFANVVPPSWRFGQSFASNLQFKL >Dexi4A01G0024250.1:cds pep primary_assembly:Fonio_CM05836:4A:27065611:27065946:1 gene:Dexi4A01G0024250 transcript:Dexi4A01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGAGRANAAADEQSSPPDLRSPPPRRAAQQPSSPAVRATALLCASDPPPLTSRCRSRRGQGRGAARFEDAFFGAGLGCRRRSPEAAMQPSPRASAAPSPSALTCTNAA >Dexi3A01G0029340.1:cds pep primary_assembly:Fonio_CM05836:3A:30809178:30810414:1 gene:Dexi3A01G0029340 transcript:Dexi3A01G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATPEGANTSGCPDSCGGIAIQYPFGIGTGCFRNGFEIICDRSMDRPVLAGTTKPVPVNHLSIRTAEARVMLPVAWQCFNSSDSVYAWSDGDVQFNLEEVYRISNTNNQLVVIGCNTLGYRQSQRSEGNDYDYGYYTGCMSYCNNSHSALAGACAGVGCCWLDIPPGLTDNRMDFDVYTHRARLGFSPCDYAFLVDRDNYTFHTADLKMDNTTMMLACMAGLGHPGQPYIECRDSSNGLGYVCNCSMGYEGNPYVANGCTVLQMEERRLPEYTGLLRMQMSQWFPQC >Dexi3B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:3B:2828507:2829977:-1 gene:Dexi3B01G0004090 transcript:Dexi3B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSTMASPFSPTSAARARLPASTSRPLSLAAAASSGRIPLSRKGIGFRRGRFAVCNVAAPTAAEQETAAASSAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLQGDTSLPVTTAKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRDSYKEEQEKLQEQISSARSNLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALASLKGRDKVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Dexi4B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:4B:6440470:6444283:-1 gene:Dexi4B01G0009010 transcript:Dexi4B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPALGGKAAHLVSDLATVILNPVSEREHQRHHPSHLPEGTEVNGTLFGDEDSDKNSETPDGPDTSSFRAFLMSFVSSSTSSKDSMETIPEHNLDVEYPTLTPVGKASSGRKGLLSRGKHSIGRIISKAGLSNFRQKPTHSIDGEFIGQIESVAPRFEMKGSKESALREKLPAMSEPSVLLPEMMRAVLYSSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSRLCAGHSLLIVGDRRGAVFGGLVEAPLQPIVKRKYQGTNDCFVFTNVEGRPVICRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSHTPEFKIKDVELWGFVNASNYEEMLTICRTEKQGIWNL >Dexi9B01G0048700.1:cds pep primary_assembly:Fonio_CM05836:9B:47578268:47579491:-1 gene:Dexi9B01G0048700 transcript:Dexi9B01G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAPAPSRKTETYTDTKRRDDVRGANIAAARGVADAVRTSLGPRGMDKMICSGDQEVIITNDGATIVSRMALIQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLAVGAHPTAAADALHRLSTRAVEILHAMAIPIELSDRESLVKSASTALNSKVVSQYSTLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKASHAAGGPTRMENARIAVIQFQISPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKAAGCNVLLIQKSILRDAVTELSLHYLAKARILVVKDVERDEIEFITKTLNCLPIANIEHFRTDKLGYADLVEEVSVGEGKVVKITGIRDMGRTATVLVRGSNQLVIDEAERSLHDALCVIR >Dexi9B01G0037250.1:cds pep primary_assembly:Fonio_CM05836:9B:38729238:38732145:-1 gene:Dexi9B01G0037250 transcript:Dexi9B01G0037250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGKKEKKYEPPAAPSRVGRRQKRQKGSEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVASQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGVMSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDVKTRRRIFQIHTSKMTLSDDVNLEEFVMSKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >Dexi3A01G0026860.1:cds pep primary_assembly:Fonio_CM05836:3A:23406191:23406701:-1 gene:Dexi3A01G0026860 transcript:Dexi3A01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDAPLSPRSQLALSCFEELLDCAVADVASECHRIARLGLDRSVDAEEEELRVWAARAAAGGDQHHPGGGRAAEEGGGKGGVDVFGQTHPAIAADVVECMNCGRPVVAGRFAPHLEKCMGKVNPSLHRCRSLWISST >Dexi2A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:2A:24177667:24178851:-1 gene:Dexi2A01G0014530 transcript:Dexi2A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPLLPTHHLHSLPEHSEVSLFSAPPSSPASSYKERIIFGAHPPPPPPPPPPPPPYGAHHRRGSVDGRLDLDLPSCSSSPPSPQSDPEDPSAAGTGGGVAPSLLDFFAVATGGRTNLHRSRTAPAMAPLSAAALAAAAASGDQPPAPPKRPAIVLHAFLFLLAYLALGVAFYAAAPTNFTSSAPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLVGFGFVDILLSGMVSYVLDLQEHLLITALKNPTSARKHRHNYIFDIKKGRMRIRMKVALALGVVAICVGVGAAVLRKVESLGWLDSVYLSVMSVTTVGYGDHAFRTLSGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVT >Dexi3A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:3A:10020223:10020734:1 gene:Dexi3A01G0013780 transcript:Dexi3A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFPITLKYIDPTYMIHTMPPNTSDNIYCTLLVHSALHGAMSGYIGFTVTPVNGRHAYIPFYRITEKQNKVVITDMMWARVLCSTNQPCFLTHKDVKRAGQDEEEPHLPLVEGENALVKSPSMCNGNSNLCSGAA >Dexi1A01G0022680.1:cds pep primary_assembly:Fonio_CM05836:1A:29291859:29293211:1 gene:Dexi1A01G0022680 transcript:Dexi1A01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRPARAPIFLLREQVDVDVDGFAAEPGLEWRKIKCASKRAYGCGEHAKKALEGLTLYVRPGDESLLNYTLAIRMTDEARRGFESELNLIHRLKDTRVCHHFICPRKDTPCYQTIATGIVQIADYQGLIVIFLLFRRDFGAELAYYLVYDLCTASLCLIQQAPDVVEAVCSIKPVAKRNASNDFELFVMACQLSSVPRHFLCACTPETRANAASDGTGPWQVMKQPFQLEEIDESFSAHLVFSFKSMGIWADLSRGLMYCDLDSSDDVDFGFIQLPQECLLDMMQEEMAEKVTSQPMEVTRTMGCVRNSISFVCIDPAEEYANDLVKMWTLNLPHGRMKDAQWKKVREARVSELWRFDRFKEAGLPEGPLEYPVLTADGGLCVVVSDQSKFPGPYQGVQLDDICIFDMRLKRLRWHGLAHDYRFINSVVSASIYPQFPPKEARQAPS >Dexi5A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:5A:383874:386873:1 gene:Dexi5A01G0000550 transcript:Dexi5A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLYSIHVAQIGMALPSQIVEFNCKEMEEKLKKLTLKVNHHDENIRFLKSEINAIEVACADLAIKLGNYHSSVAAVANNDTSAQEDEQRTIKSILDQDNTAAAIICQMKVRYHERTSNMALMKDILGFVATLGKVNDDNLSRLLAEYLGMDNMLALVCKTYDGVKGLEKYKEDGIIDKSSGVHGLARSVGKFLDRRFAVFCLENLRPFSGDVNIDDPQRKLIMHRPRLPGGESPPGFLDFAVNMIHLDRAHLCCLTASGHGLRETLFYNLFSHLQVYKTRADIQHALPLINDGAVSLDGCILKPNGSFFLGNRYAIYYFTSIDKTNKLHIFLVLLTVKLNNIEVKFPVSLEASSSPENIIEMEEQVKLKNWKKERLLEDMKREEDLLKQVKELYSKQKQELMDYITHPSLAQKPHDSPTIHSPATPGTNPFGAKSSHKRHY >Dexi7B01G0020650.1:cds pep primary_assembly:Fonio_CM05836:7B:25914249:25917831:1 gene:Dexi7B01G0020650 transcript:Dexi7B01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEPLLSSKKEGNNNNYNKRVSIDDALSSYAGEFGRWQLRHFVLVSAAWALEALHTMVIIFADREPAMVCSSPSGGCGEDRCAGAAAGWEWADGAASSTVAEWGLVCGERYKVGLAQAVFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCILNATFGLLTALSPNYWAYASLRLLTGFSTGSVGVLAFVLATEPIGPNRRGAAGMSTFYFFSGGIAALAGLAALFPRSWRALYVVTSLPSLAFVVAVAPFVSESPRWYLVRRRADDALRVVRAIAAANGRSVPDDITLKLDDEDEDEGKKGGSGDDESAAARSSTPSGGSIVDVFRSRTTRVRLVLSVLINLLASVVYYGLSLNVVNLKTNLYVTVVVNSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGMFCTAGSLIAGAGVMRVVRMACGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTAQAAQMGAIVAPLVVVLGERVPFAVFGASGIVGGMLVFYLPETMNKPLYDTMAGLEEGEKRTLLE >Dexi5A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:5A:12346448:12348688:1 gene:Dexi5A01G0015020 transcript:Dexi5A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTMTSGGAGAMNSGAKAAAAAAPLRLQHYLVMAGVAAAVVLACLRYAPSAAGHGGLLAVASPAESSRAAAARDDPPASAPPPPSVVIFNFGDSNSDTGGMAAVNGMNLNLPEGRTFFRRPTGRLSDGRLVIDFICESLHTPYLSPYLKALGADFTNGVNFAIGGSTATPGGSPFSLDVQLHQWLYFRARSMEMINLGQRPPIDRDGFRRAIYTIDIGQNDLSAYMHLPFDQVLAKIPSFVAHIKYTIETLYSHGARKFWIHGTGALGCLPQKLSIPRHDDASDAEDVLDAHGCLKTYNAAARRFNALLADACGQLRRRMVDAALVFVDVYDIKYGLVANHTAHGFARPLMACCGHGGPPYNYNHFKACMSAEMELCDVGARFVSWDGVHYTEAANAVVAAKVLTGDYSTPRVTIASLVDSTLVANDG >Dexi6A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:6A:3949040:3950470:1 gene:Dexi6A01G0004300 transcript:Dexi6A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHRRRAHFLVIMLVACVLHHCAAAQPRPEAVGEAELLLEIKRAWGDPPVLAAWSASSSAAGAHCRWPYVRCDSAGRVTRLALDNTDVAGPIPNAIGNLSGLVYLDLFNNSIVGAFPTVLYRCRSLRYLSLSENYLGGELPDDIGHGFATNMSTLDLSGNYFNGSIPTSLSMLRNLRHLVLDNNRLIGTIPVELSELTSLQTLWLAYNWFSPSELPASFKNLNNLVSLSVANCSLIGNFPSYVVKMPKLEGLDLSSNSLTGSIPLGIWRLKKLQYLWVSDNKLTGKLVVDGFAAKRLTIIDLSENNLNGTIPEVFGRLQKLEYLVLSSNNFSGEIPTSISQLPSLIGLSLEESRLTGTLPYELGNHSPALSLVGVGDNELTGRIPERLCAGGQLSWFNASNNRLNGSIPLKRPNLETGR >Dexi9A01G0018780.1:cds pep primary_assembly:Fonio_CM05836:9A:13817822:13823791:1 gene:Dexi9A01G0018780 transcript:Dexi9A01G0018780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFTIPSTRTQESRCAQFYFSKRLIVIDQLHCLPPDRTTQPLEVKTQDSTAALHRGQRLVKKPNHTAARARAAQAGHQHRIAASVTVHPTVPETISPDADLLPFNHPNKSNPFDQSNDKQRCIASRQNPVNNQLRAHRLLQILMCQPGFAIPFKTGWFPREPVKPPRTAATAGRRFGLPVRFCEPWCQTPGDLGPPWLSSLLPRRSLCPLPADATTLSITLSHNSFLCSRAETHHHHSSGGWSKRREFCKQEHWSFLPLPWLLLLLAVLGDAVAAVAVPRPLLGIAEPPAASPAAAAAGPVGATQPGGGGRPDRSVAGADVILVGFAAAVVVVIFLYIRVTSKNGSGMGVGEKQEGSSSQCAMPKAAVFPGIQIPERVFCPGKRTWLLPSQEPGGIQLRHSTASSGGHPPTARPRGHKDAAALTLTPVKSGAHPLPQILELQRLHSDVTAPLLRYVQTCNLARSVRTFTGQIVSPNLSGRNSLPQSRPPPGDLQNSSSPSPSLGSRFSCASRARPRPLLGIAEPPASPGALAAGPAASAQPGGGSRPDRSQAGGEVILAGFAAALIIVIFCYIRVTRETSDSSVGAGEKKETLGGPPGGPTTKTDQITHALPETRRRSFNAHRPFNASAGVGAHASSLPVHCS >Dexi9A01G0024860.1:cds pep primary_assembly:Fonio_CM05836:9A:21565412:21566170:1 gene:Dexi9A01G0024860 transcript:Dexi9A01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPTSPFGAASVSPPRPCRAPPYAKPSAGWNGHAHRPGHCAAALPTHTCAAPPGAEAPCDPHALLHCPSTATSAAVSTTATPPAATPSRAASRSIWSLDSVFPREHASSCTWLASSTRGGSSRPALRTYRSTVSHHRATDGAGRPVVLRLMTRSSAARGEGEEEVGDDGLMVRREGAVVEDGDRHGALEHRAGVRVARHGRAKPIITSRARRRPPQPAPDDLGFCMAAQNAIAM >Dexi2A01G0017370.1:cds pep primary_assembly:Fonio_CM05836:2A:29200420:29200794:-1 gene:Dexi2A01G0017370 transcript:Dexi2A01G0017370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRLLALLLLAALLALSFSQGMVEARKVRVMRAVRHDGRHPPALRGRLLPEEMVYTLMDYNPPSANTNTHGGMYPSPDTPSPPSH >Dexi6A01G0015380.1:cds pep primary_assembly:Fonio_CM05836:6A:22809615:22811630:1 gene:Dexi6A01G0015380 transcript:Dexi6A01G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSFAIPSGKLHPGHIRRPDPNGSSSKSCPFTSTLLPTNLSGVNSSGLSHRVGSLPIVHTLMSTTVPLGMSYRATAASCRATRGTSSGTIGCMRIVSLTMALRHVKSFSVTHRPRPTTRSSSSVIVAKMEGFFRSSEIAHSTVADVLSVPPTMRSCVNAFTPTRPIWTSEVGSSAICISTSTMSRATKLSPSRRRRSACSSTTWSMNALNRSPILFIRRTPRAAAGKHTTYNYADITWSPYDAYWRHARRICATQLFSPGLLASFEPIRADELSRDHMSTLSMNVITRMVLGKRLFDAAGDNGAAAADGPVSSMAEFKCMMDELLLLNGVLNVGDWIPWLDCLDLQGYVRRMKRIGERFSAFIDHFVDEHAERRRREGDNFVARDMVDVLMQIADDPAFEVQIGRVGVKAFTQDLIVCGTDSSSSTVEWAISELLKKPSIFATVTDELDRVVGRGRWITEKDLTCLPYLMAIVKETMRMHPIVPLLVPRVAREDAAVAGYDIPKGTMMLINVWTIGRDPTLWDKPEEFTPERFVGSKVDVKGHDFELLPFGSGRRMCPGYNLGLKEVQLSLANLLHGFRWSLPEGMAKEDLSMDEVFGLSATRKYPLEVVVQPRLSSELYA >Dexi3A01G0032230.1:cds pep primary_assembly:Fonio_CM05836:3A:36989993:36990654:-1 gene:Dexi3A01G0032230 transcript:Dexi3A01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSKAADAVAALFSLLIAVAAPLLDSQVVLPRHLYPARLVDVHQWFAAEFDHYLVADPPPFFRGLVWLALAFLWPVCVSNLYGILARRRWVATTSLMAGVFMLTYLSAMFGEMLGSGRATTRLLQFYVPFVVVAWPLLMLRIRGAGYCSSFVS >DexiUA01G0003800.1:cds pep primary_assembly:Fonio_CM05836:UA:7830706:7832352:-1 gene:DexiUA01G0003800 transcript:DexiUA01G0003800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRGQQVGGYERRRRRELRRAAERDWADGLGVDALLAIFHRLGHADVLLGAADQVCRSWRRAAREEPLLWRRIAMVGHEGIARRLNRGGMACEAVRRSAGQCESFYGAYASDDGFLVYLSEQAPCLKSLRLISCCGVTNEGVAEAVKEFPLLEELELSLCDNVAGGFQVFEFVGEVCPQMKYFRLNRSRFDYTEWNKNKDVRGIATMHGLHSLQLFSNHLTDEGLETILNNCPHLESLDMRHCFNINMDETLLLKCARIKTLRRPNDPTDDYDHEVQSPIRPYIPQEPDWYSDCCYSCHTRHYDSFVCSRKKNYDSDDSGDDSDFYGKTWDEPDFYDEPSRYENDLDKYEKMLPFNVRTFLK >Dexi6A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:6A:7046867:7049566:-1 gene:Dexi6A01G0007140 transcript:Dexi6A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRDKKSMMAKRDSALLETKGLRAQLEQVMSERDEAELRNATLRFSDGLQVGQGGFGVVYKGFLWNTTFMPNGSLEDCLEHASGAPSLSWQARTRIITELCSALSFLHNNKTYAVVHGDVKPANILLDGNLVAKLSDFGGSRCLLRSDQGPQDSGMLCTSHPWGTLGYMDPEFQITGVLTPRSDTYSFGARSPLNIARVVRDAMERGDLRSVVDTSAGDWPIAQARWLAHLALRCTEMTSDKRPDLAGEVWSVVKRLADEANGEALVGSSRQHFGIGQQQPGVSAQGWMCRLQVRALAAGGEGARWREAVGVGER >Dexi3A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:3A:16737907:16741625:1 gene:Dexi3A01G0021100 transcript:Dexi3A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLRAALAPASARANSSLSAAAAVAEPAPANGAALPKMPAFDYTPPPYDGPRAEEIFRKRAKFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAIVNQAKRIQHSTVLYLNHAIAEFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVAGFISEAIQGVGGIVELAPGYLPAAYNIVRKAGGLCIADEVQAGVARTGTHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKEGLNKLKEKHDIIGDVRGKGFLLGAELVTDHDKKTPAKAEISLVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIGVMDIALSKL >DexiUA01G0015410.1:cds pep primary_assembly:Fonio_CM05836:UA:32351101:32357741:-1 gene:DexiUA01G0015410 transcript:DexiUA01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPDPAQKSEETGPNAQNGSCWTGQGPNSAGNSPHGLSILNSFLLLLSAAAAAEKPSPAGPLPKTLASTRPEPRFACEGFRGGAAMVWFQCEDCGENLKKPKLAGHFRSCSAWKLSCIDCGEFFSQDTVQGHTQCISEANNPRCAYPDGVPPGWAFVGSLTAYTSGLTACNREFGRLGAAASSFAPRTIYRTDP >Dexi6B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:6B:25457863:25460593:1 gene:Dexi6B01G0018510 transcript:Dexi6B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRCTEMMVPAPEDDDDDEYAKLVRRMNPPRVVIDNDSCDNATVIRVDRVKKHGILLEAVQVLVDLDLVITKAYISSDCNWFMDVFNVTDQDGSKLQNKVVIDHIQKCLESEDYLVSPAHTSDRVAPSEDHSSTCIELTGTDRPGLLSEVCAVLASLSCNIVKAEVWTHDRRAAAVIQITDEATGLAIHDAGRMSMVQELLGTVMQGDGGAHKGCSTCTGMSVVGAARAERRLHKLMLDDDDDNAGEDRCGKARPRAGAKVVVMDCTERQYTVVILRCRDRPKLLFDTLCALTDLHYVVFHGTVDAEQGNKEAYQEYYVRHVDGHPVRSDDERSRLVRCLEAAVERRATNGLELEVRTEDRAGLLSEITRVFRENSLSIIRAAISTRDGMAEDTFYVSDAYGHPVDVRTMDAVADQLGHAVMRVKRGGGGHGAPAKTVAEGGAVSVLGSLLKGSFQGLRLIRSYS >Dexi8B01G0006860.1:cds pep primary_assembly:Fonio_CM05836:8B:8011735:8013475:1 gene:Dexi8B01G0006860 transcript:Dexi8B01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSAAMAAPRSVSDLPGDVQHLILERIPCSADQASMSLVSRAWRVMVFGHRNLLPPPPPPLPLLLLPADPFDARGFRASCALSGGRVHRRIAINPRNARCFGSHDGAWVFLATLEPRRRSHFSLNTTSGDTRAIPSDLLRWTDPQSYVHGMVIHAAALSTSPEEATCVGAAIVTSWPLSYDLGATVDALPPRRRRVALWRLEHDYAVQPSDEEEDEDSVDHVEDVVYLRAVGSFAFLTRGEHLLMCTPILHENQELQTLWGPARFRPNGRLYDDQHVRARYLVESDGDLLMVVRFTPHPNQPTSKFKVFKSEERDGLQEADDDPNFPVADYPGVCN >Dexi6B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:6B:23147983:23152184:1 gene:Dexi6B01G0015840 transcript:Dexi6B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHAEDIEISLCDGNSEDERRRRKISSLRRKAIHALKKRGRRRVDFRFPPAAISIEDVRDAEEERAVAAFRDRLNAHGLLPDKHDDYHMMLRFLKARKFDAEKAMQMWAEMLKWRKEFGADTILEDFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMNITSTDRYIKYHVQEFERAFRERFPACTLAAQRHIDSTTTILDVQGVGLKNFSKTARELINRMQKIDSDYYPEVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKWQGMLSDTSNAESGSDIDDFGPSFVQKASDYGYLTPVHEEVKGTDCVTYFSCDDQNHPVVAHDSYHGVRRPREMMQKPMTDFGQPSTYSRPHTLGNNALNIDGTIAQRGWENVVKIVVTALIKLFSFIRLFISGAERRLENVHHSAPQVIPAAEKRRPQTVSDAEMHACLQRLDNLESMCNHLATRPSQIPEDKELMLLSSFERIKSVEADLERTKRVLHATVTKQKSLMEALESVQESSKVRDMGQHQILTMSSH >DexiUA01G0001140.1:cds pep primary_assembly:Fonio_CM05836:UA:3551808:3553413:-1 gene:DexiUA01G0001140 transcript:DexiUA01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGAVAEKEPEGGGGGSRGGGVAAEEAEESGSGGDASGRCGWPANRAVEVNEMWRAREKELELESKIKCTSSRSKDRDDSRGDKRKSDLRNSRLEQGTAYNISYSDQEDGLRDDEIERFLHSRAKRGRGAIGCRMDEPCPYLDSSFYHKDNGPSPDIRVEEKWEHRVQGPEKPSFLRSKSPDDHWCKETLDGRASSSEPQSKKEKKRKSEKKEKRDKKKEKNKKKSKHRHRKRQRSE >Dexi3A01G0019580.1:cds pep primary_assembly:Fonio_CM05836:3A:15375156:15377031:-1 gene:Dexi3A01G0019580 transcript:Dexi3A01G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKRRGGGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKQPDMAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKIEEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKLQVSIQQETSRILGNLGWAYMQQNNFEAAELVYRKAQSIEPDANRACNLGLCLIKQGRHEKAKQALEDVRLRKIYGSEDEKVVARAEQLLHELNPINCVSSPFDVGLSVHEEIIERLDLVMNEWSPFRSRRLPVFEEISTFRDQMAC >Dexi9B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:9B:8083073:8084411:-1 gene:Dexi9B01G0012130 transcript:Dexi9B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWSFVGMPSQAEESEIMEQLLGTFPSSCDGDQELPWPIQASNAFYTHCNASSSTYSSTNSNSSGSLTFIMPSEYGGYYLSDSNEALDLNSCTAPMHLHMVQEQGAAEFMDTILNPPYGSGDSSCEDLGDSGMNLLDSVGISVKRKHLEQGKLDGPTKSRKCARKSESKKAKKTMQREGQDGSIATANGKSSNCCTFENESNAFPGPSVVANPNCKAQADRRSATESQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >Dexi1A01G0020180.1:cds pep primary_assembly:Fonio_CM05836:1A:27064287:27071790:-1 gene:Dexi1A01G0020180 transcript:Dexi1A01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGKSRRAGRRKPTPAACQPRATRAAKGSRTSGRSRPDDDLYPGWWDDGAPDPGQVEEEDRLRDVGQRLLAPPDEARDLRKLLKSFEDINFVDCLLKQEIEKCLLKVDQSPPESTLNAIRPATEALVKKELMGHPDPGVRLDVASCISEITRITAPYAPYDDDAMRDVFSLIVSSFQHLDNIKDPFFRRRVSILDTMAKVRSCVVMLDLECDDLINDMFHHFLRTVSAGHSDAVISCMETIMRLVIEESEDVQPQIALCLLQNVRKEEKESSPSFKLAEKVIGLCHEKLKPVFLQSLKGTSLSDYSQIVSSVCEEGSDDWEHNIVDPSGKEMVDDGKLSERIIPVKPRFYNAVVESFYSGSKKYKAKGCSMGVANSRSAVRDGNRRPFVVLLCDVLFFFFPSMFFFFLSMFFFLWTCRPTDPASAREGLAGAGAEAASTVPLPRRHGRRPRPDLAQPPSSTVRPAAPPPPASPSPRPQPPLGRPAAPDLPSIAGDGEYPEPNPEP >Dexi5B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:5B:20298138:20301458:1 gene:Dexi5B01G0018230 transcript:Dexi5B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALASSPTAPPPAPLAAAATTIFLTRRRSHLDSACYRTLSRLFSHCIHLHPSPREGTAPPEAEAEAEAPAANHIGGDSGDSPQVPRGADIDRLKDVEKEAVDAGGPSLHETISPTREQPAAANPTSDPRVAEAPQRSHGDVDEVVAVENSCGNTSAGVESGIGDELVVEDDALKLVEACLELAEVDESVEEAIGNDDGLLDAMMTNFTGLIDDIGAAVLPAQTCVVSGGELQSSKASEDSQQLGDGIEEGEPVSNLDCEQNDDGGFEEGEIEDEVQDLDSEESGNSDLGDDDDAEDEKLGVNSIIRGSGANGSCDHGTRFGNLHSTSEVMGNSNFTQNNGANVSGDAEMSVTRAQAVSYDEVVDWNETPLPDNEAPNLGKKRKRVLTEERKAKKTKNKRKKRAQERIAAGVKRPKLQQVIKPKKPCHFYDHGKCQQGDKCKFAHDFTPSTKSKVSMLSNLSFECRPCKHFACGSCLKGDDCPYDHELSKYECHNYKNTGMCIRGDRCKFSHVMRTNEGTPTQDAKPSDASLAYDKTNLREHTSSQKISTVQNAQPMTSAPTKQCSILKNLAGFSVNSQNLSNRIPKGVQFLPFDKSGSNLSRPHMDALSIEKPRNANATQHQCPGGSKPERQKITKQNGQESPLDEKIPSNEATMHRKKATLAVNSTAASVNTQHEVSEASRILQEFLFGAGS >Dexi8A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:8A:1885482:1886698:-1 gene:Dexi8A01G0002840 transcript:Dexi8A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHSTFQRLLTSDKITKIVLVRSFAAKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKATNSLLVASDPEGKVPLFWGITADGSVAFCDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKSYENPKNKVTAVPANEEEICGATFKVEGSTVLTAPPLH >Dexi2B01G0022160.1:cds pep primary_assembly:Fonio_CM05836:2B:31841809:31842329:1 gene:Dexi2B01G0022160 transcript:Dexi2B01G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRCLSPARFQFQPFPSRKPPQSCGEHGGRDGREMAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQVYLIPVL >Dexi2A01G0018810.1:cds pep primary_assembly:Fonio_CM05836:2A:30966757:30970384:-1 gene:Dexi2A01G0018810 transcript:Dexi2A01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPIIGYFNLLGALPHRSLYALSKRYGPLMRVQFGSYPVVVASSVDMAKFFLKTHDLVFIDRPKMAHTTYNYSNIAWSPYGAYWRQARRICADELFSARRLESFEHVRREEVHVLLRGLHGDAGQVVSLKERLSTTSLNIITRMVLGRKGVDKEVVVASGGGGSVTTWKEFRWILDELFLLNGVLNVGDWIPWLSAGGGGA >Dexi6A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:6A:3744099:3745806:-1 gene:Dexi6A01G0004050 transcript:Dexi6A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTISKRRRPLEEEEEQARRQQQQQGGVGGEEPLDLTSRLPDDVLSHIIARLPTIDGGRTQILSRRWRPLWRSTPLNLEAVVATALDLKRTAAALAAHGGPARRFALTWDDGFTPVNDGWLRSPTLDGVHELEIYYRPSRFPILRISLRLDIPGNPNPLMPLPVLHRFSPTLRVLCVSFDRGSTLDIWPKPGETFDFPHLEPLTLKGLNIAESTLDAILAGCSVLQSLMLHNNIGYRCLRIKSSTLRSVSMTDVPRVMERRFREVIVEDAPMLERLIPYGFSYHLQIRASIQSSFVESVNDSSQLECLDAHLKTIQLTLYNGKTSDVNLIRFFLLNARVLESMKVVVGHVPDDNWIASEHEKLQLEVRASPDQ >Dexi9A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:9A:7343499:7344708:1 gene:Dexi9A01G0011730 transcript:Dexi9A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVAVPWTGTARLPGPTWVGGGDEEGETAMERQARLADGEGLMWGDVAAARWEALGAPDFALFTSCSCSIPTIRRPQPWARTTRPPYSIPDGWSEHAPIPHRNSGALRVLRGLRRKLREEDRECYRMHKLSPLVEVELVDTRKPIARATDGGGGDAEVPSPRTVDDSLARAEAMFREATSRGNPEWPHSRALAEMLARRHHQQMDGGAGTRSCTPWGWGS >Dexi8B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:8B:21648364:21648643:1 gene:Dexi8B01G0012280 transcript:Dexi8B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHRKYVVGNNIGHAAQLGHPVEHHVQGLFCSLCFAEALKEVVEGGLIAAQACSEELPKEGQC >DexiUA01G0014120.1:cds pep primary_assembly:Fonio_CM05836:UA:29977276:29977683:1 gene:DexiUA01G0014120 transcript:DexiUA01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPLLLPGSRRRLQFSFLVVSTPSSSASQCAPLLLVGALSAYSSLSLAVHWCVPSPPWSASRPALPSQIPGREAYSSLTVAGRGRRQMEDAAVVGEARGGGGGRSKGRWRWGKQAVERAEAATQGIGRGGGGRW >Dexi5A01G0030890.1:cds pep primary_assembly:Fonio_CM05836:5A:33744159:33745075:-1 gene:Dexi5A01G0030890 transcript:Dexi5A01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPLQVKAAADGLNYVEQLRWGERERDKAALRWADLQGSTPSSSRSSLQTNTMEPQLSKARAWPPASADKPNERRAHAQQQQGRYRAGTDISHVRRELLEAPPPAALCSARDPPSPVPAYKISPPTAASAGAGSSPLHGRTYRKPTRL >Dexi9B01G0030030.1:cds pep primary_assembly:Fonio_CM05836:9B:32505630:32509493:1 gene:Dexi9B01G0030030 transcript:Dexi9B01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDVVVSDPAAAGSSAAPFAETRVICRVCQEQFAQYTCPRCNSRYCSLPCYKGHSLQCTESFMRENVMDELKQMQPEDESKKKMLDILKRLHLEEEMDSDGDDESVLSEELIQKVMSGEEIKLEDLSDDEIKRFHQALASGELSKMIEPWTPWWKKPSARSISLSPDGRLLIRQVSVEDTATSDPMADQEPSINEIPEGPESPLPSLKQLTRVEPSPLLAVHLVDILYSYCFTLRLYNGDWHSDPLGASTVALSVSKVMGEDAKPETVPEALTACIEETCSPAYRHTGGFRFAIGLVDDIISILSLGHNALVCALSDFHRLIEAGKSMLKADRVGKTANAGSSSKLRGAARKLFFMTCWVHEQPKEAWSPLARIVEVQKASLEELDSGNLRPDRKSQQQSKVVIEEL >DexiUA01G0006460.1:cds pep primary_assembly:Fonio_CM05836:UA:12405951:12407528:-1 gene:DexiUA01G0006460 transcript:DexiUA01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIVSAIVGEVLSRSITFLIKTCSKSKRTEPPPPRPSEPEESLDRLARLLLRIGAIVEDAEGRQITNQAMLQQLNALRHEMQRGHFTLDAFRCHAHDERHQSPPADHEPAGRVSFALSRFNPAKRLCVCSGRGDEGGAMDLRRVLASLEASVQGAAEFVLLSGRYPPRLARQPYSMYLLVDNCMFGRQMEMERVIGFLLRGADDPGAEHLGVLPVVGCASVGKTTLVEHACIDERVRNHFSKIVLLGGGDLVIIDKDMEALAGGAGVIKHENDSGESGGGRVLIIVELDKDISDGFWRRLQFLTREAYWYFFKARTFGSVDVAMENPKLALIAMEMATEMNGCFMCTTIFGGMLRSRLDLDTWSRTLATYREFKQRNRIAFEQRDHFNCHPNLVDPWTLSSPILLPTVNKVSPGYFVVVNYYETAPGIGNRAAPMVSVQDVIFGSARPQGKFAALAWRSHIPPYHSYVFSCEQRMPSVSTVSRKKRIPN >Dexi3B01G0034960.1:cds pep primary_assembly:Fonio_CM05836:3B:37598088:37605403:1 gene:Dexi3B01G0034960 transcript:Dexi3B01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding GENQRVSPYILARLRYLFDLNPVKLVSFSILFVRIPPESSPGGAGDLTDRRGVRFGAGFGEIAPALTPPLFQDKMAAARGDYDEQDRRGGKGTEVFVGGLPRSATESMLREVFSPCGEIVDVRIMKDQNGLPKGYGFVRFSKREYANIAKRQKNGVELQGKRLVVDLSMDQDTVFFGNLCKEWTSEEFEELIHKTFKDVVSVDLAMASNLGSSSKKHINRGFGFVRFSSHSVSLSSFENGSYFFVSSFFGENLPLISSTSNNQSQAAARVIRIGSRTDFMLGGVLHPAINWADKESHVDDDEMAKIKTAFVGNLPENVNDEYLRKLFEQFGEVIRVAISRKGQRPVGFVHFASRSDLDNAIKEMDGETVSVARPAVDNEKKRSREEVRTRRSDVSGDRPDYSYRRQGHDSHDRQVKAPRSSNYLEAYGCTVVLKLRRPSSTCYLWWQMPLTPIESALASLPSAAKEILLRILRLGIGTQYDIDIHSVKSLNELPESSAVAVLNKFLISGGDKRNKGDYFDSLIAKHQVETFGLAHTLHGATYLPRNSEMHVKQYPHEEYDYMAPGSSRYNSGHHPSSYYIDDPPESQSRIRRYGEERSTILRNPEPRHDEIDTKRYPEPRLAHESRHNTGKYLDRGYIPEQSLSIERSPEEAGLSRGRRFLLAGGYGTDLGSDFCSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPEPNHRRSGSLY >Dexi3A01G0017960.1:cds pep primary_assembly:Fonio_CM05836:3A:13687827:13690770:-1 gene:Dexi3A01G0017960 transcript:Dexi3A01G0017960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHDKLRRNLPHAARSLASPIGRCRCERTPNSNPVSRDPCRTPSPSSPPLPMALHLATAAFVVGAVALLLLPPSAVAAAAAAAVGVNWGTMMSHPIHPPAVVEMLRANGVDRVKLFDADPWTVAALAGSGVQAMLAAPNDQLESLARDPRRAREWVRHNVTANLKAGVDVRYVAVGNEPFLKSYNGSFINITFPALKNMQRALDEAGFGQRIKVVVPLNADIYSSPENKPVPSAGSFRKDINSLMVDIVNFLHVNDAPFVVNIYPFLSLYQNPNFPLNFSFFDGDTKPVYDQGMVYTNVFDANFDTLVWSLRKAGVPDMRIIVGEVGWPTDGDRNANVKYAQRFYTGFLKKMAKNVGTPLRPGHMEVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFSMALSGNGKGNDLTEVKGVLYLPSQWCVFNKDAKDRYKDLPASVNYACSNADCTPLGYGSSCNGLSDDGNISYSFNIYFQTMDQDVRACSFGGLAKITTTNASQGGCLFPVQILSVSGRVTPLILLSISLLLLVFAVL >Dexi9B01G0035200.1:cds pep primary_assembly:Fonio_CM05836:9B:36940812:36947328:-1 gene:Dexi9B01G0035200 transcript:Dexi9B01G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRGAALAPAPEAVPAGGVAAAGAAADEVVRSVQPTEASERRRAEVVDYARRLVGAALGCEVFAFGSVPLKTYLPDGDIDLTVLGNTSYDSNLFNDVSCILESEEQNSDAEFVVKDLERINAEVDRKVGKTHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEVLILYIFNLFHKSLRSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEATVTHTDDLLFDKEFLKSSVDKSPASPRNSDAFYTRFRPKHLNIIDPLKENNNLGRSVNKGHQDFAKWEMKMNSHLLVLGSGENLH >Dexi2B01G0022570.1:cds pep primary_assembly:Fonio_CM05836:2B:32201462:32203341:1 gene:Dexi2B01G0022570 transcript:Dexi2B01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRAFGPPDIPGRVWSWSSGLRTQCGEADVGSACSESNSASRHSPPVESSSPSSSNPNHSSKEAASASAQAHTLWSQAAMQRAALLRPLVGSPLLPASPLASRRRRRFRVGVRVRSAAGDGGRGGGAGDGDGASASWLSSAVGEKVDELLRREENRALLEGVEDAERRVERARAALADIERQESAARLAREEVRRLEKRRDEIEESQRELLQAREMIDEAQRSLSSCLEEGSFGDMSSGDIDEDSERLESVKAAAVSSIVGVLASLPISFYEVQDLPQLFLQSSVVFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRGLAMLESGRNLELSTDTLISVALDGAVRVVENIFIFLPAAVALDYCFKMGFLSPFPKRKQ >Dexi2B01G0001710.1:cds pep primary_assembly:Fonio_CM05836:2B:1213745:1213974:1 gene:Dexi2B01G0001710 transcript:Dexi2B01G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILKEQCLHTDITLEQLEEIMLPKAIDFFRQNAEAMVHQMLWKSEHGREAMHEHTEIKHENTENFWES >Dexi5A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:5A:9564359:9565402:1 gene:Dexi5A01G0012670 transcript:Dexi5A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTAAFFHSARPASSLAPFEFEQEDNELETGGALGKKLCARGHWRPAEDAKLRELNWNLVAEKLDGRSAGKSCRLRWFNQLDPRINRRAFSEEEEERLVAAHRAYGNKWALIACLFPGRTDNAVKNHWHVLAARRRRRQNSGGATRRRKPSSSSSPARPHHCYGSSLSSAAAAGTRAHSNGGESGSTCTSTTNLSRGSSRSYAAPASAAVTVVLPARHGAVASDEPAMPFFDFLGVGAT >Dexi3A01G0036200.1:cds pep primary_assembly:Fonio_CM05836:3A:41614145:41614675:1 gene:Dexi3A01G0036200 transcript:Dexi3A01G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASWIFLLPCVLTASSSSAATFTITNNCSFTMWPAAIPIGGGKVSECKSNSNYQVTFCP >Dexi6A01G0012600.1:cds pep primary_assembly:Fonio_CM05836:6A:19275202:19281105:-1 gene:Dexi6A01G0012600 transcript:Dexi6A01G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGGALAWQQYRSLLRKNATLTWRHRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPVALVAPPIPPCEDKFFIKSPCYDFLWSDGGSARVQGLVDAIRKNNPGRPIPADKVLGFRTPDDVDAWLFQNPMRCPGALHFQDINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTLSALFTVLFGMMFQFDFFLHNSFGILFFLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSADYKKLYRILWSLFPPDVFAKALSVLGQATATPEDKGIRWNQRGECPSSETDCVITIDDIYKWLISTFFLWFILAIYFDNILPNVNGVRKSVFYFLMPSYWTGKGGKMQEGGLFSCLGSSRAADDTSPTDEDVLAEENLVKEQAANNEVDPGVAVQIHGLRKTYPGTFSIGCCKCSTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGHSVRSNVGMANIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSAIKLVAEESLAKVKLSQVTNVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHMQSPSINSNTEAPVNPNIEAVKFFFKERLDVNPKEESRTFLTFVIPHHKEPLLTRFFGELQDREAEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGATIQIPKGARFVGIPGTETEQHPRGVMVEVYWDQDENGSLCISGHSDEMPVPVNADLRRPPSISRRASMAREGPVGYIIDPNQVS >Dexi9A01G0030640.1:cds pep primary_assembly:Fonio_CM05836:9A:35631779:35634382:-1 gene:Dexi9A01G0030640 transcript:Dexi9A01G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDLKLLGVWDSPYVNRVQIVLNLKGLTYEYVEVDLLNKSELLLKSNPVHKKVPVLIHHGKPIAESQVIVHYLDEVFAGTGPSVLPADPYERATARFWAAFVDDKVGSPWHTILFAPEAEKKADAAARIITALETLEGAFEECARGRGYFGGEGIGFVDVVLGSYLGWFKVFEKMVGVRVLDAARTPLLAAWGDRFAAADGAKDVLPDDVDKLLGLWTSPFVIRTRLALNLKGLSYEYVEEDVKNKSQLLVTSNPVHKKVPVLIHDGKPVSESQVIVQYIDEVFAAAGPSLLPADPYERATARFWAAFVDDKVGSAWRTMLFAKETDEKVDGATQAIAALETLEGAFQDCSKGKGYFGGDSAGYMDVVLGGYLGWFNVLEKMIGVKVLDAARTPHLAAWAERFGAGEAAEGILLQDVDKVLEFLKAFFA >Dexi7A01G0009340.1:cds pep primary_assembly:Fonio_CM05836:7A:20389998:20390640:-1 gene:Dexi7A01G0009340 transcript:Dexi7A01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRIVIKVQMMRCDKCRKKALGLAATAYGVQSVGIEGEEQDQLVVVGDGVDATNLTICLRKKVRLSRADIIKVEAVVADDAKKPADKTAATSSISSPSPVAEWPPQWCYPYCHRPAVVYPYTGHCYIEDSYSNEGSWCNIM >Dexi7A01G0022480.1:cds pep primary_assembly:Fonio_CM05836:7A:30725975:30726416:1 gene:Dexi7A01G0022480 transcript:Dexi7A01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHTSASPLFLHLHPPSSPFARTEPPPVLDPTGRPSADTLAAAPLVALSLFFNPSTTSIALSGSDPLPHPPPAPGSGSPPATPHHGDRFHRRPPVVVGADLLLRAEIRLILHLVPGSVCVLLAFGTRYVVLWDVVVWL >Dexi1A01G0002630.1:cds pep primary_assembly:Fonio_CM05836:1A:1753807:1756980:1 gene:Dexi1A01G0002630 transcript:Dexi1A01G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLRRVAGIFGAPRDDADHSDSSSSSSSAAAAAEFPQDRAAAAAAAHGARRGFSVQVPVPVERQGPGPVLVPCPHGDGGVQVALEIAFPMCYDGVSCRNSHYNMLSALPAFNQGFWWYAKRLRIDEDGDVADEFLQEVIPESSINNDASPVGRFQVKYNTKPAVTALRKQIVAVDGDIRHSLEHQGQLRWV >Dexi7A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:7A:24772228:24772620:1 gene:Dexi7A01G0014850 transcript:Dexi7A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNMVVFAMASACGGNCPPSTPSTTPSSPTSASYGKCPRDALKLGVCANVLNLIKAKVGVPPTEPCCPLLKGLVDLEAAVCLCTAIKGSILGINLNLPVDLSLILNHCGKTVPTGFKCL >Dexi5A01G0000480.1:cds pep primary_assembly:Fonio_CM05836:5A:332629:333762:-1 gene:Dexi5A01G0000480 transcript:Dexi5A01G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKAGADAVAVADVVYRPPPTSVSLFDISAVEEPWLIAKNKAADDDEEETTDDDEEEDKAAANKTIVPLLEKLEGYELMAPASWSEVSKALEDMKPALDAKPPPAAAAPPNIKKKIKNNNKKKTTQATADEAGKKQAPPPSALGTVDVDTSATKAAPPELAGRRVVKDNPFLMRDRESNSSSNNNNKWKRRDPFEGCPERRPPGATGGGVVLYTTTLHGVRRTFEDCERARELVEACAEAAGVGAVDERDVSLHGEYLRELRELLLAGGDGAAVAPPRLFVMGRYVGGAEEVVALADSGKLREMMRWVKARGEAACCAAKDGRGCEGCGGARFVPCWECGGSCKVPAPAKGDGQVERCAKCNENGLMMCPICH >Dexi1B01G0021550.1:cds pep primary_assembly:Fonio_CM05836:1B:27436195:27437768:-1 gene:Dexi1B01G0021550 transcript:Dexi1B01G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDECKLKFQDLKAKRSFRFIVFKINEKVQQVVVDRLGEPGESYEDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKKELDGIQVEIQATDPSEMSMDIIKSRAL >Dexi5A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:5A:22412000:22412537:-1 gene:Dexi5A01G0018920 transcript:Dexi5A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATELVEAAVATELVEEAAARGRRRRREAEEMAEEVTVAGETVKVAAEMAEEEMAMAEEETAAAEVATAARTSCT >Dexi1B01G0002270.1:cds pep primary_assembly:Fonio_CM05836:1B:1853706:1854513:1 gene:Dexi1B01G0002270 transcript:Dexi1B01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRMLIGGGDELKTSWPEVVGMQLFFAAAKIHKDRADVTMEVHKVGEGVEPGYNDKRVRIFINNDANVALTPVI >Dexi9A01G0008690.1:cds pep primary_assembly:Fonio_CM05836:9A:5140581:5141284:1 gene:Dexi9A01G0008690 transcript:Dexi9A01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARVDDGAAGGKALKVVAAVDASEESLHALSWALDHVVRCHPDAALVVVHAQHAVDHFVYPVAAHGIAYAPATAVESMRKAQEENSRRILARALDMCKERQVDATGAIVEGDPKEAICQAVERFQAGLLVLGSRGLGRIKRAFLGSVSDYLSHHACCPVLVVKPTKAQAK >Dexi5A01G0028200.1:cds pep primary_assembly:Fonio_CM05836:5A:31518017:31523359:1 gene:Dexi5A01G0028200 transcript:Dexi5A01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEPGGGGGASSSAAENFDAGQYAFFGKEPLEGFELGGLEDANGDANGGGFGGPEEGLYRLSSVGEEMDDLSNLSDVDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDGEFSNWVDQDIYENEEFQESKRWWSSNSSVQQGDSNSRPLSRTSSYPQQPLQHRSSEPIVLPKTSSFTSFPPPAAGGGRSPYPAQGLTRHGSIPSIGAGLQMGSPSMSLSASPYHMVGPSHGLPYTGGIPYGALNLPVNNSMQNDWSNQAFIGEHLNLPPNLLHKQLSLPNSPMSSFLISQHQQRLAQVQSSHQNYLNIPPHLLYPHHSAEIGRFDSVGSSHSSRDKRSRSGRGKHSIRFSQPPSDTGSQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACMAKRGATSKQKNNFSPASMKDLPSKSRSSSDQHTYLQVDALGRVSFSSIRRPRSLLEVDHPSSGDGSHDQKSIMRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQSQDNSFQLKRRRQVLLEGLAASLQLVDPLGPSKSGHSSGLALKDDVVFLRIVSLPKGRKFLARYLRLLVPGSELTRIVCMAIFRHLRFLFGGLPSDSSAAEATVALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKSVLDRATELLTDHHAAASYTVPNRTLWQASFDAFFGLLTKYCVSKFESIQQMFVMQAPSPVIGSEASKATSKEMPVELLRASLPHTNEQQRQRLLDFAQRSMPVTGFSPPGARGGHITSESVPG >Dexi8B01G0001170.1:cds pep primary_assembly:Fonio_CM05836:8B:794946:796060:-1 gene:Dexi8B01G0001170 transcript:Dexi8B01G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDYAKDDSNPYAVPMAMGIYHRLESPLAITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGE >Dexi9A01G0040470.1:cds pep primary_assembly:Fonio_CM05836:9A:44218108:44218737:1 gene:Dexi9A01G0040470 transcript:Dexi9A01G0040470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSKASSRERDPSARVRSTASSLTKSRLVSSHGAALDAESAAPEISLDHPASEKESKLLLPAPPAPALPSMEPFRCCLERRRLTSISRSSRSPHEESNDMPWFGEAAHAGAAAAARELTDGGAPPPAPSGLIWSSSSDAATAAPEGGDEGLAASPGAISGEEASESTAGRDSARKSRSTSPAPSSYVRSNPGRESPLPSGRMNSA >Dexi6B01G0020820.1:cds pep primary_assembly:Fonio_CM05836:6B:27168926:27169503:1 gene:Dexi6B01G0020820 transcript:Dexi6B01G0020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAEKRLLANALLDWSNQRFVLVSESCVPSYNIDVPQCAGRYNPRMAPHVLQDQWRKGSEWFELSRDPAVDVVADQRYHALFRRHCTPSCYPEEHYIPTYLHLWHAARNANRTLTWVDWSRGGPHPARFGRSATTAALLAAIRNNGTTCLYNGRPTTVCYLFARKFAPSALGPLLNLSTTILDF >Dexi9A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:9A:6596654:6601717:-1 gene:Dexi9A01G0010770 transcript:Dexi9A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVPNGVKAEAPAAAATADSPKSVLEDENQKISEPNNGNASLATEPVKQDEECSDDFVDASSSLHVDPEINIASVLPAIKVIKNEEQLLEAMKEEKVDFVDEGLPIELEAKNGDASLITEAMKKEEEQLEEARIKAEEEEEARKREEAARLAFDPEARYSKLDELLTKTQLFSEFLLEKMDQIADEVVEPQAEEPPAEEKKKGRGRKRKANTAPQYNDKKAKTAVAAMLTRSREEHLADNCDLSEEERWQKEQANLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYMIIAPLSTLSNWVNEIARFVPSVTSIIYHGDKVARAEIRRKFMPKSKTVSPDFPIVVTSYEMAMSDARFLAHHSWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWYYHRINSPVTGCNLGGLLALFDFSAKGNEENEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTELQKRIQDHLVKKTFDVYLNEESDIVLQKPGIKAKLNSLFIQLRKNCSHPDLLEAAFGTTSLYPPIDKLLEQCGKFQLLDRLLTSLLARKHKVLIFSQWTKVLDILEYYLDAKGLQVCRIDGGVKLEDRRRQIAEFNDVHSSMNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLKLEHVVIGKGQFEQERAKPNVLEEGELLALLRDEQAEEDRMIQTDISDEDLLKLMDRSDLTGPSGSADAAPLIPLKGPGWEVVVPSKSGGGMLPSLTS >Dexi5B01G0034850.1:cds pep primary_assembly:Fonio_CM05836:5B:34984004:34987970:1 gene:Dexi5B01G0034850 transcript:Dexi5B01G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGIKRSATQTITLPPPVVRDVIRSTIPSQTAAEAPPSAAERAVAPAPAVEGFLCLEEVDGRRWSYVVDRSSGAVKGRGRGGAAGHAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMSWRALKHFFGGAMGVFTTRTLLSSVGVSQSKATPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYQEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKRKQANPDRPDYGNLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCGGVKPQ >Dexi1B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:1B:12305018:12307183:1 gene:Dexi1B01G0011870 transcript:Dexi1B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKRERGKSTLAAASAAAISLVLGDCDLLGEIFLHLAFPTDLVRAAAVCRRWLRAASDPAFLRRFRDAHPARLLGFYLSAFSANQSFRAAFVPMTPQTPDLDAVVRRGRFGLDSYVSRSTRVMDCRNSRVVVNLFRDGYFTPAVHSPLHPARGLVTLPRLPVLDENDEKLYIFREVLSQECGNVQYYFRFELHYNQKDEQATANVYKLQGDAWTMQISASTKISSLLTSTLNTLSVFLVNDKIYMGITVHNILVLDLTSSTFSTINYPMKYSNRVGKLMFDGEIMLARANGSGVYLVHVKELQLCVWLHNGCHGSMGDWLLVNTIGLRDLCANLKISNSTTEDDYDCDAYIHAVGDNAEFIFLQMYQCVLYLDVRRSALQNVYNMTGKKAHVTLIHPYLMTWPPIFPVLKE >Dexi9B01G0021410.1:cds pep primary_assembly:Fonio_CM05836:9B:16147711:16151769:-1 gene:Dexi9B01G0021410 transcript:Dexi9B01G0021410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARALLGRLRLAPQRLLHDGHPLRSSISAAAAPPQDEGPPGAPSPPSNSRLFVAGLSWSVDERSLTDAFSSFGTVTEVKFGLDLNVSVTNSIVGMYLSFGDIEIGREIFRKIIVRDVVTWTMMMGFLLEQAHASEVISLFVQMRSDAIVPDRVAMVARFELCVQLHGYSYKSVRIMYDKNSGRSRGFGFIHFSNDHEAKCAKDAMDGKA >Dexi9A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:9A:7493268:7497052:1 gene:Dexi9A01G0011840 transcript:Dexi9A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIGVGGAGGEETAEGAVVEKTLQNGDVYRGGFSQGAPHGKGKYVWADGCMYEGEWRKGKACGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYSGAWVADRRHGVGSKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRYGVLSGRGVLIWPNGSRYDGVWENGVPKGTGVFTWPDGSRYIGSWPGTCLDLPAISGTFFAPMGAGAAGTIRKRSSVEGVGEKAPPRICIWESEGEAGDITCDIVDALEASMLYKEAAAVAGGATNMRALPQRSARRAASGVPRWASSAATTPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPTRALIQADFDPKEKFWTRFPPEGSKITPPHSSAEFRWKDYCPMVFRHLRKLFTVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKMLIRMLNSYYQHVSRYKNSLITRFYGVHCVKPLNGPKVRFIVMGNLFCSEYQIHRRFDLKGSSYGRTTDKFDDEIDETTTLKDLDLNFVFRLQRSWYMDLQEQLKRDCYFLESEGIMDYSFLVGVHFCDDVSASKMGSSTFTASPRLLTKSESFQGGGTPELCFSDDDFDMIPDCRRYDYDLTKKLEHVYKSFHTDPNSISAVDPKLYSKRFQDFIGRIFVEDDG >Dexi2A01G0016410.1:cds pep primary_assembly:Fonio_CM05836:2A:27963530:27964912:1 gene:Dexi2A01G0016410 transcript:Dexi2A01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTPRHHLSSSSLPRSLLAKGIITFALYALVPLALLHYLISLPSPAPQATTSSSPSPPQEPKAVASVKEKAAKRTSAAPRCDYSDGEWVRSAAAPPLYNGTSCGETIKAGQNCEAHGRPDTGYLRWRWRPRGCALPPFDPAEFLRLVRGRHVAFVGDSLARNQCESLVCLLGSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHDATVSVFWSPFLVKGTEKAKGGAAGLDHNRLYLDQPDERWAAELPGIDVVVLSAGHWFLHPAMFYDRGEVVGCHHCPEPNRTETGFFGAFRLAVRGALREVVLRGARARHQRQQQQQREKLAVVTTFSPAHFEGDWDSPTACARTEPYAAGEREMGYMDGEMLRAEAEEAAAAGADSRARGAGVTVEALEVTRMAALRADGHPGAYMHPFPFAGGARERVPNDCVHWCLPGPIDTWNEILLQVVKRWVASAP >Dexi5B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:5B:2955702:2961133:1 gene:Dexi5B01G0004370 transcript:Dexi5B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLLSPSPPLAFQYPSSGRSRARRGPAAAFVVASSASAPDGGASPSSSSAAADPYVLARRVVLLGASAVVPLVRIREAAAAPPTADLGTETKDFSKLGDPQSEGTKGISKPDNPQLEGTQAGTEARQPESSLQSVQEQPPGNPLLGLLNAVAVAASGVLGGLYATSLQEKKALQSIISSTESKLVENEAALSLMRENYEKRLLEQQAAQKKQSMKFQEQEASLSDQLASAKKSLTSLSEEFRKEKKLAEELRDEIRRLESTITQAGEAKGVLETKLEEKLGEINALQEKISLLTQEIDGKEKHIRDLSESLSSKEVDYQRLSAFANETKSSLDIAYSKIQQLEEELNRTKNDLASKASLIDTLNAKLEALSSEKEEASQKINELIQECTDLKAASETRASHDSKLLSARDDQIKQLEEKLTVALTDSRKDHETILELNKELDATKMMLENELVAMRSLKESILSSEEALKDSRNEVSKLSKELEEANKLNQDLVSQISELQDELNETQDSLTNKIGEAESISKALSDELASVKEMVQKGQEKLETTSNELASVVEARDNLKKELLDVYKNLESTTHELVEERKIVTTLNRELEVLAKQLQVDSEARKTLEADLDEATKSLDEMNNSALSLSKELESTHSRNDTLEAEKEMLSKALAEQMKITTEAQENTEDAQNLITRLQAEKESFEVRSRHLEEELALAKGEILRLRRQISTNRSQKPRTAPARPRAPPEGNETLTEQAVNDHNPKTSGLVAGAPQSVKRTVRRRKGGEST >Dexi7B01G0022620.1:cds pep primary_assembly:Fonio_CM05836:7B:27487591:27494160:1 gene:Dexi7B01G0022620 transcript:Dexi7B01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEPEKEAAAAAAEGDEKAEAKGSGSGWELLYCGGTSFDSMGRKVVGGAQGNLVSPTRLRPLMGVDIRFVGSGCTACHCVALDAEGRCYTWGRNENLVNIFFCPLGSYMLLLFCGSTMAAEIESSPVPCIISEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKDSSVKLTYDPQPRPRAIAAFSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQRHNVLPANAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTSVGCGYGLSLIVVDRANIGDRLDKLEIYDGDTSTEVEEVEVQTTKKASASTTSRANKRKKTQKEESESEEDDEEDESEDDDNGEIEEAKGRRGRKPSNRGRGRGAKKGAPEPKPSGRGRGRPKKTESPAQKAGSSGGRGGKRGGKRGRPRK >Dexi1B01G0023130.1:cds pep primary_assembly:Fonio_CM05836:1B:28793920:28796491:1 gene:Dexi1B01G0023130 transcript:Dexi1B01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDYVGISAAKMSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNSNLEICDLINTEKVNSVELIRGIKKRIMLKDARIQYLSLILLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVAEADVDANLPQQAFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTTTLVQQCYQSQHTIQRIIETAGDNEAVLFEALSVNDEVQKVLSKYEEMKQPRASKHAEQRPVVIPIATEQEDSTAAGNEDALVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSQEAPKKPDPKKDDLISF >Dexi5A01G0037090.1:cds pep primary_assembly:Fonio_CM05836:5A:38414150:38418419:1 gene:Dexi5A01G0037090 transcript:Dexi5A01G0037090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSAAHGVLGPLVGKLTSLLADECVRLKGVRREIRLLRSELISMHGAIQKYSMLQDPDVQVKTWISLVRELAYDTEDVIDKFVHHLGNGSHHGGLKEFFRKTARRLKTLGSRHGIANQIDDLKARVKEVKDLKGSYKLDDVACSAFEHPAVDPRLSALFVEEAHLVGIDGPRDDLVSWMVEEENCTTKHRKVLSIVGFGGLGKTTLARQVYRKIQGHFHCSAFVSISQKPNVKEIMKDVILQVICNKKETTELAQKKEKEFTEGIDTWDEKKFIEKLRELLQDKRYLIVIDDIWSMSAWNTIKYAFPENDVPSRIIATTRIVDVAKSCCLHVEDNFIAFLGNGQNGLFEEGALPKLEKLQVPFFVSVAKAYGFDLGINNLTYLKHAEIILDNTDATSSESKAAATTIRNEANAHPSHPRVFIYREMEDDAEENDIDEEEG >Dexi3B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:3B:15707810:15710729:-1 gene:Dexi3B01G0020730 transcript:Dexi3B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMHRLLLLPTLLLCSLLLLVPTTTADATSCPSNANYTRGSAFQANLDALLSSLPAAAAASSSGFAENTTGATAPNQVYGLGQCRADVNASVCRTCLDALVQGMTGAAMCSFHDSDECLLRYSNASFFGELDATLLYVMWSPYNSTDPEEFTARLNALMANLTTKAAYGSPTMFAVGSVAAPAPPAVNIYGMAQCTRDLDGDDCSQCLINAVNYIPNCCSRKQGGRVIARSCSIRFEVYRFYNAQAAEAAMSPASAPAGAGGGSSNGSKHTARTALLVSIPVAVTLLLVVLLLVAVYLCKRNRKPQEHVKIASTNDEEMRSSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGALQNGQDIAVKRLSATSQQGQLEMKNEVFLLAKLQHRNLVRLLGCCIEEHERILVYEFLTNNSLDKILFDPARQQELTWGQRHKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDVNMNPKISDSAWRSCSASTPAWETRAALLELSNGYMAPEYALHGIFSAKSDVFSYGVLVLEIVTGRRKTFTHASGPSEDLLTYVWRHWSHGRVQPLLEGCPAEGRRPQEILRCVHVGLLCVQEDPQLQPGMASVVVMLNSRSITLPAPAAPAFAAAVGPRAFAVTGNAQGAVRGDDREDPRVGSREHSVNDVSVSVVEPR >Dexi3B01G0028350.1:cds pep primary_assembly:Fonio_CM05836:3B:24491804:24493185:-1 gene:Dexi3B01G0028350 transcript:Dexi3B01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSFQRGDERRGRWGSPERTVVWTEPRTAPKPTRKVAVVYYLCRHDGHLDHPHFLEMELPLSSSSHRAAGLYLRDFTARLDALRGSGMPAMYAWSSKRSYRNGYVWQDLAEDDLVHPAHGADEYVLKGSPLLLLLPHHPARCDADASSPSSSSGRRRRNNWSSFDLGEHNNKLEAMRSAQNCAATTQTNHLHHLRPDELQESTELAIDEISPPPSSSSPDAGGEREVGVIAGGRMRASAMLMQLFSCGSIGAARRGHARGHSSSDLLTSAGGSSRQAGDKEADADASSAVGTDCSSGGGGIISAGVVNNVMDRDYFSGSLVESGSKTSGGGGDAALLLKRSSSCNADRGAAKILAREQVVRAGYLASRGSRAAKKNATKSTAAEVRVGDGGECTKAGAAGELESSMKHAS >Dexi6A01G0012010.1:cds pep primary_assembly:Fonio_CM05836:6A:18190570:18193296:-1 gene:Dexi6A01G0012010 transcript:Dexi6A01G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDIIKMSKKKNPGGKKPPRQPIKKRPFQSGNPSQGNAKVQRFMESRSTIRQGVLAQRRTNLGGSQFPVTKQAAKKAAAMPMHNKAAKETHNQAPRTMDALFARMKAQRMRTVPQQQANAAPGRQFNQQRRGQQQQQRRGRGYGGRNVGNQ >Dexi9A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:9A:6569009:6573069:1 gene:Dexi9A01G0010740 transcript:Dexi9A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLVERLEAAVARLEAAVASGASLAAAAPRDLDVPAAVDPAIVAYDEFVAEAVGRLTAAAEKIGGKVLEATKVLAEAFAVAKDLLVQAKQLQKPASMADAQDFFKPLSDVIAKATAMTEGRRPDYFNHLKSVADSLPALAWVAFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKDLYMPGLRDYVKKHYPLGPVWGAAGCAPASQPKAAAPTPKAPASKAPPPPAPPSAPLFTTEKTPKSSQPKEGMSAVFQEISSKPVTAGLRKVTDDMKTKNRADRSGVVSNTAAAPATPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKTLAIDDCDSRQSVYVYGCKDSVLQVNGKVNNITVDKCTKFGIVFKDVVAAFEVVNCNGVEVQCQMPSQSIA >Dexi2A01G0024900.1:cds pep primary_assembly:Fonio_CM05836:2A:36697559:36697831:-1 gene:Dexi2A01G0024900 transcript:Dexi2A01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAGGHLTYDLDEIQVGFECLPRAGAGRGVPLSDQCEVRGPGLFS >Dexi5B01G0000010.1:cds pep primary_assembly:Fonio_CM05836:5B:10464:11432:-1 gene:Dexi5B01G0000010 transcript:Dexi5B01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDGTGVVALYGGGSGNNKVKVMDASSKAPAATFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRNLGEALRRVREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRNMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSSGIGEAMVGINLSDPKVERYAARSE >Dexi6B01G0016950.1:cds pep primary_assembly:Fonio_CM05836:6B:24193641:24195294:-1 gene:Dexi6B01G0016950 transcript:Dexi6B01G0016950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPAFREMANTAKGKVCVTGASGFIASWLIKRFLKSGYHVLGTVRDPGNQKKVGHLLDLEGARERLELVRADLLEEGSFDDAVMACEGVFHTASPVVTKSDSKEEMLNSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRIKDESDLPPNVLLDETSWSSIEYCESLQIWYAVAKILAEKAAWEFAKEHMIDLVTVLPTFVIGPNLSPELGPTASDVLGLFKGETGKFTVYGRMGYVHIDDVASCHIMAYEAAGAEGRYICNAAVLDLDELVSLLATRFPAYRVPKSLPNIYGEQSYGFDTSKARALGLQEFKGVEEMFDDAVDSLVAHGHLPAAKNATTRCVF >Dexi9B01G0049400.1:cds pep primary_assembly:Fonio_CM05836:9B:48050560:48056320:1 gene:Dexi9B01G0049400 transcript:Dexi9B01G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAIMAIALAHGGKNVRGKKMGIDYNDFVGIMLLLIINSTISFIEENNAGNAAAALMARLAPKAKILNLASNKSEIERKVHHAIGNYAERGLRSLAVAYQVEVPEGIKESPGGPWQFVGLLPLFDPPRHDSAETISRALDLGVSVKMITGTIMTISKDKVKPSPHPDSWKLAEIFATGVVIGAYLAVTTVLFFWAAYRTEFFVRLFHVTTLNIDKLTSEDKGGGSHLDVIADNTERLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMGAFVIAQLIASVIAAMVSWEVAGIKGIGWRWTGAIWAYNLAVYLLLDPIKFAVRYGLSGKAWGLVIDRKVAFSARKDFGKEAREAAWAHEQRTMHGLVSSAAGGGGGGASSSSGGVDHHHHQVGQMAEDARRRAEIARLRELRTLKAKVESVVKRKGLDLDDINNQHYTV >Dexi4B01G0000750.1:cds pep primary_assembly:Fonio_CM05836:4B:500217:503305:-1 gene:Dexi4B01G0000750 transcript:Dexi4B01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAEVPVSGPVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIAPAPEYQPPPTASAPPMSGTRVPVGGENNVVHKAEDVVSTMLAKGFVLGKDAVGKAKAFDEKHGFTSTAGAKVASIDKKIGLSEKITTGTSMVSGKVKEMDQKFQVSDKTKSAFAAAEQKVSSAGSVIMKNRYVFTSASWVTSAFNKVAKAATDVGTMTKEKMAAEEQQKGSGPSSGGHSYTPIQ >Dexi4A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:4A:2848088:2849116:-1 gene:Dexi4A01G0003990 transcript:Dexi4A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDELARSYYTYQSIPDQHHHIPLPRSSSLPIRSNLTMVRPSIVLFGDSITEEAFGEGGWGASLANHYSRSADVVLRGYSGYNTRWAARVANRAVATISGHVAAVTVCFGANDAALPDRASAAQHVPVAEYRDNLRAISALLQRRWPGVVVILVTPPPVDEDGRRRYPYAHDYSGLPERTNAAAGVYARACVEVARQCGVRAIDVWSRMQKFAGWEKSFLRDGLHLTPRGNRVLFEEVVFALKDANLSLESLPADLPLFGDMDPNNPAKSFEDDEWAEC >Dexi5A01G0004610.1:cds pep primary_assembly:Fonio_CM05836:5A:3483205:3485127:-1 gene:Dexi5A01G0004610 transcript:Dexi5A01G0004610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDLTLDYKPNGNGAGAYSVLPKHQQEPLVDGHHLTTTEQTTQKLREFLARLEEERLKIDAFKRELPLCMHLLNHGPQQLEAYQMGSLQGAPARPLVLEEFIPLKNIGIDAAGGADKMGNASSEKASWMESAQLWNGPGAAASAADTAAKGPQTPKESSEHPLPIDTTLGAAAAAAAGQRNGGSGAFLPFVAKEKAAEGAASAALPELALAPAEKDVAEGERKPYLEANGGLGARRDVQNGVKPAPDGQAAPPPPQTHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPTPPAPATAAPQLVVLGGIWVPPEYATQAAGQAIYGAHPATQPHYTAAVAAAAAQEYYHQSPTAVHHLQHHHPAAAAMVHRAAAPLPQTPLQQQQQHAAAYKAAMAGSPPESSEGRVSAGGGSGRERSESIEDEDEGEEREGDDDDEEEEETAAAAKTGGEESGGAAAIKY >Dexi1B01G0017540.1:cds pep primary_assembly:Fonio_CM05836:1B:23865582:23868626:-1 gene:Dexi1B01G0017540 transcript:Dexi1B01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAAGATACACEEEEDDLELLGGESEPAAAEDDAMEPAVRALLVGLGEDERREGLRRTPKRVAMAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIELFSY >Dexi8B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:8B:21863526:21867456:-1 gene:Dexi8B01G0012490 transcript:Dexi8B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAPLTAAAALRLGSRGLRHRHFLLLASLRPFSSAPPSPASAVPAATRRLPTPPPAPRRLSRTLAASAATAVSETQKDLVSGPTTSSKGRIYHETYGCQMNINDMEIVLSIMKKEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKREWKANVAEGRSKSLRPPKVAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGHKGMNTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSMCKVKNMGLRFADLLDRLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRYNICNLIHLPAQTGSTTVLERMRRGYTREAYLELVHKIRNVIPDVGLSSDFITGFCGETEDEHADTLSLVRAVGYDMAYMFAYSMREKTHAHRNYEDDVPEDVKQRRLTELINAFRETTKKNYDSQIGTVQLVLVEGPNKRAPKTEVVAH >Dexi9A01G0002270.1:cds pep primary_assembly:Fonio_CM05836:9A:1198843:1199310:-1 gene:Dexi9A01G0002270 transcript:Dexi9A01G0002270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKPDAAAAPATGIPVGASKWSSGLFDCFDDCGICCLTCWCPCITFGRVAEIVDRGATSCGTSGALYALLAYLTGCQWIYSCTYRSKMRAQFGLPETPCCDCCVHFCCEPCALCQQYKELKARGFEPELGWDINAQRGAGAAMYPPGAQGMGR >Dexi5A01G0026170.1:cds pep primary_assembly:Fonio_CM05836:5A:29879558:29879821:1 gene:Dexi5A01G0026170 transcript:Dexi5A01G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLLLGSSASPSSLSAPQSPSYSPSPPLAALVAVAHSAGESSPWWRRTGEKGVLLLVAVVIGGTSKSCWWLAGASEKEREVVAC >Dexi3B01G0037930.1:cds pep primary_assembly:Fonio_CM05836:3B:40782545:40786377:1 gene:Dexi3B01G0037930 transcript:Dexi3B01G0037930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQASPSIAKDVTELIGNTPLVYLNKVTDGCVARVAAKLESMEPCSSVKDRIAYSMITDAEEKGLITPGTTLLIEATSGNTGIGLAFMAATKGYKLILTMPASMSMERRIILRAFGAELVLTDPLLGMNGAIKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWRDTAGQIDGLVFGIGTGGTITGTGRYLREQNPNVKFYGVEPVESAVLNGGKAGPHKIQGIGAGFIPKVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAVRLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVMEL >Dexi6B01G0010520.1:cds pep primary_assembly:Fonio_CM05836:6B:16917373:16920605:1 gene:Dexi6B01G0010520 transcript:Dexi6B01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTSTRFCCSRPPLPPLPGRGRRSVARCALPGGEKRSSFSWKECAISIALSVGLITGGPTLGSSAYASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVRQASRALSNGRGLILSGLAESKRANGEELLDKLAVGLDELQRIVEDKNRDAVAPKQKELLQYVGTVEEDMVDGFPYEIPEEYSNMPILKGRATVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFVDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKIRTIPLEIMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADVKVGDVIESIQVVSGLDNLVNPSYKIVG >Dexi3A01G0028570.1:cds pep primary_assembly:Fonio_CM05836:3A:29411418:29413112:-1 gene:Dexi3A01G0028570 transcript:Dexi3A01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARQAFVSSVFLFVLALCLVAILPPRGPPPPPRSFFQPWLPPASSECDYSDGRWVRDSEAPPYAEDCPFLDPGFRCLRNGRGDDSFRFNATEMLERSRNGRIVFAGDSIGRNQWESMVCMLAAGASPSRVYEQSGKPISRHKGYLSMVFADYNLSVEYYRAPMVVMVDRFFPANATSGAGGEVRGAIRLDALPRHAGRWAGADVLVLNTGHCNGTWNTGGSCAGRRDPVTTTDQFGEEYSWINAAIAKTMGGISSHGRKARFLNITHMTELRPDGHPSQHREPGTPPDAPEDCSHWCLPGVPDIWNEVLYAHLLSMGYDTRKQR >Dexi3B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:3B:2103469:2104501:-1 gene:Dexi3B01G0003220 transcript:Dexi3B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNIPYCKSQAPVAAVAAISSLRFSSSSSPALIPPPSEPLASPSPALVEENLFAALLASDPPPPEPLRLVLAAGDVHSALRGLPGLARQLFRWAEDTPRGFPRTASAFAAVLVPLAQANHIRAAYPVSLRALHLGLLLPLVSFLLSTDISPATKSLLSLLLRLSTKFSEECEARDATPTTCSTLCLSAFREMTRHGVAPDVKDCNRVLRVLRDAARWDDICVVHAEMLQLGIEPSIVTYNTLLDSFLKEGREDKAAMVLKEMETQGIGCLPNDVTYNVVISWLTRKGELGEAVELVDWMRLSKKASSFTYNMLIAGFFAKGFLKKVEALQLVLCRQL >Dexi9A01G0035750.1:cds pep primary_assembly:Fonio_CM05836:9A:40347578:40347907:1 gene:Dexi9A01G0035750 transcript:Dexi9A01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDVGNTIAMSMVAARSRENSMVAGPPASSPAVAAPVFLLAACYSLAAPASGRASVGAATLRGVGGGVGEGRRRRWGAAASVGAGRRWGRQLLRGVGGGVGHEGSRA >Dexi1A01G0029320.1:cds pep primary_assembly:Fonio_CM05836:1A:34863188:34865050:-1 gene:Dexi1A01G0029320 transcript:Dexi1A01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSAVALPSSCRGARPAGGRARLLVARAAASSPKLPSGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQNAGAEVVNGLFLRYDAPKEPNGTYVVHYNHYDGSSNGKVGGEKRSLEVDAIVGADGANSRVAKEMGAGDYEYAIAFQERVKIPDAKMAYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIKGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >DexiUA01G0002330.1:cds pep primary_assembly:Fonio_CM05836:UA:5237657:5244619:-1 gene:DexiUA01G0002330 transcript:DexiUA01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDRRGSFAAAADELGRVPSALSYTMQKLEEELDVVLFDRSGHRTKFTNVGRMLLERGRVLLEAADKLTTDAEALARGWETHLTLVTEALVPTEALFPLVNRLADKANTQLSIITEVLAGAWERLETGRADIVIAPDMHFRSSSEINSRKLYSVMNVYVAAPDHPIHQEPEPLSEVTRVKYRGVAVADTARERPVLTVQLLDKQPRLTVTSLEDKRQALLAGLGVATMPYPFVEKDIAEGRLRKEQMEEKELEAKMKGVLMKEVKLEQAERSLDERVYCNKCRTSIVDFHRSCECCFYDLCLGCCWEIRKGEIPGEEVKSVWYEDRGRGYVFGDINLRKHKESPNSMAASEDPNTPVLLWKAKNDGSIPCPPKELGGCGGPFLNLKCLFPEKLLSELEERADRIVRSETFAKAIEKGDRCPCWDHSGKIRTQNMREAANRKGLSDNYLYCPVATGIKDDDLLHFQIHWAKGSGTDHGLSPSADFRDGVCEDISDGTGINAVPIDDSEGVAKGQPSSHDSEVIHPIHDHSFYLTEEHKRKLKEEYGVQPWTFEQKLGEAVFIPAGCPYQVRNMKIKKIAIHALNEAINFLDRSCSEGGVRLETGGPMNKDEAWWPVPPERLSQVINSSAPSNVKT >Dexi9A01G0037710.1:cds pep primary_assembly:Fonio_CM05836:9A:42011879:42015153:1 gene:Dexi9A01G0037710 transcript:Dexi9A01G0037710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLVVLAAAAALLAASTAHEHHGEAPTCSGGSGHVLAEFRPGEVTVDGHSDDWDGVEASEFALLPAIDPDEDKAYYGGKIAVKAVHDGVNIFFMLQVDGAYAYSKGESSKCPSVALMFQVGDKATYYNMGGCKDLQGSCTSKSCRGYEVDIMHFEIGNAIPGRLYGGNHIDNAVGNGGDRFGHLVDIYAWNPHCRFLDGVGPKGFVDDDNPYGKQDDKGTYYFEFSRPLRTMDQFQQDAQFTIGQPSNMAVAFWYPTDGKEWSDSEHYSASCNWVD >Dexi7A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:7A:17684581:17685273:1 gene:Dexi7A01G0006310 transcript:Dexi7A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYDRSSYKGTSADEGGYKQSNTDDYGSGGGYNKPSTDDYGSGGGYNKPSTDDFGSGDGYNKPSTDDIGSGDGYDKSSTDDYGSGEGYNKSSTGDYGSGGGYNKSNDLSSDYYISGTDEYSGSGGYNKSNTSDEYKKPSSNDYDGENKESNTDDNSGSGYNKSSTDDYDTGKNTSNTDDYSGSGGYNKPSDDYGGSGKSGTDDYNGGSNQSGSDEYTTRSGRDNTDDY >Dexi4A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:4A:11296296:11298301:-1 gene:Dexi4A01G0012630 transcript:Dexi4A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVLCAANHVPLTPISFLQRAALVYPDRPAIVASSGSGPGSSPRTWHETWARCMRLAAALAGLGVARHDVVAVFAQNIPAMCELHFGIPMAGAVICALNSRLDAAMASVLLQHSDAKVIFVDLVLLEVAEEALSLVGKAGGRRPHVVLIKELVDESPPETFVQACHHDEYEDLIGSGGGAPDLRIQWPGDENEPIALNYTSGTTSRPKGVVYSHRGAYLNSIATVLMTGMVGTPVVHLWTVPMFHCNGWCLVWGVAATCGTNVCLRKVTAAAIFDSVARHGVTHMGGAPTVLSMIADAAAEDRRPLPGGRPPVTVKAGGAPPPPRVLLRMEALGFHVIHGYGMTETYGPATVCAWKPEWDALPPEQRASVRARQGLHHLGLQEVDVKHPVTMRSVPPDGRTMGELMLRGNTVMRGYYKDAAATAEALAGGWLRSGDLAVRHGDGYVKIVDRCKDIIISGGENISTIEVEAALFAHPAVADAAVVGRPDEYWGETPCAFVKLRDQYGAEGVDAEDVIAFCRARLPRYMAPRTVVFVADLPKTATGKVQKVALRERAKAMGSASGSISHKPGITRSKL >Dexi1A01G0004580.1:cds pep primary_assembly:Fonio_CM05836:1A:3344554:3345632:1 gene:Dexi1A01G0004580 transcript:Dexi1A01G0004580.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLICSGKEQVIPTIDAEQAHALLSSGHGYIDVRMREDFDKGHAPGARNVPYYLSVTPQGKEKNPNFVEEVAALFGKDDGFIVGCFTGNRSRFATADLVNAGFKNARNLQGGYRSFLQSANQQPSQQE >Dexi1A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:1A:21195654:21196328:1 gene:Dexi1A01G0014520 transcript:Dexi1A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISAPPRPPRLALPLHPSHTSSAALPPMRALPILRGRLRRLTTAVHAVKQDAAVWTPAPVSAVGAATADGSIFHVAVDLSDAADLADSYTSPGQYLQIRVPSGGGEELKPAFMAVASPPGAGARFEFLVKSVPGTTAERLCGLRDGDVVELGAVMGKGFPLERITPADAAQTVLIFAAGTGIRL >Dexi3B01G0038060.1:cds pep primary_assembly:Fonio_CM05836:3B:40885718:40887915:1 gene:Dexi3B01G0038060 transcript:Dexi3B01G0038060.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYGFGKWGPILVMRYVKETSAVCAKEGYVPRSRNLIVFAVNLLGSESMDDRRSAVRMLDTFVRKQIPVGPTLLPCKDQLGNLMEALEVGDGETRECAANIVAALAGDLRHIDQFPTALHNIASLLQASSDDQRQGRASDPLATPSGSSGMSATAMTTSMARKNRWRYGPLCWVMIHVSSFFLPDDKKDSQEGEPELLISQGLLIVERLAQHQGTCEHICSNHGLVSKVTAPLTNSHAFLDVAEYDSRWTDILSRSMRIVARLISAPGEATTALRHGIASKDKPVVANLKRILEDDKKQQEADSRLRHKAGEALAKMLSAWDAGSSSSDVMEMFIQTSTDSSTGEEQTEYLCRVVGKLTDMLIANRGSRTRAAEILRCLCSHFTKELPRQDVSKMLEKVLQLVIGIQEEANSGTIGEGANSNKKVQIMDVENPRPEDHAGSENEEQHQHEEKGFLKALLSLAVVVCAGRMVDAQDVTCDMVSLVTNLKGVMRISKPLASLVKQAQELFDKAQELGNGHA >DexiUA01G0017710.1:cds pep primary_assembly:Fonio_CM05836:UA:37478518:37479736:1 gene:DexiUA01G0017710 transcript:DexiUA01G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKCEGDSKNAHLLELEGAKEKLSLLRADIMDPESLRAAFSGCHGVFHVASPVSNDPELVPVAVDGTRNVMSAAAAEGVRRVVLTSSYGAVHMDPNRSPDAVMDETCWSDYDFCARTGNWYCCAKMKAEITATEEAARLGLQLAVVLPCMTMGPMLQKDLNLSNHHVLRYLMGVKRSYPNAVAAYVDVHDVASAHVLAYETPSAAGQRYLCAGVVLHRAQLVAMLRDLFPEYPVTAKCEDDSKPRERPYKFSNKRLKDLGLEFTPLEKSLYEAVICMQKKGHLPVIEKQLRANL >Dexi7B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:7B:18077728:18078287:-1 gene:Dexi7B01G0010860 transcript:Dexi7B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLCGQTMGTLLGRLYYNSGGSSMWMATLAQSAGAPLLLVPLLLTPRYDEASASASASAAGEPGRPATSKVKVAAACVGIGLVIGCDNLMYSYAMLYLPVSTFSLVAATQLAFNAVTSRLINAQRLTALTLNSVVVLTFSAALLGVGSGSDETASYVPRIIC >Dexi9B01G0042920.1:cds pep primary_assembly:Fonio_CM05836:9B:43038067:43039673:1 gene:Dexi9B01G0042920 transcript:Dexi9B01G0042920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEVLQGVAAVVIRKYDPKTDRDGTEAIDRECELGPPGGMSLHADLLGDPVGRIRHSPLYLMLVAETSGPGGGRIVGLIRGTVKSVATGERRSTNAPTLANVGYILGLRVAVSHRRMGIALMLVQQLERWFVHEGAEYAYMATDKSNEASLRLFTGRCGYSKFRTPSLLVHPVHSHRLRPPRRATVLTLSAHDAERLYRARFAHVEFFPSDIGHVLANDLSRGTLLAVIVNSNGGSYEWRGVEHFLASPPASWAVASAWDCGGVFRLEVRGASRIRRLAAAATRALDRVAKWLRVPSVPDLFRPFAGWFVYGLAGEGPDAATAAEAAFASVVNMARGEAAAVAVEVAAMDPLRGRIPHWRRLSCAEDLWCMKRLGDGADGWDWARSPPGRSIFVDPREV >Dexi4A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:4A:5368283:5379949:1 gene:Dexi4A01G0007260 transcript:Dexi4A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLASQPHVPPKLRNGHPHCCVRVGFSSRTHGYFRNGHVDGRLTLPVYRPGGTYEALGGSGSRGRAHPVATGRVAVHSPGWLVGSGGLAEWPSLRRLAQPRRALELRRRSSGGRGGRGAAAGGEEEDQQRRGGGGPAAGADGSDDKSLEVMRKFSEQYARRSNTYFCTDKTVTAVVIKGLADHRDTLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQACPFCTLHRILSSYPLISSSMTSLAQILGLIPLVYLWQVISLEEIKEATSKF >Dexi3B01G0030670.1:cds pep primary_assembly:Fonio_CM05836:3B:30807920:30808533:1 gene:Dexi3B01G0030670 transcript:Dexi3B01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIKFTAAIFLLLLVVQVECQGCAPSSISVQQTNTGKMVGGTDTVFQVTVTNGCRCAVKNVYLWSNGFSSSTAVDPKLFRRAGSGYLLGDGRQIPSSMSVTFQYAWDHYFRMAPTSVQAQC >Dexi5B01G0035480.1:cds pep primary_assembly:Fonio_CM05836:5B:35474061:35475195:-1 gene:Dexi5B01G0035480 transcript:Dexi5B01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGQQSLVTWATPRLTEDKVKQCVDPRLMGEYLAKGLAKLAAVAALCVQYEAEFRPNMSIVVKALSPLIIHPLQYLLGCKYNRNNCLDNTQYGSIHLSDFDN >Dexi7A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:7A:10364032:10365117:-1 gene:Dexi7A01G0002430 transcript:Dexi7A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTSSSAAAAAIILPPALSRLTAHLGYTAFIELLRSGTLSPGDARHLAPTPLPLIRMGAGLGVDGVVCRSLLKVLCCNGAMRPEEVLHVLRHGMPDLGCAPCVVSYTVVLKSFCGEGKSQQAHHLLRSMAAQGGACSPNLVSYSTVIHGLLTEGQHGKAFALLDEMRRQGIIPNVVTYNSIINFWCKAKSIEKAEAILQQMRILGCPLQARKKKRSSRFFSFHDFKWPGT >Dexi6B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:6B:19849250:19851436:-1 gene:Dexi6B01G0012250 transcript:Dexi6B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVVAVTLVVLAALLAAAAAQDLAGDRAALLALRAALDRGRLLPWDITAATPCQWRGVTCAPSNTGEQRVVKLQLPGKQLSGTIPAGTLGNLTALTKLSLRHNGITGEIPADVGDLGELRVLSLRNNRLTGGVPDGVFSLATLRHLDLALNGLAGGVSQEFNRLKQLDTLFLERNFFSGELSPGLYLPSILRLNVSFNAELTGPVPPSLAWLPASSFLGTGLCNGPLTACSSGAPPPAPEKTKKKLSRWAIVGIIVAAAFVLLLIMGLVAFLRRRRSPAEAPARSAAAANLHGGTSPITVTVARTDRDATAAVKQSHAPPLAPAMVSEGKKLVFLGSAPERPYDLETLLRASAEVLGKGTVGTTYRATLDGGEPVLAIKRLREVRLSEREFQDKVAELGALHHDNLPRLRAFFYSKEEKLLVYDFVGAGSLSSLLHDAGADGRARLDFTARARIALAAARGVAFIHRAGGASSSSSSSSLTHGSIKSSNIVVTAARDGAFVSDYGVAGLAGSGEVPRRDAAAGYHAPEVTDARAVTRSADVYSFGVVVLELLTGRAPGIPAGAGGGGEGGVDLPRWVRSVVQEEWTSEVFDAAIANEERVEEEMLRLLQLGMDCTEKHPDRRPSMDEVEARIERIVEDACRKADFSSTDGSRSVSA >Dexi8A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:8A:1697828:1699074:-1 gene:Dexi8A01G0002590 transcript:Dexi8A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDAFFACCLLLLLGSASGNGGSSVSTTTPLPAGGGSLPLWVQQLAVASGVPAPTLGALDGKHLVVSPNARGGDGQFSSINAALAAAAAEDLSGRNRFIIFIDEGVYDETLNITMEKVILVGQGIGKSVITGNKSVAFDNLTTQETATLSCGAGHNVVTAQGRSNPEDKSGFVFQNCSLTADQGANLTGVETFLGRPWKNHSHVVFMESFLDAIVDPLGWIEWNRTHGEIPSTVRYLEYGNSGPGADTTGRVKNPAVRVATCSEAAEYTADRFVDAKDWMVPATEPKVTIPYPRGLQHPCPAP >Dexi9A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:9A:13573737:13581433:-1 gene:Dexi9A01G0018490 transcript:Dexi9A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTVPGAEVLSPGEAEWPPELRLPPLPPSAMVSQRLPAPHLKLTAPAATPPKKEPSPPRHAEGGFDDQQFLGSMMGGAAPHQHHHHHQQQQTAASQQQQQHHHHHHQQQPPADPAPVKRKRGRPPKNRDATTQAAVPAPVRPVNKKEEEVVCFICFDGGDLVVCDRRGCPKVYHPACIKRDESFFRSRGKWDCGWHICSNCEKAVQYMCYTCTYSVCKGCIKQGKFFGVRGNKGFCDMCYGTILLIESKDDGAKVGVDFDDKNSWEYLFKLYWLDLKGKHLLTMSELFDAKRRWTVPTTVCRREKEESSDELYDVNDDQDASFDISSRKRRRNNLSGKRGQKRKKDSGVIKKAPALNADSQKAIDSDSAEVGSDKRRKAQKNIQVEQTTNLEDYAAIDMHNINLIYLRRSLMEDLIDDDASFSDKIAGAFVRIRISGLGNKQDMYRLVKVLGTHKVADRYNVGKKTTDYALLIANLDKKEVITMDTISNQDFTEEECKRLRQSMKFGLTTRLKVGDIYEKAKIFQSLQFTDWLENEKQRLTHLRDRASETGRRKEYPFLTLSFFGSGFPCPTWHKVGSEKLQLLDTPEEKARRINEVPEVHVDPRMTPNYESAEEQDYKKAVDWTVNRNGTNLGRKGAESNSVPNHTKKYLDASGCTSTAPTEDVGHPTEAGSNMTSNNTTVEPIMPVVASDDTEPEKVWHYKDPKGAVQGPFTLLQLSKWVNFFPRDMRIWLTFESEKNSLLLSEVLSKQQSDFVEPPAATASDKSIWAGMGKDRINSIADISSSPVGYNAVYSSALSSQFAEVSDPTKEDPKHWSTALPSRSLKKAHSLHGQVQHQVNYSSTTIQSSVGSYAQTGSHDERVPREQVGEWKSCQDNAGTRSATIAPINHSCKSNMEKFPDGCTTKDQLQADSKSHFHSVPVLTPQQSGRDPAAPLSTTSLPEFKAMCQQKPSYWGSAINAGAHDPQLSVASVKPESRSPTNPFEDRDSRTASAVSSQSGAPAYLPQPVPSLSTSNSSKVAATINQHKACRPAASNTTFDKDPEPKNVPMVSLKTQDVECEKPSPTPKLERKETPMNQSRSPEDLATKPCVHSSVSSVSEPSGSPASKIDSLQSVKEKSCLEGRHLIDGDSMTQMEHLLENTVNGNNKSVNHVSDAEGIAVSDVFESLTEQNCERYSIPEAMPLENFVAPSAEEEQPQCSSPIALSPWGEPSYYQGEAVDSALWDVQDDPGNDMWSMPSPTPALQPSSG >Dexi2A01G0025080.1:cds pep primary_assembly:Fonio_CM05836:2A:36875955:36878940:-1 gene:Dexi2A01G0025080 transcript:Dexi2A01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKEWRVKKNDQPKPAVQAVQPVVQTVQTASSTKPPAQPVRLVEAEILPDDVSPARMNNPRFVASDGVPSEATIVGFRGTSAYRRSDSL >Dexi3A01G0020570.1:cds pep primary_assembly:Fonio_CM05836:3A:16333007:16333569:-1 gene:Dexi3A01G0020570 transcript:Dexi3A01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKPLLALLVLSALLLVVVPLVSSVPVPRSLRLKNAQQHPPALKLDSSQGMAMASWNLGRQGARMDVEVNDYQPSGPNNRHDPPKGPGKA >Dexi9A01G0016790.1:cds pep primary_assembly:Fonio_CM05836:9A:11890182:11891412:1 gene:Dexi9A01G0016790 transcript:Dexi9A01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLPALCGGGSRAAARVRRKRVQRVAYSSPVASKLAVAASPARGGEAANKSSSPGGRGGGCYVNGDGALMVEVGGGGAGRKKKKDGGGRRVMVLADGRAEAAGALQWALSQAVRSNDTVVLLTVVKPVAAHDAVSDSCVKMLGTKTQRQLDALKALCESARPEVKVETCAVEAEEHGAAVVEAAGRHGASLLVLGQRRRRAALWRRRRLAGGGGMVEYCIENAPCVALAVRRRSSGGYLVSSKRHKDFWLLA >Dexi1B01G0008320.1:cds pep primary_assembly:Fonio_CM05836:1B:6976651:6982836:1 gene:Dexi1B01G0008320 transcript:Dexi1B01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCRSLLLMSRPRTLLNSIRGRVTEPPAVALGAASRWLVSDTSDADGRHNDTSVRHVGEPGTPATAGRSPPMATGDKKHLYLLLDDAEYGFGIHKLDMDTDVAAGGLDSVALPRLPDPPVLRFDDKWIDGFAVLGSNVIGVALRLTETHPDSRSDGDTVIFDTRTSKLTLLADLPNGLRDSCPMLSIAAGDRLYREPGWCWHVIYSSSTRWFWSCDPQKLPFLPYGVTAHAVHPSERAFFVSAYCHRGRGTFSYNTERGDWTRHGDGWELPFIGQSHYDQDLNAWVGLHVQRDGHGSFMPDGHICACDLPDLDGPAATPEWKLGKEKLFVEDPERHVDAKIVGMGGGGRFCVVEIMTMPGVDRKECIGDGDKCVLRLTAFRVEYDDDGELTGLREKGELPYPGADWGGLHCLKLQQDDDDDTVAHGYRKYEHGWSWCRASSYIYSSTRWFWSCDPKEIPLTPDGITAHAVHPSGRAFFVSVHCYRVDDHRGRGTFSYHTEHGYWTRHGDWELPFVGQAHYDHGLNAWVGLHHVQSDGHRGFMPDGHICACDVPGLDGAAAPDWKLGKEKLFLDRGA >Dexi5B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:5B:7012513:7013013:1 gene:Dexi5B01G0010070 transcript:Dexi5B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYPSSFSNDRPIGAGGGGVWSPTAEDAMGFVQMVKEAFKERHPEKYQLFLRVMDDFRNERIGVAEVTSTAAALFRDNPDLALGFNVFLPRSHMIHVGLDELASYFIRDVNLDDDDGN >Dexi7B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:7B:23243974:23246388:-1 gene:Dexi7B01G0017250 transcript:Dexi7B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPDTHDLSCDVLCQGWTIQSITQLISPSGLLILVKSFLLVPSLILDRLAALGMVFDMSDESSLLTLQSWAVNVDMQRFEVLLCIGNKADLVPGHGAHVEYRRRMQRLGESTSDPHPEYSDFGINKSEGCGLLSEEEPCIEIRNSTSQWCIEQNIEYIEACASNTDFDRFVGVNMLINIYSKLAGLSVDGDSQGLERLLGALSAHMWPRMILKSGNKITAPSLIEKIRMAWHAKQVPLWKSTDDESNYDFEYEVLSHGSDDQWEFVGETSTSRSFEISNKANGAQDHAHQVVNPIADSDSSSSNALPSDTPTETAEANNLSEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQREMAANLAMKMAAMFGDDDEEAFEHI >Dexi2B01G0000620.1:cds pep primary_assembly:Fonio_CM05836:2B:273576:274525:1 gene:Dexi2B01G0000620 transcript:Dexi2B01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVAIRLRRSTPSHDAYTVYVYDRRVAALATARPFDARRWVTTTRWLHGSLHLGGRLVVGLGVQWTPARAPIHGVPPCPAVLQLCVGHRCLVFHLAHADAVPEMLRRFLADPRVTFVGSGSNNDRRMLWAHYGLRVEQGLELRAFAGMGNASLEDMADRFLGYPGIYKPREVAMSVWHAPRLSPDQVQYACLDAYLAFRLGLVLCPAAQPPRQPVQQRAPLVFEWSPRAFSGGSVPAVLGVDTAITGSKVAARAASDVDGETDYDDYVDGTGRRG >Dexi6B01G0016070.1:cds pep primary_assembly:Fonio_CM05836:6B:23349947:23352584:1 gene:Dexi6B01G0016070 transcript:Dexi6B01G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRIARISVTWRGKQLDVDADPRCTVKEFGQLLQDLTSVKPETLKLIVPQSTNRGSKLITPFSDPHSSLTLNEAAISEGKPIRMMGVFDDEIEEVSDNGKRPDLRIIGFDEEEQRLRQRTSGRPQFSLKLPQGQYIFCDFRTLHLPGIELNPLPSEALKRMHRLACDPGIIAIMNKHKWRVGIMTEMAPIGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDANFFALNKQLNEEAASLDWTKSTGHMLSGRKIFDSYEDEFDLEPGITTAGHRLGGESSSLASARALSGAAAYQRFLSASAKEDHVSGTGTEYNPDVVFQDFAQRTVKVEPDPDDAMHVDLAIVTPRSVDSRPLAGQHTIGYSEPDPDDSSNVNILNQELSFDGRHYSEPDCDDGTNEFVLESGNKMEVDVDSELTNNTTVLKSKPDPDESSNGVVNEKLVIDGKHGEELDPDDIACPVLKSVDETEVVTESRNSTVLKSEPDPDDSVGDLNSNELQVIEEPVASLCARLQKSIETLRLQVTPAEADSAIQTLFKIIKNVIEHPDDIKYKRLR >Dexi9A01G0046180.1:cds pep primary_assembly:Fonio_CM05836:9A:49560962:49569607:1 gene:Dexi9A01G0046180 transcript:Dexi9A01G0046180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGGGGAAIRVLNVAEKPSVAKAVSEILSRGAMQSRAGRSQYNRVFEFDYAINGRPCRMLVTSVTGHLMELEFEDRFRRWHSCDPADLFHAPVRKSVPQDKQAIKQTLEEEARRCQWLVLWLDCDREGENIAYEVIEEIDLRIGASFTRFQTMLLKDAFVIDVTGDDRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCTHTSDEGTATFGWIRGHLFDYPSALILYEMCVEEPMATVAEELYQAGFISYPRTETDNFSPNTDLHARVNKVKLLDAMGTFFARSNRPINETQNPTEVVRPCSACRESEMVLKQRQTGEFMVGCRSYPNCRNVVWLPGSLSEASVTNQVCPICAPGPVYKIQFKFRRRDIPPNFDVDHLGCVGGCDDVLKELMEISRFGSRSQAATPARQTPNVARQQAPNGARQQNPRQDLHTDFRPAGQLNNENPSVMHSQGAGQVLCTSCGEPCIWRIANTDANRGRKFYKCQDPGCGFFKWEDELENATPRGRRGRGSSRQAPASASAGRRGGAQSRGRRGRGRNADGGMFVSATGDTVSGCCFTCGDPSHFANACPNRR >Dexi6A01G0009920.1:cds pep primary_assembly:Fonio_CM05836:6A:11949299:11950882:1 gene:Dexi6A01G0009920 transcript:Dexi6A01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAVYLVAQYARREIKRMEADAEEKRKKDEEVEKQKQLEEESAKEHADSKLSKVIDRLDTLEVVVKEIVDDKRKIPSDLPTKEEVVKKDEASPREAPYLKGRASDTQPVSVKSKDTNSVANASANTTQSNSERNGDKSSPAESKS >Dexi9A01G0039540.1:cds pep primary_assembly:Fonio_CM05836:9A:43475368:43478972:1 gene:Dexi9A01G0039540 transcript:Dexi9A01G0039540.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAMPAPSLLLLHSCASPLQPRSFRMNSRAAPSRVVVCSAASAEGFISAAPILLPEGPWKQVEGGVTAAKGFKAAGIYGGLRAKGDKPDLALVACDVDATVAGAFTTNVVAAAPVVYCKRVLGTSKTGRAVLINAGQANAATLLNVSKDDILIQSTGVIGQRIKKDALLNSLPRLVGSLSSSVQGANSAAVAITTTDLVSKSIAVQTEIGGVAIRIGGMAKGSGMIHPNMATMLGVLTTDAQVNSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSGILSLDSSEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVNGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADRLDISLGAIPLMKNGQPLPFDRSVASKYLKDAGDVHGTVNIDISVGKLPASSLQIRRSGGGNGKAWGCDLSYKYVEINAEYTT >Dexi1A01G0016580.1:cds pep primary_assembly:Fonio_CM05836:1A:23815983:23816249:1 gene:Dexi1A01G0016580 transcript:Dexi1A01G0016580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDQKALSPTELGSLTERRREGEREPIQCSACGTEVNYDEGDDSERDEIRPKPEGDRLCSAGVGGGEAE >Dexi7B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:7B:14213383:14220274:-1 gene:Dexi7B01G0006490 transcript:Dexi7B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGNAGTGGRRPGAMGGYELVRSDDAAALAAVDLEAGEGGGFPKRGAGNAKHAAAGASPAAKPKPQRLVSLDVFRGITVLLMIIVDDAGAFIPGMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDLQEIRLMGILQRIAIAYLLTALCEIWLKGDEEVDYGFDLLKRYRYQLFVGAFVAITYMALLYGTYVPDWEYQTSGPGSTEKSFLVKCGVRGDTSPGCNAVGMIDRKILGIKHLYGRPVYARSKQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHVIVHFQKHRERIMNWLIPSFSMLVLAFALDLFGMHMNKPLYSLSYTLATAGAAGLLFAGIYTLVDLYGFRRPTAAMEWMGMHALMIYVLIACNILPIFIHGFYWKEPKNNLVSFSTVGCCASFQLRFSEHDCMNEP >Dexi5A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:5A:11803025:11804650:1 gene:Dexi5A01G0014680 transcript:Dexi5A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGAGGGGLVGSPAMLALDMHLAPQQMHPASAFQHQQQSAGADHHQGGGFQLQQPVPVRHHQQHQQTSSFSPYSNTSSSRVVVGGAAGVGGHDDEMVANGGVRPPQQPQMAAAACPWTRMKWTDDMVRFLISVVYNAGDDGDGVAAASAGGGKAGRVAGSSAHGHAAAAQQQQKKGKWKSVSRAMMEREFTVSPQQCEDKFNDLNKRYKRVVDILGRGRACRVVENHALLDTLDDLTPKAKDEARKLLSSKHLFFREMCAYHNGGGAHAPPHAAAAGGDAAATCLHHPPPGPAASSAAVRHAQHHHQTAPSPPGMMKDSSADDVDDDSDDALSNNGDDDAAEDEFGDEDDDGHMYPVNNHRAHHHHQQHNGGRRGRGEDSGGAAGDDDAEDGGGKRARAAALVEQSALVQQLRSELANATAAAADPQQARGWVRRRAVEVEEQQVALESRAFELDQQRLKWERFRANKERDMERARLENDRLRIESRRMLLMLRHRDLELDMAEANSSSVEHHPGASPLGGHQHHQPIGSSPSTAGHPN >DexiUA01G0012110.1:cds pep primary_assembly:Fonio_CM05836:UA:24322541:24327709:-1 gene:DexiUA01G0012110 transcript:DexiUA01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHNHEADDLPPSPPLNAGDEALKAEETKKLVFMLISSCQCYYLHQLQVNLKYEDDTPVDLKGAGRSVIEKLQQTYATELANKDFAYDGEKSLFTIGALPQVKNEFTVVVEDASTGKTPANGSPGNDSPPGSDRKRIRRPYNTKTYKVELAFAAKIPMSAIAHALRGQESEHTQEAIRVIDIILRQHSAKQYVLGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQTGLSLNIGVFYANPVLCNTVIGSLYAVDDVYLYYLIHADVSTTMIVKPGPVMDFLLANQKVDHPNKIDWAKAKRSLKNLRIKISPTNSENKIVGLSDRPCRDTMFTLKRRNGDNVDSEEISVYDHFVKNRGIELRYSGDFPCINVGKPKRPSYFPIELCNLVSLQRYTKXXXXLSTLQRSSLVEKSRQKPQERMQVLSDVLQRSNYDSEPMLMACGISIAKSFTEVDGRVLQAPKLKAGNGEDIFTRNGRWNFNNKRLIRASSVDKWAVVNFSARCNVRDLVRDLIKCGGMKGIKVDQPFDAFEENPTMRRAPAVRRVEDMFEQVKTKLPGAPKFLLCVLAERKNSDVYGPWKKKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGLNSLLQVETSPAMPLVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSREWPLISKYRASVRSQSPKMEMMDSLFKPSGTNDDGLIRDGVSESQFNQVLNIELDQIIEACKFLDENWEPKFTLIVAQKNHHTKFFIPGAPENVPPGTVVDNKVCHPRNYDFYMCSHAGMIGTTRPTHYHILHDEIGFTPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGQFIKFEEMSESSSSHGGHTSAGSVPVQELPRLHEKVRSSMFFC >Dexi7A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:7A:18803455:18805238:-1 gene:Dexi7A01G0007450 transcript:Dexi7A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNYMIYSVCFIFSNLLHRIVLAPLSRNRSYGNLPQSHAILYYSQRATKGGLLIAESTGVSPDAQGMSLFPHTAGIWSKEQVEAWKPVVDAVHTKGGIFFCQIWHVGRASDMEKEPISSTAKPVEKNEGNPMDFSNPRCLPVEEIPDVVNHFRVAARNAMDAGFDGVEIHAFHGFLLEQFMKDSVNDRTDEYGGSLQKRCRFALEVVDAVVAEVGSERVGIRLSPYGNHLGCHDSDPDALGVYMAQELSKRSILYCSAVEPEMVTVDGKMQIPHRLHEMRKAFNGMFMVGGGYDREEGNRAVADGYADMVVYGRLFLANPDLPRRFHLNAPLNKYDRSTFYTDDPVVGYTDYPYLEDSVSELHMHGGEGHLAEQRKVGKS >Dexi7B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:7B:19760149:19763171:-1 gene:Dexi7B01G0013210 transcript:Dexi7B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAWRWRPLLAGAWLMVLLLMAPWASAAVRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHVSGRIKDGSTADIADDHYHRFQDDIELMHSLGTNAYRFSISWARILPKGRFGKVNPAGINFYNELIDSLLLKGIEPFVTLNHYDIPQEVEDGYGAWLSAEIQLDFGHFADLCFAAFGDRVKYWATFNEPNVVVRKGYMLGTYPPQRCSPPYGTCARGDSGVEPYVVNHNIVLAHATAVEIYKRKYQSKQKGMIGTVVSTTWYEPLTDSQEDRLATERVLAFDVPWFLDPIIYGDYPPEMRQLLGSRLPSFSPEERRKLGYKLDFIGINHYTTLFVRDCMFSSGCPLRQETHQAQAAVTGERNGLPIGPPTPMPTFYVVPDGIKNIVTYVMKRYNNLPMFITENGFAQGGDGYAHVDDWLDDQGRIQYLDAYLTKLAEAIRDGADVRGYFIWSLIDNFEWLYGYTLRFGLHYVDYQTQERKPKSSALWYKRFLQSLHEAQ >Dexi1A01G0013460.1:cds pep primary_assembly:Fonio_CM05836:1A:18172262:18176665:1 gene:Dexi1A01G0013460 transcript:Dexi1A01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDDPTVGWSKERYDEIEGKMIPFLKSSGYNVKKDVHFLPISGLVGTNMKTRVDKSICSWWDGPCLFEVIDCIEVPLRDPKAPVRIPIMDKYKDMGTVVMGKIESGTIREGDSLLVMPNKANVKVLTIHCDDYKVRRAAPGENVRIKLSGIEEEDIFPGFVLSSITNPVGAISEFDAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELVEEIDLKRKKETDPKKKKPNRKPLFVKNGAVVVCRIQVKQ >Dexi3B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:3B:6670041:6675282:-1 gene:Dexi3B01G0009630 transcript:Dexi3B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNCPPSPSPRSTEKSGRELRSGEANGGANTNTNTIPKGDREKGVNVQVILRCRPLSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKVKHGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGFVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEINQRMMKSAMIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELGEKLGKTQKDLEDTKSALHDLEEKYNQAKSTIKEKEYVIFNLLKSERKDKIEDGNRSLVQRFRSQLTHQLDALHKTVSNSVIQQEDHLKEMESDMQSFVSSKDECFGGIASEADNLLSELQCSLLKQEERLAHFANKQREGHLRAVEASRSISKITAGFFHSLDVHASKLTSILEDTQCVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVETAVGSLRESAVNRTSHLQKEISMAQDFTSSVQEKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVEFVDSIVRTGTEANQLLRSKLSSAVSSTLEDIDVANKALLSSIDGSLKLDHDACANIGAILTPWHGEMRELKGEHHEKVVEISENAGKCLEEEYLVDEPSCSTPRRRQIDLPSVESIEELRTPDYDDLLKSFWESRGTWNQANGDTRHLSESALEPPVGRT >Dexi1B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:1B:1294674:1297623:-1 gene:Dexi1B01G0001630 transcript:Dexi1B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRRRRRDGTEAPSIHPRNRYAAAALDFAALAELYPSFRPFVSVSERGRASVDFTDFSATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSNLIPPISSSSGRVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPHLAELIEIRNANAVSFPYESEAVVKEDVSENISEPAEDAAMQKPSILAGVVKESESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGELSFVTRIIEDSVSFKNSFRWFTSMVGRKANLKLLISKAREAGASVVKTTEFVQGQTARWGLAWSFIAPRNLVVRSSTPARNHHSFMLQVDVISHYGLRREHGAFQVLKSTEAFFRASDLSCKTDTLSFSVDVTLSDEQAEATILHGDDYAGSLEDSSAKLQSVVKGISFRITVFEQIPGTLLIKGSLINKALSGNLRVSCDYWHVS >Dexi5A01G0029130.1:cds pep primary_assembly:Fonio_CM05836:5A:32308496:32308942:-1 gene:Dexi5A01G0029130 transcript:Dexi5A01G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAAALLIASLLVAVAIADARLTLHYDAHGRAYFVSDAAKVPALTCSEVHAVKVGETCFSIAQAAGLTQDQFLSFNPNINCEKVFIGQWVCIAATSA >Dexi3B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:3B:11595738:11596632:1 gene:Dexi3B01G0015970 transcript:Dexi3B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVEDPEHLQPRCANNLDRPPLEHALEEQPLEAWGVFNDDLVEGGHPVHRDLEAAGGEAETRWLVVRHDKGEDLQLGAVREQGFEFLVADDAVEETQLSEVGERGRMRGGRLWELPNAEVEADEGGAAKNVVRERHVERPRAVEEDEVLDVLVGEVRDQAPERVLKETRVTRTPRTGPGCAAMQRERARTTGMLEVSPAPRWASSTRSGVPDHMRCQRVESAAVRPASLMGRRWMMSARSVSGRRLMWSSPSPGAAVNASSWTATASEASESDEVREKRPSSESESTSGAGT >Dexi1A01G0009300.1:cds pep primary_assembly:Fonio_CM05836:1A:7568687:7569223:-1 gene:Dexi1A01G0009300 transcript:Dexi1A01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFGGFGDPAFSAALQQLMDLPDELDRQLSAPTRAYVRDRRAMANTPMDVKELPSGAIVLAVDMPGVNPSDVKVQVEEGNVLTISGERKRPAEDGQQQQQQQKEADGEKQGVKYLRMERRMGKFMRRFPLPESADLDSIRAEYKDGVLTVTVDKKPPPEPKKPRVVQVTVGDQQGK >Dexi4A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:4A:6464389:6467350:1 gene:Dexi4A01G0008650 transcript:Dexi4A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGTNEALIISILGHRDAAQRRAIRRAYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEAARKWQPGNRVLVEIACTRGSAQLFAARQAYHERFKRSLEEDIAAHVTGDFRKLLVPLVTAYRYDGPEVNTRLAHSEAKILHEKIHHKAYSDDEIIRILTTRSKAQLLATFNYYNDTFGHPINKDLKADPKDEYLKTLRAIIRCFTCPERYFEKVVRQAIAGLGTDENSLTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAGDTSGDYESMLLALLGQE >Dexi2A01G0018280.1:cds pep primary_assembly:Fonio_CM05836:2A:30315487:30317613:-1 gene:Dexi2A01G0018280 transcript:Dexi2A01G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAFGDFGPLTERRRAEKARQQRRRIMIAVGTVSIVVILIVMGSLAVMYSGKSSSDGGHKSGSKGSSSSPPKAKSGGGGSSSSSSDSSSSDSSSSDSDSKSDAKPGDLKTVSKSIKAMCSQTDYTDACEKSLGKAANASASSPKDIVRTAVKVIGDAIGQAFDRADLILSNDPRVKAAVADCKEIFGDAKDDLNRTLRGVDAKDGISKEGYELRILLSAVIAHMETCIDGFPDDEFKAKVKDSFTNGKELTSNALALIEKGASLLSMLKGGSKRRLLVDEEEGASASKKDEPALDKDGIPEWVPDGERRVLKGGGFKNNLTPTVVVAKDGSGKFKTINEALAAMPKTYSGRYVIQVKEGVYEEYVTITKAMANVTLLGEGSKKSVVTGKKNFIDGITTFKTATFTAQGDGFMAIGMGFQNTAGPEKHQAVALLVQSDKSIFLNCRMDAFQDTLYAHSKAQFYRNCVISGTIDFIFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADAREATGFVLQKCEFQAETALRDPGKPPIRNYLGRPWRECSRTVVMESEIPDFIDKAGYLPWNGDFALKTLWYAEYANTGPGANTAGRVTWPGYKKVIGKPDATKFTVENFLHAQPWIDPTGTPVKYDLFT >Dexi7A01G0010910.1:cds pep primary_assembly:Fonio_CM05836:7A:21582056:21594127:1 gene:Dexi7A01G0010910 transcript:Dexi7A01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPEEKLRCTKEPFIEDVGTRTIKSIRFSVLSGNEIRKSAEAQVWNNRIYDHEMQPIPNGLLDPRMGLAKKREKGKELRCSTCHGIFSDCPGHFGYLKLALPVFNVGFFNCILDVLKCICKGCSRVLLAEKDRLEFLKKMRNPRADALLKSATMKKVRDKCKLTCCPRCEYRNGVVKKGRVGLIVIHDCSKILDGHTEELKNALQNKKEKTSISSLRMLDPATVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVIVGNSRTSNEDSITAILKSVVNTNSILKETLQTGGLFSKCFDCWQQLQFQVVEYVNSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSNYNIEKLRQCIRNGPYKHPGANFYVTPDGERMSLKYGDRRIAARDLKCGYIVERHLEDGDVVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDSFYDRSSFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNAHTKVFLNLTVKEKNYSKKKEKNVGEEEESMCGRETMCPNDGYVYFRNSELLSGQVGKATLGKYCNGNKDGIYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQQKKMKIDEGYRQCHDLISLFAKGALALHPGCNAAETLEHKITGVLNEIRTAAGNAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGRPLNLDQLFMKVMATCPPRGPDTLSPQDIRQMLDDKITQHDTSSDGACSEEFKKQLREFLEERIKLLECTRRALHLHENHVGKKDSCIEEFIAANISGISAKQLQVFLDTCFFRYQSKTIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMMPFTTCFFNNKKDVTLGVPRIKEIINAAKKISTPIITAELLSRKDVLSARIVKGAMEKAVLGEVASAIKIVLKSSQPNLVVKLDTQLIEALHMGISADSVQLSILSHPKIKLKSEHVRVVDRDKLRIYPAGTDKSKLQLELHNLKSMLPKVIVKGIPTVERVVIGEIKKKDTLERYNLLVEGTNLLAVMGTPGVDARNTKSNHIMEMCHTLGIEAARRSIIDEIQYTMKSHGMNIDVRHMMLLADLMTYKGEVLGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDNIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPEPILK >Dexi3B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:3B:4938008:4939642:1 gene:Dexi3B01G0007040 transcript:Dexi3B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKVVRLTTLALFSPSPSGPRPTKPRARATPPISISMDPALVDPAHLQALMLACAHSCALRLSPVAPAEPVDLGKLRTALAHSFVVVSVFCGARFLAGAGGGGEEGEGDEQRFLGLGLDLGLGRQGERQLVGFGRAVSDVGLTASVHDVVVHPSLQRRGIGRKIVDKITRVLHSRGIYDISALCTEKERPFFEDCGFGDDMMGSTTMLYTRNVQMLYTRNVHK >DexiUA01G0009320.1:cds pep primary_assembly:Fonio_CM05836:UA:18194162:18195532:-1 gene:DexiUA01G0009320 transcript:DexiUA01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFVCNHHYVLSPAPNCFLRPREAQKAADEAKARFGHIDGEHMTLLNWCYDHFINATALKYADNVRRQLVGIMTRVGLKMCSQDFNSRAYHVNIKKALGVASWSCHCKFVTPSSSSCFYQIVQLHPSNNMDHKPQWVIFDKFVLTTRNFIRTVTDVRGEWLIDIAPHYYDLNNFPSCKAKRVLKRLYSKLERERALTRAQRLYVTPPIAP >Dexi3A01G0029960.1:cds pep primary_assembly:Fonio_CM05836:3A:32709428:32712506:-1 gene:Dexi3A01G0029960 transcript:Dexi3A01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGAARSPEVVSIPATPQGQSTPEGAETPTVGGGARGKGGSGTPGRRVVEGLRGYLEEVGHLTRLDPRDAWLPVTESRGGNARYAAFHTLNAGLGFQALLLPLAFAGLGWSWGIISLTIAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGNNAVDFQTSSKRANVARDQSRLPSDCNMPPGGMLAALYAFHSNDKPRGVLAMTCLLVVLNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSPWVRSGFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRVKKPERLSFSWYLNWGLGLLGTAFSLAFSLGGVWSIVNNGMKFKFFKPAN >Dexi5A01G0004310.1:cds pep primary_assembly:Fonio_CM05836:5A:3261112:3265440:1 gene:Dexi5A01G0004310 transcript:Dexi5A01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLNYTKEKKKPDLHGCTHACQQYCTHSVFYVRCVARPCTSSLLTPNTRPCGARPEGVVSQHSTRPRQRRRSDGRRGGSEGACGWAHRVLARRSLCPRQPSQERKRVTNDRSMDGYGVAFTVSRSVAQTALTICPVCVHECGGPLAGRGFVSAPHKSPFTLTPRPATRTQPTRTLLLPPPSCLLPLTKAAAPAPLRVFISVPHLHLLSLSLSLFASVTRHCTARKGAVFLAVLVAAAAAVLGGAAAAAALEEEWEGSPAAVEVDPRWRFPSQRLRHAYVALQTWKRQAIFSDPYNLTADWVGPGVCNYTGVYCAPLPSRGRRRRGELAVAGVDLNHGDIAGFLPPELGLLADLALLHLNSNRFCGVLPHTLRRLRLLHELDLSNNRFVGPFPDVVLHLPALRFLDLRFNDFEGSVPPRLFDRPLDAIFLNHNRLRFQLPDNFGNSPASVVVLAHNSFGGCLPASVANMSGTLNEILLINNGLASCFPPEIGLLRELTVLDVSFNQLAGPLPPEVGLMRKLEQLDVAHNRLTGAIPPGICELPRLKNFTFAYNFFTGEPPACARVVPRDSDQSNCLPNRPAQRTPQQCAAFYALPPVNCAAFQCKPFVPPLPPPRLPSPPPPSPPPPSPPPPSPPPPSPPPPSSPPPSPSPPPPSPPPXXXLLHHHRRFPLRRHLQRHRHRHHRRHLPHYHHRRIHRLLPRLFTTHRHPRPLHITHLAPFCPHRHHARQRIHCRRRRLRITQAHYHPQILLDTHHRRRRRISPAHCHPQTLFDTHRHHLHRITKTHGQQYTQFNMDRRRPLPCIDRI >DexiUA01G0019220.1:cds pep primary_assembly:Fonio_CM05836:UA:40195502:40199743:1 gene:DexiUA01G0019220 transcript:DexiUA01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPTAAASVPGGEPVAASEEESPAARRLRLLSLHLLQPSAPAAAHASSPSSSLVPAACAGRRVVEGGGDVAAALKAYLRGRHRAAQMRLYDFFCARPDLQTPVEMTTAAHRELCFRQLRALVRDAGVRPLTLMANDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAFCSVGVLKVAVTIAVRYALLRHQFGPPKQPEISVLDYQSHQHKLMPMLASSYAFHFATVQLVDKYSEMKKTNDEDLIADVHVLSSGLKAYITSYTAKSISICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAQFIESVKSCPDEKTREVLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVAKELVDAFDLPDLIIRAPIGMQSEAYAQYTQYVGF >Dexi5A01G0023920.1:cds pep primary_assembly:Fonio_CM05836:5A:27868064:27868551:-1 gene:Dexi5A01G0023920 transcript:Dexi5A01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYAVLLLLLEAMLAAPFTTVCRASRAGPPPKCDPLALRPCAPVVIYGARPSGECCSKLREQMPCLCRYRKNPDLGRYINSREGRRIASRCRVRRLRCGLAV >Dexi8B01G0009410.1:cds pep primary_assembly:Fonio_CM05836:8B:16702721:16705381:1 gene:Dexi8B01G0009410 transcript:Dexi8B01G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEMKENGVAAAAGAGEKAAQTHLTVKRGEPTLVKPAEPTPTGEQYYLSNLDQNIAVIVQTVYCYKDGSGGDKQDDVAAALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGAVFVEADADVDLADVGDLTKPDPAALGQLVFSVPGAKHILEMPPMTAQVTRFRCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGAAHLTVPPFLDRTVLKARDPPAHTFPHHEFAEIPDVSDTAALYASQDLLYRSFCFDPDRLERVRSLAGAGDDGDGDLPRRRFTTFEALSGLVWRARTKALGLAPDQRTKLLFAVDGRRRFSPPLPRGYFGNGIVLTNAIATAGELLSAPVSRAAGMVQEAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDEI >Dexi3A01G0017250.1:cds pep primary_assembly:Fonio_CM05836:3A:13165227:13167567:-1 gene:Dexi3A01G0017250 transcript:Dexi3A01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRARALPLALALLLACSDVAVVTAQGTERIQGSAGDVLEDDPVGRLKEAKAIERGVLPVLRRATLVQTFGQKNHVCLKEGSITIPPYTPPHKMRTHFVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKSNPMFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVTKLDTILTSIPMEEILRKQRLLANPSMKQAMLFPQPAEPRDAFHQILNGLARKLPHGKDVFLKPGQKVLNWTEGEPADLKPW >Dexi7B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:7B:6791495:6794614:1 gene:Dexi7B01G0002980 transcript:Dexi7B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLDSHGTLEFIIIPTLNSPPLGILNLSSNPSNIISPSRGSDFIVFKLETDISPSSLSISIITSKSWPSSTLNITTIPMNQETTKSFKVSIKYTGQHVEVYTDVEGRHLDKAIIEADLNLSDYVPQSAFLGFLLRSELHSILSWELKVNLPGDGQGINWKVTIPAVLGCISVTAIMNMFVAAFYFNSKYNKLKMEMELSETLRRLPGMPREFKHATMRKATDNFHESRRLGKGGFGAVYKGTLWSGKDAMTCVEVAVKKFTRNERRCYDDFLAEVDIINRLRHRNIVPLVGWCYEKGELLLIYEYMPNGSLDQHLYPKEQPGQILGWATRYGIVADIAAGLHYVHHEHEHMVLHRDIKSSNIMLDSTLHGRLGDFGLARIVGLDKNSYTDLGVAGTWGFIAPEYSVSHKATRKTDIYAFGVLILEIVTGRRAISVFQDTFQLLNDWVWRLHRDGRLLEAVDKKVVSSEEYDADGAIRLLLLGLACTNPNPLDRPSMAEVVQVVAKSVPAPDVPHVKPSFVWPPEDERIPHGFDDITELSDLDESHWEETSSSDALAVSAIIKRKARLSSIG >Dexi3B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:3B:8490929:8494432:-1 gene:Dexi3B01G0012130 transcript:Dexi3B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRTEMEVGADGVAVITVVNPPFNALSIDAMYSLVRNYEEACWMNDVRAIVLIVDQLKVDYVDAMNDALEVAKKPLVAAIDGLAFGAGLELSMCVLLFDLRFPYILGTQRLPRLVGLKEALEMILIAGVTDLGLMPRNVTKAAVVGGGLMGSGITTTLILNHYPVVLKEVNEIFLNAGVDRIKANLQRHVRKGKLSEEECEKTLSLLTGVLDYERFKEADLVIEASP >Dexi5B01G0023160.1:cds pep primary_assembly:Fonio_CM05836:5B:25383885:25384743:1 gene:Dexi5B01G0023160 transcript:Dexi5B01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHITMQHGYLFKNGHRSRRFIIPESHAISLLSRDLRGTHLQALLGGGHSHRSSNTATTNISSDPLLSSFGLSFPTSDAPEPSKSTASVPDTSLRKETPAQPWESSFMG >Dexi1B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:1B:4986754:4990682:1 gene:Dexi1B01G0006100 transcript:Dexi1B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGKKSGPLTPKVGRKREFPIRAEDYELLEPIGDGATAVVRRARCRPLAGEVVAVKIMNMAHRTESDVNNASEEVKTMIMIDHPNLLSAYCSFTEGEALWIVMPYMAGGSCYHLMKSSYPKGFEDENFIAFVLRETLKGLEYLHENGHIHRDVKAGNILLDQEQGVKLSDFGVTASLYDSLINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITALELAIGHAPFSSQPPAKVFLMTLQHAPPSLHNTKEKKFTDENEAKMQADKKPHDKCKEKASQEEYRRGVSEWNFDIADLKVQAALYPDENEAEDFLRFLFELDIVDESTKLQDLRARNCPMNDERMNAGDDGSGKSNSTSPMSLSRSVKQLDKGSPNGLLRNESFEIPSIPPAKQLTRTGMFVLFLRVRMLMNIWRKLRFKKAVSKLYMIIVRYLEGATQREKELLERINSLEKMLIASQDEVERLKAKGKYTIMLTLRQKEQMDACKNNSSEADANSIVT >Dexi6B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:6B:2044567:2049965:-1 gene:Dexi6B01G0002320 transcript:Dexi6B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTHRFSKGHPLGFVPDYRHGVETMGESKGLGSPSRIDSGSSCAPPKRKCVSVNSEEGEGASGLFNVRREVFRLPRMTAQDRKNLEMRFRDELAQVKALQNRLFPRGPAVSMNGGVAPVHPKKKVEKLKRSNSVQSDRGPPPPVAVAPPVASSFNYTASFKSCGNLLKALMAHIWAGPFLEPVDVIKLNIPDYFDIVKQPMDLGTIQKKMNAGVYSTPWEFAEDCRLTFRNAMNYNPVNNDVHLMAKSLSKTFETRWRLIEKKLPQPDEKPPLPDEKPPQPDEKPPVREPAKKNSTKRDAVQNEDPIKKKPSKKSAPKQDIFQEEDSVDNPVLQPKKRKTSPLVSTPLLQLQDAPFVETVVPTRKRIMTSEQKYELSARLQSYGTLIPDHVVEFIRSHADDCGADEDELELDMDALGDDTLFELQKLLDDYDRVNPPGNLTEEDNHEVESRSQYELINPSVCHEAGNELIDEDIDIGENDPPVPTLPLVVFEAETADRSSKHSTSSSSSSDSESSSSDSDSSSSSGSDADAKALPQNSGLQENVLHVDSLDQENGSLNALNLPEQSTDPISVTADGEGENVCEKQVSPEKQIRAALLKSRFADTILKAHEKTLDQASKKDPEKVRQRARLQAEAKAAEDTRKRAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSLFLKDLEMLGSVTGEQITNSVGEMGPTDMPEPLGFRIGGNNPLEKLGLYMKNDEEDEEGDFTDEPVVDVEEGEID >Dexi9A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:9A:9049976:9053362:1 gene:Dexi9A01G0013830 transcript:Dexi9A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKRGGGGRRGRGRGRGRGAVVENDMDHHETSSPSSPSTSDREENAILIPRQSPACYVGPSELSSTLLNPKINHRSDAIFGDQVDKLEGMVKRAKRAMTSTADTTTQALVAEFLHGFQDVLQDLTEIDTSPHIDTTASQHTPELLLEAEQNIDANQEDQQEDDEINTVEHASLTLEPMDEETNNVLSDHPSLGLDENCDSGAPATENYDTTTAVTDLVQPSEDLQQDEHLEDHPETEQTIFMVEPKCEDDDDSNFVLPSSPPEMMLEEQDNSANPGEDLAAQGF >Dexi9B01G0044560.1:cds pep primary_assembly:Fonio_CM05836:9B:44298342:44300072:-1 gene:Dexi9B01G0044560 transcript:Dexi9B01G0044560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVDRQAFLTGNNPLTKDLTLKELYTIQSRLAQEKARETTTYRQRFQMPELQGLIQDQNPPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQAIIISSARTPARLNAAVEQYLLEHGLQYTQAQPGLFRVLLQ >Dexi3B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:3B:2668249:2672707:-1 gene:Dexi3B01G0003930 transcript:Dexi3B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVQSPGATASSVWSRLQDNISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEDLERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGNIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMVTSEHLVTLLAIVPKYSQKDWLSSYESLDTFVVPRSSKHIYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRTSLLQWCYASYSESAVLAPPTKSEKKVRSILEELCGNVHSIYWKAEDDVGVAGLGGESEVHPYVSFTINIV >Dexi1A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:1A:3436501:3440435:1 gene:Dexi1A01G0004710 transcript:Dexi1A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKQAIKCTRTRLDLLRRKKQAMVKFLKKDVADLLFNGLDSHAFARMEGLIVEINQASCYDMIEQYCEYIVKQLNNLQKESECPQEALEAVSTLIFAAARFPDLPELCDLRHMFTEKYGSSVEPFVNSEFVQKLQNKSFTNEEKLQVMKSVAEEFSVPFDSKALEWKITCGTQNKHDLPKKSSIKREVEASARNGHKIDRHAVNEKKSNPVPEVHGQKQEMKAKPKDIHVIPDEIGQLSEKSRKNYSDKPSEKKQMDNSLPPLDMKERNGQKQMKKYDKKDDHPRRELRNAEELDLNGLKKQDVNVVKPSGGPDHSWGHADLGLKTLAPEKQEIDSSCTLNGKTVNKAPPYSKPYRATNEMSAEENSNCLYDRPKHAGAPEKAASMWPPYVRPNFEKHTGAEKIANLKGEPIYEPVSVRSRSAKPPAHVEDYAGTAYEEKMANQAPDGRRRHSSKRNGSYDDYDQKDGHVLPREGMGLNDDINNARPFHRIPSERRKHRSRRHGSTSGSDYNGTIDDRESDEDESNTAIDFGNLLPQTPSSHRKHRSRSADPRKGGRDDEERMMDKLLMHYSKKGLEREERKERVKSRIPRPRADQPAGAAEEGPSAHRPERAASLPPESASPKSKPKAPVRSLSMQPEISRGNAHPPDFDELAARISALRNA >Dexi4A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:4A:21225666:21227416:1 gene:Dexi4A01G0017540 transcript:Dexi4A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVLSVDCGGGAGDAADDLKYISGLSTILVATIQEVKDQVSQMEFIFCSQLFPHIQAKAKLVQARLDDAMTAKEDEWRKREASLVSQLEELSSGKRQAEERLLRIGSSLEEMKGKLADSERMAARHESEKKQLLGRLEDEMRKGEVIRRLQREIEEKAAEVTREREANQRLLQQVDLKDKDLLLEQSKRRDLIEDYTKLKTNYKQLKSQYNFLLGKIDQNEGSKSHVHIPVDKRNSESPPSKRKLKDLEHTTKDRIPAVSMTRDLKNDSTPGAEAQAAQHASSVRNPFRNSCFALPSGPTNPLRNKTASNSKLETLSSATGPNLHWRETRARKEPGVADPHDDFLDTPLEALKNTTRNPTPEEAQALAASPPQDMEFNNSDDETQDMNNATQGPKNIPSMPAPKQQSTISVHPPKKDFKYRESVRKKADRENLKGVECKQCKKFYDAVLPDGHADGDGAGSTSLRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >Dexi9B01G0016430.1:cds pep primary_assembly:Fonio_CM05836:9B:11235148:11235953:1 gene:Dexi9B01G0016430 transcript:Dexi9B01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRTRSRQILLDLNFDNKITKDLSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSPASQEE >Dexi6A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:6A:22620725:22621948:1 gene:Dexi6A01G0015210 transcript:Dexi6A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSSASLVAVVDDFYFSVLAHGRNDDDHAGDNELFPISDEKYAAELQLQEVIMSSSAVVATMAGSSAPSPHPRNSTAAAAAPAASVHGKGECSYASSSSSHPSPPLAVASAAATLVFCKICMDAVAPTDAHRASRGCAHAFCGGCLSCYVGGKIQDRVPDVRCPEERCAAALDTELCQGILPREVFERWGAALCESMLMGAKTTYCPYKDCSATMLVDDDVGDVGGGGAAESECPSCWRLFCARCGVAPWHAGVTCAEYERLGKGDRGKEDMLLVEMAKGRRWKRCPKCQYFVEKSDGCLHITCRCGFEFCYGCGGQWGITHSSCGTA >Dexi5B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:5B:8009850:8011042:1 gene:Dexi5B01G0011280 transcript:Dexi5B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHQGQHKNVVGDLKLLPGFRFHPNDDEIIVSYLIPKVHQKNFTCTVIGEINFNKTEPWELPDKAKIGEKEWYFFCQKDRKYPTGIRTNRATEHGYWKATGRDREIYTVTKEATLELVGMKKTLVFYKGRAPQGQKTDWIMHEFRLETTGKVSCPTSSSASTTTTKSSTPENEWVVCRVFHKPNGIKRDAEPPNSPYMASNEIEQSNMPMPMSMPFPMLSNFTMNPAMSYYSNTGRSFSSMPPVMPSMVGMSSIDLQMNNTMFENPMVMAPSMSYHQTGMEGASTCDFVASSRSGTPSPVSQKDTGMNSDQNNTTKISSMAPATPEFLSTIDIDGIWKY >Dexi5A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:5A:11313772:11314705:1 gene:Dexi5A01G0014330 transcript:Dexi5A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRFSSITGRVAISRAETCRGGRHAGGAFGGDGEGPAAREYGSVDLDQHLLVVLLELPRREGDAVVRGGGAVGRAGAVPDRRGGGQPEHGRDVRVAEPHQPPPRRQRAEQAPAQLPAAAAHAPPAAEATGRRWLVAGDAIAAAAELGNGERRAALRGGGVDAVVASPLPVRLDAALVRAVVHTFVSFLGAGLLAAARA >Dexi1B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:1B:10759126:10765738:1 gene:Dexi1B01G0010940 transcript:Dexi1B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVDFGKILAPAAAVEGVDGGVVGGGGPGGGGGEVLRRCADADRRHGGDVKQHNQNVEGFPSYSHRSKRTSLEVPVQKSLGFGLKSENGKRDFVGNDTIQALHKQDSKIVAKKTIKLLDAPPCSKRPKLEPVQTGRDVEAKGHDFISQKNVPELTQCAGSEKSRLLKQKRISDAKRIDKKIIRSGVRSKYDCFSSKAGLANFDSGFSGNSVLGAHGPKSDVRDITNHIENLALSELLDGTYKYSSLGRDKGKKVLRTKDELLVSVRKAFSMLSEIDYCYGKDANLILSPKLPSASTSSSNVKEQCGDKPPPLAKDSSQINVCDNALCPPKDILSRLTLPHGQDLDSLLAPGSDSTAAVKPYMPSMTVPGASLPPFPWSHSQAGGYRQSVDSGKHGSSRSNSQWQWVRVGSNLTVDYEDSSVHKIDDILQEMDAAKLSMMDSCDARYNLCGTESTSGSPVQNIHSRKFGSENSSQQLQSLDHGDSLDGFLKHDSEHSLLKTPQAVSPKIQRAAEILCDMRRSSESWSAQGYSNGAIKWPKSPSEKVMKARKPSSQLGTAESSSGSRNNDATWNGSNHATKKIVDRKNDSARMNNPGKGTIRWPVPIEDGASPARSERGLMLDTRQPHGNGGRHPVQVSSQARLEKEYENQQKLRKATLTSSLGSAGDWNRERNRRM >Dexi2B01G0035680.1:cds pep primary_assembly:Fonio_CM05836:2B:42652821:42656364:-1 gene:Dexi2B01G0035680 transcript:Dexi2B01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGVGMAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIFGALSFVFWTLTLIPLIKYVTIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLECPPEVAGRSRVKEWLEKHKRLHTALLVMVMIGTCMVIGDGVLTPAISGFLFAPIVLCWLLCMSAIGLYNIIHWNPHVYQALNPSYMITFLKKTRKSGWMWPVLVLAILASVVGSQAIISGTFSIINQSQVKVVHTSDKIHGQIYIPEVNWMLMILCIAVTVGFRNTKHMGNAAGLAVITVMLVTTCLMSVVIMLCWHRSPLLALAFFLFFGSIEALYFSASLIKFLEGAWVPILLSLILLAVMFVWHYTTIKKYEYDMHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELIESLATFVKLDALFRCSDAGGGGEEQRDSSYYERENALTVIGSNPLRRHLGLGYDDSHDGVSSAHDANAAGDGSANGMELAAAATGAVRKQVRFAVEMPRRSGGVEERMVEELREVCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >Dexi9B01G0035050.1:cds pep primary_assembly:Fonio_CM05836:9B:36815764:36821331:1 gene:Dexi9B01G0035050 transcript:Dexi9B01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVNKGKCILQPHHILDALDEVQGSGGGALAEGPFLDVLRSAQEAIVVPPFVAIAVRPRPGVWEFVRVNVHELSVEQLTVPEYLCFKEALVDGQHNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNRDCLEPLLDFLRAHRHKGHVMMLNDRIQSLGRLQSVLTKAEEFQEWGLEKGWGDTAEHVLEMIHLLSDIIQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDVTPKILIDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSGHLDDRSKPILFSMARLDRVKNITGLVEAFAKCSKLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQAANLMADFFERSKQDPNHWVKISDAGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELVKTVPLAVDQPQ >Dexi1B01G0026720.1:cds pep primary_assembly:Fonio_CM05836:1B:31532894:31534610:-1 gene:Dexi1B01G0026720 transcript:Dexi1B01G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGASGIGGKPAGTEEAYYNPKEPSENGIGGGFGRGCGARKRHLAAAAVKIGVLVLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELTGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYERQCTRDGRVTCLVTPPRTYRIPLRWPSSKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGSLI >Dexi9A01G0040790.1:cds pep primary_assembly:Fonio_CM05836:9A:44517164:44518188:1 gene:Dexi9A01G0040790 transcript:Dexi9A01G0040790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKLTRFHGKVKFAGILFCIAGVIVMSVYKGPMFRSFNHHHLFQSGGGSSSGAAETHSNKQWVLGIVYMTLSNVLAGLWTVLQEPLIEDTSKLMNTTLQISCASVQTFLVAVAAQRDFSKWKLGWNGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTIVISFSIIGDAIGLGSILGGVLLVGGLYNVFWGKRIEERGKLAKISAVPGEPGLELPPQQSKAGLEAPQVWDYDNDAEMKV >Dexi5A01G0032970.1:cds pep primary_assembly:Fonio_CM05836:5A:35404750:35405186:-1 gene:Dexi5A01G0032970 transcript:Dexi5A01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSFRGWITAFSTHGWVRQAHHSWLQLTYAAGSHPPEAQRAHRLLFPKWSCLFMGICCTPWRQKQQLVKSSLPPSASPCPRVT >DexiUA01G0027540.1:cds pep primary_assembly:Fonio_CM05836:UA:58769393:58771176:-1 gene:DexiUA01G0027540 transcript:DexiUA01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADWWTGGANPSPCDDKIMGSTGDADGVAVQGSGQAQTPSWHALVIAIPQLGSGAYSTSSACHCRSAVEAIIAVKCHHAAGWASGAKKARRGLRARSAPALPPNRSSTRTGPSAGCDWRARTQRWGPARAARQQAGLRESHTHHTRRTHAVLYCCAVPARGPRHDPDLSALETWVNGTTSVGDDGRAFCPRSGPILPGEAGGRCLPVGVRATSPEAHDDELSPLLTPLLSSRVAQQRCPRRHRRRSPLSCSLPEETNAN >Dexi3A01G0022350.1:cds pep primary_assembly:Fonio_CM05836:3A:17950409:17955363:1 gene:Dexi3A01G0022350 transcript:Dexi3A01G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGGARDGGQSEVIDYGRRSTTCGYCRSSRPTSISHGMWANSLKADDYQEKLAMTMERLGKLDGFEVKACNGHLNFYLSANPAMRNHTGVVPAQASDNSSRSKQSSVNKVSMKHPQKRKSLEIRMSTSHFDPEEFALYRRWVRSDLAKPLLDKSQYSILSDYATMQDETHQPQICGPSDDSSSKGDQHESHSDDDDEDFNDYESEMMVDEELVDSEKADTTESGSCINDIENITLHLNGSKVKYKDLQQMFGPIERRHLNALEGQLRRYAEVVGKELSDRMVYCLS >Dexi9B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:9B:14790380:14792653:1 gene:Dexi9B01G0020060 transcript:Dexi9B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNLLVARNLFIFSLSQTLLNCSCNNFQLLHCWERPMIQLSSGRFTASQLYLIGGLYQLDCCGYSWFLQVIPMHKKSGDEIPYVALGLSVYQNILKPGSTLHAVFELSMYNNSKGTYHGCKAYKFIGLYFSCCYLYLWAASYHFHVKQTHSEKKCLIPIEELLKSSEYLVDDSCVFGVRILKADMSTQNKPVVVSKKPITVQSIFLQKKGFIKGTYTWTMNNFLDKKLPVRSPVFKVGGHKWHINMHPLGDQYSTNSLSLYLHLRDLNKLPLESGMMIELRLSILNQNNGEHYTVTGRFMFALAAKNSWGWSNFIPLKTLTDPSRGYLVGSNCTLKADATIIGSCNEG >Dexi3B01G0023600.1:cds pep primary_assembly:Fonio_CM05836:3B:18409022:18410488:-1 gene:Dexi3B01G0023600 transcript:Dexi3B01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQAAMTAHLDQISGLVQALSSELRRGMGPAADNLRAFVRAVDWTEPWLLCLMAFHVILLLTAVGFRRNANFQLLLLLLAYSGVYMAEKINRYLGEHWRSFAGQNYFDRPGVFISVVWSGPLIFISIVSVVSSLIALCRLMVKWKRAELRHRARLARDKQE >Dexi2B01G0021790.1:cds pep primary_assembly:Fonio_CM05836:2B:31477860:31478505:-1 gene:Dexi2B01G0021790 transcript:Dexi2B01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSVIAATKLKMLRRGRGSSAVVPVTSRDLPCCSPDHDDDDDVDAVKERKRKFRWGKRHAPSILGDAGTVDPGFARRYRLGAELGRGEFGVTRRCEDAATGEALAYG >Dexi4A01G0022620.1:cds pep primary_assembly:Fonio_CM05836:4A:25938044:25946432:-1 gene:Dexi4A01G0022620 transcript:Dexi4A01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRMAELLHDRRDATTPPPLPLPSRATTTLSPRHRGQGRLANNRGGVRACVPLSLPPSLPARTATPPPTPRRSSRSEAEERIGGRPPVPTTFRIPLIDPGPPDPKYRMACGNLCYFCPGLTTRSRMPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRMPKILSKLLVLILIFEQITVYLEQRIYRDLRSEQPLFASSLLSIVHTLLDQKRQDEMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERARALRAAALQSLSAMIWFMGELSHISSEFDIVVQVVLENYTPQKMQNDGQSSNDADNQLMQGDHKEEQPPSPFVISAVPSWEDIVNVKGGVKLPEEEARDPKFWSRICVHNMAKLSREATTFRRILECLFRYFGNNSSWLPENGLALCVLLDMQLLVESSGQNMHLMLSLLIKHIEHKAILKQPDMQLSLVEVATILAEQSSAMASAATIGAISDLVSDAGPVLDMMAVMLENIASTAVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEALFHQLLLTMIHPDHEARIAAHRIFAIVLVPTSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFEKLKKDKYSDNSQGESKDSVHNIGEGTGQHKSQILPMSQSRRRSMKVPNFSMKRGPSMAIRAPSLSIRAPSISLRGPSISLRAPSMSVKEGQSSSSKLDDETESVLVKLSVRQISLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGSKASTFEALTQSFQVAFALRSYSLTEADSLSPSRRRSLFTLATTMIIFSSRAYNVLPLVPICKQMISGRAADPFLHLVDESKLTAVKDSTDDPSKIYGSPEDNANALKSLSEIELSESQSRECIVSTIMNNIANMMDAELHNVRSQLLSDFTPDDMCPMSTQFFEAHIDNPSSGSHETDHHQEAMLIDLGNDHDDIFGEASEKTEACTSSVPSSDLLSIDQLLETVGADAAPQAGAAPLSADMAFKDMTSHCEALTIGKQQKMSAFMSFQQSVQATGLPSSQPNEMGLAHFQNPQQPQAGARSTNPFADDSLQGFPQFMNAPNGANPQPQPGQDFQQEFLKLPAASPYDNFLRAAGC >Dexi3A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:3A:2057135:2057632:1 gene:Dexi3A01G0003150 transcript:Dexi3A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDDSGSRSATTPATSDDDGRRKKVIRAVAADDIVEEDELFELDIALLDDRNCSAPAVVDDDQAAAGQQHALLGNCVLPVRSLSSAVPVDSSSELSSTTAYPYYSGYHSSRRFTGGGIGRSR >Dexi8B01G0000050.1:cds pep primary_assembly:Fonio_CM05836:8B:49072:50260:-1 gene:Dexi8B01G0000050 transcript:Dexi8B01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFACRWLPAGAAAGKKNPPPKALVFLCHGYAVECGVTMRGTGEHLARSGYAVYGLDYEGHGRSDGLQGYVPDFDALVQDCDDYFTSVVRRQQQQQQVVRRFLLGESMGGAVALLLHRARPEFWSGAVLVAPMCKIADDMRPHPVVVNILRAMTSLVPTWKVVPTADVIDAAYRTQEKRDEIRGNPYCYKDRPRLKTAYELLRVSLDVEANILHQVSLPFLIVHGGADKVTDPSVSELLYRSAATQDKMLKLYPGMWHALTSGESPNNIHTVFQDIIAWLDQRSDDATTTLLSTEELLELEHKARHDDQHHPQHGNK >Dexi5B01G0030670.1:cds pep primary_assembly:Fonio_CM05836:5B:31593573:31594220:-1 gene:Dexi5B01G0030670 transcript:Dexi5B01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAADWLPSASVTASGRPVLSAGEVERNLLPLVDLEPEENPRLGALRGCLLALTSHRLIFLHEPSRSARGLPLATVVHAYPPHRRHSHNPLRSLFSSSSSSSSSQHHRIRLQISLPPARSEVVAIVVTCKADVDVFFGRLLEAIRARAWEVSPAAAPSTGAPVMEGAAPAEDIAIRMPVVGVSGILRMEQESWESAGQNLQDAFQDLNALMV >Dexi4A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:4A:10823941:10828857:1 gene:Dexi4A01G0012270 transcript:Dexi4A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPPTNGVVIPAELGYSVDSLEYVVTVGLGTPPVAQTVVIDSGSDFAWVQCMPCDSGKKDPPFDPRRSSTYAPIPCDSDACRNISDYMGNGCKVDDDPCGFALSYADGSNSTGVYSRDKLTLAPGVAVEGFRFGCSHDQERWTDMSDGLVGLGSSPESLVSQASPSHGGAFSYCLPPTASSTGFLALGRPSNTSGFVFTPMLPSDHVAVFYRVTLTGISVAGRPLDVPPAAFPHGGYGMILDSGTVVTWLPAVPYAAMREAFRRAMAAYPLAPPIHPVDTCYNLTGYSNVTVPSVALAFMGGATVELDNPSGILVEGCLAFAGLRPDDHGNGVIGNVNQRTFELTSGCRVAAHLEEDSSHKVHDPIFNPSKSDTYAAIPCRDKECTATAGKCHSSGTCIYKVKYGDGSSTSGVLSHETLLLMSLRALHGFVFGSGEKNLGLFDVDGFIGLGHGKFSLSS >Dexi4A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:4A:5999008:5999388:1 gene:Dexi4A01G0007990 transcript:Dexi4A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHPYFWDASNTMGPKLEERIRNEWRFVTGNPDARVDDPRLSPTSAAAPSLAMMPTARVLVAVAGDDFLASKGRAYHAALLASGWRGEAELEDTPGERPGTEAAEKLMDRVVDFIACA >Dexi5B01G0023250.1:cds pep primary_assembly:Fonio_CM05836:5B:25472307:25472525:1 gene:Dexi5B01G0023250 transcript:Dexi5B01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFADGRSEPRRGSAMGSGGGGDCGDGERDGDLRLLKTGSAALGGDSFLPPPAPLPASVGPTRRSSWP >Dexi7B01G0024460.1:cds pep primary_assembly:Fonio_CM05836:7B:28800047:28805152:1 gene:Dexi7B01G0024460 transcript:Dexi7B01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYSATSDEDDDDLPSSFPPPATSSPPPATSFAPPPLKRPRWEHQPHLPPPRPFLQPPLHNAAPPSSGRYVSKRERALLAASSASLLPPQTTAELDSSVAPEVIPDEVLLFKILVHLPVKSLVRFKSVCKAWHATIASAHFVRLHLELARACSPGSVVLVPRKWQPEPTKVASRFVYIYSFQQPPVQVAKLIMKTKPPCPTGCIPRFTIPLHCDGLILIPSVTGHIFVCNPATKEFVELPPGTPNALLDQRVAFGFDPSSGTYKVARHFLRSYSEEQIDTEYDTGHEVLTLGDGRETLEWKATIDPPYPIKGRTPICLPGFFYWSAVQSVADQADKDMVFLAVDAQNLFKCNLRDGSLETVVDMRYGLKYNRGEGGPNGSIHPRPCSSLAPLAAHRRTSLPLPPPPQSTANTSSDWCPKNLEAELFKAALLDLISVNLSLNSFSLSDLC >Dexi8B01G0000410.1:cds pep primary_assembly:Fonio_CM05836:8B:276712:278009:1 gene:Dexi8B01G0000410 transcript:Dexi8B01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLEGVDTSAILAEASKTVANVIGKPEAYVMVVLKGSVPMAFGGTHEPAAYGELVSIGGLNPNVNKKLSAGIASILESKLSISKSRFYLKFHDSKASSHFSLLSPHTIHMGPFNHHQGSDFGWNGSTF >Dexi9B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:9B:13850199:13852730:-1 gene:Dexi9B01G0019250 transcript:Dexi9B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSADDEFIPLSELTAGMNKCRVHACVLPNDIDQFEDQLVEGDVYALSNFTVEDTRESYMICSNELTIYFGGQTVVEEIEDSDLIPLHSFEFVNFKDLRSSGRDLGVTLYGDIACGFAKDMLEKGQEASDATGTMNLMIFCEVAEELVGVSAEELVDEIEDDDEWYSLPDELEDLLGSTHTFKVFDKYCSGSFSVMSIMDDVSVPVPGAATTQCKEELGDVSVPVPRAGITQCKEEMDDVSVPVPGAATTQCKEDMDDVSVPVPRAATTQCKEELDNVSVPVPRAATTQCKEELDDVSVLVPGAAITQCKEEHVPEGSANAALPTPATAQAMEEEIVHEESTTMAEAAPATTTQIMEETVHEGSATMAEGRSKSTRLQKPNKRLRGDDWIN >Dexi4A01G0023530.1:cds pep primary_assembly:Fonio_CM05836:4A:26587627:26588880:-1 gene:Dexi4A01G0023530 transcript:Dexi4A01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSTSRQDLSPRDSSPADSPPPTSQGGSGNEARDDAAGKMKEVQHMLANLENEGVEIDGKIGSIIDDEVARIKAQVEREKNIKGLKRKGGMLILTISSVAFGFLLGIDWCEQALCAEVVKIILFG >Dexi5B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:5B:13775612:13776499:-1 gene:Dexi5B01G0016210 transcript:Dexi5B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFRTVGWAMRSYHHALMLGNSSNTATYGIPMSKESTSADPTRSPCRRRSAPFGVLCFRSTYREIARDSEERYDARLQGYVVS >Dexi5A01G0009310.1:cds pep primary_assembly:Fonio_CM05836:5A:7008399:7009412:-1 gene:Dexi5A01G0009310 transcript:Dexi5A01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGAFHSSATLFSMLAVLFGHVGARHQSDSSSRYACSPFSCGELRNVSLPLRRQGDPDYCGVPSYELACSDTKATIQINNGTYYVTGINYTSSSFRVIDSSLNMHSRCPPLPRSDQFPYPYGLKRQKYSDSESVDLFVPSHEVAWASFLNCSEAVGNNSGTYRTVDCLRTSNSDVYVVTAREPFCVKDLEASCGYLALIPYGGVGGQMTPVNVSFEDIVRFMRDGFTVKFPSYDHVQTPWQLFIEDVRQIIRQIRYDQTKDRIVDVLMFDRYVLGYMFREYYGERFPYDAVATALYSVRWIFGT >Dexi2B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:2B:3664471:3667599:-1 gene:Dexi2B01G0004150 transcript:Dexi2B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKSQNFILSYPGLPCHHQPNPTRTRRSPSPLASNHQLPIALSSPPLHTLISPHPPSSTPTNPPMAATTAISVRLHPAAVAGRHVATAGRRARLGVIRAQSVPAAAAAALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLAAGKLEKIVGVPTSKRTYEQALSLGIPLSTLDDHPHIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVIVDETKLVDGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRLEGDKPYVTDNSNYIVDLYFKTPIKDALAAGKEIASFEGVVEHGLFLNMASSVIIAGSDGVSVKTK >Dexi3A01G0025870.1:cds pep primary_assembly:Fonio_CM05836:3A:21828722:21829577:1 gene:Dexi3A01G0025870 transcript:Dexi3A01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNTTTSAAAKLLRRKSSVSSGQSHTSSSSGNTSVAVKDVVKEPAAGDVKADVVETTSAEKPAAGKAKADIVVMSLEKPAAPAIAGEKKEDGDVVVVKKDVAVETTPEAVQATKVEEEELPESTMAEEAAVETAAADKAEEEKVEKTEESKSELEKAASSPAPTEEDGESSAGKQNTVEAKPVDEHKAEEAAAVVTEPSAEEKTNANDGQTAATTTASETPAN >Dexi3B01G0036100.1:cds pep primary_assembly:Fonio_CM05836:3B:38997278:38997583:-1 gene:Dexi3B01G0036100 transcript:Dexi3B01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIPPGASSGARDEGEKWRSSQRPEADGGGERNLPEEEDVDDLEASLNEASSRSRRAESNTTEASLPQQQPRGRRGCLVPPIELESCHLVLTVEPLLPRL >Dexi9A01G0027430.1:cds pep primary_assembly:Fonio_CM05836:9A:32061920:32066826:-1 gene:Dexi9A01G0027430 transcript:Dexi9A01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKHSHLIGWTIVDAEDDASDAGMDDKFWHEMLDLFFVRGGLSKRSEEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWAPTLEKVINANTAEVDWERSFYLNLIAHTSYTVTVAIFGYVTKTVYASPSRVNFHLDRRKAIETVPAYPNICFSVDDFDDTFDAVQVLSDPGHCYCVILNAHDGAAFPEVTVPNVQPGVTSGSNQEKPPKRTLFSGYVSYQNVREAYDAGRSKFGSFLGHDHNKLDRLYMRGPEGRGEVEVAVSGIAGLSMD >Dexi9B01G0030520.1:cds pep primary_assembly:Fonio_CM05836:9B:32996057:33005039:1 gene:Dexi9B01G0030520 transcript:Dexi9B01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKIVGVHSVVLPGGHDHAGACAAAPPRHGRNPAPGVARRRRRVAVTMALKDEPESSRSGFAGGGPSWDPGLEIQVPYEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGGLWIVTFTVLAAPIAAASFNPSKGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSLNQPPSAASSKPSLRREELLRLPVEVMQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >Dexi2A01G0027820.1:cds pep primary_assembly:Fonio_CM05836:2A:39150852:39151937:1 gene:Dexi2A01G0027820 transcript:Dexi2A01G0027820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGATAVVQQPAAGAPPASGARSGGAGSGAAPAVADPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSGASGSTAGRTGGSSSATAAVTSSSAASTLSLPPPPSGSSLPSLSSALGLSGSTSLASLLLGTTGAGAGDLGLFQAAMQSVVSSEAATAYEMQQQHHQTQVDHLLGLGYGSTGGQIHQLKPWMQEVAGGGIMDTFYAPLLSSCSLVPGLEELHVKAEAAGAGDHHHHNKAAATGGEQHQSGGGSWELPTPSSSNVDANIIASDALMAAAASMNPGVSSTSTAATTTAPSSFMYWGNGGIGGAATAWPDLANCGSSIATLF >Dexi9A01G0039680.1:cds pep primary_assembly:Fonio_CM05836:9A:43543707:43544384:1 gene:Dexi9A01G0039680 transcript:Dexi9A01G0039680.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAGTFRDNSRTSPTPIPTRLREVFVAQVIAATPSRRRRTASSRQRHPAAAPRHHRSPSIPSATIVSVLLPDVDGTLTAPRKVAASSYDIFCLSATGCDASDAGHVTVGVVGGSDMVNITEQLGKTVITDYYVFSENGLVAHKNGELIGTQLSPWAQPHVYYPLTH >Dexi3B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:3B:12405692:12407910:1 gene:Dexi3B01G0016920 transcript:Dexi3B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPPVAPSTRRILSRSCGSKGSRLSVDLPPPLAGGPSDKAAAGSSSSTAVPPRPARHEGPPSDAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWKKEIDWLLSVADHVVEFVPSQQVSENGTCMEIMVTQQRQDLQMNIPALCKLDDMLLEYLDSFKDKQEFWYVSKDADKSEKGNMPRQDDKWWLPTVRVPPNGLSDAYRKWLQHQKDLVAQVLKAAMAINANILMEMEVPEAYMESLPKNGKSTLGDSMYKLITDDYFDPEELLSSVDLSDEHNIVDLKNRVEASVVIWQKKMTHKDSKLSWGHGVSHEKRGKFEGRAENVLLLIKHRFPGIAQSALDISKIQCNRDVGLAILESYSRTLESLAFTVMSRIEDVLNADLAALDPKNADSMRIPSLTSDDTDRVVSDAKAEVEKLRRMEPVTATLFDLVGPRDQDLSTYAKEGANGPKLTKITSIATKRFSYLDNLGGTRSPIARH >Dexi9B01G0031790.1:cds pep primary_assembly:Fonio_CM05836:9B:34077943:34081587:-1 gene:Dexi9B01G0031790 transcript:Dexi9B01G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAAGGGGGRWCVVTGGRGFAARHLVAMLLRSGNWRVRVADLPPAIALDRDEEEGLLGAALRDGQATYVSADLRDKAQVARGLQ >Dexi8A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:8A:12751390:12752799:-1 gene:Dexi8A01G0009510 transcript:Dexi8A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNPCSDWQVRVVSRCLVKASDTSIMPHVVTVSNLDRIPRIMQISILCIYAKPAPPAGNFAAVVAAFEAGLPSFLNHFCPLTGRIVTANTSSGTPEIHCSNQGAELVVARAGVALGSLDYGATGALFQQIQLPYGEDMALSVQVVSFACGGFTVAWRTNHVVVDGCALSFLVTAWSEFTRSGTLPASLQPNHDRSVFRPRRPPSYSASLDEAFTPLDSRRQVNALTYDQSSVLRFYYIEAADIARLRNAASRGRDRATRVEAVSAYLWKTLAGVVGAADPRCRMGWWVNGRQRLTDSELRCAMRNYFGNVITFAGGEATVEEVVQMPLPEVAAMVRQAIKAPAYDEHFQELVDFVEVHKTEHKIMSVSIGLGSPAVIVTAFTSFRLDTDFGFGHAVIAVPTTVRTARLCSGYVQIIEKPGGDGSWIVSAFVWPQLAAALEGDEPRVFKVLTAEQLGLFAPRAPHSRL >DexiUA01G0009710.1:cds pep primary_assembly:Fonio_CM05836:UA:19106612:19107640:1 gene:DexiUA01G0009710 transcript:DexiUA01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKVEVGEGEMEVEVREVAKAAAAAVAAAGPRCRVVRIIVHDEDATDSSSSEDEGEEEEEGEERVSVKRSRVLLQTGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXPWGRFAAEIRDPQRGRRVWLGTFNTAEEAAAAYDAARLRIRGPGASTATNLPPSVDSDPLPPPTAASLPPRAPPAAPLPPRPPPHAAPLPPRAPSPAAPLPPRPPPPPPPRPEQKKKPSLPLLPPKLLQQPPPLVLLPPKKQHQSPPLLPPKKQYLPPPPPLLPPKKRLPSPPLPPPETWKQGGAASSSTPVPPPFTPLPVWALLSGKRKKRSGCGGRVPALRTPAAEETGRA >Dexi9A01G0023010.1:cds pep primary_assembly:Fonio_CM05836:9A:18162203:18164359:1 gene:Dexi9A01G0023010 transcript:Dexi9A01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKPGAAHEPLLPSRPPPGTAAEAKRLLRLAGPIVASCVLQNIVNMASVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATALDTLCGQAFGARQHHLLGIYKQRAMVVLGLACIPIALIWAFAGQILVFLGQDAEISAEAGAYARWLIPSLAAYVPLQCHVRFLQTQSVVLPVTASSGVTAICHVAVCWALVYKAGMGSKGAALSNAISYAVNLGMLAVYVRVSGACKETWSGFSKEAFKDLLRFTELALPSAMMIWHVLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMIPLGLTYSISTRVSNELGAGQAQAAKLATKGLWLGIISGSVTKLLFLVLISWSIDWGKEAVKAKNRVFSSSLPLA >Dexi5A01G0034780.1:cds pep primary_assembly:Fonio_CM05836:5A:36769503:36771861:1 gene:Dexi5A01G0034780 transcript:Dexi5A01G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGHGDTTPDVRGCKLLCSLLSTAPGDEHEHECTPRGAGSHADADASQEQLRRAAANYQPPPNRGRENDRWASATGPARATAAALAGPGGMNKILWGLGRVSRRDTAATPRHEATCPAAVESSGGARAPPRQNVRVWAYCALCAAHQHSPRLTSYLTPWQPQAPNSLAWHGCSEAATRPQKYHAPAMESWTRQVDDPASSPLRLQCARLPPAVTRHRPPHRVLSPSCPPPMTCSLTGGPQRRAYPTTKWVEAVVRAALVVWYPYPTWSWTTAPSCWERMTGGP >Dexi5A01G0029040.1:cds pep primary_assembly:Fonio_CM05836:5A:32213308:32218434:1 gene:Dexi5A01G0029040 transcript:Dexi5A01G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLSLVRRAAEAVRRTPRWRKRLIYFGVGLTTLTYACQDNEVLQICDGTGNKKKVVILGTGWASASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPVRNIVRKRKAAFRFWEAECFKIDPTNKKVHCRSDVGTNINGDGEFVVDYDYLIVGVGARPNTFNTPGVTENCHFLKEVEDAQNIRKSVLKCFERASLPNISEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGGHILTMFDKRITQFAEEKFKRDGIDLKTNFKVVKVSDKDITMTNPATGEIAVPYGMAVWSTGIGTRPIIMDFMKQVGQGNRRALATDEWLRVQGCEDVYALGDCATIAQRKVMMKGFHDLLKDSDGNSKELKELDIEQFKKALAQVDSQVKMLPATAQVAAQEGEYLAKCFNRMKICEENPEGPLRIRGAGHHRFKPFRYRHFGQFAPLGGEQTAYQLPGDWVHVGHSTQWLWYSAYASMQFSWRTRMLVVSDWGRRFIFGRDSSSL >Dexi8A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:8A:28197061:28204859:-1 gene:Dexi8A01G0016550 transcript:Dexi8A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLATMRRPKRGRPPKPRVEDHADSDDDFDGEARPPPQSKRKRAASAAAAASLEDLPLIDIIKHNGRLISHAVKKLVEEYETNKNSVTFQILAMLFEGLVEDNYSSKQKDIKNFKENLVTFWDSLVLECQNGPLFDDILFQKIKDYVVALSCTPPRVYRQVASLVGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQSDGPLVESLNNRLALTHENITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDDNIPSLGLFTERFYTRMIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSHPGVRDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMRDWRCMISMLLDEKPAIELTDIDGTNLVRMLQASAKKAVGERIVPAVDNRKLYYNKGQKVFTSILYIKILQETLENSKREITSALLMRYPLLLRKYMSDKAKISPLVDMMVLLKLEMYSLKRLEKHFKAAIDLITDAFFKHGEKDALRSCIKAITFCCTGPPDHKDYPADLKAYAENKLKSLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLYELQLSKSVKNDSLFDDMYRILSHLRDMDNEVKSFLLLNMYLQVAWCLHAIDGENPSESSIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQLDMVQKFWKLCEQQLNVSDETEDDSANEEYIEDTNRDAVMIAAAKLVLADIVPKVRAGFSLIDPPTTDYLGPEIVSHYVSHGASTTEIIKHLITSLKKNENFDMAVLFFEALKRAYERYMNHVHDGENQNLTAKSYSECQDLASRLSGSYVGAARNKNKSQIMKIIQDGVSFAFADLPNQLSFLEAALLPFVSKLASPDIPDILADLEKRTQATNMDGNQSAWRPYFTFVEHLREKHAKNEVLHEEEEKPVRRRGRPRKVRDVPDAPNVPDVRGKRLFKDDGHNSSDEESISASDQHGHGDDDDSDDDANQPLINTIRSSAAKLRSLKVSQQGTSSHKGNAGPSGKFQGFLSVN >Dexi1B01G0017210.1:cds pep primary_assembly:Fonio_CM05836:1B:23583529:23589280:-1 gene:Dexi1B01G0017210 transcript:Dexi1B01G0017210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSCDGSGNPSPPAAGVAAGAAPAVAAAAAAAAAAAVAAAWPRRQCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRFNIGRYANLTAEPWGTAAESPEPAPAPPSVYRSEDPSVPASELTETYWKFYGAAGAVGAALAWAWLAAAWRKDGGKVVMRTAVHSLTAYLAVVSVLCFWGKHLFWGVALAVGAGLHFLYVMSVLDRFPFTMLVLQKAARMVWELPDVMGIAYAFVLVMLCWMALWSFGISGIVAFGIPNGGQWWLLLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAATMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCIDFLFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALVAYDCSGAILLMSTILGGLITGTCTGVWTYFKQNDKAIMVGSTSMLMGMILTSARTRAVQTTGSIPWPFGRPHERRAVPKREHRPNQSLPRSYPAAVSERGDDFDPHAQHRSLGVGPSRPDVDSRVPTTRHAPKGASWSSRAAHADVVDPRHLPWKIRTEQQSDATHRFGTAPLGPSRPRPGGCRRLVDK >Dexi5A01G0004020.1:cds pep primary_assembly:Fonio_CM05836:5A:3010881:3011120:1 gene:Dexi5A01G0004020 transcript:Dexi5A01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRDILNNGRINSSKIIQGEQRNAMQNSSSRCIEWEAHSRRATGLTLAFLSAAAKELGCPLPC >Dexi9B01G0007140.1:cds pep primary_assembly:Fonio_CM05836:9B:4315736:4316457:1 gene:Dexi9B01G0007140 transcript:Dexi9B01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVNRGNSSSLDPDLRELARRLSFSDADSPSYGRGRSAMPRQAFFPRLQTIDENRDEPTAQAPAPLSPSTAPQSSPGAPAALYASPGGTTTAPAWPSQSQLQEAVAAVRVFQQWGRARQSGDYQHPMTAQQLQDAHAAVRMLEQLRQAREYLQQRHQQALAAEAGLQQALAAEASLQQARASEVAERLQSQVLMLYTVDGGAAGYETKWEELHPVSQGLLLQIED >Dexi5A01G0029500.1:cds pep primary_assembly:Fonio_CM05836:5A:32547519:32548986:-1 gene:Dexi5A01G0029500 transcript:Dexi5A01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFFSAAKRCSNGKRLLRSAAGGCCWPPSAAACGVFVRGKEETSTSAPAPSSATDSKKKRWRKRRFWRKKKAKKDGAEIADLMNNISATSDVSKNVNAAAELSRSCNQNNMPSRALTFRQLDAATNGFSERNLLGEGGFGRVYKGRLDDTKEVIAVKQLDKDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCADSNQRILVYEYMSKGSLEDHLLDLPPNWKPLPWHTRMQIAVGAAKGIEYLHEVANPPVIYRDLKASNILLDRDFNAKLSDFGLAKLGPMGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDVSRLSEEQVLVHWASPLLRDKKRFVRLADPLLGRRYPVKGLYQALAVASMCLQDDAPSRPGISDVVSALSFLADPAYYPPEGM >Dexi3A01G0010600.1:cds pep primary_assembly:Fonio_CM05836:3A:7584197:7585030:-1 gene:Dexi3A01G0010600 transcript:Dexi3A01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASVTVKVRERMPSRSQAAWSPFKCAAVGCGASGQGIQSVLDGVARRAADGSKLTDELAFPLTDRPIGRKRSQERLGLLALAAARKRHARPGAGHGPADGRHLYAAAPRWAHTCHPTPTGRTCPLSPSNFLLVYPMYDLPPQRHSPGVYKISEPEGKRRGIPNYKPGPPEHGTRQQQVKREIRKPTPWIDRSIEQGGRMAGTANCIDILIAIILPPLGVFLKYGCGHEFWICLLLTILGYIPGIIYAIYAITKY >Dexi7B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:7B:14693818:14697786:1 gene:Dexi7B01G0006920 transcript:Dexi7B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSSRSGSQPAQQQPAAAAREEELPLQPQPQPPELLASDDISEHLNEGIENSSSSNKPSRLDDPISESSSSAEERAATEKRPKDDSNVIDPTFLVEELKGLQIPDQIVHGNSVPSGTGSSQMAGATSHPPPPPAPPPKPSSGNNGLRRMGSGSSNSVRIGSSRRPVAWPPVAARTSTSGSRPSSPRSLVDGEGYNSADEQGPCYASSYDDLERDRMFEHDLRRVKGFEIRKMAEDGNCLFRAVADQVYGDAEAYEMARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYSTEREIERMVMEASRAEYLAEEKKLNIRGSSTSWAEPSSSAAISGSSHSAATVDRGSEECFVLPDTVLTRSMQLLLAMGFSYNQVIEAYSIFGEDVDSMVCYLLETGGPGASDGGSNRRKGKAAE >Dexi9A01G0026710.1:cds pep primary_assembly:Fonio_CM05836:9A:30184404:30186073:-1 gene:Dexi9A01G0026710 transcript:Dexi9A01G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNKEWWPASFMVLIQVFITGQILLTKVVVDDGLSVCILLTYRFFMGAILVTPVAVIFEKGKLKELNLKAFIWIFTSALIGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVIFRKEPLNMKSLVGNIKVIGTIVCVGGTLVISLYKGKVLHLWPTNIIGYHSKHSGAAYGHHHMRGTILLIISCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAVVGVAMNREKATWQLKWNMSLLTIVYSAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSVGRYD >Dexi1A01G0026410.1:cds pep primary_assembly:Fonio_CM05836:1A:32351764:32352246:1 gene:Dexi1A01G0026410 transcript:Dexi1A01G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSASGALAAALLVIIGLLVATSAPLAGAAASHMVGDNGGWKLNVDGWAKGRTFRAGDQLVFRYNREVHDVAVVDAAAYRSCVVPRGAKVLKSGRDKVTLGRGTHYFVCTVRGHCQAGMKIAVKAV >Dexi6A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:6A:20058413:20063849:1 gene:Dexi6A01G0013060 transcript:Dexi6A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNRSPDAVMDDACWSDYDFCARTGNWYCCAKMKAEITATEEAERLGLHLAVVLPGMTIGMLQKELNVSNHHVLRYLMGVKRSYPNAVAAYVDVRDVARAHVLAYETPGAAGRYLCARVVLHRAQLVAMLRDLFPEYPVTAKCEDDSKPRERPYKFSNQRLKDLGLQFTPLKKSLYEAVICMQKKGHLPIIEKQLHANLIINVEKLRSNEFGQKSRSRQQRAIATTSMAPNDGEQEQLVCVTGAGSFIGSWVVRELLMRGYRVRGTARDPGDSKNAHLLELEGAKEKLSLLRADIMDPESLRAAFSGCHGVFHVASPVSNDPELVPVAVVGTRNVMSAAAAEGVSRVVLTSSYGAVHMDANRSPDAVMDETCWSDYDFCARTGNWYCCAKMMAEITATEEAARLGLQLAVVLPCMTMGPMLQKDLNLSNHHVLRYLMGVKRSYPNAVAAYVDVRDVARAHVLAYETPSAAGRYLCAGVVLHRAQLVGMLRDLFPEYPVTAKYSTFNIPSLERDPTKCEDDSKPRERPYKFSNQRLKDLGLEFTPLEKSLYEAVICMQKKGHLPIIEKQLRANL >Dexi2A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:2A:13379564:13380051:1 gene:Dexi2A01G0011460 transcript:Dexi2A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVRGSSGRLVAVVGRRAMGTVAKGTSRAAVHGSHSPTNTAVEGRDEAESSIEKGKEEKRKAREQVEAKVDARIGSSSAPPPHGKSAK >Dexi8B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:8B:21506923:21510786:1 gene:Dexi8B01G0012210 transcript:Dexi8B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLSQPGPGPVSSSKLSTSPSPLALRVREEGESPRRSVALLLPLRALSTSAAASLARLRTSGDRRRLPPLSSRCGPSRARHRCSAAPRGRRRLPWRRATHCPVRGRASASIDFCLNYVFHFQNLPPFCFNGYSTLLTPANEVLIPPELISSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKVFTNLLNIDLLVFEDLEVPSHKTKNIVQYIRQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNILPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR >Dexi3A01G0008840.1:cds pep primary_assembly:Fonio_CM05836:3A:6134514:6136337:-1 gene:Dexi3A01G0008840 transcript:Dexi3A01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAVTAGSRRRRNRAPAVGAGNDDAEEHHHNPFLETASSAPSRVQFRNVASRARWVEEAGATEVVESKGKLWLTTGVTRGGKLCYNIEEIGFLVERSALILLNDKDQTIGIENIYEKIAGGKYGCSWDTFQAYKHLKSLGYIVGRYGVPWTLKNSGTSDTTVAPTSVVHTDQSFNKVDGTCSDITKSLKEMHIDSIFV >Dexi1B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:1B:6488827:6494816:-1 gene:Dexi1B01G0007820 transcript:Dexi1B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAARTTTMAHFLAALLFFSLSLAAAAAAGEVSSYVVYLGQHEHGAALGTHGAEELAALERDAADAHYDLLAGVLGGDKEKAKEAIFYSYTKHINGFAANLDAATAAEIARQPGVISVFPNRGRKLHTTRSWQFLGLAGPGGVPHGGAWKKARFGEDTIIGNFDTGVWPESESFRDDGLGPVPSQWKGACDKGEDDKFSCNRKLIGARYFNKGYAAAAGELNATTNTPRDMDGHGTHTLSTAGGSPVAGASVFGFGNGTASGGSPRARVAAYRVCYPPVNGSECFDADILAAFDAAIHDGVHVLSLSLGGDPTDYFEDGIAIGSFHAVRRGIPVVCSAGNSGPGLGTVSNLAPWIFTTGASTMDREFPSYIGQSLSITSLPEKSYPLIDSAKAGADNATTKDAQLCMIGALDPKKVKGKIVVCLRGINARVAKGEAVKQAGGAGMVLANDASTGNEIIADAHVLPATQIKYSDGVLLYSYLNSTKNPTGFITKPATILGAKPAPFMAAFSSQGPNTITPEILKPDITAPGVSVIAAWTRSNSPTDLTFDKRRVAFNSESGTSMSCPHVSGIVGLLRTLHPEWSPAAIRSAIMTTAMEMDNKGELIQNASSMPSSPFGYGAGHVWPSRAMNPGLVYDLGDTDYLDFLCALKYNSTVMAMFNGAPYTCPGEAPRRVADLNYPSITAVNVTAAGATARRRVKNVGKPGTYWAFVVEPAGVAVSVTPSSLEFKAKGEEKGFEVSFQVKNAKLAKDYSFGALVWTNGKQFVRSPLVVKALA >Dexi9A01G0049340.1:cds pep primary_assembly:Fonio_CM05836:9A:51993509:51994477:1 gene:Dexi9A01G0049340 transcript:Dexi9A01G0049340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQRRGGLLCCGGGGALGGGAKEEESARQVSWAEVEAMTGGFTSAVVGEGGFSTVYLARLGGSLAAVKVHRGSSERLHRAFRQELHALLRVGRHPHIVRLLAFSDRYPQHQAGVLVLEFAPNGNLHHHLHAAGDPMPWPRRVSVALQVARALDYLHDRHVVHGDVKASNVLLDAAMAARLCDFGSARAGFAAGGSSPGRRRRRPSAAVLAGSPGYVDPHYLRSGVVTKKTDVYSFGVLLLELLTGVQPFRDGRLLTAAVAPKIIGDDAPRIIVDRRLGCRYDADEAAAVLALAAACVGDNPTLRPSMPDVVRALQRTGRR >Dexi3B01G0022660.1:cds pep primary_assembly:Fonio_CM05836:3B:17388628:17390474:1 gene:Dexi3B01G0022660 transcript:Dexi3B01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFILNTSADIPSVGLGTWQISPGVVEDAIRAALQAGYRHIDCSPQYGNQKEVGFALKKIFEEGTLKREDIFITSKLWCTYHDPEDVPEAIDNTLQDLQLGYLNLCLVHGPVRAKKGTRLSVENIIKPDIPATWKAMEKPYSSGKARAIGVSNFSCKKLEDLLSVASVLPAVNQVECHPVWQQDKLRALCQSKGIHVSAYAPLGSPGSPGNDGPNVLSHPTVISIAGKLQKTPAQVRLFKYEFVTHPTSFYKSVVDFWDGEV >Dexi1B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:1B:11078654:11079701:1 gene:Dexi1B01G0011090 transcript:Dexi1B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVLAAVLLALVWALAVAEDGATTSSPAPTPTTGWLKAHATFYGGVDAANTMGGACGYGNLYSQGYGTSTAALSTALFNDGASCGQCYEIACDHETDPTWCKPGGVTVTITATNFCPANYALPRRQWRLPAWEKIGVYRGGIIPVIYKRVPCARRGGVRFMITGHDYFNLVLVTNVAAAGSIKSMEVKAADSTDWMPMTRNWGANWHSMAYLTGRMLSFRMTNTDGQTLEFENVVPHGWKFGQTFASKFQFN >Dexi9A01G0034460.1:cds pep primary_assembly:Fonio_CM05836:9A:39245185:39245827:1 gene:Dexi9A01G0034460 transcript:Dexi9A01G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKKIVLKLDIVGDEGKATRAMSTVAKFCGVESMAVDGEKGTLTVVGAVDVVRVAKALRKAGFEARVLSVGPEKQETKKPDEPAKKPADDEPNKPPPPPCCAGCSDCCPQPGVPVAPFPGGAVVCYEEPSPGC >Dexi4B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:4B:5814320:5815927:1 gene:Dexi4B01G0008010 transcript:Dexi4B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKVLNEVKPYLYMVLLMVGFSGMYIVSVASLKQGMSHFVLVVYRNIVATVVMAPMALLFERGVRPKMTPRIFVKVLGLALLEPVLDQNMYYLGNKLTSAGFASALVNILPAVTFIMALVLRIEKLRLRSLHSQAKILGTACTVAGAVLMILYHGPVVPFPWSSGHQHAAAAAASQQSSASWLNGTAMIIGSCVCWAGFFVLQSNTLQSYPAEMSLTALICGVGSVMSGTVALVAERRDMSAWRIGFDTRLFTVVYSGVVCSAVAFYVQGLVTRARGPVFVTAFQPLCMIFTTIMGSTILKEETTLGSVIGAAIIVVGLYALIWGKSKDHLVGNGKPIADELPITLAPTANGKDAAALGGHVAGVETPAVTKSTY >Dexi5B01G0037170.1:cds pep primary_assembly:Fonio_CM05836:5B:36749921:36756351:-1 gene:Dexi5B01G0037170 transcript:Dexi5B01G0037170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKMDRPAALGKDGLSLGIEEDRAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYTKPSDGKISLPHGSSLEPAEREVRMMEGTVDRKERRRNVFDADSGLRWLEEERETSLLGRRDRKKEGERDVDNRKIDRRSDNVSARDNTDSRAPPTSERWNDGSTRSLGNEGRRDVKWSTRWGPDDKEKDSRSEKKVDAEKDEAHAEKQTFTGRLLSESDSRDKWRPRHRQETHSVGTATYRAAPGFGSEKGRGKDKDSSNVGFAPGRGRGNPSSVASFSRPSSAGPIGAPAVHGKYAKTAANFRYPRGKLLDIYRQKNMMSSFEDAKLEEIPSITLSTSAKPLAFVTPDTVEEALLEDIRKGKVISSEGSSAAGSKKERAKELEEPVSGVDDDKAAVASDGLAQEGSSGLISEKDAFYDNRALPSGVGTSSPKRSMEENAGTNQYGIPGIQEGLKTDEAKSSADHDLSTKLPDDSNTLFDSTPFKDRLEPSMNYQNSDMDMKTGGHASYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGQKPQSHPPALADESAESLGSAPIKLEAAIPTTASSGKSDQASKWDSESNAVDPKRGDHEASLPSRTGWLSSPETGKDVANISNRQQHVNESVIQDAEEVLYTGRPNSSMGQSVRDIENDRADFQLASREPHSGVGEANLPHRDVPRESDLSPLGLLWSELEGMHPKQPLSSNVLGVNERRNAKPTAPKDIPPVNMRHGPLNRMNEASSMRDEWPANFGRLDSMNDANIPARISQVEAEHHLNFEEQLLLQQIRREQLQQEQMMARNNLEFPGAFPGQVFDSLHQHRQPMNQPLPDVEQFLRVQFELEQQQQRRQQQEQHQRQLQQQRQAQLLQQQQQQQQHMILEQLLQQQLQGSNFGPQNMVDQVLLREHVLNELHQQPQHLQRRHDAAIEQLIQEKFGHGLHREHHNDMLDVLSRSNQRQMLPLEHQLLLGLQHEQQLQSQQLAALRQHSGREEERHSSGVWPMDAAAQFIRPGTSPNQHASRHGRFDLLENLQRSSSFEQHESLDRSLSLHERLHRGGQGIHSLERSGSLPGSGPVPNPDVINALARHHGLGQLEAHGDLYSLGQMPGLPSGVHPQQHRLQEQLPGSHLGRLERHWSDANGQLQNSLMESSRMNQLQIEAEKQRRNVEMNLSVDNPHAWAALMNKERNTEQDLSDMIHKKLVLQSQQSLGFPDVPVPAFGRKDHFAQPAVENPLRSPVDRLSFEESLAERSLFAKTGQPVQVGSANLDSIPSSIENSGKYNLRSSSGSMLEQKHFLGIDDVQRDFSDITGGRASANHLVGSVNELTRGKKQGSSANLAGDDTNFSEEAVSNWSDTGISKGSSHSLLKRSTNQHPATSQAVSTDLSTIRLKKAGLAPSDENKLESGITSVAQGMEVSVPSNKETGAYSMPSATNNPDASGPSFSEALKSKKPPLQYDTSESADGGPGGKGAKKKAKKGKQIDPSLLGFKVHSNRIMMGEILRDD >Dexi3A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:3A:15703272:15704041:-1 gene:Dexi3A01G0019810 transcript:Dexi3A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHKDKIKAISSVPIKLQQPSFMSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >Dexi9A01G0025770.1:cds pep primary_assembly:Fonio_CM05836:9A:26456563:26458818:-1 gene:Dexi9A01G0025770 transcript:Dexi9A01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVLYNPEVTHDEQSDIDHCTSRGSSKKPRYGHGTVLPSIGAYTVQCASCYKWRIIPTKEKYEELRESISQELFLCTRASEWNRALSCDEPEDFSQDGSRVWALDRPNIAQPPPGWDRRYYTSPSGKKLRSLVEIGRYLEKNPQYIREGVNLSQFSFATPKPLQEDYVRKRTLRDAHELPEFSEIAEVDPLCWAVPPTCTELLTGPDSSTSDPASVNQPEMSDRQPEASRPPARNQKMRTLEQVSSRKCQMTSPAASTPFGEQSGGHFIDIDHVPL >Dexi1B01G0030780.1:cds pep primary_assembly:Fonio_CM05836:1B:34634556:34635500:-1 gene:Dexi1B01G0030780 transcript:Dexi1B01G0030780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRTSESDRAPVHIYHRQNPEEHEPLSSAFNGASPTSAIVAVDTNLDTATLDTYRAPPAPLPYDVGLTVGENPDLDKSDIKIKPEGQQSPKVDEFESCEKGTPEDNADEEDVCPICLE >Dexi9A01G0039110.1:cds pep primary_assembly:Fonio_CM05836:9A:43209275:43221491:1 gene:Dexi9A01G0039110 transcript:Dexi9A01G0039110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGVAASPARPLVDPYGPDSATFPHAHVKTAVPPCGHAGLDGTSCKDVRGIVNGATENGHHGTDAHADEWREKEEDLPNGHSVPPGVQQVDEKEEQAQTIMWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIAAENGLHAWRHLEDLQNNIDLVLTEVFMPCLSGIGLLNKITSHKVCKDIPVIMMSSNDSMSMVFKCLSKGAVDFLVKPLRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCTKPNTGDDYENNSASNHDDDENDDDDDDDLSVGLNARDGSDNGSGTQSSWTKRAVEIDSPQPMSPDQLADPPDSTCAQVIHPKYHTSVASNHGGARFGESSSPQDNSSEAVKTDSTCKMKSNSDDDLIKQGSNGSSNNNDMGSSTKNAAPKPSGNRERVTSPSAVKSAQHTSAFHPMPHQTSPPNVVGKDKTDEGISNGVKAGHPTEVPQSCVQHHHHVHYYLHVMTQQQPSTDRGSSDAQCGSSNVFDPPVEGHAANYSVNGGVSGGHNGCNGQNGSSAAPNIARPNVESVNGTISKNVAGGGSGGASGSGIDLCQNRFPQREAALNKFRLKRKDRNFGKKCRKMLATSRFATKAGRGSLSSGHGSGGSLCDNLDKKIKQTKIQKGDMGLLKQLGIFFEEDLRIRQVFTLRIAAH >Dexi8B01G0014610.1:cds pep primary_assembly:Fonio_CM05836:8B:25254374:25261573:1 gene:Dexi8B01G0014610 transcript:Dexi8B01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRLKSTIFDREEKKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDSSLPIKTDKDTLREGYRYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKHGLGSYEVNFSYVEAGKQKQALVKLVACKRLGNPLNQLFSLIVVHYERCAEKLAYKREKEKEKEKEKEPSGEKEIELRDRDKRKRYSIFCLPPLFF >Dexi8B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:8B:27746025:27749541:1 gene:Dexi8B01G0016570 transcript:Dexi8B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPEDEPPFSPSDFLDLPPTPCLEEGNDDFVLPFIARVLMEDEDIDDDHPALLKVQQPFAEILSAGSAFAANDATWPYDPVELSQMLLLSNSRTQPPPGMGAVGQHGATLPDGNNRVTMEMLNQAFLKGMEEANKFLPKSNNSFLTDTSIDRLSMSQQPANDRRGRKKSHDIDWDHVLEAETGRNSKLMVPDIEEASEMVDEFIQNGYQSLLDRMMDMNISMDRETEKNARKKEKGSANEVVDLRTLLIHCAQAMATGKRHAATELIREMKQRSSPRGDATQRLAHCFTQGLEARLAGTGSQVYESLMSSRVSAVEFHKAYQLYMAVCCFQIMAFKFSNITICKAIAGRKKVHIVDYGEHYGFQWPTLLGFLAKREGGAPEVRITGIDFPQPGFRPAARVEQTGRRLSNFARQCGIPFKFSSIVAKWETIGVDDLNIEPDEVLIVNGLFHFGTLIDEGGDIDSPSPRDMVLKNIQRMRPDVFILCIENSSYNAPFFVTRFREALFYYSAMFDMMDAIAPRDDTDRMLVEQELFRWCALNAIACEGTDRVERPETYRQWQVRNDRAGLRQLPLEPDVVKAIKKKVKDGYHKDFFIDVDQQWLLQGWKGRTLYAMPVGYEHQHGQRDREERQEKEEHQRGCGPTHLAHPLRTSRATGDRHAATELIREIKQRSSPRGDATQRLAHCFTQGLEARLAGTGSQVYESLMSRRVSAVEFHKAYQLYMAVCCFQMMAFKFSNITVCKAIAGRKKVHIVDYGEHYGFQWPTLLGFLAKREGGAPEVRITGIDFPQPEFRPAARVEQTGRRLSNFAWQCGIPFKLSSIVAKWETIGVDDLNIEPDEVLIINDLFHFGTLIDEGGDIDSPSPRDMVLKNIQRMRPDVFILCIENSSYNAPFFVTRFREALFYYSAMLDMMDAIAPRDDTERMLVEQELFGRCALNAIACEGTDRVERPETYRQWQVRNDRAGLRQLPLEPDVVKAVMKKVKDGYHKDFFIDVDQQWLLQGWKGRTLYAMSTWVANDDAALL >Dexi4B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:4B:21049754:21059680:1 gene:Dexi4B01G0018710 transcript:Dexi4B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRISGSLFHRIEDTKQSRNRNEPAPDDFGHVPIDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQFSMVGNEYKIDYFIILTYCTVQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGEAPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFQYQSSSLYIINIMEPLLQAYTERSRLPAPGDSDELSVIEGQIAWMVHIIAAILKIRQTVGVSQESQELIDAELSARVLQLIRMTDTGAHTQRYRESSKQRLDRAILIFVQNFRRSYVGDQAIHSSKQLYTRLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFMELASGYMTGKLLIKLESVKFIIANHSVKSSH >Dexi9A01G0020160.1:cds pep primary_assembly:Fonio_CM05836:9A:15116726:15118689:-1 gene:Dexi9A01G0020160 transcript:Dexi9A01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVSRGANGKSQQGSRGKAMLLALGKGLPDQVLPQEKVVESYLQDSSCDDPATRAKLERLSRAALDDWGRPAADITHLVYISSSELRLPGGDLHLAARLGLSPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVTAAETTVLGFRPPSYDRPYDLVGAALFGDGASAVIIGAGPMTPAENPFLELEFSTQEFLPGTDKVIDGKISEEGINFKLGRDLPEKIESRIEGFCRTLMDRVGIKDFNDVFWAVHPGGPAILNRLEFCLELQPEKLKISRKALMNYGNVSSNTIFYVLEYLRDELKKGAISEEWGLILAFGPGITFEGLLVRGVN >Dexi7A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:7A:15672772:15673742:1 gene:Dexi7A01G0004730 transcript:Dexi7A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEPPVPAAERRSREAQRRGTRGWGKWRPMGKQQAAEARAPRRRAAASLLRVSRRRSARAERSAARVAAEGGGLV >Dexi3A01G0002860.1:cds pep primary_assembly:Fonio_CM05836:3A:1899016:1899417:1 gene:Dexi3A01G0002860 transcript:Dexi3A01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGISSPLAALALLLLLVVGVAAAARPLPAVTLAAHHQENGVTTVAADEQMVLQKGAAAGGGDELEEEEPAACEGSGDDECMQRRLLHDAHLDYIYTQHKGKP >DexiUA01G0025230.1:cds pep primary_assembly:Fonio_CM05836:UA:53103691:53103962:1 gene:DexiUA01G0025230 transcript:DexiUA01G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >Dexi7B01G0010220.1:cds pep primary_assembly:Fonio_CM05836:7B:17605965:17606662:-1 gene:Dexi7B01G0010220 transcript:Dexi7B01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRVQTNSEKGHCKAIKVAAAISGVESVTIAGEDKNLLLVIGVGIDSNRITEKLRRKVGHAEVVELRTVDAAGVDELGDHHAYRYHPSPSPYKHTPARDHYYGGGGGGGRDHRYYTGYLYYPAAANTHTVVHHEYASDPNSCSVM >Dexi7A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:7A:26400559:26401545:-1 gene:Dexi7A01G0016540 transcript:Dexi7A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSVARREAFLLLLLAATVALAVPQQQQELELQDTVLLDDVVQETAEAWYHGSSHRRRTGVAYPLPLPGSLSGVEATVARFRSGSLKRYGVRQFGEFAVPPGLAVRDAAAYHLIAVRVNLGNVSSVYDEYATGAGYRLASPVLGLTFYGVARRRNGSSSTTAALEIDLTRAAIRVNFTVAVPALRPGVAAAGALCMAVGLNGSVTVTDMEEGTSTCHASDQGHFALVVGGAGDHGGGAEAEIGEVSKWKLALFGAALGAGGTVLLGMVAVAVLSIRRRKSEMEEMERRAYEEEALRVSMVGHVRAPSAAGSRTTPDELESEYCATL >Dexi1B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:1B:22432482:22433777:-1 gene:Dexi1B01G0015910 transcript:Dexi1B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDSINFDATEFVEDLWHFLDPIPLPVDDFTEFVSNDLKSRNYPMPIRLEGGMRLINNFEEKFVEDTWSKLSKKVASNISQSVVSLASFKGKLMATSGIVTEKESNLDCKDLMISTCKITKAGIGGPLIDFGGNFVGMNFYGRKETHYLPKSTVLEWLRRFERYGFHIFF >Dexi1A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:1A:5469216:5469416:1 gene:Dexi1A01G0007110 transcript:Dexi1A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPSRLDVRVVVAVLFMLLCGYALSTAEGARPLHSHGSGGGFSTANLPVFAVARAGPSRRGAGH >Dexi2A01G0023650.1:cds pep primary_assembly:Fonio_CM05836:2A:35350520:35353808:1 gene:Dexi2A01G0023650 transcript:Dexi2A01G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNDVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMRRELFACSLTVVNSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCNKYEKIVGKPFSISDAEFFVFHSPYNKLVQKSFARLYYNDFIRNCSSIDDDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYSKNESLDGQRIVMFSYGSGLTSTMFSLRLNNGQHPFSLSNIASVLDVTEKLQSRHETLPEKFVDTLKLMEHRYGAKDFETNRDTSLLPPGTFYLTHVDSMYRRFYDQKPAEETPGKAKCCNGFANGH >Dexi8B01G0008880.1:cds pep primary_assembly:Fonio_CM05836:8B:14745475:14757698:-1 gene:Dexi8B01G0008880 transcript:Dexi8B01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEQQPRQQPAPPFAAQNPTAQGPPGALPGAFANLQISRGAAPPPGAPPRGLTPQQAPPAFAARPGAPPPAARPAFPGSPPAPPFVRAPTATAAPSAAPPFGGPPGPVLQQRPPFSGPPGAAPQQASPFGGSPGVASRAPTPFGGPPSAASQAPPSFGGPPAVASQAPPTLGGPPTATAQASLPFGGPPVAASQAPPFGGPHGAASQFGGPHGAASQPPPFGAPTAVASQPAPPIFGGSRPAFPGQPGPMTAASPQSMPLNFGAPQQPPPPFAGQTQFGAPRPGGQPPFPAQPAPAQQLPFMGPPRANAPAFGPPSWQTQGAGSGAMQPPMGMPGIPGMQQNTLGPPGTPTMPYSPHAGTQVSTPSKIDPTQIPRPMTEGSVIIYETRQGGQATIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLALMVQPFALPHPSEEPIQVRDPMPAVYFFLIDVSMNSIQTGATAAACSAIAQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPNMFENNRAGFLAIKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVTTGDKVCVDVFLTTQAYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDCDKTVMVTFKHDDKLQENTECGFQADDDSLIPSPLMLNSENVQEDGLVLEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGVFSYVEFLVHVHRQIQSKMT >Dexi4A01G0002620.1:cds pep primary_assembly:Fonio_CM05836:4A:1789554:1789966:-1 gene:Dexi4A01G0002620 transcript:Dexi4A01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTAANRGDVRQDMEARWDGGRGSRLDNDDAREGDGSADEAACDFGAMAAGYGGEVRRRTGQDTEAKCDDERRRGATRWATTDGGVSRRGGRRRTAEWSDAVGERRRL >Dexi6B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:6B:22956069:22969416:1 gene:Dexi6B01G0015660 transcript:Dexi6B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLHGGHTDDSAAAMAPNSSWERIPADTFIEMLSKARMLGSVVPTEGSLLIGKYDDCHSLQTFAYAETTEPLAFYGGNDRDIGDNEEWDWRFDAGERHVTWDFEFGTVAGDEAAPPETLTTADELLEKGKIRPLKPQLMKTGDEPKVRPLKPPPVLLDGGSVASSPRGAGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPLGGKEEANGGGGVNAPGSPAKKVAMRPATSRSGAGWRRWRFSDLLLFRSSSDGGHASKQEHIFKPSEQLDAAVNKKVIAQPGMMKMIRANGKGDDTSKTKKQHANRSAAAAAESVAGCARLSPLQRLAKGLGAYPWHIGRAMAAPASKGLIIRVVSQESAAAMGDRWDRILADAFMEILRRLPPSPRRRLRLVCRHWRSIIDDLTPRTQARAMVLAFVSDDDGDRPRAYVLDDLMKHGASGRELALPHGVTAMVGTCNGLLCLRRWRGDLAVVNPVTGEKLAVPPSTLPTEHGELAACSFAYHPATGLYKIVHVSCYGGAVKVLTLGDTSWRVGLSPVPVGTSCVQSFGLVSVGGATYWVTTVGSSSHSVMSLDLKDERVALVAKLPVAIERWEESSWHLATDLRGKLFVCSYEMKVKESRTLNGIQTKVYGCVQMWMLKKRGRGLRPEWVVWHKVVEPEHEPFEGVAWPHAAHGQHLLTTRRAKGADRVSLHACRLSRMRKKHGGVVRTEGSPSIGLYDDCDSLRTFAYVETMEPLALYGGNDCDIGDSEEWDWRFDGGEQRWKLTHAEESAAAAMSDRWDRLPADIFLEILRRLPPSPRQRLRLVCRHWRSVIDDRTPATQARAMVLAFVNDGRGGRRAHVLDDLTKHGAGRGRELKLPRGAGEQGVSMVGTCNGLLCLRRWRGDFVVVNPVTGEKLAVPPPSMSPEVSMGTTTAAYSLVYHPATWEYKIMHVSCFGAVKVFTLGVGHGGRCRCPSSGIATSRRTRLCLASVGGVAYWVAADFHSVMSLDLKDERVVFVAKLPVRVGLVDLSWHLTTDLHGRLIFVICSNELKRGKTLKRTKMWMLDDGRRNKTRPEWVLRCKLVESEQELPHGIAWPHVTHGEHILTTRQMGWMYRARKKRGRVVRVEGSPSIAMYDNCYSLRTFAYVETTEPLALYGGNDCNISNSEGWDWRFDDGERRLANLSIPPFLPPTVFYHHHSSKAPTSLFSLLLELLCPPAVLLAMLECRAPLHHGAANASLQTSSPRGRNSSPMVAPGVAPPCRQVLLDHRIDDLRLPRSAAMDFGEEPQRGGDRVSINRRHFGAVRGIYSASIVTKFEAGASFVFGSWFCIANQEGELQHQLRDVVAAPASPRAQTTPRGSRKISNSDTISGSYPTRRSTWRPKQIQARADHVNSTPVKGQDQATCPRLPGGLRITSVSRQGSTIRTVTATLRVPRNPGSSSRGTKTSPRGSCAPQFPLGLTNSAAIYQKHLKKKVLQPRQAISDLVMTSTPSGVIVHWPDMDPEVALLEANVPSTVRDILPLLPFQEGRELPVAMSNRKTGPNNPGRQSCVILNDHSDEEVVSDDAPTEEGETDADRELRIERNRNRALRRVPNYPEINLAKARLEAAAVMVDRLDGGRSASKSKSSSRHQAPSARRQSSHYGSSAGQTKDKTRPRTPRSQRREEPRPARSHITPDDARNDIIRIREGRATSHVADSVGRCDAPNPDALPCYTRAIRVSSFPRKFKPPGIANFDGKQDPNIWLRRYSSAIEASGGDDISKMLYFPVAMEQGPLTWLESLHPYSIDSWHALKKAFVSNYQGSFERPGSKYELRACKQKPDESLRDYNRRFFAIKASCVPIPDSEVIDYFQEGMTDRSLFRDFGHNRPRDLEEFRALVSNWMDTDDQERERYGKRPHNPGRKNQEDNRDQPRDSFQRNGNNPRKRPNNTVATVQTVHAAKSPQQRREEFNKLLKKRCPYHPDSKHTMGECTLLRETFSTPNKKQKSEGDRDERHDKGDSGFPDITNTVNVIFGGMAVSDTSRNRKNARREAYAAEPAVDQWAEITSPGRYPLVLETVVANSRLTKVLIDGGSGLNLIFAKTLKSMGLDTSTLQPADTPFYGIVPGKAAIPLGQITLPVTYGTASNYRTEFIKFEVADFETSYHAILGRPALAKFIAIPHYTYLVLKMPGPHGVLSLRGDIKRSYLCDKEAVEYAVRAASTIDRQELQPLAATVVEEDGDAPTQKKTRAIKPVEKESALIDFLRAQVDIFATKPSDMPGHKLDLNESAKPKKQRLRRFATERREAIKKELAKLLAAGFIKEVFYPDWLANPVLVRKKNSNEWRMCVDYTDLNKHCKKDPFGLPRIDQVIDSTAGCTLLCFLDCYSGYHQISLNEEDQIKTSFITPYDAYCYTTMPFGLKNAGATYQRAIQGCLQDQLHRNVEAYVDDVVVHTRNPKDLIADLTKTFDNLRKWRWKLNPAKCVFGVPSGKLLGFIISERGIEANPEKIATIMHMEPPRTVKDVMKLTGCMAALNRFISKLGERGTEFFKLLKKQDRFQWTQEAQVAFDKLKLFLTTPPVLTAPLPGEDLLLYISATTNVVSATIVVERDEEGHLQKIQRPVYFVSEVLSDSKSKYPQVKKILYAVLMTSRKLRHYFDTYKIIVVTGYPIGEILHNQDATGRIAKWAVELGTYSIEFRSRTAMKSQVLTDFISEWTEHNLAVVTTKPEHWIMYFDGSLKLEGGGAGVLLISPRGDQLKYVLQIQFAVTNNAAEYEALLHGLKMAITLSIKHMDAYCEEVRKLEKHFLGIEFHHVERDYNVAADVLSKLGSSRAEVPSGVFVNELSKPSISAAAISNDTTSVPEVMLIDATWSAPIVDYILHDKLPAEKAEAQQVARRSKSYIIIGDTLYRRGARSGALMKCVSQQEGVNILMEIHAGECGNHAASRTIVGKAFRAGFYWPTALHDVEEIPAHKIKMIPPSWPFACWGLDMIGKLPRAPGGFEYCFFWDYCEDRSIEVSYASVAHPRANGQVERANGMLLDGLKARMERTLKKAEGRWMKELFPVVWGLRSQPSKATGQSPFFLVYGSEAVLPVDVMHGAPRVEEFQEAMADEQRMLEIDTAEEVRLAALLRNAAYLQGLRRFHDKNVKTRSFQIGDLVLRRIQNTVGHSKLTSPWDGPFIISKVLKPGTYRLQTEDGVELPNPWNIEHLRKFYA >Dexi4B01G0007350.1:cds pep primary_assembly:Fonio_CM05836:4B:5193934:5196087:1 gene:Dexi4B01G0007350 transcript:Dexi4B01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPRRLFSLLAVAAIAAAAALPAAVLSEATTTMPVSEALVQLKKSFTNSSSLSSWLITDGDNNKSPCSPGSHVWHGVVCSSGEVTGLRLNDLELGGTIDVNALSSFPRLRSVSFAGNNFTGPLPAFHQLKALKSMYLSNNHFSGAIPDDFFGNLSHLKKLWLNGNNLNGTIPASITQATSLLELHLDRNAFSGELPPSPPPALKSLNVSANDLEGVVSMSFRKFDASRFEGNEYLCFVPTHLKPCKRDQTVDTSSKRAVMVLSTLLVSAVVLVIFLRVCAGGKSTSSSRVRSLDVDDMEGLDEKPPVHMAKQTSAPEKKRSASWLGRRAAGSSLGGLGGHRRAASAAKVDDLSSRNAGDLIMVNESKGTFGLTDLMKAAAEVIAGGGVGSAYKAVMASGVAVVVKRARDMNRVTTKDAFGDVMKRLGSTRHANLLPPLAYHYRTDEKLIVYEYIPKGSLLYVLHGDRGMDYAALDWPMRLKVAAGVARGAAYLHVELGNHEVPHGNLKSANVLLAPDFEPLLVDFGYSGLTNHHTSQSMFACRAPECVAGHTVSAKADVYCLGVVLLELLTGKFLAQYLHNGKGGTDLVRWATSAMAEGFERDLFDPAIMVASKYALPDMARLMKVAVDCVEADPGKRPEMREAAARVEEVVAAAMATVRERRQEEEGSSELGDEAGRSSSHAAYRITSVGERSARRGSDGYSYGIS >Dexi8B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:8B:6955244:6955830:1 gene:Dexi8B01G0006130 transcript:Dexi8B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLEEAITGDSESTKHLASHHPALLHGRTPQWNTCLHIASIHGHERFCKDVLALDTSLLAAVNSDGETPLLTAVRSGHVPLAFVLLRACHEQHMRGAILKQDEQGFNALHHAIRSGHRELALKLIATEPALSRGVNKFEESPMFLATPE >Dexi6A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:6A:27791049:27791252:1 gene:Dexi6A01G0020280 transcript:Dexi6A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCMGIALIAIPAAAAACCCCRTASPSSARSAACASMARIEETRRIGGIALAIARSLARLPASSPA >Dexi3A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:3A:15857858:15860964:-1 gene:Dexi3A01G0019970 transcript:Dexi3A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADNLPAPEQVVSMYKARNISYVRLFHPDTTVLNALRGSGIGVVLGTLNEDLQRLASDPTFAASWIATNVQPFAGDVQFRYINAGNEVVPGGDAASQVLPAMQNLESALRSAGVTGVPVTTAVATTVLGASYPPSQGAFSEAAAPVMAPIVSYLSSKGAPLLVNVYPYFAYSGSSGQVALGYALLSSATGTATTTTTVEDGGVVYTNMFDAIVDAAHAAVEKAGVQDLELVVSETGWPSGGGGDGATVENAAAYNNNVVRHVGGGTPRRPGKPVETYLFAMFNEDQKAEGVEQHFGLFQPDMTEVYHKSHICYFIPGMDGKNLGNEQQAPQKKLDAMTGSVASHDQETMKQFSGSDSGNISPVNEADVNMEAAISTEDVMRAGGFGAKDDIGSLLPTAIDSTDFEASLRDARDFEGEKEVPSHPGLGWKGEKVDDESKPSDVHQQLP >Dexi5A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:5A:1357886:1358135:-1 gene:Dexi5A01G0001900 transcript:Dexi5A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFDASRTLVACCGGGGRYNFNVTAGCGATAACADPSTAVSWDGIHLTQAAYRDIAEAWLLGPSAEPTILSLAALPF >Dexi4A01G0010770.2:cds pep primary_assembly:Fonio_CM05836:4A:8704787:8705023:-1 gene:Dexi4A01G0010770 transcript:Dexi4A01G0010770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGTPSPHPQPTLSCTYTTAYQLKKPHERARSAARDSSNWSAPNATMQGLYPPAPTALRRRDT >Dexi4A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:4A:8703859:8704783:-1 gene:Dexi4A01G0010770 transcript:Dexi4A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCHGAASWHATAPPGLHGGGRNIGSDADTASARKAPMRGVAAEVPPKLVSVVAALASGMCSCVVRYVSMFVENPTTASFSATSPPFFLAAVFLVVSSMAMAMAAASACCGGEAPRSFTVLHSHKRV >Dexi4B01G0011890.1:cds pep primary_assembly:Fonio_CM05836:4B:9477667:9486385:-1 gene:Dexi4B01G0011890 transcript:Dexi4B01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVHSLRDLRSRAVTTSERHARYGVSRDALLRRSPLLAPVSTGSAASARALRPAAGDRHDQFVGMTDQASAMAERVRRAAVGKNVGKRLGVLSIVGFAGLGKTTLAMEVCRRLETEFQRQAQVSVSQAFDGRSDVSGLLRRVLQQIVKPKAGNEKGIKEENSAGDIDKMDLDTLAKTLQDLLKDKRFDMRFAKETLDWEVRGVAWDAIRSKLPENNLDSRIMVTTRIETVAVGCSDAGEISGDNIYHIQPLNSEDSRKLFLSRAFGSKDATCPKELEDEMDKILKKCGGLPLAIVSISSLLASYKSPEHKDMWDRVCKSISYHMENNPTLEGMKQILTLSYDHLPYHLKGCMMYLSIFPEDFLINKDRLLYRWIAEGLVEEKRGMTLMEVAEAYYDELVSRSMITPAGEIISHVYGAVETCRVHDMMLEIMVSKSLEANFVSLIGGQYQGMSYDTIRCLSVHSGGQRPKEFPFKKDDSASKKPSKDSSSKKKSLKNGLEEINVQHVRSLSMFQLEGNKLLDRLDEFTLLRALDLEDCKGVKDKHMGDICRMYLLRYLSLRGTDISVLPPKVRELEHLQTLDVRATNIVALPESVIKLEKLERLFFSQKDVWETMWKPPKGLWKMKALREVGWVVLEDDAVRVAQEIGELEDLQRLSIYVNCDGSKSSGAKVLKELAQSLSKTYSLRSLDMEMPKVHRFEEGSMSKLEKLELNFHGWTEKSIVGIEHLMSLKEVQLTGKRDNPALDRALKQLKAESERRPNQFTVGVKEARPQPSSGRLFPEPTPSSSPYTPRLGRHYRTLCASTTAAAPSASRAVCFAPHPPQSRFFPNSSASAARARIDLRAAPSRLPKVRRFSPLLLPSATGLR >Dexi3A01G0025390.1:cds pep primary_assembly:Fonio_CM05836:3A:21062537:21064953:-1 gene:Dexi3A01G0025390 transcript:Dexi3A01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPAAAGDSLPVSPPSGATAPSRPLPLLTLPYLFSLLALLLFAALLLPWGPTRPSSVPASPWRAYTLQEAAAFAARAGNGTVLLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLDRINSAWPGHAVLVPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKDQPWSKQRKSNDQPGFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDKHSDESPLGRV >Dexi5B01G0019490.1:cds pep primary_assembly:Fonio_CM05836:5B:21789116:21791829:1 gene:Dexi5B01G0019490 transcript:Dexi5B01G0019490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPLVVDFPSMGAALCFNSLESLLRDSASGFLAAVSAAPAPGAADLTNFHRVFSRVLSAYPDPPLEAVWFFSALTFHDRPDDLRSLLQLLSAFAASSPGAAKPLALLAPVISELFHSDKTRRETEALVEAVLSYISICSSRPAAVSTDGASTDAGRLLPAFGELVKVWSVRHSRDRCPFQVLFPLVEEEARRELMKEGCSVEYLAGVVVAEAFLLRLCLKVQNATGASRSDLQKELRIWAVSSIPIFQNQHFFGVLLNMLMNSPLPIYSLLSADDEILVRDVLYDTLILVDYSFINKVAGVDQVDSTLLPIYLSRLIITLDAVNDARRKGDQGRAMSFINAFSTSNVPNYLIKWATCQAGFGALSKPIANTPQALIKWLVDLEDKGLKVFGDNSSWVKGRLIYDEVKNGYGNRMTHSDADLFFIDKQSVGEVMDTKGSEGEEAVEMETAGNAFMAAAQSMKVETNGIRKRKGCLNEDAAGVKFVKYKVEDSSVKDYYLSGNNGMSSGSEVENPQSDDEMEESD >Dexi5B01G0036580.1:cds pep primary_assembly:Fonio_CM05836:5B:36244300:36244415:1 gene:Dexi5B01G0036580 transcript:Dexi5B01G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQILLPLSPPWVLLKSRITGRYIRKATWI >Dexi6B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:6B:2572986:2574406:-1 gene:Dexi6B01G0003110 transcript:Dexi6B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPTTAAAARLAALAFPSPRPSSSTASAALPRAAFPSLAVAAAPLGCGRRSRSAARPRPPAAGAGGEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPANRRPRQQAPQTESASS >Dexi9A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:9A:2752756:2753467:-1 gene:Dexi9A01G0005020 transcript:Dexi9A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDRSQLGQIDPRRARFPCCIVWTPIPFITWLVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNCDERYKLIEPEGDATWDGALKKGTQEFQNRNYNLFTCNCHSFVVNNLNRLFYSDHDKWNVVNLATVMFLRGRWVSTAAVVKTFLPFVVVLSIGIFFGGTTFLIGLAAFAAAMTGWFLVGTYCIKGLIEL >DexiUA01G0011580.1:cds pep primary_assembly:Fonio_CM05836:UA:23125664:23127002:-1 gene:DexiUA01G0011580 transcript:DexiUA01G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAPAADDDHGGAAVVVVREFHEGRDRAAVERLEGACEVGPSGGKLCLFTDLLGDPLCRVRHSPPYLMLVAETAASEVVGVVRGCVKTVSCGRGNLFSKVAYLLGLRVAPSHRRRGIGRRLVSRMEEWFKLSGAEYAYVATDVSNSPSVRLFTDRCGYAKFRTPSVLVHPVFRHDLSPSSRRRVTIVNLPPRDAELLYRARFASGGGVEFFPSDIDAVLNNPLSLGTFLAVPSSFVSAAGGELELDIEAFMASPPESWAVASVWNSKDAFCLEVRGAPKLLRAAARATRLADAALSPWRVLRVPSIPDLFEPFGMHFVYGLAGDGDDAPEMARSLCRHAHNVARRGGARVVVTEVAAGDPLRAGVPHWPRLGAEDLWCIKRLADGYGDGELGDWSKAPPGNSIFVDPREF >Dexi3B01G0026760.1:cds pep primary_assembly:Fonio_CM05836:3B:22186036:22186513:1 gene:Dexi3B01G0026760 transcript:Dexi3B01G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKLKRSRAKVQVGLPRKKPREFKPAFELPEALAAEGGACWDAEGSVVKNYAAFGVVANPNLLGAHSRGTPRLVQSAPLQAPDIAAARAPVPEFEPIDPGSDLENDGYMR >Dexi3B01G0007460.1:cds pep primary_assembly:Fonio_CM05836:3B:5306161:5306550:1 gene:Dexi3B01G0007460 transcript:Dexi3B01G0007460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYCSRYTKLLVSALRRSKKRPHGSNSRERSSAAGQATPRARRSLIRGGQNRRAIRVKVVLTRVEAAQLLSLTAHGHRTAAQVVAEIMMRRQATAGSKASTTAQVNTAAPPSTTTAWRPVLESIPEE >Dexi7B01G0011420.1:cds pep primary_assembly:Fonio_CM05836:7B:18455290:18456030:-1 gene:Dexi7B01G0011420 transcript:Dexi7B01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVLKSCVAAMRSASLTLTIGFWKSETGANRLCAARSLSAHQLPSSTAAAPDSLPPRLWRRRPPLPFPARSSDAAAPKTPAAMTMPRPALPSPWPQREETGRPQRPEFPEKESLPKFAPSWGGIWPSSLFPDRSSDLSRSRRAREEGIWPESWLPESLSELRKWQLASSAGSGPVSWLEERSRVTRKGSQSQRPGGIGPVRALSERSTVVAARQYWRAEGREPWRPKPERESEMTRAS >Dexi9A01G0028260.1:cds pep primary_assembly:Fonio_CM05836:9A:32886557:32886890:1 gene:Dexi9A01G0028260 transcript:Dexi9A01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRGGGAQRGGGIGGAVGEDAASRLCMGGAAVKSATARGGVSFGSCGQRHGSSTGTRTSYFSFPSIRSSASSDISFARSAGVRRLSTPSVTWLVYKGAFLLGIAC >Dexi9B01G0029030.1:cds pep primary_assembly:Fonio_CM05836:9B:31597745:31600217:1 gene:Dexi9B01G0029030 transcript:Dexi9B01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYTLSCFLLGTLALALAGRPDAGSLDAATIAVQELDRVLSLPGQPSYSPALRQYSGYVTTDEYLGKALFYWFLEAMDKPDEKPLVLWLNGGPGCSSIGSGQSQELGPFLVKKDVPELEVNPWFQRFPQHKAKEFYIAGESYAGHFVPQLANLILEENKKASEENYINFKGILIGNPYMDGDTDLWGIVDSAWHHAIISDNLYSDFQKNCNFSLVDLSPECIADLVQYNALNEIIDIYSLYTDRCELGYPDSNTSFSVQIGRTSNGRLNLMKMPMGYDPCTQTYATEYFNRKDVQKALHADHGGASHPFSLCRNSISAAWKDSDQTVVPIVKKLVEAGLRIWIFSGDTDARIPTTSTRYTLKKLGLLIKEDWSPWFHHKQVGGWTVVYDGLTFVTVRGAGHMVPLTKPEQALELFKHFLANTNLPSKPF >Dexi9B01G0045240.1:cds pep primary_assembly:Fonio_CM05836:9B:44798440:44799143:1 gene:Dexi9B01G0045240 transcript:Dexi9B01G0045240.1 gene_biotype:protein_coding transcript_biotype:protein_coding AICPKWWEKDMKDSMKNIKSQKDFDEQLLITGDKFTVVRFFSPSCGACKALHSKVHQFARMHPELQFLMVNYKEQREICKKLNVDVLPLFRFYKGAEGCIYRFSCTISTIYKLKDALKRHGVQTESLATDKGSEESEPKILAPPTDIPNASDASPDMDGNDDPVEPNND >Dexi1B01G0030030.1:cds pep primary_assembly:Fonio_CM05836:1B:34129401:34131068:1 gene:Dexi1B01G0030030 transcript:Dexi1B01G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGDSSPHSAAATDDALHHDGSESSAATAAALAAPHAPPPPAKVRLMVSYGGRIQPRPHDNQLAYVSGETKILSLERPLRFADFAARLAALAGTNGDFCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPSTAGSGTGGGSSRGGSTLRLRVFLFPVMLPPPPPPPQPAGLLQPKPAESHWFVEALNNVPPPKQETSPSPSPVPAPTQQQSSPPQQNKQESVLAQQSSPPQSKQEAVFVHQPPPQQTTLVQMPPPPPHPHMVLAASPDYLFGLDNGFVPPPAVKVKDPAGDPPTARENVPVEIPAKNDDRHPNPANPAPDHVAVSPVVSPAEFQRQIQELEKLQVAADNANHQPPQTPAPAPAPAPAPAPAALPRNGSDDSLTCAYPPTTATPPANAEYYLPKFPEKPPVPPPSSAPPATAYLQVQGRYASVAPGSGADHAPVFFIPAPHGYFAATASPGATSFPAVYAVAAPNASSANGSAPPSPAVSNATAYAPAPQVAYDSNGRAIYYTSMLPQYPSAVNGMSAAGAVLGTEPAKPVAVKPTVS >Dexi3A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:3A:6437015:6437338:-1 gene:Dexi3A01G0009240 transcript:Dexi3A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPQSASSSNSSGERLPTLGHPRLEHQLLVVASSFSMELASLPRWISAATGWPRCPAAQLLPTPTRTREMEAAPRELSKEAAAASTQAEGARERDGDP >Dexi7A01G0018920.1:cds pep primary_assembly:Fonio_CM05836:7A:28204107:28204787:-1 gene:Dexi7A01G0018920 transcript:Dexi7A01G0018920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSAAERKGPLIRSLDYLCVRRFRLRRLLAFLWSHSYNGAFESLSNETRVLFRVEHLQHLVRLGLWSDAMNYVFRFVPAFDLPVGGQFLVTFINFLWEIAAYDPTDPSTFPEYDPYEPCEDGRQGGVSAGGVKLAQIIRSVCSKQAWSASIFKLSA >Dexi6B01G0015480.1:cds pep primary_assembly:Fonio_CM05836:6B:22822363:22823244:-1 gene:Dexi6B01G0015480 transcript:Dexi6B01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLLPAVSPSPPPPPRPPPPLPHLDTTLTLALALPPPPFPYAISPRPLQARRPRQDGVASSSSPSAFARVRSSPTGDPPPCTECDKPFKSWKALFGHMRCHPERQWRGIKRPPQFQPDQHFTPQERDVAASLLMLAGPIPTPPPPPLGVGKGKKKTKSIALAAASSSNAKGMSPCSGASSSTTTTSPPRRCDDDGHKCSVCARGFATGQALGGHKRCHWEMACGERMEAAAAAHKRCRTLATSSEVAAAAAAMTVGMDLNLPPPGMTPLLLMSSDEDGSLNVSLELKLGY >Dexi9B01G0030560.1:cds pep primary_assembly:Fonio_CM05836:9B:33055103:33055753:1 gene:Dexi9B01G0030560 transcript:Dexi9B01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFQALLDPTALSLAPPAPGLKKEDYLAICLAALAGTRGTSLSAAGFGKAVPAPPPQQEEEELRFRCAVCGKAFASYQALGGHKSSHRKPPTPEQHAAAVAAAAAAADSEETTTSSGGAAATGGGPHRCTICRKGFATGQALGGHKRCHYWDGSSVSVSLSSASASGTGSSAVTVMRNFDLNLMPVPESSGSGMRRWVEEEEVQSPLPIKKRRI >Dexi6A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:6A:24536139:24536816:-1 gene:Dexi6A01G0016650 transcript:Dexi6A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAAAALTLWWLTGCAGAVWLELATTATKCLSEEIQSNVVVMADYSILFEEHPVRPYVSAKVTSPYGYVLHHAEKVTHGQFAFTTAESGIYLACFWADTLDRGMVINLNLDWRIGIAAKDWDSVAKKEKIDGVALELVKLEAAARAINGNLLYLVL >Dexi8B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:8B:20455490:20456389:1 gene:Dexi8B01G0011400 transcript:Dexi8B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASYQIYRFNGSTLLTNGVITGGSDEWAIYGGTGVFAMASGVIKRKYVEPRNDGNTDEFAMDVFVPVMVPSGDSQTKQGSVTKVGLWGGKGGSAQDITEPPKRLQSVTIRSDIAIDSIEFTYTDESGQRHTAGRWGGPGGYPHTIDLADSEYVTEISGTYGTFQGVTVLTSFKIVTNERSWGPWANENGKHFTFSVPTSTSVVGFYGSGGTFLDAIGVYLHQL >Dexi4B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:4B:4160746:4161588:-1 gene:Dexi4B01G0005900 transcript:Dexi4B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDDTSGSGSPSPWADLPPELVVIVLRRLPTLADRVHLRAVCQAWRREPLPVPFPWLRLRNGTFLSVPDGEIHHFPSLGGDDDVFPTCGHGVFKLVQLGPSPLSPPAPRPTDSLYAAVGPSDISIFRPGPAAETARPRPKPKPTITTITITPKSERLYDAAFLDGKLYAISYKQLYIYDMADAIASCKNSNGKPPEIPPRTCIANLVDTRWTRSHVTIGDETFSCRDWSYLVESGGRLLRVRRLIVYPSTGPQLTMMERTGRTLWFDVFEADLSARFC >Dexi7A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:7A:22825622:22827371:1 gene:Dexi7A01G0012630 transcript:Dexi7A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTVPMTLMLFAPFSCVKRTNNWCQQGTTASLHGKYNLQGIVLEGRGTAKCVTNGNMSSSTENSNLPHSTT >Dexi5A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:5A:21879639:21896546:1 gene:Dexi5A01G0018360 transcript:Dexi5A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTCLSSRKRFSFKTFSQRVEEIDIDVYRSLHSVKAEPSSGSSFFLDALIEWRELNTAEDFISFYEEMIPLVQTLPQIVLHREKLFSALLLRVNMSARLSLEPILMLIAALSRDILEDFLPFLGRYSNAILALLNDGGDRDPEILEQVFTSWSYIMMYLQKYLVKDIIPILRITAALRYFPKDYVREFMAESVSFLLRNAPSNQLTQGLMKVLLDAAKKSSPVRIDGAISLLWHVMKGTSAKLHSRAGKVLKFLLSKSAFTTVHDKYPDGSSTIHEVMTGLIQRLCDEVDPKELSVIYTSLFEEINICLKDGCLDHLKCLIDFLAFALQKKQSDVLDEAKMIQLVELLVSRYVLPGSGIVQASSSEFLGSILDFLLCVLDVPIISGNLSIVSPFYAQVFELTNLSVIVFIKKLLAKGTQIIQHFESQILRAMDNFLASSPEEVLFILLNFFKGSKKKIILHSSGGNHLDSIEKLCKFFESKFSFWIRFLDDAVNTRDQTNNQVSEKDAAILWGSICCYPNINSVHQDSLSLLKKLICNFDRLLEVGEEDINGLTSTTWRSLLGAALSSYHELLLVNTNRYSELNFFISLAKSHSTCPQVLSAVAEYLDSLQGVASLETTKEFETQNLLDLFSIFCTNLSNPNKDVRVLTLRILSYFVKMDQRLGTNEERPHKRQRTEDSGDDTVAKYANVLDTLLTVESTPISVSTSRKISIFVSRIQMSLSSKMVHDDYIPSLLHGLIGILYNRFSDLWPPTLDCLAVLIRKHKELVWSQFVQFVATHQSKGPTMKNLEKLDAAAQPQSINMKLKDGWGISYFMFGIAAILDCFSLFLATDFDCTPVETMATLLLQSLQRIHDVAESRSRHLVPLFLKFMGYDDVSVFSADSYMPEKCKGKQWKAILKEWLNLMKLMHNARSLYQSKVLQEVLTKRVLDDSDPDIQAKALDCLLNWKDEYLTPYSQNLKNLIDIKTLREEMTTWAVSHDSFSILKDHRSQVVPLVIRVLTPKVRKLKLLGSRKASVSIKQLKDLRSLCIKIICSALTHYESHDFGENFWNIFFSSVKPLTDCFTQEASSSEKPSSLFACFMVMSRSPTLAPLLVSNNLVPAIFCILKVKTASASITTYALEFIENLLRLDIDLEQQDDHSVKNIIVPHMDVLIHSLHDFVNHRKELNRKSGTWLGQRELRLFKLLLNYISDPSAAENFIDLILPFFSKKDLNTDECLEALRVVRGIVPNLRCKAGLIRDLNAVSTSELGELDYDTRLTAYDKDITMKVFVPLFFNMFSDVKAGKGEQVRDVCLDTLSAVAAKVQWEHYRTILTRCFRELNLKPDKQKIILRLICSVLDAFHFMKPANDVLGSSDVTSEDTDSSLTFSLTTVSSDKQDYLRKVVFPQVQKLLGADPEKVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRHEARSALAASLKELGIGYLQFVVEILRAILKRGFELHVLGYTLHYLLSKNITADMNGRLDYCLEDLLAVVESDLFGDVAEQKEVEKIASKMKETKKRMSFETLKLIAQCITFRQHLLKKLISPVSSHLQKQLTPKLKTKLEMMLHNIALGIECNPSTDTSNLFTIVYWLIKDTTTGSESESKENTRSGSGQGNTSVLKFPGLGVSGSQNSYILIKFALDLLRNRLKSIKLDKEDEQLLTMLDPFVDLLGECLNSKYESVLSVAFRCLALLVKLPLPSLRDNANVIKDVLMEIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDNQLQMLIHTPMFVDLQTNPSPVALSLLKAIVRRKLVSHEIYDIVVKIGELMVTTLTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLANLSYEHPSGREAVLEMLHDILTRFPQRIIDDQGLSDETVLPLWKEAYHSVAMMERLLLRFPELYFEQNMEMQDTQIKIVFNCFRMISSALGPEESLTYADHFLAPLYKVSEGFAGKVVSDEVKQLAEGVQGKLRELIGPEKFVGVYRGIQKGLKHKRDSRKQAQKIIAAVDPERHAKRKKRISDKHREHKRRKIMAMKMGRWMR >Dexi5B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:5B:3986460:3987055:1 gene:Dexi5B01G0005920 transcript:Dexi5B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALTSRQLHHLLLNLFVHVFFLTIQLSLSLGTYRNETFVPPPPSPAPCRPDQASALLHLGRSFSATNDSTCTLASWRASSDCCSWAGVTCTAADGRVTGLDLGGCGLESAGGLHPALFNLTSLRYLDLSDNSFGESELPAVGFERLTELTHLDLSYTDFIGKIPRGIRRLCKLERQRLFLASG >Dexi6A01G0013820.1:cds pep primary_assembly:Fonio_CM05836:6A:21165366:21167159:1 gene:Dexi6A01G0013820 transcript:Dexi6A01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVDSYTIRGTNKVVRGNFPRPCSGRHCVWACIHVLRRRNLMLLQCPFREVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYCKCEMPYNPDDLMVQCDACKDWY >Dexi9B01G0038010.1:cds pep primary_assembly:Fonio_CM05836:9B:39241507:39247409:1 gene:Dexi9B01G0038010 transcript:Dexi9B01G0038010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSSSPQPPPSSHRRRRRPQPATPTPNASPKPKPRAKALPLLSDVGVGRDPAAIKYYAHIASNLAGAGRLRDFLLAAEGLRAAAGDDPSFAARISASLLSRGVAAAVRDHGLAHVLEFLRDAERVRVPAAQMLDADASDAVAGACRMLLEERRMTEFVEVVEALARYRFYVQGIVNPMDVLKIFVKKRDPDMAISSFLHSYITRVTGMQGLLADKITPNTYVFNSLMNVNAHSLSYNLSVYKHMQNLGVAPDLTSYNILLKTCSNAREFNLAQEIYEDMKKKESDGILKLDVFTYSTMMKVFADAKMWKMASNIKEDMQEGGVRLNLVTWSSLINAYANSGLVDRAIEILEEMIRDGCQPTAPCFNIILTACVKSCQYDRAFRLFYSWKKSGIKISLSPEQKRCLDGAFTFCNEYPSNGRTILVVPFRPTVTTYNILMKACGTNAERAKSVMNEMRRNGLCPDLISWSILIDIHGTSQNRDGAVQALRRMQRIGMKLNVSAYTVAIKACVANKDLKLALHLFDEMKTHQLKPNLVTYKTLLTARSNYGSLQEVQQCLAIYQEMRKTGYQANDYYLKELIVEWCEGVLSSGSDDRDFYNLDLQPKRKESFNLFLEKVVTVLQKDVDQNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRAIQDDVVIITGHENTSRAEVETSAIDVEHAIVTVLTDDLGLEVLIGPGSCPPLSAKPKAPTKSRSNEQVPKKPTRPQGVIKIPINSLNHWLKKKALRVVH >Dexi6A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:6A:26122996:26123936:-1 gene:Dexi6A01G0018420 transcript:Dexi6A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLFSQCPNPPVALSPTSFIAPPPSSLSPAFTSFLGSPQSLMPPASTSTGPKPDSSAATKFMRMSSQLRSRSTTARKAASSGEHESVPSTMNLVSTMEYLPGCAAVYAHLPRARSRPREEPDEGPRHRRLLLLLPGGEREGEGIGVGEHVVVGDGDGGGADVGGAREEAGVEGRRAGAAERDAGREPAAAAEEDAVRRRGVAPEHDDGEDQRVRSVGPRDGAEQREAPLRVPAHEHREPVRAVRGRAAGAVRLCAGCIHLRATVKYVRVCQRHEEEEEVETVVVARARRHGRRRDLLALEASA >Dexi9A01G0021860.1:cds pep primary_assembly:Fonio_CM05836:9A:16820740:16822916:-1 gene:Dexi9A01G0021860 transcript:Dexi9A01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTDKVVLGCIAFGIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMSPEDAYKAIDLPILGLLFGTMVVSIFLERADMFKYLGSALAWRSRGSKDLLFRVCLVSAVASALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSGITFGQFLIGVFPAMIVGIIANTCILLCYFWKYLSVPEKDQERGGAAGAGGSEVVVADDEVTSHRFTPARMSHASSINGVDADCISEPIRRTESLNRADTLSMRSRSYNSEGDIQVAIRSMRASSMSQEMVEVSTVVGDRRDGDGVGPRKITRTTSHQRSVIIEDAPETDANGDAGEKGKDGGGGEVKEKRWKVLVWKSAVYLTTLGMLVALLMGLNMSWSAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNALWELVEPHSRIDSAKGTALLAVVILVLSNVASNVPTVLLLGSRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVASY >Dexi9B01G0043490.1:cds pep primary_assembly:Fonio_CM05836:9B:43455298:43457835:-1 gene:Dexi9B01G0043490 transcript:Dexi9B01G0043490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETTPTTTAAQQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAEAPAADEDGGSAAGGPPPAAPAPPQAPVPLRQQPPPPAHHVEQRNEPEASAPEPAQFAPPQPSLAPPVPVLSHTSATAANVSASSSCSSVAGTSQSLIGGMFAPSSMAPAPQFPDLAGGGVGRSERAIPTKPPSLCLATDASSSIFSAPVASERQQFAPAPPPSPSPSAHMSATALLQKAAQMGATSSSSSFLRGLGLDVSSSSPGASSSGQQHHHEAMQVSLPETSLQQWPPRLEHQEPTAPMLSAGLGLGLPYDSTGAPVCLPELMMGQSSLFGGKPATLDFLGLGMSPTGASGSRGLPAFIQPIGGGVGMAGTGAGAAETFVAGRGAQAAPWERNPSTSPIL >Dexi2A01G0032370.1:cds pep primary_assembly:Fonio_CM05836:2A:42867261:42870142:1 gene:Dexi2A01G0032370 transcript:Dexi2A01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVVAVVLLLSSTLAASQWCVCRQDATQAAMQKTIDYACGAGADCNAIHENGQCYNPNNVPAHCSWAANSYYQNNKAKGATCDFTGTAALTTSDPSSSGCSYPTSASAAGTGTMTPTTAGTMGGTPGTLTPGTGTTGTGMGTGTTAGTTGTGFGLGPGTGAGMDTAAASLLPRSGVAAVLTVLLSSIAFA >Dexi9B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:9B:2693989:2699248:1 gene:Dexi9B01G0004700 transcript:Dexi9B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSINPESKSRATNREVLNELIKLHGRTALGGKLPAYDGRKSLYTAGALPFESEEFVVTLVDPEKKEKERAEREYKITIRIAGRTDMYHLHQFLRGRQRDMPQETIQVLDVVLRESPSWKYINDTLVFFLKGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQPDQIRRYKITGITPIPMSQLIFPIDEMGTRQTVVQYFWDKYNYRLKYGSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDKFAQEFGIKVTNDLVSVPARVLPPPMLRYHDSGREKTCAPSVGQWNMINKKMINGGTIDNWTCLNFSRMRPEEVQRFCMDLTHMCNATGMSVNPRPFVEVKSAAPNHIENALRDVHRRATQMLAQQGTGNQLQLLIVILPDVSGSYGKIKRVCETEIGIKCHCSLFLLLKQVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDLTDKSGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKDNVKDVMFYC >Dexi3A01G0032900.1:cds pep primary_assembly:Fonio_CM05836:3A:37746408:37746762:-1 gene:Dexi3A01G0032900 transcript:Dexi3A01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACCGGSPMRYSAMYCGDDVPAQWTGAVTPPAMEEEASRRRRVRSVARRKRSPTVQPSLASTWVSSRWRATQVVMKVREAHRSVSKRKVRTED >Dexi2A01G0021530.1:cds pep primary_assembly:Fonio_CM05836:2A:33604988:33607267:-1 gene:Dexi2A01G0021530 transcript:Dexi2A01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRALSALLLAALVCNVAYAKFGRNSFPEGFIFGTGSASYQYEGAYKEGGKGPSEDVKLLKDMNMDSFRFSIAWTRILPNGSLSGGVNKEGVAFYNNLINEPFVTIFHWDTPQALESKYKGFLSENIIKDYVDFADVCFREFGDRVKFWTTFNEPWTYASQGYGTGAHAPGRCSPFISKTCTPGDSGREPYIVTHHVLLAHAKAVRLYNARYKPSQHGQIGLTAVSHWFVPNTDSPADVKAVKRSLDFMYGWFLDPIVHGEYPGTMRAYLGDRLPTFTPEEVSLVKGSYDFIGVNYYTTYSTSNKPPANGLELSYDGDIRANTSGFRDGMLVGEPEFVPIFFNSPKGLRELLLYTAREYNNPVIYVTENGIAEENSNRIPLKNALKDGHRIRFHSQHLQFVKHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESSYWIEKFLKRDKY >Dexi7B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:7B:22974935:22976396:1 gene:Dexi7B01G0016960 transcript:Dexi7B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRALSCYSLLIAALLFVVPSSAVVPRAFFIFGDSLVDAGNNDYLVTLSKANAPPYGVDFAFSSGKPTGRFTNGMTIADIMGEALGQKSLAPPYLAPNSSAAMTNSGINYGSGSSGIFDDTGSFYIGRIPLRQQINYFEKTKAQILETMGEEAANDFIKKALFVVAVGSNDILEYLSPSRLNDLGARKFVVSDVGPLGCIPYVRALEFMPAGECSASANRVTEGYNKKLKRMVEKMNQEMGPESKFVYTSTYEIVMEIIQNYRQHGFDNALDPCCGGSFPPFLCIGTANSSSSLCSDRSKYVFWDAFHPTEAANLIVAGKLVDGDAAAASPINVRELFEYEHK >DexiUA01G0009740.1:cds pep primary_assembly:Fonio_CM05836:UA:19139023:19141299:-1 gene:DexiUA01G0009740 transcript:DexiUA01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLKNAGATYQRAIQGCLQDQLHRNVKAYVDDVVIKTRNPEDLIADLTETFDNLRKWLWKLNPAKCLLGFIVSERGIEANPKKIATLMDMKPPRTVKDVMKLTGCMAALNRFISRLREHETEFFKLLKKQDRFQWTQEAQDAFDKLKFFLTTPPVLIAPLPGEDLLLYISATTNVVSAAIVVERDEEGHLQKIKRHVYFVSEVLSDSKSSIEFRSRTAMKSQVLTDFISEWTEHNLPHWIMYFDGSLGLEGGGAGVLLISPRGDQLKYVLQIQFAVSNNAAEYEALLHGLKMAITLSFKRLLVYGDSMLVIKQVNKDWNRNHENMDAYYEEVRKLEKHFLGIEFHHLLEFRSIY >Dexi3A01G0021710.1:cds pep primary_assembly:Fonio_CM05836:3A:17314456:17316407:1 gene:Dexi3A01G0021710 transcript:Dexi3A01G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSEPLLVQETCPSVKNILLLDSEGKRLAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVDRLLKNMVDKRTALENLDLILLCLDEIVDGGIVLETDGREIAEKVTGHGLESASSAEQTLVNALTQAREHFTKSLLM >Dexi9B01G0031880.1:cds pep primary_assembly:Fonio_CM05836:9B:34209599:34214891:1 gene:Dexi9B01G0031880 transcript:Dexi9B01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGFPADTPYADFHHRFQELGLEKGWGDRAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESQKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >Dexi8B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:8B:4846635:4855965:1 gene:Dexi8B01G0005030 transcript:Dexi8B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNSSGPVGPSGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADTSRTGPLDFHVISSSSHERFADLKAKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVRILCSGFEKAEKAKIEELVTAMGGLLQSKSSMDVNFVIVKDVMSPKYRYALNSMKKPVVTINWLEQCWIEHRVVPHEPYRILPFAGLNICVTKLNPACQDENSYLVCQSSSACNALNTLPKDQHNPEISSASASFQPVSAISVDDSVSTSQYPPASSGDASKISNNDIVGAPDVQEANEMQVDSHVADDSEAENDDLYLSNCRISLVGFEEKELLRLLMMIRRGGGSRSILLNEKLTHIILGAPSEEEKKEVRRLAAWGVINVVKVTWLEDCNRAKKEVKVSPTHVATELLLKEFSHVAMEKSADTRETKIAKSSCGIFHVPTVNDSHDKQLEKDMSSERKPARGKSENSMNKTRSANRSANSSLHNGVVNISKSHPRSQGTSAVDSGRSKSNVFKGRHFVFSNSFSHDKRPEVVDWIREGGGIVVDDMQSTTVDYTIECHGQNSMPCDFSHSTVVSTQWIRSCLEEGCLQDVGSHPIFSPLRCRVPFPGFENYRFCISLSQYEEKERFLLKNLCYALGAKFTEKAYKGVTHLICKFASGPKYEAYYKRRTPIITAEWLFECVKQDTIVAFDDFQPKPLTSRDKEVNLCTVSVTSANEETTAPAVSKRRLVSVSGKADDTSRNIGKTEKHLESVSVPDVADAIEVLSNKIFEPDNSAIVQDQKDTHSFVISRSWLNMQQKQDDTPVTKVQSLNSPPAPSPAPTTYYPFSETQTESQVIGYEEDLTGRQKIIDRVRSQSINVTPSTEIP >Dexi9B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:9B:1563534:1565698:1 gene:Dexi9B01G0002810 transcript:Dexi9B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPDTRGSSCLRDGSPTGPWVQYKAATRHWCWTAGGKVELLRTVARVESWGKEVGEAYLGGLCQELISSSSTEVKALYVQWHAKAAPGPVCVDGSAPAPAPIPAMVAENPAVADQHGGSWPSCNKHGKPCSIETCWDRQDPGRRFYRCPLFKDPCMDCGFTRWLDEEFPKKATKHINSLLSNVESLEQRVENLQEELDELRRRYVTSSSASHCAPRDGQDSQAHPRKVRKLA >Dexi7B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:7B:16763735:16765815:1 gene:Dexi7B01G0009070 transcript:Dexi7B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGLPPIRGSTRISAVDPGNPGSGPFGPFGPNLPIPGVPQWAQWLVGAFVFAVPLYRQFRAMEDKVEQTAEAAIEIIEKVAEETEKIADEVAESFPGNEKLKAVASKIKAVADVIEEDADKAEALIKKVDEIEKEIDAIVDKVANQK >Dexi9B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:9B:570770:572147:1 gene:Dexi9B01G0000970 transcript:Dexi9B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLLSPRPVSLPSNPSLSFNKPAAPSFAVLGRRGGRLRAAGDRPGAGLADQTTVYNGVYGPWTVDDSDVREVLLYRSGLVTAAASFVAASSAAFLPEGNAAGDAIRHSIDLFYVAGAAGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYLVAAQPLDEGLVQYVLEHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDNSTKSGLLGVWMVLFTIFAARKFQQPIKDDIGDKSVFMFNALPEEEKNALIQKLERQNEQKFE >Dexi1B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:1B:24867827:24871517:1 gene:Dexi1B01G0018700 transcript:Dexi1B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEPFQQSKNPRRARRRDLNAMTVALKLASISSGVMMKVLFQDPTMESDGEDVGVPEVGMVFNNHTEVNRFYRKASWVPVFIKDTFWAGMSVTQRNETITPFFDGYVDIKTTLKQFLGKYEMALQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNMFKKFQDEIEAIMYCHVSLIVVDGSVSTFNVKECIFLEDATDNGNGGPKGPEAHPVMQASQIQKGGAERGVIPAGYIGVPANFQQFVPNQAAIQPSIVYMVPTNVDPHAFGNGVLMPVMYQQMFQVPQKPNGTVQDTSANGKKKRPRGQKLTETSQLSNGTPGPSSG >Dexi8B01G0013500.1:cds pep primary_assembly:Fonio_CM05836:8B:23809597:23811659:1 gene:Dexi8B01G0013500 transcript:Dexi8B01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHGLLIIAVAMWMRWHLAGASAPPPVVRCDDGGGAATGCTVTNAYGAFPDRSTCRAAAAAFPASEAELLAVVANATATGTRMKVATRYSHGVPKLACPAGDHGLIISTTSLSHVIAVDVAKREITVEPGVTLGDLIAAAAKAGLAVPYTPYWLGLTVGGMISTGAHGSSLWGNGSAVHEYVVGMRVVAPAPETEGYAKVRVLVAGDPEMDAAKVSLGVLGVISQVTLALQPMFKRSVRFEEHDDSDLAERVVAFGAEHEFGDILWYPGHGKAVYRIDDRVPVNCAMALATKAIFSGTNYGLLNHSLQVPQPGQLVIGFQNQIQSSGRCLTGPDDGMVTACPWDPRVSHGTFYFQAGISVPLSMAPAFIRDVQRLRDLNPSSLCGVEVYYGVLMRYVRASTAYLGKMEDSVDFDEPASPRLHQDVVEEIQQMALRKYGGLPHRGKNQNAAFEGAIGRYGAARVAAFMAVKRVYDPEGLFSSEWSDQVLGIGGGGSGVSVVRDGTQCAPGKGYLCQPGRVYKEARVCRRVDDS >DexiUA01G0019340.1:cds pep primary_assembly:Fonio_CM05836:UA:40347049:40348215:-1 gene:DexiUA01G0019340 transcript:DexiUA01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTSPPPSWSDIPLELVGLILRRLPALADRARFAAVCRQWRRAAREVPLPAPLPLLALPDGTVYSLPGSKPFRFPGCVGYVDACGDWLAFSGENGYFLKNPFSHATVALPQKFRVQDHRRRHAGDETGVRWMEMEDHSNRLTMYKLLYCSPQLVAAFIRIERSTRLAVCQPGAGSRWSVHIGWMFSLSVDMAFHQGKLYVLEESMETLFSIDISVDHGTCDPWVSRVRYVLSEIPSAIPVARNENVTVKILYLVELDGALLMVRRTMHCIFLPGMSIANLIGGNEFKLFRVDFQQSKWVEVTTIGDNQVLFLRRRCSRFIPVSLEEMPGDRIIFLDNDDEDHSWYKVVTSDSCSVYDMRDGKVSAFPPMVSWEQGPVPATWLFPQG >Dexi1B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:1B:20357719:20363090:1 gene:Dexi1B01G0014120 transcript:Dexi1B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVDAQKGAEDDLEMKVAKYSRGKGADLKALRDKKLKGQLTVKEKLYGQSAKAAAKAEQWLMPTEGGFLEPEDEDLEKTYRVQQESILKEVDLLSSRKPFDMILPVLGPYTIDYTSNGRYMLVGGRKGHLAMMDMLNLDLIKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKALKLQFLTKQFLLTSINSFGQLHYQDVSTGEMIANYKTGLGRTDVMRVNPYNAVIGLGHERGQVTMWKPTSVKPLVTMLCHNGSVTAVAFDRSGHLMATAGLDKKINIWDLRKYEVLNSYPTWAQSLDFSQKGLLAWSNGSEVEIYRDLGGHNYRLYMKHRMMKGYQVGKVLFRPYEDILGIGHSTGLSSILVPGSGEPNFDTFVDNPMETTKQKREKEVHALLDKLPPDTIMLNPNLIATVRAPKNKEKTKKEIEEEMEEAVESAKNIERKKKTKGRSKPSKRAKKKEEDVLRAKRPYLEQSKEVDGRPDKKQRIGEEVELPKALQRFAKKPQS >Dexi5B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:5B:621690:623441:-1 gene:Dexi5B01G0000960 transcript:Dexi5B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHAALLLTVLVIIITHLISFLLITTKRRRPSSHRNRRDDGRRRLPPSPPGGLPLVGHLHLLGSLPHRSLRSMAASHGPVMLLHLGQVPTVVASSAAAAEEALKTRDLAFASRPRLLMAERLYYGARDMVFAPSGERWRQLRRVSVVHLLSNRRVLGFRAAREHEVAALLSRVRAAGGAGAAVNLSELLIAYSNAVTSRATFGGGGGGGGYYYGIDGGEDDGGGGAKLRKVFSEFEELLGTVPMAEVVPWLRIVDVVTGLERKARRISQEIDRLLEKVIADHRRRRRDARRVGDGEDDPKDFVDVLLDLSDAQEDFGGVQLDTVTIKATILDMLAAGTDTTDTLMEFVMAELINHPTQMRKLQDEIRSAVAAGDDDRGITEDDLPKLPYLKAVIKETLRLHPPGPLLLPRETLEDTELQGYHVPAWTRVLINVWAIGRDPAAWEHAEEFVPERFAGGEDGEAVEYHKMGVDFRFLPFGAGRRGCPGVGFAVAAVELALASLLYHFDWEVHAGGGRTSSPVDMTEERGLAVRLKMPLLLVATPWPR >Dexi1A01G0024600.1:cds pep primary_assembly:Fonio_CM05836:1A:31102370:31105401:-1 gene:Dexi1A01G0024600 transcript:Dexi1A01G0024600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEFCPNIIFKGPPGSGKRSLCRAVLTEIFGDSSLNVSHYLKSCSGQWAKASVILQGSASVPILVPVSSSNHHVELNMRSQSKNARYALMTLANEMSENRKITEPVVRRNFKVIVLYDVDKVSENNQRLIKWILDSSSDACKIIMTCQDDSNLVDSIKSRCKLITIGVPSTREIVDVLTFISKKESFDLPTSFATTIASQSKQNLREAILALEACRANNYPFIDGQAIPLGWEEVLEELSAEILDDPAPKRLFLARGKLQRLLVEFVPPKLILQKLVELFLKGIHNSVKREVYYWHAYYDKRLPVGASAMLKLEEFVAKFMSIHRKSLSVASQ >Dexi9A01G0021790.1:cds pep primary_assembly:Fonio_CM05836:9A:16626566:16626979:-1 gene:Dexi9A01G0021790 transcript:Dexi9A01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPIITVQVKFAGRTIPLEVPSAATTAELKRLLQPLTNVLPRGQKLVCKGTDPQTLATYLLSKVLQDAASLSSMQVVNGSKVMLIASQGLHQGR >Dexi4A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:4A:7446683:7451588:1 gene:Dexi4A01G0009420 transcript:Dexi4A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGNASGGKRKRGRKPKPRAPDSLDNNHNHHHAAPSSSPLATAAAAAASGSPDPEPVSSSPAPRRRVRKSRRVRNEPPSEADAAHSPSPPPRRGGPKGAPNGGAEASEPSRRELAVKMMDVPAPVEPLRWDEVVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKVKKRGSDTKYLATVLAIGTECDIVFEEWQCSADVGLKAMLTVEDDEFWKGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELVGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVINHFIKDYQKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKYGKDYEYDAPVKLLDKHMHAMAQSPDEQLVVVSQVLVSDINIGYEDIVNIQVLAFNGTPVKNLKHLATMVEECDEAFLKFDLDYDQLVVLETKTAKAATQDILTTHCIPSAMSEDLKS >Dexi7A01G0003760.1:cds pep primary_assembly:Fonio_CM05836:7A:13845552:13848384:-1 gene:Dexi7A01G0003760 transcript:Dexi7A01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTYLHSVLSKLLSAGVRTHVAAVEQAVAKEATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEDVSKQIEASGGEAITFGGDVSKEADVEAMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >DexiUA01G0017930.1:cds pep primary_assembly:Fonio_CM05836:UA:38183917:38190648:-1 gene:DexiUA01G0017930 transcript:DexiUA01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRSNRLAPRFEEAAQEEAAPLGRGMRRARGRVPQPAPVPAPAPVDPPPVVNAEQMDDQEEIEVEPAPQPAAGNGAQGHVPPVQEQLPQAPNLADILERQTRVMERMTDMIAAFIAEPVIGAGGVIPPPKTYFEKIQAVLKRYDILFIADEVITAFGRLGTMFGCDMYNIKPDLVSLAKALSSAYMPIGAILVSPEITDVLLLMALHTRDIQFLALSP >Dexi2A01G0034880.1:cds pep primary_assembly:Fonio_CM05836:2A:44697295:44698248:-1 gene:Dexi2A01G0034880 transcript:Dexi2A01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENQGSKFSDGMDDDGNVMNNIGRDSGQRYPMSQEPVRRPAPMGQRYDPDPYWRQEQSVTRQSRPQELSNGQTKEQFVAEMLARPSNAESGPGRPQARPRQHQDASPAQGRPQSASFEKPAAPHDANSVRAKLELAKNAKLEATKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQGNNRNFQPSGKPRNNNINGNRNWSR >Dexi2B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:2B:3800035:3800332:1 gene:Dexi2B01G0004340 transcript:Dexi2B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPGAGGGGGGGDGHGARVGSSRRGTAAGGTRDASPGVRLAGSGSAGAEAAQGGGGAQGLDEMER >Dexi2B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:2B:229679:233883:-1 gene:Dexi2B01G0000540 transcript:Dexi2B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRLVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGVRKEILVPVPQYPLYSAAISLFGGALVPYYLEEEANWGLDFVNIRQSVAEARSKGITVLFDMGQPLSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNELCTLSPKYACHQEQLRQRKEPAKHQMFSTASSFWKQPEFPLFRDLVLKEGVFHLRTTILPAEEDFPAIMSSFKNFNDSFMQQYEGYSRM >Dexi9A01G0047810.1:cds pep primary_assembly:Fonio_CM05836:9A:50810841:50812603:1 gene:Dexi9A01G0047810 transcript:Dexi9A01G0047810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSASTAGRKPPPFLSPSASFSSSSSYSKRSRAPRRLPSLPKPPPPLATPAPRFAGRRRNKAPARLWMRMDRRGGCEVFVCDKAFVAERSGVHTRELRLIGPILSRGPSILAREKAMVINLEFIRAIVTADEVLVLEPLAQEVIPFIDKLRNHFPLKTMEHDVGATQEEKVDGRHAQNGEECELPFEFQVLELALEAVCLSFHSSLSDLNRHSVLVLDELAKNVSTRNLERVRNLKRNLTSLLAGVLKVSLEIHILVRDEVEHLLDHNENMAQLHLSRKQTEIRQDQALLASAAINSNFLSESNLHGPDFIINQSMVIVSSASLDTDPGNLEMLLESYFKQLDEIRNRIVMH >Dexi4B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:4B:489196:490351:-1 gene:Dexi4B01G0000740 transcript:Dexi4B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDQDAGVKKGPWTPEEDKLLVDYIKDNGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDDEEKLIIHLHSILGNKYVLCLLPGRTDNEIKNYWNTHLRKKLLGMGIDPVTHRPRTDLNLLAGIPNLLAAAASSQTTTASCWDINALRLQADAAKYQLLHGLLRALAAPPAAAAAPTGVDLMALLAATNGGGVDHQLLQGQGTTRLLPSQYDGLLNLPALTTVPSSATATLPPAMSSFSGLLSSSGFAGDGLSSTELGHSASGGASGSSMTAAMAAPPLVAADDQCNAGTSTPCDDTTPASSPFEGLENLNLDDDFNSDTWKDLLE >Dexi5A01G0012400.1:cds pep primary_assembly:Fonio_CM05836:5A:9320721:9321146:-1 gene:Dexi5A01G0012400 transcript:Dexi5A01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDISGEHEPGWREVPVEDPVVVEDFAKFDILMKLKRGSKEEKIKAEVHKNLEGAFMLNHHQPAEHDESTSTQ >DexiUA01G0009210.1:cds pep primary_assembly:Fonio_CM05836:UA:17877322:17878616:-1 gene:DexiUA01G0009210 transcript:DexiUA01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELDRNMYGTKLKKFHGWFLNTANEDGDRSEDQSMIELDRNMYGSKLKKFHGWFLNTANEDGDRGEDGDGSEDGDGSEDGDGSEDGDGGEDGDGGEDGDGGEDGDESEDGDESEDGDESEDDATFASEDYDNEVKSCVRVVQLLAIRANFHINGIIAYDWCQSRIIYTAVEGGVQEEGTDLVLTGPYRMLEAYGAFGLKVFTHDDEGTSTDEDGSRTGTISDGWGVSEPDEVEEFTQTIYGGLGRKLEVTYVVIPDGVETNVEVRLNLVDLGMGSRSVYGCVKASAIDYGSKSVHLFSRERGRSLSLPCGSACILPLEPCVIALEEDNHFKLHIEVDLSVITGCDSQEEDKNFKFCLDCSRRISSEERLEPPCRIRSQKREFNGDQVEVNVIWRLERC >Dexi5A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:5A:6699176:6700764:1 gene:Dexi5A01G0008950 transcript:Dexi5A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKKGVSSFTVKVGLLLLAGCILVPISLVTMFRHYTVPLQSLSLLFSVGSASSVIWGEENVGSHHSGRNEGPVLCDFSSSRSDMCELKGDIRVLPNATIVLHHPLARRQSWRMKPHPRKNDRHALARVTEVTVVSSSSYATADHSAPRCTANHTAPAVVFSVGGYAGNMFHDMTDVLVPLFITTHRFAGDVHLLVGDAQPWWLDKFRPLLAALSRHDVVDMDRAGSSGVLCYPHVVLGLEFHKEMRVDAKRTAGEYSMADFTLLARRSYGLPRDTAIRLHDRFSGRPRLLLISRKTTRAFTNVGAIAEAAAAMGYEVVVGEPEHHAADMASFARVVNSCDVMVGVHGAGLANLVFLPAGAVVVQVVPLGGLDAMAAEDFGAPARDAGLRYVHYRIAVAESSLARRYPPEHRVLRDPAAVRRDGWMALRAAYLVGQNVTLDVRRFEGALRRVMELLR >Dexi4A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:4A:5672760:5673113:-1 gene:Dexi4A01G0007530 transcript:Dexi4A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVESNLPAAEEEKRVPLPCPAEIKAAWEKRGAEGAAEEKRLPFPSSAEIKAAWEKRVAEGASEEEGKDLYFASQASRFRDDWNKLYSRYYGRFEDTNLRFGRQ >Dexi8A01G0008030.1:cds pep primary_assembly:Fonio_CM05836:8A:9300199:9301063:1 gene:Dexi8A01G0008030 transcript:Dexi8A01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQEQHSTDQQALLDAQLELWHSTFAFIKSMALKSAMQLRIADAIHHHGGTATITQIATKVQLHPSKIPCMSRLMRVLTVTGIFSIAKHPSAEDADSVYGLTPVSLLLVGSMSLAPTLSLFLNNTFVSPFLSLGTWFENEQPDLTLFEMTHRKTVWDVIGHDETMSMLFNAGMVADSRFLMDIAIKECGHVFQGISSLIDVGGGHGAAARAISKAFPRIKCSVLDLAHVVASAPPSTELNYIAGDMFETIPPANAVFLKLWK >Dexi2A01G0034410.1:cds pep primary_assembly:Fonio_CM05836:2A:44369247:44370954:1 gene:Dexi2A01G0034410 transcript:Dexi2A01G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQAWTVAAAAAAVLASWAFNALVHLVWRPYTITRSLRSQGIAGPGYTFFIGNLAEIKRLRAEAAAATALDVGDHDLVPLVQPHHRKWIELYGRTFVYWIGATPSLCVADVNVVRQVLSDRSGLYPKNIVNHHISRLLGKGLILTDGEEYMRHRKVVHPAFNIDKLKMMTVTMSDCVRSMLSDWEAKGAAGDDVEIELSRQFEELTADVISHTAFGSSYKEGRQVFLAQRELQFLAFSTAFNVQIPGFRYLPTEKNLRTWNVDKQVRTMLMDIIKTRLANKDTAGYGNDLLGLMLEACTPEHGEAPILTMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDKLREEVRRECGNGEEEELTGDMLNKLKLVNMFLLETLRLYGPVPALQRRTSSDLDLGGIHVPEGAILTIAITSIHRDKEVWGEDAGEFKPERFENGVTKAAKHPNALLSFSSGPRSCIGQNFAMMEAKAVVAMMLQKFSLELSPKYVHAPMDVITVRPRHVPMILKRLQV >Dexi5B01G0009290.1:cds pep primary_assembly:Fonio_CM05836:5B:6342779:6345559:-1 gene:Dexi5B01G0009290 transcript:Dexi5B01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEDPEIFPSRMVGSGVWVPVGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADTAEVLLASSSASAAAAPSASVAANPSSDFSFDKDAPDSSDVEPPLLGMQNFQDGAYAEDLSNFHERSHADDWFGTEVMDVRVGWTKNLCSSKDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELARNLAIHDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGILVITSCSRTKDELVQEVVNFNQRKLGAMGSEGLPANDTAVFSYLDHVRSYPSVDSSCITTVAFLHK >Dexi3A01G0026490.1:cds pep primary_assembly:Fonio_CM05836:3A:22753254:22753987:-1 gene:Dexi3A01G0026490 transcript:Dexi3A01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTILKKRRGGVVTTSCACIGIAARRRRPANHRSDRRGQPGGGDHRRGLPAGEDGPVDLDERLLVVVPGERPGPREGVGRRAVGRGGAVPRDGGGGRDPEHGVHVGVAEPHQQPPRRERPEDAAAEDARPPPHAPPSPPPPRCWLRGWGRERLAAAAELGGGEARAALRRGSVEAVVAPALPIGLDAALAAPPPPALGMAGLSRAAQVRWVAELACCGEMMGGGE >Dexi9A01G0039000.1:cds pep primary_assembly:Fonio_CM05836:9A:43102392:43103436:1 gene:Dexi9A01G0039000 transcript:Dexi9A01G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFVLDMPLKLADNYNIANVIERDSVPLRPGVRLNLHEFVEVVFQNTENELQSDSLSLTHLIISLSLTHLIISLTPSGMAMANGLRCRGRPTTWSTRKQGIQLRYCNLEVYPNGWSATLVSLDNQGMWNLRSAIWDRQYLASSSTSGSGCHSRASPMTTASLPMPFSVAGPPAFHTDEQDEDLSIRHTNRTPQADDVQ >Dexi6B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:6B:26181707:26184272:-1 gene:Dexi6B01G0019430 transcript:Dexi6B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGEAKAAGYYQYPASYGGGGGGYGAGDDERRWWPWLVPTVLVACIAVFAAEMFVNDCPRHGSALGGGAGCVATGFLRRFAFQPIRENPLLGPSSATLEKMGALNWAKVVHEHQGWRLISCIWLHAGLVHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLISGFGGSVLSALFLRTNYISVGASGALFAIILVMLFKGKNGNDSCHWCQYLNCVPTSRWKCNT >Dexi7A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:7A:27116634:27116997:1 gene:Dexi7A01G0017420 transcript:Dexi7A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSISAMPKLLLEEAAGAGDDDDVEAKPEKAPVPRSLGKERSVHFIPLIIVLCFVLLFLCSHDPSPSDMSSFGKEAGIKNTKSL >DexiUA01G0017190.1:cds pep primary_assembly:Fonio_CM05836:UA:36328931:36333567:-1 gene:DexiUA01G0017190 transcript:DexiUA01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTRASIKIQDRLSNSFPRLSARAATVHGAPPEMLRRRRGGELAALAQAGEAAGVWCGGRQLGLGTSENASRQERSSLLQFVSELSQDGSLRSSWEVTTDCCKWEGINCGPDKTLSHNQFDGSIPRELGNCSKLTSLNAGHNNLSGTLPNELFNLAFLEHLSLPSNQLEGSLSNMSKLSNLVTIDLGVNVISGSILDSIGELKGLQELHLDYNNISGELPSTLGNCTDLRSINLMSNNFSGGLTKLNFSTLTNLKTLDLAWNNFSGTIPESIYSCSSLNALRLSANKFNGRNNFTGLIPDKIGQLKTLVSLNLGSNKLTGEIPQSICNLTNLQLASNSGIFPDLEFLELEAFGKKKLERS >Dexi1B01G0024930.1:cds pep primary_assembly:Fonio_CM05836:1B:30109527:30110261:-1 gene:Dexi1B01G0024930 transcript:Dexi1B01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATRAHLPSRSEPGFGATFASDSSARMVMPISSGGDHAAETESTESLLPRNHGGDGDGAGDDGGGDDFHGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVLIVLAALLTDASIELLVRFSRAAGAKSYGRAMGDAFGPIGRGFLQFCVIINNVGVMVVYMIIIGKVS >Dexi2B01G0024030.1:cds pep primary_assembly:Fonio_CM05836:2B:33514900:33516834:1 gene:Dexi2B01G0024030 transcript:Dexi2B01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLNRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLQHGGRPIGMKKTLVYYRGRAPQGVRTEWVMHEYRLDDKDAEETLPIQDTYALCRVFKKNAICTEVDDLQAQCSMALLEGACQQLLNSGSQEYQTPSPDVPVCSTSGGGVDDDADKDESWMQFISDDAWCSSTADGTEESTSCLALAS >Dexi3B01G0019150.1:cds pep primary_assembly:Fonio_CM05836:3B:14198904:14202281:1 gene:Dexi3B01G0019150 transcript:Dexi3B01G0019150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAITGASGGDGAAGDSFERVLSTALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRRLKQRREEMMFSLQEAERRMDLARVADLKYGALQEIDTAIARLEGETGENLMLTETVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKDVAVRLAERGIALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAGAKDELAYKVDRSGGLVNAETGQKSDILIQVPNGAVRSDAAQAVKKMRIMEEDDDGMDEE >Dexi6A01G0000280.1:cds pep primary_assembly:Fonio_CM05836:6A:196466:197874:-1 gene:Dexi6A01G0000280 transcript:Dexi6A01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKIADFGVARHGSPEGQMTAETGTYRWMAPEVCLSSLFLTFEIWTSYLLIENKGKFLHHPGESEIVPYDNMTPLQAALGVRQGLRLDIPGSVHPRLSKLIQQCWHENPDVRPTFAEIIVELEDILHHGQVA >Dexi2A01G0001520.1:cds pep primary_assembly:Fonio_CM05836:2A:1063956:1065659:-1 gene:Dexi2A01G0001520 transcript:Dexi2A01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKMIGAGGAVPELEDPVEARPAADTWPLPPTEKESRRLRRALLVAGVVSLLLLAFIVLVRESASTLREITSGKLSGVNEGEGTPTHHHNDGDAAGNITSRNVDELLGGLLTAGMDRRSCRSRYESSWYYKHFPYTPSTHLLGKLRAYEARHRRCAPGTPLYAMAVDRLKSGEAAMEECRYVVWLPFDGLGNRMLSMASGFLYALLTDRVFLVALPPDSDELFCEPFPDTTWRLPLEDFPIANLFNLGPNPDVSLTALLNSKKIVVNVDGDNATAATPLPAYVYLSLGYQMTDGPFFCDENQVVLGKVSWLLLYSDLYFAPSLYPIAEFQDELRRLFPAKESAGHLLLRYLLHPTNPVWDMVTTYYNTYLAYAHKRIGLQIRMFNFASIPADDLYNQIISCSQQEHILPETDTEVAAGNSTAIFIASLYNDYYERLKVRYHDGTAKVDVFQRTHEQRQATENLAHNQRALAEIFLLSFSDELVTSGMSTFGYVSYSLAGVRPTILLPAHGHKVPATPCRRAVSMEPCNLTPPRGVTCRGKSVDGEDLARHLKVCEDREEGVKLFD >Dexi8A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:8A:9062930:9066986:-1 gene:Dexi8A01G0007890 transcript:Dexi8A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMVLGHADASSLNPILIESKSSDKLFYAIVHHATGCLVVDFEPENSFEFPTAVVWASLSYKFAAKAITKIQSLPGGSMDVLCNTLVQEVFDLTGYDRVMAYKFHEDNHGSVIAEITKPGLEPYIGLHYPATDIPQAARFLFMKNRVRMISDCHLRPVKIVQDEEFPFHVSLSGSALRAPHSCHVQYMKNMKSVASLVMAVVLNEDGEDLEVVMEQAAHQNQRKKLWGLIICHHGSSRYVPFPVRHACELVAQLFAAHINKELELEKHMQEKSILGMQARLSSMLFWEPCPLSIISGSPNIMDLVKCDGAALLYGDKVWQLHTTPTVSQIRDIAIWLSDVQRDSSFVSFDSIQDAAYPGLASLGDKICGLAMGKISSSIILFWFRSRTTSEIKWGGAKHDPCDKDDNRRMNPRLSFKEFLEVGQMKSLAWNSYEMDAMNSLQLVVRGSLDDAIKLAKVPHLNNKIGHLRPNVLSEVQAATTETVLLMEIATVPIMSVDGNGLVIGWNQKAEQVTGLKVDEALGRHMLTLVEESSLPNVKRVLSSALRDSIGENIDVIDLELRIKEQMIVVPEEVLAQMFQANNEDQQEEGLTLVLACKNLLSLMN >Dexi9B01G0030180.1:cds pep primary_assembly:Fonio_CM05836:9B:32644541:32649475:1 gene:Dexi9B01G0030180 transcript:Dexi9B01G0030180.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVPPCLALPRRVEQQGHWPWRHGHRVVSKLQCLPIPLLPFSISRLPPSKIPNAAAGAMAPPHRNLLRLLPSVLLLLLVTSAAAFSVSTPVELTVTSHPPVSFPLPPARSISAGDGGAAGGPYCTRVLLHGRPSRLRDPSRFFHALRLRANATRPHGLELCFHRNATVGPCKCAASQWQKMPKSGLWVQAISPYDQRILDFRMTADPSRSVVLSTEEEFLLHRVVFLVLGLILMVLAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFAYSTVVGMTTYFLHYLSGLLRSFLVEIGIAEDMHNPLGIFLLVLVILAGAWFGYWGVRKLVLTEDGSVDAGVAYFVEWAILIVSAVMILQSSLDYLFAFAALVLCVAIKSIARIEGKSRFLRYLSRGLSSGTARHSSHYGDLGEEYSIMNGTHQDGFGKLHGHLRRTPRKNSPLSGSAKTLSQGVVGDSYYSTYHSTPDRRKFSKEEYDAFTREETKKGLAQLMSSPDFNRWALANADRISVTPPGGSYSSSSSYQQRRRIFGLF >Dexi5B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:5B:2846205:2846977:-1 gene:Dexi5B01G0004210 transcript:Dexi5B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSHALLDAQAELCQNTFAFVKSMALKSAVDLGIADTIQHHGGGATLSQIAIKAMVPPSKIPCLSRLMRVLTHAGVFSTQADDGEQLLYTLRRRPASFELPDPCMFKLRNGCTAFELANGDPAFNEAFNDGMVSDTEFTMDIVVKEHGEVLFQGVSSLIDVAGGLGAAAHAISKAFPHVRCSVMDLAHVVDKSPGNTDVQYIAGDMFESVPPANVIFLKVCGSP >DexiUA01G0025100.1:cds pep primary_assembly:Fonio_CM05836:UA:52634858:52637521:1 gene:DexiUA01G0025100 transcript:DexiUA01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAALQYENQKLVQQLEAQKSDMHALEGKFKELRDEQCSYDKTLISLNNMWNQLIDDLVLLGVRAGGDLGNLQALDHEELSEESFESCPSEEIFLLRLLKSSNFKNNNENSLVEFVEQALAFRRSVTVTLMKSLQEAISSHQARSESLALAFNGQKSNEDVIVALQNHNDHLKEVVENASQAISIVNEKHKRYLDEIEASKSNHSRELQEIKRISGELEESMAELEESRRKLVVLQLQRHGSVMDASDANAVNGGISTDKSSDKSMSWQDLKDAVDAAKTLAGNRLLELHQTQEDNLILSKELGDLEGQLKDENYVLVSKPYTIINDQLQHLNAEIERCRGLVEVLQNDKDQLMQKEKEICAKAESFDSIKQTITTYEAKIGELENQIQIFMIEKNELETKVEETLQDSGKKDFKNEIHVMAAALSNELGMMENQLSRSKDASSEALAL >Dexi2B01G0023990.1:cds pep primary_assembly:Fonio_CM05836:2B:33464413:33468565:-1 gene:Dexi2B01G0023990 transcript:Dexi2B01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGHGDGRAGGDGEVGGIEKIDIAINIRACYVKVEDDGCGITRDELVLLGEKYICGSNCANDVHSPLITATSKFHNVMGDGELSSKIFGLNGEALASLSDIAVVEVRTKARGRPNSYCKIIKGSKCLHLGIEDKREIVGTTAIISSFIFAVVVRELFYNQPVRRKQIQSSEKRELHHVKKCVLQIALVHPHISLRLLDIDSEDELLCTSSLPSPLSLISKNFGDDISRCLHEIAASDQGWVLSGRISGPVDVVHAKEFQYLCILLASSPLSTFIYSFSNSWKHP >Dexi3B01G0024750.1:cds pep primary_assembly:Fonio_CM05836:3B:19401881:19402966:-1 gene:Dexi3B01G0024750 transcript:Dexi3B01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANVEQQPQQQVPAATGGRKVVDEVSGWMRVFDDGSVDRTWTGPPEALPLMSPVAPYSTPRDGHTLHDLPGEPNLRVYLPEGHNAGEERRRLPVILQLHGGGFCISHPSWLMYHHFYARLACAVPGVVVSVELPLAPEHRLPAHVDTAIAALRTLRSIATSEEEEGALDDPVAALLREAADVSRVFLVGDSSGGNLVHLVAAEVMAAEENSWAPLRVAGGVPIHPGIVRATRSRSELETKAESVFFTLDMLDKFLAYALPEGATKEHPFTCPMGPQAPPLESVRLPPMLVSVAENDLIRDTNLEYCEALRAAGKEVEVLINRGMSHSFYLNKYAVDMDPTTGERARELIDAIKSFVSRH >Dexi9B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:9B:15476974:15478763:1 gene:Dexi9B01G0020810 transcript:Dexi9B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPRKEAAAAAARDLLKADAARVRKAGVARKDAVAPQRKGLGQGRMPPAPELGSSWAGKRIAGHGAVAATPPRSFSDGNFFFNSNAGNFFGSPGQRPQSWNPQSSDPATWGTNATPPGGFTSLIQPNMSQNFIFGGQAAQFAPFKPPRNMQDEQDAQSEEGMWSPISAKDNNAYVNVDSGEEAHRTEKRIYWTQEEDVRMMSSWLLNSTDSTCGADRKNDQYWTDVEVTYNETTPSNRARNAKQIKDRFHKVNRWTDLFHSAWLKAKMVYTSGYSDQMWIEKAHVLYVEDNKKLKLGPFVLMEVWNTVKTEAKWITYNNGLKAATNRAATKGPGNENEGEDEVDTDLDDLDEKPRRMGQKQAKKLKFAKSKEVEHIDLEELDKFSKIQDNQNANRLKVLEVQQKLSTEKMEQTRLAHLAAKEQKEARKLELESRIFETYNHLLSRDLSLMSDEEKLDHVKTMQCLKKKLFAEN >Dexi7B01G0021040.1:cds pep primary_assembly:Fonio_CM05836:7B:26185276:26189185:-1 gene:Dexi7B01G0021040 transcript:Dexi7B01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFCAYGPGEVVVVFSSTRDPRSIVTHGTRVYFFTDHAGTHATPTPPRAPAHSHTRGSNPTTCRSAGSATLRPPDQTLSMAWVTIVDVSYVAAPPHAAIKLNAMEAQWVVTPVLQHLLLFDADGHLPPFHEAVQSLRSSLAATLATHAPLAGKVHYLADTGEDDGNGCGVRFVVAETDADARRLVRDEDHDAVTFERLVPEVDMSVLPAPVLAVQVTRLGGARGGGLALGLTVHHAVADGRSLWRFVEAWAAACRGDAPHQPPPCFDRSRVRMPGGDELVRTVLRKYAPDLPMVKMPAVLQEDRLRFTRRTFTLDAAQIARLKETIVRVAEEAQGGAPLRRAPSTFVAVVALLWTCAVRGRSIPPDDDVFLFFLADIRGRLDPPAGADYFGTCLAACLTRLPARELHGEGALVTAAAAVQGTIRKMAEDPLGFWPGWEFLKVPSDRTVSVDRLMNVSGSPGFGAYDAGDFGWGKPRRTENVRMNHDGQVALVRARDGGGVQVAVSMLRRDHVDAFHIYVVVRAIVAARAARVRAATKAAVRARHLCPQNVQTRRVFLAVIFPTHATPTPPALERRRYITYRHAVRIRPVDPLLLCRLRSMLRAPDTMASVTIVDVSYVAAPPHAPIKLNAMEAQWHLLLFDAGDDDDGHLPPFDDVVQSLRSSLGATLATHAPLAGKVHCLADTGEVAICSSTEDGGGVRFVVAETDADARRLARDEDHNALTFERLVPEVDMTSLPAPVLAVQATRLGGGGLALGLTVHHAVADGRSLWRFVEAWATTCRGDAPPQPPPCFDRSRVRMPGGDDLARTILRKYAPDLPVVTMPEALHQDRLRFTRRTITLDAPQIARLKETIVRVAEEAQGGAPMRRAPSTFVAVIALVWTCAVRGRSIPPDDDVFLFFFADIRDRLDPPAGADYFGACLTGCLVKLPARELHAEDALLTAAAAVQDTIRKMAEDPLGSWPGWEFLKLAGNRSVPLDRLMNVSGSSGFGAYDAGDFGWGKPRRTENVRMNHDGQVALVRARDGGGGVQVAVSMLRRDHVDAFHSELHKLLG >Dexi5A01G0037810.1:cds pep primary_assembly:Fonio_CM05836:5A:39005855:39006592:1 gene:Dexi5A01G0037810 transcript:Dexi5A01G0037810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARIQPLSALLQLQPCAAPTDPAEKQVYTVWMKSLVFNGHGCTIYGHDGRVAYRVDNYACSRSREVYVMDSDGKTLLKLLKKNFGVFKTWKGYSYRDGPAGLEQENSKPWFSVKKDNRILKIKGGQHSGRAVVTVCMSGKVYKIDGVSSKSEYRISDADGEVVAEMKRKQTVSGVVLGEDVLSLTVSPAADRLLVVGLVVVCGLFSRCV >Dexi4B01G0011630.2:cds pep primary_assembly:Fonio_CM05836:4B:9075695:9076644:1 gene:Dexi4B01G0011630 transcript:Dexi4B01G0011630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKSAGDKPLALSTPAGKDGWEGNGRTSARTALRCAARSGARRWAVAGVATTVRRRPPREDARRAARSRRGIVWPCAGYGTTRTCGGGAAGVVGRLVAVVAIPDVVAAASVSRHRT >Dexi4B01G0011630.1:cds pep primary_assembly:Fonio_CM05836:4B:9074464:9075688:1 gene:Dexi4B01G0011630 transcript:Dexi4B01G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTSSSSSCRDAPPSLTAAAAASASSFALAATWAHRPRPFPFPLRTASSSTRATSSAAGASWSPPQLTGTAAPASSTSSRAFTNWSAVIGHASIGTPAAQASSTEFHPQCVTNHPTALCRSAATCGAHPRITRPLPPAAAATRSSNPSGSITVGPVAHTNATPLRSSAVASAAACGGCSIAMLPKLMYTTEPSSGNLSSHVHRSAAATAVSPPRFAAASASGANGPTARTRLNPRSTSVRSSSRRNVLDTNAELPRFQRKFRLTPSETSSPSLSIRYSIDSCRHGYAGAPGMSGNGTRLSSSSSSSRRGIRRNNEWSTAVPYTADGEKANRGTPSSAASGCVHPQKKSESTATTRSGPSDRSRAQSRSGPRSPE >Dexi5B01G0009150.1:cds pep primary_assembly:Fonio_CM05836:5B:6240964:6241791:-1 gene:Dexi5B01G0009150 transcript:Dexi5B01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIKERNSNDELQDAWKEMIRTFEEGVGPGNIGIKRMGELDFKALKIACSKTLSEEDVEGIACLCSKWQEEIRNPSWHPFQFKVVDGKEVEVILEDEKLRKLKEDHGEEICALVTKALLEINDYNPSGRHIVAVLWNYKEGREATLKEGIQHLRKQLRLRKRFLHET >Dexi9A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:9A:3531656:3536080:-1 gene:Dexi9A01G0006270 transcript:Dexi9A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGGASWAWALACCSAWMQSRKACKSRVRRWEPGHRRRRSAGRLDEVEAGGVGRSPTTQNWQRIDTRRPSSPWSLAAVRQRRRANFRCAAAGRSPSDSDSRSQGLG >Dexi3B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:3B:10448074:10452915:1 gene:Dexi3B01G0014470 transcript:Dexi3B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPSQGSLTTSDALTYLKSVKEKFQDRRQKYDEFLEVMRDFKSSRIDTAGVILRVKSLFHGYPDLILGFNAFLPKGYAIGVEDLDGDNNNGGGKRPPVDFAKAISFVNKIKSRFQHEDHVYKSFLGILNMYRMHNKPIQDVYEEVAALFRGHPDLLDEFKHFLPDTSTPPQAANISRVRHDEKNTVLHSARSLKTNKRERAFPPTADHDSSVDRPDPERDPQRRCTEKEKYRNACHSQDRRDYERNDKDDDYDNGGLCDRKPQRKMEGTGDDTLGGPSISPLSFNGICVLKNELLAILICSCIHVKDILQHYPDLVNGFNEFLEHCENTDGFLEGILNKRKERDQEHEWQGDPEKEIYKEKEKPEKVSYALPSASNLTDIGAAVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAAIKRVEELLEKMESKSLKPDSSIHIDEHLTSLNMRCIERLYGDHGIDVLDVLRKNAGVALPVILTRLKQKQEEWSRCQSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLNPKALLAEIKEINEKKRKGDDVILAVAAGNRRPIVPNISYEYVDSDLHEDLYQIVKYSCAELCSSSDQVDKIMRIWTAFLEPILGIQHRDHGTEDAGMTKTKSRTRKVGLTCGEKRNNGAANGIVAVKPGNGDENILKERVQPSRAMFINEVTADAQDGSHEVDQSSRRGKDVPNTALHGRVRNTSPTVGKVATLAPQNMSTDLSPSEKTQGRANMELVPVLNGLILPDR >Dexi6B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:6B:25332287:25336673:1 gene:Dexi6B01G0018340 transcript:Dexi6B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSMGRRGSAAMAAVLVVVLSAAAAAMLPEMANASPAGGFQPLSKIAIHRATVEMQPSAFVQATPSLLGAKEEDTEWVTVKYGWTNRSVDDWIAVFSPSDFNSGKCPNPARYPGEPLLCTAPIKYQYANYSAQYANSGAGSIRFQLINQRSDFAFALFTGGLDNPKLVAVSKPVAFVNPKAPVFPRLAQGKTQDEMAVTWTSGYDIAEAYPFVEWGAVVSGAGGQPGRSPATTLTFSRGSMCGEPARTIGWRDPGFIHTAFLRDLWPNKEYYYKIGHELQDGSVVWGKSYTFRAPPSPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVKDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERTWTNSGGFYDGKDSGGECGVPAETMYYYPAENRAKFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEECLSTVDRKHQPWLIFAAHRVLGYSSNAWYGMEGSFEEPEGREHLQKLWQKYRVDIAFFGHVHNYERTCPIYQSQCMTSEKNHYSGTMNGTIHVVAGGGGSHLSDYTTAIPVWSIFRDRDYGFVKLTAFNHSSLLFEYKKSSDGMVYDSFAIDRDYRDVLSCVHDSCFPTTLAS >Dexi2A01G0034750.1:cds pep primary_assembly:Fonio_CM05836:2A:44593411:44595106:-1 gene:Dexi2A01G0034750 transcript:Dexi2A01G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTETEEFIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYV >Dexi5A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:5A:229937:234724:1 gene:Dexi5A01G0000310 transcript:Dexi5A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGALSGGAAGVAGLLRLRRQAASAPAAGLASSPQLPPLKCAAVPDAGQLVWGRQLRPTLLLPSQAARKQQAPRPPAAAAESAGEAEPAAAAESAGEAEPTVAFESAGEVEPTAAAEPTGEAEPTAAVVSAGEAEPAAAVESAGEAKPAGFLDKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSAIHLVVGVVYCLISWSLGLPKRAPVNAGLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFILGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIFEGPQLMQHGFKDAIAKVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAMYSYIKAKIEEEKRKKKA >Dexi5A01G0035420.1:cds pep primary_assembly:Fonio_CM05836:5A:37189089:37192808:-1 gene:Dexi5A01G0035420 transcript:Dexi5A01G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRQFLQLLLPILLATCCVDGANGPDALPTARRQLHQPFFPDQPAQPAAPPPFFPALPVPPPPQMPAGQDQPTYPALVLPNTTGSSGAVASPATAGAASHGSKKASKLVPAILLPLLTVAVLGLSIAFFFSHRRSNAARGAGGGCVGGGDAKFLHPERASLFARDEFGGSGGGAATAPATATSAEFLYVGTLASRAADERSSDTTSSGDEESRSSGGGSPELRPLPPLARQCAPAPSRSPGGGGASPSSGDEEFYSPRGSSTKTSSSRRTLATAVQAALEARDRSRTPSPGSALSTPSYPSSPGATLSPAPASPPAFSSPGESGRRSIKSRSESARVAVVLPPAPPTPPPPPPFAPTLPPPPPPRRKQPSPSPPCSPLNDKSALRSSTDAISTNPFGQPPAPPTRSTRPPVAPSAGAPPPPPPPPPPPVGYWESRVRKPDTSKETRSPALSPPPQTAVFRSVPAATDAFPSRLPESSDHGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANAAAKEPTRRPVLPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALCEGNTDNFGAELLETLLKMAPTKEEEIKLREFNEETSPIKLNHAEKFLKEVLDVPFAFKRVDAMLYIANFDSEVNYLKNSFETLETACDELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGHTTLLHFVVQEIIRTEGSRLSASTLTTPRTQANPLREELECKKLGLQVVAGLANELSNVKKAAAMDSDVLSSYVTKLAGGIDKITEVLRLNEELNSRDDAWQFHDRMQKFLKKADDEIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGFSDDESSAASVSSP >Dexi7B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:7B:11868785:11869939:-1 gene:Dexi7B01G0004850 transcript:Dexi7B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPGYSTRRGSDSFLYLKHNRNASVANIMLRPEDYTLKVDTTSHLTWLKCKPCVPHAPQEGPIYNPIGDGSPMRSPIYRPITGSDKICMPEHGMEPAGAHYAFHVAGPGGLSVHGYIARTDIARHAACGAWANSVLLLPHWGNKQTGFLRFGADVPHNPRYQTTRILPVLDINDSAAYYVGLVGVSLGARRLDMIHPEMFSQEKGGQSGTVIDLGTSVTVMAEEAYRVIEEAMWSQLKEHGAERVEQRCYGLCVKNGKGPPSIYMTCLAIVLGRRTMIGALQQVDTRFVFDLKDSKILFAPELCIKDTYPDV >Dexi1A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:1A:20990624:20993481:1 gene:Dexi1A01G0014400 transcript:Dexi1A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGIVPGVIGYGVMQLTSSRNDQLEAHLRSTARPETTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVPASVQASQETAKAATASAEEKPKAK >Dexi5A01G0008590.1:cds pep primary_assembly:Fonio_CM05836:5A:6384702:6385710:1 gene:Dexi5A01G0008590 transcript:Dexi5A01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGGTKKAMASRQRSHEESCEYAPYDCPFDGCGYRGPELYDHVRGEHDAPSSNPASAAVIISYACGTTVALRKASPSLVLVQPGRRRVFVLLNGGDVLAGRSLSLVFLGPRPEEEVELEYTMEVTGGGGGGPGALALSASGTVPCARRIEGFQPGGFLFVPDAYWGDTGKVSVRVRV >Dexi1B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:1B:2888687:2892382:1 gene:Dexi1B01G0003640 transcript:Dexi1B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRIKRDPQISRVSSAKRPKLGAAHQPPPPLRFEILPPPLLPIPLVFLLVAEARSQAPPPSGSPRAGLLLLTCHRLPARRRLRRREGRGGRWSVGGDSGAGFGEREAGPRDAACDSATAASHRAGGQGRTEDSGEEHWVSDSDDSDKFEWDSDGREDAGASSSALASRSIDAPGPSTRVGTGNGNAGPSSSSVQEYVDMGFPEEIVRKAMKDNGDKGADSLLDLLLTYQEIGNDAYVNNGSASGCVLQAVEDSDDDDILENWDDDNAGEINRCPISEESGDEDFLHEMSQKDDKVNTLVNLGFPEDEATMAVTRCGQDASISVLADSIYASQTSGYVYCGNSDHEVCFG >Dexi4A01G0022060.1:cds pep primary_assembly:Fonio_CM05836:4A:25416363:25418141:1 gene:Dexi4A01G0022060 transcript:Dexi4A01G0022060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVIIPARATAPLLHHRHSLHNYGHVLATARSSSCGSVHRRRRPILSPCRGHGRAASPLVPASDHWGNWTFLLSAAAVGTWSEKRAPMGKVLAGAVVSVLLGLAASTTGVVAAADAPAYRVVLDYLLPLAIPLVLFRADLRRVLRPSGAMLLAFLLGSVATMVGTVVALLLVPMRSLGSDGWKIAVAMMSRHIGGGLSYVAVCQHLGVSPSALAAGLAAGNTICALYLAGLFAFAANIPAEELQSPGEEGSEPSASNDNVIPATQTAMAVAAAFAICRAGMLAKSMLEQQLGIPGPGISLLCTTATMVLALATFFPSQIRKLAPPDDALAVLFAVMGANGSMGNAINTAPCVFALAFVQIAIHLLVTLGLGKMLGFDRKLLLVASAANVGGLTTACGMATAKGWTSMVAPVILAGIFGMAITTAITGFILIVHLVDNFMTNPITIVMGMGFGLGKLVLNLKIFFGIGFSALAFKYWK >Dexi1B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:1B:26486864:26490691:-1 gene:Dexi1B01G0020410 transcript:Dexi1B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAATPKRQCSVPSRMSSDAGELLGIEPIELRFPFELNKQISCALQLTNKTEKQVAFKVKTTSPKKYCVRPNNGIVAPRSTADVVVTMQAQREAPPDMQCKDKFLVQSAILPNEDIPKEVTGDMVNHILLCNVVDEVKLKVVYVTPHPRNEGFEDGSPGSLSYQEPFALISKLMEEKNSAVELNNKLRQELDLLRRDISRQRGGFSLVLVLVVAIMGILLGLLMKR >Dexi7A01G0001340.1:cds pep primary_assembly:Fonio_CM05836:7A:3407014:3408108:1 gene:Dexi7A01G0001340 transcript:Dexi7A01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKALVLAILCCTCFFGAVLAARELSDESAMIARHEQWMAQYNRLYKDETEKAQRFEVFKANVKFIESFNTAGNRKFWLGVNQFADLTNDEFRATKTNKGFNQNSVKVVTGFRYENVSTDALPATVDWRAKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKISTGKLISLSEQELVDCDVHGEDQGCNGDEMDGAFKFIIKNGGLTTESSYPYNAQDGQCNAGSKSVATIKGYEDVPANDEASLMKAVANQHVSVAVDGGDMTFQLYSGGVMTGSCGTDLDHAIAAIGYGTASDGTKYWLMKNSWDTTWGENQPGFSKTVCGRNRYPTAVAASRFA >Dexi4B01G0023220.1:cds pep primary_assembly:Fonio_CM05836:4B:24637622:24638047:-1 gene:Dexi4B01G0023220 transcript:Dexi4B01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSTYIHEIRKLIAASSEETASAGSAPGSAHLEVKLREVLPNLLRDYVIPSPKASERELREVIALLKLVAFTALKFPGVFYNGRAGDVISVIGRILPFLAEPDFR >Dexi8B01G0012660.1:cds pep primary_assembly:Fonio_CM05836:8B:22037168:22038703:1 gene:Dexi8B01G0012660 transcript:Dexi8B01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSSAVTQEAVHQVLDKLKERYEHNSDAKDRMERMEMAHVRLEAALEASCQWSVTSAPLLRWRSKLKRAAQECDHTLRRCRRRSQEEEGEKRRRAAAGSPSLLTRVSRAATSFISSISGSGDDDDKVLLRSWGSASLIPRAVYTSLDEVDGRGCEDQAYSAAKSGLALREIMLPKAVDCLRKDVAATSYQALWKSKHGRAYLQVEKTSWRSTAPINEGARQGKKIKAWKSGISEFISSWIVHTPAELQASAVDWMQKQRRSPLPLIWKRKTTSCIHDCPFRPLSLQDFKSLASKIKSRSAFHALRA >Dexi6A01G0002050.1:cds pep primary_assembly:Fonio_CM05836:6A:1932072:1933849:-1 gene:Dexi6A01G0002050 transcript:Dexi6A01G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVVGPDENGLKKVIEYRFDDDGNKVKVTTTTRVRKLAKARLSRSAIERRQWPKFGDAVKEDAGSRLTMVSTEEILLERPRAPGSKSDEPAASGDPLAAASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTESFTDRPPTSDGPPAAGGATKGAYVPPTLRGGADRSGGDVMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >Dexi7A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:7A:17458181:17460247:-1 gene:Dexi7A01G0006180 transcript:Dexi7A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALRTDSSSNNGVGDGGFLAACRERRLWLCSAAERLRCAVVGFAGKLGKIARDDPRRVAHSLKVGLALTLVSVLYYTPLFNGWGETTIWAVITVVMVMEFTVGATLSKGLCRVLGTLAAGLVGVGAHLVADLCGGKGEAILLAVFLFLAASAATFSRFIPEVKERYDYAMAIFILTFSLVTVSSYREDPGDLIELAHERITTILVGVAICLFTTLFVFPIWAGEDLHELAAGNLDSLAEFLEGMGSECFGENSSCENLEGKAFLQVYKSVLNSKAKEDSLITFAKWEPIHGKFRFRHPWNQYQKLGALCRQCTSSMDALASFVITLKKAQCPEANPVLCLKIRATCAAMSLHSAKALRGLSLTVRTMTGPSPINNDVSTATKAASDFRAELSEDVALLQVIHVAIVASLLSDIVIQIEGITESTNSLARLARFKNPAEIRSRSNVVINIEN >Dexi2A01G0029580.1:cds pep primary_assembly:Fonio_CM05836:2A:40658143:40658773:1 gene:Dexi2A01G0029580 transcript:Dexi2A01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETGNARPRPRGVTDCISGLPDDLLHDILLHLRCTKAAARTGVLSRRVWTRLPDFYLWSRQPVLSTSRVAIVEGALAACSAPTLRRLAIRVDNVNLPWEGVTAAHVAPWLRFASDRVAGELFLWLPEEALAGGRKEQNLDLPVFPATRTIQIRLRRSFRLRLPPVASGAFAALTCLGIYNGEIDGRELGSLVSSSQCPSSV >Dexi2B01G0030110.1:cds pep primary_assembly:Fonio_CM05836:2B:38356609:38358316:-1 gene:Dexi2B01G0030110 transcript:Dexi2B01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINTRLLRHLALFLFLAPLTDSSLAPKINSQSTFKSQPSSIYIVHANHLAKPSHFATLGHWYTSIVATQSPRPVADHFTRILYTYDTVMHGFAVQLTGDEAQRMSDAVGVLGVYEDKPLRLLTTRSPGFLGLDPQFGAWRDTDSGDGVIIGFVDSGIWPESPSFNDSGLGPVRPSWRGKCVDAGNFNASLCNNKLVGAKAFTAGPMPSPRDWYGHGTHVASTAAGSEVRDIGIDMFARGTARGVAPKAKVAMYMVSSLSTSQIAAAIDAAVKDGVDIISISIADDVPTPFYNDIVSIAVFGAERAGVFVVLAGGNEGPKASTVKNAAPWMTTVAAGTVDRLFPASLNLGDGTVLIGQSLYTMKANGTNMVPLVINPCLKKTLTPDQIMGKIVVCIFSSEDKGEDDDDQGQGVDIVETMQRAGAAGIVSVLTSSWSPDDALADDTAIFPCLGLGYAAGKKLRAYMASEPYPVASLSFACETVIGANRAPMVASFSSRGPNPVVSELLKPDVIAPGVNILAASPGDAGSQDIPRNGTYQLDVTGRRP >Dexi6A01G0001360.1:cds pep primary_assembly:Fonio_CM05836:6A:1201700:1204270:1 gene:Dexi6A01G0001360 transcript:Dexi6A01G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLWLLLILAGLGEGEAASSTAPALFVFGDSLIDSGNNNNLASLAKANYFPYGIDFADGPTGRFCNGYTIVDELAELLELPLVPPYSEASTVQQVLQGANYASAAAGILDDSGGNFAGRIPFNQQIQNFESTVSQIAAASSSPATADMVARSIVFVGMGSNDYLNNYLAPNYDTRRHYSPQQFADLLVGQFAAQLTRLYKAGARKFVVAGVGSMGCIPSVLEQSAAAGGCSTEVDGLVQQFNAGARAMLDGLNGNLAGAKFTFLDTFRIFKAILANPAGFGFSVVDRGCCGIGRNGGQITCLPFMPPCADREGYVFWDAYHPTAAVNVILAREAFHGAADVMAPINVQQLARL >Dexi2A01G0012950.1:cds pep primary_assembly:Fonio_CM05836:2A:15400134:15402609:-1 gene:Dexi2A01G0012950 transcript:Dexi2A01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPPRIRRRPKKTVRLSLKAFQSWQPPSGRDIDWASELPMDLILHVFHLLDPVELLRGGAMGACRSWRRATRDEPMLWRHLDMRGYDDPCRRSHVPFLVFLQAAVRRSQGQVEAFWADYCDDDVLLFLAEQEYQLKSLRLIRCGYFTNKGLLASMMKFPHLEELELSACNNIYGQEVFSAIAISCPRLKHLRNIKSFRYEYETEDDDSEAIAIASMCELYSLELHNHHPTNKTLMTILDSCTQLELLILRDCPKLRMDDTLLAKCARVKIVTLRGDDYVHCKITRYRFRPWSSACNPLRAVRESHWDDLAHLVDGFFPEDIEDYIDYSRYLNGVYVTDLDDDEDSRMLVKSMRRYLKINTGV >Dexi7A01G0023840.1:cds pep primary_assembly:Fonio_CM05836:7A:31711858:31713477:-1 gene:Dexi7A01G0023840 transcript:Dexi7A01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASHAENGQQYHLMLFPSLPSPPHEQASSNSSSLLAPPFSTTAPSGRQERVVEPSCTAMATAKTSSKPTLGEETPRMPSADADKRGAVYNADADRNRNFAVDDVDDDHAALPRTTTSTPTTSRNSTRREDGNAEDDEPSSCHGCRPQAEPRVANRDAVELKPNLLPSLPRLDLQQAHGEPSSPTFPFSSATSLRTPSPPIPSVRPSSPPSLHAHLA >Dexi8A01G0003690.1:cds pep primary_assembly:Fonio_CM05836:8A:2834717:2834968:1 gene:Dexi8A01G0003690 transcript:Dexi8A01G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAVASAATGGGGGGGVDTAAAGASSSPASAAAAAAGPAVVKWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRG >Dexi3B01G0027860.1:cds pep primary_assembly:Fonio_CM05836:3B:23585235:23588112:1 gene:Dexi3B01G0027860 transcript:Dexi3B01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDETIKSAQVKVEVHYNSSSSPPRSPEAAFGKTDAQATYRETWCCDCRARNVLFGSQIDLCRDSTKSGKAAASDAGQARLQKAVTGGGAQGLAPPVFASWTTAPAERKNTLQFFLLSQLNTPSSPWESAARLPAVARQRHPTLGRRDYKRRRAGGRAAERGAGLSAAGVRLLDDGTSRAQDLPSDLLGRILQLLELPEALSVACWCPAFTYPMANVLV >Dexi2B01G0013510.1:cds pep primary_assembly:Fonio_CM05836:2B:22200714:22200961:1 gene:Dexi2B01G0013510 transcript:Dexi2B01G0013510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGIEAARWVVGKALGPASSGLLEAWAASSELGPNVEALKMELLYAEGMLNNARGRAPRLSMSLG >Dexi7A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:7A:16392212:16394159:-1 gene:Dexi7A01G0005240 transcript:Dexi7A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHVGKKWKSIRLNIQNCYHAGYFRIIINFVAFAICYSNRPLFAILYFFSFVLDGVDGWFARKFNQGKSSHKDVKHTSNWLLKLYYGYRTFMAFCCVSCEVFYIILFLFADEKSTSLLSVCRVVLKQSPLIVLVFISTLVGWAVKQVTNIIQMKTAADTCVEFDAKRSK >DexiUA01G0023460.1:cds pep primary_assembly:Fonio_CM05836:UA:47940437:47942834:-1 gene:DexiUA01G0023460 transcript:DexiUA01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDHPFADDISSPIAAHILDFCDDGSGGDLFAAVNVASDMFTAASSDDASSSSVTTTTTPQAPCSHGDNVSSGAAAAAFSPMPSLDSTLSALLEEDDPSVPDTELLLPIDYHQFAAAVAVDEPQQPEQGQLPVALEQPPALQTQMSSNASELMQLASSAYSDKCFAAAMAGAGGGGYVGLEEVLCQQQPPPQPGALLPAGVMESTVQGCLFTKDTAAVAVQGGGFFGTAGGCTGMVMSMMGMEEIGEYQRMMESASAALAATHSPDAAADSSVSTAAQMAAFAGGNAGEMQRMRDEFLGGARQMGGSMSPGRMPAATEASTLEDQSFKSARISVEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGDTSREMQGHDGYDQIAGLKGEDMLDPDALQAHLSGMNSYMYNHTVESWM >Dexi3A01G0032240.1:cds pep primary_assembly:Fonio_CM05836:3A:36992923:36993909:1 gene:Dexi3A01G0032240 transcript:Dexi3A01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHAKFGNAALLVAVAVFFAGGFILSPEDSFGGSPGTTVVKVVHLLCLATSWGATIWAIFVGGLIIFMNLPRHMMGGLRGKVFPACFRLTAASTAMSAAAFAWLHLHHPWQAAWAVEHRQLVVLLTAVGLDLTNLFIFTPRTLKVMQERHKVERSLGIGGQVFLDGWRCNVQAAATSSAALAAVNGRFRAAHVRSAVATLASSVGLAAHTWYLAGKLEL >Dexi4A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:4A:23044056:23045623:-1 gene:Dexi4A01G0019230 transcript:Dexi4A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKSSGDGARVCVTGGAGFIGSWLVKKLLQSGYTVHATLRNIGHEEKAGLLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPLRHDATSTKVVRSDLPTHHQKSIEIRDAHPTKTSQTDRVLNLAPGWHAQYKSTAEAARDAARVILRQCAASGTVKRVIHTGSMSTCSPLKQDSTGFKDAVDESCWTSLDVDYPLRSAKYDEYMLSKLVSEQELLGYNAGENPAFEVVTVPCSVVAGDTLQGGSTVSMDGVMSPVTRDEHHFGVLTMLQQLLGSVPLTHVDDVCDALVFCMERPSMAGRFLCAAAYPTVTDIVDHFAAKYPHLDILRVKEALPSVQAHSNKLGELGFRYKYGMEEILDGTIDCAVRFGFVDASKLIVQG >DexiUA01G0025480.1:cds pep primary_assembly:Fonio_CM05836:UA:53713302:53718448:-1 gene:DexiUA01G0025480 transcript:DexiUA01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGTRPHSIEVEGSLLPLEGSLDLGTLPGLEHNRLVSSSVRALRVPSIIPDSVDLGPLSKPFEVAQWLLHFEEEASSVNPHGFRSARGNEAFETSVPRRGILEPSSFEVFPSRVDALNAPQFDTTARLPRQTPRKLRHNQSIVRLTRPAPLRLPGRTWLLEYCRARLHGRSINSTAMLMPRFSLAAMAQFVDPAPWKPSNATKTCLESLVEVGVLPPNVDGEPPVWISPGAATEPDPPAGYVVSLVRFHERGLGVPVGRFMRALCFHYKVELHNFSPNAISQAAVFVAVCEGYLGIEAHWDLWRHLFFGELFSEGVSKGVRRPTRAGGLVLQVRRNRKDLYIPCSMVSNNQDWDKGWFYLRNDGGPADEEAGLLVLRGVTAGAEGKACSVDRGAQAPFEARLPLMQRRLPLYKMTPGADLAGTAMAAEPLPVATAVQRARRAVDRLPDDPWVVPMRPEDGYLSLGVSRSQYSRPPVPEDKAVNRALAETAKEVKDRREARRKRKDRKRKKHLAENREREEQGLSPLPTPESSPDPDGSEEDGGARSPSPFELPVSSRASPGGAAPAAASGGGGVEIVDLEAPPSTVVPASGSPSGAATAALEEPQGRGEAPERPSAVEDAPALGPGVEVPQVEPVVSTGGEEASRVTPQGEADASTGGEASRVAPQGEASVSAGGEVPGMAPQGEAGASTGGEVPGAETEAPHRTVSFLRCSLVVDVSPLSLTELFSCRKEDAARMAIRPARREKIEWSRRRRVDWDGKGSGVKRKTPVGDEQEPLSMHFSFDHGMPASIALCGRREVSHES >Dexi4A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:4A:4230330:4232337:-1 gene:Dexi4A01G0005910 transcript:Dexi4A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi2B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:2B:23155636:23159432:1 gene:Dexi2B01G0013950 transcript:Dexi2B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVVSAFLKTVMGRLFLLLEKEYNKHRGLVEETQSIQQDLRMIAAAMDDQLCILGRDERTAVARLYSEEILDLAHDIEDCVDRFMHRLRCKQRRSKGRAASSFVNRVAHELKKVQSRSSYADEIHKLKRRIKEAHQRVIEAIPRPISCSRQPNGLPTTVASSKPCHVTRKPVGIEKPMEELQLFLDDVDGECQQLRVISIVGFGGSGKTTLARAVYDSPHTMEKFHCRAWVAATDSSLETRGRVMSILNDIHQQVVPRDTMDVDNNNLEVSLKEYLTDKRYLIVIDDVKIDEWKIINSAFEESSTSSRIMLTTNIQSVANMCSHGNGYVYQMDTLGVEDSKKIAFSGIRSPELEHGSAALLAKCGGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSFLKEKHGHDNFSDLRKVLLENYDSLSGYALSCFLYLGIFPNNRPVKRKVLTRRWVAEGYARSESLHGEEDIADEHFNKLMDWNIMRPIETRNNSQVPWLLAAIDRRLDGGVGCRGSGCWETAVAGVMVAGDALGLERRSDIEEVWGGRGYVGGMPAWQRWRRRPG >Dexi1B01G0006510.1:cds pep primary_assembly:Fonio_CM05836:1B:5341282:5343094:-1 gene:Dexi1B01G0006510 transcript:Dexi1B01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTHYLYLFLALLLPLFLLKHLKNRARNNGMKLPPGPWRLPVIGSLHHLLRTPLPHRAMADIARRLDAPLIYLKLGEVPVVVASSPDAARELIKTHDVNFASRPWTPTMKVFMVDGEGLVFARYGALWRQLRKISILELLSARRVASFRHVREEEVDRLVAGVAAAAGDGEAAINVSERIAVLITDTAVRSMIGDRFGRREEFLENLAEGIKINTGFNLCDMFPSSRLARIVGRGTMRRAEENHRKNSELMEYAIKQHEQRRAADGDGAVEEEDLVDVLLRIKKEGGLEVPLTMGMFKAVILDLFGAGSETSANTLQWAMSELVRNPEVMKKAQAEVREKLQGKPTVTEDDLADLRYTKLIIKETLRFHPVVPLLLPRECQESCKIMGYDVPKGATVFINVWAINRDPKYWDDAMAFKPERFEAGMVDFKGTDFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGETPPSELDMSEEMGLTVRRKHDLYLRPVVRVPPRVTP >Dexi4A01G0016420.1:cds pep primary_assembly:Fonio_CM05836:4A:20077745:20079201:1 gene:Dexi4A01G0016420 transcript:Dexi4A01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAAAAATVTRVAQRVVSPSAPTPRGELPLSWLDRYPTQRALIESLHVFKGRAGAGADAETPAKAIERALAAALVSYYPIAGRLAVSDDGDLVVDCTGEGVWFIEATASCTLEDVDYLEYPLMVPKDELLPHPTYPASDPLPEDSLILLVQVTQFACGGFVVGFRFCHAVADGPGAAQFMTAIGDLARGHAAPLVTPTWAREAIPSPPGAAVGPLPVPTELRLQYLAMDISTDYIDHFKNRFLDQTGHRCSAFEVLIAKAWQSRTRAARFAPGSPVHVCFAMNARPALAALRGGKKQAALPDGFYGNCYYIMRVSATAEAVADASVYDVVRLIRDGKKRLPSEFARWSAGGEGGGGEVVDPYRITSDYRTLLVSDWSRLGFAEVDYGWGCPVHVVPLTNLDYIATCILVKPSAHKPGARLITQCVAADDVDDFHRSMMRLD >Dexi5B01G0026690.1:cds pep primary_assembly:Fonio_CM05836:5B:28395419:28396136:1 gene:Dexi5B01G0026690 transcript:Dexi5B01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARPLRPAAVARKWLEDPRVGYSGDLSPDASESERQTLSSMVMPGARVSLAEPGRVVCSLRVRAPLTDADGRWHAGAIAAAVDNVCSAVVFTVVGEPTVTVHYSLSYFSPAHPNEEVEMEGRVVSRKGKLTAAAVEVRKKESRELVAIGRQWATPASPTKNNKSSKL >Dexi9B01G0010810.1:cds pep primary_assembly:Fonio_CM05836:9B:6817793:6821538:1 gene:Dexi9B01G0010810 transcript:Dexi9B01G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAAGSSNSLYLLLLRQAPWYGDFLLRCVSGGGGLSRGRRFRGAFAWFGLGKSTAIRTVKVSNLSLNAVKREITEFFSFSGDIEYVEMQSESEWSQLAYVTFKDSQGAETAVLLSGATIVDRAVIITPAENYQLPPEAHRQLSGASPTTESAVRKAEDVVSSMLAKGFVLSKDALNLARSFDERHNILSNATATVASIDRQYGLSEKINMGRAIVGSKVKEVDERYQVSELTKSAFAAAEQKASIAGSAILSNQYVSAGASWLTSAFGMVSKAAGDMTSMAKDKVERAEEERKAIMWEERNGLVSEYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >Dexi3B01G0036450.1:cds pep primary_assembly:Fonio_CM05836:3B:39319774:39321216:1 gene:Dexi3B01G0036450 transcript:Dexi3B01G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRNFFLSARKGRKSAKDKADADCQSVLSAPLSTQAAAPSVREKRRWSFRRPATKVDAGAASGGHAQGPLASSSSHCFSEAEVHVVVVQEQDHHDAVVAEVPTTAPAVASLPPPASVGGEVDEETAAAIMIQSAYRSYLARKALCALRGMVKLQAMVRGQLVRRQADVTLRRMQALVDAQRRARAERLRLLAADDDASQQRRRNQLATTTSPAPVSRRRSPQQSRPRKERGTEEEEHVKVVVEVDNGGAPRRGSRCHATTPAAKAEVYKKVSPTPSALTDASARTVSVSGRLDDASLGSPSCEPRRRAVAPPPFGASWSPSYMANTKSWRAKARSQSAPRQRLSSASEPAVGVTSPSPSCGGEAGRPPSAGGLRRRSSLDPLDLPGAGGGALRRGVGRCAARARASPLRPGGEGWSSSSLGRRGSVHAPWQG >Dexi7A01G0008480.1:cds pep primary_assembly:Fonio_CM05836:7A:19731628:19735734:-1 gene:Dexi7A01G0008480 transcript:Dexi7A01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGASLLSSGGMADYGGGLTVPVVLTCLMAASGGLIFGYDIGISGGVSEMESFLQKFFPGLLKKAAHANQDVYCIYNNQALTAFTSSLYAFGMVGTLVASRITRRVGRQAIMLAGGSLFLAGALVNAAAANIAMLIVGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISIGYLIANLINYGTSRIPGWGWRLSLGLAAVPAAVMVAGAALITDTPSSLVLRGRHDDARDALQRVRGKGVDVGAEFADILAAAEHARRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMDIGGILASGFAMDRYGRKLLFVIGGALMFTCQVAMASIIGSQLGNGSKMAKGYAVTVLIVTFVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVAINLGLNFLQAQFFLAMLCCFKYGTFLFYASWLVVMTAFAVALVPETKGVPLESMGHVFARHWYWGRFVKDQKVGDEST >Dexi6A01G0005130.1:cds pep primary_assembly:Fonio_CM05836:6A:4669134:4669622:-1 gene:Dexi6A01G0005130 transcript:Dexi6A01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSGGDMKAFFRQQKAHAATKPTGGVSKKASAAAHHHQKAGAPALHVHASTDHGADASLQAEERERAAREFDMDMRYGPCLGITRAQRLRRATALGLATPPALLALLCTDDQPCLWEGRV >Dexi9A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:9A:16094375:16094684:-1 gene:Dexi9A01G0021120 transcript:Dexi9A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVGASTGSGSSRSGSRPAATATATAKQLLSKLRSTWRRGAARPRPAAAVRFGYDLHSYSQNFDDGLSSSGHPLFGASSV >Dexi5B01G0013420.1:cds pep primary_assembly:Fonio_CM05836:5B:9530070:9532298:-1 gene:Dexi5B01G0013420 transcript:Dexi5B01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPASMRHHRGLAAKPSAAGRSSVVRFRVSATAATPAAPPKSSGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTSFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKIIAIGQSDDMPLLKNLKRIPLVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >Dexi9B01G0017400.1:cds pep primary_assembly:Fonio_CM05836:9B:12232969:12234389:1 gene:Dexi9B01G0017400 transcript:Dexi9B01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRPSSRPGPASSPDLVLGDGIEAKFDGWPAAEPVDLWAKWVAKLRPLHEPRGRELGVLEGILASTYRVRRRGSEAATLIQLVPFWSPHTNTFVFPWGEATVTLEDVGILAGLPLAGREPLYDYDRLDMQDLRALDAVRRGHVANQSDTTGWVEHFLLRRCSRRHDEEELLEHGAFLSMWLSHFVLPAPPLGAVPAERVLPIAVRMARGDMVALAPAVLASIYSDLTALKRHFVWERRTDPPSVSSPMHILQLWVWERFPELRLRPSPASIPGSSSSGGAAVVPRAARWHDVGKEVEPGYVQAVFKAPGRFEWRPYGIRAQPLPSFAMCLHPCELVGMESIEQHSPHRVARQLGFDQDVPGMITRLNTDSWEKAWETYNIGTRWEK >Dexi2A01G0026670.1:cds pep primary_assembly:Fonio_CM05836:2A:38252249:38253470:1 gene:Dexi2A01G0026670 transcript:Dexi2A01G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQLVVALLFLLPLAGASDHDGFIRQVTDGGGLSRSWPGLLPEAQFAAFVRRHGRRYSGPEEYARRLRVFAANLARAAAHQAMDPSARHGVTPFSDLTPQEFEARLTGLRAGGGGGDVFQRLVRRSMPAAAPATEEEVARLPDTFDWRERGAVTGVKTQGACGSCWAFSTTGAVEGAHFLATGNLLDLSEQQLVDCDHTCSAVAENECDNGCAGGLMTNAYAYLMKTGGLMEQSAYPYTGTQGTCRFDASKVAVRVANFTAVPAGDEGQIRAALVRRGPLAVGLNAAFMQTYVGGVSCPLVCPRAWLNHGVLLVGYGARGFAALRLGYRPYWVIKNSWGERWGEKGYYRLCRGTNVCGVDTMVSAVAVAPPP >Dexi3B01G0017740.1:cds pep primary_assembly:Fonio_CM05836:3B:13092770:13093843:-1 gene:Dexi3B01G0017740 transcript:Dexi3B01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADANAAAAADDDTLLSLSLGVGDIYRNKAPAAARAKNDGPRPPLVSTPTPPAPMDAPPSPPPVAPFYTPLRLDALPMVGGGTSAFTPVLITSNAPTTPLRSHHKASTDDDAIIGFVPTTLTATRPDDGDAATPPAPRKRSRSGRRRSSAATTNTVIRHVASPPQAKIVVIGDEETADVEGGLHVAPPYEWSTERVGVHHSLAELSSRGISTITGELKCKRCDNLVAMSLDLDSKFRDLCGYISRNVHGMDDRAPARWKEPPLPDCGKCGQRGSMRPVIPADKHRINWVFLLLTEMLGMCTLEQLKHFCAHTRQHRTGAKDRVLYSTYMELCNQLMPDGIFDMASERQKRARPNGY >Dexi4B01G0007780.1:cds pep primary_assembly:Fonio_CM05836:4B:5601521:5607548:1 gene:Dexi4B01G0007780 transcript:Dexi4B01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVYMVENISYFLNRHLLLGQGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPSGQNLRIREDLQVRNFHKLAQGCLPLGSGLHAKLSLINNDGKQLMNEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESSEGETVMFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHVPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKQIEIQASQNKIMDEKSLIKKYQNEIRRLKEELDQLKRGILCSTPLKDNDILWKQKLACLPHRSQDIVLNGERNDLFVPMEGLDETLEVSSKGEKKNRKGLLNWFKLRKHDDGSAALTRSYGDQSSLRKAFTAPSTPLGVGHNFETEQGMSNSFLPENVSADLLSVDHEEFHSDGLHGGEAPLDGRKTTDHVHVDLLREQLKILSGEVALHTSVLKRLMEEAGRSTTNEHIEFEMKRVNDEIKGKQQQIANLERQIKGKLDYLEPPLSHAELLEQLNEKAFELEVQKFPMSLYFHIYFYMY >Dexi7B01G0015550.1:cds pep primary_assembly:Fonio_CM05836:7B:21613294:21616177:1 gene:Dexi7B01G0015550 transcript:Dexi7B01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGGSGCGGSGSAAPPWDLAMHSWAATAGSPYPQQQEPFVPRPGGAITSHYYQQQQELTCLKLGKRPCCWAGAAAGSEQAAGEGLLLPHVHGGGGAAGGGGASGTAAGEGRRKEKPAASGAAAVARCQVDGCHVELADAKEYHRRHKVCEAHSKAPRVVVLGAEQRFCQQCSRFHAISEFDDAKRSCRRRLAGHNERRRKSNASEAMARGAAHPHGVVAAFGHGFLPPCGLPSAASPAGALSLLSSARGGAAGAPWLVVPSAPDISARSSAALDELIAENRAALLASWHFFSDSDRSGPGRHLAPPSSASAWHPHHHHHHRSAAGHATLDLMQTAAAATTAARPDAGAPEPPVRPVPERAAAAASRPPRTKKEGDGCGSDAWASSLGGARAM >Dexi3B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:3B:8816202:8816945:1 gene:Dexi3B01G0012570 transcript:Dexi3B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGPEAMRTRPGDDAVGNGGEARGLLMISGGAWRIAPSGTSRQAAPPQWSRRCLEHVEHADLGPQPCFGRGGEGADLGLGEVLCSWWMGDLAGTIRGGPAIWDGSPGEERDRKRRARRSHLCPHREMKTSEWNLVRISGSLRHPQPPVASSSPLLSLSLLSVLPLLLAPLSRVLSLSCSGADGCVAQRRPREASQGRRRRPPRTRGRGWHGGRCELLLRLQLLDSVQHRLHLARSSSIWCSIDSIR >Dexi3A01G0030330.1:cds pep primary_assembly:Fonio_CM05836:3A:34285581:34289061:-1 gene:Dexi3A01G0030330 transcript:Dexi3A01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGLGLLLDLTSRLPRGGASTAAHSHAGFSAAATVAAAAFATSGVPLSARHLYGSVLFPLLPPRFIGASAFSRCDPWLRAWLHAPPATPIPGFTVAHCDAGTAAGWNEASDLIDELNTQIRDRIQQARTDYIQYPTKEYPSELKPLFSAFGLKNFTITTLRSFLLYYLPLVQPKPHTDSDDDEDLLPEAHEEKPVDLVTPFKNSVKQIVREATHSLGVAAAWVVQSIIEVYRCFIRCALGDVAGPVIAIIVFERMQLPL >Dexi2B01G0034370.1:cds pep primary_assembly:Fonio_CM05836:2B:41626003:41627756:-1 gene:Dexi2B01G0034370 transcript:Dexi2B01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRHFRRGLEAVAPATRTSSFAPSSPLPFRRLPDLLPTRVLSPRLLSTSGRDDDDGNKPWSFAADSGDPDPFAHEDAAADAGEALPVGPAAVADEPWAKGFGVEDGENGDVFEGIYKEAASVAPASGQAAPAGDEEQWTLSGDEKDPFADAVLGEGIDGIQGEGGGLDELGAGEDAEAELKRQQNKEREEELMKILKGPNRAFGDLIASSNITEGMIDSLILLKDVRDVPGLPPLSEIEDEAIEKLNATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIEPGDGKFIVNEKEFDAYFPILDHRAELLRPFSVTKTLGLWDVTCTVKGGGVSG >Dexi9A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:9A:10369129:10369750:1 gene:Dexi9A01G0015530 transcript:Dexi9A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGAGDEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKEGFG >Dexi9A01G0039590.1:cds pep primary_assembly:Fonio_CM05836:9A:43501013:43503528:-1 gene:Dexi9A01G0039590 transcript:Dexi9A01G0039590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASEMEAPASQVSAAAMAADHQAAEEAAAAGSEEAQPAAAAVPALYVGDLHEDVAEEHLFEAFSKIGTVTSVRVCRDNATSRSLRYGYNLSDYVDNASLQELFSKFGDVLSCKVAKNDDGTSRGYGFVQFASQESADAAIENLHGSLFNDRKLNQMST >Dexi8A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:8A:2027969:2028256:1 gene:Dexi8A01G0002950 transcript:Dexi8A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQTGPTIVPGSGAAIGKLTKQMFINTGPRERKRQGMAEEDAATEAPACAICVEEKFGDRLSVMPCSHTFHVACLAHWLAISLLCPCCRRALLG >Dexi7B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:7B:22171301:22172386:1 gene:Dexi7B01G0016210 transcript:Dexi7B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVWDSNMCGNTLNKSDGCVVDDTTNDEGDATAVPGDCEGGPEDGNTSGVDSDAAALEDHNGGGEDDSTALSEDCESGSEDSFDEILSTGRGVQVLAIRANFPISTIFGYDWHTTRCIYVRSEGEVQEEGMVDLVPMGPRLMLMAYAAFGLEVTGDEGPPIQQGWDAADPDEDPEEYTKTIWAGPGRKLEVTYLVIPNALEMNVEVRLKLNDLGSRSRAVCGNIKVRAPDYGNHSVHLFSCPRSRKWPVPSGSTSVLPLSPPVIALPYSWELQLHVDVDLTVTTTCDNQEEDKHLKFSLEFTRGIRSQEREFDDGQVEVVTWHTLCLV >Dexi3B01G0026940.1:cds pep primary_assembly:Fonio_CM05836:3B:22370978:22371552:-1 gene:Dexi3B01G0026940 transcript:Dexi3B01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQYQFEGDQAAITVSVAIARGAHHGAFVDTSFVEPRRERYSALAAGDGVPHEGLEPRAVDEEGEAVAASEEAQVVSAVAPRKGEARGGDAVGPAQRGHELDVAVLVGEEAEEGRRPVVGAEAAEEDGVGEEAAPAPADEGGAGERGGEWREAEKDLPVEVVVALAPAPAPARWYPRSYGRLLCGA >Dexi2A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:2A:7910598:7911020:-1 gene:Dexi2A01G0007750 transcript:Dexi2A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQEAPPLRFNYSISLLLLLLIASSLHGAAAVPTGVLCNHKTYGDDDPFGASLVQLLQQLVLLTPYEGNLYASLPHTGALAYGHAACLPRVQLPDDCEKCLRSAIKQILDTCGYNRIGAKAMLADCLVHYEQYAFID >Dexi6A01G0019980.1:cds pep primary_assembly:Fonio_CM05836:6A:27559461:27559685:1 gene:Dexi6A01G0019980 transcript:Dexi6A01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRGGPAPRGAGADSTARGPPLGGAAPLLRGATPATASGSVEVRRGESGELRRRRLGTGAEGTARWGAWVGC >Dexi8B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:8B:1488603:1492020:1 gene:Dexi8B01G0002150 transcript:Dexi8B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLPRLLLRRRGSSPTAHHLPLLRALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPFPADARHKKAVPFRYAPLPEDLHAVSNWSATHEVHARVLLQPDSLQALEDALATAHKERRKLRPLGSGLSPNGIGLSRAGMVSLALMDKVLHVDAKNKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGATLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSKWRAPKLTSKYGKDEALQHVRSLYRESLKKYRYAHMLWFDNPSYNATMVGIIMYLPTSDARQRKDITEEFFSYRSLAQSIWDDYSAYEHWAKIEVPKDKDELAELQARLRRRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLEPVHQAK >DexiUA01G0002900.1:cds pep primary_assembly:Fonio_CM05836:UA:6131585:6132156:1 gene:DexiUA01G0002900 transcript:DexiUA01G0002900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDQYIASYNHFKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLSSEPEFLDKHIPVSKIPVGQMKVPKFKISYGFEASEMLKDLGLGLPLAQKQIFQSWLMHLLDRSCLKTTDFIADHPFLFLVREDTSGVVLFVGHVVNPLLAT >Dexi9B01G0017530.1:cds pep primary_assembly:Fonio_CM05836:9B:12325767:12326459:-1 gene:Dexi9B01G0017530 transcript:Dexi9B01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSFKEAQARKARALAEKCFLAGDVPGARRWAQSAARLAPDLPGTAQAAAAYDVHAAAAAARKQQPINWYAVLGLARPCSSGSGSGAGVLAHGNIKRQHRRLCLLVHPDKNPSAVADGAFKLIQAAWQALSARYPPAAADDAAAAQPPRRRPDPPPRPQPTKPRQRRAPTKPRGPHQEWGKSNADAARAPQAAAPPTPAPAGCCPSCGALTPRGKRSLRPID >Dexi1B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:1B:4380955:4381358:-1 gene:Dexi1B01G0005380 transcript:Dexi1B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDCGYRAYTVISVDDLYNPFASMYFGASYLAWLSHYEGREQSYEFIVQAYLGGPENVNLQETGPLWNQFLEALTQYQDPKKYACAFCLKLCLTFFSKKSFCLR >Dexi3A01G0027070.1:cds pep primary_assembly:Fonio_CM05836:3A:23687916:23691558:-1 gene:Dexi3A01G0027070 transcript:Dexi3A01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTPTPAPAAPSGKGAKRSLMSSLIDATALLRSSSFKEDSYAAAALPASELRALAELRALLATHADPISIWGVPLNPHPSPPPPADAAAVPAAAAAPADERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGERLARFLRWRVQVMERGVRALTLRPGGVNAIIQVTDLKDMPKRELRSASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEVSAEESYTLCVEKTRMVMATAEEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >Dexi5A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:5A:4680522:4684702:1 gene:Dexi5A01G0006370 transcript:Dexi5A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEPYPDVPPICLMRREVERRAETEAGQFGWGVGAQQRQRGAGRAGEGDGDDARRRRREAGKGFGLEPDRAAGWCGPRSDATQGFPNRREPVRFDRFPTKPPRTAREGPHRPNPNPPLPQRPRAPSSRRKIASSAATAARARLTAPVAPPDGWQIYGRRTGYRFPTPASRPGEALAARPGVRYGFDDDDEGDTPLPSNIVADKINPADLRNTHVGKRKYHIAPSKVNPKRQRGQATGKGKQKEVEVLSDEKTDDVMMVMIAMMVVAVVAAVAVLLAVAGAEACRPAAADAVPAACHYQLIHRPIDLHGYLSAIRPRLTGRVVYSAPSFSPGAVYVMG >Dexi5B01G0026120.1:cds pep primary_assembly:Fonio_CM05836:5B:27960324:27960614:-1 gene:Dexi5B01G0026120 transcript:Dexi5B01G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSAASLLVLALLLLICAGAFSAAGAGKGGSGRNAPPPCRDLATRGECAARGACRWCRGEALDDMCFGASEAWRLPRQVFSCDPSSGAANARK >Dexi9A01G0042800.1:cds pep primary_assembly:Fonio_CM05836:9A:46316795:46317205:1 gene:Dexi9A01G0042800 transcript:Dexi9A01G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAFPGQALAAPHNISELLCIHGKEQAAAPRHSTRPERIIAHGLTSRHVTLGHAAMDGTWVDRVLNARRTAGGWVRKKSRQLCSGVHVCSVVVGLGSQLMSAGRRSQARRRSARRLRRVATARPPGVAWPEGERV >Dexi1B01G0002770.1:cds pep primary_assembly:Fonio_CM05836:1B:2324814:2325236:-1 gene:Dexi1B01G0002770 transcript:Dexi1B01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISLGAAAPSSPAGAKKASYGGVESEAELLRRRNEELEREVAALRSELGAARRRAEAAEEAEERLCAQLGDAEVEAVEIARAYQDQVEHLARELAAARAAAVSSPPPPPPRRASRDATGAFSGMMEYIL >Dexi8A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:8A:10491851:10492192:-1 gene:Dexi8A01G0008580 transcript:Dexi8A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSATRSRLSGAAPASDTVWQEQRRPRARGHEQASTRTPPSPWRLLLAALLLFAGGAAGCLPLLSLLCVVASIVGFSFDLFDSALIWHRFVQFPFSVCSISAAACWMYLRFV >Dexi9A01G0046430.1:cds pep primary_assembly:Fonio_CM05836:9A:49872533:49875676:1 gene:Dexi9A01G0046430 transcript:Dexi9A01G0046430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLRNYSDDEFSVAGEKPEVEFMDFQNDNTLQDFGSEDGPVVVTAPFPFEDGKPKSVLVGETSADTISIENISPEPVTLWSIRIFSSNPEDSYVLSMMKPPLNDADEETKQSFLGLTSVEDRTLVPGQTLTIWLSCMPKDIGLHTSIVHVDIGDEKIERVAFLLADDHISKALFSDKPYSRRHSQNKKFEPAPIVPGCRPTRQHTQGFKYKLPQFAIPADIRELIESKQRPDVLSEELSMINYAKFFSTLLVMEEINLEEEMRAYDMEGVSMRRRGMNFLSLEVPGLAERRPSLVQGDFIVARYARNDTRPYQGFIHKVEADEIFLQFDNQFHHNHHDRNQYHVSFTYNRLNMRRLYKSIHESEFLGPGILFPCQSPCRYAKRQPFRPLNPHINDEQAAAVAMILGCRGVPPYVIYGPPGTGKTMTIVEAILQLYTANRRANILICAASNTAADHVLEKLLHASYLIRPSDIFRLNAPSRQYDDVNADFIRFCFFEDRVFKCPPIQALLRYKIVISTYMSSSLLQAEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYSKQAEKEGLGISYLQRLLFDFEQYQTGDRNYVTKLVKNYRCHPAILKLPSELFYGDELVPCKEDEVSSAYDCIGLPNKSFPVLFVGIQGCDEREGTNPSWFNRIEVSKVVSIIRNLTKDDAVSEAEIGVITPYRQQVAKIKKALEAFEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDKFFNLGFLSNYKRFNVAITRAKSLLIIVGNPHILTKDRNWDRLLRYCADNGSYQGCPLPPPETYSDSEENNSGEDQGGPAGWGYNQEESTNYNYNQEPYDFGFRRDGGAQSAATNNRMEWSEELPVDETQPFNNTEADPDEETPKQHVEEGAEPGDVQPDQCSTNDDQLQHECPEKYTFPPGWGDFSSIPATGWGD >Dexi9A01G0006760.1:cds pep primary_assembly:Fonio_CM05836:9A:3838718:3839285:-1 gene:Dexi9A01G0006760 transcript:Dexi9A01G0006760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALICDTEQWKALQGHVGAIQKTHLRDLMADADRCKAMTAYAAKLKEKIEKMFKGEKVCMMM >Dexi5B01G0025880.1:cds pep primary_assembly:Fonio_CM05836:5B:27804734:27807749:1 gene:Dexi5B01G0025880 transcript:Dexi5B01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNGRSVSAVGIQMPSAESKMVLEPVALPVTASPVPRWPRLGVVMVATRAAALVMALLSVWLMVSSKQQGILTIFGIEIPLDANWSFSYSLQFLVGMSAASSAYSLAHLLLIAHKVVKKIPMVPSRRQTWVLFAGDQVFSLAMMSAGSAAAAVSNLNRTGIRHTALPNFCKPLPRFCDLSAASIACAFLSCVFLATSAVIDVIWLSSLRDE >Dexi4B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:4B:6656313:6657108:-1 gene:Dexi4B01G0009280 transcript:Dexi4B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKIRKYFLWLLPHPSPPSTTPISPPVLLHRVFATASPFAAADYLVAHCGLSRAQARKASKNLSHLKSPSSLDAVIAFLAGLGLSRADIATVVSNDPPVLRADVEKTLAPRVAKLSNLGLSRPEIGRLVLASGNQFSSKLFLRKVELERQIYGSLGKPFQVEHLPSRQFVHTLDFWLRISSSLDDPLRIVKVNNGVLSIDLEKVAIPNITLLQQRGIAVPHSEVPSRAVQSLLTKRTEHLIKALAARRCSSVYY >Dexi9A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:9A:6412392:6416418:1 gene:Dexi9A01G0010520 transcript:Dexi9A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGVCVLFLSLYSILRKQPHNFSVYFGRRLAEEKFQRQDDYFSFERLLPTAGWIVKAYWCTEEEIRQVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKEMTHNHIPAESLNVFTIANIIEESRKLWVHCIALYIITISACILLYNEYKYISRKRLAHITGGPPNPSQFTVLVRSIPRSDNELLDDTIRNFFVNYHGSSYLSHQVILRRGHFQRFVDRAEKAYRKFVSVRRSMSERNGRSSMSRCGVCGVRASSFQVYRNKFIEAKKEDLTNPEVVNAQKATFFITYVLTSGWASLCSEILQVYNLVYNFFSKCICCCQQNSEYVYSFPYHTEVPKVLMFNLLGFAFAIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTIVFSLVLMQVIALGVFTIKKSPVASGFTILLLVGTILFNEYCRHRFSRIFEAYSAQDVIELDRDDEQSGRMQEIHQHLQDAYSQTTPGEGSSRSGGQVPIEMILEDPAQDASESSQELCDTVQEMSDAIHEHNISEEGKAHSV >Dexi9B01G0023150.1:cds pep primary_assembly:Fonio_CM05836:9B:18155694:18157132:1 gene:Dexi9B01G0023150 transcript:Dexi9B01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQAAVFKPLLCEIFESTEAHEIHNSRRNPEQRKAIIFGRNDLTLRNSGAGTTHTKPPRSRREAPVERNQTQNMERYLAAGLTSVSGSGRHSMSCSICASRPPHPPPPREPAEDSALIYLAMDGARRRQERARGRELEVERERGREGAQGGGEERERNGDGEGQVVSDIRKVRGPVPVTQEEITERDGDGQQGKWMLAWPFMSATHSNDEDNGNTIRSFVRPSRPNVFTWKTCRRREKRGNDAFKKVSSARGRRHHGFRLPP >Dexi2A01G0006980.1:cds pep primary_assembly:Fonio_CM05836:2A:6729190:6730516:1 gene:Dexi2A01G0006980 transcript:Dexi2A01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAGGGEVRHWNAEINGISIHVAELGPATGPAVLLLHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSAAAAGDADEYSILHLAGDAVGLLDHLGVAGAFVVGHDWGALVAWHLCLFRSDRVRAVVALAVPYLPRGHRSLMETFAARGDGFYIVQFQEPGRAEKAFGRYDVATVLNKFFSIESEDFTAPPGVEIIDFLEAPASPPSWMTKEELAQYAEKFQKSGFTGPLNYYRMFDMNWRLTAPWNGAKITVPAKFIVGDKDIGFKSGTEDYIKSEDFRSSVPGVEVAIIDGHHFIQQEQPERVNAEILSFLEKFTSKEA >Dexi2B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:2B:4464024:4465989:1 gene:Dexi2B01G0004760 transcript:Dexi2B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGKEVVDMSTDEESDCVLVCPPNGNADHEEVVSGSNDEDSPERQETIGSHMDSNGQEDVPVNEVSPELIHEQESSLTNSPAKPAIAGQQGSSRTVPEPCTVTAEKRVRQSHPGSLSNLTILLTVKKRTHIRSLLPRTGKTKKTTVAVAPTFVCDNRAEKRGEFYTKLEEKRKALEEERLQAEARKKRQEF >Dexi2B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:2B:12938808:12943829:1 gene:Dexi2B01G0011340 transcript:Dexi2B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSMEAAAAVLSGLVPSGSAVVVLFAYLGYLAAAGAILPGKLVAGAVLPDSSRLHYRCNGLLSLLLLLGILAIGAYTGWMSPTVVADRGLELLSVTFIFSVLVSFGLYFAGIKSRHKSSSLRPRVSGSFIQDWWLGVQLNPHFMGVDLKWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNEVELSRLVGLANICIFVIGYYLSPEYVIVLYLPRTCFLHSDLIYHTSYHTVHSYLVFRGANKQKHLFKKDPKAPIWGKPPKVVGGKLLASGYWGIARHCNYLGDLMLALSFSLPCGFNSVIPYFYPTYLLILLIWRERRDEARCSQKYKEIWAEYCKLVPWRILPYVY >Dexi3B01G0002690.1:cds pep primary_assembly:Fonio_CM05836:3B:1790415:1798145:-1 gene:Dexi3B01G0002690 transcript:Dexi3B01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRHADEGGQLQLMEPDRMDEEEECFDSIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKWKSVVRITTGSQALDDLLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGDGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRKILTLPPIISGNGAPPSSAGPPAPLISERRLSIPLPVAFPTGFRRPSSAGFRPTAAHSDAAAFCPRLRRLAPAICSGYHLEQ >Dexi3A01G0004240.1:cds pep primary_assembly:Fonio_CM05836:3A:2804384:2805826:1 gene:Dexi3A01G0004240 transcript:Dexi3A01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQNHIHGQSAANLCYQFGSDNPLLGMGIQQPFVPFTSPFGASSSTNIPHMDWNPATMLDNLTFIEDKIRQVKDVIRTMVDNGGQLPCRQGDLTQQQQVVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPPAGHMDTVNHVGSSSSFIPNVTTISEENKEDMCSPEDYEELFKGFTDGAMEGGIEIDNVFVEEQDAKDGDEGGDASMDGENLPPGSYELLQLEKDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASAEHELVKRYSCPFVGCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHTKKFSVMADLKTHEKHCGRDKWLCSCGTSFSRKDKLFAHVALFQGHTPALPADEPKNSSDQISRVGSHQEPAKFPSSMSSSFMWGTSSSDDRGLDIKGLAGCSEDILSTGNFGSFNFSFGPADGFTGEPSGSTFSVLPPEHFQSAQKKGKN >Dexi3B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:3B:8668085:8675601:-1 gene:Dexi3B01G0012390 transcript:Dexi3B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLAANCVAAACLGSWPRELHCALPSSPTARSRVTDGSAGGALDAASAPDSGILWAIGKATAVLGMDKSTLEFRTKSTALEMDDEEKELGPHEIFEMYREEWIISYGKNDANSFYKPTELHPMRHTDGPLLPTFLMPRDTMEVFFVKVARLAGGLQWPLDVYGDIALRDSLDHMRNYLFRRDRNNCQTLTSPQACPMRPSRAVLLLDEPIFEIDLKVKVEGSSSSHDKVLCLDYFGYNNIAYRGTSSYAKTKEVSSDNCTMEFKFAHVKRSVEATITARMIRITSGSGSFSARFTAHTTSIIGEDVVLLDSQGREVAVAEDGEVALQRRVVVVGEQCELVIGMEAMLLGGGDAAENTSISHKLCFYARSAFRSRGYFVIGSIRIQIVVGWSLLP >Dexi4B01G0013120.1:cds pep primary_assembly:Fonio_CM05836:4B:11317116:11318624:1 gene:Dexi4B01G0013120 transcript:Dexi4B01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTAHLPVPLLRRLTRKPFLAASAAHHCHWSPSVSSSSSDDESPLAAELFPAAGAPTLLSLFPAAGAPTLLSVARSLAVESPPPSAAAVLGFLRRLPHDASPHIFPHLVAALARSPRPILALRLFLSPPSPAATTHHSFNSALVRFPLPPHLLPAFFSHSLRRFPGLTPTLLSFNLLLKCVSSSLVTRNPGLYLASALRILHDVIPAWNLAPDKFSYSTVVSALADAGQVEDALALVHEMVVDGVVAAEAFNPVLRAMLRAGDANGASKLFRFMQLKGCTLTAATYNVLLHGLLLCGKARAAMGIMRRMEVEGIVPGLMTYGAVVDGLVKCGRVEDAWKVAEEMGNKGIAPSEFVFSAVIAGFCRLGEVDRALMVWETMAEANVRPNIVLYSAMIDGLARLEIHREPSLPGNR >Dexi2B01G0026030.1:cds pep primary_assembly:Fonio_CM05836:2B:35184879:35192947:-1 gene:Dexi2B01G0026030 transcript:Dexi2B01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGTRGRDPTVDPSSLYFVDAAHPYAAAAATALTSHRTKSKWSHLSSVPVPSPLPASATAAVLLLLRRRPHTALRFHAFALRRLLPSHSPPPLVLSASAAHVAAASRLRGAALAVLASASRHYSPAQMFNALAATYRRFASAPFVFDLLVISYLRSRRDALAAASVARRILAAGARPLPSTAASLLRSLPSAAAALDMYHQIYTHSSPQNNHLLLPTVQTFNSLLLAFYREGKCDEFKIVLQEMDKYSCKHNVCTYNIRMAEYCDRRDVKKARGLWEEMIQEGIEPDVTAYNTTIGGYCRAGEVGMAEEMFKNMQMGGIDPSAMTFEWLVRGHCMAGDAEAAMLVRADMRRRGFGLASEVVEELLDVLCQNGRVEDGLGVLREEMRRGEFVPTRRSYKVLIRSFCDEGEVEVAMRLQAEMAGKGFNAGSEVYHAFICAYEKSEDYEMVDKLRKEMEHNGRLEQVAFIPGQVLLFGNNLVRGLVTALPLKTRQMRALDLNVLHFQLASPPPLPSENWRITPRKMTSTPTRHLLLLVLVASLRCGAEAGYGDGGEGFCSAEPSSECSGGPPLYWKVTHPTLAPAHLQDLPGFTRSVFKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPKDVERFSALM >Dexi4B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:4B:21614180:21614969:-1 gene:Dexi4B01G0019380 transcript:Dexi4B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVSGGGGRVLLATVALVVLTAAASASAQTCSPEADTLRVFRNSLRGPGGDPPRWLDEWVTNPFPCGGGGDAPQWIGIRRCAGGHVVAIDLEGLGLEGAAPDLRILAPLQGLRSLSLAGNNLTGAFPDVSPLPALKSFFLARNNLSGEIPDGAFAALRGLQKLDLSDNAFTGRIPSSMATSVYCTI >Dexi1B01G0015560.1:cds pep primary_assembly:Fonio_CM05836:1B:22136374:22138591:-1 gene:Dexi1B01G0015560 transcript:Dexi1B01G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLLPLVLLFALLPPSCVGSGGGGGEPAEFEIPRDGTVVELDESNFDAALRAVDYLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIIITKVNADKYRKLGSEYEVDGFPTLMLFDHGVPSEYTGPRKADLLIENLKKFVAPDVSVLDSDLSIKGFVEAAGVNFSLFIGFGVDESLIAEYGAKYKKKAWFSTAKDFSEDMMVVYDFDKFPALVSVNPKYNEQSVFYGPFEGIFLEDFIRQSLLPLTVPINKDTVKLLKDDGRKVVLTILEDELDENSPQLIKVLRSAANANHDLVFGYVGVNQWEEFTETFDVKSSQLPKILIWDTKEEYELVEGSESLEEGDYGSQVSRFLEGYRSGSTIKKKVGRGSPTLLGLNAIYILIFLVVILVALMYFSGQGEEEQRPRRAHED >Dexi2B01G0032970.1:cds pep primary_assembly:Fonio_CM05836:2B:40702423:40702843:1 gene:Dexi2B01G0032970 transcript:Dexi2B01G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSDSTDDRCKCSSKQRPSLPPRFTSAAGGQRKKKSGSTSSSEQSAHRAPDMRLSAPSKGTRPLVGLPKDPLPKPPAPGVGVKGDRPLGALAAGVPPPASELHAK >Dexi3A01G0021730.1:cds pep primary_assembly:Fonio_CM05836:3A:17322716:17325312:1 gene:Dexi3A01G0021730 transcript:Dexi3A01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSKLYLVCYNSLQSIGWFIALLRLLPCFASPVSAHSAYAVTGDLICFLQTCAILETVHAAIGLVHTAPLLAFLQWGGRTHFVLAIVRQIPEVQSSPSVLITFMAWSISEVIRYFHYAMTTLKVCPSWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKKRDLYSSFFKKFSMSYHSFLVGVLVCYPFLWLKLYLHVFKQRKSKLGKGSRKKRV >Dexi6A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:6A:3592247:3592833:1 gene:Dexi6A01G0003910 transcript:Dexi6A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAGTSGGAHIAGSNKNSKTRWPEVVGMLAEDAAKVIKRDMPGATIEVMSSDEPAFMDFLPHRVRLFVDTVAKAPTAELELAGGKSSWPEVVGMSTEEAQEVILSQKPGADIEVVPVGRAVAGDLKANRVRIFVDTVAEAPFVG >Dexi2B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:2B:4357457:4358325:1 gene:Dexi2B01G0004700 transcript:Dexi2B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVAAVATEVPATEVESEAPVAVEAEAKPAAKGKKGAAAKEKKAPKEKKAAKEKKPAAAKKPAAHPPYAEMITEAIAALKERTGSSSVAIGKYVEEKHGGKLPTNFRKQLTSQLKKLAAGGKLTRVKNSFKLPADAKPKAAKPAAAAKPKAAKPDTKAPKPAAKPKASPKAKAKVAAKPKAASPKPKAKAKAVAAAPAAAAPRGRGRPPKAAKTSAKASPAKKAAPAKEKKAAATPKKAGRPKKTAAASPARKGAARKAKK >Dexi6A01G0014990.1:cds pep primary_assembly:Fonio_CM05836:6A:22327800:22332306:1 gene:Dexi6A01G0014990 transcript:Dexi6A01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLASWPWDNLGTYKARHICIYYLLCGPLLAKAVGARAWEIASPDHWCFLLLLLFALRAATYHLWGVFTNMLFLNRRRVIVRHGVDFEQIDKEWHWDNFLILQLWLAAIALYAFPSLRHLPLWDARGLSVALLLHVAATEPLFYLLHRALHRGQLFSDYHSLHHSSKILQPYTAGFATPLEILAISGLMAVPVAAACAAGLGSACLVFGYTLAFDFVRAMGCCNVEVFPGWLFQTVPAARYLIATPTLFGLEFIRYHTIHHTDKDTNFWADEIPGFVFLVHLVDVMASMHSNVTSRSHASLPHRATPVTVLLCPIASVFMLGMWAWSKTFVAYFYRLRGKLFQTWVVPRHGFQYFLPFAKDGINKQIEMAILRADKMGVKVISLAALNKNEALNGGGTLFVTKHPGLRVRLVHGNTLTAAVILREIPEGTAEVFLTGATSKLGRAIALYLCRKRVRVMMLTASEERFKKIQQEAPPEAQQYLVRVTKYQSAQQCKTWIAGKWLSPREQLWAPAGTHFHQFVVPPILRFRRDCTYGKLAAMRLPDDVQGLGVCEYTLGRGVVHACHAGGVVHYLEGYEGHEVGAIDVDRIDVVWEAALRHGLRPA >Dexi3B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:3B:14554006:14554312:-1 gene:Dexi3B01G0019530 transcript:Dexi3B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHGNGACFLGCTWRPRKDVAGGRRVDTDGSARDSHDSVGDLGSGNGSSRVCGGDDIAISRPATASESSRSSGNPYFFPASAAPPRCRLRL >Dexi4B01G0003830.1:cds pep primary_assembly:Fonio_CM05836:4B:2672728:2675369:1 gene:Dexi4B01G0003830 transcript:Dexi4B01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGQAGRRGAGAAAARRKAKEAAVGAAARVLFYPTLLYNVVRSKAQAEFRWWDEVDQVVQEFSKKNAELPAVTSDCAKASPASEAVPLTEANLDGNDAPVALTEAASLSGLKTSPSGPVIKMLSYL >Dexi2B01G0033020.1:cds pep primary_assembly:Fonio_CM05836:2B:40730488:40730834:1 gene:Dexi2B01G0033020 transcript:Dexi2B01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEQELGLSLGVLIDVVDEQWMRDTLPADDIPVPPAMAIKTEDAEDPAPSSTLTFSLPFTFCLAHSSLLRFVPIQTIAKRQLAETK >Dexi6A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:6A:28323774:28335276:1 gene:Dexi6A01G0020930 transcript:Dexi6A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSAPSSPRSNKANIEEELQTLKATISSPSVTIETIVDGLDKIVSIYNCIDELTCLPSNQRQQRKAVDEELERSLVLLDLSNAMQENYGELKVSVQEMQMVLKRGDVAPLSVKVQSYARLAKKAQKQFKKINSKAASDIEGCRVVMLLAEAREIAVSMLESTSHLLAKKIAMPSASKWSLVSKAFQKKKVVCEEEQLQALELDIRQQRKAVEEELECSLILLDLCSAMQESFTELRTSIQDMQLALKRGDDVAVQGNARCYARSAKKVQKQFKKINNKVALDTGSCRMIKMLSEAREITLSMLESTLHLLSKEIVVPSSKWSLVSKAFQKKRVACQEGQLQSSSVLAMASILRSESLPSSLRSDKINIEEQLESLKATISSGTIETMVDGIQSLGGVYNNIEEMMCSPSGQLSLCRPQQKKAVEQELEKSLILLDLCNAIQENISELKTSIQDMQLVIKRGDDSALQAKIQSYIRLAKKAQKQFKKISKKPTTVDQDNCRVEEELQILEACTSSPSITIETTCDGLRRLGEIYSSIEEVMCLPSNQVCSSQQRKMLDEEMECSLELLDLCNAMHEDFSELKAIVQDLQVSLRKGDEAAVQAKIQSYFRLVKKAKKHFKKAAKKVTSDREDCRLLRLLSEAREITSSLLKSTVELLAKQIAMPKSSIVLKAFQKRTSVVCKEEQLQAPAKGQTHSTQHLLDKLHSSSTSTKRRAPATAMAFHQRSISLPSRSASKVEEELQILETCTSSPSMTIETTCDGLRRLGDIYSSIEEVMCLPSNQVCSSQQRKMLDEEMECSLELLDLCNAMHEDFSELKAIVQDLQVSLRKGDEAAVQAKIQSYFRLVKKAKKHFKKAAKKVISDKEDCRLLRLLSEAREITSSLLKSTVELLAKQIAMPKSSIVLKAFQKRTSVVCKEEQLQVLECNIRDLEDGAGHLFRRLVQSRVTLLNILSP >Dexi1B01G0015660.1:cds pep primary_assembly:Fonio_CM05836:1B:22231740:22233543:-1 gene:Dexi1B01G0015660 transcript:Dexi1B01G0015660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSADMDGEATARGSPFMLLLAHADKVDMVLMPLGLVGAVGDGLEFPLSLILFIRICNDIGHGPDLLQKFSSRISENTRNLVFLALALWVMGFLEGYCCARTAERQASRMRARYLRAVLRQDVEYFDMRSGSTSEVVTSVSNDSLVVQHALAEKLPNLVMNISKFLGCYALGFVAVWELTLVTLPSVPLLVVPGIVYGRVHVGIARRIREQYTRPAAMAEEAVSSVRTVHAFAAEKSTVARFSAALEESVRLGLKQGLAKGLAIGTNAITFVVSAFSLWYGSRLVMYLGYQGGTIFSVSDAIVNGGLALGSGLSNVKYISEAISAAERIQKVIQRVPKIDSASDAGEDLADVAGVVEFKNVEFCYPSRPESPVLVNFSLRVAAGRTVALVGSSGSGKSTVFALLQRFYDPSAGVVALDGVDIRQLRLKWLRAQMGLVSQEPVLFATTIRENILFGKEDATEEEVIAAAKAANAHDFISQLPQGYDTQVT >Dexi5B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:5B:8563609:8563975:-1 gene:Dexi5B01G0012040 transcript:Dexi5B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTRWRKYFLSTSPAASAARCATSTSRSVVTSPTAAAPRPPAPATAEVLTDPIGGRPRARTTTADGAGEAAGRRRRRGRGLGFAEAAPGRGEAGGRVVVVEEESIGDGKEAAAAAV >Dexi6B01G0010010.1:cds pep primary_assembly:Fonio_CM05836:6B:16131745:16132638:-1 gene:Dexi6B01G0010010 transcript:Dexi6B01G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRIRISFSDPDATDSDSDDGSTSAASAACASSSKPPIKQTKILILHGSNSTITSNKMSPAAACARRRAQATGSSSASRSSRNRVPPTRRSYPGVYERQPGLWAVEFRRHSIKVRHWVGTFATEAEAKAAYDAFEKQLLSSSPAPSPERRRGGGGNIAGDVHRRSRASESRREPDENRQVVLALAAPPRTKARTMVTSATACAKAAASVSVPSAPLFISSSTPLLPLRTIQFKKNARLCDVPTSLHSLWADEPIDEDDLVGLADLAHLPLPFSDDASMDFEFDPADLSLFDNGFL >Dexi2B01G0019300.1:cds pep primary_assembly:Fonio_CM05836:2B:29449207:29453589:-1 gene:Dexi2B01G0019300 transcript:Dexi2B01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALLPSLSSRGLARAALVPQGRGAAARCARGVRWQAGRRRTVGVRCDAAVAEKPTEEETAGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDPSALADGGELEIRIKPDQDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAKKVVVSTKSPKADKQYVWEAEADSSSYVIKEETDPEKMLTRGTQITLYLRDDDKYEFADSARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEESKEGEEAAEGEKKKKKKTITEKYWDWELANETKPIWMKSPKEVEKTEYNEFYKKTFNEFLDPLAYTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYIKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKDDKEDYKKFWESFGKFMKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPETQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEEKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGETSSLEFMRGRRIFEINPDHPIIKDLSAACKNEPESTEAKRAVELLYEAALVSSGYTPESPAELGGKIYEMMTMALGGRWGRLDMEEAEASVEADSSEGVATEVIEPSAVRTESDPWKE >Dexi1B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:1B:2622394:2623280:-1 gene:Dexi1B01G0003280 transcript:Dexi1B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAQASAASPSPRRSPPRLPNAASAADHPALTPSRGATGDDGWTDPENPPAAATPPSSPPADVSTAVVDSRHHTSYAKYVPPRAASRTADPDPSRAQGWYSWSGRRSAPPPPRRARPDPPPPRRQRPVEVPPPQPQAPPPPPPAPVYAPAPPPARLPSPAAAPVPTPTQPPVQFRSGDQTMTDILKRQRQATAMQRTALVARGAAAGLCLAALAVLAADTHKGWALESYSNYSQFRLPR >Dexi3B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:3B:15442256:15443108:-1 gene:Dexi3B01G0020460 transcript:Dexi3B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRRGRTSSPDIIPTDFEDEDREENCNDGSKESSSIDDRSPDVRPKRARRPRRSPAKAIGNIDNSIEDNDDSVGPRDLVTASPLRGEMLAWGKNGTRSQTRHGNASGSSGRMPKGSRVAKMVDQLRKADDFDICCSSVVAATQRSRDIH >Dexi7B01G0001770.1:cds pep primary_assembly:Fonio_CM05836:7B:2985158:2990047:-1 gene:Dexi7B01G0001770 transcript:Dexi7B01G0001770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGINMPGGGGGDGNPSPFSSTPECRMRRRRRLAPPPMAAAAGSGDAAAGPSREKRVQLASPSSSSSPPPSDGSAEDSDDDGEPGEEEGVDVEAAAPPAGPPPPQQQQLIPAAAWPVAFGFLSVAGRSRDMEDTVSIHPRFHTWVDGSPMHFFGVFDGHGGSHVSELCRDQMHVFLADELTAEEATFSERLRRRKLQAAAGEGTSTSSSSTSGGASTTSPLQEEAEEERAWRAALERAFGRIDAMASLACACGRIVSPPCRCPLAGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGPAGAPPVPLSVDHKPNRADELARIQAAGGRVVYINGHRVRGILAMSRALGDRMLRPEVIAEPEITITNRTPEDECLILASDGMWDAVPNEIACSVARQCLQDGSPAADVDDAAAVAVGPEPDARCTNAASLLVRLAYGRDSWDNISVVVIDLKQRE >Dexi3A01G0004300.1:cds pep primary_assembly:Fonio_CM05836:3A:2826004:2827464:-1 gene:Dexi3A01G0004300 transcript:Dexi3A01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAPSVEEKLNNLQRLLHRAHVIVEESEERIVTNQAMLRQLNRLRKEMYRGYHTLDTMRRRAPDADGDDQNRAVAAGRSFTTSRFNPAKRLRPRGGSSSSQHERAIQVLGDLETAIRDVRELVVFLSGCPRLCRQPYTVHLLVGKCMFNRQMEMEQIMEFLLQGEDYSGAVEEGTDVLPIIGPGRVGKTTIIEHACNDQRVRSHFSQILRFSQDSIRDVRTIATLGDCSVIKLHDVGGEKMMTLVIIEVAGDIDQGVWEKLYSDCRHQIGRGSKILVASRSDKIARLGRRRTPLTVKFFTEEAYWYFFKALTFGSTDMNDHPKVAAIAMDLARELNGCFFSASVFGGLLKANFDARIWSRALAQAREFNRMNFLIFGADFVDLWQFVDPVFIGRSGYASSEYLVILDDYQKRSVDEDSAQSGDGPQMSIHDVFIGQNVRPRGRFKVLGWRSHIPPHYSYMMTCELVQKQQLVFPRKKRIRHVAS >Dexi9A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:9A:2705332:2705686:1 gene:Dexi9A01G0004930 transcript:Dexi9A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPKPTVSWVSLFGGLFVVVANLTPFARHAIVALMFHILAYTRYLHVDTAMWGKSVKCAILDLLCYIHTQENSLLVAEHCYY >Dexi2A01G0021900.1:cds pep primary_assembly:Fonio_CM05836:2A:33847504:33848595:1 gene:Dexi2A01G0021900 transcript:Dexi2A01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKPADVVVAADGSGNYTTVNAAIAAAPSKSEKPFSIHIKRGLYNEFVVVPQDKPNIVLSGDGMDATVISGSRCCADGFNTQDTAILTVHASGFVGRDLCVKNTPGPRKDLGQAVAFLSDADHSVLYRCALQSYQDTLYCRGRFSRQFFRECKIAGTVDFIFGEAAAVFQNCNILARLPILGQQNTITAQGRGSAGEKGGFCFQSCTVAADEDLAAQGKGVQTFLGRPWKLFSRVVFVESILSEVIDPLGWLPWESQEPPDSIFYAEFDNKGAGAATGGRVKWRGFHGDLDASQASEFTVDRFIDGGNWLPGTGIQYTPGL >Dexi8A01G0016080.1:cds pep primary_assembly:Fonio_CM05836:8A:27268656:27269770:-1 gene:Dexi8A01G0016080 transcript:Dexi8A01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGLHDFAGEVIHSSRYKSGTTYSGKNVLVVGCGNSGMEIAYDLASHGANTSIVVRSPVHVMTKEIIRLGMTLVQHAPVNVVDDLLLKLSNFVFGDLSRHGIVTPKAGPLLLKAKTGRSAVIDVGTVLGNVTKLNGNIVEFEGGKKRPFDAIVFATGYKSTANTWLKNGESMLNNDGLPKKGFPDHWKGANGLYCAGLARRGLAGIAIDAKNIANDILSSYRA >Dexi5A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:5A:2214189:2216190:1 gene:Dexi5A01G0003010 transcript:Dexi5A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRHASQLLKEIDSSEAGQLAPFNSDVFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQVCLLFLCDLLLLAIPSGNNVILNLL >Dexi6B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:6B:7407499:7407723:-1 gene:Dexi6B01G0006750 transcript:Dexi6B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREEGTPRGASGGAPAVEMPRRRCRCRPAPQVEILCRAAGGDAAPRHRWTSPAALQVQITCRRVKMREEMGS >Dexi9A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:9A:10110538:10114627:1 gene:Dexi9A01G0015200 transcript:Dexi9A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKGHEGFGQVAAGGQGSHAAALPWWTGPQLLCGDTAPLSPEEARRDGQFQVVPGARGTPDPAPPAAAKRGSPEVLKFSVFQDDKGEKVPEHSTTIALQSPFPEYNGRFEIGLGQSMSSGRLLLPLNAPADAPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKETGSNVNQGGKLATAAPSATASPSYEPPRPQGLGNGSNPHCHSRGSVSSLSNSEVSSMYNHHEDHPSHHQYGGMEQHLHEDHPSHHQYGGMEQHLRAPPFFTPLTAIMDGDHGGAAAIPSFKWAASDGCCELLKV >DexiUA01G0024920.1:cds pep primary_assembly:Fonio_CM05836:UA:52058817:52060304:-1 gene:DexiUA01G0024920 transcript:DexiUA01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQFMVELQGLRAVDGEDPPRVLHFNPRLRGDWSGRPVIEQNTCYRMNWGASQRCDGWRSSPDEETVDGLVKCEKWIRDDDGRSEKSKTGSWLNRLIGQKKEANFDWPYPFVEGRLFVLTISAGLEGYHVSVDGRHVTSFPYRTVGYWSYLQLIYVSCGFLQGFVLEDATGLFLNGDLDVHSVFAGSLPTTHPSFAPQNYLEFSTVWQAPPLPDEPVEIFIGILSSANHFAERMGVRKTWMSAVRKSPNMVARFFVALHGRKEVNVELKREAEFFGDIVFVPFLDNYDLVVMKTLAICEYGVNVVSAKYVMKCDDDTFVRLDSVVAEIKKVPGGKSLYIGSINIQHKPLRQGKWAVTYEVKLMQFLFGSY >Dexi8B01G0015800.1:cds pep primary_assembly:Fonio_CM05836:8B:26547908:26548222:1 gene:Dexi8B01G0015800 transcript:Dexi8B01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGHGDEDKEDIYQRLSRKVDMISDGIDEQSRLLKQLKAQIIENKKNAGVIDLTPWVVSISGSFLLFALYNYVQH >Dexi6A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:6A:6841317:6841889:-1 gene:Dexi6A01G0007000 transcript:Dexi6A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCARDWADGLPADVLLAIFHRLDHIDILMVADRVCHTWCAAARDEPSLWRRITMRGDEGIARRINRCGMACEAVRRSAGQCEAFCGEYAGDDGFLIYLAQQSPCLRSLRLISCSGVTDEGFIEVVKALPLIDELELSLCDNVGADGV >Dexi9A01G0036540.1:cds pep primary_assembly:Fonio_CM05836:9A:40935741:40942683:-1 gene:Dexi9A01G0036540 transcript:Dexi9A01G0036540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRGAALAPAPEAVPAGGVAAAGAAADEVVRSVQPTEASERRRAEVVDYARRLVGAALGCEVFAFGSVPLKTYLPDGDIDLTVLGNTSYDSNLVNDVSCILESEEQNSDAEFVVKDLERINAEVDRKVGKTHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEVLILYIFNLFHKSLHSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEATITHTDDLLFDKEFLKSSVDKSHASPQNSDACYTRFRPKHLNIIDPLKENNNLGRSVNKGLQDFAKWEMKMNSHLLVLGSGENLHRA >Dexi6A01G0006460.1:cds pep primary_assembly:Fonio_CM05836:6A:6230715:6232953:1 gene:Dexi6A01G0006460 transcript:Dexi6A01G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASTAPAYPSTTFSKSMNNTTTTASAARRPCHVRRRATSSRIRAATSSGRDDTNFNNLLWLPRRDVLAGLTLTGVTAFPGVAFADLPTIYEGCGRGESKVTDDLLGCDVINNLPCPPRKKVEVVNFADLPRPKNVRVRRPAHELTADEVARYKKALAKMKELSPSNPSSFAAQAAIHEAYCDGHYHIDPTEKNRKFDVHFSWIFAPWHRMYIYFYERMVNHYVDGKDAFALPYWSWDVPAGMAMPDMFKDATSPLYDQYRNPDHLDAVVDLDYHLGRKQLPPVTLEMKTSKPEFYEDAVDRNLGTMFCTEANFNINEINKRSGRRLRKEVGELKGDASGSLERMAHTAVHVWAGRPGPPKDVKCTDPEAALGHDRELHCANDMGFLGSAARDPLFYSHHSNVDRLWHLWSTKLKGGKGFDEPEWLNTSFLFYDFVNDDDDTMRLVRVTVRDVLDTAKLGYTYSEPDKTTSGYKDWMDYKPTRRLSAPVTGTATTAAAAAKGGDAKGGEFSLELKVGETVVVPSVTRPARDESGMRPGVEVLVIDSIDFDPGSTTKFDVAINAPKESAEKVGPQYGEYAGSFASVQAAKEKPGDRRVVKLAIPIDDVLADLGVGAGVPVNVVIVPRAGDVKIGKAPKIEIQYC >Dexi5A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:5A:4050327:4054303:-1 gene:Dexi5A01G0005440 transcript:Dexi5A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLTVFCSIGLPSGHISYVKNVKPGMPLFLFNYSDRKMHGIFEAACAGQLNIDQFAWSDGGRIKTQFPAQVLISVKTQCHPVPESQFRSVISDNYHRPRHFYFELDHAQTRALISLFKPAPVHDVTNKWDPSKSLQYPTTKSYLNPGPTKSEPYTKDLGPFGVSSESHYVAPYKLPDPEGEYAIASRTSSHLDEESSNWDDFDDVMTKEGTESVNDDHQHINPPHEELNDTVAIRRKLQELYVLRQQDTQSSNDAVDSASDKSMPQEAQFGAALPTDPLDSTPKADTPIEYLTSLGKCYGNAELLHIINELSKRTRTVEKQLRFAPAAAVFNSALYVTGGYDGNMYLQSAERYDPREGFWALLPSMNARRGSHSVAVLGDALYAVGGYDGSNRVSTVEIFDARANSWRIGSPFSIARGYGCAVTMDDNLFYIGGVNDAGETVNTVSLSGVTVLLSIFIVEVYNERQGWSMSGCQSVGGRAFACAITV >Dexi3A01G0032690.1:cds pep primary_assembly:Fonio_CM05836:3A:37568391:37570901:-1 gene:Dexi3A01G0032690 transcript:Dexi3A01G0032690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAVSSAMAIIAITGGVLVALAAAAAVLCSSAAAGEDVFGSDGTVPAMYVFGDSLVDAGNNDFLSPPAPKAVPPNGVDFPNSVLWRTGRFTNGYNLADIIAQHLGFKMSPPAYLSLTPLSSFNLLRGRDGANYASGGSGILDITGNGTIALREQVQLFAETKASVIRAGLVDQETLEDLLAQSLFLISTGGNDFDAFDNGVPMSQAPEFVAGMITDYLKYINELYKLGARRLALLDIIPVGCLPSQLAVTGSDGDCDAGGNSLSLMFNSLLRTEMSKAVVASMPGLKYSIASLYNTYSDMIANPALAGLREVKRGCCGGGKFNGEVSCTMASSLCANRDDYLFWDLIHGTQAAYRWAVDAFFYGPTRDAEPINLAQLMEEPLSMATAPYSSI >Dexi8B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:8B:14762801:14764190:1 gene:Dexi8B01G0008890 transcript:Dexi8B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLTFMSNRSSISLDAYFNEQLDSVVGRVLPVIVKEKICKVLHSFCFGSGLSVNLDIHSINFLPDEFKSTFALIMNHLYSVDSQAEKLVLDVIKLISQFAPTPHIPVSPLPQSRPATHRATGSQEFNALPSSEDLNANNNFDLSQMRDVHDAESKETGADDAEASLPLPVPKLNLSASKSPLNLSNDSVARVMKKLSRNNVSSQSPSYSTPSRFKTPLSGIQSNCTAIYIAYI >Dexi9A01G0003910.1:cds pep primary_assembly:Fonio_CM05836:9A:2056763:2057030:1 gene:Dexi9A01G0003910 transcript:Dexi9A01G0003910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERPLGFTLDILKEDGFGAVDHSYVGGETKGMIWSPIVVVHLCLAAGLASEYPAARREVLSPLSIFTWLLG >Dexi1B01G0027390.1:cds pep primary_assembly:Fonio_CM05836:1B:32126830:32127180:1 gene:Dexi1B01G0027390 transcript:Dexi1B01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGEEKKGKVKKGWLAVRVGAEGDERGYQRFVIPIAYLYHPLFRRLLEAAREAYGYNYSGGPLRLPCSVDEFLRLRALVERETQAAAPSSSHRVHGGGHGHYGFPSPCTRARVSS >Dexi2A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:2A:27211173:27216614:1 gene:Dexi2A01G0015800 transcript:Dexi2A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRGPASFATQANALLRKNLCVQKRNLKTNIGITFFPILICVLLIVLQNVINSELDKPKYKCGCRCLETSVDGRCVRKECGIQYSSLDQVGSCPIPSPPRWPALIQVPRADFRAIRRSSQPFDDLPDPLCRDSWSCPATVLVTGKDKAVAGAISRGLFPSLSPSLNATDFLDILSNVVAVSNAYLKFVKGAWVEMLLEYVKDMPKVGTSFQLDLSSLLSALFFTWIIELLFPVSMMRCIDVILTYLVYEKQEKLKIMMKMHGLKDGPYWLISYSYFLALSVVYMLFFVIFGSLIVVGYMYVFGSGLLGAFLFRFFVEDKTFPYGWILVMEIVPGFSLYRGLYELGQYAFSGSSMGATGMTWRSMKDPLNGMRDVLIIMTLEWALLLILAFYLDQASLLGDGVRKNPFFCFRCLQKKYAPSLHEPSFSQQDSRVILDMEKSDVALERKVVEQLLIDRNANQAIVCDKLRKVYPGRDGNPDKLAVRGLSLVLQKGQCFGLLGPNGAGKTSFINMMIGLIRPTSGTAYVHGMDINTDMGNIYTNMGVCPQHNLLWETLTGKEHLFFYGRLKNLKGAALVKAVDHSLKSVNLAHGNVGDKQVKAYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIILTSNDLSIANKIYHLSGTQKFELPKQEVKIAHVFAAVENTKRRLNIHAWGLVDTTLEDVFIKVARGAQAFNEFA >Dexi5A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:5A:633120:633733:1 gene:Dexi5A01G0000930 transcript:Dexi5A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTIIVVAAVLALAGVASAEKAGGFVVTGRVYCDPCRAGFETNVSRSVPGATVEVECRPFAGNKETLKAEATTDEYGWYKLEIDQDHQEEICEVLLAKSSDPACAEVEEFRDRARVSLTSNNGIKQQGVRYANPIAFFPKNPLGDCGAILQKYDLKDATETP >Dexi4B01G0021530.1:cds pep primary_assembly:Fonio_CM05836:4B:23513346:23513747:1 gene:Dexi4B01G0021530 transcript:Dexi4B01G0021530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWARAAAALCLAIVVALQLLAACEGRRPLLPPPGRARGHAHGHGHAHSGKELPPSTGSSPSSLPVRHDEAAAGASVGFNVAGARCKNTERKAAGGAAGQPPAAAAACAREDDDDDKRRIPTGPNPLHNR >Dexi6B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:6B:11197574:11205010:1 gene:Dexi6B01G0008860 transcript:Dexi6B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMELLATLYPLQHLLVAVSRRSNLKPLHWVKVTRAMHGSLWAESQKPDETLKAPVFDMSELETLFSAVLPSSDSRRSDKSGSRASGSKTEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVDNLIKFTPTKEEIELLKGYKGDKQVLGECEQFFMELMKVPRVESKLRVFSFKIQFRSQVSDLKRNLNIANSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSDKLPELLDFPKDLASLELAAKIQLKSLAEEMQAVNKGLEKVEQELTTSENDGPVSEIFRKTLKDFLNGAEAKVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFTRSHEENCKQLDLEKKKALKEAEENSKQLDLEKKKAQKEAEENSNQIGLEKEKGQMESETEKMKIKSDNDKACEKASENDKGTKKEMANEKTKLNNSIKELDLSLQSPAQTASAK >Dexi6B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:6B:24196073:24199316:-1 gene:Dexi6B01G0016960 transcript:Dexi6B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDGGADAWGGGDDGCSLFEGMVLFAPEPAAAEESPPTAAPVPEPDPAPRPDADAGAPSSSSAPPPLDEDLFSDLTLLAQEEPLSLQQPPLPRGEDRGHAAAPAPARAPSPPAPAAALSRQPSSASLRKKKRAVRIGYGRSPQPAPPSPPATVRASTAATISASSIAFLDASPHPAAPPTPDQYPERQVVDVSDNGDEVDAEVVVDPDTNPPCQDEEAEEDDQKEDEMAGVTAVGIEKRLAILRSQISSKLDSIQQRAAAVVARRRQLAGRRRKVAEEVTSVASRHKDLERELEEACEAEDFERAESISDSLAALEKEKDQLLTALRDAELAYDSVDSELQGVLESRIAAEEEAAALLVQFAKDATDHADSESKQSEEMSLKEMEGWQTSMELLETKKLEMEVERQLVLEARSGLEGSIEHLVEEDKLEKDMLTKKGEILAEELAGLLELVRLKEAEIAENNSRIHEVQERISVVVSRFHGSQSDIDLKLNALKEAQSKVDLESEALGLKKNEIDKFISLTEKKNSELREIIGACSSEANTCQQSVEIRRKLASSILKSREDRIGLLKMEEEILLDIQMLRQKITDARTSLQEISSRRTSIQQEMDSFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKDELHTKLGKAATDLEIIDKDIAATTDKIQECEGLIVQKEKESAVTSYKRLRLDSAAARAELAAATETDDNEEVEILRKEAEAAESKAMELKTCYDLQLEDDEFMFRPVVPIAFITNSTGQHLVEIASSFGLSP >Dexi9B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:9B:12214552:12217011:1 gene:Dexi9B01G0017380 transcript:Dexi9B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGCEGSSKTSASSSGCSTPFRLNVHAPEFVPVSPAAGSPLAAAGYYSPFFQLPSGGGVGPLGVDWSFLAEPDPTTFFLPEFIGHAEIAGATGIAGHPTGASLADIAHKIVKQVEYQFSDANLVANDFLTKIMNKDPEGYVPLSVISSWKKIKAMGVTNQLLVRALRTSEKLVLSDDGRKVRRAQPFTERHKEEVQSRMVIAENLPDDSTRNSLEKIFGVIGSVKNIRICHPQDPSSARPSRSDANALVSNKLHALIEYETSQQADRAVDKLNDGRNWRKGLRVRAVLRRSPRSATRLKRPDLDHIAASDEDRSPRSPAASHLPDHNQEDQHAGAKKPWGRGRSRAHAVAVAAAQTATHPAGHLVDSLAASNVHAPQGPRMPDGTRGFTMGRGRPSLAVAASTAVRVV >Dexi9B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:9B:6912537:6912944:-1 gene:Dexi9B01G0010950 transcript:Dexi9B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLATTAAAPALRRLSHHRAPPRSDPKLAFLRSEIDDLSLSSSRKPPPQPPPSDHQCRVTAAPRSGLASAGGAPAAVDIAHPWPEWIALMELLLRRGHVDSSAFAAGSPSPKDANAVRTACLRFGRERSELIR >Dexi9A01G0029520.1:cds pep primary_assembly:Fonio_CM05836:9A:34431966:34433457:-1 gene:Dexi9A01G0029520 transcript:Dexi9A01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQNTTRNDSDVSTSCLWSQEDLHPHLEVAAAVIQVPFHKTKSKELKAGSSPGTIKAVTAGGAHGLPRDDEASPSPLLDRLKSGGACDCGGWDMSCPIVVLDNAYDSYWADSVMNESKVPMKLFAQGRKEVLPALSMKADGNGHFSVDFHARLSGLQAFSICISLLHCSEASSDIGIEKFKNKLYSSSLKMLLKDEVRQLIDSVTTKEKKKQKSRNEKTPPSIIMNPPFSPMGRV >Dexi9B01G0025660.1:cds pep primary_assembly:Fonio_CM05836:9B:26794990:26796545:1 gene:Dexi9B01G0025660 transcript:Dexi9B01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAITAVAGELLSRFISFTINKFCSSYVCSEKEERLQHLLMRAHTVVEEADGRHISNSGMLMQLKVLSEAMYQGYHALDTLRFQLRDECMDKVSDSFSISSATRLKRRRTILSSVNRRKVLDLDVHGALKTLETVMSNIAEFVVLLDEDAPAVLPIIGGLAVGKKTLVAHSFAPLIVIGIAQVIGIRESNELSV >Dexi1A01G0026530.1:cds pep primary_assembly:Fonio_CM05836:1A:32407439:32408071:-1 gene:Dexi1A01G0026530 transcript:Dexi1A01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLYGLGARKVVFNGLAPLGCIPSQRVRSTDGKCLSRVNDYALQFNAAAKNLLDGLNARLPGAQMALADTYSVVMELIDHPEKNGFTTAHTSCCNVDTEVGGLCLPNTRPCSDRSAFVFWDAYHTSDAANKVIAERLWAGMSAGHGGAPPVAPPRVGAFVPSAAPSPAPSQGDY >Dexi4A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:4A:1421845:1424445:1 gene:Dexi4A01G0002080 transcript:Dexi4A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYTSARRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLEDATATAAGDPCYSSPPAATAIRGAAGDQSASQEQEGWVICRVFKKKNLVVHHGQSSGAAAASVTAASKQMAAAAAMDDSPSNCSSAVAISDHTKPHTMFHSSSDDALDHILQYMGNNNNKHHDTKPTLLGHHHHLAGTTTACPGGGGGLYGKFMKLPPLELAGGGGGGGVFQSPASEYGDASGIADWDALDRLAASYELNGLSDASSAKTMAACFFDDPSSTAAAAAAATDGDLWSLARSVSALHADLTMNNV >Dexi1A01G0022900.1:cds pep primary_assembly:Fonio_CM05836:1A:29509367:29512023:-1 gene:Dexi1A01G0022900 transcript:Dexi1A01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPQLRVLLLLLAVAAACAAAATEAAVASGRSSSKVPALYVFGDSTADVGNNNYLQGSAVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLALNMGFKRSPPPFLAVANKTNKQVFRGLLGANFASAGSGILDTTGSSIIPLSQQVEQFATLQRNISARISQGAADTVLSRSVFLVSTGGNDLFAFFSLFSSKNSTPSDADKRQFVGNLVSLYQNHVKALYVLGARKFAVIDVPPIGCCPYPRSMHPLGACIDVLNELALGFNKGVKDAMHGLSLSFQGLKYSVGSSHAVVQSIMKHPQRLGFKDTTNACCGSGRFNGKSGCTPNATLCDDRHEYLFWDLLHPTHAASKIAAAAIYNGSLHFAAPVNFRQLVEDES >Dexi2A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:2A:4318390:4318926:1 gene:Dexi2A01G0004760 transcript:Dexi2A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVMASAASSLAFAATAGRLPALLPATKLSPRRRAPLTVVRAQKAEDAETTKPAAEVKKPAGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGDGLLAQAGNGAGLTWFAYTAVVLSAASLAPLLQGETVEGRSGGLFTADAEIWNGRLAMLGLVALAATEYLTGVPFVHA >Dexi6A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:6A:27004428:27008861:-1 gene:Dexi6A01G0019370 transcript:Dexi6A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKSDAEEEWSDSDLDDVSDTEVGDALDWLDAVEGPDGATRPAGAFSASGGGVAARRPNAHGGVLSRPFQPISNRTQKLTSHIRASPLEEWEGRMNVGMSNSVTTAIRDSIRDGAIGKIRNTEKADRATVEQAIDPRTRMVLFKMLNRGTFSNINGCISTGKEANVYHATKAGGKELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRVKAAGIRTPEPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFEIVTIMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHVSDFFKKRGVAVMPVIDLFNFVVDQNIADEDVDAYLEKMQQKIFENGGTVPNDDEITPTVMVQTLDYMKQCEADIVNMSMMQRSSSGYEPPADKLYDQPLLGFVRTKHMQQDQLPQNIEDAPLDLQNKCILEEGEEDDSESCSSSDEDDSWHEADPKLGPEERKAARKANKKKVKEEKREARKTKKPKAEKKKRKKMAKAKCKR >Dexi1A01G0029580.1:cds pep primary_assembly:Fonio_CM05836:1A:35034324:35054250:1 gene:Dexi1A01G0029580 transcript:Dexi1A01G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFISDEELRHLGGDTAAVAERADAAIRELRRQVDTLRAEADAAAIAAEQTCALLEQRYATLSAEFDRSQAEAAELTAAAERRAAELAASQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIEQRDAEIKEKDGIIQSYYDKIVNLADSSASKEARIQEVEAKFTHCQAMCNRITQEKELLEKHNLWLDEELKAKVKSVAELRKTNMDEEAKMSARIAELEREISESSSSLRRSKERISELEQRVSYMEKELCSTKDAAAANEQRLSAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETLSRRDLEKEAANLKQKLEKCELDLENTRKSSELSLITLTSVAAGSTDLVDTTMQELNVSDQLNQNDLMLIPKVPSGVSGTALAASLLRDGWSLAKMYEKYQEATDALLHERRGRRHAETILERVLHEIEEKAELILDERAEHERMAEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDYSVAQKEIDDLEKQVAVLLKECQDIQLRCGSSLPNVGDGAFSANIGSALSNVENNIKDNMAFKDINGLVQQNVQLRNQVHMLSADLDKKDMELREGFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVESVPFCSPDSGRTDLMVLFEGSQEVSKKAYEQVSERARSLDEELTKLRAELVSLRSERDKAVLEADFARDRLNGFTAELEHQRKETNSISLRNAELMHLIVDYERRLREDSDSKQALEENSRKLSMEVSILKHEKEILEKSEKRASDEVRDLTQRVHRLQATIDTIHTTEEVQENARSMERRNHEEHIKRLERDWAELKKELQEQRDHVRVLALDKKNAFDSCMKQVEDMRKELQSSWKAASDAESRAAILEAKCSDLEAKLKSRKVIFRDGGREISATSEENDELFQLKEELEKYKEEAQANKNYMVQYKEIAHSNEVALKQLESAHQDYKAEAEVGRKALEDEIAKLRDKLSDMEKSYVMKCEEAASAIESKEKQITSLMNEISVLRTEVSQRLPQIESLEMELASSKSALGEQYKRWRTAQDNYERQVILQSETIQELTNTSKQLSSLQNEITILRQTADAQKAENDALRTIGEQEKIGLLKEKDDAVRKYNELNDQNRILHNQLEALHIRLAEKERSIAGLSSQRTDSHAEDDLHSVINYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQADSARTLMLKDEEFKSLQLQVREINLLRESNIQLREENKHNFEECQKFRDEAQKAKMESQRLQSLLLEKERRRRSGAEAGRLAPPFRPWRHEESDAGVLEGGLLLLSSSGGGGLFYFPAPASRGDPARGRQMVGGWGAAAEEQNRIAFLGSCLRLDSAFQYKMGVEENRVGEKPRWNRGLVENSKGIDLKTYEGMKNELQNIKSTLRENSMELESAKKLLSEKEVVIKNLEEKLAVCQSELDSREKKLNDVEASLKSEMDRLKKVNFSIRRKLDNLIKEKEEVIKENQSLVKQIEDIKSSQKTTSETTLEQAIKEKDFRIQVSTIGLQEKKQVEESIEKHRQAVKDVIENYPRLSSEVPTASALEEQILSYFRTAKDMEESSSPFRDAAVTQTPAVETAPVDAPASAAGRAVDTPPRPTKAKVMEDRAVATLPKPSTEARRPGGRRPLVRPTLERTEEPNADTDASAVDVTMVGQDKGGTSLERETYGSLPVLQSSNRKRLQTTDSASLGEANDSHPPSKKPKEEESSQGTSELKSGQPSVGDVAAQVGVLPSTDDQDGQQSMEEMDTDQASTPMEESEAIKDDDVGDKDDSGAHVDASLDTKGHDADVNIDIDAIPIEDVLPKSDAITESFDEDQKIEDSKEDAQLTTATDVDDEMEEGELPEESEQPLESALGETNTEPVFSDIGEQAGNAFRTSAAGGLAEKSDVDILEIEGDTVTEHAAVEPDQIPATQSGAADASPSRTAEASPAREPSPNPVQTGASSRPQNTTTTKEAGEPSPNPAPAGGSSEQRNTSTVVEAAETRSRTINLSERARQNRQARFQRAQQPATARGRGQQSPAQRVLRMLLAEDPGGVGGVVSHYAENFYRAN >Dexi3A01G0025280.1:cds pep primary_assembly:Fonio_CM05836:3A:20960981:20961799:-1 gene:Dexi3A01G0025280 transcript:Dexi3A01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTLAMVPYDPTVAPSQPVKRARPSSPADAGAMVPYDAGKLPADATEPIDAVPLKAVAPRRKRPRLPLVHFIHTKRVTDTDLEAHQNRFRIPTEGAVQRLRPIMTTEELDAANLLHDPAAVASLESETEEEEEEGEQLAAEQGQRKRKRKRKKRKGKVHGGLPVRLVDLAAGVSDSLLLTRWTSSHGTIVKGGGYMNYVRRCSFKEHDVVDIWAFKQREFRLMGTPVFHESPLYILILKRDG >Dexi3A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:3A:1880290:1880724:1 gene:Dexi3A01G0002830 transcript:Dexi3A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRVTHRDEEGKKVTEKMPIPETRRPDTAKHFERKLEEQGFHRLERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVEDELDPAPPAIDPNDPNYEEEQEEEEVAKEVVVGEVEVAKVAEERDGVARVDVAPPLLQEQHQ >Dexi8A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:8A:28187118:28193201:-1 gene:Dexi8A01G0016540 transcript:Dexi8A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMMSVIEELSGLTTKELSEMLKESDSFVLQSKTEDGGPKQVDMEKLVSSLPLHLLAVCLELGQDSDVAYVLRGVRFLHSLTELASRHTRLEQVLLDDVRLSEQVMDLIFFLLSILAQRKKVSTSSTKNIYTENNVGASPLIHASLVATSLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHEDVRLLSIRLSSTGCSATPVDSLECRLAHFICQQCEASLQFLLLLCQQKLFRDRILKNKGSPDLVASVSRLKAKILSILLQLCEAESVSYLDEVATSPSSMQLGQTLALEVLNLLKTAFGRKQKNTSDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFMTSTVPFLTQILAIPHDEFVLSWCSVNLPMIEEDANLDYDPFGATEVALLASANALTEAKANYSFLLICVTLLKPSSIVLSTEQERDLFLQNFQKYLVTGSPKLSTDQPDPKDFKATKVCTNLGSLSDYARSLIPNFLNEEDVQLLSDFSDKLQSWCKSEVGQVAQQVTHTDTPLESKEGLHLVQQPLPTPASAPDSNMNNLPKDVQTMEVPTPMPLIKAEGNAKDDTPKNSVSRNGSFLQNAVGQDLVHLGVARTASSGFSSVSTGVNTGHQRSKMDNDSASSSVDHFKTPELTKESGLQEEKGDSSMYDERQPKRRKRTIMNDEQIDELEKALVDEPEMHKNAVLLQNWSEKLSVQGPEITASQLKNWLNNRKAKLARIAKERGVPFEGENADKPSTPATPHLGESSESAGEDSYLPPARVMNALSKGRLVTPDGNDLTSQGDFSQNMMLSRPFTRSFSLEPGRLVSLVDGDGKEVGRGKIFQTPGKSPAESRVCMIDVTELRTEKWRELPHPSEASGRTFQEAEARNAGVIRIAWDVVRLAPVAP >Dexi2B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:2B:6788892:6790464:-1 gene:Dexi2B01G0006810 transcript:Dexi2B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHLQRYEDGRRLVAGCIPFRYRDIKDEQKKLVEVLMINSQSGPGLLFPK >Dexi7A01G0000640.1:cds pep primary_assembly:Fonio_CM05836:7A:1663671:1664822:-1 gene:Dexi7A01G0000640 transcript:Dexi7A01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLLLQISAAGNAARNRVVPPAVLLILQLLFTALGADAAASFIFTNACQHPVWVGTLHGATSPPLARSGFYLAPSATSRLDAPSSGAWSGTFWARTGCAVDSSTGRFSCATADCGSGDVACEGRGPSPPVTLVEVTLAGGGQDFYDVSLVDGFNVPVRVAPSGGSGGDCRAAACAGDVNAMCPADLRVVSGAGGAVVACRSACNAYGSARYCCTGQYGTPATCGPTNYSQVFKSACPAAYSYAYDDASSTFTCVGASSYDITFCPGS >Dexi3B01G0031330.1:cds pep primary_assembly:Fonio_CM05836:3B:32451683:32455891:1 gene:Dexi3B01G0031330 transcript:Dexi3B01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDMDEVPSNQDSQELRPFLGSITGAMFANHGEESAGYSSPEAGWVASPVAPHDMAMLGSNRHGVGIVDNATLFDDQSLAVAFENLNLRLTDGAADSGSVASRTRVVQKVIETISTSDEVSMVVSTLSLGAITLMMDPNGSHVAHRCLQKLSPEYKAFLLNAATEYCVELAKDRQGCCIIQKCIIYGSKEQKNRLLYNITSRALDLAEHQYGNYVIQYILELKVTWATDEILDKLEGHYGYLSMQKCSSNVVERCLKEAREPKRTMIIHELINDPKLPHILIDQFGNYVIQTAFRECEGTTVEAELINAIKPHVGILRNNMYGKRILSKTCLKNRKF >Dexi8B01G0000070.1:cds pep primary_assembly:Fonio_CM05836:8B:60810:66222:1 gene:Dexi8B01G0000070 transcript:Dexi8B01G0000070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPRDSRGSLEVFNPDASASAAGWIRPPANSKPTSPFLLPPAAPAGDDDQQEAAVGRAAQRAAEWGLVLHTDEHTGQPQGVTARPSGSARTSDSLVDDERAGTARAALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRQALAAGSNYCGRLLNYKKDGTPFWNLLTIAPIKDEDGRVLKFIGSETGRCKWSFLGMGHGNVEKSMLKPREDPLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRRTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYLIGVQLDGTERARDAAAKDGAMLVLGSDESIDLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSIMLNRNKVHRATAERQILDMSDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLTEDAVRFYAAEVVIALEYLHCQENSDKKKRRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDMRFPASIQVSLAARQLMYRLLHRNPANRLGSYEGASEIKKHPFFRGINWALVRAAVPPTNLLLEKETAADGTHTDAIF >Dexi8B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:8B:5614305:5617562:1 gene:Dexi8B01G0005500 transcript:Dexi8B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSKAWISLLLALAVALAATVAWGEDAAPAEAVLTLDVGTFDEAVAKHPFMVVEFYAPWCGHCKALAPEYEKAAQALSKHDPPIVLAKVDANEDKNRPLATKYEVQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDAAALIDDKKIYIVGVFTEFSGTEFTNFMEVAEKLRSDYDFGHTLHANHLPRGEAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPKVVTFDKNPDNHPYLMKFFQSSAPKAMLFLNFSTGPFESFKNAYYAAAEEFNGKELKFLIGDIEAAQGAFQYFGLKEEQAPLILIQESDSKKFLKDHIDADQIVSWLKEYFDGKLSPFKKSAPIPEVNNEPVKVVVADSIQDVVFKSGKNVLLEFYAPWCGHCKKLAPILEEAATTLQSDEEVVIAKMDATENDVPSEFDVQGYPTMYFVTPSGKVTSYEGGRTAEDIIDFIKKNKESSGTTTSDKAAEPATTEPVKDEL >Dexi4A01G0013400.1:cds pep primary_assembly:Fonio_CM05836:4A:12875399:12879207:-1 gene:Dexi4A01G0013400 transcript:Dexi4A01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMRSAAARPCSLAALLLRRLSSNSSSSLYSVRCHASSAALSSRPSASYPTTCPPCPLSISRRTRGFTAWASAPGPAGAESPATKALEAKIKQQLEADAVMVIDTSGDGRHVWHSRENLQ >DexiUA01G0004640.1:cds pep primary_assembly:Fonio_CM05836:UA:8725020:8727182:1 gene:DexiUA01G0004640 transcript:DexiUA01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPDGEGLFVDPEPFSPSIFLDLPSTPRPDGSGQEPASSDDLVLPFISRMLMEDFNDEFFYQFPDHPTLLQAQEPYAQILYDSTTTTAGSSSSGTNSSASGSAAALSPSSSDDPSQPYPNAGLHDSTAGDVGAFFLPAQDGTILGFEQSPAQLGNVGDVNAFVAGQHGGSTSTQSSASLEDGKASRPEQAAAEGEHGASSVFFSGQNNRVNMDMLNQAFLKGMEEAKKLLPTNNNLLMNSAFATTGEEEEQARGNGRVRKNRVNWDDLEAETCRKSKLMVPEPEENDEMVDEMIVNGYDMCLKEMKALQITMGSEAKKNTRKGRGKSAQGRRSTDEAVDLSTMLIHCAQAVARDNRRSAFELLKQIKQHSSPKGDATQRLAHYFAEGLEARLAGSGSELYRSLVAERIPVIEYLKAYQLYLAACCFKMMAFKFSNMTMGKVMAGMKKVHIVDYGIQYGFQWPSMLCNMATWKGGPPEVRITGIDLPQPGFHPAARIEETGRRLSNCAHQFGVPFKFHSIAARWETICVEDLNIDPDEVLIVNSIVNFGNLMDEGVDVDKPSPRDVVLSNIRKMQPDMFILFVTNVSYSAPFFVTRFREALFYYSAMFDMLDATTPRDNHQCFLVERSIYSKCALNVVACEGLDRVERPETYKQWQVRNRRAGLRQLPLDPDVVKSVKEKVREQYHKDFVIDVDHQWLLEGWKGRILYAMSTWAADDAT >DexiUA01G0011980.1:cds pep primary_assembly:Fonio_CM05836:UA:23859767:23861807:1 gene:DexiUA01G0011980 transcript:DexiUA01G0011980.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDYKDYAEVCFKEFGDRVKHWITFNEPVSFCSLGYSSGTFAPGRCSPWEQGKCSAGDSGTEPYTVCHHQILAHAETARLYKAKYQFITDTSYTECQMQAAQKGNIGITLVSNWFVPFSPSKSNDDAARRAIDFMFGWFMDPLTRGDYPLSMRTLVRKRLPQFTKEQSEMVKGAFDFIGLNYYTANYADSLPPSNGLNLTYGTDARANLSGNKFHIISKILCICFNCSFRNGVPIGPQVINDRSQENYGNPTLYITENGVDEVNNQSLSLQEALKDDTRIDFYHKHLLALQSAISDGANVKGYFAWSLLDNFEWVNGYTVRFGINFVDYKDGLKRYPKSSAHWFTKFLMK >Dexi3B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:3B:737895:738251:1 gene:Dexi3B01G0000950 transcript:Dexi3B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRDVVAVVAVVVLLVVVAAETASAAVSCSEVTSAVMPCLGYAMGNAASPSPACCNGVRSLSSRASSAADRQAACSCLKSMTGRFGRSMGNAANIPSQCGVNVGVPISPNVDCSK >Dexi1B01G0023530.1:cds pep primary_assembly:Fonio_CM05836:1B:29109065:29110818:-1 gene:Dexi1B01G0023530 transcript:Dexi1B01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASVAALRTAGSGRCRGAGSPQVGLNGGRFLMMQRRELVTKAGIALAVSCSMATSPAAANGSAQGLEILPFKPDGYNFWTWRGRRIHYVEQGAGQPVVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATIWMEQVADFLREIVKEPAVVVGNSLGGFTTLFTATEVPELVRGVVLLNSAGQFADPNKPAAAPAEEEEGSPLSRFIVKPLKEAFQRVVLGFLFWQSKQPARVEKVLKSVYIDSSNVDEYLIGSITAPTADPNAGEVYYRLMSRFMSNQSRYTLDRLLGKMSCPLLLLWGDLDPWVGPAKAARIQEFYADTTVVHLQAGHCPHDEAPEQANRALLEWLAALDARAKPAEPTLQTV >Dexi5B01G0014960.1:cds pep primary_assembly:Fonio_CM05836:5B:11883760:11886516:-1 gene:Dexi5B01G0014960 transcript:Dexi5B01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCSVDDDEVGKRKKNDDAYVPLPAQVSNHGPSRPPAPTYVTPAGRAQPIAVPAIPLEDMREITKNFSNDALIGEGSYARVYFGVLKDGTKSAVKKLDSSKQPDQDFLLQVSAVSRLKHENVLQLVGFCAEGNIRVLAYEYATRGSLHDILHDVFSFIIFLNNAFHSSLKMAAVAALCVQYEGDFRPNMSIVVKALSPLLNTRTGNRPAGSSASAAALEHSAL >Dexi4B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:4B:3496473:3500596:-1 gene:Dexi4B01G0004900 transcript:Dexi4B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTPADATLILDHVLGDTTVPAAAAHALLAALPFPSDPTPRLRRSVLLRRLAADPVSASALDTLHLLASLPAAPSPPPPIAAAHIAVAGFLAASAPDFDAAAVALFARPDGRARRAVDEGGSSALASDESVATVDQFEAAVGNSFSQVVLRGLWGDRSAAEERVRELLASEWEAIGPSLLEVAAERIVGDGAVETWREADEATRAKFRLLAGEGKAREILGKLEEPTSRVNLISTPEVSKVVDALKASCAELHSVVEDPLPAAKAVADEVLATRMDRAANSSAESGQPAACGPAGASVLNENNNGPNKTPPSLMDWNPTAQTIQWEESPDPGGSEPALRRPHLPSPRRIPVSPLPPADNKNKRRKARKWCLLEEETLRKGVELYGSGNWKDILSNNPDVFIGRTPVDLKDKWRNMTRTYPRPPSPAPVDALVVACRRRPPSPAAAAVARRRLPPPPSPAAAAVARRRLPSSPAVACRRRRRLPSSPAVVARACAWGQTAGVA >Dexi3A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:3A:1059577:1062492:-1 gene:Dexi3A01G0001560 transcript:Dexi3A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAFRSTTRRDLHSSSSSTTSRSDPPPCPRRSRSRSVSAAPRARGPDTDSLREEDYANTRTNPLFDAAASPADSLSPSQGTSSSAGGGDVPRRDRGREPLKSGGRAGGGRARSVSVAPQRRHTASAPSAGGAGGAVGGRKASRARLVADHARPFRGSETDVESNAVARKLQSWRSRHSISEVCTLVCAKKDVVEIPLDLDTDAAELVSDMRIGQHWEQMEIPLEFDTDASDLVSEIWQHEANQRLEQLEAPLEFDPDTSGLAPDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTAPNFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSHEDPQSKSILNAQTKSNSRFSHKGRSSIQEPHSPSDQHAHHEVSELIFLLAWSVVQGFDKQTQCSISVTGSDASDSVIFSNTKAHLKFGSNSTEDLDGFDTPRSRSSCFSFTHEPLKNVENCDVRQYLGNFGRGDNMEQRETRSTYFADDYVSQKVNLDLLKDTATFQNRMQYGGLLICNIRTF >Dexi2B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:2B:30458955:30462999:-1 gene:Dexi2B01G0020390 transcript:Dexi2B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPETLSLAGRRVAFTTPQTGGGGAYGGRLGSLLRQRGARPVPVSTIAVEPHDPDRLRHFLLPGALDHFAALAFTSRSGISAFAGALPSSHRPLSDASALPFTVAALGSDADLLDGAFLSRLCGDAGATRVTVLVPDVPTPAGLVEALGSGSGRRVLCPVPDVVGLREPPVVPDFLAGLEAAGWVAVRAPAYTTSWAGPGCAEALVDPDAATPDAVVFTSTAEVEGLLKGLDAVGWSWARLRARWPGMVVAAHGPVTADGARSLGVEVDVVSARNKLNGVWFTNVCWAELGKCRAALLDVSGRNSSSGSKWYSECAEIVQEEPFFEKGGSYIFVICEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELCVTAKDVLGLLQGDTARFSCYGRMGYVHIDDVASCHILVYEMPEATGRYLCSSVVLDNNELASFLAKRYPIFPIPRRLSNPYGEQTYQLDTSKLQGLGFKFRGLQEMFDDCVQSLKDQGHLLECPL >Dexi3A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:3A:11024656:11027266:-1 gene:Dexi3A01G0014960 transcript:Dexi3A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYGEQLKAGFSGLRRRRTEAEESGEGVPDCHARVSGRSISPKVKRRPDQNIVSAKYSVKLLYDIISRFDDFKRDLVKSIGFGGILCFPPLRQLNRRFAVWLMSKLDPRSQTLVIDDSRRIRFTKEDVFRVFGIPCYGRSVFCNGIPSKEVISKVMSCYLGTDVREHRSIKAAQEVIQCDYGQTMSVEEQNSFKAAFVIYVMSTLLSPGAKYDYASIDYWNALVEPSDIGKYDWGDYVIRRLFDAVVKVKSDMNGTVKVPSITGCTLFLQVLYLDSIDTGFLNMDHTVLPRVMFFGPENMRSMILADTVDECNGVSECALNSEMFTVDGRPQFLHHDPFTVRFEMDFITRFDSFVDITR >Dexi2B01G0013980.1:cds pep primary_assembly:Fonio_CM05836:2B:23231541:23233427:-1 gene:Dexi2B01G0013980 transcript:Dexi2B01G0013980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGARTSPGTSISSSSKKPFLGDVLRHLSLKIDQASNALKDVPQRFLDVLVDSTFQFTDQPLDPSEIHQIEGAIPEGFPEGVYIRNEIWVEGEGMLHAIYFTKSSSDSWSVHYANRYVQSESLRLERARQKPCFLPAIEGDSAAIIAAYLFNYLRFGKVNKDISNTNVFEHAGRVFAVAENHLPQEICIDNLDTGATWDIGGEWNRPFTAHPKLMGQN >Dexi5A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:5A:9357298:9358825:1 gene:Dexi5A01G0012450 transcript:Dexi5A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALSPATSRRRRPAATGAGGNNHRSMAIAVGHLRDVKPPDDVRSRHCRLDSVAQLDTPLLDADHREHAGDANAGATGGGGAHHTGLSPKRRSQSSPCFTTVAPSGAPEHADCRSETTNKMSTTRVEVVVGRHARGVRELIAEAASAIASGTRLVPAQSGLGGALILTGSRAGEHVAVIKPLDDTSSPPASGVGYASQAVLREVAAFLLDHGGFASVEPTALIKIFSSPGPAMAMPATTTMASIQRFVNHEYDAGELGPSRFSVASVHRIGILDVRLLNIDRHAGNILVKNPPTSRQQLLDLVPIDHGLCLPEQLEDPYFEWLHWPQSSLPFSDDELAYVASLDAFEDAETLRAELPSLEEAAIRILTLCTVFLKRAAAAGLCLADIGDMMSREFAAQEEEEGLSTLEELCKNALDVVLPEQHGSPYYLTCPPLDGDGVDDEGITTTSSSSGARKRKHVSFGELSLAEWAAFLERFEQLLAVALEAKKQHAALGTTTTTSF >Dexi1B01G0027510.1:cds pep primary_assembly:Fonio_CM05836:1B:32214761:32217969:-1 gene:Dexi1B01G0027510 transcript:Dexi1B01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVERHHHLSPHPWPSNAPPKTFDMFTPGAGGNRRRTGSDSDSDDEDNIPPDWRSLYHPRLETEPPVQDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPVPRLMAHGFITPAPLHYVRNHGPVPKADWSTWTIEVTGLVKRPAKLTMEQLVTEFEAVELPVTLVCAGNRRKEQNMMRQTVGFNWGPGAISTSVWRGARLRDVLRRCGVVGAAAGAANVCFEGAEDLPGGGGCKYGTSLRRGVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLRRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTIKGYAYSGGGRKVTRVEVTLDGGETWQVCSLDHPERPTKYGKYWCWCFWSVDVEVLDVLGAKEIAVRAWDEAMNTQPERLIWNLMGMMNNCWFRVKINACRPHKGEIGLVFEHPTQPGNQPGGWMARQKHLETSESAQGTLKMSTSTPFMNTATAQYTMSEVRRHTSPESAWIIVHGHIYDCTGFLKDHPGGADSILINAGTDCTEEFDAIHSDKARGLLEMYRVGELVVTGSDYSSPQSSHVDLKAIAESPSVPLPPLPVVSSSSTVALANPREKVKCRLVDKKSLSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGHVELLVKIYFKDEDRKFPNGGLMSQYLNSLPLGATIDIKGPIGHIEYAGRGGFVVNGERRRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDGTEMHLVYANRTEDDMLLREEIDRWAAAYPARLKVWYVVSKVARPEDGWVYGVGRVDENVMREHLPLGDDGETLALVCGPPGMIEGAVRPGLEKMGYDLDKSCLVF >Dexi9B01G0048360.1:cds pep primary_assembly:Fonio_CM05836:9B:47355856:47359640:-1 gene:Dexi9B01G0048360 transcript:Dexi9B01G0048360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADCRDDDRAPTRWYHIRRRPKPVRWPRLTPIQVGSSSSSKRRPTATGWMDDAAGRGVPMQALLCVLATKLDRARTDLMVRRYCVELSVGACPAPPRTELVLAYHCADLAVDLSLGLGYLSLLCRRGEERSGAERSLSSLCTILSRQHSYRGAGAVSACACPRSPIPSHPIPSHGDPTPAIKERLRVVPVEQAAARQLDRPAECQCGKLPSCISFSLDFLCCSTHAMHPCSAAWTQANSNRSSTCPPDREKPPPCVPARQLPGPPLAHGELETEHRLPGSTTTVPVEDKVAFVEHHGCPACYLHDVAIRKRERERENEADAYEQQGNGQFASISGRLVMGAVAVQIVSPAMPERLETTALKEPVVQSEQHCVYVPAVCRLRKILFVVAMPYWILSWMEHESDHDGLVNWIGSSPRSKPRYSVRVAAAFLIFLSYSLQSLSNSPHLSFSSSSLSHLSIQRSSAPLDLGSFSSIVFFSFGSSLPAARNRRRAPPAVVAAPSSLFSLHRRAAAGGGEAGSKGAEKEQGHVVAIAAERKDPEFGGSASNRQRSGRLPPKASLEAGTQDSLKPCYGRALWAVETHTHPGGLVRFRLVLHMTHPARRPLDLNRLQISAQTTISSPFPRSHSSNATTASTTARGFPNPSLPRQPIAAAARRGRGYA >Dexi7B01G0002550.1:cds pep primary_assembly:Fonio_CM05836:7B:4791868:4792146:-1 gene:Dexi7B01G0002550 transcript:Dexi7B01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHPAAAAADLPKPHLVKETAAAGAGPTAAARGAEAAAAPAGHLMQERAMDWTKWDEQVETGRPGVLSGVSKGGRED >Dexi3B01G0010800.1:cds pep primary_assembly:Fonio_CM05836:3B:7590323:7591649:1 gene:Dexi3B01G0010800 transcript:Dexi3B01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSSMFMQWAMDTLLSEHPEPAVSFVDDARGGETTFPSLQALRDASHAVEMVRELMADDEVLAANSWSSGDGDVTDGSGGGGAGGGVTVPAPAATASRDGNNGTWTQSSQGSFRRAPPPAASSSTNPPPMSWNFVTGSAQPGSGANIVEEAVVAPRSVPPELVPGSPPTRRPSLKSLGAASSASAYAPDHIIAERKRREKINKRLIELSTVIPGLKKMDKATILSDAAKYVKELQQRLKDLELEVAAARSNETGARAKKPCNNNAVVAAAPDENGSPSSASSGTPVVRPPALPEIQARFSEKSAMVRVHCRSGKGVAQTVLSEVEELGLSVVHANVMPFSAGTVLITITTKASLFFWLIFILYTNSTLTAALLLHPHQQIVLMNFWCTK >Dexi5B01G0024160.1:cds pep primary_assembly:Fonio_CM05836:5B:26280856:26281149:-1 gene:Dexi5B01G0024160 transcript:Dexi5B01G0024160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSVFFLVAVLASLLAGRAAADFSALAPCDVMQLSPCASAFAGKGSPTPSCCGRLKSHGTTCLCRYKDDANLKRLVDTRHKRRVFTACKVPVPSC >Dexi9A01G0015460.1:cds pep primary_assembly:Fonio_CM05836:9A:10302542:10305929:1 gene:Dexi9A01G0015460 transcript:Dexi9A01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDATNGGGGGVRQRQRGCTCTKADFFPEESFSSWSAYGRALRSTGSRLADRLTSRSLDSTELHEVRAQSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEARDAVGPAVVVSYVISGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCISGAAVARAWTSYFATLLNHKPNDFRIHVSSLSSDYSELDPIAVVVIALVCIFTVVSTKGTSRFNYVLSVVHIAVIIFIIVAGLTKADTANMRDFTPYGVRGIFSASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGAMALTTALYCVLAVTLCLMQPYKSIDTDAPFSVAFSDRGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPRLGTPVNATVVMLVATAIIAFFTDLNILSNLLSISTLFIFMLVAVALLVRRYYVSGETTNANRNKLVACIVAILASSSAAATCWGVNVEGWVPYAVTVPAWLVSTVCLWAFVPQARAPKVWGVPLVPWLPSASIAINVFLLGSIDSKSFMRFGVWTAGLLVYYLFVGLHASYDTAKTLAAESAAAKVEDGESKPARGGVHSVEY >Dexi7B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:7B:24580779:24583459:-1 gene:Dexi7B01G0018950 transcript:Dexi7B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLYHQWITFSWTRSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEEAAAYVAAEYEKAQPELVSRIPANRRVLVCEPGDSACVILPDGDRLRSRWHFVSEGAVQVNR >Dexi5B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:5B:257596:257891:-1 gene:Dexi5B01G0000400 transcript:Dexi5B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSCRGLVVANILVCAYSLLVLAVPPASPAARFVLMADVMAGMVLTDAVAAAGAISDLGKNGNDHAGWLPICGL >Dexi5B01G0037360.1:cds pep primary_assembly:Fonio_CM05836:5B:36886961:36887326:-1 gene:Dexi5B01G0037360 transcript:Dexi5B01G0037360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPQSRIPSAQNGSRQRGAAIDSAAVGPSTEAGAKRQLGSRPSRQRRWRGCRLREPLRRQKRVHGGAPRFALWAVGIAPPMSVLFRRRARWAELEIAAANAEARLGRFAPGIPAGRTD >Dexi3B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:3B:1799614:1808097:-1 gene:Dexi3B01G0002700 transcript:Dexi3B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAQRRVRAVSAHLQPQPPPTAACGIAATPTAGEYVHAQGYSVVLPEKLQTGKWNVYRSAHSPLRLISRFPDNPDIGTLHDNFAYAVETFRDCKYLGTRNRPDGTIGDYKWMTYGEASTSRTAVGSGLIYHGVLEGARIGLYFINRPEWIIVDHACASYSYISVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSTLFSFIAQMPCVRLIVVVGGDDANMPSTPVTAGVEIITYSRLLIQHLMFLLFLYLVHLCFLKSFNSSTQGKASPQPFRPPKPEDIATICYTSGTTGTPKGAVLSHENLIANVAGSSLDIKFYPSDVYISYLPLAHIYERVNQVALLHCGVAIGFYQGDNLKLMDDLAVLRPTVFASVPRLYNRIYAAYSVGTAYKLVAYLLCRITNAVKESGGLKEKLFHTAYNAKRHAIIKGHNPSPMWDRLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRICFGGEVIEGYGMTETSCIICAMDIGDKSIGHVGSPIPSCEVKLVDVPEMNYTSDDQPYPRGEICVRGPTIFCGYFKDEVQTKEAIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSFNSSLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKTYFAKEISDMYAELRETEPVRPKL >Dexi6A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:6A:20446943:20448131:1 gene:Dexi6A01G0013410 transcript:Dexi6A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALAGMISKVDLRGLEPGGPGWAEARAAVTASMEAHGAVLVAHDALGAELRRRLFERAAPELFAIPAEVKRSLVSGLIHGYIGPRPEAPAYESARVWEAAVDGTVVRDVAGVVWPHGNPAFSDTIGEFAQNMLDLQKTVEAMILEGLGVGREHIDSHLRSLNYSVRLSHYGSLAEMGNEMFMQAHKDCTVLSLLSQHDVDGLELQLNDGSWLSVPAEPGTFTVVAGDLLTVVTNGRVPANVHRVRTPSDRERFSVQFESRPRYGWTVRPAEELVDEEHPRQYSPCNFDEYVDFRFVGDGRKSSDPLKSFCGVVKDEE >Dexi3A01G0035830.1:cds pep primary_assembly:Fonio_CM05836:3A:41116455:41122325:1 gene:Dexi3A01G0035830 transcript:Dexi3A01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPDPPPPLANAPDPDPAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDDRVFLVPRRWWKEAQEGAAIDAPGLPYAAAPAGPSSYGMRVLSMFISDQTYTLRRADELLQQQDPDAAQGRSYALLAADLFTKAWDWHIDSAKSTGKNPSLTEDGSVNIYLVMLRVSVTRDNALTVKIGKKDNSAENFKRATKILTADSEMVHIWDFSGRTTYILMNAWNRMPHDSRSADHEMPLQIQIYDLSEPMANGDDGKRDGLALTLGRSGFSNGSITDMDLDSTSGRFKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDFCKEINTHNPLGMKGELASAFGDLLRRLWAIDRTPVAPRVFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPSTTMRTMTITVLGTDGTIVPSPYTVSVPKFGDTRTLINALSNACSLRDDERLLVAEVYNNSILRYLDEPSEVISLIRDGDRLVAYRLPKDSEDAPIVIFRNQRMESSLSSFGRKSWKGFGTPLVSSLPDTVTGSTIYNLFLKVMTPFRVSNDDISDGDKTIGESSLVNETTDIDMNTDGSERTSLDNNALEDETETEDAMQFFLTNERSPDQRMKIEMDQALTVKGPQKRLQVVVCWQDKGLEQYNLGSLDSLPEVYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPDDMW >Dexi9B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:9B:14988734:14993424:-1 gene:Dexi9B01G0020230 transcript:Dexi9B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPWQGLKAGTKKQKYDKISEKKMLTSAEVLCKSYPSEFVSYFHYCRSLRFEDRPDYSFLKKLFRDVFIREGYQFDYVFDWTALKYPQMSSNNKLVQQPSARIPGAGPSAERTDKASVGQEIRDRFTGAVEAFARRNPGSGRHGDHSRHKSLADSFGTSNEAVADSEKTRILSRGASSSKMPAGPSSRPTSSGDCSDQNRRWVSGSSGGSGRPSTAQRLHHSGGAENMRSSPRSPVARNPAPGRGGGGGSGSRGDSTTLRSLERLSISTRRRK >Dexi4A01G0024680.1:cds pep primary_assembly:Fonio_CM05836:4A:27375283:27377008:1 gene:Dexi4A01G0024680 transcript:Dexi4A01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALPEGPWYCSTDCVRINQTLQDLLNRGGEPVHTMDLNVIKKKREERGLDEDVDLDIRWRVLKDKSSEDSKLVLSKAVAIFHETFDPIIQIGTGRDLIPAMVYGRSARDQDYTGMYCAVLTVRNTVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFQALFACIERMLASLEVKHFVLPAAEEAESIWTERFGFTKIGQDELLEYLKGGRMAVFQGTSTLHKLVSLQEG >Dexi2B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:2B:24644448:24646114:1 gene:Dexi2B01G0014750 transcript:Dexi2B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDLLTRVDAICKKYERYDADKHRGDGDPFSCLYAAVDAEIDDAIEKSARAAKEKNWAASVTLNADVRRTKARLLEEVKGLSPEEKVLRADLVAALPHRIQAIPDNHDGGATDQNTDWSARPGIKFDDYSGFFFSFASSKRLEEVYFQTSKESEQFRREYETRRIKQAGFLFPVRRGLQDEGLDFISEGLDTLKNLAEDMNEELDRQAPLMDEIDTKVDKANLEMKRTNVRLKQTVNQFRSTRNFTIGIILICIILGIATYLYK >Dexi2A01G0034340.1:cds pep primary_assembly:Fonio_CM05836:2A:44330292:44331690:-1 gene:Dexi2A01G0034340 transcript:Dexi2A01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRNRKPRNFATFRLCPRPGAADASDRVFVRVDDNPYSVPGFADDSGGGPPSSSTDAGDANDEPSSSTADDGGGDALPEHVRQEILELGLPDDGYDYLAHLREIRPSLSSTGGGGSSAVFLPSRRRPARSGLPMDVKAYDLSCAPVGPGEVTLATRQVEEAIDLDVVKLLDGSDSPAVESGDEDLEEDFVILANQSDEEQQTEEEKNIGGGNGLLHVEQFDSLALEEYPDGEGAVKHCETAVSGSYLSIRPGKILAAENAKKKLPKFSTGETSMKKAIIKRMENLPTLSGESLNRGPYKEAKEEAKES >DexiUA01G0009180.1:cds pep primary_assembly:Fonio_CM05836:UA:17772803:17774236:-1 gene:DexiUA01G0009180 transcript:DexiUA01G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGWAKSHYLSSVVSPSIKGDRLIIPRESLDLAIGRLKEQEASNKTSSENIKMLAKDEYESNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSK >Dexi6B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:6B:18084056:18084807:-1 gene:Dexi6B01G0011110 transcript:Dexi6B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKRSGRPAPARNHIRRTTSMTEFTPPADVLAGVPEEELEDKEAELQLLPAHAEGGDQAAAEDPYVWAIGGGAAAGRRADWLAAYSARAAPARRLRRNSADFSAAETAAFLRACGLCNRRLGPGRDTFMYRGDTAFCSLECRQQHITIEEWKEKCALATPPMAPPATAAADPVPVVPLPPAGVASDKPAGTIAAA >Dexi3A01G0025630.1:cds pep primary_assembly:Fonio_CM05836:3A:21337113:21337448:-1 gene:Dexi3A01G0025630 transcript:Dexi3A01G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGMVVGEMLASAVLKVVMGKLGVLIGPDVSLLWKFKDDLESIRSTLLTLQAVLNDAEKRSSREERDTVRGIVLQKVISLS >Dexi5A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:5A:8438292:8440670:-1 gene:Dexi5A01G0011240 transcript:Dexi5A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTARAGLHHPCTTPAPAPSPDEKDKMMYRALVFTTVLMAILGSTLCVVGMERLIRGRFTLFSMARFLLRFAFVIFLPLLSYMNSHSNGNVDQILFILMWMLLVELIRKKVQAMVPAADGSFSRASCKFKPMNHSDEVTRLVWIGYLIYTNIKNSSQSVMTMFAILWSLVLAKLGQRVFNEWKAQESLTAAGNAQLIAGYMQYVLEKDGASTCTDDPMANCEYVVMGEEKLVLKKKERRHLKRHDLKVLTITTPHCGHGVGRFPHDQNELKHVHLRVDLDKVKSLVTVKMIWQKLGGLPRLCCLFTKRGRGFVDHLRLLCLSFSFFKLMRRRFEHYPMVEVGSMMTRRLMLQGLLSHGGSGSKLTDEESAMVAFRVLHLELDFLDNYYQAGVPVVMSAPWLFFINFLSSLLFVLVYIITAAILVVRAVHHHDFTHMPLYFIITILLLITLLAVEITELLTAYLFSNWFLVHLLCLYTAPGGCLWNCLVKPIICCFIAFRFLVFCSLRIALLLIGRPINEKKMKIKQVSILHVCEPVRKILSSASPVTLTSQAKVAIIEFLKQINLDTGDVGLLDLGSFNVSGKTATEIILACHLATELLAMEHGKQKKKKKKKNPQDPDHRTVATTLSRYCMYLVARAPELLPDDERWVSDTYEDVRSCLEEVASRRRCSCATRCGGGAWRRRCWKAVKEVGEEQLKDTTVWDGVVLFRQLRLMEATAAWKDLAGFWVRLLVYLAPSNDVEGHAMALASSGGDLITCHWAFCTHAGIRRQSSPDQAERHDAHEPRVSQV >Dexi3A01G0019380.1:cds pep primary_assembly:Fonio_CM05836:3A:15225199:15226424:1 gene:Dexi3A01G0019380 transcript:Dexi3A01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHHESCQHGIVEGLEESLRTAKDFSQQSRAWSSTVSFSDLDEKGDMNVGDDYTDSKRELRPQTVDPKKGWEFRGVHRAVICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVIGPEDLPKPAQWHRIAVHNDSLGAYAVQKLVKNSAVYIEGDIETRVYNDSLNDQVRNIPEICVRRDGKIRLVKSGDSAANISLDELSKFFEYLEILIS >Dexi6B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:6B:25594293:25597816:-1 gene:Dexi6B01G0018670 transcript:Dexi6B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGGGEGGGGGRQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCSSCAARPAAARVATGGAGGPAFRCADCRGEDGDGGVPVEGFSGCPSAAQLAASWGLDLRGGCAEEEEEKDNEDAFFSVLDYSMLVAGAESDLRDLYVPCDPPEVPAPTAGGARRLKGEALCDQLAEMARREADSTDHPHPPHSDLSPRTPRRNSAASSGRLPGNNKMAPPPHPPPPPPPAPAPQEVPLPYTSLLMMASGNCTGLIGAADRMAAAAADDDDQLLWDCAAPSVPPTQIWDFNLGRSRDHDEKSAIEVGFGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQLSSKNVSHLLLFLYVKACLVCSCEHWEQQTQGELVCIDNGRTNNLGYEKHIRYESRKLRADTRKRVKGRFVKSTEALNAGNG >Dexi2A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:2A:11534550:11536127:-1 gene:Dexi2A01G0010370 transcript:Dexi2A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWVRAALLGVLSAAELLVSTVVHLGYAFYIFGTAVAADVASSLVDGLTAAVGLGSGGGVAKGAAVESEAEAAAALDGTVPPIVLVHGIFGFGKGRLGGLSYFAGAEEKDDRVLVPDLGSLTSVHDRARELFYYLKGGTVDYGEEHSKVYNHARYGRTYERGHYPFWDEDHPVHLVGHSAGAQVIRLLHQMLHDGGFDGHVGTSERWVLSVTSLSGALNGCTRAYIDGVRPEDGWSLRPLCLVQVCRVGSILYHWLDLPFLNRYYDFGFRHFAMSRRVVGVAGLLFGSGDRRRGGPFATGDWILPDLTIHGAARINARVRTFPSTFYFSYASRRSSGGGGGSSGMVGIHPLLFLRAMQIRRWRYPAGVALPYEGYRDEDWEDNDGALNTYSMTHPRIPDEHPSVPVVEEEEVVGPLRPGVWYYRIVEADHMTFVINRRRGGVQFDLVYDSIFHNCRRHAFRNAPPPPPPAANASESELTDPDQELVAAI >Dexi1B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:1B:4576663:4577735:1 gene:Dexi1B01G0005580 transcript:Dexi1B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCCGGGHHLSPPSSMSPSSSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRVHEGFKLAYADMSRRHPCFGHAAAMPTAQWWKMCVRDSFARAGYEYDDDTFERIFRRIYATFGSLAPYSVFPDAHGFLRWLRGRGLLVGVVSNAEHRYRDVVLPALGLNQGSEWDFGVFSGVVGVEKPDTRIYEAAMEAAGGVAAGEALHIGDSLRKDYAPARSLGMHALLLDRFGTEEAGRWRRSGVPVLPDLVVAKEWLVAGAGEEAAEEPGAWHTSR >Dexi5A01G0000080.1:cds pep primary_assembly:Fonio_CM05836:5A:97244:97783:-1 gene:Dexi5A01G0000080 transcript:Dexi5A01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGSMPSADANSSSSFLQARAVLPSNGMATISASAPFPTVTLDLTHGPPAAAAATARPQFHHVPPLQPLPPPPLYNHNQSKFSGLHLSSFSTDGGGASSEPPPPIGVGIGGLADTVSAAAAAITADPNFTVALAAAITSIIGGQQQQQHGDGQANHNNVTSSSNNTATSNNTNSETQ >Dexi9A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:9A:14028460:14030699:1 gene:Dexi9A01G0019050 transcript:Dexi9A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSVFTPQALSTSAPSSRRRAATAAVCLPVLRRSRLASRGVRCSAGQGGVKVPAKLAELWEAAKGAPPLAVLAGVAAAVAIFKVGEITEEELRQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGSYALFAGKDASRALAKMSFEPQDLNGDISDLTPMELGSLNDWEYKFTSKYVKVGTIRSAAPTEEAYASISPETQEEVIVPISVLQPDLDPEPEPIDDDTP >Dexi1A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:1A:1242506:1244374:1 gene:Dexi1A01G0001850 transcript:Dexi1A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAPTEPEPPAVAAARKLHHLLRSRDLRPALSYLRTLPSPFTLLPNHALNSLLRALAAAGRVRAATSLFRSIPSPTPHSFNSLLAALLRRGRRRAASALLAVFLRSPGASPDVTTLNTLIHGFSTASPRPSASTLLKLFRFLPETYAFAPDAITYNTLLSALCRGGDLATARKLFDGMHVSEEGSKNDAFPNVVTYTTMIRACCAKGLADEALAFFKVMVSKGIPPNSITFNTMVQGFCAAGRMELVKEVFEMDSFKPNTCTFNTLMAAHCREGRVEDTMKVFDQMTKLHVRHDSASYSTVIRALCESGEFGRAEEFVNEILEKEVLKKRGSFVPLVASYNPVFMYLCENGKAKKARMLFGQLLGRRSKVDFQAFKTLILGHCKEGDFEEAYELVLSMLKRDLVPDTECYISVIDGFIQKGRMKSAWEALHRMLNSGLRPSTSTFHLVLLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNLIDALFKSDLTERAFKITKRIYDNGYYIKMEKIIAALCEEKKFIDAAEFTLFSLEKGHELGAAVHSLVLDGLCMDDRASEAFQLFYELIENGSTSAVATPRSLVLLHHALEEVGKMKEADFVAKQMRRATARIKQRS >Dexi8A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:8A:20274795:20275186:1 gene:Dexi8A01G0011510 transcript:Dexi8A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVRFVYAGDIGVLNELPSTFQADRYQQHRWSCGPANLFPKVLLEILHSDRVSPWKKLHLLYGFFFVRKVVAHLVTVLFYCIVIPACRPAAGVNGRRR >Dexi9B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:9B:5652929:5655963:1 gene:Dexi9B01G0009130 transcript:Dexi9B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESPPPAPPAQAAEKSQPAEQAAGGWGGWGLSIFSEISRNAVEVAKSAMADIQQPPEQESGPEEEDKEKEPEGEEEERRKAALEKLEKASEDSILGQASTCRATRCYGLKVFDNSVETITTGTWQALGTAWKSGSLFVQKLENSASSLAETIQQGELPAKASAIAPTILETGKSFTARGMEVLERVGKETMEFIVEETGMEVDKGSTGEGDQQTEEEQFEEVSFDRCFYIYGGPDQLEVVICFPKELEALSSHYALLFNRKKGKLNAEQKTYYDGKLKEIQQIFSLSTNSEEDGSDSDKGKKIESADTDADAEMKKLCETSVSKAAKMAAGFTTALGGLSPNDIIKRTTNRLETLHSEGVHKLSEMCCLAVSQLLVLGKSVISAANKSKTEDDENNIKIDWPEDPISKAKIIRWKAQSISVDMEKVSSSFATGISDVAEDYAAAIQNALADKQDDLPNQKSVQEKAKSICSHLNSDQTSAVGKLQDALQYLAYVVICASMPSA >DexiUA01G0003940.1:cds pep primary_assembly:Fonio_CM05836:UA:7992677:7993483:-1 gene:DexiUA01G0003940 transcript:DexiUA01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQLKRTPNQEAFSQAVKMEVTPYLGTEARERGMEAAGFRVAAERRKAAAAGRAKERRRDAMACRGRRGRARGGEEAATSPAAAAAVTAPTTAESQFAAFQLWNGFHHADMKGKGKN >Dexi6B01G0007540.1:cds pep primary_assembly:Fonio_CM05836:6B:8781449:8784791:1 gene:Dexi6B01G0007540 transcript:Dexi6B01G0007540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQFFLCLPVLLAATAVTAQAKHSQRLHNPSDMFLRGGEGGVVISDLPGGFSSGYVTGAPSSTRAIVLASDAFAKFSVETAKSEDVKVVSIAHPSDVRADDMKDVQCPIEILGAQYDPSTPPKLVHQFANILSKIPEIPYFTKVFPGVGHGFACRFNTTDPFAVKTADQALALMIGWFDRYLPSTVAQIE >Dexi8B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:8B:8040668:8045215:1 gene:Dexi8B01G0006910 transcript:Dexi8B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRQGSKGDSMAAASEVSVDWRGRPCDHHKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFGEMHFPLSQAANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPPCDMMAAAAAGEACEEASGVKAGIFFAALYLVAVGSGCLKPNIIAHGADQFRRGGGGGGGGDGRRLSTYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAVGLVSLVAGVGFYRNKPPQGSIFTPIAKVFVAAVTKRKQVCPSTATVQAIASVTATHEMSVNTTNIRHINKFRFLDKACVKAPDGSGKESPWRLCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTHLTSSFHVPPASLQAIPYLMLVALVPAYEAFFVPAMRRLTGVPTGITPLQRIGVGLFAVTFSMVAAALVEARRRHTAVAEEDDGGRRLISIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTAGDGGGHGGWLANNDLNKDRLDLFYWLLAALSVLNFFNYLFWARWYSKSVETVQVAGVRSRGELDDGDEKDTSEQC >Dexi2A01G0029780.1:cds pep primary_assembly:Fonio_CM05836:2A:40796441:40801323:-1 gene:Dexi2A01G0029780 transcript:Dexi2A01G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASHADADARLSEPLLANGGGGGGGGDAGFHDGALAAVVVATSAHGGGSSGKEKKGAVKVKDDGYWVDVTQESTAVDDLESGGGGDRPLLFSTKKVKATLLYPYRVLILVRLVAVILFIAWRIKHNNSDVMWFYVTSVVGDIWFAQSWLLYQLPKFRPIKRTPNLAALRQHYDDRPGDGGGSLLPGIDVFVTTADPVSEPVLYTMNCVLSILATDYPVDRLTCYLTDDSGSLVLYEALVEAVSFATLWVPFCRKHSVEPRAPESYFQLEGMIYNGRSPGEFMNDYRYVQREYEEFKVRLEMLPSTIKERSDVYNSMKARDGGAKATWMADATLWPGTWIEPTENHMKGHHAGIVKIVQNHPSCKPEAEGHQDQASNTTLPSFDGVDTSLPMVAYVSRGKTPGHEHNKKAGNLNAQLRASALLSNAPFTINFDCDHYINNSQALRAAMCFMLDAREGDNTGFVQFPQRFENVDPTDRYGNHNRVFFDGAMYALNGLQGPTYLGTGCMFRRLALYGIDPPRWRPEEDIPVDSSKYGNSVHFLNSVVAALKHERRISPPELDEPFLKELAMVVSSSYDHGTDWGSSVGYIYKIATEDIVTGYRIHGQGWCSMYATMEREAFQGTAPINLTERLYQIIRWSGGSMEVFFSPYNPMLSGRRLHPLQRAAYLNFTIYPVTAVFILLYAFCPVMWLIPAEIVIQRPFTSYVLYLVVVIGLIHTIGVFEIKWAGIKWMDWWRNEQFFMIASMSAYPAAVLHMVVKPITGKGIQFRVTSKQQTAAADDDDDKYADMYEMRWVPMLIPAAVVLFSNIMAIGVAMGKAVVYNGIWSAVQKRHAALGILFNVWIMALLYPFGLAVLGRWSKKPGILFVLLPVAFVAIAIVYIGVHSFLVNFLPFMVI >Dexi2B01G0021340.1:cds pep primary_assembly:Fonio_CM05836:2B:31158174:31160615:-1 gene:Dexi2B01G0021340 transcript:Dexi2B01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIHPFPRLHLRQNPCVGRRKKIYSVSTRHYFAFGACISEELSFKLKELDKVRWVLADSYLDVKNKDYGGEPFINGKAAPYDPKYHKEWVRNNDRDNQRCPNLDRSSVRRDNMENFQNRDKPTRRTRSKSPSPQCQQTMEPHDDVPPVHRAQENMPLPPPSPSNGDPPTYQDHVKSPQASDIPSFEQNCRQCGAPVHQVGNQDLQDSPGHKMCDDNTDARMHDDNNNGCQRGRSDYQNGSAEAGQTTLHGANAPPRQSGSEPGIQGQEVHRHYYCNVHYHYYY >Dexi7B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:7B:6819881:6820423:1 gene:Dexi7B01G0003030 transcript:Dexi7B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRLVTSSGPSRSLRVGDAVPLDVAWQGYRRSSHATAAAAHNSNTIHTLPPPASRGGRGSRLKKDGDSRSRGDEAVSTHGTAGSTPSASGQHGSARSHHPKSMRLCLCSRAQETTVGPTSSAAPPLPEPPQEGRSGAVASARRQIRCRSHRIRSWQYRIEPHRRRHRHHRPPTPPWRR >Dexi7B01G0004930.1:cds pep primary_assembly:Fonio_CM05836:7B:11981036:11982587:1 gene:Dexi7B01G0004930 transcript:Dexi7B01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPASTPRTGLFVMVRPRKLMYMAIDGVATRAKMNQQRSRRFRAAKDASDAVCILAAEERLRAEFEREGRKLPAKQQSQTSSIVCR >Dexi4A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:4A:712925:716042:1 gene:Dexi4A01G0001050 transcript:Dexi4A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGLSDLFRNTSEEIFLKAMMENSMGVATAPSMEMLGFRNMSQSFREDSEELFSSWLMNAEARVLKHTCDSFLCVHQRLSSEAAGLPNQQHDIAQQNFLTDNLVPHNSAIPSVEYPNNNNQQSLKNAAEKGMQASDILLAKVKPISLSNSVRGKKTLSSYHCLDKFIKASERKRYAAMQTHVPPIITGSIEPTNQFKQDFINATNSTPMSNTPVQTPKFVSPSSSSTSPLDNPQVMAQDTVTSVVSMLKDTLERKKLGSHANNDASAGNSFGFYDTQQFQQNIIGGTDIFPLVSTSQVQDSPMLSRVERPMEPNHGNFIAPANQVWFGAPSREPSHSGSSTAMTAHSAGFEVCDELPLMGQAMSVCESTRKNAANGTTDCRPKGKEYRERVLKDNVKDDRKKAALTRMGSVTSEQAADNGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTEEKERLLEEIERIV >Dexi7B01G0018870.1:cds pep primary_assembly:Fonio_CM05836:7B:24515051:24516296:-1 gene:Dexi7B01G0018870 transcript:Dexi7B01G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLQRTMPMRVLSVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIKSNPKLTSILCFMCCEVDHDIGRVVVLDENGKDVISEQIADLIGAAGSTGSSRLTFCIGGPYGLGVQVRARADATIRLSSLVLNHQVALIVLVEQLYRSEILLSDEILTPQRSFCGSTPGMDYNKRTEISPLGICVSSSSSTAV >DexiUA01G0000300.1:cds pep primary_assembly:Fonio_CM05836:UA:1728977:1730513:-1 gene:DexiUA01G0000300 transcript:DexiUA01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDDIKLEMGVASDGAGQPEMAAAGNGDGYASFTQTCLNGLNALSGVGLLSVPYALSEGGWVSLALLAAVAVVCWYTGILLQRCMSVDPTVRTFADIGERAFGRRGRVLVAGFFHAELFLIAIGFLIVDGDNLDKLFPGARVRIGALTLAGEKLFVVLVALVVTPTTWLRNLGVLAYVSATGVFASVLIVLSVLWVGAVDGVGFSAPGAKAVRPITKLPTALGLFTFCLCGHAVFPTLYTSMKEKSRFPKMLAICFVLCTLNYRSMAVIGYLMYGAGVQSQVTLNLPAGRVSSKIAIVTTIVSPLSKYALVVTPIATAIEERILRRRATGGSGAAVSVAVRTLLVLSTAAVALAVPFFGYLMALVGSLLSVGACVMLPCICYLRVFRPPARARETVAIAVILVLSSVLLVTGTSSSLVNIIHELKA >Dexi7A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:7A:26173061:26178018:1 gene:Dexi7A01G0016310 transcript:Dexi7A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRFTVPQKFFLHFYVEGVAVTTSLLFATWFYAYMKMTPLVLESSSSSTIDNHYVGGSSSFSLANAWPSHPVEHKYRVWRTVFVLILMEIQVLRRMYESKYVFHYSPTARMHIASYLVGWLYYVAAPLALASPCLPEAMRYLYYQIYEFIMKGQARIPDLVIDLSHIVMPLLKLGWCQWIGMAIFIWGWLHQFCCHAILGSLREHKNSDEYVIPCGDWFSYVSCPHYLAEIVMYFGLLIASGGSSSSVWFLLIFVVHSLASSAPNSQTSHGRNCQLVPRLRRAAPRRRLRSPLPARSACPRRVRMEAGGGSALQSLLCLAWVAAILPIAAAALPIPAAAGGRLLHQLLCAFSSRGKTVRASPSSSSFKAVLRRLYETEHVFHYSPSARMHIMGYLTGLL >Dexi5A01G0030350.1:cds pep primary_assembly:Fonio_CM05836:5A:33334973:33337361:1 gene:Dexi5A01G0030350 transcript:Dexi5A01G0030350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Dexi3A01G0004520.1:cds pep primary_assembly:Fonio_CM05836:3A:2955617:2958448:-1 gene:Dexi3A01G0004520 transcript:Dexi3A01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSARAAPSSTTPRPSQQGSPPVVVVATQPSPASAPPSRPTRSIRLTGQFRRKGEPHASAAAALPDELVVEILTRLPAKSLYRCTCVSRAWRALISDPANRRRFARTLSGLFISLPDGPFASVDTKGQSCRVSRVRHDAIGGYCTSVGHSQGRLLYVYDNVWENDDMSVYFLEDHDTEEWTYLTTETAQGSPSSRLQTRQADQPAHIRNKNRSGEPTLPDLGSTPHRSKGELGQSRMEAAKKPNAGAAEKRRHGSTALFVAVDYAFLLAFAGFLAYLVGSRILPSVAPSA >Dexi5A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:5A:24022286:24023685:-1 gene:Dexi5A01G0020190 transcript:Dexi5A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVACPRYDTLHHPPRAFACASASASTSGSRGLLLRAPRSAAARPRPRWRLRRRGLAMAAYGGGHSTGADAGASNAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVDLLWET >Dexi8A01G0013070.1:cds pep primary_assembly:Fonio_CM05836:8A:23034078:23047553:-1 gene:Dexi8A01G0013070 transcript:Dexi8A01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLRRAAVVSGFGLPIRRRRGASTTEGDTVAEMVTPAPTAGFIGSDASSHCPPLLQQEDEEMLVPHEEVAAADADAAQPMEGVAQTEVASTVEGQPEDDQQASRFTWTIENFTRFNGKKQYSEVFVIGGFNWRVLIFPKGNNVDQFSMYLDVADSANLPYGWSRYAQFSLAIVNQIQPNYTIQKEAQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVVEAQAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEVKMKGTVVEGTIEQLFEGHHINDIECINVHYKSNRKESFYDLQLDVKGCRDVYSSFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTNVKINDRYEFPLQLDLDRDGGKYLAPDADRSTRNLYALHRSAHPCKQFPICSPHVMLRYKFDDERVTKEDAKKALEEQYGGEEELPHINPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRVRLKKEQEDKEHKKKEKAEAHLYTIIKVARDEDLKQQIGKDIYFDLVDHEKVRNFRIQKQLPFSSFKEEVAKEYGIPVQLQRFWLWAKRQNNTYRPNRPLTPLEETQSVGQLREITNKAHNAELKLFLEVELGLDLRPLPLPEKGKEDFLLFFKLYNPEKEELCFMGRLFVKGLGKPSEILEKLNEMAGFSPDQEIDLYEARRFNSSAHDDLMRLLDGDIICFQKSPRADHDTQVRYPDVPSFLEYVHNRQVWCPYLQLIMICTVVHFRSLEKPKDDDFSLELSKVHTYDDVVERVARQLGLDDPAKIRLTSHNCYSQQPKPQPIKYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFNHPTKDEVVIHSIRLPKNSTIADVINDLKTKVTLSSPNAELRVLEVFYHKIYKIFPPLEKIENINDQYWTLRAEEIPEEEKNIGPNDRLIHVYHFMKDINQAQQIQNFGDPFFLLVHEGETLAEVKKRIQSKLQVSAEEFSKWKFAFISTNCPDYLQDSDVITSRFQRREVYGAWEQYLGMERTDTTPKRAYIVNQ >Dexi3A01G0020680.1:cds pep primary_assembly:Fonio_CM05836:3A:16410963:16413761:1 gene:Dexi3A01G0020680 transcript:Dexi3A01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPPRNATAQAAARGNISSAASVVCYSPMMVTAYGIWQGVNPLEFSLPLFILQTAIIVATTRILVVLLKPIRQPRVIAEILAGVVLGPSVMGQVEVWATTVFPLRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLLAGVLFVVACFYVVRPLMWWIVRRVPEGETVSDAQVTLILAGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGQLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTRVRDPVTVGLLVLVFTMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNQSRNAGGATSSDHIFNAFESYEESVGGVSVQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILSSAPCSVGILVDRGLSAAAARMANVHHVALLFFGGPDDREGLAYAWRMVEHPGVCLTIVRYVPPGYKAPPLPQLQSPAAMAPPRDARAITIVPDAGGNKSERQMDEEYLGEFRTRNAGNEAVLYMEQVVANSEETLAAIRDLDSAHELYIVGRHPGEPGSPLTSALAEWMDSPELGPIGDLLVSSDFSKMVSVLVMQQYVITTPQPGVGPAVPVTDDPVRQYLTNANQRTAVGRGGWGGAAADF >Dexi6A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:6A:5892253:5894470:1 gene:Dexi6A01G0006200 transcript:Dexi6A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSTMDVPNNSCPPKLHTRLRLWEFADRYVFEPVDGLADLLLSVSRVNGSMNLVEELPQRGPSTNPKVQIVFGVIGVLKLAAGTYFLAITDRDCVGSYLGHAVFKVTGLRVLPCNNSISASAEQKNMDTEFSELLDAAERTIGLYFSYDSNLTVTSQRLHELGDEFKSLPLWRQAEPRFLWNGYLLEPLIENKLYQYLLPVIQGSILGLF >Dexi5B01G0033050.1:cds pep primary_assembly:Fonio_CM05836:5B:33548301:33549984:1 gene:Dexi5B01G0033050 transcript:Dexi5B01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGRTSHPAVTSAARCSAPTFCSSTRATRSRVEPDIRMLFANAMAPHTDGELAGADGKAEAVVEVADVGAVEPGVGMAREEDQHHASSLVRNTRAAAAAAAAVAVEGELGGGELEVEPAHVPRPALATAGELDGDEVFGYRDSQVMLSAAGARLTARTSAACNMLLQRATSEELKLSRPTRMTPLPLSLRLDEDDERLLATLSQ >Dexi2A01G0031310.1:cds pep primary_assembly:Fonio_CM05836:2A:42069624:42071312:1 gene:Dexi2A01G0031310 transcript:Dexi2A01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCSS >Dexi3A01G0000170.1:cds pep primary_assembly:Fonio_CM05836:3A:186941:189203:-1 gene:Dexi3A01G0000170 transcript:Dexi3A01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRSRVPELAAGSSRRRPSSPASTSDTAGCPPSPRMRLASADPALATASPPRTAGPCRRRRCPPVQTATFASPACSFPRSVASRLAHARLPPAARLRARVDTARGANPAATWLSVPALLSSLPSILSLPSRSYISSQQFPSLKAPEKPRRTRSRAQPSSLLPRPNQGSLELPQPPLLLTEQSPHQIPHQSPRRSSPEFTETSAAARARAQPGTISFLVSRWCSPTLSPSFSDPDVAGSRSPELPSRHRHLAQTSRGEPLFTFPYFPGPVSPPFGRRNHAGEPWTEVYLQPLSRGPSAKVQGPVLYELVPAAEEVTQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi2A01G0019350.1:cds pep primary_assembly:Fonio_CM05836:2A:31563120:31565024:-1 gene:Dexi2A01G0019350 transcript:Dexi2A01G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNIAASLGHRLSSASASATGGRLLHDSAWRPFCIFTSTRQPEQHHSGGSVGDRHDGGDRNHPKPQAIAAGAHSLLLTRACLSSKSPPPSLAVGLLSVLTQGTGPTAGITVSAASLSGSSSISLGFNPTSFLPFLQTAKWLPCSDLATSSSSAPSSPPRASAPSPPSKKALIGGPSSSAGASGPAGIATSRGASAAMSRSNWLSRWMSSCSDETKTAFAAVTVPLLYGSSLAEPRSIPSMSMYPTFDIGDRILAEKVSYIFREPEILDIVIFRAPPVLQAYGYSSGDVFIKRVVAKGGDYVEVCDGKLLVNGVVQDEDFVLEPHNYELEPLLVPEGHVFVLGDNRNNSFDSHIWGPLPVRNIVGRSLFRYWPPSKITDTIHDYEPDAALHTHTVLS >DexiUA01G0004880.1:cds pep primary_assembly:Fonio_CM05836:UA:9036507:9037750:1 gene:DexiUA01G0004880 transcript:DexiUA01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVELVVITMTTLLLLACRGSHGHAASAADIEEELAPAWAVPHLRRLLARHKVDAVVDVSTRGGHHYGSIAEALAAAPPPPGRYTVHVRAGIYREPINITRSDVTLIGDGMGRTVISGNQSMHTGHGMLQSAILTVSGNGFMARDLTLQNTAGASAGPAVALMTMSDQSVYYRCELDGYQDTLNADCNRQFFHSCRIMGTVDFIFGYAKAVFQECQVLVRRSVDGKDNVITAQGRDGPDNQSGFVFQRCAVKALPGDHLQKNTRTFLGRPWKKHSRVVFMRCALDSIVNPEGWLQWNATTPVPDTVYYAEYRNTGPGANTQGRVKWDQLHLLKEPAEAANFSVHNFIQGDDWLPRFGITYDQE >Dexi7B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:7B:7026015:7026503:1 gene:Dexi7B01G0003110 transcript:Dexi7B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSRCTLAATCARDGGRALGREEERGEEPCAGLGKREERSGIGRALRGGAPASVREAGGRRYLPRAAAGTNVATEFALGEPGNPSAATRSLSSPAVRPLVAVVAAWRQAVATPKPHLTFHAPPLVPLAAPGLDPWLHGLAMDMLGTIGTADFALPLSPSS >Dexi5B01G0028170.1:cds pep primary_assembly:Fonio_CM05836:5B:29601226:29601496:-1 gene:Dexi5B01G0028170 transcript:Dexi5B01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPQVHDGHEEAVEHLAPAELLPLPRRLNPPRAVAVTVVVVVATIDRNRSIDWD >Dexi9B01G0013090.1:cds pep primary_assembly:Fonio_CM05836:9B:8845910:8846428:1 gene:Dexi9B01G0013090 transcript:Dexi9B01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRAGQAGCPDRRACTALAWASLRVRAVGWVVSPADPSLAGRMHAAGRGSRSSSSSRTMASLASHAMRDGSEMRDRGGDNYSCAVVRCAAAVTNAGSLDVVHVAAARQLCSIGSAGTVVGAGRPRCLPVVSQHARTHAPCRSVDLDELARAADAGSRARAAIGRPNGLQ >Dexi3B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:3B:13458345:13462938:1 gene:Dexi3B01G0018130 transcript:Dexi3B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASAISRSSSALRKQLARGGGGEPQRLWGRGYASKEVSFGIGARAAMLQGVNDLADAVKVTMGPKGRTVIIEGSYKGPKITKDGVTVAKSVEFEDSAKNVGANLVKQVAEATNKAAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAISSITTHLKSKAWKINSPEEVNQVATISANGEKEIGDLISKAMETVGKDGVITIVDGKTLDNELEAVQGMKLSRGYISPYFVTDEKTQKCEMENPLILIHDKKISNMNSLLPVLEISIKNRRPLLIVAEDVEGEALSMLVLNKHRAGLKVCAVKAPGFGDNRRHNLDDMAVMTGGEVVSEERGLDLSEVQLQMLGTAKKLRESIETSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAAKAAVEEGIVPGGGVALLYATKELDKISTANEDEKIGVQIIKNALRAPLMTIAANAGVDGAVVIGKLIEQDDLSLGYDAARGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMATTEAAISELPATKARIASRMPQMGDMDF >Dexi3B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:3B:2070245:2071393:1 gene:Dexi3B01G0003160 transcript:Dexi3B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSWITDEDAPATVEYGTTSGHYPLSVTGSTTSYSYLLYRSGKIHDAVIGPLQPSTTYYYRCSSSPSREFSFRTPPATLPFKFVIVGDFGQTGWTETTLQHIAAVDHDMLLLPGDLSYADFIQSRWDSYGRLVEPLASSRPWMVTEGNHEIEKLPIVAPTPFVAYNARWRMPYDAGTTPSPDNLYYSFDVAGGAVHVIMLGSYTDFAAGSPQHEWLRRDLAAVASRSTAAFVVALVHAPWYNTNKAHMGSGDAMQEAMEGLLYGARVDAVFAGHVHAYERFRRVYAGREDPCGPVHITIGDGGNREGLAKRYEEPQPAMSAFREASFGHGRLEVVNATHALWTWHRNDDDVAVVWITSLRANPACNKNRSEAVVESE >Dexi3B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:3B:7774846:7779374:1 gene:Dexi3B01G0011060 transcript:Dexi3B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPVGLCFVLKTPRRRRPSRPPPVRARISGRQQVPIQQPMADAEQLLHLKLAFFAGIISEVELSSDVVIDGLYEEFAQHKSCCVQKDSLLNRTDHIYKEISFLSSTHDDVSSRLISVVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPKIFSTKCCFELGSGVGLVGICLNYVGASKVILTDGDTSTLNNMKENMELNNLCIEQEDSKVLKESKNKVECKYLSWEEVAESDLSGYQPDIM >Dexi6B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:6B:24210038:24211097:1 gene:Dexi6B01G0017000 transcript:Dexi6B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAALLLLLLAASAAAAAAAGRKEGAGEKVCDKGWECSGSRFCCSETISDYFKAYQFEELFPHRNDAQAHAADFWDYRAFITAAALFEPRGFGTTGGKESGMREVAAFLGHVAIVKPLVVKQLGGFATIMN >Dexi5B01G0027790.1:cds pep primary_assembly:Fonio_CM05836:5B:29344658:29345421:1 gene:Dexi5B01G0027790 transcript:Dexi5B01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPTRPAQPHTALSAESGRVHGTPLTTQRRPGSRDRPRQHLLACCPRRPSTTLEEPETSNYGSAKTGQPSFCPITGSIPPRAAPNLHAKGHCYLKHLQ >Dexi5B01G0029880.1:cds pep primary_assembly:Fonio_CM05836:5B:30985749:30986222:-1 gene:Dexi5B01G0029880 transcript:Dexi5B01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPVPVRPSAPRASPLPPPRAANPNTDSTTTTSAAAAAAEKAADMGAAAWWRRAIGQRFNPAGVVAVATVAASEPRLALPHVSVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPPLAAAFDQCRAAFPPGALAIYSNSAGLAAPTSR >Dexi9B01G0041840.1:cds pep primary_assembly:Fonio_CM05836:9B:42229547:42229988:1 gene:Dexi9B01G0041840 transcript:Dexi9B01G0041840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRRRWHLRRRDAEVKNRPRRDALLVVAGESPQVDELAVPHQAPRPIVESRWQAAAHAMSLWAPATSTEPFGSCTVLGIARNPSLGHCSSVRLAHPAAVFPSVHSRWERGAKAEEGHSQAGLVVLLHTPKGPHEP >Dexi9A01G0045930.1:cds pep primary_assembly:Fonio_CM05836:9A:49388610:49390484:1 gene:Dexi9A01G0045930 transcript:Dexi9A01G0045930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAHATPATPFFPLTGLHKYIAIFLVVLSWILVHKWSRLRKQKGPRSWPVIGATMEQLRNYHRMHDWLVEYLSKHRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGDVYRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSAIVFREYSLKLSGILSQASKANKVVDMQELYMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKRFFHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVEARASGTQEKIKHDILSRFIELGEAGEDSGGLGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMSHPDVAEKLRRELCAFEAERAREEGVALVPVAGAGDEHDDEAFAARVAQFASLLSYDSLGKLVYLHACVTETLRLYPAVPQDPKGILEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWINDDGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYRFQLLEGHPVQYRMMTILSMAHGLKVRVSRVV >Dexi2B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:2B:9759690:9761357:-1 gene:Dexi2B01G0008980 transcript:Dexi2B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDARGGSLRLNLRSRKVCVIGAGMAGLAAARELRCEGHAVTVMEQRGDVGGQWLYDPRTDAGDRLGAAPPPVKVHSSMYASVRLISPRELMGFSDFQFLPTRHGGGDPRRFPVHGEVYRYLKDFCDTFGLMDFVKLNTRVVRVAMAPPRPPDEEKEGGDELRWVVRSVKIRESEDGITDDKVIAEEEEEVFDAVVVANGHYSQPRLPSINGMEQWQRRQLHSHSYRVPDPFRGEVVVLVGCGDSGLDIALDLCGVAKEVHLTSNSSMASATSTTPAMAKMLANHAGHLHLHPRIDRLCHDGHVAFTNGSIVVADTVIYCTGYDYSFPFLDTGGLLTVDDNRVGPLFEHVFPPAMAPSLSFVGVPKKVIVPWFFQAQGRWIARVLSCRSSLPEVEEMVRAVEEYHRGRENAGVHKKYSHDIGGVDPSEAYEFVAKYTDLPAMDDWKRELISSVLRNINEDREAFLDRDDDSENVRQGVERWLAMSAAEEEDAAANATSAGVDDSTSCLNSAL >Dexi7B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:7B:24888610:24892460:-1 gene:Dexi7B01G0019390 transcript:Dexi7B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMVVDLSAPGPVGRWGAAPPQALLERMKDYGQEGAFALWDDLSPEDRELLVRDIESIDLSRIDRIIRRSLGSQGIPMPAVEPVPESSVSKVEDRSPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSPSNTVPIHWYIMTSPFTDAATRKFFETRRYFGLDADQVAKAPDGNGGVYAALKSKKLMEDMAARGVKYVDCYGVDNALVRVADPTFLGYFIEKGVSSAAKVVRKICLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGYTTGLKLEQFIFDAFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSSKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >Dexi5B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:5B:21064713:21068372:1 gene:Dexi5B01G0018680 transcript:Dexi5B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVDNEEPVRRCKDRRQLMKQLVRRRPELAAAHIAYLHALRNTGATLRDFAELESALSQPPPVGLAAPPSPPPEPSMTSSMPPSPRPPPPLPFSPITTIRKMEKRDDELPPPSLAFRPPGIRVRKIEKSDDELLPPPLVFSPPRIRTRKMERMDDELQGDDSVDDDDTDSCSTPLPPPPPPGVAWEYLDPYSSINFPSPFADRNYKEVASQASMDGDPWVETNLEFDGDEDESVLGKDDGLVNRVQMNPAKSRASGDENSSMVGWVTKDSDSTAVPWRSKKSLVEIVKEIDEYFLKAAASGSDVVILLDSAGGRPDISDVDAKKGAGKKSRSAKVFSTLSWSWSFKSQQANSESPILNSNDASGYGYHGKTLEKIYDEEQKLYKLVKDEEFARLEYKRHISILQKLESGEHDKLHAERVRDAIEELQTRIISLEEAVSLACFSISRLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQASRLGNRPGTDPTSDTHFQATSQLEIEVSGWHNAFCNLIALQREYISILNQWIKLTDCLPDDDGLMKSSSGIRSLSEELQRSLERLPEKVAAEAIKTFIAAIHSIVVQQTEERQLKKKSDNMESKFQSQLEKHSESAMQNSAQPPNKNHSSVSKNDMKLDAFRKQVELEKARYLTSVRTSRAMTLNNLQTSLPNVFHALTGFSGVCMQAFEGISRCSEVTVSHSGAVSPAICA >Dexi3A01G0031490.1:cds pep primary_assembly:Fonio_CM05836:3A:35938475:35939419:-1 gene:Dexi3A01G0031490 transcript:Dexi3A01G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRFVYLVMNDTKRRDFPLRRIDASRLFFPAGERPPVPPPLEDARLPPAAIRFSPPITDTSNGDMEFMLLGGRGRKRSKVVAADHTGRCVLYDPALRTVRTLPELTAPKFMAASLTIGESLFIIDKHFNLTFSRNDCFDALYFDEDDADWECYSLPPPPYTDTYDPDGQGPRYRAHITSYAEVTNRGSGGGSSIWVSKEGLGTHSFDVQSGKWKKAGDWD >Dexi1A01G0030450.1:cds pep primary_assembly:Fonio_CM05836:1A:35760550:35764198:1 gene:Dexi1A01G0030450 transcript:Dexi1A01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVENPAKEKYIRDIFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDEFISYGRTSSHMLHMSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTVGLLDQLPALQQLLFRLLGCQPQGLSSYNVIIKHALSMVAQESVRIQTAINDAILNLVDKFFEMKRDDAIRALDMYKRAINQTEQLSEFYEVCKSIHIGRGERFLKAEQPPASFLATMEEYVSNAPLASTVQRNQAVLAIEYNRKPEAEEPSTPAPPAPEPEPEPVKEAPPVAEPADLLVIFHDTAVVRLQPLAGLAYRFFAADNAPKAPAPTRTESVATSWELALVTAPSSNGNAVTSSKLAGGLDLLTLDGLYNEAHRQAQQAQQNASYNPWETTSGPMMQQQMYDPFYASNSISAARNVQMAAMAQQQHAFILQQEQQQQMMRAQQQQQASSNPFAANPYMHAGVHPYGAGMQLHAGNAYTGTGMM >Dexi5B01G0005200.1:cds pep primary_assembly:Fonio_CM05836:5B:3462660:3466010:-1 gene:Dexi5B01G0005200 transcript:Dexi5B01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASASPAATPSPSAASTPRGAKRRRTPGSAGESSGPSRHTSPNRSPRAGAGTVRSAALLGLPMQTCSAPLLHFSRFSRCNAHQLLDLAGLPAEAAVSVAKVLEEAALWRPYPAQMRAVKEALHVATVPSCELVFRDYKQRRVFEFCKACVEHEKAGSLYVCGCPGTWKTLSINKVRESLVRWADEIGMEAPDSVAINCTNLANTSEIFSKFLMHILGQFQNCKKGNGKLSPLEQLQSMFSNKESVPRRMMLVIVDEMDYLITRDRAVLHDLFMLTTCPYSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYCKDQISDIVKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLEARLQHSSDQELGLVTFDHMDIALSKAFKSAVVDNILCLPQQQQMVLCALANTFQHCKKKATTLGELNEPYIEICRSTQVPAVGMLEFSNMCMILSDQGFMKLGQSKQDKLRRVTLQIDILDIGFAFKGNRFFQKCLEQPRC >Dexi9B01G0022630.1:cds pep primary_assembly:Fonio_CM05836:9B:17389834:17396264:-1 gene:Dexi9B01G0022630 transcript:Dexi9B01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAMDASLLDDPFVAATPSDAIVPHHPTHHTIPAATWGILPPPPSSPAATRTAGKFDQDGSDLTRGEQHNDIGLKSCSLDVMTLKTMHRAMDASLLHDLPLEQPPTSLDHGPPLHITNDTVVRSTTSAIRTAGKFMVHRRPTSQTTQWCDVCKITAGKLTVHRPTSQTTQWCDVRKMSFHIAPPTTPYMHLHGIHQIPNPSPHPPAAAAAGAIRIAGNIQGFQSFEKLKAGLLEPTAVVLLS >Dexi9A01G0001420.1:cds pep primary_assembly:Fonio_CM05836:9A:760613:763803:1 gene:Dexi9A01G0001420 transcript:Dexi9A01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASGLATRGDLRSALPFLPVVLRGGALFWPSAAQESLRALALGPDVSRVASGDVLADALTDLRLALSLPALSQRAADGLALFFDDLLSRAQARGWFSEVVPNLARLLLRLPALLEDHYAKAGDATSGLRILASQDAGIVHLSQELVAALLTCALFCLFPTDGRAEASLPSINFDGLFAALIHNTRQSQEQKVRCLIHYFERVTDYSPTGFVSFERKVLPRRAVSDGVITYPDVDAWIKSSAPLCQFRVFSSGFIEDEEQEALEIDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMACMEDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDNKPIDSMGRRRTRIVAIDALDCPTRLHYESSGLLREVNKAFCGFFDQSKFQLYAKLFQDSDDKNFSPSISSNEYIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRPFVNYYTFEDASLERLGEVIQWVLRHEWTVGELWHMLIEYSSQRIRGETLKGFFAWLLPNGGPKNEADYMSE >Dexi1A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:1A:23666175:23666635:-1 gene:Dexi1A01G0016470 transcript:Dexi1A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIVLGLVGLTLAGVALESADGAGECGRASADRVALRLAPCISAADDPQSTPTSSCCSAVHSIGQSPSCLCAVMLSGTARAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >Dexi6B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:6B:19647425:19652548:-1 gene:Dexi6B01G0012090 transcript:Dexi6B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLAARRRQQLQQHLLPRVAPASAAAAAYISSAAAAVHGGAVPASASASVLPDTLDRGSEAYARNAAAVAGLLSDLRARVSQVLRGGGPEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIAAECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVMGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLAMGRNIVKNLHLAAKGTHVQNSACDYQEPLYDVEELRSIAPADLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCTKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLAQIERNNKKRQGVEWTKDEEEAFKAKVAEAYDREASPYYATARLWDDGVIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >Dexi9A01G0036950.1:cds pep primary_assembly:Fonio_CM05836:9A:41270563:41284896:-1 gene:Dexi9A01G0036950 transcript:Dexi9A01G0036950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATAMEPAAAAAGEGQRFKRIPRQAWSGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDGKYGHYESVAPPSFQNQIFEGPDTDIETELQLCNARHSKPEDATEDDTPSTSGRQIYEIEPSASSSKVHCSLSPLPAYEPAFDWENERSLIFGQRVPESLPAINNSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTDMQDAHISLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYKESFAWAMIPLFEGNHAGGLGDAASPSSPLAPSISGTSSQDSIVDPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHDGHNDVDNVSEGGSMANDLNDAGDLSNGRWNRSSFDGTRSSVNSSAGAQKGAHHNGKISNAESGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDSDIRKPPLEAVHPRERNTMLQKWGHTQMAVGTRMASYHDEVKISLPALLTPQHHLVFTFFHVDLQMKLEAPKPVVVGYSVLPLSTHIQYASSSDFFNVGQYHYISPSQLHSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLINYVDFAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSALHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAIISAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDATLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSMLLGASSRSPDLERPASPKYSERLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTTRALRESLHPVLRQKLELWEENLSTAVSLEVLGITEKFSAAAGTRSITTDYAKLDCITSILMGLLSRSQALAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSFEESGEARRLRKSLEEMADVRSKDLLKDCGLPVNALEASPEGSSDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVTVDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDTVWSKEHVASLCKICPIVSTDVNAEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRSYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >Dexi4B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:4B:22410462:22411096:1 gene:Dexi4B01G0020190 transcript:Dexi4B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPLSAIFTATASTVPSPRAPNLALVAATERVRCGAFGAEDARHLLDELLQYGTPVPVRPLNGFLAALARAPSSPTCSDGPALAVSFFCRMSRAAGPRVMSLSFHTYGILMDCCTRAQRPELAMAFFGRLLRTGLGVDVISFNNLLKGLCEAKRTNEALDVLLHRMHESSCVPDVFSYSILLNSFFKEGQIAKASGNST >Dexi2A01G0032410.1:cds pep primary_assembly:Fonio_CM05836:2A:42888736:42889157:-1 gene:Dexi2A01G0032410 transcript:Dexi2A01G0032410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKSRVCCVTGAAGYIGSWLVKKLLDRGCVVHATLRNLGDESKTALLRGLPGAAERLVLFEADIYDAASFQQAIAGCGFVFLVASPTSQDDRCSSKVQGGT >Dexi1A01G0023250.1:cds pep primary_assembly:Fonio_CM05836:1A:29899713:29902108:1 gene:Dexi1A01G0023250 transcript:Dexi1A01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLVSAQTTMAVFTVASFFALLCVAQQARAVAPVNYTFMREAVHAPPVDYYDYIIIGGGTAGCPLAATLSQRSRVLLLERGGSPYEDARVLSMLHFSDVLADTSASSPSQRFVSEDGVINSRPRVLGGGSCINAGFFTRAAPAYVRSVGWDPREVAAAYRWVEDVVAFQPALGPWQSAVRRGLLETGVVPDNGFTYDHITGTKVGGSIFDADGRRHTAADLLRYANPDGIDLYLHARVARVLFGYKGTKPVARGVLYRDAQGEYHMAFLNQGAANEVILSAGALGSPQQLMLSGIGPAAHLRSLGIDVILNLPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEGASGANWNSHPSGTQPPPRNFGMFSPQTGQLATVPPAQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGHLTLRNLDADDNPSVRFNYFAHPDDLRRCVAGISAIERVIRSRAFSRFTYPNFAFPAALNVTADFPVNTLYGPRRRGGGGGGGGGGSDTRSLEQFCRDTVMTIWHYHGGCHVGRVVDRDYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKLLRERRLIEGPGRRNP >Dexi5A01G0008380.1:cds pep primary_assembly:Fonio_CM05836:5A:6243806:6249339:-1 gene:Dexi5A01G0008380 transcript:Dexi5A01G0008380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVETYACSPATERGRGILLAGDPKTDSIAYCTGRSVIIRRLDAPLDAWAYQDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFVNCIRYSPDGSKFITVSSDKKGLIYDGKTGEKIGELSTEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDIMEDASGTLNRTLVCTGTGGVDDMLVGCLWQNDHLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTISSLVLFPQSSPRTILSTSYDGVIMKWIQGVGYGGRLLRKNNTQIKCFAAVEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLALQKPEFALITTDSGIVLLHNSKVISTTKVDYAITSSSVSPDGTEAVVGAQDGKLRIYSINGDTVTEEAVLEKHRGAITSIHYSPDVSMFASADVNREAVVWDRASREVKLKNMLYHTARINTLAWSPDSCFVATGSLDTCAIVYEIDKPAASRITIKGAHLGGVHGLSFLDNDTLVTAGEDACIRVWKVVQQ >Dexi3A01G0031030.1:cds pep primary_assembly:Fonio_CM05836:3A:35438930:35440640:-1 gene:Dexi3A01G0031030 transcript:Dexi3A01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTDAAEMPLLTKPAAAAPPLPPVRRNKYPFFCAVLASMTSILTGYNVAVMSGAQIFMAEDLGISDAQIEVLSGVINIYSLAGALLAGWTSDRLGRRLTIVLANVFFLLGPLCMTLAGGYNALMVGRFIAGIAVGYDFVIAPIYAAEISPASSRGLLTSVPEIFNNTGVLLSYVSNLAFSGLPAHLSWRVMFAAGVVPPVFLALGTLTMPESPRWLVMKGRVAEAKDVLHRTSDTAAEAERRLLEIEDVVFVSGDGEGSSSSAWKEAATKPGVRRVLAMVLTLQFFQQASGIDSVVLYGPRILAMAGVTSNTLLLSLNVVFGVAKAGSILIAMALVDRAGRRPLLLVSTGGMAASLVVVGSLFAAFAGGDGDAAATTVATAATTMAAVMAYVVFFSMGLGPMAWVYSSEILPLRLRGQGAGLGTAMNRVACGVVIMTFISLYKAITMAGAFYLYGAIAAAAFVFVYTCLPETRGRSLEDMEELFHTK >Dexi5B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:5B:1322600:1328137:-1 gene:Dexi5B01G0002070 transcript:Dexi5B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVRLMMFCYSDTPIFWINFVMWDFRRRNVTDEWRNSVLPILWRCQWLELRMKELSSQVSKYDRELALIKKEKEIQQPVSKANGSMSESMHSHKCHGSSIMKRRKRKRHEENADASLYICEHQILSYFHGKQNQGGTGGLLIDDDRGSTVDFMPVFSQNTDDILIDNACQQLDNAKHLPSGTSSKGQNISGPAETKSTCAPVEAKNSCAPVVEPVSPQRKQEPKPKKMMKKRSFSTKKQRKEDSKTHATKKITEDVAAAAKNKTRSTLSAAAAEKTERKPSGAPGPGTMTACSAGKKHKTGNEPPDKKCESLASKKQETVKLSSAAKKQETVKLSSAAKKLETVKLSSAAKKQETMKLSSSANRQKTEKPSSTGKKQETMKLSSSANRQKTENPSSAGKKQKTENPSSAAKQQETENMPSSTKETESSPLNLKIEKSVVVAVNSRRSQRVRKPKVFAE >Dexi4A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:4A:7588484:7588936:1 gene:Dexi4A01G0009600 transcript:Dexi4A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTIRHQYKTGDVLCESTLRSDKQSTTAKNTRDLALVAMDLLLRTTTKVDSVLRRHSGSGHHSRSTALTLQYCQLDYAAIARTVPKCRAMVKEYKPIYPPNPEVGNVYYNCVAMLGQAASDCWGYVLVD >Dexi6A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:6A:28060002:28061108:1 gene:Dexi6A01G0020600 transcript:Dexi6A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPEKKSNTVDEENDVSLPEDQMLEVLTRVPLDDLAACRVVSTRWRSITYEPAFTPLHCRRTGTVSGYFLQSMLRDHYRAAFVSMNDASSPAAPAISLDFLPSTNVRIEAVAAHRGLAFCVGLDTPRRPCYYVCKPATRQWRAIPNPRTRFHTAAMAMAARPSSDAAVKAEFKIVRFSIPKRLHDMLHCEVFDSRRFAWRRAPDVPIVSLPSSTPAVRAHGAMHWLRLGAQDVFAFDLESEAWRLIALPQELDETRYHWACKQLSAVAGRLCLLVTTTETEEVGEVLEVWEMASYVEGRWDKKMTWT >Dexi7A01G0010940.1:cds pep primary_assembly:Fonio_CM05836:7A:21637394:21637914:-1 gene:Dexi7A01G0010940 transcript:Dexi7A01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAARRAAPEAGTSGSGGESRGQCAEGEAGDEPRRQKRPGRAAKTKGLRRVRAEASGETRRVSWAVQKPVITKRDLGG >Dexi9B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:9B:2989862:2990218:1 gene:Dexi9B01G0005070 transcript:Dexi9B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYLLHSSSQAIEDAECSVASCSVNDQSHVGNGERRRPGAGCLPDDAMSACPRSPGAREEDDVHGLELEAYRSTMRALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLLSS >Dexi3A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:3A:6916173:6916493:1 gene:Dexi3A01G0009820 transcript:Dexi3A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGVIRNEARLLRGVEEHSMNSFLLHLAWTAPPGGEHDEQVRTWMNQVRLLANDCSSCIDSHQCAL >Dexi6A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:6A:26301039:26302422:1 gene:Dexi6A01G0018530 transcript:Dexi6A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPVYRLMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERILFRAGILPPPPMLAMAHKGGPRDRRPIDPMTGQPLDIESLTIVDDPNGENGAPIEGMA >Dexi5B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:5B:3975085:3975877:-1 gene:Dexi5B01G0005900 transcript:Dexi5B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSLLHSSAGALTTSSNSTAVARVVVTCLQDQERALLRLKRSFTTTNDSTMAFRSWNVGTDCCGWAGARCGDADGRVTSLDLGSWSLESAGLDPALFDLTYLRYLNLAWNNFNASELPSVGFERLTKLISLNLSDTNFSGQVPHSIGRVTNLVSLDLSASVEIIEMPDSRYMVETWLDDLDNFGYLAVTNFTPLVANLGGLRELHLGCVDLSESTDWCDAISIIVF >Dexi1A01G0029390.1:cds pep primary_assembly:Fonio_CM05836:1A:34905151:34906257:-1 gene:Dexi1A01G0029390 transcript:Dexi1A01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPAVLAEVLLLACLLPVALSRGLVLGHGRGHALPHPRGVGLGHRHAQPHPQPQPHGHAPLGGAGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCDAAGGGSHSCLQGSVVVTATNFCPPNYALSSDDGGWCNPPRAHFDMSQPVFQRIALYKAGIVPVSYRRVACNKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKGERSGWQALSRNWGQNWQSNALLDGQALSFRVTTSDGRSVVTNNAAPHGWSFGQTFSGAQFN >Dexi5B01G0005940.1:cds pep primary_assembly:Fonio_CM05836:5B:4014953:4016116:-1 gene:Dexi5B01G0005940 transcript:Dexi5B01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQEGKELQPYDSCDPSVFMGAVLLPRQASSAPSAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLATLRRMVPDTRQMDKATLLARVVDQVKLLKRKASEATQSMALPPETNEVSIELQTGDNGVAGADKMIYMKASISCDDRPDLIAGLTQAFHGLKLRTVRAELTSLGGRVQHVFLLCRDESWSSASASLRSLKEAVRQALARVASPEMAYGNSPFLSKRQRILESHYSIMSI >DexiUA01G0027360.1:cds pep primary_assembly:Fonio_CM05836:UA:58497245:58497730:1 gene:DexiUA01G0027360 transcript:DexiUA01G0027360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQGASLRVTGILQSYDMDSATAVIKDGSVSLKIDTQNLRDVSFRTNSAYQFIGELQIHADNEAILQARIGRNVDGLDLNLYQSALLIQREQEAKLRSSRRT >Dexi1A01G0006290.1:cds pep primary_assembly:Fonio_CM05836:1A:4710184:4711630:1 gene:Dexi1A01G0006290 transcript:Dexi1A01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMAAARYWGVGGRRCGGACGGGSPAAVHCRTCSGGGGGGGGAYLCAGCDAAHARAGHVRVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPVQPIGSASAAAHAETLLFDAVAGVGGEEDDAGMIAGGAKADEKVDFLFADVMAADPFLGQDFARFTHADSVVPNNGSSGGGGVDLDFGGAAAMAVVKPSYSSYTVASLGHSGSSSEVGLVPDVMCGGRGGSVTGGVIELDFAQSKAAYLPYAAATPTHSVSSLDTGAVSERSDGVRFAAATTTPAASPESREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRGDDADTDASDLAADLITAAAAPPPMKLQQQQQTAAGYHPYVLDFAGGYGVVPSF >Dexi1A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:1A:2581256:2582255:1 gene:Dexi1A01G0003560 transcript:Dexi1A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTTPSVAPTAASAGGGRRRKLVAGSVVIRLLQAPAVVALAAALAVSAPAPPSEPLCNLPPTLSGEEGGRQGEANRIRHPKSDRAARCTSKCVSTCVLGGYGAPGVAGPFNIRRPLVVFKDTFRSRQYCLVECSDICNLLKDGEDDQ >Dexi5B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:5B:5831823:5834940:1 gene:Dexi5B01G0008610 transcript:Dexi5B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASAGFWWCSPVATVLQQVLGPEASPHGFGLQLLMQRVVVVAVSPFTGGGMTGGGHGVPSPLLFRALSSLNLLLLVGYLLLVLLAKLFARLHLRATTATDRTSNSSWYHGCDDHYYAEEATDTDDVAAADIVGGQRPDTMFWFDEAVFEDSALLLGDEGKDHHLYTATPDADAACCLQQVVSTFPMEEDSAARISPRNQEVQNHHRADTTDAAETKQQVVVQEAETNGIAAVDVSTVPEQRNEAPFVTSPGKNVSIQGNLRDTNSIDLILHSELYSFVRSSHLTPILFVPGYLLAVKKETSRDEGGEQVGRDAGDRDDEHTAEEEEDEKSVSEGHPDVKLFVNSRAVVDTRKLLLEGVAAAGAGGEAKLRRKEEDSKDRNGDSSGRFGASTLASESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSARSIKYQPRSMSERIVHKLTPKPSAPIGLRDPYPDLERAYVAQVCLPWEALNWNYTSFRRHNGGDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEVYARMKNSTPKLLLVPEFRDEDDEKDDLTSAVQFLLILEESIRTFMTFLRADKRSHYEMFREMVKRRSSAADQTLVITLKKTNKRKKSRLKDLTRPRRCLKRTRLREEEELSILLGLIDLKVVARVLRMAEVTDQQLHWCEEKMNRVRVDVEGKMQRDPSPLFYPAH >Dexi5A01G0022870.1:cds pep primary_assembly:Fonio_CM05836:5A:26984149:26986057:1 gene:Dexi5A01G0022870 transcript:Dexi5A01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHVLVVDDSCVDRLVASRVLQKCNIKVTVVEGPKEALKLLAMEHDVKLILTDYSMPEMTGYDLLTEVKLSHLPMVIMCTDDVAARIKECLDGGAKDYIIKPIKVTDVPGLLRYI >Dexi7A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:7A:28818256:28819297:-1 gene:Dexi7A01G0019770 transcript:Dexi7A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQPRGVGNSKVVKVQSEEAWDLFINQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEVLFLYVDVDDVQSVSSKYGVKAMPTFFLIKNKEVVGKIIGANPDEVKKLVDSSADTFETQIVVE >Dexi9A01G0038300.1:cds pep primary_assembly:Fonio_CM05836:9A:42605883:42608805:-1 gene:Dexi9A01G0038300 transcript:Dexi9A01G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGKKEKKYEPPAAPSRVGRRQKRQKGSEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVASQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGVMSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDVKTRRRIFQIHTSKMTLSDDVNLEEFVMSKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >DexiUA01G0019000.1:cds pep primary_assembly:Fonio_CM05836:UA:39770201:39771621:-1 gene:DexiUA01G0019000 transcript:DexiUA01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSHLARFGTFCMQNGAKRVRFGARPFATTSPRRSFRSMTLERGSVYPSRSSYVRDDRAMSVTIELSSSFRHDSRPHDRTLEAPPSPSSSTYPRATFRYPRGSAAKLEDLPSSDVPSRSRLRSQARGGTYPRATFRHARGSAAKLEGALTLEQRSVTLEAPQPSSRGALTLEWRFRPFEARQARACGSSSKRRSAWSKCLVQARKSCPTPQTPTFNFTRFEVQFEFLEKIRIWKSELHGHATVPLARAALAGARPPRGRRAAQAALSWPSRGGRRRLSPSSCPATPEPSLRRCRLASPRAAERHHTVARRRGRTRARAAPSALQAVESIRVAPKPLLRISTSSRDDL >Dexi8B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:8B:3544551:3544952:1 gene:Dexi8B01G0004060 transcript:Dexi8B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRTSTFHLGMTTIPAIACALLLVIAAAGAVERPSGSFEDDKVHDFLRVLDRAAAYRRECFGECAKGCYCFDNPYSCLRECMPTPPTRRCGATYGTVQDVFSSSATFAAAAVMGSAEDGDKAAGFFSSAT >Dexi3A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:3A:3137924:3141319:-1 gene:Dexi3A01G0004830 transcript:Dexi3A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADAPSSPELDKTQNSIMKNLSSIVGINSWNSTTPVCNWTGVNCSRSGSGFSMVVTNITLSNYDMYNSSIIAYICNIDTLQSLDLSRNSFTDLGDLFSNTSCRMKEGLQSLNLSSNRLSQPLSNLPRFPQLEVLDLSINHFTGGNLNTYLSSFTNLRSLNLSFNHLNGDVPTSMVSSLAELVLSDKFMNLSKLEILLLSDNRLIGEIPDSLSNVTTLFRFAANLNNFTGQIPSNIANYVRMLDLSYNYLSGKIAPDFLSHPGLQTVDLTGNMLEGAIPRKLSQSLYRLRLGENRLSGNISDSICDGKGLTYLELDNNQLTGNIPSELGKCKNLSLLNLASNNLQGTVPDAISSLDKLNVEISTVGNPGLTNGTGNNNDTPITDEGLPAVESVPQIMNGCLITMNSIHTSAIEFMKAMEAVSNHQNIFLKTRFCTYYKAVMPNGTTYSVKKLNSSDKIFQIGNQEKFAREIEPVLLLDLSTRTIHLKSKNEPQIGDIELYKIIDPSRSTGSFSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVTDGIGLAKWALSLSARPAQREQILDTRISGASIAVHSQMLSVLNIALACVAFSPDARPKMRNVLRMLFNAK >Dexi3A01G0011330.1:cds pep primary_assembly:Fonio_CM05836:3A:8058843:8063680:1 gene:Dexi3A01G0011330 transcript:Dexi3A01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDDGFVSPSSTPLGPFMCPGDRGRLWTGQEIRVRRISTSAGGDESTTRRTHQPASLTPSLPSSSLDCRCRVTYGVVAMASATTTKVYGWAMSPFVSRALLCLEEAGVAYELVPMSQAAGDHRIPDYLARNPFGQVPVLEDGGITVFGSLERSAMVDVWLEVEAHQLHPVMAAIAMECLFTASLGRARDQAVVDENVEKLKKVLEVYESRLSRSRYLAGDFVSLADLSHFTLIHYFMATEYAALVEAQPHVRAWWEELAARPAARKVAAFMPLDFAAAKKDESLGLVISVTTSTFLETSLLYSQLEAIMESGVVKVYGPAVSPYVATVLVCLEEAGVAYEVVPLDMAAREQKAPHHLARNLVALPPSCQQPFGTIPALEDGDLTLFESRAISRYVLRKYGSNAGAADLLREGNLKEASMVDTWLEVEAHQYHPAVSHVVRQCVILPMIGGARDQRVVDEHAGRLGEVLRVYDAVLGERDYLAGDFVSLADVAHFGFTHYLMGTEYAALVEERPNVRAWWERLSARPAVRKVAALMSTVAVAVLAVVSATASRAAEATIESTCSAAATQDRRVDVAFCSRQFAAYHGAAEEAGPWGLARTAALVGVNLADDAAYDIGEGTIRAPPASGERGKAAMDECARAYDAVGMAFAEAADELGARRYAAAEERFARVAALARRCDGDLAVAGVRTPPELARYSAECQQMAVIGIAITNLIT >Dexi1B01G0023390.1:cds pep primary_assembly:Fonio_CM05836:1B:28993493:28996009:1 gene:Dexi1B01G0023390 transcript:Dexi1B01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHAALFHHALPSTSTAAAAHRSRVPPAPRRPRRLRPMASSTTTQAPAPAPPGLKEGIAGLYDESSGVWESIWGDHMHHGFYDSGEAASMSDHRRAQIRMIEEALAFAAVPDDPEKKPKTIVDVGCGIGGSSRYLAKKYGAQCKGITLSPVQAERGNALAAAQGLSDQVSLQVADALEQPFPDGQFDLVWSMESGEHMPDKSKFVSELARVAAPGGTIIIVTWCHRNLEPSESSLKPDELNLLKRICDAYYLPDWCSPSDYVSIAKSLSLEDIKTADWSENVAPFWPAVIKSALTWKGLTSLLTSGWKTIRGAMVMPLMIQGYKKGLIKFTIITCRKPGAA >Dexi7B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:7B:19078113:19079930:1 gene:Dexi7B01G0012280 transcript:Dexi7B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAQQQFIRELTAPRAWLLLLLPLFLSLVRYWFSAKRAWKTRQQQEDEHLPPSPPALPVLGHLHLVGSLPHVSLRSLARKHGYDLMLLRLGAMPIVVVSSPRAAEAVLRTHDHVFASRATSMVAEIVLYGPSDVGFAPHGEYWRQARKLVTTHLLTVKRIQSLRHAREEEVSTVMAKIGEAAAAGAAVDVGDLLISYTNDLACRAVMGKSSRDDGRSKLFRQLVVDTSPLLGGFNVEEFFPFLARFGMLSKVVRGKSERLRRRWDELLDRLIDDHESKRRPTATATAGGPKDEDDDFIDVLLSVRHEYGLTREHMKAILLDVFFGGIDTAAMLLEYTVIELMRRPHAMRKLQAEVRSIVPKGQEIVGEADLSTMSYLRALVKESLRLHAVAPLLAPHLSTASCRIDIDGGAGFVVVPAGVRVLINVWAMARDPRFWEDAEEFAPERFLDGGSAAEVGFKGNDFQFLPFSSGRRQCPGMNFGLAAVEVMLANLVHRFDWEMPPGKEARDIDMSEEFGLVVHRKEKLMLVPKLRV >Dexi1A01G0009170.1:cds pep primary_assembly:Fonio_CM05836:1A:7433964:7436875:1 gene:Dexi1A01G0009170 transcript:Dexi1A01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTILAIAPSLGILVKCGKKPTSRRCGGVQQPAVNAAAVEEMLVSRTDSPISADTAENARDLFSYWFGLKGKWGLKRWIGDTGKPTPPSPPNPNAAKQTTKLGMPASGNQTSLYVLHWAAAWFRGPSVIPFKQPSGEAGWLDGHDTRAWLITQPAAGCESQTSAYLSLLLYHFQLGFCRLSAGIILALSGERSGPTWDPHTIHAGPTWAPRGTHVMFRWDPHTIHAGPTWDPHTIHAGPTWDPHTI >Dexi9B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:9B:5462720:5463654:-1 gene:Dexi9B01G0008900 transcript:Dexi9B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAKAERKVIRVLLIEDEEIHRVLARSVLKAAGGVVLDEAGDGAEAVRRVREAAGAGAYDLILADRQMPVMNGHEATRQIRAMGVTTPIVGLSSDSLAADVDAFIKAGADDFTPKPLSKEKLNHILAKFNLA >Dexi9A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:9A:16335005:16341134:-1 gene:Dexi9A01G0021470 transcript:Dexi9A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILWRKDCALPDSVSPSLLSSIDLCFPTIDGDAEGSHDGAEATSALPPATCARPLQPRPAPSQDHLGFCTPLPRHPATRPSQGHITLTPPPRRRLQLVPDAPRSMVFDFLLLYGACYLMQMVSSFRHSRNGHSKRSASHTNSCDGASQKTTADNPSKRIKETRPICSLQPVKERGRKQGCLTKLKMPVRGRKVLLKPLGDIDLGKKVPSSLKSRLAALTTNLPTGSSHAGDEESEDEDVDEDFNAEDLDEEELDEEDLDREDSDDEDMDGQSMDREDLDGDNMDGKNKNGQKIPAVDIDNEMEGGRLGW >Dexi9B01G0031370.1:cds pep primary_assembly:Fonio_CM05836:9B:33762611:33766835:1 gene:Dexi9B01G0031370 transcript:Dexi9B01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTGHGGGDARRRALEHRRSSRRRLPGWIWWLLGIFLLVGLMLFVLHHNQKEQFRPPVVDNGSEIEEVSHEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRVLSEGAVSGRAITQNEAHPIISRLARLIYKAQDSHYDISTTIVTLKSHALALEERAKAAIVQSAEFGQLAAESFPKNLHCLTVKLTEEWLQNPKHKSRSEEKRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRTHFGAMSTWFLMNDFKGCTVEVRCIDEFSWLNASSSPLVRQLSEAETKGYYYSAGSKSPEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPTISSKIDPHTCGWAFGMNIFDLIAWRKANATSLYHYWQEQNSDLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDLDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNFSHPYVRECMLH >Dexi4B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:4B:2292647:2295291:-1 gene:Dexi4B01G0003280 transcript:Dexi4B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNQTSLMPPFSFTLDEGSSMSKRPYRWQRVLLKITMAIAREVASVTKLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPRQNPNARLLETVSYNEVTTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKNQEQNGNAVGEERRLVN >Dexi2A01G0034430.1:cds pep primary_assembly:Fonio_CM05836:2A:44386398:44388369:1 gene:Dexi2A01G0034430 transcript:Dexi2A01G0034430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGWLVWTVLAAALASWAFDAAVRLVWRPRAVERRLRAQGVRGPGYGFFHGNLRDVRRLRAAGAAVKLDVADHDFIPIAQPQFREWIPRYGRVFLYWFGTTPNICVGDYAMAKQVLADRTGLFPKNRTNANLLRLLGDGLVLTNGDDWQRHKRVVHPAFNMDKLKMMTATMADCARSMVTGWEADLASQQKNGYQQVTIELSDQFEELTADVISHTAFGSSYKEGKQVFQCLKELQFITFSTLFSVQIPGFRYLPTEKNRRVWKLDKEVRRTLMKIIKNRLAAKDKAGYGNDLLGLMLEACAPEHGEAPLLTMDEIIDECKTFFFAGQETTSHLLTWVMFLLSTHPEWQDKLREEVRRECGDRDHPPTHDMLNKLKLMNLFILETLRLYSPVPLIRRRTRSPVELGGIVVPEDSLLTIPIATLHRDREVWGDDAGEFNPLRFDAGTTKTAPKNLSALLAFSSGPRSCIGQNFAMIETRAAIAAILQRFKLELSPEYVHAPTDVITLRPKYGLPMIVTSADA >Dexi2B01G0000200.1:cds pep primary_assembly:Fonio_CM05836:2B:86832:87377:-1 gene:Dexi2B01G0000200 transcript:Dexi2B01G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGVLAALLCMLLVFAIFPLLLWRRRSDAATAADNHRLPPQPLQEDQVLHGRAAARRMRRRPGAAGAASSSAASTSRDVPEEDAEDDDEEVADVPRSSKKKGKKRQEREAQRQLHEQM >Dexi6A01G0015540.1:cds pep primary_assembly:Fonio_CM05836:6A:23027436:23031210:1 gene:Dexi6A01G0015540 transcript:Dexi6A01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDRSPPVEGQQPRPSLFSPYQTPRFRLAHRVVLAPMTRCRAPRAVPGPALEEYYAQRSTEGGLLISEGTIISPSGPGWRILMPDGSYGKYPTPRRLATLEIPEIVEQYRQAAINAMKAGFDGIEIHGAHGYLIDQFLKDGINERTDEYGGSLSNRCRFLMEVTRAVVSAIGADRVAVRVSPAIDHLDAYDSNPLQLGLAVVDRLNALQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRMMRALRGAYQGTFMCSGGYTRELGVEAVESGDADLVSFGRLFIANPDLVERFRRDAPLNRYVRKTFYTPDPVVGYTDYPFLGQPKARM >Dexi3B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:3B:12079048:12082535:1 gene:Dexi3B01G0016610 transcript:Dexi3B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVIPSNPDGWGPPDAPAIPQSLGGGASIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLVAGAEDSSFRLVDAKPPPRQPRFGPKWRFNQRPQLPQRQDEEVEARRRLAEKERARRDRHFQNHRSHHHPGFRGNQSSSSAKPSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLVCGAVEFYDRAYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDIVVQRVGNKLFFDKRDGSQLDLLTVNETAQEQLPENKEDINSAHSLAVEATYINQNFSQQVLHRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEVSLVARCEVHAVNADPSGGRQFLTLNAVNEFDPKITGVDWKQKLETQRGAVLATELKNNANKLARWTAQALLSGADMMKLGYVSRVHPRDHYNHSILTVMGYKPKDFAAQINLNTSNMWGIVKSIVDICMKFEEGKYVFVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPLADVDATAQEMDAAAEAEANGASASNEPIVQLPRPTAPKPNKEGPIFLPVTRLSPPAHPPSPPRGPIEKPAEEGHNPSSTGRRYEQTPRFHSPPIHFQPKPEAEEGDREDPQPEMKTFDPWPVFFRREWKRNWPFLTGFAITGFLITKMTANFTEEDLKNSKFVQEHKKH >Dexi5A01G0008860.1:cds pep primary_assembly:Fonio_CM05836:5A:6642407:6642797:1 gene:Dexi5A01G0008860 transcript:Dexi5A01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLEDLRLHVHRKLPLLHSHLLIRQQLLLQQQPPLLQLLANMFPSLNSAAIAVVPVAASDLMCGHVKMTVGVHGKSAPDLMYVHSAKMPLLRDRMHPQPVRMALQRLLIAGIFLKLFVLIIYLG >Dexi5A01G0029560.1:cds pep primary_assembly:Fonio_CM05836:5A:32615804:32618209:1 gene:Dexi5A01G0029560 transcript:Dexi5A01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRLRHRLPLLLTARSSSSSSEPHEIPTVYSFLQPSIFAPRPRPQPPPPPPPAHDTAPRKTLPVADTEALESSLLTAVSEGRSDDAWLAFKSLAAPQPPPPPPPAHDTAPRKTLPVADTEALESSLLTAVSEGRSDDAWLAFKSLAASSRAPSPHAAAALVSHLAGAAGAHHRLGLKRAFAAAVFLLEKSPHAAPVPEPALGALFSALAAAGSTVPALALARAMLRCGRRLPAFSVWGDPLIEITRDDAGAFAAFLNVFDEACKLGVEEKAPAEAAAMRPDLAACNAVLAGCCRRLGSMADAERILETMSAVGVSPDLESFGSLAFLYAWRGVSNRVDELNTLLDALGFIKKGFFKNLISGYLKSSSFELVSSFILRAVKEHRVGDGNGFDADTYREVSQCFVDHARIRELAQLIIQAQEIELTQQPMSVEESVGFGIVNACVELGLLDKAHSILDEMTAQGASVGLGVYSSILKAYCKEQKTAEAAQLVAEISAAGLQLDAGSYDALIDASMTAHDFQSAFALFKDMRDARLPELRSSYLTIMTGLTENNRPGLMASFLDSVVDDTRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRFEPNNQTYLSLINGHVSAEKYFNVLILWTEVRRKGTDFNHELIDAFLYALVKGGFFDMAMQVIDKAQEFKIFIDKWRYKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNT >Dexi5B01G0015650.1:cds pep primary_assembly:Fonio_CM05836:5B:12920811:12924151:-1 gene:Dexi5B01G0015650 transcript:Dexi5B01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGPSLKVSICSATSKEEWDDQCKLWPTSYHPAHDPFIVRGFQEEELPSIFDYMRIAIQLSKMGNAAIIVDPS >Dexi5B01G0035180.1:cds pep primary_assembly:Fonio_CM05836:5B:35238862:35242054:-1 gene:Dexi5B01G0035180 transcript:Dexi5B01G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVIGGLAGCKKGKLKGSVVLTRKSVLGFDVPSISATVMDSIGEFIGNGVTFQLISSTVADPSECHELDRFNSFYINRELINWARDVALLTDNGNRGKMCAEASLERWLTKLPSLTSGDSKFRVRFEWKVEKHGVPGAVIVKNNHASEFFLKTITLDDVPGRGTIVFVANSWIYPQSKYRYNRVFFTNDMPAALKPYRDDELRNLRGDDQPGPYKTHDRVYRYDVYNDIGEPNAGNARPTLGGSEDLPYPRRCRTGRKSTKTDPSCESTVTLLEDMYVPRDERFGQIKKSDFYGFTIKASLNAVVPGISTFVDCTPGEYDSFKDVLKVYEGGIKLPKIQVLEELSKQFPLQLIKGLLPVGGDYLLKHPKPQIIKVDKSAWMSDDEFARETLAGVNPMIIRRLTYGDHTSTITAAHISKNLEGLTVEQALDGNRLYILDHHDNFMPFLVKINNLPGNFIYAARTLLFLRGDGTLAPVAIELSLPELKNGLTTSKSAVYTPTSNTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATSRHLSVTHPVHKLLQPHYRDTMNINSNARKMLVNAGGIFEQTVFSRMYSFEISSKVYGTWNFTEQALPDDLIKRYPYKVKLLIEDYPYASDGLAIWHAIEQWVTEYLAIYYPNDGVLQDDLELQAWWKEVREVGHADLKDAPWWPSMETVSELVKSCATIIWIASALHAAVNFGQYPYCGFVPNRPSVSRKPMPEPGTKEYGELERDPEKVFLGTITSQFQALTGLTLLEILSSHSSDEVYLGQRDTPEWTSDAKALEAFRRFGERLVEIEKRVTAMNADPRLKNRNGPVKLPYTLLFPNTSDKKGEAAGITAKGIPNSISI >Dexi1A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:1A:9816504:9820734:-1 gene:Dexi1A01G0011050 transcript:Dexi1A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEGSSAKVKLSLSCVLVIVMAGLERFANKGVGSNLVTYLTDVVGMSTAAAAKSVITWNGVSFMLPLASAILADSYWDRYSTIAISSLLYVLGMVAVSTWALLRTRVPRYTWFFPLYLTSLGQGGYQPALQAFGADQLGIGDDDDTESGMTAAEEKGKVKGMFFRWWYFGMCSGSLLGNSIMSYIQDNFGWGLGFTIPTAVMALSAVAFFCCTPLYKHTQPKGKGDRTSSSCSILKVLKSIVASRKISLQSRDDNDNSNEDAFSELELQTKPLKAEPAAASKDESQDEAALRPSVGKIILGLLPIWAILLVFAVIFQQPTTFFTKQGMLMNHTFAGGTLVIPPAMLQSSITVSIIMLVPMYDRMIIPLANAITGGSEGITVLQRIGVGMVLSTVAMAIAALVESWRLRRVAPAGAGDEGATPRLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPATMRTIGIGLYLSVFGVGGFLGALMITGIEVATARHGNSRGWFSDDPPESHLDYFYWFLALQCFISFVIFTRLCKYYSGK >Dexi5A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:5A:879161:879810:-1 gene:Dexi5A01G0001320 transcript:Dexi5A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLGEIGDVGDLFTMELLLKTTRSLTWDQLVVKALHVIRCREFTEYNPKTDLTLPTRFCQHNIAFLNLDKESKTVPRPPISKIPASNFWRSEHSVNVISIKVAESDVGYPIKIYGTVLARDQYDYRCVYLFNRSRDNPQLIKSKLHC >Dexi5B01G0034510.1:cds pep primary_assembly:Fonio_CM05836:5B:34730287:34735346:-1 gene:Dexi5B01G0034510 transcript:Dexi5B01G0034510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAIARIYSAARSRLEAFITALPGGHDGFGRSGRGSHRQRGRRRRWRSPFSTPLGSPMSTMSSIIGAGDDQATPASTVAAYATPQGGVGTPPRAPLPLPPPQMVVVALDATRDHRDDEIKTALKGLIDRGDILRAGDSLFVLGVLYSITHPMGYQAKPCTESFSGTSDKYLIDQVAKIAEIYKNKLHQVAEAYHKVGITVTLKITPGTPAKVVIIHEVNSSKAAWVVLDRHFRRDFRHLEKHIACKVAAFQDHLQVQTLKSIWTNTSSKSIAEVKDMQRFAVTIDLSSETLTAGTHRVANMSSPVSYFASLSNRDFHETSSVASCSMPYFSAMSLATEDSQSLSNGKYEEQMASQYDSLERPVLCIGCGLKSVLYIKESMKFPFSEIQAATSDFSNENLLGEGGFGHVYKGQLRDGQAIAAKLRKEASTQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSASLLEWHKRHAIAIGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAGNDSIKTRILGQSGYLAPEYAQYGMVSVRTDVYAFGIVLFQLISGRKVLNEYEGQCTHILQWAEPLVESLALHELIDERIKDTYDTYGLYHLAKTAYLCVRANPEQRPSMGEASFEIVVRLIETENEHIRDLSRQFIPHFMK >Dexi5A01G0027600.1:cds pep primary_assembly:Fonio_CM05836:5A:31063470:31066501:1 gene:Dexi5A01G0027600 transcript:Dexi5A01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFYDKYNAFKKRKLLDEGLERKQEEERKELYDAMKDWISGLEKDKEELNQKLSDKEDELDKARHDLLADIRARDSEILRLKQLLDEKTKTGNCTAIRSVEQTPEVIWENPTRISPRRKTKQSNIKEKRVHLNENTAISHSSHEEESQEQECSRRHTFITGYSFTLTWLEKPGEWSYKLSSLGTLERLAVNWMKEDIRFSMNMCCVFFERISNVIMRG >Dexi8B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:8B:23978040:23979755:1 gene:Dexi8B01G0013590 transcript:Dexi8B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPRKPICKCHSLSTKMASLHKPLPPPSKANYITVLSIDGGGVRGIIPATILVQLEAELRKLDGPEARIADYFDMIPGTSTGGLITAMLATPHDKDKKRKQLDALAIKKFYKENAKNIFPTDLWHKFLNHGGALFVSGPKYDGNYLHNEIDAVSGDVMVADTVTNIVVPAFDLKYMHPVIFNTFQAKNEPDKNVLLRDVCIGTSAAPTYLPPHHFTTKGSDGKPREFDLIDGSVAANNPTMIAISMLTKEMLRVRQTLVKDGKHKDLHAMNDSRAAVTNNPTIAAMAALTRRQTPQSDESTDYKNFLVISLGTGSAKASEKYSAEECKKWSLPAWIIKDGFNPLIDIFSQASADMTDTLPKEHSAMDDARDENILFLEKTAEELLDQPVARVNIDTGKYEPVDDEGTNREALVAFAKTLSNERKYRKGIIDSY >Dexi2A01G0031990.1:cds pep primary_assembly:Fonio_CM05836:2A:42615896:42618740:-1 gene:Dexi2A01G0031990 transcript:Dexi2A01G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHASPGYHWLYCKMATEATSNSSAGSDSTMSFLEQLAEVFGKLKSHTEASLQLQNGMDWADIKEYFLNVDKSYRSKFDELVEKQKALEEKKTEARRLIAEKEANVSAKERASLNQLQELRDAAVSSLAEVRQKYKVDLAEILDANGSKDKKVSTSINGNTASHASEENTPASGSGEPSETSPVEVKPRPVLKELCEQMDTKGLLKFVSENIKKLASFRDELSVALRCATDPARFVLDSLEGFYPPDQTNSPGNKQNALQVQRRSCIVLMEAIAPALGTKEPGGNDPWSSEVKEQAKAIAEEWKSKLAEIDLDASNGYSLEAQAFLQLLTTFNVDSVLDEDELCKIVVAVSRRKQTAVSCRSLGLNEKIAGIIEELVNRHRQIDAVHFIQAFGLSETFPPVPLLKTYVDELKDSFDNNGDATATFSKDDPKTKELLALRAVIKCIEEYKLQKEYSLGPLQKRVSELKPKSEKRPSSDAGRGYSKKPRGPGTSFPRRPVGPVSSAARRPPFPASNWQRAPVPMPSRAPAPMAPLPDRFGAADRYHYTPPATAYEAGAFPSYGESFSAPKPFQYTPGSVAAAYNSSAYKVAYGGPGALPGASGYPGYSGASGPSAPSSYPNYLGSGYRPNQQP >Dexi5A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:5A:5338824:5339627:-1 gene:Dexi5A01G0007200 transcript:Dexi5A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKGVVLQRNGRWGAKIYEGQQRVWLGSFTDEESAARAYDVAALRYRGWGAATNFPAADVRRQLAFLAARRRSEVVDMLRNQTYADELRRHGVPAPPPWAKVPLFEKNVTASDVGKLNRLVVPKRHAERHLPPVRRQGAIAGGGRKGCVMLAVEDREAGKVWRFRYSYWESSQTYVLTKGWILFVQEKGLRAGDTVAFSKWAFGPDEQLLIDYRKKKPRKKKKKMMMEEEDKDNAATTVVKLFGVDIAVVGGREGGEGPQHMARSW >Dexi7B01G0014820.1:cds pep primary_assembly:Fonio_CM05836:7B:20926892:20932944:-1 gene:Dexi7B01G0014820 transcript:Dexi7B01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWTRNGMLNFSQPSASSSPFGFYDPGQQGASSSSRGRSHGSFALLHLTEWMKVKEEMGYARMLSEHLSETVTEADRKVAAMMEELDRTDKYMQDILSSSSPSSSSQQK >Dexi9B01G0022080.1:cds pep primary_assembly:Fonio_CM05836:9B:16733007:16733717:1 gene:Dexi9B01G0022080 transcript:Dexi9B01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLLSSSFAMPAAARRASSSSSASLGFATSQLAGLSLSSGAATSTAVAPLPKRQLQPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >Dexi2B01G0023770.1:cds pep primary_assembly:Fonio_CM05836:2B:33283242:33283839:-1 gene:Dexi2B01G0023770 transcript:Dexi2B01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSERTSLVAGDEVGGIGPGVVVDWVSKVVGEVLERALAGDDGLDEESEHGEHGEAAVLELLDLELGEGLRVIGQAEWVEAATWVERVDDLAERATGNAVALDGAHEHDLAGPDGEDALRVDQARVAKSSPPSLKICEPALNQTASPNLTPLRASSSGKTQPSAPSMAQREWITSSSRFLAKVSGSAESPAVSQP >DexiUA01G0007470.1:cds pep primary_assembly:Fonio_CM05836:UA:14116200:14118438:-1 gene:DexiUA01G0007470 transcript:DexiUA01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGLPSGFCPLPRNGRSSTVAGFIMVSPTWSVEVHGHHMETTCELAALNGISSFCAQNQDLVEHQLLGLFPPTQPDDAHWMRRYLSSPLRMAENPVAAAALMMRWIRAYHRLQALMTCFLGHDASGSNANQQGLEGQQQPPPPPPPQQPPTW >Dexi7A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:7A:21161003:21167553:-1 gene:Dexi7A01G0010380 transcript:Dexi7A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPSPRPPKAHRDGGDAPVTLFLDTDLGTRLALLVAPDTTIRGLKLQVDAEHAAAFPDLGPVAAKSFQVRRKGVLYHLSDSMTVTSAFTKIKGGCFLHVKMTEAEVVARCCQDDGRRSSDGHLGIHVEKRIQELPALTSEIACDVLPQMLEGDAAAAALIDVQAHDALPSSSQLNTEIKTGEALGPSDTQAGCDPAIEKAKSYKSQIANQTEDILISVSPPYRPGDAYDVETTGELVQFMHDAQASTAIISEQRKSDHALKECRNLSIGDAINSAPEVVASEVGNPKGSITSWNGEDKNEQIKHGKGSHDDGVGEIKDMDKDGKSTDALEKRQINDNTSQLKKHKKAKKVSSVHLVSVDTTHEKEIHGYRENAARSDTVSSEREIVHDPSKQPISINVHQGNSDIIASSNGDGKKKKRRRRSESSKVVDPSQDLTKSSEFVANESSMHFTDGTLLDAKKTTPGGIEGATIIDHKDLGENLAVQDVIDEVLADLRSKDSSSKDLDEDMLTGETHLGSNKNALELPESAADEVGVSAALPPKYPAAIHSDAPASSPRLMKSNEEKLKLLSTMIDSSHHSCSTPKEDANRELNESESLRFSDSDPKDILTGDAIAQVDGKSKATKRKRKVSVKQVPADNGKTLDEQVSHVDTLDLKGANATEANLVQAGSVVDTPLITVSGKVKQKDRRSSKIRTAEVQETNGATSGLDSDLAKDSQCGYVNDVIRTHNNENAAGTATETPVVQKDGIAFKSSSPNAQKASKISLNSELQSWDHTLEHGSSAELGNLRSEENLIRPKDSSEPNFDGVVHPDAINFLDHFSSSKMNDPPVSVEHKQKDEDECLREMKNKRKIKRKKGTGSIEPNDALESLLPADKSSLTGHFGTSSVIAPSVGAEKMNIEDKNVKNTKEKKRKRKVDMEMPVAEKEIPNCDNQGTDIATQESHLSFIQKGRMGLDNGKERSSKVTQNNSIVPYEPVDAALEKKLQQNAVDQNKLLTDKDHEDINKGVRKSTSKIKPHAKSKHDESIKGSVASNPKPERNFVKDFSTSPRVSSDSAEVTPQNANRYRVAVRKVPSKRYEKTREKSKKENRKVVSGTIFNDNNNEGSDDDLDIKDDLAFMEASPDNSATSGDSGISSAAYDDSDVPDDDGTMSLSQKSLKDGLHIGSILRGSRSYKKARRKQSELLDDDTVVPDSQPADGLWD >Dexi6B01G0019400.1:cds pep primary_assembly:Fonio_CM05836:6B:26174925:26175320:1 gene:Dexi6B01G0019400 transcript:Dexi6B01G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGVSVVLAAACLVVVLALGGGAAAQGGVGQCVPQLNRLLACRAYLVPGAPDPSADCCGALSAVSHECACSTMGIINSLPGRCNIAPVNCSAA >Dexi6B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:6B:147738:150348:1 gene:Dexi6B01G0000240 transcript:Dexi6B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCDINGYTIPAGTHVIVNVWALGRDPMSWDKADDFMPERFIHGTETSVVDFKGRDFKFLPFGAGRRICPGMNFGVATVEIMLANLVYCFDWELPDGLTKDDIDMTELFGPARKLVTSHLFTVEQEVSLVIYGHDTGRGCQGKVHTSSLVLEFVMAELMRNPQHMAKLQGEVRKHTQEGQETVEEENLSDMAYLRAVVKETLRLHPPTPLLLPHLSMADCVVDGYFVSPGTRVIINAAAIDFKGNDFTFLPFSAGRRICPGINFALATVEIMLTNLVYCFDWQLPDGMEAKDVDTTEVFGLTVHPKEKLMLYPKQRGATAAGGHSVQADAADSVMHN >Dexi7B01G0003120.1:cds pep primary_assembly:Fonio_CM05836:7B:7027611:7032008:-1 gene:Dexi7B01G0003120 transcript:Dexi7B01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDPIFQLPDLLSVLAQGKVSADRVAKYLCEEELKPDEVTEVQRCDTDYDVEIDHGTFSWVLESASPTLSGIELKVKRGMKIAICGMVGSGKSSLLSCILGEMQKLAGTVRVSGRKAYVPQTAWILSGNIRDNILFGNPYSKDKYERIIHACALTKDIELFANGDMTEIGERGINMSGGQKQRIQIARSVYEEADMYLFDDPFSAVDAHTGSHLFKVMQDGKIVQKGNFDELLQQNIGFNAIVGAHSKALESVINAESSSRMLSPGNKKSTESDNQFEIENEMDKKLHRITKQESAHDVAEDINQKGRLTQDEEREKGSIGRAVYCAYLRAVHGGALVPITIGAQSFFQIFQVASNYWMAWASPATSTTTSRVGLGLLFFVYIALSAGCALCVLTRALLVSFVGLLTSEKLFKNMIHCIMHAPMSFFDSTPTGRILNRVSDHVSTDQSVLDLEIAIKLGCDCGLFSVSDIIYDLQRYYIPTARELARLSQIQSAPILHHFAESLSGASSVRAYGQKDRFRKANLSLVDNHSRPWFHNISSMEWLSFRLNMLSNIVFAFSLTLLLSLPEGFINPSIAGLAVTYALNLNSQMASIIWNVCNTENKMISVERILQYSRIPSEAPLVVDCCRPTNSWPEIGAISIRCLEVCIALTKEVRYAEHLPSVLRSISCVIPGRKKVGIVGRTGSGKSTLIQALFRVVEAREGTIEIDSIDISNIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYTDQRVWEILDKCQLGDIVRQSPKKLYSTVVENGENWSVGQRQLFCLGRVLLKKSNVLILDEATASIDSATDAVIQETIHKEFRDCTVLTIAHRIHTVIDNDLILVLSDGRIIEYDTPLRLLENKHSEFSRLIKEYSRRSKSFQ >Dexi3B01G0010820.1:cds pep primary_assembly:Fonio_CM05836:3B:7610572:7611519:1 gene:Dexi3B01G0010820 transcript:Dexi3B01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWAPGSAEGDAEAFYLSAGAGGSGSGMPKPESVPPTRAVAGSSALAPAPAAYYPVVADPLLQANGGGAISISSGLAPASSTATPAGAIPFLAIPATGAGATSDGKQMIPPAAMWMVPQQAGAAGVAIQPTHYWAFPTNPELFNVANFQQQVVYDAEQLVGNGDSQDQQPCSHQLGEGDEEEIAVTDSSSEE >Dexi1B01G0026520.1:cds pep primary_assembly:Fonio_CM05836:1B:31370182:31371144:1 gene:Dexi1B01G0026520 transcript:Dexi1B01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHALSSIKFWSTSNFSLEKPTCHVHSVSVGRRCPSARSLGLVCASNSQSSVVEPVQLPGSPKSGITPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPVDVPIIVHNESEQAHKWSQIYSEETKKQSIPL >Dexi9B01G0044050.1:cds pep primary_assembly:Fonio_CM05836:9B:43939857:43940635:-1 gene:Dexi9B01G0044050 transcript:Dexi9B01G0044050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDFSLSSASAPDPLGLSAGAPVIGTTRLLPPCSSGTTTTSVSARPCRCHDTERQSVASHRGVLSTASGYPARLAARRCLLTAASSFMTCSGSRSVCRSRVSMADCWWWNSCDLAAPKLITDSGVNAAATRHASSRSSSSSSSGSSRATVRSVIAGAGAAVRSARQSGQVTAPASQGSMQSGWNTWQQAGRTRRSSSSSNAARHTAHSSGPGDLDAMRAFTSP >Dexi1B01G0030090.1:cds pep primary_assembly:Fonio_CM05836:1B:34167528:34172301:1 gene:Dexi1B01G0030090 transcript:Dexi1B01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLVDGEAPVADGGQYDYDLFVIGAGSGGVRGSRTAASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGASFRGEFEDSKNFGWEINGDINFNWKTLLEHKTKEIVRLNGVYQRIITNAGVTMIEGAGSLVDAHTVEVSQPNGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRKELPLRGFDDEMRAVVAGNLEGRGIKLHPGTNLTELSKTADGIKVVTDKGEELVADVVLFATGRKPNSERLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALMEATCFSKTVFGGQQVKPDYRDVPCAVFSIPPLSVVGLSEQQAREEAKGDILVFTSSFNPMKNSISKRQEKTVMKLVVDAETDRVLGASMCGPDAAEIMQGIAVALKCGATKATFDSTVGIHPSAAEEFVTMRTVTRRVGPVSKPKTSL >Dexi7B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:7B:14132298:14134655:1 gene:Dexi7B01G0006410 transcript:Dexi7B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLLVRMPALASPLLLSPRRCLPAMAAAAASVRAAPALTASAPFSRLRSRCWFSASAVREEYSSTPIDIIVVLGGSGFVGSAICKAAVSKGIEVVSLSRWDEVLVGATAVVSTLGGFGNEEQMKRINGEANIVAVNAAKEYGVVLRPGFIYGKRKVNGFEIPLDTIGQPLEKLLSSVENFTKPLSSLPASDLILAPPVSVDDVAYAVVNAVVDDSFFGVFTIEQIKEAAAKVRVS >Dexi9A01G0039430.1:cds pep primary_assembly:Fonio_CM05836:9A:43392532:43398314:-1 gene:Dexi9A01G0039430 transcript:Dexi9A01G0039430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIERGRSRRREGDGSSEWNAARSTVPSPDHQGTVSSRKQATYSGMLSDSVFAAVNRQSKSRRASVVPMRMLIDEEFSNDVNARHISPGAVGRLMDLDSLPSSGTHDQHRHTQSHAPNTSPGCFHDRNGLHEGIPHRRSTDGITDVFEVMEGTRTKMHRSPRSRNGNRSSRSDKLDSADVDFIRQKFIDAKRLSTDESLHMSEEFNETLDALVSNKDVLLEFLQKFDPVVKRDLDTRGSPSSTANCITILKPARRNQVTGTESNFNEQKEVKHSLKKSYSSVSTQSLKEASGSLRQKLSRSCHQENTGKRGCPTRIVVLKPNLEKPHDVEGAFRLHHEIPHSDYRKHKEYQDVGRWSPYTEDYRCQVPLGDSETLACRGKGSREMAREITKQMRDARGGSRKHVVNSETIPFASDERSPLLPSVTRLNTPESIHRSSEMCDVWASSSFNSSPTYSTETSVSKEAKKHLSNRWKKTRQCHDQITDGDGFGTLGDMLALSDPDASKAATHKMACRKCPKGDVQSDRMQGSCIHPLGLSSNDGWRDTATSKLTRSKSLPSSCIRGVQKSNNRKRAGSVRYNELSMLKDVLKVGPHYSEYACRGKQRQSPGRDSTIHGEESDLMSPDNEERMVVERDIHVNYEEPVSSTSVPDTSEQSLHLVNPDCELDALGVLDTSSAIPGTGSNETHLSSAGQNQQMLKQKPTALDDCFVDPNLDDLVTKDERIEYHQADDYPVVYDPRIGSDSPVGIDHHQGGDNQILCIPPNGSGSPTTSNKDEQQSPVSVLGSSMDAEDVYSGDFEKISADLQELRMQLRLLKRETTDSGDDAELFILSDDETARQPLPEMEEAHAFRDEEERDFSYVFDMLTGLGIHTANQDELLENCYLLEFPAGPDLYDELENKYSNLILWPSAERKLLFDITSAVLGDIITSLMQSCSKGLLRMCLHCWDQEEFAEIVWQRVVQLRQETEFNQESLLLSVEWAGSEDGTYLVGSDIGSFLQEELLEEIIADFLGVVESTKICG >Dexi2B01G0023340.1:cds pep primary_assembly:Fonio_CM05836:2B:32853754:32854185:1 gene:Dexi2B01G0023340 transcript:Dexi2B01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALQPQPPTDAAISPGPEGMAAASPQPCAHEDLTPPELDVVETLRRLNETSTSTGGSNASAASGSSSLRSVHEAAPPVPAEPAQELAFPGDPATDGYADEDGYEEEMPGRQRRTKRYRPIAEIYRAHIKNKKGIKG >Dexi4A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:4A:21436987:21438702:-1 gene:Dexi4A01G0017660 transcript:Dexi4A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNSTLLAFRVLLCCALASTITIFLPLALRPCAHSLSRTILATTGLDPLLISCTSHAATKAPGDRGAAVNKASNGGGRPIVTDLLRCGEPSLPPHALPPFHCCPPTPEAAVVNFTFPDAAEPLRTRRPAHDAGDDMAKLARAVALMKALPPSDPRSFYQQANVHCAYCTGAHRQAGTELPLQIHYSWLFFPFHRAYLYFFERIAARLLDDPSFAVPFWAWDLPEGMRAPPEFFSDEASPLYDALRNPRHVPPRVVDLDFSYVEKNITDEEQVELNLRIMYKTMVTNAKLPSLFHGQPYRAGDRAMPGAGTVELALHNVVHRWTGDLSRPNNENMGAYYSSARDPIFYPHHANSDRLWEVWRGGDISGGDRRQPRHVDFTDSDWLDSSFLFYDEEARLVRVTVRDMLDVEKLRYTYADVGTPWLDARPPVTAGVSHRRGGPPPLESVRFPVFLDVAVSAAMTRPPRWHDDEVEVLVVEGIEADGAEFVRFDVYVNAMEHEKISPGAREVAGSFVSLKQPGMEVVQTSMRAVLDEVLEDLGVEGDDSVTVTLVPVMGRVRIGGLRVVYIAE >Dexi1B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:1B:20491274:20493678:1 gene:Dexi1B01G0014250 transcript:Dexi1B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPNIEMIASSLRHCSLNGGGGGRRRGGGSGGRRRGGEGGDDSEGVTVELNSDVALPYHWEQCLDIRTGQVYYINWEDGTRTTVDPRTTSSAFSPTPHSTSSASRRTRRPSTPSSGYTSVSSVGADVTGAWRGAFAGNDSGYDNDDEEEEDDEGGYDNDDEEEEDDEEEDGEEEEEAEAESSSTTSSSSSSTGSSRGSAVSSTLSSFSPTDESGSGDNGGGLGGGQVLVAAGCRACFMYFMVPKRADVCPKCGSSGLLHLSRNGYA >Dexi5B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:5B:6486098:6489521:1 gene:Dexi5B01G0009450 transcript:Dexi5B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELQSPLIPPRPRRVISAAAALSVAATLALVFLLVAGLGPFSGTSCRTSPGSSPPDPVGVELTLLAAAHDKGAVCLDGSPAGYHLQTGTGAGSRSWVIHLQGGGWCDTVRSCSDRTMTYLGSSKFMQKQVNFSGFLSNDPVLNPDFYSWNRVLVRYCDGASFAGDSQHEDGNGTLFFRGRRIWEAVLDELMGKGLAHSKQALLTGCSAGGLATLLHCNDFRARFPQEVTVKCFPDAGFFVDAKDLSGQRSMRSTYDGVVHLQNVTQVLPKDCLLANKDPTECFFPAELIKSINTPTFILNSAYDSWQCRYSMLLRQIDPLLTSRDGAAEQTLAAATPHRYKSSMHQYISICPLFVEFRKTMVDGLKAAEDNMNCSWFIDSCFTHCQSVFDNSQWNPPIAPRLGNKTLVQTVGDWYFGSSQSQVVREIGCEYPCNPTCN >Dexi1B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:1B:5668257:5670483:-1 gene:Dexi1B01G0006840 transcript:Dexi1B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIRATSPGTVDVLALQDLEAGGGGADCIGGALSCGRRSSFSYHRLPDPRLRLTVRKLDGSFFDVEIARSAAVWELKVAIEELFFTLFDDTDKTISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVDYSPCKSLSENQKAVSHRRSTTWLDDFNKDEGEKFIYSRCSTSVLEDLCIEEYSEERVEEGRRKKRSLFHGMQYL >Dexi9A01G0014580.1:cds pep primary_assembly:Fonio_CM05836:9A:9640710:9641093:1 gene:Dexi9A01G0014580 transcript:Dexi9A01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTCMSYFRCRHKLCGAKKKVEWHPSDPGGDLRVVYEGAHQHGSPASSSSAAAATGSGGASNRYELGAQYFGGGAGARSQ >Dexi2A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:2A:3813250:3814297:-1 gene:Dexi2A01G0004280 transcript:Dexi2A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTAVQHYTRQKACKPCCFVIDATSSLAARLYIPSDALATSSCARLPVVVYFHGGAFSVHSAFSATHSRFLNALVSASRAVAVSVDFCLAPKHPLPAAYDDAWAALRWAVSSCDAGSAPEAPEEPWLAEHGDVARLFVAGDSTGGNIAHNVATRAGRSGGDLPSGARIEGMVLLHPYFRGGELVPSERTTEPGSLERAARWWAFVCAGRYGIGAGDGGGGDLREAPRADLREPRPAL >Dexi5A01G0027210.1:cds pep primary_assembly:Fonio_CM05836:5A:30725353:30726641:-1 gene:Dexi5A01G0027210 transcript:Dexi5A01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAGSAWLRPSAALGLREAAFFPGSASFSTTRLCIGQRCAERTLIIRYSHNAFFSAGIQIADSNLRRCKIVHVKSGESDGYPKTEDMLIDEETLQSNLDRAIQEEDYARAAKIRDDLRILHEDAEASLLAANARFYNAFKNGDIAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLSIDLKNIEIHIRGNLGCVTCLEVVKNKGRTWGKQVATNIFEKVDGVWLMSVHHASHIEG >Dexi1A01G0000310.1:cds pep primary_assembly:Fonio_CM05836:1A:200708:202326:-1 gene:Dexi1A01G0000310 transcript:Dexi1A01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADGEKKVITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNAKILSKVIEYCNKHVAAAKPADDAAAADASAGGEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFE >Dexi1A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:1A:27572199:27572599:1 gene:Dexi1A01G0020750 transcript:Dexi1A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALNQFVVACLLALVVTAGAARLVPEGEMNPDMMSTRPCHSNNGWSSHLCKDVCKASGFSRYDFAMPNMVMDEMATCCCCPKGYKGLCPMVNE >Dexi2A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:2A:6377320:6378536:-1 gene:Dexi2A01G0006800 transcript:Dexi2A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSVGKSVLNGALGYAKSAIAQEVALQLGVHRDQAFIRDELEMMLAFLMAAHEERDEHKVVKTWVKQVRDVAYDVEDCLQDFAIRLERPSRWCFLRTLLDRSRVATRMKELRAKVEDVSQRNVRYHLIKGSSPKPAIGAGPSIIYGATKFGIDEARRQKDKAKVDLSQLIDEGNTDLRVIAVWGANDSLGQTVIIKGEYDNLKRSKKFKLYAWIRILHPFNPLDFLQCIMRQFYQTCFEEPVKTQEQTNIGAQILKKMGAMKQEELVDAFIRHVNENRYLIVLNDVSTIEDWDAIKEYFPNNNKGSRIIVSTQHGEVASLCAGSESVVSELKQSSVDQSIFASHKDNYNDELP >Dexi9A01G0033870.1:cds pep primary_assembly:Fonio_CM05836:9A:38685192:38694327:-1 gene:Dexi9A01G0033870 transcript:Dexi9A01G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQHDRIDNEMLMSGSMDHPIYVSPQDGLKKVDKITELPGQPGKAAFDQYAGYVTVNATSGKALFYYFAEAVEEPSTKPLVLWLNGGPGCSSLGGAMLEIGPFFVNRDNKTLSRNKFAWNNVANMLFLESPAGVGFSYSNRTSDYNNTGDRSTAEDAYTFLINWLDRFPEYKGRSFFITGESYGGHYIPQLANTILSNNKIINTMINLKGVAIGNAYLDDDTNTRATIDYYWTHAMISKETRKAVQENCSFNGNYTELCRTAIEAANNEKGLIDQSNIYASFCWDASAPQQHHASVTKSDPCASYYMQSYLNRQEVQKAFHANTTGLKQPWSDCSGDIDAVCPVTSTLYSLDILGLQINSSWRAWYSDDGEVGGYVVEYKGYVTVDAKAGRALFYYFVEAVQDPLGKPLVLWLNGGPGCSSFGSGAMLELGPFSVRSDNKTLYRKRHAWNRVANMLFVEIPAGVGYSYSNTTSDYYNTGDQRTTDDAYTFVVNWLKKFPEYQDHDFFITGESYAGHYIPELANLIVSKNRANNASKIKLKGVAIGNADLDDNLTLRASFDYYWMHAMISRKAYGAIKDKCGFNGTYTKDCENAIDLATREKGNVDDYDIYAPTCHDASNPSRSSDSLVFGDPCTNHYASSYLNRPEVAGYVVGYKGLVFATVRGAGHMVPYYQPRRALALFSSFLEGKLPPQ >Dexi2A01G0013130.1:cds pep primary_assembly:Fonio_CM05836:2A:16047716:16050825:-1 gene:Dexi2A01G0013130 transcript:Dexi2A01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKKKKLSESGSSPKVISRSNSRTSGCSSEQSPQPSVDGDDAVFIGLASELKDEGTRLFQRRDYEGAAFKFDKAVKLLPQGHNDVAFLHCNIAACYMHMNPEEYNRAIDECNSALEASPTYTKALLKRARCFEALDRLDLACGDVEKVLSLEPNNVTASELHESIREVMEGVFLDKHVASPDEPGINTMKERIQRRVSRKFRNSIVEEEAWEMIHEEGDHENMDDGEKDSSKENHTMDDCTQEGNDAVKIQEKHNQEKHGKQTEEAKIKTGLYKPGVPNERQQQQHSLWVMEELNPKQRHGHDNHEKYLKEILVKGIQLDKGNHTSQNQGSSVGRRKKHFEVGSHSKQERHTEEKYERYANSNQGKHYLEEKYERYLPEKPITIRTANHGREKNTKYTRENHEDVRGGVKKKFKFVHGDDIRIVLIPENCSLLQVMDIARYKYNPHLKSFLLKFMDKEGDLVTITSTEDLRWVEDLYPQVPVRLHIKEVSPEREITKDLVMHMSSFTAQEQNYYSTSECGSSRKEDERNSCTEDWMVQFARLFKNHAGFDSDACVDLRDLGIRLYYEAMEDTITSEEAQEIFQAAEAKFQEMAALALFNWGNVHMSCARKRLILSEDASKESILAQVKSAYEWACTEYVKAGKKFEDSVDVKPDFYEGLIALGQQQFEHAKLSWRYADTCKVDMGTEVLELFNHAEDNMEKGMEMWEGIEYLRVKGLAKSRKGKTVADKLSLNEQGKDLSPDEAFEQASNMRSQLNISWGTILYERSVVEYKLGLSSWEESLQEAIEKFKIGGASVADISVMD >Dexi2A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:2A:2514355:2515749:-1 gene:Dexi2A01G0002950 transcript:Dexi2A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQASKSKVSVKPVELEDAKEPPLNLYKPKEPYTATIVSVESLVGPTAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFGGLAWLFLGVATCCKLKGLKS >Dexi2B01G0023350.1:cds pep primary_assembly:Fonio_CM05836:2B:32856704:32858328:-1 gene:Dexi2B01G0023350 transcript:Dexi2B01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGVRVSTIVKSFGSGTTG >Dexi9B01G0029710.1:cds pep primary_assembly:Fonio_CM05836:9B:32154934:32155722:-1 gene:Dexi9B01G0029710 transcript:Dexi9B01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELKLLGAWPSPFALRVKLALSFKGLSYEDVEEDLRNKSDLLVSSNPVHKQIPVLIHNGKPICESQIIVQYIDEAFVGTGPTLLPADPYERAIARFWAAYVDDKLVASWMQTFRGKTDEEKAEGVKQTLAAVEHLEAAFKECSKGKRFFGGDSVGYLDVTLGGLVAWVHAGEKLYGFNPFDATRSPLLNAWVERFGELDAAKAVLPDTDRLVDFAKMRQAEAAAAASNN >DexiUA01G0015980.1:cds pep primary_assembly:Fonio_CM05836:UA:34151433:34155693:1 gene:DexiUA01G0015980 transcript:DexiUA01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHRFLEKTRKKSNKETENEEEIGDSEIGKEQQRLRKKVTDLMKKQKLRQVRKIVKNQDDSRPWGQDAHAKVGSRLIELFIETAHIQPPASQSSDGLPDIRPAFRHEMRTVPKDQQRNSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPLCWTGFVFVAYFVQNPALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVSLPEKPDTEDDTVLKKWRWHMRSVKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGESGLRWLKIHLANLYAGGVDKLSYDGRIAFAESHLEEIFDSADMPLEGKRWWLGAEDPFQCLAVCMNLTEALRSSSPETTISHIPVHQAPKLLKFVDTAEMLRGPQDTPGYWVVSGAKLQLERGKLSLRVKYSLLTAMVPDDEYPLDEHS >Dexi4A01G0014200.1:cds pep primary_assembly:Fonio_CM05836:4A:16090642:16094635:1 gene:Dexi4A01G0014200 transcript:Dexi4A01G0014200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPAPAQQRKAAVSGGSALKLLLFVTLAVLALRLIAGPAAYLLPPIAAPEGGARLVAAPGRERTAAGGGGGTPPPETDDSIYPVTSSIPLPAPDKKEGSLGAKIFEDDDGVSTADPQLHLDVLETNWTSRWESFDYVVISTGQWFFKTAVYWENGAEIGCHSCQNKNLKEISPEYSFRKALSAAFRFIISSPHKPVVFYRTWTPSHFENGEWFSGGTCNRTSPFKPGEAGDRESDNKMWRIEREEFDKAVMNKGPNEGADRLKLLDTFELSLLRPDGHSGPYRTYHPYDKGMTAKVQNDCLHWCLPGPIDAWNDIIMQMLAKD >Dexi8A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:8A:28814743:28823648:-1 gene:Dexi8A01G0017090 transcript:Dexi8A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAGKRSSPSPSSSSVPAPKRPKAESPASPTASAPGRAEEDSAPAAPERSAGSAEDAAAAAAQKDQGADKPAAAAAESSKRKKEPEQQQPAAPWAKLLSQCSQTPHHPISSPQFSVGQSKSCNLWLKDQPVSKMLCKLRRLEQGGQCELEVVGKKGVVQLNGRPISPGTKVPLTGGDEVIFSSCRRHAYVSYNQTQIFQHPLNDKVSKMVPTTAVSLLEPLVASAKRIRTDKRPGDTSAVAGTEMLASASTHPKDVAAVPPASAGENSQRAVRPMASSASDKLKGRAVSPDKECENGENAIEVNSNIEDSSMDVAAAPVFPDDAANDTCQQHCFGSDAHLGAEIGKIATYKRPVLRMFTGSTVPEFDLTGAVFKALEDQRDIIRDLSASVPPSRCQAFKDGMKQGIISPSDIDVTFENFPYYLSENTKNVLLSCAFIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLIVDSLLLPGAPSKDPDSQKDVGKSDKSGDKAGSEKFAIFQKHHRSSLADAVHFRRPAAPTSSVNADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPPSLPQRGPNYGYRGKVMLAFEDNGSSKIGVRFDKQVPDGNDLGGLCEEDHGFFCSAELLRPDFATAEEVERLAMTELIEVISEENKSGPLIVLLKDVEKSFTGITESLSSLRSKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGNRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNVGSIRSFLNRNGIECNDLEELFIKDQSLSNENVDKIVGYAVSYHLKHNKVETSNSKDAKLVLTSESLKHGLSMLQSVQSDNKSSKKSLKDVVTENEFEKRLLVDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKVSNWFGEGEKYVKAVFSLASKISPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGPDVDLDSLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNLAKSEGKPEPALYGSDDIRPLSIDDFKSAHEQVCASVSSDSANMNELLQWNELYGEGGSRKKKALSYFM >Dexi2A01G0004150.1:cds pep primary_assembly:Fonio_CM05836:2A:3734423:3737056:-1 gene:Dexi2A01G0004150 transcript:Dexi2A01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSPASPAHLRLSPPPPSLLSSLPSPPPPPLPLSHGLLPLRALAASSAAAAGDPRAAHAVAVKSGALCASSSSSPDARAANAAMCAYLRAGRLADARAVFDRMPARDAASYSALISGHARLGSNAAAAAELLARMRLDDALAPTEYTFVGLLTACARRGNPRLGSQVHALAAKSGHCSCPGPGPGSLLVDNALLGMYVKCGRLGDALRAFDGMECRDVSSWNAVLAGLVELGRHEEAFELFGEMRASGVRADRFSLSPLLTAAAEGFGLPEGEAVHALSLKSGLETDLSVGNALIGFYAEHGGSVEDVVSVFQGMPVKDVISWTGLLNGYMEFGQVDMALDVFDRMPQRNFVTYNAVLTGFCQNKEGVRVTFAKKAGLRGLGLFRQMVEDGLEISDVTVTGALNACAIAADRKVSEQVHAFVIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEQWRHQESFHIAWNSLLLACVRDGEYEKALSTFLQMFRSSVVEFMDEFMLTAALGVCGALGFAEVGKQMHSLAAKSGLLYACGVGNAIISMYGKCGELDDAVNFFERMTCRELVSWNAIITAHLLHHQGEEILNIWGQMERLGIRPDSITFLLLISACSHTRSDSTDRCRELFCFMSSKYGIEPAMEHYAAFVNVLGCWGQFDEAEHLIGGMPFKPGALVWRSLLDSCSKRPNLIVRKRAMKHLLALEPHDPSTYVLTSNLFSESARWQSSETTRLEMREKGMRKIPARSWTFHDSAVHSFFARDRSHPQSRDIYAGLDVLILECIKAGYEPDTSFVLHDVAEYQKRHFLMYHSVKLATMYGLLMAGPGRPVRVVKNIRMCGDCHSFLEHASAATGKVISVRDSSGFHVFRGGKCSCRE >Dexi9A01G0015920.1:cds pep primary_assembly:Fonio_CM05836:9A:10833667:10835915:1 gene:Dexi9A01G0015920 transcript:Dexi9A01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQCAVVALGGLVPQGDGTEAQVTWEDQQNINRFGRLNNRLHELQDEIKVAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED >DexiUA01G0022360.1:cds pep primary_assembly:Fonio_CM05836:UA:45512405:45513999:-1 gene:DexiUA01G0022360 transcript:DexiUA01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAPARRRAALAAVITLILLASVSFLLSATATGSSSSSSANSPASRLAVVQRHAEDHAAVLAAYTAHARHLSAVSSAQTEAFLSISARLSALASRLSVSTVGALEKEVKAQVKRARSLAGGAGGAKEAFDTQSKIQKLSDTVFAVGQQLLRARRAGVLNARIAAWSTPKSLHCLAMRLLEARLANASAIPDDPPVPPPEFADPTLYHYAVFSDNVLAVSVVVASAARAAAEPSRHVFHVVTAPMYLPAFRVWFARRPPPLGAHVQLLSVSDFPWLNASYSPVLRQIEEGNRDVALLDYLRFYLPEMFPALRKVVLLEDDVVVQRDLAGLWRVDMGAAGVNAALHTCFGGFRRYGKYLNFSDPFVKGSFSPRACAWSYGVNVFDLQAWRREQCTQQFHRFMEMNENGTMWDPTSVLPVGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDISGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >Dexi7B01G0024210.1:cds pep primary_assembly:Fonio_CM05836:7B:28591937:28592239:1 gene:Dexi7B01G0024210 transcript:Dexi7B01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALFRSPPDRNALCPPLLARAAEEARAAEEVRPGRRLGGGGIVRARQEHARRDGHGQAEQMGGAGREERETKGIGDDEDKMTSGRGDERERWVQTRRDD >Dexi6B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:6B:4266278:4270242:1 gene:Dexi6B01G0005030 transcript:Dexi6B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRQVSSRQHRRPCDPAATTSLLLRRLQPPSSAPSTNLFGQATIIGPATQLGPSSHGSGGVIAASALPSLLPPPPASEPPRPSSTTGPREYKGQDQKETNCIEEDCRGAVDDEAPWPAAPAILNVHVHAIAVFRVVRGETSASAVFCREIQ >Dexi5A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:5A:12150605:12151362:-1 gene:Dexi5A01G0014890 transcript:Dexi5A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHEHQCLLPELQEDVLADVLRRLAPRRLAVSRCVCKAWRSTIDDRRLLRAELLPHTLGGIFVDFNMLGRAEFFSASGSISGDLGYKSIEDHCNGLLLLFSCVANPATRQWAHLPLQPPPRMVTVTDRPYYYELPYLVFDPTLSHYYEVFLFPVLPLWANLDRKMRQSEWPPALLETHVFSSRTGCWEERLFVREGEPAGTMAKMQRAMPGQKRYGVYWRGALYDINLELQVPSDWTTGGH >Dexi5B01G0023260.1:cds pep primary_assembly:Fonio_CM05836:5B:25491095:25498698:-1 gene:Dexi5B01G0023260 transcript:Dexi5B01G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDKKLKETFPTIPSLDDLQALEADGLKADVIIVDTERDKKVLMLKQLSAALVKGLNFSPAMVIKKIAGLVFDCFKRQNPDSSPARNLTEDSHFFGNKGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGYAYVLNICLSIIFSLSFFFPSPEHPLMRARGRSILGGEKQSFQEYTESGAASRFANGLGGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSSSYVAEDQRNTNDCPNDDDVSRRVGATDNGSRNRTGLTQKAMSLPSSPHEYRGQVTEKSDDFVSKEKMALAWNKVFQSSPFLNKPLLPFEEWKIDFSEITIGTRVGIGKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYTVANEGSRLEIPEGPLGRLIADCWQEPENRPSCQEILTRLLDCEYAVS >Dexi2B01G0023970.1:cds pep primary_assembly:Fonio_CM05836:2B:33453837:33454193:-1 gene:Dexi2B01G0023970 transcript:Dexi2B01G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRHLLPLSFLPRFFSNLRRSAPSFGAGRIHSLLPPHTRRHRACRVALSSSLLPCLPLPAAHPCSSLCFFKQTHALLCVALPPIAQWREDGGDQTTEVVCI >Dexi3B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:3B:6082131:6082531:-1 gene:Dexi3B01G0008790 transcript:Dexi3B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAQAAAGGDEEQDQARMDAIAGSLQTRDAMRLYNWLSQRCFSDCVVTFYRRALGKREEECVRSCVRKYRLFSTATGARFAHIADPTSSPLAAFDD >Dexi3B01G0019600.1:cds pep primary_assembly:Fonio_CM05836:3B:14604843:14610000:-1 gene:Dexi3B01G0019600 transcript:Dexi3B01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSAAGEALAAQINAMSRSEMYDMMSKMKTMIDHDQETVRRMLVDNPDVTRALFRVRFLAAQVVLGMVKTPKTVQPDIVQPAAVPAAPSSVKSTVADHVSLPPPPLPANQQSVAQHSTPFPAGPSNLGSSMDLPIMSSNPPQSAQAKGYPIHQMPSSAPQSSQHPNMALPHAPPQYSNIPSHMPIVHSQPQQPLQTPGMFNQQLQPPLPQMPRPQSMQSFAHQMHPQVPNSFGLTHGNAPQHILQQQMFHVRFYVKSIQVGILKLLSLLANHHCLASRHQCTRSDNLPVQQASSHYNSQSTNPMQVDRSAPWGRGPEAPPAGSHFPGQLPGLLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >Dexi4B01G0000130.1:cds pep primary_assembly:Fonio_CM05836:4B:100841:102032:-1 gene:Dexi4B01G0000130 transcript:Dexi4B01G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLSPTAWFEQAHRPGYTIVQKYGGELFAATQDFSPFNAKADGFLPGGASLHSCMTPHGPDTKTYEATISRADANKEPLRLSGTLAFMFESSLIPRVCRWALESPCRDLDYYQCWIGLKSHFSDDNGKEPSAAGVN >Dexi3A01G0031210.1:cds pep primary_assembly:Fonio_CM05836:3A:35622635:35623644:-1 gene:Dexi3A01G0031210 transcript:Dexi3A01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISEEAPSPPNAQTTVAMNAKLMVATDRGDVNKLKNLLNKEDAMAMVVVTATNKKPSKEDHPPADRINSLLLASAHHGSWKALHFLLEREDAGKPPMVVDDPRLDNAPPATGRLLKGVTPDGDTALHAVASNVDGDDFFKYVGIICDRDMDLLFAKNHRGDTPLHCAALMRQRCMRLSGLKTEQFYRASLGAVLTDEDSKAAEEKSIVKLLMDADPELANYPANGISPLYLAILRKDTIAVTLYKMSGGNLSYSGPDGQNVLHAAVLQATNT >Dexi2A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:2A:26813044:26819796:-1 gene:Dexi2A01G0015690 transcript:Dexi2A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMQSGYKDRDTGLFYVGDGTYTDGGENLRASSEYEGIYQRPFLTVRSFPSGERNCYALPTEAGARYLVRFRIYYGNHDGKNNSRLTRFDLHIGANYWDTTDASSYTPWYEAVFMAWASWAPVCLVNTGSGVPFVSTLELRLLGDTLYPAVTASEWMAMYYRANMGSNIDLTRFPSDGYDRFWWQTNFPEWASKSTTETIKEDPSFAEPLVVLQSAATPIGNDTTTLNYWWQERKHASLFKVFLHFADFQNTQTRQFDIYFNGNQLNEKPDLSNSSLHGALSKSFTLLSALENLYDSDGLMCDKIVNTSKNRAVVIAISVVVPVLVVVVLLVAYFIWLEKGKPNALLDPARESKLQNALGSGKGHGDYLKSEYRLFTYKELKKLTNNFKQVQSLSKVHHRNLVSLVGYCWEKGHLALVYEYMSQGNLCDRLRGKNGVAEALNWETRLRIVLEAAQGLDYLHKGCIMPIVHRDLKTSNILLDNNLQAKIADFGLSKTYLSDTQTHISTTNLAGTAGYINPEYQTTGRLTKSCDVYSFGVVVLEVVTGQAPTRMGYGHIVQRVKKQIATGNISSVADARLGGAYDINSMWNVVETAIMCTQDSAAQRPTMSTVVVLLKESLALEEAREKGSSVRASPTTDTAALVSRVGSLAR >Dexi4B01G0004000.1:cds pep primary_assembly:Fonio_CM05836:4B:2875621:2878817:1 gene:Dexi4B01G0004000 transcript:Dexi4B01G0004000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVGGRRRRPAVDDRYTRPQGLYPHPDIDLRKLRRLIVEAKLAPCHPGADDPRADLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKTLNYAVEYRGVKTKEEKGIEQLEEQRVIEAQIRMRQQELQEDAERMKNKQTAASNEAEPTTIVESFDTYGTSETVASDVQGKNALSSQVQHSELLLRNPEALKHMRGNSFDMDLEEVMLMEAVWLSLQDQEALGNPGCVGTTPPSIPSRPCDSSMSTTPEAASSGGFACAVAALAEQQHMHGESSSTPTCHTTRFAILSRSDRSSTEDMSVTGSSSSGSSRVEEPSNSRTHRTIEGADYCNDRWSEIAEAGTSHGSDVTANSAASFGSGIAPGSIPESFEEQMMLAMALSLVDARARSNSPGLAWR >Dexi3A01G0023320.1:cds pep primary_assembly:Fonio_CM05836:3A:18994271:18995545:-1 gene:Dexi3A01G0023320 transcript:Dexi3A01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSRGLLCAGVLLLALAVAAHGYPLSSTFYDGSCPGAHDVVRRVIKDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDEDLPAIQTEKSVPANNKSARGFDVVDDIKAALEESCPGIVSCADILALAAEISVELAGGPRWSVLLGRRDGTTTNIESAKNLPSPFDPLNVLQEKFRNFNLDDTDLVALQAGQADDTLENLDDVTPKLFDNKYYGNLLHGRAKLPSDQVMLSDPAAAATTAPIVHRFAGNQKDFFRNFAASMVKMGNIGPLTGNDGEIRKNCRRINSKGY >Dexi3A01G0031080.1:cds pep primary_assembly:Fonio_CM05836:3A:35506120:35506678:-1 gene:Dexi3A01G0031080 transcript:Dexi3A01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTVPATALAAAAYSSMTWRTAWGSSSRRGGGSSGDGAEKKRVSRQLAAGDWNSISARSSLGMPWFARWKKPTVSAADFTCATKSGDDAAERSMTGMVVAPPLPLLMMLWWWWWWWRKMAGTVVMPESTRPLTPAMVSSNALSSLRRS >Dexi6A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:6A:23884738:23889758:-1 gene:Dexi6A01G0016040 transcript:Dexi6A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEMNDQTSEIEDLERILIDERAEPIKISYAAIRHITKNFAQVIGDGGFGVVYLGGLRYGMVAVKKLYSWKDFDNKLFLGEVACLKKAKHKNIARFLAYCVNMQCEVKEVEGKLRIVEEQQRLLCFEYVPNGSLKYYLEGKPHGYEWDVRYQIITGIFQGLQHLHQKRIYHLDLKPSNVLLGAHMEPKITDFGVSRCIDDGEQSVMVTKNIFGTLGYLAPELIDKQQISAKADIFSLGIIMINILTGRSGGNIENVVQRFQALSMQTLRENSRIDDIYLELNVLECILEGSKKPSHLSYRLLQFITENFSSERKVDTNLCTEMYKGILRSVAVQKLFTGDADDGKFHQEVNKMMMMAQHQNIVRFLGYSSYREERQFEKEGTTIVADKCERLLCFEYLSKGRLDKYISGMIR >DexiUA01G0009190.1:cds pep primary_assembly:Fonio_CM05836:UA:17870035:17870401:-1 gene:DexiUA01G0009190 transcript:DexiUA01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRPPPATNLRAFLDAHFASPDDLAAAPALAELLRRECAGLQASLRRLEEQLAAAAASWLHRSAGARSDLRRLRLPEAYPANVAPLLIRLGFAC >Dexi9B01G0029990.1:cds pep primary_assembly:Fonio_CM05836:9B:32468745:32473376:-1 gene:Dexi9B01G0029990 transcript:Dexi9B01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSIATRLSHHLTRRAHPCVPHALTSHSRDEEASSSTPLPLPLPTLQSPLQHRSSASQTLGLLPFSLHLAGPTRRSFSSSASAPDPAPAGEVDAAGVLADAAEAAVSVPAPFPGEVAAAAADSFFPVAALQHFIDAIHTFTGLNWWACIALTTVIIRSATIPLLVNQLKATTKLNAIKPEMEAIKDQTDTMDLKSAKEGQEKMKALFKKHGVSPFTPMKGLLIQGPVFMSFFFAISNMVEKVPSMKGGGILWFTDLTTPDSLYLLPVLTGLTFLATVEGIFCYWITSNIFSLTYGLFIRRPAVRKLFNLPPLVTQPSTATKKATFNLFGGSPAAGSPVAIAGAQQSALGQPDAAALGYKVKNLEKKVKSRGKRKHR >Dexi9B01G0042940.1:cds pep primary_assembly:Fonio_CM05836:9B:43056240:43057156:-1 gene:Dexi9B01G0042940 transcript:Dexi9B01G0042940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRWTSYPRLVRRPGDIALTGQVSQRCACFQEDELNKPGLVAYEGCDHLSKSCKVN >Dexi3B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:3B:1810373:1811080:-1 gene:Dexi3B01G0002710 transcript:Dexi3B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIRGRRRSRDMLQQMDAPSAAPDGQWQGFNRVVTQHTVQLHGRTFIVEETSDDAETERLFNLMRPYMMTTFRPESNHSCKRARVSASGDAILALKEARAGDAGTPAECAVCLLDFVAEDRLREMPCSHTFHQDCIFRWLRINHVCPLCRHQLPTQQQDDDEDENYLQDYFDQQYRRYYGDDIEQYFGEQIYQQESLPVPEDSDAGNYEQDGDDRNSRQESMPVPEDP >Dexi9A01G0041390.1:cds pep primary_assembly:Fonio_CM05836:9A:45043157:45053467:-1 gene:Dexi9A01G0041390 transcript:Dexi9A01G0041390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQDDAFGTATEDSPPRRISQVWTSGSHGHHRDRADSPIRHAFEAAEFVAAKGVVTARHRGGRTARIGAVGFRCATAQMRLAHRSTMSRLVLIPLVSLGGEVRRGKKWAVGGSQSSPVQSSLTPGGDWLEGKCPRQASLVTDTTGTDTPRADRSSAPDPTPRRNRGGFRRSRHRLGSAFSAGPPPDRLAASPPQIGNLSHLVLITNIHHILLDTLHPMDRRSWPWKKKSSDKSSNADALQNSNQEQYTSAELKFPSLQEDKAPKFVQISPETYAHLTESEEKVKSLEENVQVLNEQLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALTRSLHERAELLMKIDEEKAQAEAEIEVLKTTIQSGEREINSLKYELHVVSKELEIRNEEKNMSVRSADVATKQHQEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGREYGDHRVRRSPAKNSSFHRPMSPVPDYAIENLQHMQRENEFLTARLLTMEEETKVLKEALTKRNTELQSSRSMYAKTAGKLRTLEVQMLTGNQHKSPSTPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSNFKKEKAAKSSATEGSNRLELMDDFLEMERLACLSSEVNGNDSTIDKMKIDEVGATLSGTTEKDGVKDLQSASPMSETPSSKQQLSEKSSLLKLQSRISSLLDSESPEKNAGKVLDSIRSILKDIEDEADSMNANGTHHLDGTMDQELKSAILKIQDFIKLLDQELSKFQGQSSDYDGLYEKTQHFSALTDKVLSNDNGLNDIVIALSIILSETGQLKFTMSRENSNEAESNNLDCVDKVTLLENKVQPEPVKDNVSDLCPLLPRSSSDPEFEGPADAGFDAKTAVKICSPEEYEQLKSEKINLEGELAKCNEIIEETKVRLSDMEKNLGDLTAKLCDSEKSNSLSETQLKCMAESYKSLESRKVELENEIEVLRSKIDTLTSELTYERQSHQEDLARYKDLEEKMERYELESSMFADEDPDKSKQEKEIAAAAEKLAECQETILVLGRQLQAMRPPAESFGSSPNRQRMEDFLQDAVGTTAGEFSQKPAGQPDADQEMLGTGNESPVNGFKTHMTPSDAEGSPFPSPNSSKRPKHRSRSSSSSSFTNHQLPEKQSRGFSRFFTKGKE >Dexi9B01G0023660.1:cds pep primary_assembly:Fonio_CM05836:9B:19063888:19065374:1 gene:Dexi9B01G0023660 transcript:Dexi9B01G0023660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHISSLTARKHCCCSSRCCIVPAAVSLLLLVAALATSNAYFTLPDRHRLSLFPRPFFSSHTRRGERCHIFRGDWVPDPDAPYYTNATCKAIHEHYDCMRYGKPDLGFVRWRWQPDGCDLPRLDPWRFLDLMRGKSLAFVGDSLARNHKDSLICLLTRAAEPTPSWPSSKHTVYYYGQYNFTVSNFWAPYLVRHEQIDEDGPAHTGLWNLYLDEPDDVWAAHVAGFDYVVVSASSWFYRPSMLYEAGRLVGCHYCLLPNVTDLTLRYALRMATRAALRALSGADGRFRGTAMLRTVDPSQYEGGEWNKDGNCVLTRPYRRGEKRVQGIELDFRDLQLEEFTAAKKAAAAEGGKVSMMLMDTTEAMILRADAHPSKFRGWTPEKHFTLNNDCVHWCLPGAIDTWNDMLLHMLSST >DexiUA01G0002410.1:cds pep primary_assembly:Fonio_CM05836:UA:5461286:5462194:-1 gene:DexiUA01G0002410 transcript:DexiUA01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMEKLVNHCDMELMKMAMLRHEATFRQQVHELHRLYRVQKQLMSGGLSRPSELIGRRRHHIRRGRRVLDLRLPTDDFVLVSGAGADSAGPPPSRQEDGLELTLAVGESRKKRRDKGTGTPLGSDCSGGSLASTTTSTYTIM >DexiUA01G0010700.1:cds pep primary_assembly:Fonio_CM05836:UA:21244608:21245886:1 gene:DexiUA01G0010700 transcript:DexiUA01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMLVTDFLESGASAGGDSRASSDSDSGLPDLAHLVDNISMLKQGGDDTQNELLSMVHSLLLSIHDSDLQAFKRRQCGGSCIRRLLVKLLRYSGDTTGPERLMVDIDFRSHFEIARAVDSYGTLLTSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWQSKYERTGLITELGFHGTASDHVLCIGHLKRLKTSLQTELETGRLLMMPIKADKTMTPKFERRRRRSLLSC >Dexi6A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:6A:18722861:18723928:1 gene:Dexi6A01G0012370 transcript:Dexi6A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQEMEEVVPNDSDPLLGRENKKAESSSAELSAPQPATVTPLEIEDEETDSSSAACCRICLESESEIGDDPVPLCYLSAFLLCDELISPCMCKGTQQFVHRSCLDHWRSVKA >Dexi8A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:8A:30296440:30299557:1 gene:Dexi8A01G0018020 transcript:Dexi8A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGALSNLLPVLHSLLREEYNLQKSTKKNLQFLERELESMDIVLRKVGNVPLDQLDEELRIWARDIRELSYDIEDVVDTFLVRVKGRHPHYLQGSEGLVKRMVTLFKKGSTRREISKEVKDIKDRIKEVANRRCDLRGCGHLNLRYIANLIHLRLPNGLRNMTSLEVLETVRIDEHSINIVEDLGHLCQLRVVHIDFNLQRWEGLRESMCKALMESLNNLQKIQSLEVTDFNGEDNHMNEGWVLPPRLRRFVMWTASSLSPWINPALLPLLSYLDIEVHKIGGNDIQILGKLPSLRHLWLGVSGHIQELPMEEWFMVSADAFPCARVCKFFNFVTVPSIFSRGAMPKVEHLEFCIRSRHFFADGGDLDLNDLDMGHLPSLERVVVHLHSERADSKEEVMEVEKGLRHAVCVHPNSPSIDVRHH >Dexi2B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:2B:13456424:13462482:1 gene:Dexi2B01G0011810 transcript:Dexi2B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFIMWPSLLSLVSASPCSSTSQLYHPSGASHRSAASELSSMAFMAAGAAPSSSSAASALMPTRPETSPLQFFHAYAARRSLFRLPSRTRRSQLVCSLDATKQAVQEQSPTVKGAAAGRTDCFGVFCTTYDLREEEETKSWKKVVNVALSGAAGMISNHLLFKLASGEVFGPDQPIALRLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDVEWALLIGAKPRGPGMERADLLNINGQIFAQQGKALNAVASRNVKVLVVGNPCNTNALICLKNAPDLPPKNFHALTRLDENRAKCQLALKAGVFYDMISNMTIWGNHSTTQVPDFLNARIDGKPARQRGGVLIQKWGRSSAASTAVSIGDAIRSLITPTPEGDWFSSAVYTNGNPYGIAEDIVFSMPCRSKGDGDYELVTDVVIDGFLWDRIKKSEAELLAEKRCVMPEDDELCEITEDTMLPGEK >Dexi2A01G0036300.1:cds pep primary_assembly:Fonio_CM05836:2A:45866266:45866875:-1 gene:Dexi2A01G0036300 transcript:Dexi2A01G0036300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPALSPRTLDGLKSLEHTPIPASISISGYIAPEYASDGVCSVKSDVFSFGVLVLEIINGKKTGGYYRFDDEKHYCLIAYV >Dexi5A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:5A:25454047:25454382:-1 gene:Dexi5A01G0021670 transcript:Dexi5A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRELLACARACRGLREAVAWDPLLWRRLVVEPPLSNRITDEALLALADRARGTLRSLHLLGCPRVSDAGLLRVVQRNPGVTEVPRRPPLPVHEVSWLDCSWSHARVVRG >Dexi6B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:6B:192437:192778:1 gene:Dexi6B01G0000320 transcript:Dexi6B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRERDEEIAVKQGTEALKHGRAHTKVSGDDEMLRTGFHNGTPLEGGKIADSEPVDLFAPANRVAQQATTNHQHQQEEEDEEDKPDAAGPATVVGRQGMAPHVAGGRRLGRQ >Dexi5A01G0003050.1:cds pep primary_assembly:Fonio_CM05836:5A:2220591:2225820:-1 gene:Dexi5A01G0003050 transcript:Dexi5A01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSETIALVGLILLNIFLFYANLRQLIRGRPRPPRQHQPHQQQKPLPQPLGPEAAAQEPPQPRDGKGEERAEEDGREKQPQQQRRRRRARRKRPQEQGEGASGGGGDGDGDAAAAAPSAKAPYKEEEEEALLRRPQFPLASVAGALQRRINERYDDLARASEEGCLTIEQVNEFLNCLIDARNELLQRCESVQRSFKIKKAMLSNHRNYRSSYDRLFEQVRRLEAECDHLKKDAAIYNYIQERLQKSVPYKMIMELSAMEMEAPEISFEELLAKEKEDTAFWQPNGKPRSASTKSDA >Dexi2A01G0017820.1:cds pep primary_assembly:Fonio_CM05836:2A:29831191:29834856:-1 gene:Dexi2A01G0017820 transcript:Dexi2A01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDAFHAKLGDFGLVRQVDPDQGSLRGTAMIGSYHYMDPQCTNGSAATASDVYSFGVVLLEIATGRRSQASLDPEKGFPNSLIGTVRESYHKGSVVEVADPRLNGDFDESQMTRAPSAFFRDRMECTSSSIKQPRDR >Dexi1A01G0028030.1:cds pep primary_assembly:Fonio_CM05836:1A:33747629:33750010:-1 gene:Dexi1A01G0028030 transcript:Dexi1A01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKRAAIDLSLEAERKKEEEDHSAGDCSSKDGRVDKKEEQFKEQEEDPIEETAGEKVVEVVVDQGGDGTEEEIKYRTQQGEEMDKQSEEDAGDGDDESDGAETRAEDKHVVEATAGDGDDSQTIMVQDEVSAMQEEMEKMKEENLMLRRVVDRTMRDYYELQMKLAAYQQQPADEPKEPEVFLSLGATAATGGGFPEPKRKGQAARRPSVGSDEMDDGKGEDLGLSLSLGASSSYEEEKVEPAAAQHDMDVVGADGEAKGYALLESSKLVGAPAAAGDHLASAAGVTSQSVNPANRKTRVSVRVRCQGPTVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTNSSSSISEAGGGSGTAPHYLSPYLLNSHHSSASPLLSTPSSMPASVVRGGAASGMQHLNLFGHSSMASHLKYPWSASPSHGSSSDQMAGSKRPFWSTGGDEKAATMADNVGAVMADPSKFSVAIAAAINSFMGKDGQVMAGNKDGEGTSSKSSNKWGGG >Dexi6B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:6B:24026078:24028165:1 gene:Dexi6B01G0016740 transcript:Dexi6B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAGGGRGRELRMSIEEVAKKLSLWHTATFRPILTHDELEPILSAAGFVPLPPPPPPAQDERAAPTPTVAWREYAFLGCNANAAAAAARRRPGPGPPRPRLPYPRLDGLHLKTYEAFLGAVEVYLGADRVSNLFHVRLMPVTNPHDRAFDKVFRPMRNVSPEEDGLIVYREGTLDDLTFEMCSNHGAVEDLGHHVIPGVSCTDLGYLRKVDGNCHQEGCCARYPAAAAASGGYDFFAIQLKDLLPKY >Dexi9A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:9A:3074534:3075001:-1 gene:Dexi9A01G0005500 transcript:Dexi9A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSATPIRRFLYLLIDDHHGAHTLRKIDTAPFFAAGVCAAAHQGSVSPAAMRPTPLPPPAARFESSLGNAITEFFPLGRGVEKVVGIKEQRDTIICDTRTATVRAGPALRRGKMRMPSWVEFAGKLYLLGCPGMVGPPWFDLEALTYDPR >Dexi8A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:8A:26310273:26312095:-1 gene:Dexi8A01G0015260 transcript:Dexi8A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFLGHVLPGTLLLLVGLWRVWSTVARFAAAPSSAFRARAWSPPPAPAPPLLEMYVVAGGAFLDMCVELFYVDPLRVLSGEGVDPANLNGLEHAGMLLMFFLVGALAILSDKTSLVFATAFTSEFLLFHYHSTTHKGLEGYYHHLLLILLGLCIVTLVSGALVPTSLPFDLAAGVLIAVQGMWFYQTALTLYGPMLPTGCDRLFDSPDADARIECHAGAALERAEMLADFQLCGIVFVVFVYVLGCYAVAAARFGHLELTTAHEMHMRGIECRADVAMANVKEECST >Dexi5A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:5A:12732745:12745959:-1 gene:Dexi5A01G0015200 transcript:Dexi5A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQERRDAEAGGDAAAAAVASHASNMQRVKVYRLADGGKWDDQGTGHVSIEYIEGSKELGLTVLDEEDNETLLVHNITSDDIYRKQEETIISWRDHEASTDLALSFQEAAGCSYIWEHICDIQRNLQFSNLGALEVGPRQASESLEASRIMHSNDDSFRSANGEFRELPPVELSNLPFILKTVLEGGITDQIRVAELITQDRDFFPKLVDMFRMCEDLENLDDLHMIFKLVKGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVPRVQRHRAFLKDHVVFKEAIHIENVSVVSKIHQTYRIGYLKDVILPRILDDATLASLNAMIHTNNASVSVISLLKDDALFIRQLFARMRSSDISMESRRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSHDRKIVSAGTDILILFLNQDPNLLRSYIVQQEGNSLLGLLDEHLIRHVVKFNLLKPIIDVFVENGDKYNMLHSGVLELLEYIRKENMKALVIYVIESFWDQLAKFERFGSIQAFKLKYQQYLESAEPRLSASVPDMRKKAEQRGLEKEEEDYFNEDSDEEDSGSGRRAKHAQNQHSKSKVPNGSEADGADGASRPKSAGLVDYDDDDDEDFNPPPKEPARPSEDDVPLNITPVKRKPVNVVDGKHADGEGRKRQKIETRISCAKIAAVTSTSSKHTDLQNKHAPHSPTSSTSSAETNGVLREHGANSEEHQHSVEIAEAPRQTGGDCIKDVGSMSTEKAVNTTNSSDSEPYSVR >Dexi8B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:8B:6666020:6666271:1 gene:Dexi8B01G0006010 transcript:Dexi8B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLRQDVKFGGGKEVAGGCGRERGWTAARGEVAGAVTTKRKLERDRASGGGETRPLVMGRHSLGLAGAQSESQTPKLPRPA >Dexi5B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:5B:4672224:4672772:1 gene:Dexi5B01G0006970 transcript:Dexi5B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGCQPWGILQFFGFRRRLRSTKMLSDKKHGQEKSIGGSRRRSSYAPLKNEDMDDKKTTVVCPINHPYFQIVPFLE >Dexi2B01G0024380.1:cds pep primary_assembly:Fonio_CM05836:2B:33852322:33853281:-1 gene:Dexi2B01G0024380 transcript:Dexi2B01G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAFPASSLLSRLYYTDGGQSKWILSWAAVAGWPIPALLLLPLYLLGKASPTPPSLPLCSWYALLGFLSAADNLMYAWAYAYLPASMASLVAASSLAFSALFGRAIAKNKLTMSSINAVVVITAGVVIVALDSGSDRPPGVTARQYALGFVLDVLASALHGLIFALSELVFAKVLGSKGPSSSSSFHVVLEQQVAVSLCAFAFTSAGLAVAEGFPAMRREAAGFAHGGGEGAHANVMVWTAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPLTSVAAVIWFHDPMSGFKILALKKTSTS >Dexi9B01G0031970.1:cds pep primary_assembly:Fonio_CM05836:9B:34278531:34279682:-1 gene:Dexi9B01G0031970 transcript:Dexi9B01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEEEKLPPPEEEEEKEEEEAVAVPQKPEWFYVLVSTNFWETCMEHAAENRAEQCMFCLCCHQVSCPHCTHNEPGHRRLKIRRYVYRSVVLAKDLHELGLDTYIINGQKVVHLRPMNRSIRFRPQAGTPRCQTCDCYLRTVPHLYCSLTCEGRVNVSQDDYSGPEAERRYRSLQTNMLQHGERQSEEDDEESEDDEEAQNPVPPVADQPEQAAENEEPPEAFDYYGNDEPPAATQNRSRRRRGRKQAEPARAPFF >Dexi9B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:9B:2406385:2406681:1 gene:Dexi9B01G0004180 transcript:Dexi9B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAARSHLYCKKAAPTTAQKASGSRGIDRRARFPHDAALFPPQSSAADAATGYSSCEAAATTIFHRDFFKMARASAFIPQQRHPERNPERELFPR >Dexi4A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:4A:3638830:3642323:-1 gene:Dexi4A01G0005150 transcript:Dexi4A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRKRAAGQGGCGCWASVARGFRGGCFQPAAAAVDGDGDGDGGGGGVAKASHVHDAGETRYLNASNREVGDHFQANHDGENGVHGSVEKKTPHKLLQFTFQELKSATMNFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGVTVAVKSLKPDALQGALPLPWSNRMKIALGAAKGLAFLHGGLKQVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLNDRRRLYQLVDPRLGLNYSVKGVQKVAQMCHYCLSRDSKSRPSMDEVVKQLTPLQDLNDMASASSRPRSTQRGE >Dexi9A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:9A:1792785:1796280:1 gene:Dexi9A01G0003400 transcript:Dexi9A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVASPEDSGTSSSSVLNSGDGGFRFGLLGSPVDDDDCSGEMAPAASSGFMTTRQLFPAPTPPADPEPAAAPVPVWQPRRAEDLGVAQKPAAPAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLGDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAALRFNGREAVTNFEPSSYSAGDALPDTENEAIADVDAVDLDLRISQPNVQDTKRDNTLAGLQPTCDSPKSSNTMTSQERPMERRPELGPQSFPTWAWQMRGSPHTPLHHSAASSGFSTAVGGANGGGGPLPSPHPPAPLPNYQFFS >Dexi2B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:2B:28592218:28592781:-1 gene:Dexi2B01G0018270 transcript:Dexi2B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKWAVSGADPWLSDHGDLGRVFLVGVSAGGNIVHNMAISVGASGLPGVEPAHVEAVIQLHPSFSGEQRMGAEDEAFWRANNNRWAIIFPGACGGVEDPRINPTAAGAPSLTKMAGQRLLVCTASEDPRALRAQAYCDAVRASGWSGEAEWFESEGEGHGFFVLNPGSPRAAALMDRVIAFLAGH >Dexi4A01G0001180.1:cds pep primary_assembly:Fonio_CM05836:4A:778795:779466:1 gene:Dexi4A01G0001180 transcript:Dexi4A01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAGGDGEKSMSFRCLDVARYVVAAAVTVLIMAVIVNAVKVVLRPESLQLSVVGGSIVSGGRFVTEPPPPQPPEVGLAFNMDLRALNPSGRARMYYVNITAYLFDKNTSASASSTPEDDSIFYIKPHDIAVLQQETVDSLVTAKVTKEQVTPPPYYDMLYNGSSISDVTLRLDGELVTEVNSRLNETRPMTSYYCEQLLVGGDSDDLKGRQDVICRQQGRS >Dexi4B01G0022940.1:cds pep primary_assembly:Fonio_CM05836:4B:24459173:24459558:-1 gene:Dexi4B01G0022940 transcript:Dexi4B01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGGSGNEARDDVAGKMKEVQHMLANLENEGVEIDGKIASIIDDEVARIKAQVEREKNIKGLKRKGGMLILTISSVAFGFLLGIDWCEHALCAEGVKIILFG >Dexi3A01G0007300.1:cds pep primary_assembly:Fonio_CM05836:3A:4952935:4956830:-1 gene:Dexi3A01G0007300 transcript:Dexi3A01G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPPPPRRKEFPAFPFEPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLLDSREASATAPSRAGGGGAGTGGDDDEPDWMRDFTPLPPEKEATKKKPKPKPPATRKTAGPGRPDGLGEQDGGDDEVEFLLEEYETDGEDGPGRRGVGKRAHCGNSSSSEGEELDEEEEEEVTPKVYFTSRTHSQLSQFVGELKRTEFAGRIRMVCLGSRKNLCINKDVLKLGSANRINERCLELQKNKKSSKIKVEDEKRKARQAKNSCGCPMLRNKSLQKEFRSEVSNQGALDIEDLAHIGKKIGTCPYYGSRDMVRSADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTNMYNSKITSSQLKAVLSHLDAYLDRFHNVLGAGNRRYIQTLTVLTRSFLRVLINNQDVDKTMSSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKMTSIQDGVNQLGQKQEHEGSSIACFQALVDFLRSLLNRNDDGRIIVAKQKLNGQPEEAYLKFVMLCAEKIFSEVTRDAYAVILAGGTLQPIEETRLRLSPDLPPADIKFFTCNHIVPPESILPVAVTRGPSGKTFDFSYNSRSSPSMIEELGRFVCNIVTVVPEGVVMFFSSYDYERRVYDAWTTAGTISKICKKKHVFREPRNSADVEAVLSKYKETIQACSKNSQDTGVNGALLLAVVGGKISEGINFSDGMGRCVIMVGLPYPSPSDVELIETIKHIETISSSLLVGDDKTSGRKCDDECELQPGYDILRKCTKGGRDYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYAQASSSKSFSSPTDKLPQWIKTQLSYAQNYGEVHRLLYQFFKFNKQKC >Dexi3A01G0005320.1:cds pep primary_assembly:Fonio_CM05836:3A:3418444:3424291:1 gene:Dexi3A01G0005320 transcript:Dexi3A01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNSAAAAAATKRPCDTSSLSDSTPPAKLQRSSDPPDGAIEESEEGGVEGEAMAGARNPRAQRYLVAVEYVGTRFSGSQQQPNQRTVVGVLEEAFHRFIGQPVSIFCSSRTLTPHEPGVVKRAVNHFLQRNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPESTSVFEKNSAWHISEDLDIQAMKKACSILVGHHDFSSFRAAGCQANSPMRTLDELTVTEVFPFMFFPSSIERSEMESSDGSLVYSRIPTMESSGKQSYGSCTSSGKSEPENGKEFGTRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGNLTTADVERILNAKTVTAAPPMAPSCGLYLANVKYDLSV >Dexi4A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:4A:3137692:3139893:1 gene:Dexi4A01G0004410 transcript:Dexi4A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAETPVAQQSPAPTKRALSSPCSPRPRSTAAPHDTVRLRHSPAAAAAAPRRWSSSPTGRTCGCGAACTLRTSTPRRTARASPRARSARRRRRRGLARGDGGGAAAYGRYLGLWAQPAPPLGVVHRPVLRVYDSPEQDDVLWVAVGAADESGDVLLRHGRHATSFIGVNADSHNSRRAHWVVQVIAARQKPLILPAPVRVSSPFPFSLEYSSSHSFEFIQLPIYKWLSGVCLFRFTLQQLSRPMVLWRPITYVRADDEGNFDPHPLARRRFIFYGRSVFQLTGVLSILLREWYFGIRLCVRAGSQGRLTPLVIDLPANEQPMDIVVLTAGSPGGYIAVSFSNLHCTSLVICSSSVV >Dexi5A01G0031570.1:cds pep primary_assembly:Fonio_CM05836:5A:34201310:34205136:1 gene:Dexi5A01G0031570 transcript:Dexi5A01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDQVMAFLTDHGFAGTASALRDDVLARTADGEGAGGAALDPQLPPLRMPGSASASGGGGDGTPAPASPGSTSSGSASSSAFVSMRSTPSGLLNPYGLWSPRHSQSGASSSEMEFGTARQYDTTDLFFQEDWLYDDHLFPSKLDDEDDEGKEEDKFVLGAHDGLEQVQIGKLGAGHNHRHEHIGGDHCEGCAEVYTCSSPLCGCCGGGLKIDGLEVARSSSSTVYGRYQIMDDQTEILDDCAQDGFQLKQSGDAVFECDMPRDPGRGDDDSELSVVEKELQMLSSFDTAAAAAAANQGVHDFMDNGELDDSSSKNLKSSSDKEYLKEGHRIQPFPESGDDAYDFKNVGTLHADIQHSTALKPEEDSEANIDLALSNFHQEYEVFELRIIHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQRYNQESGGEVYFTLPRIQVIARQCLEALIYLHRLRIVHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVSMMLAQMIGIIGPIDMEMLELGQETQKYFTDDYDLFTKNEV >Dexi9A01G0044340.1:cds pep primary_assembly:Fonio_CM05836:9A:47969632:47973267:-1 gene:Dexi9A01G0044340 transcript:Dexi9A01G0044340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDIASRSSSHRHMGSGYKVGVPPKKNLFAEFSDAVKETFFADDPLRQYKDLPKSKKIWLGLQHVFPVLDWSRKYSLGKFKGDLIAGLTIASLCIPQDIGYSKLANLPAEVGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPKTHPQEYSRLAFTATFFAGVTQAALGFFRLGFIIEFLSHAAIIGFMAGAAITIALQQLKGFLGIANFTKKTDIVSVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKKNKKLFWVSAIAPLISVIISTFFVYITHADKHGVAIEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVAISLAKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQDQKLTKIEFLIVELSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPVVIQKLRSAKFTDLIGEDKIFLTVGDAVKKYAPRVVENV >Dexi2A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:2A:3727980:3730419:-1 gene:Dexi2A01G0004140 transcript:Dexi2A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRDHGDGAEPSPYVLMLREHEDEGRGYSLELAPLPGCGAAPRAHRGRGWTTEAPTAAEAGTASRPRSGSSTEASTTTAGAGNASGSHAHGSSRWRAAGTGTAPPTREVPTGTGAGTGSRAHGRSPTRKAAAARLPRARGRGTAVEVGTGSPRVRGGRTATDGGAASPRRGDAADENDHGEYDPTAAARDAILEEMREMIFGRATLEEQFDIIDAESKISDDIKNIREVLIPVFLSPKKKRKDDKYMTLAGSSSPSAPPPVADMLPSPAADMLPPHADMMWTVAFGILGVIVLAGHILSWVRGCWTGSDSDRDESESSEDENPSTSRLLGR >Dexi9B01G0031450.1:cds pep primary_assembly:Fonio_CM05836:9B:33824367:33824756:1 gene:Dexi9B01G0031450 transcript:Dexi9B01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSAALAHGSTSTNPDLRARGLVEAKLWCLAVVFAGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDANETFEELMPDSGYPWAFMLACVGYVVITLADVVVSRVVSRGRAGGQ >Dexi6B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:6B:21346201:21348149:1 gene:Dexi6B01G0013620 transcript:Dexi6B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMTATEVAELLDLKPHPEGGFYAETFRDGSVTLTTSQLPPQYKVDRAVSTAIYFLLPSGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPEQHYSLVGCTCAPGFQYEDFEMATFEDVRSIAPKAEPFLKFLIPCVE >Dexi9B01G0037710.1:cds pep primary_assembly:Fonio_CM05836:9B:39015821:39020723:-1 gene:Dexi9B01G0037710 transcript:Dexi9B01G0037710.1 gene_biotype:protein_coding transcript_biotype:protein_coding YESPDGKTYASVIQAIPEGSWYCPSCRCSVCNLSDYDPDTSQFTEKTIVYCDQCEREYHVGCTRNSGHQLISRPEGFWLCSSGCSKIFQHLQKQIGKSTPTPFKGLSCTILRFRQNNSDHDHCDDEIMAEHYGKLCIALDVLHECFVTIIEPRTQSDISEDIVFNRESELRRLDFRGFYTILLHKGGDLVSVGTFRVCGKKFAELPLIGTRVPYRRQGMCRLLMNELEKLLLDLGVERLLLPAVPELLKTWTGSFGFTVMSNSDRIELSENSILSFQGTTMCQKVLNVACNDVQEQNVPLMSNSERIGLAEKNVLRSDRKTICDKAVDMASTCFDYEVEDSSIISETMESDRHEGSSVVIEDMEQLEPKLVREIQNNSGEEGISAINAMNIMPDPQVGLAAETELTLEIQNNSGEEGIYSFGALSSTPDSRVGLTVEPDMVLEIQNNSSEEGSCSIDASTSGQIGLTVGMHGSAGADQISENCTLTEEAQTVTQKTAPGLTYKFSGKCYERVKNGSRPRNVWLRVSTK >Dexi8A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:8A:194340:196291:1 gene:Dexi8A01G0000230 transcript:Dexi8A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKLNNLELAVNLAKRANLPGAENLNLLKAS >Dexi2B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:2B:7549163:7552700:1 gene:Dexi2B01G0007200 transcript:Dexi2B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEILRAELSSKTPPFGLRLWLVIGICIWVLILCILGFMCFWFVHRRKPSKSFDKIPVSQIPDVSKEIAVDEAHEHAVVQSFQVQESHALAVQETQYEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATKRFSKENVIGEGGYGVVYRGRLINGTDVAVKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIVLGIAKALSYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNERSDVYSFGVLLLEAVTGRDPVDYARPANEVHLVEWLKMMVGSRRAEEVVDPDMELKPTIRALKRALLVALRCVDPDAEKRPTMGHVVRMLEAEDVPSREVVLLL >Dexi1A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:1A:457242:458207:1 gene:Dexi1A01G0000730 transcript:Dexi1A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSTTTTTWSFLLLPSLLASSLLFFLLHRSHGARSSSNKARRLPPGPPMLLFLAKFLALRRSIFDLGPLLRDLHARHGPIISLRLFATTLVFVSDRRLAHRALVQGGAAFADRPPLAEPDSLFSAGGRDINSSPYGPYWRLVRRNLAAEALHRSRVALFAPARARACDALVADLLFLRAGDAAGVVELRPSLRRAVLGLMVYMCFGAWIGEEALGEVEQLQRGVLMPYTSFPVFAFFPAVTKRLFRRRWAAYVALARRQDEVFVPLIHATRGDDEPPCYAESLLALRVPDDGGEDDRPLTDAEMSSLCSEFLTPGRTRR >Dexi5A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:5A:13566023:13571316:1 gene:Dexi5A01G0015760 transcript:Dexi5A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRAAASSSPAAPRRKRGKERASPQPDAAAAAEAGSPSAAGGDGRPRRRLGGRRAAGPRQGCVPAAVAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTISGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRSMTSRVVTLWLRAAAKAKGEAKRTRPRDRSHRAGPAPEANAEIQANLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTTLDAKAAAYSKQLQKEKGGIRAR >Dexi5A01G0022880.1:cds pep primary_assembly:Fonio_CM05836:5A:26993119:27007892:1 gene:Dexi5A01G0022880 transcript:Dexi5A01G0022880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGAGGDGDGTEKAAPEGPAEATTPPPEPAASLPPASASGAGAGTSGSGEKPVKRMMKTPYQLEVLEKTYAVEQYPSEAMRLELSAKIGLSDRQLQMWFCHRRLKDRKPPSKRQRREEEAALAPVMPPPPVLPTSAIPLASSDLLVGSPGLYDESLHRAHSRRGAGRSSAVPRISMPEIGRRYYEPPPIMIAPTMPSVQLTQSELRVIHSVESQLGEPLRDDGPVLGTNFDPVPPGAFGAPIGQFDISPVTAFENPLVSSERRVYHDEDASRVERKRKHNEEAKIAKEVEAHERRIRKELEKQDMLNRKKEEQKRKEMERLDRERRKEEERLLRERQREEERFQREQRREHERMEKYLQKQSRRAEKQRQKEELRKEKEAARAKAANERATARRIAREYMELVEDERLELMELAAQSKGLPSMLYLDSDTLQQLDSFKGMLSQFPPQTVRLKVPFSIKPWIGSEDNVGKLLMVWKFLITFTDVLGLSPVTLDEFLQSLHDYDSRLLGELHIALLKSIIKDIEDVARTPSIALGVNPGGGHPHIVEGAYAWGFNIRRWQRHLNLLTWPEILRQFALSAGFGPQLKKRNVEDSYYHNDNEGHDGENVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSESVLSAAREKIRAFQNVLSDSEAEKEADEAERDDDSECDDADDDPDGDDVNTEVENDKDPPAITAKDEIPTKTAVGGIKTEADSVGNVLNSSSSFTKSAEGVPLPSLGKSNATDTSNGSPLGASSANHGLTPDNSENTQIDESNQVEPWVCALAEGDYCDLSVEERLNALVALVGVATEGNSIRGVLEERLELANALKKQMWAEAQLDKRRSKEEFASRLQYNSYMGLKADIYQENNATESIPTPARNAYKENDGHVGTINNCEILDQHSQGNAGSTSYERNGVGQEIIATPDASSVQQYAYADKTRSQLKLYIGDRAEQLYVYRSLPLGQDRRRNRYWQFTTSASPNDPGSGRIFFESKDGYWRVIDSEEGFDSLVAALDTRGSREAQLHSMLQMIEPTFKEAIKRSATIELSAGRYPKNGATDMIRANCHSGNSIITPFSVASDNVTACSDSFKIELGLNDVEKTAISRRADAYLKWMWKECYNQELTCAMKYGKKRCSELLHSCNYCYQIYLAEERHCSCCHKTFKSIYNFSEHTTQCEEKRRTDPNWKMQIVDFSVPVGIILLKLFLVSIEASIPSEALQPFWTDVYRKSWSVKLYSTKSIAEVFQLLTVLEGAIRRDRLSSDFETTIECLNSQDIALQNSSSPAGSASVLPWVPDTTAAVMLRMLDLDSAVSYTQNQKMERDVGGFIKFPSRYTVAKSKQETAPLEPTGSDMYDGRWLPGSGRRGRGRGSRGGSRGGRGRSRGGRIPRGVSSSSRVEFKDDSVASDRVPRKNTRRGRARGRGRGRGRRTIRPRQPSDRARSIPKANLLGSFSILSSSKPPAIEESPQSSGADEWGLETRMPFTEGDENSSGSQSEDNGQPMDEDYEEQVPDYSVGYSSGSRPHGMMSMMEHESDEEDEDAEGDGEGDENAEDDAGHTVDDADVEMDEDDEIGDDGDGEDGGDGGEMNVDEDEDATSYSSDYSE >Dexi5B01G0029550.1:cds pep primary_assembly:Fonio_CM05836:5B:30752736:30754757:-1 gene:Dexi5B01G0029550 transcript:Dexi5B01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRGGAPPPATAPGGNGGARAEEAKTAAPATGKAPPPTVWFALKRSLHCRSEPSEVHVPRAKPTPAASSTGNGGHLSSIVTTKRATARSGCSRSIANLRDVIHGSKRHPGQPPSSCSPRSIGSSDFLNPIAHEVVLSTNSRCELKITGFGGCGGLGAVGTLASAAAADGGADGGGVVSSFVGTLRPGTPGPAWAGHGLPYSGSMRGGGVRCTPPWSPNVLLERNGSVAAGHRASCEENGKSGGGNNKGSGGGLSCPRCGEHFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIDRVFKVHNTQRTLSRFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGSGSGASSSSSSSLCASDKCAVCRIIRHGFSSKKEGKAGVGVGVFTTSTSGRAFEAIDAAAPPAGAGDDGDPAAEAAPRKALLVCRVIAGRVHKPLENLREFAGQTGFDSLAGKVGAYSNIEELYLLNPRALLPCFVVICKP >Dexi2B01G0028210.1:cds pep primary_assembly:Fonio_CM05836:2B:36878776:36882450:1 gene:Dexi2B01G0028210 transcript:Dexi2B01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTLTTMTLKDFHGGSIPSELPLPSAPGVTPRPADRPVASPSPVATAVARPRVPVASPTAAAAAAAAMPSFLTTPSRIGRHFDEDERTPFEPAAPRRPAPSPTSFAPAPVVVPARSGPGNAWGPRREAAPAASPVGTAPASAGGQIWSATRIAQASAVEKVISGRFNPSKPSSPPTPASAPVAQTPVALPEIERPRSVGVRELDAGVERGAAPVRPASHEGRVGDGRIAEAPERPKLKLLPRSKPIEAPEPSPTYVEDKQVHQVQVTANITKVEVVHDLHQNAMADKAGVLGADAENRVAERPRLNLKPRSNVTGQSDEIAVKERQQSLFGGARPREQVLKERGVDVLASDLEKTSPVGRSKSEFAKVEQKVEAMSINPSVERAEGFPVGHRGPRNADKKDYKRDMDKPDAYRTTRREDNRRVARDVEKPLEQPRPEPETWRKPVEPPKPEVTTPCFGKAATALELAQAFSTSMSDTVPQSRLTSVPSPRVPPSPGARDQSGFSRLTDNRSLHTSPSHRKINGY >DexiUA01G0001490.1:cds pep primary_assembly:Fonio_CM05836:UA:4023284:4023562:-1 gene:DexiUA01G0001490 transcript:DexiUA01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYSPHTPATLLSHHEKNESGNKSYRYGTDVCPDKLLAHLIRALNDTRNPLTLHTGTNYALGNNQGDPAAEELAQRRAQIGVGVATHGDAA >Dexi3A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:3A:14026084:14030008:-1 gene:Dexi3A01G0018350 transcript:Dexi3A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLPLLVVVAAAVLGVHGQGAAPDSTGFISIDCGIPEQSSYVDGATKLPYVSDAGFTDAGANHNISAEYIKPSFSKRYLNVRSFPDAPRRCYTLGSLTPGSKYLLRAVFMYGNYDGLGRPPAFDLHLGVNFWTTVTITAPTDVCLVDIGAGTPFISGLDLRPLDSALYPQVNATQGLVLLARRNMGPTDATMVVRYPDDPYDRAWTPWINPEEWSEISTAEQVGGLPTAPSAVMQTAVTPLNGTKMSIAFSWVAVPNHVYPVPRYICFFHYAELQSLDAKKNETRQFYITINGKPFYHLPITPHYLFTDAVYDTKPNWWGFDQYNVTLNATANSTLPPVINAVEVFSVISTANVGTYAQDESSVKPQNGVTDARPRSQNGNGHRLPQLENRRFTYKELETITNNFQRVLGRGGFGSVYDGLLEDGTQVAVKLRSESSNQGVREFLTETEAPHCTGVRTSPAFVHRDVKTSNILLNANLEAKIADFGLLKAFRREGDTHVSTDRVVGTHGYLAPEYASALQLTEKSDVYSLGVVLLEVITGQPPILRCPEPTNVVQWVRQRLARGEDIGDVVDARLRGGYDANAAWKATDVALKCTEQVPTQRPTMTDVVAQLHECLELEEGR >Dexi9B01G0031900.1:cds pep primary_assembly:Fonio_CM05836:9B:34219968:34220531:1 gene:Dexi9B01G0031900 transcript:Dexi9B01G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASPATTLHFLALLAAAAVAAGEDGLTHLHLYIHHETLIASTTNTNTSTASLTGSLHPAWGNPWFGSMGAIDDELRDGPDPASPYLGRAQGMLVQADLGSPAAWCTTVVLAFTEGDYAGSTLVVDGRVDLAADMVERGVVGGTGRFQRATGYSLTTRFGDNPTTPSGNTTVVVFEMDLFVKIIGG >Dexi2B01G0010230.1:cds pep primary_assembly:Fonio_CM05836:2B:11293235:11296422:-1 gene:Dexi2B01G0010230 transcript:Dexi2B01G0010230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSPPCAXXXXQQKMLCLSPPCAPHLHHHLHLHRHRPPSQPGATTTTSSRSARGLGVTLCIRTPTTPEERWRQRRRVAKLQDASVPSPVPAPAAPPLKPPPETAPSAAPVAARLTPAGERRGRLREMRRVWWVCGVGYWVQGFRCFPWLALNFHLARGLGLSPTALQLAQNAANLPLVAKPLFGVLSDAVYIGRAHRLPYISIGALLQLIAWGTLAVIPVTSDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLKTQEPKIMFTAFSVLLGFQLALSLGTKETLLSTQETLASTPRNTRSHLVRSSLAANLRKQFSNLMTAVSEDRIFYPLTWIMTSFAVVPILSGTMFCFQTHYLKLDPSIIGLSKVVGQIMVLSLTVLYNRYLKRIPLRHLIAGVQMLYAVAVLSDLALVKQINLMLGIPNEVHVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGVFGVGLSTLIGVSSVDYSNLPLGILLQSLAALLPLGWISFVPEKWTADEKIVIQR >Dexi2A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:2A:10858280:10858657:1 gene:Dexi2A01G0009790 transcript:Dexi2A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAMAKRTRFEGESRRQELPVGGGGGEDGGPDLISRLPDEVLGDLITLLPTRDGARTQAISRRWRPLWRAAPLNLQVDSLSGQDRKRIIFATKILSEHTGPGRRLSLRGTVLPRSTAGCAREP >Dexi9B01G0022200.1:cds pep primary_assembly:Fonio_CM05836:9B:16856705:16860276:-1 gene:Dexi9B01G0022200 transcript:Dexi9B01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLQAMKELYFGPPPAKGLIQDLEYSLRTKGGLTRDEDAMLRAANLIPKASFVLFGGLGSYVGWFAVGLDVLTKFHCAGNKLLGLPYTPAFAKFCSATGKSLGCCTCYSSGCAYIMGNAMYRGTLHVCPAALLGIEEGRMKMELANIILTKHSDDVFLVKAVKRHFFAEHLFNDLHQDQPLLSWHLRRSYTDSAFVERMKEIEDTNSDDEARSVSRETTVDNRPFGDLMEDPLSCILGSPGGDIESSNPPGNTRTVLKRSELRARRRRHRHHHRHADDSFVAL >Dexi2A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:2A:8417587:8419051:1 gene:Dexi2A01G0008180 transcript:Dexi2A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRQGHAAAVLSVMLLLIAPSATAIGVNYGTKGDNLPPPSKVASFLANHTLIDRVKLFDTNADMVRAFAGTGISVTVTAANGDIPNLATNSAAAAAWVAANISPYYPSTSISLVAVGNEITNTADDSLISSLVPAMRALKSALAAAGLPKIRVTTPHSLGILAGAALGSSPASPSASRFVPGLDRDVFAPMLEFHRSTSSPFMVNPYPYFGYNGDTVAYALARRGGSSNPGVRDPGTGITYTSMFEAQLDSVYSAMKRLGFGDVEIAVGETGWPSKAEDGQVGVSAAEAAEYNRYIIGEATAGLGTPLMPKRKFETYIFALFNENLKPGPVAERNFGLFEADLTPVYDVGLMKDGMGKTAAAPAPAVATTSSGEEAAAPEPPVEARAKKHDEEEAAAPAETTTSSGPGPSATEEDDASPDATGTSPSEAPAAEGDSDKTPVRWSLTFQIR >Dexi2A01G0032490.1:cds pep primary_assembly:Fonio_CM05836:2A:42944967:42947066:-1 gene:Dexi2A01G0032490 transcript:Dexi2A01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFITSRIGNALRRTSVLPTSPLLQAVRCMSSSKLFVGGLSYATDESALKDEFSKYGDVLEARIITDRESGRSRGFGFVTYTSSEEASAAITALDGKELHGRHVKVDHANDRAGGIRGGGGFGSVGGYGGGGGYATGGYGGGGGNGYGGGYSSGGYGGNSGAYAGSGGYGGGSGYGSNSNNASGGGYTVSGGFSDGPSGGCYSSNFNSASGGGYGSGGSYSTTGNPGGNAAGYSSPNTYNAGSYNSGAPSGGSFGEYGGGFGSGGFGAGPSNGNNFAGNATSGGYGGHSSTGEFSSGGAAGYESNKPQYNGQDDLLGEDFFDAKEASENR >Dexi3A01G0025440.1:cds pep primary_assembly:Fonio_CM05836:3A:21143095:21143467:-1 gene:Dexi3A01G0025440 transcript:Dexi3A01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYRFLSYCGDDAAANSLSQRVMKVAGFFGIGEMRMRKKLFWVSKILDTTYEALDDKITECLVTEIRRIPRQGGGGGTYSRKWRYIGPLVEHATMIGDFTYMVRDAQHRWG >Dexi1A01G0011670.1:cds pep primary_assembly:Fonio_CM05836:1A:10805514:10806996:-1 gene:Dexi1A01G0011670 transcript:Dexi1A01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENITDANTASSYHAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVSVDEDSGPISSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHVVQTYKGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQSPDGCTVASAAADETLRFWNVFGNPEAPKPAAKASHTGMFNSFNHIR >Dexi1A01G0000180.1:cds pep primary_assembly:Fonio_CM05836:1A:114358:115095:1 gene:Dexi1A01G0000180 transcript:Dexi1A01G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAMVTGAWPDGAATDRRPVAAWARRTRRCSGAPRLASHPALVHSSRADEPAAMRDARRPSGRPTTQSTRASQEKKYGPKHEITERVARWIEEAMPSHVEAAGGPVIRQSCMCCMTPDSDFVIDFLGGEFGEDVVVGVGFSGHGFKMAPAVGRFLAEMAIDGKSNTAAEAGVELGHYRISRFDGNPMGNAAKDY >Dexi9A01G0002300.1:cds pep primary_assembly:Fonio_CM05836:9A:1232340:1232930:-1 gene:Dexi9A01G0002300 transcript:Dexi9A01G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSAIRWYCGSLLAVVAALFLTASLGTTDGAAGDLKATCAATPHPDACVRALQAAAAKTTTPRGLAEAAIRAASTAGAAAGEYARGEMDVSKDNGVWQCLNECAEDIEEALSHLDDSEGDDAKLREVKKFLDTAEQDAWDCDDSCKGAPNNTVKATLLAKNKDFEALMAVALSLIKRVTGAGDHAPAPTPSSSP >Dexi6A01G0014710.1:cds pep primary_assembly:Fonio_CM05836:6A:22095439:22096114:1 gene:Dexi6A01G0014710 transcript:Dexi6A01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVVRNLDLERYAGRWYEIACFPSTFQPKTGTNTRATYTLNPDGTVKVLNETWTDGRRGHIEGTAWRADPSSDEAKLRVRFFVPPFLPVFPVTGDYWVLHVDDHYQYALVGQPSRKYLWILCRQPHMEEAVYNELVERAKEEGYDVSKLRKTAHPDPPPETEQSARDGGMWWIKSIFGK >Dexi5A01G0031850.1:cds pep primary_assembly:Fonio_CM05836:5A:34456462:34457817:1 gene:Dexi5A01G0031850 transcript:Dexi5A01G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDGQLGSEPIMEDARSLLPYVSVDSSLRALAGQAEGFGRHAIGGLHGDVYHLPHHIAKTNLVADDGPGSLREGCRRQEPLWIVFDVSGTIRLSSAVRVSSHKTIDGRGRRVRLSGGGLKLSECEHVIVCALEIEGGRGHDADAVQVKPRSRHVWVDRCTLRDFADGLVDVTNGSTDVTVSRCHLAAHDKAVLIGGSSAHVEDRRARVTIHHCFFDGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIISQCNIYEAGEKKQVFRYMEEQAADKDQSSSGRIQSEGDLFLNDAQQCTADASEAAGDELWDFKVQDFYQSCSVQPTSMALKVLLQCCTGWQPVPLPADVSSSTENAINPANPMD >DexiUA01G0008910.1:cds pep primary_assembly:Fonio_CM05836:UA:17025074:17028516:1 gene:DexiUA01G0008910 transcript:DexiUA01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALPLGEEDTERAPAGSEQQQQETQERVQVAPSPSKAEQGKEDAEMSVEEQDEEGAEIAPAASEQQQQETEERVQQIAPAASEEKEKEREREKKVAKMVEAMRLLEPLPAKITELLESAGYRRVRDRSEHPALELMKMELTDIVDSLKLMLPALKDSRVGVELELEFHWLNGLIPFARDVHSLVRQVADSRLYTLVRRAVRFFRPSNKVVLYSMMEESFRAAEYANKFRCLFLASGSSGQALPPCPGLPLFGIDRPTKKLLRWLMPSEGTEKRLRLMAILGPDGIGKTTLAMEVQRRLQQCQGSGGHYSFHCNVVARVSNSSHRKELLLRDILSQISELTVPVLTSDQSSSKATMELLVHHVREYLQAKRYFILIDDLWHGGDWEEIKDAFPNNDLDSRLFITTRVQSIAWSCRSDSDDVLVHEMKPLNWMDSQRLLLVKAFGSVEGSSSSYSMKLLCDKILMRCEGIPLFITAMADWLKEKYQQQQHEEKEEQKHAFASGEQVPQIPEWFEREMFSAFDDLLPPELTTVLCMSNLKVLGVTWSFHQCTHRAYRKALQLSITKMELRLKSLTIHCGIGCSMEFLGDIFLGEPWVLEKFKVTAGRFAHVPRWINKKLEFLSFVQITICGPITDDLERLGELLHLRCLILGLDFIPQEAITIGNVGFPDLQRFSVDCQMPWLTFKAGAMRKLRYLQLKLCTCPVTSQTSVPSGIGCLGSLSEVALCYNARYTTSRNVKVTVEAVTKQVAAHPNQIDLFINDYQDYSVQAADEETENNAIRTQRQSDAEIKTWCSSGT >Dexi7B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:7B:17248188:17249722:1 gene:Dexi7B01G0009770 transcript:Dexi7B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIFRSVLPRVARSNGLKCFSSGAPSDTIAELNKEMESIFGESPSPSPLDSSPPQQPAQPVYEAEDSQSVLTHTDSSGQAKMVDISPKKDSKRVAIASCRVLLGQKVFNLVASNEIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINLSHVRVDLTLNEEDSSVVIEGEATTTGKTGVEMEAMTAVAIAGLTVYDMCKAASKDICITDVCLQHKSGGKSGSWSRN >Dexi2A01G0032560.1:cds pep primary_assembly:Fonio_CM05836:2A:43021387:43023099:1 gene:Dexi2A01G0032560 transcript:Dexi2A01G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMATGQEDSLLLLLLPTTSPLPPLLAAFLLAAALLWLSPGGPAWALSRCRQPPSGPQGVVTALSSPVAHRTLAALSGAIAGGKSLMAFSVGATRLVVSSHPSTAREILVSPAFGDRPVKNAARHLLFHRAMGFAPSGEAHWRGLRRLAAAHLFGPRRVAAGARDRVAIGAAMVDDVAAAMARHGEVPLKRVLHAASLSHVMATVFGKRYDDLATSQEGALLEEMVTEGYDLLGTFNWADHLPLLKWLDLQSVRGRCSRLVQKVEVFVGKIIQEHRVKRANGVVADELTADFVDVLLGLEGEDKLSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVLHPDIQAKAQAELDAVVGGGRVVTDADVASLPYIQCIVKETLRMHPPGPLLSWARLAIHDAHVGGHLVPAGTTAMVNMWAIAHDPAIWAQPEAFRPERFQEEDVSVLGGDLRLAPFGAGRRVCPGKMLALATTHLWIAQLLHQFEWAPRSDGGGGVDLSERLNMSLEMATPLVCKAIPRVVQA >Dexi9A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:9A:6674167:6675451:-1 gene:Dexi9A01G0010850 transcript:Dexi9A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRMSSRRGATGGAPGAAAGVVEDENNPRNAKKPRTDASSMASLLDKYRREFAVPFAINHDSNKEDYCSTTNDEADVNKSVEFYDFLQVNANSSDTKCGSSIEEQEESRDDQAEGQVQFIDFLEVGASHRQ >Dexi7A01G0013120.1:cds pep primary_assembly:Fonio_CM05836:7A:23284276:23297773:1 gene:Dexi7A01G0013120 transcript:Dexi7A01G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSQCGSVGASEWETRWGLSSGCGFGTYLFGMSETIAKQATEANNPENVKNPHIGWMIGFLFLASFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLFAFLWGFFQWFYTGGDNCGFQNFPTLGLQAYQNRFYFDFSPTYVGVGMICPHIVNVSVLLGETSLHGLQGYRVFISIAIILGDGLYNFVKVLIRTSVGFISMMKKNSTLPVSNDSSPITESVSFDDERRTELFLKDQIPRSVAFGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASHGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCIIAPCVFWLFYKAFTDIGISGSEYPAPFAIVYRNMAILGVDGFSSLPKNCLTLCYIFFAAAIAVNLIRDLVPKKVAKFIPLPMAMAIPFYLGSYFAIDMFIGTVILFAWQVINKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSSGTATAYLINGFHTPEGAERAKKQVRTLGKYFGLSFLWAFFQWFYTAGDDCGFSSFPTLGLEAYKNKFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYSESLPDSSLHGLNGYKVFITISVILGDGLYNFLKVFIRSISALIAVYKNRNAKSIPVSDDGTPVPTIETESFDDKRRVELFLKDQIPKIVAFGGYVGLTIITIFCLPLIIPQLKCTYGKLAIFIIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGSSDGAYPAPYTIMYRNMAILGVDGLSLLPKNCLTLCYIFFAVSFTINLIKDNVPNKVAKFIPIPMAAAIPFYLGPYFAIDMFLGSVILFYWEWKNKAEAESFGPAVALGLMCGDGLWALPQAVLSLANVKPPICMKFLSSYLFGMSGTIAKKITEANDTQDIKEPHLGWMIGFMFLISFAGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHAPQGTERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDHCGFGSFPTLGLEAYKNRFFFDFSPTYIGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGNWYPETLPDSSLHGLQGYRVFITISVILGDGLYNILKVFGRTIEAFISRYRNRNTSMLPVSDDGTPVTTTETESFDDKRRIELFMKDQIPKKIALGGYVALAVITICCLPLIIPQLKWYHILVAYILAPALAFCNAYGCGLTNWSFASAYGKLAIFGFGAWAGASHGGVIVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIVASNSAYPAPYTIMYRNMAIMGVDGLTLPKHCLTLCYIFFAASFAINLIKDLVPKKVAKFIPIPMAIAIPFYVGAYFTIDMFFGCVILFIWEWKNKADADSFGPAVASGFMCGDGLWALPEAILSLANVKPPICMKFLSRSVNAKVDSFLGKE >Dexi5A01G0023140.1:cds pep primary_assembly:Fonio_CM05836:5A:27201620:27202574:-1 gene:Dexi5A01G0023140 transcript:Dexi5A01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTRAKTAPPRPRPPPPDLSSHPSEEELITRFLRPRAAASTTDHPCASASFVHDADVYSACPDELTSRFAPARAGDGGDDGAWYFLSAVRAKTRDGQRKARTVDTGEGCWHSEAGAKPVVDETGWLLGHRQSFSFVTKVDGRRVRSGWLMVELSLDADDVDENATDMVLCKIYFSPRARLNGRGASMASSSSAGRKRKAAVDDRKNPTLSRQRRRRVDDITSDAEEKDRNKGGEMANNEEEELWADDSSFSWWMRNRDQLMKEYNIVDRPDEEIRKTHGVYDAQHGLS >Dexi5B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:5B:14311930:14312831:1 gene:Dexi5B01G0016350 transcript:Dexi5B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDSDCKDRSGPEYRCFVGNLPYRTSEASLKDAFSGYGPLNSEVVTDRETGRSRGFGFVPFDDKQSMESAIQGMNGQPMGGRNITVSEANQRPRRWRA >Dexi2B01G0010760.1:cds pep primary_assembly:Fonio_CM05836:2B:12186017:12186364:1 gene:Dexi2B01G0010760 transcript:Dexi2B01G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLSRAAWRCAWGMLQNDLIHGWGLDYKLGYCAQGDRGVHVGVVDSEYVLHRGVPMLGGGGGKGTKSSAGRAAIFNRRWEEAAAEDESWTDPYAAQPATASSR >Dexi9A01G0034660.1:cds pep primary_assembly:Fonio_CM05836:9A:39403221:39405348:-1 gene:Dexi9A01G0034660 transcript:Dexi9A01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPAAPVTVHVTGFKRFHGVAENPTEKIVRNLQSYMEKRGLPKGLVLGSCTVLEAAGQGGLGPLYELLESTVLRREGGSPNKEKVILTTLPVKEISKSLQQMGYNVAPSDDAGRFVCNYVYYHSLRFAEKNGIRSLFVHFPLFLAIDEEVQMQFAASLLEVLASLNLQ >Dexi9A01G0011240.1:cds pep primary_assembly:Fonio_CM05836:9A:7017882:7018181:-1 gene:Dexi9A01G0011240 transcript:Dexi9A01G0011240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGCKALLLAALIVASSRLLHGPACCSVVPELPPEVVGGEGAANKQPGPLPFPGRGVRVCFPAGAVGRFHQAMLVVLLARGGGRMAIGRWRSSRRRG >Dexi9A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:9A:1041983:1043856:1 gene:Dexi9A01G0002030 transcript:Dexi9A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRRRTCEPRRAAASSKPDASPPLPELPGNIMAEIAARSGDAATIFRCAATCKLLRREILRPDFIRRVTAGGPDAAVPSRFLGVIDGETSSSFSVVHPITEAAETFATRHMAPFLSRSGAAGLVEEYELLSSRGGLVVLRRRVINRRRWSQRRSDIGWLTATPSKSCGSWQKRSDVEDADPLYSFCRFRINVKRAKILEQFGSAAVSCEGLPEGKARLVSNMYDPMTDTRVFFTDPPDVGKSPYHPRLGCGSVSVIDISVLLTAGDGINTGCCSYMLLAADMDRSLDMSVRIRVQTLSSDAGGKWGPLTSAELGQCPWWCSTSWDRCIDAGIVVGGVVHSLLHAGASIALDVGEYILTYDVGAATVGSVDIPEHRRVPNLRSYSQLGSSPDGKLSLLVADQLMVSVWVLSGGGYWSRHAEVDMIPWCLSLVPQPQDDDHGEVVLGSLGDQSRAVLWRLVVGGREFCFLLDMETKETRLDWAERKGIPCEVDLASRLSSMKFF >Dexi5B01G0013520.1:cds pep primary_assembly:Fonio_CM05836:5B:9647580:9647813:-1 gene:Dexi5B01G0013520 transcript:Dexi5B01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAATAVAAALVVAAAVLSPATVASASNAEGDALYALRSALTDPRGVLQSWDPTLVNPCTWFHVTCNRDNRVTRV >Dexi8A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:8A:2912790:2913965:1 gene:Dexi8A01G0003820 transcript:Dexi8A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTVPTSPLLLQRPVLALVLLLLSALTLAHGATPRRAISLRSQAAALLDWKSTLKHYSEHQLGTWSSNVHPCNWTGVTCGDVVVVPHRRPPARTPAITGLSLQGANLVGELDTLRLQSLPYLTSFDLSDNAYLSGTIPPGISSLSLLSIFNISGDHLTGEIPPTFGDLGSLTKMDLSNNNLSGRIPPALGNLSRLTSLYLFGNNLTGNIPWKLGKLQYMEYLDLSGNLLHGEIPSTLGNLRNLNTLALSGNHLSGPIPEDLGKLPMLQMLILNQNNLNGTVPSSLGNLTTLTYLYLYLNQHTGLISDDLGKLMSLIELDLSENHFTGSVPSSVAGNLTSSTYLSVWGNHITGSIPHEIGNLVNLEQLDLSANFISGPIPPTIGNMYVLP >Dexi6B01G0005630.1:cds pep primary_assembly:Fonio_CM05836:6B:5108837:5109319:1 gene:Dexi6B01G0005630 transcript:Dexi6B01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPSQGHGWPRLATAAPPTPAGRRPTKTHAGCVSSRPLLAAAVKGCCLPQTVAADRHRTPQPVVVVVHRPPTAQTYRNTPPPRWPPNPVGGSPDLWPPAAAATGEVHRNPDGRARRGRGVALGGGEGHATTFIGSSRASGATLGRRRDRERREEGRRL >Dexi2A01G0000460.1:cds pep primary_assembly:Fonio_CM05836:2A:247242:248185:1 gene:Dexi2A01G0000460 transcript:Dexi2A01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPIFGKGVGYDVDSECGTVDLKQEMDTVLMLTASRCLLGEEVRDHMHGEVSSLLHDLTACSSRPYLPTPAHRRRDKARARLEEIFSGIVRSRRSSGRAGDDLLQVLIDSRYKKDGRATTEAEVTGLLVALLFAGHHTSSTAAAWTAARLLRHGEWLRAATEELLRPPQGQGGYDGVLRTDDVLHRSVKEALRLHPVILRRARRAFTVETEEGGEYEVPEGRMPATPLVVNNMLPGVYRDPEAFDPDRFAHGRAEDKAAGELAYTSFGAGKHACMGEGYAYLQIKVILSRRSRRRTT >Dexi4B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:4B:845883:846536:1 gene:Dexi4B01G0001420 transcript:Dexi4B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDGKKSRFRCIDAARYVLAFVVTLLIVTVIVIAIQVVRRPDVLHVSVAGSTIYAEKLLLLQPPTLDLSLTILADNPSGRVRMYYHNISVHLFDNKTLPTTPNQDFYSMGFFGIPDIVVPQIRKVSSYVHANAINGSFDSNYFKFLYDDPDRQIRGVTLRLDGELVTEVSSGPKANRTVQTTYFCDNLLLGGDPNDLAFKGSPDVICKNELTTT >Dexi4A01G0022490.1:cds pep primary_assembly:Fonio_CM05836:4A:25731397:25734198:1 gene:Dexi4A01G0022490 transcript:Dexi4A01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPAGLRLDLEMVKAGGAAAPPRPAHSAAASSTLSEASNASSSSATSSVASLSLKRPRTPRKRPNQTYNEAAALLASMYPSVFPVARGAEAAPPRLLGLASALADDPGFSDLLPPFPVLDQAAFLLRDLPPPPQSPSAPVPMSPVVPAKSCPSPAAVSSVFSEFRDPAPSPATPDAAAADELGELDFDDDDGFDADSILCGVDESAAEGIDGIMGKLSMENNVADVASVSSDLPRSKMHSYLRSLMVLGLQRNINQALKRHNVDPEWWMCPAIPVKDIAPPPLVPLVAKPTVSEKKKTKKKLLDSLYKDVATAHFKKEEEWIPDFGNAGTGVLTLPETGLGLRLNTERVLKAWRGRGSVFADRNASELSLSSAHVVVKREDSDMFPENSTSSVIRQGNILKMQRKQKPCTPLPSNKNSRYYRPRVNGRFVSKAYLQQQQASEKEI >Dexi9B01G0022590.1:cds pep primary_assembly:Fonio_CM05836:9B:17291111:17291985:-1 gene:Dexi9B01G0022590 transcript:Dexi9B01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVTEQRDASFDRRRHTGTAAPLLAPPKLFLADNTGVSGESPVPPVGPVTMSPTSTLQAVTAGSPTSPAAAAAPFSRHGARSHRPAWEWEAARPARLGLAGALNGDDAPPAVAVMRGRQSSRSPAVAADDSSSTSSPRGRRWRLMSPWEMMEASEDYTRVIDRGGKNTRTTHIFDGGRVVVDGCGGAGFSAGAAGGEFLRWCHGCSKDLAQGKDIFMYRGEMAFCSHECRYREMLLLDEES >DexiUA01G0006390.1:cds pep primary_assembly:Fonio_CM05836:UA:12309225:12319990:-1 gene:DexiUA01G0006390 transcript:DexiUA01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIHAGSDRYPGGFPPLAPICVLLVSCAWPGAAPQRSPISKLLRRSGPIARPPQGRKGLAPAQVARPIVRPSWRPRKVRHRHKSLAAADQSDTPAAYINVVSPPQPPHRFLPLLIRRPHLFLRTLIWVSPQPLPPLPLVLAAYVSPRSSLTLAYNAGLLIVMAGENIKERAASAVESSAEIVSPMERAALLAAARALASAGFASAAGKLRDKVKAIDVSLSVSCPEASNPIGNWKLRSDPEGGALIILLRSSYLVCRLSCLKSLCLISCYSVSSEGFIEAIQGFPLLEKLELSLCTNIFGEAIEAAGKACPRIKRFRLSYDRFFLFKDECNSDQEAFGISTMCELRSLQLFANKLTNIGLAATLDNCPYLDSRDIRHCFSVQLDAALTAKCSRIKTLRLPNDITDDYEFEVKSPHRYDPNSNFSVVYHTYDPLEIDDDDILGDYEAYQFEDEDVDELRVHPLASHGGDGLGGRPGVRRAPGDLPSAGPHRRPELLMAADRVCHTWRAAARDEPSLWRRITMRGHEGIARRINRCGMACEAVRRSAGRCEAFCGEYAGDDGFLIYLAQQSPCLRSLRLISCNGVTDIGFTEVVRALPLLEELELSLCDNVGADGVDEVAGEVCPQLNHFRLSKRCFSYKEKNMDKDAPGIASMRGLRSLQLFCNAISNKGLETILDSCSHLESLDLRGCFNVEMNEILLAKCARIKTIKLSDDPSDDYDLQVESTRMPVQRPDCDLWDSDYYAWSWRCGMTVQSSDCDLWGSEDDYGWSWGCYDDTKYGFYLGHSYDRDDYDSNYPPLSR >Dexi2B01G0034550.1:cds pep primary_assembly:Fonio_CM05836:2B:41764587:41778717:-1 gene:Dexi2B01G0034550 transcript:Dexi2B01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKADDEGVYDSVRDGGVDSNSRQWNLNEKAEDAYHSEPEQYEAGQSSMYSSENTHGQHARRGGGPWGTNFLKDSRSKQTAEEIPSNRGMDAAGLHDDMDGSGEDDELNRANGEVPAEEMLSDDYYEQDGEEQIELLHRGGTKQASCSTSGGAAKSASRQKKKTTKYNAYADDDDDEYNDENDDDADEDDPDDVDFEPDSETDKAADKDKLVDSENSDGDNDDELELSDDDFAENRRQPKRLKVIATKTSKGRKLPMQNQRRRGMSHSDEEYSSGKESDVPSDTDFNHRLKKPDRLYQKPVSRNDVAPTNSQNELRTSGRRRTIKKISYAESEESDDSEEKSAKQQKVSGFKKVLNYTKRVAEEQRYKRALSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKADGDDLIPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATAILGKSVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPNMNVVIYVGNRASREMCQQYEFFSDKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVEKYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQMVKMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKRNLESLDIDEILERAEKVETKGGEGEEGNELLSAFKACDVANFSSGEDDATFWSRLIQPDPADMVQIVTTDFLQETLAPRAARSKKSYVEDPQLDKNNNNRKRRAAEAQEKPRRRSGRTGEPVVSLPLVDGAVAQVREWSFGNIPKKDASRFVRAVKKFGNATQIDLIVDDVGGVLAKAPHEAQIELFDLLIDGCQEAVKESSDVKGTVLDFFGVAVKPYELLGRVEELQFLAKRIARYKDPIKQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGFGNWEKIRLDPKLGLTTKIAPATLGERETFLPRAPNLDNRAGALLQKEYAKFSGKSSKAKAGARQTVNNDSNSGSRSMRGRQKDAQEKDDNKVNKDDMQKRKVVEAEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQETLKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGDKIGEVVRQHSESYRQSRMTMRLWNYVATFSNMSGEQLHELYLKLSQDLDGGVGPSHGGNFASIPPNKGAWKRRRRADSDNQYETQPLYQPPPMMTNGNRLQESSSSAGILGWGPAEMRRPIDSVLVLRQPVALLMVPWRMEVSLAEMVWAMLGGWVSSFLTIADDVARSLRDGHIAV >Dexi1A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:1A:12396642:12397753:-1 gene:Dexi1A01G0012420 transcript:Dexi1A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEEAWCRETVPRVMELVSPRLPQRDVCLLLAVSPWCYRALVANPRLWEVSSSQSTEP >Dexi7A01G0021340.1:cds pep primary_assembly:Fonio_CM05836:7A:29977590:29977820:-1 gene:Dexi7A01G0021340 transcript:Dexi7A01G0021340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAQPTHAAGSNQDALVLPVEVITEILARLPAKSVGRFRCVSRAWCAMLSADYFVDLHLRRANRPDHPRRSSQR >Dexi2A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:2A:15142434:15143495:-1 gene:Dexi2A01G0012880 transcript:Dexi2A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSCRSGGGDKPDVDRIKGPWSPEEDEALQRLVARHGARNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTAEEDDTILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYYASVAATATAGAPADAAEDERPLKRTSSDGHPGLCFSPGSPSGSDLSDSSHHSLPSVMPSAAAAAPAVTSQQQQQQHVYRPVPRAGGVVVLPAPRPPSPPPQQAPPPPATSLSLSLSLPGLDQQSNPSPSPSPVQMHQKQQPAPSQMPPPPQPAQPSMPFQLHPPAMNLASPRPPPPPSPAPFSADFLSMMQEMIRIEVRNYMSGSGMDPRADAAVHAVSKRMMGMAKIE >Dexi1B01G0002030.1:cds pep primary_assembly:Fonio_CM05836:1B:1719518:1722748:1 gene:Dexi1B01G0002030 transcript:Dexi1B01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYKGKDLQTNDTVALKKIRLELEDEGVPSTAIREISLLKEMNHRNVVRLQDVVVMNNEKCIYLIFEYLDLDLKKHMESSQDFKNHRVVKYFLYQILRGIAYCHSHRVLHRDLKPQNLLIDCRNNVVKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGAKLYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEETWPGIASLPDYISTFPKWPSVDLATVVPTLEPAGIDLLSRMLRLDPSKRINARAALEHEYFKDVEVA >Dexi5B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:5B:14858962:14863683:-1 gene:Dexi5B01G0016490 transcript:Dexi5B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDWLKLEDIYASLFLVKCDAILNRANHWQGEKQTKMTKFCSGICLFFVLICVIWAPMLSNWYVLLQCLKTLLYLPKFQIYSSGNPTNIANPIIDVSIKIDIKALGGRLTLFKTTACEKIPWKYLKAYNDVDPLGYLGTYNVDDIQLICCQPDASTMWLIPPPVQSRFIQSLEREIPFEKMELILNWDFLRARPKGKELVQYESPIEHCPSVDDVKRVLNGTTNSFNIIDAYPKYFRVTGSGEVRRLEAVIDSVSGALLLNSGTPPWWSFYTNPSDLVGCQGLNGPMAIVVSEETPPVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >Dexi9A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:9A:5431720:5432895:1 gene:Dexi9A01G0009160 transcript:Dexi9A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRSGGRQGGRPAKRKRRHLYLVLDDWSWGYSIRKVDLSSDCYSGEPWQQHTAISWKGAEQRLPQAVFRIEGRPGYPNCFAAAFGTKIIAMTPNGDERDATHPLSPSCVAPVFDVRKRLFAFAPRPRMDVVDPIYFYVDARLFALCYGSFKLLHPPPLEEQGDCWEPQSWCELPKHPFKRRNVTSYAVHPDGRTIFVSTKRRTSAATFTFDTAEPHLKWKQHGKWTLPFTGRSYFDSELDAWVGLNSDPGASGHICASDVVSTDSGSIDGQCLALKLSKEKVLSEDPVEDHVGATLVYMGGGSKYCLVQSVSINDDYVDKRNFYKVQELQDEELDETQPLHHLFRLTTFSLKFDKDGHLTTGSSQRVRYYKVPEAATDYALENPVAFWM >Dexi1A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:1A:11515402:11516079:1 gene:Dexi1A01G0011990 transcript:Dexi1A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPWGNFYLTFPLKDNKTEVERLIDIYNNTLTELHGMGARKFGIINVGLIAHLPAMQMSRYSSESSGLNRRAAEFNAALETCLSNLSTKLYRFRCSLTDFYGFSNSIFANPMATGFRDTKNACCTGLCAPYTYDDVCSNRMDYWFWDDLYTTEKAAKLAATAFYSGKAFTAPVNIKRLIAMNG >Dexi1A01G0026880.1:cds pep primary_assembly:Fonio_CM05836:1A:32756034:32764118:1 gene:Dexi1A01G0026880 transcript:Dexi1A01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLVLPLPTASRHAAPQTQTPPLALLPSRASRLHLASARSPARAAASVSDDDDDEDEVDVYDDDDEIGIRDADDEDYDEYEDGDEGDEEALEDEESGGEEEGGQDEGGEREDTSARRRESEEFKSRRVAKLVAEVREFGEDVIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWISQIHGKTELVTSNKRPVPLTWHFSKKYALQPLLDGKGKKMNRKLRVSNFQNLTSQKNDFYYVKGKRRLRTNKNEQGRSPLDMSKQVPLIRDTLSQLWESDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELRRFKMQYPDAVRENAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHTVLVQTPYEGPEECCDIIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDLKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEEIERIQQEIQYLSSEITDESIDRKCREELSEEDYAEISILQKRLKEEKQIRNELKKRMELERMAAWKNRLEEFDSGHLPFMCLQYKDKDSIQHTIPAVFIGNFKSFADQKIANMVEEDSLGSGKHKIGGGEQHYCPSYYVALSSDNSWYLFTEKWIKTVYKTGLPDVPSVEGGTLPRETLKQLLLREEMMWDKLANSEYGSLLSTDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECYKQQRRKVSHLKKTIKNTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIKQIEPTGWKEFLQISNVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLVDLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVVTGVISYLEEQRNSLIDLQERHNVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACSVMDRVPISELAG >Dexi9B01G0031530.1:cds pep primary_assembly:Fonio_CM05836:9B:33875124:33876441:1 gene:Dexi9B01G0031530 transcript:Dexi9B01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGASVKVIARDKITDATAALFTDSIEVPERFIRADEVEAAGAVVGEDETFELPVVDMASLLDPQLSATETAKLGSACREWGFFQLKNHGVDEAVMQQMKESAAQFFSLPLETKNTVAVRGDSIQGFGHHFSGASSDKLDWAECLLLFTHRVQDRNMDFWPSEPPTFRHALDRYSMEIARVTKRLLGFMAADLGVSEEALQGAFFSGDGDDAVKGQSMSMHHYPPCRRHRDKVVGIPPHTDSPALTLLLQVDDTPGLQIRRGGRWFPVRPTPGCLVVNVGDILDVLTNGEYGSVQHRVVPDAERHRVTVAMFHDACVGGKVAPLQELLPLKGDEGEARPRRYPSMGKVEYENGIAGAVAQGKRFLDSIRM >Dexi5B01G0032110.1:cds pep primary_assembly:Fonio_CM05836:5B:32748624:32749247:-1 gene:Dexi5B01G0032110 transcript:Dexi5B01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQSSTRVLKQACIALAFLLSATSTEAADRPARHSPPALSQSSGQTITLYTAGHTSPKATAASSHHAVFTSEGSIGHYGSWLRALTRPGALRPGTVAVVDEELRGRKEFGLPLGGRLQGVLVTSLADNSSHMVAVKASFAGDDADDSLRFFGIRRDDQVESHIAVVGGTGRYSGAAGFAVVRAADEPETGGNVSSSRVLSFSVHLK >Dexi8B01G0003110.1:cds pep primary_assembly:Fonio_CM05836:8B:2283184:2288490:-1 gene:Dexi8B01G0003110 transcript:Dexi8B01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPWRHVTAAPARPFHLPKHPRDPRPSRSAFSNLVAGYRRPHAIGVPSFYKWLVGKYPNIVVPAKDDDHGGTGEAGPNGVFHNLYLDMNGIIHPCFHPEDQVYPPTTFDEVFEAMFEYIDRLFRIVRPTRLLILAVDGVAPRAKMNQQRSRRFKAAKDAKDAEMEEKLLREKFRAKGKEVQPRETNEVSDPNVITPGTEFMEKLSTALEYYIRARLNTDTGLKGIKDVLRLTQQENLIPLAKELFKNEEPQKCRGWFPRATETTPRSKPLKKPYQFLNIWVLREYLELDLKIPNPVIKTNIERLIDDFIFICFLTGNDFIPHIPSVEMHECAVDLLMQVYKQSFNKMGGYIVNTEKLQDKHAAYLKVSRLEKYFHELSLYEEKIFLKRYELRERLQRNILCRAAEEECNERNFENMEGNPDGLDLTVKSFSTQCGVSACSTEKSDITANTLELRQSLKDILRNKQDLIKSGTCKHDTIRLGLPGWKSRFYREKFDVERYNEVGKLKNDMVQKYLEGLCWVLQYYFADVPSWSWYYPFYYAPFASDLKGLSQFKISFTMDKPLKPFDQLMAVLPQERHFDHSFSCALPKCYRKLMENEESSIQKSDLQVDTHGKRFLWQGIAKLPFIDEKLLVSATKTVENELKVHEMSRNTVQQDKIFMRNSNTLATNTASVQISDYSSEKLPIDPSTSELGGWLPRVDNSGTSCGFYRSPISHLEDIRNDQTVSFVFFNLEPAKIIPRLLEHVEKPEKTVTEADISTRPLWHTYQGSRPPTTITATAELQPRISGFGRGRGRAMAETPQWSGNNGRGFHGPNTAQSRGSSGANTFWPGGRACAGRGQYGGTIQRQQQAWRPVGSWARGDGGDNGRGHGGSGQPRSW >Dexi9B01G0003280.1:cds pep primary_assembly:Fonio_CM05836:9B:1868886:1869868:1 gene:Dexi9B01G0003280 transcript:Dexi9B01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREGRADALAFLALDTYPAPTSPALRDSSSDTFTLMNDVHFDSPDGDGVDGAPLPQLRPAFITSAAYLQRKAGAAAVADAHAERLPLPATATSTMLPWCVAPLRRFAADHGSVARHADTDPAPSDPSHLLGTVAAELGHQ >Dexi1A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:1A:3486908:3492732:-1 gene:Dexi1A01G0004790 transcript:Dexi1A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAPSPASELPFRISFSGHGGHLRLDPTPHPPSPISEFVPPPAYPPGSPSSVKEYLEANYLNPELHLPTAADGGRVWDVDWFAMARPPLEPSAPRTMLVPAWEPPFRRGRGTSQSASESQVWDPESVQMEMGEVFGSGTGGIAPRMPGPAKDFVRGSINNRPFRPGGLLDDDAEAAALEKAFPEGARNGDWVRELMTGGPAQVAPPGFRKGLELGQLKEYKSHWRCFRNGELVEDQPASSSNDTMEKYSVQFDDLFKIAWEEDGANKALQEDGVQHLDEEKGTEGVGEQKIDVLQDASETITKLDAEKHVLDDDPETQTDLDLVLSSEVKDTRRESGVSGDNKATQDGKVWALVGGDEDIVTDFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPIPLEHCLFYSGETYKICERDTFLTQGFREAKDAFKKKNSNKFGVKPGPKSGTPAVVIFCFSKNRCDRSAESMFGADLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVAVQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVMV >Dexi2B01G0032710.1:cds pep primary_assembly:Fonio_CM05836:2B:40548610:40551826:-1 gene:Dexi2B01G0032710 transcript:Dexi2B01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDYVPATPGSRWVGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGSVSGYSTSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQMRPAYPSADDGVWAAGGGHYGSKREKPLSGGFIRWTCLMLHRLAGLLRQVSMNSIEGVPFIPPRQATTDHHTAAARGPSSASIKLPADLRSPEDGVVPANKMEVSTPKPEAEASAEPPEPTEEEVIEALYTHHPGHRRLPIFREICPE >Dexi5A01G0014220.1:cds pep primary_assembly:Fonio_CM05836:5A:11133812:11137795:1 gene:Dexi5A01G0014220 transcript:Dexi5A01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTKEEDEILARYIKEHGEGAWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRAADFRDGVVVDVDLSKLPGGGKRRGGRASRGIMAAAKAGKEKKVKERVKQDKGKNKVAEAEQQIKELEDMAMSTPSSHSHSHSQPCAADQSEEQAQGSASSSGVTSDHGPEEEEDPLALSEEMMSALLGPGSPKLEVGPVEGSCMVDSDSNSGPGGPTGDVAQELGDKAIMDWDLMGLDISTADDMWDSLVWDYADMDLAVPDGDNQQQHEEVMSDLFFLDNM >Dexi6A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:6A:7401297:7405340:1 gene:Dexi6A01G0007470 transcript:Dexi6A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASISDSETTNRGSMWELDQNLDQPMDEEASQLKNMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDEDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQVLSASGGIRVQNQNMSTGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVIFLVLSLTVELPYFTACINKVDQGGWVPLVIAITFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFVMKRIGPKNYHMFRCVARYGYKDIHKKDEDFEKMLLDRLLIFVRLESMMDGYSDSEDFTMMEQKAERSTRALLTEKAGSNTMSSIGDMSYSSQDSIVPAKSPLAGNSLTRYSSQTFGDEQEFLNRCKDAGVVHILGNTIVQARRDSGIVKKVAVNYVYAFLRKLCRENSVIFNVPHESLLNVGQIYYI >Dexi6A01G0014330.1:cds pep primary_assembly:Fonio_CM05836:6A:21706791:21707497:-1 gene:Dexi6A01G0014330 transcript:Dexi6A01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCSSKSLTRCDAGMGGTPTSSRGVSPSSFNPVSLPASIAGIWIRAARTDAPEETNRGADVDGLDVELVDDERVKAGAGDDGAEEALEWLVVAAQEFDDEEERDTGFREHEHSSCGLS >Dexi5A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:5A:7641442:7641946:-1 gene:Dexi5A01G0010030 transcript:Dexi5A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSISSLCASLSSVLDHADSSSRELADLVSRRPIHLGNLHPRLSSESGTAAFLQKLNRLAEAANAEVEHLESMVLGAVSFEELLGHCGEALNVYARHHEDIESRLVSFGYEPPSNISDPHRPFPKLCSICFAMLRTDVGGALTQS >Dexi8A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:8A:4756583:4757134:-1 gene:Dexi8A01G0005270 transcript:Dexi8A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSSRFIALTGLLTAATGLLLAATSPATAEPWDQKETRLRVYWHDVLSGNNATAVTVAEGPLTNTSATQFGKVIVIDDALTLEPNLTTSKIIGHAEGIYVSAGKDTLSLMMAMNFVFIDGPYNGSSIAIFGPNFAERKVREMSVIGGTGVFRFARGYVEIMTWSNTVDTTVQYDIFVRHDD >Dexi1B01G0010630.1:cds pep primary_assembly:Fonio_CM05836:1B:10330704:10331135:1 gene:Dexi1B01G0010630 transcript:Dexi1B01G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAASFALYILLLTLCSRVAEAGGLAGHPVDASDTPYCGRTFIPSPGSGTCDDTKCWGSAMTNILGHPRSLMWVALAKRQLLVFVRWIVSETTSAYLPVPYADGAC >Dexi3B01G0022310.1:cds pep primary_assembly:Fonio_CM05836:3B:17152608:17155139:1 gene:Dexi3B01G0022310 transcript:Dexi3B01G0022310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSKLYLVCYNSLQSIGWFIALLRLLPCLASPVSAHSAYAVTGDLICFLQTCAILETVHAAIGLVPTAPLLAFLQWGGRSHFVLAIVRQIPEVQSSPSVLITFMAWSISEVIRYFHYAMTTLKVCPSWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKKRDLYSSFFKKFSMSYHSFLVGVLVCYPFLWLKLYLHVFKQRKSKLGKGSRKKRV >Dexi9A01G0028820.1:cds pep primary_assembly:Fonio_CM05836:9A:33538438:33539736:-1 gene:Dexi9A01G0028820 transcript:Dexi9A01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMDRMEEEDAGPVVFRLFGVDVLRRVAAVEEPDEDGDAFGLKKSTSMPIIAVPSKDPPLLHGGEAKEYASDDLELFRQQKRRRRKAQERKKGVPWTEEEHRKFLDGLRQLGKGDWRGISKGFVPSRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGIADFSDDQVPSPPNNATNKPAPPQEIIHTDRGDVPIPGYRGFGGILGHSIQVNELTNYFMTPMAHAETSLASMASGLETASSINSMEFSIAVKNLELSIAPPDRCGCGGAAGAIKVL >Dexi9A01G0039200.1:cds pep primary_assembly:Fonio_CM05836:9A:43262171:43264640:1 gene:Dexi9A01G0039200 transcript:Dexi9A01G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSQVVAAEWAWWIGLLLGAIPLFALAVWYANDAGHCAAFALKRCWRRRLPLPPGHMGLPFIGESLSLLWHFKLARRPDGFVDAKRRRYGDGAGVYRTHLFGSPTVLVCSPAANKFVLQSSQDAFGIRWPAPELVGVSSIVNVEGRKHARLRGFILAAINRPGSLRTIAEVVQPRVVAALRSWAHKGTITAATEIKKVTFENICKMFASMDPSHLTDMIDECFAGLVAGFRAFPLDLPGTAYRHARACRKKLDAVFREELQRRRKEAVEVDDGDLMSGLMQMEDELGKKLCDDEVVDNIVSLVAENAAISRDNNGGFITLDDIPSMKYTDKKPPKPGTYQVFGGGPRICPGNMLARLQLTIMLHHLAVGYKWELLNPDAEISYLPHSKPVDGAAMSFSKLNSD >Dexi9B01G0012580.1:cds pep primary_assembly:Fonio_CM05836:9B:8454559:8455510:-1 gene:Dexi9B01G0012580 transcript:Dexi9B01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMHGCPSPAPTPTTAAVPPLLPSSASISSFIASDPALTLLHTRCASMAHLRQLHAALVKSGLAKDPIAASRAVSFCCAGRDVAYAERIVRHHPRPNSFMWNTVIRALSDGARPEAAVALFAEMLASPTPPERRTLPSVFAAYARLGRARAGAALHGMALKLGLAGDAYVRNAAIAMYASCALADEAVALFDQCPEFDVVACNSVIVALARAGRVDDARAVFDGMPKRTVATWSAMASAYARASRRGEALALFSAMQKAGVEPNTNVLITVLGCCASLGALEQGAWVHEYIDHHGVSMNALVVTALIDIAAP >Dexi6B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:6B:6959409:6960166:-1 gene:Dexi6B01G0006360 transcript:Dexi6B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYNLRGWFEGSGKPKSCGQRVMNMTLKLATSISVDKEKIFWLSKILETEYAKADKVMECLVETTSSFICRPYEFQRTREWPNLDPLLRYAQVFYIADFGFAIVFMHMVTELHLSKYPCSDIEADVAADIDVLVEVCQKLSRYMMYLLLTLPSLLPLNASAVATLNKWQADMSENDIMTELKGFDPQPGKEALEEIRDIWVRLTIYAAAKSQPEMHAAQLARGGEPLTFDQAYP >Dexi7A01G0010480.1:cds pep primary_assembly:Fonio_CM05836:7A:21266059:21269420:1 gene:Dexi7A01G0010480 transcript:Dexi7A01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLPLFSLQFHHASHPSSGSVRPAARNPARPFPPHQALPFLSRPVASKMEAEYPHHQAGPSSSTTTTTLWPYATRRRKRGEDGDDDLSPASVAMDLDADAQRAADKLKAVSEELGHQIRVFSSEKFAQQPNKLPSADHEEDDEFYELQPADYFNLISNRMAEQSKMLKTRKMREAELAAQRAKRTKAVMRVRFPDGYILEAGFPPSERIHNLVELLMKVLARPDLPFYLYTVPPKKRILDTSQDFYTAGFVPGANIHFSYDLPEGSLLNTDDLKAGPFLREEIRSLDGLSLLLKPASQPDDSRMDSSSLQSVVPQSDTAPITNKKPGKPKWLRR >DexiUA01G0015890.1:cds pep primary_assembly:Fonio_CM05836:UA:34027587:34029042:1 gene:DexiUA01G0015890 transcript:DexiUA01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMSLASAAANCRCSRVVFVGNIPYHASEAEPRDACELIGPIRSLRLAADQATGKRKGYAFVEYADDETARSACRNLNGHHLRGRELRVGLAARHRRRGDREPVGLEDAIHAASLVSGNTPPLDSVTRFLATRSVPELREMAAAFESAGAETLKLLKEHVPGLAAVVEQVGHLLAMAAADEAAEEAKSKKRAAAAESDDDDRRAKIGKVECGGFKDKIALEP >Dexi3A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:3A:9801806:9806649:-1 gene:Dexi3A01G0013490 transcript:Dexi3A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGRDKGPAAAAPPPQLESFLAIGLDQRTAENALANRKVTANLTAVIAEAGVTGCDKSVGNLLYTVATKYPANALVHRPDLIKYILLEKIKNSAQLDAALSFLSTLGPDSLDRVKFEEACGVGVVVSFEEIQSTVTDVLNENMEAIVEQRYRINELTVICSFFFPVGSLCGQVRKKHPWGDAKSVKEEIEKKLVEILGPKTEADNAKPMKKKKEKPTKVEEVKTVAAPPSEEELNPYSIFPQPEENFKFHEIQNGAYMLSHAQAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVTWMGWEPYKVTYTSDYFQDLYELAISLIKKGLAYVDHQTPEEIKEYREKKMNSPWRDRPIEESLKLFEDMRHGLIAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNRLVTDKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNRVASRVLVVLHPLKVVITNLDYGTIINIDAKMWPNAPDNDASAHYKVPFSRTLYIEQSDFRLKDSKDFYGLAPGKSVMLRHAFPIKCTEVICGDNPDSIVEIRAEYDPSKATKPKGVLHWVAEPSPGVEPLKVEVRLFEKLFLSENPAELEDWLGDLNPQSKEVVKGAYAVPSLANAVLGDKFQFERLGYFAVDSDSTPGGLVFNRTVTLKDSYGKAGPK >Dexi5A01G0036650.1:cds pep primary_assembly:Fonio_CM05836:5A:38090153:38093237:1 gene:Dexi5A01G0036650 transcript:Dexi5A01G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVDVPAPAATNGGACCHAAKGPGYATPLEAMEKGPREKLIYVTCVYNGTGINKPDYLATVDLDPNSPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRAPSLHKVVEAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAIDVKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPFLAGQVWVGGLLQKGSDVVYVTDDGQEKQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGDDLVKKGSHMLQIDVDTENGGLAINPDFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >Dexi5A01G0011710.1:cds pep primary_assembly:Fonio_CM05836:5A:8813235:8814662:-1 gene:Dexi5A01G0011710 transcript:Dexi5A01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGSNNDLHVRVVSRRLVKASPHSTSPHVLAVSNLDLVPQPIQTTMFCIYPMIPTGDFTAVVAAFEANLPSFLDHFYPFAGRIATDTTTGLPELHCNNQGAELVIGEADVALASLDYGTTAASVRRVQQPYSQDIALSVQLVSFACGGFAVAWCTNHVLADGSSLSMLVTAWSELARSGTLPAASLPNHDRSNASFDEELTPLDDAERQVNVLTTRESFVDRLYYIEASQIARLREAATSSREDGGRRATRVEAVSAYIWKALAGVVGTAGDTSCRMQWWVDGRRRLMKTRPEAMRNYIGNVVTSAVVREASVDEVQRMELADVAAMEERLQQLVDWVEEHKARRYVETASLGLGSPTVGVTAFTSFPLDTDFGFGHAAMATAASSQSQTARLCSGFFQITARPSGDGSWIANAYLWPRLAATLESDEPCVFKPVTAEYLGLSAPQVRHSRL >Dexi4B01G0021780.1:cds pep primary_assembly:Fonio_CM05836:4B:23659465:23664100:1 gene:Dexi4B01G0021780 transcript:Dexi4B01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLARMKRIKLAWLLLVFVPHVVLGTHDVYIVTMEGDPVVSYQGGIEGFPATAVDLDEEMDVTRHGPFVFTPNLLICSEAVTSYSLHLRRHHDNLLDSLFVEGTYEKLYSYHHLMNGFAVHMSSLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSSHKTDPYGPVPRYKGKCEMDPETRRSFCNGKIVGAQHFAKAAIAAGAFNPDIEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVADVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGKLLSGLGLSPATHGNKSFTLISAADALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTAKSLGAAGFIVAVENSYPGTKFDPVPVSVPGILITDVSKTTDLIDYYNSSTTRDWAGRATVFKATAGIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDESNYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTANTLDKGSHPLRAQQYTASEMMTLSRATPFDCGSGAVNPKAALDPGLVLDATHEDYITFLCSIPDVNHSEVSNIAGSSCNSSSKGQRPFDLNIPSITISQLRGTETVKRTVTSVSEETETYSIMTRMPPEIALDVTPAALTVLPGASREITATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >Dexi7A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:7A:20042283:20044407:1 gene:Dexi7A01G0008830 transcript:Dexi7A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSAASCTPTAADDGSATSLPGLEHPLLHAYGGEARAKEPAEPDHEAQSFGPEPGGGASFVRTCFNGLNALSGVGLLSIPYALKEGGWLSLALLLVVAAVCCYTGQLLQRCMEAAPAVRGYPEIGELAFGRGGRLVASAFLYAELYLVAIGFLILEGDNLDKLFPGTRLGVLGGVVVSGKQLFVVLVALVILPTTWLRSLAVLAYVSASGVLASAVMVVCVLWAAVADGVGFTAPGTRMINVGGLPTALGLYTFCYCGHAIFPTLCNSMKEKKKFSRVLVICFAACTLNYGSMAILGYLMYGDDVQSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPLATAIEERLLAGNKRSVNLLIRTLLVVSTVVVALAVPFFGHLMALVGSLLSVMASMILPCVFYLKIFGVARCGRAEVALIAAIIALGSLVAATGTYSSLKKIIHEF >Dexi9A01G0021050.1:cds pep primary_assembly:Fonio_CM05836:9A:16046430:16049711:-1 gene:Dexi9A01G0021050 transcript:Dexi9A01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPPAPAGLLLLLVVALAAGAANAATPADALLEWKSSLGDPPELSTWTNATAASICTGWRGVTCDASGRVTSLRLRGLGLTGGLDVLNATALPDLTSLDLNGNNLAGAIPLSLSRLRALATLDLGSNGLNGTIPPQLGDLSGLVDLRLYNNNLAGAIPYKLSKLPKIVHFDLGSNFLTNPDKFDPMPTVAFLSLYLNNLNGTFPEFVLGSGNVTYLDLSQNTFSGQIPDALPDRLPNLLWLNLSTNAFSGRIPGSLSKLTKLQALHVGSNNLTGGVPDFLGSMPELRVLELGGNPLGGALPPVLGRLKMLQHLDLKNAELVSTLPPELGNLSNLNFVDLSGNQLSGGLPASFAGMRKMREFGISSNNLTGEIPGALFTAWPELISFQVQSNSLTGKIPPELGKATKLGILYLFTNNLTGSIPLELGELVNLKEMDLSMNSLTGPIPSSFGNLKQLTRLSLFFNRLTGNIPTEIGNMTALQFFDVNTNQLEGELPSTISSLRNLQYLALFDNNLSGTVPPDLGAGLALTDVSFANNIFSGELPRRLCDGLALQNFTANHNNFSGMLPPCLKNCSELYRVRLEGNDFTGDISEAFGVHPNLNYLDITGNKLTGRLSDDWGQCTNITLLHMDDNRISGGIPVAFESMTSLHDLSLAANNLTGTIPPELGDLGNLFNLNLSHNSFSGPIPKELGNDSTLQKVDLSGNMLNGTIPVGIGNLGSLTYLDLSKNKLSGEIPDELGNLVQLQILLDLSSNSLSGPIPSNLVKLMNLQKLNLSRNELNGSIPAGFSRMSSLETIDLSYNQLTGEIPSGNAFQNSSAEAYIGNPGLCGNVQGIPSCYSSASTLSGHHKRTVIEIVFSVIGAVLLAAIIACLILACRRRPREQKVLEASTSDPYESMIWEKEGKFTFLDIVNATDSFNDSFCIGKGGFGSVYKAELTSGQVVAVKRFHVAETGDISEASKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYSEEGKKKFDWGMRVKVIQGVAHALAYLHHDCNPAIVHRDITVNNILLESEFEPRLSDFGTAKLLGSASTNWTSVAGSYGYMAPG >Dexi3B01G0028810.1:cds pep primary_assembly:Fonio_CM05836:3B:27382426:27383812:1 gene:Dexi3B01G0028810 transcript:Dexi3B01G0028810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGCCCRRLRPLYFLRRSIHSSAPAPADAGTDATLLGRLTRLLLLHRFSAAARLLSSSGPLTPALLSAALSRVRLDPDAALHLFRLAPAALSRVRLDPDAALHLFRLAPSRPSLLAHAQLLHILARARRSSDARALLASLLSARSRAPPLFPHLVEVYKDFTFSAAAFDLLLRALANAGHLDGALQVFDEMSKLGCRPTVRSCNSLLNRLTQVGDLGTVLVVFEQMQRAGALPDEFTVAVMAKAYCRDRGVAHAVEFVEGMKKMGVEVNLVAYHTLMNGYCEVGQTEDAKRVLESLPSRGLSPNLVTYTLLVKGYCKEEKMEEAEGVIKEIRKNKHLEVDEVTYGVVINGYCQRGRMDDASRLCNEMINAGLQNGGST >Dexi9B01G0034490.1:cds pep primary_assembly:Fonio_CM05836:9B:36428233:36436219:1 gene:Dexi9B01G0034490 transcript:Dexi9B01G0034490.1 gene_biotype:protein_coding transcript_biotype:protein_coding PATISPERSNASPPSPPHSPKPHNSSSPARSLRPRNLERNPPLPAAMAGVSGGSGGDTEMGGWPELLHTSTKLLEQAAPTPHFPTLQRNLDQLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATSVEEYLQQLHEVAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNISTSGLARPALMPPSTSSPHASSGLPSTGVMPIPNRTIIENKSSIYAGVVRDLNDARGRSLPFNPATAFRAAYESLSVDAVGTKSVTMQKMWHLIQALVGEGLTHRNASRKMSLVIGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFMRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAHNFAPLLADWISTNGAVSQETALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALRDYNSASSSNVLNDGLVPYTLDDLQSYLNKFEPSYYTKNGKDPLIYPYVLFLSIQLLPAILYLSKEVGEDGYHVDAVHISIALADHGVLPDGVGSGQKIGVMDACAEAASIIRQYGSIYLRNGNIDLALEYYAQATAAMGGGEVSWIGQGNADQQRQRSLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKIGGHELPITPHVRCSADCDDLSSSFFCWWRSFAAAWRSSEAEEMGNGNIAAVGTAVEIYKRVGAFAMALQIINKCLSDAVCAMARNMLDGESRATALIHSGNEILEAARYSSEASLQDKDLISEQQTVLRQLEAILNIYRLARAGQTVDALRETIRLPFLHLDPQSPNVTLDIFRNLSPHVQACIPDLLKVALNSIDSVRDTDGTLRTVKSKVSLVFHSFIANIVANNMSRNWPQDLYQNVAQCI >Dexi9A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:9A:3359809:3364555:-1 gene:Dexi9A01G0005990 transcript:Dexi9A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSQYGGSSAGGAGGKIRRRPPTRGASTPYERPPAAAAAHRLAAAAAAASASSGESGGGSGSGGWVSRLVDPASRLISGGAARLFGSMFRKRLAPPPAPSSPPGEPRNNDPTQDLPDSTNIDSPPIQEVGMGKGKNIAAPSNDKALSEVEHLLMRKAFTKVEFDRLTDLLRARIVEPDPPTSIVSHKEKNEDGFRIDGIGGSSSHQMTADESPMVKGLSSSDLNTSSMSQRAQSFHVGGRQVLKRRGGDLENEVGSIGPIRRIRQKTNMMPPFRDVRANPRGKLLTSRTSGSDFTEDSTSIQESPSSKRLLLGTSQSVKPAESHKNTGDGKSTDSVPPIPAQSNKMAEKIFEQLNIIAPSPKEKHSVTGHASNSMSKKPALQHSGPSSMNDPSSSLKFQDLDGANGPPDPDLNGSLLKKDKLNSVKDGSSKVALSDKPTIFGNSVSASTSRKPSFKMAVMEDLADLDDDLEVAIPSKSPSKIEVETTEPKIDSIRKDQKVGENIFERKVESNLMNKNIAGSPVSEQPVASLSKTASSSGGLLLSNDRGKAVPNSSVDNNSGFAFSSVPPGTRPATSVSATPLASVNDDKKTGASISIFGLKQPITSDLETSTVKNKSTLGQS >DexiUA01G0003760.1:cds pep primary_assembly:Fonio_CM05836:UA:7791266:7794333:1 gene:DexiUA01G0003760 transcript:DexiUA01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADAPSSPELDKTQNSIMTNLSSIVGTNTWNSTIPVCNWPGVTCSRSGSGSSLVVTNIKLSNCGMSNKSIFASICSIDTLQSLDLSRNSFTDLGDLTSCRMKEGLRSLNLSSNSFHQNVEISTVGNPGLTNGTGNNTTSTVKKKGHTVVLVIFTLAGALVGLCLVAVIVVMSLSKRIYRVEDGEGVFHIINGRVVMDNNQTSATEFTNARPDNWQITTFQALNFDHADIHHRLMEKNLIGSGGSGHVYRVTYTNRYNSHNGVVAVKQIRRVGSLDEKLEREFESEASILCNIRHNNIVRLLCCLSSAESKLLVYDYMDNGSLDAWLHGDVHYAGHSMARARSVQRVPLDWPTRLIVAVGAAQGLCYMHHDCSPPIVHRDIKTSNILLDSEFRAKVADFGLARMLVQAGEPNTMSAVAGSFGHMAPEYAYTRRVNEKVDVYSFGVVLLELTTGRKANDGGELGCLAEWARHHNQSGASILDATDKSIRYAGYPSEIETVFRLGVQCTGTLPLTRPTMKDVLQILLKCSEQTLRKSRMEFSMEY >Dexi7B01G0006360.1:cds pep primary_assembly:Fonio_CM05836:7B:14080250:14082682:1 gene:Dexi7B01G0006360 transcript:Dexi7B01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGGGDSVPSSRCFLPELQLLFLPIVALLSTSPYAHASLASRARNHEIFRRVACPLGYIAHGREKEAATKQSALWETMEGGKDKHGDGDRGLVSDIMHSVASGQQGYPPQGYPQQGYPPQGYPPPPGAYPPPPGAYPPSGAYPPPPGAYPPQYGYPQPGGYPPQGGYPPTGYPGSSYQSHGGNHGGMGSMGTVLAGGAAAAVAAYGAHELSHGHSGRGGFGLFGGRGGGRGRGGGRGRGFGLLGGKFNT >Dexi2A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:2A:5305718:5309026:-1 gene:Dexi2A01G0005510 transcript:Dexi2A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQPPKHRRSITVDGFEDRSTATADGSRSGGIDGEDCPNPKRSTLVVELVASLPDDSIVEILSRVPVRSIHRFKCVLRVWRDLITNPLHRKRLPQTLEGFFYSDVEFHSGCADAPWNYRRHAHRSFISLSGRSTPFVDSSLSFLTSHPWVRNDIKLLDSCNGFLLFANGKVAEAYGTLGYIVCNPATKQWMAVPSSGLSYPASPAGVSLTYLLIDLAMSPHFHLVQIWQNGFWGEIEVRTYSSETGVWCHRSSDRRQWIEQGGWEEWVNGAAMLTSTMGSAIIKGMLCFVIFDMVKSEYQIAAVDREGKTCRNIPLLPADKHDAGSALLIGQSQGFLHCVREHARREINSPEWAGLSVWVHKDQENNEWELKHKVSFYKLFGKTSCHDGRDYRVLAFHPDHNMLFLVQHLPMKLISYDMDSKKLHAFHTPRSSHGQFTPYVPCFLESPVLANKE >Dexi4A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:4A:7256756:7257805:1 gene:Dexi4A01G0009210 transcript:Dexi4A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAASSAFILALSSATPGARRRAPPFPIGGANLSFPLWAPPPPPRARRDAVVVRAEVGPGGKDAAPPERSTDAVAADADASSSRQPRARRKTVYKRKDPVQPVGRYVSRDAAAVSSQNGALAGGEIKAIFAAPPVSIVKFEGPDYTVILPTEDTEFRTPPASIPKPDTDGNAEVAEKKRAQVGVQEVPNPIAPPTQPEPSVQEATWDFKKYIGFDDPAETEDDGAGVHADASGSFEHYEDNDPRPLAGENVMNVIVVAAECSPWCKTGKDDITVVLEMLLELFPRLWQEEVIVLW >Dexi2A01G0025670.1:cds pep primary_assembly:Fonio_CM05836:2A:37307730:37313584:1 gene:Dexi2A01G0025670 transcript:Dexi2A01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVFVTGGAPPSPPLHLGNIQDHRLKLQDGATRENEEKAHQVPLFNEMNVPEVVAAVRFFSLYGLLHPTAFSSRFPSVRRRPADSAAAMPLHLLSSPAAAAKLAAGLRGAPLRRCHSFAPAPRHPDRAFLATSLSTAAAARSAGTAVAAAQTKLGVLSNHLFPNSQLQIIFGLLTDGEILSVSGKKQVLISLSDKTDLAYLGNGLQGLGYSIVSTGGTASSLEAAGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALKDHGIGTFDVVVVNLYPFYDKVTSGTISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHSDYPALLEYLKGNQEDQQFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGEMFPPSFTVPLELKSTLRYGENPHQNAAFYADKSLSLVGAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVRGDPVSAFGGIVAFNTIVDEDLAKEIREFRSPTDGQTRMFYEIVVASGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDRTPEDITFTTKSERAPQENELADAKFAWLCTKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFRKAGEEAKGAALASDAFFPFAWKDAVEEACQNGIGIIAQPGGSMRDDDAVACCDKYGVSLVFTGVRHFKH >Dexi5A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:5A:24403687:24404288:1 gene:Dexi5A01G0020590 transcript:Dexi5A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRSGTHPGRRRRSGRSRYSQVVVASSSGRWSIRESSMRLEREAEAGGERERLRAEHVGQERHDVGVVVVDELGEEGAEVGEASCDGGEHAGLDAGVGGEVVEGDGGEGGRGDGGREGGEDGGVELRGEGEGGVGDDGGGEAVVLGEALCEDDHREDVTGAGAGEQDDMRRFGGGHGWLAAWWLSLSCDLIQ >Dexi9B01G0041640.1:cds pep primary_assembly:Fonio_CM05836:9B:42088107:42108128:-1 gene:Dexi9B01G0041640 transcript:Dexi9B01G0041640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAEATVVRSGGDGDPGAAAIFLLLPRGSYSRPVSFDWLIWDQVNDVEPKTRLEDGQCQEHNVDEQAICAVGLARAKPGIFVEAIQYLLVLATPAELVLVGVCYSASADGTDPYAELSLKPLPEYMIATDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSSWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVIDDERNTIYARTEGMKLQLFDLGANGDGPLTKVAEEKNIVDPRDASYDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVLPLPDAAFTMQSLYADVECFTGFRKPSEKASIKLWAKGDLPTQHILPRRRVVVFNTMGLMEVIFNRPVDILSKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYAEDNLISNAVSEKAAEAFEDPGLVGPIIGSGGHGNGRSPYNSQIRDMNTTDQSASSKKPRLLYTSAELAAMEVRAMECLRRLLRRSGEALFLLQLIFQHNVARLAQTLGIDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYVGPEGRGTVEEISTKLREGCPSYFNESDYKYYLAVECLERASMSNSPDERDILARDAFNLLNKIPDSADLSAICKRFENLRVLVVSITKRIG >Dexi2B01G0006600.1:cds pep primary_assembly:Fonio_CM05836:2B:6525202:6525496:1 gene:Dexi2B01G0006600 transcript:Dexi2B01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGTALKFEAGSMPELEHLKLTFCLHKVECLNGASDFGIQHLSTIKVVENTIQREIWKKVTSDVSQAASKLPLGHFPTALLAVSN >Dexi4A01G0017170.1:cds pep primary_assembly:Fonio_CM05836:4A:20944343:20953835:-1 gene:Dexi4A01G0017170 transcript:Dexi4A01G0017170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRLLYGAEDPSIAGMVLDSAFSNLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFPSTCSDKFDKYYNLGAFKGAAGTNESLLYEIINGLRAAGTDAGSSSAATTNFTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMGGNTTECHLEKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKDEMIQAINETTTGERSSGRIAMKRFEEMDWDKNGMVSFKEFLFAFTRWVGIDENEDDEE >Dexi1B01G0022420.1:cds pep primary_assembly:Fonio_CM05836:1B:28165456:28167907:1 gene:Dexi1B01G0022420 transcript:Dexi1B01G0022420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPMPAAVAGWWELVNGSPAWQDGIFCSLAVLYGIIAAVSIMCFNAFNKEADLDVLDHPILNFFYYLLVEILPSSLVLCILRRIPAKLQISQYHPLSSV >Dexi9B01G0033030.1:cds pep primary_assembly:Fonio_CM05836:9B:35227915:35230449:1 gene:Dexi9B01G0033030 transcript:Dexi9B01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLSPQFLSLSAKPAPAAASATAAFPSVPPPQLRALTAASAAGWRPLAPVRAAAAVAEELDAEGKDGGEEEVVEEFSADLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEAEAAVEQFNGYVLEGRSLRVNSGPAPPRDQSSPRGSRGEAKRVYVGNLSWGVDNTALANLFKEQGEVLEARVIYDRESGRSRGFGFVTFASDEEVENAISNLDGADLDGRQIRVTVAESRPPRQQY >Dexi2A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:2A:7724733:7725071:1 gene:Dexi2A01G0007700 transcript:Dexi2A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVYRPPSGQSATNWKILEEKDLIILGLEVGDLGKEKLNVSTTDHVLLVAKYTGDINEEPRASSLDVRLLMPPGYDEKNVHATLSKGWLNITIPKPKHEPNTIEISSTET >Dexi9B01G0030300.1:cds pep primary_assembly:Fonio_CM05836:9B:32799797:32800469:-1 gene:Dexi9B01G0030300 transcript:Dexi9B01G0030300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKKSARAAADLTDDLVVEILSRLPAKSICRFKCVSWHWYGLITDNEHRKKIPQSLSGFFYPSYRGTLEDLAKMLPDFVGIVGDEEPFSDPSLTFLAGYNSIIPKICCNGLLFCFCRKVSPGGEVDYSKVVCNPATEKWVVLPDSGDESISLAYHFGFDPTISPHFYVFQLIDADENYGYIGDMNIYSSETVTRKVVGPMSFSWSIEEVFFSMECCIC >Dexi6B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:6B:16247001:16251097:1 gene:Dexi6B01G0010070 transcript:Dexi6B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKPVSVFAMLLALATMAEQHPSPQQLRPITLAGCPDKCGEISIPYPFGMKPGCFLDGFQVTCNYSFQPPRLFIEFEGMNKSYQGEGNGYYSEEDQWDLVDTTLRPVELVDISVARAEARAYGAVRSDCRVNFTHQSLQLELTVLTGPFILAAARNMLVGVGWSVQVDMIDSFLSSESPMSNCVLEMGYENRGLVANGSCSGFGCCQGDVSPALSPEARTQFGVGFKDMSNGKVIQINPCAYGMVVESSWYNFSDGDIYGSDTLTKKFPRGVPFVIDFAVRNGSCPTPGGPAPPGYACRSGNSFCANATNGPGYVCKCLDHYDGNPYIPDGCQDVDECALRDEYPDHQKEYPCSNKGICINRIGGYDCPCRAGMKGDGKNGTCTEQFPLPAKVVVVKKSINVNAALKEQFANEVIIQSRIIHKNIVKLIGCCLEVDIPMLVYEFISKGSLHDILHDQGMVQLNLNLRLRIAAEAAEGLAYMHSTATILHGDVKPANILLDDEFVPKISDFGISRLIAIDKAQHTKYVIGDRSYMDPVYLQTGILTKKSDVYSFGVVLLELISRKEASFSNNNSLVMNFIDAHKIKRRATELFDKDIIAPRNMELLDYLVGIAVDCLNLDVDQRPEMTQVEERLLLLKISHDK >Dexi6B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:6B:16001539:16003244:-1 gene:Dexi6B01G0009910 transcript:Dexi6B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPATLAGAGAAARRSSVDAPFPARLRRPALAPIRADSPPIPLSASASSAGPSRPAKPPVCTSDELHYAPVDGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMASQGFDTWIVEVRGAGLSIREYENSAASASLTFEDASGQPLDKQSSFEAASLQSSSGYGSDCDDLGIVALDEPPLLAELSNFFDRISKLMEEAVVNGNFHEIAEKVSVLSEMVESSTIISPVREESLRLLKNFQDQLDSWERFVSTQMDLTSEYNWDFDHYLEEDIPAAVGGHALF >Dexi5A01G0034030.1:cds pep primary_assembly:Fonio_CM05836:5A:36195964:36199752:1 gene:Dexi5A01G0034030 transcript:Dexi5A01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLDIAYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWAHLRELHAAVKQSAEPLLWGEYSNHSFGPQQEGHVFATESECVAFLDSGAYMERRSFGVLRVSIKRRQQKPHSMNNEHWKHQVGLSGETNKIYTSEGSSRAEWTTIDKSMYLPLIWYKTTFDTPWGNDPVTLNLSSMRKGEVWINGESIGRYWVSFKTPSGQPSQPMYHIPRFFLKTGENLLVLMEEIGGDPLQITVNTMSVTRIYGSVSEFSTPSLLSRDNHPAVHLRCQKGKHITDVEFASYGNPVEDCRGSGRSCQGSCHAEMSEFIVKNACLGRRKCVVPVRAAKFGGDPCPGIPKFLSVVAICG >Dexi1A01G0024930.1:cds pep primary_assembly:Fonio_CM05836:1A:31306866:31309703:-1 gene:Dexi1A01G0024930 transcript:Dexi1A01G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHLRSGLPLLRAHLAASESASVAQGSRGFSSQVAKPTGKQVKVPEALYGGTGNYASALFLTAAKTNTLDKVESEIKTVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFGEAGFSDVTKNFLAVLADNGRLKYIERIAERFVDLTMAHKGEVKVVVRTVIPLPEKEEKELKETLQDILGKKKTILVEQKIDYSIMGGLVIEFGQKVFDMSIRTRAKQMEAFLRQPLDM >Dexi3B01G0008120.1:cds pep primary_assembly:Fonio_CM05836:3B:5724730:5725848:-1 gene:Dexi3B01G0008120 transcript:Dexi3B01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPASTAAVLGLLCMMALAASAQQPGNMQVITMDGKRNSKFTCTDTKKNSHRPGCTATCPNRCPRKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCIVSDAGLHINAHFIGKRNPSMSRDFTWIQALGIRFAHHHLYVGAAKTAHWDAAADHIVLAFDDDGEESISLPATVGARWSPPTAPELSVTRTAQANTVVVELRGVFRIMANVVPITAEDSRVHGYGVTDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRTDYVNRLNVTAKMPVMGGADSFVSSGIFETDCAVARFGRSSGGGGGAAASKAAGGIAMVTDAKYL >Dexi6B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:6B:8417403:8418896:1 gene:Dexi6B01G0007220 transcript:Dexi6B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRPTARTESTCTTVTARGTHAFRIVGYSLQRGIGRSNFIRSADFAVGGFDWALRFYPDGNDSSDVNDDHVSVYLELRTKNTEVRASYDLRVVEQATGLSTSVFRSSRVIVFKSRASDIPSWGTRHFMKRSALETPLYLRDDCIVIECDVTVIKETQVAETAAAIEIEVPPPDLLENLGKLLESEELADVRFKVKQEVVHAHRVMLAMRSPVFKAELYGPMRGRKRQHINVEDMEPAAFKALLHFIYRDSLPDMDDLDRDENQEMIKHLLVAADRYGIERMKLECESILCKRLDVESVADTLALADQYHCSKLKDACIGFINSSDRKDDASD >Dexi4B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:4B:21923880:21924701:-1 gene:Dexi4B01G0019770 transcript:Dexi4B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDELPRSGYLVNDSLTVECTLTVLKKLPDMVVVSAATEVPLPSSDLHRHLGELLQGRKGADVTFVLDSGDRYRAHKNILAARSPVFMAEFFGNMNERSSQTVSIGDMQAAVFKAMLHFIYTDMAPELDEEHDEPAAVVMAQHLLAAADRYGLDRLKLICEGKLSSNIDVETAATTLALAEQHNCSVLKNKCVDFVTGSPETLNAVLATDGYKHLVESCPLVLTELLKVAHGRRN >Dexi9B01G0035990.1:cds pep primary_assembly:Fonio_CM05836:9B:37600968:37601949:1 gene:Dexi9B01G0035990 transcript:Dexi9B01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDTREARAEADARRAVEELARARDEHLVQAEANARSAADEIARSRASHGAAAAATGGGGILGSVQEGAKSFVSAVGRTFGGAKDTAADKTSQTAQATGDKLGEYGGYTADKAREANDTVARKTNETAEATRNKLGEYKDAAVEKARETKDAMAHKTSEAAEATKNKLGEYKDAAAGKAREAMDVTADKAREAKDATKQMAQEAKDATAERAREARDVTKQKAGEYTDVTRETAQEARDRSWATAHTAADRARETAGAHDANKRS >Dexi7A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:7A:15571487:15575121:1 gene:Dexi7A01G0004650 transcript:Dexi7A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVVCGDVELSQWVDRMCLIIFVGTNSQTYFITGSLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYTVMRTPDEATLILLIAVGPSLVAIGLMFVIRPVGGHKQVRPSDKNSFMFIYAVCLLLALYLVGAMLIQDFLEPSYDVVVFLTVILFVLLISPIAIPVILSLTPEKAEHTMEEALLPNQLTGEASTSQEKEDLPEVILSEVEEEKSKDTDSLPPSERRKRIAELQAQLVQAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFQNAHIFVSLMSIWNFLGRIGGGYFSEIIVREHTYPRHIALIFAQIVMAAGHFLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGIKHFGAMYNFLILANPTGSFIFSGLIVSNLYEYEAEKQAHQHQTSTLLSLRNMSLFADEPLKCEGSACFFVSSLILSVFCLIGAALSHLVVHRTKRVYARLYSSVRT >Dexi5B01G0021110.1:cds pep primary_assembly:Fonio_CM05836:5B:23364986:23367302:1 gene:Dexi5B01G0021110 transcript:Dexi5B01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALFAAVASVPRSSSLLVYGVFGLFLLWQGGRLLNKLWWKPRRLERALRAQGLRGTSYRFLTGDLKEFGRLNKEAWARPLPLGCHDIVPRVTPFLYSNVREHGKTCFSWFGPIPNVTIANPALVKDLLSNKFGHFEKPQLPALTKLISDGLTSHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCQDLIDKWSQSLGSDGSCELDVWPELQALTGDVISRTAFGSSYLEGRRIFELQSEQAERFVAAVQKIVIPGYMYLPTKNNRRMRQINKEVNSILRELIGKRIQAMKEGEITRDDLLGLLLESNMRHTDDNGQSSLGMTIEDVIEECKVFYLAGMETTRRTYKEMKIGNVTYPAGAFIEIPILYIHHDPDIWGSDAHDFKPERFADGISKTSKDSGAFIPFGWGPRICIGQNFALLEAKMALCMILQRFEFQLAPSYTHAPHTVMTLHPMRGAQIKLRAI >Dexi1B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:1B:5439614:5440657:-1 gene:Dexi1B01G0006740 transcript:Dexi1B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLQGFAASSSPLPRAFLLLAALALFTISFLSLRSLRPAAGPSLDTAADSSVAAAVAEKDRFVLPIQPSSSVYHSPEVFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISAHKMRGKGTSYENMAVIVKDYKD >Dexi2B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:2B:7813056:7814654:1 gene:Dexi2B01G0007560 transcript:Dexi2B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGGEAGDRRRAAARVVVFPLPFQGHITPALQLAGALHARGELAVTILHTAFNAPDPTRHPGFSFVSVPDAVPEAITGGGIAKILALNAAMEASGHVRAALASLMAEAEPKLACLIMDSTLTAAQKAAAGLGLPTLVLHTGGAACFRLFRSYDMLHDKGYLPSTESNLHMPIKELPPLLVRDLFDPSKLPNKAIGQKILNLATETTTNSSGAILNTFEDLEPDELTAIRDELGHKGIPPFAVGPLHKLIASNDGGDTSLLNQDRSCIEWLDAQAPGSVLYVSFGSVAPVTQEEFVEIAWGLVNSGKPFLWVVRRGLVLGVEKQELPEGFEPAVEGRGKVIEWAPQQEVLAHPTVGGFWTHNGWNSTLESIYEGVPMLSRPLFGDQLATGRYVAETWKIGILLEGVLERGEIEKAVRKLMEGNEGAEIRERAKHLKEKVRMSLESSGSSQQAVDTLVDHILSL >Dexi9A01G0002820.1:cds pep primary_assembly:Fonio_CM05836:9A:1511212:1512661:1 gene:Dexi9A01G0002820 transcript:Dexi9A01G0002820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGASNFEKEQMFGMAEKEMEYRVDLFNRLTQTCFEKCIEKRYKEAELNMGENSCIDRCVSKYWQLDLEHIIA >Dexi9A01G0032580.1:cds pep primary_assembly:Fonio_CM05836:9A:37522994:37526201:-1 gene:Dexi9A01G0032580 transcript:Dexi9A01G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVAASRRRLVYISPPHLGSPPLPLPLSASRSTAGERVGWVGGLGRVCVSPLEKKVPQNPSRLAVAWPGLSNPKVVWVGLALRLPPQPTTASRCPWPFLCVKTPWREEALPPPPPCFPQDWPQSSPPSSPTKPVSEHGYVQYVEHNTRGFCSVRPSPPQVALADGDSSSSSWDSDDEYQKLVEKMNPPRVTIDNATCANATIIHVDSANKYGILLEVVQVLTDLKLIVKKAYISSDGGWFMDERLGRIKERLRNVFKGRSRDAKTTVAMGIIHRERRLHQMMLEDRDYERYDKDSAKANPMPMVSVVNWLQKDYSVVTMRCNDRPKLLFDTVCTLTDMQYVVYHGSVDTEGPEAYQEYYIRHIDGSPVNSEAERKRIIQYLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTKGNKAVNTFYVRDTAGSSVELKALEAIRQEIGQTVLQVKGHPEHQKSPAQDSPTRFLFSSLFRPRTP >Dexi2A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:2A:29980564:29981022:-1 gene:Dexi2A01G0018020 transcript:Dexi2A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTLSAWTCFLSTLQSVTIAIFLLPDRSAWKIHSLFELSLYIFAGVFGSGVVFYLQSWCISVRGPLYSAMFTPLCTVITTALFAIVLHEELHIGRHGSSLSERQALIPLSD >Dexi2A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:2A:3017721:3018037:-1 gene:Dexi2A01G0003430 transcript:Dexi2A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEILAGPGSLQAGRGAFHTLHDHRPANCRLMSGATTRRAAAVSQSAGSKFGGRRQKNCVH >Dexi8A01G0003840.1:cds pep primary_assembly:Fonio_CM05836:8A:2932423:2932638:1 gene:Dexi8A01G0003840 transcript:Dexi8A01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSQTARMLLPRRRARVARPRRRHCPDEEEDRGTARQVQHHDAARKHADLAQLLNRGHCDVVRVTDTLLE >Dexi8A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:8A:24750444:24752110:-1 gene:Dexi8A01G0014290 transcript:Dexi8A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALGYASNVAQFVGVGMLQVIAKIEQMARTASQNRADCEHLARRVGLLGELLSQVRGSSSEPVAAPTMAELDETLVEAHDLVESCQRHGRTYHFFTASRIAQRFSNVDKKIDSYLQHFQAINNIAIDRLARRLDALMMHTTNGASAPRDTTIAKPGADTEFSLADITLATNDFAVVLGSGDSGTVYKGKLHNGREVAVKRLRRSGGEDRFDTELALLAPLQHHHIVRLLGRCAEDGERIVVTELMTNGSLHEHLHGHRRSSSPVTSSWKAHVEVLLGVARGVEHLHRRAMPLVIHAGTLTGKPAMLTVWDEGSASMAAMALVSYALPSVRDGRLVDVLDRRPVAAAAARQLEPLQMVASMAARCLCLHGDNRPGISEVVVNLERALQLICTRGNF >Dexi6A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:6A:28408122:28409621:-1 gene:Dexi6A01G0021030 transcript:Dexi6A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGYYLSGKVFHGNMDRTIYETDDPITTVRKKTHVLLLTQQDIHQRLTQEVSATAELLCVPADWALALLSHYRWDPLRLHEEWFADPDRVRDAVGLAAGDVVPGADVQAACGVCADFKPAAEMLSAGCAHLYCQDCWRGYLASELDGSGPRCLTLRCPEPSCRRAVLRGTVERITAGEDAAYARAVARAYVDARRLWLTPCTATAGCGCAAEVPAGDEDDGDVACRCGGAFCSRCGGAPHWPAGCDAAARWALDADKASSDWILLHTKRCPRCHRPIERVGGCDVIECAEPCGHSFCWVCLGPVNVEDAWGRCAHELRPSPETEEEQRRAKRELELFLQYQDLWMWNLSKRRAAEDELRKVRGGRLPAARYGTPEKRTLEVVAAAWEEVAEGRRVVGNTCAHGQNLRRADAARWELFEFQHRRVDAVLDALEERAAKGKAPEEETKVFGAKLAALTRTARHGVECFAKAVEEGVPEEEEAAPAPSSKRQRREEASGDQ >Dexi3B01G0024780.1:cds pep primary_assembly:Fonio_CM05836:3B:19451443:19456669:-1 gene:Dexi3B01G0024780 transcript:Dexi3B01G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPALLPLQPPMRVSCSSLLQELQELWGEIGQDEMERDRMILQLEEDCLNVYRKKIDQTRKLKADLLQELSFGEADIDKILSALGEYESFPRSEKLGGTLMEQLAKIDPVLKDLRQRRDERVNDFRAVQLQIVRLQAEISGTIDHGDPTVPLVDENDLSLKRLGELKVQLNELQTEKNLRLQKINVQINCVSQMCNIMSLDLKKALYDVHPSYAELERSKPFQDLGSTLIELWNLMDTPVDEQKCFNHVTSLIKVSQNTVMPKGCLAHDVIEKVKRLTNLKASKMKELVFKKMTELEEIFRSFHMYIDSDSERRTLSDLIDSGSLLSCRADLSELLAGMDDRIMEAKEHALSRKDILEKVEKWTSATEEETWLDEYERDQNRYNAGRGAHLNLKHAEKARVRLLDSLEEYTSTRQQKEEEKRRLRELKKLQEQFSVEHGAKFGTKPSPIRSHPARKPLGQSTTANIAVGTPTSRRVTTPMSRKGGLPSGKVKEATKSTVGPANYVALPKDCSDNSSF >Dexi3A01G0024270.1:cds pep primary_assembly:Fonio_CM05836:3A:19904168:19904452:1 gene:Dexi3A01G0024270 transcript:Dexi3A01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEPPLYVAAGGLLCSREGSEEERDERAQFSSSLSLTHLAFSWRRRRRVSAFNGGGGGSPLRSLAVAAQHGAHEPPALQYPQPRHFAEKPNS >Dexi4B01G0020450.1:cds pep primary_assembly:Fonio_CM05836:4B:22640794:22641585:1 gene:Dexi4B01G0020450 transcript:Dexi4B01G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDRADLDDVLGAVVATVRLGLPRVALRQTPRALPPRHRPLFTHLSPQERTRETRSPIDLASSTCALRANPGKRRRRLHLSSHWCFGGGVGSGGVLVISNPRCARLRSPVAPRNHGKRPSSRLVKAHLRI >Dexi3B01G0031050.1:cds pep primary_assembly:Fonio_CM05836:3B:31394379:31398724:1 gene:Dexi3B01G0031050 transcript:Dexi3B01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGGGEKQLEDCTVSNALGTWFFSVAGALLAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEEQKLTSDASAESGSSDSFILCKPTTLSSAPSTLLPSIDAASPAIVPAAHALCLPAAQFGVEEDNVTNSCGLTPAQASSDLPDLKSLSNPDAAVRAYLAGIGITQKDVAAAVSMDPRFLCSSVDETLAPRIAELRRAGLSTPEISRLISVVPNDVFVEPDWIPRLAYCRSLLGSYDRVHGALRRSTFLLTQDIECVVKANVKFLRKSGLTRSVIAEVVSFHPRMLALKPERFMEIIGLAKMLGVPRDSVKFRYILASIIDISPGTSVQGWIS >Dexi7A01G0018120.1:cds pep primary_assembly:Fonio_CM05836:7A:27582322:27584187:1 gene:Dexi7A01G0018120 transcript:Dexi7A01G0018120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVPVPESALRKQKREEQWAADKKEKALAEKKKSIESRKLIFTRTKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFIWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Dexi3A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:3A:3530998:3534693:-1 gene:Dexi3A01G0005480 transcript:Dexi3A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVRFLLSAAAAAAFARLAHALLRARVILFFMPLCSPTTTVAVPAPWQQLHQPPVRSSTTKVLHHPVVDDDGNKDHLPTVVVVPAIKQQQQQHKEGERGGAEKTTAKAAARGRPPRLAIPPPVACAPGVDPFGAAAERETDVATEVEVQGEGFCLASRRGVRHAMEDGYGVIIDNNNSIGGGRGGSQKLAFYGVYDGHGGRAAVDFVADKLGKNVVAALAAASRRQQPPEPRKTSVSEEDATEEHDVDAVVAAIRAAYLTTDSEFLSQGVRGGACAATAVVKGGDLYVANVGDCRAVLGSRGGVATALTSDHTAGREDERRRIESSGGYVSRGSSGVWRVQDCLAVSRAFGDASMKPWVTCDPEVTRRRLTPDCRFLVVASDGLWNKVSCQEAVDAVVAGTTSSSSVGSCKELVALARSRGSRDDITVMVVDLQRFLQQ >Dexi9B01G0037910.1:cds pep primary_assembly:Fonio_CM05836:9B:39181708:39192027:1 gene:Dexi9B01G0037910 transcript:Dexi9B01G0037910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGHTAREIMPRDTGKPLTLRHRAVHDAKNKPRKTSRALTRVHHESRPRLCTAPCYDPDQRAASQAGADTRIMTENQRKLSSQPRCPCPARKNRPAPTWGEVRLAACHHPFVRKTLDQSRFQLPGDSQRHKKGKDQQPDTYTKVLLAIFLPPVGVFLRYGCGVEFWIDLLLTILGYIPGIIYALYVLTQNVWPCTYVPRGCPEGIRDGESGTHPPWQTSATAYASRERQHPSGLVWSRRRHDDVVERSWAGSICDFRDVPRRKTISISSRMHDAIFMGKPQFPESLMCRLASSSEARGEEEPISRRPTSRKQLAFRPPAVSTAASMVSGRMRCGGEGILGVDACCLHNFVARQDKRGGSEARNAAKPARAVVFHTGMVEAGFGVVLCRTRTRTVHHSICAWCRTCHGLATRTYLLRSDDPVAVIARNFGWLVLRLRRPLGNGPSDLGVTSSPDKREKNENSHSRTGKTARATACSNRKSLRHLTWNPRAIPSSISIGSADGATRLLSILLRSLQSRSHARMLIYERSSYEFSSKSSQWSYNQAMYGVTPIGPGREYKAMMLIQSTGTHGSTYDHQLLRCAMTHEFRSGILSLYLSSFGSIFSPLAPAHLPARSCPYRARLLDRCYYQTYYRDVIPMDHGAVQRCNAGAGMWTRVTVVHVAATQLGAVGGRRPAADGEAGDVEGDSPCAPRSRPDHLRRGDGRTAGFPRSIPAHTPRAVTLCCTGNGKHATRRTLLRAGVEPLMSGPPHQTRLVMDGLLFVYGAGQAWLTNDHGGLAILGG >Dexi1A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:1A:3457392:3457970:1 gene:Dexi1A01G0004730 transcript:Dexi1A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHTSVMYILPLLLVLLLGIGGHTDASKFSMPILRRSDPASSSLPKPHSLLEEPLGWVCQNNNPSHPNCPGNKFSWPELVGNNGNEAKAVVQRENPYIDSVVYAPQDAIVAENYCCNRVRLIMNCDDGCDYENARVFQVPIVG >Dexi8A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:8A:2840367:2841029:1 gene:Dexi8A01G0003710 transcript:Dexi8A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGAARPPPAMVVWAVACLVAFMAVVVVAGDDQQQQQGEPVVGSPDTNQLCVSKCGTCPTVCTSAPPTIEPMTAPPPPPLLLSSPPPPPPYLELVLPPPPPGDLSNVLPPIMPLTSPPESPCSTPPESSEPPPSPPPPPPAKPDKSSGDGGSGSSSSSSSSPPSSPSHFSSPPSPPSSSNPYYYLYLSGGGKARGGASSACAAVVVVAALLPLVGFFK >Dexi3B01G0026750.1:cds pep primary_assembly:Fonio_CM05836:3B:22182179:22184813:1 gene:Dexi3B01G0026750 transcript:Dexi3B01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPAPTAMAPQAPTTPSPPRPMMRHSSAFLLPSPPPAGDGPATDVALVVLNQPLPRFAPLLWSRAAAVRVCADGGANRVFDGMPELLPDQDPYEVRARYKPDVIKGDMDSVRPEVKEYYSNMLCILVLGAVGGRFDHEMGNINVLHMFPNINIVLLSDAYNTSMNYGGLISTSNIVEEDKVTVTSESDLIWTVSLQK >Dexi9A01G0027990.1:cds pep primary_assembly:Fonio_CM05836:9A:32605176:32606059:1 gene:Dexi9A01G0027990 transcript:Dexi9A01G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATSPRLTRSASLSTNRFGRSRTARFPPSPQLCPLSSSAAASPRALLPLRRARSDADLASFARPAVLLRHAPAIPPILEADEEDQQRRQDDAGLHRLLDGAGAGGNGSGSGGSGGQRGGGGQGSGCGMGEYYRRVLQLDPENPLLLRNYGKYLHEVEGDLAGAEGCYARALLACPGDADLLSLYGRVIWEARQEKDRAEAYFERAVQTAPDDCYVLGSYASFLWDVEDDDDEAAAATPAASPALAPAC >Dexi1A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:1A:10364260:10368809:1 gene:Dexi1A01G0011360 transcript:Dexi1A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEERPDVKLENEHKDADSGSIIHHPNNQFPFVWRPSASIQGKENGKHYKLSPHLVNGWAPMSRKMTGDVEQREQDNQLNVWAPMSCKRTGDVTNQEQNQGKEQFHWPIVWMPAEYDEPKQKAKDMKMEEASRNSEEAPRSPKIKVIPLSWFDNNGHHDQKPATRDGSGDHTGRAVNSQLAVPEHQDGLTVEESPKSTPAVPKKVNDDERKPARENYKAIPVMPEKEIGEKKASTYRTIPVMKESDQKNTGMSGKKEVAKASNVDKVEDPFPRKKSGNGSRSPSPPTRKDGDTAKKDVKEAQGQNLEHYDARHTTVSEVEEKSPNEMKKGTSPSNDTVQAASVEHSCEEEVPTSKHDDKVQASGTTIGTQENAGAESLQGDAVQENAGAESFKECDERQNEDMTVVKNEAAKDEARTFRSNLSEPDAAVRIQSAYRGYDVRRWQPLDKLREIRNVYEQMQGVKKQLRCIEDTCKKPTEKEQVAIGETIMNFLLKLDTIQGLHPSVREARKSVARELVSLQEKLDTLCKQPSGEFDCKNSNEKSEIAENSSHIVAPIITTEICDKRSQEERAVELGKVEEPSSVDSMEACDAVPSGIPMEVKQDADASEQKNGKEESYSTTIEEANKGKVPGHFELEVSSSMDMSSSEEHSNGIMEHKIEESNDVSVGQVTEKPAIKGEGEAVPCVKFMEPLHDAASAGDSSGLEQCTATTDQSLHAESNYAPTEDIITRDASASVENGATTEEDGPVDGQLHRTAAAESLGLKHDVSSEDQPRELSGRVCLEDSSLSLQGEEQHDIIPADDSVLSCTKDQSEAASDISMQDQAVDTMQDSRVANIELLQTPDGTPGASMDNIELSASAEPDSASEQIVVDESNDALHCGVSAKDEQRHEDQKTEATVGMLRGSSAGDRDSLPEASKKECDIQESHPSLEEEADDTMDEIVLPQLDSFELSRAHEGGITGHERSETDVSSESQTDTQEEHTDVVLPETGRYIETLKEDPMDAGGITWHERSETEVSSESQTDTQEEHTDVVLPETGRCIETLKEAPVDAVAQEEHEGVAFPDTSECIETLKEAPVDTSAANSVEDVGVQVSVTGECTEMPENSAEDVGVQAFVTEKCTEMLEDVQMGVSGANSADDAGVQVSATGSITKDAPVRGAGVNPAEEEAHNLKGDNNVQTENQASEAASSSDGRTEDGLQDGDKKKLAEENQQLKELLQKLLASGNDQMGVITDLSDKVEALERKLARKKRPKVRVHRPARNAMARVH >Dexi5A01G0037820.1:cds pep primary_assembly:Fonio_CM05836:5A:39009369:39014207:1 gene:Dexi5A01G0037820 transcript:Dexi5A01G0037820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPPAAEQGAAPAAPLPAGAPPGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLILSRSAYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQASNYCLLRVKIMVVMENVSETPAGVIFVKVPQWNYERFELALFTLLAKVTVYELQVSGNETSSSNADRSMRKGKTPMNEPSEEQLIKSELQNLKLHDNLGSEIAHNTIAISDMNLDSLAKLSMMVFGYRCFCVTECKRLLLILADTVALRVTLVLASKHMWGDGNGVLGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQFANDESEPRIDRNGDISADGLTALVNLSQENNAAHQQAESIFETDTQTRYRSVASVSTPGGSSVQMQESKEHELGSDWETADDATISLDMKTPLSHFPPFRFGVEFEEVHRLADGQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEFVDPLRKAYMYVDPREKVTARYQLICPSKREVMVFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV >Dexi5A01G0003810.1:cds pep primary_assembly:Fonio_CM05836:5A:2901501:2901992:1 gene:Dexi5A01G0003810 transcript:Dexi5A01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMFGLETPLMAALQHLLDVPDGDAGAGGDKAGAAGSGPTRTYVRDARAMAATPADVKELPGAYSFVVDMPGLGTGDIKVQVEDERVLVISGERRREEREDAKYLRMERRMGKFMRKFVLPDNANMDKISAVCKDGVLTVTVEKLPPPEPKKPKTIEVKIA >Dexi3B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:3B:3876260:3878792:-1 gene:Dexi3B01G0005680 transcript:Dexi3B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQLTLDVDSLNIVTGSLFKAKKADEAFRLWVEMIEVGVKPHMYSSFVIGLCECGKYDLAYDVLQGLTRERVPIEAMAYNMCLRKLGKTSEVIVHFKKFRDSGMHLDKGEMQKAQQEFMKMLEEDIKPDIVTCNVLATGFGRSGLVMEEAG >Dexi1B01G0001560.1:cds pep primary_assembly:Fonio_CM05836:1B:1254488:1257167:-1 gene:Dexi1B01G0001560 transcript:Dexi1B01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHQCMAAAAAAAAGDGGASVEAALRPLEFGSGGSRTRLLVPVAGGLVELFAARYMAEEEHMAELVMAQCGVPEQAPAGDGGAAVHAWPETPGFAWDGGGADTQRMYGTVLPPSLNLFDAGAADPFLAAAAPGVAVDDAAATEWQYTAAVAEGSELSVVAVQQQEQQVNVGGGGAADSGSEGSDGEDDGDGGGDGQGRGGGKGGGGKRQQCKNLVAERKRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDELEDPADGGAHDVLLDHPPPASLVGLENDDSPRTSLHQQPAASNKRARVSAAAEEEKGHDMEPQVEVRQVEANEFFLQVLCEHKPGRFVRLMDAMNAQGLEVTNVNVTSYKTLVLNVFRVVRRDNEVAVQADRVRDSLLEVTRESYGVWSSAAAPVGSSSSSIDVKLDGVDDVKLHGGVDAQPQVAAEDHYAGYNHLLQYLA >Dexi9A01G0021500.1:cds pep primary_assembly:Fonio_CM05836:9A:16383240:16384565:-1 gene:Dexi9A01G0021500 transcript:Dexi9A01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSHDSSVRSLLATALAVLGLLARPSECAARAFFVFGDSLVDNGNNNYLMTTARADSPPYGIDFPTHRATGRFSNGKNIADIISEHLGAEATLPYLSPELRGKKLLVGANFASAGVGILNDTGIQFVDILRMSRQLHFFGEYQRRLRALVGAARARQVVRRSLTLVTLGGNDFVNNYYLVPFSLRSRQFSLPDYVRYLVSEYKKILIRLYAMGCRRVLVTGTGPLGCAPAILAQRSRSGECAGELMRAASLFNPQLARALVGLNRRFGHGTFIAANAFRVHFDFVSDPGAFGFATAKEACCGQGPHNGLGLCTAASNLCADRGRYVFWDPYHPTERANRIIVSQFMAGSLDYVSPMNLSTALEMDARLGA >DexiUA01G0009150.1:cds pep primary_assembly:Fonio_CM05836:UA:17628467:17629594:-1 gene:DexiUA01G0009150 transcript:DexiUA01G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCALFFMGSRLYVRVRPEGSPLTSFAQVLVAAYRKRHQPNGLLLFDPPHRSSLVTKIARTEQFLCLDKAAVVVSAGDELTSDGAAARDPWRLCSVQQVEEVKCLARLLPVWSSGIVYYIVLTNLGNYNVLQAMQTDRHVGRSGFQIPAGSFVVFNMLAMTLWLPFYDGVVVPALRRVTKREGGITQLQRIGTGIVMSIATMVLAAAVESHRRRVGNSTSCFVLVPQQMLAGLSEAFAVIGQVDFYYKQFPENMRSVAGALLFLGFAIASYASGLMVTVVHRTTGGGAAGRPDWLTQDLNQGRVDLYYLLIAAMAAVNLVYFVVCARWYRFKKADAADGVVVMEEEMDGSDDGDSSLEATKNKANGVPAPPPV >Dexi7A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:7A:25521575:25526362:-1 gene:Dexi7A01G0015680 transcript:Dexi7A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASKKSKEPHGTASGVLHYCPSCSLPVHPLRVFFSLHAEDVSPRLAFHYGVPADASLLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPLPSRFLQFAEGQGVLLNVNTQNQIEVWEIDTKKLCYVHQFQKGITAFSLLQKSLYIYVGDSSGNVSLLKLDLAQRCLADMPYWIPFAESYGSAASLGNDVEVIFVSPQPMAESNRVLIIFRDGVMSLWDIKSSKVVSISGKSMQQQSHQEAKTVASACWVCAKGSKIAIGYENGDLYIWAIPDVMISAQNSSSVGNQNLPIQRLNLGYKLDKLPVVSLKWISSDGKAGRLYINGFNDQGHLFQNEDNASSGNPITSLQFDMPSSILISGDKSGMVRIITFKKDSTDNIFSFLNGTVLLILSLTQQLLFLYKYVLLI >Dexi9B01G0041780.1:cds pep primary_assembly:Fonio_CM05836:9B:42173529:42175558:-1 gene:Dexi9B01G0041780 transcript:Dexi9B01G0041780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGGDPDRISALPDDVLHLILGFLPEATAAARTAVLSRRWRHVWVHAHKFVFSDDLAPAAALGNFASFVDRLFARRGHAAIGSLQIRLSHHRSTPPAKVAEWTRYAVRHVVDFFLLRVSHVPPAATVELELPSHGRTRSIQLDLSGMRLRFPPPPAASMYEALAELALDSARLGDFVSSCCRRLRKLAIGSPLDLRELVLRVDALQELRLSRAEDLRTLDVTAPNLRVLWLDRCFPHGAPGSDGGVSGNRVACCRVVAPRLQVIGMRDATLAKLPGMDIHGLASVRCLDLGLHMRGRLCRRTSSGLWLLENCPDVEHVQVSLDHHCGFFSTTGRRFLLGIQSFIAAGDLELIDLGAKDAAPFASVTSLKVDTTSLPESYLVPSITSLLLMFPRLTSLSISSNRQISLGSLEVVEISGFRGSKEEMDFVSLLFESSTSIKRMTILTGAAKITGSHSLKPVAPSSWKLMMGEVDPLVDEELKRITSIKRITCSTSRGRWDIKEGVYTWTHYAAEVESSINN >Dexi9A01G0038060.1:cds pep primary_assembly:Fonio_CM05836:9A:42343437:42347169:-1 gene:Dexi9A01G0038060 transcript:Dexi9A01G0038060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKGGAKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVRRSEETLPPGRLLLVDEDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGVPEPYSTPFRDTRSFRDSQSLKRSPEYSMNDQFGHVPRRVDGYMRKPLDLRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPREQTCSREKICSFSPARLPLRVSEPRESISASQRAVSQRAQSSRAAPELPDVRFSRGQQMNRSWNSEEDIVIFRPSIDSFEINNPSCSKSNKGKSISLAVQAKNNVQKREGVTGSGRNSGVQKEHDDHRTNQPFRSQSNHQRNKTQKKPSSSGTSSPVLRQNNQKQNSLVTRGKVAPNKSASTQQSRKVMAGDSSSGKIKSGSKMSKVGGRKDIVESISGDRERSSSNNKDFPQKKRLIERNSTNEKGTFVPEKPAGKLQKQVQPNVVMDEHIKWNQESKDTTDVVSFTFTSPLVKPLAGPSRLVGKWDTRSSFNLDAGCDKDDSDSKAEGPSAMGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFVPATFTLEEPPTSSCSNWGSESGVFDCSPSEVKPSQYDYCPSAQSSTKGQIFRGSKLKVEEPEECSSINNVRKEQENEDLSPLSVLEPTFLSESCWSSECSGSSDGSKTYSAVSEVKNIPGNFLMSPPSVDAEAKTTDSASSASVDFSDISDVTQCSKKARHTELEYIEDVLSNINLTTDELGSLFVNQDGSVLDPLMFEKLEKSHVYTQGKEPLGRQGYRRLLFDCVNECLDTRRSTYFRAGYAAWSKGVAVLSRGVETEVCNEITSWKSMGEWVEDELVDKDMSSGRGTWVDFKVEAFEAGEEVESEILSSLLDEVIGDMIVRRPQECKFVI >Dexi9A01G0027790.1:cds pep primary_assembly:Fonio_CM05836:9A:32429528:32431067:-1 gene:Dexi9A01G0027790 transcript:Dexi9A01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGVSVTEEVKYGDITSHTKNADEGKEIFANTLYNSVVNQYNVLKSAIFRDCGTAASSTGISLSQPWR >Dexi2A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:2A:27796028:27796972:-1 gene:Dexi2A01G0016210 transcript:Dexi2A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Iron sulfur subunit of succinate dehydrogenase (Truncated) and ribosomal protein S14 precursor [Source: Projected from Oryza sativa (Os09g0370300)] MLRRTLPSLRSVKDGVADAARQATKGDAHFPSLRGHPAARVNARESAEGQARLAAAEEERRRGGASPEATSTVKEFQVYRWNPDSPGRPFLQSYHVDLATCGPMVLDVLQKIKSEHDSTLAFRRSCREGICGSCSMTIDGVNTVACLKPVDTDTSRATMITPLPHMYVVKDLVVDLTSFYQQYKSVEPWLKTKGRKTAAPGGGEGREHRQSPEQRKKLDGLYECILCACCSTACPSYWWNSEAFLGPAALLHAYRWVSDSRDDYAQERVQSLSEGWDKLYRCRMIKSCTATCPKSLDPAAAISAIKALHQLRKA >Dexi9A01G0033340.1:cds pep primary_assembly:Fonio_CM05836:9A:38237215:38245329:-1 gene:Dexi9A01G0033340 transcript:Dexi9A01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSKFVSVNLNRSYGQPAPSSHHGGGGRPSRPAGSSSSGSGGGGMVVLSRPRGGGSSIAKPQPPKLSVPRPLNLPSLRKEHERFDGAAAAAGGGVSSAPPRSGAPAAGWTKPASASEKPPGSAALPGGATRPPSYGFAERAVVLRGEDFPSLKAAVAPPTPPQPPQRQKDADGARVATPEARPGPLGMRPQVTPTRATEPLASAGGRSSVERTQKPDLGPLPMVRLRYDSDWADDERDTGLSLPERDSRERGFGRTEAMVPGRDQYGAVREPFKKEPFGRDVAAANKEAAQDGLWRSPMSNQHDRDRTDGWPYSGGRGSSGQLYRESIATGVSKDPWSNSRDPPMRQSGVEQYGATRVGETASDRYGDSSNNWPRLNSLQNNVGSKAQPFAGNKGPLINDSVAKFGREKRLTASPVKPLIEDGGFDSISAVNLTAIKKKKEAAKPADFHDPVRESFEAELDRILRVQEQERQRVMEEQARAREIARKQEEERERLIREEEERQRLVEEQARQAAWQAEQERLEAARRAEEQRIAREEEKKRIALEEERRREAAHQKLLELEAKIARRHAESNIGNARGGQPIPAVNDELTGDFKDRNLPRSVNFGDRKDIDERISTSAPVESSNRYNEAFPRVRRDGHSSLIDRENAYYGSRAAFPEQENVHHTPQRDPFAPRRGSFPKKDLNDGFGNVSVSPSSKNRTTDTPWAMEDFHHEKVPRWDAPRETDRFNKQSDFDTELFNSDRFGDTAWLPSSSRGSSNAQQGDRMFQNSDFSDFSAFTRPRYSMRQPRVPPPPTVTSVHRSLIGVSAQRLSSSFMDGGMGENSCRDDDQIIQGQYESAYQEASHQRGIRADHINEHQIEDRKSPVLGSQSSLSVSSPPSSPPLVSHDEMDVSGDSPALPTSADGERTVLSDNDHAALTVDAATTSRTAASGVSQLEDDEWSSENNDDGRKQDEYDEDDESYQEDEINEADGDNLDLDDEFLEEQNTPVELEPVILGFDQGVQVEIPSNSELELASMRSAERAIGVHLNSGVAEQENISGSVVHSDPVTEAEKALQALTLDRVNALTDDSNGERSSSLVTPASSSQLPQTSSAAPITSSASAVVGQNEVPASLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQINPSLAHMHPSTAPLYQFGQLRYVRPIAPSAHSQAIPAAHASVPAHHTLNQNASSILPELMDRETHQNVPSQAVSSTFINKTAAPAAKLPLAMGNSNSQYLNTPENNQTAVVEGFHGHVDRHPIGNTTPSEKDQDLSLKRNCRPTSNNIESSQFGLEGRALNGAKAPGAVLAGRGRRYGYAVKDINMRSTGSVAEPSHKDSRGGFQRRTRRNVRRTEFRVRENVEKNQNEGSESFAQGEQDERSYSNGTSRDFPVRNANRRELDISKSSRINEGNDQSASFRSTHKAPYERSHGGNKKSKTGAIPEGDTTMLQAGAVRVVKQQGIEVPVDADGFIEVRSKRQIMSVRREQREKENRSKMRMAKAPRKQHNVSLQSPVAPSVNKRTATLSGEVAKKVSSDSAITVEGRVADYAEPSVALKGDAASMNPIGTPATNIETHTNCYTNQTIQIQASSDLVTSSSANLLSGISDDKNKATSINTPFNMVSWDNSQINQQVMPLTQTQLEEAMRPEFEQQAGSNFSLEPNNALSATVTTEKAFPTSSSPINSLLAGEKIQFGAVTSPTMLPPVSRTVSSGLGAPGSSRPDMKIDRSLPSDNSGPDKANSKELCSNTEDVEAEAEAAASAVAVAAISTDEGSPADATTASAPDNKSFSSKDLMQHLRPTSIMPIPSPLTMFDIGPFQASTDIQMQTCWPHMPVPPLHSVPLSVPLPQHPVEGTATQQFVHNVPVDNKASTNNRFQEPSASAMPSDGNKTFSNAQVSQFTDGLRLVEQPTSSSSSSQTVQPSSFGQAGMISNEVSTSAKVMVRATPTKVNPGAAAGVAGNPNGGQITNMPPKTHQSTSPSDKQYQHPANSQDRRARATQKTGTANEWQRRSGYQGRNQGSGSDRGSGTGRMKQIYVAKPSATSGHAPSG >Dexi6A01G0006180.1:cds pep primary_assembly:Fonio_CM05836:6A:5868415:5870448:-1 gene:Dexi6A01G0006180 transcript:Dexi6A01G0006180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRKLLPWRRPTQGAQTLADRLLRSSSSLSDSDDDPPFTRIPNRPPRAPSNPPPHKPKAPAGKIRPDEPAHSDLPFDFRYSYSEMDPAWRPIGFREPTRFSPFGPGRLDRPWDGAAAARVGEGSSGAERSREEVLGEPLSEEEVAELVERYRHSDCSRQINLARNGVYVTVVEKVREAFKTVEVVRLDCCHVGTSDCKKIGVKLRDLVPCIPILFKDEQIILWRGKINQEHSVPVPLERED >Dexi9B01G0048870.1:cds pep primary_assembly:Fonio_CM05836:9B:47749131:47751071:-1 gene:Dexi9B01G0048870 transcript:Dexi9B01G0048870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIASRPSCVLLHQRSLRNLNRYRRWLGTGKKTILSTRGVLESSNGAPSGGLVKKRINLNYELQFGICGILDTGRIEEPNCEKFTHAVFMRFQQKEDIAEFQSCAYYSKILDEHVKPVSYGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLETASREAMADASSSLQRLISQCSSFIVQATCGKTTWYPYSCSCRRCLNLENGYSHAAVIRFPSFDDFKLFRESNEYKDMWASKFHPIVEKSLQLHFTVDPVGNQLM >Dexi5B01G0033500.1:cds pep primary_assembly:Fonio_CM05836:5B:33879779:33880651:1 gene:Dexi5B01G0033500 transcript:Dexi5B01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFHHQSVRAAGPPPPAAGAARAWSKEEDKVFESALVMWPEHVPDRWALVAAQLPGRTPREAWEHYEALVADVDLIERGAVDVPISWDEGDEDDTAAADDDVEESRLPARRRPSGERVRREGRRQGIAWTEEEHRLFLRGLEKYGRGDWRNISRFAVRSRTPTQVASHAQKYFNRQLNPASRDSKRKSIHDITTP >Dexi9A01G0034310.1:cds pep primary_assembly:Fonio_CM05836:9A:39073400:39076399:1 gene:Dexi9A01G0034310 transcript:Dexi9A01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEAHLGGDAPVPAKDKNADVAAVVTTGAGGGHNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGFVLWADRAFGPFSGSLMGTWKYVSGAINGAAFPALCADYLARVAPAVEGGAPRVATIVVFNVALSFLNYTGLTVVGWSAVGLGIASLSPFVLMSGIAIPKIRPHRWGGVAADKDWKLFFNTLFWNLNYWDSVSTMAGEVERPGKTLPKALVAAVSMTSLGYLLPLMAATGAVDVAPEAWGNGFFADAAGMIAGRWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFAARAPIFNTPWVSIVATSAITLGMSFFSFNNIVAAANFLYSLGMLLEFAAFIWLRVKRPDLSRPYRIPMRLPGAVALCLVPSAFLVFVMAIAGWKVYAISAAFTAAGVGVYYLMKFCKARGCLRFSDGDDERAAYQRQGSRNNGDV >Dexi4B01G0000990.1:cds pep primary_assembly:Fonio_CM05836:4B:622946:625244:-1 gene:Dexi4B01G0000990 transcript:Dexi4B01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRERLAGTPPTKASWCVRYSDHEVPVRVSSSQKRIHRSVVEVLGRILNQLLTVVRQSAPRGPADVSMTGPGLMTSAWARLTSSRPSQPDRWAHWAVGPACQRLGLARQRAWAQSTVSRECGSRGPRPPDPVRLRADPVRLRLCGLVCVAQIQNWKGEKFPCLRRVRRNAAPAFATRATRRLAVRARSLASCSSEDSEACRACACVCALANAPVRCVAAAARVETAASSPAVRGSASVLVRVLCACGCACARAGGACVLARHGRAMAAARPSSSRVPGSPVPRLLARVRVREVPAERERLEGRANGRVSMAELRRAASRGNQGGARRDWLTYGLGKRERRGRETVEAVTRSAVVVDVGVRGGFTVAHGSVSSRYGLAPPPGSLGDCGASLRSGVAPPSSLFLLLLLLFLSSTSPPLLRAHGGGEKGINPERAIGLKLRRGRLLVGEARGLRWLGRGEDGYGSRESRDPAVTLASACARSAAGMSGRQGF >Dexi5A01G0010620.1:cds pep primary_assembly:Fonio_CM05836:5A:7989694:7991038:-1 gene:Dexi5A01G0010620 transcript:Dexi5A01G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELQQEVKLFNRWTFDDVQVNDISLNDYLAVSSTKHATYLPHTAGRYSKKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVRIMKHTLEIIHLLTDANPIQVVVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIADYAIKKKDEIERVAKANR >Dexi5A01G0004440.1:cds pep primary_assembly:Fonio_CM05836:5A:3358657:3360060:-1 gene:Dexi5A01G0004440 transcript:Dexi5A01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGLLPFSFLLLLAGHRAFAASDAGGFSVEFIHRDSPRSPFHNPALSPHGRMLAAARRSLRGDPSRGAPPAPSPAADVVGAGGVESKIISRSFEYLMAVNVGTPPTHMLAIADTGSDLVWVNCRSNTSSAGVARGGDGGGAAGGVVFRPSSLSTYDVVGCETEACQNLNRASCDASSNCRYQYSYGDGSRTTGVLSTETFVFSGDGDRQVSVPHVDFGCSTYMAGTFRADGLVGLGAGGLSLVSQLGSATSFGRRFSYCLMPAYAANSSSTLNFGSNAVVSEPSAATTPLVPGEVDSYYTVALEAVSVAGAGDIAAGGSTQESSSSSTSSIIVDSGTTLTFLDPSLLQPVVKQLEERINLTQTQSPEKLLELCYDVSGTTSREDWGIPDVTLRFGGGGEVTLRPENTFVLVQESTLCLALVSVSEAQPVSILGNVAQQNFHVGYDLDARTVTFAPADCTRSSASS >Dexi1B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:1B:10284548:10285450:-1 gene:Dexi1B01G0010600 transcript:Dexi1B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSVTAALLLLFSFLIAVGHCRPVEPQPAATDADESAAAAAAATIAGEENGLPNPTLIPTESADQVILPLPAEGFLRLPFHRLRHHHRPCRHGPFHRHLWLAARHHGALFGDEPRAVAEPDPDRSIPDGDGEVEEVASKEPSFGDADGAHEEEGAAVRAWKKEMMRRWFHFHGHHGMRHHHRLHHGDEEESHDQAEEEAAAEGSLNLKRIHHGRHHEEEDEEEKDGMRKRFRHAEHDDSDSDDEEVEEMVRRFRKAIMQRRFRHGRRFHHHGHRHGVEADAQEEGGVVSWIKGLMNRF >Dexi2A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:2A:1346880:1347273:-1 gene:Dexi2A01G0001840 transcript:Dexi2A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAIVQEGMSRAVSLMLGKHFEKTSRGHSAERLEMALSELEFALERTAKLPITEATLLRRRKVLKHGYIEGMDLLNKHKLQGNQDLRQGIARASL >Dexi2A01G0037170.1:cds pep primary_assembly:Fonio_CM05836:2A:46788821:46792668:1 gene:Dexi2A01G0037170 transcript:Dexi2A01G0037170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDVELMEPDKKAKILCLHGFRTSGSFLKKQISKWHPSIFQQFDMVFPDGSFPAGGKSEIEGIFPPPYFEWFQFNKEFTEYTNLDECISYLCDYMVKNGPFEGLLGFSQGATLSALLIGYQAQGKVLSDHPPIKFMISISGSKFRDPSICDIAYKDPIKVKSVHFIGEKDWLKVPSEELASAFDGPLIIRHPQGHTVPRLDDASVKQLYEWSSNILEDDKNEDVHVPNALDSEEGTGAELAENLVEQVAA >Dexi5A01G0025620.1:cds pep primary_assembly:Fonio_CM05836:5A:29456403:29459574:1 gene:Dexi5A01G0025620 transcript:Dexi5A01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVIAIAAGEVHTLALTGDGEVYSWGRGPFGRLGTGREDDELVPTAVAPAVDEGRLWPRFVAVAAGAYHSLALDDQGSLWSWGYNLYGQLGYGDQNSLFPCLVDQFQDLDPPETLDNEAQSTTRSQTSLKLSSVKAGGMMSFAIDSLGALWMWGNCPQQTDAGEFCIASSSVPLPVWDFHGHTVVKVACGSEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGASHTAVLTNKKSFDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDAGDALYPIRVQSPETDGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPLGENFQEIHEDRAEASTSRVNDRASSELEQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERALPHSLQESPVFDIRKEFENMLDAADTDELNRLEIFYRSMLSGVKDKLLRRRVQEMVQQCIISLSAGRRTPQDQ >Dexi5A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:5A:1704127:1707993:1 gene:Dexi5A01G0002420 transcript:Dexi5A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQGHRCSSGLLLLFFFLILLQAQAGRSASSINGEGLALLELKARVEADPHGAVQDWDPMDSSPCRWSGVQCLDGKVEILNLTGQELAGTLAPEIGSLQRLRSLLLPKNNFHGRIPREFVGLSALEVLDLSSNNLDVTIPEELRAMPLLKQLSLHDNQFQEGVSSVFIQEIVDDQAGCFSRKLGCWSDFKDWISFSVLREKYYTNVPSFRKAHIMQNLQSFASAMRRRLLSEADNLPALLGNDAKSSALGNSKEIPRSADVLSLGSGSFPAFPNSYGQALTPLVPEAIDAAALQQLSTEVAQSTNVEMSGTKYSKWAYLITIPAAVLLIFLIVVILLVWRKRGGAQIAPWKTGLSGPLQKALVTGASKHVCFCNSGVSKLNRVEVQAACEDFSNITNTYPSCTVFKGILSSGTEIGVVSSVISSSKDWSRSAETCFKKKIDVLSRVNHKNFINLLGYCHENEPFVRMMVFEFAPHGSLSQHLHLQEFEDLDWAARMRVIMGVAYCLQYMHHELNPPVAIHNVRSDTTFISDDYAAKIADVGVWDELAAKAKSGKEDGSSRSEAPPDLPSNVYCFGALMIEIISGRVPEPDDHEPICTWATEYLRDKNYSKLVDASLKEHKGNELEAVCEVIQECIDPDPTRRPTMRDVVGKLRTPLGISPESAAPRLSPLWWAELELLSVKST >Dexi2A01G0033610.1:cds pep primary_assembly:Fonio_CM05836:2A:43825625:43826127:-1 gene:Dexi2A01G0033610 transcript:Dexi2A01G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEYSLPPEEVQAQVPSEEKSAVCAVSEVAPEKSAETTPANEATIAVEETSETPEVKGSSEEPEAEESPASEESGETAEESNDAAEEAADEKPEIKV >Dexi4A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:4A:483652:487958:-1 gene:Dexi4A01G0000730 transcript:Dexi4A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLSKAKATASRLLSTASSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGNCQGVIALNMEDGTLHRFHASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDAVVPGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRVANISKPGKICQKQKPLEKDAGERTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKTWESFHDVKLSDRSLIWNSDLIETLELENLLINACITMYSAEARKESRGAHAREDFTVTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >Dexi2B01G0023360.1:cds pep primary_assembly:Fonio_CM05836:2B:32860081:32860533:1 gene:Dexi2B01G0023360 transcript:Dexi2B01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGTLTGNSALLQSTASVSAPPLDATSSTVRLRGGRSGSSCRTSLGLGGRAASRRASSRPAGPGAGPVEPSPPTATPGEMPNLRKTASTSTPAIEAQETETWTPMATLPYFFTSSLIRPENAMAAAAAAGKVEVYDLGAAL >Dexi9B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:9B:10854147:10857852:1 gene:Dexi9B01G0015850 transcript:Dexi9B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLIEATRLLSTPLPRPRPPLLPPRRGLRLPLRPARLPALRAHHRLPPPPPPPAAEEEPATRPGPLLALDALRRSVLDSLAALKRPALALLLAGALLAAASAGGGPNVAALAASGGRVGGSAFSSRSSSSPPSYGYSAPAPRGGYTSAPFYSPSPFVSVGPVVGFGFGGSGFLLALMGFAAFLYLAGFLSDSPGNGSVLTETQKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYTSVDVKRSMDDGEKRFNQLSIEERGKFDEETLVNVNSIKRNKAGSQRSSGFSNEYIVITILVAAEGVYKLPVINSSNDLKTALQNLGGIPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >Dexi3A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:3A:7896882:7901149:-1 gene:Dexi3A01G0011090 transcript:Dexi3A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLDGLPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPPAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVREKAASDPESTPLCEFYETFDRAAAAGDLASLMPPGVYTLADLRALGRQRRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISHEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPDDILREAVPGNIRRAEHFLAVLRRLVRFLDGRLDTETVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMMTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDDRMPDIRDPVIQLSCHDASLAIRPVFDRFETVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGKKTLLTEEDLQAMAQDAMEM >Dexi6A01G0020790.1:cds pep primary_assembly:Fonio_CM05836:6A:28181342:28181913:1 gene:Dexi6A01G0020790 transcript:Dexi6A01G0020790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRGEAELQLVWGTTTAGVSGNDDAAAAAACLCPAAVQAAAAGATGGAFTLFPRHALEQEVLHRADLQQQLMQQQGGGGGDRRRERKMRNRESAARSRLRRCAYVSELEKEVSLLRAEIDDLRKLCNQLKKEAAEAPVQHQAAKNNKRPAGGQLQRTSSASF >Dexi9A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:9A:8132213:8133066:-1 gene:Dexi9A01G0012750 transcript:Dexi9A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSHQADADGPNTMKLLCSHGGRLTSRAHDGAIRYVGGETRVLVVPRDVTFRDLTKKLQEMIGGGAEVLAIRHRLADDGLEDDDVLVSVTCDEELAHMRAEHDRLLATRPAARFRVFVTTAAPAASVVGSGRRGGVVRRARSPAAVKAGLPPLAPKMRRAQSEQACCTAAASTTTQRRCGASRARGTAASPMNAVPYMSKKVAAAPSMPAAVATAGRAVFTDAAKEKARSRDAQAAAMEDRRAIWEFE >Dexi3A01G0033680.1:cds pep primary_assembly:Fonio_CM05836:3A:38367648:38371784:1 gene:Dexi3A01G0033680 transcript:Dexi3A01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDSVTTACSDEKRRRILAAAIPLDLLISEVLVHLPAKSIARCRCVCSSWRAGIAAAAFVRRHRDLSRARPPPSCMLPIPHEINATTTAISFSRLPLPSPGHTVSEVDPDLAFDTAVSPEPDGDVMRVVYLAHCDGLVAITTATGRVFVSNPATQEFNAELECRKPRRLPVAPIGFDRWRNSYVVARYFYLTYVERLLVDEATGEWSSYDYADNIGHEVFTLGGGSWELTDDPPHAIGGVHQPVCTRRGFYYWQSDVAKPQLLRFGLQHRTFEVVSRPTTTAGSNHLDGMAFLDGKLCYVHVAAKELASLHIWMANDDDEGLELEWSLLCRVDIPDPVYNLMFSDMVYRCVCRSWRAGIAAAAFVRRHRDLSRARPPSSVLAIPRECDPDDRHATSTDITFHRLVLPPPGQMATEADVILHKTWHEGITRAIYPTHCDGLVAIATATDKVFVSNPATGEFAALPLCTHNAELDHGVAKAVPVALGFDKWRNSYVVARYFYRTYGETTFDEATDEWVQDGDYDIGHEVFTLGGGGGGGGPSWELTDDPPHAIEFQSPICTWRGFYWYSDMPKLRLMRFGGLRRASASHPGWSHLDSMAAMDGKLCYVHAAADASFFQLWIADDGQDLQWSLRCRIVHAPGFRYFKPIIADGDMLVVAVGETVQCAEREYGGSGGQAAPAAIQEAGRIRVYLYPALF >DexiUA01G0024910.1:cds pep primary_assembly:Fonio_CM05836:UA:52056447:52056645:-1 gene:DexiUA01G0024910 transcript:DexiUA01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIFCAVCCRTSGPAIPLRLKPPSTVARRDDVQHSDSAVEQRHYGRPPWAL >Dexi5A01G0034730.1:cds pep primary_assembly:Fonio_CM05836:5A:36730035:36732701:-1 gene:Dexi5A01G0034730 transcript:Dexi5A01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWALNLKAGGSCLMPRHAQPPPASPLASAGEIGSLSVRLTRWKRQPARWPRLVVNASGRKSKSSREDGDESKDKASSSGKGDASTPSGDASKRPTQNQGESESNDTMYIPSNLAYWRDVRASFVIPKLEQMVDANSPPQTSKDEQVYHLPRKWAHSIPMPESGCVLVATEELDGNGTFERTVILLLRLGSRDAYDGPFGIILNRPLYTKMKHVNPSFGEKATPFGDCSLLFGGPVDMSLFLMKTAEGKPIKGFEEVVPGICFGFRTDLEKAGALMNNGAVKPEDLKFYVGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDAVTTDPSCLWTEILQLMGGQYSELSQKPKQDGV >Dexi3B01G0021260.1:cds pep primary_assembly:Fonio_CM05836:3B:16162601:16163002:-1 gene:Dexi3B01G0021260 transcript:Dexi3B01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNSFLPLAWPYALVVAALLFAIFSWLQRPRRVAEAFRRQGIDGPPPSSILSGNLSEMHARAEEAAGGRDFEKEGFDDYCKRIFPYFDKWRKAYAL >Dexi5B01G0008590.1:cds pep primary_assembly:Fonio_CM05836:5B:5815562:5815864:1 gene:Dexi5B01G0008590 transcript:Dexi5B01G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAANRSLGRRGSIAARPLRRHRRLTAPAIAIMYLMWVCLHGDLVAAAVPLPRRRLSLVAAGSHVVGSRPVPAGGAGADARFGDDKRRIPSCPDALHNR >Dexi2A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:2A:11441867:11442664:-1 gene:Dexi2A01G0010280 transcript:Dexi2A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARLSLLPCRLPAPLPPPPAALRRSPRAAAAAASTSPRCRVVWTAAAPARGRWWRRRASSEGQVQQQQQQQGEEGEEVVDSNVLPYCSIDRKQKKTIGDMEQEFLQAMQALELFAAPGIQAFYYDKKAVMSNEEFDNLKEELMWEGSSVS >Dexi8A01G0009720.1:cds pep primary_assembly:Fonio_CM05836:8A:13576276:13578024:1 gene:Dexi8A01G0009720 transcript:Dexi8A01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKKGILNGYFLWLTVGYAVA >Dexi5B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:5B:2481543:2481767:-1 gene:Dexi5B01G0003640 transcript:Dexi5B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGTGNAPGNWTSDLRTVPPRVLTWAPPQRTLASPCTDAAGPAPMRLCTLPPSRALAPCSCTRPAGTLQARW >Dexi9B01G0042770.1:cds pep primary_assembly:Fonio_CM05836:9B:42937648:42940802:1 gene:Dexi9B01G0042770 transcript:Dexi9B01G0042770.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILNCYMLCILVDPYQGEFPETIEEYLHHGTMKCIAFNRKGTLLAAGCSNGSCVIWDFETRGLAREFRDKDCTAPITSISWSRYGHRLLASATDKSLTLWDVPTGEKIARITLQQTPLRASLQPGSPTPSFCLACPLSSAPLLVDLNTGSTTVLPVSVSENGNPPAPNPRNKFADGTPPFTPTAATFDKHGDLIYVGNSKGEILIVDSKTIQVHAIVPIPGAAVVKDIVLSQDGQYLLTNSNDRVIRVYKNILPVKGSGEEIRNISNNSNSYESQYDKLKANGASCLILSCELSDAITKIQWKTPCFSGNAEWIVGASANKGEHRLQIWDQRGRLVKILDGPKEAVIDLAWHPIEPTIATVSVTGYVYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNPREEEAEEVVIDENAEIDIETYEKNAVFSDVEDSVDEIVYLPAIPSPDAPDEQPEKCLGSSSKLEDSNHSGSPSSMDAVQNGQAIPQVSSPMEVDNSTAEDPAEGPNSKRKRRLSVKGLEMQQSEKGKKPTTKNKSNGKSAKSSAKPMESANGNSSAFDDEATEDDEVNVDS >Dexi4B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:4B:1527712:1530248:-1 gene:Dexi4B01G0002410 transcript:Dexi4B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQNGRDDFFGGRDPFAGFGGFGPQRSVISGFFGGRDPFDDPFFTQPFGGQMMGGPGMFGPSFFGPMGGPFGDMGNNAFIEQAPPRSNGRRPVITELDEEEGEDVGHSTEQANQDSYVQEPDDASDEMEGGQVQLRRDHDRANSGGQPQAHTFTYQSSSVSYGGINGTYYTASKTRRTGSDGGHSLTRKLNSDGKVDTTQILHNLNEDELAGFEESWKGNAGHHLPGWNQNTGASNSDSSDCTQVDTKWDATCVTAVPVDVTGVQRGAGLFQEQSKAVIQGAMGSRNHESSRSPEESISSRTSREINT >Dexi9B01G0037130.1:cds pep primary_assembly:Fonio_CM05836:9B:38636771:38639413:1 gene:Dexi9B01G0037130 transcript:Dexi9B01G0037130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTEKFGGLRITSLDEDDEETVVPHQPRPGSAAAAADYEDDDEDEEEEAEVTLGVLKKPKRPGLLLRHLFPSKAGGIPAWLDPVNLPSGKSSCCGFCGEPLQFVLQIYAPIEDNAAAFHRTLFMFMCPSMACLLRDQHEQWRHKHGNPCRSVKVFRCQLPRTNTFYSTEPPKHDGSDKPLCPGGSVIVLHWRTGHKNDCLQIISSDAKSSVLSAIGKVPASTSWPEFEIEIDYEGTFDSDSCDENNSKSLAMQRHGKPDAMMQSWMDQFEADADNKCWASFQDRVSRAPEQVLRYCREPNAKPLWALSGGCPSNADIPSCSYCKGPLCYEFQIMPQLLFYFGVGNQPDSLDWATIAVYTCQGSCDQSVSYKEEFAWVQLYPTTTRR >DexiUA01G0005620.1:cds pep primary_assembly:Fonio_CM05836:UA:10221685:10222060:1 gene:DexiUA01G0005620 transcript:DexiUA01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPTVLREYGLTSVTEGIDAIATTRVVITGDVSINSKHALTGQSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSEVPESASVGSKE >Dexi4A01G0022550.1:cds pep primary_assembly:Fonio_CM05836:4A:25829366:25835802:-1 gene:Dexi4A01G0022550 transcript:Dexi4A01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPPPPGGTTKSYFDVLGICCPSEVPLVERLLSPLPGVTKVTVIVPSRTVIVVHDAQATSPAQIVKVLNQAKLDASVRAYGSGTEKKTNKWPSPYVLLCGACLVVSLFAHFWRPLKWFALGAVAAGVLPILQRSYAAARRLTLDVNILMLIAVSGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSSLMSMTPQKAVLAETGQVVAAQDVKVNTVIAVKAGEIIPIDGVVVDGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSDTQRLIDTCAKYYTPAVVVMAAAVAVIPVAVRAHNLKHMFQLALVLLVSACPCALVLSTPIATFCALLTAARTGLLIKGGDVLESLAKIKIAAFDKTGTITRGEFCVEEFQAVSGRVPIQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSDNVTEFQIYPGEGIYVPDMKDMKGVTFGYVACKMELIGVFTLSDSCRTGSAEAIKELRSMGIKSVMLTGDSAAAAAYAQGQLGNILDEVHSELLPEDKVRIVDELKEKHGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPMAVQLARRTHRTIIVNIIFSVITKLAIVGLAIAGHPLIWAAVLADVGTCLLVIMYSMLLLRSKGGQKAKKCCASSQHGSHAKKHCVSRHCSDGPCKSTGSCKELSASKHGCHDHAHGHTQCKKPSNQQATEKHACHDHGHSHCKEPSNQVVTEKHACHDHSHSHCKQPSSQLVTEKHACHDHGHTHNHQKEPGNQVLVENHGCHDHEHGHCKEAKTSQSSDSKDACHGHQHNHCEEHNRSHSAGEHDCHVHEHSHCEEHSHPHSAVDQACHDHEHEHHCHAEQQPVHTVDSHHCHDHEHEHVHGEIEESEKDCHAELQHHHSHCCHEPHEQEKKIAAEPVQEISISIGSLPDENHEKDDQCSHHSEEHKVEDGTNHLKAKGCIPPPADHLSRNCCSVTSNKGCGSKGKDICPSWQAVCTREASRCCRSYVNCPRTSSCCSHTMLKLPEIVVE >Dexi2A01G0036790.1:cds pep primary_assembly:Fonio_CM05836:2A:46244022:46245534:1 gene:Dexi2A01G0036790 transcript:Dexi2A01G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILCLVASASAQLSPTFYDTSCPRALATIKAAVTAAVAQEPRMGASLLRLHFHDCFGCDASVLLNDTASFTGEQGALPNAGSIRGFGVIDNIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWKVLLGRRDSTTASLSQANSDLPAPSFDLANLTAAFAKKGLSRTDLVALSGAHTIGLAQCKNFRAHIYNDTNVNAAFATLRKGSCPSASGNGGGNLAALDTTTSTTFDNAYYSNLLVQSGLLHSDQQLFNGGATDSLVRTYAATPTCFNKDFAAAMIRMGNISPLTGSQGQVRLTCSKVN >Dexi9B01G0005340.1:cds pep primary_assembly:Fonio_CM05836:9B:3126774:3127399:1 gene:Dexi9B01G0005340 transcript:Dexi9B01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRALLLLAAAVAAAALVGSASAQSGCTTTLISLYPCLNYISGNVSTPPTSCCTQLATVVQSNPKCLCAALSGDSSTSLGGVTIDKNRALALPQACNVKTPPASKCNSAGGGNSPSAATPSSPSTGTGSPASAGEGTGSKATPTSPYLTSGGASIRGAVGLVLAFAAVTIYAV >Dexi6B01G0014750.1:cds pep primary_assembly:Fonio_CM05836:6B:22223060:22223444:1 gene:Dexi6B01G0014750 transcript:Dexi6B01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVRVYTAGHVEEELEAAKREYLQAAVGVSPVSGLAIPKLLHWYLPDFAKDVSSLVDWVCLQLPRELQRDAVRAVEVAATGGGRRNSLTKAPRWPVRVLPYEFRFRYLLAL >Dexi5B01G0005450.1:cds pep primary_assembly:Fonio_CM05836:5B:3681346:3684186:1 gene:Dexi5B01G0005450 transcript:Dexi5B01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAEGSIPCSGVEAPQDLMPISSLPKLRCRRLNLRRAMPLEVVRHLFINENSNMSMMTDSEQCLHEAVAKRRKVAEISLCDLPMCLELWSVSLQLPSDYKGFLNLKSLTLVDRTIVPERPFKFTYLRNLRLELIILGKEIRTTDVLDYAHLLKIAPFMETMELIMFMSCRHRPYCKADGELRIGLPHQHTHLKNVRISGFFGHKEQVELALHILRSSIVLKKMDVTPKLEITRIASRDSLSISSITGMAIGLPLNLSAKQTITMW >Dexi3A01G0032660.1:cds pep primary_assembly:Fonio_CM05836:3A:37520687:37527273:1 gene:Dexi3A01G0032660 transcript:Dexi3A01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNQGQFKPGTNKNLSWQKPVPSDNLVITFSDDDSGTDSGKTRQDALRGRKATPQEHRVTTEVSGQIHNGSATKFLPHHKGIEVAVVPVGHSPSAVLAQRPQPQPQPGEKNADQNTRLLSLLEREELQEKELENAQEHRRKCEVEEREALRAYREAQRALLEANERCTILRRKREICSAQVHGLIAENSSLVQPLSIQNIEDGIAMPSLLNSQIHANSQMPENEGGRHSLHPEEPPQQPVDKHEALPHSSRYDNLVASTADPNFVSTANDNNMPLDYMDDDLLFPASQARSERALDLENQMEETIHAYAENIQASGDSVQDYELLEASLRSRLVERFGKKPCLNCTSEGTEEVAVGNVVAEHGKQSAHNKTVQGSVDVELFLEGALDLYCGKVNKPDRIKALLFLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSDAVHYLLIILLPFVQHNVYSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTADTDKDLKERSAFILDIFLQMIYFLCMSGNVERAISRIFGILPTATPDNSGDKLLADVISCLTMPDRCVFWISCLYVSIYRKLPEEIVDQLEFQKALPRSLVWSPIDPNIDNRNQIIELLNYAAYKMAEDISECVKNGDPSYLMLSQFLAVNHTSCLAAVEGFKSSADMLVKYMKEYPMCPQILLISARLDRNQGAYPDLKGFHELILNWPKEVQGVQYLWNQYFEHALAADTKLAEKLLNCWFEEYAKDCDVQSNAAVGAVEFSNEEPGPPSLVSVQEVGSGPSASEDHVFWLLNLSLYKLLEDDLHEAQVAVDKALKLAHGECYEHCLREHAAIHLLELEKSSSSLDAQTRSTFSFIIGHLADHRNLPTRELLSRRFCQNVKRHRLRQLIDDTIGPVPSDSTLVNSILEVCFGPSLLPGRIGDVKYLVDFVETVVEVLPANYRLALAVGQFIAKHYRGSDATSMGTRFWASSVLINTIFRAVPVAPESVWLEGASLLEKLQTTEIVKRFYQQATSVYPFSFKLWDAHLNSCKASGGNAEGIVESARQRGIELNMAPT >Dexi1B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:1B:21888707:21893453:1 gene:Dexi1B01G0015370 transcript:Dexi1B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRPPTLRRHSNGTVLFAFPTFGFAMAGNFRGQLLLGLARSSEFSELSVVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDGNCLLANCLDSTVRLLDK >Dexi1A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:1A:5189785:5191002:-1 gene:Dexi1A01G0006750 transcript:Dexi1A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFNRCKQLFGISQHQQAMWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVISKISKLLFYVEYILRQKKNLSAYLRPCKILQLGPDFFIPHDADDDTTHSTLVDVDEPMGSSDSENLSAPCTNFVRKKRSGPYICARSANRVSDEDMATNMSRRKGVPHRSPLC >Dexi5B01G0003440.1:cds pep primary_assembly:Fonio_CM05836:5B:2296812:2297969:1 gene:Dexi5B01G0003440 transcript:Dexi5B01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSVPPWSHHLPVSGVDVSSSGATGDEMTPYLLAALRHYLPCNDTSSAAATADDDEEAAAMAAGVDGYGCDEFRMYEFKVRRCARARSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPQQSSPRGVAGSAAGASPLAESYDGSPLRRQAFESYLTKSIVSSSPTSTLMSPPKSPPSDSPPMSPDAAAFRRGSWPGVGSPVNDVLASLRQLRLSKAASSPSGGWSGYPGSAVAYGSPTSAGLYSLPSTPTTMGGGFMPNLEPLDVSFGGGEEPPVQRVESGRALRAKVFERLSREGTGGSMDSAATAGGPDVGWVSDLIN >Dexi7A01G0023630.1:cds pep primary_assembly:Fonio_CM05836:7A:31560761:31566109:1 gene:Dexi7A01G0023630 transcript:Dexi7A01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSLPAASSPAPHLPPPRTFKPVASSFRRPSPPPPAPPKPSPPPPPPPNPLSSKLWLSSKLSPPPPPPPPQPPSLEPIEEPPPPPEPEPEQEAAPVRQEDFRHKGKVFVGNLPLWARKPEIAEFFRQFGPLDKVELVRGHDDPERNVGFCFLYYGGDDPEAAAERAVEVDGVEFRGKSLTVRLDDGRKGRARAEDRARWVEDGLRREARSPWHKGREEACREFRRVLESRPEDWQAVVSAFERIPKPSRREFGLMVVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCIEEMKSEGIELTVVTYSILIAGYAKINDAQSADNLFKEAKTKLDNLNGIIYSNIIHAHCQSGNMDRAEELVHEMEEDGIDAPIDVYHSMMHGYTTIQDEKKCLIVFRRLKECGFKPSIISYGCLINLYVKIGKVPKALIICKEMESNGIKHNNKTYSMLINGFIHLHDFANAFSIFEDMLKSGLQPDRAIYNLLIEAFCKMGNMDRAIRIFEKMQKERMQPSNRTFRPIIEGFAVSGDMKRALDTLDLMRRSGCAPTVMTYNALIHGLIRKHQVERAISVLDKMSIAGIAPNEHTYTIIMRGYAAGGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLIKQMKEDGVPPNIHTYTSYINACCKAGDMQRAENVIQEMTDVGLKPNVKTYTTLIKGWARVSLPDKALKCFEEMKMAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMFENDLTVDLRTAVHWSKWLQKIERTGGALTESLQRIFPPDWNSSENLEVSNSVSDGDSESCSDSDFSDNDEDHDVDDH >Dexi5B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:5B:9376325:9377008:1 gene:Dexi5B01G0013180 transcript:Dexi5B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQKSHEERAESAAQEAADELHAARRSDAPASPSAGGRILGTVQESTRSLMGAVQDTFSGGRGGATDTTNTHGSAAGDTNTMASAAGDYAEEGKAKARGVADAAMGKAAETKDAAMGKAAETKDAAMGKAAETKEAAAEKARGAMDAAAEKARGAREAAADKAEGAREYAAEKTKLAAGDGGAEEEEDVMLRVKAADQMTGQAFNDVGPMGGEGTGMPRRRRSSG >Dexi1A01G0030390.1:cds pep primary_assembly:Fonio_CM05836:1A:35736484:35740583:1 gene:Dexi1A01G0030390 transcript:Dexi1A01G0030390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMASPRGRSIRETVLETVAAYHQQQRARRTLRKSLSYAGELSSASREAARAGRYAASPSVSSSLCDDDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEYGDEYRVFVKDLCKDHAGWPLNNMESSYKFMVRHVQLWKVAFHTTSPKWVHSFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVIFVTVITDLNTCHPTWFHANVNRCYCPSEEVANRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDELRKELGLDPELPAVLLMGGGEGMGPVKKTARALGESLFDKEHGKPIGQLIVICGRNKTLSSSLQALEWKVPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEFS >Dexi7B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:7B:14168806:14169254:-1 gene:Dexi7B01G0006430 transcript:Dexi7B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKRPRRNHEKEHPEPEGGRTTTGNEADCDCSILRLPEACLAHIISLTTPKDACRSSAVSTAFQAAASSDPVWEHFLPPGYRSILARADHPVDLTTSKKELFLSLAEDHVLVDQGTKSQGMCGEW >DexiUA01G0010820.1:cds pep primary_assembly:Fonio_CM05836:UA:21496007:21496486:-1 gene:DexiUA01G0010820 transcript:DexiUA01G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHPVAGWAWACCSSRACGAPALSAHFDAVTMLTQWCSVQRGGTREAKKRSGEGRPIAGPVTTSPSPCVPSVLDRSLGKRVWRRPMREVPGAPVRGSGRSGTGRKWERDARRPQPRTQAGRHEHERGWQGGRRGVFDYSSSPRSTSVRAAGRDGAAAT >Dexi1A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:1A:8478479:8478832:-1 gene:Dexi1A01G0010030 transcript:Dexi1A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFARPAAAAFHRSSATRSPAASLPRSLASVPRAPAVGRQMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >DexiUA01G0001030.1:cds pep primary_assembly:Fonio_CM05836:UA:3239597:3240873:-1 gene:DexiUA01G0001030 transcript:DexiUA01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLGEGGFGAVYKGFVDAGMRPGLDPQPVAVKQLNAGGFQGHREWLAEVIFLGQFRHPHLVRLLGYCCEDEERLLVYEFMPRGSLENHLFRRISATLPWGTRIKVAIGAAKGLAFLHAASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLNVKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQQVKLVDWTRPYLTGGSRRLRCIMDQRLAGHYSVKGARAVAQLAVQCTAPQPRDRPRMAAVVEVLEKLQGLKDMAVSVGLWPANAPVAGRNAISAKIRAEVKGAAGAGSRRRSASSKLP >Dexi2A01G0023150.1:cds pep primary_assembly:Fonio_CM05836:2A:34918768:34928594:1 gene:Dexi2A01G0023150 transcript:Dexi2A01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERGGEEEVVKLEDAVKLLVEHLVEPVLPRGALRREEALAPQNQETVARQVHATVLLYNYYHRKQFPQLEFADPKRFCICASLTAGEAMLMYLQQAHDHYDSAGGGLSVTDKAVVDACDIAEALDATKDSPEMTMWPISKVAVLLLDQTMKKCLLEHGSETKGVMSFLEKDINSALGDSHGSDLSVQESSNKSVPLSSEPFVLQQIAYSEVELKTGIKRSNLRFLEEHRVYSLSKKRTATMLYILQYEQTGNGKLMERPLEVLVSRMSGPIFRSHPGLGTTTVVECYHLLPYKEVLLNILNRAWPLDSSHNARKERLLQNGNPSSHSEIDESLKEQEANSRSKMKRMAMNVSTSKKNKQIVKVVGDSGTNKNKKNSSTNSKRKSETFRAEHGDGESPTKETDSLAAPDVESSKLVSVKATKSTNVGSIDLQARIQMDKNTKEKHSKSRNMPQDIVPAPDVDPGIKNHALESQKGKVVEKSGVTTGNNVQMYATLQLLQKMRDDTLREHCMLGDRSAQYEMEIQTILTDTYQASVYLMGPDFNMSADGDSKITPHEARNSAASHMLRQLHQKAKEN >Dexi9A01G0037110.1:cds pep primary_assembly:Fonio_CM05836:9A:41426500:41428423:-1 gene:Dexi9A01G0037110 transcript:Dexi9A01G0037110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATPCRSPLAWLFALAAALFFASWYLLLDSAAGPPAARAYHGLRLGGGGGAHSPGPGTKCDPARALLRVFMYDLPPEFHFGLLDWKPPGFGGGVWPDVRGGGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAVRVPRHADADVVFVPFFASLSFNRHSRVVPPARGSEDRALQQRLLEFLAARSEWRRTGGRDHVVLAHHPNGMLDARYRLWPCVFVLCDFGRYPPSVANLDKDVIAPYRHVVANFANDTAGYDDRPTLLYFQGAIYRKDGGLIRQELYYILKDEKDVHFSFGSVAGNGIEQATQGMRTSKFCLNIAGDTPSSNRLFDSIVSHCVPVIISDEIELPFEDVLDYSKFSVIVRGTDAVKKGFLINLIRGISREEWALMWNRLKEVEKHFEYQYPSQTDDACPQSD >Dexi3B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:3B:13501775:13504210:-1 gene:Dexi3B01G0018200 transcript:Dexi3B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAARAGAGVEESIGRRRERDAAAAGVGLAAGRRVWEFERDLVAGAVMGGAVHTVVAPIERVKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRGILKDAGTSADNKFASIALTNFFAGAAAGCTTLVLIYPLDIAHTRLAADIGRTDTRQFRGIRHFIQTVYKKNGIRGVYRGLPASLQGMVVHRGLYFGGFDTAKDVLVPLESPLWQRWVVAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYRMEGVRSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >Dexi5A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:5A:8165727:8166336:-1 gene:Dexi5A01G0010890 transcript:Dexi5A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYNGQVKVNGFPCKANASADDFFSSILAKPGATNTTTGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGGLNPPHTHPRATEVVFVLYGKLDVGFITTDNKLVAKTISQGDVFVFPRGLVHFQRNAGDEPAAVVSAFNSQLPGTQSVAMTLFGASPEVPDDVLAKAFQIGAEEVDKIKAKFAPKKS >Dexi7B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:7B:25610629:25621184:-1 gene:Dexi7B01G0020210 transcript:Dexi7B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGMASPAAEPKREQNFLVRVGMDAWTQPFAVSNKDRLVLILKNLQTSEVKIYSDASREFIQLLDGESGGEVLQEYVQQSPQLVELVEAWRLHREKPGMAYILSLFAAVLGHPDGKLRRHGSIKKSLDGIARMILEDKEKTGDVYLELNSGEPRRQNAALDLLAAIVRRGGGLASEVAERFDFKMAILPQLAGTVKKKGNRDGGNRRKGAESGSTRRSFVGFAMSFLEVGNPRLLRWVLQQKEVYSGVLRGIGNDDAETVMYILSTLRDNVLVEESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLVMVCTDPKNGLMPGSNLRGNEKRLLDLMKKLKATEVAHHKSLLLSIVSKRLSLCSAYMNEYPYNIEPRSSPSWFAAISLAADVIASAKCDSIIHTLSSNSHGLVSVDDEEVQVALKCIVPNVCSRAVINRGLLHSDGLVKHGSLRLVFESVNLLCYIIEAINDMVSRGGAKSEFIGSAKVTIKIDDSPVLSCSDAADELLIDEVHHGDEMQVKRWASLREYILDEVHGAMPDPQVLLKLLSSASQKHQNYSQSVHNKSAQLSEPPQKKRRCNSSSEVDDIIIGGIDTEQDKDTSEGLDSKIDHTITLCEIWGLDKHDLKMKDANVVEDVFHSKLLDVLRIYLRVMPSSFDGSYDFFRIIPPNPLDLSKDEQQSLLSLLLEYSGQSGGCWDLERIPESMYKYLQPLFHIMLDSQIKNIRDQAYILVKAAMASSGVFDHNFTEIDAWLVFLPGYEAKWHIRDNGRVGAPNKLSHIVIPFLCDAISVVGNNLYKYQEHTRKLISKSGQFEGTIFFVNVDITVTLLLYYVKCTPTFSPLIICILQKCFRLLDSESGSMKLHEKSTISLYVCNTIHLILQSQLQRENFTDSFEVTSQRIEVARIYDPIFILRFSIHTLLMGYIEPAEFSRLGLLAITLVSIASPDQELRILGYECLGAFKRSLEVSQRSKETLQLQLLLTYLQNGISEQWQKIPSIFAVFAAEASLTLLDSSHAQFTVINKFLMHSTSVSLQSIPLFPTLLQSSSVHFRAERLWMLRLLSAGSNLSDDAKIYKRERVLELALAFCSSPVSDSESKVLVLKVLKKCVKLPVLAHDLVKESGLLLWLSSVISILSEGSDGAESSCSRVTELTLEVVNDLISSRLITDWLQDTALEQLSVISSDLCVLINNAKLLKGNVPLLTSALSVITSTMRLSMKRKIYQPHFTLSLHGVFNLCQATGSSSRSAKHKSAMELGIDAILMNGPTPILSEMDKSRISKVVSWATSNIFWLYSNQRSLEISSKEPSINESLLSKLLRLLVASVILGRISSICHGKIGDLARSTSSLGTLHSFLNDAYERVETEESCSANDALAVIILYLQDHVQKNSDSLPSVVMALCLLLLDRSSKQVNKHLADNRGKIEMLCAKIRCPAEYNPSWRWHYYQPWKDPAALRTEMERMEEEQACRSLLIVFSNAFSACQPELPVLSLDDVEKSGLFQWERESVVKERHCT >Dexi9B01G0031400.1:cds pep primary_assembly:Fonio_CM05836:9B:33790255:33792918:-1 gene:Dexi9B01G0031400 transcript:Dexi9B01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALHFHRAAFLSPAHPRDAGAKWPLHHCSPHRIPVARRACFLRAKSLNGRPQIGASFGNTNEVRDGRPAGDGPQKEKGGSTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRNLISTTLTCRIDKRENCGDKMGQFLQRCFYQSGQYNSEEGFAELDRKLKEKEAGKLPNRLFYLSIPPNIFVDVVRSASRTASSSSGWTRFIVEKPFGRDSESSGQLTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLVQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLKLDDVVVGQYKGHTRAGRSFPGYADDPTVPNGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRGEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLRELEEKRVAPELYPYGSRGPVGAHYLAANYNVRWGDVNIDDDGSLF >Dexi3A01G0018980.1:cds pep primary_assembly:Fonio_CM05836:3A:14805581:14806457:1 gene:Dexi3A01G0018980 transcript:Dexi3A01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEFQHGTDKQPLLDAQLELWHHTFGYVKSMALKAALDLRIADAIHRHGGAATLAQIATCVALQPSKLPCLRRLMRVLTVTGVFRSVVRQQQPAPADDEQEAYGLTPASRLLVGSPSVSPFLALMLEATFVSPFLGLGSWLQRELPGQSLFEMAHGVKPWDLASRDPSFGSLFNEGMVADSGFIMDVVVKECGDVFKGVSSLVDVAGGLGGAAQAISKAFPSVKCSVLDLPHIVANAPAGTDVKYIAGDMFQSIPPGNAIFLKH >Dexi3A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:3A:14087260:14090223:-1 gene:Dexi3A01G0018450 transcript:Dexi3A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGIAAAATAAVLQASAQPDSIGFISIDCGLPGTANSVDDTTKLSYAPDAGFTDAGSNQNISGLPTPGAGGGAAGGAGTGRGGRQGGARGGAAGGAAAGAGGGQPAVRATATATSPGPERTAAAVRGERRDTQ >DexiUA01G0026420.1:cds pep primary_assembly:Fonio_CM05836:UA:56460043:56461472:1 gene:DexiUA01G0026420 transcript:DexiUA01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGKIKAYELSNKITKEPSTSSQEIASSSKQEEEEKDCEKKQRSSKQKKKAHKHVSSSSSSEEEESDEEEEEDEDDESDASLDEESKELIKKMERMLKKLNSKGVPITVQDVNMVVEPIDELIGQEIEELKQEVEKLKKDLAKLKNKEVASESKKQPSQDNHPKMVKKLEKGTTMTCYTCHEEDHKSYECKKKEEEKKKKVTPNTKPYLKVDKVKSTPYLLKKKDNKVVAHLLKANKGVKPTYLGAKEIIATMKGSKKVWVPKAT >DexiUA01G0011290.1:cds pep primary_assembly:Fonio_CM05836:UA:22768726:22769234:-1 gene:DexiUA01G0011290 transcript:DexiUA01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLSSCGDDMALFRRCLTASFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >Dexi1B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:1B:5260136:5260718:-1 gene:Dexi1B01G0006410 transcript:Dexi1B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFNRCKQLFGISQHQQAMWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVINEISNCCSM >Dexi4B01G0015940.1:cds pep primary_assembly:Fonio_CM05836:4B:17932610:17936004:-1 gene:Dexi4B01G0015940 transcript:Dexi4B01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPATTTTAAPEEVSAVEGAPAAALPVVSIASLYVGDLAESVDETVLLGVFSQVAPVASVRVCRDTITGVSLGYGYVNFHTRQDAVRALEALNFVPLNGKNIRLMGSKHAEEWTINDEKLKKLFEEFGEITSCKITLDSKGRSKGSGFVSFATTEAGHSAINAMNGKMVENKPLYVGLHQPKGQRRAMLTAHFAQRYLAMAAVPYAAPQQVYFGHPTPGQIPPQAAVVFGFPPHLVPGMGPGTPFVMPQNVQRIGARHGAMLPQMYRQQQQVVFMSYVHRFFMFVNPQLATAPASIYVWYLTHFLVLILLAFRAQMTIHPNANQRVRYMLNARNGAYPPKLPQGFASVMPSVQQDVSNLTSALASASPEEQQQMLGTKLYPLVEHLEPGQAAKVTGMLLEMDKVEILNLLESPEALRAKVREAMLVLQRSAPGGSADPAATVAAQQ >Dexi5B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:5B:6576653:6579075:1 gene:Dexi5B01G0009550 transcript:Dexi5B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAHSPETREMGGDPGKLIKSVKGAAQKYLGIGFLLGFFLVLLTYFTVSEQFAISAPNAIRRASPGHAHTSPSPPITPAVEEKTQQPPVIEDKPPKAEVEAEKPPKAEPAAEEKPPAVVVEEPHHEIVEDRKPIQDDGRSSIGGDGATKEESAPAKKPACDIQGPWASDVCDVTGDVRIRGSSGTILIAPSIESGANPNPQEWQIRPYSRKHQAGINEVTVRELASATDAPACDVTSPVPAMVFAMGGLTGNYWHDFSDILIPLYLQAVRFNGEVQLVVENIQPWYVGKYRAILKRLSRYDIVDMDKDDKVRCFPGAVVGIRMHKEFSIDPSREPLGHTMPEFTRFLRETFSLPRGAPARLTGADGEENSSVRPRMMIISRRHPRKLVNVDDVVAMAERVGFEVVIGDPPFNVDVGEFAKEVNAVDVLMGVHGAGLTNSLFLPTGGVFIQINPYGKMEHIGEVDFGVPAVDMGLKYIAYSAGVEESTLVETLGRDHPAVKDPESIHRSGWGKVAEYYLGKQDVRLDLQRFEPVLRKAMQLLRE >Dexi8A01G0008180.1:cds pep primary_assembly:Fonio_CM05836:8A:9558842:9560434:1 gene:Dexi8A01G0008180 transcript:Dexi8A01G0008180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIMPVILSFLLLVLCHPYAAASSPGQQAPCCYKRLFSLGDSIIDNGNLGTVNPNVSALSFPYGETFFHHPTGRFCDGRVIVDFIAEALRLPFLTPFLAGKTAEDFEHGANFAVAGATALSQQFFKDMGLDLTIIPPFSLDVEWFKRVLYMLGPTEQERKEIMSSSLFLLGEIGGNDYNHPFFQNRSFSTEIKPLVPKVIEKIENATKVLIDLGAKTIVVPGNFPVGCIPSNNSGDFDASGCIKWLNDFSEEHNHALRRMLKRVASTDPTVTILYGDYYGAVLEITRNPAKHGRREDTTTLTCWVQEGRCSDRVLWRRWPHNSGTLFSCNATSVLCPDPSTHISWDGLHLTEATYQFVARGMLDGPYAVLSILSKCKH >Dexi8A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:8A:4998016:5001108:1 gene:Dexi8A01G0005440 transcript:Dexi8A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPVQPPSLAVDDFYNDDGFDWEAAVREIDEACARASVSASAPAAPVLAHHSLPPRHLEPSVTAPLHRPPAAAPAGGGAARQSTLDRFVGSFTKRRPENERPGPTTASAPAAGVEPSGGGAGRPGGRVGEGCSRQAVEKAVEDRFVETFTRRQREKETAAPPAAMAGGQKRPAARASKGCTRRANVEVELDPCAVALDLEAVQTWIYPTNVQVREYQKYMVEKALFTNTLIALPTGLGKTFIAAVVMYNYFRWFPEGKIIFAAPSRPLVAQQIEACHNTVGIPQLLAAHVPLRILALTATPGSKHTGIQNVINNLYISELIYRDEEDSLVKDYVNTRKIQLVTVPVGSDVNQVEDMLLDIIRPRLNRLRDARVIDHRDYAKV >Dexi5A01G0026790.1:cds pep primary_assembly:Fonio_CM05836:5A:30347234:30348808:-1 gene:Dexi5A01G0026790 transcript:Dexi5A01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLITVLAAEAAVAATLLFKTPLRKLTVLALDSLKRGRGPVMVRTVAATVLVVLASSLHSMSQIRGHADGELDGAGVVGLTPTDQVLIARHMLEASLMGYSLFLALVIDRLHNYVKEIRRLKKNLEAVSKQNKTMLEEPNRGISKESEHDQKDISDAKKGA >Dexi1A01G0011480.1:cds pep primary_assembly:Fonio_CM05836:1A:10494574:10495593:-1 gene:Dexi1A01G0011480 transcript:Dexi1A01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASADVVATSAPPPPSSAPAPPIGWLRAHATFYGGADASGTMGGACGYGNLYAQGYGSRTAALSTVLFNDGASCGQCYKIACDRKLEPTWCKPGVTVTVTATNFCPPNLELPDGGWCNSQRPHFDMAQPSWEKIGIASRGIIPGSMLRFMINGHDYFNLVLVTNVGGAGSIKSMDVKSSDSADWMPMARNWGANFHSMAYLSGKMLSFRVTVTDGQTLVFTNVVPAGWTFGMTFASNLQFKSSP >Dexi5A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:5A:4008114:4008854:1 gene:Dexi5A01G0005360 transcript:Dexi5A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKVNLQWISNDTSRRVTHKKRCKALMKKTSELTTLCGVKACVVVYGEGEAQPEVWPSASEARDVLEKFKDMPEIGRFKKTQNQEDFIQGRISKLREQVCKLDLVNREHETSRLLLESMEGRRPGLVGTNVEELTNLNRMVEEKMAKVKELLHQQVVREGAVPSHPVLSSSQPQASYTYTEMQALVESVELQQGWPTNLAPNNAFANSSNGCADTSENRGDMTQAYGMGCFSGLGTQDVFPPME >Dexi8A01G0006870.1:cds pep primary_assembly:Fonio_CM05836:8A:7372931:7378953:1 gene:Dexi8A01G0006870 transcript:Dexi8A01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPPNPMGPGQPVGGAAASLLRTSSSLLSGGGGQPGMGGGGGGMLSAQSPFSSLVSPRTQFGGNGLLGGASSVSSLLNRQSFGNGGHMQGPGSMQGGGMPMSTLQQRGMDGGGDFIGAGGSDPLSFPSSSQVNLGNQMGSDNLQATSQQQQQQMNAVQDMQQQQLPMSYNQQQQPSQQLQQPQATVKLENGGNMVGIKSEQQMGQPDQNGPSQMMRNPGGVKLEPQQLQAQMMRSLSSVKMEQQGSDSSVFLQQQQQQQQQQQQHLLQLTEQIRNCPDLVSMGGPNAIANPQAAAAAQLSLLQQQQRLLHMQQQQQQHQILKNLPLQRNQLQQQQQQQQQQQQQQQLLRQQSLNMRTSGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIISQVSNLGSVVQKYQAAAQNSASLSAQEMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRNTGSGPIDSLHNFPRRTSSGANPLQPQQQQPEDQQAIPQSSNHSGQNSAPMTSVQPTASANGDVTSNNSLSCAPSTSAPSPSVVGLLQSSMNSRQDHPMGSTNGGAYNGGGNAAIPKVNSTSSLQSNPSTSFPSPVPTASNNNMMPAPQNTNQLSSPTTSSSIPPMQPPATRPQEAEPSDSQSSVQKILQDLMASQMNGVGQSGNDMKRPNGLTPGVNGVNCLVGNAVTNNSGMGGMGFGAMGGFGHGMRTAMANNPMAIGARMGMNHSAHDLSQLGQLHQQQQQQQHDIGNQLLNGLRSASSFNNIQYDWKPSQ >Dexi5A01G0035050.1:cds pep primary_assembly:Fonio_CM05836:5A:36937857:36938675:1 gene:Dexi5A01G0035050 transcript:Dexi5A01G0035050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLAIVWLLLVSSLSSCSGTPSDIQCLRGLKESLSDPNHALSSWKLSENTTDGYICEFAGVNCWKPSESKVLSLNLGNMGLRGPFPRALQFCTSMTALDLSGNNISGPLPADINLQLPLMTSLDLSNNSFSGEIPSGIGNMTYLSALNLQHNRFTGRIPELNLPRLSPIPASLGRFPAQDFAGNAGLCGSPPLDRKCKKHFHVRIRVRPVRIHIRLPRVNDASSIGAAAGFVAGFVVAFYFPHWFVFCGGLRPYIFRVCG >Dexi3B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:3B:11654225:11656605:1 gene:Dexi3B01G0016080 transcript:Dexi3B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTIGGGDDAFNTFFSETGAGKHVPLGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY >Dexi1A01G0007320.1:cds pep primary_assembly:Fonio_CM05836:1A:5619228:5622650:-1 gene:Dexi1A01G0007320 transcript:Dexi1A01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPAAHAPADLSAAAQVSALPLQVDLLQLPPEVPAPGAPALRGVLDRLFAHWLSLPDTAALVATLVQKAKATGGGGGGAVGAATLPSMMLQGGAAVPPLSPRSPRLSRKPSGLGAGQPNRSASPLRPAAARPAKEVIPQFYFQDGRPPPYEVKKQCISTVDQLFAGHSNGLRAQEFRMVTRELCKLPTFFTTVLFDKIDKDSTGFVTREAFIDFWVNSNLMSMDSATQVFTILKKPNRNYLTKEDLKPVLKDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYSLNRIGSGYLTLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKAQGKMGYEDFVHFILSEEDKSTGPSQEYCPAFLSQNEGYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >Dexi7A01G0015220.1:cds pep primary_assembly:Fonio_CM05836:7A:25083377:25084109:-1 gene:Dexi7A01G0015220 transcript:Dexi7A01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSHARGSTPRRKRKAPPPAAAAAEDEAELEAQKLRREVEELEDELADLDRRVLEHVRSNAARLVDAAVTRPTALRPQELPTASESSVAEEDQGQLDKLNILKSKIEATIADLPKVLEERNESVVRCEKMESLTVNIHPVFRRKLM >Dexi5B01G0012090.1:cds pep primary_assembly:Fonio_CM05836:5B:8586230:8587681:1 gene:Dexi5B01G0012090 transcript:Dexi5B01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVNGSNDLRVRVVSRRLVKASPHSTKPHVLAVSNIDLVPHTTIQITMFCVYPRPLAGDFNGVVAAFEASLPSFLDHFYPFAGRIATNPSSGLPELHCNNQGAELVVGEADVALASLDYSTTTTLVRRIQQQYNRDIALSVQLVSFACGGFVVAWCTNHVLADGSSLSALVTAWSELARSGTIHTTSLPNHDRSVFRPRATPSYDTAWFDEAFTPLDDAERQVNVLTTQESFVERLYYIEASQIARLRDAASTNREGGGRRATRVEAVSAYIWKALAGVVCTAGDTSCRMQWWVDGRRRLTLTTTRQPEAMRNYIGNVTTAVVREASVDEVQRMALPDVAAMVGEAITAPAYDEIFQQLVDWVEEHKARRYVRTASLGLGSPTVGVTAFTSFPLDTDFGFGHAAMATAATSQSQTARLCSGFFQITARPGGDGSWIANAFLWPRLAAALESDQPCVFKPVTAEYLGLASLILHTAHSIQAKL >Dexi9A01G0006580.1:cds pep primary_assembly:Fonio_CM05836:9A:3747247:3747900:-1 gene:Dexi9A01G0006580 transcript:Dexi9A01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSALVVSSAIASGAEARQQLHPLSQIAASGTHRLLLKQWVKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLVLFLSSSASAPSAAATAAACRRSWIPCLVSLLSSLAMLWALRYKSDTEAVLERVLAREREDVMLLARCVSELKRKGLEFDLLKEVDALRRAKSLRVEAKGADKPRRWQARDLAVFALFAAACGVLVLTRFLLCN >Dexi1B01G0023640.1:cds pep primary_assembly:Fonio_CM05836:1B:29178730:29179193:-1 gene:Dexi1B01G0023640 transcript:Dexi1B01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFTYVILGGGVAAGYAALEFVRRRGDASPGELCIISDEAFIQNPFAAADRGVAADGAS >Dexi2A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:2A:32455193:32459879:-1 gene:Dexi2A01G0020340 transcript:Dexi2A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPWLVHSIFLLQLCLLAIWDWELATADELPAQRSAGCQSKCGNVDIPYPFGIGDQCAIHHGFDINCKVVDGTERPFKGPFEVTKISVADAKAWMKMNISWRCYGQTDTRNMREYMLMQDFTNTPFRFSQTDNKIFVLGCNTTGYMTTLSVKFGKEMLILSQINHKNIVKLFGCCLEVEVPMLVYEFIPHGTLFQLIHGNHGRQISLATRMQIAHQSAEALAYLHSCASPPILHGDVKSSNILIDSDYTAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPLNLEGPEHEKSLALRFISVAKEGKLEEILDDQIMNDESMDVLEEVADLAKQCLEMSGENRPSMKEVSERLDRLRKMMNHPWAQQNIEEMESLLGESSMASSEVLNTGNLSIEKAAAKGLEWGR >DexiUA01G0001220.1:cds pep primary_assembly:Fonio_CM05836:UA:3625672:3626634:-1 gene:DexiUA01G0001220 transcript:DexiUA01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRPLQLTGESGNLTVFIAPSAEAPSSAELESSGSEFSTPPTSPRAEDLPESPPSTPPAPAQRTPPPPPIDSVPTPPLVRTVSPLRPGLANPSTEYSIEPPPSNPPAPAQRTALSPPADSVLPPSPVRTLSSSLPDHTSSRADFSHESLPSTLPAPAQRAAPPLDSFPTPPLVRTVSPLLPAPTSSGAKYLHQLPPSTVLVPPPAFDSIQKLPPPSH >Dexi5A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:5A:18364999:18369554:-1 gene:Dexi5A01G0016540 transcript:Dexi5A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRLYPDPIHHPATSLAPIASARASSSAPSVASANNVVEAAAAASAAVSVSQQAGSVSDALRHYGRCYWELSKARLSALVVATSGAGYVLGSGNIVDMAGLCCTCAGTMMVAASANTLNQVFEIKNDSKMKRTMRRPLPSGAVAVRKLSLELNMQNLTRFVQANGLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASTELSLNAMILPAALYYWQIPHFMALAYLCRDDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGLFAYNWGLTSQWFGLEASLLTMGLTIGALSFMLEPSPKTARRMFYGSLLYLPAFMAGLLLHRQPNEQKVQNMAEKSELDGVLYEADLQDEERARQKREDKKPPRVQSRPPVAYASVAPFPFLPVPIYES >Dexi2A01G0028670.1:cds pep primary_assembly:Fonio_CM05836:2A:39885560:39885874:-1 gene:Dexi2A01G0028670 transcript:Dexi2A01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAADMELDHPNLEDYLPPDSLPQEAPRNLHLRDLLDISPVLTEAAGAIVDVSVSPNL >Dexi7B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:7B:2967478:2971881:-1 gene:Dexi7B01G0001750 transcript:Dexi7B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPAPAPAPVPAPAPAPKVDELQPHPPKEQLPGVSFCITSPPPWPSITYVKKFLRTMRGTQGALLIASTVQIILGFSGLWRNVLRLLSPLSAVPLVALVGFGLYELGFPGVAKCVEVGLPELLLLVASSQYLPQVLHFGKKVFGQFSVLFTVAIVWLYAYILTISGAYKNSPSKTQVHCRVDRSGLISGAPWIRVPYPFQWGPPTFDAGEAFAMMMTSFIALVEYNLINYLPVVHWCLHCCFKVCKRYYDSSINNKSGDWVAGKEPDIGIGILLDSFFGTASGTSVLAENIGLLALTRAGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAAMYCVFFAYVGAVGLSYLQFCNLNSFRTIFILGFSFFMGLSVPQYFNEFTAAAGHGPIHTGARWFNDMINIPFSSKPFVGGLVAYVLDNTIQTKDGAVRKDRGYHWWDKSRSFKKDPRSEEYYSLPLNKFFHAIRSQ >Dexi6A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:6A:27448676:27451653:-1 gene:Dexi6A01G0019790 transcript:Dexi6A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKAQLSDSNRRIMEVDAPPRRVYQAWKGSNLFFLGGRLIFGPDVRSLVLTVCLIVTPVIFFAAAVCPQLGHEFHSQIGGWVASVAVIFTVYPSFRKNNILHCFYHVKFLLFYFSHLGVILESFLEMLIRQSLKTSVNRPTYQIGQVVNMVRQTTYENFRYRYNRKTNPYNLGVVQNFIDILFSRVPSSKNNFRAKVKEDSAAFTSSLSMGRVLSPAKMSVDLEMGMKRQAVATEDLEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEISSDIEAFAEEFGMERGYSERNKIERRTNDGS >Dexi9B01G0019770.1:cds pep primary_assembly:Fonio_CM05836:9B:14358743:14359264:-1 gene:Dexi9B01G0019770 transcript:Dexi9B01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKIVVALIAVLALLHVSSAAARRHGKPPGPCDDAADLAVDVLRHKKPPHHPGGHHCAPARHDGGGIPAVMTVNGFRRGESGGGPSACDGHFHSDGELIVALSTEWFAGGRRCHRRIRITSGRRTVEARVVDECDSRRGCRHDVVDSSPAVWKALGLDTDVGEVPVTWSDA >Dexi9A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:9A:8034803:8035012:-1 gene:Dexi9A01G0012590 transcript:Dexi9A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVIFSSSATVAAIIAYLLDCTHQYWEVTVKRDRGWFWWEKFKSYKYDARSEEYFPSL >Dexi4A01G0003520.1:cds pep primary_assembly:Fonio_CM05836:4A:2546737:2547039:1 gene:Dexi4A01G0003520 transcript:Dexi4A01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEELGRRGVPSLLNPSSSSSEEQQEHIASNVTQARTLITSSASVSFIFWLAFRSQCCAMRDLLFFVCVQRFLQLIGWTPLVELKRITDKDGVDARIIG >Dexi5A01G0022500.1:cds pep primary_assembly:Fonio_CM05836:5A:26610313:26611312:-1 gene:Dexi5A01G0022500 transcript:Dexi5A01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKCVFPPIESTTEASIDNKACSSEVDLCSSNSEVLEWLNPQLPEGDLPDLVDFAELKSIDTPATKEQGTRKVTLVLDLDASQSVYANQLLDVLDPENKLFSKRFFRESCLFTDSGYTKDLTVVGTDLAKVAIIDNTPQVFQLQVNNGIPIESWYNNPADEALPRLIPFLETLAVADDVRPIIAKKFGNIIDSC >Dexi9A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:9A:3656595:3658497:-1 gene:Dexi9A01G0006390 transcript:Dexi9A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNARPAANQKKAVVTDGDLVELLWHNGSVIAQPQANQRPAPSDHLGSSGLTGGEETAAWFPDTLDDALEKDLYTQLWYSTIADAAPHEGGTLQGPSSQPPPPPPELAHTARPAAGGSGVESSWAGDICSTFCGSNQVPRMLEGVNRGKDAALQSEAARGGGAHDGAGTSSSGGSGSNYGGSGLPSDSGHIHKTKGRCRDDSDSRSEDAEYEATEETKSSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQMQVQVPGTLVYGPEISPSEQHNRTLEVPASTVVPTSRAGQPHTYGA >Dexi7B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:7B:14410783:14411058:-1 gene:Dexi7B01G0006690 transcript:Dexi7B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLSLCSGAAGAAGAVARGTRESARRARERSGSVSYEAALSAGEWRWRPGDGGWSEAGMGQIRWRQAAARTSDVSATRRFSRRRHVAFL >Dexi6A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:6A:25686680:25688804:1 gene:Dexi6A01G0017830 transcript:Dexi6A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKGDSRCGEGEVMYGSPVSKDLNLPVQPPMTSSGLLRYRSAPSTMLGELCDDFLQPGPPPRAASPDHAADTNVFSRFLADHQIRDKPPAHAFPDTAAMASQQQHQQQQQQQQQMMFHSQQQQQQQQQMAAVESGLYRTVSSGMEAPTAGAGGSSSLIRQSSSPAGFLNHLNMDNGGYGSMLRAGMGVGFRNGASSAAAAADSPSGAGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGGGRGYIPGYPMSSGWEDTSSLMSSENLSGSGMKRPRDSSEPGQSGLTQQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLNESRANCTCSASKNQQYSG >Dexi5B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:5B:22956176:22958458:-1 gene:Dexi5B01G0020750 transcript:Dexi5B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSGDETIEEFELLTRDAGRVQQDTLRKILELNADADYLNRFHLKRRTDSNSFKSCIPLCVHSDIESYIQRIADGDNSLVLTGKPITSLSVSEYPIGNGKTLQFVYGSKQVFTQGGILATTATTNLYRSWRFKEAMKDIMSQCCSPDEVIFGPDFHQSLYRLGDIVKITGFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAEKLLAEEKLEVVDFTSFVDRSSDPGHYVIFWELSSDNTSEEVLSSCANSMDLAFVDAGYVGSRKIKTIGALELRVLRKGTFEQVMNHYLSLGGAVSQFKTPRFVSQSNRKVLQILNRNVAQSYFSTAYGL >Dexi3B01G0027780.1:cds pep primary_assembly:Fonio_CM05836:3B:23484786:23490827:1 gene:Dexi3B01G0027780 transcript:Dexi3B01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPRRRLRRLARRIRAMLPSSTAVAGEAATSSAGDGRRAWEPPFDASAPAPPMSYPITDLAALASRSYLSAAANFHLPFNRASTPGSGEPLPARRRVLVCHDMEGGYRDDAAPQGGTNPDAYALWHWHLVDIFVYFSHYLVTLPPPCWTNAAHLHGVKVLGTFITEWDDGAEVCKEMLATEASAQMYAERLTELAAALGFDGWLINIEVNLDVQFINNLKEFVNHLTKTMHTAVPGSLVIWYDAITVKGELDWQDKLNEYNKPFFDLCDGLFANYTWKENYPQDSAAVAGNRKYDVYMGIDIFGRNTFGGGQWTTNVALDLLTKVDISTAIFAPGWWGLVEKSWEVRRSYPKQLPFYTDFDQGRGYQVSVNGQQVSSDPWNNISCQSFQPTLKYTGDQAQLQAFINIRGALQLINLFIFSISANHIQFTKQVDSLLHSFKDEPYSGGNCLTVKGSLRPNIIFSEQLFNGGLGMEDGSLHLFYSVRADASSVVGLSLNLSSNKHSTSILVAEDIATFIRKKQNHKYGSYVKADKVQPLAPDNQDWIVYKATAQSSASYILTGINIVCTLKIAGKISPETDEDRVSDANVDASSSYHVSLGHISIQKTDANTEFPPAGSWVTEGEHISWSNSSNTTKRVNLKLNWKLKTPDQPSFRKYNIYVEKSTADPNSKTSRSYLGVATVDTFYVSGLEVASQVTNLKFIIQAFAHDGSWQELIDCPEFLLDIAHSGV >Dexi2A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:2A:2050139:2053596:-1 gene:Dexi2A01G0002530 transcript:Dexi2A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRPHYLLPLLLLLLLATSSAAAAVDADADADALLAAKAALSDPAGALASWTNATASPCSWPGVTCNAGAAVIGVDLSGRNLSGPIPAALSGLPHLARLDLAANSLSGTIPPALAKLGSLTHLNLSNNVINGTFPPPLARLRALRVLDLYNNNLTGPLPLEVAELPMLRHLHLGGNFFSGEIPPEYGRWGRLQYLAVSGNELSGRIPPELGNLTSLRELYIGYYNAYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLTGGIPPELGRLKSLSSLDLSNNALTGEIPATFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNKLTGTLPPDLCAGGKLETLIALGNFLFGSIPESLGKCEALSRIRLGENYLNGSIPKGLFELPNLTQVELQDNLLSGGFPAVAGTGAGASSNLGAITLSNNQLTGALPVSIGNFSGLQKLLLDQNAFTGAIPPEIGRLQQLSKADLSGNELDGGVPPEIGKCRLLNYLDLSRNNLSGDIPPAISGMRILNYLNLSRNHLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHSGGAGAGHGARTHGGMSNTFKLLIVLGLLVCSIAFAAMAILKARSLKKASEARAWRLTAFQRLDFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNEMNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKIMDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQMLSELPKPTLRQGEEDEHPIGNDGGVSDPPAADESGEALTNEAKEQQQQPSSQSSPTPDLISI >Dexi5B01G0034840.1:cds pep primary_assembly:Fonio_CM05836:5B:34973446:34978139:-1 gene:Dexi5B01G0034840 transcript:Dexi5B01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTFARLRDAAAPLAASAVRRCSGGGRIRADANCPSCDANMSVQFSLRQLPALPPAASGAVDGGKHHNHDGAGVCPSCRAAFLFRAHQIDPLRGAFLEIPGGIGGDDEDGDRAGFADRIKRMLSERPPDEFPPLPQAPPMPMPHYQPRRKSNRRRQREEGGGGGGNGGDSSSGGEGTTSASPKREWWGGASLGDELPTPREMCRRLDEFVIGQAKAKKVPRMFPILPSFAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRSESIQIDTTDILFICGGAFVDLDKTISERRQDSSIGFGAPIRTNMRSSGVSSPMVSLSLLESVESGDLVRYGLIPEFIGRLPILVSLAALDEDQLVQVLTEPKNSLSRQYRKMFNLNKVRLHFTDGALRLIAKKAIAKNTGARGLRAILETILLEAMYEIPDEKTGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALDQYITRTNVMNLRETNDGLAGELEEAYMLSRI >Dexi2A01G0004420.1:cds pep primary_assembly:Fonio_CM05836:2A:3856165:3857924:1 gene:Dexi2A01G0004420 transcript:Dexi2A01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASSSPLHIVVFPWLAFGHMIPFLELSKRLARRGHAITFVSTPRNAARLRPVPPELSPRVRVVTLDLPAVEGLPEGAESTADVSPEKVPLLKAAFDGLAAPFSALVGERGGGEADGVFDRKPDWIILDLVHIWAWSIAEEHKIPCAMFLILPAALLAYVGPKHENEAHPRRTTEDFMAQPPWIPFPTTTIFHLRHEAMSRASEFQPNASGVADADRLWQVHHNSCRLIVHRSCPEAEPWLFPLLSNLFSKPVVPAGLLLPTDIAAGDVTGDDEQFEAAARWLDRQPRRSVAYVALGTEAPVTAAHIVELARGLELSGVRFLWALRTPAIAAGELLPDGFERRVAGRGVVVPGWVPQVRVLGHAAVGAFVTHCGWGSTVESLFMFGLPLVMLPFVFDQGLNARAMAARGVGVEVARDDDGSFSGEDVAAAVRRVMVEEEGEELARNAQELQRVVGDRVRDEQYVDELLEYLQRCK >Dexi7B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:7B:19440194:19440921:-1 gene:Dexi7B01G0012690 transcript:Dexi7B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISAAISISAAAAVVSRPAGRRRHRGRATFHCSTSAAGERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKMGDRVLDLCCGSGDLAFLLSQKVGLYGEVMAVDFSRQQLHTAAYRQEQRWKLCYKNIK >Dexi5A01G0030560.1:cds pep primary_assembly:Fonio_CM05836:5A:33455892:33471943:-1 gene:Dexi5A01G0030560 transcript:Dexi5A01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLRDFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGLAKPTRKIRSEQEKELEEEVVPETTGASTSEEAVVDAPVEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEISRTLKKLITDVNLAVAVEATQAIGNLAGGLRANFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQALHKSGCFTLVDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETVLSSGTAPTTASGAATSARGVADSLSMKRSAASMLSGKKPFQAAAGTKKSGPSKSTAAKKADGSQSKASAAPEIEDVEPAEMSLEEIEERLSSVVKAETISQLKSTVWKERLEGVSEKVADIKTRAHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLNEGILWMVSAVEDFGVSNLKLKDMIDFCKDIGLQSSAAATRNGTIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAAVAPKRTVRVLDTSSSTSAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVNKIVEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMATLSTIGGLASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVPRMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTSVHEGFSESVKMVTPSMTLPSKAGLKNSKHGPNDRGSNVGKPMSQRGLPARASVTMVSTQDHVQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDSLKDQSYMLTEAEAAIFLPCLVEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYMLEGLRSKNNRTRIECVDIIGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGEVVSRSVTGSMISRDNFGYADAHMDRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMVPKTFNFSLAGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILVLQSTIYEVDLDRILQSVHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNNPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGKTPSSLPLSTPPPIGAIPSPKFAPSPVHTKSIGSKMDSNEDDASSEAQLAFRGQVDDSRLHSVDQPTDRYQTSAGTLDALRERMKSIQAAAVGHFDGAQSMPLTSMNGSNMLHGVTRLDSEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >Dexi8A01G0008270.1:cds pep primary_assembly:Fonio_CM05836:8A:9729378:9749571:1 gene:Dexi8A01G0008270 transcript:Dexi8A01G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLGAMGSLLGKLRSLLVSPRDQLPDMLKPHNDKLELLTQELEEIYTFLKDLSRAESPKTMAKLWMNEVRDLSYDIEDCIDKIMMQPSSNTGEEIAFEIQEFSSLVKQASDALKRYHRYDLGRWASNPTLRIVDGQVWVPTTDLVGITDSKTKLIKLLSDSTDKRMKVVSVLGPVGVGKTTLAKEVYRQMRGQFECRAFVRASKMPDTRRLLRSIISQVQRRQQPPRGLSVQELIDHLRKHLHQRRCGVMRLAFEADSMPYLRRLKLEFNAHRFPNFPILKQAALVQVKGSDIPCSYYKCTFVGCPTKKKVGRSQDGQTIDVVYKGTHNHDRPARSSSSRDRDTLDSMRCGASAQGPVGGSFVMPVTGELLQEPAVPEVAEVRRARVKLFRGDEEIENSSSSPGTGAPWARDSSGNEAIPCSNSQILSRAARRREAPSDGMTEVQAKPRASVASRPRKPLQNVQASETAPQNVRHWLLALSLPADWLSLKPLAGQMAEAVLLALTKIGCVLAEETAKTMLAKLSEKVNNLKDLEDKIKQIRMQLAAMNNVIRNIGTVYLTDQVVRGWIGEVRKVAYRVEDVMDKYSYHTLQMAEEWFLKKYFIKGSHYVLVFSEIADEVVKIEKEIKNVIELKGQWLQPSQLVPDQYVEMERQRSQDSFPLLIKDEDLVGIEDNRRKLTEWLYSDELDSTVITISGMGGLGKTTLVTNVYEREKINFSAPAWMVVSQTYTMDALLRKLLMKVSGEQQVSPNIDKLDVHDLKEKIKQKLENRKCLIVLDDVWDQEVYFQMAGAFQNLRASRIIITTRTNHVAALAHPTRRLDLQPLSNTHAFDLFCRRAFYNKKDHTCPDDLMKVATSIVDRCQGLPLAIVSIASLLSSRPQTYYIWNQMYNQLRTELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLMELIHRNMLIIVQNDEQGRVSTCAMHDIVRDLALAIAKEERFGTANDYRAMILMDRDNVRRLSSYEWKDDTSLKVKLPRLRTLVSLGTISSSPDMLPSILSESSYLTVLELQDSEITEVPTSIGTLFNLRYIGLRRTKVRSLPDSVEKLLNLQTLDIKQTKIERLPRGISKVKKLRHLLADRYADEKQSAFRYFIGMQAPKDLSNMAELQTLETVEASKDLAEQLKKLMQLRTVWIDNISAADCANIFAALSNMPLLSSLLLSAKDENEALCFEALKPKSTELHKLIIRGQWAKETLQCPIFQGHGRHLRYLALSWCDIGEDPLKMLAPHLPNLTNLRLNNMRSARTLVLPEGSFPHMKMLVLKHMPNVNQLVIGKGALPCIDGLYIVSLAELDKVPQGIESLLSLKKLWLLNLNSGFLTQWKNSGMHQKMQHVLEIRV >Dexi2A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:2A:5820482:5822121:-1 gene:Dexi2A01G0006150 transcript:Dexi2A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKAAVVPWRQWAFANFTSLWFVLAPLASLLAAYAPRRLFQTYFNLFLRRRVLNVVDPYATIDISDTSSDVRYSRYGRVSDTDTTYEEVKAYLSEACLQQEDARELRAEGAKDGDALDVADEFRGASLWWSSVVEDDVRDGFKEKRRFHRLTFHLRHRRLVVDEYLPHVRRQGRQILFTNRRALHQQQVTRRLAWSYIDFDHPTTFDTLAMDRAKKKEIMDDLDAFRNNKEFYRRTGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDIYDVELTVVKSNTDLRKLLIETTSKSIVVIEDIDCTLDLTSDRSKQQGRRPKNDRYDDDKDRSMVTLSGLLNFIDGLWSACGGERIVVFTTNHADKLDPALIRRGRMDMHIEMSYCGFEAFQTLAKNYLDIDGHELFGNVEEILKEAKLTPADVAECLMKAKRAAGSGEPSTCMQVLVRELEKRAEEKAKAEAEAEAKARAEAEAAMAEADATEMDPDNSREDRQDDA >Dexi4B01G0008210.1:cds pep primary_assembly:Fonio_CM05836:4B:5932020:5939532:-1 gene:Dexi4B01G0008210 transcript:Dexi4B01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRETKLGVDAAAAPWKEQQQRSAAAATALGFTPRTKRPPCCSVINVVLAAFMLMTLPTMVILLGARTTLPMSSTEQLLGGLLADGFDERTCRSRYQSVMYRRRPGKQPSSYLVSKLRQQEALQRRCGPGTMAYSHALEQLRSGKSSGNTIAAQDCKYLVSISYRGLGNRILATASAFLYAMLTGRVLLVDPSNEMGELFCEPFPDTTWLLPPGFPLESYTNFSISTAESYGNMLRNKVIRTDAAGDVPAASQQLPAFSYVHLDHDATEQDNLFFCDEDQRVLRNISWLVMRTDSYIVPGLFLDKGFQDELARLFPEPDTVFHHISRYLFHPNNHVWGLVTRYYDAYLATARQRVGIQVRVFGSQPNSPELLEQITKCTQKQGLLPELLTAGAEPVTQQAPSLNTKAILVTSLKSWYHEKLKGMYWERAAATGEAVSVHQPSHEEFQRFGAKSHDAKAWAEIYLLSLTDTLVTTAWSTFGYVAQGLGGLRPWVMYRPDNETHVPDPPCGRDVSMDPCFHAPPFYDCRLKRGADTGKIVPQARCIVRHDCRSCTLVLVDLLRNASSASFLMAPGTGAWRLKTEEALLVVHGGGEDRGAGRCQARELDGHRRARRRRDDGARPHQWAQRWSAGGLGSDCSGKLPARTSHHDRLYGGLLVDGFDTESCHSRYQSAMYRRNSGRRPSPYLISKLRRHEALQRRCGPGTAAYSNALDQLKSGKSVASPECTYIVSISYRGLGNRILAAASAFLYAVLTGRVLLVDPSNEMDELFCEPFPNTTWLLPPGFPLTNYTDFSVNTTESYGNMVRNKVIRTDAAGDVPAASQLPAFSYVHLDHDATEQDNFFFCDDDQRVLRNITWLVMRTDSYIVPGLFLVDTFQEELDTMFPERDAVFHHLGRYLFHPNNHVWGLVTRYYDTYLAAARQRIGIQVRVFGAQPFSPELLEQITTCTQREGLLPQVLATREPTFLPASRAAKSKAVMVTCLKPWYYEELKSMFVAVHQPSHEEYQHFGARSHDHKACAEIYLLSLTDVLVTTGRSTFGYVAQGLAGVRPWVMYKPTNGSEVPDPPCGRDVSMEPCFHMPPSYDCRLKQWRDPTKDVPYIQHCDDAFWGLKLVGRNK >Dexi2B01G0029770.1:cds pep primary_assembly:Fonio_CM05836:2B:38111904:38113523:-1 gene:Dexi2B01G0029770 transcript:Dexi2B01G0029770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMTPLRRSNRVAGASGAQIPFRRAAVLRDSGRPCSSTQIQSQRAKRKYMNPCVFWGAKRVRSALGGAGAGDKQALSGRSLPPPPHTNNSSACTGWADLGDGPAGLIAEFALASDVADYARFRFACTAWQRCSPDPRAGGLDSRFLLREWIMLDKAHAGPRRHRFLNVSTSECVRMDLPELAEHRLLALTPEGLLLLLHEATLAVRLLNPLTHQSTELPRLTELLQATGYESAQSLEVYSVGLVAHASTVAVCFFSPMVLAVAKPGDKNWTVVTDEYMDSTLPFAGRFYCATKEGVMVLDTTSDQQPPRLVMVADRRKSFRFSPMAHSLHLVDNGGELMLVHRVQGNYYPRKCYVYKVDFESALLIPENSFNGRAVFMGMRRTISVPAGVFPHVAADTLYLAPECDREIVGYNIADGSKIPYQRSPIPDGWVGPVNIIACLCRCIQGIGERLA >Dexi4B01G0022580.1:cds pep primary_assembly:Fonio_CM05836:4B:24118512:24122138:-1 gene:Dexi4B01G0022580 transcript:Dexi4B01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVTGSGGGIGRKMAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQVHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYVRPPGYNAESAKAAEMEDEKKRSDPDPGDMAQGAAAASTSSMPDKAPEKTQSGSDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPVRIKPFAVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTTIKAQTDSSELLKWELKQKPGMSPPRGGFDPDDPNQQIVAEDIFDEYGGFLGNLDIPALISNFSASKSKKRSKKKSKHRQVESDIHEESSRHESSYHLSSDSEEEKRNKTSRIKRKKKYCSDPSHSDSEVDARKGKHKSKHRHKKKNRSESSSDSEDEVCEDTRRHLKREHRREKREESPSSFPEGKGNTESKRHSRRSREKHHYDNSSSSSESERHSLRYKDKQYYSDSSPDRGYRHSRRTRGKRCESESSSSDASRRPRRSTEKQRHTDLSPHHTDRHSKISSSKRDYTESSRYESSRQSRRSREKRRYSDSSASDYSDSDRHNSQRHHRRRK >Dexi2A01G0022210.1:cds pep primary_assembly:Fonio_CM05836:2A:34092876:34093244:1 gene:Dexi2A01G0022210 transcript:Dexi2A01G0022210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMASPGDEALPALPPIKTGPLPPPPCSSASPSALAGDDVMAAIEVDDHEPSTPTSEETMLRPTAVCPPAPRKQPAPRLPVARKPSRPSPARAFVKVPRDLSTVFRSLPPMPPKKRIRVS >Dexi6A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:6A:21887117:21888731:-1 gene:Dexi6A01G0014500 transcript:Dexi6A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLTGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKAATK >Dexi9B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:9B:4077859:4079903:1 gene:Dexi9B01G0006810 transcript:Dexi9B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMLTAVDDACDADMTNESNPSLRISASMLKLRCAGVSSGNGKSPSRYCITCRMLGLAPGNGWEHSNPSLSASVASPASYLPSSLSSMTSASDSFCQWSITQSTSIILLSVMLCSTGLRPQTTSRMKAPKANTSVRGDAFPDLASSGARYPMVPTTWVVWGSAPWSYNLARPKSPSRPFISLSRRTLLALMSRWITTCSQSSSLYLGVLEPSPDEYDDDGDHGEDGRRGDDRQHDFHDLGPWVGSKSWEFGKASDVDVRSRSVHGEAPAEHVVERLDRAGGGREAHVGRIDEHIGQVLRGGYQRLGRFGHLEGSEEHVDLCGLAVVVNPDLHGLGADERQVVEISRAVVVVAGVVDGGGGEAVDVDADVVVVWVVELGAPDGVELDAEEVVGGVPVVLVVEEAEGQRRAGEARVHELLVVVTGAEHGDAEHAGGEEHAGDEERARQPEVNHGGVAR >Dexi1A01G0027510.1:cds pep primary_assembly:Fonio_CM05836:1A:33263487:33265570:-1 gene:Dexi1A01G0027510 transcript:Dexi1A01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPKHGTMLRAHVALALAALVLAGDALHPALAAGGGFNYKDALTKSIIFLEAQRSGKLSPNNRVKWRGDSGMEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALAFKPELESAKEMDHVHEAIRWGTDYLLKCASRKKKLWVQVGDPNLDHQCWVRPENMKAPRTLYEIDEKTPGTEIAAETSAALAASSIVFRNDKKYSRALLNKAKLLFLFAKSHQGSYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADYINHEAISSSVAEFSWDLKFPGAQVLLAEFNMTSGGGAQSYKSQADNFVCAVLPDTAFHQVFITPGGVIHLRDGANSQYVTSTAYLLVVYADLLTRMGQTVLCGSQPIRPERLREFARQQVDYLLGANPRGSSYVVGFGANSPTQPHHRGASTPVLPPGYDVNCGLSFGEWFAPDRPNPNELTGAIMGGPDKNDGFVDKRANSSYTEPCTYINSLAIGPLAALAVRGANLVATH >Dexi8B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:8B:1387786:1391667:-1 gene:Dexi8B01G0001970 transcript:Dexi8B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEQLDATAAADKGEAALKLLEYADPSHFLSPSADLVTAARAASQHIYSSLVPLCPAQPPPTLLAGPAFDAEQIWSQIELLSRPLLPHLRRQLRRLEQQPPSQPPLPVEKPADSEEEEDEDGQGSELDGLKELEQTDDDEELSGDDDEEELSDDEEDEEEEEEELDQRARKGLEDQFLKIGEMAEFLDKGDEEEYGGGTNRGEKKKAAKNWMEESDDEGDEERDEEGDEDENQLDLEDFEDDDEDGEGEDGGGIMYKDFFEKSHNRPAKKRDSSTKKVQFKEDAHEMELDGSENDDGNEEQGLSTHEKEQLKARAKIEQMEKAALEPSAWTMQGEVTASSRPINSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPSKAPKEHKELDESKSKKGLAELYEDDYAQKAGIAPAPLSISDELKQQANTLFKRICLKLDALSHFHFAPKPVIEDMSVQANVPALAMEEIAPVAVSDAAMLAPEEVFEGKGDVKEEGELTQAERKRRRANKKRRYAASHKERPAKLQKD >Dexi2B01G0030790.1:cds pep primary_assembly:Fonio_CM05836:2B:39042033:39048695:-1 gene:Dexi2B01G0030790 transcript:Dexi2B01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRPRPGSLQALAVAVLLVLAATAAGSPDRRPAPGPPLFLPLQRSYPNATRLATSLRRGLGDGAHPNARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQVRLDSFASLLSISMKLCEIILLISMVAQLACVKQLVKLDWFKDPRFQPDLSSTYSPVKCNVDCACDNDKNQCTYERQYAEMSSSSGVLGEDIVSFGRESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDVGGGAMVLGGVPSPSDMVFSRSDPVRSPYYNIELKEIHVGGTALRVNPKVFDSKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVHSLKKIRGPDPNYKDICFAGAGRNVSQLHEVFPDVDMVFGNGQKLSLTPENYLFRHSKVDGAYCLGVFQNGKDPTTLLGGIIVRNTLVTYDRHNEKVGFWKTNCSELWERLNIGGAPSPSPSSDTGSQADLSPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELEIDSRQVRVMNVTSQGNSTLIRWGIFPAGPDNAMSNATAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSRRSWFQEHVVSILLGILLVVLVTLSAILVVLVWRKKFSGQTAYRPVDSVAPEQELQPL >Dexi7B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:7B:22348671:22350952:1 gene:Dexi7B01G0016380 transcript:Dexi7B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAGTDTSFKAAGAKRKKAGGTKRGLTPFFAFLAEFRPQYLEKHPELKGVKEVTKAAGEKWRSMTDEVYGCL >Dexi9A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:9A:10353030:10353463:1 gene:Dexi9A01G0015490 transcript:Dexi9A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTDGTEPPSRAPEPLSHPDPVLGRNREAGGGGEAMEKSPPEPAPAAAAEEVAARFRSLVDPDHVASIRQTQHLIRLRG >DexiUA01G0021980.1:cds pep primary_assembly:Fonio_CM05836:UA:45176601:45177286:-1 gene:DexiUA01G0021980 transcript:DexiUA01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAFLLLLAVAASATALVHGRELPTRIKLIRGAAAGVGGDSMECVYTVFIRTGSIWKAGTDSNITLELAAEDGNGVGISDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMARPPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLDAVVDHCSSDAGTAAVAA >Dexi9A01G0030110.1:cds pep primary_assembly:Fonio_CM05836:9A:35031341:35032497:1 gene:Dexi9A01G0030110 transcript:Dexi9A01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSAQAGAFANATFSHLFQLGAGRAAHARMLVSWFVPTAFVGNCLLRMYARCADAVRARGVFDAMPHRDTVSWNTMLTAYAHAGDIGTAVSLFDAIPDPDVVSWNTLISSYGQHDMFRESVGLFLEMAHHGVAPDGTTFAVLLKVCSGLEDPALGVQIHGLAVKISLKVDVRVGSSLVDMYGKSIVGCVQNEQYARGLELFAQMQRMGLGVTGHGAEATQLFQFMTRSGLGFDVVSLSGVFSACAEVKGQTSTSKPDTNIHIKT >Dexi7B01G0023580.1:cds pep primary_assembly:Fonio_CM05836:7B:28134955:28135530:1 gene:Dexi7B01G0023580 transcript:Dexi7B01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGGGAMLLEDFGQRVLANYPEGTTALRELIQNADDAGAARVRLCLDRRSHGAASLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVAQTWKTGRFGAVAIVN >Dexi6A01G0020390.1:cds pep primary_assembly:Fonio_CM05836:6A:27909518:27910506:-1 gene:Dexi6A01G0020390 transcript:Dexi6A01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVTPAAAAVPTGTVTVAAVAPAAGIPMALPVGSPVYSGDDHALSSSSSPEPPALQPQAPSGSGSGGAAASGDTGEENDRLRRENARLTRELGQMKKLCNNILLLMSKYAATQQLDAAAQQVEAATRAAAAGNCSGESSAAAAPPPLPSVLELLPSCRGVLDTLPAAAEAAAGAEHGDEDFDDDAKAGARLFGVSIGRKRVRDDSCSGDAGGVEDPVSRRAVPEVKAEPVDATPPDHHDHEHVDEEEDHHHAATEQQAWPIYRPTPVYHPLCNGGGSGGGSAGSDHDGSNSSR >DexiUA01G0001330.1:cds pep primary_assembly:Fonio_CM05836:UA:3879771:3881632:1 gene:DexiUA01G0001330 transcript:DexiUA01G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPVFCSTLRQAEHAAAEVALSELSKRGPSSTLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQREYLYQLHSAGR >Dexi4B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:4B:2962076:2962366:1 gene:Dexi4B01G0004110 transcript:Dexi4B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKAKPVAPRKKPKLDTAFDCPFCNHRGSVECSIDLKHRIARASCGECKEEYSTVANALTEPVDIYSDWIDACELANEGVDVRRHRGRDDDF >Dexi9A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:9A:7349112:7357560:1 gene:Dexi9A01G0011740 transcript:Dexi9A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTAEKLSFFDTNGYLVLEPFSSDEDVRALRDRMAELVAGIGGSNATGSSEHHRMAMDDYYFKSGENISFFYEDKAFGDDGCLKQPKELSIKLVGHALHENDPVFKKFSSSESISSILSSLGYKRPAIIQSRYIFKSPPPPLAAMPPAGSLTADQLSFFETNGYLVMDSFSSAEEIQEMRDRMAELVAGFDGANTSVFSTKDHPQLKDDYFFKSAENISFFFEEKAYGDDGCLKQAKELSINKVGHALHELDPVFKKFSFSESVSRLFSSLGYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLCTEPRTCTGLWLALEDATISNGCLWAIPGSHKKGLVRRMIRDENGTHFDRPSPSYDQKEFVPLEVKSGALVVIHGDLIHQSFENLSPASRHAFSLHVVDTEGCEWSKDNWLAL >Dexi6A01G0001850.1:cds pep primary_assembly:Fonio_CM05836:6A:1801776:1803942:1 gene:Dexi6A01G0001850 transcript:Dexi6A01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATTSASTCCCNTLLHLPRPTHFHRVSPPPAHRLELFLAARFATCRTARSGPRARASSGPPPPFFETVVVEEEEESGWSDAEGESSDEVEEDEQEWSGGNGAARGEDLGADAGEDLSGWTRQWPRPRELFVCNLPRRCDVEDLLKLFTPHGTVLSVVVPFSRTWRIHLAYALISRDGETGISRGTASVTMRSLAEARTAINALDGLDIDGREIFVKLASDVISNRKNVKLAHITPTKNHIFETPHKIYVGNLAWNVQPQDLRDLFTQCGTIVSTRLLSDRKGGRNRVYGFLSFSSADELEAALKLNRTVRLRSFSDGISLSRKLT >Dexi3A01G0023980.1:cds pep primary_assembly:Fonio_CM05836:3A:19604248:19605998:1 gene:Dexi3A01G0023980 transcript:Dexi3A01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSNLITYLTLQMHLPLVQASNIITNYNGTANLTPLVGGLIADSFAGRFWTITFGSFIYQLGMVCLTLTAALPSLHPPPCAKHAADCHPASSYQIVVLYLSLLFTSIGTGGTRPCTMAFGADQLELNAHGDGHRGATRRPKWSFFNLYFFAVELAKLTAVTAVVYIQENVGWGWGLGVPTIAMLAAVIAFVSGYSLYVRMPPGGSPLVRLAQVAAAAFKKRKAAVPDPSLLYQDKELDAGISTTGRLLHTDQLKFLDKAAIVTEGDVLPSGEPDLWRLSTVHRVEELKSIIRILPICAAGIILVTSSSHNHSFAIQQARTMDREITPHFSIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGLTIAMLSNAVAAVVERRRRSVAAASGMLDAPKGATLPMSVFWLVPQFAIHGVANAFMDVGRMEFLYDQAPESMRSTAAALYWLTFSIGSYLGTLLVTIVHAKTRRTGQWLPDNLNRGKLDNYYWLVVALEVANLVYFFVCVKYYTFKPLETVAGEEEVELYHGNGNGTTDAKKGGSFK >Dexi3A01G0003700.1:cds pep primary_assembly:Fonio_CM05836:3A:2472989:2475662:-1 gene:Dexi3A01G0003700 transcript:Dexi3A01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKPGTHGDNDSNVIDNLTLEREKKEVRFQLDHEASNGLQERSMVAANGPQYSIPRNDTFDKAMTDLSNMGVLPKENSCLPSVPIKNDNLNENSGPSKLLDRDNSSSPLEISSGAIVLETSATEVEISDTVGNFHKDNPRKRRRLILDDDDEAEEKMAEGVQKENFNPQPPNFEPVVKHMCVEEAVDTGELNDENLINCRPVKRRRYIAEDEDDKDIVGSANAVCALNDATNWSLNTGTNMVPQTLVAADHSQQSSPSHSESDDQQHYVFAQPLDELVWSGVFKIDTDVLLLDAHLSTKACPRVRELSTSLRTVVEVNKLPRSQVWPKSWNSFGPTDENIGLFFFPHNLRQNEVTNTLVNDIIQSDGALKVTVGIADLLIFPSSLLPEQYHFFQGNHYLWGVFKRRKDMTALIEEQDGSGKHDAEQGQQQELDHLDRRDEALYDSSDQETLAVKHVVRIENQLLGCDHNGKSEAVNAATREGTTSPGSSWSSAKLNSPKVGSNCSVELRTSKLPGDLGQQTSLPEWNTSSTEQSCDSGSTKLVKPVEHCHGQPHSGSEPPSCNLFGFVAARTPRSQQLIQEMVSEGAILFPVPEQIVTTGSVTGSSARVVPSEMNPDTERLHLSEPPQALGFVPIGHGESGADSEACLELFPVRQERIGWAPRAEASRELDLDLSLGKQPRAPSSPPLF >Dexi8B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:8B:647361:649189:-1 gene:Dexi8B01G0000960 transcript:Dexi8B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPWNWMCPPRHVDPPLPATPRVSLLRCILLASCISVMPPDADEWAVVERQGPHLRASGRPFIVHGFNTYWLMYFAADPATRPTVTAALAEAADAGLNVCRTWAFNDGGHRALQLKPFSYDEEVFQALDFVISEARKHRVRLILSLCNNWKDYGGKAQYVRWGKEAGLDLTSEDDFCTDPIIKSYYKAFVKAVLTRINTITNEAYKVDPTIFAWELINEPRCHSDPSGDTLQSWVEEMASYVKSIDPVHLLEIGVEGFYGPSTPELLHVNPDAYSGSVGTDFIRNHRALGVDLASVHIYSDTWLPHSVEVSHLQFVNSWMQQHIDDAANLLGMPILIGEFGVSLKEGKFGNAFREAFMETVYRIFLSSWKSGVIGGGCLVWQLFPESAEHMDDGYALIFAKSPSTLNMLANHSRSLECAASLSLI >Dexi8B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:8B:4491644:4491940:1 gene:Dexi8B01G0004840 transcript:Dexi8B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEVRPCGVSVAGGERERVSPTWPEERPRKVRERARESPTLPEEQAPQVGNGSDGCGADSTRRLPSVGDLGLGGGRRSQRRGRKAEPAASTQALGP >Dexi1B01G0002560.1:cds pep primary_assembly:Fonio_CM05836:1B:2074144:2075469:1 gene:Dexi1B01G0002560 transcript:Dexi1B01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPPTNLYGNGSVGRGREERYVLPFDPTTEFHRYSILWTSASVAFYVDDVPVREVRRCPAMAGDFPSKPMSLYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRVAGAGDGDDDEHNIRMVAGGGRCGDGAAEEEEKLRASEVAVMTVEKQQAMRRFREQNMVYSYCYDTRRYPAALPECDVVESERRRFKDSGHLRFALRRRAPRRGSSSSSSRAAAAAGRARSAAAAAAASSRAAAVAAKKQQAAAEMVLVARE >Dexi8A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:8A:1402411:1404113:-1 gene:Dexi8A01G0002100 transcript:Dexi8A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALDLYHVLTAVVPLYVAMTLAYGSVRWWHIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLSLLALASRLSPFLSLDWSITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEYRAARSLVMDHFPGGAAADIVSFRVDSDVVSLAGADKGDLEADAHVAEDGRVRVTVRKSTSSRSEAAGSHSQYSQSMQMQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGGDEEKGATGGHSPAAAKRKDLHMFVWSSSASPVSDRAAAGAVHAFGADHGDVLAKGGGTQAYDEYGRDDFSRTKNGNGADKGGPALSKLGSNSTAQLYPKDDGEGRPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGIIWSLGLGWPCSV >Dexi1B01G0009260.1:cds pep primary_assembly:Fonio_CM05836:1B:8304919:8310727:1 gene:Dexi1B01G0009260 transcript:Dexi1B01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSGPPPASPPAGAPSYNSVPPPPDEIQLAKQRAQEIAARIFSAAEAKRPRVDNGDDDVGGYGGGAPLGSSGGGGRIGGGGLGFSSSAGGGHGSSVPPLSSQSNAPSYSSYGGYQGTSKKIEIPNGRVGVIIGKAGETIRYLQLQSGAKIQVTRDNEAEPGAQTRPVELSGTPEQIDKAEQLIKEVLAEADAGSSGTGSGGRKYNAPQPGRARGTTNPSYKVGLVIGKGGETIKSMQAKSGARIQVIPLHLPPGDTSTERTVHIDGTQEQVEIAKQLVIEVTSENRARNQMSGGYSQQGYRPPRPQSNWGPPGAPPQQPGYGYMQPGAYPGAPPQYGGPQQPYGSYPPTSGGYQTGWDQSQNQQSQTTPPGTGYDYYNQQQPQQQTAPGTAASTDATSYNYGQPPTYTSQGYDSTYSQQSGGQQSYDYSGYQTQGQQQGYSQQTGYDQQSYGASAYGSTANSTQDGSAPSYGGTGGASQTSPGKQTSTPAAASHPGYASQPPTASSYPAQGSAPPSGYGAPQPQSAYGTQPPPQGGYGQGSYGQPSPQGQKPPASSPYGQAPPPAQGGYGQYGYSQPGYGAPPPYPGAPPASYPGYGQQQSYGDAYSSGSYGQPPAYTTEAPAAAGSQDQSAAPASAAAATSAPAPANSGGAQTSAES >Dexi4B01G0013910.1:cds pep primary_assembly:Fonio_CM05836:4B:14134850:14136641:1 gene:Dexi4B01G0013910 transcript:Dexi4B01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCLREARCLEACRGHPRLVELRAAHLDPTGDGAFLIMEGVDQSLAEDDALLLVPAPHAAWVARILQQPEPTGQDIHPYEQNSGVDPWVLQQPAVLQGTEEESPCCESDVVAGQELETLTAADYLHELDQLRAKSSDIDKMSLQDGDASCLATCSTGDTEDDSFRASYSYDVEGIGEDAGAFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCILAELLNLEPIFPGISDIDQISRVISVLGDITEETFPGCSNLPDYNKIFFSKVQKSMGLEACLPNKSPSEVSIIKKLICYDPEKRVSAADLLNDPYFTEEPFPVPIGGLQVPASKDEDDSSMEEWGNYRDGAADSDFDEFGSMDVTETEKGFSIRFS >Dexi2A01G0013850.1:cds pep primary_assembly:Fonio_CM05836:2A:22619070:22619664:-1 gene:Dexi2A01G0013850 transcript:Dexi2A01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSILNSMRHSLQSADQMLGDIDSSVLAQLIENGRVLAPESDVDEDIANNSKHDKVGPLPDTAMMQNNGRSVVAPISSIEPKDAITDVSVNSAIKVEPYKLSMKLDYAAYMIHLAVFGFFGS >Dexi2A01G0004490.1:cds pep primary_assembly:Fonio_CM05836:2A:4045897:4052243:1 gene:Dexi2A01G0004490 transcript:Dexi2A01G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGESLVANVRQALGDEYRLLSGVGGEVTELRDDLATMNALLRMQSEADEGAMDHFDQEWMKQLRELAYDAEDSVDLYKLRVKCRHGDGMAALWFKMVHLARTLTQRHRLAGDIRDLRARAITISERHARYNIDRKALRSSATFAPVVGLRSMPELRRDKSPDHNNQFVDIGDQAGAFAKRLKEDEHDFKVFAVVGFGGVGKTTLAMEVCQRLAADFPYQAMVSVSQTFQPDRDLEKLLKGILQQVAMPKMDDGKGVKEEKDVGISELGAYLSDKRFTGHLSRAHAAPRPAMLSLKRCMVYLSIFPEDYEIEKDRLVSRWIAEGLVPEKRGCTLTEVAETYLDELVSRNMVVPSLGFDGKVESCRVHDVLLEVMVSKSLESNFVSLLGEQYAGVSYDDRIRRLSIQGSSSLLLGNERGMEVKHVRSLSMFQLQEGHKLLDNLDKFVLLRVLDLEGCKGVTNKHVRHACKLYLLRFLSFKNTGISKVPPQVKKLEHLQVLNLQGTSIGDGGLSDNVTTLKKLERLLCDFWVLPKGIGKMKALRELDAVKLEDGVEIAQELGELEQLEAITISVGGSVSKEVRQALATSLSKMYFLRSCSISSDDKNLDRAAHAPRCVQHLLGVLFKLPCLKRIGVGLVARTNYRFPSLVNLEVSACETEEPGEFRFEQGSMPELERFAIHLYERQDQHLTNLKEVRLMGFNSSPAFEHALQQVKAEKSKREESHQFEVVVKCYG >Dexi9B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:9B:5430740:5434219:-1 gene:Dexi9B01G0008860 transcript:Dexi9B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAARAWDPAVSLRLGHPALVLLERCRGAAPFRAILAQLLRLGLAFETFPMSRLLHFATVVSSPRLTREAELLFRHFTPDPNLYIYNLMLSAAAARDSSSSASPRRAVALYRSMLASSVHPDERTFLALLRSVERISAGRQVHAHVVTSGLYSRAYLRNSLIKMYLDAGDVETAELMFCSTLVSDTVSFNIMLSGYVNEGCTAKALQFFRDKASRGIAVDQYTAVALLTCCGRLKNALLGR >Dexi6A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:6A:5833381:5839638:-1 gene:Dexi6A01G0006150 transcript:Dexi6A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAGGQVVERFRARLREEAGVGGEPAAAAVVRVYAEALRELTFNCKPVITELTIIAGQHTALAARGIADAVCARVAEVPSDQILPSLYLLDSIVKNIGREYVDHFAVRLQKVFVDAYCRVHPSQYASMRRLFRTWWPVFPSSVLRGIEDELQFSPSEDKRPVISTNPHQSESLSPRLSHGIHVNPKYLEAQQKLKQANMTHQPAVHGTRQIADAEEDQINGLALNGLRGRPSSVFQDSVGVDFNVDLKVRNESVINALYQDLSRQCKTCGLRFKCQEEHSAHMDWHVTKNRNSKNRKQSSRKYFVTAEEWLRAAETVGNDGVPAFVPSDPVLDRKEEKEMAVPADEEQTACALCREPFEDFYSDETEEWMYKGAVYMNAPDGNIDGLERSQLGPIVHAKCRSGPSNTS >Dexi1B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:1B:24042105:24044146:1 gene:Dexi1B01G0017730 transcript:Dexi1B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLPAVRTPRSPSSSSTPRERDLEQQSPRTPSGADEIDLVGRMPGLRHPSVLSAMTRAVADVASARDAIRLLGPRPDHEQVDASRALLLAAASAVAASGGDNPDAAEEADEEKLEASREVVRQEEEHEAYGAMLRDAEEKLESVYRMAMHGRDIHEASGGGGRKGDDEEEGSGAVDEEVVRVLKEAEEGRTLERVDLADRQLRLLPDPIGRIRGLLALDLSRNQLKVVPDAIGGLEHLEELSLASNNLVSLPDSIGLLSNLKLLNVSGNRLRVLPDTISKCSSSSLVELDASYNALVYLPTGIGHELVHLQTLRVHLNKLRSLPSSVCEMRSLRLLDAHFNELRGLPAAIGLLSALETLDLSSNFSDMRDLPLSFGDLAGLRELDLSNNQIRALPDCFGRLGRLERLRLDQNPLAVPPPEVVADGVAAVKDYMAGRWAEAVAEEERRQASAAAVADSPKASTPREWLTRSVSSLSTWVSDVTVKVVGQDTVAEEDEFLQQQF >Dexi8A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:8A:4127967:4128036:1 gene:Dexi8A01G0004700 transcript:Dexi8A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMCVGIRLASPVQ >Dexi5B01G0014310.1:cds pep primary_assembly:Fonio_CM05836:5B:10680138:10687603:1 gene:Dexi5B01G0014310 transcript:Dexi5B01G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSRGAPVSLYFWLPPATRHRRGHGPRRRVRSRNNSVPHAPKLHLPLPSPPLPARSRSDPXXXXPPPTTSLAAETGEWRRGMSATVEEQMVVKAIREECPWESLPKRLQSTLQTKDEWHRRIVDFCIRKRLQWNTCFARRVCREGEYYEEMMRYLRRNLALYPYHLADYICRVSRISPFRYYCDILFETMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKEMLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVFPDDRFKVSRLENFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLIQLQAAASFACRLGWAVKLVDADSVLNDEGAPGFPGSILSDDEEGSNTSINSEKSGQQMISMDSDGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRYFLECLQSGGVSSNENTGEAKTPRSSSLEIENATGQLAKVNMEDVVDDRHDELPRHDQSTCNLDDSDGNIMSPAVATSELDISSDTRVLKNKRKYKVDVLRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNRGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDGSVVGGLGGKFEGNLVKGNLLLHCLNSVLKQSSVLVQPLSVNDLNTSGNLVTVDIPLPLKNDDQSIACVLAQTNLPEEQILNLTSVLKDLCSKFDLSTLGYLRLLRLHKIDASDEINPENISYQWVPLSLEFGIPLFNPRLCERICERVVSSHMLQKDDLNEHCDVMQNVRRRLRELCSEYQATGPIAKLFNKRGSSRDLPRVLINSISGRWNPNNDPSTPTSGGAPRENERLRFSGRQRCRTEVVSFDGSTVRSYALAPEHNEAASRPTSEEQSSLHDVKSDQEDTNSKDVVLPGVNLIFDGAELHPFDVAACLQARQPLWLIAEASAASSTLL >Dexi8A01G0012380.1:cds pep primary_assembly:Fonio_CM05836:8A:21676529:21678192:-1 gene:Dexi8A01G0012380 transcript:Dexi8A01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKPLPPAQQERVLTVLSIDGGGIRGLVPATILACLEAQLQEKDGPDARIADYFDVIAGTSTGGLIASMLSAPGKDNRPLFAAKDISQFYLDNGPKIFPQRRVGCCVPSMVQFVVDSLRPGPKYDGKFLHEKIETLLKDTKVGDTLSNVILPTFDVKRMQPILFNTFEAEREAHKNARLVDVCIATSAAPTYLPAHGFKTKGSGGEPHQFELVDGGVAANNPTMAAMSLLTREMLRVRQELLGDDDRNIHLVHGGAVRRRLMTSDNPTVAAMAAMIAAEEEENPHLRMNKKDAHGSVYKNILVLSIGTGVSKKAHMYTAAECNKWNLLNWLNKNGFNPLIDFFCDASADMVDIHAEVLFELLGVEDHYLRIQTDTLMGDAASVDCATDKNMKDLIQIGKDLLKEKVSRVNIFTGEYEPKEGGGTNESALKELAEKLSMERKLRKETGDN >Dexi6A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:6A:27479961:27484605:-1 gene:Dexi6A01G0019840 transcript:Dexi6A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLSVGKSVLDAALGYAKSTIAEEVALQLGVHRDHAFVRDELEMMRAFLMAAHDERQDSKVFKTWVKQVRDVAYDVEDCLQDFTVHLDNASLPQKLWERRRIAKKMKELRLKVEDVSHRNRRYQLLKDHTTSSSRPSSSITAATIFGVDEARCVANQDRSRADLVHLISNSKEEDDLGVIAVWGTNGGDLGQASIIRAAYENVDVKRRFPCRAWARVVDPFNPREFVQGLVKHYKLGVGVDALLELATDKALEDLAAEFSRYANDNSYLIVITNLSTIEEWDLVKICFPNNKKGSRIIVSTTQIEVARLCAGQEVQVSELSQLSADQTLYAFYEKVPKGIGKLKGLQTMGLVNLARGKKILHDIKRLTLLRKLSLTGISRKNARELCSTIANLSCLESLLLRAEGHIGLLGCLNGISSPPENLQSLKLYGTLGELPPWIRSDKLKNLVKLSLRSTRIFGFNASDTIDVLGRLPNLAILRLRLESFLGHDLHFTFHGDQAFPSLKVMEFDRPQGLQEMRFEEGAMPNLELLDFCAWYQEARVGLLTGLECLTRLKEFTLSGSDYDDDFMEDLRAQLARNPNQPNFKRTARHIAPGPSQSADKS >Dexi9B01G0037190.1:cds pep primary_assembly:Fonio_CM05836:9B:38692140:38692988:-1 gene:Dexi9B01G0037190 transcript:Dexi9B01G0037190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLASYAAAAMSPTLDLRPEMAHPHQPAMSPSHHAWDGNGAPVAPTPMPKRLDGKVAIVTGGARGIGEAIVRLFVKHGARVVIADIDDAAGEALASALGPQVTFVHCDVSVEEDVKRAVDWALSRHGGRLDVYCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNAMGPALGMKHAALAMAPRRAGSIVSVASVAGVLGGLGPHAYTASKHAVVGLTKNAACELGAHGIRVNCVSPFG >Dexi6B01G0002490.1:cds pep primary_assembly:Fonio_CM05836:6B:2187741:2190415:1 gene:Dexi6B01G0002490 transcript:Dexi6B01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLTSIFLLQENLIKDDKAANSNSAVRTAQSSPPEDDDDEAHADGPSQDGAPEAVKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDMCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >Dexi5A01G0036660.1:cds pep primary_assembly:Fonio_CM05836:5A:38094509:38098319:-1 gene:Dexi5A01G0036660 transcript:Dexi5A01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYCQFKSEKNFYSLPVPYASISVSELKQLIMTSGKYGRGRRGRPRDDLVISNAQTGEEYADDRAMVLQNTHVLIRRISIPGQLSEKIVLSPTRKDTEGCYVPSSKSVVTDLSSKSCSSIGVPDEDAAITAVIDAAELKWEHYPYKRGQGSGRFSSGRNYGREVETPPLGYVCHSCGVPGHFIQHCQQVRHTPPSGYICYRCRSPGHFIQHCPINGNPKSDNNKMSQTLALVVSPVSGILESLVPVAPVSVVDDLPAELHCRVCKKVMIDAVLTKCCFDSFCDKCIREHIIAESKCICGVKTLTDDLIPNHTLRSTIINMLGTRASSSGSGTATHRSSLGSNLDPILESHAPSAASLRDVKQSTDLQLSAASPHGLKVVTEGDLVNQPLQKLAANVDIMSEDEGNSTEVSAETRATAEVIEVKDGSELASKVTTALGALENNARLDQPNNKQKKAESAKNVQPNNLHYGYDIPFDPAYYNPFIGGYPWVTEPYMYGSMGMPYGGYTMDPYGVNSFNGMAPQALTVQGYPASYQRPGTQPTHHWGTEAVVARSGQAEKPTDTHLQHQSSEHSRQLGSSHGSESRNRSRSGSESERREHGRSGRASDDHYEDQSSRKRTRDSSPMYSEQSSRRSRHRTRSMSREQDASDDERNFKRRWGRRSSVVVDTRH >Dexi2A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:2A:8594259:8594612:-1 gene:Dexi2A01G0008470 transcript:Dexi2A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHLLRVCDLAIGRSHAVPLPNPPPAGAAQANQEYALLVGEEAASSAASTAGRPFQVLFAYIEVSKHRRYLQIQIFSPDHGGGAWSSRAEIRTPNLHGSHLIRGLGKGMVVGSAVH >Dexi5B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:5B:5247189:5247561:-1 gene:Dexi5B01G0007700 transcript:Dexi5B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHGSVRLFGRERTLHEALGGHRVADIILWRDKTASAAILAVATVAWWLFEVAEFHFLTLVCYVAMIGMLVFFIWTNASTFFNL >Dexi3A01G0033530.1:cds pep primary_assembly:Fonio_CM05836:3A:38266630:38268698:-1 gene:Dexi3A01G0033530 transcript:Dexi3A01G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFVVLVAEASVAAALLFKTPLRKLAVLGLDRLKRGRRAPIAVKTVAGVVLALLASTLYSMAEISGRATDPDSGGGLTPTDQVLFSRHLLEASLMGYSLFLVLVIDRLHQYIRDLRGFKKNLEAVSKHNKVLEEAKLGISKETEKYQEEIATLNKEMKKLKIQVQEKTEEIHVAEDKALAIQKQSEGLLIEYDHLLEDNQHLRIQLQSIDLRLSSSS >Dexi9B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:9B:450583:451197:1 gene:Dexi9B01G0000730 transcript:Dexi9B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPALPRRAALLLLLLLIASTARSQEAASPYPEPPASASPAASPLPRDSDAADTEPQDVSPISQPPAAAPAADSSPPAPPKTSPFPAPSHSPAYPPATHHRSIAPAPGPAQDDKDVDDDNKVPAPAPATEEIKTGGGVAANSSGQDQPSDGHDQMNGGKKAGVVVGAFTAAAAVGLGCFVWRKRRANIRRARYAEYAARLELV >Dexi3B01G0015190.1:cds pep primary_assembly:Fonio_CM05836:3B:11022029:11022824:1 gene:Dexi3B01G0015190 transcript:Dexi3B01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQTFPGQAPCLCCHTRLRADGASYASGEQVGSDLSMISVLQAAGDKTKPYYHSPTTSAPVAGNHAGKSQFPIVLTAQLDPSLWPNVSNSHPSKQFSPLEHFLSQSNRQHQPILLRHWLAESIARPSDGFTHFLQQPQPDRTHNYSTAGQMRPEFSDRTQVTAHSNGS >Dexi4A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:4A:20153610:20155198:1 gene:Dexi4A01G0016520 transcript:Dexi4A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKRVAVVVGGSVAGLACAHAVAGARWDVVVLEKAAAPATGAGTGAGLGLDPQSMETLARWIPRWSLDDATLPLAVDLNRTTDSEAKAARTLARDDGFNFRAAHWGDLHRRLHEALPAEVTVLWGHQFLSFETPEDDDTRGGVVATARVLRTGETVEVTGDLLVVADGSTSSIRRRFLPDLKLRYSGYCAWRGVFDFAGKESSDTIVGIRRAYPELGNCLYFDLAYMTHAVLYELPRSRLNWLWYINGPEPELTGSSVTMEATDAMVARMRDEAERVWCPELARLVRETAAPFVNVIYDADPPPRLSWAGGRVVLVGDAAHPTTPHGLRSTNMSIMDARTLGRCLARWEKTETTTPARAVAEYEAVRLPVVAAQVLHARRLGRIKQGLPVDDEAEGFDVRTAVEDLMLLLRQRSMPFFSGAPTVDDGGL >DexiUA01G0017570.1:cds pep primary_assembly:Fonio_CM05836:UA:37031383:37034866:1 gene:DexiUA01G0017570 transcript:DexiUA01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISDIFPFLSSVEFSKFSALSYGSSIPLVYRPRLLICGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFSSRNVYQVDQPSYDDRLRYFNILFESLLAFQTEESRNKSKKQKSAIDLPKAPKEVEGPKLSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVIHKPMDMATVLQRVDSGEYLTREAFMMDIDLIVSNAKTYNGNDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIASQGGPQQVVDDEDNSILQAAPVAQLVSGTRISARLRNVQPEVNLSQSYEVLKRQKKSTENEQGMSKDVAARDEMSPEDVDLSKPSPEEAPTEPDSNGTVKETDSSLAETPEVPVPARPEPMETDSSEVATNVTTGDDLLGQLEGLKQRFMELTAGYGIPQLESLYSRIMKGAIELTSKESNEDHRRLVVRYLLTFVENSNNF >Dexi3B01G0024290.1:cds pep primary_assembly:Fonio_CM05836:3B:18953311:18955149:-1 gene:Dexi3B01G0024290 transcript:Dexi3B01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAGNKNKAVVWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATA >Dexi9A01G0003420.1:cds pep primary_assembly:Fonio_CM05836:9A:1817895:1821932:1 gene:Dexi9A01G0003420 transcript:Dexi9A01G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSYTDGEHPVVSQAALAFYLDFAASTIHASALLTLAAPHSGDLLLDTRALAVHSASTVADPPEPIPFSLAADPDPVLGSALTLTLPPDTTSFRLTFSTSPAASALQWLAPPQTASGQPFVFSQCQSIHARSVFPCHDTPAARITFSLLLNVPAQLSAVAAARHVARRDPLPADHRGACDDELWCASGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTAYEQRHHGCVPASSGHTDRHHGCVSASSGHTDLYPCSGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPNMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDKFLKKYIATFKFQSIDTETFLEFLKTNVPGIENQIDLKLWVEGTGIPPDAMEPDSSTYKKICALAAEFESGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCKCYFNEVEKCLKQVGRMKYLRPLYSTLAKCSGEEKMLAQRIFSEAQEFYHPIARSVAETILSKHS >Dexi9A01G0001060.1:cds pep primary_assembly:Fonio_CM05836:9A:532249:534629:1 gene:Dexi9A01G0001060 transcript:Dexi9A01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQPQANGHHHQHKEEEKDGDAGEWKQVAELRAVTEAQDPSCKEEDDYMLRRFLRARDHNIGKASAMLLKYLKWKPTAKPNGSITGDEVAHELSQGKLYLQGYDRQGRPMIYGFGARHRPSTRDLDEFRRYVVYVLDATVARLPPGQEKFAAVADLKGWGYSNCDIRGYLAALEIMQSYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVADKDLDRTLREAIDDAQLPEIYGGKLKLATPTPSPPAN >Dexi5B01G0029490.1:cds pep primary_assembly:Fonio_CM05836:5B:30734922:30737029:1 gene:Dexi5B01G0029490 transcript:Dexi5B01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTTKTALPPACGGGFAPGAHREALEFIEHVTANAGQVQRRVLSEILAQNAPAEYLRRHGVSGAADDAVEAFRRLVPLVTYEGLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLQMPVMSQSVPGLDKGKTMYLLFVKAESRTPGGLVARPVLTSYYRSRQFLERPHDPYTDYTSPNEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAISFLEKHWPRLCRDIRTGVLDPEITDRAVRDAVAGRVLRRGGDPALADEIEAECGRKSWEGIIRRLWPRTKYIDVIVTGAMSQYIPTLEFYGGGLPLACTMYASSECYFGLNLNPMCKPSDVAYTLIPTMCYFEFLPLRSNNNNNANNKAEPSHRDLVDLVDVKLGHEYELVVTTYSGLCRYRVGDVLRVAGFKNEAPMFSFVRRQNVALSIDSDKTDETELHAAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRPGSTAVPASVFEDCCLSVEEALNSVYRQCRACDKSIGPLEIRVVSEGTFDKLMDYAISRGASINQYKAPRCVRPGAVVELLDARVQGKYFSPKCPKWSPGSRQWSNNARVEAISNGDA >Dexi4A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:4A:25007050:25007552:-1 gene:Dexi4A01G0021470 transcript:Dexi4A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYGIPVLNKVVDEGIRRRPLDINPEMKKLGIYSRLDQVFVAPDTVKDVLISQAILDDSV >Dexi3B01G0022990.1:cds pep primary_assembly:Fonio_CM05836:3B:17702898:17706154:-1 gene:Dexi3B01G0022990 transcript:Dexi3B01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEPRRLTRALSIGGGDGGWVPEEMLHLVMGFVEDPRDREAASLVCRRWHRIDALSRKHVTVPFCYAVSPARLLARFPRLESLAVKGKPRAAMYGLIPDDWGAYARPWVTELAAPLECLKALQLRRMVVTDDDLAELVRARGHMLQELKLDKCTGFSTDGLRLVARSCRSLRTLFLEECQIVDKGSEWIRELALSCPVLATLNFHMTELEVMPADLELLAKNCKSLISLKISDCDLSDLIGFFQFATSLEEFAGGAFNEQGDLAKYGNVKFPSRLCSLGLTFMGTNEMPIIFPFSAILKKLDLQYTFLTTEDHCQLIAKCPNLIVLAVRNVIGDRGLGVVADTCKKLQRLRIERGDDEGGVQEEQGGVSQVGLTAIAVGCRELEYIAAYVSDITNGALESIGTFCKKLYDFRLVLLDREERITDLPLDNGVRALLRGCPKLRRFALYLRPGGLSDVGLGYIGQCSGNIQYMLLGNVGETDDGLIRFALGCVNLRKLELRSCCFSERALALAMLHMPALRYAWVQGYKASQTGRDLMLMARPFWNLEFTPPNPDNARLVEDGEPCVDSQAQILAYYSLAGKRLDCPQSVVPLYPA >Dexi2A01G0012270.1:cds pep primary_assembly:Fonio_CM05836:2A:14266426:14266918:-1 gene:Dexi2A01G0012270 transcript:Dexi2A01G0012270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPALPTTALLLLLFSCLAVVPEAEAARNKHRRSGGGARPTSRPTTSSGGGCGAAAAPAVWVFGDSYADTGNLGDLGRELTHAWYDPYGDTFPGRPTGRFSDGRVTYYYASSCSCSSGCNASERRST >Dexi5A01G0005290.1:cds pep primary_assembly:Fonio_CM05836:5A:3974049:3974664:-1 gene:Dexi5A01G0005290 transcript:Dexi5A01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLTSRQLHHLLLNLFVHVFLLTIQLSLSLVTYRNETFVPSSPSPAPCRPNQAFTLVQLGRSFSTTNDSTCTLAPWRASSDCCSWAGVTCAAADGRVTGLDLGGCGLESAGGLHPALFNLTSLRYLDLSGNSFGESELPAVGFERLTELTHLDLSYTDFIGKIPRGIRRLIKLEYLDFSNWIYLETTIISCLLVKDDGQS >Dexi5A01G0023440.1:cds pep primary_assembly:Fonio_CM05836:5A:27446977:27447962:-1 gene:Dexi5A01G0023440 transcript:Dexi5A01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIGSIIFASGRCSDLPELMHLRNLFTTKYGKEFVAGALELRPDSSVNRTIIEKLSVKAPSGESKLKVLKAIAQEYNIEWDSSNTEAEFNKKYEDLLVEFTN >Dexi5A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:5A:11954466:11959490:-1 gene:Dexi5A01G0014770 transcript:Dexi5A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVHDKDVIGITHHPHRNLVATYAEDCMMKIWKP >Dexi7A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:7A:22166528:22169771:1 gene:Dexi7A01G0011770 transcript:Dexi7A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKGSTMVVATTTGMFLVCFESLCPCFGSRRKDGSEDHVLAGHSNSCYFGKVYRAELRDGRAIAIKRAKKAFNKYNRGNVRDILDPMLTEAIHEDVLNKIFDVAFQCVAPTREDRPHMKEVAERLWKIRRDHTKAQRIAELIL >Dexi2B01G0029440.1:cds pep primary_assembly:Fonio_CM05836:2B:37841368:37843057:-1 gene:Dexi2B01G0029440 transcript:Dexi2B01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to NAC domain protein [Source: Projected from Oryza sativa (Os07g0566500)] MRSMESTDSSSGSAPPRHQHQQQQKQPGSAPDLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPDKASFGEHEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASGGNREKVGVKKALVFYRGKPPKGIKTNWIMHEYRLTDAASSATTNRPPPGAAGGKATSLRLDDWVLCRIYKKTNKHVPGDQQQRSMECEGSVEDAVVVGMYPRHGAAASMAHGGNNYTSLLHHHDGGHEDNFLEGLLTAAASDAGGGLSAAGASSLQSHLAAAARATAPSATTTKQLLVSSSTPFNWLDASSGLTILPPTKRFHGYGARDTSDVGGMSLSSPSERNLQAAVGAGAVDNGGSGGFNAIPTFLNPLGVQAAATYHHHAILGTPVSPEEAAAAAAAPCTFHQHTYQ >Dexi5B01G0039370.1:cds pep primary_assembly:Fonio_CM05836:5B:38253942:38255116:1 gene:Dexi5B01G0039370 transcript:Dexi5B01G0039370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGASASAALAVAVVAALLGASAAAGEASAPPLVAPGLSFDCYKQSCPNVEAIVRDFLKGAISQDVGLAAGLLRLHFHDCFVQGCDASILLDSTPAMPSERQAPPNWTLRPAAFKAINDIRHRLDRECRGGAVVSCADVLALAARDSVVERGGRSYMVPLGRRDSANPAPLGQVNADLPGPDSNVDTMLRVLGRVSGGALDATDLVALSGGHTIGVGHCTSFEKRLFPGTDATMDAGFAAQLRKTCPATGIVDRTAALDFVTPVDFDNKYYVNLVNRKGLLTSDQVLFTDGRTRPVVARFAKSQRAFSNQFAASMLKMGQLKVLTGSQGQVRRNCFAPNPASSTGLLLSVAAEAESLVF >Dexi7B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:7B:24556157:24559543:-1 gene:Dexi7B01G0018930 transcript:Dexi7B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTLEQDEPSKASTAVTPGGGPLQGRKGTASVTSPAWETDPPAPHMDGLQLGARTSPSQRLPRTETNETKRRASVAYSPPLICVVREATEGPEIEEDFVASKRAHMAPSRHLEVDLDGAERASQEPTRDAFNNWTQLSPIVFRPAHSQMAIPIPPRDHRASSPRLQSSPQASLSEARNPIFFDIPSHHTTGSRRHSPRHTPSTLGDAQLHSWHLKRDNRITRQAEHNLPLPGYPYALTLSPIGGEKHIPKQWPTTLKNTALSMTKITAIRIDHNTQQRLYTCTQLGLRLGINHKPPSKNGTLLVSRVLVPRKCESIPPELPIGGGAISPRAHEVTQKSSHPDIRSGCYYPHRRFFTSSLMVVVAFVEVVRPSVNS >Dexi5B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:5B:434225:435832:1 gene:Dexi5B01G0000680 transcript:Dexi5B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRRKSFSFFEEDRKSSSRPPGAHTPVHHHQSSYYARSPAEPARLSMSSIPGGPPVVDMNMQTMTPPPPSPSSMLMGGACSPWVQSPLHARARLRFPPSSSPTAAIYHCLAALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHSRDHHVRVWTVCAAAVCDHIRAKKAATLPAKGSFLLSSLGMKRSPHQHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCVDSFVAHDGPVNAMVVNDADGCVFTASADGTVKMWRRVYGGTAHALIIVLRSELFSPVNALTLCHAAAAASGSSRRCFLYAGSSDGYVNVWEKEATVGRPAHAGHLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDQGSKGGGATHTCLAVIEGHRGPVRCLAVGGGEAGEVEGTMLLYSAGLDKSVKVWRIRVVGKDDDDEQDDDDDGEEDADQMLMAAAAGKVQGPDAAAAIPVRDDDVEADNDPDAEFVGPTPVLSPVWVEKRRHTSRG >Dexi2A01G0028520.1:cds pep primary_assembly:Fonio_CM05836:2A:39808564:39811250:1 gene:Dexi2A01G0028520 transcript:Dexi2A01G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFERDEKPERERDIEMGKPNPKDKSDYGLEDFFQEVKEIEMLLDKMSNIVHKLQEANEESKSVTKASAMKAIKGRMEKDIDEVGKIARNVKNLENRKKPGCGKGTSVDRSRMSMTIALKKKLKERMNDLQVIDRLIETGSSEQIFERAIQGTGRGQILATVEEIQERHDAVMEIEKRLLELQQIFADMAALVDAQGEILDNIENQVQNAVNHVVTGTEALRTAKSLQKKSRKCMLIAIVILLVIAIIVVLSILKPWAKK >Dexi2B01G0027860.1:cds pep primary_assembly:Fonio_CM05836:2B:36618974:36619743:1 gene:Dexi2B01G0027860 transcript:Dexi2B01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIADFGLARLLGGGHTQTRTAIVAGTHGYMAPEYALFGNVSPKIDVFSFGVLVLEIITGRRNNSSSDESDKSVNLLTDVWNCWTKGTALQLINESPDGHAKSQVLRCIHIGLLCVQEHPDDRPSISSVVVMLTRSRVRLQAPRQPAFFFGGDSSSPAERMHGRNFVYERSDVIVEDSLSVNDVTNTDLYPR >Dexi3A01G0016530.1:cds pep primary_assembly:Fonio_CM05836:3A:12435286:12438555:-1 gene:Dexi3A01G0016530 transcript:Dexi3A01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSPDPKRCSFDQALRQKVQQSVQVLQEKQELAETQREMSKLQIVHEDSAQKSEGAEQRQQPQQLHSLGNGSFVPQPSKLGPCGVAPYTIHGSAQAYNTAYGSPSSNPATIVAVLNQQAHGSAPMVFHHLGPQSVQNHPDIAEKAARLGYPKDPEGVALRMVAAGQPAEYNPMHDRLSSVSHGVAPHAWSG >Dexi6A01G0016800.1:cds pep primary_assembly:Fonio_CM05836:6A:24674399:24676087:1 gene:Dexi6A01G0016800 transcript:Dexi6A01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVQERELHGWQAWLPLDTMACSLDDTTTSGFLLRWDPQLCYFGLAPWRADAGDLDTHHGRQLELVVPKCIESPMSEASTATVTGLPTPQDATAMPGELDELLQSLWDWDDKHAPGFSPCSALKEKEASAVSPQYDDHFALNPILPTSPEKKTLTQPQAEPPSSSSSHCDVDPWASDTSVAPDQMTHANRSSKRSAPEEKGEEGDESCKKRRKAPSSTSTPGSGAGTVALPFTVVKPGGADGSVTLADINRWILTPPARPVRHPVGEFACAPRVSAGNRPAPSGKTVAGFTRLRTGGRGTITIVRTRG >Dexi8A01G0005760.1:cds pep primary_assembly:Fonio_CM05836:8A:5557108:5557740:-1 gene:Dexi8A01G0005760 transcript:Dexi8A01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQSEGKDVAPSLWYVPFPVDRITRNPEHIDYGRGSPQISRCVCATRDGLMFVSVDHRHTSDWGVGHQDVLKWNHTFRITTWSLRGHDYTWRRDVTMYEEEFWDVLHYSGDHLFPRAAPEYPVVNIDNPDAVCFRLKKEPYNFGDPIWMIEVDMKKKALLAATSYSMETTSSNEVGTINYARVVSDAPPFSSDLPRYLDGVSDCKKRRQ >Dexi5B01G0020930.1:cds pep primary_assembly:Fonio_CM05836:5B:23167083:23167613:-1 gene:Dexi5B01G0020930 transcript:Dexi5B01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVAGDEVPARWGTRSGPGASSGACNPDPALLSGPARAASWPLKNSWARRRNRDQVLVVEVGGAKRSGLRPASPEPARPGGPAGAEVEEGTLKKVRLRRISFDKAPMAALAGVASAERLVAAVAVAAGMTPAVWVQWRTKREIWIGGVFGLSSPWCSVYMANGQRWGTCLGRSD >Dexi2A01G0035910.1:cds pep primary_assembly:Fonio_CM05836:2A:45410027:45414796:1 gene:Dexi2A01G0035910 transcript:Dexi2A01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPAQPAIRRDIQPTQATSRRPSSSNSQPPSPPLSPLPSPNPTPPRPPGLRRPRRPPHIAPPRPRRAGERPPPSERNRAVRLVAXXXXTLHHLAPRGFVVPAAHPTSRRPGPAARARGLLPPSGTVPCGWSRGRPVPHSAPPAAAAAFDVSHLRPLLPLAPVAEELPRGGFPSGHFLRPEIGLPTAAEMSGGSGDKAGLVTAKKSFKIHSLSKVMILHLKRFSYGNHGSTKVYKQLHFPLQLVLNRELLSSPVSEGRKYELVATITHHGRDPSRGHYTAHAKHANGQWLRFDDDTVTPVGQNEVLHDQAYILFYKQV >Dexi9B01G0017160.1:cds pep primary_assembly:Fonio_CM05836:9B:11961850:11967076:1 gene:Dexi9B01G0017160 transcript:Dexi9B01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGEAEGGSGGRLESILTGDASSGGPWAPRLWAAAAIELRLLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQMFAYGLMLGMGSAVETLCGQAYGAQKYEMLGIYLQRSAVLLCATGVPLAVIYAFSEPILVLLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTATLVLHVLLSWVLVYKAGLGLLGASLVLSISWWVIVAAQFAYIVMSPKCRHTWTGFTFQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAYFSVWVVTAVSTLITIILSIVILCLRNHISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIIGVPLGALLGFVFKLGVKGIWGGMLGGTCMQTAILLWVTLRTDWNKEVEEAQKRLNRWGDKKTEPLLAGVNNGN >Dexi2A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:2A:9852049:9853767:-1 gene:Dexi2A01G0009360 transcript:Dexi2A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPYIMKMFSVVHRGTTQLEGHMVDSGQRLVDRMPINPKLAVFESTQERFEIPIRPGMRSSYVAAGGPPSSSKRPSDPLVVRKRNASYDPTGHIMEEGCGRGRKKVASRKTNESVHPTVDGVLRKKKRSFHRYSKDNNASGNSRQLTDYFGTSEGTEVPPLPKRCAKPSQSFNIRCNHSIPRMRDLLSHPLARLSGPPLEALLEVFDQFDLTLSETSTAIQASINNIVRAPRQLAEKFRTVIDLVAAQTSADPNIIGESSRMHSCGDEEDFVDAPTGLDHAPSATRAP >Dexi5B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:5B:358889:361460:1 gene:Dexi5B01G0000560 transcript:Dexi5B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEDLKNEFERVNGSQIETAARPYAFIKFDAFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGIVLMLFWVKNKIW >Dexi3B01G0038620.1:cds pep primary_assembly:Fonio_CM05836:3B:41409223:41409721:1 gene:Dexi3B01G0038620 transcript:Dexi3B01G0038620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVLYHAPGDVVLVILGLLVVGGALPPAHHGQRSSSSSSSGRLHTRSIWRERMERAPRASAPDAAAPVASAQASSAAGTSTGLRRRSRGAATARPAMEASSVRPARTRRSAASARSAAATAARCWPWPWWSASAMIDCLLVR >Dexi3B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:3B:3425432:3428421:-1 gene:Dexi3B01G0005140 transcript:Dexi3B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIRRVPTVVSNYQDDADKPRAGCGRNCLGHCCLPAVSKLPLYAFKANPAKSSQEDAASAMSFVNILLTQWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDPAKFNFTKVGQEEVLFQFENDAGDDSYFLNSAPITAVDRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDPESFLLALQMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAATHHIPLSEDTMKSGVTVSKLIDYPVRGLVFEGGNTLNDLANVVSSACIWLQDNNVPYNVLISDCGKRVFLFPQCYAERQALGEVSQELLDTQVNPAVWEISGHIVLKRRNDYEEASETSAWKLLAEVSLSEERFEEVKAYIFTAAGLVQADEEEEASEGEEATYAPVPSHPLPVSEGCLVLQ >Dexi9B01G0027670.1:cds pep primary_assembly:Fonio_CM05836:9B:30111509:30113315:-1 gene:Dexi9B01G0027670 transcript:Dexi9B01G0027670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTSPAASSPLLLASRLGALHGSAPLLPLHHHHRGASSSSVHFLAPRRNTRPAPAMSWLGKLGLSGLGGSPRASEASAALAQGPDEDQPAPGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHEPTYEDVCTGSTNHNEVVRVQYDTAACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKTARESLEKQQKLLNRKIVTEILPAKRFYRAEEYHQQYLEKGGRFGFRQSAAKGCNDPIRCYG >Dexi8B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:8B:241968:242613:1 gene:Dexi8B01G0000350 transcript:Dexi8B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAVDARLSLFASRLSHHSFGDDDLLLLEAALSTGADVPALLATRYEARRLLQQHAPQAFASPTPPPLDHHARNLATADFFARAFALVGDVQSCLAMRYEALLLRDAKYSGDHHLQVSHEEWLTFAKDSLHNGFYTIASKLWP >Dexi2A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:2A:276526:280590:-1 gene:Dexi2A01G0000520 transcript:Dexi2A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGVRKEVADFIQKRDGYPSDPELIYLTDGASKGVMQILNTIIRNERDGILVPVPQYPLYSAAISLFGGALVPYYLEEEANWGLDFVNIRQSVAEARSKGITVRAMVIINPGNPTGQCLSEATIKELLQFCYHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPLSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFAAESKSILESLRKRAHMMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKAPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDFPAIMSSFKNFNDSFMQQYEGYSRM >Dexi8B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:8B:27818369:27819169:-1 gene:Dexi8B01G0016680 transcript:Dexi8B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGNLLPKLGQLLLDEYNLQKGTKKNIEFFSRELESMRAALCSVGDVPPEQLKEQVKIWARDVREVSYDMEDIVDTFLVRVQGPEPPSKKSAKRFIKKMMGIVTKATTRHEIAQEIKDIKERVKEVAERHDRYKVDSIAPAKTMLDPRITSLYTELANLVGIDEAREELITRLTKGDAPSRQKKIVSVVGFGGLGKTTLAKAVYDKLKEQFDCTSFVPVGRNPDMKRRFSRTC >Dexi1A01G0024900.1:cds pep primary_assembly:Fonio_CM05836:1A:31299017:31300657:1 gene:Dexi1A01G0024900 transcript:Dexi1A01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRHRRPGDAESDLSRAPALAGDTAHPVPLPPPRSSLPVSPMRRFFLPRCTRQAEARLGAANRERRAVIGLATAPAPLGGVHRRRQEDRGRVLRGEYADGNPDFVGCAEHALGIRGWLESQGHQYVVTDDKDGPNCGEAGEAPGGRAASRIARARSLELLLTAGIGSDHVDLAAAAAAGLTVAEVTGSNTVSVAEDQLMRVLVLVRNFLPGHRQAVAGEWDVAGVAHRARDLEGKTVATVGAGRIGRLLLQRLKPFGCRLLYHDRLRIEPEMEELGAEFEADLDAMLPKCDVVVMNMPLTDKTRGMFDKERIARMKKGVTIVNNARGAIMDTQAVADACATGHIAGYGGDVWHPPPAPKDHPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPAQNYIVKEGKLAGQYQ >Dexi5A01G0037250.1:cds pep primary_assembly:Fonio_CM05836:5A:38656747:38658123:1 gene:Dexi5A01G0037250 transcript:Dexi5A01G0037250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPATKTPDGPYYLSNLDQNIAVIVQTVYCFRAADSSSVSDVLRESLANVLVHYYPLAGRLTISGEGKLAVDCTGDGAVFVEAEADCAMADIGDVTEPDPSVLGKLVYSVPGAKNILEMPLLAAQVTKFTCGGFVLGLAINHCMFDGVGAMQFVNSWGKTARGLPLSLPPALDRAVLRARDPPRLEFPHHEFAPVTGDEDDDNQDDGKALLYRSFRFTPASISRLKAMAAQPMEEEGVRRRACTTFEALAGFVWSARTRALGMGPSRRSKLLFAVDGRPRFAPPLPAGYFGNAIVLTSASCAAGELAAPQVAVRLVRGAVEAVTDKYMRSAVDYFEATRARPSLASTLVITAWSRLPFRAADFGWGPPAAYGPAALPEKEVALFLSCGGGEEEGGGGGVRVLLGLPPAAMAEFQRLVEEVTEA >Dexi2A01G0009880.1:cds pep primary_assembly:Fonio_CM05836:2A:10905969:10908198:-1 gene:Dexi2A01G0009880 transcript:Dexi2A01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAREFLSLLAVSSTPVAELLLVAVAGAYLATARCGVLTPSALADVNRVVYSVFTPALMLASLASTVTLRDVIAWWFMPVNIGIAFFAGGALGWVVVLLLRPPQQLRGLVMASCSAANFGNLLLVVIPAVCGQDGNPFGLDKNICTGHGLSYASFSMALGGVYIWTYTHSLMKRSGEAYRRMRQAGSVDSPVACLADPNKESAIPEDDKEHRGNDGHGAISRSSQSDVEKGGEHQIVIGFVIGTVPWLRSVFVGSNAPLRAVQDSLKLLGDGTIPCLMLILGGNLTKGARKTKVPPAVVVAIICVRYVILPLIGVVVERAARNMGFLPPDPLYQYTLMLHFAVPPAMSIGTMSQLYDVGQEECSVILLWTYLVAALALTIWSTIFMWILQ >Dexi6B01G0018200.1:cds pep primary_assembly:Fonio_CM05836:6B:25240836:25243784:-1 gene:Dexi6B01G0018200 transcript:Dexi6B01G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRDASGWCFCSGGAKLERIKSSLLAAKGAAVAAVSFPSGGGGGGGGGAGGKGGSGFLIHRGLLLTTHGTIPSAAAAGAAEVRLSHGRLLARLMPQRFFITSPILDLTIVGIDVVADGSSSHGQEPQFLKTCLNPSLDLGSTVLLLGHNRKDLAVGEGKVVIATDNLIKFSTDDVLWRPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTVLHASRKDVPTQFGIPIPAVCEWLKQHWNGSIEDVSKPMMTPARLTTSGERSGRSSFGHLHYIKTTEREGGDVLSSSQIPPRPTWQHGACSSASAKISHGENDSIVSHSFHGQHELTSKMCKPKNDQADSLMDTSLPPGHSRSIRLPLPLKQMMPDENKNEANRPAPHGAHPSNVQINCGTLHNVAYQENCWSEVQSSSSPLAMSELGDERGGFSSGEETMYSAETRESRNIPSPKDKKAEVVGRSQSFVNHNKWDSPKSVESSKGVPSKSHTFIPLRKPHLQAAAISQKSQVYFSPTVSSNMKKRNLSQTPMKPRQRAQVTSKWIT >Dexi2A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:2A:8222315:8223212:-1 gene:Dexi2A01G0007920 transcript:Dexi2A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHEVLEPQAPIIITPVPMPVVVVAPQLCAPYAVALTVTKKVMSLHGNDFTITDPNDAVVLQVKGKGMMRHHRCVLLGANEQPILSFRGTMLTMHNKWEVFRGDSKSPSDLLFTARCPKLMQLLKTEMDIFLAGNNTDQQFCDFRLKGNYFDRNCAIYLGDSDIMIAQITRKYTAANVLLGRDTFNVTVFPNVDHVFVAALVVLLDEVHSKHRRHRQHFVAGLVTKGLLFL >Dexi3A01G0012760.1:cds pep primary_assembly:Fonio_CM05836:3A:9322617:9325956:-1 gene:Dexi3A01G0012760 transcript:Dexi3A01G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFGFWCMRCACKARARFKTSDDAEEPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQMSLSVLLLGVGVATVTDLQLNAVGSILSLLAIITTCIAQIVKESEAAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRE >Dexi3B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:3B:2477276:2477665:1 gene:Dexi3B01G0003660 transcript:Dexi3B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRALCLALMASAAIVSVWSSCEAAVSVQQPPATATATPGPDTNVLCVSKCGTCPTVCSSPPPAPPSSSAGDNSVTPPPPPGQGKGDRPSNYYYFFTAAASRRSSCAGAGVYAVVVLALVSAVGNLR >Dexi2B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:2B:20953378:20954330:-1 gene:Dexi2B01G0013260 transcript:Dexi2B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNVRNSSGIAKVGGAVLCLAGVLVMAFYSGPGLSPVSHHRAFAAHATTSSGGQDKTSKAAWITGTFLMVVNNMAWAVTAVWQARILEEFPNRMLVAVFLCVFSALQLLVVAAVAERDFSRWKLKLDIRLLAIAYNGFVVTGVAYYLQAWCVELKGPVFFSAWLPLYSVFTMFCSSIFLGEIVHLGRQYFGWDPADWWALQRVVG >Dexi5B01G0029140.1:cds pep primary_assembly:Fonio_CM05836:5B:30388203:30390664:1 gene:Dexi5B01G0029140 transcript:Dexi5B01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHKVGEKGGAPGEVMRRINVVYFLSRGGRTDHPHLFRVNHLNRAGVRLRDVKRWLSELRGKDMPDNYSWSYKRKYKAGYVWQDLKDDDLITPISDNEYVLKGCDVRGTPPPPFVVLAPTKTPLQGEKKEQKETPCNNDHQDRPVEVVLTQDSDENSPKTPPPADQDSPAGCDSARRSFKVDEEPRGVREQTQHQQQEVVIKIEVARSQEQEHPHEQKQQQQQKEEEATEKAVAREERRRQGAVRSHTVGQQQARRMRVARALHSMLTCGAADADDAALRPVARRQGRGAAEAAGGDDWAGPTPTCPGMDGCGLRVSRTARSRRGGKEKQGKRDAHKPPATLPRCSECGKEFKPQELHAHMQSCRGFRERMRSTRASVDRRRNSTAGHRGKHGHCSSEERPSSASAVFLLTES >Dexi8A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:8A:2491203:2492021:-1 gene:Dexi8A01G0003440 transcript:Dexi8A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTNKSRGSAAAGRPQFPVGRRRWSYVPVVDAGCGCRPRRPRLLSLPSFLKPRQLGGNNNNKSAAAAAAAAQRSTGGEQYKCSSTSTAASFSSSSAATHSTTGYSSAYSDDYYYYYNHPSDLAKLEEAPLSPSPQKQATTKASPAAAKRQQVKTKTKAKKKKAAPAPAPGKEEEEGGVGVAVEKESSDPRADFRDSMVQMVVEMELCDWDGLRGMLGRLLALNAPRHHAAILAAFAEVCTQLAAAAAAPPTTTHHQPSPSPPPAYLQYRR >Dexi9A01G0024370.1:cds pep primary_assembly:Fonio_CM05836:9A:20614180:20616354:-1 gene:Dexi9A01G0024370 transcript:Dexi9A01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPQNTASTHRSTFVRGTHQLDIVGYSVRKELGVSNSVRSCAFEAGGHTWDLVCHFQRHGLEAISLELLLSPSITTRDVVAMAGLRISDPTGRSPAAIWRSHEAHTFYAHSSTTWKLSLPDAFREERYVHGDRLSIHCTVDVLEDSTTLMPPETRNRFISAPPPPSISHDLHRLLLVADDARWPPPDVTFVVEETVEIRAHKLVLSMRSPVFRALFHGSMKERFTRSVRIDDMAASTFRAMLRFIYTDELPIKPKGVASQEECRSKHLARRRVAMARDLLVAADRYGLERLRLMCENILSESLDATTVMATLTLVDGRYSCRQLEDSCIAFMASTFDDVVATPEYQELKGNSVSFIADIMERVALHKLAAGNCPSCSSSSSTSKANMKSASTYTSLVRGTHEFTVPNISTVLSALDVGHDLHSGSFQVGAYDWRIHLLKEREHLSAWLYLLTHPGTDKIDATLAFHVPDPDDKSWPPATMKKINVVYSKDNMAWGPQGLSLITLASAKAKSQHVGQDGSLTIRCDIQITNPESCGSSSTAVGGGGTIPVPPSNIAWHLEQLLASEQGSDIKFLLEGTAVVHAHMLVLAARSPDLYDQAAASLAGGTDVDEHVRIDDMTEGVFKAVLHFIYTDQLPCSGALRDGDMAMAGEVLEVAGRYRLERLVVMCQNRLAESISAENALGMLKLAERLRCKELEDYCLDYIASSQHIATQVMKSFGSIVN >Dexi1A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:1A:1153476:1154003:1 gene:Dexi1A01G0001730 transcript:Dexi1A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNPRVFFDMTIGGAPAGRIVMELYANEVPKTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVITDFMCQGGDFTAGNGTGGESIYGSKFPDEKFVRKHTGPGVLSMANAGPNTNGSQFFICTVPCPWLDGKHVVFGQVVEGLDVVKAIEKVGSRSGTTAKPVKIADCGQLA >Dexi6B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:6B:25290123:25292117:1 gene:Dexi6B01G0018270 transcript:Dexi6B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFSDDGSSSCVSPSRPSSRSSKNMDWKVRGDRSRPLPIICFFLGAALTAAFVFLAATMDVNWRLSEMAVWGNGAREEVSDLAKPFAELAELLKNASMEDKTVIVTSINQAYAAPGSLLGLFLESFRAGEGTARLLNHLLIVAVDPGAFQTCRSVHRHCYLLRPDHAAADLGAEKFFMTPDYLDMMWARNKFQQTILELGFNFLFTDIDILWFRDPMRHIAITSDIAIASDYFNGFPDSMHNLPNGGFLYVRSKARTVEFYRRWRASRSRFPAGTNEQHILARTQARLSRELGVRMQFLDTANCGGFCQLSGDLRRVSTMHANCCTGLANKVHDLRSVLRDWRNYTAAPMEARRRGDFRWTKPGRCIR >Dexi1B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:1B:22275358:22279099:1 gene:Dexi1B01G0015720 transcript:Dexi1B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAALAALALLGLLSVAAASDSDHKYQADEPVTLWVNKVGPYNNPQETYNYYSLPFCHATENHVHKWGGLGEVLGGNELIDSQIDIKFRKNVDKATICSLDLDLDKAKQLSDAIENSYWFEFFIGFVGEADRNNDNKYFLFTHKNIIIRYNGNQIIHVNLTQESPKLIDANKAMDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDIETLERDVNEESGWKLVHGDVFRPPRNLVLLSSLVGIGTQLAALILLVILLAIIGMLYIGYALNLQSAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >Dexi1B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:1B:22541675:22544442:-1 gene:Dexi1B01G0016000 transcript:Dexi1B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPKPGGGAAAAARAAAAGPRTVLITGVGRGLGRALALELARRGHAVVGCSRTAEHLRSLEAEITSPSRHFFTVADVRSDSSVAELAKATVERKQVPDIIECFLDSNCGILAVNNAGTINKNNKTWNVPAEDFDMVVDTNIKGTANVLRHFVPLMIEKRHGIIINLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLTSCFGSSASLYQTTETW >Dexi2B01G0024260.1:cds pep primary_assembly:Fonio_CM05836:2B:33717715:33718146:1 gene:Dexi2B01G0024260 transcript:Dexi2B01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVITRFAVTSMVMWMAPVAIISGFYYHMFPGVSQMSSSAQTLTSGFLAVMSVNMVIGFYIFMTMKETPHRPQPDPTFLANTKVGINQPTSSQVIDDSKGKGKIE >Dexi3B01G0007610.1:cds pep primary_assembly:Fonio_CM05836:3B:5386244:5386335:1 gene:Dexi3B01G0007610 transcript:Dexi3B01G0007610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDRREAAYPRQLCLSPNPR >Dexi1A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:1A:2950231:2952599:1 gene:Dexi1A01G0004010 transcript:Dexi1A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAGDEELESLLGNFRRVSQGYKDALMEVQALRVNYSSESKKREALELHVTDLKRDNERLRGLYTETLYRFTNQCLGIWDCVIKFYHITYALVKFHAEAQHLKEELEKANSRLLSMEEEHKREMEQLKHSSEMNSNALENELSTDWATISHALVQQATDEAATKQLKLELAAHKVHIDMLRSKLEQVTADVHSQYKNEIQDLRDVIAVEQEEKNDMHRKLQSAENELRIMRVKQAEQQRDSISVQHVETLKQKVMKLRKENESLKRRLASSEV >Dexi6A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:6A:3787998:3797496:-1 gene:Dexi6A01G0004120 transcript:Dexi6A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGGGARPWRTALLTLRDESLASPSPPALLALLRRVLLSPAPPSLAASAAALPPHEVGSDVAFLAETAAAVASCPGADDALRGVCHLVHDIMCKTNMEIDSSGWLAILKFLDALVKCTIEGACVKGLSLRTAALNTSSQCLQILRFQSRDYGSSSPLTESSHSLAVLISIISYLQAELNLSDKPNGIGISSRDSGSVNTKNFNTWDMMISAFSMVEDILCKIASSMTENLWQSVIEVLRKVMDFVAAWNLIIESSIMSRFYASFLRCLHFVLSEPKGSLSGHVAGFVANLQMFFVYGLRSSSPPVLAPKEIRIDSKPRASHRGRYRPPHLRNKAGRENDSPEGQSSDSEYSRYDLSSSDSDLSDSDGYSKNGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIAIMLEGQALVLTQVAEYKESSKRGSFTTLSCSLGQILMQLHTGALYLIQRETQATLLPALFRVFVLMISATPYARMPKDLLPTVIEVLCSRLPNTHSNKSEHYALLVNVLNCLEAAFSKVPPTLDVFAVLTQDCGAG >Dexi3B01G0036610.1:cds pep primary_assembly:Fonio_CM05836:3B:39522124:39524297:1 gene:Dexi3B01G0036610 transcript:Dexi3B01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLLLVVVVTVVFTLLYPVDAGHDDKILRPPQPACSTTNNYTANSLYKKNLDQLLAALPSAAAATVDINGWFNNGTVGTDGADDQVSGLVMCYAGRHNATACSDCLSMATAEITTGAVCPGSRDVRAVYDACVLRSSATPIPATADLTVVVPAAAADIPGAVTSEELRAAWVPLMSDLAAGVATSPLRVANASTAYSLSREMNGVAQCTRNLDGVECSRCIDSYISQLGKLFLPNSTGGFIKGDLTHAAVAVAGAAVECAPTPEIAVDPARKGRRGNASGIGTPPYSSTTNETSEGMVILVSVGPVSVLIVLGVSVWVFIRRRRKKAKLHEETRVMDDEFERGTGPKRFRYGELAMATDNFSENNKLGEGGFGSVYRGFLKEMNLDVAIKKVSKGSKQGRKEYASEVRIISHLRHRNLVQLIGWCHGGGELLLVYELMPSSSLDKHLYSANNVLSWSLRHKIVLEVASAILYLHQEWAQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGRVAHATAPAGTLGYMDPECRATTQSDVYSFGVLLLEVACGRSPAVVLDDGDDVIHLSWHVPELHGQGRALDAADPRLDGEFDAREMESVLGDRTLRPSIRQVAGVLRFELPPPRLPTRNPTPSYRAPVGLMNSDPSSGLGTNSSDRLLWLPDSPTA >Dexi8A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:8A:23638941:23641826:1 gene:Dexi8A01G0013560 transcript:Dexi8A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFPVATAPFVADALTHCMPGLHCHTTSGGRATLAARAPAGLAALVAHSTAASSPTEELRASPMVGDGRVVTGGGGNHRPVLCTMDHFAIPYYFYYYCCSFCLFLALIINVVVFAHGKKPGGPNMPPGPRQLPLIGSLHHLLRGLPPHHTMHDLARRHGPIMLLKICERNLITISSAAAAREIFYDAAFEQRPTTPGIDELYTRNGMGIVFSPYGDHWRLLRRVLVMELLSSHRVDAFRRIREDEAARLVSSLMTSPQQPGWLANVGERLGEFVADSVVRAIFGDRLPDRGAFLKMMEQALDFSSIFDLRDLFPSSWLVRMLPRSRKAERSRREAVRLVGDILRHHEERRAGGGGDSEQDMIDVLLRIQKEGTMGVSLTNGVIIAVLVDVFVAAIEATTTTLQWAMAELMANPRVMNKAQSEIRHVLAGHERVHETALRDAVFLRAVIKETLRLHPPIPLAPRVCLDDRKIQGYDVPKGTPVILNLWTISRDPIYWEEPDKFAPERFEGEHTPNFLGLDFEFIPFGAGRRICPGINFSQANIEIALASLLYHFDWDLPAGVRPDDMDMADYSGVAVRRKAELLLQPITRIQPVDEYHL >Dexi9B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:9B:4207012:4207566:-1 gene:Dexi9B01G0006980 transcript:Dexi9B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDAADEGAATARVVLPSGELREYAPPATAAMALEEAGGQGSWFLCDADGMVFEGPIAVAAVAPGEELQPGQIYFVLPAEMQRRRLTRDEVAALAVKASSALVKAAAEAAAAQPSSPCRRRRRGGAVAPLVFPVPEEEYAAAEPVSPVAAKPVQKRRVACRGGRASRFSPDLTAIPEIE >Dexi9B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:9B:4329537:4332491:-1 gene:Dexi9B01G0007170 transcript:Dexi9B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHEWSMADFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTYKAKLEKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDEERVVLVLEYAARGELYKVLRTAGHFSERTAATYIASLAGALAYCHKKQVIHRDIKPENLLLDIELLVKDSSKRLSLEDIMKHPWIRKNAEPSGSCIKQKDLARDKGV >Dexi6A01G0020550.1:cds pep primary_assembly:Fonio_CM05836:6A:28035325:28035774:1 gene:Dexi6A01G0020550 transcript:Dexi6A01G0020550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPLRVPAPLGTPFRPNPSKPSRIRCAAAVAAAAPAPPPAATLYEVLGLRAGATVREIKAAYRRLARERHPDVAGAAPGAAAEFVRLHDAYATLSDPDSRARYDRGAIVAAYQRPCAAARWGGGVGVSASGGGYARPRRTWETDQCW >Dexi6A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:6A:4903035:4903437:-1 gene:Dexi6A01G0005460 transcript:Dexi6A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFFGLFGRITSCGRAHKDAAAATETKSVASQHVEIRSRGGAPREDGGAKGHINNDVI >Dexi3A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:3A:14843885:14849137:-1 gene:Dexi3A01G0019010 transcript:Dexi3A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNAVEEDEPAGPGVCGELWHACAGAGVALPRRGSAVVYLPQAHLTAADGGGGEVPAGASAPRVLPHVACRVVDVELCADAATDEVYARLALVADGKMFGGNILDGETEENCEMEDGNGEKKLQTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQLRPSQELIAKDLHGMKWTFRHIYRGNDGELRLGVRRAVQLKNEALLEAVNSIDSKLRTLSAVASSLENRSVFHICFNPRSCGLISGISEVDPISWPGSKWRCLLVKWDGDTKCNHQNRVSPWEIETVGSSISVTRLSSSVSKRTKLCFPQNDLDAQILDGSGRPDSMETKCFHRVLQGQELVRPRTDGVACSDSFDSPKCQGSYERRFPADAWNSKMSDAMSGFQHRNATGFLGFSESVRFSEVLQGQEMSQVVPSFVGAAFDSHTQNGRIGSFDSVWRSTAAQGFALQQFNLPATEVHSPSSVLMVNRTMALQPELEGMTNLEEANGSRYTPIAMGSEAETWPSAQQQRASENGRELFNTTDVLAPASVAKSGSADKRGRSSCRLFGFSLTDRIRGAEEDGGKEGNYEAAQQTPRVLDLFGHNQSAPNALHALCAAPLGI >Dexi2B01G0017410.1:cds pep primary_assembly:Fonio_CM05836:2B:27825572:27826663:-1 gene:Dexi2B01G0017410 transcript:Dexi2B01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGMRLVHSFSERFCKLDGSSGEFLNELSVELASNLSQNVVSLASFNGKTMWFACSGILIEYDQHRSVLTSASLVRSSDDEDKIVDNLQIEVRLPNGQCVKGTLQYCNLQLNIAVVNNIVFPELRATNLYHPMEIKTDSEAAGIGGPLVDFSGNFIGMNFYDKEETPFLPRIDILECLMQFETR >Dexi6A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:6A:12680468:12682115:-1 gene:Dexi6A01G0010280 transcript:Dexi6A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPGKAVSSVMQLSTFTKITVIPRENKYRDFPVAVRLKAAEMTVRVKPPVDIVAAIDISGSMRERAGGPSKKRKMDLIEQAMGKVIKNLSGAMNRITVVTFDDKIRLVTALEEMTEKGQGIISVAVKDLDPQGETKFQGALKKAATILKDRKDHNDRLAFIIFFSDGEDDKFQVENIKDNLGYPIHAFGFSLKKEKDLTTLKAMADASSGSYTLVNEDLDKITEKLDQLTAERTTSMVAVDTIVHLKPLHPGVFLSKIESKIEGSSDSSDSKISEDKQSADIFVGVISSDEQREFTVFLDVPEGHGNGANGTMDLLAVGGSYKQSWDRKTVALGESIVTVKRPGATNSCKELHWIKERMEYWCKVKLNLSAMYEKETAEAEAEAEAGVKCKCHIQQVLREASQEVINKEMHHDIYSVST >Dexi5A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:5A:2453153:2453902:-1 gene:Dexi5A01G0003340 transcript:Dexi5A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCAAEMDAASQAAAAEGRSLAVVAAAAGGRGGGSQREPEGLPCPRCESVNTKFCYYNNYNLSQPRYFCRTCRRYWTRGGALRNVPVGGGTRKATPATTRRRRNTGSSQQPPAVHAPSSPAAAAAAAVAALPPLAVPGGGGAGSYAALLRQYAASMPSFPAQPLAAVDPDRRMLDLGGGSFTSLIAAGDVGGHFSAGFVVGGLAPGMAHTPATVAAASSLPPPPQQQQVSQSLPEGLIWSMGWPDLSI >Dexi6B01G0006250.1:cds pep primary_assembly:Fonio_CM05836:6B:5877798:5879317:-1 gene:Dexi6B01G0006250 transcript:Dexi6B01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRRRRCKSPCSSSATPSEERDWAALPHDVLFNIFLRLKSCEIIAGLCEAFSGPWDEESLLYLAERSPSLKSLHISHDEYASYEVLIDIIKKLPLLEDLDISPPFCHICESEKFFESEYIDGDIYMTPVMCELRSIELSNISFSDVALTALLDNCPLLDSLNISGSLDITMDAQLRAKCARVENLILPYVSDEEYVEEEDEEPEEDEDG >Dexi9A01G0046020.1:cds pep primary_assembly:Fonio_CM05836:9A:49433307:49435308:1 gene:Dexi9A01G0046020 transcript:Dexi9A01G0046020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSELQAIAGHQPWGCRLSPPCLSGGPPLELARPKAMGPPPQLASYGDALADQQRRREDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFDPAPRRGEPDVTRRTPDYFL >Dexi4A01G0021320.1:cds pep primary_assembly:Fonio_CM05836:4A:24908893:24909491:-1 gene:Dexi4A01G0021320 transcript:Dexi4A01G0021320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLGASSSKKRRAGGEGDVSEAVAMAAEEEDRISGLPEDLRLRILALLPLKSAIRTGALSTRWRALWERRWPAPSSIDLLFRPGDDTEELLRSLERRGLRRLDRFSLTIERSRNPPEPMFFAPQRFIDYAAACGVENLHVDVANHFMSWTSRFTLPPGCSNLARLFIRHKAGVSFGFSLRFDAFPTLEVIHLHLG >Dexi1A01G0025040.1:cds pep primary_assembly:Fonio_CM05836:1A:31383492:31384576:-1 gene:Dexi1A01G0025040 transcript:Dexi1A01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTYYVPTTWPVFTSLLSSSKILAMPKSEILGFILLSRSTLLALRSLWTTLRHESSWRYSMPRAIPRMMLCLIFQSNCPLFLTSIFSSRSTQQPSSLTRFRCCSLAISSTSFRNSAAPCRDLVDSRFTAISAPPTSTP >Dexi4B01G0007730.1:cds pep primary_assembly:Fonio_CM05836:4B:5565005:5568821:1 gene:Dexi4B01G0007730 transcript:Dexi4B01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAVQSGRNRSPATTSDGQLTHQNAKHNSHLDPHQGKRDAQGATQKHAKPYLNSQNEQHFPGSDPAYVPSNARISGGPVGPARRQVGVVNSTRQPAGRLGSQMHAPSGSYANVQRGNFNSVGTSGRHSTFISRNIHQSQRPDSFRGRPTGRSFVAQNVNRYHQGPTGNQKAVQPIKEWKPKSTKKSPTTDADNSVADAVSPSASNTENANAPDVNALSDELSHANLHEVEHVIIPEHLRVPEYEQTKLRFGSFMSGFDSEQVPASTSLDSEEPEHVQDPVQQVSEDDSLGAGHDDVDEQASSSQHLSTSTAEISLPPSEDSDRMSGQVENDDGLGLVQSDTPIGAADEESTQMTPNLTAFSTYGHEDPNMHSNNEAQLYGLVEPNVHQQVLASSSQGYPSENPEADNTVQVFRMPDSDVHSQVLPSTSEALNPQIVMSNSPVAISQQQHMSQQQAAAQMYPQMHVQHFPNFMPYRQVYSPVYPMPMPNYSPNVPYPSNGNNYLQMPGGGSHLTAGGMKYGVSQYKPVPAGNPSGYGNYTHPAGFAIGSPGVIGAAVGVDDVNRMKYKDNNIYGSTPQL >Dexi9A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:9A:5511074:5512685:-1 gene:Dexi9A01G0009210 transcript:Dexi9A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTGEQRLAFQEAFSLFDKNGDGCITMEELAAVTRSLGLDPSDQELHDMMSEVDTDGNGIIDFHELLSLIARKMKDSDGDEELKEAFEVLDKDQNGFISPNELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVLMMKNAERKISS >Dexi2B01G0034990.1:cds pep primary_assembly:Fonio_CM05836:2B:42132607:42134061:-1 gene:Dexi2B01G0034990 transcript:Dexi2B01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAVVASAATGCPNLGGWTPGPTLPARPCEDCGGGGDGVRIGRREYGELRGRKKRFTATVRSLVRGQDEGAPVICFHIDS >Dexi5B01G0027690.1:cds pep primary_assembly:Fonio_CM05836:5B:29220255:29224028:-1 gene:Dexi5B01G0027690 transcript:Dexi5B01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHQVSAVIFDLDGTLLDTERATRDILNEFLAAYGKVPDPEKEETRLGQMYTESTTGIIKDYGLPLTVEEYSKAMHPLYMKRWQKAKPLPGVKRLVKHLYKNGVPLAIASNSIRTNIDHKITKMEGIQGVLPIDPLLSTAQIGDRILNDLHRLVEFLDSSGRIETEPVKLLLIGYIRKLQSTDDILQALIVTNEDRSIARDVLDLPAFSGYANDLHFS >Dexi9A01G0042710.1:cds pep primary_assembly:Fonio_CM05836:9A:46236900:46237617:-1 gene:Dexi9A01G0042710 transcript:Dexi9A01G0042710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVESFTLSLTCVGSSRKAILLPGHGRMASISMHLSEYDTCRLPMPGAARYDAPTELMLDGGKFEEAGGRTLGDFVSCYCPRLCKLDVCSPTGLPQLVIRSETLEELSLFLAEDLRTLDVRAPSMRVLKLQLCFAEPESFDTDGQGTSNMARIMAPRLEEIAMRNYGGRRLCRFTTGAAALPPIVTSSI >Dexi6A01G0009220.1:cds pep primary_assembly:Fonio_CM05836:6A:10361763:10362624:1 gene:Dexi6A01G0009220 transcript:Dexi6A01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSTPIHGPFPPEETTIPAPDTAVATASMITCASRTILNPFTPPRLYTSSAAGRYSANSSAAPSVAATPTITAGGEVVAEGEEEGGEGEEDDGIDVGEGERDTHERGGEGEREGELHGEEEGGGGDGQVRGAARVEEVVEAHHEAEEDAAEDETWGEAGEELGRRRTGEEDDDDEEGREAGGLDQRGEPPVGSAVGEVETGEEARRQRADEEGSVGDGQEKRAAHGAVAEHRRGAAVVMGQQAAAAAAAAWRP >Dexi7A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:7A:20717162:20718265:-1 gene:Dexi7A01G0009780 transcript:Dexi7A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEIPVVDLRLAGAASSPEESARLREACERLGCVRVTGHGVPPELLAEMKAAVRSLFDLPDDAKRRNADVIPGSGYVAPSAANPLYEAFGLLDAAAPADVDAFCARLDAPPHLRETFKAYAKKMHEVIVDVAGKLASSMGLEEHSFKDWPCQFRINRYNYTEDTVGSSGVQIHTDSGFLTVLQEDECVGGLEVLDPATGEFVPVDPVAGSFLINIGDVGTAWSNGRLHNVKHRVQCVAPVQRISIAMFLLAPKDDRVSAPEAFVDAEHPRRYREFSYDDYRRLRLSTGERAGEALARLAA >Dexi1B01G0000500.1:cds pep primary_assembly:Fonio_CM05836:1B:453932:454349:1 gene:Dexi1B01G0000500 transcript:Dexi1B01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEHGDSSVAVWSSVSVAGMPVLKRATEGIRRSVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPAENDVFLSLPARLGRGGVLGVAEMELTEEEAKRLRRSAKTLWENCQQLGL >Dexi5A01G0018440.1:cds pep primary_assembly:Fonio_CM05836:5A:21966930:21967151:1 gene:Dexi5A01G0018440 transcript:Dexi5A01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRGRPDTGRRRLRRRDRWPQSSTARVGKKLDEASLRTSMRELEREREERERVEKVCDELAGGVLVAEEVLR >Dexi8B01G0013130.1:cds pep primary_assembly:Fonio_CM05836:8B:23001888:23003704:1 gene:Dexi8B01G0013130 transcript:Dexi8B01G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNILRGVTEMKPEFHGEIEMKPGGSERARSGAATSTGSVQSKLRDRNECPGEGASLTERRGVNGSGERRRGRENGGAGNGEDGGA >Dexi9B01G0026690.1:cds pep primary_assembly:Fonio_CM05836:9B:28864682:28866419:-1 gene:Dexi9B01G0026690 transcript:Dexi9B01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMIKTERVNSVEVIRAVKRRIMLKSPRVQYLSLVLLETIAKNCDKAFAEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGDDLRYLPVYELTYTSLKSRGIRFPGRDDESLAPIFTPPRSVPAAEPYSEASQEGYQEIPDESFAPVRTVPVVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDDLTTTLVQQCQQCQHTIQRIVETAGENEAQLFEALGIHEELQKVLAKYEELKEPVRVEPEPEPAMIPVTVEPEESPRAVSKQDAHKKPGGSGDRPGGDDLLQDLDDMIFGKKGGPSSQQDITPKKEKDDFISF >Dexi3A01G0030200.1:cds pep primary_assembly:Fonio_CM05836:3A:33811333:33813703:1 gene:Dexi3A01G0030200 transcript:Dexi3A01G0030200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVSSDLVGPLGFWRAALLDLTPLHHKAAAAEKEEEGGSEGTREGEEHQPWCTSASTATTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDGGDEDEE >Dexi6B01G0017730.1:cds pep primary_assembly:Fonio_CM05836:6B:24852794:24853803:-1 gene:Dexi6B01G0017730 transcript:Dexi6B01G0017730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSLELKLDYSGTKNLSIGEAVYSNLFSAGGHQWRITCFPHGHSKEDQGKYLSIHLQLMGESKNVRAIFEAFAMGRDGKPSSSHAERCVQVYPPDGYSSWGYPRFVSRSDLDKLYVVNGFATIIWPSLPLTSGPTSATCWIIAAEEDTSDVSFHVGGEMFHAHRAVLAARSPLFGPMKEAAMASIVLHEIAPATFRAMLRFMYTDSLPGDYCDDDLVGDDSLREKLLRDLLAAADRYALARLKLLCASKLWENVSAGTIAGTLDFAETYNCPELKKKCIDFVAGEKNFKKTGLTDGFVPLAHKFGSILAELREKVGV >Dexi9A01G0032300.1:cds pep primary_assembly:Fonio_CM05836:9A:37210922:37211452:-1 gene:Dexi9A01G0032300 transcript:Dexi9A01G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDGRRDLDQVAGRVAVEA >Dexi1A01G0028770.1:cds pep primary_assembly:Fonio_CM05836:1A:34366097:34367397:-1 gene:Dexi1A01G0028770 transcript:Dexi1A01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQSGGAGGGGTIVSNPRVWIVAGIAVAGVIVLAEAARRRRRWLRGKSGMPPDAGAFCDRFELSPSPQPPPPAAPHLLSGLNFAASDNFEIEGYVAGFGNPDWKRTHEAPRHTSVAVTMLQKQGGTCVGRTAPIQLVM >Dexi4B01G0009660.1:cds pep primary_assembly:Fonio_CM05836:4B:6992016:6995663:1 gene:Dexi4B01G0009660 transcript:Dexi4B01G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESFQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMVETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLK >Dexi5A01G0022400.1:cds pep primary_assembly:Fonio_CM05836:5A:26434744:26435584:1 gene:Dexi5A01G0022400 transcript:Dexi5A01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEAAPCTCGLLYGSCGGGCSLLFSAAGAGDHHHYYMDAGFGATGPYGGSVDCTLSLGTPSTRRAEAGARAPAPSGGMHWEAPVPSSCNSGVGRQQETRASAAAAEANAARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPAATMASVDGGAEYAAYGYAARQPQQWGCYGPKATSFGMFGDAAGEVVDGPCLPWGLGVMQSSSPAFGAVREMPSLFQYY >Dexi6B01G0017060.1:cds pep primary_assembly:Fonio_CM05836:6B:24279531:24282565:-1 gene:Dexi6B01G0017060 transcript:Dexi6B01G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTKAMQKPMQTPPHYATSGGYMGPGTPPSMYLGGGAPPYGSSMFNGPPMPRYGIPQFAGSSAYPYGYGGRIPMGSPYGPMQMAGPPPYSSGSMMGAGGMYGMPMDRYGLIPASPGAMGSKPDGSRGSMSPRTVMRVKKVQRHRQGSV >Dexi9A01G0033050.1:cds pep primary_assembly:Fonio_CM05836:9A:37871573:37873468:1 gene:Dexi9A01G0033050 transcript:Dexi9A01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKSMERASSFAMACNLLSRYVRENGPAAGELGLGIRAEAAEAQKTSADAEKGKETMDLFPQNTGFGSDAREKEKRQLTIFYAGKVLVFDDFPAEKAKDLMQMAGKGASVAQDSGSLPLPTVATVTDSTKVAAVPAAPIPVVSAQRNAADIPQAPKASLRRFLEKRKDRLTAKAPYQGSPSDATPVKKEMPESQPWLGLGPQTANPDLSLRWEHNH >Dexi3B01G0003820.1:cds pep primary_assembly:Fonio_CM05836:3B:2608933:2610000:1 gene:Dexi3B01G0003820 transcript:Dexi3B01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEEHGINEDATDSQTGKGKKGSAWHRMKWTDSMVKLLITAASYTGEDPGADSGGGRRNCAMMQKKGKWKAISKVMGERGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCRIVANPELLDGMTNLSEKTKDDARKILSSKHLFYEEMCSYHNNNRYSLPEDPALQRSLQLALKCKDEHDARRRASGDADEDDQSVDTDYEEENDDEHPVVHVNKGSLPIHKRMRYVMDQEDAGFGNSSSSHDFSRRSDPNSITVDINKVFPDGTNLALLQKDLATQSAEIEKQRLEIEVEALELAKQRHKWERFCKKKDRELEKMRLENEQMKIENRRLELEVRHKELDLELRLKGNGNQA >Dexi5A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:5A:9638936:9639238:-1 gene:Dexi5A01G0012870 transcript:Dexi5A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHQSWNNVQFVTSGAPGGAVPVWDRRSSSRSPSRRWTIFLGATRGYMVGYDATYPRCSPTVLAKELAEQAVRVGGHDNVSVVLVLFRDFWARTPWQGN >Dexi9A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:9A:9625755:9626195:1 gene:Dexi9A01G0014550 transcript:Dexi9A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETSDVVRLAGFYEAVLGFERVPSPTYSGFQVAWLRLTGSPDVALHLIERDPAAAPVAVGPGAEGAPPSQLPRRHHLAFSVADFDGFVTGLKARGTELFEKTQPDGRTRQVFFFDPDVIE >Dexi4B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:4B:11652356:11660082:-1 gene:Dexi4B01G0013280 transcript:Dexi4B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLLHEALLPETESSAPKVGDEVKKQLRLAGPLIAGSLLQNLIQMISVMFVGHLGELRLAGASMASSFAAVTGFSLLLGMASALDTLCGQAFGARQYHLLGIYKQRAMLLLTAVSVPLAVVWFYTGEILLHFGQDADIAAEAGAFARWMIPALFAYGPLQCHVRFLQTQNIVVPVMAGAGATAACHLVVCWVMVFGLGMGSKGAALANAVSYWVNVAILAVYVRVSPGCNKTWTGFSMEAFRDALGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPQLETSVLSITLNTANCLFMVPYGLGAAISTRVSNELGAGRPRTARLAVRVVMFLAVSEGLVTGSLLICVRYIWGHAYSDEEEAVRYVARMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGAFVNLGAFYIVGVPAAYLIAFVLHVGGMVLFLLYFRQIFVFPFGVASTRPGDSIWEFFHGRVHHPGNIQKYLVQHQKGLWTGIICGIVVQVVLLVVITLCTDWQKEVCIERFRYFGQATKAKSRVFNFSLPTDFVAT >Dexi2A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:2A:22921353:22922179:-1 gene:Dexi2A01G0013990 transcript:Dexi2A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVFYREKSSNMYSSMAYVIAQIGVEIPYMLVQVFIFSAIVYPMVGFQLNVTKFFWFVLYMILSFTDYTLYGMMAVALTPNIEIAAGLSFLIFMIWNVFSGFIVARKMMPTWWRWMYWADPAAWTVYGLVFSQLGDRTELIRVPGQQEQPVRVFLEEYLGLQDDYFILVTVLHIALSTLFGIVFCIGIKYLKFQKR >Dexi3B01G0020770.1:cds pep primary_assembly:Fonio_CM05836:3B:15728834:15731135:-1 gene:Dexi3B01G0020770 transcript:Dexi3B01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNLLFILLCASTLILTTAATTNDNLRFFLFNYCPDQTNYTLGSAFQANLNALLSSLPDSAAASSGFATDTTGAAPDQAFGLAQCRGDISASDCSACLGDSASEMASKCPGKTSAVLTYEGCLLRYSNASFFGELEPTGAAGYVCNPYNATQPGLFAASLDALMHGLAEEAYGSPRMFAAGSVNLTAYEKIYGMAQCTRDLRREDCSFCLANAVRMLPRYCPGRKGGRFFYWSCSVRFEMGPFYDDDHAAEPSMTPAPAPGGVPLNGSDHNLQHKNLVRLLGFCMEEEAKLLVYEFLSNKSLDKIIFGE >Dexi9A01G0031950.1:cds pep primary_assembly:Fonio_CM05836:9A:36801181:36801508:1 gene:Dexi9A01G0031950 transcript:Dexi9A01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKRTSLLAAPSDATTVHCMWMASYNLLLLYLPTSHPRGHLLTGHACLPAWFGFPDHVGRQITDDRLSSFTLTNVRSMPR >Dexi1A01G0021960.1:cds pep primary_assembly:Fonio_CM05836:1A:28668475:28672103:-1 gene:Dexi1A01G0021960 transcript:Dexi1A01G0021960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPEEMALGFGDLVGDLREVYESGRTRDLEWRQSQLRGLVRLLEEKEEDIFDVGVLKKSIVDKLQNFKNWAAPEKAIQAHTPLVAFPATAFVVPEPLGVVLIFSCWNLPIGLVLEPLSGALAAGNAVVVKPSELAPSTSAFLAANLPKYLDPKAVKVVEGGADVGERLMEHRWDKVLFTGSSRVGRMIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYVLVEEEYAPILIEMLKTTLKKFFTKPEYMSRILNEKHLKRLSDLLADRRVAASVVHGGHFNPKTLTIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSITFLKSKPKPLAIYAFTRNEKLKNRIIEETSSGSVTFNDAIVQYGLDSIPFGGVGHSGFGQYHGKYSFEMFSHKKAVLKRSFLIEFMFRYPPWDETKIGMLRRVYRFDYISLFLAIIGLRR >Dexi4A01G0019680.1:cds pep primary_assembly:Fonio_CM05836:4A:23577269:23586236:1 gene:Dexi4A01G0019680 transcript:Dexi4A01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTPCSRVEVRHCSAAPPGESGAGAQRRRWRAQRRQGLLDFAVLKYKNQKLAEQLEVHRFEFRALESRFNDLKEKQRTHNETLVMVRNYWDHLIRDLESVSVCKSESSHSSCSAGLNNVRKEELTSAVTKLEETKHKLAALKAQGDNKQGTPILVPTLGNKNAAAEKVRDKQRELQDLEATHKELMELISKRLEEIRRLHKDRIDILNKLATFQNILTDFKSIRSSKAFQLVHDQLQKSQAELDDHQTLLEKLQVDMDSFVWQEREFNQKVDLAEIPQKVSAYCVSRIADLESDVQKLCNEKNMLVLKLEEASREPGRNQVISKFRALVSSLPTEMGAVQRELSKHKDASLQLHSLRAEVHSLSSILTRKEHEIEEISSRSDHAGSDITQLQYLVRDLRENTQELKLFVELYKHESTDSKQLMESRDRELSEWARVHVLKYSLNESKLEQRVIAANEAEAMSQQRLATAEAEIAELRQKLETSRRDLVRLSDMLKSKHEECEAYVVEIESIGHAYEDIMTQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHTEVCSLQRNLQHSNTLMELYKQKIFRLEDQLRVWSERARRLSEDGMQQSISLVNSQKKLASMRVEAPKLRQSMDELQAKVGSNRLEVAKLLIELERERFSKKRIEDDLDIMSSKANSLRDKADNSAILQKLRHEVKEYRGILKCGICHDRQKEVVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDVKPIYI >Dexi9B01G0029930.1:cds pep primary_assembly:Fonio_CM05836:9B:32384180:32389039:1 gene:Dexi9B01G0029930 transcript:Dexi9B01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAISAAQWVLGKALGAITDGVLEAWAASRNLGLNIDELKMELLYAQGMLDNAQGWEIRSPALRELLLKLQQLAYSADDALDELDYFRIHDHLYGTFDAANSDPRGFIQDLNRHLRHTATAVVVQQLAGTISSTNQPDATVGGDTRKFTCCSVRVGKHFPCCSVPPVQEDADQTSVTMPLPETVVDSAFPKLEFNRVDMSQRLKATVDELRPLCAKVSVILNMELLDSNRKAAQKIAAKISAIRSREDGHAPILHRSDDDGKTRPITTSYISAPHLHGREAETRIILDVIAQSRCGDKDLTVLPIVGLGGMGKTTLAQHIYEKAKEYFDVRIWECVSTDFTVTRVLKGIVESIKSFREINVAPSGTLEEQIEQTLVSKRFMLVLDDMWDCGNNNDGWDRLLAPFRKGQTKGNMILVTTRSPKLAQIVKTTDATIELEGSRGGIQALHSLQILEVIDCPKFLSAYKKISFSSSCYPFPSSLEKLELYDVVLQTLQPLANLASLTRLYISNCEQGLRSDGMWTLVSHGQLRELGVAGAPRFFIGSDTVHSLEDEDQVQRLRCFSKLQELYTYDSTGFLAAPICTILSSSLIKLTLCGDDQDQVLGCFTREQEMALELLTSLQDLKLNRFSSLQCLPSGLQALTNLKSLKIFNSNSISSLPIGGLPNSLQELELYRTCRNLNEQCRKFVEDHPRIKLRISFP >Dexi3B01G0002850.1:cds pep primary_assembly:Fonio_CM05836:3B:1898074:1901562:1 gene:Dexi3B01G0002850 transcript:Dexi3B01G0002850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMAVVDPLAELWKHLMSLERSDLLCLYPSKITMGGIWMGDNPIDFSLPLLLFQIILITTTTRLVALILTPLRLPRYIAEILAGFLLGPSVLGRVPHFSVLAFPIRSLFILESMSLLGLIYYTFTIGVEIELHTLLRAGFRSFWFSAASALPPFLVGAATGYVAVTSSTGGSRNNNNLNTLSFPVFLGATFCSTAFSVLARNIAQLKLAGTDVGQLSISASLINDTFAWAGLTVATALAHVRFGTLPCLWTLVSGFLIVGASCLVVRPMLLRLAARVSEGEVVTELHECSVLVGVMAAALVADAEGTHAIFGASVFGLAVPNGPVGVAIVEKVEDLVVGTLLPLFFAMSGLRTDTAKITSMGAAALLMCAALAAAVLKVVAAVVVAGVFGMPLHDGVSIGLLLNTKGIIELVILNIGKNKGIMSDQSFTVLVFMSALITALVTPLLALVVKPARLLVFYKPGAKLEPNRGGSDGSPVAVQALHLIEFAGRSSALLLINASAPSSSFDHSSAHGRSQVELQFKHISHAFMAYEENVGGVSVRGTVAAVSPYESMHDDRFSPCTVGVLVDRGLGGVPGAGCRVAALFFGGHDDREVVALATRMVYNPAIDLTVLRFVLKGGGGFGGEFDALKERKADDACLREFLDRANAMSVGGGGGAGVEYRERGVFNAGEMVAQVREVEALGKDLFVVGKVPGLPALTAGMAEWSECPELGPIGDLLSSKDFHTMASVLVLQSYARQSAGAISSELGLGGDALPGGGARPPRPDPHHSRRNSIGNWS >Dexi5B01G0038440.1:cds pep primary_assembly:Fonio_CM05836:5B:37637655:37637956:-1 gene:Dexi5B01G0038440 transcript:Dexi5B01G0038440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASVDVEDLLVRVKTGDDAGLAAVAREVAALAGEGRLGEDDDEDGLLVPALLARLASAGTAEARRNGRAAAARGMRCRRQQGETDTRPRACPYFAR >Dexi5B01G0025510.1:cds pep primary_assembly:Fonio_CM05836:5B:27549781:27552466:1 gene:Dexi5B01G0025510 transcript:Dexi5B01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEPDGERWMLPAAINGHCGGVSGELLPAFGEPLPSFAENHIINPYDGRYRWWQGFLIVLVVYSAWASPFELALEKATTAPLLVVNLVVDVFFAIDIAVSFFAAYFDRSANLFVDDRRKIATRYLARPWFAMDVASTIPFQIIYHLVSGRSSGFRYLNLLRLWRLRRVSKLFSRLEKDIRFNYFYTRLIKLIGVTLFALHTSACIFLWMAFHHRGDTEQTWLGSQVHDFADRSVWVGYTYAVYWSITTLATVGYGDLHAVNPGEMAFATCYMLFNLGLTSYIIGNMTNLVVHAATNTFEMRDTLRRVSTFGSVNRLPEELREQMMASAQLRFHTGEAIQQQLLSDLPRALRSGIAQHLFRDMVQRCYLFQGVSNGVVVQLVSEMTTECFPPKADIVLQKETSTDCYIIVSGSVLVMKAGPHRMAGEIGVIFGIPQPFTIRSRRITQVVRISQNHLVQILRSNTADADTVYANFVRYLKSLKQQVASDAPFFREILSGTGLDQLQRGVIFQKQLHNGPTKIVGSQDAKLGAEQHEEIAPCNMLQGQQLKTRVVIHDHVPGDGIEKTQNHGVGKLVCLPDSLQELMKVAEAKFGKAVRRALTLDGAEVDDIAVLRDGDHLVLCW >Dexi2A01G0011800.1:cds pep primary_assembly:Fonio_CM05836:2A:13727677:13728749:-1 gene:Dexi2A01G0011800 transcript:Dexi2A01G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLLSPSSPATSGFLRPASPAVRSRRAPPPPPPPRAQPDTDRAAALLACGLRPESLPRHVAVVMDGNTRWARARGLPPADGHKAGGRNLERVVGLSRAWGIRALTAFAEVDYMMGLSEWLIGDNVDKLSRQGIRLQVIGDATKMPGSLQRAAAWADEATRHNSELHVMLAICYSGRWDMVQACRELARAARDGELSPDDIDEAMVAGKLATRDAGELACPDLVIRTSGELRLSNFLLWQAAYSELFFTDKMWPDFGEAEYLDALRSFQSRDRRFGQTRL >Dexi6A01G0008430.1:cds pep primary_assembly:Fonio_CM05836:6A:8933318:8934446:-1 gene:Dexi6A01G0008430 transcript:Dexi6A01G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLLSSSCSNPTAVLALLLTAMMAAPAVAMASLHDDIELMFGGDHFAFHTSGDGVETLALRLDKDHGAGFRSNEAYLFARYDIELMLVADDSAGTVTTVYLTPDLVPPEEHDEIDMEFLGNVTGEPYTLHTNIFVNGVGNREQQFRLWFDPSKEFHTYSVEWNPRHIIMFIDGTPIRVYKNEASHGVPFPTLRRLRLDGSLWNADDWATQGGRVKTNWTQAPFYAYYRNFRVTPCPGVASCGDKGVDEAALQRAREEHLLYDYCEDQNRFKDNGGLPKECTLD >Dexi8B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:8B:815140:817948:1 gene:Dexi8B01G0001200 transcript:Dexi8B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAAPNASSHSLLPPLPSLPSSSSSQDYHLLHPYLHHLGPQHQESAAAMVRKRPPPPDMDLPPPRRHVTGDLSDVTASAAAAAGGGQSAPASAQLPALPTQLHLPAFQQQQQQQHHHAAAEVDVPHAPLPAGGDASTTAWVDGIIRDIIGSSGGAGVSIAQLIHNVREIIHPCNPALASLLELRLRSLLNAADPAMPPPPHPPAPLLHNPPPPPQLTSTDKRHQQHEPQQQSNPSPPSPPKEPTAEETAAAAAAAAAAAATAAAKERKQEQRRKQRDEEGLHLLTLLLQCAESVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPASSPAAARLQYGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVKLTGLGTSMDALQATGKRLSDFADTLGLPFEFCAVADKAGNVDPDKLGVTRREAVAVHWLHHSLYDVTGNDSNTLCLIQRVAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTQCR >Dexi9B01G0025090.1:cds pep primary_assembly:Fonio_CM05836:9B:24835943:24842367:-1 gene:Dexi9B01G0025090 transcript:Dexi9B01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSASASTSTPRRGGAPGDYVSMSPSPSHTPRSASAHKSTSRLRDRTPLLYSNSSISSGQASQQQESSTPKAPAASSKGPGPGGVNVQVFGPKSQQQEVFNHAVVPLVNEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQNGDLPSDAGVIPRAVKRIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEIVSSAAEIYRILERGSAKRKTAETLLNKQSSRSHSIFSITIHIKECTPEGDEMIKCGKLNLVDLAGSENISRSGARDVNQKMMKSALIKDLYLEMERMKQELFATREKNGVYIPREQYLADEAEKKAMSEKLDRLELVLESKDKQLDELQGLYESQKLLSADLSEKIQRLQKKMKETECTLADLEERYMQANDTIKEKQYLIENLLKSVYQQESLLKSLEEEMKSFLSSKGKVAGGLQEHVRKVKETFNSRIVELNGISNELKHKSQLSFESLNSQVIAHTSGLEDCMKGLLIDADKLLVELQNVLSLQEMKFGTFIEQQHEELSRNLERTKSISATTMNFFGTIDSHALELKKVLEESHMAHQKQLFQLQNKFEVIVADEEKYLMEKVAGLLAESNARKKNLVRDDICNLNRAASEGSNNLQTETTKLHDFTSSMKEQWEAYMQRTEEAFHQNVSSIEQKRCFFAENLEQCKTRVESCSKQWSAAQNSVLALARSHAEATNSVISDGAEERNKLNTGFSSAAKAAFDDNEVSSKYLICSIDDSLKLDHVICENVKSITMTSSAQLDGLQCGHCEKTAIIIGNTNRSLGGDYTVDEVTCSTPKRREIKIPSSQSIGELDGKLHYEKDQVLSFDHEVLHSGAAGTVGQAG >Dexi3A01G0035400.1:cds pep primary_assembly:Fonio_CM05836:3A:40702823:40704540:1 gene:Dexi3A01G0035400 transcript:Dexi3A01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVRAAPALAGKQQRGSLAMLSVPFPSPSPAAAAAALGDDLLLSDAETTASSTTTAAPNSSLSSASSLPRCSSLSRLSFDCSPSAALAAAAASCSPSPAPALSSRPHRAGDAAWAAIRAASTSAAGAPLGPRDFKLLRRVGGGDIGTVYLCRLRSFPSSSSPATPLPGRESLSASPCHHHHLYAMKVVDRRVVAKKKKLERAAAEKRILRKLDHPFLPTLFADFDAPPHFSCVVTEFCPGGDLHSLRHRMPGRRFPLPSARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTSSPSIEAAADDVNDGASTSVSCFPEHLFRMKRRRRTVPKTTTSTFVAEPVDARSSSFVGTHEYVAPEVARGGAHGAAVDWWAYGVFLYELLYGRTPFAGDNNQATLRNIARRPLAFPSDSGDDAAAARDLIASLLVKDPRDRLGFRRGAADVKAHPFFRGINFALLRSSRPPVVPGVSPLPLHRSQSCHAAPATKTTTAVVSHKKKQQVVDARFDFF >Dexi6A01G0019260.1:cds pep primary_assembly:Fonio_CM05836:6A:26873698:26875687:1 gene:Dexi6A01G0019260 transcript:Dexi6A01G0019260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFSDDGSSSCVSPSRPSSRSSKNMDWKVREDRSRPLPIICFFLGAALTAAFVFLGATMDVNWRLSEMAVWGNGAREEVGDLAKPFAELAELLKNASMEDKTVIVTSINQAYAAPGSLLGLFLESFRAGEGTARLLNHLLIVAVDPGAFQTCQSVHRHCYLLRPDHAAADLGAEKFFMTPDYLDMMWARNKFQQTILELGFNFLFTDIDILWFRDPMRHIAITSDIAIASDYFNGFQDSIHNLPNGGFLYVRSKARTVEFYRRWRAARSRFPAGTNEQHILASTQAPLSRELGVRMQFLDTANCGGFCQLSGDLRRVSTMHANCCTGLANKVHDLRSVLRDWRNYTAAPMEARRRGDFRWTKPGRCIR >Dexi5A01G0038570.1:cds pep primary_assembly:Fonio_CM05836:5A:39524368:39525933:1 gene:Dexi5A01G0038570 transcript:Dexi5A01G0038570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGPKQPKPKTPPVPKQLKVHHPDNEALSRFFLEKWRALMQGPDALSENNCHTFATANRNLCAAKEPIRTLQDFSKIKYVVLLLRGVIVYMVDCMSEKMYILTGKKTKGPKCYLPRKNSAAYAILITLHRAKIRGKEFMMKQELIDAAEASGLSREAIGPNKTKAKQSYGKDWYTGWSCMKTLISKGLVNKMKSPAK >Dexi5A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:5A:7113171:7113785:1 gene:Dexi5A01G0009480 transcript:Dexi5A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGGAGYMDPPPPPPPPPPPPPPPPDGGCAGGGGYPYEDEDGGGGQLVVTVGATGGGRHGYGQSAGYVLSAAAGAGMMRRSSSAAEETRTSLLRLPRAAMAWASARDRGCWWWERDRVEELRARRGLPRVTKWGVLAFFASVNKGRGGSFSGRCGGGVGVARGSGGGGGERSGILERSDCD >Dexi5A01G0019900.1:cds pep primary_assembly:Fonio_CM05836:5A:23796979:23799888:1 gene:Dexi5A01G0019900 transcript:Dexi5A01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYVQDLTDHATSTPCSPTSAPVARANHARSYTPIPPPHQAFLPQIPIQPRSDAEEREREDERSRAEMSSDSSWARALVQISPYTFAAIGIAVSIGVSVLGAAWGIFITGSSLIGASIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQMYAPESLRAGYAIFASGLIVGFANLVCG >Dexi7B01G0024310.1:cds pep primary_assembly:Fonio_CM05836:7B:28672878:28674339:-1 gene:Dexi7B01G0024310 transcript:Dexi7B01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIHYIVKSVRSGQVGSEGSNSSGASRAAVKERPLIENSKAPGKYVKHTPEQLELLLGNLFEGKQERA >Dexi6A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:6A:20147224:20148686:-1 gene:Dexi6A01G0013100 transcript:Dexi6A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFKAFLNSPVGPKTTHFWGPVSNWGIILASVADTQKPPEMISGNMTGVLCVYSALFVRFAWMVRPRNYFLMLYQLSRWARLQGFLGKKKEPEAQE >Dexi3A01G0032100.1:cds pep primary_assembly:Fonio_CM05836:3A:36805263:36805877:1 gene:Dexi3A01G0032100 transcript:Dexi3A01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVITDECTVAVPAERLWKACFGNVSARAAIVPKACAGFIDAVEVEGDGGAGTGGKVTAQLKSQVNEVKVEAAGEGVSVVKVKVEYDTLGDAPLPAEDQARLTKAYLGLVKKVEAYLAAHPDELA >Dexi9A01G0026640.1:cds pep primary_assembly:Fonio_CM05836:9A:29997853:29998667:1 gene:Dexi9A01G0026640 transcript:Dexi9A01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIAAVVALAGVIIAAAVADIIAGAPPPAVPPSGPKVPALFTFGDSIVDTGNNNYISTITRSNFAPYGREFPGHKATGRFSDGRISMDFLASSLGLKEMLPPYLDTSLTMEDIKTGVSFASAGSGYDNSTCSTAAAMTVEQQLQLFMEYKVKVGSIPGRALYLICWGSNDVIQHFTFTYGSTDPGYAEFMTQRASTFIQ >Dexi9B01G0040740.1:cds pep primary_assembly:Fonio_CM05836:9B:41251363:41254526:-1 gene:Dexi9B01G0040740 transcript:Dexi9B01G0040740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGSRSSSGSGRDQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCTVGVKHITYGSPGSSSNNISDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKSKTNLNKWAVEVAETGTFLAPLGSGGPGGLPVPYLAAKQARYDKEAVIKFFRMLIRRRYFSNEPPAPSPWSLTPREDTILPVETLGDGSDTFLRKSLSGEGFMYNGVVPLPAQRNLAPPPTLNPQQPVSSLDNFRYHRFSSSSLPDASSNRTSREDMNV >DexiUA01G0025350.1:cds pep primary_assembly:Fonio_CM05836:UA:53327955:53331518:1 gene:DexiUA01G0025350 transcript:DexiUA01G0025350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDPEKASRDEAAEKEMLKQVKASMTQSDLAELARATKELKEKQETPDPPEALKAVPSLSLQDIPKKPIHIPIEIGEINGVKVLQHDLFTNDVVYSEVVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVMGKEDPLTRIIVRGKAMAPRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAASRMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDSISSSLEEMRKTLFSKNGCLINLTSDWKNLEKSSQHIAKFLDSLPSSPSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNIYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLLRYLLGITEEERQQRREEILSTSLKDFKEFADAVESIKDNGVVVAVASPDDVEAANKEKLVFPEVKKCL >Dexi7A01G0018250.1:cds pep primary_assembly:Fonio_CM05836:7A:27690948:27692713:-1 gene:Dexi7A01G0018250 transcript:Dexi7A01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWRCICGAQHSLSKDRGSPAPSLVCKFDACMSMLQRTMPMRVLSVGKKRSRGTQLLVEEYKEKLGYYCDFEDTLIKSNPKLTSDVKVQVEAEDRAMMQQLKPEDFVVVLDENGKDVISEQIADLIGDAGSTGSSRLTFCIGGPHGLGVQVRERADATIRLSSLVLNHQVALIVLVEQLYRSEILLSDEIWTPQRSFCGSTPGMDYNKRTEISPLGICVSSSSSTAV >Dexi3A01G0031880.1:cds pep primary_assembly:Fonio_CM05836:3A:36294453:36297287:1 gene:Dexi3A01G0031880 transcript:Dexi3A01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGRMTSSSDGGGGDGAGGGGDGTTGGGGELIGAGGGRELIGAGGGDFIGGGGELTRDGGGGGDLIGGDGELTGTGGGGDFIGGGGKVTKAGGGGDFTGGGGELTGARGGGDFTGGGGELTRAGGGGDFTGGGGELTGAGGGGDFIGGGGELTGAGGGGDFTGGGGELIGVGGGGDFTGGGGELTEAGGGGDFTRGGGELTGAGGGGDLTITGGGIDLTCASGGDDFTGGVRELFGAGGGFTGGELMGPGACTGGWTTRGPAGVGVTGVCFFGDGV >Dexi8A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:8A:11212131:11214020:-1 gene:Dexi8A01G0008970 transcript:Dexi8A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTTNTSDGTKSSSCPRGHWRPGEDEKLRQLVDKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLNAHRAHGNKWALIARLFPGRTDNAVKNHWHVVMARRSRERSRLLARAAASTSSSSSTAYPFGVGSPSTSSLCFGFSKLGAGGGGVRMFGSPAVAPPSSLFKSFANTGYTAPTGSSTGLMRASIEPAGYSGYGGKPQPAAPVSINFFSPREALSSSMDIGHRSRHEHQQKDYRASDGEETTLKRKDVPFIDFLGVGVSS >Dexi6A01G0010670.1:cds pep primary_assembly:Fonio_CM05836:6A:13107304:13107629:-1 gene:Dexi6A01G0010670 transcript:Dexi6A01G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIAGTALYPLHRCKTIYLVRHAQGIHNVEGEKDFNAYMSQDLFDAQLTPLGWSQVSFDIPSFPPQVS >Dexi9A01G0031640.1:cds pep primary_assembly:Fonio_CM05836:9A:36610219:36611224:-1 gene:Dexi9A01G0031640 transcript:Dexi9A01G0031640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYEAKRRRQMEENKRRIEELGLLHLAAAAMPPQRNINERIVIGMTAVERSYSIANAKAKELECELGANYPTFVKTITKFSAISFSLSLPPLLCREHLPEHLKVITLVDDEDDEFDMQYYKEPRYHKYKITNWKWFAINHKLDNGDCLVFQLIQQTKFKVRS >Dexi2A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:2A:6216241:6217930:-1 gene:Dexi2A01G0006620 transcript:Dexi2A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETSSEEGAAAAAASPPLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVTTPGNAAKLLGAAAAPPHLRVAKLALPEVDGLPGGAESTADVPPEKVGLLKKAFDGLAAPFADLVAEFCSSDGDGDGDGFSRKPDFIIHDFAHNWLWPIAEEHKISCAVYLIMPAALLAFFGSKEKNEAHPRSTIEDYMVPPPWIDFPTTMAHRRHEAKAIAAAFRPNDAGVSDIDRFWEMQQRPSCRLILQRSCPELEPRVFPLLTDMFAMPFVPSGLLLPDEVVAVDEDDDKDAVVRWLDSQPRRSVVYVALGSEAPVTASHVRELAVGLELSGARFLWALRRPAGEDAGEVLPDGFEARVSGRGVVATGWVPQVRVLGHGAVGAFVTHCGWGSTVESLFRFGLPLVMLPFVADQGLIARAMAARGVGVEVPREYDEEGMFRGEDVAAAVRKVMEEEEGKEMARKAMELKEVVGDRRKQEQYVDELVEYLQRYK >Dexi4A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:4A:7904226:7906278:1 gene:Dexi4A01G0009970 transcript:Dexi4A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASASSSAAAGPSSSSGAEPPAAAAAPPPKVAPLVQLQAPPQLQRGAAAAAFSGSGGVGCRHHAYSRKQKSLGLLCSKFPSPAAAAGGCSFVALYDREDVETIGLDDAAKRLGVERRRIYDIVNVLESVGGEPRILVRRAKNRYTWLGFEGVPAAMKELKERALRELSGSAVFPPMEESSTANLSDDEDDEKLGDADEDADSEKLSQSMDNTSDKPDAPSCRLRSDHRKEKSLGLLTQNFVKLFLTMEVETVSLDEAARLLLGEGHAESNMRTKVRRLYDIANVLSSLNLIEKTQQADTRKPAFRWLGQAKRKQEDGFTVAQPPARPSKRTFGTDLTNIDNKRGRFDSTMENKPKLMQGAGNIVKSFERQLGQGNRNDFVYGPFHPAVAKKQETDDHSVKQQERKTIQDWENLAVSFRPQYQNQGDLNISFPNGSNLITLV >Dexi9A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:9A:1607104:1609970:-1 gene:Dexi9A01G0003060 transcript:Dexi9A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQEGAAAAGVGEEEEVVQIGAQKHDPAWKHCLMVRSDGRVRIKCAYCGKHFLGGGIHRFKEHLARRPGNACCCPSVPRDVQDTMLRSLEAVAAKKMQRKLAHALPPGDMRRFAAADASPASAAAATESPIHMIPLNEVLDFNPVPLQEQRPQIQETMRGSATNKKKRKLTGNNASTPPPLTPQYRQQPHAPPTPQTNPLHQVVMAVDAVTPSSGYIGHPAPAMDKEQVSMAVGRFLYDVGVPLDAVNSVYFQPMLEAIASAGGRPEALSYHDFRGDILKSTKRPAMGYVYAGLYRAKAAIKKELVRKNDYMAYWNIIDWRWDSQTPRPLHSAGFFLNPLFFDGIQGDLSSGTFSGMLDCVERLVSDVKIQDKIQRELNMYRSETAGDFHRQMAIRSRRTLPPGVDDEMIQGAALGIQEDDDDSVCG >Dexi4B01G0000080.1:cds pep primary_assembly:Fonio_CM05836:4B:69912:70952:1 gene:Dexi4B01G0000080 transcript:Dexi4B01G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIGEPAGTVVIGCKVLPIFNEHGIVEGAVRKVVHRIDGKKAVARVKELLKLAAQARPHGATVSGKKWKKVLSFHARDGSAATTAAKGGRQQKQKQPQQQQANDEMSCSSSKLSFKWDVGSCSSASSVAYSPLSLMSAPAKTSEQTPSRKDYYISRLSSMSQQSMKNMEGEEACRCRMGQWITTDSDFVVLEL >Dexi6B01G0012060.1:cds pep primary_assembly:Fonio_CM05836:6B:19600894:19609420:-1 gene:Dexi6B01G0012060 transcript:Dexi6B01G0012060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTVYSHRNIEVLEHDPVASATIDLKQLGALHTAGTSYRLLRQVVVDKRWICDKLNVLGSFYALSLQLVEVRDVFLETLLAEGGTLEQADVLESLVRMRRFGLQFEVVERSRIKVDTKPKEITESPIPHSIRSPSPSRSSPPTTSHTMRRDDAGGGGGGFHELFDSVRRSISFRAGAAALDEPAASPSSSFSATAGGRGFRERISNRLRRSRGMGLLGMAAKSPSPTRRFLPPPPRPSSPPPQNVAAASASDGCGGVGADGTRVGEEHPPIRWRKGDLIGSGAFGQVYLGMDLDSGELLAVKQVLIGSSNATREKAQAHVMELEDEVKMLKNLSHPNIVVVIIMRGSCDSVIFQGANILVDNKGGIKLADFGASKQVEKLATATAAKTMKGTPYWMAPEVIVGSGYNCSADIWSVGCTVIEMATGKPPWSHEYQERLDNLVSYFREPEMRSAASDLLQHPFVTGGMEDFCQLNHAAPKVGLTELILFSFFSLSFNPMCEPSDEWESKLDISPAQRLSQSREFGGLAKLAESQMSENGFPFPYEGSCEEDDEFTESRIKEFLDEKAVDLKKLQTPLYEFYNTVNAVVSQEVGDISRASTMTNPQLPPRAVKLARGASIEPIFVNLNNSSPKSCARRFSRASVESSRVLRGIASPQLNKPEDKVHDDIQDNPSFFSTFVSFDILFFNNLSFSEVQKKWKEELDQELKREREMRSSGYGKASSPSPKRLTGKRDRSPVY >Dexi3A01G0036460.1:cds pep primary_assembly:Fonio_CM05836:3A:41856927:41858570:-1 gene:Dexi3A01G0036460 transcript:Dexi3A01G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKKKKGVPLLGWWLMLVGSLRLASVWFGFFDIWALRVAVFSQTDMTNVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYQTMAAANLSTVGFFAGTKLAF >Dexi7A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:7A:16782594:16784147:1 gene:Dexi7A01G0005510 transcript:Dexi7A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSPPPPRSACLYGPDDRAPIRVSRVEEIEEPIDPALALACADVPRGSPGSTRPSSASSPSSTA >Dexi3A01G0033440.1:cds pep primary_assembly:Fonio_CM05836:3A:38211730:38214858:-1 gene:Dexi3A01G0033440 transcript:Dexi3A01G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQRNPKNGEVENNVFRRMILNQIAGDIDFDEENVPCNTPRNSVHSTFGRTSGWAVASSSGRHNTDAVSPGEYLRDPGSILSLQPWIFKRSGSQNNEERMHASGSRAFGSGKNLADCFRDAQAVEVCARSPGIGSGPGKGCGALRSRKSRRNLMKPLVPMDNSYVPHLYSENFEIEECTFAPVPSPASARPFIVTDGRRVISKSCYEPVPVPFNIGFEKEEFIGTSVMPGSVIGITPLPELKKLKQEDRDSHASRLGLPGSKRSSKSYGQAGLCDRLLLFSVGVSIGILSSSLSNKKEFDTLKGTLKQMENLVQDLQDELEMKEGLTVKELPNETSGEHDDDNSKVREVDPEPMSKIEAELEAELARLELNITSKRLEEESSDINDLDLEFIGDIVRGELKVDMIPRDFTDYSSESDHVRDSRESSPDYTRGANYPVSPRDLSIRLHKVIQRRLEDRIKELESALGHRQKQAQVQMMVTDGIFSERIISNSETGSSNQESPMFIQETSSMAEPYCLNLSGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVTEDYLVNRGLTWGVEDSSRELNEVPTWEQVLKSVDPSRAQESDGCDGNESDDDDSKLLIQQILERTKQGSPVLINAQKLLFSVD >Dexi3A01G0004870.1:cds pep primary_assembly:Fonio_CM05836:3A:3177219:3177746:1 gene:Dexi3A01G0004870 transcript:Dexi3A01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFTFPSIPFEQCNATKKVPFPHFASPSPWLVVPGGGVDTAVHEHDHRRSFSAVEQQAAGSDHYNHQLGGYDGGHHHGSARFAVEDKMDMLWEDFNEELARAAQPCPLTKGTPSWAARKEPWFAGDGFEGAAETRKHAVIRRRRMGLLMMLRLLKKLFLAHKSGAAPSRKTPPI >Dexi2A01G0026390.1:cds pep primary_assembly:Fonio_CM05836:2A:37907253:37911913:1 gene:Dexi2A01G0026390 transcript:Dexi2A01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPQPPPSASSSSTSSTAATAAAAWWRDNMHPAAAYGGAAASWPPPPARWPPTIAPAPQQHHHYHGRTTTTSSGDDLSASNATITSFTNTSTNHSGLSMDSSVVPGADHHHHAAAAVAAESHLWSQVLMGAAGGEVGRSMQQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYRSSSHGGGGGGWPDHHQQFTAAAAMEMKHLSSGGGYGATLAHHHHHAAAPERLTANLSDLVSNWSIAPPNPSLAGAAAACDKNEMGGGGHGGAMLHEAAGGGGGQEFLRPTAGYSSMLGLSSSSRMYGGGAGMEAKTSSGGGSKGSSEGKKKRSEEQQGSDGSTKKSKSEASSPTSSLKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKSEAEMDLRSRGLCLVPVSCTPQMYRDNNGPDYWTPPYRSCLYR >Dexi5A01G0009750.1:cds pep primary_assembly:Fonio_CM05836:5A:7312227:7313966:1 gene:Dexi5A01G0009750 transcript:Dexi5A01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGKGGTMPRANEMFRADSRMMVVFGALTSKPQQLTFEESLRFVKKVKARDYMLYLSLFDILGRMELSQLDAYQELQLLFRNHPDLCEELEKFRPPVPIKHATNSFWAWVFMCAVPLVAVSLIPALGNPVLWFVQQTVGEKMAA >Dexi9A01G0035910.1:cds pep primary_assembly:Fonio_CM05836:9A:40452368:40454693:1 gene:Dexi9A01G0035910 transcript:Dexi9A01G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELKQSISGKRTFRPSLISRHANEWPPTDVSSDLTVEVGTSSFALHKLFAQFPLVSRSGKIRRLVAESKDAKLARLSLHGTPGGAPAFELAAKFCYGVHVDVTVANVATLRCAAHYLQMTEDFSDKNLELRAESFLRDAVLPSIPSSVAVLRSCEPLLPAAEDAGLVPRLISAIATNVCKEQLTSGLSKMDLHHHQCGAHVVKPFVVELDSPSPGGGGEWWGKSVAGLGLEFFQRLLSAVKAKGLKQETVTRILINYAQNSLHGLMARDVVHGGNKCGGADAEAVKKQRAVVEAIVHPNVKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQSGSGSSMGGGHDTALFFGCAAAAAASASAQGSAVMNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFTRSLGRLFRGMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >Dexi4B01G0019970.1:cds pep primary_assembly:Fonio_CM05836:4B:22119073:22120339:-1 gene:Dexi4B01G0019970 transcript:Dexi4B01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVADPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDGTTGDLFRKPSVVAVDLRKEMVDYIVQRSETFIADALIESEANQAPENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTKFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLADHKEQCSFRPVTCPNDGCRAKVSVRCMEDHDAACPFKVLQCEQNCEKRLLRRDMDRHCVTVCSMRPMKCPFGCDSSFPERDLEKHCLEFLQAHLLKVLKVIHKKGHSEEELKGLAQKLEKYDEHGKLAKALDARPLTNVVKDLEAKMKGESSS >Dexi3A01G0032710.1:cds pep primary_assembly:Fonio_CM05836:3A:37572965:37575240:-1 gene:Dexi3A01G0032710 transcript:Dexi3A01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAAVAATAIAAVALLLVLLGSAAVLMISSSEAGEDELFGAESADGRRGSQVVVVPAIYVFGDSLMDAGNNNLLPPPAPKSVPPYGIDLPLSVSPRTGRFTNGYNLADVIAQYLGFKMSPPAYLSVTPLSANLDVLRGRGGANYASGGSGILDSTGNGTIPLRAQVQLFAETKATIIQSGLVSHKRLEDLLSQSLFLISSGGNDLAPFDIAGVPMSQAPEFIAGIVADYVKYISVCVLVYKGLQFR >Dexi5A01G0004780.1:cds pep primary_assembly:Fonio_CM05836:5A:3607195:3611489:1 gene:Dexi5A01G0004780 transcript:Dexi5A01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRRLTGTHRGRLPLGAAAAAACGGAALFYASSPPTVAHLEEKGEEAAEKVALNPDKWLEFKLQEKAKVSHNSELFRFSFDPTTKLGLDVASCLITRAPIGEEVEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMVAGGTGITPMLQVVRAILKNPDDNTQVAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKKELDRLASSYPNFKVFYTVDKPSNDWRGGVGFVSKDMVLKGLPGPGDDSLILVCGPPGMMNHISGDKAKDRSQGELSGLLKDLGYTAEMVYKF >Dexi2B01G0032400.1:cds pep primary_assembly:Fonio_CM05836:2B:40300703:40303301:1 gene:Dexi2B01G0032400 transcript:Dexi2B01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKPHVLLIPYPAQGHVNPFLKLGKALHARGFHVTFVHTEYNHGRLLRARGAAAFDAGVEGFRFETIPDGLPPSDLDATQDIWALCEATRRTGPTTIRALVERLGHTDGVPPVSCVIADNAMGYAVHVAKEMGLPAYHFFTASGCGFLAYLNFDQLVKRGYVPLKDESCLSNGYLDTPVDWITGMLPSARLRDLPTFIRTTDPDDILLTIIIKQCELDAPIADGILFNTFDDLERRALDAILARLPNTFTVGPLGPELAPASYLPSLTSSLWRGDDRCAAWLDAGGHREGSVVYVNFGSITVVTAAQMDEFAWGLAATGCPFLWVVRPDMVRDGGGDGWELPEGFEEEVAGRGLTVGWCDQEAVLEHPATGGFLSHCGWNSVVESIRAGVPLLCWPFFSEQVTNCRYACEEWGVGLEMAREVGRGEVEAAVRELMGGEGRGAAVRRKAAEWKDKARAAVAPGGSSCADFERFVQEVAHRVKS >DexiUA01G0018180.1:cds pep primary_assembly:Fonio_CM05836:UA:38765118:38773177:1 gene:DexiUA01G0018180 transcript:DexiUA01G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKAIGCENTRKELSEQKRKFQSSSSGQTSNTRPRGNGGHYQQGQQAQRSGQQAQRYSQQTPRTPNQQQNRSGNGTPPVRTNNPVTPTPQAQRSDGQRSVQSSSQQGNQGQQNYARGRVNHVTAEAAQEASDVPSPVILSPGLFLFLAAAHPAQSSVFSFSSFSVADMWARPVGRLLRCVELEQDSMASPSRARLPLVSPAFISRRPSPIDTQTQARAFLVALVAIAAVTLGLKPPPPSSLQARRRGPREGKEAAKPLSSFSLALSSSRRVAVATAPLAVVSLHPLSRAKRGEFPSFLALVLPKSGEFPAELRRAPPLSRRRSCPFDLSQNDPFEGGQDQVFEEEPPQYFEQGKGPSGSLFSFVSVVSYDVVQRELFVGDERNHCLPAGGFIDGLGDGYVFLQMKPVAGGRCPGASARRIVLGTVTLSPAPAAASVVKLGRFVVPFRRGCSVCVLGPACVLLDGRQFLARGPRAPDDHDRGSVGRPADETKRRRWILQQSIQTDERTKAAQEPNMTKLFVP >Dexi2B01G0005010.1:cds pep primary_assembly:Fonio_CM05836:2B:4700886:4701499:-1 gene:Dexi2B01G0005010 transcript:Dexi2B01G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSKRSAGAVASLPEDPLVEILSRVPFKSICRFKCVSKAWRDLIADPLHRKKLPQTLEGFLLYEDSEDCGSKDRPRLCVHFVDVLGRSVRPLDPCFSFLAELPGNENIMLLHYCNDIFLFARPCNSDTSGLESQEV >Dexi4B01G0023130.1:cds pep primary_assembly:Fonio_CM05836:4B:24598499:24600519:1 gene:Dexi4B01G0023130 transcript:Dexi4B01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWASAVDRAAGMADSAKRFFLSLRCPPQQKSRRRGSIRTPTPFWVMGELVDILKRLQRQAFYDIMQLRERQEKVERVLSLFKASKVGPFAEESTQVKGVISVAGSLSRDSSETESGITSQFVFHTTVRKKDSLFAELVTDHRYVSQENDHIGTPLVLSKVMYLSNINESLSVAAVPVGAKCDDFSADPNLREEHWLASLRSSLRPPLLIKSHKYAGGLLLRSKNFAVSLAELISVAGQPLNAGEANRVLTEFGQFACQMSDDIKLTLSAAWHGPSVIPRKRKPTAGGCIDFELKIDEDSRIGAWVEINRKSNPRLLRWALALSDTPDDDLGWGLSLRKGTEANPQRFQVEGFLNLHLGKKAAVQPGIVFNMDGRRCTPALVFHSSWPL >Dexi7A01G0013100.1:cds pep primary_assembly:Fonio_CM05836:7A:23272408:23273405:1 gene:Dexi7A01G0013100 transcript:Dexi7A01G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCSVMVAMGILTDQRVVAIKRSKLEESTEIEQFINEVSILSRINHRNVVKLHGCCLEAEVPLLVYEFVPNGTLYDLLHREKNGILLPLSWEERLRIAIEISGALTYLHSEASVSILHRDVKCMNILLNDSYTAKVSDFGASRSIPIDQTHLLTAVQGTFGYLDPEYYYTGQLNEKSDVYSFGVILLELLTRNKPIFVDENGEKQNLSNYFLWATSERPLKKVVDKQTLEEASEEAIVGTAQLAEECLSLTRGERPTMKEVEMRLQMLRTCQGVAPRARMDEVPRPRCESAKTNGIARSVPVAAGHNVSRHYSLEQEFVLSSRVPR >Dexi6B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:6B:154087:155817:1 gene:Dexi6B01G0000250 transcript:Dexi6B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDLDGAALILSLPEDVLALISAHLRPRDLLALSAASRRLRRVLTGAAAADKAWLAQCLRLDLLPSLRHLLAWRDAASGSSLAVCRFLHSAAPLLGGALWAHQNPELGNLVAAVPDFLSLVAVRAIPQELSPRLRWAPVFELLADAHGRPALLFLHGHDPADLFPARLSSLQPHANVLFLEAHTDRHPVSSSEFHFPLLAFGDRRRLLDSLVDACRVTLPSDLVAAPLLARSDDDLPLLAARREAMLRLHGEAAGGMVRTPDLQGLILQANKKVSPSPTGGAGERTRLRRSLSAVAGYVRNGLRQMVTRSVSANSRAHQYVDTKHLPLADFLHAGESVGLSLRGARVRLSTYRVWPSMNDNRFALYKLTVQPPMPGREYAGLWGGTFGWPPGRPDDDCKPRKALFFLLLSYEEDAEGKLLLIATKVLEGTHYVVHPNGSSMFVARIGEPSTEVFPWKGKTDGESWNVDVERGFAGEGIASGYGFRYPGSKPGSLFVLQDGRLAFVWRETGTVLTLKRLNLEELLKKGERVPALQPVPNFAYLTKSYSNVFTAFPGSSASPRYISTSTLPPVIIY >Dexi4A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:4A:1104652:1105353:1 gene:Dexi4A01G0001680 transcript:Dexi4A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRFLAKNAGAGGGNDGPPPQFNAGGGVALEVTVLSADALRLPPSYSPLPRRLRPYVTVSSDAASSACSTEVASTGGGEHSWGDTLVIPVGPEFLEGRADVHVSVMSEATCRLVGATPLGWCGIPAADVLDGLRPPRALRRLSYSLRCPRRGGATSWGHGVVHLAVRVLGLGDGGGARRATTPDAATTTAMPAPVQQGWCRVAMGIPVSGASAAAASAVVGMPMSWGATSR >Dexi5B01G0001410.1:cds pep primary_assembly:Fonio_CM05836:5B:919704:920246:1 gene:Dexi5B01G0001410 transcript:Dexi5B01G0001410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGCEPPVLVFFDGADPASYEPRTGYCVKEMNELSIHHNSLLQESLAKIRADHPDVDITYADFFSPIMAMIESPAKFGKYSVLGLMMTTVCCGGPGRYHFNTVITCGDPGSMTCKDPSARLFWDGAHLTEAANRYVADGWLTSLSSPATATN >Dexi1A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:1A:502362:509551:-1 gene:Dexi1A01G0000790 transcript:Dexi1A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRGGARGRPRKAPAPPAHAHPDPATKVTKRRDRRLLGLRXXXXXXXXXXXXXXXXXXXXXXXKKRTAHTTVTASLCTPPIRRMPPKRKRGGARGRPRKAPAPPAHAHPDPATKENGESKGEEHDLPQHLDSAKGNGENKCEEDMPEHQDSAKQTDDENIKEEMPQASPEKRKQMDGNSTMCHQCQRNDSGRVVRCQRCIEGGKRYRYCVKCIKRWYPHLSEDDFEKNCPVCRKNCNCKACLRGEPTRVKRSTKSCPIEEGDTWSVSEEDKIKYSMRAVHFLLPWLKELHQEQTLEKSVEASIRGIDLCKVEVPLVTCKSNERIYCNNCRTSIVDFHRSCNTCSYDLCLSCCRELRQGHPRVGVTSDKVSLPDVGDNEDWQEGISQNKDIRQEPSDGHNDILIDGVLPSEDQTPDLRKWRVNSNGSIPCPPKELNDCGSSLLELKCLFEGKFIPDLLEKANSVVNNAKVLELGDSKCSCFTECSKMNDGSSRKAAYRENSNDNYIYCPTARDVQNASLDHFQEHWLKGQPVIVRDTLALTSGLSWEPMVMWRALREKRERRDRLSVTALECLTWCEVDINTHMFFDGYSRGAVGSEDLPVLLKLKDWPPHSSFEKRLPRHNAEFMSALPFREYTDPKSGPLNLAVKLPKRVNKPDLGPKTYIAYGVTQELGIGDSVTKIHCDMSDAVNILTHTDEIKLKPQRITAIEKKKESLNKKKESGNCQASRTDTDVLTPTPLGESTMGPKPVLDADMEEQEDSKEAVIAEGNLTEPDGQLTNQNNGVHVEVSFSKGKAGDSFINSGGKTVGNGFSCEAKIESANYSEGKFEPTSRPRRKTNMATSKTNNVGKLAISLEPKDDEALLVKENHTEGGALWDIFRREDVSKLHDYLMKHAVEFRHWNYEPVKEVAHPIHDQSFYLTNEHKMKLKEEYGIEPWTFEQNLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPAGHRVNEDKLEVKKMALYALNQAIKDITGIGCKESVKNEDEGEPSSSSSSEASEGEEEQEE >Dexi9B01G0002010.1:cds pep primary_assembly:Fonio_CM05836:9B:1153278:1153805:-1 gene:Dexi9B01G0002010 transcript:Dexi9B01G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKPSDVGPSAAAPVTGVPVVGVRIPTTTTPSPIAVRTVSWSFGLCDCMGDCGVCCMTCWCPCITFGRVAEILDRGGTSCCASGAIYGLLCCFTGCHWIYSCMYRSKMRAQFGIHVEPCCDCCVHFCCEPCVLCQHYRELKKRNFEPELGWDLNVQRGAGADMYPPAAQGMAR >Dexi5A01G0012470.1:cds pep primary_assembly:Fonio_CM05836:5A:9364835:9367974:-1 gene:Dexi5A01G0012470 transcript:Dexi5A01G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRLAFAKDSPPLAIIAAAKIADVSLTIDPSLDSGSVPTLYLGSGDFIHGINTILRYIARGATFPSFYGEDDIQAAHVDQWLEYASVILSGSEFEAACSFLDGFLTSRTFLVGFSLSIADIVVWSNIAGTVTTTGKSEKIEEISKPYSLVQQHSCRLCGAMYEVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEICEFSRLNMVYTLLSKRKLLWFVQNKKVDDWTDPRFPTIQGIVRRGLKIEALKQFILEQGASKNLNLMEWDKLWTINKKIVDPVSARHTAVLKDQRALLTLTNGPEEPFVRILPRHKKYEGAGNKATTFTNRIWLEYADASVISTGQEVTLMDWGNAIIKEIKTENGVITELVGALHLEGSVKSTKLKLTWLPDIEDLVLLSLIEFDYLITKKKLEEDEEITLTSPILNPCTRRETLALGDPNMRNLKQGEIIQLERKGYYRCDVPFIRPAKPIVLFLIPDGRQQSAANK >Dexi1B01G0026550.1:cds pep primary_assembly:Fonio_CM05836:1B:31383871:31387988:-1 gene:Dexi1B01G0026550 transcript:Dexi1B01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHARSSLHAVAAAVLLLHLLLSPATAAAAEPPYTCGAGAPPNIPFCDRSLPIDRRVDDLVARLTVEEKISQLGDESPAVARLGVPAYKWWSEALHGVSDHGRGIHLSGPLRAATSFPQVILTAASFDPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMSGKYAAVFVRGVQGYAIAGPVNSTDLEASACCKHFTAYDLENWKGVTRYVFDAKVTAQDLEDTYNPPFKSCVEDGHASGIMCSYNRVNGVPTCADYNLLSKTARQSWGFYGYITSDCDAVSIIHDAQGYAKTAEDAVADVLKAGMDVNCGSYVQQHGASALQQGKITEQDIDRALRNLFAVRMRLGLFNGDPRRNRYGNIGPDQVCTPEHQSLALEAAQDGIVLLKNDGGALPLSKSKVTSLGVIGFNANNATRLLGNYYGPPCVTVTPLQVLQGYVKDTRFAAGCNAAACNVTAIPEAVQVASSVDSVVLFMGLDQDQEREEVDRLDLTLPGQQQSLIESVANAAKKPVILVLLCGGPVDVSFAKTNPKIGAILWAGYPGEAGGLAIAQVLFGEHNPGGRLPVTWYPQDFTKVPMTDMRMRADPATGYPGRTYRFYRGPTVFDFGYGLSYSKYSHRFMASGTKPPSMSKIAGLKAVETTAAGGGAAIYDVEAIGEEACERLKFPALVRVQNHGPMEGKHPVLLFLRWPNATDGSGRPARQLIGFRSLRLGAMETAHVEFEVSPCKHFSRASEDGRKVIDQGSHFVMVGEDEFELSFMA >Dexi5A01G0021470.1:cds pep primary_assembly:Fonio_CM05836:5A:25296444:25299649:-1 gene:Dexi5A01G0021470 transcript:Dexi5A01G0021470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALPFLRWSRSASTLRACSSSTATPHRLLSTLRRPAAAARCESGSKAMLKGMDFSELENWVRAQGFRPGQAMMLWKCLYGNNAWAHCHDELVGLNKDFRKMITERADLKALTVKDILTASDGTRKILFSLEDGPVIETVIIPCARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGAITNVVFMGMGEPFHNIDNVIKASSIMVDDQGLHFSPRKVTVSTSGLVPQLKRFLHESNCSLAVSLNATTDEVVVRNWIMPINRKYNLNLLLGTLREELCLKKNYRVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNVLIQGGLTVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >Dexi5A01G0027530.1:cds pep primary_assembly:Fonio_CM05836:5A:31009491:31010099:-1 gene:Dexi5A01G0027530 transcript:Dexi5A01G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIKCCIACILPCGALDVVRIVHSNGRVEEISGGPVLAGEIMKAYPKHVLRKPPSTCPADGAGGGIVVQKPVILPPNAELQKGKIYFLMPVMSAPATEKHQAPPAPEKKSAAQPPAHGSSAAAAARRRRRRKDHHATGREGITPAAAAAGGGAESEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >Dexi1A01G0008070.1:cds pep primary_assembly:Fonio_CM05836:1A:6217344:6218556:1 gene:Dexi1A01G0008070 transcript:Dexi1A01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFCQRAAGSHIHLLARKSEKNSSVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPELLADIQIGRWLKSEDNALVRDSAVVVAGGKVKQGVALKLQHTESGELELEMEWMPLNM >Dexi2B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:2B:20019665:20019970:1 gene:Dexi2B01G0012880 transcript:Dexi2B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKDPASFILRARAASARQRREWRGRGCSGDGELWRAGTVVVAAAGQRVPSRGERARGSSGRQHSAVIGWRAAQGSGSGVPWSSDRPRQHIDLCGEHKT >Dexi9B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:9B:13075315:13079868:-1 gene:Dexi9B01G0018380 transcript:Dexi9B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSRWRGGGGGKAKAVFKLQFHATQARLVARSLSVPELGWEAMMVVVTPQDAGRPTARSEPAEVVDGACRWADPILEATKLPTGKDKIYQFLVYETGSSKAALLGEATVNLAEYADALKPSAVTLPLKGSPGALLHVTIQRVVGGAGGCGDDASSENGDTSPVVVKTPQRRTTLQSQLSRFEDEDSEKARATTDAMSPVQDGLLIRKPPGMRFPSRRNTPMSVDPVGHLHNGSSFDAISVSGSDGSSGRFTPKTSASMHNTFLQDSSNALSPFANNSTLRNPLTSSGDWSGSSAPDASTDGSTSNSGEAGLRGEEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLREERDALRRECEGLRGTKKTIHDSNGSGKRLSDGEDPWSQIEELKQELNHEKNLNADLRIQLQKMQESNSELLLAVKDLDEMVEQKNREISILQDDTHGDLQEAEYEHALSNVHNSGHKIALSETSSEQEKEDELMLDALAKKRDDISSSELEKKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLAIISDLEANVESLDNELQTQAKKFEADIAGIISAKVEQEQRAIKAEESLRKIRWNNATTAERLQEEFKVLSSQVSSAFSANERHLVQARKETAELQLQKSQLEELLQKAQGDLGSIQDQHRVKVQQLITLVDFKSKEIDRLLMELKSKSDEFQNQKRSDEAKLNALSEEIELLNAKIDKLSSERDELFEKNEQKDKELAGVNEKDMQLEGKTAEITVLNKEILLLKDQVKMHLEELHKLKWSKNEKEETIGKLQIDIGSLKLQCENLKTMLSKKESEKDNLASQVLKLRRSLEAREAAKANSINADEKDNQHSNHKRIKHNTGSTGSTTALPGTSRQSAEGDCNCNGQDIRNAAEQSSKELTSLKERNKTMEEELKELHERYSEISLRFAEVEGERQQLVMTVRSLKNSQR >Dexi8B01G0003770.1:cds pep primary_assembly:Fonio_CM05836:8B:3167554:3178485:1 gene:Dexi8B01G0003770 transcript:Dexi8B01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMPDPEMLPPPDAEKALDSETGEAVTQAFCDLQEDVRMLKEMGMDAYRFSISWSRILPKGTLEGGINYQGIQYYKKLINLLKQNGIEPYVTIFHWDTPQALQDKYGGFLSRRIVKDYTDFAKVCFEHFGDKVKNWFTFNEPHIFSSFSYGTGGHAPGRCSPGGTCAIPHGDSLSEPYCVGHHLLLAHAEVANLYKSYKGTNGRIGMALDVMYFEPYDEETFLDKQARERAIDFNLGWFMEPVFRGDYPFSMRSLVGNRLPYFRDDEKEKLVHSYDMMGLNYYTSMFAEHIDLSSGFSPMVNTEDSYARLTSHTVDRWNALPFCAGTADVDTGNLCKEDALDDYIRLDYLQRHISTIKESIDKGADVHGHFTWSLLDNFEWSSGYTPRFGLIYVDRDDGFKRYMKKSARWFSQFNRAPKKVFDDAHAIVLKPALLLQALAGTASVNGGGDQARSKEVVELLQLALGLGAVQGDLLEGGDRAPRHAARCLLDWGPPPPSTAALHARPNSNPQATPLRLLAAATSQAGGCCGPRIFLAWGWCCGGFGRRREASRQSSAPVTLRKARLPDPGGHELDLVDSV >Dexi1B01G0008750.1:cds pep primary_assembly:Fonio_CM05836:1B:7616031:7616484:-1 gene:Dexi1B01G0008750 transcript:Dexi1B01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGLTPILLAVLLACTAFYPAKCEGGDSERSPTTATGGLRRRPDGEETMTVGSDKFYIELCVKTKCDPGNKRCYCCKAVPVERCFWGQQECWDYCPNRQQLRVGSQLPAPATGTIIHYR >Dexi2B01G0002460.1:cds pep primary_assembly:Fonio_CM05836:2B:2059602:2067382:1 gene:Dexi2B01G0002460 transcript:Dexi2B01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPDRTNADLRRRLAVDATPPPQIARVIAGAEFVFGLGHWCGARFFRCILVVAEKQGLDTEIPLSPQWLMKVGENKDPISQGVRSDVPKTSGSGEDPGYSAKKKDVFRASALDGETGRRDRWRDDEREPNSTHRWSRWRETDKEHGDPRKVERWSDDTSKYSVDSRRAPQERWGDSNNKEGSYDQRRENKWTGRWVSSDKESENWRDRWGDSGKEGDTSREKGFSPYAHNKDVNNYEKDTERDDNVSRSWKSSYPVGRGRGDLSHYPSQTPQKSSAAYGYGRGKPDNDFANFPSRGKFTSGSSAVSSGSSRPFHLGLLSDRPGGASGDRSAFKYSRMKLLDIYRSCDVTDFKIPVDCFEEVSVFLEENALEPLALSAPIAEEAAILKAIDKGDIVNSGVHQASKDGSVGKASREDQPGGMEDYKGETFGSLKGVPGNTDLPARGESLRPGTSTYVVPQRSQFIGEHRLGPSSEFGHQIPNFLNHETKSVGMPGVDDFVSLVQPHPNPESLSLFYKDPQGQIQGPFSGADIIGWFEAGYFGIDLLVRVVNAPPDIPFLMLGDVMPHLRAKARPPPGFATSKSSDMLVPETQPTGKFSSPSMQAGTAGTGIFDSGPGRKDTAVEAQNRFLESLMSNNVRNPPADTFSITGGMNELGNSSFGNISVGGGESGINMNYLLAQKGLLERQNSLQNPVPPMADPSRQSLQSQNVDLLGMLQSKEKPQIPTGNSGLPLWSNYPEGRNVNPNMHGVDLTQGSLNTRQDLQNPQNIGIGVQQHSFMPQNRPTLAHLPPEKLAEISQDPQLLNMLQQQYLLSQLQVQSQTSLTPQPQLSMLDKMLLIKQQQQQQQQLQQLQLEQQQKLLLQQQLLSHMVPHGHPNQQIDDSYGLKHSSVTSGDAMNLGLRRMQEAIEVDRKLPAHGMQVGQQPSQSNMNMREMQVGQQPSQSNMNMRDMQVGQQPTLPLPHETAVIALSKEHYSRSQMLEGFANNDAQLKSNVVKMNEEVKSHEMDTGAAKTKMSEKVLDSGSTRAPGSASNEAKDSHAPPLDPKSENVLSNISRQVQEMKLSSENTSSDIATTVKTEVKVADAQETKKSEKKKKQKKKQAAADAGKVASKTVSAQQPRQETEVDSSDLGGNKHDLPDDTEELFWGAPASVQNEILPHKSLHEEYDTNTAETQFSSLSDPHSAASQRAWKQPAQGVRPKSLLEIQAEEQLRAQKGQAMESAKPVASVPSIPWNGMATSSEQHYGGSSKSLGGIETTGERNKRSQLHDLLAEEVLARASIADNENTGNASDAFFPPLSPAALQPDAPALDDNDFIEAKDKKNKKKATKTKASVKAPSPVGSFDQSTVSMPTEKGKAAKQALQESEILPAPPSGPSFGDFVLWRSDQASSVPAPAWSNDSAKVQRPLSLRDIQREQERRSAAMQQQAPLPTPTKVATNQKNHGNASSWQASGSSPSKAVAPVQMSNNTPSRSKSSAEDDLFWGPSEQSKQDKRQSEFPTLTSQSRSSMMKDQSPANRQKSQAGKLPVSSAAPANQSGKGKSEASNKQTEAMDFRDWCEGEWVRLTGTNDVSFLEFCIKQSTVEAETLLRENIGSLDRNHQFIDKFLNYKAFLSSEVIDMAFQAPSTCGARGDSAARANPATAARGGTGADMELDGGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNAD >Dexi4A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:4A:11589323:11589542:1 gene:Dexi4A01G0012750 transcript:Dexi4A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDVRIDAKLNKYIWSSSIRSVPRRVWIASKRTDECPECQERQGALLSGQPLRPPGGSPGHGLVEDDE >Dexi3A01G0034630.1:cds pep primary_assembly:Fonio_CM05836:3A:39745960:39747022:-1 gene:Dexi3A01G0034630 transcript:Dexi3A01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTLLLVVVVTGAAVVHGHPTTTTPAAHFWQQALPGTTMPDAIADLVKKGIEHSPLVEHYSALPTISVCTLFTSACDPRKVAETGIFFHEAELRRGNAMTLSLPEESPAAILPHDVTKKVPFGNLHDVLAAFNIPASSDEAAEVSDTIRRCEEPPVAGVVKACATSVETTVRRAMDMLGTTVVGDDDVWAATSELPAGGGLTRQPYVITAVAPVDGTRYVACHRVPFPYAVYQCHMAAGKVAGYRAYVVSLRGLGGGPVASMLAFCHEDTSSWNPAHPAFEILGTKPGGDTPVCHFMPYGDMAFVKKAGRAY >Dexi9B01G0000830.1:cds pep primary_assembly:Fonio_CM05836:9B:501036:501320:-1 gene:Dexi9B01G0000830 transcript:Dexi9B01G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWMGPDGSAGAWTATRLRVACAPSCSTVWFRMARPSSVSEGRSPAAEGRAADDGGEGVPEMQEHEEGRRQHTYGGGEGCDSEHIGGSELLAG >Dexi4A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:4A:4160815:4162602:-1 gene:Dexi4A01G0005800 transcript:Dexi4A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQQAANRHGTTPPVFDEVRWVVQIRHSLQDDAAAGDGDNDDTGIPVSVFNVPKQLQVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQKRLLSPPGLKLDGLVDQFKRLERRVRAHYHRYLDFNGETLAWMMLVDGAFLLEFLQIYAVVVANDGEVVVSGDGGGKAAALRRVSSRMQHLVDFTGRKSAHNLILRDMLMLENQVPMFLLRKILEPQCSSADDAGELLARMVTGLMKELCPFKMMGSFPVIDVGKHAHLLEVLYYILVPKPEDDSTVEVNGNDVHDDGGGYDIEEQAGDGGGAEERQKPAAGCEYVKQLFLAVWGIVSRLNSTAGGPMRYVTKPIEFAIKAPWKMLAVVPGVGSFVSGADGSTTNPHDPSSTGYLLTRPPLIEEIMIPSVSELVNAGVKFSPTAGDLSTIAFDAKTATFHLPMVTLDSNTEVVLRNLVAYEASAASGPLVLARYTELMNGIIDADDDVAALRRRGVVLNRMKSDGEVAKLWNGMTRSVRLTKVAFMDRAIEEVNRYYNSRWRVKTKRFMRKYVFSSWQVLTFLAAILMLLLTTLQAFCSVYTCSRWFGAVTVAKAG >Dexi2A01G0023830.1:cds pep primary_assembly:Fonio_CM05836:2A:35523335:35527651:-1 gene:Dexi2A01G0023830 transcript:Dexi2A01G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSIPVAATAAILLLSSMALLTAAAVVEHTFVVSQMNMRHLCRDTLVTVVNGQLPGPVIDVTEGDSVVVHVVNRSPYSITIHWHGVKQKRNCWTDGVPMITQCPIRPGNNFTYQFNVDGQEGAMEEGHVLEVEPGRTYLLRFINAVLVSEYYIKIAGHRFTVVAADGNYVNPYTTDTIAIAPGQTVDALVVADASPGSYYMVAMAAQPTIIVPPFPVTTTRGTVRYRSAGAQQQPLVPVMPDTHDTDTSFYFHGNLTGLQNRQPSSSSVPTRVDERLLVTLSVGSMCRRQGQSSCARTNAETIIMVNLNNVSFQLPGGATESLLEAHYGRGLGAMGLVTLPDRPPVAFNFTDPALVQRGPREAWLEPTTKATTVRRFRHGSVVEVVFQNTAVMQTDSNPMHLHGHDMFVLAQGLGNYDQARDVVRYNLVNPPVRNTVLVPSLGWTAIRFVADNPGVWFLHCHYLFHVSVGMATVFIVEDGPTVGTSLPPPPANFPKCDGSRT >Dexi2B01G0033920.1:cds pep primary_assembly:Fonio_CM05836:2B:41263054:41264743:1 gene:Dexi2B01G0033920 transcript:Dexi2B01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKSVLPQSQDLAEAAAISGPQGQKRAKDAGSLPLEKANAVVDRRLEKTKADKHEPLFSTFGYNTYDIFGIDDDNDDAEWAAWQAALSKALFIPEVKEEEESIEEWEKRMWARRGDQDEDLSDDDDDDEARRASRFRADWEFLWSPRYGAFDDNTRIPSMRYTFSKPPQANVMHENALQIFSAKVISTKVDFPFHVFGMVAMRDCIDHNRNVVFCRTRDNCQTLTEEHPYLVLTGPTRAAMLEMSTPVTIEVDLMLKGTTSDDQKLSSLAVPVISDDTMYSHMWKSGYTSKLNTIEFTLGHIICSVAATIFVQVTHGSWPDGFHGQFSAVASGVHAHHDASTIYHTSVNDKEFVLLNSGGDKVHVTGNGDVKLSRRVVSVDTTGELKVYVKAWGADGSFTNKWVNFKPLDAGKGEATIDMGFCTMDVTVFWSLISYHHVFAKSAL >Dexi7A01G0009650.1:cds pep primary_assembly:Fonio_CM05836:7A:20652778:20653033:1 gene:Dexi7A01G0009650 transcript:Dexi7A01G0009650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAATRMGNVEGRDERRRRGGGGRGRRRRRPRTNLGGSGGCDGEAEARRRGRRRAERSRDGQRRGGGRRKEGGIGRERIG >DexiUA01G0016210.1:cds pep primary_assembly:Fonio_CM05836:UA:34553126:34553788:1 gene:DexiUA01G0016210 transcript:DexiUA01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHPIITGASIHPTPGLKLTLSDEEIMMHYLHPRAMNEPLPSKSIIVDVDVLAYNPWELLPEGSEGKYYFSQRVPRGTQGKRCKRVASDGFWKASGKEIPIFSYGINGTVPLIVGMKRTLVFYRGKATASQNTEWAMQEYRLAEAGLMPCPVMRLRGGRNLEKCGCASAVIAKVLL >Dexi3A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:3A:12907492:12908967:1 gene:Dexi3A01G0017010 transcript:Dexi3A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTATQQPHVLLVSFPLQGHVNPLLRLGSRLASKGLLVTFTTFRHAGLRALPDDSACVGSGHLRFEYLLWPADAALSPDDLQNDPSDLLRHVTDVGPSALADLIRRQADAGRPVACVVNNPFVPWALDVAAGEGMNIPCAMLWIQSCAVLSLYYHFYTSPDAFPSEADPDAAVDAVPGLPTVAANELPLMVRPEYAHNLWGDMLRAQLGGIGNKTVSWVLVNTFEGLERHVIDALRRHAPVTPVGPLLEEHHNSHGDDEEDDDGDCMAWLDARPPRSVVYVAFGSLVSIGRGEMVAVAEGLVATGRPFLWVVREDRRGLVPEDALVAACGETGKIVAWCPQGRVLGHGAVGCFVTHCGWNSVAEALAAGVPMVGYPWWSDQFTNAKLMVEEYRVGVRLPAPVTRDALRACVDEVMCGPEAPAFRMRATAWKEEAAADVADGGTSDRNLQAFVEDIKRSLEKRSSEGRGMTKINSSGGIAKHLDSIDIATP >Dexi3B01G0036680.1:cds pep primary_assembly:Fonio_CM05836:3B:39549482:39550101:-1 gene:Dexi3B01G0036680 transcript:Dexi3B01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLLLTVLALLWAVPVAAGQDDKQMVIPAWPSCSTTDNYTDGSQYENNLDELFTTLSTAALDNGWFYNGSAGTGADEVFGLIMCYADRNATQCHECLAGAPAGIKRWCPGSRNANATYDTCATADLGYVFSVYASGEPVASQGLTTAWLPLMSKLTAGVHRLAAAACQRHRSVLELAGDVWAGAVQQRPQRH >Dexi4A01G0019170.1:cds pep primary_assembly:Fonio_CM05836:4A:23009956:23013434:-1 gene:Dexi4A01G0019170 transcript:Dexi4A01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSAKQPLLHRVYPPSIASASSPALPTAPAGPPAGGRRFPGGLDVPNLKKRGGGTRSWIRVEASTASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFGDQSLLGYNSAGAAGTSVSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKGSDNTTTEHIQELEMLLEAYFVVIDSSLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETTVFKIQNAFQWVLVITGVVGAFIFCSFLWFFKYKRLMPL >Dexi5A01G0028640.1:cds pep primary_assembly:Fonio_CM05836:5A:31852584:31855516:-1 gene:Dexi5A01G0028640 transcript:Dexi5A01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNTTLLAPQAKNPPPSQWGQELGAADLRGGSYLVAEPASLGGRDALGYGSAGEKSCGNEEGGGEAEEREASATPPHRLHRVRARGRAGPGREEECGRGSQPKYSPSLELTTAEPETMRKLVTTSRKIELPHRQIPIRAILLIIHSLLAASAIHLLLAAFVLLFSPYPSVPHAIILRRGPFCSLRDEDKASLSISSCPFNPLSSPLFCSLLHAAPPLHATPRLCSLRPASTPRVRWHLLRDVLSSSPSGTAGVALCPPSVDGPYRKPLQYEPPFLLHLQEEGS >Dexi1B01G0007840.1:cds pep primary_assembly:Fonio_CM05836:1B:6506765:6507184:-1 gene:Dexi1B01G0007840 transcript:Dexi1B01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSEKVVDLADRIAALPPEEIKQIAPALLFRLNQEPPQAISGQGFSFGSQGGSGAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIGKIKAAGGVAVME >Dexi3B01G0003030.1:cds pep primary_assembly:Fonio_CM05836:3B:1992393:1996645:1 gene:Dexi3B01G0003030 transcript:Dexi3B01G0003030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGEAAAADLAADELQSLSFGSSSDRSRSRSASTVSTATASCSTSSSGPLHLPLPPRSTGNPSAAASAQAAVVPRLGSVSLSDIRFLRRLGAGDIGSVYLAEVRPKDRHHPSGGGAAVLVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPLSLAGRSATSTSCTISSCIVPTVSCFQLFPGRGRSRRRRRWRIKKPSSSGGGSNSFPSSNSSSSGLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFIFELLYGVTPFKGYDNEMTLANIVARALEFPKEPSVSSAAKDLVTALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCAAPPYVPPPFTVANANKAGNGGGNNGNDEDVSDDDSCPGTPVEYY >Dexi9A01G0021970.1:cds pep primary_assembly:Fonio_CM05836:9A:16936540:16939716:-1 gene:Dexi9A01G0021970 transcript:Dexi9A01G0021970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGEVEEFSPKSKGLEAKMAAPPDPTPPSNSSALAFKSNASGPSPGHEPAVGSLASTEAAAPEAHVPSDPVVAVLGVVEELRARGFLAGLENPEAAELTDARVAGLFDGVLAAFLADAGARSLPPLALADGRKVELLHLFLAVRAHGGFAAVASWSAVAEAVGLDPSADAVVVKLLYCKYLALLEHSIGNPQGDQEVESSGNADWRLGSEEDRFVAPAKGPTTAGSAHLKRKRDSLVGMLNWVRLVAKNPDGPGVTGRKRERDSHISTALMLRDHMFLNKDCRSGSLSSQGNEWDDVIPAFQNTDRISPPPIRHSGQADIPEWKGKPSLPYDDPHALKFLGEPILLPKASEDLDIGSIGKGRQDDCNCQFQGSIACVRFHVAEKKIELKRELGSAFYEMGFHHMGEDLALTWTEDEERKFNTTIQENLIPSKSRYNLWDKLLSVFRTKGREGLVSYYNNVFQVWRRAYQNRLAPNSPDSDDGSVEPGFLYLHQGRGQSSSSSSATSRTRRNS >DexiUA01G0003740.1:cds pep primary_assembly:Fonio_CM05836:UA:7686875:7691076:1 gene:DexiUA01G0003740 transcript:DexiUA01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHIDPPVAQAARSVLARIRRFALTRGIHREPALGFSFVSLPPLLQHPSPPLLSTYLSQAEERRRRTSPPSRSILSSFAMPSKASMLMLIARAARSVAAATGRFARVAEQAALPPKAEATATAAAASHALDRVGSGDLLLHRSAAGSPPPAMAAIPPAMHAPNHRVDGWIGPGIPAKDFVGKPKVEFVAQEKDLESDEALWALYERWCKAFNQKRDPDEMVRRFKKFKDMVLLVHSTNNANLPYKLAINKFADGKLMEKCRNPDGRDAELARKAGKSTVLIRPGDRFLRQVFADFKVVNGKLFVFYPKGSKVGKGLEELNVEYEVFAGRLFVDLPEDHELVVLNEDQAFAVCENYSPPEGFKEEDLYYLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGDTCACLRVRDADDK >Dexi5B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:5B:11288357:11289486:1 gene:Dexi5B01G0014590 transcript:Dexi5B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRKNIEQMLPKDMLADVLRRLAPYSLAVCRCVCKAWLAVIDDHKLLRTDLLPHSLGGILVNFNMLERTEFISCPAAGCSAISVNLCNMACPSISVDDHCNGLLLLFDSVANPATRQWARLPPQPPRMGIEAFYDEPYLMFDPAISPYYEVFLMPVVASWAELNHSMMETEWPPILFRTRVFSSRTGCWEERSFVRQGDPAGTIGVMQEACTMVKRYSVYWCEALYLHCKNGFFCKISVAKNEYQVIKPPDEFDKFQEPYLGKSEKGVYCAIVDLNFRLRVWILDESSGQMEWVLKHENNLLHVLVSQEFDDGAWTFEDLNHYEYCRKDNYGEEHVQTKLSNHLSE >Dexi9B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:9B:7214272:7216066:1 gene:Dexi9B01G0011340 transcript:Dexi9B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPLLGLLLLVLFLIKLFVTSHAPSSSSRPGKPPAPTLRLPPGPWQLPLIGSLHHLLLSRHGDLIHRTLRDLSRRHGPLMLLRLGSVPTLVVSSAEAAMEVTRTHDAAFASRHLTPTLAVFSVGGRDVLFSPYGELWRQLRRVCVLELLSARRVRSLRRVREEEAAALLRSVAASCSASSGGVVVDIGERICRAMNDTVVRSAIGGRCARRDEFLRELHRAVVLTSGFNLADLYPSSRVARWLSPALREAQRCNRAVRDIMAEIIREQQSSAAAGHEDDDDNLLAVLLRLQRDGDAQCPLTTEIISTVVLEIFAAGSETSSTTLEWALCELTRNPRVMRKAQAEVREAFKGQHRVTEPDAERLLRYLPLVVKETLRLHVPVPFLLPRECREPTRVMGQHDVPKGTKVLVNAWAIARDGQYWDCPDEFRPERFDTAGSGSGSGSGGGGGGGGVDFKGGDFEYIPFGAGRRMCPGAAMGVANMALALAGLLYHFDWEVPDVEEDGGDGVGEAFGITVKRKSKLVLRATQRIPCAY >Dexi1B01G0019740.1:cds pep primary_assembly:Fonio_CM05836:1B:25969014:25969363:-1 gene:Dexi1B01G0019740 transcript:Dexi1B01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASAASGDEGLLYLPPATSIAHCPSSCGDVSISYPFGIGAGCFRQGFELTCNHTTQPPKLFLGNSTTQLISGMDDGLYILQRHFGIRYEQLQFVLDGSREGYDYQQ >Dexi6A01G0006630.1:cds pep primary_assembly:Fonio_CM05836:6A:6387660:6387881:-1 gene:Dexi6A01G0006630 transcript:Dexi6A01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPPNYDLTPATPAVVVAVTPVAPAFANSPASAAVDSAIVVLVDALPAVFSANDTDAPAASRCDDSNDEPAL >Dexi4B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:4B:9271501:9272402:-1 gene:Dexi4B01G0011760 transcript:Dexi4B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPINVEEAQQGRPISVADVTPGDTTTLVATGQRGIPVLIAPFHSQSDGRASVATAQELGMGFPGFLLLETSKDDDNSEAQRKKWFKEMRGWLMVLATVAASVTYQAGLNPPGGFWQDDDDGHHAGNPVLHDRHWSRYMMFYYLNVSERFYHTEAKVVALMLTTFVDLISLIGAYIAGSTRLFSSCIYIIVIACVAFAGVIYIGEVMAEICKFFMRTLPCMLELVKSKWFAVPADVSREERSQTRTAARSNQRGACSAFCACAPRAEG >Dexi9A01G0037890.1:cds pep primary_assembly:Fonio_CM05836:9A:42131415:42131819:-1 gene:Dexi9A01G0037890 transcript:Dexi9A01G0037890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFTAMAAIAAFQAPRSLQHAPAAPLHLRQNTAVSFVARPVHHAHRRLVVAVASSSPATPSDLANKVSESIKQAQETCADDPVSGECVAAWDEVEELSAAASHARDRKKGADPLEEYCKDNPETDECRTYED >Dexi4A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:4A:11829017:11830354:1 gene:Dexi4A01G0012960 transcript:Dexi4A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGPTFSDLDESLQKALHRYLEARGFKHSLHDWLYEYMMRKDEKEYVVWLKNMKEFIGH >Dexi8B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:8B:6403204:6405942:1 gene:Dexi8B01G0005930 transcript:Dexi8B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVGAMEEQVVTERIRRKLEEVNAAAQQHLAGVQDHVNFTMQQAYFKCAYDCFDRRRNQEGINNCVENCSVPVLTANNLVENEMAKFQERLNRSLMVCQDKFEAAKLQKMKMDATQELESCVNRSIDDSIRVLPHVVEQIKSSLKIN >Dexi4A01G0016990.1:cds pep primary_assembly:Fonio_CM05836:4A:20756200:20760895:-1 gene:Dexi4A01G0016990 transcript:Dexi4A01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTACDDAVEQLATLLDQVEAPLKKTFELSLSSLFFYVYFQNVHQGYPTETLVRFLKAREWHVNKAEKMLVESLNWRIQNEIDNILEKPIIPVDLYRSIRDTQLVGLSGYSNEVNYYVQSHIQINEYRDRFILPTATKKYGRPITTCIKVLDMTGLKLSALNQMKIVTAISAVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLRGCGRDELLKIMEYSSLPHFCRREGSGSSKRSSSDPDDCFSLDHPFHQELYNFIQEQALNQELIKQGSLHVKIPEQDPQDAKIVEVIQAEFHKLGVQNGSANGDDKE >Dexi2B01G0024590.1:cds pep primary_assembly:Fonio_CM05836:2B:33976108:33976566:-1 gene:Dexi2B01G0024590 transcript:Dexi2B01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKESTLKEEKDLTQRIMVATMEPGPVEGTRQQAGGFIKDARNLAEALLVLGDDKIGYLHAKALGTGGEFLSYIWLMMSYMGMETLAERMQRAELSGHGGNTGNAPKTPDEPHTGGATPTIEIIVIEEDVV >Dexi4A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:4A:6881952:6883076:-1 gene:Dexi4A01G0008950 transcript:Dexi4A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRNLLSLLRSASPLAAAAPHHAPLRSLHRPLSAAAPFAVEGYLVARCGLTRAQALKASKAVSHLRSPSQPDAVVAFLSARGLSRADIAAVVAADPKILCAKVEKNLSKRVADLEELGLSRSQIARLILVSRNSIRVCSIRRNIDFLLPIYGSFDKLLQVVKMNSAILTVNPEKAFKPNLALLQQCGIAASDLSPSMSRVLTRPHKILREAVTLIDKIGVPRSSRMFHYALLSFPFQKKEKLTKKFGILEMYGWSQEDVLTAVRKMPGIVTMSDDRLRRNAEFLTRHVELEPPYIAQRPALMKYSLERRLLPRHCVLKLLKEKGLVDSELSFYFVAVMTENKFHNKFIGRHKERIPGLATIYASSFAQKALN >Dexi5A01G0022380.1:cds pep primary_assembly:Fonio_CM05836:5A:26424073:26426789:-1 gene:Dexi5A01G0022380 transcript:Dexi5A01G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGRRLLAPGLRRLGFGAAGEAGPAAAAAAGVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEDSGKIVDACFKTFGCGSAIAEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKVGPKGEDSPSEKAAEA >Dexi2B01G0001940.1:cds pep primary_assembly:Fonio_CM05836:2B:1488919:1491363:1 gene:Dexi2B01G0001940 transcript:Dexi2B01G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNANPSFFLDGTPSSSSLDARLDIVSKAAPELAATAAAKASQCGAVRRPTSPTSSSRRAQKPGPPARTSPSPPSSASAPTSAAPRSSSPAAPPAAPPSGSPRTSPRTTAARATLTAFCGPREDDTFETLIPQALFGDGAAAVVIGADHELPVGERATFEMVAASQTTIPGTERMLNMRLGEGGIAGDVSSSLPSFAAEHLERCLVDALAAPPLAGVGGGVEEGKRRKWNELFWVVHPGSRGILDHIDVALRLEAEKLAASRAVVREYGNMMSATVIFVLNELRRRMEEGDAAETEWGVMVGFGPGFTVETMLLHVTKQHESWPKAHHEAPLSLSLIFFFLHPPPQLVEHKYHRRRHHVPVVRHDGAARRQLPRLQPESHVYVVQDPTAARVDRPEELVPLPPPPILHADAGERRERVDQAPLEVLRRKSWQPGGDVAADPSLPEPHVQHPLRPRDRGLRRRHHLEDPALAGVEIGIRSDDGGGGAVAEEALRDEGVEGVVFSGGSEGGEIELDAGDEDPRAAVVLGEVLGEPEGGAAGGAAGELERGAADVGAEAEEGGEREVGAGGAGFGARGDDEVGDVGRRTAPHCDGFRRRRGGELWSGLGDDVETRVEGGGGGGRPVEEEARVGVEHLLCVVEETPLQPSFLT >Dexi9A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:9A:5384341:5385204:-1 gene:Dexi9A01G0009090 transcript:Dexi9A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEWYAGDAETGQAARPLYPMMLEDPRLRWAFIRKVYSILSIQMLLTVVVAAIVVYVRPVALFFVSTPGGFGLYIFLIILPFIVLCPLYYYYQHHPVNLLLLGLFTIAISFAVGLTCAFTKGEVILESAILTSVVVLSLTAYTFWAAKRGHDFSFLGPFLFAAVMILMVFALIQLFFPLGRISLMIYGGLAALIFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >Dexi6A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:6A:24976882:24979927:1 gene:Dexi6A01G0017110 transcript:Dexi6A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGARVEAAHRLAQWRVDALPCYTYRKSLPFRIGLWNWYLSVERNNKQTCVKLFVENSNSAKNGPSAPIASFVTKLLISLPPNRQTIVHPGIFDKQLKHDGFVWAIDSTVTGRFVIEIEFLDLKVADPSGGEPASIWASHQIKQSSDNTALSSLARMLHEDILTDITINAADGSVRAHRAILATRSPVFRSMFSHDLREKELSTVDISDMSLDACHAFLNYIYGDLRSEEFLANRLALLRAAEKYDIADLKEACHESLLEDIDTGNVLERLQTAHLYRLPKLKSGCLRFLVDFRKVYEMHDDFNVFLQTGERDLVAEVFHGVLAAWSGR >Dexi2B01G0021520.1:cds pep primary_assembly:Fonio_CM05836:2B:31298774:31299337:1 gene:Dexi2B01G0021520 transcript:Dexi2B01G0021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISKSAPNLLKKTVKSFKSKTDALRTKLIILASLRRRMAMVCAMSRQIRALVTSNGPNKQATVEHGSKLLPVHKAAVASKEPSCGHGGNKDLGLFEVAMFEEGYHGYPEWTNSLFDDDNSYNYEDDIQDDEHDDLDLDAIDETSVIEIIRSNREAEGLEFNMEDDIDEACDMFIRRCRGRMNLSF >Dexi2B01G0007060.1:cds pep primary_assembly:Fonio_CM05836:2B:7226108:7226761:1 gene:Dexi2B01G0007060 transcript:Dexi2B01G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLKFLVPVKLSIGVLQKRTLLERYNLLEVYQRLVKKNVSAKLNHIIQRQKEPTKAHQIQLDAVVKALKWLEIDMDEVF >Dexi5B01G0010930.1:cds pep primary_assembly:Fonio_CM05836:5B:7734017:7735342:-1 gene:Dexi5B01G0010930 transcript:Dexi5B01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAQYFKKSASGIIVPVLFGFLPLSSCNGTKAAIAFSVFAVLSLLFTVVPLLFAGKMQRQLGDSSNSRTADDSRNSRTTDGSRNSRTTDVQTNHPTAPAVVVDVVVPRNDKDELNKNKRRIEMLCYAAYASNVFLMCTAVCLAAVVNKKYSALASPILLIFFVLFVTFIRLGRQNEFAWGALQYESHQPDLKFFFDLSSEVAQSALTGLSGSLLGTMKNARCLQGDSFRTAEGFVLYAVIVGLFLMLVCTLPPAFEFITIREKFVGRFLKWTAYFSLGLISLAGLFAAATVVQTYVVFAAALIVAVGAFWFYMVHHGKPSDAPLCWPDASGRHAAGERSLMWLGIHSVMFGTLMASYSAFLGGQQLSGLYKAGVFFIFAVLLTNFSRMVLVREVQDKGNEAWVVHVSGIAMVVLMLLAVLLLILLVMLQPDQLRSTFRVP >Dexi5A01G0034330.1:cds pep primary_assembly:Fonio_CM05836:5A:36416645:36419724:1 gene:Dexi5A01G0034330 transcript:Dexi5A01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGCGRGRKKVASRKTNESVHPTVDGVLRKKKRSFHRYSKDNNASGNSRQLTDYFGTSEDTEVPPLPKRCAKPSQSFNIRCNHSIPRMRDLLSHPLARLSGPPLEALLEVFDQFDLTLSETSTAIQASINNIVRAPRQLAEKFRTVIDLVAAQTSADPNIIGESSRMHSCGDEEDFVDAPTGLDHAPSATRAPDHEHQQNVDLSPVSTTHHGDPDSNDPPPSNVIPTPGNSNKEIEQKEAACPSQTDVSRIPMHYATPDTIFASTTNVSGSLYGPKPRAGKRFTRKPDKLCSPFKYGVMIRPPPIVDASLNLFARLCADDSIYRSTTVMQFGTTHLTGSFIAKSFADDALPDSVFMSCFVKCLQYDDFWIRPECFGYRIFLNPEVSILLPVLHHYHWSVYCINFAQSRIDVLDSMDYDSNNYHSWDMFHSDMGAKIMNRLSDALSEAAPHKFKSFKNWRHVQVKVPIHKNPSDSLFFAMKFLEYYDGEGHGSLKTNLDTAGSKELRAEMLYYITFHSENNVATLPDDLIQFRQTDLQPFFY >Dexi5B01G0007100.1:cds pep primary_assembly:Fonio_CM05836:5B:4755967:4756319:1 gene:Dexi5B01G0007100 transcript:Dexi5B01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRAVKTALLEINEYNPSARFAVPELWNFREGRKATMEEVLESLFWMLKSNKRWMASV >Dexi7A01G0011880.1:cds pep primary_assembly:Fonio_CM05836:7A:22234772:22247441:-1 gene:Dexi7A01G0011880 transcript:Dexi7A01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATPVNGARTRKGPDNDRERLRLARPTEDPEDAWIEAFVPSDPRPPDDQGDEGVGRVRLARPPTLRVGRIDPREGPRPSTSTQIWLVKEKACGLRVLPTDTRHRLPQPRRKLTRSCWKEGGGHVATKKGVTKSHKADNEQPSGASVLREGFRFLDSRSLEHLVPYTNIPLTRLEPAFAVIRRQESNTIRSPLHWTIILCLASLEPFSTETYPMCHPPTVGAPGRGLRTSTSSSTPGARWLAGAIIRFGSLEFLVNELPDARGGALRLVLVDTPDCPATHAGQVPCGGPAVSSAASEEAATATQAVIVVSRNSIAVSRVGREWIRQLNPSASGEAFGFAFVMRLQKLNDQEASAFPFGLRNASQTFSDLVQPTLPESRKQDPGSEHGSFRPENATAVGRRGAPGPRLGLIGPGWAVAAWRAMAAMRAPASRLCIHLHTHSWFEVGDPYTKYMASWRLRAGGTARHGPRRVQFSLRGPAQKSPNPQGLVLSPTFPIHLPLPFQSHRAPPPQIGRSARAAPTPGSGALPGWPPPPPPASGRRSMGLSPSSLYKSSSPNSPPRRSRSLSPMALPPPPPQHQMPRPAQLAEGGSGSSSSSPSYSFPPPARDYTQDLPDEILALVFASLTPAERSACALACTRWKEVDATTRHRLSLDARAGLGHAAPALFARFTAVTKLALRSARGSGADSLGDDGAAAVAAALPSDRLGRLKLRGLRQLSDSGLASLAAAVPALRKLSVASCTFGPKAFVAVLQACPLLEDLSVKRLRGLPDTAGAATAITEEIKFPPALSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGAWDLPLEVITACAPGLVELHLEKLQVGDRGLAALSACRNLEVLFLVKTPECTDSGIISVAEKCHKLRKLHIDGWRTNRIGDFGLMAVARGCPDLQELVLIGVNPTVLSLRMLGEHCRSLERLALCGCETVGDAEIICLAERCAALKKLCMKGCPVSDRGMESLNRGCPSLVKVKLKRCRGVSSECIESLKAQITELADQMAGMDLPANAAGAQSSSHAINRMRSVVYAIRRLAALFTAAVPATVGASCPTKKPSTLDSMDACARHGCRQTTLADASCQPDQSSSARHTLVGTRERIYAYHHHTKFCQVKQAINGGFKPTWSTLIIAGEPDARMHVGELLFHPPPAVQPACWLATIAASSTSSSAAGYEYDPGKAPFVNRFDSAKASNDRCSRQSTGIRFRFTSAESFAGVRLCLSPRLHIASMDSRGYLIVDELLSEGTSCTLLQLTSFRRAEDAGKKNGSHIACTSPPRHALHIIACLALVSLSLSPCRAQDQSSRRHTPSSGQARANPIRRPPPMVAVFNKEVLSWYLLTIKLREAVDANLQKSPPPQPQQRPRDLPLPLTNGAASPPPPPPQPQGRGTPPRSRAQSPAHSPKPQDSEWVISIRDKLAQARAEEAACPWARLSVYRVPKCLRDGDERAYTPQAVSIGPLHHGRRRLREMDRHKWRALHHVLKRTGHDVTAYLAAVRALEDRVRACYEGRAAGMGSNDLAECLVLDGTFVLELFRGALDGGKGFVDELGYSRHDPIFAMRGAMHAVRNDMILLENQVPLFVLDLLLGIQLGNPDQTGAVASLAVRFFDPLMPTDAPLLRKDRSKLESSFGAAAEAAFDPLSDPMLHCLDVFRRSLLRAGLPPMPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIKFDNGVLQIPRILIHDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDAEVADLFNRLCAEVVFDINDSYLSGLSDQVNRYYEYKWSTWVASLQHNYFSNPWAIVSVVAAVFLLLLTMLQTFYSAYSYYRPPQ >Dexi3A01G0024920.1:cds pep primary_assembly:Fonio_CM05836:3A:20537047:20543185:-1 gene:Dexi3A01G0024920 transcript:Dexi3A01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQLMKAAVAARNAFAAAHSAYACSLRDTGAALSEFAHGEGVPPPPLPSEAAAAADAAAPGGAAQGGAAAGVAGPTEASGADGIMPPPPPLEPLPPPPPPLPDFSPSPAKIHRSISMPMPASAGNRNPAMLHADSIREEDEEAAEREEEEEDDEHLDHGRQRLRHQPPVPPPVSPPPPETPVTPQPPPPPPPPSSDLKSGVDTWDYFFSMDEGMASIAPDDDEIMAEPGEDKYVPPSPPRPPPSPPPPAPVPLSEEFDEEPRTPEMVTPPPSLPPKPPKNSSKKKKGKGKLKAAHHQHTESAPPVTLGGGKAGKVVPAEMPRVDLLRVLAEIDERFLKASQSAGEVSKVLEANRMHYHSNFADNRGHIDHSARVMKIITWNRSFKGMQNGEDGKDDFENDEWETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKHNAAIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHMHHANQLNTVLKLKSVDISDSSIETSDYHHSHTRQLRDIVDKWITNFTDLMSYQKEYINALYGWLKLNLIPIESSLKEKVASPPRMQQPLIKAFLQAWNEHLTKLPDDLAKTAIVSFRAVLETILGVQDEELKQKELCEQTRREYARKARAFEDWYHKHSQRRAFDVDPETGEGTGQEDAITEKRFAVESLKSKLDNEIESHNKLSKQVREKSLSILKAHLPELFRALADFSNASFDMYSKLRLMSLMQDQGNN >Dexi1A01G0022050.1:cds pep primary_assembly:Fonio_CM05836:1A:28771549:28772474:-1 gene:Dexi1A01G0022050 transcript:Dexi1A01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKWSHSMICNVMRSSMGIKWYYVIVAYVIAPLLGFANSYGTGLTDINMAYNYGKIALFIFSAWAGKDNGVIAGLAGGTLVKQLVMASADLMHDFKTGHLTMTSPRSLLVAQFIGTAMGCVVAPLTFLLFYNAFDIGNPNGYWKAPYGLIYRNMAILGVEGFSVLPKHCLSLSAGFFAFAFILSVSRDVVPRKYEKFVPLPMAMAVPFLVGGSFAIDMCVGSLIVFVWNKINKKEAAFMVPAVASGLLCGDGIWTFPSSILALAKIKPPVCMKFTPGS >Dexi5A01G0017160.1:cds pep primary_assembly:Fonio_CM05836:5A:20388466:20388917:1 gene:Dexi5A01G0017160 transcript:Dexi5A01G0017160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEQKYQEMKSFIDTQKAANCSSISKLQACLHHVEELVASADADFCRIAAAPWQSEVGVG >Dexi5B01G0015250.1:cds pep primary_assembly:Fonio_CM05836:5B:12256301:12260586:1 gene:Dexi5B01G0015250 transcript:Dexi5B01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAARLLRRPSSSKRQQLSFAILLVFFVQSWSCYDYAGAVGYSEQESDRVRFLPGQPTSPPVSQFAGYVTVNERNGRALFYWFFEAQTSPARKPLLLWLNGGPGCSSVGYGAASELGPLRVSRYGAGLEFNKFAWNREANLLFLESPVGVGFSYTNTSSDLKRLDDAFVAEDAYNFLVNWLKRFPQYKGREFYISGESYAGHYVPQLAELVYDRNKDRTSTYINLKGFTVGNPLTDDCYDSKGLAEYAWSHSVVSDEVYERIKKVCNFRISNWTDECDKAMSIVFSQYHEIDIYNIYAPRCNLPQSSTAPFVDQALSANNHEHFRRRIRMFSGYDPCYSSYAEKYFNKADVQRAFHANVSGSRKYQVCSGDADGRVPVIGSRYCVEALGLPIKTQWQPWYLNKQVAGRFVEYQGMTMATIRGAGHLVPLNKPAEGFALIDTFLLGKQLPTHR >Dexi9B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:9B:4511697:4513659:-1 gene:Dexi9B01G0007390 transcript:Dexi9B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLVELRRLPLPHLRGGEVVLPPIAWRGRSASTVAPADDELAGKSAYEVLGVGETSSNAEIKASFHRLAKETHPDVAAAAGSGIVVPRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVDSMDVLPDCFEAEERSVFETPELLHLVSGRDLFGIVSVAYSVKELSYACHEKLTQSGFRASGFTPNVSGNGNKDPVSMNPVDVHKKDKEHEDDIPSSDAFKDIELRICGKVVATASRSPKCNCIDKSDIEDHIHG >Dexi3A01G0033170.1:cds pep primary_assembly:Fonio_CM05836:3A:37940068:37940418:-1 gene:Dexi3A01G0033170 transcript:Dexi3A01G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAHATVDDGGVVRGPPESEGFQPGSRATAAAPAPAVGTTTTASHRWLCRVCQVECGEREVFREHCGSDEHFDGLQAFGLSPDLFLESIKQMIIRPSKSCISVTTASKQTPTTGL >Dexi6B01G0005530.1:cds pep primary_assembly:Fonio_CM05836:6B:4789515:4790476:1 gene:Dexi6B01G0005530 transcript:Dexi6B01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARAATTAAASKPKPNPKPRSRSKPKAKPSPASLLSGGSSPSSAGGKSPPADLSFLSPSRSPAKPRTRSSPIASPAASPLAAPAAMSTIGDLRSLAASHLDSLKRRLDALHGDSVRDLEASHSRLSKRVKVGGPKTEMQTHGCLQLAEEADKEHKKVADKITERTEVVKTSYKKFVAEVQASTSRVCKVTVMAKSAERAIDGLRSRYNISATIA >Dexi2B01G0025960.1:cds pep primary_assembly:Fonio_CM05836:2B:35136348:35138835:1 gene:Dexi2B01G0025960 transcript:Dexi2B01G0025960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSADPSRLSGDSSPSSPNSSASSSPSSSGAADAAAANLALIASTSAGGNDADADIPTSPHSGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQEETSGTGAASSSSPAPAPAPRCQDSRTGCLASLTIKLIPSANAFCVTDFVAEHNHQLASAAPAVSLALLPPSSSNHSIAAAASLPNPRDGPHVDMHFETEEDAYVFYNRYAEHVGFSVRRSYKKRKRGIIVSRIFVCSREAAEVWPGSNHCTSVWHIYHNSKRHLKQLFEISKSFSNALSHCLFDYEDEMEFLSTWEKLTEKYEICENEWLNRLFMEREKWALPYQRTIFSADILSTLQKDNMINELKRELSEQEDILQFLRRYEAILEEHRSRKLHADVDGSQVILIWEIMLLLQAVK >Dexi2A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:2A:12319043:12320916:-1 gene:Dexi2A01G0010920 transcript:Dexi2A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWLCCNCHFDDEEDDRDKEQYKAQSNKIDSKQKSSKPPVSQPEPEISPPTIDVPQLSLDDMKEKTDNFGSSALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPE >Dexi3B01G0020120.1:cds pep primary_assembly:Fonio_CM05836:3B:15130061:15136335:1 gene:Dexi3B01G0020120 transcript:Dexi3B01G0020120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGGAEFHGFRGAAAQLPRSRMLGRPVRVAPPAAAPAGGGASSGSIRAVSTPVKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINESAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDILFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTAAGDNSVDILTNDIGVVVVSDDAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEAEKYYGKKFESFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITTALAQAGLLEPKDVDPLNLTAMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMNKVNLDDIEKVLEPLFSYWNSTRQEGESFGSFTNRTVSGSCSLGLCCYSYYPIRAMAHWIEKLSLAGAAAAGALAAAAAPAPSPQAAKLRRGEWRAKARPGSLRATPAAASGLSMRPSARRPRSTVLVVIKSGRLVRSSFKSMVGVP >DexiUA01G0012840.1:cds pep primary_assembly:Fonio_CM05836:UA:26678387:26680273:-1 gene:DexiUA01G0012840 transcript:DexiUA01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGRSMEDVRATYSIGKELGRGQFGVTHLCTHRGDIKPENFRLLSKAEDVPLKATDFGPLRLREVFRDIVGSAYYIAPEVLKMRYGPEADIWSVGVMLSTSSSPACLHSGQEDGDAPDTPPLDNVVLNRLKKFRAMNQFKKAALRIIAGCLSEKITRLKEMFKNIDKDNSGTIKLQELKNGLAKHGTKLSDTEIQQVMEAWE >Dexi4A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:4A:941408:942385:-1 gene:Dexi4A01G0001480 transcript:Dexi4A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSIPPFPLLDDDDEGTRHEQEEEEEDPLPHGGVLELPTVDLESPGEALPAACRRLGVFRLANHSVPGDLTAKLFARARNLLGRTPFSDKHAQPGYFWATPALAGLRVKDVSWVEGFHVPLARPIIADPPPSDELAALEDLAREYGAHMARVARKVFDALAGELGLDADKTAAYLAEHDGFLRVYRYPPCPEEGHLGMEAHTDSSVLSVIAQEDLVLHDGAWRDVAPGAPGTLLVNLGDMARAISGDAWRSVRHRVGASRGAEARLSLCYFAFPRDDAVIACDGSRYRPFTHAEFREQVKADIKATGSKVGLERFLRH >Dexi2A01G0023780.1:cds pep primary_assembly:Fonio_CM05836:2A:35489502:35492385:1 gene:Dexi2A01G0023780 transcript:Dexi2A01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPKRRPTGASGSGASTSSKRDPEEESVSASEESGDDEVRWVSSLFPAFARESRIAYRSSLQPQEVSSSSGSESDSESDGEDAEREQELERALADVPFGELQRARADGSLAARAASAAKAAAEKKARRASKKRPMEISTKVRPPPKYKEVMQVPKKVVRDPRFEPIYGSVDKEGCALHIWFDWLLTLDKQLKLHPQKNVESEILREHIKKEREAAKAGKQPYYLKNSELRERMLMNKYKELKEAGKLDAFMERRRRKNASKDHRYMPYRRNGDGA >Dexi8A01G0007070.1:cds pep primary_assembly:Fonio_CM05836:8A:7634060:7637772:1 gene:Dexi8A01G0007070 transcript:Dexi8A01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCIPARTATVSRPRLSSLQHEQAAGAQAPKAAGKGSAQRQRVAKKGDNALLAASHTGSGGEPRYAATPAPSMPWQHRTIVHHHDSSILMISFSRVLCCINSSPRVDERRRRLLLVLLHRRRRQGLCSGPLVPGLPTEMQRTSLVQREREDGGSRRRRAKATLGGGVEQTRDTDIGEKIQAWNALPAPLCYPLSPCPLLPTSLRCLGDMPRAAI >Dexi5B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:5B:998841:1001716:-1 gene:Dexi5B01G0001570 transcript:Dexi5B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVGELRSEEVIAEFDRLTRNAAAVQLETLRQILDGNAGAEYLQRHGLAGRTDPDAFRTCLPVATHDDLEPYIRRVADDGDTSGVLTAKPITSISLSSGTTQGKRKYLPFNHELFKFTMHVYRTSFAFRHRAFPVVDDGKSLQFIYGSRQLTTKGGLTATTATTNLYLNEEYKAAVRDIQLPCCSPDEVIFAPDFAESLYCHLLCGLLAAGEVRLVFSMFGHNLALAFETLQQVWEDLCLDIRHGGAPSPARVTDPAVRRAVSALLAAPDPDLADEVARRCAALAGDGWYGVIPALWPNARYVHTIVTGSMEHYVKKLRHNAGGLPLVAMDYGASEGMVGANVEPEMPPESATFAVLPNVAYFEFIPLKTINDVAACPPDASYAAAEPVGLTEVTVGEHYEVVMTTFAGDVVKVTGFYNSTPKLKFVCRGILTLSINVDKNSEQDVQLAIHRATKLVLAAKQRLEVLEYTSYADVSSDPGHYVIFWELNNAEGNNDDGVLQSCCDEVDRGFVDAGYVSSRKTNGIGPLELRVVRPGTFQKF >Dexi9A01G0030760.1:cds pep primary_assembly:Fonio_CM05836:9A:35684324:35685128:-1 gene:Dexi9A01G0030760 transcript:Dexi9A01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFVLRVKLALSFKGLSYEYVEENLRDKSELLLKSSPVQKKVPVLIHNGKPICESQIIVQYLDEVYSTTGPSFLPVDPYERAMARFWAAFIDDKFLASWLKAGRGKTEHEKAEGLRETFAAVETLERAFKECSKGKPFFGGDSVGYLDIALGALVAWMRTAEVRHGIRLFDASRSPLLEKWVERFGKLEEVVRVMPDIDRLVEHAKLREAEVAAAAANN >Dexi3A01G0017260.1:cds pep primary_assembly:Fonio_CM05836:3A:13169512:13171772:-1 gene:Dexi3A01G0017260 transcript:Dexi3A01G0017260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSARALALALAVLLACFDVAVVTAQDTERIEGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQTHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIGVFVAEEDVPRLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGDNVFLKPGERILNWTAGPPGDLKPW >Dexi8A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:8A:102313:107455:-1 gene:Dexi8A01G0000120 transcript:Dexi8A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRGREPAKPRGPAVDARWARPAGSEACRSREGRELRMERGRKLERAANGLCREASRGRGVPSCSRGGGVGGGGASMWLLAASPSSCASGRASPCCSSGGRIRACSDGGGGGAERGGGRKRGWRRRRRLLAASHGGGAGDRGGRRCSEEGDGVLFQRQQPPPPRVPGTVEESEDGLVSLALICPFSRMRSHLGLEGEVKPETVPEETVLAVADVLRRSSSFRVSEDGKQVSGVVFCSYIRGWAREARTLSSYRSTDPSPLKLEAPTHCVEWQHALSVESNMENKTVLDTGKLPALEGASRIESRTNTILKDHLANLMPFSEEWLAVMESRGEEVLEQKTGAL >Dexi4B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:4B:19106128:19112636:-1 gene:Dexi4B01G0016960 transcript:Dexi4B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGWPRAGGNRRAPLPSRRFLPCRGGRPVPPSWFQDTAASSGASAGGGGGGGGGGTMGEKEASLAHTPTWVVATVCLVIVSVSLVAERFLHYLGKYLKHKEQTALFSALQRLKEELMLLGFISFVLSLSQDFIVHICIPETATNFMLPCKRENHRVEEGSKICTKKGDVPLLSLEALHQLHIFIFVLGLVHVIFCATTILLGGAKMRKWKHWEKEINQEIKEKLRQMKIEGKDYAPSSAVLHRNHQGEFVSERTKGFWMKLPGVSWITSFLKQFHDSVSKSDYEALRSAFVLIHYPNKPDFDFHKYMMRALEHEFKRVVGISWYLWLFVIFFLLLNINGWHTYFWLAFLPLFLLLIVGAKLEHIITRLAQEAATSLETEGVPKIKPSKDHFWFSNPGLVLHLIHFILFQNSFEIGFFFWVLVSEGFHSCMMERKAYAISRLAIGVIIEVICSYITLPLYAIVTHMGGEIKLHGFGSDVHESVYGWITGRKKTFLNKTGGDPGSGGEGKVIRAAPNELTGSSRNMLTTTPPPPPPPDLDEIVTVDDVDHGGLRR >Dexi5B01G0030130.1:cds pep primary_assembly:Fonio_CM05836:5B:31137844:31138836:1 gene:Dexi5B01G0030130 transcript:Dexi5B01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGERSFSAKRLNLSPAAAPNHASTHPKFTQPRRGNLTTSKQICLLPEIQSKGVQVGGSNLVEIRDHEQGVDELSRADAQHQQIGVNRQFTTPDG >Dexi5A01G0005430.1:cds pep primary_assembly:Fonio_CM05836:5A:4046860:4048809:-1 gene:Dexi5A01G0005430 transcript:Dexi5A01G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFAPDAWAWITSLPQFSQWPTTAMSLCICTTSSASASSQPTMNLSVVKNPPTPQPSYVTFSIFANYSVPITLWTSKPIHLKTNTQQTLDEQDMIQVFVDIVNSVLKYSPDKKSPFRFPRAQSHGNFKDMFNLVFLSMAFLVCIYEAPRDLRPGCLDTLRVQLTGSKCRGAAKNLVKILGANLEDQWMQTMNLAVTNWIIELRSSNHSFGVPSPLFSYAISASGLWKVQLYCPVIAMVMKEPAETTQDERLLFSLIYQQVECVIQLAYRTVRRNNWIDVEVKVDNIRCDVDSLVSETLMAERGYGSKEKHFPSRVMLQITPMQQSDVLSVSVGKSNDNPTHEFGVEKGFEGSFDPPKSFGLKASVTESLTLAMRPWKFEQSVHGNTATLNWSLHDGVNGSEVYSSKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFACDEYGDSVWWKICGATLGKTMNWEIRGWIWLTYWPNQQRTFHSETRWLEFRECLQLPLTNFS >Dexi4B01G0016900.1:cds pep primary_assembly:Fonio_CM05836:4B:19047862:19049842:-1 gene:Dexi4B01G0016900 transcript:Dexi4B01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGRQDTHKRSFHGSKLEAKMVDAMQQRALHGTSLRSFDSIIMKFPKIDESFRKCKSIFEQFDEDSNGEIDKEELKHCFQKLEISFTEEEICDLFEACDINEDMGMEFNEFIVFLCLVYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKDEMIQAINETTTGERSSGRIAMKRFEEMDWDKNGMVSFKEFLFAFTRWVGIDENEDDDE >DexiUA01G0015490.1:cds pep primary_assembly:Fonio_CM05836:UA:32405235:32406122:-1 gene:DexiUA01G0015490 transcript:DexiUA01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALADLASYPNDVSFSDCLGWEGFPSILWSVLQALGYPTPPQYERTIIRDRGVVRSRVRLVVHRHPPCPSSPTWTVEVHGHHMETTCELAALNGISSFVAQNQELVEHQLLGLFPQTQPDDPHWMRRYLSSPLRMAENPVAAAALMMRWVRAYHRLQALLGRSQSEMLNIDMDMSARARDIGVERTSLSIEVTTRDAMIADLERQLNDLHIAHNNTQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLLDQEGGDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi5A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:5A:13519669:13520385:1 gene:Dexi5A01G0015710 transcript:Dexi5A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARIFGSNDTEGNTKRVVGTYFGVLLLEILSGKRNSGFYQFGDFLNLLGYTWHLWEEGRWLELVEASISMEMHAAEARRYTYIALMCVQENADDRPTMSDIVAALNSESVVLPQPKHPAYFNLRVSKAEESTTAVERYSLNEVTITQDPEGR >Dexi8B01G0009070.1:cds pep primary_assembly:Fonio_CM05836:8B:15416628:15418010:-1 gene:Dexi8B01G0009070 transcript:Dexi8B01G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYIGKHTRLTAAFMLLLAVVIVMGNILIEVEGRDLFAGVYGEETMKARHQKWMAQHGRAYSGEVEKAQRFRVFMANAAFVDRANAKGGKYRLAINMFADMTNEEFVAMYTGFRPVPTGVKKIPGFKYENFTLSDDQQTVDWRQKAIEGIHQISTGNLISLSEQQVLDCSTNGNNNGCNGGFMDNAFQYVINNGGLTTEDAYPYNAMQSMCQTSVQPAVTISGYQDVPSEDVGALAAAVANQPVSVGIDAHSFQFYNGGVMTGESCGTSLNHAVTAVGYGTAEDGSPYWLLKNQWGQNWGEGGYMRLERGTGACGVAKQAS >Dexi4B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:4B:14024132:14027396:-1 gene:Dexi4B01G0013850 transcript:Dexi4B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSDYANYTVVMPPTPDNQPYGGGGGAPSSTSTGGTKPDDFPLPPYGPKLVNRRGGAGGDDAPGAASGKMDRRLSTARLPAPSKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWAQDNNVYADDEDGGGGGGPVKMEDLVVKPWKPLSRKVPIPPGVLSPYRLLVLVRFISLFLFLIWRVTNPNLDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLAALREKFESVTPTNPTGRSDLPGLDVFISTADPYKEPPLTTANSLLSILGTEYPVEKLFVYISDDGSALLTFEAMAEACEFAKVWVPFCRKHAIEPRNPDSYFNQKSDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKLARDKAAAATPDAPAADATTVKATWMADGTHWPGTWLDSAPDHAKGDHASIVQVMIKNPHYDVVHGDAGSHPYLDFTGVDVRVPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCTAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFSPPRTSEYRGIYGQLKVPIDAHGHSSGAAVAEELRPLSEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVISCWYEDGTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASQRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITVTLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAADDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRAVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRITQGGIDV >DexiUA01G0004980.1:cds pep primary_assembly:Fonio_CM05836:UA:9102758:9105875:-1 gene:DexiUA01G0004980 transcript:DexiUA01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIMTEEALYTGLLIRDLQIPSGFFCFWMLIGDGKTKKVCANLSYSHVIYDPPLAIISSYFGQYATNMTAPFGMFAWSGVFLATAGLVMFYKCSRKDPGFININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKIVRPVRSKHCATCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLVLEVLAMIITGIVRDPDSPSSFGAWIHYSAFQHPWVVSFLAMDFFLFFGVAVLTVVQAQQ >Dexi8B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:8B:1896927:1897510:-1 gene:Dexi8B01G0002730 transcript:Dexi8B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVRFKEGVVVEDVLKGMADLVAEMDMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASADDLAAYMGHEKHAAFAATFMAALEKVVVVDFPVVIAKPPPPACSI >Dexi5A01G0025730.1:cds pep primary_assembly:Fonio_CM05836:5A:29528902:29529201:-1 gene:Dexi5A01G0025730 transcript:Dexi5A01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQGASRCAAAWAPAAERRPFTEPIEIPGVVVSGARAYDRAEEEDQNGEVVPPHVLLARRRAAAAASSVCSGQGRTLKGRDLRRVRESVLRMTGFIES >Dexi5A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:5A:22567801:22572704:-1 gene:Dexi5A01G0019110 transcript:Dexi5A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQPPPLITKEAVMAQMVSNSVDAELLDPAMDLVYELLRIFLPRPPVSAAASFSAHSSSPGGGSEDRLSALPDEILRNVISRLPAKDAGRTALLSSRWRPLWCSAPLALVDSHLLKSAGGEDSPPRAGSGSVVAAVSRILEAHQGPFRCVHLTHSSMGAHRAELALWLRLLAVKGVEELVFVNCPWPMDFPLPDTIFCLPSAKSLYLGAWRFPNTAALPRGTAFPHLLELSLGCMAMEDRDLDFILARSPVLESLVLYSSQKVVNFRIISRSLRCVQVCMCIVRDISVVNAPRLERLFLWEMIPRPCRHKVRTRVKIDHAPNLCLVGYLVPGVHTLEIGDTIIKVETKASPRTIVPTVKTLALMVHFEVRNEAKMIPSFLRCFPNTEILHIKPAPTKTVSNPLRPARHGQARRGRRSYAAAAAAASSLSSAVAEAAPSDGVDRISALPDDILRDVVSRLPARDGACTAALASRWRGLWRSAPLVLRDSDFLLACPSDPDRARAAVGRVLADHPGPFNKVELTCCAFGSLERELEEWARLLAAKDVRDLILLDMEDFSPGLVWPLPDDILSCASLQRLVLGYWIFPDIAAAVRRGTDVAFPLIKELLLFNTSMTEQDLERMLVCSPSLKTLTLLFSRWPQRILLKGPNLRCMLLWSSLAEELAVVDAPLLERLILWKTSADSKSGDNVQMVVKIDRASKLRVLGYLEPRVHKLQIGNIVINAETKARPSSMVASVKVLALKVNLGVQEDINMLAGFLECFPNVETLHIESSILGETIGMDYVKFWREVRPIECLKSHVKKIVIHEFQGARSEFEFLEFIAMCVENLQLMLLVLTKEKSASTDEVDEVKCQMGIRFQCQWLWATEEIRMMLRGSEEENDLCFPEHLS >Dexi9A01G0041320.1:cds pep primary_assembly:Fonio_CM05836:9A:44958662:44960481:1 gene:Dexi9A01G0041320 transcript:Dexi9A01G0041320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHLYTLFVILTASFLCLLRTFVFTGGRRNLPPGPYPLPLIGNLLDLGSHPHRSLARLAARHGPLMALRLGAVTTVVASSADAARDVLQRHDAALSARSVPDVTRACAYDEHSMGWLPPESPRWRAMRKVCSAELFAPRRLDAHQSLRRDKVERLVSHVARLAREGAPVDVGRVAFTTMLNLLSCTIFSTDLADLGDRGASGVFKAVIEEFTVAVAVPNASDLFPVLAPLDLQRLRTRLEKVFKRLHAIFDEQIEQRLQERAAGEDPKNDFLDSLLDYRGAEDGRGFSRQTLLSLLTDLFSAGSDTSATTIEWMMAELLQNLSSMVKAKDELKQVIGSKQEIEESDISQLKYLQAIVKETFRLHPPAPLLLPRQAEAATEIRGYTVPRGARVLVNVWAIGQDPELWSEPEKFMPERFLEKEMDFRGKNFELLPFGSGRRICPGMPLADRMVHLILANLLHRFEWGLPADIEMTGVDMFGEYWGWQRHSKL >Dexi7B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:7B:12014939:12025085:1 gene:Dexi7B01G0004960 transcript:Dexi7B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPASILGTLGDFTSRENWDKFFALRGTGDNFEWYAEWPSLRDPLLALVGDRGAAAEAGAAAPEILVPACGSSALSEQLYDAGFRRITNVDFSRVVVADMLRRHARARPEMRWRIMDMTNMQFADGSFDVILDKGGLDALMEPGAGTKLGTKYLNEAKRVLKSGGKFVCLTLAESHVLALLLSEFRFGWDMNIQAIASESSKKSAFQTFMVIIVKGKMGVVQTIKSSLDQSAEYCNMKQANAVIRALGNENIIRESYSSGIDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQETSLYCYKAVLLDAKKQTETFVYHCGVFIVPKARAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHTNIDMDIIKVKDLSPLVKDLEPGNPEEEAPIPFMMAGDGVRQREILEKATSEITGPMVVEDVVYENTDGDQGSISEKMFRRLIFGRSSGLVQSEALLIRDAQIDEADKKNKSASGTSKKRRNQKKGSKNSLRIDYSFLGSSYHSSIISGLSLVASTLSAASASGQKVSTTVIGLGAGCFPMFLRGCLPFIDIEVVELDPLVAELAKKYFGLSVDEQLKVHLEDGIKFIEDSVANRSVSNGSTSDAIKILIIDVDSSDLSSGLSCPPENFVEDPFLQKAKEFLSEGGLFIINLVSRSSSVREMVVSRLKAVFEHLYSLQLEEDINEVLFASPSERYLDISNLDTAVSKLKDLLKFPVDVESDIKKLQSLQ >Dexi2B01G0001840.1:cds pep primary_assembly:Fonio_CM05836:2B:1416591:1417639:1 gene:Dexi2B01G0001840 transcript:Dexi2B01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAPPELNRDAVAEILLRVPPDEPSDLFRVSLVCKPWLRIASDPTFLRRYRAFHQGAPLLGFFYNVACWNYSCPFVPTTAASPLPLPAYDDDHDWWVLDCRHGRVLLEENFVVWDPVTGHREELPALGFRYSSYSALVLCPVAGCQHHDCHGGPFSVVFLGNDNKYAAIRACVYSSETRAWGTPDSAHLGGGHMSSLKRVALVGDEIYCLVDLGSRILKYDLAKHYFSLISLPCKFENGPVLMQNEDGSLGLAGSDGSTLHIWSRMANADGITEWELQRAIKLKVLRKADVIDYAEGLGVFIMSTRFGAFTFELKSGRVWL >Dexi2B01G0021260.1:cds pep primary_assembly:Fonio_CM05836:2B:31120429:31121836:-1 gene:Dexi2B01G0021260 transcript:Dexi2B01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLFSMEHPWASAFGILGNIVSFLVFLAPMPTFLRVYRKKSTEGFSSVPYVVALFSCMLWILYALVKTNSSPLLTINAFGCVVESVYILLYLVYAPRAARLRALASFLLLDVAAFSLIVVVTVVLVAEPHRVKVLGTICLAFSMAVFVAPMSVIFVVIRTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCIQMVLYCCYRKPKSPSVVLPTTGPTAAQQAAEMELPLAALDAVAVLPACAVPVLAVASGLQKLEEAATGSPRKGSFKAI >Dexi7B01G0008980.1:cds pep primary_assembly:Fonio_CM05836:7B:16695044:16699457:1 gene:Dexi7B01G0008980 transcript:Dexi7B01G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIEAHPTTSSLSFPPSTPRALLPHTTLSSAAAAAAAMQMAATTTDTQAAVLPHHPHAHPHALPQHAHPHHHMPQPRWVVIPYPPPPPMVAAPPPPPQFAKHFAAGPPPPPQAAAGRRTPTPPAAGSGGNGCEENKTIWVGDLQYWMDENYLHNCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFFTHASAEKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAADVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMSEMNGVFCSTRPIRIGPATPRRSSGILFFSNLKVYSLLSFYHKLYYFVLSMVQLVILAPRLLVIQMEILLTERDINIFDCVSRTDAEEALQGLHGSVIGKQAVRLSWGRSPSHKQSRGDSGSRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPFYGGNQQLVS >DexiUA01G0003290.1:cds pep primary_assembly:Fonio_CM05836:UA:6625265:6625555:1 gene:DexiUA01G0003290 transcript:DexiUA01G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYYRALPQGATTVEEFRAWLSQFDADGDGRISREELEEALRSLNLWFAWWKAREAMRAVDANGNGAVDDDEMGRLYAFAHKHLHLKMSQLEE >Dexi9A01G0005530.1:cds pep primary_assembly:Fonio_CM05836:9A:3079593:3080357:-1 gene:Dexi9A01G0005530 transcript:Dexi9A01G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAHYAVRGLALAMAAVLLSACRCAAASSGCMPELVSLSPCMDYLSGNVTTPDGPCCSALSGMLRSSPGCLCTVVLGATPASLGVAVDGARVTQLPAACKVQATPASQCNATGVATPSPATPGTTAPGPGGPAAASPSDYGATPAGSGSKATPASTLPSSDSDGSTTGRPGTAFVLAAAALTFLQRF >Dexi6B01G0008470.1:cds pep primary_assembly:Fonio_CM05836:6B:10701386:10701776:1 gene:Dexi6B01G0008470 transcript:Dexi6B01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEFAPDAKVKARRTLNPSIFHADIIRIGAWLVTFIHKLDIQV >Dexi3A01G0024080.1:cds pep primary_assembly:Fonio_CM05836:3A:19694872:19695538:-1 gene:Dexi3A01G0024080 transcript:Dexi3A01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCVRLLDSLEEYTSTRQQKEEEKRRLRELKKLQEQFSVEHGAKFGTKPSPIRSHPARKPLGQSTTANIAVGTPTSRRVTTPMSRKGGLSSGKVKEATKSTVGPANYVALPKDCSDNSSF >Dexi3B01G0032140.1:cds pep primary_assembly:Fonio_CM05836:3B:34548571:34549439:1 gene:Dexi3B01G0032140 transcript:Dexi3B01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQCMDDNDNDNEHTRRRRAPVLSPPYPHRAAASPVSGGHHARPLPLAGARSYRAGDGAPAFLDRLLPSCSARISRPLILWLFSFASVMVLVGLTAYQAS >Dexi3B01G0009910.1:cds pep primary_assembly:Fonio_CM05836:3B:6860968:6866685:1 gene:Dexi3B01G0009910 transcript:Dexi3B01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLRVIGNEARLLRGVEEHVQFIKEEMQSMNSFLLHLARTAPPGGEHDEQVRTWMGQVRLLATDCSSCIDRYVYFSSSDIHLGRGGLRRFLLWAPWFLNKTVAQHRAAVELRALKERAREVGERRQRYDVRIPEKHHLAEAGLTSPLPWAEADADDAAGVDEEDDFVLRDDQSGVAQGMPPHLLGHAGRVAILKPRTLDDYFQSKVPELMARDDSDDTMVSAAVMAAPGADQEAVDLAWEALAVEEGRHTVVVVDIPRVHMTFQPLRPTDILYYILRELQPDMVEVTREEELYWLLKKQEKIERAKESLLLGISQKVQEAMAKLDKIKKDIQDKDKHKLLGDVEETQDDCEPDQPKEDCPLVQKPIDELLLLLLLLQSAAPPDQARSKAMRKLATWRDHIFRIAAERLKMLVEFEAVDLAQQQQLQGKFYDARYKYIMVKVFLKAGGSGTGPQEQDSTVAGQIKEMISNVREMIHGVQEGQGRDGPVIPQDVFAETEKKMEQIKRMIQDLMENKWITDKIVDNLCSKNIDDDHLRGINRPMLVILRIGEKINGSTWEENRYALTLLMEHVAGALVVTTTKSTHQAREYCDPKAKEPIEFSPVGLYYDTVLQLTSRHKNQDCCSPKIIRGILHECEPYEFCMKIFAHTFYAKPKRSNEELSKLCSNLQGVSPKSFANVALKVIKFAYNDLPKEYKSCLLYLAIFPQGCNIRRSTLIARWVIEGLITTDEWPTSVRRAEQCFDTLIDRWLVYPGDIGGTGKVKSCIVDDRVHKFITMIAKKQHIVDTRLSLKLAHHFSIFSDIRLRLSDKIENFLQMLSKLYHFSQLKVLDLEGCKCFGKKNKHYLKDICCNLLLLKYLNLKDTDIIELPIEINNLHELEVLDIRQTNVRQSATRKIRLLKLKRLLTGHIDPCAGSVLVPEKIGKMEDMEVLLGVKPWNGEDLKGIGRLCHLRKLGVVISKDSNLQDLLHEIGNLYEKLKSLSITLPPATELKSTPSSTEGYGLNCPYPPKALKTLSINGTTKMELLPLKTNENNQLTELPLSYTTELLQSLLANGTKQLTKVALRNTLLSHKDMEVLSKLPMLRSVRFRQVRYTETRLTFKKDEFKTLEYFLLNGSNMTDIIFDDEATCKLEKIVLSLGDGLKLSGVNKLPRLEEIELSDNNFSGSYNRSAGTTTIATNINKKMLLSLLDDAKQITKVTLRSAMLKLEDLQILAKMPNVRRLMLLEKSYDESQLTFNKNEFPKLNVLIVNCPGITQISFTAGSCPKLEKFVWTSTKMESLSSIGNLPRLKEIEFKGEFISKEVKEDINKHKNRIYFTHYKLENKD >Dexi3A01G0025480.1:cds pep primary_assembly:Fonio_CM05836:3A:21189128:21189863:-1 gene:Dexi3A01G0025480 transcript:Dexi3A01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSRGGGEDVGVRSAEKKSLRSRRDRTPGSSLPLALGLGSSEQSPPGHTQHHAADGAVSEPSSSAPAAASPSAAALSRRLDLLPVLLLSTPPPPPPQQEVAAAGSPPRIALATASRLPCFLRLPCFPPPRCSSPPSSPLPDEEEDADAAAPGEEARLGFLRRRSASPSPPPPAPLGRLSPPAYMQPPRTVGAAATDDGSRASPRSIDRSTNRPID >Dexi5B01G0030290.1:cds pep primary_assembly:Fonio_CM05836:5B:31243046:31243479:-1 gene:Dexi5B01G0030290 transcript:Dexi5B01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALASPMASLSFRSGRISAAAIGGVARTGRAAPVGASASPFLRSSFVSSSSTSSTSASPASLSAAVSASLAFTSSSSFAEFS >Dexi4B01G0014470.1:cds pep primary_assembly:Fonio_CM05836:4B:15477056:15479052:-1 gene:Dexi4B01G0014470 transcript:Dexi4B01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVITIDVLRRAGADVAVASVEPGSATVAAAWGVKLAADALLADIADAEFDLISLPGGMPGSSTFRDCKLLENMVKKHVEKGKLYAAICAAPAMALGTWGLLHGLKATCYPSFMDKLPSEVHAVESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKAEEVAGPMVGIAFNIAYICQECIVFPVHCIDYMRLRNSRFHCVLY >Dexi5A01G0028570.1:cds pep primary_assembly:Fonio_CM05836:5A:31807943:31809372:1 gene:Dexi5A01G0028570 transcript:Dexi5A01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLTMGTRLMKGLSLKRLLAQWSLADLPYKPRPFSSTVSFSDIDEKDGMDGEGKIRLLQSGESDVSKSLDELS >Dexi3B01G0013820.1:cds pep primary_assembly:Fonio_CM05836:3B:9920627:9921858:1 gene:Dexi3B01G0013820 transcript:Dexi3B01G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHLAATTISSRASLHLISLHISGHHHDHMDLINRPNTHIISILLEFSQDIITFLLHQAIMIWASSFQEVSNSVWEVIHHLQLDQLPCVEVPLLRKSEAIEKMAEATSEHAKVIAEQTAAKKEKAKADKIDKYLKLMTIDISTFSDEQKARHERVLNRLTKELFPEDDL >Dexi4A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:4A:14987217:14990314:1 gene:Dexi4A01G0013900 transcript:Dexi4A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLTTTAPSSPSLLKSPIGPISLRPVSRRCMTLSVKTKFSNKQATENDQSAKKPQKANSILCKDCEGNGAIVCTQCEGKGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFMSTFEETAQ >Dexi9A01G0016610.1:cds pep primary_assembly:Fonio_CM05836:9A:11649947:11650264:-1 gene:Dexi9A01G0016610 transcript:Dexi9A01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIFRNENKYLHFNFHQDPYFEYEFWLKEIGVDGLFTDFPGSLHNFQECRMPYPKMENRETLL >Dexi5A01G0002780.1:cds pep primary_assembly:Fonio_CM05836:5A:2006856:2008998:1 gene:Dexi5A01G0002780 transcript:Dexi5A01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAPLAKDVERKLQKFVSMGKSMSMPVDRDDEDTGTALKHCASLPLVRPPLLLDGEKGNKPKRTSFDIPSSPPMNSENSKGPKARSLVKSPSSMMLLSYLNKSPLNQGSTKQKAYGPQHRPRSKSPLPSIAPSEVFREAKSSSQRFASPPPQRRGSEKSIYGKSFARQVSDMGQSPDWSSTPIVSGKHKSQKDNSWARKYSGGRRVSAVNPADDRRAQMVRMNQAVQTTVDWTLDPSKLLVGHRFASGAYSRLYRGFYDDKPVAIKFMRQPDDDDNGKTAAKLEKQYNSEINSLSHLYHKNVIELVAAYKCPPVFYIITEFLPGGSLRSYLNSTENHPIPLEKIISIALDVARGLEYIHSQGIVHRDIKPENILFDENFCVKIADFGIACEEALCDVLVEDEGTYRWMAPEMIKQKAYSRKVDVYSFGLLLWEMVSGRIPYENLTPFQVAYAVANRNLKPTIPPECPPALRPLIEQCCSLQPDKRPDFWQIVKVLEQFHSILSQGGCLDIPKSSTCQDPKKRLLHWIQKLKPAHST >Dexi5A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:5A:10785804:10788399:1 gene:Dexi5A01G0013970 transcript:Dexi5A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKELVPPVAMVLVQLGFGGMNVVSKLALDAGMSPYVLIAYRNLIAAAFLAPVAFLAERRSGVTITKKVLFQIFISSIFGATLNQVFYFVGLKSTTPTVACALTNTLPALTFVMAAALKMETVRPSTPAGQAKLVGTAVCVGGSMIIPFYKGPVLQLWASPIHWRFASASAPAAAAAAAAGHSSSGGAIVGDVLIIASCAAWAVWFVLQTKMAEEFAAPYTSTAIMCLMAGAQCVGVSAAFDRSIDVWKLGFDIRLYSVLYIGVVGSGIGFAVMSWCIQVRGPLYVSMFSPLLLVVVAIVGWAILGEKIRVGSATGSVLIVAGLYMVLWGKGREMDKPSLDKDKGDEEAGVGLGLKGNSTVASNRVDAISLPVFSATEPKQDTPLRNGSN >DexiUA01G0022030.1:cds pep primary_assembly:Fonio_CM05836:UA:45238301:45242418:1 gene:DexiUA01G0022030 transcript:DexiUA01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHARSSLHAVAAAVLLLHLLLSPATAAAAEPPYTCGAGAPPNIPFCDRSLPIDRRVDDLVARLTVEEKISQLGDESPAVARLGVPAYKWWSEALHGVSDHGRGIHLSGPLRAATSFPQVILTAASFDPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMSGKYAAVFVRGVQGYAIAGPVNSTDLEASACCKHFTAYDLENWKGVTRYVFDAKVTAQDLEDTYNPPFKSCVEDGHASGIMCSYNRVNGVPTCADYNLLSKTARQSWGFYGYITSDCDAVSIIHDAQGYAKTAEDAVADVLKAGMDVNCGSYVQQHGASALQQGKITEQDIDRALRNLFAVRMRLGLFNGDPRRNRYGNIGPDQVCTPEHQSLALEAAQDGIVLLKNDGGALPLSKSKVTSLGVIGFNANNATRLLGNYYGPPCVTVTPLQVLQGYVKDTRFAAGCNAAACNVTAIPEAVQVASSVDSVVLFMGLDQDQEREEVDRLDLTLPGQQQSLIESVANAAKKPVILVLLCGGPVDVSFAKTNPKIGAILWAGYPGEAGGLAIAQVLFGEHNPGGRLPVTWYPQDFTKVPMTDMRMRADPATGYPGRTYRFYRGPTVFDFGYGLSYSKYSHRFMASGTKPPSMSKIAGLKAVETTAAGGGAAIYDVEAIGEEACERLKFPALVRVQNHGPMEGKHPVLLFLRWPNATDGSGRPARQLIGFRSLRLGAMETAHVEFEVSPCKHFSRASEDGRKVIDQGSHFVMVGEDEFELSFMA >Dexi1B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:1B:3105379:3106312:1 gene:Dexi1B01G0003890 transcript:Dexi1B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVQDFLHEMSQKDDKVNTLVNLGFPEDEATMAVTRCGQDASISVLADSIYASQTSGYVYCGNSDHEVFFG >Dexi3B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:3B:10961731:10963251:1 gene:Dexi3B01G0015100 transcript:Dexi3B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAFESYKKALTTAASVAASLMLVRSVVNEVVPYELREMLFSGFGYLRSHMSSEHTIIVEKKNDGFTNNHIYNAVRTYLATRINTDLQQRLRVSSMDENDKMMVTMAEGEEMLDVYEGTEFKWCLICNENSSDSGNGNGGQNEVSFEVSFHKEKALKSYLPFILATAKAIKAQERTLRIYMTEYSCEWSPIDLHHPSTFDTLAMDQKLKQSIIDDLNRFIKRKDYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANLLREEGEGREKSNSTEENKGEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHIHMGYCTQESFRILANNYHAIDYHDTYPEIEKLIKEVTMTPAEVAEILMRNDDADIALHDLVDFLKSKMIEANEIKTEQEEANNQLDEKKDNRDSDKK >Dexi3A01G0008510.1:cds pep primary_assembly:Fonio_CM05836:3A:5952318:5952783:-1 gene:Dexi3A01G0008510 transcript:Dexi3A01G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVEQKMAAARELGLPIATAPGVGGAGALDPQWRQAAAAALLRRAAAHREWGERSAAVASARSLAEQAFSRQGTRGLCN >Dexi5A01G0033800.1:cds pep primary_assembly:Fonio_CM05836:5A:36042865:36043563:-1 gene:Dexi5A01G0033800 transcript:Dexi5A01G0033800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKSTTTKPNRVPSLAPSRAGNKREEELQAPPPLHEMVEHAVAMRLPVGAGEEAERALPRARRSRPSSLPHWISARGRGAMASPCSRDDEGEGEETEVEVRARVPPEKTRLAKYLVGGLATQ >Dexi2A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:2A:27361712:27364960:1 gene:Dexi2A01G0015960 transcript:Dexi2A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAATGAIAAALLRGKVTLMAASAPRVARAFPGLAAATGFPPSATSSPRLRLLRRLRTGASARSFCGVAGASAGSAATGFSAEEEGPRLQSELIFLGTGTSEGIPRVSCLTHPTETCHVCTKAAELGNPNRRRNTSILLRHATPSGTANILVDAGKFFYHSALQWFPAFGLRTVDAVIITHSHADAIGGLDCLRDWTNNVQPSVPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNVIKEEPFMVHNLEVIPLPVWHGQGYRSLGFRFADICYISDVSDIPEETYKLLENCELLIMDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNDDLARLMETEGLDIQLSYDGLRIPVRL >Dexi1A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:1A:2974445:2975794:1 gene:Dexi1A01G0004040 transcript:Dexi1A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLEVFIKKYVQCYGCGNPETEILISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGKDNKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASSKESTAKVSKKKTAAAAGSDEDHSTSPTRSRDGDNAAADEDDEDDDIEWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEETEKKKKATANGSAKAHETPEPAVTKPSPYDELVGDIKASLGSASTPTQVKAVLASSALPPQDVMNALLDALFDGVGKGFAKEVVKNKKYLAAAVADEATQTLLVQAIEAFGGKCSPEALKEVPVVLKALYDGDILEEETIVEWYNAAVAAGKKSQVVKNAKPFVEWLQSAESEEEDDE >Dexi1A01G0013220.1:cds pep primary_assembly:Fonio_CM05836:1A:15558103:15561407:-1 gene:Dexi1A01G0013220 transcript:Dexi1A01G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDIRVVRQSTDDDHLIGMNFLSADDMDARMAVQLRKRLGFGITANMHITGMHVEGKDRMLDVAFGQTLVEPNMLVIDLEKFASESTGLADPYVKGHLGPYRFQTKIHKKTLNPKWLEEFKIPITSWESLNVPNDEEEQGGTPKMGKASTPRSSFSSRTNNESESSGEFRKMSDEFEPVDIEGSEKPDVWVHRPGSDVTSTWEPRKGRPRCQDSKIQRENDACSDSPRSSVSESHRSDSSTEEPTSGKSHRHLHKVKKGLGKLAGAVFHRSPKKETDDEASPCVTPHINIQPAGESRVSVKYVVDQDPESNTNGTRTDEQQHSSPEREELNSPTKRHLRKKAAHMVKHAGKTAHNLKSMFSKKGFDKSKEECQSDEEGDVVAMKIDGVGVNPPVPSNNAVDPPESVADSKDKVQ >Dexi7A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:7A:22200405:22201884:-1 gene:Dexi7A01G0011840 transcript:Dexi7A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSLDSPRLNAASRRRFRRLVAVLAPLLLFVAAALSFPSALRLPPRLFLLAPQRQEELPPISDRRSTPPRSPPPLPPPAPPRVAVCLVGGARRFELTGPSIARHVLAALPAGATDVFLHSPLDADAYKFSLLARAAPPGVTLAAVRVFRPEPIDETPERAAVLTSANSPNGIQGLLQYFRLVEGCLDMIRERESRGNFTYAWILRTRVDGFWTGPLVPSDAFDAGGAYVVPEGSSFSGLNDRLGAGGRAISDAALSRLSMLPRLAAAGYGDLNSEGAFQAQLEEAGVQALERRFPFCVLSERAYSSRPDHRYAVPVASMASPGQLSGAKCRPCRPACRGWCAAWHASRLERGWGWTEWRDGGLELCDASGPWEDGWEALFDEAAGEEAAEARRRAERMGPKECAAEMEELKARAERWDAPSPTEMCRLGLVAEAASPAGSSSPTTRT >Dexi1A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:1A:28070905:28071162:1 gene:Dexi1A01G0021250 transcript:Dexi1A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWSSSFRNILALLAMAAARSSFVTSRRPPSWTASRIPPPPRRRRPPQQISHGEEEKLAYEKEVGRMEGGAHQRHPRVRSPRGI >Dexi8A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:8A:30230395:30233792:1 gene:Dexi8A01G0018000 transcript:Dexi8A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGAMGNIAPKLLQLLRDEYKLQKGLKEKVQSVSDELVHVNALLRKVAEVPWDQLDEQVKIWLDQLREKSYEMEDILDTFLVRVEGPVPSDKKDGKLKRKLKKKMDSLFSLFSKAKARHDIAGAIEDIMKQLKEVDERRARYKLDDVVAKAAATSRIDPRLVAMNNEVNKLIGIDKSSGELSKMLSPVGNDSNGKIKIVSVVGVGGLGKTTLAQAVYDKLNPNFGCRAFVPVGRDRDVKTVLRDILIDLDNKYMDVKYNILDERQLIKQLKDFLRSNRYFIVIDDVWSTETWNIIRMAFVENDSGSRVIVTTRKREVASMAEEVYYLQPLSDDDSKMLLYTRLYGGEDKCPLNHPAEASEKILKKCGGVPLAVITMASMLVGKSTEDWYDMCKSFYGGNEGQQIHDTEWILSLSYYDLPLYLRTCLLYLSVYPEDCLIKKDPLIWKWIAEGFVEMKTGTNLFQRGEEYFNQLINSSLIQGESSERTGTIDSCRVHDMVLDLIRDLSKKVNFVTISNDDGEGTLQRNKQVRRLAHHNRPMKQTHEDDDMGTAKVRSLVVHGCEIDSWLLHPSFKLLRVLALEGCSRDSAEDWQGLRHLGNLLHLRYLGLRATRIFELPEEIGKLKFLQILDLEGSRVQLLPSGVCQLTQLLCLRGDDNMRAPDGLLKKVTSLEQLRLNIDNLDDESKQQFMKDLGNLSQVRVLSIYGVSRGGGMALQSELVQSLDNLHKLQHLRLVDYNFDGEDDTCEWVEWEDTVVLPRGLQVLDLHAVPFRWLPSCISPAHLQNLYTLWLHVEAIDEAGLRALGGLPELRRLGLAARRPSIASTATVASINISGEGFFQKLRVCWLYYGWMVQLVLNKEEDDSKAGVVSVSFWNGNGAAPFGSRTKQQAGCRRSVEAVPPPVMPNLQELDFEVPVRALYMDGNGGCDNLGLEFLPSLANVHVDVNCEGAPADDVEKAEAELRNAAQLHPIKFIPNIRRIKEHRMITQSTEQGDKRTVRS >Dexi6A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:6A:3894902:3899105:-1 gene:Dexi6A01G0004230 transcript:Dexi6A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMVAAVVLAAVVAAAATAAPEEVRWEVSYLTLEPLGNAQKARACSTAPLSVLVLCCLKNFDFDRKKRPWISLEMSQVIAINNQFPGPLLNVTTNQNLRVNVQNNLDEPLLITWDGIQMRMNSWQDGVSGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITVNNRATVPVPFSQPDGDITLFIGDWYTMSHTELRNMLDDGKDLGVPDAILINGKAPYRYDTTLVPDGLQYETVGVEPGKTYRFRVHNVGISTSLNFRIQNHNMLLVESEGTYTNQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVSNPRWSQVTGVAVLQYSNSKGKASGPLPDAPNDYYDKYYSINQAKSIRMNTSAGAARPNPQGSFHYGSINITQTFVLKNEAPLRIDGKRRSTINRISYSPPETPLRLADFHNLTGVYTTDFPAMPSNTPARIASSALNASYKGFLEIVFQNNDTDVQTYHLDGYSFFVVGMDYGEWTPERRNEYNRWDAISRCTTQVFPGGWTAVLVSLDNVGLWNLRAEKLDNWYRGQEVYVKVADPLGYNITEMVMPDNLLYCGRLKDRQKPQVRQSDIKSSAQAEAGWSYRILTTVMLVITAVIFG >Dexi5B01G0015220.1:cds pep primary_assembly:Fonio_CM05836:5B:12225645:12226046:1 gene:Dexi5B01G0015220 transcript:Dexi5B01G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPLDQTKSPPSSPRRRGPLSTRAASLDFSHGSAAALPRSSFRRASSHGPLVLPAGKPERRLLPHRCSSPPACDPSPTLPASAVPVTHRLNRCWCPRQCCPSPPLPRRKVSLPHGDEGRRVLGTREPERKG >Dexi9A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:9A:10617084:10617775:-1 gene:Dexi9A01G0015740 transcript:Dexi9A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNLKPQVLAVNMETYTTDDALTMMGFGRSQALVLVYAGMGWVAESMELMLLSFLGPFIREEWNISPENESMISSVWFAHSIQLG >Dexi5B01G0023840.1:cds pep primary_assembly:Fonio_CM05836:5B:25950118:25954209:1 gene:Dexi5B01G0023840 transcript:Dexi5B01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVASQAQAVLRARLCDPGFVHSALRSSPDTNYSKLKYLVASSISEACNNSVLLLGPRGCGKAAVADMVLEDLKKEHSDAISVEIARQLCLEHQLSFSKMASSDDNTEFIIDMLRECGLAHKTILFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGLSCRLVSGTFANNGQSFRAVSYMDMESGFLSMESFLNALSVMQRQPKMDSLQEYRSIQDAYKTSDKYASTVCFRAFEHLLDRELISFGDIRGRNQALEYRPVKLLISSRELAQSLKLNTTCPAVLQKLFDRERYM >Dexi3A01G0005340.1:cds pep primary_assembly:Fonio_CM05836:3A:3433292:3434171:-1 gene:Dexi3A01G0005340 transcript:Dexi3A01G0005340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTALKNGSSIATSVVNMMRMVRSTSLSGATATVAGGEDGVDDRRHGTRTEDVPGCIVAKGSIPGAPKQEVEDGGHAEAIEGRALHGLVLSRRRAERVLDLDEHVGEGVGEGDVAEREEDVERLLFGRVDGGAGERAADLAVMGRPFGGAEAEADEGIAEGGGHGDDGEPGDVVEAWEL >DexiUA01G0010390.1:cds pep primary_assembly:Fonio_CM05836:UA:20726890:20731164:-1 gene:DexiUA01G0010390 transcript:DexiUA01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFGGNHNGRYLSDLQITWGNKFFSIAGHTKDPSEGVTVKEFDPHTCTWSIVRTYGKSPVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYNGYDYLISFGGYNGRYSNEVYTLKLGLKSDTKSTTKEETVSDTASRVVEPEAETSQDGKIREIAMDSADSDLELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEKEVELLRRQRAASEQAALDAKQRQSSGGMWGWLVGTPPDKAEA >Dexi7B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:7B:21171825:21172262:-1 gene:Dexi7B01G0015090 transcript:Dexi7B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVVTSCDWPRAAACGAVRDLGGRVACGSGKATPAASGLGMRGGAVCDQTNEPADGGGRRRRVSRRRDATRPRHNVPRPRVFRATVRGERGEIGTFCRAVRWALHKRWTRLHGCGGRRLRGFDRGERTRRRVPQPRPAAQRRR >Dexi7B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:7B:21938128:21941079:-1 gene:Dexi7B01G0015930 transcript:Dexi7B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDPLAQEEPQSAGKYLRNQLAAAARSINWSYAIFWSISSSHPGALTWKDGFYNGETKTSNSSLELTSNQLVMQRSEQLRELYESLLSSGNCNHRAARPVISLSPEDLADGEWYYLVCMTYTFRPWQGYDCRADVLRATTMSGCATLTYRNLPPRAPSKERGYTGTS >Dexi2B01G0013240.1:cds pep primary_assembly:Fonio_CM05836:2B:20848989:20849984:-1 gene:Dexi2B01G0013240 transcript:Dexi2B01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTAVRNLLPYLVIISSTAGIAQLVLQSSPCPCGTNVPAAAHNQKMPKGINGTASSADEDKLRELLARVAMEDKTVIMAFTNEAWSAPGSLTDLFLESFRAGLKTEALLKHLLIVAMDAKAFHRCQQVHPLCYAFAGDGGINLASEQRYMAKDYLEMVWRRVRFQGRVLELGYSFLLTDVDIIWFRNPLLRIPVGADIAMSSDWFHGDNPYDLNKRANAGLVYARASPRTAAFYDAWHEVRDLFPGRKTQDVFEKLKHQLTARLGVTLQFVDTAYLGTFCDRHRRRDFNKLCTFHANCLVGLRPKVEMLRRVLDEWRQFVKASNSTALTD >Dexi2A01G0014450.1:cds pep primary_assembly:Fonio_CM05836:2A:23916631:23916900:-1 gene:Dexi2A01G0014450 transcript:Dexi2A01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYSKRYITVTMRAQESAPPDMQCNDVFLVRSTTMSKDDFMCDDIIKRLRNMTMTGNMVDEERLPIVYVALPQPPHPSCEGPITSTTT >Dexi9B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:9B:6009704:6010084:-1 gene:Dexi9B01G0009750 transcript:Dexi9B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSRLAACAGGGGGRGPVAMPRAQSHGFYRSSTGGGIDDDVRELIRAASRAAPPKAAVAPRSQSVAIGRIDEDREFGLEDEERVQALGPRSKSCAVVPSASRTARRNGSVAKRNAVAGGMAQTRL >Dexi3A01G0023800.1:cds pep primary_assembly:Fonio_CM05836:3A:19443926:19447841:-1 gene:Dexi3A01G0023800 transcript:Dexi3A01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRGGGAGGGDPAKAPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPIQITAEQILREARERQEPEIRPPKQKITDSHELSEYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDIERTREVYKECLKLIPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKVWISYAEFEASAGLGSEDSESEEKKNEVGYQEQQMERVSKCRAIFERAFDYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDISLVQKKAPRKVKRKRPIPTEDGSTIAYEEYIDYIFPDEVAQAPNLKILEAAYKWKKQKTGDDDE >Dexi7A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:7A:23831219:23837900:1 gene:Dexi7A01G0013900 transcript:Dexi7A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADITDIGCCSCFSFLRKPSVPVRQPRDSDGMLSEDLLKRQSAEDPDGSFYTGDDPDASFYNGDDLDGSFYNGDDPDRSFYERDDTDYPDATDDGPPRKSSEDIIQSRAQSGFACREIPVKETKKVFRSEHAVWGNFTLTLDTRITLSLLACKDGDGNKMVNQYVHLGKIGSGSYGKVVLNKPYMMKVRVVRTETAMTDVLREFLILCPPLFFLYWRQVSIMKMLNHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARKYLRDIISGLMYLHSHDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGQYPFLGDTLQDTYDKIANDPVQIPGDMNPQLADLLLRLLCKDPGDRITLQAAAEHPWVAGDEGPVPEFICRCGFGRRKRNDFREEVQ >Dexi8A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:8A:26972275:26979013:1 gene:Dexi8A01G0015900 transcript:Dexi8A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLWPTVQERKMADIALGSVEKIVKIALKIKEAVETVKQNKKECHDIETCVTRVSALLKKLGETTETMRDEVMRGTLEDLAKSLDAPSTSSQSASRSTSFAASWEPGTWPRNWIGEIICFGYTQPFIRGFMAPEYLSKGILSTKRDVYAFGATILQIISTMCTPRHPGIGDSVEWAWKAWEDLRMEFFDPSLCDKSQLIQIKRCIQIGLLCIDFDKICRPTVAEVLAMLNGATKLSAPRRPYGI >Dexi9A01G0007860.1:cds pep primary_assembly:Fonio_CM05836:9A:4612491:4612748:-1 gene:Dexi9A01G0007860 transcript:Dexi9A01G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPPRCTPWCGGWTTPPPARPHVAGTKTSRTEAKSDTDMSPEVNEFKQIADQFIPLVSTVNRWDFLPALRWDFMSN >Dexi2A01G0029000.1:cds pep primary_assembly:Fonio_CM05836:2A:40101806:40102578:1 gene:Dexi2A01G0029000 transcript:Dexi2A01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIADFGLARLLGGGHTQTRTAIVAGTHGYMAPEYALFGNVSPKIDVFSFGVLVLEIITGRRNNSSSDESDKSVNLLTDVWNCWTKGTALQLINESPDGHAKSQVLRCIHIGLLCVQEHPDDRPRISSVVVMLTRSRVRLQAPRQPAFFFGGDSSSAADERMHGRNFMYERSDVIVEDSLSVNDVTNTDPYPR >Dexi9B01G0031060.1:cds pep primary_assembly:Fonio_CM05836:9B:33501640:33502166:-1 gene:Dexi9B01G0031060 transcript:Dexi9B01G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDGRRDLDQVAGRVAVEA >Dexi4B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:4B:4415721:4417446:-1 gene:Dexi4B01G0006270 transcript:Dexi4B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADAAAVAVAGPPDAMQQFPEGAHVRLQSHVHGGWLHADKDGEGVSLRWGRRGSMGAAWRVEHILHDGTTCVLLQSAAYGRYLEASPHPAPPGHLGHRVVQGERGEQDMDPFLWKPVGSGHDGYVFLRHVSYRLLRANGRYQRWHDGVSVDDFDNQSTMMLWKVEAIPPRPTPPALPAPTPIKRGFRGLFLLHEEPVVLQRTIRYVIADDHGYFNPNSNTWATFQFPGRSVFNLRTEVARRVGNALFFFRIIVCVRAGRYGRPIPLLIDLPRNEETVDVVAVVFGTPDVQ >Dexi5A01G0014120.1:cds pep primary_assembly:Fonio_CM05836:5A:10949882:10956448:1 gene:Dexi5A01G0014120 transcript:Dexi5A01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAPSEASVVAGVVVVGGPPPSDLTELMKAQIASHPRYPSLLSAYIECRKVGAPPQVASLLEEVSRPESRAGPGAGEIGVDPELDEFMDSYCRVLVRYKEELSRPFDEAASFLSSIQAQLSNLCSGGSSPAATTATHSDDMMGSSEDEQCSGDTDVPDVGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARTVLLEWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVAGGSSGTTLYFDTGTIGP >Dexi8A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:8A:23264401:23264772:-1 gene:Dexi8A01G0013270 transcript:Dexi8A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFIDTGVLYCQLYPKKYNREEELAKICRERGYSYMDLIEIFPDKLENYEDLKNFFTEHMHADEDVCYCLKGSRYFDVHDNDHKWIVFG >DexiUA01G0016400.1:cds pep primary_assembly:Fonio_CM05836:UA:34904538:34906222:-1 gene:DexiUA01G0016400 transcript:DexiUA01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGAAWGRRRRQRWTMSLVIAAGMLESANETLLPAMYKEVGAALGASPSALGSITMCRSLVQALCYPLATCAAARFDRALVVAAGTFVCAVTAILVGASSTFLQMAIARGLNGVGMALVMPPVNSLIADYSDDVTRGSAFGWVCMLLSVGSAMGTSLGVLLAPTTILFGVPGWRLAFYAFAMVSVAVALSTLLLAADSSRPGTRRNSNTMAAVATITDVAREARDVLSVPSFWVMVAQGAASQLPWSALTFMAMWLELVGLTHWETTVVTTLKCLSTGLGSLLAGATGDVAARRFPDTGRVALAQVFNASIVPLAAFVLLLARPGWPLVVYAAGFLLLGVAMGCSGFSTSNPIFAEIVPEKARTTVYALDLCLENVFGSFGAPVVGILAERVFGYRPQETASGGSSAQAAALGKAVFAEVAVPATICCLTYSALYWTYPADRRRARMMEASGGDEDCCETDGLPVASSSADDGLNQALLSVKVTE >Dexi9B01G0044500.1:cds pep primary_assembly:Fonio_CM05836:9B:44239513:44242552:-1 gene:Dexi9B01G0044500 transcript:Dexi9B01G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEQALLSTEIVNRGVEASGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAELGSLSRDKLWSKVWGEASYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADDLKVSKAEFIELARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNTNCATMKEGRAEASTAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLMFFAGVLFRHLFPSKASTPAPADGSSAAAAPYIPDFKRAFEHFCMHAASRDVLEHLQENLGLRASDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRLPSRSPWLDCIDQYPARMDAHQ >Dexi6B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:6B:2359075:2359707:1 gene:Dexi6B01G0002800 transcript:Dexi6B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVTLLAFCVALAVLCAYGAGAAEVVAQPLRHSHRKASKTWCVAKPLANAAALQGNLEFACSESDCGAIQGTGGCTSPDSLLSRASVAMNAYYQARGRNSWNCFFNDTGLITITDPSLGTCKYA >Dexi2A01G0028620.1:cds pep primary_assembly:Fonio_CM05836:2A:39856867:39859253:1 gene:Dexi2A01G0028620 transcript:Dexi2A01G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGANPLASPLLGAPSPGGRRFATTASCVLDPSPPLATAAEPTAQSDSAPPPPRPDAPASPPPPPSSSSPRPLRASSQLSQWSRKRALRSGRRLGLASSASPVTKSSPPPKPPLPTAPPLLYDGAPPAWEDNDDDLCVAVGDASAGKVIYMVSDGTGWTAEHAVNAALGQFELCLVDRDCTVNTHLFSGIDDEDRLLEVIKQAAKEGALVLYTLADPSMAESAKKACDFWSVPYTDLLGPTVDAIASHIGVAPSGIPRSSPSRQGGHLTEDYFRRIDAIDFTIKLDDGAQPQNLSRADIVLIGVSRTGKTPLSIYLAQKGYKVANVPIVMGVDLPKHLFEVDHEKIFGLTINPVILQSIRKARAKALGFDGHQTNYAEIEHVKQELAHAKKIFSQIPNLPRSLEKL >Dexi5A01G0027400.1:cds pep primary_assembly:Fonio_CM05836:5A:30901723:30902111:-1 gene:Dexi5A01G0027400 transcript:Dexi5A01G0027400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSTPWLTTLKKPKRSQASTIARAALGMDRSTTGTAAKVSVGPGERRSGIWSTAGGLASTTMAVSGLERHASVRVEAEEARTMGDECRVEVVSPEGPGRGPPG >Dexi6B01G0016080.1:cds pep primary_assembly:Fonio_CM05836:6B:23367465:23368009:1 gene:Dexi6B01G0016080 transcript:Dexi6B01G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSTYEFKALWMNSVPHSDAMVWIVESEFSYRPMEDWVSLPQGPLLALGGESHKCHSGSSVACRSSHSYCWKLGDVKEAAHLCMCDDGYQGNPYIPNRCKDINECDDPKTYPYYGSCKNSEGGFQCERLPGFQGNASVPNGCQGTYRK >Dexi5A01G0004560.1:cds pep primary_assembly:Fonio_CM05836:5A:3444476:3446961:1 gene:Dexi5A01G0004560 transcript:Dexi5A01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASSGPAPWPRSPPLTPFSLHSSTLAVDEEDGAASPANVREVLVDDEPAVALVVREPLALEPAAVLMLPGAWLSPRYSQARRRRTKSASSIPSPAAAAGSIRRGSGRGSQFLELVDAMALPSPAVWRLEDAVIARLRACATFRDVLGAHGHAVRLCLSESSYVATQIVHLCNAHGRAATHAARVFAQVPAPNLHLHNAMIKAYAQNHLYRDAVGVYVGMLRRLPLPWSGGCSFSGGDRFTYPFLLKACGGMANVELGGQVHAHVVRSGCEAHAIVQNSLIEMYTRCGDLPLARKVFDRMQEKDVVSWNTLISAHARLGQMRKARMLFDTMPDKTVVSWTALVSGYTAVGDFAGAVDVFRLMQMEGIEPDDVSIVAVLPACAQLGALELGRWIYAYCNKHGMLRKTYICNALMEMYAKCGCIDQALQLFHGMPEKDVISWSTAIGGLAAHGRAHEAVRLFELMDRDGGVKPNGVTFVGLLSACSHAGLLDEGQCYFDRMKDSYGIEPGVEHYGCLVDLLGRSGQVQRALDTVRGMPVPADAKIWGSLLSACRSHGNVEIAVVAAEQLVKLEPGDVGNLVMLANVYAAAGRWDDVLSIRKAIRSKSTRKTPGCSMIEVDNMVREFIAGKDLGPELGGCAALLDILASQLADDEEFGDLDYWVDGSMFADD >Dexi9B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:9B:14878508:14879227:1 gene:Dexi9B01G0020130 transcript:Dexi9B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPHPDSPHSDTNDSGGVGSSAPGLLLSPSASSASSPAGGGGAASSALASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVRDHQSRARGVSYEKKKRKKAPAPPHPVPAAVISASSSVASSPHDGNGHSHHHHYEHHHHHQMPPPPPPPGAAA >Dexi2A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:2A:9000949:9002310:1 gene:Dexi2A01G0008920 transcript:Dexi2A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTIVEVFCSLQELVDWEYKSQETGKMHACGHDAHTAMLLGAAKILQDRKSNLKGTVKLVFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPVLPVGVVSGRPGPFSATACRFLATVTGKGGHAALPHQAFDPTVASSAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESMTFGGTLRSMTNEGLSYLMMRVKEIVEGESAVHHCTVTVDFMEDKMKTYPAVINDEGMYAHANSVAQSLLGEENVKVAPQLMGAQDFGFYAQKMAGAFFTIGVGNKSTMVIVHSTHSHTL >Dexi2B01G0033540.1:cds pep primary_assembly:Fonio_CM05836:2B:41097297:41098643:-1 gene:Dexi2B01G0033540 transcript:Dexi2B01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTALPWPLVAAAFFLAAAAPAPATRADGSSGRYGRLFAFGDSLIETFFHRPTGRWSDGRLIVDFVGKYLACAQLLAMTSSERNTLERLGFPRWPPYLGGKTSRRFFEEQHLDVDKITPYSLGVQIGWFKRVLAMLASTDQARRAIMASSLFLVGEIGNKTLEWVKPLVPLVISSIGASLEALIELGAQTLHVPGIFPLGCVPRYLFLFRNSTAAADHDDAGCLRWLNDLAAHHNSLLKAKLAELQRARPGVSLVYVDYYGGVASIVRAPARNGFAPATALDACCGGGGLHNANFTVLCTEPGAVQCADPSRYVSWDGLHMTEAVYRIMAREMLTNCSRRVRSSRPDAATRRPSDDGVVQSTIARTKSRAEQ >Dexi5A01G0027010.1:cds pep primary_assembly:Fonio_CM05836:5A:30571401:30574703:-1 gene:Dexi5A01G0027010 transcript:Dexi5A01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGETIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNPRMVRVLTVEKRVNEIINRLNKTRVERRPDLKAEKEASNAAEKAERKMQLKEKKRKEEIERLEKEKWAEIRSYKGLMVAEKMTSNRQIASAGRSMQEMEDEFV >Dexi2A01G0024920.1:cds pep primary_assembly:Fonio_CM05836:2A:36722460:36726695:1 gene:Dexi2A01G0024920 transcript:Dexi2A01G0024920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEPAVKHCRERSELLALAIRHRYTLADAHHAYAESLRAVGGLLHDFLRGVQSMPPPPPEPELRLPPQRKGDGLPAASPPPAIASSSSAAPPPASKQVRIAPDDGHIHFESDDESDSEGGHIKFHSDEEPDPAQRRPEIVRSAGAPGPPPPQMEPPYGQGYAPPSYGPPGYGYGYGSGPGPDYGGMGVNGGGGYEPGYGGMGNGGYGQSYGGMGSGGGSGGGYDPGYGGMGGGGGSNGYDPGYGSMGSYGQSFFNISYARSKPPPPSVSHEQRLQATDARVHYYSGDGDPQPPPHGYGGGYSYPPQSSSSYNQYAYGGYYGGGGGGGAPVPPAEIPSSSREPPTPPPPPPPPSVSSWDFLNPFETFESYYEQPTSAAAPYTPSRSSKDVREEEGIPDLEDEDTTEVVKEAYGDEKHSGKGFIGNGKVMKEEGRSSTGDELPRKSKSSEASSSGSSAEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPTGSEKIYVDDSEVVVELKTQFDRASQSAGEVSKMLEVGKMPYYQKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKTEERMRVLYDRKREELKMLDEKGAEADKLEATEIYIRKLSTKISIAIQVVNTISHKISRLRDEELWPQTCELIQGLMRMWSVMLECHQIQLHAISQAKNIDSMIDAAKFGDTHMDLIKQLELQLLDWINCFAAWVSAQKNYVHTMNLWLRKGVDYEPEETEDGAPPFSPGRLGAPPIFVICNNWAASVTRISEKEVVDAIQAFASKVLNLWERHRSEQRQGMMANKGMDRDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLTAQALHEGGPGAEIGGLQTSLRNIFEAMENFTAASVNTYRDLQLRVEEEKARVAQESGRVS >DexiUA01G0014060.1:cds pep primary_assembly:Fonio_CM05836:UA:29909850:29919152:1 gene:DexiUA01G0014060 transcript:DexiUA01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding WFMWRLKIGEGGGPWLRSANNFLGRQVWEFDTDAGTQDERAEVEKIRREFTECRFEKRVAQDLLLRIQNKDGTFSTYECKRTATLLEVLNPSETFLNIVVDHPFGTWGVCFTYGTLFAMQGLVAAGRTYENSCSIRKACSFLLSKQLRTGGWGEIYPSSETEVINS >Dexi5A01G0034860.1:cds pep primary_assembly:Fonio_CM05836:5A:36835731:36836108:-1 gene:Dexi5A01G0034860 transcript:Dexi5A01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFMAAPTPPVLTVPVAYVGGGAHEVAARRESYGPVIVMLAIVAVLAAAALAMGRLCFGRRALGQQAAAGHYDLEAWVERTCGPCVGAAMPTQDKEEIVAGGGREGSAAAETVVDVPPPPEGTE >Dexi1B01G0029980.1:cds pep primary_assembly:Fonio_CM05836:1B:34070985:34074628:1 gene:Dexi1B01G0029980 transcript:Dexi1B01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSKYAHSPAHLAVARRDHAALRRLVAGLPRLPRAGEVTTEQESIAGEAIADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDTLEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERSESVGQWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNNEEESEERGEECDSGADHTDANGVVKDKKGWFGWGGKKGSKTDDKPSKAGGKDESGDLGKQKEKASKKKKNSGSSADSLKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKAKESEGSGSWYSWVKGGRGTQSSDGGDSRNWKDEVDPFHIPSDYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSEGGGGHHPMMDGFEE >Dexi5B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:5B:19523927:19524211:-1 gene:Dexi5B01G0017680 transcript:Dexi5B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEKEEHGGHSSDNIAQRHHAAMGNSRASRRRCRLSSGHSLPARCMPYNHLGLRRRLCSTGAARPAGICGRDGRRCTYGRVRKTLESREVCGG >Dexi7A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:7A:27784461:27787137:-1 gene:Dexi7A01G0018360 transcript:Dexi7A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCPQPLFLQHIRDGRWTAEKGRAIDCCMMVEGPNFAGMIGGIGGHDNGGNFCDMAYYRKIGEGSNMSIDSLNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVVGNYSVGGHSIFRHGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLEKPEADPERAGLMEQQFVQEVMMLATLRHQNIVKFIGACRKPVVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMTYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPHDCLPTLGEIMTRCWDPNPDVRPPFTEVVRMLEHAEMEILSTVRKARFRCCISQPMTTD >Dexi4B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:4B:10565909:10570766:-1 gene:Dexi4B01G0012650 transcript:Dexi4B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRRRRGGGELGRAAEIVMVLAAAGQARGGRAPTAAERALTAEARGALAAVVAGQVSLRPRELFATEAIRVLVEDLGLAWARDPAAVGFCKRRASIADKVLLTKRKMEGRREVLVPTVPKMTAASAKIGFQHGASKVTTGLPMNLSNPMLSSMISKQPPLNGAVAGASSIESRHIPSAVSLPAICSANAKMEVVVNGSNFTQNGGSKSSPCKLQSLWEDHDSGSRVAMVNPYFFGSDIPESISKPCIYEEEVYGSNDEKTVLVSAIRGPCEVLHVDKLSEETMRRCQLDSSGHRLHPIFFCRSN >Dexi2A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:2A:32199083:32202643:1 gene:Dexi2A01G0020130 transcript:Dexi2A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPPAAVAAAAAAVASPAKTLLRAPSSSHPSRFPYISMSTSSSPRAPPLAAAAGGSGGAAASLLAADPSHRESVLLAARAAMGNCLGETRLDLAVPGLRLAAKGKVRDVYECGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNQTCHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGSTDTSLWTVYNKGVRNYCGNALPDGMVKNQKLSANILTPTTKAADHDVPVTPDEIIKSGLMSKEDFDEAGSKALSLFAYGQQVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRNQFMKGYQGMSLKPYAAYNC >Dexi4A01G0023200.1:cds pep primary_assembly:Fonio_CM05836:4A:26332525:26336511:1 gene:Dexi4A01G0023200 transcript:Dexi4A01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPSPTSLSTPLLSDSIAPARASNGHRHHDDSDAACGADGGDPFAFLSEDQPPRDRGPSPADPFRNGTPAWGGGAYAWARTLLLLPVAALRLALFGIAIAIGYAATWVALRGWADTRGRPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGKPAPRELAPIVVSNHISYIEPIFFFYELFPTIVSSDSHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQIHNFMEVEYLPVVYPPEIKQQNALHFAENTSYAMARALNVLPTSYSYGDSMIMARAVEAGKVNCSNYMVEMAWVKDMYGVTTAETMELLEHFLTMNPDSNGCVKAQDFWAHFGLDCSPLCKKIFHYFDSDIKDSITFRQFLIGCAHLRKQPQFQGACETAFEKCKDPETSDISRGKLADVLRLSMLLPSDDGMLKLFKTFDVDGDEKISRDDFMTCLGRFPFLIAFFAAPINGEVYIEIV >Dexi5B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:5B:3075941:3076514:1 gene:Dexi5B01G0004540 transcript:Dexi5B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHRTTELLEMPQRQTERGEWNGSAELDRDERYLGRRRNWPGLRFWPLPPPRQLPNPRRSAVGVLGRWDDDEANDQGGRGKKKPRGRPASPLF >Dexi2B01G0036000.1:cds pep primary_assembly:Fonio_CM05836:2B:42943528:42953193:-1 gene:Dexi2B01G0036000 transcript:Dexi2B01G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRELDLSDEVEGDQDGTTDFVFRLAGDPIPLLPTDSAPLPLFDLQSPPARPLAVSDRHATVFLAHPNGFMAVKTKELIEASKEVREKGKASTRCAQDCCVADVPLPGVSLLAVSHDESMLAACTNAEIHFFSLASLLTHKDVVPSSSCSLGRAGSVKDFKWLNHAFAAYIVLSNGGLLSHGSLGESLKDVMENVDAVDCCKEGNHIAVARENKLAILSSDFKETCCMSLLFQLWSDESDSEGTTIKENGDDNVILGFGVENISLFQKIIVTVGPEQKEINEMTKELDALLAYIEKDGGFRDACITFQQRPLSLFEDGLQNFLELLQIFKSKVEGQCSKIEDLRNKMFQVSARQTYMKGIVSQSSDTQYWDMWNRQKLSPEFEVKRQNILKANQPAQLGSGQQSGFGKPALIGAGHQAGFGQPAQIQSGFGQPAQIQSGFGQPAQIGSGQQSGFGQPAQIGAGQQSGFGQPAQFGAQQALGSVLGSFGQSRQPGGVGSGGFGGFASASTSGGFGSLSSSNAGFAGAAAGGGFSAPVASSGGGFAAAATGGGFAALANKSGGFAAAAPSGGGFAAAASSGGGFGGATQGGGFGSGEIQFCSVFNE >Dexi3B01G0027070.1:cds pep primary_assembly:Fonio_CM05836:3B:22556914:22558112:-1 gene:Dexi3B01G0027070 transcript:Dexi3B01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPAAEKEAASYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITRGIRNIVQANNRIEARNDPGIYLRSF >Dexi3A01G0016090.1:cds pep primary_assembly:Fonio_CM05836:3A:12017595:12021232:1 gene:Dexi3A01G0016090 transcript:Dexi3A01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSATAACFLSSPSPPPRRPRHFLRHLACAGASRPAPAASSSSSSLPRSLALPSPAPARAPWTWPRGLGELVVPTEAAGRLLSSAAGSVIVALASAALILGDAGAASAFVVATPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLSDQSVYEAQVVGYDQDKDVAVLRIKAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKYGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLLPTKRDPYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIPVVLEPKPDES >Dexi4A01G0004210.1:cds pep primary_assembly:Fonio_CM05836:4A:3011334:3012057:-1 gene:Dexi4A01G0004210 transcript:Dexi4A01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQRPLARTYSTCAREAARGGHVFKISRYSLHRGVGAGESIQSAAFVIGSFTFCVRFYPDGYSTSDDDADYVAAFLHLLTKNARPRASVEFSLVDQTSSSSSTAPAAAPKVTEGTEFGTRRLMKRTELEASAYLREDCLVIECDVLVINEPRVVVEETAATTMTTTGDFEVLQVPPPPNSDLSGSLRKFLEEKRGVDVTFMVRDELFKAHKIVLAMRSPVSMGQWRRRTQDGDT >Dexi8A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:8A:25037712:25041610:1 gene:Dexi8A01G0014590 transcript:Dexi8A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVVSPLIPPPLEIDLEAGGGGGGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWCPPPLPAEHISQLKSLGLL >Dexi9B01G0029630.1:cds pep primary_assembly:Fonio_CM05836:9B:32106387:32110150:-1 gene:Dexi9B01G0029630 transcript:Dexi9B01G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTELKLLGQWASPFVTRVKLALHLKGLSYDYVEEDLRNKSDLLLSINPVHKSVPVLIHNGKAICESPVILQYINEAFAGTGPSILPTDPYERALARFWVAYIDDKLGPPWDRIFRAKTDEERAEAISETFAAAAALEGALSECSKGEGFFGGDSVGYVDIVVGSLIPWVKATSVLSGSELFDATKMPLLAAWMGRFSELDAAKAVLQDVDSLVEHGRMLFAKNAEMAGGELKVLGTWASVWACRVRIALHLKALSYDYVEEDLENKSDLLLASNPVHKKVPVLIHDGKPISESQVIVQYIDEAFASNGASLLPADPHKRAAARFWAAYIDDKLVAAWVQAFRAKPGEEKLEATKQLFIVVEALEHALIECSKGKPWFGGESVGYLDVMLGGLLSWLHGTGALCGVEFFDAAKTPLLLAWAERFGALDAAKAVLPDVGKVVDFAKMRRAKQDAAEAAAAGAKN >Dexi4A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:4A:6084517:6085205:-1 gene:Dexi4A01G0008130 transcript:Dexi4A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPGLLPTPPKCTMLPLLPAPPPCARADAAARWDAHKIKRTGNPPASTSSSSDALDGPLNSDKKNMTTRSSSAISSKSSRADSEERWDAQKKLVVSPASSSSSSASSSSSSNINKTKTCRWIIRRPNNGRASSAPERWDAHKKPAADELDDGERSTGSNDVEMGMPIQPPPRSLYYAGPSFVTSPEPSMLPMPSFLIRVA >Dexi1A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:1A:2667956:2674006:-1 gene:Dexi1A01G0003670 transcript:Dexi1A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGGDPWLRTKNGHVGRQVWEFDAAAEPDPAVDAARRAFVERRHDLKHSADLLMRIQFAKENPLKLDLPAIKLDEHEDVTEEAVSTTLKRAISRFSTLQAHDGHWPGDYGGPMFLMPGLRFVGRITPLVLELRKELFKDPYSEIDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPVMMHWPGSKLREKSLETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIQEFGPTLKLAHDYIKNSQVRDDCPGNLDDWYRHTSKGAWPFSTADHGWPISDCTAEGLKNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFRKLYPGHRRKEVDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLIAAGRTFENSPAIRKACEFLLSKELPSGGWGESYLSSQDQVYTNLKGKRAHAVNTGWAMLALIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAGKQ >Dexi9A01G0036980.1:cds pep primary_assembly:Fonio_CM05836:9A:41317751:41319080:1 gene:Dexi9A01G0036980 transcript:Dexi9A01G0036980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQAEVVEEAGGQLDLPPGFRFHPTDEEIITHYLTHKALDHRFVSGVIGEVDLNKCEPWDLPGRAKMGEKEWYFFCHKDRKYPTGTRTNRATETGYWKATGKDKEIFRGRGILVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGKLPPTLPRSTKVQPLDEWAVCKVFNKELAARTEPMAAAGGAELERIGSLAFLSELLDNAELPPLIEASFGGDVDDVIDFKGVASTSGHAAAAPGTSYLPVKMEEHAPLQYHQQQPQMFYTGQYFSLPAVNSGDLTPAIRRYCKAEQVASGQTTSVLSPSRETGLSTDPNAAAAGGCAEISSAVTPSSSHHQFLHELDDPLLHVADFWN >Dexi4A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:4A:4734374:4736992:-1 gene:Dexi4A01G0006590 transcript:Dexi4A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRRCRLAVALLVVVVVAQRRDTLAAMVPPGDGVVVDAAARESHGSSTAHADIKGIDGRELATTNSRAVSRGREKKLRIAVPHKHGFKAFVNITHPNTERQKVTGYSIDIFSTAMEMLKDPPQYEFYVFNGSYDALVRSVSLKVFDAAVGDVTITPERIMEADFTMPYAQSGLSLLMLSENDSKPIQWIFLEPLTKELWFATVGGFLFTGFVVWMIERPRNPEYQGSRLRQFSNASYFAFSTLTFSHVLVVVQSYTANLSSMLTTNRLRPLVTDLDQLLRNGDHVGYQGEYADALRKGSKNGGVSAILDEVPYLTYFLSNPQYKMEFQMVNRMYKTLGFGFVFPLHSPLVHDLSIAILNLTGEHEGPQIEERWLSSAATSVGDSPISGFTALTLRSFSGLFIVTGCISALMLLISISRLLCAKYTRVKGPELQNDDRDGGDVYLTESIALQNDRGDGCMPDQHLHEIRGDDSRNTYAGDEIGTDVRQV >Dexi7A01G0010430.1:cds pep primary_assembly:Fonio_CM05836:7A:21233135:21233707:1 gene:Dexi7A01G0010430 transcript:Dexi7A01G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDDVANVLSTCKKLEHLVFKSCEGGGKESVLQIEHPQLTTLVFDGGIFGKIELSCLPRLKFFTYWSRSLVFNAFMKSAI >Dexi8B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:8B:24301864:24302950:1 gene:Dexi8B01G0013930 transcript:Dexi8B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRSLAPAIDDGYSASTAFAETATGWHMLRVKDYSQINGIGFAKRMIFPDGPCDETPGWVCFGLRLEHHAGTGDFRVRVKFTFLDNAGNLLPRSMISGTTFYIDSIRGDSWYNQEWMKRGHMEYIGIKGDSFTIRCEVTVLGNVDVEVSAVVPPSDLHRHLGDLLSTGVGADVAFDVAGETFAAHRAVLATRSPVFMAEFFGCPLKEPPRHVIDGIEPKVFEALLYFIYNDALPETDRGGGDDDEEIDLVMAQHLLVAADMYSMERLASMCEFTLCIFMNESVAVSTLVLAEQHGRRRLKEACFRMLLDYENYKEVLVGDDLEHLATSCPSVLDEFWERLRLR >Dexi5B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:5B:19825722:19829131:1 gene:Dexi5B01G0017940 transcript:Dexi5B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSCLPVLTASRFLSPPPPPPPSPLLTPAWPRRTVHFVRAAAQTLEAPEAPKPPRPSRRRSAVAEVKASPDPVAALTRFEDALQTQDCNIILRHCGDTRRWEDLSKVFEWMQEREMTNAASYSSYFKYLGLSRDPAKALQVYGDIQDRPTRVQVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDPFTYSTLLSGCMKLKQGYTKAMGLINEMKSRGIQMDSVLYGTLLAICASHNYYEEAEVYFQKMKDEGHIPNLFHYSSLLNAYSENADYGKAEMLLKDLRSSGLTPNKVMLTTLLKVYSKGGIFEKAKELLTELEASGFAQDEMPYCILIDGLVKGGKIQEAKILFNEMKEKGVKSDGYAFSIMISALHRGGHHEESKQLAKEFEAENASYDLVMLNTSLRTYCSTNDMESVMRMLKKMDELNISPDNITFNTLISKRTVQKSLHEKVLSILVSSELLKDAYIVVKDNAELISPSSLEKFARSFMVSGNINLINDVMKALNRSGWRISQVMVLYLFGI >Dexi7A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:7A:22457176:22459329:-1 gene:Dexi7A01G0012210 transcript:Dexi7A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEPRLLGPALAAEDAGEIDGAVGEQGPPRGDDDDEEILRFMDSVDGYLLLMDSLSSTLRQGWLDLASARHSMGATRVSSTLLDHKEQSAATKLQVLDHAGLQISESNPHFALSKWSLQEECHSTCNAASYQEDGNHERDATKAGSSTGSDTSSQVQRARSKALSVFGALVSPKLRTAQISFETALELIVELANSRSNMLASFSQLKE >Dexi1A01G0021910.1:cds pep primary_assembly:Fonio_CM05836:1A:28638240:28639779:1 gene:Dexi1A01G0021910 transcript:Dexi1A01G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQTLISSSAPAPAFPPASHFLHASPPLLFTRSSSPTSCSPSAAPAMASFVAHHHGSLGERMDVLRSSLRPCVAVEEVDAAAAAAAGPAAWGAADRGAGLLGDGFSVEDLLDLEDLCEVDKDLGEPTPAPAPVAVEDKLSTDSHVSSVVSYELVPLPVRPVIDLPLPAHDAEELEWVSRIMDDSLAELPPPPKLPAAAISAAAARRPPVERSVVPAAAEPKRTPTICALSTEVLVPVKAKRSKRSRGSVWSRSGGAPLSDSTSSSSTTSSCSSSGSFSPFLFLPLDSQPPSFWAAHLLGEAAPPLGSKSKKSKHSKNSGGGGKPKKRGRKPKHHPFRPQLAGGASAAPVAGDRRCSHCGVNKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGVLLLPGPPPLPAAAQAVASF >Dexi4B01G0006560.1:cds pep primary_assembly:Fonio_CM05836:4B:4595349:4595759:1 gene:Dexi4B01G0006560 transcript:Dexi4B01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Dexi5A01G0008470.1:cds pep primary_assembly:Fonio_CM05836:5A:6318857:6324693:1 gene:Dexi5A01G0008470 transcript:Dexi5A01G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKVRKDERGGGGVGFGVGVGQEELLFDEDEYVEEEDTDFQPYRQPDSEDESAEEEEEEEEEGEEEDEEEEEEEDAREVEGEYEPEEEEDEEDDEGAEDVPKVWLRGPSTLPPRPPPHLRPEHFPGMVQDAGKYEPTFHFKHYYSAPDQRDTLGRAYNNKAERVKAELWVRAERNAHRACQKLLHDIHYEARLQAIVYYHAHYERQKVTKKQAVTMTLEREDFLKAWEKMVDKWCSPEWQERHNIHRDRRLKMAGPSHHQGTRDLTGYVKAWSAAHGNRDCPQFKAWCLAHMGKATDDIDYSEDTPDSAFTNPTIPPRVSSYTSRSREVHGPDYAPSTQNFDGRVVMEVGGGKKHGRYWMGDSTPRDSAKGAERKRHNLPTHDTGAAADIVSTERTEQESLQTNFTQMYLWMQSVGTQVSVPPPQLQFQPPPRQPTPSPWGAQQDGQGSQDRDLSNPSQPHNPLSAKVPFFAVSTGLDSRQSLVQRPRACPPVWAPWALCREPGTWLSATLEFFAESLARGSRQTQLRAPQRRRNTVFAERLHALGKYLRCTRQRLCRDPDRKLSAKGASPRKTLPSQLCREPALGKAFAERMILFAESARLTAKSLDPVLENRDVDNDTCSRWPPIAAWPLDNDTCSRWPPIAAWPLALVLLCLRGLVAPYDTCHAEDAVQAMASADQQGWRMELECFFDDCL >Dexi3B01G0032370.1:cds pep primary_assembly:Fonio_CM05836:3B:34745944:34747597:1 gene:Dexi3B01G0032370 transcript:Dexi3B01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQGTTTKCTACAKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVENENAIKVSSAFAGTREKCVGCGKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDQEKTSQAGSLEDEEAEY >Dexi2B01G0011470.1:cds pep primary_assembly:Fonio_CM05836:2B:13097175:13097513:-1 gene:Dexi2B01G0011470 transcript:Dexi2B01G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHRRSSAAPTRYGAVTTPFPSDAAGGGRSRRWRRQEPAEEEAGAGDEGSRWAATAEAGRGRRRQRRSEAAEETGSREAAPSGEEEEGEGIKRDSDHLQSAVLTASCSRQS >Dexi5B01G0034950.1:cds pep primary_assembly:Fonio_CM05836:5B:35076126:35076828:1 gene:Dexi5B01G0034950 transcript:Dexi5B01G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGSASWDHVTRTINMPEADCKQYSVDHPKDSGMLNRPIEDYDELSFIFSDEGDPSPDGIHLLKKGQNAHSDDSKISEDPMEQKIASEDIRYLVLKIGELIDAIKSLQPRDFADDLWKAVTACGYNDRMSITAFEYLLKNEVEGKIFMVRSPELRKECLVQ >Dexi6A01G0008600.1:cds pep primary_assembly:Fonio_CM05836:6A:9145431:9147726:1 gene:Dexi6A01G0008600 transcript:Dexi6A01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAPRRKTASRFEPETDRCTHVFDIAGYSLLKGLGAGKFIRSGTFLAGGREWCIRYKPDGDSRKEYQDYVGVSLELMGKKGPEVRALFSFSLVDHATGLPSWVCNCQTPYVFKATHDSWGVRKFKKRSDLEASEYLENDRLVIEWDAESGKRADVTFKVKNANFRAHKFVLAMRSPVFEAELYGPMKGTRKRSITVEDMEPEVFELLLHFMYTDSLPPMDNLDEVESQDIVKHLLVAADRYAMERMKLMCESILCKRIDVDSVAPTLALAEQHHCNKLKDACIGFMNSSDRIDLVASKGYEHLKRAYPGTDTWDPHVNDCDRGALMATDTVSSPKMSQGHAFAGAALFSIHAVKTYSPSNQITSFLRGWRHQAQG >Dexi8A01G0005500.1:cds pep primary_assembly:Fonio_CM05836:8A:5097084:5098891:1 gene:Dexi8A01G0005500 transcript:Dexi8A01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTAAAAAAVAWAPGPSPSTSSSSSASPFRVGVASTAVSASSSPRLVAASASLGRRRRRRQVVQAIANPDPAIEILPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLTLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKLISVA >Dexi7B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:7B:25644995:25646601:1 gene:Dexi7B01G0020280 transcript:Dexi7B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTDKQTGPNTAARPTAHGPRKVRVQTNLNPKVSPSSPSPPRPLFLLSLSRARSSRLGLEASRQVVAMMQQPPPQQPGMAPPPPPQAAGGQPPQWGGIPPPMTQQYGAPPPQQPPAMWGQPPPQAHYGQAPPPQQYYSAPPAPAPAQAAPTASDEVRTLWIGDLQYWMDEGYIYGCFASTGEVQSVKLIRDKNSGQLQGYGFVEFTSRAAAERILQTYNGQMMPNVELTFRLNWASAGEKRDETPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAASRKTTGVQERGKRQALPMSCHFGTC >Dexi7A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:7A:22415555:22416148:1 gene:Dexi7A01G0012130 transcript:Dexi7A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQMGAWSCCLAVAVTVGLIALVVFTAISKRPTYSVAITGVAGLDPAADLLSASRPTLSPVFNLTVRIDNAHWWLSTACVDGSLATAAVRERGARAWSHGVDLPRLLRDQLAGELAAGEAAVDVEVMAPDQECNDYHCVDMVLTCSQAKIGGGPAPCKMMYENVHHPHQEGSTD >Dexi4A01G0007890.1:cds pep primary_assembly:Fonio_CM05836:4A:5933758:5935524:-1 gene:Dexi4A01G0007890 transcript:Dexi4A01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVRAIQGERDLSPVAEKRRPSGVGTVTAVFLLVLPLLLLFIFFGDQAVASIAHYPVWQRMKSQSSGNASSSRNPDITTHDHLLGGLLSPEFDAATCLSRYQASKRWKPSPFPVSPYLVEKLRQYEANHRRCGPGTANYREAMAQLMSGRNADHAECKYVVWVPLQGLGNRMLSVVSTFLYALLTGRVLLVHEPPEMQGLFCEPFPGTSWVLPPDFPYTDGFSAHTKESYVNMLANNIVRHDDGDGGGDVSSLPAYVYFHLEQISLRLQNHTFCEEDHRMLDRFNWMVLRSDSYFAVALFLVPMYRGELEQMFPAKGSVFHLLGRYLLHPGNRAWGIMERFYDGYLAGADERVGIQVRVMPFIPITFESMYDQITRCVWEHELLPQVTDTSGPGAMPSNGGRSKVKAVLVVSLKPEYYDKLHSVYYTNATATGEIVTVFQPSHDQDQHTEALAHNERALAEIFLLSYSDRLVTTGFSTFGYVAHSLAGLRPWLLTLPDRNTMRPAEVACVRPASVEPCLHSAPPLVCRASQDTDPVAHVPFLRHCEDVDIGLKLFD >DexiUA01G0022390.1:cds pep primary_assembly:Fonio_CM05836:UA:45539590:45542527:1 gene:DexiUA01G0022390 transcript:DexiUA01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATASPGLQAAARRLTLAAPAAAQARAFVRLPRRLPGRSASLRAAASAAAPPAKEGAEELGFQEMTSGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGTLVQVEGPDAPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGVLAEQLGELKLGELLDTPPPGLDEALAISKVMQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRNKIASATSAIKSVFGQEVQQQDAANKLEQLRERMLKVRELFRDTESTEFIIVTIPTVMAISESSRLHSSLQKESVPVRRLIVNQVLPPSTSDCKFCAIKRKDQTRALDMIRSDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >DexiUA01G0024510.1:cds pep primary_assembly:Fonio_CM05836:UA:51097811:51099611:1 gene:DexiUA01G0024510 transcript:DexiUA01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVRRAVEEKEESNCSPHTHRLPVLCCAYLMALPGAATSFATLTAPPPPRRRRRAVTFRATASPKAQRRPQNVPGEFFVDQRCIDCQTCRWMAPEVFRRVDGKAAVATQPGSQEERTKALQALLSCPTSSIHTNKPPKDILQVQHMFPLPLDEDLLPGVYLCGYHSEHSFGATSYLVTHPQGNILIDSPRYTPKLADNIERLGGARYMFLTHMFEL >Dexi8A01G0013520.1:cds pep primary_assembly:Fonio_CM05836:8A:23472928:23474959:-1 gene:Dexi8A01G0013520 transcript:Dexi8A01G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQDADIEAAVPAVAEKGAKAGQAPAAAAGKKKKVTPAAAEAEPAAPTKKVAEEEDPRLRWAFVRKVYAVLSLQFALTAAVSIVACYVRAIPRFFVDGPAAAVWPVFIFILLSPLIAMIPMLKYREKHPANLVLLGVFTLCCSLSIAVSTSTTLGSVVLQSAILTAVSVVGLTLFTFWAVKKGYEFTFMFPFLFTCLHVLLVYIFIQIFFPLGRVGMTIYVLLATLVFSGFIVFDTHMLLKRHTYNEYVITAISLYLDVINLFMAQMSLSIQ >Dexi2B01G0034660.1:cds pep primary_assembly:Fonio_CM05836:2B:41949275:41950855:-1 gene:Dexi2B01G0034660 transcript:Dexi2B01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIPSLHSAGTKASGTTTTKRWKLACQCACASGYHGTELQLLAAEAEQASVSEEPRFRWDALGSDLSESQERAIRGLSPKLPNRCKALMTRVVCLSPGDENLGPLLAYWVKAMKPKRADWLLVLKELKAMESPLLTEVLECALLENSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKGRGFPCDQVMLTAMMDMYSKAGDLTRAKEIFGEIILLGLPLDKRAYGSMIMAYIRADMLAKAEDLIKQMEDQQIFAGKEVYKALLRAFSYKGDSDGAQRIFDAIQFAGIVPDTKMCALLVNAYCLANRIPEAVCVIRNMRSAGVTPCDKCIALVLGAYEKVNMLETALAFLTELEENGIEIGQEPSQLLAAWFRRLGVVHEVEQVLKELSMDTKTKQKVAVSVEQR >Dexi5A01G0038780.1:cds pep primary_assembly:Fonio_CM05836:5A:39654861:39655625:-1 gene:Dexi5A01G0038780 transcript:Dexi5A01G0038780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKLIGAFGSPAVHRAEAALRLKGVPYELILEDLENKSELLLKSNPIHKKVPVLLHGDRTVCESLVILEYIDETFDGPPLLPADPYDRSMARFWAHFIDHKCAKPFMLSMWSEGETQKESMKESKENLAFLEEQLIKRKKRFFGGDSIGYLDIAACGMAYWLGVIQESAGVSLASGEEFPALRRWAEEYTSDEAIKPCLPDRDKLLTHYVANIDKYRLMVKAPPA >Dexi9B01G0028940.1:cds pep primary_assembly:Fonio_CM05836:9B:31509057:31510482:-1 gene:Dexi9B01G0028940 transcript:Dexi9B01G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLAVAAMAAALLLAVAAADVGSIITQDVYNSMLPNRDNSICPANGFYTYDAFIQAANASSRASAPAATATRTTGASSPPSSARPPTRQTLKLTRTMNTGGAAGQYTWGYCYKEEISKATSPPYYGRGPIQLTGQANYQQAGDAIGEDLVSNPDLVSTDPVISFKTAIWFWMTAQSPKPSCHDVILGNWTPSSADAAAGRVPGYGAITNIINGGIECGVGPNDANVNRIGYYEHYCDMLGVGYGDNLDCYSQQHF >Dexi7A01G0023780.1:cds pep primary_assembly:Fonio_CM05836:7A:31692989:31693244:1 gene:Dexi7A01G0023780 transcript:Dexi7A01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHVVPVLVVDMHVRTQAQPYRRGVAIVKAAAVSDVCTSWSLVPVRPVNVTAASVSVDSDHHMLRSAPLRPAHGTV >Dexi1B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:1B:4640948:4643473:1 gene:Dexi1B01G0005660 transcript:Dexi1B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPPHHIRRRVSSFFFLLAVTTLLPAAAAQGGAATEADVLIAFRDTLRGADGAPPGPLRNWGTPGPCRGNSSSWYGVSCHGNGTVQGLQLERLGLAGALPDLAKLAVLPGLRALSLADNALTGEFPNVSALAVLKMLYLSRNRLSGVIPKGTFKPMRGLRKLHLGFNDFSGPVPGSITSPRLLELSLANNRFEGPLPDFSQPELRFVDVSNNNLCGPIPSGLSRFNSSMFAGNRLLCGKPLDVECDALGAPRRGMSTMMKIAIVLIVLGVVLCAVGITSGVIGGRRRRKPRRAAAEGMPGGDQTPSNPKLNTAPAVNIENAASTSQPRAAAAAGGAAAAKRQRRDEHGRLVFIQEGRTRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLLPLVAYLYKKEEKLLVTDYIVNGSLAQLLHGTNHQSQNLFCSGLAHLYDELPMLTVPHGHLKSSNVLLDGNFDAVLSDYALVPVVTSQIAATVMVAYKAPECSAAQGKPSKKSDVWSLGILILEVLTGKFPSNYLRQGRQAGTGDLAGWVQSVVTEERTGEVFDKDITGARGYEADMVKLLQVGMGCCEVDVDRRLDLKTVIARIDEIREPELAAGEESSSSS >Dexi2B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:2B:29742342:29745484:1 gene:Dexi2B01G0019570 transcript:Dexi2B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAPLLIGLVAEAGGGGGGDGDGVPLEVAGNEVPLPVEVDGKGAPPAEEVPVAVTAGEEEKEKKKKKEEEKGEGVKWLGHYSSAQDILLIGDGDFSFSLALATAFGSGANLVPTSLDSYVALKRKYSKAESNVTELKRLGATVLHGVDTKELKLHPDLKNRRFDRIVFNLPHAGFKGKEDDTHMINSHRELVWGFFNNAPHFLRPYCEIHISHNTGGAYDRWGLESLASEASLVLVEKVAFRQEDYPGERQWGLQRDCEMQGYEMPWESHSALLEYLHRDREFARKKDQLRRMLALYGGGIQNKGCLVF >Dexi1B01G0027040.1:cds pep primary_assembly:Fonio_CM05836:1B:31849855:31853584:-1 gene:Dexi1B01G0027040 transcript:Dexi1B01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDAMVRENMEDLGMDADEALADAVEALSLQGADLSGIIKRVPGEAAAAEVSPVVRVLDELKASHSSSGGLGQDLDGLVSLIHELRGLCCSGDGSENTAVAVRNGGVEALVGLCASARIEQEKLLASALKALSSMLRDVESTEKFRQSEGPKIVMDILKGGSESSDLLDAGFSVVSAGSAGNEVVKESFMDLKVDELILHVMREKSKANVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAEIGIAEVLVSALGEKVAPSSLPSACAALKSIAVNDEICRSISENGGIDVLLQCIGEAGEQKNKVIAKSCCSLLSKLAASDANKSVIIQQGGFDRFLKLTSRFSEDPSIIQEVMSMVTVLTLRSPENAARAMEAGYGTLAIQAMQRFPSSGQTQKQACLMIRNLVVRNPENRTMLLNDGAEKLIRKAKGMHGSCRDAASSALRDLGLDNYNA >Dexi1A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:1A:22917293:22917859:-1 gene:Dexi1A01G0015910 transcript:Dexi1A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNTARFSPPSPSQVPSLLPPRTPVCCAPTPPLHQSVLLAPPAPSATSVPLDAPRAASMERPHAEAPRAVSMERPQDEVESRRRSRGGSARRHRRPVREHKLPAPWRRKAVLTPPEPERLVREQEPRAQRREAVLEHSLCEGAGEEPPSHRGRKPSMAQRLLASSPPPTTRFLRRTPNEMILGDTI >Dexi1A01G0018230.1:cds pep primary_assembly:Fonio_CM05836:1A:25499010:25499535:-1 gene:Dexi1A01G0018230 transcript:Dexi1A01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYADFVAPPLRALTGQQQDVTDHIIQVGQRPATGLRRPHGCLLPLLRPPPHLLPLLAGHRLPDPGHQRLPAHVAGDLHPHAPLIFGARLVCCSAKNGQHSIGTPALRLSVVEFHPACVRNTPTASCLSTASCSHQLAMRHLPLVASTNSGGSTAVSPFTRSGRMIHKKS >Dexi6A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:6A:21549849:21551218:1 gene:Dexi6A01G0014160 transcript:Dexi6A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRRAWTGLLSAPAVCSVDRSSIPLVSRWMELAFSAPLCQSPISITGPPAPGKRTTAAGKAQRCQIFLSLVAASPRALVEMVKGDNGASSQEKGSRERGGRQGKEGK >Dexi2B01G0020750.1:cds pep primary_assembly:Fonio_CM05836:2B:30760082:30760894:-1 gene:Dexi2B01G0020750 transcript:Dexi2B01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFATQLHHGAAASLLLPAVLLLLATNLAAAAAAASGSCADDNLPSNRTYAHCAALGPLGATLHWTYDAKTALLSLAFVASTPGANGTGGWVSWALNPTGTGMKGAQALVAFKRGNPPAYVVNTYNLTGHRALGGDSTPIAYKATGLAADESAGKVRLYGTLQLQQGMEVVNHIWNVGSTVTDGAPVKHALEQENLDAKGRLVLSGSVLGPAPEPAPAPGHGGSSPKSSSSSGGAAPSGSTTPTGAAAARVSAPVLMLLAFAGFFAIV >Dexi9A01G0044670.1:cds pep primary_assembly:Fonio_CM05836:9A:48274887:48276949:1 gene:Dexi9A01G0044670 transcript:Dexi9A01G0044670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHRSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITRRQSFDHIPRWLDELRAHADKNIVIMLVGNKSDLEEQRAVSTEDAKEFAEKENLFFLETSALQATNVESAFQTVLTEIFKIHSKKNMVSEPKSNGAAPAMPGKKVVVPGPAQEIPKSKCCSSM >Dexi4B01G0005290.1:cds pep primary_assembly:Fonio_CM05836:4B:3724493:3729032:1 gene:Dexi4B01G0005290 transcript:Dexi4B01G0005290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPSKEKYIREIFFSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRTRDLDTVALLDHLPPLQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDIYKRATNQSERLSEFYEVCKTIHVGRGEKFLKIEQPPASFLQTMEEYVRDAPTMAKEKAVLAIKYKKEPEEEEKPASPPPAPEPEPEQEPVPEPEPVIEEPPPAEPDFLGLNEPSPAATAIEEQNALALAIVPIDDVPKAAPTFENGVTGWELALVTAPSSNETAVTSTKKLAGGLDLLTLDSLYDDANRRASQPAASYNPWDATPAAPMLQTMAPAMQDPFYASGGYAAPHAVQMAAMTQQQQAFMLQQQMMTMAPAAVAHPMQMQMQQNPANPFAAAGAYPYGAAGVPLHAGNAYTGLI >Dexi8B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:8B:23100001:23100777:1 gene:Dexi8B01G0013290 transcript:Dexi8B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSELVTLTGTKACVVVYSESDEVAGAMAPVPEVWPSAAEATTLLTKFKEIPDDSRLKRTRNHEQHLEERVLKVREEAKRLEAKNSEFATSKLLHESLAGLRPGLEGTTSMELVKLNDMVVEKMGKLWARMLELGLVGEGVHDAQLPRLPAIGGELDAVVGGALGVAGGGGHPPMAMNDNGGELGAVVGGALGVAGGGGHPPMAMNDYGGELGAVVGGALVACGSSGGNGGPSGGGADAVEAFSQGCAMGFPWARE >Dexi7B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:7B:24168556:24172677:1 gene:Dexi7B01G0018380 transcript:Dexi7B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVGSQHLTNVTFPFLFKKKQVLDATSDEPWGPHGSALSELAHATKKFAECQMVMNVLWTRLGERGANWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETIVGILNDKERIKAVRDKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTRESDSFGGSYRDKEPVKTSTSGSQKSGSKLRKDTKPDRRNEDYSSPSSLKASSNTKNSEDDFDDFDPRGSNGKATAKPSEVDLFGPNLMDDFIDASASTPATDCAVEPQADLFADADFQSATASTETATNMGVQGNVDLFADKTSFAAAFPPQTGFIPPPSSGISSEANTSVSKNTAPEPFDPFSDIPLNSFDGSDPFGGFSSNAGSSTVPPPPTHSSTGNISTSGQNLQAASDFGAFVSNNEEAAKDPFDLSSTVSVGKTPLAAPKTDASDFGAFVSSTEEAAKDPFDLSSSSNLGRTDQTPLAAPKPSSKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADIGIVGGLGDGSDEKAMPSWTMGTTSGLGMGIPPSTQTGGIESLANYNKHQFGFK >Dexi3A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:3A:13294303:13294962:1 gene:Dexi3A01G0017450 transcript:Dexi3A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVSRIALVKPEKARGVEDVLLRAAQTGSISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >Dexi9B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:9B:8614486:8617610:1 gene:Dexi9B01G0012770 transcript:Dexi9B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDTSRPLGEDDDLIELLWCNGHVVMQSQNNRKLPPPMPEKATCPAPPAPASVAPPDDEAGLWFPFALADSLDKDIFSEFFCEPPAAAVAPVPATTTGVDAGKLCLDVPMAEDDKRGAVVSEAPCDLMPPPKSTHVSAASRQQTMSLAADAGDINAGDLSELVRAGSSVAGKTAEAGASSSMLSAIGSSICGSNQVLVQRAVSAAATGRGGGGAGGGSGGAVPSAMGSANANAGGRGNNEATVASSSGRSNYCFGNTTTTTTEPTSTSNRSSKRKRLDTEDSESPSEDAESESAGMLARKPPQKMTTARRSRAAEVHNLSERTDKASMLDEAIEYLKSLQLQVQMMWMGGGIAAAPPVMFPGVHQYLPRMGVGMGPSMPRMPFMPPNATVNPVPLQPGYRGHHMPAVGITEPYAHYLGVNHLQPTPSQHYAQGVGYYPLEMKAAVQQNPALHHVPGGGGMPAAAAPGVLLPPESAPSRGPG >Dexi3B01G0025950.1:cds pep primary_assembly:Fonio_CM05836:3B:20902683:20906088:-1 gene:Dexi3B01G0025950 transcript:Dexi3B01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASAPSSLFAPAAASTAPAAAHNALLFPSSVPSLRAYPRLLLAFRRPAAAAVADPQGAVLEAEEEVVVDQGGRYDDDDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRGAQTRSKRFLEIQKLRESKKEYDVPTAITLMKQMASAKFKESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDEARAAGADIVGGDELIEQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEYRVDKSGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSN >Dexi9B01G0037860.1:cds pep primary_assembly:Fonio_CM05836:9B:39127266:39131516:-1 gene:Dexi9B01G0037860 transcript:Dexi9B01G0037860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATMTWHEDLATLVGDTGIRLPGAGGDAPAAANVAAVGAGWYGEEEEVRAEEGWVQQDKTLLEGPEFNAFWEKNVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKKEDRGFFELIKSLFNQVEREWVGFLGPIHIWQGMDDRVVSPSVAEFVRRVVPGATVHKLLDEGHFSYFCFCNECHRQIFSTLFGIPQGPIDPAPQPSDVASELAEEATAPDNLTEQEQGTSSLI >Dexi3B01G0029480.1:cds pep primary_assembly:Fonio_CM05836:3B:28758751:28770987:-1 gene:Dexi3B01G0029480 transcript:Dexi3B01G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLGGGGGGSAGSGGADVGSLLEKAKELDQLKKEQDDVANEIGKIHKKVLTSPEMVDKSVDAILLKLRGLYARAKELSESEISASTALIGLLDGLLQSGASTVQRKKIEGGEQKKKRIKSDTDTARSSAAYIRNQLDQPANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESTQKYESYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >Dexi2A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:2A:22583430:22590270:1 gene:Dexi2A01G0013790 transcript:Dexi2A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAAMLPNGNSSSPKRQEQGGQRSAPPAPAVPSPNSGKETRSGLTKKEATSGGKGATSGDQERVRDPKTLRRLAQNREAARKSRLRKKGVFLGTGEQPGFPSAPSPVFDLEYGRWVEEHSKLMFQLRAALNEHLADEQLQGFINGAMAHHEELLNLKGAMARADVFHLLSGVWASPAERCFLWLGGFRPSEVMLKHVEPLSEGQILGIYNLQQSVQEREESLNQSMEATQQSISDIVAAPDIPPATFMGHMSLAMNKVAAMESFVMQADGLRQQTLHKLHHILTTRQAARCMVVIGDYFHRLRALSTLWVARPRQEDGPGL >Dexi4B01G0002660.1:cds pep primary_assembly:Fonio_CM05836:4B:1717411:1719554:-1 gene:Dexi4B01G0002660 transcript:Dexi4B01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIAARLSGVEGLYFPSSFLSPSASSSSPPSPRRRGAELRALLARDAPLFLERYGAALSADELAAFDALRPDYEVDWHLRRLRAAAAGAPPPAARVRNRRRAYLDRLVREGDYFSEEAMREREPYLHHEYLGKFQDPLGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGPEEAMEEQEEEEEEEEEEEEEEEEEEITKENGTQADKPSATEVVPNGAAPMDSNSGGGSTAGAFKQTLSSEEMEDQLEQFTYVMQQKFLSSEDTEHMDYSRIDNDEMLDDHWSREANYDAEEKYFEED >Dexi5A01G0012000.1:cds pep primary_assembly:Fonio_CM05836:5A:8993753:8998437:-1 gene:Dexi5A01G0012000 transcript:Dexi5A01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGGTTEKSLSLEEQQEKINELRKELGEYSSAAIQGFLSEASLSRFLRARNWNVQKASKMMKAAVKWRLAFKPENICWEDIAQEAETGKIYRADYKDKHGRTVLVLRPGLENTTSATGQIKYLVYSLEKAIMNLTEDQEKMVWLTDFQCWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVRPFLDHETRKKVKFVYSNDKESQKIMAEVFDMDELDSAFGGNNPATFEYNSYAERMQEDDKKMGSLHSSTDSLLESAEKGTNGADSDASSEASFYSGSDSPKHEDGGHSAPKKNG >Dexi8A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:8A:11976792:11977531:-1 gene:Dexi8A01G0009240 transcript:Dexi8A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYAAPEEAEAALGRAMTKAEAAWFWYTAATPDFWLYCCCVALVLLVYTLAPLPLALLELCAPAKLTSPYKLQPQVRLSPAAFLRCFKDTSLTMALSIAPLPFILYPVFKVRTGLPLPSPWESAAQLLVYFLVEDYVGYWIHRLLHTEWCYDKIHHVHHEYKAPMGYAAPYAHWVEVFVLGSASFAGLAIVPCHITTFWLWFIVRPLEAVDTHSG >Dexi6A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:6A:24944771:24947702:-1 gene:Dexi6A01G0017090 transcript:Dexi6A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKENQARGRQPHNYNQYHNHNNSAATNNSAATVSGGSKNRFAKIGDDYHTLEQVTDALEHAGLESSNLIVGIDFTKSNEWTGRASFKNQSLHAMGNTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDQTTHDQSVFSFYPDNQPCDGFEQALARYRELVPQLKLAGPTSFAPIIETAIGIVDSSGGQYHVLLIIADGQVTRSIETGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTQIMSRPIPTSKKEAEFALSALMEIPEQFKAAMSLQLLGKRRGFPNRQVLPPPVQQYYGFAAGKQTPSTSYGAAPKTSQASQTPSAPQQDSDIGAPQTCPICWNQAKDLAFGCGHQTCCDCGKDLKVCPMCQKAISVRLKLY >DexiUA01G0024860.1:cds pep primary_assembly:Fonio_CM05836:UA:51841451:51843385:1 gene:DexiUA01G0024860 transcript:DexiUA01G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKQLLENLALKINAKVGGRNTVLEDALNRRIPLLTDVPTIIFGADVTHPSPGEASSPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIIDALFTEVRDPVKGLVRGGMIRYIKTNQYFFLFSFCGTNTLYCRDLLISFRKSTGLRPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPRVTFVVVQKRHHTRLFPENHRARDQTDKSGNILPGTVVDTKICHPSEFDFYLCSHSGIKGTSRPAHYHVLFDENGFTADAMQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARYYLEDDNSDQGSSTGTFRTHDQSVPVKQLPRIKENVQKFMFYC >Dexi9A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:9A:4727241:4728128:-1 gene:Dexi9A01G0007960 transcript:Dexi9A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANLFLLPFLFLFATHHHLTRRRHGKTSTKKLPPGPLAVPVFGHLLFLAGKPLHQSLARLATRYGPVFSLRLGSRHAVVVSSAACARECLTEHDVTFAGRPRFPTLALMTYGGTTVVNYNYGPYWRHLRRVATVHLLSASRVTSFMLPAITAEVRAMARRMHRTAAAAAASGRSGARVHLRRRLLELILSAMMETVAQTKTSRAAEDADTDMSPETQEFKESMDVLLPLVGAANTWDFLPILQRLDVFGVKKKIASVVGTRDAFFQRLIDTARADVLSPQYAIPPKHAVRMSF >Dexi3B01G0001950.1:cds pep primary_assembly:Fonio_CM05836:3B:1366407:1369284:-1 gene:Dexi3B01G0001950 transcript:Dexi3B01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPAKVYYDGCPGCAMDKKKETHKGIPYKELLFVGITSFASALPITSLFPFLYFMIRDLHVAQREEDIGFYAGFLGASYMIGRGIASVFWGIIADRIGRKPVIAFSIFSVIVFNTLFGLSVKYWMAIATRFLLGALNGFLAPVKAYSIEVCQPEQQALGISIVNTAWGMGVIIGPAIGGYLAQETLHKHKDIEGAVEMVEASTTQEQKEPPKKSLLKNWPLMSSIITYCVFSLHDTAYVEIFSLWTVSNRKYGGLSFSSKDVGQVLTAAGASLLVYQLFAYRWVDKTIGPIHSIRIPSVRIRLGVLLYIAAMLKSVFAITRVTGTCLLQNNAV >Dexi7B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:7B:19387203:19392559:-1 gene:Dexi7B01G0012620 transcript:Dexi7B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPSSTFPPPVIPLRRRAAMAVVLLLWLVAGVPLSILRPRAAKSSSLAVAAVQEKQPERTVLVVASRQAFLRGPHLRRPERPELDVDGIVGGVLLGGALWGSGLSINVFILRGSAYDQKKALINLVNQSAGMSSSNMLTDRPRRDVSPACRSRQDVNKTLDPAKVYAEDKLGAINWSSSSNKPNASSLNMVSAKGMSKELESKKPPSSVVARLMGLEDDFPGQEATLQYAKRNLKKSHLNVNSSERNSLHQHQEQHNSNRAIRDIHIGHKETVEFKDVYEVSEEPLRTYHLEDQTFPRGTSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQDALEVLSSNRDLFLKFLEEPNSIFSKQLAGLHRSSSPPQTKRITVLKPNKSVENEGRRDITQRMDEENEHVTPRSHRRSHSAEVTFSQPTRIVVLKPSPGKPSGTMTRLTPQTTPDQLTEKKDFYGGLEGHDYLPDGLHRRDESLLSSVYSNGYGADESSFSRSEVDYVDEEDGSDSEIVSPVSRCSWDHIKRYNSPYSGSSFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEQMQLPRSSSTLGEMLSLRGAKKQGGGVVSVSSRRQYDAENELSVQTTCVSTFVENEGDGQSSPKNLSRSKSVPVSSSMFDNIAPKARSSNSEGCKTPNVVTRSDKGKLSLKGRVSSFFFPRSKKQSKEKLTLSATSSDEKVEVTCFGSMKPEAAHNIGTDDNMSFHEGKGDSSTAQTICSSKDIVSTEVPISSACSSGHLDGLRSGGGLNCSHDEPSPTTVLDASFEDSNINESESSRSITCDNERTALRSDAIESVTCSLSWEEMSSPSPLLGMTKLTSLNSVDNDELECVSFVQRIVSFAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSSQKLLFDYVNMALAEIGQDTLLRAYPWSWSQARSMALKETLSLDLVEEVPRHMRDWLYGSGKFAVSDNDDAGTLLERIMQQEVEGRGWMKSMRWELDEITEQVAWEVLEVLVEEAVDDLTLFSSQQEMPLTIPNL >Dexi8A01G0013530.1:cds pep primary_assembly:Fonio_CM05836:8A:23534669:23538126:1 gene:Dexi8A01G0013530 transcript:Dexi8A01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALARAASLLRRAARSAPAPVASRHPLPGTGPSLAKNLPPFCFNGYSTLLTPANEVLIPPELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQLLVFEDLEVPSHKTKNIVQYIKQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNILPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR >Dexi1A01G0022620.1:cds pep primary_assembly:Fonio_CM05836:1A:29265797:29265995:-1 gene:Dexi1A01G0022620 transcript:Dexi1A01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSVQSMRLQKSVPSQRQQPETQSQRMQQQMHSSKAMSVRILCKVEGLIRLSNVSTLDRMGD >Dexi1B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:1B:3340994:3342021:1 gene:Dexi1B01G0004200 transcript:Dexi1B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTSAGSAEERGSVEELGAHLSLGIGGEGGSSRPPPPPRTVQLFGEVLSLLQDADDDTSPAAATTRRKRDRGGSGSTVAAAVDKEAGSRQSKKGRKSESQQGDDDGDRRSPTDDGDGGGGRKKLRLTAAQAALLEDTFRAHNILSHAEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCELLRRWCDRLTDENARLRRDLADLRRASSAAVCAACRGGGGNNHVAAASRAGETA >Dexi2A01G0037490.1:cds pep primary_assembly:Fonio_CM05836:2A:47062152:47062621:-1 gene:Dexi2A01G0037490 transcript:Dexi2A01G0037490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVDIVPNIRMDALNMICGDFGPFFPQIPTKVPLWLAVALKKRGKCTIRTPDWMTLGQCFLPAPAQASAPCSLCQIIPQYWF >Dexi5B01G0022400.1:cds pep primary_assembly:Fonio_CM05836:5B:24696452:24698823:1 gene:Dexi5B01G0022400 transcript:Dexi5B01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSGKELVATLAPVAVYWVYAGIYEALLAHTTVLDRYRLHSRRDEETKNIASRKDVVRGVLLQQAIQVAISVAVLKPEPSLVVAARFGVAMLVLDAWQYFMHRLMHSVPYMYRRFHSWHHRVAAPYAYAAQYGHPVDGVLTETLSGAAAYVASGMSPRAAAAFFAFATAKGVDDHCGVAAPWNPLHALFRNNTAYHDVHHQRGGGRRNFSQPFFVVWDRLLGTHAPYALRQRDGGGLEVIAFNKDQTR >Dexi7B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:7B:2173738:2177047:-1 gene:Dexi7B01G0001220 transcript:Dexi7B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCFLVLLLLGSSAVALLSKEPGRVSGTGSSSSSPSADEIALLSAKSALSDPSGSLASWNASTRLCTWRGVMCSRRHPGRIVALSIDSMGLGGRVSPFLGNLSFLRTLDLGNNYLVGPIPPELGRLGRLRLLNLSVNSLEGGIPAALGMCTQLTMLSLAANQLQGEIPSVVGSLHNLALLDLQMNNLSGEIPPSVANLSSLQALALTFNTFSGAIPPYLGRLPELSELYLAEYGAGSIVSTHGDIYSYGILVLEMITGRRPTDNTFDGTSGIHKYVEMAINNSVMDIIDMDMALELETIGGLSSKTRQINSLISLLHLGLLCSAEMPSNRISTKEVIKELHVIKNALVQGEVSGR >Dexi2A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:2A:5317551:5319335:-1 gene:Dexi2A01G0005520 transcript:Dexi2A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRNPKGHSDLTGINVFVSNADPEKEPLPAIQRSPSAGEFANLERSQIPPSRHSSPSPPLAARWIARTLRPRGARYRWPAPPTTPSWTSSRFRFKCVSKPWRDLLTDRLRCRKFPQTLEGFFLGGSSGDKDNFGHFVDVSGRPSPLVDASFSFLTELPEIQKINLLGSCNGLVLFGHRRDSDNFDSLGYVVCNPATQQWVAVPSCGWTPPPLSYLYACYQYTCTYLIFDPAASPHFQLVQFTQDEEGVVLQFRENNDDDEAVTEVRTYSSESGVWSNQTSEWGAHGCIMSSFGSVFVNGMLHFMVGGEYGKEDQIVVVDREGKKCRNIRWAKERGEILFVGQSQGRLHCISGLANGIGETPEVSVWVLEDYDGEEWVWKHSVSFAHLSGNLNGEDVLNFYFVSIHPECNMVFFVRSDSQKLISYHMDTKEMCVLCSVRRDYEHVTQYVPYFSVSSALANRH >Dexi6B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:6B:23742146:23744233:1 gene:Dexi6B01G0016490 transcript:Dexi6B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPMTSSGLLRYRSAPSTMLGELCEDFLQPGPPPRAASPDHAADTNVFSRFLADHQIRDKPPAHAFPDTAAMASQAQQQHQHQQQQMMFHSQQQQQQQMAAVESGLYRTVSSGMEAPTAGAGGSSSLIRQSSSPAGFLNHLNMDNGGYGSMLRAGMGVGFRNGASSAAAAADSPSGGGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGGGRGYIPGYPMSSGWEDTSSLMSSENLSGSGMKRPRDSSEPGQSGLTQQFSLPKTSSEMAALEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLNESRANCTCSASKNQQYSG >Dexi5B01G0019210.1:cds pep primary_assembly:Fonio_CM05836:5B:21559215:21560289:-1 gene:Dexi5B01G0019210 transcript:Dexi5B01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKAALFAGLLAVAALSSAVAWEDYDHHMYRTCYKSCMRKCDDDYDDDDDDDHDDAFKNVIQPLAAASVSDDHDDHDDHEDDDHHDDHDDNHNHGDDHDDDDDDDDDDDDDECRVECTQDCIDYIPGVCYRHCVAHSCYFLPPSATAQYTKNSATKATNVHARTEEDDDNITSAHGSTVDAGAQATVGAEAATADAEATGARATTFDAEAATATGARATTVDAEARPRSSERPGNN >Dexi3B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:3B:6998859:7002408:1 gene:Dexi3B01G0010100 transcript:Dexi3B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVGRGATDEELKKAYRVLIMKYHPDKNPSSEAEPLFKQVSEAYDVLSDPQKRAIYDQYGEDGLKAGVPPPTAHGAGAGPFHFQTRSPEEIFSELFRGKFPGAGPRSPGGGVPHGFPRFGGAAGPGEASSAGLQRKAPPIERQLPCSLEDLYKGATKKMKISRDVLDAAGKPTSVEEILTIDIKPGWKKGTKITFPEKGNETRNIVPADLVFIIEERAHPKFKRDGNDLVYTHKISLVEALTGFTVQVTTLDGRSLTIPVKSVVSPTYEEVVQGEGMPITREPSKKGNLRIKFQIKFPTNLTAEQKAGIQQLLS >Dexi9B01G0043150.1:cds pep primary_assembly:Fonio_CM05836:9B:43193502:43194015:-1 gene:Dexi9B01G0043150 transcript:Dexi9B01G0043150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDSCRVQYGTSWVWRMREIDKTIEEESRKGLHHDSSCERGGRTAKPSSPLLKPRPALLSLSIPLKIRKLFDAPKRERRRRLRLAAMS >Dexi3B01G0033960.1:cds pep primary_assembly:Fonio_CM05836:3B:36745906:36749233:1 gene:Dexi3B01G0033960 transcript:Dexi3B01G0033960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAASTPQGVAERRGIPAAAFVEDVEAYLRQSGLDVNSALAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVAALQANKALGEALIADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANTLLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAVKET >Dexi1B01G0007170.1:cds pep primary_assembly:Fonio_CM05836:1B:5944745:5952906:1 gene:Dexi1B01G0007170 transcript:Dexi1B01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTLLAVNKSSPSNGDVMSYGRHGSILTGVHDHAQPSSFSIRELPPGNLLSRKLPPELAGDVFQVWEFLGRYAEVIGLKELPSVEQLEDELIDPWPICVNQKDTPHFRDPTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETLSCEDGQDKLAAQTLGRCSGVVLPELHLALLKVLFTELVPRLALFVDPRIDSKESKSKRGRKRDTDILTRELKIDMLTANKLTWPELARRYILVVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDASLLAEAETLICNSSANEGNKVFMMDYKDSDIVDSPEEPACDTALPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWAREILEHSISKEVYKGNASGPTKVIHNLQTAFGDRPEVLVMVAALSRSFESLYKAEVQDLVEKFDKYLSNENEYEVHNGGKCNSSNDSPNESKDIDEPKLKGTKSDIKEKDPVDHSCSVEPSNSGNLDTCPFDFEEICRKFKTNDSNKETVKEIGLLGSNGVPSFVPSSAFFLDPPALLSENKINDDIPNDWASSLEECQTMSAKKSGVEGSQADQYCTGSAGDDQMPKSKKPVRDSSSAKEASSSTDKSTRLLTVNGGLVPESSLMPVIGRNFHILKQLKINLLDVEAALPEEALRASKSQQIRRRSWRAFVKGAESISHVVLATNFLQSMLKAEFLKKDWWYWSSFTAAIKTTTASALALRIYTLDDCIMYTKDPAPNPDPADNARSGNKGKRKRDADS >Dexi3B01G0036290.1:cds pep primary_assembly:Fonio_CM05836:3B:39122499:39127245:-1 gene:Dexi3B01G0036290 transcript:Dexi3B01G0036290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTGPASPEQPPAVDLAEVEVKVGVGPRAKEEAVVKADVEDEEGEQEEEEGGRGARRQRRRRGGGAGDGGAVVMVKRELLARCMTCPLCRRLLRDATTISECLHTCESFLLSLACSAAPADPGGLERSVRRVLWELPATEIRFGSLLVLGAAPDLVVRGPGTWVGAVGVDSFPAIRKRCAAFWASMRDCDSRLCRKCINKKINDEDLDHCPVCKIDLGCTPAEKLRDGSIPASSIQKYIMQKLSLPSESEVEISCCGQSVNPTQPVRNLVERWLRVGPVRPLQTLIGSSGGDYVMVISYGRPRSS >Dexi5B01G0034220.1:cds pep primary_assembly:Fonio_CM05836:5B:34462289:34464143:1 gene:Dexi5B01G0034220 transcript:Dexi5B01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLFLIHMVFTLKLYQVDWCTAMVSFRSLGGFFKVRTTLEAIHQNGIPHKLQQLSSAPFLPPSFCVLADAAAAFAPGIDLII >Dexi9A01G0043980.1:cds pep primary_assembly:Fonio_CM05836:9A:47634890:47635556:1 gene:Dexi9A01G0043980 transcript:Dexi9A01G0043980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEPQRDEGAEGVQGGLRRGGRAAREGGEDGVSGLEGGADASAAAEGVEELRGEVREAEAGVRGERSVGERGRGETRKEVAVELAQRVVRNEFEEEREEVPVLEHGEEREEGGEAGRRRDEAAARRVCGEASDGLAAARESTRGWCEEVRRGRYGARTVSMGGGVGRREVETSASATAETRAESCSVPVPDAAAGEEKVGTEVEVEGGVSMAAGGGVV >Dexi8B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:8B:2346327:2346989:-1 gene:Dexi8B01G0003160 transcript:Dexi8B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHCGKDCCGKDCGNHGDEDFTQSCRRLLLILLTLVLIAGVVALVVFLVLRPTHPRFVLHDSTLHQLDITNTSAPLLSTATQVTIASRNPNARVGVLYDRLDVYATYKHQQVTLATRLAPPAINQGYGDVDVWSPVLSGPNVPFAPFLADAMRQDVANGYLVMEVRIDGRVRWKVGSWTSGHYHIFVTCPAYFIGGGGYTGGGAHGLTFKMPTYCSVEV >Dexi2A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:2A:30988520:30992856:1 gene:Dexi2A01G0018830 transcript:Dexi2A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVAVVLEPPRPKSPPRYPDLCGRRRLQLELQILNREIDFLKVSFAGRETRAADRGSAVNPRRDRLALFGRAAVVPLWPCGSVPRERSGEAWAAPQRGSATALAVSVLRVEQRVGVSPLASPPVLASRTRTRHGSSLVRHTIVRGNEGLFDAVLRFQRTDLRCWFWWLKTSYNHLKEFHKFLEAVKNQARSGAAGWTTAPVVTRVANLFAHPVVRAAARAATASPMLQMPVVVLQGGALVLQVPIFVLRGGRRQQQLLEVVLQYPKAVVLRLLVRVRLVVQKVYRRVSMLWVP >Dexi2A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:2A:6215206:6215619:-1 gene:Dexi2A01G0006610 transcript:Dexi2A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQRSSVSFRRQGSSGRIWDDPLRGLDVKSLSTTTTPRAAATTTTPMHPDASILLAGDPSPRAVSRSMMMRHGGGGGGGGAIVESPDMSSSSPAATVVVVVRADADGERPARRRRRISAAFCACMGHPPASHAQQ >Dexi3A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:3A:16004208:16004495:-1 gene:Dexi3A01G0020110 transcript:Dexi3A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVHEPDVGKKVREHCHKLEEEASEDMIHTWVLAYLHRQVGKKVEVHKVEVEKQRHHSCKEQACCHIDEESLSLHNFLPFLLLRETELPETWER >Dexi3A01G0009370.1:cds pep primary_assembly:Fonio_CM05836:3A:6551755:6554579:1 gene:Dexi3A01G0009370 transcript:Dexi3A01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTTICSWMASSGDAPPMMNPVMAAGSEISPTVLALSMTGASAIPNELFTCCNVASRGVAPRARAVSTCRAERDRS >Dexi3B01G0019670.1:cds pep primary_assembly:Fonio_CM05836:3B:14657648:14660823:-1 gene:Dexi3B01G0019670 transcript:Dexi3B01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASSSTPRPLRLAPHRTTLGVASHSHRIDAPPSLRFRSSRPRLAVSATAGQAEAAEASGSGRFYFNFTGFPFPLGPFLNRRTIRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLLKELDAPVEHIVLPTFAYEHKIFVGPFSRKFPKAQIWVAPRQWSWPINLPLEFFGIFRAKPLEDEDDATPWATEIEQKVLSSPEVGIGPYVEVAFYHKPSKTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWRFRWIIPCHFAAPINASRSDFLAAFAFLDEFLPDRPAAAPGLSLLFASFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >Dexi9A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:9A:1870139:1870799:1 gene:Dexi9A01G0003530 transcript:Dexi9A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQHGGNGGSRGGSRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLRRPTPRHRAQIISRRPLRGHGNKAEHHHAAAAAAARLPFAVGAAPAGCDVGQGEWVYDEVARPWYQEEECPYIQPQLTCQAHGRPDKAYQHWRWQPRGCSLPRQF >Dexi1B01G0000960.1:cds pep primary_assembly:Fonio_CM05836:1B:808425:810137:-1 gene:Dexi1B01G0000960 transcript:Dexi1B01G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMEAGAPGSSLHGVTGREPAFAFNMEAAAAEPDAAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLTMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGSLHVLMGVLVLTLGQDLPDGNLRTLQKKGDVKKDKFSKVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGLLSDMGARYWGMRARLWNIWILQTAGGAFCLWLGRADTLPVSVVAMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSHYSTGTGLEYMGIMIMACTLPVVFVHFPQWGSMFFPPNKGAEEEHYYGSEWSEEEKSKGLHGASLKFAENSRSERGRRKNAVEVTSATSPPNTTPQHV >Dexi5B01G0031840.1:cds pep primary_assembly:Fonio_CM05836:5B:32508974:32509288:1 gene:Dexi5B01G0031840 transcript:Dexi5B01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETRANPSSSLSPSLSTSSSAGHQKLAGAHEAERKEEDEELAMEYGYPCNGCGSNKEKRPPLKRGQLKLQIARTLLGSLVAPGAKNRDRSFGR >Dexi2B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:2B:14642127:14650790:1 gene:Dexi2B01G0012210 transcript:Dexi2B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVAIVPGKPQVQIGSHSFTFDHVYGSTGTPSVAMFDQCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMATLFDKIESLKSQVEFQLRVSFIEILKEEVRDLLDPTAATVGKVENGNGHAKLSMPGKPPVQIREASNGVITLAGSTEVHVSTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKTDPIMTADGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQIEYLQAELVSARGGVGSDDVQGLREKISWLEQTNEDLCRELYDIRNRSQIDSCDPEIQKTLNGFTKSEGLKRSLQSTDPFDIPMTDSVRGNPKDIEDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKSLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKEILEARKSSSRDNSAGTNGTSPGSNMGERSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDIRAGASSPQRGKNGNSRPNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRSRWNQLRSMGEAKSLLQYIFSVAADARCQAREKEVEIKEMKEQMTELVGILRHSESRRRDMEKQLKQRDQTAPMATTPPRSGNGTAKHSADDPSTPLSPIAVPAQKQLKYSAGIVNSPGKEISVLNKEQLKMVPIAQLSVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETIIRTRPRPQLLPPKPHRMM >Dexi3B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:3B:4774038:4777815:1 gene:Dexi3B01G0006840 transcript:Dexi3B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEIEEVSTGGFWTEEDKALCASVLGSDAFTYLTKCGGAISEGLVAASVLADLQNKLQNLVEADGQNLRWNYAIFWQLSRTKSGAVVLGWGDGSCREPHDGEIGFPTSVGAADVSSVTRQRIRKRVLQRLHTAFAGADEEDYAPGIDQVTDTEIFFLASMYFSFPHHVGGPGKVFAAGRPLWIPNNELKVSPENYCYRGFLANAAGFKTIVLVPFKAGVLEVGSIHNVPESAEALQTIRSLFLGTHSTRTAIEKHEENVSVQISPGSTKIFGKDLNMSQPSATKGADSSKVDGGSKDELKSSGGESMSLPNLRRGLQNFTWSQARGLNSHQQKFGNGVLVVTSETAHHSNSSAPGTGVSPFQLQKPQQVLIQPPPQPRGPMQIDFRVGSSSKFGVLISPKAMSDGENGDMDNLFKEEREDRQPRKRERKPMNGREDQQPLSHVEAERQRREKLNKRFCALRAIVPNISKMDKASILEDAVTHINDLKKKLDKMEADRQELLEQGRVDTNEQTTRPEVDIQVVQGGILVRVVSQMDSHPIKKVLQAFEEAEVKVSLHPSTHTHARTHAPSSPLSPARLGLSALPFLAATTCAARQLFLGHFAVDAPWFPRATNPRGKAPPGDLPRPLAAHKRWNRSPLPCVFHGEEFHEVGGRERFLDSTASLSPLGICLRVAPPGRRPCDLPPPPSYPPRDLHHGASTFTSAEERCGHKQVQRFSIEASALSDEEHTN >Dexi3B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:3B:2549336:2551763:-1 gene:Dexi3B01G0003730 transcript:Dexi3B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVPIKNDNLNENSGPSKLLDRDNSSSPLEISSRAIVLETSATEVEISDTVGNFHKDNPRKRRRLILDDGDEAEEKMAEGVQKENFKSQPPNFEPVVKHMCMEEAVDTGELNDENLINCRPVKRRRYIAEDEDDKDIVGSANAVCALNDATNWSLNIGTSMVPQTLVAADHSQQSSPSHSESDDQQHYVFAQPLDELVWSGVFKIDTDVLLLDAHLSTKACPRVRELSTSLRTVVEVNKLPRSQVWPKSWNSFGPTDENIGLFFFPHNLRQNEVSNTLVNDIIQSDGALKVTVGIADLLIFPSSLLPEQYHFFQGNHYLWGVFKRRKDMSALIEEQDGSGKHDAEQGQQQELDHLDRRDEALYDSSDQETLAVKHVVRIENQLLGCDHNGKSEAVNAATREGTTSPGSSWSSAKLNSPKVGSNCSVELRTSKLPGDLDQQTSLPEWNTSSTEQSCDSGSSKLVKPVEHCHGQPHSGSEPPSCNLFGFVAARTPRSQQLIQEMVSEGAILFPVPEQIVTTGSVTGSSARVVPSEMNPDTERLHLSEPAQALGFVPIGHGESGADSEACLELFPVRQERIGWAPRAEASRELDLDLSLGKQPRAPSSPPLF >Dexi3A01G0015740.1:cds pep primary_assembly:Fonio_CM05836:3A:11723701:11728091:-1 gene:Dexi3A01G0015740 transcript:Dexi3A01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSLLRWLRHRSRRVSSSSFHLTSTTTNNDNTATSRDLHTHSLPHQSHAEEEEDEGWQEVAEGPESAPEGCIVFDERGAGPRAPVRTKPPPMDPSKKESEFFTEYGEASRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDLVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTAEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKICDFGLARVSFCETPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYLSNMRKKPRVPFTKRFPGVDPMALHLLERLLAFDPKERPSAAEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLGKDDVRELIYREILEYHPQMLEEYLRGGDQSQMSFMFPSGVDRFRRQFAHLEEGIAKGEKPSPQLRQNVSLPRERVIGNKHGDGDAVNKPVHASVTDGISQPVLSARSLMKSESISASKCIGEKPKHVKDEDSIMETVDETVDEVSKKIAQLKT >Dexi2B01G0008960.1:cds pep primary_assembly:Fonio_CM05836:2B:9746954:9748705:1 gene:Dexi2B01G0008960 transcript:Dexi2B01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRGELGRQLPLRGPLKALEADIHHANAMADAIQRNYGGACVQMRLSFSSLAPFFLYLVQWFDCGCCYALPSYLGLFHVLICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDVVNRRRIDDWKKLSGKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSRDLWVLTNYNDVIDPANLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >Dexi2A01G0028500.1:cds pep primary_assembly:Fonio_CM05836:2A:39796291:39797316:1 gene:Dexi2A01G0028500 transcript:Dexi2A01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGTYVMESDRAKRTHGTSRALAPAWWESFGFRLRDVREDVLDCECDCLFCRNRFKFGSPHWSIYGAILERVPTAQDWRHPPSPAAPRFIVAFRGTMRPWHRGDTFLNLELLVNRQHACRRFCHARRKVGELVAYYGGGGGAVWLAGHSLGASVALDVGRDMATKGCYLPTFLFNPPQVSMAPLLNMLRVPEVAKRFMYRVGCKVKAKLGARTTKMVTLERKMEEQFEQLAPWVPELYVHERDLISRGFIDYFEQRQNMLDYYGSSQVALLGTRLSLRDMLLFLHAEDKEEKPAVQPHLLPSARLWKTSMQGHKHGLNQWMEPDWMLNLNYRLYGYPAGA >Dexi4A01G0023680.1:cds pep primary_assembly:Fonio_CM05836:4A:26694427:26696240:-1 gene:Dexi4A01G0023680 transcript:Dexi4A01G0023680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYHHYQMAAAAWREPDSPQLSFVSGCSSLFSISTLQDDDDGAVVIAGHALPSTPVSLAGFAGDEVDMEVQQISGGSGDDRRTIRMMRNRESALRSRARKRAYVENLEKEVRRLVDENLKLKKQCKELKLEVAALVLPTKSSLRRTSSTQF >Dexi9B01G0046210.1:cds pep primary_assembly:Fonio_CM05836:9B:45519220:45523573:1 gene:Dexi9B01G0046210 transcript:Dexi9B01G0046210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTRMEIQKLPCGPVHKTLLTPSKSITARARKDDPNSTVRWDHELFRPRKSLTLWGPRAEPDTCTSHEPLLQERSYKAELNPLAFLLTSDPKPSQISVAVSPLSATTPTASSNSAAMASEGDPSSSDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVLALHPNTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFIKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEDVDLENIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGLNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDEIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPAAAAASAGAADPFAAAADDDDLYS >Dexi3A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:3A:12942667:12943856:1 gene:Dexi3A01G0017070 transcript:Dexi3A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASLSAQKDYVCPNEAKSEQLANHSERGDSRYSKDDGFHNVNGNHDVSSKATIASKQSCMPGTRITNLDLILSQMSRMRNQICSGIVQPPIGAEPSDRWLKRLQLDISEPNIRGSKRPKILGSPPVGQTNCMFDITPPCNKIDTGMIGAAEDKGLNEGNSELQDKQERSPVPTKSMNSWIGRWCQGGTPVFHEDSGQGRQATKPEQPSEELEGQFPSIAAMAMMGRVMNKLRPCEHQKKGPFVVWKTD >DexiUA01G0008090.1:cds pep primary_assembly:Fonio_CM05836:UA:15177658:15178510:-1 gene:DexiUA01G0008090 transcript:DexiUA01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHGLITGATGTGKTVTLQKLAESLSEIGVPVFMADVKGDLTGVAQEGTPSEKLLDRLKNIGITDWTPHNNPVVLWDIFGEKGHPVRATVSDLGPLLLARLLNLNDVQSGVLNIIFRIADDQGLLLLDFKDLRAITQYIGDNAKSFQNQYGNISSASNFLVQGGDPTGSGTGTTLLPS >Dexi5B01G0012210.1:cds pep primary_assembly:Fonio_CM05836:5B:8644282:8644608:-1 gene:Dexi5B01G0012210 transcript:Dexi5B01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPQRGRTAESPRACGRRRGRATGSERLVGCILEQDPLLVSPFESSEWEAWQCRAGRRGPSVSSEGLGGKLGVRSTSVLGGLGSSVEALDSGLGAETALTHADVRFT >Dexi7A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:7A:25528593:25531263:-1 gene:Dexi7A01G0015690 transcript:Dexi7A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVCFSETKLKSRFQAASHSVEHWTAVSPVELVGLGPVTRKFGQLIMVCQLAWSWVIVALALAHAYKAVGEVQMEDGSGEQTPRLRRGCTVDYFPDDDEEGNNILAKIASWLPRENS >Dexi5A01G0023100.1:cds pep primary_assembly:Fonio_CM05836:5A:27157307:27158788:1 gene:Dexi5A01G0023100 transcript:Dexi5A01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLGVRMIAAACPATPFRAPPGKALLPSSLRRRSSSWWFSNGNAHERNSATATSRAARRRWWSDPEPNQQDYGYSSLEEEYDYDYDDEDEDEAAFRGLGGAGELFDEPWFSKVFKTYGFLLPVMLASMLAATGLKAFLLAMAIPLSQSAISFLIDAIWGRRRSNRDDRSRKPYQEEEEDYPEDTTDFATGGRGNRYTSSYYEGRRRRQDSYQSWVSNDFADAASGAAADEDNSTNSSSSEGEDDKSSGNFGGWDELLNDSNVDTQEKRRRRSSFSGGNTDYSKRQRPAVNGEEDMDNAAADRGAGQGLGAPSARMRMRRRRGMPRMTGLGSTRYKQVPILMRLLVAVFPFLGSWFRLL >Dexi8B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:8B:349729:351347:-1 gene:Dexi8B01G0000540 transcript:Dexi8B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSEGGGEGTPHADGYGDYEYIILPGGDRFDIPEGEDKQEWIQFFDEASRATREVIARRGDGRLADGINRAVILPDSTHRDGSIYSGSLIEMIGPKRGIEMHSAVLIEYDLRIKKGELEGNDMQLIDGVSDFDELIRPCKPFLSRIDGVGGAVDITLAMFHDAVEATIEVDISEVRGNGFTLQLNSSVGGLEKEIQLFHGTVSQSCGLRRFVVAVVRDTWMHLNFKFGIIDDEVERRCASFKAKMHGYASEQIKVDEASVMVKVTWSTW >Dexi5B01G0026360.1:cds pep primary_assembly:Fonio_CM05836:5B:28164907:28172421:1 gene:Dexi5B01G0026360 transcript:Dexi5B01G0026360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAAPAAGAGHHQRWSGSAGTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIILRSVEPAAAAAAAAAATGAPRLPLGARGDRSGGASSSRSRSPSIRRTSSHRLLQFSQELKAEAMSIARQFSQDLTKRFGRTHSRAEGQEHPQAPASGIDAALAARAARRQRAQLDRTRSGAHKALRGLRFISSNQANNAWMEVQANFDRLACDGFLSRADFAECIGMTESKEFALELFDTLSRRRQMQVDRINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIEVSLLFLLAIIRIFMQCNNLDLTPYRNRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTWMYLAVPVCLYVGERVLRFFRSGNYSVRLLKVAIYPGNVLTLQMSKPPGFRYKSGQYMFVQCPAVSPFECLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPVGPNKPHIDLGTLMTVTSKPKRVLRTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFAKPNWKKVLSKIASKHPYAKIG >Dexi9B01G0016570.1:cds pep primary_assembly:Fonio_CM05836:9B:11426063:11427068:-1 gene:Dexi9B01G0016570 transcript:Dexi9B01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTAEQLSFFDTNGYLVLEPFSSDADVRALRDRMAELVAGIGGSNTTGSSEHHRMAMDDYYESGENISFFYEGI >DexiUA01G0017070.1:cds pep primary_assembly:Fonio_CM05836:UA:36222967:36224396:1 gene:DexiUA01G0017070 transcript:DexiUA01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLQLQYLAAVPLLSLLFLSSVLMASSQSVFSLDRYGAHGDGSHDDTRALVKAWKKACTSPGPAVVLVPGGRSYLIKLVRLRGPCKSTVTLTVKGTLVASPNMADWSDKDRRLWIVFRSVDKLTVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHNCASLRVEDLNIVNSQQIHMSVKECTNVQLARLSITASGTIPNTDGIHIIRSRDVQITNCKVKTGDDCISIKTGVHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVWLHGTTNGARIKTYQGGSGYAKNITFQNMIMYNVKNPIIIDQNYCDKARPCKEQRSAVQVSNVVFKNIRGRTISKDAIKMNCSTNVPCHGITLQNINLKMQGGKGTIRSTCQNAQWRRSGTVLPQPCTAKN >Dexi3B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:3B:13496949:13499844:1 gene:Dexi3B01G0018190 transcript:Dexi3B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKDSIDLSGIGAALPNSAELSAEDKANLVASIKNTLEGLASRHMDVLDSLEPKVRKRVEKLQEIQGQHDELEAKFFEERAALEAKYQKLYEPLYSKRYEIVNGVIEVEGVTESADETPAEQKSGDETAAEQKEEKGVPSFWLNAMKNHEILAEEIQERDEEALTYLKDIKWYRISEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWHPGKCLTQKVLKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGRPRVLLEGKGRRVNDLRSASSSEVLHIQCLQTVILE >Dexi3B01G0025150.1:cds pep primary_assembly:Fonio_CM05836:3B:19970182:19972097:-1 gene:Dexi3B01G0025150 transcript:Dexi3B01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVYHPSKQRDKASNTISSSRDSAYTCHAVRRRLNTRRGAATVHRDLNGMALAPYGDREFFREAQPPGPCSGPRATTCAARPGRERPIRSARCQIQPPPEILASGTRSGGQVVGLSAARSSTHTPLGRRVEGLRHSPEEVTSFVEATALRQVPAPISDRHMPCPATSSNILNPHAPLADNLHTCAHQTAPGWCAALPTTHHTYTPSRWLRGRCADAEHEPSGGGRSPSARKAQRAEAGRGAARTATGTLPTGPAGHWPPLDKRVAEVAATASVVSGGREDGREKGYAGRVFGSPVMPIDPEGRTVTGWTRRRIIGPRALVFH >Dexi5B01G0020890.1:cds pep primary_assembly:Fonio_CM05836:5B:23104874:23105589:1 gene:Dexi5B01G0020890 transcript:Dexi5B01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEEEQRSAAAAGAAAGGEDHEGGGGGGLVSGLLGKAKGFVAEKVAQIPKPEASLERVSFRSVTRQGIELHSHVDINNPYSHRIPICEVTYTFKSDGKVIASGTMADPGWIAASGSTKLELPVKVPYDFIVSLMKDLGGDWDIDYVLEVGLTIDLPVIGTFTIPLTTEGEIKLPTFRDLF >Dexi6B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:6B:2328670:2330175:-1 gene:Dexi6B01G0002730 transcript:Dexi6B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHRRTSMATELEGTLLISGSLFPYFFLVALEAGGPLRAAALLAAYPLAALLGAAFFSDDLPLLAMTFLSTAGLSAADVAAVARATLPRFFLADLRATAFRAMARHVAGERFVVTRLPRLLAEPFVREFLGDDVIVAGAELRVVGTRLTGTVTSPPGIAGTLVAVLGGRDKIVDVGLCCAGDQPEFLQLCQERRVVSSPEKTPSPATPLPRSEYPKPLVFHDGRLVRRPEPATCLAILLWVPLGVVLSVTRLLLGFLPHGVGLLIAAATGFQIRADLTGAASPATTSRHRRRRGILFACNHQTLMDPMFHGSTVRGYKWLDSIFFLMNPSPWYEIRLLAPVATGDGGGGVSSRDVANSVQRMIGDELGFECTGLTRRDKYRMIAGHDGVDARSPASEKRKEDARNA >Dexi5B01G0024680.1:cds pep primary_assembly:Fonio_CM05836:5B:26707068:26714657:1 gene:Dexi5B01G0024680 transcript:Dexi5B01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPRSLSPPQPTAAASRLRPAPHPRPNSARHPQSRSAYPRSPGGRSGRLRRRRQPHSTLPSTPDRLDSSAAGDGRSLPLGARPGLGCLLHRRRRRVAPRPSALRRSSPRASRGPIGRHPPLTCAASIFFHRPPSTSRGTGHRLPTLCTLEAAASRLLRPLEQLEQEDGAICLGMSAMAPHYQAATLIASPSYPNAIAWSSDNLVAVASGHIVTILNPAAIDGPRGLVGLRRSDPFPIGVVNREDLFEPCLVPTSLARDTEPCARSISWSQQGFAPNSGCLLAVCTVDGRVKLYRSPIWEFCDEWVEVADISQSLFNYYKIINFGEDNLPSLNNTNTEEIEVLGSTCELQDPSLRGSGQRKRKPPRFDGYVYDGNQDDVDASEDADFSLKPCSKSKKKSLKKVTTGLSAPVSSISLAVPARYQYAVNLAIGRVSGSLETWIWNTSSCKIDNTNTCHAHDQVLSEVSNRCFGLTLAPGQQMVAVVRSLDLNLLDQMYQVRINSACEYAVEETCPYCSAPVHFESTDTAICRERHTLSRCRASMLLCSVLHPVWHCVCCGGMVDKLLPESFFAMQASPLDANQDEGSLDLSGAAVPLCPFCGILLQRSVPAFLLSTSPV >Dexi3A01G0027640.1:cds pep primary_assembly:Fonio_CM05836:3A:26496572:26498246:-1 gene:Dexi3A01G0027640 transcript:Dexi3A01G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLDSEHMKKFFYYIQLPDFYIASDAFRTFKELLTRHKSSAAEFFSRNYDWVNISNSSFEYAPLCASHFFSEFNSKLLSSPNYIIQRQATQLLGDILQDKSNTPVMICYVCSKENLIIVMNLLRLNMFALCSQLQFLHSVYQEQSKAIQLEAFHVFKLFAANQDKPPEIVGILSANKSKLLTFLANFSLDREDQQFEVDKAKVL >Dexi7B01G0017520.1:cds pep primary_assembly:Fonio_CM05836:7B:23446102:23446622:-1 gene:Dexi7B01G0017520 transcript:Dexi7B01G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYNPVSVGAYGDLEAGFSGHSATPLKPAASPRRPGRMFCDPCDKADDLHGHHHYLDICFRCRKLLSGNRDIFMYRGDMPFCSEECRQEQIEIDEAKEQRSKQTGRAEQQRQRQQKQSPQRIPIWAW >Dexi7B01G0003730.1:cds pep primary_assembly:Fonio_CM05836:7B:9296907:9301754:-1 gene:Dexi7B01G0003730 transcript:Dexi7B01G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFFFFLLVTAAVAAVILCPPAAAAPEEHLVTGLPGFHGASFPSKHYAGYVTVDETSERSLFYYLALSERDPATDPVVLWLNGGPGCSSFDGFVYENGPFIFEPGSSPGGSLPRLHLNPYSWSKWFELYPEFQSNPFYISGESYAGIYIPTITDEVVKGIKKGVEPRINFKGYLIGNPATDADYDMNSFVPFAHGMGLISTDMYEGVKITCHGTFWGNVDSLCQEKIDRNDELATTWLDDEGVRAAIHAKSGAGHAVPEYKPKEALAFYSRWLAGEKF >Dexi1B01G0031670.1:cds pep primary_assembly:Fonio_CM05836:1B:35134957:35135645:-1 gene:Dexi1B01G0031670 transcript:Dexi1B01G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNLAGREAVEYCRWRRQTMRSGGSATRPINSVSSSRAPSFLLDHFYSLVFLPGSVLSREAGTGRLVVAEPLPRSRGREDNGAKNGVQTVRLPRIRARHVRPGPAADADLSRAANTPSSAGRERGGGGREVEGRDGGGGTGGKTVWLLGREHVARPMQWRHVATADGLGHTTTKTTCGGPAAC >Dexi1B01G0018100.1:cds pep primary_assembly:Fonio_CM05836:1B:24401675:24407187:1 gene:Dexi1B01G0018100 transcript:Dexi1B01G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHAPHACHERATASGSPLFLRVLGAAPVTATAIALPTSFGPWAVGLDAAEPSNGPSDRYGMWLISAVAAPEFLIHTNLANPGALFGPWAWLIMTIGISALILGLWPMHVIWTYYCIIRTKMVGPVVKLLLLIAVTVILVLWIIIGITGSILAGLAYGFLAPAMATFDAVGEGKEKPLVHCFLISLSMARFKYRKDEASSHGGSLSRHTSFKGKHDGKKAPQRVTSFKSSFDEYNPFKVIFLFFWKIGNINLTVFPALLRSAKANSDGLILSDGFEITSDNRPKSKIFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRIKENLIRLPSLSEQKQAEIEAFARRLQGITKSMSRYPTAKRRFDVLVKSLSEELARTMGSQTANGSQVRKTKSGIVRMLSQRSVGKTTGIQGDDPEAQLTSDVYTE >Dexi5A01G0007450.1:cds pep primary_assembly:Fonio_CM05836:5A:5546573:5547361:-1 gene:Dexi5A01G0007450 transcript:Dexi5A01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSGDAFQANLATLLSSLPAAAAASSGFAENNTGITPAQAYGLAQCRADVGMSSCRACLNHTAREIASACPGRRNAMLVYEGCLLRYSNASFFGAPDTGDPIYQVSDVQNNVRQAPEQFASRLSELMDNLTMKAAYGSRRMFAVGAVNHAPFVTIYGMARCTEDTAPDDCNSCLEIVTKTIPKCCSGKEGGRVFARSCSVRFELYRFYNEEAAEAAMAPASSPATGGELVNGSDHSGPRITVSVSI >Dexi5B01G0036860.1:cds pep primary_assembly:Fonio_CM05836:5B:36444075:36448534:1 gene:Dexi5B01G0036860 transcript:Dexi5B01G0036860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCAISAAGELWAPYLTEVYGASSLVMLRLDKDAAKWVEVVTFMLKFPSLGADMQSDTIAALQGYKDCGYAPIRERGVYVKEHDMIYFLCGAVVYAYKLCKDQHQYQMAPPTRVARVCPFSHEGYGFLSYIGGGVICSVWIGVKLPCNNYDAKHVPITTFRVKGDGSEHVVPKGVKVLRSTCCWLDMAPHESYPEFIFLQEYEEFDHESASPPIRDGMESSTLPVDMEVPACSGVVKSSNMHACCRGSPPHRNLLFFLASDRVGERLTDISSIGNGYLLNSPAPQANLTSDSGSLPAGDEEEQDRFPGLAELLPRVAMADRTVIVTSVNEAWARPGSLLDLFRESFRNGEGIEHLLNHTLTVAVDAGGLDRCRAVHQHCYLVEVKSANVSAANQFLSKGYLELVWTKLSVLELDYNYLFTDVDVMWLRDPLRHINLHADVTMSCDGFSGSPESLENSRNTGFYYVKSTGKTVEMLRYWRAARPRFPGKHDQTVFDGIKRKLAARLGVRITFLDTALFGTFCAFRGGIDGRICTMHANCCIGLGNKVHELKSVVVAWKNYMGLALAAMERGRARWRTRGGVGQRA >Dexi6B01G0004760.1:cds pep primary_assembly:Fonio_CM05836:6B:4045030:4046976:-1 gene:Dexi6B01G0004760 transcript:Dexi6B01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRVVPRVVRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHGVLGIKVKIMLDWDPKGKVGPITPLPDLVTIHAPKEEDELRPPVLVPEV >Dexi2B01G0031230.1:cds pep primary_assembly:Fonio_CM05836:2B:39374764:39383790:1 gene:Dexi2B01G0031230 transcript:Dexi2B01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPPAAVAARVGLLYDDRMCAHATPDGEDHPENPERLRSIWRKLTAEGIASRCVALKAKEAEDKYIASVHSQNHIKLMKEISSKKYDSSRNKIARKYNSIYFNKGSSESAVLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHDEAMGFCLFNNVAVAANYILNERPDLGIKKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDYGSFYPAEGDASHCFIGEEAGKGYNINVPWEHGKCGDADYIAAWDHVLLPVTEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKSENEDVDHLSGAGSSVNVIQVADDAISEHLSKMKLDEDNITVKTASSCSTAEQHPPGSIVDKDAPVVLSKRISDLSLAWRSDLSRTHVWLEQFNDILFQENRLVLEDGKDGNVVYPDSPLIGSSEIEFMSTNKAIHLEPIKDSWYSNVLYLGDEEELPILTMTCPSSDIKRYKSGELPLAPPSKTYAATLIKGLVEGKQLDADGAANYINAAAARSLEG >Dexi4B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:4B:2734628:2740449:1 gene:Dexi4B01G0003920 transcript:Dexi4B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPEGGDADGGAAAEVGSPRSGYFRQRSMHAVTAAADPEAARRALDVESPPCGAGTPGCLRHSESVTKLESLERAALAPAVVLRTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKLIILFQSKGPEAAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSIKLLGIIVVISIGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQVAVFYFHDEFTWLKGAGLFTIMVGVSLFNWYKYEKFKKGQTNEDDLSSPQFTADAKYIILDDLEYQDEFEEEDT >Dexi2A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:2A:7199548:7202080:1 gene:Dexi2A01G0007380 transcript:Dexi2A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADAASAAAACADEAWPHLAISRHGTKRASTLLLARTVEFSQVPSVRDPPSKNRSLIVPQNLTEERVSMAAQSYTAATVFYSAFRAGAPDLSFSLPAAAAAAVPSSARPRSRGARACGGGCSHRRRAAVVRAMGSAPSSSSSSSSPSPQTPPAKLLFMEAAKAKYTSLSEEEWKKRLTEQQYYVTRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYKPIGDNVKSKLDMSIIFMPRTEVLCATCDAHLGHVFDDGPPPTGQRYCINSASLKLRPQ >Dexi5A01G0004630.1:cds pep primary_assembly:Fonio_CM05836:5A:3495661:3498836:1 gene:Dexi5A01G0004630 transcript:Dexi5A01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFTEEEKAVDDAMGYPKAYARLCRGGGGAVGLPYAHGPPHAFLPYVLQPHEALRAKDLNDMFPVTDAEAPPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGKTVPSNLRIVQWQVCRKKHNKLEFLMPWWDLQLGVSVNQFLSVFASKNSDFRNRAFAFMFADGSSEELSSLQVVEAHAFPQHFSEMTRKVGLAPAAIVSTRGSDSSVLKSLDANRPLRSNYPLIASKKFTGEKDENANLAISGHGPNSAKENNNPDADGYITNPYLSIAMARDSLRQREEAKKKQAELTELENEANELKQKNEEERVAIQGLEALLIKRKRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRKKYQHRDDLERQIKPERKRYRVDDGLLEERHSESVKYLSARRLSSPLKQELRVFLEEDQRNSDAYISLGEGEIGQGTSTHASAFGNARNEQLKVIHFPRRSLSIEHNNVDSERGRTLVREKLEELAIKERHRSRRRERKDTMASRGAGTPIRSRDGKGKATMQQRYEPETEKYHASETVSVPRSSSLPPSPPYRAVGMYGTPKYPTDQSTMLQKSEVLHYRRVPRSEDDGNTNHVGKGNVDKWLHMLMNNQQEDPAAYHSSDEQHEDDEENASEEQHNDAEENASEEQHDDAEDNASEEQQMPSRIDEESCRNEITECSDEIVEVEDEIASDQGTARSRNSFGIKEREEKKIWFPRSDSSRGFRSLPSSPSKILGMRRGVEYIGRKPKVVGEDASRYRYEDSVSTSSSKFLSRCKQAIKKAVIK >Dexi5B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:5B:21978319:21978780:-1 gene:Dexi5B01G0019650 transcript:Dexi5B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVAPRKPATDESASDAAAETRISFLGDDLLLEVFLRLPSLATLVRAALTCRAWRGAVASSPSFRCRFRAVHPPPLLGFFVEAPHDGHNPNVPASPPFVPTCTPATGTWRPPSAAATSSSPPSTAASRAAGTVMVNCPAGTSSTAPAATSSS >Dexi1A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:1A:1071720:1074399:-1 gene:Dexi1A01G0001590 transcript:Dexi1A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHQCMAAAAAAAAGDGGASVEAALRPLEFGSGGSRTRLLVPVAGGLVELFAARYMAEEEHMAELVMAQCGVPEQAPAGDGGAAVHAWPETPGFAWDGGGADTQRMYGTVLPPSLNLFDAGAADPFLAAAAPGVAVDDAAATEWQYTAAVAEGSELSVVAVQQQEQQVNVGGGGAADSGSEGSDGEDDGDGGGDGQGRGGGKGGGGKRQQCKNLVAERKRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDELEDPADGGAHDVLLDHPPPASLVGLENDDSPRTSLHQQPAASNKRARVSAAAEEEKGHDMEPQVEVRQVEANEFFLQVLCEHKPGRFVRLMDAMNAQGLEVTNVNVTSYKTLVLNVFRVVRRDNEVAVQADRVRDSLLEVTRESYGVWSSAAAPVGSSSSSIDVKLDGVDDVKLHGGVDAQPQVAAEDHYAGYNHLLQYLA >Dexi1A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:1A:21728989:21729330:1 gene:Dexi1A01G0014890 transcript:Dexi1A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSAAAMVACSPSWKPGGAEAPDSGEGKKRAVNRHRGADGGAARSGRSGRTAAAAASTMAHGTASATCSRASSRRTHSSRHPGWRARSSGEATTAIGTGGARSSRGPPPPP >Dexi3B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:3B:10868624:10870614:-1 gene:Dexi3B01G0015020 transcript:Dexi3B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAIHLRPLRSFAFSSSRSRSLLHLLARPLSSSQSSFAYHPSPPAAAAPNHGHPPPPPPGNYQQQAPSPPSRGYGPPPPRQHVSPPPPGGHGPPPSRGYGPPPPHQDGPLPPPRGYGPPPPHQQGPPPPPRGYGPPPPQRQAPPPRPRGYGPPPPQDQAAAPPPHGYGPPPPQQHATPPPTPPEPVAGPGELMGLCREGRVKDAVELLAKGARTDPPAFYELAAACFNPKLLEELRKVHDFFLRSPFRGDLRVNNKLLEMYAKCAAMPHARRTFDNMPDRDMDSWHIMIDGYSVNGLGDEALRMFELMKDCMAPTSQTYVLVLNACANSEAIEEALLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAMEYIEKLPFEPNAMVWESVLNLARMNGDIDLEDRAEELLVSLDPSKANPKKLPTPPPKRRLGINMLDGRNKLAEYRLPPKIVKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >Dexi3A01G0030340.1:cds pep primary_assembly:Fonio_CM05836:3A:34336824:34337138:1 gene:Dexi3A01G0030340 transcript:Dexi3A01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITRAHLGNGGVCSTQLLKIDGYNNRISGKGFLSSMCLVDGYEWKIRFSYPYEVDYNNHRIALVLFFLGDGENGVRAAGDELPAGGSKRHRRAITGEDKRGLV >Dexi9B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:9B:3359657:3362879:-1 gene:Dexi9B01G0005700 transcript:Dexi9B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSITKNGFFQSVSTVLWKAPQDGDALPAANGPDAGRSPPPPALPKPVSDVQVAVQSKAPEPVKIATSQSDPAPKPAQHQQDTKPTAAAAAANPTSGEAPRPRPKVPQVKRVSSAGLLVGSVLKRKTENLKDKYSLGRRLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQRGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQKEEAALKTIDFGLSIFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGHITFEELKVGLKKVGANLQESEIQALMQAADVDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLDDVKLEDMIGEVDQDNDGRIDYNEFVAMMQKPTLGLPKKAGGLQNSFSIGFREALRIQ >Dexi6A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:6A:7026593:7026925:-1 gene:Dexi6A01G0007120 transcript:Dexi6A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRGLRLLAVAALLVVVVGYYAPIIDVSDPYIQELGSWAVSEYLRQGHSDGLLYGQVLSGGQCNDYKFKLRNYKLILDAMDTTATVKNYKALVVVKWSRIRRLVSFELA >Dexi2B01G0023560.1:cds pep primary_assembly:Fonio_CM05836:2B:33046766:33050111:-1 gene:Dexi2B01G0023560 transcript:Dexi2B01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAEATAPPAEGIDPAMVVSHKFPEVSFRLFPILTAGPSLVQSLHVSISIVQVTFGYDERDVALYSLGVGACNADAEDEKELRLVYGRDGQSPVKALLCGLSGHFDPLHSDPSFAHAAGFSRPILHGLSTLGFAVRAVMRSFCSMEPAAVKRISCRFLHHVYPGETLITEMWLQGHSRSVYYRTKVKERGRAVLSGYVQLKHIPSSL >Dexi3B01G0026820.1:cds pep primary_assembly:Fonio_CM05836:3B:22241025:22241418:-1 gene:Dexi3B01G0026820 transcript:Dexi3B01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERIDFLKKYIAATLKAIRDGANVKGYSVWSLIDMYEIFGGYKSRFGLIRVDFRNLRRQRQPRLSAYWYSDFLKNNAAVQVEKEAATATSHAQI >DexiUA01G0006420.1:cds pep primary_assembly:Fonio_CM05836:UA:12359071:12369100:1 gene:DexiUA01G0006420 transcript:DexiUA01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGSSPAPVEAATAAASTPRSRLPRWTRHETLVLIQARRGLERRGLQLPVRPRPKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEAKVAAVVSSEQQHESFWDMRGEQRRARQLPSSFDREVYDALVGGVEDAAAAPPGFGGDAELDGVYRQPPIMVMPISVTESDKKAGGAASDKNSTSQHDGGFKDSDATFVAEAEGTGTATVSIGKQVIEALERGNRAMAQHMEAQERSWRADREQRAALLGALDRLAGAH >Dexi1B01G0029960.1:cds pep primary_assembly:Fonio_CM05836:1B:34061309:34065199:-1 gene:Dexi1B01G0029960 transcript:Dexi1B01G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPTARTGPPPTPQGGALATPLRTPASKHRLHFAAATPKTTHGGGAGAATEHPVEVIGRIRNLSSSGGASSALEIAGGGTAVRVRGDAGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCPKQPGIVYRALRDILEGGAGEGDGSSGGGGEDDAGFGAGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKQARLEVMGKKAKNATYICGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYKLQKENKLREKERNEAQNVLRLKEEELTQLRAKLMLIEGQEKAAKEEEINSRVMEKTQTLRSELMKMEEAMLRQQEELTALKQRLQEVESEKTDTHQPVQQDFIGGRLLTRLSEMPAGLDQSMSMVMSMELDTGDQPQDVKIIKEDTRHQGHIWNHSTTAGVCTGAAVQEDGVRLSGYPEKLVLSTVFEEGDEEDAERDSALEEVCKEVVEESFKVDKTQNALVEPEDSATRKHRIENIFRLCGNHRELAKKPKVQSPENEVFGDENRSPAKYAFGEEGKSPAKQVSGNEKSLAKQPFADENKEPSAWGATETPMCDVKVADSPVSSQLSPIVCHVVYEPLSEQLKSCNTVEESDQNKENSFAGQEQQDDLLDVYIKWESGNLIKGLKLLQNSCLSDLRKLIEAHFKEAGGKQHHEFTFLLLKDASGAPVSRDKEASVQVTKLPHWNDHPESYLACLRAAKKPTVDHMPFSPLDSKLNSAVKDAHLTAGVLSPKVNQMSPNYIREMRA >DexiUA01G0022570.1:cds pep primary_assembly:Fonio_CM05836:UA:45831487:45833133:-1 gene:DexiUA01G0022570 transcript:DexiUA01G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTPKTVCVVGAGMSGLAAARELRRVGLAVTVMEQCSDVGGQWLYDPRTDADDPLGATAPVKVHSSVYASVRLLTPRELMGFSDFQFVPRPGRDARRFPGHRELYHYLKDFCAAFGLADSIRLNTKVVRVAMAPPPPPACSGSGGYKWLVRSRHVEPGGKEGVAVEEEEVFDAVVVANGHYSQPRLPSIKGMYTWRRRQLHSHSYRVPEPFRDEVVVVVCCGESGLDIATELCGVAKEVHLAAKSVEEATSPAPMVSKMLAKHAGDIRLRPPVDRLCGGDDDDGTVVFADGSSVVADSVIYCTGYTYSFPFLDTGGVVTVDDNRVGPLYEHTFPPALAPSLSFVGIPIRIFVPWFLEVQARWIALVLSGREALPPEEEMLRAVQEDYRAREAAGVPVRHTHDIGAIDGKDEIREFVYRHSDLPHMEDWKMELFMIGFVNTMEDRETFRDRDDDTENVREGLRRWRRESVAQYEAALAAAASGGDDAASEGDAETAMAADSISSLKLSTDDEH >Dexi8A01G0007730.1:cds pep primary_assembly:Fonio_CM05836:8A:8766313:8769541:1 gene:Dexi8A01G0007730 transcript:Dexi8A01G0007730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQACRGATAEAALSTLQGQAGREHNLELTLSHCSSSTDTTGFFLCVYCDRKFFSPQVLGGQQNAHKYERSLAKHRREIAAAMRTHAGALDAERRPGRASQLVVASQNRSRQPMMKSDVVPALLSNKEKPLEHGYGAADSGSELDLSLRL >Dexi5B01G0021580.1:cds pep primary_assembly:Fonio_CM05836:5B:23872736:23874748:1 gene:Dexi5B01G0021580 transcript:Dexi5B01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPANGALERCKSAITAATSLVGAAMLLRRLVADVLPAGTPPPPLLGALLLLPPPGARRHAVVIEEFDGAFYNRVFLAARAYVSTLLAASRTTAAAPAVIKASLPRGAGAAEQITFAMRPGTAVADVFRGAELTWRLRSHGGGGRRRGDGGGGEAFRLSFDARHKDLVLGAYLPFVMARVEAMAREQRQAKLYSNEWRKWRPVRLRNAATFATLAMDAALREDVVGDLAMFLGRKEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLHFDVYDLDLGAVRSNTELRKLLIRMKNRSILLVEDVDCALPAAPRREPDGGADGSNPASKHSKVTLSGLLNMVDGLWSSSGHERILVFTTNHADRLDTALLRPGRMDKHIYMGYCGFGAFKELAATYHGVDDDHPLFPEIEALLKEVDAAPAELAERMLATDDAGAALESAAKLLRDRKAGTEEDGGAGYVKQKLHVGPRRPRSRPVPPPGRGGASAMATRRLVFDEVLGASRRQGRGSSGRRGGMRARGRGRR >Dexi4B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:4B:7388853:7390568:-1 gene:Dexi4B01G0010100 transcript:Dexi4B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAPWTSFLAVVLATVFVLVTALFRRRNRKLYKLPPGPRAWPVIGNLNLVGPLPHHSLYLLSSQYGPLMSLRFGSVPVVVGSSVDAARSILKTNDLAFIDRPMTAAGKYTAYNNSNMLWSQYDDYWRQMRKLCQIELLCAKQLKLHEHARGEEVSTMLHDLYAESSSTGRRTVVLSDHLMITNLNMITRMVLGKKYVVKGSSGSSEDTTTAEEFGWMLQELFFLTGAVNIGDVIPWLNWLDLQGYIGRMKRLSKMLDRFLEKVLDEHDERRRRDGEAFVAMDMVDKLLQLVDDPNLKVPITRDGVKAFALEFFVAGPDSAASTVEWAMTELVKKPEVLAKATEELDRVVGRNRLIAEGDIPNLPYLEAIVKETMRMHPVLPLLTPRQSREDTSVDGYDIPAGTRVLINVMAICRDPTVWDAPMEFRPERFLGSSVDVKGQDLELVPFGSGRRMCPGRTLGLKMVHATLGNLLHAFHWRLPDGVAADELSMEEIFRLNVVRKVPLEAVAEPKLPAHLYVGPDRE >Dexi5A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:5A:652353:655322:1 gene:Dexi5A01G0000970 transcript:Dexi5A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLACLLVSLLILLRAPYDIFGTNIILSILTVAIMFEYTVGATFNRGFNQALGSVLAGVSAIVVIQVAMSSGHIAEPYIIGFSIFIIGEQLHKELVNNFNYLADSLEECVKKYINDDGSKHPDFSKIVVDDFPDEPAFRKCRATLNLSEKLDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLKCGFRSEILDATNQAAELLRSLAKDVNNMKWSLQTSLLKHVHVSTERLQHSIDLHSCLFTASHEDNSAKAQLQTTKYVSFNLKDFNTEFHEPKNKVPGNTTTPSAPMQAESYHEIMKRPQRRLHSWPPREVENFEDDESVVSDLIPRMCALESTTALSLATFTSLLIEFVARLDHLVEAVEKLATIARFKKQIMN >Dexi4B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:4B:4776078:4779028:1 gene:Dexi4B01G0006820 transcript:Dexi4B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQGHKLPDRVTPWVTTCRVPNYGVPQITAQAGPARRPQGEAQGRGGPRKAYNVGTTKRGISVSFGGTPCPRTRISVSFEGIPCPRTGFRHGRPSPCGRDPQAGLEGPTPNAINAGHDRTLRFATPRMGHRSPPTEPGTVPLSQALPYHDINNSTTRMTKRHDAASTLDQHPSKQWLANKKSAMATFMPSARVGRRDSRSHNARRGQHSASRNVSTTNTHERPPSPLVYKRGGKPMQQGHDKHAHAKKEAIRSPTQIRFTQTYHRDLRQHEIRCYAPLLDVRPHDRNQDKTLAPTPRDFPTRKWVSARPFPDAPRRLHLVELRPNAKGLSSLAQPPSPSPFLFESSNSNDYFTPRRPLETNALDGRPVELVVAFQRPCGFYGKNRGSGRPVGPLRNDKELPASSVKELPASSVTEREQYSPSLVSQGAKPLIMTSL >Dexi9A01G0021010.1:cds pep primary_assembly:Fonio_CM05836:9A:16037101:16038252:1 gene:Dexi9A01G0021010 transcript:Dexi9A01G0021010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGRLLLVTVMVLLLLATVPAASNAAAPSQADALLAWKSSLGDPPALSTWANATALCTGWRGVTCDAAGRVASLRLRGLGLDGGLDAFDAAAFPGLASLDLNGNNLAGAIPASLSRLRALATLDLGSNGLNGTIPPQLGDLSGLVDLRLYNNNLAGAIPYQLSKLPRIVHFDLGSNYLTNPDKFDPMPTVAFLSLYLNNINGTFPEFVLASGNVTYLDLSQNAFSGQIPDELPDRLPNLLWLNLSANAFSGRIPGSLARLTKLQALHVGSNNLTGGVPDFLGSMPELRVLELGGNPLGGALPPVLGRLKMLQHLDLKNAELVSTLPPELGNLSNLNFVDLSGNQLSGGLPASFPGMRKMREFGISSNNLTGEIPGTLFTA >Dexi9B01G0034400.1:cds pep primary_assembly:Fonio_CM05836:9B:36376835:36377262:1 gene:Dexi9B01G0034400 transcript:Dexi9B01G0034400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASVDPPDSAGGGGGGGGGEGSREVAPGEEGRGRRRWWRCAAAVLLGAAVVLSALFWLPPFAARRRRDEEARADPWGGVS >Dexi3B01G0029930.1:cds pep primary_assembly:Fonio_CM05836:3B:29242816:29244434:1 gene:Dexi3B01G0029930 transcript:Dexi3B01G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSSGTISTSDDTSSSSHMSSVPVESAMFEDVLLEESESKTKRLKQLVHEFSGLKGGDMSVVRRWLTELGVEWVLLDDPADAAAKPEHTCDARCRSWARALAEIVESIRYTAPVFADPRRHGSVTGLSIIREEEAGHVTDQSEERPAASEVDARSSGVQIQYEKLSALLGVRYALSKALPQIRLPSCSPRSARAQVDKVQGDVISVLSAKAGKAGEAAWSTMEEIWTGIKERLKEEDGDRTAASGTHQTIQTSSDVHKATLSVATYITFLRANYWTVAPIVSSAACYGEYVYVPRFEDVPPLISLIIEMASCIEDKLAVNSVSLFPDQSLRFLFLLNNAHFIRQQLLCPFYFPVIPEAAVPRKVEGYMESYLKVSCAPVLSCLLDPAPQCFGGPSSPMSKFESEFQKTYSTQKLWKVPDPKLRKKLRKAITEKIISGYTKYIEDNRVTILRVSPQEIKGMLQELFEG >Dexi4A01G0009900.1:cds pep primary_assembly:Fonio_CM05836:4A:7826088:7832786:-1 gene:Dexi4A01G0009900 transcript:Dexi4A01G0009900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNVLEFTFGVVQMVLYMFYMNKTSSPRVKAAGKLPAAGEEHVVNLHPHRDGRAQDLQGRGDEPPEPSCWRVYIALPVSLRDVPLVTIMRMERDFHMVQGDGETRYTTNSRLQQKALFETKPVLEKAVKEVCSALLHQNLVVCDLGCSSGDNALIFLSEVISASASSSHNVVGIQFFLNDLRGLVEEDRLNSFNLPIYGPSVDEVKAAVKQTGLFNINEIKIFESNWDPYDDSEDGNVQDIIQSGVNVAKCLRAVMETLFVSHFGGSMLDALFNEYARKVAEYLKREKIKYSVIVLSVQRR >Dexi9B01G0022240.1:cds pep primary_assembly:Fonio_CM05836:9B:16903124:16906845:-1 gene:Dexi9B01G0022240 transcript:Dexi9B01G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRMRWMSEGDGRWELDAETPATMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFFHRFMASPLVPTFSAARDGVSVHHAHLLHLTDNWSFTILEQIHVKKLVSVVKEKLANRQEEASWTKDLKKHLHDVMSLGVGTELLITPDTTLLLELYDIKKGDRGKAIFHHKAKNLDALMLFDFFFSRRPNIETFCDVCPDVTVSLQQQLLLTCHSIVHKDYEKTEMVGPFSFRVESSVAIDPRSQDHFVRVDDSIFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFES >Dexi2A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:2A:30908926:30913912:-1 gene:Dexi2A01G0018730 transcript:Dexi2A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFANKEHQFLAELGLAPRNPGSFACGAWGGSGPVVTSTSPTNNQAIAEVVEASMNDYEDGMSACFDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLEKNNLPGAIFTAFCGGTEIGQAIALDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGDPLEKGTLLGPLHTPASKENFLKGIKTIKSQGGKILFGGSALESEGNFVHPTIVEISASAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTKRPEIIFKWLGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRST >Dexi8B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:8B:565730:568230:1 gene:Dexi8B01G0000800 transcript:Dexi8B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWCAPMSGSRRRRRRVEMALSSQLDARPSPLVEATVITREVAIVERTSKNACHASGSSTSASCSVSQNTPNSMSYLGCSYGYLIFTYDEHCLLVNAYTGTKVKPPILPCDNNLGYLSGIGVLAAPFNSPNSRLLLFSKASMFEWQVGTSYWSVYPLVFDHERIHQIVFFQGRILVIDALMRLHTVQLTPQFSMQQVKIIWQSLEHLPVNPWLVTCGDMLLMVDLSFRSLYSGEPYDISSTDYSRIFVVFCLDFSVKPAKWVKMEKLENQALFFSFDKRNPAFCCMNPERWGGKSNCIYVARLTDDPDESWTAVELGQSVPNQGTTHNMMYGFAFPPDYSQIGSLWLFPSLVYGASP >Dexi4B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:4B:15467001:15468357:1 gene:Dexi4B01G0014450 transcript:Dexi4B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADHYGVLRRASALGINYGQVGNNLPSPPQVVQLLTSLRISKVRIYDVNPQVLSAFANTGIELIVTVPDDLVPGMAAGASQALQWLTAGVRPYFPATRVTGIAVGNEVFTGDDEQLKASLVPAMRNLHAALAQLGMDPYVRVSTANSLAVLATSYPPSQGVFTQQAAPYMAQLLRFLAETSSPFWINAYPYFAYKDDPTKVSLDYALSNPYHVGAVDPYTRLQYTSMLYAQVDAVTFAAARLGYGNVAVHVSETGWPSKGDANEFGATVENARQYNRNLLIRQVSGEGTPLRPKLRLEVYLFALFNEDMKPGPTSERNYGLYQPDMSMVYNVGLNQLSTTSAASLSSLATSPASRRLLIF >Dexi3A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:3A:16600971:16604338:-1 gene:Dexi3A01G0020960 transcript:Dexi3A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPAASSPSSSSAAAMAAASCLPLLVLPVLALLAAAAAGAATVSDDVLALVVLKSGLSDPAGRLAPWSEDADRACTWPGVTCDARTGRVAALELPAASLAGRLPRSALLRLDALLSFALPGNRLSGPLPDALPPRLRALDLSGNAISGGIPASLASVESLVSLNLSRNGLTGPIPDGIWSLPSLRSVDLSGNMLSGSVPGGFPRSSSLRVVDLSRNLLEGEIPADVGEAGLLKLLDLGHNSFTGGLPESLRGLSGLSSLGAGGNALSGELPAWIGEMGALETLDLSGNHFVGEIPDAISGCKNLVEVDLSRNMLTGELRWWVFGLPLQRASFAGNALSGWIKVPSDAAVALEALDLSSNAFTGAIPPEIATFARLKYLNLSLNSMSEHFPASIGQMSLLEVLDVSANKLDGGVPPEIGGAVALRDLLMGRNSFTGGIPVQIGTCNSLIALDLSHNKLTGPIPMSMGNLTSLQTVNLSENMLNGTLPMELSDLVNLRVFNVSHNLLSGVLPISRFFDSIPYSFISDNAGLCSSQKNSSCNGVMPKPIVFNPNSSSNPLSDAAPSYTGNQHQKKMILSISTLIAIVGGAVIVIGVVTITALNRRARATASRSALPIALSDDYHSQSAESPENEAKSGKLVMFGRGSSDFSTDGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDEFKQHVKLLCKVRHHNIVTLRGFYWTSSLQLLIYEFMPAGSLHQHLHECSEESSLSWMERFDIIIGVARALVYLHRHGIIHFNLKSSNVLLDTNGEPRVGDYGLVNLLPMLDRYVLSSKIQSALGYMAPEFTCRTVNVTEKCDVYSFGVLILEILTGRRPVEYLEDDLVVLSDLVRGADEEDRLEDCMDPRLSGELSMDEATLIIKLGLVCTSQVPSQRPDMAEVIGMLEMVRSPQGTPEDDLV >Dexi1B01G0000160.1:cds pep primary_assembly:Fonio_CM05836:1B:260784:261281:-1 gene:Dexi1B01G0000160 transcript:Dexi1B01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERQQQQQPWTTATSYGSLMMGFTSSSSCWPPRSYPCSFCKRESAQALGGHMNVHRRDRARLRHGGGGGASPPPPPPPPPPPPPSRVMIPNLNYPPPPQYLLHRHGRTNTTVAAAASLELPGVGVPVCSCGGSTSTTIAPPTPGWEQDDGGLDLELRLGIS >Dexi3B01G0034440.2:cds pep primary_assembly:Fonio_CM05836:3B:37185888:37186395:-1 gene:Dexi3B01G0034440 transcript:Dexi3B01G0034440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAMGQAILRRDTVMFKPSIAGRHELGLRRGSSVQCLDGGEVTKDAGDVVVVDRCYLRVGMAVASASDPGSGQVGVVTGATSTLDLVRLDGEHARPVAVSPETLRRVSEFDLGDYVVSGPWLGWVFEVSLDVDVAIDGDVCRGHAGG >Dexi3B01G0034440.1:cds pep primary_assembly:Fonio_CM05836:3B:37184716:37185879:-1 gene:Dexi3B01G0034440 transcript:Dexi3B01G0034440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTGPDSLNLYTNSVFYPGQRVVAGDASVFRAARWVKGYWKPSHGGQGTVSKVETAAVLVYWVASSRLGTDTSLVQASAPPPHQQCPRDLTFFCSGDAGVWAVVDHLRAGVRGPHGPAPGGDGGSERDAVPRRALHLQPSATAVVPATPPLVNYRSFGLRVNPNLYPSGTVCLSFLNTFGGHGTELWSPDSSTVLQVVVSIHGLVLNAQPYYNEAGYDVQGCHVGTLDDAAEGMSRERRPCSTGFRLALLNVVPRLVEAFAGVGVQGLRPQPLALQVTTQ >Dexi7A01G0024070.1:cds pep primary_assembly:Fonio_CM05836:7A:31892325:31894264:-1 gene:Dexi7A01G0024070 transcript:Dexi7A01G0024070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADIDRDVWLACAVPLSRLPAVGAQVYYFPHGHAEQCPDDLPAPLPTPHLFPCVVTAISLAADDKSNEVFAEISLQPGPHRGPAPVPDADIPHHLTYFAKQLTQSDANNGGGFSVPRYCADHIFPKLDFEADPPVQNLVMRDPMGNDWQFRHIYRGTPRRHLLTTGWSKFVNAKLLVAGDTVVFMRRPDGQLLIGLRRAPRYHPFAASAQQQQQPRNTRARVPPGDVMEAARLAAEGSRFTVTYFPRQGAAEFVVPRKEVEDALASHWEPGTQVRMQVMEAEDARRAEWASGTIKALHPNIWRAIQIEWDDSSPYALTRSRFANSWQVQFVSFPPLLKRLKISDTIAPLCSGDGSSLAASMIGPESKAMAILLGSPIPAGMQGARHTGPSDLPPSSSTTGMLTTQLLFPLLSRDLKLPPSVSPSGGSSEIFDPEIGSPPNNSVNMPAPETPVKVKSIQLFGTKITQHVEQNAANDASEEVNGALDGVVDENVEKDV >Dexi8A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:8A:25042313:25042606:-1 gene:Dexi8A01G0014600 transcript:Dexi8A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRRDQSGAAAAHGGAGTSGGGGGSWVDGRFTFWRSRAAAAMTLESVDFTESGSISAASPLVPAPAGFSLGRRRRFLSPDLLRFRGGRRAK >Dexi4A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:4A:19352336:19354048:-1 gene:Dexi4A01G0015950 transcript:Dexi4A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYSPKYFLYVLFILGSSFVTCLLHFRQPFFFNHLSSGGTVVSLPFSRNVSFFFSTPSAVVADDWQSTTSSSSSSCDGRYVHMVDLPPQLHVCAEGSPAFTSEHSICQLMSNAGLGPLYECLTDDPTAADAVYVPYYAAMEMQPHTCGPFNSTVRDGATQQLLMWLSSRPAWSAFGGRDHFMVASRTSWMFRRVAGDDDTGCGNSFMLRPETRNMTVVTYETAIWEQPRRDFAVPYPSYFHPASAGEVATWQARMRATSRPWLFAFAGARRPNGTLPIRDHIFDACDAAIPRRSCGKLDCDGGHGANDCRSPRKLMALFSSSRFCLQPLGDSFMRRSSVDAIMAGCIPVFFHEASTFEKQYQWHERDPQQSEHSEQSNNGRRYYVFIDQEDVLQGKVDIEEALSRYSDGEAAAMREEVINMIPRFLYKDPRVRFAGDMRDAFDITIDEVIARIRMIKEEEDLGKKEKSDGVVIANGS >Dexi3A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:3A:4032032:4037726:1 gene:Dexi3A01G0006190 transcript:Dexi3A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLQSVALGTTFTGRISTQRWRSNATRRPASMLAISLSRPVKMSAFVGLRSVHSFSITPTVSNSRSAVASYRPSRRTRRSRFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDEALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQVPEEARELDKELKQITKQKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAEEESGETGPMVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHKRVIGQDEAVVAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALATYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYGRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLQEVFDRLKAKDINLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNSQGGIPELPTPAVTV >Dexi3A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:3A:5789565:5793163:1 gene:Dexi3A01G0008250 transcript:Dexi3A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Dexi4A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:4A:4448359:4448901:-1 gene:Dexi4A01G0006190 transcript:Dexi4A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDLNEPINWDEIDDFEGNVHDLDYDYVWESGNEGDGNTTDEEDEIVPEDVLVEPEAGGDAHTVQQVEEASMHHVEEADAVPQADAGDEAVFVAFDSGTPANIKRRRYYPPDIKRI >Dexi4B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:4B:18547010:18548521:-1 gene:Dexi4B01G0016490 transcript:Dexi4B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITRSTAFLALAAAVLAVLAGGTTAQQLSPTFYSTTCPKLSTIVRSAMAVAVRKEKRMGASILRMFFHDCFVNGCDGSILLDDTPSFTGEKGAGPNFMSVRGYEVIDTIKTQVEASCNATVSCADILALAARDGGAGPNFMSVRGYEVIDTIKTQVEASCNATVSCADILALAARSSLATLITMFGNKNLTARDMTALSGAHTIGRAQCQFFRSRVYTERNINATFAKQRRRGCPKSGNDTLVSPFDVQTADVFDNAYYQNLVAQEGLLHSDQELFNGGSQDALVKMYSNNGAQFFADFVAAMVKMGNLMPSSGTPTEVRLNCRKVN >Dexi9A01G0046950.1:cds pep primary_assembly:Fonio_CM05836:9A:50145994:50150801:-1 gene:Dexi9A01G0046950 transcript:Dexi9A01G0046950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALTFGVIASPESNVCYDPSGRLLLAAALDRLAAWDLKRGLPSVSFAPSSSSPSLAVSCIASSPSAAVSSSIASGHADGSIRLWDAETGACEATLHGHRSAASAIRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVSCSKDKFIRVWDLETQHCLQIVGGHRSEIWSMDVDPSERFLVSGSADPELRVFRIRQSVEEGEDWSKWDVLKLFGEIPRQSKERVATVRFNRSGSLVACQVAGKTADIYRVLDETEAIRKAKRRMHRKKEKASAKSIIADGNGTVIDPFPAQDTQNPTVIVTDVFKLLQVLRASKKICSISFSPSNPPRGCLATLSLSLNNNTLETYSVDSEKAAKMYSIEMHGHRSDVRSVSLNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSGYGLCSAFVGNRFALVGTKGGTLEIIDIASGSLTEVIEAHAGSIRSIVPIPDEDGTVGARGFVTGSADHDIKFWEYLQKSDTDSKQLTVSNVRTLKMTEDVLAVSISPQGKHIAVALLDCNVKVFHMDTLKLFLNLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHRSIFAHSDSVMDVKFVYRTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLTISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEKEKRLEETFEADLDNDNDYRYGQKEDAPDEGSVGVPGRKTKETVTSADAIMDALDTAEEELKRLNQHKLEEQNNGRAAKFQPNVIMQGRSPSDYVLNVISSIRPNDLEQALLALPFSDALKLMSYLKEWSLVPSKVELVCRVCLVLLQTHHNQLTTTPAARSLLTELKDILYCRVKECKDTIGFNLAAMDHLKELLAMRSDAPFRDAKAKLMEIRQEQSKRSGMPDGNERRKKKRKKALEEKVEA >Dexi9A01G0001130.1:cds pep primary_assembly:Fonio_CM05836:9A:583363:584964:-1 gene:Dexi9A01G0001130 transcript:Dexi9A01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLPSQVLAGGLPQQWQLGLLALLPVLLLSSYLLLASTRRRRGSGVAAAKNVDGALRLPPGPKQVPILGNLHLLGPLPHRSLRELERRHGPVMQLRLGTVPAVVVSSPEAAREVMKTHDGDCCSRPSSSPGPRRMSYGLKDVAFAPYGEYWREMRRLFVVELLSMRRVKAAWRAREHHVDRLVAALMTGAAAAEGKAVALDEHVFALADGIIGTVAFGNMYGSERFKKKQFQHVVGDAMDMMASFSAEDFFPNAGGRLVDRLTGLVARRERIFRDLDAFFDAVIDHHMDPSRVVPPENDLVDVLIGLWKDDKDRHLTRDHVKAIILDTFLGGIDTSSVTILWAMSELIRRPAMMKKVQDEVRAAAGAKPRVEADDMAKLRYLRMVVKETLRLHPPAPLLLPREAMRDVSISGYDVAAGTRVLVNAWAIGRDPASWGADAEDFRPERFEAASDVEYNGGDFEFVPFGAGRRICPGLAMGETNVTMEAADLLRLGAAGGDGAGGRQHGGGRRAHVPPEDAAPARAHQAPTVA >Dexi5B01G0024810.1:cds pep primary_assembly:Fonio_CM05836:5B:26829734:26830332:1 gene:Dexi5B01G0024810 transcript:Dexi5B01G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPFLRLHPRAAYPSAPASSPSPRCRFGSVAYYCSKAIPPPSPSSNTKQISRRLLLV >Dexi3B01G0025620.1:cds pep primary_assembly:Fonio_CM05836:3B:20461764:20462108:1 gene:Dexi3B01G0025620 transcript:Dexi3B01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIAREAGAYLCYLLVFTAIFSIEKKLGNGDPSITCCEYVRNANVEEICEAFTEADKAKIALWKWVKVTRKCGNALATGHDCAGYVVQPPMS >DexiUA01G0018760.1:cds pep primary_assembly:Fonio_CM05836:UA:39500400:39501739:1 gene:DexiUA01G0018760 transcript:DexiUA01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSGHDASGSNANQQGPEGPQQPPPPLPPQQPPTWQQLYATQTEILRNLQHQQQLMQQQMLQQGGQRAPQPQVARYEDFLATHPPVFSRADEPLEADTWIRAIESKFTILATPCTSNRKVVFVAQQLRGPALLWWENYLALQQEGHVVEWDEFKTAFQAHHIPAGLVERKLNEFLALTQGTRTVLQYAQAFNGLCPYAGHHADSDEKKLERFRRGLNTKLKAQLATTRAATYGDLVNLAIAQEDANTVHKAEKKRKAPAGPSSAARQGGGWVARPPQPNAPRFPPPPQQQPAPRQNAQQPACPGAGYQCFKCGSNSHFIKDCPQNKQQNQCPGNQQNKGKQQQRVQVRQGRLNYTTLANIHEGAPDMTGTFPIHTQPAVILFDSGATHSFISTRFHAKCGLKSYHTKSSYVISTAGGKIASNQVS >DexiUA01G0025250.1:cds pep primary_assembly:Fonio_CM05836:UA:53104762:53105154:-1 gene:DexiUA01G0025250 transcript:DexiUA01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAGTNPSALRETVVEVTSVTNRVRHRGDQPARHHRPALLRPGQLDQLIHIPLPDEASRRQIFAACLRKFTAGFSSADITERECAIREEIEKDIERQAKAKEVLVEDDDEAAAE >Dexi6A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:6A:21608924:21610607:-1 gene:Dexi6A01G0014250 transcript:Dexi6A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWQREAGSLRQQLHNLQEHHRQLLGQQLSGLDVKDLQSLENKLEMSLRNIRMKKDQLMIDQIQELNAKGSLMHRENIELYNKVNIAHQENMELRRKVYGHGVNEHPESSKARDNILNTENEDALVTLELSQPQSAQRDKSETPSIG >Dexi9B01G0022260.1:cds pep primary_assembly:Fonio_CM05836:9B:16916024:16916635:-1 gene:Dexi9B01G0022260 transcript:Dexi9B01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAELVRLLRLQVALRPSPPQRAGASPRPHRVLGALPRPRSPLSLRCRALDASRPAAVEGERAEEDDFEDEEESYFSVTSSGLSQVDYLGQSTRGDLTVRRERLEALVNTAWPY >Dexi1A01G0008000.1:cds pep primary_assembly:Fonio_CM05836:1A:6147444:6148766:-1 gene:Dexi1A01G0008000 transcript:Dexi1A01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAPRHDAAAAAAHAQHHDAASSSAAGAAPSSPRRLVLACAALLHRGDVAGARRAAADALSASDPRGDASDRLAHHFARALARRIDHDDDDHPPLASVREEETAAATARPPASSPAPASPIPPPPPSATAYLAYNQIAPFLRFAHLTANQAILDATSSGGARRRLHIVDLDAAHGVQWPPLLQAIAALADPPPEVRITGAGPNLDVLLRTGDRLTSFATSLNLPFRFHPLVLPSTSHFAAVPAAYPAAGLELHPDETLAVNCVLFLHKLGGGDGEVAAFLSWVKSMNPTVVTLAEKEATTSIGTGARDDKSPEEDNLHRRVAEAMEYYAAVFDALEATVPPGSGERLAVEREVLGKEIDDAVVGRGGGAGRAGRGFESWAAAARAAGLSPRPLSAFAVAQARLLLRLHYPSEGYVAEEARGACFLGWQTWPLMSVSSWQ >Dexi6B01G0001550.1:cds pep primary_assembly:Fonio_CM05836:6B:1384238:1391729:-1 gene:Dexi6B01G0001550 transcript:Dexi6B01G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCSAAPLNVMLEKSMDARSGARELYLSVPFLLYNCTDLLLTITESSYERTGSTLVIPPSFELDGHSRPLLQKTGLSLVDPSIPRFAVAAASIPVSTELFGRTRAIAFRPSLIYFCPLFPLFYRYVICNACSNDLSFRQKGTRSSEHLNSGQHSFLHWSDTARELLVSIRFDGPGWQWSGSFFPDHLGDAQLKMRNSASGVSYMVRVEVQNADLDVHSKKFSGRNNINTGTILILLSDDKTGFVPYRIDNFSMEKLRIYQQRCESIETIVYPYTSYQYAWDEPCYPHRLTVEIPGERNLGTFNLDVLNDDVHVSLPSTSEKAERKFCISVHAEGAIKVLSVIDSNCHNTETKEKDFLVSKEPKVADQKLELEMNFAEVINIHIPFIGISLISSYPQELLFASAKEMTIVAMQSLDQQRFTAEIQAMQIDNQFPDSPHPVMLSFEGNQKGKSMNFFKSKDTKLRSASDNISNATEPVLCFAAAKWRTRDVSLVSYQYINISVAPVRLELEERLVLSMVELFRSVSSRINLGHLEKSLEFSILGGATDLLREHEKISKDLSDKPLWQDSELLPSVVPVGAPWQQIHLLARKQKKVYIELFQLTPVKLTFSFTSTPWLNRNESGSDPSTGFNNATAIQRGIMALLDVEGVPVHLGEVMVENLMASWQSVQDILVRHYSRQILHELYKVLGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLNGLAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMEERERQLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGRSLFAQAEGGRLKEETFVMCKTLKESGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWSIDTEMHLKSVVHLDRSLEVVNIVGSNGETSPRDKRGGARSRVMSSAFVPLFHLSIELPNVEDAEGTLQVLQAIIEKGRARRWDKNILHRSNIS >Dexi3A01G0008950.1:cds pep primary_assembly:Fonio_CM05836:3A:6210033:6212399:-1 gene:Dexi3A01G0008950 transcript:Dexi3A01G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVRGCCSPLRRLPRDDALSCPSACSHRLKPWSWQYQLCDLMDGCTCGRERAPVVTPGGNSPTDAADAGLPLPLEKRCASVDETCEATEGGDGLLDAQICTCFSESISLDVKKGLQKCATFPTSSGEVQQADDGLKGSPAYERSVSLPPTLKLISAMKGGREKNGLASPTENRHIKWAPDVYDPPVTSVCHTVGSSYKRRSKPRKKEKNKQKKQKGKSKKNHQNAVQSASVTQIPDSGLKGVSTTGDQSSADNSGKHEAMIMDYSMSNQEAKCGSSFLRESVAKMHFSTAEAS >Dexi3B01G0007390.1:cds pep primary_assembly:Fonio_CM05836:3B:5259719:5269716:1 gene:Dexi3B01G0007390 transcript:Dexi3B01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAFRPLTILSWNVRGLGDKRKCDNVRLAFPCPLPSISCLQETKLTAIDQFKAASILPRPLSSSFNFKPSSGSSGGLLTAWDPNHLVLLSYPTDRYSITTSFRSTAANTEFAVTNCYGPCVHAEKQAVLEELISLYSSISGPWVLLGDFNLIRSPAERSNDNFDSTESGWFNNAIDAMALQELTLTDRRFTWSNHQEEPILAMLDRFLVSTECLTRRLKRCRADLKCWKRTRRSPKDILTNYALVIGMMDLLEECRPLYPAERNLRTLVSDAAQEHATQLACYWRQRGKVWTCTLGDDNTQFFHMSATVHWRRNQIRALQQDDGAILDSHEDKAALLHSFYCQLLGQSSQGPLPDDLQSLFATSSLAHCPVLNARTEVHPILHAQANWHLVCDELLNLMHEFHQETADLMRINKAYLALLPKKANAVLPKDFRPISLQNGVTKICSKGMTIRLQPHPLVDDLPCPVLQYADDTLIVLKAVPEPVSFLRNLLQEFSQATSLHINFDKSNFLPICLGQDEAQSMADLLGCPIATFPQTYLGLPLSTHKLRLLDSQPLLRAVESYIPGWCGKVLTPSGRTILANVVLAARAVYAMCSSLLPKGTVDVLDARRRAFIWTGEQSCNGAQCKAAWEIVCWDKQHGGLSVENLLLQNYGLLCKFWNKLLMPPTTSWQRWFHRTYGLPAGRDLGDTHHLDTPTWQMLLKMLSHFRASTRLLVRSLDWAPYHCRRFHDFKIGNSWDLHLHDRLSSVATAERDLGDDVRGIGDEMLPFTASSFYASRMDSRPIDVFAEAIWHNAATPRCKHFLWLTHHDRLPSTALLHRRSIIDSTMKTNITSFSNAPALALPDSNARIRSAIVTSLLWNIWKCRNNLQFNGVLTPPQMVLRAASEDLKMWRHRLPHSTIPISPPNPKHRSVYRPPAYCIRSLLDYHDADACQSTRIDDPEPIPSMAMRCRLGIGVAQGHRPYTRAAPPRQQASQSAVSASEVDGTPNAVGICAAGRGPLLDHTAHARSGRRRWIANGSPERHSWTSSKSWRGSEKATNAVLKRARKDARRRHSRINGMAAGGK >Dexi1A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:1A:15374488:15375206:-1 gene:Dexi1A01G0013140 transcript:Dexi1A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHQPVHRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVPSWCFANGMFAIVLSFGLLLTALRSRKARSWRYGAGMH >Dexi3B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:3B:4923157:4936612:-1 gene:Dexi3B01G0007030 transcript:Dexi3B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRSPKTAAPPIATASTMATCHCLSPGHLAVVAAAAFFLLAAPALTLAQEKPPPWLLGNYSANSTYQSNIQRLSLSLPNNTSSTPALFATGVAGAVPDAVYALALCRGDIANASACESCVAMAFADAQARCPLVKDVLIFYDLCQLRFSNRDFFPDDDNVVTVYDLIGGSLAVGEPAAPFDAAVRRLANATADYAAERSSSSSRWFATGEVSLSFDDRRSNNSKIYAVSQCTPEKTAEFCRSCLGSAIDQLPTLFSGRNGGGVYPFFSGQPLLQLEASMVAAPAPAPALLANSSQATAMASPHLSHLAGVAAATTTILLASLHARAHQEENDPPPWLLCSGGDGESGNYTANSTYQANIRRLSSTLPKKTSSTPSLFARGATGSIPDRVYALAACRGDVANASACESCVAMAFHGAQRRCPLVKDVLIFYELCMIRFSNRMFFLDDDNFVTTTLAVGSRITISGGGGAFDAAVLLLVNATANYAAERSSSSSRWFATGEQSNPTGWTIYALSQCTPDKDRIVGQLSSYFSGRSGGGIFGTWCFFRYEADAAASGVHGGGAATDYKSSILLPSPSRAMTAMSMPTTTTRLFAVAAILLVIFFLHAPPPPAAAQPIPWQLCNDTAGNFTENSAYQANIRHLATTIPTNASSSPFLFATGIAGTSPDVVYALALCRGDTTNASACSSCVAAAFDDAQQLCALIKGATIYDDPCILRYADWDFLANTTDNRGLMVAWSYDNVSSSETKAFDAASARLVNATSEYAAASRRRFGTGEEEVDDGTYPKIYSLAQCTPDMAADDCRTCLGRIYRKIAPTYFVGKHGGRVFGVRCSFRFETSPFFSGQPLLQLAGPPPPPVNVTPPVTGQAPPLAAAQLIDGCAF >Dexi1A01G0029540.1:cds pep primary_assembly:Fonio_CM05836:1A:35005972:35007831:1 gene:Dexi1A01G0029540 transcript:Dexi1A01G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLMDHPGLVPVTTAQGEELRRQIGAMYYIECSSKTQQNVKAVFDAAIKVVIQPPTKLREKKKKKSRKGCSMM >Dexi2A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:2A:32132371:32134162:1 gene:Dexi2A01G0020090 transcript:Dexi2A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCSYEMAASILLCAEDSSSILDLGAEGEGEEDVVARTSGMRGEPVVEFPVPSEECVAAFLDAETAHMPRDDYAERMRGGGLDLRIRMDAIDWIGQVHTYYNFRPLTACLAINYLDRFLSLYQLPEDKAWMTRLLSVACLSLAAKMEETYVPSSLDLQVGESRYVFESKTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHRLNGGDAPNRRAVQRSAELIMSIARGTHCLDFRPSEIAAAVAAAVAGEDHAVDIDKACIHRVQKERVSQCLEAIQATMALPASTMPLPPKTETPSSSGGRASSSVSVPLSPTGVLDAGCLSCRSDDTAAASHASSWSDENDSSPVVCSKRRKISR >Dexi4A01G0016150.1:cds pep primary_assembly:Fonio_CM05836:4A:19676865:19680601:-1 gene:Dexi4A01G0016150 transcript:Dexi4A01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPATTTAAAPEGVSAVEGAPAAALPVVSIASLYVGDLAESVDETVLLGVFSQVAPVASVRVCRDTITGVSLGYGYVNFHSRQDGYGFVQCENEESAQDAINGLNGMLADGSKIFVGLHVRRQNREVNKFTNVYIKNFPTEFTDDDLRQEFAPFGEITSAVVMRHSDGISKCFGFVNFEKPEYAAEAIKNLNGKSINDKVVYVGRAQKKAERQAELKVQFQQGRDGKVEKPQGINLYLKNLDDSINDEKLKKLFEEFGETTSCKITLDSKGRSKGSGFVSFATTEAGHSAAHFAQRYLAMAAVPYAAPQQVYFGHPPPGQIPPQAAAVFGFPPHLVPGMGPGTPFVMPQNVQRIGARHGAMLPQMYRQQQMTIHPNANQRVRYMPNARNGAYPPKLPQGFASVMPSVQQDVSNLATTLASASPAEQQQMLGTKLYPLVEHLEPGQAAKVTGMLLEMDKVEMLNLLESPEALRAKVREAMLVLQRSAPGGSADPTADA >Dexi9B01G0035930.1:cds pep primary_assembly:Fonio_CM05836:9B:37519437:37523272:1 gene:Dexi9B01G0035930 transcript:Dexi9B01G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAAMALAGARPTAHAPSPAANKPRAGRAQLNLRRGSCTGGLITRAFHHRPPQHPACAPEEGVIQWLRSAAAALAIAAQISVSLPADAVLYSPDTKIPRTGELALRKAIPANPNMKSIQESLEDISYLLRIPQRKPYSTMEGDVKKAMKIAMDNKEAILGSIPAEHREEAAKLYTSLLEEKGGLQTLLKYIKDNNPDKLSIALASSLDTVAELELLQFPTIIRKNINLPLFARCYVPGSGELSAGYSSVSAWLTGRGVVEFTVEKVDGSTFFPTGGGEPKSFATIQVVIDGYSAPLTAGNFAKLVLDGAYDGVTLKCASQAIIADNETGKKGYTVPLEVKPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSEDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYATSDGRDVLSQIKTGDKIRSAKLVQGRERLVLPSAASGES >Dexi5A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:5A:17055755:17057260:-1 gene:Dexi5A01G0016390 transcript:Dexi5A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNNALRVFLILTVACAVCAKERAGAKKGNAAAASPTPGDAAAPDGAAKASGAEGAFDITTLGATNDGKTDSTEDAWTSACRATGSATVLIPKGEYLVGPLNFTGPCKAAITIQLDGTLLGSNDLAKYTASWIEVSHVENIVITGPGTLDGQGTGVYTKSKTDCKALPNTLVLYYVTNATVSGIRLLNSKFFHINIDTSKDVTVKDVSITAPADVENTDGVHVGGSSKVSITNASIGTGDDCVSIGPGSSGVMVTNIVCGPGQGISVGCLGRYKDEKDVMDVTVRDCVLKHTTNGVRIKSYEDAESVLTASNLTFENIQMEEVANPIIIDQYYCPQKVCPGKRANSSHVSVKDVTFRNITGTSSTPEAVSLLCSETQPCSGVHLLDVNVKYAGKNNKTIAVCTNAKGTSRGSSEALACLV >Dexi9B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:9B:1702967:1706413:-1 gene:Dexi9B01G0003000 transcript:Dexi9B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFGLFVSLSIVFKELWQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQDAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIQDEKIASKLPTDDKKKIEDAVEQAIQWLDSNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMAGGMEDDAPAASGGSGPKIEEVD >Dexi2B01G0004120.1:cds pep primary_assembly:Fonio_CM05836:2B:3635534:3635832:-1 gene:Dexi2B01G0004120 transcript:Dexi2B01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAAAVEHRTAGTVETAAAERVRLPHRPESGGEDARGSGEEDDCPPRAAEGGEKGKTRRVRVVHLGA >Dexi4A01G0017860.1:cds pep primary_assembly:Fonio_CM05836:4A:21813009:21814693:1 gene:Dexi4A01G0017860 transcript:Dexi4A01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLFADIDLEALLASFSGESAGVSDLIVPSPPPPAPATAHDAEAGSPESVTSRAGPPVEEALTGIERFLMQEGDVELGREAEGISVEEFFDALYDGGEGEGEGKESGAGGSTDGGSGRDEVVDVVTPETQTVEVDGDDPVSKKMKRQMRNRDSAMKSRERKKSYIKDLETKSKYLEAECRRLSYALQCYAAENMVLRQSLLKDRPVGAPTAMQESAVLTETLPLVSLLWLVSIVCLFLMPVLPNRSPAALSSAGRDLGMAAGKTSSDNPDVLELILHGRRCKGTRAKIKLDALPFHAVAAC >Dexi7B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:7B:11710820:11714120:1 gene:Dexi7B01G0004750 transcript:Dexi7B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNSVSGPAASAPTTLHSGRIPAGESAGGSSSGGGEIVYSLSPLRCLRDWSRTHRRRGEAGQRQVRHGGEKAKLDDGAGRDTTAPAAASEKDFAYMTALGSLRLSVWDVVAARVEADQVRADVQVLAALRNELLNRPSTTAYLLGPSISFQHR >Dexi6A01G0006620.1:cds pep primary_assembly:Fonio_CM05836:6A:6384868:6387586:-1 gene:Dexi6A01G0006620 transcript:Dexi6A01G0006620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQESMLCSILASSTTAGTGKGGIQIASSTKRMKPSMSGSESSSISFDSRNTNAGGSDDDDTTYYEPDTEALVQVKELIYRAAAMRPISVGFEANDAGETPAPPQHAHLLRRDPPWRRGFGVVGRRQDEHLPVMSVPQMSSEVSWKPRSCRSCILNCIMDMEEQHSETTVKEGVINSHTPTALASVAPSAGTIIPQASQDAPVGPTIQPQSSDQGQVVSQQNQQPHDSHKQQQLQDFWSGQLAEIKQTTEFKTHSLPLARIKKIMKDDSDVPRIAGEAPVLLAKASEMFFQELTLRAWLHTEEDKRRTLQKMMSPLL >Dexi7B01G0021110.1:cds pep primary_assembly:Fonio_CM05836:7B:26273302:26273698:1 gene:Dexi7B01G0021110 transcript:Dexi7B01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVMNLTIALFVVLNIMSTNIPSSEAGNCGSEGERSLPPIPLELLRCFSMRRNDKCTNDEICENFCEYLEYPEKDAHCRGTDVCCCVVEPTV >DexiUA01G0003720.1:cds pep primary_assembly:Fonio_CM05836:UA:7616787:7618647:-1 gene:DexiUA01G0003720 transcript:DexiUA01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRERAYVDEAFHHGAAAPRILPADPYDRAAARFWAQFIADKCLKPLWLSMWAGGDAQARFARETKESLAIHDAQLEGKKTRFFGGDAIGSSPSSPPTRRGIPDRDELVAFFAANKERIGQ >DexiUA01G0012260.1:cds pep primary_assembly:Fonio_CM05836:UA:24663882:24664399:1 gene:DexiUA01G0012260 transcript:DexiUA01G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFCEYRNILPRGVKLSAEDIWDRCAYVLSVKMQDPQFAGQTKERLSSRQCAAFVSGVVKDAFTLWLNQNVQAAEMLAEMAISSAQRRLRAAKKVVRKKLTSGPALPGKLADCTAQDLNRTELFLVEGDSAGGSAKQARDRSA >Dexi3B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:3B:4796176:4801496:1 gene:Dexi3B01G0006880 transcript:Dexi3B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDAAMDVDDVDSHPHHAHHGGHLGLTLHPAHLPSAGGGRVFPKVNAGAAAAAVGTAGAAGAAGGPPATSVHELLECPVCTNSMFPPIHQVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >Dexi8B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:8B:1207900:1211391:-1 gene:Dexi8B01G0001790 transcript:Dexi8B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNIKKVRKAKSNKAKKFEASSSSNPVVASGPAKVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWSCLSFDVVRDQLGLVRSEFPHTFYGVAGTQMKKVAHAGCVNRIRSMTQKPHICATWGDTGHVQVWDLSSFLNSLAESGSATPAPKEDDIIHKHLPVKVFSGHQKEGYAIDWSDCNKCIHLWEPTPNSWNVDANPFVGHSASVEDLQWSPMEADVFASCSVDGTIAIWDIRKGKKPCISINAHKDDVNVISWNRFASNMIASGSDDGSFSVHDLRSIEDPLAANFKYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLEKDAEEEAEFREKMKEQANAPEDLPPQLLFIHQGQRDLKELHWHPQIPSMIISTAVDGFNVLMPSNIGTTIPGNTDAAMASAEP >Dexi4A01G0019250.1:cds pep primary_assembly:Fonio_CM05836:4A:23071274:23071877:-1 gene:Dexi4A01G0019250 transcript:Dexi4A01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSPLRVDSAGFKDAIDESCWTPFQLPRRLPSPERAEYILSKLVSEQEILCYNAGEKPARVRGGHGPHHGVPGVRLVACDPERAQARPFGALRMLQRLMGSVPLVHVDDVWDALVFCMERPSMAGLFLCAAAYLTVTDIIDHSSKGLRPI >Dexi3A01G0010090.1:cds pep primary_assembly:Fonio_CM05836:3A:7154806:7157092:-1 gene:Dexi3A01G0010090 transcript:Dexi3A01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMVRLLLAVVGLVALCCLGCEGQPNYRAALSNSLLYFEGQRSGKLPADQRVQWRGDSALSDGHDHGVDLTGGYYDSGDNVKFGLPMAFTVTMLAWGVVEYARPLAAAGELRHALAAVRWGGDYLARAHAAEETLYVQVGDGDSDHSCWQRPEDMDTPRTAYSVDASRPGSDVAAETAAALAAAAVAFRRLDAGYSAMLLGHAQQVLFRFAKNHRGLYHDSVPGAAKFYPSSGDEDELIWAAAWLFIATGGEDYKAFITGDGNSGGVQSVFLWDNKFVGAQALLILDGKLPDAGNPAAMKSSLEQFLCNVVQPSRHSPGGMLWTQHWNNLQFATSAAFVAAAHSDHLAAAGATLRCGGGSPELISFARSQADYILGANPGKMSYMVGYGARFPEQVHHRGASVPSIKTSPAKITCKGGFDYYSKGTPNPNVLVGAVVGGPDEDDKYNDSREDFQQTEPSTVTAAPFVGAISLLS >Dexi9A01G0004810.1:cds pep primary_assembly:Fonio_CM05836:9A:2641392:2643044:1 gene:Dexi9A01G0004810 transcript:Dexi9A01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAGTERQPQPAIQGGKYRHRLIIAGVLILLSAPSFAYLLLSASALVSKLFGGQQQLNHPPVTANKPAVVGDGLGLGVGWRQLMSAMMASQLMYVCTTVLPQVMRQPFIASQLERLARLVDRHRTLTFDEYESGGRMATRSRAYEEIKAYLSATCSGNGRHLRAETASGRDAAAVDKLVLSLVDGQKVSDQLFPGATVWWHAHADEPPSADTWHQQEKRLQLTIRYHERYHEFVKDNYLPRIRREGRELIVKGRQRKIFTNICSVYTGSTWSHALFEHSKTFATLAMDPARKKEIMDDLDKFKNGKDYYARVGKAWKRGYLLYGPPRTGKSSMIAAMANHLDYDIYDIELTSVHSNAELRKLLIETTPKSIILIEDIDCSLDLTGARKNQKPAAGNSNNGASASPEKDTGNRLTLSGLLNVIDGLWSCWGEERIFVFTTNHVKKLDPALIRPGRMDKHIEMGYCCIEGFKSLAKMYLDVDAHRLFDAVGELLREVEMTIANVAEHLTPKSSEDNPDSCLETLLNALDEAAAKKKANGGNEHDVQGDEQ >Dexi8A01G0006600.1:cds pep primary_assembly:Fonio_CM05836:8A:6858244:6860176:-1 gene:Dexi8A01G0006600 transcript:Dexi8A01G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGEAQPRPTASGADRISALPDGVRELVLSYLPAHEAVRTSVLARSWRDLWTRSPALRITGRGSVTKFTQFMEQLVSRRHPNGDPRAAPASLDSWHLDFGRSDFDDEVDLVEEMVADEALMNRWIQSVVSCRVRVLQFRFSRDCREPLDLPTLSLVISKHLTKIELAGVAIFRKLNLSGCPELQDLKMEGCYLCSNEIQAPCLKHLTMADCFFPLMTRTKIRLLSLISLKFIECNGRIPFLGNMPSLETAIVTIDSYNCDDRCSLPSINGCGRGNCMACQDYEADIGHTNCLLFSGLSEATDLELPAYPEVVHKINNGTDGRLKLPEQPFASSSLKIVEIKCHGVDKTVIEMLKILTAFGVPLERINIQCSSIGSGCEYKKYLYNQLTIFCIV >Dexi2A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:2A:28238802:28242145:1 gene:Dexi2A01G0016550 transcript:Dexi2A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPGALVPQMGGGNEEKARVVQTILFVAGINTLLQTFFGTRLPVVMGGSYIFVAPTISIILAGRYSNEADPREKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVKLLSPLSAVPLVSLVGFGLYELGFPGVAKCVEIGLPELILLVVFSQYLPQVLHFGKDIFGRFGVLFTIPIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLMSGAPWISVPYPFQWGAPTFDAGEAFAMMMTSFIALVESTGAFIGASRYASATMIPPSIISRGIGWQGIGILLDSFFGTANGTSVSVENIGLLALTRVGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSFLQFCNLNSFRTKFIMGFAIFMGLSVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYVLDNTLQVKDARKDRGYHWWDKFRSYKKDARSTEFYSLPFNLNKFFPSV >Dexi1B01G0031530.1:cds pep primary_assembly:Fonio_CM05836:1B:35045646:35047678:1 gene:Dexi1B01G0031530 transcript:Dexi1B01G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCRGSSTPTYTTASSDYRSSSSSSLVNSASSNCSSASGSSRRRRNKPRSGTAGSKETKMRGGGHQQHLSSPTAVLGHATPPLRELYTLGRKLGQGQFGTTYLCTEIGTGAALACKSIAKRKLLTPEDVDDVRREIQIMHHLAGHASVVTIKGAYEDPLYVHIVMELCEGGELFDRIVERGYFSERKAAEIARVIVGVVEACHSLGVMHRDLKPENFLLLSRDDGEEEEEEASSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIIYILLSGVPPFWADTQQGIFDAVLRGAIDFESDPWPAISDGAKDLIRRMLRLKHFSAMNRLKKMALRVIAQNLSEEELAGLKEMFKAMDTDGSGAITFEELREGLRRHGSNLRESEIRDLMDAADVDRSGTIDYDEFIAATVHMSKLEREEHLLAAFAYFDKDGSGYITVDELEQACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGIIGHGRLTMRHNSDGSVLHGAG >Dexi3B01G0006920.1:cds pep primary_assembly:Fonio_CM05836:3B:4832476:4836912:1 gene:Dexi3B01G0006920 transcript:Dexi3B01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGPTMSRGIEGHVRGFAARFPCRRGPKFKSAASSASSSLSSPNRRLLRSPFLLPRNPLPSWDRRRAHPDPRRLVMAENPGAGGGAKVAAAAAAAVERRVALGDLTNVAAAGRSLGGPDVALAEKEVDEARKLKSCSTNVECLKEKLSDEQGQRKRAEMELPKLKKIEDKADKLDLDFGSCNVLLSVKPDVSPYGDMPQKQPITMLNGVGEATLAKDSAESATREDNWLEHSLAAVSEEREKPMVGQFMLMKQETRNADDASLKDMLSGLHGMDKIFIALERTMDELINRQQGEHDFNERLSIEKRKVQSLEQEIDQLRSQVALLQSKLSHGDYSASSKKVSCAVNTLAADSETKPKINETEDWLQTVEELKGQDGGVMKKPAVIEICDDEATCIWCDDGEEPSLKSNDSGSEEPGIESCQFTGSYEWFFRGNKLMLSSGMKKHLWELCGCVPPEIPFYVYQMNKSNVKTRGKMRLSAKYISKPLLSCLDKRVGYAHFEVDGEDHGTVRMHLNADGRVSLTSGWENVVAAKAIKVGDICALHFKISDGVLKLSVHVFHAVRHLVCVR >Dexi1B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:1B:3496133:3498739:-1 gene:Dexi1B01G0004340 transcript:Dexi1B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSWEQNASAGNDSGDWGGWSNGAAAATKPQDQDDSCWDAHATVENNSTDWGGWGTEKHTNRKPDELDTWADKGAGVEPSLGDNNWEKKSSTPEGSKNPWGNVPASVSLSENKWDAQKGDGDDGAWEKQAGSCKEHDMDVDQDSWGKKTTSPSNNMWDKKKSDGSHGNWQQPTSWNEQMLNVDQDSWGNVRGKKKGDEGDGVWEKQAGSCKEHDMGAEQDSWGKKTTMPSSNMWNKKKPDGDGSHGNWQEQPSSWNEQMLNVDQDSWGNARGKQKSDGGDSQWGEQTSTYKRKRTNADHDSWANTAILPSNNPWDAGEEGGRSNTKSDLRSNWDRKDKEIDEHSKVPKESDLWNTGKTNGSSWEKTDALQDSWGNRASDNNKTQEDSWDKIAVNDTNLQQDSWDTVAIQNNNAQDGSWDNVAEKAQASAAQDSWGNLPATPAGNSDAKQAEDSWDGWSAAPAETSEEEVPQETLGSAPSAPEGTPKTDSTGGADILEQRPDLTTASPVATPQEVPEPASTGWDSGQPPASTGSEWDSGQPASTGSGWDSGQGS >Dexi8B01G0000560.1:cds pep primary_assembly:Fonio_CM05836:8B:355969:356835:-1 gene:Dexi8B01G0000560 transcript:Dexi8B01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPEAALLLLVTLARHRRFAAAATLFSTARCSTTGALNSLLAALCSPTSSYPASFLRIAPSVLLRAAPHAAPDAATFRILTSALCRAHQPTAAADLLRCMPGLLLDPEPRHCRAVLASLCRFAPAPDALAFLDDMRRWGVSPSRPDHGAVLDALLREGMVPEAYELVAKQMDSYDGVAPGLPEFERVLRAFRESGSSVAWLLEMWTRRGRWRGRPSGGACGGMRRRCRSWWPRCVKAGIWRRRGGCCWTSCAARMDASAFERLINGQGGTLPDEAAGVVGQAVGEP >Dexi7B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:7B:21726533:21728382:-1 gene:Dexi7B01G0015640 transcript:Dexi7B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTHTPQTQRLCVRQAGRLPPATSTLAKPKLAGKRPRRTSTLRPAAMQQPLARLVPTARPALPPIHAGAAGPSYAPVHRGAALRRAPLTAAGRHACRALAISAQSAGPAGGLRLENKFFEVEMKVRDYELDQYGVVNNAVYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYFAPLRSGDKFIVKVRLASIKGVRMIFEHIIEKLPNHEQILEANATAVCLNKDYRPSRIPPELLSKLQFYSSKDSKGSSDDAKSCSNS >Dexi3A01G0024830.1:cds pep primary_assembly:Fonio_CM05836:3A:20428583:20429250:1 gene:Dexi3A01G0024830 transcript:Dexi3A01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLALARSSILLQPRGTLFVSPPRRLSLNHPPRRAHCRLLACTPQRPLLTPRCLAFAARASSSAAEPARGEGNGVSAAGRKGAGYRNRFLDLARLGAVVEGAAEAFFRSEIRRRLAVTAALIVLSRVGYFIPLPGFDRRLIPDSYLSFAPLPADDLGDFGSELELSFFQLGISHQISASIVMQVR >Dexi2B01G0006120.1:cds pep primary_assembly:Fonio_CM05836:2B:5715704:5716129:-1 gene:Dexi2B01G0006120 transcript:Dexi2B01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQRSSVSFRRQGSSGRIWDDPLRGLDVKSLSTTTTPRAATTTTTVAPMHPDASILLAGDPSPRAVSRSMMMRHGGGGGGGGGGAIVESPDVSSSSPAAATVVVVRADADGERPARRRRRISAAFCACMGHPPASHAQQ >Dexi7B01G0023430.1:cds pep primary_assembly:Fonio_CM05836:7B:28059026:28059828:-1 gene:Dexi7B01G0023430 transcript:Dexi7B01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPTPTAPRTIADFFSPPAKRLRSGAPAPATASLSSSSNSPSSLSPEQRRRADTNLALARARRNLRLAESRAKAAGGAPKLEDLLVEETWVEALDGELRKPYALELCRFVADERMHGPLPVYPPPHLVFNALNSTPFERVKAVIIGQG >Dexi4B01G0023240.1:cds pep primary_assembly:Fonio_CM05836:4B:24645547:24647648:-1 gene:Dexi4B01G0023240 transcript:Dexi4B01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRYLTIARARHGAAGVLLQSAAAAIAAGKTPGSLITVRRTSNGADGALQAGAEAAVPRSVPVHIAYQLQQAGHHYLDVRTETEFRAGHPERALNIPYLFRTDSGTAKNTQFLEQVSRILGKDDGIIVGCQSGRRSLMAATELHSAGFTSVIDMAGGFSSWRENGLPTKQQ >Dexi8B01G0001690.1:cds pep primary_assembly:Fonio_CM05836:8B:1105590:1106873:-1 gene:Dexi8B01G0001690 transcript:Dexi8B01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGIEKLAWDSLGECLAVSFKDGNEMYRGLVAVYDVRLSPLVSPSLVGFIRGPREGAKPLAFDFHNKFKQGPLLSVCWSSGWCCTYPLILRSLNSNRGVSPVQN >Dexi4B01G0004350.1:cds pep primary_assembly:Fonio_CM05836:4B:3131175:3132497:1 gene:Dexi4B01G0004350 transcript:Dexi4B01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDELFPSTPGKVKVERAGTMSRHLHRCFASTGTMFLWALFLVAMTATYLSVHSFVDTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCALALRRRGDGVVGIDNFNSYYDPSLKKARRALLGSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAIVWASSSSVYGLNERVPFSEAHRTDQPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYIDDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERYLRVKARKNVVEMPGNGDVPYTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHTFRNS >Dexi9A01G0020350.1:cds pep primary_assembly:Fonio_CM05836:9A:15295335:15297080:-1 gene:Dexi9A01G0020350 transcript:Dexi9A01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPEIIRQQQLEEHGEGGESRGKDYVDPPPQPVLAASELRRWSLYRAAIAEFVATLLFLYVTVATVIGHKLQSESDASGCGGVGVLGIAWAFGGMIFLLLQSESDASGCGGVGVLGIAWAFGGMIFLLVYCTAGISGGHINPAVTFALLLARKVSLPRAALYVAAQCLGAVAIHSPGNSFARLGGGANVVGDGYGRGTGLAAEVVGTFVLVYTVFSATDAKRNARDSHIPVLAPLPIGFAVFVVHLATIPITGTGINPARSFGAAVVYSQARAWHDQWIFWVGPLVGAAMATLYHEHVLRASAIKAVGSFKAG >Dexi1A01G0015700.1:cds pep primary_assembly:Fonio_CM05836:1A:22791961:22792102:-1 gene:Dexi1A01G0015700 transcript:Dexi1A01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPSQTNAPAIRTAGRHNAKQNRAITLPETQQAFD >DexiUA01G0027900.1:cds pep primary_assembly:Fonio_CM05836:UA:59684425:59685081:1 gene:DexiUA01G0027900 transcript:DexiUA01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLYSFEEVSKHSGRKDCWLIIAGKVYDVTAFMEEHPGGDEVLLACTGKDATTDFEDIGHSNSAKELMPQYCIGEVDAATVPAKRIYANSDAGTKNNAATTSGGAWGMLLRLAVPVLLLALALAMQSYGKAKAE >Dexi7A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:7A:30152367:30156385:1 gene:Dexi7A01G0021580 transcript:Dexi7A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGEGALSGNSPIKPAHPCLSHSSPSSSTTLGMSASASSTAGDEKQEAAPVTHCKGVNDLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGDELLFVSNKAIFKSPKAIRGGIPICFPQFSNFGNLEQHGFARNRIWAIDTDPPAFPVPTSNTAYVDLILKPAEEDLKIWPHSFEYRLRVALSPGGDLMLTSRIRNTNADGKAFSFTFAYHTYFKVSDIRYVASTLIMNFMGLHVFFVTVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSIVPEDSIS >Dexi9A01G0013750.1:cds pep primary_assembly:Fonio_CM05836:9A:8969667:8970979:-1 gene:Dexi9A01G0013750 transcript:Dexi9A01G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLMLGNLARPAAANSTGQRLRADGPATVLGIGTANPPNCVRQEDYADYYFRATKSEHLADLKAKFRRICHKSAISKRYFHHTEELLECYPELTNRTSPSLDTRQDILATAVPELTAAAAADAIAEWGRPATEITDLVVSTSSGTHLPGVDFHLANLLGLRPSVRRTMLYMNGCAGGSATLRVAKGIAENNRDARVLVASADLSLVFFRAPDETHVDTLVMQALFGDGAGAVVVGADPVSGERPGFEMVSASQTTVPGSRNIARGQLREDGLLFHLSKEIPSLVRENIERCVGDALAPLGAFTSWNELFWVVHPGGLAILDSVEEGLGLDPRKLEASRRVLRDYGNLAGPSVIFVLDELRRQRDEMNEIGVMVGLGPGLTIETMALRVTGSP >Dexi7B01G0022080.1:cds pep primary_assembly:Fonio_CM05836:7B:27019183:27023310:1 gene:Dexi7B01G0022080 transcript:Dexi7B01G0022080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNADESAEECVEADPPPPPPPPPYKPTWRCFSYDELHQATDGFHQDNMVGKGGYGEVYHGVLDDGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFEFSTRGSVSANLHGKYSSNSASNGWLSSHSGAHLKLPVMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLIAWAKPYLSDGIVQGLVDPRLGDAYDAAQLRRLMFVASLCVRAAAAWRPTMTQVLELLESGEISQDQWLMPEKEEQDELWDFDDLDDFEEDDEDDYDNYDDESDSPSISSSACSIHPND >Dexi5A01G0028320.1:cds pep primary_assembly:Fonio_CM05836:5A:31633658:31638435:1 gene:Dexi5A01G0028320 transcript:Dexi5A01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAILTHRDRAGRGRTSTSLEQTNRAELHKQSQRLPGRPARLHACALPSNSGAMSLLRLDPASLLVPSPVTKPHPRGRFLCRLLSTVLVASLSLVLFFTLHPAPDPPPDYAALFLSLGSNDTAAAHLRALTLHPHVAGTKANSLAVRYVLHALSSLSFPAHVTPYSVLLSYPAHRSLSLTAPGRGTPTTWFSLKQETYPNDPYAAAAAEAIPTFYAYAASGSVSAEAVYANYGREEDFAYLTSRGVGVAGKVVLARYGRIHCESIAYNARAAGAAAAVVYPDPLQYGGAPGEAPFPGSRWLPPTGVQLGSLFRGMGDPTTPMWASSEGSERLSVEEAMNTDDMPLIPALPVSARDAIEIHRVLGGAVAPPGWQGRQDAPVYHLGPGPAVLNLTYIGNDTMAMIENVFAIIEGAEEPDRYVILGNHRDAWTFGAVDPSSGTASMIELAQRFSVLQKQGWRPRRTIIFFSWDAEEYGLTGSTEWVEENLEMLSLRAVAYLNIDVSVVGPLFYSSATPQLDDLLQETIKLVRYEVQDPDNSSQTVYDSWINSKISPMIGRLGSGGSDYAAFVQHAGIPATNMHFGEGPGYPVYHSLYDNYVWMEKFADPGFHRHVAAASIWGMVAFRLATEEILPFNYVSYAVELEAYTKVVDNAVKGTAVSCSPLHKSIRGLRKAAVKANIEIKELQRQLSSKQQDNHSLKIRDLNDRLMQAERAFTSREGILKQEWFKHLVYGPSEYNDWETASYPGIANAISRAKRSNTSESWKFVQHEIFRVARAVAQASAVLGGSLT >Dexi4A01G0008210.1:cds pep primary_assembly:Fonio_CM05836:4A:6163431:6166103:1 gene:Dexi4A01G0008210 transcript:Dexi4A01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLPPGQPPPPPGADGAGGLPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSQLTKMTGMEYVLSDVIEPNLFVIRKQKRESPEKANPMLAYYILDGSIYQAPQLCNVFASRISRAMYHISKAFTMACSKLEKIANVETESDAAASESKTQKEAIDLKELKRIDHILSSLKRKIGAAPPPPPYPEGYVPPSSEQEKAPDDLLASEAPPQLDPIIDQGPAKRPRFQ >Dexi4B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:4B:593729:594197:-1 gene:Dexi4B01G0000940 transcript:Dexi4B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSALAVDAQGAVLRAWRRLLLRGGAETLADWKDTDTSPCRCNLTMPLAETSISGPLPASLGELKSLDTITIYTPLLSAPIPSELRECSSLAHVYENALSGSIPSQLGKLSKLKNLLLWQNNLVSVIPPELGGCS >Dexi5A01G0021620.1:cds pep primary_assembly:Fonio_CM05836:5A:25414200:25414424:-1 gene:Dexi5A01G0021620 transcript:Dexi5A01G0021620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPVCVQCGTRSNPCRCKVLGPTLGFVAFVVAGVIEWPLGAAVYLFRHRKGHRIMSHPATVVYPRVTSAIPI >Dexi5A01G0030380.1:cds pep primary_assembly:Fonio_CM05836:5A:33356069:33361519:1 gene:Dexi5A01G0030380 transcript:Dexi5A01G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFVCLSCSGIHREFTHRVKSVSMSKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIREFIRSVYVDKKYASGSSNKPATDSESLKSNENELRRPDSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGNFLFSPGRLRDQANEDRFANESSGSRFSDFSASSTGDFRNDVLSPSSQETGYSSPSVHHSRNVSAENPQSQKYPNVSSQPDFNGVRRSQRTASSGSFGSFDGSSVSNKSVESGYPHDAPTEKSVHSAVSHQTVASPVANHNLIPQKPADLSSQTTATRKPVQHGGAQTESVVLSPAPAKPATFTPLDLFDQSTVQQPVISDAPIDLFAGFNEQSSASHKTVNLGNHYDIDKESTHNVVFQNAVVPSAEALATSHPVHQDLFSLSILQEPATSSPPPPVDLFASFDQQLPHLSSVQQIPSAAPLSADGGWAFFDAQHGSLTPVSNVQAQEPVAFPPSDGITKGVDQSALPTSPPNAVGSQSTLSMMDNWSLNAEEVKISVPKENSQSWNAFGESTQSPSNNLFTFNTMSQVAPHQFATPGASYVESRNRQDLARGETERPTPGDMFSGFNVSPVEMAGPSFPALQSYLDGVVSHPGKSTNPFDLAFESDVDANNMFMDLTSLQETLPDPHTTTTDYSGSLAQPWISQDSTMLYIPSGPQGGISYVSGQESHMLSPAQGPFPPRNPFE >Dexi9B01G0031810.1:cds pep primary_assembly:Fonio_CM05836:9B:34092610:34093344:-1 gene:Dexi9B01G0031810 transcript:Dexi9B01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRLLDAGNGSPEQRWCAVTGGRGFMARHLVAALLRSGEWRVRVTGLDPDIVLGLDETEELLGDALRDGRAIYAQADVCNLDQLTKAFEGVDVVFHTACAEYTKNDAQLHYKVNVEG >Dexi2B01G0020940.1:cds pep primary_assembly:Fonio_CM05836:2B:30932040:30932711:1 gene:Dexi2B01G0020940 transcript:Dexi2B01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNAGNRRRRSRAPAGGATGAGNDDAEDHHLNPFLDAAPSTSSRIQFRDVALRARWVEEAGAAEVVEGKGKLWLTTGVTRGGKLCYNVEEIGYATCVLG >Dexi8A01G0005780.1:cds pep primary_assembly:Fonio_CM05836:8A:5574415:5575208:-1 gene:Dexi8A01G0005780 transcript:Dexi8A01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding AINGYDWVLGRCIYRQHEADDIQEEGKFVDLVPVGPGQVFEAYGGFGLEIFYSFTRASRKDYDAYGYPFKFEWDVFPLDDDMEPEEYSETCCTGLGHLEVTFLVIPNAIETNVEVKLKLQDLGRSRAVYGKIKASSADYGNRSVHLFCCGKGRSWPIPSGPMSILPLSPGVIAVPYRRQLELHIEVDLIVITIFDDNQEEYKNLKFDLTFNRGSRIQEREVDDDKL >Dexi2B01G0025980.1:cds pep primary_assembly:Fonio_CM05836:2B:35143771:35150863:-1 gene:Dexi2B01G0025980 transcript:Dexi2B01G0025980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRNLRRSLAPGRLHRLLLLRSQHPREYVTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDLKRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEYAPVVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGDEYVSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDRADIDPDARPFARRKSELGHQGLNEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPGEAFSILGEKVIFASGSPFHDVDLGDGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMKEDEVLQGIIYPPISRIRDITKEVAAAVVREAVAEDLAEGYRDMDARELARLSEEETIEYVKTNMWSPVYPTIIYKKD >Dexi1A01G0000600.1:cds pep primary_assembly:Fonio_CM05836:1A:368570:370156:1 gene:Dexi1A01G0000600 transcript:Dexi1A01G0000600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNSKPNQITQNQATTSSIRHSTHKHINNPKKRKRKMKKASSLSELGFDAGDASSGFFRPVSDAAGVVVTPTAHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDLAVTRGSDLAIVTAGARQIPGETRLDLLHRNVALFRKIVPPLAEHSPEALLLIVANPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQASHRAFDEEALEGIRRSVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPAENDVFLSLPARLGRGGVLGVAEMELTEEEAKRLRRSAKTLWENCQQLGL >Dexi1A01G0018630.1:cds pep primary_assembly:Fonio_CM05836:1A:25790547:25791096:1 gene:Dexi1A01G0018630 transcript:Dexi1A01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGPTFLRSALVSSMESTPSPTTTTWSLSAPAIATESTPHMAASAIALDRHLSQLICTLITIEGICEGKSLAKLIMFNNGFTGGIPSGLTACASLVRVRMQSNRLTGTIPVGFGKLPSLQRLELAGNDLSARSPATSLLDPVEPLRHPDLAELLGVGQHHLRRAP >Dexi5A01G0007240.1:cds pep primary_assembly:Fonio_CM05836:5A:5380382:5381929:-1 gene:Dexi5A01G0007240 transcript:Dexi5A01G0007240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTLFTPLAASAVLLLLLAVAPSPAASRHHHPASSATETLDVAASLSRAHAALSTDASVSLHQSAAATEPHKHKRSSRPKGSSSSSLTLRLHSRDFLPGEQGRHESYRSLVLSRLRRDTARAAAVSARAALAAAELSSHQDLRPADTSPVFAASAAAIQGPVVSGVGQGSGEYFSRVGVGSPARELYMVLDTGSDVTWLQCQPCADCYQQSDPVFDPSLSSSYAAVSCDSPRCRDLDTAACRNATGACLYEVAYGDGSYTVGDFATETLTLGDSQPVTNVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISASTFSYCLVDRDSPSASTLQFGDGAAEDTAVTAPLVRSPRTSTFYYVAMTGISVGGQALSIPSSAFAMDAASGSGGVIVDSGTAVTRLQAPAYAALRDAFVAGTPSLPRAGGVSLFDTCYDLSGRTSVEVPAVSLRFEGGGALRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTARGVVGFTPNKC >Dexi5A01G0031370.1:cds pep primary_assembly:Fonio_CM05836:5A:34074620:34074983:-1 gene:Dexi5A01G0031370 transcript:Dexi5A01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWRKATATPCRALLVLLIVVSSAAVSTAQDEGCWKDDNHHPICMTEDCRLTCKDHGHEDGRCHWGWGNLIPICQCLTADCH >Dexi8B01G0004780.1:cds pep primary_assembly:Fonio_CM05836:8B:4459165:4460885:-1 gene:Dexi8B01G0004780 transcript:Dexi8B01G0004780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVQAVREITKCRDVSSAGPSSQNALHAAVLRSSVDCNGSSPLHFASSNGDHTIVQAILRAAPPLTVYRKDSDGLSALHVAAQMDHHYVVEDMLDIYPDAAELRDDHGGTFVHAAARVQASDVVCLAIRSPMLWAGFLDEQDRDGNTPLHLAVAAGAPGVVEALIRKGKPWSDLSTVEKIGKTSDSLAVVAVLVATAAFTAGFNMPGGYGDTGVASLAGKITFKFFLFLDTVAVATSVAAAILFVYGKASRSGGGSWKTFAWALQCMWVSLVSLLLAFYAALVSVVTSKAVRYGFVVVYACMFLLQFSISTWIGNAPKFVHHLEVSLAALLLETKA >Dexi4A01G0009850.1:cds pep primary_assembly:Fonio_CM05836:4A:7793748:7797655:1 gene:Dexi4A01G0009850 transcript:Dexi4A01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAPPPLPLLAAASSPAAAQLQRARRRQHQRRGWRRPRGLLAWGSLVAFFFVMNWWMFSRLQDPAARPHFRLRRHPPRADSAASNSSSLSTLEEVAGAAKGKGAHRVMLTRLLALAAHALAEAETRPEPIDLWEEPINATLWKPCSDQREWEASDGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVLPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKDLPVELQSLDLEAIGSIVNDTDVMKEAKPSLYVKKILPILLRNRVVHFIGFGNRLSFDPIPSDLQVLPHLSDISQFAVKSASSANKSDASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLTELKRMAKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGRHRMAAISRLYPSLVTKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGNLPTIRPNKRRLASILVKNATIEWQEFETRVRKLIQQTKQVHERPVARSIFRHPRCPECMCRTEH >Dexi3B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:3B:1145100:1145459:-1 gene:Dexi3B01G0001610 transcript:Dexi3B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVSIRVVGVADSSSLLVAEDVHSSGLDDALLTNLCAAKSAGSPLSSLLGQGR >Dexi3B01G0020900.1:cds pep primary_assembly:Fonio_CM05836:3B:15818086:15820837:1 gene:Dexi3B01G0020900 transcript:Dexi3B01G0020900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRVGAPFAPGTRGAKAETEEEAVVVWRELRGRAVALAAAAEERAALASRIEDALEFRCGVALVVEQVRREALRQEEELGELRRRLDLQHARAEEALVGRRRTAEAVERGKERLQEQIERVLPLSRALTAAHRRVQEAKEALSGHKARHEDLQRLLRTRQRCMVAQVAALYPVRVFHDLPQHGDNSNDDRTLSGQSRTLSEETRAFSGAHGTHVPSVIKSPQGRALTIFGWQIMNTKRKQKNYSDKELQRSATVLGYAAHAVLLIAFYLDVPLRYPLHFGGSRSYVSDRLPSAETATAASTEHPSTNNTISELSEYPLFLECQEDDSTRASYAIYLLNKDTEQLLNFIGAESSGRHVFDNLRELLRIILSDEYVYR >Dexi5A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:5A:10937325:10940236:-1 gene:Dexi5A01G0014110 transcript:Dexi5A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPSAGARLHLLRRLLSTATEAVAEATEPSAAAAAPAAPAAANANANANAKAWSKGSRLLYRRLSALGSAGEGSVSRVLNKWVREGGTPRVDDLVRHVKELRKYKRHAHALELMDWMVNARGMNMSHTNHAIRLDLIYKVRGLEAAENYFAGLPDPAKNHRTYGALLNCYCTAKMEEKAIDVYNKMDELGISPSALCINNLMSLYMKLGRYGKVDSLFEEMKVKNVKPDSLTCCILMTSYASSNKIDAIEGLLKEMVEKDVSLGWSAYSTLASIYVNAGLVEKAESALKKLEGLVGDDDGRQPFDFLMSLYASVGNLSEVNRVWDVIKAKFSKVTNTSYLGMLQALYKLNDVDRMKQIYVDWESSYEIYDVKVTNMMIRGHLKLDMTEAAESLWEKAKEKGAEFDSKTCELFLDHYMGKGDIKLALSWVENMIKLTKKAGKLDQNKIHKFQKYFEEHKDADGAERFCNCLRTLGCIDGKAYESLLRTYLAAGKKSRSLRQQIKNDKIEICYDIGKLLKRMGDKGQ >Dexi9B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:9B:8886781:8889298:-1 gene:Dexi9B01G0013210 transcript:Dexi9B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGVDRSGFQMDYAGVGAGAGVSPSRRRFMPSESLARGVITQGSAQLRTIGRSIRAGATMAAVFQEDLKNTSRRIFDPQDRMLVGLNRAFLISCIVAIAVDPMFFYLPMVTDEGNLCVGIDRWLAIATTVLRTLVDLFFLVRIALQFRTAYIKPSSRVFGRGELVIDTAQIARRYMRRFFAADLLSVIPFPQVVIWTFLHRSKGTAVLDTKDRLLFIVFLQYIPRVVRIYPISTELKRSSGAFAETAYAGAAYYLLWYLLASHFPGCNRIYMYCGNDRQLGFLEWRTITRQVINETCEPDRNGDSPFNYGIYSLAVKSKVVKSKDTTSKLLYCLWWGLSNLSTLGQGLKTTIYTGEAFFSIALAIFGLILMAMLIGNIQTYLQSLTVRLEEMRVKQRDSEQWMHHRLLPPELRERVRRYDQYKWLNTHGVDEEALVQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLCTEHIYIIREGDPVDQMFFIIRGSLESITTDGGRTGFYNRSLLEEGDFCGEELLTWALDPKAGVCLPSSTRTVRALSEVEAFALHADELKFVAGQFRRMHSKQVQHTFRFYSHQWRTWASTYIQAAWRRHLKRKAAEQRRKEGEEMEDDGKSSSIRTTILVSRFAANALRGVHRQRSRRAGAVNELLMPVPKPREPDFGNDY >Dexi2A01G0025460.1:cds pep primary_assembly:Fonio_CM05836:2A:37084371:37086602:1 gene:Dexi2A01G0025460 transcript:Dexi2A01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGCRTNRATQAGYWKSTGKDRRVNYQNRPIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGIQDSYALCRVFKKNVALGEFQKQKQGECSSSQAKEKQEDVREAGQSSGSNEHGKDNSWMQFISDDLWCNKTK >Dexi7A01G0015390.1:cds pep primary_assembly:Fonio_CM05836:7A:25276148:25277516:1 gene:Dexi7A01G0015390 transcript:Dexi7A01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCSALVAGGCLPPPAIPRLRRRRGSSVRAEVLPGGESQRKKVAVAGAGWAGLAAAHHLVKQGYDVTLLAADSGPTEEVGLRGFWYPYRNIFALVDELGISPFTDWNKAAYYSPEGLSFPNLPLVDRFTSIPVIAAVIDFDNTDTAWRKYDAMTARELFKM >Dexi2B01G0029590.1:cds pep primary_assembly:Fonio_CM05836:2B:37959582:37960048:1 gene:Dexi2B01G0029590 transcript:Dexi2B01G0029590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKIIAATALCLLLMTCEGRTGGYCKGVPLFKYCMCTFDCDGGGGGGGGGGGGGGAQPAPRVPPRPPMSALTVKARKAGSFE >Dexi7A01G0023730.1:cds pep primary_assembly:Fonio_CM05836:7A:31651521:31654950:-1 gene:Dexi7A01G0023730 transcript:Dexi7A01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAPLLLALLLLALLATPAAPATHPADLAVLKDLLKSLTNPDALGWPDNDDACGPPAWPHVSCDHSGRVDNLDLKNAGLAGELPASISSLESLQGLSLQGNHLTGPLPSFRGMANLRQAFLNNNDFDSIPADFFDGLAGLLEISLGNNPRLNATQGGWALPAGLAVSSQQLQILSLDNCSLSGGVPAFLGTMNSLQNLTLSYNNLSGPIPDAFNGSAIQRLWLNNQLGEAKLSGTLDVIATMTNLQELWLHGNQFSGPIPDDIDACKDLYTVRLNSNQLLGLVPPGLATLPNLQELKLDNNNFLGPVPSVKAPNFTFSGNEFCAAKPGDTCAPEVMALLQFLADVQYPTRLVDSWSGNAPCAGWIGVTCVQGKVTVLNLPNYDLNGTISQSLANVTTLSQVMLGGNHLTGQVPDSLTNLASLQKLDLSMNDLSGPLPTFKPSVQVNVSGNLNFNSTVAAPDAQPNNNPRSPTTPNGAQGSHGSNPAIPGNGKKASSAVLLGTTIPVTVSVVALVSVAAVYFCKKRASMLPQAASVVVHPRDSSDPDNLAKIVLATNDGSSGTSQGNTHSGSSSLTGDVHMIEAGNFVIAVQVLRGATKNFAKDNVLGRGGFGVVYKGELHDGTMIAVKRMESVAVSNKALDEFQAEIAVLTKVRHRNLVSILGYAIEGNERLLVYEYMPNGALSRHLFQWKQLGLEPLSWKKRLNIALDVARGMEYLHNLGHHRFIHRDLKSANILLGDDFRAKVSDFGLMKDAPDGNFSVATRLAGTFGYLAPEYAVTGKISTKADVFSFGVVLLELITGITAIDDSRVGEGEETRHLAYWFCQIRKDEEKLRAAIDPTLDVTDEEIFESISVIAELAGHCTVREPSQRPDMGHAVNVLVPMVEKWKPVKDEAEDYLGIDLHLPLLQMVKSWQDAEASMTDGSTLSLEDSKGSIPARPAGFAESFTSADGR >Dexi5B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:5B:8085476:8086036:1 gene:Dexi5B01G0011390 transcript:Dexi5B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARALLVVVLAAAVALQLAAPPVVADGDLPAAVAEVCKNTPFPELCTGSSQRHAGKYDTVDPLTVLGMQVDAFSKRVKAASRRAKKEAKAAATPEQRRALNLCKSYYLDAGDNLGACKRAIRFRDGVTIRATMSMAAQDMQNCDEEFRKAAAKNPVCDLNRSLVDMVENCRALSNMIPAAGVLN >Dexi9A01G0000590.1:cds pep primary_assembly:Fonio_CM05836:9A:349829:353127:1 gene:Dexi9A01G0000590 transcript:Dexi9A01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNVLALGEHVLKGTDRKRKHEIALEHAEGNDVPQHDPRIRSDDGVQYYEMTVNNPLGWFDDRGKGFFPDLSSGLCTSKRSHHEKGEAAEHAGMSSSVAESSSSVSVGEVVSYGKRINNIVEDSLKAHRKHDEAIGENKSGPSIHLNEYSSGTVQAATGKHNNGPFIVSSVRNLLLQGLGQPFKEKDIIGIYRTPLLDQHGQVRSGLFQEEIEVAKSRRGNANVRYAWLPCSRSSMEEMMMRGALEIAKPQRGYTHGVGACLAPANCSNSWVSYSDFHEDGIIRVMLCRVIMGNVEVVLPGSKQFQPSNESFDSGVDDLQNPKHYIIWDANVHKQIYAEYAVIVNVPPMISECLFSKDSLSNISEITSSDSPNNLTKGPRFPAMMPSAIEQEAPKLGLSTYGSSRATKNTLKDL >Dexi7B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:7B:11480511:11481640:-1 gene:Dexi7B01G0004540 transcript:Dexi7B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVIINDSRELRLSPSAPPFPSPPSTVPPRRHPRYRTTPPPPRHLTTTSQPASTAATRQLASTAPLRRHAPARLHRRGLEKWRVEIGDNGAHWRSFFWWRIKDQDQDQECPSSSRASSTTARGF >Dexi9A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:9A:15463205:15463563:-1 gene:Dexi9A01G0020430 transcript:Dexi9A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANKTGVAHEAMNNIRRASKTMTEQEARQILGVSENSTWEEIIQRYDNLFERNAKAGSFYLQSKVHRAKECLETVYQKNKQDEPPT >Dexi9A01G0022270.1:cds pep primary_assembly:Fonio_CM05836:9A:17484171:17493567:1 gene:Dexi9A01G0022270 transcript:Dexi9A01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGAEVDVAYAAANHTGDATSLRPDRLTVLVSGYSGGASRCSVPSGGGYFRLPLLRPWLPSAGFRFATHSGCFSGWTPTDIGPSTLKKISSIIPFYKKILWIGPTNYDLAEEFSVGATQLGQILEKETVDSCEVILVGCAACNTLNRKTDPSSRYIEFKNSAVVWEFLKGRILPGVAALDKCYPYQIPWSTVFCDPTLPLAVDIGSGNGLFLFQMAKSYKRSNFLGLEMNEKLVIRCLQGMASDEKKNLYFVSTNATSTFRSIVSSYPGRLTLVIIQCPNPDFNKEQNRWRMVRRMLVEAVADLLQTNGQVYLQSDVESVLLGMKEQFLSFSKGQLVVDGDDSNHWMENPFGVVSDWERHVLARGAPMYRTMLRKL >DexiUA01G0000260.1:cds pep primary_assembly:Fonio_CM05836:UA:1660073:1660506:-1 gene:DexiUA01G0000260 transcript:DexiUA01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWMSACARGGGIFGGPHYSAAKAGVLGLAKAMARELGPDNVRVNCITPGLIQTDITAGKLSDEMKTAILAGIPLNRLGDAQDVARAALFLGSDLSSYSTGITLDVNGGMLIH >Dexi9A01G0040350.1:cds pep primary_assembly:Fonio_CM05836:9A:44128751:44129069:1 gene:Dexi9A01G0040350 transcript:Dexi9A01G0040350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRRRVGRRPDAEPVKLGVNSASEDEPEHASQSKDHTGWPNVAGRLPSTKKWLYHAMPYPSTGAARRIAARPVAAASAAETSDASVPRKCHRRAPGLECWLR >Dexi5A01G0023810.1:cds pep primary_assembly:Fonio_CM05836:5A:27803068:27804528:-1 gene:Dexi5A01G0023810 transcript:Dexi5A01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSRQETGRSGGAGDGAGDDEAKRKEEALASSRLLDPGFKPSKLSQDRLDKFKELHKKRLQVIEKPKHKRKPKGTCLSCMFFF >Dexi9A01G0038860.1:cds pep primary_assembly:Fonio_CM05836:9A:42999022:43000789:1 gene:Dexi9A01G0038860 transcript:Dexi9A01G0038860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGDYQEMAASVPPSLKAITLTHVRYRRGDPLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGLCFAMGLLVSQVLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYVFFFATYLSLLSLRRSHARRVIAAVPWPLAFLTMLSRVYLGYHTVAQVFAGAVLGLVLGAIWYWIVNTMLVDYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKVATD >Dexi1A01G0022710.1:cds pep primary_assembly:Fonio_CM05836:1A:29347000:29351722:1 gene:Dexi1A01G0022710 transcript:Dexi1A01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRITVLFVLSSGLLLAATATHETLSSPSNMGEGVVIGVLDDGIDAGHPSFADEGMPPPPTTWRGRCKHPGVASCNNKLVGAREFTRHLLRGHPSSPAARAAAGTHGTHASSVAAGAPVRLAAGEVVSGVAPRAHLAFYQVCGASRGCSRGPIMHAVETALADGVDVISMSLGDDDDGEGAGFHVDPVVAATFSAVMRGVFVCAAAGNKGGASSVTNDAPWILTVGASSSRSTIPAFSSRGPSRNNGGVMKPDIVGPGVDILGAVPRRSRYHGRPSFASLSGTSMAAPHLSGVAAVIKSAHPAWSPAAIKSAIMTTADTSATDEQTGAPASHFAMGAGVVDAAKAVDPGLVYDVSPEEYIPYLCGLGYTDDQVNRIIYPAPAVRCAEMEITEAKDLNTPSIMVELMADRPAVTVRRTVTNVGKARSVYRVDVSAPEGVSVTVVPGELQFDEVNQKASFTVTVERGSGAALAASEILGAHIAWVSEEHVVRRSKLHQIAEYENVTDFLPSTDNLVNKFALAIIGFAFGSACSSSMDPPNSKHLAVLHLSLHAAVFLLASLLASTAVAHNDHGLHKNYLIIVRTPYEYDRNLFKDVSSWHASLLASVCDMAEEELDKDPSAMARLIYSYRHVVNGFAARLTDEEVRAMATKDWFVKAMPEKTYHLMTTHTPQLLGLTGVKSFHGGLWDKTNMGDGIIIGVLDDGIRPGHPSFDATGIKPPPAKWKGRCDFNATVCNNKLIGARSFYESAKWKWKGIDDPVLPVSEGSHGTHTSSTAAGAFVPGANVMGNGLGTASGMAPRAHIAVYQVCFVDKGCDRDDILAALDDAVDDGVDVLSLSLGDDEAGDFAYDPIAVGGYTAIMKGVFVSAAGGNMGPDPATVANEAPWLLTVAAATTDRRFVASVKLGNGVELDGESLFQPKDYLSVQIPLVRDRSDGTCSDEKVLTPEHVGGKIVVCDAGGNFTALEMGAALKNAGAAGMVVILMEELGSVIQPKAHALPASQVTYVSGQKIRAYMNSTANPTGELAFKGTVLGNLDSPVVAAFSSRGPSKQNQGILKPDITGPGVNIIAGVPKPAGLMTPPNPMASMFDILSGTSMSTPHLDGIAAVLKRAHPTWTPAAIKSALITTADTTDHRGKPIAAHDGRPANMLTVGAGFVNPMKALKPGLVYNLTAPDYIPYLCGLRYSDHEINSIIHPLPPVECAKMAVVEQKDLNYPSITAFLDQEPYVVNVTRVVTNVGRARSVYVAKVEVPSTVSVTVTPDTLRFKKMNQVKGFTVTIRPVGAPMKKGIAEGQLKWVSQENVVRNPILVSFKKFVKDNSTTAHLSH >Dexi4A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:4A:3315620:3315835:1 gene:Dexi4A01G0004710 transcript:Dexi4A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSVVQFAALCTATAAAPRTQRACRADRLANRLPRWHARLPAGLQLALSPTARSSRGAGSPARGAQPLD >Dexi9B01G0039760.1:cds pep primary_assembly:Fonio_CM05836:9B:40463288:40464770:1 gene:Dexi9B01G0039760 transcript:Dexi9B01G0039760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEPTPECRCSTGPQDSDTLRDFCVLGPMTAETHTEFISIFRKPRGGGGRRDLLRRVRRGRGVPYRHVRAHAVGDGVRSLTPTCAASAPHGDPLLFLAASRRGRAVALAIDTALYVLSRSRLFTHMWDLLHSTRRVCPGAVSARTAMVVLGRVAKVCCVRETVASFQRLLRMFRAIYLAALFNKLLRTLGQEQSMTDARNSAEDAEMRKLGVEPDPMTYNSLIDCHGKNKDVHKALKLLDEMRDKDISPDVITYTRLIGGLGLIGQPDKAKDLLKEMHELGCYPDVPAYNEAIAKRLGDVLALMDEMASKGLKPNPTTHNLFFRSYYLAFDIGRRVCMFIITLCHRHGKVAQAFELWSDMLEDAERCFYQMVELGQKPSYVAFRRIQILLQLAKQEESIARLTEKIAQFGRLAPEDCQRVHHPAESRPSNGDGADIDISGAA >Dexi6A01G0010700.1:cds pep primary_assembly:Fonio_CM05836:6A:13314706:13318569:-1 gene:Dexi6A01G0010700 transcript:Dexi6A01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRTWFGGLFNSSGKRRQVSAEKIVLDLNPLQEQRLQKLKERLNVPYDETRPDHQESLRALWNASFPDRELTSLVSAQWKDMGWQGVNPATDFRGCGFISLENLLFFARTYPAPFKRLMLKQKGVRAVWEYPFAVAGINISYMLIQLLELNSARPKSLPGINFIKVLTEHEDAFDLLYCIAFEMMDAQWLAMRASYMQFKEVLEATKQQLERELSLEDLNNIHDLPAYNLLSK >Dexi5B01G0039120.1:cds pep primary_assembly:Fonio_CM05836:5B:38124699:38127325:1 gene:Dexi5B01G0039120 transcript:Dexi5B01G0039120.1 gene_biotype:protein_coding transcript_biotype:protein_coding DANDSQLQEHTFLAANPTASYRSTQSLAANPTATDHTQMLPPLAEAEGNMPPPPLLPSMDAFYLHLLRSCASLPHVAAVHAHIARAHPAASLFLRNSLLAAYCRLGGPLPAARLLDEMPRRNSVSFNLLIDAYSRAGLADRSLGTFARARATRVKPDRFTYAAALAACSRAGDVRAGKAVHALAVLEGLAEGVFVSNSIVSMYARCEDMDEARRAFDVACEHDDVSWNSLLSGYVRAGAREEALKVFALMCRCGTGWNSFALGSVIKCCASSVDIAGDIAEVVHGCVLKAGLDTDVFLASAMIDMYAKKGALSYAVALFKSVREPNVIVFNAMIAGFCRDEAEVAKEVTVEALSLYSELLRRGMQPTEFTFSSVVRACNLAAEFGFGKQIHGQLLKHSIQGDDYIGSALIDLYSNSGCTEDGYRCFRSLYKQDIVTWTSMISGFVQNELFEKALRLFQELLCYGLKPDLFTISSVMNACASLAVARTGEQIQCLATKSGFDRFTVMGNSCVHMYARSGDVGAATLRFQEMESRDVVSWSAVISSHAQHGCARDALRLFSEMMNAKVVPNEITFLGVLTACSHGGLVDEGLRYYEIMNKEYGLAPSIKHCTCVVDLLGRAGRLGDAEAVIRDSGFHDDPVVWRSLLASCRIHGDMERGQLVADRIMELEPTSSASYVILYNMYLDAGELSLASKTRDLMKERGVKKEPGLSWIELKSGVHSFVAGDKSHPESNAIYKKLSEMLSKIEKPANTGNVSRESNDVSSREQNLEGCHSEKLAVAFGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISGSENREIILRDAIRFHHFRGGACSCGDYW >Dexi9B01G0021590.1:cds pep primary_assembly:Fonio_CM05836:9B:16330554:16331136:-1 gene:Dexi9B01G0021590 transcript:Dexi9B01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAATAAALRLRAAAATLSPQPAAVITPRRAAFFLPPLRRLYSAAPPRASAAPDSQPPPRLPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEIESASRVATAALLLVHQPVPLEDVLGKAKAQVEVIKGLYAQLAEVLKECPGQYYR >Dexi3A01G0021680.1:cds pep primary_assembly:Fonio_CM05836:3A:17300448:17300705:-1 gene:Dexi3A01G0021680 transcript:Dexi3A01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRASQSVFVLPENLATLDVDAAAEAGGKAGPDGGAGAEQQARPPAGRHRRAMSVAVAARDLELIKEDLGSYKVGA >Dexi3B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:3B:2105910:2108821:-1 gene:Dexi3B01G0003230 transcript:Dexi3B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASHHGLVAVAPTASPGAAAPGLQPRGFVRFRAASLVPLAAARRVCCQSINSANVLGASSATSDEAVPDPVVMIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVATDSSVTQTKFHIMRFGRKVEDPDMLERIRLTIINNLLKYHPESSEKLAMGEFFGVKPPEKKASIYLKWQVDVDIATHVVVEDDGPKRSMLYIETADRPGLLLEIIKIIADTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLINCLRYYLRRPETDEDSY >Dexi9A01G0035740.1:cds pep primary_assembly:Fonio_CM05836:9A:40338765:40340381:-1 gene:Dexi9A01G0035740 transcript:Dexi9A01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITLTMVKAVAVLGSSEGVKGTIYFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDENRHAGDLGNVTAGADGVANINVSDCQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >Dexi3B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:3B:11953762:11956295:-1 gene:Dexi3B01G0016410 transcript:Dexi3B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSLGLLHAPSFIAAKCQPVASRAAAPGRRASRALFAVSASAADAADKDAVLKAFREKRALKIISGLQNFDKSSVASVVTAADKGGATHVDIACDSDMVKLALDLTTLPICVSSVDPSAFQAAVQAGAKMVEIGNYDSFYEMGIEFSSDQILKLTRETRKMLPGITLSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCSSGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVAMIAEVRSIAQAMAPALRNMSENLRTVRH >DexiUA01G0018230.1:cds pep primary_assembly:Fonio_CM05836:UA:38844401:38845092:1 gene:DexiUA01G0018230 transcript:DexiUA01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSAHRSRMLAAGRGAPDDDDIRVCSVILASVVSLMLLCGVLSVVPGPGALAVTKAYVILGVAAFMLVLMLLAWLMAPAIRARLRPHRHRLRLRRPCGWRAASARAGWRTRRGSPPRCRRSQPNRRSGVLCAVCLEDVRPGEMVRQLPACGHLFHVGCVDAWLRAHRTCPLCRCELPPRKAAAAARMATTAAAVMPAVAERRAAAVIPATAEGLPLPPV >Dexi7B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:7B:17577502:17583491:-1 gene:Dexi7B01G0010200 transcript:Dexi7B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAPAAGAHDVGMALRKAEEAAARRCEAARWLRRMEPAAVESLPERPSEEEFCAALRNGLVLCKVLNRVNPGAVPKVVENPVVTVQTFDGPAQSAIQYFENMRNFLVAVGAMNLLTFETSDIEKGGSSMKVVDCILCLKGYHEWKLSGGIGIWRYGGIVKIASSSKRPVSHLTRGGGSDQQMLEFVHLLSEVSLEESRVEETQHSLFQHFVLRVVRAFLLEWGEAEDLPLDDMVIETILEQACKEFTILLASHRNQVRSLLRKMMKDDNGTLSKLDLIEAISKCLNENSGCLFSSSRIPRGSCEHLDDGGVLESQQEELEKLKISFNEMKLQVESTRADWEEDLRRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFPKTQSDQRSTVDHIGENGEIMIANPQKQGKDGRKIFTFNKIFGPNASQGGQAKTLMFVHVNPETDSYIETMSTLKFAERVATIELGAARANKEAGQVKDLKEEISKLKLALDEKEREATQFKDLANRVTSEMRNARTRSPSTASISLKPEAGQESSVDTCSTEIRSSSSGTQRRFRSPLSARELDDKSPVISRELYMSAWKYKTPSPPVRSSLSAERGSFAKTVENTGSIDCTPISKVEMPVKVLSSSSRNTPSSTLTAQSLRKFRDSEENRCKIPSVRQSMTKNRSDSTPKAHKEEQSANRKSGTKMRSASKTERDSSEIENEFAGDEPTFHFNRKAKKLPTPATRQSQNIDLRASVRDIEPLTEGRQRRNWSKPPYAERTNIPLPDIRRSVSLPRGKNPLV >Dexi8B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:8B:15265472:15267973:1 gene:Dexi8B01G0009030 transcript:Dexi8B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTELITTMISLVTLVHFTTTTISSTTILPLWIISFLPISLLAMAAAAVTLPFAIITLHARSSRNNNGAAAAAIPGPRGWPLVGSLPTVWGPLMHRRLAALADAHGARRLMSLTLGATTVVISSHPETAREILSGTAFVDRPPKAAAWELMFSRAIGFAPGAGDYWRRLRRVAGAGMLSPRRLAALGPLRRRVADAMVARVAEHSYSGELVAMRGLLQRASLESMAGSVLGLEGGAVSEELGEMVREGYELVGTFNLGDHYYTTMWGSLMDLWGVGPACRGLAARVRGYFGKVIEGRRLAGHCHERDDLLSYMLGLPEDEKLEDSDVIAVLWEMIFRGVDVVAILLEWTMARITLHPDIQFKAHKEIDAVVGSNHITDADIPNLHFLQCIVKETLRMHPPGPLLSWARLAVHDARVGKHIVPAGTTAMVNMWAISHDKTIWGDPWVFRPERFREQDVSILGSDLRLAPFGSGRRVCPGRMMGLSTVQLCLGRLLQEYEWLPAKPIKLAECLRLSMEMQNPLVCRTVLRGEAA >Dexi1B01G0027600.1:cds pep primary_assembly:Fonio_CM05836:1B:32285083:32287371:1 gene:Dexi1B01G0027600 transcript:Dexi1B01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPAVSFVEAHHEEEKISESKEGPERPSRFPPLFPRACLPFPVRVASSNGSSEATAMATAEKKRPRISSGAGKRPSRKEVLERKKAIDELIRKAIAVKDHLVQFPAFHKFQRNGLSVYLESKRGDQLTLPVKKYIQNLLKVNMEGPYGLEWPSEEKIKRQEMVAPEARYIFVKQHSNGFTTECSMNQDAGVKHTHTACSEGCLVGFVHYRFVLEEELPAVYVYELQMEPSSQGKGLGKFMMQLIEQIACKNQMGAVMLTVQKANMQAMSFYTKLGYVISSTSPSRVDPQIGLEKSYEILCKTFDSEAKSKLEDGDEEL >Dexi9A01G0047940.1:cds pep primary_assembly:Fonio_CM05836:9A:50862208:50865946:1 gene:Dexi9A01G0047940 transcript:Dexi9A01G0047940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVALAFRKLSHHHHHHHQNWIRHHFNPHLDYSNPVAAARALLNSSRRWSSSPPPATPLASSRLFFSSSLSGSGTEEEEAEVLDMEAGTVRCAANYAALSPISFIERAAAVYGARAAVVYGERRHTWAEARRRCVRVAAALATRFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSNLLKHSGAKVFLVESNLLDVGRAALKRLAESGPAATLPVLLTISDEADSDDYEDLVRDAPEQFDIRWPLNELDPISLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPVYLWTVPMFHCNGWNLPWGVAMQGGTNVCLRHFTAKVIFDSIAKHKVTHMGGAPTVLNMIANAPAGDQKLLPGAVRVMTGGAPPPPRVLFAVEELGFVVYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAMQDVDVKNADTMESVPYDGQTVGEVMFRGNTVMSGYYKDLDATRESMAGGWLHTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGASATEAEIISFCRERMPRYMAPKTVVFEDLPKTSTGKTQKFVLRGKARAMGSLTKTANSKL >Dexi5A01G0022110.1:cds pep primary_assembly:Fonio_CM05836:5A:26007887:26014261:1 gene:Dexi5A01G0022110 transcript:Dexi5A01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAAAVAPCPSPVGLGRPLCRAHAHPRRRRGFRLEASSSASAPAPAPAAADEGAGAGPCPVVRFDMDDFAIADRVTVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYITPSNAVEQEDVPFVLVHGYHGSYSLRHWLQLSDWLPTLEAMLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHTHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSNSTVASNNERRELMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKMLDRQWGAGWNLLALLLATKPKKRISCIDALRHPFLCGPKWRIDPSTNIIRWGLGSTAVRMAEDYIYGHHQRRRLAYFVELMEVLNPNLRTENWLHLLPGRWRLLYCTGRHIGLTLRQPSPRILISDVFLTVSSESVDPVFSLTSDIGFRIMPESNWPHDKSGTEGALSVTTSARIAAGRMYINEQDSKESRVTSSRSPRRYLRGKWREVSKMKELPVSLPSVNIAMDEVDVSMSCSSVLNVNSAQKVLQEIRTQTPPEMFDLSKIVCGTYIDARLMVLRGVNGSALLFVRSNPTTDP >Dexi4B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:4B:7627167:7628978:-1 gene:Dexi4B01G0010340 transcript:Dexi4B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASGTERSRVLVIGGTGFIGRHIVAASARERHPTFVLVRDDAPNDPAKAAVLQGFRDAGVTLLKGDVYNHESLVAAIKSVDVVISAVGHHMIHDQTRIIDAIKEAGNVKPARSLMFGAKAHIRRAVEGEGIPYTYVAANFSTGRFLPTLAQVEVGVTGLPKDKVLIVGDGNVKEFPAPLNIGLSISHSVWIKGDHTNFEIEPSFGLEATEIYPDVKYTTVDEYLNRLL >Dexi3A01G0035750.1:cds pep primary_assembly:Fonio_CM05836:3A:41020960:41022369:1 gene:Dexi3A01G0035750 transcript:Dexi3A01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLMLGSLGRSMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTASSTSAETTENKS >Dexi5B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:5B:3640434:3641481:-1 gene:Dexi5B01G0005420 transcript:Dexi5B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHPCCSEEKKVRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIALHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKESSSSSPAAMHRRRGGEDAGAAHPPAIFSPFPSGGAAAGSSSSYDNDSSSAGTAATSGGGGGGLVVADGGRNNAAAVESVTPSPTSTEAGGCDDGFLKAMVEDASFLFGDFYLDGSNHDGSISFWEGHAFS >Dexi3B01G0029450.1:cds pep primary_assembly:Fonio_CM05836:3B:28715460:28724413:-1 gene:Dexi3B01G0029450 transcript:Dexi3B01G0029450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPAAAPARVRLRLVFENRRLLRRTEREEGLRRCWLLLRPELATVADLAAHVATRFRLRRSCPSGVSLSMDGFALPPFESTCIFRDKDIIRVEQKSCKKLVGHNDVHCIQDPEVVEKRPLPVDNEILAIQYHTDGSKYQEEEHGDLQPEENATLSHSIENNGTSSKRKLHDGVAGIPEIKRKKPKVKNSGKNIDVSKEDSVHQNQDQSESKKLMSSAIDVGTKEATLQPETTVTLVEQQKAERSNQTELECETKGADCNVQSDTKKPESRSARRKKIKRQMRQKAKLDTEKNVHEDSPIAVDCPSSSNQNGLPGPSGNQNGSHVPFSSHKADEEESDTSDEIVPVVVRPGHIRFEPAGGQPDKSPTKETQVTFQWSGTMSKKKGQKWGLHSSNKKNADFGARSSTEASHHFIDSKVTENGFCAASNQKDDESRNIETSSVKTVANEEKFSGEPLDFESSYPLTRLPKVGKVLIYDPISLRIILLPVPEYPIVTEENKPEEESDIFVDLSPYKEDGSLEIEYSSLLDVRLLKESVPGAVSTPSAETCKGGSLNGKTVALDNNEGNIECQQPGMVVNNTKDQESALGKTENAAWEENCEPSNEKTDVQGNGWETWKRNESTSAWSYRALRSSALGPTMAMLRGKNSPRCKPPNRKYGK >Dexi1B01G0031300.1:cds pep primary_assembly:Fonio_CM05836:1B:34965426:34966601:1 gene:Dexi1B01G0031300 transcript:Dexi1B01G0031300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPSLQSPLMTLLSFKAPLSGAQQGHPEVVGTDTGLEAPLGDLLEQLVEGSVIAGAEVALEDLVAGDDVRVDASLFGHFVEQRAGSCEVPGCNEGLEEDVVGDEARLDGELGGEGVEGLEDAVVEAVVVGAVGGRVDEAEGREGVVGHGGGGVEADEADEEALGGVGAGEGGEGGGEDGGDEGGGEDGGDEVTAAGGGEAADGEGEEGAVGEECGVGEGGEEEGGDGVRGGGGIADGGLEAGKVGGGCGRLGVGLGGEEAEEEVAAGEQGRAPAEGGGGGHGCCLLDSLLFVASLLFVGEGEEQEEEAAAVAAARV >Dexi1B01G0031300.2:cds pep primary_assembly:Fonio_CM05836:1B:34965426:34966601:1 gene:Dexi1B01G0031300 transcript:Dexi1B01G0031300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPSLQSPLMTLLSFKAPLSGAQQGHPEVVGTDTGLEAPLGDLLEQLVEGSVIAGAEVALEDLVAGDDVRVDASLFGHFVEQRAGSCEVPGCNEGLEEDVVGDEARLDGELGGEGVEGLEDAVVEAVVVGAVGGRVDEAEGREGVVGHGGGGVEADEADEEALGGVGAGEGGEGGGEDGGDEVTAAGGGEAADGEGEEGAVGEECGVGEGGEEEGGDGVRGGGGIADGGLEAGKVGGGCGRLGVGLGGEEAEEEVAAGEQGRAPAEGGGGGHGCCLLDSLLFVASLLFVGEGEEQEEEAAAVAAARV >Dexi1B01G0020880.1:cds pep primary_assembly:Fonio_CM05836:1B:26871444:26872631:-1 gene:Dexi1B01G0020880 transcript:Dexi1B01G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAAVSGVVLPHAFLSHRSSPPQLVAVASSFRRLSLCATPRRTTHLVARADASAEAGEPEPEAEAEPVSSSADAEEGEVEGAVAVAEAEEPPPPPSKPKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGVHTTIRVRRIIAGVGVEITFPV >Dexi9A01G0040110.1:cds pep primary_assembly:Fonio_CM05836:9A:43958677:43959560:1 gene:Dexi9A01G0040110 transcript:Dexi9A01G0040110.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNVGTNLPTTEYSADEYSFIMATNLESSYHLCQLTQPLLKASGSASIVLISSVSGVVGVSSGSIYGMTKGAMNQLAKNLACEWAKDNIRTNSIAPWYIKTSLVEKDLAEQEFLDSLVGRTPLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTVNGFYPTQD >Dexi5A01G0028740.1:cds pep primary_assembly:Fonio_CM05836:5A:31957147:31960002:1 gene:Dexi5A01G0028740 transcript:Dexi5A01G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKLPSVSPPPPYLLLLVLLTTTMAVSPAAAWLDCGDASPSPPPSSPSPSPSPQPAPPPTTNATSSSDAAFRANLLTLLGELPRAAAATGFASLSLGDARRGDRAFVRGLCRGDFAPPRCLAELQAAVGNLAASSCFLNNSHRCAALWLDVYIAYGDTNASTPREDAFRQILYDTRLVADPAGFKQAYTPLMSRLVARAAASGGGGSGRRQPFFFATGEARYDASGDDPNGTMYGMVQCMRDMTAAECERCLNASVPRLPCGSGNQGGVVLAYNCFLRIQVYTYYDLALDAPAPAPVTSPPTPAGETSGTSRPRNTTILAVVVPLGTLLLLAFVLTGGVYLRRRRGVKDSKDDCSATYVHPEKFTLAVLRAATGNFAAENKLGEGGFGQVFKGRLEDGQAVAVKRLSKGSSQGFHELKNELVIATKLTHRNLVQLLRVCLEETEKLIVYEYLPNRSLDNALFDAARRHQRKTLDWRRRYAIIRGIARGLLYLHEESRLRIIHRDLKPSNVLLDSDMSPKISDFGLARAFWGDETIREVTKRPVGTLFGVIVLETLTGRRNTSPSAQEDGSGSNLLSYVWEKWRQGSVAEIVDASLGGRYAPAEALACAQVGLLCVQKEPGARPDAAAVVLMLEGQSAIQRRPSRPAFCCSGSSMATSSAAAAASSRAARGGGGKRYGRRRPAATDPVSENGVTVSELEPR >Dexi4B01G0018590.1:cds pep primary_assembly:Fonio_CM05836:4B:20932727:20934638:1 gene:Dexi4B01G0018590 transcript:Dexi4B01G0018590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDMEKKVKEYLHRKGLRVAELAPQGDRSRHPASAPPGVPLHSMPGGFLHFPPNGHAYFAPPWPPQPLPVSMAQHAALASKGNHVININDSDDVRIEKRLTWKPDEDLRLVRAWLDHSNDLASGNVKKNDQYWRDVLASYNSTTSERRKRKVKHLKDRFQKIKRSVGFFCWSLKKAASTDDSEQSDDQLIEKALQFYLDDYKEGPFVIMHCWKALRDEPKWHAVLEDLDEKSNKRKLGDEGEVGNNTPTSEDTREMEQPTVVKEAKRQCNGKGKVKANDNGLDEDIKKYLEIQAGAKRRHDEFIKVQLRVSDAKVEAARLKREAAMLKTYNSFMGMNTRVMTDEVRTEHAIGLKLLRERLFGSNN >Dexi2B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:2B:13308901:13312508:1 gene:Dexi2B01G0011730 transcript:Dexi2B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVASTYNSDFDSDSDSDSSQCSEASTWDSQVLQRHAECLELEELAAISVNPTLLPTLNHVLLEVYDILRPKPLDYEQRNSLVDVFRKITKQIFGCNDGFPVVEPFGPFTMDLFTPFSDLDLCVNFNANTDDRYTRKQKISVIRKFSKVLYSQQRNGICRGVLPIASARVPILKVTDCGTGIECDVSVENKDGMTRSMIFKFVSMLDERFQILSYLVKFWANVHDLNSSRQLTMSSMSIISLVAFHLQVPWICYCFGLVIKLQIDRCWISADPMSSNIACFLCLFKRPKFGLIPQLLSAESLWEHGLCASNFEASWISKTWKKGVGNLSVG >Dexi5B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:5B:21929177:21930284:-1 gene:Dexi5B01G0019620 transcript:Dexi5B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYVEAGEEAPKPANAIASEILQIRARYALLGLFSSMGHLLQVHTWNSTVSAIPDFDEDTSGLSAKTIILCSVLGSAAATAITGALVLLYLKSKYRRWKKEQDELTKIMQGIPGVPTHVDFPAIKKATKNFHETMKLGKGGFGAVYRCTLPAVASRMERAAMDVAVKKFMRQVEDRGYSDFLAEVSIINRLRHKNIVPLVGWSYNKGEPLLIYEYMTNGSLDQHIFQKGSAKQHHKQQEDTCLGQWCTRYDITRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSDFRARLGDFGIACTVAINRSSVTGIAGTWGYIAPDYAMSYKATRQTDIYAFGAHP >Dexi4A01G0006100.1:cds pep primary_assembly:Fonio_CM05836:4A:4377206:4377571:-1 gene:Dexi4A01G0006100 transcript:Dexi4A01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAESAGGVGKQKPKGDGWRRPKGSNDEETTSTKAVGEWRRAGGRRQRPSRWRGTHATQAADARGTGMKEPEQRHRSPAAAAKLGGDWLVELWEEEVAAGGGRAARCGWRRRGMSGAGDL >Dexi5A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:5A:6466970:6468095:-1 gene:Dexi5A01G0008660 transcript:Dexi5A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEPSPSPSPMENSEQSSSKNKKPRVQPPPGFRVKKEVPAVEEVQEEEASGGRAPMAVDAAAKAEVVVRFDKDMLHCPLCTLPLKSPIFQCGVGHLACGSCHGQLSSNQCHSCDGGGPYARCPAMDAIVAKAVVPCPHQAYGCGASISYYQAAEHGAACPYAPCDCAEPGCGFVGSPPSLLAHLTAAPHSWPVDQVRYGETLRLRVPEHVSRHLLFAEEEDGLRVFVLAVGDRGARAVPVTVACVRARGAAGPQYTCKMWTNGAKAPATGKVESVLVDMEVPSAAAAGGAVVADDEEEEAMFLAVPRKLRRGASRQMLLSVRIDRVSGGRAAVLND >Dexi9B01G0046480.1:cds pep primary_assembly:Fonio_CM05836:9B:45741116:45744682:1 gene:Dexi9B01G0046480 transcript:Dexi9B01G0046480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDSSRGRSKQEIEENVDARSSWKEEHDVGHQDDDRMTTPSNDRNESRRNADRSSGLPRSADEEAYNVRRDSRSPKVPRRSPDDSRDRDYDRRREDRNDWEPSRRFSPDASARSSERKEVSREKQGQRDQEEKASVRRTDEVYADKARDLEGSKDYGRNSQLGDPKEANDSEWRNAQERLDGGSFHGRAGYRRDARGRSESVRGPLTYGNRYDNSDSIEIRPNSNLNFGREGSVSGRRFEVGAHRDSVPGGTDDKSTDHPESDPSGSTNMVSSFPQQGPKGDRPSRGGRGRPNGRDSQRIGVPMPIMPPPFGHLGLPPGPMQHMGPNIPHSPGPPLLPGVFMPPFPGPLVWPGARGVDVNMLSVPPNLPIPPPVGAEHRFAPNVGAGPGHNIHLNQMDTGLGAPGDVSGLGYNHMGPQGRDMLHDKPGWTPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYAHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKNLSYSNFNKEAYIRNFADKDGKVWQGGGGRNPPPEAPHLVLTTPEIEGLRPKSPPAKN >Dexi3A01G0000260.1:cds pep primary_assembly:Fonio_CM05836:3A:275497:284873:1 gene:Dexi3A01G0000260 transcript:Dexi3A01G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTATTWRDQVSCFSRDGVWRHHPPPPSTYSSEISSPPPVAMAAANAPIAMREALTLTSLGITPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQVSQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSSTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEANVYNDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELIALMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYTDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMVDFAFPYLLQFIREYTSKVDDLVKDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >Dexi6B01G0019900.1:cds pep primary_assembly:Fonio_CM05836:6B:26500859:26501739:1 gene:Dexi6B01G0019900 transcript:Dexi6B01G0019900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPASKVEEELQILETCTSSPSMTIETTCDGLRRLGDIYSSIEEVMCLPSNQVCSSQQRKMLDEEMECSLELLDLCNAMHEDFSELKAIVQDLQVSLRKGDEAAVQAKIQSYFRLVKKAKKHFKKAAKKVISDKEDCRLLRLLSEAREITSSLLKSTVELLAKQIAMPKSSIVLKAFQKRTSGVCKEEQLQAYL >Dexi7A01G0022860.1:cds pep primary_assembly:Fonio_CM05836:7A:31022625:31024891:-1 gene:Dexi7A01G0022860 transcript:Dexi7A01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLTATKTPATLMAAAALLILAAATGASSSHYDYAGAFDKCLQFLEAQRSGKLPVDRRVQWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGLPMAYAVTMLAWGVLEFEKEMVAANNLQRALDAIRWGTNYFVKAHTEPNVLWVQVGDGDSDHLCWERAEDMSTPRTAFKVDRNHPGSEVAGETAAAMAAAAKAFRPYDSMYADLLLLHAKQLFTFADTFRGRYDDSLSSAKKFYPSESGYQDELLWAAAWLYEATADEEYLRYVSDNAEAFGGTGWSVLEFSWDNKYAGLQVLLSKLLFHGAGGAYGDMLRQFQAKAEFFLCACLQKNAGHNIKLTAGGLIYVDDWNNMQYVSSSVFLLTVYADYLAAASGVLKCPDGEVRPADMIKFVKSQVDYVLGKNPKGMSYMVGYGNYFPTHVHHRGASIPSVYADKSAVGCMDGFDKYYNSKGADPNVLYGAIVGGPDGNDGFVDERCNYQHAEPTIAGNAPICGVFARLASEPADASSADYSPASDAYSPPHDSSPSKGSPLEFVHTVSNSWTTNGVEYYRHVVTAKNTCGHPITYLKLHVKGLSGPIYGVSPAKEKDTYELPSWLTRLAAGDKITMVYIQEGAAAKFAVVSYKTAS >Dexi5A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:5A:4122581:4126345:-1 gene:Dexi5A01G0005550 transcript:Dexi5A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLVGNPLGAVRSTFERTAAAAAAESGERDPVAAFRGKDWGACELFRSFLFEEDGLDKVPVLNASNLGLIKPNTLVRYRGMVQDMLGNEYYIGAFKDGSTWRTNKFTDFSPFSMPHPCDSHLWERHLFHCVPAPGQNSWTLDSSPGPDVRRMSGCLATELREKRKRDGDNDDMDVSENGHEESSSQCKKPKEEDVHVSSSSTEMAEGVSEMNGGDHHIPGSSFSCLVKVYDMPDSQVKLNDVIEFIGVYTFDPELAAPSDNPDDIMLDLIEDVTVQLPPSKVPRLHCLVWRKLSPHDFISRPPVVEVDNTKFLVLNSGVGLLFSPAFYPLRLILFFVLVMQPSPSILKGIRQSLLSHLTMVLGNDELAAQCLLLHLLSRLRNRVDVVTVGRLSLNFIGFNRESASIFGNQLCSLIQKLVPYSQAIPMSIEYLNTATLQPRKDNKSGRLVTGVLQLPQGSHLTFDETFLQTGSLTSKGVENTMLLKNLMESQKVDYDFEYYKLDMATDVQLLTLSEGKSNILPSDLVVPFRPSSVPTISAGSEELESWRWYLATVRSLPQSTEPETYQIIQDEMVNAMRDDRSLGCSELSRWLTMAQITASSFGEKSLSLEHWQMVKELERLRKLRLQ >Dexi8A01G0007410.1:cds pep primary_assembly:Fonio_CM05836:8A:8378137:8379880:1 gene:Dexi8A01G0007410 transcript:Dexi8A01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRSTFSY >Dexi3B01G0034030.1:cds pep primary_assembly:Fonio_CM05836:3B:36797740:36803245:1 gene:Dexi3B01G0034030 transcript:Dexi3B01G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTQLLIRFFLVLLYQVASQFLSASHGLVQRAAYTSGALVDVGQPTPRSHPELLADGEITPGITNEEYISRRKRLLEVLPEKSLAIIASADQQMMTDVVPYPFRQNGDFLYITGCTQPGGVAVLSEEKGLCMFMPDKDKEDVVWQGQTAGVEAAVEFFKADEAFPLSQMQKILPDMIERSKVVYHNVKTASSSYKNLDAFRRASLNNKVKDLAYYTDELRWIKSKSEIMLMRESASIVSQSLLQTMLLSRTHREERQLAARIEYECKMRGAQRMAFHPVVGGGANASIIHYSRNDNKIKTGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQVKMLIKGFQELGILQKGKSIQYNYLNPTAIGHSLGMDIHDSVTLPKDKPLEPGVVITIEPGVYIPPAPVLNEAAPARYRGMGIRIEDEVLVTEHGHEVLTASVPKEKKHLTTLMSMGGESAAVDGHQMQAACS >Dexi9A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:9A:14468453:14470887:-1 gene:Dexi9A01G0019550 transcript:Dexi9A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRSQSSSLLKVFPYLLSDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSMKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNPAGRSITERYTKMSNAMKTYGKNIFSLCEWGRENPATWAGSMGNSWRTTDDISDNWARWASYAGPGGWNDPDMLQVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNSEVIAVNQGKTSLASLLMIVWAGPLSNNRKAVVLWNRQGYQAIITAQWSNIGLSSSTAVTAPFVLLSSGPVVSFSGTSRLQDVCSDAEVIYNIYKIESVAWDAAIQSGFRKKVRKDPLGKELRSHLVIQDKSVKEKYAVI >Dexi5B01G0024900.1:cds pep primary_assembly:Fonio_CM05836:5B:27000842:27002317:1 gene:Dexi5B01G0024900 transcript:Dexi5B01G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSETDAALFVAVLGRDAAHHLATTPPHLDGPPAAGAASASAPDLQARLQDLVERPGAAGGVWTYGMFWQESRAVLGWGDGHCRDGGASPHGASTAAAETSVARKRALLRLHALYGGGDDDEGADYALRLDQVTGAEMYFLASMYFSFPSGAGGPGHALASGRHAWVAVDPHHPPPGWFVRGSLAQSAGLRTVVFLPCKGGVLELGSDVPVRETPDALRAIQSALAVAPPAPAAREECMRIFGKDLSPTGRTPRPPPQSMMDLGLTGDNNWAHQQLGGQATASTPTKKEGAKAKPSSLPEPAKSMIDFTTKPGKPELQAGGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEDRLRGGGGVGTAGAESPAVEVKAMQDEVVLRVTTPLCAHPVSRVFHAIRDAQLSVAASDVAVADDAVTHTLVLRSAGPEQLTAETVLAAMSRGMTSATPSP >Dexi8B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:8B:24273876:24274157:1 gene:Dexi8B01G0013870 transcript:Dexi8B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFVYTDSLPEVVEEDGAGGEAKKTTTMMKKAMAQGLLAAADRVGTLLALAEKHGCEGLKKGCLDFLHVR >Dexi3B01G0001620.1:cds pep primary_assembly:Fonio_CM05836:3B:1146590:1149955:-1 gene:Dexi3B01G0001620 transcript:Dexi3B01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQEPMEASKVPLLEPRADGGGSISKVEEEAWSSLPLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFLCSVVLLPVYIFTEPMLVALGQDPKISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLALHVSLSWLMTVKFQLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICININGWEMMISVGFLAATGVRVANELGAGSARKAKFAIYNVVLTSSLIGFVLFVFFLVFRGSLAYIFTESGEVAAAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQTVVAYVNVTSYYLIGIPLGAVLGYVVGFQVKGIWIGMLLGTLVQTIVLLIITLKTDWDKQVATAQERLKRWYMEENRRLQGSRGNP >Dexi6B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:6B:8051149:8060984:1 gene:Dexi6B01G0006940 transcript:Dexi6B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAKVLVEVKGEKDVDPGKQLMDNEVMLTEKPEPRNPPRKRCSVNMKEQHDVQFVHRSKRLNKNLGSFSDEAINLSMLRCASLNRIICSVVPRQPRRLRSLRQLTGPLNTTDAETSGPKSMSSSMKNKEPQEEHEATVKLQYPTVPTILPRPPVMEELAPVQGLGYEVAVGSIDSPSAKRMYKPCGKHTEGKFPLYGIMFNFIDLRYNNVFATVGGNRATNYLCLDNGSFALLQAYVDEDKDESFYTLSWAHDHVDKSPLLVVGGSNGIIRIINCATEKLSKSLTGHGGPINDIRTQALKPSLIISASKDESVRLWNIHTGICILVFSGDGGHRKDVLSVDFHPSEITCFASCGMDNTVKIWSMKEFWSYVKNSYSWTDIPSKFPTKYVQFPVLVAAVHNNYVDCTRWLGDLILSKGSIDVLQKYPVKNCKNWFVKFSCDFYFNQLAIGNNIYSGLCSDTKYLCNAEGEIYLWEVQSSPPVLTARLHNAQCNAQIRQTAVSNDGSTILGVGDDGNIWRWDEIDHPTKKHPRKDKKEKVEKSRHQPRHGANR >Dexi9B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:9B:3014981:3016985:1 gene:Dexi9B01G0005110 transcript:Dexi9B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYQKAPDLEAGGSELLYPGMTESPDLRWAFVRKIYVILAVQLAMTAVVSGFVVKVPAISEFFVSSNTGIALYIFLIILPFIVLCPLHYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKVILEAAILTAVVVISLTAYTFWAAKRGHDFNFLGPFLFAALMVLMVFSLIQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLSLLQILRAADS >DexiUA01G0010750.1:cds pep primary_assembly:Fonio_CM05836:UA:21323759:21324511:1 gene:DexiUA01G0010750 transcript:DexiUA01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPEPLDWSGDNCCSWKRVACNLDTGRVEGLDLAGAGWFFFPLPQLNTTLFLPFQELQNPSLSNLAIEGCVPGAELSKRKMDIIDLSWNTIVGNISRGMD >Dexi9A01G0029650.1:cds pep primary_assembly:Fonio_CM05836:9A:34552291:34555911:1 gene:Dexi9A01G0029650 transcript:Dexi9A01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRHPLVLLLLPITLTILLLHFASSPPPSSPTPQPVPCGAALSDATDGRWVPTPSPAPPPLYSASCPFHRNAWNCLRNGRPQLAALSWAPTRCGGAVVPRIDAAGFLAAARGRRIGLVGDSLSENLVVALLCALRSSDDGARKWKRRGAWRGGYFPREDVVVAYHRAVLLAKYTWQPVEKSKELQKDGVKGYYRVDIDIPADDWVNVTKFYDVLIFNTGHWWDTYKFPKETPLVFYKGGKPIEPPLGIHEGLKVVLKSMASYIEREVPRKTLKLWRTQSPRHFYGGEWDHNGSCVSDRLLEEHELNHWFDPMFGGVNKEARMVNSEIKEAIAGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVYGQDCMHWCLPGVPDTWVDILAAQILHYLKKGKG >DexiUA01G0020420.1:cds pep primary_assembly:Fonio_CM05836:UA:42710940:42712516:1 gene:DexiUA01G0020420 transcript:DexiUA01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRARGGAHRAAPYHRRGWLNDDGGGLLVSDEDDGEPLPIPDDALSPVLARLPSGADVVRSAATCRRWARLVAKDGAVLSRALPQLPLPSGADVVRSAATCRRWARLVAKDGAVLSRALPQLPCLTLGFLHQEDAGTTARRRKASSGAAAHPCFVPTASAARLIGLQAPSSTALADAVLGLGDVLEHARPVASRNGWLVLELRKERYTDSLKLCVCNPTRGDMAMLPPLAGADKPGDYACALYTGHDLGTTPRPLSAFFRLLIVYNRRAFTALRSYSSDTGRWSTEAKRSWGPKIASPRHLGQSIVVDGVAYWHLRHSAFAVRVDIPEPTEVLMPAASHHISNLPRGWQSLGVDTDGKLIFIDAGLRMDEGTPVIYHLVVATQSVFCPGSGSGDSDCSGEWVERFKTIKLEQLKVRYEGWFGGEKAPPPFQEKVNLRWFSEKSGTLLFTLGKGTSSPGAFVLNIATGHVEKVADGVDCDLWRNFVGYEIDGAAYLASILRR >Dexi8B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:8B:25159073:25159351:-1 gene:Dexi8B01G0014560 transcript:Dexi8B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVTPADTAFLRPRVKSRAHARARHPPRATTPVPSIRGHFMPAGMPALQRRWRGGRGGKVEQEAGRTAGAARRRGRHQERGRKKKNQGSN >Dexi1A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:1A:1336716:1339726:-1 gene:Dexi1A01G0002020 transcript:Dexi1A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRPGPAAGPASAVPLADHLESATFAPPLPAPPPPPPATILSAWSRLRDTSSSSAPADTLAALETLHLHRRALRLSSAHVALLLPLLPLHPRLVAPLLAASPHLLPASLPASLPTSPRLLLLGARAFTRSAKDLPSGSSLGNSGSTAKNSSGVEPANGHDDDDPVVAVSRMLEDVELGGQRMDDLDHLALAGIGYVLASADEVQFRRILVSLLRICGKIGNLDVGVRVLKLVEWLVVGFVESRKMRKVQVLFELISPEKCIAENYVLFPVVMAACGGLRALRVASARYRLDFDPRLKEAPEHTIQFAAERAVFEARRSAADQRVLVQCVALGLTQCGQVMFHESVLQCVCMGLLKELLPLPDMLRMSVESAEGNLVVVVKVVNQHMNSVLFKEAGPVTGVLCNQYSYAGDKAKNFVETCVWEYAHEIYCHLRAAVLLHRGKQDDLLTAIDKIAEASFLMVVVFAAEVAKHRLNPKTSEEFQPESFPYLLKELAEFVALLPKEGQDALLNDMHAHVAESDDVTRKPVLVSWLQSLSYISSQSSHSESRSKPVSSSVAIDELSLNRTMARL >Dexi1B01G0026570.1:cds pep primary_assembly:Fonio_CM05836:1B:31398276:31401789:1 gene:Dexi1B01G0026570 transcript:Dexi1B01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPTTGAPAEEDAVVVVPAGDIATDDEQGAEPGRAPVRRPRVAVELHAHSTRSDGTLSPAELVERAHRNGVKVFALTDHDTMAGVKEAVEAARRYPIRIIPGVEISAVHSPRLHVARAMVDAGYVDNLRQAFSRYLYDGGPVYANAVIKNLKAAGLHGIEAYRSDGKVSGLSDLADIYELLKLGGSDFHGRDDKEEPDVGSVDLPVLSVSKFLDMAKPIWHNAIMEIFSSISERTTDFNGSKGFRRISSAGDFCIVHLSSEDQS >Dexi5A01G0039280.1:cds pep primary_assembly:Fonio_CM05836:5A:39991679:39992140:1 gene:Dexi5A01G0039280 transcript:Dexi5A01G0039280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQSEIRKLLHGKAKVKEEDTEGRLHYLRMVIKETLRLHPSVPMILPRFCAEQCTVMGYDIPAQTTVLVNVWAIGRDENSWSNANEFRPERFEDGTVDFNGADFRFLPGGAGRRMRPGLMFGVASIEFALANLLYHFDWKLPNGAPSSELD >Dexi4A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:4A:20270676:20272259:1 gene:Dexi4A01G0016640 transcript:Dexi4A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRPLAVLAAASATATPSTCISGAPVAAPFLGTRVCLRLRSPPRGVACALRRRPSKYKTKIQSEVEVVAAEDVVDDDDEDGDLEALFKQLEEDLENDDLSVDDDDDDISEEDMARFEKELAEAIEDISGVDESAKDSLLSPGDYGNDEQKDGSEQPELKTWQLKRLARALKIGRRKTSIKNLAGELGLDRAVVIELLRNPPPKLLLMSDSLPDEAPSKPEVKELEPPSSMTVDEVDTSEIEPQVELPVHVMSAEWSTRKRLKKVQLETLERVYLRSKRPTNAMISSIVQVTNLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSETVASS >Dexi5B01G0013560.1:cds pep primary_assembly:Fonio_CM05836:5B:9677648:9679213:-1 gene:Dexi5B01G0013560 transcript:Dexi5B01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKFENSCEVGVFARLTNAYCIVPPGASQGFYSVLDAEIAGAVPVVRSSIQHLRNSLPDDVVVKCVDERLSALGNCIACNDYETEEVLSDVLGVEVFRQTIAGNILVGSYCTFTNKGGLVHPQTSVEDLDELSTLLQVPLVAGTVNRGSEVISAGMAVNDWTAFCGSDTTATEVSVVESVFRLRDPRPGALGSDVKSSMVQDFFTRRVSP >Dexi9A01G0039040.1:cds pep primary_assembly:Fonio_CM05836:9A:43149341:43149749:1 gene:Dexi9A01G0039040 transcript:Dexi9A01G0039040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNTFHGPAGHLVRPPATWAAADSPIPASVQRTNTATAFSNSLRSPKPVKVTRAADTATHRCSVFTTVGFSLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPAFPRVLFDFT >Dexi9A01G0044620.1:cds pep primary_assembly:Fonio_CM05836:9A:48229947:48232953:1 gene:Dexi9A01G0044620 transcript:Dexi9A01G0044620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLEMWLPPASGEGAVAAGLFLDAGDAAAHGALLAAMPACSVSFGARSRRRRGAPPGFLSLTMSVKGGRGFVAGSVGLLAGAEEKSGAEEAEALAAGKAVEEVAVAEGKVLVLQEKEKEKDAHAGAGAMNTTKHLWAGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELMHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGAFAGVTATLMCLPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLRSPEGKQRLSMMKQQKQDANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVCFLPAENRTSIRPTSSLFDL >DexiUA01G0020910.1:cds pep primary_assembly:Fonio_CM05836:UA:43169179:43169513:1 gene:DexiUA01G0020910 transcript:DexiUA01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFQCSQSLVDNVSITAPADSPNTDGITVSSSNNTYISNCSIQTGDDCVSVLSNTKNVTVTHSRCGPGHGIR >Dexi1B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:1B:17401879:17403555:1 gene:Dexi1B01G0012720 transcript:Dexi1B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAEELEAVRRVATVALAELSRHDISCPTSPPGADLPALLRRCLQLLPLLNAGNPSLATRFCRRLHGSLGAILSRDPCPALLPLLEVLAECLCFSDRLRSCLAMADCTAHHLVLELVCRHFISSLQFLHELEQ >Dexi4B01G0022970.1:cds pep primary_assembly:Fonio_CM05836:4B:24473088:24475245:-1 gene:Dexi4B01G0022970 transcript:Dexi4B01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHGASSSPSSNSPNNPWPAPSAPPLYPTLSMADLAPVEIGVPASSPTAGEGGPPPSEDVLLRISGARLHLIDRSRSHPLAAGDLSILRIRSGDTSLAAIALLDPIQWPLARDVAAVKLDPCHYSFSLTVPPSADDPTPGPLHYGLTLAHPDARLDGLLTAYTSFSVHSVVGTKELETRVRDEVEAAAYWTTVAPNVEEYGGAVARAIATGAEHLAKGILWCGVVTVDRLRWGDEVLKKRIQPGDANAEVSPEMLRRIKRAKKMTQISEKVATGILSGVVKVTGYFTSSLVNSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVSGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKANVAELRAKHSKK >Dexi9B01G0041390.1:cds pep primary_assembly:Fonio_CM05836:9B:41863211:41866426:-1 gene:Dexi9B01G0041390 transcript:Dexi9B01G0041390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSTSLLTPPLPAPVSLHRFHPSPCASPRHLHLSPTTPLLRAARRRHPDAVVVVPDARPWIGDLSSATASYRESVQEDDDADEDDDDDEDRSLDLLARFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWILKGLLEVVCTFGSMVFASIFLVRGIWSGVTYIKENQYSYIRRIDDDDSQWSRVQPAG >Dexi1B01G0002810.1:cds pep primary_assembly:Fonio_CM05836:1B:2337182:2340421:-1 gene:Dexi1B01G0002810 transcript:Dexi1B01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRDGTRTMVFLVVLVLFMAMMARVAVGGGVDGGGGAGGEDGERFLKLWTDGGGEADDDQEDVFKWDEEEDDEEEEEEEGHIMMWGKKGTGRPPACRNVVNVDTFGAAGDGDADDTEAFANAWKTACSLDNAVFLVPAGRRYKVGAITFMGPCKDRLIIQIQGTIVAPEEPSEWDPRSPRLWLLFSGLAGARIQGGGVIDGSGSKWWANSCKINKSNPCKAAPTAVTIDSSRGVRVKGLHVQNAQQMHLTVYRSKNVRLAGVHIDSPEDSPNTDGIHVAESTSISIQNCRIGTGDDCISIVNASFNIRMKNIVCGPGHGISIGSLGKGGTFAAVENVALDTARISRAQNGVRIKTWQGGSGYVKNVRFSNFVVDDVDHPIIIDQFYCDASAATVPCGSFSSNSGVEVAGVMYRNISGTSRRAEAIKFACSDAVPCTGIVLRDIDLRRSDGDDGEVQTVCNCAVGLDYGRVSPAADCLRSSTCGGAPGGHRGDDDDDRGNNADAGSTPAAAPVLHTEL >DexiUA01G0001590.1:cds pep primary_assembly:Fonio_CM05836:UA:4219736:4220659:1 gene:DexiUA01G0001590 transcript:DexiUA01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEGTEDRLLDLVPEPDGEPGQRIGNGGVVVEGSEKRVLAEHVVPVAELLLSPASGHPFDECLPDTVEAPARPAARRLAAAGSVPHVVAHEVHDRPVGVARGQLLHDVYGHDHGHKEEVVEVGEHEVEGRVGGTLSIPDGVLGKIPEHLEEAEEVEEADHGEHPAGREQRWVLGVEEVVQERQLHLHHPEGALVAAGEEAVDDEVLGPGVACLGDLEAAEAPLEQLEQCEREARVLEGTRPLVVVGAEEVAVVLPDHVDGDDLAMVDHRDTCQVVRPGGRAGILVLPEHQ >Dexi9B01G0034660.1:cds pep primary_assembly:Fonio_CM05836:9B:36596949:36598664:1 gene:Dexi9B01G0034660 transcript:Dexi9B01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYASASFMLLLVLQLCSCNEALPRADATCPAEQPSAVATVSSHHGEPSCQPPPPHIPVAVFPYDVDPVQFAMNLEYTEAEFFLHAAFGKELDQIAPKLALGGPPPIGAKKANLDEVTWRIAAEFGLQEVGHVRSIQRTVGGIPRPLIDLSAHNFARVMDLAFGSPLNPPFDPYINSLNFLLASYFIPYLGINGYVGTNPIIDGYKTKMLVAGLLGVEAGQDAVIRALLFERRGLVVAPYNVTVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAEGAICTNVLSADMDSLSYSRTPAELLKILYLSGDEHVPGGFYPEGANGRIARSFLGKPRHGAIF >Dexi7A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:7A:23325794:23330122:-1 gene:Dexi7A01G0013170 transcript:Dexi7A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATATAVLMLALATASDAATGAPRRSPRPEADLVTGLPGQPEVGFRHYAGYVDVGSGGGKALFYWFFEAEREPEKKPLMLWLNGAVNLLFLEAPVGVGFSYTNRTADLRRLGDRVTAQDSYSFLLNWLDKFPEFKTRDFYIAGESYAGHYVPQLAELIYDGNKGASKDKVINIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCTPALRAFLGAYDDIDIYSIYTPTCLLPTGADAAAAPRRPAARLVAAPRLFSKHEEWHRLKRVPAGYDPCTEAYVTKYFNRGDVQRALHANRTRLPYQYSPCSEVIRKWNDSPSTILPILKKLMAAGLRVWVYSGDTDGRVPVTSTRYSINAMGLRPRERRANGNRSAAASAAGLVAEWGGWRAWYFRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFAPARSLAMLYHFLRGQALPAARSS >Dexi6A01G0011460.1:cds pep primary_assembly:Fonio_CM05836:6A:16299777:16300529:1 gene:Dexi6A01G0011460 transcript:Dexi6A01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGQQGQHGHGTTGRVDQYGNPVAGVGHGTTGTGGGMGYGTTGTGGMGQLGEHGGAGMGGGQFQPVREEHKTGGILHRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGHKDDQQGMATGGAYGQQGHTGMTGTGTGATYGQQGHTGMTGTGTGATYGTEGTGEKKGIMDKIKEKLPGQH >Dexi9A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:9A:9282892:9286386:-1 gene:Dexi9A01G0014150 transcript:Dexi9A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLLQGQQDPSPQAGMVLNQRPNERMICIYP >Dexi9B01G0028220.1:cds pep primary_assembly:Fonio_CM05836:9B:30835711:30836217:-1 gene:Dexi9B01G0028220 transcript:Dexi9B01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPTSLISPDLSPHFPFPHDGCGRRNRVAAAIADLQARAHGALAPPVQCPRPSRVEDITVAAAFIHSARRSASHLDRQDHPHAWRRTPPSAIATFPEAGSSTGVVFLHSVRRLGSSTTCRSLIGSSGPPRRPWDPRRRLRSATTRGRPTSPPFRPREATEARVLPA >Dexi1B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:1B:10295269:10295781:1 gene:Dexi1B01G0010610 transcript:Dexi1B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAPPWWKLPHGFGSGGARRRATCSATSGRGPPTGEAAAGRRIQHPRALAAPRGGLGGGQAGAGGATFNCNGSTRGGDSSSRSCGARPRRCWAGTGAAWRMSPSSTTAPPWPTGRDAATRRHTAPAAGGVREVLRAHNRASCGAGMAPSYQTNILLASCDSPASPTMV >Dexi2B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:2B:13536991:13537263:-1 gene:Dexi2B01G0011860 transcript:Dexi2B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPVPLPRVKSPPWHMKLGMMRWKTDPFGFPEAPRPFSPVQRQRKFSAVLGTLSAKSSMTTRPALALPMRMSKKTLGFLAMADAF >Dexi8B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:8B:21399072:21400962:-1 gene:Dexi8B01G0012150 transcript:Dexi8B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDVPYRRRRHRRRQRRRSGEDYMSSLPDELLHSILLRLGSVRAAASTSVLSRRWRHVWAHLPELAFVNNTGHDHDAPPLLDTVDAALASYADPTLEALVVVLSTAYADGLGGAIVTARRVGPWLRFAAERVAGELVVSEPPAPPRMPPSTYAPAVLELPTCPRAKTIALRLDTTWRLPPTQTAGVFPALTSLTILMGAMAGGEVTSLVSTRCPCLRNLRLCLVVVDDFDVSIRCSSLQSLSLRLWRTRRLEVVAPSLKNLFVYNTIDEVCISAPKLAEVAWRGTAYYPYYRGDWLSFSFPRWRRVSLPKLAELALMASAEKAAYESFLNETSKMPKCEMLTVSLAWNQHGSAPVMFHILKSCSSMKRVSVQLYDYRDYSSWHPCSLSCPCRSEESCETADISLDSLEEVEITSHGSSRQELLEFVEQLSKCNATIFKKLVIHHTILYARSRSKEVSEEIRRICYPKIEVEFYDFSSGKCMLFD >Dexi8A01G0005200.1:cds pep primary_assembly:Fonio_CM05836:8A:4648424:4649158:-1 gene:Dexi8A01G0005200 transcript:Dexi8A01G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNTHRSPAQPRPTRPRPARTTATGRRTTNALSATPMAVLHPYRSSSPATPPPPHHRRLGTHADEDETAATAEGEGNRLSMRRRSLSARSLVHSASAAAKGSPPAGAWLLMAVARRRRVGWEWWWWWRGRDGGGGRGSGGVGGEDDDMEVLVAAVGRCVVVLGMPGWCSQEKGTEVAAPAARRRGDGDGEEAAEETVESEEEEDDDEEEKGSVVVAGGVGGGGGG >Dexi5B01G0028660.1:cds pep primary_assembly:Fonio_CM05836:5B:30018224:30020347:1 gene:Dexi5B01G0028660 transcript:Dexi5B01G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPATPGAVIESAELVLPLLPPRDLAAAASACRAFRAAAAAVTTRRAADAARGLEPLPIPFHNRVDSKPYAYFLYTPFSLARLSPGAQPWGGSGTRAPVPTWPRPSLDGFPSAVCGCACEAEECGGPRCACADAEADAAGSGSEAGMGSLRECGDECACGPACGNRRTQRGVAVRLRVVRDAHKGWGLHAAEALGRGQFVCEYAGEFLTTEEARRRQRLYDELASAGKFSPALIVIREHLPSGKACLRVNIDATKVGNVARFINHSCDGGNLQPVLVRASGSLLPRLCFFSARDIEEGEELTFCYGDARVRPNGLRCFCGGLGCCGVLPSEET >Dexi5B01G0029100.1:cds pep primary_assembly:Fonio_CM05836:5B:30355960:30365858:-1 gene:Dexi5B01G0029100 transcript:Dexi5B01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELDRKRAELDETTTAEDGRTAEATTGEGGDGRTAEATSSDGGSECPAAAVNSSECPAAAANSSGGGRSSRRRHRSSSGRRPWRRQKEPMAATEETCGSGGRTSLDVFRMPPSNYTSGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHSEVKLKSALQLQDLLDATRILVPRARSGRESDSDVEVEHAEKLRQVRAVLEEGGHFSGIYRKVHLKPSNWVRIPKDNREGEEEYPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGAVGINEAKAQLHDIITSSKTANFNEPVEFPWMVDGAGVPKNAVQLLTNLAELTKQITAQVKMLSDDEDEKAAIDSPNHHYDQAKALGKAEIDMDRALTLRRFLIYMTLASRYDLLHNSHLNLTGLSDLFKVSQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRQEVTAAAESNAYNGTKAVPSSKRKEMCYYEEVRNEYFEKSIYNNNSVDLDDSHKETKYCLDPKYANVVEPERRVRTRLYFTSVPLEHPKRFRIEMTFSRGADISTLESGGKASLLPDDHTMPIMEPERLQEVGSYITLDKFDKMTRPFAMPAEDFPPAAPCQPLPVRFCKDTLQGGRLQHKSDTLGGTKRKTKKLQE >Dexi9B01G0029970.1:cds pep primary_assembly:Fonio_CM05836:9B:32460436:32461330:-1 gene:Dexi9B01G0029970 transcript:Dexi9B01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFDRAGYGESDPDPRRSLRSAAEDIEDLADELGLGDKFHVICSSLGSHAGWAAIRYIPHRLAGLTMMAPVINYRWRGLPRGLARQLYRRQTVGDQWSLRVAYYAPWLLHWWMSQPWLPTSTVIDGSAPFPNELDEKNRVMALSNGMFHQRARQATQQGVQESFYRDMAVMFGRWPEFEPTDLAEPPFPVHVFQGDEDGVVPVQLQRHIMRKLGWVNYHELPGVGHFLSAVPGLGDRIVRTLLPAPVPAAA >Dexi7B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:7B:13959473:13962311:-1 gene:Dexi7B01G0006290 transcript:Dexi7B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRALLLLLVSALLVQIRASDPLLYESFDEDFEGRWVVSKKDEYQGVWKHAKSDGHEDYGLLVSEKARKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQDAGWDAKEFDNDTPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPALIPPQTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPREIPNPEYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEDAAGADGLSEFQKKVFDVLYKIADVPFLAPYKTKIIDVIEKGEKQPNITIGILVSVVVVFVTVLFRILFGGKKPVAPVKPVVEAKKPKTTETDAAGSSGDKEEKEDEKEETAAPRRRSRRET >Dexi9A01G0038410.1:cds pep primary_assembly:Fonio_CM05836:9A:42676084:42678382:-1 gene:Dexi9A01G0038410 transcript:Dexi9A01G0038410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRRRPLPPLVAPGLLVLLLLAVAPPPAASAVGVNWGFASSHPLPAARVVQGLLLPNSVPRVRLAAASSDALSALAGTGIAVTVGVPDALLRPLASSTKAAAAWVHDNITRYASSVRFEYIAVGDEPFLLSHGQHFEAFVVPAAKNIKRTLAAAKLSSKIKVVVPCSVDTFQNASMLPSKASFRPDLNKTITELLSFLTNNSSPFVVELNPFLNFQHHKNLSLDYYLFQLMSHPVKDGQNKYDNYFDASIDALVTALSKAGFSDMDIIVGRAGWPTDGAVNATPANAQSFMTGLVNHLAKKSGTPLRPKVPPIETYLFSLLDEDQRNTESGGYERHHGIFTFDGQAKYYANIGQGPKSLKNVPDVEYLPSKWCVIDNKDLSNVSSSFSAACSNGDCTALSPGGSCSGLGWPGNVSYAFNNYYQQHDQSEDSCNFNGLGLITTVDPSIDNCLFPLAIRTSAAASSHLTLAMFRLLILWFCIFCL >Dexi2A01G0015510.1:cds pep primary_assembly:Fonio_CM05836:2A:26584119:26585532:1 gene:Dexi2A01G0015510 transcript:Dexi2A01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKVQVIESSFVAPSEAAPTKELWLSPFDLMMANRGHTPVVFFYCSGAAFSDAARIKEGMAKALAAFYPLAGRLGVDVDGRTQISCNGEGALFIVAHSNLKSDYLYFTKPSPELRGMFVPRVEPASLILAVQVTSLKCGGVVLGMTFHHTVGDAPSMFHFMQTWSAFTRDGEGAAAVELPCHDRTLLRARSPPVVVDLATLSVLCPRVTFTETPGRPAATEVFTISRDQLIALRRLCGGASTFCSVSALVWQCTSVARRLQPDAEARLNFPANVRRRMASQLVPDCYFGNALVFLGAAAAAGDIASEALASVAGRIGGAIARMDAELVRSAIDYCEVAGVDGRPMRGSMPETELRITSWLGMPAYEADFGSGNPQAMTRAESVRGGVVYLIDDGPRDLQRGAGAVRVVMCLEAGNMKEFGRLFYANIAEASKKLALDN >Dexi8B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:8B:10393061:10400247:1 gene:Dexi8B01G0008000 transcript:Dexi8B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLFALLAGAAGFLVFKFATVVDGINPTPFLPTIRVSLFPSDFSFHPYWVVDLYPLFLDGCRGPDACVSRSAAAGQGGRQSRVDHWSEPRDWCTANKRGALEENEEGLKATFNVNVIGTINLTRLLAPYMLDRGMGHFVVMSSAAGKVPAPDPTGSWAGEAAVDPVDPQWVGVPPGRLDWRNGSHQARRRRFPTTDNGDGGDRMKVEAPPSNRRRRVGRPTQRPYSHHGRRRETAPAITAVQIRVVNDNFHGEWRALSSSLQKRVSVERCAELTIVAATHGLKEAWISYQPVLTVMYLVQYMPTVGYWLMDKVGAKRLDAAAKKRNTYSWNLMFSGKKSP >DexiUA01G0019020.1:cds pep primary_assembly:Fonio_CM05836:UA:39775850:39777709:-1 gene:DexiUA01G0019020 transcript:DexiUA01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENRVIAYASRALRPHEQNYPTHDLELAAVIHALKMWRHYLMGVPCNIYTDHKSLKYVFTQAHLNMRQRRWLELAKDYDLSVHYHPGKANVVADALSRKARCHCLSVESYTDTLCHEMARLNLEIVPHGYFNHIAVEPTLHDQIVVAQLNDAGVKVLKRKLSKEKVKEKYKCFRLDGQGVMWFGHRLVVPKNTELRRKIMDEAHLSKFSIHPGSTKMYQDLKQNFWWTRMKREIATYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDIGMDFIVGLPRTPQGHDSIWVIVDRLTKTAHFIPVNTMYSAKKYAEIYLERIVCLHGVPKTIISDRGAPFVARFWEQLQLSLGTKLIRSSAYHQQTDGQTERVNQILEDMLRACVIHYGKNWEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVMEAEEKVRIIRENLKTAQSRQKSYFDQRRKPLQFEVGDHVYLKVSSTKGVQRFGLKGKLAPRFIGPYEITLQCGPVAYQVKLPEKLSAVHNVFHVSQLKRCLRVPTEVVEQDELSVEPDLSYDEHPVKILDEKERQTRRKGVKMYKVQWSHHSEDEATWETEDYLRKNFPDILS >Dexi7A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:7A:23589625:23591703:1 gene:Dexi7A01G0013540 transcript:Dexi7A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTLEVLLVGAKGLENTDYLSNMDPYAVLSCRSQEQKSSVASGKGCDPEWNETFVFTVSDSTTELFIKLLDSDGGTDDDFVGEATIPLEAVYTERSIPPTVYNVVKDEEYRGEIKIGLTFTPEVICLT >Dexi3A01G0035470.1:cds pep primary_assembly:Fonio_CM05836:3A:40739242:40739889:1 gene:Dexi3A01G0035470 transcript:Dexi3A01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYNDVSYTCFESNTTISASQPAGFNLNSTWFIPSTTQNLFTVIGCNTLGLIGGYMQNNSDNSDQYVAGCYSYCQGLDSTSDNASCAGLGCCETAISPNLTDFAAILPMQSSVWKFNPCFYAMLVEVRWYSFKRHDLEGHLTFIKERATRGVPVFADSAIRDGSCPKDGANATKDYACVSSNSYCVNATNGPGYLCNCGKGYEGNPYINNGCQG >Dexi9B01G0034890.1:cds pep primary_assembly:Fonio_CM05836:9B:36711408:36711737:-1 gene:Dexi9B01G0034890 transcript:Dexi9B01G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHLAQGEGISCVNPRISTERPAARSPTSVHGTEVRQYNGLGGAIVAARRCSSTRGHGGATTAWWPGRSSCNGVVCAEVRQHDGEGEAVATALRQPSVYNPHQTQPNR >Dexi2A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:2A:28269395:28280561:-1 gene:Dexi2A01G0016570 transcript:Dexi2A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNGFLCLVVEDTVGNTSTGVVKQEEGTGNILSPLFSGTKPKKRLTSKLWDNFIPTFMDGRVAQAKCMHCHQVFNCHTTCGITDLWNHQAKCSPGTHKRPMLHKPTSLPSTQQNREVVGSDPKQKKLPLLLSSHKKGSGTEDVMPELDPAFPDNHINTNRMNREVNQNGHHEALATDEQNNLTLPVISTDKNKKNQGVDHNISPEELIRILAMHGHATRMVEQEDFGKLVAHLNPVVKVPSHYDMMMVSNVKASLQKRNKAATNKSLLVARYATYLLDEVIQVGLDELDGVMERLTRCMEDVDENGNNGINEQGDSTNSVPSTLLSGTKLNKRFRSKVWDDFIPAFVDGKVAWAECMMCHRVLNCSSKIHGTSSLLKHQVNCTSWTQKRAGCDPKQKKLTFLPSSQNKCSGTADAIPEQEELVLPNTCTETKRKNREVDQNGSCKKLAAPEQHVASPLSDNRKSHRETLSPDQKPVHTIQKNEVDHNLYAEELIGILAIHGQSPMMMEQSKFRKLVTGLDPIAKMPSPDVLRWSSWRLFDQEKSKLKEKLIALCSRISLSAYMWHYDLVLAFLCLTVHYVDDEWEKQQKIIRFCPLDPSCNSNELSNIISRAIEERGLDGKVFSILLDDAFVDDSVASNVKARLQKWNKFAANRSLFVVRYATHLLDQVMQVGLDKLGKFMEKSVKFSKHMEPTSSVVQYPNRRYAPSGKYWITADKICRTLGRFHIDMDSMHTYTDPTDLYDKLWDVKNRIRDEPDFYSGCSSAYKDEGFSVVLQEMQQKFKERSFRLARPEQAVAEAHSRRCCRRRERFFPVPPFPPLLKPGSVSSALCPQPPSHGLTDSPRSLTVPLPISRLGSARRRLAEGGRAVTGGGVLELRRGEEPRRASGARAATHTGRRHGGTPARHQGRRPGSLRLTAANRPAGRPAAAARTREQALRLRPASPLACTTTAGTRKQARRQELPPGRTSPWTKAARSQMEDMDENANKGINEHGENTDSNPSPLYSGIKSTTRLRSQVWDDFMPSFVDGKLARAECKHCHKVLKCIGTNGTGSLLRHQANCSTITQKRPRQHEHASLSDPKQKKLSFLPSGQKKHLGIIDASLSRRLPCLTPMTPIW >Dexi3A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:3A:15657361:15661062:1 gene:Dexi3A01G0019770 transcript:Dexi3A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPEELAKGVVCLECETSPEAAAAGVGGTCRVYVVGTAHVSQESCDQVKAVINYLKPQAVFLELCASRIAILTPQNLEVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLEVLPGAEFRVAFEEARSYGGKVILGDRPVQITLRRTWGKMSLWHRTKFLYYIIFQSIFLPSPEELNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWQQPIQVRALLELPVAKQGPSKLKMLASIGALSGVIIASGVYIWGRK >Dexi5B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:5B:11577165:11579862:-1 gene:Dexi5B01G0014700 transcript:Dexi5B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRRDLLSAILLAACTAATAAKTTISPRHDQRHTAVSSTSAAVSSSCDVYRGSWVADESYPLYDGARSCPFVRQAFDCRRNGRPDTAYLKYRWQPSSPCSLPRFDGLKLLGLWRGKTVAFVGDSLVVNQYESLLCMLHAAAPGARTNESWASGENPSIAVRFEDYGVTVVYYLSHYLVDLVHDKAGRVVLKLDAMDQGRKRLAADVLIFGAWRWWARKSWDYIQDGNTVVQDMDRTQAFTKGLQTWARWVDANLAHTVTKDWGAPKGKTCAGETLPLSNAAAYHGQPNPQDTIVRRVLAGMSKPVHLLDITFMTQLRKDGHTTKYSGDTGNGDCTHWCVPGVPDAWNTVFYWVLASGNSLRH >Dexi9A01G0007990.1:cds pep primary_assembly:Fonio_CM05836:9A:4742635:4744549:-1 gene:Dexi9A01G0007990 transcript:Dexi9A01G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPRRTTRSSAGSASDPASSTPQSKSRPKSTLRRQLIPAAAAAAKEEEEEEEGENDQSTVNVLLEALPGRRAQALDLLRLLAPAPALPLLLYGGAATGKTRALLLALRHIHPRPHRVAYAALRSLPSPRALFASLLSQLSPPSSSSASSRQRVPDKPSDFVAALRDALASLCTQGDAVYLVFDNLEVVRSWDKGTQLIALILRLHDLLRLPQVVLVYVSSATPDAYYSMTGSIEPNHIYFPDYTVDEVRDILMRGHPNPKLYSSFLSVALKPLFRVTRRVDELGAALEPLFRRYCEPLGDSKVVPDESIKRRLFEHIQSHLAVALNETFSVPTRASVDQCNDTGGKASTKRQFGNRDSLTELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNHKRKRKSSQASMNMKDTMVEEMLMKGPGTFPLERLLAIFQCITSVSEDSLDDVESSANMMNGSGMTGLMSDVLLQLSTLCNSNFISKSRSCPLEGSARYRSNIDEDLALKVARSVSFPLSKYIYRR >Dexi4A01G0022120.1:cds pep primary_assembly:Fonio_CM05836:4A:25436745:25437604:1 gene:Dexi4A01G0022120 transcript:Dexi4A01G0022120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLRQAAAPATTSPLAATIRKNPSSSRTHGRLSFSHTSLQTTPNQRGNRPGWAVRVLPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIVDTETGLDLNTDNVEQVLDEIRPYLSGTGGGTLELLQIDGYVVKVRITGPAAGVMTVRVAVTQKLREKIPSILAVQLTD >Dexi7A01G0014600.1:cds pep primary_assembly:Fonio_CM05836:7A:24562849:24563535:1 gene:Dexi7A01G0014600 transcript:Dexi7A01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDSHEPASPTSASSSSSSSSSSSSQAPKKRARQQDGTRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPEHAHLLPRPATASPKDAAATLAAAPDFPFPSSSSSPPSSPRGGGGANAKSPESSSSGEASAAAAASPPHEPPPEDAGPDPDDALFDLPDLLLDLRCCGPSSWAVDDDMAGGGAFRLIEEPLLWEY >Dexi5A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:5A:8046213:8047581:-1 gene:Dexi5A01G0010730 transcript:Dexi5A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERLALVAAAAAMVVMSGVAAWGSPSPSPCMYIFGDSLVDNGNNNNMLSLARANYQPYGIDFHEGPPGRFTNGRTMVDFLSDMLGLRPPLVPPYATARPSDLPRGLNFASGASGILPETGNNLGGHYPLSEQVDNFRDAVSDMGNTSAFRGNTTKVTEHLGRCIFYVGMGSNDYLNNYFMPDYYDTAERYTPRDYAALLLQGYADQLAELYALGARKFVVAGVGQIGCIPYELARMNNDNQPDTPSSVANEDISISINLGGGNGGRSTSSTGANPTAGATGGYCNETINSAIAIYNKGLLAMVKRFNNNNNNGRGSQQQQMRGARFVFLDTVQSGRDVAANAAAHGFTVLDRGCCGVGRNNGQITCLPLQRPCDDRSKYMFWDAFHPTEAANRIYAAKAFGSNNTAEVYPINVSQLAAV >Dexi1B01G0028020.1:cds pep primary_assembly:Fonio_CM05836:1B:32666551:32667162:1 gene:Dexi1B01G0028020 transcript:Dexi1B01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVVAAFFLLAAVAAMAAAAGEPEAEVMSSYIVHVAATHAPRSPSSSSRPLALTAAYASFLHDHLPTELHDPTPAVHYSYRHAARGFAARLTGPQAAHLEAQPAILAVVPDEMLQLHTTMTPSFLRLSPSSGLIPAADGATDVVIGVIDSAFILSTENLSPLTRNCHRRQHLPRRLRVHAVVQRHGVLQQQARRRQVLPQR >Dexi9A01G0023110.1:cds pep primary_assembly:Fonio_CM05836:9A:18282917:18283270:-1 gene:Dexi9A01G0023110 transcript:Dexi9A01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGAKGGFGGSDFCCDVRVWEGNHDGEEEAARESATEAAPPPASSAKRRGGLAACLSRAAPRRAAGLCSPAGLLPAPLGSAACPVPPGAAVSPCRLPRARDCGRRVDRGPCTTED >Dexi4A01G0021640.1:cds pep primary_assembly:Fonio_CM05836:4A:25083639:25084479:-1 gene:Dexi4A01G0021640 transcript:Dexi4A01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIPLPHRFYLICTVIPRPIYTKWTNDGFQSTGCINMRCNGFQPVKGAAITPGDVIDHVSSPNGVKRNLNLKIIKNGIAGDWLVYCRLDKDPELIGRFPKSLFTGGLAEKATGVMFGGVVSAPITKPTPMGSGYLPTDVKSAASISNIQLIDENEKAWPVTGDLPKFETNRNAYAVTPIVNGKFFYGGHGQPPMA >Dexi5A01G0004430.1:cds pep primary_assembly:Fonio_CM05836:5A:3354063:3355353:-1 gene:Dexi5A01G0004430 transcript:Dexi5A01G0004430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELPTQSSSERNISETDSSAAVSSPHTSEAVDGANRTGVSRSNLPMASPRFYALVACFKLALDCFFAVWICIVFLAFGFIGYALPFILCTMICCCLPCIISVLGVHEDLDLNRGATTDTINALVAYKFKSRRIHDGDVEGNGGGVLAAGTDKERTILAEDAICCICLSKFTNNEDLRELPCTHVFHMECIDKWLQINALCPLCKAEIGSSKGVPETGSGRSDDNRVGNDVESQ >Dexi1B01G0023280.1:cds pep primary_assembly:Fonio_CM05836:1B:28922307:28924718:-1 gene:Dexi1B01G0023280 transcript:Dexi1B01G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVKVTGANMIAGGGYFDGSHDHILMEGSMIHDSSQSSIYDNTKAEQQNFIRLAPFSIEDHPNPANHTSEPARVIDHIQHQLGIDMEQDHSGHVIQEVHPVETANLVPAIYGVQDYILSHQLGEGPHNITVEQQVLDYDAASYRNGAYAAGHDLLNSLHIQRCSLTPELPPTEHIFGDPAQNTLNHLEMNSDLPGAAIHESGMMFSDSTLPLSYHAAQSHLLKDLYHSLPQNYGLFTSDDERDGMVGVPGVSGNIFQEIDGRQFDTSILGSRRQKGGFGKGKGKANFATERERREQLNVKYGALRSLFPNPTKNDRASIVGDAIEYINELNRTVKELNILVEKKRNSTDRRKKLKLDDEAADDGESSSMQPVSDDQTNQMNGAIRSSWVQRRSKECDVDVRIVDDEINIKFTEKKRANSLLCAAKVLEKLHLELIHVVGGIIGDHHIFMFNTKIPKGSSVYACAVAKKLLEAMEMKNQAFDIFN >Dexi3A01G0006560.1:cds pep primary_assembly:Fonio_CM05836:3A:4338273:4339551:-1 gene:Dexi3A01G0006560 transcript:Dexi3A01G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYIADLPNATTATGVTASGLPIHVTFRAARPPALSHLCVHCPGVSFSAPAPKVVATHAGVLLLRVPIDPSDYLSCVKSQFWDYYVYTPAASPPRLELLPKRFNDSEAAIVSTAGGGYVVAALKNRIPRRDPAGGRGAFIKTEFELHRYRSSSGEGWVTDQISVEEPVRDALVPLPWAVADVMPYHESGKTVTIGGERGTVAWVDLWRGVILCDVLVDDERPVLRDVPLPVPARGNWGRLIRDGDPSYVRDVTAGRSKGSIKYVEMEFRPPTTTTVVPDSYLEWARGGGSSKVIREGGWKVTTWSMAIPVGSWEDWRRECDVDVGDLTAVDDGTPWVSEPTSPCLRLRGSDREAILRSLPVAYPTISMDDDDDDALMVVAVDVRKKTLLGVAELDRQKSFGLMPSYSSSEISSYLK >Dexi2B01G0025520.1:cds pep primary_assembly:Fonio_CM05836:2B:34741799:34743301:-1 gene:Dexi2B01G0025520 transcript:Dexi2B01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGVACDYCREDQLEMECCRGGLLPRSTGLLPDDGAEEEVDRISAMPDDLLLQILAGLGCARAAAHTGLLARRWRGLWARLPRLTFHCVVPGLLGAALAMVAGDPTPPSLIDIHFSDHHMIEPARITSLLAAAAALAPEEFVFHIGGGIPPGPVMLPCFDRTTSIKLDLTYAHFTLPSAGGFPALESLHLENCHMDINDMLRRCPRLRKLRVLDWNSESVVVALTALEELAVRATVQIRRINIVAPALKKLYLDAHCGIHKEFSLSFSAPAVEDFIWKFIQEMQPPLSKRYTDFKLPTFLFWSWISSKEGMFMAQSSCIYLAFVPPYKGLRRGRDALKIAAVIRPTTGEVRISP >Dexi3A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:3A:8825583:8844288:1 gene:Dexi3A01G0012230 transcript:Dexi3A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTGGKNPALLSAAEPEQGLRSDSDPDSDSDDDEEVPANSQHVRVYCLTDDGHQDDLGRGRVIFDVEESKGIVLAVVDDEDDGTLLKHNISSYNIYRKEGVITLSADDPVVSVNDELKNLPPLELSSLPLILKTVLEKGMKDQTLVAELISQDDNFFPNLVDLFRMCEGSGNMVGLHMICRLVKGIILLNNFEMFHNIFSDDFILDIIGALEYDPEVSHVHSHRASVQKEVVFKEAIPIKNAYVASKIHQTYRIRYIKDVILPKGLDDATKASLNSIIHGNNVLIVCLLKDDASFIQDLFAKMKSSNTSAESKSKLVLFLHEFCTLSEKLPSVQRLQLSRLLSFSGLSRRRGILSLRFATISSPDLVREGVFDIISDVLQSQDKVLISAGVNFFGSNVMMEIISLSCQRETALVVAAVQFMRTIIDRKDEFLNSRVIKLNLLKPIIEAFVENGDRYNMLHSAVLDLLDYIRKEKLESLIEYAAESFWDQLVKFERLKTIHAFKPKYQQITETAKTKQSTGMVDMRKKEDERGADKEEDYVNDSSGEDSATEAMGAQKQSMPARYLNLLQMHMVAQLTCI >Dexi6B01G0005160.1:cds pep primary_assembly:Fonio_CM05836:6B:4454729:4456464:-1 gene:Dexi6B01G0005160 transcript:Dexi6B01G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANGSAAAAAVRVLAVSRVAPSPATTDAAAGEEGHGRLIKLSFFDTPWVVLPPIQRVFLYDLPTGDDDEDAFTAAVRRLKDALAATLALYLPLAGKLTYLAETGDVVVDCSDDLGVAFVEAEASSDGSSTAMDVRRLAGDEAHDIPAFLALVPALDTRVLPAHVLSVQVTRLTGGLALGVSVHHAVADGQAVWRFVSAWAAAAREGSPVIENLAPPHYDRKVANNGTEFDFSQRFRLGRRTFYLTTDDIQSLKRRINTLAAAAADEDAITTGDTTTTTTTKKKPKPVSTFVALAALGWTAFVNAKSLTTGDDTYLVFLADLRTRLDPPVADGYLGNCIKACLATADAGDLAVAGDDERGLVAACRAIQAAVEEMEEAPMAGSEQWLQKMMTLPYQRLCNVAASPRFRVYEASDFGFGRPARVELVSMNHDGEMVLVGGREEGEVQVSVSLDPARMEVFKKNVLAGRRSGGAGDGRSL >Dexi9B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:9B:9494370:9497918:1 gene:Dexi9B01G0014210 transcript:Dexi9B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHIGKPALALPYPTSRSPSAPLSDTSTSTPQAWRTDGSIHSSLETPPPNWGEHITDRLPRRPPPHPLASIPPLISAASRSHVPPLHHPPPSSKAQRPDRRRRRRRCEDEGDPAHPGRPFWEVICGEHGVDATGRYSGPSEQAQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMESIRAGPYGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGIYVHVRLFSPRSRDPVVHMDSVAFVSRFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDEEEHDGDEEHA >Dexi5B01G0022750.1:cds pep primary_assembly:Fonio_CM05836:5B:25043358:25047515:1 gene:Dexi5B01G0022750 transcript:Dexi5B01G0022750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVRLPPAGATPATAFLSGSNPRPARLPFSSKPTPTSLYAAAAANAPPAPIVVVGSANADIYVEVDRLPLAGETVAAHAGRSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRTDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWSAGIGAEDLDLIQKAGVLLLQREIPDWVNTQAAQAAKSAGVPVIMDAGGMDAPVPRELLSLVDIFSPNETELARLTGMPTETFEQISQAAGRCHKMGVKEVLVKLGSQGSALFVEGEEPIRQSIIPATEVVDTTGAGDTFTSAFAVALVEGKPKKECMRFAGTNSTSSSTFDQLVC >Dexi6B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:6B:13258640:13259558:1 gene:Dexi6B01G0009170 transcript:Dexi6B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHSIEVPDMENKEEDPRVAATKLDVATLGEGGIRTFIGTCIISAAFGVGNGHVQGGMTGDLSLMCPEFIQSFYAGLAASGALTSILRFITKAAFENSQDGLRKGASMSYTT >Dexi7A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:7A:21919246:21919983:-1 gene:Dexi7A01G0011440 transcript:Dexi7A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGLAGAVVCSMLAHLALLALAASQSPLPRVATSVVGSGREGVLPGAGSEAVAATGAGHEAVVSPNRRRASWETISVSEAGSSVASAKALACACNAGSSCAAAGSKAIPTGVSGAEAVTATCHAGSACATAESDAVTALVFSGAGAKAVAFACHTGSETVSAAGFTGSAAAFVELHAVDILDLSPSFYAQSCPGVELAVRDVVRSASLLDPSIPGKLVRLVFHDCFVEVRNAV >Dexi2A01G0013540.1:cds pep primary_assembly:Fonio_CM05836:2A:22162094:22168197:1 gene:Dexi2A01G0013540 transcript:Dexi2A01G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVSDAQVNTTSSDDKSSEEVEDVKVCDICGDVGEEEKLAVCSRCNDGAEHTYCMRVMMEDVPEKEWLCEDCETMVESEKEKKLEKSQAKVGTSKGQSFQGEMNKAAKNRSSDSELVAQNVDNNESDTANKGNDMVKNRMEASSIRYTISETGDAYMGADTRKRMSSSRESSFGYDADKGKQPSQVGTSLTSNAPKNQAPQPRGQLTKSTSFNNSKVPKVKQLLNEVPQKPKSLKESWSSILKKEAPISMTTKSATFKKPKPPEPANKAKSSISPPAEEPKLANQLGSQNLASDQCSSILGTPSTTSVVAPAILKIDTTAQPLATGNNTADLNNLGTAHLQGGKNYIGNSEQKKPLLAKVPESTVLPNTERSLGGILGAAQRKVIQNLDPSHRDAKIKDPAGFRQGHLKKPSPLSAPGSTASVDYSKLKFKDDYPTLSATTVTSADNGRTMPSDRRDESAQAFSTGDEPMASTVPELDWIWQGGFELQRTGRSPELCDGFQAHLSCSASQLVLEVAKKFPSKVQLEEVPRQNSWPTQFQENGPTYNDVGLFFFARDIQSYESHYSKLVENMLKNDLILRGSVGAVELLILPSNILSKNFQRWNMFYFLWGVFRVSRKDCSNLPTDKLTSGLEPNFNEDPRAPDPSTSVLSSSLSFSKDRDSFAKQNTSLLRSANYVPSLEGNPGVCLNGESSMNQPVSESALDDHLDSTNSNGAVGPSAMATGIKHQKPDVKTSDTFRDTVSERDFDVNMVSATCSVSLTHQEEPGKESTTINLNDAEDFMDIDHVNTDEISTVAMDSHASGGGRKRSFDTANGAAEVDEVLEHKKVKLDNVGSTNSGLSDNSNNGRLSSKVHPLAASIGDDVTSNKSMAEASSADKKCVFPLDLNAVDDTASENIVNIPSSDDEELQARGNNRSTDITGQLSLSLAFPSRKEQDSNPQSEPQRQFPSNKNNTSSVWGQQ >Dexi5A01G0035730.1:cds pep primary_assembly:Fonio_CM05836:5A:37447027:37449097:-1 gene:Dexi5A01G0035730 transcript:Dexi5A01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGAADDATSPPAAAGFSYLAVFHNYPLVAALLGFAIAQSIKFFVTWYKENRWDPKQLIGSGGMPSSHSATVTALAVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGCTIATAGQLLL >Dexi3A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:3A:7787817:7788116:-1 gene:Dexi3A01G0010930 transcript:Dexi3A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGGTNGVREVHVDGVEKQLEPVKNGIQSPMATNHGVVPVPGEAGWVITPANSGDGKAVRDINEIAADFIRRSKKKFQGSGATTKYGQKVIT >Dexi9B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:9B:6223997:6225237:1 gene:Dexi9B01G0010040 transcript:Dexi9B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYHVAILTFAFLFVLRYLVPRVGAGGKGWNGKHGKQAAPRLPPSPPSVPFLGHLHLVKTRFHASLARLAARHGPVFSLRLGYSRLAVVVSSPEAAKECFTENDVALANRPLAVASYGPYWRNVRRVLAVHLLSSLHVDRTLSPVVSGEVRAMARRMNRAAMAAADGGGAARVQMKRRLFELSLSVLMETIARTKTSRTEANADSDMSPEAHEFKQIIDDLALKKAQAEMDAAVGTSRLVTPDDLPRLGYLQSIVNETLRLYPAAPLLLPHESVTDCKVAGYDVPRGTMLLVNVYAIHRDPDEFRPERFEDGKAEVRLLMPFGMGRRRCPGETLALRTIGLVLATLIQCFDWDRVDGAEVDMAEGGGLTIPRIVPLEAICRPRAAMHGVLQVL >Dexi5B01G0004870.1:cds pep primary_assembly:Fonio_CM05836:5B:3296579:3296793:-1 gene:Dexi5B01G0004870 transcript:Dexi5B01G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDRRVDGHFWSPVLGETALSLVRERPLVQLPRGQPGRLSSCHVSCLRYDLPCPTTSGGGMV >Dexi9B01G0023750.1:cds pep primary_assembly:Fonio_CM05836:9B:19225307:19225784:1 gene:Dexi9B01G0023750 transcript:Dexi9B01G0023750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNGRSRFAVACGVLSRCVRAETNAGKMASAAAHVRPAATTAESAMLLMPGADVVPDVREEADEAAAPAEAAQLTIMYCGRVLVFDGVMAERAAELLRVAARHGEARGVVKDDIPVARKASLQRFMEKRRDRPAPMD >Dexi5B01G0019170.1:cds pep primary_assembly:Fonio_CM05836:5B:21511499:21511762:1 gene:Dexi5B01G0019170 transcript:Dexi5B01G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVAQIVCLQCLYYLALGLSMALLVGTRVPRLTLLYFFDFATLTPRTPTGWCAIGSFLLAAVAGYATPAARPLLDL >Dexi8A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:8A:4328216:4329000:1 gene:Dexi8A01G0004910 transcript:Dexi8A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCKVRPRGECWEIMRLPIADHDGGTLPQWSRMDAAVAVGTRFICWVDYNSGLFLCDMADDGSSHKLLYVPLPVPLPEEHRRSRTRERLYLPYCRNLGAAGLEAVRFVSVAPRCCSGGHGKNSCERSRFAFNTTIWTLTLKDGPMTWVKDGVLDCDELWQLPNYGRLPRVAPQYPIVSSDNPDVVCFLLCEDHYYIDNADETVWLLEIDTKTVV >Dexi9A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:9A:3088683:3089596:1 gene:Dexi9A01G0005550 transcript:Dexi9A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAYAMRRGRMAAVVLLLAAAMAAAPASGQQVATSCTATLITTFTPCLNFITGSTNGGGSPTQGCCSSVAEMVRTGADCFCLILTGNVPFSLPINRTLAISLTRLCGGMSVPLQCRDTATQIPAPGPIAFAPALPPLPPIPPESSVQPEPDSPAASPAVDSPPFSQRPVVVPSSAWRSSHVSVAAVTIVLSTAASIFV >Dexi2A01G0028770.1:cds pep primary_assembly:Fonio_CM05836:2A:39957323:39959102:-1 gene:Dexi2A01G0028770 transcript:Dexi2A01G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVLISLVACATLATGAAGVRVELTRVHSSPFVRDAVRRDIHRHAARRLAASGDTVSAPTRKDTAMGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGDQCFNQSTPLYNPANSTTFPGIAFGCSNASSGHYFNGSSGLVGLGRGSLSLVSQLGADKFSYCLTPSQDTNSTSTLLLGPSASLNDTGVGSTPFITSPDMALTNTFYYLNLTGISLGTTALSIPADAFSLTANGTGGLFIDSGTTVTSLVDAAYQQVRAAVLSLVTLPTTDGSDATGLDLCFALPSLTWAPPALPSMMLHFDGADMVIPADSYMILESGLWCLAMLNNTDGEASVLGNYQQQNMHILYDISQETLSFAPANCSTF >Dexi5A01G0021690.1:cds pep primary_assembly:Fonio_CM05836:5A:25512984:25513923:-1 gene:Dexi5A01G0021690 transcript:Dexi5A01G0021690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFWSRAFHAAASAGAVTGGPVIATQLSSTSITDTIPSPNAVAIARKVASLTASPSLPSSSDSTHPPPQFTIILPSGATIPASRRHVHQGAAKTTVRRLGLERVTDVYEQARRMVLLELGEAEEVAGDGEDGGVELPAVHGEVRVEVEQRAGRGAGAEAEDGEGGPREERWQRGEGVEVGGGERAVVVGAAREREGVDVAGAVEEEDAGAGQRVARQARGDVGDADVVVWGADLRDELKLVGERLDTRAAAKWGRRIGLFGSGFGTGSAIVVMPTSFLLPSDFLFFIQI >Dexi6B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:6B:23936378:23936901:1 gene:Dexi6B01G0016660 transcript:Dexi6B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGTLADKPGPHVAVKNPTWRRMIGAPGTTPTKTNRASPPQRHPPTHPTLPSSPAHHAPDRTASHQHVSLARHGRAVTTARTWAFTRPVHFRGPPGASVRPRSALAGGDDGEVQTRKASGKTTGEDAIISHDF >Dexi3A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:3A:12740087:12744072:-1 gene:Dexi3A01G0016780 transcript:Dexi3A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAIGNARVRVLNSPTPRDARVRVVARICPGGESKGSFRVAAHVSDAADSSASISFIPINKETIPAASAGVQTPRKDCKYKLDYCYLKDDSYTRIFDNEVKHHLDNIFCGDEHRNASIITCGATAKTHLLMGSQGHPGILTMTMEQILHRAKEIGAAISVSSYQVLEDSVFDLLEPKDSEVRVLQDADGKTHLKGLSRVPYYKLKHATKTSNQIQARGDQGFVVYISRECTLAKINFLDLAGYVDIKQKGLGPSYYNKSMCAIMDVIHALNSNRSFISYRTHKVARILQDSLCKTSGAVLICCLDEVSCQDAVSSITWASRSSQVVNERVYNLTLGSRRFSKSNAFQSASTKKMSVSSLPAIIQQQSSQKQGRIRTINSADKVTRTPTATKRSEVTMHSAKRPVNSVSSLNMKRSGAKSIQSVRSFFTPNSNPTKQPHVHFSLIIGEHIYTGDFCFIRQEVQSSLGMAIQASSPVEACDEIEKVVDVVSSQMQEIVPCSIKELASVDMQEKDPQDHSSSDLHAENSFTDLGLTCSSDICAHLKFRHAEDLQESLMGRSTGIKKSLAQECLTVLNSANKEQLRSLKGIGEKRANLILELREESPEPFKNIDDLKTLVGMNKKEVSSLYLCLLISLFYNI >Dexi1B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:1B:1747642:1752490:-1 gene:Dexi1B01G0002090 transcript:Dexi1B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGVAQAVALSSGHRMPAVGLGVWRMEKPAIRSLIHSALRIGYRHLDCAADYQNEAEVGDALAEAFQTGLVKREDLFVTTKLWNSDHGHVIEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHAMEELVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKVERLQENFEVFDFEISGEDMEKIKAIDRSYRTNQPAKFWGINLKLLYRTAASWAEGQLIRVVG >Dexi9A01G0038460.1:cds pep primary_assembly:Fonio_CM05836:9A:42688662:42689506:1 gene:Dexi9A01G0038460 transcript:Dexi9A01G0038460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPRRFILSLPAAVPFLLLLAAGVLLQQSPAVAEEAKKVSLELYYESLCPYCSRFMVNHLAGIFEDGLIDAVDLRLIPYGNAHVGSNGDIACQLWH >Dexi9B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:9B:13502997:13511024:-1 gene:Dexi9B01G0018950 transcript:Dexi9B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSFSDGGGGVSSSQDAFDFDADGDVLGSSASQPLPLPPPSQESSSMWEFDEDPPPSPPQPRQEARRRGRGGARRGGGGWELAEPAVVAPSATLMEAEEYGEMMESMDEVSFALDGLRPAAQRRTRRASLLALLGICASAERRRVLRVQGLLQQIVDAILVLDIDDPPCAIAAGALLFVLAITLIPIPSFLLVIQVQNNNLLDSETCVLFLLKLLNPPVKNVVDAKAPSIGSKLLGISKFQVLNGPNKDADSSSDDILSKVEEILLSCKEIKPLDRDDKRTSRPELCSKWLALLTMEKACLSAVALEETSDMVARIGGNFKETLRQSGGLDSIFSVMVNCHSELERLVKDASTTVMELKEGTPLQSAALLLKCFKILENATFLSDNNKTHLLRMNRKLSPKCSLPFIGVVINTIELLSALSLLQNSSTVSRKADPKSTKVSDGDFSDMGLSNGGDDPFAFDDIDQEPSNWDLFGPKRKSPQKRAKRANGKMLDDCETAVIGSLESSQPDDIYQSGATSDSKAEDESTLWEDCLLASVKVLMNLANDNPSGCEQIASCGGINTMASLIIKHFPSFDFSMNKNNQLKDRVSYGHHVNVNEDLSSSQNTAQVKTKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLANARVSVSTSQNQDSEETQRDVIPLLCSIFLASQGAGETAEAISPDDEESLLQGAREAEMMIVEAYAALVLAFLSTESMKVRGAVTSCLPDNSLKILVPVLEKFVTFHLQLNMMSQETHSSVTEVIERCRLS >Dexi2A01G0034420.1:cds pep primary_assembly:Fonio_CM05836:2A:44374704:44376469:1 gene:Dexi2A01G0034420 transcript:Dexi2A01G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAWMVAAAVVAVLAPWAFNALVHLVWRPYAITRKLAAQGVAGPGYRFFSGNLGDIKRLRAEGAGVTLDVGDHDFIPMVQPHFRRWISLYGRTFVYWTGARPNVCVADVNVVKQVLFDRTGLYPKNLMNPHISRLLGKGLVLTDGDDWKRHRKVVHPAFSMDKLKMTTTTMSDCAQSMMSEWEAKLAKSVNDVEVELSSRFEELTADVISHTAFGSSYIQGKQVFLAQRELQFLAFSTVFDVQIPAFRYLPTDKNLKTWKLDKQVRSMLMDIIKTRLANKDTAGYGNDLLGLMLEACAPEHGETPLLTMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLREEVRRECGDEVPTGDMLNKLKLVNMFLLETLRLYGPVSAIQRKASSDLDLGGVQVPEGAILTIPIATIHRDKEVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVGMILQRFTLELSPKYVHAPMDVLTLRPRHGLPMLLKRVGGGVTH >Dexi3B01G0021070.1:cds pep primary_assembly:Fonio_CM05836:3B:15983596:15986591:1 gene:Dexi3B01G0021070 transcript:Dexi3B01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAIKRYWCHECEHGVDIEEAMAEDMKCPFCDGGFIEEMAGEEFEGLIRQRSERDLSQWGASDNLFEQPGGTANSEDDDEEEDDDMGREFEGFIRRHRRVSALRRVLDSIQDDLRADRERGNSVLINAFNQALALQGSVLDPDEVRDDQGSSSHDDGLLEEYVLGAGLSLLLQHLAESDPNRYGTPPAKKEAVEALPTVTVEEVVSCSVCLDDLELGSEAKQMPCEHKFHSPCILPWLELHSSCPVPKPCVRVTPLQLAYFHRYRDAADAGRRPSKAADFRRGAGANGWRERDAPWLAGTGCARARGLGAFDRLVACHLSRGGKKDQRCARHGVPDASAADRDGHSRRCRALRIASQLLRWKGATSQIGFCSTFDWRRHGTRDLRLFPADESSASARAASKHKASKTEMLLVSFFE >Dexi7A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:7A:22543562:22544968:1 gene:Dexi7A01G0012300 transcript:Dexi7A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPCAILERKVMFVSTGAPPAGGPSTGSASKEAAAAVGSQDQEIPIETILQAMRPVPAVHHPPELSRISMVRQMGGGGVHGGFISSTNKELVVLYAGDYRPGCSNTPSSGCYLVYDASSNSLQAVPQLPYSYSFRARGLGAAIVSHGSGNYVLAELLTSKTSGFPNGALYLWHWPSPNQGQWTLNSVGFPPQVLAPTFRIDMAFSYGDSCVCWVDLLTGVLICNLVKSPEPEFTLVPLPPGCSVDVSDRRRPRPRPQEFRTMGCVNGAIKFVAIVGFNENIDSKDLTMRSWTLSPDLEEWKAGNPLAVSDLWESESFRERNLPKVAPTFPVISTTESQVIYVMMNDVDRVPTRNFFGNVDFVDIVLKARYMLSLDPTRNKVLHSTKVFTDNMTSLTPEVVASEFSAYIYGSKGCQEGLEASKAGASRKQIKH >Dexi5B01G0023740.1:cds pep primary_assembly:Fonio_CM05836:5B:25846917:25849672:1 gene:Dexi5B01G0023740 transcript:Dexi5B01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTQYQILVRLLDGRTRCLRFTTPTVSGAALLDAVASFSSVPAAALRLVTGRLDVAPSSVLTSAADGQFLSAYALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAENFIKKKAKEAGRSGGPSAAEVDKYLEKYRKDAEICVNAVEESVRASLGKRKAAPKPPPGADSKKLKIWLGKNKVEDDESDSDSDVDDEDREGDEGTDAKSVVLDDGSCSNGSSKSEDEKLDLGSVSGSHSEGETSGEKSLHSSSEEIEKCAHSTVELTMRSGAEGGDSESDGSVETGVGMVDQPISVNDAAVAAASEVVLKSEEVLKSDEVRTDAANTASATSVQNDSEAPQVEESTDPSESSHSAPLDLTKYSSAAELETLGLEKLKMELQSRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKPTAGGK >Dexi9B01G0039620.1:cds pep primary_assembly:Fonio_CM05836:9B:40264261:40267729:1 gene:Dexi9B01G0039620 transcript:Dexi9B01G0039620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDQAHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHIDPNPFYGSLYSSVPLPSLPSFLSPDPSSVPLVPSGDDSHTVVDLHRRNIYSEVEIWGAAPEPRRFTVDMVGPRVLYCRDEAVDLLLRSGGSHHVEFKSVDGGSSLLYWEGQLYPVPDSRQAIFKNTTLNRTEKTFLFKLFKLVMAHIAAADEDGEGEASDKISNEDLDLPFIEYLKKHELPPKMIAGVVYAIAMADYDQNTDCCEKLLTTREGIKTIALYSSSTGRFANAPGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPVSALLMDEERKTCLGARLTSGQDILCQQLILDPSYKVPILDAPSDGSDSNLLRKVARGICIISKSVKQDSSILLIVFPPKSLEEQQTAAIRVLQLSSNLAVCPPGMFMVYLSTPCADTSTGKQSIKKAIDALFAPQASDSLEGHLEETSKNNEDLKPAVIWSCVYVQEIMEVNFFYHRYYVCPRGTASSFLSCPTPDELLDYRSILDSSKKVLHCMLHFLLNVPASKLFADICPNEEFLPETPAAVYADDDSDSAE >Dexi1B01G0008680.1:cds pep primary_assembly:Fonio_CM05836:1B:7268993:7274974:1 gene:Dexi1B01G0008680 transcript:Dexi1B01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANCLAQTNALFRKNLVIQRRNCRANCCLVCFPFLICSLLGVTQLIIVLSFVSSRARTPGTDCGYCNASTKSWMENTVGGLNCPTECPMPIAPKWPPVLQLPVDSDIENGPGSFNSTDLTDTSIRRAKQSPATFLVTGTNQAFAESVMSNMFPKHDDGLKFVADIAALADYALGTNAMRIISIGDNELGSDFDNNSHLFFLQNNCTVNSKLSFPVQEGHKNFTKAYDLTSSDLNKFDLVVSYNSTYRGTTQIQSSIPALSSLSLSPIMLRLPRLLNLVILSNLVYEKQQKLRTIMKMHGLGDVTYWTISYCYFFLLSLLYMFFLVFFGSCAGIKLFTLNDYRVQFVVYFAYMNLQISFAFLMATYFSNVRTASVVAYLFTIGSGYSGEYLFRPIFEDMSLSRSWTTLMEFFPPFSLYRIVYEFSPPPSPLYRTDFSGLHWGDLGDGKNGMKDILIIMALEWATFLLLTFFFDEFGTLRNGIRKMASVCHSSVDGSSQASQKQTIQLQEFEYSVEMDRTDVLREREIVEQLLQESDGSYSVICDNLKKVYHAKDGNPEKIAVTELSLYMQRGQCFGILGPNGAGKTSLISMLTGFTKPTYGTAYINGMDIRSDMGKIYTGIGVCPQFDLLWETLTGREHLLFYGRLKNLRCAALDRAVEQSLKNVRLFDGGVADKRVAEYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDAASRRALWNAVMSAKQNTAIILTTHSMEEAEALCDRIGIMVNGSLQCIGNSKELKAKYGGTYVLTVTTAAAEEEVVEQLVRSLCPAANRVYRISGTQKFEMPKQGLRISQVFHAMQHAKTWLNISAWGLSDATLEDAFIKVASESDISSL >Dexi5B01G0025000.1:cds pep primary_assembly:Fonio_CM05836:5B:27100200:27104639:1 gene:Dexi5B01G0025000 transcript:Dexi5B01G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERAGAMEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHCKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSGLAPALQNDRQSGHEEARTSGWSSMDRRRTPPPITSVGTLSKQKAPVGNDVTVSKDAVVSGPNFLGRSSGSSRRPVVSSSRDVVATDSSEPSRTRTTDASPGAFRRTSGPQRSSPVHSAEQKRSSSGRHPSNVKNYDSTLKGIESLNFDGDERVQY >Dexi2A01G0000120.1:cds pep primary_assembly:Fonio_CM05836:2A:86862:88133:-1 gene:Dexi2A01G0000120 transcript:Dexi2A01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATKWDNHHHLPRSTTTAARPCCSSPSPLMVMVEAELEKGRAHIGELEDERRVLTKRLERFLRKVAEEKAAWKARVRDKARHAVATLREELGAERAHRRELEQANAKLMRELAEARSAARRQAESREAERRARELMEEACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLHMAEVWREERVQMKLSDARIALEAKYSQLNHLQADMEAFVQLQAPPPQRPRGHHQNEDDEVDSVLEHFRHRMENDNNRANSPASSSADMDYDGGRDSYSYLGTSDRSAASVANNGNGSGLVEARSSGKNTALIRRLWRSAITESRNKTGGWSPSWDRRRSSVTVQAPPIEHGHGSRGTQHQQQQSLRDKLMQARTIDDRKRPVHAARPKT >Dexi1B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:1B:4932767:4943553:1 gene:Dexi1B01G0006000 transcript:Dexi1B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGVDTMEILHEKMPFDLDFHPASLPPCSSPVSLWPGVITRKPCRRLPPPAAAMEVLHEEMPFDLDFHPSSPLVVTSLITGELCMFRYGPESQPERLFSVKAHEESCRAVRFVDSGKVILSGSADCSVLASDVETGKAIARLEDAHENGINRLICLTETTIATGDDEGCIKVWDTRERSCCNTFHVHEDYISDMTYVADSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCSDRFLGHTQSVDTMLKLDEETLISGAADGVIRLVGILPNRIIQPLAEHSEYPIEALALSNDKKYLGSLSHDKMLKASPMARSPVEIDAREEYNYDDEQGGVVGGGQGGGHAGLGKLGDTGTCPHRIADPIRGK >Dexi1B01G0031120.1:cds pep primary_assembly:Fonio_CM05836:1B:34839878:34842572:1 gene:Dexi1B01G0031120 transcript:Dexi1B01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRYPIKLESKDYEIYNGSKVCYLYTETSWEKESWCKALRIAATNDKEKLNWYIKLSEEFLNYISSLNSEYPCFLKPPVLSGEDHEVMDRTSKADGSSKVRLFLKKLAKKASTKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQDDKLSSSSVQDMVKATAPAVALNHTGQLSASPDLNTDDRVADEGTLCWNLLSSRLFFDAKMSDEINKVIKARIQRTLSNMRTPSYVGEITLTDFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVQEPELQKDIMKSNFGADADGEVDSDLLESIEQYGNQFKGSHTAAASSAAEKNEADASSQSKSTGWTSAYRSRWKNILHSIADHVSQVPLSLAIRISSVKGTLRIHLKPPPSDQIWYGFTSMPDLEWDLESSVGDRKITNIHIATLIGNRFKASLRDSLVLPNCESISMPWMLGEKDDWVPRKDAPFIWLNHEAAEMRSHATPMASTRPEEGDLKNDASIKRPMPSLPISSAGSEESLKAVASVDEAKQEPMAVASLHGQSSAGPASESVHSDENDELRRPLLVTEKLQEDASESRVGSPMHTSVRAVIPVGEQLSASASSSIGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSLERTSS >Dexi6B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:6B:21711897:21714216:1 gene:Dexi6B01G0014070 transcript:Dexi6B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLPSPISSSGSLLFSSSQHLTELARGDGESRVAMAGTGCHSLLSPASPLSPDFFSRHRASAAGTGAYQPSKVRPQIRCCAKDEDSKEWADMSKGKVMSRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPRS >Dexi1A01G0004700.1:cds pep primary_assembly:Fonio_CM05836:1A:3417898:3419316:1 gene:Dexi1A01G0004700 transcript:Dexi1A01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRAPSDEQRQQEALHGEHDDVSAGNESNKKARAGLSGVLRERKVVELARAKRRLVEVPYTATLAHTANALLAAGVSAVAVAAPPGHWIGAGGSMILESDHSTGAVRKHYIGLVNLLDILSHIADAGDDSDEVAIDDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESSSENVVAVELVESSPGYKMLTQMDVVRFLFARGAELRDVMSRTVRELGAVNDACVLAVHGGAKVIDAVKAMRAAALTAVPVVEEDDDDVGDETLLDGTGKKAIETFSATDLRDCPVSWLQPWLGMSVTEFKRKVAEYRASNTAVVPGADATATGVPAVDADTPVSAVFDTGEVEEGKEQQQPKLVTCSPASTLGEAIEAVVTRHVHRLWVVDEEGLLRGVVSLTDVLRAVREAALGEDRELQSIVSP >Dexi5B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:5B:21764723:21766834:1 gene:Dexi5B01G0019460 transcript:Dexi5B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRREGGGGGRGAAPGDHHTGGGGGKQGSSGTVAATDAVSMDGGLREVSVSVVFSVWCLLFLLHSQFLHSQSDRPSDFYDEHGQSDSYCKVRPLEAYVLPHHNDASPTCQTSYSPPPQEASSSAAPPPGLPPPTSNATDDAPSPPEAAFVGLDEFRSRIMQGNKAENDTDTPKGVGGTAHRLEPSGAEYNYAAASKGAKVLAHNKETKGAANILVDDKDKYLRNPCSADDKFVVVELSEETLVDTVALANLEHYSSTFRDFEVYGSMSYPTESWELLGRFVAENAKHAQRFVLPEPRWTRYLRLRLVSHYGSGFYCILSYLEVYGVDAVERMLQDFIASAGTGDADVSKERVSIDVATRDAGHDESTVHQHVHAKLDGNGAGRNDSDAKNNGSGAGFVAGDAKLPSPQGKEAKPPPQVVAVAAVASTGRSHHGDGVLKILMQKMRSLEMGLSTLEEYTREMNQRYGAKLPDLQNGLSQTAAALEKMKTDVHGLVEWKDGVAKNLDDLKDWKSSVSSKLDDLIKENEAMRPVPFPSSLEEMRDVQETLQNKELAVLSISLFFACLALFKLACDRVLFLFTGKGKEEEPEAEVKACGRSSRAWMLVLASSSFTTLIVLLYN >Dexi3A01G0025880.1:cds pep primary_assembly:Fonio_CM05836:3A:21836163:21837120:-1 gene:Dexi3A01G0025880 transcript:Dexi3A01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPAPGGRWADLPEDIALAIASRLQEADMCALGGCSRSWRTACDANCVWERLFRCRWPAAAAEAAVASRVQN >Dexi7A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:7A:20136663:20137375:1 gene:Dexi7A01G0008930 transcript:Dexi7A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSPATFCVAVLLLVAGVVHAVPESGSGGSTATCSTDLFRLLPCLSFIDGSAPAPADTCCSNLGSMVHDEPQCLCQAIANPSASPVPVNITRVFAMPRLCRLDLPSAAGACAVSGLLPHGPASPPPPAMVARNASANSTVPSTVEPASRTPARTPVMMPSPWVSGQMPRYSRGSKVTVDGFPVALGFVTLLSILAF >Dexi9B01G0045870.1:cds pep primary_assembly:Fonio_CM05836:9B:45309806:45310624:1 gene:Dexi9B01G0045870 transcript:Dexi9B01G0045870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKDGEGDLPEVSAATPDRDLVEWQGSFPPPAFLPLVDAQPRLPATLLRTQCVVTAAGCHHSCCLLLFLPQRGGIWHPPQATEHGDLDPMLQSWVRTSTRSTVPVLMPPPARAARRPTLAFQSTYQTLGVREQEQRPRRGAHAGTMLGMEKKAIAVSRSGGGGCGGGTESERVHQRTVE >Dexi5A01G0023910.1:cds pep primary_assembly:Fonio_CM05836:5A:27865424:27866433:1 gene:Dexi5A01G0023910 transcript:Dexi5A01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKLRFYASLLLAVSSVLATAASDDIKIAIYWGQDGSEGSLRDTCATGLYAYVNLAFLSTFGDGRTPALNLANHCDPPSGGCASLAAEIASCQSSGVKVLLSIGGGALGGYNLSSLSDAQAVATYLWDTFLGGNATASRPLGDAVLDGIDLDIEAPSQYYDDLARSLTSLYKGDADARGRAYMLTAAPQCPFPDASLGEALGTGLFDHVWVQFYNNPGCQYAPGDVGALGSAWREWTESLPGASVFLGLPASLDAAGSGFVDVDTLVSQVLPVVEGANNYGGIMLWSRSYDKDTGFSVKLQRILQSQNKNHTVIFPTN >Dexi6B01G0012070.1:cds pep primary_assembly:Fonio_CM05836:6B:19633284:19633811:1 gene:Dexi6B01G0012070 transcript:Dexi6B01G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVDSYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVY >Dexi8B01G0007500.1:cds pep primary_assembly:Fonio_CM05836:8B:9076785:9077108:1 gene:Dexi8B01G0007500 transcript:Dexi8B01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVWVFRNGVARFEDKQPKQSGCSGKKALLHLPTGQPVASHENLQQFLQQLGWERYYEDPALVQFHRRTSVDLISLPADFARVGAAHMNDIVVKNCETFTVVDAAK >Dexi5B01G0019950.1:cds pep primary_assembly:Fonio_CM05836:5B:22217608:22218075:-1 gene:Dexi5B01G0019950 transcript:Dexi5B01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWACPVAWTLYGLVVSQFGDITTPLDNGVPVNVFIENYFGFKHSWLGVVATAVVAFAVFFACLFGFAIMKLNFQRR >Dexi9A01G0033680.1:cds pep primary_assembly:Fonio_CM05836:9A:38561830:38568898:-1 gene:Dexi9A01G0033680 transcript:Dexi9A01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRKFLLPGQPPGTSSSEAGHLCFQGFGFCALRTGNTRNSPRAESADISQILQEARKRWLRPTEICEILSNYKHFAIAAEPPNRPISGSLFLFDRKVLRYFRKDGHDWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEQNENFQRRTYWLLEEDFTHIVLVHYLEVQTFTSEALYTNHLSKKEADALSFTGMPSSEVNGNRHTEGSIKHPLLKQSSLDLLAIEPTGLKKLDSFSRWMSKELGEVVDLGIKSTSDAFWTNIETVKVPDGCNVLTNEQLDAYVVSPSLSNDQLFSILDVSPCCAYIGTNTKVSVTGTFLVNKKHVENRKWSCMFGNVEVPAQVLTDGTLRCYAPAHQSGRVPFYITCSNRVACSEVREFEYRDPDAQYMEASCSQANSVNEMHLHIRLEKLLTLEPDDHHMLVLSSGNEKYEVMNAINSLMLDVKWSDQESASANEVVSTARDQSLKKLVKEKLHHWLICRINDDAKGPNVLCKEGQGVIHLVAALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASLGRERTIGVLITNGAAAGALTDPTSEFPSGRSPSDLASVNGHRGIAGFLAETALTSHLEALTIRESKDSSNTAEVCELPAVEDLTGNNSAQFVGEDYHAESLEGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSLKNVKPGQHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKSYRKVIWSIGIVEKVILRWRRKRPGLRGFRLEKQIEGPSQIQPTKAEDEYDFLHDGRRQAEDRLQRSLARVHSMSQYPEAREQYHRLTTCVAELKQSRIIQDEMLSEPGGGDANDFMAGLEDLICIDDAAMSAIW >Dexi9B01G0048090.1:cds pep primary_assembly:Fonio_CM05836:9B:47076616:47080142:-1 gene:Dexi9B01G0048090 transcript:Dexi9B01G0048090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGSDQGGGMEMGVGFACGGGGGECSSSSATAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVSAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSCAAHHRPFLSPHDKHDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDEPPMLEGPLDMGSDGHDMMGFGPLIPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRK >Dexi4A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:4A:815487:816092:-1 gene:Dexi4A01G0001250 transcript:Dexi4A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATGPAKKGTNVKYLILAALAATLAVAVVVTVFFIVLSPSRVEFSITQASSQPVNTTSVMIYLTLNVSNPSRRATVAYRSMFVDLSNSTGPQLVNFVRATLPDGAMPLRQPTHNTTAIAATVILVAGDIVEYFARDMTGTFSVVITAVARFWVGFARTRLYDIKVTCENLSFLAGPGAGGRNATIAAHQAIGLPITCA >Dexi2A01G0024350.1:cds pep primary_assembly:Fonio_CM05836:2A:36067800:36072058:1 gene:Dexi2A01G0024350 transcript:Dexi2A01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQIRPSGGPISCLPQSPHHQQHPETAATNERDPAAVLQGQRSPDENEKRGRTETQTLVRQAAGHKCSDKSEETSTDQLNALRPVGDPTWRLHDAITADSYVLPENDAYLHRVPPPLQPTLRPNHVAIRVSVVLLRCAIRGQAVVLNNRPIDAQPLDRKFRSAVSCRKTCSKQAGAEHARASDLWTYVSYEPDTWPIPRARGSCRAEYAMDVTPGSRPTSRPEPKLTPRQANATAKGGDRHARMEPGSRRQAKPASVHRPVPVHQHRKDGRRREEDSSVLHRENKRIGGALPPNESNAPTETSRPRIPCLPIRLPTDGEHEVPRAGDVIVFYKWPGVRWAKPTPSTSHWSPTPLRPTLPALVVVAPLPSSSSFSLPP >Dexi4A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:4A:7660844:7661875:-1 gene:Dexi4A01G0009690 transcript:Dexi4A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHRGVHATSLFLLLLLLADAATTEAKLAPDYYIKTCPRAERIVTDVVSQKQLSHPTTAAGVLRVLFHDCFVSGCDASVLVSPNAFARSERDAAQSQSLPGDAFEAVTRAKTALELECPGVVSCADVLALASRVLVTMTGGPFYPLRLGRKDALASSPTAPDAELPLANSTVPRLIAMFAAKGFTVQELVALSGAHTLGFAHCSEFADRIFRRPVKGGGGAVAHDPAMNPAYAKGLQDACRNYQRDPTIAVFNDIMTPGKFDNMYFVNLQRGLGLLSTDQELWTDPRTRPVVQRYATNQTAFFADFARAIVKLGVQGVKTGRDGEVRRRCDMFNGNPVVPGG >Dexi5A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:5A:20525041:20525578:1 gene:Dexi5A01G0017300 transcript:Dexi5A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPNKYSLQHHVEEGVVGALDVVVVHLGLVVLELAPAPAGAGLPFPDQGLVRAADGGLGVVGGLARRSDEAAVGRRGAIDLHRARAELLLRVDQAAVDGEHPPVLATLATHRAGFPWLLLLLGDLCETCAAPEIYMPREEKGYGESAVGGRFLGGHGFVSGSATATWRPSL >Dexi4B01G0023460.1:cds pep primary_assembly:Fonio_CM05836:4B:24765735:24773357:1 gene:Dexi4B01G0023460 transcript:Dexi4B01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSAANDCRPLRPRLVVYTASSSSSSGSLSTTYTSGSSLLQSSSDQGGSSPTATLSMSLVEEMRKKLEHVQFPWLELVEEERDKTREHIKSLVQQFFTTSNSALVLERWFSDLGVSWVLTITDDDIFRRRPQYFARSWIAALAVIQMFIFAYLDGNKRNQEEGAFFPCSDSELFARFLLATFLKILHFVDAIVTPLDAIDPASIEHHTLSSSMELSTMDMEATEPAEERLQALIGVRDALSSTSEQIQLWPHCTPFLQSGGIIGEVSNLLSAKLSKLDEIVWDTVDEIRTSLTDTHGLESQTSPDIHLLTRYREEAGSSSYSICGSKTSGTLSTAYTSSGSHMSSGYLELDMDLERAKVLKKEEHIKHIKSLVREFSVGGGDVRVPERWLIELGIGWVLHLPLADAASAVQTYDALMTWIRALAQVVEIIGYTEPLFPEQAVNQLSNIFEEEEQVLGQLQFAKFFQEAMFKMLPFVDWIVSEALVASNGMEPPYENLSTLLGVHGALSKALPQIQLASSLPQIQLASSLLLSAEVVRIQHDAVGLLVAKEAKVVGAIWSTMKEIRNRILAPMEDNGDDSSRTATLEGSSDIHKATRMRFWLGTSTAIMATEGHFACGEEVAERQDGGVRVPFLFLQHPLFFLSLSLLSSSYPSSFPLSSMKILGVGGLQPPVPHWIRH >Dexi1B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:1B:4980667:4981516:1 gene:Dexi1B01G0006090 transcript:Dexi1B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMFTMSSERFLKIQREAPPEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVRGLGSCEYTMDRGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDVVWRAALKHGLTPV >Dexi7A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:7A:26747363:26748763:-1 gene:Dexi7A01G0016940 transcript:Dexi7A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLTIAAWFCYREYQKRKGNKQKQDAPLDGDMDDEFEKGSGPRKFTYRQLSQATRGFSEDEKLGEGGFGSVYRGFLQDQGLHVAIKRVSKTSKQGRREYISEVTIIGRLRHRNLVQLLGWCHETDELLLVYELMTNGSLDTHLYSTRNHLTYNIILGMGSALLYLHQECEECVVHRDIKPSNVMLDSSFNAKLGDFGLARLVNHGRDERTTMVAGTKGYIDPECAVTCRASARSDVYSFGVVLLEIACGRKPVDLQEDDESRVLLVQWVWALYGRGELLDAVDARLRDDGDELDAMEAERALVVGLWCVHPDNASRATIRQAMNVLHFEAPLPELPLEMPVAMYGARAVGGSVSSNTTTTSSSSGSVSTNSGGHSLASDRTAAHSSASSGKMSSAARETRGSADESNSGRSGMVVDEQFQSTR >Dexi5B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:5B:8111857:8112937:-1 gene:Dexi5B01G0011450 transcript:Dexi5B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPAAFVNAEILNMFVGRRVRTVVQVQRNEGGMVVGQSPDGHPLTIKSAMDIPVSHFMEVFGIAENNQTIRAEVCTDFGPSFDTKSFDGLCKLASDKFKHVFL >Dexi9A01G0026400.1:cds pep primary_assembly:Fonio_CM05836:9A:29333020:29334876:1 gene:Dexi9A01G0026400 transcript:Dexi9A01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTYLTTMLHESKIAAARNASMWAGAYFLAPLLAAFVADTYWGRYRTTVAFLPVYIVGMIVLTGSASLPSFLESSHHGDVHRTVVYIGLYLAALGSGIVKPCTLTFGADQFDINDTVERVKKGSFFSWYYFTVRISALLSGTVLVWLQDNVGWGVGFAIPTVIMLFSLIVSVASTSLYRFFDKAAVLPAQSNHKSMLETSSWTLCTVTQVEELKMLLRMFPVWMSSVIFYAVNEQMTLTSVEQGMFMDSRVGAATRKTCTGKDKGLSQQQRLGIGLALSMLGMVYLALLENRRLAVAAGSGLTSQNVPVPISILWQVPAYFLQGVAEVFAVIGVTEYFYDHGPESMKSLCAAFGLLAISSGSYLSALVLGVVSIATTRGGSPGWIPDNLNEGHLDYFFGIMAALSLLNLLQFVYYSMSSRETATCLA >Dexi5A01G0026430.1:cds pep primary_assembly:Fonio_CM05836:5A:30075961:30078795:-1 gene:Dexi5A01G0026430 transcript:Dexi5A01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRGGKRQQPLLLHSPTPAGAAGRRRFLVFLAVTAALVASYHHLLAPPNSSRYHALFLSLGSNATAAAHLRALTLRPHVAGTEVNAALARYVFDAFSSLSFPSHITPYSVLLSYPTHRSLSLAAARGLAARPFALVQETYKDDPYADAAAEVIPTYFAYSASGSVAAEVVYANYGHAEDYAYLASRGVDVTGKVALMRYGDIHCEDMVRNARAAGAAAAILYTDAKDFGGSAAKGEKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSAEDLSGSEAMPGIPALPVSARDGETILKAMGGDVAPTKWQGGEDAPVYRLGPGPAVLNLTYIIAERLSKLQAKGWRPRRTIILCSWDAEEFALIGSTEWVEENMDTLASRAIAYLNVDISIFGPGGLRPRATPQLDELIKEASKMVPDPDEPSQTLYDTMMRYHPPSKNILFTTRCMMIMFGWRSLEIPCSTGMSQWQVFGV >Dexi9B01G0025540.1:cds pep primary_assembly:Fonio_CM05836:9B:26149298:26149501:-1 gene:Dexi9B01G0025540 transcript:Dexi9B01G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTEENCRPLQARSQMQEALPSWTGEMPPLGHRLELPLPPIGAVAAAGSPSGCRRSHRLEPPLPL >Dexi6B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:6B:19502334:19503430:1 gene:Dexi6B01G0011920 transcript:Dexi6B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPADVVLEVFPQPPPPPPQEPAVPLRGLAVARVVQYLYLASLWVACAGVAASAVARRASGVDSPLTWTFIKVSIGSLAFPALVILVSGLRLLRATCAAGFRPSLRTSAKGIQIARKVFGALTWKVVLPVVLVLLVTFLFFLLMGAGVMVFEGLLPVEKSQKKRVGYALFDTGVLGVMAMFGFVIIPSCVFMLWKSK >Dexi1A01G0016520.1:cds pep primary_assembly:Fonio_CM05836:1A:23758528:23764785:1 gene:Dexi1A01G0016520 transcript:Dexi1A01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQSATETTDYASEYTSEAATETTVSATPPPPPPPPPPPPPPPPPREVDPTDDDVASELDDSTLSTEDESDVPDLPSPPQEKPEAAAASGQPQQTATEATTTQPPPLPTKAEEEPVVTTPPPSETPPPPQGMVAEKVDAAEKQLPETPPAPPLQPPPAQQQQQKQEGDGPATEEASSVHEEEKSKTPVMAQEQGEETKPEEEEKKKKQAAGPSGAQEARRRWRQLQAAVRLIFCKKRDRSTPQPHPESPLPEGEGEVQTKLHEGDMKPAAPASNQPASREEQTASVPPPPETPSSQETPATAADAEKPLPAAPSTQQQPPQKQEGIRHPEQASTVQEEEKDAAAAQEGGEKAARRWRWLRAAVRLLFLRPKHKEVSGVAEGKKTTAPAEEELAQRKDSEVMKPPSASPAPEDGKQQPSSSGQEKEEKPKPKPHPKWRREEERLEKILEDAFTRLLATEYHQLRPIRRKCLLTFSVFELADEVKKQAMVYWWVSEFNLKHRIDQSAATDAAAAPAEMRSRKRKLFLGWERKPAAPAAGGSNSPAPQRKDGGDGGGSNPPDDEAAEGIFSELSSNGFLEPKRNWCSKVIHGCKVNPLVHWMVKRRARDDGFADLDVDGKPAKLQRGSRIVCLTAGNRHLLQEMRMKDDEPQLQQAANKPNTTRTTSPTSLHSTTQDKVPDLQGKKNTQTILDYEHEDISPSFKGKRVILNVNAHVYPVSKSMFLYLSDYLVVLQLGRWCNLDDKTYMEVDGLESLSEIGLLRNLRYLSLRGLSRLTQLPKGIQQLKKLAILDMRGCQNLVNVKITMPLKQLTHLDLTECYMLEHIGRGITSLSELQVFKGFVFATGTQGNRACRVQDLKKLKKLQKLTISIATEANVGKGDMAELKHLTSLHKLTITWSEIPRILDGDSENVKSMREGLVEKWTNFDVPQELLKLDLRCYPKKELKLKVHPNLKKLYLRGGDLEKFSIDEPQPINSSDKTNCITTLRLRYLKNFNMDWEEIRSVLKDIEYVEIVPKDEKVMKDVDKDQKDNNMNIKDQKVNVDKDEKDKNIDMKDQDDKHTYIKDQKDIGEAHKLMNNIDMKDQKDIDAEQKFLLKKRMLYSNLDESGVWVKDSTEEANLLRLKALGDVEKSKGPIEGPSTEDTSIITNDNDNENDIANQGKGK >Dexi4B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:4B:16512235:16512968:1 gene:Dexi4B01G0015180 transcript:Dexi4B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSDATRSPASPPPGGVVEKKGAGRSGGGGGRRPAAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDAAALAVKGPGAAVLNFPELAASLPRPASSAPRDVQAAAALAAAMDVDVMPLAAAVSDADVAVDAVVAAATPEPTAPPAGQAASADEDEEELEEIVELPAIGEDVLLASPDDMFWYEEPAAGWTNAGGIAAHGDDDVLAAEQLWTLQPDGIVSSGFVL >Dexi2A01G0035060.1:cds pep primary_assembly:Fonio_CM05836:2A:44780675:44781487:1 gene:Dexi2A01G0035060 transcript:Dexi2A01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILENHVDIVSYLNKKGVSVIFLFRRNTLRRVISVLANDYDKDAKQLNGTHKSHVHSKEEAEILAKFKPELNTSTVLTNIRNIEKSIRDCLDHFKSTRHMILYYEDIAGNSNALSQVQEFLKVPVRRLMSRQVKIHTRPLPDLVNNWEAVSSKLNGTEFAHFLDGSDYIK >Dexi1B01G0027780.1:cds pep primary_assembly:Fonio_CM05836:1B:32424777:32425134:-1 gene:Dexi1B01G0027780 transcript:Dexi1B01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATPMDVDAAAPPPPPAGAAAKGKAPLSGPVRAAPWVEKYRPQSLADVAAHRDIVDTMLE >Dexi4A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:4A:10862708:10864116:1 gene:Dexi4A01G0012310 transcript:Dexi4A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRQRIITTLLLIATLFFSGAPAAAHDQATPGLHPVILLPGYSCSQLDARLTDDYEPPTPACGVRKQGRGWFRLWENYTALQEDPALLPCYQDQLHLVYDHAAGDYRNAPGVETRVVSFGTTRSFRFDDPVQKNACLERLVEALEGAGYKEGSNLFGAPYDFRYAPAAPGVAARSFAGFRSRLTRLIERASEMNGNKPVIIVTHSFGGDYALEFLHQSPLPWRRRYVKHFVVLCAGIGGSPVIMQVVASTMGSPPPPPTLLGTVLSLGNRSFEVIPFFLPSPKVYGDRPLVITRAKNYSAENMPEFLAAVGFSDDEIARYRTRALPLTLNIRAPLVPMTCISGIGVPTIDRLVYSDANFTEKPQVVNGDGDGIVNLENVLALERFIGNDPAQPYFKSVFIPNTTHNGMISDDSALKLVVTEILKASQATS >Dexi6A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:6A:2318026:2323404:-1 gene:Dexi6A01G0002400 transcript:Dexi6A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTHRFSKGHPLGFVPDYRHGVETMGESKGLGSPSRIDSGSSCAPPKRKCVSVNSEEGEGASGLFNVRREVFRLPRMTAQDRKDLEMRFRDELAQVKALQNRLFPRGPAVSMNGGVAPVHPKKKVEKLKRSNSVQSDRGPPPPVAVAPPVASSFNYTSSFKSCGNLLKALMAHIWAGPFLEPVDVVKLNIPDYFDIVKQPMDLGTIQKKMNAGVYSTPREFAEDCRLTFRNAMNYNPVNNDVHLMAKGLSKTFETRWRLIEKKLPQPDKKPPQPDEKPPVREPAKKNSTKRDAVQNEEPIKKKPSKKSAPKQDIFQEEDLVDNPVLQPKKRKTSPLVSTPLLQLQDAPFVETVVPTGKRIMTSEQKYELSARLQSYGALIPDHVVEFIRSHADDCGADEDELELDMDALGDDTLFELQKLLDDYDRVNPPGNLIEEDNNEVESRSQYELINPSVCHEAGNELIDEDIDIGENDPPVPTLPLVVFEAETADRSSKHSTSSSSSSDSESSSSDSDSSSSSGSDADAKALPQNSGLQENVLHVDSLDQENGSLNTLNLPEQSTDPISVTADGEGENVCEKQVSPEKQIRAALLKSRFADTILKAHEKTLDQVSKKDPEKVRQRARLQAEAKAAEDTRKRAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSLFLKDLEMLGSVTGEQLTNSVGEMGPTDMPEPLGFRIGGNNPLEKLGLYMKNDEEDEEGDFTDEPVVDVEEGEID >Dexi6B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:6B:7806203:7807012:-1 gene:Dexi6B01G0006840 transcript:Dexi6B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINQSTGLPDFAHRTTEPRMFNSSDVSKYAPQTDLFKNRSDLEASAYLQDDRLVIECIVTIIKDLKVSETKLHRQIVVPPSDIGKHLGKLLETEEGADVTFSVRGETFAAHKLVLAMRSPVFKAKLYGPMREGSAQLVTIEEMQPAVFKAMLHFIYTDSLPDTDRIRGDAEMIRNLLVAADRYAVDRLKLVCQSILYKNLHVKNVATTLDLAYQHDCKLLQDACLEFISSSNVIDAVVATQGYKNIKTTSPSTLADAFEKMMTLHKT >Dexi5B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:5B:22472698:22477596:1 gene:Dexi5B01G0020240 transcript:Dexi5B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAVLVGDLPAAKESLLLLLLLLVLLRHMFITGPRRTTRPLPPSPPWLPLIGHVHLVGALPHVSLRRLAERYGGLMTLRLGVVPTLVASSTRAAQAVLRAHDRCFASRPSSVCGDVLSYGRLGIALAPYGEGWRQAKKLAITHLLNASKVQSYRAAREEEVALVISKIRGAAATGTAVDMSELLSKFTSDMVCRAVAGRSFRVEGRDRVFRELIDESNALLAGFNLDNLYPGLATAAGGVLVRSARTKAERVWERWDRMIDKQIDEHATVLHEDQDCDFIHMLLSLQEEYGLTRDAIKAIVVVRSSIPKGQNTIFEDNLVGMTYLKAVVKETLRLHPPSPLLLPWVSLEDCDIESFHVPAGTSELVNVWAIGRDPKEWDAAEEFMPERFIQNGEVKGIDFRGKDFQLLPFGSGRRMCPGINFALASIELMLANLIYHFDWKLPKGVDKIDMTEVFLLTVSRKEKLRLVPM >Dexi6A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:6A:20894994:20896612:1 gene:Dexi6A01G0013640 transcript:Dexi6A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQEEVSTKDLHQGYVEIWHHSLFHIKSSALLCAVGLGIPSAIHRLGGAATTSDIATETGVHHSKLSYLRRLMRMLRFCGIFTADEPSEGEDETIYRLTPVSQILVDQGTAPSTPYDMSPLLRVIVRPSTAVSTFFSLERWFRDAGDKTLFEVAHGVHPWTLTKHDASYNKAVNDSMVMDSSLFMDIMLKEVGGTDIFRGLTSLVDLGGGLGVAAMAIARAFPHIKCTVLDLEQVISQAPSSDGTVEFIVGDMFEYIPPADAVFLKLIFDCWDDDDSVKILRQCKREIPATDAGGKVIIVNCVLGYGPQDDVYMETQVLFDVYMMRYGL >Dexi5A01G0011560.1:cds pep primary_assembly:Fonio_CM05836:5A:8675382:8677842:1 gene:Dexi5A01G0011560 transcript:Dexi5A01G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLCRCPQEEEDEEKEGEQFRINHQVASENCPLNVESIVHMVGTEPVSRHDEAIIFPLHQLADATNNFSQDCLLGRGGFGCVYKATLSDGQVVAVKQLDLNGLQGNREFLVEVLMLNLLHHPNLVNLFGYCVDDLSPDQEPLDWKTRMKIAAGAAAGLEYLHDRETPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPGEDLMTAIGLRRNMT >Dexi8A01G0012210.1:cds pep primary_assembly:Fonio_CM05836:8A:21223998:21227837:-1 gene:Dexi8A01G0012210 transcript:Dexi8A01G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNPSLYAYLYSGDHDAMIPFIGTQAWVRSLNFPIVDDWRAWHLDGQSGGFTIAYSNNLTFATIKGGGHTAPDDEPERSFALFTRWISKRPL >Dexi9A01G0001510.1:cds pep primary_assembly:Fonio_CM05836:9A:803999:807121:-1 gene:Dexi9A01G0001510 transcript:Dexi9A01G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASATVVAMAKGDGKGKGVRGGASAGTNKVTQPPRITSNVKHNLRVLKFWKEYERRQISGPQPATRYRKKKVIKEDLPDDAGIYEDPSSTFQMTNEGLEIASPVILVDGYNVCGYWGKLKKDFLNGRQEIARQMLIDELVSFSAVREIKFVVVFDAANSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALMADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLIKEIKESEKELDLELKATRSKLHFH >Dexi2B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:2B:30156197:30156846:1 gene:Dexi2B01G0020000 transcript:Dexi2B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VADEFIKRRAETEWFVEGDFDTYVSQIRKPHVWGGEPELFMASHVFQMPITVYMHDKEAGGLIAIAEYGQEYGTEAPIQVLYHGYGHYEALQIPGKGGPRSRL >Dexi7A01G0017070.1:cds pep primary_assembly:Fonio_CM05836:7A:26873818:26876729:-1 gene:Dexi7A01G0017070 transcript:Dexi7A01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRSKNTPTSAAGCLPDEIVEQILLRLPASSTVRFRAVCRAWAALLSSPSFADAYAAAVERSSRASGLFVLFAPSPASPNAATAVYSCRGGAAAERPLFTIDRLRPGFLVASTKPCNGLVLLTDTRSFAYWVCNPSTGEFRRLPQQRRRRGGLSSAGLAYDDRTKEHRVVHLFCHEEDGESSTTGCEVYTLCKPSRPWRPVSVPRRLVDAATCALVFESAVTKVPPVFANGRLHWQIYPNMDMDDPRGLFPESIAYPYYIVSVLCFSVADETFGLVAGPAVDDMCCGLDDRYPAVPLHLVELQGSLCMVRDLRHHPAHGERLMEIWTLRDYCTSTWSLLHRVAMTPHLASGTRSPRFLTVLGYYLGRDGASSDKKILIATSQHKVHAYDPATGDVETLLIANKEDEEAVAGVRIGLYEDSLARTGGENRRQMEVASALTEILARLPVRCIAQCMLVCKQWHTLIVSESFTTSHLLLNTQQRGKKFVMVTSGRARESFFGFMPMEAWVGHPAAACLDDIVVCSKPCHGLNMISTSTDDYLCNPCTGSVRCLGTRGKFRRNNPQAGNVHPPPADHRRHGFAVASGRNVGLGFDRLTREHVVVEISRLESGVLACMLKASCAEYWNRAGEPPRPVTSMPPAHVDGTLYWMSSEPEDGDRFVVAFDIPARVFSLLPCQPCNGGAGSSSDPFLVELEGALSVFVAHVEEDMLRIWRMLEHGSWVNSYNIFLQDSNKHPGFSLRTGTVVPMEVAGGKDGNKQILLNTGRALGYYDTRTRAIDALYSMDPSSSLLQAAFPMLYEESLVCIQDDEQPDHVAPPVWDEASAGWESEQPSHYIFRWCERSGCHEPAATFAASCCRRALCRGCGDRCRDHGGGEGFHAEIPPGTASSVAGIREHLQLPLEHPSVPGPEYCYYYSMRDEDEDDVGRHVFVALKDLVRGRQPRRLVEFGYRTADGGKVIRETWIPCP >Dexi1A01G0023570.1:cds pep primary_assembly:Fonio_CM05836:1A:30188267:30188695:-1 gene:Dexi1A01G0023570 transcript:Dexi1A01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSFFSIEPLDCGETCRCAMDACSLCGKRLAGDLDIFMYRGDTPFCSEECRYRQMVGDGMGAAAKNKPCKTERPAMKEQPAGAEPARVQIAANVPVAI >Dexi3A01G0025160.1:cds pep primary_assembly:Fonio_CM05836:3A:20828941:20839356:-1 gene:Dexi3A01G0025160 transcript:Dexi3A01G0025160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAGGAPGAPSAGVLREHRGKRGRGTRRRHGGNLFRCSAGSLSRGAIGRRLDATGVDFAEVQGEFNSGKSTFINALLGRQYLQEGVVPTTNEITLLSYSDINSESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYIQQWKKKVVFVLNKLDLYRNSYELEQATAFVKENAKKLLNTEDVTLFPVSSRAALEAKLSYSKNSGGKHLMEAMFSDPRWRSSKFYELEDYLMSFLDSSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYERAVDDLTSIRDLVSGANNYAAKLEADSNSWQKQISSLVSIERAKGRSVTIMESILQLSNIDLIFTYTVKGKTGSSTRATSFVQNDILTPAFDDAVLSYFIHLKAFGTFGGLGIAGLSASLLTSVLSTTLEDLLALALCSAGGFFVLSSFPGRRKLAIEKVNKAADELSRKVDEAIQKDISLSANNLVRFVEVISKPYQEACQQKIDWLQGVQSELSAVEHKLQTLKVEIQNLHGS >Dexi5A01G0026550.1:cds pep primary_assembly:Fonio_CM05836:5A:30163013:30164832:1 gene:Dexi5A01G0026550 transcript:Dexi5A01G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVMRWLKHLLTGRKEEHRGLEGIPVGADWSDGPEREKRRWSFAKHRKSGADGGARSSGRLAAAIAVAEPPQVWPKEDARAREEKAAIMIQKIFRGYLARRALRALRSLVKIQALVRGYLVRKQAAMTLHRLQTLMRLQADSIAVKNASYRKSMEHEVIQMEVRMKPPATPSHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMTTRYNNTDHSSDYHHHRLAAPVVTTPSCSPLHGGKQQHQPARLSFRRSGEPRGGSGSKTTQNTPRFALSHSHESSSSPAKSIEQSLSSGTPRRASTRDRDALVSPRYMAGTASSAARTRCHSAPRQRLSLTGPGEAPPPRVSLTARAGTPGRRSCSHARGGGFCFRCSDATRTAGSSGISASDEVARDYYLDSFW >Dexi3A01G0019190.1:cds pep primary_assembly:Fonio_CM05836:3A:15029864:15031083:1 gene:Dexi3A01G0019190 transcript:Dexi3A01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGHHDPAPPACCSCCCGCAGAAPCYYPAAPSSAASDHLLHAIAAHLLLSSPAPAQPQPQPQAQTAPAPAPPPPAAAHHATNPYPYPQPYQYQYQQQEAKTHAYAYAHPPPPPPSPQQQLNPSGDHGHLLLHSLLRRVAALESALPRGFPAPPPARRPPHPNPRPRHAARYQEEEEESESEPESPPPPPRRRRPVRAGPPPSDSSERAARTIQAHFRRFLARRSQTLRQLKDLAVLRSKAAVIRGSLSGRRGCPDPAAVSEAAMSLLLRLDAIQGGDPMLREGKRAVSRELTRILEFVDKVLVKEHEHMAMGDALDTDEYHEGYNAAFVAGRPSVSKKKVSFSGNGQVHELNGDTENGKEVDDDSESRGLLSLMRW >Dexi2A01G0022400.1:cds pep primary_assembly:Fonio_CM05836:2A:34300130:34304359:1 gene:Dexi2A01G0022400 transcript:Dexi2A01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSPESRPPRMAANFWASSHCKQLLDPEDVDQVPAADRERGMTLEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMSEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQCAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRVDMNTVKNISMEILDFYDTYKIDPQRGPPEDKISPVLNKLLAKS >Dexi1B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:1B:19072886:19077450:1 gene:Dexi1B01G0013410 transcript:Dexi1B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITSCALRNIEMASRAFLLAASLMALTCFHATASDPSLLQDFCVVDKMSTVRVNGFPCKDIKDVVAEDFSFSGLHLAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAVLSSQNPGVITIANAVFGSKPSIADEILAKAFQVDKMTVDRIQAHGDIEMASRAILLVASLLALTCFHATASDPSLLQDFCVVDKMSKVRVNGFPCKDAKDVMAEDFFFSGLHSAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAGLSSQNPGVITVANAVFGSKPSIADEILAKAFQVDKMTVDRIQAKF >Dexi9A01G0046370.1:cds pep primary_assembly:Fonio_CM05836:9A:49782280:49783776:1 gene:Dexi9A01G0046370 transcript:Dexi9A01G0046370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLKNFLSGKKDRPLAGEVAAAAPPSSGATKEKRWSFRRPGQEGKAAVTTASAADIVEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRRAHMPASLAEDEAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLMAQSHLRAQRMRFSLHDHHHHPPRPRQSPQHPRHRRSYEMDRSCEENAKIVEIDIGEPPARRNKDRQLLVVEHHGGRCSSPAPSAMTELSPRAYSGHFDELSVATARSSPQHASESCPSYMANTESSRAKARSQSAPRQRTDALEWQPSRRKGTPPRGAKMQRSSSTSPWLAAGLRLDASSASLKDSECGSTSSVLTAATVYSRTRSLVGFEVRRALY >Dexi9A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:9A:5947365:5947676:-1 gene:Dexi9A01G0009790 transcript:Dexi9A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRVAARFHSHCPQTARMYYKPPHTQAATSSSSSPSAAADDDAEAKSFGCLHAAAPVMRPFAATLDHGALDRPTSHHHPHDFDTARVVVYEVI >Dexi3B01G0024090.1:cds pep primary_assembly:Fonio_CM05836:3B:18770608:18772482:1 gene:Dexi3B01G0024090 transcript:Dexi3B01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNCLLSAWYGLPFVSPNNILVSTINGAGAAIEVVYVVIFLVFASSRRTRLRMLGLASAVASVFATVALVSLLALHGEGRKLLCGVAATVCSICMYGSPLSIMRLVVKTKSVEYMPFLLSLAVFLCGTSWFVYGLLGRDPFVAIPNGCGSFLGAVQLILYAIYRNSGGKASGDGQSGKQPDPGDDDDVEMASNSKGRNKVAHEIDGGASKEDRLV >DexiUA01G0010330.1:cds pep primary_assembly:Fonio_CM05836:UA:20535445:20536013:-1 gene:DexiUA01G0010330 transcript:DexiUA01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGRHYYLAVDHTQFKTRTLLELLGVVADRRGGLPIAICVSSRDELDAVCAAVANLPFVSMSPLVNHNKFIT >Dexi3B01G0019720.1:cds pep primary_assembly:Fonio_CM05836:3B:14708666:14714987:-1 gene:Dexi3B01G0019720 transcript:Dexi3B01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRYTEQEEALEIKSLRRIIAAYANYQDAAERDVKRYERSFKMLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEDEEDQMAGCSQPVGSNLGTSQGVDVSCNGDTNARAAAYYQDKDVYSSSAVDNVTPRHCTGSLFKLNVPPIDVDKVRCIVRNIVRDWAEEGQKERDECYKPILEELNRLFPNRSNQRPPSCLVPGAGLGRLALEISSLAPKRPMNGRYILGYTVTAILFQTMINFGLFHFLIFIPQGITEGFSMCAGDFVEVYSEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGDMSIELSLEDVKKVAYHYGFVMEVEKMIETTYTANLRSMMQNRYRAAFWTMRKNASREKPC >Dexi5A01G0016170.1:cds pep primary_assembly:Fonio_CM05836:5A:14461453:14461965:-1 gene:Dexi5A01G0016170 transcript:Dexi5A01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARTAAAVAVRAATRSAPLTGRVLGAPLPSLASPSAARSARILRRSAAAASAGLETLMPLHSAVAAARLRSCIAVDSTCWSSLSQGC >Dexi1B01G0013310.1:cds pep primary_assembly:Fonio_CM05836:1B:18833550:18836404:-1 gene:Dexi1B01G0013310 transcript:Dexi1B01G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASRVESWVRDQAARLPPWAAALPQAPRWPWPPPRPAWPWPGDRKRQRDRMLREEYERRRRQLRELCRAVRVDTFAELQELFCAMVLAECVYKRPVSEMMRYINKFKSDFGGTVVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTKQYKDIIADVNILQGTIFHEEAAQDFAPDVDSEQNDAPKGEENLGKSYREASKKLRKSKPAAHRGFMARAKGIPALELYNLAKKRNRKLVLCGHSLGGAVAALATLAILRVIASSPSKEDNRLHVKCITFSQPPVGNAALRE >Dexi4A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:4A:11782724:11786988:-1 gene:Dexi4A01G0012890 transcript:Dexi4A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGEAAYGAGVQKDPQQFVPSSLLYNPNGGAVYRKPAIPPFYQQPAASNAASPPMPAHSPASGEPFKRKRGRPRKYGLPDGAMPLAVVPPCPPAPAPAGAGNNTGASPTLPPGFTPSPQGMSAVSPQASPAAPPPLPASNASHAKKKGRPLGSTNKKRQPQLAAPGSGLAGLQPHVFTVQAGEDIASRAMSFSGNGWAVCILTANGAVSNMTLRLGDSSGATVTYEGCFEILSLAGSYLLSESAGMSSRTGGLSVSLAGPDGRVLGGAVAGPLVAASPVQVVIGSFLADGKLELDPGSAPEKPVFPGFPTAGSPSSRCAESSGGHGSPPNTAGSFNTGSQPSFANYLPWK >Dexi7A01G0012450.1:cds pep primary_assembly:Fonio_CM05836:7A:22648552:22648887:1 gene:Dexi7A01G0012450 transcript:Dexi7A01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPCYSLQFADGAGRAAYLVGRGGGSEQEVLWEVAAVNGRSARQEPMGEQERHADHGARRHPLPPSARCYLTHCLGLSLSPHAPSPGAMRSKQIEWSAGVPLKEEVDVD >Dexi5A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:5A:14299341:14302742:-1 gene:Dexi5A01G0016110 transcript:Dexi5A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLMITGMSSSVLALLLVFAASGIGTASAQAAGLKKGFYKKSCPQAEDIVQKVVWRHVAGNRELAAKFLRMFFHDCFVRGCDASVLLDSPTNTAEKNAVPNLSLAGFDVIDEVKAALEQACPGVVSCADIVALAARDSVSFQYKRNLWEVETGRRDGTVSSAQQALANIPAPSSTFDILLANFSRKGLGLQDLVVLSGGHTIGVGHCNLFSSRLFNFTGKNNPSDIDPSLNPSYAKFLQGQCRLNLQNPNDNTTVVPMDPGSSLSFDNHYFVNLKAHQGMFTSDATLLTNGRAANIVDKLQDPGVFFDAFKNSIKRMGQIEVLTGTNGQIRKKCSAVN >Dexi2B01G0027660.1:cds pep primary_assembly:Fonio_CM05836:2B:36493292:36494249:-1 gene:Dexi2B01G0027660 transcript:Dexi2B01G0027660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAATVLTVLALGLALLCAAGPAAAQNCGCQPGYCCSQYGYCGTSAEYCDIGCRGGPCTSGGSSSGGGDGSGVDMASVVTDAFFNGIISQAGAGCEGSNFYTRTAFLNAVGSYSGFAQGGSSDDGIREIAAFFANAAHETGHFCYISEIDKSDIYCQSTNTQWPCVAGQAYYGRGPLQITWNYNYGPAGQAIGFDGLRNPGAVAQDPVVAFKTALWFWMNNVHQVMPQGFGATIKAINGALECNGNNPSEMNDRVALYQQYCQQLGVSPGSNLTCY >DexiUA01G0020170.1:cds pep primary_assembly:Fonio_CM05836:UA:42100337:42102058:-1 gene:DexiUA01G0020170 transcript:DexiUA01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMEGKGDASATPVRTSDRLRQRPKYYGRGYMYYKPAMRKKVKSKKRTAASQIAKKLLRKPAARPPPDDSIAANLRRSTRKRRISVNLEGFDTDSSSMDDDDLMRPRYRPSKSKGENNAAHDGVSARPKRQKLSNSIPRREGLRPRRSLRGQRLHPYHESEDEEESSEEQGADDQRENGNDIEEDVGDDDEVDGGDEAEADGDGDDEDGEEEQEGRRRYDLRDRSE >Dexi8A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:8A:2240951:2242213:1 gene:Dexi8A01G0003110 transcript:Dexi8A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRHLPPVLFLLFFTLSLPSLTYRHRHLLLLPRAPSSSQQHRAGDDALLRRLASIDAGGDQVLADAAALLANASISSFSHSPGGHNGHRLLLIRLPCSSSYTGDNDTTTASCRRRRQITTVSTLRVPSDMLPNDTSLLAAFRSSLRSFLRSRHRISDDPNTIAGVMHDLPTLLGNRRRFPTCAVVGNSGILLNSGRGAQIDAHDFIIRLNNAPASAGFVSDVGAKTSLTLGNSFVLRRCFVPSASTTPGCNCHPYGRSVPLTMYVSQPVHLLDAIACAATATATSPFLLRLTDPRLDVLCARIAKYYSLRRFVAADTGEGWEGWRRGDGRGGRMHFHYSSGLEAVVMALGACEEVSMFGFGKKEAARHHYHTGRKKETEVHDYEAEYEFYRELQERPEMVPFLDEVPGFKLPPVRQYW >Dexi1B01G0026770.1:cds pep primary_assembly:Fonio_CM05836:1B:31571665:31573296:1 gene:Dexi1B01G0026770 transcript:Dexi1B01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAAAQSSSKPHAVCLPYPAQGHITPMLSVAKLLHARGFDITFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSEDDDVTQDIPSLCKSTTETCLPHFRRLLADLNDPSTGHPPVTCVVSDVVMGFSIDAAKELGVPYVQLWTASTISFLGYHHYRLLMSRGLAPLKDVEQLSNGFLDTPVEDVPGLRNMRFRDFPSFIRTTDSDEFMVSYVLKETSRSAGASAVIVNTFDELEGEAVAAMESLGLARKVYTLGPLPLVAREDPFSPRSSIRLGLWKEQEECLQWLDGRDTGAVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLETICGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDNNVRRDAVASLITELMEGEQGKEMRRKAREWRDKAIEAAKPGGASQRNFDDLVRDVLLPKN >Dexi9A01G0023630.1:cds pep primary_assembly:Fonio_CM05836:9A:19047741:19052330:1 gene:Dexi9A01G0023630 transcript:Dexi9A01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPTDGQFMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVQFNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPIAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSW >Dexi6A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:6A:8182359:8188701:-1 gene:Dexi6A01G0008110 transcript:Dexi6A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFGASAAGGSSSAHGASTVAAVMSSSVGVTSAPLPITSVPFPRSPSQLPRHMGGVTADMGGRPRHPLPPPHNASSGAYYHGGGRQGSGYQGGDVGVVQIILVIMEQLQSRIDEESHGDNLIATLPTREGWSTPLTLFKKCWLRPQVLKNCLPVQDKFMPRSEDIILATHPKCGTTWLKALAFAITNRVHYALADHPLLKKNPHKVVTIIEAQFLSERGGDLDYIESLPSPRLLATHLPLSLLPSGVCKAGCRIVYLCREPKDAFTSMWHFENKVRKGDPISLDEAFNMFCEGCSSFGPFWDHYLQYWKENLARPQEVLFLKYEEIMLDPLKAVRKIAKFLDFPFTEEEESRGVDKELVRFCSFEVLSNLDANKTGGVERPGNMFIEHSSLFRKGEVGDWVNHMSKEMGEKLDMLIEEKFKGSGLQF >Dexi5B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:5B:19163657:19163917:1 gene:Dexi5B01G0017470 transcript:Dexi5B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLADAVAAASPTTVVGPRIASDVGVAAVVQAIADQIAITALLLPDNSPVALDLGRKASEKAHQAAEIEGCDRAVGAGWELGFAPD >Dexi8B01G0010460.1:cds pep primary_assembly:Fonio_CM05836:8B:19102032:19103736:1 gene:Dexi8B01G0010460 transcript:Dexi8B01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVLDVERALAEHSDASSESSSRWQRHCIYRVPACIKHHNPKAYKPQVVSLGPFHHGDSELLPMEDHKRRALRHLLRRSGRRLEAFRAAVQDAGEQLEDAYQDLGVEWSGGGGRERFLEMLVVDGCFLLELMMVAGAGEDEGRSSGGGGGGYAPNDPVFSRHGMLYMVPFIRRDMLMLENQLPLLSHDEINRMVLRFVSPSSRPPPASASELGLHPLAIYHGSLVHGQPYRVSGRRDVPDTGGAEIIRPATELHEAGIKFKKSWTDSLRDVRFFRRGGVLSVPAMSVDDSTEYALLNAMAFERLHAGGVAAGAGNDVAAYVFFMGKLLDSARDVALLGSMGIVQNAAGSDEAVAKLFERMSKDMVLDPSETELDAVHRQVNAYCRRRRPWRMCGGGSGARQSRPDACLRTPWVLFAIIVLLVVVIVQTIYIVLQFYELRHR >DexiUA01G0001260.1:cds pep primary_assembly:Fonio_CM05836:UA:3680735:3681171:-1 gene:DexiUA01G0001260 transcript:DexiUA01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEELGQYAEKFQKTGFTGPLNYYRMLETNWRLTAPWSAAKIFVPAKFILSENDVGLKSFGTEKYVKSGGLKSNVPNMEVSIIEGHHFVQQEKAEKVNSEILSFLDKFAGEEASA >Dexi7B01G0008890.1:cds pep primary_assembly:Fonio_CM05836:7B:16575867:16579922:1 gene:Dexi7B01G0008890 transcript:Dexi7B01G0008890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSTTPASRTAISLPSASPASRRGSPALRRLLALPAPSAPRRRLGLPPARARRPMTAVASAAMPVAAPEPKARVLVAGGGIGGLVFALAARRKGFDVVVLERDMSAIRGEGRYRGPIQLQSNALAALEAIDTAAADEVMDAGCVTGDRVNGIVDGVSGSWYCKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFVDDGSKVTAILEDGRKFEGDLLVGADGIWSKVRKTLFGHSEATYSGYTCYTGIADYVPPDIDTVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKEEAGGTDPENGKKKRLLEIFSGWCDNVIDLINATEEDAILRRDIYDRPPTMNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELDNAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKIGMPAMLSWVLGGNSSKLEGRPLSCRLSDKANDQLYQWFEDDDALEEAMGGEWFLFPTSEGNSKSLQPVRLIRDEQKIISVGSQSDPSDSASSLTLPMPQISERHATITCKNRAFYLTDLGSEHGTWITDNEGRRYRMPPNFPVRFHPSDVIEFGSDQKAMFRVKVLNTLPYESARRGKQQQQQVLQAA >Dexi3A01G0015370.1:cds pep primary_assembly:Fonio_CM05836:3A:11430936:11433941:-1 gene:Dexi3A01G0015370 transcript:Dexi3A01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPVPFSQKFPNADPLALKLLEKLLAFDPKDRPTAEEALRDPYFKGLSRIEREPSCQPIRKVEFDFEHKRMSKEEIRELIFREILEYHPQLLNSYMNGTERTTFLYPSAVDQFKKQFSHLEENGGSSPSVPMDRKHASLPRTTVVHSNPIPAKEQPLAASSRARSVSDDSCKNPWEKERAPGNVPRASLPPQGLQAQAGPGRLNGSVMNSGYPSHQQFPQAYGYHQMPAGLNSTSQSKAMGGYTMHSQAHACANSKGTADVSVKMRAPPFHVPAAPKNNPLDRIASGTDIYTRSLNGIVAAAAASVGTGTGTHRNVGVVPSGVSRMY >Dexi4A01G0005470.1:cds pep primary_assembly:Fonio_CM05836:4A:3968437:3969762:-1 gene:Dexi4A01G0005470 transcript:Dexi4A01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKYNGEWSTFEINMVKSLIARYNANNSYANDMNKKHSDIVNEVRAMFPMKEMHQAIGLYVDLVVEMMQSSATGTGDSSRHSVVASGDLVELPMEDLAMDNMDMLLGYPTMDKDPLRVAQVVPRRQRAPRMERSNTRFWTKAEHRLFLRGLQVYGRGNWKSISKYFVTTRTPLQVSSHAQKYFRRLENAAHRQRYSINDVGLYDAEPTVENNTSNWEGITFTGGAYYNPSHYGASGQHATMNNLSQVQLPIVYHSSQASPSSQAAAWVGDQQMGATSFYAAPMMEGHGGSQAAWAGDLGELFDGLNMDMF >Dexi5A01G0032180.1:cds pep primary_assembly:Fonio_CM05836:5A:34761949:34763011:1 gene:Dexi5A01G0032180 transcript:Dexi5A01G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVLEVHLVDAKGLSGNDFLGKLDPYVIVQYRSQERKSSVARDQGRNPCWNEVFKFQINSTASNAHHKLILRIMDHDNFSSDDFLGEATIDVTDIISLGAERGAYHQSAAKHSVVLADQTYHGEIKVGVTFTATQGVEDGEEIGGWRHSS >Dexi5B01G0031730.1:cds pep primary_assembly:Fonio_CM05836:5B:32408197:32410063:-1 gene:Dexi5B01G0031730 transcript:Dexi5B01G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPQPGPYAASLPAVPAWLNKGDNAWQLTAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGPALAEGFLVARASFPATERRAADGSLVAPRTEPYYPEASLVLFEFQLAAITLVLLAGSLLGRMNIRAWMAFTPLWLLFSYTMCAFSLWGGGFLYHWGVIDYSGGYVVHLSSGVAGFTAAYWVIKLGHTREFVGPRLKSDRERFAPNNILLMIAGGGLLWLGWAGFNGGAPYAPDIIASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCVTAGAGLVHTWSAILMGVCGGSLPWFTMMILHKSSALLQKVDDTLAVFHTHAVAGLLGGVLTGFLATPDLTAIHSHVPGARGAFYGGGIAQVGKQIGGALFIVAWNVVITTGILLAVGLVVPLRMPDEQLRVGDDAAHGEEAYALWGDGERFDVTRGEAAARTGAWGNGNGVMEEMVDHRLDGMGARGITIQL >Dexi4A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:4A:1112073:1113304:1 gene:Dexi4A01G0001690 transcript:Dexi4A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSFPLPIPPALLHAAAALALAALAHFLHLPSLFLYSLHTYIHPDAVPSNTPRAVLRPPGSDAGGPTKGSKRAAAASKDAFDATSAQLYRLRLSHSTLASRPHFGAYHTSLLLPLALLPPALLLPPAPVASPLVPAAYLFVALLRHVVVPSPRPAQLAPALGALLVTTLLSSSPFAGALASLAALPAVRFALAFWLGTDQPRTGLAVLASSAPARLLLHLAVLVSSVASILQCCGFVDGAEQEVRLLAAAAGLQLLAARAAVQMYLNEAVFCWYQRLHSSRSPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDFFEGVEGLDWLVGWSVAMKEAALLAARWIVAVWSATKLVYHEVTSQAG >Dexi9A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:9A:6532433:6533840:-1 gene:Dexi9A01G0010680 transcript:Dexi9A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHASHFDSSDADQREAKVVKYFLDPRSIEKVKFVYLKDEESMKVMHKYIDPNVLPIEFGGKSDIVYNHEEYSELITKDDIKTASIWAADAKTDHVNHGIGGTLVPEFTTQPSLIAA >Dexi8B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:8B:16845990:16846658:-1 gene:Dexi8B01G0009490 transcript:Dexi8B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATGRWRRGGRSAPRLLERTLLVAALSAAALIFLLLLQHHHSPKPPNPSTSRDRVFSDELPDESLPGVSVADVGDAVLAGDGATCATVERMGEEAAGARRGTPEQASLRVREMIRRHFELQAAVSLTMSKH >Dexi3B01G0024960.1:cds pep primary_assembly:Fonio_CM05836:3B:19735132:19741099:1 gene:Dexi3B01G0024960 transcript:Dexi3B01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding AISISVNGWSDAGHAVNHLYDILYMMGRDDILVGVGGDGGISNSGTINPNVGGYLPLIDQGMTTVGGCRYRQAIPLEGGGRLDKDTNFGIRRGFLPQVFHSGIPITLVPLDATNTIPINEEFFYEFQRHQNTYEAQYCFNALKMARDTWFDDQFYTDGYTKEVSSPEAAHIRVATKAKPNMDKYSPLDRKFFKSFLEALNIHDNSGRFNIKAQFPFNGDVFYKPDFKNKRTGRPVIIDMDMSPGDFISLIYLLKAPIEVIDLKGVLVSGNGWAHVASIDIIYDILHMMGRDDIPVGRGNTTALDTPTLGCNYFSIIPEGSGGFIDSDTLYGLARSLPRSPRRYTAENSVKHGAPRNTDHPELRQPLAFEVWLSIKEQLDPSEKITILSTGPLTNLANIVLSDKNASSFIEY >Dexi2A01G0023390.1:cds pep primary_assembly:Fonio_CM05836:2A:35109021:35112220:-1 gene:Dexi2A01G0023390 transcript:Dexi2A01G0023390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGENMLFACSGITLPHGTTILELTRFVTSACLVREFNEKRNRDDKLRIQVRLPDNTTTDGFMGLYDADIAIVTCIGKLCAHPVDLCLEASPDCPDGHVLAAGRAFSSGGFMAMSGSLSNESPNILLSDGPCFTEAALGGPLVGIDGRFHGMIFDLCHDAGESIKSAKFLSLKSLYDRLELFQILNPKQLHFRGYKLPKGVSSVVPSGFMKTSYRIRSLGYPMPPPLVLELNGKLRNQFEDRFGELRAWKGYPFGDLPNGPRERAWNKLQKEVVTNISRRVVSLASFNRDDTRSFACTGLLISMQRRNSIRTVVLTSASLVRSHDNEDKIDKNLRAGIGGPLIGFDGSFVGMNFYDGSNLTPFLPRDKIINVLANVWEIPSERGYNPWHIDLTEGKEENRWPVPEPYWFHGSLDVDKYDVPELIGRKLD >Dexi4B01G0022620.1:cds pep primary_assembly:Fonio_CM05836:4B:24156009:24159002:1 gene:Dexi4B01G0022620 transcript:Dexi4B01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTARGEQKQAILPFYSAGGRDLPRSAGKSIPTTASEHALYSMDARCVVRHHPPPPLRLLPFSSPTLGCRRRVAIAVVRRCSAAAGDQHHQHQERPWESYDRDIQSHAGSDLSRSLGLLADMQAAGARPSAAAYGRLIRALARAGRTLEAEALLLEMRRLGPRPDAAHYNALLEGLLARAHLRLADRLLLQMADDGVARDRRTYMLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTDGYSMLVRLYRDNGMWKKATDLVMEMQELGVQLDVKIYNSLIDTFGKYGQLADARRVLDKMRAEGIKPDISTWNVLIRWHCRVGNMKRALRFFTAMQEEGMYPDPKIFVMIISRLGEQGKWDEIKKLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDAHECIAALKAENTRLSPRIFCVLANAYAQQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTYMRAKKFEKVSEVYREMERAGCTPDRKAREMLHDSSVILEQRGCIY >Dexi6A01G0006910.1:cds pep primary_assembly:Fonio_CM05836:6A:6716843:6717232:1 gene:Dexi6A01G0006910 transcript:Dexi6A01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSRRRRKKKAMATPAPQEVRVDWSTLPHDILYSIFLMLGQHEIMQGAEFVCAAWRRVAVGEPTLWRRVDLADKFLWLPSSPAAESAMIRAAVDRSAGQCVSFWGPLDDDLTHHLVERYHLLLHCC >Dexi4B01G0021350.1:cds pep primary_assembly:Fonio_CM05836:4B:23343958:23345082:-1 gene:Dexi4B01G0021350 transcript:Dexi4B01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPEVYGDSRTYFYTKWTNDGFQSTGCLNMECPGFRPEKGAAIAPGQVIDHVLEPKATKPKLNLKIIKDGTSGDWLIHAGLNREPALIGSFPRSLFTDGFSDKANGVLFGGVVTAPITNPPPMGSGYLPTSENSAASISNIQLIDQNGRGWPLTGDLPKFETNGNAYAVSPIVNGKFFYGGYEHPKK >Dexi8B01G0015110.1:cds pep primary_assembly:Fonio_CM05836:8B:25901839:25902633:-1 gene:Dexi8B01G0015110 transcript:Dexi8B01G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLEYLHKSCSPPLIHRDVKTQNILLTASLEAKIADFGLTRAFSSETRTHTTTRPAGTLGYLDPEYYNTSHLSEKSDVFSFGVVLLVLITGRPAITVDNNERTNVAHWVRGKLSEADIESVADLIIREDCDLNSLWKATELALRCTEPAGRDRPTMTEVMEALRESLQLETSSRSMKSGSVGTNGSVVDEEIESVIGAIESERTV >Dexi2B01G0026980.1:cds pep primary_assembly:Fonio_CM05836:2B:35986791:35988724:1 gene:Dexi2B01G0026980 transcript:Dexi2B01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSSSSAVAMAPIAKAVDAYRKAVATATAYVVLARGMARELLPHDLRAAASWAASLLRARLEPLPAERRTLVIKRASGSSHHHDDGGLYDEARQYLATRIDPHSMRRLCLSGGVYGAKKVLSMEHGDSMTDVFEGVRFTWASVAGEGRHGPSSESLELSFDAEHTDMALGRYVPFITATVEEARRHDRELVIFMNEGSHWHGIEHHHPATFDTLAMDPQLKRSVIADLDRFLKRRDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAIANYLRFNLYDLDLSEVRGNTALQRLLNGMSNRSILVIEDIDCCFSAATREDGKDQAGDGASDDSSEEENTPDPWDTQQQQHNITLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVDDHPTFPAIQELLSAVEVTPAEVSEMLLRSEDADAALQGVAKS >Dexi7A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:7A:20032994:20034399:1 gene:Dexi7A01G0008810 transcript:Dexi7A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLPRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTTSK >Dexi9A01G0025020.1:cds pep primary_assembly:Fonio_CM05836:9A:22114707:22117812:-1 gene:Dexi9A01G0025020 transcript:Dexi9A01G0025020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESFRVESPRVRYGAGEIESEYRYDTTEVVPPADGGAGWVVRPKSVTYNFKTSTAVPKLGVMLVGWGGNNGTTLTAGVIANREGISWETKEKVHKANYFGSLTQASTIRVGSHKGEEVHAPFKSLVPMVDPNAIVFGGWDISNLSMSDAMARAKVLDINLQKQLRPYMQSMVPLPGIFNPDFVAANQGARANNLIQGTKKEQVEQIKKDIREFKGKNKVDKVVVLWTANTERYSSVVAGLNDTMDNLMASLEKNEADISPSTLYAIACISEGVPFVNGSPQNTFVPGLIEFAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSGVVDDMVASNPILYSSGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLENK >Dexi2B01G0029490.1:cds pep primary_assembly:Fonio_CM05836:2B:37867643:37870862:-1 gene:Dexi2B01G0029490 transcript:Dexi2B01G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPKADGGGGKKHKESKPKEAKQKKGKKPNPFSIEYNRSAPAGGVPRLAVLREPTGRDIAARYELGGELGRGEFGVTYLCTDRESGEALACKSISKKKLRTPIDVEDVRREVEIMRHLPKHPNVVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWADHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEAADIKDMFEKMDLNKDQMLNFDELKLGLHKFGHQMPDADVQILMEAADADGNGSLDYGEFVTLSVHLRKIGNDDHLHKAFAYFDRNQSGFIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQITNTR >Dexi4A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:4A:3285556:3287296:1 gene:Dexi4A01G0004660 transcript:Dexi4A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPARVQALAETGVSRLPAQYIQPPEHRRAPPSSSPVAAAPSVPVVDLSSLAAADAVRAACADWGAFHVVGHGVPTELLDAVRGAGLAFFRAPMEDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTFPESRRDPAHWPDFVPGYRDTIVKYSNSMKDLAQRLLRVISGSLNLPPSYIEEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVPPLPAGILVILADQTEIITNGRYKSSVHRATVNAERARLSVATFYDPSKSRKICTAPQLVSKDERQKYRDIIYGDYVSSWYSKGPEGKRNIDALLIEQ >Dexi7A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:7A:13853064:13854267:-1 gene:Dexi7A01G0003770 transcript:Dexi7A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAAWSPTRWRPCRTTASTSSPCSPSSMTAATNHGDVVEPDAVASTTADTVAGGCGVAEPNGCGRATWRPRIELHSGPPRFPRHRLHHLSRGRRRRKRPRWWRIRFASSEMRLLLEMIRFRFRGFFL >Dexi5B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:5B:2459997:2469271:-1 gene:Dexi5B01G0003630 transcript:Dexi5B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRPLLNLRLLLLLGVALGNAGCGRGGGAEAPDRAEPDPYSILTWHDYSPPSPPPPPPPPVAPAATCAGDLHGKGDFRTRCEVSEEVKLRGDVYITGNGSLVLLSGASLTCEKAGCVVSANLSGEVRLSRGVRVRAGRVTLVAANITVADTVVVNTTALAGKPPDRTSGVPTGTHGDGGGHGGRGASCFVKDGQTQEDSWGGDAYAWSDLQHPCSYGSKGGSTSVEKDYGGAGGGIVWLFAQDLVMNGTVLADGGDSNEKGGGGSGGSIYIKAATMHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTRILVHVNVSTVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGNGDTIEAQRLILSLFYSIQVRYSFQLFVGAGSILRGPLVNRSSNDVAPKLNCGDDSCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLIQGTVIHFNRARSVTVHKSGTISASGLGCRTGVGHGKMLSSGISGGGGHGGKGGNGYYNGSYAEGGATYGNADLPCELGSGSGNDTTELSTAGGGIIVMGSWEYSLPSLALYGSVESNGGSYTNGSIGGPGGGSGGTILLFVHTLSLAESSILSSVGGFGSAGSGGGGGGRVHFHWSNIPTGDEYVPVATVKGSILTRSGLILYLVFGGVGKGKGFAGGNGTVTGKACPKGLYGTFCEECPLGTYKNVTGSSKSLCFPCPPEELPHRAMYTNVRGGAAETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHSPPEQITEIVYEDAFNRFVDEINTLAVYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKAVPSWIWHRLVAGLNAQLRLVRRGNLRVSFLPVIDWLETHANPSLAVNGIRVDLAWFQATALGYCQLGLVVYAVEGEPAVAEIDGSPRIKLEQQQRTLILLV >Dexi1A01G0022020.1:cds pep primary_assembly:Fonio_CM05836:1A:28746452:28747112:-1 gene:Dexi1A01G0022020 transcript:Dexi1A01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLYSFEEVSKHSGRKDCWLIIAGKVYDVTAFMEEHPGGDEVLLACTGKDATTDFEDIGHSNSAKELMPQYCIGEVDAATVPAKRIYANSDAGTKNNAATTSGGAWGMLLRLAVPVLLLALVLATQSYGKAKAE >Dexi9B01G0039640.1:cds pep primary_assembly:Fonio_CM05836:9B:40325146:40330629:-1 gene:Dexi9B01G0039640 transcript:Dexi9B01G0039640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDAFGSSTAPLAWHDFLERMRQPSAAEFVKSIKSFIVTFSNRAPDPEKDSASVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLYNRVFASVPEDVKSDEELFEKILSLKDPVQSSGQDTRRDSDVSMSSKPVERVQSISDLEKKGATELLNDDDLNKKFQDYPYLFARAGDLTIADVESLLNSYKQLVVRYVALAQGKGVSPETTLAQSGQTSSDLIVSEEPENVNSVANNNENGEGISKTYDDVTSGNHHSEVVDTEASEQMTYKTAADTSDDSKVSKDEALHQPENA >Dexi9A01G0015710.1:cds pep primary_assembly:Fonio_CM05836:9A:10534077:10534283:1 gene:Dexi9A01G0015710 transcript:Dexi9A01G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTVVVAALEEDARAVGGGDVRKRCARWPARGAWRACELGKMRQRTHPRDRAAELAMPEFAPTLIR >Dexi9A01G0044290.1:cds pep primary_assembly:Fonio_CM05836:9A:47900322:47903219:1 gene:Dexi9A01G0044290 transcript:Dexi9A01G0044290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAQCPYCRASGPARCATTQPPLSRTVSECSVCARIVLERHLHTHPFFPLLPSLHPLPLVTPDLATALERALAVDSAAASSNLDPSGPMVSVDHLRAYLQIVDVASILKLDRDIADHAFDLFKDCSSATCLRNRSVEALATAALVQAIREAQQPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPADYTPATPPEKAFPTTTIYSGRSSSGKDLYQDKIFDSSMKQKGPEPAEPDHMVIVKEEEDKKFSAPGRPPAKLEPHELSKAFWPPNAPFSTSPKSDRDKTETSVRGFNLNESCPMDSDRADITVKPNFSDRSTNESNMQPPPNRQQPLPWQLKQGASATAPSYSRLREQHLGLDLVAALKGTGKRSAGDGGDGRDKEGK >Dexi8A01G0009710.1:cds pep primary_assembly:Fonio_CM05836:8A:13545162:13557929:1 gene:Dexi8A01G0009710 transcript:Dexi8A01G0009710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRVLRLPFGTATLLLLLLAGGAAADDASSNDDAGAPSTPGCSNKFQLLSLQVKVKHWVNGTEGPTIVGLSARFGAPLPREMPEAQKSFAVLANPLDCCSNLTSKLRNFVALATRGECAFTTKAKIAQASGAVGLLVINDNEGRPLSLRVGMLESRLFGAASSQPPFPYVALPFTAPSSALSTTMAIPTMNKKIFLFSAVEIQLYSPNRPVVDLSACFLWIMAVGTIVCASLWSEFVACEQVDEHYNQLTRKAMHVCLVTLLARIFKDCGKRTVSLPILGEVLTLSVGIVPFCVVFAVLWAVYRHASLAWIGQDVLGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIALSSVLRIEHRLLLRSVGSLHPPLSVLCSLHDAVATPVVASPAAAAPPAPPIVAAVPLRLQSPPRLQLLRLPPPLRLQLLRLSPPPPSSL >Dexi5B01G0005230.1:cds pep primary_assembly:Fonio_CM05836:5B:3481479:3481865:1 gene:Dexi5B01G0005230 transcript:Dexi5B01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVSGSRCLKLLLLLALAPLALRAASLLLLGASHAAPPPPPNRLFPIGNRRGSGGDASDAAAAAAVRASVSAAGSAHGRRPYTSQTRHRRRRTQSSLAAVDGGGGGWFEDDKRLAPTGSNPLHNLR >Dexi7B01G0014010.1:cds pep primary_assembly:Fonio_CM05836:7B:20412351:20413448:-1 gene:Dexi7B01G0014010 transcript:Dexi7B01G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDGCTPEALVAAMTRKSSNGHVIGVPVTAKAYGIEEASTDPSSFRKSDGDHLAVSLTHPSPYASFGYKHSSKGQVTHWVSKLSRRAQGFREHVTLSPKLSETVKGKLSLGARILQAGGVERVFRQAFSVDKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPITVTSPRGDTARVTYKVAIPLRRIGKVRPSENADRPEEKYIHVATVDGFEFWFMGFVSYQRSCRYMQQAVSELHQ >Dexi5B01G0035000.1:cds pep primary_assembly:Fonio_CM05836:5B:35125482:35128714:1 gene:Dexi5B01G0035000 transcript:Dexi5B01G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISELSPEYRQPPPHAGLLTDLNRVVADAEAFEAPDSPTPEKLAADLRRILTSLASAASSSPGLDEGFRLKVWNLAFCLWNACVDRANYGFPEARVVEVEIRQAAPELLLVAGLPKDIPSGPAKVAAFFHRTGLIWLDLGRADLASACFEKATPLVSAADTVEDRAVLLHLNLARARAGSRAGEHALAVALLSRSKPLAAASPEGVKALAAEYLHIGKAVLSRKPPDPALDASNLLTEALDLCEKAAASPSCTAPTTQGSTPATPNLQLIKDQCLRFLAVERIEAKDYEGTLRCIRVWRDSQGLGEEHPSIGYMALQACLGSGNLAEAERELERLMANAGAPDCVCVTAAELYLASTGPDAAFKVLLVLAARCRAGAAAAAVRVLKKVVESAGGGTGRARVITEIASDERVVKLFDGPTNTHERSTMHALLWTCGTEHFHAKNYEISADLIERSMLYVSRDEESRSRRASCFRVLCLCHMALRHLDRAQEFIIEAEKVEPSIRCAFLKFKILLQKGEEDEAIKLMKTMAGYVDFNPEFLTLSIHEAIACKSVRVAIAALTFLLGLYSAGKPMPMTEAAVLRNLIALLLREPGSEAEILKYSRRAKLRMSELGMEAIFGKGNVGLCERNWFAVMMWNMALKMGKEKKYEYCTQFFELAAECFSSGNGEDDANSVLVCKSLIMSVGAMLLVEELKKSPLSDSDIKKGVEMLSRAGKLLPSTLPSAPVTSDQLEVNNFLFLHTFNFYHLLNRMDTTSHPQQLQLVKNFAASKACTPSHLLQLGQIASQGTQPNLQVAEFLLKASVTTALASHSPNYGIISAALRSLVYLAGLQDISGSMSDAVYDVFRQAYQIVVGLRDGEYPSEEGKWLTMTAWNKSSLASRLGQRSVARKWMKMGIDLARHFQSMKQYISAMEEYFENFQKVSGKEPDECSQQDGAPSTSLSGSVPQAGLV >Dexi1B01G0031650.1:cds pep primary_assembly:Fonio_CM05836:1B:35121341:35124352:1 gene:Dexi1B01G0031650 transcript:Dexi1B01G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRLSPEAVGAIIIPEDRPSSFPETDELRWPFGRLDVSLSQDELRESAYEIFFSACRCSSTATTRLSGAAGGAAKTTSMAVTSRVKRALGLRARRTRALVGAGGRPMTSAEMMRRQMGVTEQTDDRLRKTLGRCLVGPHRKVESLVLPLELLRHLKASDFANAGDHRAWQLRQLRLLEASAAACTPIRDTVRSAELHHQTTTTVVRAAAATVLSCRRSVDRWADGYPLNVHLYMCLLRAVFDARDQTAVLDEVDELLDLITKTWGVLGFNRMAHDVCFTWLLLDRYVTTGQVEPELLCAVLRMLQQLSSSNADDNNLLLEPWHLRMSAATLASMHSWAEGKLLDYHEAFGGQQGSSSLEDLVSLAVLSATMRLSSAAGSSSPSSSVAGLRQPVSNKWSALIMQWQLHEGAGKTMDSMIVEVDEDPCETLMYVAAQTKELARVEREVYGGVLRRWHPCPTAVAAAALHGCFGALVKRYVVVVSGSSSSGMSSESVRALHAASKLDKWLQQMAAEDEPIVGSGRQQHIYRQVVTESMAPLLDVDATISGLVKGWMDERLTMGAECVRRARDSESWNPRSKAEPYAQSAVDLMKLAKVTVDELLEILQLRVPSCSCREELLQRLVDGIDKLVHQYALLVASTDLSSKESYVPPLPPLTRCNQISKLVQLWRCQVDGGFNCGGGGVVATTSSRPRGDKPQQATNRGTQRLYVRLNTLHYLLAVLHSMDRAITINNVSAAASSPQQQQQHHRRARSSTSVFDQRRARPALDAACLHVSELSAYRLVFLDSGHVLHQALYQGGVHVQPIRPALRVLKQSLAFLASVLSERAQPLAARQVMKASVEAFLTELLAGGSGRAFSPADHGAVAEDLASLKCLFCSFGLPEEAVHREAAQAEGVLALMALSTDKLIHELLAHYDPSSAPHDLAVPPTTRRWSRSDANTLLRVLCYRDDDAASRFLKKAFHLPKRR >Dexi8B01G0016410.1:cds pep primary_assembly:Fonio_CM05836:8B:27592467:27593396:-1 gene:Dexi8B01G0016410 transcript:Dexi8B01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGTLLPKLGQLLLGEYNLHRGAKKNIKFLREELQSIQAALHGIGEVPLDQLSEPVKIWANQARELSYDMEDIVDTFLVRIQGPDPLRKKGYKKFFKKMSDMVTKAKTQHEIGKDINDIKERVKEVAARRQRYKLEDITSAKTTGLDPRVASLYTKVADLVGIDKAREKLILRLTKGDDDVVASKQRIVSVVGLGGLGKTTLAKAVYDKLKEQFDCTAFVPVGRNPDLKKVLKDILIDLHNHFNLDILDERQLINKLQEFLENKR >Dexi9A01G0043960.1:cds pep primary_assembly:Fonio_CM05836:9A:47628133:47628456:-1 gene:Dexi9A01G0043960 transcript:Dexi9A01G0043960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVAGGLTMAKLAFWLGWIALLQGCMVKALPYDYSASIEASPCSFLCPVASACPKSFVLVQHRAEASPAGIPA >Dexi9B01G0037790.1:cds pep primary_assembly:Fonio_CM05836:9B:39095870:39101236:-1 gene:Dexi9B01G0037790 transcript:Dexi9B01G0037790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTAQQAKMIDEDTLRDVINMGFSKDHVCESLCSRLQNEATVAYYLLLDNRFRATSGYHGADYQESVDRNLNQLVSSESTSSGTRNYVPGSSDPHISGLRTHYPVERKWALGLQSRAHPREIMIEVLKALQDLNVSWKKNGHYNMKCRWCPEFTEVHDMLDAGNGFLGDTTIMDNDDANGKLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >Dexi9A01G0009490.1:cds pep primary_assembly:Fonio_CM05836:9A:5756847:5759892:1 gene:Dexi9A01G0009490 transcript:Dexi9A01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESPPPPAPPAQAAEKSQPAEQAAGSWGGWGLSIFSEISRNAVEVAKSAMADIQQPPEQESGPEKEDKEKEPEGEEEERRKAALEKLEKASEDSILGQASTCRATRCYGLKVFDNSVETITTGTWQALGTAWKSGSLFVQKLENSASSLAETIQQGELPAKASAIAPTILETGKSFTARGMEVLERVGKETMEFIVEETGMEVDKGSTGEGDQQTEEEQFEEVSFDRCFYIYGGPDQLEVVICFPKELEALSSHYALLFNRKKGKLNAEQKTYYDGKLKEIQQILSLSTNSEEDGSDSDKGKKIESADTDTDAEMKKLCETSVSKAAKMAAGFTTALGGLSPNDIIKRTTNRLETLHSEGVHTEDDENNIKIDWPEDPISKAKIIRWKAQSISVDMEKVSSSFATGISDVAEAYAAAIQNALADKQDDLPNQKSVQEKAKSISSHLNSDQTSAVGKLQDALQYLAYVVICASMPSA >Dexi1A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:1A:20615422:20616211:1 gene:Dexi1A01G0014190 transcript:Dexi1A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNIEMASRAFLLAASLMALTCFHATASDPSLLQDFCVVDKMSKVRVNGFPCKDIKDVVAEDFYFSGLRMAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAAALAALSSQNPGVITIANAVFGSKSSIADEILAKAFQVDKMTVDRIQAQF >Dexi9B01G0033000.1:cds pep primary_assembly:Fonio_CM05836:9B:35127211:35130619:1 gene:Dexi9B01G0033000 transcript:Dexi9B01G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGALLFVLAAAAAVAAAVATGAVSFTYLPTVASAGGPSPSSSSGVGRWVSASLEAWAWVRSRAVAPALQAAVWACMVMSVMLVVEATYNCAVSVGVKLLGWKPERRFKWEPLAGNGDDEEKGDAAGAAYYPMVMVQIPMYNELEVNPVYKLSIGAACELKWPKESLIVQVLDDSTDPYIKNFTVNDRASLLTRIQKTFLDYHFKVEQEAGSATLSFFSFNAYAFLLGTAGVWRREAINDAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVQVKSELPSTYEAYCRQQFRWACGSANLFRKMTWEVLVSKQVSPLKKFYMIYSFFLVRRVVAPTVAFVLYNIIIPVSVMIPEVFLPIWGVAYIPTALTVVTAIRNPENLHIMPLWILFESVMSMHRIKAAVAGLLELPEFNQWIVTQKVGHNVAEENCEVPLLQKARKGLRNR >Dexi7B01G0013430.1:cds pep primary_assembly:Fonio_CM05836:7B:19922865:19925075:1 gene:Dexi7B01G0013430 transcript:Dexi7B01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHVVAPATTTHAAAGATTATAEAALPSPASTTSSAAIVCVAVSAACVSKLVAYYGTLAVSLVFIARAAWPDRVDAFLLRPLAAHARRAALLARADLEADLRLIRDAHPAAEVFFAAAEGCARVAIAGVAGFAGAARGKVVAKWSEHKDAAVAAWSSLLGLAGKGEGEEEEAVGFELGDVVSLVEIGFCLLCTVRLVSRLIGVGGMPLSTACFAATCGLLVLLDDWIDPTEDNDNADETDDATDDDRADDVAENTIDQHGEETQVDVELKVRESWQFVRVLILIAYCADALYFHVVLGPQPVALALLALCNFGVLDVGRRVELSPDDADGEGEAAAVDKWRRGAIAVFVASSVKVFAFVVVYLVRDFYLAPPPLSLLLLGVMGDLLLDEEDYLLDLVVSGDDEDEEEDDGAAAGSSEDIAGEVQEEGADGEAKAAEHSNSNASSSEDEEEANASSSEDDEREANASSSDGEEESRAMEEHCDISEDHFVPKDVSEGHEHPMEQISRDDEDEDDNGAAGSSEEIAGGQISRDDEDEDDNGAAGSSEEIAGGVEEGCDEAKPAEHSNGSSSEDEEEANASSSEDEEESLALEGHCDISEDHFVQKDISEDHEHPKEQQEGHCDISEDHFIHKDISEEDHEHPKKQQQEEPDYSSSGSTDDSWDLVEVDPEMRDKDICEANPKPSRLFPWKQAA >Dexi2B01G0016020.1:cds pep primary_assembly:Fonio_CM05836:2B:26126852:26127520:1 gene:Dexi2B01G0016020 transcript:Dexi2B01G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKSSSVSLFRSALSTMCSILEATSAIVGRRSGRSSVHSTASCSIRTISSSTRSSMAMPASNTSAVHSSSTTVRTHRGSSTPSLPGTEFTGGFPVSISSRSTPKLYTSVSRDALLVSVTSGARYPRHVRADELEEPVVRHASLRVSFTRTFDDLMLPWHDRAPPAPWMNATPRAAASAMRAREVKSSRAGLAGAREQRLPEPTKS >Dexi4B01G0010590.1:cds pep primary_assembly:Fonio_CM05836:4B:7946692:7947246:1 gene:Dexi4B01G0010590 transcript:Dexi4B01G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAEIKKQAATTATPPPRPPAAAAEPAAANKKKPTTLLDAYEVECIRRELRRLALHHHHQIAAAAPKNAHGHHHLRHRQRTSTATTKPTSAKRVSPSPQPLTTTPPGPPAGRPKKKGHRAGVPLLGRHAVAICSGTTVPVATGGGGRGRMCSTAAAHVASATVGGGGGGRRPRGGLREVEKV >Dexi6B01G0020290.1:cds pep primary_assembly:Fonio_CM05836:6B:26795328:26796836:1 gene:Dexi6B01G0020290 transcript:Dexi6B01G0020290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALTSLPARFLRAPSAPAAAATGGRRLLSDGKGRVLSEEERAKESIYIQKMERERQEKLKKKLEQEKVDNTKPADSDKKPEGSS >Dexi9A01G0048700.1:cds pep primary_assembly:Fonio_CM05836:9A:51429903:51432036:-1 gene:Dexi9A01G0048700 transcript:Dexi9A01G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVKLQAKQYPALV >Dexi4A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:4A:2282095:2283609:1 gene:Dexi4A01G0003130 transcript:Dexi4A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVRVLNVGHVLPATPDQTATTCSPPDAAALSDDGDVVKLSFMDAMFVNRVPMQRLFFYEGPNVPPFPSLVRSLKSSLAAALAVFHPLAGKLTHLASTTGDDDVFVDCSPAAVSPGVTFVEAEFAGTIDDMSRLAAGDEHNTEALMLLGPSLKAGRLPAPVLAVQVTRPAVVSGDGPAVVVGVSIHHAVADGHSVWQFMTAWSAMSRSPEASSTLVAPTFDRAAIRYPKSDEVARKFLRTVAPALPVARSPAMYTPLDQRRRTFLLSADDIASVKELILAQSKSIAGGEQIVPSTYVAVSSLVWTFIVRAKSSPSELDLDPAAAGDDDEAYFLVPVDLRRRLGPTIDERYFGNCVAPCYAVAAIGDLLDDGAGLARAAAAIVAGVREGVRDDDPLGGAERWLESFMAVPKERLTHTGSSNRFMAYEVDFGWGKPSRVELVSLFVRELVLLLGAGDGGVQVTVAMDHKHMEGFEASLMRVSGRAGNNASCKIGEKDAAMQMDR >Dexi3B01G0001270.1:cds pep primary_assembly:Fonio_CM05836:3B:940861:951804:-1 gene:Dexi3B01G0001270 transcript:Dexi3B01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAFPDPRSSMMAGRIHPSSETTTPRRPSSSSAALRYYTVWKRSSMGFQGTDGFSVYDAGGALVFRVDNYSRRRKIFAGELLLMDGQGSPLLALRPQVMKQKCPCQDVALHQTILAKDPASGSRAASGEEAARSAAVTARSIVVAVVVLVHPATAEQEHTNIAAAATTTRVHPSDAVHVSCPAAADDDLRQPPAVYTVWKRSSMGFQGTDGFSVYDADGALAFRVDNYSRRRKLFAGELLLMDGHGAPLLALQEAGDKSSSRRQHLFSMRKCSLANSADEAEVFMVGSGCPTSSAHEAQVPSFRVQGSFWRRSCKIRSSNGEVVARITTKKARSSSESVTLGEDVFSLTIMPNADCAMIMAFVRVFISSPTTAGFTLPSGTGPDQTAPDRGNRSNRTGLPLPPGDGSAVRFGKKTPGPRHGTLRPRLPWKSWALSDLRELEAERWQRSAEVVGGAADLTGCHGAGWSFVTLRLTPMAAPPPSSALTGSAKQHPTRRPAQALHLPADRSGKERKRTGGPSIGAMRILKEVCCLKLVLDTKTNLPWNRFLPRIFADSSSMGNACGEVLEAKFAADAESNRIHPSDAARRAAPDVSSDCRPAVYTVWKRSSMGFQGTDGFSVYDDAGSLAFRVDNYSRRRKLCAGELLLMDGQGTPLLSLRPQLLSLHDRWNCYTATEDALEKKPSPTSQQQVFTMSKCSALQSSDEAEVHMSAASAARASSSSSGLGCKHPEVASAPAYRIEGSFSRRSCKIRRGSDGKEAARIARKNAGVASRPVATLSDDVFSLVVRPGVDVATIMAIVVVMDRICHRPYTPMVCSSQ >Dexi8B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:8B:8115034:8115855:1 gene:Dexi8B01G0006950 transcript:Dexi8B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEEQHTCAGQQAQAMLDAQLDHWHNTFAFIKSMAFKCALELRIADAIHHHGGTSTLTQIASQAKIHPSKIPCLCRLMRVLTVTGIFSIDKNPTEEGVHVYGLTPASRLLAGPQNLAPTLNLILHNTFVSPFLGLGTWLEHKLPDVSLFEMAHGKDVWDVIIHDATISQLFNAAMIADSRFLMDIAIKECGYVFQGISSLIDVAGGHGAAAQAIAKAFPHIDCSVLDLEHVIASAPADTGIKYIAGDMFESVPPANAVFLKVHHLRGAKIA >Dexi8A01G0008550.1:cds pep primary_assembly:Fonio_CM05836:8A:10380596:10381489:1 gene:Dexi8A01G0008550 transcript:Dexi8A01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLATMVVLLSMVKEKASSYLLDQYKEPHKLLKRKLSYYPGRHHRRRGTGGSQERRGESLAAVRMVAYKANDVLDEFKYEAMRLKAKEEGHYKDLGMDVIKLFPTQERL >Dexi2A01G0001030.1:cds pep primary_assembly:Fonio_CM05836:2A:665510:666822:-1 gene:Dexi2A01G0001030 transcript:Dexi2A01G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGGELAAKAAKPSGDAAGEDRLSALPDDLLVLILLHLDDTAEAIRTSYFSRRWRRVWTLLPVLRFDLLPEVNGVAIRRILDAPEEAAPLRWISVTTLDAGPESAAAWLPVAARRLSGELNYHNLRVKMNDRDDEDLQLPCFEKATTIKLDIGFLGLALPPHGIFGRLTELFLWRVRFRSPSDLGGVVSSPRCPCLKKLEVSNSRGLQDLSIHSESLVRLKLEVVRGLRHLTIMAPILQKLGVVRCILAHDSTESVANISAPQLVDLDWKDFYDPQYVHLGDFEELQQVAPFYFVAYGPPDIRDKNQYCLSLLQRFQALYCLHLTLMYRQNMWRFMNSQ >Dexi3A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:3A:4313099:4313809:-1 gene:Dexi3A01G0006500 transcript:Dexi3A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGLWPLLEQGHLTRLSVTKTPNLLVGSDACCSSKLQELCTDDATRVITGPICSLLSSSLTSLKLELDDRVEIFTKEQEEALQLLTSLQHLKFNWCEKLQHLPAGLHRLTNLKTLQISNCNAIQSLPKDGLPSSLQELHVSSCRAIQSLPKECLPSSLQELSIFWCPALRSLPKVEFLQSSLRELQVYNSDSNELRKQCRKLRGTIPIVYA >Dexi8A01G0012240.1:cds pep primary_assembly:Fonio_CM05836:8A:21278457:21281857:-1 gene:Dexi8A01G0012240 transcript:Dexi8A01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLLVILGACSVMMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATQVHKELKDAMTQLDSIRYEVQNLSRLTPGQFNMRPHNTGMAEARTPDDVSVSKPEEFRQEIRSIIREEIESFCRTRSDSTQNFANTTEGRKVDVAVDHTSLKSKAMKTADAGLTNLHSQAMTYARLSEAGLQTGSSLSGNYEEQFKESNGLQNVLPISAESAGLLPSRSGGPTGSDLVLEAVLEAEVAENAKFFVSQPHDQLTKE >Dexi7B01G0000330.1:cds pep primary_assembly:Fonio_CM05836:7B:952879:953821:-1 gene:Dexi7B01G0000330 transcript:Dexi7B01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNIQARISLIMCITTILAMASLGGFPSFEEEMKVFRKERLNGHYSATAFVIANTLSSAPFLMLMCIIPGAIVYYMTGLRRGIYHFIYFVAVLWTCTMQSEGLMMVVVAIVPDFLLDVAIGCGIQGLLLLSCGFFRLPDDLPKPVWKYPLYFISYHKYGIQGLYKNEFLGLAKHRQNGNGLAPDDQQSRNGLTMGGDHVLQKILQIDTGYSKWVDLAILCAMVVVCRATFLAMVKLTEMRGPIIKCGKMKL >Dexi4B01G0005030.1:cds pep primary_assembly:Fonio_CM05836:4B:3598701:3600500:-1 gene:Dexi4B01G0005030 transcript:Dexi4B01G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAGNRPGSPPLAFDEVRWVVQIRQSLADDAPGSDDDDTGIPVSVFNVPKPLQVHKPEAYTPQLIALGPYHHWRPELYEMERYKLAAARRAQRRLLSPPGLKLDGLVGTFKRLERRVRAHYHRYLDFNGETLAWMMLVDGAFLLEFLQIYAVVANDGEDAGVVSGDGGGKAAALRRVSSRMQHLVDFAGRKSAHNLILRDMLMLENQVPLFLLRRILEPQCVSTDDAGELLARMVTGLMKELCPFKMMVSFPAAIDVGKHAHLLEVLYYLLVPRPAAEDDHDDDVVRGNHHDEGYDIEEQLVDAGAGEEDEEKPAAGGWEYVKQLLVAVGSMASGGRMRYVTKPIAFLVKAPWKMLTILPGISAMKQPVEAFFMSGADGSTSTHPRDTNGTGSYYLTRPPLIEEIMIPSVSELINAGVNFSPTSGDLSTIAFDAKTATFHLPMVTLDSNTEVVLRNLVAYEASAASGPLVLARYTELMNGIIDADDDVAALRRRGVVLNRMKSDGEVAKLWNGMTRSVRLTKVAFMDRAIEEANRYYNSRWRVKTKRFMRKYVFGSWQVLTFLAAVLMLLLTTLQAFCSVYTCSRWFGAVTVATAD >Dexi5A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:5A:1826710:1829210:-1 gene:Dexi5A01G0002660 transcript:Dexi5A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLNLRKLLCQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTVSSDTAGGEAK >Dexi2A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:2A:29778474:29792956:-1 gene:Dexi2A01G0017740 transcript:Dexi2A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRSRPLSPEDARSSPWRISGNAIAHSAQSSTRFEFDRIFGEDCRTAEVYEARTKRIVDSVVRGFNGTVFAYGQTNSGKTYTMRGSVSEPGIIPLAVYDIFQRIEEHMDREFLVRMSYMEIYNEDINDLLVPEHRKLQIHESIEKGIFVAGLREEIVTCADQVMSFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDYNEADDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIDGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERIALELEEEKKAKEQRDKRLIEQEKKIENLSSLVLNSERDDRNTAFIKDKRRVTWCPGPNTWQFGTQVPGHVEEGSAGSTMRSKRNMGMPPSFQELREGSYARNGAPFAEACSSSDMSKDSEDVSLPDSHALLHVTNRRKTNTMKKSDQEPPRVVGGELIIPQDSDDGNDALQSYESTMPYAVSSLSARESEAILVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFNEKFEELQQNVLAAQEQAKVANEKLSTLYATVKSKQEVANEFLSNVLMETRGINLEMDQSRNSIESAFSFIDELRQNLFMMSQVIVEVKHSAHEDITWVGSMVRDYEKLSTCLMEKVCKLESEKRLLEEQSQDRQNEIDKLKSSLNSCEKTIDDCTLQHELEKDNILSELLNLQKEVSTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNSIQEKIKLQSEKAEAHKEIKKLQSQRTLLERDLRKRDSVTVGKKHELNSMPQELPGVYDQAVQMQESQKLETQVFDMEEEIFSLQEALITALAEKDEALSKVELLTSELEDLANTLNSAEKERNSLSDEIALLTEKSSASESTLKILEASLSSVSREKEDMGMQLTDALLDMESERSIWTAKEKEYLEANQRLNKCLDENHKLSEDLIKVKQELVCCREQCRTLEEKLALSMENGMDEKGIKCWSVFSSNISIKAFVLCSLTTDSKIVSCSSKACKGSGEKGRTIDGTVGENLIHANATIDELSSRISVMEVNMKNNDVANSKEKTKLRMQIRSLQPQLDAHRGRLEQLFNEMKTMDAKYQEASTMLKKELSQSCREVLRLREKLKAAQGK >Dexi3B01G0012920.1:cds pep primary_assembly:Fonio_CM05836:3B:9085136:9085342:1 gene:Dexi3B01G0012920 transcript:Dexi3B01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITLPLCNRLPRMDAWPGELRFVGKIPKQTVSGPSLYQTLVAGPRFMTASPAKRIAMDGTIVSSVRA >Dexi2A01G0017950.1:cds pep primary_assembly:Fonio_CM05836:2A:29931088:29932232:1 gene:Dexi2A01G0017950 transcript:Dexi2A01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVEAAARKTKPGSSRRYALLMAVHDSEYVLKRYGGYLHVFVAAFGDDAGEEWDMYRAIDGELPSREDLGCYDGFVISGSPHDAYGDDQWILRLCGLVRELHAMRKRVLGVCFGHQLICRALGGRVGKARAGGWDVGVREVAIVAGDADDAKASCRFLDVMRQRHQLPRRAKITEVHQDEVWEVPEGAEVLASSDKTGVEMFRVGEHVLGIQGHPEYTKDILLSLVDRLLTAGSITGSFAEAVKRQLETTAPDREFWLRLCKSFLKADDEDHVCL >Dexi1B01G0002330.1:cds pep primary_assembly:Fonio_CM05836:1B:1891618:1899920:-1 gene:Dexi1B01G0002330 transcript:Dexi1B01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSPAMASQHPPPRQELDLDAFLPSSPTSSAASDADAADADHRRAVDDLLLLLSSSDSDSEEPTPTPIPSTSGRALGRVQAPAPPAEASPLRSPPASPSPRRSTSASPSETLSSLVARTFSGNGASSSASKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSVSAPVEKLLEEGSGSEASEELPSTGSAEVELEEKGNSEVVVPEPTEQTATGSGTDGFEDEKHGEVEIEESSEPMKLVEASTLDSVAVDDFSAHEQTAEDGSLVETNQSENQTAVVYEENAYDQIGDANYAQSTQSMDPIGYVSEESFNDEGKGDESDSIAGDQVESESLIDKVIEERMEQLEISRKAEKNAEKRQKVSMKPLELAEELEKRQASFGQHWEEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMSSPSFRPDHGSPQVLAVHRSYIAMGTSKGAVIVIPSRYSIHQADDTDAKVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTSSLNDSTSSDEERVSWLALAWDHHVQVAKFVKSKITKHKEWKLDSAAVGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTTFVPDGLLFDDTILHHSHFSNRFGNPERHFNSSVAVRGATVYILGPTFLTVSRLLPWKERIEALKRAGDWMGALDMAMRLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYISYVFEYISIALSNHTGKGGDSDVLIEADRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFAAAQSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYNGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVVQNSNRKDAASTGYRMLVYLKYCFQGLAFPPGHGIISRSHLHSVREELLQFLLEESKSLTSEVFKGFHAACGKCPNICYLLWMDTEATLEVLKCAFTQDSFDPRDESSTIVNASVSEDEDGIIAGNTGIQNNMIQNILDAIIDIVGLENEVIRSIVMDTAESEFWPSEKEFGYLIEFVSFFVSHKRAVASKRVVMHILTYLTSSYDDTRARTQKEKEVLQLFNAVPRNDWNSDFVLDLCSDAHFHQACGLMFMTRNQNLAALDSYMKDKEEPLHAFIFIDKRLNELTDDEALSFRTTVISRFPELVKLSRECAFVLVIDHFFDKIQQILAKLHSDRHSLFLFLKTAIEVHVSGKLDFSELSAHPVLDALHASTGLCQRNSQRLDPEESQSLWFQLLDS >Dexi4B01G0021310.1:cds pep primary_assembly:Fonio_CM05836:4B:23312107:23313757:-1 gene:Dexi4B01G0021310 transcript:Dexi4B01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALLLGVSFLVLGLCCCRVQGGDDGDDGGNGAVVAIYSLGDSITDTGNLVKEAPPGMFETIKHFPYGVTLGTPTGRCSDGLLMIDYLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAVDPGDQFNITVPMPFASNSLKVQLRWFKDFMKSSFGTDEGIFLLHFLLSIRKRLQKSLVLVGEIGGNDYNYEFFGNKPMTEVEKLIPGVVKTIIDAAKEVLDMGASRVIIPGNFPIGCVPGYLAMNARSSEPADYTKAGCLRELNRFAAKHNSQLQRAVAELQKSRPGASVAYADYFNSFLTILHNATSFGFDPASTRKACCGAGSGEFNFEWQRMCGAAGTTTCAEPSEYLSWDGIHMTQAAYRAMSRLIYHGKYLEPQILSFPEKYGQT >Dexi6B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:6B:17575847:17580903:1 gene:Dexi6B01G0010830 transcript:Dexi6B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAPSRGPASFLTQANALLRKNLCFQKRNLKTNIGITLFPVLLCVILVVLQGVINRELDKPKYRCGCACVDPGPTAVGDACRRTECGVQYSTLDQVGSCPIPSPTPWPALVQLPRPESRAVNIAGQPLDGLPNPTCRDAGSCPATVLFTGNNQSLAESMLFYLFYVNVMVARFRTAILTIFISTCSDVDCVQGLSLWRESASVVNDELFKGYRQRDGGSGEGKTNEFVAGYDFANTNRNSLEMNIWYNSTYNNNTAYVPISLLRVPRLVNTASNAYIKFLRGSGLEMLLEYVKEMPKVGTKQKFDLSSLLGPLFFTWIVELLFPVSVILSYLVYEKQQRLKIMMKMHEGWILVMEIIPGFSLYRGLYEFGQYAFAGNAMGTDGMKWTDLDDPLNGMRSVLIIMVVEWAILLPLAFYVDQVLSVGGGFHRNPLFFLKYFKKRAQSLRRYSFGRQGSRVVVEMDNPDTVHEVSYSLILSLSNTLYISYFLNYAQREVVEQLLLEPIANQAILSDNLRKVYHGKDGNPDKLAVRGLSLAIPKGQCFGMLGPNGAGKTSFISMMIGLVPPTSGTAYIHGMDIKTDMNAIYSNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAELLKAVDDSLKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKLKARYGGTYVLTMTTSSENEQEVEQLVHRLSPNASRIYHISGTQKFELPKQELKIADVFHAVESAKSRFSIYAWGLVDTTLEDVFIKVAKGAQAFSVVA >Dexi9A01G0037690.1:cds pep primary_assembly:Fonio_CM05836:9A:41977293:41979107:-1 gene:Dexi9A01G0037690 transcript:Dexi9A01G0037690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPHERRPSRVAAHLILLACCLAVLAPSRVAGAGMPATFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFMGHQPTGRYTNGRTIIDILGEKMGLGVGGFVPPYMAPETTGDAVMRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGELEAVSLLRGALFSVTMGSNDFINNYLTPIFSVPERATTPPVAFIGAMIAKYRQQLTRLYLLDARKIVVANVGPIGCIPYQRETNPSAGASCAEFPNQLARSFNRRLRALVNELGAALPGSRFVYADVYHIVSDIIDNYRSHGFEVADSACCYVGGRFGGLVPCGPTSKYCEDRSKYVFWDPYHPSDAANELIARRVLDGGPADVSPVNVRQLIMT >Dexi6B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:6B:21575382:21575669:-1 gene:Dexi6B01G0013830 transcript:Dexi6B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVGSATNQVYTKTLYKGDLMVFPQGLLHYQYNLGNDTAAVALSSYSSANPGLMILDFALFANNLPTDVVSKVTVLDELEVRKLKALFGGSG >Dexi6B01G0011040.1:cds pep primary_assembly:Fonio_CM05836:6B:17910490:17911566:-1 gene:Dexi6B01G0011040 transcript:Dexi6B01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRMFRALQHVLRVKNRGLGQGTASGFSSSSASASQRLAGKVAVITGGASGIGKATAFEFVRNGAKVIIADVQDALGRAVAAELGGPNAACYTRFDVADEAQVAAAVDLAVERHGRLDVMFNNAGIGGDVSATPLASLDLAGFDRVMAVNARGALAGVKHAARVMVPRRRGSIVCTASTAGVMGATGPTAYRVSKAAVVRAAAAELGRSGVRVNAVSPHAVPTPLAMDTVARWFPGRGPEEVRRIVEGMNEMVGLVLEAEDVARAVVYLASDEAKYVNGHNLLVDGGYTVSKTPNMPAAPGF >Dexi5A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:5A:7266091:7266324:1 gene:Dexi5A01G0009700 transcript:Dexi5A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKREEEAGAPSNSRQLSCGLRPAGEVIERVIGEFFCPPTCSAGDIVFSSSGGGGGGGGGDGKECGADWRDGHDSLL >Dexi8A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:8A:5817832:5820812:1 gene:Dexi8A01G0005900 transcript:Dexi8A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELFAFEVLASLSAYVAWRPPLPRGFQAGTLNRAPKLGPRSPGAHSCNLGAKRPDAQLRYMKTAPPPAPPAAPPPASSAATLIKEQVNGPSNQSMVSEDRSSTGHPSNQSMVVEDWRAVVNPGLVVLPDPPTEFVERPPVLVQRRRGPWLFGRSSTKWRRRGASKEGRAETHLRVGKSHEGLEQRGLVESSRCQMATNPGRSARIWPNGRPPAPLKALF >Dexi5A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:5A:19617171:19621173:1 gene:Dexi5A01G0016900 transcript:Dexi5A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIVLRQPTARLVDVGLAMEVELPVGSHRAGVVAVLVEMLSWKALVVSKLANKKQIPNAIDKINNARDLLDKIINFSAIGAASPYPATGPIATLVITPSPGKGVQHTVQVLKMPVETTSSSAALAGGGRDREDTEDAFDDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLRNRFLSLKPAKVILSSMEVVAQSMGFKTHIRNYKVRFMRVEGLNANKTSHLSVMIEVFEVAPSVFMVDLQRAAGDTSEYNTFVNNYCSKLDDIIWKFPTEKGKSRTSRLSKC >Dexi6B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:6B:2056267:2059601:-1 gene:Dexi6B01G0002340 transcript:Dexi6B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAAAAAPSFALTSSLSRRGFLPLPRRAGRPVPHSLRLVASTARRPRGAVVVAADAAAAAGSGEFGDEENPYELLGIRPLDSFDHMKMAYKKKRKDAEETADDEFLAKLDRAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPIVPWGPRFSRSTVKDMRINMAISAAFVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFTASLGYTAAINLIELSWQYTPRIVYYYQELIVTAAASVLLYITASYYR >Dexi1B01G0027200.1:cds pep primary_assembly:Fonio_CM05836:1B:31984419:31986951:-1 gene:Dexi1B01G0027200 transcript:Dexi1B01G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTSPRAHAMAAPTVARPRVLPCARLASRCCLLVLLGRGRGRGHGHGRAVAAVRAREQGAATPDPAAILRRPDVSTTTSAEEERETDAGSSLDVPVVEEEAQAREEEGIQGRRKVPEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYITVGLHPEFESSRCLFIVRKDGERIDFSFWKCVKGLIRQKYPMYADSFILRHFRRRQDY >Dexi1A01G0008970.1:cds pep primary_assembly:Fonio_CM05836:1A:7064122:7065174:-1 gene:Dexi1A01G0008970 transcript:Dexi1A01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSGSFKKYADLKHQVVLDDLESGGGEGEGPDLARFFEDVEVVKDDMRGLESLYRRLQSAHEEGKTAHDAGAVKALRARTDADVADVLRRAKAVKGKLEALDRANAASRKLPGSGPGSSTDRTRTSVVTGLEYKETVARRYYTVTGEKAEESTVEALIASGASETLVQRAIQQGHQAGRGQLLDTVSEIQERHDAVREMERSLRELHEVFLDMAALVEAQGHKLNDIESHVARASSFVHRGAVELETAREYQKGSRKWACIAVVAGTLLVAVIVMPVVVYLHLLTVR >DexiUA01G0013810.1:cds pep primary_assembly:Fonio_CM05836:UA:29023015:29032249:1 gene:DexiUA01G0013810 transcript:DexiUA01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSHSEEPLPPPSYRGCWHGVSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi8A01G0012110.1:cds pep primary_assembly:Fonio_CM05836:8A:21093062:21093591:1 gene:Dexi8A01G0012110 transcript:Dexi8A01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSALGTQMSREEQKRLKEDLSSLGMDDISSFMEALPRDFYVILRTDGLLRSILGNLGAPRHIRLLTYAKCAIHGLEKQPKLESGAINRMFLQVKINISYLRLMVLIGKDTITVFMF >Dexi2B01G0011690.1:cds pep primary_assembly:Fonio_CM05836:2B:13280959:13285455:1 gene:Dexi2B01G0011690 transcript:Dexi2B01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSAETATDIISTSGQITSRKFPDAVAAIAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLATFWDKLTVDDASTILSFSDVIVEVTILLLLGVTGSSILTALSAWMCKPGYASLPVAYARAGSELLDLIQTRASMQLHVSSNELFNVLDEASERTFLCTNTAACIQKFLFDGEADKIATELKNVIACASYMLEQKLVEAWCADKAAEALRCQKLLVEEEEAAQKRQAELMEKKRMKKLRQKEQRLKDLKDEDVAVQLPEIMDDGICSPGIQSFKATSDPVLYDQEESLYLPSPAPVTSETDNGFKADLPVEDVCCDSVPEMDKSVVLRQQVISRHHLGRTEKLAENSVSSSAGWKEAIAADHVKLVLCHDK >Dexi4B01G0022650.1:cds pep primary_assembly:Fonio_CM05836:4B:24169777:24171895:1 gene:Dexi4B01G0022650 transcript:Dexi4B01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGAVAGPSVDGKSRPDCINSSNPYHECSDYCLRKIAEARQRLDDELPDSSKRPPEQRTVHPDCINASNPYHDCSEYCFKRIADAKSGLERGEGQPPAIGASTSDAAEQQPDYNDAEKQEEAGADDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLDDRKKKIGKLLDSNGLDMSKAYMLDTQETAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMYAYNKAKEADPEFYRDASSLQYGKVSKVPEENIDKMVKELKDREEKRQSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Dexi9A01G0002420.1:cds pep primary_assembly:Fonio_CM05836:9A:1289732:1290641:1 gene:Dexi9A01G0002420 transcript:Dexi9A01G0002420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRLDGKVTIVTGGASGIGEAAVRLFASSGATVVIADIQDALGEAVAASAGSGCTYMRCDVTDESQVEATVAAVVAAHGHLDVMLSNAGVLLPTGSVMDMDLSELDRVMAVNFRGAAACVKHAARAMVAAGESRGGAIVCTASVASLQGGFGPASYTASKHALLGLVRAAAGELGRHGVRVNCVSPSGVATPMSCTLMGVGPEELEAMTVPHNVLRGKVLRAEDVAEAALFLASDQGAFISGHNLVVDGATTAVNPDVLHTIGL >Dexi8A01G0016400.1:cds pep primary_assembly:Fonio_CM05836:8A:27795113:27797860:1 gene:Dexi8A01G0016400 transcript:Dexi8A01G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIIMFLSAMACSVGAAVVKHTFVVSQVNMTHLCKETLVTLVNGQLPGPAIEVTEGSSVAVLLVNKSPYNLTIHWHGVKQWQNCWADGVPMITQRPILPNHNFTYQFDVSGQEGTLWWHAHIPFLRATVHGALIIRPRPGASSYPFAKPYREVPIIIGDWWQLDLQQVERKMKLGFFDYFASASTINGKLGDLFNCSGVVEDSFMLDVVPGKTYMLRVINAGLFSEFYLKIAGHKFTVVAADANYVNPYTTDVIAIAPGETVDALVVADAPPGSYYMVALPNQAPLPDTQTPEYATRGIVKYRNYHSSAIDVPIVPVMPDHHDTITSFYFRRNLTSLHHHTVPQRVDESLFIVLGLGSICRHGQQSCKRGANNDTILVATMNNVSFQYPMVTKPLLEAHYYHTGGNDAMQQLPDGPPRMFNFTDQALIPFGPKEMELEPSSKATVVRRFRYGAVVDMVFQSTAVLQGDSNPMHLHGHDMILLAEGLGNFDAEKDAARYNLVNPPLKNTILVPNLGWAAIRFVANNPGVWFMHCHYEFHLTMGMAAVFIVEDGATVHASLPPPPADFPRYCVYGDDLLPDELCLQTNAHSS >Dexi2B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:2B:5867841:5868761:1 gene:Dexi2B01G0006260 transcript:Dexi2B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQHPQSHPHPQQQHSYHLPITKETKPKVRTKCGGGGGGGSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGANTRTNFAGVSPADSPLAARIRGILSHKKLKKNNNNSASPTTTAFFPAAYHRAGAASSSTSTITTNTSGVVSPSSSPSSSISFSMSSNGGVRTPILPARSIAEEVYRPYMVSGSEELQLASQQYEQSWALNTSLQPSRDGCDMGDNNASCSVVTDAEMEKIKPEKQCTESTHVMDRVQDKELLDSGNDPSDSSLWDLPPICPLSSCRSLMY >Dexi8B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:8B:19121378:19121641:1 gene:Dexi8B01G0010500 transcript:Dexi8B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIMDSAKDVVLLSTNGIIQNAINRDNVVAQLLNSISKDVILEPDRALDDVHQQVNTYCRMPWNLWRTDLIHLFLEPSSLLSCSSS >Dexi3B01G0038110.1:cds pep primary_assembly:Fonio_CM05836:3B:40939124:40939642:-1 gene:Dexi3B01G0038110 transcript:Dexi3B01G0038110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSVILMLLAAFAVAGASAATFSVKNNCGFTVWPAATPVGGGRRLNSGQTWTFGVPAGTSSGRVWGRTGCSFNGNSGHCSTGDCGGALACTLSGQPPMTLAEFTIGGSHDFYDISVIDGFNVGMAFSCSTGVGLVCRDANCPDAYHQPNDVKTHACNGNSNYQVTFCP >Dexi7B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:7B:13091899:13093990:1 gene:Dexi7B01G0005620 transcript:Dexi7B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLDDHDEYAKLVRRMNPPRVVIDNDASDDDTVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGNWFMDVFNVTDRDGNKVVDASTISFIQKTLEADDCYYPEARNTVGIVPSEEYTSIELTGTDRPGLLSEVCAVLAAMGCAVQSAELWTHNTRVAAVVHVTDADAGGAIADADRISDISSRLGNLLRGHADDARAAAGAAAHHKDRRLHQMMFEDDDPSSDSAADSASPETRTEVSVTACEERGYTAVVVRCRDRPKLLFDTVCTITDMEYVVHHGTVSSEPGGGAYQEYYIRHVGGEPIRCAGERRRLVRCLEAAIERRTAEGLELEVRTGDRAGLLSDITRIFRENGLTIRRAEISSADGEAVDTFYLSDTQGLPVEAKTIEAIRAQIGEATLRVKNNPCGGGAGGDAARKDADVAGAGTTAFIFGNLFKFYRPFQSFSLVKLYS >Dexi1B01G0026730.1:cds pep primary_assembly:Fonio_CM05836:1B:31549986:31552670:1 gene:Dexi1B01G0026730 transcript:Dexi1B01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSGAGGSSPAHAPPGGGGGGSGGGGPYHHRSRFGDTTLTKVFVGGLAWETPSDGLRQHFEVYGEILEAVVITDRETGRSKGYGFVIFRDPESAAQAVQNPNPVIAGRRANCNIAAFGPPRPAQGGRGRGGGGGGARGPPVQDQPPLGAPYRVPSQMTPPHGAPVFYHPQIG >Dexi2B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:2B:6390754:6394414:-1 gene:Dexi2B01G0006490 transcript:Dexi2B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIVGFGGVGKTTLAMEVCKLLEDVFPYQAMVSVSQAFDPTKDIKALLDRVLQQIVKPKKEIDKGIKEEGTTLQGSDVVDKLKNFLDGKRRAWEAIRAKLPADNKHDCQGRIIITTRIEAVATACCPNRDCILRVKPLNTADSNKLLLTKVFGSEDASYPSQLEAVMGSILKRCGGLPLAIVSIASVLAGHKSAESIGKWVRVNNSIGSLMESNPTLEGMRHIITLSYNHLPYELKSCIMYLSMFPEDYEIDTDRLLCRWIAEGLVPEKRGLTLMEVAESYLEDLVSRNMIQRYAGWHYDELCRVHDMLLEVMVSKSLESNFVSLLGGPYAGISYDRIRRLSIHGAVARTNKKAAGGRHGSRRHGSFQEMDVKHVRSLSTFVEAEPEAGHHSLQLLDQLGKFTLLRVLDLENCKEVTDKHMSSICRLYLLKFLNLKGTGITLVPPQVGKLEHLQSFDVRDTRVQELPQTMTNLEKLERIMSPEKAGWEPVWRLPQGLSNMKALRQVAGSFLGNDVQVAQELGELQQLQKLLIYMRRADSSDELLDMLAESLCKLSTLQALNIAEIGNEHGNLLNFLHRLQQPPRLLRYMRMFGGMEGSPPWVDSLVNLTVFEIANGVSIDTDRLFDALGSRHIFPALRNFSMSLPYNTELREIQFQEGSMANLKTLIVRLGPNEVGMVGSKHLKNLKEVHITGPRNSRPFNCAIEELKAESASRPESSRFEVSVSYW >Dexi4B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:4B:1275275:1275573:1 gene:Dexi4B01G0002040 transcript:Dexi4B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLLRQDHEYVDAVEHADSAARDADDDGGGARLRVEASRVPRDIGAFQRSTAFVVVVFVVFSGAGAFRSEAAATLVLMRCCCDDSTLEEENPP >Dexi2A01G0016660.1:cds pep primary_assembly:Fonio_CM05836:2A:28363411:28363629:-1 gene:Dexi2A01G0016660 transcript:Dexi2A01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSGASPSPYGLHGHVVLGSRPCRPAPSPSRRPCCPTPSAPCPPVVEPSRPPSPPPQGMAVAGVARPCHG >Dexi9B01G0021350.1:cds pep primary_assembly:Fonio_CM05836:9B:16120854:16123962:-1 gene:Dexi9B01G0021350 transcript:Dexi9B01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGEVEEFSPKSKGLEAKMAGPSDPTPPSNSSALAFKSNASGPSPGHEPAVGSLASTEAAAPEAHVPSDPVVAVLGVVEELRARGFLAGLETPEAAELTGARVAGLFDGVLAAFLAAAGAPSLPPLALADGRKVELLRMFLAVRAHGGFAAVASWSAIAEAAGLDPSADAVVVKLLYCKYLALLEHSIGKPRGDQEVESSGNADRRLGSEEDRFVAPAKGPTTAGSAQLKRKRDPLVGMLNWVRLVAKNPDGPGVTGRKRERDSHIFTALMLRDHMFLNKDCRSGSLSSQNIDRISPPPIRHSGQADIPEWKGKPSLPYDDPHALKFLGEPILLPKASEDLDIGSIGKGRQDDCNCQFQGSIACVRFHVAEKKIELKRELGSAFYEMGFHHMGEDLALTWTKDEERKFNTTIQENLIPSKSRYNLWDKLLSVFRTKGREGLVSYYHNVFQVWRRAYQNRLAPNSPDSDDGSVEPGFLYLHQGRGQSSSSSSATSRTRRNY >Dexi9A01G0038240.1:cds pep primary_assembly:Fonio_CM05836:9A:42567283:42568153:-1 gene:Dexi9A01G0038240 transcript:Dexi9A01G0038240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLASYAAAAMSPTLDLRPEMAHPHQPAMSPSHHAWDGNGAAVAPTPMPKRLDGKVAIVTGGARGIGEAIVRLFVKHGARVVIADIDDAAGEALASALGPQVAFVHCDVSVEEDVKRAVDWAVSRNGGRLDVYCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNAMGPALGMKHAALAMAPRRAGSIVSVASVAGVLGGLGPHAYTASKHAVVGLTKNAACELGAHGIRVNCVSPFGVATPMLIN >Dexi5A01G0012620.1:cds pep primary_assembly:Fonio_CM05836:5A:9477650:9478923:1 gene:Dexi5A01G0012620 transcript:Dexi5A01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKHLLKLICSVLIFAELILGNNDDMEVLIKLKDFLQEHNQINRGAYDGWLESEASPCKWQGVGCDGDGRVSSLDLSSSRISGPIFGNFSRLTGLTHLDLSGNSITGELPHDLNRCLGLKHLNLSSNLIGGVLNISSLTNLKTLDVSYNRLEGAVSMSLHGTYDELTTLNVSSNNLRGNITCLFDNCSRLEHVDVSLNHFTGQVSHGMSSLIQFNAAENNLTGSISVSMFPKGCKLQFLDLSRNHLFGNLPNSIGNCSGLTYLSLWGNGFDGLIPPGIGAIPGLEKLILRSNNFSREMPLELMNCTALNYLDISDNNFGGEAQGLFGKLTSLTNLKLHSNKYTGGIVSSGILRLPKLTMLDLSLNWFSGELPTEFAGMESIKYLVLAENKFSG >Dexi8B01G0005110.1:cds pep primary_assembly:Fonio_CM05836:8B:5006381:5007816:-1 gene:Dexi8B01G0005110 transcript:Dexi8B01G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKSTIPLQLPTVAPVRVGLHDNCKTRCGDVRVPYPFGISPGCYWPGFNLTCNTSYNPPWLLLDSKGTLEVVNISLLESTVRVVYHTLTYTNSTSDPKINMLIYFYIPDIGEPYTLSTKNEFIFYECNVQATLYGEYSNVQATGRIISSCNSTCRSNSNYIVYQDGAAGSLVVPPHSKGGYCSGREDGCCHAPIAAGSTPKRMEFKGLNLQINQLNFDIMHGVALVSEDGMTDQWHMTLNNSYLLTSPWTSRLNHFCLSSPLVLRWAVKQGFPTYSSDNSSGQCPREVSNSLCRSEPSSCRQENGGFMCYCPKGYLGNPYVVGGCQVIDECQMMKQSGKQCFASCINFPGGGHECRCPRGYFGSPSKPGGCIPTGHTQWILL >Dexi6A01G0014810.1:cds pep primary_assembly:Fonio_CM05836:6A:22200222:22206892:-1 gene:Dexi6A01G0014810 transcript:Dexi6A01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVIETTLVAPSEDTPRRELWLSNLDLAVPKTHTPLVYYYPSPAANGGDAITATEGRPDDTFFSPERLKAALAKALVPFYPLAGRLGVGEDGRLQIDCNAEGALFAVARADFAGDDVFGRDYEPSPEVRRMFVPFVPSGDPPCVMSMFQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGVSRGLDVADACGPTPPFHDRTLLRPRSPPSPTLDHPVYSPSLLNGRPRPFVTRVYSVSPKLLADVKSRCAPGVSTYCAVTAHLWRAMCAARGLPHGSETRLRVPANVRHRLRPPLPRSYFGNAIVRDLVTTRVEDVLARPLGFVAQAIKDAVDRVDDAYVRSVVDYLEVESEKGSQAARGQLMPESDLWVKTNGFEARAIDRDIESSFVAPSEATPRKGLWLSPLDLVQAQRGHTPTIGFYRSNEAAATDFFDVAKLKHALAKALVAFYPLAGRLGVDNDGRVEISCNAEGALFVAARSDDFTVDDFIDFKPSPELRKLFVPRIEPSSIMMAIQVTFLKCGGVALGVALHHAAIDGISAFHFLRTWASISRDGDRAAMEMELPCHDRILLRPRSPPAVHPNTLSAFCPNLTVHEPSSGPNASEVFTVTSDQLASLKHLCGGVSTFCALVWRCACVARRVPPDAEASDHPSPATTSATRS >DexiUA01G0020620.1:cds pep primary_assembly:Fonio_CM05836:UA:42887390:42888994:-1 gene:DexiUA01G0020620 transcript:DexiUA01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPQNLMKVLTPGTLCSWPESGRHVVGVRRRPRQAGRATAAGVGACCAITSRATARCCLAPRSAMMASELGHWSTVPRLDSLPLRSKRPLLRISLAQPRCALCPSSGGASMARNSVLSSVPKHSTEHPHSFPQLAPSHFPSPRVRSHLLQPPAARTELESRAKFVLIPRPSPNSSRTELDHFPSFHFPHFSRAFPNSPARNWIFPQILISGRRSTSTSSAHFEPSPRSTEHSNSFTESHWCSRAPLTPPRQPHRHRTSPTTSEPPNRHHSTRGELLVLFPHFSDLLPPSFGRCNTVDEPRT >Dexi3A01G0036520.1:cds pep primary_assembly:Fonio_CM05836:3A:42068349:42069639:1 gene:Dexi3A01G0036520 transcript:Dexi3A01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKDKRLYSVYIHATPGYTSSEFSPASAFHRRHVPSKVVEWGEPNTVDAERRLLANALLDVDNDHFILLSESCVPLFNFSVVYAYLTRSHHSFVAAVDDPGAGGRGRYSGELAPEVTPEQWRKGAQWFEFHRDLAVDVVADDRYYPKFSDHCRTGCFADEHYLPTVLSIEAPARIANRSVTWVDWYRGGAHPVMFVEADVDEAFLRRQTTTDQGQCTYNGQPSHVCFLFSRKFAPDTLQPMLKLAPIMLGYG >Dexi9B01G0028680.1:cds pep primary_assembly:Fonio_CM05836:9B:31307124:31307549:-1 gene:Dexi9B01G0028680 transcript:Dexi9B01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVSYVSAAKLVSMVRGNHRLAIIDVRDEERSYQAHIAGSHHFDSGSFAARMPELVQAASGKDTLVFHCALSQVPDPPTLLSETLHPAIPFSMT >Dexi9A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:9A:9738840:9740525:-1 gene:Dexi9A01G0014770 transcript:Dexi9A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPSSEVMVRVEPGRQAKGELTLRNAMHTMPVAFRLQPAVRGRFSVRPHTGILAPLAAVTVEVLYLGSTAPEGPISGSGGGGGSRGGEDAFLLHSVVAPGTAVKEPVTALDSVNPEWFSARRKQVFVDSGIRASFVGASVAARLVAAGAVEALREVLDRSEPEWHSADAADESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGATPAGCDALHVAAAAGHNDVLKLLLAKPPASASSPAGSSSSAASFSSSFTSIDAAGRDGKTPLRLAAEAGRRDAVRALLAAGARADARCGADGGTALHAAARRGDEAVARLLLTHGVAGTAAVRDADGKTAFEVAAEEGHGGRIMDALGLGEAILAAARKGEVRSVRRAADGGASVEGTDAHGWTPLMRAAFKGRADAARDLIDRGADVDAADADGYTALHCAAEAGRADVVELLLKNGANAKATTAKGRTATEVAVAAGKSKVVRLLEKAGGMGRKDVSEKAAVAKGGSMDRRRRVRKGSISGSIRFGGGKEGFEAAAVTVGWSH >Dexi9B01G0040520.1:cds pep primary_assembly:Fonio_CM05836:9B:41041343:41047105:1 gene:Dexi9B01G0040520 transcript:Dexi9B01G0040520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAAFLSFLSSSPTHHTASSSFVSLRATPVLPVSLRAAATGGPRLSSPLRGRRIGAVVAQLPTTHPEVASGDKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILTSLGFDDEKARLLAETANEEAAMSPR >Dexi8A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:8A:26982447:26986662:-1 gene:Dexi8A01G0015910 transcript:Dexi8A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGALPSIITKLTILATGEYNLQNGLKGEIKFLQEELTAMKGALEDVAKVPAEKLPNGDEIWARNVRELSYDIEDSIDKVMVKFEGRKLDNLHGFRKFIGSSLDWLMQPMVRHKIATEIKEIKSRLQEVHDRHRRYEVNHKKPVTVADPVDPRLLLQHTRMTELVGIDEARDELINTMMEGNEVPMNKVNIVSIVGFGGLGKTTLANAVYQKLRAQYDCWAFVAVSQTPNLMTIFKCLLEDLGKKINDDALDERRLIEVIRESLQGKRYFIVIDDIWDINLWKIIKNALLDNDVGSMIVVTTRNRDVAEQVGGVSVLRLQQEDLAIIGRLPALRDLCLCVDYGLGIVVNGSLFPNLIRCKLLNFMPPVVFRQGAMPMLTDLEFTFALRETRRITGSIDSLEFGLENLRALQNIIVRFGDESDREHDREEAMAVLRHAVEIHPNRPKLERDDRGLKHLYE >Dexi9B01G0045670.1:cds pep primary_assembly:Fonio_CM05836:9B:45090977:45091201:1 gene:Dexi9B01G0045670 transcript:Dexi9B01G0045670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPAAMVKAAVLVAVVLLQCCNAIVAARPLLGVAAPAVAGGDGSWLGFIMQMLDKGGPSGPPPGGNPCCGQ >Dexi9A01G0040720.1:cds pep primary_assembly:Fonio_CM05836:9A:44449407:44450012:-1 gene:Dexi9A01G0040720 transcript:Dexi9A01G0040720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSDNFPQMQSPCRRAVQSLMDKARARSAQHVKELIAMELVADYTANPDYMKTWTEIMEAGFDKFEEAVEDTSKPTKITLQGFGEVDVSHLRVHADLARKAFDLRARLTAYWKSIVLRLVDGLALHLLVEKDLEEELGNELLANKLAGVEKMLAPSPSTGTKRERLKKSIVLLRQSKEVVANIMDRISAAADV >Dexi9A01G0040720.2:cds pep primary_assembly:Fonio_CM05836:9A:44450021:44451304:-1 gene:Dexi9A01G0040720 transcript:Dexi9A01G0040720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANKKASAADDPKHAVASAVTASAIAATGRVVATTEAKVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPEDIYDQVAKIIREYISPKESIILSVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDKAPEGLLEKVTMDDVNIGLGYVCVRNRIGDETYDQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQAGIIAKCLPDIVKQINDRLSRSSAELDQMPQDLNNAADAVRVFFHIVKKVCSSLEKVLVRGDFDEYPDEREFHGTARIAEMLTGYAKKLPAQCPTSSDDLFLIRCPKTSSMASGSTSRTW >Dexi3B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:3B:7865892:7869271:-1 gene:Dexi3B01G0011190 transcript:Dexi3B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKGSRKGKKAWRANISTDDIGDFFEKQTRDAHAGAAAIPSLPSDSLFYVDKPAASTSAASASDATTKDIPVKRKIEKKRDKILYHESLMKRNPFVQSIPSSVVSKKDKKKAKKKELQETQGEKSAPMEDDSAEKNLDIWAGDDKGDTKSKKRSTTSVIPAVEVEPLGCSFNPPFEAHQDALAQAVADERRKVLMNELGPTPVPLIVPGEALTEEDKFFLDADDGEEDAVDDDGDQDADTSVGQRKNKTKRVTRVEMNKRARRKERLRAEAEAKKMEIISKEIDRFEPAPVQVLLTEEISGSLRKLKGCCNLARDRYKSIEKRGLLAPSKRIRFALFLFLNCFNLEI >Dexi7B01G0019570.1:cds pep primary_assembly:Fonio_CM05836:7B:25019089:25019540:-1 gene:Dexi7B01G0019570 transcript:Dexi7B01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEASTSAAGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADGARLRRDLADRDRTEADLRARLADSDARLAAALEENAKLAKERDSLAATAKKLARNLAKVRPLLTL >Dexi9A01G0010020.1:cds pep primary_assembly:Fonio_CM05836:9A:6060162:6068983:-1 gene:Dexi9A01G0010020 transcript:Dexi9A01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPPSQPSQTTTYVVSQPTNKSTPSAISGRSPATAASSMSHSQGFHQQGSSGGVFGYSSDGFDRPADSSSQDHHHHVAQQSRRDKLRVQGFDPSAAAGHGLLPIDGDEHGEPGGATMYDHHAAAAGASNMLSEMFNFPAPPSAGPSATELLASQMNANYHRFGLRPGVAGGGLSGDAGWFGAAGRAGGLVLGGGANMGSLGETSSPKQQQGSSSGMAGLATDPAAAMQLFLMNPQQQQQQQSRSSPASPSDVQHQHQHHHEAFQAFGSNAAATFGGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQLPMALHGGGQVGGALGQQQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGGGRGGRGASASNPSKGGASSSGAAQSPTSAPKQEAPQLSPADRFEHQRKKAKLISMLDEARPPSLLSSFLSCMHGMAWHGHGCECVFADAIAAKASSDWMEAALKLPEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSGAGAGGGPESGNDPSATDDTHSPTTTGAAGQLAQHHQHGGVAPPGGVMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRMRYGPTGTGAAAGDVSLTLGLQHAGAGNAGPDGTGRFSLRDYSGC >Dexi2A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:2A:395058:395351:1 gene:Dexi2A01G0000750 transcript:Dexi2A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADEAGIVAGGEWPFSADAYADSSAIFAELGCWAAGLDVAGGEILPPLDPPELATLTAGSVSVDGGASSSSTDDGGAAQDEDADDGKRAAATEAA >Dexi9B01G0046630.1:cds pep primary_assembly:Fonio_CM05836:9B:45846275:45849809:1 gene:Dexi9B01G0046630 transcript:Dexi9B01G0046630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGRNGLREDDDDIAEHAEVFDGASDEEDVPPHLRALANAAQSGDVSALLAALGGAATGFEFLWKGGDGLTGASRAVTIVLTALAAALGRKTAATAGLEMTLGGMRRASDRKLTSRSTVAPFPARLSSRGSPASRLIGTLQVIFGYCGILHISSAIFIYADNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEMVQYMLNFAANRDGSIVRMLNTVDSEGDTPLHHAARGEHLDVVKLLLEAGASPKQENSYGQTPADMADQDTEVRTLLTAKQIEASTHMSED >DexiUA01G0027120.1:cds pep primary_assembly:Fonio_CM05836:UA:57897752:57899964:-1 gene:DexiUA01G0027120 transcript:DexiUA01G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTTAMEVRADGVAVITISNPPVNALSLDVIASLQRNYAEALSRSDVKAIEPNGFPALLACKHPLS >Dexi5A01G0018320.1:cds pep primary_assembly:Fonio_CM05836:5A:21833941:21835078:1 gene:Dexi5A01G0018320 transcript:Dexi5A01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFRACAATASWGGETAEGRNGYAVAGESHRPGQAGGLGAAFEARMSRPPRRLLLAGYGDHATWGPPARSCDQKCTGCFINEES >Dexi6B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:6B:3046769:3048374:1 gene:Dexi6B01G0003720 transcript:Dexi6B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPGGKRVEATDPGDRISGLPDELLHHVISFLSARDAVRTCILSPRWRHLWRFAPRLNVDAEGFASQIRFMEFVNALLLSRGSIALESFWLRANGPDIFLENFRDTAYLWIRHALRSNIEELGIVEHDQNDNEDESEFFQLVRFPFTSSYLEKLHLCYVEIDNHAIKKLFSVCPALEDLEMINSSIHLENGTFTGACSILGALSNLKKVGLLLPDDVEGTWEGEEFSFQIDMQLCQVVFANLTSLSLSDWCLYDNCKPLLYLLQHSPNLEDLTLKMRKLLDSYDSKYLDLSCSAVAADSATPFSCEKLRKVEIVCPNGNKTVGVLVTILLTKLMPPPEISIKPFSGFSWYVSVLLQFIMGENPKVLFFICCGLPYRADNSRRRT >Dexi3A01G0017310.1:cds pep primary_assembly:Fonio_CM05836:3A:13230299:13236634:1 gene:Dexi3A01G0017310 transcript:Dexi3A01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVVAATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGEGPEKGHSRTRELDSQELLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLATMEEYVREAPRMVPVREPLELPERLLLTYKPEESEEIPEPAPVEEPELVPPVTEVVSPPPKTEVPDTGDLLGLSDLNPVGLAIEESNALALAIVPTDGTSTTGNTAVQDKGFDPTGWELALVTAPSNTTSSASSSQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSHQHQQIPTMTQPNPFGPPMQPQMGMGPATNNPFLDAGFGPFPVANNGHQQHNPFGGAQLL >Dexi5A01G0032730.1:cds pep primary_assembly:Fonio_CM05836:5A:35143585:35144052:-1 gene:Dexi5A01G0032730 transcript:Dexi5A01G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIPHAKRASPVAQRARADGAARVERDGPGLHVGVEEVEPEGAGGDEERHGGRGAAQAGEAERVDQRAVDSATGSAASGRESSAAGGGEGAAARRRTSGGSQRVGLSMRNHASGAGSAGPQPGRESLPYGAETKRSASTVSGLMR >Dexi2A01G0007480.1:cds pep primary_assembly:Fonio_CM05836:2A:7286978:7287673:1 gene:Dexi2A01G0007480 transcript:Dexi2A01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATGAKLTQAEAELWCHTFGYLKSTALRCAINLGIPTAIHRLGGATSLSELHAALPVAPTKRLCLSRIMTFLSTSGIFTEETMIQQEEADPRYHLTTASRLLVDDDTTIASRTSCVSQLLTLCSSPLYFTASQNLAAWLKEEDDGAAAKARTPFAMAHNEGFYEVVRRDVAFGACFDEAMASDSRFVSEILVREYYGEVFAADGVRSVVDVGGHNGTTARAIARRSRT >Dexi7A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:7A:22927863:22928756:-1 gene:Dexi7A01G0012750 transcript:Dexi7A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQFLHLGIGLLVLLAAQHAPAVLIPSPECQTQCGDVEIQYPFGIGDNCSWSPGFNISCQVQEDNVHKPFIGDVELLNISLIHSTIRVLNHISTYCYDPSSGLMETSQWMLNASSSPYRFSDVDNKFTVIGCNTLAYIMDSDRTGYQSGCVSTCNNQSDLVDGSCSGMGCCQTAIPKGMGYYNVSFDGGFNTSNIWRFSRCSYAVLMEAEAFNFSTTYINTTKFNDTNIGQAPVVIDWAIRDETSCEVAKRNQTGTYACLSSKSECLVSLNGPGYLCNCSKGYEGNPYVPDGCHGM >Dexi9B01G0044570.1:cds pep primary_assembly:Fonio_CM05836:9B:44300447:44301809:-1 gene:Dexi9B01G0044570 transcript:Dexi9B01G0044570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGPSKETSSDQPESIIRSNSDEEAHQYWQQQLPDDITPDFKVLGQDETPGPDSLTFTGLSINDGIGASMFSPNQALSMQHRAYPFMRDRLSARPKNEFSGPAYIEERSQTTIMSPTAGSMSPTAAPWVKTVRNGGHFNSSRRDAGHYNGDSVIAE >Dexi6B01G0007740.1:cds pep primary_assembly:Fonio_CM05836:6B:9201084:9209596:1 gene:Dexi6B01G0007740 transcript:Dexi6B01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCFRRGLSLVQQHTRAPSPSPPLHPARLFLRHFYAFDGMGEGSASGKEAKGKAKAKAPAAASALVVVRDDSYLEAVTQKRIRMFEEIQTKQALERLNIGGEVIKVTLPDGAVKEGKKWITTPMDIAKEISSGLAASCLIAQVDETLWDMGRPLEADCKLQLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNEEHFGIIESQAQKAVAEKQPFERIEVSRAEALEMFAENQFKATIVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHLLEEAKKRDHRILGQAQELFFFHPLSPGSCFFLPHGARIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFDNRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRENQIKDEVKGVLDFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKIERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQVIVCSVSSGSVEYAKQVLARLHEAGFHVDIDISDRTIQKKVREAQVAQFNYILVVGAQEAETGNVCVRVRDSADLATMSIDGIITRFREEIAAFR >Dexi4A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:4A:4591544:4598339:1 gene:Dexi4A01G0006380 transcript:Dexi4A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLSRQQAQFDLLAGQASTLIQGRRARVSAMSAMNAVLGKIAATTQELAHYHSGDEESIYLAYSDFHPYVVGDLACAKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLIRGDSEKSHHHQRVVELQRLRSIFATSERQWIEAQVENAKQQAILSILKAQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVKAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLTAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNVQATVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFVDFFCNPERLKNQVRELTSRVKALQD >Dexi3A01G0034340.1:cds pep primary_assembly:Fonio_CM05836:3A:39522478:39524847:1 gene:Dexi3A01G0034340 transcript:Dexi3A01G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQDLLRHFERILHADPLIDEVGFLHPTQFRSLGCGQDGDSDSSPQAPEFQYEYFWCREHKLAISSEILPELYRAARDAYYNSRNDSSQAAQLMSHTKALLILCPDMLTAWNSRKMVLSEKYDLAKLMDELQLRWVLKQVAEQHQDMAELVEKESVLVKELAEVLDELKKSTKWSELHVADNCCFHYRRSLLLALLDSRLENGEDSLTWESETYQLWKDELRWDEILIRRYKGRESLWNHRRFLSQWWIQKFLALEDTCPSTTSQVDLFITQEIGLLSECLNDHADEFEESRVQAELSALYILWISKAATSSPSLLLNSLIQ >Dexi6A01G0006160.1:cds pep primary_assembly:Fonio_CM05836:6A:5862268:5864804:1 gene:Dexi6A01G0006160 transcript:Dexi6A01G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMIPSVRLSPAPAAFSGSNLRSKSASIPSISSLKPSKYVVSSLRPLYLAPLGGPHTAELKPQSRQPLEFRCAASAADDKESNAQVPVQSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMIFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVFVSRFLLGETFPVPVYLSLIPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWIAAQSVFYHLYNQVSYMSLDQISPLTFSVGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKA >Dexi3A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:3A:12721676:12726741:-1 gene:Dexi3A01G0016760 transcript:Dexi3A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSADAVAVARDVASSSPSKPAPAFDMLRYQRPASDCLPLPNGIAADGSGSGSSGTKKPPAAPAPRSSKDDDAAAAAAVESSRLAAFLASTSLEPKPRARAPQQPPAAQTAPSSSPAAVAIRSPARDHGSNHHHHQPDFSDPVTTTTTTGGSGEVLLQWGQNKRSRGRRDGASGSGASPLRRQPGAKIQRRSSPSPADKLMPPPSGPSYTRGSNLRAASPLPPRSGAGIGTSDSSQHSRGALPNHHHRSAEERGKSAAAGKQQRQAAPPPQQHKLGLGPVMGLGVPDLKTQQQYHHHHQGGGGASSSSKPAPKLELPRIYTTLSRKEKEEDFLAMKGTKLPQRPKRRPKNVEKTINFITPGAWLTDVTRSRYEVREKKSTKKQQKHRGLKGLESMDSDSD >Dexi4B01G0023850.1:cds pep primary_assembly:Fonio_CM05836:4B:25105975:25106196:-1 gene:Dexi4B01G0023850 transcript:Dexi4B01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAELWFEMSKSDPEDDEPAELQLCTLNEAAAAMSRASVTKSGQMSRNRHPDYEFFLSRYR >Dexi3B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:3B:11099891:11101886:1 gene:Dexi3B01G0015310 transcript:Dexi3B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKAAPPLCLLAAALLLLLLPSSGGAQAEETCSGAAAAPPRRGAWMSVASFGGRGDGQTLNTAAFARAVARIERRRGARGGTLLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGNGLQDVFITGENGTIDGQGSVWWDMWKRRTLPFTRPHLLELMYSTGVIVSNVVFQDSPFWNIHPVYCSFSIETGLQGLLRGSDSSNNVCIEDSYISAGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAIGSETSDGVENVLVEHLNFFNMGVGIHIKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDTSYNPNVLPVVDSVRIKNVWGQNIRQAGLIRGIKNSVFSRICLSNVKLYGRASIGPWKCQAVSGGALDVQPSACAELASTSETGFCTT >Dexi7B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:7B:19177579:19183170:1 gene:Dexi7B01G0012370 transcript:Dexi7B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQEVKAAAAAQAPGSKPFSLASTESVIGSLHNAAAIIAMQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQVDSSMDLALQDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNSTSGSATLNLLQSQAKAMGGDSAVRSLLEKMTEYASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSFSENSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAQEELTKKPEDISAEKLQSLLDIALRSTAAASDPTHEELICCVERGSLLKKLATLKDLDCACPADKLAAADVDQSMQLSITGLETFCLSNKVQWPLSLVISWKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLSPELLVKVEKLKALCLQYATSIQLLLPSIDVAHSENTSKSLKSRSRINKSQDRDQQLKLASENVVMSESILKFEAAFNSELQSLAPTLSNSSHAEPYLTHLAQCILGVRIDQ >Dexi3A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:3A:4849771:4855860:1 gene:Dexi3A01G0007140 transcript:Dexi3A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLSRRVAVMNRGEFQTSLVQQMIWSGTGSGGNTTTSSSSLKPCREDQEASPNQLPPLSSPSVLFSQQFPHTSSGQLVHMNGSAAAGSLASSLHDAGGQESHMPESWSQMLLGGLVGDHERYSSATAALLSKGLAAESSWGDQAAAAALKEEGSGMPQPAAYNFYGSHLAAGDHDMPAAAKSQLSQMLLASSPRSCITTSLGSNMLDFSNSAPAPEMRSHHHHSDNSSECNSTATGSAIKKPRVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKALSYPYMGHGNGTSMQNGPMGERNPAGLFPEYPGQLLNHNSSTGAQQPASQPDEQQAVNDEAKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >Dexi9B01G0020140.1:cds pep primary_assembly:Fonio_CM05836:9B:14896035:14912033:-1 gene:Dexi9B01G0020140 transcript:Dexi9B01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATETVKQALAALYHHPDDGIRTAADQWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSEAFRSLQDSLYVLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFESDLCSSANVAINLLTACMAIDQLKEQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQMPLIQILVPHIMGLKEQLKDPSKDDEDVKAIARLFADMGESYVDLIATGSDDSIQIVNTLLEVTSHLEFDISSMTFNFWHRLKRNLIKRETYVSYGSEVTIEAERNRRLQIFRPRFETLVSQVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLRVLSTKLAQAYGSCNNEQNPKWQPVEAALFCIQAIAKSVSVEERGILPQVMSLLPCLPHHELLLQTDCRRKFAGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPESARTALELICQPVINPLQELIQQGDQVLQQVPARQLTVHIDRLSSIFRWRAWDTRTMESICRSCKFAVRTCGRTMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLIDCAMAGITIQHREACKSILSFLSDVIDLTNSPDGENYREFINTIVLQRGATLTRIMIAAITGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERQRFLNIISDAASGSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQLP >Dexi8A01G0006390.1:cds pep primary_assembly:Fonio_CM05836:8A:6551057:6553293:1 gene:Dexi8A01G0006390 transcript:Dexi8A01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVFVLVFESTVLGSVESVVCATTSLGLVRTALSVSSRSGPWPNQSRVVAGMCGRGVNYGISTTGRGRSSWRNDSLGGVVAHDGPGEWPGPQRAFLRRDRHAAGAFVANLVCVHCSSPTSVRRELEVFIGLDSNFEHMEDHKVQAMRRLVHRSGKPVQKFYEAINGVADQLERAYDGLDASWRGANRETFVKMMVTDGCFLLETVWAVAHETGATAGDFTASDPRDPRSLLIWGRIRMDVVGMENQLPLFAIQKLETARRGGVAAAKNINEPALHPLDLLHRCYISIAVADRVSSPLDSKKWENTMPCAQVLSEAGIDFKKSRTRKFGDVEFKDPVLKGVTKSVVHMPMLRVRHDTERFLLNLMAFERLHPGAGDDVVSYVSFMRNMINNAADLFNNIYTGVLSPYSKLHLVQRKVSIHCDKRWNKLRARFVHAYLGNPWVFISLVAAIILLIATLLQTVYTVIPFYQHKS >Dexi9B01G0044970.1:cds pep primary_assembly:Fonio_CM05836:9B:44618140:44621907:-1 gene:Dexi9B01G0044970 transcript:Dexi9B01G0044970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTVFPVLRSLEYFTRPSIDELVEREVSDPGYCSRVPDFIIGRAGYGQVRFLSNTDVRGIDLNDVVRFDKHSVVVYEDETGKPPVGHGLNKAAEVSLLLNLKDLPEPSILVEVLRCLARKQGARFLSFNPVNGQWKFEVDHFSRFGFVEEEEEDVVMDEAAVRQPTAEVRERDPPSNGYEIELSHSLPAHLGLDPAKMQEMRMAMFYNEEDDEFMEDGFPSDERYVSRERMNVDSPTSSGKGSRLRSVSPLHMSSQKVGRRPGMPARKEPLALLEYNMNSSELGPSSQVMLMSGQNKGFPVKMTKVEGFKLPAEQETPVAGKMYSNCVVDAALFMGRSFRVGWGPNGMLVHSGSLVNSPGMGLSSVIHIEKVASDKVVRDDKNKIKEDLAALVFSDPMDLHKSLDHEFLETESDSFRLKLQKVVTNRFVLPDICRSYIDIIEKQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERANGNQLEPIGDDDQEGMVLDKKEGSVNIDLDALPLVRRADFSNWLQDSVCHRVQGEVGSLSDARYLEHILLLLTGRQLDSATEVAASRGDVRLAILLSQAGGSMLNRSDLSQQLDLWKINGLDFDYIEEDRIKVYELLTGNIEAALLDSPIDWKRYLGLIMWYQLPPDTSLDIIIRSYQHLLDEGKVPYPVPVYIDEGPLDEALQWSPGDRFDISFYLMLLHANEDEKFGLLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSSNDLHLLDLSFIYQLLCLGKCHWAIYVILHMPYLDDAPYIHEKLIREVLSQYCESWSKDDAQRQYIVELGIPVEWMHEALGLYHEYYGDQQGALENFIQCGNWKKAHTIFMTSVAHIMFLSLCNHFISCYFFSAHHQEIWEITSALENHKSEIADWDLGAGIYIDFYILKNSMQEESAMDDSDPLEKNNESCKSFFGRLNDSLLVWGSKLPVESSVEYGRACYSKMAAELCELLTNTPSQALNVHMGCFQTMLNAPVPDDHKSPYLQEAVSFFTEILCSDSR >Dexi3A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:3A:7917044:7922148:1 gene:Dexi3A01G0011110 transcript:Dexi3A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLVLVSDLDQTMVCVLIETFGLFRFRSFLILFSYVADQCPIFWIIYLQIDHDDRENLSLLKFEALWEAEFSQDSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNNWDRNIVVEETARFSQLKPQACLVVAMPERNQGPHKVSFFVGKQGAQDVMDSLPQKLEKRGLDVKVVYSNGEALDVLPRGAGKGQALIYLLNTLGSHGKSPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYQENAKTNPKIIHATERCAAGVVVKFYVLYEKWRRGELPNSPSVMQYLKSITHLNGTIIHPSGSERSLHSSIDALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEMEGGARYCCRTTLLLNMKGTERSTQLAPAIFAGASMDKLDGSARLMIVSDLDETMVDHDDLEDLSLLRFGALWNAEFAHDSLLIFSTGRSPIGYKDLRKEKPLITPDITVMSVGTVVAYGADMVRDVDWEEYLSSNWDRDIPENEQSPHKVTFLVDKQGAQGVTDALPRNLQKRGIHVKIIFSYGVLLDVIPQGAGKGEALQYLLNKLSSHGKGPNNILVCGDSGNDTELFSVPSVHGVVVSNAQEELLEWYEENAKHNPKIIHATNRCAAGIMEAIGHFKLGPNVSARDLELPFPRLDTIKPADMVVKFYVLYEKWRRGEVQKSSPVIQYLKSIAHLNGTITHPSGLEHSLDASIDTLSSCYGGKQGKRFRAWVDRVVTSPIGTNSWSVQFDNWEMEVACICVHLKFHIALDSHTCFNSQAEAPEGLELTRICKTWLEGQSAAGTEHTFIL >Dexi9B01G0028250.1:cds pep primary_assembly:Fonio_CM05836:9B:30874073:30876848:-1 gene:Dexi9B01G0028250 transcript:Dexi9B01G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVDFDLNYRPPSPEPAEAEEPLRAMLRQEQPFLDQMNLHKFYGSFWKQSSSAQSTLHSNQEHKLINLGARDNKNQEASTNSYKRKSSPNIAEQNSDVRTSARRKSCAYHSVIDLEKPSTSGDAVETVGCAGFSNLANQNGRSQDGSCLISPQNSSFVESGQLCRAWKSSRVSLDSVGSSCTPDCQSPIKPSNTQSRHLQIDLNVPQEESLLVSSALFHSSSTYPGNFLRSTREVSETKCSYGIGSMRGSSITVTPNSVADSSRDIVAKSSVQRKGLFDLNVALENFDMPSEVISNYRDKVVSKGTALDHSFSGNKSLQAGTSMKYLAHGNEHMLARKDDNNVFLPTSTNNSISKVRSPESGTINKDLLIPESPLVDNNVPRLSISHNKASNLQEGSMFQPEAHDDDITASVAATTLLSIFQHNSALTADCSGNSSQMASQNGNNEPQPSLDSFEKIALSLEEIKDDGQSINVTPPDKEGPACGIKLKRGRGMRNFQREIMPGLVSLARQEICEDLDAIGYEPKKTRSRKTRKGQGASSTRPRPRKRGSNARK >Dexi1B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:1B:20607712:20611342:-1 gene:Dexi1B01G0014330 transcript:Dexi1B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGENQDDNQTREPVDVLREESSAAAAATAAAAAVPPPPAPPSERLQERTHGQLEAGGRNPSPATAAAAAEPPAPAPPSERLQERAHGQPEAGGRNPSAAVEAAAAAQPKDKGIVSPSSRSPAGGKPFLPPRAASVSRAREPSSASGKPPIPRRPHASKGKKPMSAAEVVESGMIVRDKGRETGEDRIDLKDTFDGLLLESRKIRKMEEKDEPEKDQVKLPTMCPEKGYKRSHWRKTIPMPNMITHYRLLEMVAARGVEFHANALNLHRKYSEFRPEQVLDRHDTHEEHRLLVAVKEVARQHARLGWASEFSRSHKAFNKLIKKVMKWKKQDRWKLVPTTSRKEKLLRFFNSYGRRNDSEYKALHPTPSSGFVTAIWMCSHNEDFEPLVPEINNSYTLKDWCFREVIPDKVFTDHVPIVALVTALGVPLRVEYLFQAAGQDLYTGQGSHDNMPRSTSCPSHPHQIPADHKVPRVTVLYTVAHYDIIYPHPDAAVNQHSDEAESPTSGSTSQDQGGSCSGEKSGKEQIA >Dexi3B01G0002720.1:cds pep primary_assembly:Fonio_CM05836:3B:1821685:1822814:-1 gene:Dexi3B01G0002720 transcript:Dexi3B01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWCYKTTSSCPVAAGAPVRGAGLPHVAPPRPLCDLAFDLAAAAASVLESPPPAMPSCRWRRPEPGTPAECALCLLDFVEEDRLRAMPCSHTFHQDCIFRWLHVCPLCRHQLPTQQQHDDEDENYLHDYFDQQYRRY >DexiUA01G0008400.1:cds pep primary_assembly:Fonio_CM05836:UA:15647545:15656983:1 gene:DexiUA01G0008400 transcript:DexiUA01G0008400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAELEAAERVVMRWDSASAGGAGGDEPMLFDGAGDRAEADRFLRAVDDLRRLAPPSPAAVGSPRRLSSSSGSSSAAAGTGAVQVAMARLEDEFRHVLSSRALDLEIEALADLSSLSINSDRSNSASSADLPPPAAAADEEDSSVSSSIGRRSSAYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLDATPPAKI >Dexi5A01G0036160.1:cds pep primary_assembly:Fonio_CM05836:5A:37812179:37813607:-1 gene:Dexi5A01G0036160 transcript:Dexi5A01G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRIELNHTGEEVKPGTTVSCQAGDGYVIHLSQFTKGVLLHECHLCCFFCSEEKSAANVPMKDLKNIKDDADEEMSGGDDDFSSDSDDSGMSVDDSSDEETSSGDELSDDSEDESDDSEEQTPTPKAAGKKRAIEAVTPSVKKAKVEPSGQKAGDKKGTPYPSKQDTKTPADKSVKTPATDKKSKEKSLKSGSHACKPCSKTFGSESALESHKKAKHGA >Dexi3B01G0032830.1:cds pep primary_assembly:Fonio_CM05836:3B:35274497:35289328:-1 gene:Dexi3B01G0032830 transcript:Dexi3B01G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYRLGLELAGAERHEPILFRARTPRTQQPSDTRTERGKRRVGNSHREKVPHPVPALLPSPRENAVDRRRKTAKFLAAARTRRGTEDFAADWWVALVEKEGKEGGEERESQRGFIDCEAAASWKKTFQMFLLVGAPNWAAPEPRLSLKKKFEALSNPNPIHTKPQETAMAGGEGSSRSKSAKRKAEAMKEVVATDEATPVGETKEISRWRSAHGHEYPIEETEELTVWKAFYEVGFGMPTCDFFRLPRAKAGATSKRGEGSKRAAATDLSAPVPKRARTLPKPRQVSTARQPLSEEEIIHNIFNPASTPYAGSVPVVEENYPAGPVAVEQEPEEEFTLGEPEIPMRPTIMAPEAVVPEEPRRNPETTLPEVLSAAPATDPPEPVEAQVEDTIAEVLADIEQLAERHDQNNTEPPSNVGTSQTSEAVAVPETERSRGKQAEQSSQEQVIEEIPRAPRSTEAEEEIGNFRIGTFEYLLDAEENEEHIDRGLYHAERAVAYFKAVGEASRQKTEYIRNISLMHAKAECLQKELEREREDRRAQEVEDANMIRTLHLRSKELSVEKNDLKKKLATAKSELKEALKTLFAEHNVIKEQLRVAVQQRKDADVQLIQVIEQQKKTVKDLEDAREDNKHLSEELVQLRENLADKKTLDEKLEQTTRRMSELEEAMQQMKKSDEYLAEALKRIALLEKGANRPCGSAILPGSSEGHASKDHPKPEAEPIAEAIAQSLKL >Dexi5A01G0030470.1:cds pep primary_assembly:Fonio_CM05836:5A:33388786:33391608:-1 gene:Dexi5A01G0030470 transcript:Dexi5A01G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPPPGCSLLRVAAAAPISAASTSVSTPRTPVFLPSSRSVSTRRHFTGAWSPKAAASASVEIQDEYADEMDAVNIAQDVTQLIGKTPMVYLNSVVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIAIASVAAARGYKLIATMPSSINVERRILLRAFGAEIVLTDPNKGLKGAFDKAEEIVLRTPNAYMFQQFNNEANSEVIGVEPAETSVISGDNPGYIPSILDVQLIDEVVKVSTAEAVDSARELAIKEGLLVGISSGAAAVAAINIAKRPENAGKLIAVIFPSFGERYISSIVFRPIYDSVRRMRKK >Dexi9A01G0045800.1:cds pep primary_assembly:Fonio_CM05836:9A:49326316:49327069:1 gene:Dexi9A01G0045800 transcript:Dexi9A01G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKEQRGQDAAGANGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDEDLPQAQQQRNQAQPKGQPKLGRPAGDHRRPLHARDHSPTE >Dexi2B01G0032910.1:cds pep primary_assembly:Fonio_CM05836:2B:40663764:40671834:1 gene:Dexi2B01G0032910 transcript:Dexi2B01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIPAEVRRYWLPILLFTAGFLFQLLVLPRHFPPSHYDALGIERFAPVERVVEAYERLSKEWLSEMSHQPTVDIIKIRYAYELLTNPILKRDYDLFGLDEHAAVLERAKEQYQKEHFLKIDLPLLKESLVSLNDDTFSVLTHESFMSAIAEEYPLIILVYSKGSPRCAQFMEYWKQIGIRLDGIAKTAMVEVGDSQLAGYFAEKGFSKLPHFRNGVPVLVAYPANCRSPFCYMRYPGGLSVDSVVDWVATSVVGLPRILYYSKEALLNSLRWAAGEDDTRGTGGFSRGPLDGAHAPPLTWLDIPTSPSSVHGRPEASVGSLFLTGMMVLMQNCYFFFRAPNSLGRADIIRSKLSFSQVPESVLPHSFAKLPVSIQVTHHSHLSYGEKMNPRFGGTRTFSKLEFTEMMEEHKHQELRQLRSDTSLELGCDARGHSRAGNDVAIWYCVIVAGRPGVELSKKRHILRKAQDQLIGAVDRSSTGNVDNSVDISSAATALKDERLTFVWLDGDIQKESMFFDCTSYSTSIFLQQICAFYLATDYSGACGPRGFGDDDDKSELFIVRFQRNATYEALKAEKKNNLVEALQGQHADASQLVARYKGPDETQETSKVPDLVPEETSKEWLSSKRIHSAGKSLKQKIGFHFQDYLTDPRIGSTLLMCGCISFGIILLRNNKSTQNTQQEKAPKDKNKRPRPKLSTSLFGQPESVADVEPKDARQWEMSDSDSD >Dexi8A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:8A:19501936:19505085:1 gene:Dexi8A01G0011030 transcript:Dexi8A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFVNPLWVPDDTDTQQAPPPTPMMMGSTQSMEQEHHQNLLALAAAADMGGGVFGSTSVLDDEWFFSTAAGNSAAAQGSLLLPPQGQGAAALSLGAGSSQMFSLFNNMGGATTFDLGLAGVGGGGGGGVSGGELSSFVGAGSASNSAPLSAIPAGNAGFLGSFGGFGTAPAQMPEFGGFNMFTNAAGSSSAAAAAAPPASASLTAPFSARGKAAVLRPLEIFPPVGAQPTLFQKRALRRNAGEEEDDRKRKAEALAAAAGASSAGGGDAVLDDDDDGGSIDASGLNYDSEDARGVEESGKKDDKDSNANSTVTAGGAGDGKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPSTASLPPTPTSFHPLTPTLPTLPSRVKEELCTNALPSPTSQQPRVEVRMREGRAVNIHMFCPRRPGLLLNAMKAIEGLGLDVQQAVASCFNGFTLDVFKAELCKDGPGLLPEEIKNVLLQSAGFQGVV >Dexi1B01G0024370.1:cds pep primary_assembly:Fonio_CM05836:1B:29694947:29702055:1 gene:Dexi1B01G0024370 transcript:Dexi1B01G0024370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSRRLLSPTAAAAARLPSTFPMVAIHSPNSSTASASEPNPGAPTTPTSPDEMRHQEIEGPTVERDTSPLAYETRGELDALRRNVQRLSGSLALLGGAHLAGGAWIAYGAPPLGVESAAAVQGATKNVNLMLLRTRVMAIACALGVSVASVAAILMR >Dexi1A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:1A:1653925:1656599:1 gene:Dexi1A01G0002450 transcript:Dexi1A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERRDPSKGRKEGRKEGREMASFFSSSSAPYGAAAAPAAGWGYDSLKNVRQITPAVQTHLKLVYLTLCVALASSAVGAYLHVVWNIGGMLTMLGCVGSIAWLFSVPVYEERKRYGLLMAAALLEGASIGPLIKLAVDFDPSILVTAFVGTAIAFACFSGAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEDKKRKKRS >Dexi8A01G0002180.1:cds pep primary_assembly:Fonio_CM05836:8A:1457996:1459333:-1 gene:Dexi8A01G0002180 transcript:Dexi8A01G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVQRTFTTPPPPSGPPCSEVQPTIFDLVAPPYHVTVLFAYAPPNPTNTTLLHALIATIPRFPLLTARRILDHHTNRPLFLTGSEGNAGALVVEATVSSPLSDHLPLTPSPDLERLHPPVDKATPHVLVLQLNRFACGGLVIASSAHHQAADGYSMSLFLHAWADAVRFGAAPPLDYLSNHDIDVVPYGPSAIAPRRPPRCEFEHRGVEFLPLDAAPRPVPARVHPSEITNLMLHYTAKHVAELKAQAQDRYTTFETLAAHLWRKITVARSRAGDDDYRTALNVTVNGRARLGTDSIPKGLFGNAVLTASSGKASARAVVEEGTLADAAAMVRAGVRARDRRYFQSFIDFGELHGDEEMEPMVGDEDNVLLPDVAVDSWLHLELHRLDFGCGGRLVGILPAHSPLDGVVVLIPSLRKEGGVDVFVALWDKHAEVVRDIAYTMK >Dexi3A01G0023330.1:cds pep primary_assembly:Fonio_CM05836:3A:19025172:19026443:-1 gene:Dexi3A01G0023330 transcript:Dexi3A01G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSRASLALLLCALLIALASAGGSPLSSTFYDASCPSAHDVVRRVIQSARVSDPRIPASLTRLHFHDCFVQGCDGSILLDDDLPAIQTEKTAPANNNSARGFPVVDDIKAALEEACPGIVSCADILALAAEISVELAGGPRWRVLLGRRDATVTNIQSAKNLPNFFDSLNVLQEKFRNVNLNDTDLVALQGAHTFGRVQCQFTRENCTAGQSSGALENLDQVTPNLFDNKSYGNLLQGRAKLPSDQVMLSDPSASTTTAPIVHRFAGNQQDFFRNFAASMIKMGNISPLTGKDGEIRKNCRRVNKGY >Dexi4B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:4B:22309155:22313332:-1 gene:Dexi4B01G0020070 transcript:Dexi4B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFSATRPSPSGREKGRRKGGGADQLLTDQVLSLRSRLHDALALGLAKERTKIKLLERNEKQFSIFFKKKSSNVYVRSDGHGTKKWQSTDAGIQSHVLKAAVPFVGCLSNELLKLAPIKESISDILVALEGILKTKNVSVLIQAADVSLKLVSSIGNSIRQYPTEEMASLLSSQLSAEQPRIAVPCAAALTCILNSLVPARTSAQADIWVAHAVASAISAIQKYNCDVHPLNYLTEMISLLRSILWIWPSSRYPVWSNRNLMAKLTRYCLSAEITVAAKILKLYAALALCGDGALVLLSSEELIAKIGVLMGKSHPTFARIEALKLCQVLLSLQEMLQKEQPRWNSNCPKYDLTAAVESAQDSSSDIILESQSKDKMDCLRSSCELSTPHVHGHKIVLIMNSDYLRALFRSGMHESFSEAIKVPVGWQALDKLVKWFYSGELPRIAPDCRWKNMSSEEQLSHLKAYAELSSLAEFWFLEGVKEESLDVVASCLNSSTNASVEFISFAANLGQWELVEATIGSVAHLYPRLRDSGQLERLDEDVLNMLRTEYVRYSQHRGGRN >Dexi9B01G0019320.1:cds pep primary_assembly:Fonio_CM05836:9B:13905452:13906000:1 gene:Dexi9B01G0019320 transcript:Dexi9B01G0019320.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRVLSTKLIIGMPFLLSVQVLVMELLRHSDTGVAFIPGLLKIRYIGQTTVGSAAIFGLFLGAGSLIHCGKSY >Dexi9A01G0048450.1:cds pep primary_assembly:Fonio_CM05836:9A:51247415:51247984:1 gene:Dexi9A01G0048450 transcript:Dexi9A01G0048450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAWESSSYSYHREVTAMAAEAAAFVDPTNAAYGAQTTKSARFKQETAELDAGAAALLQYSTTHLVELPQLESPSAPLAPNRRSQAASSADEAVDSGSRRGKKKARADAATDWRALDKFVASQLSPVECLEATAAGDVGSSSHCGEEDDDMAALLFLNSDGREEAERWTGLLGPAAGDGDFGLCVFEK >Dexi9B01G0007570.1:cds pep primary_assembly:Fonio_CM05836:9B:4630594:4633065:1 gene:Dexi9B01G0007570 transcript:Dexi9B01G0007570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTTRQRRRSRKLSVAARKFRRKVSAAIADAPIIRGAGDAAGCFARHGVVHVEAPDSNVTLRLTKLQWQHSQMDAGSVICEEAWYDSVSILESTDSDDDLDNDFASVSGDPLPDVTGGANAQQASPCKDAACLLDTVQLLRSIANAEACKGEPPEKSGDSNVATTAHDGSCCKADECRCGGAPRELQSAVSCSPRPFQPSIPSNKVQPMPVGGVSPHQQQKKKTAMVRLSFRRRSYEGDEMTEMSGSANYLYRPRAGFTVPCSAGEKMPEGCWSAIEPSMFKVRGENFFKEKRKCSAPDCSPYTPIGVDMFAYTRKINHIAQHLSLPSLKTHETFPSLLIVNIQLPTYPATVFGDHDGDGISLVLYFKLSESFDKEISPQLQDSIKSLMNEEMEKVKGFPLDSTVPYTERLKILAGLANPDDLQLNAAERKLVQTYNQKPVLSRPQHKFYKGQNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADNGQIPTLITAADE >Dexi9B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:9B:1997298:2000407:1 gene:Dexi9B01G0003500 transcript:Dexi9B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSCKLSDSDSVVSSAAAAAARRVRPDTMSCGSDGCRDGGSSGSDEFRRPRPSKVAADDSVAPARNSDAAAPARSSDAAAPASWIDRKLLVDPKMLFVGDKIGEGAHGKVYKGKYGDQIVAIKVLNRGSTPEEKATLEARFIREVNMMCKVKHENLVKLLCADNLLLTADRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFQSTDVNNTFSCSYQQQKRPSLPEETPQELVFIVQSCWVEDPTMRPSFSQIIRMLETFLMTIPPPPSEPNEDEESEETRSSLSSRSSSGSSLVSRATSKLSVVRHLFASKKAGNGKA >Dexi3A01G0025710.1:cds pep primary_assembly:Fonio_CM05836:3A:21475237:21478261:1 gene:Dexi3A01G0025710 transcript:Dexi3A01G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTVESIRSMQIRQVLAQIISLGDALCRNLLFFTSDCFSFPEVFGDQISAYDCYLSIDHMEGVDSCDGERGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQDTAEVEILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >Dexi2A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:2A:29972591:29974236:1 gene:Dexi2A01G0018000 transcript:Dexi2A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNSLIGILNLVTFLLSIPILVAGIWLGHRSDGTECEKYLSAPVIALGVFLLVVSLAGLIGACCRVTWLLWVYLLAMFVLIVVLFGVTVFAFVVTNKGAGEAVSDRGYKEYRLGDYSNWLQKRVENNKDWNRIRSCLQDSKVCKKLQDKQETLAQFMSSDLSPIESGCCKPPTSCGFTYESGTNWTKTATNSTSDPDCNAWDNSPSTLCYGCQSCKAGVVATFKRDWKRVAIVNIVFLVFIIIVYSVGCCAFRNNRRDNARHGGWKGGYA >Dexi8B01G0006030.1:cds pep primary_assembly:Fonio_CM05836:8B:6775843:6779115:1 gene:Dexi8B01G0006030 transcript:Dexi8B01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGSRLLLGITRLGNDQEQQQSIVGQTPLITGSRSSSSGGARMNNVLLNAATYGDVASMEDLAADDPAMLLATNPQGNTCLHIASMHGHITFCSDAIVLNKSLLGVVNKDGETPLLVAVTSGHDDLASLILSQCLAWEQGSDSILQQDKHGCNALHHAIRSGLTELALELIAAEPNLSKAVNSDKESPMFIAARRDFMDIFEQLLSIHDSAHCIVRRIMETRPGLAKEEDFAEMTPNEVYMLLRKADPNIVTSPYILHGAVKNKVTDKSRKDIKALTTKYTNNTSLVAILIATITFAAAFTLPGGYKSDGADEGHPILARNLAFQAFLISDTLAMCSSLVVAFVGIMANWEDLEFLLYYRSFTIRLMWFAYMATTIAFSTGLYTVLAPRLLWLAVPVCLLTALLPILTMVLGEWPIWKLRIQLRQNFMSDLLDMV >Dexi7B01G0007370.1:cds pep primary_assembly:Fonio_CM05836:7B:15260518:15269556:-1 gene:Dexi7B01G0007370 transcript:Dexi7B01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHIEAPSYIHIESNDFSYRKHKRQKEEDIAVCLKDAYIIYLNADESIDATRKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPFGTELSYNYNFEWYGGVMVQCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSTDDEPTSINKEIFLGNGGLIAQYDNGDTAKITENARTESTNEFAPMMVEQFTASLNELAPMAVEPLAASSNEFVPMTIEPLNAIPMAAQFVENGLTEYSAQDTHLAPQNSVPEAANHQNQTESQNSSNHLALVPVKSIPKRRGRKPKRVLVKQMDIPDICNRLTSSVAREEILYCEEVKNHAASEIDALYDEIRPAIEEHERDSQDSVPTSLAEKWIEASCGKYKADFDLYAAIIKNIATIPLRSKNDMAPKEQNGLKYLENGS >Dexi9B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:9B:755320:757449:1 gene:Dexi9B01G0001310 transcript:Dexi9B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKANTVLVLLAVAVAAVGAAPAAAGAFRRVYAFGDSFTDTGNTRSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAEELSLPSFLPPYLSSYSSSSGNSNSNGSDDVGVNFAVAGSTAIEHDFFARNNLSFDITPQSIMTQLAWFDAHLLRAKANKINVADALFWVGEIGANDYAYTVIARDTIPPKLVRDMAVQRVTTFVQGLLERGAKYLIVQGLPLTGCLPLAMTLARPEDRDNVSCVASVNRQSYAHNRRLLAGLHQLRQRHPGAVIAYADYYAAHLAVMRSPAKYGFAEPFRTCCGSGGGAYNFDLFATCGSPEVTTACAQPAKYVNWDGVHMTEAMYKVVAGMFFQGGDDYCRPAFSDLLAMKAKGKP >Dexi1A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:1A:9045918:9046388:-1 gene:Dexi1A01G0010460 transcript:Dexi1A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEGTDCGVLSRSPDSHLLAWSSKHGNLLCACRDMRSCDLQRRREDPGISMPVSTDGAPTVGENVKDVVISVMNISKDSTSHGSLRRRHLGEGHQPRPLPRRASDSAGSSFGVDGEKEFHRRAAAQCDLQRRWKKRGYQPARSPAPEMSASTFI >Dexi1B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:1B:5293220:5298719:-1 gene:Dexi1B01G0006450 transcript:Dexi1B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELATQVLIPVAAAVGIAFAVLQWVLVSKVRLTPERRADSGAAKSSGPSDYLIEEEEGLNDHNVVEKCAEIQSAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIFNFGVQKTVQSWQLFLCVSVGLWAGLIIGFITEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTISGIMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >Dexi4A01G0001280.1:cds pep primary_assembly:Fonio_CM05836:4A:847795:850149:1 gene:Dexi4A01G0001280 transcript:Dexi4A01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSFNQTLRLCPQEINEYINNLTSSYTEKSNEPSMVSASVIMFVLAGLFFNLNLFSGVSDVSAILDPKVRLFLTSALSLFLPVMSYLFSEAKNTTKNSSASAAIDLSLRAGLILTWMLLVELLRKKVDEIRMRGYSGTIQRAGRVAWLGSLVFFNIKSAGRKAVFSILWILCATKVLQRIAFTEVGKRSYGHGKNARLISSYMSHMLLEQEHHQAAGDEGDVLLKTCKYIVMGEEKLEDIEPTADGYKQLRQNGDTIVTVGKVWELDDKDKFFSSHQIQGLRSICLSFALFKLMRRRFEHLPAVTKEEARNSRNLILKGLYNKSQAEAVFQVLNDEVNFLSEYYHSVVPVVLASPFFLFVNYFLVNIVVAVLCFMTVILCGNGDVRYALHSLHADNYTLQSGVAKIAICLLINATANSPSAFFSLVDLSITVLLFIIFFYEEIWEFVVFLLSNWFMVSLLYNYINKPSWLKRSTFRGALFWAGFSIIMWLRSKMSHADLRMKQFSMLNLRWPLKLPLLPTFTSMAVHTVPVPTSVKESIMKYLVEEHGLTQENPLTNGKSSLRKYGIDASRLSPSQQPSWWDCMDGSVSEAILMWHIATIILEVKCPPPSNNKQQEASRRTAVSLSKYCAYLVAFHPELLPDNPEKTELVLENTKAELKGMLGCRQYFLSSQSARVDKIMMDKNNTGQEGDHPNNSKVVQNGVELAGLLMDNNQRWEVLADVWTELIIYVAPSNEEERVKGHEDALVQGGEFITVLWALTTHIGVARPPAKKPTANVVDLEG >Dexi5A01G0038180.1:cds pep primary_assembly:Fonio_CM05836:5A:39244393:39244672:-1 gene:Dexi5A01G0038180 transcript:Dexi5A01G0038180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQHVAGKLSSMVWDRIRMLWNFKDDVEEMESKMGSLQLALSYADKRSQGTEDEWVQNWLKKYKSVAYAIEDAPMQ >Dexi3B01G0001280.1:cds pep primary_assembly:Fonio_CM05836:3B:961235:961755:-1 gene:Dexi3B01G0001280 transcript:Dexi3B01G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKHLRGSITSLTVLSVALLFTSLLQPQASSNLGRSGEQKRQPEYVPVRSVVYRSLALPAAVTTTTTEAVGGYEPFEVCEGCRCCSTSNASSCVDTSCCYSIDCNLPGKPYGTCAFTPQTCGCGSTSNCTQPS >Dexi9B01G0048140.1:cds pep primary_assembly:Fonio_CM05836:9B:47176379:47179472:1 gene:Dexi9B01G0048140 transcript:Dexi9B01G0048140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTAVHRELPGSYVRPESQRPRLDEVVPDAQIPVVDLAGPDVVSRIGDACATHGFFQVVNHGVPLELMAAMLAVAYDFFRLPPEEKAKLYSDDPAKKMRLSTSFNVRKETVRNWRDYLRLHCHPLEHRCVVSDAVSWIDMICRETVSSYCREVRELGFRLYAAISESLGLARDYAEKALGEQEQHMAVNFYPECPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLNQGRWIAVNPRPNALVVNIGDQLQALSNGRYRSVWHRAVVNSDMPRMSVASFLCPCNDVLIGPAASLVSEESPAVYRDYTYAEYYSKFWSRNLDQEHCLELFRTTS >Dexi5A01G0035290.1:cds pep primary_assembly:Fonio_CM05836:5A:37115122:37116669:-1 gene:Dexi5A01G0035290 transcript:Dexi5A01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARITRAPNRLATRQCTPRPPLHVLVVALCLASSYLPSASSQSAADSCSNGLSLGSLVPFNTTGLVCFQAWPSQDFILRFGKAAGNNVWSFVLSAPDNGAYIAIGFSPTGRMVGSSAVAGWTAGSAGSSATARQYYLVGLSSSSCPPDQGKLALVAAARPTVVSKGSRLYLAFQLAGQPLTDVIYAVGPTGSLPGSNGLLPQHQDMASGTISLTAAGTGGGGSPATGGGDGDDDGGEGGEGKSKRGDNGDEGDEGKGERSTSPASSSRSGTGAFLSAKRRHGVLAVVSWGVMVPAGVAFARFLKRFDPFWFYAHAVAQGLGFVVGVLAVVAGFRLDDEGPVAVHKAIGVVVLVCACLQVMAVLARPAKETKARRYWNLYHHSVGRVAVALGVANVFYGLSLANERQEWSYVYGVFIGIFAVVYLVLEEWRRRH >Dexi2B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:2B:1010547:1011689:1 gene:Dexi2B01G0001570 transcript:Dexi2B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAVAGMDELVEEVLLRSPPDDPAHLARAALVCRRWCRILTGPGFRRRFREHHRSPPMLGCFHRPFLNVPDSGAPCGFAPTASFRLRNAGLGGRIDLKSGRMKKAREREGKGIYNVIPYVSFCTPGTSLLSS >Dexi3B01G0021500.1:cds pep primary_assembly:Fonio_CM05836:3B:16392564:16393993:1 gene:Dexi3B01G0021500 transcript:Dexi3B01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGALFLLLSLLAREAAASGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELRCDNAGRSCLPGSIVVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVRSVSIRGSRTGWQPMSRNWGQNWQSNAFLDGQALSFQVTSSDGRTVTSNNAVPRGWQFGQTFEGGQF >Dexi8B01G0011110.1:cds pep primary_assembly:Fonio_CM05836:8B:19779926:19780334:1 gene:Dexi8B01G0011110 transcript:Dexi8B01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKELKDAMTQLDSIRYEVQNLSRLTPGQFNMRPHNTGMAEARTSDASEASVSKAGHSILFF >Dexi3A01G0000350.1:cds pep primary_assembly:Fonio_CM05836:3A:342441:344441:1 gene:Dexi3A01G0000350 transcript:Dexi3A01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGSPKMTVLHAPVGVRSIVSSLVAFFIVASSVTFLLDQGQEAQVQMAVEHGRQEAQVKVEAGLQEPAMRGTTEAGDASEECNWSRGRWVYDNISRPLYSGLKCAFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKIRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMAKAKSFFKTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >Dexi2A01G0019160.1:cds pep primary_assembly:Fonio_CM05836:2A:31365405:31367198:-1 gene:Dexi2A01G0019160 transcript:Dexi2A01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTLLWFLYISLASCLLYKLFVSTKTSSGKERRPPGPAPLPLLGNLLDLHGEPHHALARLAQTHGPVMSLRLGVTDAVVASSAAAARDALQRHDHLLAARSVTDAGRALGNHEHSVIWLPSTSPLWKRLRAVCTNRLFSARALDASRAAREEKARELVACLGRHAGADGEAVDVGRVVFSCVLNVVSNALFSEDVADMSSDRAQELEMLVRDTVEEACKPNLSDLFPVLAKLDLQGRRRRSAELIGRFYDFFDGIIIARRLSAGGGGGGGKDDFLDVLLQLHSVDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRAELRDALGSKPHPDESDTGRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFPVPAGTKVIINLWAIMRDPAVWTEPEAFVPERFVGATDADFRGKDLLEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWRLPQGMQPGDVDVRDRFGTSLNMVTPLKAVPVPVRR >DexiUA01G0023860.1:cds pep primary_assembly:Fonio_CM05836:UA:48760275:48772123:1 gene:DexiUA01G0023860 transcript:DexiUA01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHEVHDGTVESVRLLILTFKFCISVSTNFDSSCSATLGRSSGTGCTSCDSPSAACTPTGASYSDFAFITEVQMTRYYYNKKTRQSSWEKPVELMTPLERADASTEWKEFTTAEGRKYYFNKVTKQSKWNIPDELKAARELAEKASNQQSDRETGTTATALVGSTASEPSTVPANQSSTAVGLMASGTHDASANSVPPSAGSSHNVDNTTSSVAGMQNGGPSTAVPVTTEVQLVATDAGSSRNNKENLSVGTAADTEDVTSAEDLEEAKKTMPVAGKINVTPLEEKTSEEEPVVYATKMDAKNAFKSLLESVNVESDWTWDQTMRVIINDKRYGALKTLGEKKQAFNEYLNQRKKFEAEEKRTKQRKARDDFLAMLEECKELTSSTRWSKAILMFEDDERFKALERPREREDIFESYLIELHKKEYIRHLEKEEEEHKRMQKIKESQAYLAVASNTSGSTPKELFDDVIEELDKQYQDDKTRIKEVVKSGKIPMTTSWTLEEFQTTVLEDDALKGISTINIKLIYDDQIERLKEKEQKDAKKRQRLGENFSDLLYSITEISASSTWDDCKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKAKREKDREEKEKKKEKERKEKERKERDREKEKEKEKGKDRSRRDEMEIDGADVDNHGSKDRKRDKEKKHKRRHHDTDDVSSERDDKDDTKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGAHELEDGELGEDGEVH >Dexi5B01G0012280.1:cds pep primary_assembly:Fonio_CM05836:5B:8690977:8695881:1 gene:Dexi5B01G0012280 transcript:Dexi5B01G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQPQAQAAALAVAPSASAVAPPMANPNDPASGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGSAPAYSTPQHIERTERVVIQEEAAMRRHFQDLRALGRFYAFPFFLSVNNSFLLMLGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGITKKPRLSASNGELEEESLSEILKNLETEVPNMKIFTYRHLDWSKRASSLASLMDDDFVDPSKELNLQNMGKSRSNAVTTSIDQVAVIELLVPSIFRAIVSLHPAGSTDPDAVAFFSPTEGGSYLHARGVSMHHVFKHVKEHADKALQYFISVEPRNALSLLLVMLDCQLSDFVYQANAEGF >Dexi9A01G0040410.1:cds pep primary_assembly:Fonio_CM05836:9A:44166150:44167946:-1 gene:Dexi9A01G0040410 transcript:Dexi9A01G0040410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQSCRSHSSMPAVFTGMLQGEELSQAYASGDVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKVEQLLKSKDLRESVGKAAREEMGKCDWRAASRKIRNEHYSTARLYWRKKMGKT >Dexi6B01G0010950.1:cds pep primary_assembly:Fonio_CM05836:6B:17801746:17803591:1 gene:Dexi6B01G0010950 transcript:Dexi6B01G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDRKRAPKLACCPSSAEQQHGTNNGSCRNSEDRSISSFMSLSWKPLNSSLPSDVRWRVQLQPNFGVQKDLPSERLCCLGHIDEKKVEVSAPKPKHEETILCEAVDASAGKSRDVFEPPWMVSSAFMKCYPETGLQELETVGCYPQASKCRETTSNCLYKDNEFPDFEFVEPEPLKNPNKGSIDMDVPWKEGEKTQPWWQITDENELALLVAERATHHIENCDLPRPTQTVPVHRAEPYTRKHIGDYGGPSSPAGGVLHPVPGQCDHINCSCSIENAEEFDLFKGNAFWEQHGRNATFSVSQDFSGSSTTGSESKQTLQNSSERDKILEALRHSQTRAREAEMAAKKAHNEKDDIIKLLFRQASHLFACNQWLKIMQLENIVLQLKHKEHQIASIIPELPWMTLKEKPTGGQEQKDWTKRKGRRQRKGGSFFDAILFAVGLGLAGAGFLLGWSLGWLLPKL >Dexi1A01G0002670.1:cds pep primary_assembly:Fonio_CM05836:1A:1776642:1779518:-1 gene:Dexi1A01G0002670 transcript:Dexi1A01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKTWHPDKNPTGGAEAEAKFKQITEAYEATGPPQLLAQAVQVISGTILLHGQLAQDLTFILDLKPHDVYILDGNNLLVKKEIPLVDALAGTAIFLRTLDGRNLPVRVEEVVRPGYKVVLENEGWPIRKEPGKKGNLVIDVAAGSHQANHGQLA >Dexi1A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:1A:5496836:5512642:-1 gene:Dexi1A01G0007170 transcript:Dexi1A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEGEGPPETKSVPAVRSLFASVFMHADAVDVALMVLGLIGAMGDGMSTPMTMFIASRIFNNAGSGPDRLQHFSSKMKENARNLLILAAANWTMAFLEGYCWTRTAERQASRMRSRYLRAVLRQDVEYFDLNAGSTTSEVIASVSNDSLAVQDALSEKLPNFIMNVTMFLGCYAVAFALVWRLALVALPSLLLLIIPGFLYGRIHLGLARRVREQYTNPGAIAEQALSAVRTVYSFVAEPSTMARFSAALEESTRLGIKQGLAKGVAIGSDGISFAIYAFNIWYGTRLIMYHGYKGGAVYAASASIVIGGMTLGTGLSNIKYFAEASTAGERMLEVIRRVPKIDSESNTGEELASLAGEVEFKNVEFFYPSRPESLVFASFSLRVPAGHTVALVGSSGSGKSTVIALLERFYDPSAGQVMLDGVDIRQLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDAMEEEVVAAAKAANAHNFISELPMGYDTQVGEHGVQMSGGQKQRIAIARAIIKSPKILLLDEATSALDNNSEGVVQEALELASMGRTTIVIAHRLSTIRNADMIAVMQCGEVKELGSHDQLIANDNGLYSSLIHLQKTKESMDTEEVGGTGNTSVMWQPSSHGMSRRLSTASRAIITRSMGNVDGNDNIEPKLPVPSFIRLLMLNAPEWKQAVMGSFSAIVVGAIQPVYAYTMGSMVSIYFSKDQEEMKNQTRIYSSLFVGFAVVSFLTNITQHYNFGAMGEYLTKRIREQMIAKILTFEIGWFDRDENSSGAICSQIVKDANVVRSLVGDRMSLTIQTVSAVLLAFIMSLAIAWRLALVMIVVQPLVIISFYARRVLLKSMSRKSIQAQSECSKLAVEAVANLRTVTAFSSQGRILCLFDQAQEGPCKENIRQSWFAGLCLSTSMSLLRCVWALTFWYGGILMAEHHITSKALFQTFLILISTGRVIADAGSMTTDLAKGADAVTSVFAILDRETEIDPDNPDGHKPEKLKGEVEIREIHFAYPSRPDVIIFKGFSLKIQPGKSTALVGQSGSGKSTIIGLIEWFYDPLMGIVEIDGRDIKTYNLRALRKHIGLVSQEPTLFAGTIRENIVYGTETATEEEIESAARHANAHDFISSLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSHSEMMLRLLEGHYMPSRCSRVLRTYLIITTSETERKEPDGSSSECAVAAAAATAEGGESDGDRRFVRWRTEERGDRLGIYRKIASSGRGQRRAWVSKPRSDELDRSVDMAGKDGAPGMAKAKRQAVPAQRSLASVFMHADAADVALMVFGLVGAIGDGMSTPNARNLLFLAIANWIMAFLEGYCWARTAERQASRMRQRYLRAVLRQDVEFFDLNSGGSTSEVLAGVTTDSLAVQDTLSEKVPSFIMNMTMFVSSYVVGFALLPRLMLVSLPSVLLLVVPGFLYARVLMDLARRVREQYTCPGSIAEQAVSSVRTVYSCVAEMNTMARFSAALEESARLGIKQGLAKGIAIGSSDIRLAIFAFNTWYGSQLVVDHGYRGGTVYAVSCVIVIGGLALGSALSNIKYFAEATSAAERIHEVIRRVPKIDSESNAGEVLANVSGEVEFKNVEFFYPSRPETPIFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQLSGGQKQRIAIAKAIIKSPKILLLDEATSALDTNSEHVVQEAIELASMGRTTIVIAHRLSTIRNAHMIAVMHSGEVKELGSHDELIAKENGMYSSLVHYQQTEDSFETHESGEIGCTSVMRQSSSHGISRRFSAESKSISTLSMNDAEDEQDRTNLPGPSFRRLLMLNAPEWKHAVMGILSALVYGGILPVYSYATGGMISIYFSTDHEEIKEKTKIYTLFFLGLAALSFLCNIGQHYSFAVMGEYLTKRIREKMLQKFLTFEVGWFDHDENSSGTLCSQIAKNANTVRSLVGDRISLIVQTVSAVLIAYILSLAQSKCSKLAVEAVSNLRTITAFSSQDRIMCLFDLAQNGPSNESIKQSWLAGLGLGRVIADAGSMTTDLAKGTSAVASLFAILDKETKIDPDNPKGHKPLNLKGAVDIREIDFAYPSRSDVIIFRGFSLSIQAETASEEEIETAAICANAHDFICSLKDGYDTLCGERGVQLSGGQKQRIAIARAILKKPAILLLDEATSALDSQSEKLIAPSRREEPAAILVELVEHTKMAADAVKSGAKWRTSWRVGARIGILLPIAMAYAQMAWIPLEAARTAGRGGGDERTRWVRR >Dexi4A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:4A:8149132:8149383:1 gene:Dexi4A01G0010210 transcript:Dexi4A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPLLPVVLLLPSGQRGARCGLAVVWRGGLRAGGPAAACLGTTSCTLRPARGCVAWQPVRWSASCKRAVVGPRAPGGRRGG >Dexi2B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:2B:28230919:28232042:1 gene:Dexi2B01G0017880 transcript:Dexi2B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQVAAICAMIDDRAAAGKTRRNKRRKKLCVDSTRSYKQIGEIGHGRSGAVVKAVHRTTGQTVAIKTFRRRDGRTGGPDVSGDLLREACFLAACGGHPHLVGLHAVARDPRTNKYSLVMEYVGPSLKERLRVHGGAFPEAEVGRIMRQLLAGAEAMHAHRIVHRDIKTGNVLVGEEEDDGGGCVVKICDYGLAMCTAKASPPYKRVGTDMAPEVLMHRTDYDERVDLWSLRCVMAELLSGEVLFKVGSGAPQLDRMFDVLGTPDEQALQAFAPPFAVGKVLHRLARSGHHHGRLRELFPSETLSRDGFDVLKGLLTFNPKERLTAAAALRLPWFTGAAVDAPASGICSMPQTASSFFRELGI >Dexi2A01G0030830.1:cds pep primary_assembly:Fonio_CM05836:2A:41631119:41635934:-1 gene:Dexi2A01G0030830 transcript:Dexi2A01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAARMALAMAAVAMLAGVSSAAVYEVGDKTGWTIMGSPNYTLWASSKMFHVGDTVVFTYNKQFHNVLAVSKADYKNCDATKPMATWSTGNDSVVLNTTGHHYFLCGFTGHCALGQKVDIRVASSSAAPSEAPTPESSGGGAPAAPSPHPNAASKALVAGRSVAATVAASLLSLAAAVLA >Dexi9B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:9B:5676979:5679473:1 gene:Dexi9B01G0009170 transcript:Dexi9B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYWSVPFLSFPLLPTQHGCECRSKRDSPSLFRHARGIMYALKEKGIDMAIASRSPTPDIAKVFIDKLELQSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIEAVSKMGVTSVLVENGVNLDMFKLGLSNFATNSAASSRKQDE >Dexi3B01G0022440.1:cds pep primary_assembly:Fonio_CM05836:3B:17269496:17271517:-1 gene:Dexi3B01G0022440 transcript:Dexi3B01G0022440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVPSSASSVIVALAAVVLLLAAMGAEAETRKYQFDVQMASVTRLCGTKSIVTVNGQYPGPTLFAREGDHIEVNVTNHSPYNVSLHWHGVRQLLSGWADGPSYITQCPIQPGQSYVYRYQIVGQRGTLWWHAHISWLRATLYGPIVILPPGGVPYPFPAPDEEVPVMFGEWWRNDTEAVIAQALQTGGGPNVSDAYTINGLPGPLYNCSAQDTFRLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFTVDTLVIAPGQTSNVLLAAKPTYPGARYYMEARPYTNTQGTFDNTTVAGILEYDTDTNSNTNLPIFAPTLPQINDTNFVANYTAKLRSLASAEYPATVPQAIDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPTTALLQTHFFAGGSIANNNNGVYTTDFPALPLMPFNYTGTPPNNTNVMNGTKVVALPFGTAVEVVLQDTSILGAESHPLHLHGFNFYVVGQGFGNFDPANDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVLDGSLPNQKLPPPPSDLPQC >Dexi3B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:3B:4056675:4059148:-1 gene:Dexi3B01G0005930 transcript:Dexi3B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPAEGEELIDDYVHCLMSLDTNARPGQSDGLILGAPVVDGAVGGGTEPDAMRDFASAGDPKEPAVGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEEVVIMKRFVCSREGMYKKKQTSPDEATRKRERMSMREGCNAMMEVVRECAEKGAGSEAVYKAAKDILLKAYQEIAAYERNPSRGSQRDDININEDITVDDAMNDQSIPDSGRKVTNLLGQFLDSSWSPV >DexiUA01G0014190.1:cds pep primary_assembly:Fonio_CM05836:UA:30065044:30066211:1 gene:DexiUA01G0014190 transcript:DexiUA01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTMENPGIMVLAIKDLFPKVRQRSHDGNHSIQLSYLEIYNETVRDLLSPGRPLHLREDKQGIVSAGLTQYRAYSTDEVMKLLQRGNKNRTTEPTRVNETSSRSHAILQVRFLHTVYVVSKIPRAKPLTAFENLQVVVEYRSMDGVNIVTRVGKLSLIDLAGSERAMATEQRTQRSIEGANINRSLLTLSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMVANISPSNLSYGETQNTLHWADRAKEIKTKVSSDIL >Dexi2B01G0007710.1:cds pep primary_assembly:Fonio_CM05836:2B:7924090:7924437:1 gene:Dexi2B01G0007710 transcript:Dexi2B01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTVAPAVASPAGGRIILCRRAAARTVVPAATKVVAAKQQQQEEQKGLFDTIFGALYKEEQLLETDPILNKVESSKASKKAAAGKKAAAAEGSGGGGGGFSFGGLFSSKE >DexiUA01G0026880.1:cds pep primary_assembly:Fonio_CM05836:UA:57468269:57469477:-1 gene:DexiUA01G0026880 transcript:DexiUA01G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRFTAMFRRKAFLHWYTSEGMDEMDCAAAGAAPPPASAAS >Dexi4A01G0009630.1:cds pep primary_assembly:Fonio_CM05836:4A:7621732:7623711:-1 gene:Dexi4A01G0009630 transcript:Dexi4A01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWAATARPGTSPAIAAVSLAPLLRAATPRLPPPLYCPLPLLLCAGLRGVPRAASSGGDVFWEEPDDGSGSDYEDEAQQETEQRRSSRFPSSSPFSGLEAARQQEQELRREIELLLTPEEKAILDQHETPDITKISSPKWHPLHSYAMALQIPLMDKLLDSGLDINLLDKDGFTPLHKAIIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLANGADRTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPTSSGV >Dexi3A01G0016870.1:cds pep primary_assembly:Fonio_CM05836:3A:12788894:12789483:-1 gene:Dexi3A01G0016870 transcript:Dexi3A01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSAVQTQITWAPSARGRRSRHQASAAFALTPATAARRVRCRAMQQRQERPPAVRTVTIPFADLTEREKDLGDKIDEGLGPHGLGIISIADENSAALGTKACRCRCHVLPLVSVM >Dexi6B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:6B:26161483:26170862:1 gene:Dexi6B01G0019380 transcript:Dexi6B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSDSDPGEVLDRLQHVRRRRTNSPQLTSAADGDHPRASLMSFSLASRSMHAVPEFDRLTLIEGGVIQKDQSQLQDAGIILSQIGFCSVVCDLFVMRVFNSFEDEFEVDIWSTLSKELGSTLSE >Dexi6A01G0000390.1:cds pep primary_assembly:Fonio_CM05836:6A:248949:249228:1 gene:Dexi6A01G0000390 transcript:Dexi6A01G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLGSVPNLIVSSPRAARSILRTHDHARKLVTSHLFTVEQEVSLVMATIRDVAAKGNAAVWT >Dexi1B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:1B:7236989:7238040:-1 gene:Dexi1B01G0008610 transcript:Dexi1B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSGSFKKYADLKHQVVLDDLESGGGGGEGEGPDLDRFFEDVEVVKDDMRGLEGLYRRLQSAHEEGKTAHDAGAVKALRARTDADVADVLRRAKAVKGKLEALDRANAASRKLPGSGPGSSTDRTRTSVVTGLGNKLKDLMDDFQGLRGRMAAEYKETVARRYYTVTGEKAEESTVEALIASGASETLVQRAIQQGHQAGRGQLLDTVSEIQERHDAVREMERSLRELHEVFLDMAALVEAQGHKLNDIESHVARASSFVHRGAVELETAREYQKGSRKWACVAVVAGTLLVAVIVMPVVVYLHLLTVR >Dexi8B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:8B:27883139:27884264:-1 gene:Dexi8B01G0016740 transcript:Dexi8B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKASVGCFQPLLFCLKNPVFAHKIGSQIKELNQRLENIHKEADRFKFNINLGSNPEPRKLTDAERSVQKTTSEFNESAIVGEKIEQDTRELVQLLITSDNHDIKMLSVVGTGGMGKTTLAQKIFNDSTVREHFKVKVWLSITQHFDETELLRTSIEHAGGVHGGAQDKTLLTRTLTETLSTGRFLLVLDDMWSDQAWSHILSVPIRNASLKKPGNWVLITTRFRDLAQRMGASFYQHHVKPLNDEDAWSLLNKQLPPYPLIR >Dexi8B01G0006810.1:cds pep primary_assembly:Fonio_CM05836:8B:7945414:7946661:-1 gene:Dexi8B01G0006810 transcript:Dexi8B01G0006810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTTRFADLSDEHVAAVLARLPLRDAARARLVCKRWRALATGHVFLLRACASATASRRAAAGFFFNDPFRFRAAYFPFPLGFSDAADEDAPAAAAADLSFLLPNTSPSAASPPGGGGGIGNANVHVTSSCNGLLLVCIPPLPRRTEHYYVCNPLTREVAPIPALDLELLHGFNLAFDPATSPHYKVVAFGAWYDIHVFSSETRSWGRKPIRPWRRRLLGLRSLRAVFWNGSMVWTLGHALIRLVLGSERLTRIPMPPRIKKKRGWICAYIGESGGHLQMIGYTKEEKLTACFEILEMQSDLSKWSVLYQVDLGRVKELYPEIEWPTWDTRQLEHKVIDHLAISPVCVVRGSEEAGRQGLLIFSIPGKIMSYDMEDQRVSLIQEVMSSPGGDRGPYTLEHPWHYFYAYSPSLFTV >Dexi9A01G0039290.1:cds pep primary_assembly:Fonio_CM05836:9A:43321770:43324142:-1 gene:Dexi9A01G0039290 transcript:Dexi9A01G0039290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPFFDRRSSPMEEAEEIPRNGLLHMHHHHQQPHHHSGLLMQPQPSPPAPTKQSSFTLAQLLKRVNDARSDASSPTSSPTHSHYTIELGGSVPGSTGSDLSEHRGGDGPLLPFVLKFTDLTYSVKTRKKGSCLPALPFGRAGGEAPEPEAPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTLNGESLDGNLLKVISAYVMQDDLLYPMLTVEETLTFAAEFRLPRSLPTKEKKKRVQALIDQLGLRNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGPPGALPSFFDDFGKPIPGNENPTEFALDLIRELETMPDGARDLVEHNKKWQTRVAPKAVKHHDGKPSLSLKEAISASISRGKLVSGATDGSVSVPNGEFVAPAAAVSKFANPFWVEMGVLTRRAFINTKRTPEIFIIRLAAVLVTGFILATIFWRLDDSPKGVQERLGFFAIAMSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTIVGFPSLIVLSFAFALTTFFAVGLAGGAEGFFFFVAIVLASFWAGSGFATFLSGVVTNVMLGYPVVVSTLAYFLLFSGFFINRDRIPRYWIWFHYLSLVKYPYEAVMQNEFSDPSRCYVRGVQMFDNTPLAALPGVLKVRVLRAMSQSLGVDIGTQTCITTGPDFLAQQAVNDLTKWDCLWITVAWGFLFRILFYISLLLGSRNKRR >Dexi4A01G0009940.1:cds pep primary_assembly:Fonio_CM05836:4A:7884609:7888002:1 gene:Dexi4A01G0009940 transcript:Dexi4A01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASREPMKQRVNRCLLRLSDRDTEAMAAAELDMIARELDAEELPVFVAAVSDARPTDRTPLRRHSLRLLALVAGEHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAAGAAQFPPAALGPLTDALLHEQDQCAQLAAALAAAAAVEASEPTDDLAAYLRGLLPRLLKLLRSVAFKAKPALISLIGTASAASGGGAASIAVPTLRDALTGDDWAARKAAAEALALLALEHGDDLISHKSSCITVFEAKRFDKVKIVRESMNRMIEAWREIPDVDEEVCSYDVPLSSQTRSSLTETVSDGRYPGDSLGSVPSITRRNSWPTNRQPPPDASPNAGNRKASPPSTISKKNLPPRRNTDKAKNYEDKVDITVAPDATPIKMVTEEKLLKEGNVRERLEARRMLFQKTGEKGYKKLIGGPKSGSRVVPYNGDGDSEETTETEDDAPEEFQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRIPNSEPDTNACCILSPKFWRRHDGGRYTSRYSITDAPNCSEESKTSYKWERQKFGAQGGLD >DexiUA01G0004180.1:cds pep primary_assembly:Fonio_CM05836:UA:8211251:8212393:1 gene:DexiUA01G0004180 transcript:DexiUA01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKARPVALDMAGGRNRDDPLVIGRVVGDVINPFVRTTNLRVTYGTRIISNGCELKPSMVTHYPRVEIGGLDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAALGQEVTLYENPRPTMGIHRFVFVLFQQMGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAVP >Dexi2A01G0023420.1:cds pep primary_assembly:Fonio_CM05836:2A:35158590:35158961:-1 gene:Dexi2A01G0023420 transcript:Dexi2A01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRSTGFVRRLPPFFVSVEREVAVDTVSTEEGKSLHGRAKTVAAAMRLTLTSGRAKEERRASRACLASVPDRNLPSAVAAI >DexiUA01G0023310.1:cds pep primary_assembly:Fonio_CM05836:UA:47673553:47673880:1 gene:DexiUA01G0023310 transcript:DexiUA01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAESPESGLPVESNALGTESQDDVGAEADTEAISGSLPLDYLAIETRSGEDEQTSSGVPGTGDVASPNSFISGGFASMSL >Dexi1B01G0022030.1:cds pep primary_assembly:Fonio_CM05836:1B:27767119:27771115:1 gene:Dexi1B01G0022030 transcript:Dexi1B01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVVAMASAKLQERVPIRRTAWKLADLVVLSLLLALLAHRATSLLQGSAAAAAAAAAPRCWLAVTALVCEAWFTLLWLLNMNCKWSPVRFETCPGRLLQRGDELPAVDVFVTTADPELEPPVVTVNTVLSLLALDYPSGKLACYVSDDGCSALTFYALREAAIFARLWVPFCRRHDVPVRAPFVYFSSGPEPGMEDDQEFSREWTFIKNEYEKLVDRIENAEVSSLVRSDNELADFFSADRKNHPTIIKVMWDNSKSRTGEEFPSLIYVSREKSPKFHHNYKAGAMNVLTRVSAVLTNAPVMLNVDCDMFANNAQAILHAMCLLLGFDDEVHSGFVQAPQIFYNALQDDPFGNQTEVMYKKLGYGFAGIQGIYYNGTGCFHRRKVIYGVSPDCSTHVKPRRTKGSPSWEELKVKLGSQKELTESARSIISGDMLAVPIVDLSSRIEVAIEVSASSYENNTSWGQEIGWVYGSTTEDILTGQRIHAAGWKSALMNTNPPAFLGSAPTGGPASLTQYKRWATGLFEILLSRNNPILLSLCKRLQFRQCLAYLVIDVWPLRAPFELCYSLLGPYCLLANRSFLPKSSEPGFSIPLALFLAYNIYNFLEYMDCRLSARAWWNNQRMQRIISSSAWLLAFLTVILKTLGLSETVFEVTRKDKSASNGDGSTNEADPGRFTFDSSPVFIPAAALAILNVVAIAVGAWRVVAGAVEGKPGGPGVGEFVCCSWLVLCLWPFVTGLLGKGSYGIPWSVKLKAGLLVAAFVPFCR >Dexi9A01G0019370.1:cds pep primary_assembly:Fonio_CM05836:9A:14280262:14282023:-1 gene:Dexi9A01G0019370 transcript:Dexi9A01G0019370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLPAASLVLLLLLVIHVVVVSSATGADDDGQQFVYQGFATANLSLDGLAVVTPDGLLALTNATDQAKGHAFHPGHLHFVTNKKARSFSSCFVFAILSPYDGLSDYGLAFVVAPATTNFSTAKAGQYLGLLNATNGTARDPVLAVELDTIINPEFRDINGNHVGIDLNSLVSAKAEPAGYYDDDDDDTAAGGGGAFRNLTLNSHEPMLVWVEYDGEATQLNVTLAPVNVRQKPRRPLVSMDVDLSNFFVEDDPMYVGFSSGTGVIPTRHCVLGWSFSMDGPAPPLDLSKLPVLPRVGPKKPRSKVLLDVVLPALSTALLIAAVLAVVFFVVQRRRWYAELREDWEDEFGPHRFSYKDLFHATDGFKDRNLLGAGGFGRVYKGVLHLHQASSSSSNNLLAAAQQQVVAVKRVSHDSRQGVREFVAEVVSIGRLRHRNLVQLLGYCRRKDELLLVYDYMENGSLDKYLHDGRMPTLPWHDRYRVIKGVAASLLYLHEDWEQVVIHRDVKPSNVLLDGEMNARLGDFGLARLYDRGIDPQTTHVVGTIGYVAPELIRTGKATPFTDVFAFGVFILEVVCQLVPS >Dexi7A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:7A:24013510:24015515:1 gene:Dexi7A01G0014040 transcript:Dexi7A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLTRIPPKSITDKEMHKRQDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDITNSRLQRVQKSLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLVKYL >Dexi5B01G0010340.1:cds pep primary_assembly:Fonio_CM05836:5B:7306663:7307167:-1 gene:Dexi5B01G0010340 transcript:Dexi5B01G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSISSLCASLSSVLDHADSSSRELADLVSRRPIHLGNLHTRLSSESGTAAFLQKLNRLAEAANAEVEHLESMVLGAVSFEELLGHCGEALNVYARHAEDIESRLVSFGYEPPSNSSDPHRPFPKLCSICFAMLRTDVGGALTQR >Dexi9B01G0033860.1:cds pep primary_assembly:Fonio_CM05836:9B:35933899:35934544:-1 gene:Dexi9B01G0033860 transcript:Dexi9B01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPMASGGWTWRLLAEDFSAPCREDRFCVPCAASFCDHCCGARHRGRGHEVVARAAAAEGGQAVPGAAQGPVRSGDRDSFCVTCGAGFSAALCGHHVGHDTSSASSSSRAATR >Dexi1B01G0031480.1:cds pep primary_assembly:Fonio_CM05836:1B:35027484:35029059:-1 gene:Dexi1B01G0031480 transcript:Dexi1B01G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDARAPPLPGAAEEYVRDSIHSSLGLPVSDRSLRLKLLASEEQRHRLQDHVFSLEEDLRAAQRRIDLLKAEAAMNAAGLRRCVEDKEAVATAYAQLNAKCTKECELYERDLERAMESCDDLARENTDLRARLHQNADVAALEARVQELEKDKETLKMNLDTAEAEVITLSEDNRVLDEENKRLLGLLEKERQRRSERKKSASTSIKNKRKSSSLRDGSPAGRALDFDVADSSRHPLSPLPHNSPPDYRAHKK >Dexi7A01G0001690.1:cds pep primary_assembly:Fonio_CM05836:7A:4438024:4440282:1 gene:Dexi7A01G0001690 transcript:Dexi7A01G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPTPPLLLLALSIFLAPAAAAADNATAGKEAVGTYLVVVCRANGPKENGEKLREWHASLLASLLNTSTGAILEEARSSPSGGQLVYSYQHVVSGFAARLTTSQLDNLRRLNWCVEAIPDVDYRLRTTYTPALLGVNTPETGMWAVGRSMGEGVIVGVLDNGIDPRHVSYGDEGMAPPPAKWRGRCEFGGAPCNKKLIGGRSLTPGDHGTHTSSTAVGAFVSDVRMYKKNVGVASGMAPRAHLALYAVCFEDTCPSTKQLIAIEQGAFVDGVDVVSISAGDDTQKPFYKDLTAVGSFSAVMSGVFVSTSAGNAGPDYATVTNCAPWVLTVGASTMTRRIVSTVRLGNGLVFQGEANRRYKPVKTAPLVYVPGMFEEGALKGVDVRGKIVFCDRSESGSMRGQMVRDAGGVGIVMFNDVDEGGVTYPQGNVTIAAARVSHADGVKIMAYLNSTSNPTAGLHFTGVVLDPSYRPAIAGYSSRGPCNMSSLGVLKPDITGPGTNIIAAVPGTTINGSSGPTRSFNIMSGTSMAAPHLSGIAAVLRRARPGWSPSAIKSALMTTADMAHPDGTPITDEFTGEPATHLLMGSGIVNPTKALDPGLVYDLSTHDYLTYVCGLGYNDSFVNDIIAQPLQNASCASSGKIEGKDLNYPSFLVTLTTAAPVVEVKRTVTNVGEAGSVYTAEVVAPKTVDVEVVPPRLEFATANQKMDFTVRFRRVANPTQHTAEGSLRWVSGKYSVRSPIVVLDGTLNLV >Dexi1B01G0020190.1:cds pep primary_assembly:Fonio_CM05836:1B:26333302:26335919:-1 gene:Dexi1B01G0020190 transcript:Dexi1B01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIAVSIAAPASSPSASPVAAVATPSVALRRGLPPTCRALRARRSSRGAAVVCQAQGSQDTSIQVPDVSKSTWQSLVMESEVPVLVQFWASWCGPCKMIDPIVGKLSKEYEGKLKCYKLNTDENPDIATQLGIRSIPTMMIFKNGEKKDAVIGAVPESTLVSCIDKYVDGR >Dexi7A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:7A:3807847:3808193:1 gene:Dexi7A01G0001500 transcript:Dexi7A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVKLGTSVLLFAALVVAMSFVLFSCSSAAGHCSPVVPCNATTCFEYCQKNNYKNFQTSCSPGQYYPICCCWTRGAALL >DexiUA01G0016320.1:cds pep primary_assembly:Fonio_CM05836:UA:34712212:34713971:-1 gene:DexiUA01G0016320 transcript:DexiUA01G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDPVKTDEESSHENMGEELGNLTPQAEEYIIQMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPPLENTSGGALNLGGEDDDRAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELTRLLRISSDVGSFASGDDRVV >Dexi2B01G0012130.1:cds pep primary_assembly:Fonio_CM05836:2B:14303423:14306912:-1 gene:Dexi2B01G0012130 transcript:Dexi2B01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLGALAEDRRPDIHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGQALSSSPSVGGDCTRFSPQIVPEKNSSKIWADNQRAPAAEPSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERPFKCSPMLKSSFDSENGLDYPEDLITKPDNMPTAQSSLRTLGIKNLVKDSIYKDSRDLSVRVCNGEEVKDHPCNFVDPPRQLDGPPSDITQGKSKGLMDINESLRMLAKLREASWTPSDSGHHARLSYDAPRFSYDGKEAASRLREVPRLSLDIKEGHLWNREMNSRSKSGLSSSDRSSSNGTGSIAALETQQEQPVCKRLPSVVAKLMGLEELPEHNESTASSQACKAIKESKQEAMLNPLSISSHNGPAPRQQRNRDSAVRNLSNSKFPVETAPWKQQERIVLPRKLPKGSKGAHGREPVASVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGKHDGQEMTNLNTRLNSNTNTISPEESNTESSFRSPIVIMKPSKSANLFGEVDSSVIPLGGSSDLPQLQAGNSTDKRKSLTTNRIAKEQQAKWSPRVPTSQPLVSYDRKTNGRNDDSSNKQKSSSLLVTESSSRRQQLPRDSSISLQKNKNSTSPRLLQKKLDSERKVRPPIPSAESNKSQRQSGDRNNLDTVSPRSKLRRRPVRAQECDDGLPNRLNNRTRSLNQQGNDMSTRSDGSMCVASEVDIEVISNDRSTEVNVSNFEQGNGTPSGRNPQNVKTSYDASKDVSSVDPSASLSERPSPVSVLDSSFDQEDLFPTSRTSNSLTAGKIIFLRLQTLGC >Dexi2A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:2A:8670646:8673099:1 gene:Dexi2A01G0008680 transcript:Dexi2A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSWNYLQAFRVILIFAVVVAETQWVIVSGMYCDDLTASVERPHSASITDFGGVGDGVTLNTKAFQNALFYLNSFANKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVILGSPDSSDWPVIDALPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAICQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISDVRAEGIRIVNSVHGIRIKTAPGRGGYVKNVYVADVSLDNVSIAIRISGNYGDHPDENYNKNALPTISNITIMNVVGVNIGVAGMLQGIPGDNFSNICLSNVILGPDKYAMMVISIQQRQHNHNRHRNQVLAD >Dexi4B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:4B:545664:549925:1 gene:Dexi4B01G0000840 transcript:Dexi4B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGSGGGVLRHSNSSRLSRMSYSGEDGRGAQAPAGDRPMVTFARRTHSGRYVSYSRDDLDSEIGGSGDFSPDHQEFLSYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAINGCDAKVMSDERGEDILPCECDFKICAECFGDAVKNGGGVCPGCKEPYKNTELEDLGVGATGARATLSLPPPPGAGGAAASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVENGGGGGGGLGGADGQPAEFTTKPWRPLTRKLSIPAGILSPYRLLILIRMAVLGLFLTWRIKHKNEDAIWLWGMSVVCELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPTGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFNLKKDPYKNKVRQDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAYHAREEIKAMKRQRETALDDAVEPVKIPKATWMADGTHWPGTWIQPSAEHTRGDHAGIIQVMLKPPSDDPLYGSPGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRVALYGFDPPRTKEHGGCCSCCFPQRRKVKTSAAASEETRALRMADFDEDEMNMSSFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVKTLNVTFLTYLLVITLTLCLLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWAGLLSITISLLWVAINPPSQNQQIGGSFTFP >Dexi5A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:5A:7944556:7948626:1 gene:Dexi5A01G0010550 transcript:Dexi5A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALAAGSAGDDDQDPDPAPPSPDEPASPGSDDDAPAPPGPVDPSKCSAAGPGIAGGSAGAPATFTVIAKDRHSRRLTTGGASVRVRVSPAAGVGGDDLEGVVKDNGDGSYTVTYAVPKRGNYMVHVELDSSPVNGSPFPVFFSGSTTTTTATFTSTLPAVSSAYPNMVNQTMPNMPNYTGAPSSAFPSLLGAMPASSTGSSGGVVLPGVGASLGEICRDYMNGRCTKSDTDCKFTHPPQQLLMTLLAATSSVSALGSAPLAPSAAAMAAAQAIMAAQALQAHVVQAKAAGDTSGSTDKAAEADALKRTVQISNLSPVLSVDYIKQLFGVCGKVVDCTITDSKHFAYVEYSKPEEATAALQLNNRNVGGRPLNVEMAKSPLPPKANNNLPMMMQQAVQLQQMQFQQALLMQQTIATQHAAARAATMKSATEAAAARAAEISRKLKAEGFGGDKIEEKDAKVKSSDKKDSRRSEVQDDKRRSHRGNRGDKDEKSGKDEVERSHRSNRGGKDKRSVRDEEEKSSRGNRDKDGRSVKDELERSRRGDKDERSVQDPMEDRKVDTSAIAHKRSSPVSEDEILNDNSSNHKKSRHDGGLVDDERDDLCPAVSDINGKHGPEVNGSLGGTEESAM >Dexi4B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:4B:15250001:15251994:-1 gene:Dexi4B01G0014350 transcript:Dexi4B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVHGIVIVGGGISGLATALALHRKGMRSLVLEKSETLRTDGVCIAVHSNGWRALDQLGIGAELRETSSSITGEEVRCLRQKDLIEALAKNLPPGTIRFGCQVAAIAADSGSHSTLVSTVGGSTIKAKFLHLRGQDLAVGRLPINENLVHFFMTRASPSADISNDASAAKEYVLEKLEECPADIAEMVRRCDPETEPLKTLTRVWYKPPWQVLFGRFQRGTVAVAGDAMHAMGPFIGQGGSAALEDAVVLARSVSRALPGGVDAVTSDRELHEKISAALGKYVRERRMRVFTLSLEAFVTGALLTAKSLAKYLVLVPILVLLGSESRRHANYDCGRL >Dexi9A01G0025270.1:cds pep primary_assembly:Fonio_CM05836:9A:23312431:23313648:-1 gene:Dexi9A01G0025270 transcript:Dexi9A01G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRRFLPARPPRRRHPKHPGKAEPSPPAAPVYTRDVVRRVTTILRDHAWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAASASPTFRHDRFTYTSMIHLLGEAGRVPAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGSMRVWKEMKARSRPTVVSYTACVKILFDAGRADEALKVFGEMVAEGLRPTCKTYTVLIEHLADAD >DexiUA01G0023210.1:cds pep primary_assembly:Fonio_CM05836:UA:47393473:47395375:-1 gene:DexiUA01G0023210 transcript:DexiUA01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCKQGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGASPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAYTTSNWIVRIYKVKPPKNRS >Dexi5A01G0030520.1:cds pep primary_assembly:Fonio_CM05836:5A:33426706:33428141:1 gene:Dexi5A01G0030520 transcript:Dexi5A01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQTKGGAAPKGSKGPKIGGGGGKR >Dexi1B01G0027060.1:cds pep primary_assembly:Fonio_CM05836:1B:31875340:31875798:-1 gene:Dexi1B01G0027060 transcript:Dexi1B01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSGRRGWSPFDAIRSFPSTPESLMSQIDAAIASTEYARACALLDPAPAPASASASSQSPPQPTPEGQGEGGPASPPPCYDPKIADEAYRAACGALGAGRPDAAVRSLRVALASCPPEKTAAVAKVRSMLAIASAQLHKQQHQAQQSRK >Dexi1B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:1B:23961641:23963154:-1 gene:Dexi1B01G0017600 transcript:Dexi1B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKRASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAAAEPKFYPADDVKPRAPSTRKPKPTKLRSTIQPGTVLILLAGRFMGKRVVFLKQLKSGLLLVSGPFKINGVPIRRVNQTYVIATSTKVDISGVDVAKFDDKYFAREKKQKAKKTEGELFETEKEASKSLPDFKKDDQKAVDAALIKAIEAVPELKSYLGARFSLRDGDKPHEMVF >Dexi3A01G0034060.1:cds pep primary_assembly:Fonio_CM05836:3A:39368802:39372969:-1 gene:Dexi3A01G0034060 transcript:Dexi3A01G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAIPAVAIMPSPLFLWRFKVILFLLWGLCCCKISWDSVMRMSADLRDLFLYEAFLYYNPLLLVAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLALVFPYLCRFFQCLRQYKDTKEKTCLLNALEILRRWQWVFFRVENEWNKMTSKQNLEMSSDMPSEGDRLLDSSNHTV >Dexi6A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:6A:8033504:8034661:1 gene:Dexi6A01G0007980 transcript:Dexi6A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAALTKKTASGCTTEAARGEHVFKIVGYSLKRGLGVGKFVRSRTFSVGGYDWAIRFYPEGLVESVKDCVSVYLELMSENAEARACYGLRLIYQTNRMTGIEYKSPRLFRSSDNTRRFGPRNPRFVLRTELEKNCVRDDCLAIKCNIAVVKESQLSDIKVSYEIEVPPCDIPEHFAELWDQKIGADVTFNVGDEILELGSTQDMEPDIFRALLRFVYTGLVHGMGDDLDGDDYKDTIWHLLAAADRYAVDRLKLMCQSILSKNLSMKNVAATLALADQHNCDKLKEVCIEFITMEDMNALVSTQGYVIA >DexiUA01G0004540.1:cds pep primary_assembly:Fonio_CM05836:UA:8638324:8641102:-1 gene:DexiUA01G0004540 transcript:DexiUA01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVRSFAEFMAGGESFVVQGNMQVPEGSGNDTKLPVRRMSLGPSDLRLKRISGLPRLSKIRIVRCPNVVVLEGVPSLNSLVLEDVTMESLPGYLRDVTPRYLKLDCNMKLYESISESSCERDKIRHIGKHDIYWIE >Dexi9B01G0039520.1:cds pep primary_assembly:Fonio_CM05836:9B:40181440:40187767:1 gene:Dexi9B01G0039520 transcript:Dexi9B01G0039520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMEMPDPDELEWMESHGLLPEEEEDLYIDDPDEGFVPPPGDSDQPRDSPQPHEPATPRANEASEGSLKRPPPPPPPEQEEGERSKRRNVEREDPDDEDWLRYSPPPAPAPEVVVAEKTISRFASEISGDCVPVTAPNGERVYAKLAMEGLVRGGISGTQGAHFSNPNPNYKGLLSESFHSLTRRAEQEALAKALQESTDSIDRETCSVTPVVTEKLWVEKYAPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATCDDVLSALRRHSSTIQKNANNKTFFPKSKGGSVDMPLNAPNSNLEGLSGSFSKKSSVHNTPEQKINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVDVILKMINTEKSNNSDRTTNAEETQVRKASRKSHRMAKLLRPVICICNDLYAPALRQLRQVAKVHVFMQPTISRVVNRLKYICKNEGFKTSAIALSALVEYTDCDIRSCLNTLQFLNKKGVALNISSFDSQVIGQKDKSKSILDVWKQVLQKKKQKRTGKVETHFSKDKDTDYLFTLISNRGDYEVTMDGIHENFLRLSYHDPMLQKTVKCLDILGVSDSLTQYVYRTQQMPILAYQPPIAITISRMVAQVERPNIEWPKALQRSRTLLLEKKDMLKTWQNQMSPAVSRHMSVESFVEDIASPFLHVLSPLSLRPVSCHALIVALNLLSEREKDELVELIDTMASYSVTYRNTKFAPQERANMSVAPHDVPSLSLYPSISDVINFKGYKSEHVDLSQAMKQVLVHEM >Dexi3B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:3B:2023689:2025203:-1 gene:Dexi3B01G0003100 transcript:Dexi3B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPWAAQCAGMAFAAFSLCLVALAVVLLLVRRWPWCSCHVCRAYLTGSWSKDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKTFAALLGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAFKIIAQELESRLMPLLAGAAGSGEVVDLQDVFRRFSFDTICKISFGLDPGCLEKEMPMSKLADAFDTATRLCAMRGAAASPLLWKMKRLLNVGSERELRNAIKLVDELAAAMIRERRKLGVANSHDLLSRFMASAGDVDVDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPAVEAAMRAEADGDEGSTPVITYEHLKGLHYTHAVLYENMRMFPPVQFDSKFCAAADVLPDGTYVSGSARVMYHPYAMGRMPSIWGADHGVFRPERWLTGAGGTFVPESLYRYPVFQAGLRVCLGKELAITEMKAVAVAVVRAFDVEVVGESGSAACAPKFVSGLTASVSGGLPVRIRRARNN >Dexi1A01G0007530.1:cds pep primary_assembly:Fonio_CM05836:1A:5820256:5822965:1 gene:Dexi1A01G0007530 transcript:Dexi1A01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAKAAEEMAVVLDEETLALMGVSSAAAAPVAVGTEWETFKENVRPLKRGRDVSKLNRALKAHVDPAQRAALLETRRKMIEAIYEYQGEDPLRPWLDCIKWVQESFPTGGECSGLVVLYEQCVRTFWHDERYKDDLRFLKVWLEYAGNCGDAEVIYRFLEANQIGQGYAVYYMSYAQLLESKNKLRRAKEIFDLGIARKAKPLEKLEVVYRTFLRRSTKKREHSEQDDTANGLPIRSFGTNLKRDENRSQQADNSHLGRPRALQRIDVNRPLSVFKDENSLPNQGADIIRKKENTSWRTLGTQAERNKENNMMPTKWTCHKVPQKLGARGAVQPSRASSIEIFVDEDCSQEPAPQVPKSSNPSVLKLRQATSKSFKKETELLKENPLRNFPLNSLR >Dexi9A01G0037930.1:cds pep primary_assembly:Fonio_CM05836:9A:42177345:42179062:-1 gene:Dexi9A01G0037930 transcript:Dexi9A01G0037930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLARSAVARLLSHLLHRTPDPTHHLVAHGAALACLLGPTRGLPAAADSTLLRYTARWFSSSATAAVTETPMTTDGLTVDSISGKGWTILPESESDWRSHAAAISQSIKLIKKRLKWGWILERTKQLAVVLERPNLWDDPVFAGRVSREHGELMGKIKSVNQFEQELIEHIEMLRLAREENDNELEMETMSALADMRRSAKEKELSALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMKMYQSWAQRRGYAVTVVEEMPGELAGIKVIILNSSYFQRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDTSSRYQIKDSDLRIERFRSGGPGGQHANTTESAIRIVHIPTGISATCQNER >Dexi9B01G0020580.1:cds pep primary_assembly:Fonio_CM05836:9B:15289256:15293135:-1 gene:Dexi9B01G0020580 transcript:Dexi9B01G0020580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPAPTGLLLLLLVALAAGAANAATPADALLEWKSSLGDPPELSTWTNATAASICTGWRGVTCDAAGRVTSLRLRGLGLAGGLDALNATALPDLTSLDLNGNNLAGAIPPSLSRLRALATLDLGSNGLNGTIPPQLGDLSGLVDLRLYNNNLAGAIPYKLSKLPKIVHFDLGSNFLTNPDKFDPMPTVAFLSLYLNNLNGSFPEFVLGSGNVTYLDLSQNTFSGQIPDALPDRLPNLLWLNLSANAFSGRIPGSLSKLTKLQALRVGSNNLTGGVPDFLGSMPELRVLELGGNPLGGALPPVLDRLKMLQHLDLKNAELVSTLPPELGNLSNLVFVELSSNHLSGSLPASFAGMRKMREFGISSNNLTGEIPGALFTAWPELISFQVQSNSLTGKIPPELGKATKLGILYLFTNNLTGSIPLELGELVNLKEMDLSVNSLTGPIPSSFGKLKQLTRLALFFNGLTGNIPPEIGNMTALQTLDVNTNNLEGELPSTISSLRNLQYLALFDNNLNGTVPPDLGAGLALTDVSFANNSFSGELPRRLCDGLALQNFTANHNNFSGMLPPCLKNCSELYRVRLEGNDFTGDISEAFGVHPNLNYLDITGNKLTGRLSDDWGQCTNITLLHMDDNRISGGIPVAFGSMTSLHDLSLAANNLTGPIPPELGDLGNLFNLNLSHNSFSGPIPKELGNNSTLQKVDLSGNMLNGTIPVSIGNLGSLTYLDLSKNKLSGEIPDELGNLVQLQILLDLSSNSLSGPIPSNLVKLMNLQKLNLSRNELNGSIPAGFSRMSSLETIDFSYNQLIGEIPSGNAFQNSSAEAYIGNPGLCGNVQGIPSCYSSASTLSGHHKRTVIEIVFSVIGAVLLAAIIACLILACRRRPREQKVLEASTSDPYESMIWEKEGKFTFLDIVNATDSFNESFCIGKGGFGSVYKAELTSGQVVAVKRFHVAETGDISEASKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYSEEGKKKFDWGMRVKVIQGVAHALAYLHHDCNPAIVHRDITVNNILLESEFEPRLSDFGTAKLLGSASINWTSVAGSYGYMAPELAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISASKEDDLLLQDVLDQRLDPPTGELAEEIVFVVRIALACTRANPESRPSMRSVAQEISVHTQACLSEPFRQITVSKLTDYQK >Dexi2B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:2B:27853003:27854338:-1 gene:Dexi2B01G0017440 transcript:Dexi2B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKEEQGAAAMAGRAPARDKSSFAVTCSLLSQYLKENKGGLHGLGGLGMAPPPAAGAFRPPTTMNLLSALDAPAAEQPNDAAKATTEEPKEHDQRTGENQREDEQAQQLTIFYGGKVVVFDKFPSTKVKDLLQIVNTGGDGVDRSGAKAAPQPSQNSLPDMPIARRNSLHRFLEKRKGRITAKAPYQANSPSGLEVCKQSTGEKTSWLGLGQEVTVKQEI >Dexi9B01G0041550.1:cds pep primary_assembly:Fonio_CM05836:9B:42016843:42023560:-1 gene:Dexi9B01G0041550 transcript:Dexi9B01G0041550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRKPHLLHRRDRDEASPSPPPAAPGHTPSPRGFAIPDRPTTGTTAPWTSSSLLARISTSKRTDRSGDSDQIQPVHVAEFPQVVRNAQASLLQKNFSGKNIFAGGIDKETSLAWMICGNELFIWNYLASVAKDCLVLEVPSSLIGHKDANPLPVPGSIHKCIAIATEPAGDGTATVAIYWRGSTRLYKFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGIWAIPEKAVLVGGVEPPERSLSRKVNEALHKLRAAGAFEKEGAAMLTIMEHGEKLSGIIQLRELQNALIQQRSSTHLSPQLKTQSTGALWNLIQLVGEKARRNTVLLMDRENAEVFYSRVSDIEDLFYCLSHQLQYIISREEHPSVQVQRALEVATACITLVHAALHYRKEHKEWYPSPEGLITWNSHLVVRSGIWSLASFIMELLGESGAADMSMKSNLWSQLEGLTDILLEAYIGLLTAKFERGDEHGVLVQEYCERRDELLGSLYNLAKQIVEAKYQESRDGTDNPGLKESIFIGVISPILATAKRHEGYQTLWQICSDLNDSVLLRSLMHDSVGPHGGFSFFVFKELVNSRHYSKLLRLGEEFQEELASFLKDRIDLLWLHEIYLNQFSSASETLHTYALRGSPDEDASVTTSRKPLSFAERRRLLYLSKIAATAGKDMDYDVKVARIEADMRILKLQEEIVQHDPESAQVKYTTALIDPSELIEMCLKRDQDLSLKAFEVFASTSSSFRSSNRGLLEACWMNATNQDDWVKLSQVSTSEGWSDEVIQESLQGTVLFKASRICYSPDSLVYDGTFEDVLPVKKEDVHLRGLETKCLSVEEVLMQHKDFPDAGKLMMAAVIMGKELPYTAAEPVEMDT >Dexi9B01G0031560.1:cds pep primary_assembly:Fonio_CM05836:9B:33916468:33917138:1 gene:Dexi9B01G0031560 transcript:Dexi9B01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAVETAAAKLISRERVTDAAATLFSAADDSGQIPERFVRIGADDVEAAGEVVVGEDEAFELPVVDMAKLQDPEMSASETAKLGAAHGVDEEVMRHMKESTAEFFRLPLETKNAIAFGGDDTFNGYGHHFNRGGPSDGGKLDWAECVLLITQPVEGRKMEMWPANPPSFRSV >Dexi3B01G0001320.1:cds pep primary_assembly:Fonio_CM05836:3B:970951:971373:-1 gene:Dexi3B01G0001320 transcript:Dexi3B01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPASSLPSANLFRVPPDLLFDDDQYDAVDRLFDELDRSRSSKHARAAATTDAIDGLVQVPGASRSGEDCPVCLHTFGADETLRAMPCTHAFHYDCISQWLCRNAICPICRHQLLVMPDDDKEDKGEHQNQNQRRRMT >Dexi1A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:1A:8233753:8234631:1 gene:Dexi1A01G0009890 transcript:Dexi1A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCMTRACALATATAACVGFPGALVYAIVRVAAARRYGATFALAIVLVFWVTVSAAYYPLICDDLIPWSALMAPCLPRRRRQRRAHHPRVVVVVASAAGSAAALLPLTTSTTTTAAEAERQEGGHGGRSWATAPPPEPQWPPPPPPVDDTLPSFVAWRQDYRGMELLSREPPAVARGGGARVVGEDALAAAAPPPPYEWKWGGGVARAPLPDDDDDDDDNGGEESRRRCAVCLYDVEEGETATWLPACLHMFHDHCIDQWLHLHGNSTCPICRCDAFVAPPLPLPPEQTV >Dexi9A01G0018650.1:cds pep primary_assembly:Fonio_CM05836:9A:13723196:13728725:-1 gene:Dexi9A01G0018650 transcript:Dexi9A01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSVTVLTSPLLPSSTAAVRIRQRPLLRLRLRALAAGAASSQSPSARSLRLLEWGKVCDAVASFAGTAHGRNATKKQLWEVEDVSYEQSQRLLQETEAAVWLLDNAGGAMDFSGLDTVAIESAIRCVSGGAVIKGLEAVAVASLIMFVESLQLNIKAAMKLDEGSHSRLTALTETILDAVISKSLVKSIQDIVDDDGSVKDTASPELRRYRERVQILESRLYQLMDKLMRNADNEASLSEVCIVNGRCCIRTTGDKSSTFDGLLLSSLIWNQGYILIKIHMCCSGAGAGSMIEPIAAVPLNDELQETRALVAKAEPDVLSKLTDKILLELDSIQSLLQETIKLDKVTARAKYSITYDGTFPDLYLPNLENETVTSATGGSPKETSSHTHKKAWKLYMPNAYHPLLLQQYQENLDRAKRDVASAAAEVRRRRIYGQGIIEDQLASDIESMKLRVSQLEKDQPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIG >Dexi3B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:3B:207316:211124:1 gene:Dexi3B01G0000240 transcript:Dexi3B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPPAPTATTSPSDPPLPTTAAAPKPLIASSARSLLAAARRSPVTTLVVAFFFLALFMYGEDVHTIAELSIDDYLYPDADLYNVSGLPPLLLPPPTCDLSAGRWVFDNVSTPAYREKDCTFLTKQVTCLANGRPDDTWQYWIWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGSFIVFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDQRIISPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRSWSQHDEVPRIEAYGRVIKTWSDWLNHNIDPARTSVFFMTISPIHNSPNNWGNPGGIKCVKETLPHQNYSQPLDLYHDLRIFDLVVKVASSMEKVPVTVINITRMSDYRKDAHTSLYTMRQGKLLTPKQKADPEKFADCIHWCLPGVPD >Dexi3B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:3B:4376828:4386079:1 gene:Dexi3B01G0006290 transcript:Dexi3B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRDLPCDGDGVCMVCGAFAPPEVDLLRCSTCATPWHSPCLSDPPALADAAGWSCPDCSGSGGGAAPAPAAGGGEIVAAIRAIEADATLSDQEKARRRQKLLAGSAPAAEEDEDEDDGDGAGDDVLDIVGRSFSCVFCFKLPDRPVAVLKTMALLIVMAMVAVASDLFSVQGHPERSENDQKRKLGVRVGETWEDRLECRQWGAHFPHIAGIAGQSTYGAQSVALSGGYEDDEDHGEWFLYTGRSHKEKRSSYAPESGLRYDGIYRIEKCWRKIGIQGEFKVCRYLFVRCDNEPAPWTSDDHGDHPRPLPKIAELQDATDITERKGRPSWDYDEKEGWKWIVPPPISRKPLMTGVSQSDKHVQRRGKNAQMSVAERLLKEFACSICQEINREMMALIESLQQKAVEEGVDANECGNDSDLEENDGGLANEDDASLNEDEKDRAKDRKTKCQVKNSDVNADDHG >Dexi3B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:3B:5603580:5609694:-1 gene:Dexi3B01G0007930 transcript:Dexi3B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVEDRDVDAFLAECAPSGDAAYGAAKAVLERLHAPATRPAARRLLGAVRRRFAADSASGEDCFRTFHFRIHDVILDPHIQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVTELGCGNGWISIALAEKWSPLKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFHESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISIIKPSGIMVFNMGGRPGQGVCERLFERRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYMKSGGRISHALSVYSCKLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKQWLTSLAIEGRADSNRAEGTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLNTTKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYKALSQTIELLEGHTSLISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQQMIGFSDPAMSTLKAAEFFIPDSNGSSVIHMDLDRSFLPVPSAVNASVFESFVRQNITDSETDVHSSIQQLVKDSYGLSEDGCSEIIYGNTSLALFNKLVLCCMQEQGTLLFPLGTNGHYISAAKFVNASTLTIPTTFGSGFKIEPKVLAETLKNVSRPWVYICGPTINPTGFLYSDSDIQELLSVCADYGARVVIDTSFSGLEYQTDGWSQWNLEGFLSSLKCSKPSFSVVLLGELSFELIASGHDFGFVILNDPSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDQHFSNLMVEQKELLKNRANHLIKTLQSCGWDVASGCSGISMLAKPTAYIGKSFKAGSFDGELDANNIREAILRATGLCINSSSWTGIPDYCRFSFALESGEFERAMGCITRFKELVLGCDARAQTNGN >Dexi4A01G0005830.1:cds pep primary_assembly:Fonio_CM05836:4A:4177018:4178841:-1 gene:Dexi4A01G0005830 transcript:Dexi4A01G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALDMSLDDLISKNKKSNPRPTGRGPIPGGGGPAPGPARRRFNARAAAAPYHRGTASPFQARRPMGYSGYGAVQPLPRMAAALDEPTKLHISNLDYGVSNDDIKDLFSDVGDIKRYSINYDRSGRSKGTAEVIFSRRSDAIAAVKRYNNVQLDGKPMKIEIIGANIEATPPATFAFNPPAGNFKFVKCTVDPEEVVLLEDGLGVEVDLAGVDEGLGAVDEGEEGVAT >DexiUA01G0019940.1:cds pep primary_assembly:Fonio_CM05836:UA:41403250:41407385:1 gene:DexiUA01G0019940 transcript:DexiUA01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSAALEETTRLGIKQGFTKGVAIGSSGISFAIYAFSVWYGSRLVMYHGEKGGTVYAVSTAIIIGGTALGSALSNVKYFSEASAAAERIMELIRRAPKIDSESNAGAELVNVAGEVEFRSVEFCYPSRPESPIFKSFSLSVPAGHTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILVGKEDATAEEVVATAKAANAHDFISQLPQGYDTQVGECGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTNSERVVQEALGQASMGRTTIVIAHRLSTIRNAHLIAVMESGEVKELGSHDKLITKENGLYSSLLHLQQTSHSREATEIGGSRTGRTSNVEQYKKHNAGGGLSAACRSSLTQSMGDAKIDCNIEKPKLPVPSFRRLLLLNAPERKQALIGGFSAIVFGGIQPAYSYAMGSMISIYFLRNHEEIKDKTRTYSLFFAALAVLTFLVNIGQHYSFDAMGEYLTKRIREQMLEKILTFEIGWFDRDDKSTGVICSQLAKDTNVVRSLVGDRMSLVIQTISAILIAFIMGLIIAWRLALVMIAVQPLIIICFYARRVLLKNMSKKSIQAQSECSKLAAEAVSNLRTITAFSSQDRILRLFDQAQNGPRMESIRQSWFAGIGLGMSMCLLRCTTSLAFWYGGRLIAEHHITARALFQTFTILVGTGRVIADAGSVTTDLAKGADAVASVFAILDRETKINPDDPEGYKPEKLLGEVNLKGVDFAYPSRPDVDIFKGFSLSIQPGMSTALVGQSGSGKSTIIGLIERFYEPLRGLVEVDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIENAARAANAHDFISNLKDGYGTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDQMLIGRTSVVVAHRLSTIQNCDLITVLDKGVVVEVGTHASLMAKGPAGTYFGLVSLQQGSNHP >Dexi8A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:8A:1125070:1129242:1 gene:Dexi8A01G0001620 transcript:Dexi8A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTWRHHTLLQALLTRGPLSEREFHEVFAAVSGKKPGASAISLLLFSCAILFSSRVNATHQQLFNDTLLKINKDLAYLQFELRACINQYDGMVYYGIVNNIADEESKLGTKYSVAQIAFYKGLLEAILHETGNDGSITSIDALNVRLDNQVIIVDGSQDSQSRLPTSIKNFSFSQKEKTLNELIQDRWLSSTSTGKIGLGTRSFLDLRSWFRSNDIPSCEVCNEACIKASGCPNEGCNVRIHEYCRRKKFSQRKASRACPGCGTEWPRQDGEVDGDDNVNEPGEDEAPSANRSSKKRRKRVKAELVEENNNAGPSTAVLRRTLRSAKAEAVEAAQEASSAGASQATRASKRRKK >Dexi9B01G0010060.1:cds pep primary_assembly:Fonio_CM05836:9B:6227911:6230949:-1 gene:Dexi9B01G0010060 transcript:Dexi9B01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAKSHAFLLLQSELSWIFVPYYTAADSFKTVKHSYEKKVAYSEDEGNDLRKDGKDQGEVIRLSRKDLPPKMEADSSTLDEKVEDEENDEMEQEMKHGENDEDPIDEQDLEKDDDLPEPGEHSTEKDGDDVGVFEDDEHKERSQEDQEKSFHGDNVSSAVTHDPPSSEQDELSHHGQEKVLFVDDASTAIPHENQDARSKEEEVRKAREKSFRGDDVASSVDHDAKVTKPLPEEQLNTMDRIFEGTTNLSNGISFRGPVLNGSSATGEHTATPTNTSSQQNADIPSMDSESKTHPTSANLTNHYEQTNSILNGQPVQEVNSTVALQGQVQPLTDQTSSVELHSPPNGTLSLVTDGQKSTPGAGNDDNNTGSSFTPVDNKEGEDAHKEDMDVSTKIMNRAMSEEEVVPE >Dexi4B01G0023860.1:cds pep primary_assembly:Fonio_CM05836:4B:25117727:25118083:-1 gene:Dexi4B01G0023860 transcript:Dexi4B01G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATSSLHHILLPASRRRLLVPRATNSHSDSTTVDRRRFIAHTAAAAAVVLPRWTPAARADDAPALSEWERVYLPIDPGVVLLDIAFVPDDPSHAR >Dexi7B01G0021310.1:cds pep primary_assembly:Fonio_CM05836:7B:26401005:26403268:1 gene:Dexi7B01G0021310 transcript:Dexi7B01G0021310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPCRPSGLVVGLGLGTSSLRPLGRASFHSLKTSRRRHAIGAHHQGPSGMDPEDRKRAESAALRFDNKQDEVSSCTTKQTLTMYVSIFVGLVKDAYDKKFNDESIFALLGAFRGVAAVGHILLQDTLAHFNYTEYSSSNYGVVLDSESVWCEFEQKMNNLEAKFRAVSKSTKAYEVGYL >Dexi5B01G0035660.1:cds pep primary_assembly:Fonio_CM05836:5B:35596864:35600317:1 gene:Dexi5B01G0035660 transcript:Dexi5B01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGGGRLFAAHRGGIWWLRRRQHQPFSSLAGGGGRGGAPHLPVLIVGAGPVGLVLSFLLTKFGIKCAVIEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGEIERSQPPVDLWRKFVYCTSLSGSVLGSVDHMKQEGQFSSSHFECLMSCTSYKLVDLLLKKLEVFIKQVCEQIIVKLVGWEPADIQVLDIKPWAMHAEVAEKYVGCNNRVVLAGDAAHRFPPAGGFGMNTGVQDAHNLAWKLGLMLNGVASPSIMQTYESERRPVAIFNTELSVENFKAAMSIPATLGLDPTLANSVHQVINKSLGSIIPRNVQKAVLEGLFSIGRAQLSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFCYEEGALVAEDCGEKPQKGAKLRHSKRASREYIPSANTGARLPHMQIRALPASSEGVFSTLDLVSGDKLEFVLIIAPLKESYEIARATLKVADEFKLSAKVCVMWPQGSASAEVEESRSELAPWTNYVDVEEVPMASGSSWWEMCRISRKSVILVRPDEHIAWRMESDMMRDTDSEVRRAFSQILCLN >Dexi2A01G0036590.1:cds pep primary_assembly:Fonio_CM05836:2A:46106093:46106617:1 gene:Dexi2A01G0036590 transcript:Dexi2A01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRLPSSASSASFLATTSRSSPARRLNALPSSPRTTSRTARATSSARNSPNLSCGTRTAAPSSAASGSATIGTPAASDSITELHPQCVTNAPHDPCPSTRRCGAHPSTTNPRAPAANPRGSARAGAAPPRCSSSSSPAFFGNRTTHTNRSPLSSRPRAICSI >Dexi9A01G0029570.1:cds pep primary_assembly:Fonio_CM05836:9A:34509150:34511901:-1 gene:Dexi9A01G0029570 transcript:Dexi9A01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVARAGATATASGSILPRSTGRRRRRNAFVVVATATGTGAAAPQEGALERPAWSGETPLSRLVGALIAFKPLYALMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEAAAEAEPATMSIAKRAIPEATSIQEANQIVRGNWLNAIEEHHLKYSGNRGINDILDIGCSVGVSTRYLAEKFPSAQVVGLDLSPYFLAVAAQKEERLSRQNPIRWVHANGEATGLPSDSFDLVSLAYVCHECPARAITGLVKEAFRVLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQVGFINVCSILTDARHRTVTATVP >Dexi2B01G0011490.1:cds pep primary_assembly:Fonio_CM05836:2B:13103213:13106157:-1 gene:Dexi2B01G0011490 transcript:Dexi2B01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAVPTAALLLLLLFCLAVVPEAEAARNKHHRSGGPSSRPTTSSSGGGAAAPAVWVFGDSYADTGNLGDLGRELTHAWYDPYGDTFPGRPTGRFSDGRVLTDFIASAMGVPTPVAYKVRRVAPSRVLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQQQHASSSNPGGCAGTGPGVAVVVVSGNDYSYAADNNGGINNALQAAIAYIPTVIRQLREQLRRLRDDVGMRKVVVTNLHPMGCTPLFTRALNYSGCDPLANAGTDQHNAALRRVLAGLDPANQTFLLLDLNTPFSSLIDAPAATPRFAEPRRPCCETMAASGGYCGQQDDDGKRMYTLCEDPAKHFYWDDVHPTQAAWAAVAEDFRPKIREFLLST >Dexi9B01G0021440.1:cds pep primary_assembly:Fonio_CM05836:9B:16165146:16165953:-1 gene:Dexi9B01G0021440 transcript:Dexi9B01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRRPASAAKPVPAPALHASPPRPLPLLLQQQPKGRSRDEEEEAAEEEKRVILVAGVRIQEEDHDRVPAVASLGSAMDDVLKPEPEPQGAVARCSRNDGKRWRCKSAAAPGYLFCDRHIAWSSRQRKPRPKKHRKQQQQHGSGSVLGSAAAELE >Dexi4A01G0013760.1:cds pep primary_assembly:Fonio_CM05836:4A:14298341:14298984:-1 gene:Dexi4A01G0013760 transcript:Dexi4A01G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGNISDVCCSSLNKALDAGHRCVCSLLLSNGVFASLVTNLLTLPLVLPLPGCFLYAPSLSACQATLQQQTSAPPAPASAAATSMGGGTGAALPSPTETTAAATPPVNKRADRGQANDGRTRGSVGDGSSEAPSAAVSVSRSDACRRPGSAEGRACVLTAAVGMAVFWFNRVLDS >Dexi2B01G0022180.1:cds pep primary_assembly:Fonio_CM05836:2B:31852836:31854796:-1 gene:Dexi2B01G0022180 transcript:Dexi2B01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRPRAIDVAPSTNEDYDVYDDVDDLWPVGGSLSPDSDVSVQPPPQPAPLPSQQEPSVLTAPPSAATWRPSGSTGRSSTAGSETSGPPSPPYVSRMDNASLLAAAAYIAELRALLAQLQDDDGLRAALLQMLQDTADRFVASSIVELIDLLPVRCPVQY >Dexi8A01G0012770.1:cds pep primary_assembly:Fonio_CM05836:8A:22528359:22531500:1 gene:Dexi8A01G0012770 transcript:Dexi8A01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLLMIAASPSSPTSSNRQALGYHRGSCLPHERDALLAFKQGITSDPSGRLASWDEGKEQDCCKWRGIRCSNHTNRVIAIQLRNTQPDDDDLKRHPAWETALAGQISPSLITLQHLQRLDLSENDISGPAGRVPEFLGLLKNLRYLNLSGMTFTGEVPPQLGNLSKLHYLDLSRPNLFAPVLLGTSLYSTDISWLSNLPLHYLDMSSVDLSRVVDWAHVVNMVPSLKVLRLSECSLASANESIRHVNLTDLEELSLFGNNFDHPIASCWFWNLTSLQYLELAATFLHGQMPYALGGGQLDTLYAQNPLMYDGNIGLCGYPLQKNCNGSGQPKHSDLKRDGQDSKVLTLSFGLGLGCYMSEMDQNDSETLGHCETS >Dexi9A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:9A:15043388:15043620:1 gene:Dexi9A01G0020070 transcript:Dexi9A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDATPGALPRGAEEASKTIRCAVAACLRGALLQLAS >Dexi1B01G0019230.1:cds pep primary_assembly:Fonio_CM05836:1B:25475881:25478210:-1 gene:Dexi1B01G0019230 transcript:Dexi1B01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRRRRSMSWASSSSSYPEPRHPHQLLQWKFSQALGELPPESGGHRNGPAALQDDEDEITAIEFDGGGEYLAAGDNAGRVIIFRRTDGDDAGLHAWPRAELERADFAGAPPPRYGYATEFQSHQPEFDVLNSLEISEKIKKIRWCARPNNRSLCMLATNDRTVKLWKVTEERKAPKKGSSEPPRRSTAALPLKELYAERVTTKRRGSSADFAERIEKVGDVGDGYSAKCRRVFDRAHEFNINSISNNCDGETFVSADDLRINLWHLEVTSQCFNIVDMKPKDMEDLVEVITTAEFHPSSCSLLAYGSSRGLLRLVDLRRSALCDKSFIDEGRYLLTRDYMNLKLWDLRVETSPVATYKVQESLRPKLAELYTEDYIFDRFSCCASKDGSSFATGSYSFLPYSCTDH >Dexi5A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:5A:22493563:22494621:1 gene:Dexi5A01G0019020 transcript:Dexi5A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRFTFKRVSRWFPRSSASGGLQEDEDSSERSGLLMSHMDHPVVPVMDLGDTSMALAVHVEPKTVALKVSMHCHGCAKKVQKQVSKLQGVVSFKVELESKKVTVVGDVSPTDVLESMCKKNILI >Dexi5A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:5A:8001756:8002063:-1 gene:Dexi5A01G0010650 transcript:Dexi5A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWKSGMARGTTSWQPRGRGGRDLASRARRAARGSSPASGRYGRPRQAPRPQQPPLHRARRAPRNGDGGGGGYRRTQQRSLAAERSSSSWAY >DexiUA01G0020160.1:cds pep primary_assembly:Fonio_CM05836:UA:42047842:42050478:-1 gene:DexiUA01G0020160 transcript:DexiUA01G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPNGENPREPERGNNLKSPRNYPPETAPEMAISIPGPNRTTQIAPETNPEEGLTDQTARIRAIKQPPRAAAAPTGEKGSPLALLEDVLRSAVAAANANRSRPVGWELRETCGGSSGCGCGAGTTGDLPRRWDRAQRRPGAGRPHACARVDAAHGANTAAASLSAPVPISSFPSIPLLPSRSYLSPNSSPPFLAGKTLAGVRRGAAELVHVHSPLHCSPGQTKALSSFPILHCHSPTLSRPLSATAATGVARRSSQGFRRRAAHAHSSLRSTSGRTEGTISFLVPRWCSPTASPSLSDPDVAAATAVVDLVPGHPRPRDLAQTNHGEPLSISPHFPGPVSPPFGRRHGCVFNLFPGGCAKNVGTFYDLVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi7A01G0011820.1:cds pep primary_assembly:Fonio_CM05836:7A:22192992:22193660:-1 gene:Dexi7A01G0011820 transcript:Dexi7A01G0011820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAEETTGGCDGGMAAPVVVVERVVTVEYLEQSMSRELLGKFPDSSAFDFDYSQSGIWSPLTKVPRGSPGPASRRGGGAEAEAAASSTDFLIANPKRRARAGGCFKDSGAGGKSRWRRRRRRLRRDGSFLDLHETGRARLDFSPPAPSPAKEGWRRVLKAAIRKFKARQRRTREAPLLQMMLPML >Dexi6A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:6A:6401267:6402781:-1 gene:Dexi6A01G0006640 transcript:Dexi6A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAIEILMGAGIVCRSWLDAAKTPELWRFVDMTRHKLVFLKNEGTMCAIAKVAIDRSNGRMESFWAQQFVTCELLDYIASRVRPNLKRLRIHIEEWYDSDQIMREMEEENRQRYDYDDEGEDEEPEEESFEQWEARKNEGAIAIAESLPELRLLQMAGNSLTNKGLYAILDGCPHLECLDISDCSNLHVDNELKARCTKLKHVWLPGQPNKVRCPDLHVIGEHEGEDYSDIMNSLSEEEDMNLSADEEMDDGSYCGNCWQDYLSPPSSPDASSRPDLSKVTCDDTSFYTDIHEYYSL >Dexi7B01G0006770.1:cds pep primary_assembly:Fonio_CM05836:7B:14489104:14495276:1 gene:Dexi7B01G0006770 transcript:Dexi7B01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGAASAGPEGAAAPGNKNAPPAAARSGALKKLPLLALLVLFFSAFLYSQIQPPPSKIPGAPGGPPVTAPRTRLRDGRHLAYLESGVPKEEARYKIVFVHGFDCCRYDVLNVSQGLLEELGIYLLSFDRPGYAESDAHPARTEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLAGMAILAPVGNYWWSGFPPDVVEEAWYVQFPQDQRAVWVAHHLPWLTNWWNTQRLFPSSSVKGKNPVILSKEDEQVRQLGEHDSLHRDMMVGFGKWSWSPLEMENPFAAGAEEDEVKVHLWHGVEDLYVPVQLSRYISKRLPWVIYHELPTAGHLFPVADGMPDVIVRDSEEAYASTVCVTVGAAVQADSAATGEDPRNAGRPSGHGNQNKAQRRQELAQELGIYLLSFDRPGYAESDPHPSRTEKRIALDITELADNLQLGPKFYLAGYSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWSGLPSNVSRDAWYQQLPQDQWAVWVAHHLPWLTYWWNTQKLFPASSPQIRQQGEHECVHRDLMVGFGRWSWSPLELEDPFAGEGKKGKVHLWHGAEDLIVPVSLSRYISERLPWVVYHELPKSGHLFHIADGMADVIAKSLLLGDDDPHSA >Dexi7B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:7B:25668453:25669028:-1 gene:Dexi7B01G0020320 transcript:Dexi7B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKSPVANASSDAAATLANNFAPLASKLVHLAETGDVAIRRPTSDDDGVKFVGAESDADVRRLAGDEEHDVQTFERLVPELDMSVPPAPVLVVQATRLEGGHGGGVALGLTVHHSVADGRSLWRFVEAWEAACRGDTLPQPPPCFDRSRVKLHGDGEEMWPVT >Dexi5A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:5A:25285302:25287270:1 gene:Dexi5A01G0021450 transcript:Dexi5A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPHLAVGAAAPSSAFHAGPARRRSRHRSVPFCRAAALACILTATALLTFSLPSSPSAATRTDVTGKLVVADNPPPAPHLSSPSAPATPPPPPPPPPPSPPAVRPRKREPSYWRMAPEEALRYSNKEIRDAEPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVTDATKAHLFYLPYSSQQLRLSLYVPDSHNLRPLAAYLRNFVRGLANKYPFWNRTRGADHFLVACHDWGPYTAAGHRELRKNAIKALCNADSSEGIFTPGKDVSLPETTIRTPRRPLRYVGGLPVSRRRILAFFAGNVHGRVRPELLKHWGDGQDDDMRVYALLPNRVSRRMNYIQHMKSSRFCLCPMGYEVNSPRIVEAFYYECVPVIIADNFVLPLSEVLDWSAFSVVVAEKDIPDLKRILQGITLRRYVAMHDCVKRLQRHFLWHAKPIKYDLFHMILHSIWLSRVNQVELEG >Dexi3B01G0010200.1:cds pep primary_assembly:Fonio_CM05836:3B:7079862:7081725:-1 gene:Dexi3B01G0010200 transcript:Dexi3B01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAPSSSDFALDFLRRLLCARGAGSAADPAAAPTHLQTPETDPRSPCIVARLMGLDAMPPSPPHAHPSPSPPPLPLRRSRSASSAEGSPSPWEAHQQQPRVVRASASLREKPAYLRRESDEFLLLSFSPDHRGRRDVQEELEFLLAAAGCRGEKGGGGPHGGAREQRRNGRCRRLLFGGDDDEAVSCSSRRRRRMPAAECDAVNSSPVSVLQVRDAQEEESTTTTTSSFLEEEVEHAEPCSASSDINYAAYEFLLLTALLLYPLTADEIQNTFEQQNSRRKLHADFDHFDNLSSARSSCHASSRCSDKERRNRRVVNKAEVIAPDVTGIWEPICRLVEEDLKNMKWLIQDGSNVVAEMESGILDHLIREMVGEFVQGRSETVRASPLQSKK >Dexi7B01G0001850.1:cds pep primary_assembly:Fonio_CM05836:7B:3229969:3231183:-1 gene:Dexi7B01G0001850 transcript:Dexi7B01G0001850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALESLTMAALFVFERPIDKPVETIRRALSRALVPYHPIAGRLTVGEHGLQRIACTCEGVAFVGASARCTLHDARLTDRRPAIPVEDLTVTYAAGQYQKDSPPFLLMQVTDFSCGGFTVGVTWNHVITDGVGMAQFLQAVGGFARGLSSPSFVEPARVDSALTELPPPIITMTKEMVSRNHNEYPNSYITIPMSFINRIKDDFRRSSGQVGDQNATPASCTAFDVFTAAIWKCRARVTIGAGAANQDAPTALIFTANVREQAGAKDGYYGNVFTFDLAVSTVGAVANANILDLVRMIRDAKARVQHTFADGAAHIADEMGGRLQGLDGYNTLYVTSWWNLGLDDVDFGSVGPARIMGNMERKVVPACILCGRKDKADGVAAMAFCVKQEHAEAFHSELGMLR >Dexi2A01G0033320.1:cds pep primary_assembly:Fonio_CM05836:2A:43557254:43559630:1 gene:Dexi2A01G0033320 transcript:Dexi2A01G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQAGYLFPEIARRRAAHLLKYPDTKIISLGIGDTTEPIPHVITNAMAELSVQKVRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQLLFGSNVTIAVQDPSYPVALETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLACLSPEGLKAMHDVVGFYKENTEIIV >Dexi8A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:8A:26712207:26712464:-1 gene:Dexi8A01G0015600 transcript:Dexi8A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLLPDMRNFRHAFFSPSQPCCSANANIVPTELVPTLSSHINFSLSIPYLSAATSKCWAMDMASLSPSSTTSGGSESL >Dexi2B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:2B:28209634:28209999:-1 gene:Dexi2B01G0017850 transcript:Dexi2B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQPSSRKRYRDGVEELFGECDSVEELEQSALVSDFFYALLLPFPSAPLVGLESSSRLSPKEDQKRLLAGAEALRCSGGGDRASPDLAGDGLRIAAWLVGRRIYNIPPSRTEARRPSHR >Dexi2A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:2A:2875269:2880625:1 gene:Dexi2A01G0003320 transcript:Dexi2A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHSLLFYCAMDVTDVQQGVGSMDARGGVPNLFHALGPALLISMGYIDLGKWVAAVEAGSRFGFDLVLLALIFNFTAIICQYLAACIGTVTGKNLAEICHQEYSKPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLVTGVCFATVVPNLLPYAISHLGNKMAGTVNACIAGFALLCFVLGLLFSQPQIPLTVNVMFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQGQKRSSAVAAESTNTLLLTFQDVVELMNQIFVNPLASTIFLVVLLFSSHIISLTSVIGSQVISQHLFGINIPLSGHHLLLKGFAIVPTLYWAKVAGAEGIYQLLIVCQIIQAMLLPSSVIPLFRVASSRSIMGAHRVSLHLEILAFLALLLMLFSNVIFMAEMLFGDSGWMNNLKGYTGSPVVLPYTVFILIGCISVAFSLYLAVTPLKSGSTETESQEWSVNSQRELLFTRQGREEAKVDNVTYEEDQRSDVDPSPRDLVDNHPQSAMEYIDTSDTAVESDHDSQQSTAYASTVPETCPAPSYTPEESKSVVAVNWLEPLEKVSTPPVIEESTVESVDSRSTIERDVLVETDVPADKDKEDLNVLESEKSVVGSTLSCVSDDGPPSFIFSRGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASAKKFDVLLGLDLRTPSSAARTDKQAIEIPKSPLVRDAMRGPAFLSNQVDLMSPKNEMSNLDLTYGGLQRGTSIGPSTWSQGMQLPNTQLQSSSNSLLEQSARLSSNFGAASYSDNNQFYQPVTIHGYQLSSYLKQMNANRNPYSSMPLDPQRLPKSSASAAPTYVDSMMHSRNQNLLASLGATPSQIAATSRIGSMMTERSYYDPSIVDGSENAGSPAYSKKYHSSPDISAIIAASRTALLNEAKLGGAIGPQSYLSRMASERSQYANSIARPAAPLAFDELSPPKLQSDIFSAQSSMNPSARSLWAKQPFEQLFGMSSAELSKGDFNLSGRSSGLTKDDFSYKESEMKLLQSLRFCIMKLLKLEGSGCLFKQSGGCDEDLIDRVAAAERLLLQGTTENQLLHGDLQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLDMSKVESRPELWGKYTYVLNRLQGILDPAFSKPRNDLTICACLQKDIRMLNGPPHSGLSAMGPIPMHIRGTFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >Dexi9A01G0046540.1:cds pep primary_assembly:Fonio_CM05836:9A:49917263:49920016:-1 gene:Dexi9A01G0046540 transcript:Dexi9A01G0046540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKENPCFNRVSSNATKSESPKIQSPSERIEKEDSQLPSNPKEVEALRKDTARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYLTKDLREGLEIEEPLRVAVKVHDGVMVPLPWSIRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPLLTQKKKVLSIVDPRLTEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQQLEENSSDSLAGTT >Dexi2A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:2A:3533190:3533684:-1 gene:Dexi2A01G0003980 transcript:Dexi2A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKQESEETGIHAPEAPILCINNCGFFGSSMTNNMCSKCYRDFIKLMEAPVVEKKVITAASSSAVPLETAKRDDAPAAAATEAVAEKQPEQEPPKPPSSRCLTCRKKVGLTGFQCRCGGTFCSTHRYTDSHQCTFDYKKVAREQIAKQNPVVMAEKINKI >Dexi9A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:9A:6992104:6994058:-1 gene:Dexi9A01G0011220 transcript:Dexi9A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIELFTHVPVLRNFVEHLKRKNFNICAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLDPNAQVLLSQLNRQMAPRFGKLNKCLAELVDDYSMVNFIPLDLRKESSIQYVLSSIDSCIQYGEDADVKIRDFDLPEDDD >Dexi5B01G0037770.1:cds pep primary_assembly:Fonio_CM05836:5B:37143832:37149512:-1 gene:Dexi5B01G0037770 transcript:Dexi5B01G0037770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEPSQVRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDVYGPSKYTGLLQATKDILREEGLPIVKFQGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKTRGVQGLYSGLSPTLVEIIPYAGLQFGSYDTFKRSMMAWNRYRYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIENSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWLESILM >Dexi4B01G0004040.1:cds pep primary_assembly:Fonio_CM05836:4B:2933797:2935294:1 gene:Dexi4B01G0004040 transcript:Dexi4B01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGQVPNGGNQTNQKSNGPSSSSDHEDNATLEEWAATRIQNAFRKYKARKTLRCLRGVKRLRVVGQANPVKKQTAATLSYIQSWNKLQSELRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMDEILARIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFSYEVGKGGWGWSWMDRWIAARPWEPRSLVQPENPKKVQAKKENSNTNPSALKLQGSISLSNNVNDRKVPKKKPLPSPNDQKKQSPSPTDQKKQSLSPPDQKKPSPSPTGQKPSPSPTDQKKASPSPASDQKKAALKEQRAKAAGTPPKPKVKEMKGRQEKQQQVVPSVSA >Dexi3B01G0010020.1:cds pep primary_assembly:Fonio_CM05836:3B:6927116:6927640:-1 gene:Dexi3B01G0010020 transcript:Dexi3B01G0010020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEKKDASSALERSLSTVTYCCGACGYDLRLRSSDRNTAGIVGGGYGRAARRGVVAFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGASVGFGYDDHAGAARSPRYDMKIRALQPLAADDDADADASSPPAKATDS >Dexi4B01G0023400.1:cds pep primary_assembly:Fonio_CM05836:4B:24720464:24721220:1 gene:Dexi4B01G0023400 transcript:Dexi4B01G0023400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLINGGGGGGAHFAVAAAGADFFRLCCCLRCRDRRLCDEDGGVKVVVVTDAPDNRTGFLLGREAFAAMARRGMADQLIAGLGSGVHVNFRRIPCEYKKKNLTVRVEEGSRNPGKLAVRFLYQGGQTDIAAVEIARVVAASNRTTHGHAAQAAPSLWRSMEQLRRRSRGFAWVSSRAPAGPLQLRLVVTAGFGGKWLRRAGEEAAAALPANWRPGREYDTGIRVTDVALRTCATSCRAGGGDEELR >Dexi2A01G0015810.1:cds pep primary_assembly:Fonio_CM05836:2A:27221462:27225976:1 gene:Dexi2A01G0015810 transcript:Dexi2A01G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPPELGQFDGWESSGEEERERWGWCRRSRRGSSRRRASPKGGGDDDDTTVATGCCIRLWPVGSCPPPPRSKVDTSTSSASTHGGEKSTENGNRNQPAALVVSGSTTTSNAESSSSASKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLDGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSLKSQSPFAARNGQPVRSLSDGPRASPFRYSPKPNMK >Dexi3B01G0037440.1:cds pep primary_assembly:Fonio_CM05836:3B:40159398:40163919:-1 gene:Dexi3B01G0037440 transcript:Dexi3B01G0037440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPRVGGVGRGRDAVDHPPAISSPVVARKTVRMSDARDFIIPSSYSPDNGCFDVAEEGSAAGSPVSAAAAAAAMVLDKHATGAVSSSSPGGVPCDGALQDTADYIRRGAARHRVAPLELFSAAADPDVRGGGGAEVVATATACAGGQREGEIVASGPSDQAIVQAEEGESGQLKQQYALLLREKEECRRLLEDLMRENVLKTRECREAQESLRELQMELMRKSMHVGSLASAVEGQVKEKSRLCQFLNVLSEKFKALKLEHQSLRKESLEYKNCVLDATQMSKTIQQYVNQYATLEHEFKELKEKFSEEAKERKDLYNKLIEIKVASYCLGNIRVFCRCRPLNAEEIAEGASAAIDFESAKDGELIVKGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGREGARGVNYRTLEELFRIIKEREGIFLYEVTVSVLEVYNEQIHDLLLTGSEPGATTKRLEVRQAAEGVHHVPGLVEAPVTNMIEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGENLINGECTKSKLWLIDLAGSERVAKTDAQGERLREAQNINKSLSALGDVISALATKTSHIPFRHDCCFKLQEFEANTPAARLTKYVGCGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMVGRAKQDSKNKDAQIKTMEETIQSLEAKNKAKDLLTVNLQEKIKELESQLLVERKIARQHVDNKIAHDHLQKQRSIKEESYLRSPMAERNLNSTAEKPRAAPNDFGIAKQMFSDSNTDTYSFKQLMSLGEEKENNPDAGKLPPTTTKARRVSLCNGGEYQQPMNQASRRQSLIPLPRRNSLMPLPTAKLAVAAAPPPLDKITEHLSPPPLCSPPVVSKEKGSRSKKINSILRRSLQKKVIIRPSMAAQAGRKVSAATTAQGTDFGRKAARRVPMSGGAGQRVQQHKDKERGWNNATSLRNNY >Dexi9A01G0032840.1:cds pep primary_assembly:Fonio_CM05836:9A:37674052:37677239:1 gene:Dexi9A01G0032840 transcript:Dexi9A01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLPRLSLSPPPLGARDALPSRGRRRRAALPGVVRAKGKDEASFTDRILDYIEGGPKLRRWYGAPELLPKDGVAEDVEDESPDIEEPRDAVLVTDGDSEIGQVAFYLLFFLLLQNCQCTDVNRCMVGDMEDKSFSKKALKGVRAVICPADDGFFSEPVDLKGVEHIILLSQLAVYRSSGGLQAIMNSKLKKLAERDEEVVLASGIPSTIIRTGSLQSTPGGERGFDFTEGVAAKGRISKEDAATICVEALDIIPRKTLIFEVANGDEKVSDWKAWFAEQTKEDVQIQ >Dexi2B01G0031370.1:cds pep primary_assembly:Fonio_CM05836:2B:39566928:39567227:-1 gene:Dexi2B01G0031370 transcript:Dexi2B01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARNLGIDCVDIGTISGAQAPENVAELARSSSRFSGKPTVMIGCQDDEAPFSCDLIRAASQLMIESRGGDEDASPGLVCVTGSLHH >Dexi7A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:7A:4393061:4395263:1 gene:Dexi7A01G0001660 transcript:Dexi7A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVKPDRTGVETANVKMSMNPFCEIAVEEALRLREAGAAAEVVAATIGPAQSADTLRTALAMGADRAVHVLHDPDPARPLLPLVVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVLLDKEKQKATVEREVDGGIETICLKLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLNVDIRSDMEVTEVNEQPKRKAGVILSSVDELLDKLKNEARVL >Dexi3A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:3A:831095:831781:-1 gene:Dexi3A01G0001140 transcript:Dexi3A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNAVAPEAAIGGATSTRRTPAPPGEEAAVLTVWRKSLLFNCDGFTVFDAKGNLAFRVDCYASARRRAEVVLMDVAGKPLLTVRRRRLSLTEQWVIYDGDATSEDESKAKPLLSVRRHVSLRGSSKKKALAHVTPLGSAAASSAAAYVVEGSYGHRACAVRDAGGDAVVAEVRRKEAVGDDVFRLVADPRLGAPLAMGLVIALDEMFAGTSSARSLLRRTWSAA >Dexi1A01G0015690.1:cds pep primary_assembly:Fonio_CM05836:1A:22790428:22790948:-1 gene:Dexi1A01G0015690 transcript:Dexi1A01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEGCAGAVRRVLTKMEGVETFDIDLKEQKVTVKGNVKPEDVFQTVSKSGKKTSYWEGETEATAPAASAPAAAEAPSTEAEAPATAPATEPAPEITPAKADA >Dexi2A01G0036510.1:cds pep primary_assembly:Fonio_CM05836:2A:46034465:46035658:-1 gene:Dexi2A01G0036510 transcript:Dexi2A01G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLAVPDPELADEIKAICSSGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYSDGRIPLVCTMYASSESYFGVNLRPLCSPKDVSYTILPNMAYFEFIPLEDGLKLTEEDDVVENDKLVSLVVKVGCYYELVITTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSVDTDKTNEEDLHNSVISAKKILEKRNCLLLEYTSCTDTSTLPGHYVLFWEIKSTCEGTTPRAPLDAQLLESCCIAVEQSLDYVYRRCKTHDKSVGPLEIRLVETGAFDALMDLLVNQGSSINQYKTPRCIESGPALKLLNSRVTSSYFSPRDPEWTV >Dexi2A01G0027780.1:cds pep primary_assembly:Fonio_CM05836:2A:39108613:39115210:1 gene:Dexi2A01G0027780 transcript:Dexi2A01G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHHNPLPPSFPRGGGGAGNHHHPPPLHHPHHPHLPPHHHIDDYREPPRLPPHHHPDDFRDLSRLPRRHPDSFLEQQPTPHLRHFAGHGAGGPLPPQPHVVAALEERLGAEIDEAHALLAQNQRLAATHVALVQEVAAARHELGRTARAFASAQEEGDLRLHEVYERSMKMEAELRSVHDVRAELAQVRLDIQNLGAARKELMGQAQGLTQDLARSAEDLQKVSALKAEIQEIKHETQHLRSGIELEKKGYAESYEQGQEMQKNLISVASEVEKLRAEIANAESRSRAIMSAGSQGYVGSYGNPKANFAPNPYNSGYSMNQANAADSASQYGPGATHASWGAYDMQRASGRR >Dexi1A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:1A:26691855:26693252:1 gene:Dexi1A01G0019630 transcript:Dexi1A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQGSMMTQSYDAGSGRGLLASYVKATKPRPSKWDDAQRWLSSSSRAAPDDDRRRSSCADDRLLLPSASMKGARHSWSSVDGATASVASGAREDGEAETKRMDSVLVSYVNQPRCLSLRDIGTEMTPAGSKEPSRANTPRAVSLQVAEPSPPSSTAGRRRRPSDAMMDGGSTTTPGRHAGCERDVDSEEREGDAAAAVSSPATAWDAAERAKHMARYRREEMKIQAWENRRRQKAELEMKMTEAKAERMKLRAREKTASKLASAQAAAREKRAAAEAKLSRRAARAGDKADVLRRTGHLLSSSGFSLKLPLMCS >Dexi2B01G0020920.1:cds pep primary_assembly:Fonio_CM05836:2B:30924321:30928633:1 gene:Dexi2B01G0020920 transcript:Dexi2B01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHCKQLLDPEDVDQVPAADRERGMTLEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMSEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQCAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRVDMNTVKNISMEILDFYDTYKIDPQRGPPEDKISPVLNKLLAKS >DexiUA01G0010280.1:cds pep primary_assembly:Fonio_CM05836:UA:20452294:20453157:1 gene:DexiUA01G0010280 transcript:DexiUA01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWLQQKERGFTSLWTDEMDAALLAVLVEHHNNGDHAQNGWKPHVYNAAIRNVREKCNVEITKDNIASRCKTFDKNYEIISKMLSQSGFGWDWENDKLLIDSDDVWNRYVEANKAAACYKTKIVKNWDAICTIYSKDHATGDGAQTGVESSQVAPEQGDDASPELPQKRQRTCEAILTILEDMRTSFSDVFKSTEPIPLPQVTPPAEILAKLQMIPDLARCDMLKSYGKLILNERLFQALMELPMDMRKEWILMLNEN >Dexi7B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:7B:18260254:18264017:-1 gene:Dexi7B01G0011160 transcript:Dexi7B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAMSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHPVKKDGVGGKTEQDNHEDVDSLPSQELKKLSNGNNKVPGTLDDYKRLVVPIVDEYFSTGDVELAASELRGLGSNQFQHYFVKKLISMAMDRHDKEKEMASVLLSSLYADLLSSYIISEGFMMLLESVEDLTVDIPDAVDVLAIFIARAVVDEILPPVFLARARALLPEFSKGIQVLQVVEKSYLSAPHHAELVERKWGGSTYFTVEEAKKRIQGILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTFGMENMSSQPLILKLLKEAAAGCLISSNQISKGFSRLAESVDDLSLDIPSAKDLFDKLVSTAISEGWLDASFSKSAASEEEMQDTIAEKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEYNPIFLKKLITLAMDRKNREKEMASVLLSSLSLELFSTEDIIKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISSKLRPSSSGNQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKITKLLEEYNTGGDLAEACRCIRDLGMPFFNHEVVKKALVMAMEKQNDASILALLQECFGEGLITINQMTKGFSRVKEGLDDLVLDIPNAQEKFGGYVELATERGWLLPTFASIP >Dexi9A01G0049230.1:cds pep primary_assembly:Fonio_CM05836:9A:51907125:51907789:-1 gene:Dexi9A01G0049230 transcript:Dexi9A01G0049230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAALASAAALLLLLLDGCACTMYKVGDLDAWGVPPPSKPDVYKRWAKSIHFALGDSIWFLYPPSQDSVTPQAFAACDLASPVLKLADGNSIFNLTTPGRAYYASGAPGHCRKGQKLWVDVPMANGTYIQPSATDLAALAPTPAADAPEGSLSASAPAGAHPSAAALRAVAGAGSVAAAALSVALPLLL >Dexi3B01G0038070.1:cds pep primary_assembly:Fonio_CM05836:3B:40897186:40897768:1 gene:Dexi3B01G0038070 transcript:Dexi3B01G0038070.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIVLRKWLQQPLQWIWTSLLYSRLAI >Dexi3A01G0029910.1:cds pep primary_assembly:Fonio_CM05836:3A:32475850:32476152:1 gene:Dexi3A01G0029910 transcript:Dexi3A01G0029910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARSRRKSEKAGTVATKGLGAARHVASPESKMQRPGVGGGAVQSTRRRGAVAWRRRQKSSVTLRHALCSPRRLDPVLAEIPMAGIRRSRERNAVSAG >Dexi8A01G0016770.1:cds pep primary_assembly:Fonio_CM05836:8A:28348957:28349611:-1 gene:Dexi8A01G0016770 transcript:Dexi8A01G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRSREERWSLAGATALVTGGSKGIGHAIVEELAGFGAKVHTCARNADELEESRRRWAEKGLIVTISVCDVSVRGDRERLMDTVKATFDGKLDILVNNAGQVFLKAAAECAADDYSHLMATNLESCFHLSQLAHPLLVNASLAGGGSVVHVSSIASYLGFPGLVLYCISKG >Dexi9B01G0033680.1:cds pep primary_assembly:Fonio_CM05836:9B:35821002:35822515:1 gene:Dexi9B01G0033680 transcript:Dexi9B01G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTNGRESNGDRSHKGGGGDVPPPSIQIDMNMVRRRGGGGGGSPSFFEPWTPTPGSGSVVVRGGGSSGSSGDPTPHHPSGGGGREPPEKLLTLFALRLAVLEKAASGLGTLNFVWATVVLLGGFVSNLTLTDFWCITVILVGEGARVFGRSHELEWQHHATETSSTAAAAGVLRSSSRFFRRVLHFHAAVSDGRRYGGGLAPPAHRRWRASDAATCNASHPFPTSPPPTPGLICCVGTGPLVVHPRPEEPKKTRPSVVRARRDADTQEDAYALRYTPHGLVAHRPLHRPGRALPPPVCLDVPSRTLTIPEVHLRRGHHQRRPRQQARADAADAGGKERVPPFASFTPSSGMKLGSHQELADPMEVVLLFTQKRRAPVGAVMREEATPGGD >Dexi1B01G0021240.1:cds pep primary_assembly:Fonio_CM05836:1B:27196327:27197466:-1 gene:Dexi1B01G0021240 transcript:Dexi1B01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGERRQQRRREDERDWSVTGLMQKINNPNILLQEEELGRLPTRADFMKPSATPRNIRIQTPRLDRRVDGTNQVPPKFIRKATPARLMRRVRSSHNFRQRVGAIDVINEWRLPKVSEGEDEGGEQKDWQNETVSSRISSARDWNFESDGAFEGGNHSDRAFGDSDGENCPVAAPRMERRLPSSVLKPQGNFVVHAKLVAWKDAQVAKLLEK >Dexi9B01G0003060.1:cds pep primary_assembly:Fonio_CM05836:9B:1749162:1755612:-1 gene:Dexi9B01G0003060 transcript:Dexi9B01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVAEPKTKYDRQLRALQRLDSAIGILFQLRLDYTQILKATCYFITMYRIWGDQGQAALENASICLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNYLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEETPSTLIDNNPSFFSQFTVVIATQLPESSLLKLDDICRKADIVLVAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWAELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGNLPSTRQEKREFKDLIRAHMLNLDEENYKEAVESSYKVSVTPGISNEIREIIDDNSAEVNSSSSDFWILVAALKEFVANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAEADCLAMEHRVKDILKRIGRDPDSISRACIKTFCKNARKLRVCRYRSIEEEFSSPVVSEVQRYFTDEDCRSASIAMKFLVHVFACPVSSMKCPLMPAKCCYRSYAMNFYILLRAVDRLAANYNRLPGIFDSEIDEDIPRLKTVAASVLSEMGLSGASLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKLVTKQFVPLKGTFIFNGIDLKSQVLEL >Dexi7B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:7B:20756302:20758146:-1 gene:Dexi7B01G0014560 transcript:Dexi7B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLGVKAAPFTYAAHALAAVAAVLVLVWCVHFRGGLALEAQNKNLIFNVHPVLMLIGYIILGSEAILIYKVFPKLNHDTAKLTHLILHAIATVLGAFGIYCAFKFHNDSRIANLYSLHSWLGIGTISLYGIQWVFGFVTFFFPGAAPGVRRSALPLHALFGLFVYVLALATAELGFLEKLTFLESGGLDKYGSEAFLVNFTALVVVLFGASVVVAAVTPAHVEAPQGYAAIPVS >Dexi4A01G0006130.2:cds pep primary_assembly:Fonio_CM05836:4A:4394356:4394884:1 gene:Dexi4A01G0006130 transcript:Dexi4A01G0006130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYERGHTRIVAVTFHGGKAYYMDQLKNIIICDLDTATHLPPKCTRIFNVVHVANELCTCDRFHPVGSVHLVSCNGDLLLVVLRSRGSGHPSWAEVYKPEWTCETDPFSRVVLRERVVELGEYSLFLSALWTLVVS >Dexi4A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:4A:4392100:4394352:1 gene:Dexi4A01G0006130 transcript:Dexi4A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRVNIEDVVAYGRCDCSSSSGGSSPVSVLSGPSESDGYSSSDSDEFCPDPYPTRPSSSVRGGLDRTVLLTESSTCVLDDIDSRHQQRMLALLPAFSSPVDAVARAESLSRWLSGFGVGWVLDMDASASGRGGETLPRREVGRRVRAWAQALSTMERVFRLHHRELTVNQVEALGELAAASAGAMLKLAGAVAALESSPSKLLTSLDVYVPVSEAFPVLGRMFSWGPSHPVSAAAEETLAAVVDAARSCCRDLRTFIRSHYPWRMPQGGEVHPCIGFWMGYFRCMLRNRISLCLVLGDGDDAPPLAPGVEEGGVRLGLVAEFISCLEAVLEDKSAALAFPGLRQVFMLNNTLAVVRRAVRSDLKLFLPPGWVRVREERMERYIKSYMDASWAPVASRLDDAKPSAAVLRWRRTNRLGAFYTALENACSAQRWWKVPNPTLRSMLRKTVSENVVPAYRRYLENHPEVQVAAGRSAEELEEQLSDLLEDVCTHWRASTSSPAAFRPWVLAGDTYSNGLAPISGYSLRLPRLSALKLVGGAPPASLPQYCCGTSFGWLALVDDERSPTRLVLWDPLTNAEVPLPCLSPLSRVFISGDPLTSSSWVAIATQLKPDGEAALTWRPGEDD >Dexi4B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:4B:16094175:16094725:-1 gene:Dexi4B01G0014870 transcript:Dexi4B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSNTLSLRVVFFLAMVVYAAHAGKDAPKEKGKEKSGCSATAPEAAASPEAASPEGAAGGGGSSDISKAGAKGDGKTDSTKAVNEAWVAACGKDGVQTLTIPNGDYLTGPSTSPDHARAPSPSSSMDKSNSWIQIEHVDNLVITDKGTLDGQGKQVWDDNKLLEIKLRSS >Dexi7B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:7B:2804164:2805130:1 gene:Dexi7B01G0001700 transcript:Dexi7B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNPPGMQMPQQNSQPGQFNNPLYGASSGLIKSGLEVYGEKFFGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFRLGFMGKFTPEAINLQFTRALIGWAFQIVILKGLLYSMGGGEPVYGSVLGEDNEEGAFHGDEKQ >Dexi5B01G0008030.1:cds pep primary_assembly:Fonio_CM05836:5B:5403246:5404088:1 gene:Dexi5B01G0008030 transcript:Dexi5B01G0008030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLCFLVASALWVALSVPVMMPAAAAHGQAGKHCPPTACGSVSISFPFGLVPEDGALKNSCGRIGFQVRCRNNAPYLGTYHSEHDLQILRIFYDNGSLIMSKTSKLGFFINTTSGSSSCHIPTGNTSSELGPPFSISPVNQKLIFYNCTKPLSPGGGLVETICGDNTYVRVAAGRSDNKLSNYFMDGCVAAVVPVFGTSANKMNASNYEELVRGGFLVTWQQGPRPSAPSA >Dexi4B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:4B:22744438:22746447:-1 gene:Dexi4B01G0020620 transcript:Dexi4B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAALQGAMASLSVSVPGAASTSSFWGNRLATYSAPQPGVCFRCFPVPFCCASAIRFMVKICPIEMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTDDEPGEIVMIEGPIHSSNVMLYSKEKNVASRVGHKFLEDGTKVRYLVKTGEVIDSVEKWVQVFKEGNSE >Dexi9A01G0022220.1:cds pep primary_assembly:Fonio_CM05836:9A:17287497:17289950:1 gene:Dexi9A01G0022220 transcript:Dexi9A01G0022220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFRFASWEREGDERRRGPSTTTTTGRSLSARSNSSTATSTTTDHDVRRSASECCGSSLNASELSSAGSFSRCRQLSSSSQRPHKALRVFTFQELRSATRGFSRAHMLGEGGFGCVYRGTVRGAAVDEPRRSVVDVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLYELLTGRRPVDRNRPRGEQNLVDWVKPYSSDAKKLETVIDPRLQGNYSIKSAAQLASVANKCLVRHARYRPKMSEVLEMVQKIVSSSELGAPEHPLISNSEELVSDEKKKKGLDLKRRITDIKAGEGRWFAWQRWTPKLVRTQ >Dexi3B01G0033150.1:cds pep primary_assembly:Fonio_CM05836:3B:35498116:35499009:1 gene:Dexi3B01G0033150 transcript:Dexi3B01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQATATAAAAAAVRHHRPHLLLRRGGGLLPTLPFASRGAAATPARLRLPPARFSISPVPKSLSAAVTSSHVPVRSLFTGIVEEVGHVRRLGPPLAPSGGGGGGEAPGLDLEVETKSLLAGTQLGDSVAVDGTCLTVAAIDTAASTLTFGVAPETLRRTSLGERAAGDSVNLERALTPSSRMGGHFVQGHVDGTGEIAAFRPDGDSLWVTVRAPPEILKLLVPKGFVAVDGTSLTVVSVDDEGGWFDFMLVRYTQDNIVLPTKKVGDKVNLEADILGKYVEKLLAGRVEAMAKADS >Dexi2A01G0009530.1:cds pep primary_assembly:Fonio_CM05836:2A:10355879:10356517:1 gene:Dexi2A01G0009530 transcript:Dexi2A01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGVVIACHTKEEFYAKMGKAKETKKLVVIDFTASWCGPSRSIAPVFVEFAKKYPHVVFLKVDIDELRDVAEEYEIEGVPTFHFVKGGEKIDIVVGANKDELQTKVEKHAGQPA >Dexi3B01G0019660.1:cds pep primary_assembly:Fonio_CM05836:3B:14655569:14656013:-1 gene:Dexi3B01G0019660 transcript:Dexi3B01G0019660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASKKPRTGRAAPRHVVVVARWVGPRRHEPLGDAVARVDLLPAAVVSGRRKRNRHGPVVVAVVLVAAVPGGLDDAAAPEVEAVAQGGRHRVRAAGVAHSGEQLVGGGRLGRRRRMLQRGGRGGGEDEQRRHEEEAQATAP >Dexi2A01G0030020.1:cds pep primary_assembly:Fonio_CM05836:2A:41021611:41023802:-1 gene:Dexi2A01G0030020 transcript:Dexi2A01G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLAVALLLTLTAASARASDVPSVPLSQAQSPSNSSSPSNASSPPCHLDLSAELFGGVAAACGAGGGPSSLDRGRCCPVLAAWLFAAHARTALSVPPAPAPSGVSGEEGFGPGGEDGPMVPYDNQRCVDALGAALEKRGVALPSPNKTCDTVLCFCGIRLHQIGSLRCPAAFAVVGAAAKNATPTAAVKDLEKSCRNASYAGCSRCVQSLQKLKGNVSREVSGGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYTAHPTESGSGGSPPRCSPDQENMPLAVDSLQFEHIGSTSSAAGTSSTPQVFHVLLGLVVLCLIMIRSRDTFL >Dexi4B01G0021000.1:cds pep primary_assembly:Fonio_CM05836:4B:23062918:23064387:1 gene:Dexi4B01G0021000 transcript:Dexi4B01G0021000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSATLLALTVALLAAASVPVAAALERPDSEVWRLYEAWKSEHRRPGCNCGQGGGDRLRLEVFRANLRYIDAHNAEADAGLHTFRLGLTPFTDLTLEEFIRRALGFRNATAPRPASTRYLPRAGDDLPDAVDWRLRGAVTPVKNQKRCGGCWAFSAVAAMEGISKIVTGNLVSLSEQELIECDSKDSGCNGGDMGNAFQFVINNGGIDTEADYPFIGRDGVCDAIRENRKVVSIDSYEMVPANDEKALQKAVANQPVSVAINANSPAFQHYVSGIFNGVCGLKLDHGVTAVGYGSEGGQDFWIVKNSWGPEWGEGGYIRMARNVFLPMGKCGIAMDASYPVKNGPNHHSTTAKQAGNIKMALA >DexiUA01G0000740.1:cds pep primary_assembly:Fonio_CM05836:UA:2482652:2483023:1 gene:DexiUA01G0000740 transcript:DexiUA01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSVRARDLGVERTSLSIEVSTRDAMIADLERQLTDLHIAHNNVHNELTQTRDELEYVQGVLEHANAMLAAHDAKHLLEEGGEHGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi1A01G0029240.1:cds pep primary_assembly:Fonio_CM05836:1A:34796380:34797771:-1 gene:Dexi1A01G0029240 transcript:Dexi1A01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAVYWLYSGLYVALDGVGRLDGYRLHTREEAAAKNVVSKSTVVRGVLVQQAFQVAVSLTLFAVIGDESGTGQKQPPALVIVLQFIVAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATVKTVDDHCGLWLPGNILQKLFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEQRQGGGVESRPAKLY >Dexi9A01G0008160.1:cds pep primary_assembly:Fonio_CM05836:9A:4842630:4844092:-1 gene:Dexi9A01G0008160 transcript:Dexi9A01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTIIAAAAPQPHVMVLPFPAQGHVIPLMELSHRLVDYGFKIDFVNTEFNHDCILKSMQNRVIPEGIDMLSVPDGMDPADDHTDIGKLVGGLPAAMFSPIEEIIKIKKIKWVIADVSMSWALKLTNTVGVRIALFSTYSASVFALRMKLPKLIEDGVVDETGNVKIHKMIQLTPPIDSTEIPWVSLGSNTERRRVNIQRVINTNQLMSLAEAIICNTSGEVEPEALALLPNALPIGPLVAPMSKLSGNFWTEDLTCLTWLDKQAPGSVVYVAFGSSTVFDATRFHELANGIVLSGRPFLWVVRANFTKGIKEEWFNQFKKSVGGQGLVVAWAPQQKVLSHPSVACFMTHCGWNSTMEGVLHGVPFLCCPYFADQFCNQSYVCNVWRTGLKLCANEQGVVTKEEIKDKVVQLLKDEDIKARAIMWKNKACASVREGGSSHENFLKLVKLLQEG >Dexi9B01G0043200.1:cds pep primary_assembly:Fonio_CM05836:9B:43233522:43234849:1 gene:Dexi9B01G0043200 transcript:Dexi9B01G0043200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRPSPIFLLGYKVDMGEFTDEPEHGWKEIECASKRAYGCGQHGKEAVEGLKLYSRLGDDPLLTSSLAIRMTEEAFQRFDLEIQLTCPLKDLLVHHRMFAGGIIQMADEQGLTVIILTFVRPFCRDLTYYLVYDNTTASLSLIQYVPDLFATVCTSKPVAKRNGSDDFELFVMARELAPVPCRILCACTPETRANQASDGTGPWLIKKHFQHKEFEEPIIAHVAFSLQSKGIWADLSRGLMYCNLDTSDYNEFGFIRLPRECLLDSEEEVVSDGLVKVNRTMSCVGDSIWFVCIDHATEPADAVVKIWTLTGNFQKPRWEKMEEVRVSEIWEFDGFKEARLPKAPLAYPLLTEGGALCVTIADQSKFPRHYQPLVEDDICIFDMSLKRLQWHGFAHNYFFTKHLVIPSDFLQSKHASRKRNRAPGIGVGRE >Dexi5B01G0038640.1:cds pep primary_assembly:Fonio_CM05836:5B:37752094:37753887:-1 gene:Dexi5B01G0038640 transcript:Dexi5B01G0038640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEGAAAAALLAVLARAGRAAERSGRVAEAVRVVAVGKTKPVSMLRQLYDAGHRCFGENYVQELVTKAPQALVDCKLEVCNALQIPTEQFELSMGMSGDFEQAIEMGSTNVRIGSTIFGPREYPNQKQ >Dexi3B01G0022930.1:cds pep primary_assembly:Fonio_CM05836:3B:17638619:17642381:-1 gene:Dexi3B01G0022930 transcript:Dexi3B01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRSCVSVKAAAVSPVRYRSARVGGAVGLGAPGRLRICSSSVSPGGEGNYCSRDGGCASSSGRGVVAVGGGLGGLPGGDVGLRITRRREVAVAKCSASFDGVRPAAAVAGAVQPAAASSSSAFSERAKVVALVAAIMLICNADRVVMSVAVVPMAAQYGWSSSFVGIVQSSFLWGYVFSSMVGGVLADKYGGKKVMAGAAALWSLATILTPWAASHSTIMLLVVRALFGLAEESDPIDSRTISKSELQYILAGRTGSKVQGSKCPSLRELYSKTEFWAVTIANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAMSGYVAGASADFLIKSGFNIGLVRKIMQSIGFMGPGVSLLSLRFAQTPTVAAVLMTISLSLSSFSQAGYFCNIQDIAPKYAGSLHGLTNGIGTVAAIVSTVGIGYFVQWLGSFQAFLTLTAALYFSATVFYNVYATGDLVFD >Dexi1B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:1B:20369476:20375557:1 gene:Dexi1B01G0014150 transcript:Dexi1B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILLVRDYIDRMLHDILGMKVLVLDPQTVGMLSVVYSQSDLLKKEVFLVETMDNASSSRESMAHLKAVYFLRPSADNVQKLRRHLAMPRFAEYHLFFSNILKIQQIQILADSDEQEVVQQVQEFYADFCAIDSYHFTLNIQNNHMYMLPTVVDPPGMRSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKKIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGYANVPKDQQEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKSSLNLQSIGLNLVLCDMAKFVSNYPEYRKTHGNVTKHVALLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLIVQTMEGIVKGRLRDVDYPLVGNHFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLEDLGEAHRISKSSTTI >Dexi3A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:3A:1851926:1854264:-1 gene:Dexi3A01G0002770 transcript:Dexi3A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSLDASRSPMGPSSGHSLHSAIPAVSAGSPGTLPTTNRSFPSASTSLTCATISPALNTPLSLYSTPAPAPPPTLMALALSRNSLRHASSALRSLSASNSPPNPPPPLRTKRSTVRSMAGLYTMRVASATTSRSSRPPKKSAATRHPAPGTPPRPRSTRTPTVHGEKRWMLWLSPWIAGWNVSRPPSRERRLWKGSRMSAECWSSAADVTSSCMLSYGDTAATVPRTDTPPTFSSYAMKAWEISSADDRPANSIRCRACTATGDPSELGEATSRRVRSAGTSRGTWTHASTRSSASGCAAHAMKTSRRAGLTTSASSGVTSAVISADMNTSTVKDWSLMIPLFLPMLRITSSMMPLVLSSSPMETPSCSGMPNTPATTTAATTLRTAAARAAHISSAAAPMLVILAVSVRRPLMAKKSGSSVPTTRSSTFSTIATPTGPLGTARPNTKAPKMACVPPASATSAAAMTPTSTEHSCSSVTTSPSATRAARRRSMGRTTRQLAPTMRKPETSVQRQGSVPKRTWASAVATVSPAHAKVSLMRDAEMDSWPTSVPASLSWAMLRASTEKAVEQKVAPRKTGKERIVIPAAAGGGGDGDVAGGGADEEGRERGGGGEPEAAEPGAEEGVELDLDADGEGVVDEAEEGHGLEDEEATDGEGQVGEVGDAAEYGGAEEEAGEDLGDVAREAERGEDEGDEPGGGGDEDDLEEEQGEGEVDGVVSHPDAAHGDLARVQAQQVRPLQRH >Dexi3B01G0035290.1:cds pep primary_assembly:Fonio_CM05836:3B:38101931:38103312:1 gene:Dexi3B01G0035290 transcript:Dexi3B01G0035290.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWANFWNLPEHKRIVVKCNQLGQPIGKEGGLLGQFLGTIARNGGYCPVDVNDWRKVKKDSAETILQCIQTKFLYSRSCEKWILKSIGRDWRKHKATLKKNLFNPKKKRSTLYKLCPSDIDEDQWKGIIRYWKSTEGKRQADPVKRPPHRATVYLATHRKRVNGANDPTACS >Dexi9B01G0009520.1:cds pep primary_assembly:Fonio_CM05836:9B:5837608:5841188:1 gene:Dexi9B01G0009520 transcript:Dexi9B01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLLEASAPARLMTTKPPPAANGAAAGAGGGNRRRGGRWWWYAAVGAFLVALLAVAVSSRSFPGIPSFPSSSRGGCGCPPARKYTGMVEDCCCEYETVDSINEEVLHPILQELVKLPFFRYFKVKLWCDCPFWPDDGMCQLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQAAVDKTLDSKAFKGWVETDNPWTADDETDNNEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPKYPSEEMCHEKKALYKLISGLHSSISVHIAYDYLLDKSTNSWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEDDLKTQSLVKQLLYHPKLRSACPKPFDEAKLWQGENGPELRQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGDNHLNQPLQLQRNEVIALFNLLNRLSESVKFVHEKGSSIEEVIKEQSPPNVKMGASKPNLKLVLFLLRINITLLLFTFNL >Dexi5B01G0025490.1:cds pep primary_assembly:Fonio_CM05836:5B:27495284:27498227:1 gene:Dexi5B01G0025490 transcript:Dexi5B01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEGGGQQQARRRRATATLVLLSSSLLLNAVFLAHHLLWQPSRFFPVILDDVDEGGSCGLSWSLQAAREAEAVAAVGCSGHGQVFLDGVAGEDGRPGCHGNPLFLDPYWRRHAAASAVVVSGWHRMSYTTSDATFQSVELERQIRLLHTAVGNAVVDDKHVVFAAGSVQLINALVHALSPDANDASPPARVVATAPYYPTYRTQTAMFDGREYVWGGTTARWANASRNSTATTDGYIEFVTSPNNPDAKLYGPVLGGSAAAIVDHAYYWPHFTHIPAPADEDVMMFTISKLSGHAGSRFGWALIRDENVAKRAKDYVQNSIMGASRDTQLRMLGIMKAMLANLHTEEDIFVFGHDAMRTRWLKLNAVVSRSRRISLQKIQPQYCTYFQRIREPSPAYAWVKCEREEDGNCYDALLKANIITRSGVYFEAGSRYTRVSLLKSDDDFDVLMERVTDLVNAEKYDDAPGSSSMLR >Dexi9B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:9B:8730577:8732339:1 gene:Dexi9B01G0012960 transcript:Dexi9B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRYLPCLICFFFLTHGGRRACATAKVTAIIVFGDSSVDTGNNNFIPTVARSNFWPYGRDYANGIATGRFSNGRLATEFISEAFGLPPSIPAYLDAKCTIDQLATGVSFASAATGLDNATAGVLSVITLSEQLAYFKEYTERLRSAKGEAAAKEIIGGALYIWSIGTNDFIENYYNLPERRMQYTVGEYEAYLLGLAEAAIRRVHALGGRKMDFTGLTPMGCLPAERVGNRGDPGECNEEYNAVARTFNAKLQGLVAKLNKDLPGLQLVFADTYQLLADVVKRPADYGFDNAVQGCCGTGLFEAGYFCSFTTSMLCTNANKYVFFDAIHPTEKMYKLLADNVINTTLHVFM >Dexi1A01G0023580.1:cds pep primary_assembly:Fonio_CM05836:1A:30192435:30192800:1 gene:Dexi1A01G0023580 transcript:Dexi1A01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACHRRVGHASHRPTITDHLPSRHPASRPFLGSSSRAERTPGSGRSVLGYRDERSRRFARGSSNAIAGLASRTGARDVTSGCDHFYNTGTARLPSRHIRWRGRQDKRGEEIRRKHAEPAL >Dexi5A01G0012140.1:cds pep primary_assembly:Fonio_CM05836:5A:9067226:9070042:-1 gene:Dexi5A01G0012140 transcript:Dexi5A01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALARRARGSAAVLWGAARGFASVGSDIVSAAPGVSLQKARSWDEGVATKFSTTSLKDIFYGKKVVIFGLPGAYTGVCSQAHVSSYKNNIDKLRAKGVDSVICVAVNDPYVLNGWAEKLEAKDAVSNHPFVFIIYIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDNGKIKSFNVEEAPSDFKVSSAEVILDQI >Dexi5A01G0031510.1:cds pep primary_assembly:Fonio_CM05836:5A:34153104:34154619:-1 gene:Dexi5A01G0031510 transcript:Dexi5A01G0031510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSLGVVHISPLPSSPPHAPHLYSRPLSPTPTGPAAATRSLCFLRRSRSRFAAERTRRPTMAAAISLEAAGGLAHDLVCSAVTAGVAVALLKFFEDLAKRGVFEQKLNRKLVHISVGLVFLLFWPLFSSGTYAPFLAALAPGVNIIRMLLLGLGLMKNEAMVKSVSRSGDYRT >Dexi9B01G0028410.1:cds pep primary_assembly:Fonio_CM05836:9B:31043366:31046545:1 gene:Dexi9B01G0028410 transcript:Dexi9B01G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCGKTTWHAAAVVSAVVTAAAILAAVFPAAEAAADVFQERLTVPMTIVADAALTGAGKQVHARLSSLLCLDGSSPAYHLHRGSGAGARNWLLQFEGGGWCNDVRSCAERAVTRRGSTRLMTKVEVFSGILSNLQAMNPDFYNWNRVKLRYCDGGSFYGDSAYRNGSSVLYFRGHRIWDVIITDLLQKGLAKAEQVLLSGCSAGGLATFFHCDNLKERLGSATTVKCLSDAGFFLDLSDISGNSNIRQFFSSLVSLQGVQKNLNKDCQNSTDYPYLCFFPQYALPYIRTPYFILNSAYDVYQFHHIFVPPSSDPRGQWSHCKMDPGACSTSQIATLQGLRTAMLTSLKQIEDETEIGMFINSCFAHCQSELQDTWFAPNSPMIHDKKIAEVIGDWYFERGASKEIDCAYPCDSTCHNLIPSDQVSADDYAQF >Dexi2A01G0028370.1:cds pep primary_assembly:Fonio_CM05836:2A:39664206:39667160:1 gene:Dexi2A01G0028370 transcript:Dexi2A01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATANHAAAVAQLCGGGRRGQKAAAPRVDLRWARLLRLAVVTRVLRVVRDQLLACSSCGGGGGGGGGRGGRYRRLGPPAHAGGAVLAPLDRDDHDCVAGADAAVPCDAAADGENVVSLKVSLLGDCQIGKTSFMVKYVGDEGEEPNGLQMTGLNLMDKTMAVRGARIAYSIWDVAGDVQSVDHIPIACKDAVAILYMFDLTSRCTLNNIIDWYERARKWNKTAIPILIGTKFDDFAQLPLQMQWAIVNQARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTIGEPIIDF >Dexi4B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:4B:10365480:10366754:1 gene:Dexi4B01G0012560 transcript:Dexi4B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNLIHDHFSDTGLSRATVPLVHRHGSCAPWQTSEKPSFTERLRRSHARANYIRSRTFKGMVSTLDDDANVTIPAYLGGSVDSLDYVVTVSLGTPAVSQVLLMDTGSDLSWVQCAPCNSTACYPQKDPLFDPSKSSTYASIPCNTDACRNLTADGYGDGCADTLCAFAIEYQDGSQTKGVYSEETLSLAPGVTVMDFHFGCAQDQDGSNDKYDGLIGIGGAPESLVVQTSSVHGGAFSEAGFLALGAPTRVNTSGFVFTPMTVEQETFYTVNLTGITVAGMKLDIPPAAFSQGMTIDSGTVVTWLPQTAYEVLRTAFRSAMSKYPLVPPPEDYLDTCYNFTGLSNVTVPTVSLTFDGGATVDLDVPSGILLEDCLAFAGDGVDDTGIIGNVNQRTFEVLYDSAWGHVGFRPGAC >Dexi2A01G0034630.1:cds pep primary_assembly:Fonio_CM05836:2A:44515621:44516420:1 gene:Dexi2A01G0034630 transcript:Dexi2A01G0034630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVPNLELDSTHGKIRIHDFVGDGYVIIFSHPADFTPVCTTEMAAMASYASEFEKRGVKLLGISCDDVASHKEWIKDVEAFSSKQQSSPGGAKSSKVTFPIMADPERSAIKELNMVDPDEKDGKGVSLPSRTLHVVGPDKVVKLSFLYPACTGRNMDEVLRAVDSLLTAAKHKGKVATPANWKPGDRAVIGPSVSDEEAKKMFPDGFETADLPSNKGYLRFTKV >Dexi8A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:8A:16722608:16728663:1 gene:Dexi8A01G0010170 transcript:Dexi8A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMMVRPMASRSMLRGFSSRIATRLPALCAAVDDRRARKSSKAFWSLPRGSTWCPTVLGVARPSPGALSRLNAIYLQRNNFSGVIPPSLANLSALQEIYFAFNKLEDPIPKGLGRLNGLEFVQLAANQISGTIPTTFFNHSSLTHFSVALNELHGKLPSDLGNHIPNIEYLLLSMNHFTGTLPVSLVNATNIYALDVYLNNFTGTVPPEIDNNKFEGPLPTSLRNLQQLTAARFANNKFTDVADALDYLHNDCEPPIVHCDLKPSNILLNQEMVALVGDFGIARILPNSTSEQLIDSKSTVGIRGTIGYVAPEYGDGGQVSLCGDVYSFGIVILELFTGLSPTDDMFRDGLTLQKHAENAFPGMLMRIVDPILLTVEEASHGNNLHVGRNATEHISMVMLSIMKLALLCSKQAPTERMCIRDAAAEMHRIRDLYVKRRQMEGECTVDIC >DexiUA01G0015580.1:cds pep primary_assembly:Fonio_CM05836:UA:32671661:32680895:-1 gene:DexiUA01G0015580 transcript:DexiUA01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi2A01G0021180.1:cds pep primary_assembly:Fonio_CM05836:2A:33321532:33322113:1 gene:Dexi2A01G0021180 transcript:Dexi2A01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNSDGNDTGYMGQNYDEGASTSSKLKSKLRDIRRSTSSFALLDKNYLTPIFTSKNWDRNDDTPDNSPSADKELTISRGPSDLEGT >Dexi7A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:7A:18419853:18424833:1 gene:Dexi7A01G0007080 transcript:Dexi7A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAREPVVMEIPAEEGASRAPPRRIRRRLEEGARCGGAPATVEEIEARLREAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLLAAEQKRLSLLAKAQNRLAKLDELRQAAKNDVEMRFEKEREELETRVESRVRQAEENRLRLLHADMQKRAALKERTARSLVQKATSENKYMEQMRSAILQKRTAAEKKRLRLLEAEKKKAQARLLRIQKAAMTVCSQRETERKKLKEQLDRKLQRAKRQRAEYLKQRGSPRNSAHADYIKHADFLSRKLARCWRIFVKSRKTTLALVKAYDALGINEKSVRSMPFEKLAMSMESPTVLQTTKALLDRLERRLVISHLVASSSVENVDHLLKRLSSPPRRKVPPSREGRTRAVAKRSAKISEASIRLPRYSLRVVLCAYMILAHPGAVLSGQGEREKQLMESAANFVKEFELLIKITLDGPVRSSAAGQKKFRTQLADFDKAWCTYLYSFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTANGQSPNNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMDSALSDTRSKFFEAKENGSSLAAPVANISTPLSVNTSGKAPLSEVNDNSRTNALGSNSVVRSLFGGASSSSASPVKQPTENEQMVNEMLHEDAFAGRSVSANTPEEEFQKKVRETMEKAFWDMVTNSIKGDKPDYSRLINLVKEVSDSLHELAPKEWKEEILENIDLEILSQVLGSGSQDVQYLGQILQYSLDMVRKLSAAAKEDEMKKSHDKLLGELAASSEANGNGINSFVIAVIKGLRFIMEEIKELQAEVSKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPDNASASLPLTLQWISTAKNIVEKEWSQHLDCLSIMPSAGQAPALVPVLRAGHGTPVGQPSSSAPGASSQPECNGGKLDKLIRIGLLQLISSMEGLQIQSTPESFQINLLRLRAVQSQFQQVIAIATSMLVLRQVLMSENSKATPLQLENAISELFMALVKILDSSPDAGTEEIVEAMIRASTSVGSPSEEKIEARRQVITRVFLKSLQPGDVVFQRVSRAVYCAFRGVVLGGSSPMGQKLADAALRRIGAAKLVDRVVKAAEVLIKVATVSEKVHGPWYKALM >Dexi9A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:9A:8058084:8058900:1 gene:Dexi9A01G0012630 transcript:Dexi9A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPDPLQDFCVADLTGKTSVNGYPCKPASSVGDEFLFSSRATTGGDPTANPNGSNVTELDVSEWPGVNTLGVSMNRVDFAVGGTNPPHVHPRATEIGIVLRGALLVGIIGSLDSGNRYYSKVVRAGETFVIPRGLMHFEFNVGEEAATMVVSFNSQNPGIVFVPQSLFGSSPPIPTPVLVKALRVDAEVVELLKSKFTPVGIH >Dexi3A01G0007200.1:cds pep primary_assembly:Fonio_CM05836:3A:4887386:4892143:1 gene:Dexi3A01G0007200 transcript:Dexi3A01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGSNGGEAWRGAVSPAARYAESGGASLTWENLTAVLPGGGGRPTKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDSLSGRLARNVVLTGKVLLNGKKRRLDYGVVAYVTQENVLLGTLTVRETVTYSALLRLPSSMSKSEVRRVVDDTLNEMGLWECADRHIGTWHLRGISGGEKKRLSIALEILTHPRLLFLDEPTSGLDSAAAFSVVQTLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGETVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEAELDPLLKYSTSEIRERLVEKYRISDYAMMVRNTIHEITKIEGVMEEVIRGSEASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAICLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGYYGVAVYIMSNFLSSMPFLLTVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGGYKNDLIGLEFEPMIPGQPKLKGEYIITEMMGLSLKHSKWLDLGMIFVLLFAYRLTFFFVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHNQPHPMAIQEGLNSPMPY >Dexi9B01G0043890.1:cds pep primary_assembly:Fonio_CM05836:9B:43809556:43815032:1 gene:Dexi9B01G0043890 transcript:Dexi9B01G0043890.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKFPGTISSFPTKDIFQQNDTSLEEDTNNLLKTHSSSFSDILKDSFKKSDSFTRWMSKELGEVDDSQIKSSSGVYWNSEETDHIIEASSPDQLDQFTVDPVLAKDQLFSIFDFSPSWTYAGSKTRVLITGRFLNSDEVQRCKWSCMFGEIEVPADISADGTLICYSPPHKPGRVPFYVTCSNRLACSEIREFEFRPTNSQHMDGRSPYDAANKTYLQMRLDDLLSLGQDEYQKTVSNPTKEMVDLSKKISSLMTDRDLWSKLLKLADDNELATDDKQDQFFEKRLKEKLHIWLVHKAGGGGKGPSVLDEEGQGVLHLAGALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPTGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAVGSNVPEISGLPGIGDVTDRRASPLAGEDFVAGSMGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAAQYEDDNGAISDDRALSLLTVKPSKPGQLDPLHAAATRIQNKYRGWKGRKEFLLIRQRIIKLQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSTEGASEGTSSSSSNLIQNKPAEDDYDFLQQGRKQTEERLQKALARVKSMVQYPDARDQYQRILNVVTRIQESQAMQDKMLESATDMDEGLVMSEFEELWDDDVPMPGYS >Dexi5B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:5B:7742664:7744802:-1 gene:Dexi5B01G0010940 transcript:Dexi5B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEENLSVRNATRAATAWVASPVGHLARIEVLVTISCCLLAVLVLLGSGRRASRRASFRLAVWSALMLSYPAVSYTIGLMQSASFRNELIVAWGCFLLLLLGCADGIAAYSLNDTDQQARTVLNQGLQVVYVFILLLSYLGALPLHLKVLLSLLWALSAAKLGMRVRSSIVAGRDSVLTVENKLIADYMSHKEHREGGRNYDAATMKGYKYIVAGEADRQIDPSDDDIVTVEKVWQCPGILLSSEVEAATRRRRDLCLSLAMFKLLRRRLGGFPLTEARHNKTRDFVKVGLLAGEGHERMHRVIEVELGFLFDFYYARYRSPKETLIPDALLFAAVVVTSLCTLFSPAVLDYRRPSSSSNGIAATGFDIWLTRTVIALFLVLESFQFFMLVFSDWHKVKMLCRYVREPSWHNRPGLQRMLKLMCQVRLTRYWNNSVGQYSLLPACLHYQRKGLWRLPLPGWIFGFLIRSRMTRHRRLTEQVKRSIFVFLKNGLTRVRYGEYTMEKNGVRNVLRLSHRPTQLPAASAVERILIWHIATEVCHLRSQSQLGNEPSGSHVKKEHLVATTLSAYCAYLVSSAPELLPEHSYDTRLLLDGVQSEAPEILKGCRSRDSIYPKLQVPADPPSVHRYILAEGKRLGDILRDHMPGTVSKWKFLAELWVELLLSVAPSDNANGHVQKLADGGELITHLWALLAHAGVVEKRTVIPESNV >Dexi2B01G0025010.1:cds pep primary_assembly:Fonio_CM05836:2B:34259203:34264646:1 gene:Dexi2B01G0025010 transcript:Dexi2B01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHRRGGGRRGGRGRRPGKAGPSGADEAGNQNALHHDDRGGDGLAVEGGGDDDENQHTKLCDRFLAFAYDGYHALFIAGALPFDSDEYEVTLSACVEKRRMRVRKYKVVIKHAAAISLLQLRMLFAGYPTGIPAHALQVLDTVRSDIVFNRYNDENYIAAGRSLFSRNFGCMKDGALDVEAWKGLYQIIMPMQNGLSVLVDLSSSVFIQPLQLLDFVQKTLGKDALNRKLTKPEYTKACTFSPARIQNALCNVKLLMYIRCCSFLNLQLFKAVRGLRIEVTHRGDERKYRIAGLSVKPTNCLRFKSPSGATHTVIDYFKKRYNLELKFQFLPCLDVGSKKKSVYIPIEVCKIVPRQRYQKKLDDSQVSILMKSTFQIQPEPKQSIHQAVEDKQYSSTKRANEFGINVDDILNEVNARVLLPPNLKYHDSGSQKTWSPMNGYWNMKDKRVVNGAKISNWACVNFCEDLSKNSIEQFCLRLAEMSRITGVELDNLKLPIFTARPDQVEDDIRTCYQGAQNNLRGQKIDLLLAVLPDKNSSLYGKVKRICETDIGLMSQCCRKSTISKKSKKSDQILANIAIKINSKAGGRNSVFDDTQKSLPVVSNKPTIIFGAHVTRPSAVDDPSIAAVVASQDWHEVSKYNGVVRAQGHRKEIITDLGDIVKELLHAFEKESNRRPQQLIFYRYGVCESQFKQVLEIEIPEIEKAWKALYDNEKPQITFIVVQKRHSLSLFSNTNKDKDHVAKKQNVMPGTVVDSQICHPAEFDFFLCSNPEVRGPRHPVQYLVLRDDNNFTADELQVLTNNLCYTYASCTQAVSIAPPAYYAHRLAHRAHLYLAQGSNHAAAAASSSSGANAPAGGPKQLPEIKDELKRSMFYC >DexiUA01G0010840.1:cds pep primary_assembly:Fonio_CM05836:UA:21565338:21568706:1 gene:DexiUA01G0010840 transcript:DexiUA01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding EWLVSELRGNRPLIGPDLPQSDEVADVLGTFRVIAELPSDSFGAYIISMATAPSDVLAVELLQRECGVKTPLRVVPLFEKLADLEQGPATMELLFSIDWYKQRIGGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVSVAERHGVRLTIFHGRGGTVGRGGGPSHLAILSQPPNTVHGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMAAVSTEEYRSIVFEEPRFVEYFRSATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAAAASSGGGGLAMLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDKLLVPGDLQPFGEQLRANYEETERLLLQVAGHRDLLESDPYLQQQLMLRDSYITVLNACQAYTLRRIRDGEFRPAASKELMGSPSTAEGLVKLNPSSDPDPQTLPFVLPPAGYPLFPSRGPVVLHLVRRHPDFLAEVDRRRSSSLVEFLKDEGAIPSPEDEKRREKVIQELKEIVMQWAKSVAREQSVPQRLATATVLTYGSYTLGAHGPESDIDVLCVGPCIATLQYHFFVVLRQILEGRPEVSGVQTVESAKVPLMRFRFAGIAIDLTYAQLPVIDASKASRFHWHHNLLLFFG >Dexi9A01G0023570.1:cds pep primary_assembly:Fonio_CM05836:9A:18964937:18965464:-1 gene:Dexi9A01G0023570 transcript:Dexi9A01G0023570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVRNVNSVYQRSNQLFEQGQGSGEGHRRGRDRPKGSGKGSGSGQGAGTGRRRRGWRSPPPPLEESSSSEDDDFVPPTLHPESEDESVEAEDGEGEDGEDGEEEGGEEEGGEDGEEEDGEEDDNGEDGEAEEEADEGGEGHQGYKEAVGDPPRVWLRGPSTLPNDQLLTNAR >Dexi5B01G0018880.1:cds pep primary_assembly:Fonio_CM05836:5B:21233513:21237853:1 gene:Dexi5B01G0018880 transcript:Dexi5B01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSKTEPVKNQHQDAVGLGPIEKPAAQATETPVVVAAAGLREAAVPLMPVEATQYLLAAAVTEMPAGAREEASGGWHDGGSKEDRGDVEKRTALENLDLVLLCIDEIIDGGIILETDANTIAGKVATNAADGSVPFSEQTISQALATAREHLARSLLK >Dexi6B01G0008410.1:cds pep primary_assembly:Fonio_CM05836:6B:10561820:10565510:-1 gene:Dexi6B01G0008410 transcript:Dexi6B01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGCWCAAGGWGGCWPAPTTAGGSSGCRCTCTSWTGRSGGGDSCGSRGCRGTCTSWTGMSGGGGRDGGGGGRCAWPAPAMVAAAAAMAATPAGAAAGAAPAVTAADPELEVDGSGDEEEGGREKRGGGGGWLPAMEMAAPAPAARRIMALLSGFAGVYTEVCLIAIIKKRPSRNINVQNFWLYIFGVVFNLVAICVQDYDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKLMIYLTAVFADNMYLQVYSTSVAMLLTAVVSVFLFSFHLSLAFFLGSTVVSVSVYLHSVGKLQTQK >Dexi3A01G0024180.1:cds pep primary_assembly:Fonio_CM05836:3A:19810831:19812965:1 gene:Dexi3A01G0024180 transcript:Dexi3A01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLMELGVSAAVHLIFGFYVFSTAVAADISQAAAASGCLLLRRPPAGPAEGVLVDVAAAGESDERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEDHSKACGHTRFGRIYHTGHYPVWDEHNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWILSLTSLSGALNGTTRTYYDGMLVEDGRSMRSICLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHYEMSRRKVGFSGLIDLLLGHTGPFASGDWILPDLTIQGSLKINSRLRTFPNTFYFSYATKKTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQNAPLPYKGYR >Dexi9B01G0035840.1:cds pep primary_assembly:Fonio_CM05836:9B:37435679:37438727:1 gene:Dexi9B01G0035840 transcript:Dexi9B01G0035840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERPLLPPSTPPLPPPSSQQADEAASMDTTPTPARLPDPVSSPILRHSPANSIRRTRSLRSLLAESPSVTFAAGPRSSFESFRFQRDGSKSATPTPTGLGRVSTRRSASERLGSQRDLRDEDARFVYINDAERTNAAPAAAGGLHLLPDNSIHTTKYSVLTFLPRNLYEQFHRVAYLYFLVLMALNMVPQLGVFSPAASAMPLAFVLAVTAVKDAYEDWRRHRSDKNENNRTVSVLMDGVFQPKRWKDVQVGEVLRVAANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMATPHEALAGVIKCERPNRNIYGFLATVDIDGRRAVSLGPSNIVLRGCELKNTSWAVGVAVYTGRDTKVMLNSSGAPSKRSRLETHMNRETIMLAVVLFLLCSIVTLLDGIWLGNHSDELSVIPFFRKRDFSNTNGPDATYNWYGMGAEVVFTFMKAVIQFQVMIPIALYISMEIVRVGQAFFMVQDRGMLDEERQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGADFSDTTDGGAGDGHSVTGEDGVILRPKTEVKTDPKLVTLLRNGAGEKADRARDFFLTLATCNTIVPIVEDGGAGERLLEYQGESPDEQALVYAAAAYGYTLVERTSGHIIVDVFGARQS >Dexi9B01G0020920.1:cds pep primary_assembly:Fonio_CM05836:9B:15577722:15579444:1 gene:Dexi9B01G0020920 transcript:Dexi9B01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAAIGRFFCFVQVNQSTVGIKERFGKFDEVLNPGCHFMPWIIGNRVTGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKAQIQSYVFDVIRASVPKLELDDAFEQKNEIAKAVEEELEKAMFAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGAASKSSAVFLPHGPGAVADIAGQIRDGFLQSSTQQAK >Dexi5B01G0036120.1:cds pep primary_assembly:Fonio_CM05836:5B:35942595:35942909:-1 gene:Dexi5B01G0036120 transcript:Dexi5B01G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSAASRGWWRARRRRRRSESGEEACAEGSAGSGLGGERWETASGRTGSEGSSGMDAEVVGGSASSGASISDGSFLARRREAAIGGTEREG >Dexi9B01G0011160.1:cds pep primary_assembly:Fonio_CM05836:9B:7101164:7103258:-1 gene:Dexi9B01G0011160 transcript:Dexi9B01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHSVLPLVLLCLLCIGGFLHGVAHGAEAAKGNRKTKGPVIGIDLGTTYSCVGVYRNGHVDIIANDQGNRITPSSVAFTDDERLVGEAAKNQAPLNPHRTIFDIKRLIGRRFDDAEVQRDVRDGKPYVEVPMKGGRETKVFSPEEISAMILSKMRDTAESFLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDKKGSDMMNVLVYDLGGGTFDVSVLSLDHGVFEVLATAGDTHLGGEDFDQRVMEHFIKLVRRKHGRDISKDGRALGKLRRERERAKRALSSQHQVRVEIESLFDGVDFSETLTRAKFEELNMDLFKKTLGPVKKAIADAKLSKADIDEIVLVGGSTRIPKVQELLTELFDGKEPNKGINPDEAVAYGAAVQGSILSGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYVDHQTTVSIKVFEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDENGILHVTAADKAGKRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVEARNRLENYWMEEQDGGGAGRTAEKEDYEEKLREVEDVCGPIIKQVYEKNRGGSAGAEDEDDVNEL >Dexi6A01G0011660.1:cds pep primary_assembly:Fonio_CM05836:6A:17282767:17285480:-1 gene:Dexi6A01G0011660 transcript:Dexi6A01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGSIASFPTYRPPLPMDMFSCPTSEEHGELHLTDGKSYNYNGRPIPSSALKVLVTKKPELASECGATMADVENGRATGLVFVSEREDELETLHVSLRFNGKVKVLSLADIYGSDVFGGVRLEDSGCIGGGFAPNAHLSLIYVSTKKAVEKRRTPWTVVYRTSLVTGETERLTPEGQYDLSPAVSPSGKRVAVANFSGNRWGGEIEHLKTDLVVMNVDKKAQGGKLDRKVLVKDAGWPSWGSDNVIFFHRGLDNFDPAGKNTITWRVFRYDLTTGKTDPVTPGEFNAMTPAAINENKVAVATIRLRTNQMGGPREEEQYRHIEIFDITQPKDHSIMITQNVFPKADYYNPFVLDGGKSIGYHRGRSDMMLKQDGKNDVPRKFDKMLSPHKDVGLFRVTGVFPTICSDGSKLAFVDNEFKAVWLADKQGLRVVYERRGANSVFSTVWNQNPEKDILYVCVGPSFSADSPLEIYAIFDVSGTKGRPQTRRITDGGFNNAFPSSNPDGTKIVFRSTRDHKSSDFRYKNLYIMQDAHAGETFGDGTVTRLTEGDWTDTHCQWSPRGDWIVFSSTRDKRGSKEEKLKLDNGLDLGHFAVYLVAVSDPNVVVRVVTSADPGIGLNSVAGHVNHPIFSPDGRSIAFTADLAAVSAEPISMPMFLHSVRPYGDIFSVDIDPDDINKNKDIKKFHRVTHSRYEYSTPAWTQFATDDPNAQWNMLVTTDAKAANYKPACPYAHPDGGESWHMTGHLILPKRCC >Dexi6B01G0017440.1:cds pep primary_assembly:Fonio_CM05836:6B:24612680:24620840:1 gene:Dexi6B01G0017440 transcript:Dexi6B01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTSPPPPEIRSPDERGGAEDGAEAEVEEEVFDDAFDIPHKNAPHDRLRRWRRFVFEACQDLTLAILMVAAAISLTLGMTTEGPDEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSAASIFDLVVGDVVPLNMLMRVDSDEGVHVHWKGAAEIVLSSCNSWLSVDGSVQPMSAEKHDEYKKSIENMAANSLRCVAFAYCDYDTEMIPKEDITNWKLPEDDLTLLGIVGIKDPCRPGVRDAVRLCTTAGVKVRMVTGDNIETAKAIALECGILDANGLISEPVVIEGKVFREMSETARVDAADKIIVMGRSSPNDKLLLVQALKRRGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRTPVGRRQGTSCYKYHVEKLALYQVAILLIFDFAGVRILRLQNESRDKAERMKNTFIFNTFVFCQIFNEFNARKPEERNVFKGVTKNHLFMGIIGITTVFQVLIIQFLGKFFKTVRLDWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLQDYFKPRCCRKTRRDEEEGGQT >Dexi9A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:9A:14950618:14954173:-1 gene:Dexi9A01G0019940 transcript:Dexi9A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWALTPVDAVRGTQKYYIFAAGTYKVGRKGAYATRKWSDECTHVLADEACPLTPELLDAVIGQKQIVLGDWFKAMTEKNIHTEIPSCTQYIPNLTLDGTVIKMVEVNLIQSCLAGYTFILGPSDKYQFGEKLLGLLESTGAKYLHIDEFCANSQDSITGDTDQQILVFPARYPLEFSKIRALFPLSKISDVKLFAAALSGRLEATAIEPPAFIVTSSNSTDETIVADSDVEMETATSNPIGASNKFQSHIENISDDEKEITNITNEVAATVGGTKADVVQLNEPQKVLTEVEAPKSVQDDVTVEAPKSMQDDVKVIEKASIYRSKARDEDVRVINKMPKDENLDISRDGACDVIFNQDLVVKKLPWSAPAASTEGGGVNFKRFRKRETVSGNSFKDLVPFGQEPESDYESGTLTDFMREEKQRKQMEAIAEDLFNNAKSKKRAAAGSSIHTLLTTYLPAAAEPAGEDHLYM >Dexi5B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:5B:2065213:2065800:1 gene:Dexi5B01G0003140 transcript:Dexi5B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSAAGGGGGAAPLGGASPLDFISRAKARGASALATRRPWRELADPHAIGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLYFLRDDPLVLFGRVVADVYVLAGLAAVTLVLLLLTGATANILSSLLIGLVLVVLHAALHKAEDNADEEVGRWYAPVPQPPSH >Dexi7B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:7B:24864138:24864609:-1 gene:Dexi7B01G0019330 transcript:Dexi7B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLADQPSTRLLKHIIRCYLRLSDNPRACAALQSCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVTGGGGMGGAPQPGLDHMMGI >Dexi5B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:5B:21462072:21482151:1 gene:Dexi5B01G0019140 transcript:Dexi5B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEREAALLARVATNHLFLAQFEPLRAALLSLRRRADPGLAADFLRAVVAAGGRVTGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQPIADDPAVAAEARETLKRLLDLGVLRLRREVEGGGGEADAGTEEAPITDEDLRGLWGVFLDNAPVFEALCVGVSRQIGLDGGFGADVLLLLRQNVQLAHLDSVMTLLREDDLDGAAGHLRFLCLDHGVEEDEYKVVISALLRKGWAKTSNYGGRWSQLRDRITKMYRAALQSTSPHLVQLVQLILDGIVSEEIEDHNVSDANGMPLPFTKFVETLSLERVADSDDRALLDAAITSCKKDLYHYCRLSGKHMLEVVLETALSSIKREQLQEAVDVVSLFPLLQPLVAVLGWDILKGKTALRRKLMQLFWTSKSQALRLQEYSHYRSQTDETSCEEYLCDLLCFRLDLACFVSSVNSGRPWNLRNSLLFSQQDQGSDVDNAEILDPFVENMILERLAVQTPMRVLFDVVPGIKFQDAIKLIGMQPLPSTNAAWKRCMQREKYDIGEEAVQRFSLPAEDKASLELAEWVAGAYKRALVEDAVNRATDNTDAAQELDILSLRNQLGSLTTILLCVDVASTSARSGDMCRFLLDEATSLLSEIFPGSSPKVGETYWDQIQELAMISVIKRILQRLHDILDLEALPYLQVFFTEMSISLSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAVIDEGFDSFYAKEGSNLERKDALSSEKGVVLGHGLRILKQASRSDLASSNASEGSSEHKGSANRYMGSVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVLPPRTGHGWACIPVIPILCNISSENRSCAIPKSLPPAHGWSAHDSSLSYRQEPIYPLQLNLVKHLAQLSSVRAVLACVFGSTILSGDNVSSPTYVKDTTQAPEIERSFFEFALEQSERYPTLNRWIQMQSNLHRVSESSVTDKSESELSLHQSKGKFTMKRAREPDSDGESELEDTVISGNTTSSPLESPKHEDSRVEPTSFISFDWENEGPYEKAVERLISEGKLTDALAVSDRCLRNGASDKLLQLLIEQEEERSLGMGQIREYGSQHIGSDTWQYCLRLRDKRLAAQLALKYLRTWDLDAASNVLTMCMCHLPENDPMWSEVLHMKQSLQRYGHIMSADDHYTRWQKVEADCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGSLSDPEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLIVEVLLMMKQFQSASLILKEFPMLRDDRLIIAYAKKAISINVSSTSRERRLTISATRAKQKKTTTPAKPNFVQSLGNFQREARKAFSWVPRDSGTKTPTKDTLRKRKSSGSGGDRSSWDAMPAVQEERTPVYPSEGQDRLPFVSAPDEWVLTGDPDKDGATRSSHRYETSPDITLFKALISLCTDESVGAKGALELCMTQMKVVLSSQQLPLDASMDNVARAYHATETYVQALSYAKNIFKKLVGSNDLSSGSERSRDVDDISVDTGSSSAGSQNLDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSTSLRDRLVRDERYSMAVYTCRKCKIDAFPVWVAWGYALVRMEHYAQARVKFKQALQQHKGDATTVVSEIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSESSRQSTDPIDNQFTPASSNLEDGPRSNLDSVRYAECIHYLQDLQWNMMCQVLKDDHVASGLCCIQLFMNSMSQEEALMHLGHAKTHFEEALSVRDRTIEATKLVLRSARNKSTPGKMTRETIMKYSTRVSYQMDVVKALNSIEGPQWKTSLFGNPSDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLVSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQVSFLHRLYLFPA >DexiUA01G0011710.1:cds pep primary_assembly:Fonio_CM05836:UA:23374614:23376514:1 gene:DexiUA01G0011710 transcript:DexiUA01G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVTDFRKRIFGDQDSAATTGNQQG >Dexi5A01G0039110.1:cds pep primary_assembly:Fonio_CM05836:5A:39840002:39840277:-1 gene:Dexi5A01G0039110 transcript:Dexi5A01G0039110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRALNLDFNVVADDEGPAAAKGDATSLDGSQQNTGAGGRGDAGAPPGKGHSSQTKPPPATPGSQPSANEAGNKSREDGTTGRR >Dexi3A01G0023600.1:cds pep primary_assembly:Fonio_CM05836:3A:19293183:19296516:1 gene:Dexi3A01G0023600 transcript:Dexi3A01G0023600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPPFFHHSPSGVHSSPHRHNNSMWSSSSDRERYLAELLAERQKLAPFVQVLPFCTRLLNQGVLLSPSMVWNEAPGVVGSPVVKKVVRIDIPVDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFSADIVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPSVSPFNSTGMKRAKTGR >Dexi2A01G0031170.1:cds pep primary_assembly:Fonio_CM05836:2A:41916934:41919779:-1 gene:Dexi2A01G0031170 transcript:Dexi2A01G0031170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYNFDLLDLADGESGEAAVSVVVSKKKADAAAAKAADAADPAQEQAAAYVRPKFSHFDKLKHDNALRICQQGQRKLWQTLKKLRVEETKLMEQERQGNEAKVQAAKARVKEIREEQRKIRQEKWNLRQEEEVLSSRRTAFYREYGIPLPEDQDQDQNRANNSSDGAPEDSGSNPNDANGNAVNNNDGGNSGHSDCGQNNGHYQQEACDDGFGEGHVERGHNQHSNHGERQGPNKPKKQVYRPKAKPSSDAGSEPEHKVSSEAGTEAENVVSPSETEQKEANVVEPVPASGSESAGGAAQDGRKKAFKSQGTVNGSEKRKKRNAKKNGGNETEKVKKQDSAGEAQQAEKQPLPEEEKKTLAEYEKMREEKKKSSEVSKTEVVRKVTAEEFEGLQAYEKKKLDDDEVVIKVEKTQPIAKEASKKEETAELKEEEAAAKDAKPKKVPLQDIIGFRQPRRVFYDQEDRGRFNGRFQDARRDNNTEPRVSGPGDNGSASQAEAGGNNGNGRGDSAPRSGHRDGYGGNGGGYSYGRGNGGHGRGNGGYGYGRGNGGYQGNGGYQQQQGGGRFQQERAGNGGYQHQQGGGRFQQERSGNGGYYHQNQRRQGYQQRGPAPAPVLDLSKFPALPVPASAPAAVTAPGQASTQVAAAEAQS >Dexi9A01G0040730.1:cds pep primary_assembly:Fonio_CM05836:9A:44452774:44455549:-1 gene:Dexi9A01G0040730 transcript:Dexi9A01G0040730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASSPAGKLAAALHRRTHRVTSALAYAAMEWVLIALLLINGLLAYAIARLADYFGLAPPCLLCSRVDRRLFHPADGGEEAAGAARWLRHVLCGDHAAEISALGYCHRHRRLTDAGEMCEGCLASSSKEKTSDAGEKSGTACSCCRAVVRTSLRELEDAREEHVEEKKSEEVKDDGQEGYVRLAQDDHEEEDQDEVESQEQESEVERQRQDQEDEAMANVQDESLEVMAQGEEIELEDDRLVPVVALDEMTIADYSCLHRDVEEEDGREQAEDESDARDVDIGVVLEEKRMLDSSVATPADAIEDSVVPISPIPCPETVTSPSHPDHNSSSEDDEDVPDDTAESGDSSAEEDRIFVPRGTFFMLFLNIMLHSLSIGNHHIHTFSDSVSEAAVSEDDNRTAEVDTNCEVSIGSDICEREQDDHAVQFQDSSPMAGADDQSSPLEVLSPTEQEAGEAEQDEVTTSMGLELDHQPIEQNKVEEDKAPETPTNGFATPRSDRMFLLERKRSLSLSLDGSVASEMEGSEPSTVDQLRSALHAERKALGALYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQEMERELELYRQKLQRYEDKERRRMASFKVSNGSGTSVSSSGDDSDGHSDEDCELGESPDGGNTQKSSDAALSSVNDQDSTKHLVALDDSLTYFEMERLSILEELKALEERLFTLEDDDITATAGHSSGDLDLSANGLQSPEYIPAGDKARFGGRTCISRGKSLLPLFDAVGDETSDQTPSAMVGDADQADDSARKSVSVFAKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMDLLQEILQHLRDLRSVELHVKNAGDAIAANSV >Dexi2B01G0008990.1:cds pep primary_assembly:Fonio_CM05836:2B:9773092:9774724:-1 gene:Dexi2B01G0008990 transcript:Dexi2B01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGPGNKRRRPREDVGDVPLGGGDGDPDLLSRLPDEILGSIITLLPTVDGARTQILSRRWRPLWHAAPLNLEAHFGTCSSHRLNPGWSDLSRILDPLLESPRLQGIQEFELSVYREIPPSVLRFSHALRVLHIRKHTDFSASATCTLNFPTLKHLTLADVKTSESALSGVLSRCPVLETLLLDNIRDVSHVRISSLTLRSFGVSDCGIFRLGSSLEEVMIVEAPLLERLIPRVPSNLLVIRKMLLVRPSDAMRSVRILGLVTAPNLDFVTGLLKCFPCVEKLHIVSYTQMILKNDMECNDALECLDQHLKTVQIINYEEKRPDVNFIKFFILNARVLQSIQFVVRRDKCGAKWIARQHKKLQVNDRASQGAIFDFEADCSRGSSSIVHVKHIHDLAMDPFDRSLCRCQGDELN >Dexi2B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:2B:4686447:4687982:-1 gene:Dexi2B01G0004980 transcript:Dexi2B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQATKQGSRSPPSAADTDAPRQPLAPGGMGCSKRAAVAGLPDDALVEILSRLPAKSLCRSKCVSKAWCDLITDRLRCRKLPLTLEGFFYGCVYESRYEDRVLSCSGVERCSSEGNNGDGSEKNKGASEDKHEGGQCKGEKPSDHDCGNFINLLGRSVPLVDPLFSPLRKQPRIKNFTLLDSCNGLLLFGQSRYSAFGDTTSYIVYNPATEHWVTVPSSGFSSNSMELDDDSEDDDDGESCVLTYLIFDPVISSHFQLIEFCHSSKVGMVQTYSSEVERWGDRPREWRRWKKGSEWDLHRTITSMRGSTLFNNMLHLIISTSSGPELIAAIDGKGKTRKVIRWVESHGVPIFVGQSKGLLHCVSVSEHPDGNSGHMTELSVWVLEDYNTEEWKLKHTVSFSELFGKRSCQFASDYNVVTIHPDQNLVFFIQHWDYKLISYDMDHKEVGALCTVGCYYSVISPYVPYFSETPALSKKEAMK >Dexi1A01G0004770.1:cds pep primary_assembly:Fonio_CM05836:1A:3480926:3482224:-1 gene:Dexi1A01G0004770 transcript:Dexi1A01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQRVYKDELNKLKFQMSDEALQQMPEFQGRIDVLKEINFIDSDLVVQLKGRVACEMNSGEELITTECLFENQLDDLEPEEAVAIMSAFVFQQRNASEPSLTPKLAEAKKRLYDTAIRLGKLQTQFKVPVDPEEYACDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEVASNAIKRDIVFAASLYVTGI >Dexi3B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:3B:10453215:10455449:1 gene:Dexi3B01G0014480 transcript:Dexi3B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGEGGMITSYGVGIKVHPSNKCSGSPNNMKSEREEGELSPNGDSEEENFGAFEPEALDGTSKEKERSTSRSLQGRPKEAVKFAGENHADVDDEGDESAQRSTEDSENASEAGEDGSGSESGGAEEFSREDHEEEEDDMDPDMKAQSEGGAEVLYERILSAKTNSSTSEKKWRASKDTDSPSQYSKFISALYSFLDGSADSTKFEDDCRSIIGTQSYLQVIASDEMDNKLLQLYLYENSRSPGRFFDLVYHENARVLLHDESIYRFERHSNPTRLSVQLMEYGNEKPEVTAVSVDPAFSLYLHNEYLSSISDTKVPDDVFLRRNKRKQGRNDDSPASLKTMDNIMFANGLECKISCKTSKVSYVLDTEDFLFRMRKRRRAPSKGTLPAEANFVKAYTVKSQEFHRFLSRQ >Dexi9B01G0028500.1:cds pep primary_assembly:Fonio_CM05836:9B:31118483:31119672:-1 gene:Dexi9B01G0028500 transcript:Dexi9B01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVPSVVVAIGAVLAALAAVGSCDDGSVTNVPPGPNITTGYDGRWLAAKATWYGSPVGAGPDDNGGACGIKDVNLPPYSGMTSCGNAPIFKDGRGCGSCYQIRCKAPAECSSKPVTVFITDMNYDPISAYHFDLSGSAFGSMAKPGLGDKLRHRGIIDLEFRRVRCKYAAGQKIVFHVEHGSNPYYLAVLVKFVANDGDIVQMDLKEKASPEWRPMNLSWGAIWRMDTPKPLKGPFSIRLTSESGKRLVATDVIPEDWKPNTVYKSDIQF >Dexi4A01G0018830.1:cds pep primary_assembly:Fonio_CM05836:4A:22739732:22739980:-1 gene:Dexi4A01G0018830 transcript:Dexi4A01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSVTRAMCRRPWNPARRTPRWLALAAPRGVLFSLAPAARPHHETCVPVTSAAQRSPRRATALGWASRGAPVLVAWPFV >Dexi2B01G0025740.1:cds pep primary_assembly:Fonio_CM05836:2B:34955395:34955630:1 gene:Dexi2B01G0025740 transcript:Dexi2B01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLEWHGEPPAEVGGGDGDDDGDAASEREEATATRRGEAATATGEAASMAATMTGEGATEPRRREEMRRWPR >Dexi3B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:3B:13960010:13963256:1 gene:Dexi3B01G0018770 transcript:Dexi3B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEDMDHAGVISRNLQEQAKKPHRTKLLPTHAATRARPRRSLPCARMPAKVLACHASSRRRVASRSPPRGSHADMRDVTADATLPYRWAKEKCPPPWGRARRYAGTSRRAARKKAHSPGHGRERNSEKAGGSLLLVLFRASEGIRGRRS >Dexi3B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:3B:2917883:2919399:-1 gene:Dexi3B01G0004240 transcript:Dexi3B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATVLKSSFLPKKSEWGATRQAAAPRPATVSMVVRASAYADELVKTANTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGRKIVDVLVEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVPEILLDGEHGIERTFEVAQKVWAETFYAMAENNVMFEGILLKPSMVTPGAEAKDRATPEQVAEYTLKLLHRRIPPSVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGVPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Dexi9A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:9A:162518:163550:-1 gene:Dexi9A01G0000220 transcript:Dexi9A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNSSKAFSPFKVKEAEQRKVRLRKKTKYRPDVLDGDGEEGIEALLLKFGDELAKRGDERRLRLEFMEGAKKRGRRGEGEKMIDQQQRDRYDFFYQLQLLAVAALRIAVEKKIKDKARKEDHEFAADGGHRLPCNDPFIHPHISCMPRQRQKRRQRRFSSSREVSGALERRRVKTAMLLTFRRTGLVVWYCSLMKDDDINLHHQQ >Dexi4A01G0011390.1:cds pep primary_assembly:Fonio_CM05836:4A:9433480:9434439:1 gene:Dexi4A01G0011390 transcript:Dexi4A01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAECRLRHCLCAWKEVCSPRIPTVASGREYTVVPADADNPAWSVLVGVMASWKGDRSLRLYRFRVARSGRVLCRSGDAIEILGDDYYKGKTPTAHIRAATAAPSPDGRSLSLCFFCREINFSDVDKMVPPQPLQLEIDLGKKIKRIDVSLLPALPPAVGPLMPTCPISAAGDIWAPYLTEVYGLSNLVMQRFDKDAGKWVLAAALEVMLPSLGADMLEDTVPVLQGYAVVRDTILLSLSPSNLFYIFDCSTCTWAAVVTTKTDWRTNYIPICDRGVYVEEDDTIYFLYFGIVYAYKLCNDQDKHRMALPAEVHRI >Dexi6A01G0000880.1:cds pep primary_assembly:Fonio_CM05836:6A:732827:736866:1 gene:Dexi6A01G0000880 transcript:Dexi6A01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSAGKRDFFSPSFSSFCVEEHRLGFAEDWEARDIRVKGAGEKMASEMVKAATSDKLKEMDWAKNIEICELVAQDPGPSYKFSAATIQCYLFQLLEMLMNNCGEPIHRQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGAKARFPQYYEAYYELVSAGVQFSNCPNVVVTRADVPVQEMRTEPKRESLSTRLNEAQQEVPTQPAPDASIVRKASSVMELLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEAVVSQAIELNEELHKVLVRHDALLSVQPTTTVASNLNEEEEEEDAESLYRRLRKGKALSQDYTDDSVQSFRSIPEDKMRRPLTIQPPHLEKKLGALNIRSPDREERRPELAPLIPPPPAKHAERERFFREKSIDGVASLPGHLRDLSQHSRDGSSSCSGSTDYGD >Dexi2A01G0025820.1:cds pep primary_assembly:Fonio_CM05836:2A:37470370:37474786:-1 gene:Dexi2A01G0025820 transcript:Dexi2A01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGNPGVRLGSVGGSTSMPRSRWNACRGAAADDGHVWFAAVQGAVLAAPRVADGGGGAQMGVYLSTPKTDKASADGENGRVRFGLSSMQGWRTTMEDAHAALPDLDDCTSFFGVYDGHGGKAVSKFCARHLHKQVLINDANSSGDLPASVHKAFLRMDEMMKGQRGWRELTELGDKGNKISGMLEGIIWSPKGGDSDDLGDGWNTEEGPNSNFPGPTSGSTACVAVIRNDQLIVANAGDSRCVISRKGQAYNLSTDHKPDLEGEKERILSAGGFVVAGRVNGSLNLSRAIGDMELKQNEVLPAERQIVSAEPELKTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKHLNTEDKLSSVCEKLLNRCVAPTSGGEGCDNMTVIIVQFKKPLSSVATASTKQSSATAEEMRPK >Dexi8A01G0011080.1:cds pep primary_assembly:Fonio_CM05836:8A:19581127:19589984:1 gene:Dexi8A01G0011080 transcript:Dexi8A01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRLALLAAVALAAAAAVGEAVYIPYNTSAGVVPGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFIYVEQAFFQRWWRNQNDVIKDIVKGLISSGRLELINGGMCMHDEATVHYIDMIDQTTLGHKFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKSFGSSADDDPLLFDYNVEERVNDFVAAALAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKDGRINALYSTPSIYTDAKYAANEQWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGKSKSGPTTDYLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGFTRCLLLNVTFCPPSEMDFSKGKSLVVLVYNSLGWKREDVLRVPVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTNSQVPLTVLRGPILDEVHQQINSWIYQITRVYKGKDYVETEFIVGPIPTDDGNGKEVATEIITNMATNKTFYTDSSGRDFIKRIRDYRPEWKIEVHQPIAGNYYPVNLGIYVEDGSKELSVLVDRRLLHDDGRGVAEALNETVCLDNQCEGLIIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFSEQDGGNWLNSHVPKFSAMDPTYSLPDNVALLTLQAGEHTDLSALASVDLKRVFPDMKIGKIIETSLSANQERAAMEKKRLKWKVQGPTTDEKMIRGRPVDPSKLVVELGPMEIRTFIVSFDHSISGNQLL >Dexi8A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:8A:12198545:12199489:1 gene:Dexi8A01G0009330 transcript:Dexi8A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSSDSDRDEPVATGTAASCPSSLAAKQPSTPLPPPPPPLRRRQQRIRRRAHHRAARNFTGVAEENEELEFPAEPEAEDVWRGLQLQREASWPRRASRPVVVAGEEEGGSPGGAPLAREGSGVGRARSLTDDDLEELKGCVDLGFGFSYHEIPELCGTLPALELCYSMSQRFLDEHQQLAKLEETPAPALAPASPAQPVATNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Dexi3A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:3A:9944506:9949001:-1 gene:Dexi3A01G0013640 transcript:Dexi3A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGTAASTDLGGAARELTIVRDILLIFLHFPFTEKQPLNSAKFLAKTNAQPRHQGHLSTQPRNPTNRRRRSVPTYVSNQPRPSDRDSTARVASSPLEGSCRRHPFNPALLLPQRRTDRPRRRKRTRDRTKEASIDRRDGMSSPAEDECLALTDGSREVVYESGCGIDAPLSRKKLLLVYFRYYKSLPPISKAYGTLCFFTTVLVKLQILNPQFLALYYPFVFKKFEIWRLFTTFFFLGPFSINFGIRLLMIARYGVQLEKGVFENRTADFLWMMIFGGISLLVVSAIPLFESYFLGIPMVSMLLYVWSREYPNSQINMYGLVQLRSFYLPWAMLALDVIFGSPLLPGLLGIMVGHLYYFLSVLHPLATGKNYLKTPKWVYPFTQFWPNNSQHSIVARFRIGVQANTPVRPPANTGTGAFRGRSYRLNQ >DexiUA01G0019250.1:cds pep primary_assembly:Fonio_CM05836:UA:40222899:40224824:-1 gene:DexiUA01G0019250 transcript:DexiUA01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPDGRTGRGIRNTHPDPTLPCYTVQGVPDPAIQTHHPTPSYEPADRGGDGERERVAVPRSDDWDGEAADPGDLPPPAAAQAACSTAPLLRRLCSVKRWGGVSGVTRQQVPISAQLNHPLVKRPATSLPPTATPKLPADRAQSTTTPYTRFPPHRLALRPHPFAYVWVGSSNVAGEAIPRFAASPPPRPTRTRTPNSSSCLRLVPLLGLDSVRAEEEARAGGIDSDGRGGDAEVLVRVPEAPEAGFGFRGGGDFIAPCKCKGTSKYVHRDCLDHWRAVKVLGWKLGEMVLPTAGEDGALYGHFYVVSYLSGV >Dexi5B01G0028290.1:cds pep primary_assembly:Fonio_CM05836:5B:29701107:29702179:-1 gene:Dexi5B01G0028290 transcript:Dexi5B01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNAWSPLSSHGFGYVSPATLVPLRFRILVSPSCRSESGGMASRGSEPVARTNSGGAGGGSKDSGSFECNICLDLAQDPVVTLCGHLFCWPCLYEWLHVHAHSQECPVCKAVVEEGKLVPLYGRGGTSAAPRARSVAGVQIPSRPTGQRPSTAPQPDHTNHYQQQNPWFMGGAGGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQATAYGPAAGFPYGYGHSFHGWHGYGFPRQAPQGQQIDFYLKVLLLVVGVLVIASLIAF >Dexi2A01G0033940.1:cds pep primary_assembly:Fonio_CM05836:2A:44104718:44105465:1 gene:Dexi2A01G0033940 transcript:Dexi2A01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLAPPARGSQSQQVSPDVSAPTIDESSRPPWGRPGLPALSPATTTIYEESATIMSGGNKRAAPSAVSCMAATIIAVVILLVVASALLFLLSPPASAPPPREPVELAIGFAGHERWLDALRAWAKLACFKFRTVEPRYDVLRSPASVKEAAKETLEMGKETVKHSAESAARATEEALERTTDKVKRKVSLSARRCGGDL >Dexi4A01G0021800.1:cds pep primary_assembly:Fonio_CM05836:4A:25204727:25207166:1 gene:Dexi4A01G0021800 transcript:Dexi4A01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFIIPLADIGSLVEKIDDPNMANDADLLEVVRLLDNACKEAGFFYVKGHGITESLMKEVRDVTRKFFQLPYEEKLKIKMTPQSGYRGYQRIGENVTKGKPDMHEAIDCYTPIRPGKYGDLAKPMEGSNLWPENPTNFEELLENYINLCRDLSRKIMRGIALALGGAIDAFEGETAGDAFWVLRLIGYPVDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGEWIYATPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVQFCREKTGGAAKYEKVVYGEHLVKKVLTNFVM >Dexi9B01G0011920.1:cds pep primary_assembly:Fonio_CM05836:9B:7834341:7839526:1 gene:Dexi9B01G0011920 transcript:Dexi9B01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAYSLRAPPSAAQQGLRLPPPPPPFAAAAQPDAGAARRRRLVGVAAASASPFDELHARGRPVRGPSKDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFQEDMPQDPLKTDEESSHENMGEELGNLTPQAEEYIIQMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPPLENTSGGALNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELTRLLRISSDVGSFASGDDRVV >Dexi9A01G0003120.1:cds pep primary_assembly:Fonio_CM05836:9A:1625489:1627463:1 gene:Dexi9A01G0003120 transcript:Dexi9A01G0003120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAARPTVLVTGAGGRTGNIVYNKLKERSEQFVARGLVRTEESKQKIGGADDVYIADIRDADHLAPAVQGADALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDAGKHIDHYTLWPGGLQDKDGGLRELIVGKDDELLQTDTKSIPRADVAEVCIQALQYEEAKFKAFDLASKPEGVGTPTKDFRALFSQITARF >Dexi2B01G0002980.1:cds pep primary_assembly:Fonio_CM05836:2B:2555231:2556442:-1 gene:Dexi2B01G0002980 transcript:Dexi2B01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRVSSHHAAVHKLGHPQMALTPKFRLAPAAATEEDDDAQPLLIPGLPDDAALSILLRLPITAHAACRLVCRRWRRLLSDRPGFFSHRRALGLRTPWLFALAFHRCTGKIQWKVLDLVHLAWHGIPAMPCRDRACPRGFGCVAIPDAGALLVCGGLVSDMDCPLHLVLKYDIYSNRWTVMTRMLAARSFFAGGLIDGKVYVAGGYSTNQFELNSAEVLDCDKGVWQPIAGMGMNMASSDSAVIGGRLYVTEGCAWPFFSSPRGQIYDPKIDRWEAMPVRLREGWTGQSVVIDEHLFVISEYERMKVKIYDPETDSWDSVSGNPMPERIMKPFSVSCLDSRIVVVGRGLHVAIGHVEKQTSSTGGGNSGSRSSSSYSICWQDVDVPKEFSDLTPSSSQILYA >Dexi1B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:1B:660624:661094:1 gene:Dexi1B01G0000730 transcript:Dexi1B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKLVKDSLFLNVLEAILELSDVANVDCSSTGLTLQAVDTDHVVIITLFFPAESFEHYKCQEHLRIGIPIDGMFKAIRCANKGDTITMSTDDENHNTITLSFKSPTCA >Dexi8A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:8A:1911116:1917026:-1 gene:Dexi8A01G0002880 transcript:Dexi8A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGLREAVYASPSAAPAPDADEVKIEAAAAAAATGGGPASDRACNARVEAGEVGSAGEERTATPPEENEVGAVGEGGSDVTAADEVGGVGIPVEGRDVGAPVVSEGKMEVDEDGAARSKDNSAISTVSEASVGGIPGAARDLATLMSQAKMEVDQGCGRSAMAVEGDVKMEEEADVKMEEEGDGGVVNQGPAAPPAGKEEEVGECLVGRYLGRSAPGHARILIGKVASYDSTTGIYSVVFEDGHGEDLGLPQLQEFLMSDENGALGMKVSCRKRKLDLLVSSGTALEVKEPPSSRQRVDGCGTSARADSQKQDSGSGSDMSEDVESSSNSSDFTKEEPPSEPCPPVQAVELPPSSGDIPVPEESISYLFSAYNFLRSFSVQLFLSPFGLDDFVAAITCAVQNNLLDAVHVCLLRALRRHLESKSAEGSQLASNCLKYLDWALLDALTWPTFLLEYLYVMGCIKNLGGRSFGRSIVATEYYKLPVAMKLRVLQILCDHVIESDELKTELEDREGYNEEMESEMDSSSFLEYDSRVSTRASKASAYKKMNDLQNLESTPNVTNPEDGVANASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPKEKWFCPECVVNKLGPTSSRIERGARGAQMFGTDMCGRLFLGTCDYLLVIDTSSAAESYARYYNRCDVVKLESNIRGVAFSASWLKPIDDWPVESPCLSTGASRPAPYQKRGAGGRRGRRRSLASESNNATDDDNSWTWWTGGNISKRALQRGAILCSTIRKAARQGGKKRIAGFSYHEGSDFPRRSRQFAWRACVGISQTSSQLSLQVRYLDANIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDKKIIDNKIRYALKFPNQKHLPARVTKNILETEGDQDENSKLWFSENHVPLYMLREFEQNTGLSSLPIPGILKSTNLYPRRVKAFFGDVFSYLFHKGEVYPCTSCKKDVPYRDIVKCSSCQGMVLWHALWIVCNCHKECTSGGSATSNLTCKLCLQKQNLKLTGYNTNASYIQPQQKNTGQQQVTAPRIVFKVGSSHSAEPALNITKVKAQPFAKVAAQPIMNVKTQPISNVEVETLAKVEDLPITNVAAPNITSVQAQSKAKAKKSKSEKPKKPKKVQAITYFGLVWKKNKNDKDDGSDFRANDVILKSKDGIGSPIKPTCCLCNKTYSPDFLYVRCERCRNWFHGDALQLEDERI >DexiUA01G0022460.1:cds pep primary_assembly:Fonio_CM05836:UA:45663909:45665563:1 gene:DexiUA01G0022460 transcript:DexiUA01G0022460.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYRLLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPALRRVVAEAGPGRCRLVFVGHSLGAGVAALAAVVAVRCWLGRLGLRREDVRCYAMAPPRCMSLGLAVEYADVVHSVVLQANCISNVLAIIPDHAHLWNLCRMISCPELRRPYSTFLDLFSDTFVSEGELKDPAKLDAPGTVFHIVERKSCRCGRFPPEVRTAVPTVARFEHVVLSCNAASDHGIIWIEKEAQKALDLMEQEEESTSPPAQQKMLRAQEVQSINIDE >Dexi4B01G0023170.1:cds pep primary_assembly:Fonio_CM05836:4B:24614080:24616330:1 gene:Dexi4B01G0023170 transcript:Dexi4B01G0023170.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQFLTFRYSRSPSPYSRGHPKARSRSRSPARSQSRSPVPDPRSQARSRSRSHEREEEAVNRGNTLYVTGLSSKVVGCHVVLEPHTRVSRGFAFVTMDTVEEADRCIKYLNNSVMEGRNITVEKVIVLTFTGVVDANSVTTYVLSVDLEVVYPLIVRETFTPWSPKDTNSWKLSCGMTVSCIGYYLCCTLTGHRYERRERGRYRRGYGGGRDEYYGNSYGYRRSPPPMYSYRESRDYPSYRDTRDYPPYRDYSPHRDPRDYYESRGGRGYSPPPYGGGRSRRDRSISPYRMPERGYGGGRRPGGGGYDR >Dexi1B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:1B:23374325:23377167:-1 gene:Dexi1B01G0017000 transcript:Dexi1B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEDPESFFATAPPLRDAGAVAAKLQEFVARNSSHDASSEVGGRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTDGSCQPFCRFLPDDSFLNFFDVTAESKVQVVESHTTVVKKAIGEYSKAIEGGSLLKLPFTTIFEYLQLLKMAATSMSSVGLHGMFYLAAAVSDFYVPWDSMAKHKIQSAGGPLDMRLSQLETDSEILIQKAEMALSKYKMNVVVANLLATYKEEVVIVSNGERNSIRRCNKDEDLEEHIIKLLEKSHSKYIYSSTDGCNKNDYETLIPIGIQTLA >Dexi4B01G0018160.1:cds pep primary_assembly:Fonio_CM05836:4B:20553327:20554093:1 gene:Dexi4B01G0018160 transcript:Dexi4B01G0018160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHKPRLPPGPWRLPVIGHLHHLAKTPLIHRALAGLARRCNAPVMYLRLGELDAVVVSSAAAAGEVMRTHDVAMATRPMSATVRATAAGGLGIAFSPYGERWRELRKLSAMELLSARRVRSFRAAREDEATRLVAGIAAAARGLD >Dexi3A01G0008230.1:cds pep primary_assembly:Fonio_CM05836:3A:5774959:5776554:-1 gene:Dexi3A01G0008230 transcript:Dexi3A01G0008230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYLIAVIIQLIYTGMFVVSKAAFDHGMNTYVFIFYRQAAASLLLLPLALILERITFSLNLYNVSLKFTSATVASATTNAMPVVTFCFALLLKMEVVKLRSSSGLAKLAGVSLCLAGVFVIAFYVGPALSPVNHHRTFAASHASSPTGGAASSSRTTWIKGTFLMVIANMAWSLWIVLQGRMLKECPNKMLLTVTQCVFSAVQSFVVAAVAERDFSKWSLRFDISLLAVLYNLQAWCVEMKGPVFLAVWNPLCFIFTIFCSSFLLGEIVHLGSIVGGILLVGGLYSVLWGKSKEMKMAPCGKVNAMDDENDHPKPQEKEQSTSISVVEQV >Dexi9B01G0034880.1:cds pep primary_assembly:Fonio_CM05836:9B:36710008:36710367:-1 gene:Dexi9B01G0034880 transcript:Dexi9B01G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVAASLSVARGLGKPLCAGGSSSASSLRVSPRRAASAVVVRASAQNGQQQPGKEWAAAAAVAAALVLPEVAEAASPGISPSLKNFLLSIVSGGVVLFAIVGAVGAVSNFDPVKRG >Dexi2A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:2A:14369503:14372221:-1 gene:Dexi2A01G0012420 transcript:Dexi2A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLACARWAWKRCLHSGESDSATWGLASAADFEPVPRMCRLVMANYEPDLSPSAPLLFAPPGGYGIDPACVLRRRTYADTRGRVTPYLLYLDHDHSDIVLALRGLNLVKESDYALLLDNRLGKRRFDGGYVHNGLLRAAGWVLDAEYDLLRDLLERYPDYTLTFTGHSLGAGIAAMLTMVVVLNLDKLGNVERSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILWCGRYPPVVKSAVPVDGRFEHIVLSCNATQDHAIIWIEREAQKALDLMLEEETMAVPSEQRMERNETLQREHVEEHKAALRRAVTLSVPDARALSPYGTFDDDGRRQLEHQPERSESFPPAGARQRMSWNDLIERVFDKDEDGQIVLRSSVFLDAPPAHLL >Dexi5B01G0020850.1:cds pep primary_assembly:Fonio_CM05836:5B:23085331:23086421:1 gene:Dexi5B01G0020850 transcript:Dexi5B01G0020850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRFRRRRTRRAVSTITATAATAPVTPRGVSGGCFAPSVFGEEEDEGPFFDLDLSFCSAPASTAGSQAAESCSESDDYTTSTTAASNLDFVISLQRSRSTSPSYEERLFFRGSATAAAPAPPPTSLLFCASEPSDAASRARCSSASRRGARLQLRTLSFGSAKAAFSGGRASFSRSTSSSARSARLFAAGYGSPDILHDEASSRARRSPSGDVFRQYLSKISSRLRRVAVAADLGRLQKSRSAPSAAQVPSAAAAQAQSPQAARHDDSLVEKQDGIASAIAHCKESLHRASVSELDTSLLRSRSDPGP >Dexi9A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:9A:3297465:3300599:1 gene:Dexi9A01G0005870 transcript:Dexi9A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEISDASDLAPKDGAASCNPYVEVDFDGQRQRTATKPADRNPYWNQTLVFDVCDPARFPSLPIDVSVLHDRRLTDHNALRPHTFLGRVRINASSVPNSPHEAVLQRYPLEKRGLFSRVSGDIALRIYLINDHQGSNPIPTNNGAAASATAAADMGGGAPNQQQQEKFAGGEPERILRSAFAAAESSAAAQVQQQQGKMSHDHHEQQQQRPRIFRSVPTSSAPDQQQQPRRTLHAVAAPPPPPGQTVVMPRPAGPPPAAPAPGSAFGLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMSYLYVTVVKARDLPTMDISGALDPFVEVKLGNFKGVTKYLEKNPNPVWRQTFAFSGEHLQSNLLEVVVKDKDMIKDDFVGRVLFDMTDIPSRVPPDSPLAPQWYRLADRSGEKLRHGEIMLAVWKGTQADEAFPEAWHSDAHSLPLEGLANTRSKVYYSPKLAYLKVVAIAAQDVIPAEKGRPLAPTIVKIQLGGQIRRTRPGQPQGSMNPVWNEEFMFVAGEPFDEPLVVTVEERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSVDSKWFNLSRAMSVDEAAANKQHEKTFASKIHLRLSLETAYHVLDESTHYASDLQPSAKKLRKGSVGILELGILSARNLVPMKAKEGRLTDPYCVAKYGAKWVRTRTALNTLAPQWNEQYTWEVFDPCTVLTVAAFDNGHVVNVGEGSKDQRIGKVRVRLSTLEIDRVYTHFYPLMALTPSGLKKTGELHLAVRFTCTAWANMLGMYGKPLLPKMHYTHPISVLQLDYLRFQAMQMVAARLSRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRITSLFSGAVAVAKWMDGICKWKNPLTTILVHILFLILVCYPELILPTVFLYLFMIGLWNYRRRPRKPPHMDTVLSHAETVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATAIFVMLALVVAVVLYVTPFQVVAVVAGLYLLRHPRFRSKQPSVPFNFYKRLPAKTDMLL >Dexi9B01G0011190.1:cds pep primary_assembly:Fonio_CM05836:9B:7121472:7128951:1 gene:Dexi9B01G0011190 transcript:Dexi9B01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPLPPPLSSAPSPAAASGGADGSAVGATAAAAAAAGAAAGGGALPPPPAAGAASAAAGAGASPLLLVPPVAGHQQQAAQQQVQRERTSDGALPAGVTGVDLPPAAGLHQQVPPPPRAPPPAPALQHPSSPAGLVLGDTVGPLGATADPAASIVVPPPPLTFAMAGHYYAELNAVIIKELDNLRMARDLAKQQVTDSGLRSGIMAEISALLAEFGKKKKQSLANASIVLTSNPHQKQAESNKKRKKKQGHHKGQECHQHGKKSKLGEKLDNKQNKPQQEQQQK >Dexi4B01G0010300.1:cds pep primary_assembly:Fonio_CM05836:4B:7595028:7600682:-1 gene:Dexi4B01G0010300 transcript:Dexi4B01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGGGGGRSGALLPTTSKPKTHHHLRSKSSLSSPASSRRRGGGHASSSPTYSRRALCLAAAAFAALFVLAFLRLGFPSSRSSSAAASSHARPRPRLTRRPAFRHRDSAAAEAAAAAVAARIGREAPVDITTRDLYDRIQFLDVDGGAWKQGWEVKYRGDEWDGEKLKVFVAPHSHNDPGWIRTVEEYYDRQSRHILDTIVESLSKDSRRKFIWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAMKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETDPNNVEERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSVEEAEVQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYSRPGELGSSELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILGSFVLGYCQKFQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVADYGTRMHTSLQDLQLFMSRAVEVLLGDFHDRSDPTLLSHFEPVQERSKYDVQPVHRVLDLHEGKAHSVVFFNPLEQTRDEIVMVVVSSPDVSVLNSNGSCLPSQVSPEWRFVSDENISTGRHRLYWRASVPALGLETYYVVTRQDCEKAVPAVVKTFTTSQQFPCPEPYVCSKLEGKTVEMKNSYYTLSFDASHGLLQTVTHHKDGQQTVIGEEIGMYRSHGSGAYLFKPIGEARSIVEEGGHFILTEGPLVQEAHSLPKTEWHKSPVSHSTRIYNCGDSIQDMLIEKEYHVDLAGHTFNDKELIVRYKTDIDNQRIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRLSVHSKQSLGAASLKNGWLEIMLDRRLVHDDGRGLGQGVMDNRPMNVIFHLLRESNVSALPKTHSSLTLQPSLLSHRVGAHLNYPMHAFMSKKPHDKSFKLPQQSFTPLTTSLPCDVHIVNLKVPQPLRFPHAEAADARFAILLQRRGWDTSYCKRGGLQCTTVGEEPVNLFYLFKDLSAVNVRATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQLQSSQEE >Dexi3B01G0022590.1:cds pep primary_assembly:Fonio_CM05836:3B:17361108:17361665:1 gene:Dexi3B01G0022590 transcript:Dexi3B01G0022590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASAEKRGKRQVMIRPSSKGILVECVYDHHAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTAKLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Dexi3B01G0036590.1:cds pep primary_assembly:Fonio_CM05836:3B:39501368:39509407:1 gene:Dexi3B01G0036590 transcript:Dexi3B01G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNQRIFAEDLLLAAEVEDHHQNCLTRRREFAGAVLPALIFAYDTCLRIDRVIPAAADDALGLMGRPARASCSRTSSRSRRQAHAAAVPLAGACGQAKPLRSLPRSPINSPPPRSLPPPPIAARSAAAAAGSMQRINIDWREVFGSASSPGRGDDVCFESPSSAPPPPARVPRAPWAGARASSGGGNGRGTLRPRRTAEALRYEDDRLSPRGWGDAGARRPVTRAAAKITRTKKWKMRKEKLKDVSSIYSRKVQNVVLLDDEDMQTEGEVNCEMSDSRNELKIYYPSRDDPEAVELTSSDINCLDPGAYLSSPVINYYIQKIKRTTLHSEDCRNKFYIFNTYFYGKLEEALGRLGDFSKLRRWWKGVNIFHQAYIILPIHGTYLEEEWRHLKKNPSPDTSVSETTWEDLPSTIHKAKVQVPQQTNAYDCGIFMLYYIERFIREAPERLTIDKVDMFSCSWFKPEDASELRQRIRDLLLEEFASAGLDNAMSGAASDGSDIEDSIKGRELEADAPSDSSEMDVELGNTGKINEGIEVAALEEECGESGDAEKINEGIKVAESEEATGDSGDAGKSFEGINLAEPGEASGQPRDTGRSIEIISDAESDEASMEIGYAGTTRKCIKGAASAEASVECISADKSMGSVSDDAPTSSCKPKNEVVIPSTPIPDVVCDSCDSESDSKVGIVAYCRPDHHELDGFDAASISSTRVPSDVTRNDTATNL >Dexi9A01G0011530.1:cds pep primary_assembly:Fonio_CM05836:9A:7242880:7244615:1 gene:Dexi9A01G0011530 transcript:Dexi9A01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRTQMPWSSAQASPQEQLCEGTAAVVAARQGMETPLKEVAEAFEELARGMEADAGELRLAPFGETCALVSVLFSSLGMAFRFAEIEYVTKVNDLIGAGKSYRTLSDILDKDIENDSVKKQGSHSRNLRRVRLGLGLIKALFEQYLATDGCSLYDAATTAYGQVCAPFHSWAIRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIEYIDNLFLSRNISLDW >Dexi9A01G0006610.1:cds pep primary_assembly:Fonio_CM05836:9A:3763787:3764263:-1 gene:Dexi9A01G0006610 transcript:Dexi9A01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCAASEAWGGLRCDRRWEGRSGVGRRRLALAAAAGGSGMRAGERVGSGVDCGVGIRWEHRRELRLRLRSPERGSDWGRGGHRMRATVRRQWACDACAVARRSMELEARKRGSSVSDMKVGGPVGTGGH >Dexi3A01G0021210.1:cds pep primary_assembly:Fonio_CM05836:3A:16854633:16857842:1 gene:Dexi3A01G0021210 transcript:Dexi3A01G0021210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSSSDRSRRPFLLSLSLFLFISAALVLLFLFLDPSPGSLAFLPSRLSASASAPPLPSPRQQSLTPTATRGSPPDSQPAGPLATAEADEASQPPAEAEARGGGGVPGAGDDSGGARSVEAPDGKTDTSVAAAAGTGGDVEEPPLRVRWETCSRLGRGVSSTDYIPCLDNVRAIKALRSRRHMEHRERHCPVAPRPRCLVPLPFGYRTPVPWPRSRDMVRTSRDSFACLCRYAPLDSCILATDVSSSDESWPVPWPERLNVRYASVPGDSASNKEAFDADTKYWKQIVSEVYFSDFPLNWSSIRNIMDMNAGFGG >Dexi9A01G0044240.1:cds pep primary_assembly:Fonio_CM05836:9A:47843122:47843865:1 gene:Dexi9A01G0044240 transcript:Dexi9A01G0044240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRPSPILVLSDTVDMCTDEPEPGHGWNKMECASKRSYGCGQHATEAAEGLTLYARLGDGPLLTSSLAIRMTDEAFRRFDSEIQLTCPLKDDDTLAGGQTMAAGTVQVADEQGLTVIFLDFRRQFCSHLAYYLVYDNATASLSLIQYAPDRFEAVCMTKPVVKRNGSGDFELFVMALDELSPAPCKVLCACTPETRANPAASGGNGPWQTKKPIQIQHEDIQEPFIADVAFSFQSKYGIWADLS >Dexi3A01G0026750.1:cds pep primary_assembly:Fonio_CM05836:3A:23229575:23241437:-1 gene:Dexi3A01G0026750 transcript:Dexi3A01G0026750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSGSASSYKLQLALAALVGASSAAAAAYYLHCRAIAQVGGDLARSASTRRRRPRAPAGASGGGKPPPPRRAAAGSASLPDLSAFYDVGGRGGGGLASGGYLVEEEEEDEEGLVGPHTNGSALDPTDFLQIPEGLPRLHVGPDVQPDPVAADILRKEPEQESFVKLLTSPKEIPTADEIEVFKILQKSLELRDAYLFREEVAPWEKEVINDPCTPKPNPNPFTYVPEPKSEHVFQMVNGVVHVYEDKDYTERLYPVADATTFFTDLHYILRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTINPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYFKRGPAGNDIHRTNVPHIRVQFRDMIWRNEMKLVYLNNNILITEDLDQ >Dexi3B01G0028480.1:cds pep primary_assembly:Fonio_CM05836:3B:24950133:24955199:-1 gene:Dexi3B01G0028480 transcript:Dexi3B01G0028480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEVGLKEVPGVIQNYHICIARNRRMDSDIIARATQMKIIMQNGVGLEGVDIGAATEHNIKVTRIPGCTTGNAIACAEMTIYLTLGVLRKQVCCIPSSLHHMENQNAPQKEMDRAVNQGELGIPAGETIYGRTIFIMGFGAIGYELAKRLRVFGVKILSTKRNWSSKTVPCDIEVLVDKKGGLEDIRDHIWSILLQYAYWTTRLCLVTLNQIVGDVALQLHSGEPFTGIEFVN >Dexi5B01G0021460.1:cds pep primary_assembly:Fonio_CM05836:5B:23743943:23745427:1 gene:Dexi5B01G0021460 transcript:Dexi5B01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKDEQRPLHVLAPGHIIPVADMAALFAARGVKCTIFTTPVNAAVIRSAVDRATADAVTALPIDLAVVPFPDVGLPPGVESGPALNSEDDREKFFQAIRLLREPFDRFLSANPTDAVVSDSFFDWSADAAAEHGVARRSTASRGGARRPAAGVPRQQHVRARLYQQHAAPQPPGGRTRGPRRTRAGLPHRVEMRRSQMLDPRKRPDHWSFHQRVYAADQRSYGEVFNSFRDLEPGYLEHYTTALARRAWLVGPVALASKDVATRGANNGGLSPDADACLRWLDTRPAGSVVYVSFGTLSHFSPPERRELARGLDMSGKNFVWVIGAVEEDPEWMPDGFAELLARGERGLVVREWAPQMAILNHAAVGGFVTHCGWNSTLEAVSAGVAMVTWPRYADQFYNEKLVVELLEVGVGVGSTEYASKLEARRVIGGEVVAEAIGKVMGGGEEAEAIRERARVLGEKARRAVEKGGSSYDDVGRLMDELRARRSSVNV >Dexi1A01G0005550.1:cds pep primary_assembly:Fonio_CM05836:1A:4061925:4062341:-1 gene:Dexi1A01G0005550 transcript:Dexi1A01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRRGGSPDTWDGTWEGEILDVIYGYYKSALDALPLEEMPSLAPRLLAAGVCFGFADPVTNIIANTLSFGETDGAERRKRKRSTNASGEARLREEVLSKIVAGDGPSAPEVRTIAERSLGGLVSFLVSYFRYLPT >DexiUA01G0006240.1:cds pep primary_assembly:Fonio_CM05836:UA:11954825:11955174:1 gene:DexiUA01G0006240 transcript:DexiUA01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANNETFIIICVRFKGHGMLAPFTAGWQSSDLHPLLIERSKGAYVYDSNGNKYIDALAGLWCTALGM >Dexi6A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:6A:19714658:19717829:1 gene:Dexi6A01G0012890 transcript:Dexi6A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGMLCRSQSATAVCVPGDARSMVVSRRADRTIVAADDLALHDVRYARLGGGDVRRRSTSTSSRRFAAPNKPSKPAAKAAPPPPPPPPPPPPRPAVVSGAAASCKPRVERRRGAGATAMEAPVAVTLPMVTKSPSKEAAAKDLAAAAKRVSTAAALAAPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLA >Dexi5A01G0000040.1:cds pep primary_assembly:Fonio_CM05836:5A:43404:44029:1 gene:Dexi5A01G0000040 transcript:Dexi5A01G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLKPVALLILLLNLCMYIILAIIGGWALNVSIDRGFIIGPELRLPAHFHPIFFPIGNFATGFFVLFSLVAGVVGIASAMVGFTHLRFWNYHSMKPAVALGLVAWALTALAMGLALTQFFYVLAIHGGSHGPVPVERRGNLA >Dexi2B01G0022620.1:cds pep primary_assembly:Fonio_CM05836:2B:32235267:32237492:1 gene:Dexi2B01G0022620 transcript:Dexi2B01G0022620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPSRVRRHTSCCRGLAATASGVAISHDSLSTSDRSTARAGSGDGSSSNSCSSVASQNATVAMARLFPGQLRRPAPNGASLRSDPIIGNSAADPMATNLSGRNSAGSAHTSGSCVMAHMFTMAVVPAGMRWPSTWTSDVARRAHDSSGPGGWSLTTSLITACRYTSDAEADSVTARPAPTTRSSSSWTRACTSGCSTRRASTHSITTATVSVPRNTISCKRSRVVRNGKQDGDHVGVGEPVVAFEGEEDVGEVADGGWSGGAEVAVPAGGAVLVDDGADEAIDAGDEAVAACPGALQVEAGEPGEVVGPVELSQQVVAFVDEGPQLAGLGALLGRVQLQVVPPPEHRPHDVVQGPSPEHAADLDVAATGGHAVPDGGGHLARDHGALRGGGGDLPRREEYVPWGANPMARWNRSPYADSLMGRSANAALLRISLATSGCAATTIRVSPTENAMSRRARGGRRPAAAASLRCARPVMSHMLPITGRPLGPAGTGDESQPRRVQRHLPHAGPPGKHQWRRQVTKQAAAQTARKPARRNGWRG >Dexi5A01G0029240.1:cds pep primary_assembly:Fonio_CM05836:5A:32360829:32364013:1 gene:Dexi5A01G0029240 transcript:Dexi5A01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARTLSSHLLLILVAAAAFITPLASTTDTIDRNTTLTGNQTILSAGGVYALGFFTPDGATDGRTYLGIWYASIPGPTTVVWVANRHDPVLNPPASLHLSASAGARLVILDGNNDTVWTSASPTTSGNITASAAAQILDTGNLVLTSDGHTVAWQSFDYPTDTLLPGMKLGVDIKAGITRNITSWQSPSDPSPGNVTFKLVIGGLPQFFLFRGTERIYTSGAWNGDILTGVPYLKAQAFTFQVVYSADETYYSYSIRDPSLLSRLVVDGAATQLKRFSLNNGAWSSFWYYPTDQCDFYAKCGAFGFCDPDSSPICSCLPGFVPRSPDQWGQHDWSGGCVRNTNLTCGDVGGGGGGDGFWVVNRMKLPEATDATVYAGMTLDQCRLACLGNCSCGAYASANMSGGGAGVGCVLWTVDLLDMRQYPIVVQDVYLRLAQSDIDALKTASDNHQRPHKGTLIIIVVATISGALLLLGALGCCILLTKKRRKRESDDMAASLPPSTSGDFGLPYRPRIHQAQSPSQQQLADVSEEMSYNDKDVDLPTFSLEVIVVATNNFAEHKKIGAGGFGSVYMGVLEDGQQVAVKRLSQGSTQGAREFMNEVKLIAKLQHRNLVRLLGCCIDDNERMLVYEYMQNQSLDTFIFDEAKGRLLIWQKRFDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDKNMIPKISDFGIARMFGGDQTTEYTRKYAMDGLISIKSDVFSFGVLVLEIITGKRNRGSYEPELDCNLLGYAWMLWREGRSLELLDEALGGNFHHSRVLRCIQVALLCIEAQPRNRPLMSLVVTMLSSENAVLPEPSEPGLNPGMSTSSDTESSRTRSATANFLTVTRLEAR >Dexi8A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:8A:11991786:11994608:1 gene:Dexi8A01G0009260 transcript:Dexi8A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGKNVQKLLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGPKAEVSGYVQVLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHSSYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNVTAEELLPSLWEKCKVQL >Dexi5A01G0024210.1:cds pep primary_assembly:Fonio_CM05836:5A:28103213:28103970:-1 gene:Dexi5A01G0024210 transcript:Dexi5A01G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSMMLPWKKFKRAQQPEPRRATAKALFVIRNDGRPSKPEALVFHPHSYGRPGLQKPGRMEDIKNHDKCRYEYDCMDVLGMRLRAPKPPRLPCGSAKSVAVEPMEAGDITRPPVFRFRPTTTDSGKAAEAAAAATDGCKTPMTPRRTPLWQRRILMGSRCELPRFSGVILYDEQGRPIRSSSQNRAAGHLTSRASKNESTGVKRTARTTTTLRDLM >Dexi6A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:6A:10943171:10945552:1 gene:Dexi6A01G0009460 transcript:Dexi6A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPPESFSTEKKDDKNAAKGKAIEDWLPINGSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGIVVMILSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGEKLGLWIVVPQQLVVEVSLNIIYMVTGGQSLRKFHDVICDGKCKDIKLSYFIMIFASVHFVLSQLPNFNSISAVSLAAAVMSISYSTIAWAAPLAKGKEANVDYHLRATTTPGKIFGVLGGLGDVAFAYSGHNVVLEIQATIPSTPEKPSKHAMWKGALVAYIIVAMCYFPVTFVGYWAFGNSVDDNILITLSKPKWLIAAANMMVVVHVIGSYQVYAMPVFDMMESVLVKKLRFAPSFKLRLVSRTFYVAFTMFIGISFPFFGGLLSFFGGLAFAPTTYFLPCIMWLAVYKPKRFSLSWLTNWFCIVVGVLLLVLAPIGGLRQIIMTAKTYKFYQ >DexiUA01G0008320.1:cds pep primary_assembly:Fonio_CM05836:UA:15512923:15513205:-1 gene:DexiUA01G0008320 transcript:DexiUA01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDVRAPEAASARDWSELPLDALALVFSNLGAVEILMGAGLVCAVRHGKGGHRSCWQLEVFIAKRFVTDELHKYIGDRWSQFCIFLQLASP >Dexi1A01G0024550.1:cds pep primary_assembly:Fonio_CM05836:1A:31047216:31050985:-1 gene:Dexi1A01G0024550 transcript:Dexi1A01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVITTDTRRQSRAWRPTLADRPGSGRTAGHQLRSRRSSEDASAEEVELIACREGVKLAAGWVPRPAILESDCLVAINFLRRPGDQRSQSNESNFPDGVVTCIIVLLTNRAAFLTTCPERSISLPRTRKQPNHPLRPHPPACASARPRDPPASARPHAVRRAKQANNLLQQIAARLLPTDHPASPANQTQTPRALTQPTRAPHGHMPDGHFPFFPSKIRGGRGAADARAPRTAPWKLSLFPSPFSFVFSTPLPPRGLLPRSLSRSPRLPPRAACSYFAPRPHKIRIQNATGAAAGNQREKGQRRLLFLNARSAAGALGFPPSFSVESSPPPRTQDPDPFRGFNSSACQAAARRVLAAAGGGLA >DexiUA01G0000460.1:cds pep primary_assembly:Fonio_CM05836:UA:2054321:2056498:1 gene:DexiUA01G0000460 transcript:DexiUA01G0000460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVAAYKAATATGGELGAGRDGSGGNGEAWRWPGWRAPARVEVVALGLLAALTLLLLVFGGAGRQPATFSSSPRREFSPKPDFSGRCQQTNQLHLHRAGHAASHVHEHVAGPDPAARHDQDRLLGGLLSPAFDEQSCRSRYESSSLYRHPSPFRPSTYLVERLRRYEARHRRCGPGTPLFKQAVEHLRSRRNTGGSECQYVIWTPINGLGNRMLSLASTFLYALLTDRVLLVHALQEFDGLFCEPFPGSSWKLPVDDDFPITVTDFAGVFTMGSPASYKNMRQAGAISSDHRNVTAEGLPAYVFLDLIQSFTDAAFCDDDQRVLAKFNWMVLKSDVYFAAMLFLMPAYEHELARLFPEKEAVFHHLARYLFHPSNDVWGIVRRYHGAYLARADERVGLQVRVFPEMPVPFENMHAQIARCSEQEGLLPKVVVRDKSGAGAANHSSSSAMASGRSNSNKLTSILVTSLFSDYYERIRGVYYASATETGEYVEVHQPSHEREQHTEARVHNQRALAEMYLLSTCDRIVTTAVSTFGYIAHGLAGVRPWVLLRPPSPGATVDPACVRSKTVEPCLQAPPRRICGVAEGTDTGALVPYARHCEDVHRGLKLFP >Dexi9B01G0035810.1:cds pep primary_assembly:Fonio_CM05836:9B:37410639:37411980:1 gene:Dexi9B01G0035810 transcript:Dexi9B01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQAEVVEEAGQLDLPPGFRFHPTDEEIITHYLTHKALDHRFISGVIGEVDLNKCEPWDLPGRAKMGEKEWYFFCHKDRKYPTGTRTNRATETGYWKATGKDKEIFRGRGILVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGKLPPTLPRSTKDEWAVCKVFNKELAARTEPMAAAAGGAELERIGSLGFLSELLDNAELPPLVEASFGGDVDDVIDFKGVASTSGHAAAAPDTSYLPVKMEEHAPLQYHQQQPQMFYTGQYFSLPAVNSGDLTPAIRRYCKAEQVASGQTTSVLSPSRETGLSTDPNAAAGGGCAEISSAVTPSSSHHQFLHELDDPLLHVADFWN >Dexi9A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:9A:12001866:12002147:1 gene:Dexi9A01G0016940 transcript:Dexi9A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHILLPAPNGMNSKSAPLKSMSPRLSNLSGLNSSASSQYLASLDIAHAFTKTAVPLGMSNGPGGCSLKVSLITRCM >Dexi3A01G0008750.1:cds pep primary_assembly:Fonio_CM05836:3A:6102387:6104205:-1 gene:Dexi3A01G0008750 transcript:Dexi3A01G0008750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNQHQHQQQQQAHHQQQQVAANGAGDGNHELLQASSIMGGGGALPEGGAGQVGPAKPMSMAERARLARIPLPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKSAAATANSGTASATAATLAPAAGSTSSACATTTNVAALPGGMLGGGGGGGNLSMLPPLLRLADFDAMSLGSSFSGMGKPSPLDGGYSVGPPGGLEQWTRVQQMQSFPFLHAMDQGPLGPPLAMTMAPGGMFQLGLDHSGSDNGGRGAAGEDAAGSGELHVMQAKREAAAGGYPSAARGGMYGDHHHLAAAGYASYSNNAAATGFTTVDRAFGMK >Dexi4B01G0021030.1:cds pep primary_assembly:Fonio_CM05836:4B:23076048:23083077:1 gene:Dexi4B01G0021030 transcript:Dexi4B01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQQQQQWDDMELSFSDSQMARGVQSVLQSVRMDPFLIDLKDKEQYDFLLLAVDSTKKRNADEQAVLVATLKALSEAVSKIDIMYHHALLHNIFTMRIWYFDGYTRTALLDLIIRLAAVADQYLRECLQMLVNNFTPPGPLVPFMEQPRWVAKKKEIYSELHESLKIISDTVPLAPRVLKDIINRSMPRLFDTKAKTLSFVECMLGLDTERLGDLIGTSLLEKVVDLLTELDVNITWEDILQEEHSKGIFDMELEDLDNDEDNLGGEETKVCHTWKVLFGGNVYAEKLDALMVIVCEHLRSCAEHGSLQKVISSQPLCIRQWFSSMMTVCPVETQDHQAKPTHHIIQEITILSWKSTWHQEFDILKVIFRKAVLKFIMFYACSLDPEICGLDFALFLTDIFIMEDKDTIARLVDWCTDYCKKQRKRETAAKPKDHRVFYACCQAVMYVLCFRLRSIMDYPNLKSQLYQMRIGFILTDPLEPLKVCLPSIVKEFLRQAKAARLFNASVDSALEDSFESNLSKAFGGLHRLDMFFPFDPCLLKESDRYIRPNFEFWSLVKTTYSNNNSDNDYDNDELGDFDAPGMNVDSLDDNVEIELNSDDDGDGIEYSMNKMSITPHRSFYHPMAMDSDSGLTMPARIRPSVSPPS >Dexi6B01G0011910.1:cds pep primary_assembly:Fonio_CM05836:6B:19498171:19498916:1 gene:Dexi6B01G0011910 transcript:Dexi6B01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVADAAAASRQPPGPGPALRWPELAAVKALMFPCIAALWISGATAAAAAMTHVVHPSADDPIFLLILSSLGCILLHSLLSIVVFVLLLRAALRDSDSILQACGPSLRSLLRETTWVHCFAAQILDVLSVVGCFVAMELSMGSGSRACWIGALAIDVGVVISASICCFDILPFTFFKLWRMKPRVSAAHGCVV >Dexi5B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:5B:5627459:5634180:1 gene:Dexi5B01G0008340 transcript:Dexi5B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLATATPTTSAHFSTPTILPSSHTRRWSVAAPLRRARRPRLVTVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAREEGIAMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYSGEAIGPLAAYYQVPLRHILLVYDDMSLPNGVLRLQRKGGHGRHNGLQNVIENLDGRREFPRLSIGIGSPPGKMDTRAFLLQKFSAEERVQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHRV >Dexi9A01G0016040.1:cds pep primary_assembly:Fonio_CM05836:9A:10961749:10974982:1 gene:Dexi9A01G0016040 transcript:Dexi9A01G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACASAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGEANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKVVGIDIVELALWAKENTSTIDNQVNTQDGQETTVVTQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPIIEEVLQGLDSASAVVDDMDEWLRIFNLKLRHMREDIASFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISAQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIASEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYANEPIMSVAEMKDILATF >Dexi2B01G0021070.1:cds pep primary_assembly:Fonio_CM05836:2B:31016071:31018545:-1 gene:Dexi2B01G0021070 transcript:Dexi2B01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYSILTRDHSKICDLADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTTREYIELRKD >Dexi5A01G0013140.1:cds pep primary_assembly:Fonio_CM05836:5A:9841545:9843350:1 gene:Dexi5A01G0013140 transcript:Dexi5A01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRISLFVVFTHDIFAFPALPVQSLFPYLYFMIRDLKIAKQEQDIGFYAGFVGASYFPARTISSVPWGIFADKYGRKPCILISILSVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKVTSSRAIALVIGPAIGGFLAQVGQWLFFLQRSTQIFSQKKSIFGRFPYFLPSFVISILAAGSCIACIWLPETLHFHDDEKVEATDELKAQVAYSNLQGGKAKNLVTHLQRTC >Dexi5A01G0018170.1:cds pep primary_assembly:Fonio_CM05836:5A:21697361:21697656:-1 gene:Dexi5A01G0018170 transcript:Dexi5A01G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCERVSALDSRSASSRREASAAPARSACSSASSATSEYPGDGPLLAFLLVAALLHLVRPAGARLPAPPAVGHRGGGGVGGGVVESERRRHGGGVL >Dexi5A01G0018170.2:cds pep primary_assembly:Fonio_CM05836:5A:21697666:21698442:-1 gene:Dexi5A01G0018170 transcript:Dexi5A01G0018170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGQPMHTSVNAPSGPTTPCSAATEILGAMMGMWVVYMAPITPGTPEVCSKLDLNRAAAASSGHCRNRGMSTVLMAAHTSRTSLWQVEMPRPNRLETRRSGSPVARRQMQTATRWRTGMAARSMVSSFATAEPSASQIMSNVSRPIRKARRNSSSGKSGLLQLSHHALDRSFTHSRRWWWPAPPAEARVGEPEAEAAAAADSSPATTAVAAAETASLERLDLEAASESAAASKLPSILA >Dexi5B01G0020920.1:cds pep primary_assembly:Fonio_CM05836:5B:23162538:23166013:1 gene:Dexi5B01G0020920 transcript:Dexi5B01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGRRRYSSEQFLFDGPANAGAGRWAQQRGGGVRRGDGEIFVSVEPATPARLRGGDAPAGESPGKLQQLSPGLLDLHAFDTELIPDFQVPGMYAASQKFGYGEVLDDSDMSFAQNKQMSKSTIFPENNYLRAFPEKEKTAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQRSNSLTVHETKLKVDLTEYVERHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHAYRNQGFQLFVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGNDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRVKSLSKGGNGKKDAPLAAPLRESCHSPLPSFVPSFSASEVMNDITERSNFGWPKQQQFVKQQPALAFADRMPKLKEGVEFSSSNDVYVKEQRSKGGMASNIAEVPDIMYQQGRQAVRKTVLENNMRDSIAYPARRAQPDEEDEHLNDLLQEEEDLVNAHRKQLEETLDILREEMNILDEADQPGNQLDEYVARLSSILSQKAAGIVDLKSRLEQFQRRLNENNVLLYAESP >Dexi6A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:6A:21848798:21850127:-1 gene:Dexi6A01G0014460 transcript:Dexi6A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSLALLFVLAAVAGRAAAQDYDFFFLVLQWPGSYCDTKQSCCYPKSGKPAADFGIHGLWPNRNDGTYPQNCNPNDEFDPSKVSDLMSSLRAKWPTLACPSSDGLTFWGHEWDKHGTCASDIFDEHSYFQAALSLRDQLGVLSALTSAGVNPDGGYYTLGQIKGAIQQGTGFLPFVECNRDESGNSQLYQLYFCINASGDSFIECPVSPGGRACGNRIEFPAF >Dexi1B01G0013590.1:cds pep primary_assembly:Fonio_CM05836:1B:19515825:19533401:-1 gene:Dexi1B01G0013590 transcript:Dexi1B01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPDNKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHSGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYKLRDNIAQDQEKSDASKSQMEQLKAKIQGIENEILHMETSLEELRRLEGKIIAKSTERSTLFTLQQQQYAALSEENEDTDEELMEWQTKFEERIALLETRISKLGRDMDDEAAKSSSQLQKISELTHDIGKLQAEADAHTSMKQERDSYIKKIFTKHNFGPVPEFPFTSDVAMNLTNRIKARLSSLENDLQEKKKSNDDQLNILWKHYLKVNARYSEVDGQIQSKIESMSGISRRTKDKVRERDAAEMELSKLNLSRIDERERHLQIEVERKTLALGERDYDSIINEKRTEIFSLDQKIKALQREKDSINRDADDRVKLGLKKDALESCNVKLKDMHVSFLSQCFMVNEHQDKIKSVLRWLPSEKDMKKEIIQAFCPVDKEYNELKSKSQEAEQEFKLAQSKVSDAREQLTKLRKDLDAKRRFLASKLQSISKISADIDMFPKVLQDAKNKRDEQKRFETLAHGMRQMFVPFEEVARDRHVCPCCERAFTPDEEDEFVKKQRMQNASTGERVKVLAMEYADADALFQQLDKLRTIYDDYMKLAEETIPLAEKNLNQRLEDESQKAQTFDDLLGVLAQVKMDRDAVEALLKPTDSIDRHFREKQQLDEEVNDLEYKLDSSGQGVKSLEEIQRELNSVQRTRDTLSIEVDDLRDQHRTLTDDLASAQVRWHTAREEKIKASSILDGFKKAESELACLAEEKEQLTTERKFNRCQGTLSVYQGNISKHKQELKQTQYKDIEKRYTNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEARKGQENFQLIVITHDERFAHLIGQRQLAEKYYRVSKDEK >Dexi5B01G0018980.1:cds pep primary_assembly:Fonio_CM05836:5B:21299785:21300278:1 gene:Dexi5B01G0018980 transcript:Dexi5B01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFAAGAATASAAGFFLLYKDHLLARATITRQVRPPTPRTHGTTLSPTSWSFSK >Dexi5B01G0017550.1:cds pep primary_assembly:Fonio_CM05836:5B:19345868:19349937:1 gene:Dexi5B01G0017550 transcript:Dexi5B01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTRREMAAAAAVEARPSSSAAALAQVAPGSFEVQRRRVGGGWTSRRISIYASRAYFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVTNEIFPPAVVKSMLYPGAILNSLTKSIAFPRWNDLFDIYNLTEAKNASAVIDLQRLEILAGSYFCVAGALVGIINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSTYVYPTILIALICAFMSITYNVKKTAKSTPPVSIAKPLKSSAKSKLK >Dexi2A01G0011350.1:cds pep primary_assembly:Fonio_CM05836:2A:13234948:13236001:-1 gene:Dexi2A01G0011350 transcript:Dexi2A01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSAANGLGTFVGNAVSAPFRALFGASCEGVCSGTFDLPCFIEHICISSLVRLFAVLAVSYAVLFVVFLLVKIGVVKCVAKNAFKMVWKPCWACCRALGGACGDLCDKVRDTERLYRGRRRRRRDVELGELSVTSITDDMASSSPSSSSSSDEDGDRRRGVAASSSRSRGKPSSSTSVGDRRKERIRQSLRLKRTNSKVEPAARLSHGSGQRRSLSTGPRGTEAPPSSSMPSSLRVHGGSPPARGQGRRSHVHRRSSI >DexiUA01G0023340.1:cds pep primary_assembly:Fonio_CM05836:UA:47762973:47764386:-1 gene:DexiUA01G0023340 transcript:DexiUA01G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEEQKKEEAPAAIPEAEKNEQPAAAAESEEKKQEEEAAKEPPPPPPPCILGIDLHCTGCANKIKRCILRCKGVEGVEVDMAQNQVTVKGIVDPQAICDRLRKRTMRNATVISPGPPPPETTAADKEEPAAVVHSQVSEVATVELHVNMHCEACAQQLEKKILKMRGVQTAHADSGASKLTVSGTMSADKLVHYIHRRTGKLATVVPPPAPPPEAPKEEETKKEDGGKNPEELPAEDAGKKDDQERPPAEDAGGEKKDGDGGDKKDEETAKPEIDGGENKEDGGGEEEEKVKPQLVAVDGFPPEEMMKRMMYWPYHHKHYYDPRVVDEEAMVVPRRTTAMVHPYAMPMMQWTPPPAPPPPAPAAAHPMMYQQYYNYGMVERPAPAPQYFSDENPNACVIS >Dexi3A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:3A:9369512:9372977:1 gene:Dexi3A01G0012860 transcript:Dexi3A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVMMSSRSKWRSAAPPMACAALLLLLCVGCAVAVDEQGAALLAWKATLRGSDTLADWKPSDASPCRWTGVKCGADGGVTELNLQFVDLFGGVPANLTAMGATLSRLVLTGTNLTGPIPPELGELPALAHLDLSNNALTGPIPAALCRQGSKLETLYLNSNRLEGALPDAIGNLTSLRELIVYDNQLAGKIPAAIGRMASLEVLRGGGNKNLQGTLPMEIGNCSRLTMIGLAETSITGPLPASLGKLKNLTTLAIYTALLSGPIPPELGQCSSLENVYLYENALSGSIPSQLGGLKRLTNLLLWQNQLVGIIPPELGSSCPGLTVVDLSLNGLTGHIPASFGNLASLQQLQLSVNKLSGTVPPELARCSNLTDLELDNNQLTGSIPGVLGSLPSLRMLYLWANQLTGTIPPELGRCASLEALDLSNNALTGPIPRPLFGLPRLSKLLLINNNLSGELPPEIGNCTSLVRFRVSGNHIAGAIPAEIGKLGNLSFLDLGSNRLSGALPAEISGCRNLTFVDLHDNAIAGELPSGLFQDLLSLQYLDLSYNVIGGVLPSDIGMLTSLTKLILTGNRLSGPVPPEIGSCSRLQLLDVGGNSLSGEIPGSIGKIPGLEIALNLSCNSFTGTIPTEFAGLVRLGVLDVSHNQLSGDLQALSALQNLVALNVSFNGFTGRLPETAFFAKLPTSDVEGNPALCLSRCSGDDAGDQESDAAHHAARVAMAVLLSALVVLLVAAALVLFGRHRRAARAVTEDKDGDMSPPWNVTLYQKLEIGVADVSRSLTPANVIGHGWSGAVYRATLPSSSGVTIAVKKFRSCDEASIEAFACEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKADNILLGERYEACLADFGLARFADEGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEAITGRRPLDPTFGEGQSVVQWVRDHLCRKREPMEVIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDGGAEARKAGGGGGAEAAAGVRKWADPKQPISPSKLMALVASQPAQARESSGSQSLLRNRE >Dexi2B01G0030910.1:cds pep primary_assembly:Fonio_CM05836:2B:39138574:39149352:1 gene:Dexi2B01G0030910 transcript:Dexi2B01G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRPPPPASSGAASPGISGSALLLGPRRGGGSSSEERWWSGGRETAEVEAPWDWDASSSTYCCLLLLA >Dexi3B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:3B:8349335:8350770:1 gene:Dexi3B01G0011960 transcript:Dexi3B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGSRRPRQEVGEAGESSSNRRKRSRYSPLQALGDDSEPEPVSEAENDGSNGEEEEDEEDDEEEEEEEEEEEQSECETDGYDSDDAVEDQQNEQQGGRGVQDRPPQQREVMQVDSNNDDNRPINCSHTIVHQGSTAPAPVPPPLSSSSCSENLVVKDVTVNSSALDCGICFLPLKPTIFQCNIGHVVCSPCHDKLGVPRSCHVCRTLTPGGYQRCHAMEQMVDSIRDKAGVGFDINLTDGFNFITAARAGANQGTTDKYLFLLNVARTPFGRTIALFCFCIHPHHTSTAELRLTYGCYRNFDMCCMHHQTSEFKMACTDLSKGLPDPSKCFMFIVPGSACRDDEVVTKVTATINKTPVQ >Dexi3A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:3A:13290731:13291520:1 gene:Dexi3A01G0017440 transcript:Dexi3A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPAPVATAAANSSAGTSPASLSLGVPAERAVDSIFSSPLPAVAEAFRASISSSSAAFSWYALVLEPRAPAHLLLVAGLLGVEEALEALDLGAERGDLAVVGGGGLLEGGDLIERLR >Dexi9B01G0007510.1:cds pep primary_assembly:Fonio_CM05836:9B:4594021:4594850:1 gene:Dexi9B01G0007510 transcript:Dexi9B01G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKGMPAPEKAKDEAPAKELDLLGVLGSEAPESVSPPVEAQDMAPGKGKEKAAMVAEEEEEKAPTTASTGGDEKKRSFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRSAAAAAAAAAAGRGLYGAADPFLPPHHLRFPHAWPYSVAGGRPPSSARPGGLRGCGAAVLPWVGCARAWARPAVHGAAAGLARHVYAPEGYGGGYGASARAPSSPAVLDSSGMAGFRWAGGVATGASARGDNNNGVAQEVTQQKEEEEEAQSCKLDLNLRL >Dexi9A01G0038640.1:cds pep primary_assembly:Fonio_CM05836:9A:42801934:42802188:-1 gene:Dexi9A01G0038640 transcript:Dexi9A01G0038640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSCSCSRSPPRPPARARLLTPVCSHSPPRPKPELDPASSAQPRIRVPAAAHNCAAALRRYACRCLLLAPVARRPLARVLCG >Dexi5A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:5A:8381541:8384538:1 gene:Dexi5A01G0011210 transcript:Dexi5A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRFVRAAATPPPPQPPVPPPPTMSAHQRRQQPLNHPPRAGLHAPLLRLWPRGGGGGGGGDRPTAAGGAVRGAEPRNPPIEEERKAEADGRGQGNSNWVLQMLRVQPRWEDAVNAEATGGGGGREPEEEDEELAAASRGAVECASCGDGEGCAVGYDEGEVFDRASFSRLLRKASLRETKEYSMMSFLCNISYMIAKIETHFSLPNLGIVCFRSLLPSQPKCLRRYSLRFVTSSVQEKAKTSPDQKQEHSNVKDKSQDQVSEAVDNANKEEPRVLGINPFGAYHVMSSAASYLHSRAMGIMPFGSRNDVKDDAAAIMALMNGENGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFVCDDDQNSTRYFVVQGSESIASWQANLLFEPVKFEELDVLVHRGIYEAAKGMYHQMLPYVKAHLKARGKSARLRFTGHSLGGSLAMLVNLMLLMRGDAPASALLPVITFGAPCIMCGGDHLLRRLGLPRSHVQSITMHRDIVPRVFSCHYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPDERLSPHHHLLPPDSGIYRLGGGASPSDADTSLAQLRSALSAFFNSPHPLEILKDGAAYGPRGSVYRDHDVNSYLRSVRGVARKEARRALEAERDRWRLLFWWPFGVHGVSSDSGGFMDAVSEAARRAHLLAVVLLPAELLALGALLVVTRFR >Dexi5B01G0028090.1:cds pep primary_assembly:Fonio_CM05836:5B:29557788:29558453:-1 gene:Dexi5B01G0028090 transcript:Dexi5B01G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIHEFHISTPPPPPPVPFRCTSRPPEMATSPRLLLVVLFLFATAPALSVAAKIYVGDGKRWAPNVNYIDWADRHEFHVGDWLVAWRRAEFDYEKDRYDVVQVNETAYDKCDGSSPILRYSRGRSFVFRLNHTGRFYFICSRGYCWNGMKASVLVHPAPLPPPAKAPSSSHASRARAAAGVWRWAAALTALVGASVLG >Dexi7B01G0007050.1:cds pep primary_assembly:Fonio_CM05836:7B:14829151:14832256:1 gene:Dexi7B01G0007050 transcript:Dexi7B01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKEGAPPITGGGGGGADRGAFAFISKGWREVRDSATADLRLMRARADSVRARADRELEHLLASASALAGPAPPLPPVAAGAPIAEVEFVRKRIQPKIEELRRQYSSRAPGGGWPPGASTLRVDLSGITAIRNAIVAEGDGADKWRLARWKGERGDEEGRNEWEVVRMIRSGLKEFERRSLTSDMFAGFRGRGEFVEKFKLSLKSLNKDCRESKEVPPLDLTEIVAYLLRQSGPFLDQLGIRRDLCDKLVEMLYSKRNGRLMYDSLSEDRALSENTIDDLDLRIARVLESTGYHRDEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSEKQKVTLVVPWLCKSDQELVYPNNITFGSPEEQETYIRNWLQERLGFESDFKISFYPGKFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGAIQSFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLNIGEKTAAAREGGQKVFSKGAYFLGKMVWAKGYRELIDLLCKHKKDLEGFKIDVYGNGEDSEAVQTAAKKMDLSINFFKGKDHADDSLHG >Dexi8A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:8A:26754563:26755002:1 gene:Dexi8A01G0015620 transcript:Dexi8A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARQRRRAAELVGDRVAEAVTGSHVLKIEGYSLIKGLGIGKFVRSNTFIVGGRRWCICYYPDGNLFDNTDWISLYLQYDRTDPTDVADVKARFMFSVLDQIGEPVPSRSSKQVRSAPSPPKLFAGDSELSSKGTSWRNHHV >Dexi5A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:5A:10091789:10096321:1 gene:Dexi5A01G0013470 transcript:Dexi5A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKAMYAVGFWIRETGQALDRLGCRLQGKYFFHEQSHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVWGGNPAKFLRKLTADEIAFIAESAGNYFKLSKEHAAENAKPLEKVEFEKVLRSKFAHQDEEYDSTIGVTREASPELAPPTPAQ >Dexi2B01G0035140.1:cds pep primary_assembly:Fonio_CM05836:2B:42235897:42237281:1 gene:Dexi2B01G0035140 transcript:Dexi2B01G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGVVAIIKVRCLAGSHFPLPPEIEPGPGVELVAAPAVVASYSPSDELSCLKLAMASGEAAEQLQYTTTVLRVSIHCEGCKKKVKKVLHNIEGVYKVTVDAAQHKVTVTGSLGADALIKRLHKAGKQAALWPAPASPATVAKKPEEVAPAVAPPAVEGCVKGAAADKAEAKPKEKEKKQPEAEGKDDKKPEKEKGAEKKAQKAEAAKPKDDEGNKDVEVTAPKEKKGAAAEPAKKESAAAEDEAAAGEESGSGKKGNNKKKNKQKDGVDEPETAASAEKTPPQPSMAPSPAVPGPERPHVGGFAYYAAAAQPVMSYNVAHPSSSVSYYAPTPMQQQPLPTPPPPPYGYPAAMMPEFMYGPPGMRSSPPQESYNNMFNEENANSCTVM >Dexi3B01G0024680.1:cds pep primary_assembly:Fonio_CM05836:3B:19328634:19332682:1 gene:Dexi3B01G0024680 transcript:Dexi3B01G0024680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGRGTGGKKVADGEVARKAKRQGGFRTMPFILANDFCDRLASMGFTSNLITYLTLQMHLPLVQASNIITNYNGTANLTPLVGGLIADSFAGRFWTITFGSFIYQLGMVCLTLTAALPSLHPPPCAKHAADCHPASSYQIAVLYLSLLFTSIGTGGTRPCTMAFGADQLELNAHDDGHHRGAKRRPKWSFFNLYFFAVELAKLTAVTAVVYIQENVGWGWGLGVPTIAMLAAVIAFVSGYSLYIRMPPGGSPLVRLAQVAAAAFKKRKAAVPDPSLLYQDKELDAGISTTGRLLHTNQLKFLDKAAIVTEGDVLASGEPDLWRLSTVHRVEELKSIIRILPICAAGIILVTSSSHNHSFAIQQARTMDREITPRFSIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGLTVAMLSNAVAAVVERRRRSVAAASGMLDAPKGATLPMSVFWLVPQFAIHGVANAFMDVGRMEFLYDQAPESMRSTAAALYWLTFSIGSYLGTLLVTIVHAKTRRSGQWLPDNLNRGKLDNYYWLVVALEVVNLVYFFVCVKYYTFKPLETVGGEEEVELYHGNGTAEAKKGGGFK >Dexi8B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:8B:967137:969263:-1 gene:Dexi8B01G0001460 transcript:Dexi8B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPAFNFRGIGQHWEQLEIPLEFDTDASDLVSEIWQHEANQRLEQLEAPLEFDPDTSGLAPDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTAPNFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSHEDPQSKSILNAQTKSNSRFSHKGRSSIQEPHSPSDQHAHHEGFDKQTQCSISVTGSDASDSVIFSNTKAHLKFGSNSTEDLDGFDTPRSRSSCFSFTHEPLKNVENCDVRQYLGNFGRGNNMEQRETRSTYFADDYVSQKVNLDLLKDTATFQNRMQYGGLLICNIRTF >Dexi4B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:4B:7179859:7181416:-1 gene:Dexi4B01G0009860 transcript:Dexi4B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEDDLDLRTTRVSLDKAFPKDDDGDIPAKDDRRLRRLAETRENKEELRADHRRIRQAEIVSTVDEQNERQEADVDEEDEEAQEERRRRIRERQLLREQEEELLPQEDEEPVEDEESEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIESRQIVVEEIKKEQYIEKALNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLQHNKQKWKFMQKYYHKGAFFQESADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQMGY >Dexi7A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:7A:23565423:23565686:1 gene:Dexi7A01G0013500 transcript:Dexi7A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTSRNSTHALRRKRRPGDWLGGTARRDGVGVAVPREERGGGGCGGPGARRGEFGWDKAEEGDDEERRPSSGGGGGIRWLPPGDI >Dexi6A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:6A:18766648:18767144:1 gene:Dexi6A01G0012440 transcript:Dexi6A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSRGSSLPTWMTAAASRVDLTGGAVSPSHQGSSSPSTSSSAGPAPAAGADLELGMFERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVLYHHPPQMAALGPDAVRNLISFCVLWVAIW >Dexi2A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:2A:11682657:11683687:1 gene:Dexi2A01G0010500 transcript:Dexi2A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKGKVDGDGPSRERTINWDDGQTKFMLDWCIDYMKNQHAGFKFKKQHHMKYADALNKEFGMGVTVAQVDRHFRHYKENWKYVSKALSNSGNGFDGTRCMVTISESEKENLIDGARRLLSKPIKFFYEMKELFAGTNADGSLAADQDTCMNDRDDSKSDESQGLNDMSGYALPEDITGDDSDTLPSPLSHKPGGESSSTTSRAGIKRPRGCKSPSKKPKKAKRHFSQTTEQLNSTLLSLKKFLNAPAPQVLQPSNPHASLWERLEAMTITTDDKITVGQYLAHNERKGLRDFLSSASDTTLQTWVYKFLTGENY >Dexi9A01G0030090.1:cds pep primary_assembly:Fonio_CM05836:9A:35030612:35030866:-1 gene:Dexi9A01G0030090 transcript:Dexi9A01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGKDCRCASASGGGGGQQREHTTCTCGEHCECSPCTCGRAMLPSGREDRRANCSCGPNCNCASCGATATA >Dexi2A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:2A:2075133:2075860:1 gene:Dexi2A01G0002570 transcript:Dexi2A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSYAYSSIDANDDDDDDVTTVRCASPPEVLTVSTVASATVGVGHHMFKVEGYSRLKCTHGVTVGSYLNSGEFEAGGHAWRILCYLNGARAEDAGFVSFFLVRVDDEDAAGSVAIAEVELELLHHAGEVVRWPSSRVGRFPARRFRVGSGWGWPRFIAVEELERSSWFLKDDGFAVRCTITVVEEELVVEEEDVEEEDLERMGMVCACEDDSS >Dexi1B01G0027080.1:cds pep primary_assembly:Fonio_CM05836:1B:31881197:31884816:-1 gene:Dexi1B01G0027080 transcript:Dexi1B01G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPPRLLSSFLGDRLALSARPLAHRSAAPEVGMMQWKITLDAYARIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIIDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTIYLNGYHGDTSATFLCGDVDDEAKKLVQVTKECLDKAISICAPGVEIKRIGRTIQEQ >Dexi5B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:5B:20566204:20569325:-1 gene:Dexi5B01G0018380 transcript:Dexi5B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQEEADDNRWEGSTAGANGFLGAQNAWDMLSEEQSQKHITTGSGDLNNILGGGIHCKEVTEIDTEGSFMVERVYQIAEGCISDILEHFPHSHEKSSFAQKQLQPEHFLADIYYFRVCSYTEQIAAINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKIAKAYNLAVVLLNQVTTKFTEGSFQLTLALGQLLFIQL >Dexi5B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:5B:21909306:21910076:1 gene:Dexi5B01G0019590 transcript:Dexi5B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGACYVGDGCGGRGLRRPATWAAAADVVLRWVMEGQRGLDDALGCGTVVAVFVELVCGERILDGKGSEDGGQQHAD >Dexi7B01G0005060.1:cds pep primary_assembly:Fonio_CM05836:7B:12164987:12167025:1 gene:Dexi7B01G0005060 transcript:Dexi7B01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPHTVTVAVVGAGAAGLVAARELLAEGLDVVVFEKSGRVGGIWAYDPRPDNGCLYESLRTNLPRELMAFSGCGLAGRVFAGDRRTFPGHREMLAFLDAFADESGIAERVRLHAEVLRVVPLGLGLGQGEEGWKVTWRRGWWRTEARWRRRCSMRSLSAMATIWYLCCPRSKVDCIQGDGKVHFAEGSTLVADGLLYCTGYLYNFPFIDLDGFTLGDNRVGPLYKHVFPPKYAPSLSFIGIPIRTYELQSKWVARVLSVRGAALPSEEDMLASVQEHYREMEEAGKAKRHTHVVMPEWVEYMNWLADQVGEPHLEPRRHDVYEKALMCVFSVDEGYRDKWNKEEDEKNHTTS >Dexi5B01G0003590.1:cds pep primary_assembly:Fonio_CM05836:5B:2429466:2429951:-1 gene:Dexi5B01G0003590 transcript:Dexi5B01G0003590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKASPFLRSARPFVEQEACAGSSDMYPAPPSPPPRPPPPPPTGGDEPPAPQPSEDAMQLMLALFFIAAGAGAVVSRTPKSLTPPPPERAGQVRELWPLLLQCEHRRVILAGGAPERVLRHVLTRADAWASYVRRAASVPNALPCALLWILLSSPFFPRR >Dexi9A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:9A:13975557:13976912:1 gene:Dexi9A01G0019000 transcript:Dexi9A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADARTIILIDVPRRADDAVPMQEILAIDEKMATTTRKLEADFSNVEARIHRFPRGLRGIGGDGGRYVAPSVVAIGPYHHGAPHLHKMEEVKLAAAYYLCRSSGRSTVEVYERVRSAAPAARACYDADDPSVSGLSDADFAAMMFLDGCFLLQYMVDDVTAPVLRNRMTLSTGPSIQKDIFLLENQIPWLVLDALAEFMSVDVLGFVTGMGDEFLPGNDHGSRRITIDKNKYKPPHLLGLLRYTKVGCMPPSEKNYRGISSSLSSSAVELAEIGVAVTPSSKAWFGDMAIHRRHRLFAELSLSPLFLSEVTACWLVNMAAQEASTSGARSSAMEEEEEASDDFVVSSYLSVLAMLMDRKEDVHELRRRRVLHGALSNKEALGFFKGLGQHLRFGSRYYATLEGIDSYKRHKSVRITAYKFVYNNYKFIAAFLSVTGVLIGIFKTLVSLKR >Dexi4A01G0007780.1:cds pep primary_assembly:Fonio_CM05836:4A:5837281:5839650:-1 gene:Dexi4A01G0007780 transcript:Dexi4A01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDDMTPGRGDASTSAAAGSNDAAWAAHFVFVPLREQGHLIPAVDTALLLASHGAVCTIVGPPSTASLVRPTVESAQHSGLLVRLVEFPLDYSTAGLPEGTDNADAIPLQHMWSYYRAMALLCSPIESYLREHSPYPTCVVSDFVHPWTTELASSLGVPRLSFFSMCCFGLLCQHNLERFNAWDGVDDPNQPVVVPGLGEKRFVVTRAQAPGFFRGIPIRCWEEFADYVERACAEADGVIMNTFEEMEPEFVAGYAAARKMKVWTVGPVSTYHQTRTTLASTLASRGLRKSAIDPDECRKWLDSKEQGSVVYVSFGSISQAESKQVVELGLGLEASGHPFIWVVKNAHEYEEPVREFLAELEARVAGRGLVIRGWAPQLLILSHPAVGGFVTHCGWNSTLEAVTAGLPVVTWPHFTDQFLNEKLAVEVLGIGVSVGVTEPLTYQAVKKEIVVGRGVVEEAVRRVMGGGEEAEARRRRARALADEARAAAREGGSSHANLLDLVKRFRPGAAST >Dexi9B01G0030460.1:cds pep primary_assembly:Fonio_CM05836:9B:32960456:32962318:-1 gene:Dexi9B01G0030460 transcript:Dexi9B01G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAVALVALLALAAAAPARASNDEGDALYALRQRLKDPNGVLQSWDPTLVNPCTWFHVTCNQASRVERLDLGNSNISGSLGPELGRLVNLKYLELYRNNFDGEIPKELGKLKNLISLDLYANKLTGSIPKSLSKLSSLRFIFENNSRLNGPELQGLVAYDSGC >Dexi2A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:2A:6243768:6248316:-1 gene:Dexi2A01G0006680 transcript:Dexi2A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATRAANGSVANHGNPRPTRSSYVPPHLRGRPAGFDAQAGSVAPAQGGPLPSAAAQPSAQPAAEGGPRWAGIVNGGGSGSVGAPRQGYGGGGCGGGGGGGAWSSRPGGWGRRDREPDPFAKAEAEEVDFEGQNTGINFDAYEDIPVETSGHDVPAPVNTFAEIDLGDALNENIRRCKYVRPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRPRSSRTACPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPITNQLRELERGVEILVATPGRLMDLLERARVSLQNIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMAADFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALEDWLFRNGFPATSIHGDRSQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNTSLARQLSEVMQEANQEVPQWLERYAARSTYGGGGGGRNRRSGGARFGGRDFRRDRGSGGYGGGGGAYGGGGGGYGGSSGYGGGYGGGSSGGGGGGYGGGQSMSAWD >Dexi3A01G0027140.1:cds pep primary_assembly:Fonio_CM05836:3A:23889074:23892761:1 gene:Dexi3A01G0027140 transcript:Dexi3A01G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCWEGRPVRAPENHIAINGTVVVSCHFGLSVPGKTTTLRLFSSTQIDHNTGKGKLSAEAPLRGGKKTKHGHGKTSTMTYQVTLFVDTEFGTPGAIVIKNGLKNDQFFLRYVQLELAEDRSIHFECNSWVYPHKKTNSDRVFFINTSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYDYDYYNDLGNPDKDDHIRPVLGGTATHPYPRRCRTGRPLFKKDGLTETRKHMINLDFYIPPDERFNPTKLAEVLTLAVQAVTHFVLPESRALFHGNINSFRSFDQLRRDLYNKPQQPVVEGEVMDKLKSSVPSQKTYKQVSKMVKETPVRFPIPQVIEYDQEAWRTDEEFAREMLAGLNPVVIKRLDVFPPVSSGGKKSSINTSDIEGQLEGRTVEKAIEQNRLYILDHHDYLMPYLRRINTLGVCIYASRTLLFLKDDGTLKPVVIELSLPSEGAGDDEISRIFLPASQGMDGHLWQLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPINKLLEPHFKDNMQINTLARSILLSAGGILERTMYPGKYAMEMSSAIYSEWRFTEQSLPNELIKRGVASKDPNGGMILHIEDYPYAVDGLDVWRAIEGWVQSYCSHFYHSDAAVVADKELQAWWDDVRFVGHGDRQHDPACWLKLDTVAHLAETLSTLIWIASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMVQLEADPEKFFLEMVPDRFTTTLGLTLIEVLSNHTSDELYLGQRATSTWTDDGEVLQLLDRFQEELRLVEKRVAERNKDPRLKNRRGPVKVPYTLLFPDVSNVGGKEKGITGKGIPNSVSI >Dexi2A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:2A:10448100:10451546:1 gene:Dexi2A01G0009590 transcript:Dexi2A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGEKPIIDALREALLHFAVKSKKLASPLLEPFGRTSVPPTVDDDELTTLKSKLRRIHATLRDAENLSVTDHSVQLWLAELGDLEHRAEDVLEELEYESRRSAQLEELKQELFYAAATGKRRREVAQLFTAAPARRLRRKIDDIWARYEEIASDRKKLRLRPSDGAPRPVVSALIPSSVLPRGCSDLHGRERDIERVAALVRAPAENGRSYAVVPIVGMAGVGKTALVQQVCNMEDVRSHFDMTFWIWVSQEFDVVNVTRKIVEAITRSRPECDELSTLHELMVEHIAGKRCLIVLDDVWDENPIHWDSLTAPLSRCAAGSTVVVTTRSKKVAKMASPKVYHLKCMTDDDCWLLCRQRALPNSNSNIDQQLVEIGKQITKKCGGLPLAAEAAGSALSSSISWNHWKEVLENDLWACSEAKNLILPVLKVSYDHLSVPLKRCFAFCSLFPKGFTFDKDVLVQLWTAQGFVDAERDCGPEFIANGYFNDLVSRCFFNPSPAHGLSNLNDAQAAAANLWNKLGIQKLTLEWSELTNFKRSLCDLQENAVSSISHNQDPGFGAVKDHVLKCLKPHSNLEELSIKHYNGSFSPTWSGWLPLDRNMKAWEEWCGVKSEHFPNLKYVSIARCSKLKLLPKFTSEPKLRIRNCDLLQMPLCQKYMNMVKHIPAQSEISYTCITEGDILVLEASCSYGA >Dexi1A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:1A:3285492:3287675:-1 gene:Dexi1A01G0004450 transcript:Dexi1A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILRSASFPSSLRSEEINVEEQLHSLKATISSATIENVVDGIMRLGGLYDNIEEMMCSPSGQVSLCRPQQRKTVEQELEKSLILPDLCNAMQENFSEFKTIIQEMQLAIKRGDDSTLQAKIQSYMRLVKKAQKQFKHIRKKPTTVDQDSCSVVKQLAEARQIGIYMLESLPHLLSKQIVTKGSSKWSFVSKAFHKTTVTCEEEHLQEMELVISQT >Dexi9B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:9B:8037911:8038771:1 gene:Dexi9B01G0012080 transcript:Dexi9B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSPCAACKLLRRKCTQGCVFAPYFPPDNPVKFANVHRVFGASNVSKILNDLPQAQREDAVNSLAYEADARLRDPVYGCVSYISVLQLRIKQARDELAAARKELAGYIGPAAFAPFVAPPQYHHHQYAAAAGMGLGIGVAAPQHGHHQQIMAAQHQHQLHHHQQQMAEAQQLAAAVEVAREQDLMMRQAAAYAHAVPGGSSAAAGGATVAVVPPDAVPYEGGFLFHQQQPSQKAQTAMALTYQMEQSPPPSSSGQSHPEVSHQQNTEGSDEGSGGGGVVPPPA >Dexi5B01G0027180.1:cds pep primary_assembly:Fonio_CM05836:5B:28769161:28771269:1 gene:Dexi5B01G0027180 transcript:Dexi5B01G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDEDGAAAAPTRRAPRAARRKAAARSFGQRAVRLLARWWPVLLLLPAVALLLFEASRLSASPPAPAASVSSLGRLDPTTRLVHGVREPCLKLLSPKSLANLVFPEGTKRDSVVKKIIYKSDDDDYDTYHSEANSTYLLQHAEATRFNLFAGFQTLAEREDSFKVNETINVHCGFYSDSGGFKISDEDRRYMRTCKVVVSTCAFGGGDDLYQPIGMANSSIGRVCYTAFWDEVTLSTQEAEGKVIGDNGMIGRWHIIVVKSLPFVDQRLNGKIPKMLTHRLFPEASSI >Dexi2A01G0029900.1:cds pep primary_assembly:Fonio_CM05836:2A:40877619:40877984:-1 gene:Dexi2A01G0029900 transcript:Dexi2A01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGYGVGHKGFKVDGAPTVRKNVDFTRCFACSPMILHPRGDKYIAGHCNVPHIYGSI >Dexi9A01G0042600.1:cds pep primary_assembly:Fonio_CM05836:9A:46191333:46192238:1 gene:Dexi9A01G0042600 transcript:Dexi9A01G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVAALLLVTLAVHGANGWALPGCQSTCGVVDIPYPFAITCSGAGTPFLSGTGYKVLNLSLAASGARLELPIAWTCYDRSGKRLPESEAPVSFNPHGVYRISDAHNNLVVVGCDVTAYIQSRHDKSSSNAGYHYPYEYYTGCMSRPEVVKDGRCAGVGCCRVDIPPDLTDNSVGVDSDDAESLAVRRLIYDFSPCSYGFLVERNSYTFRATDLKMDKNQTMPVWLDWAIRPNGSLAFTCSDAMKNSSSYACKSQHSSCVDAVNGPGYTCTCSNGYEGNAYIVDGCSGRPAASRKHF >DexiUA01G0008330.1:cds pep primary_assembly:Fonio_CM05836:UA:15515543:15515893:-1 gene:DexiUA01G0008330 transcript:DexiUA01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMEGRQARAWRGKRGSRGDGVVLEPRRSSSSSSISAGDVECHAPSNRDGQELADGRDAFAASACLLLFFVRLAAYCWLLACCAAAPAAGCCALAFGCCAAALAAGCCCCAAAA >Dexi7A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:7A:28061212:28065978:1 gene:Dexi7A01G0018750 transcript:Dexi7A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRGRGRGRGGGGRHGAGGDGRGGGRYGGGGGGGGYGHDEGGGYGGGRGRGYQGPPRGGGGGGGGGYGGGGRGGRGPVAGQAYGPSGGRGGSAWAPAPGAGRGPSGGGADYAPVARAPAPAQATAAARGVAPKDKEAPSSSGSVERIDSSELARVKPLVGSSLVATPSAGTRVPMHRPDRGGSSSQAKVKLLVNHFMVNYREASTIFHYDIDIKLDQASPKASDKELSKADFLSVKDELFKDITFRSLSSCVAYDGVRNLFTSAKLPEGYFRVRVRSKTYLVSVDLKKQLPLSQLSQLPVPREVLQGLDVIVREASRWRKIIVGKGFYSPNSSLDLGQGAVALKGAQHTLKHTQQGLILCVDYSVMPFYKAGPVMDLVEKIVERLDYRTTLNKWQLENLEHELQGRRVTVIHRRTNQKYSVQGLTPLPASQMTFVDAETGQTKRLVDYYAEKHGKVIQYQMLPCLDLSKSKDKANHVPIELCTLLEGQRYPKANLDKNSDRILKSKALIPAFKRRNEILDLVKAIDGPCSGEIAQQFGISLDVQMTEVMGRILPPPNLKLGGGRNGQKFSIDQENCQWNLMRKKLVEGRDLQCWGVVDFSAHPSHPSRQEPLNGRMFVEKIVRKCCDLGIRMNTNPCFVNISEMAVLSDPQRLYEELNKAKQAAVSKKQRLQLLFCPMSEQHPGYKTLKLICDTQLGILTQCFLSNLANKQQGQDQYMTNLALKINGKLGGSNVQLFDSLPRVSGAPFMFIGADVNHPSPGNVESPSIAAVVASINSGVNKYASRIRAQPHRCEVIQHLGDMCLELIGVFEKQNRVKPQRIIYFRDGVSDGQFDMVLNEELADMEKAIKMNGYSPTITVIVAKKRHHTRLFPKDQNQPQMKNGNVPPGTVVDTGVVDPSAYDFYLCSHNGLIGTSRPTHYYSLMDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMASQPRRGGPSSGAFEVGNFPKLHKDLEDNMFFI >Dexi3A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:3A:15815397:15824661:-1 gene:Dexi3A01G0019890 transcript:Dexi3A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPSQSPEPAPASAARPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVDGSDFVISRVAFRDNASKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTIQYVEKIEEAYKQLEVLNDKRTASVQMLKLAEKERDSLEGAKNEAETYMLKELSLLKWQEKATKLASDDAISRVAQCQENVADLEKNLAAEREKIQENSQTVKEMESIYNKHVKRQEDLENNMKTCKDQFKEFERKDVKYREDLKHLKQKIKKQEDKAQKDMSKRDETTKEMEESSNLIPQLEGEIPKLQDLLNEEEKVLERIKESSREETERLRAELTQVRTELEPWENQIIEHKGRLDVASAEKELMKQKESQRQEDSLIPMEQAARQKVAEMKATRDSEKNQSTALKAILQAKESNEIQGIYGRLGDLGAIDAKYDVAISTAASSGLNYIVVETINSAQACIELLRRRNREETVTCLIVEKQTHLLNKSKEKVKTPEGVPRLFDLVKVKDEKLKLAFFHVLGNTVVANDLDQASRIAYNAPKEFRRVVTLGGELFEKSGTMSGGGKRVQRGMMGTAIRESTSEEAIKNAENDLNKLVDELNMLREKINDARKHYRSMEEAKSRLEMELAKAKKEVESMNAQYIYNENRLDALKAASQPKADEASELQQKIENAGGQVLKDQKTKVANIQSELDKTSSEINRHKVKIASGEKLLKRLTKSIEDSKNDTEKFLAEKEKMMSMFKEIEKKAFVVQEDYKKTQEMLDSHKDELDKTKEEYNKVKKALDELRASEVDAEYKLQDTKKLAKEWEMKVKAFSKRLDDIQTNLAKHMDQIQKDAINPEKLKVTLGDEELNDTCGMKRAMEMVALLEAQLKDLSPNLDSIAEYRTKARLYSERVDELNATTQERDDLKKLYDGLDEFMAGFNVISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAENMEVV >Dexi1A01G0012230.1:cds pep primary_assembly:Fonio_CM05836:1A:11744707:11745186:-1 gene:Dexi1A01G0012230 transcript:Dexi1A01G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSPLLAPTLANAVTATGSPMLELGKSLLNAACRRHCWLPRRVGEGEASTAAARFHGGRRGFDAPWGLAGETAATDEGEVDTSAARSRSGRRGGGAPWGLSREATTGGEAGSGAAGGGAGQADLGSHRCIGRRTGAGGERMPDGASLPLAAGGWVGE >Dexi1A01G0007830.1:cds pep primary_assembly:Fonio_CM05836:1A:6025971:6030668:1 gene:Dexi1A01G0007830 transcript:Dexi1A01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQVFYRALALSCVGGFSTAIGALFVVLNPAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVLFFAFIVKFIPEPDFSPQADPRISLHNFPEGMAVFLGSVKGLHVGLNLAFAIALHNIPEGVAVALPIYFATRSKWQAFYMAAGSGLAEPAGVIVVAYLFPSSLNPDILEGLLGSVGGVMAFLTLHEMLPLAFDYCGQKQAVKAVFVGMACMSASLYFLEISLPKEISL >Dexi3B01G0031950.1:cds pep primary_assembly:Fonio_CM05836:3B:33968938:33970802:1 gene:Dexi3B01G0031950 transcript:Dexi3B01G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVEDKGSAIALMLVSLFIVGTFPALLTLLERRGRLPQHTYLDYSIANLLAAVMIAIVFGQFGESKSGMPNFLTQLTQDNWPSVLIAMAGGVALGLGNLIAQYAWAFAGLSVTMVMCSCMTVVVGTTTNYFLDGRINRAEILFPGVACFLIAVFLAAAVHSSNAKDNEEKLRMSGNLHMLNFLPAINLATNDQCHVLRNGVPHLVVYTAFFYFSMSCFALGVCLNVLFLYHPMAGVPASSIGAYVRDWNGRYWALLSGLLCGFGNGFQFMGGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSMKTYILLVAMLSMFVAAVVLLIASAGHRKT >Dexi6A01G0019770.1:cds pep primary_assembly:Fonio_CM05836:6A:27418018:27424224:-1 gene:Dexi6A01G0019770 transcript:Dexi6A01G0019770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAKPSTSSGSGVTGGASAAAGTAAASMEERLADLCKNMLGLDESTTRQAMQLFKETKNILKSSMSSLGGGSPEEIERFWFACVLYCVSRLSKAGRAKEDGSVSLCQILRASKLNIVDFFKEMPQFCIKVAHVLTGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYKELFLSNDAKSSDSSSESNNQEASDYHRFGWLLFLVLRIQTFSRLKDLVTSTNGLVSVLAVLIIHVPARLRNFNIKDSSYFGKKSDRGVNLIASLCEKYHTSEDELSKALEKTNTLIMDILKKEPRSAASECQQDNLSFIDPEGLTIFKDLLQGDSVKSSLLTLEKEYENAINTKGELDERMFANDEDSLIGSGSLSGGAINLPGTKRKYDVMISPAKSITSPSPMSPPRFCLSPKGNSFCNSKMAPITPVSTAMTTAKWLRTTITPLPSKPSGELLRFFSSCDKDLTEDITHRAGIILGAIFASSSFGERICTNVRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDSNSFRSPVKDIIKSKLPPPLQSAFASPTRPDPAAGGETCAETGIGVFFSKIAKLAAIRLRSLCERLQLSQQVLDRVYSLVQQTLSQQTSIFFNRHIDQIILCSIYGVAKISQLDLSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRSRNGKTGEDHVDIITFYNEVFIPAVKPLLVEVGPGASPKKKEEEKGSADGPCPESPRLAPFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVNGRLNFDVVSDLVVASSLSSDQNAKPAAMEVVPVRTPMKCEPSDS >Dexi8B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:8B:1333858:1335830:1 gene:Dexi8B01G0001880 transcript:Dexi8B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEEAGVRRWLVDVAHWHPSPAQFDAVVALLPSHERPAIARFVSEDDRKRALVSRLLHYSLVHHVLRIPFRQINICRTPEGKPYLKNGSALPNFNFNTSHQGDYVGIASEPLYLVGLDIVSVSKPHGETASEFISNFSSYLTHHEWNCIVRAGTPSQVLTEFYRYWCLKEAFVKAIGAGVGFGLRRIEFHHAHWTDISIHIDGEVSNKWRFWLFNLDEMHLASIAKGHPEDAVSSYKKTLSNATVAEEQLHSALEGPEEAFSLWTVEQLTQLLE >Dexi5B01G0006140.1:cds pep primary_assembly:Fonio_CM05836:5B:4151589:4154672:-1 gene:Dexi5B01G0006140 transcript:Dexi5B01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAANNHEEESSPLLPAAVGAAVPADEKPPRDPAPEPAKHYADGVPVVMGEPVAAHAIPRESWNSGIFSCLGRNDEFCSSDLEGSFEAFTRQCGCCGGLVEDEERREHLEVACDLATHYLCHPCALCQEGRELRRRAPHPGFNNGRSIFVMMPPMEQTMGRGI >Dexi3A01G0031400.1:cds pep primary_assembly:Fonio_CM05836:3A:35863957:35864445:1 gene:Dexi3A01G0031400 transcript:Dexi3A01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVTYGLGHRQRATPSATAANRQTQSPQLETDSGGQRTAGRPSAGNEPERASERGEEGGLPGIPGASPPDDAIPAAGRGPARRGHGSSGCAAAAEGRKGGGWRREGSPDPRLLRVTGRETGPQRQSGCACVPKVPAAAGGDATPTVRKDPPWLDFCHVET >Dexi3B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:3B:6735335:6736753:-1 gene:Dexi3B01G0009720 transcript:Dexi3B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDAHHHHALPTSAAAADAADAASASPAASRLFTAGLVAAWYASNIGVLLLNKYLLSVYGFRFPVFLTACHMSACALLSALVHAAGPGSSSGAAPRRRSRAQLARVAVLGAVFCASVVSGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARREAWATYAALLPVVAGVVIATGGEPSFHLFGFIMCVGATAGRALKSVLQGILLSSEEEKMNSMDLLRYMAPVAIALLVPATLIMEREAFGVVLTLAREDPNFIWILLCNSSMAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFKNPVTVVGMLGYGITVLGVVLYGEAKKRSK >Dexi2A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:2A:32566771:32571344:-1 gene:Dexi2A01G0020460 transcript:Dexi2A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALLPSPSSRGLRRAALVPQGRGAAARCARGVRWQAGRRRMVGVRCDAAVAEKPTEEETAGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDPSVLADGGELEIRIKPDQDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAKKVVVSTKSPKADKQYVWEAEADSSSYVIKEETDPEKMLTRGTQITLYLRDDDKYEFADPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEESKEGEEAEGEKKKKKKTITEKYWDWELANETKPIWMKSPKEVEKTEYNEFYKKTFNEFLDPLAYTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKDDKEDYKKFWESLGKFMKLGCIEDTGNHKRLAPLLRFYSSKHETDLISLDQYVENMPETQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDDDEEKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLSAACKNEPESTEAKRAVELLYEAALVSSGYTPESPAELGGKIYEMMTMALGGRWGRLDMEKAEASVEADSSEGVATEVIEPSEVRTESDPWKE >Dexi6A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:6A:1531293:1533907:1 gene:Dexi6A01G0001620 transcript:Dexi6A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQTLEPFSVKSGLGAKDTPAAARTAKAPPVPLTVPHNSSSVSGQNHQSPQGASSRVAGQDGVAPGHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVIDRISLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVVPHGNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSSSSKGFSGGSSGAKDGNHTVGRPFKRAKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQISPKESTSPSGVTDKLQEVLKKVKSTTRSGIYDDLYGDSIPAKVGSSWAYKSDDQSDKDKAVEEKTHSLNLSINSADDSDDLFGDS >Dexi3B01G0000320.1:cds pep primary_assembly:Fonio_CM05836:3B:259399:260940:-1 gene:Dexi3B01G0000320 transcript:Dexi3B01G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRTTGCAIQRRLLRPHDHQGLESPHGDHSPKSTTDLEPERRRLPQWAIYTIAISGSVLFLVVAATTTYLLLSRGKRDNTVMPWSTGLSGPLSKAFVAGVPSLGRAELQAACEDFINVIGSSSGCTLYKGTLSSGMEVAVVSASTHSAKDWSDRSEEQFKNKISVLSRVNHKNLMNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPTRLRIIMGVAYCLEHMSQLEPPVMPASLSSSSIYLTEDYAAKISDVELWKDDDKDAALRDEESLVYRMGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLHAMADPMLRSSVPDKQLAALCDVVRLCIHSDRKKRPAMAEVARLMRGVTALSPEQATPRNNPLWWAELEIASAADSG >Dexi8A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:8A:9925022:9925600:-1 gene:Dexi8A01G0008410 transcript:Dexi8A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMDLVTLMVSFLFLLPAANAENGVFHATMIRRTETGAINFTQAARQSRHRLSMLASRLDATTSSRVNPPDAAEDGRRPI >Dexi9A01G0033230.1:cds pep primary_assembly:Fonio_CM05836:9A:38142865:38143698:1 gene:Dexi9A01G0033230 transcript:Dexi9A01G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAEYRSQELLQAHLQLWHQSLGFFKSAALAIALDLHIADAIHRLGGAATLPHILSEAGISPCRLRDLRRVMRVLTVSGIFSVQRRQPMSSEDVVVYKLTAASHLLVRDDNKSSTSMSLLPNVHLMLTACRECPVSRGMHAWFRQQHHDEGLSPFALAYSGKSLWERADADAVVFPFDDAMASDTAFLMPIVLKECGNELFKGLTSLVDVAGGLGGAAAAIAAAFPDLKCAVLDRPQVIAKADPSATNLHFVAGDIFESIPQANAVFLKVTYIPR >Dexi9A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:9A:6536597:6538021:1 gene:Dexi9A01G0010690 transcript:Dexi9A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLVFVPFLARSHFTPLAAKAAAAAASSGDHGAVTTTASIVTTPHFAALAPPSVPVHVAELHCPGGHEDFSLLPEDASSSSSAPAAFFAAAEAALAPALASALRAHRGRPLAVVSDAVLYWAPRVARECGGVPHVTFHTIGAFAAAAMVAVHLHRPEVVLPDPFVIPGGFPRSVKLRRAHVNEEALAHLPLFRAAEAESSAVAFNTFSALEDDFAAYYQNNLAGRPKKVFLVGPTRSASTVSSGDVTITGGEERDPILQWLDSREAGSVVYVCFGSTCSLGESQLGELGAGLRASGRPFLWVIPSHGGGAMAREEERASSHGMVVAGRWAPQAEILAHRAVGGFVTHCGWNSAMEAVANGVPVATWPLRAEQFVNEAFLVDVLRVGVRVREEEDLEAVVPADAVARAVWRLMGGGGQGEEEVEVAARRARAWELAAAARAAVAEGGSSCGDWARLVEELEALHGSKSDDAPM >Dexi5A01G0023190.1:cds pep primary_assembly:Fonio_CM05836:5A:27256155:27260099:1 gene:Dexi5A01G0023190 transcript:Dexi5A01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSKGYPATGGDDWKSVPYDSDPDVVEVSADVAAGWSSSGRQKRKLTEVVPSEIIELDADDDPDGVMISGEKIQNHKNKQAVVDIDWPEQSKSGMFAHFAGSSAIPVTMVGQSDVQGVLHQGTSSEMPHQPSQTKIVNSEVDEKYETFKKFDTVNDHRDHFYSLPGKDKAHAVKKPSKAWVKRIQHEWKVLENDLPDAIYVRVYEDRMDLLRAVIVGPAGTLYHDGLFFIDVHFPSRYPSQPPHFEDFIVGHFRKYGHKILKGCKSYMAGAQVGCLVGDGVQDVDEGDKSCSANFKASLKVLFADLLKEFANIGVDCVEFQNPGVAKATAGTSLT >Dexi9B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:9B:8224070:8224454:-1 gene:Dexi9B01G0012300 transcript:Dexi9B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRSLLAAVRGRASSSSSSSTTAASRLRTAAPLPAAPRRRVPAFTFATARPLAAMAGSPVAVVARLTGHTAASVRACCELSQGTLFCRTCQDR >Dexi3B01G0000580.1:cds pep primary_assembly:Fonio_CM05836:3B:391229:391974:-1 gene:Dexi3B01G0000580 transcript:Dexi3B01G0000580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSEGGGDGDGDYDHIILPGGDRFDIPEGEDKQEWIQFFDQARRATREVIARRGDGRPPDGINRASILPDSTHRDGSIYSVTNGWHKQYRISDANESPQN >Dexi5B01G0024000.1:cds pep primary_assembly:Fonio_CM05836:5B:26086222:26089841:-1 gene:Dexi5B01G0024000 transcript:Dexi5B01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSSDATSFPSKPAASSSFLSGFVRAGAAPAPAQAKAEPPKRPPRPLERPSTSRHRSCSPPPSRPRARSRSRSPSRSSRRRSRSRSLSRERRRRSRSRERDRRASRRRSRSRSRSPSRRSGRSSHSEGRRDRHGDRRHDDGSEKSRGGRDGGKVDYSRLIEGYDRMSKHEDKVRDAHEEAIFGVPTSSFVNTEATEDELKANDEEKAEDIEAQPSSSLISDKGFLERKSSEVAAGF >Dexi6A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:6A:326591:329338:1 gene:Dexi6A01G0000560 transcript:Dexi6A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCEIDGYTIPSGTRVMVNAWAIGRLSSHWENPDEFMPERFVDANDVIDLKRKDFRYLPFGSGRRMCPGIHAAAATLEIMLANLMCCSDWELPAGMKKEDIDMTEIQVVRIVNGKVERMVDVPFTLDDGTGRLDFIKVGD >Dexi2B01G0034960.1:cds pep primary_assembly:Fonio_CM05836:2B:42119775:42120092:-1 gene:Dexi2B01G0034960 transcript:Dexi2B01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGDVAWRRCALSGGGSRGAGWRRAEEPRGVRGYYYSELRENQSGGRPSAGYESATAAVVAFAVATQALTSWVGLPKPN >Dexi2B01G0034960.2:cds pep primary_assembly:Fonio_CM05836:2B:42120098:42120870:-1 gene:Dexi2B01G0034960 transcript:Dexi2B01G0034960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALMLSVVRGGSSWSSLCILSSTSSKSSSSSTLAALLSWCSWMMVPMNASISSRCFLNRRGVELSIIASREDGKISGRVCVGNLSLSSSRRRNVSLSLNLLPMMARTDASATYADTIWLRFTGDGEASGAAAADTETRRRRTSSSRTALKDFTRRALRSSVLAPPVAVGREDDAAAVLAEQELARGAEGTCREGDVVGAHDLARRVGRGGDQCGDLAEAEKHERAEPRREVAHGAVRERAAEVVDVADDR >Dexi5B01G0005140.1:cds pep primary_assembly:Fonio_CM05836:5B:3437682:3440784:1 gene:Dexi5B01G0005140 transcript:Dexi5B01G0005140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAQSLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGATKMYATIDLEKARVGRTRMMDEPVNPRWYESFHIYCAHLAADVIFTVKIDNPIGASLIGRAYLPVQDLLDGDEIDKWLEICDEHRNPIGDSKIHVKLQYFDVAKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLANGVYQPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDTNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGSDVHCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYCWKPEGIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYNDITQALQAKGIVANPKDYLTFFCLGNREVKQEGEYQPEEHPEPDTDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGAYQPYHLSTRQPARGQIHGFRMALWYEHLGMLDDVFQRPDSVECVQKVNKIAEKYWDMYSSDDLEQDLPGHLLSYPIGVDSEGNVTELPGMEFFPDTRARVLGGKSDYLPPILTT >Dexi5A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:5A:7625453:7628125:-1 gene:Dexi5A01G0010000 transcript:Dexi5A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTLTPDADAVAAAAVETLAAGELVWAKPSKPRRHCWWPARMLAAGPATAREAAVCYLGGPAAAASGAPASAPAQVRRFADPDADAMARGSVARGFLAAVDEAHERAVVALRAQLTCGCVPPPPPPGEDGVVVVGVANMAPAEFLASLREAALGVCVAPVGLVDRARLKSWARAFGEGWGPDGARHYPRRPLEDLVDKIDLDVPAGEDRDADDWLAEDVLIPVKRPEETPMQKKRSVTSVIEELDVEEDEDKSNSGEPVTSGKRERKKSKYLSPPFTNVGVVVPPRKAVDSPKPSVQKAAEDDSKVLPLPNSIVVEDVLLLVRGYGKNLHHTGIFPEAAEGFLGLFRSSVFIEGHDHASYKAHQCPVAQNLGNASMDIAHGLVSDSHTVLEQGKSVPKRGRKKDGDGSGGSSIKRKKREKISPAGTLGFGMPITPAMPIRQVRAEDIRPLRKAGRNEQVQESDRSVLKKSLAVGNTQHEEAAKDNVEAKLEATKSGKVVQNVIAGVAGRSVQTEAVESEVNIRIDVNAQSVVADIPVGHVSKEATETEASAQTDKNVRGDVDGLERRLSMEAKAAESEADISIDKDVQSSVAGVPDISISKEATKLEANIHAVHNLQGAFADAPIGSGPSTMHGDMVQSLYDNKEPGSVEVNTVQQSYASLQALVPEMLKKEYVNGTDVITMNHTLKDDRPKDEAPAHKVKLPSVAASNNSSANLTPTKKKKTAEHFENPAAIIVEFTHGVIIPSREELLSAFGKYGYLIESQTEIIKSARSARVVFGKNTEAEAAYGNREQLGQFGAPFATLSLQYLPPIKLSVPSPSPSPSLASKPPLTDIRKNLEKMIAARHSALNKATASDGLHSDPDKLLGDMQGLLAKVDKMLSKPSANSAL >Dexi8B01G0004910.1:cds pep primary_assembly:Fonio_CM05836:8B:4577156:4578884:-1 gene:Dexi8B01G0004910 transcript:Dexi8B01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAHHTVAVVLLLIIVISAAAAPAAMAQEETEDELEFSYVPGAENGPEHWGEIKPAWSTCRTGMMQSPIDLSHDRVKLVRSLGYLNPPTAPPMPPSSTAATTSWSVNFSGDAGSLVINETAYYLKQLHWHTPSEHTIDGHRFAMELHLVHESAENKAAVIGMLYKFGAHDKFLRTLEPYIKQIADRRDKMEHVGVVDPRLVRGSDSVYYRYMGSLTTPPCKEGVIWTILKEVRTVSMYQRELLREAVDDGMENNARPLQKVNNRDISIFWPKPHRHYY >Dexi3A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:3A:15314153:15314948:1 gene:Dexi3A01G0019500 transcript:Dexi3A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAETPQPGDPAPSPSDDMLLAFLRRRLAGEALLLATAAHFHDTDIYAADPATLTLRFDPAPTKKSEDGGSWFFFTHVKPKSRNDSRKSRMVGGGAGTWHSERAPRAVFDDEGSCVGHSQYFSYKRKTGKNCSERTDWYMVEFTDGQEGDHDRVHGGEPMLVLCKIYRAHSSSRSSASSRYARKRKPTDKHVDQSSAPVKAKRRLFAPAPTKVAVSQEQVSSRVTMDGLQGEAAKSELES >Dexi4A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:4A:4675826:4677301:1 gene:Dexi4A01G0006520 transcript:Dexi4A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATDAAPTAAAGSGRDHVVVFPLMAKGHMLPLFHFATALVGHHGLRVTVVTTPGNLAFARRHLPSDSVSLAALPFPSHPELPPGIESKDALPSLALFPAFLRAAALLADPFAAYLSSLPSPPLAVVSDFFLAFTQRVAADAGVRRVTFHGMSTFALALCFSLARTPPPAEGVFRVPGFPEGVTITEDDVPDGLAQEADDDPVTRFMVDEIFEWEYKSWGILVNSFDELDGEYAAVLESLYVPGTRAWLVGPLFFLAAGAGESSEDEEDTEGCLPWLDEQAPGSVVYVTVGTEYHVTAAQLDELGHGLVDSGNAFLWNVPSTAADAASWSPPPAVGTCPKGKIVRGWVPQRRVLAHPAVGGYVSHCGWDSILESLAGAGKPMLAWPGIAEQDANAKQVAEIVGAGVRVGVKAGSGEVVGREHVAEKVRELMDAGGEAGRRMRARADHVKQAARAAVGDGGSSRLVLRRLVDELQRSYDGGDGQRSGEQRQ >Dexi5A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:5A:4337549:4338162:-1 gene:Dexi5A01G0005810 transcript:Dexi5A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNNVAQQQQQQQLACSAVDQQIALYRARQRIAIDHRPRDLLVASCMSWAPDPCWLLQSPQQEEMKNHMGQKPPRQRIYALRSATANPPAGVSLTVETTKNCARGGCRRRSWGLRYLC >Dexi9A01G0039820.1:cds pep primary_assembly:Fonio_CM05836:9A:43620190:43622268:-1 gene:Dexi9A01G0039820 transcript:Dexi9A01G0039820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLVGDAAKNQVAMNPINTRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSDGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVSPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDMDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIRDDKIASKLPEADKKKIDEAIEGAISWLDNNQLAEVEEFEEKMKELEGICNPIIAKMYQGAGGPDMAGGMAGDAPPAGGSGAGPKIEEVD >Dexi6B01G0012910.1:cds pep primary_assembly:Fonio_CM05836:6B:20640911:20641150:1 gene:Dexi6B01G0012910 transcript:Dexi6B01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCSNPPVGYTEEYRLEGAMGTRGGPADLLIHAATPCSLASAARYRLEPADLRGRGEENGRGVDVTHPWPAVIATDVS >Dexi1A01G0013450.1:cds pep primary_assembly:Fonio_CM05836:1A:18056517:18056829:1 gene:Dexi1A01G0013450 transcript:Dexi1A01G0013450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNGIFVMKFLELWDPYADMNSRFQAANVNDARIKYVREMVFTPHNRLNSAKDLLDNHIAMYGGRIE >Dexi9A01G0044930.1:cds pep primary_assembly:Fonio_CM05836:9A:48561017:48565259:1 gene:Dexi9A01G0044930 transcript:Dexi9A01G0044930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCSLSASCCSSSSPSFTAAPAESSSTGQRGSSSTTLRGGPAEAGSGGSPSALSPRRRTQARAAAKQQARMAPCAGADGGLRKISGEPFARTSIWLYLFFDSTSIVVDLAVLELDLVGDFSLSLSWRIVVVGSSSQASSDGAPALLRLRGRPDTKLLSCLVSCCRRASALLPARAAQGYGVKNVAVLVFYASAGTALVALYARSGKVSAARRVFSYMDGEDVVSWNAMIGGFASAGSGRDREAWNCFREMRVRGVRGNARTAVAVLGACDLDSGRQVHGNMLRIHGGGSKTILWNALMIMYSRVGCVSDAEQVFLEIERKDVVSWNVMIGVFAIWTKGS >Dexi3A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:3A:10824737:10824940:-1 gene:Dexi3A01G0014770 transcript:Dexi3A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHWSSTTARRCGFMGHHWSSTRSSAGLSLAMVRRHNGKPPALYLLSAAGRPRRASSSLPPPSSPE >Dexi3B01G0037970.1:cds pep primary_assembly:Fonio_CM05836:3B:40813331:40814994:1 gene:Dexi3B01G0037970 transcript:Dexi3B01G0037970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTVLLLSLVVALLVLVVFILAVAKTNKNNNGLPPGPSKLPLIGSIHHLATAGELPHHALTRLAGEHGPVMHLQTGQTDLVVVSSREAAMEVMKLHDATFAHRPELTGPKTLLYGCADVAFASGPHWRRLRKLCVVELLSASRVRSFAHVRREETRALLCNIAAAGKPPGTAAIVGIRAVLEELSSAIVSRTVLGKTFEHRGALLKEGVELTSVFCLSDHFPSLSFLDVPMRLRLRRVHRQVDRLLEDIIAERKKLRQEMLLFTAENMLDVLLDAMEQQTTDKLIKNPKEMAKVQEELRTKMKGDDDYAIAQQLSYLKLVVKETLRLHMPAPLLVPRVCKEQCSVGGYTIPAGSRVVINAWAMGRDPGYWEDAETFRPARFIDRDDLDYKGTNSFEFLPFGAGRRVCPGIEFGLATIELCLAQLLFHFDWKLPGGMAPEDLDMTETSVGVTIVRKEPLHLIPSVYARSSLV >Dexi5B01G0018620.1:cds pep primary_assembly:Fonio_CM05836:5B:20994856:20996242:-1 gene:Dexi5B01G0018620 transcript:Dexi5B01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VITKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLSRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEFAPPIIYEDKDTDDEESEVDAMETDGEEAEEQELGDVTDVSEHSDGSEIMSD >Dexi8B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:8B:20955856:20957757:-1 gene:Dexi8B01G0011770 transcript:Dexi8B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRSFFTSKMKATNPFESDSDDNKKPQPQPQRRVGASSAPPPSGQREERGGGGLFSSYSGAPRNAHYRNDFRDAGGLENQSVQELERYAAYKAEDTTLRVQGCVRIAEEMRDTASKTLVTVHQQGQQIHRTHQMAIDIDQDLTRSEKLLGDLGGLFSKKWKPKKNGTIRGPMLSRGYNVLEADDSFIRKGSHLEQRQKLGLADHPPRSNTREFHSEPTSALEKVEMEKAKQDDALSHVSDILTQLKGMAVDMGSEIERQTKAMGDAENDYDELNFRVKGANTRARRLLGR >Dexi4B01G0019430.1:cds pep primary_assembly:Fonio_CM05836:4B:21631711:21632001:-1 gene:Dexi4B01G0019430 transcript:Dexi4B01G0019430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFFSAFCRILVVAMLIVATLSSYGASARFVCRGKCEDFPDCDNWCRTAGGYPKGGQCVPPFDQYCCCIE >Dexi8B01G0016930.1:cds pep primary_assembly:Fonio_CM05836:8B:28089767:28091644:-1 gene:Dexi8B01G0016930 transcript:Dexi8B01G0016930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVELEEEQQQLGVMEATPPSPSVFLDLPPAPCIDGGRHSSPEDDDLVLPYISRMLMEEDIIDKFSDRYDDPDHTAILNAQQPFAEILSNAIQTCPCNLSQPLHTSAVGPYFDTQVPGIVFLNGTATGKVETNSLLTDENSVNEVSMAFFKGMEEANKFLPIRADSETIDSCSWKKRFGRVDEVGRSTKRMAAPLQTESEEEVDAREMLDRLMLNGYNPSLATIQEPPHVVKGTGKTPQPGRHTVDLHTMLIRCAEAVATDDRRGAADLLERIKCHSSPTGDSMQRLAYCFAKGLEARLAGTGSQIYRSLMAKRASMVCILKAYQFYMDSCCFLPVKHLFSNKTIYNAVAGRKKLHIVHYGIGHGLQWPDLLRWLAHREGGPPEVRLTGIDNPLPGFRPAQRIEETGQRLSECARQIGVPFNFHGIAKKLEAVHVDDLGIDPDEVLVINSMLHLQTLMDESVVVERPNPRDMVLSTIRKMRPSVFIHTVNNGSHSNAFFMPRFREALQRYAALFDMMDTIAPREDDKRLLVERDIFARCVTNIIACEGMDRVQRPQSYKKWQARSQRAGLKQLQLDPEILQMIKDKVKEYHMSFMINEDQRWLLLGWKGRVLYALSTWTANDNI >Dexi7A01G0015910.1:cds pep primary_assembly:Fonio_CM05836:7A:25803237:25808273:-1 gene:Dexi7A01G0015910 transcript:Dexi7A01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGDLLAGAGDTYPPYGVFASSPALSLAVADGGRRRDGSGERAGSVARRGGGGGGGNARKDASEADDDSRSAMSGHLDVVSVGGSEDDDEDGEAGNPRKRKKRYHRHTPHQIQHLEALFKESPHPDEKQRAELSRRLGLEPRQVKFWFQNRRTQMKNQLERHENALLKQENDKLRAANLSIRGAMAMRDPVCSGCGSPALLGDMSLEEHRLRLENARLRDELTRVTWVEHTEYHEASVHQLYQPLLRSGLALGAGRWLATLQRQCECLAILVSSVAMPEHDLAEVPLEGKRSMLKLAKRMMENFCSGVSASSAQWSKLDGLTGSMRKDVRVMVRKSVDEPGVPPGVVLSAATSVWVPVTPERLFNFLRNEGLRAEWDILSNGGPMQQMPTNTQLNSILILQETCTDKSGAMVVYAPVDFPAMQLVMGGGDSTYVALLPSGFAILPGGSSTGGVGHKTSGSLLTVAFQILVNSQPTAKLTVESVDTLYSLISCTIEKIKAALHCDV >Dexi5B01G0040050.1:cds pep primary_assembly:Fonio_CM05836:5B:38690394:38690950:1 gene:Dexi5B01G0040050 transcript:Dexi5B01G0040050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRHRCTLRHCQGKRFFLLTGSPALNPRSRACKSFSACAREIHLMARSWAPFCLLLFPWFIHLPSPPPPSKQSHQKSPFSALDGGANGAAIARCSKAHVSPTAESTTPLLFIRGPEHACSSKDGCRTSGSISIAGTSTIGHSRFNPPYYDMAASCLCAQQFFPNGP >Dexi3B01G0021050.1:cds pep primary_assembly:Fonio_CM05836:3B:15971197:15972231:-1 gene:Dexi3B01G0021050 transcript:Dexi3B01G0021050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDDGKMKGCQPKLFGTKDKKVAKRTDRASCSTAKCGSSNSKSPSSSPFRKLSAEVRSIRLGHFLSHSSNATKYEHLRIFVSTWNVGGKAPTSELKLDDFLPPDDRSDIYVLG >Dexi3B01G0022170.1:cds pep primary_assembly:Fonio_CM05836:3B:17041817:17044242:1 gene:Dexi3B01G0022170 transcript:Dexi3B01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVRWFDTVQRILSTSEPDPVETDAKAAKLRDKPSFKKIWQFGKSNPSGASTSASPPPDPNAHQPQPPPSSPRPDEQQAEETTAEAQHEETWCDVLPADVVSKAGADAAAEAAAVAGPTVTTPRAWVARSKEDIAATRIQAACRGYLRGMARLMSLVEGFTIKRQTEGALYCMQTMTRIQTQIYSRRLKTEEDKKALKGQIKVKQSLDKIKIGEGWDHSLQSKEQMEAVLMMKQEAASRRQKALSYAFSNQWRNRNPSSARAAPAPMFMDPGNPNWGWSYTERWMAATRPWENQTTSPAPDNGRAAAKGPGRMPRLSTISVQIPTTTTTPKGSSRSFRPPNWPSLPSPSTPPPRSPSLSGKTAASPRMMSSPLHASSGLQRTKSLQPPERRPRSSHELSVSSPRRAVPPASPRRGGSPLRSSSSGGVHRATTATSVQPERRPRSSQERAVSSSPRLGDANKEAASLRRTTSMRAELPRRLSLGSAATAAVMAGVGDEPVTPSYMQATKSVKAKARCASPSAAAASADTLEAASPESGGLAPLQIPSSPFSAKKRMSLAFVDKPGASSPTTPSKAAAERVTRRHSQPPSPRMEQIKRVL >Dexi3A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:3A:3396475:3397872:-1 gene:Dexi3A01G0005270 transcript:Dexi3A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQPSAAALAPQRPSTAATLPSPSISPRVFPFPRTRARRLEVAARAMPWPHVLTVAGSDSGAGAGIQADIKACAALGAYCSSVITAVTAQNTIGVQALHAVPEDFVGEQLRSVLSDMSVDVAALVVDPVMVSTSGMSYFLWLT >Dexi5B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:5B:6391794:6393700:1 gene:Dexi5B01G0009370 transcript:Dexi5B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIVAKSSSSRVLVPQPPHTPQSAPHYTFRVKPHCTLQPRSLDLNRRAKETMMAWSAGLLQASTAFAPVFAPLPSRLQPAPRLNLRGSPNRRRRGVALAASSAASPEVEKESPPSSSSQESQSAVVDSVKVLKEGAKTRKVPSSEILSALSNIKKAKLDTSTFFETLGGTESPGRTWMLVFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGIYLGPIGCLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGSNDGRETSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCQRVP >Dexi5B01G0006990.1:cds pep primary_assembly:Fonio_CM05836:5B:4679432:4679788:1 gene:Dexi5B01G0006990 transcript:Dexi5B01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACIWRGSLLLEVLARPPSVAASELSPHGQCALCSATVGREGAMEGVGVWKRVVGRRRRGRSTDDDEECMEGVRQAVAVGEGGADVDPAGRRRRGRWKWVVGRRRRCWLLGGRRGKL >Dexi5B01G0004570.1:cds pep primary_assembly:Fonio_CM05836:5B:3089468:3091654:-1 gene:Dexi5B01G0004570 transcript:Dexi5B01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAQPPRKNPAAQIPGRKRPRFRDGNERPIPTGHAAPTGTDQPPPAGENAPETRGDGSTPRNKIKIRARRATAGWGDGRDPAMAGSAPDPGAGGGHGWDLGGRGKGVERWGNFWRFEEGGGGARAAAGGEGRGGERAACTVALQGRCIRRPLGRWIRRWSCLPTGECFSDAETGRERFPDAAAETVRGAPLRQRPAGNQDRYGSASPSEDAAEIRKGHQREDRQGTASPSERFFPAVCHTGSLLLLHRG >Dexi2A01G0024700.1:cds pep primary_assembly:Fonio_CM05836:2A:36419790:36421000:-1 gene:Dexi2A01G0024700 transcript:Dexi2A01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNTDTMSLTDSSWLHHPAAMDDTAAPHRSPAGVGEGLGSFASRAVESLGSLATRAAESLARGLVTCVFATVGTVLGAITGGLIGLATETGVVRGTGVGGITGALVSMEVVESSLAIWRSDEPAIWSVVYVLDVIWSLLTGRLVREKVDPAVLNAVESQMSAVEAPVGHGDGADIFETGGTTGMPKAAIDALPVVRFAERGNVDASGELVACSVCLQEFEAGESARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >Dexi9B01G0033430.1:cds pep primary_assembly:Fonio_CM05836:9B:35578839:35583689:-1 gene:Dexi9B01G0033430 transcript:Dexi9B01G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALFTDPIILSCTFLCFLLHLALRSLSSTPTSRRRRRLPPGPPSLPLLGALPLIGPSPHSGLAALALKHGPIMYLKMGTAGVVVASTPAAARAFLKALDARYANRPAVASAADITYGCQNMVFANYGPRWKLMRKLASVHLLGARALAGWATVRREEAGYLLRGMAEAAKEGGHVVVPEVLVCALANIVGQITVSKRVFDAQGDESNSYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRIHHQFDGLITKLLAEHAATAAERAREGRQDFVDRLRESMDAGEDESGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMLKNPSVMARLQEELDSVVGRGRRLEESDLPNLPYLQAVCKEAMRLHPSTPLSLPHFSFDACDVDAADIAGGEGYHVPANTRLLINIWAVGRDPATWEAPLEFRPERFLPGGAAEKVDPMGNFFELIPFGAGRRICAGKLAGMVFVQYFLGTLVHAFDWRLPEGKEKLDMSETFGLALPKAVPLRAVVTPRLVPEAYA >Dexi5B01G0001400.1:cds pep primary_assembly:Fonio_CM05836:5B:918027:919013:1 gene:Dexi5B01G0001400 transcript:Dexi5B01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHVLIVDDARVDRLVASRVLGSCNIRVTVVEGPMEALRFLATEHDVQLILTDYSMPDMTGYDLLVEVKNSPRLNHIPVVITCTDYIHETVQMCLDGGAADFITKPIVVADVPRILSYIV >Dexi1B01G0007890.1:cds pep primary_assembly:Fonio_CM05836:1B:6526582:6531942:-1 gene:Dexi1B01G0007890 transcript:Dexi1B01G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHGINASRIQTVAGGNPPPLASSKSPNSTEVWQITRHFSTEVANHPPFLDLSSSSSSTLPAPKMARPAAAAGPPGRMEPDHHDAPMLLRVHVIEARGLPAIYLNGYSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRLPLTTIMETEDLSLGTKWYQLQPRSGGKFRRKRRDFWPEVAELQGTSGFHIEPWKHENNENCLKRTLTYTKAASKLVKSVKATEEQKYLKATGNSFAVLSSVSTPDVPCDIIYMFPVSMLGSDHGIKAHGDGWLLTIALIEGSGVVGAGTPGMPDPYVIFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGPSNEAPIGQTEVNFVKNNLSDLGDMWLPLDGRIIMAIWKMRSSGLEQKGETIDKESELKELPSEEGARLANDDVKMSEVYSAVLSVDVSALMEMFSGGLLEHKVMERAGCVDYSIQLKYQMTSTPLRPNTCSLKVLLGIAWLKGAKHQKKAAKNVMVNSTNRLREIFGEVEKEIASRKGTLSDTIGSSYE >Dexi2B01G0033860.1:cds pep primary_assembly:Fonio_CM05836:2B:41221163:41221389:-1 gene:Dexi2B01G0033860 transcript:Dexi2B01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGQGCGAEVRTRRSKATKGTSQAVEEGGGEEKAPAGEASPAEERSYQHGGRFSGGGGRPS >Dexi2B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:2B:26326274:26327101:-1 gene:Dexi2B01G0016170 transcript:Dexi2B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDARRKYPPPTASTGPKPPWPRRFFSENPRVAASSASYRNARCSLAAIASRSASSSLSRRDSVDERRVKGRARRSRSARGFMPPPPPSAASSASAPGRCCRAPRAGAHGEREVVRWVWR >Dexi9B01G0031660.1:cds pep primary_assembly:Fonio_CM05836:9B:33995664:33998198:-1 gene:Dexi9B01G0031660 transcript:Dexi9B01G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGARPSAPSAAAATAAGASVPDEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKAQIDADDVRLAIQAKVNFSFSQPPPREVLLELARNRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKPPTQVEETEDDNEEANPSLTPNSANPNPNYSQDLRGMDQQNTPQHGQRVSFQLNAVAAAAAKRPRMTMDQLNMG >Dexi7A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:7A:20209748:20210715:1 gene:Dexi7A01G0009100 transcript:Dexi7A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPLRITGAKKSHDRVPVTNASVSAVATGRGGRHIPPRLAAHHHRSATAHAPCSAKNAVTSPVPGSSNMTSCMIPDTRNAARTPAAALPERARTGRYTQGRSTPGTMRFHRQVAEGGGEVGAVELRLQLRAQQRAGERRRGREEELEHQVHVRAVEHRHGIRRHPRPVATDGDERGEERRLGRVGQEESGRERAAGRLVVVLVLVPDLDGQRGAGDEAHEREGGVERRVAGGEEEELEAAEPDEEEGGDGAEVDGGEGEGEDGEEEENREERREAGDSGAQTRGGESRVGQGPGRGGRDHGEACYLGRHGCLL >Dexi1A01G0029790.1:cds pep primary_assembly:Fonio_CM05836:1A:35223524:35225479:1 gene:Dexi1A01G0029790 transcript:Dexi1A01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLVAADEAPLPSPTPLRLPRVMSVASPASPTSPSTQPAPPRRVIVSHRLPLRAAPDPAVPFGFAFSIDAGTVAYQLRSGLPANAPVLHIGTLPAAAAEAASDELSNYLVANFSCLPVYLPTDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLTPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDVVRQVAETYKGRRLMIGVDDVDLFKGIGLKFLAMEQLLVDHPELRGRAVLVQIANPARSEGRDVQGVQDEAKAISARVNARFGTPGYTPIVLIDGPVTPQEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESNALGEDSPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAINAALRMPEAEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTENRLILLDYDGTVMPENSIDKTPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTR >Dexi4B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:4B:5868229:5871626:1 gene:Dexi4B01G0008110 transcript:Dexi4B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCGVPKLRHQPNDIHCMPKLQRGKLWRALEQLSPHASSTTSSSCSTAHHQKQHELVAELLLSHALAVQPLVAAVLLAAVGEVSSELAAQAPPPLAHDSRQLDSINRSSSSSRPQALNPMRPPRIPELRAGDLHGDFVALEASQQLTGHALRHGHRAPISGGTEQHHRLQSSPRANQQQHHQQPSPDANQQQHQQPVATLLLGNALAVQLLAAVVLLDCSCSSIPATIRRFRPPSIDPDHHPDGSGGGDDASSHGGRERLHGDGGKVPQRRAVAEAVELDPPAMGAPRGKRRSGQGKEQAMRFGSSLDGTHLWPHVSVHTNCNPGATTDVPHDCLMIHVSIHTSVILASVLGTIVADRAGLGRLG >Dexi5A01G0017530.1:cds pep primary_assembly:Fonio_CM05836:5A:20786883:20791389:1 gene:Dexi5A01G0017530 transcript:Dexi5A01G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAEEEWPGGVTGADAEVGALVWVRRRNGSWWPGRILGMDELPENTVIPPRSAGTPIKLLGRADGSIDWYNLEKSKRVKSFRCGEYDECIEKAKALARHQKRTQTEGRYVRREDAIIHALEIERSRFPNNGDDIEEDTDDDVCASQNVYSTKSKNINGLSKKSSRGARSLYDIEESSAQDMSQALTVYKRPQNLSSSSTRYASSKKKKRKGHKDFEDDTVQGFQRMRDLREIGTNSVTKQKSGAGIFSDVPLLESGPSFGYDLSSANGMKKGKQSHSSIKKKRSNIGQSYENSRKKDWHRLLSKLCEDSDVSETYKWDPSGQSSSQYPGGQMPNMFEPSRTKAIFSTDVNNCSYSSGTSSLETLLDPSHINHKGSVKALIVKDAEVPCTTKFLNEDCSDGDEYFDDVLEEGHFDTYGSYTPIKDQISKADNEIDDSGVIGTSSTRHHRSFKKNISSVTLVPKESHKKDINSQQQHSGEVRASGFGFWGYWGWLLTVGPQRELRRRQAGPAKEAGAGAARHGGGGAAGSWARLGRGRRQQQTWRRWEAAAGWAGTAVDAGGLGPRRSSASAVAGEELR >Dexi5A01G0025200.1:cds pep primary_assembly:Fonio_CM05836:5A:29018024:29022094:-1 gene:Dexi5A01G0025200 transcript:Dexi5A01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADTRSRGPWAPPGPHLTLRAHVPTGGALPVWKRRLLAAFRNDTMGKSPGKWIKSVLLGKKSIKSGSTKANESAANNNGHLTGEERAFSENSPVISEPVLVTPHKNGTVSAIGKAENVNFPSDRPGEQDLQNRSIAESKTSGPGQLGEDQAAVKAQAAFRGYLARRSFRALKGIIRLQALIRGHLVRRQAVSTLRTTWLIVKLQAIVRGRNARLSGADMRFNVKFGQHNPGGARSADTWKEKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWLERWTIGCIWKPVFQPKKVSDGKLLVRKASYAMETESAKLKRNIRKGSAAAVESFHTSTTGESEKLKRNPKKFSNFPADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRVDSSKASDSTPDVPQASNPLAEFPKMTSLLNGNSDHCEKELQNTHEASCPLETQEYSGNGYVLEYSNMDNFDLIPGLKSDLETQLDSVSIGDNVGEDTAAELMPLQNIDNEDNVLRKKEEARSKEEHLYNGSLRSSKRKSPSPNKSEYVENGARTTSVQPRKPSYMAATESAKAKLRAQNSPRLDSDSSAEKNGFTRRHSLPSSTNGRTIKAEWKR >Dexi2A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:2A:1862048:1864062:-1 gene:Dexi2A01G0002350 transcript:Dexi2A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPFLVAFFLLCLGLINLPGFCVAGNESYTFSGFATGAGNNLTLDGTAIVTGEGLLELTNKNANVQGHAFLPTPVQFKDSPNGTVQSFSLTFVFAIISTYSDASSDGMAFVIAPGIDFSNVSPSQYLGLVKLNSNDSAPTMSSSNNYVAVELDTIKNEEFNDIDNNHVGIDINTLSSVQSSTASFYDDKEGGMFKSLSLRSGQAMQVWVDYDGKAKQINVTLAPMGWDKPSKPLLSNISDLSAVLTDKAYVGFSAATGPIVLVIVRRHLAYAELREDWEVEFGPHRFSYKELFNATEGFKSKHLLGVGGFGKVYKGVLPRSKSEVAVKRVSHDSSQGIKEFVSEVVSIGHLRHRNLVHLLGYCRRKGELLLVYDYMPNGSLDKYLYGEENKPLLEWSQRFQIIKDVASGLFYLHEKWEQVVIHRDIKASNVLLDGGMIAHLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELSRTGKASPLTDVFAFGTFLLEVTCGRRPVSNSVQHGRAMLVDRVLEYWHRGALEETVDHRLRGNYNIEEARMVLTLGLMCSHPFPGERPTMRQVMQYLDGDVPLPELTPASMSLLSLMQNQLSSLDQCILQYPWSANSIVR >Dexi6B01G0010680.1:cds pep primary_assembly:Fonio_CM05836:6B:17101941:17106263:1 gene:Dexi6B01G0010680 transcript:Dexi6B01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQDRTDSCGSRPKPAASSSLVLQCRQTYSGTASLDGRRRAWASVQDVEEWFTSTEEVEAKVRRLVMHGMDSGRVQLATRREEARVALADRGLVTWGTVSIWLTLRRLLANALQDIDNQHFVLLSDRHVLFCVPLHNFDYVYDYLMGTNLSFIDCFYDPGPHGNFRYSKNMLPEVTEADFRKGLQVSKPVDSISMVSMHCTHTQVDVCLSFIAQEIALQLGVERNIIFIGEELEMMLSFLMTGDEEQDKNKVLLTWVKQVRAHGFWASL >Dexi9B01G0021480.1:cds pep primary_assembly:Fonio_CM05836:9B:16193617:16194841:-1 gene:Dexi9B01G0021480 transcript:Dexi9B01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASALLRFDAAAFLDVDRGKSLAFVGDSLLCLLSKVAYPKDIFKKSHPDPAGSDEPLWHPYLDEPDDAGFSYVVLSASNWFTRPSIFHDAADGPVVGCHYCLLPGVVDLTLRYSQRMAFRTALRALLTAGDGEFYGRTVVVRTVSPTSHFEGGEWDKGGDCRRTRPQPWRGWTSTSTHTAQFAAAKAEAEAARVRLTLMDTTAAMLLRPDGHPSRHGHWAREDVTLYNDRVHWCLPGPIDVWNEMVFQMLLPD >Dexi5B01G0025900.1:cds pep primary_assembly:Fonio_CM05836:5B:27815829:27820457:1 gene:Dexi5B01G0025900 transcript:Dexi5B01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRGAVGGGRRWGDADAEAEAEGDAAAEEGRRPEEAGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSCSGSDASEDADPDASPPRRRDGAARGEERTGKTFPLKSVLAMELSWYTSPEEAEDGGESGSTFASDVYRLGVLLFELFCTFETMEEKLRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLNRSRNSLEEREEALRLREEIEEQELLLDFLQQLQKRKQDLADNLQDTVAFLSSDISEVLHQQSALGQCVNFSSDLEKEVCSGTVEDQSDCGSRKRFRPELQGVDMEEQNRSVEECSRTVWDVTRNQVFVEMREHERRVWSVDFSIVDPTKLNFVGLSISDGYIATGSETNEVFVYHKEFPMPVLAYKFSVADPISGEEIDDPTQFISCVCWRGQSSTLLSANSSGNIKILEMD >Dexi3A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:3A:4319037:4321993:-1 gene:Dexi3A01G0006520 transcript:Dexi3A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVRSGALGRWRPPPLLLPRLLSSSSSSAGASPARPQAAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGSTCADYMVVATGRSDWHVRNIAQALLYKACTTLNPXXXXXXXXXXXPPPPPPGEAATSTTTTSVIVGDSCSIKQKQKGSDRKLMPSVEGQQAGKWVVIDSGSIIIHALEERAREYYDLESIWSKEMSSNTSVQNPPQELETSLVKTRRRNLSQKPMKSI >Dexi5A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:5A:10461443:10466993:-1 gene:Dexi5A01G0013780 transcript:Dexi5A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRPAEANAAPSDAGTAAAASAQNQSRGNGGKGGSPTATATRVPFHKLFAFADSTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGAMSIHQVVSRVSNVSLEFIYLAIFSAGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDAYSTTGRKLEDIRGDIEFRDVYFSYPTRPDEEIFKGFSLTIPSGMTIALVGQSGSGKSTVISLIERFYDPQRGDVMIDGINLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHNELLRDPEGAYSQLIRLQEANRQETRKGDSNARAGKQMSDGSSNKLCDEIPQEVPLSRLASLNKPEIPMMYEEASQVANDAVGSIRTVASFSAEEKVMDLYKKKSSFYAGARLVEDGKTTFPKVFRTVALVGESGSGKSTAISLLQRFYDPDTGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIIAAAELANAHKFISSALQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAFVRNGVIIEKGKHDALINIKDGAYASLVALHSAASS >Dexi9B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:9B:288992:294397:-1 gene:Dexi9B01G0000430 transcript:Dexi9B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAGGVGVSTAGATTVPARFVWPYGGKRVFVSGSFTRWSEHLQMSPVEGCPTVFQAICSLSPGIYQYKFFVDGEWRHDERQPTISGEYGIVNTLYLTREYNQINSVLSPSTPGTRMDVDNENFQRTVTLSDGNIQEGSARVSEAAIQISRCRVSEYLSLHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILKELETHGSNLTEEQLETHTISAWKEAKRQTYVRNDGHWRPHQPLVHATPYESLRDIALKILQNGISTVPVIYSSSTDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCTIPLGSWVPKIGVPNSHPLAMLRPHSSLSSALNMLVQAGVSSIAIVDENDSLLDTYSRSDITALAKDKVYTHVRLEEMTIHQALQLGQDANTPFGYFNGQRCQMCLRSDPLLKVMERLSNPGVRRVFIVEAGSKRVEGIISLSDIFKFLLGL >Dexi1B01G0029270.1:cds pep primary_assembly:Fonio_CM05836:1B:33635456:33639425:1 gene:Dexi1B01G0029270 transcript:Dexi1B01G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISTAASLGSPARRVASLLRLRLRAAARSYSAAAAPRATATAAPSWRSRRRFAASAASTEEDCSGADTMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHARLHLDEEKPKGIVNEAVQQIAYADRIIVNKIDLVNEPEVSSLVDRIRGINRMANLKQAEYGKVDLDYVLGIGGFDLERLFVENGLRVLSLKSLMKTTQNMSMDMTTIIMSMSMTMTTITIMIMIMDMADMWLGNLLLEHSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWETNEPRINKIVFIGRNLNREELEKGFKDCLLKT >Dexi5A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:5A:8627077:8627409:-1 gene:Dexi5A01G0011510 transcript:Dexi5A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVWVFNNGVVRLVENGDAASGGEAVRRRKALVHTPSGQVVRSYAQLESELRALGWERYYEDPTLYQFHKRGSLDLISLPADFARFSAVHMYDIVIKNRDAFRVLNI >Dexi5B01G0003230.1:cds pep primary_assembly:Fonio_CM05836:5B:2142987:2144589:-1 gene:Dexi5B01G0003230 transcript:Dexi5B01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAAIQQHQQQMAADENMSNLTSASGDQTSVSSHPLPPPAKKKRSLPGNPDPDAEVIALSPRTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEAVRKKVYVCPEARCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVNAAAAAAAAAGQHHTPEMLFSGGGGGGEGVHMPAVMDSTLGGHGMSLQLQELCGLKREQQQFGASTWLTPHQQQQMEMQAGAGNASVFGPARTMDGQEYNMGSSATPESAAAAGLGFGFPSPSATGGGGTASAHMSATALLQKAAQMGATLSRPSNQGQMASIHSTTTSMATAANTAGNVPSTGGAGVLGFGASHHFGGDERTSRTDRDAGNGGGNGRGVAGAGGGNEGLTRDFLGLRQFSHGDILSMAGFDPCMSSASSAAFEQGHHQSSKQQWHV >Dexi3A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:3A:14955829:14962399:-1 gene:Dexi3A01G0019140 transcript:Dexi3A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGASGPELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILYERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPGEDYYGCDLSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSPGSIISEICGLIVVLSEIPSLLLLARAAPPSVLDNPLFSPTIRARPTLVGGVDAIDEGTAPWPAVLDERLAAAVGTAAWALREADDNVREGPAAGASGFLTPFAAMGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >Dexi4A01G0024700.1:cds pep primary_assembly:Fonio_CM05836:4A:27397163:27398839:1 gene:Dexi4A01G0024700 transcript:Dexi4A01G0024700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTGRRSGEGARSSRQGGLGWAACCLGFLLKLLAFLQAFAAVSALLYAAWILSRWARHHQLRLQDLLPGLWFPSLLMAAGLVYCLLLLAGYLAAEINNGCCLCFVRPLFFFVRYTIPAMAMMLLEAALAGHVTINDHWMQDLPDDRTGELHNLLSFIHDNLDLCKWAALAIFATQALSLLLAMILRALLSARTMDYDSDEDFVVIRRPLLVAQAPPPPYLPTTADTRGFRPDLWSSRMRHKATENYGSASVN >Dexi4A01G0004160.1:cds pep primary_assembly:Fonio_CM05836:4A:2978442:2980614:1 gene:Dexi4A01G0004160 transcript:Dexi4A01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSAGDDDNMASTASVWSSATKMAPPTLRKPSTTFAPPPSLLRNQHLRPPKPSPASAAPAAAPSIVAAEPAPVTSFQPAFVAVQSTVLEEYDPARPNDYEDYRKDKLRRAKEAELAKELERRRREEQEREREREQREKEAREREERDYQSRASSLNISGEEAWKRRAAMSGGGAAAAQRTPSSPPHGDGFAIGSSSSAGLGLGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDESSSKAPEKKPKTVNFDGPPTRVLLLRNMARNVQVGPGEVDDELEDEVASECARYGTVTRVLIFEITQNDFPAEEAVRIFIQFERAEEATKAMIDLQGRFFGGRVVQASFFDEERFGRNELAPMPGEVPGFFD >Dexi3A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:3A:15036796:15039683:-1 gene:Dexi3A01G0019200 transcript:Dexi3A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASSSTPRPLRLAPHRTTLGVASHSHRIDAPPPLRSRSGRPRLAVSASAGQAEAAETSGSGRFYFNFTGFPFPLGPFLNRRTIRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLLKELDAPVEHIVLPTFAYEHKIFVGPFSRKFPKAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDATPWATEIEQKVLSSPEVGIGPYVEVAFYHKPSKTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVRDWVDRIAADWRFRRIIPCHFAAPINASRSDFLAAFAFLDEFLPDRPAAAPGLSLLFASFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >Dexi6B01G0006500.1:cds pep primary_assembly:Fonio_CM05836:6B:7094435:7097623:-1 gene:Dexi6B01G0006500 transcript:Dexi6B01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGPMREASKRDGDEIYLSRYSTCSYTDVNAAAETADSEEENTKDLVETCRQLSRYMMYLLVNHPSLLPLRVSAVDTLRRCQSTNLKDDVLDQLGGFQALPSSKEILKELRDLWTRLIIYAAAKSRPEVHTTHLAKRGEPLTYVWLLLAHLKLGDLGSPRLEFINHGPTDPIRYAIHVQNNNSD >Dexi1A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:1A:4760371:4763929:-1 gene:Dexi1A01G0006370 transcript:Dexi1A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRATRKATVRLQLKEADSTNPAKVADMASQPWLFIDMMSSELNPRTGEERYTNPQEAKYSHMDRTFFIYEATFTVPSSFGPIGAIMVENRYNAEVYVSDVEVVQPKQPGEPSFVFHCDSWITFNPIDNGRVFFPLKSYVPSQTPTAMQTLRQAELDAIRGNGHGERVAWERVYDYDVYNDLGDPDTDTSAKRPVLGGEERPYLRRCRTGRPRCKTDHLSETRVVAAGGDIYVPRDEAFTERKAGAFMTKKFFSGLSAFTTRQKVSDDKRRSFPSLAAIDALYEDGYRNQPSQEAGNELDYMDMLKKQVQRYVMGEKEEVKEELSRFLKFQTPEIHDNYEFKYAYHLALAKNKLFMLDYHDKFLPYVNKVRELDDTTLYASRTVLFLTEDGTLRPIAIELTRPKSKNLPQWRQVFTPGSSMTDAWLWQLAKTHVLAHDTGYHQLVSHWLRTHCCVEPYIIAAHRQLSEMHPIYRLLHPHFRFTMEINAQARGMLISAGGFIESSFSPGKLSMELSSRIYDRYWRFDMEALPADLVRRGMAFGRNDGTLQLTVEDYPYANDGMLVWDSIKEWVSDYVWFYYTCASEIARDVELQAFWTEVRTKGHEDKQHETWWPTLDCHESLVHTLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTECKEAGGGCEEEMRLFEQDPVKVLLDTFPSQYQSTLVLPVLNLLSSHSPGEEYMGTHAEPAWMAEPEVRAAFLRFNARMMEIAETIDRRNKDKELKNRHGPGVVPYVLLKPNYGDPKDMTSVMEMGIPNSISI >Dexi3B01G0027980.1:cds pep primary_assembly:Fonio_CM05836:3B:23822634:23823115:-1 gene:Dexi3B01G0027980 transcript:Dexi3B01G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYGGVPGFEALTFEPCNRRASLRWPGAGRSHHRAPMNRPLFTSPAGLASHIPETGAQNHMFKIKMDSSSQTCAPKIPGSAPLHMVFNLLILRSACATSYTVQPCKCRGDQIKSLSVEMQCHWPADTKRAGRFTVNSTGGIGTDA >Dexi9B01G0042300.1:cds pep primary_assembly:Fonio_CM05836:9B:42523771:42527617:-1 gene:Dexi9B01G0042300 transcript:Dexi9B01G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRILLSPTVPNPSPAATAARSSVVSPPLHGLMLTAHYINREMAPLGARSVSTQIVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWQPFTALLGDAPSVDVKKNVIVAITSDKGLCGGINSTSVKVSRALHKLTSGPDKESKYVILGEKGKVQLVRDSRSSIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMVTILSPEVAEKESEAGGKIGDLDAYEIEGGETKSEILQNLAEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELTEIISGASALEG >Dexi6A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:6A:8177241:8178984:-1 gene:Dexi6A01G0008100 transcript:Dexi6A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVMGEQDDAAAPAAMDFVEWLGPDASAIVFACLRDRADLARALAVSRSWRTFVMVVHLSKIQCLQLFPEVKFFAQIVKQPTTSAGSGNNVPMEEDAGSTCAASTAWENHKLEQEVYKRLAHALLSNYPDASCIAACIGASTTDFFPDESIQNTLIAGDEVNDRPSYWSSRGHENPGFPEFLLYKLSSDLCLIDEIRVQPFRATFQHGNPIYSVECVRFKFGCPKSPLRPEDLVSDCVPRPSVGISTDPRMGGSSL >Dexi5B01G0030370.1:cds pep primary_assembly:Fonio_CM05836:5B:31285544:31287794:-1 gene:Dexi5B01G0030370 transcript:Dexi5B01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHNAAAAAAAAAAAPQLHHHNRAGVPALGKQKAVAAGRADAMNRRAPLGDIGNLVSARAAEGKPQEQVTRPVTRSFGAQLVKNAAAIKNAAIAPAPKLQRKPPSKLLPPEHVIEISSDSEQSMTQSESSVSSVRSRKKDINTLSSVLSARSKAACGITDKLRQVIEDIDKLDVNNELAVVDYVEDIYTFYKTAQHESRPCDYIDAQVEINSKMRAILADWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTSYMFLVRFLKAASPGIKTDKEMENMVFFFAELSLMQYGLVTHLPSMVAASAVYAARLTLKRAPLWTDTLKHHTGFRESELMECTKMLVNAHLTAPESKLRVVYKKYSSEQFGGVALHPAAEEICK >Dexi6B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:6B:1100721:1101888:-1 gene:Dexi6B01G0001350 transcript:Dexi6B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPMELCSAAARTGTPAPSGRSSAARPNRRRATVRCAATVPAPMGEKTEYRDGPLERAFMGLFARKMEKYATKRKQNPPESEKKEEKAVWDWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVIEVEVDGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPSSMSTPSAPVCPKLQ >Dexi3B01G0032870.1:cds pep primary_assembly:Fonio_CM05836:3B:35321857:35323893:-1 gene:Dexi3B01G0032870 transcript:Dexi3B01G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKGQKTMWMEELSSEPRACLYHNFLSKKEFSHLIALAKPHMTRSRVVDVNAVGGKESSSDVREGGEAVFPLAKAIMSSSSNGGAKNGISVKPKMGDALLFWSMKPDGSRDPKSQHGANPVVKGSKWSATKWLHVREYKTY >Dexi1A01G0010650.1:cds pep primary_assembly:Fonio_CM05836:1A:9169565:9175513:1 gene:Dexi1A01G0010650 transcript:Dexi1A01G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAPMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLGELGSKLTSLPPGDSIVVASSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHLLTTGISEIPEDATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDSITNHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPLTEETADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFEKLADLEAAPAAAARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEELLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVADDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFQVSPQPALSKEFVDESQPAQLVQLNPESEYAPGLEDTLILTMKGIAAGMQNTG >Dexi4B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:4B:20659812:20661557:-1 gene:Dexi4B01G0018320 transcript:Dexi4B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAGEGISLSFSSLPPQLLPLRAVLLSPRLRPAASCAVAVGPSSREGCLSSPRCPVVFNGQNWAEFVFHMEVHMGGQQLWDYLTGERPCPPTPTPPTPPTCATDAVKTPLLEAFQSELETYQSDLDAQATWLCEEACAKAILLASMEVDISLSLCGLSTSHLMWAQLRHNYEIRNEALYLAVVEEAQSLRQHDSTIEEFYRQIVAIAIRASGFSRLRPKFEVVRSQLLTCQPRPSLDEALADLRAEETRLQAGGTNGVPQSSSILSYKLKTRFDGSLECYKARLEVCMQPPPGYSVPDGMVYRLRRSLYSLKQAPRTWFKRFSSVITALLIPMELFPT >Dexi6B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:6B:5668598:5670201:-1 gene:Dexi6B01G0006090 transcript:Dexi6B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFPESPDRNWSELPLDALSAIFAKLGVIEILMGPGLVCRSWLAAAKAPELWRFVDMTHQDVVFSKGPDVLCSMAKVMIDRSDGLMESFWALKFVTCGLVDYMAGRIHTQWLDFDPSRDLIEMENQGYENEGRRILGESHASWEARQNENAFAIAETMHELRLLQMGGNSLTDKGVHAILKGCPRLKFFDISKCYNVSDGLRVRCTKIKHVWLPGQRPIALCPDRHVTEENEGNDNGFMLHDLWEAEVQPLRGEAAMDDYAYDDNQWKDYWSPLLMLPPSCTRKQPMIN >Dexi9B01G0025870.1:cds pep primary_assembly:Fonio_CM05836:9B:27391610:27392855:1 gene:Dexi9B01G0025870 transcript:Dexi9B01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDVDQRGWTSTVKVPTVPLSSGKPMPRVGLGTATATFATAEGHTGVKEAVLHAIGAGYRHFDTAAVNLCMEYVDLYLIHHPVSQRPPKDDGNMVLVKEDLVEMDMKGVWEEMEECQRRGLAKAIGVSNFACKKLENLLSFANIPPVVNQVEVNPYCRQKKLREFCRARGIQLCGYSPLGANGATAWANSSVMESPVLKQIAQDRCKSVAQVCIRWVYEQGDVVIVKSFNESRMRENLDIFDWELTDDDRRKISELPESRGLYNFLVHESGPYRTVEELWDGEITAGHCNQTTFVSSG >Dexi4B01G0014690.1:cds pep primary_assembly:Fonio_CM05836:4B:15844468:15845958:1 gene:Dexi4B01G0014690 transcript:Dexi4B01G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLGDIIKRLPTTRDLNSLSLVSKRLYTVEAELRDTIYVGCGVCPVTVALVSLCYRFRNLSKVEFNYSDWTTNHGTQLANQGLYVLSSCCPSLTDLTLSFCSHIDDSGLGFLARFKKLMSLRLKALPEITSAGLLSVAVGCKSLSALRLVDVGGKGPSALRLMNCKNVDSVEWLEYLGKFGSLEELVVENCQRVGQLDLLRFGSGWMKLQKFEFQNWCLPNRFKLDGPSYVADSQSRYDICCDFLKDLTLARITTEEEIGLCCLLRKCKALENLSLYYVHGVHDHDMITLAHNNRNLRSISLMLTPQHCEGYVYRTTLTDDSLNALARWCPMLQSVELTFFGCEPDWPEIGFTQEGLVMLIQSCPIRDLTLGGANIFDDEGMKALSCARFLESLRLLRCIAITDAGLHVLARSPSLISLTLELCNGLTDDGVAEFVRAQKLESLTIEKCSRISLKGVQGAAKTVHYTDDCPGFKKWVERCVYGSKYGSKW >Dexi2B01G0022200.1:cds pep primary_assembly:Fonio_CM05836:2B:31887169:31887723:-1 gene:Dexi2B01G0022200 transcript:Dexi2B01G0022200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGMKNFYRQKKKGGVTKASSTSKKKTQQYTGGASVGAPDTAQTSALVSHGSWDLKDDFGDQEEQLRQFDMDMKFGPCIGVTRLQRWERASAMGLQPPAHLRELLLHIPSTKNLSDGSPSIECLWEGKV >Dexi3A01G0026940.1:cds pep primary_assembly:Fonio_CM05836:3A:23509232:23512497:1 gene:Dexi3A01G0026940 transcript:Dexi3A01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHSTAAAAAAAVSAFPQSTRSSSSSTRRVPASSASPRLPPPPRSLRLDHAAPPLSAAAPDGLLAAAIEHLEREPASAAADEAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGEREHAVEFVRDVLRRGRLGVGGEHSSADGNGERGGPAGYLAWKMMMDGDYRDAVKLVIEFKESGLKPELYSYLIGLTALVKEQKEFSKALRKLNSSVKDGSIAELDAETMHSIEKYQSELLSDGVLLSNWAVEEGSSEVLGLVHERLLSLYTCAGCGLQAEHELWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKKSMSWLLRGYVKGGFILDASETLMQMLDMGLFPDYLDRAAVLTALQRNIQESGSLESYMKLCKRLSETDLIGPCIVYLYVRKLKLWMMHML >Dexi5A01G0035470.1:cds pep primary_assembly:Fonio_CM05836:5A:37278788:37283297:1 gene:Dexi5A01G0035470 transcript:Dexi5A01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQGFGEPVAVAAAEMNREVECSVCHAKVPVPPAVSKAYDSHRSTVSSRQRALNILLVSGDCVLAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLNVGDKPLLTVSTFMQAARNNVLLAVPAFLYAINNYLKFIMQLYFNPASVKMLGNLKWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVVTAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDEVPTSKIEMPEQDHRLNTAMDLMRGNRFFLYDFTAEVVLLFSGSP >Dexi3B01G0003870.1:cds pep primary_assembly:Fonio_CM05836:3B:2634112:2643977:-1 gene:Dexi3B01G0003870 transcript:Dexi3B01G0003870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTAGSTRPRKEKRFTYVLNDADAKKHCAGINCLAYLNGSAASTSDYLFSGSRDGTLKRWELNNGDASFSATFESHVDWVNDAIIVGDKLVSCSSDTTLKVWNCYSEGACTKTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDAALAPVAKSVDAKEDEVPNGNSGPALTTLCNVNSSSNIASSNGQSHGYNPITAKGHKDSVYALAMNDTGTLLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFGHVYSGGRDQSVYLTDLSTRDSILLCTNEYPILQLSLQDDTIWVATTDSSVYGWPAEGRTPQKVFEKGGSFLAGNLSFSRARASLEGSAPVSMRIVPVYKEPSLVIPGVPAIIQHEIMNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVSGAQEDLKVCPATLDQCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLDKPLDGGPWCHCWSSFDRRLEEWFEPSTIAGGRARPVLDLHLITQLASAGVFHSSLSDQSSTVEPSRIPIGSRLVSPRDTAHVLVMCRRPAPGPEVVSGKAPAATNQPRSSPRPAPPWRICLLLLEWIPPTGRVRISPRQPGWLDPRPRVAPPPCFSRQPYSRAASVYRSDQPHQHGGRRLAHPVHAYLCGLGPTAETPGNAVSQYRGPAAAVPGAHHEHGK >DexiUA01G0003180.1:cds pep primary_assembly:Fonio_CM05836:UA:6518215:6520395:-1 gene:DexiUA01G0003180 transcript:DexiUA01G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRRHALPRPRSDPSCGSWLADGVASASASASALDLEAANFRPKRLFSSEISEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRKGHGEYREITEGDFLGEVTSSEKVICHFYHHEFYRCKIMDKHLKALSSVYVGTKFIKLDAENAPFFVSKLAIKTLPCVILFRKGIAVDRLIGFQDLGSKDDFSTRALENILKMKGIIDEKKKDDEDEDDESESKNRRVRSSTAQDSDSD >Dexi9A01G0008440.1:cds pep primary_assembly:Fonio_CM05836:9A:4994814:5001403:-1 gene:Dexi9A01G0008440 transcript:Dexi9A01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASIRFLILLTEIICCYALFSCLNSALGRWQNEEEAPSQLKIVGVQASGGIIAGAVTSFVTTPIDTIKTRLQVLNDVMDNENKPKASQVVKRLIAEDGWKGLYRGLGPRFFSSSAWGTSMIVCYEYLSMFRLPLSNVYLCTWFLDHGISELTSISGGILLQLMQVNLHTQIVHIWSLVYIASFRSISISNPLPLLFPVDCLHAKALAHASIGLRSGEGGESKRRAMDEAAVQEAKLLRQVNALIVAHLRGQSLGQAAAAVAAATMTPLSAADSVPDNHLLRLVAKGLAAERAGGAASAFDSAGFGGVVPTLGSSAVDFSVQDARGSSKSFPKHEARHVSDHKNIARCAKFSHDGKYFATGSADTSIKFFEVAKIKQTMAGDSKDSSARPVIRTFYDHMQPINDLDFHPESPILVSASKDNTIKFFDFSKTNARKAFRVIQVRYSCTGSLYVTASKDGSLRIWDGVSAECVRPIIGAHGSAEATSAVFTKDERYLLSCGKDSSVKLWEVGTGRLVKQYTGSVRRQFRCQAVFNETEEFVLSVDEQNNEVVVWDALTAEKVARLPSGGTGAPRWLDHSPTEPAFVTCGSDRSIRFWKQTV >Dexi2A01G0036530.1:cds pep primary_assembly:Fonio_CM05836:2A:46076512:46078324:1 gene:Dexi2A01G0036530 transcript:Dexi2A01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPNPQPQAEEREVVVEQQQPHPEAKRAPKLRYLEFVHVAAAQAAVCLAGLYGLAKDHAGPLRSGVDSVESAVKGVVGPVYDRFHDVPLDLLAFVDRKVDDTVHEVDKHLPGALKAASTKAYAVARGIPEVARELAAEAQQSGVRGAARAAFAKVEPVAKDAYGRVEPVAKDLYARYEPAAEHLAVSTWRSLNSLPVFPHVAQIVVPTAAYWADKYNKVIVAAADRGYTGAKYLPAIPTERIAKVFSSPPPEAEPLAETQ >Dexi3A01G0013610.1:cds pep primary_assembly:Fonio_CM05836:3A:9908352:9910852:1 gene:Dexi3A01G0013610 transcript:Dexi3A01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMDLPTKGGFNFDLCKRNANLEMKGLKLPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESQYKEGLTREEGIQLVTAAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHELPNPRTYVSSKGYSFTKGQTEVLSTKITLLKQKVEVTEGGDAMEE >Dexi5A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:5A:2441326:2441874:1 gene:Dexi5A01G0003300 transcript:Dexi5A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAARRLRTGGGGGGRTAPHRGGAQPRSTREERFRRQPRSRCSSASQAAKRVLPPATALDQINPVDSTEDSDEPGLTSSFVTETIRNPRIPRAEKPQSRKSTTRRPPKTPPQISTHTRNSNGRTRSGAHLARIWAESRSRGRPSDARGELQPPPPPMKAPSDEAGSGEPAPEVVEPRRRG >Dexi8B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:8B:2140997:2145251:1 gene:Dexi8B01G0003000 transcript:Dexi8B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAALVAAGPNDDVAFAAGGGGSFASLRAYGRALAETPRRLARRACAATAAEEEMSRVRARSGHRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVLSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLVSVCICYSTKESSLLNLVLTGVHVAFILFIIAMGFKFGDARNLTHAADPSNHPGGFFPHGAAGVFDGAAAVYLSYIGYDAVSTMAEEVERPRRDIPAGVSGSVVVVTVLYCLMAASMSMLLPYDAIDPEAPFSGAFRGMVGKEWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGLFTAALALFTDLDVLLNLVSIGTLFVFYMVANAVVYRRYVGDSGDNHHQQQHKWTKAWPTLAFLAGLSLTAITFTLVWQLAPTGGAGKAVVLAACAAAAMATVAVFQALVPQARSPGAWGVPGMPWVPSASVFLNVFLLGSLDPPSYVRFGIFSASAVVVYVFYSVHASFDAEESGGGGDGAKVQDVV >Dexi5A01G0023290.1:cds pep primary_assembly:Fonio_CM05836:5A:27315594:27318835:-1 gene:Dexi5A01G0023290 transcript:Dexi5A01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAAELTSLCIRDGAGLPPLPGRGGNAAEREEEGDKSKKASKEKSGSQRIAGWGLREYSKIVSKKVETKGRTTYNEVADEICGELKLKLNGREFDEKNIRRRVYDAFNVLIALRVIAKDKKEIKWMGLSNFRYEKIKKLEEAHKELVIRIKNKKKLLQEIEKQFDDLQNIKFRNQVLQRPAESANGICLPFLLVKASRKARVEIEISEDSKFAGFDFNCTPFTLHDDVSILDEIRRNSIRRAG >Dexi6B01G0002710.1:cds pep primary_assembly:Fonio_CM05836:6B:2320242:2321970:1 gene:Dexi6B01G0002710 transcript:Dexi6B01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLLSILLCSWIFIVVYWRRMNSMRLRLPPGPSTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTRRLESFAAHRAQEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGIQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSAASFDDDDGKEEMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRIQEELDAVIGRDRMVAESDLTHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHIPARTRVFINTHALGRNPCVWDAVDEFRPERHMPAEDGGRVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFNWSPPDGLRPEDVDTREVYGMTMPKATPLIAVATPRLPPHLYCTPP >Dexi2A01G0006280.1:cds pep primary_assembly:Fonio_CM05836:2A:5911251:5913766:-1 gene:Dexi2A01G0006280 transcript:Dexi2A01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAWGGGGGSSFRRRAMEGLAAVLLLYALVVLALESPLVSAPLSGGGGGAGAARKLHLSSGAVTRPAPARPDKDPHPAAAEEVDGPSGRRGRGRDRFSRFATGLDLRLLDTARSGPLRGQIADAVAAGARVFSELQGLNATATLAAPSGKGGEGGDSAAPSARCPPSLALSAEELGARGRVVELPCGLALGSHITCEKWIRDDDERSEKSKTGSWLNRLIGQKKEANFDWPYPFVEGQLFVLTISAGLEGYHFKEFSIWGVLRFWVLVLPTINLCFLWLLQGFVLEDATGLFLNGDLDVHSVFAGSLPTTHPSFAPQNYLQFSTVWQAPPLPDEPVEIFIGILSSANHFAERMGVRKTWMSAVRKSPNMVARFFVALHGRKEVNVELKREAEFFGDIVFVPFLDNYDLVVMKTLAICEYGVNVVSAKYVMKCDDDTFVRLDSVVAEIKKEWPEEVYPAYANGPGYVLSSDIVDFIMSEFTKQGLKVQFYNDDSTPCHF >Dexi1B01G0019390.1:cds pep primary_assembly:Fonio_CM05836:1B:25589444:25595034:-1 gene:Dexi1B01G0019390 transcript:Dexi1B01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDGHLPEPGKQEVATTAAGAGLNDLVDPQFMCCVCLDLLYKPIVISCGHMSCFWCVHKAMHTYQSQCAVCRQPYKHFPSICQLMHHLLLKLEPADYKRREKEVLEEEKHMQTYSPQIIEFINSKNSGTSSAKGSSRAHHEDLSDVHVGIGCDSCGAYPIRGKRYKCRDCTEVIGFDLCGECYNSSLKLPGRFNQQHTPDHRMELEESTLYQRLQEEMMMIEAAAPPGALLQIVLGNQGIVANAEGPGEAAIEEAVDVPGDMLHIIIDDEEIEDNDEEDQEV >Dexi1A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:1A:24261697:24261954:-1 gene:Dexi1A01G0017030 transcript:Dexi1A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADERGAVPVRGERDGAVADPALRGEVEGREEAVANCLWLGATCCPTAPGKMPSVKDALQTIQRILVLGNGCFFFLVLLHDGL >Dexi5A01G0029370.1:cds pep primary_assembly:Fonio_CM05836:5A:32459073:32460015:-1 gene:Dexi5A01G0029370 transcript:Dexi5A01G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVKMELMKALACVALSVSLIHAVTAADYVVGDPTGGWDGKTDYKSWAAAQTFAPGDTLTFKYNSYHNVLEVTKEAFEACTTTNPITFDNSGTTTVLLTMPGTRYFICGGPGHCLNGMKMEVQVADRPAPTTPSSPPPLPTPPPPTHAKDQPAMAPSPASTRPSWSPAPEPAAAPPRHAGGHKMKHKKKKGSSYCSPGAPLVAPARPPVVQAVESLFPFADVTPMSSPSPPAASGGPAGARATWGEATAALVALVGGIMLAAM >Dexi5A01G0024960.1:cds pep primary_assembly:Fonio_CM05836:5A:28827327:28830423:1 gene:Dexi5A01G0024960 transcript:Dexi5A01G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGQVLELAAIDGKTVLTPEQKAALVTSLKNKLEGLVAQHTDVLENLTPKVRKRVDVLRDIQRYDIVTGVAEVDGITKGGDETLVDQKEKGVPDFWLNAMKNNEVLAEEIRKRDDEALKYLRNIKWCKTDDPQGFKIEFSFYTNPFFKNSVLTKTYHMIDGDEPILEKAVGTEIEWYPGKCLTQKVVEKKPKKGSKDTKPIIKTEDCESFFNFFNPPQVPDNDEEIDEATAEQLQEQMEQDYDIGSTIRDKIIPHAVSWFTGEAQDEDYDSTILEDDLNSSDDDEDDEEDEEGE >Dexi4B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:4B:1681354:1682547:1 gene:Dexi4B01G0002620 transcript:Dexi4B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNNSFSGELPNQWKQSTTLFDLDFSSNDLEGGIPSSIGSLTSLSSLRLSRNKLSGVLPDSLSSCNSLILIDLGENHFEGPIPSWIGDALQILMILRLRSNQFSGNIPAGLSQLLGLQVLDLASNKLSGHVPQSIGNFTFMASQKSRYRAPTMAFAHGSGTSYYYYSVYVTIKGEERLYSRILDLMKSIDLSDNDLTGEIPVEIGALVGLKNLNMSRNLLHGHIPDTLGSMGSLESLDLSWNQLSGAIPQSMTSLHLLSHLNMSYNNLSGKIPVGSQLQTLGDEDPYIYAGNSYLCSALSNYSCSAHNQCPIDYEEDMYDHDVLLYVFSGLGFGLGFAAVWWLLIFNKGIHKWYFLSIDSMFEKSCDWMMVLKVKVREIGITSVEPAKTTGQKRR >Dexi9B01G0033650.1:cds pep primary_assembly:Fonio_CM05836:9B:35778734:35781143:-1 gene:Dexi9B01G0033650 transcript:Dexi9B01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATADAGQHVVGSGSPEGQESPKTLVDWALQILSTADPDEKARLGDLAASLWLRGDIRLPYDPSRPARPPPDRPARSAEVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGAQMRMPREFFDDFARVAQDEGRHYVVLSARLRELGSHYGALPAHDGLWDSAMSTAHSLLARLAVEHCVHEVRSNASVALARGLDVLPTTISRFRAGGDEQTAKLLEDIIYPEEVTHCAAGVRWFRYLCLRPCSDNPISYSVPLPESHCPGLPEDGSADDKTVKEVRDEPTSVQQVEDELTPETSQDFNSHDNMTQQVKDGLAKCKLSDSVDKDEAAVIQTFHKIVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVHLE >Dexi7B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:7B:17710277:17711479:-1 gene:Dexi7B01G0010370 transcript:Dexi7B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPSLPPAMASGAAVSGMAALAGGFPAPPPTKADDTIERIKLGLSRAIMSEPVAGAGTEKQPPWAAAASDMPEGLTGMYAAYGQEEFRYDNGAVPEFVLGGGGGGEADQLGTSMWSHSHQSLYSGSSGTDAAARPAAPAPLPEKAGNDSVGSSGGGDEEADDVKDGGKGGGGSDMSALFGSDCVLWDLPDELTNHMV >Dexi9B01G0027480.1:cds pep primary_assembly:Fonio_CM05836:9B:29937341:29938681:1 gene:Dexi9B01G0027480 transcript:Dexi9B01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYHRHHRESPFLASRGGGGAPERESGRIVAATELQQAKKEAMAPQQPPPLFQDFSHGDYPSGRKRQREPDAAAPSSMSPQFFSLQPQPNAQGPKVISLAQLQKRPAMGLRLDFDEGSEHVSTTSSASAPCLLSDELAAQRDHHRNEMDRLIQEHAERLRRALGDARRRQYRSLLVAADAAAAQRIREKEAEASEAARRAADLEDRVARLRAEAAAWQAKALADQSTAAALHAQLQQQAAAAAQAARGKAEEEDNAAVDDAGSCFVDTDRVEEIAPPPATTPARPCRTCRRASASVVMLPCRHLCVCADCEPVVPAATAPFAAVTGACPMCRGAVTGTVQVFFS >Dexi5A01G0001730.1:cds pep primary_assembly:Fonio_CM05836:5A:1217709:1219204:1 gene:Dexi5A01G0001730 transcript:Dexi5A01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLLRVLAAVLLASAGTTATVSSLPVHRRYGSIFSFGDSYTDTGNDIVFFNERNLTDPAAGPPYGMTFFRHPTGRNSNGRLIIDFIADALGLPFVPPFQTYNGSFRQGANFAVAGATALDASFFSFVPSMVQPYIFNASTDVQLEWFESLKPSLCSSPGKCKDFFHKSLFFMGEFGINDYSFSVFGKNLTQIRSFVPDVVKIISSATERVIKEGAKTVVVPGIPPMGCSPPNLAFFPSADPEGYDPRTGCLKQFNDLAIYHNSLLQEAIKNVQNKHRNAKVIYADFFTPIIDIIRSPQKLGFGSDILSCCCGGGGKHNFNISAGCGMPGATVCQDPSAYLYWDGGHFTEAVYRYITKRWLNSIDNYHV >Dexi8A01G0002710.1:cds pep primary_assembly:Fonio_CM05836:8A:1766104:1766712:-1 gene:Dexi8A01G0002710 transcript:Dexi8A01G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPHCFVLLSLLLLLLISTVDSSEAPAPTTTGLNNNDPQKLCLGASDRESCAKVIESIPGIQAANTAGNISDLCLHFAANKTTEAKALADTVLAATKGKAPYCLEACAKNVSSMADVLVDLPAKQDDMNAYLTAKNFRAKFKHEDPPICEKDCRNKSSTADETLLANKFHDIWNVVKVANSQIELMFPWPDGEDDDSDLA >Dexi7B01G0007250.1:cds pep primary_assembly:Fonio_CM05836:7B:15164925:15166552:1 gene:Dexi7B01G0007250 transcript:Dexi7B01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDADAAAGVDAEIVVVGGGIAGLATALALCRAGVARHSGGGGVLVLERHAELRATGAAMTIFPNGWFALRALGVAHKLTSRYDSYEISKVTNLETGATQVLIGCDGVHSVVARWLGLSEPLSSGRSCVRGLSTFPDGHSLKRELRQFLSPGLRAGMVPVSDTHVYWFLINDPIAAAEEDAAGDPVKTLREVTGNLAGHMPSEFLDVVRRSDHKNLSWAPLLYRSPVAIVTGTAAARGGAVTVAGDAFHPMTPDMAQGGCSAMEDAVVLARALARARASPAEGVAAYVAERRWRAAWMVAGAYLSGWVQQGGGSGANARGVRRWLVKVFRDWVFYPFVFPRLADMMWFDCGDLETTCADDGKSHTE >Dexi5A01G0024840.1:cds pep primary_assembly:Fonio_CM05836:5A:28662716:28664337:-1 gene:Dexi5A01G0024840 transcript:Dexi5A01G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTRKDGAEAEEQEQYGEERCLAKKPRVDGDEELLEVLKRFRSNWTRSMSPYTGPVDAITVDFGPMRYTDSGPPRFGSIHYDAMEIFSIKVTHIEGGLEWPLHVYGLVAVRDSMDHRRNILFHRSKNNYQVLTAEDPFLVLTGPSRAIALIDHPEFEVELYAIGRVPSEDKV >Dexi5A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:5A:10039248:10044256:-1 gene:Dexi5A01G0013360 transcript:Dexi5A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCSLLVHFDKGSAAMANEIKADLEGGDGPAKADAMRRAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDRRDPAGRALPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLSEPEVLEPLVPSVLTNLEHRHHFIRRHAVSAVSAIYRLPHGDQLIPDAPELVERLLASEQDASARRNAFLMLCACSQERAVAYLLSNADRVSEWPDLLQMAVLDLIRKVCRSQNRANKGRYITIITSLLSAPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLILLDRLNELRTSHRDVMVGVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAADIEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAIATIKQCLGDLPFYTISDEGEAADSAKPAQAVVNSVTVSSRRPVVLADGTYATQSAATETISTPSVAPGSLSSTLNLRSLILSGDFFLAAVVSCTLTKLVLRLEEVQPSKVESNKACTGALLIMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVHDDLKAATGGFTKDADDANKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQQDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Dexi5B01G0036570.1:cds pep primary_assembly:Fonio_CM05836:5B:36238628:36255897:-1 gene:Dexi5B01G0036570 transcript:Dexi5B01G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQAPDYKHITEDCLREWKGQSAGAFRLPDPVPMARFLFELCWAIVLGDLPPQKSRVALDSVVFVEEARREEELGSVLADIIAHLGQDITISGEYRSRLVKMTKSFVESSITAPRLLQERCEEDFLWEVEQSKLKGQDLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNSSSVTISIIKSLIGHFDLDPNRVFDIVLECFEVYPDSNIFHQLIPLFPKSHAAQILGFKFQYYQRLDVNNPVPSGLFRTAALLVKSGFIDLNNVYSHLLPNDDEAFEHFDAFISRRIDEANRIGKINLAATGKDLMDDDKQEVTIDLYTALEMENDIVGERAPEMEKNQKLGLLLGFLSVHDWHHAQLLFERLAHLNPVEHIEICDGLFRMIEKTMCSAYDIVCQLYYYLPPKDADQTGTSAPPLSSLELPKEFFQMLTTCGPYLHRDTQLLQKVCRVLKAYYHSSKESTRAASVVSPEFRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKEIEQNPIVLAAKQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVQQVEAYRDMITPVVDAFKYLTQLEYDILQYIVIERLAQGGRAKLKDDGLNLSDWLQCLASFCGHLCKKHNAVELKGLLQYLVNQLKNGVGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRQQASLFGVTRNYKVLSKSTNRLRDSLLPKEEPKLAVPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSSAVTPITYAQLIPPLQDLVHKYHIEPEVAFLIYRPVMRLFKSTHGGDTCWPLDDNEEGESVSSEDLVLHLDSSQEPIMWSDLINTVRSILPAKAWNSLSPDLYATFWGLTLYDLHFPKDRYDTEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNSESQKHQQHIASVVQRLAREKDKWLSSSPDALKINMEFLQRCIFPRCVFSTQDAVYCATFVQTLHSLGTPFFNTVNHIDVLVCKTLQPMICCCTEFEAGRLGRFFHDTLKMAYYWKSDESVYERECGNKPGFAVYFRYPNSQRVSYSQFVRVHWKWSSRITKALNQCMESKEYMEIRNALIVLTKISSVFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHIDLKPATSRTVTGNQSSDPSTAKEHNLRAKSIENRHERSEGAMKPDVQQKKSTVSANGSDSQIPSSFVQGKSSGIARVVDEPPKTASDEGVRVSVKPTSESEVCRSFIQQTRVPQKRAAHNTGKVLKHDVAKEDSKGGKSASRNVNQQASTVPVDREASQAADTVQDTNSPGSNGNLPPTSRKVSSSQRNAMSVTHNGAANPSGESTDLNDSTVRQQKRSAPAEEQDRSSKRRKGETEPRDSDVNEHHIDKEKSLDSRAVDKFRSVDHEKSANDEQNLSRAEKIKEKFDDKYDRDPREKLDRAERRRGEDAIDRSTDRLSERRERSIERMQERLTDKAPEKGRDDRNKDERSKGKYAEASVDRTHSTDERFRGQSLPPPPPLPTSFVPQSVGSNRREEDADRRGGSARHIQRSSPRRDEKEKRQSEENASFQDDGKHRREEDLRDRKREDRDVLSNKVDDRDREKGTIMKEDSDPNNASKRRKVKREQPSLEAGEYAPSAPQPPSHGTGSSQLFDVRERERKGVISQHRSSHADDLPRTHGKDTTSKPSRREADQ >Dexi7B01G0006350.1:cds pep primary_assembly:Fonio_CM05836:7B:14071239:14075194:1 gene:Dexi7B01G0006350 transcript:Dexi7B01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHVRTKQSKWLDNNLKDMEDRVKCILFLLGEEADSFAKRAEMYYKRRPEVINSVEEAYRAYRALAERYDHMSGELHKANHTIATAFPDQIQYSLLEEDDENLPKAFTTVDPRKIHKSTVEGLMKKKHGEKSGLKDGAKKFAAPLNKDNAKDEIGRLQKEILVLQTEKEFVKSSYESGIAKYWDLEKRINEMQEEVCYFQDEYNESAVIEDDEARALMTATALKSCEDAIIKMQEQQKSLCSQAMVEFERVKVSREKLEGIMRGHGKSLSYSENSAYENIYNDTSPRKDELFSMKQEKIELQELVEKIKRYFEMNSDLSVVEIAEKIDELVNKVVDLELMTSTQTAQINRLCLENSELEKSLHKLEEEKTEQSCLDELNDKLKEVEEELIRVHNLERSYCAEERIVHTNFTETINSFCDTSCMLTDEATSPNDTEPSDVHGKTNTSEDPEMDEAERKPYVDGFLGQPDTPESAICSDGIQSSSSHHETEVEKHCHVDKTEGVWHHEFEGTLNAATSVNVGKTETAYSTLSDDNNNGVPEYVHEFVCDTESSVQPYIVDSLKSDSLEGLHHISSNISGENLDQKDNMMHYSTPCESISEGSSEQKIEIKELEDSYVTKSPANSQKTADVGDQDDSMINLQQLLMNGLQDKEKVLLAEYTSILRKYKNAKKMLSEVETKNQGSLNEMRTMISELECANGMKDAEIQSLRKLLNSLTYRDAPHSGYRINSTMSLSEKDGVIRGHRRTPSILSVHQRAQSFSSIPRRIRNSSSLKNIPSIDSHKETDASQGVIGNPESVISNPESVILEEITTTNVAEMEKASPIEEKFRRDFDALLEDNLAFLMKFSMSFQQIQGFQTKYNILQDEVHKQTNEEKANKDHTNEHPAKSEIEEIEKRLRELKIELQVWLEQNTMLRGELECKFDSLCNTQAEIEEAMKIDEDTKDGAGFTSYQVATFQGEILNMKRENKKVYDELQAGLDHVKGLQIEIEKVLAKILKTASLSRPKSSNTWRNAPSKSRVPLRMFLFPAKKKKSSMFACVNPALLSKQNSDMAFFTKMS >Dexi3B01G0023560.1:cds pep primary_assembly:Fonio_CM05836:3B:18381636:18385839:1 gene:Dexi3B01G0023560 transcript:Dexi3B01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEEVRDIGSGNFGVARLMRNRETRGLVAVKLIERGHRIDENVYREIINHRSLRHPNIIQFIEVILTPRHLAIVMEYAAGGELFDRIVDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKRIAAIQYKIPDNIHISDDCRELISRIFVSNPSRRITMREIKSHPWFLKNLPRELTESVQLSYFRRDNSVPAFSNQTTEEIMKIVKEARTMPKSSRLGYGYIDEFSDEEEKEEETEPKVEEEEDECDKRVREVRESGELDMASLHI >Dexi9A01G0041620.1:cds pep primary_assembly:Fonio_CM05836:9A:45244281:45249557:-1 gene:Dexi9A01G0041620 transcript:Dexi9A01G0041620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVREELNPLLQTIEFAPSTSDPNQKLDSTQPSDPNQKPAKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPGFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKSRRKCSRVDACLSSPSPIVSKTPGNEPFPVKFLPVDAQNGSRVPSPKGAFLKRMPETNSSKSGATKGDLSATRTVKQKRRAGGGVASSAYKKWERAAMAGVSLVADAAEELERNTINLGMVCNVDAGTLTSLDNLSTIDGSSTNHMKEADSQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSRKKISSVLEHLNRKWGNSNITYGELTLFPYCAHQEDLTTYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFESCMIPEDIQVKSPSGDKPCAPKDITLLSSKDQSAPLHITSSSTAKNTELPVKPTNALPSQFGSQKQVQVIVTQAFEDDQRMNCAAISEGEWADTLTDISVGYLLTEASKGAHLDCLGTSSVKNALYLENPCSYDSFDAAVALHVSRYQASEQPTHTSHSTIWGAEETCDEFSFNLSAMKQEGSNTPSSSSPDTDNENHPSNPEGFRGFLQDLTGGEAAADNPCNDDAKDTEEFCARSPPRNDDSNELKDQSLADIYWPDSLGPLDLDVPSVRYQADDLIIGDSQNSWNRIMANSLDAFRNLSFFSSVDKNDSIPSIM >Dexi9B01G0042460.1:cds pep primary_assembly:Fonio_CM05836:9B:42700645:42704188:1 gene:Dexi9B01G0042460 transcript:Dexi9B01G0042460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGRCGLRLRLLLLVVVVGVSLLQVCNAQGEGGLTRGSFPEGFVFGTASSAYQYEGAVKEDGRGKTIWDTFAHTFGKVTDFSNADIAVDHYHRFEEDIQLMADMGMDAYRFSIAWSRILPNGIGQVNQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQVLEDSHDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDDGLQAPGRCSLLLHLYCKSGNSGTEPYIVAHNLILAHAAASDIYRRNYKATQNGELGIAFDVMWFEPMTNTTDDIEAAKRGQEFQLGWFADPFFFGDYPASMRSRVGDRLPKFTADEAALVKGALDFVGINHYTTYYTKHNSTNIIGRLLHNTLADTGTISLPFRNGKAIGDRANSIWLYIVPSGMRSLMNYVKERYNSPPIYITENGMDDGNGPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWTAGYSSRFGLYFVDYKDNLKRYPKTSVQWFKNLLSSS >Dexi5B01G0039130.1:cds pep primary_assembly:Fonio_CM05836:5B:38128463:38134497:-1 gene:Dexi5B01G0039130 transcript:Dexi5B01G0039130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPTCLPSQRRRCRAVPSRKGDRCLVVGSRGSPSPPQLQRGRRCSHFRAVPSRRDKRQCPAPRLLGRAASKAGLPRRRAGTTDPVETEQSFARRVLAIVDYNEDGTLSLSEFSDLMKAFGNKLAVAKIEELFRQADTNGDGIVDIDELAALLADQQEKEPLISNCPVCGEDLGKYDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSTYDVGLHSGSTAAHILVFDRRTKRMVEEVIDGKIVLSMRALYQSKVGLTLINTGVKDLLKNLSEKQGKKMNSPESAKDIPKFLELFKDQINMDEVKDPVESFKTFNEFFIRGLKPGARPIAHGDQDGIATCAADSRLMAFSSVDESTRLWIKGRKFSIEGLLGTSVHSDALNNGSLVIFRLAPQDYHRFHVPVSGIVEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTTEFGKVAFVAIGATMVGSISFLKSEGDYVHKGDEFGYFSFGGSTVICVFEKDAIEFDADLVANSERSLETLVSVGMTLGVSQRTKGLQVPELQRCSLE >Dexi1B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:1B:7015319:7017562:-1 gene:Dexi1B01G0008390 transcript:Dexi1B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGIKLLAKDALQNIWVDFADPTMAKRATWLIEFRPFEPKVSALNSNGVSEELAGMIRKSIEPGQKLAVEDKVYQEVIQNNMVSVQPFPCLLNFMNHFEDLLFYFQKISCLCDDTVEELMWGLKLQMPYILPAEKSMLDEIECFPMSKGMKQLLNTHSFILEPKDMKVTRHIINLAAVVRGCDLVVNNHGYSLRSAAEHLKRISHIDTQGWDLLKLAAALKMICYPKEQIAEAPLLKKDAPKYKGKILKEPLLIMYKEM >Dexi3A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:3A:6129582:6132229:1 gene:Dexi3A01G0008830 transcript:Dexi3A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPEAGSKAEGDEEQRLRSALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLRVRRDLKLLLGAGLTEVLNAVFPVLACRKPANTILVPTKQTKKKPGANHSHHERLLGVARLLSQMLLDVVSIYNKVTDLTDRKQAVKISIGGVQAFREYYPAMNDACTILDCVWVKDKFVLHEKIKDSCQEIQVEDQKSCGPESSIQYETLALVSEDTKALESIVSWGYPQSLEAYRIRTDSLQGAGFNTSGFAYYSYDTPNLETHSPTKPADTIEAGKPDKMNRSSDAGCSQSERQLENESGACSVPETLSTVHSVPHLNLKHETRKRVAFVAVGKPKVLGAASETKSSEVNKKPRLNMISQTSEESGLYSKFLDSENTEKSIL >Dexi6B01G0006430.1:cds pep primary_assembly:Fonio_CM05836:6B:7032366:7036478:-1 gene:Dexi6B01G0006430 transcript:Dexi6B01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDSRGRRLVHRHPTLAPQQQEQHHKRRRLRGHDDLAADVSPTREPPPAPAAATRVSLRGFDERFSARELFDHLESVAGTVWRCRVKASITPPGSFPDFQLPLPSTSASPYASDVPAHAFVHFARPEATGRAARSGLHLFSSAGRRRTRPSVQITGGAKPMLFPDSRVEVGDLVPPDTFLVAWRGGGADNAGDDTATATALDFVVDPSTARCRLLFARNAAFVTSSSSSGAASTVAVTMCCDVKIEFAVGDVAEVMAFHDDDSLLLRLSSAPLIYYRTSGDDVHAPVPFDMIGDDDDDRWIRTTDVTRSGAIGRCRAYKVSFKTWFWPTMRIALAYMREQGVLVEVLDSRWRGLTVRDEPEFGLPMRDMFFCVQRAEGLTFPELYLVNALMHNGIVNQHQLTREFFRLLKRERQEVNVAALTKLLGGKFEVFDVCPRFKDAQDWAARRPKVLRRRKVNGAGYNAEMRRVVITPTRAYYDGMPRLNTNAMISYVAPIVKDVAVQHKTTIYRRTKSAWFFAEDGTTTAASIKEWMGKFPSKNVAKHAARMGQCFTSSYPTVTIQPYEEEFIEDVNHNGYNFSDGIGKITPKLALEVAKKLPLIDNYVPSAFQIRFAGCKGVVAIWPGKYEGARCISLRPSMKKFESAHSVFEVVSWTKLQPAFLNRQIITLLSTLGVPDTIFWQMKNAMLQHLNRILTHSDVAYEVVTTSCPEHGRTARVMLSAGFDPANEPHLRAMLLAIRSSQLQGLLEKARIFVPKGRWLMGCLDEYGILEQGQCFIRASTPLLNNHFVRQGSVFSSANKNAEIIVGTVVVAKNPCLHPGDVRILEAVDIPELYHLVDCLVFPKKGERPHANEASGSDLDGDIYFVTWDEKLVPPGKKSCPPMDYSLAEDKQLQREVLPHDIIDFYLENMVSENIGRIYNAHVVHADRSKYGAMDEKCIQLAELASIAVDSLKTGKIVAMPTYLSPTEYPDFMGKEDAISYESEKVLGELYRSVKDTYGCDFISQGTCSLDDPAYDTDLEVPGASSFLEDAWQCKCSYEEQLNALLNQYGVRTEAELVTGVWSLTGDNKRQQYETQEKLNYAHLHLHREFRSIFDCNDEISIDKKNLAYEAKASAWYQVTYHPKWIQRSRETPPRLSFAWIAVDHLARIKMRCHKESEDI >Dexi1A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:1A:27139289:27140161:1 gene:Dexi1A01G0020280 transcript:Dexi1A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSYVTLGEGPDGGLCICLGEIALPCPGVALRLSAVIDCSPSKDRHAPITVDFLNTLPPPPSPPPPPPPQQKQGHGGGAGAQLAGRRFTLDSGDFVLLNQLPRVEAMVAAAGLPLECAPSVKCFVRKAASNATNSFYKAVGVTVEAGSPGSIVVEDDEGEGEEVEEVPPGADAGECTICYAEYLVGGATSVSLRCGHTFHRRVKRSCPYCRGPVDEEQNAYWDEEEEEEEVGDYGYGSEHDGGELLPGEEEQETASGEAVSGSDWVDGLTHVASLLGSWEI >Dexi9A01G0029550.1:cds pep primary_assembly:Fonio_CM05836:9A:34491390:34500060:-1 gene:Dexi9A01G0029550 transcript:Dexi9A01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARPTTNGIITTQSYDAQGRIWVAALISLVPKGRLDNSHDLAGSPTPEAVARKMRPKSFLGLIPRITILVTVHQYSSHHPFLPPLHLLVRRDGMWWAAVVVPWPACPVPPGALRSAHSFHKAKRRQSRRRRKEEKEKNSKAKAEVILQANRGGRKASDSFRPRGKDGQLRDAGSGAYSHGRGDAVRKEFGWVFVPGIQRDPPFNLQFHDLNPRQAGQAATAYRNFTQTKLATSPPRTEHLRELSCEGEFEISNELIRCCDKKDKRIGEGEGMDPLTAEPPHEFLFLPAQFRRGGSMGARYRNRAKQISKDPVQPIWPNL >Dexi5B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:5B:14808509:14808808:-1 gene:Dexi5B01G0016480 transcript:Dexi5B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKGVRAEVLRGGDDGADRGRKRGRAEELLRAMTTGRTEKILRDEQRRSSARRRLDGRRPTRNTAADRRMGDDNGPPARKIRIGDYFSKTCSDSMLP >Dexi3B01G0031720.1:cds pep primary_assembly:Fonio_CM05836:3B:33768113:33768647:1 gene:Dexi3B01G0031720 transcript:Dexi3B01G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHGIPGDVLELIFLLLAWPAHLIHAASTCKRWHNIVADPRFLSLFTSLNARPLIAGSYYNGRYLKVSSEHARPAFVAAASPSPPVVDCRRFSLDFLWSDNVVDSKSWKIVDSRGSLLLWATERYECYSPKWRVAPDLSSSTAIFPEA >Dexi3A01G0033770.1:cds pep primary_assembly:Fonio_CM05836:3A:38585410:38590057:1 gene:Dexi3A01G0033770 transcript:Dexi3A01G0033770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVATLFSCVYHYRNYMLPIVSFCHLILPLLLLPYVFVNIFHVLQEYKYISSKRLEYFMTSKPLPQHFTVLVRAIPVSSGDSVSDAVDKYFREYHPSTYLSHAVVHQTGKLRLLLNDAENICSKLGNLKYVHRSSGDAPRKFLGLFGRNDLRDKYQKRLEDVEENVRLEQSDAIRRQSLIKKDREEQSKPEMLEFFSNLVSAYRDPALKPIQRASNSDERTAPLLASI >Dexi6A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:6A:22112562:22115418:-1 gene:Dexi6A01G0014730 transcript:Dexi6A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMGLGCDCDLDLRGRRLQVTYGSVIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIIRPTPDSSSKQGDAIETGSIIKLQHMKTRRWLHSHLHASPLSGNLEVSCFGGDELSDTGDYWRLEIEGGGKVWKRDQKVRLRHIDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLAAEGVYLPANLSK >Dexi1A01G0028760.1:cds pep primary_assembly:Fonio_CM05836:1A:34352870:34355598:-1 gene:Dexi1A01G0028760 transcript:Dexi1A01G0028760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPPMQHLRTPMDSLSSLASSFYSAFSPLLPSSSPQQQKQGSMFVLLPLPVAAAARALTVLRQLLLLATHAFVSLFFMLLSALAPSPLTPPSMMEPGSRSPAAKGDTCVVRALAHVLSVASRLPVSSRKYDLVRGLAERLLDDNLRARAGDVNRAALAGAFTRTLRQLESSPTTTVAAVTSMGEGFGGPAAEKLAAELLWLGKKMAECGAVGEAVAQFGGAERLGSRAVVAEPALQVSLLRLAVFLFKHANSKEFEQSTAGDEEDKGAVAEQRMAMLRSWLPLLCRGSTGTDAPVLTGQERAEMVAVLEELIDKLSWEQREEVLSLWLHHFASCPDTDWPNLESCYTRWYAESRRLLCVA >Dexi9B01G0027930.1:cds pep primary_assembly:Fonio_CM05836:9B:30472749:30474836:1 gene:Dexi9B01G0027930 transcript:Dexi9B01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGAACLACGGMGDCASCHGHGHGIGGGARCGAVAVADLNRGFPGMWQQQQQQQQAAEAEENGRGVVAGGGAAAAAGGLHEFQFFGHDEEDHDSVTWLFNDPAPHLHRGPAAVVGNGDAEHRRAAPPLFDGYAYGGHMPGHGLTFDVPLSRGGEAAVLEAGLGLGAGGNPVTSSATIMSFCGSTFTDAASSVPGEVAAAANGSSSGGGDPTMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGEAPAPPAAAAAAGYEPGRLDLGWFRS >DexiUA01G0006740.1:cds pep primary_assembly:Fonio_CM05836:UA:13044263:13044884:-1 gene:DexiUA01G0006740 transcript:DexiUA01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGVGLGRLKVRFVSHIKPWKRKVRELAYDAEDTIDMYLIRVSATPPPELSVSHRPWAAVLRAARRFKAARRIAGEIESIKNEVKEASERRQRFSIPDTGHPPAVSPATVDPRLHLRHENAARLVGLGAPTAELIRKLSLEEDARSQRLMVVAVVEAGGIGETTAVRSGESKTQCEVRSWHDTPAGEPR >Dexi2B01G0030150.1:cds pep primary_assembly:Fonio_CM05836:2B:38392006:38395446:1 gene:Dexi2B01G0030150 transcript:Dexi2B01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSRGARRGTRRQSGPRREMIKNAVASLMVRLHHLPLAAPTPTYRRHHHHHALRLLRPASASARPVAAAAMSTAAEQAVADQKRALRTEVRKALKALSPDQRASEGQEELAKDLYVPRVEDKNRNMRMLRITTMDDLVKNSMNILEPSPVDASGNDREDVALSYSVQIMDEGVIPVNSTDVHIDALVTSSGVIPISPAALERMQ >Dexi1B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:1B:18539913:18544688:-1 gene:Dexi1B01G0013160 transcript:Dexi1B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRGSSFSGVLLLLALSVALLAPIPAAATGVFRVRRKFPRHGGAGEVEEHLAALRRHDGRRHGRLLGAVDLPLGGVGLPTETGGVSDWCSAQRSLYYTRIELGAPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGSTVGCDQEFCVDNNGGVPPTCSSDSPCQFRIIYGDGSSTTGFYVTDFLQYDQVSGNGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQSNSSVLSQLADAGKVPKIFAHCLDTVHGGGIFAIGNVVQPKVKTTPLVPNMYATLDSPFSYSLLLPPHYNVNLKGIAVGGATLQLPTNTFNSGANQGTIIDSGTTLAYLPESVYKTLMAAVFDKYQDISIHNINDFLCFQFSGSVDDGFPMITFSFEGDLTLDVYPHDYLFQNGNDIYCIGFLNGGLQTKDGKDMVLLGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKIEDDKTGSTYTVDAHNISSGWKFQWHNSWILLFVITIWRYIIF >Dexi6A01G0020960.1:cds pep primary_assembly:Fonio_CM05836:6A:28340831:28343084:1 gene:Dexi6A01G0020960 transcript:Dexi6A01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNLRGGAWDPHNHNSIAAISDSKSIAIEHAHIRDVDYNPKKQNIIATAEDEFGIRLWDLRRLKHPLKDLPGHSHCKYPALLHDLIMFTGHGLFGTILNMTSCFWSSAGTDSTVNLWLAQVSSNDSGPDSPGSPKRQEEPLLNSYTDYEDSIYGTLKPLNVFIYTLEFQNDIRELIKIVGISWSSHDPSLFASLSYDGRVTKNVAEIILADIWSPALLDYANTGSKSLIF >Dexi1A01G0030510.1:cds pep primary_assembly:Fonio_CM05836:1A:35789786:35790808:1 gene:Dexi1A01G0030510 transcript:Dexi1A01G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARVVAVATTAASPGAAGSSSNLAPPRRSGVLFGVSFRCSPRVAASTRSRAARGRVRLSGRDPAEAEADGGVGRTLKDDDSSYLWTLGLGSVGGAAVIKYGSILLPDITRPNIVVALLMVSLPVVAAVLILLKASSVED >Dexi8A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:8A:6901224:6905282:-1 gene:Dexi8A01G0006640 transcript:Dexi8A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRDLTEFTTSSCLAAGGRQLQQRTEERRRRETRGVESYDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWEAETTSNQSTAPADATA >Dexi5B01G0037810.1:cds pep primary_assembly:Fonio_CM05836:5B:37206821:37207189:-1 gene:Dexi5B01G0037810 transcript:Dexi5B01G0037810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSICAQSRRRTQSSPGRRDGRAQRSGANSTDLRLDRSWPQQPVRLGKASTGHSNRSVLARGRRGTGYYPRVEKALARRGSRPAPPRPAQPSPALSSPSAVARAQWTAAAAAADGVESEGF >Dexi1A01G0027490.1:cds pep primary_assembly:Fonio_CM05836:1A:33252322:33256561:1 gene:Dexi1A01G0027490 transcript:Dexi1A01G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGTLDLASGVGGKINKAEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFRFDYWAISLMLELNRLAGVSGTCDFVKVKTLEYVGLAPQGSERVSDFLEKAAEGLVEGGKESK >Dexi9A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:9A:14619922:14627339:1 gene:Dexi9A01G0019720 transcript:Dexi9A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMHAERADKATRDAMRPFHRRRRRRRNAMVVFHLREALSRILRSPSRLPSSSHAPHPHLVILHRLLHSSSSFAVEDYLVSRLGLTHEQALKAASKISPLRSSAKPDALLAYLESTLGIPAADVGRAVVIEPRFFCAKVKTLAPRIADLHDLGLSRDEIARIVHLAPGAFYTRLLRRKLEFWLAEFGSFDNLLEVLRWGTRASILCADLDKVVRPNVAFLRQYGLSISDFAGASVYNIRLFTMNPELLKEAVQRVEELGLNCGARLLRQTLPVVALTDKDALAKRIQLLHNIGFSKDDVLTLAKKQPNVLALSEQKIQGNLDFLMKDVGLEIIVVLVALSLYIPSLALFIQHHLCISATQRPLGRHAIPPRVLPCVEENMLALGTVREGVKPPHCARLAACLLERKRSQSRARARKKPHSALRPTYPPRKRSLTAQFRAWSRLSVHHPLKNAAAAPHHADASPPPLPPPFHAPSQQPGRALLRAQAKTPPRARSKISAAPSGPRLHSTTRTGWRPCRWGQVETSLTFADKPPARPPSQVNHLSTSSLSLSPSSGSGVTPSPAPAPRLLPTPCLYTKRATRVSAARNAQSEREHELADQAGRVQVELARERAVGAHAAGSFHRWTTSAMADHQRIHPVDLEAGNRPSAPLVPTGSFRSDKGDPTQQLPNNNQPHYQQQRGHGHGPLPPPPRRVAPRAPPLPPPKRRRGSRGCCCRFLCCVVVTAVTLAVLLAAAAGALYLAFRPQAPRYSVDRLAVSAFQLDPSTLTASAAFDATVTAANPNARIGIYYERGSSLSVWYESYRLASGGFPAFYQGHRNTTVLELAMAGEVQLGSAVVSGLRDAQQAGNVPLVFRADVPVRVELGSFKLWKVTSRVRCDLVVDRLMDLSSPIKIKASNCKFSLKL >Dexi4B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:4B:7366517:7367400:-1 gene:Dexi4B01G0010090 transcript:Dexi4B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPWASFLAIVLTTVLVTAFFFWRNRKSYNLPPGPRAWPVIGNLNLMGPLPHHSLYKLSSRYGPLMSLRFGFVPVVVGSSVGAARSILKTNDLAFIDRPMTAAGKYTAYNNSNMLWSPYDDYWRQMRKLCQAELLSTKQLKLHEHARGEEVKETMRTHPVAPLLMPRQSREDTSWPLFRPERFLGSSVDVRGQDLELLPFGSGRRMCPGQALGLKMVQATLANLLHAFHWRLPDDVAAEELSMEENFRIRSTQTQTACSPLRRTVNDVHVYI >Dexi6B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:6B:20051904:20053916:1 gene:Dexi6B01G0012430 transcript:Dexi6B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSSTAKRYLECMLFDESLEAKALPLSLLKYITNDFSDNLEIGRGGSAVVYKVCCYCKGLLENGEVAVKKLFQTSDIDDIKFHGEVNCLIKAKHKNIVRFLGYCSDTQGQIEKHNGKSVMAEVRQRLLCFEYHLNGSLYEYIKGMIMGYLAEEFIYDGKLSFKSDIYSLGVIIIEILTAKKESPDVKDVLERWWHKVEKSQKEQQLEQIRLCTKLGQRCLAKDPAKRPSIHSVINVLEQTETKKLRIETGASSSMVLQASSVVEEKASIGKFIMEAHWRDPKTVATTFKMVTQAICECYAQGRTNVSLEDLRR >Dexi3B01G0011730.1:cds pep primary_assembly:Fonio_CM05836:3B:8212328:8213898:-1 gene:Dexi3B01G0011730 transcript:Dexi3B01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTNNDAPIASNNQPTTEEVTVERTATTQEEEERLRYLEFVQQAAAQALVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHAVPLDLLKFLDRKVGESVEEIDRRVPPVVKEAPTLARSAAKEVRQAGLVGTATGLAKSAIARAEPKARELYTRYEPVAERRAAEAWVALNRLPLVPTVTKAVIPTAAQLSAKYNSAVLDGAKRGNTVATYLPLVPTERIARVFGEPMANTTPVPEMQPIPSQ >Dexi9B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:9B:10570061:10570276:1 gene:Dexi9B01G0015490 transcript:Dexi9B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTSSNTPMTSPVATKSSTTSSQKEAGEGRHNEVEAGRAEERSRTGRASPARERSREPRSGFNGGGEDA >Dexi9B01G0027060.1:cds pep primary_assembly:Fonio_CM05836:9B:29510350:29512251:1 gene:Dexi9B01G0027060 transcript:Dexi9B01G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNAQRQVERTGRSGTPRDQYLQDLVTQFQNATDEETKEKIVANLANFAYDPFNYAFMRQLNVLELFLDCITEPNERLIEFGIGGVYPSNASVIVQCGGIPLVIQCLSSPVRNTVTYALGALYYLCNPSTKKEILKPDVVRIIREYAAAGAVNTSFSNMANAFLEEHVDS >Dexi8A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:8A:9150456:9151606:-1 gene:Dexi8A01G0007970 transcript:Dexi8A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEHGQPITRVDEYGNPIPGGHGIQGQAAAAGGYGADTGSYGQHQAGYGPTGTGTHDVGGYGGSGQPAYGATGTGVHDAGGPGGYGATGTGPHHGGTHGGLAGIHDAGRLGGGHTGTGTHGVTGTGGYGAQHGGPTGVTGVHDSGVLGGGGHTDGLGTHGTGHGATGVTGAAFPHAAERKTDGILRRSGSSSSSSSSSSEDDGMGGRRKKGLKQKIKEKMPGGHKDSQTQATATGPYGGATGYTGATGTGTTTGGTYAPTTGAAPAHEKKGVVEKIKEKLPGGHKDNYEQQHTTATGGYAPGRTGTTETYGTTTGTHEKKGFMEKIKEKLPGQH >Dexi2A01G0025680.1:cds pep primary_assembly:Fonio_CM05836:2A:37326357:37326771:1 gene:Dexi2A01G0025680 transcript:Dexi2A01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVITRFAVTSIHGDVDGAGCDHQWVLLPPVSSDVCPPCSGVSQISSSAQTLAGGFLAVVSVNMVIGFYIFMAMKETPHRPQPDPTFLANAKVGINQPTSSQMSDDSKGKGKIE >Dexi9B01G0035680.1:cds pep primary_assembly:Fonio_CM05836:9B:37258523:37259491:-1 gene:Dexi9B01G0035680 transcript:Dexi9B01G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISGELSTVSFKNNEFSSVTVTSSYNGTLALAGNPVCARLPNTPYCNVTQRGLSAPYSTSLVKCFSGTCPAGQSLSPQSCACAYPYQGIMYFRAPFFHDVTNDTAFQALESMLWTKLDLTPGSVYLQDPFFNSDSYMVVQVKLFPSGDTAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEKSSSSKSKGVIIGIAVGCGVLLVALAAAAAYAFVQRRRAQKAKEELGGPFGTYTYSFMEADT >Dexi9A01G0018880.1:cds pep primary_assembly:Fonio_CM05836:9A:13918752:13919348:1 gene:Dexi9A01G0018880 transcript:Dexi9A01G0018880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQCVALQQRVDVSLSSSPPKLPGRPPINTTPERAPFPCTTSPPPHTPRSHLRSPHLRRKKEHKTTITMARAVALLAALVLVLVAAGGAASAQCDATKLAVCAPAIIGGSAPTRPCCSALRAQEGCFCQYARDPAYSGYINSPNSRRALAACSIPVPHC >DexiUA01G0002720.1:cds pep primary_assembly:Fonio_CM05836:UA:5979983:5980370:-1 gene:DexiUA01G0002720 transcript:DexiUA01G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQEVPVTAYPPPGSYAATAPPMPTGQPYVQPPPMQGGYVQPVPPAGYPGNFNGAMMNPPPPQVVSAQTQSRGDKTFWEGW >Dexi8B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:8B:22129474:22131467:1 gene:Dexi8B01G0012780 transcript:Dexi8B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIAVPRRSHGCSYAAIFQVLVEANGSTRTLKLNRPKQLNSLSSTMMPSIDLIVCHCAESSLVSRGTEEHLVLEAMSLQVFNLYIMVSYIPS >Dexi6B01G0009620.1:cds pep primary_assembly:Fonio_CM05836:6B:14107934:14112141:1 gene:Dexi6B01G0009620 transcript:Dexi6B01G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATMASPSSRTLIPSRHHGAAPSPSTSGDSSARLLRAQPRHGRRGRGVSVSTPAAWRRPFVFTPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFIILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAETGGIPIGIGKNSHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >Dexi9A01G0047740.1:cds pep primary_assembly:Fonio_CM05836:9A:50751646:50752225:-1 gene:Dexi9A01G0047740 transcript:Dexi9A01G0047740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNMEDVTCATQAPSTLPACSHRSGHPLGRNHGGQNRARPGVTKALCLDAFVRGGHPLLVAAVALRAIYRHRLPSGHPNPYSVFGLIPDVPAARIEAYYRQASDLLNRECLNRAHVHHPCYPAFSAAARLVADAWAFLSDADRKASLDARFTTNAPLAAAAPTTRTTPSGGGVVPHGRRAPFV >Dexi9B01G0041500.1:cds pep primary_assembly:Fonio_CM05836:9B:41961756:41965781:1 gene:Dexi9B01G0041500 transcript:Dexi9B01G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEEASPPVSSSELEQEEDDDDCYLSDQEDDALEESVLQVLEDEHLEDCHWSSSSVITKESLLLAQREDLRKVIELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQYANNAGPASSSEVTCNVCFEDVPPSATSEMDCGHNYCNDFGYVAVLLAEIIHGQDEMTPEERELKQNLFEDQQQQLEFNVERLSGFLEKDFQNFTDDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASLLNISWDSAEQSSQSTKRSQDEYRSQHASTNIGKRAPELHGSSSDNRVRPNKRERQDANGGGALFDLNVPAEVADKI >Dexi5B01G0024490.1:cds pep primary_assembly:Fonio_CM05836:5B:26516250:26517323:-1 gene:Dexi5B01G0024490 transcript:Dexi5B01G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNRRTTKWILQKKMDNEPLVAGLDNNPDVRKSDFVIYKLKEMGKIDEKEIAMICDQFDQLEFGKCERIPLVDIIGKL >Dexi7B01G0021090.1:cds pep primary_assembly:Fonio_CM05836:7B:26265993:26266331:1 gene:Dexi7B01G0021090 transcript:Dexi7B01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFASQLKDMFFVLVERVTGYGWGESQDGAAAKTCEDISPTEQEVTVVENIQIRARSAGADPFVNMGSKPQVN >Dexi9A01G0028290.1:cds pep primary_assembly:Fonio_CM05836:9A:32917617:32921111:1 gene:Dexi9A01G0028290 transcript:Dexi9A01G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISCGPPVRDTRPFVVIPGRVFQLSPLVLPRVVRLHQTPVFWSSYSAGVVPSPPIREPPAPSSNRLLPPPEEGMASLPPGAAAGAGSDQIMGLLRCFTAYEEDDGVKLLIMKNRLLYLFSLSCYHVTYGSNLAPHFWSTVIRKLGSWKYGADFFRNEFLLNYIIATYSKPQVSLLAGIVMGGGAGVSLHGRFRVVTENTVCTKSLHVTMQFEICLLKVFAMPETALGLFPDIGASFGMAMCIFDFK >Dexi9A01G0044330.1:cds pep primary_assembly:Fonio_CM05836:9A:47940337:47940645:1 gene:Dexi9A01G0044330 transcript:Dexi9A01G0044330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTGQRHDSSCYSWLGYGTHGLLPCEPHGSWPGHAHGPCAAMASSAHAQVQPRRQRRWQMPPLRSKEINLHNCASPGRSLKRLFPFLCAAVRVVARWPRH >Dexi5A01G0020460.1:cds pep primary_assembly:Fonio_CM05836:5A:24294238:24297807:1 gene:Dexi5A01G0020460 transcript:Dexi5A01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAAAAHPERSVLRGRRRRKSAASKQERRLNGFVRFVAFGEWTGNAFGTLAFLWATVVLLGGYCKDLNHVDFWCATAIIFIEAFRYPLSYHIRMFSRNYRLDDQSLFRTTRAIRAISSPFARMLVRPQEWNELAAIMGIGFAMIAVIVVLLIGSLQIPTAIARIVLSSVRLGDLHHNKYADNILEKSMVIFYMLTLCQGTFYVVACVSDLFSLFLRRSLARQLGLRGKRGARAIDLYYHSAYLKCMETGILAAGKEISIVSFAIESLSSSSRNKEQLAGVMILDSLMQQRATSLISKITGFVCYKSSNGAQQKAVVCSSLNLVRTLTITGGKIGAMLRRELWEDPFLLDNLASMLEDSRSSIKVWEPAVDIIAKLALAGEARKEIGSNKVIIGKLMHAFLGRNGPTNMHYGQPLRLAAGEALANLAIENPANCLAILEEPRYELIKDLRDMLWHDKYRYVAATLLQNLCTHCRDKMHYLGANDHLAYALPWVSLVVAT >Dexi3B01G0033030.1:cds pep primary_assembly:Fonio_CM05836:3B:35411191:35412966:-1 gene:Dexi3B01G0033030 transcript:Dexi3B01G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPRGGDGERAQAGEGGSGGGFGKGKGKGRWGGGSRRRNEQRLGVGGGGALSLAAFANAKSRNTGYNPALIKKQKEFYKNAKLISRYKKTKKQQSQSNNPPKFPINEDGADNAQDIQNPHHKGKKRTAQSLKEEYERKHAEDEKAKKEREAIIQAKREQREKSEAKRRELREKMFKKTRSGQPVMRHRIEHLLETALQG >Dexi7B01G0011220.1:cds pep primary_assembly:Fonio_CM05836:7B:18300980:18301231:-1 gene:Dexi7B01G0011220 transcript:Dexi7B01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATKMASIKKPSFFVPSPETYACAAVRYIGYESRCTPYWTHAMVGLLISLVPEPIADRMFLNRNIEIRTKGHAKDARKKAQ >DexiUA01G0025490.1:cds pep primary_assembly:Fonio_CM05836:UA:53720196:53720910:-1 gene:DexiUA01G0025490 transcript:DexiUA01G0025490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALWWPLSLWLSPAAAWFIFFNAVVGAVAVMSSSSSPSSSSSAPRRRLCRSGSSVVLDRLRSSFSIFAVHPVASGVTGTPPLEDDGASGSGGGASSSDDAHCYYCSSLEAGEAAMAHVIAHGEQHERSLNATATSIDAMPRALAPAEEHHAAAHGAPPPENDEVEAEAVAEAEEEGLETEEHDESSMSLDEAYALAQRLRAQEQASPPSPSPPRPATAAVTRRRRWRMG >Dexi1A01G0031910.1:cds pep primary_assembly:Fonio_CM05836:1A:36684334:36688361:1 gene:Dexi1A01G0031910 transcript:Dexi1A01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDAIVAPAAAALHLHGGGGGGGGDEVYCAVGIGNWKANLQWVLANVPRSKRLVLAHLRRPTSRINMMGAWVPVNQLAEEEVTAFRQLEEDKIGRVLDDLLEICKSQKVNASKIIVASDDTARGLVQLVDDHGVTELVMGAASDRAYTRKMRAPRSKKALTVQRKANPSCKIWFVCKGNLICAREAGEGAHRAESSTSSTSPRSSTSDYSRSKSSPRLHSETFSTIESNDPASLSVDETLTRWDDAMHHSMEDLNYQAAVSTASMSEIVESGEESAAELLLQEVQEDQQGAPSPDGLDAGGMIDDALYEKLKDALMEAENLRHEAYEETRRRQMAERDLAEASKMVGLADEAERSYRREAKHRKEMEEMLARERAAMEQDRREVDDILDKIRKVDDRSAELELQIANSERMMSELEVRLSESYDTLRQGSTTATASEEHQVDGDHEGVLLRVGPSELDDATGHFDVSARVDGGGDGGRGKVYRAEVRGMAVAVKVLRRDVAVDEARFAREVERIGSRVRRRHPNLVALVGACPEARAAAYELVPNGRSLEEELLLAGGGGGLPWRARCGIAHAACSALAFLHSASTPHGDVRPANILVVGSSCKLAGLGTHRLVVEQHGEALAYADPRRYLQHATEERDDVYALGVVLLRLATGMPPFLARKAAREAAAGGKEWREVVDACWPAERGREVAMLGLRCCDDPRPPLLLLLEEARVVLEAATMADEVPPPPPPSYFVCPILREVMRDPQIAGDGFTYEAEAIEEWLRGGHDTSPMTNLKLPTRKLTPNHALRAAIHHWRHRHHLH >Dexi3A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:3A:8462045:8463806:1 gene:Dexi3A01G0011690 transcript:Dexi3A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPIKIEFADEDDDDWEPVPPLRATARLAATEEKEPPPPLHQSRRRQLQASSWRWMTPTPSTAAVPRLQCDVGHVVCSPCRDKLEDTGKCHVCRGATGGFRRCHAMDRVVESARVVCPNAAYGCTERPSYYDQQCHRQMCLYPPWQCPGEDCSFMGSMEDLLQHFAGVHGWPCSTKVRTEEMSNIRLKDGFNFILLDGEKGDTANAYRNSCLFLLDVVRQPLSRAISVILIDLHAAVDGQRLCLKKMKCELAYSRHLFSSSRPRSDLLTEHAQTSRFIVTCTDLSNGHLPDPEERFQFVVPNFVLAHDEKYAVNVGFRIQVVSGFGTS >Dexi2B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:2B:19725253:19741513:1 gene:Dexi2B01G0012790 transcript:Dexi2B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHIVKTCTTPFVMEAKAFLCTFFSLLLSTSAVPIRLGSSPEEVVERQILKNGIGRTPQMGWTSWSRFACNINEQTVRQVADAMVDTGLAKLGYEYINIGVSRDFLPYDCWAARGRDSQGNLVPNPSKFPSGMKALSDYVHGKGLKLGIYSDAGQEMPGSLGHEEQDAKTFASWGIDYLKYDNCNDQGLRPQPRFSSMSTALLNSGRNIFFSICEWGIDNPATWAGVGNSWRTAGDIKDEWGSMTSNADSNDVWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKLAQRDHLPAHHFVMEEKLLFRALLFLLLSTSSVVAIRPERSPPVAVARRTALENGLGRTPQMGWNSWNHFACNINEDIIRQIADAMVDSGLAKLGYDYINIDDCWAAYDRDSQGNLVANPSTFPSGMKDLADYVHRKQLKLGVYGDAGSRTCSNNMPGSLGYEEQDAKSFASWGVDYLKYDNCNDQGLSPQPRYMNMSKALTNSGRNIFFSLCEWGVNDPATWASSVGNSWRTTGDIQDNWASMTAIADANDKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALVKVPDLQQVDARFAWGIDYLKYDNCNNQGMSPQPRYNAMSKALLNSGRNIFFSLCEWGVDDPATWAGGAGNSWRTTGDIKDTWASMMAIADKNDKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALVKDEVISSVQGELKKPVDSYACKMYVVTPK >Dexi3A01G0014490.1:cds pep primary_assembly:Fonio_CM05836:3A:10544046:10550834:1 gene:Dexi3A01G0014490 transcript:Dexi3A01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGRIIIIISNVGILLSSPLLSSQAIHQSVTHVMSQDPGRTHRHQKGEAMRTDGWWSSPHQRRGSPFGWRPSSPCLGHGGFAAPELARRAVASQRRCSPRRAELELAGGLELVRSRAGHGARLWGAEFDFVVPIVEVVLIVTAKELAVQAVELIRGLSSCSPHRLGSSPGVSRNHQACIAGAQTNDSQPAKLILHHHQPFTVRDAVTKRKAESEDEGWDPAAERKAGICGWRVQQVPQGAEVPVPGVHRHEMRRDASQMA >Dexi3A01G0024450.1:cds pep primary_assembly:Fonio_CM05836:3A:20091202:20102788:1 gene:Dexi3A01G0024450 transcript:Dexi3A01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVNGKSVQGVDLLRRRYWASRLDFWPFLALYALWLLLAVPALDFADALIVLAALSAAHILAFLFTAWSVDFRAFVGYSKVKDINASDACKVTPAKFSGSKEIVPLHIRKNVASSSSAGETEEIFFDFRKQRFIYSEEKDNFLKLRYPTKEPIGNYAKGTGFGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQIMEPFFVFQVFCVALWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSTSGEDRSVPADMLLLSGSAIVNEAILTGESTPQWKVSIAGRGPEDTLSIKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGLFILFLLFFAIIASGYVLMKGLEDPTRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGIVTLEGDDELISDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWMYTSDEKAMSKKPGGQPVQIVHRFHFASHLKRMSVVVRIQEKFYAFIKGAPETIQERLVDLPAAYVDTYKKYTRQGSRVLALAYKSLPEMPVSEARSLERDQVESDLTFAGFAVFNCPVRSDSGAVLQELGQSSHDLVMITGDQALTACHVASQVHISTKPVLILTRIKTGGFEWVSPDETDRALYSAAEAAMLSESHDLCINGDCFEMLQSTGAVLQVIPYVKVFARVAPEQKELVLTTFKSVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSKAENKSGKLKKQKPANEASSQATQAANTNSSAKASSSRPLTAAEKQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHIFFLVTAVNEASKHMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAMLMFCGCYGWERLLRWTFPGKMPAWEKRQKQAVANLEKKRD >Dexi5B01G0007280.1:cds pep primary_assembly:Fonio_CM05836:5B:4909968:4910965:-1 gene:Dexi5B01G0007280 transcript:Dexi5B01G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKREISSTLRNLKFMQRGAAAQKAVEEKAKVEVQEEVVAAPSGGFGSSAQVSRKCIVIMEGNPHPGAVKGRMSFQNFNPSIDKLNQEARGDCETESASPSNHHEDSANSS >Dexi5B01G0023710.1:cds pep primary_assembly:Fonio_CM05836:5B:25821517:25828667:1 gene:Dexi5B01G0023710 transcript:Dexi5B01G0023710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNRLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLNRIDGPGEYAEFMHLKNTRFTDFVVTVITFFFKKAVTHTFAALVRKEIADETDRATGRTKQISTVPIYLSIYSPNAVNLTLVDLPGLTKVAVGERTFGVLTKIDLMDKGTDAVDNGIRALSKDAFKAIILQHLESVIKSRIPGIQSLISKATAELETELSRLGKPIATDAGGKLYNIMEICRMFDSIYKEHLDGARSGGEKIYYVFDNQFPVALKRLQFEKHLSMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIKGPAEASVDAVHAILKELVQRAIKETHELKQFPTLRVELSGAAFKALDRMRDESKKNTLMLVDMECSYLTVDFFRKLPQDVEKGGTPTQSIFDRYNDPYLRRIGTNVQAYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGARETKQLSKLLDEDPEVMEHRAKLAQRLELYRSAQAEIDAVAWAK >Dexi9A01G0047280.1:cds pep primary_assembly:Fonio_CM05836:9A:50444543:50447774:1 gene:Dexi9A01G0047280 transcript:Dexi9A01G0047280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATPRLGSTSHLFFPLSFSLALLCCIAVSNAAGDEAAALLAIKAALVDPLGKLGGWNSASHCSWDGVRCNGKGVVTGLNLSGMNLSGTIPDDILGLTGLTSIILQSNAFEHELPLALVSIPTLQELDVSDNNFAGHFPAGLGACASLTYLNASGNNFAGPLPADLANASSLETLDLRGGYFSGTIPKSYGKLKKLKFLGLSGNNLGGALPAELFEMSALEQLIIGYNEFSGAIPSAIGKLANLQYLDLAIGKLEGPIPPELGQLPYLNTVFLYKNNLGGPLPKELGNLTSLAMLDLSDNAITGTIPSELGQLTNLQLLNLMCNRLKGGIPAAIGDLPKLEVLELWNNSLTGPLPPSLGGAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPASLTACSTLVRVRAHNNRLNGTVPAGLGKLPRLQRLELAGNELSGEIPDDLSLSTSLSFIDLSHNQLRSALPSNILSIPTLQTFAASDNELIGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLSLRSNQFTGEIPAAIAKMSTLSVLDLSNNFFSGEIPSNFGTSPALEMLNLANNNLTGPVPTTGLLRTINPDDLAGNPGLCGGVLTPCGASSLRASSSETTSDLRRSHMKHIAAGWAMGISALVVTCGVVLLAKQLYQRWYVNGGCCDDAGLEEDGSWPWRLTAFQRLSFSSAEVLACIKDDNIVGMGATGKVYRADMPRHHAVVAVKKLWRAAGCPEEEGATVDVRRQDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNVDTMVLYEYMVNGSLWEALHGRGKGKMLLDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTNMDAKIADFGLARVMARPNETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIMAEYGEGTDIVGWIRERLRSNSGVEELLDAGVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >Dexi1B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:1B:10065614:10066471:1 gene:Dexi1B01G0010420 transcript:Dexi1B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKQRVIHFHSRLMESLISSLNNITMETSQAPESAIQQKPGPEVNQNPVENDVNPEKETDELPGSEANPEPVEKCQETVTIPDKQADPEAGFEVNQKPSETCQETSAESDVNTEKQTYDPGVIYRCKKCRRMLATQEFVVTHEVGAGGKCFNRGKRSDDDDKNPECPAIFVEPMKWMQTVEEGYVANKLFCMGCKARLGQFNWAGMQCCCGAWVIPAFQLTKSKIDECSM >Dexi4A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:4A:8703935:8706723:1 gene:Dexi4A01G0010780 transcript:Dexi4A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMEETTRKTAARKKGGLKTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASVVYQVVRPLLQYSWAKCQPMHIDIHIHIYKYILTCIYTNIYMYVCMYVCMYVCMYVCMYGMALLTVSASLPMFRPPPCKPGGAVACQEAAPWQLSILYVSLLLNAVGAGGYRPCIVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLVAVTAVVYVQDNVGWGWGLGVPAFFMGVSVAAFVAGYPMYRRLDPAGSPFTRLVQVVVAAVKKRRMPASDVDAGRLYENDEFDAPISMYGKLVHTDQLRYAAAFALLRFFDRAAVVTDGDLVTPPSDVSSGKPSPTPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITASSTQGTFSLQQATTMDRRLFPGLSTFQIPAASMTVFGLLAMLLTLFVYDRALVPVARRFTGLDRGISFLHRMGVGFAISGLATLVAGFVERHRRDAAAATGGAMDAGTSPVSAYWLVPQYALHGVAEAFNSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTVLVDAVHRWSAGPGGANWLPDNINHGRLDYFYWVVTALQVMNLLYYVVCAKKFTFKPVQLHKEEEEEEKGGKALVELQEKV >Dexi1A01G0006570.1:cds pep primary_assembly:Fonio_CM05836:1A:4995515:4997773:-1 gene:Dexi1A01G0006570 transcript:Dexi1A01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGGELSLAAVRDALVRLEDSVVFALIERARHPRNAPAYAPAGGGGRSLVEFYVREAEALDAKASFFLFHASLVPSFMRRPRRKGFSCPDGAGVSLLRFRTSELHWLHEEPCDEARSMRAQAGHYQKPEDVPFFPQDLPSTLFPTKSSPQVLHPLASLVTVNDAIWKMYFDELLPLFTVDGDDGSYAETVALDFACLQDSNSLMDLLTFKAVEEKVKKRVEKKGRTFGQNVTLEDNAGGSGFKIDPTVLSKLYDQWVMPLTKDVEVEYLLRRLD >Dexi1B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:1B:12243237:12244718:1 gene:Dexi1B01G0011770 transcript:Dexi1B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEETMDEIPPAYRFRPTQRELVEFYLLPRARGQDPFPGVIIEDDTAGSSLPWDLFERHGLGTEDEAYFFVRASDAKKRGARQDRGCDGGVGSWKMQISREKGLRVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYTIAAPPCPSPVKICHIAFTGHGRKRERVPGGQEDCQTGQALPQVDATAAGGGCSGGMLDDRDSGALVHASADEQGSQPVLTKDNIFSQNPVLGVSEFMGFPSAASANAEQYQYQELEQEVPSNLWSSTWLESNNVVPHISNIGDNVVRQLDRVQEDYQTGQASQIDAAAAAGGCSGSIYVSADEERSQPVLTEDIFSLSPLLDSSDFLGSPSTSSANADQYQELEQVVPSTEEEQAMVPQLIVEQSVSSLEEQQFAGDLEFWSSDLESSNLGGNLWSPTGSNDFAEQGFWSSTMVERDGVVPHTGDMEEGHQDQQDLRSLLGAQVQNSCAMPDIAAGAVAAANCHLGGYCITC >Dexi3B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:3B:12204311:12207539:1 gene:Dexi3B01G0016730 transcript:Dexi3B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKSKRSHTVHGRRAHQTPAAAAAHRQKRAAATSSGLRSRNLPSNWDRYDAEVEAEDPAAAAEWTGEVLPRSKGADFGFLLEQARAQPREARDLWLPSKGSQFDFMQASTSMFEAKGEGILSWYADDNFILEDDLAPDFEVPFLSMDLQALANQLSKLKLSQRLFIEEDILPEDLADASEDDEILIEHNLNFEPSKDASHHECASNIISDDQMESKRQLQCFGDGATTSPEISTSTHVVNSVSEEDKTYTRNMHADPGTVHSKGLKFEVGAAEEELDILLNSLSGTHLSSSNLDGSFGPDSTLQGINVAWPNKEVTPSLSAKLPELPHVDDTLDDLLSVTSLPVQNEGFATESVTSEPTVKSGHNFGFGYTKKIDVPSIDDSVDSLLEDTSLYLSEQKQTTAAKGPNSAPLDSVPPHSGPSNASDDFDPWFDSL >Dexi1A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:1A:338905:341440:1 gene:Dexi1A01G0000560 transcript:Dexi1A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPTSSGDSPPPPASPAPQPESGSISSMVAASASSAAAAAADFTRWAETFSTEKADAAKAALASATTLATSSASAAASASSTAASSAYAAASDLTLIAKEELEWIKMEYSVHEQMVFGKIKEGVLMAITHPGIAAGSATLAGIVLFKRPRSYLIQRVRRMFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMDRAATAEKRFQKGEEGRAIQHELSEISDIEKQAVGLKGILDQLPRAHASEFRSEISGLASQVKKEKRVLNAALTRIVNYGVPI >Dexi3A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:3A:11806534:11810323:1 gene:Dexi3A01G0015850 transcript:Dexi3A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLLKSTSELLRRSRGYASSANPERKVAVLGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFMGDDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKALCTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAGVPVTEVNVPVVGGHAGITILPLFSQATPASNSLSQEDIEALTKRTQDGGTEVVEAKAGKGSATLSMACALMPWYAGAVFADACLKGLNGVPDIVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSDFEKKGLENLKGELKASIEKGIKFAHGN >Dexi1A01G0029230.1:cds pep primary_assembly:Fonio_CM05836:1A:34790398:34794851:-1 gene:Dexi1A01G0029230 transcript:Dexi1A01G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRLPTTTPAVAGSRRHSRRLRRRCHLILLPAFAFALLCLAYLSFSSHANLPFHGRKMNWSLPKVERNDTNAIGSYAKKNVCFIMFVDELTLATLSSEGHMPDENGFIGLWRIVVVKNLPYKDMRRAGVDLVNNLFADVPEGSFTVRAHTAMSNLFSCLWFNEVNRFTSRDQLSFAYTYLKLRRTNPGKPFRLNMFKFSPYVARRSLANFPFPHVPLRPIVGKSSAIIRVPCLRRSVLRNKQPTATHRFLDETCASTARESGSPRHLWIPHGSSRRRRRERRSAEKDASYGITPPPEDAPPFASTRSIASSTARSWSTAAPRHAL >Dexi3B01G0023030.1:cds pep primary_assembly:Fonio_CM05836:3B:17782519:17787092:1 gene:Dexi3B01G0023030 transcript:Dexi3B01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGEHAEDAIVADVVGNGKEAAAVRAMGVVGDDDAEHEQHRDGGGFSMKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQIFYGLMGSWTAYLISVLYVEYRARKEREGVSFRNHVIQWFEVLDGLLGPYWKIAGLVFNCMFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPNKLVLYFTGATNILYTFGGHAITVEIMHAMWKPRKFKYIYLLATVYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHETRSVCLRALVRLPIVVPVWFLAIIFPFFGPINSAVGALLVSFTVYVIPALAHILTYRSASARLNAAEKPPSFMPSWSGMFVVNAFVVAWVLVVGFGLGGWASITNFVKQIDTFGLFAKCYQCPPKTHAGSPLPAPPHH >Dexi5B01G0014230.1:cds pep primary_assembly:Fonio_CM05836:5B:10590880:10612801:-1 gene:Dexi5B01G0014230 transcript:Dexi5B01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRGGGQASSGLSKSRKASDSKLDYSDITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFLISGKVVGAVGGKSCSKRGGPPGVKVELMTESDELVASALTSSSGEYSFTNIIPGRYRLRASHPDYDIELRGSPEVDLRFGNVVADDVFFVSGYNIYGTVVAQGNPILGVHLYLYSTDVTEVPCPQGFSDAPAPREGALCHAISGADGKFTFRSLPCGSYELLPYYKGENTVFDISPSSLPVSVEHGHMTIPQKFQVTGFSVGGRVVDGFGAGVEGANVIVDGQSRAVTDSLGYYRLDQVTSKKYTITAEKDHYKFNRLENFMILPNLASIDDIRSVKYDVCGIVRTVTPNSKAMVTITHGPENVKPQRKLVGENGQFCFEVPAGEYQVSALPVDSEHSSSLMFSPGSISVNINSPLLDLAFSQGLILFWQSQVNVHGKVSCKEECNQNILVSLIRLAGGVEQEKKSTTLEQDNVNFVFTKVFPGKYRIEVKHSSSEGSIKDDWCWDQNTLNVDIGTDDVRDIVFVQKGYWIELVSTHDTEAYIQLPDSSKLDLSIKKGSQSICVETSGQHEIHLTNPCISFGSSPVLFDTANPMPVHISAKKYLVKGEIHVDTSSLQEDMDSKDIVVDVLQSDGSFIEKISTKPALGKTNQNGFAAFEYSIWADLGQNFVFVPHDSSTGRKRVLFYPARQQYSVSVNGCQDTVPQITAKTGLYLEGSVSPATSDVDIKILSAGKSNYAHLNKGDIAAETKTDSDGSFFAGPLYDDIEYKVEASKDGYHLKQTGPYTFACQKLGQIVVRIYGENSELLPSVLLSLSGEEGYRNNSISSSAGTFIFDNLFPGSFYLRPLLKEYKFNPSAVAIDLNSGESREAEFHATRVAYSAMGSVTLLTGQPKEGVFVEARSESTGYYEEATTDAFGRFRLRGLVPGSTYSVRVVAKDNLQFAAVERASPDYVSVDVGHEDITGIDFVVFERPEVTILSGHVDGDGIDLLQPHLSVEIRSAAEPSRVESVLPVPLSYYFEVRDLPKGKHLVQLRSGLPSHTHRFESELVEVDLEKQPQIHVGPLKYKTEERHQKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTSLGSGVAPIKKEPRKNIIRKRV >Dexi3A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:3A:8046708:8051734:-1 gene:Dexi3A01G0011300 transcript:Dexi3A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGAILKSGSLGFSDHDAIVSINIFIALLCSCIVIGHLLEGNRWVNESTTALLMGLITGGVILFATGGTNSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTMISFVIITLGAMGLFKKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDISQFDAFVLLNFIGNFLYLFFTSTVLGVATGLLSAYIIKKLCFARHSTDREVSIMMLMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYNKFTASGHTAVRVNAIMITSTVIVVLFSTMVFGLLTKPLLTLLIPPRTGPNTSSLLSSQSILDPLLASMMGSDFDVGQISPHYNLQFILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVERSEPESHLGTVTEAEHS >Dexi6A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:6A:21169486:21176083:1 gene:Dexi6A01G0013830 transcript:Dexi6A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIMEKISSGEEVGGAGGAYSYSALKRLDQIWSSICEPKADSKVPEVVTRIPEPLADSDLGAGSEIFDVIVCGGTLGIFVATALSSKGLRVGIIERNIIKGREQEWNISRKELMEIVEIGILSEAELEKIISSDFNPNRCGFEDKGEIWVENILNLGISPAKLVETMKERFIASGGEMFEGKNLSSIYVLKLSDGDSLSCRLVVDAMGNFSPIVRQAFPAGSGPNDRTTYMFTYVDPKFGGPKLEELLEVFWNLMPAYQDVVLENLDIRRVIFGIFPTYRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGLSKGIYEAVEGGFLDARSLQLLNPYMPNLSASWLFQRAMSVRPHVNVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLTRPQILPSIFKQVGLGAILDWSGHFLMLGYYTFLSTFIDPGTRYYGCHQALMSKSTLM >Dexi2B01G0029900.1:cds pep primary_assembly:Fonio_CM05836:2B:38227389:38228045:1 gene:Dexi2B01G0029900 transcript:Dexi2B01G0029900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPLITPVIKDEEGRMISRTMRATDELQVLMDFYYHTVPVVPHGEGVFCYKGEVLDGGLAPGDYGMASGDRIDFFLEMRPDTFVTVTVRDARGPEVTRTMRMTDAMQDLFEFYFEMTAHVDGTFN >Dexi7A01G0011930.1:cds pep primary_assembly:Fonio_CM05836:7A:22277261:22277593:-1 gene:Dexi7A01G0011930 transcript:Dexi7A01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKAGGAAPCGDCGGACEARRRQSLRARYAYGLVFFATNLLAWFVRDYGVRALHGLHPALMSPNFDLQMCRSAEQGTPSASSPGVCFG >Dexi9B01G0045760.1:cds pep primary_assembly:Fonio_CM05836:9B:45222673:45224169:1 gene:Dexi9B01G0045760 transcript:Dexi9B01G0045760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLKNFLSGKKDRPLAGEVAAAAPPSSGATKEKRWSFRRPGQEGKAAVTTASAADIVEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRRAHMPASLAEDEAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLMAQSHLRAQRMRFSLHDHHHHPPRPRQSPQHPRHRRSYEMDRSCEENAKIVEIDIGEPPARRNKDRQLLVVEHHGGRCSSPAPSAMTELSPRAYSGHFDELSVATARSSPQHASESCPSYMANTESSRAKARSQSAPRQRTDALEWQPSRRKGTPPRGAKMQRSSSTSPWLAAGLRLDASSASLKDSECGSTSSVLTAATVYSRTRSLVGFEVRRALY >Dexi1A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:1A:10752988:10756059:-1 gene:Dexi1A01G0011620 transcript:Dexi1A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSQNGGPRPRLAKAETIHGLVRAGDLAGVQRKLRETPDLLNDKNPVVHAAPFSFACLGGKCDLWSVSSFLMLAVRVRGKTMVARILGKLLHMAGILPTDKVIEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMKRVITSNDGFCRRVTKFFYFDDFSTTELAEILHMKMKSPSESSLLYGFKLHPSCSIEVIGDLIGRETTEERRKQMNGGLVDTLLINARENLDLRLDFNCNDADTMITVMLEDLEAGLRQISRQRQLQ >Dexi9B01G0049080.1:cds pep primary_assembly:Fonio_CM05836:9B:47887018:47887415:1 gene:Dexi9B01G0049080 transcript:Dexi9B01G0049080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNREELVGGGGAAALVGRLWLLLLLLPRAEDALQVVEAQADYFFLLLLLLQVEEAFLAQRRRCCGSGDKDAGDMDAGGVGL >Dexi3A01G0035480.1:cds pep primary_assembly:Fonio_CM05836:3A:40744334:40745846:1 gene:Dexi3A01G0035480 transcript:Dexi3A01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDALLLLVFIIYPAMVVSATSSGPAISLPGCPNKCGNVSIPYPFGIGDGCAATSHNRYFSLICNNSFQPPIPMFGDPSAAAEVIDISLEHGEVRLYDDISYDCFISNTTISDDLNYGGLILDSTPFIPSTRNRFLVIGCSALGIVQGYTPDPYVAGCYSYCEAIESTSDGAPCAGKGCCETAIPPNLTDFETSLNNYSSVWQFNPCFYAMIVEEGWYNFSKQDLQGHQGFMKEKASRGVPVVNDWAIRDGSCPKDGTKAPQDYACVSSNSYCVNANNGPGYLCQCSKDIDECELRKQDVKYNTIYPCKNGRCYNTPGGYICKCRMGTRYDGTNSGCRPVLSKAELVVIGKDHT >Dexi4A01G0003740.1:cds pep primary_assembly:Fonio_CM05836:4A:2665613:2670547:1 gene:Dexi4A01G0003740 transcript:Dexi4A01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVAPSLRRALVSSAASTRHGRAEITNPSHPPPQALLPQWRRHASAASSSAPPPPPPPPPQSPLSGPSRSGGGGPSVSSLNPAEVAKFAAIAETWRDPYSSKPLEGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNIKIASIHAVIEHVANPLEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPKGTHEWSKLVTPEELALLLQKASVSVEEMAGFVYNPLTGEWSLSDDIGVNYIAFGVKKSKTPSPTDGTESRLS >Dexi6A01G0009510.1:cds pep primary_assembly:Fonio_CM05836:6A:11125220:11127386:-1 gene:Dexi6A01G0009510 transcript:Dexi6A01G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSNAVFASFNILTLLLGAAVLAGGIYAGTPRHRGGATDCERILRTPALILGAAIMVVSAAGIAGACCRASLLLWLYLFLAALLILAALCFAVFALAVTNAGAGRAVSGRGFKEYRLGDYSSWLRRRVEDGPTWGRIRSCLVEAGVCRSLQSNRTFDEFVNDNLSPVQSGCCKPPAECNFAYLNETYWTKPSGPTNSSNPDCNTWSNDQSELCYSCQSCKAGVLGNLKNSWKKIAIINAAFMVLLIVVYSLGCCVLRNNRRHKYTLVGNGK >Dexi5A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:5A:4789278:4793024:1 gene:Dexi5A01G0006510 transcript:Dexi5A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHVDSMCARCTHTWRDTIRRSAAASAPRPLFRAANGTTDQVEKIVKTLNDGQVPPPEVVEVVVSPPYVFLPVVKSQLRPEFQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEGLKVIACVGETLEQREAGSTMEVVAAQTKAIAEKINDWSNVVIAYEPVWAIGTGKVASPDQAQEVHASLRDWLKTNVSPEVADSTRIIYGGSVTAANCKELAAKPDVDGFLVGGASLKPEFIDIINAATVKSA >Dexi5A01G0034580.1:cds pep primary_assembly:Fonio_CM05836:5A:36615213:36621970:-1 gene:Dexi5A01G0034580 transcript:Dexi5A01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLALQLRPDIDPAQLSVPPDDPSAWLNLAITHHIRPAPETMNEVLQPELCEPAPSTATMNNGAVTPQQCGPARAAKNGVSPQQLLWHYKDPQGVARGPFALVQLLHWKQNGFFNDDFRVWRAGQTAEQAILLADAFRMHLTILVKIACSAVCPALHI >Dexi9A01G0007160.1:cds pep primary_assembly:Fonio_CM05836:9A:4132094:4135079:1 gene:Dexi9A01G0007160 transcript:Dexi9A01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTGLWGGKAGGDAYRGTPVVVKMENPNWSISEISSPEDDDEDILATGARRKGGRSKNAKQIRWVLLLKAHRAAGCLASLASAAVALGGAARRRVAAGRTDAEAGVVAAAGESPVVRSRFYAFIKAFLVVSLLLLAVEVAAYINGWDLADSALALPVIGLESLYASWLRFRAAYVAPGIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPKPKSFALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHLKTGSAPNLDAVAKEQLASKKDAKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQVE >Dexi3A01G0008360.1:cds pep primary_assembly:Fonio_CM05836:3A:5848713:5858913:-1 gene:Dexi3A01G0008360 transcript:Dexi3A01G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDRVYEPGDYWELCEALNFLSVNIVSSDVGFPIDVYGTVIARDSLDHKCVYLFRCDRDHSQLINSEDESLVLTGPKRGIVSIDATYVEIDLKIKADGEHNDKELSKGYVDIRGARRATKRYELESRSFDTRLTTVEVIYGVVGGAVEATIEAKVLRGEFCGEITASPVSIENNSLMLRDSKMTSVVHGLQPDLLRLDYDSDDDSDEALTKEEEEEEAEWQRFHQQEVAKGQERAARQLEAEKRILDYDPKQGGTYHNRLYHIYDLASFDHDEESPLLPMRYTSAVYKSKSDYELCEAVNIFSVNIGSLDVDFPIHVYGTVIARDSLDKKCIYLFRRDRDDSQIISSEDESLILTGPKRGIALISNTYIETNLKIKGHPGQEDIELSKGYVAIPAVYVKEKLLLTITADIEDGVETKCINYTPRVNALLVTRPRLPDVELASARAAAPVSPPWRLRPPVLAIASGRDIARLRLSPLAGPPPPCAGLQRCRSAPPTPAVGSCPHRLWIHPIRRSSLPPELEKIGWILLLHSPRSSSLRTRAMENPLFAQVPSVEFRLKGNAVKMARSAKKLWQWIKNLASTIAAGDNDGVEQVVVEMIEGHEYRLQLTPKGGFFIVVVKLPGDWDPTVRDVRLLFSLSDLYLVGFLHNDGVWRLYDNADVVESLASNPNNDDYWKPLGFCGGYVEGMWALEIGMQPLFYALRTLCMYNGAVPANIAVRLAMFQFIVVISKASRFHWKEMSKRIRRGPAAFIPGDGFNTYKELIKKVSLLLSRPPQDELKASLSVKIASSDVGFPIKVYGTDIARDSLDYKCVSLFNRDEHHCQLIDSEDDELILTGPKRGLVLVDAIYVEIDLKIKGDCGQQDRELSKGYIMVDGIRRKPCEKMVVERDSLDSKLSTVEVMFVVVKRSTEATIAIDVVQGEFEGKITAHTTSIQNSLVLYDSRVAGKVTGDGTRSIQLLRSDVVVCVHEVLIVSAVVGTSGDETQHTVEFNPAVNGGDEAEITCASFKMVVKVYWSVMSSRHS >Dexi2A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:2A:28842295:28842630:-1 gene:Dexi2A01G0017090 transcript:Dexi2A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHDDRLAGNSHLDIYNRLRSPTGMPLPARRSGDSEREARHATGSQKPPAMGLVSSSRSLRRRSLISSSSFLIRLTWLCTSSTACSSSCAWPC >Dexi2B01G0017390.1:cds pep primary_assembly:Fonio_CM05836:2B:27793781:27804391:1 gene:Dexi2B01G0017390 transcript:Dexi2B01G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPTRGSNARRSGHRRIQDYLADDQTTTTDASDNESYTTAYGDEFFAAAAGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSAAAALYSAASLPAPPAAGAFGVSPSPRRAPSEGAAPPLSRCSSTSSRIRRKFAWLRSPSPSPSPCRPTPAELQREAAMAARERRREQAQLNRSRAGARRALKGLRFISRTTGSVEAAELWRRVEERFNALAREGLLSRDDFGECIGTGGRCRSSPSSPSSWPQFALLSTQFAIATVLGSSSTPEFLCLAHAGMVDSKEFAVGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYAALIMEELDPENLGYIEVRVPTAAGSCPLPFFFLNKKEVDKYACYRTDTCPASSLARQLWQLEALLLQRDTYMNYSRPLSTASGAQWSQNLGVGGGTLTVAGGGGGGADGGCGGDGDQAREERRRRGWGVRKAAARVRVAAEENWRRAWVLALWFAAMSALFVWKFVQYRRTAAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIAASPEEYALVAGAFGPGKPTYASLLSGTEGITGVAMVVLMTISFTLATHPFRKGEPNASAGAAASVTSRLPAPLNRLTGFNAFWYSHHLLVIVYVLLLVHGYYLFLVRRWYEKTTWMYISVPLVLYVGERMLRALRSNAYTVKIIKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPIISPFEWHPFSITSAPGDDYLSVHIRTSGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREAGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWNEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLAHEMSHKTSTRFHFHKEYF >Dexi7B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:7B:13859685:13861897:1 gene:Dexi7B01G0006240 transcript:Dexi7B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQNLVRWFNSIDAEYGYTLSESVAAYVGKRGVGKSPAPSLKEKVHDSKDPLAPEVVLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYNAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEEMRKERMDGIESKCRNNAVAENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKIEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLINGPDKPFVRILPRHKKCEATGKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIVKEIKMENGVITELVGELHLEGSVKTTKLKTTWLADIEELVPLSLVEFDYLISKKKASFLFGSPIAYLEEDEDFLDNLNPCTRRETPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >Dexi8B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:8B:18978306:18982040:1 gene:Dexi8B01G0010420 transcript:Dexi8B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGGAALVFGFLPGVACVFSAKILGASISFWIGRAVFSYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLFPTVIGCLPMILQNVSIVSLAGAAVASTTGSKKSQIHTYLFPAIGIVSSILISWRIKQYSSALAIPDELKSASTNGNSNGDAKLASAPSENTNSGKTRKRRTAAVTLRDYKQLSDVCPMAPQRERKVFMNGFLCKHPSTILASDFKTLLLNHAGDMDNMLLSSVNMVTGTEFPGLNTLGLAMARIDIAPSGVVLPHSHPRASEMLFIHGGSVEVGFFDTKGKLFQKTLVQGEVFIFPHGLVHYIMNLGFGTATAFSVLNSQDPGVVGITHAMFATGSDVVEGLMARMLRFGEMGLSDNSTAGFPRAY >Dexi1A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:1A:27198124:27200017:-1 gene:Dexi1A01G0020380 transcript:Dexi1A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSGGGRVAAIAVPLAAFALLAAAFVLPPPAFLIGAESAASRERRHEHFLRHVPHELSKAAATSPVPASTRSAAEKVSVGPIEEGLGRSRAAIRRAAREAPATAAESARRSFKDVGDAFVPRGAIYRNPRAFLRSYLEMERKFKIWTYREGEPPLAHIGPSADIYSIEGQFLEEIEDPRNPFAARDPGEAHAFLLPISVCNLVQYIYRLNTSAYVAPMRHMLADYIDVVANKYPYWNRSLGADHVIVSCHDWAPLVSEGNRELYTNAIRVLCNANTSEGFNPSKDATLPEVNLADGILRRPTLGLPPENRTTLAFFAGGMHGHTRKALLGHWLLGSKSNTDPDMDVHEYLPAGQEGDYHARMARARFCLCPSGFEVASPRVVESVFAGCVPVIISDGYPPPFSDVLDWSKMSVAVPSARIPELKAVLRGVSERRYRVLRARVLQAQRHFVVHRPARRFDMIQMVMHSIWLRRLNVRLPY >Dexi2B01G0036440.1:cds pep primary_assembly:Fonio_CM05836:2B:43273985:43276868:1 gene:Dexi2B01G0036440 transcript:Dexi2B01G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQLIDSNLVSIPEEAARALCEVARSCMDPDPNKRPQMAEVAARMKEITALGPEGATPKVSPLWWAELEIMRHRSDPGSSPPNQIKIDLMETSIGGHYMTSSSSSSPPATATLGRHLARRLVQVGVSDVFGVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGAVVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRVLHYTIGLPDFSQELRCFQPVTCHQAVVNNLDDAHEQIDRAISTALRESKPVYISVSCNLPGMPHPTFTAEPACLAAAVDATVAFLDSAVKPVMVAGPKLRVAKAGDAFVELASASGYAVAAMPSAKGLVPETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSSLLNKGKAVVVQPDRVTVGDGPTFGCVMMRDFLSELARRVRRNTTAYDNYRRIFVPEGQLPESEPGEPLRVNVLFKHIQAMLTPDTAVMAETGDSWFNCQKLRLPEGCGYEFQSFALVIYGSIGWSVGALLGYAQGAGHKRVIACIGDGSFQVTAQDVSTMLRCHHRSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAMHNGEGSCWTVKVTCEEELAAAIHTATAGDHKDCLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >Dexi3A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:3A:12220477:12221046:-1 gene:Dexi3A01G0016300 transcript:Dexi3A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGAQTPAPSTPCGVGHGRASARTGAGSRDLLRPCTPAHRRAAPVTGHSRVREVLLAVLVHARMPPGPWPAARARRCSPTCARPPVDARACPRKRASSCPPTAARVHACRRARACTPSLTRLQSRRRCRSSRGQCHSPPSPISTLLPMIPLARDEFLLPARSKRHPRSVFIVPGGGVVWILEVGARVR >Dexi9B01G0039590.1:cds pep primary_assembly:Fonio_CM05836:9B:40239505:40241094:1 gene:Dexi9B01G0039590 transcript:Dexi9B01G0039590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRKLQWRRRGRDGIVQCPHLFVAALALALVVADPFRLSPLAGVDYRPVKHELAPYGEVMGSWPRDNASRLRRGRLEFVGEVFGPESIEFDAEGRGPYAGIADGRVVRWMGVEAGWETYAVMNPDWSEKVCANGANSTTRKQHDKEEFCGRPLGLRFRRETGELYVADAYYGLMVIGQGGGVATSLAREAGGDPIRFANDLDVHKNGSVFFTDTSMRYSRKDHLNILLEGEGTGRLLRYDPGTGAVHVVLTGLVFPNGLQISDDQRFLLFSETTNCRIMRYWLDGPRTGELEVFANLPGFPDNVRSNGRGQFWVAIDCCRTPAQEVFAKRPWLRTVYFKFPLTLKMLTRRATTRMHTVLALLDGEGRVVEVLEDRGREVMKLVSEVREVGRKLWIGTVAHNHIATVPYPLD >Dexi3B01G0012030.1:cds pep primary_assembly:Fonio_CM05836:3B:8414523:8416028:1 gene:Dexi3B01G0012030 transcript:Dexi3B01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACASPSGPSPAAWDATSLAGALKAAATRRSAPHVGPLHAVLIKLGLSASAILATSLAHLALRCGLPRYARDLFDEMPRPDVVSWTSLLTGHAHQGLHRESLAVLRRMVGSGVEPNGYSLSGGLLACAGVGQDALVLGKEIHARVLKMSLHGPVDPVVENGVLDMYSRCGSIEYACRVFRVMQVRNVVAWNSMMAALLGSEQAEEALRLFVSMVSGGVGVDGFSFCIAVDACGELALLKQGMQVHARVVGGGFEADVVVRNSLLDMYAKCGCFYSAELVFKAASSRDAVLWTTMISAYGKFGRTLDSLEDIS >Dexi6A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:6A:21473065:21474133:1 gene:Dexi6A01G0014090 transcript:Dexi6A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRAPRQYYEDPRGYRDAPPPPPARTRVVSPRRLEEELSSRCAEMCRIREENQHLADEIVSLRRTMPRLKEDLHASSQAVPKLRAEKELESRELTQRNLKLEAELRALEPLRQDALHLRSEAGKLQSLRQELAAKVQGLVKELEHQKSENQKIPAMVAERDSLRQDLIQARAALEYEKAAKPELTAQVQAMEKDLVAMAQEAEKLRADIAKRRAPGFSNHGTYGAPLSTPGMGLQGMYDGGYTSTGSRYGTGPWGGSHDPHGYPHL >Dexi3A01G0030630.1:cds pep primary_assembly:Fonio_CM05836:3A:34953423:34954309:1 gene:Dexi3A01G0030630 transcript:Dexi3A01G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDATGQPICQYDLVMFQTTDGESHGRGLAVKSLQGKKISVLCVDGTLVAKPACHVTVVDRSLTGLGMAVAPASDPYGQIGVVTGATTELDLVVHLDGKRVVATGVSPEQVRPVKGLTVGDYVFFSGGTWLGRVIELSVDVDVLFDDRSVCRVTQAGDKLRFVCDNFLTGMDKNGFCPGDRVEGDAAVFKASRWLKGHWKPTHGEGTVARVEMGGVLVYWVASSSSHAAVSESPPPAYQPNASKLTFFCSGSSDRKTYWCVGARCVFGYPYRHCTEEEEEEAAQK >Dexi6A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:6A:9730238:9731049:-1 gene:Dexi6A01G0008930 transcript:Dexi6A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSSAVTGKSVPVPRNSEHREAISSSCTATVFFEPHVEQRVDVAVGQHVAAAALLMRRVVVAGSDLELVLPLSPCVDQWPVHSFQLLTLGLQSLPAATEEACRYSREEEEDVYLRHGVHHHFRHRGGDAAHGEVVEDLAEAHGDEEAEHGVLERVPDADCRTTRMLAPQLCGELGGHQLPELHELQDAGRARDLQREVAAQKPPERAVVGAGDGEGARVAEQLAGCHLWAVGQSGAALHEGGVGEPPVGHGDLQDGAHAVREQRTVA >Dexi1B01G0026490.1:cds pep primary_assembly:Fonio_CM05836:1B:31352583:31357164:1 gene:Dexi1B01G0026490 transcript:Dexi1B01G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHRRELISDPPMRILVIFPVLLLAVAAAASEAEAAATLSSRMVHRLSDEARLEAGPRAGEWWPRRGSGEYYRLLARSDLQRQKRRLGGKYELLSLSKGGSIFSPGNDLGWLYYTWVDVGTPKTSFLVALDTGSDLFWVPCDCIQCAPLSGYRGNLVFISFNQCSVINGASIMQDRDLGIYKPAESTTSRHLPCSHELCLLGSGCTNLKQPCPYNIKYFSENTTSSGLLIEDTLHLDSREGHAPVNASVIIGCGRKQSGDYLDGIAPDGLLGLGMANISVPSFLARAGLVRNSFSMCFKEDNSGRIFFGDQGVSTQQATPFVPLYGKLQTYAVNVDKSCIGHKCLEGTSFEALVDSGTSFTSLPPDVYKAVTVEFDKQINASRVPFEDDTWTYCYNASPLEMPDVPTITLTFDVNKSFQAVNPVLTFNDKQGALAGFCLAVLPSSEPIGIIAQNFLVGYHVVFDRENMKLGWYRSECRDADNSTTVPLGQSQDNSPEDPLPSNEQQTSPAVTPAVAGTATPSSATTNLQKLLACSYPLLLLTVYTVFFIS >Dexi3A01G0030580.1:cds pep primary_assembly:Fonio_CM05836:3A:34596051:34597613:1 gene:Dexi3A01G0030580 transcript:Dexi3A01G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLGQAGEGKLGMPKFFTRLAQIQDNWPSVLFAMAGGVSLGFGNLVLHYAFAFVGLSVTSVIVSSLIVVTDTVEPQNGDSRDCDAISSHAKVGTAEIIIQVEERRSIKVFGSDMLLGLGFVFLAAASFSIFSPAFNLATNDQWHTVTNGSPHLVVYTAFVYFSVSCFVLEICLNVWFLYRLMAGVPASSIRAYIKDWNGRHWALLAGLLCGFGNGLKFMGGQAAGYAASDAVQALPLVSTVWAIVLFGEYRRSSRRTYLLLTGMLFVFVIALAALIDSAGHRKSY >Dexi4A01G0000050.1:cds pep primary_assembly:Fonio_CM05836:4A:41640:42560:-1 gene:Dexi4A01G0000050 transcript:Dexi4A01G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPAAQRYYCHQCDRTVSIAPPASPDADVFCPRCAGGFVELLPPEAAPFFAPPPSFDLRHPSDLSAFFGPPSPDSLGPGLFDPSNFLHDHFGGLLSGGATIQIVLEGGGAPALGPGVSLADYFMGPSGLEQLIQQLAENDPNRYGTPPAAKDAVAALPDVAVSADMMQADGGAQCAVCMDDFQLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPDYHHNHQPAPAPAPAPATASSSPRVVERRFRISLPWPLRAAFGAAQAESSNPTNDDVPDHDASGGGPQTQTGYDDLD >Dexi1A01G0016650.1:cds pep primary_assembly:Fonio_CM05836:1A:23918202:23920859:1 gene:Dexi1A01G0016650 transcript:Dexi1A01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATARRRVRWVKEWVPQDLVVAGGPCALFKWVREDRLAALKIRDKEQGAEPATPEPNTEVLFLCSYEGCGKTFFDAGALRKHAHVHGERQYICHYENCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKVAGLQNPDASTVNHNALGDYHQPPKPVKVSATPPAPYAERPYVCPYEGCNKAYIHEYKLNLHLKKEHPNHFQDGGPQGSAPSSKRVLKSSRRSKPDITTRMPPVKAPKRRGYAAPLPAMNVPDENQWSRIATYEDDSEETEEDGDNNVEDGWRYNKAVGSDDEETEDEE >Dexi9B01G0008550.1:cds pep primary_assembly:Fonio_CM05836:9B:5228484:5229280:1 gene:Dexi9B01G0008550 transcript:Dexi9B01G0008550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTVADTAEAAASRGDQAEAGGGARLQITRRAHAASLLLGLTGMAVAPARADGESSGGGEEGVLGAIKSIFDPNEKTKAGKVLPKAYLKAAREVVRTLRESLEGDDGGDMAKFRRNADDAKASIREFLGGWRGQQAVAGEESYVALEKAIRSVAEFYSKAGPSAPLPQDVKNKILDDLSVADASL >Dexi1A01G0028610.1:cds pep primary_assembly:Fonio_CM05836:1A:34265022:34265597:1 gene:Dexi1A01G0028610 transcript:Dexi1A01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKEEQKEKKNPNRRKKSKSNAASPPSGGGRKGPAKGRLFGASPPRRESLAAGRQLRREGSRRERERGEELETPRFLVKLEASRGTPLPPLLHLRRKVEEDLEEEPMAGSTRSSRRRRPWWPRRPAPPPRQIEPKSTFLVPQSSSSVLLFLLLRLRYRRRSSASSARRHSPRRAASPRRSRKGRGCWGQ >Dexi6B01G0001240.1:cds pep primary_assembly:Fonio_CM05836:6B:1011789:1016728:1 gene:Dexi6B01G0001240 transcript:Dexi6B01G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAPWWWAAVAGAVVLAVVVLDAGVRRLHGWYREAALGAERRSRLPPGEMGWPVVGGMWAFLRAFKSGNPDAFIASFIQRFGRTGVYRAFMFSSPTIIAATPEACKQVLMDDEGFVNGWPKATVALIGPKSFVAMGYDEHRRLRKLTAAPINGFDALTSYLPFIDRTVRSSLKTWADVSADGSEVEFLTELRRMTFKIIVQIFLGGADDATMHALERSYTNLNYGMRAMAINLPGFAYHRALKARRRLVAVLQGVLDERRASMAKGFARSGAMDMMDRLIEAVDEHGRKLEDDEIIDVLIMYLNAGHESSGHITMWATVFLQENPEIFERAKAEQEAIMRSIPATQQGLTLRDFRKMEYLSQVVDETLRFVNISFVSFRQATKDIYVNGYLIPKGWKVQLWYRSVHMDPQVYPDPKKFNPSKWEGQTPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYRLVRKNPSCRVRYLPHPRPVDNCLAKITRVSDEY >Dexi9B01G0033150.1:cds pep primary_assembly:Fonio_CM05836:9B:35331875:35336130:1 gene:Dexi9B01G0033150 transcript:Dexi9B01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQPSPQNSPPATPPAISPTPPASLLSTSNPAPARRRPTMPRNFRKRSFEPEADDRSDDEDTRRVALEEIKYMQKLRERKLGIPADPAAASTNGPSARGRVGGGGAAIGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKMVDAGQKEEMDHVDELYTVPEHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAGKPKSDANIPSSYSADYFHRGKEYDEKLRRENPGLYKDNNSRPNESAGVKASDTKNPNGAAGRREAASDEFMLERFRKREKFRVMRR >DexiUA01G0003770.1:cds pep primary_assembly:Fonio_CM05836:UA:7802320:7808602:-1 gene:DexiUA01G0003770 transcript:DexiUA01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSISSAISSRGESRLQAAAWIASSFDSQMQWLTTVVPSPPSGRSAALVILASPNIQQPDRIRAFSTVREDVKTPECMALSSSMVSDLCEDFVAHDSGQHGLIRRCKPQPREEGRARFRTQPPSPLPRVVSGSHGFPNGQRGRPLGPLRRPSVLPPSGGLPYGASGGLFAPKPLATAEIPPHRLANRPDTWLITPSRARATRQAALLPLQAFASLGDSHHSLSLFAFLIFSPPLPSPIPLAFLSTRLDSSFRSSPPPGYRSGQSTLFSLVFCSLLYSDFVACFHGPSLTGLPSLLAHSLAQ >Dexi3B01G0005490.1:cds pep primary_assembly:Fonio_CM05836:3B:3712979:3716899:-1 gene:Dexi3B01G0005490 transcript:Dexi3B01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGKLAGTAVANATISFWINKAFTCLTDYCKAEGLEDVRGRVLKSMKKVQVVFDIVDPEYIKKQSSALDVWLWQFRDAVEEAEDVIDELKYYELREKAKDHKVSDCGSSSAKLKHKFLKSVKHVGVMDKTHRGILKRLRKALEGLEKAATEIVAILTVTQHLKDNTSGSQRQLNLMNTDNDTGSTLAEPYFVGREKEKQTIVQWLAITPVEASEIVRSTHSVPIFSIVGHGGMGKTTLAQRVCEEEEVVNNFKIHYIRTINVLCISDCKELESAPRFDEMGHLRELNIRNCPKLRVSGEIEGMIMSPLLKKLIIKQSGDVGHLLMKSLHGLTNLSELELENCPGLRSLPSADVCKTLKSLKFLEIIGCENLSSLGGLGSLRSLISLKISSCSNLIAPHESGIAGDAAADGDIGRAWLPALASYVIGLWRRFWTPHPPRYRGLAGGGWEPSVLVAQEENPVVPDSNFQIDYLEIDLPYVLNIQPLSSLCHTKGLVIRGGTQMESLPEQWLLQNHNELESLKVLSANSLESQPPRMRDLRSLNFLLLSGAGKLRSLPDLPSSLKWLHVMGCCPELEAQIRVKDSTEWNKISHIPKVHIAAARPVQSGPHIFHSTYASL >Dexi3A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:3A:1724928:1725296:1 gene:Dexi3A01G0002580 transcript:Dexi3A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWWSSSKSTWEQQELRHAVLQLSAALGAPIPISMAGALAPLSRFGVDSRDLCFICVFFSQFVWDYCYEEVLPNLRFLFSGGQRRRRGLELLLERGLELLVLSLCSFSLTLRGFLPLYWPAG >Dexi2A01G0011110.1:cds pep primary_assembly:Fonio_CM05836:2A:12712433:12713204:-1 gene:Dexi2A01G0011110 transcript:Dexi2A01G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLHVLESITNNFSEESKVGSGAYGNVYKGTYDGEEIALKKLHPLQGLDDRAFDNEFRNLSLGGSLEKHIADKEACNLDWPTCYNIIKGICEGLNHLHNSQEKPILHLDLNPSNILLDENKTAKISDLGLSKIIASTETYETKTLRGTL >Dexi9B01G0001470.1:cds pep primary_assembly:Fonio_CM05836:9B:861693:863101:-1 gene:Dexi9B01G0001470 transcript:Dexi9B01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVVDEIADGRADPPILTSPCSNPAARQHVNCLVRQTGRRARGGHHEANEGGVAMDSPTSAAARPDFYDFLDRMRRPAAADFFRSIKRSVAPFPVPYSGEVPPPKNRDLIVERGSRAMDLSNQGLVLPGSFLVSFSFHEPNAEEDGSKVQAFLTEMESAIRDHPLWANATNQEIDYALEGSSIGGSTRTVPENRTLAEFGWSSVNIDWMGPTLRKKKAFLFRFCQMAQQDQGSSSTLQDATTDMEISEKIAASPGYT >Dexi5B01G0028510.1:cds pep primary_assembly:Fonio_CM05836:5B:29866373:29868763:-1 gene:Dexi5B01G0028510 transcript:Dexi5B01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASAEEDQAAASASAPAPAPAMGGEEAAARAAQKRYEALLAVRAKAVKGKGAWYWAHLEPVLLPPADTGMPPKAVKLRCALCSAVFSASNPSRTASEHLKRGTCPNFAAPPPGPAAASASQQSPTPTPHQQLALPSNAAASSPIPISYIAPSSPRHQQHHSNPHHHHHSSSRKRHSMPPAYTPAAPRQHLVVVDPSSAYSPALPALPAPPAPHRVLSGGKEDLGALAMLEDSVKRLKSPKAPPAAMIPKPQADAALALLADWFLESSAGVSLSAVSHPKLRDFLRHVGLPDLQRTDLAGPRLDARFAEARADAAARVRDAVFFQLAADGWRDQVVTLAVNLPNGTSVFHRAVPVPAVAPSDYAEELMLDAVASVSNSSDQLHRCAGIVSDRFKSKALRDIERNHHWMVNLSCQIQGFNRLVRDFARELPLFRTAAAKSAKLAAYFNAKQPVRSLLHKHQIQELGHASLLRIAHVPFNGNGSDFRAAFEMLEDILNSAHPLHRAVQEDSYKLVCIDDSVAREMGEMVHNEAFWIEVDAVHSLVKLIMDMVKEMEADRPLVGQCLPLWEDLRRKVRDWCEKFNIDQGVALNVVEKRFRKNYHPAWSAAFILDPLYLVKDASGRYLPPFKCLTPDQEKDVDMLITRMVSQEEAHLALMELMKWRSDGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATSRSFKCTPSMVRWLSSPGSLASGTNRAHRLVFVAANSKLERRDFSSDEDKDAELLAHGANDVANEPGNVEPSSV >Dexi6B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:6B:9112640:9116037:-1 gene:Dexi6B01G0007720 transcript:Dexi6B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATAGRAASPSPPPQLPSPFAELVKTPSGLEKVVLRGARNCCAEVYLYGGQVTSWKNDNGEELLFLSSKFGTHGNLEQHGFARNRFWAIDSNPPPLPVNPAIKAFVDLILKPSDEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNKDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFETEVDKVYLAAPSKIAIIDHEKKKTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKNMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLQG >Dexi4B01G0014540.1:cds pep primary_assembly:Fonio_CM05836:4B:15661996:15662751:1 gene:Dexi4B01G0014540 transcript:Dexi4B01G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVSASTTAQYAGIGVFVAIVLFVVLYYSRSFNSVFLTRQHGAAGTGGDDAVTTVLPGPVQALGLGPEDVAVLPTFTYHSPSPGRGSATAKTPPAMAADCCAVCLDELREGALVRMLPSCKHYFHAGCVDVWLLSHATCPVCRGSPGPEKVRLGVASLSPPLPQLRRFGFGAAAESPEGGETSEAKEGVVSSRSPSPVRWSPMHFELMVAMGNGSLAVSPSPTRPRTPDSRMCRTRSPSPGTLEPHDVGV >Dexi4B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:4B:7252151:7252798:1 gene:Dexi4B01G0009960 transcript:Dexi4B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSSVMLYMCAAAFLAVAAHATDDAHHQYKTGDVVTTSCANLCESTLRSDKQSTTAKNTRDLALVAMDLLLRTTTKVDSVLRRHSGSGHHSRSTALTLQYCQLDYAAIARTVPKCRAMVKEYKPIYPPNPEVGNVYYNCVAMLGQAASDCWGYVLVDQELAKVVSMEVGEVFQRATLVRAMIEVMTGFSDNNNYDNDN >Dexi2A01G0000800.1:cds pep primary_assembly:Fonio_CM05836:2A:455551:461708:1 gene:Dexi2A01G0000800 transcript:Dexi2A01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDADEDDDDDVVEVVGEDNRHQLQQQRHQELDEDVDDEEEDDAGSHAHARSGGYHSEEVDGEAENGGEGEGESEGQLGMEEESEGEAHRADLDQGESDADKVQSSPERELDDQGMEPDARAMDSDDEGYQQRMVSSRRRGVVASESEGSEDNYYANGAQEDDEARQTRKPSSPMEEERDQEVVRDVFGDSDEDEPAPYHARHEIDEDSHRSPMDDEGQYERDMHPNDVVADEDMQYESDENRELKSKEKPVGPPLDLVVPFKQPPARPDKMNVIKVSNIMGIDPKPFDPKTYVEESVFVTDESGTKKRIRLEDNIVRWRTVRNADGTKSHESNARFVKWKDGSMQLLIGNEVLDISVHDAHHDQSHLFLRNGKGILQSQGRLLHKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETQDPEKVKQENERARGQNIRAHSILQRKREKVTRKYTQPARPRRQLSPGFLEDALDEDEEPEYGSWRGPGRRRFEDELEAEALAERRIINAKKSNMSRNVPRKPSYPPARPPRRQADEYSESEREESEYETDGEDIEHSPTRGREDELDEEDEYEEDVEEAPLSDEEMEVMELRSAPKRKRESGGGSHRREELVSEEEDDDDSPPRKQQAVQHRRKAVVFDDSDED >Dexi5B01G0003840.1:cds pep primary_assembly:Fonio_CM05836:5B:2641161:2641808:-1 gene:Dexi5B01G0003840 transcript:Dexi5B01G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTAKNPASDSRQTGLFAVSRWIWLTANLPLCHTLFNLHFHIFLHTSLKNFTRTPHTAHFPQPLLPPPAASPDRRRRQAARRVRPPGRQPRLPRLPAAPGRQAGQPRPARPASSASRARPGPQSSAPPPSSAPGQADAGPEVCCPRRRAAVHSR >Dexi6B01G0009160.1:cds pep primary_assembly:Fonio_CM05836:6B:13255820:13256250:1 gene:Dexi6B01G0009160 transcript:Dexi6B01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFSAGNPDPLEMERAKKVLKEHEQSLIDAIARLAEASDGEGGKCNA >DexiUA01G0000400.1:cds pep primary_assembly:Fonio_CM05836:UA:1931772:1937521:1 gene:DexiUA01G0000400 transcript:DexiUA01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASTLLKSSFAGARLPSTPRVSSPSSVVVATPRSAGPICASISSTTPPYDLTSFRFSPIKESIVSREMTRRYMTDMITHADTDVVIVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELDIAYDEAEDYVVIKHAALFTSTIMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGMDAWNKSITR >Dexi1B01G0022010.1:cds pep primary_assembly:Fonio_CM05836:1B:27740437:27743151:1 gene:Dexi1B01G0022010 transcript:Dexi1B01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRNGAAVATTRPYNRSSVPRLRWTNELHRSFVRAVDCLGGQDKMQPRQGHRKHSFAIDEGGPKEFFICPPMKRAKAGAEAAAMAMHESMQGNSDIVAAGTRRCGDDYTQRVPVGNRRITECLRWQWQRNAAAAPTLQELGVWGRGTEPFKIGRPITNQSPVMRHLSSKEIKNRCFLFGTSTTDEAARRPFSPSRPPSSIDPKAVSALSSWPSEASCVLSPPSSSTSFSGCSMPGSCLLAGQRINLDLSLSICGS >Dexi4A01G0011160.1:cds pep primary_assembly:Fonio_CM05836:4A:9019737:9020734:1 gene:Dexi4A01G0011160 transcript:Dexi4A01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAAAAGGHAAAAAGEEDAMMLLKKVFFTGAFMAFLAVGEARAVTTTLCCSNMTGFQECVHDCFAYFPREQAEKICDPGCKEAYQCRTVSGDKCPTGHLTNSEFTSLFGAAEGNASVEKCLSGCRSSVCNKMVTGVGSERAAAVKHALGRCNNACYNFCTKDLSAGTATA >Dexi3B01G0036250.1:cds pep primary_assembly:Fonio_CM05836:3B:39103975:39104558:1 gene:Dexi3B01G0036250 transcript:Dexi3B01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRARGFKGRNLLHFAAEKGRLDLCRFLIEESGFNPNSTSAEGTFLTTVVTRQRPMTGGTRRCTTPQSMGTMRL >Dexi5A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:5A:1109665:1111725:-1 gene:Dexi5A01G0001560 transcript:Dexi5A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGKMGELRSEEVIAEFDRLTRNAAAVQLETLWRILDANAGVEYLQRHGLAGRTDPDAFRACVPVATHDDLEPYIRRVADDGDTSAVLTAKPVTSISLSSGTTQGKRKYLPFNDELAKLTMHVYRTSFAFRNRAFPVDDGKSLQFVYGSRQLTAKGGLTATTATTNLYRNKEYKAAVRDIQLPCCSPDEVIFAPDFTESLYCHLLCGLLAAGEVRLVFSMFGHNLALAFDTLQHVWQDLCRDIRRGGAPSPARVTDPAVRRAVPDPDLADEVARRCAALAGDGWYGVFPALWPNAKYVHTIVTGSMEHYVKKLRHYAGGLPVVAMDYGASEGMVGANVEPEMPPESATFAVLPNVAYFEFIPLKTINDVAACPDATSYAAAEPVGLTEVTVGEHYEVVMTTFAGDVVKVTGFYNSTPKLKFVCRGILTLSINVDKNSEQDVQLAINRATKVLAAKRLEVMEYTSYADVSSDPGHYVIFWELNNAEGNNDDGVLQSYCDELDRGFVDAGYVSSRKTNGIGPLELRVVRPSTFQKVMEHYLSLGAPVNQFKLPRCVAESNSSVLRILSSHTIKVLFSTAYE >Dexi9A01G0030100.1:cds pep primary_assembly:Fonio_CM05836:9A:35030948:35031211:-1 gene:Dexi9A01G0030100 transcript:Dexi9A01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVDGAVGASGGVVDVEGTRKGTRSGERSCCCTYHHARSRVSAHLSARSREAGRVRARSRLAALELALGAPLYRYARATAPLLTP >Dexi6B01G0011280.1:cds pep primary_assembly:Fonio_CM05836:6B:18475970:18493610:-1 gene:Dexi6B01G0011280 transcript:Dexi6B01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKRADGSLCYYDCDMDGGLGKGTADGEEEEVYVHKGWQQLSGVTADESSSQRNRTKVLTSQANVVHVDKVIINKKLKDRLSRVMESVPRVIPDNEPAEVECQAATGEALGPSVFIQAKTTATALQDAAGPSKVWDYSGPPFNLGFDSESQEKDEMANSQPQEAHVHVQAQPEEVQQDQDVHVPPHSQLARNEERPYENVGQIPNFIWVWKEYIAFDCDFEDFVIHYAPVPKEKNDLWTMNDNGIFVMKFLELWDPYADMNSRFQAANVNDARINNSSSSLTCNIGYLNLSRPFSMWLHLSKFKHPSNTTSTNFLENVCHILAAQVLLQLSYSSSHANHAAIRRSTKGYSQSNVSRLVPQVDWWSKGSRICSILFLLLLFGIVSSSMAAGGRPHQRSMESRNNLFIATATSHDALPPDVLFDVLLRFPAKEICRLRAVCRTWRSLPYDPTFAKAHVGDRRRR >Dexi1A01G0014090.1:cds pep primary_assembly:Fonio_CM05836:1A:20135097:20137743:1 gene:Dexi1A01G0014090 transcript:Dexi1A01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGTEEVGVEGEGKAGVGGAARDGEMETGTEAAAAAVSLETLRKRMADFARERDWEQFHSPRNLLLALVGELSETFQWKGEVPKGLPGWDEAEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVDQCKGSSKKHTHYGSTNSDSTNDNVNTSNKEHSNGA >DexiUA01G0009860.1:cds pep primary_assembly:Fonio_CM05836:UA:19379085:19381907:-1 gene:DexiUA01G0009860 transcript:DexiUA01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMGGPDGDGGGGHPHHHYHYQALLAAVQNPSQGLHPFHVPLDHHQAPPAPPVGLGPGPGAEPPSPHNAAPHSQPSRGFADWSASNSAFASVAAPSAPATTNIPFHYNLSQSYALWTHYMLNKNAPSYSSYPAPHDDIRHAHIAPDKDSGSTSNLGFDSFTTMSLGPNICANMSPMEGSISAKEPDNSEDLPTVVRNSEEMDTRDSDEVHCDTVGTLAESKPSHESCTTKFNSGEYQVILRKELTKSDVANVGRIVLPKKDAEASLPPLVQGDPLILQMDDMVLPITWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNSVPGNFVIRGEKAIQQTNP >Dexi1B01G0022710.1:cds pep primary_assembly:Fonio_CM05836:1B:28392882:28393402:1 gene:Dexi1B01G0022710 transcript:Dexi1B01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSAPGPTCGVRKKRMLGWCLSAPAPASDFLRDRYGDTDLGNATAGAGGGACVGAGAGGEEAPFRSAASARRRSRRHVAQTPPPPLAWCGCFLHLMPIPSRLLLSSHLSSVDGGHGHTGNKPTPRDGLDEARRGEIPTAQRGRTHLPSFTLLGMA >Dexi5A01G0000760.1:cds pep primary_assembly:Fonio_CM05836:5A:516730:517368:-1 gene:Dexi5A01G0000760 transcript:Dexi5A01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSFPGGEIMCMEGGRRRGGNKKQQPEQKASRQPQRGLGVAQLEKIRLHNQMMAAYRSGAGLQQQQDAVRPHHHHLQVPGASSSFQPYGGLTANNCFEETERGIVAVHYQLPPFASSPPPPSLFAHDVRDSSGHRLGQPPPPQPQQHYWISCTSGGPSSRSGHGAGAGAAEELDLELRL >Dexi1A01G0006200.1:cds pep primary_assembly:Fonio_CM05836:1A:4641127:4655111:-1 gene:Dexi1A01G0006200 transcript:Dexi1A01G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHELRAKGPAILASTVPSGLSHRLPATTPKHCRRTTTTMPKHCKSLYMDSAALQYENQKLVQQLEAQKSDMHALEGKFKELRDEQCSYDKTLISLNNMWNQLIDDLVLLGVRAGGDLGNLQALDHEELSEESFESCPSEEIFLLRLLKSSNFKNNNEISLVEFVEQALAFRCSVTVTLMKSLQEAISSHQARSESLALAFNGQKSNEDVIVALQNHNDHLKEVVENASQAISIVNEKHKRYLDEIEASKSNHSRELQEIKRISGELEESMAELEESRRKLVVLQLQRHGSVMDGSDANAVNGGISTDKSSDKSMSWQDLKDAVDAAKTLAGNRLLELHQTQEDNLILSKELGDLEGQLKDENYVLVSKPYTIINDQLQHLNAEIERCRGLVEVLQNDKDQLMQKEKEICAKAESFDSIKQTITTYEAKIEELENQIQIFMIEKNELETKVEETLQDSGKKDFKNEIHVMAAALSNELGMMENQLSRSKDASSEALALPHTLSQLKDMLAVEEQKEISAKYNSQLIEIKSLKALVEELEKEKQELQFIADMYTKECSESRTIADIEESENRARNQADYLKSSLEEHSLELRVKAAGEAEAACQQRLSHAEAELEELRAKVDASERDVVELKEAIKIKEAEGDAYISDIETIGQAYEDMQTQNQHLLEQLADRDDFNIKLVSDSVKMKQASSSLLSEKLMLEKQFKQANTLLESSILKIARGEEQMKTCVAQAIKTSAENRHLTISLERTALDVSTTEKELKWLRSSVGTSEKEYEQTQQKISELRVLLEQERSERRRLEEQYEEVKNEVMELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQNDVREVKI >Dexi2B01G0036560.1:cds pep primary_assembly:Fonio_CM05836:2B:43340973:43341985:-1 gene:Dexi2B01G0036560 transcript:Dexi2B01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEISSKRQELRQHLIGRRASAFLGLPRGLPRGAPPEPLPLEAGLLRSKSRSDSESVVPFFLGSQSSSESSLRASSFLFLPLLDAEGVEARASLVSGGRPSSKSPEGVEGSEVRLGDGSLDPVGEAHAIGGEVVQRGDEIGGGEGARRGGEGSLDAAGELVEGGLDGGVEVLAAVVVELGDGEVGLVGPELGGVAPGEGLVAEVGDEQLAVVAGAGGACGVDEARPGGVVELLAPDHVARREAADPGGVGIRRSRAGGGRGVLAGAAAAALGRVGGVEVDVGGRHGLGLGFGGRSSGFEEEI >Dexi1B01G0018840.2:cds pep primary_assembly:Fonio_CM05836:1B:25001010:25001273:-1 gene:Dexi1B01G0018840 transcript:Dexi1B01G0018840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIWDRKKRARSGSGTPSASDTDASASRSRVNWSDSVASQTTSSHTFFPRASRMGREQKSSSHSRIASGSASGSR >Dexi1B01G0018840.1:cds pep primary_assembly:Fonio_CM05836:1B:25000603:25003158:-1 gene:Dexi1B01G0018840 transcript:Dexi1B01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRHDRGGDGQAGTIMRWRARGRDRAERETEVGLPGGLGSGRFRRRARPWPATMAVAVVMAGAGTHGHTQKLTLLVASRARSPH >Dexi1B01G0018840.3:cds pep primary_assembly:Fonio_CM05836:1B:25002730:25003014:-1 gene:Dexi1B01G0018840 transcript:Dexi1B01G0018840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHTGGGGRSRRSQNPTQPSELRFSWMARKWRPPHAFFAASQASASCVAAGMYLDDTPADRIRLALPVSTSIAQISIPFIHAENG >DexiUA01G0015880.1:cds pep primary_assembly:Fonio_CM05836:UA:34026753:34027226:-1 gene:DexiUA01G0015880 transcript:DexiUA01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRGRASAAVFRGLAAARTRPAARAVHEGPDTIEELLDRHLVKKPAVVLDDDAAEAEARRRLTSSRREALGLYRDILRATRLFAWTDDRGVPWREVLRANARREFEEARWERDPEVVARLLIGGRDAVQQALDRLAEASRRAIEAEEAKRRGGA >Dexi5A01G0011840.1:cds pep primary_assembly:Fonio_CM05836:5A:8877442:8878861:-1 gene:Dexi5A01G0011840 transcript:Dexi5A01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPDPGGVGAGAGFEGAAQPLEPGAAREAGLGNGGRGGAAMAIGGTTTGVESASIRAVRPRLGSATGGASASTELARLGLALGAAGPMRPGGLQAALGGPGASTGATRPRELCAAPPGKVGAAPPREPNAEALTGGGPPEAQGMGTGHRAWLQGMDPNSTFTLKIRLFGNNKKMREGYTYGYFELVIDSDLSNYKDLVGEIVEKRLSGYLEFAHCQYYDDVLNNFPEIKSDQDLMLMFKKHSKTKDSYLCNPLPENEHVGVDEEVLYLEKAPVEVVAEDNDKECFGHGDDEGDGGDDVVAEDNDKECFGHGDDEGDGGDDGEGGDDGDSELEIGYGSELESDP >DexiUA01G0013870.1:cds pep primary_assembly:Fonio_CM05836:UA:29191771:29194911:-1 gene:DexiUA01G0013870 transcript:DexiUA01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAPLASSSPPPPSAADGDGDGVGGCAAPRCSSPTPKPRRRTSPNRSAGRSRKSPGSRDSGGSVLKSVNKSKAQFKKSRSRRSGSPIRWSPRKKTESFMKRKIKQLQETDGMTASLHETLGNANPHYTRMAREKIAAREAARKATEARKAAMVEASWCRILRAARIQNKSAEEVLEKAMRRATEAFEEARAVGVMMYDKPDCPHQQYEVESSSHTGGQSTHKVTASFQTAFQVDMEVSAAVKKAFVKLANSPDSAEREEFKDLLWKINQNPDLEESGVNSDDKQQQLGDCGDESNMELNKGNLTVSGVPSDFNTTKVKESIDLVKIMLERLKALHEDELASLAVIVATSGLNAALQSERGKYHETDPVNSTGARSLRSQSRRYSTAVSFVDVQGPKEEVTSELPSLDKFLVKHLSKLEREVQEARETSRKATFIKSVAQEGAHSQVLSSIAKAAESTSDLGSILVKHVSKLEKEVLKAKKNTQSIHSLEGNYKDVEASVSEAENKSELNGRDDSNISSDGRNHIQEDKENINVDAGLDKIFVKPIHRLEKEKREAREGWTNVPHDQRKIGNNVKPSESLDQVLVKHVSRLEREKMEYGKRKALGEGTNMQNDKQRSGNSTTAVDSLDQILVKHVSRLEREKIEHEKEGGMILLKKTQTQCADGTAESLADVFVKRPSKLEQAKLASAAEDKPASGLNPVAERRRAREKELQDAWGGMGLGNSMKPHVSKIERAKAAWRAEEEQKQMSAAEGL >Dexi9A01G0000010.1:cds pep primary_assembly:Fonio_CM05836:9A:6828:7478:-1 gene:Dexi9A01G0000010 transcript:Dexi9A01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVGGAATSSSSRARRIARRTRDSCAAVLANTLCSLLLGVLLVAGVVLFVLWLGLRPHRPRFHIASFSVAGGLDPDSSPAGASLAFNVTDRNPNRHIGIYYDAVHASVHYYDALVASGPAFAAGWYQPNKTTTSITGLLDVLGPTTTDASWPSFSAAVRAGRVPLRLQLTTAIRFRVTNALHSGRQRMHVSCDLLVGVDGHLLPDSVGAACDRYF >Dexi2B01G0024710.1:cds pep primary_assembly:Fonio_CM05836:2B:34075127:34077179:1 gene:Dexi2B01G0024710 transcript:Dexi2B01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENGDAAEPSSSQSDHPFTQQQLPACKPILAPQTVPKDMKQPIYIYYQLDKFYQNHRRYVKSRNNKQLRDPKEVNNTQYCKPEATEHGRPVVPCGLIAWSLFNDTYSFARGNLVLMVNKRGISWRSEREDIFGNKVFPRNFQNGTLIGGGRLDPRLPLSRQEDLIVWMRTAALPTFRKLYGRIEVDLQANEMITVTLQNNYNTYSFGGKKTLVLSTAGVLGGKNSFLGHAYVVVGLACLLLAVLLTLLCLVFPLREENLALRYPLSRFAR >Dexi7A01G0008020.1:cds pep primary_assembly:Fonio_CM05836:7A:19209321:19214224:-1 gene:Dexi7A01G0008020 transcript:Dexi7A01G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIVEKTERSEIQDIDKKSMDSTDDSSTSDSCSDVSDLENLAKAALVLFRIKELQSMQPHVVNPIPKLTGAQWMQLNLQDPERRLGLRYHRPRRPARPAAGGAHWVIPFGPFYPRPPSLFRILIRCGHLAGGSACSTILVNSSAVSPPSSSVDHCCGHLRRWRLAANPPTGKPLSSCNRKPRPPDKRAAAAFRSIHRPRLSSAVSSKVSYRRRGLRASCHEDRLSALPDDLLLLILQRLDTRSVLATATLSKRWACLPRSLDALDFRVSDILPARYYRCIRIHAKAADYTYGFAVDLNMLRANIERLLHSTTEGLPCTHHSGLAKFTEVHCWFGQIKLYALPMLESMAVVETMVSYKLSSFPYLTHLNITFHRGVGKTRFIRIRNDYDLSDYLGGTPGITDLIIRFTGYQRWFKPWSLTLLYPKLRRLLVADVPSSWDVSWPRLLIEAAPCLESLHIHIAPWEEEPHDDISWQPSEFCHNQLKELVIIGFQGTERQIYFVKFVIKVSTSLQLVSLYKNGHVQDRGLWDWDMVPRKYQWVNEEVKILNQIADSAPSAATPVQVVLE >Dexi3A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:3A:6095171:6096998:1 gene:Dexi3A01G0008740 transcript:Dexi3A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAALDANTPPAASPLYPTGCPCIPPCLYCAHICCSCRSSCCFRSISAICTYDGGGTASDAANGSPPTISTVVPSGAGSISTGAAAGGNATLLALPHAACEATCCRTTPWSYIPPRSKVSIPPPSAAVCRERLLAVSTSASSQSAFPFSAAGVCHADVTAAGASSDSDGNATQLLVVVVAGGGAAEKSASASLFSCSSTVAAGSLRGRRDAGVVAPGVEGDEGVNLLGRGHVGLERLDAFRVLHAVEEAVEDGGEHAGDVAVEREALPEQRVERGEHHAACSCEPRRAAREEPVEQVAQLPCSEQEPLGVHVAHRRRRRWRRRRSRGEVVVGESDSGWRREEGDEEADAVIEVGGVGADERRVVPRRGVGVVAEVEEEGQHGLAGAFPRGRRAEELGEEVRVPSAVGVGGEAVEEGEALGCDDVVAGAREAAGEGGDAGALGPAGGEAEHLACAAVVGGRVLVGGGAHDGDVETTITSSASALLGVGED >Dexi1A01G0032270.1:cds pep primary_assembly:Fonio_CM05836:1A:36904658:36906054:-1 gene:Dexi1A01G0032270 transcript:Dexi1A01G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYCYPHLAVSLAAHPAASLRRRSPSSSSAPWRTRTAAAPWRTRTAAAPWRTRTGRSDDDDDFFTVDLDNYAADWPESDDDSDSPWEGALVYRRDAAVHHLEYATTLERLGLGDLSSHDSRARAAAMGLGAQAQAQTPVLISLDVTRRRGRLRLDGIVRTVITLGCFRCAEPAPEGIFANFSLLLTEDPVEEPDVIDLGTIYEEDIAKGASLTGTLEEDDQDVDWDDRLHFPAADKEIDISKHIRDIIHLEITMDAVCSPSCKGLCLSCGANLNTSSCSCSKDKPQERKNVKRQGPLRDLLKPIQKR >Dexi9B01G0029750.1:cds pep primary_assembly:Fonio_CM05836:9B:32178426:32179283:-1 gene:Dexi9B01G0029750 transcript:Dexi9B01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDELKLLGMWASPFVLRARVALSFKCLRYEYVEEEIFGNKSELLLKSNPVHKKVPLLIHNGKPICESQIIVQYIDEVYGGTGPSLLPNDPYDRAVARFWAAYIDDKVVFSSFMMMITGKTDEEMAEGTKQLLAAAETLEGALKECSKGKPFFGGDSVGYVDIALGGFVAWVQTRDRLSGLRHFDFDKTPLLAAWLERFAALEETKGVMPDVEKLVELSKRRQAQADAAAAVIQGNRSG >Dexi9A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:9A:1314106:1316225:-1 gene:Dexi9A01G0002460 transcript:Dexi9A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRENSRRLSGSRQFRQRLVLATLTSTTVTIDDIRSGDAAPGLRPHEVSLLRLIDKISDHHTIDLNETGTKLRYRPGVIIGGKGLEHDCGVHRGIGYFLEPLILLGLFARAPIAIRLKGITNDTKDPSVDTFRMTTLHMLKHFGVPLEGLELKIDSRGSPPLGGGEVFLRVPIINSTLTAANWTNEGMVKRIRGVSFTTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSPGYGVSLVVETTTGCLLSVDVTVSYPNVDEMNEESEKPELMSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYGIETLRNIRDFLDIKFVIKPDPNSNTVTLICVGAGVKNLARKSS >Dexi3B01G0021100.1:cds pep primary_assembly:Fonio_CM05836:3B:16005247:16008826:-1 gene:Dexi3B01G0021100 transcript:Dexi3B01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHRLSLEHIWLVVAVCFLAHGKTTSGLRPHVSHPGPPIRAVSLGGWLVIEGWILPSLFDGIPNKDLMDGSSLQFRSLAWNANLTAEQGGGAAVVAVPDSQINASYATFKVWRIDETTINFRVFNKQFVGVGSNGAVLATAAGAGGDVQDRAQRRRRKQGPDQGAERALPAGKLSVKRDYSVTADHGESTSWGDDDPSVFAMTKVGDMHGEFQLCNGYGTAKATPVLRNHWNTFIVEEDFKFIASNGLNAVRIPVGWWIASGPNPPAPFVGGSVYALDKAFKWAEKYKLGVVIDLHAAPGSQNGYEHSASRDGSQEWGTTDAIIAQTVQVIEFLASRYGASPSLLGMGLMNEPQAPGATLDSLRKYYKAGYDAVRRHAPRTYVVMSTRLAGDSGELLPFAGGLPGAVIDVHYYVFNTTFTNMTAQQSIDFIETNYAADLRGLSTRNNPLSFVGEWVVVWNVPNATKAEYQRLAQVQLEVYGQATFGWAYWTLKNVNNYWSLEWMIKNGYISLKH >Dexi4A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:4A:9545638:9547082:-1 gene:Dexi4A01G0011510 transcript:Dexi4A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKVAPGGKAATAAGDKLRFPPSAAARSRMKLWIVRATTTVLLWTCVVQLTAVGDNWGPRVLKGWPSCLTASEEEAALPGAAVRPQPVVEKDALPPKNL >Dexi5B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:5B:22807906:22812628:1 gene:Dexi5B01G0020600 transcript:Dexi5B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFCFPYIAPPSPLGFKSERRRRSRWRAMAAGRRRGGPRAASAAPTVVLAEAARARARARALRPPRPRNGKGLGVRHPLKAYQFLAAARMANARVREAGEATLVAALAAAQKESERESEEAFTSRTPHLYYLMNLTPFIITGHGGTHAARYLKEHLFENLIKHPAFVADTKSAISKTYRKTDADFLDAIAEGNIQVGSTASTAVLVAIALSDDHKPNRSDEKKRIEDAGGDQEIDGELEFLILASDGLWDVVSNEHAVAFVKDEEGPEAAARKLTEIASRRGSTDNITCIVVEFRHDNIMTNGSPTSANES >Dexi1B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:1B:19063999:19064753:1 gene:Dexi1B01G0013400 transcript:Dexi1B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLVASLLALACFHATASDPSLLQDFCVVDKMSKVHVNGFPCKALKDVVAEDFFFSGLHLAGNTSNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNTDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKPAVALAGLSSQNPGVITVANAVFGSKPSIADEILAKAFQVDKMTVDRIQAQF >Dexi9B01G0029550.1:cds pep primary_assembly:Fonio_CM05836:9B:32075215:32075913:-1 gene:Dexi9B01G0029550 transcript:Dexi9B01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDNLKVLGVWTSPFVIRVRIVLNLKGLAYEYIEEDLGNKSALLLGSNPVNKTVPVLLHGDRPINESQIIIQYIDEVWSGPGTPAVLPSDPYERAAARSEEERADAVARAGEALQTLEDAFEECAKGKPFFGGDGVGLVDVVLGGYLGWFGAIDRIIGRKLIDPARTPLLAAWEERFRAADVAKGVVPDDVDKVLAFLKTLLAIGSAK >Dexi2A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:2A:30391027:30393614:-1 gene:Dexi2A01G0018370 transcript:Dexi2A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGQMCHQEGRAADVHQDFDRFVAASLRDSTGGGSFSSEVDLPARDGLELLSTAGLENDARQGRPPRRLRQPPRWPASALAAGSSRAPALAGLRAVELPGLISREARPFPPSVEPDSIRVHGASLYCMLLHCCARRGGKMADFPPFSFSLYSGQPPSSLSLSAGGGRDLAALAGDGEGRRRWRHAMAAMAGWVVGNARVRRPTPRHVADSALSAPFPAPAAEDFTVYRYCIQGTVGIARPCISIIVARPCGEDYDGGGRYGEGGGIERAARAAGP >Dexi1A01G0005590.1:cds pep primary_assembly:Fonio_CM05836:1A:4090875:4094321:-1 gene:Dexi1A01G0005590 transcript:Dexi1A01G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALGAARSVVEGTLTKVQSAIETEAKLRQSAQSDLAFITEEFQMMRSFLEVVDEGHAKNKVVGTWVGQVRELAYDVEDGMEFVAHLDTKSDWWRRWLLPCMARPLDEAVAELKQLKVRVEDVSNRNIRYNLISESGFKPITQMEQLVSSAAIGATSLDMLTEVRSNEKFQQDLDTLCQLITNGGNDALRVIWVWGTGDDLSFMSIVRKAYQTPDICQIFPYRGWVNLTRPLNPHEVLRSLMAQFYKNSCLQSEATTVDVYVDKLARMEEASTTQVGLIKEFMKQTKEKRYLVVLENVTTLGQWDAIRTYLPDINNGSLVIMCTQQRELANMCVADSYQALEMKHYSACVLFKEVNAVNIVINYFQEKKGPRDTEAEVNDRCGRKSCDRIPTSKRDEVHSWMERFTLVGRSEEFVINVTCLKPEAANVLFRNEVNNFVAEYVFSQPMEEKLVLQLKDRSFFISESMRVLRVLDLEDASGVTDKDLEKMVKLLPRLKFLSLRGCTNIRHLPSSLGVLRQLETLDVRETSIVTMPVTITKLKKLQYLRAGTATLTEERQTACSAS >Dexi5A01G0013920.1:cds pep primary_assembly:Fonio_CM05836:5A:10690103:10695337:-1 gene:Dexi5A01G0013920 transcript:Dexi5A01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLLPTLSPPVSRAAAAFVLLRRAPAKAFSSLRPPPNPRRFLASASASSPYPATPPTALRPLSTVASSCTAARATPARRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHALVIDQVVQKALDDAKLSGSDLSAVAVTVGPGLSLCLRVGVQKARQVAKSFGLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLIVLAHSLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEELALDGDPNAVNFRVPMRQHKDCNFSYAGLKTQVRLAIESKNLCTGDIPISSASGEDRQSRANIAASFQRVAVLHLEEKCQRAVEWALKMEPSIKYFVVSGGVASNKYVRTRLHQVAEKNGLELVSPPPSLCTDNGVMIAWTGIEHFVAGRFEDPPAADEPDDMQYELRPRWPLGEEYSEGRSVARSLKTARVHPSLTSMIQGSLQK >Dexi9B01G0028460.1:cds pep primary_assembly:Fonio_CM05836:9B:31098312:31105568:1 gene:Dexi9B01G0028460 transcript:Dexi9B01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLALRLPLPFPSASRPPPPRTLAPPAPRRLPTRLAAAPARRFRPPTADDEPPEAAEDSSHGLNRYDQLARSVERARSRQPEITPDHPLFSSPPSADGAGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPASPASSQSPPEEEGLADELSPEEVIDLDEIRKLQGLSVVSLADEEDEEAERGEDEDGDYGLSLEEDGESFDAAGELGIEGARIRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQHDASLVAAGDLFVCVGEEGLAGLTEADKRGAVAVVADQDVNIEGTLACRALVIVDDIVAALRVLPACLYRRPSTEMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGMVGVLGAYAFGSNKVDARADASDDPIAVQKLMATMLHNGAEAVVLETASDGMPPSGVDSEIDYDIAVLTNVRHTDGEDGMTYEEYMSSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGHDVPVVTYSFDNKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGAPLEDIVRGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIVTVVGCCGEKERGKRPVMTKIAVDKSDVVMLTSDNPANEDPCWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKNEFFDDREECREALQYTRGLLNQGFMANSAMKMAATTEKVFVALPAEFKAGQTTLSWVLGHFSSSGATVVITHVHVPPQTIPDDAPSELGLYDELKEACIAAENLMKRALNESSRRQKADEEVVSALQKANEYQELYLEEVRKREELEEALARANWEIAQLRQANHLPMDEQSTSLDGLQEAMSEKLSFERHMVDMDAVLGTASQDIEPQKDYVQIQIDLDTGARELQALLSQSKLTAFSPSSVIQSPYDEDCIPSYFLCPILQEPMRDPHVAADGFTYEADAIRGWLDGGNDASPVTGQPLAHLELAPNLALGAVIQDYTIRRRQHKFS >Dexi2A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:2A:13709303:13709797:-1 gene:Dexi2A01G0011790 transcript:Dexi2A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNKFPLPMIALLVAFAVALHPSSATRVEEAFFAATMAARSAAAGPASSAPSPSLSPPSDGGDGLPPLPPQPRECRPWLMRMMPCASFMTNSSVYTPEATCLCHMLQLDAATCLCHVANGDIAQLLPAPMIHMRMEELFSVCGHDFNSK >Dexi6B01G0009750.1:cds pep primary_assembly:Fonio_CM05836:6B:15394561:15412340:-1 gene:Dexi6B01G0009750 transcript:Dexi6B01G0009750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSYPARGRSVDFPGLDIHVIVALEISEEAMASVLRGGGPFVARPSALARGAATTGGEPHGLRAAFPSAAPPLESTVAALRESVSLAPDSVLGELPAPSKVPRWHATVDLPLSCGTALIRHYIPNWGRMTPLAQQLLVSKRDMLLAFNRKMDAAEKLFLAALLEAKEGFGLRDPHVASALNNLAEFYRLNKEYEKAELLYLEAIEILEESFGSNDIRYITDFISFLHYLPSRLMMVSEMDQHRDLAGGLEQLCIVLEYVIIFSTSLLKPRHVMRTFKSIAKASVLSLQGKIKDAESLTKESIRILEESGLGQSPTCIQRMRYLSTMLTKSKRFAEAEIWLRKILHTQELTKACQHVFHGWDSLDTTHAADLLSLNFETLGKFKESEELMERSLAAKTKILHEDHFMVAFTLVQLARLALHKFLSDMKNANSEVATYYLARAKELRNDSIRIAEGILNSSSKDQNKLNSTFAMDRDKIAAITILVYEIATKACNLTKFCLFQEQDYRAIEDVLHKCISLYKEPHTRRLLTKAARHEYMRCLRGLMDIVEGSLLIPQMTELL >Dexi3B01G0034300.1:cds pep primary_assembly:Fonio_CM05836:3B:37057909:37060500:-1 gene:Dexi3B01G0034300 transcript:Dexi3B01G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAAMAQMKSARIVLLPPAMSTLRMLLLSPSPYATNYNGSHTDLAALLAFKAQLADPLGVLASSWTSNVSFCRWIGISCSRHRQRVTALSLPEVPLHGELTPHLGNLSFLSLLNLTWTNLAGPIPAELGRLHRLRFLDLPGNGLLGAIPSTIGNLTRLEFLHLSNNTFSGQIPPWLLQSMRNLSGFL >Dexi7A01G0011700.1:cds pep primary_assembly:Fonio_CM05836:7A:22125602:22126216:-1 gene:Dexi7A01G0011700 transcript:Dexi7A01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESTTKSITIELKVYMHCDACERKVRRVVNKVEGVETVEIDREENKVTVTGDFEPEKVVKKIKRKTGKRAEILIPEEDEEEEGMGQEPYCVPYYEEPVPLYPEDDVPDEFRSYRPESWNYHYFDDENAQACLVM >Dexi1A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:1A:4634741:4637750:1 gene:Dexi1A01G0006190 transcript:Dexi1A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRMMTTNGMAPSTEANFSFIPAQPYIDGFDAAAVAAMAMPGVVDRPPPLLHHHHHSNNLYDTFDLTTTTGCFPLQDPAGLLPPASQLPPPSMAMAMAPPLHHHQLPVLTTTTFSGVSSTTAGEVMYPFVDAGGGGGTIGLNLGRRTYFSPADVLAVDRLLTRSRLTGGGGVGMGLGMGLLGLGLGPGQHHHHHQPPRCQAEGCKADLTAAKHYHRRHKVCEFHAKAAAVAAGGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPAGAQGKDSPPPPPPKRADTCITASCNSDHQKTFLDAMYIVRADVATIIVYATTTRAGASATAAAKSTAISTNASVVSCLDAMENAQTSSAAAAPTSLSLAAPPLHVDEKDVDGGGLDSMLIMRQVTRGRRRYDDEDDEHRRFMTSLVTMQQQDHQHDDGGHGGHGGGSNMLSCSPVSSDQQQNGGCNGFFEVDFI >Dexi2A01G0034830.1:cds pep primary_assembly:Fonio_CM05836:2A:44668509:44669311:-1 gene:Dexi2A01G0034830 transcript:Dexi2A01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEFRCYWNNRWSGYYGSFEDTTKIPPMRFTYTPVPKVVVDSDTLQIFSVKLAATREDLELPLDVFGTSTIIAILSSIAKERTAKPSPKRAH >Dexi3B01G0027600.1:cds pep primary_assembly:Fonio_CM05836:3B:23253537:23261759:1 gene:Dexi3B01G0027600 transcript:Dexi3B01G0027600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTKSDLVSGSPDGHGYFNAQRVPYAAASLERSGSFREGGDGYAMFPSSSSRSATVDSVNLLKSLAVELRTVTVDHKASRLDLKKSISSIFGTTTEDSTSIPSLGRNLPNSIEEIRRMRSNLNDVSNKARERSRAFGGAVTKIDKLCPNIVRKRSRGDGSSNERILSSGGVIPKNVPQSHLNGDDMEIGLQRGEERTKNAGQNRRMRTSMAEMDARTAGPGSIDRISDPGKATNGSSAAPEEKIRGLATGIDGWEKPKMKKKRSAIKADMSLAGVSRSVDVDRESKQGMQHKFSSDGRARLASSPSFRSGTVASGTSKADLLSAQNGLAGRPLNRSDQDSGFHPTNKRERQVVLDKETPSPRTINKPNEDDSGGNITSLPKANGSARGPRSNSGSLLKSSPNIHRLQTNSDGWEHPSGTNKLISAGGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDGALVPGSLDSPVNEDSAGLPRRASVNGLQQTKRGDHGLSTGSECDEPVVAEKKLRDKSKRAGELDDVQGSGFQKIAMLGHPSKRNKLSADEDIGDAARRQGRVGRGFTPTRPGTPVPIDKLENAPTTKQRSVRTVSERNESKSGRPMMKKISERKGNARPRQTNSSVQSDSPVQSDDDHEELLAAANAALRSACASPFWQQVEPFFAFLTAEDMAYLSQQIHLPDDSTASRPVEGDEGQKYKGGLEYISQPSTPAASNKDDHIALPNGFGLHQLDNGIGVAWEASCIEPILDQLVHGIGVQGGSSVGQRLIQALIDEDKVESITNNAYISEGYPFDTHEIHFDGGGWKSHSHDYKVEPLMNFEASVRGPNGLMMDSDWKYNGELSHKSGNVMDKSKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDIDAEICKLESQLHKEVVQKKNLLLKLDGIVRTAKESQWREFSGRAMERLLLRAYERYMAFCGSNVSSSKNVNRAGRHAALSFVKRALARCQNYEEVGTSCFDEPTFKDMFLSATSQRSNLDAAKQDNNTTVKSVHMASASDASRASSHLSDLSFTKEDPWTNNVKQRELLLDEVVGSITGGTLKTSALGTPLVSNTKGKRSERDREGKGHNRDGGRTARPSSSNAKGERKNKTKPKQKTANISAPSNNPRDPQLPAKITPSNNGKDSTAAPAAARRDDLANASNDAEMPDLSNLELPGMDVDFGGWLNMDDDDGLQDLDLMGLEIPMDDINEINLMI >Dexi6B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:6B:25294796:25295179:1 gene:Dexi6B01G0018290 transcript:Dexi6B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAHITQTTRQNHHKKRRKHPTQDQALQQGEIIHLVGFPGSREYFTCDATARCESDEWHKSMVVWLEMRRRVEEGLTMAAAGEEGEVAFASHEHSGLRRGGGGLRDEENPSARPLRRAEVRKSI >Dexi2B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:2B:23623095:23624034:-1 gene:Dexi2B01G0014140 transcript:Dexi2B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERRRKKRKRSRLPAAEATSAVHNLSDDLLELLLLRLDSPVCLIRAAATCKHWRRVVAGGAFLRRFRSLHPPAAIGTYYSINHHDNRPSYGESHLWPQVDPVFVPTSASASDGLQLSLDFVPPPADGPRELVDGRGSLLLLLREKERPDRRSSMCCWHHADFMTPDLVFSPLLSNAGESG >Dexi9B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:9B:5139277:5139919:-1 gene:Dexi9B01G0008390 transcript:Dexi9B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQGESGNNFTAGTTILSAIKATVDPATQVIYSENPDAGVVAGDKYDYAIVAVGEAPYAEELGDNLNLTIPAPGQSVIQSVCKVTKCVVVLVSGRPLVVEPYLGTMDAFVAAWLPGTEGQGVADVLFGDYGFSGKLPLTWFKSVEQLPMNVGDAHYDPLFPFGFGLNTKGTK >Dexi2B01G0025320.1:cds pep primary_assembly:Fonio_CM05836:2B:34591617:34592835:1 gene:Dexi2B01G0025320 transcript:Dexi2B01G0025320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHQLFVALLFLLPLASASDHDGFIRQVTDGGGLSRSWPGLLPEAQFAAFVRRHGRRYSGPEEYARRLRVFASNLARAAAHQAMDPSARHGVTPFSDLTPEEFEARHTGLRAGGGDVFQRLARRSMAAAAPATEEEVTRLPDTFDWRDRGAVTGVKTQGACGSCWAFSTTGAVEGAHFLATGNLLDLSEQQLVDCDHTCSAVAENECDNGCAGGLMTNAYAYLMESGGLMEQSAYPYTGTQGTCRFDASEVAVRVANFTAVPAGDEGQIRAALVRRGPLAVGLNAAFMQTYVGGVSCPLVCPRAWLNHGVLLVGYGARGFAALRLGYRPYWVIKNSWGERWGEEGYYRLCRGSNVCGVDTMVSAVAVAPP >Dexi2B01G0004070.1:cds pep primary_assembly:Fonio_CM05836:2B:3595252:3597037:1 gene:Dexi2B01G0004070 transcript:Dexi2B01G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTQEASSSPPLHIAVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLRAVPPELSVRLRVVTLDLPVVEGLPEGAESTADVSPEKVPLLKAAFDCLAAPFSALVGERGGGEADGVFDRKPDWIIYDLIPCAMFLILPAALLAYVGPKHENEAHPRRTTEDFMAQPPWIPFPTTTIFHLRHEAMSRASEFQRNASGVADIDRLWKVHHHSCRLIIHRSCPEAEPWLFPLLSDLFSKPVVPSGLLLPTDIAAGDVTGDDEPFEAAARWLDGQPRRSVVYGLIARAMAVRGVGVEVARDGDGSFSGEDVAAAVRRVMVEEEGEELARNAKELQRVVGDRVRDEQYVDELVEYLQRYK >Dexi8B01G0008130.1:cds pep primary_assembly:Fonio_CM05836:8B:10503776:10504441:-1 gene:Dexi8B01G0008130 transcript:Dexi8B01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVDVLERLGVGYHFEEEIAIYLDVLNRNPVAFDDLYAASLQFRLLRRHHYDVPCEILEGFMDENGDLKDTLKPNVDALLSLYEAAHLSKCHENILKRAIVFTTNRLSSLANGDHLPQPVRDKVLHALASPTHRRIKRLEAKNYISIYENDKESNQDILELAKLDFHILLQMHRDEVMSLSL >Dexi2A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:2A:333296:334691:-1 gene:Dexi2A01G0000630 transcript:Dexi2A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKKKVCVVGAGVSGLASARELLREGHDVTVMEQSGDVGGQWLYDTRVDAGDPLGAAGVHSSMYSSVRLFTPRQVTGFSGFPFYPSSSGAGDARRYPCHGEFLRYIRDFCHAFGLMDTIRLNTKVLRVGVAPLCSDDDGTMRWTVRFAVKQGEAGGEVVTTEEEVFDAVVVAVGQYTQPRLPTTIDGMDMWTRRQLHSHSYRVPDSFSGEAVVVVGFHKSGKDIALELCEVASEVHVSVKSMDHDVTPGVLHPQIDRLCEDGRVVFVDGSCVVADAIIYCTGYDYSFPFLDTGGLVTVDDNRVGPLFDHTFPPAMAPSLSFVGIPNKVVVPRFYEVQARWVAQVLSGRRSLPAPEEMTRAAEEYNLAREIAGVPKRRAHDVSDLEYCDVFGEERCGFPALEEWKKELLLSSIASMRDRTESFRDDYVDSELVMAGLRSEGWMACPVATST >Dexi5B01G0027430.1:cds pep primary_assembly:Fonio_CM05836:5B:28976528:28981619:1 gene:Dexi5B01G0027430 transcript:Dexi5B01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPQKPIYLTPSHTRPPPGLRPPTKGVSSRPRASQPLPPPGSRIAGAAARVPRGRGRSAMLWRAVRARRRIAGARPASTAAAAEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPREVLVRTRAVSINPLDLRMRSGYGRSIFGPLLPLIIGRDISGEVAATGTSTSSFFIGQEVFGALHPTALRGTYADYAILSLDELTPKPSTLSHAEASAIPFAALTAWRALHGTARISEGERVLVIGGGGAVGLAAVQLAVAAGCGVSATCGAQSIERVMGAGAEQVIDYTNEDTEAAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAVLLKKQMQYRHSHGIEYWWTYMRADAEGLHEIGRLCGSGKLQIPVEKTFPINQVREAHMAKEKKLVPGKVVLEFD >Dexi9B01G0036680.1:cds pep primary_assembly:Fonio_CM05836:9B:38165817:38176697:-1 gene:Dexi9B01G0036680 transcript:Dexi9B01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPKVEGFSIPRPTSYSFERSQPVPRLYRPTDDPDLDDIAFSEDAPTDAAVAAAAASKAKDEEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDDDEDDPVESFLRAKKDAGLTLAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVLDKKKIEPIPALDHSTIEYDAFTKDFYEEKPSISGEAPGMSDQEVADYMKSLAIRVSGFDVPRPIKTFADCGFPVPLMNAIAKQAYEKPTTIQRQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFASKKARVDEIEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEARFAGELVHSLIAAGQDVPNELMDLAMKDGRFRAKRDSRKGGKKGGKGKGGGGGAGRGRGVRGVDFGLGIGYNSGSGSQEEMADQHSLPPPLFPHHGQHSLLPPSSPLSLQEIAMKMETLVKKVHGIGPGRENDHRVGIARQQIECYSYETSNRLTASVVVVVVEGGLDDPDFLGSLGAWWMLAITSPLAMVGSESLEGEQRGRDNQIKAPTIRTRNQIKRGQEAQGTNLSGEVDGGAGSDALGELAGLEEPVDGELEPGLGDPGDEDDETAREAEEQEPEGGAARILSRRRTTPRKAGQRGGARGRTEMPKIAVAERPRLTLEDYIVFFTTRSGKGLSIDYLNQIIYMHGFAKVHRAPKPAIVDSLRLVELMRPRRSTVPLNATVPPPCAVPAAAAALSVDQATRDIEDLGWRECPVGSLLSVRAGMLSSPAVAAAAETPVRAVPISAVAPGSAERISPLSQLSASSTLAPALPAVARKKRLPTGKGKAATRTKRRRVMELLTLPSVEMATSA >Dexi9A01G0014300.1:cds pep primary_assembly:Fonio_CM05836:9A:9365934:9366928:-1 gene:Dexi9A01G0014300 transcript:Dexi9A01G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKLYGSRAALAAALLIVYLAGAEDDEWNVPCSTGTFRLQPREFMTVHKKMKEWIYENRYKDPKDLEGYPDHPKLVEQQLEKKPPHWFIPILMGRVLNFKSNYGDLVADGRAEGEQSWQYLADLRIDYDAVQDSVDVVSEYDPQTTPDRRIKRAVATLIVVFMESQRFPCIRQAVRDAWMSGGGKVKLGQRGAQLVVNWKRISCAVRIWDSMEDKGKWDSTEAKKLKQEPPAGLRIATPEEALCTIWPIVMGRCSY >Dexi3A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:3A:4286756:4291681:-1 gene:Dexi3A01G0006490 transcript:Dexi3A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPRRSRSPAQETYHKRANSFGSVVPAKQKDDELPLFSDMQKVERENFLLEGSEDFDDSIAKLSYFPEVKLGVNIPSRGQSHDLLNVDGDKNDCEWLITPPETPLFRSLDDEDDQSATQVSRGRAQSKPIQISRSSTVSAYVLSFLRVSSRTISAIANDDNLVDLNTSSFVSMVEGDATVGNQCTGKMADSDHFSSNMCLSDTEMPSEIQESSAPVESCIPEPEDDTSAISQCNTSGALEHPSDENNFDNMQMQSEVAQGSNEENRLDDCCMSAVSEEDVLVSESKTNILELRNDEECCAAVEESRKQIQRCFTLEEAADTILFCSSIVHDLAYKAATIALENEKESECVDPIRPTVTVVGRSGRKEDSLPKLTHRRTSNRKVKRKRLEGETIMTETTENDVVTAKDSSPVRSASGITRNSDNMKPPKLESKCNCIIM >Dexi4B01G0007640.1:cds pep primary_assembly:Fonio_CM05836:4B:5512249:5513759:-1 gene:Dexi4B01G0007640 transcript:Dexi4B01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFTNRPNMITLTGFTFLVLSALLGYIYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAVRTF >Dexi1A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:1A:6096162:6099640:1 gene:Dexi1A01G0007920 transcript:Dexi1A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLHVGLNLAFAIALHNIPEGVAVALPIYFATRSKWQAFYMAAGSGLAEPAGVIVVAYLFPSSLNPDILEGLLGSVGGVMAFLTLHEMLPLAFDYCGQKQAVKAVFVGMACMSASLYFLEISLPKEISL >Dexi5B01G0030880.1:cds pep primary_assembly:Fonio_CM05836:5B:31735950:31736240:1 gene:Dexi5B01G0030880 transcript:Dexi5B01G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRAWAALAQRDTRPFPSRLSSCPNRRRRRCRRLRHRHSSSTAPVRLRLPCLSSRPGPLLSLRERAVRISGPGCGLYTIQAERSNQQRAAHERS >Dexi9A01G0018700.1:cds pep primary_assembly:Fonio_CM05836:9A:13743179:13752857:1 gene:Dexi9A01G0018700 transcript:Dexi9A01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAASPAPNAATPALSDPAAGAAADGEASADHTAVADDEHDSKEVVLRRYFLQEWELVSAILHRIVAAGGVADPGDVHRIRSIMDKYQEEGQLLEPYLENIVSPLMSLVRSKTMELGASTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHTMSSATALRQESTGEMETKCVILLWLYILVLIPFDISTVDTSIATADSVDGAEVVPLVTRILDICKDYLSSSGPMRRMSGLLLARLLTRPDMTKAFSSFMEWAHKMLLSVTDDFIDQFRSIGIVEALASIFKSISSSLGANLSSSTAGEVYSSGSIEQANIDQIDTCSLEEDMDVPEIVEEIIDLLLTGLRDSCGCFFKLVKCLLIFSQDTIVRWSAAKGIGRITARLTPALSEEVISSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNYDMKAVLEQLAPHLLTVACYDREASYICCFQMFCASDPIVNCRRAASAAFQENVGRQGTFPHGIDIVNTTDYFALASRSNSYLNVAVSVAQYKEYLYPFADELLCNKITHWEKSLRELAAQALSLLVQYDMDYFGGHALEKLVPYTLSSDLCTRHGSTLAAGEVALRLYQLGFTFSTVTFHLYLYFLDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFISCISIAGISMNEKIKKSLLETLNENLRHPNSQIQCAAVDALKHFIPTYLVSSGEKTANDVISKYVALLDDPNVAARRGAALALGILPYKFLILKWMPVMSKLCSSCTIEDKPDDPDAEARVNSVRGLISVCETLTSSFDQSSNSKDSLYAYIKDNVMRALFRALDDYAVDNRGDVGSWVREAAMDALERCSLILCRRDIVLLRPAPASGHESERSEREVNASSTAYRLFDSGIAQDLVSGIAKQAVEKIDKMREIAIKTLQKILYHQEHLIPFIPHRELLEEIIPKGMDLEWATIEALFSKKVFLNREGYSEFYRGLVDSVGSELKGSKDFTKLCAGLSILGYISSQSDGTCTKAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDGLIPPDNMDKAQELLAETCWEGDIEEARRHRSEINDMAGFRVSTSLKSEKETRRTVDARSTVSTDENKSYSSLVDFSGY >Dexi7A01G0012720.1:cds pep primary_assembly:Fonio_CM05836:7A:22882413:22882991:-1 gene:Dexi7A01G0012720 transcript:Dexi7A01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDFGASILAPSDKEQYVTVVQEVLTGQEPLKLDGETQRSLSSNFLTAMKENNLDAMLPSHVKGQESNELIRGIAKLAKQCLDMSGNNRPSMKEIVDELGRLRKLSLHPWVQLDVEKETQSLLDGTSIASFQIEGATTGYPTQDGDGLTMNPISSYYAR >Dexi2B01G0026770.1:cds pep primary_assembly:Fonio_CM05836:2B:35882027:35883415:-1 gene:Dexi2B01G0026770 transcript:Dexi2B01G0026770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQVLCCTFFSVACLHFGLVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHRLERDEHLFKAFQYFDKDNSGMGELTMRSFAQ >Dexi9A01G0044970.1:cds pep primary_assembly:Fonio_CM05836:9A:48577853:48578128:-1 gene:Dexi9A01G0044970 transcript:Dexi9A01G0044970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGFMGGRLCAAQADAGHDVRAFALRGVDASGLPPSVEVVYGDVADEESLANAFHGRDVVFHAAAAVEAWLPDASVFHTLRHGN >Dexi4A01G0015620.1:cds pep primary_assembly:Fonio_CM05836:4A:18659509:18664990:-1 gene:Dexi4A01G0015620 transcript:Dexi4A01G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEEGGRGPPTWAWRQREREAKGSGASPASRLAGAFDPGLGILPRRPATRRGGGGRSEKGGEVEVGGEEREREYVYTLVGLRVAYVLVSKSWVVAVEDGKEFGFLSMGHEFDSYPKGRIRAPKDWNWFLEETKSNSDDEDDKVSSRRCRSKGWGLNKKKDGEGEDENWTDESEDEKESLARGSSVKRAKYATRSKDPKKPRQENSKAKSGDSNDEDEEVEEEEDEEDETLGGFVVNDEDDEPMEGLSDDGEEEEEFDDEEDDD >Dexi7A01G0022170.1:cds pep primary_assembly:Fonio_CM05836:7A:30560215:30563871:-1 gene:Dexi7A01G0022170 transcript:Dexi7A01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASADAGAAAAAAAARTLRWAGRAGHLGGFPRAAVFAAVGAFAKVYASLLNTTTVHNADALLRLVSARAPGTPLLTVSNHMSTVDDPLMWGFKGFPTSDAKLGRWVLTAEDICFRNVVMSYMFRLGKIAQDDQPIRRLKWGTASLIVRAPITPIVLPIVHSGFEKVMPEELFFGRRPPVPLCSKKIDIIVGEPIEFDMARLKQAASMSSVIKEAPA >Dexi5A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:5A:11948223:11951651:1 gene:Dexi5A01G0014760 transcript:Dexi5A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEPTPSSKAAAPPATIRLLDEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDETEFLEKLASSRREYEQQVANEEAEQLRSFHEAVAARSNIVHELETPTVSRPEENRPKPPTKRSQPALLKNVIISVKPQAKKAKLDAEAKPTPEEQVSLNGHDADQKPPTDATKATLGSLVAYDDDDDDESGDDQD >Dexi8B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:8B:21676803:21677213:-1 gene:Dexi8B01G0012320 transcript:Dexi8B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPGARGSSRSLVVHPLHGDQDRRGVRQLLARVRAVAVANAADCCGCAMSLKSSSALRGLMFRLEYWTQPMAPRRTSTATRPTALGAARKKGAELLTKGSKKKRITSSSGADGVTEAGSALGGWMPPDCSMECM >Dexi3B01G0020810.1:cds pep primary_assembly:Fonio_CM05836:3B:15753883:15756399:1 gene:Dexi3B01G0020810 transcript:Dexi3B01G0020810.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISYPPPHLLPPPTDLARGEEAQHEHMAGAAAAVASGISARPAALRRPALAGRRARASVVRAAISVERGEKAYTVQKSEEIFNAAKEMMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKVIAELIETLKKGTSFGAPCVLENVLAEMVISAVPSVEMVRFVNSGTEACMGALRLVRAFTGREKIIKFKGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATTETLTAPYNDAEAVKKLFDDNKGDIAAVFLEPVVGNAGFITPQPGFLNALRDLTKQNGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLTEPGTYEYLDKITGDLVRGILDAGAKTGHEMSGGHIRGMFGVFFTGGPVHNFGDAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTPQDIEKTVDAAEKVLKRI >Dexi2B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:2B:27873031:27874866:1 gene:Dexi2B01G0017480 transcript:Dexi2B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAAAELEAAERVVMRWDSSAGGDEPMMFDGAGDRAEAERFLRALDDIRRLAPPSPSSAAVQVAMARLEDEFRHVLVGRALDLEIEALAGLSSLSMSSDRRNSDATDAGDDDDGSSVSSSVGRRSSYRSLLSIREIDLFPADAISDLRAIASSMAAAGYARECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLCFHIFHDLPLCGSTAATAAATSHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDISDIFAASKAAESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKATLSELIISRPSASSRIAAEGNEAAPAFPDLDLPDPDSQLPLASHLIWIIVVLEHNLESKASLYKDAALSHLFFMNNVHYIVHKVKDSAELRGLIGDVYLRRLTGKFRQAATSYQRTAWLKILNCLRDEGLHVSGGFSSGISRSALRERFKAFNAAFEEAHRIQSAWYVPDTQLREELRISISEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLEIAMADFFEGAPPSQHNRRRSHG >Dexi3B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:3B:13920437:13920882:-1 gene:Dexi3B01G0018710 transcript:Dexi3B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPLPQFTASSLPLDISSLSWGLVHLACGHQRLRDESLHALLQPIDLCVMYPDFLVDLGIWPAAVE >Dexi9A01G0026730.1:cds pep primary_assembly:Fonio_CM05836:9A:30204460:30208112:-1 gene:Dexi9A01G0026730 transcript:Dexi9A01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRGLAKVFLPALPPPPLLTAPVFSRRPTTRGSGAAAMSAAAAAPAQAPRKWEGLVDEALEREVLGACLDEAPERRRVREKFKNVQLNIDHCLFKVNPASSLLTTLPICLEPHITGALSVYCSLLINYPSLIFFLLQSYERNSRGVEIFSKCWFPKNNRLKAIVCLCHGYGDTCTFFLDGVAKKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKVKGNPEHRGLPSFLFGQSMGGAVALKVHLKQPNEWNGAILVAPMCKIADDVVPPWPVRQVLIFMAKLLPKEKLVPQKDLAELAFKVKEKQEQCSFNVIGYKDKPRLRTALEMLSTTQEIERRLEEVSLPMIILHGEADLVTDPAVSKALYEKAKSQDKKLCLYKGAYHAILE >Dexi6A01G0013700.1:cds pep primary_assembly:Fonio_CM05836:6A:20968512:20969157:1 gene:Dexi6A01G0013700 transcript:Dexi6A01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPIKPLDGADGYLRWKESMLLRLHSVDVAHVLSDEPPAASAAAAAKKWARDDAVCRGHILHALSDRIFPDYVRYAWEAVARTYDMEWTFDSYNPQLRSFRQDFRFEKGASFLEQLAHAEALAATSERSDTRLAYMICNKLPGDMATLIRYGDGGMSMKNIWETARFREELRIEAEDNKRRQVEEARADHVLEVKAGHRHRHR >Dexi1B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:1B:1370190:1370486:-1 gene:Dexi1B01G0001750 transcript:Dexi1B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICEPPAPSPWLQAKEEVAAERSWWRSMQRSWWRGRRPVVLDLIRVHRERAPRLEFMGNELEFGNGSKAFVIATSVFTLLSSLSREVEAGVRAGNG >Dexi9B01G0014190.1:cds pep primary_assembly:Fonio_CM05836:9B:9475756:9476054:1 gene:Dexi9B01G0014190 transcript:Dexi9B01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKRKSSGESAAAGVDEEDKVPRGHVPMVAGGEGEGGERVLVPVRLLSDPSVAELLDMAAQRYGYSQPGVLQVPCDAGHFRRMRGHKLGL >Dexi1A01G0023540.1:cds pep primary_assembly:Fonio_CM05836:1A:30177418:30177787:-1 gene:Dexi1A01G0023540 transcript:Dexi1A01G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGHGVHFLDACFLCRRPLAGNRDIFMYRGDMAFCSDECRSAQMAADEAAERKATKAVTQGPCPQGKRRKARRRSAARSGP >Dexi5A01G0012510.1:cds pep primary_assembly:Fonio_CM05836:5A:9380540:9383841:1 gene:Dexi5A01G0012510 transcript:Dexi5A01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPAVAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGNEAIQQWEDDWDDDDVNDDFSLQLRKELEGANAQTS >Dexi9B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:9B:4168504:4170897:1 gene:Dexi9B01G0006910 transcript:Dexi9B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASYLLLNPVKPSSSRRLPPPHPSPRLHARRFHVSCDAPRRSGGGGRREAVPAGASKAKKQIVFFDSAPPVSSSQPQQQQGGGSAAEKGEGETPASKGGNGNAATGLLRRVTKRTLSALSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQLPMVKVARRWSFTHSGERIRKQEFADCLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMLFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPRGILSVAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFNLDGKEVMRKTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAQLKLNGDKKLYGTFLPLEDSDSSNPNVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGTTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELIGANIENIVDSKSTAT >Dexi3A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:3A:13532958:13537612:1 gene:Dexi3A01G0017720 transcript:Dexi3A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASAISRSSSALRKQLARGGGGGEPQRLWGRGYASKEVSFGIGARAAMLQGVNDLADAVKVTMGPKGRTVIIEGAYKGPKITKDGVTVAKSVEFEDSAKNVGANLVKQVAEATNKAAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAINFITTHLKSKAWKINSPEEVNQVATISANGEKEIGDLISKAMETVGKDGVITIVDGKTLDNELEAVQGMKLSRGYISPYFVTDEKTQKCEMENPLILIHDKKISNMNSLLPVLEISIKNRRPLLIVAEDVDGEALSMLVLNKHRAGLKVCAVKAPGFGDNRRHNLDDMAVMTGGEVVSEERGLDLSKVQLQMLGTAKKLRESIETSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAAKAAVEEGIVPGGGVALLYATKELDKISTTNEDEKIGVQIIKNALRAPLMTIAANAGVDGAVVIGKLIEQDDISLGYDAARGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVSELPATKARIASRMPQMGGMDF >Dexi6B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:6B:21876185:21877678:1 gene:Dexi6B01G0014330 transcript:Dexi6B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAAGDAAPVSGTEASDGEAGDVRYSRRRRRNSPSPIPSRSPSRSRSRSRTPPPNLRPNAAALSSTPTSAGADFAAASDSEANGAAGGGRRGSPPRRRDRKGPPRDRLDSDADADARAPSPRRRAERSPSFQSDSDADAAGHVRSPRRNRERTPRRRSDSDSDNSAAAAGSEDAGAGDASPLPRARRSSRIETSNIKPVSTRPMEVTRRDAAGSSQRRSKRRHISPQRGSPEHQKRPPRVWSPEDEVTILSALVEYRANEGRLPASIQDTGKVHDRIIGQLSANASTTQLSDKIRRLKHKYKLLFTRAKNGRDPDFPTERERDAYELSKKVWGFKRGGILGGSRAYEDAADAESNEDQEIEESDEDMENGWTRHEHTSKKPKAFRFENGNGNALAIVGKASHGIGSGRDDAEKGKQVYPYLWEAVEELSKEHPSGPIFRKVFGVLEKSKARAMEEKLRKFRMSEIRQQLRRMDLMKETVAMVLDALEGSD >Dexi8B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:8B:7348965:7350107:1 gene:Dexi8B01G0006320 transcript:Dexi8B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGGTGGGARLAAAMEHVELIPGIPDDVAVDCLARVPHASHRALRRVCRGWRSAAAAPSFASARAAAGANEDLVYMLQFGNPSAASDDEPKADDAPANTPAYGVAVYNVTTGEWRRERGAPPVIPVFAQCAAVGTRVAVLGGWDPRTFEPVADVHVLDASTGSWRRGAPMRSPRSFFACAEAGGKIYVAGGHDKHKNALKTAEAYDAVADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWISPRRGWREVGPYPPGLKAGTARAVCVGGGEKVVVTGALDGEGGGGRHALWVFDVKTKKWTVVRPPPEFAGFVFSVASVRI >Dexi5B01G0033660.1:cds pep primary_assembly:Fonio_CM05836:5B:34011882:34012359:-1 gene:Dexi5B01G0033660 transcript:Dexi5B01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVEAAITSALSPSHLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPAADKPQD >Dexi9A01G0035470.1:cds pep primary_assembly:Fonio_CM05836:9A:40146103:40148806:1 gene:Dexi9A01G0035470 transcript:Dexi9A01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFSNPVLSPLVPAAPGRRGRASFARSRAASLGPIACGAVVGRVGWHLAAAAAESQAVQEQTEVSGETGAAGAPEASSKLVLVVGGTGGVGQLVVASLLNRNIKSRLLLRDPEKAVSLFGKHDENVLQHFHQSAGMEITLQNAIMNLFGVLKYKKMGEDFVRSSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGKGDKLVGEVSRLVVAEACIQALDIESTEGQIYEINSVKGEGPGTDPEKWKDLFSSVQST >Dexi5B01G0029320.1:cds pep primary_assembly:Fonio_CM05836:5B:30596465:30596719:-1 gene:Dexi5B01G0029320 transcript:Dexi5B01G0029320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRGTTFLVIVCLLVVVTLADALLPTLPLVCDKVYGVQKHETCFAVSQAAGLSLKKFLRFNPNINCNNLFIGQWVCLHARRA >Dexi1A01G0017020.1:cds pep primary_assembly:Fonio_CM05836:1A:24255224:24257621:-1 gene:Dexi1A01G0017020 transcript:Dexi1A01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLETKIFFLHHQTRTFLRLISLADNPVPCAPLTPPRPPAKSTDDSPIMKAVLDSMVGDLGKKMDTDTAALRQDVAAVHQDQAILSTSIKNVQTQVLASKGHFDSDASSSGNTLAPPPQKFRFPKFDWVHKSEPFFAVYGMLDHLKYYRLQENQGVPSWKDVEGINRRFGPPLRRNPLGELSHLCRTSSVAAYQEEFLLRLARYEGVTEPQQITLFTAGLLQPLHADISSSARHPWRTPWHSPEPTSHTNVFPASLRGAVTQDGSTSTTSGVPIKPPTAGNRFTRLRPEELACRRLEDLCFNFPEKFSKEHAKQCTGRGIYY >Dexi3A01G0001260.1:cds pep primary_assembly:Fonio_CM05836:3A:904286:908334:-1 gene:Dexi3A01G0001260 transcript:Dexi3A01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQQQQHSSPLLLPPKRRCTTLAAAVPALVVCSILLPLVFLLGLHRPGYESEERAAVVITTELAGVGARNKQHLENGGAMKHKLLKDVSKKKTSRSNGVSPGKPSREKSKNLAVKSKAKLKGFFSLIDLNNDTFNVVFQDCIRPKNINGRTCPGDQGIRLQGGTHKKNNVCSVYLDTAVNGKENHGQETVHEGNPKSCEHEYGSYCIWSTEHREVMKDAIDSGSIVFHLFTDAQIFYAMKHWFDRNSYLEATVHVTNIEDHQKIPKDADPLEMEQIWPSEEFRVTIRNYSEPPQRQMRTEYISVFGHLQYLLPDLLPSLNRVVVLDDDLVVQKDLSYLWNLDMDGKVIGAIQFCGVTLGQLRSYTGEHSFNSDACVWLSGLNLIELEKWRGLRVTSMYDQSLQKLQMERLASKRLKALPVSILAFQDLIYPLEESWVQSGLGHNYGISQYDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMATGEKFMTECNIH >Dexi5B01G0025400.1:cds pep primary_assembly:Fonio_CM05836:5B:27428990:27431698:-1 gene:Dexi5B01G0025400 transcript:Dexi5B01G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSDIGKKARDLLNKDFHTDQKFILTTYASNGTVITAASTKKNEAIISEIQAQLKQNNVTVDVKATSDSLLLTTVTVEEFGVPGLKKIVTIPFPNQTAGKAEVQYQHDYAGINASFGLNSKPLVNLSGVFGNKAIAVGADVAYDTATRDFTKYNAGLSFTNADLIAAVTLNNKGDSLTASYYHLVNAEKNTAVGAEVTRNFSSKQNTVTFGTQHALDPSTTVKARYNSNGMASALIQHEWRAKSFFTLTTEVDTKAFEKSSKVGLSLVLKP >Dexi4B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:4B:12217697:12219045:1 gene:Dexi4B01G0013530 transcript:Dexi4B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVIREEIDHGGIKNDTNTEPVTPRKPICDLSDPRYDICDISGDARTLGANRTVLYVPPVGELGADSQEWSIRDQSRKHLEYVNKVTVRSLNASQAAPECTSRHTVQALVFAMNGLTSNPWHDFSDVLIPLFITTRAMEGEVQFLVSDLQPWFVDKYRLILKNLSRYDIVDFNQDMGVRCYPHVTVGLRGHRDLGIDPARAPRNYTMLDFRLYVRQIYSLPPAGVDIPYKVANSAASSGAIAEQQRKPRLMLINRGRTRKFVNVPEIVAAVQNAGFEVIPIEPRRDLSVEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMAFYGGPAREMRLRDVEYSIAAEESTLYDKYGKDNPVISDPESIHKQGWQFGMRYYWIEQNIRLNVTRFAPTLHQVLRMLRE >Dexi4B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:4B:965270:966433:-1 gene:Dexi4B01G0001630 transcript:Dexi4B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLVGSERRVLISGNGLHQPVPPPPPPPDSLLGRLDQIDLRLRQLEEQRRPAATAEDDVHRAPWHHHTKSLPSALQPHVQARGTLMDRLNLLESRIRQLSCELDLDIGAGKAGSHTAAAASSMAPPPVEDPAAWSDTASMMVDPAVAMMSAAPAGRSSAAADGSWSAVDILQRGARQFHRSKSKAPNKVKNLKEGKCACQKEKRKPERSRTNRRWFTW >Dexi1B01G0022250.1:cds pep primary_assembly:Fonio_CM05836:1B:27996942:27999962:1 gene:Dexi1B01G0022250 transcript:Dexi1B01G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVNNGSAAEPALKFLIYGRTGWIGGLLGGLCAERGIPFVYGDGRLENRAQLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETVRANVVGTLTLADVCRGRGLVLVNFATGCIFEYDDAHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPGFSWKNFNLEEQAKVIVAPRSNNELDQTKLKAEFPELMSIKESLIKYVFEPNRKTSKA >Dexi9B01G0048600.1:cds pep primary_assembly:Fonio_CM05836:9B:47503747:47504527:1 gene:Dexi9B01G0048600 transcript:Dexi9B01G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNRASSLRTPDSAYARWRPSLRRTLGAVTGGPPGVPHTLAGGGGGTAPRIHRPTAPTTDPTGCLFPAQPSTGALASSSIAAAAAAAPEPSPQETRREGELAVSASLDRRGEAAICSFSIHCPAELVDSLELI >Dexi4A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:4A:22002759:22011372:1 gene:Dexi4A01G0018090 transcript:Dexi4A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGTDMASAAAAPASTPVWPVAGDGDEDDEEEARLWAELERLPTPQRARSAVVTLEEENDDGEAVAGGASRKAVVDVGGLGAGQRRALLDCLVGSVEHDNERFLRKLRDRIDRDVGMGLRDKDLALILPYFHKVCPIHTVDNWNCHEEEKSRILHVGIVLPTIEGAANALSILPSRKQTMPILNDISGIIKPMRMTLLLGPPGSGKTTLLLALAGRLGNDLKRTAAYVGQHDLHIGEMTVRETLAFSARREKAANIKPDADLDAFMKAAVLGGQEANVVTEYMLKILGLEVCADTMVGDDMFRGISGGQRKRVTAGEILVGSARALFMDEISNGLDTSTTFQIISSLRQAIHILGVTAVISLLQPAPETYNLFDEIILLSDGQIVYHGPREDILDFFKSMGFRCPERKGVANFLQEVTSKKDQKHYWAHNNQPYRYISVKEFAESFRLFHVGQAMANEIVLPFDKSMNHPSALAASKYGVSTKELLKANMDREILLMKRNSFFYMFRVVQLILLSIIEMTLFFRTEMHRDSVASGGIYMGALFFTTLMIIFNGFSELSLTSFKLPIFFKQRDLLFYPSWTYTVPSWILKIPITVLEVGGIVFITYYAIGFDPDVVSFIILRLFKQYLLFLAANQMAAALFRFIAGAARNIIVAYVFGSFAILIFMLLGGFVLSRDNLNKWWIWGYWTSPMMYAQNAVSVNEFLGRSWQKVLPGSTEPLGVLILKSRGIFPEAKWYWIGFGALLGFTLLFNSLFTLCLAYLNCDFAKRHGLSTFTAYGRSYPSVSEDMLKEKHANLTGVGLDVYVSIHNKGKALGSNTQSSESACQATGCNNEIKLTSVDANSIPAQRGMVLPFAPLLLTFDCIRYSIDVPQEMKRQVLEDKVEILKGVSGYFRPGVLTALMGISGAGKTTLMDVLAGRKTSGYIKGSISISGYPKKQEMFARVSGYCEQDDIHSPQVTVHESLLFSAWLRLPGDVNSKTRKMFIEEVMELVELTPVRHALVGLPGVNGLSIEKRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEEIEGVTKIKDGYNPATWMLEVTSVSKEFMQGADFSDIYKNSELYQRNKAFIHELSTPPLGSSDLHFPTTRSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFFTSIIALLFGTIFWGLGTKREKPQDLFNTMGSMYAAVLTIGVLNAASVQPVVSIERTVFYRERAAGMYSAFPSAFGQVLIELPYTLVQTCIYGAIVYAMMGFKWTVTKFIWYLFFMYFTLLYSTFCAMMAIGLTQNHNVASIVSAAFHATWNLFSGFLIPRTKIPIWWRWYYWLCPVAWSLYGMVVSQYGDVDTPLFDGVSTTTVAKFVSDYFGFEHSFLGVVAAAVVAFALLFALLFGLAIMKLNFQKK >Dexi9B01G0018290.1:cds pep primary_assembly:Fonio_CM05836:9B:13000994:13005500:1 gene:Dexi9B01G0018290 transcript:Dexi9B01G0018290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLFMSPLSVFQAWYRKGMVNASLKNYSSAVRDLEVALHEEMTSLGKSNIEQELKSILQKQENIGEVGTSSCDSMGADLPLAGQPQKIIIESISTPNKGRGMTSTDDIPPASLVHVEDPMAAIIMKSSRETHCHFCFDEAPADIVFCPSCTIPIYCSKRCQEQAGGDISCNQDAHLEYNGHAMTLAKLSITSSCKSPSSKVFAEHRHECGGAHWAAVLPADIVLAGRIMAQYIEKRVLYGKNVSISSTKLDLVHHYDQHSPANKLESQIYAIVLLSCLQNHYGSELLWTEDSLSQAGEMHLPDRQKTLLENYYFSCQCSSCAELNLSDLVMNSFCCPQRNCLGAISESTYYRSKENFVNVSLGGSYVYKLSLPDISKAGKDMEKVARSVFGNSDAHLNIDPGCCMNCRSQIDVLSAAATSHREASKIDRLKEITLLDKTLIPEALQSLKQLKKLRHPYSKALAQAEDTIAEAFVKAGDQEQAQKHCEASIQILEKLYHSKHIAIAHELIKLVSVLLSLGDMAGAAATFARAEAIFSLYYGSHMEKILAYLGALRRAVTSESTVAC >Dexi4B01G0010600.1:cds pep primary_assembly:Fonio_CM05836:4B:7950416:7954881:-1 gene:Dexi4B01G0010600 transcript:Dexi4B01G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGAMEKVKLVAGVLVLEALIAGFHVVSRVALDMGVSKMAFLVYRNASALAVVAPFAYFLEKKDRPPVTLHLMAEFFILTVFGITFTQGFYILGLYYLSPTYVSVIQNSIPAITFVMASCLRLEQVNVKTGYGLAKVVPVLKKYPAKLSLFAIILAMGLIQLLAVAPFFDNDIMRWKVHSGGELLSILYAGIVATGLAWSLKIWCINKGGPLFVAVFQPLQTVMVAIMAAVFLGDQLYSGG >Dexi3A01G0006510.1:cds pep primary_assembly:Fonio_CM05836:3A:4317153:4317888:-1 gene:Dexi3A01G0006510 transcript:Dexi3A01G0006510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAIRAASWVVGKALSPIVDGFLEAWAASTGLGPNVEDLKLQLLYAEAMLSNARARGMDNPALSREMDNPALNELLHKLRDLAYGADDELDYFRIQDELHGTHHAAAAGCVQGLEMG >Dexi1B01G0002150.1:cds pep primary_assembly:Fonio_CM05836:1B:1776914:1777135:1 gene:Dexi1B01G0002150 transcript:Dexi1B01G0002150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADPKRTSWPELVGIPATPAVMRINHDRPELVVEVLPLGIKVDKGFNPKRVRVFYNPRDSAGLVAKVPVVG >Dexi9B01G0007200.1:cds pep primary_assembly:Fonio_CM05836:9B:4353668:4354170:-1 gene:Dexi9B01G0007200 transcript:Dexi9B01G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDITNSSEIERERARAVLPDLRRGLWEARGRSRDPAPGTSGARNPTNPSLPPAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTSELVAVKYIERGEKVTR >Dexi1B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:1B:12162315:12163844:-1 gene:Dexi1B01G0011750 transcript:Dexi1B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEETMDEIPPAYRFRPTQRELVEFYLLPRARGQDPFPGVIIEDDTAGSSLPWDLFERHGLGTEDEAYFFVRASDATKKRGARQDRGCDGGVGSWKMQNSREKGLRVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYTIAAPPCPSPVKICHIAFTGHGRKRERVPGGQEDCQTGQALPQVDATAAGGGCSGGMPDDRDSGALVHASADEQGSQPVLTKDNIFSQNPVLGGSEFLGFPSTASANAEQYHYQELEQEVPSNLWSSTWLESNNVVPHISDHVAQQLNRVQEDYQTGQASQVDAAAAAGGCSGAMLDCDSGTVVNVSADEECSQPVLNEDIFSLSPLVDSSEFLGSPSPSSANADQYQELEQVVPSTEEEQAMVPQLMVEQSVSSLEEQQYAGDLEFWSSTGVDLHSSNLGGNLCSPTGVDVQGSNGFAEQDILRSAVVESDSLVPHMGDMAGHQDPNQLDDFWSSSWAHGQSNCAMPDMAAGAAAGNCHWGGYCITC >Dexi1A01G0020250.1:cds pep primary_assembly:Fonio_CM05836:1A:27126771:27129638:1 gene:Dexi1A01G0020250 transcript:Dexi1A01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRTAAALAPPPSSPLPAREPRGRCLSLACSRRAPGRPLRARLLQAPHVLGRAAGRLRRLSATEADEAAQTATQEDSETEVTGDIADDGAESTDETPSIIVTALQSYKEALINDDEAKAAEIEAFLLSIEDEKHSLMKKITVLDAELATQRERILRISADFDNFRKRTENEKLNMMSNVQGELIESFLPVLDNFERAKTQIKVETEGEVKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMLHEAIMREESTEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEKSEGDDDPTAVEDSVAPQKVQDEADGSYDGDAE >Dexi9A01G0048230.1:cds pep primary_assembly:Fonio_CM05836:9A:51078323:51080848:-1 gene:Dexi9A01G0048230 transcript:Dexi9A01G0048230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPTSARLNSRAASRQRVDFADFSGLRPGSCSVSAVAREASFSDVLGAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVINDSGGVKNASHLLKYDSMLGTFKADVKIVDDTTISVDGKNITVVSNRDPLKLPWAEMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHGVADIISNASCTTNCLAPFVKILDQEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKKGITADDVNAAFREAAAGPLKGVLAVCDEPLVSVDFRCSDVSSSIDASLTMVMGDDMLKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAAPAGSGDPLEDFCKGNPETDECKVYEA >Dexi7B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:7B:19596540:19597569:-1 gene:Dexi7B01G0012980 transcript:Dexi7B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSYRPGASALLKNTVLSLPFLDLIRVRRQCRRKYPPAAAAHVYPFSLRWAAALACPFCLCQLRLLAGRARLPAPSPSAGSLSVSTGGACLPACSPRLATLALSSTTALRSRSPSATPLATKSTHRGKESRSGGTCCCSARWTAAAPSRTVDGGGGSRATAEGAAAAPPIRRLDSAASLPMQSLCAIQLGMPHLLAVRCRPSSQAARATAAAGLLLLGAVALPLLRCKPIWFPIPFSGFG >Dexi7B01G0023290.1:cds pep primary_assembly:Fonio_CM05836:7B:27903076:27905288:-1 gene:Dexi7B01G0023290 transcript:Dexi7B01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRAIRACAAASRRTLAAASAAALPKEAGAAGVTAGAAATGRKGRDDGRRVQWVFLGCPGVGKGTYASRLSQLLDVPHIATGDLVRDALASHGPLSKQLAEIVNHGKLVSDEIIINLLSKRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDIEGENGGPRMYMPPLLPPPECESKLITRADDTEEVVKERLRVYHDLSEPVEEFYRARGKLLEFNLPGGIPESWPKLLQALNIEDPDNKRSAAA >Dexi2B01G0030820.1:cds pep primary_assembly:Fonio_CM05836:2B:39080825:39081616:-1 gene:Dexi2B01G0030820 transcript:Dexi2B01G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSISLAVAEAVWAEIKSSGCASDEHLSILETLFGKNMLRACKIVDERGVRRVTGAPSGRSLFLVMGESKRKEEYLCFPEHLCTCYSFFYDIVARGEQLCCKHQLAARLAEAVGEHQDMEVTDEELAHMLSKL >Dexi6A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:6A:27053127:27053894:1 gene:Dexi6A01G0019410 transcript:Dexi6A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLKSSRSEYLKLKARVENLQRTQRNLLGEDLDSLDIKELEHLEKQLDSSLKHIRSTRTQHMVDQLTELQRKESKL >Dexi1A01G0010680.1:cds pep primary_assembly:Fonio_CM05836:1A:9216939:9221422:-1 gene:Dexi1A01G0010680 transcript:Dexi1A01G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERFTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRIRQKEIQDEEAKMKRKQSRCSSSRTVTPTKEVEYRDICSTSSSVPSYQYAEQGTECCSSEPSCSRQASMRPFHSRHSRDDNVDMNLEDMMVMEAIWRSIQEQGHLVNPVCGGYFPVIEPQTRERQAFLPAAVAPLEMPHPGGYSCAVAALAEHQPPSMDFSYMAGSSTFPVYDMIRRPCNISGGSMCAVDNSSLDTWSGIAPSCSREVVREEGECSTDRWSEGAEAGTSYAGSDIMADAGSMQQLPFVENFAMAPSHFRPDSIEEQMMFSMAMSLTEAHHGRPHAQGMAWL >Dexi8A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:8A:24979131:24980315:-1 gene:Dexi8A01G0014520 transcript:Dexi8A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTGPSWSDIPLDLAGRILRHLPAYVDRLRFAAVCPEWRGAARQGGLPPSMPLLLLPDSTVYSLPRSKPLHFPACTGYTGVCGTGNWLVFLKEDGCLLRDPFSNETVTLPALSRARLQDVGDESSDEAGHTWIEMDQKRGVDASKIMFCSPHLIAAIFRFKRDSTTRIAVCKPGASSWWYIYMNYQAPQFADIVFHQGKLYALDCLETLFAVAISIDQGTGDPWVSQIQQVIGGRKVFYYDFLHDFLNLRVTFLVESRGVLLLVCRKIDLWSKIAFYDAIEALETEQSMFEVYEGNFGQSRWTKVTTLGDDQVLFLSRQCCRSVSISHNEMPGDRIIFMENDEEYYLGYRSEASSSCRVYDMRDGKVSTPLPVVSWKLGKVFTTWLLPAGLN >Dexi2A01G0027900.1:cds pep primary_assembly:Fonio_CM05836:2A:39220064:39223316:1 gene:Dexi2A01G0027900 transcript:Dexi2A01G0027900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGRICGPAWLRQPERAGPPIGRFALVVSMVTISNSDVNVFLNGYHGGTSRTKLAYFYGYYVVERFVGHGIGTAYDSEPLILHHANENSGRMVEGQIFTIEPILTMEKTEFVTWEDGWTTVTADGSWAAQFEHTILVTRNGAEILTKP >Dexi9A01G0005910.1:cds pep primary_assembly:Fonio_CM05836:9A:3322917:3323984:1 gene:Dexi9A01G0005910 transcript:Dexi9A01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPDHVAALSGDAPVRVLEDFAGGLVQLLSDGTVIRRTDINGLPLPSCPPTLPVEWKDVVYRPDHNLKLRIYKPAVEGDVLGAPPTKLPVLVYFHGGGFCVGSFDLPNFHACCLRLAGELPALVVSAGYRLAPEHRLPAAHDDARAVVSWIRAQAAAVHADPWLAERADFEKVFVTGDSAGANMAHHVAVALGSSSGSGGLRIVDDEDVTAAARVVGYVLLWPFFAGVERTKSEAESPDGPHVTLPVYDQFCRLSLPVGGTRDHPALNPFGPGSPALDAVAMPPVLVVVGELDLLRDRAVEYATRLEAMGKPVELVEFAGQHHGFFPVEPWGDAGDELIRVVRRFVHGRAPRK >Dexi3A01G0026480.1:cds pep primary_assembly:Fonio_CM05836:3A:22741331:22745998:1 gene:Dexi3A01G0026480 transcript:Dexi3A01G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNVDLESSSADNNSAAENPRERILRVPQDYIGSVDKCTRETWICEGTSMAHREVFSENLGNSQSPRSQFACRGLTGQESHSSLTLQNFT >Dexi9A01G0045190.1:cds pep primary_assembly:Fonio_CM05836:9A:48755936:48760265:-1 gene:Dexi9A01G0045190 transcript:Dexi9A01G0045190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQKNITEKNTGQDQYLLSGFKKLQELILDQISYIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHEKQDAINVSFIKRSLSDGNILLENSLPVSNCNNGESNTVLLPMQQMDDIREPSDSAPDISICDPNPCARSSLINSPMDEVSVESSTSYSEQGHIEEGRDDMDLSRSSSQLSDVRDYSDRFAHWVANGGMLCY >Dexi8A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:8A:27098257:27102133:-1 gene:Dexi8A01G0015990 transcript:Dexi8A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKPPCDIFVTFLDERTRKQVSIKKDNGKTAMVPAFQSLETIAGEVSIAPVPGKRLEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVESRDFCVINYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQSS >DexiUA01G0023010.1:cds pep primary_assembly:Fonio_CM05836:UA:46945421:46946032:-1 gene:DexiUA01G0023010 transcript:DexiUA01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTPWRLLDYAEGGGAKNGIGTPGTTAGIGGKVTLGTPAGTGGKVTLGTAGIGGSTTFGTAGIGGRVAAAAAAGTAGTAGMGGKVAAGIAGICGTVTAGTVGMAGIGGTVVATGIVGTAGIGGKATAGTVGTGGFGTAGMAAAGGAAVSSARRRAAWLVPASMSAMVTSAAAKRPDADAMSDLGVFSVHGAGCCYLQACKI >Dexi9A01G0019410.1:cds pep primary_assembly:Fonio_CM05836:9A:14334877:14335857:1 gene:Dexi9A01G0019410 transcript:Dexi9A01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASQWHSHRSKRAPFDDAALSFAGDKLPWPSPRRPDNHAVLLSGLCFLFRFGQEYSTVDGLASMMPTGAVVSATTAVAPARQQGGARNAGAGHPPLPRPPPRQCPRCQSGNTKFCYYNNYSRAQPRYLCKACRRHWTEGGTLRDVPVGGGRKNRRGGGGNKGGGSAAKAAASASSSSAAATQQGGSIGADMDLLLRQLVQFQPAAGVGVGGGGYAIHLSAWQQMAAAATAPAQGNGDVGALGGAAATAAEANCGALPYWGGWQQDDMPGLDGAC >Dexi7B01G0011070.1:cds pep primary_assembly:Fonio_CM05836:7B:18210914:18212063:-1 gene:Dexi7B01G0011070 transcript:Dexi7B01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADAAASPALAPDADAPASEGLALAQFAAGCFWSVELVYQRLPGVARTEVGYSQGHRHAPTYRDVCGGGTGHAEVVRVHYDPEACPYAVLLDVFWAKHNPTTLNKQGNDVGTQYRSGIYYYTAEQEKLARESLAEKQKEWKDTIVTEILPARRFYPAEDYHQQYLEKGGQSAKKGCSDPIRCYG >Dexi3B01G0013920.1:cds pep primary_assembly:Fonio_CM05836:3B:9994849:9996189:1 gene:Dexi3B01G0013920 transcript:Dexi3B01G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPPSHSRAAIAAAAVLLQLLLFPAPTSQLRIGSTIAAWINGAPPPPSPNPPADASSSSSSPSQEEYTALQALKAAITDDPHGALSSWHGANVCAYTGVYCSAPPDDGAAAAASAAAAPTTTVVVAGIDLNRANLRGTLPESLSLLAHLTFLHLNSNRLAGAVPDSLRDLPYLTEVDISNNLFSGPFPASTLLIPSLVYLDLRFNAFSGELPPDVFAKDGLDALFLNDNNFDGQIPDTLWSSPATVITLANNHFTGSVPATYGYTAGRVREVLFLNNNLTGCVPEALGFLPSIEVLDLSYNALSGHLPTTLSCLSGIEVLNVAHNQLTGELPDLLCDLRRITNLTVAFNFFSGISERCDRQLGSRGVFDFVGNCVPGRDMQRPQPECEGFPGEGGLSCLRIPGARPAGCAGDAAVSVGVGVGVGGLPFGLPGAAAGGVVTVTVP >Dexi1B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:1B:20425727:20426055:-1 gene:Dexi1B01G0014210 transcript:Dexi1B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHHVMPGNPQSHSRQPSSVSPKVSPKMLPHPTKSPKISELHELPRPPANVESLRPSGLVGYSDSNSCSTSSCITDGITNPLATSTTSCYPHSQLFHTLK >Dexi3A01G0004360.1:cds pep primary_assembly:Fonio_CM05836:3A:2859520:2861498:1 gene:Dexi3A01G0004360 transcript:Dexi3A01G0004360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPGVGPGAGAGGGGGSAAGLKTYFKTPEGRYKLQYEKTHSAVLHYSHGGKTVSQLTVAYLKEKPASQGSQPSTPSSGSGMRSAAARLLGTGNGSKTLSFGGGNGAGRTVAGSSRVGGGLGTSTGLGGSQGVANYDGKGTYLIFNAADTLFISDLNSQEKDPLKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDDCIFVYMHVPCFIISNIQSSRCTSVAWVPEREGIFVVSHSDGNLYVYDKNKDGNTDCTFPAVKDQSQFMVVHAKSSKVF >Dexi1B01G0030540.1:cds pep primary_assembly:Fonio_CM05836:1B:34462242:34462538:1 gene:Dexi1B01G0030540 transcript:Dexi1B01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAKNQVTVTGTMDAKALPEKLRKKLRRPVEVVTAKDGKQQEGGGGGKDKDGKEKDAATKALTAELEAWKAAFYDQQSLTNAEFMLSDENPNACAVM >Dexi1B01G0008110.1:cds pep primary_assembly:Fonio_CM05836:1B:6771744:6773589:-1 gene:Dexi1B01G0008110 transcript:Dexi1B01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEEIRRVPELGLELPGGASTSGREAATGAGGGPERAQSSTAQASSRRRVRSPADKEHKRLKRLLRNRVSAQQARERKKAYLTDLEVKVKDLEKKNSEMEERLSTLQNENQMLRQN >Dexi4A01G0019890.1:cds pep primary_assembly:Fonio_CM05836:4A:23699671:23702690:-1 gene:Dexi4A01G0019890 transcript:Dexi4A01G0019890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFRPLMATAAKARSPPAVAASTATDRDEHVHYKHTDGCHHLRWTAKESYEYMYARPWSSVLDFYAELVRTGAGSAGLAKLFAKDEVAREFHPNFAAKWRRYMYIFPLDEDAKLIFGDEHSSEALENSEHNIKPQNFDVAKVDETLRKLSGKTLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLFSSNEDCKEGMRVMCVELVANRFLRKMVRVLVATAIREAATGAGEDALLNLMEATDRRATAPPAPPEGLCLVDVGYKDFDKQRCFIVD >Dexi3B01G0035870.1:cds pep primary_assembly:Fonio_CM05836:3B:38849953:38851647:1 gene:Dexi3B01G0035870 transcript:Dexi3B01G0035870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRPRRGSPAAQARVRTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHAGGGGNKQASQHKIPSAPPSKAPSIQTAPSVTDESPAAADEQRQDQAAAEAPAPAPVGGQQEPQHKISVGFGRPETSDLTVLLYGKEGIAVRMGVHRDVLCRSSTFFAARLAAGDHGPPLPPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCIKSCLDYLEAVPWVGEEEDSVVSSIRHLQSKDYGVSPLLRRITSDNLNSPGDTLANIMGMVLTSTDDRGRREMKALVLNLLKDSSHCPDGSSDICSDTLYSSCQGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDHFVAMWSSQKELAELHSKLPAASRHSVSCITARLFVGIGRGEILPSKNTRLHLLQVWLQALIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRTMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVKPYANQTEAGNVFSTDRNSS >Dexi1A01G0031370.1:cds pep primary_assembly:Fonio_CM05836:1A:36374807:36379190:1 gene:Dexi1A01G0031370 transcript:Dexi1A01G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAIAKQLAARDRRLAPLPVNLLLHGARRRRVQDRRHKHNKKQDLQVLAPFPGCLGRMINMFDLSNGVVATKMLTDKAHRDGTNSSYPVLSMCNIRLAVSPAGRDRSNTFKMAINPPAQIEDRQRDSQTRRNSPTKRSNSPTKRSGGTPVKMLMEQDMWKQGVPDEEPLNVVARLMGLHDAPVQQSNFILGRQMDKEYQSGGFEGNYRNIKPKKESKYYQNQKAVARHQYTWNGFSDQPSRINSSQRKHQGNEPCCEKRMSLVREKFAEAKRLATDEKLLHSKEFQDALQFLSSNRDLFLEFLDEPNPLLSSNRYEFQPVAPPSEVKQITILKPSEPTKRKGSVLVGRQLFSDEDESERNRYRRHHSLDVSPANSNLSEPTKIVVLKPGLANSYDARIARSPLSSAEDSEDESMMTVDETVCSRRLAKEIAWQMRMRLKDKQDEESMLSCEYPDLYIGDDSFSKSEVEVAKEISGETSEDLEFGTPTSGRSWEFQSRSGSPYSASCSSQTSHRREPSVVREGKKKILERWSMVSSTVSSEEEMAGRRSTGTLGDMLTIPKVKGQEEIEVETLESLASELETEEAFSCLPRSRSLPISLSYGGIESNGVASGSQVAQKERIRKSSSFRERVSSLFSKNKKSAREKVDPSASSRLKHESAVTNGDMKEGWNHLALDNCQRQNTCLNTDEKNTVQGLVTSSCHTNSTPNTPAKDISSMSSLVTPGFFGDPQDQPSPVSVLDGPFICDNNRRLLYSSENFIASSPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDLDSLTFIQKLVHSSGMDREGCILAEPLDPKLLEKFSDYQEEGIKLGNRRSKQRLLFDATNEALTELTSMAELDAYPWGRSCSLEHRDCKNGSSNSAAEEIWRVIRNWSILEKYPPGEAIERNLLLEMILKREVAEVASADMTRLEIFQINSAVCTMILEDLVEEALLDLTNN >Dexi2A01G0020410.1:cds pep primary_assembly:Fonio_CM05836:2A:32518348:32519440:1 gene:Dexi2A01G0020410 transcript:Dexi2A01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKKYLHLLLNDWDSGYSIYRVGEDDFDINNTDMDAPRPAVSPLVRIVAQHGYSWSFASHGTKIMAMNPAESSPGIPVFDTKTLAMTVLGPEPPHTKESWSWTGIDDEPMPPFNSSRVSSYAVHPDGRTIFVSVKCYKLNPGLCPPYGDRSSTFTFDMESHKWTHVGDWILPFRGRAYYDHVLDAWVGLCSYKGKAGHGHVCCCDVPPAAAGSMTTMPVWKLAVFRWVEKKNFFFWRFGVQRFFDDSSKRHLGATLVYMDNSRFCLVESVMPKYDDFYPRLRVVKMASFMLKYGKEGELRVSGRCTYASMAYRIPHKHMDVELNPVAFWM >Dexi8A01G0002490.1:cds pep primary_assembly:Fonio_CM05836:8A:1640246:1642640:1 gene:Dexi8A01G0002490 transcript:Dexi8A01G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPAGGAARATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPITTTTNTPRSPFLTHHYLPINGGAPSSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQQCSRDVVKALLELGAADVNSRAGPAGKTALHLAAEMVSPDMVSVLLDHHADPSARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVATRDEGGGAGGDAGGSDGGGNFGRSDADDSLVSLTMNSTLMYQGQEMAAAIAGGEGRKGNGGRGSPSNLYFPSGFP >Dexi5B01G0036920.1:cds pep primary_assembly:Fonio_CM05836:5B:36473989:36474367:1 gene:Dexi5B01G0036920 transcript:Dexi5B01G0036920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGKEACQHVVSFLVGAALPTALLVLLASDRLGDGLSTISGSWGSTAGIQRPPLAGSPPLAHQEGNTTSAGGGAPTPTTAHGHGQEPPHMA >Dexi7A01G0023890.1:cds pep primary_assembly:Fonio_CM05836:7A:31772627:31774005:1 gene:Dexi7A01G0023890 transcript:Dexi7A01G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPISKRLRPEVIPDEVLLFKILVHLPVKSLVRFKSVCKAWRATIASAHFCPPALGACSPGSVVLVPRKWQPEPTKVAWRFVYIYSFQQPPVQVAKLIMKTKPCPTGCIPRFTIPLHCDGLILIPSVTGHIFVCNPATKEFVELPPGTPNALLDQRVAFGFDPSSGTYKVARHFLRSYSEEQIDTEYDTGHEVLTLGDGRETLEWKATIDPPYPIKGRTPICLPGFFYWSAVQSVADADQADKDMVFLAVDARNLFKCNLRDGSLETVVDMRYGLKYNRGEGVNFIHSELLFLHYMVPYVESLLRIGPC >Dexi6B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:6B:10592840:10593290:1 gene:Dexi6B01G0008440 transcript:Dexi6B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTRAVALFFKDHVPSTPSDEQTGKFSLSRILEGKVRKQAARMFFETMVLKSHDYIDVNQEQPYSDIEISVRPSLSEAKLT >Dexi5A01G0013180.1:cds pep primary_assembly:Fonio_CM05836:5A:9865860:9866267:1 gene:Dexi5A01G0013180 transcript:Dexi5A01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVESRWRYLPDNSSAPVSAPASSVLDHEKESRSRRRPSTRSGCRRRRRHTAAALLFAGVLSLSGCLSNSFLGVGIGSWEPNLYLLQTQSEPLLLRRPSLIGLIRQRNKAWRRRGKTIIGGRNGRVVVVLACLT >Dexi9B01G0041420.1:cds pep primary_assembly:Fonio_CM05836:9B:41883548:41886993:-1 gene:Dexi9B01G0041420 transcript:Dexi9B01G0041420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNCLGFSLSPHTAMEVPSASEPTPARHAPAAPPTTTISSSSNPTAPTSNFLFSPMAAPYPGYYCVGGAYGDGTSSAGVYYSHLPAMPIKSDGSICNIEGMMPVSQPKLEDFLGGGNGGGQETATYYSDQQGQEEEASRDYHQYQHHQLIPYIFQPMTEAEMLQEGAAPMDDAMAKNFLLTSYGACYSNGEMHPLSLSMTSPGSQSSSCVSAAPQQQHHQMAAVAAAASAAAAQGRSNGGGGGEQCVGRKRGTGKGGQKQPRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPVENYQDELEEMKSMTRQEFVAHLRRHHQHGRWQSRIGRVAGNKDLYLGTYTTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVEKITESSTLLPVEEVRKVKAIEAANGVPNPTMHNNGGKELNPTEQTSSDWRMVLHGSSQEAVHCPEAIDLQKSVMSGSHSMHGIVGLDVECVAHDHHLDVVPGKTGSVSFSNSSSLVTSLSNSREGSPERLGLAMLYGKQQHAVSLAAMSTWMPVPAPTGQHVVSHLPVFAAWADA >Dexi9B01G0039430.1:cds pep primary_assembly:Fonio_CM05836:9B:40119201:40121972:-1 gene:Dexi9B01G0039430 transcript:Dexi9B01G0039430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPSNATLLARLREGTAKFELLEDPVPTPAPVWPRLHCFARIAPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKNKGIPLTPREEVQDADDLRMAVKEIICDSETERQKYEEAVIAITVEQSLKRYCQRIRRPDFWGGESEFLVLSRLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFTKNSKNWKKKTPVRLLYSGRNHYDLLV >Dexi2A01G0001540.1:cds pep primary_assembly:Fonio_CM05836:2A:1078907:1089236:1 gene:Dexi2A01G0001540 transcript:Dexi2A01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARCLLKARDVPSTFWGEAVSTAVFILNRLPTKALRDKTPYEAWHGVKPAVHFMRTFGCVVHVKVTRPHAAKLDDRSVKMVFIGYEPGSKGYRVYDPASGRLHITRDAVFDEAKGWNWDHSGSDAVPDTFTVEYTVDVTLPEEPVSPTTSQCAAANMEEPHTPPAAGSLNPDITFASPPSHISSDIDLDGEGAPRRFRMVDDCINTSEPVEFDPDELLLAASEEPNTFEQANIDPAWRAAMREELTAIVDNGTWTMVDLPPGQRPIGLKWVFKLKKDATGAVVHHKARLVAKGYIQRAGVDFDEVFAPVARLDSVRALVAVAAHEGWNVHHLDVKSAFLNGDLEEEVYVAQPPGFTMAGRERQVLRLSKALYGLRQAPRAWNSKLNRTLVALGFTRCVEEHGVYTRGQGHKRVLLGVYVDNLIVTGADEAEVKAFKMEMQNSFKMSDLGYLSYYLGIEVKQEQGKTTVSQAAYTSKLMEKAGMTDCNAVHVPMEHRLKLSKDSSSPPTDTTHYRSLVGSLRYLVHTRPDIAFAVGYVSQFLEKPTTEHLSAVKHILRYIAGTPHYGLCYTKGQGRIELKGYSDADFSGDVDDHKSTTGVLFCLGGVPVSWQSQKQPVVTLSSCEAEYIVATAAACQGIWLGRLLGRFYGKTASTTTIFIDNQSTIQLCKNPVFHSRSKHIARRFHFIRERVDDGEVTVRKIHTDDQLADILTKSLGRIRFLLLRSKIGVVDTNLVQLIVGRGMARPVVAVLLHVPGGLATQSRVSGKAGVRSVHRRHSTRVRWAWSGARGPSSRLPRPTRKEQQSQAAASTATRPRKTGQQNCKQDQSNEQGDAGRQITASHAHRLGPTRNGMANLEILAIQTLHVHEPILALKASMAAAAVTLVDDLVDEILLRLPPFDPASLLRAALVCKSWRHVLSDACFRRRLREFHRGPPLLGLLCNLSDHAASFVPTTMSPFRGRRFVLSPASNPRPPRRPIGWLAIDTRHGRVLLHTDPHGTVQRGFIMWSYPCYHHWPPDNNGGCDRLGCRHGPSFVVYVGSIAARSRVGTNAWSEIITSKIRYECFMTVPGALYLWSREAGFHDDHAAGWTQIRVIELDKLLPTGHFSPLTLTLGDSLNSPASSLGGDSGGTQAATASSLPSALFPASPLPEPATGGPCGGKDGGDAAASPLPCPLPSPLPTLRTLTLGHLDEVAGGRRAADLPCLRLPFIFVSSIDRVWSLGLHPAATTSSVKQKRSEWPADLRATLGAPSLTGSLPGACFVLYRKSVNTDIGVPSIPFIMLGFAEGADTVLRYSSSSATLSSTSLCRRRSLSLSSPFFRALSLFLPPTPLDDYVVVLVGHGCLEDSAIFLGMLVGGAGKKWPSELSSQLLFQFVMLDLGALFTLYILIHLPDSCLPIQAELASFGWLATAAPALVKLPAAVSPAVAVDFVRCSTEYPRVWEMVSADCSAASAYIHIVLQLRLHPRHREQLLSGRSASDLAHAGAPASRAKQLATEFDIGMTNS >Dexi8B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:8B:16805131:16809676:-1 gene:Dexi8B01G0009450 transcript:Dexi8B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPSPSALTASRGQAAAPGPKRFNGTNDSVGTQFFLKNIHPGMKASASALFGLPDSSDARPNTFGELMRAIVSPSRTVQEAVNWALKGVNPDIALHMRMMSSRPVEARQAAATCIKRAMQICRIQGTPRVALVSDTPSFVQEIKSDISEFAEVIYFDYELFANGSDLMFRNDMPLNFRLTDWGPAPRWAAIVDFFLASCARCAVITGAHPRVGTTYAQLIAAVAAANTYGQEHSGANFTFLSSIHSSLLVHGLLTQVGRSHIWDTYAGPLSCEHQPNQCAVTPLLPPTWWDGTWQSPSPRDVKRLSEYGIQLPITGEVDESQLVAHCRSREDHVDRYNILSSYMKNSTVL >Dexi9B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:9B:2700294:2705791:-1 gene:Dexi9B01G0004710 transcript:Dexi9B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDRESEGRRRSSSSRRRRRSPSPSDSDADSGSSGSPRRSRSHRRRRSRRRRDTPSSSSDASGSGSEGSGSDSGGGRGRRGKSGGRRRRDVTEEQIVEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTENFVWRKKIERDVSQGQKVEISVKSEKKRQQERMAEIEKVKKRREERAIEKAQHEEEMQLLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILLKNLNFSDEYDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCDWELGEAQKRDALDRARVRGEEPPPEVLAEERGLHASIEGDVKSLLDGKTSTELEDMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPVTAEQIVESEKEIDDKVEDEMDEDEDDKRYSPEPIPQQTDNHLEEDDGSFSPQLMHGNEDEDAIDPDEDKAELDRKREAVVLEHQRKVQEAIKAKARVPDEMEMKAIKTMGAMEEGDAVFGAGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYSIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >Dexi6A01G0011310.1:cds pep primary_assembly:Fonio_CM05836:6A:16073562:16074914:1 gene:Dexi6A01G0011310 transcript:Dexi6A01G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSPRSRPLPILLLILAGAAVIIAGQGEQQPITHPGCPDKCGDISIPFPFGLMPGCFRDGFEVTCDHSFDPPRAFLADSDTNRITVTEHVASAVPDDSYLAFDSNTSYLPIELMDVSVDRSEARVYGPITSGCSTNSTHYMMEDQAMSLGRISTETDGPFAVSEALNVVIGVGWQAGVSDGSSYSSTVACRSELPGGHLESARNGSCAGRGCCEAALRQEEYQYGPITEVAPGLMLDDNTLWRTSPCSYAMVVEKSWYNFSTPDLYGDRTLPGRFPRGVPVVLDFAIVEGVACPAKGQRPPPDYACVSNNSYCVNATVGQSGYALSYVCNCSEHYQGNPYIVNGCQDIDECKFPDLYYCASNGICKNRLGGYDCPCKPGTKGDGKLGHCAEKFPLVAKAIVGK >Dexi4A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:4A:8729049:8732415:-1 gene:Dexi4A01G0010850 transcript:Dexi4A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPRARLSPPVPAVRPPRKRGRSPPAPSGTSSWRASAENAAHRDQRSRWQTPERAPGRVWRQFRPPQSALPSSRRWVSSEDASTSSSGDACTIMSYNILADYNARNHPDLYRDVPWDAMGWDSRRRLIIREIRHWDPDLVCLQEVDRFQDIAAGMKCRGYEGIFQVQHNTSPYICYLSNIAVFLVHIRKKQLCDHQRRTGDTRDGCAMFWKSKQLRLLEEDSIDFSEFNLRNNVAQICVFELNGTHKLVLGNIHVLFNPKRGDVKLGQIRMLLEKANALAAKWDEIPIVLAGDFNSTPDVCFYFLDTYDYLNYRTKLCALNISLHDRRQLSGLDSSEFDLYELCRSLKYQWSDEEVKNATGCSNIMVAEHPLKLSSSYANLKGNSNNRGLHGEPLATSFHKKFLGTVDYLWYTCGLECSRVLDTFPIGVLRRTRGLPTREIGSDHLPIVAEFAFTESVRDSKEEEKFEQDDEFDPEASTLQNVYLSSDGESC >Dexi7A01G0001000.1:cds pep primary_assembly:Fonio_CM05836:7A:2686812:2687697:1 gene:Dexi7A01G0001000 transcript:Dexi7A01G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPVVAASARNSDEDTCLHALELISAFAVSMTVKAAIELGLIDALSTAGGRAMTAAELSAQLPAADKAEATASVDRLLRLLASYNVVKCETETSPNGEALRRYTAAPVCRWLTGNSGQHLGAAVAAGGPVAFERAHGVPMFRYMGANNRLNKLFNKAMAQQTMIVMGKLMESFKGFEGVSVLVDVGGGTGATLEMITSRYKHIRGINFDLPHCLSDAPAIPGR >Dexi3A01G0007930.1:cds pep primary_assembly:Fonio_CM05836:3A:5533279:5535306:-1 gene:Dexi3A01G0007930 transcript:Dexi3A01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPALLFPSTSSSASPSSARVEAVVLFNICDSYVRRPDQADRVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVAIDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQNPIHLTVDTGFTRGEASIKAYISLNLSLGDRHLAAQFQEIPLDLRMIEAEKAGFEILKSTMVEKLPNDLEGMESSMEKLYILIDEIYKYVDDVVEGRVAPDNKIGRFISESVASMPKLSPAAFDKLFNDKIQDNLALVYLSSITRTQISIAEKLNTAAQVL >Dexi4A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:4A:7298337:7300142:-1 gene:Dexi4A01G0009270 transcript:Dexi4A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPSPTPIPLTTIAELRQHHSQLVRLGVASHPSHARRLLSFLARDPDSHLPYAARLLAHHPDPHPALFNPLFSELPPRHAARLLALMLSLPLAPDHFTFPRLLPGAQPLHLVAQLHALLLKLGFHAHTQSLNAVLAAYFANARPDLASRVFRGGGGALDVVSWTTMVGGLCKLGLVDDAREVFDGMPERNLVSWNAMMSGYVKAGRFLDALEVFDEMRARGVEGNGFVAATAVVACTGAGALARGREVHRWVERSGIGMDEKLASAVVDMYCKCGCIEEARRVFEALPVKGLTSWNCMIGGLAVHGPGEDAVELFGRMERDGVAPDDVTLVNVLTACSHAGMISEGRRCFDYIVRRYGIEPKMEHYGCLVDLYGRAGQLEEAKKVIDDMPMEPDVGVLGALFGACRIHGDIDLGEAIGWRVIEMDSQNSGRYVLLANLFASAGRWEDVAKVRQLMDERNVSKEAGRSVIDVDSEVCEFQCGSLCHPRAEEVYGMAKDMMKRIGAEGYVPNTRDVLHDIVEEEKETPLLYHSEKLAIAFGLLRTRPGDTMRITKNLRVCRDCHEATKFVSRVFEREIVVRDRNRFHHFKDGKCSCKDYW >Dexi3A01G0004950.1:cds pep primary_assembly:Fonio_CM05836:3A:3213966:3215264:-1 gene:Dexi3A01G0004950 transcript:Dexi3A01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAETTTSRSKVEAAGRLSSMECEPKTLTLDQIKFAREAALYVMNTKTEEEAIRIFTEGLKPVQMTTVVRKSNSFDSSSDDEVELGGSSYSAKQQGCCRGSSKGGGGHRGCCCRRRSRSIERDVATAPF >Dexi9A01G0041670.1:cds pep primary_assembly:Fonio_CM05836:9A:45287336:45291430:1 gene:Dexi9A01G0041670 transcript:Dexi9A01G0041670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDVATRSKSQKSSAMQNEQSTPTNPPTAYPDWSQFQAYYNTAGTAPVTPPAFFHSSVAPSPQGHPYMWGPQGSRAKDSSERGQGNDSRNKGTQNSAAEPQQPSSGPVVLNPMMPFWHVPPPMGGPAMDYWGAPTSVPMHGKVAATPTSAPSSNSRDIVLSDPAIQDERELKKQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCDSLTSENTSLHEKLKALEDEKSNGNCCED >Dexi9B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:9B:10773156:10773956:1 gene:Dexi9B01G0015750 transcript:Dexi9B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDAARDGVFLRPFPARRLRLRRAPLPPADLPAASPDRGVWGFPTHALGRDTHAPILPAPPRAARGWAGRGGVRPREARSAPAPGRWAGGTRWRCTTARGAAVGCVAWEDLQGQGGTREAARRGGGVPRRKRDANFVVVVVVVVGKNEVDAGEGGGGVGWLAAVCASAVRPPSRYVSFWPGLPLLPHTSGVGAVRRRPVVVPWCWAAPARGGRREEEASASASPPSRRAWTRSVVGGRSFRVRSLFWLGWGPVLSARLVGVGPG >Dexi6A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:6A:5345413:5348107:1 gene:Dexi6A01G0005810 transcript:Dexi6A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRSKSYAMANGSRKLPYAFLLLLALAAGILSVVVLQKVREQRIFAGRLQERDRQLVSLRILLQKEKAFNREMKRKLEEMKATTTSLRTQKLDQKTKLKGLEATISNLKKTQKELEAAVTEKDNHINLMEESATNLKKARKELEATLTEKNRYIRHLDEKATIAKNTRKELEAILGEKDIRIRQLEEKATGSNPDQMAALMEILQRKEAELEEIKARFQDYKKTDRVAVNSTSTHVQTNNTRADPDIMIVKKPMNSSSVTTLAKSEEKRSANTTVVQSVKPEEKRSAHTTLVQSAKSEEKRSANTTIVQGVKPEEKRSANTTAVQGVKPEAKRSTDTKVVQSVKPEEKRSANTTVVQNAKPEEKRSAITTGVESKHPEERSLEEKVVKFITNTEDDGTKGNLDDFDEDIDFDDIYGESRSKNSGPPRRNKKLTNSLDGIGQSVNSLDQDSDRVRYNRLLEKENAKDANKSKKNNTNGTSEKTSKDSLAHAGHTTSEKAVQGMPGAAAADVKQSTNMPLNNDEARQQNRKQKKKKSKSKKKKMADTADTNVGGEVAKQRVPGATSI >Dexi2A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:2A:465909:468074:1 gene:Dexi2A01G0000810 transcript:Dexi2A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRRSTLCSTVVFLVLALHLRTASCASSSPHEQDRSALLELKNGLSSGSGDALRQWAPESGVHHCSWPGVTCDARSRRVVALSPPSRPGRRLAGELSPAVGRLTELKALSFRAVVLGGGIPQEVWGLQRLEVLNLAGNSLRGRLPATFPEGLKILDLSGNRLSGRIPPGLGGCTALRRLRLSSNSLDGSIPQQIGKLARLRVLELSGNRLTGGVPPELLHCRVLVKMDLSRNFLSGRLPSGFKELKNLKFLSLSGNNFTGEIPSDLGQLRSLKFLNLSSNSLSGAVPIDLVAIRNRTVLLLDKNMLSVSGEITAEAAPSMPSVISVPAVNVSSSVNLSRPPYELFTASPISSMRVLAETSPGTPSDGSGGLGTKEIAAIASASAIVMILIVALMLCICSRKWTPKPSRRSFRRREVKVFDNVDIGAPLTYETVVRATGNFNASNCIGNGGFGPTYRAEIAPGVLVAIKRLAIGKQHGDKEFHAEIRTLGQCRHPNLVTLLGYHISDSEMFLIYNYLPGGNLEKFIEERTKRPVSWRRLHKIALDVARALAYMHEECVPRILHRDVKPNNILLDNECNAYLSDFGLARLLRNSETHATTDVAGTFGYVAPEYAMTCRVSDKADVYSFGVVLLELISDKKALDPSFSPYGNGFNIVSWALRLIQRGRVREFFIEGLWDKAPHDDLVEFLNVAVQCTQESVASRPTMKHVARCLKDLRPPSY >Dexi1A01G0023910.1:cds pep primary_assembly:Fonio_CM05836:1A:30497884:30500473:1 gene:Dexi1A01G0023910 transcript:Dexi1A01G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPSSSAPVREVGASFWEAREEAAARLEAMAAAALVDDELPEEQLQGNSRIQEDELLALQAIYGDDMLVFDNMDGLRFFQISLHYQLQGDIQVYINVDVGTNETTETGDDETDDSEDANDGLLYACSLQHLPPITLTCLLPRSYPSTRAPHFVIVAKWLDEPEVSRFCSVLDEIWAELPPGQEVVYRWADWLSGSSWSCIASDGQMVLGPDASSAPARADERAIGRSLILDSTIPLMQRYSEERSQETFDQSVHECGVCFSENTGADLLSSLSFSNLAIGDRLCMEAYCSIHVKEGSVATLACPDTSCRAPLPPPVLRRLLADEGYARWEWLALRRTLDTMPDVAYCPRCSAACEQQKQEVSAKGQRKLEELLSLREVLRSTRQCPSCRMAIVKTAGCNKMVCGNCGQFFCYRCGRGINGYGHFFNGGCGLFERVGMGRDGQLDEEVERFMRAVRYTCPDCGAKRTKAEAGANNLLTCQRCQARYCALCRKRVWEVSRHYGPRGDCQQRRMWY >Dexi6A01G0003640.1:cds pep primary_assembly:Fonio_CM05836:6A:3408321:3409435:1 gene:Dexi6A01G0003640 transcript:Dexi6A01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPGSGRTSSTDAYKELETILRGEDLSLLDEKQITEKVIEKVGSASKEGSVHDSWALAQKLMKLGEEKIGYLHAKSLGSGGEYLTFVSLLMSHAGLETFAERQQRVKLRLPKEERVKMAKQRIQEAASKKSTDGPSTDQGIVPVKEGENAASPSASEVRVVPLKEEDDAATMSASQGDGGVAPAPSIEIVVSRQLCLYAHSSSRMGMDAIELEENAAAS >Dexi2B01G0036640.1:cds pep primary_assembly:Fonio_CM05836:2B:43394337:43396729:1 gene:Dexi2B01G0036640 transcript:Dexi2B01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCFPCLGGAKKKKRPPEKPQIPPASEKPKLGPSSSVTKQDSLEVNKDIILSNGSDHHQIAAQTFTFRDLAVATRNFRPDCLLGEGGFGRVYKGYLDCVNQNKVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGEQRLLVYEYMPLGSLEDHLHDPSLDKARPLFKDRRKFPLMADPALEGQYPPRGLYQALAVAAMCVQEQPSMRPLIGDVVTALTYLASQTYDLEAHGNLRLVAPGMPPRTRNRSLGHGVADQRGSG >Dexi5A01G0039800.1:cds pep primary_assembly:Fonio_CM05836:5A:40216130:40218692:-1 gene:Dexi5A01G0039800 transcript:Dexi5A01G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGGSSSSSTAAAAAPGGEQQAVVLANASDVSHFGYFQRAAAREFILFVARTVALRTPAGRRQSVQHEGKHRTHARLLLPSLLQYMVHCYNQNGLCAIAFTDDHYPVRSAFSLLNMVLEEYQKTFGESWRTTKTDATQPWQYLNDALTKFQDPAEADKLLKIQRDLDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >Dexi2B01G0008760.1:cds pep primary_assembly:Fonio_CM05836:2B:9486559:9486998:-1 gene:Dexi2B01G0008760 transcript:Dexi2B01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLKIASLVIATTIFLLATPQAQGWAPEDYDPMSDIVPMTGPVEEVMVAVAGGLGTLAGAELCTDCLCCARKNPANCLRYKCCGKSKLNSNGTCTIVQDCGCRCQSTAA >Dexi4A01G0013500.1:cds pep primary_assembly:Fonio_CM05836:4A:13142023:13147070:1 gene:Dexi4A01G0013500 transcript:Dexi4A01G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGNDSRALVPAHPGASAVAPLRPLARGQRWEVEYARYFGTPRRDPSAPPPAGLRHITRGIHRHQGTWLPASSTAELCVSRPTLLTAVPVLTVSIGDVVFVRTQTPPPFIPSFFVLVSCIQKFAVRFPQLCDAESFLNCVKLFEVLTQCIYLIIVKECSCETMDIIPSGSDYVCEDSSASEYIASNGLHHRPDDASSFEEQASDHMIEAAPMSYHEEPDLPILEPLSASNTNSSYSGFPPSFSQMLANCSTENEQDTEEPYPVGTTNHEVMFVYALDNSHDVAVATEETTADKGMDAGEGIDTSVLTGDIMARIKTYMADDSFNDMLFKLEKVIDELGGDMLL >Dexi9B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:9B:3038660:3040468:-1 gene:Dexi9B01G0005170 transcript:Dexi9B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLTSTPPRLLPAKSKSPPPPQHPLLSHLPHCTNIRSLIQLHASAVKAGLAAHPALVTRLLTLCTAPGAPPAHLAYARQVFDRVPHPTDAVWYNTLLRGYARSPSAAEAAARVFARMLEEGVAPDTYTLVSLLKACAAARAGEEGRQAHAVAVKAGAAGHEYVLPTLINMYAECGDVRAARVMFDRMDGDCVVSYNAMIAAAVRSSRPGEALVLFREMQAKRLRPTSVTVISVLSACALLGALELGRWVHDYVRKIELDSLVKVGTALIDMYAKCGSLEDAIAVFQGMESRDKQAWSVMIVAYANHGYGREAISLFEEMKRQGIKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDHGIIPGIKHYGCVTDLLARSGQLERAYKFIDDLPIKPTPILWRTLLSACGGHGDVELGKRVFERILELDDSHGGDYVIFSNLCANTGKWEEMNRVRKLMNEKGVVKVPGCSSIEIDNTVHEFFAGDGRHPKSQEARKMVDEVIDQLKLVGYVPDTSHVFHVEMGEEEKATSLRYHSEKLAIAFGLLNTAPGATLRVVKNLRVCPDCHSMAKLVAMVFNRRIILRDLNRFHHFDDGVCSCGDYW >Dexi3A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:3A:6126389:6127686:-1 gene:Dexi3A01G0008810 transcript:Dexi3A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEFDGKPWCTTANGFFSAAEPSTGAADTGVKVKSLAHSSGRIVPRHATRMSRRPSSAAPSSVATATYPIQLDSLDHAATSPARSGSGRRRTSDAGIGSAARPAAAAPELERRSERPYRHAPACGERQIYHRVSQDSSPSYRC >Dexi9B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:9B:3222493:3222997:-1 gene:Dexi9B01G0005500 transcript:Dexi9B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKTMLVAAAMAVVAVVAALLPATASAKTYRVGDGAGWDTGVDYAAWASGKKFKVGDTLEFRYLQAEHDVVVVDAQGYADCLAPDNAPALTSGDDHVVLGQAGQFFFICDAEGHCDSGMKLAVNVH >Dexi2B01G0032160.1:cds pep primary_assembly:Fonio_CM05836:2B:40108036:40109415:1 gene:Dexi2B01G0032160 transcript:Dexi2B01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVGDRVSTFDRALQMMKSSGVNITRHACLYETAPAYVTDQPRFLNSAIRGTTRLGPHELLKKLKEIEKDIGRTGGIRYGPRPIDLDILLYGSNLIDSETLIVPHERIQERPFVLAPLVDLLGTSTDDGVETSWHSLSKCSGGFFELWNKLGGESIIGTEGIKRVLPVGNRLLDWHERTLVMGILNVTPDSFSDGGKFQEVEAAISQAKLLISEGADIIDIGAQSTRPFANRLSPKEELERLIPILDEITKIPEMEGKLLSVDTFYAEVAAEAVKRGVHIINDVSGGKLDPRILKVAAESGVPYVILPLRGDPSTMHSEKNLQYGDVCKEVASELYAQVREAELSGISLWRIVLDPGIGFSKKCGHDTDVIKGLGSIRSEMGKMSIGASHLPILLGPSRKSFLRKICNRADPVDLDAATAAAVTTAILNGANMVRVHNAGYCSDAAKFCDALHKGRR >Dexi5A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:5A:2171933:2175874:1 gene:Dexi5A01G0002980 transcript:Dexi5A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFVLIIIFVLVRTTTSVIDQTIHELDQTFREVADATGGRFRTDAEATALAATDFGTNVSVEAARPAAVFYPSCPADIAALLRASSSSASPFPVSARGRGHSTGGQAMAPGGVVIDMASLGRRRRAAVDDDGGEVDDDLAAARRINVSVDGRYVDAGGEQLWVDVLHATLAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGTGEMVTCSKEKHGDLFDAVLGGLGQFGIITRARIPVVPAPARARWLRLLYADVAALTGDQERLIAEDGPLAGIMDYVEGSVLTGHEEGIIGSWRSQPPPPSTSFFSDAGGDHAAANVAKLAKEAGGVLYCLEGAIYYGGAGDTSAADVDKALEVLLRELRYTRGLAFVQDVSYVEFLDRVHAGELKLRAAGLWHVPHPWLNLFIPRSRILDFAAGVFHGVLLRRHHGGPVLIYPMNRRVWDGATSAVFPDGEEEEVFYTVGLLRSAVAAGDLAAVEAQNAEVERFCEDAGITVQMQRNAITSDVQI >Dexi5A01G0014720.1:cds pep primary_assembly:Fonio_CM05836:5A:11924662:11924980:1 gene:Dexi5A01G0014720 transcript:Dexi5A01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAWKVIMRCSDAAEAEAMACLEGLQLAAQHCQAPVILESDCARVVRALTSGEDRSELNFLLMEAKEQTQFG >Dexi8B01G0002450.1:cds pep primary_assembly:Fonio_CM05836:8B:1679681:1687999:-1 gene:Dexi8B01G0002450 transcript:Dexi8B01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKSRQTKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEEGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKEKDTKGKNNLASGDYDEAVKPSQSNGSALRNQSEKSMPPPPPPPQDNNFNGKEKQPAPIARADDDDIFVGDGVDYTVPNKEMSQSPVSEDMDESPHNHQKQSYLTEPLYGPVAPSEPPQAWQQPVYDTVQAQMVAAGYQGDWSGYGYAEQQLGYPEQYVQQQSIQEYDVLADPSIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEDDWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLSNDLHKINKILARKKGEKDGTDDGGHYDDDLPSTKKQRG >Dexi9A01G0036050.1:cds pep primary_assembly:Fonio_CM05836:9A:40600016:40610711:1 gene:Dexi9A01G0036050 transcript:Dexi9A01G0036050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAKRPAAASAEEEESPKGAAASPAPAEGAEGAGAGGEVASSSQPPKRAKVAGAETDIAKPSAAAAEAEAAAAAASAVAGPLPDTAGLQALTGAMDKLEALLRSREAQTNSAGHKRGANDKDLSAKIKKAKELKDLSEKMAGMLNKRQAAATSRRQEPWCRLISQYASHPTLPIYGSHFTIGHGAHHDLRLGESSTASPVCRLKQAKRGALLEIFDSKVVRVNGKSPDKTAKVTLNGGDEIIFRSPVRHAYIFEQLQQEKSSTSALSSTCSSIQQGQLSHFKDVQDHLSPKGRKVSTFYFGKGRSSLMPNGSSADPVLLNLCKTMDDRTQFNSEDNGSFCRSQLIKEDLIKATVDASDISESFDSCPYYLSEHTKCALLSSAYVHLQCKNYVKFTKDISSLSQRVLLSGPTGTEIYQEYLVKALAKYFGAKLLTVDSSMLFGGQASKESESYKKGDRVRYVGSLQSAGIILDGQSPPDFGSQGEICLPFEENRLSKIGVRFDKQIPGANDLGGNCEVDHGLFCPVDSLCADSPGWEVRSKHPFDVIVEFISEEIQHGPLILFLKDTEKVCGNNDSYHGMKSKLKDFPDGAFIIGSQIQPDSRKEKANASSVFLSKFPYSQAILDLALQDLDGGNDKNKETSKAMKHLTKLFPNKVTLQAPQDAMELTRWNQMLNRDIEILKGNANILKIRSFLTRVGLECADLEAILVKDRILTNECIDKMIGFALSHQLKNSTIPDPSSNVQFALTSESLKHGVDMLESIQSSSKSSTKRKSLKDIATENEFEKRLLADVIPPHEIGVTFEDIGALESVKDTLKELVMLPLQRPELFNRGQLMKWLGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEVIANLTEGYSGSDLKNLCVTAAHRPIRDILEKEKKERVAAEAENRPLPPPHSSNDVRALRISDFIHAHEQVCVSVSSDSSNMDELVQWNDLYGEGGSRKKTTLSYFM >Dexi2A01G0030050.1:cds pep primary_assembly:Fonio_CM05836:2A:41066326:41070505:-1 gene:Dexi2A01G0030050 transcript:Dexi2A01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSLPPHRLLVARRGRVLSPPAPRHTRLSVRAAAGDAAVSVAAEACGLPFTPERASHHRELAAAVATVERACRLCVDVKKSLFSGGKSILEKNDQTPVTIADFGVQALVSLELQRLFPSIPLVAEEDSASLRSSKADDNNNDVLVESIFSAVADEVSNSGSPLTQDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLRGDDALYVVGLALVVNGKDESDSRNENEILLLSVFCGSLCKYLTVASGRGSVFVLRARPTTQIKSWDHAVGLICVQEAGGQISDWSGKPLDLAADLTSRRIIYPSGGILVTNGVLHDKLVEIISANYK >DexiUA01G0008820.1:cds pep primary_assembly:Fonio_CM05836:UA:16699374:16701964:-1 gene:DexiUA01G0008820 transcript:DexiUA01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEILSHYPSNYKQSGIIPLLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEDTLLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLRRGETPPRGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >Dexi6B01G0010380.1:cds pep primary_assembly:Fonio_CM05836:6B:16779584:16780595:1 gene:Dexi6B01G0010380 transcript:Dexi6B01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSRSSEINIWMCRREKSRVLGRGRGIASGFSSSSASACQRLAGKVAVITGGASGIGKATAFEFVRNGAKVIIADVQDDLGRAVAAELGGPDAACYTHCDVSDESQVAAAVDLAVDRHGRLDVMFNNAGIGGDAAAPGPLASLDLGAFDRVMAVNARGALAGVKHAARVMVPRRRGSIVCTASTAGVLGTAGIAAYGVSKAAVVAVVRAAAAELGRSGVRVNAVSPHAVPTPLVMGTVARWFPGRSDEEMRRIVENMGEMEGTVLEAEDVARAALYLASDEAKYVNGHNLLVDGGYTVSKTPNMPAAP >Dexi7A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:7A:17154474:17154818:-1 gene:Dexi7A01G0005860 transcript:Dexi7A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFSTALRPERQKPTSPVRVPHRWPAVHYYRIRRGMDGDNMSRGGAKLTSQVRGRGKGRPTVSGAVEWRRRGRRVSAEETERVTGDWEFGIRIGKSGKRTGAAALMALPDLL >Dexi2B01G0025470.1:cds pep primary_assembly:Fonio_CM05836:2B:34709717:34711400:-1 gene:Dexi2B01G0025470 transcript:Dexi2B01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAAAADAVPLLLPLGDAAVVGRGFWEDGAISLGLVGVQLAGAAYMVVVTPVLALGLDPLFLVAIGSLCTAVFTIPFAVKLESRKKWPSELSSRLLFQFVVLALGGVTGFQALLLQGMKMTSPAIASAMPNLAPGFIFAISGCLGFERVDLKCRYTRAKILGTVVCLGGAIAMSVLQSPDAPPGHVVLPRSAERAAAANWVVGCLFLLGAVLVLSGTIVMQAATMLHFPAPFTLCAVTSLVGAALTAAFQVATAGRFSPGTPQISLQIVLSLVFVGGVVSSACIMFQTWALEKKGPVMVSMFSPTQTVGTAIFSVLFLGRVMHPGSLLGMVFLFSGLYAVLWAKKKEGQVLAAERKETNRTANADMEKPLLF >Dexi9A01G0015850.1:cds pep primary_assembly:Fonio_CM05836:9A:10781853:10784032:1 gene:Dexi9A01G0015850 transcript:Dexi9A01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAAAMGIAVVAPVAASSSCLGAPPRASARAPARVHVAAAGMSSRASSFVGGGSLAVAAASVAARPRRAASGGGGALGCKCLFGLGVPELAVIAGVAALVFGPKQLPEIGRNIGKTVKSFQQAAKEFESELKKDPGEGGDQPPPTTPTAVGDGEEKKGLETSSSSKEST >Dexi2A01G0033070.1:cds pep primary_assembly:Fonio_CM05836:2A:43382254:43383742:1 gene:Dexi2A01G0033070 transcript:Dexi2A01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLFTAAAAVLAAVASLAAYVALNSPVDSVPSPPPPPTPPPNNLLQRLEKLGEGALDAPEDVYVDAAAGGALYTATRDGWLQRMHPSNGSWERWRFVGGTGLLGIAPSADGTMLVCDANKGLLRVGEEGVTLLASEVDGSPIKFADAAIEASDGVIYFSDASSRFGFDRWYYDFFESRANGRLLRYDPSTGLTSVVLDHLYFANGVALPRDEAFVVVCESTRFRCMKVWLKGEKAGQTETFVDNLPGCPDNIRLGSDGHFWIALIQTRSPWLDLITPSSLAKRVVATFPAFLEWSKSTMKGAMVAHVSEDGKIIRVLDDSQGKVINFATSVNEFNGDIFLGSLATNFVGKLSLAEVTQEQVTVSSS >DexiUA01G0007180.1:cds pep primary_assembly:Fonio_CM05836:UA:13681763:13682851:1 gene:DexiUA01G0007180 transcript:DexiUA01G0007180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRPPTRMCRRSYARAPPRPACVRPGRCARARRWAGLPVSRWGRANVMAGVLVPSRVVELVAGPRAQSSWSEAQSSSPLSSCPELMTAPLSKVVAVELVARAHGQATVEREVRDAAVGGGSHRARGRRSWPAAAGREVKEGEVRKRGMGERSKRSHAGQRAHGRER >Dexi4B01G0010910.1:cds pep primary_assembly:Fonio_CM05836:4B:8296750:8298379:1 gene:Dexi4B01G0010910 transcript:Dexi4B01G0010910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAVVAIAILALTTVAGAASTSEAAATTAKSPPVIYIFGDSMSDVGNNNYLLLSLAKCNYPWYGIDYKTGLPTGRFTNGRTIGDIMAAKFGAPPPVPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVEYLSFDNQILSFEQIKNAMIAKIGKKAAEEIVNGAIFQIGLGKKNIVVISSSQSMHAKASLINLTLCWALCFAGSNDYVNNFLRPFMADGIVYTHDEFIGLLMETMDRQLTRLYDLGARHIWFSGLAPLGCIPSQRVLSDDGECLDDVNAYALQFNAAAKDLLQELNAKLPGARMSLSDCYSIVMELIDHPQKYGFKTSHTSCCDVDTTVGGLCLPTATLCPDRKDFVFWDAYHTSDAANQVIADRLFAEMVGSGAVVPGNGSSPPRVVAAPVRPTTGVAPPRKP >Dexi8A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:8A:7047518:7050090:1 gene:Dexi8A01G0006770 transcript:Dexi8A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRALGGTERGTVQARGGGTHKAEVVHRRQPVRDAVAHGRRHGGARRGYTSGDGAGLRGRAPARRPQPVRQNAFEEVDHATGGAPADGIDRIGALPDEVLHHVLSFLRAQDAVRTCVLAQRWRHLWRFATGLRIGCGEDDCTVASVPELREFVDNLLLLRGLVPLVKCEFSFDEYGNEDVHRLNLWIKHAILCQVQGRKHDVEMKGRYISLQKSAEISQHLEIEVKCKEVDRIVVKVLKFFGTFGIFFFAVNS >Dexi8B01G0000970.1:cds pep primary_assembly:Fonio_CM05836:8B:657153:657785:-1 gene:Dexi8B01G0000970 transcript:Dexi8B01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATRIDFADATDSDDHRRRCADPIPPSAQRKKLVDVDHPAGQGRGGRRFRGVRQRQWGKFAAEIRDPGLKKRVWLGTFDTAEEAAAVYDAAAIRLRGSRAVTNFPASTPSSAALSSSAVLPGVSSPVGSTKIPPTPASPPSTESSSVVVDAGEEVTGLRWFEDEPFELTEFCMPPAKSTRSEFGELGDLDDLFSPEPACSNLFGEKVR >Dexi2A01G0037050.1:cds pep primary_assembly:Fonio_CM05836:2A:46525823:46528048:1 gene:Dexi2A01G0037050 transcript:Dexi2A01G0037050.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVMLILDHKAHLSFQVMIKDIQGRRKGSKPDEVEKVKACQLEFGSYCLWSIEHREVMKDSTVKKLKDQLFVARSYYPTIAKLEGQEALTQEMKQNIQEHERVLSVSTVDADLPSFINKWMEQMERTIARAKSCTVDCKNVDRKLRQILVMTEDEAHFHMKQSAFLYNLGAQTLQKSHHCFSMRLTLEYFKSSSLDSDDSPPGKFNSPKYRHYITLSKNVLAASVVINSTVSSCKDPGNLAFHILTDAQNFYAMKHWFARNSYRNAFIHVINYEAIVLEKLPKYNIRQLYLPEEFRVLVRSIKQPTENTRMEYLSLFSHSHFLIPEIFHYLKKVVLLDDDVVVQRDLSFLWNIDMGGKFKFKDEQALRAAAFPLSLLLFQHLIYPLDENLTLAGLGYDYRIDEEVARRSASLHYNGNMKPWLELGIPDYKKYWKRFLVRGDRFMDECNVNP >Dexi3A01G0006880.1:cds pep primary_assembly:Fonio_CM05836:3A:4609267:4609623:-1 gene:Dexi3A01G0006880 transcript:Dexi3A01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWHADTNHILGSSQTDSLATPRPHKSAAVLIAIPPLTRSISQAATRSSPSCCCLQSPTRQSQARRSSSTHPPAAAARGRDDDTSARDPPGDEDGNGDDDDKGDGAGGRRDGPVRGG >Dexi3A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:3A:5488515:5494026:1 gene:Dexi3A01G0007870 transcript:Dexi3A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPAASRAALGPLATLDPAALAGLPVSSPLTVRAAALSAHLLYIGTGGGKLLLFSLQDPSTPEFLRLLPIGATLPVSAILPLPTVARLLVLADGLLLLADPLLSRPVRRLGSLRDVAAVAARRGCSADPGSPSCSIAVSVGKKLLRVDLTLQDGDELDVQTREIAAVEGVKALAWVDDSVFVATATGYSLFSSSDGEGVDIFTLPESSGHPRVRPLSGGDEVMLLVDNVGVVVDKFGQPAGSSLVFNTTPDCIAEVFPYVIVAGDSKVDVYRRRNGAHLQTIPVARTSQGVLIVASDDDGIGTELVVVATAYKVFCYHKVSAVEQIKASLRRKNYKEAISLLEEFESDGEISKDMISFVHAQLGFLLFFDMRFEDAVNHFLLSETMQPSEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTVQQALFLKKAGVDTVVDEDILSNPQSRADLLELAIRNIIRYLCSSRMKNLSSQEMEGVDTFLMYLYRALDLVNDMEKLASSQNSCVVDELESLLDDSGHLRTLAFLYGSKGMCSKALAIWRVLARNYSAGLWKDLSENGSCGTSVEKRSGEEIAATEAAKILKASSDEDLVLEHLGWVADIDQELAIAVLTSDMRENQLSPEKVVAAIDTEKVAIHQRYLQWLIEDQGCDDPHYHTSYALSLAKSAIEAVHMESKYRGKDDKEIDSDAQFIYLLREKLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLSLYLDPQNGKEPMFTAAVRLLHNHGKSLDPMQVLEVPLYYHINTGKFFENLVA >Dexi2B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:2B:25712706:25714718:-1 gene:Dexi2B01G0015640 transcript:Dexi2B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTFLSSLRSRLRPAPPTPHHRLQPSRGYHVELGAREKALLEEDVALKRFKSYKNSVKKVSKIGNVLTLAVVVGMSS >Dexi7A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:7A:23872345:23887325:-1 gene:Dexi7A01G0013940 transcript:Dexi7A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLTQLRAFSLATERAETPICVTRPIFFTGLSNGYAFAQNDSRKLDEAEKLFLAALLEAKEGFGLRDPHVASALNNLAEFYRSKKEYEKAELLYLEAIEILEESFGSNDIRYITDLISFLHYRLEQLCIVLEYAIIFSTSLLKPRHVMSAKGSKMVLVLSLQGKRNDAESLTRESIRILEEAGLGESPTCIQRMRYLSTVLAKSKRFAEAEIWLRKILHTQELTKACQYVFHVYLHISLAAKKKILHEDHSMVAFTLVLLARLTLHKFLSDMKNANSEVVTYYLARAKQLSNDSIRIAEGILNSSSKDQNKLNSTSATDRDKIAAIIVLVSPVFIVVQMLWSKIIELLKTFFINVFHFTK >DexiUA01G0008930.1:cds pep primary_assembly:Fonio_CM05836:UA:17063255:17064172:-1 gene:DexiUA01G0008930 transcript:DexiUA01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPAQVPPGWHIEWVPSSFVAGFSTELLHTFLPALAPSLSFVGIPNKVVSPLFYEVGGAGAVRPEMMRAAEEYNRAREIAGVPKRHTHDIFDLEYCDEYGEKHCGFPRLQEWKKDLLWSSFANWRENDRESFRDDYRDDSQLVREGLRSQGWLASHKDDDGHEEERLPN >Dexi7B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:7B:15266190:15269121:1 gene:Dexi7B01G0007380 transcript:Dexi7B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRIMGKFMHENPMISHIEVNRRHYSLAAVVVGLGLGVAGLCKALHSGLSIPWVSPRNLFLGSGRVYYVGGLRNLGNNCFLNVILQDASEAFLHLLISLRDEFSHCYVPHRSSLADITLSHSKVYMQREGNQPECKRWKQNLFGPLDGTLGSILSCRNCSSVTGGCSLVDCLKYFTVVEHLDNYRCDRCWHITASKYLSLNIGADEEKVSKLKTCVNYGTCNCRGMFSPEEVPCSSSSQATKQLIISQCPKILCVHLLRASVSLDGEPIKHQGHISFPLLLNLSPFAGVASSAGMGTGSLAMNVQRDGQQALHLYRQLNMQTSSNVIPDGGNSIHAANVDVACSSSSSRQPSPSSSIKLYGLSAVVEHYGKCGGGHYAVYRRVQSNPDPGQPLAGLCKRWFYISDGHVSEVSEDDVLGAEATLLFYERL >Dexi7A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:7A:15282217:15285289:-1 gene:Dexi7A01G0004470 transcript:Dexi7A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTITSAVVLAEKATGRTLLPVVAHSPSPSLAQTTATSLAAARQQAERRIAGGKAVRACEHATSLRFLSASSARRRFFKMPRATSDAKLLIQSLTKAYAATPTNLKIIDLYVICAVATALMQLSVVILLNCLKVYDFNLMLFFLSFCLRIQVNKDNKEFKDLPPERAFADFILCNLVLHLVIMNFLG >Dexi6B01G0008710.1:cds pep primary_assembly:Fonio_CM05836:6B:11032892:11038246:1 gene:Dexi6B01G0008710 transcript:Dexi6B01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAGRQSLAVLAALDSARTQMYHLKAIAIAGMGFFTGAYDLFCISTVSKLLGRLYFQYDEEKPQRPTGRQPVVVEVEDMVIGVALVGTFMGHLVFGYLGDKLGRKRVYGITLTLMASCAVGSALSFGSTPKSVLGTLYFFRFWLGCGIGGEYPLSATIMCEYANKRTRGAFMAAVFAMQGVGIVFAGLVSMVVSAIFLHYNPAPAWREDRKRSAQMPAADYVWRIVLVLAAFPALATLYWRMKLPETARYTALVEGDGKQAARDMQAVLDVPIAGEQEKIARYRAANDYPLLSCEFARRHGLHLVGTSTTWFLLEVTFYCLNLTQKDVFPAIRLTSRPENLNALKEVFQISRAMFLVALLGTLPGYWVTVAIIDKIGMAGAITTAYAVQNLNLTGSMFTIKKALIMLAITNFLGFFMTFLVPETMGRSLEEISGEDDNASKQRH >Dexi4A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:4A:5094675:5098954:-1 gene:Dexi4A01G0007020 transcript:Dexi4A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPSPPRRVKLHHPLTTSPPQLASPHGLLPPSRHPSTHPLARSPPSTAATLSSPTSPPSSSSATPVSMSSLNKVVSNSGDACSLLPSKVTSLNPNAAEFVPSFIKPSLGSSTVPDATKSDVRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQGPEELSLAGLSLNAPPFYGTTASRFSREHHEIASPATKGLELEHTNLMYEDSYLGSSNWKQNYIGDLHIANGNQDIHYDENTAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILTQLEMQVDPATNHAMNLAPRAPNFSTGDFPALPTAEDQNGFSKGNVDVLGMFNGRGSSTISGGPGDFVSAVRKLASQNSGNWKFKKGPEYGNGVSSHSVPKQYSSSTKQSSGNKFQSVSGARVAPWLETGDAVGNTLLFMLFQCLWHTYFMYNDIANMYSESRGEARDFARVRNACFEQARQAYLIGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRNPVSQRGGEGLIDLHGLHVNEAIHILKVELGAMKSAARAAGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLNYTQPQPGLLRVMVY >Dexi5A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:5A:25102817:25106410:1 gene:Dexi5A01G0021220 transcript:Dexi5A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCQTHCSRKRYADANRVSAVILGGGAGAQLFPLTSTMATPAVRDDVEHPTLVALFSIIQTVPVGGCHRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGITFTDGSVQNGKIIDSYEINCKTTCSDTQVLAATQMPEEPAGWFQGTADAIRKFVWVLEDYYNHNDIEHIVILSGDQLYRMNYMELVQKHVDDNADITISCAPVDESRASNYGLVKFDYTGRVLEFFEKPIGADLESMRVDTNFLSYAIGDTHKYPYIASMGVYVFKRNALLDLLKSKYTQLHDFGSEILPRAVLEHNVQAYFFTGYWEDVGTIKSFFDANLALTEQVLCHISIVYWICILHEILCVEHPSKFEFYDPKTPFFTAPRHLPPTQLDKCKIKDAFISDGCLLKECNIKHSVIGVCSHVGSGCELMDTLMMGADIYQTEEEASKLLLAGKVPIGIGNNTKIRNCIIDMNAKIGKNVVIANSKGIQEADHPEEGYYIRSGIVVILKNATIKDGSVI >Dexi8A01G0014230.1:cds pep primary_assembly:Fonio_CM05836:8A:24688883:24690258:-1 gene:Dexi8A01G0014230 transcript:Dexi8A01G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATATATAAYDRLAELRALDATTSGVRGLYASGITELPRIFRVQEPPPSQPNSDEAAVPLPVIDIGSAADHVACVEAIGRAASEWGFFQVVGHAVPPEVVTGTMDAVRAFHESEGGEGTEKARLYSRDLARKVKYNCNHDLYKSKYSKEVMKLTHTLFGLLSEALGLNPSYLTDIECNEGQFMACHYYPPCPSPELAIGVATHSDSSFMTVLLKNDRWIDVEPIPGAFIVNIGDMMQIVSNNKFQSVKHKVVLKKTTTSRVTIASFAANPTSNRKYGPIKEILSEENPPVFKEITPGDYFTLHHRCSTDSLKNKALEELRIL >Dexi8A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:8A:22039694:22040672:1 gene:Dexi8A01G0012490 transcript:Dexi8A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLIVLISGGFPPPQFQVRAQQPYGKEIDDCTNNHNSSSMLGYFCNTGSSPSSCQSFLTFTPSSPYANVSSIAALLGADAASLAAANNVELDATLGKGTTMLVPATCGCTATAEGTFYQHDATYVARPGDTLQSIATRTFQGLTTCQALQAQGLHGAPLESLAAGERLAVPLRCACPSAAQAAAGFRFLVSYLVAEYDQVSSVAAWLGVHVDAVTAANELRPPYTIYPSTTLLIPVDDQPNVSRIIQAPPPSGPGKKRGDHVGVYIGVAVAAAVAVAAIASGGAFLALKARRKRAAAVLAAGELPKKHEKGLPEDIAK >Dexi2A01G0013580.1:cds pep primary_assembly:Fonio_CM05836:2A:22192317:22192892:-1 gene:Dexi2A01G0013580 transcript:Dexi2A01G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELARQLKETSLLINQSVQDTEKILDTTERAVGHSLAGTGHANVHAAVVYSLTSKTTCFQWLLLFVMTCMFVMVVLLIRIT >Dexi1B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:1B:11679552:11680979:1 gene:Dexi1B01G0011510 transcript:Dexi1B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQGAALLAAVIFASVLVGLAAGGNFYEECDATWEPQNCWAYDDGNRLSLALVSSSSGSMIRSKKQFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNHDEIDFEFLGNVTGQPYTIHTNVYAAGVGNKEMQFKPWFDPTTDYHNYTISWAPCMIVWYIDGVPIRAFRNYAASHGVAFPTTQPMYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECYGGGCVAGCAAGLGDATPRYCSLSATQVGKMQWVQSNYRIYDYCADPKRWINGQKPVECDLAQY >Dexi6A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:6A:17177510:17179634:-1 gene:Dexi6A01G0011610 transcript:Dexi6A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFALLGAFISDSYITCSITTLLSAVLVILVFVVAFKNRNLELPEKIEEAPGNNNRINSEDVPRPTNSIKFLDKACINTGRDGAWSICDTAKVEETRIVLDMLPIVLCATVASVSTPLLVAFTVQQGATTNTRMGMLHVSPAMLAIIPTAFQMPILVAYDRLLVPFLRRRTGYKGGITHLQRVGIGYVINILAPGIAAIVEAKRKGMAATGRQMSLFWLVPQFFLMCMQETTSFVGLMEFFNSEAPGTMKSVGVALFWCQTGLASFLGTLLVRLVNKVTRHGGSHGWMEGANLNATHLDWFYWVVAGVALLGWLNFLYWAKKYKYRHDPRIAAKPVVMDCSQSMEEVLM >Dexi9A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:9A:2497127:2503144:1 gene:Dexi9A01G0004590 transcript:Dexi9A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATAGDRLDVVLFPWLAFGHMIPYLELAKRLAARGHTVTFLSTPRNITRLPPVPAHLSPRVRLVALPPSTVEGLPEGAESTADVPPEKNELIKKAVDGLAAPFAAFLADAVSGGRRPDWIVVDFCHNWAPAIGGEHGVPCATFQIVPASFIAFFGSRSANAAHPRTSPEDFTVLPEWCAPSFPPGITYRRHEAEWWFATSKPNASGVSDMERMWEMMESTRFAIYRSDDEVEPAGAGVFSLLSDLFQKPAIPAGILLQPELDSHHEDDDSARPEVLQWLDKQPSKSVIYVALGSEAPLTAKNLQELAWGLELAGVRFLWAFRKPTGMSSASS >Dexi2B01G0015170.1:cds pep primary_assembly:Fonio_CM05836:2B:25208998:25210243:-1 gene:Dexi2B01G0015170 transcript:Dexi2B01G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGGAAAAAATGRPALRVGRTREYRTGMDTELLAIDAGEHAAAAVSLFVLCGDRFEAAQLFRSGALSLHMLRVEGHPVSMASCTVGDHQWMLARDALVARVDARAFVFELPGFFYAVVVPANAAGGADRKCATMAEIFSRFCAYHDLTKAEGDDDDEAGEVNQNPWARAYARIQRLKRHTSSPATGHVTAADAHSDDRARQMERAVRTSAVVKLLTRSLLAGVLQPARHLTITLANAGGTSARASSAAAALPSKSVVSDLLDAIETNRAVPHRRGEARRGGGLGWWSLNVEGIMLLLRVVQAVRGRKHLAAAAGAPAVGEKRPRDEGPGGREGMRGGVLGGGGAFAGGAAARRWCGGRPRKLGNTVGACGSS >Dexi1B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:1B:2683654:2685268:1 gene:Dexi1B01G0003410 transcript:Dexi1B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSNFQFPMLALRVAAFLLYPRPNTRRNRVWNLNWIDGLKDTKDNGLRDSKYDILDAKGIYVDAQHCYGCGTKVKHPKNGALHKSGHIVCGGFNHG >Dexi5B01G0017030.1:cds pep primary_assembly:Fonio_CM05836:5B:18019071:18019814:-1 gene:Dexi5B01G0017030 transcript:Dexi5B01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRVLVPDRTCTTRLDRREPPPCSGSSSVRIRLFLSRAYSSRRLAGRSTTDKLDKIARKGEQIFYVYDPALFLSYEETRRAVHAMVASMPLLAGFDVSTDNWASCAALDAVATAMRDRARRDDDEGLGGGRYHFFVELAMEVTLLYSEPKAVVRACADTVMQVAESGSADHQCSICMEGFENISAGPMAPVNLPCSHPFHTHCITVWLFKGHSCPVCRHDLRGLVSAPWSSRAHNLGAKYLAFR >Dexi2B01G0024300.1:cds pep primary_assembly:Fonio_CM05836:2B:33783129:33787248:-1 gene:Dexi2B01G0024300 transcript:Dexi2B01G0024300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQPERHLLLAGGGGGHHRSLLRMLPPRAPLPHRRRRRPLAVRVSSGDGGGGRGFASAVEKHSVLGAVGKDEGARGEEELEGEVAGALELKWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLEIGVVVWSLATAIIPAVAGFMPGLVLSRILVGIGEGVSPSAATDLIARLLFAPPIIQNLGWESVFYIFGLLGIIWCIGFESLKEQQLGDNEGLLNLGQTGPDGLVSSTVSLESSNSDQVTKL >Dexi5A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:5A:21856345:21857724:-1 gene:Dexi5A01G0018330 transcript:Dexi5A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding VITKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLSRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEFAPPIIYEDKDTDDEESEVDAMETDGEEAEEQELGDVTDASEHSDGSEIMSD >Dexi2B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:2B:8223266:8223894:1 gene:Dexi2B01G0008060 transcript:Dexi2B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQQPPALWYWFPYLTPPPPPAPASAPRSAARRLLSSRDTRPAPSPASPPQPPQPTWLSCRPSPSPSCAPLPLSPIRETNATAAAPVRVAKEPNATAAAHVPATPAHEVSKQNDITMPQEKIIHEPPADSKSKTATTRAVEKDKDKEEEKKNKEKEKGEGERKGGGKEKEKEKDKKDQKDKEKEKDKEKEKRRKMRSKAKRL >Dexi7A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:7A:18817708:18819547:-1 gene:Dexi7A01G0007470 transcript:Dexi7A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEFTDCKILLVDKKITDASEIIRILDSAVKENYPLVIVAEDVEEAAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDIAVMTGGTVVRDDMGYSLEKAGKEVLGSASKVGAQTVIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSEKIDAIKESSLDNIEQKIGADIFKQALSYPTSLIANNAGMNVNQFE >Dexi1B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:1B:25752548:25755745:-1 gene:Dexi1B01G0019510 transcript:Dexi1B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGLIVENDPLNWGAAAAELAGSHLDEVKRMVAQARQPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSEVTRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAATVMYDANLLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILDGSAFMKHAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLGNPITNHVQSAEQHNQDVNSLGLVSARKTAEAIDILKLMSSTYIVALCQAIDLRHLEENIKTSVKNTVTQVAKKVLTMNPTGDLSAARFSEKDLITAIDREGVFTYAEDAASASLPLMQKLRAVLVDHALSNGHAEREPSVFSKITKFEEELRAVLPREVEAARVAVAEGNAAVENRIKDSRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFIGISQGKLVDPMLECLKEWDGKPLPINN >Dexi1B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:1B:18780102:18780347:-1 gene:Dexi1B01G0013260 transcript:Dexi1B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSLLRTAPPRPAPPRAMPPRTPPHAPRRAAADSSRTRRVAADSSPACPDAAVDFAVALVDSVPREIDGIELRKGKASS >Dexi7B01G0011440.1:cds pep primary_assembly:Fonio_CM05836:7B:18462466:18464451:-1 gene:Dexi7B01G0011440 transcript:Dexi7B01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCILLNIVLQAFTSEYVLTKFENSRQALLDSLHQVEEMIPEAIDSKITDIAQALDKVVFALDESEKQIGDEVNYLIQNESKFNGLLDENELEFLRQIAFRAGISSSTAALTERRALRRLLERAHAEEDIKKESIAAFLLHLMRKYPNIFKSETTDSTSSQCLSPSCSSSSLSSSIGLHRSLSSSTDLHGNCQALERQLPRVGSFSLKQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIEKWFDSGAKDDAKSDSVVIAKKFSRQNSTEVTSKIRVDDSASHKNSGEATAEICEIEGTLMKCSHQNSKETVSEICDEWLHVLNKSSSESMDEQHRWPSSEKMCNPRRLVQRLLRLFREQRQRELEEEIMAQPRVQLHEVASQAAAAQEQDQQEEEAEEEMVLAVTPAAAAANKQSGGKRPRLRRSASRRFTKAFTCLLKKWSFR >Dexi8B01G0004670.1:cds pep primary_assembly:Fonio_CM05836:8B:4296500:4297218:-1 gene:Dexi8B01G0004670 transcript:Dexi8B01G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQRIRHAFSDLTDNHEIDLANMTPEVKKAITDQRMKRYKFICFSGTAIMVWVDKAALLNRVYQYNHIAARLCTLCIWSKRHAMKKVHLCSRAESV >Dexi4B01G0024090.1:cds pep primary_assembly:Fonio_CM05836:4B:25267985:25269258:-1 gene:Dexi4B01G0024090 transcript:Dexi4B01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVADPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDGTTGDLFRKPSVVAVDLRKEMVDYIVQRSETFIADALIESEASQAPENEMPDDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTKFWPLDRREAIAEVLLKNVDIKTKFHCPEKYENEERLAYHKEQCSFRPVTCPNDGCRAKVSVRCMDDHDAACPFKVLQCEQNCEKRLLRRDMDRHCVTVCSMRPMKCPFSCDSSFPERDLEKHCSEFLQAHLLKVLKVIHKKVHSEEELKELAHKIEKYDEHGKLAKALDARPLTNVVKDLEAKMKGEPSS >Dexi5B01G0037790.1:cds pep primary_assembly:Fonio_CM05836:5B:37163872:37164944:1 gene:Dexi5B01G0037790 transcript:Dexi5B01G0037790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLYGMVLSPNVVRVATVLNEKGLDFEIVDVDLRTGAHKQPDFLALNPFGQIPALEDGDEVVYESRAINRYIATKYKSSGADLVPTTPSAKMEVWLEVESHHFHPNASPLVFQLLVKPILGSTPDPEVVDKHASQLAKVLDVYEAHLAKNKYLAGDEFTLADANHMAYLLFLSKTPKASLVNERPHVKAWWEDIAARPAFKKTITAIPLPPPPPSA >Dexi6B01G0002650.1:cds pep primary_assembly:Fonio_CM05836:6B:2289151:2292999:-1 gene:Dexi6B01G0002650 transcript:Dexi6B01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKLGSRADVFRKQGQEWYCTSGLPSDITVVVGEQSFHLHKAHPNLSESDKEELCRVMDCQKLSLEACTHAAQNDRLPLRVIVQVLFFEQLQLRNSIAECLMISEPLDGGGVSRQLAGLSVDEHHRTAGWPLATRENQTLREGMDTMKQRVAELEKECTAMRQDIERLGRSRSAGKSRFPFALTAKPQVCSTKDKDAAPETSKTAETASQDKMAVVKGGAGGDGTPQVKLRKHKLNLSAC >Dexi5A01G0029810.1:cds pep primary_assembly:Fonio_CM05836:5A:32862029:32871756:1 gene:Dexi5A01G0029810 transcript:Dexi5A01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGASFLRLYQYGAHLPPHLYPLSCGALATFGAVSKNNEIKKDEKSIVEKEDAAEVDLEIERVKSDPSQLQSMTVKELRELTRRMGVSGKGSKKDLVSAVMDSLGVEGNGKEGKSSAEMASPLEEPLKRKGGASVVVEQKLESSEVISETPSKKRIRTKQKSIKSTILEDNSVNNVKINKTSVQKETLVVQGAVPKAGLSPNNDSEPWTVLVHKKPQAGWIPYNPKNMRPPPLSKDTRALKILSWNVNGLKALLKSRGFSVQQLAEREDFDVLCLQETKMQARFESLWLNSLEKDVEVIKETLLDGYTNSFWTCSVSKLGYSGTAIISRVKPHSIKYGLGIPDHDSEGRVVTLEFDDFYLLTAYVPNSGDGLRRLTYRTTEWDPSLGNYMKVILTVPIKRLISMILLRGCLIPGIKHVAANMSRTASQRGYHARVSEPWTRLTHKERKPQWVAYNPRTMRPPPLSSDTNSMKILSWNVNGLQTVVEAGFSGDQLFGRENFDVLCLQETHLKEGHVEPFKNLIQDFDNSYWSCSVARLGYSGTAVFSRVKPVSIQYGIGISAHDQEGRVITLEFDSFYLVNAYIPNSGRGLRRLNYRVNDWDLCFSDFIKKLECSKPVIVAGDLNCARHSIDIHNPQAKTEAAGFTIEERESFEENFTSKGLIDTFRKQHPNAVAYTFWGENQRMTNKGWRLDYFLASESIADKVHDSYILPDVSFSDHSPIGLVLKL >Dexi7A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:7A:24435778:24437808:1 gene:Dexi7A01G0014480 transcript:Dexi7A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLLDTAAAAASLCRAPAGMPRRAGSTANFLSCSSSSSSRDCRVSASYSHSISRMLSGVRSAARKKLFRADPADLLGVDTWSETGGAGQHQQVHWWTALEHNFVLEATDDEYGGVVVDADRLPVDKAAFARRLVASLSYWKSVGKKGVWLKLPVDRAEFVPLAVKEGFKYHHAEESYLMLTYWIPDEPNMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGVWKLPTGFILASEEIYTGASREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSQIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTPHHVVSRFDDRTSTLYYNVAEPEDVNCSAA >Dexi3B01G0032260.1:cds pep primary_assembly:Fonio_CM05836:3B:34622814:34624920:1 gene:Dexi3B01G0032260 transcript:Dexi3B01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRFICSRPSSKPSLPRPLSLFGLSSPAAASASSPFALHLPPRLLLASSAATPSSSASSTTVAAQNPNPFNLNINLLPWLHELRFPRNFLCQPQPRPSPPPPSPPPPPPEAVVPRTRRLPSLRVTMEYDIEESVFANKEGAALQQLFSRPVLGLITKHFSVLYDIEECNTLLSSGAVRLRASHDAKSTEFLLSYVVMYLSLIYQLQQGEISVITRLGGPLYKLELSSLVPYSGPCSDSRLPRWRSTAQRMTSSSMINAQRKATAESLKHDFPQS >Dexi3B01G0004270.1:cds pep primary_assembly:Fonio_CM05836:3B:2947346:2950069:-1 gene:Dexi3B01G0004270 transcript:Dexi3B01G0004270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLLLPLPIRHLPSARPVYRSVPRPCLPLSFPKRRCLPASLRVQCLADAETGPGGGAPPPAPRWHAALAAAAGMYPAYVTAGAAVAVARPEAFRWFVDMAPGSYTAALGFIMLAMGLTLQLRDFAALLRERPLAMLFGCAMQYTIMPALGTIISRALGLSPSFSAGLVLLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLAAVFLTPLLTKILAGAYIPVDAVKLSLSTLQVVVAPILLGSSVQTAFPSVVRYVTPFAPLMAVLASSLLACSVFSENFVRLRSTIADASSVNGSFFSGDIGVVMLSVFLLHFAGFFVGYAAAAVGGFKEKQRRAISIEVGMQNSSLGVVLATAHFSSPLVALPPAVSAVIMNIMGSTLGLVWQYIIPGSENETSDKRNA >DexiUA01G0001700.1:cds pep primary_assembly:Fonio_CM05836:UA:4645208:4645621:-1 gene:DexiUA01G0001700 transcript:DexiUA01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLFRHGVTNFVWPLRNLAARSTHLAAADDLASTGGHADRVFRALAVASLYILVRRWRAGGAGLAERPAPAEIAAAAALCASVAARTGVGTKIS >Dexi3A01G0002920.1:cds pep primary_assembly:Fonio_CM05836:3A:1925875:1927392:1 gene:Dexi3A01G0002920 transcript:Dexi3A01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKATKGVPAIAVVLAAVLCVASTVRGEDQLVRQLSNGFTAMHAAGATSPFEPLLYAPSGVFALGFLRVGSASLDLAVVHLPSSFPVWRATPASPGDWSRPATLTFDDGSLVLTDPDAGVLWRTLDTIGDTVALLNTSNLIVRRYDTSVPTWQSFDHPSDTLVVGQNFTVSSPPLISGNRRFAFRLGKTFMALQMEFYGGRSTPTYWKHTVLEAQPENATEPPVYGRLDSRGFFGLYLAGGGGGGGEQKVDTLSFDTFVQNLTGVVFRRMTMDDDGNLRAYYWTDGAKDWISDYKAIADRCELPTSCGAYGLCVPGAAAQCQCLLDSDTASTSPPCHAGEETADLCSGDGIQQVGFDVVWRTRVSVAYKEVLPSLETTNKTEAECEAACVGNCSCWGAVYNGASGYCYLIDFPVETMVYEADERKVGYFKVRRLPSMKRSRMSPGVIAATAVLSLVLVGLAVAGACSGYRLWERRRRKRAGMEQELVVEPGPYKDLKTMGSLTKP >Dexi2B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:2B:9412211:9413383:-1 gene:Dexi2B01G0008690 transcript:Dexi2B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLACPEGVSFPGKVSSVAKSALKASERANRNKKTCQELAGNVRRIGDLMQSLQQQQPGIGIMEHPEMRTPLMELNETLQRAHDIVEDCSRGGCLRGLWAGGSRETRLNDVQSKITSFLLLFHIISYLDSTRLLVQVIANTAAAPSAELWRGRLDGKDVTIKKVSVFASVSGQQLPPSVSESELFKNEVRIVWELQHKNIVNLVGFCMERDNRILVYEYMQNGSLEDAILGMFI >Dexi5A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:5A:21716126:21720156:1 gene:Dexi5A01G0018190 transcript:Dexi5A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVAAVVVPLAAPPVPAFSPAAAGLTLIAAAAADPIAAAVAGAMEGVSVPPVRTASAVDDDALAPEGEASVAGSPCSVASDCSSVASADFEGVGLGFFGALEGGGPMVLEDSAASAATVEAEARVAAGGRSVFAVDCVPLWGYTSICGRRPEMEDAVATVPRFFDVPLWMLTGNAVVDGLDPMTFRLPAHFFGVYDGHGGAQVANYCRERLHMSLVEQLHRIEGTVCAANLADVEFKKQWEKAFVDCFARVDDEIGGKVSRGGGGGVPATVLEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLILASDGLWDVMTNEEVCDVARKRILLWHKKNGTSSSSAPRVGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAQRKFKSKA >Dexi1B01G0024940.1:cds pep primary_assembly:Fonio_CM05836:1B:30110652:30115831:1 gene:Dexi1B01G0024940 transcript:Dexi1B01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCASVATCRASSPSPPLSHDPHTGTGRSSGGHRRTSSLGHAFFGDRSLQLTDDDIQNIAQDLENVLQNIYDDLSRIPTSSFGSNAYMDVLIQSQSMRGYSEADISMNVMGNRRKSLRNNDTPKLVDFLQGMYRESDEYGGQMFNTLSEVAEYIEPMRAIEEYIERFSDSSEPVYCPFTKMPMQSKTIQCDALELLCLLAEDEEGKDIIGKTKAIARTVKLLSSNTTDERHAAISFLLELSKSQLLLESIGSTPGSILILTTMKINYSDDPIAAEKAGAVLKNLEKCPKNIKYMAESGYLEPLRNHLVEGSEEMQMEMVSFLSELVQEQELTIDINRSTSEILIKMARSCKPMVRKAAFDVLVQLSFHRPNSMMLVDAGAVPVMIEELFIRKVDDEPVNSMASAGTILANIVESGIDPETTLVNKEGHVLTSKYSIYNFVHMLKCFMPDDLNLSIIRVLLAFTALAKPLATVVSVIRENHRGHAIVELMSSQMEALSLAATRLLITLSPHIGHTIVERLCKTQGQPRKLVKSISHAGRITERQAALAMLLARLPYRNTSLNVALVQEGAVPAILSAIKEMQNNPARSSRHAVPYMEGLVGALVRLTATLYSPEVLKVAMDHNLASVLTELLTGAAGSDEAQRLAAVGLENLSYLSIKLSQPPPDELLSKKNNTILKLLKDSKAHSNKKSSHIQVNVCLVHRGVCSPATTFCLLEAGSVEGLLGCLENDNVRVVEAALGALCTLLDERVDVEKSVAALSELDAARRVLGALRQHRQNVLWQKCFCVVEKLLEHGDDRCVREVTGDRMLPTALVSAFHRGDASTKQAAESILRRLHKMPDYSATYVSMEF >Dexi6A01G0000100.1:cds pep primary_assembly:Fonio_CM05836:6A:78886:81627:-1 gene:Dexi6A01G0000100 transcript:Dexi6A01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMGGPDGDGGGGHPHHHYHYQALLAAVQNPSQGLHPFHVPLDHHQAPPATPVGLGPGPGAEPPSPHNAAPHSQPSRGFADWSASNSAFASVAAPSAPATTNTPFHYNLSQSYALWTHYMLNKNAPSYSSYPAPHDDMRHAHIALDKDSGSTSSLGFDSFTTMSLGPNICANMSPMEGSISAKEPDNSEDLPTVVRNSEEMDTRDSDEVHRDTVGALAESKPSHESCTTKFNSGEYQVILRKELTKSDVANVGRIVLPKKDAEASLPPLVQGDPLILQMDDMVLPITWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNSVPGNFVIRGEKAIQQTNP >Dexi7A01G0005020.1:cds pep primary_assembly:Fonio_CM05836:7A:16067854:16069028:-1 gene:Dexi7A01G0005020 transcript:Dexi7A01G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVTTTNTSPATMLSGLPVPVPFKDVVVVGNGNDDDDAGGQLDAEMEAMVSALPSNTKLFLRRYQGTWVLEPWVPGIVAIQRGGLAPRNGDVVLASAPKCGTTWLKALAFATMARAAHPSAGGGEHPLLRANPHDCVPFMEKLFAAGLGSKVMDALPSPRIMATHMHHSLLPASIADNPHCKIVYVCRDPKDMLVSMWHFSRRIRPDLAFSDLLEAACVGSCLSGPIWDHVLGYWNASKVSPERVLFLRYEEMLHDPVGNVVKLSQFLGRPFSPAEEEARVAMDVVRLCSFDNLKDLKVNKEASGSGSSSPLGGVRESAFVNSSYFRRGKAGDWVNHMTPEMARRLDATMKERLRGSGFSFSG >Dexi3B01G0026990.1:cds pep primary_assembly:Fonio_CM05836:3B:22434693:22435169:1 gene:Dexi3B01G0026990 transcript:Dexi3B01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEAAATGGALEEVLDPEVRGGDADGELEVVAVAGDLDDVEPEEEDLDGDALEVGEVVGGDLTGDLSLVAERVGALDGEFADGLARAGRGGVESGRESACLVVERVGDHALGEDPRREVEVGQGLAVVFAEAPPPAAAAAATARRRRAKWRC >Dexi4B01G0021250.1:cds pep primary_assembly:Fonio_CM05836:4B:23270454:23271350:-1 gene:Dexi4B01G0021250 transcript:Dexi4B01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSYFTGPAIMPSQRAAAAPDSSFTSSAPSAAKSRDPRFSGCVPATVRQIARSFAAAAAAADAAGGGDPVITIDGVEATNVWVVGRVVRVVNMEAGVSFTLDDGTGTIALDH >Dexi3B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:3B:12150314:12151412:-1 gene:Dexi3B01G0016660 transcript:Dexi3B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFKRKRLLLASACCVILLLLTPTHGESNSEQSYEIAQPLELTPKLSSQLKLHAFLLWFSVGFLMPIGVLLIRLSSNAKDAKSVKRLFYWHVGSQIVAVVLATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLVGFLRPNRGMRFRSAWYLTHWLLGIGVCVVGVANVYIGLLTYQERTGRSARMWTVLLTVEVAAMAFVYLFQDRWNYVVRQEEAAALGDEQSEGSSTMYPANDHKEAVVVVVP >Dexi5A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:5A:1194632:1194979:1 gene:Dexi5A01G0001680 transcript:Dexi5A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCETDEEYLMTPEKMLHLRFYDECPYKDLAKRFMWWEWEDKVAAVLHVVRCREFTDWDPKIQFSKPNRMCEYNIAFFDLDEECEHYPSCPSLYLPLFNAADTSDLTGLALIFS >Dexi8B01G0011120.1:cds pep primary_assembly:Fonio_CM05836:8B:19781773:19782649:1 gene:Dexi8B01G0011120 transcript:Dexi8B01G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREATELDAEQQQQLLLRRCRRLFTAREWSFRIDRPSKAAAALRADVIDVLPRFLTRYTDDTLVVRIRRTTPNYELIPILFSSARIVGPAAARYGGSVYLRRAEEADVFSNDELRVLATLN >Dexi4A01G0019500.1:cds pep primary_assembly:Fonio_CM05836:4A:23296639:23298015:1 gene:Dexi4A01G0019500 transcript:Dexi4A01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVASSCCGSGEKVEQGCVSASMSSTWRIFTYKELYAATNGFSEENKLGEGGFGSVYWGKTSDGHQIAVKRLKAINNSKAEMEFAVEVEVLARVRHRNLLGLRGYCAGGAGADQRMIVYDYMPNLSLLSHLHGQYAGEVRLDWKRRIAVAIGSAEGLVHLHHEAAPHIIHRDIKASNVLLDSDFAPLVADFGFAKLVPEGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLEIISGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGAFDAAQLARVVECAALCVQGEPDRRPDMRTVVRILRGENEAAAAGGGGGKGERPAVRMESVKYADRLMEMDKSISYYGEPEDDDDEEEEEDMDDEEVEEYSLMDDKSSMNFGAFGAMPVVQTMHDPYAKRFSSGNNGNAIRI >Dexi4B01G0014340.1:cds pep primary_assembly:Fonio_CM05836:4B:15236508:15239040:1 gene:Dexi4B01G0014340 transcript:Dexi4B01G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRAFDAVFLYFLVLAQVHDGAAISGGTADGMERWGYVEVRPKAHIFWWYIRSPQRVSSSTKPWPIILWLQGGPGQSGVGHGYFLEIGPFDVDLNPRNSTWLQKADLIFVDNPVGVGFSYVDDLSEVAKKDLQVTKDMTELLKELAKEEIPNLQNSPLFLVGESYGGKIAAMVGVSVARAIRSGTLKLMLGGVVLGDSWISPDDYALSYPWFLQVVSRLDDNAVGKATMMALTVKQQMAAGQFVAAYKTWADLLDLIDSKSGSVNMENFILDTTVSSMPSNLAARPLMSLGHSLAVTNNDFNTISDTVNGYLKQKFKIIPKNYTWEEVSLQVFDALANDFMKPAINEASEYLYDVICSTIGTEAWIARLKWGGLRNFLSLPRKPLHYCHPFYLADGFVRSYKNLHFYWILGAGHTVPVDKPCTALYMISDIVQSPAN >Dexi7A01G0020650.1:cds pep primary_assembly:Fonio_CM05836:7A:29413684:29414310:-1 gene:Dexi7A01G0020650 transcript:Dexi7A01G0020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPGFLRDYNNDRSFDLMISRRYFPDPATEEENKNIGFGQHEDASCITFIFQDGIGGLEVLKDGHWIPAEPIDGSIVVNIGDVIQVLSNDKLKSATHRVVRKPGNRHSFVFFLNPHGDKWVEPLPEFTAEIDEAPRYRRFLYREYLELRARNKTHPPASVTHYAI >Dexi5B01G0005820.1:cds pep primary_assembly:Fonio_CM05836:5B:3928736:3931996:1 gene:Dexi5B01G0005820 transcript:Dexi5B01G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPPEAAAAALGALTDQKFSEISPALSAEMVEALDRGGFRRCTPVQAATIPHLLSHKDVAVEAATGSGKTLAFLIPVVEILRRRSSPPKSHEVLAVIVSPTRELSSQIFNVAQPFIATLKGLTSMLFVGGVDVKADRKRLAEEGANILVGTPGKLNDIMQNEDTLDYKNFEILILDEADRLLDMGFQRHINFILSKLPKQRRTGLFSATQTKAVADLSKAGLRNPKRVEVKTEAKLTSKGAAQQELCASKTPLGLRLEYMVCEASKKSSQLVDFLVQNNGKKIMVYFATCACVDYWAVVLPLLNSLKGSPIIPYHGKMKQGPREKALASFSDLSSGILVCTDVAARGLDIPSVDLIVQVIFYSFLSKLNLHVQASADSKCAHLFVQYDPPQDPDVFIHRAGRTARYDQEGDAIVFLLPKIRSAAREDRNVMEKGLRAFVSFVRAYKEHHCSYIFRWKDLEIGKLAMEYGLLQIPSMPEVKHHSLSLDGFIPVDDVDVTQIKYKDKAREKQRKKALKRKAEEEAQNPKPEKKRAREKPEKPKRKKTGKQRQSVQTKEDLDELEHEYRLLKKLKRGDIDEDEYEKLTGFGDSDGEASGGDTNDVDERKERGNKAQKKLKQRGKGSGGSRKFEAKGKTRNKRR >Dexi5A01G0024150.1:cds pep primary_assembly:Fonio_CM05836:5A:28056335:28062196:1 gene:Dexi5A01G0024150 transcript:Dexi5A01G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCSVPRARGRNFTQPNPTPKCQTGGETAKRESAGRGVGRHAGQVRAARRRGKNGAAMAALQSWRKAYGALKDSTTVSLANLNSDFKDLDVAIVRATNHVESPPKERHLRKIAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFCEEFLTFTQRVQILQLSNFKDDSSPIGLHSFEKISPLVFWLINILLYNLLIFPVYAAWDYSSWVRTYGLFLEEKLECFRILKYDIEAERLPKQGQGPEKGHSRTRELNSQDLLEQLPALQKLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALDIYRRAGQQAGSLSDFYESCRGLELARNFQFPTLREPPQTFLSTMEEYVKEAPRMVPVTEPLELPERLLLTYKPEEAEEAPEPVPIVEVEPQVVEEAAPVPSSSEIASPPSKPEIADTGDLLGLSDPNPYVSAIEENNASALAIIPTGVDNSTTNAATPQDNGFDPTGWELALVATSSSNTNPLAMESNLGGGFDKLTLDSLYDEGTYRQMHQQPQYGSAPPNPFMASDPFAMSNQVAPPPSVQMAAMGQQPQHLPLMIEANPFGPPLQQQHADMAPAANPFLDAGFGAFPAANGMHPQANPFGAVQLL >Dexi1B01G0014480.1:cds pep primary_assembly:Fonio_CM05836:1B:20800940:20802202:-1 gene:Dexi1B01G0014480 transcript:Dexi1B01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVRRPSLSFRPSPMAVHAQYLAHAFPHDHRGVTTRFASRSHVMRSAPPIYPSSLKPPRGHDVHLCLRCVSHRPALDILTGAPVFRGDHGCGDTVFSDPFNNDDDDDDDLGPGMRARVGDVAGAGLIMDLGGQRALLPPPVPVPQAFAPAGDVHQMSRVLCSCGRTAGAAPVSQCLLLLPHLYRTGVEIDALVRIEVSRRLIPCRSSVVGNAVPFLFWVLSQAIRCLILPLVVVGFWAQTERLRAELQEARRRHARAVASAVEREAARRLQAAEGDLELALARNAELEERLRETVAEGQAWQGVAKGHEDVAAGLRATLDGLTTRPPRAEGEGEGGGAEDARSCCFEREGDAGDEACCHRATACRSCGEADACVLLLLWRHLCVCGGCGVTVGACPVCAATKNASLRVLLS >Dexi5A01G0023320.1:cds pep primary_assembly:Fonio_CM05836:5A:27355026:27359866:1 gene:Dexi5A01G0023320 transcript:Dexi5A01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLLLLVLLQAAAFLSAAGASSKSSRAVVFELIHRKEATWSELARSDRERMAFICSRGRRRAAETAAWAKPKPKPKPKPKPKPKAEAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCRSTAADALAPAPDTGYGDGYGAPATTTTSPAAEGRVFRPDESRTWAPIPCSSSTCTASLPFSLVACPTPGSPCSYDYRYKDGSAARGTVGTDSATIALSGQSPAAATRKQQQQRRAKLRGVVLGCTTSYNGDSFLASDGVLSLGYSNISFASHAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPALSSSSSSSSSTSSYNTACAGSPPPVTKVPTGGARQTPLLLDHRMHPFYAVSINGVSVDGELLKIPRRVWDIEKGGGAILDSGTSLTVLVSPAYRAVVAALSKKLAVLPRVAMDPFDYCYNWTSPETGEDVAVAVPELALHLAGSARLQPPAKSYVIDAAPGVKCIGLQEGEWPGVSVIGNILQQEHLWEFDIKNRRLRFKRSRCTQ >Dexi2A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:2A:27498467:27499072:1 gene:Dexi2A01G0016010 transcript:Dexi2A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLQRLRSFSIFSYPSTSSNNNNNNNAAPSPSSPQPAAAGAATAAHQETEEEPAATATAARRTTMAKQSPRPRALPVAPSPKAAERVQAPEDDDDKGGMSMDEAYALALRARRRPERERETAARRSEVDAKADEFIRGFKEDLRQQRLNSIFNYTQMLKRRAFGGGGDAF >Dexi6A01G0019000.1:cds pep primary_assembly:Fonio_CM05836:6A:26632631:26633050:-1 gene:Dexi6A01G0019000 transcript:Dexi6A01G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRSCGVTGTPPQLRPSLARSLADRPTPQSACPLPTPPASDPAKPLLGLGSPPSTAVRPTSLPPISLVWSRLVSLPSPPHHHAPPPVEVEAPPPRLDLRVRSKPTAQNSYKSLAHSQGRAGLLARDDDGAARLAVGW >Dexi6B01G0019360.1:cds pep primary_assembly:Fonio_CM05836:6B:26158042:26159131:-1 gene:Dexi6B01G0019360 transcript:Dexi6B01G0019360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGREQRVAFSPMKDATAAVPKEEVWEVRPGGMLVQKRSPDADPPPGGAPVPTIRVKVKFNGVYHEIYINAQASFGELKKLMSEKTGLHPDDQKVVYKDKERDSKAFLDMAGVKDRSKMVMLEDPAAKAKRLLEERRTSKADRAAKAIARVALDVDKLAAKVSALEKTTKQGGGGKVVDDADAVALTEALMNEMVKLVSIATVDGEVKAQRRVQEKRVQKCVETLDAIRAKNKAAPATKTTSNNNKARPPHLPPRPPPAAAAQQQRRQFQPPAPTTATAPAAQTQTTSWQSFDLLSSVPSTSATAVTTMAPSTTTTPSPRFEWELF >Dexi2B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:2B:10519368:10520002:1 gene:Dexi2B01G0009790 transcript:Dexi2B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTHRAPSITLLLSLLVVVLLLLLVVASPRGAAAAPNTEALSVLCNGASYGAGDPFAASLAYVLSELVSAELL >Dexi4B01G0022040.1:cds pep primary_assembly:Fonio_CM05836:4B:23801033:23807793:1 gene:Dexi4B01G0022040 transcript:Dexi4B01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGEGQPPLGESNPLPAGSSAAQEIRFGSLRFQTCGDDYHMCILQEDPSNQPEPHQQSPAAPRRWSRPGPRARRARAARRAADVGDPHPTREGDVLQSGSQERVAPFLPGPMSASRATTVHSYPYGLRNSADAYASSIRTTMSAYGDQPGCHPASEQDFADPLLGDSRTESEDGHAFMRRHLGWDYSGLRDPEAFIAFQTAADYCFEYSDDEYDPTKECFVINDGQLSEGSASDDDGGGDEQGDNDGIDPKGAQPSDPSDHSPSEDERDLRHLPRASGDVSPPARSDREPAKQGDERGTDARHAGRVAQARILAEGRDDELAPRTSQKLIAAAALLRAMPEAATPEGRKLHLEAQKLVEHAARQHAESSASRLRRSSASKGERGGESSVRSPRPNGRARAQSQGNSRRDTARRHASEPHALEARTLPAREPVRSRLRDTRGTDDDGDARNTLNEIRRREGDRTHQRGRTDVGRNRDAAPEPTGTRVFSYNIRTAPIPPRFRQPTTITKYSGETDPRVWLNDYRLACQLGGATDDAMIIRNLPLHLADSARTWLEHLPPNRIRDWDDLVETFVGNFQGTYVRPGNTWDLRGCRQKPGESLRDFIRRFSKRCTELPNITDHQIIHSFLESTTCYSLVCKLGRSPPPDANRLFEIASKYASGEEAANAIFNDKKGKRPEEAAPRRSGSIDDLLKKPCPYHKTAVAHTLEQCEMLRKFYNRIPRKDSGPPKDGGAKDDDGYPEVEHVFFITGGPAANLKPRQQRRERREVMLVRPATPSYLDWSSETISFGREDHPDHIPNPGQYPLVVDPVIGNTRFSKVLMDGGSSLNILYAPTLELMGISTSELRPNKSSFHGVAPGKRVQTLGQIDLPVCFGTPANFRKEVLTFEVVGFKGAYHAILGRPCYAKFMAIPNYTYLKMKMPGPHGVMTVGPTVEHAYVCDIESIELAEALGLDETLVADLEAIVNTLPDANERQQGSFAPAEDTKTVPLDPDSPDGKEVVLVDCLRAHADIFAWSPSDMPGIPREVAEHSLDIRPHSKPVKQRLRRFDEVKRRAIGEEIRKLLEAGFIKEIKMKESDQLATSFITPFGMYCYVTMPFGLRNAGATYQRCMLRVFGDHIGRIVEAYVDDIVVKTRKADDLVRDLEVVFSCLRAHGVRLNSEKCVFGVPRGMLLGFIVSERGIEPNPDKVTAIQQMEPIRDLKGVQRVMGCLASLSRFISRLGEKGLPLYRLLRKAELFTWTAEAQEALDRLKTALTNTPILTSPKEGEPLLLYVAATTQVVSAVIVVERTEEGHSLPVQRPVYYISKVLSETKTRYPHIQKLIYAIVLARRKLRHYFEAYPVTVVSSFPLGEIIQNREVSGRISKWSTELMGETLAYAPRKAIKSQTLADFVAEWTDTQLPTSKSSLDCWEMYFDGSVVKTGAGAGLLFISPRGEHLRYVVRLNFPASNNMAEYKALLAGLKIALELGIKRLDIRGDSQLVVDQVMKESSCHDEKMAAYCQAVRKLEDKFDGLELHHIARRYNEEADDHRSPERLCQGHRRTLDHPRRLDPSLDRTRRSNLLADEDEPMGYEACSGDEDEAEAMEIDEVSAPRDWRSPYLDWLDGRVLPNDRTEARRVAGKAKRFLIIEGELYRRGASGVLQRCIPIPEGKELILDIHAGVCGHHAAPRTLVGNAFRQGFYWPTAVADATEVVRTCEGCQFYARKTHLPAQALQTIPITWPFAVWGLDLIGPMAKAPGGFTHLLVAIDKFPKWIEARPISRIKSEQAVLFFTDIIHRFGVPNSIITDNGTQFTGKKFLKFCDDFHIRVDWSVVAHPQTNGQVERANGMILQGLKPRIHNKLKKFGHKWSLRTTPSRATGFSPYFLVFGAEAILPTDLEYGSPRLRAYQEQRNCQAREDSLDQVDEARDVALLHSARYQQSLRRQQARRIRHRDLCKGDLVLRLRQDNRGRHKLSPPWEGPYIVAEVLKPGTYKLADEDGHSHPSLGGYMGVNPRKNRQGRLSRVFAYASRLRQHLTSLRLSHSRTNDPSDAFLSRHKHRTSARRKDIA >Dexi2B01G0016460.1:cds pep primary_assembly:Fonio_CM05836:2B:26611686:26618214:1 gene:Dexi2B01G0016460 transcript:Dexi2B01G0016460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAVAFLSPAVAVSSRALPLRRARHLAVRAVASPPASKVTPAPPPSKTGKWEWKFEDKPINIYYEEHEQDTSENVKNILMIPTISDVSTVEEWRLVAKDIVGRKGELGYRATIVDWPGLGYSDRPSLNYNADVMESFLVQLVNSPNSPVANTDNELVIVGGGHAATIAVRAAGKGLIRPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIIESRYELTKRKGARYVPAAFLTGLLDPVQSREEFLQLFAKLDGDVPVLIVSTLNAPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPLAVAEELYSFLQESFSARR >Dexi1A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:1A:25901865:25902352:-1 gene:Dexi1A01G0018690 transcript:Dexi1A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVQMGSDKCRSRAMALVASTGGVDSVAIAGDGKDQVVVVGEGVDSIGLTSALRKKIGYAELVQVGEDKKKEEEKKPDPVAAAVAEYNYSQWYYHHYPPPHVYAHHPAGYGNDPCSIM >Dexi5A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:5A:21511603:21514496:1 gene:Dexi5A01G0018000 transcript:Dexi5A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRFGFRFSSSDDMVGSAYNSFFESVAALVCSSLHLLVPAVGPQRSGSSLSLGERLCAIFFPLVAIAEALVFALTDCLADLCPGSDSSASRRYGAGASSFAAKMNKSHHHHHHHHHYGPFLRRCGWTPLDLRELARLADDQVSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPMENKINFAFRLYDLRQTGYIEREEVKQMVIAILMESDLKLSDDLLEAIIDKTFQDVDADRDGKINQEEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFIFNTAVDD >DexiUA01G0015860.1:cds pep primary_assembly:Fonio_CM05836:UA:33954913:33956933:1 gene:DexiUA01G0015860 transcript:DexiUA01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTANNTQTVAGWAAMNESGKVEPFIFKRRENGVDDVTIKVQYCGMCHTDIHFMKNHWDITMYPIVPGHEITGVVTKVGANVSGLDVGDRVGVGCIVEACLDCDHCQSSQENYCDKLVLTYNGILSDGSITYGGYSEMVVVHKKFVARIPDTLPLDAAAPLLCAGITVYSPMKQHGMVNSGGSLGVVGLGGLGHIAVKFGKAFGLRVAVISTSPGKEKEAREGLKADDFIVSTDLKQMQAKMRSLDYIIDTIPVKHSLGPLLELLKVNGVLAVVAAPDQPIELPSFPLIFGRRTISGSITGSMKETQEMLGLCGEHNITCDIELVSRDKINEALARLARNDVRYRFVINIAGDSN >Dexi3A01G0020640.1:cds pep primary_assembly:Fonio_CM05836:3A:16380262:16385224:1 gene:Dexi3A01G0020640 transcript:Dexi3A01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGPAAATATPAGKRRWRCVAAAGAAVALAFFSVGVPLAVLLGLHARFPSMYLVDDSTLSVYDGSEDGSWEPIPLEENDTLLVNNTVKEFVPPTSKIPDIDLKDSFEQGLPGDENGNSCQLQFGSYCLWSVEHKEAMKDYTLKKLKDQLFVARAYYPSIVKLDGMEKLSLEMKQGIQEHGRMLSEAISDADLPDLHGVNMAKMDQIIAAAKSCAVECTNVEKKLTQLLDMTEDEALFHARQSAYLYRVGVHTVPRSLHCLSMRLTVDYFNASADMEHLDAEKFENPACQHYVIFSTNLLASSTTINSSVINSKESANMVFHLLTDAQNFYAFKNWFIRNSYKGATVRVINFEDFQVKNLDNGIVEQLSPSEEFRITYNSNALKRTEYISMFGHSLFLLAELFSNLKRVIVLEDDTIVQRDLSLLWNFDLKGKVIGAVQFCQVKFHQLRAYLSDFPYDSSSCVWMSGVSIIDLDEWREQDVTGIHHRILQKVSLIVTFHCCSFPVGFSCDMTQKIPGEMQHYLQACLLFMI >Dexi9A01G0025800.1:cds pep primary_assembly:Fonio_CM05836:9A:26472379:26472609:1 gene:Dexi9A01G0025800 transcript:Dexi9A01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRQQGAMDGDKGCEQRRGQRCEAGAWTLALQAGSVETREEPGNAKTAEARNLGIDSVAGQRDGNESDVDQHED >DexiUA01G0007370.1:cds pep primary_assembly:Fonio_CM05836:UA:13987664:13987882:-1 gene:DexiUA01G0007370 transcript:DexiUA01G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHGLPPPCVVPLADDLHHNAPNNKNRRNSRSSELDPTSYVVAYGRKQAARAAPRGSDPGPRYHVHMETK >Dexi2B01G0021630.1:cds pep primary_assembly:Fonio_CM05836:2B:31361473:31362593:-1 gene:Dexi2B01G0021630 transcript:Dexi2B01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALGYVAAVVVLLGAVVPAASTEVITRADFPTGFVFGVGSSAYQVEGAAAEDGRKPSIWDTFVM >Dexi5A01G0035800.1:cds pep primary_assembly:Fonio_CM05836:5A:37511197:37514206:1 gene:Dexi5A01G0035800 transcript:Dexi5A01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVEDHRTSSSKKDRRVFWACVFIFVNSCFQCTAYFGVSTNLVNFLRDRLHQDSKAAANSVTNWQGTTSVMALVAAFLADAFLGRYWTTVLFMLISVVAYAVPTVSAAAAAPSAALFYTGLYILALGGALQPVVTSFGADQFDDSDEEGRRRQSSFFNWFYQSLNVGSLVGGTVLVWVQTNVSWGLGYGIPAMCSVLAVAVFLAGTTAYRRHQPPAGSPITRVAQVVVAAARKWRVEAPDDASELHECEGDDGMSAIQGSCRLAHTDQFRFLDKAAVETERDKAQPSPWRLCTVTQVEELKCVLRLLPVLASGIIFAAAYAQMSSTFILQGDTLDPYVAGFRVPAAVISIFETISVMLWVPLYDRLVVPLVRRATGHERGFTQLARMGVGLAVLAVAMVAAGTLEVERRRVIERHGMYDTNTGDDGAYLPLSVFWQVPQYVVVGAAEVFTLIGQLEFFYDQAPDAMRSLCSGLSTVSFALGNYLSSALVTIVARATARGGRDGWIPDEINRGHLDNFFWLLAVLCVGNLGVYLLIARWYTYKKTVD >Dexi9A01G0045480.1:cds pep primary_assembly:Fonio_CM05836:9A:49032850:49034022:-1 gene:Dexi9A01G0045480 transcript:Dexi9A01G0045480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRGSRRRSRLNTASPSSAADGATALRKTAWTLSDRRAVKRRLVAIIHRFYLDAISRLPPADLRATSGLARGLLVGGHCLGPLDPVHNIIVNSVWYAAAFPLRRPANTGDDETDGRDEEAHAPLLSTDGIARICHRSVDGLVAALLHLCGPSLTTGEALWKLLSAGADLTAAAALAKGTSKSSAVRDIASEGLVAFHLAAEAARHPNPAAFAQFVSSELPAVDVQHNVVRLLIMKQVLATRHINYLSDVLVPSSRHEPSQSPPLLSPKVLGRIASEKKQFQDIRKQVTSAVNMAMQQYASQSGEQLTLHSVCGVSLLKEEDEEGLNNCYHINFLAYHTVSGSAVGAPVLFFTEAVVPSCDETDIRLCVSVDLVTDIGMPSDPSFLRV >Dexi9B01G0031940.1:cds pep primary_assembly:Fonio_CM05836:9B:34255848:34258184:-1 gene:Dexi9B01G0031940 transcript:Dexi9B01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSDAGHSRKRLVVGVLSAFLLVAMVVGTVAFFLTEKSGDDDGLGHRSMSKTMRSVELFCAPADYQDTCHETLERALSRSPDPSEHPHAAAAAAITAVERALEEGFERSTVLDAVRQSNDTLVWEAMRDCRMLLGDCRGDVARALASVAWRGVEGPAQDLQAWLSAVITFQGSCVDMFPKGEIREEVRGAMEKAREISSNALAVIKQGAALAAMLDLHAESLDDKDDGGGDKGGGDGDGDGDGRQLAEESVVPAWVTKEGRRLVEGGRPNGGLTPNVTVAKDGSGDFTNISAALDAMPENYTGRYFIYVKEGVYEETVNITGSMANITMYGDGSNRSIVTGNKSILDGVRMWRTATFAVDGDSFTAIRLGIRNTAGVEKQQALALRVKGDKAIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIMGDAAAVFQRCVILVRRPRPGQPAVVTAHARRDHQQTTGFVIHRSQIVADDQLAGRSPATKVYLGRPWKDFARTVVMESVIGGFVHGQGYMPWEGKENLGTAFFGEFANSGDGASVTGRKEMQGFHVMSKGKALQFTVGHFLNGAEWIPESGTPVSLDLLSVQDEEGE >Dexi9A01G0043810.1:cds pep primary_assembly:Fonio_CM05836:9A:47514136:47519488:-1 gene:Dexi9A01G0043810 transcript:Dexi9A01G0043810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGGVQAKHSTDEALGRWRKVVGLVKNPKRRFRFTANLDKRSEATAMKRKNHEKLRVAVLVSKAALQFINSLPPPSDYKVPADVKAAGFGICAEELSSIVEGHDLKKLKAHGGVESLASKLSTSESDGLTTSADKLATRRERFGINKFAEAESRGFWVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTASSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAEKPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRRKISDGTYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDGSSDTKSLFSELPGSVMTMLSQSIFNNTGGDVVLNQDGKREILGTPTETAILEFGLSLGGDFTAVRKASTLVKVEPFNSAKKRMGVVIQLPGGALRAHCKGASEIILASCDKYLNEEGNVVPMDNATIDHLNATIDSFANEALRTLCLAYMEVQDGFSANDQIPMDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSEEELTQLIPKIQVMARSSPLDKHTLVKHLRTKLDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEFQLTVNVVALVVNFSSACLTGNFISNIMWRNILGQALYQFLVIWYLQTEGKWLFGIKGDNSDLILNTLIFNCFVFCQVFNEVSSREMEKINVFEGILNNNVFIAVLSSTVIFQFIIIQFLGDFANTNPLTLNQWIACIFIGFIGMPIAAIVKMIPVGST >Dexi3A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:3A:2695132:2700533:1 gene:Dexi3A01G0004090 transcript:Dexi3A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATRGMNARDYYDVLGVSKDASAPDIKKAYYVLAKKFHPDTNKDDADAEKKFQEVNRAYEVLKDDDKREIYDQLGPEAYERHASGGDPAGQGFPQGNPFGDIFGDVRSIVSVELSFMEAVQGCRKTITYEADTFCGTCNGSGVPPGTIPKTCKTCKGSGVIFMQKGIFTVECTCSLCSGSGKIVKNFCKTCKGEQVVKGKMSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKVREDPIFRREGNHVHVDSVLSIAQAVLGGTVSVPTLTGNVTVKVRQGTQPGEKVVLRGKGIKARNSSVFGNQYVHFNIRIPTEVTQRQRQLIEEFDKEECTDQERIAAASG >Dexi3B01G0026740.1:cds pep primary_assembly:Fonio_CM05836:3B:22172550:22180442:-1 gene:Dexi3B01G0026740 transcript:Dexi3B01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVFAEPPSSGSPPPVAMVMVSPLHSAITADRWDAEGLLGRLIVLVHAAFLDAGFVPLPRHPWWSRSTTASALSLRYAAPQLPLLLLQQQQQHRHGAAEVAVLRLLAHGSRHLVLYARSNAAPWWTRSPPRRSCRAASTPRRDARLAALWRRISDELCRGALVDMCRRGGVALEPTFMSLPGDAKEARSCRGSRRAATSRARLVAERDCQLWKPRYRAGSLRLRGCCHSPETSWKERYMKERRGRLPRIITNEVVAAPVGAMVMVSPLHSAITAGQWDAERLLGRLIVLVHAAFLDAGFVPLARDPCPAKSQGTGNGAAFLVPGTSFPSREHGSIFLLHRHAAEVAVLRLLAHGSRHLILYVKCDPWPVERCVVLVDALAAAPLLSGGLDATAHALRRDARLAALWRRITDDLCRRALVVMCRRCGVALEGPTFMSLPGDAKAAVLSQLSTGADLASVELVCTALRRLVADRPVSKFRRPRDVGNPAPRYRTVAIDGVAISPDAEDRGSRATARRTQLPRAAASRRSTIVVAGCQGLRASEEKSSSLDQYARRCSDDNDSLGGPVLADRLAPPNRRAWSPSVRRACTARHLDCCHSPETSWKEVRDGQMVEAATITAWNARPYRVGLGGGVPEPDLRARMGKLLHQVYITRLPLPYENNQISSSTASSLSHRRRCTHNFVLRSSPNAFVLDSTPLPPYDYSVPRPPPRLQHTYDSEGSGWHEQGLGVEAVNAETEMT >Dexi1A01G0010100.1:cds pep primary_assembly:Fonio_CM05836:1A:8626593:8627117:1 gene:Dexi1A01G0010100 transcript:Dexi1A01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVLLVSAVLVGLVTLSSCRSLGELSEQKTYSSTPSCIFSDPILFVWYLPNSDTGLQHNTDTVLRFYTKHPKYTFPRNP >DexiUA01G0015650.1:cds pep primary_assembly:Fonio_CM05836:UA:32980003:32987653:1 gene:DexiUA01G0015650 transcript:DexiUA01G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPSAQISSPPGRRSEDLVVPLTHRQLHGRHVSSSVPPVSPPVQGELSCSSPLLPPHSPLPLPLSSSSLHHGGASKVPPLKAPKSSPETSPELHRSTPPTSCSSTSTSSPSSSFFPIAPLFKLKVKNKQTSLLLTLHQPATLKQTAPKTEVGATGGELFFPEIETPGQGEVEYGPDPVMAESVTPIHLRPPSHRRVWPVFGYFYPADGGAGMERRRSPPPQAAPSLVPPLATSTGRPGPVPPDLVASHRCCLLTPPDTYSRPQSSKDRCRGQYIYMYDLPLRFNDDNVRHCSTPAMDGHLPSSTPSASRTPPALQSSARRPRAIGRLVVASGDGTTGSCCGATGSASDAATPVSRRAPDSSSSRPRRRRGGEEKGCPAAAWLPATAPAAARQGERGNVWRGLGGGALVLQLPDALVAANWRVHARVVTGTGKELEGTTTPPSIDKTLRYAAADQFAARQVLVQMPQWSKRATSPQFVKPFPLDGADGCLRWKESVLLRLHTVGVAHVLSDEPPPAPDGSRQAAKKWERDDAMCRGNILAALSDHLLPVYVRHGTGRALWQARAGPDSAAPL >Dexi2B01G0025840.1:cds pep primary_assembly:Fonio_CM05836:2B:35020080:35021156:1 gene:Dexi2B01G0025840 transcript:Dexi2B01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSIHGASWEETFRWQRPPLPEEPPPEVRDVSIDPFSLRQFSRLDIDRPLPIPSVSVDDHRAHASPALLDDDAGAPGTEVSSTLASVAGELKPSATPSPLTEAQPRSKLSAAGWEAELADAGLDVDLISPERKANEPQRWGSDVPLIATEYSFGRGKQAAKHAAGRAPPFTCCMYVPGLTRRVKPPLSSSSTATAAARSFSSSTFGKATAPATTMLQADDPARSCSARRSSTVSVAVSLERFDCGNLSSASASSRGLGLDLDDEGEAASSSSYFDLPLELILGCDDDGDEPVCAAFLFDSDGVRKSVLKRRLQAGVGMEPRRPSLGKVSTDSSGRTSTHHVRVSLKSRSPAASTSP >Dexi7A01G0014890.1:cds pep primary_assembly:Fonio_CM05836:7A:24791524:24791919:1 gene:Dexi7A01G0014890 transcript:Dexi7A01G0014890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVLFLALNLLLFTVASACGSCPTPPVVPPPPPPPPSPPPPSSGSCPVNVLKFGVCANVLGLVKGEVGKVPAEPCCSLLNGLVDLEAAACLCTAIKANVLGIVVDIPIKLSALVNYCGKCVPKGYTCA >Dexi4B01G0017880.1:cds pep primary_assembly:Fonio_CM05836:4B:20308757:20313767:-1 gene:Dexi4B01G0017880 transcript:Dexi4B01G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGGLVVLLALLAAAEADTDAGDVAALGNLYSSWNSPAQLIGWSAAGGDPCGAAWTGITCSGTAVTSIKLSGMELNGTLGYELSGLQALKTMDLSNNFLHDAIPYQLPPNLTYLNLAKNNLSGNLPYSISTMVSLEYLNLSHNSLFQEIGELFGSLNSLSELDISFNDLTGNLPVSMASLSKVSSLFMQNNQLSGPVDVLSNLSLATLNIANNNFSGMIPQEFSSIPNLIVEGNSFANMPASPPPTLTPPPKNTRDQPNHPDEPISAPNITGTPIDQDDKKMQTGPLVGIAVGSIAIASCVLFTLIFCLHKTRKRNDYGSSEPKDIVGALAVNIERASNREIPTPSNSNENAVVATSDLHSNGKMTPERVYGTNGSTVKKAKVPVTATSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEENFLEVVSNISRLKHPNIVSLTGYCVEHGQRLLVYEYIRNGTLHDILHFSDGMGKKLTWNTRVRIALGAARALEYLHEVCLPPIVHRSFKSSNILLDEEYSPHLSDCGLAALSPNPEREVSAEVVGSFGYSAPEFAMSGTYNTKSDVYSFGVVMLELLTGRKPLDRSRERSEQSLVRWATPQLHDIDLLAKMSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDIGYSYRVPESGTGDVF >Dexi9A01G0005150.1:cds pep primary_assembly:Fonio_CM05836:9A:2836076:2836368:1 gene:Dexi9A01G0005150 transcript:Dexi9A01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPVSDPSKRDQTGRAATRVSPSASGQTLAHRPPGPRRTHMCLPARGTARASSQGIYPDLVPPHLSAGPASVSPSLAPPAIASDVSWAPSTS >Dexi9B01G0043100.1:cds pep primary_assembly:Fonio_CM05836:9B:43166799:43167026:1 gene:Dexi9B01G0043100 transcript:Dexi9B01G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSWVEQRRPCGLAKAACPAPCARPSNAALLPARCSWRHVLGALDRGLPPVVGAAVQAGLAGGEEGRQRRKG >Dexi5B01G0039980.1:cds pep primary_assembly:Fonio_CM05836:5B:38626886:38629245:1 gene:Dexi5B01G0039980 transcript:Dexi5B01G0039980.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAMRGSGMTTTMPGMHSASMTAAATKSPPFVSVSCRPAAFPRRAAFPRRAPLLLATPTPDAAPAPEPPRPNPFLALWRRTLQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYNTVFEFTQACGICVGTPVRIRGVNVGSVVRVDSSLRSIDAIVEVEDDKIIIPRNSLVEVNQSGLLMETLIDITPKDPLPAPSVGPLHPDCAAEGLILCDKQRMKGQQGVSLDAMVGIFTRLGRDMEEIGVSKSYKLAEKVASIMEEAQPLLTRVLHSSLQSYPLPLQASSLELDCCNVADQIEALAEEIQPMLSEVRDSDLLKDVETIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDETTRQNIKLLIKSLSRLL >Dexi3A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:3A:14039551:14041242:-1 gene:Dexi3A01G0018370 transcript:Dexi3A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAARSRLLLLSLAVVVTAAGILQARAQPDSIGFISIDCGLPGTWSYVDDTTKLVYVPDAAFIDDAGSNHNISTEFITPTLSKRYHNVRSFPDGVRNCYTLRSIVPGLKYILRGTFKYGNYDGLGQPPVFDLYVGVNFWTVVNITDADTAVLLEAIVLVPDDLVCLVNTGSGTPFISGLDLRPLKSTLYPQVNATQGLVLFSRKNFGPTDITEIISWNSVSTTLRVEGTEDDGVFEVPIKVMQTAITPRNVSGNNINFFWDAEPQPKDPTPGYIANMHFAELQLLPGNAVRQFYINLNGRPWFPDPFNPTYLVADSTYNTNPARGFPRYNISINATASSTLPPMINAIEVFSVIPTTNVATDSQDVSAITAIKEKYGVKKNWMGDPCVPKNLAWDGLACSYAISNPPTIISV >Dexi9B01G0022910.1:cds pep primary_assembly:Fonio_CM05836:9B:17793312:17794080:1 gene:Dexi9B01G0022910 transcript:Dexi9B01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARGGGAPEDRGESAEVGVEQGGRDTWRHTAFHVATTIATPAAYAPLPFAVACLGWPLGPWGYWYVSFFQQVAAVGNNIAIQIAAGSSLKAVYKHYYTLTTAQ >Dexi3B01G0006850.1:cds pep primary_assembly:Fonio_CM05836:3B:4778233:4782645:1 gene:Dexi3B01G0006850 transcript:Dexi3B01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLINNDGNDYQESALPYIDAMNSGGSNVEILTRVELDLAFASEKLLNLEMLVMEIARRATEFEPATFEDESISSETAETAFELDMLYGILDAEVKELFNLISSLQADIKSIEHRVHEEESGGKVKAKLNAATVSLKQMQELIADIRNESAKFEKAIVFFHETEGVEGAGCENGHLSYQAGMQTEDQHINVLHMLEQSIASEIDLEKKLSDSRSVIDDLNLKLHHHEKEIYFLEESAESVSCRMFEAENASELLFATSKEFADRLNTMQLHINVQKCKEDDLKSKLEQSLAKLSFLENSPEKMEEESNKIKAESISLQDRIQELEKQLRESNFQLHFAKGSAETSQEEQNALHSELSTLENTIKNLKDDVSRAECRAKNAEIRCMQLTQGNIELNGELSALKSEKSDKANLLEKKLKESNTQLEHAKAAVDAIVEQQGMLKSTMSDMEHMIEDLKGKVSEAETRAGSAESKCTLLTDTNLELSEELAFLRGRVESLENLLHEASHAKVSTAKDIAIRTKIITDLVRKLALERERLHLQIATLTKKNKILAQKYKEGVNASTRMSKKATAKDTSLQFTEKAEEIFPDSSPSQTEAVKPADLLYKDEAKAHTPSEDISTSENDSASDDTRETVRAIKPSLLNWKSIFVSFLVLLVAVVVFLLHEDGNLP >Dexi7A01G0008610.1:cds pep primary_assembly:Fonio_CM05836:7A:19838860:19839438:-1 gene:Dexi7A01G0008610 transcript:Dexi7A01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDEGQDRSRPVVLVTGCSDGGIGHAMARAFAAAGCAVVATARSRGSMRDLEGDPRFLLLELDVRSDESARAAVADALREHGRIDVLVNNAGVHLVAPLAEVPMDSFHQVFDTNVYEG >Dexi9B01G0015610.1:cds pep primary_assembly:Fonio_CM05836:9B:10677014:10678466:1 gene:Dexi9B01G0015610 transcript:Dexi9B01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQQDDEALVKLENTGIERSKAVDSAVLGKYSIWRRENENEKADSKVRMMRDQMIMARIYSVLAKSRDKLDLYQELLARLKESQRSLGEATADAELPKSASERIKAMSQVLSKARDLLYDCKAITERLRIMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMREYYFRADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKRKDITGIYHKWQTMVSWRYG >Dexi9B01G0034860.1:cds pep primary_assembly:Fonio_CM05836:9B:36702212:36704372:-1 gene:Dexi9B01G0034860 transcript:Dexi9B01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDIRDLVASLFIMLNQKMFILFRVEFLVAVVTVLFLAMFVMDAFRRHFHNTVMKAIFSLFDAVSDTILIYLMGAMQAAPFKNELFPVWALVPVIFRQSVGFIYGYGVLDPRGRRFTELAQLWLGCSSELISEYMRDPNKWRPEDCNPVTMEGYSYLVFGERHLLHPRHDPSSLEADNNIHETAEQKDLSLAFALSRLLRCRFEDVKLQENIGCRINRKLVKLRIVEEDPKRAFGIMESQLAFVNDYFNTRYPMVFWSGLHTLVLSLLQSVVTVGFVIWLSVDIRKVYRPPRGELVHLVKRVNVDMIITWAFMFLVMFKEIWEMVTYFLSDWTRLLLMCRYASRENDELGRDICMERLILSLFRFKINAKMWHGHLDQYIFLQSYKDRPKFWNLIHYVTTGLIAKRDDGAKLGRAIDVPQCVQPAILEKLSALLDVLAKPGSQDHSEPPTDPHGYSEKPVNTDGSRLPKLLTTLLCGDRFKRYGWACFDLPTSSHIILVWHIATNLCEMALAKEQGVDLGKPGILRSFMSYYSSYKPYLINVDELKESNPGFMCSLLSRFTGCLSKSHGKLTEELRKRYIVANSLSQYCAYLLVKKPDLIPDSFLVPKMVFQETVKSSRDETLIHCDSLEAMYNKLYLEAYRA >Dexi7A01G0023290.1:cds pep primary_assembly:Fonio_CM05836:7A:31319937:31324227:1 gene:Dexi7A01G0023290 transcript:Dexi7A01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKLTHSYLSWFFFLYRRKDVSYKMNQKFQGNMQKYLVDGGSLPREPMSLSITGRLPSSEDLETYALDQWERFLLQVINSSQVEKGTSFSSSMMKTFQRGLLSSRDGEAPKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHKLGAAYSLNTLTDVQRVAIRDLAELGLVKLQQGRKDSWFIPTQLATNLSASLSDSSSSKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGAINKESIYGAFENGITAEQIISFLKQNAHPRVADKIPTVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKEWFEQCCDHARDHGYLLWEDSKRMRFIVRGEFHPEMREFLRRQR >Dexi9A01G0010500.1:cds pep primary_assembly:Fonio_CM05836:9A:6400851:6402637:1 gene:Dexi9A01G0010500 transcript:Dexi9A01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSIIQLIRRRSSISRAPQATAKDKAYHVAILTFAFLFVLRYLLPRVGAGGKGWNGKHGKQAAPRLPPSPPSIPFLGHLHLVKTRFHASLARLAARHGPVFSLRLGYSRLAVVVSSPEAAKECFTENDVALANRPVFPSHKFPSFDGASLAVASYRPYWRNVRRVLAVQLLSARHVGSTLSPVISGELRAMARRMNLAVTAAADGGGAARVQMKRRLFELSLSVLMETIARTKTSRTEENADSDMSPEAHEFKQIIDYLVPYLGTVNLWDYLPVLRWFDVFGLMKKIVDGANRREAFLRQLVDAERRRVMNGGGNDDSEKKSMIAVLLDLQKSEPEVDTTILALCSNLFGAGTETTSTTAEWAMALLLNHPEALKKAQAEMDAAVGTSRLVTPDDLPRLGYLQSIVNETLRLYPAAPLLLPHESATDGKVAGYDVRRGTMLLVNVYAIHRDPAVWDHPDEFRPERFEDGKAEGRLLMPFGMGRRRCPGETLALRTIGLVLATPIQCFDWDRVDGAEFDMAEGGGLTIPRIVPLEAICRPRAAMHGVLQVL >Dexi4B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:4B:7741704:7744943:-1 gene:Dexi4B01G0010420 transcript:Dexi4B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRITRIGEALAVAVLFASAAALSSMASAEPSSSPSPAAARHDYEDALHKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGDDVADAGELAHALESIKWGTDYFIKAHTRPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASMVFRRHNPHYASLLLHHALQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAEYLDYVVDNAHEFGGTGWAITEFSWDVKYAGVQILATRLLLNGEHSPRHKETLEKYRAKAEHYVCACLGRNANADANVERSPGGMLYVREWNNMQYVTSAAFLLSVYSGYLSSSASSDGATAGVTCASGGEATAASAGEVFAMARSQVDYVLGSNPRGMSYLVGYGARFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFVRKGANPNVVVGAIVGGPDRRDRFRDHRENYMQTEACTYNTAPMVGMFAMLNRLARDEAVTASAATRSGADADRSVNR >Dexi6B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:6B:5579062:5580069:1 gene:Dexi6B01G0006000 transcript:Dexi6B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLF >Dexi7A01G0004250.1:cds pep primary_assembly:Fonio_CM05836:7A:14621996:14624049:1 gene:Dexi7A01G0004250 transcript:Dexi7A01G0004250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKGREAAPQRSTELGATATPIAPQRSTEMSAVATPIAPPRSTDMGAIAPPIALAAPRPTKFAAECMFLMVQLEEQTGRVLDEDDVDTLFDQYEALLAASPSLRPQLRELGAARRTSAPLWGPGLTEREWASVLESIGRTDEDDVNCMLFIRIFFESQLLRARCGGVGSELLRAECGGRRGGSYPGATPSELRYMEVESYACHINAYLGNDPFLKDVLPIHPQNFFDAVKDGVLLCKLINLAVPGTIDERAINSKGSLTPQDKIDNHTLFLMSAMAIGLTSDITVGELAEAGELAERKVGIMLSLFIQLLADVNLIRTPGLLELAENNQDSEKLMRAPPDMLLLKWINHMFRKGGVCRRVSNFSSDMKV >Dexi2B01G0030340.1:cds pep primary_assembly:Fonio_CM05836:2B:38620998:38624731:-1 gene:Dexi2B01G0030340 transcript:Dexi2B01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDLPAGAGGSPEKPVLTDRRVAALAGAGAGARYKAMSPARLPISREPCLTIPAGFSPGALLESPVLLNNFKVEPSPTTGTLSMAAIINKSTHLDILPSPRDKSAGDDHEDGGSRDFEFKPHLSSHSSAPVVNDPNHHATSSSNLMGDNKPLCSRESAHTTNVSSVPNQSVAIVCPSDNMPTEVGTSEMNQMNSSENAAQEMQSENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLGAGAVPSSQGEERYDGVAPVEDKPSNIYSNLCNQVQSAGMIDPVPGPVSDDDVDAGGGRPYPGDDTNEDDDLDSKRR >Dexi1B01G0025620.1:cds pep primary_assembly:Fonio_CM05836:1B:30579530:30584459:-1 gene:Dexi1B01G0025620 transcript:Dexi1B01G0025620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNIESFPCVRLLNLSGEVGCSNPGSEKIIAPINSSASKKILDALQSASKSLGSDNVEVKQAASSNPGVPPSSLMSFLRKQTSILHR >Dexi1A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:1A:6889967:6898217:1 gene:Dexi1A01G0008740 transcript:Dexi1A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEMPGDAASSMARRITAPAVLHAHPRAASHADSIQRMRTAICSVARLIRLHSTRRCLRDRLGKCHYTPLFTAGPDGCADVLGLVEMREANCEIPWIGTWEREVGERDLLRIHGREMMGNGRELVAVWEKKASRPLVAVGLGRNNVPAPLNEQRTRHTPRLRSFLLYATTFQSVGPKTGNILTRTRERIPTWAPLPDYDPAFLRPSKHRNDDHFLDLSLLLRKSHELTASSPPRGARRRRAPPAATAAACRRRGSPASEPAPQDPLDPKHLFPVLFPLDYYTDKAPDGAGGGDAVAHAGRPNHDANLAHRLAQAEVTPGALLACASHGGRAHGGERRVGANPNPKQLAKKAYTSTGPCATPLRTQNMTKTTAEGTSTVAHLASRARREEEGGGEQEVVWEMEKVKGGRRWKLAGGREVNGVRRRKKRERTEAKEEYLSTRTDPIRSPAPAEHLATMLSCPATRGRATDVEPPYYRVVERPQTPRETLPRAFLAVNPPPPARASPYGRSQLVDPGDACAACQPSVRPERKYLPGQAPNDSWNRGAR >Dexi2A01G0018740.1:cds pep primary_assembly:Fonio_CM05836:2A:30919123:30919593:1 gene:Dexi2A01G0018740 transcript:Dexi2A01G0018740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSTWTGYLLSLVALLLAAAFYQYLEALRIRVKLVAGGGGAAKQAIPPPASSDPSRAPLLAPGAFFAAAAGRWPARVAVASMFGVNSGLGYLLMLAVMSFNGGVFIAVIVGLALGYLAFRSSDGEDLVVVDNPCACA >Dexi7B01G0023360.1:cds pep primary_assembly:Fonio_CM05836:7B:27983913:27987803:-1 gene:Dexi7B01G0023360 transcript:Dexi7B01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRESNSMSLKRKFVEDFLSKECKSRRIKAENGPSFDSSTKRCKCGCIRPNLANDCVNFLKSGIPIRIMYYKQGSWHNFPEQIMRFLIEEFSGSKSSAVSVMDGDPVLIDFLSMTMVNLKSNRQRSVAWIDNTSKCFSPSLFFDEEIYDMVKGDAANVQGNAQGMILDKPVNSPPEVVKQVVVESSLLVSQKPSGADILRKKITSVERGSKDFLFVQDRFLSGMGPFATANNLLRVYRYSPNDITAQCRLQAFERQIISTREQRGDANVRYGWLGSRKNDIVRILINGLGTTEKPAEKSDLSAGVYLSPENRTFTSVGLCDVDEKGVQYILLCQVIMGNMEAVDPGSQELFPSSDVYDSGVDDCLEPKCYVMWPSHLSTHMRLEYLVSFKLTPDFRSYLLHLKGLWFRPPKEVAIDISTLEPYPTGPICMQASCEIGEGPTTPWIPFKVLFGLVQDNISSIAKELLFHHYEELKESKITREEMVKKMMIIAGKKLLLEALNKLSYCPSSWYKSSAKAVSSDPARMAAEHDPARTAAQQLSLDETSRDCSLTLSSAKYLSSAPVTPGGQEFLSLSIASQSLVPHSVKRSDSSTAVRPPLYAPGRGHSPSVSTGVHDSLTLSMTTKGHSPSASRAEPKCHGSPTVAMESCRPQVMDATTKVHNAPTPITRELKDQAAQNKEPGPVLEASSIDAADTLITLSTPREKGEQ >Dexi5A01G0025940.1:cds pep primary_assembly:Fonio_CM05836:5A:29647093:29652441:-1 gene:Dexi5A01G0025940 transcript:Dexi5A01G0025940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDRAAAGGGAGGHLRGHAHLASCIHLRHQHAHGGAGAGTGGGGASSSGRRRSPASVASAALMRDLLALQRSRSLRDPSTRRSVDSSRVAADPDADADTDTDGDINLPAKSCRGAGGATSTTTGALKTLLDQLAENPHPKPGRRPPRRFKRRAGRRATAVSKPPDRAAALSVNSSSQEAVCGNKYLFHGGGEDDDYDGEKLRHHVPQDARNVCGIPWNWSRLHHRGKSILDMAGRSLSCALSDSKSAAGRKSEATAASGGRVSVSRPLFPVKSERLASSTSSDSDALPLLVDAAATSGARNRIGGISGSYSGELGIFSNQTSEIDSDLLSESQSGQKSQASLHGRGRHRSLTQKFAPKTFKDIVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCARVFAKALNCLSPEHPRPCDSCTSCIAHNLGKSRSLMEIGPVGNIDMDGIVDVLDNVMLSPAPSQYRVFIFDDCDMLPADTWSVISKVVDRAPRRVVFILVSPNLELPHIILSRCQKFFFPKLRECDVVNTLQWICTSESLDVDKDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYVFTRERPRRKFFKRPSLSKEDMEKLRQALKTLSEAEKQLRVSSDKTTWLTAALLQLAPDKQYLLPSSSTSTSLNHGGLVGSFPDRDMMRTSAIEHKGDWSLNQGAYYVGELQELDGRLLHEIPHSPPLQLIQSFLCSSLQLRSGIEGHVLYQGSSCVEDAFRPNLRDRRLRIIDFTSD >Dexi4A01G0023690.1:cds pep primary_assembly:Fonio_CM05836:4A:26702545:26704389:-1 gene:Dexi4A01G0023690 transcript:Dexi4A01G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVANLVLTGPEFKQMDENMRSVTMHVIKAIPRPTISTAAPLAGAATASADGIDRISRLPVGILRNIVSRLPAKDAVRTTALAKCWRRVWHSVPLVLVDAHLLSDQSVVGRGPSIYRNTGIDAWVAAIFRTLDAMGSLADNVSHVLTVHPGPFSYVYLAGNNMMYHPDKLALWIKLLAAKGVKELVFVNLASRFDDELPIPADIFNCTALTKLYLGTWWFPDTLPRRTVAFPYLRELGLCNVHTKDEDLSFLLDRCPVLEKLMISRGLWPVCLRIHSRSLRCVQVCQGLVREINVASASRLERLLLWEAWGWDDHQFTNMSCKVKIGHAPKLHFLGFLVPGMHQLEIGNTAIKVNTKASPNTTVPSVQMLGLQVKLGTYIEARMLPSFLRCFPNIETLYVQLQSQNDDIKFWGPQTTGTSKVINLKFWKDAGPIECIQKHIKKLVLREFRGRKSELGFLKFIAENAQVLEDMVIVMTHGFSPSDNLGAKLKIFMASAKWANACCKMMVFKSPFPIQGTAWCHIRAFDFSVEDPFDVNCSEDTCGH >Dexi9A01G0029880.1:cds pep primary_assembly:Fonio_CM05836:9A:34744138:34745198:-1 gene:Dexi9A01G0029880 transcript:Dexi9A01G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAAAVLLSRVLARSASAAPLLCALAPRGPASISVALPRKRRWRAPLRSLPPEGAPAELMEEDSKFVPLNPEEPMYGPPALLLIGFEKGETDKIQAFLKELEGEFLKASSASAATDYGKNALIFQLHHSLSI >Dexi5A01G0022490.1:cds pep primary_assembly:Fonio_CM05836:5A:26603822:26608796:1 gene:Dexi5A01G0022490 transcript:Dexi5A01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPEQQPQPQPQQRRKGSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAVDQHTGDKVAIKKIHNIFEHLSDTARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTREHHQFFLYQMLRALKYIHTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARSAVDNFRRQFTNLEENGGKSGAHIPSSDRKHVSLPRTTTVHSNPIPPNGSSQVPQRIPTARPGRVVGPVIPYENLTAVDPYNKRRVARNPVLPPATSNLSAYSYHRKSDNSDRELQQELEKDRMQYQPAQRFMDAKVVPQMSPDLRSAYYIPKGAPKSDVVERAALQPSMIHGIAPFSGIAAVGGGGYNKVNAVQYGVSRMY >DexiUA01G0009530.1:cds pep primary_assembly:Fonio_CM05836:UA:18731490:18731933:-1 gene:DexiUA01G0009530 transcript:DexiUA01G0009530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTSDVALVTRDLASYDVVFLAALVGMAADEKARVVDHLGRHMAPGAALVVRSAHGARAFLYPVVDPDEIRRAGFDVLAVINSVIIARKAPLPVDDAVTGAGVAHAHAHLTRPCLLCCEMEARAHHKMEELPVEQLPS >Dexi3B01G0036670.1:cds pep primary_assembly:Fonio_CM05836:3B:39548132:39549220:-1 gene:Dexi3B01G0036670 transcript:Dexi3B01G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEFEKGAGPKRFRYEELAIATDNFSESNKLGEGGDIKPSNVMLDAAFNAKLGDFGLARLVDHGQGSHTTMLAGTMGYMDPECLIAGRVSAKSDIYSFSVVLLEIACGCRPMVVRLGEENPIHIVQGVWEFYGRGDILEAADTGLKGEFDAGEMEAVMVVGLWCAHPDQSLRPTIRQAENALRREAPLPSLPAKMPVATYLPSSDAFYYATASSAIYYTTASSATSGCSRTGTTLSSTTETSSLLK >Dexi3B01G0033360.1:cds pep primary_assembly:Fonio_CM05836:3B:35982286:35997031:-1 gene:Dexi3B01G0033360 transcript:Dexi3B01G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLKSIDDSKWGEVMLINGYAIFMGYLMMGVRGLGVLIVTWTTVVLLGGFVSVLGKKDFWCLTGITLVQTIGEKSNARVVEGGGGWQAGNSPPRQLARWKIKQPRKLAAGTERARDRPWSSSMSWPCTSSAMLAMVRSKSVATRWTSTRPVVFNFLSRDKISEITHLSWGLVGAVVATLSRLSIRKGKASDYIDESSFLRAFVGLILWALQMLVFVVIVSPLAVLSVLGLYISAAVSLWRLIEHDFNNADGGSNLKPALEILYSLAVAQGVLFGYRTMHYSGAKIGLIEFVAQSGSLNKNLVSDYLKDTVVGCEKDLSLATGRNLITYGVDLMAAESNSNNDSFIVGVRVLATTTTDCSWDWQRQVLLGRLLIASASSGHIVQRLLETQGPRSHYDEQDMERAARVLANVAFNIRLQRFTEVIPFISGVLDTLESEAESCEELYGNRQLGRRSLLMVANVAHILGNLATHEDNCRIIISAKGVLSNTIKYLIFQDHRSSRFHDSWCSMAKESLELISHLTGTPGGTAAPSEISGKLQEIQATIRSILVCTKCDVSVKRQAVKVLLDLSMDTPCSVMANPSTREIFTWTLLHIYALHDYVFDNTCDLSPRLKKRSSMRQDLAFEKLQAIVDSDVSTLESDIIGDLTRIITAEAENNKYRLQAVQVLGDLCHDRSYYKYEEVRNAVLKVMPQVLKDILGCGLTSEQTHAAETRANNDQVSVWQADIEIGIGEEYATPHQGHNGEEQHEGIKLQEAFISFCRLLISKHHVSPWFLKSELNEIAENTCLEQIPPIAVKDFKSLVLWPEVLFINSYAIFLGYLMMGVRGLGVLVITWTTVVLLGGYVSVLGNKDFWCLTTIMLVQTAGTMHYFGAKAGLVESMAQEYSVDKNIVSDYHKYIVAGCEKDSSFATGRNLVTYGMDMMAEESNSNSDSFISGEESHEDHYANNLQLSRRSLLVVAKVAHILGSLATHEDNWRIIRNAEGVLSNIVRHLISIQDHNNSRLHDSWCGMAKESLELICRLTGALGAAQNEISGKLQEIQATIRSILVCTKCDVSVKRQAVKAVKGMLGCGSSTSEEAHAAETGDGDQLSARSTDIEKGISGGQEASYNGAEEQHEGIKLQKAFISLCRVIPESVFDSWYKEFNIIAARTCFAQGISPVKDLKSLVSYAQDRLEEKEAQLLATEVAS >Dexi9B01G0036780.1:cds pep primary_assembly:Fonio_CM05836:9B:38272287:38274156:1 gene:Dexi9B01G0036780 transcript:Dexi9B01G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVEGSTTAAWMERHQQMYERATRHPFTVSIRDGTIDLSAFKRWLSQDYLFVREFVAFVASVLLKCCKQEDNSDMETILGGVASLSDELSWFKNEAARWGVDLASISPLESNLEYHRFLQSFNEPEISYAVAITTFWAIETVYQDSFGFCIEEGNKTPPELLGTCQRWGSADFKLYCQSLQRIADRILANAPADTVKSAEEAFIRVLELEIGFWEMSSSRS >Dexi1A01G0002080.1:cds pep primary_assembly:Fonio_CM05836:1A:1354059:1356067:1 gene:Dexi1A01G0002080 transcript:Dexi1A01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAHTLSKLMAAAVSLAMAVLYLVSTGTITTVSAFNYADALEKSLLFFEAQRSGKLPPSQRVAWRGDSALTDGSPERVDLVGGYYDAGDNVKFGLPMAFTVTMLSWGVLDFGDAMATVGELDAARDAVRWGADYLLKAAAAVPEKLYVQVGDPYQDHRCWERPEDMDTPRNVYTVTPEKPGSDVAGETAAALAGASLVFRASDPGYSSKLLQTARKVFDFADKYRGSYSDSLSSVACPFYCSYSGYHDELLWAAAWLHMATAAGGSNSSAADMYLSYIYENGHTLGADDDDFTFSWDDKRVGTKILLAKAFLQPPHKLVAGGKPPASTTTAAAAGLQLYKAHADTYVCSLIPGATGFQSQYTPGGLLFKSGDSNMQYVTSTTFLLLAYAKYLTAAGAAGACNGGEVVPPSKLVAMAKRQVDYILGANPAATSYMVGFAAGAGDGRFPRHVHHRGASMPAVRAHPGRIGCDEGFRYLHSPEPDVNVLVGAVVGGPDGGDGFTDSRDNYAQTEPSTYTNAPLVGALAFLAAGRRR >Dexi3B01G0036350.1:cds pep primary_assembly:Fonio_CM05836:3B:39174201:39174943:1 gene:Dexi3B01G0036350 transcript:Dexi3B01G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVESCLKATELRLGLPGTEETTAAAAALPTPPSTPRGKKRDGNIAADEPAKKRDGETAPPAAKQATVFEVLTRVETTFVSSCRAQVVGWPPVRSYRKSCFQQQSSNAAKQSKPAPPPAEEKAPSAAATTAAAAAGALFVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLCFSGGAADAPAFNPSDFAVTYEDKDGDLMLVGDVPFE >Dexi5B01G0039510.1:cds pep primary_assembly:Fonio_CM05836:5B:38301994:38303142:1 gene:Dexi5B01G0039510 transcript:Dexi5B01G0039510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSNSTPRLALPAMARSQADLDLPSLITDLTSLLLHSPAASSGAAAPVFSSSSLSIPTPKPHPTPAATSTPTAIAPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQAAAAAPSATAWQVFLDILRADGPIGLYRGLSAVILGSATSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLDIVQNDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFALKSRDKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTQGSRTVLGTMKEVVAEEGLVGLSRGIGPRVLHSACFAAIGYCAFETARLAIMQCYLEGCQRKAAAAHPQPQMETGVDAAI >Dexi9B01G0022150.1:cds pep primary_assembly:Fonio_CM05836:9B:16783613:16785287:1 gene:Dexi9B01G0022150 transcript:Dexi9B01G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIGGSPNVNKVSSNAKPETPPIPKIQNPAELDRSEDRKLPSNPGEVEALRRGASAATRNPLVAFTFADLRKVANDFRKDAFIGGGGFGRVYKGSFAPAGETLAVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLVGYCCEGEHRVLVYEYMSLGSVESHLFSRTSPPLPWAARMKIALGAARGLAFLHDAEPVPVIYRDFKTSNILLDGAFNAKLSDFGLAKDGPVGEQSHVSTRVMGTYGYAAPEYMMTGHLTASSDVYSYGVVLLELLTGRRSLDRSRPPREQALTDWALPSLPHKKRVQSIVDPRLLVDGGGGAPPARAVQKLAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEEPAAAA >Dexi1B01G0020220.1:cds pep primary_assembly:Fonio_CM05836:1B:26341720:26343383:1 gene:Dexi1B01G0020220 transcript:Dexi1B01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGHAVTLSERSASGARLIEDVASVAGDKEADSTARVLYRASFQELMPGYLQYDTIIWAMISLLLVMAWGIGLLLLLYLPYKRYVLKRDILSRQLYVTENHIVYKVIIREASRRTQEVQGWKSRLNSGEGPSYLPPSSGFHSKVKVPDSVLLHKIEGVSQSLKNLESLLIGSSHARE >Dexi1A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:1A:7739510:7741004:1 gene:Dexi1A01G0009470 transcript:Dexi1A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGGGLEQLWIDVVRATLEHGLTPRVCTDYLHLTVGGTLSNAGIGGQAFRHGPQIANVHELDFITGMGEMVTCSVTKNSELFFAALGGLGPKSTTFFSYADITKLIGLASQSGLSTIYYIEGAMYYSEDGTTLVDQKMEALLGQLSFEPGFVFSKDVTYVQFLDRVCEEERVLRSVGVWDVPHPWLNLFVPRSRILDFDAGVFKCIFRDSNPAGLVLMYPMNMDKWDDRMTTVTPTSHDVFYNVALLWSALSTNDVEQLHRDNKAVLAFLEKANIGYKQYLPHHTSQDGWLQHFGVKWSKIIVLKAKYDPQAILSPGQRMFLSPAKVSSSAVA >Dexi9A01G0005380.1:cds pep primary_assembly:Fonio_CM05836:9A:2987944:2989752:-1 gene:Dexi9A01G0005380 transcript:Dexi9A01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLTSTPPRLLPVKSNSPPPPQHPLLSHLPHCTSLRSLAQLHAAAVKAGLAAHPALVTRLLTLCTAPGAPPAHLAYARQVFDRVPHPTDAVWYNTLLRGYARSPSAASAAARVFARMLEEGVAPDTYTLVSLLKACAAARAGEEGRQAHAVAVKAGAAGHEYVLPTLINMYAECGDVRAARAMFDRMDGDCVVSYNAMIAAAVRSSRPGEALVLFREMQAKGLRPTSVTVISVLSACALLGALELGRWVHDYVRKIELDSPVKVGTALIDMYGKCGSLEDAIAVFQGMESRDKQAWSVMIVAYANHGYGREAISLFEEMKRQGIKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDHGIIPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTPILWRTLLSACGGHRDVELGKRVFERILELDDSHGGDYVIFSNLCANTGMWEEMNRVRKLMNEKGVVKVPGCSSIEIDNTVHEFFAGDGRHPKSQEARKMVDEVIDQLKLVGYVPDTSHVFHVEMGEEEKATSLRYHSEKLAIAFGLLNTAPGATLRVVKNLRVCPDCHSMAKLVSVVFNRRIILRDLNRFHHFEDGVCSCGDYW >Dexi4B01G0019800.1:cds pep primary_assembly:Fonio_CM05836:4B:21941724:21944690:-1 gene:Dexi4B01G0019800 transcript:Dexi4B01G0019800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMSLAAKGVLPFSALTSSGVTQRPVSVTASLEHKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFFLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGGNKKFDPDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQKLAEDIDSAVKRLSDEAYEIALSHIRNNREAIDKIVEVLIEKETLNGDEFRAILSEFVEIPVENRVPPATPAAALPA >Dexi5A01G0037230.1:cds pep primary_assembly:Fonio_CM05836:5A:38625647:38632384:-1 gene:Dexi5A01G0037230 transcript:Dexi5A01G0037230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKMDRPAALGKDGLSLGIEEDGAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYTKPSDGKIALPHGSSLEPAEREVRMMEGTVDRKERRRNVFDADSGLRWLEEERETSLLGRRDRKKEGERDVDNRKIDRRSDNVSARDNTDSRAPPTSERWNDGSTRSLGNEGRRDVKWSTRWGPDDKEKDSRSEKKVDAEKDEAHAEKQTFTGRLLSESDSRDKWRPRHRQETHSVGTATYRAAPGFGSEKGRGKDKDSSNVGFAPGRGRGNPSSVASFSRPSSAGPIGARAVHGKYAKTAANFRYPRGKLLDIYRQKKMMSSFEDAKLEEIPSITLSTAAKPLAFVTPDTVEEALLEDIRKGKVISSEGSSAAGSKKERAKELEEPVSGVDDDKAAVASDGLAQEGSSGLISEKDAFYDNRALPSGVGTSSPKRSMEENAGTNQYGIPGIQEGLKTDEAKSSADHDLSTKLPDDSNTLFDATPFKDRLEPSWNYQNSDMDMKTGGHASYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGQKPQSHPPALADESAESFGSAPIKLEAAIPTTASSGKSDQASKWDSESNAVNPKRGDHEASLPSRTGWLSSPETGKDVANISNRQQHVNESVIQDAEEVLYTGRPNSSLGQSIRDIENDRADFQLASREPHSGVGEANLPHRDVPRESDLSPLGLLWSELEGMHPKQPLSSNVLGVNERRNAKPTAPKDIPPVNMRHGPLNRMNEASSMRDEWPANFGRLDSMNDANIPARISQVEAEHHLNFEEQLLLQQIRREQLQQEQMMVRNNLEFPGALPGQVFDSLHQHRQPMNQPLPDVEQFLRVQFELEQQQQRRQQQEQHQRQLQQQRQAQLLQQQQQQQQQHMILEQLLQQQLQGSNFGPQNMVDQVLLREHVLNELHQQPQHLQRRHDAAIEQLIQEKFGHGLHREHHNDMLDILSRSNQRQMLPLEQQLLLGLQHEQQLQSQQLAALRQHSGREEERHSSGVWPMDAAAQFIRPGTSPNQHASRHGRFDLLENLQRSSSFEQHESLDRSLSLHERLHRGGQGIHSLERSGSLPGSGPVPNPDVINALARHHGLGQLEAHGDLYSLGQMPGLPSGVHPQQHRLQEQLPGSHLGRLERHWSDANGQLQNSLMESSRMNQLQIEAEKQRRNVEMNLSVDNPHAWAALMNKERNTEQDLSDMIHKKLVLQSQQSLGFPDVPVPAFGRKDHFAQPAVENPLRSPVDRLSFEESLAERSDTGISKGSSHSLLKRSTNQHPATSQAVSTDLSTIRLKKAGLAPSDENKLESGITSVAQGMEVSVPSNKETGAYSMPSATNNPDASGPSFSEALKSKKPPLQYDTSESADGGPGGKGAKKKAKKGKQIDPSLLGFKVHSNRIMMGEILRDD >Dexi6B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:6B:5560532:5561695:1 gene:Dexi6B01G0005970 transcript:Dexi6B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPAIFTNPGEDNNNPLYDRERNQDHLNKLVHLDRTDQSDPITFNNSTSSSNDAKYEAEVFRNLCLVYQQQIRLGKDARAFLGEKLCVEKMVQDTTNSQGTLESMAHTAMHIWTGRSGPPPGAACCSGDNDGFLDHAGAFSCKNDMGFLGTAGRDPIFYSHHANVDRMWHIWSTGNKGFDDDTWLDASFDFYDNYDKPQLVRVKFRDVLDTRNLGYTYDAESEKDLPWMKCELKSLVPRGGSGGRRPPSPEKNPVFPVTLRKNEVVEVAGVTVPAARRPARRQRLLVMEGIEYDPTVENKFDVAINVPRGDALKVGPQYTEYAGCFAVVPSSKEGGGTLKGKIALCIDDVLVDIGAAGASTVDVVIVPRTEAKIKLNVGPMIKD >Dexi9A01G0016130.1:cds pep primary_assembly:Fonio_CM05836:9A:11073633:11074226:-1 gene:Dexi9A01G0016130 transcript:Dexi9A01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKGIKSFITVVLVLGLVLGHQIQVEAKSCCPSMYARNVYNACRVRGNPQSVCAKMSGCKIVQGKCDDPYYNSLHSDSDEANALEFCKLGCAASVCDYIKALGSEEASDVLGRCDQPCHRFCTKHVDNAAATTAASYFLRKVHAYK >Dexi9A01G0040690.1:cds pep primary_assembly:Fonio_CM05836:9A:44431479:44431796:1 gene:Dexi9A01G0040690 transcript:Dexi9A01G0040690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELPLPLLALLFLVILPPLCSSWRPADDDDASVSRSVFPMDGDVAWVVQVSDLHISAYSPERSADLELLGTALRAIHPHLLLVTGDITGETSALIFLDLKFAA >Dexi6B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:6B:8075652:8076709:-1 gene:Dexi6B01G0006950 transcript:Dexi6B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCDVFEIHGYSSLLGRIGAGEFVQSAAFEAGGYDRAVRYYPHGCSHASPAGYASVFVELTAPAAAEAPASCETAKVEQKEYLAGDVIKIQGVVTIFKEPTNSGARRIMVTSAVARVGEVPPPPELPGDLARLLYTKEGEGSPPPADVTVFVGGEAFAAHRVVLWARCPKLYDQVTAWGTVNIFDFEVQQPAVFRALLHYIYTDSLPAMDELDAVGELEMLKGVLAAAHRYRVERLKLICERALSTRLDAGTVVATLALAEQLGCTALRGACLQFICSTEKRSRSVG >Dexi7A01G0023060.1:cds pep primary_assembly:Fonio_CM05836:7A:31204128:31205628:1 gene:Dexi7A01G0023060 transcript:Dexi7A01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIIIAVVFVLDILAFVLAIGAERRRSYATYVNVDLSGRPYCVYSSDASTAYGVSALLLLLAGQVVVMVATRCFCCGRALSPGRWRAWSGICFVVCWITFVIAELCLLAGSVRNAYHTKYLPRSNDTPPDCAMLRKGVFASGAAFTFLTTLFTELHYIFYARSRAAADVAPPIVGGIGMTRMP >Dexi3A01G0036380.1:cds pep primary_assembly:Fonio_CM05836:3A:41776232:41778505:-1 gene:Dexi3A01G0036380 transcript:Dexi3A01G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLIWVVDSSDVRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLDLAAMEKSRHWQIVGCSAFTGDGLLQGFDWLVQDIASRIYVLD >Dexi7A01G0017700.1:cds pep primary_assembly:Fonio_CM05836:7A:27293342:27293803:-1 gene:Dexi7A01G0017700 transcript:Dexi7A01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSVQVPTLPARGRRKMSWPETVAGKIEEGQYKVRRMELQKADLDREIEVLEKVVRGMETEMQYELDLAAHCSDLEMMHRARQLARPRPPLSEAEERALRDIRDLAASAVAEYAASIGPEPAYDRPINPFSDTFRLPLPRAMVEAYASRQR >Dexi9B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:9B:5296669:5297531:-1 gene:Dexi9B01G0008690 transcript:Dexi9B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEWYAGDAETGQAARPLYPMMLEDPRLRWAFIRKVYSILSIQMLLTVVIAAIVVYVRPVALFFVSTPGGFGLYIFLIILPFIVLCPLYYYYQHHPVNLLLLGLFTIAISFAVGLTCAFTKGEVILESAILTSVVVLSLTAYTFWAAKRGHDFSFLGPFLFAAVMILMVFALIQLFFPLGRISLMIYGGLAALIFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >Dexi1A01G0030670.1:cds pep primary_assembly:Fonio_CM05836:1A:35875449:35875914:-1 gene:Dexi1A01G0030670 transcript:Dexi1A01G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHLVPGEEEELRADGGHGEVEPLSRGGEVGQQRQVRHGGVIPDAPDVELAVLRQSPELNSRFATATIWFGGTSSCHIPGRISHMPLEQWNTSAELRSSADDCSMVMDMVVVPPGVRRGSPLEAANVLSANPPTTWKLPCHVTPTHL >Dexi2A01G0015760.1:cds pep primary_assembly:Fonio_CM05836:2A:27148896:27152777:1 gene:Dexi2A01G0015760 transcript:Dexi2A01G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDAAKNTSTTGPTFSSPIFSFSNPGASSFGFGFGFDSGAPPPPPPAAIVVQLSKESPIATARLEPVVVDESLTIYKGRVSTSDVFGVKDSDLMPGKYEGGLKLWEGSLDLLGCGHGLPGIFAGQKGAGLIHFQDFNSEVLKCLTIPNVKVNLLKESPEETCTSKSVGFFAGDWSEMDKLLLCGDAEQDKTTIGDTEDKTYNGYDIILMAETVYALSSLPNLYRLIKKCLCYPGGVVYMAGKKHYFGVGGGTTRFLRLVEEDGAMQTERLNNVADGASNVREVWKLSFK >Dexi3A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:3A:9385071:9388549:-1 gene:Dexi3A01G0012880 transcript:Dexi3A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRRFGYGYGRGRGAAVLFLMLLCLCVSSAFLLLLHGSSAPLDPAAEGKAGAGAGVAEALAEVEEAPLPPGNTKVAFLFIARNRLPLELVWDAFFRGDKEGRFSIYVHSRPGFVLTRVTTRSRFFYNRQVNNSIQVDWGEASMIAAERILLSHALKDPLNERFVFVSDSCVPLYNFSYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLIKKHAEVVVGDEVVLPEFQKHCRRRPLPEFWRDWDRPIPAQAWKAHNCIPDEHYVQTLLAQMGLEEELTRRSVTHSAWDLSASKDRERRGWHPVTYKVSDATPALIKSIKVY >Dexi9B01G0042950.1:cds pep primary_assembly:Fonio_CM05836:9B:43069584:43070098:-1 gene:Dexi9B01G0042950 transcript:Dexi9B01G0042950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRVAVDASIWMVQFMRAMRDDSGEMIRDAHLLGFLRRICKLLFLRVRPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHP >Dexi4A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:4A:8790635:8791177:-1 gene:Dexi4A01G0010920 transcript:Dexi4A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGSASSNGGALAVASVALLCAAAAILAPATPAEAGTTYLVGDAAGWTHNVDYGQWLAGKTFHAGDMLVFKYNATYHEVAWVSKGGYRHCVVSPQGGRAPVYRTGYDTVRLPSGTHYFICGSPGHCQAGMKLAVKVY >Dexi1A01G0026070.1:cds pep primary_assembly:Fonio_CM05836:1A:32046122:32046710:-1 gene:Dexi1A01G0026070 transcript:Dexi1A01G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPISSGGDHAAETESSESLLPKNHGGDGAGAGDDGGDDFHGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVLIVLAALLTDASIELLVTCSLEHPPAACTTMAC >Dexi2B01G0033340.1:cds pep primary_assembly:Fonio_CM05836:2B:40948142:40949260:-1 gene:Dexi2B01G0033340 transcript:Dexi2B01G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLIRLFFHDCFVRGCDASVLLDKNNDNQTAEKFGIPNFPSLRGYEVIDDAKAELEAACPGKVSCADIVAFAARDASFFLSSGKVSYFAMPAGRYDGSVSLASETLPNLPPPFAGFNQVVNMFAAKGLDVFDMVTLSGAHTVGRSHCSSFSDRLPPNASDMDPAFASELKANCTSANGTDNTVVQDYKTPDEMDNQYYKNVLDHKALFTSDASLTSDFTSNNLVRAYAAIPYLWQRKFEEAMVKMGGVEVKTAANGEIRKTCRVVNSKP >Dexi3B01G0032330.1:cds pep primary_assembly:Fonio_CM05836:3B:34697634:34698681:-1 gene:Dexi3B01G0032330 transcript:Dexi3B01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMEAIGEEFFRLPAADKAGFYSESEDPNKATTRLFSNTTYETGGEKYWRDCLRLVCSLPVRDSVKDWPDKPHRLREVTENFMLLTRDLAMKLLRLLCQGMGLRPEYFEGDICGDHVIVDINHYPPCPCPSTTLGLPPHCDRNVITLLLPSMVPGLEVAYKGDWIKVKPIPQAFVVNFGSQLEVLTNGMLKSIEHRVTTNSAMARTSVVTYIAPTGDCLIGPAKEFLSEDNPPFYRTLTYREFKRIYNVVKLGKSLNLTTNLKDVQKMI >Dexi5B01G0017480.1:cds pep primary_assembly:Fonio_CM05836:5B:19168181:19168376:1 gene:Dexi5B01G0017480 transcript:Dexi5B01G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEVAANRGATRRRWTGRRRRGEEMEQEGGAGNSSETMVVAAVESEKEEEENGKGKRKE >Dexi3A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:3A:6582459:6584558:1 gene:Dexi3A01G0009420 transcript:Dexi3A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAHAVVVDIDAPGNDASAKPPLAPPVPYVLNFTDLSYSVKKSGGLLGCLPSRPSNRLASADASPPASSATGNNTKTLLDGISGEAREGELFAVMGASGSGKSTLVDALAGRISRESLRGTVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPDKKRARVDALVDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLLLSRGRTVYAGTPGGLKPFFSEFGAPIPDNENPAEFALDTIRELERQHDGAVALADFNDKRIKRASANKDGKIMSTMPLELAIAESVSRGKLVAGSGSSGSAVSGSVPTFANPPWTEVWVLIKRSFTNTARMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNAYRRVSYVLANAVVSFPPLVLLSLAFAVTTFSAVGLSGGASSFLFFVLTILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFITRARIPGYWKWFHYLSLVKYPYQAVLQNEFAGGVARCFSRGVEMFDGSPIGSLPEAVKLKVLDAISATLGRKVTADTCVATGADVLAQQAVMDIGKWKCLLVTVAWGFFFRALFYVVLLVGNKNKRK >Dexi1B01G0003460.1:cds pep primary_assembly:Fonio_CM05836:1B:2719133:2722598:1 gene:Dexi1B01G0003460 transcript:Dexi1B01G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRELLGLTLWLAFCSLRLHAFHFPFFDTYTSQQDVDAINDLYAALGSPDLEGWTDFGGDPCKDAWQGVKCDGPNVTEIELQGVGLGGKLSQTLGDFTAVTLLDLRNNQIGGMVPQSLPPSLTQLDLSSNSLSGELPDSMAQLSSLSTLRDGNKFTIPTIPGSPTPPVVPSRSPPSPKHVPASAAPQEPPVLSGYLRRFEMRTSSWVRVPPRLSAVAKPEKEHHSGAEEKIDWPSRVYVKEAGSSVRSSFKNSSKDITVSDKNVQGSAEGQTQQIPFTFFTVASMQQHTNNFSDLNLIRETCFGKMYLADHPGGKFSVLKLDGDAAKMPAAEFLKIVQGISELRHPNVEELVGCCVEHGQRLLVYNHFSDITLDSMMHFEHRASETAETLQWDARVAIALEAATALEYLHEGSQKQVVHRHFRPEHVLIDGDLKVSVSGCGLAPFVPQVSDYCTLSYEPPEAAADTGGAAWTAKGDVYSFGVVMLQLLTGRRPYDSSRARGEWRLVQWASPRLHDLAALGKMADPRLGSPPVRSLSRFADIIGRCIQQEAEFRPAMAEVAQDLRRALEDAAIAAEPAGSGGKAQV >Dexi5A01G0020230.1:cds pep primary_assembly:Fonio_CM05836:5A:24054277:24056720:1 gene:Dexi5A01G0020230 transcript:Dexi5A01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEQAPAPAEAEPLELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGALKVVSKGEECIIKLEDKNTGELYARAFLREGEPHPVEPVIDSSRYLNKKKAAEEMVQHYEKQSSVDYSLKEGETLVLQLKNKETGTKTKSAFFEQGLNKLSVSEKTNSKEAPVSLKLPPPPPSPVSPTDSGVAASPFKAEFPPQEPAAEPASAASTLPSKAKLSPAQPVAAEKAEQETVDDDFGDFQAAG >Dexi9B01G0045330.1:cds pep primary_assembly:Fonio_CM05836:9B:44840021:44841240:-1 gene:Dexi9B01G0045330 transcript:Dexi9B01G0045330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCVNLSRAVVLPAGGAARRVPRQYVSGGVLRLPSSSPSLLPRRHGFSGTVAWCSSGTGSRPPPPFPAAHGIGGDAGPMSPPDHAGGIGVAEFLGAKNFLVTGGTGFLAKGDTSFIATKLVPVVGDVREANIGIAPELADEIAEQVDIIINSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTGQTRM >Dexi4B01G0022680.1:cds pep primary_assembly:Fonio_CM05836:4B:24213745:24217700:1 gene:Dexi4B01G0022680 transcript:Dexi4B01G0022680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPSPTSLSTPLLSDSIAPARASNGHHHHDDSDAASAGDGGGGDPFAFLSEDRPPRDRGPSPADPFRNGTPAWGGGAYAWARTLLLLPVAALRLAVFGIAIAIGYAATWVALRGWADTRGRPREGAGPMPAWRRRLMWITRISGRCILFSFGYHWIRKKGKPAPRELAPIVVSNHISYIEPIFFFYELFPTIVSSDSHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQIHNFMEVEYLPVVYPPEIKQQNALHFAENTSYAMARALNVLPTSYSYGDSMIMARAVEAGKTNCSNYMVEMAWVKDMYGVTTAETMELLEHFLTMNPDSDGCVKAQDFWAHFGLDCSPLCKKIFHYFDSDIKDSITFRQFLIGCAHLRKQPQFQGACETAFEKCKDPETSDISRGKLADVLRLSMLLPSDDGMLKLFKTFDVDGDEKISRDDFMTCLGRFPFLIAFFAAPINGEVYIEIV >Dexi9A01G0029430.1:cds pep primary_assembly:Fonio_CM05836:9A:34320829:34322742:1 gene:Dexi9A01G0029430 transcript:Dexi9A01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTSLNSPVVADLPTLALPAAVMAFTTPTSFQCPGLCLNTTKKIPLPGKIEEVRATGWLDLMMASSPTRKRQIKDVVNDIQTDDLDLQYRNWMVNYPSALTSFETITDLAGSKRLALFLDYDGTLSPIVDNPENALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELYYAGSHGMDIMGPVGRTADSNGVECIRSTDSQGKEVNLFQPASEFLPMISEVYEKLSENVKDIDGARMEDNKFCVSVHYRNVAEDDYKLVFQRVTAVLEDYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLRKLAAWKELST >Dexi5B01G0024140.1:cds pep primary_assembly:Fonio_CM05836:5B:26262267:26263271:1 gene:Dexi5B01G0024140 transcript:Dexi5B01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALHRRWLLLPLLLAVLVATCRGHDPSGDDYYNTSICQTQAYTCGKVNISYPFYLAGVTGDVRGNSNSYCGYPGLAIACEDGREPTLRLNDTDYNVTGIDYSNHIISLVDPDVLEDESCPRVDHNVTVPSYSWLNYTEDTIGYLLFFANCSIFTLPNQSDIKPIECASSDGGREYSFVIPLNVPHLILLEQCQQVTLVPVLQSALEQGSTDGYRNTLTQGFQLEWELGRRSNSCVKCDNSNGRCAYNQDGVYLGCLCANGGVNDQECPKGHSAFFVSLAIFS >Dexi9A01G0022170.1:cds pep primary_assembly:Fonio_CM05836:9A:17198898:17199292:1 gene:Dexi9A01G0022170 transcript:Dexi9A01G0022170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQGLRAKIADYDVFQQYQQQQYEEDNYRIPYKTDVYSFGVVLLELLTGRRPRDMRQGYLSYWLLDWVRKSLVLRCHPVGR >Dexi2A01G0036180.1:cds pep primary_assembly:Fonio_CM05836:2A:45790083:45790538:1 gene:Dexi2A01G0036180 transcript:Dexi2A01G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVMDSIPVSAIKEGGTAPTQTGFPSSTPSSFASVPFFKAKSGQVDSSGAQLNSQNESKVAPKNKSPSWNQQLRCRCLNLHGRVTAASVKNFQLVASDESAPSNQEGDDFGKVGNDLFSMDYRYPISAFQAFAICLSSFETKIGCE >Dexi3B01G0038290.1:cds pep primary_assembly:Fonio_CM05836:3B:41076031:41076999:-1 gene:Dexi3B01G0038290 transcript:Dexi3B01G0038290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRLLLAGAARRRSFSTGAAAAVSTSSASSQLPRGKRWDAVVIGGGHNGLVAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPAILRYEEQLEKFCKLMDFVIDSAPPELRQEFHASMIDRMKDRVDKSAFWGNLLRHVMQQGQKNMV >Dexi9B01G0002310.1:cds pep primary_assembly:Fonio_CM05836:9B:1317994:1319034:1 gene:Dexi9B01G0002310 transcript:Dexi9B01G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEETVVFSTSDDASIALLRRLRAGAAVHFVHHVDVCSAAPEDLVADLQPVPGTDLAEDGYNSIWYFYCPKRFKNAQGKPSGHRQRAIAGGDTCWHSETAPKPVKGLEGATFCNLSFGRKEGSGRSFNRMGWCMTEYDDKNDHVLCKVHRSSSSLAKEKSKNSSAGCKRKATVEHPQAPPTKMSLCASVDDHQVQPPLLTGQQMTVPECEDIDYESLYAVIVDYESVFPIEEFQHTEIPLENQQLEQNILLPSEDQQQLQQNIVLPGEEQQQQNILFPAEEEQFEENTLFSMEELLRSPGYGACLPDHIYTVDDLFNGCSGCCDTPKAMGPPDPVFFDGLAAF >Dexi5A01G0022730.1:cds pep primary_assembly:Fonio_CM05836:5A:26881355:26882646:-1 gene:Dexi5A01G0022730 transcript:Dexi5A01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLYLIALPLLPFPICSGASPWQTMTTGSHIRGEDHDKVFLLSPDATFSCGFHELGTNALTFSIWYTTSTTDRTVVWTANPYSAESGYSPVNKYGSRISLNHDGNLILTDTNGSMVWESKTSSGKHTIVTLLNSGNLVINDSGNNIVWQSFHSPTDTLLPGQNLTKDTRLVSGYHHLYFDNDNVLRMLYDGPEITSIYWPSPDYDAEKNGRNRFNSTRIAVLDDMGNFVSSDGFKIEASDSGPGIKRRITIDYDGNFRMYSLNESTGKWNVTGQAVIQMCYVHGLCGKNGLCDYSGGLRCRCPPDYEMVDPTNWNKGCRSMFSIDKNQAPEDFTFIKQPHADYYGFDLSSNTSISFEACWNICLNTATCLSFTYKGGDGLCYNKDLLYNGQTLGF >Dexi7A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:7A:19671050:19671976:1 gene:Dexi7A01G0008410 transcript:Dexi7A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRVHIDDVWAKVPTQPTSKAWSSKLVARPRPARAHERRVVMVGGEFFAAEGGRVRDYSGGVTFSVAVTCLMAASCGLIFGYDIGVTGALDLCWLALG >Dexi2B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:2B:10442146:10443723:-1 gene:Dexi2B01G0009700 transcript:Dexi2B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWVRAALLGVLSAAELLVSTVVHLGYAFYIFGTAVAADVASSLVDGLTAAVGLGSGGGVAKGAAVESEAEAAAALDGTVPPIVLVHGIFGFGKGRLGGLSYFAGAEEKDDRVLVPDLGSLTSVHDRARELFYYLKGGTVDYGEEHSKVYNHARYGRTYERGHYPFWDEDHPVHLVGHSAGAQVIRLLHQMLHDGGFDGHVGTSERWVLSVTSLSGALNGCTRAYIDGVRPEDGWSLRPLCLVQVCRVGSILYHWLDLPFLNRYYDFGFRHFAMSRRVVGVAGLLFGSGDRRRGGPFATGDWILPDLTIHGAARINARVRTFPSTFYFSYASRRSSGGGGGSSGMVGIHPLLFLRAMQIRRWRYPAGVALPYEGYRDEDWEDNDGALNTYSMTHPRIPDEHPSVPVVEEEEVVGPLRPGVWYYRIVEADHMTFVINRRRGGVQFDLVYDSIFHNCRRHAFRNAPPPPPPAANASESELTDPDQELVAAI >Dexi6A01G0001080.1:cds pep primary_assembly:Fonio_CM05836:6A:921353:923966:-1 gene:Dexi6A01G0001080 transcript:Dexi6A01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGFACMPRKEHRGAASVSRSKRMGSARSARGGPKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGASMSRRMAPGSTSSRRRGDLPDSVINAKPPQIVLEKLETKKIVLVHGEGFGAWCWYKTISHLEEAGLEPVALDLTGSGIDHTDTNSIATLADYSKPLIDYLDKLPEDEKVILVGHSCGGASVSYALEHCPQKISKAVFLTATMVKDGQRPFDVFSEELRSADVFLQESQFLVYGNGKDKPPTGLMFDKQQIKGLYFNQTPSKDMALAAVSMRPIPLAPIMEKLSLTPDKYGTVRRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPAALLPGKASVEETAVEKS >DexiUA01G0007350.1:cds pep primary_assembly:Fonio_CM05836:UA:13970785:13971200:-1 gene:DexiUA01G0007350 transcript:DexiUA01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALAWAVTRVLRGGLPPAASATAIPGLSLRIHRQLCGLPTADEPSSVGEADAWEEAEAEILRDVKPVVELVKDILHSGSSLE >Dexi4B01G0020240.1:cds pep primary_assembly:Fonio_CM05836:4B:22458649:22459838:1 gene:Dexi4B01G0020240 transcript:Dexi4B01G0020240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSVLPRQRSSDQHLAVGGGHQSLAPDDFRDVYGGPPRTVLLRSFAGEAVDYHSPTSYHHQYMSYGGGAAAEAFCRRTYAGDGGRAAAGVPTEQGFFDDIFGARRQMRSRSRSNKSKSSLAVSSDELPSGFCRPVNTTGGRADATLSSFTSRLRPVTIPSRRYDSSPPSSTSTIGEYQSSFTCSTAAYPAARYYYGDGNKAATGGGGGRSSHGRAGGGGEGHRRRHQRGSSNFCCFTSNPETSSNAPSFRQTRGGARSPAAETTITDYSGGGDYGYYYSPPSATSSSLFTNPMAARTPRRMEEMVMEVRERAPLLMDDGDDIDSVGAAAVDEAIAWAKERFWSQAR >Dexi6B01G0016140.1:cds pep primary_assembly:Fonio_CM05836:6B:23429377:23429655:-1 gene:Dexi6B01G0016140 transcript:Dexi6B01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVAPAVDRLEKGASRRPVVLAHGDAMVVRGKLGRERTDHHDGAPAVQLRRAAASRGTSHSQARCGGDKSNVSRRPARLRLGRVLVVVLE >Dexi3B01G0011680.1:cds pep primary_assembly:Fonio_CM05836:3B:8191211:8192304:1 gene:Dexi3B01G0011680 transcript:Dexi3B01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHDTAALLLASVLLLPLITATATIVPSAPAPQDPRCRATPPRRGALAVYPSDMEQLQFLLNAKFVEAEWFLHGALGRGVDFLDRNLSAGGPRPSGARKAALDFRTTEVAAELGYQEVGHIRAIRQAVGGFPRPPIDLAAERFAMVMDDAMGARLDPPFDPYASTVNFLLASYLFPHITASATMGISSSLMGFVSKRLQSSILAVEAGQDAVIRLLLYQRADEAVPPYQGHTVADFTRRISDWRNKMSGCGAKDEGVKVLDRQQGAERRTISNILGAGEDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQLA >Dexi6B01G0003970.1:cds pep primary_assembly:Fonio_CM05836:6B:3229339:3234638:-1 gene:Dexi6B01G0003970 transcript:Dexi6B01G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSISTPTSSSLLPPSRQVGRWTPLARSAKPVSFSLRSSPLAARAAAGNAPTSPVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPNTKFKSAIDTALADGECNALEKHEDRMSCYLTKALANVGAELAHQVPGRVSTEIDARLAYDTQGIIQRFRTSSGGSTSWCICCADICGAVAALKKGEDAGLALAQKVYAYIHRNGYKTKLMAAAIRNKQDSLDESVTDPDVKYSYVPRLTPAIGKTYDFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYANQARRVEELFQKIWPPPNV >DexiUA01G0001600.1:cds pep primary_assembly:Fonio_CM05836:UA:4233834:4235366:-1 gene:DexiUA01G0001600 transcript:DexiUA01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRTNCVIAPPDTHLGSSVRLEVLVLDGDFRHGDRDAWTADQFNAAIVKAREGKRPLLVGSLVVQMNNHGVAVIDDVSFTDNSSWIRCRKFRIGVRIMPAGSHFGERIQEAVSESFVVKDHRGELYEKHYPPLLSDNIWRLKNIGKDGPIVKRLESEGIRNVQEFLTLNTIDPAKLRAFHVKQLATQAYKLWDKLEEVTNEMPLAATKCLNPLSNSGRRPSDSQESIISSGSQNAKYLDYTGTATSSAAAAMSTNSSNTSGSAAAAPTNDDMFWTPSIPPDDQFGWQNSTGCWD >Dexi6B01G0012770.1:cds pep primary_assembly:Fonio_CM05836:6B:20488750:20492595:1 gene:Dexi6B01G0012770 transcript:Dexi6B01G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARGEPKEQTLAPAPSPAAPMKMGGAQRRQPGSRRRVAVALALLAASALALLLLSSRFAPPRYGVIIDAGSTGSRVHVIAYRAGAGRGAAFPQLDWAHTASLKANPGLSSFAADPRGAGLSLAPLVDFARRRVPRERWVDTEVRLMATAGLRLLDSAVAESVLESCRDVLRQSGFLFQDQWATVISGMLHRKLLDFYLILVPCYGNVLNWSSWAEEGIYAWVAANYALGTLGGDPHGTTGIIELGGASVQVTFVTDEPLPSKFSHVLKFGDVSYNLYSHSFLQLGLNVAYESLHDLLSSPGLKSKGCTYHECRLGAAFVPELEGKFLATENFYHTSKFFGLHSKSFISDLMVAGEKFCHGDWSKIKKKYSSFDEGELLLFCFSSAYIIALLHDTLKMPLDHKRIDVVNQIHGVPVDWALGAFIVQTTLNRTEYSDSSASYLNTYDSSGLAPLFLITPVVVFTAFSILRWRRPQLRTIYDMEKGRYIITRVSR >Dexi8A01G0007650.1:cds pep primary_assembly:Fonio_CM05836:8A:8703205:8705411:-1 gene:Dexi8A01G0007650 transcript:Dexi8A01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTVRFLCSVVAAVARLIRELRRRTALLMASSTCSPSPVVTPCPAGLISAFTPLPSRRKKAAAVQKQPLAVAPPAAVLPNGEQAEGRRTKKEAWAARRRPARLVIPVADDVGEVAAGWGAAAANAAAKEADVVVEGEGFRVASRAGPRHAMEDAYAVVTHKNDGDPQLAFYGVFDGHGGRAAVDFVSRRLGENVVSAVLAAATCEEASPTAAEEEEDAVSAAIRLAYLATDSELLAQHQGVTGGGSCAATAVVKGGELYVAHVGDCRAVLSHNGGAAAALTADHTCAADSERQRIERDGGYVCRSGGSGVWRVQGSLAVSRSFGDAGLKRWVVAEPAVTKVVLAGGECEFLVVASDGLWDKVGNQEAVDVVSRNRTTACEELVDLARRRGSRDDVTVMVIDLERFV >Dexi9A01G0035320.1:cds pep primary_assembly:Fonio_CM05836:9A:39923359:39934035:1 gene:Dexi9A01G0035320 transcript:Dexi9A01G0035320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAWSVRMDVPWEDVARRLEKGCGGAGLQEDAVWRRWRMASGAAARGRSAASREDGVWRHREMGCGGAERWVRRRWDGRAARDAEEKRATRGRKWCGGAFHRAPKYCTMSNAKVVLDPAFQGAGHKPGTEIWRIEDFKPVPLPKSDYGKFYCGDSYIVLQTSCNKGGAYTFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHREPQGYESDKFLSYFKPCIIPMEGGFASGFKKPEEEKFEIRLYICKGKRAIRVKEVPFSRSSLNHDDVFILDTENKIFQFNGTNSNIQERAKALEVIQHLKEKYHDGVCNVAIVVEDRKTASASVEKFIIKENRPKTTRITQVIQGYENHTFKSKFESWPVSNTTGNANTEEGRGKVTALLKQKGVDVKGISKSSAPVNEEVPPLLDGGGKLEVWYINGSAKTALPKEELGKFYCGDCYVVLYTYHSGDKKEEFYLTYWIGKHSVQEDQEMAFQIANTLWNSLKGRPVLGRIYQGKEPPQFIALFQPMVILKGGISSGYKKFVEEKGMKDETYCADGIALVRVSGTSVHNNKTLQVDAVPASMSSTDCFILQSKNLLFAWIGNSSSFEQQQWAVKVAEFLKPGVAVKHCKEGTESSAFWSAIGGKQSYASRNVAADVAIREPHLYTFSLRNGW >Dexi1A01G0003190.1:cds pep primary_assembly:Fonio_CM05836:1A:2297787:2298274:-1 gene:Dexi1A01G0003190 transcript:Dexi1A01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAQASAASPSPRRSPPRLPNAATAADHPALTPSRGATGDDGWTDPENPPAAAAAPPGFPPADVSTAVVESRHHTSYANPSSSPPCPPRSAAAAAPTPGGGATATATAAAAGGSSSSTRSRLCSGAAPGPAPFPGRGSGPDPDPDPTSGSV >DexiUA01G0003980.1:cds pep primary_assembly:Fonio_CM05836:UA:8002387:8003781:-1 gene:DexiUA01G0003980 transcript:DexiUA01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAWRPVYGSFTRCDDCALALDAIDPLTLRPELRKSTDGYLPPRIDEYPLEACNLDAYKELLRQEAEEGNPEELQHQSEGPAPCIATTQKDLIQHPSSPHHHRP >Dexi2B01G0013180.1:cds pep primary_assembly:Fonio_CM05836:2B:20696934:20708629:1 gene:Dexi2B01G0013180 transcript:Dexi2B01G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEAPLLERKPRVYFDGCPGCAVERRKAENSGVPYWQFFHIWIVILVSCLPISLLFPFIYFMRRIYILCIFFSTTDKRLAYCQKSGRYWILCWFCGLENLIECDNQIFIGASYMLGRALTSTCWGMVADRIGRKPVIIIGVFATLVFKLVFNTLFGLSLHYWMAIATRFLLGSLNGLLGPIRAYAVEICRTEHQAIGLSLVSTSWAIGLIIGPAIGGYLAQIFSLWAESDKKYGGLSFTSEDVGEVLAITGASILLYQTFIYPQIVKVLGPVNASRVAAVTIVTCSFILQNNSVPQDQRATANGLATTLMSFFKAFAPAGAGFHGRKNANMLPSSQN >Dexi3B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:3B:11271615:11272253:1 gene:Dexi3B01G0015520 transcript:Dexi3B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHAEPKQKQSLMDKAKGFVAEKIAHIPKPEASLDSVSFKNMTRECIVLHSNVNISNPYDHRLPICEVTYSLKCAGQVVASGTMPDPGWIAASETTKLEIPAKVPYDFLISIIKDVGRDWDIDYELQVGLTIDLPIVGNFTIPLSTSGEFKLPTIKDMFTSSS >DexiUA01G0022300.1:cds pep primary_assembly:Fonio_CM05836:UA:45447840:45450180:1 gene:DexiUA01G0022300 transcript:DexiUA01G0022300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEAGVNLGKQMEKEKEKAAADEGDEGTIERSHSINLNTVPPVAVGARSTQENVGTHGVGVSGAKDSITGKSEQSSDADQKKLPKCERVDYESEVEGCENPSDKAALVTVVGNEGHADFRDDERAQVLSIVKKDEPADEVDDPITPVAVAVAVAAYREEKGASAEISTVRPAGSRSSSFHGVTRCAWRVCPSNLKLLNGSKWRYWHRWSGKYEAHLWDSSCRVEGRRRKGKQGTHLFLAVLAGPFYLGSYDTEEKAARAYDVAALKYWGENTRLNFPISQYEKEQEDIRDLSREECVTYLRRHVINLAHNPFVLLLREMTTTGLLFLPAGGAAAFQEGLLFIEE >Dexi7A01G0002320.1:cds pep primary_assembly:Fonio_CM05836:7A:9652252:9652575:1 gene:Dexi7A01G0002320 transcript:Dexi7A01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAPRILSEKGGLPDSSPAATGMGRESTSGAPEGVGQRGKRHKSLDPTVVHDILLQLLLLGLRMAWGRGCIWTRESSSTRSMSAGIGGKRVPAGELRLAAVPSREN >Dexi9B01G0003920.1:cds pep primary_assembly:Fonio_CM05836:9B:2262736:2267875:1 gene:Dexi9B01G0003920 transcript:Dexi9B01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGDAASSGKRGAGQVCQICGDGVGTAADGDVFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPVHGEENEDVDADDVSDYNYPASGNQDQKQKIAERMLTWRTNSRGSDVGLAKYDSGEIGHAKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHQFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFAALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNSRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPVKKKKPGLFSSLCGGRKKTSKSKKKSSEKKKSHKHTDSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIYKCGINC >Dexi5A01G0036240.1:cds pep primary_assembly:Fonio_CM05836:5A:37867751:37868023:-1 gene:Dexi5A01G0036240 transcript:Dexi5A01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQEVRSMASPAGFGRHGGVQQKFVKEKFKEVDKVSRTGGGLRGRGSGGHFEARESKFEEDVNTRTGEFHERKENFAVRAD >Dexi9A01G0022040.1:cds pep primary_assembly:Fonio_CM05836:9A:16982527:16983422:-1 gene:Dexi9A01G0022040 transcript:Dexi9A01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPASAAEPVPAPPLPASPPRPLPLLLQPQPKGRSREEEEEAAAEEKRVILVAGVRIQEEDHDRVPAVASLGSAMDDVPKPEPQGAVARCSRNDGKRWRCKSAAAPGYLFCDRHIAWSSRQRKPRPKKHRKQQQQHGSGSVLGPTAAELEEDTAHEPGHGGGDDDEGVFGGGGFQKKRAKGAGPGPAA >Dexi9A01G0011650.1:cds pep primary_assembly:Fonio_CM05836:9A:7301252:7305119:-1 gene:Dexi9A01G0011650 transcript:Dexi9A01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLDLTLSSSFLRSCRLASTYLLPTTSRRHPGLLFSTRFCSAAPAASDVATDPAVAAVSDGHPWPEWRQFLKKLRDKGYFEQVMTPSSGVSAGEGAAGDGEAAAGNAVAAAADSAVASKDTYPFRDLNTVKNACIKFARDRFDLLSSLPKQDIEAIVKHGCPNTNRKPVNSAKRLREFVGVKEEDACGACKLRESCDRAYVTPKAEDQARTVNVVRILLQYAIDTNSLSGENSINESMQESARKLLSELIILSDTTINPSLPKPVFSTKLSDKSKAMAHGSVGSGRGTSATEMKKGDWLCTKCCYINFRRNRVCKKCNQDHPEDDSQDNQLELRNRRGGGKSRSFDYMNEDSDNDRDASSDKKFSSRKPAVASLKQRIAAKSRNVVDLEDGLHAVKLRSF >Dexi4A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:4A:19733697:19736512:-1 gene:Dexi4A01G0016210 transcript:Dexi4A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKAARFLVVALFVVTAVILPSSVCHGIRSAEDRVVLVNPTGLVLVAATPPTPQHPLEKRTYILEAIPNPMTRMTDEDGIAVAPPWRHCPA >Dexi8A01G0010310.1:cds pep primary_assembly:Fonio_CM05836:8A:17112376:17113880:-1 gene:Dexi8A01G0010310 transcript:Dexi8A01G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMLHVTPSCTSLPDGFSIPADQLHPATTSNVVTLPVIDLSGSRDDVCHAILQAGKEFGFFQVVNHRICEETLREMEAVCDEFFELPVEDKMHLYSDDKSKPNRLFSGSNYKTSSKMYWIDCLRLTHTIPIGDSKNNWPNKPQRLREVFENFIEQTRVLGMELLRMLCKSLGLPLGYFDGDLSGGDMVLGVNLYPPCPEPSRMLGLPPHCDRNLLTLVLSGAVQGMEVFYNGDWIKVEPMPNAFIVNFGLQIEVVANGILKSVEHRVVTNMSLARTSVVTTINATNDCLLGPAEELLSDSNPPRYRTIMCRDFVRIYTEWLEQCEGDMKHHMKPFKI >DexiUA01G0003280.1:cds pep primary_assembly:Fonio_CM05836:UA:6622596:6623541:-1 gene:DexiUA01G0003280 transcript:DexiUA01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKFSTGAPPTAPPAAAYQQQGMSMNPSRPGGGLRKWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCSCIASGCLYGLICASTGMGCLYSCFYRSKLRADYDLEEGECPDFLVHCCCEPLALCQEYRELKNHGFDLGIGWEANMDRQKRGVAGGAVMGAPAMPMGMIR >Dexi1B01G0003390.1:cds pep primary_assembly:Fonio_CM05836:1B:2670950:2677492:1 gene:Dexi1B01G0003390 transcript:Dexi1B01G0003390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRAQADLAVLEAVAAPDASRDDAAAGEAPAKKKKLAMERKKQRKELDKERHRQSAESDATKPQPPAAEAVEPVKPPPAPAAAGPGLHMNVFRDLASPEASVREAAAEALVGELRAVQKGYEKGARKGEKEAGDGDGPSQMEAEKDDGLDNCAPSVRYAIRRLIRGISSSREYARQGFALGLAVVLESIRSIRVEAIMKLIPNLLEYSSSMRGPEAKDNLLGRLFGFGAIVRSGRVSRQWTRDKSSPIVKDFVSEVVELGSKKRYLTEPAVAVILDLVRKLPDEAVLSEVLESPGIQDWFNRADDIGDPDALFLALKLQERTNVQKEIFGKLLPYPFTPDNFFAEQHLKSIASCFKSVTDEPSDQSQTTDDNSGIGATEEQGPFGQGNVDLLKIQGLFSASLGTEVTSFELQEKFKWPKNPISTSLRNECIQQLQFLLEDAQKDEALHVASEAKSNDLGYYFMRFINTVCNIPSVSLFRTLSSNDDNAFKKLLAIESMLFQEGKSSQQESEEDGSKESDDEEDSNEEVSLEFMDVLVQTFLSILPHASGPVFRVFCDDITDTGLLDMLRVVKIDLKGHRQTDSDDEDDGRVDIEDDDDETVMEDAEVGEIDDVADDSGEDTEDDSADEGDADQDDSKEAVSNEAKDGNKGVATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSESRQSQLMRFKLRVLTLLDIYLQRNPGQILVLDAYSFLMQAFVKSHGADGSEQFRQRIGGILQRRIFKGKEYPEGNGIEFGKLENLLERALRLASRSRYSAVASVAQNATFWILKIINLMNCSEEQLASVVDKFRSSLNDYDRKKSRLKLGFVKEVVRRNPWIGQELFGFVLQKVENTSAEYRRNQLLELVDCILKSWVGDASEVLMNHLAQLCELIQEILSNIPKNKSRRKEARNFCVGILQTVMKLNLKEQFQNALSPETHTLCQAQLGTAFAPFKKDSK >Dexi3B01G0008810.1:cds pep primary_assembly:Fonio_CM05836:3B:6087655:6088717:1 gene:Dexi3B01G0008810 transcript:Dexi3B01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRGEISDTFRIEDIEMPELAEFWVEVVWLGSRWWPSNNGVAATESFFAGSPVLSRAARAFRNAAVVAACKVAAEDAFHCFTTAGGVSKHKLDRSVSASTSMIGRTSLLRTRTAAGVYVAMESTVEEIRGRTDWKNAVIGGALAGAVMSAATAGRRSHRDKVVKDAIAGAAIAAAVEFIGHRIRVDLGTVRFGGTIRKGIGQTREEDQTGGEPCGERQGVDPWVMGRGEELPLQIRTTTDSEFHREE >Dexi1A01G0012490.1:cds pep primary_assembly:Fonio_CM05836:1A:12490536:12491436:1 gene:Dexi1A01G0012490 transcript:Dexi1A01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAAKANILLFLAAIIGFHVQTGDAANGCQLSDILILQENTGKVVEGQREYRVTIKKKCSCPQADVKVRCFGVNSVEPLDKSKIRPLDSDLCIITDGKPITKGTPVIFTYAFQTPQSFPVISAKPRC >Dexi5B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:5B:14203001:14203399:1 gene:Dexi5B01G0016270 transcript:Dexi5B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGQRSSEPTCGGALPSPAASATTWAAAFLLQEATGDSECDDLAGVVAFDADDGDAESCSGGDEDDCCVERIDERRIVSWECWMMESAGVVVVGGEAARPASTEAESAATVAGDEDSDRLFWETCIAHGY >Dexi2A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:2A:31952271:31953221:1 gene:Dexi2A01G0019820 transcript:Dexi2A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTKLVFDTPLLRVHDDGRVERFYVTETTPPGFDAVTKVASKDVVVDDGATGVFARLYIPDHFLAAEHKKKLPILLYFHGGGLVLDSAASPMYHRYLNSVSSKAAVLAVSVNYRLAPEHPLPAAYDDSWAALCWAASGADPWLSDHGDTGRVFLAGDSGGANIVHNIAMMAGARHGLPSGVCLEGAILSHPMFGGKEPADGEARETREIMENLWPLICPQSTGGLDDPRLNPMADGAPSLQNLACRKLLVCSAERDYARPRANAYYRAVKQSGWRGSVEWFESVGEEHVFFLHKPECDESLALMDRVVAFLAED >Dexi7B01G0000850.1:cds pep primary_assembly:Fonio_CM05836:7B:1672843:1681767:1 gene:Dexi7B01G0000850 transcript:Dexi7B01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPPAAKPRRRGAATKRKEKAASTALTASPPPKRKARERARVDPPPLPPPPPPPPAPRNRSASRKSRRKPARKKAARRSVNPPREQQEAVLVLPPPAAAPPRPSLEQEMQAVLSRGANVHVVPTFADFDLCSDCYSEAKFDEGMSKADFILMEYAEVPGSGGSSWTDQETLLLLEALEIFKGKEWDEIAEHVATKTKEQCMLYFLQMPNFDSFLDGEDFNKTPQKITEQDSAEAGAFDVPGEMDVDDNTEGKESTDEKIYKKASANSSETGTKLADQSVSSKEDTMNSGDNDLVSSSTLDASNKPLLMDPANKKNSADVNVSGEHASNFVIDVLRSTFEAVGHFQSKEELCSFAEVGNPVMALAAFLSSLVERDDAVTSCCSSLRAISERSPALQLATGHCFILPDPPSDLKDPASNFSPCIGGECQGGADGTRNVNDTNKDFSKREGSALALEKENATFTSQKERLELPNTKESFVEGPQAEVKSNSTKESDNQAAKVESSVAYDEMRDGYHTIPCSATSNNTNEPSSLASQAASAASTKYTTNPERVEGDKASSKELSDNDSPSERKVELEENEHVPVASSSMEQLEPNQTGNGNTEEPNSNKNIAVADDPIIRLQRAAGTVISATAVKAKFLAEQEQDHIRCLAELVIEKQFQKMETKMSFLAEVENMVHRSRELTEKMRKKLLLERNAIIASRMAAMASRTNQPGAPPATRLPVPVALVQQLRRP >Dexi4A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:4A:24848310:24850790:1 gene:Dexi4A01G0021220 transcript:Dexi4A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAALLQLFMAVVAILGCARCLASDTIAADSAISGNRTIVSRSGNFELGFFRPSGSSSSRSYYVGIWYKKAVSPCTPVWVANRATPVSDPASSQLAVAPDGNLVLADEAGKHVWSTNVSSTSSSAVAVLLDTGNLVLRRENSGEVLWQSSEHPTDTWLPGARLGLNKITGYAQALTSWKSSVDPAPGLFTLGIDLNDTKQYDTTWNGTISFWASGEWNGDVFTGIPEMMSHYGYNFEFVSDANGSYFTYALQDPTAISRMVMDVSGQVRQLMWVPSTDEWMIIWTEPHQLCDVYAVCGAFGVCSQKSDTFCTCPVGFRPSSEMDWEVGDHSHGCRRNNPLQCELRSTNRSTVNGGDAFLLASGISLPRNSTSSPAAQASSARDCGLACLKSCNCTAYSYGSSGCVLWYGGLLNLQRLVDDTSGMDDLYIRLSAMDVPSSSSEGRRNRTIVFVSIAAAVSSILALSAIVLSMLVRMFRRRKQRRMTFMQAASEGGNLVAFEYSDVRRATNNFSEKLGAGSFGSVYKGTLPGVGGAAVAVKKLEVAGGLLCVGDKQFRNEVRTIGVIQHVNLVRLRGFCSHGADRLLVYDHMPNGSLDKALFAVRGGFQIALGAARGLLYLHEGCRDCIIHCDVKPENILLDGDMAPKVADFGMAKLLARDFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLLEIISGKRNARSWTADEEQRMSDYFPLVAARKVSGGEALVELLDERLDGDVDERELDIACRDDEARRPTMEQVVQALEGVVAVDVPPIPTSLHALSESENAAFVLSIFDDL >Dexi6B01G0013060.1:cds pep primary_assembly:Fonio_CM05836:6B:20777678:20778768:-1 gene:Dexi6B01G0013060 transcript:Dexi6B01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAGRSSSLQKDRYSRVSPPSFLTSTTSTDGATVKMSAATARRPLGPPRPAPSNRTPRAPLLVTRIRPPPRAATSSRRETTPLADSRVANRMPPSRCCPLLAEEPPSTSNRGERSNPAEREMRWNAFSVSGGSSLAAPAQTGSAGWSSSVAALTGLATDDLVNHGISTSWAIVMRHPRDEPARIRGQPRRAPVVAAAHLLKHGGDVVLGEREGASEENVEDDPARPDVGLGAVVALVPKHLRRDVPRRAAERVQQPVGAGVVGERAESEVDDLEVPGVVDEQVLGLEVAVEHPPRVAEVDGGDELPEVAPRDVLPDAAGAHDAGEELPAADELERQVYLGARGHHLVELDDVRV >Dexi3A01G0021720.1:cds pep primary_assembly:Fonio_CM05836:3A:17316832:17319917:-1 gene:Dexi3A01G0021720 transcript:Dexi3A01G0021720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRGAKKRKRPEKPLPAPAPRLPLPPLPDGSDWWGVFYRRVAGHSSFPRECQTIESVLKMSRKTFDYICSLVKKDLTTKTYGFRNFRFGDKTILEVEDQVAVALMRLTTGESLQNIGIWFGMNHSAISNITWRFIESMEDRAISHLKWPSPEEMATIKARFEKIYGLPNCCGAIDTTHILMCSSAQPNSRVWLDNENKNSMVLQAVVDTDMRFRDIVSGWPGSMDDSCILRTSGLYRLCEKGVRLNGQMELPGGSSVREYIVGDSSYPLLPWLMTPYQGRGLNSAKAEFNKRHTAATTVVQTALATLKGRWRVIQGELWRPDKHRLPRIIFVCCLITNIIIDMDGIPSREMLISGNHDHGYKQQFSNVADDNAVKQRDDLSQHVTAGEQTP >Dexi1B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:1B:18516636:18523808:1 gene:Dexi1B01G0013140 transcript:Dexi1B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSSKDIEDLKSVLLDPFIIRAATQNFAEGNKLGEGGFGQVYKGLMPDGQEIAVKRLARGSKQDDEKRGELTWEIREKKQCVLIGNHDGSASIKLCMGKLD >Dexi9B01G0016620.1:cds pep primary_assembly:Fonio_CM05836:9B:11447288:11450769:-1 gene:Dexi9B01G0016620 transcript:Dexi9B01G0016620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAPMASANLTASLCKKSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFERHAHRGGGAEPLRRVLCDAHAAELSRLGYCSAHRRLADAGDMCEDCAAAAAPGKALLSWMGRSELGERDLACACCGVALESGFYSPPFLLPTPAPCVSDCGHKEVEETSRPNGDVVFVSEEGPVIELFDEKPLLGDDSIGVLAEGAEIVANVERLVPLESIDELAVDMAAVLSQSGGERKESVDHVRLNDVITENMVNANEGEIVMTSDDDKQDGVIDRLIDEQIADVALVPACMEGTFDDGINAGETVESFADQQSPEEEDGLKDKDMKISIEDDQVEQVTLQQELYTMKRDPSDHEFIEKLDRSIEVEHFQQAEIKQKLNSMPMVASVHVAVTQPEEKHVQQAEVNQELNSIPIHPREYSGEEIEGERTAQAGLEQECNFVLTDFGEHACMTSYACTEDEQAAISKNDEQAEMMQKVTSVMSDVPEYAVDTFNDDTNACKEDMEEDPIEATLTSIHQISYEPLTSLDKFPHDHSVIEDEGEPLTPTHIENICDSQELLDSKAAVSDAKSVDSSVATISTDLESTEFVSIDQLKSALASARKSLNSLYAELENERNAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKREKEKQDLERELELYRHKVHLYEAKVRKASRHKVDEQNGSSSASSSAEDSDDLSQSFYEGDESAHGLSGSNGSIHTDVVLQETARHLVTLDGSLADFEEERLSILEQLKVLEDKLFDLDDEESDNMKTDKHFSEENHLSGASNDFSDDDSCFKLHDKRKGVTCRGKKLLPLFDDATVEARNILLTKQGDEVDHSTEVTLDLAREQDKLAVANEIDQVQERLHALEADREYIKQCVRSLKKGGKGFDLLQEILHHLRDLRRNSGELSPHYEHLYMD >Dexi9A01G0032210.1:cds pep primary_assembly:Fonio_CM05836:9A:37123659:37125999:1 gene:Dexi9A01G0032210 transcript:Dexi9A01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLLLIALATLAAAVVLRHFLPLLRNPGLPKGSFGWPLIGETIAFLRPHPSNTTGGFLHDRIARYGTVFKSHLFGAPTVVSCDEELNHFVLHNEERLFQCSYPGPIRTILGDSSLLVVTGERHRQIRAMFLALVASTGLRPAYVASVSESACSVVASWRGRDTVTFCEEARKFPYKVIMEQVLGLSPDEPVARRILKDYEIFMKGLVSFPITIPGTPFARGMKARKRISDTMEAFIKEREKNGSSKQGVFLEVLLANKDLSHDDKVAFLLDALLAGHETTSVLLSILIYFLGKAPNIIEQLKREHESIRSSKGKEEPLTPEDYRKMDYTQRVINEALRCGNIVKLVHRKALKDISFKGYVIPAGWKVLPIMGAVHLDPSHHVDPEQFNPCRWEGLNQTNAKSFTPFGGGARLCPGSEIVKVEAAFFLHHLVLNYRWKVDGEDAPMLHQYVEFKRGLPIKLEPL >Dexi9A01G0021450.1:cds pep primary_assembly:Fonio_CM05836:9A:16317905:16318673:1 gene:Dexi9A01G0021450 transcript:Dexi9A01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFAMASSRMSAAATPASTSLPITLTASASRSGPLPRAPSEAGACATAFLLPPPPRPPPPTALPPRAPCALPLEEGGGGTRSSVEGSARGTDVAGGQAVGGSPSLAGAGWVHKQKKNLGGAEQAHFYNIPS >Dexi9B01G0014450.1:cds pep primary_assembly:Fonio_CM05836:9B:9655663:9658246:-1 gene:Dexi9B01G0014450 transcript:Dexi9B01G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAYKNQAQALMRDYLLADPLVPYTSVLIGIILCKMAYDFTRILSSFYFKGYTSLTKIQRIEWNNRGMSSAHAIFITAVSLYLVASTDLFSDRIKGPVTFRNSIISTSALGVSVGYFITDLAMIFWLYPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINMRWFLDTAGLKKSSAYLVNGILMFVAWLIMQMHAFGYYLTFLVPSVLFVMNTMWFMKILKGVMKTLSKWP >Dexi5A01G0031590.1:cds pep primary_assembly:Fonio_CM05836:5A:34210237:34211375:-1 gene:Dexi5A01G0031590 transcript:Dexi5A01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFDAAPGATIAIGGAAHEAEKVNRLAEEPGVAIDAEHGVVGDHARRHAGAAHGPEEPVRLAREVELPVRVQHDVEHGEVRLDARHGAHVREERHGGEVPAAARQRGEEGGVGLGVGSDAVGGHVVEQQLLGVAEEAGLAVRGDGGVVGLEVRPDPRAAQPREERERLGPVAAAEGEVDEVGEEDEVRGHGVVLHERQEGERVVQEARPGERREERGVGEGVGGDAPVPHLEEEPRGEAEVAGAAGGANEQVVGDEERGGREVRREEVEQRDAAARVAEAGEEGAEEATGDGTVRGERGGQVRHRPRGGERRHEGGDVDGAVLVLALLELGGGLVAVVGGGEVRVQPDGFRCRDAALGLGGQRGGCGRGHRRG >Dexi9B01G0010640.1:cds pep primary_assembly:Fonio_CM05836:9B:6702264:6703772:-1 gene:Dexi9B01G0010640 transcript:Dexi9B01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIIGSHHHSMMASRVAMVAHLLFLTTAVLMLVWLLHYRGGINIQSEEPEQIFNVHPFVMSWGFILLIGEAILAYSTIPMDHRTQKMAHMMIHLVGLILGIFGVYAAFKFHAVAVAPDLTSLHSWLGITAIALFGLQWLFGFVTFWLPAAHERTRAAAAPAHVMAGLAIFMLAVCAAQTGLVQKSAGAASASEMKLINVTGIFILLYGVAVASAVAMRKAFL >Dexi7A01G0019140.1:cds pep primary_assembly:Fonio_CM05836:7A:28434131:28436195:-1 gene:Dexi7A01G0019140 transcript:Dexi7A01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKDCLEATVLEAKVGGTLRQAPRIGTIPCGSINCAVLACCIVPYPVVHGAPCCAAAHASSKWSPLHRQSPERSCSLVVPPIMVELTVPKEWNRFTSRLDTTPPSLGRCAGSHPRHLKRYGRIARETELNLPLPARTNDLTHSPIGEEKAYPKTMDDRDINTALSETEVTAIRIDHKTLQSLHSPQLVTPNRKRHPPASLGSERLGTLTLAPRAVKTFPVGQTRNSSPIGGVRTHPVPLTQIPHRGRQQLAGTPPTKASWCVRYSDHEVGQQGLMCLYGCHRPKSVSTTVVRNSYHLRHNTGLTQKLAPGSPKA >Dexi7B01G0016860.1:cds pep primary_assembly:Fonio_CM05836:7B:22902789:22905773:1 gene:Dexi7B01G0016860 transcript:Dexi7B01G0016860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEKRPPRSLFELPSEFFDSSVLLRAHPSTAPSAAEPHEPSRPPPTTQQQQQPSESAGFRWTCNTCAAEFESLLEQREHFTSDLHRLNVKLSIAGKTIIKEEDLDKADSDSVFDDLEISSVSGSEDELENGPASERGLSVKGKEEFRKKLYFRCQSGDSISIWRCILLKEHEEPFIDCKSGLMESASCVQEDEMINRVKRLTCEPRDASHLRIVLLTSGGHFAGCVFDGNSIVAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIFSWKSYFDTCVCAFIYAPSKNRQMLFDGDKTQSVIQACDIRSVTLTVHRPTLKEAKRVYSNLTQLHYEMECSTVDETLSHGENVTSVQQSEGKKKEVAVDSEESISELSVSLELLNKNEEATIKSSKIVTTPLHEAAKSGNAQLTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARVKELKKLKKAREKEEKEKEKEKAKVSIDF >Dexi5B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:5B:12509055:12521546:-1 gene:Dexi5B01G0015340 transcript:Dexi5B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQERRDAEAGGDAAAAAVASHASNMQRVKVYRLADGGKWDDQGTGHVSIEYIEGSKELGLTVLDEEDNETLLVHNITSDDIYRKQEETIISWRDHEAATDLALSFQEVAGCSYIWEHICDIQRNLQFSNLGALEVGPRQASESLEASRIMHSNDDSFRSANGEFRELPPVELSNLPFILKTVLEGGITDQIRVAELITQDRDFFPKLVDMFRMCEDLENLDDLHMIFKLVKGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVPRVQRHRAFLKDHVVFKEAIHIENVSVVSKIHQTYRIGYLKDVILPRILDDATLASLNTMIHTNNASVSVISLLKDDALFIRQLFARMRSSDISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSHDRKIVSAGTDILILFLNQDPNLLRSYIVQQEGNSLLGLLDEHLIRHVVKFNLLKPIIDVFVENGDKYNMLHSGVLELLEYIRKENMKALVIYVIESFWDQLAKFERFGSIQAFKLKYQQYLESAEPRLSASVPDMRKKAEQRGLEKEEEDYFNEDSDEEDSGSGRRAKHAQNQHSKSKVPNGSEADGAESASRPKSAGLVDYDDDDDEDFNPPPKEPARPSEDDVPLNITPVKRKPVNVVDGKHADGEGRKRQKIETRISCSKIAAVTSTSSKHPDLQNKHAPHSPTSSTSSAETNGVLREHGTNSEEHQHSVEIAEAPRQTGGDCIKDVGSMSTEKAVNTTNSSDSEPYSVR >Dexi9A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:9A:1373478:1373840:-1 gene:Dexi9A01G0002570 transcript:Dexi9A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVASVPAGRTAGVAPWPYLEYMARWERQVERRQLFLRSYHFSRDADAPRSPRARARRVVWAGLRRLRRAAATGLRRLRARLRLCFGWATRRRTRNFRYGRLSAGGKARAAPASVCFW >Dexi5B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:5B:2656481:2656972:1 gene:Dexi5B01G0003880 transcript:Dexi5B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMFGLETPLMAALQHLLDVPDGDAGAGGDKAGAAGSGPTRTYVRDARAMAATPADVKELPGAYSFVVDMPGLGTGDIKVQVEDERVLVISGERRREEREDAKYLRMERRMGKFMRKFVLPDNADMDKISAVCKDGVLTVTVEKLPPPEPKKPKTIEVKIA >Dexi5A01G0037880.1:cds pep primary_assembly:Fonio_CM05836:5A:39046068:39048288:1 gene:Dexi5A01G0037880 transcript:Dexi5A01G0037880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSLLAAIRRRHTGEESRLDGGGKHFILVHGLCHGAWSWYKVATLLRAAGHRVTALDLAAAGAHPARLDEVRSFEEYSRPLLDAVAAAGDGEGLILVGHSHGGLSIALAMERFPRKVAAAVFVDAAMPWVGKHMGVTTEGFMRRAASKGLLMDCQMVPIKSSSDKDKQWSCHGDGSKDLTLAKLLVRPGNQFLDDPAMKDEALLTASNYGSVRKVFVAAKADGSSTEEMTRWIVGTNPGTEVEKIAGADHFVMNSKPRELCDVLLRIANKYD >Dexi9B01G0013610.1:cds pep primary_assembly:Fonio_CM05836:9B:9123063:9124129:1 gene:Dexi9B01G0013610 transcript:Dexi9B01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACIDAPADAGVARHHAAAQPTRKRMRVAMCTTDDYEEEEEGGFLGEGGFGDVVRARHRATGQPVAIKRLRAGDDQTALLLESLLLKAASAGNPFVVGSHGLARDPSTLGLCLVMECGGTSLDDAMRVAPPQSEATVRAAMWQLLTGAKKMHDAHIMHRDIKPENILVGDDDQVLRFCDFGLAVYMAEPPPYSQAGTLGYMAPEVLLGKTDYDALVDTWSLGCVMAELINGGSPLFEGVDCPHQLCDIFKLLGVPDEKAWPWFASTPFANKMAGADKHSHLREMFPEETLSKAGFEVLSGLLTPNPDKRLTAAAALRHPWFSGVVASAAQSGSGPLKEEVSSV >Dexi9A01G0024730.1:cds pep primary_assembly:Fonio_CM05836:9A:21408228:21413354:1 gene:Dexi9A01G0024730 transcript:Dexi9A01G0024730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNQIGGLGTPGALSHAYVQHPPLRCDIPDIPGLFYDDANKFLVAPTADRILYWKIVPSLPSGPPNSDPVNDGPVLSVRYSLDHKVIGIQRSRHEIEFRNRETGETCSKKCRADSETILGFFWTDCPTCDVILVKTSGLDLLAYDPQSHAFRLVESKKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKSEANNKPVLAADDVHIVTVYGRIYCLQLDRVSMTLNLYRFYRDAVVQQAIAASSSDAPSVLEFLQRRKSDPSMVKTICLAIARTIILERRPVSTVAKAMDVLLDSYSRLMKMGGGLPGVRRTHEQNQQLGGQPVEGSPVISQETSPGTTVSPVNPDQAGGVTNRSAQSNSGVDHGADRVTLNTSSDSDEITNISGASSQGTSGYRTSDAVNKRQQVVGEDSRPLSSGTSMQHGQHAGSVAISPVEMFQSVFAVVEDEMMGDPAYLAAVIMEFLRSASKAGLKAPSNLYVMMATLLARSNRYAEIALFVSNKIMEPSKELAVQLMEIGQQHPPTRKLGLDMLRERGLHHDYVAALLQDGYFLEALRYARKYKVITVQPALFLEKAVANNSAQNLAAVLSFFCEFTPSFKSTSDFGRYRHILSEMV >Dexi7A01G0002800.1:cds pep primary_assembly:Fonio_CM05836:7A:11599153:11600489:1 gene:Dexi7A01G0002800 transcript:Dexi7A01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDDYLDVATALAEFHQSGGGVRWLVESGITKVPRLFLLPDTPQPPAPSPAAADDFAIPTVDLSLPRSVTVALIGAAARSCGFFHVTNHGVPAATIAAAISAARAFHELPLHERSAFYSVTPVSTVAYSTNPHPPGLPNFRALPWRDTLSLCFLPPEHDLVGLPAASREALREYHRSLVELGKVMVALLSEALGVGAERLEEAMEVEARLMGCHYYPPCPEPARVVGGRKHTDPSLFTVLVQDGVGGLQVRRRGHDAAGGEGEWVDVAPVTGALLINIGDVLKVVSNEEFRSVEHRVTVKSTQVARVSIALFFNPAKCDESDVFGPLPELVTAERPARYQKIIFPEFMNFRRLSGHDGTS >Dexi3A01G0012700.1:cds pep primary_assembly:Fonio_CM05836:3A:9236269:9240134:1 gene:Dexi3A01G0012700 transcript:Dexi3A01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAVAEPVSVEDLFTSLHRHIQDKKFAQAVSVADQVLKAAPGDEDAVRCKVVAHIKAYKIGEALAAVRAAERLPVDLSYYKINIIAALVAAGRASEVQAALKAQNVDLTTRALRDAHSFELAYNSACSLIENKKYSEAREQLDLSKRIGKEELMVEDYSENDIEYELAPVSAQLAYVQQLQGQSQEAMQTYVNMINNKSADPSSLAVATTNLISLRGTKDVEDSLEKLDRLIEKSTAPNHLQLIENLDIKLSPRQKEALYAARVLLLLHTNKTDQAQDLVSGLLGMFRDRVSTGLLQAAVHVKEKKVQEAEEALSQYAEKHPENSTEILLALAQIAAKANNFKLAADSLSKIPNIQHMPATVATLVALKERLGDSKSAASVLDSAIQWWKNSITEDNKLDVFMREAAGFKLSHGRDEEACLLYEELVKSGNIEALAGLVATSARTNIVKAEQYEKKLKPLAGLKGVDVEALEKTSGAKHVEEPQDMKVDIPEEAKKQKAKKRKQKPRYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKKAQVRGAQGAVTRETAATNAVGPSKGSQTISSLKTPAANTDQPKASNKSRKKSRS >Dexi6A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:6A:20436231:20436567:-1 gene:Dexi6A01G0013370 transcript:Dexi6A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVARRREQRRCGERHGPSSRSPPWRLLLEPASNGEAGIPSQRRDPCLSSLLPPPLFHALPLELAMEEEEIRHACLLLELGGLELLLELALEEEVAASC >Dexi6A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:6A:15089537:15096647:1 gene:Dexi6A01G0010860 transcript:Dexi6A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLENMKSLRSYMNDLEEDAVKRSAEEQQQRTAIDTHDTDIALVRAQAKQAREEAEQLVTARAQVCMDLAENQGRIATLDVECATLKQISNFISTLTPLETLELLHQEIASTSAKLNEKRLFYTKTAETLAVKLQEQQEWLGSLKTNSTTMEPHVATTQSKQTFIEALVVLSETNGCGFTLQVKQILEPEKNIFAGFPAALLQMDMKSLGEEYKALQGDKAGEIEYFHSLEETIIGMKGVSEPVRCRCGLEYKVELAGEAMDLS >Dexi3B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:3B:13248427:13253793:1 gene:Dexi3B01G0017940 transcript:Dexi3B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMRKVEDPTDPDDNSKEYENHQKVRACDLAARPPPPTLSTEHASLSDPFMQIKASPHSSCAPRPSLVVLVPRRLAWSGDPSEFRLVSSFAGPNVSLYVQLQDETTLVWYSHSKEKYLVLSSVCRIIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLETLITSTPGRKSYSTDGPSDRLSVSEVACGHTMTIALATSGHVFTMGSSSNGQLGNPKSDETSSNNSYSKRNVIARRSVDSKDKSERPEIRPSRLATGSPAEQLKQAETKSVRNEIKPDPMSMMKAPQVPSMLPFNGLAFGGTFGPTSMKPMTMAAAMPMAMPMSPSPLTKKPHPPATTPLCGKSDTDNLKRTKDVLNEDISKLQSQVNKLKQKCDAQEEQLQKTERRAENSASLAAEESSRRNRVLEFIKFLDNELKSIADRVPSEAADSLKALQNHSEIFLSGQGIHPPDITNASGNARAHQRSASMGNLMLAQDGSSGNASSSVTSLTSESPCHRIMENSLRANGDFAPKHGTHGEVQLIEQFEPGVYVTLIQLRDGTNVFKRVRFR >Dexi2A01G0028320.1:cds pep primary_assembly:Fonio_CM05836:2A:39601171:39601839:1 gene:Dexi2A01G0028320 transcript:Dexi2A01G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTFQLSAKTAAAASRRVSPRAAAAQGHRTPLLGSGAARRGLGWLRPSRLSRVVPASESGRVGPTCWFKFGNKDAEGAGIYGSQARDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDRPKIEELLRAGAKYDVKDVDGRTALDRASDETREFILGFAATKA >Dexi3A01G0025310.1:cds pep primary_assembly:Fonio_CM05836:3A:20985303:20988677:-1 gene:Dexi3A01G0025310 transcript:Dexi3A01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASAPSSLFAPAAAASTAPAAAHNALLFPSSVPSLRAYPRLLLAFRRPAAAAVADPQGAVLEAEEEVVVDQGGRYDDDDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEYDVPTAITLMKQMASAKFKESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQTVKIAAIEEFKQGKVEYRVDKSGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSN >Dexi5A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:5A:14564904:14567663:-1 gene:Dexi5A01G0016220 transcript:Dexi5A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLGGAACCCFGFTQLHPATVRLRVPPARAADTSPSPSQSPARLRAVLEQVDEALSKGNDEAALSLVRESQGEDGGLRGFGAARQVYFGGGVRNLVLDTIGHYLSKKYHNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTLVNQGSLNVQAGTAFVDYEFLEEVSSVLTFEKKELTVIFL >Dexi7A01G0002830.1:cds pep primary_assembly:Fonio_CM05836:7A:11620240:11620997:1 gene:Dexi7A01G0002830 transcript:Dexi7A01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGASSSSKVLMTTADACDMPAVSWMKKLSMTSSGRAGDPVDDGVVEVAVGELGRVAERDEAAVDEVAVVVVGDEAARAGRPERGAAEAEVTRGAEREGHGAVGDDAGDTWPVGVSVEGGEEVLEAGEAGGHGGVAEGGDVLGGVGVGAGEAVVDGGEAEARRAVERVGPPRAEVAAVVELVVDEGDVEATGVEELGELQHRRDVALRWVWDHHRVRRRRLALRRWQRPHDD >Dexi9B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:9B:999533:1002112:1 gene:Dexi9B01G0001750 transcript:Dexi9B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGQNRGDLALLCGLALLLLPLLSHGADMPLGSTLSPTNGGSWSSPNNTFSLSFTASSTSPSLSVAAITYAGGVPVWSAGASAAVDSGGSLRLSSTGDLQLVNGSGAVLWSSNTGGKGVSAAAVQESGNLVLKNSNGTTVWQSFDHPTDTVVMSQNFTSGMNLTSAPYVFSVDKNTGNLTLRWSLGGTTVTYFNKGYNTTFTGNKTLSSPTLTMQTNGIVSLTDGQLSSPVVVAYSSNYGESGDMLRFVRLDGDGNFRAYSVARGSNSETEQWSAVADQCQVFGYCGNMGVCSYNGTSPVCGCPSLNFELTNASNPRGGCTRKVDLANCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGTSCVASTALSDGSGLCYLKVSSFVGAYQSAALPSTSFVKVCFPLMPNPTPGSTSASTRGGGGVRGWVVAVVVLAVVSGLVLCEWALWWFFCRHSPKYGPASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTTVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFSGAGGEAKTMPWATRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDDQFNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLEIVSGHRNFDVSEETGRKKFSVWAYEEYEKGNVMGIIDRKLIGEDVDMAQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSTTTGTTTGSASGVSTSMVSTVASPAPIAAPTTTPNLEQEMTLNRSASSRNRERVSRQLLSPQPYMTM >Dexi7B01G0023850.1:cds pep primary_assembly:Fonio_CM05836:7B:28343351:28345128:1 gene:Dexi7B01G0023850 transcript:Dexi7B01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPSAELPATSGGGGANGLPTLPDFMGRKSKYVRMNDVLPSEQEGEDDGGVRVRERQSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHISEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAVVFQAGAAVMTFAPSFRVLMIGRLLAGIGIGFGVMIAPVYIAEISPAAFRGSFTSFPEIFINLGILLGYISNYAFSGLPDHINWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEARAVLLKVTDSEDEAKERLAEIEAAAAATNAGKYGDKTVWQELSRPSPVIARMLVTGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLAATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTIGMTVCLVVLSAALFLLAHGQVSRGVGIAIAILTVCGDVAFFSVGIGPICWVVSSEIFPLRLRAQAAALGAVANRVTSGAVAMSFLSICRAISVAGAFSAFAAISALSVVFVHRFVPETSGKTLEQIKSLFGGGGDGEVVGELELGDVEQLVHKG >Dexi9A01G0016640.1:cds pep primary_assembly:Fonio_CM05836:9A:11661869:11666034:-1 gene:Dexi9A01G0016640 transcript:Dexi9A01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALVYGTRDSGTPGPSDLGRRRGQDRDWKRNPNPQTLDEGDPTGPEMAASSVEKLKALWDSQVNDEEQWALNYVLLWFESVAPVAIVLVLRDLNSCDDDLPLQRIDSTARLPLYHVCAELWALPLVHKEAKRERTGDADLARLTDRSETPGTVRPPRALPPFSSDHTCRRKQLQIGNSTETESSLPLGTLPRPSKLSLLREERQEEKPREHHGFLL >Dexi1A01G0023870.1:cds pep primary_assembly:Fonio_CM05836:1A:30483151:30484759:-1 gene:Dexi1A01G0023870 transcript:Dexi1A01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPNNAAGLTYAARDFAIREGYGGGGDGGGGGGDPFEGFPDAVLGLIVSKLPFRSAVAASAISRRWRGAVAAAPALDIDFAAAFPAAPRRRAAFAAAATAALAPRSATPPPHPLYRLRLALEGLFDQAFAASAADHLASWLAAAAARGVERLELRLPRSRLAVLPPSLLACTGLTSLTLRLDHYALPLPSLTPLARLSRLHLASVSLNGDDDFFGDLFSNCQELRYLVLEKCNIVALRLVGPSRLCSLAITDCSWKQESSLAVFEMPELRTLRYSGAMATKHVIDGDINLDEVLLAIEKPQTKPREATLRELLTLVGNVRSLLLSPWCIEQFARAEEWSNVRLDRVRRLACIIERREEGALSIAPLLSNCLNVEQLRVSVVPSQGKWRRCSDGECHGVLRNKGVALKRLKGVRMQYIDESKSGLELVKVLLKNAPALETMNIVPSMDGLEQAKFRRRVFKFRKSSRTASIQFCPAG >Dexi1A01G0026740.1:cds pep primary_assembly:Fonio_CM05836:1A:32582380:32583011:-1 gene:Dexi1A01G0026740 transcript:Dexi1A01G0026740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDVVYVKQHESSALSPSEIKEHLDSLGDQLFTGACAMPPLRGKSKDKCKMPEAFNVFDAQVAQQRLQAGITTLVSSKEGVTVIYSKRGGNTTVGSHSEWLLTVPAMPDVINVKAVPITSLIKGVAGAGYLSHAINLYLRCKN >Dexi5B01G0000340.1:cds pep primary_assembly:Fonio_CM05836:5B:242531:243486:-1 gene:Dexi5B01G0000340 transcript:Dexi5B01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSGGRRPYPAMYSSVVGGIILEPAMMVVPVDDHMVHRGHGVFDTAMLLDGCLYELDAHLERFLRSAAKARIDTAPFPCDALRRILVQMTAASGCRKGSLRYWLSAGPGDFLLSSKGCPSPAFYAVVIAADYDQCRDGVRAVTSSVPMKPPLFATMKNVNYLPNVLSIMDAEDRGAWASVWVDDQGNVAEGPMVNVAFVTPGRELVLPAFDKILGGCTAKRLLALAPRLVDSGLLTGVATRDIAVDEAKRSLEMAFVGSGLPVLPVVQWDAEPVGEGKVGSLMLALSDLLWEDMKSGPDRVAVPYNN >Dexi9B01G0004420.1:cds pep primary_assembly:Fonio_CM05836:9B:2518022:2519844:-1 gene:Dexi9B01G0004420 transcript:Dexi9B01G0004420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPWRPLASPCRGRDILLLVPALLLLSTVAHSVEDLTRALSVGKELVGETMPLRHGRRVYRIDGLRPSAWYEVKISYPASIPSSFSIRLVDGPDDADWSSTNRRLLNTEKIIFKAEGRSQVYVLVTVEPEGVVAKPNVQERELALFNIVCDELALGIPLFAWWVGIAAIISIVLASLAPLVLPLHKVLNFEGSDLSKTDTAKMS >Dexi9A01G0049040.1:cds pep primary_assembly:Fonio_CM05836:9A:51757259:51769864:1 gene:Dexi9A01G0049040 transcript:Dexi9A01G0049040.1 gene_biotype:protein_coding transcript_biotype:protein_coding GELQTVSWFQFLPIEPDPSTTKERSSRAEQKDALNNIVLSAYLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGRHSSISEIAQTTVNKLRVSSNGLWVAPGNSEEVAAALSQALRNSLERRAQPTVEFIFAATEEAIFVHVIISARYMRNLCSDDIEKVLTHSPRSVGEGLPVVVAPSGMLGRLVGCCPSDLVRQVYQSKSSAPNLPGFTQPTVCQLRGQSYYVEVALGFPAASADKVSESEHIQIKKEMDSVKDSQSGADGLRKAESPDSLPVLERTYIYPPEAVLVPMVHQAFVRFSSKSSLLVSSRGLGVNSNFLRLRRKKNKFNSTASSISSVSSTSDGSERAVATEGDLLADADSMACRQSDMPPDKDNAGSKMVSKRPRSEIEEVSFHAGKDVSENVQGANGQVGRPWGWDDEGVEMDINILLSEFGDFSEFFQPEELDFGEPPGTAESHALVIPASDCGDVTFTDSPSTAMDIPEQRLSPVGFTSLDAFEPQIMAPAQDAISKVQEAQKDIATPAQSQSLVLSSGRFDYLTKAEAMLTFAPEYAAVEISVAEVPASLFTNPYLPRSKRPGSSSFSSRVYSYDVTQSSQIESVGDKAKKSSKLTSGNSLRDVDSSNLYTLVQGGKKESDKSLNSTDIQPSKGETSPPVSGVTSFCSSLVSQKKNDSMFNAGYFLLSMKTALATEIECITFQAAMCRIRHTLLSLRSKASAEFDNTTSSFMQTDVSNKSDIAPIRKKEIMPIRLSGDVEHETHDRSLMESVGVWRPVVTPKGANSLESLSAKTLTGASPSLSMQRQPVVDLLFAMALLVQQSTSFVDISLDMNDGDGSFFWLSMDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLCAEVSESSMFSLLQSDIRTALKAAFANLDGPLSVIDWCRGRCNPAESGAIGDAYSFQYSSGDIRELPSSMSIGGDSMSPPQPTSSNREETVISQEIECQCRHFRAGASKGISPCQTNHRCPPFTFYACWVVYQDDWLKASVNSLKTWEKAPFEPYASPKPVTYYALCPDIDMLTSAATDFFSQLGTVYEVCKLGTHSPQNSGGQMELSPGKYLPSGLVLVECPDQVKKFGSGHLSPISSINDCLQVFSKHWSVKSFVTSVSRILRDIKLTSSISTNQKESSSGPCTVIYVVCPFPEPCAILQTLVECSVALGYVISSPERERKSLYFQAAKAQNSSASADEASASNVVMLSGFSIPKVVLQIVSIETILRIDKPSNELAVLKDIAFTVYNKARRIPRAVSTSDMFQSPSYLGRSQSTMMHVTSPAPTLWKECLVPRMSGPTLSRETDFDAPMRSATWDNSWQSGRAGGLLDPSKIPDLCAQDDRKYAFEPLFILSDPGSVDPNALTESSKSGADAGGSGFYGSVSGGTADSGVNPLLDGSENDRAASLHCCYGWTEDWRWLVCIWTDARGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQGCQIISSSPEASNMRPRDVIITRIGGFLELEIQGEGNQGSGGPSNYLEGFTPVKSIGSMAASHAYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGSAIPLAMGYVVSKAVPPVRRDSAQLTREDRPSVLSVSIIDHYGGSIGTAQEKISRVTGGSSMNKQARSFTQETSTRDLETEMHNVLETVAAELHSLSWLTVSPVYTERRTALPFHCDMVIRLRRLLHYADRHLTQPTEKVETA >Dexi8A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:8A:4346291:4346964:-1 gene:Dexi8A01G0004940 transcript:Dexi8A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSHGGSSIGTGDDSSVADPNTIAESRTSTGLSLRVSFAPAPPASSFLYYDWTESSPDDEEEEDEEEEEEDEEDDEEEDDNRTTITTTRTYHFVYIAGATGPPSLSLLPASNFMMQEGNTGILRRGENDLRVVHIQVKYDRDARRDMAQFCVLCHGTSEWELNEPVPIVDDEAGEGYKSRRSGKSVIPIGDRFLC >Dexi7A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:7A:27452358:27454568:1 gene:Dexi7A01G0017890 transcript:Dexi7A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLSTVQAPGLLGARGVAASSPVSSPARRHAHIQVCCKGNVEGLEAAGHEEHLRLRRRDFIGGCVGTAIGLEMIEGSTKFTGVATAADLIERRQRSEFQSSIKDTLYKAIKAKPELVPSLLTLALNDAITYDKATKTGGANGSIRLAQSALKKSFLDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDRTFGRADTQEADPEGRVPVWSKASVQEMKDRFVAVGLGPRQLAVMSAFLGPDQAATEERLIADPDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLGSLGQKINYEAYTYPKQKIDLGKLKL >Dexi8B01G0011410.1:cds pep primary_assembly:Fonio_CM05836:8B:20462542:20464338:1 gene:Dexi8B01G0011410 transcript:Dexi8B01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLVPFAQSADIDGSTMAPSSKANDDIEQEQLVCVTGAGGFIGSWVVRELLLHGYRVRGTARDPGEAQPEHTLELEGAKEKLSLLRADLMDPESLHTAFRGCHGIFHVASPVSNDPEFMPVAVDGTRNVMSAAAAEGVRRVVVTSSYGAAHMDPNRSPNDNWYCIAKMMAEMTAKEEATRLGLELAVVLPCVTMGPMLQKDLNSSNNHVGRYLMGTKRAYPNAVALYVDVRDVARAHVLAYEAPDGAAGGGRYLCASVVLHRAQLVGMLRDLFPQCEDDINPRARPYKFSNQRLKDLGLEFTPLEKSLYEAVICMQQKGHLPVMAKQQPLANL >Dexi6A01G0013170.1:cds pep primary_assembly:Fonio_CM05836:6A:20193087:20193485:1 gene:Dexi6A01G0013170 transcript:Dexi6A01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKESAEPTTTMLEAPIHAKRGPGHRRNEGDTRGGGEDARESGESGRRRRGGGGKRRRGARVYGEGELAVESRGGGGGGGGEGDEGKEARETAESATAAELYEMDRQTAERRGFSGSA >Dexi5B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:5B:12261225:12262194:-1 gene:Dexi5B01G0015260 transcript:Dexi5B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREIDIYNVYAPKCDTDGSASSSSSDNSVEKSKSKRLRMYSGHDPCYSNYIEAYFNKMDVQKSLHANFSGWIKDRRWSLCSGDVDGRVPFIGSRYWVDALGLPMKSQWQSWAYNGHR >Dexi5B01G0024570.1:cds pep primary_assembly:Fonio_CM05836:5B:26610151:26610865:1 gene:Dexi5B01G0024570 transcript:Dexi5B01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNLCMYVIVAAVGGWAINHAINYGFFIGSGLQLPAHFSPIYFPIGNAATGFFVIFAVIAGVVGAAAALAGLQHVRAWSSESLPAAASSGFIAWTLTLLAMGLAVKEIELHGRNARLICMESFTIILSATQLFYLLAIHGGAR >Dexi2B01G0005050.1:cds pep primary_assembly:Fonio_CM05836:2B:4749043:4750066:1 gene:Dexi2B01G0005050 transcript:Dexi2B01G0005050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRRRHLAPNDDDDALVEVVPAADKPRRPLRGDLSRTSRFFISTAQREVHGGFLHRTSLPPTLQGFFSSTFADENVDEPDADEPPPPPPTEAADEAVLPLQRYGHFAHLLSTSAAPLVDVDPTFSFLTTSLPAADYVHLIHSCNGLLLFGHVEDFLNNLDTLETSFIVCNPATKEWVQVASCYAIDALRLPKGSAILHAYLLFEPAVSSHFHIVMFVPVSRGERSSTLAQAYSSETEEWRTNDDWFAPLERWRGHACNAHNSVDFDSPGAVVDGMLYLIYARKWILEVDARAKTRRVMPAPGVQRRVLNFFGNHVVFVGQGTCTALFRKGMGSLSK >Dexi7A01G0024090.1:cds pep primary_assembly:Fonio_CM05836:7A:31907032:31912936:-1 gene:Dexi7A01G0024090 transcript:Dexi7A01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRAAESEARSANAPEEAVKAAGDAAAELVKSAALEVWKSESNGEAVVLAAEKAASSVVEAAVSTSVSRSSNQFGEERAVEEAVQISKDQDLENFIISDQWKLLQLREKYSIQCLQILGEYVEALGPILHEKGVDVCLTLLQRSMKDQEGHDHFALLPDALKLICALAAHRKFAALFVDRGGIQKILSVPRTVQTYMGLSACLFTFGSLPSTMERVCALSSDTLDSVVELALQLLECPQDLARKSAAIFFAAAFVFKAVLDLFDARDGMQKLLDILYGCASGRSGGSSGGQGSSHINQGNDQLPAEALTASEKQVAYHSCVALRQYFRAHLLQLVDSVRPSKGTRSIARNTASARAGYKPFDITNEAMEAVFCQIQRDRKLGPALVKARWPVLDKFIAANGHMTMLELCKFQAHGDRYLRDLTQYAIGVLHIITLVPHPHVRKPIVHATLSNNRVGMAVLLDAVKSFDYIDHEVICPALNVLVNLVCPPPSISNKPSSTANQQPAPGLVLESREKSISDRNLLANQGESRERSGDANPSERNNTLHQGTPCTPVVPSGVVGDRRITLGVGVGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTHPVAIDSIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSAQTSGPDSGRWQAELTQVAIELIGVLTNSGKETTLAATDATAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGFTATAAMLQKEAGLAPLPLTAAVLPAHQVSALEASSVQQHDDGGDDDIPSSDEIEDDPEFIDDGDLEGGGGLLDIMGDGEGEDDESDMMGSFSSGDEDGWIL >Dexi4B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:4B:6466197:6468716:-1 gene:Dexi4B01G0009060 transcript:Dexi4B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDVVLPDMGIVTAAAAAALPSGPGRALFPCRGAAGTVSSPLRWAAYAAGGEPFLGGGARGGASPAAASGACATTSQVVEVFRASSPTRCPAADEYEAWTRKHPSALGCFEKVAAAAKGKRVIMFVDYDGTLSPIVTDPDMAFMTAEMRAALRDVAKQFPTAIVTGRCVEKVRSFVGLTELYYAGSHGMDIKGPSSKDDQTVLLQPAREFLPVINKAFRALEEMTRATPGARVENNKFCLSVHFRCVDEKSWTPLAEQVKAVLRDFPELKLTEGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDRSDVLPVYIGDDRTDEDAFKVLKKRGQGLGILVSKCPKETDASYSLQDPTEVMEFLVRLVEWKRLRSPSAAAVRPRAQR >Dexi3B01G0027160.1:cds pep primary_assembly:Fonio_CM05836:3B:22682198:22682815:-1 gene:Dexi3B01G0027160 transcript:Dexi3B01G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGSGGPSPPAAAAAAAKNTSSHWVAHGSVLTACVVGVNVLMILLIIFLFWRFFSGKEDPSTSAAAAGDEDDDEAPVASPWASRWRHEDLAQPLEDDVALALPVYIYSAAAAAGGDEGGKAEECAVCIVELRDGDSASVLPRCGHRFHADCVGAWLRRRHTTCPLCRASVVAPAAATGVAAADESTNAAAKDDDEGAAADCPV >Dexi7A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:7A:23791625:23791909:-1 gene:Dexi7A01G0013860 transcript:Dexi7A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFCFGGSRVAKRMSERAPMADGCVFCDIARRASTSTTALLYSDDKVVAFRDINPSAFR >Dexi2A01G0017900.1:cds pep primary_assembly:Fonio_CM05836:2A:29898217:29902734:-1 gene:Dexi2A01G0017900 transcript:Dexi2A01G0017900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSEPALPAAFLCVPSPFLSAPLPGAPFSASPAPSSHHASFLPRPQRGGGGHRALSAPGPAFTAASRLHRMWGEFARFVRLHGNQIAPLGFASLGLGLGGGEGGGGNAGGGGGGGGGGDVDGLGEVEEAAARAEAPKKVLILMSDTGGGHRASAEAIKAAFMQEFGDDYQLCNLGNATCFCREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSTEVEKRALKAGLKPSQIKVYGLPVRPSFVKPVRPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATAKALGDSLYDENLGEPTGQILVICGRNKKLANRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPKHIAKIVADWFGPKSDELRVMSQNALKLARPDAVFKIVHDLHELVRQKCFVPQYACAT >Dexi9A01G0039150.1:cds pep primary_assembly:Fonio_CM05836:9A:43231714:43238177:-1 gene:Dexi9A01G0039150 transcript:Dexi9A01G0039150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHRWRDFLDRLAESANAPATPSVSPYATARDGDTGAGRAEENDGAGIHCDKEDEEGDNAEGNSKLEDPNEADGNDEWQEANGASEDMKDVTDDLDTVREEISIRPTEVTKASEDKKEANGDYEELKDSNRSSEESEDGNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDNGADTIHGKIKTQLASIEEEGRTTELSHKGDPAEAVSSVAENVELRQETHGDSAGTALEAGEDGSYFPWREELESLVRGGVPMALRGEIWQAFVGVGTRKITGYYNKLLEGTVESNEKDLVDSVVNQQTSAPRKVVQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGPALVTTKDAGDAITLLQSLAGSTFDIKSNEGADGLKLNGDTGSANLETYLSTSTILENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRSEELETALMEMVEHDNRRMLSAKVEKLEAEVSELRKAFADKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAEKKHAAHLLEEKYEATMAALSQMEKRAVMAESMLEATKQYQAGQVKANRSFTSSSPRADHVPGKTNQEPNQDAPNRRMGLLSRGLGWLDKSKARQNSSETAGS >Dexi3B01G0005620.1:cds pep primary_assembly:Fonio_CM05836:3B:3809082:3809417:-1 gene:Dexi3B01G0005620 transcript:Dexi3B01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEVKLLLLIPGVVRVSIGICLYGN >Dexi5B01G0025200.1:cds pep primary_assembly:Fonio_CM05836:5B:27306821:27309238:1 gene:Dexi5B01G0025200 transcript:Dexi5B01G0025200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASWGLRSFVSEEPLTSFLYTADMALSYALFLTAVLVTLSPPVFFLSSVNVAAQYTVHLAALEGLSNATVGHTVAPAFNITVRARNPNTYQAWCHNHGEAVVSYAGVALASGRVPGFCVQRRWAANFTVVALAPGKGVRLSDYLRGRLTSEWRAGAAKVLLEMELHYYPNDVFLPIKRRPDSMAVYDIPYTVMEPKDVDAAGGDDGAVSPAFNLLVRVDSRLVYDQYREGGGITVSYAGIPLAHGRTPSFRVGAMATLAFAVNATQDGGVNALAHSFGLPSSRL >Dexi3A01G0030550.1:cds pep primary_assembly:Fonio_CM05836:3A:34555101:34561244:1 gene:Dexi3A01G0030550 transcript:Dexi3A01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVEDRGGIVALLLVSLFFHGTWPALVTLLERRGRLPQHTYLDYSITNLLAAIIMALTLGQAGESTNGTPKFFSQVAQMQDNWPSVLFAMAGGIALGLANLLLQYAFAFLGLSVTTVIFTCLVAVTGTTMNYFLDGRINRAAILFPGVGCFLIAALLGSVVHASNVKDDKDKLSMVKKRVAEDNTDVTSSVMMVHHPEELENGHGRALSTISQAEVGTAEFIIQVEERRSIKVFGSDKLLGIGLVLLSTVCASICSVASNLATNDQWHTLMNGTPHLVIYTVFFYFSVSCFLLEVCLNVWFLYQPRAGVPASTIGAYTRDWKGRNWALIAGLLSGFGNAFKLMGGQAAGYAASDAVLMLIVEDKGSAIALMLVSLFIVGTFPVLLTLLERRGRLPQHTYLDYSIANLLAAVMIAIAFGQFGESKSGMPNFLTQLTQDNWPSVLIAMAGGVALGLGNLIAQYAWAFAGLSVTMVMCSCMTVVVARNPIHQAKPGTAAFIIQLEQKRSIKLLGLNLIFLAGICFAIFLPAINLATNDQCHVLRNGVPHLVVYTAFFYFSLSCFALGVCLNVLFLYRPMAGVPASSIGAYVRDWNGRHWALLSGLLCGFGNGFQFMGGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSMKTYILLVGMLSMFVAAVVLLIASAGHRKT >Dexi2B01G0019060.1:cds pep primary_assembly:Fonio_CM05836:2B:29249086:29250777:1 gene:Dexi2B01G0019060 transcript:Dexi2B01G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPPTHAAGQTTTQEDSAGGVRKPADDAADPGSMDSGWVVLGNSDIVPADMAAAAAAAGHGRLNFSPLPMIPIWMQMVLGGVVYTAVPFYKRVRKIEGETLANVETAVEVVEHVAEVTEKLAANAANSLSENESLHKVAVEIEYIAEVVDKDAHKVEAVIKKIEEMSDMIDAAVEPVIEELEKDFEPNPSSTGSDAQK >Dexi2A01G0011770.1:cds pep primary_assembly:Fonio_CM05836:2A:13671173:13671733:-1 gene:Dexi2A01G0011770 transcript:Dexi2A01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLVAFAAVVAPSIAARPNAAAAAPAPSSSSDEVLHPTSLFDDIGHLIGEIPDLPLPRILPCPPAFPKIPLIPCGKPSEVTECRPSLAKYMPACASFLTGGEPSPPKRCCKSVGALVGDLGSSSLCVCHVMNGEADRLFQAPVNHTRAISFMELCGYDIIRPEEAPEFCGRIDP >Dexi5B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:5B:4947335:4951042:1 gene:Dexi5B01G0007380 transcript:Dexi5B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPIAPDRHPLAAPSSLAPRTPPPRPAPRSVPNPATLAPDSSPASPPAAAIDRGTTTASSRHEGIPDLRPTRGVSVGLAGCGCIRVRHRGEEGAWLVGFGREDGRMSRRSVNPSRRVSDGGLPSVGGLFHHKSRSPPVLTIALVVLGVILLIAYFNSGSGVTVTSREAVSRSEGSCTSEVMRALPYLKKAYGNAMQKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMSDIKFPLPYRPDSFKLVVVSDALDYLTPRYLNKTLPDLARVSTDGLVIFAGMCFLLKYVFAVVDGINCLSNVCSIPSCQAIQVSRKLRFQNYQNLEDRWLLGLAVLLSDPRLKAKLRSSSWWTRYFVQTGLTENEGPLKKFEEAASKDQYKPDCQIFHLSS >Dexi9A01G0038510.1:cds pep primary_assembly:Fonio_CM05836:9A:42725339:42726383:-1 gene:Dexi9A01G0038510 transcript:Dexi9A01G0038510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGEPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPEKCLEKGRQVSR >Dexi3B01G0037720.1:cds pep primary_assembly:Fonio_CM05836:3B:40488133:40489312:-1 gene:Dexi3B01G0037720 transcript:Dexi3B01G0037720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPIRRIPGRDHCGSYYPQHIGPRQASASYIQADHPRHALLPIVYCHPPHKQLIRNKSRGALMGHPTKNKEEVAGCRVSPVPCLGEEVRRKERVAPPLRGILLLLLEELVGAAECVGLGKPGASITAPSCASFHHLREQLVGVGGEPIGEDLAGWVLSSPAGHEEDPVVLLIGGRHGWGRGRRRRRRRRSRIRVRGVGELRRRLRRRVRGSGHCGPSSAAGAGAAREGGVGIVVGKLKRKGGRERQPCLKKKKAQRTCCVDDEAGQPE >Dexi2B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:2B:7252157:7252576:-1 gene:Dexi2B01G0007080 transcript:Dexi2B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTKKASVIITLVTIMLLLLASCSHGDDGPVTVRCNAKTYSEDDSYSVSKVYMLQMLLTNTPWASDHDIYKSFTHNGVTAYGHATCSRALDTTVCEACLNFVMHQATTICGRSVGAQVVYMDNCTVRYENYAFTD >DexiUA01G0010550.1:cds pep primary_assembly:Fonio_CM05836:UA:21003317:21012120:-1 gene:DexiUA01G0010550 transcript:DexiUA01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGMAEEPSAAAAARGGAGQLAPVAEEGEGAAVAQAPPAAAGSTETMERVAAAKKFIEDHYKAQMNNLQERKQRYRNPNLPSSQNFPDQRSRAGHAYIPVGMLDVRLCREKSSGNIYAMKKLKKSEMLVRGRDINPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEEEPMADENLRESMDIDHSFSDTANGRRWRSPNEQLQHWQKNRRKLAFSTIGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKVLTLACQPIYIHLTFVGIVHWGSYLKFPDNPRLSLEAKDLICRLLCDVDHRIGSGGADQIKAHPWFQGIEWDKLYEMEAAFKPQVNDELDTLNFMKFEEVSLNPLSSL >Dexi1A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:1A:11679902:11681131:-1 gene:Dexi1A01G0012150 transcript:Dexi1A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRERSTLAAASAAAISLVLGDCDLLGEIFLRIVFPTDLVRAAAVCRRWLRAASDPAFLRRFRDAHPPRLLGFYLTAFSTNQSFSAEFVPMTPQPPEVDAVVRRGRFGLDSYVSRSTRVMDCRNSRVVVNLFRDGYFTPAVHSPLHPARGLVTLPRLPVIDDQKLYIFREVFCQECDNVLSYFWFELHYNQKDEKATADVYKLHGDAWSMQISASTKTLRLLTSVLNTFSVFLVNDKIYMGITVHNILVLDLTSSTFSTINYPMKYSNRVGKLMFDGEIMLSRANGSGVYLVHVKELQLFVWFHSGCHGSMGDWSLVNTIGLRDLCANLKISNSTTEDDYDRDVYIHAVGDNAEFVFLQMYQCVLYLDVRRSALQNVCNMTGKKAHVTSIHPYLMTWPPIFPVLKE >Dexi5A01G0008420.1:cds pep primary_assembly:Fonio_CM05836:5A:6289537:6290187:-1 gene:Dexi5A01G0008420 transcript:Dexi5A01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVQSILVDCRYAEHGCAEKPTYCDKSEHEKACKNAPYFCPETGCGFVGLREELLDHLTGVYHGWPSTAFHYRGAFDLRVVQPGVHVLHAMDDGQLFLVNARRAAAPPGLAVSLVCVPPCLKPTGFGCTVSFSSFRRHRSTTTVDELRPLRISEWPPAECICVLPRASPDGPDDDATDDDAGVALTITIEASDTESDEDDS >Dexi6B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:6B:2917635:2918712:1 gene:Dexi6B01G0003540 transcript:Dexi6B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTPAPRGDPGASSSSSSSSAPAPAPAAVVEDLAGAVAAMTLEERFETLRGVGEECIQEEELMTLLQNNPVPICYDGFEPSGRMHIAQGIVKTINVNEMIRAGCKVKILIADLFAKMNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFIWSSEEINKRAHEYWLLVLDIAEKNKLKRITR >Dexi9B01G0044310.1:cds pep primary_assembly:Fonio_CM05836:9B:44095077:44096599:-1 gene:Dexi9B01G0044310 transcript:Dexi9B01G0044310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRISFPFLALLVAAAALFPSSSSQQPAAPPQPRGFYISCGSTKDVQVGNVKWVKDEGFTAVGNVSTINKPNLLPVLATHRFFPDATARKYCYELPVIKGTRYLVRTTYFYGGFDGGKDPPVFDQIIGGTLWSAVNTTDNYRRGMSTYFEILAEAQGKTLSVCLARRNDTKSSPFISALEAIDLEGSMYNTTDYGRYAMSAVARSRFGSKGEIVRYAAVYNRYWTPYVDANPAVESHSAISPEDFWNLPPAKALKAGVTTSRGKKLTVQWPPVELPAATYYVALYFQDPRTASPYSWRVFDVAVNGQDFFRGLNASAAGVMVYSNMMQLSGKTEILLTPNQTSPVGPLINAGEIYQIVPLGGSTATRDGSLKNPPPDWAGDPCLPQQHSWTGVECSQVSPVRVLSL >Dexi8B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:8B:6057930:6058748:1 gene:Dexi8B01G0005780 transcript:Dexi8B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQAVTVAGGGKDHISALPDEVLHHILFFLPSDDAVRTCVLGRRWRNLWRSTRALRIARSPHDSWGCPLEPYWTPWILNSFVNSFLLLRGGAPLDELEVACGEIFSDDANGWYTGDGEDVERRHQTWERSEELSRSVRSWIHHALTFCQTKLIRFSLRTDYRRLKITDVVPGVAGAEHDVLRGRRCRRHLVAVVEASQHHQMRLPPKYPVPHLGSETHFFATGCLLWQGSVLGGDAIVGKRECSA >Dexi2B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:2B:6684405:6685869:1 gene:Dexi2B01G0006720 transcript:Dexi2B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGALFLVGSIVNAGAMNIAMLVIGRMLLGFGIGFTFQCKIRLVKANFFFFPRAAPVYLSETAPAKWRGAFASGFSFFLILGIFFANVTNYFTDRIPGWGWCISLGMAAVPGGILLVSVDCWWLEHDALPGGNCMDHVAAHLGTHEATVAMPQNYGTGVLVVLVLCLFSFSASWSAVRWPVLSEIYPVEVRSAGQAMSVSIWLCLTFTELQGFIKMLCTMRYGVLLFHAGWLLVGTIFVVLFLPETKGVPLEVMRSVWIEHWYWRRFAKEDMENSQDTTTSNL >Dexi7B01G0016170.1:cds pep primary_assembly:Fonio_CM05836:7B:22150849:22154055:-1 gene:Dexi7B01G0016170 transcript:Dexi7B01G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQRKSSLKSYGSNVSSGSGSFDFEHDNDKDRAAASGDLREVVVKIDAEPHSPVSLTGGGVSSGHNSAVSTPRAGGTVRILASGASSASTSPSFATGDPSRSGGGGDSFSFKNRPPQSPSGDSSGGDDPPTRLIGSFLRKQAAAGGEVSIDPDFEVEETTRRPPRAPTSVSNSRELRVSFQNPHKRFSPSSSSASSSSYEAGDHRNQSGTDGDTAEVLRCTSTSAGLLARSKTRSRLMDPPPPSSSAGGAPGAGGEPDPRKSFVSKGLPPKSGQLRSGLIGKSGLIGKSGGGFEDEDDDPFIDEGMTSDFKRDTMDCLLIMEWVSLVVIVGALICSVTIPSLSRKKLSGLHLWKWELLVFVLICGRLVSGWVIRMAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGIALVSWHLLFDKDAKREQHTPVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDESRMMAEVQRLQSAGASMPSELEATTMPSSKSGPVPKSGRLTTVASRRGGPSKQLQRQKTERHMVDDGISIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQLKHATPTGEDELATEIHSEYEAKVAAKRIFQNVAKPGSKHLYLSDLMRFMRQEEAMKAMDLFEGAQENNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLALWLLILGIATSKFFVLLSSQLLVAVFMFGNTLRTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAQQPIMNYYRSPDMGDAVDFSVHVATPVEKLSLMKERLLHYLDNKKEHWYPGSMVVLRDVDDTNKLKVSIWCRHTINFHDMGMRFERRELLLQEMIKILKDLEIEYRMLPLDINVRNAPTIQSSRMPSTWTFSY >Dexi5B01G0029460.1:cds pep primary_assembly:Fonio_CM05836:5B:30689747:30696064:-1 gene:Dexi5B01G0029460 transcript:Dexi5B01G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADATTPGSGAVGARRLPRRAMASPHLLCALLCLLRHLVPSHAAATRDTITPGTPLGANETMVSGGDGNFAMGFFTPPGANATYLGVWYNKVSLRTVVWVANRESPIAGAVEDNPGATLSVSAVSGELAIAAGNGTVVWSVTPAARPASPAARILDNGNLVLSDGVGGAVAWEGFDYPTDTLLPEMKLGIDYVKRKNRTLTSWKSPSDPSPGPVAMVMDTNGDPQVFIWNGGEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFVNNAQEVTYSFQTHNASIISHLGVVSTGNYGLLQRSTWVESARAWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLRGFTPKTPAAWALRDGRDGCVRSTPLDCRNGTDGFVTVRHAKVPDTERSVVDWTLTLDQCRQACLRNCSCTAYASANVSAGAGGGRGSGAGSGCVMWTTGLTDLRVYPDFGQDLYVRLAAADLDAAIKSRKKARIIIAVGVSVSALVFLLAVAALLIWSRRKRLRRTAGSSKWSGSRSTGRRYEGSSHDDDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGMEIAVKTLSKTSAQGLDEFKNEAWSLWNEEKSIELADERMNGSFNSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLASPDAASLPTPKQPGFAARRVLMETDTSSTKPDCSIFDSATITMLEGR >Dexi4B01G0022790.1:cds pep primary_assembly:Fonio_CM05836:4B:24322742:24328148:1 gene:Dexi4B01G0022790 transcript:Dexi4B01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKAGVSSRADDDDNLAGPVAPPSPLPANGAPQTPPPPHPGTPRRRKSGSATPVHQTPGGATAAWPSPYPAGGASPLSAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGHKPKEGTIPEEGGVSPGGGGGAADGAAETERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGHTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKVIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALSKLPLMSHPWLRDEQRQIPLDILIFRLVKQYLRATPLKRLALKALSKALGEDELLYLRLQFKLLEPRDGSVSLDNFRTALTRYLTDAMRESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALEKWEEIAGTAFQHFEQEGNRVISVEELAQVFIRRAYNLINQHFQLMHLDP >Dexi9B01G0002160.1:cds pep primary_assembly:Fonio_CM05836:9B:1214868:1219378:-1 gene:Dexi9B01G0002160 transcript:Dexi9B01G0002160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAASAVAFIGVGFAILLISVLAVVILWFYGSFWTTTSVIIFGDRSPGDPSTSGSEKELTSEDEVARLLNCTDHYSALGFRRYENIDVSSLKREYKKKAMLVHPDKNMGNNKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFRSVSQKECKDFHQAKDGDGWVEQSFQPVLFGMLRKPDLPHAYVCAESYIFDVTEWFNCQGMRCPANTHKPSFHVNASIAKQSNVKGSTSAQRGGKVPNGTNMDGGLNEEEFFEWFQNAVNSGMFGAQGDPTSPGSGSNAKGSSSSSSKKKKKGKKQW >Dexi2A01G0003620.1:cds pep primary_assembly:Fonio_CM05836:2A:3127560:3133822:-1 gene:Dexi2A01G0003620 transcript:Dexi2A01G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLLRLSRPRRALLPLSSLRLPLSTQPQPASSPAPPPSSPSLRFPHLLSFLAAAAAAAAAGGTTFALCDPGVDHRVGGKDSTELVVKGERTRVPQEFIDELASFLGDNLTVDYEERSFRGTPQNSFHRAANVPDAVVFPSSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMTLMKKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKLKKMICRSWSHNWRNVCYSLFWFISCKVITHVLLIFSGYIRVCRYGTMRDNVINLQAVLPNGDVVKTGSRARKSAAGYDLTRLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFKTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHRGSDFVFVEEPAAKEELWKIRKQALWAGFAMKPDHEAMITDVCVPLSRLAECISASKRLLDASTLTCLVIAHAGDGNFHTIILFDANKEEERKEAERLNHFMVHTALSMEGTCTGEHGVGTGKMKEYLLSYLQYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >Dexi6A01G0015890.1:cds pep primary_assembly:Fonio_CM05836:6A:23782759:23783359:-1 gene:Dexi6A01G0015890 transcript:Dexi6A01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESELAHTQTRARCSLALSSLATQLRAPAMRPASATSHFSLTATVFLLVLLGSVLHQATCLPKLHPPPTPGSSPLQGGARTTAREEEEKVRLGSSPPSCRGKCYECSPCTAVQVPTLSVGPSVPSTAAAAARPRNRVSDEVATLSNYKPVGWKCQCRDRLYEP >Dexi4A01G0006770.1:cds pep primary_assembly:Fonio_CM05836:4A:4860258:4863383:1 gene:Dexi4A01G0006770 transcript:Dexi4A01G0006770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFSSSPPPSQPNATLTSATTTTTTPTLPEGSTRLPPPPRRRRPPSLSISSPPNVLSVLLASLRGAASALTSLSPSISTLSSPAGAAASLRRGAAASLRRGAALDCQELHAASLSSLSRSSSLLAALEDDEGRRLPAVRAHLAAALANKATCLDGLAGASGTEIDSLLASLDDAYEHVSNSLALVAHGAAGGVSAAAGFANAVASKVIHHHNRRLLQDDDDDDNDNDNNGDDNDDDNNNGDDNSGNAGSPAMVMTVAKDGTGRFGTVGEAVAAAPNNSRARTVIRVKAGTYEENVEVPPYKTNIALVGDGRDVTVITGSRSAADGWTTFRTATFGVSGEGFLARDVTFRNTAGAARGQAVALRVSADLAAFYRCGVEGYQDSLYAYSFRHFYRECVVSGTVDVVFGDAAAVLQGCDLLAMAPLPGQSVVLTASGRSDPNEDTGIALHSCTVSSGAGAAAAPLPAATRTFLGRPWGAYARAVVMDSHLGPVVDREGWAEWPGAEPGRGDTVYFGEYGNSGPGASTEGRVGWAGVRQMGYDEAAQFAVENFISGDEWLGVTSFPYDDDV >Dexi3B01G0012790.1:cds pep primary_assembly:Fonio_CM05836:3B:8956752:8961187:-1 gene:Dexi3B01G0012790 transcript:Dexi3B01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQMLAMVLSNLKGIAEGNLNTAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIALSWKPDAQNSDTQQTVVFPKGNVIPSSKALTFYRASTFAVDVVNVDANDAQVEPKISTYTVGPFQSSNGEKAKLKVKARLNIHGIVSIESATMLEEEEVEVPVSATNEAQKEATKMDTDDTPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKSVPMDTDVKAEPSKKKVKKTNVPVSELVYGALGAADLNKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTPEDKEGLIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKIGDPIEVRFKEWEIRDSAISQLVYCINSFREAALSNDQKFEHIDISEKQKVINECSEAEAWLRAKKAQQDVLPKHANPVLLAADIKKKAETVDRLCKPIMTKPKPAPKPQTPPPTETQAPEPQTPEQQQSNGENSAGEPTGDQTAQEPAAEQMETDKPEGAADATS >Dexi5B01G0032840.1:cds pep primary_assembly:Fonio_CM05836:5B:33392846:33396485:-1 gene:Dexi5B01G0032840 transcript:Dexi5B01G0032840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLAALANDLDQRERLVMLEGSQSPGAANAAAGDFLAEGSHNVSLGGDFSIQVLEKALEVWDLQVIPLDSPAAGSSQFDPEQEVAFICHLQDHWFCVRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMGTEGSNGFGQWLTPDDARGITASCNQVSAPANQVQSPVLGEQSGGMSEMDMITAQQEEADLNAAIAASLMDAGGPFPTYDAAQEDSKPQDAPVAEAASNKDNNQEEADKSEPTLAPCVETQGPASGSGTKRDFSSMEGKEPAKED >Dexi7A01G0005170.1:cds pep primary_assembly:Fonio_CM05836:7A:16316374:16318579:1 gene:Dexi7A01G0005170 transcript:Dexi7A01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTGWLPVALLLLAATVLSPRAAAGAVQQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTNAGLPLPFKSPRVMRSAIQYISNKWPFWNRTDGADHFFVVPHDFAACFHYQEEKAIERGILPLLRRATLVQTFGQENHVCLKEGSIIIPPYAPPQKMQAHLISPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKSNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGTYLQPGDTRLNWTAGPVGDLKPW >Dexi9A01G0046710.1:cds pep primary_assembly:Fonio_CM05836:9A:50010176:50010595:-1 gene:Dexi9A01G0046710 transcript:Dexi9A01G0046710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRACVRHGGACGYGNLYDQGYGINNAALSATAAREHVQELGRQLAVSRQARRSFALTSIGVQSIVFQDVVLSWWQFGQTFTTYKNFDY >Dexi6A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:6A:6327741:6330189:-1 gene:Dexi6A01G0006530 transcript:Dexi6A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRFLTSWRPDQAALGSIIVLMSSGDSGRYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEETNFAVELTYNYGVDKYDIGEGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVLELTYNYGRTEYSKGNAYAQVAIGTNDVYKSAEAVHLATQELGGKILRQPGPLPGINTKIASFVDPDGWKVV >Dexi7B01G0018500.1:cds pep primary_assembly:Fonio_CM05836:7B:24248534:24252345:1 gene:Dexi7B01G0018500 transcript:Dexi7B01G0018500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHFSCDFETEMPEETGMHQVASDLQALKTLYGLLHKGSADGNLDETSRILLGKMLDDSSQQALLKQANMLSGSLVSPALERKLSIRSNRLARDAEPRLSLRPPSSPSPSVFAGERSSRFDLKYSTVSSRAGGQVHGQAPEEPAKLNKETASAFSSRKSETEMQQVASDLQALKRLYGLLHNGGAPDENLDETSRALMMKMLDDATQQALLKQAKMLSAGSLMSPVLERKLSIQSNRRTRDAAEPPRSLRPLASPIPNLLAGERSSQLSLQYSTVSSRAGSNVHGHRHARKEPVLSRLGSYRSSTCALPPPQQRPSGEQRRSNLSVHRMSVAATSQHGAVTGSNRHADRRERTRHSSSRGDQSPSVEGSNSCRSVSREMSLGTTDQNLDETSMGLMTRMLDDAAQQALLKQTKGTRFQMLSAGSRMSPALERKLSIQSNRRTRDAAESSRSLRPLASPSPSILASERSSKLSVQYSTVSSRAGSNVHGHRRAREEPLLSRLDSYRSSTSALSPQQRRSGLSVNRTSVAATSRHGTVTGSSRHADRRDTTRRHSSSRGDHSPSSLEGSSSSGRRRRSVSSLEMSSRGRRARLQCDVGTPRHVAAAASYSSSTRRPGRLDSRLSLSKTMSRRGSERRPGRGVATPERSSSSNTAVTIHSRIRPERNIKEKHCQRQAEQEEAEVSTRRRGRRKDASVSSGGRSSISRPPRRRALKRIDSGSMYMSSSSRSSPRAPMSHPSTSPTVLPADSSASASSYSPSPPAMSRRRGIDAWTPVFALAPRMSRSMRQRRRQEVLEQRVGRLRRLKSKIAAVFHHRHDHHHHHHFGRGGQEQEGPSSSRGIGGIPGEQNRMSPWRYIEGMFDRRKGKGKKTTTSRTAVGTPAAKRRGGGGGGGNVHALFDAMWQHLRSKRKAPAATSVKKMRKMATRSKNKMHWWQQLGRRRRGKTQVAAGRPRRRLI >Dexi3B01G0026810.1:cds pep primary_assembly:Fonio_CM05836:3B:22216003:22216658:-1 gene:Dexi3B01G0026810 transcript:Dexi3B01G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELVPSASWLRLYMEVAAEFKMENDNGAVDLEVDIIGPGGGAASSKLNTVDDPDATECSSSFGDTLSGSEDDGRPSEISDIEVDSPFCRYPHNRDAAALLDAAASDNMDRLFK >Dexi5A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:5A:9239763:9241827:1 gene:Dexi5A01G0012300 transcript:Dexi5A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGAASTAAPSASQDPMNALRAAALRRSAPHWSAASAASFFSPPFRPRRCRCRRAPAPAAATRTPRPGASAKDRAKLLAEADPRDPWLASLSLLPADDSSGADAAAPNGWAIGVDPDTRGAIAVLSPDGSSQVFDNPFVNIVVSEVIRKRLDTKSIIQLLRSLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVASGFSVVPVASQTWKAYFGLSRSESPKDDSRQAASMLFPDKVPSLKLKKHHGTHLSILLMLTA >Dexi5B01G0022710.1:cds pep primary_assembly:Fonio_CM05836:5B:24970211:24972522:-1 gene:Dexi5B01G0022710 transcript:Dexi5B01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNSSVSAALSRTTPVFQLRVWELIAIGVGILMAILIVVVLCVSLRKKKKTVKGYDNTSLAEIPIVSKEINVDRVDAQSLHDSAPFIPVHDKYTQIKGAGHLTESRSVDVDMFSQCSSVYNIEKAGSSYSEDYSYSSSGPARKGSSPYAYSASPLVGLPELSHLGWGHWFTLRDLECATNRFAKSNVLGEGGYGVVYKGRLVNGTEIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGMNQRGVLSWENRMKILLGTAKALAYLHEAIDPKVIHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDKSHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYSKPADEANLIEWLKMMVTNKRAEEVVDPNLEVKPPKRALKRAILVGFKCVDPDADKRPKMSHVVQMLEAVQNAYHQDQRKLSQVGSIDVESQQSLEETSNSADA >Dexi9B01G0047310.1:cds pep primary_assembly:Fonio_CM05836:9B:46466914:46468841:1 gene:Dexi9B01G0047310 transcript:Dexi9B01G0047310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVESWWVLPMALIPAISGEQHENIATIATSFAYLAIFAFLAWAGASLLSWSHPGGPAWGKYYWTKARAKKATTIPGPRGLPVVGSLGLMSGLAHRSLAHEASRRPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPVNHAAYGLMFHRSIGFAEHGPYWRALRRISAGHLFGPRQVDAFAPYRASVGEGLVTALKLAGGDGVQVRGVLRRASLYYIMRFVFGKEYDVVSPASASGKEKEVEELLEMVHEGYELLGMENWCDYFPGLAALDPQGVGARCAELMPRVNRFVHGIIQEHRSRSAKAIAGGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARLVLHRDVQAKAHRELDDAVGRDKPVTESTATSLPYLQAVLKEALRIHPPGPLLSWRHRAMSDTLVDGHLVPAGTTAMVNQWAISRDPEVWDAPLEFQPERFLPGGKGHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWLPVADADAVDMSEVLRLSCEMAVPLEVRIRPRRGV >Dexi3B01G0029680.1:cds pep primary_assembly:Fonio_CM05836:3B:28962840:28963456:1 gene:Dexi3B01G0029680 transcript:Dexi3B01G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARRRHVPAFGEWNYYYSPSTSDEPPPELTHPGVAVPATTEWWCSPEPEARSDVWFRYSPPPRKPPTPAAAKKASRRPPEKLRYGGGGKQGSFAPVARVIREEMPVAAAARAPVKGSRRVVRPVDEDLYQKRAARRRSLWMGCLGGLGCIA >Dexi8A01G0005250.1:cds pep primary_assembly:Fonio_CM05836:8A:4738415:4738972:-1 gene:Dexi8A01G0005250 transcript:Dexi8A01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLAALACLLAVATLLLVAMPAPATAAPWGQKELHLRVYWQDRASGNATVVTVAKAASTNTSTTRFSAVNVMDDALTVGQNMNTSKIIGRAQGIYVSDSIETSSVMMAMNFVFIEGPYKGSSIAIFGPNFIERKVREMSIIGGTGMFRYARGYVQARSVWLNPSTADATIKYDIFVRIDVP >Dexi9A01G0038470.1:cds pep primary_assembly:Fonio_CM05836:9A:42690093:42691512:1 gene:Dexi9A01G0038470 transcript:Dexi9A01G0038470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAVPALLLLLASSLLAAAAGDEGTEKVDVALYYESLCPYSALFVVNHLAKVFEDGLLDAVDLKLVPYGNARVHAGGEISCQHGPYECLLNTVEGCAIDAWPDLDVHFRFIYCVEDLVVKGQYKTWESCFQKLGLDPKPITECYQSEQGHKLDLKYANQTDALVPPHRYVPWVVVDGQPLLEDYENFEVYVCKAYKGSPPKVCEGLVQALETVVARSGVSYNSGGIELATAGDEGMESKIKMRLPDDDN >Dexi9A01G0036700.1:cds pep primary_assembly:Fonio_CM05836:9A:41068905:41070839:-1 gene:Dexi9A01G0036700 transcript:Dexi9A01G0036700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSLMANKEGYRLVRCPKCLNILPEPPSVTVYRCGGCGTTLRAKIRASNGHVTTKRQDSDNYSVATAVSNGVPAQTKDHASTEVTMDNSYIADAPSTEHDSNGTGNTQSGDIMLPEKKDDGVENVGSKDHHNIEGQDTNSRMEGPADLENTNANSTSRDSGEAEHQIMEQPEENSETCRVGEGDVTECHLNASENNMVSSEMSKPAVRMQDAEQKEAGQSEHAANKKSHLVGVLSRSCDLRASSINSLDFHSARTSLQSKSFRASEPLQSKIMNTVDELKGDLSELFKKPSKPKPKAYPPRPSKQDAPMTRPPITSSAPLAAYHPTAKHSGYAARLSRSGQVAPRGLPSLRYRRHRVYSYHHNVQMEMRPCRHECCHSCQPPCYRSCNQEQPAEMHKPPAKEIKRRPLPRNHCRPVLRGAPFVVCSNCVRLVQLPTDFAVPSRGTRRLQCGSCSEVLSYSYRDPSRKKQQPQSPFGGDGYSTDGYEIHQQADPVSYSEEYGLSASTEDGQPLYVSRNSSFNTADEWAGRDGKLHRLMGYSSASELLRHSPDLFESFDGRTPNARTRVDRKGKGVCVAEGDAVKRPKARSGNLQGILKKGIQSLESLKLRA >Dexi9B01G0040350.1:cds pep primary_assembly:Fonio_CM05836:9B:40913353:40916507:1 gene:Dexi9B01G0040350 transcript:Dexi9B01G0040350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSWFRWWRKKARQGDATGGGEEEAGHKVVVDGSEIRELVEDREAFGMLVDSTFRQLDADGNGKLSVRELRPAVADIGAALGLPAEGASPNTDHICSEVVSELTHGTSQGEVSKAEFQEALSDILLGMAAGLKRDPLVILRMDGEDLRDFVASSRYEPSAAAISSLVVSEGSPLRQCLLAALQQLTVDHGVPPASDTWVAENIIEPALQQLLADQLDQPASRDGFFQQLKKLLGAMAERLHEQPVIVAHTENTYDGSGVKRLLGSKFELDKLLGSVWRGVAAEHKNKASKECLIATIDKMADAASLPYYGAVKQVDAVVNEAIKTANANDGKTVDEAEFKKLVTDTLGVVMRQLNSSPVFVCTNTVVHEPLSGASALFS >Dexi5B01G0001970.1:cds pep primary_assembly:Fonio_CM05836:5B:1265138:1267798:-1 gene:Dexi5B01G0001970 transcript:Dexi5B01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLLPALAAALLCFALAPRVAQCGGGGRAPPQNYTSMFSFGDSLTDTGNLLVSSPLSNHIVGRYPYGITYFHRPTGRCSDGRLVVDFLAQAFGLPLLEPYLQSKGKDLRRGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSLGWFEQLKPSLCDSPQKCKEYLSKSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPTVAGAVTDATERLIKAGAMHLVVPGNLPIGCSSAYLTLHPGRNRSDYDSAGCLKTYNDFAQHHNAVLQHKLQALRAKYPQARIMYADYYGAAMSFAKNPKQFGFTQGPLRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAAYHAIADSILNGPYTSPRLL >Dexi4B01G0017940.1:cds pep primary_assembly:Fonio_CM05836:4B:20370098:20371181:1 gene:Dexi4B01G0017940 transcript:Dexi4B01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTCVQNRPTVPKFGTWDSDSAGYTVYFEKVRENKGATAPPLHRPFNPNDPDEGPMMTVPPPSSSSSRPATSGGHHEPQQRRPTGPHGQGQGHHRRAGSSSAAAAEQSKFAPPPQFQPRSSPQPAAQHYGDDHHHHRTGGHHQHQSTAAHGGSGGHPEAAPPPRGAGGSGATAASAAQGFTVQFENVKRHREVAWTAVPAVPRAPSPPEDAAARRTHHHQKTPFLSKMFGCFLPPTTAKD >Dexi3A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:3A:11560372:11569816:-1 gene:Dexi3A01G0015530 transcript:Dexi3A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSGLPEGFPAAVLGRLPSHADRVRFASNPFTGDTKRLPSLSRVRYVVSHGVGPSGVTTLGLTDGDERAPRETSLRKMVICPGQEVVVVAIVGDQRLGKVATCRPGASDCCWLISVHDPWMELRDIAFYDGQVYSVDGRGCLYAWSVGEDKYTGEPTVSSMANQVIFIADEQKVRRRGGHHMPSTRHLVVADLTRRRWVEVKSVGDDTVLFVGRWSSVSRRVSRYSMPGNRIHFLDDDDAFSRHHFGGYPFCGEQVGAYDITDGKTYPLLPPRELHNDSDTTATWLFPREEEGVFRWSDLPSDVFGQIMSLLLPSSSDERLRLALVSRDWRASIMRHQRRLHPPAVAHLALPNCRIFEYPHLKSHHHFKDCAAFTGAASDDWLLFDDDGGILRLTSPFTGKTRLLPSLSVIHSWDGPVEIENEPASSAMAVQKLLVSPDGGLVAAIVGREYFAKLALCTMDTFSWSLSAHDRWRWYEDIAFSDGKVYALTGDEDLLAFDVGIDTDTGNAVVSHVKRVVKRQLPRHSSAKVRYLVRSSRGELLMVRRHFSLSGETTVRFSVFRAELRSSRWAEVSTLGDYYSDEVLFVGRPCSRAVRERDGVRGDQIFFLKDESCAPLWAERIRRDVPGYHAGVYDMRDELITDILPRQPWCDSPAPTTTWLFRDADGLDGGYNE >Dexi9B01G0035820.1:cds pep primary_assembly:Fonio_CM05836:9B:37422482:37426433:1 gene:Dexi9B01G0035820 transcript:Dexi9B01G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPAPATPYPVTLPPVVQHHHQQPDPAPAGAATLLVRHLPEAITQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEAAANHAHSLLNRLRFLGKVLIVERANLPNANNAHAKPQDQLVHGLSQFYNHLPFYADVLHTVGNPNPPDLSALHRFRYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPHPPPPQPPTAEEPRSADLSSDESELESSDEDVDKRKSKRAKHEAIVGSAVDKSVAHEAVGVKPAALVSNELQVIKKKNPVLQIKIASKATQKEQPVPSTTDKELDSTNEQLEEKHFVTPQEIEKDKLPPEEILSLPMFKNYTPGNPSSVLYIKNLAKDVTHDDFYYIFGYLFESMDSVRSGLSIKLMQEGRMRGQAFVTFPGVELAQRALNLAHGYVFKGKPMIIQFGRNPAAKKAS >Dexi9A01G0041780.1:cds pep primary_assembly:Fonio_CM05836:9A:45375432:45380469:1 gene:Dexi9A01G0041780 transcript:Dexi9A01G0041780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVMAGYGMDKAVRASVSFDTPCGALLRELEQIWTEIGERDQDKDRMFLELETECMRVYRRKVDNANAERAQLRQSLMAKEAELKALIASIGENTTRFKSDRLQKVFIYVDEVHCLCAVLGMDFAMTVKEVHPSLHETNSENSTNISDSTLEGLTQTILKLKAEKKTRVLKLQGIVEKLYKLWNLMESTEQERRQFAKVAAVLGSSEEEITSAGILSLESIQETEDEVERLTKQKASRMKELVLKKRLELEDVCRNAHMEPDINTAPEKIIALIDSGLVDPCELLSSIEAQIAKANEESLTRKDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARILVQKIPTMIDNLIAKTFTWEDERNVPFLYDGDQKKLQSLLLKEKELIFGSKPSPRKTNSFNRRTSNHHSNANGTGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTAAPLNFSAVSKEDSMSSFASISGSEPDSPLYLH >Dexi9B01G0038500.1:cds pep primary_assembly:Fonio_CM05836:9B:39550446:39551799:-1 gene:Dexi9B01G0038500 transcript:Dexi9B01G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRQNLPLGVARSTLIGRYQRAGPHRLEETRGYDQSKESKQLRGQQEPRRRSRTQSGLAFRRSPHKDMEKPRSKRVRKTEEQFKEGTHTFPPGFQQSQAEKTQDDSENERTTRHDDDAPEKEDARGKNSNKNNAEITTSQDPSPALLVTPDDRWEKSEVSPEQRLNTLLASRRGQYVGAAAMMGSDECVARLGSLSSSPAVSTRGAAANPNGKGRGAHGPRECLAGSMEEKAGGTKVTMAQALVSVFPRSSYPPHGDECHDIDLNPVDRWVQVDKNNFSEQVDEDQARRRGQAGHLFKPRIASMPLF >Dexi5B01G0022360.1:cds pep primary_assembly:Fonio_CM05836:5B:24643299:24643511:1 gene:Dexi5B01G0022360 transcript:Dexi5B01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTRTPHAARLRRCWLAAFSVSRGSLSCLARRRSPLSSVLHRKRIEGNRGSASRELPHGAQERGQERD >Dexi3B01G0035430.1:cds pep primary_assembly:Fonio_CM05836:3B:38372167:38372396:1 gene:Dexi3B01G0035430 transcript:Dexi3B01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAHVLVFPWPLQGHINCMLHLAAALLDSSVHVTRAGSDPPATGATAGGPSPSSIQT >Dexi3B01G0029850.1:cds pep primary_assembly:Fonio_CM05836:3B:29130492:29138978:1 gene:Dexi3B01G0029850 transcript:Dexi3B01G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQGSQPRPVARQARVILEMKDFSPLSVVVYGEAPQTPLSAFVFFLSLPRSLAIPPLSLPLSFASPSLARAIHPSLPTHWHTSFLLSTPSLPTIVVVDWFGFSPVLFPTSPAAQPITLATFDAAMERVIARINDLSKKMEVDVGSLRLEQGRLATSIANVQTQGLDKQGRFSSSASSGHDEHPGPPPPPAHKLRFPKYEGDEDPNNWLHKAEQFFRAYATPDDQKVWTATFYMKGDFVDAVNKRFGPPMRSNPFGELIFLRRTGSVADYQEQFLTLLAHCDDVSERQQIDIFTVGILEPMSSDVRLQHPATLDDAMALACTYEHRKQLADEASRQVLRTPRASPRSSTPPSKSLSTPAAIGSTPPTPGAPVKPPAPAGKFTRLSPEEMAQRRLHGLCYNCPKKFSRDHLKQCTMKGIYFLEVGDVDAPDDATTEDDITVSVCALTGMHTGATFQLATRIRDTNFDALVDSGSTHSFIDVAAAARLGLVPVPRPGLTVGVANGDRMPTADVCKSIDITIDQEAFVIDLFVLALGGYNLILGCEWLRSLGPILWDINGQSMAFWRQDHRVQWRGVHAPAPTPLSLAAIDGLLGLVLAEFADIFVAPTGLPPQRSFDHRIHLFPGTAPVAVRPYRYPQLLKDEIEKQCTDMLQQGIIRPSTSPFSSPVLLVRKKDGSWRFCVDYRALNARTVRDKFPIPLVDELIDELRGARFFTKLDLRSGYHQVLMHTDDIAKTAFRMHHGHFEFLVMAFGLTNAPSTFQALMNDVLHDFLRRFVLVFFDDILIYSTTAFEHLQHIRSVFQVLRDNRLSLKQSKCTFGESLVSYLGHIISDVGVSMDPAKAEAVQAWMTPSSVRALRGFLGLTGYYRKFIKDYGSIAHPLTQLLKKEAFSWSPEADHAFHRLKLVLTQGPALQLPDFDRPFIVNCDASGSGFGAVLHQDAGPLAFYSRRVAPQHAKLAAYERELIGLIKAVKHGRPYLWVRPFVVRTDHYTLKFLLDQHLSTIPQHTWVSKLFGYDFTIEFHPGKTNSVADTLSRRNKVDMAAHTVSSPAFHIFDEFRRRVSPAWTIVDDVVLHAGRVFVPSLLALWPQILTTAHGTGHEGVQKTVHRVRASFFNPQAARLVRDFVKGCVFCQRNKTEHLHPAGLLQPLEVPSSVWADIALDFVEGFPRVGGKSIVLTVVDRFSKYAHFVALAHPYTATTVAQAFFDNIVRLHGVPCSIVSDRDPVFTSAFWAELFRLAGVSLRLSTAFHPQTDGQSKVTNRILSVYLRCLMGDRPKSWLRWLPWAEYCYNTSFQTALHTTPFCVVYGRDPPSLVAYEPGVAHVPAVDWQLVDRDAFLADIRERLLHAQELMKGHYNKHHCLLTFEVGDWVWLRLHQRFATAIVDKSAGKLATGCLSLGSSSPFLLFSMAGFFLFPHRFFVPASTEGIGKPASAASWEFLTDFKHAHPDFQLEDELFVGEGGRVMDAFIGRHYQRCVKKTAGGSS >Dexi3B01G0018270.1:cds pep primary_assembly:Fonio_CM05836:3B:13568415:13569507:-1 gene:Dexi3B01G0018270 transcript:Dexi3B01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYVNKKFSQQYKATIGADFLTKEVLIEDKLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVKRSFNTLNTWHDEFLNQASPSDPKNFPFILVGNKIDLDGGNKRMVSEKKAREWCASKGDIPYFETSAKEDHNVDSAFLCIAKLALAHEHDQD >Dexi9A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:9A:4952989:4954606:-1 gene:Dexi9A01G0008390 transcript:Dexi9A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKAAEEKLGSQLEILAPIKY >Dexi2B01G0030660.1:cds pep primary_assembly:Fonio_CM05836:2B:38927672:38930906:1 gene:Dexi2B01G0030660 transcript:Dexi2B01G0030660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSTLSSSRSTPSLHSSPHRPALRPGTLQRLLRPPAPDPSSSDDLAGVPTPRSRSRSGPRATRDRVLLQVTNITPALAGADPFSAGHHGFFLRLSDSSRSCYVSLHADHDNLILANGLHIGQVIEVDSLTPSVPAPVLRNFRVLPGRYPCVQHQDSGGDDDEVKVKEVVPERPRRPSPTPPLPERRARQGGSPASIGHRHKSKSVTNLSEAGSSPASAARRRESLLRSLDSPRGLRKISVPSAGDGNSTDDDDTSDVSSSYSLLSTARRNWDFNGSIKDVRPVAPRRRSNSVSPSKSGSKPTAHQNDVANDPLESVRRKAEKAFKVLSRRNSHASSTTPRDSSCAAAMTRSASSSGIKWCDNNVMWSSLSSSLVQHGKEAMKQSDMALQAVLDGLLEATATEKLIKCLRSVNIGLTDDDPKELIDRFLKFSQELDRAIFIAQSQARFTQGKACRCSASSGSPKAAAKTALDRKQSAISWVRAAVEADLSHFSSHTRVAASESTKASVAEPKPVSPLFCSKPKCNCNSRPSKKNADGSTEASGLNAALELATAMRSDCNRWFLKYIDKFLDDIESETGYATCDSQVAGLLQQLKKVDDWLNRVVRHERMFSIDRGSKDSVLSEEEESDACERVRRKIYGALLRHVQYAAMALESIGSVTDEEKEQASTAVLHGCSSLEDFASWCCPMASSLVRGADPLAANDGVLPTDVLREILLRVPAMALCRLRLVCRSWRSLTSDPRFATAHTARHPLLVGLESGLDEIHVIDLYSGSIVKRIRDGNGSSRSNG >Dexi2A01G0006470.1:cds pep primary_assembly:Fonio_CM05836:2A:6141995:6142999:1 gene:Dexi2A01G0006470 transcript:Dexi2A01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGASSTISNSPAVADCSRRSISNSPAAADCSRRSTEKAPPPRRHRSRRCVLPEDALYEILLRLPAKDLCRLRAVCRPWRSLLSDPHFIDAHAARHTDPLVVGGNQAFEQNGPVLCDIVDLSGRIVKRVPAAGYEDGSRTNYRWVRFVMCTHADLVCVADYGMGMSCQLLNLATGAVHDLPDGVAAQVQEQQGITCYCAWIVFGLVPSTGEYKVLRMVEVEYLFGNPGMVGADDFDHPAASDHCEILTIDGSSPATSWRATHAAPYDLELLHSDSSAVIGGIVYFMLSYDYWDHHGTELGVVGSFDLETEKWRSSIRGPSSSGHHATLETSK >Dexi9A01G0034810.1:cds pep primary_assembly:Fonio_CM05836:9A:39552025:39553931:-1 gene:Dexi9A01G0034810 transcript:Dexi9A01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSYSPRRRYSRSPPRRNRYDDPRDRYRGGGGGGGGARRGYGRPSAQTGLLIRNISLTSRLVIIVPCSWGCRSYDPGFVDSPLSGLVDMLNPYLPICCRPEDIRVPFEQFGPVKDVYLPRNFHTRELRSFEFVKFRYPEDAAVAKQEMNHQVIGGREISIVYAEENRKTPQEMRFRTRTR >Dexi5A01G0019600.1:cds pep primary_assembly:Fonio_CM05836:5A:23542909:23543430:-1 gene:Dexi5A01G0019600 transcript:Dexi5A01G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDLLTSDVLRRNNSASATQMLSITPLPPPAPASRRLDDDPGDASRRCFVGDDDNGDATPGDDDTPSALKALSGCESREQTYASTTRCSSCSGDAHRALAAARRRSRPNLQAPDPSTVVLFRLSLTPLGHLGVVGDGAESLW >Dexi4B01G0023450.1:cds pep primary_assembly:Fonio_CM05836:4B:24756104:24764676:1 gene:Dexi4B01G0023450 transcript:Dexi4B01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQRIGGRWSSRRGEEAVRSRSYSISSSSTISGTLSTAYTSNCSRNSSGYLASAMHFDFELEPAPAWAAKAEEEQIKHIANLVREFSDRGGDARVPERWLTELGIGWVLHLPIPGVAASSAAGELGQTYEEYDAPSWIWALSQIVEIIVLTPLKQLPNNYYEKEEQAAPKFFQKAMLKMLPFVDWIVASSGMNMEPTYENLSTLLAVHGALSKAFPQIQLASDSSLGSSAQVFSRIHNNLVRLLAAKEVKAGDAIWSVMKQIRARILEPTDDNLAPEGSSDIHKATRNHSTRSTPWGLAGLWRACGDLVETDTDDVYEQIFLMPETIELGSPAARYGFVLMDDYALAVTRDALYTELWRACAGPLVAVPRPGDLVFYFPQGHIEQVEASMNQISGNQTRLFDLPSKLLCRVLNVELKVETDTDDVYAQIVLMPETIEEENEVVAGSCTAMTRPTAEAVKSFCKTLTPTDISSGGLCVPRRHANECLPPLDMSQSPPTQELVAKDLHGTEWRFRHIFRGQPKRHILDGDWSGFVSSKRLVAGDVVIFLRGQNGELRIGFRRAMRHGGVPSSVLSIQSIYFGILATAGHAIATKIIFSVYYKPR >Dexi5B01G0032240.1:cds pep primary_assembly:Fonio_CM05836:5B:32841575:32843213:1 gene:Dexi5B01G0032240 transcript:Dexi5B01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRKLSRPASHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRAAAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTKIRVGDAAEMAYIE >Dexi6A01G0015720.1:cds pep primary_assembly:Fonio_CM05836:6A:23234115:23236944:-1 gene:Dexi6A01G0015720 transcript:Dexi6A01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQVELSHLDVQDAKPGRTDSAEEVDDCPIEEVRLTVPITDNPALPALTFRTWFLGLISCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKAVKIWGTKWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISLACLIWKNSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIMNIMAGFFLIVYVIVPVAYWTDAYGAKRFPIISSHVFMANGSRYDVNKVLDASTFQFSQAGYDGAGQINLSIFFSFTYGLSFATLAATLSHVTLYHGRSIWEQTKATVRAQTGDVHTRLMKKNYAAVPQWWFQVMLVLVLGLSIFTCEGFGRQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVPNICDPTKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPFWALSRAFPGSKWAPWLRLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKAWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGVNGVDWWGLQVDDHCALARCPTAPGVQAPGCPVH >Dexi9B01G0047400.1:cds pep primary_assembly:Fonio_CM05836:9B:46508672:46510579:-1 gene:Dexi9B01G0047400 transcript:Dexi9B01G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLAWPPFDLTTARGAALHRAAPRRRAAIRCCCAGADTEPRRRLSRAAAAAPERAEEWRVDGNKPSAAAPGRRRASLTAMPPLPFPAPRSRRQFKQQDYYPRCTQRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKETGINEDGSTWYRESGEDLGENGFRCRWARMGGQSHDGSTEWKETVN >Dexi2B01G0033830.1:cds pep primary_assembly:Fonio_CM05836:2B:41208268:41213585:1 gene:Dexi2B01G0033830 transcript:Dexi2B01G0033830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSNKERARAALDAMKVLGFSKKEATPVLKTLLKLFDNSWEPIEDECYRALADAILDARDCPQGAEHGSHGARMVAPEEDRHQPSTSLVVHRGPCGSDSETEAPLIKRPRTNPEEDGHQPSISLVVHGGPCDFETEAPLIRRPRTNSNNLSADHSIGPELFPSSSNTAHNRAKQMIDEDFQHAVFLREPKLEPDMDATQSFHDAQVGIVSHPFNTSPSGAADPHPLEVFLTQEGKGWGLRTLDELPKGTFICEYVGELLTNMELCERASEKALKAGNMYPVDLDADRRSDGVLKDQEVLCLDTTCYGNVARFINHRCYDANLVEIPVEMETPDYHYYHLALFTSKKVDAFEELTWDYGINFDDDKNPGRAFQCLCGSRYCRGRKRWRNRGKAAAKLAVVEGTRG >Dexi2A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:2A:14511892:14514945:1 gene:Dexi2A01G0012530 transcript:Dexi2A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDLDHQTRNSNSTTAPPCHAAHEPPASSHQRRLSQAGRDKDAEESEEEEEEDELLLLKGVRHLCERRRGGGGGAIARLPARYVLPPSDRPAAPDDGRQIPVVDLARLRAPGERGAALAELDAACRDYGIFQLVGHGGAGEGEEGAPAMLDVARRFFDLPFGERARHMSGDIRAPVRYGTSFNQLNDGVLCWRDFLKLVCDDLDGVVPSWPEAPVDLREVVSAYARSSRRLFRELMEAALEALGIGELAAGEVLADCDAGSQMLMVNCFPACPEPELTLGMPPHSDYGFLTVLLQDQVNGLEILHEDKWVLVDPLPGSLVVNIGDHFEIYSNGRYKSVLHRVRVNSTRPRISVASLHSLPPARVIGPAPELVDDEKNPRRYMDTDFATFVDYLSSAEGKHKSFLQTRRLNTS >Dexi5A01G0023220.1:cds pep primary_assembly:Fonio_CM05836:5A:27279769:27281363:1 gene:Dexi5A01G0023220 transcript:Dexi5A01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLNSSASGGSNNAPPPPPPSSGNNKRKRSLPGNPDPNADVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGAGKEAQRRKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALTEESAKAIGLNNAMATAPAQPQHHPLLFSPPQVMHHQVQDLDALQQEHQHQEVMQPPPALDHQQQHCNYAMKTEMPPWPPMTYDHPLLQPLCNVAAAQSSATSAPPPPAASAHLSATALLQKAAQMGATMSSDTGAAAHMAGPATSATFGLGRSGGEDGGGGGGGGGGGDGMTRDFLGLRTLSHRDILGLAGFDSSCMGANMSCYEPNQYAQAQVQQQNSNEPRHGMGSHS >Dexi9B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:9B:10726790:10730031:-1 gene:Dexi9B01G0015680 transcript:Dexi9B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRTPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPLASPEGPYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLAEDDLVHPATDGEYVLKGSELVDQSSSGQLFPVNSNGNHRQQSRLKEGARQPVAREHSYPSSPPSVIVREAKPRRSPSVPSQDEDNTPSPCRDGSLGTMSPELEPQRNERTQLPASGSASPSEFRVYKPTGCIDAATQTDDLGRRSGRRAPEVRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISRELLSHCATPLSMTSTRGKSESLESLIRADNVKNSFRILEEDDIVVPTCPKLKPTNVLMQLITCGSLSVKDNENIGIVQAYKPRFPNLKFPSPLISRTMMSELEYLSENPRIMGMKLEDKEYFSGSLIETKMQRDIPAERYSTLKRSSSYNAERGGETLDCTRPDEDITDTSSRSRCLPRTPILSSFLHTKSDSLKSPVSDCRRSSSARQECDLASRESSRRFADASITSAVKTDSFRKEDKLVKIEES >DexiUA01G0023100.1:cds pep primary_assembly:Fonio_CM05836:UA:47114796:47115164:1 gene:DexiUA01G0023100 transcript:DexiUA01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGSRRRWPRPQPPLLLALLLAAAAAEARFVVEKNSLMVTSPTSLRGRRDSAIGNFGIPQYGGSMAGAVVYPKDNTNACDDFDGKHPFRSKPGAMPTFLLVDRGGERLDLSIFLSLRYAL >Dexi7A01G0015130.1:cds pep primary_assembly:Fonio_CM05836:7A:24962944:24965574:-1 gene:Dexi7A01G0015130 transcript:Dexi7A01G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIVTEEQKLREVFEAARLPNAEAYGLHCLAASTLAAKTGAGLRILREQRGLAFVAPEECAPEEFVGYVAKRARPSSRGISPGAGILVNSCRALEGEFIDVVADHLAADGKKLFAIGPLNPLLHHTTSKPTRHECLDWLDKQPPASVLYVSFGTTSTLPGDQIGELAAALRDSKQRFIWVLRDADRGNEFANNHGKSRHGGRHAELLAEFTEQIEGRGMVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPMLAWPMHGDQPWDAELACNYFKAGILVRPWEKHGEVIPAEAIREVIVTAMEWQCSSEQRCLERLSVLP >Dexi5B01G0040060.1:cds pep primary_assembly:Fonio_CM05836:5B:38692028:38692665:1 gene:Dexi5B01G0040060 transcript:Dexi5B01G0040060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQRLRASEESDEQVMDKAMAFYEADLEEGGCKKAKEEERSGKGKGKGSSSTMDEIDKLREVQAKSKEDHIEVLERHQQIAAAKKESARLNHLAAQEKKEAKLLEKEGKMHDKESKLLETYKSLLTFDTSQMSEDLRAEHMIAVKTMRERIFATRAS >Dexi9A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:9A:874191:876224:1 gene:Dexi9A01G0001680 transcript:Dexi9A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAALLLLFILAAPAPALAVESTIVFGTLGRSRFTFDIFALPLGPLSSTSSPAGEVRLTDGASVNYNGNFAPSSDSLLFVSDRNGSLNLYTTPVVPSGSRREALEAPAAAPLSPLLPWDPIALKDRPSLTPDGEHLVYVSTAEPSDGPRRSWAAVYSTHLPSGSTRRLTPRGVAGLSPAVSPSGEWTASASPATVRSGGDVVDLHTDIYVFRTSDGSRRTLLIRDAGWPTWADDTTLFFHHRDSHGWYGVYRATVSFAGGEAAASVVERVTPPGFHALTPAASPGAPGLVAVATRRPGSDYRHIEVIDFSAAGANAYFEVTRPVAPRAHHFNPFISPDGARVGYHRCRGSGNGDSPLLLENIKSPSPETFSLLRVDGYYPSFSHDGKRIAFVGLPGLFVVNSDGSGGRRKIFSGNAFATAWDWKRKGVIYTSIGPDFAGVRTEVDVIAVTLGNGGEDSSNISIKKLTVGGDNNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAVDGEAGGIRRLTDGPWSDTMCNWSPDGEWIAFASDRHNPGGHSFEIYMVHPNGTGLRRVVRSGDGGVTTHPWFSPDSKTIVFTSEYAGVSAEPVSNPDHFYPGQIFTVNVDGSGLRRLTHDSFEDGTPSWTPYYIKPENVGETLQGSGTCAFEDCHWLKIVDAQPDGIMSACV >Dexi4B01G0021460.1:cds pep primary_assembly:Fonio_CM05836:4B:23425434:23425643:1 gene:Dexi4B01G0021460 transcript:Dexi4B01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLISLPGAMTGLIMAGVQIIVKNMLMTASTVSSILSSYLCWPAFFTKAFQLKDEVFAD >Dexi5A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:5A:3732494:3740035:1 gene:Dexi5A01G0004880 transcript:Dexi5A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQITASSTPKQSTFPGGAASHHPLVDDLRALGDGLAGVPRTVRATPLRPPASTALLTALRSHEDIPGWDHGSGGEWRRWGRRVAASSAPLRLLPGEVSEFRAQQNTLDSRLLPSRADSLCFRGDRAALTALRLRRVVSFLRRHRLYDTAHEYARSLASSPLSAAIALPVFDRSTPIPSTDAAVCRLERRTGAFFDAAHFRRLLGYFRWADASSYALGFPRGRRAHRPHPHLPTLGGRTYGVDALFQRIDAFLDSDGHHLRKVLLAIRSDRARCFSREGLHSTAHTYVHLPISLERNSLVYFDAAHLRKLVKDGRWEDAGDYMDRFEPLWEGEGTSQRHAAFMHILQHHAMLDYLACRGDDGGRTASSLFCKDNAAFREKFPEPLCFNAATYTPADNYLVICGSSAGTTTMVSQRVLAQPRRPVSNNVVGGHCSVLTLESSPVVSLCS >Dexi1A01G0021030.1:cds pep primary_assembly:Fonio_CM05836:1A:27873154:27874275:1 gene:Dexi1A01G0021030 transcript:Dexi1A01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVCKRWHALTSEHHFLCTSFSRNTTGHSIAGFFLSDHLYSKFSYVPLGQSIAGNSSHQTTVPDLSFIPTTPAVDRGQIYVTASCNGLLVCGRPNISVLYKSTWYVCNPLTKKFIEIAVPEGITHFLYLAYDPSKSQHYKILALGNYDIHVYYSQTRSWRIPIHFDESEYPLRGLHCYHSVFWNGSLVWVVQNRLVRYVVDEDEYQGVEMMPMPQTPEGWMCAYVGESGGHLQMVGFTEEERIAGVLNVLEMQEGSSEWSALYRVDLRRVVELYPSIRRTEREYPYIGLRYGFGRGRMIERLALWPMHVVRGSGERGRGGMMLFSIPGKIMCYHTDSQKFSIVYGEPVAPEPGTYQFHWYHFTPYNPSLFAV >DexiUA01G0009020.1:cds pep primary_assembly:Fonio_CM05836:UA:17161086:17161825:1 gene:DexiUA01G0009020 transcript:DexiUA01G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSGEGKRNILSAPWLGYMSSNLRPGRTAPMAARMKGAGWALQGAPEGAWAKHRPK >Dexi4B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:4B:9361231:9375120:-1 gene:Dexi4B01G0011830 transcript:Dexi4B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEQLKELGEKLLEAAPAPADELAKLLEKAAECLHGIEQSPGSSLMEAIQPTLKAVTREEFLKHEDEDVKVLLATCFCEITRITAPDAPYDDDVLRDIFYLIVGTFRGLSDVNSQTFGRRVAILETVARYRACVVMLDLECDDLIADMFRTFLEVVSDSHEENIVKSMQTIMTLIIDESEDIQESLLRVLLSALGQKKTGAAMSGRKLARSVIGNSAGKLEPHIKKFLTSSWAGDGSSSDQIDHHGIIFDIYQCAPKVLKVTVPYITGELLADEVDIRSKSVELLGEIFSLPGTPIVEYFKTLFAEFLKRLADRVVEIRISVVEHLKRCLISNPLRPEAPEIIKALCDRLLDYEENVRKGVVAALCDVACHSPDAISIDTIKVVAERIRDKSLAVKCYTMERLADIYKLYCQRGSDSSTTSDDFEWIPGKILRCLYDKDFRPESVESILCAALFPPEFPTKERVKHWVKAVTHFDKIEMKALESILLHKQRLQQEMLKYLSLRQLSQEDAPDLQKRIVGCFRSMSRLFNDPPKCEENFNMLHQLKDGDIWKMFTSLLDCSTAFGEAWTLRADLLKLLGEKHALYDFLGALTMRCSYLLVNKEYAKEILAEASELKTSENTKLISACMNLLTAISSFFPSLLSGLEEDIVELLKEDNEVLKEGIAHVLSKAGGNIREQLASSSSLDLLLERLCLEGTRRQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQISIPIFETREEEIISFITKKILECNDDMIENSSHKYEWGDSTQNCLLKIYGIKTLVKSYLPCKDAHSRPGIEKLFDILKNILTYGDISPDMVSIAADKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRVSQDDFPQVRKLFICKVHQYIKERALDAKYACAFLYGVNDYHAPQYEEFLLFPSISQFKCNLIEVVQIFQQVKLRQLSVQADMNLLTAYPEYIISFLVHGLAHDPSSPDIEEHENIKAFGPIYWRLHLILSVLLGEEGLQHSVPGMKKESFTTIISIFKSIKRSQDVVAGNKTKILHAICDLGTLIAKRLCNDQTNLSEAQTVPLPAQLYMPLQDNQTENSVESDEKMWLGCEKVLAHFEAVMTASIDEVKSPKHKMLIDETDEFGNEIPLGKIVKLLKSQGEKKAGRKQKTPSSSVNAGNDDDVLGLLREINLDNQGDLDESQKNKPKKRHTETKESTKKPLDFSSPKRKRSISNNRPHSAKGSKNSDERLLHTPNKDRIRSSLETKLKEKKGKHDSTDTELLVSPSSKTPVSKGSKGDKKSHTDTLSSGPKCSTNDSSGRDLIGHRIKVWWPLDKRFYGGIVQSYDSSKKKHTVLYDDGDIEVLNLAKEKWMMVETNNSSVKKQKKDHIVTNQGRAQERTPSSSKSQPSLQKSKKRSLPPKRKGQPKNKRRRTAGGNKSVEGSSGAGGNDLDLSSSLAHSDGDKDVNSVGQMEEEVISSAEKERRDSKDVEMKEKARKESKGVEMKEKARKESKDVEMKEKVVKDSKDTEMKGKARKESKDVEMKEKLGKDLQNVKLKDKAVKESKDVEGKEKAGKESKDIKMKEKAIEPKDIEVKEKAKEPKDVEVKEKAGNDSKDMGMQEKSGRESEDVQMKQKAGKESKDVEVEEDDDLSLSSKEESDNETLSVWKKRTAKAT >Dexi6A01G0005680.1:cds pep primary_assembly:Fonio_CM05836:6A:5196404:5196625:1 gene:Dexi6A01G0005680 transcript:Dexi6A01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLIRCHGEYLLHPESAAGGGGGEERRKKKGDEPRHRSSGAGAATATEPTNRLSPDPTLLLPRPQGGAVFA >Dexi5A01G0039290.1:cds pep primary_assembly:Fonio_CM05836:5A:39993777:39994266:1 gene:Dexi5A01G0039290 transcript:Dexi5A01G0039290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPSITAAKQIGHSTSALVDCPPSMRARRWLLQPRVCLRLHPPPCPEHAQPLLHRASTVADPVPPPLLHAVPVAWLASPPPIFMEERSRRSLAREGMTEKGGREGEGGRIATIEPGRPIDRSDGLCFIDDVATLPGQGIGQES >Dexi6B01G0020520.1:cds pep primary_assembly:Fonio_CM05836:6B:26947935:26949525:-1 gene:Dexi6B01G0020520 transcript:Dexi6B01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGAPEVVRVTGSRTVAPSKSRCSLATFDLPYITFYYNQKLLLYRTPVTDFPDAVARVTASLADALRVFFPLAGRIRQDADGSLAVEGDEGAEVLEAEAEAVAVDDLAAGDCAEDLMQRFVPYTGVMNLEGLRRPLLAVQLSKLKDGLAVGCAFNHAVLDGTSTWHFMSYWAQLCRSTTDGKEELLQPIHERSLARSVRVRLDLPESAEAHEKTDPNGPKKALVARVFSFPEATVGRIKAAANAALPPGAKPFSTFQSLGAHIWRAVSRARGLGPADITAFAVFADCRGRLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIGEHDAGAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGDGGIDVELALQPEPMQRLDKDADFLLHQPTEAP >Dexi4A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:4A:19219393:19222035:1 gene:Dexi4A01G0015830 transcript:Dexi4A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRPRIRVVPLRTLLLFLLVPLIYSGTNPSSRLLQFPTQWGRPSLTIQSVPVSRLHRWTPEKGVCLPPPSAPKRPHHLVLGPAAGQGRPDRLQCQGLKAQINIGLSTEENHSGEHISFVTVFTTYNSVPDGDGKVPSDTVTVGNHSYSKIERSMAILNTFTSFIKAFLEQKLVEFDRVAGVNHFILTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDSITKAVEFFKQVLEAYSLKYMKASRMLGDQLALAWVVKSHLPSALRKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGMPLDVKVNFFHL >Dexi6A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:6A:28553846:28555726:-1 gene:Dexi6A01G0021230 transcript:Dexi6A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMAFRAPSVEIIGLTTIFGNVSTEGATRHPEVPVAEGSPEPLKGGKPRLADFVHGSDGIGNMFLPEPATKKVEESAAEFLAIKRDSSFASKVKKIVVLGGAFFAAGNVNPAAEANILGDPEAADIVFTSGADIVVVGINITTQDLLELRNSKGKHAQFLSEMCKFYRDWHAKSDGFYGIFLHDPVSFTALVHPEYFTFKKGVMEFREPMVRL >Dexi5A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:5A:25550461:25554309:-1 gene:Dexi5A01G0021740 transcript:Dexi5A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAPPPPRRDPTLQLPPLLCAPTKFASQREAERRPTGAPPPPADAGEPMESRALLHARPAAPLPTRAGLRLPLPRPGPRAAASSSSSPAAALHSPLLASRGPFLPRRDAVLGHGFLKRRGTSAAGSGGVSCGAAAAAAVPAPLPEESATKKFLGIDVRTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSDVLSKEALFYTVIFPFIAFFGAFAFVLYPLRDVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSIVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKEKPKLSLKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAANSLDKQFSSLAKEDLKKEKAAQEKMEPSLLKAPAEVTDVLVEQTNGSLSSETTGTESSPSNSSPVQ >Dexi1B01G0007030.1:cds pep primary_assembly:Fonio_CM05836:1B:5807968:5809005:1 gene:Dexi1B01G0007030 transcript:Dexi1B01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSTFLNSTSPETLASTSPALLSESILGTRLITSCTLSAAELASEKYFTPPTIMAAETAKTVPPLYPWYMTRRLPYQTLNAQMVKVMPLLPMATPLASPCLIPSLADSSSAAENCAMVLRSATNEYTVLTDDTACSATAPGRVYCSLIRRARPMRMRPYMNPGMMRRSTDGRATSVRCHRRAKPTA >Dexi3A01G0029550.1:cds pep primary_assembly:Fonio_CM05836:3A:31675934:31679471:-1 gene:Dexi3A01G0029550 transcript:Dexi3A01G0029550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYWMTDGSLSGGVNREGVNYYNNLINELLSKAGLQPFVTIFHWDSPQALEDKYKGFLSPNIIDDYKSYAEVCFREFGDRAMQKGKIGITLMSHWFVPISNSKSDKYAARRSMDFMLGWFLDPIIKGDYPLSMRKLVGNRLPKFSKEQSDLMKGAFDFIGLNYYTAYFAENVPPSNSHNYSYNTDPRANLTGSRNGVPIGPTSASTWYFTFYIYPKGLHELLLYVKKTYGNPTVYITENGVPEISNSSLTLQEVLKDDIRIQYYHDHLLALRSAVRDGVNVKGYFAWSLLDDFEWASGYTLRFGINFVDYNDGLKRYPKDSAHWFKKFLKR >Dexi6A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:6A:6930810:6932340:1 gene:Dexi6A01G0007090 transcript:Dexi6A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTVLAMPAHGLLPCKPNATNNKYYLKPASSQEILRRGSSSFHPIIKNWDTTRRCRVTSMGAMAAATAVLTRETKDEKEQEEGWTEYLSPDKLEVLEHLEPWAANHVLPLLKPAEVAWQPSDMLPDPAALGDDGFHSACRELRARAAGVPDAHLVCLVGNMITEEALPTYQSVPNRFEAVRDLTGADDTSWARWIRGWSAEENRHGDVLSRYMYLSGRVDMLQVDRTVHRLIASGMAMNAARSPYHGFIYVAFQERATAVSHGNTARHVGAHGDDVLARICGAIMADEKRHEAAYTRIVGKLFEVVPNDAVRALGYMMRHRITMPAALMTDGRDDDLYKHYSAAAQQTGVYTASDYRAILEHLIRQWRVEELAVGLSGEGRRARDYVCGLPQKIRRMEEKAHDRAAQARKKPTSVPFSWIFDRPVSVILP >Dexi9A01G0005670.1:cds pep primary_assembly:Fonio_CM05836:9A:3168523:3169109:1 gene:Dexi9A01G0005670 transcript:Dexi9A01G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGRRPAEWGRSGWELRRREAAADAQLAAARARLAEALAELERARARAAELQRRLEQTYGKRRRLFEEARGRIHEIRARLHEGAHQPPPSSSPPAEPETEPDPSAATSSSCS >Dexi2B01G0004260.1:cds pep primary_assembly:Fonio_CM05836:2B:3742386:3744155:1 gene:Dexi2B01G0004260 transcript:Dexi2B01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRRLRPVPSPDCQILSCDISAKRRRAAATDTADSPPWSSMHEDLVSRIAERVLAGDLLDYVRFRAACPHWRSCTVDPRGRGVSDPRFHPRRWMMLPEGHGLHPGHIRLGGRVRFFNRDSGAFVSALIPELKDHCILDSPDGLLLLHRDADTAVRLLHPFTGDIVDLPPLNSLLPQLYRLTPEQSWLDGDQDNLPYLRRVAAAVSVAHDTGAVTVLLALEHLCRFAHASTAGRSWTLTSWSVNHISRALPFHGSLFMAYCRGSEDTSIMRIDPPLLEEDGSLSPSLRPPQTIATFPAKLMILPQLVECDSEILVVGSTDISRAHLVVIRLADLLQGRPAAPLKSIGDRCLFFGMRSLAVSSKGLPSITGNSIILCDSIKDRLMQHNLSDDTLLPACDGDIIP >Dexi3A01G0016890.1:cds pep primary_assembly:Fonio_CM05836:3A:12803437:12803951:-1 gene:Dexi3A01G0016890 transcript:Dexi3A01G0016890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGQRVRMYVRGTILGYKRSKSNQYENTSLLQIEGVSTKEDVAWYGGKRIAYVYKAKTKSDGTSIRCIWGKVTRPHGNSGVVRAKFRSNLPPSSMGKKVRVFMYPSSI >Dexi4A01G0021840.1:cds pep primary_assembly:Fonio_CM05836:4A:25228166:25231219:-1 gene:Dexi4A01G0021840 transcript:Dexi4A01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQETKSVGAEINWGVRFNDNKDAEHFLTNLCKEVSLRLQGCGVQGRTITLKIAGATDSFVTLQRIAKQLFSALRLDVKEVRGVGLAMSKLEHADLAKSSQGSMLESWLASPAAKLKKRRGEVLGNVDAAGTSALQDLRIAGPLSIGATSHSSGMNPRRERSTGVHNVELPPLSQLDLEILKNLPPEIMSEMNYMYKGKLQGLLDTLNNDKGKEISSKSLALPAITQNSVPAGDAKHQVYRDHKDSMHLEDDTKGKSDKQLSEVKAANNASCSRACELVEKTTKCVTQLDLMPGSLSQADFTVLQELPEDAKDDLFSTLPLHRSEDPKCSTSKVSEMKSPNVGGAENRSLNDGRADDPEDPRIFHPPGSSQKWIEQFRVSSSLILNVIAEQYTDSGCSQRLSSALEPVASFLPLCPNSASEEWKEAFSCLSGLLRQYIQLKVETDIEELYKCFCLLKRFASASEFFLELHDSILPFLQ >Dexi4A01G0022740.1:cds pep primary_assembly:Fonio_CM05836:4A:26045403:26045846:-1 gene:Dexi4A01G0022740 transcript:Dexi4A01G0022740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGYRAEPKNIPPPSGSPTQVRCRCTAKISAASRSASAGRTDSPASRAAAGAARSMGAASGRARRPAPPRPVVVAWPPPPSQAAALGCPRPPALLPPPALTGLFPSLRRQTPSPFQPLPQIEGSRFLHRQRPLTDTGSRRGMAPP >Dexi3A01G0010850.1:cds pep primary_assembly:Fonio_CM05836:3A:7746797:7747834:-1 gene:Dexi3A01G0010850 transcript:Dexi3A01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRAGATEAAAMAAAAVDSVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLALARAYLKASKSSLCSDERGCDICGVEITDDAQPVTAHPFRRSTAFLFGNEVGYRTLTKRV >Dexi4B01G0000490.1:cds pep primary_assembly:Fonio_CM05836:4B:307463:308916:1 gene:Dexi4B01G0000490 transcript:Dexi4B01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEVVAHVYDVANAGTDATVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCDRGTGVFNCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCNTFCEKLEVPKLPGWVNRFANAGDAALEVAETTAVKV >Dexi4A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:4A:15475275:15475592:-1 gene:Dexi4A01G0014050 transcript:Dexi4A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDDVLQLLKPAPGSDNGNGWEDTMAEQKEPAPGPGNGGGFDDWEDVMQLFAKVHEPSPTTTRHNRTDGAVAPAAMNITEEKFPVSGISPWEAAAVSASSIWA >Dexi2B01G0005850.1:cds pep primary_assembly:Fonio_CM05836:2B:5438071:5441471:1 gene:Dexi2B01G0005850 transcript:Dexi2B01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEARKQSSPAVIIRPPPEPLTTTTTKSGTVKLTSFDREFVKVPFTALLVFEHMGQHEAIDNIKRALSQALVHYYPFAGHIISSGAVDHAEFSISCTGDGVEFLTASMDCSLKEAKILDQSSSGKINAMLDELAILYSGWSYGFDDPLLSMQVTEFSCGGFVLGVTWNHAIADGVGIAQFLAAVGELARGSPSPSVVPTRWDDAVSKLQPWPNPIRQAMLLCPEPQGSELIVPLDITIPSALINRVKAEYYHSCSGFDGQQPCTVFEAVLAVLWRCHVRATMSSNNSGNPVYITFATNMRKYVGAKDGYYGNCIANHLLTMATRSAVAEAGILDLIRMIKRAKDQLPDKVKTGNRSGNDDQQMQGLRERYDMMHVSSWRNVGFEQVDFGGGAPARVMFHARGGAPPVPMCIMSASPNQLGFPTLRRIYPLPAAGTVLLPPLAPAAPAARQGSSPARPAALPRRLALHHRPNHHLRRSAPPHPRPWDLGTPQNLTSTTSATTPAGGDLTAGRSAHRNDVLSCVWVDTSHDSTSLLLSQHHTLLTSG >Dexi3A01G0033640.1:cds pep primary_assembly:Fonio_CM05836:3A:38350058:38352333:1 gene:Dexi3A01G0033640 transcript:Dexi3A01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLTNPLLAKAIQEYPYIQSIHLFPKSILFYSFSAVVTFGLNALQGRQQLSKGVWGGPWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDLVELLTIINELYGDSRKPLVVAPGGFYDQKWFGDLLEVSGPNVLNAMTHHIYNLGAEVSNSQYSVMDRGLLHGLGKLVAHITVAVVSYLDQLGQSSKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYRQVSVGIALLLLNLHQSMGFMISVRNDLNVNLAEGQGIIRDNVFVHGLKRTVSWVGSKASDGYSKREEYHLSAKDGNPYARTMLLNGVPLELTEDGDIPPLYPVEVSVNSPIYVAPLTIAFVVFPDFEAEVCSR >Dexi7B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:7B:18428193:18429188:1 gene:Dexi7B01G0011370 transcript:Dexi7B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPHAGDSDSEGSLLSDVSASPPRARSPPTPPPPKPRPIPKHTAATAKSNRKSKPKPKANPKPVAPACAAAAASTPLLPTAALSDPHGLASRIAVAPALVAATSTVSSSSFRRLVQSRNPSFDPVTAFSAPASAPTPAPAPTPDEIPTAAAAAQCPAPDAPPPRARPKRVHRNSVSEVPAAAAEAELPKRPRGGGEGNFVRLNINGYGRKRTFRNSHARRPTKYRSWRRQRAGGVKPQGCGEEEGDFVAEALMEREKKGATLVLAYGYESFREGQLEAIQKVVAGESTMLVLPTGAGKSLCYQVW >Dexi6B01G0018070.1:cds pep primary_assembly:Fonio_CM05836:6B:25148645:25148944:-1 gene:Dexi6B01G0018070 transcript:Dexi6B01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNNYYSVGAELRRGPSPPAPAAARAHPATTSRCRRRAMSLPPRPGPTAGNHRPAGGASTAAPRRPAAHLLRRAGLSPPELPLGFGGEQPPPATPKP >Dexi4B01G0013950.1:cds pep primary_assembly:Fonio_CM05836:4B:14192097:14194910:1 gene:Dexi4B01G0013950 transcript:Dexi4B01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLTTTAPSPPSLLKASPIGPISLRHVSRRCMTLSVKTKFSSKQATENDQSAKKPQKANSILCKDCEGNGAIVCTQCEGKGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFMSTFEETAQ >Dexi6B01G0018440.1:cds pep primary_assembly:Fonio_CM05836:6B:25402049:25403461:1 gene:Dexi6B01G0018440 transcript:Dexi6B01G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLKSSRSEYLKLKARVENLQRTQRNLLGEDLDSLDIKELEHLEKQLDSSLKHIRSTRTQHMVDQLTELQRKEQMFSEANKCLRRKLEESNQVIWQQAWEQGEPQQEVQHQLHGGNVFFHPLDGGTRGEPTLQIG >Dexi8A01G0017400.1:cds pep primary_assembly:Fonio_CM05836:8A:29112785:29113399:-1 gene:Dexi8A01G0017400 transcript:Dexi8A01G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWVEYDREKTQINVTLAPLAMVKPRKPTVSAIQNLSDVLADVVYIGFSSSTGKINTHHYVLGWSFAMNSPAPFIDISMLPKLPRLHHPKGQRRSWVLEVVLPVATAALLLSLGTIVFLLVRKHFRYDEVRDDWESEFGPHQFSYKDLFHATGGFENKNLLGVGGFGRVYKGVLLRSRLKIAVKKVSHDSKQGMKEFIAEIVS >Dexi5B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:5B:8520879:8524455:1 gene:Dexi5B01G0011990 transcript:Dexi5B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVGPGGGGVGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGVAWFLDLFDYYIRTDERDAFSKELRLQTKVFYFDIGENKRGRFLKQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMSSANVSEFFLS >Dexi9A01G0025280.1:cds pep primary_assembly:Fonio_CM05836:9A:23332716:23334536:-1 gene:Dexi9A01G0025280 transcript:Dexi9A01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYNGGVIQNGEFNSGLMGWSTRQNFKAGVRSSPSGNKFAVVQGTGSTLSSRGNVMPSHSVYQRVRMQRDTHYSLSAWLQVPVRSAHVKAVVKAPQGERVIAGAVIAQSGCWSMLKGGMTANSSGHGEIFFESDAPVDIWVDSVSLQPFTFDEWDAHALRSANTTRRSTIRLVAKDAHKKPMANATVIVELLRSGFPFGNTMTKEILNLPAYEKWFTSRFSVATFENEMKWYSTEWNQNQEDYRIPDAMLKLANKYGIRVRGHNVFWDDQNSQIRWVRPMNTDQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKLGPSASAQIYNQVGQIDHNAILFMNEFNTLEQPGDPNPVPSKYVAKMNQIRSYPGNGGLKLGVGLESHFSTPNFPYMRSALDTLAKMKLPMWLTEVDVVKGPNQVKFLEQVLREGYGHPSVNGMIMWAAWHANGCYVMCLTDNSFKNLPVGNVVDKLIAEWKTHRTAATTDENGMVELHLSHGEYNFTVTHPSVKGAAAIHTMTVDAASSASDHTITVKA >Dexi2B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:2B:1955544:1958995:-1 gene:Dexi2B01G0002350 transcript:Dexi2B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRPHYLLPLLGLLLLLATSSAAAAVTADADALLAAKAALSDPAGALASWTNATASPCSWPGVTCNARAAVIGVDLSGRNLSGPIPAALSGLPHLARLDLAANSLSGTIPPALAKLGSLTHLNLSNNAINGTFPPPLARLRALRVLDLYNNNLTGPLPLKVAELPMLRHLHLGGNFFSGEIPPEYGRWGRLQYLAVSGNELSGKIPQELGNLTSLRELYIGYYNAYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLTGGIPPELGRLKSLSSLDLSNNALTGEIPATFGALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNKLTGTLPPDLCAGGKLETLIALGNFLFGSIPESLGKCEALSRIRLGENYLNGSIPDGLFELPNLTQVELQDNLLSGGFPAVAGAGASSNLGAITLSNNQLTGALPASIGNFSGLQKLLLDQNAFTGAIPPEIGRLQQLSKADLSGNELDGGVPPEIGKCRLLTYLDLSRNNLSGDIPPAISGMRILNYLNLSRNHLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPSLCGPYLGPCHSGGAGAGHGAHTHGGMSNTFKLLIVLGLLVCSIAFAAMAILKARSLKKASEARAWRLTAFQRLDFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKIMDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQMLSELPKPTLRQGEEDEHPSGNDGGVSDPPAADESGEALTNEAKEQQQQPSSESSPTPDLISI >DexiUA01G0001920.1:cds pep primary_assembly:Fonio_CM05836:UA:4807312:4809339:1 gene:DexiUA01G0001920 transcript:DexiUA01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLADLAGAGEAARSHLAGGGRRDRLAELLELAAVDDAAGFREKLAGAGIDGDAAELADGVGLWYGRSKAYEPRTPLMVAATYGSTEVVSLLLGLGCVDVNRRPGVDGATPLHCAASGGSRNAVAIVKLLLAAGADPVIPDSAGRFPADVILAPPALPDALGDLEMLIGRRRGLAVTTSVPSGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRTKKEYPVDPTLPDIKSSVYASDDFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRATSSIDMSAAAALGLLPGSPTRHFVPPPLSPSAANNGGGAAAHWLQGSRLRSSFNARDAQVDDLGALLEWESQYLGALSLPRSSPAQPRLSSGLSIRPTAIAPSNLEDMYASDMAMSPRFTNDQSHPVYSPAHKSALLNKFHQQKGLLSPVNTNRAYSPRGLDPSIIHSPFGGMSPRSPRTMEPTSPLSARVGATVAQRDMFDHFSSMNKHQLPSVGSPRNLNASWGNIGTPKSKVDWGVDNEELVRLRHFAQPAATDEEADVSWVQSLVNNADLNGRRGEMATMTSQPMSRPDLSTQGDLVDQTMIASWLEQQMHLEPK >Dexi7B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:7B:9331004:9335984:-1 gene:Dexi7B01G0003750 transcript:Dexi7B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTAGRRVGAVGGGGGGSGGRRRAGCGGDQTQAQQRLLAVAVAARFAEAGPPPSAEAPCSGSAGGCCVELLECLLAALGVSATAVTPAPAQYRWAVRSIRRRRPRGGGSAEGRRAGAEQPPPGRVTGNGASASAVASLYTMQGKKGVNQDAMVVWENFGSKDDTVFCGVFDGHGPNGHLVAKRVRDVLPVKLSANLGRNGTASGGTIPHRVEDTDASLENEENGDHPEWFPALRASFLRAFYVMDRDLKSHRNIDCLFSGTTAVTVIKQPCILLFLQGQNLIIGNLGDSRAILSTRDKDNNLVAVQLTVDLKPNIPSMIHLHILCEAERIRQRRGRIFSLPDEPSVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVTYHRITEKDEFVVLATDGVWDVLSNDEVVSIISKAPSQVSAARFLVESAQRTWRTRYPTSKTDDCAAVCLFLNTEAASTSSSSGTKDLRNNIEASSSKHSLTVKSSPAVPANLVAALVGDEEWSILDGVSGPVTLPILPKPTSVVNEKTKD >Dexi9B01G0017250.1:cds pep primary_assembly:Fonio_CM05836:9B:12012545:12020886:1 gene:Dexi9B01G0017250 transcript:Dexi9B01G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQWAMAPPPPPPQYFQAGHPPPPPPQFYQAGPPPPAMWGQPPPQAAPAPAPSGGGGGDDARTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVSYSSFHSSAATYQNTQGTDSDNDPSNTTVFVGGLDPSVTDELLRQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQNIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPAIKNNDSKGPSFANW >Dexi2A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:2A:3801557:3802288:-1 gene:Dexi2A01G0004260 transcript:Dexi2A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTTSGGGAAAAAMGCGDPRAPSPPFTKGADGVRPASLLLSARSLPNLFSTDTPMSPISMAEQSKNPTCSGARNGGAVRSGSSHCGGIGSPAAGLAGVLVAGEVDDVGHRNNGRVLLGMRRLRVQLPPPPGKGPGGGDLPGSPIEFGVKNRDAQLALLSPVQRSPLSSAAARLARRSEVEELAEEDYTCVIARGPNPKMTHIFEDRVVESRAGAGDDGGSDACRLLTSCSVCKKDALLLQR >Dexi7B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:7B:25521688:25524186:-1 gene:Dexi7B01G0020080 transcript:Dexi7B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKEGKRKRPRLSRLDVFRALVFEQRSRGVAVCPEWEEVLQFEQPTFTFVDPGFGGKLPVRISSERMRCSCSEERIRPRPDGRYGAAVDVCNRGGRVTYEIDTSSRSAQLAEN >Dexi3A01G0004110.1:cds pep primary_assembly:Fonio_CM05836:3A:2709397:2710931:1 gene:Dexi3A01G0004110 transcript:Dexi3A01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEGDKIEPPGLGPRTRRSAASRTAGDMADGGGKGEREVPLAYILSLPAAPPPLPVAVSCLDASVPRKARSRPRLRTQPCAWWAFKLPVPSPEEAKSLSSPASAATNPTEEARSPRPQRLRVRQAPLPGLDPHTPSPVIERPAKRTRRCLQCGAAETPQWRSGPMGQSTLCNACGVRLKAAGALREQVHRPPPATARTVAEPPPESPVSDSSPDGPIWEPGSVPDVYLLRKKPPKQRRPPPPMSEPSYAPAPAPAPAVYLVKKKKKKANKKKTPNKKPWRPRKSAKRCLHCGSSSTPQWREGPMGRSTLCNACGVRYRQGRLLPEYRPIASPTFDPSEHGNRHTQVLQLHRQRKSQHPMSTEKQRSMEELTGVAAEEEGGDDPMNVLLARRWPSKNEYPPTPLHQPLPLQQPVDSLAGDRPVGGTDDKIQARGGDNDPNKAPSSLDSLLLEGPSAPLIVDGDESLID >Dexi2A01G0010210.1:cds pep primary_assembly:Fonio_CM05836:2A:11382110:11383867:1 gene:Dexi2A01G0010210 transcript:Dexi2A01G0010210.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPTDGDEPLATVGYLWRNVLTVHGNPVRRYIDYSNAIDCLCHGHRGAKNWELIHQGYVNAWDARAGNIVHGGALHREGGYARYLDSLKENTRLKLKVAMVGHQIEDLPSDPEVVFDEYDEMTRKGTQPERGPLQDYIGQQVGRFANESLDALSVPIGDPEEASHLRAFVQRFHRGCRNIAFKLNCMASRDPHEHGAPSSSGTRAASTSRSSGGRGQRGRGITIGTPRRRGPEVAVPPEVETEEQDEEEDADEETKEEDEEDADDSDDSEEADPTYGQEEIGISQLPDAPSPTQASPPTKRQVRARDRAYVLSANKLPTNPGRPRRQKKPFTPNPSGRR >Dexi6B01G0004370.1:cds pep primary_assembly:Fonio_CM05836:6B:3665504:3665889:1 gene:Dexi6B01G0004370 transcript:Dexi6B01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKVLSLSDCLVPLFGSPLLP >Dexi3A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:3A:10380094:10381933:1 gene:Dexi3A01G0014250 transcript:Dexi3A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRFFSYEELYQITDGFSAQRLLGEGGFGSVYKGRLQDGTDVAIKQLRDGGGQGERHGMPVLEWSTRIKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVGDFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPKLSRALDTGDLEGLVDPRFEKKLNEAEMVRMIEAAAACIRHSASRRPRMSQVVRVLESLADIDLTNGVQPGQSQLFNVANTAEIRMFRRMVDGVQDDSSDLSLYGWSRGTDTAPNSSIL >Dexi6A01G0010060.1:cds pep primary_assembly:Fonio_CM05836:6A:12264307:12267551:-1 gene:Dexi6A01G0010060 transcript:Dexi6A01G0010060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPATISPLAAAGTHRGHGNSHAARRISPSPACHPSIALSSSPAISSILASAGVLKPKSRGLGLRCRATEETPPPTRVKAPLKVMISGAPASGKGTQCRMIVEKVKSRLDTYKKNSEAILPTYSDLLKQVLVYS >Dexi5A01G0005450.1:cds pep primary_assembly:Fonio_CM05836:5A:4059057:4059767:-1 gene:Dexi5A01G0005450 transcript:Dexi5A01G0005450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIGGCHGVCCLCCICGAKAICNLYAFIAAVVLTAVLVAAFAFPIPVHATVTDASLSRLDLIGGGSVHNHNNNNNGGGGHHYSLAYNLSLAIVLRNPNWRMRAELTSPLDAEIRFAGRRFDGARLAGAGRRIRPDTTEEFAVVAVSSLRGVALGGDAAWEFVKESSAGVFELELKLDEKVSYRPFNLGRSRRVELTCPVKMLMVPAPASAARMTHLMVFDKVVASDN >Dexi8A01G0005330.1:cds pep primary_assembly:Fonio_CM05836:8A:4815712:4821741:1 gene:Dexi8A01G0005330 transcript:Dexi8A01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHTASNVAALSLLSAASPRRASKFPPSPLESASEWMHMQMLNCPAAHLPASLDSVSARQGLYLNKAARAPDYHFDTARNLSGRSQVDGHGCGSPTHVRISCLPSFASFCVLPSPPGSGAPSARSLSQPHRTNQPSSNRRFGREQVGVPDFYKLPDDIHEILLAHGHLQSQPPEGHDGPVLQRRLVYRPVRALPKEFNIRSRKALLSPLQPLLPRVPREAPTLQLIVFPLLRRLLLVPFSAYVSSARQRHLLTRSGLSSTNLLYFTITEEAWGLFHRVELLHAPWDAEAGESTYPDLNLSCLVEIHPRFPSPRRMRQGARETSGHGGMAVAAAPRGKSTSLHAKSMLNNFGDSDSSLVAVQVDGGQMEGRRKVAFVQKQVGVQRRSMVEGKGYSTTARQRAEDCDGGRGETRLRRGDRG >Dexi2B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:2B:3256530:3264493:1 gene:Dexi2B01G0003750 transcript:Dexi2B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAPPIVGDSSPVPPPPVDPGSDSDSDYSLHEPQFVIHAVDDHRRRPPAPAAPIILQRGPGVEEQARVSHREAARRERLRYFLILPQAILCACVVDLGVVMICLANTAEAQANLVWVFVCSALVIFFSLVSDVARIFARPLPSVPPHSDRLPPVSTSAARSPFTAAHPARFRSDRSARVPPAPPRCGASSVSGGPATPSVAVLASSASHEEESPRAAAVGALSEGTAPRSEPSVPRLRFLDEPREGTPWSELCSVLYSRFSEVMTDANSSFSGGAKLQPSTSMNTDSFMRVPASPVSFSSNNISGSSVIDGSIVQQSPPQGQVQKRSSSVTSHPMIEAAGALHAQKKSRIDVRQDDILQHQLIQQLLHGQNSLHLQGQQNPQVQALIQQHKLAQMQQRQQQQLLQPFAQIQQSQIGIPRQPQLRPPLAQPGMQLAGPIRTPVDSGLCSRRLLQYLYHKRHRPENNPITYWRKLVEEYFAPRARERWCVSSYEKRANGSVSTPQTALVNNLLQVAQKYQAAASESGPAGVSNSDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYIRCLQISEVVNSMKDLIEFTSKNNRGPIEGLKNYPKASVPKLPGQNTHEAKQIIAGAGLPSDQNATTVLGVKQETRAHVDSGTSGVGAVGNSAPQNAAALNSYQNILRSSSANQSLLQQEASSVFTGPAALHSGIQLEASRSFRGPNQVQLAQLQHSKSFQHPMSQHNNVQGFGVQNNLQGVNPQYQQHVLNQLLQEVKNTNNHALEQQSLSANPNVNSSIATGAANTNSAGTGEQTQHINNSTVKGAATIGTGPSNVINNSIASIIPSRSNSFKSVSSNPAAATGGNAATSKAEPYHELDDLDHLITSELVESGLFMGDQGGSGFSWNM >Dexi9B01G0005760.1:cds pep primary_assembly:Fonio_CM05836:9B:3384835:3391439:1 gene:Dexi9B01G0005760 transcript:Dexi9B01G0005760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGRPRAELPDASGVFRRKGSALRGARVDARLDVWRAVGRRAAFGSVAAMGISARWLKSLVGLRKGERQQEQHRKEDEDRGLMKNDATYQFHGQNQHFQDDNSLGAQEELPEVDNGNGSPEGALDVPLSLEPTCGSPHGPLPQTEDELNEIWAATVIQTAFRAFLVHTHVVMWIPYDGWCDIIGSVEDIQAKLLKRQEAAAKRVEAGKRLTRKATANRSLKSTKDHQMLQSRHHLASSIDQLPNRVELQT >Dexi6A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:6A:8822001:8825169:-1 gene:Dexi6A01G0008410 transcript:Dexi6A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMSTTTTTKNLKQQQHVLPMVVAGMAAAAAPAAAACPVRTKLLLCAALGFALGVVATASLLVTSDYSYTANSSPLHGGTLAGLFMPPSSAAVHRDTQPPATHPPPPATASISAASASPATPPPPAAATGLASGGGGVNSTVTGDDDDDDELMAMAASAPREVPAGVVPKVAFLFLTRWDLPMAPLWERFFDGHRGLYSVYVHTDPAFNGSEPPETSAFYHRRIPSKEVKWGQISMVEAERRLLAHALLDDHSNARFVLLSESHVPLFDFPTVHSYLINSTKVYLESYDQPGATGRGRYKRRMSPVVTASQWRKGSQWFELDRRLAADIVADDVYFPAFRRFCKRNCYADEHYLPTFLNILRPSAGANRSLTWVDWSRGGPHPARFTRMEVTVDFLRWLRGGSTCTYNGRTTDVCFLFARKFLPNSLTRFLRFAPKVMGFG >Dexi2A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:2A:2159885:2161489:-1 gene:Dexi2A01G0002650 transcript:Dexi2A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHLSGQREWDYRVGSLQERSLEELPGGGGGELPPHPLQAALHLAHHLRSQQTEQGKSQAAPVRSRLKIVSSKSKKFHSVGRKLEEDSVSPCCYRWTPWLPEQRKKEERTNDRDLDETTDSFFLHKYGRRADRIRVQLITDATHNESYVLVLEKIEEEAIMAAGDEWIHVPSCHQEEVKEAAETETAAEVTAAAGKDKPSESMSDGGADADEESNNKDGGGTDDAAAAATDSDGDEDGGSYVSTEVTDYLHYFEEEDELAEDDVAAAEESLCGLNVGEGKEVVVDNPFYDYYGGGGQHTDAEAYHGYYGYYGYRSASGSAFGGYVPGSGLASASHGYGCGYGYGYGYGSSSTSRGYDDRYGYASDAYGYNRYGDAYGSGPAYGYGDAYVYCYGGGYGGYYGGLSYEPRYPWSVVYRGPPAYGLIPLYPAPMHCHYAGAGRWS >Dexi4B01G0008250.1:cds pep primary_assembly:Fonio_CM05836:4B:5954413:5964023:1 gene:Dexi4B01G0008250 transcript:Dexi4B01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKSSCDRIVIAVCICIWIPSHMADFLFLTVEDVSDLWLNVKESFEGRVPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKKVYARLEADFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMSNLHEDSLREYDELELCYSESVTSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLSRPIEVAARGHAFVVGFSKTLALHENSLPFCFREVWVITACLGLIKSTTSHYDGGAVSIDTDKEFYRLQGDLYSLCRIKARCCRQALMNIVKTLFMRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEVMEKEKMVLQAKSREKLFDIHRKPLPLEPSLLLREANRRRAFLSVGNLSELYDSADGSGLDANSKLSPNRSASNFMTRTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMSSLSSVEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLDSTLFSSKERQAFQSEVVRLAHSEMKYLVPLDVSSLITFAGSAGPPLELCDGDPGTLSIVVWSGFPDDITLESLSLRLSASSSADEGIKAIKSSDSHVLKPGRNTISFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVETDEFMSFETPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIEHYRGDVEHASSADSSAEAGRVEKIPIENGKIKLPDWASDVTTLVWFPVRAIDDTIARGESPASPQKQSVVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILCSEVKATLHVKDVQLDLQSGFEHLGKGDGRPASSLFPLVVAPSSRAGILFIIRLSGTKDLDEVENADSMLNIKYGISGDRTTGAHSPVPAQVGDSEELLFKIALRLKRPVLDPCLAVGFLPFSTDSLRVGQLVNMKWRVERLKTPEDSNISSDEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRMEITVTCIPLVSGYVHPPQLGLPEVGEVNISCNPAGPHLVCVLPPALSTSYCIPAA >Dexi3B01G0035740.1:cds pep primary_assembly:Fonio_CM05836:3B:38717343:38721496:1 gene:Dexi3B01G0035740 transcript:Dexi3B01G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRPLLCSEAGTAPPPAGRRVEAACSLEVLKFTMSGMASDDATAQVRAEGDVSEQKVEEVQDQNEVGGMPSRQEEEAVIKKKYGGILPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQPTQQNARARRTSYASADSDETLNLSAEDLGQQGEPVEDKNKE >DexiUA01G0011420.1:cds pep primary_assembly:Fonio_CM05836:UA:22985442:22986603:1 gene:DexiUA01G0011420 transcript:DexiUA01G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQEGKELQPYDGCDPSVFMGSVLLPQQASSAPPAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLATLRRMVPDTRQMDKATLLARVVDQVRLLKRKASEATQSMTLPQETDEVSIELHTGDNGVAGADKMIYMKASISCDDRPDLIAGLTQAFHGLRLRTVRAELTSLGGRVQHVFVLCRDEGWSSASASLRSLKEAVRQALARVASPEMAYGSSPFLSKRQRILESHYSIMSI >Dexi1A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:1A:2175681:2176177:-1 gene:Dexi1A01G0002990 transcript:Dexi1A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLRLPPKCVLRCRAVSKRWRSVATCPAFAAAYSRRRPLELLAYPGAPRQEPTGRRRRPHRRRLLRFHNPAHLVGSCDGLLLFVVHQRSFLVCNPATRHWTWLPPLPPRRCTYALATGFYLHRPSGEHRVLCTYSVPMHHATPGSVISIEEAMAMAGNFHY >Dexi5B01G0029210.1:cds pep primary_assembly:Fonio_CM05836:5B:30454412:30455212:1 gene:Dexi5B01G0029210 transcript:Dexi5B01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDITGSHWNSVEELLPLYRAITTCEVFDGTSTNFWHDQWLSEGRMRDVFPLLYTHATKTVVSVRDIVQHGIERHLVPRISRAAKEELTKMNTLLARVSLRDGADRRLCALADKDGALRSGKVYSKLMAISGAPACFATFERIQCRSNLKKKHILPDDACAICGNHEDCNHIMFSCPFSSQAWSSLGVDV >DexiUA01G0022630.1:cds pep primary_assembly:Fonio_CM05836:UA:45952812:45957818:1 gene:DexiUA01G0022630 transcript:DexiUA01G0022630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWGHGRMHELVDDGRPPVHRTGGSVLKTPWRMGGRRCTKEVALNEEAWTTVLGFWPNGPKFDIENVWSHGTKLPGQAAVLHPETHYKYHFSSNPEYARALTDAIEKMAETPEDVQAITEFGVFRESLGRFSRPIARAGASSMTPSPNLDDLYSSQLDKSARGRSSKNGYGKRVREDKEEIEFLYSSDGDEEEEFEDLLSDGGYDIPETNSDDGDHGNNHVETSPIIEGNKEASGVQDGNASGRRSARFQKKKKVQALY >Dexi3A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:3A:6896445:6901295:1 gene:Dexi3A01G0009790 transcript:Dexi3A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPVGLYHDIVLRLTRKKVNEQDNKHSSQIFHGILDQCNPHEFCMKMFAHALYTNPKRSKEELRKLHSTLRAVYPRSFGHLEGCQCFGVKYKRYLKDICCKIKLLKYLSLRRTNVIQLPIEINNLHELEVLDIRQTEVPPFATRNVHLLKLKRLLAGHTDPSPSSIYPSTTIIVKEFPRSVDIPEKVETMEAMEVLSCVKAQTSQDLKDIGKLWQLRKLGVVIKDKDTHLSSLLKTIDDLHECLQSLSITLLNAGLKGIPSSGGLPDAIRPPKVESLSISGITHKVNLLQLLADGNNQLTKGSNITDISFDNGATPELEKITLSFIDDVKSLSGVEDLPRLKEIELNTNTTTGTTSRNNEDKNSSSGRSSATTTTTTTTTTNSNNEDIDNSTSARPPLSPPATTLTARKPNMHCLALLEKSYVDSKITFNKNEFQKLSFLIVNCSDITNISFTEGSAPKLEKIIWTFSKGKTDYSLSGINNLPKLKNFEFYGDVVPDKVQEALTTIETNLLLRKMNQKIKRRR >Dexi2A01G0008630.1:cds pep primary_assembly:Fonio_CM05836:2A:8651947:8652408:1 gene:Dexi2A01G0008630 transcript:Dexi2A01G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPKLSAVIILVLLLGSTEMQGSVRVAEAARECKSQSHRFKGPCLRAANCATVCLTEGFTSGKCEGFRSRCFCTKSC >Dexi2A01G0036560.1:cds pep primary_assembly:Fonio_CM05836:2A:46094746:46095622:-1 gene:Dexi2A01G0036560 transcript:Dexi2A01G0036560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKVFGHPMLTNVARVLFFLEEVGAEYELVPVDFLAGEHKRPQHLKLNPFGKMPGFQDGDLVLFESRAISKYILRKYGKAELDLLRDNGSIEESSMVDVWTEVEAEQYYPAIAPVVFECIINPFIMRTAPTNQTVVDASLERLRGVLGIYEARLEKTAYLAGDSVSFADLNHIPFTFYFMATPYASIFDDYPKVKAWWERLMARPAVERVCKNMPTKFPLSTPEPGNK >Dexi8B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:8B:8018341:8019528:1 gene:Dexi8B01G0006870 transcript:Dexi8B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQVPQTRRGDVGDDAEGIGIGALLHHQDALYEVLLRVPAKPLCRFRAVCRPWRSLLSSPSFAAAHAARHRDQPLLTVCGWVRGSNNRASEIKLLDTFSGRVVRRFDAGPSSLLCRVWPHLGLVLIIRRLVAAAVDERLPTLSVLDPATGGVTALPLPGGGYYDDDTRTSFVFGREQAGDGKYKVLSLNTVLTQPFCKILTVDGGGGAWRHAPAPPVAIKTFHSETVVTSGVVYHLVDSSNGWTMAAFDLKAEQWLPDLLHGPAVVGGQPASINSREGRSLAEVNRCLAAIYSTPSAMDIWLLMGKAQWCKRCRVLMSSASVEWHYWLSPDPVPLWVLNDGRVAFLLRGPIFGNGTLWMYDPRTQASTRLSTCLKIGASAYTGNLLRQQV >Dexi2B01G0018150.1:cds pep primary_assembly:Fonio_CM05836:2B:28493988:28497632:-1 gene:Dexi2B01G0018150 transcript:Dexi2B01G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFASPAASNPFQTPAASNPFQTPAADKIREYKDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAIRSYMMLRPRFVRPGAGVANGGSSNPSAGAPSSQPIVDFYSGVPKRPSLFMQQTVNRFECYLAECCKWIGELEQLVQVENNKRSSDSLESLPKVMSNVHDYFIFVASKVENLHQYVESLKTEYLNEQRRMGGATASGSSFGGASKGRSKPRGRR >Dexi6A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:6A:24327680:24327961:1 gene:Dexi6A01G0016490 transcript:Dexi6A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVEFASVEGLEGSEMVCGWGAAEEIDARCRQLAVDSRRPSREGKGRRWEAGEARDGDWSPRLAAEGLEQTGTRRSCGFLLRAPSRAEEEG >Dexi1A01G0002430.1:cds pep primary_assembly:Fonio_CM05836:1A:1640566:1645267:-1 gene:Dexi1A01G0002430 transcript:Dexi1A01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGMIRGPGADTTTAGVGAAAVTATDIGVARLTNPTGRPLPLFMTAPTAAAGVYCAPPASSGTDAEIGVAFSGRSRLPSGPYFLGLPRFLFPSPLPAATAAADTGLAGGGGVVSGAGAGAGCAASAAAGEASVVAIVGSSLNTTFFLVGPEQPIRSRCSLPASFAAPAAPRHTPHLSVSHYKFLPPPPRPPLPVICRAHTPRRPHDDAHTKPNTRAAPHHHPQYPA >Dexi6A01G0011280.1:cds pep primary_assembly:Fonio_CM05836:6A:16044934:16047348:-1 gene:Dexi6A01G0011280 transcript:Dexi6A01G0011280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKFEDLYGFTVEGNVDDVNVLNEVREKIREQGRVWWALEANKGANWYLQPKISSNEGMISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRMSSLKMDG >Dexi9B01G0040860.1:cds pep primary_assembly:Fonio_CM05836:9B:41365243:41368020:1 gene:Dexi9B01G0040860 transcript:Dexi9B01G0040860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFVAFMAVVFVAAAAAAANGDEPLPPAGGAPLSFQEGYTQLFGDSNLALHGDGKRVHISLDERTGAGFASQGAYLHGLFSARIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTEDFHRYAILWSRDRIIFYIDETPIREVVRTESMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFADLALHGCAVGRRACKELGSSAAAPAMSPAQRSEMEAFRARHMTYGYCYDRLRYPTPLPECSVGPEAAAFLPSGDARATSRRRGRRHRTRGGGADSAV >Dexi8B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:8B:22993525:22995267:-1 gene:Dexi8B01G0013110 transcript:Dexi8B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQATRKKARSRRRRHRRGEPDRLSALPDCLLHVIMSSLKARQVVQTCVLSKRWRHLWGSVPCLDVDIDEFRTKTTPAAAPGGAHNGGSDDVDWSMSDDYLYGHLFVPKLEGESSNSEDNADAIDGSSESESDEDEGISGSGLESSDSDSRDSCSDDVFSDHEDDKEDGYGGEKDKEWEDFQDFTVSLMHRCDFSQLDSFRLHMSCQRSPGFAQRQVGGWLRRAMKYCTPDPAISQHKGLLRPSPWRLKRLHLCVVGLDNRFMRHVTSVCRTLEDLELHGCACKIQSFTSHSLKSLVLKNCRWSRLSKIASRTLKRLVIDDGQNDGCLLVILTPALAYLHLTVGVFNYCAGISIHDMPSLVKASIDVPDHRTITDEDLKLSGDQYKLLCSVSNAASLELSSLGRKVLTKEPTLHEFMNLRNLLLNRCNLGHDFRILRFFLKSSPNLEKLTLRLCRFPNHPDKNKGETNLDNTSSSEFLGLDFMCENLKVEIIYKDGDAHQLVKMLLCASGNLSKKYIKLTKVK >Dexi8A01G0007400.1:cds pep primary_assembly:Fonio_CM05836:8A:8372679:8374987:-1 gene:Dexi8A01G0007400 transcript:Dexi8A01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPFSCSQRVRIALNLKGLEYEYKPVNLLANEQSDPEFERLNPIKYVPALVDGDTVIADSFAILLYLEDMYPQHPLLPQDPQKRALNIQIASIVGSSIQPLQNYPVLNFIEEKLDSNETIKWTQHHINRGFTEQADVFLEPQIYGGVKRFGIHMSIYPVLARLHEAYMEHPAFLAASPEKQPDAPSS >Dexi2B01G0019460.1:cds pep primary_assembly:Fonio_CM05836:2B:29594800:29595078:-1 gene:Dexi2B01G0019460 transcript:Dexi2B01G0019460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALASSGAAAAAGVVQPWLAVLGVALLSVWAITLAVFLCGDSDSGSGRRPRYNAGAAAASAGAGCGASGGGGGCGGGGGGGGGCGGGC >Dexi5A01G0015590.1:cds pep primary_assembly:Fonio_CM05836:5A:13352505:13352879:1 gene:Dexi5A01G0015590 transcript:Dexi5A01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVLTLTLLLAPVAASSERRVYIVHTDVSAMPTHHADHREWHAAMVASVNEDDGMILYHYEKALHGFAAALSASELKALRDAPGFVAAHADHQSSWSYDTTHSMEFLGLDPGLWPATNFG >Dexi5A01G0015590.2:cds pep primary_assembly:Fonio_CM05836:5A:13352889:13356957:1 gene:Dexi5A01G0015590 transcript:Dexi5A01G0015590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDTGVWPESASFDDEGMPPVPSRWQGTCEPGVAFAPSTTCNRKLIGARYFNKGLVASHPHLNISINSTRDTDGHGTHTSSTAAGSPVPGASFFGYGSGTARGAAPRAHIGMYKVLWQETSHASDVLAGMDAAIADGVDIISISAGFDDLPLYEDPVAIAAFAAMERGILLVYDEAISARNSKQDLSSLTTASCVVVCRNTGNLIHQIKAVEEAGVDAAIFVSDDDVSSVRFTFPALVIRAEDAPSLLGYIHSRELPTATIKFQQTILGTQPAPVVAKYSSRGPSKSFPGVLKPDVLAPGDSILASVPPVRPYSRIGRTSLGSNFDVMSGTSMACPHARGVAALLRAAHPTGPRQ >Dexi3B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:3B:15508883:15509509:1 gene:Dexi3B01G0020500 transcript:Dexi3B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKENVPLAPKTLPRQTTNARPAPQRPNKAANGSPVAGGGILKCACFRLPSRSKNKPLPSPPPPPPPPATKLRSASHRGTVAPDVPTATQQSQRVTFRASASLTTWWPASPSASAGASHAPGVVAGGSVAPRRASASAAPPRNASGGGGTPRASSSTSFSHWRRSVSSRVTPHGERASFSFHTSPASASSSCMSTPKIPQGCQQQG >Dexi7A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:7A:29943338:29945612:1 gene:Dexi7A01G0021300 transcript:Dexi7A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLLVVHVLLVAAAVRTPAAHAWGKEGHYMVCKIAESFLTKKASAAVKDLLPGWAGGDLAETCSWPDTQRFRYKWSSPLHFADSPGDCKFDYANDRSESLMFLAHFVGDVHQPLHCGHTDDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKNFYNNDVSTMIQAIQRNITDVWSNEEKQWETCRIRTKTCADKYAEESSKLACKAYEGVQQDSILKDDYFFAALPVVQKRIAQGGVRLAAILNKIFSGNSRLQSS >Dexi4B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:4B:5927889:5929797:-1 gene:Dexi4B01G0008200 transcript:Dexi4B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTKKEKPIDVKQWSRAATVLAVVVMIAPLVVVLLGGRNFGAPGVWIQTAVTGLRKGSSDLPLHETTPRHNDDRLLGGLLVDGFDEESCHSRYQSAMYRRNPGRRPSPYLVSKLRRHEALQRRCGPGTAAYTTAIDQLRSGNNKSATSLECRYIVSLPYQGLGNRILAAASAFLYALLTERVLLIDPSHGMNALFCEPFLGATWMLPSGFPLQGYASFTNDTAETYGNMLRNKVIGAATQQMPAFAYVYLHSEASADDKSFFWDEDHGLLRRIQWLVMRTDNYIVPGLFLAGAFRVELDKMFPEPDAIFHHISRYLFHPNNHVWGLVTRYYDAYLADAAELVGIQVRVFGAQPNSPELLEQITKCTQNHGRLLPELLAAGTEPGTPESRRRKAKKAVLVTSLKSWYHEKLKGMYWEHAASNGERVGVHQPSHEEYQRFGVVSHDAKAWAEMYLLSLSDALVTTSQSTFGYVAQGLGGLRPWVMYRPDYDVTTVPDPPCGRAVSMEPCFFAAPNYNLWEKQWFDASTVVPHAQSCADFPWGGLMLVGRHE >Dexi4A01G0006080.1:cds pep primary_assembly:Fonio_CM05836:4A:4356126:4359214:1 gene:Dexi4A01G0006080 transcript:Dexi4A01G0006080.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNDWALEEDSKALQFLQPDLVLFTGDYGNENVQLVKSISDLQLPKAAILGNHDCWHTHQFSEKKADRVRLQLESLGEQHVGYKCLDFPRIKLSVVGGRPFSCGGDRLFRPKLLSKWLVLLLGNKITTGLILHVPCRYGVNNMAGSAKKIFDAAAGAPEGHSVVLLAHNGPTGLGSRMDDICGRDWVPGAGDHGDPDLEQAISDLQRETRVSIPLVVFGHMHKSLAYGRGLRKMIAFGANNTIYLNGAVVPRVRYAQASPGLEQNQPDGSVLVAPPTLRAFTIADLSEGRVEKISEVWVLVSGARAELGEEVVLYNHPREHMRYAMRDECAVV >Dexi9A01G0037820.1:cds pep primary_assembly:Fonio_CM05836:9A:42096980:42098706:-1 gene:Dexi9A01G0037820 transcript:Dexi9A01G0037820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSSSSTSSYHLLSPKSLLLLSFASSSLLFSFLFALFALRHGPPLHLPFASAPIGANASAVSIAPAPALRGSVGSAGLAEVDEAVRGSRGRDWAVEGNRRAVAGDRSPAPSVGSAIEVKGAVTGGGNGGAPANGEVLEGQEVEEAGNYSIGALNLAMDAKDEVVRVGGDGENLEKDPMSEKENPNSAEAKNISKIGTGSATETRLDVGDVSASLEATATTEKLQGTESVKPFNFSTEASGTAMEVGGEFLQDGHVGTKYISPVQAAYAFQLGEQRESPDHSAGKNNAGSSPANSNKQDPTRIEEELLSKMDSSRSDAVHCDVYDGSWVFDETYPLYTSDSCPFVDEAFSCGANGRTDHSYMKWRWQPRHCNIPRFDARRMLEMLRGKRLVFIGDSINRNQWESMMCLLRTAVSDPARIHETRGRKITKEKGDYNFKFMDYNCSVEFHVTHFLVHEGKARIGQKRTKTLRIDTIDRSSSRWKGADVIVFNTAHWWSHHKTKAG >Dexi6B01G0011900.1:cds pep primary_assembly:Fonio_CM05836:6B:19481401:19482207:1 gene:Dexi6B01G0011900 transcript:Dexi6B01G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATADANATVPSPPPLPPSPASQRAAAVALVQSRRELAATAVTVVIYLILAMAWLLFVRRVGHDVCGDGCPVFDATDAVLIGTTVSLVVLGVVAAACTIFLACCMNADKAPDPNVVAAADQSVLRVLILGMLAFLGIILLTLAGFLLEASSPGKGSITETSASVIIDAAVVSLVLLNCFVLLPAMTLFAWNRMLVIWQRL >Dexi6B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:6B:9575712:9584875:-1 gene:Dexi6B01G0007930 transcript:Dexi6B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLLHSRQRRRYSSAAATVPLSTPTFAVFGANTGVGKTLVSAGLTAALLNSPSPSVSAVAYLKPLQTGFPADSDARFVFSRTPALLRASSASSSSPRATRLVASCSTLFPSPPVGAAAEPLHKSQEKVVTYGGDGAPEETKVLSCRTVYAWREPVSPHLAAEREGMAAGDDEVRGFVEQWLLEEGIGNGGEVWKVLETAGGVASPGASGTLQCDLYRPFRLPAILVGDGRLGGISSTLSAYETLLLRGYDVSAVILEDRDLLNDKFLLSYLRNSMQRKSKDLLWWPFTQHNLVPQDSVTVIDSRCGENFSAYKIKDNKMMLVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRAAEVLLGGVGKGWASRVYYSDNGSTAIEIALKMAFRKFSLDHGILADGEELIKKERNIQLKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIKSATYNISLPQSMPHDPKTSGATCFSSEGEVFCKSRDKSSVSDLYLSYIKQQLSEFSVSSNSEHIAALIIEPVIQGAGGMLMIDPLFQRILVSECRSRKIPVIFDEVFTGFWRLGVESASELLGCLPDIACYAKLMTGGIVPLAATLATEEVFESFRSDSKVSTDFFYLFQLTALLHGHSYTAHAMGCAAALKAIQWYQDPSTNLNLDTNHMKLKELWDGTLVNQLSSLPNVKRVISIGTLCAIELKAEGSDAGYASLYASSLVQQLRKEDDIYVRPLGNVIYLMCGPCTARDSCSRQLFKVHRRLCDLN >Dexi2B01G0022990.1:cds pep primary_assembly:Fonio_CM05836:2B:32570751:32572801:-1 gene:Dexi2B01G0022990 transcript:Dexi2B01G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKRSKNRKAVKFYSTCFGFREPYKVLIDGTFVHHLLTQRLLPADDALRDLLSASRTPALFTSKCVVAELRRLGKSYAESFDAAQLLATTKCEHDKVVSAVNCVLSLIGDKNPEHFFVATQDADLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISDYKKLMKAASEGKTTASENGSDGEQHGRPISSLVKNALGVADRNKFKRNRAKGPNPLSCKKKKPKPQPSAIQNQEATVDGEAKRKRVRKRKRSQKDNKQSETAS >Dexi7A01G0001560.1:cds pep primary_assembly:Fonio_CM05836:7A:4197928:4199538:-1 gene:Dexi7A01G0001560 transcript:Dexi7A01G0001560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELKVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSKTPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIISSAFKARFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAASDMTQVLNVEIVEEPEQAEELAKREQFGLFSRQFAKRHGLHLLGTTVCWFLLDIAFYSQNLFQKDIYTAVNWLPKADTMNALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFVIQLGGFFFMTVFMLGLAIPYHHWTTPGHHIGFIVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQSTDPTQTDAGYPPGIGVRNSLFVLAGCNVAGFFFTFLVPESKGKSLEELSGENEDEEAETSAAADYRTAPAPPA >Dexi1B01G0031590.1:cds pep primary_assembly:Fonio_CM05836:1B:35081669:35086333:1 gene:Dexi1B01G0031590 transcript:Dexi1B01G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQMMFPMWGPPPPAAMPPPSEDPTAAPTAQPFLPPPNRGWKRKNPAGGGAYQPPALGDLQVQNRAKARRWFKNNSGGGNPNANNTRKYFFPKNKNNKAAAPRNTTSFIIRAKRAGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPAAAASDDDDEGNSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGEDAGGYVFEDDDEYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARFSLCDGAGGGENAVEDAPPRNVADRVHAGSEYSSEGGAERVDVASEKSEGQIAAEQGEAGLEKTGEQDAVGLEKIGKDGAEEQVVVGSEKAGKIDTEDQGAPCSKMTGEHDAELQVAAGIRKTGGHEVEMVDLELEKKMDGSGQCSPADLVFLARGGAAAAAAAAMDTPTAKVAWNANYGVVSSGDRRLAFSRQLSSNATANTPRLARSDSSITMPMPPLYQGPKPSRKLLRLATASRPMRRLALLLALNVAYSATELAIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRTKPDNMYTYGYKRLEVLAAFTNAVFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLSVNLLGVWFFRSYARVNIVYRNAEDMNYHSVCLHVLADSIRRYMRAPLLAGLILASWFLSLGIENAEVLCLGIVSVAVFMLVLPLFKAAGNILLQIAPGNVPPSAFAKCSRQVKSGADDQAVLEYAHGLYQDLGIQDLTVQTDES >Dexi3B01G0017680.1:cds pep primary_assembly:Fonio_CM05836:3B:13031748:13034453:-1 gene:Dexi3B01G0017680 transcript:Dexi3B01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGDGSPGGERRRVALRAFLAGGEASSSAAPEVEAVRTPSKGLLRGLGCTSAAASQAIAPDAARSSVDWRGLGCTSAAAQAHAPGGAAAAAAADWRGLGCAAADQAHAPAATAVEAARRSEEWRRRRRRNGRERRRARGAGGGGVVVAGGGGMGGDVWCTPGIPFAAEASSVDCVVAPHQTAGARRRSEAERPRRERPSAPPATARRVTMREHMSSSPMNSPPHHEMPFIDADRAPSGRNRHMGGRRHSHARLEEEMMMFRTRILLGRMGMYDQYQDWRLDVDNMTYEELLDLEDRIGYVSTGLHEDEITRSLRMVKYSAFNPKHFSTEMDRRCSICQEEFEANEETGKLSCGHSYHVHCIKQWLSRKNACPVCKTTVSKI >Dexi9A01G0032460.1:cds pep primary_assembly:Fonio_CM05836:9A:37347053:37348827:1 gene:Dexi9A01G0032460 transcript:Dexi9A01G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLATSTEHDHLLFLLPAATSTFLSPLLAVLLLAASLLWIFPGGPAWAALAISRLLRRHSATAAATPPPGAPGVLTALAGPAAHRSLASLSRSLPGGAALSAFSVGLTRLVVASRPDTARELLAGADFADRPVKDAARELLFHRAMGFAPSGDYWRALRRLSSAYLFSPRSVAASAPRRAAIGDRMLRQLLLSGGRGGGVVMRRVLHVASLDHVMATVFGARYDPESPEGVELEEMVKEGYELLGLFNWGDHLPLLRWLDLQGVRRRCRSLVGRVNVFVSRIIEEHRQKKKVSSGGANGEAAAGDFVDVLLGLEGEEKLSESDMIAVLWEMIFRGTDTVAILLEWAMARMVLHRDIQSKAQAELDAVVGRGGAVSDADVARLPYLHHVVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIARDPEVWGPDPTAFRPERFEEEDVSVLGGDLRLAPFGAGRRVCPGKTMALATVHLWLAQLLHRFEWSPAVDGGGVDLSERLGMSLEMEKPLVCKAAPRW >Dexi2B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:2B:19716140:19716409:1 gene:Dexi2B01G0012780 transcript:Dexi2B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSVRRGPAPPPPPTGGETRAGTGKNLKAPNEQGGQASSGRPRRSSGCRAKAAARAAKVQRALTCDEIRRDLEEPEPGGRGPRGRES >Dexi5A01G0029250.1:cds pep primary_assembly:Fonio_CM05836:5A:32370117:32374320:1 gene:Dexi5A01G0029250 transcript:Dexi5A01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARELCLVLLAAFFPVLSISTDSVGLAASISGNQTLVSPGGVFQLGFFTPDGARRYLGIWYYNIQEPNPTIVWVANRQSPLVNSPGVLRLTTDGHLVVLDSKNSPVWSSAAPTTNVTSGATAKLQDDGNFVLSSDGSVAWQSFDYPTDTLLPGMKLGVDIKAGITRNITSWSTPTDPSIGTYTFKLVLGGLPQFFLLRGDAMIYTSGPWNGEILTGVPYLKASDFTFRIVSSPDETYYTYSIGNTSLLSRLVVDGVAGQIQRFVWINGAWSIFWYYPMDPCDNYAKCGPFGYCDMAPTSQCNCLPGFQPRSPQQWNLHDGTGGCVRSTNLSCPGGGNGSSSDGFWVVSQMKLPEATNATVYDGMTLDQCRQVCLSNCSCRAYAAANVSGGVGSGCVVWAVDLLDMRQYTTFVEDVYIRLAQSEINALNAAANSRASPSKRVVTAAVVATVAGVLLLLPVGCWWWRKRRGKKDSSAPGGGDDVLPFRVRKHPDLDEEWRSAEKDVDLPLFDLEVILAATNDFALNNKIGEGGFGPVYMGKLEDEQEIAVKRLSRRSMQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDEDERMLLYEYMHNQSLDTFIFDEGKRRLLRWQKRFDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTEYTKKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVMVLEIITGKRNRGFYEVELDLNLLRYSWMLWKEGRSVDLLDEAMEGSFNYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGKNTSDTESSHGLTSNSLTITAIDAR >Dexi3A01G0028750.1:cds pep primary_assembly:Fonio_CM05836:3A:29677456:29678671:-1 gene:Dexi3A01G0028750 transcript:Dexi3A01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVTRRRSKRARLAAAANNGVLLTEMLFEILLRLPTIKDLSRLRLVCRAWRDLLTSDPTFARAHPSSCRLVAAGVCKLRGEIKFVDVLSGEVAKRMPVQEARVRYGHNVTTQAGYVCVQGQGSVGPCVVAAVATGAATILPAGVAVKHSAGDRIVSSTSLLGRVPSTGDYKVLRTHLCFGVVGPTSFYYHTCDVTTLGGARGDGRWRVRPDPPMCISGDFERRVVVNGVAYFLSDPYNCSTTDGSSRAIASFDMATEEWRSPMLRGPLSSMQSSSGNEMIEVGNVNGRDFMLAEVNCFLVTVHLKYQHLTDLWFLVDVDKGLWTKRYSVETTTVESRRFDKPLPLVFKVDQ >Dexi9A01G0014590.1:cds pep primary_assembly:Fonio_CM05836:9A:9643420:9646725:-1 gene:Dexi9A01G0014590 transcript:Dexi9A01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGLEGSQPVDLTKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMQPLTLYHQVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQWYAVLFTMFISLVHDI >Dexi1A01G0015840.1:cds pep primary_assembly:Fonio_CM05836:1A:22853933:22856132:1 gene:Dexi1A01G0015840 transcript:Dexi1A01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRRRRRKRREFAAAAAAAARFRDGGGGNRILHLALFAFNAAILQVLSRLEQKGESVFAKKEYARAVILVASQKTLPVLIAVVDQLGGALGESGFLVIPCVAAHINQIIIDSVIVNWWRQRDQHFTNTK >Dexi9A01G0032140.1:cds pep primary_assembly:Fonio_CM05836:9A:36973119:36973579:1 gene:Dexi9A01G0032140 transcript:Dexi9A01G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFQKKCMSEMTASGESFLMRHSWLGLITASSTAAAAIRHASAGLTTVRFSTPAGRPSTTGNGYVATSTLTWLGLCRAMIERTNGAFAVVTATVASTTSGRAAARWTAKLVKGPMWLLAKKGMRRMRSFLLWTEEAIARMQWFLGLSC >Dexi1A01G0005800.1:cds pep primary_assembly:Fonio_CM05836:1A:4279377:4282646:1 gene:Dexi1A01G0005800 transcript:Dexi1A01G0005800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVAGLVAESFFVACPAHESRKKNERNIFCLTCCASICPHCAATHRHHPLLQVRRYVYHDVVRLDDLEKLIDCSYVQTYTINSAKVIFLKPRPQTRPFKGSGNVCLTCDRMLQEPFHFCSLSCKVDHVMTQGGDLSNILQYYGAAGDPDHLSFPRFENLRVDGSDLDDDTDGGQITPNSILEDPTQHYGNGGGGGSSNNGDTRIIAVPRRGEAAKRKKGGGFFPQMLLSLGNRRKGAPHRSPLA >Dexi6A01G0008800.1:cds pep primary_assembly:Fonio_CM05836:6A:9551594:9552028:-1 gene:Dexi6A01G0008800 transcript:Dexi6A01G0008800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKIAATTALCLLLMTCGAEALLCSRRSSTFKGRVNLGAVVVVAAMVAVVGLGEGEHNQGSPCLRIQ >Dexi5B01G0002730.1:cds pep primary_assembly:Fonio_CM05836:5B:1719417:1721531:1 gene:Dexi5B01G0002730 transcript:Dexi5B01G0002730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGGGGALGVAGAGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGSKPQERMDVIGCSVSPSPPSSYQLSPRASYNASPNNSSFPSGASSPYLPPNELANGIDGNPILPWLKTFSNGTPSKKHPLLPPLLIHGGSISAPVTPPLSSPSARTPRMKTDWDESAIQPPWHGANSPSIVNSTPPSPGRSMAPDPAWLAGIQISSTSPNSPTFSLVSTNPFSVFRESIPVGNSSRMCTPGQSGTCSPAIPSMPRPSDVHMMDVVADEFAFGSSTNGAQQAAGLVRAWEGERIHEDSGSDDLELTLKL >Dexi3B01G0013010.1:cds pep primary_assembly:Fonio_CM05836:3B:9195675:9196536:-1 gene:Dexi3B01G0013010 transcript:Dexi3B01G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLMNQYIEFVADRLLMSPECRKMYNVANPFHWMELIISLQGKTNYFEKPIGDYQKASVHS >Dexi7A01G0001400.1:cds pep primary_assembly:Fonio_CM05836:7A:3517437:3519775:1 gene:Dexi7A01G0001400 transcript:Dexi7A01G0001400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLPFFLLLLSSPSVQAQQNITLGSSLTPQSSTSSWLSPSGDFAFGFRPIEGNTSSYLLAVWFNKISSMTVVWYAKTTEEDGSVVQVSSSSRLQLTSSGALSLQDPIGTEVLNPGMKLRSRIISTDYSNGRFLLDLQTDGAFFYPVAVPSGYQYDSYWSVPGNDTTNLVFNVSGMIYITSGNGTQIKITSGVITSIEDYYQRATLDPDGVFRQYVYPKKKKMPLSNGIIGDGVQRTVFIKVPKNKGQQSELIDSSTWKKDKKKKVPTLQSPSSLVLPLKSFTYAELEKATSRFQEVLGTGGPDHETYNAKSDTDA >Dexi5B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:5B:635435:635914:1 gene:Dexi5B01G0001010 transcript:Dexi5B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSKTRPPTAIPTTTGVESPELDGGGGGVAAVAGEGVALLPGVEVPGAGGVPGGAGDAVEGVPGGAVEGVAGCSGGGADGVAGVGVDGGAGDGGGGEEEELLDGGGAAAGVAGGGAVAVLLGGVEAVGGGDDAIAAAARVLGSLPCSALIEYGQLS >Dexi8B01G0015420.1:cds pep primary_assembly:Fonio_CM05836:8B:26229460:26230505:1 gene:Dexi8B01G0015420 transcript:Dexi8B01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding IESKSTKNMTSVDVHPTKTWIVMGHEEGDFFIWDYQEQKIVMGLQVNKVPGKTSRLRHSISQFIKETAVPHSVRSVKFIAQKNWLVVGDGDGYVYVYDYTDTELSEVKKFKAYRNNSVDSLAVHPTKPYLLLSSYFDRNIKLWDWKDLNNDPVKLKEFYVKPASAYEDGVHSVKFDPRDIDTFACITFDNKVKVGNINSSSLTTKVLRPFKGNYFFTHSHQHLMVTLSSKSQSEVQNIMY >Dexi8A01G0000300.1:cds pep primary_assembly:Fonio_CM05836:8A:227249:232630:-1 gene:Dexi8A01G0000300 transcript:Dexi8A01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQRGTSPPPLERDNFETLEEVLPLDLDLTRLPLPPSAPHLLAAAAVLGLLGGLLLYMLPRKRGVDAGQVQDLQNKAPRPAHQDKDDPAQMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDIGQNRAQACVPKLQELNNAVIISTITGDLAKEQLSNFQAVVFTDISMEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRAELLRFPIAGSADDAQKLIDFAININESFGDNKLEEVDKKLLQHFASGSRAVLNPMAAMFGGIVGQEFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGVKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSVNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLERDKCETFQDCITWSRLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPKQGVKIETDEKATNLSSASVDDAAVIEELIAKLEAISKTLPPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >Dexi6A01G0010770.1:cds pep primary_assembly:Fonio_CM05836:6A:13403944:13405426:1 gene:Dexi6A01G0010770 transcript:Dexi6A01G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMATLRMARQELEDLYLGVPDDSVDLTFKDLASSSLPAPATATSNLPKINEASDAASVADAVAADGHQDERKKTTAGGALARSSTNIFTYRPLEDHHYSDAVGGGAGGGGHGVGGGGALLQLSPSPAHPHQYEDDDEDDQHQYHYGAGAAGTTNSISRSAGVAGDGGGGRRNRRLHVADDTAGGRHRSSGNYKRPGIPHSNICALCNSYVCGRVYCRRCVAGGMGDMTEGRKCIDCLGRRYSHRYIHRAGDTSCGFCCFWGYYPNAKAVMAQELIWAEKGPAPRRRPRPAGSSTSYGGGSGYYSSTNTVASASMSMSMAMNNSDGSIAMVKMKGRGGGYHDSVTMPASASSSFVASFPHNPHAFPL >DexiUA01G0013090.1:cds pep primary_assembly:Fonio_CM05836:UA:27258793:27260222:-1 gene:DexiUA01G0013090 transcript:DexiUA01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTASIPCVTLNTGHAMPVLGFGTGSSSTPSDLSSIIVDAVRLGYRHIDTAALYGTEGAVGAAVADAVRSGAVASRGDLFVTSKLWIKDAHPDRVVPALKESLARLGLDYLDLFLVHWPVSAITDEGKRKLVAFDMERVWRGMEECHRLGLARSIGVSNFSSAKMSRLLSFAAVPPAVNQVELNVGWRQDKVREVCGKNGVVVTAFSPLGAFGAAWGSNAVMESGVLQDVAARRGKTVAQVALRWLHEQGVCFVARSFNRERLKQNMELFDWELSEDDKAMIMQIPQRRACHGEFFVSPDGPYKSVEELWDGDI >Dexi6A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:6A:24316758:24317812:-1 gene:Dexi6A01G0016450 transcript:Dexi6A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAPFRSCAAGSAPEEPWLAEHGDVARLFVAGDSTGGNIAHNVAMRAGRSGGGLPGGARIEGMVLLHPYFRGRELVPSERTTEPGWLERAERRWAFVCAVRYGIDHPVREPAVDTNTINFK >Dexi9A01G0038370.1:cds pep primary_assembly:Fonio_CM05836:9A:42657968:42659823:-1 gene:Dexi9A01G0038370 transcript:Dexi9A01G0038370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHRPLRLAFESAAVLAPRSLIVTCLLRSSLICAFLFVSPRCRVQRRRQGKMYTARKKIQKEKGVEPSEFEDSVAQAFFDLENGNQELKSDLKDLYINNAIQMDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVVVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKVIKIFLDPKERNNTEYKLETFTAVYRRLCGKDVVFEYPVAETA >Dexi2A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:2A:24330511:24338546:-1 gene:Dexi2A01G0014610 transcript:Dexi2A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGHELQGQGRGLLRPLRPKRERGRLQSLLLRRRRELRLQGLLEGRSSSGRASPCAGRSLTSIRFVFRRLRAGNVIFNYKYYFNTMHETEVTEDFSCPFCYVRCGSFKISEEYQVVNVILKYDAEQTEQTFLSVFTVIDPNLQLFAEKVDPRHQTFYFRHVHSHIMESGSPEDAQAASEDGYLQGDNGTSVANASIDPAQSLHGTNLSPPTVLQFGKTRKLSERADPRKYSFLRQLLQKRQFFHSHRAQVKSIFCACLCTFVAEPGHYPMALEQVYSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSQFHGQQLVENPALLWGWRFFMIKLWNHSLLDARTMDTCNTILQGFQGSK >Dexi5A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:5A:13708229:13709183:-1 gene:Dexi5A01G0015860 transcript:Dexi5A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSAVFANAARVMACLEEVGVEYEVVEVDYMAKEHKGLKHLARNPFGQIPAFQDGDIMLFESRAISKYVLRKYAKSAQDDLLREDNPEEAAMVDVWTEVEAHHYFPAMAPIFYECVVFPARLGTTPNQEVVGESVQKLSKVLDVYEAHLSKTKTMYLAGDFFSFADLCHFPFTFHVMSTPHASLFDSYPHVKAWLERVMARPSLKKLSAHMEIKP >Dexi5B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:5B:4060021:4063131:1 gene:Dexi5B01G0006020 transcript:Dexi5B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASGRGHVVYPPRSAEDIFKDYRARRSAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLLSVAFYYAARLNRNDRKRLFGMINELQTVFEVVSGARQQQSKERSSMDNGGRAKPSVKIENNGKATDEAYGEDDSDHGETLCGTCGGIYNADEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCSNKRARQ >Dexi3B01G0028000.1:cds pep primary_assembly:Fonio_CM05836:3B:23826474:23826829:-1 gene:Dexi3B01G0028000 transcript:Dexi3B01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHRRRIKCTCGQNSHHRAEPPMLKLTGARLFRLYSPPSESMSAILYPYSISPAPEPITLVTNLAGIETMTTIDVEVPPRRRAPPPSHPRRHPNLLQP >Dexi4B01G0019550.1:cds pep primary_assembly:Fonio_CM05836:4B:21710049:21714234:-1 gene:Dexi4B01G0019550 transcript:Dexi4B01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPTSHLLPLLPTLLVSVLLASAAGNELPELGGDDGLHREILRDETVLRLAELGKISDGEGYLERTFLSPASIRATAVIISWMKDAGLTTWVDQMGNIHGRFEPANSTKEALLIGSHMDTVIDAGIYDGSLGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATATAISQTRYNPESVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLAYDEECSCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRLVLQRCDDRLVDCRVEHKHSAPATPCDPELTSQLKRAARSTVSAMPGRAAAAETAVLMSGAGHDAMAMAKLTKVGMVFVRCRGGVSHSPEESVMDDDVWAAGLALVNFIEQAAAPEPPVVADEQDAAAVAEL >Dexi6A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:6A:12123923:12126259:-1 gene:Dexi6A01G0009990 transcript:Dexi6A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILFKIFGPVTNVLIVMPKRVNVPPLHPLRKLCSANGKVETRSVILPRPENDVPIPGNRTSIFMERYFDELQKYGGSSRLFDSGLNTQNGTHSQQGEWKGRYLELQDLFVHEVLDQMASSRNP >Dexi9B01G0003270.1:cds pep primary_assembly:Fonio_CM05836:9B:1866548:1868163:1 gene:Dexi9B01G0003270 transcript:Dexi9B01G0003270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKRMLFVGDSLNRGQQNSFEDKNKDIIEMETEDAYGMVLNAVLKWVESNMNPKTSRVFFVTMSPTHTTSKDWGEDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFSTSKIPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >Dexi5A01G0027790.1:cds pep primary_assembly:Fonio_CM05836:5A:31168607:31171260:-1 gene:Dexi5A01G0027790 transcript:Dexi5A01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASSRLLPRISALSLCTATPAATTASSSSPTAAAAAASASEASSTSGDPSSHPPPAARKPWGGLKFAAFATVTAAAGATGYASYAYSLEELDQMTREFRKKSKLRIPEDASSFEKFQAMAYSAAMKVPVATIEGYLDLRSQIEDQIRGFSEPVSDKLLPDRAPQEQHVLTLVLDLNETLVYSDWKYVDPVVDRLDPKGNVRHRLSRVATKYEHGKHYRM >Dexi7B01G0020640.1:cds pep primary_assembly:Fonio_CM05836:7B:25903624:25911559:1 gene:Dexi7B01G0020640 transcript:Dexi7B01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEERKKTACVTGGNGYIASLLIKMLLEKGYVVKTTVRHPEDKEANSHLEDLKKLGTLEVFRADLAEEGSYDEAVAGCDYAFLLAAPVNYTSKNPEKELIELGVQGTLNVMRSCVKAGTVKRVILTSSTAAVSSKPLDGDGHVLDEESFSDVEYLTAKRTGLWAYPVSKVLMEKAASKFAEEHGMDLVTLCPSVTVGEAPDRQVYTTVPAILSLLSGDEAELAVLKGIERASGSVPLVHVHDVCRAEIFAAETTEVPAGRYICNALETIVDMARFLADTYPQYKVNTDLQQPSTSSLYLCQHAGRIRRRLCEDGVRHRRQRVHRFGACEDAAGERISCQDDYQKPRSVHSLLLFPCNFDDTKKNSHFKDLQALGPLTVLRADLEVQGSFDDAVAGCDYAFLVAAPVNLTPSENPEKDQIEPSVRGTLNVMRSCVRAGTVRRVVLTSAASSVCIRPLEGDGHLLDEESWSDLEYVTAAKPPSWGYVVSKVLSEKEALRFAAEHSMSLAIACPVLTVGSSPVPEVYTSVPASLSMLSGETTTTIDDDAEKLNSRPEFSRCCRCNLINRSGDEVALGMLKGIEKSFGGVPMVALDDLCRAEVFLAETDAASRRYICSSLDTTVVEMARFLADKYPQYSNNVNTASEHSGEVLEKPRVRLSSAKLVKEGFEFRYSTLDEIYQDVVEYGKAVGILPY >Dexi9B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:9B:4070892:4072781:1 gene:Dexi9B01G0006780 transcript:Dexi9B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLALALLLLTSLQLAVADQFTYDGFAGASLALDGAARVAPNGLLVMTNDTAAMTGHALHPSPLRFRDEPAPNGSVRSFSASFVFAIVSAHLQSSHGLAFFLDTVLNYEFADIDNNHVGIDVDSLRSVAAASAGYYDADDAGDVLQNLSLSSGEAMQVWVDYDGNSTVLDVTRAPAGDPKPNKPLVSSPVDLAAVVPAEAFVGFSYSTGAMACSHYVLGWSFALDGAAPPLDTSRLPDYPKPTRRRKKKMLAIVFPVAAFVVVVVSVAGVLVYVRRRMEEYVELRDDWEAEFGAHRFAYKDLYRATHGFTENRLVGKGGSGEVYRGALPTRCGSEVAVKRVSDESRQRTKEFVAEVASMGRPRHRNLVPLLGYCRRKGELLLVYSYMPHGSLDKFLYDQDSKITLSWDQRFQIIKDIAAGLLYLHEEWEQVIVHRDIKPSNVLLDDEMKGRLGDFGLARVYHHGAANSLYTTSVVGTMWYLAPELMRTGKTSPATDVYAFGAFLLEVACGKRPIDEEGLEGEESLTLVEYVLGHWHNGSLTEAVGSNLRPNCDEAEMVAQRPRN >Dexi6A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:6A:21650672:21653422:-1 gene:Dexi6A01G0014270 transcript:Dexi6A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAAAGVQHGTHEALLLQAAGGSGAGVAADYGGHAGPASLLPWLGPAAAPGFSSSSSSYMSPHHLHHQGPPFISSADAAAAVGPFGFGGGYSDGGQLGVFGLEPPMPMPPPQGMLGGMAQGSRTMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAVLAGVGEGGESSSASAAVRQQHHLLLPTESDELAVEAGEDDEGRLVVRASLCCEDRAGLIPDIARALAALRLRARRAEIATLGGRVRNVLLITTADDDGGEEEEEGHQGGESEEDDAVGGNGCGDDDDDGRAAYHHHRRRELVAAIQEALRGVMDRKTESTGDTSSSSGGGGGSIKRQRMSGGAHEQGSL >Dexi7A01G0018220.1:cds pep primary_assembly:Fonio_CM05836:7A:27686197:27686651:-1 gene:Dexi7A01G0018220 transcript:Dexi7A01G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAARTLTLSRATAGPFSVLRFRASRALSAKVEFVEIDLSEESPSSSSGGGGGAGDSAREQAQMGMRRLEDAIHGVLVRRAAPDWLPFVPGASYWVPPIPRPLGVADLLGAGVYTGRGSPGMTAEEAMSFTTVRGWPSAAYFVEVD >Dexi1A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:1A:1080022:1083328:1 gene:Dexi1A01G0001600 transcript:Dexi1A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAAGAAGAAGAPLLLHGDLDLTIHEARGLPNMDLLSTFLRSLCLCPPALKKTTTLPSRSLPNNDDDTSHHHHHHRRRRKHQPHGHRMLPTSDAYVKVMATGGSHHQSTLVRTFVFRNSEAPKWEVTFLLHLAHHAARLEFHVKDADPFGSDLIGVASLSAAAILATAGKPERSEWWLELVRPDGRRGGPPKPAAGSSAAIRISARFIPAGSTPSPWRLPGGGIPAYFPARRGCDVRLYQDADVAAAGGEDAGVPGVFQPGRCWEDMCLAVLGAQHLVYVAGWSVDTRVRLLRQAMSPEMEAKAAEVWELGGVKVENMSLGELLKYKSQEGVRVLLLVWDDKTSHDTFFVKTRGVMRTHDEDTKRFFRDSSVICMLSPRYPSNKLGIVVGTLYTHHQKCVLVDTPASETTRRVTAFLGGLDLCAGRYDTPSHTLFHGLHTVFHGDVYNPTFPGDDAANKGPRQPWHDMHCRLDGPAAYDVLENFEQRWRKTKKLHMREVFSFRNKNKKTRWKEDDLLKLDRISWILSPTKPPPLSDTAAGGNEDDDEQVALQVLPEHDPERWHAQIFRSVDGGSLKRFPRPWDKEQMMRHHLMCDKNLTVEQSIHTAYVSAIRSAERFVYVENQYFIGSSYAWPSYGHPGAANLVPMEIALKVAAKIRAGEAFAAYVVIPMWPEGDPRSAPAQEILFWQYQTMEMMYKIVAAAINDGAHPQQFLNFYCLGNRETPPEAVAAGDGDDDMTSAPAAARRNGRFMVYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPEHHLAGGKVFGYRMSLWEEHLGRETMARWPEVVRRPELRECVGLVNGVARENWERYTAAEGRGGAMKGHLMRYPVVVGVDGSVGPLQGYETFPDVGGRVLGSPNNLPDYLTM >DexiUA01G0017510.1:cds pep primary_assembly:Fonio_CM05836:UA:36805443:36806910:-1 gene:DexiUA01G0017510 transcript:DexiUA01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPPPSTLPTSWAVVGLGRPAAASFPCLKLGRGTEMAALRPRCLVARAQMNHGRNDVQLLPFPNHFVEEMNTIIGRRIKISATCEGFLHREALSTSACVCGSAGKAVIMASQLVDSASLAAGGGLSSDTVHKTLQEYVNVFLRTAEDSYNRRFYKDNVMWFLDALRGLASISHILLEDALEALSHTHPKESLSEYAFNNDVKKMRREFNGQIDDL >Dexi9B01G0034670.1:cds pep primary_assembly:Fonio_CM05836:9B:36600447:36601570:-1 gene:Dexi9B01G0034670 transcript:Dexi9B01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRSCFCWPCNYVSEALPPVGDPADTTCPAEQPSPAVAPMPSNHSEPGCQPPPPRIPVAVFPYDVDPMRRVLPARGVREGTRPDRAEHVPPPIGARKANLDDLTWRIVAEFALQEVGHIRAIQDTVGGFPRPSIDLSAHNFARVMDLAFGSPLNPPFDPYINSLNFLLASYFIPYLGINGYVGTNPIIDGYKTKMLVAGLLGVEAGQDAVIRALLFERRGLVVAPYNVTVAEFTDRVSALRNKLGQCGVKDEGLTPGRPPSCSRSSTSPATSACPAGSSRRAPTGGSPGRFLANQILAPTRCLETS >Dexi1B01G0030830.1:cds pep primary_assembly:Fonio_CM05836:1B:34651574:34653049:1 gene:Dexi1B01G0030830 transcript:Dexi1B01G0030830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPHYDDQSSISPLHAPVPRLVPCFLLLCCTVACERKVRLASHAASPRRPSVVRRPPPHTVVGRWCSLNWQVQELGIRSLRGMSLFGESVVRFGGETKLKPVPVRLGSAGGSVAM >Dexi9B01G0014360.1:cds pep primary_assembly:Fonio_CM05836:9B:9622367:9622714:1 gene:Dexi9B01G0014360 transcript:Dexi9B01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTLDYISSVRASFNGQWLCGLCCEAVRDEACRKKAQPGVEEAVRAHMAFCKMFKSNPAVRVADGMRQMLRRRSGDLSKPESAKKYSTSQVGDASSVTLY >Dexi5B01G0035710.1:cds pep primary_assembly:Fonio_CM05836:5B:35623898:35624397:1 gene:Dexi5B01G0035710 transcript:Dexi5B01G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQTVKADRVTFYECRFLLFRDTLLDEIGCCYYHKCYIKEGADFIYGNGKVLFEECHLHSILTAGGSFTAQRRMDERSDT >Dexi7A01G0004040.1:cds pep primary_assembly:Fonio_CM05836:7A:14261342:14261665:1 gene:Dexi7A01G0004040 transcript:Dexi7A01G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPLQHGNGGDRQRNKSAHGEEERGTGEPHLRRRGVLTLHRPGRTEEEDDDGDNRRDRRGGRGVARSNSERVTTAVWSRCLTAYRWAVGAQGPARVGLPGPSKREG >Dexi7B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:7B:12408991:12412038:1 gene:Dexi7B01G0005150 transcript:Dexi7B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITTLSTLPLRRSLLSEMPLLPSTATAAGALPHLRLPLRHSPHPLAPLLLRRHHHPSPHPRLLPLAAALPPPPPEDLLPSQATGLVAASQANFMRVIVDAAPPGLDHHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWADRRGMIEDVFERRSEVADPPVANVDRLVVLFSLDQPRPEPATLTRFLVEAESSGIPFVLVFNKVELVDEQTIAYWRDRLKSWGYDPLFLSVDKQSGFNALEEMLGGQTTVVVGPSGVGKSSLINALRGNQNISEEDPIHKLLEQVNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPVAGGGFLADTPGFNQPSLMKVTKKSLAETFPEIRKMLKENEPSKCLFNDCVHLGERGCIVKGDWERYPYYLQMLDEIRIREEIQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIEEDIDDLDDDYQFDFKQHSRKR >Dexi2A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:2A:148617:150472:-1 gene:Dexi2A01G0000240 transcript:Dexi2A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMPRDESSPSKAIVVSGGLGNSTATTGRLPFPVLTRTNYAAWAMRMKFLLRANGAWDAVDRGKKSKDEVVDEVQDQLALSIISQAVDDDTLLRVSEKETAHDVWEALRSMHVGVERVREARVQALRTDLDNLKMSNAESIDDFAGKFMMLVGRIRELGDAVEEKYVVKKLLRSVSTKFINVASSMVLFGDINNMAMEEAIGSLKAHEELLKGQEVRSEGQLLMARGGDSARASRVISEAAGDSKYLRHAIACGTPWLVTWKKPHSDAARTMDARHCSSFSCCRSITGRTTTHLLTSSSLFIVVVVRTSSRCLYVAINGGFESSSSIIAVDDIAS >Dexi7B01G0024510.1:cds pep primary_assembly:Fonio_CM05836:7B:28850215:28851628:-1 gene:Dexi7B01G0024510 transcript:Dexi7B01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGSRSRVVAVLLLLLLHAAVSLSTTTVRVNEDPIADGLSWGFYDDSCPWVDDIVRWYVTEAVRRDDAGIAAGLIRIFLHDCFPHDSEQLEIPNQTLRPEALKLIDDIRGALSDACGGPTVSCADITTLATRDAVAASGGPLFDVPLGRRDGLAPASSDLVGTLPAPVFDVPTLLEAFRNRSLDTADLVALSGAHTVGRGHCPSFSDRLPPNADMDPALRQKLAAKCGKDPNAEQVLDVRTPNAFDNKYYFDLIANQGLFTSDQGLINHLATKRIATRFALNQAAFFDQFATSMLKMSQMDVLTGNDGEVRLNCALTNAAAAAAAGGTVVQTTTADEGHKLNQPPCRTGRAQYRDAA >DexiUA01G0017050.1:cds pep primary_assembly:Fonio_CM05836:UA:36200638:36206157:1 gene:DexiUA01G0017050 transcript:DexiUA01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRAIEQRLQPAPPRTRSPPVNDVAPARRERSVRTTHSRTALTCGCRQVGDAQSPRFAEARSRRWRPQLGRYSLGFPVNP >Dexi6A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:6A:4416089:4417045:1 gene:Dexi6A01G0004840 transcript:Dexi6A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDPWDSQYHARVVHSGANSTSSSSSTTMARAPPSLPHAASCAAAAVALLAAAYYLPPAYQILSSLLVWVAASLLLAPFAPSSATGGDISVGRGRLLPDPEPAQEPIPDPAPAPRHTRRQNPAVTPSKPSDPTTAPVQSAASLQPLQKVAAAGEAGVDGGEREEDAGDWTDQELELLRRQMVKHPAGEPQRWEKIVAVFGGRCTPESVIRAAKSGASAAGGGSFEQFLRKRKPLDPRADGADAGDNAGGVENGDGSWSAGDDRALLNALKEFPKETAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSNKAVEAAS >DexiUA01G0006730.1:cds pep primary_assembly:Fonio_CM05836:UA:13034440:13035746:-1 gene:DexiUA01G0006730 transcript:DexiUA01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVLLGPSEFLTTISGTIDSYEDHSQVITSLMLVTNARSYGPFGRTQGTPFQVPLQSNGCIVGFFGRADQYLNAIGVYTNHNLEIMQQEEAGVGRIGPWGGDGGRSYDINATPHHLESVTICSCIVIDSIAFSFRDHNDRQHHAGPWGGYGGNDHKIQLEPSESVVKLYGTIGTFNGIPNVVTSLTFVTSSAHRYGPYEREEGTAFYIPVQSNGSIVGFFAQADEYIRAIGVYARTPYFNSILPVQCLHLHQDQGIFIRLEFG >Dexi7B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:7B:7167904:7169093:1 gene:Dexi7B01G0003160 transcript:Dexi7B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAATMSTMKFCRECNNILYPKEDRDRRTLFFACRNCEHQKLCI >Dexi5B01G0007530.1:cds pep primary_assembly:Fonio_CM05836:5B:5087033:5090860:1 gene:Dexi5B01G0007530 transcript:Dexi5B01G0007530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAAAAAYGCCRRLLLASTTVPARSARAHSSITLALRCLPITGRRLRSQRVACQATTETEPEGNDDNEEKEVFGDDASSPLADSPAEVNVPVESDSNIDNKKDETTNAEPLSSSNTVQNIDGDATASNDTQENVEVVEVTSGSPLPGMKQQLDDVERIPKATIDILKDQVFGFDTFFVTSQEPYEVNSWDIMGGVLFKGNLRGKPSKSYEKITNRLENKFGDQYKLFLLINPEDEKPVAVVIPRQTLQPETTAVPEWFAAASFGLVTIFTLLLRNVPVLQDNLLYEIGSFGAITRIVNIVRNREDLLKLAAAGPVAGFSLGFVLLLLGFILPPSDGLGLVIDPTVFHESFLVGGLAKLLLGDALKEGTQLSVNPLVLWAWAGLLINSINSIPAGELDGGRIAFAIWGRKISSRLSSLTVGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGIAVLLFGLLICLPYPFPFDLSQLTDMDFDF >Dexi1A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:1A:6481212:6485301:-1 gene:Dexi1A01G0008370 transcript:Dexi1A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVQAVEPRPAAATATAAAAAAVGPKAAATQPTARGCGGTAAVAAGKAATMEHVLLALRETEAEREERIRAVFAFFDAAGRGHLDHAQIVAGLAALRVPVPEGGPEAGAGAEDYARALLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIGDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPEGISKHVGASKYLIAGGIAGAASRTATAPLDRLKVNMQVQTNRTTVAHTVKSIWRDGGLLGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGEEKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTYEGGRIPSLAALSRDIWIHEGPRAFYRGLVPSLLGMVPYAGIDLTLYETLKEMSKTYVLKDSGTLLLPESAHAFTLTSQLVSLSYDFMM >Dexi5A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:5A:8816297:8817720:-1 gene:Dexi5A01G0011720 transcript:Dexi5A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGGNSRVRVLSRRLMKASDSSIEPHVLAVSNLDLLPQSMQTSLFCIYARPPPSTTTGYFESAAAIFAIPLAYFPEPFLPARRPHRIQPTLWAPRGAELVVGEASVTLASLDYGRITSTLRQFQLPYDMDVALSVQLVSFTCGGFTVAWCTSHVLMDASSMILLVNSWSEHARSGALPAGVRPNHDRSLLFRPRAAPSYSASLHEAFTPLDKRHQVNVLTTQQSMVERLILNRRAISAYLWKALANVVGEADECCRMGWWVDGRSRLTTPKISDALTNYIGNVIAFVVREERVQELVRMPLADVAAMVREAIAAPVYDEHFQELVDWVEEHKAGRYVDTASIGLGSPALRITAAGAAWFDTDFFGLGQQATLATVTATLVARLCAGFVRLGPRPTGDGSWIANAFIWPRLAAALESDEPRVFKDVTAEYLGLASPQVLRGRL >Dexi4A01G0018720.1:cds pep primary_assembly:Fonio_CM05836:4A:22688191:22689222:1 gene:Dexi4A01G0018720 transcript:Dexi4A01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKISSKMPLLAILLAVLLLLPSATAAAIAKSIDASNIQRMQLPDVLVGPESIAFDAHGGGPYVSISDGRILKYGGEGVGWTTFAYSPSYTKNKCDEFTELPPAATESSCGRPLGLRFHNKSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDIDQVTGDVYFTDSSKTYTRAQHQMVTTSGDSTGRVMKYDPRTNQVTVLQSGVTYPNGIAISADRTHLIVALTGPCKLMKYWIRGPKANTTEPFADLPGYPDNVRPDGKGGYWVALHREKYELPFGFDRHLLAIRIGAEGEKLQEMKGPKNVRPTEAVERVGGKIYLGSVELSYVGIVST >Dexi5B01G0010040.1:cds pep primary_assembly:Fonio_CM05836:5B:6989403:6990525:-1 gene:Dexi5B01G0010040 transcript:Dexi5B01G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRTTTTHVVIGDITTSNADGAGPDTSSRSAGDGAAGAGGLSGCGASAGASFLPCCFFFFLCLFFPLDGASAGGSVAGVSATGGGVAAGGSVAGASAGGGVAVVGGEAAGGSTAGGVAFGGGLAGAMVAGGFAGGTGATVGAGVAGAGGGFAGATGVVVGAGVDGVGGGFAGAAGVAVGAGVAGVVGAAGVWLSALALLVSSALLVSLVMLVSLLVSSALLVSSVQQGSPVSSVQQGSPVSWARPVLWAQQGLWVRPVSWEQKV >Dexi4B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:4B:13374933:13375439:-1 gene:Dexi4B01G0013700 transcript:Dexi4B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGQAIPSNFIPAIEKGFREACNSGSLIGHPVENIRIVLTDGASHQCYTAAKPVILEPVMKVELKFPTEFQGTVTGDMNK >Dexi3A01G0023030.1:cds pep primary_assembly:Fonio_CM05836:3A:18608776:18612602:-1 gene:Dexi3A01G0023030 transcript:Dexi3A01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSLTVIEAAAAMPAGLRLGTSPALRLAAASRHGLRPPRLLRFGGPGVGGARRGMLCSAEAARRGEDAEAEEAPRGGGSRAAAVERRMRGGSAAAAAVGTSVELLAIPGVGPRNLRKLVDNGFEGVAQLKQLYRDKFFGKSTEQMVEFLQSSVGIVHKNHAESITSFIKESVDEELKDTDSSRPTQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVNKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLHEKHESWLLPSKGSGPGVLSVPALVLDCEPDIDFNKDIEAKQQYARQVAEFFEFVKKKKEEAPSDQTSADKDRMNPQVMLPNRGRLWVPEGNPFAGSPMNLDFRRAMSSYIST >Dexi9A01G0029990.1:cds pep primary_assembly:Fonio_CM05836:9A:34901763:34906329:-1 gene:Dexi9A01G0029990 transcript:Dexi9A01G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLLEPPNPNKLHRTEEEDLPLFLLQDSCYFVPSTAMRRPWRQPAPAPAAAAARHGAADLCLREVGDLLPRRFARRAAGSEDLVKRLQIHQKLDRHTGCVNTVAFNEVGDTLISGSDDQMVMLWNWDTGNIKLEFHSGHVNNVFQARFMPCTDDRTIVTCAADGEVRLAKIKDGGDVSTTLLGEHEGSSHNLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFLCRSSFSKSGFSSCVHLNTIAIDPRNPNLFAVGGHNAYARVYDIRKHTWDGSSDSGHPSDCYCPPHLVDDKRVGITGLAFSHLSELLASYNEENIYLFPKNGGLGSDPKSIKIGVNEWCNSTMGQDFAQPKPTPQVYVGHENRETVKRVTFIGPNDEYVASGSDCGRIFIWRKTDGCFLRAMEGDECVVNCIEPHPHDMTIASSGIDNDVKIWTPFAIERAPTILIKPEWVMSPNPGPILLSLSSLLSYNLYSPHGYSWRPRKRRVKFWNFEEMFLRNFVVSGRRQQSDEEDSSEDLLYSSGLLNLVAAADGSVSSADDE >Dexi6A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:6A:946965:947822:-1 gene:Dexi6A01G0001110 transcript:Dexi6A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSKSVKLVSARTNKPLEVDIAEEEDARMSSSADNTVYCCIAKGRKVIYCYSSKDGGDPDPQVEATAALCLENSPPHHRHYIHTSGSRSYGYLMADGHTFFAIIDPSVGNADALQFLERVRDVFRSNAASRNGLHDSLVPAVRRLVASLEKMPHATFVLEENTERGGSNEGSGCTSSKVPLLGKSGSRKEKKKSSKDKLASAGDGEHEHHGTRGVRIDVPAEDVGGMSLERSSSQSRLRRQQPSRSLWMRHVKIIIIVDAVICLVLFAAWLAVCKGFQCVSG >Dexi5B01G0030490.1:cds pep primary_assembly:Fonio_CM05836:5B:31414780:31417012:1 gene:Dexi5B01G0030490 transcript:Dexi5B01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGSGDAEPAPTASSSSSSHLGSGAAASSHNGTGVVSTTTTTSAPTPTKPPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGERFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGKYTERAAAALLRTIVEIVHTCHSLGVIHRDLKPENFLLLSKDEKAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRSYGPEADIWSIGSEHGIFNSILRGQVDFSADPWPRISPGAKDLVKKMLTSDTKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDADNSGTITVDELRRGLAKQGTKLTEDEVEQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDGSGCISKEELEQALKEKGLMDGRDIMEIISEVDADNDGRIDYSEFVAMMRKGNPEQNPKKRRDVVL >Dexi1A01G0021130.1:cds pep primary_assembly:Fonio_CM05836:1A:27960348:27960859:-1 gene:Dexi1A01G0021130 transcript:Dexi1A01G0021130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGVLVGMCIVLVCLVAVPGAPAVVHGATGNGLLQIPSNASLAHCPTNCGDVKISYPFGIGAGCFRQGFELVCNNTAHPPMLLLKNSTTQITGIYAGRGIAYGSMIGYNITMSPGSDTYYWSWEAPAEGQFVCCWMQCRRLHVRGQCYRPHQFLHECLHR >Dexi7B01G0018900.1:cds pep primary_assembly:Fonio_CM05836:7B:24526021:24526296:1 gene:Dexi7B01G0018900 transcript:Dexi7B01G0018900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKTPTLSTSRKVGAVRLYTEKEASSAIDLLSVVGFHQEHTCMQTETSQPLRASFRLGVAVHDRLAASFGLAGSEEMTTGRPVGTNLYVV >Dexi9A01G0040660.1:cds pep primary_assembly:Fonio_CM05836:9A:44399607:44401246:-1 gene:Dexi9A01G0040660 transcript:Dexi9A01G0040660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAFSRWWWSLLPLLLLATASRALNIGDLLGTPPAGSQGCSRTCESSFCIVPPLLRYGKYCGIMYSGCPGEKPCDALDACCMVHDHCVDTHNNDYLNTRCNENLLSCLDRVSPAGPTFTGNECDVGQTASVIRGVIETAVLAGKVLHKRDDGQ >Dexi6A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:6A:8634980:8635807:-1 gene:Dexi6A01G0008350 transcript:Dexi6A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRPTRRTMSRSKQETEDCTHVFEISQYSLLEGFKTGLSIQSADFTVGGHDWCILFYPSGSGSEEKEGYVSIFLKLMSEATDVSASFDFRLLDPTTGVSSSVHYGGHVFCTAHSVWGFRQFKKMSELKVSYVQDDCLVVECDVVVKMGIPHSKPEIIGDSIQGADVKFKVKGEVISAHKVVVAAQSPVFKAQLYGPMSNKKKTIIAIEDMEPSVFKSLLHFIYKDSLPSVEDLDDGDEIEVIGMVSKG >Dexi5B01G0020390.1:cds pep primary_assembly:Fonio_CM05836:5B:22584449:22586745:-1 gene:Dexi5B01G0020390 transcript:Dexi5B01G0020390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPTVTKSPPSLVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPASGAGDQAASVATMREGFAKALVPYYPIAGRIAEPTQGEPEIECTGEGVWFVEAEASCSLEEARNLERPLCIPKEELLPRPPAGVRVEDTVLLAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGIPEPSIKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKRVKDQVASETNQKCSTFDVVTAIIFKCRALAVGFAPDAEVRLGFAASTRHLLSNVLPSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVTAIREAKEALSSRFLDWLSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTMNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSAVFSEELQKHA >Dexi6A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:6A:4106352:4110215:1 gene:Dexi6A01G0004510 transcript:Dexi6A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMALAGLRWAASPIINKLLADASTYLGVDMARELQELEATVLPQFDLVIEAAEKSPHRDKLKAWLRQLKEAFYDAEDLLDDHEHNILKRKAKSEDDSSEGDDASSSIKSTILKPFRDTASRARNLLPENKRLIRKLKELKDILVKAKDFRELLGLPAGNNFAAGPSVATAIVPPTTSLQPPKVFGRDMDRDRVIDLLTKRIASPGVSYSGVAIVGHGGTGKSTLAQYVYNDGRVKDHFDVRMWVCISRKLDVYRHTRELINSATNAKCPRLDNIDTLQCRLRDTLQKSERFLLVLDDVWFEASSNESEWDLLLEPLVSQKEGSKVLDLCFLEGLSSLQLLVVSLRGVPKLTAECISQFRVQKSLSIDSPVLLSHMLSSEDFIVPAFLILECWKEPSFSFDESKFSSVERLSLTQCEMKSLPGNLKCLSSLKILSIRGCPNISSLPDLPCFLQNIEISGCELLKESCRAPDGECWPKIAHIRWKEIN >Dexi6B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:6B:25568957:25571348:1 gene:Dexi6B01G0018630 transcript:Dexi6B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPVLVRHAGGGVAALRQLPPGFRFRPTDEELVLQYLRRKAFGVPLPAAVIPVVRHLYSLDPWDIPITADDDATEEGDKYFFAVRPAAGGGARATASGRWKPAGKEKPVVLPRPCGGGSLLVGVKRVITFVPRRNKKAASLAAGWVMHEYRLAASLHKNGCSLAQAEGEWVVCRVFKKSNKPRRRAAPAGHAASSSSSSADSCVTDGSDQEEVSS >Dexi7A01G0016690.1:cds pep primary_assembly:Fonio_CM05836:7A:26563574:26563784:1 gene:Dexi7A01G0016690 transcript:Dexi7A01G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEACVKREAISKWPVVDPDELASSASSTSSDDDDLEDDDDAQPMSSSCSSNLAASLARANTT >Dexi6A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:6A:23219270:23219557:-1 gene:Dexi6A01G0015680 transcript:Dexi6A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKLYEHPSHISLPTAAEQNPGAKAKNAPDVAGHCRAPEPCASHANMPCHCSDEHAAPCEPRHKLRRRPGCLSAACLLVPFPGGMRNKIKGSL >Dexi1B01G0015030.1:cds pep primary_assembly:Fonio_CM05836:1B:21432975:21434560:-1 gene:Dexi1B01G0015030 transcript:Dexi1B01G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAKKAKVKHTVDVSSDRISGLPQDVKARILSHLNTDMAVRTTVLSSAWRNVWTAVPDIFLCDCTFCSCNASTKSDSSEASKFVTLVDMVLSLHKGPLDTFTIHGTHNYHDVFARWIYKLSTKGPEDITIKLTSGPQYKIPSSLFSISHLSFLYLKNCSISLPKKFEGFKLLKVLKLKAFSSTDSDISNLISSCPHLDEVHLRYFKGINCLSIQAQSIQILKIEGDFKDLRIDAPNLVIMYLRLDRVEGYQSVPVQGDMKSYLKQTFGSLTRIVSLNVGGSFLTYLSKGCMLTKVPGVFDDLEVLCMERCLWRWTEVVAACSLFQNAPFLSELDIWVIVPPLHTNSYPYLLGCIYDFFNCLKPLQSYPRPEAFTRKGIWDQDETEIQTPKLDHLTMITLNDFRGLDCEVAFLGLLLSWAPALEEMKIKNIAEDTTDERIFKSTKKLLAFPRASTTAKIILT >Dexi2B01G0012380.1:cds pep primary_assembly:Fonio_CM05836:2B:17190204:17191177:1 gene:Dexi2B01G0012380 transcript:Dexi2B01G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGGEGVRWRCVDLDGEGRRPWGGSWVWSIDETAGELPTAAAAACSSHAPEPRVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENVCISYPSF >DexiUA01G0005990.1:cds pep primary_assembly:Fonio_CM05836:UA:10927096:10931148:1 gene:DexiUA01G0005990 transcript:DexiUA01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQMDEQMQQEVATLERLLPNRIWGDYDYRSWEEYMPLPSAQLVNNVPNGLGKFFFRSSFLPCSPASPRPPRLEAGLNDGKALMRASLDAGEEAASLTVVVSGRRNIHRWLVPLVYPDTAKASERSTVAGRGGAAVQQYKARVCVEGGPRLRLGSGAAVRACGHGDRGHSGSESRPSSMLIVVLSGRNKVLPFVIVFSDEPVTEADSVLGFPLWWSTRRSGEFDSICKTCYRRFDVPHPGIQKIFACGHINVGKVCQMCYLRSVALHPYPGEFAFGC >Dexi9A01G0040990.1:cds pep primary_assembly:Fonio_CM05836:9A:44656450:44661014:1 gene:Dexi9A01G0040990 transcript:Dexi9A01G0040990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATELLLPVAAAAVLLLCLAGGSRATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPYDFEGRKDLAAFVKAVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKTEMQRFTTKVVDTMKGAGLYASQGGPIILSQIENEYGNIDAAYGAPGKAYMRWAAGMAVALDTGVPWVMCQQDDAPDPLINTCNGFYCDNFTPNSASKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSSGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKLCEPALIATDPSSMSLGQNAEATVYKAGSVCAAFLANIDGQSDKTVTFNGKMYKLPAWSVSILPDCKNVVLNTAQINSQVTSSEMRYLESSTVASDGSFITPELAVSGWSYAIEPVGITKDNALTKPGLMEQINTTADASDFLWYSTSIDVKGDEPYLNGSQSNLLVNSLGHVLQVYVNGKIAGSAQGSASNSLISWQRPITLVPGKNKIDLLSATVGLSNYGAFFDLVGAGITGPVKLSGPNGALDLSSAQWTYQIGLRGEDLHLSDPSEVSPEWVSSNAYPINQPLIWYKTKFTTPAGDDPVAIDFTGMGKGEAWVPRSTFVSPTRQQ >Dexi2A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:2A:894822:896753:-1 gene:Dexi2A01G0001350 transcript:Dexi2A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGGDGEVSGKRAKPSSDNAGGGEEDRVSALPDDVLVLILRRLLTPEAARTSALSRRWRRVWTLLPELRFLYTQDCRQIRAALEAHEASLRCLFVGIRDAAPDSVAAWLPAAAAAARRISGQLVFHNIERGNDAQEEAEERGAFALPCLERATTASLHLGLLGLAVPPAGVFARLTELSLTRVRIHGPGKLGDAVSSPWCPCLRKLTVSDAWGLDNLVIHSDSLRQVKLEDLHGLRQLTIVASALKDLDVTRCFMNNRKQLVASISAPQLVTLVWDDLYDPSFVHLGEMEHLQSLWPFFFLVYGEDSLLHNHACLSLVRRFKTIQSLTLALHYFWEIDDFDYLMEDMTMLPDITFLKLVVSANGHALGASAFHVLRMCSGIRRLMLSFLAPTNVEVKLPFVYPVCLFISCLIMT >Dexi8A01G0017030.1:cds pep primary_assembly:Fonio_CM05836:8A:28749398:28752320:1 gene:Dexi8A01G0017030 transcript:Dexi8A01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVDGAHDESIWAAAWAPATAHRPTAVLLTGALDETIRAWRPDDLAAVGPPAKGHALGVVSLAAHPAGVLAAAVSLDSFVRVFDVDSGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKLWDTEKWQPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAIRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKTLIGAMSGHASWVLSIDVSPDGKAVATGSSDRTVRLWDINMRTSVQTMSNHSDQVWAVAFRPPGGDGVRAGRLASASDDKSISLYDYS >Dexi4A01G0013730.1:cds pep primary_assembly:Fonio_CM05836:4A:14159447:14165252:1 gene:Dexi4A01G0013730 transcript:Dexi4A01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSKRGYHYDQDSPPPRSKQRFDRRSGGGQNPNSGYHRRGPPGGGGGSDRRGFLPPDAAPPPPPPPPPPPSAAAGAPGAASTTTSFRILCPECKAYGFPPGFIGKVRDDSGALVTVHPPFAGDYVRIIETVDGARREADGRPPMYSPAQEALLMVHRRILETEAEDGDEDGEYGPRGKDPRDRGKTTTRLIVPKLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQHTPRCVSLSEEVVQVVGDGNCVRKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPVYEETYGRSDQIRNNIIMEAPGYEFDSNGGKVIEHPDILYDDIVFRILCPNDKASSLLSTRDGIIEMLQADVGVDVRLTDIIAGSDERVVIITSREVKQREGSLSDIQRQTSANVQILPREDLPLCALESDELIQDSGGSASGSFEQGSNINDDIRQNATKRFAVPLVTRSTLEVVIPNSAVASLTMRAGSKLAQISEMSGATVTLADDRPDVFEKVVQISGTPEQTEKAKSLLQGFILSIQDDS >Dexi5A01G0037010.1:cds pep primary_assembly:Fonio_CM05836:5A:38370369:38371917:1 gene:Dexi5A01G0037010 transcript:Dexi5A01G0037010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKLQQQAGSSHAVSFLLGAALPTALLFFLASDRLGDGLSTISASWRGMNNGTTAAAVLQADVARPAPSTLAADDRAAPTQDHQVEFAGLAELLQRVATEDRTVILTSVNEIWTRPNSLLDIFLDGFRSGEDTAHLVDHVLIVTVDAGAFRGCKAVHPHCYLLEVKSMDMNRAKTFGSREYVEMTWLKLSIQLRVLELGYNFLYTDADILWLRNPFQRISVYADMSCSLDNSKAKPAVLLDNEFNGGFYYMKSTSRSVAVVKYWRAARARFDNTIDQVVLNTIKHELVSELGARIQALETEYISGFCDFQERLDKVCTVHANCCMGLENKVFDLKNVAADWKNYTSLTPEGRKKRDIKVTPPSKCWKSMGWT >Dexi4B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:4B:11522636:11529243:1 gene:Dexi4B01G0013210 transcript:Dexi4B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTDMHMETYLTAGYCAATGIYSSHHPPLATVTASSFPDYIFPRLLELPPDRPAFVDASTGATLSFADLRALSLKAATALSALGLRRGHVALLLAPNSLHFPILSLGVLALGAVLSTTNPLLTANELAGLAQDSEPFLALTTAELAPKLVSLTSTRVVLIDQFLAGIEDHEAWACHNSPVCQDDPALHFYSSGTTGKSKCVVSTHGNAIATGEILQSIWRRGGGGDYGNGNVDVYGCVLPMFHLFGFSMFVLGTPAMGATTVLVPGRFSVDRLMVAMEEHKVTRLLAVPPIVVQMEKVRAGELLLTSTRRRLCLTDVVSSGAPLQREQMARFHSCFPGVKITQCYGLTEATVIVTMDDLSLLHNDDDGTVEVEFSNEPSPTVGRLVPSTEARIVDVDSGESLPPNRVGELWLRGPSVMQGYLRCEEATAAALVVADGGGRWLRTGDLCFVDFRGFVHVVDRIKELIKYKAYQVAPAELEDVLASHPDIQDVAVAPYPDEEAGEIPVACVVRKPGSSKLKAQDIISFVQSKVAPYKKVRKVVFVDCIDRSPSGKILRAQLKSFVRTCEIHGEAELRCANRV >Dexi6B01G0019650.1:cds pep primary_assembly:Fonio_CM05836:6B:26329095:26329856:1 gene:Dexi6B01G0019650 transcript:Dexi6B01G0019650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNRQRRSSPPATASLPYFPPELIPEVARRLTSLQDFFAIRAACRTYRALLTPTSSNLASQAPLLLVPVEDTLSHALFHPNLRRIHRFRLHRTLLANDDYASTDFHSLGGRLAIYVVRGRVGTLSIVNLLTSERTCLSTPPDRIHRVLLYGDLVLTWKCSGCAIQYCYLTHA >Dexi3A01G0026980.1:cds pep primary_assembly:Fonio_CM05836:3A:23617047:23618988:-1 gene:Dexi3A01G0026980 transcript:Dexi3A01G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRAESPLSTVNALSIFPVAVAPPRIGAPLPPELNEPLPGFIDGAVGVDERGVLAPHVPLHEPPEHDALQGRVRVRLAEHHLEVVGAERLVRLADVELGDPHGQVRGRDLVERVADDLPDRADSAHLRATTLQVTDEPDVLLGRRVWVVAALDVVVVDEERGARVELRGLAEHERGHVVAEAVAEEAPVEHLVVDVVVWEPASVAAKEAADALLHDGHELVAVVAGDVLDPLLHVAVDGPEDAVAAHGLAGAVAEVEQAVGVAVVELPALRLRPVPLELVLEHGPVQLPTGKPLSSCTTRTDAPGAPPSLRTTYCWDGRELVRS >Dexi1B01G0013830.1:cds pep primary_assembly:Fonio_CM05836:1B:19818145:19818387:1 gene:Dexi1B01G0013830 transcript:Dexi1B01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLGGPGPGPDGPAGGASAGLVADAAVEGVEHGLRLLGDHVADEADEAVVGDFAGAAAELAEVVVEGLGGASGR >Dexi1A01G0007850.1:cds pep primary_assembly:Fonio_CM05836:1A:6036390:6037184:-1 gene:Dexi1A01G0007850 transcript:Dexi1A01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSDVRFVSSGVKLPSASAPSPAPAPAPQLLSAALPFAYVGRAVEAAARRLGACLPRVPAARADPAPPPPPARRHGKDAGGGAEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEEKSLTSDIWIK >Dexi1A01G0028750.1:cds pep primary_assembly:Fonio_CM05836:1A:34350776:34352382:1 gene:Dexi1A01G0028750 transcript:Dexi1A01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQPWWAALLLPFLLAGVGPSAASSLPTDACGVPTIVESVLGTPEMCSTLDRLLGDPVGVIEGDEVTLAKAVNLLHMNKDDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYTDVSGINASVKSTAGEAMVHSLDDIEHRKDAEQENCPFWWARSPEKMLQQDTYLALATAFVILRLLYLLFPKIDSFARWAWRRHNLFANLTGAHEYFLSYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGEPSAMGRTNSSSELR >Dexi2A01G0013250.1:cds pep primary_assembly:Fonio_CM05836:2A:19042364:19042684:1 gene:Dexi2A01G0013250 transcript:Dexi2A01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAVGGEGGISTPATLNRGRGDVGPRRRHATSPSGGFQRRRRRGIPAAGPDGGGEECRRWPPRAAERNIGGGTRRQQRGIPETDFDGGGYGERGRRQSRTQRWKE >Dexi1B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:1B:25762394:25766619:-1 gene:Dexi1B01G0019520 transcript:Dexi1B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGLVRSLHGDGLCTSSPAPPRADPLNWGKAAEDLSGSHLDEVKRMVEEFREPVVKIQGASLSIAQVAAVAAGAGGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVDAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAIMAEVISAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQVEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMTSTFLIALCQAIDLRHLEENMKAAVKNCVTQVAKKTLSMNAMGGLHIARFCEKDLQTAIDREAVFAYADDPCSPNYPLMQKLRAVLIEHALANGDAERVVETSIFAKVAEFEQQVRAALPKEVEAARAAVESGNPMVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGEPLPLC >Dexi3B01G0006260.1:cds pep primary_assembly:Fonio_CM05836:3B:4341396:4346858:1 gene:Dexi3B01G0006260 transcript:Dexi3B01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILLLLLLLALPPPATMALTPEGLALLAFKAAATDDPYSALSRWSESDPDPCLWPGITCANVSSSTSTSPRVIGVAVAGKNISGYIPSELGSLLFLRRLNLHGNRLAGAIPAALSNASSLHSLYLYGNRLTGRLPVTALCDLPRLQNLDVSGNALSGEVPLDLRSCRSLQRLVLARNAFEGELPAGVWPEMPNLSELDLSSNEFNGSIPPDLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPGLCGFPLQVPCRAVPPPTGSPAPPASTTTTPLASSASDRNHQPLKTSLIALISVADAAGVALVGVILVYVYWKVKDRKERRRGDGHDDDAGDSSKSGGGLCRCMLWRHGGGSSDDASSTGDEDDDGKYNSGGGGDGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRRLGGGGGGAERCKEFAAEARAVGRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLAAALRGRPGQTPLSWSARLKIAKGAARGLAYLHEFSPRRFVHGEVKPSNILLDADFAARVADFGLARLLAVAGCVPDGPPSSVGGGLLGGAIPYAKPTGPAPERFAGGGYRAPEARSPGAKPTQKWDVFAFGVVLLEMLTGRGPSGADHASPSTSASFSAPVSGSTATDRSGSGEHGGVVPEVVRWVRRGFEEDARPVAEMVDPALLRGPALPKKEVVAAFHVALACTEVDPELRPRMKAVADGLDKIGS >Dexi2A01G0034560.1:cds pep primary_assembly:Fonio_CM05836:2A:44481187:44482094:-1 gene:Dexi2A01G0034560 transcript:Dexi2A01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDEDVPPIRIIVADGAEDVTIKVSDEGGGIPRSGLPKIFTYLYSTAKDPPDLDGRYDAAAMAGYGFGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Dexi9A01G0026930.1:cds pep primary_assembly:Fonio_CM05836:9A:31098581:31100115:-1 gene:Dexi9A01G0026930 transcript:Dexi9A01G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVHPYCRQNKLRAFCREKGIQLCAYSPLGGKGAPWANNYVMDSPILKQIAQDMGKTVAQVCIRWVYEQGDCVIPKSFNQKRMRENLDIFDWELTADARHKIGTLPEFRGTYDFFVHDSGPYKTVEEFWDNEITDGQPNQSVTALGLDPNPTN >Dexi5A01G0031690.1:cds pep primary_assembly:Fonio_CM05836:5A:34310460:34311736:-1 gene:Dexi5A01G0031690 transcript:Dexi5A01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGSHDGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYVDPLKIYLQKYRDVSDGSVLTGDSKLSTKSGEGSVKKDAISPHGGTSSSSNQLVQHGVYNQGMGYMQPQ >Dexi7B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:7B:16598992:16601153:1 gene:Dexi7B01G0008910 transcript:Dexi7B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAEAGGGRRGTLAKVSLSSVSAAMAEASTYPLDAVKTRLQLRRSPGGAGGGGVLRVAAELARDGGMYRGFSPAVLRHLMYTPLRIVGYEHLRSTLASGGREVGVVEKALAGGLSGIAAQVIFFYAKVTLLQVVSSPADLIKVRMQADSRLLSQGIQPRYTGITDAFTKIIGGEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKHLIIRKQICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKEGKALYRNSYDCLVKTVRHEGVMALWKGFLPTWARLGPWQFVFWVSYEKLRQASGISSF >Dexi4A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:4A:6278555:6287317:-1 gene:Dexi4A01G0008350 transcript:Dexi4A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPTPPEKDAFTEEESRLAGFLREFYYNDLRDILLDADASRPHFPLVIEFAELMDFDPKFANDLYSKPRVSLRTLDNAAQCAQSEIIKESDDLKREARVKKFVHVRIDPSGSPLEFPETSPSIGKVRVKHMLKLITLKGTVIRSGSIKMIEYERMYMCRKCKDSFRCCPELEAGNRINLPASCPSTGFDLINMNNRGTRSLPRLLSHLTQDITTDILLSVQSSRGCASSSFQVVEDSIICHDYQEIKIQENVQLLGVGSIPRSMPVILMDDLVDTIKPGDDVIVTGILSARWSPDTKDVRSNLDPMLIANYVRRTNELKSDVDIPHETIEDFENFWKEHKDTPLEGRNLILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLIGDPGTGKSQFLKFAAKLSSRSVITTGQGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDRSMTLKSDILLNSMREHDRTTIHEAMEQQTISIAKAGLVTTLSSRTTVFGATNPKGKYDPDESLSVNTKLSGPLLSRFDIVLVLLDTNNSKWDEIVSSHILNENFDKEDKTNVSEVKWTLTQLRRYINYVKKQFKPVLTKDAEIVISSYYQLQRKSGTHNAARTTVRMLESLIRLAQGMFYKEQEKNILKKLGLVEDSL >Dexi5B01G0024750.1:cds pep primary_assembly:Fonio_CM05836:5B:26761053:26765958:-1 gene:Dexi5B01G0024750 transcript:Dexi5B01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVELEAANRSGDADTLATFKEIYNKLKEELLSDHAVEFTDESLQWIDHMVDYNVLGGKCNRGLSVIDSYKILKGVDVLNHEEMFLACTLGWCIEWLQAYLLVHDDIMDNSLTRRGKPCWFRVPQVGLIAINDGMILRCHVSRILQRHFKGKPYYVDLIDFFNEIEFKTTSGQFLDLITSHEGDKDLTKYNMKIYRLIARYKTSYYSFYLPVACALLLAGENLDNFTGVKNILVEMGTHYQIQDDYLDAFGDPEFIGKIGTDIEDYKCSWLVVQALECANEAQKRILSENYGKSDPACVARVKDLYKDLNLEEVFRGYEMNSYNKLITGIEAETNKDIQNVLKSFLFKIYKRNK >Dexi1A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:1A:14309988:14316932:-1 gene:Dexi1A01G0012820 transcript:Dexi1A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVALRCCFPGSAIGSGFVSPYSRRGRCAAAVAAPTASLGHRTRVDFPILHQEFDGAKLVYFDNGATSQKPIGVMETLDEYYRTYNSNVHRGIHALSAKATDAYEGARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVIHHVSNVLGSMLPIEEIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKALDLCTAHLRCCGGEMIADVFNDKSTYAEPPSRFEAGTPAIGEAIGFGAPIDYLSCIGMDQIHEYEKELATYLYESLLSVPNVRIYGPAPSQTDHRAPLCSFNVDNVHATDIAEILDLQHSVAIRSGHHCAQILHRNLGINASARASLHFYNTKEEVDVFIHALKDTIDFLTSQH >Dexi7B01G0003740.1:cds pep primary_assembly:Fonio_CM05836:7B:9323241:9326303:1 gene:Dexi7B01G0003740 transcript:Dexi7B01G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSAAKHAVLKPPLALGSPGAVFCGRLSPRTPGAQPCRAASSASVPAAGEHLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEDQHPIVLQIGGSNLEKLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEVGDAMAAIAANCDVPVSVKCRIGVDDRDSYDELCEFVDKIVSKSPTRHFIIHARKALLSGLSPAENRKVPPLKYEYYFALLRDFPEVKFTLNGGITTVDQVSASIIQGAHGVMVGRAAYNKYVR >Dexi3A01G0024990.1:cds pep primary_assembly:Fonio_CM05836:3A:20600929:20601735:-1 gene:Dexi3A01G0024990 transcript:Dexi3A01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPLLAAAAAALLFLLLPVHLALAEAEGFRGFSYLLNCGAAFPITDSRGLRWEPDGPYVSAGTPGEPTIPGPGSLLEPTLATLRTFPNRPRAKFCFELPVDKNRRYLLRPTFFYGAFSSSAPPPPAFDLIVDGTFWTAINTTDDALAGAASSYEGVFPASGRNLSFCLGVNPEYTDAGPFISALQVIQLDDSVYNATNFNTSAMGLIARTRFGSTGDIERFSDLSTDWI >Dexi9B01G0032880.1:cds pep primary_assembly:Fonio_CM05836:9B:35018831:35020332:-1 gene:Dexi9B01G0032880 transcript:Dexi9B01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFDSFVLPPSGKKGVKPVQSPGPFFAGMEPRVAVLFNHSEATIDKSNVTIKPRLAKELVESDELCRKRQEVWTLPAKYVTDEDTPPWVNETQQTTFIKCDSLARDMNLRHVQRNWGA >Dexi5B01G0037250.1:cds pep primary_assembly:Fonio_CM05836:5B:36817244:36820225:-1 gene:Dexi5B01G0037250 transcript:Dexi5B01G0037250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASAGVGAGGEGPLVYKAWKGNNDLSLLLLTSGRDPGIIPRNAHPPEPEGFDGNAERNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNAEQITIWKAMAKTPASIALIIYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPPSKNNFRGKVPQEHGLRPRATNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRSASPDLSRDALAVGGGLEEQGSSATNPGRTSWGVEAGR >Dexi9B01G0029410.1:cds pep primary_assembly:Fonio_CM05836:9B:31960286:31962286:-1 gene:Dexi9B01G0029410 transcript:Dexi9B01G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGGGGGGGGGAQIKGMATHGGRYVLYNVYGNLFEVSSKYAPPIRPIGRGAYGIVCAAVNSETGEEVAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENILALKDVIRPPSRDNFNDVYIVTELMDTDLHQIVRSNQALTDDHCQYFLYQLLRGLKYVHSANILHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITEVIYLMELFVLKL >DexiUA01G0010110.1:cds pep primary_assembly:Fonio_CM05836:UA:19965957:19967095:-1 gene:DexiUA01G0010110 transcript:DexiUA01G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLGVPFLGLLPKKLLVGVQVACLQRVLIDAGWKVAIRVETTMRSNIAISHH >DexiUA01G0005100.1:cds pep primary_assembly:Fonio_CM05836:UA:9246484:9249017:-1 gene:DexiUA01G0005100 transcript:DexiUA01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTVMLRALALALAVAAATTIAAGEEERKTYIVHMAKSSMPAEYSNHGEWYGASLRSVSPASNKMLYAYDTVLHGFSARLTATEAGDLASLDGVLAVLPEARYELHTTRTPEFLGIAGDGAGAGLFPQSGTAADVVVGVLDTGVWPESPSYSDAGLGEVPPFWKGQCVSGSNFNSSSCNRKLVGARFYSRGYEAAMGPIDTSRESNSPRDDDGHGTHTSSTAAGAAVEHASLFGFAAGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVGDGCGVLSLSLGGGAADYSRDSVAIGAFAAMEQGVLRRC >Dexi3A01G0020090.1:cds pep primary_assembly:Fonio_CM05836:3A:15985280:15986766:1 gene:Dexi3A01G0020090 transcript:Dexi3A01G0020090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAPPCHIVAVPYPGRGHVNAMVNLCRLLAARDAAVSATVVVTEEWLGLLGPPDLGPRVRFESIPNVIPSEHGRASDMVGFVEAVYTKMAPPFELLLDRLELPAPVAIVADVFVPWTVAVGARRGVPVCIMCPISATMFNVHYNFHRLPPAAAAAGADGTDRRLIEDYIPGTKSIRFADLAPTHTNPAMLDRILEAYVSAKKAQCVIVTSFQELESDAIDALRQQLPCPVYAAGPCIPFMALLQERPKSSPDVDGHMAWLDAQPAGSVLYVSLGSFLSVSATQFDEIAAGLAESKATFLWVLREADTRSRVRGLARDGEATGGLVVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYVGVPMLTLPIAFDQPINSRLVAEVWKNGLGLKDMARGDDGVIGRKEIAAAVDKLMCPDLTEGANMRIRAAMLKDTARAASQEGGSSWKDITSFIHLVSR >DexiUA01G0007400.1:cds pep primary_assembly:Fonio_CM05836:UA:14014312:14021317:-1 gene:DexiUA01G0007400 transcript:DexiUA01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDRFHLAGTSNGFRPLARIGRSSFVAGFSTELLPNPDFFRRPNFFSRPNPLLHGPACFPSSFSLSFRHLLAGVVHEPDSSDESESTPTRRYLSTPPRALVPQPKPHLPPPSKPRRRLCRFELIVEIRVKVRNPPSWFVCVFVACRRLAALPSSAEPPYFRRRAPPFRLSVLPPFNRGPGQDCLYKRLDRLGPVAGLAMCQATSPPYKYKGPWPIEDLYPINNPRFAASDGVPPEVVIVGFHGASATGGLTGPYRRSSSEEIFYDRAI >Dexi9B01G0003360.1:cds pep primary_assembly:Fonio_CM05836:9B:1931659:1938816:-1 gene:Dexi9B01G0003360 transcript:Dexi9B01G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELFAAAPGEEDDPEALLEGVAMAGLVGLLRQLGDLAEFAAEMFHDLHEDVMATASRGHGLMLRLQQLEAEFPAVEKALISQTDHSNYPHDDGVEWHANLQLKQNMVTQGDMPRFILDSYEECRGPPQLFTLDKFDVAGAGASLKRYSDPSFFKTEHTPNMIEMDVSIEKKPRRIKKKAIRWRKGATLQALLIANSESHTTPKDRTSRKVPPRTTKLKSRHPRSPDHNSISRICREHLQEVISSQQKILSRYSRQYHVKFRSSDSSEMTSPFGEMDNLGAIVQSSGKLELSKVVPVNESDTFEIVSAPTDASAYKRIPGKEHEPSEGNAMVCDSEKLQDCPTFQAGEIDHSSHSGHEETPLLTGVLTDQDADGCRPDDIGSDQDNFIDALNNMDREGEAEPEIENEFGPIANVEQIKLNHDINEGENALYEESPEVSPAIDSPPRFNSSCNGGQPTCTDLPYLSDPAPSAVSATNCPSSGSQSGSGRQLDGVDCTQDKEPSDDEDLMGVSSSSSVASDCADLQTSDLVGCQQHQEEAYHYLSGDHAAVIHSSEKHSPKTSSELDGMAGCNDETDKARHSVEHAQDIVLDDSSILSQPNDVSEDEGKISFGIADDLFLQPTKPNQEEIQQERKKLEEGGSQDTDSPGIFASWPDKDHAVVRMNDVEMEEDDVTVPEIISADTASTGLDPDDNHDQQDGIAPENSDVCNNLSCESYDDEIAEDMHSLLDDDLSTSSNKHAAEDNQLVVLEGGACSTSLNTHRDNSMQATSMARDSTDVEELPVVIQGVPSQEETEVLDGAVSLKNSTVEKQPVDVDQVLDEEFRDEFRSSSHSPGESDYQEELLEEASHNAEVLSECNLDKDGAVSLKSSTVEKQPVDVDQDLVWGMPAQDSTSTNPFMDPAYMMCHTQTQNYQSPSASCQPYFPEEQDFLSEILMHHENNMGAAADSLWEPATPPDEAPLPSEVMTEEDFRSFCHEYHEIDSPADTEDKEKSGEGSCFPSSNFVEVKQDLEVHTDCVQHSSVNENVDKLDVSVPVETEVGARALDGYDNPDVPCCSTSEKDDAHTLGERPMAPSSSIDETEDNLEVSALRTSFQKEQESERSTSGEHDSRITLSPLLDEKIDKLGGPPLSKAALLDEESEVSRGLDSQTAQCTSTDENMHDLDRPPLSSSVLVDPESEDHVSHDSDSQLGQEALASHELDFQVAPYSLNDDK >Dexi7A01G0008300.1:cds pep primary_assembly:Fonio_CM05836:7A:19421472:19421720:1 gene:Dexi7A01G0008300 transcript:Dexi7A01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARSLPAVSVPISDLQNVGTARPWTRKNGGGDASETKTTAAVAVDVPAALWSDEGRMKRELVAWARAVASMAIRESMQC >Dexi7A01G0018300.1:cds pep primary_assembly:Fonio_CM05836:7A:27737716:27743372:-1 gene:Dexi7A01G0018300 transcript:Dexi7A01G0018300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVGGEGGPSRWPAAEELDIVRKKVVEISGRDECDVRVAACPYRICPLGAHIDHQGGIVTAMTINYGVLLGFVPSNDSEVLLQSGQFEGVIRFRVDDLQKPIDNPENINWESYARGAVYALQNSGYDLRKGIIGYISGVKGLDSSGLSSSAAVGIAYLLALENVNDLVISSVDNIQLDKSIENKYLGLENGILDPSAILLSRYGCLTFMDCKTASPSYVYFSELSKSQQLQGQLPFKILLALSGLQHNLPKKCGYNTRVFECKEAARALLHASGCEEASNILRNVDSVVYEAHKCVLEENLSRRAEHYFSEMKRVAKGRDAWARGNLQELGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEEAAAYVAAEYEKAQPELVSRIPANRRVLVCEPGDSARVILPDGDRLRS >Dexi6B01G0020620.1:cds pep primary_assembly:Fonio_CM05836:6B:27080211:27089139:-1 gene:Dexi6B01G0020620 transcript:Dexi6B01G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAANWRPTQGADPAAVAAAAGGVDPNAAAPAGGDWRTQLQPEARNRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKQNPGNAQVIPNQNPPGPASGLPPQVSNPAQSSAIPLMAQQQTRQPNASTSVQGSLPSLGQSLPGVSQTSTLQNMPGMQMQQNAMTNGLVQGAQQDMYAAQRQMHLWIPLLKLAIQVQLRTTPRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKIKRDTSAMPLNVSSPGSVNDSFKQSYIVDTPELQSTATSRVKWQKAEVNHALMEEIHEINQQLIDTELHISEDDAESFATTSEGGEGTVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQSRNSDDLSTMAKSKFGILLRGLSEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCVGA >Dexi3B01G0027000.1:cds pep primary_assembly:Fonio_CM05836:3B:22437990:22444273:-1 gene:Dexi3B01G0027000 transcript:Dexi3B01G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTTAMEVRADGVAVITISNPPVNALSLDVMASLQRNYAEALSRSDVKAIVLTGAKGRFCGGFDITVFGKKPKNEKPGSLSIDFLSDVVEDARKPSVAAIDGIALGGGLEVAMVCHARISTPSAQLGLPELQLGIIPGMGGTQRLPRLVGLQTSLELMLVILKEVNEQFLSAGIKRVKGNLQSFVGKGQLTQEDCENKFSLLSGVLDYEQFRDADLVIEAVIEDALLKQQIFSDLSKYCHHDCILATNTSTIDLNLIGQKTISQDRIVGAHFFSPAHVMPLLEIVRTHQTSSQVVVDLLDVAKKIRKTPIVVGNCTGFAVNRMFFPYGQAASFLVDYGLDVYHIDHVITQFGMPMGPFRLADLVGFGVAIASKKQYFQSYPERCYKSMLLLQIMLEDNRTGESARKGFYVYDDKRRASRDPDLRKYIDKSRNMAGVTQDPQLMKLTDNDIVEIILFPVVNEACRVLDEGISLKASDLDVASVMGMGFPSYRGGVMFWADSLGAKYVYARLEAWSKDYGDFFKPCEYLAARARQGASLAAKVDIAKSRL >Dexi2B01G0005470.1:cds pep primary_assembly:Fonio_CM05836:2B:5036175:5038722:-1 gene:Dexi2B01G0005470 transcript:Dexi2B01G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVSVFQSRTYELLTTRSWDFLGLPQTPPQELPLQGDVIVGMLDTGIYPDSPSFSDDGFGPPPSKWKGVCKNFTCNNKIIGARAYREGSTDGLSPLDDEGHGSHTASTVAGRAVSNVSLDGLAAGVARGAVPGARLAVYKVCWNESGCLEVDMLAAFDDAIADGVDVISFSIGSSEPFQYFRDAAAIGSFHGMRRGVLTSTSAGNSGLSGGHVCNVAPWLLSVAASSIDRRFIDTLVLGNGETIVIVLCLPQNNLGRNGPVLAGAAGIILVTRAPDVAFELPLPGLTVTQDKFDQIKVYVNSTRTETTGNPQAPVVASFSSPGPNMITPGILKPDLSAPGIDIIASWSPLALPKVPYNIISGTSMACPHASGAAAYVKSFHHDWSPAMIMSALITTVTPMNTPGNSNTTAFKYGAGQLNPVKANNPGLVYDASESDYVAMLCAQGYNATQLALITGSNTTICPDGSTSGSPSDLNYPTMAARVEPGKNFTVSFPRTATNVGAASEAYDVKVIISIEVAKDILIDVSPSKLEFSAENQKISFTVTVSGVPLLDGQVHSAAIVWYNNEHEVRSPVVVYTEADW >DexiUA01G0001820.1:cds pep primary_assembly:Fonio_CM05836:UA:4738404:4739132:1 gene:DexiUA01G0001820 transcript:DexiUA01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSRRSSSGMQSIRRELQRRRPKPLAPKSSTTKKTSAPLRPSPPLEGAHQKHPSPSASSKSPRPRPPHPLSRAAAAYPSTLPPSRSAPSSSGSARPSTHVSDERLRPGTAVGVRTRTTKLKTGKVLVLWLRATVVSPTHQGYEVIYDGSWPPSNPYGTVHVPRRHVRMIKPSPSPTNSPPQQAPPSRAPSSSTSDDTTATAKKEKMRPAPRPTTAGKSVRLVRSLFPELERHARAALPYY >DexiUA01G0013610.1:cds pep primary_assembly:Fonio_CM05836:UA:28550276:28551634:-1 gene:DexiUA01G0013610 transcript:DexiUA01G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMLDAGMNVMRLNFSHGDYAEHGQRIQNLRNVMSKTGKKAAILLDTKGPEIRTIKLEGGNDVSLKAGQTFTFTTDKTVVGNNEIVAVTYEGFTSDLSVGNTVLVDDGLIGMEVTAIEGNKVICKVLNNGDLGENKGVNLPGVSIALPALAEKDKQDLIFGCEQGVDFVAASFIRKRSDVVEIREHLKAHGGEKIQIISKIENQEGLNNFDEILEASDGIMVARGDLGVEIPVEEVIFAQKMMIEKCVRARKVVITATQMLDSMIKNPRPTRAEAGDVANAILDGTDAVMLSGESAKGKYPLEAVGIMATICERTDRVMTSRLDFNNDSRKLRITEAVCRGAVETAEKLEAPLIVVATQGGKSARAVRKYFPDATILALTTNETTARQLVLSKGVVAHLVKEIASTDDFYIQGKALALESGLAQKGDVVVMVSGALVPSGTTNTASVHVL >Dexi5B01G0017230.1:cds pep primary_assembly:Fonio_CM05836:5B:18280973:18281471:-1 gene:Dexi5B01G0017230 transcript:Dexi5B01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTATATLCCRLIRLPYSRRPPPSRARCSAAQSPDVVDKEYADLNLRPLYPNRGHHLRIRQHVNPLSSSFSEPTEPPVWKEVFEDPLLPLMVDIGCGAQFRIVHL >Dexi5A01G0009820.1:cds pep primary_assembly:Fonio_CM05836:5A:7349162:7354870:-1 gene:Dexi5A01G0009820 transcript:Dexi5A01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLLCSLLSNLWTLKNFRDLSIHKLFSTRADLHRTVYTHRKVKFCNEYSVPKDKLEHFKNKSSEVLLKEEDVVVSNDFGCDEKFPITDDRVSHLLPAYNEDRIVRVYAKKPELVEAVSKAFENLQVRMYGEKTQVHDTPKKKRIRSN >Dexi2A01G0021370.1:cds pep primary_assembly:Fonio_CM05836:2A:33473495:33475592:-1 gene:Dexi2A01G0021370 transcript:Dexi2A01G0021370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQEIPSPHWANDRKYPYSNPGTHPHVGPTSGDHPVSYRLRPRLSLTQQARQVKSFRDTRFRSDDDHFLDLSLLLHESNELGRDDRAPAGLDRRKLAGDELLRPRHRALWHRLASAHTPSPKTPWRPTHNSLATTSTAAHDGDTPSGQTPATRSRRTHLGAPADQGEHNAPPNVSRAAPERPAHVRLAWRDSKTGNPNPKPSQQLVYEHQRTKGELSHPLNGTEVDGGRLLTHAGVLGGWRLLATRKTVALGSEMVETQRKLIGEVEEFKVKLVRDDELRRRPRETESEEEEEKKKNTGVGRIYINESRP >Dexi1B01G0021040.1:cds pep primary_assembly:Fonio_CM05836:1B:26992788:26997103:-1 gene:Dexi1B01G0021040 transcript:Dexi1B01G0021040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGGDWREAAAEEDPAPAAAGGGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSGKVIVHCSNRNMHKLTLDGIDVMGERLAQEVIRQVIEEIDRRPHIKKISFVAHSVGGLVARYAIGRLYRPPKQTCENTPQSLSDSSRGTIHGLEAINFITVASPHLGSRGNKQAFRRRVAYSNVRHDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVHEELSKAEAPDQCADTDNCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >Dexi1A01G0007800.1:cds pep primary_assembly:Fonio_CM05836:1A:6003408:6007929:1 gene:Dexi1A01G0007800 transcript:Dexi1A01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPGVPVAAALGAVLILSAAAGWYGAEGKTCTNAFPGLTSHTERAAAQLRSAPGGHYGHSHDHGHEQHLTPTDESTWMSLMPRRALRREEAFDWLMLYRKLRGAGAPRPGVAAGEFLAEASLHDVRLEPGSLYWRAQQTNLEYLLLLDVDRLVWSFRKQAGLTAPGTPYGGWEGPDVQLRGHFVGAPPNLRHYLSATAKMWASTHNDTLNAKMTAVVDALFDCQKKMGTGYLSAFPSEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVKNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTDPKHLAETLSTENEESCTTYNMLKAPGHSKAVSYHGWGTKYESFWCCYGTGIESFSKLGDSIYFEEKGDTPALNIIQYIPSTFNWKAAGLTVTQQIKTLSSSDQYLQISLSFSAEKSQSAKLNVRIPSWTFADGAGATLNNKDLGSLSPGSFLSITKQWNSEDHLSLRFPIRLRTEAIKDDRPEYASLQAVSFGPFVLAGLSTGDWDAKAGNSSTISDWITTVPSVYNSQLVTFTQVSNGKTFVLSNVNGSLTMQERPEVDGTDTAIHATFRAHPQDSLELHDIYSTTIKGASLLIEPFDMPGTVITNNLTLSAQKGSDSLFNIVPGLDGNPNSVSLELGTKPGCFLVTGADYSAGTKIQVSCKSSLQSIGGILEQAASFVQTAPLRQYHPISFVAKGVRRNFLLEPLYSLRDEFYTVYFNIGA >Dexi9A01G0007260.1:cds pep primary_assembly:Fonio_CM05836:9A:4205766:4215238:1 gene:Dexi9A01G0007260 transcript:Dexi9A01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLIQGISISVSDDDEVTGKVRVRVRRKRSRGPASARRRRFLFRTVRLGVPLLLAALSVSLLLYESYHITPSHSSTLPPPSFADFRHLSRAARAADGPRKSCLKFLDPEKLQNLELPEIPETNMTVKEVVYRSSLLHIKDDVLSESSRFNSFTGYQTLTEREESFKIKESVTVHCGFYDESGGFRVSSVDKEYMRYCEVVVATCAFGGGDDLHQPIGMTENAIRKVCYVAFWDEVTQGAQEEEGNKIGEDNMIGLWRIIIVKDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEAKAIVKKHKATPEEVEVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPSTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRPPGVHLFPVCARKDLVNSFGHRRKVKPLVKDAR >Dexi9A01G0030120.1:cds pep primary_assembly:Fonio_CM05836:9A:35037145:35048738:1 gene:Dexi9A01G0030120 transcript:Dexi9A01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPNLKNPPSHAAAAAAATATTTAVDSMGRKDSSSSSSAAVGGKKDKPMSVSAMLASMDAPAAKGKPSKSAAPSKAKAKPSKAPASSYMGDIDLPPSDEEDEEELAAAAAKPKPSRAAAVDLSAGVASQKDAKKKDKREAMAAAAAEAARQEALRDDRDAFSVVIGARVPGSASADDGAVDDNVKDIVLENFSVSARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRNIDVLLVEQEIIGDDRSALEAVVAADEELTALRAEQARLEASNNADDNERLVEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTKRKEMNRKFEVYEKQMKAARKSGSKAAQDKVKGQALSKAAKEAAKNKGKGKSAADDDDDQNQVAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPGRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAIRVQHEFENVLGRRNSNAFGYKAIRVRRSSATAGTEAVVTGGISEIQTTNRQLHGAAGQKYLAISPSLNATNNHDGPCEECTGRPAPPLSHTSGSDSGRRTRRPLLFVVVAFPDTNEHAARMEPFVPFCPCAGLTQQPQRCMHAAVSSSHLQSHRNTHARAQHIQAGTMTLAAGVFYLLVSVLLLTAATAATPYPHGGHGGDPLLGASKKYEGSSDLVDLRYHMGPVLTATPLRLYVLRYGRWDPTHQSPLRDFLLSISDPSPPHPSVADWWATAALYGDQTLANVTRRVALAGEATDASASLGASLTRLDIQRVLAAAVAAGDLPADTRGGAYLVLTAPGVNVQDFCRAVCGFHYFTFPSLVGHTLPYAWVGHSGGRCADVCAYPFALPSYMSRRGGVAALRPPNGDAGVDGMVSVIGHELAELATNPLVNAWYAGEDPTAPTEIADLCEGVYGTGGGGGYAGKVEVDKQGRSWNVNGREGRKFLVQWLWSPEAKACVGPNAKESIVDDKTVRESNITPGTVIHLVLALRGGRFALRRSDHWHHRHRLTDATLTDTAQLCHAGATSDPPSCSHAPPPHYSDTMVVLESDANGVLYELYEAAQLYLGARCLASSPALHLHNHKASGASATTEAVPASLPRPVTVAPPCTRRRPSAGDVVAAAVPDARVPTPPPRRRARGDYIAHVLAVAAALRHKMRERKLYTNDQMHCRGGGGAGMDEHQMLWSSHTFSHPSTLVGVLNFVDGLWSSYCKIELGYCKGHALLVLANNYLGVDDEDDHEPADGNWLYEELNREAERLLEEVHLTPADVAEVFMGCDGDGPHAALRKLVDDLNTKRIAQKCKESV >Dexi6B01G0006470.1:cds pep primary_assembly:Fonio_CM05836:6B:7060274:7062620:1 gene:Dexi6B01G0006470 transcript:Dexi6B01G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARVPAAELNQHPPSPFTASRCLRPEVPGSGGGGRRREPLRRGAVSARLPVPDHIPRPPYVGTDAIPDVCPDRQVHDGESVMRMLDACELAARVLQYAGTLPSVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGDVDESTKQLVKPTLSMGSTQCVLWDDGWTAVAVDGSLSAQFEHTVLVTRDSVEVLTGCP >Dexi8B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:8B:21536780:21537436:-1 gene:Dexi8B01G0012240 transcript:Dexi8B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRSSCDDRRQSSCADDRRLLPSALMKGRYSGAPPMSRGASRCGTSAQRLRRSHCSARSGWQPCDCGGVPFELPCFAKATSVGVPSRVRPVRRHARPSAVRPCVPGEARLAAARRLRIPCPRMAARTPAGHATPFFWPPWLESPVVHRRRPSTGVNEEAWDGKRWIWMDEGVRLGTTLSSPPWLVAAPLTPPWLRVAACWPATAACGWSEVGDEM >Dexi9A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:9A:6523990:6524571:-1 gene:Dexi9A01G0010660 transcript:Dexi9A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASPIKTSRETANILQNHYPERLAIAFLLNAPKVFEAFFKVIKVFLDPKTIEKVNFVYQKDEESMKVMYKYIDPEVLPVEFGGKSNVSYNHEEYSELMMKDDIKTANFWADDAKNGHANPGVNEILVPDVTPQPSLLAAKAS >Dexi9B01G0002970.1:cds pep primary_assembly:Fonio_CM05836:9B:1670152:1670518:-1 gene:Dexi9B01G0002970 transcript:Dexi9B01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVKLRSTLEVSEMLGAETTSSLLQWRDKLREAASKGHQVLLSFQRRVMGADAATAGGIKEHRGAGGKSVIVAFITKALLGMAKGVEIVTTESLFDGEVAMKLNYLNSTVDRLEKTI >DexiUA01G0001450.1:cds pep primary_assembly:Fonio_CM05836:UA:3937765:3939609:-1 gene:DexiUA01G0001450 transcript:DexiUA01G0001450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWSPLLSYAHLSGLLARCGRAGDIRLGATLHAVVTKNPAHFHLCPHRAGLPHVLATWNSLVAMYARCGRRGDAARVFDEMRVRDSVSWNSLLAAAASASDALALLRRMLRAAPGAGACDHATLTTVLSACARADGGAGAAPLAAVHGLAVSCGLDAAVPVGNALVTAYFECGSPGSAERVFGAMAERNVITWTAMVSGMARAERYRESLSLFRQMRRAVDANRATYSSSLLACAGSLAAREGQQIHGLVVKTGFDTDLHVESELMDVYSKCGLMEDALRVLRSCQDPDEVFLTVILGGFAQNGLEEKAFKLFAEMVSAGIVIDTNMVSAVLGAFGATAPFALGKQIHALVIKKCFGGNTYVCNGLINMYSKCGELEESVRVFDGMPSKSTISWNSIIAAFARHGHGSEVFQLFESMKADGAKPTDVTFLSLLHGCSHVGSAKKGLEILNSMSSQYGIHPRVEHYACVVDMLGRSNLLDDAKAFIEDGPFKDNPLLWQALMGACSFHKNSEVGKYAAEKLLLLSPDCTAAYVLLSNIYSSEGRWDDRARIMKRMRELGLRKDIGKSWVELQKEVRSFAITTSQRGSAGFHDVLQQLSAAPSDQEDLVQSNGS >Dexi9A01G0047820.1:cds pep primary_assembly:Fonio_CM05836:9A:50813731:50815171:-1 gene:Dexi9A01G0047820 transcript:Dexi9A01G0047820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSTMASSLLLRPRAFFRCALPPPPPPPSSTTRRALPAPRLQSVAAQQAQPSLPARSDGERRRPAGTRLYSLAPYPLLLAALLPGAEPVASVFAPFVELVKTWDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGVIGLALLTIQAILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAAFGLQLGLSF >DexiUA01G0012640.1:cds pep primary_assembly:Fonio_CM05836:UA:26159040:26161028:1 gene:DexiUA01G0012640 transcript:DexiUA01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHWFKEARRAPGGGDMGGFTRILHSRNPDEFGYIVLNRPWAFVQWLQKADIKEEYILMAEPYHIIVKPIPDLSRDGRPADFPFFYIEPKKNENVLRKFFPEDMGPITNIDPIGNSPVIIDKESLARIAPTRMNVSIAMKKDPETDKTFGWVLEMYGYAVASAVHGVSHSLRKDFMIQPPWDLEVGDAFIIHYTYGCDFDRESSE >Dexi4A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:4A:528960:530413:-1 gene:Dexi4A01G0000770 transcript:Dexi4A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVTVASRRAVKPPPLRPPLRATAHLVDHLHAALADALAVYYPVAGRLATEQLTTGCSVSIDCASQGAEIIHAVAADGVSVADVVPPDADIPAAVVRSFFPLDGAINHDGHDLPLFVAQVTDLADGVFVAFAYNHALSDGAALWDFLNTWAQIARARLAAPPQTPGAAAVASRAPPVFERWSPEPDGGAAAPIVLPYTNLTWLTKRTHPPPPPPPPPPPPPELRERMLHFSPESLAALKERARQELLAAGDEAGAAAVTRFQALSSLLWRCVARARRISPEQETVCRFAIDNRDRLRPPLPAGYFGNSVYTISTEAVVPASELHARGYGWTAAAVGRAVAAHTDAAIRARVAAWMADPAIVPPATETTTRPLHGNGVVIVHSPRFDVYGCDFGWGKPLAARSGRAAKYDGRVSLFPARDGGGGGVDVEVALAPENMAALELDGEFWAAVTPYASRQQLGK >DexiUA01G0014640.1:cds pep primary_assembly:Fonio_CM05836:UA:30669122:30669406:-1 gene:DexiUA01G0014640 transcript:DexiUA01G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSRAKDASDNGAPPPRELAMDGPASNTRGGCVRMRQPAATDPPGGGTSTAAAIRAPRQAPVLTNQHTNAPRKRGKFQSSLRATSAFPLVP >Dexi9A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:9A:2537541:2541237:1 gene:Dexi9A01G0004660 transcript:Dexi9A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGTPCRRRAIQGFVAVFLAYALFVLLLESPLVPTSLPGDVAASAAASRKLHLDGGWEGGPDAPARPRLVCTLFDGLVKCEKWIRDDEGRSEESKTSWWLNRLIGCTKTVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTTHPSFSPQKQLEMLPSWQAPPLPDEPVEIFIGILSAGNHFAERMAARKTWMSAAHKSSNVVARFFVALHGRNEVNVELKKEAEFFGDIVIVPFMDSYDLVVLKTIAICEYGVHVISAKYIMKCDDDTFVRLESVLTEVKNVRDGESLYIGNMNYHHKPLRNGKWAVTYEEWPEEDYPIYANGPGYVISSDIAESILSEFVNHKLRVSSLFKMEDVSMGMWVERFNNTRLVKYVHSIKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQAGKAQCCNMR >Dexi2B01G0030550.1:cds pep primary_assembly:Fonio_CM05836:2B:38787760:38788454:-1 gene:Dexi2B01G0030550 transcript:Dexi2B01G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAGPVTDRLKREDCPRTKHDSLFSPWKVLVGPSDWKDHSAGKEGVQRYRIRNLPDNFPGLYELGVAGASEEGIRSRRRDSRGVIVVYLGQADNVRARLQQYGRSGSHLDTGNSLGPAGKDEVNVVAAGPGLFREVFSRGYYVVFRFEM >Dexi6A01G0014920.1:cds pep primary_assembly:Fonio_CM05836:6A:22280297:22280670:-1 gene:Dexi6A01G0014920 transcript:Dexi6A01G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHSRFRGAPSQGLSGTLSGRIANLTHLEQVLLQNNNISGRLPPELGALPRLQTLDLSNNRFSGRVPEALGRVSTLRYL >Dexi6B01G0018930.1:cds pep primary_assembly:Fonio_CM05836:6B:25857488:25858556:1 gene:Dexi6B01G0018930 transcript:Dexi6B01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLEVAASALALANSTLEGFLKISAQLGADTDLAFIRDEFAMMQAFLKTAEKSRRSRPKRGKVMNTWVRQVRSLAHDVEDCLEDSTLHLDRRLRRRQSSSSLRFFFLRLRLLPRKLKARHRIAGDIKKLRARVEELSGRNVRYRLIDDVAPPASSSSLQPPADRQLLITRGRHDQMWSGGGGGDQRSGEGSLADLIAGGGDGIRVISVWGRDDHGRASISVVKEAYDDDDLGGGFTWRAWVKVTHPFSPNEFVRSLVVQFHAATSIQKFEAMSKMEKTMMAEPDGRPSLSLDEEFVMLVKENKYIFVLDDLADVEEWIWIKTYLLRPDLDKNGSRIVY >Dexi4A01G0014960.1:cds pep primary_assembly:Fonio_CM05836:4A:17492406:17494016:-1 gene:Dexi4A01G0014960 transcript:Dexi4A01G0014960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTSAPLLLLAAFFTILHHATSATPAAATSAPSSDTASFLRCLAVHLPPHVIYTTTSPSYTSVLESSIKNLLFVTPATPTPLAIVTATNASHVQATVRCGALHGVRVRPRSGGHDYEGLSYRSLTTARPFAVVDLAALRGVRVDAARRTAWARVWGEMYFKANFERLAAVKAMVDPHDFFRNEQSIPPFPSSRKGVS >Dexi3B01G0009690.1:cds pep primary_assembly:Fonio_CM05836:3B:6699244:6702995:-1 gene:Dexi3B01G0009690 transcript:Dexi3B01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEVAPETKPPAAMATSDSGSSESSVLNAEAASGGAAAPTEEGSSSTPPPPAVLEFSILRSESDAAAAGAEDDDDATPSPPRHHHHHHQQHPQLITREFFPNAGGPPRPPPQHWADMGFFRPEPPRHQQPDIRILPHPHATAPAVAPPPVQPPAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGVDADINFNLSDYEDDMKQAAIKCNGREAVTNFEPSTYDGELMTEVGTEVADVDLNLSISQPASQSPKRDKNSLGLQLHHGSFDGSELKRTKIDTPSELVGHPHRFPLMTEHPPIWPAQSHPFFTNNESASRDINRRPSEVGTGGVPSWAWKVTAPPPPTQLPLPLFSSSSSSAAASSGFSHTVTTAAPATPSASLRFDPASSSSHHHR >Dexi9A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:9A:7727344:7727848:-1 gene:Dexi9A01G0012220 transcript:Dexi9A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRCMESVGAGAAPHNSFRSLGPTLLITMAYIDLGKWVVTLEAGSRFGYDLVLLVLLFNLSAILCQYLSSCIGIVTGKNLAEV >Dexi2A01G0029330.1:cds pep primary_assembly:Fonio_CM05836:2A:40365188:40366720:1 gene:Dexi2A01G0029330 transcript:Dexi2A01G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDDKSFLNLFSYHAAWLFHRCILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDAPVDQFTPVGRMLYKAPSDGKWGEHELDSILIIVRDVKLQPNPDEVADVKYVNREQLKELIQKADAGEDGVKLSPWFRLIVDNFLMRWWDHVEKGTLSEVVDMETIHKLKE >Dexi3A01G0024910.1:cds pep primary_assembly:Fonio_CM05836:3A:20517034:20517837:-1 gene:Dexi3A01G0024910 transcript:Dexi3A01G0024910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVARRREQRRGPSSRSPPRRLLLEPASDGEAGMSSQRRHPCFSSLLPPPLFHARSLSLELAVEEEVAPQIRHARLILELGGLELALEEEVAASCLGRSPAPPASSFSPTSRMRGWPCGFFDLCLDFDSNGGFVNGIGDFFASNRGFVPLSLWLLLLSSLFRFSLLWSSSSSSHGPLLLELLHGRTEEPCGRAARRRRLARRAARRSRPARGVGRLGLRAAEAVGPRHVGRWRTAATALDLAPGKGCGKIAVALAPPTAAKESLN >Dexi6B01G0020720.1:cds pep primary_assembly:Fonio_CM05836:6B:27113331:27115656:1 gene:Dexi6B01G0020720 transcript:Dexi6B01G0020720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQEASTKGRERRRSTSSLEEAVDRGASWGRQQTLPDDCSSSQVEGRASECAFDVDHLPRSSRAGNRFSLPHAHPPLSGTRFSTDTGGSRQEAPACGATTYEFTSCIDPAKHRPSAAAAVLQQLGVPNLGPKLLGIKAHNDINPAPRPSKSLPSLRVLGTHPARGKQPPSSGWFPSRSRLGGLEGDPHPRADGFRLLAIQARARLDGNSAQRTAVHQSPGLTAPRHHLLFGEDIGQIHSRQPNPASGTPPSKAASKETMERRTQDTTLDAVNCHEKEGRYQSWKARFPLTHARNDKHMYSHPAPLVYKRGGKAHAKGRRSEQQTRRARNHAKSISLTNTRKHHSQGLRSSPPSPTLLVNPYYEQHATRCIAPLLDVRPRGRNQDKTLVSHSRHRGNEWLAPQSLVGAGATKSGTDNTVLSSIKLE >Dexi9B01G0044520.1:cds pep primary_assembly:Fonio_CM05836:9B:44277182:44278018:-1 gene:Dexi9B01G0044520 transcript:Dexi9B01G0044520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQQLANKAVGGSRFAVTCGLLRQYMKEQGGNALAPAAMAMGLAPGADAAAAEGKTTVLELFPQQAGTLKHDHQSKRKEAAERAPLTIFYDGKMVVFNDFPAEKAQELMHLAGGSGNAPTAGHNNALEQPSLTVTDMPIARKASLKRFLEKRKNRLTAGDPYPAAASELMSKPVKEEDGGAPWLGVNSALSLN >Dexi3A01G0012580.1:cds pep primary_assembly:Fonio_CM05836:3A:9135435:9143786:-1 gene:Dexi3A01G0012580 transcript:Dexi3A01G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGIDGAGSADAAGGGAAGGGGEAGEGGHAPEAWHGGAQLYVSLKMENARIIGDLVPHVYGSEPIIGSWDPARALAMERELASLWTLSFVVPPDHETVDFKFLLKPKEDTTPCIIEEGPPRLLTGGMLEGDVRVALFKLNGDDEVLEFRVFNKADIVSPLELAASWRVYKENFQPSRVRGIPDVSINVAPTNAPEEGSAATLELDLEHYVVPTPTAPPNEYAANLAATPASLIQTGALWTNDVLLSDGIQSPSTVSADFEGHSNHKKNIEAWATDSARKIQTSGLIESKSVGTFTSLQKPDGQKGLFVDRGVGFPKLPKSSSTCSLASGLSFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIALVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANQAADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTTKRRRMLMKMAEGNCKIIFLETICNDPLIIETNIRLKIQQSPDYADQPDYEAGLQDFMERLANYEKVYEPVGEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEKGELYAKKLASFIEKRLKCEKTATIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEVTTSDLPVPRSSLASSPPLSPASSCCVPDQSKSRKLHRLRLAMSSPVARYDANVLLAAAAALSAAVAFVAALHLYARCLLRRRVALAEANPRVLVLHRPPDGYELEVVGTGAGASCGLDAKALRALPVFMWESRQAGEGAGAEQHDGQCAVCLGEMEDGELGRVLPACRHVFHVECIDTWLGVSSTCPVCRTAAAAANVAVASGEVEPRGGEC >Dexi1B01G0028500.1:cds pep primary_assembly:Fonio_CM05836:1B:33024060:33025230:1 gene:Dexi1B01G0028500 transcript:Dexi1B01G0028500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFINLIVHGFIGGHAACTLHRINPWRCFYPTTEQALAAASAAAAANTRPIMEDARLPPAVMSFYKPSPPDGIGAIHFASIGGSGSKDMISMDQDGNTLLFDAAARAIRVMPMPHVPKYSPISVTVGDGLYLLDKNPNVLLEGQPFEVLVHLPRARPIHSFDDDVDMWHWRSLPPPPYADEYYECRKVGDIEEYNRRCYEWNGKDPYLIGAYTVVGDTQIWISTKGGGTFSFDTASGVWSEAGDWALPFDGCVEYAPELGLWFGFTPPPEGRRFAVGHLGACDLGASSPTRPPEGWCPQKDCDFLPVETFVVFTGVEIERGSLKMIRHKSRRYSLGPSLGELL >Dexi4B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:4B:12501103:12502415:-1 gene:Dexi4B01G0013600 transcript:Dexi4B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLVALFASAAQRGAVDALIVDGLQVGFYGQTCPEAEGAIRDIVNNEIAMDRGIAPGLIRLFFHDCFITGCDASILLDESPAGDVPEKESSANGFTLVGLNTIDTAKSTLETMCPSTVSCADILAFAARDAAVAAGLPSYDVAAGRRDGVRSNMDDLPGNFPVPGHHVPRLTELFNQRGLSQEDLVLLSGAHSIGGAHCFMFSNRIYGFSEQADVDPTLDPEYAARLRQMCPPRKPDDDPQQAPKVKFDAQTGEKLDNAYYSELLAKRGLLTSDNALIEDPQTRAMVETFARDDALWQQKFAQAMQRVSMLDVLIGEGKGQVRKQCRLVNKQEEQQQQPQQQLEEQEQQPPQFPWFRQHQRPQFPWSRQRRPRLPFPHHPVADMINGFFRGFH >Dexi9B01G0027210.1:cds pep primary_assembly:Fonio_CM05836:9B:29677655:29679614:-1 gene:Dexi9B01G0027210 transcript:Dexi9B01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSRRLAVAVRELSGAWYGRHMAAAERAIRTRLPLVDLVLEVRDARVPASSAFEPLRRRGPLEPDNRRVVVLSKTDLANPSETEKWMAYMKKQGTFPCIAVNSHNRKSIKELLSVVQSRIRDIKHGESNCTGTVLLVGIPNIGKSAIVNSMHQIGRIGAAEKGKLKQAIVSSHPGETRDITGYKVASHPNIYVLDTPGVLSPSFANDDSGPRLALTGAIKVSLLDEYDIAEFLLAVVNSSEEYRKWGNLNQVGDSFSSDNTNTFRSRNQKRQYVSDHNQDFIVKAVRQVLFETISSSKGYLEKEDEFRRLIDRQFVSLREAFKVPTQSSEDICKPVALKLLNLYRTGRLGHYTLDHILDVRQEVVA >Dexi3B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:3B:4399494:4400014:1 gene:Dexi3B01G0006310 transcript:Dexi3B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGMKDNSPAPTSNLPILAGACRICVHAVPDPAPRAATLAAVHRTTSLLSLCPRRRRKSGKREEGGGNPFLFGPLGLGVLAVGGIFGPCASPEGTPA >Dexi8A01G0013240.1:cds pep primary_assembly:Fonio_CM05836:8A:23239969:23246203:-1 gene:Dexi8A01G0013240 transcript:Dexi8A01G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANTNPQHKPMPPPAAPAAAAATAAAAHNSQAASPKASSSSSSAADAKPNKRTHPGTAATAAAASTSSGPASAPQDPAPSLNGEAGRSPLLPAPQHAHPPPLGASPLLAPPPPPSRPLLTVAAVDAVMAAIPPPPPYGLEDLERRTVALSDGTVRTYFALPLEPPPQLRQPPPPIPAHLLVPPPPPPPLARPPIERWAPPPMPPMPVLLPTAGLLPMPPPLKRKWEDLPNGGAPGQSSGRQQQHKAEARAAKQLKEVKVEETGVDPKALKSSFLKMVKLMNENEADKKNYRANGKLSQLKCPVCQRDSVDLHALLNHAYHAKNVEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTADAEANQGDLILWPPTVIIENTYKSKNDGQKDAMSNNEMESKLREMGFAGVDVQPLPGKEGQKSMQAKFPASLDGLNKASRLVELFERQGHGRAAWARIRSIAPTAEGGNHPMPVKVDGKGERTWVLYGYLATVWDLEIFDPESKQNVTVKSRKELDLD >Dexi2A01G0010000.1:cds pep primary_assembly:Fonio_CM05836:2A:11188069:11188681:-1 gene:Dexi2A01G0010000 transcript:Dexi2A01G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRGVLPENPQEPHAGELQPSGHLAHELGPQRRLAPERHVQHRPRRLRVQPPRDRHEVAVVVFVSGGGDRGVVGGEEAGGEDGLAVEVAEEVADGRVVDDLGAAAAEEEAAPLLRLPEAANVGDARGVAAAEPAGHLLRLVETARDEGVGIHGGGTVKCSGDVLGWASLADLGPMKATKGAGKMRNRAHGTSCSSAMGGSQW >Dexi8B01G0010660.1:cds pep primary_assembly:Fonio_CM05836:8B:19247622:19248147:1 gene:Dexi8B01G0010660 transcript:Dexi8B01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVRAEHLVVGEQQRAAHPDLSLVYVGFLPPLHGWHPVKCVMSGLRHPVLHPPGGPGTAPLTAAFLAAAYSTCSRSACLRRSSGELRRRSTASYLAATPSSRPTISPHQPMKKYSVSTNAFSFPNNLSLLLSSMYTSADWSHATSESLSASSFLNTFPHRACASGNAGPI >Dexi6A01G0000450.1:cds pep primary_assembly:Fonio_CM05836:6A:272967:273981:1 gene:Dexi6A01G0000450 transcript:Dexi6A01G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKYFEWRIWASLVPIVGGILLTSITELSFNTFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPAIVLEGSGVVSWLYTYDSVAPALAIIVTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQSAAAPGPRTPRGRMEMLPLTSEKQGDKI >Dexi3B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:3B:15158497:15165628:1 gene:Dexi3B01G0020130 transcript:Dexi3B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGRNGFDDDNVNPFAVIAPRFSLSTTLNPRPLHSRRSRCAYPIRSASAALAFGIQRFMGGSVPPATNSRLSPLSHEPADFYNVDIPFDSTKDLKKKEKELQAMEAELNKRERELKRKEEAASRGLVACLFFNIMATTTAWIKGEGVIIWLLAIIYFISGAPGAYVLWYRPLYNAMRLSMHIWQINISLTFSIVPYDHISFFCLNAYFRTESALKFGWFFLFYMIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVISKSAIVGIFYFVGFGLFCVESLLSIAVIQQVYMYFRGSGKAAEMKREAARGALSSAF >Dexi3A01G0002910.1:cds pep primary_assembly:Fonio_CM05836:3A:1916310:1918567:-1 gene:Dexi3A01G0002910 transcript:Dexi3A01G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEAGGPDLIVDDGGDATLLIHEGVKAEEEYEKSGKIPDPESTDNAEFKIVLTIIRDGLKTDPKRYRKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKSQADYISVPVEGPYKPAHYRY >Dexi2A01G0027770.1:cds pep primary_assembly:Fonio_CM05836:2A:39094393:39101903:-1 gene:Dexi2A01G0027770 transcript:Dexi2A01G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPQTGKSVLGLDDLARRKRGAEGSNAFKPPLPKVAVAAGSIDEDEKPGPGENDATSLPTSGRSNSLRRYRGSGSDDKTSSNVADEDERIPTPSHQDEAHRQETHISRSSQGSRAHDTPRNYDHYDNRGSRDKRGDRERSASISYSSSGRRRYHNDRESHTRRDERERSTSIEYGNKRSRPEHGSRSSRTPARSDWDDGRWEWEDTPRRDWRDDRPGSRRYPARSPMLAAASPDARLVSPWSGGNTPRSTASPWDNVSPSPAPIRASGSSKGSSYTGSIGSSHHLTFSSTAGSNVVDADRSPSNPDRNSEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNAMYPGDDNSYKKREAEMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTTQAEPVMPLKDPTSDMAIIARKGSTLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFREEAKFSQHLKEKGEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLQVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKDEKTAMEEEMEKLRREQAEAARLEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >Dexi2A01G0026800.1:cds pep primary_assembly:Fonio_CM05836:2A:38357331:38359712:-1 gene:Dexi2A01G0026800 transcript:Dexi2A01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGKPAQGEEGKAAEGSIGGYESLHRLLEANLSPELFQEASRLLLGLNCARPLETISLPEATTTIAETHNFDVQAFCFSADKEFLRQPRVVRVGLIQNSIAVPTTCHFADQKKAIMDKIKPIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQELAQKYNMVIVSPILERDVNHGETIWNTAVVIGNSGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLIISDMDLNLCRQLKDKWAFRMTARYDMYASLLSEYLKPGFKPQVIVDPLINKRA >Dexi3A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:3A:7957818:7962758:1 gene:Dexi3A01G0011200 transcript:Dexi3A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAHHLSGAPSAARLLPAAPVSVCLVLSRLLAGGTTPLQSGAAQLPCPPLRGYILSQLLAVRRKSEQKSRKERRKEARSEKQKLRFLSWVQHQGGKKKKPAMPVEEPSPAEKKPKKEPAAVKKKRKRDVEGKGKPKSNFQEYLEMEMGGAVSMEEDLEMERRLAKKLKVKKGKLGGPNDGMDELFADLGFGGDFGSDDEARAYDWNVEDDTKLDRTKGKKKKKVKKDYMEMEELDIEDEENDREKKKKKVKKDYMETEEPDSVGEENGRKKKKKPKKMKKNDTQVEEPDEGGVDMDEENDGAALESEDAEVNEIELPTEPKGKYVPPSLRAASNSESEEIAQMRRRVRGLLNRLSESNVESITQEIATLFRVQSFDHSSDIVITSVPRSVGSQIIGDEVLASCARGPRGNEQYAAVFAAFVAGMACLVGIDFSAKILASIAKTFEDEYSKEDGLSLRNLTLLFCYLCIFGVISSDLVYDLLSTLSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFVLSIQNTVNQLTLHSGVQEDGKTDMHSRRMEFMLDTICDIKNNKKRPKEDPSHHTRIKKWLQKLKAEDILLRGLTWSRLLDPDKKGQWWLTGDIPSTAGNIEDVAAVISKDVTETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLGLSGKQACINDREIIRVIIDCCLQEKMFNKYYTILASKLCSHEKNHKFSLQYCIWDHFKELDNMEPNRSMNLAKLVAEMLSNFTLSLATLKVVNLANPVEMTPERITHFRLLFETLLQKDDVLVWNVFTRIAGLPELEILRDGIVLFIKQHVIAEDTGKDLASKFKIAKKALDNTAGVLM >DexiUA01G0017020.1:cds pep primary_assembly:Fonio_CM05836:UA:36180065:36181622:-1 gene:DexiUA01G0017020 transcript:DexiUA01G0017020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLRAAAAGKGKKTSDKREADAAGMDVTGACVLGDVFIVQGEYEDWEDYRKACSTLEGDQEFVWLWEKLLSNAKLIEHFLTDNSYGVHISK >Dexi2B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:2B:24205512:24211416:1 gene:Dexi2B01G0014460 transcript:Dexi2B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSTLLRPSNSCSARPVPRTVCSHQRIPISVIGRSPSSSVVVRTRRGAAIVRKAAAGDREAPAAVLPAALLFDCDGVLVDTEKDGHRISFNETFAESSFNSLGCFYLQRELGVSWDVELYGELLKIGGGKERMTAYFNKTGWPAKAPKADEERKEFIASLHKRKTELFMALIEKKLLPLRPGVKRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKIIIFAGDVVPRKKPDPAIYILAATTLGVDPSSCVVVEDSNIGLSAAKAAGMKCIVTKSSYTAEEDFATADAVFDCIGDPPEVRFDLNFCMDLLHKQYVS >DexiUA01G0015460.1:cds pep primary_assembly:Fonio_CM05836:UA:32382220:32382470:-1 gene:DexiUA01G0015460 transcript:DexiUA01G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATSCQASSILHGSTVAQLSDDAFHGFHGGLNSLIGSARHPALSGPRLPLMMLLIQL >Dexi4B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:4B:20562010:20567414:-1 gene:Dexi4B01G0018190 transcript:Dexi4B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAAAGARKKKAPSPPKHRHDGTSPLPLGMDWSPPPKRWRRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAARSYFQDRNGRPSEAGSSAKSSSGSSPHADGSASDSLAESNQINQALNRGSSLTGATGNGVLGESILDQSDEHVSSVSNHRKGNLVFLEHDGRNGSVASYRGVVSEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGASSSLWDGPVDGHISQTEHLTGLDMQLLYDVDAQVILPNDQKQKLSRLMITIQRRIGTARTDMEDLIARLNQETAVKDYLTTKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKIEQNDKTRVETEKTSASGENETLLQELEMKQKEVESLKQHLGEVEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYLEEKTDLERVINKEKQRSTRMRLSREKILHECRLLRERLQECSAKFLAEEQDNFTIDPSSLPDALDLLATSDNRIRLLVAEVNFKCYGRLMSYLPA >Dexi6A01G0018090.1:cds pep primary_assembly:Fonio_CM05836:6A:25911824:25912357:1 gene:Dexi6A01G0018090 transcript:Dexi6A01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNLSASSFLPSALANAATTVVQVTTFLSGIPSNSLSASSSRPNLAYIDTSELETKRPPPPPGDSDPLAATWRWMRRPSLAVPWRTQSLTSRVRETGSARSPAEARSGSSASAACKGPLKQRRENVARRGRRLLRRVAARGLRGRCLNREFIRCKQKSRRVEAGMAV >Dexi3A01G0028370.1:cds pep primary_assembly:Fonio_CM05836:3A:29020536:29026775:-1 gene:Dexi3A01G0028370 transcript:Dexi3A01G0028370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFKPVPKDGSPAKKRPAAAADSGDAPAAAGAGGEEVGPCEEPRKFLTWNANSLLLRMKSDWPAFSQFVARLDPDVICVQEVRMPAAGSKGAPKNPSEIKDDTTSSRDEKQVVLRALSSSPFKDYRVWWSLSDSKYAGTAMFIKKKFEPKKVSFNLDRTSSKHEMDGRVIIVEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQCVDKPLIWCGDLNVSHEEIDVSHPDFLSSAKLNGYTPPNKEVIFDLHMQPSNIFSAIKSVCILQDCGQPGFTLAERHRFGNILSQ >Dexi1A01G0005990.1:cds pep primary_assembly:Fonio_CM05836:1A:4468500:4471221:1 gene:Dexi1A01G0005990 transcript:Dexi1A01G0005990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQDNSTTETTSLNGQGQMRNGENISCAFGPISGVQSPDALGNVEQDIDNILRENDERLVALQVAYNRACNDYNQTTSGRVLQQDMKHFFAPGALVAYYEDNSGHEDTTDIRQQRVSYDSSQVQQLGGTSDNVHTYASAFNNVTPYVQLLPQQMGTPHVNPTFRLMHIKDQVVAFCADANGSRFIQQAIEVATIEEIVMVYEEITPYVCMLSIDVFGNHAIQKVFDVAEQDQRLEMAKELSSKVLICVCDKFANYVIQKCIECLPSKHIEFIFQSLRGKVAALSTHAYGWHVVKKMLAYSSHNPEIHHTVTAEIIESAKILSADQYGNYVVQHLLEHGSPIKRSMMVTEFVGRIVTMSYNKHASNVIEKCLTFGCDRDRQVITNEIISTGGAEHFDDLIMVGVLVMDMMIHPYASLVIQKMVETAEEQKICMLLGVAWSKSNMDNLKRNQHGRHVIAAMEKFIAAKVLSM >Dexi3A01G0002030.1:cds pep primary_assembly:Fonio_CM05836:3A:1376876:1377163:-1 gene:Dexi3A01G0002030 transcript:Dexi3A01G0002030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLDPGAPPLGGGGRRRRSPANLAWGRSSHRGPRNTTTVSTGHDLAADDVRRPGVEDDGVAGFSAGAGYLYAVPCPHRGRGKGRTQTRGRFENV >Dexi5B01G0036390.1:cds pep primary_assembly:Fonio_CM05836:5B:36105321:36106692:1 gene:Dexi5B01G0036390 transcript:Dexi5B01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPVRWPRVLTPAQLAGAIRRQKNPIEAVHLYSEAPRRYPPSSYRHNDGVRSSLLAAAAGSPVLPSLLRRVIPTSPSADSLLAASIPNLSPAAAVSIFRSSLPSSPSPSWSLSFSALLRRLVSQSLLPEAARLFADFAGGPEVSVASGDLTLLISGLCRVRRPDLALQVLDEMPNLCLTPDRDAYRSIVPALCDGGMLDEATHVVYSMLWRVSQRGCDADVVVYRALLVALCAAGRGEQAELVLDKVLRKGLRSPGSRRSLRVPMLAVLSLEDAQEAIDQALAVRGGRTVVSFESMIIDLYNEGRFGQVEKLFEDMTKKGFKPTLCIYEAKINALCRKGDVDDAVKVLEEELPKNDLVPTVTSYNLLLKGLCDTTQSMRALELLKKMDRQLGCVAQKDTLSILVHEHMMHCYG >Dexi9B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:9B:426380:427449:-1 gene:Dexi9B01G0000660 transcript:Dexi9B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLSGTHRQGGGAQQRRGGSPPPRGRATSIYRSGYYRPGVVQDDMAVPPATYLGTGASTPAWGPRASEGGEAREWVAQVEPGVQITFVSVPGGGGGNDLKRIRFSRDMYDKWQAQKWWGDNNERIMELYNVRRFSRQVLPAGAGDDADRESFYSQSQVGSPSATPSPAPLTPDRISWPAFAAARQPPPPPGAARQHSFRPMSPPPPSSSNPSERAWQHHHQQRQQNGAGGGKSPAASEAAATETARTTTSSRDDVSISNASEMEVTEWIIQDEPGVYITVRELADGTRELRRVRFRSCPPPTPPIKLNAKLWWEENKERIQAQYL >Dexi5B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:5B:979663:979941:-1 gene:Dexi5B01G0001530 transcript:Dexi5B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNGGQEAARYGVGKSICRDQQRSTEERGQCYFQMPLHYPRREDYEAMPEWQLDRLLSEYGLPATGTLHHKRAFAMGTFLWGAANHH >Dexi4A01G0007710.1:cds pep primary_assembly:Fonio_CM05836:4A:5803444:5806309:1 gene:Dexi4A01G0007710 transcript:Dexi4A01G0007710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRHHRLLLAVVVLLLSGLLRPAHPFTELESDQIARFQEYLRIHTAHPSPDYAGAASFLLPYAASLGLHTTTLHLTPCKTKPLLLLTWPGSDPSLPSVLLNSHIDSVPAEPEKWAHPPFAAHRDPATGRIYARGAQDDKCLPIQYLEAIRGLKAAGFAPTRTLHISLVPDEEIGGVDGFEKFVESEEFRALNIGFMLDEGQASPTDVFRVFYADRLVWRLIVKAAGSPGHGSRMFDGAAVDNLMDCVEAIAGFREAQFRMVKAGKRGPGEVVSVNPVYMKAGIPSPTLIRKGPVTDVAGRPIFTATNESNPWWSVFEQAITSAGGKLSKPEILSSTTDSRFVRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHLIRALSCLQG >Dexi1B01G0004480.1:cds pep primary_assembly:Fonio_CM05836:1B:3630899:3631566:-1 gene:Dexi1B01G0004480 transcript:Dexi1B01G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALKRGDDAVIQSKIQSYIRVAKNTQKQFKRISKKSTAADQESCKLIKMMSEARETTVSMLESSLQLLSKKIAIPSSSKWSLVSKTLQKRRIVREEEQLQELELDINCT >Dexi3B01G0034660.1:cds pep primary_assembly:Fonio_CM05836:3B:37351816:37352597:1 gene:Dexi3B01G0034660 transcript:Dexi3B01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSRLSLAAVIILVVPSAIALLLLSLSCPVTAAAVTGNDFGGGGGGGGRVVTAGAGDDDDALKRRWQQRRRLVEDELAPEFAGQLLGADGGYVSSRTLKANEASCIHNCGGKNNGRPYTRPQLKGAS >Dexi9A01G0022520.1:cds pep primary_assembly:Fonio_CM05836:9A:17716890:17718473:1 gene:Dexi9A01G0022520 transcript:Dexi9A01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRKCRGDHTSHGQKVSPNSDFSAIIFAPPPAETTAFIQFRQAESTGMASKRAVSPSLLVVIATAVLMRPFCCAADASSTTTPMPVVGIEEMPSPPPANQTKPPALFVFGDSIVDSGNNNAITTLIRCNFPPYGQNFPGHNATGRFSNGRVPSDILASRLGIKEYVPPYVGTELSDFDLLTGVNFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLNRIAGERRAGKIVSTSMYMVVTGTDDLANTYFTTPFRRDYDLESYIEFVVRCASDFIKKLYGHGARRMNIAGAPPIGCVPSQRTNAGGLDRDCVSLYNQASVVLNTKLEKEIKRLNGSDELPGSVLKYIDLYTPLLDMIQRPSAYGFEVSNRGCCGTGVFEVTLTCNRYTADPCRDPSKFLFWDTYHLTERGYDLLMAQIINRYGLF >Dexi3A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:3A:2328839:2329552:-1 gene:Dexi3A01G0003540 transcript:Dexi3A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSARARRGSGTLQFPVGRWRHVAVVDTGCGCRPRKATSRLLSQLPSFLRPSPKPPQQPPRSSSRSSSGFFPSSASTASSSAATFTTTHSSAYSSSYSGTLASTAPKKRHEPPPPPAAARRRQQGRRKKRYEKQTMGAAAAEEEDDDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPPPVPHPAYHAYDYHYHY >Dexi1A01G0022580.1:cds pep primary_assembly:Fonio_CM05836:1A:29228443:29230859:-1 gene:Dexi1A01G0022580 transcript:Dexi1A01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPKFGPFGPVPPPPLDEFAIKETRPRLAGRRAGGYDLVERMEYLYVRVVKARDLKWTAAFDPFAEVKLGGYSCATRHIEKSTSPEWNDVFAFSRERIQASFLDVVVRGKGFAKDDVVGQLRFDLCDAPVRVPPDSALAPQWYHVHNKKGERGGEVMLAVWFGTQADECFPLAVHADAPLAVDAKLAAHIRCKQYTVPRLWYVRVNVIEARDVVFADKARVGEVFVRTKIAAQVYKTKTCVSRLPVPSYGWNEDHLFVAAEPFEDHLVLSVEDRVKVDKEEVIGYVHIPFKEFERRWDTRPIRPRWFNLVRPEGAPKIDKFSAKICVRICLEGGYRVLSEPVHYLSDVRPAARELWHKRPPIGLLELGIHNAFGLTSMRTRDGRGSCDAYCVAKYGVKWFRTQTVIDSLAPRFHQQCYWDVHDHCTVLTVAVFHNCQIGDKGGLVTGDPVKDVLLGKVRIRLSTLETGRIYTHAYPLVTLHGGGIKKMGELQLAVRFSSTSTLGLLQTYAQPHLPPMHYHHPLSIVHQETLRREAVSLIAHRLGRMDPPLRRECVEHLCEAYSHRWSMRRSKAHFFRLMAALAPMFAALRWSVDVCHWRNPATTVAVHVIYAMLVCCPNLIVPTFFMYKFVVGLWNYRRRPRHPWHVDTRVSHAEMAHKDELEEEFDDFPTSRPLEVVRMRYDRLRSLGARIQEMVGDVAAHAERARCAMTWRDPRATAMYLLACLFLAVTTFLAPFQAVALLTGFYVMRHPALRQRLPDVPANFFRRLPCKVDCLL >Dexi6B01G0009330.1:cds pep primary_assembly:Fonio_CM05836:6B:13620437:13622403:1 gene:Dexi6B01G0009330 transcript:Dexi6B01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNYYGSCMRSFHAKLGDGEDSYCATLGYTEAEVKAIKNFLCKNCEYKQHQCFVCGDLESSDGKNAKV >Dexi5B01G0029710.1:cds pep primary_assembly:Fonio_CM05836:5B:30874468:30877383:-1 gene:Dexi5B01G0029710 transcript:Dexi5B01G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRTLNPLIRRSTTAISDSRPLLSLHTFLASSSPTTITPAAASPAAAPLAGAAHTHVPVRSGGPLFLSSPPWMLSQSATPLTAALRDKLRRAQALAGGRAQAVADAVQWDRKRISGGEAEAAASAGTVGGGGERFLNAPNLVSIGRMVSGPVIGWMIVNEWYLPAFATLAVSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVQKDLLHSGLVGLVVMRDLLLVGGAFYKRASILGWKWNSWSEYVNLDAIHREKVEPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITLLSWLVATTTITSTIGYGVKYYRIKPRSP >Dexi5B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:5B:4456526:4456938:-1 gene:Dexi5B01G0006640 transcript:Dexi5B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTSTLKMKLLVDTKAKRVVFAEASKEVVDFLFSILTLPLATVVKMLDTGSLPGSFGNLYGSVEDLDDGYVLPCADKESVLHPAVVPSAATSLLLPAPESGQRGPQLLQQLQLLRGGDKGRDFSALLQ >Dexi2A01G0015180.1:cds pep primary_assembly:Fonio_CM05836:2A:26004510:26005185:-1 gene:Dexi2A01G0015180 transcript:Dexi2A01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDITHRGPNLAHVHLRRGRRELLLRRGLRGGRRHLWIELLDVDPVDVHEEADEDAGDECGGGVADALEDEVEGSPDEVPARDRREAEDPDGVEREVEPDAHPSFSPKGPRPVKTRYMA >Dexi9B01G0040790.1:cds pep primary_assembly:Fonio_CM05836:9B:41302479:41315914:-1 gene:Dexi9B01G0040790 transcript:Dexi9B01G0040790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPVGRGGPPPVRSLQDVVLGYCRGLAGCLVAGVTSTVPLPSSEGAPALPGEEFRNTPATNAMEGEVREESNPLLQTIEFAPSTSGPNQKLDSTQPSDPNQKPAKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPGFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKSRRKCSRVDVCLSSPSPIVSKTPGNEPFPVKFLPVDAQNGSRVPSPKGAFLKRMPETNSSKSGATKGDLSATRTVKQKRRAGGGAYKKWERAAMAGVSLVADAAEELERNTINLGSSTNHMKEADSQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSRKKISSVLEHLNRKWGNSNITYGELTLFPYCAHQEDLTTYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFESCMIPEDIQVKSPSGDKPCAPKDITLLSSKDQSAPLHITSSNTAKNTELPVKPTNALPSQFGSQKQVQDDQRMNCAAISEGEWADTLTDISVGYLLTEASKGAHLDCLGTSSIKNALYLENPCSYDSFDAAVALHVSRYQASEQPTHTSHSTIWGAEETCDEFSFNLSAMKQEGSNTPSSSSPDTDNENHPSNPEGFRGFLQDLTGGEAAADNPCNDEAKDTEEFCARSPPQNDDSNELKDQSLADIYWPDSLGPLDLDVPSSQEAVNPKAYPLADAQLTISILEIIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQPIQSLKDSIEKLLI >Dexi2A01G0017540.1:cds pep primary_assembly:Fonio_CM05836:2A:29411797:29413719:1 gene:Dexi2A01G0017540 transcript:Dexi2A01G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIFRTAALRLGAMEPPMKHKSSAVPVSTSEEEAVDLLVKRRKIECSGFIVQPQQEGEVSDMEIKVPDGLPVEVSMGMDPMDVLVDCAVQSSGDANGCIKGHVSGVSCKGNGPATAKLPRICTSIGCKGPSYDFEEQGPPHNRLFICKVTLHVEGLTNTVIECFSDPKPKKQAAKEHAAQGALWCLERFGHVK >DexiUA01G0021110.1:cds pep primary_assembly:Fonio_CM05836:UA:43489095:43489722:-1 gene:DexiUA01G0021110 transcript:DexiUA01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARQFEEMEDDKCWKVLADFWAEMLIYVAPSENVKEHIEALTNGGEFITHWWALLTHAGILERPPRNANNDIENSGEGEGSPYCCPSTTQAAPAACATNQQPMTGDHIVELVERNVEDIETAGTERHYPGEISYGAALRLRRANSYISKCSEGATLATSATNKQATHGNCER >Dexi9A01G0008250.1:cds pep primary_assembly:Fonio_CM05836:9A:4869126:4870973:1 gene:Dexi9A01G0008250 transcript:Dexi9A01G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATTAAPHVMVLPFPGQGHVTPLMELSHRLVDRGFQVTFVNTEPIHALVLDALRPSGGISALPDGIRLVSVPDGLAAGDDRRLRPLQVRGRALPVRSGLRGALEQLIRETKVKWLVRDVNMGMCFEAAVKLGVRVAAVFPAAAACLGALFMVPQLIEDGFFDNKGQEVAYRLVSSNTEAARRHAEIVVCNSFRDAEAAAFELFPSIVPVGPLFADAELCKPVGQLLPEDTGCMRWLDAQPDRSVVYVAFGSFAIFDSRQFKELAEGLELTGRPFLWVVRPDFTNGDLSKAWFDEFQERVAGTGMVVSWCPQQQVLAHCAVACFMLHCGWSSTMEGVRNGMPFLCWPYFVDQFANRSYICDIWRTGLAVSPGEDGIVTKEQVSVKVAKVIGDEGMAERARMRRDAACKCLGEGGSSRENFSRLVDLLRE >Dexi5B01G0021680.1:cds pep primary_assembly:Fonio_CM05836:5B:23940369:23942049:1 gene:Dexi5B01G0021680 transcript:Dexi5B01G0021680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMTRSDSEKRAPRTPKPPPRRAKSISPSPPPGGNNPLVAGPPRPPGPPAPPGGPPRPPPPGGGPPRPPGAPPPPRLPGGAGPPPPPGPAASKGRAPAGGRMRRAPEIVEFYQALMRRGEAGSRQTGSRGPKAPAGGSKAAARSDLIGEITKNSPHLVAVQADVDTQGDFVRTLAAEVRDATFANVEDVVAFVAWLDEELSFLVDEQAVLKHFDWPEKRADALRDAAARYNGLLQLEKRISSFVDDRALHRDAALGKMFSLFEKTEKSVYRFMQERDAADAKSNLVSRYKEQDIPVGWMSDAGIIAKIKLACVNLAKQYMMRVVSEIDSLGGKNDEQKRENALFKRLKEHNREVLLHQGVRFAFRVHQVDSLLRAWILLMS >Dexi5A01G0032330.1:cds pep primary_assembly:Fonio_CM05836:5A:34887345:34888553:1 gene:Dexi5A01G0032330 transcript:Dexi5A01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAFSLSAHLSVCSAYTLGAPSNRRVLSMFLSACHRSVSLAMGASHAMIRSAASFHPPARPSSSGPGSRHHSRSFDLTADLSFAGSISYISARSAAASSCLPSASIRSSSSADTITFAYCWSSPAPPTVVAGASRSLRQSVDGRSPMIGHELLIGGWTRDGPSRRVMGPDDALAFPERGWRRSFEMRVWTLSVVAAGSGGGRPGTNWPKIEQMRTNTAQAARAMRPTAFSDSSLSRFTLRRCVLSSCRSDSAPGESPACCCCRCVAAAAAAACGCCGIGPLRRLQPRTLISRSADSSSSSASISEQSLAVAETRRSIFLALTMSPLATSGSSRASGSPALRHRNTPPKSRPQRRPRRATAAAAASSDTTSSAQASLRRNAWSVELR >Dexi5A01G0032010.1:cds pep primary_assembly:Fonio_CM05836:5A:34572143:34573963:1 gene:Dexi5A01G0032010 transcript:Dexi5A01G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRKLSRPASHRVSMLRTMVSQLVKHERIETTVAKVLHLAFVRLFERALVAKEVRRKADQMVQLGKEGTLDAARRAAAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTKIRVGDAAEMAYIE >Dexi7A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:7A:17644674:17654703:1 gene:Dexi7A01G0006250 transcript:Dexi7A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPPAPNSRGGGNARAGGAPAKARQPSRGGDEDEDSEVELLSISSGDEDDQPRARGPPPPRGGGGGGGGRSGARRAASRDDGDFDDDEPRSWKRVDEAELARRVREMREAKVAPSIQALDQKAAAAAAAAARKTLTSVQTLPKGVEVLDPLGLGVMDNKSLRLITDASISSPVSREKSQGLDPSMRDKVIYSSPSFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLTELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTQDHDARMEILQNKIREKMLSDSKWRQLQIDSNKSLEVDSTISDSPRADQLSTNYMAEEADGLRASYIRRLTSVLIQHVPAFWRLALSVFSGKFAKAAAGTVVSDADMNAKPGTNKTDEKGGEAKYTNHTLDEVANMVRATVSAFDTKVQNTFRDFEECNILRPYMSDTIKEIAKACQTLEGKDSSPTAVKMLRALHFEMTKLYILRLCSWMRATTKEISKDETWVTLSTLERNKSPYAISCMPLEFRDITISAMDRIDTMILNLMSETAKSYDISQPLQEINESVRLAFLNSFLDFAGYLERFGGELAQNRANKENNYVQNGYANGTRETFTTVDGDLHKKLLVVLSNIGYCKTELSDELYNRYRHIWSPVRNNDERSSDMRDLMTSFSALEEKVLDQYTFAKGIRDATLDLLHILVAVHAEVYSGARPLLEKTMRILVEGLVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFETVLHTYFSPEAQQALKSFQENLLEKACESVAEALENPGHQRRPTRGSEDATSDGQASVSPDDLLALAQQYSSDLLQGELERTRLNIACFMESTLQSTSAPAGPKPAAYSSYQASAPQHTPVQTSSPSFRRQQTGSSSPVVSRRRR >Dexi2B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:2B:28861926:28863126:-1 gene:Dexi2B01G0018630 transcript:Dexi2B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCSIEPNHQAPLRICQRLAIIFNHLAHPLPAAYDDSWQALRWVASHANATGSGGEEEPWLADHGDFSRLCVGGESAGANIAHHMAMRVGTEELGHGVRISSAIMVHPYFLGAGRVSSEETDPGMAQSVVTMWRVVCPGSTGVEDDPWINPLAPGAPGLKGLACGRVLVCLAEKDVVRDRGHAYAEGLRASGWGGEVEVLEVAGQGHCFHLVDFASADAVAQDDAIARFVNM >Dexi5A01G0009000.1:cds pep primary_assembly:Fonio_CM05836:5A:6754092:6756233:1 gene:Dexi5A01G0009000 transcript:Dexi5A01G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMSCFEEKPGKSRKNLALIYLNIGFVVGVLFVLLTYLVVSQQTAISDLNAENGKVVYNSKGYQSETCEVDGDVRINSTALSVTLVPKTSSSEHHQWSIRPYSRKTMANVNNITVTQLALQDVNNATAPACTVTYTIPAVLFSLGGLAGINFWHDFTDVLVPLFIASRRYERDVQFLITNMQPWWPVAYRTILQGLSKHDAIDLAGDDEHVVRCFPHVAVGLHQHRDLGIIPEWVPGGGHLSTPDFTRFLREVYSLPRDTPVSLVGEPDKRPRLLLIHRNHTRRLMNEPEIVKAAEAAGFEVVVMDMRREETVDAQARVVNTFDALLGVHGAGLTNLVFLPPGAVVIQVVPYGKIEGMARLEFGEPAKGMGLKYLDYVVTAEESTLLELLGPEHPAIKDPESVHRNWDNMMDTYLIKQSVRLNVSRFAPTLAQAFDYLRQQ >Dexi9A01G0023610.1:cds pep primary_assembly:Fonio_CM05836:9A:19017214:19021385:1 gene:Dexi9A01G0023610 transcript:Dexi9A01G0023610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEAVVAAGVEPFPTVDKCDASGRSAHAVVSDLDGTLLRSRSAFPYYAVVAFETGGVPRLLLLLLLYPLALALHALLGPDSPAPTRVLVFAATAGARVRDVESAARAVLPRFYAADVHPGAWRAFSACARRRVVLTSTPRVMAEPFLRDCLGVDAVAGTELATWRGRATGFVDARRGGVLVGRRKAEVLREIFAGDGDDKPDVGLGDSRSDYQFMSMCKEAYIVPRAPVEALPMDQLPRPVIFHDGRLVRRPTPLSALLVALWFPAGFLLACLRIAAGALLPMPLVYYAFWALGVRVLVRGAPPPPRAERASGRRGGMDPFYFFMNPSPAYVVTFLSKLPAELTCAGGKTSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVMYRQ >Dexi5A01G0039750.1:cds pep primary_assembly:Fonio_CM05836:5A:40200841:40202364:1 gene:Dexi5A01G0039750 transcript:Dexi5A01G0039750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNTATAAGPLASPAPRGPSALAARLPAARWVPHRCSPPALGLRRGTASSRRGSAALQVEAKKQSFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYTSIANRYRIEALPTFIIFKDGKPCHRFEGALPANQLIEQIENALAVTK >Dexi3B01G0000900.1:cds pep primary_assembly:Fonio_CM05836:3B:721279:722548:-1 gene:Dexi3B01G0000900 transcript:Dexi3B01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRTTHPRYARDEPGRIESSVRRRRVTDSNTTLCTAFWPTPATATALSLLPANYLPKVIHPSTATASGDQDFLTGPTYLYARSPDPDREAPQPYRSIEMSVSIARDRKYVYSPNKNIILGYDHNHQQVQQHHAAADDDEEEDDYVYDDSGEAPSVDAQDIDAGWLENKVWQAYGASEIDWQQTQDLAHKILKAMAPDGTNDDDRVVENRLAALLDYDKFDLIRLLLHNRLKIVCCTRSTRPTTAPQDPSEQSI >Dexi9A01G0024040.1:cds pep primary_assembly:Fonio_CM05836:9A:19870853:19872309:-1 gene:Dexi9A01G0024040 transcript:Dexi9A01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAAASAGSGADFPDALPSPTSPAAAPSHTSPGRHYYLAVDRNQFKMRTLLELLGVVSDRRGGLPIAICVSSRDELDAVCAAIANLPFVSMSPLSHGCLRSKYSSLHVKINILLMVLFYEQYSDQDEAERASILEKFRQETILWNQTTKATAIAESSKPESMGTKLTIIVATDACLPLAAMAEAPLLARVLLNYELPAKKEAYLRRMSTCLAADGIVINMVVGGEVATLKALEENSGLLIAEMPIHVR >Dexi5B01G0025290.1:cds pep primary_assembly:Fonio_CM05836:5B:27360845:27362062:-1 gene:Dexi5B01G0025290 transcript:Dexi5B01G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEAQERNEELLKKIHDNDKNILQLQFTIQRLEETTVANENLLLREREQNDATTKAHIESQEKYEELLNKFVDVDRKIDLLQGTIERLEDSVAAKDVSLEAALKENDTIRKSLIEAQERNDELLKKIADSFK >Dexi7B01G0022330.1:cds pep primary_assembly:Fonio_CM05836:7B:27284346:27284888:1 gene:Dexi7B01G0022330 transcript:Dexi7B01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLCLVLVSSLLLATLAGASSSSLTSSLSWDQAQVLGRKGRDHYHHQISKNMQQPEEVLAVEVKKSTETKAGLAVDNGEDAEEGLIDGADYSSVAMHAGSPPKPKHKHPKP >Dexi7A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:7A:21708204:21709019:-1 gene:Dexi7A01G0011060 transcript:Dexi7A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPRQTTTTLTALALGLLALVLGVARPCLGSSGVSVSSVVTEAFFNGIKNQAGNGCEGKNFYTRGAFLSAADSFPAFAHGGSEADGKREIAAFFAHTTFETGCKLMINRANVFCDASSSQWPCASGKKYYGRGPLQISWNFNYGPAGKAVGFNGLGDPDAVARDPVISFKTALWIWMNQAHQVMVTQGFGATIKAISPLECGGGGAQMNARVKYYEQYCQQLGVDPGNNLTC >Dexi1A01G0025840.1:cds pep primary_assembly:Fonio_CM05836:1A:31947375:31948645:-1 gene:Dexi1A01G0025840 transcript:Dexi1A01G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAQSGRPTEALRVFRDMEDAGMAPNEVTVVAVLAACADVGDMDLGRKVHSYAKRQGYCGNVLVCNAAIDMYVKCGCVDAARAVFDGMGDRTTVVTRSSMICGHAMHGEGDKALELFAAMRRQGVRPNGVTFVGLLHACSHMGLVDEGRAFFESMEKDHGIAPAIEHYGCMVDLLSRAGRLHEALEFINGMPMEPNSIVWGALLGGARLHRNVEVGEEAIQRLAVLDPGNDGYYVVLSNIYADAGRWEDVARVRRGMKLRGVKKTRGWSTVSVDGTVHEFTAGDCAHPQATAICDTLDGLLDEARRRGYVPDTSVVLLDMDDAEKERVLARHSEKLAVAFGLMTTPPGTTLRVMKNLRVCRDCHAVMKLISEIAGREIVVRDRNRGGKGTSV >Dexi3B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:3B:4401120:4402715:1 gene:Dexi3B01G0006320 transcript:Dexi3B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHPASGRTYHTKFAPPKAPGVDDVIDHYSKKGLVANLHAEKPPKEVTVEVQKALS >Dexi9A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:9A:4921958:4926448:1 gene:Dexi9A01G0008350 transcript:Dexi9A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKAGNRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDITKLASAASTQGIEFAEKDATKEDTPSEIAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMERMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREELPTVAFKCNTQEQRTKLGWKSSKLDKGSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVTVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILSICPHEKLLSLYKVPAFSSVDEFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPKRDAGEDSDAVIISEGGKEFNVDEIYKAESSYISGLKSLEDFHHIEIPRNAPPGIDEEMLEDDKKPKPIEESREESMSDVNDREGSKTTSASTQHDKLYTAEGILDPRKKKAEKKRRKANKFSALADMDADYDFKVDYQMQDAAADGEDDESNEAPADDKDGRDEAKDNDPMTGVDD >Dexi2A01G0009670.1:cds pep primary_assembly:Fonio_CM05836:2A:10611604:10611825:1 gene:Dexi2A01G0009670 transcript:Dexi2A01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEAIFLPAHHAARRMTGGSERSRWREEESGAWMKAVEVDVATGRRRRWGGGRDRAREGEGLTEGEELRRA >Dexi5B01G0031890.1:cds pep primary_assembly:Fonio_CM05836:5B:32551331:32553531:-1 gene:Dexi5B01G0031890 transcript:Dexi5B01G0031890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILLLLLALVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARARHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLTWGYDSFIVKLGGLVVFAFATAFILLMMETLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >Dexi1A01G0024090.1:cds pep primary_assembly:Fonio_CM05836:1A:30733927:30737658:-1 gene:Dexi1A01G0024090 transcript:Dexi1A01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAAPEAQPESAAAAAVQTSPEPEVTVFRSKLPDIEIPIHLPLHEFCFARASELADAPCLIAAATGRTYTYAETRLLCRKAAASLRGLGVGQGDRVMLLLHNSVEFALAFFGASFLGAVTTAANPFCTPQEIHKQFEASGARLIVTSSAYVDKLRHEVFPRIDVNNGLTVVTVDDGAPPEGCLAFWDLVGSADVATIPEVTISPDDAVALPFSSGTTGLPKGVVLTHGGQVSSVAQQVDGENPNLYLREGDVALCVLPLFHIFSLNSVLLCALRAGAAVVLMPRFEMGAMLEAIQRWRVTMAAVVPPLVLALAKNPKLEEYDLSSIRIVLSGAAPLGKDLVDALHARVPQAIFGQGYGMTEAGPVLSMCPAFAKEPTPAKSGSCGTVVRNAELKVVDPDTGLSLGRNLPGEICIRGPQIMKGYLNDPEATARTIDADGWLHTGDIGLVDDDEEVFIVDRVKELIKFKGFQVPPAELEALLLGHPSIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEDAIKEFISKQVVFYKRLHKVYFTQSIPKSASGKILRRELRAKLAAAATA >Dexi3B01G0031230.1:cds pep primary_assembly:Fonio_CM05836:3B:31969707:31971349:1 gene:Dexi3B01G0031230 transcript:Dexi3B01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDYLQPAPEDVVVGPMRIFCKKNPDLNMAVCGNRVLLVKPNPEDETQHWFQYYNVGKLTDGTGRRAFVLVNTKTGLAMVDTYNEFFLSNTNNELKLLPYSGNDEPVITRMLWSQGHQLEGGFTEIRTFKDSYMALTALGGSAVEDGTVVRIFHSEPEHAESVWKVEPIPSHQQ >Dexi1B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:1B:21806616:21809797:1 gene:Dexi1B01G0015300 transcript:Dexi1B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLADLAASMNGVGKASAEPLPMELEPPADVMAAAAAAAEAEAEGKAAAAAANGKREIVMGRNVHTSCFAVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKEEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKLVQNRDKPAIINVNIGTTVKGAVDDLDLVIKILEENGFKDRFYIHCDGALFGLMIPFVKKAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKGAGIGTMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFVNELVEKRATWYQDGISQPPCIARDVGVENCLCGLHK >Dexi4A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:4A:18506857:18508968:1 gene:Dexi4A01G0015500 transcript:Dexi4A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVESAPGASEKPAPCKDSERFELELEFVQCLANPTYINYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIIYPHCLFFLELLQNANFRNAMAHPANKELAHRQQYFFWKNYRNNRLKHILPRPPPEPAPAPVPSQGPATVPLPPSVPTPVAPPVPAPASSMPPVAAGGASAMSPMQFVGTPGTNMPKNDMRNAMGNRKRKYGPQMG >Dexi5B01G0022670.1:cds pep primary_assembly:Fonio_CM05836:5B:24944220:24947488:1 gene:Dexi5B01G0022670 transcript:Dexi5B01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRPMIRGATVHARLLLSRSYASQAKATSRWPLVSCKPLLLGRGRPFYQMDTRGKDENKVMPARGCHTSTESQELAKKSCAPCNSKDLHPMSEDSAKKLLEQVNGWELTTEGGLLKLHREWKVKNFVKGLEFFQLVAAIAEEEGHHPDLHLVGWNNVKVDVWTHSVRGLTDNDFILAAKINCLNLEGILSKRANVQK >Dexi5A01G0012020.1:cds pep primary_assembly:Fonio_CM05836:5A:9004452:9005807:1 gene:Dexi5A01G0012020 transcript:Dexi5A01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAACCPSPARLAVSCSASSSSSSASVSGSSRPLRVAVVGGGPAGASAAEALASSGARTFLLERSPAGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAAEAGAELVPGLVTSLSLPAGPADPYRVHYISSSDGGQGQGRGVLEVDAVVGADGANSRVAREVGAGDYSTAIAFQERIRLPDAAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAGPKVAGGRVVKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGRAMAEEWARTGAVTEAGLRRGYLRRWDDEYLLMFRFLDLLQRVFYGGNAGREALVEMCADEYVQRRTFESYLYKRMVPGEPWGDLRLLWRTVGSMVRCGVIGREVERLRRLELQA >Dexi6A01G0014470.1:cds pep primary_assembly:Fonio_CM05836:6A:21863575:21868430:1 gene:Dexi6A01G0014470 transcript:Dexi6A01G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCHLSAVTIHERSISPPLPATPWPPRSPPTPDPATTTPRRAGTPTSPPTRRAPERADEPPGLICIPRPMRRPNARGEPKEQTLAPAPAPSPAARMKMGGAQRRQPGSRRRVAVALALLAASALALLLLSSRFAPPRYGVIIDAGSTGSRVHIIAYRAGVGRGALPQLDWAHTASLKANPGLSSFAADPRGAGLSLAPLVDFARRRVPRERWADTEVRLMATAGLRLLDSAVAESVLESAGRNVLRQSGFLFQDQWATVISGMLHRAEEGIYAWVAANYALGTLGGDPHGTTGVIELGGASVQVSFVTDEHLPLEFSHVLKFGDVSYNLYSHSFLQLGLNVAYESLHDLLSSPGLKSKGCAYHECRLGAAFVPELEGNFLATENFYHTSKFFGLHSKSFISDLMVAGEKFCHGDWPKIKKKYSSFDEGELLLFCFSSAYIIALLHDTLKMPLDHKRIDVVNQIHGVPVDWALGAFIVQTTLNRTDVLHPEVEKASAEDDLRHGERPVHHNQVAYHAFRCGPAELTGVQAAALVYDRMMWVAAACSLCAVLFPNCC >Dexi1A01G0029800.1:cds pep primary_assembly:Fonio_CM05836:1A:35241662:35243846:1 gene:Dexi1A01G0029800 transcript:Dexi1A01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSWASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLILFNANVHRTDYLNGGTALHFAALHGHARCLRLVLADYVPSIPNFCTLTNHGLSEEDSAADFDHEALVKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEVTIEDGTTIDLIGILFFNCPLLFNILGCLPLFIFGCILLLQVLNLSMYETLLSDCLSAILSFSSLHCLKNALSSTWQELVAPHFTTLLVVEMLSVVNSLLLEELALLRKMLVGSVDGDT >Dexi6B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:6B:16266992:16275315:-1 gene:Dexi6B01G0010120 transcript:Dexi6B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPSPSAAQLAASDKGRYTFNPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSPDAVMHKLMDLVCENGLSDGINGLEIGQQNGGDQSHERSYLVQKCPYAGLENVLFVQGSGPHVLHYNSQPDHSVKEIIVSRKCAESVLRGAQVYVPGVLACSAHVEKGDKVAVSVAVEQPVKDSGWAVGITRGTVLQGLQSGKPSFDAHYEERKGLYIGQGTAVMSRSGIFRVPHGIAVEMTERMYLKGRYFFKIYQVLSLLVSLVMDILKLASEMDLNCIKAYKLDALKSVRKTDEATNIGMADNHCEAIKTLAEDSDTCHAKVDGRTTIVDEDSSATVVQSDDKNPESKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKAKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLQSLKTHGRYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDSYKFLSLASQHPKVGGSGIVGSCELFNKTYTEEWLTEDEAELVQRFDPSSPVDTIGFFIAKFNVGEKEN >Dexi9B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:9B:124450:125720:1 gene:Dexi9B01G0000120 transcript:Dexi9B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKPLTPSKWKERLEARACLDLSSSETSGRKLLLLDVRNGAQRPNVDCFRSTSFGLSGEMEDASDPLNGIDKEKTDILMYCTGGIRCDVYSTILRKKGFRNLYTLEGGVSNYLRVEGPAGWVGNLFVFDGRLSLPPATFRRSWPSGEEAAVEKERWVGCCYACRSKVVELRHRNCANIDCNRLFLCCRWCAKELAGCCCSDCKAAPRLRPLLPGHQRYLKWHVYRDGLSTPVATDSKDNPDCCC >Dexi3B01G0001460.1:cds pep primary_assembly:Fonio_CM05836:3B:1045073:1047722:1 gene:Dexi3B01G0001460 transcript:Dexi3B01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQVVVGIAALLLLLLQVPSLSPSAVVLAESELGRKAVVVYDGRAAGRYAVIFDAGSTGSRVHVFRFDKKLNLLKIGHDGIEVFAKVKPGLSAYAGRPQEAANSLLPLLDKAKSVVPACLMERTPLKLGATAGLRLIGDEQSEEILEAARNLVHTKSKFQYKPEWINVIKGSQEGSYLWVALNYLLNKLGRDYSQTIGVVDMGGGSVQMAYAISANAAANAPVVPQGEDPYVTREYLKGKNYNIYTHSYLHYGAFAARVEILKAKDEPFSNCMLRGFAGEYTYNGEQYDATAAPEGATYEKCREEIIKALNLNAPCETKNCTFNGVWNGGGGAGKDNLYVASSFYYVAAEVQVGIVASNAPSAKTTPTAFRAAAEKACQLSTEEAKIAFPNVSDGDVAYLCMDLAYQYTLLVDGFGVEPTKEMTVVDKVKHGEYYVEAAWPLGTAIEAVSRKKLFQSA >Dexi3B01G0021600.1:cds pep primary_assembly:Fonio_CM05836:3B:16457646:16457939:1 gene:Dexi3B01G0021600 transcript:Dexi3B01G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGRSNYNYCCLHGSLMQELGVVYYAGRRLLSGGPGSHPPRCTSKCGSCNPCYPVHVSVPPGVLVTTEYYPEAWRCKCRNQLYMP >Dexi4A01G0023410.1:cds pep primary_assembly:Fonio_CM05836:4A:26504062:26506500:-1 gene:Dexi4A01G0023410 transcript:Dexi4A01G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSGKGRSKSQRAAGAKAPPGPPAKSPPASDSSGGGQRSKASSAASASTPTRSIQELSEERGAQRLRVFDLDELRSATNGFSRALKVGEGGFGSVYRAFFRSAGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLIGYCAVDSDTDKQRLLVYEFMPNKTLDDHLFNRVHPPLSWRLRLQIMIGAARGLDYLHEGVIYRDFKASNVLLDPEFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLAWVRRHPPDSAGFRAIMDPRLGGRYPLAAAREVARLADRCLVKNPKERPAMRDVVDELEWVLQMEPTPPPPADKRGGGAKR >Dexi5A01G0035750.1:cds pep primary_assembly:Fonio_CM05836:5A:37457815:37464184:1 gene:Dexi5A01G0035750 transcript:Dexi5A01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRRERRVIAVAGAAALVAVGLNIAFSAVAAHRRRKRRELPGFTAQVNLSAAEIKRLTDRIIAKAKETYDSVAAVPLDKVSFANVVAPLAELDALQFPLVQACVLPRMVSPSEDVRKASAEAEKQLDYYFALCRQREDVYRVLKAFAVKGERLGPEATRFLQGLVKDFERNGVKLSQSKRKEMEKLKSYIAELNLKYLQNLNDFTKFLLLSEDELAGMPFEFLKDLEKADGKLKVPLTSYHVTPILEHCRVGSTRKQIAVAYGQKGGKDNIGILENLVQQRHKFARLLGYANYADFAIEPRMPRTSRKVLEFLEEMSEQLSDVANKELSILKDLKMKEEGDAQLGMEDLLYYMKRAEEFKIHLDIGEIKQYFPVSLVLSGMLKMFQDLFALRFDEIKDAEVWHDTVSVFSVWDASSSDCLGYFFLDIFAREGKYAHTCVVTLQNGCLCSNGTRKVPAAVLLSQCPKELDGNSALLRFPEVVRLFHEFSHVVHHISNRATFSRFSALRLEGDFAEIPSLLLENWCYESISLKMMSGFHQVVRINLAYCVLCLVDQIIHSSENVDIDSLIKELHPKVMLGIPLLEGTSPASCFPRIAIGYDAVCYSYIWSEVFAADLFVSKFKDDLLNQHAGLRFRNKVLAPGGSKDSLEIITDYLGREPSLQPFIQCKTRNSL >Dexi4A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:4A:4093507:4093841:-1 gene:Dexi4A01G0005690 transcript:Dexi4A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGELPASPPRSHELGGCRKQASGVAAGEQRRVELERWICGRDGTVAVRAEEPRLHGLGGAGPRCASDKQPPARGLSSSICDMSAALSRKPSSVGSARPPRRLAFR >Dexi9B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:9B:2120155:2125914:-1 gene:Dexi9B01G0003700 transcript:Dexi9B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSTSLPHLPPRRVTSFLSSSSSAAAPLHSRAARLREARLAAAAPTAASEVLESTNGAVPTAAKSGAARGYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNFPDEWEDGLADQLQYDADGNIKTEIVKTPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDDGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVEIATQFQESSKEVFKMVEEETEVAKTQVELVILPRSIISDNPQDQQQEQPPPPPPPPPPENQDSSEDQDEEEEDDQEDDEEENEQQDQQIPEEFIFDAEGGLVDDKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRRQKDQDKARKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAAAASDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALTDLKGS >Dexi3A01G0024690.1:cds pep primary_assembly:Fonio_CM05836:3A:20319016:20319760:-1 gene:Dexi3A01G0024690 transcript:Dexi3A01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRDAGVVVELAKAHEIQAAVVLHPGPITVEDIKAEIDHFSPPELIKQFEQVLSANSGVAHFVKIIITVSLPVPATDVTPNGQLFCSVLNLWNQ >Dexi6A01G0015420.1:cds pep primary_assembly:Fonio_CM05836:6A:22900574:22902332:-1 gene:Dexi6A01G0015420 transcript:Dexi6A01G0015420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVIGETFQLFKGSPLIDIPRYYQDRLKRYGPVFKSNLVGQPLVVSLDPKFNRYIFQQEGKLFRSWYPETANSIFGKKSNTTYNSTIHKFVRNFSSKLFGPENLKEVLIQELEDAMRQSFASWATKPSIEVKDSVADMVFDLVAKKLISIEPIESRELRKNFEDFFQGMLCFPIYFPGTSFYKCKKVCK >Dexi9B01G0008240.1:cds pep primary_assembly:Fonio_CM05836:9B:5062602:5064218:1 gene:Dexi9B01G0008240 transcript:Dexi9B01G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASAASRYAAYDSPSPSPSPRRAAPATPGGGAHGSGSSRALVVAGRSARDLAARPQPHHQGGNLGSVLRRLISIDKKPPSAKGHLPLPPAAAAAAAGAAKNNGGGKLPGLSRKLFQKGSSTDAAAKKTKALTDVKNGGNNANTRTLAMVLRSERELLAHSKAQEDEISALRLQLENKDREVERLKDLCLRQREEIRTLKDAVLFPDAEPEPEPDRRLRDEISTLTDQIQCLAQELAQARSLPRSLRVFLASTPRWSNSNAPQPGVSLSAGKGRKSLRKECSIGEAETPNCGSPDEMFSKDLNPCLTPCISKSKSDVSAQFQSSHFTKVNFFSYNFFVGLKQKQIDPA >Dexi9B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:9B:15217376:15218092:-1 gene:Dexi9B01G0020470 transcript:Dexi9B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGLDTSLRAGAVPQPPQLRPTPSQGAIAATTATATQPSANGVEARSTAADKPENGKTADNGVDKSKPEDGETPDNGATLTRPSADNVDQGLAAAGTPEEGQTAGNGDDDDNGSTAVPPSVQSPAPPTTAAQVSAVPAVVATKASPPDPLAEAKSRVQEEIRREFAAIMAAGTARPGEAAALATRRVMERHGLRRAAVAAQRG >Dexi9A01G0028390.1:cds pep primary_assembly:Fonio_CM05836:9A:33067494:33068723:1 gene:Dexi9A01G0028390 transcript:Dexi9A01G0028390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFMRWAGLFSQTDCESCRGGEMAAPAGGGGGGAKAAVAEQIAQAVRSTSNLLQLMEQSSPAQVLHQLPQVISSLDAYMDRSFQSQLS >Dexi6B01G0001260.1:cds pep primary_assembly:Fonio_CM05836:6B:1028508:1028816:1 gene:Dexi6B01G0001260 transcript:Dexi6B01G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDQHCHLKNVKITGFNSAKGFVELTCYILKNAVCSDNGIGRCPSKGNGLIEARRVLHAIRTYIEDKVPERVKFTVVEHCSRCHK >Dexi1B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:1B:26341707:26341991:-1 gene:Dexi1B01G0020210 transcript:Dexi1B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSTYLLYGRYRSRSRPIPHAMTSSRDIIAQIMVSYPGISSWNEARYSTLAVESASLSPATDATSSIKRAPEALLSLSVTAWPLNMLPS >Dexi6B01G0017460.1:cds pep primary_assembly:Fonio_CM05836:6B:24630795:24631793:1 gene:Dexi6B01G0017460 transcript:Dexi6B01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTVDSAMHEFRVDYEQTKNLNIGQSIPSNAFSAGGYMWRLVSKSRNVNAIWEAFMLDKGDIKEHFGKLLDSTDGTDVSFAIDGETFHAHRVVLAARSPVFKAKLLGSMVEATMSSITLHGIAPATFRVMLQFMYTDALPGDDELGGPPVDMIQKLLAAADRYALDRLKLICAHKLCDDMSVDTVAATLACAEMYSCSELKSQCIDFFAADDNFKKAVLTKGFVQLVQMFPSIIDELREKVGL >Dexi9B01G0035440.1:cds pep primary_assembly:Fonio_CM05836:9B:37101383:37102038:-1 gene:Dexi9B01G0035440 transcript:Dexi9B01G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLRCRGKQWDTGEEDVLPEAHRPVPCRCAQRERRRRRLLPKPLSASSPPTAANPLSPQQHAQKKLGRQRTEASPMAPADGGGEQLHKAHRQHKSGAKARKKKGKGNGAAGDDAGGEQKNPKLPW >Dexi8A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:8A:5327806:5329335:1 gene:Dexi8A01G0005640 transcript:Dexi8A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDNVTVHVEWLARRLTQQQEDAAATEQHRVMLSTHRVSRVPDHVRIRNPDAYTPGLVTIGPLHAEDGLGRLRPGKRLKMAYLHSLISRGHPDPSSHLAVIQGYVRLVAAREREARAMYAAEDVEEISAEDFVQMLVLDGCFIIEHLVNVATGREEQTLHATPYGPAQLSVDIVLAENQIPFFVLVDLIGSTRLPEFDTTGYQPPVLLMKLVLYYLAGEKGSDRSDVLPPANGVCHILHLLHQVVTSARTTWQPPPRIQQECAVLKPAREVANLLLSLPLLLMMPLLYPILPDECKWSSRYGLVSVPSASDMKWLGVRIQKIDSGGGKSSGGAGIVSVLGPVPLAVELDRHDVLRLAQLKIEFRTVPLLLNLIVFEHSSEQRAGNVLSYMWLMAKIVQAAEDAAVLVTAEVVRGETMGSESNTDVVRFFRQVSAACEGAGELEVSYLGETLQRLKERTQHPLFAKRVADLQRYYIAVPWRLVAAFVTVITTVSSILQTFPSFKQKPA >Dexi3B01G0026020.1:cds pep primary_assembly:Fonio_CM05836:3B:20958339:20970632:1 gene:Dexi3B01G0026020 transcript:Dexi3B01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSLAPILEQLYSTRASARERQKNMEKSIRDEAKRLLNNDDAGADGARDCRAADRDTESGWLKGQRQLLDLDSLAFQQGGLFMVNNRCELPLGSFRAPHKGYEEVHVPALKARPYETGEKIVKISDLPEWARPAFEGMSVLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLYMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLAPYNVTVRELSGDQNMTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNHLDVALFLRVPNESIFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKSIRDTALADDTVSRFLNNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMSRVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTHIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFVSKLADQLNAEIVLGSIQNAREACSWLGYTYLYVRMLRDPPVYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQPTMGDIELCRLFSLSEEFKYVGVRQDEKMELEKLLDRVPIPMKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIKQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRHLHKCIHQLPKLNLSTHVQPFTRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDSDGECILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFSILRNHQRAVSSESNMRVVYVAPIEALARERYRDWEQKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGKLVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSVHRLLQAMVDVISSNGWLSLALNAMELSQMVTQGMWDRDSVLLQVPHFTKDLAWRCQENEGKPIESIFDLAEMGIDEMQGLLQLSNSELQDIFEFFKRFPNVDMSYEVREGDNISAGDNVTVQVMLERDMTNLPSEVGPVHASRFPKPKEEGWWLVIGDSSANQLLAIKRVALQKRARVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDADGWEGKKEKPHGGSVPEWPSARGPPLHRRERCASSGCDLSKFLNVRVARKEDKLKIKDEYNNYRDRIAYKFLLFPSILLLRL >Dexi9A01G0021300.1:cds pep primary_assembly:Fonio_CM05836:9A:16202523:16203800:1 gene:Dexi9A01G0021300 transcript:Dexi9A01G0021300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRIEHVQPSRCTEEFRLRKAKNDQLKADAKAHGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >Dexi3B01G0032230.1:cds pep primary_assembly:Fonio_CM05836:3B:34605626:34607269:-1 gene:Dexi3B01G0032230 transcript:Dexi3B01G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIRSGELLACPAALRRAPAAVGVQVVSVRSRRARGCAVAVAVRAEATAEGVGKAKGGKKRPASGITKPKPISPELREFVGGAAELPRTEAIKLVWAHIKGNNLQDPNNKKIIVCDDKLKKIFGGRDRVGFLEISGLLNPHFPK >Dexi8A01G0007750.1:cds pep primary_assembly:Fonio_CM05836:8A:8801620:8802204:-1 gene:Dexi8A01G0007750 transcript:Dexi8A01G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLVGGFQTAIVGVILRRDKKAWKIGWDINLLTIVYSSRRDNAGDCRNLRLPLGQSKRDT >Dexi1B01G0022940.1:cds pep primary_assembly:Fonio_CM05836:1B:28599493:28600179:-1 gene:Dexi1B01G0022940 transcript:Dexi1B01G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPNNVAGLTYAARDFAIREGYGGGGDGGGGDPFEGFPDAVLGLIVSKLPFRSAVAASAISRRWRGAVAAAPALDIDFAAAFPAAPRRRAAFAAAATAALAPRSATPPPHPLYRLRLALEGLFDQAFAASAADHLASWLAAAAARGVERLELRLPRSRLAVIPPSLFACTSLTSLTLHLDHYALPLPSLTPLARLSRLHLASFSLNGDDDFFGDLFSNCQELR >Dexi7B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:7B:1482421:1489504:1 gene:Dexi7B01G0000720 transcript:Dexi7B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPPPSTWRPWSELPLDLANMVLRRLPAHVDRIRFTAVCSHWRAAPMAGPMPPPPQLLALPDGTVYSVPLSEPFHFPRCAGYTDACANWLVFFSGDDSDDVIILKDPFSDATLALPPLSRNCVGNRPFKAKDAKNLTMDKLMFCSPRLVAAIVQSRGATWIAVCCPGLDSWWSVSIPSNDDDYQRPWFADIVFHDDKLYALDHYQGFLYSVDLQSKGDHPWISRIRRVLDSHVRIPGKGDGRVSVGSNAAMETMYLVESCGDLLMVLRGMFGVVRSSITRRQPGLVDVDGRNQFQVFRADFARSRWTEVSTLGDDQVLFLRRRCCRLVRLSPEQMPGDSIVFMENDDDIRDCYDEESSSSCSVYSMKYGSVSTLLPARQAPLSWSDIPLELAGLVLRCLDSHVDRVRFAAVCPQWRVAAREIPLPPPLPLLALPDGTVYSLPGRRPFHVPACSGYTEACGGNWLLFSGEDGCFLRDPFSNATVTLPALSRVRIRFVGGGLGTAWEERHCAKSLTVRQVKFCTPHLIAARVTFQGTPRVAVCQPGAFSWWSMHLDDQSPIFLNITFHHGNLYALDHCGGALFSMDASIDHNTGDPWISRVRRVIDGSGDAENVIAPDYATFKRRFLVELHGRILMMERVMHHRLNRAGSFGIVSRAWKNEFEVFEANFEQSQWSKVTTIGDDQVLFLRSQCCRFVCVSQYKMLGDQIFFLGNGVKDDMGNGDEDANWYCEERLGPCRVYDMRDSKVSDPLPTVPWTHAFALATWLSPQGLN >Dexi9B01G0040830.1:cds pep primary_assembly:Fonio_CM05836:9B:41342519:41347720:-1 gene:Dexi9B01G0040830 transcript:Dexi9B01G0040830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLARLLEFYCQAPNGPLVSRRQGPPSPPPWLGLLLLLPQPPPPLLTRSARLPLTLSARPAEQAEQEAAAVAQEIDALAARQREALQRAAAAHRGGPEDDVHSQDDDDDLNGAILRHEAAAAVGVQNIRSLVHPVLDLAANNYTRWRDQFLLAIGKYSLEDHVLLDAPAPNFPDWVRMEKTVKSWISGTISADLEETTMETDATARVIWLALETQFLGNRETRALHLDVEFRNFVQGDLSIADYCRRFKKMAEGLAALGEPVTDRTLVLNVIHGLNDRYRDVGRHLRRGRPFPTFTEAKAELELEELTLAHQATAPSSALVAGTTRTPPASSGGRPPNQRPPSSGSGSRHAAPKPKKGKKKPKSTSGGSSHKGDSGSSKGVATGASSTPWPSLQDPWAGSIQMWPGQRPPLAPIPGLPQQQALLAQAQAQAHAHAVAQQQALEQYPHAQAQYQAQLQAQQQAQKQQYLAQQQPANLPWTPTPPGFQNPSAAPASWDQQSLASSFSTVSLQQPPTNDWYFDSGASSHMTSDCRTLSPSSYSRCPSQSSIVVGNGALMPVTATGSAQLPVRGPYTLCVALPPQLFSVVPPLRSGTDVLVIPHGAPVKAVQCDNGKEFDNSSARTFFLTHGVRLRMSCPYTSPQNGKAERIIRSTNNIIRSLLFQASMPPTYWAKALATATYLLNIVPTKTLKFATPHHALHGTPPVYDHLRFFGCTCYPNLSATASHKLAPRSTRCVFLGYSPHHKGYRCLDTVSNRVLISRHVTFDETSFPFATDHPQPSTADYHSYFLQVQALSPPHSHVRPLHSHVRPPTSPAALSRCLCYLRRLPQAALDVRRLRLCPSRPQPPYSWLQPPGHRCFPPSHDCRTLQPPRPHGLNLPPATSSTPRVQARLHSPDRWRFSSARPCALTQGYCVPVPPVANQHGMTTRAKRSFRVLVLYHAAPLSPVPKTFRSALADPNWRAAMEEHDALLKNHTWDLVPRPPRANIVSGKWIFKHKFLSDGSLERYKARWVLRGFTQRPGIDYDETFSPVVKPATVRTVLSLALSRGWPVHQLDVKNAFLHGTLSETVYYAQPSGFEDPAHPDYVCRLNKSLYGLKQAPRAWYSRLAAFLLSLGFVEAKSDTSLFVYRRGSDLIYLLLYVDDIVLTASSSALLRRTISALQQEFSMKDLGQLHHFLGMSVQRSGSGLLSQRQYMLDILDRTGMADCKSCTTPVDTNPKLPADGPPVSDASDFRSLAGALQWLTFTRPDIAYAVQQVCLHMHDPREPHLTALKRILRYIRGTLDLGLLLRPSTTADLTVYIDADWAGCPDTRRSTSGYAVFLGSNLVSWSSKRQNTVSRSSPEAEYRAMANGVAEISWLRQLLMELHAPPRRASLVYCDNISAVYMSSNPVQHQRTKHIEIDLHFVRERVATGDVRVLHVPTSSQYADVFTKGLPSLVFTEFRSSLNVRQSDDQIAGAC >Dexi7B01G0023670.1:cds pep primary_assembly:Fonio_CM05836:7B:28200457:28200831:1 gene:Dexi7B01G0023670 transcript:Dexi7B01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLEVGNEEVVGQEDFGGDQARLEERRVEGGGEVGISGDGTALEQGRVGRDGDGLLVVVCGAVEATELHGGRRRGKTRQSTAGGARRSGIAAAPSIAGGAGDSGFGSSGRGWRVATRDLS >Dexi6A01G0008090.1:cds pep primary_assembly:Fonio_CM05836:6A:8161768:8162847:-1 gene:Dexi6A01G0008090 transcript:Dexi6A01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKHTTVSRCVTVMEQGKHVFEIFDYSKLKGIRDGEFIRSATFSVGGYDWAIRFYPGGYAPHCTDSIAIYLELMNKDTKARAGCDLCLVDQTTGLPKSVQKANLRVFDANDLTGFHPQTGLSINRMQFETSSYLRDDHFIVQCAVAVRKEPRVSGLELLNGIEASPSNISVHLGNMLDSGEGADVTFSVQGETFMAHKAVLAVRSPVFNAEFFGRMREAKEQLVTIEEMQPDVFRALLHFIYTDSLPDMDDQEGDIDNKEMIRHLLAASDRYRVDRLKFICQSILCKNLDVESVSATLALAYQHNCDKLKDICLDFITSSSSVTDSVVATQGYKNLKTTCPSAVVDAFEKIRMIYKA >Dexi9A01G0007120.1:cds pep primary_assembly:Fonio_CM05836:9A:4089057:4094910:-1 gene:Dexi9A01G0007120 transcript:Dexi9A01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGDLGGGGSSASKASFLQLPLPASSAQGFPPPDGHHHSSRRIALQQLLTDPSAVQHSRRKDGTVVQGEISPLDAENIKAKIMSHPQYSALVAAYLDCQKVGAPPDVSDRLSAMAAKLDAQPGPSRRRHEPTRGDLELDQFMEAYCNMLMKYQEELARPIQEATEFFKSMERQLDSITGKCLFALYGSFETDGSCEGAGSSEDEEQDASCPEEIDPCAEDKELKHQLLRKYGGSLGGLRQEFSKRKKKGKLPKEARQKLLHWWELHYKWPYPSEPEKIALAETTGLDQKQINNWFINQRKRHWKPTSEDMPFATMMEAGGFNHATQGAAALYMAADRPPAFMADGGMYRLGS >Dexi8A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:8A:3240265:3243516:-1 gene:Dexi8A01G0004120 transcript:Dexi8A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLSSAIPSPPAPAHRRAFRSEAALEAIHSHSLPSKAAATLSSSDADDQAGPASLALYNYPTFAGAYAALAARLFHQRVRRRLLVLPFSSVEPFRAEDFEGAGFQTCYLLDFIGPKKFAFELSKFVPRPIGAVVFMQRGILKVCLRTTDSTTNTAEIAKAYGGGGKPSSSSFALRMDEFNTWTSVNSRMVN >Dexi2A01G0018750.1:cds pep primary_assembly:Fonio_CM05836:2A:30926907:30929503:1 gene:Dexi2A01G0018750 transcript:Dexi2A01G0018750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMQQPLLALLLLVFFVAFTSTLPSIADADTDADAGEATTYIVYLNPTLKPSPYATHLHWHHAHLASLSLDPSRHLLYSYTTAAPSAFAARLLPSHAAALRSHPAVAWVHEDALLPLHTTRSPSFLHLPPYDAPEADAAGPDVIIGVLDTGVWPESPSFADAGLGPVPPRWRGTCDTNATDFPSSMCNRKLIGAQSFFRGYSSGGGKNGSRVSSDLMSPRDHDGHGTHTATTAAGAVVSDANLLGYAPGTARGMAPGARVAAYKVCWRQGCFTSDILAGMEKAIDDGVDVLSLSLGGGTSLPLSRDPIAVGALAATRRGIIVSCSAGNSGPSPSSLVNTAPWIITVGAGTLDRTFPAYAVLGNGESHAGMSLYAGDGLGDAKYPLVYNKGIRAGSNASKLCMEGTLNAEEVKGKVVLCDRGVNSRVEKGQVVKQAGGVGMVLANTPQSGEEVVADSHLLPAVAVGAKSGDAIRSYVESDTNAEVALTFAGTELDVRPAPVVAAFSSRGPNRVVPQLLKPDVIGPGVNILAGWTGSLGPTGLAADERRSAFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAVKSALMTTTYTVDNTGSPLLDAATNATATPWAYGAGHVDPVRALSPGLVYDASPDDYVAFLCTVGVSPRQVQAIVAAVGPNVTCARKLSSPGDLNYPSFSVVFGRRSSRSTVKYRRELTNVGDAGATYTAKVTGPSDISVSVKPARLEFRRTGDKLRYTVTFRSANARGPMDPSAFGWLTWSSGENEVRSPISYTWGV >Dexi5A01G0021240.1:cds pep primary_assembly:Fonio_CM05836:5A:25113603:25115897:1 gene:Dexi5A01G0021240 transcript:Dexi5A01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARDAAASIVAAGGVPAPAGEYWSEALKSFLDHIPISSVPGALQPTACPAVEIKLDGSVLDAIDAMYGGNAAGAVIVDEVRSSFGKYVDRDIGFVEFSSIVLWALEELGKVEHETADISSDFLSCLKRNPHIAETKVAWLAKLFLWEPFFPVRTHETLFHAMLLFTKHHRLNVVPVVESMNSNVIGFVTQNAVMELLLQSSGLEWLDKIADKQLSEFRFASVRKPASVYSDQTLADGLHILSKEKAGVAVVDRKTSCLIGSIQCSDLCLLLDDSSIFSKRTRITMEEFINLNKKIENKHNTAQNSSACEGQNILALRNTGQQRTGLQVSNLKSDTLKQVMEKLTVSRSSCSFIVDEQGHVEGVVTRRDIISMFSPPCMDSRIDGGTFFSAALEQVGCRVENGQMIHNH >Dexi6B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:6B:21580633:21581295:-1 gene:Dexi6B01G0013850 transcript:Dexi6B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMANAMFFQLLTIVPFLSLLLLSPSLALNQDFCIADLPRGDTPAGYPCKPQANVTADDFYYSGLATTSPPAAPFNVGVSSAFVATFPGVNGLGISAARADMAPGGVVPLHWHPLATELLYVLDGTMACGFISATLNKVYTKTLRKGDLMVLPQGQPHFQYNLGNDTAVALSSYSSSSPGVQVLDFVLFGNDLPSDVVSKVTVLDEEQVKKLKALFGGSN >DexiUA01G0027210.1:cds pep primary_assembly:Fonio_CM05836:UA:58252216:58259944:-1 gene:DexiUA01G0027210 transcript:DexiUA01G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi6A01G0017440.1:cds pep primary_assembly:Fonio_CM05836:6A:25253162:25254034:-1 gene:Dexi6A01G0017440 transcript:Dexi6A01G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKAPESFQESESKEETVETTGEVSTTDASAEVDEDIADASVHISSEEHIATATLQHSNTLREVDEIVPVDEPPILKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGDKRSTSWRKRR >Dexi8A01G0013970.1:cds pep primary_assembly:Fonio_CM05836:8A:24270474:24272035:-1 gene:Dexi8A01G0013970 transcript:Dexi8A01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRATDPVRFTKIKSLDSDSIFISPCSSKAFGSWHYHGLGVEADRVYVIGGYLAPEENAHPFYKFVYKMRDGTMATRCSTKCAQASETPRSDEAQDPQHRPWADLPADILGVVVGLFSLVEDRARLRSVCHAWRTAARIHRRPPTPLPLLVMSEFKFASFCTEGILTGARRRIPLPERETASAGNVRCVGSFEGWLGTLYMLSWREFSLHLIAFEICEDNNGLMISRVEGSVVQLPVVTHDYYETWSPVEWRGKLLIVSTYSGFTEFGHMIAEVNVFEADLSTNPVRLSEIKNLGGDCIFISPCNSKSFRSSDYDGVGEHLIYFTHGNLYPENFVYNMKDGMMASFAVDEVLDTFSAPDGGLMRATWFFPPE >Dexi8B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:8B:9531451:9531699:1 gene:Dexi8B01G0007690 transcript:Dexi8B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQASGSGTSSVVAVTILVEDTEPELDRLEGCTCPLRSGRRSSKSQINFRDPVDAHGEARDVQAHGMPPVPGQHAHPNGLQ >Dexi4B01G0023810.1:cds pep primary_assembly:Fonio_CM05836:4B:25092051:25094604:-1 gene:Dexi4B01G0023810 transcript:Dexi4B01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCFKCGRPGHWSRDCPSSSSSAADPTANPSNPSRFVSSSSSYPGAKRRPPVSAEGDTPHQDDGTNKKKRKKERATRPKLTPDLLLSEDGIGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRFIPYYSFEQFVRKLEKVGASNRVRRCISELRDRVARGGDPTLLHEAPVEEVTLTGEPDGTAPEDPFLGSEDNHVANPGHEDSIDPLVEGNNDMDHMQEDLLNEMYEKAADEAPQTAAGEESNKEASEPSGPKEASSHGNAAGKVQEEAGSSKQAKIELTEEQRARMEANRLKALERAAAARARASQSQPA >Dexi7A01G0018950.1:cds pep primary_assembly:Fonio_CM05836:7A:28247484:28248340:-1 gene:Dexi7A01G0018950 transcript:Dexi7A01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSVARLLRGEAGKKGRPEMVTMDLLGGCGRGGAAEDEVVDLEVSVPAGFERRLDLLSGKTFLTPRHPNVLDDGHHHHDLNLPPPAAAAATTSAAVCTLDMVRSALERAAAGRTAAASPATSSTSSASTSSSSSSAGKRNRSPPVATATPSMRAAACPSCLTYVLIAEADPRCPRCAGRVPPLGGKSDSIAAGDGGGKKPRIDLNAAADETE >Dexi7B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:7B:18555662:18555895:1 gene:Dexi7B01G0011540 transcript:Dexi7B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQAQAFPTAAGSGGEPAQSACEAWPAARFLGRRGAVGSGFKGKKGGGPRSKGRTGEGKRLAGVLK >DexiUA01G0017460.1:cds pep primary_assembly:Fonio_CM05836:UA:36775307:36776414:-1 gene:DexiUA01G0017460 transcript:DexiUA01G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGASPALWGHEHLQLLARAGSKESLEYILQALWRTRRTGLDAADRAIARDALQLTTDAELDPLLVCLRILIRRCVSENVSKEDIPKLFPDEVPPELQKLLTLLLQKFQPEWQGDASKDQASAPHSGAAECQLNPNGGTSEQPAAPDAELQNGNASDKDSIKSGEREVKKFPLAKDSLDKMLKELFSTKDQMTIAQ >Dexi5B01G0022040.1:cds pep primary_assembly:Fonio_CM05836:5B:24273008:24275342:1 gene:Dexi5B01G0022040 transcript:Dexi5B01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLLVVVCALAIATTTTLSAADTTAIAQSQRSWAELSGRDNWEGLLDPLDADLRRTIIRYGELAQATSDAFIADPASPFAGASRFAPGPSFLRRAQAGGDNPDAYRVTRFLYATSGARVPDGVVARPAPPGAWSLESNWMGYVAVATDAGAARLGRRDVVVAWRGTKRAVEWANDLDITLVPADGVVGPGNGWSQPSVHRGFLSVYTSSNSTSRFNKLSAREQALAEIKRLLDTYKGENCSITITGHSLGAALSTLTAIDIVSNGFNVIQGSTTSSNVTVPVAAIVFGSPRVGDDQFKKAFDSNPRGAKLLRVRNAPDIVPTILPGGFYKDVGVELLLDTRKSPYLKQPGPGPAAWHNLECYLHGVAGTQGAGDGDGFALQVDRDLALVNKDVDALDDEYPVPAGWWVEANKGMVKDAATGRWVLQDHEEGNLAM >Dexi9B01G0016000.1:cds pep primary_assembly:Fonio_CM05836:9B:10966174:10972458:1 gene:Dexi9B01G0016000 transcript:Dexi9B01G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHFRPAGKKKEGNAAKYITRTKAVNYLQVSLAIFRKLCILKGVFPRQPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFRQIKVHRKKVKKAIAKKNRDLADRLLNRPPTYKLDRLVLERYPTFVDALRDLDDCLTMVHLFAALPAVDGERIEVKRIHNCRRLSHEWQAYISRTHALRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLSFLEFYETLLGFVNFKLYHSINVNYPPILDPRLEALAAELYALCRYMSTGSGRVIGNSESGGVNEKESGAIKENEDGNNKASAKTDESELRLAQLQHQLPSNEPGALMHLVEESTAVDTDDDETKECKSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFNEIDEDITHQIVDRPTQSHVFLSREYVQPQWVFDCVNARIILPTDGYLVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAARNEVLPLPGDEDLDNSLVAAMMDRTESNETAEKKRKLEMLEKQYHDELKMEIDGVTFSKLSNEKPDKSQDTMDKDDAKSDHEDEKKQAEKDNDDISTALMSRRKRGLYKAMEDT >Dexi3B01G0024970.1:cds pep primary_assembly:Fonio_CM05836:3B:19752111:19756138:1 gene:Dexi3B01G0024970 transcript:Dexi3B01G0024970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSATAVAVAVLLFLAAAAAAGVAAAPRRILVDTDMDTDDLFALLYILKHNRSEFDVKAITINANEWSDAGHAVNHLYDILFMMGRDDIPVGVGGDGGISDSGDIHPNVGGYLPLIDQGMSTAGGCRYRQAIPAGRGGGRLDIDTNSGLRKGFLPQGSRGYRPLRQPTAQRVMEDTLTAGPTTVLLLGAHTNLALLLMSRPHLRRHVERVYISGGAVRVPGNLFTASDANPIAEFNFFGDPFAAYTVLHSGVPVTMVPLDATNTIPVTEEFYVEFQRRQSTYEAQYCFLSLDEALARLRRGSSSHSNTASTGYYMWDSFAAGVAISSMRRGETGGDNEFAELEYMNITVVTSNRPYGVGAHDGSNPFFDGRATPKFGLKEGGVHSGHVQTGIRDAFCLVPGSNRGRCEDGYTKLASGPEAVRVYVATSAKPNTDKSSSLDREFFKSFLEVLNLPENTGRFNISTQFPYYTEVLYKPNFRNVSRGKPVIFDMDMSPGDFISLIYLLKVPRQEIDLKAVLVSGNGWANIASIDIVYDVLHMMGRDDIPVGLGDTTALGNPTLGCKNSYAIPYGSGGFVDSDTLYGWARSLPRSPRRYMSENLDHPERRQPHPYDVWQSVRKQLRPGEKITVLTSGPLTNLANISLSDMDASSAIERVYVVGGNIRDRGNEKGNVFTVPSNRYAELNMFLDPLAAKKVLESSLNITLIPLTVQRKVASFEGVLAALEQPTQHTPESRFVHGLISQLQELQRKQKEYHHMSLILYTFSVFLTFQDIFLGEVLGAVYMVQGSDLEPSVKVKPVSIVANNTESTDGQILVRRKSANLLKILYNLNDGVYYNHLTNALANSKQSAIVGSFDEQKAIWSRPQKQFMAT >Dexi7A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:7A:13924015:13925712:1 gene:Dexi7A01G0003830 transcript:Dexi7A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSMLLLLPCMAAAIHVARTLLLRRPRKQASSRSSSPVPPGPIGLPIINNAFTFFVLLRHNPHRALARLAETYGPIFTFRPGMTCTFVVLSSPSMAREALAENEAALASRFVPDSVRALAYGAGSMAFLPSSDPLWKHHRVTAGVFLTSGRGLAATRPVRDRHARRLAVRLRGCSGRTVKVGEAVFAAANNAISNILFSEDVVDDGLLGVQGVRRPAFMDVVAALFEEWAKPNVSDAFPFLAPLDLFGSRRRTSRNLARLYELFHGFVERRVASGERHGDVLDAVLERHAKSQLTRSDIAKLFTDMFIGASETTNITVEWAMAHLLRHPAKMEKLRAEITAKVGPKDFVEEADIGDLPYLDAVVKETLRLHPAVPVATREVAMHGVSLGGFPMAIGTCVLINLWAIGRDPAAWPDQPEAFMPERFMAGGGGAAAGALGFRGSDFAYRPFGAGRRMCPGLDFAARFVPLVLASMLHRMEWRLPETEGVMGKEDVDLSDHCTLVLKLARPLVAVPEYTA >Dexi3A01G0036390.1:cds pep primary_assembly:Fonio_CM05836:3A:41800797:41802822:1 gene:Dexi3A01G0036390 transcript:Dexi3A01G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDLSDSLIALAFILREGNQIMDRHMDDSSTFLQWAINQLHHHPSAAAAAASAYHQDGAGAATGDREEAIFPSLHALRSGGASQSQSHPAPTAGVRVRDDLTVQVDHHRTTNSSTSSGDSPGAAAMDHDAGWSPHTARSRTTGLGGGSNSRPMSWNFSAASAQSTTTCETGGGGALPDAAAATRVQLASAAGRRGGGGAAAAASSPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVRELQDKVKTLEEDGAHSAGGSSSIQSVVLVKKQCHLPAEDEAAMASSGGGHGGDGGLQLPEIEARLSEKNVLLRIHCYNARGLLVRVISEVEQMHLSITHTNVMPFPASTAIITITAKAS >Dexi7B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:7B:14178503:14182024:1 gene:Dexi7B01G0006440 transcript:Dexi7B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAMKLVAGGFLSVVVDGAVSKVSACLENNYNMPERVKELLRELETKFTMVKAICEAADNCLITNTNLVQWLRLLHTTTQEAEDALDEFQVEEASNTGKRKVSELIVSSPRSLKNLVIPDRDVIRLEQVVKTITQLCATSNTYLELLKLDDYKANQQQAGVAGETTSQLPIDVQVFGREEITEFILQMIIESSSHDHERSSGGTGKTRATRDNIIVLPIVGMSGVGKTTLAQVVYNHAEVKRHFQRRAWVYVSEHFSFKRTLQEILFSFNGYEGNGLDSCDSMEATITKLRSKICGGYKFFLVLDNVWEEICQEWSTLLTVLSDEARQHGSVLLVTTQNQKVAQTIARLHPIELKALPWKSFWPLFQYYAFGGTEVAQQKDNHNMLSIGREIATKLDGLPLAAKVIGNLLRCRFSQANWRRDVDSDWWNLSDALQEILPYLRVSYQHLSPQERQCFAFCSIFPRNYLFDKERIVQMWIAHDFIQRNKFSDGIKPEDVGRQCFDVLMDRSLFQATIVNNKYVMHDLVRCLAIAVSVDQCFFHDERAGGTSSLALENVRHLSLQTGSLEQCRERQKYKNLRTLLLFGRFESDAYFPLLDGMLRNSPSLRVLDLSYVEAPGSGWPDNAMSLRKLRFLDMSFTRITKFKDLPVNLQVLHLRGYDAGSLPRNITKLSNLRHLFVDNLALSNISGIGQLTELQGLDSFIARKGQGFTIRELKNMQELTGKLCIRGLENVRSKEEAMEARMMDKKQLCSLVIEGRKVSKFVLEGLQPHPNIQELTIKFYQDHNFPHWVLQLDNLANLVHVNLKNCRSLSTLPPLGHLPLLKLFSLRKLQSLKHIDGTSFGGFPSLEELEFHWLEKWEDWTEPEEATVAAHVYGSPLFLGCLKKLHLVNCFSLRQFPRLPHLSALKELKISNPGNYILELPNCLQVLACLTTLSIEYCQHSIVLSPHQLKSLENLELMRCEGLRLADGFQCFCKLRSARVEGCPQLLSDTASSVSANLGQNLLEKQQQQGANLLTHLRTDDSLMNGDYFRMMGNLSSLRNLTMFNVPNTTHFLDEQDQWFQHLTSLEVLVIDYSIMLQHIPSSLAVLPSIKELILNSLHNLHSLPDALPPKLQKLV >Dexi9A01G0046450.1:cds pep primary_assembly:Fonio_CM05836:9A:49881340:49881642:1 gene:Dexi9A01G0046450 transcript:Dexi9A01G0046450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVECAKCECCGLVEDCTRDYILGVRSAFGGRWLCGLCSEAVRDEAARTTTKTKTTTAAAGMEAALRDHMAFCDKCRRSPAFRVADGMRQMLRRCSK >Dexi9B01G0029430.1:cds pep primary_assembly:Fonio_CM05836:9B:31976032:31977042:-1 gene:Dexi9B01G0029430 transcript:Dexi9B01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPHPFVYIDAAALHSLLPFPSLIAHLRAGLAHPELSAGGIQCPQRVSFPLPTAPSAALLLMPSWCAHPSLPYLALKAVTSFPSNSPRLPSVHAAVSLFSSATGAPLASIEGSALTLLRTAAVSALAASLLASPSRPPSVLALAGAGALAPYLAEAHLAALPSKSADLVARLRDAHPGLAVEEVCGMDEAVAAADIVSCATGSREPIVRGELLRPGAHLDLVGSFTPEMRECDDEALRRGRVFIDFEAAMEEAGELVGAVQRGVFRRSDVAGTLAELSAGAVEGRRSDDEITVFKSVGTAVVDLLAAQLAYETHVAATKGA >Dexi1B01G0008910.1:cds pep primary_assembly:Fonio_CM05836:1B:7881932:7887182:-1 gene:Dexi1B01G0008910 transcript:Dexi1B01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIAQLRDCKYLPEAEVKGLCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >DexiUA01G0015190.1:cds pep primary_assembly:Fonio_CM05836:UA:31867088:31870405:-1 gene:DexiUA01G0015190 transcript:DexiUA01G0015190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLPPMLPLLLLAVLSTTAAAASLRPHPLDPLTPAEITAIRAAVLDSPLVPARPITFHYVGLDEPDKADVLAYAYRGGTIRRRLLPLLPRRALVIARAGGQSHELRVEVTHNASSSSATATVLSHAVHRGAGFPTLTLEEQFAAVALPAAYQPFVDSVRRRAVDIGDVLCAVFPVGWFGAGSGSAAGEKKRVAKMLCFVAGATANFYARPIEGVTMVVDLDRMAIVDYRDRVVYPVPKAEGTDYRAVKTGPPLTGAQPAPGVVLQPEGRGFHIDGNIVRWANWEFHVGFDMRAGTVISLASVHDDDAGERRRVLYRGFVSEVFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPNNAAYFNGYYAGQDGMPVSGENRICVFERYAGDVAWRHTEAGFPGELITEVRPDVTLVVRMVVSPGNYDYILDWEFKTSGSIKFVVSLTGLLEVKGTSYTHADEITADAHGTLVSENTLAIYHDHYVTYHLDLDIDGTNNSFVKNVITAARNTGDPATGGADTPRRSYWTVRREVAETEADGQVNVDAGPADLLFVNPGKKTRMGYEFGYRLIPSGATAASVLADDDYPQRRASYTKKQVWVTPYNKTEKWAPGLYADQSTGDDGLAAWSRRNRGIRDEDIVLWYTLGLHHIPYQEDFPVMPTLSGGFELRPSNFFERNPILSSRPASSMHGPSTNSSCDAR >Dexi1B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:1B:948438:951698:1 gene:Dexi1B01G0001200 transcript:Dexi1B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSSRALAPLLLLCAILLSRPENTTAQRCGAGDLAALRGFSAGLDAGVGGWPIANTSDDACCHWPGVVCDDAPRRSATAAAVVVGVVLPNRTLRGEVSPSLANLAALRVLNLSSNALRGAIPAALLRLRSLEVVDVSANSLAGEFTGAAIELPAVRVFNLSGNAFNGTHPVLPFAGNLTDYDVSGNNFAGPIDAAALCGESPAVRVVRLSMNSFSGDFPVGFGQCRSLVELSLDGNGVTGTLPVDLFAVASLEILSLHTNAISGELSPRLRNLSSIVRLDLSFNAFSGELPDVFDALTELQELSAPSNQLSGELPATLSRCRRLRVLNLRNNTFSGDIGRIDFRSLRNLVYLDLGVNGLTGTIPASLPECRGMMALNLGRNKLAGEIPASFANFSSLSFLSLTGNTFSNLSSALRTLQVLPNLTSLVLTKNFHGGEEMPSDDAGIAGFPSIQYNQVSSFPPSMVLGHNNLTGGVPAALGNLTKLHIVDLSWNSLSGPIPPELSGMTSLESLDLSHNSLSGDIPSSLTRLSFLSHFDVSFNNLSGEVPVGGQFSTFSRADFDGNPFLCGIHVARCASRDKDLAGEVDGGGKERRSAGVVAGISVGTALLLVVAGAMTWRVWSKRQEDKARVAADDDLLDSAAKSTLVLLFPGDGEDDAGERTTTMTVEDVMKATRNFDESRIVGCGGFGMVYRATLPDGRDAAVKRLSGDLWQMEREFMAEVETLSRVRHRNLVPLQGYCRAGKDRLLIYPFMENGSLDHWLHDRHGAGELLPWPARLGIARGAARGLAHLHDGSSSEPRVLHRDIKSSNILLDAAMEPRLADFGLARLVLRPTDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLMELVTGRRPVDMAMPVGKGRDVTSWVVRMRKEGRGEEVIDATVVGGERGHREEAARVLDVACACVSENPKSRPTAQQVVEWLDAIAAAATASPSSTRGGDHNNVHSCNWR >Dexi6B01G0002430.1:cds pep primary_assembly:Fonio_CM05836:6B:2135696:2135998:-1 gene:Dexi6B01G0002430 transcript:Dexi6B01G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGGGWTPTGSRFLSGSGEHGDVARWVMMRRRIQSSRRGRRRREELDGGGRRWDAGWVMKLKPAPPCPRTKPARTRGLHTRRPGKAVCEAAKWAPGKQ >Dexi3A01G0020060.1:cds pep primary_assembly:Fonio_CM05836:3A:15969727:15973577:-1 gene:Dexi3A01G0020060 transcript:Dexi3A01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLLSVALAVVLIPLSLALLNRLRLGHLPPGPRPWPVLGNLRQIKPIRCRCFQEWAERYGPIISVWFGSGLTVVVSTSELAKAVLKEHDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVYRAATAPGNGGRPMVVRNHLSMVAFNNITRLAFGKRFMNANGEVDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTMKIIEEHAKALKESGAKQHFVDALFTLKEQYDLSEDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMLETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGANVMVNVWAVARDPKVWSNPLEYRPERFMEESIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDVDMMESPGLVTFMGTPLQAVAKPRLENEELYKRVPVDM >Dexi6B01G0017290.1:cds pep primary_assembly:Fonio_CM05836:6B:24486958:24490217:-1 gene:Dexi6B01G0017290 transcript:Dexi6B01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHIAYIESLKRVSMALQRFVAVDDHHELIFDTFISPVKQQKPEMLGLPYSSYEKRTIHVSKYLRSGPNPSVSVEEHPRPVETVRVESHYPMDNYIGMDRFVPVQSSPIRSSSYYPPPYNRPSYPPLSAQEPVRNSSYYMPYDRPSYAPPSPQEPMRTSYYASYDRASYPPPSPQEEVRTSYHASYERPNYPPPSPQEQESSPWDFFWNLFSSLDSFTYPRLRSSYDNLVTEDELARLQQVREEEGIPELEEEDDEGQEHVPMHKKEEKEKHDDEGEEEDEDDEEDDDDEECEHSDECMASNEGACSGNFGVNTKQETKGFESKGVQCTEAPQPHKTVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLVEAMKDIDCQFSGICDAAREISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLASSSSIDDLFDNETSSCERLRIEYEKRLKHLRNQDVKGEEPSSFDKTRASLRSLQTRLKVSIHTVQSISRRIEVLRDEELHPQLMELIHGLSRMWHAMAERHKAQKQTIEDTKLLFLTHHPSAATAISLGPLEAATPPPAALALECEIQAWRGALETWLSAQRAYARALAAWARRCLGVTGGTLPAAAMPPAFVACMEWGRAVDAATEARVMDGLDFFVAGVGSVCAGAATGMEGMAGRVLCAGMAAVTGAMAEFAADAADSYDAAVTAVIAAARAPERARGEDDGVGQPPRTDG >Dexi9B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:9B:9558387:9559177:1 gene:Dexi9B01G0014280 transcript:Dexi9B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAMERTNDSRYVDMVFKRLCDHPVLQDQNLFEKPMYWFMVLYGRLVSQRTELALRCDNLYLNGFSNAAGEWFSFKDAEYVIPGSTQLNFKANYASLMGNGDEEDDAPRGGEAWKKLIGLELGLRYILDAIEVLADCHRKETPESVLKLAIVRIVVVFIEAQRFPHIRELVRQAWSNPNGGKLDEFGAHLIVNWKDISCALLIWDGSADKNSWHKISEAKKMKRKLGITTAEEALAAVWPILQSNDCSH >Dexi3A01G0011210.1:cds pep primary_assembly:Fonio_CM05836:3A:7963980:7964855:-1 gene:Dexi3A01G0011210 transcript:Dexi3A01G0011210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSMCTEPMKRRRAEKDLDGKVAAALRERARSRQRTFRSVNSITMRLPRFKDGLRDIKDVFDQYDEDSDGTIDNEELRRCLGKLQVHMSDREADDVHRYCDIDSRRNGIQFQEFAVLLCLLYLLFGPDVTRRVSFIHVSEFESAKLNYVFDELIDAFIFFDHDGDGKMRRRDVTRRMNEASHQERTPSHITAQLFKEMDIDRNGKVNLKEFLYSVIRWAGHETEDDASNEGSP >Dexi3B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:3B:10949224:10950399:-1 gene:Dexi3B01G0015080 transcript:Dexi3B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDGQEAPSYQRWAQAAGVNEAELKSRLQAGYCCRERLIVTTEWLVRYIAKSYTGMGTAFDDLLQAGKIGVLDGAEKFDSRKGCKFSTYIKYWIRKGMLALLVENSGVTLLPARMESIIRKVKEARRAIRYSQGRNPSDSEIADVVGVSVANVRLATKCSRTVVSLYSEIGIGKTAKFAEVIPDPRTEAPDEAMFRAQLRERLVAVLDRLPAREGHVLKLRHGLEDGRCMSLEQIGGIYRVSKEWIRKIEKSAMAKLRNQDVRRDLSDFCSF >Dexi9A01G0015780.1:cds pep primary_assembly:Fonio_CM05836:9A:10700700:10702316:-1 gene:Dexi9A01G0015780 transcript:Dexi9A01G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGKWLRSFLPGRKGGGGRDKQASAGGGAAEHQDLPGTTTPASTPGAKEKRRWSFRRPAVGASPSPGKDAQGGGRLRLDPDQHAVAVAIATAAAAEAAMAAKHAAAAVVRLSASAPGSKRSPVIGIDEAAAIKIQAVFRSYLARKALCALRGLVKLQALVRGHLVRRQASHTLRCMQALVAAQNRARAARLRMLDDDKPVRTPRITPTPTRRSSPHHPRFRHHHQDMEENVKIVEVDTGGEVHGGTPRTLSRRSSCYATPLCRTPSKNELYQKISPTPSALTDSSAARSYSGRYDDFSFATARNSPYRYTPSSRHHHHHLHDVAADKPVAAGEHPMFAVPSYMANTESSRAKARSQSAPRQRLSVSSAGAGDAACPTWERQASGGRRRASLEGQAQATPGARGAPPKYGPVRVQRCPSQASSCQAWGARLGDRWSASAHDSECGSTSTVMTAATTTYCWSLATDNAAGMA >Dexi3A01G0007050.1:cds pep primary_assembly:Fonio_CM05836:3A:4766554:4782689:-1 gene:Dexi3A01G0007050 transcript:Dexi3A01G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNLSHLAGVAAATTTILLASLHARGHQEENDPPPWLLCSGGDGKSGNYTANSTYQANIHRLSSTLPKKTSSTPSLFSRGAAGSIPDRVYALAACRGDVANASACESCVAMAFHGAQLRCPLVKDVLIFYDLCMIRFSNRMFFLDDDNFVTTTFAVGSRISGGGGGAFDGAVLRLVNATANYAAERSSSSSRWFATGELSFHNDKRSNPTSWTIYALSQCTPDKDRVSFCRSCFGQIVGQLSSYFSGKSGGGIFGTWCFFRYEVHPFFSGRPMLQLPASMAVAPAPAPAQPATTNQDRSRNKEGKVLAIVMPTIAVLMMAITVLCFCKRRRSAAQYFRPSSPDDDIQGADMLPFDLSTLRVATNHFAESKMLGKGGFGMVYKYPPPLAITRHDRHVDADDDHAPLRRHSHHPRHLLPPRAAAAQPIPWQLCNDTEGNFTENSAYQANIRHLASTVPTNTSSSPFLFATGTAGTSPDVVYALALCRGDTTNASSCSSCVATAFDDAQQLCALIKGATIYDDPCILRYADWDFLANTTDNRGLMVAWSYDNVSSSETKAFDAASARLVNATSEYAAASRRRFGTGEEGFDDGTYPKIYSLAQCTPDMAADDCRTCLGRIYRKIAPTYFVGKHGGRVFGVRCSFRFETSPFFSGQPLLQLAGPPPPPVNVTPPVTGQDSPSPDDIQNIDPLLHDLSTLQSATDNFAETNRLGEGGFGAVYKGILSDGQEIAVKRLSLGSRQGLEELKTELVLVAKLRHKNLVRLIGVCFEEDEKLIVYEYMPNRSLDTILFDSHRCKELDWGKRLKIVHGVARGLQYLHEESQLKIIHRDLKPSNVLLDSDYNPKISDFGLAKLFDMDQSQGVTSHIAEHKYAMHGQYSVKSDVFSLGVLLLEMVTGRKNTTFDDSEQSVDLLSLVWEHWTTGTITELLDPFLLGRRAPLDQMSKLVNIGLLCVQDSPADRPTVSSVNVMLSSDTVSLQVPSKPTFCISEMEDHSHLYSDAYNRAVKLQSTDKAKEALSTNEVSLTELEPR >Dexi9B01G0022580.1:cds pep primary_assembly:Fonio_CM05836:9B:17271914:17275207:1 gene:Dexi9B01G0022580 transcript:Dexi9B01G0022580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGYGGGGGNSKMSKLSWSRSLVRKWFNIRGKSHDFHADAVAPGKSAGGDDDWMDGGFTRRNSCGAKKSRTERASRKSHERSRRSKIDLDAAEATVMLDYRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGCSGGGGGSGSLQTPSPAPYPVAEMDADFERSRQNNPSFFHRRSFQSGLSSRSLRADCDILAGPGPGPAARLERRYSVNDRVMYGSRPSDYEANCQWGHAQPQTDDDEDDAAGGGGSPCTIFSPMSHGGHGYGTAPPMEEYSGSARGPPARYCLVASKQMVGLFLMIWARKEIKNDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKSRFPRVCGQYERCPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMKQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSSWSSQLDIEELLPHSYGYTDINPYGYTDLNFF >Dexi9A01G0032890.1:cds pep primary_assembly:Fonio_CM05836:9A:37741493:37741769:1 gene:Dexi9A01G0032890 transcript:Dexi9A01G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCLSLDLSPFLWISVDAGLDLSLLPVALPRAAAHSSAAALPSAALALRCSLRSACAADLWLLEQSCSMVLLLALQLLRPAVR >Dexi5B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:5B:2316261:2319524:-1 gene:Dexi5B01G0003470 transcript:Dexi5B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGTAPAPLEAPVKKKLLDLKNPFPAGTAAANGAKASPVGKWAMKKKLVGGDAGYVLEDVPHLTDFLPELPTFPNPLQDNPAYSVVKQYFVNPDDTVTQKIVVHKTSPRGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVVRELVCGLYDMYGVTSIVGIEGGYKGFYSKNTIPLTPKSVNDIHKRGGTVLGTSRGGHDTNKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEAESAENGIGVVKLMGRNSGKGGLLEFIERRLKENGHMVIVVAEGAGQDLIAKSMNFDHFKKKPNFPITLKYIDPTYMIRAVKSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDHEHHLHNTQLLEGESSPAKNSSNCNGSVPAG >Dexi5A01G0005080.1:cds pep primary_assembly:Fonio_CM05836:5A:3854808:3859261:1 gene:Dexi5A01G0005080 transcript:Dexi5A01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTKLPGQGFKCGYCGFVNHGGGATRLRDHLGAIVGEVKQCNSVPRAVRDAMKALQKSTMEKKWEREQRKLRLERDLLQGLHGEDNVIDLETNEEDQPRMMAAIHAMEAQLGEGSPQFRRFMSKVSQRVQNMERNTLVVAASVLNPETHYRHNFSSNPEYAQALTDVIEKMAETPEDAVQAIQEIGFFRECQGRFNRPTARAGASSMPPSPNLDDIYASQLDRSAHERNPKRKNGKRVRSDEDEFEFLDSEDGDGDEGEFEDALSDGDDESAEVNSDDDHDDNRVEISPRVEASSEGDHNANGRRSARIHPKKMRIQSLYARE >Dexi5A01G0027280.1:cds pep primary_assembly:Fonio_CM05836:5A:30761399:30765218:-1 gene:Dexi5A01G0027280 transcript:Dexi5A01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGAPSGRGSGGGGGGSRTFDFGTDDVLCSYDDFAATSEPKRPDPVDKDFHDSRLGRPFVKAYEQESYGKEDVLSAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRSNVLRDESDHRLKSLEKHLHEVHRSVQILRDKQELAEAQKELAKFQLTQDTSKKKEDVPMTTLTPEPKKHEEKPDTSSQQLALVLPHQVNPPSLAPRASESVQHYKDQPVQQSAPTPPVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQVQPEVQYLPARPSTQDVPVHASSQPPHAGNQTQPQSYPPYQQQWHQQSSQQTPAPAAQPQQTYSQPFPPPAQQPQLSNVQQFPPQPVQQPLSNSQQYPPPPAQPQLPPQAMQPQHPPVQTQMRPQTPQNYPHYTPQQQNPSETLPGNVAMQGQYNPAAPSGVSRSEAPYSYGGPGIPPSQPPPQHSMQRHQLPPSSQGSYGPPPSKGSYAGGHAQYAPQGNPQGYGAGYVYPPSGPPAVQPPQMPPGGVGMSHPGSHVMRGHPYGEMIEKAINMGYPRDQVLNVTQRMTESGQSMDFNNLLDGLNEAGSAAPPRAW >Dexi2A01G0026320.1:cds pep primary_assembly:Fonio_CM05836:2A:37830549:37833277:1 gene:Dexi2A01G0026320 transcript:Dexi2A01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRQLALEVRPAAMDASKVAGCFKDKTILITGSTGFLGKLLVEKILRAQPGVKKIYLLVRASDNVAAKQRVIHEIVGNELFGVLREKHGADFHSFIKEKLSALAGDIIHENLGLEITRAKQLFEEIDIIVNGAATTNFYDRYDVALASNTFGTIHVCKFAKQCARLKLLLHVSTAYVAGTQEGRILEKPLQMGQTLKEGRCLDIEAELELANDVKAKLVMERSGISHGQLEKVAMKELGLKRANYFGWPNVYVFTKAMGEMLLGTMRGELPVVIIRPSIIVSTYQDPFPGWIEGVRTMDVMIAASYEQKLPCFISGPILDSIPGDMVVSASMVAMATHYNCAGAEVVYHVTSALQNPLSCNILEESVYAYVLINPREKDDKRTIQHKRPLLFSRYAYFHAYMVLAYMTRLVVLYLANYVLLGGRFTEYYNKLSRSLNSLMFVAKLYAPYVFFKGCFDDTNMRKLWGTTGARHGDGYMFNFDPSCINWRQYLFNTHIPAVVKVANQMKKEGRK >Dexi1A01G0027580.1:cds pep primary_assembly:Fonio_CM05836:1A:33305973:33306844:1 gene:Dexi1A01G0027580 transcript:Dexi1A01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPLPAAELVSVMHLVIMSSSPPAGTAPIGAAMLFADGVGAGERDEVGEARVLEDAAVGVHLAMDVVDQLKHLLLEPHVGAEATLAVVQQDGRVAARGEVGAAARQELDQIGNLWGRGGCGRERGRTGARREVTGRCGRRDGESVNLAVVVAGGQLPTCRRPGVANTADELLSA >Dexi9A01G0010860.1:cds pep primary_assembly:Fonio_CM05836:9A:6683583:6685190:-1 gene:Dexi9A01G0010860 transcript:Dexi9A01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPQKAVDLVDENTICVAGILGSTLNGEFEDVKLLNDLLTKKNEETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNIMENCQENAMVLKEGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVIDIEKVLHELDTLPARVPSGDLAALAAAEASERQMERQREVISLWKRAVLAKKKTNGVC >Dexi7B01G0007920.1:cds pep primary_assembly:Fonio_CM05836:7B:15731492:15732760:1 gene:Dexi7B01G0007920 transcript:Dexi7B01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGIGLGTGTGVWGRSLIATRPSSYITGANPSRNIPFLRFPRTPPSIAPAAASDPSLLSVELYRIARRRCLRAIPRELSRPAARLKTIPRLPELCRIDRPPRAMAMVIPSPASRSTSCLSASSCSRFVRKRRPCFLGVDGRGGKKFCYQSSPSSSSSSLRCSSPRAGSPPEYTPSTPPPERSASPDYTPSTPEYTPLTPSQRSASPYYTPGTPEYTPESLSSRFSCSPDYFNGGGGGDICYEISSPRYSPPRAASPEYTPSSQRGASPDYTPSSPSRRAASPEYTPATPEYTPLSPSRCPASPDYTPESPPRRAATPDPPRRRRRAATPEYTPGTPEYTPLSPSRWYASMDYTPESSPLRGWCDASPDTPSTPPPSPAVSDAESRISPARRRNH >Dexi7A01G0018140.1:cds pep primary_assembly:Fonio_CM05836:7A:27611293:27616310:-1 gene:Dexi7A01G0018140 transcript:Dexi7A01G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISKLEDDINRLHDQLRKAGVHLDENPISNKIFRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLIDSLDTLYIMGLKDEFQKARDWVAESLDFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAQDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGNPKYQQKVENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKRYRQMWETSMEGLISLTKKTTPSNYHYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKSEQIMNLAKEDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVHDNKGNGTPVRPFGRKQGKPE >DexiUA01G0027500.1:cds pep primary_assembly:Fonio_CM05836:UA:58761329:58762559:1 gene:DexiUA01G0027500 transcript:DexiUA01G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDHYRAAFVSMNDASSPAAPAISLDFLPSTNVRIEAVAAHRGLAFCVGLDTPRRPCYYVCKPATRQWRAIPNPRTRFHTAAMAMAARPSSDAAVKAEFKIVRFSIPKRLHDMLHCEVFDSRLFAWRRAPDVPVVSLPSSTPAVRAHGAHALAPSEAWRLIALPQELDETRYHWACKQLSAVAGRLCLLVTTTATEEVGEVLEVWEMASYVEGRWEKKMTVSLKSLQAQERTIILRHLYSSDVGFLYSFYRAIWYDFRRGKKAAEVKVDQMCIQQVFKFESDMIPCMIGNGKACHPPAACAPSKEEVQR >Dexi7B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:7B:14512536:14513725:1 gene:Dexi7B01G0006780 transcript:Dexi7B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADRAVPRGEALPIGINYGQIADNLPSPARVSWLLRSMQVSKVKLYDADPHVLSAFGDTGVEFVVGIGNENVSAMVDPAAAQAWIQRHVQPYLPSTRITCITVGNEVFKGNDTQLKASLLPAMQSVYQALTALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRADAVPYIQPILSFLSMARSPFLINCYPFFAYKADPNNVPLAYVLFQPNAGVTDTNTRLNYDNMLYAQVDSVYSAIQALGHTDIDVKISETGWPSRGDPDEVGATPEYAGTYIGNLLQRIQMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMDMEFSEGTRKYLL >DexiUA01G0016140.1:cds pep primary_assembly:Fonio_CM05836:UA:34379498:34383197:1 gene:DexiUA01G0016140 transcript:DexiUA01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQVAMDLIVGASNNAVKSLVNKLGSLLAQEYTLIRGVRDDIQYINDELTSMQAFLNRLKREPGGHDEQRQDWMKQVREVSYDIEDCIDGVDHRLSGEPRGTGMVMHLRKKWYLLTTLYARHCISIEIGNLKTRAQHVSERRTRYGVKELQNDGGPAGVSPPPDRLALPPQLIGAIEPVGMEKVMTELQKWFEEGNRRKEQATDDLPRFFAIVGSGGLGKTTLAMAFYRNFGFEFDCRACVLVSQKFDILTVLRSLVKQFHEQQSGPYQDPLGSIEDWNVKQLNGKLVDQIQGKRYMILVDDIWSVSAWESLRDYLPKGGSIVVTTRFNSVAEACRRREGEVHKLEPLSEESSCRLFYEIISGTGINGSHELTPDEKSVMLKCTGRLPLAIVLVAGLVSTKVKSEPRWTIHHHLVKVENALSAVLGEGFNLTPAGVTQILTHCYNDLPPDMKTCLLYLSMFPKGCQISRKRLIRRWIAEGFITGNHGRTVEEVADDCFNELIGRNIIQAISNSSNGKVKNCYIHDMVMEYIVFKSNDENFITIVGGHWQTPFPTYKVRRLSIHKSDGKEKETVEKMKLSHVRSLTALGSFKALHSTLHKFQILQVLDLEGCKDLTTKELKKICKMQQLKYLSLRRTEVKYIPSKIGRLESLEVLDIRETGIRELPDSSDKLQRMEHILAGNKNKGHDALKLTEAITKMTALQTLSGVEICTGSGKELLRALQNLTNLKKFTIYKVGHFTKGNDELLLSAIEHLSSCSLKYLAIDDDFTGFLDSSLSASQAPPEHLHTLGLSGRLSQVPKWIGRLHSLEKLTLSITSLSTESFLDLGKLPYLFSLVFLLDSTKKDASVLKILHDNALKTDGIIFVEAGGFRKLKLLRFAAPVLPPLSFLEGAMLGLQRIELRFRMVEGVYGLENLESLQLVLLTISSQAPKDARAKALQIKELASMVRHKLNAPSVVLDEYNESSEQK >Dexi8A01G0004180.1:cds pep primary_assembly:Fonio_CM05836:8A:3287631:3288318:-1 gene:Dexi8A01G0004180 transcript:Dexi8A01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEDDVINVAFTWWLIVFLTLLCAVLSFTVSVRGKDGHTYYYGIATPWRLVLFNHNEDKRHELADVLASNKLGWKDFVHAVFSAAVFMTLAFCDAGVQSFVFTIFPSDRNGIGVETSPHPHKEPAGGQKPAPPPPPPPPKNNTGEHAPAAARLQQLQDLTTASARPRALGCVVPVAMMSSILSSELRCRQRRSQYTAAML >Dexi5B01G0028850.1:cds pep primary_assembly:Fonio_CM05836:5B:30132752:30133289:-1 gene:Dexi5B01G0028850 transcript:Dexi5B01G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPVGAEILTRKFDEIDQQTTKEEQAEFYKTFYSVFEDQYAAMDVLLNGKELFSFQVFQNALDKYLRVHVDRPS >Dexi8B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:8B:4247842:4252448:1 gene:Dexi8B01G0004640 transcript:Dexi8B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTSRFISEICVPSTLFTSRFYMCSEGDYMELLENVGANQTALDKTMFGGQSMHICSEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKNAFELATFLWSLWELSSQTCFMKHYYMIVIRLISGLLVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRNRHNPLFSRNGTVTSRSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVGTVEDDEPSCIEKDV >Dexi6A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:6A:3146897:3151674:1 gene:Dexi6A01G0003500 transcript:Dexi6A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGILWCGMNFLYRTAVQPVAPELPRIPEDGSPKPATTLEGLIIAEDSYQQPSPTRSEDGAANNGPGDIGTRSASLDSKSPVSPGTHTDVAEDEGCITIPYKSLPDNWNDVLEMAQLQPLDRSFLFPGNCVFPLSGEQVHILACLSASQQDIQAISPFRIAAVMSTNGNSLQQSPNKSSPVKSNGHDNGATGENGFQDDDNDMQSVELNGEASPSEHDILETQSRLQMEDHKQQIELMLRRFRESNFFVRIAESDEPLWSKRKATTPKMADGRSDSQGNSKASKSNLYNTISDKGIFDGSTSGGVARDTVKCYSLRNGDIVHADSTIMFSVGRMQVVLQVNVGVNKLEDPVLEILQFEKSISSSCMPENLVDGLSDSNDDPCRELLSWLLPLDRTLPPRSLAPPTLNPSISHKQSAPGSQIFNFRSYSMPSASSIQTPNNIRPPPISESQEFMPEKPAKTPDIINDGQLSFRGVPLEAERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNITPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERRTNSDAPLALSLPTMTGAALNTTPKVGEPYVALSDQYAVLVSYRCNYTESKLFFKQATSWRPSAVSDLMISVSSELSLRNPSLGARVPQLPVQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSAPTTPNGSFDGVNESAKRSGLGKKEIGFRRLNSVLATSPKDTDNGGNRISNASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLSDGIITLDTLQITAREKELIVALQALPTYRSNPWRYMLLLAFHLECLCESSSWYIVLHIGV >Dexi3A01G0005630.1:cds pep primary_assembly:Fonio_CM05836:3A:3656058:3661033:-1 gene:Dexi3A01G0005630 transcript:Dexi3A01G0005630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSGSAPSPARVAPTLFLLLLCFAACRCRAAPPGALVTHLPGFDGSQLPSKHYAGYVTVDEKLESRLFYYLVESERDPTMDPVVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKVSSVIYLDSPVGVGLSYAKDQLTAYKTGDLKTAKDSHTFLLKWFQLYPEFLENPFYVAGESYAGIYVPTLSNEIVKGIHNGVKPTINFKGYIVGNGVCDTAFDGNALVPFAHGMGLISDDMYKGAGHTVPEYKPQEALAFYTRWLAGSKL >Dexi9B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:9B:10768964:10773328:-1 gene:Dexi9B01G0015740 transcript:Dexi9B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPSACVGKPHTPRSGDAAGRSAGGSGARRRRSRRAGKGRRKTPSRAASMETIQEAEVPGSPSGAVAVAADHRTYSNPAFQGGWVGGRMAVSGSIEEAWYDSLAMSESDAEDDFHSVQDDAFSLNGFENEAVLSTRDGNGGSFNGAAHSGEQHHKRPKSSELSKGSSDNGVRTSVSHDDVASVSGEDTTHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHMSSTLLSTKDFLERPLAGSQIKMFFSRDKKKELAPNYAAYYPFGVDVYLSSQKLNHISRFVQLPDIQFSSKLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDAYSKELPPSFIESIRGDNYFEIDIDMHRFSYISRKGFETFLDRLKACVLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYQPLLTHGA >Dexi2B01G0017320.1:cds pep primary_assembly:Fonio_CM05836:2B:27718242:27726351:1 gene:Dexi2B01G0017320 transcript:Dexi2B01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSRTPPPPHLPRGGGAAPAPTPAPFVLVLRRRQRRRHHQHHRASLLAPRASLSDLLASLPSPLTLVGPAALAAAAAVATSLSSWASSSSTSSRTSLPPPSPEEDYSACGDAAGEWVLFTSPTPFNRCVLLRCPSVSFEDGGVLLDGVNERLLTQERHYVNLSRGRIPAARGGDGACDVSYQRICVALEDGGVIALDWPDNLDLDKEHGLDSTVLVVPGTPEGSMERSIKVFVVDALKNGYFPIVMNPRGCGGSALTTPRLFTAADSDDICTVVRFINSKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCIDNPFDLQEATRSFPHHITLDKKLTAGLVDILRANKELFQGKAKDFNVQKALSARSLRDFDGAISMVSHGFDTLDDFYSENSTRLSVAHVKIPLLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTIFTFQRYTALWCQNLALEWLSAVEFALLKGRHPLIKDVDISFNPSKGVGFVEPQLNWRKAQKGSSFRPQSELILYNNVPHGINGLLVDTVREYSGAQDKENKQLKNYGDIGRESESSEDVEKGQVLQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTTSVTEILHSKRENFSLDALRRLGWNNVRPIATKTVAQEKLKDSDRIIKDAKMADQNRSSATAGDVDQKDTNITNTDNSGESIELSQGKPLQTSGPVGTATETGSEQAQPNKSEKANSSTNDSSEEQHRTEQDSETTPKQTSDDQSAANSNGAPAERGHPVDSTADQNPQSHAIEKEGDTIRTSEDKAAHNTDDQSTQVSKREESKPSPITMTQALDALTGFDDSTQMAVNSVFGVLENMIDQFQKQQDENGEKSDENDGGPSMNETESHGKKNMENASSEDMIQSSQQPEDKDGCTFDEENPNLSIVSSGRGKLRYYQENDHVDADGMKQVSGLPDYLLDIAVDSYLKARYAMYLHECLNTQLQLKSPEPNSATDLFLDPQEGKWKIAGQMDSAQNDTSESGRYNGAMEEVSYAGSSQDPSEVNNVIEPLYFIPGNFPDSTYKSGKSKNIVAARSKPGDDLREKLACFIRDELSSALKIEVGRKIGIADTRQLERGLANDVERVAAEVSQIIVLNSVLYSAAHVQRSPTTVKFGATHAKYVIEAVATAVQQSQHLRIILPVGVIVGVTLACLRNYFSVGVSKHDDHIKATVKSDILSEDLIVQDSSRENIQDSGQENTDKNIENTLGDNQKDMTRTEGEGMMVGAVTAALGASALAAHHQKNKDENQSAKHDETTQEKGQNNLMSSFAEKALSVAGPVVPTKGDGEVDHEKLVAVLAELGQKGGILRFIGKIALLWGGIRGAMSLTDRLISFLRISERPLFQRIMGFSLMVLVLWSPVVIPLLPTLVQSWTISASTGIIGYACIVGLYVSIMILVMLWGKRIRGYDDPVEQYGMNIWSASRLPEFFQGLVGGVIVVGLVHSISILLGFATIRTELSSSLARPLDLIKSSSNVVMLVLRGFGTATSIAVVEEMVFRSWLPEEISVDLGYHNAILISGLLFSLIHRYVNCFPLSPVFFIALLI >Dexi9A01G0021230.1:cds pep primary_assembly:Fonio_CM05836:9A:16174494:16177053:-1 gene:Dexi9A01G0021230 transcript:Dexi9A01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQRPTHHAVLLYCCKVSGKTSLLFQFAVNRAAESGRGAVFICNKGRLESNPPFLSQGVDPSTTLLQRIQIKYIEDGDEIRKYFAAFHLLDNFPAAVIVDDFGDFFSERSCQQRYGTSRARDVAMIRALALCHNAIAHANAKLGTLGSCNLLLSDVQQADGTGSYILKTIGSSESETKKARKAKYSVALQYLVLEEMST >Dexi9B01G0018700.1:cds pep primary_assembly:Fonio_CM05836:9B:13332659:13334678:-1 gene:Dexi9B01G0018700 transcript:Dexi9B01G0018700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVQRNGHVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSVSTRELALVPRPEVSGNDTEVTSKLRDGSSSRDGVKGARNCAKGRGSGRVAAVSEDHVLSNSGTDGPLVIPGVLLKDVVSDLPVHLLGYGHISYRLCEGIKNCKKISKIWCAWVGQEGSHGSETCNTYEHSGFAIVNFSYTHDLGRKWSSDEQDLPISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQSSSPQGSSQAIVTCSPTGTSHNLQVGLLSSKSVRRELRKQKRMAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSSGAYHLFHTSCLLHWTILCQYEMLIDQLARNGKSNRGRKAKNAPKKSKITSIFCPECQGTGIHVKGDELEKPSISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHLENSEEKVMPLKSIPFFTADG >Dexi3A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:3A:11248483:11250702:-1 gene:Dexi3A01G0015200 transcript:Dexi3A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEALGGVHALMSFSDDLRINPRQCRLLADACALAFAAVAAEARAHLRFHERLSKWKPLEGPLRELHRAVRDAEGYVRHCLEPTGRDSWWARAAAATHGADCVEHHLHSLLWSVAVVLETVEVVSEVTGSDPDELARRRLLFAKDYDRDMLEPRLFRQRLGERYLATRELEERMDAAWKEDMWLLSQLLEGRKSPASPETLTRNEHRLADLLTSPRGKVHPASLLLQGDFHVRRRLVGNLKEVQWMGEAFAVKHLIGADADAVGAEVALLTLVSHPNVAHCRYCFHDEDKREFFLLMDQLMTKDLASHVKEVHSAKRRTPFPLTVAVDAMLQIARGMEYLHSKKIYHGDLNPSNVLVKTRNADPHLHVKVTGFGQFAVAAASPRPSPRASANANNNNAASAPNPCIWYAPEVLEHEAAKCSEKADVYSFAMICFELLTGKIPFEDNHLQGEHMSKNIRAGERPLFPFQAPKYLTSLTKRCWHGDPAQRPTFASICRVLRYVKRFLVMNPPPDQPDAPPPVPPVDYLDVEASLLRKFPSWQAVNAAPRVCDVPFQMFAYRVVEKERTRAAILHIARDKASDSSSDGNSLCGDESGSSSFGAMLSEAEALSVSSRGTTRSLPERSSSGSKASPRKMDRKVTSRLAGKLSVRLAPVAESFVSMSSTAGLYDDVIYEDGGAGLPQKSKSMGVVRPPQGIRRTQRIKSDGHLNIAVVPSSRRRVSGSGGGHASDSELA >Dexi9A01G0037350.1:cds pep primary_assembly:Fonio_CM05836:9A:41607772:41611812:-1 gene:Dexi9A01G0037350 transcript:Dexi9A01G0037350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAAAAGAGSDTEALLEFGRGIRQDPSLREATGTPWNPTSELDADGCPVDWHGVQCSGGQILSIALDGIGLVGNASLSALARMPMLRNLSLSNNKLEGFLPRELGSMASLQLLDLSNNRFSGPIPSELTKLAGLGYLNLSSNGFHGALPLGLGNLRKLKYLDLRANGFIGKLDDIFVQLQSPVHVDLSCNQFSGSLTSISDNSSMASTLQYLNISHNVLSGTLFGSDPMPLLDSLEVFDASFNMLSGNIPQFNFVISLKVLRLQNNNFSGSIPEALFRETSMVLTELDLSCNQLTGNSFAGNLPLLNSNAKNSTSIDLSILPVQTSNLSFVDLSNNSLSGSLPTGIGDLSALTLLDLRQNNFTGQIPKAITKLKNLVYIDLSSNHFTGSIPDGLPDDLVEFNVSYNSLSGSVPSNLLKFPDSSFHPGNELLVLPRSASANGSDKSDKGRHGMKRGILYALIVCVVVFVTGIIVLLLVHWKINSWKRSEKGTGQVVFTAEELSRAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFAKSKKEFSREIKKLGSVKHPNLVPLGGYYWGPKEHERIIISDYVDATSLSTYLSEFEERNLPPLSVGQRLNIATDIARCLDYLHNERVIPHGNIKSSNVLIQSSASALVTDYSLHRLMTPTGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICMNDGVVDLTDWVRMLALEERVSECYDRHIAEVGSSDGAPKALDDMLHIAIRCIRSASERPEIRTVFEDLSSLSS >Dexi2A01G0035800.1:cds pep primary_assembly:Fonio_CM05836:2A:45332116:45333535:1 gene:Dexi2A01G0035800 transcript:Dexi2A01G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRAATPVGEELISPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILIAAGGTHVASNIQIKKPICIIGGGELPDDTVLTCSRGSDK >Dexi9B01G0037080.1:cds pep primary_assembly:Fonio_CM05836:9B:38567472:38568020:1 gene:Dexi9B01G0037080 transcript:Dexi9B01G0037080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLGRTGRNTPASRTSIGSRRALLEGGGGSARGVVGKRAGIHARPGEVNGGTEQSRAGCGGRRRHPPTHRGTQAGDFPLWSRPSPFLTLATARLFPLGAVACVTVAAAAVPLPAAAGGCLLYPPLRFCLILRCDFGDLRFIPPPLALFFLWTSEKGEPSEEWGGDSGAEKAAGLAQLGGS >Dexi5A01G0027930.1:cds pep primary_assembly:Fonio_CM05836:5A:31276241:31277461:-1 gene:Dexi5A01G0027930 transcript:Dexi5A01G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRARRQRVPAFGEWNYDYVGAGDWPVTQYFDSAMKAGLVVAMRPSPKPAKKVVKWSDSATLELEDEKQRQRVVVGLGEHGAVKKQGKHSRVADASPAYKACRVPVKAVDQDLYQIPPDMLCHEPRKRLTRRNLWMGCLGLSCIA >Dexi9B01G0020300.1:cds pep primary_assembly:Fonio_CM05836:9B:15043281:15052165:1 gene:Dexi9B01G0020300 transcript:Dexi9B01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEAAKAAASWRRRRGHPMLRGCKRERYTHGLHPPQMEALRAMCGALIPSLPVMELDTGRGGDKDLERFYLASAADGAIPDEVAELVTRCVWEAVVLVNVVLWILSTRVGTLALCGRLCISGKFPYVRKFADMPVERREEALKQWNKARWLFPLKITFVVIKVLSHYAFYTMVNEDSDNPSWKAIGYNVPNMEVEPREDDQTEPAPPSPRPLENGVVETKYLDDTTLLRPLMDKGLVVKPDASDHYHTVQCDVVIVGSGCGGGVAAAVLASAGHKVVVVEKGDYFTSEDYTSIEGPSMDRLYEKGGVFCTSNVTTVLFAGSTVGGGSAINWSASIRTPERVRQEWAREHGLPVFVSPVYDQAMDAVCARLAVTDACTEEGFQNKAVRRGCEALGLRADAVPRNSSEGHFCGGCYIGCPTGDKRGADTTWLVDAVARGAVVLTGCKAERFVLERNDSGSSKQNARSRKCVGLVATCVGDGNGITKKLRIEAKVSIAACGALMTPPLLLNSGLKNRHIGRNLHLHPVSMAWGYFPPEKRNNHDPSHQLITGKCYEGGIITTMHHVTERTIVETPALGPGCFASLVPWESGRDMKDRMRRYARTAHAFALVRDTGAGTVNGEGRVCYTPARDDVDELRNGLRRALRILVAAGAAEVGTHRSDGLRLRCDGAHD >Dexi2B01G0003630.1:cds pep primary_assembly:Fonio_CM05836:2B:3186635:3189006:-1 gene:Dexi2B01G0003630 transcript:Dexi2B01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPVPFFPGRSVQMGTLFSSLGSQGSYLHPRDVDIHVHTGGPVPPVGSTNPSEPTSTQAQQRTNRTGDPSHANIGEASAAAAGGTPFSVESGVRVLPLRTVVAMPAGISRAPSGSASGGIGIIYPVITRVRQRANTSGSDEQNGRSPNEPARSSTHPNQQSIPQSSQAHETGNLGSSAHANVGNVFEASPEQHNGLATLSQIMDLLGSMLPGENIRGNSLSQHAPMGSAEQGDGINHATAHVPGASEEALRFASMMRQIMPHISQVEAQNQNGPPDSNSTPAQAASGSANTARDGPSDSTRSHQHNRDQMDEPNSKRQRCDAD >DexiUA01G0011160.1:cds pep primary_assembly:Fonio_CM05836:UA:22405974:22408333:-1 gene:DexiUA01G0011160 transcript:DexiUA01G0011160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKAYYTEYLYPLLNTTSNARSFEYLCLTTLGVLGALVKVDDTEVVTSLLNSQFIPVCLQIMETGNELSKTVSTFIVQKIILNEAGLQYICATPERFYGIASVLASMVAEQPQPSTRLLKQIVRCYLRLSDDPRACSALQTHLPEVLTDGTFNSCLRVSLSLDSSIVPNSTRATCNNCWIIWLRLQAEHLLQVQLLLLLVGHILA >Dexi2A01G0035580.1:cds pep primary_assembly:Fonio_CM05836:2A:45178495:45179415:1 gene:Dexi2A01G0035580 transcript:Dexi2A01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASSSSSSSPSSSALPPSKSALRLNPAAVLLRRLPTPTSTTATPVAASAPTARANPLVAFLSSLIPWREQHQSPKQHPDPTASASASSSAAAERRAARAAAEAEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKEEGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLEGSRKTPARDGVAAAVIYRAMCIFGLKTILIPYRTNLVRLQTGPNYADFFADFVKRIASSSGRPTGGEKQRL >Dexi7B01G0008290.1:cds pep primary_assembly:Fonio_CM05836:7B:16153146:16155062:-1 gene:Dexi7B01G0008290 transcript:Dexi7B01G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPRPLVLIVGLVVSLLSGGSHVAAGGHPDYADALEKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSAANVDLTGGYYDAGDNVKFGFPMAFSMTMLSWSVLEHGGKMKERVHDGRAAVRWGADYLLKAATQNPGTLYVGVGDPDADHRCWERPEDMDTPRTVYSVSASAPGSDVAGETAAAVAAASMVFRADDPAYYRRLLAAARDDELLWASAWLLRASRDSSYLGCFYSLGGNHSVDMFSWDNKLAGARVLLSRRALVNGDTAIEPFRQQAEEFFCRILPGSPSSTTQYTPASVSTIKSICCMAMVVDQVDYILGANPQGMSYMVNFGAQWPQRIHHRASSLPSVASHPEHIGCQEGYQSYYYFTAANPNVHTGAVVGGPDENDAFPDDRGDFARSEPTTYTNAPLVGCLAYLAGAYKSGYS >Dexi1A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:1A:4507728:4510045:-1 gene:Dexi1A01G0006030 transcript:Dexi1A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKLDEEAAVKTCHDRKSFVKKAIAQRGLLASSHVAYVQSLRRVTMALFYYFAEDEHLYYLQEQSSSTTTLCLNHPSSPEKKVLVINCLRPAGAPPVHPVVEQWEPDAVETATIDRFFGLDHQFPSSIDQMNGMPVSPQPPRWDLSWDPFSSTIDQHHLYPDYGVDGIKAVGQEDEQIPELEEDTDDDSDGHDHSEGETEEEEEEAEEREEGKGEEADAAAAVAAEVAPPPAREEERKVDHHVKNELRVVASADVGQHGTPGFTVYVARSPTSMAEAMKDIQAHFMKIVETAGEVSELLEVVPYRRRVQPPAPVGDGEEQGVPVVPPEPFELFQSHKESLDRLYEWEKRLYEEVRAGERVRLAYEKKCALLRSQDANGAEPFAIEKTRIAIRDLRTKLDISLTSVDAVSKRIAAVRDNELLPQLMQLVRGLARMWRVIADAHRVMKRTADEACALLTSSAAAAAAARPAALVGEGGIRGPPPPPSSTRAAAGAGALGAELRGWRAALEAWGESQRAYAAALWGWARSCCANDRGGGAGGEEDMPRLIVGWARAVESVDVDAAAMALDAVAAEAAAIAVAAKRQQRGGGGGGGEEWFNEEEAKKKICVGLTAALAAVAEAGGLAVAAYDGLVMEMEMGARGAPETETTGMNEESIQN >Dexi7B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:7B:4313273:4316168:-1 gene:Dexi7B01G0002470 transcript:Dexi7B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFVVTKFSPPELVLPWKPTLAGDVFLTSTDKRWSTTPCAGRVAASTGGDGDIHTGEGVAFASATASCSLQDVRFLHAPPAIPLAELALWYGSGRLATSSRLLMMHVTEFACGGYVVAVTWNHGVADGYGLTSSSPALVVPSDPTPAGEIRLTTTDKAWLFVSFTSLQVFARPIHQPAETIRRALSHALVHYYPIAGRVAGDGDDAKLVCNGKGVAFVSATASCSLQDAGLLDVPLEKEISLIDLTPTYGSRCGLSDPLMMMQVTEFTCGGYVVGVAWNHGAIDGVGLAQFLTAVGEVTRGLPSPSIVPVRYDEFLPDIPQPLFAALRRPLGECNVAGGRSCTVFEVVTAAIWRCRIRAINAEPGTPAPLVFAANVRRHLGAKDGYYGNCFTSQLVTAASGAVATGAVSDVVKLISDAKERIPESLTSAGAEMATLDVRALCGYNALFVSTWGGIGMDAVDFGGGPAARLVPKRERTVVPSCFPCLPGQGTERNGAHAVAFCVTQEHVEEFRAQLASLC >Dexi3A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:3A:16396288:16397603:-1 gene:Dexi3A01G0020670 transcript:Dexi3A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRTAKDRRQHIYLVFDDLVSGYTIRKVRLTPGSLKGAEQPLLPVSGEDSSDGEGAKHTLPEVFMSVEALRWSAMHFTSAFGTKIVALHPGESDTGYPILDVKDKSCIFGPVHFYAACPIFFPIGVDRADQELMDPETAGGMPQGGRFMYRLKIFSLRYDMNGDLKLKHCRVRCYSLPHETTINFVHQAPAAFWL >Dexi7B01G0013230.1:cds pep primary_assembly:Fonio_CM05836:7B:19771551:19771782:1 gene:Dexi7B01G0013230 transcript:Dexi7B01G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAQPGTRGQNHREAKLHLMARNASRTSRGDPERAWQDSTELAATPDFWQQQQPSERQASARRPDLQ >DexiUA01G0011250.1:cds pep primary_assembly:Fonio_CM05836:UA:22616572:22618138:-1 gene:DexiUA01G0011250 transcript:DexiUA01G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACFHGGGGGRVTKSVGFIAKSMKALSLIKVRAAGGGGGGQARARWRRHRRRSPEDEEARDKDDAAASSSSASSSAKIAPAQPHESDVGDHHHHKNRHPHAREREVERGPQGGAGHEHCDKCCSPLDGGGDGDEDEEAAACRAESDGEWTAEPEPGVVMTLVSRGDGTNRLRRIRFSEEYFGDAWAAQTWWADNCDRIVELYSIVVPPPDQPSRGDEDEDDDPAAPVTPCPSEDDEPQRLDGIGELEYSASCSASASASGGSTSNFSGPSSGSGCGSANKVDSPILGLVTEADSFARATQKKHGHKTTRQGQ >Dexi7B01G0000310.1:cds pep primary_assembly:Fonio_CM05836:7B:936902:937245:1 gene:Dexi7B01G0000310 transcript:Dexi7B01G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFIYWKLSSTPASFGSITNALPTPNATRCTREQPMEKSYAVPGSSIRIPRSTSRHSTSLGSRFWSSS >Dexi5B01G0029800.1:cds pep primary_assembly:Fonio_CM05836:5B:30918861:30919190:-1 gene:Dexi5B01G0029800 transcript:Dexi5B01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKLQRSAVWADGDEWEEELAGYEAKAAAAAAEAKVEVKIRVTRRQLQELLEKAGGQGKAKQQAEKVLAELMTSGRVCYKQQHEEMRGHWRPALYSIPESSAAEES >Dexi9A01G0002070.1:cds pep primary_assembly:Fonio_CM05836:9A:1068750:1073997:1 gene:Dexi9A01G0002070 transcript:Dexi9A01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEDPGWYSRVPEFNSEPVVDIQMHTTWGNKFFSIAGHTKDPSEGVTVKEFDPHTCTWSIVRTYGKSPVCARFELKSVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYNGYDYLISFGGYNGRYSNEVYTLKLGLKSDTKSTTKEETVSDTASRVVEPEAEASQDGKIREIAMDSADSDLELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEKEVELLRRQRAASEQAAMDAKQRQSSGGMWGWLVGTPPDKAEA >Dexi7B01G0001250.1:cds pep primary_assembly:Fonio_CM05836:7B:2218086:2221709:-1 gene:Dexi7B01G0001250 transcript:Dexi7B01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSSREAHAVALREGRRAECGAAGKKGLEEGGSAGLLLMVLLHACLQGFTKSLAMTALSEIGDRTFCVAAILAMRHPRKLVLAGCVASSVVMTLISSSLGWVAPNLNADSKNNRGESKSKPKDDDDDAKKHQRPYLLRFFSPVFIKAFSITFFGEWGDMSQIATVGLAADENPLGVILGGVVAQALCTTAAVLGGKSLASKISEKTVRLLSGALFLVFGVMSLLSGPQREL >Dexi3B01G0017840.1:cds pep primary_assembly:Fonio_CM05836:3B:13196105:13197683:-1 gene:Dexi3B01G0017840 transcript:Dexi3B01G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANWRLALVATVVLPLGGLQGFLQIKFLEGFSADAKVFFALLMATIGVSQTSALGSDSAKAKESASSIFALIDRKSKIDPNSASGMVLVEVAGELELCHICFSYPSRPEMQIFRDLNLRIPSGKTIALVGESGCGKSTIIALLERFYDPDSGMITLDGVDIKNLRVSWLRRQMGLVSQEPVLFNDTIRANITYGRQQGEEAATDEEIVAAAKAANAHQFISALPQGYGTFAGERGAQLSGGQKQRVAIARAVLRTPRILLLDEATSALDAESERAVQEALDRAAAGRTTVVVAHRLSTIRGADVIAVLRDGEVVAQGTHEQLMASRDGPYASLVELRMRSERAGVSSSA >Dexi4B01G0012970.1:cds pep primary_assembly:Fonio_CM05836:4B:11061559:11065760:1 gene:Dexi4B01G0012970 transcript:Dexi4B01G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASLAARLLRRAATASSSSSPLSALARRAFHLGRAPVPLPRRLPAAAASSPASAARRFLASQSPASSAKASADENLRRVIESEIECVVQSDESLADRHIDLPDDFPFEVIDNPGDQCITLKREFAGETIKAAVYTNFDTEEEDLDEDDKSDNEGSFKPEIQMVVTIEKSDGPILEFDCNFDDDELAIENMRVMNRDNPDAENMYEGPTFSDLDESLQKALHRYLEARGFKHSLHDWLYEYMMKKDEKEYVVWLKNMKEFIGH >Dexi4B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:4B:2550971:2552505:1 gene:Dexi4B01G0003640 transcript:Dexi4B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAALLAVSLLAAASAMSGSGGVRAQPLVPAVISFGDSTVDVGNNNYLPRAVFKADYKPYGVNFARHKPTGRFSDGKIVTDITAETLGFESYAPPYLSRQASGKNLLIGANFASAASSYLDDTAAMYDAITLTQQLKYYKEYQSKLAAVAGRAKARSILSGALYVVSTGTGDFLQNYYHNASLSSRYNVDQYCDLLIGAFSNFANELYKLGARRIGVTSMPPLGCLPASIRLYGDGRGACVARLNRDAETFNGKLNATVKALKRRHADLKIAIFDIYTPLRQLAEAPAENGFADARGTCCRTGTAKTRVYLCNPTTAGTCRNASSYVFFDGVHPSEAANTFMAESMIEAGIELVT >DexiUA01G0015250.1:cds pep primary_assembly:Fonio_CM05836:UA:31925928:31926179:-1 gene:DexiUA01G0015250 transcript:DexiUA01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIVVRTANRTGAAPNISDALTVNGQPGDLRCSSKDMTTFTVKSGETNLLWFINAALNTELFVSIAGHTIHDRGCRHLHGEP >Dexi8A01G0007980.1:cds pep primary_assembly:Fonio_CM05836:8A:9236536:9241240:1 gene:Dexi8A01G0007980 transcript:Dexi8A01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRQGSKGDSMAAAAAAASEVSVDWRGRPCNHHKHGSMKAAVFVLGIQAFEMMAIAAVGNNLITYVFGEMHFPLSQAANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPPCDMMAAAAAGEACEEASGVKAGIFFAALYLVAVGSGCLKPNIIAHGADQFRRGGDAGRLSTYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMGVGLVSLVAGVGFYRNKPPQGSIFTPIAKVFVAAVTKRKQVCPSTATVQAIASVTATHEMSVNTTNIRHINKFRFLDKACMKAPDGAGKESTWRLCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTHLTSSFHVPPASLQAIPYLMLVALVPAYEAFFVPAMRRLTGVPTGITPLQRIGVGLFAVTFSMVAAALVEARRRHFAVAEEDDEGRLLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTAGDGDGHGGWLANNDLNKDRLDLFYWLLAGLSVLNFFNYLFWARWYSKSVETVQVAGVRSRGELDDDDEKDTSEQC >Dexi4A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:4A:21908413:21913807:1 gene:Dexi4A01G0018010 transcript:Dexi4A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGLGFLVAGSRREFVVLNVDDFSKYERQEGTQVCPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSNTVHPYRVSVPESSINSWDIDSVSITNSGASVHFYEEHVGTPTNHHALVMHPNTGEIVRYNPLRTRPINPNRDLALYGYGSVAWKNRIEWKRKQQDKMQKVSSDGEGSDLNDFDSDRDIPRYEKEGKPSELAPIDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEGLTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAVVANSHKVPEGGWSLPGGAPWHGNNVRDHAGMVQVITGHDCVMDDAGNKLPWLVYVSREKRPGYNHHKKAGALNALVTSFLSIYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTAAKPPSKTCNSWPMSCCLCCGSKRKCLKAKKKQENQKKVKCRNASKKVHALEVTGKENAALVPQEKFEKRFGQSHAFLASTLQENGEACRFDMLKSLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPRRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSIPLIIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMRWGGVGIDDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVDTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYESWGPLLGKLFFSFWVILHLHPFLKGVMGKQHKVPTIVVVLSVLLAAIFSLLWVRVNPFATKFDGPVLEVCGLECE >Dexi1A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:1A:10774309:10778025:1 gene:Dexi1A01G0011640 transcript:Dexi1A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHFFPAASQAAVPARLHKPSSKPPLPCPRTLSSAAFHHNGSNACAWRRPHPQLRRKVCAPAAPAEAPPVGPDGGSGGGGAGGGGGGEDEEEEGEKKEKGLLPEWMNVTTEDAKTVLVAVAISLAFRSFVAEPRFIPSLSMFPTFDIGDRIVAEKVTYYFRKPCVNDIIIFKSPPVLQEVGYTDNDVFIKRVVAKEGDVVEVHEGKLVVNGEARNEEFILEPPSYDMTPVRVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSVFRYWPPGRIGGTTKGCLNPELNLETKPSSLIDAKLTK >Dexi3A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:3A:6344587:6345416:-1 gene:Dexi3A01G0009130 transcript:Dexi3A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKISLKLLVDTKTKKVLFAEAGKEFVDFVFSLLTLPVGAVAKLVSAGTMHGSVGRLYQSVEHIGVSYLQPGTDKKDLLQPKVLHPDARELLLLQGGVSGAGDGEPPLARFRLYTCAGYCATATMEAKATCPQCKLVMATEVAFVLPSAATPAAAGSSSDESGGYVKGVVTYMVTDGLEVTPMSAISSITMINKFAGKDVELAEKFVTVGTDEGLALLKAALRSDTVLSDVFMTRKK >Dexi7B01G0009100.1:cds pep primary_assembly:Fonio_CM05836:7B:16783151:16787757:1 gene:Dexi7B01G0009100 transcript:Dexi7B01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNSKRSRTKTSARGEGRRRSCGEAAMEALLELERVQRVLSLMSSRGLCDTGSSGGDAAADRFLAQFLLFMVQPSDSLTMERKILLVSELLGKATPDTLDEVQHFTRLEADQVISSGALLQPNKNFKTQAEKSTIQAAPMVGFDAMTRANSTLEDFLDASNEDSLGLNPDNSSSSEISIDDVMKAIHLKSFDYRVLNLLMYQLTGQQVNELHMDFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFVVVYGSSEAPNMLAKCIGEAEEQYESFSKKLDPSLSGSYWRRCEEATKEGGKLSGHAYGTWNIPPVICDEELFRRERLS >Dexi3B01G0033780.1:cds pep primary_assembly:Fonio_CM05836:3B:36610344:36612182:1 gene:Dexi3B01G0033780 transcript:Dexi3B01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAETTAAVSGEYPSPVSPPYPAASKDVELRRAMTASARSAVFTSADIVFEDEWLAVVDKPAGVYCDSLLSALPRSAASGCPPRWEKIKICSGHGRSKHGAWRVYAMPDVGRSLPGGSVVRDMSTQFEVLGVNGKGQFREPYNFYTDDIESITVQEKAADQICNDGVKNSAILVRSYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWDGLECDGHALHAESLSFVHPITGLPVNVQSTLPSWAKDFISTMQ >Dexi2B01G0032240.1:cds pep primary_assembly:Fonio_CM05836:2B:40180117:40180560:-1 gene:Dexi2B01G0032240 transcript:Dexi2B01G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRTAAAAASVVVEVEEEEERGATIDISSDSEAGPESRSEEDSESEEEEDTSDEDFVNISDSDSEAGDWEGSGKDSEESGEEAEAEADQLGADRSEVACNKIADLLQSDNLEW >Dexi9A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:9A:6833375:6839279:1 gene:Dexi9A01G0011040 transcript:Dexi9A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQLARFHQRLSPSPLHRHTPRHAWPPAADASFLCRGLASSSSSAAAAAAGREKSSRRTLGYLLGVAVAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSRDIIVQFNADVADGMPWRFIPTQREVRVKPGESALAFYTAENHSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >Dexi1A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:1A:714928:716316:-1 gene:Dexi1A01G0001110 transcript:Dexi1A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCEKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGGSNPKSPTELTSMSTTEPSMSMSMHHHHHHVKNSSGSTTTSHDQDATIVSSNALSAPPPLAQPPSFDHQQAQQPKSFVFSDWVPAPESYSVSAHWPASTASSGNVTPSHGGAFGDQMSGSYGALPPPPHQDHQAAGAATGIAGSGYFDLLNMGDIYGGFTSTNDDLLF >Dexi4B01G0018560.1:cds pep primary_assembly:Fonio_CM05836:4B:20900716:20901006:1 gene:Dexi4B01G0018560 transcript:Dexi4B01G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPIPRRRSPQHRRSPKGPAIRRGAAERGEGWPRGGVDLRRAAAREPSRRKPRCPSSSPPLCSQGHGFQRDVITGRRESGGTPAAAARTRWPHT >Dexi1A01G0002240.1:cds pep primary_assembly:Fonio_CM05836:1A:1421382:1422691:1 gene:Dexi1A01G0002240 transcript:Dexi1A01G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSTMVIDGGDELKTSWPEVVGMQLSPAARKIRGDRADVVLEPHLVGEVPGPGYDPKRVRIFVDTSSPSSRRPSDLLGSPGQHGHLSTRLRSTNTMNRTCGTGEDRYSFGQ >Dexi1A01G0014110.1:cds pep primary_assembly:Fonio_CM05836:1A:20249196:20252572:-1 gene:Dexi1A01G0014110 transcript:Dexi1A01G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVLKHLDIERVKKFDYCLPWRSLGRNRGDAPWREEPPEEEDEMGESRRLLQMLVAKEEPPEEEDEMVERTGGCCKCSWPGSVVPHYMPLNPPDEKYDTVVDIIYPLEPPIVCDFDWDLDDYECFADDLVEEEVLPEDEKEKFKMFVKERVRERKIELKQAKEARKKAIDDMDPKKKEAFENIKFYKFYPVKTPDTPDLSNVKAKFINRYYRDAHYLM >Dexi7B01G0012140.1:cds pep primary_assembly:Fonio_CM05836:7B:18989785:18990032:1 gene:Dexi7B01G0012140 transcript:Dexi7B01G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVDVLMESKGGKKSSSSRSMMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCAKKPS >Dexi1A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:1A:2253796:2257617:-1 gene:Dexi1A01G0003090 transcript:Dexi1A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVTPTASAAAAAAADGAGGEGSSSAARTPSLRHRAMSASSKLLRSSLSRKSMGRRSSKVMSVSIEDVRDAEDMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTIMDDFVFEEMDQVLEHYPQGHHGVDKDGRPVYMEKLGQIDTTKLLQVTSMDRYVQYHVREFERAFAVKFPACSISAKKHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSADPHEADEKLITEDEIVPVPKKQESMRRSTVDSPKVAREKIEHPQMSPLHVKLCLHEMKTANDPPAPSGKKESYDSSLFHGVDWSGEVSEEKLQIARDMYAQLPDAYKQGDPGDRQVVSGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKKTRQMQQQLAGPDSVVVSAAQYQALVKRLDDLEGKVAALAARPPEMPADQEEILKAAVSRVEALETELENTKKLLETSVGQQEEVLAYIEKKKKKRGMQNPFRW >Dexi5A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:5A:8856891:8857467:-1 gene:Dexi5A01G0011780 transcript:Dexi5A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGGRDLQVRVVSRRLVKASDTSIDPHVLRLSNLDLLMQNIRASTFCIYPKPSTGVVGFDFDAVVHAFESGLPSFLSHFFPFAGRIATNPSSGMPEVHCANQGAELVAGHADVALASLDYGALAAAVRSVHLTYGDDVALSVQVVSFACCGFTVAWCTHHTCI >Dexi5A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:5A:10005596:10006178:-1 gene:Dexi5A01G0013290 transcript:Dexi5A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNILVGSYCTFTNKGGLVISAGMAVNDWMAFCGSDTTATEVSVVESVFRLRDPRPGVLGSDVKTSMVQDFFTR >Dexi3B01G0037030.1:cds pep primary_assembly:Fonio_CM05836:3B:39847293:39847760:-1 gene:Dexi3B01G0037030 transcript:Dexi3B01G0037030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERNAMDKDVAVREHVSVQLPSADSAAPCRSLSIRGRLLRLGCQQHLADESVSRATSPDPAMADEVLRRRQRPQVLVPAGDTWWPRPSPLADAACPPPCTASATAPTRLAGIPLLLLPSSPPPAGCGGPRRSSPSPTIWKEGPRVLQRRRNAW >Dexi9B01G0009630.1:cds pep primary_assembly:Fonio_CM05836:9B:5950326:5951476:-1 gene:Dexi9B01G0009630 transcript:Dexi9B01G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITSPAVAASSFHRSAAKHLQQAVTLAQRNGISRRCLLTLLTSTAAMPDGSESRKALLQEYLKKSKDNKEKNDKERLDDYYKRNYKDYFGLIEGQVREKNEEERTESEKRILEWLDKNK >Dexi4B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:4B:15010383:15013579:1 gene:Dexi4B01G0014250 transcript:Dexi4B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSHLLLAILTTTLLLCNTIPSATAAATTTTVNVTSFGKAYAKVCDGDRFAELGLNMSSFSYCDASLPYADRVRDLIGWMTVEEKVSNLGDVSRGAPRVGLPPYKWWSEALHGISSTGPTTLFDDLHSRPGNHSGRATVNNGTVFANVINTAASFNETLWKSIGQAVSTEARAMYNLGKGGLTYWSPTINVVRDPRWGRALETPGEDPFVAGRYAVNFVRGMQDIPGHHSVPNNDPYARPIKTAACCKHYAAYDVDDWHNHTRFTFDARVTARDMAETFLRPFEMCVREGDASSVMCSYNRVNGVPACADARLLSGTVRGDWGLHGYIVSDCDAVRVMADNATWLGFDGADSSAAAIRAGLDLDCGESWIVDEEGRPLRDFLSVYGMEAVARGKVREADVDNALRNQYMTLMRLGYFDNIAEYAGLNETDICTDEHKSLARDGARQGMVLLKNDGDLLPLDPKKVLAVAVHGPHARAPEKDVKISHKAHMTIYFGGINLHIEREGNDREDIRLPKNQTEEILHFAKASPNPIILVILSGGGIDISFAQKHPKIGAILWAGYPGGEGGNAIADVIFGRYNPGGRLPLTWYKNKYIKQIPMTSMELRPVPELGYPGRTYKFYDGPEILYPFGYGLSYTKFHYETGTNGTSVKIPVPGGHCKGLSYKPSVATTPACQAVNVDGHACTETVSFNVTVTNAGARGGAHVVLVHTVPPPEVAQAPIKQVAAFRRVFVPANGAATVPFTLNRTAYKVVPSGVSKVLVENGDSSSSVSFPVKIELSV >Dexi9B01G0030590.1:cds pep primary_assembly:Fonio_CM05836:9B:33067636:33068280:1 gene:Dexi9B01G0030590 transcript:Dexi9B01G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAHDDYVSLCLMALAAAGMTTSTTQRPVAASSSWTTTTVPDQRDDELRFRCSVCGKAFASHQALGGHKASHRKPPPPPLQAHASSSSSAGDAASSSVVTTTTSAAGEQQAGKRHRCSVCNRSFATGQALGGHKRCHYWDGLSVSVTAATASGVRDFDLNLMLMPAEKTIAAAGARRWGEEEEVQSPLPLKKRRLSVGPSLDLSL >Dexi5B01G0009320.1:cds pep primary_assembly:Fonio_CM05836:5B:6367495:6369770:1 gene:Dexi5B01G0009320 transcript:Dexi5B01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSRDDGPTTSPPPLSHAVDWAGVPSDILACVCKLLSAVPGRVCFRAVCHTWRAVADDLTHDQRAAVPRMPPPWVVIPLESGCCEQFTLASVPTMQSFRWTPLGAAGMRCVGSSGGWIAGAYIGGDRKIRLSLLNPLTDARVDVPATLGRVWYTPKSKDSTREEIVLCSAIQKVAFSPSPTEKNFAVAVLTYPRKGNGDVIVFTRSGCSGWCALADPGPFERGGDYIRAQLDVAYHRGKFFYMSMSNTVWVVDMAVRYPKPEPLATFQPAIPRGLLYGRHHLAIAGDGALHVVSSSIRHLSSSGVDMLVQRYDTTSRAEQGSPWVQATCLGGEEAFLVRAHVEMAQLQA >Dexi7B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:7B:13488130:13489344:1 gene:Dexi7B01G0005910 transcript:Dexi7B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASNKIIHRDEITDDAFTDSEQIPEKYIRTDEVSAGAVVGEDEAYELPVIDMARLLDPELSASETAKLGSACRDWGFFQLKNHGVDEAVIQRMKDSTVQFFGLPVGSKNAVAVRADGFEGYGHHYSRMSKLDWAESVILITQPVEDRNMELWPTDPPMFKPALEEYSAEVTKLMRQLLSSMALDLGVDAEALTGAFEGKRQSMAIHHYPPCQHPEKVIGNTAHTDGLGLTVLLHVDDTPGLQMLRGGRWFPVRPAAGALVVNVGDILHILTNGAYRSVEHRVVVGADRGRTTAVVFQDASVGGMVAPLPELLVVNGGGEQARYRSIPRFEYLKVRFSALATRKGFLDSLKL >Dexi9A01G0049710.1:cds pep primary_assembly:Fonio_CM05836:9A:52292856:52293657:1 gene:Dexi9A01G0049710 transcript:Dexi9A01G0049710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNFSLTRRNISWMEVMKGSTTVSETLGDGKTEKVSIILLRYASWILEMRRVPMPEPVPPTREWQTWKPADLF >Dexi2B01G0031940.1:cds pep primary_assembly:Fonio_CM05836:2B:39921109:39922336:-1 gene:Dexi2B01G0031940 transcript:Dexi2B01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFANVNSEAGLKKLDEYLLTRSYITGYQASKDDLAVHSSFSAAPSSKYINVSRWFSHIDALVRLSGITAEGQGVKVESSAVPSASTPDVADAAAPADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRSPSLSQLGMASRSSKSC >Dexi3B01G0017240.1:cds pep primary_assembly:Fonio_CM05836:3B:12695047:12699429:-1 gene:Dexi3B01G0017240 transcript:Dexi3B01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAVGDARVRVLNSPTPRDARVRVVARICPGGESKGSFRVAAHVSDAADSSASISFIPINKETIPAASTGVQTPRKDCKYKLDYCYLKDDSYTRIFDNEVKHHLDNIFCGDEHRNASIITCGATAKTHLLMGSQGHPGILTMTIEQILHRANEIGAAISVSSYQVLEDSVFDLLEPKDSEVRVLQDADGKTHLKGLSRVDIKSMEEFSDLCCGTSYKLKHATKTSNQIQARGDQGFVVYISRECTLAKINFLDLAGYVDIKQKGLGPSYYNKSMCAIMDVIHALNSNRSFISYRAHKVARILQDSLCKTSGAVLICCLDEVSCQDAVSTITWASRSSQVVNERVYNLTLGSRRFSKSNAFQSASTKKMSVSSLPAIIQQQSSQKRSRILTINSADKVTRTPTATKRSEVTMHSAKRPVNSVSSLNMKRSGVKSIQSVRSFFTPNSNSTKQPHVHFSLIIGEHIYTGDFCFIRQEVQSSLGMEIQASSPVEACDEIEKVVDVVSYQMQEIVPCSIKELASVDMQEKDPQDHSSSDLHAENSFTDLGLTCSSDICAHLKFRHAEDLQESLMGRSTGIKKSLAQECLTVLNSANKEQLRSLKGIGEKRANLILELREESPEPFKNGIGEKRANLILELREESPEPFKNIDDLKTLVGMNKKEVSSLYLCFLISLFYIYDFIIQL >Dexi7A01G0024120.1:cds pep primary_assembly:Fonio_CM05836:7A:31955772:31960112:1 gene:Dexi7A01G0024120 transcript:Dexi7A01G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPQYTTRERDDDDDAIWIPPADEAAADMMAAAAAADEYATATRDEEEEESHDRRAEERQKAMVRAMNGQLKMLAARFLESAGGIPAGWLDIVTSLSWEAALVIRPDDGASGNDMDPSSYVKVKCLASGTRRQSEVIRGLVFKKNAAHKHMPTRCHSPRLLLLRGVLGDSDNIAFSSFSSIEQEKDHLDKSVCKMMDICRPNVIMVEKTVSRDIQELLLKQGVTLILDMKLNRLQKIACFSGSPILSFSEVLSRKPKLRQCDYFHIDKVLEEHNHSTTVQAGKIRQSKTLMFLEGFHKPLGCTITDEQSLCSSTACFVCRYCYGEQIVKNLRRLGSKVAMFQYSSVEIYTACKPQHTLEFHNPSTHEWYGQEVLARGVMLFSEVTGLLQDLKDQVSDMEIYSGAFIPIKEFSQLEDLLIKEKSEFMCTLAQAVDGTVPSSVHEILNVNWLYQYLLLELYVWDRRLHQLLRCISAEKERIEIGVKETSEFTGEQTAVVAEVDDIAECTGRKASLEPEKFSEPGIDLLDENAWDKHNEEAHRSEVHFSGISNCLDVQSNELVARSMSPKQEQLSIPQQFRLSQWDWVWNPLPESRLAYRKELEVG >Dexi9B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:9B:2513974:2514513:-1 gene:Dexi9B01G0004410 transcript:Dexi9B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARSGKRSSSFRAIAAVAPLLLLLAGAASGDFAADRAECAGQLMGLATCLTFVQEKATARAPTPDCCAGLKQVVAASKKCMCVLVKDRDEPALGFKINVTRAMDLPSLCNDPATFSDCPSTTYNS >Dexi1B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:1B:4169340:4174615:1 gene:Dexi1B01G0005090 transcript:Dexi1B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEQPPTPSSLRDLLARERGEDDDDAPPPRPVPARRRASRSPRRASTSSRSRSCRHASPPPPPAPARKGKEEEVAGEDAAVGAVVAVLSAYAGRFLKDADFRNCLRDKCAACLAPAAAGEKDAAAGRAVLANLKLGIESIERLAADGAAPRDAKIRSLRNSIRLLSVVASLHHSPRPSSAAGGSTCGVPNSHLAACAQLYLSIVYRMERNDRVAARHLLQAFADAPGLARRDLLPDLWDHVFLPHLLHLKVWFAQEVEIVAGWDADDRCRRMKSLQRLYNDHMDSGTAQFAVYYKEWLKSGANAPPVPSVPLPSLPGNFDVCEKQSASLRKNSINRNLYNAVFGTALELEDVKDGKLEDETALVLDFDEELNNNAVSLKTEKLAHPVLSRQSKMGLQEKHSRSRKQGAIPETAPTQRKSYSFRLFSCQGDISRKVINHPKIAKKEVVSDEKEMDNSGLTMTLERAISVVSNSDNLRLCEDAVHEVARACSTLHGHPTLVNLLSCASFIQGLLEVTFTSKEDAVLESAILIMGKLVLGNEVIRQLVLNADPQLEVFLRLLRSNELFLKAAIVLYMMKPKAKQMLSLDWIPLVLQILECGDEMQSLSSVKCAPKVAAFYFLDQLLMGFDVDRNIENAKQMIALGGLDLLISRLEAGDARESRHCIALLTTCIQADGSCRHYLADNLKKEPIVQLIVGNHKKASAAALNLMSELVCLNRTTKIVEFLKELRNSGCLNTMHILLVYLQQAPLAQHPLAAVLLLQLDLLGDPLQYSIYREEAIEAIIAALEHSSQSRKIQEQCARALLILAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSVRAEEEKVVEERLKKLASMLLNSGGKRFLMALSNSISDGIPGLSRSCLVTVTWMSSSLSPLRGCNDFQPLACSVLAPKLLDSLSYDRVLEERVLASLSLLNVVRHPECMEKVFPLKKETIESLQDLAEVTWTAKELLFACCR >Dexi5A01G0029740.1:cds pep primary_assembly:Fonio_CM05836:5A:32806897:32807253:1 gene:Dexi5A01G0029740 transcript:Dexi5A01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKSKAYHPLHRWFQLVPLLAGIRTPASKSTLYTAYFLSRLSPLRRRRNMRCTSIKSPPLPTRWRLSAIV >Dexi3B01G0012320.1:cds pep primary_assembly:Fonio_CM05836:3B:8614082:8627430:1 gene:Dexi3B01G0012320 transcript:Dexi3B01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTGGKNPALLSAAEPEQGLRSDSDPDSDSGDDEEVPANSQHVRVYCLTDDGHQDDLGRGQVIFDVEESKGIVLAVVDDEDDGTLLKHNISSDNIYRKEGVITLSADDPVVSVNDELKNLPPLELSSLPLISKTVLEKGMKDQTLVAELISQEDNFFPNLVDLFRMCEGSGNMVGLHMICRLVKGIILLNNFEMFHNIFSDDFILDIIGALEYDPEVSHVHSHRASVQKEVVFKEAIPIKNAYVASKIHQTYRIRYIKDVILPKGLDDATKASLNSIIHGNNVLIVCLLKDDASFIQDLFAKMKSSNTSAESKSKLVLFLHEFCTLSEKLPSVQRLQLSRDLVREGVFDIISDVLQSQDKVLISAGTNILSHFLDKDPDLVQCMVTGSGEAMRFRSFLMSLLDSSAAKAPTDCEVVVEVFFDKHLHKLLDVIASSSPPKGIAGSTVNFFGSNVMMEIISLSCQRETALVVAAVQFMRTIIDRKDEFLNSRVIKLNLLKPIIEAFVENGDRYNMLHSAVLDLLDYIRKEKLESLIEYAAESFWDQLVKFERLKTIHAFKPKYQQITETAKTKQSTGMVDMRKKEDERGADKEEDYVNDSSGEDSATEAMGAQKQSMPARYLNLLQTHMVAQLTCI >Dexi5B01G0038010.1:cds pep primary_assembly:Fonio_CM05836:5B:37304529:37305806:-1 gene:Dexi5B01G0038010 transcript:Dexi5B01G0038010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMPRWLLVAISVLVLAWPASCADPVLFPVAKDAATSLYTIPIRDGANHVIDLAGPLLWSTCAADHLPAKFTCQDKECKLAATAYRPPSCHVGGQQCTQQQQRCAAYPYNPVTRRCAAARLAHTRLVANTTDGANPLRQVSVRAVAACAPRKLLARLPRDAAGVAGLAASGLALPAQVAASQRVAGKFLLCLPRNAGGEGVAIFGGGPLFLMTSTAPPVEVASDFTSSLTYTPLVSRRGSSSYYLPVTAIAVDTVQVSLPKDALAGGVVLGTTAAYTELRPDVYGPVVGAFDRTLRRSWNNTKRVAAVAPFELCYDSKTLPGPTRIGWLVPEIVLVLEGGRKTNWTFGGLNTMVDVNGFTAACFGFVKMKPEKNGGYGGKPAVVLGGFQMEDHVLHFDLEKRRLGFARLPVFTSCSNFNFTRKG >Dexi9A01G0001480.1:cds pep primary_assembly:Fonio_CM05836:9A:793854:795218:1 gene:Dexi9A01G0001480 transcript:Dexi9A01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLYEDAKSKGSENFDTELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSETDEILQLSKQIKEKMKEVDTFGPGSGGRTES >Dexi1B01G0030480.1:cds pep primary_assembly:Fonio_CM05836:1B:34441983:34443052:1 gene:Dexi1B01G0030480 transcript:Dexi1B01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSIARGAKINSRTRGSAGKGSPTFQCRASTFIDASLRLEFDENPEAIISRVWPENCSLLSYNDLRAYLESQETVQEGDQHVALLSETMSTPVIVATAEQTLEEVECHFEVVSGLPVVDSSLRYAAVLMLKKKIHRLPIVNQDKQVIGIVTRADVLRELEGLLKI >Dexi9B01G0048480.1:cds pep primary_assembly:Fonio_CM05836:9B:47427889:47430381:-1 gene:Dexi9B01G0048480 transcript:Dexi9B01G0048480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVSRPHLTPRPVRAAALASPSRARVAAGGLVGRGMRCQAQAAAGDLDGHYMRRCVELARKAAGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALIKAKVKEVVVGMTDPNPIVASKGIEKLQGAGIDVRVGVEEALCRRLNEAYIHRMLTGKAFATLRATLSMNGIVTNQIGKGADQSGGYYSQLMKEYDGVIISSDLAKMSTLPVSHEAGANQPQYIIIAQGESSRLHIPSLSEEHASKAIVLADSPVTVEPAGVEVAVLRQIDLDSILQLLAQRGLCSVLVDFREAGESFASLLNDFQEDKVVQKVVVEVLPVWLASEGLNNLAFGGSQSFPLKNVEHREVNGSLLLEGYV >Dexi4A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:4A:4259902:4260783:-1 gene:Dexi4A01G0005950 transcript:Dexi4A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAGMLPGVECARRRRLRQGGAAAGAEVGGGTRRPSFCLYTAGHAGHPAAGLAGAGSSGGKQRSGVMEMIHEWTLDSNAREAKERLDQKLRSKREAAIKRHHSTGSIKLSRPPRLHGVGAGGAEERGESSSASASASSKSAMSGVQREVYSKKGVMRRLMRWSRPRWDAAEQAECAVCLDDFRAGDVLAHLPCGHRFHWACAAPWLEGTSRCPFCRAAVDAANPHAAGA >Dexi3B01G0029720.1:cds pep primary_assembly:Fonio_CM05836:3B:28990453:28992737:-1 gene:Dexi3B01G0029720 transcript:Dexi3B01G0029720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRFFSFLLTAIALFANTVVVVVSQNYTAVRSNCSTTGNYTVASLYQVNLFKLMHDLEAGAIENRGFNTSQAGDPPDAIFGLTMCYADVNLDQCQKCIEAATASAEQTCPFSRRMMAYYKACILRYSDESFSSVADLSVASYTPIDVNATDMAGMNTTRWKLMVGLIRQASNSSLRWANGSVVFSDSDGSSQKLYGLVQCTNDLSASECTRCLTDFTAKLSSSHSNGTNYGAVKGYSCYVVYQIGRKLGITIPPAPSQLTRHSPSYIASLIHQEQELGVVSDGELQEDEEFEKGAVPKRFHYRDLAVATRYDIILGIGSALLYLHQDCQQCVLHRDIKPSNIMLDTSFNAKLGDFGLARLVDHGRDSHTTVLAGTIWYIDPECMIAGSAYAESDVYSFGVVILEVACGRPPRIENAEGIRMHLVQWAWEFYGRGRILEVADPRLDSDFDSMEMERVIITALWCAHPDRAKRPSIREAMSVLRREAQLPSLPAKMPVAMFVPPPLDKF >Dexi3A01G0017930.1:cds pep primary_assembly:Fonio_CM05836:3A:13662389:13670148:1 gene:Dexi3A01G0017930 transcript:Dexi3A01G0017930.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQTKATSHRLRRQKSEILRAQYIDVRELRRIIWLGDLNYRLNLSYERAHELISKQDWDGLFENDQLKKELGKGCTFDGWVEGAISFSPTYKYEFNSEKYVSDATKSGRRTPAWCDRILSYGKGTRVLSYKRAELTFSDHRPVTAIYMADVEVFVHRKFQRALTFTNTEVDDNLLLEKEAFLGPLNHETSNLLAQVPSKFMLIEKGSQRPKRPSRFSLTDMLGRRLGWGAAAVVRMASSSSSIPALPRLFIPPCRAHHEAAMAASLSTSQTLRNGGGRQMQDLVMQRWAEMVLCCGADWGCVPQLWNRVVLRKWLNIGEGSGDSDFSADERDTSDGEADREDISSWKHELCNVERICGGLDASTTGHERNNVPYRLRRHRSAITRAQYVDVREVRICAATWNVGGRFPPSVPAVEI >Dexi1A01G0009780.1:cds pep primary_assembly:Fonio_CM05836:1A:8115614:8116585:-1 gene:Dexi1A01G0009780 transcript:Dexi1A01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLHVAVLDAAAGGGRLAVMLPRNPPLSTPVGRRPGEAEATSPPEVTVAQVPVQGAHVQLCRRAASAIGAIKARPSPSKLQPRGAR >Dexi2A01G0014830.1:cds pep primary_assembly:Fonio_CM05836:2A:24944437:24946891:1 gene:Dexi2A01G0014830 transcript:Dexi2A01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSIVTAARAAAEQQKAAAAEDVVLNPGAREFLPWWRLGSSGKKALSVDAPEFVMAAAGASVDGDGNNTTPPGKVVRKARRNKKYFSRWQNSVKRTIFVKQIDHTVTEQILASVFGTCGTVVDCRICGDPSNGLRFGFVELQCKEEAYAAILLLDGAIIGLTPLKVSPSRTAICPINPMFLPQSEAEWELCERTVYCTNVSKIVKSSNLKAFCEAYFGKVCRIKFMDSDKRTTNLAFVEFAEVRTSLKKFHLS >Dexi3A01G0035210.1:cds pep primary_assembly:Fonio_CM05836:3A:40547889:40549602:-1 gene:Dexi3A01G0035210 transcript:Dexi3A01G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVIEGGRNFVGQLNNKWNSFSLKEYGRFFESFRSLKPNRTKSYEGLLLCIAQGDKDRPEVAPSVSPPKDGLLLIANAYPVEYGHIFLVPSAINQLSCYWDKMMFILATKVASEVNNAAFRVSFDSGTSVESDHLFFQVKNLVTGCFLSAWECSGYFVYHTKSEFDSASETEISKRMASASLQDGAFEDLKHLCCSIANDLVN >Dexi2A01G0023920.1:cds pep primary_assembly:Fonio_CM05836:2A:35609426:35612655:-1 gene:Dexi2A01G0023920 transcript:Dexi2A01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASLSHLLVAAKPRTHPNLSRLPSRPITTSLPRRRRTAPAISAGAPDLLSPVPSLKSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGILEALACLRALDAARTPAVLRLPEASAVWAKMALDLGPAGLMLPAVESPAAASEAVSYCRYPPRGVRGAAHPIVRASAYGLDDSYLSRCEDDTLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDAGNRKVRAALREAERKVLEARKKVAAAASDGNAAYLGGFAMQNDPPEQLKLRGYHMVAGAVDIAMFRKAALDDVKRFREAVMEIGEEGDEEEEEEKEEKENDGYWTEEEWKVKRQLLAQKRVRGVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSRLASDVAAV >Dexi9B01G0021030.1:cds pep primary_assembly:Fonio_CM05836:9B:15694994:15707526:1 gene:Dexi9B01G0021030 transcript:Dexi9B01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVDVRGGRAGVGRLVRTWIAIGTNTPLRRPAPALRPTQTHRLSHAFELELELARHSHSPPDTGTQPASSAMSKSSAISRPCFFLVAAAALWVLTLYLRLLALMSMPGAAFTGRAASPVPASNGSGDPCRGRYVYIHDLPPRFNSDILRGCAAASDRWADMCQDVGNAGLGRPLSGGALTGATGWYATHQFALDAIFHGRMRQYGCLTNDSSAASAVFVPFYAGFEFATHVWGYDNVARDAAALDLVRWLVRRPEWRRAGGRDHFLVAGRTGWDFRRDAYPNATWGTNLFLLPAVKNMTFLVVETATMGWGNDMAVPYPTYFHPRADSEVEDWQHRIRNADRWWLMSFVGAARPDDRKSIRSQVMDQQLGCASGTAQCHYPGDIMVLFQSSTFCLQPPGDSASRRSTFDAMVAGCIPVFFQPRSAYLQYRWHLPKDHATYSVFIPAESVRSGNVSVEAELRKIPPAAIAKMREEVIKLVPRLLYADPRYKLDKVKDAFDVAVDGVLERVTEPAERQIGSYWRGSAFHAPRPARASNTGTSDPSLANHLRAAPLTCHCQCQCPGRPGSPSRRHLARLLVGAHASQPCAMKRHNAGELPLSSHAGDGKQQMVEAEDKMDKYDKGRGRCSRLCFLLALAATVTLLARHGYDAGLGRGSHAGVVRIEAVHGPPPPPSDHRDRKIVPIARRESSVSDHSPSAPIDDAGEDATWKKPPSPALGSTHSDSDNGSKASSSKEKSSPSASHGNKYGDRPFARALAAADNKDDLCGGQYIYVQELPARFNKEMVQNCDKLSPFTNMCRYTTNGGFGPMLPGGKGMTGTGWYDTDEHALDIIFHERIRRYECLTDDPSLASAVFVPFYAGLDVARHLWGSNVTARDELALDLARLLAARPEWRAMGGRDHFFVAGRTTWDFRREGDGQSEWGSKLLNLPVAKNMTALVFESSPWHLNDVAIPYPTAFHPGSDEELFLWQDRVRALERPYLFAFAGMARPGDAKSIEGHLVGQCKASSACSLMECSSTTTGSDSNKCESPAGVLRLFQSSTFCLMPRGSTDTRRLAFDAVVAGCIPVFFHPASAYVQYSWHLPKNHAGYSVYIPEEDVRGKNGSVVEERLRKIPPEMVVAMRDAVVGLIPSVTYSDATTRLETTVNDAFDIAVAAVIDKVTKLRRGIVEGRPEEEKLGRYSWKYPLLGEGEKAEDPHEWDPLSTVILHIRFLMVVSAVFWALALCIRVIVPTPQGRSIVGPGRRPTRLLAAAMIRGKAASAGGAPPSSAGTSRATAVRTRCPYRVKDAFNVALEGVIRVHKTVQNPVPVGTGQTAPDRTQSRTAAPAVRNNLSISWANDRKYPYSNPGTHPHVGPTSSDHPQARQSSRADRAPAGVDPRTLAGGGVLLRRRLAQRLPFTTAHPF >Dexi9B01G0038340.1:cds pep primary_assembly:Fonio_CM05836:9B:39412371:39416691:-1 gene:Dexi9B01G0038340 transcript:Dexi9B01G0038340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASVVPSVRRVSPVFARPTVSSCRWACMRHFPLGVRHFTRSGARRLPVVASAAGDSAVGDAFVTEGSTNVKFPRELTVPGYTGSLVILGTGYRDKFFVKEYAAAFYMDYSLSIDTEQWKQKIGMESFDSNSVFDAIFKAQVVKSLSINLVRDVDGKTFVNALNDVIARQIKEPNVEEESSLSTFQNIFLGRNLKQGTSIYLTWLEPSRMLISISENQDPCQVDAEIKSATVNYALYNGFFGNSPVSPSLRSSTSKLLEALLMK >Dexi5A01G0032260.1:cds pep primary_assembly:Fonio_CM05836:5A:34809529:34813953:-1 gene:Dexi5A01G0032260 transcript:Dexi5A01G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSLSRALARSARSTRPLRQGSLLEGYAGLRAAPTPPRPSIPGGDVGGLGFVRSYLTSALGSRAAAPAGQGTVGDWRFLLASSQFRRLFSDGSNKNYEKYHPKEKREEPKGDGGDKSDPKRVHPQATKARTVISISQPVISLVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGLVVLAGTNRPDILDKALLRPGRFDRQITIDKPDINGREQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSENAQITIQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVSGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAIYGFSEKVGLLSFPQREDGFEMTKPYSSQTASIIDTEVREWVAKAYEKTVDLIRTHKEQVAQIAELLLEKEVLHQDDLVRVLGERPFKSAEPTDYDRFKQGFQVEESDKSAEVSDASPSPLGNVVPI >Dexi3B01G0002370.1:cds pep primary_assembly:Fonio_CM05836:3B:1631062:1633447:-1 gene:Dexi3B01G0002370 transcript:Dexi3B01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPHGSGYPYGPGAGGGYGSSPAPSAPPYGEKPPKEGKTSSSSSAPYYGAPPSSQPYGGGGYGAPPSSQPYGGGAGGYGAPPAGQQYGAPYGAPPPSYGGAGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTAVFYSLQNWRAIFERFDRDRSGKIDSSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTTLSGSATFTYEAFMLTVLPFLIA >Dexi1A01G0018400.1:cds pep primary_assembly:Fonio_CM05836:1A:25625571:25627186:1 gene:Dexi1A01G0018400 transcript:Dexi1A01G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRSKIEVELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGSERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >Dexi8B01G0010250.1:cds pep primary_assembly:Fonio_CM05836:8B:18688001:18689450:1 gene:Dexi8B01G0010250 transcript:Dexi8B01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAISARNSQQAERREGKTSSKPLAGEANEARQTHRAAVLGIGTANPANCVPQEEYADWYFRVTKSDHLTRLKAKMKKICESYNSGIKKRYFHHTADTFRDNPELIDLSLPSLDARQAILAPAVPELAAAAAAKAIAEWGRPASDITHLVLATYSGAHMPGADLRLASLLGLRPTTQRTMIYLGGCASGSAALRLAKDLAENNPGARVLVACAELSLVLFRAPRVDHTSTLVMQALFGDGAGAVVVGAGEDGAGAEGERKLFEIMSTSQTVIPSSEDAAAGYLGEGGLAFCPSPKMPSLVRQHVEQCLLDAAASPLELGHGWNDMFWAVHPGGPAILDGVEAGLALAPGKLAASRSVLREYGNMSGASVIFVLDELRRRHREELDGRLGVMLGLGPGISVETMVLCSASGMDKK >Dexi4A01G0001660.1:cds pep primary_assembly:Fonio_CM05836:4A:1085716:1087655:1 gene:Dexi4A01G0001660 transcript:Dexi4A01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRALGRRLFSSAAAASESAAAASTSAVRKAQNPLEKFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLNAENMRFPNPERISKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINTL >Dexi3A01G0031700.1:cds pep primary_assembly:Fonio_CM05836:3A:36073015:36073329:1 gene:Dexi3A01G0031700 transcript:Dexi3A01G0031700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVVFTLSSCCMCHTVTKLMQDLGVNALVHELDSDPRGKEMERALLKMLGGKGPAVPAVFIGGKLVGGTNRIMSLHLGGELVPMLKNAGALWL >Dexi9A01G0021740.1:cds pep primary_assembly:Fonio_CM05836:9A:16581791:16584937:1 gene:Dexi9A01G0021740 transcript:Dexi9A01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAIVLACGVVAVASAAAFLATLVALRRSVAREASLRASLVGHKEALQQAERKSLNKTSAFAGASHDIRSALVAITGLVDVSRAEAQAHPQITRNLDQMDACAKKLLGILNSILDTTKVESGKMQLDEVEFNLADVLEESMDMINIVGISKGLEVLWDPCDLSILKCGNVIGDCRRLKQILDNILGNSVKFTQEGHVILRAWANRPITRSSVGVPSRFGCLIPGSSFLSLFKTSERQADCRSFSSVQNDPNSIEFYFEVDDTGIGIPKEKRELVFEDYVQVKEGQGGTGLGLGIVQSFVRLMGGEISIRIKSLMPVMDGYEATRRIREVENRHGIHTPIIALTAHAMEEEMQKTILAGMDLHLTKPMERRSIAEAIRHVRGSQG >Dexi1A01G0002840.1:cds pep primary_assembly:Fonio_CM05836:1A:2034209:2036843:1 gene:Dexi1A01G0002840 transcript:Dexi1A01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGASSDGATHSCIRAVSMLFLLLCSCAGVAAAAAGAGGDDSGGGARYKDPTQPLSTRIEDLLDRMTLAEKIGQMSQIEREKATPDVISKYFIGSVLSAGGSVPAANAPPEAWVEMVNGMQGGALSTRLGIPMIYGVDAVHGHGNAYRATIFPHNIGLGCTRDPRWGRCYESFSEHPELVKNMTSIISGFQGEIPAGGQKGAPFVAGRRNVAACAKHYVGDGGTAGGINANDTVATFHELLSIHMPPYYDAVIRGVSTVMVSYSSWNGVKMHANRFLVTDGFVISDYQGLDFITTPQHADYLLSVKLGILAGIDMVMIPLNYTEFIDDLTLLVNNGTIPMSRIDDAVRRILRVKFTMGLFDNPYAADDLATELGKQEHRDLAREAVRKSLVLLKNGKYGDDDKPLLPLPQKATTTGNILVAGDHADDLGAQCGGWTINWQGFTGNNKLTAGTTILAGIKNAVEPAGTSVVYVENPDAGFVEKNKGNFDFAIVVVGEPPYAETAADNMNLTIPAPGLDVVQEVCGSIKCVVVLISGRPLVVEPDLLESIDALVAAWLPGTEGEGVADVLFGDYGFTGKLSTTWFRSVDQLPMNVGDAHYDPLFPFGFGLETQPSEY >Dexi5A01G0035060.1:cds pep primary_assembly:Fonio_CM05836:5A:36941201:36944121:-1 gene:Dexi5A01G0035060 transcript:Dexi5A01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATELIVVRKHRAAGHTAHAVAVFVLMAILGAFSSLSPVALAAVDPSPPPEVVELTLVGGARDKGADFYNWNKVFVRYCDGASFSGDAEDEDQDGNKLQFRGLRIWDAVVDELMSKGMDNAKQALLTGCSAGGLATLLHCDNFRARFPDEVSVKCLPDAGFFLDIKDLSGERFLRSIFNGTVHLQNVSKVLPKDCLEKMDPTECFFPAELIKSIKTPIFILNSDYDSWQIGNALAPDGSYPGGSWSSCKSNISNCTSRQIDVLHGFRNTFISELKVAKGRQDWGLFIDSCFTHCQTQSSTLWHSSNSPRIGNQTISEAVRDWYFGRRHAVKQIDCKYPCNPTCSS >Dexi6B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:6B:10022438:10025925:1 gene:Dexi6B01G0008090 transcript:Dexi6B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAIDEAGRRRHGQTTPLHVAAALLAAPAGLLRQACARAAAASPGAAGAAAGAHPLQCRALELCFSVALDRLPAAASAAAAAQGAGPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFNSSAVKSTIEQSLATPSPAAPSASLAAAVSAPTVAAATPFSPSPSPLPRLGAANAYINPRLAAAGGGGDDARKVLDVMLKPARRNPVLVGDAGPDAVLKEAVRRIPTAGFPALAGAKVLPLEAELAKLAADKAAMAARIADLAAVVERLVADHGAVVLDLGDLKWLVDGPAAAASDGGKAVVSEMARLLRRFGRGKVWAIGTAACATYLRCKVYHPAMEAEWDLQAVPIARGAPLTGTALRPGGTGILGNSVGMPSPTLRPMPVTPRGLQWPPGARSDQPLMAKPALCLICKGSYDRELAKLAADRKDKPASRPEAAKTSLPHWLQPSSDQPQAKEQEFKWKETVQELEKKWHETCARTHGTRAGAPALSMPLAAFGPRPPIEPKLQLARGVPTLKMNPSWEKAEDTPTSELRKSPPGSPVKTDLVLGPLDSGATMEKDQKEYAEGLTAMQKAKIAGISDIDSFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRNIGTRGDMWLLFVGPDQAGKRKMVNALSELMVNTQPVVVNFGGDSRLGKDGNGLNAGFWGKTSLDRVTEAVRQNPFSVIVLEGIDQVDVVVRGKIKRAMETGRLPDSRDREVSLGNVIFVLTTNWLPGELKGPKFETLLRDEGRMFEVASSKWQLELSIGDKQVKHRADWLCDDARPAKVAKELSGGHGLSLDLNLSVGALDDTEGSRNSSDLSVEQEQEKGHLALKCTTPAPDSDLLDLVDDAIVFRPVDFGPFRKNVTECIAAKFESLIGSSNSFRIDEDAIDRMAGSVWLTDDKIEDWAEKVLVPSIERLWRNMKHHNGRAVIRLASRLAAVAEKAFPRWGGGQEGLPATVPIAIDGM >Dexi6B01G0019050.1:cds pep primary_assembly:Fonio_CM05836:6B:25904744:25910634:1 gene:Dexi6B01G0019050 transcript:Dexi6B01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMHAASDEEAMDDEDYYDYDYCYSDDDGSGGGGGGGESEGELVAGDYDEGLEAEGSDEVVSRREQTFAVLSEEDISERQEEDISKITSVLSITKEEACVLLHHYKWNISKLSDEWFADEEKVRHTVGLLLNGNDRPRSRKASFTYLFFFFFHRIIISGLLTCGICFEGYSSDMMSSTGWEISDGPSKIFVGYISAAISGGPGCLSLRCPDPSCGAMVLQGMINKLAKDEDKEKYARFLLRAYVEGSKKTKWCPAPDCECAVEFLGDENYDVSCNCKFSFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWLCLGAWSDHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLLKAEKEQLAKLTDMFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDRIKSEFFEYLQGEAESGLERLHQCAEKDLQVFLPSVKPDISETTTPSVAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVRATSQAAATTSTATNSKKGGTKSKKKPNVRPSSDHTDDGWPCERCTYLNPPSVDMCSVCEKSRY >Dexi3A01G0000220.1:cds pep primary_assembly:Fonio_CM05836:3A:224340:233669:-1 gene:Dexi3A01G0000220 transcript:Dexi3A01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSCLQRRRPPLRINVTARPASNDIRAFIEAAPDVRASNKLSPPVWTLARRRRAPVVLPSSPVQSQADPPVDLLSRGVRTPPLACLRALTSNSRERGLLLRRLVSPRRRPPRTPTPPLVPGRGHLLHLWNPTIPPRLPNPFAREHPRPTDPANMPPPDDPVLAACKRKLAHFRIKELKDVLHQLGLPKQGKKQELAERVMTSLFNQQDHVSGREVAVKIVEDTYSKMQDPTTIVAASRSHIESGHSVKPKKKLDDSAELNVKVRCPCGNSKPNDRMIECVDPQCNVRQHVGCVVIPENEKSADSLSPDLPSCFYCEMCRISRADPFLVTINNLLLPVLIGPSTIAADGSYTVQYTTKSFQLSRANREMLQKSEYDIQVWCILLNDKVPFRMHWPLHSDMQVNGIHVRVVSRQPSQKLGANGRDDGPLLPCFCTCISPFDSKHVYVTQLTDYLREGPNKIALSRNDSRTFCLGIRIAKKRSLDQVLNLVPKEQDGEKFDDALARVRRCVGGGTEANNADSDSDIEVVADSVSVNLRCPMTASRIQIAGRFKPCAHMGCFDLEAFIEINKRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIKHCGDDTSEVDVKPDGSWRVKGRAELKDLAQWHLPDGTLCVATDTAAKPKMCIVKHEVKEEPLSEEVGCSLKLGIKKNSNGKWEINKRGDTDLVQSSDIDHARHMGNKTLTCSIDGTNIGDEGYNSEPARNDYPTTYVHDLDSSASAENAPPASTEQDVIVLSDSDDDAVTVLSPSAVICGSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQAHDGGGSLLLSAGTPCA >Dexi3B01G0026450.1:cds pep primary_assembly:Fonio_CM05836:3B:21587668:21591161:-1 gene:Dexi3B01G0026450 transcript:Dexi3B01G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGDRDQQQQKQQQQPAAAAAEGRSRESVKLFVGQVPKQMPESELAAMFARVALVDEVTVIRDRATRVSRGCCFLICPSREEADKAVTAYHNKITLTGASSPLQVKYADGELERLEHKLFVGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQASKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFQSTNMLNANAMQQTSLFGALQMGYVPQYNGFGYQVNYA >Dexi2A01G0037160.1:cds pep primary_assembly:Fonio_CM05836:2A:46784726:46787173:1 gene:Dexi2A01G0037160 transcript:Dexi2A01G0037160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRADDADMDEGFGAGNHVRRASSSARGGGSPPGSPPRPHSPRMFVPQSPVTPLQRAAEVPPPVFNQILMNQQQDDSDGPPQKKIPTLLTKPVEKSGKDHTILLMLSSGVHRYRFIVDGERRFIPDIPFETDITGQIVNLADVHDFIPESVESVSELTAPPSPDSSYSFYVPGEKEFAKEPPQLPAQLYLGVLNSRGSEEGCARPRHVVLDHLYIEKGWGSQPLVALGYTHRFRSKYVTCVMYKAIER >Dexi3A01G0006370.1:cds pep primary_assembly:Fonio_CM05836:3A:4190470:4199454:-1 gene:Dexi3A01G0006370 transcript:Dexi3A01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIVDRLTAKNEEVRSEQIRGTVVLAKKGVLDVGNKQPSLLDGGVDDGAVRWGHHVTLQLVSATAGDDPTNEQEGRGKVGKAARLEELTLTMKSRAEGESVFWVMFDWDESQGIPGAVIVNNRRRDEFFLKTLTLEGVPGKGTVVFIANSWIYPHKFYSHDRIFFANDMAAKTAHGRSLGAAKSVHIPVVAELAVHLQKLEFPPKSGLDPSKYGDHTSKITQAVIEPHLEAGLTAENALRDNKLFIVDYHDRFILFLDRINKLRGNFIYASRTLLLLKNDGTMKPLAIELSLPHDDAPKHGAKSNVYTPASAGVERHIWQLAKAYASVNDDAWHQIVSHWLNTHMVMEPFVIATNRQLSVVHPVHKLLSPHYRNTMQINAQARHMLLNAGGLFETFLVFPGKYALEMSSAVYKDWNLTEQALPNDLLKRGVAVPDPSSPCGVRLLIKDYPYAVDGLAIWWAIELWVDEYLDIYYPNDGELRRDTELQAWWKEVREVGHGDLKDRDWWPKMDTVQDLVRTCTTIIWIASALHAAVNFGHYSYSGYIPNRPTMSRRPMPEPGTMEYAQLEQGGQEADKVFIRTITGKFQTILALSCMQILSSHSSDEVYLGQREEPERWTSDARALDAFKRFGRRLQEIEQRIVKMNSEKKSFRNRSGPVDVPYMLVYPNTSDMGGKQGEGLTAMGIPNSISIFFSFPPHLNFLCSFLV >Dexi7A01G0003150.1:cds pep primary_assembly:Fonio_CM05836:7A:12193367:12195948:-1 gene:Dexi7A01G0003150 transcript:Dexi7A01G0003150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGNRGAAGGKHLRVLLPFTTDTLRIPDDLAEDIGAEEAFVVSPFGKGRVRPVEVGEDGDGAFLGRGWSEFAGACGVRPGWFLVLRHHGSSVLTVKVFDASCCLTELANRPPCRLASILGPCLRFTTSCTFPLMVAGSQEIPIPANFAQNYISKGDMNNRIAVLSVPLGKICQVEVKMNGPETFFSGGWSQFLALHGITEANYLLLRYEANMTFTVKVFRANGCQIESEHNGIRMIKKSTLPDIEKKQESLSTSIQKRERKKDRLCSEERKKPKSSMTSLNKARFQKKSYYEIGPTSWIKKMISTSTLQRYLQEASFGSTRCIFEIGPPAWMKKEMNTSTIERVFLSMYIDY >Dexi9B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:9B:13787542:13788341:1 gene:Dexi9B01G0019180 transcript:Dexi9B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPNERRPKHPGRVHPSTIKGPARQHVGSQHEPHGERRDGADVSTLGVDHRGVVGVHEPERHDGLEQHRVPVADAGGQREPRRARPARGEAQQQRRRDGAQQLRHPVEDGADERDAAADERAEGDGRVHVPARDVDGHGHRRSQGQRVRQRHGHQPARPVERTEREDGALGGEDEDGGGEELGDGGADGVRVRGVLAPPHRKPPPRPHPRAHL >Dexi7B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:7B:23721607:23722003:1 gene:Dexi7B01G0017770 transcript:Dexi7B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDQNEHQQAAAEGAVCYGAGLGEGRRRESSREAMKEKVRHWRRDGKEKGLRGSSNQCWENKFSASLQLRTKRCGCDADTRATTFWSPRVSFAGVLRDSDRGGFGEREMEREEL >Dexi4B01G0018720.1:cds pep primary_assembly:Fonio_CM05836:4B:21057624:21057744:-1 gene:Dexi4B01G0018720 transcript:Dexi4B01G0018720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQPASSRQQLPRLPPGGCPCRQIRLAP >Dexi7A01G0012730.1:cds pep primary_assembly:Fonio_CM05836:7A:22905627:22917638:-1 gene:Dexi7A01G0012730 transcript:Dexi7A01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLLLVLAAVAVPGPECQTQCGGVEIQYPFGIGDSCSRAVAFNVSCLQVQDGAYKPFLALGVFELLNISLIDSTIRETNHISMYCYNSSSGFMESSTWSFDVSKSPFRFSDVHNKFTVIGCNTLAYIYDSAGKGYQSGCVSTCQNLTDLAEGSCSGLGCCQTAIPRGMGFYNVSFDGGFDTSQIWRFGRCSYAVLMEAEMFSFSTTYINTTKFNDTGAGRVPVVMDWAIRDVSSCEEAKLNNTGTYACLSSISRCVNSTNGPGYLCNCSKGYQGNPYLPDGCHDIDECNNSPCPSGGVYENYMAKVSDFGASILAPSDKEQYVTMVQEVLTGEEPLKLDGTETQRSLSSNFLTVMKENNLDAILPSHIKGQESNELIKSLAELAKQCLDMSGSNRPSMKEIADELGRLRKLSLHLWVQLDVEMETQSLLDGAPTASFEIEGATTGYSTQEGENLPMNLGSSYYASRDHRRRNTSQAFLDAAPTHCCLAYSAPCYHLPSLAERRIVDEGLQRRWRPHRSCVTAGRLRDSRRRRTMRSGGAGMVPRGVWGLSMVAAAFELASDGVQDKFSKAGIGLPEAGFDVHNKFTVIGCYTLAYIGYDLNSTRYQSGCVSTCHNLSDLADGSCTGIGCCQTAIPKGMDLYFAGFDNDFNTSQIWTFSRCSYAVLMEAEAFNFSKAYITTTEFNDTSSGQVPVVVDWAIRNGSMSCDVAKQNKEGTYACLSKNSDCVDSLNGPGYLCNCSKGYEGNPYRPDGCHALGHLTADVDECKYGPCPSGDFGASILAPSDKEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQEPLTLDGPETQRSLSSKFLIAMKENNLDSILPNLIKGQESNEFIRGLAELAKQCLDMSGSNRPSMKEIADELGRLRKLSQHPWAQLYVEMDTRSLLDGALTASFQIEGATTGYPTQEGEDLPMNPRSSYYAR >Dexi7A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:7A:22455540:22456067:1 gene:Dexi7A01G0012190 transcript:Dexi7A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCHVEAPVYLKFGDSKDALVNNGILDDEEQGDAIGEYTPDVIVTEFVDERREATCGETTANRNKSTTLNQGWFRPYAAHGRLLLCHTSGGFEHPIHLSYD >Dexi5B01G0030050.1:cds pep primary_assembly:Fonio_CM05836:5B:31082588:31086579:1 gene:Dexi5B01G0030050 transcript:Dexi5B01G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRRIDVTTNAMDEQRDIKPFLYPVTTTPIQRGLPGSITFQSTVVPLERGGALVSVGARPQTEAQAACVLNRAASELSGGVGDRTIDAGEALEAEAVQNRMCVHPEFLHSNATSHKWPFGAVAELLDNAVDEIETGGATRILVDKVIDNRNGSPALLIQDDGGGMDPESLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADVIVFSRCTKSGGPTQSIGLLSYTFLVETGQTDVVVPVVDYKCNLLRGQTTRLERHGSEQFASNLSVLLKWSPFATEEELMQNFNDIGPHGTKIVVFNLWSNDDGNLELDFDTNPEDIMISGAPNPEEFSSAVKRTNENHLANRLRYSLRVYASVLYLQLPNYFKIILRGQEVKRHSIAADLIYPECVSYKPQVNGRQEAAVLTTVGFLDGAPTISVHGFNIYHKNRLILPFHRVLSSASSKGRSIAGVLEADFIKPTHDKQDFEKSQLFQRLMNRLKEMTNEYWDIHSHKIGYVKTPRVRAAPVPPAPPVMLPIANGAAKPLEWSAPASAVSVSSLRSDSTYVNAVPIAFAPPSFHSAPAGTDGAAPRVPTGSPSNAQIMRINEMTSSSMAPGTDLVETTKRRREDDVLMGSFKKQATNDSVSSSSNSDQVCQYMGERERKEFSFLKLENRMLREECSEFETAEKELLLKEQNLRLQIEKAKEQYKSLLNEYVSVAASPTQKR >Dexi7B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:7B:14280143:14281049:-1 gene:Dexi7B01G0006570 transcript:Dexi7B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFQSAIWQTTPPSPSSELHRACPPSLSRRFPGRGISLPPNPFFSAAIPNDPRNPTNYSNHAVPEGKPSRQEIEEALGTAKSLVLLVNCVLLSDPPGLPGPSSPNSEASCSFLRMVKNVAAAASSNHTMLSFALPTSSSSRRHRP >Dexi3B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:3B:10323657:10323869:-1 gene:Dexi3B01G0014280 transcript:Dexi3B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLVQLPGNHHFIEFGNLHTSAVSIVVHSIIYFAIIAIFVIVIGVHITTAD >Dexi9B01G0014220.1:cds pep primary_assembly:Fonio_CM05836:9B:9505157:9506413:1 gene:Dexi9B01G0014220 transcript:Dexi9B01G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKERPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAVASPSPSPSPSTSPPPASRPPPPPAAAVSPSHRPRPELPPARPARRGGEGGGGFDLGLGFLAFSGVVSLALLVIWSKKVVAAVTVAAFSLFLLESVRSSLRPRRPRPVVATETPLYLDGRGRVSPIREVDAETEPSRPSCSDTDRGSDEVSILAAGEEKSGALDGSATPTAKTKKRSWKHKLIAGAKKLNKGRKSKEASVDSPCSFRSDGAQSDASVRGGNARAADPSDSGRFVANRMDAAVPEEPESLRGSRRSQGVEIVAAPVEIDATAGLLADEEVGRGAGSRFPAALVLVAVALVGLVAGKLPAVALTVLCYAFFSTSVQGLPRGGGGGGGSSPERRLEGPRGVVIVVN >Dexi1A01G0017770.1:cds pep primary_assembly:Fonio_CM05836:1A:25102760:25106867:-1 gene:Dexi1A01G0017770 transcript:Dexi1A01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGAMDRRSSARWRVLVLCAFCFGLGMLFTDRSLDKSISTLQMELAAKRSTLELLRSSGSPATSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGEKLQQLEEQKGIVIRFTIGHSATSNSILDKAIDSEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >Dexi9A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:9A:468071:470164:-1 gene:Dexi9A01G0000890 transcript:Dexi9A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCRRRRVLPLLPQCSSLRRHGAVAEPRTPPRQRAHGGYDPLALARRRAAAAARAPFGLPFRCSFLRLHGTAAEPHAPSQKPDYGAICSNRESPAAPTQQRGAALDETPDQDAISYAAKVGRHLKNRDLPAAEALFHKAPAAARGLHLDTVMLGGYVKAGRVDRARELFDGMPVNNVVAWTSMVSGYCSAGRVDEARRLFDVMPGRNVVSLTAMVQGYASNGMLKEAREMFDRMPERNVVAWTVMVKAYADSGHIQEALELFDRMSERNAYSWNAMISGLLCAAKVDEAVQVFEKMPCKSVVSWTIMVTGLAKNGFACRARELFDRMPAKDTAAWNAMITAYTNSGQLNEAQRLFNSMPAKNLVTWSTIIEAYSKNERKVEALNFFLLMRRSAVSPNISTLISILVISESMMEVKQIHGLAVTLGLLSETSLGNALLTMYSRCGDLLSAWLAFKRLEEKDTITWTSIMQAFANHGCGYHALQGFAQMLRHGCKPTSTTFTAILSACSHVGLVDKGRKMFKSVYHVYGLEPTIEHYSCLVGLLGRAGYVREAKELVDGMHPGMRDEAILGTLLGACMMHNEVEVAREVGEDLVRFEPSDSGRHTLLANVFASHGMWDETANVWKIMRGSKMKKTPGCSQIEANMRNHMFYSRDQEHPQCAEIYEMLNDIVVPQMKDLSCAEFWEPTLQPDPTIYQV >Dexi9A01G0039790.1:cds pep primary_assembly:Fonio_CM05836:9A:43614806:43615863:-1 gene:Dexi9A01G0039790 transcript:Dexi9A01G0039790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKMPSNDGTRTVLIFDLGGGTFDVSLLDIDPGVDIDMALCDVKAIAGDTHLGGADFDNEMVKHFLREFIRKHKKMDVRSNPRALRRLRTACERAKRLLSSTSQTSIDIDSFHGGIDFYATMTRCRFEELNKDLFAKCVEAVEKCLRDAEVDKGSVHDVVLVGGSTRIPKVQSMLREFFDGKELCRGINPDEAVAHGAAIKAAAVLNSHAGTQKMRELMLGDVTPLSLGVETTGGAMAVLIPRNTSIPAKKERLFSTCTDNQESVLVRVYEGEQASTRDNYLLGRFELSGIAPAPRAAPWIVVTFDQPRPSGGPRGAARPGPAIEYV >Dexi5A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:5A:4653769:4654098:-1 gene:Dexi5A01G0006270 transcript:Dexi5A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSVLDLALNQLEGTIPHSLVSISGLPQLTLANNSLRAGEPPESLYNFFTERVTDNIFHGAISVDIGSRFPSMEVLFFRDNQFNGSIPMSASNLTKAMSNGERYRWL >Dexi1A01G0027130.1:cds pep primary_assembly:Fonio_CM05836:1A:32998620:33002435:1 gene:Dexi1A01G0027130 transcript:Dexi1A01G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYVQFRLVEFGLEISLGFSIPLLFVKDFSAGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Dexi2B01G0012830.1:cds pep primary_assembly:Fonio_CM05836:2B:19904017:19907655:1 gene:Dexi2B01G0012830 transcript:Dexi2B01G0012830.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHGGFNLRGLMDEEIVMKTGKVAGIGLAAGSVWGALVSTLKDGPQVGSNVKYPELIRTAKVCKHYAASFAVIGATYVGVEQALEKYRMKKDIFNGAAAGFATGSVMGYRAVRIRQGCKPAGVSLSPSRRRRTSCAAAAAVRAEVSFVDADEAKRLVAEEGYTVLDIRDRTQRERAYIKPSTHVPLFIENQDNDIGTIVKRQLHNNFAGLFFGLPFTKLNTDFAKAVKDKFSPESKLLVVCQEGLRSAAAADALEREGFQNIACITSGLQTVKPGTFESVGKTELQNAGKAGLVTIQGKISVVLGTVLISAYLFITLFPDQAEKLFDLAGISL >DexiUA01G0023980.1:cds pep primary_assembly:Fonio_CM05836:UA:49050227:49053694:1 gene:DexiUA01G0023980 transcript:DexiUA01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTDIKSILILGAGPIVIGQACEFDYSGAQACKALREEGYRVILVNSNPATIMTDPEMADATYIEPIHWEVVRKIIEKERPDAVLPTMGGQTALNCALELERQGVLEEFGVTMIGATADAIDKAEDRRRFDVAMKKIGLDTARSGIAHTMEEALAVAADVGYPCIIRPSFTMGGTGGGIAYNREEFEEICERGLDLSPTKELLIDESLIGWKEYEMEVVRDKNDNCIIVCSIENFDAMGIHTGDSITVAPAQTLTDKEYQIMRNASMAVLREIGVETGGSNVQFAVNPKNGRLIVIEMNPRVSRSSALASKATGFPIAKVAAKLAVGYTLDELMNDITGGRTPASFEPSIDYVVTKIPRFNFEKFAGANDRLTTQMKSVGEVMAIGRTQQESLQKALRGLEVGATGFDPKVSLEDPEALTKIRRELKDAGAERIWYIADAFRAGLSVDGVFNLTNIDRWFLVQIEELVRLEERVADLGINGLDADFLRVLKRKGFADARLAKLAGVREAEIRKLRDQYDLHPVYKRVDTCAAEFATDTAYMYSTYEDECEANPSVDRDKIMVLGGGPNRIGQGIEFDYCCVHASLALREDGYETIMVNCNPETVSTDYDTSDRLYFEPVTLEDVLEIVRIEKPKGVIVQYGGQTPLKLARALEAAGVPVIGTSPDAIDRAEDRERFQQAVDRLKLKQPANATVTAIEMAVEKAKEIGYPLVVRPSYVLGGRAMEIVYDEADLRRYFQTAVSVSNDAPVLLDRFLDDAVEVDTAVSVSNDAPVLLDRFLDDAVEVDVDAICDGEMVLIGGIMEHIEQAGVHSGDSACSLPAYTLSQEIQDVMRQQVQKLAFELQVRGLMNVQFAVKDNEVYLIEVNPRAARTVPFVSKATGVPLAKVAARVMAGQTLAQQGVTKEIIPPYYSVKEVVLPFNKFPGVDPLLGPEMRSTGEVMGVGRTFAEAFAKAQLGSNSTMKKSGRALLSVREGDKERVVDLAAKLLKQGFELDATHGTAIVLGEAGINPRLVNKVHEGRPHIQDRIKNGEYTYIINTTAGRQAIEDSKLIRRSALQYKVHYDTTLNGGFATAMALNADATEKVISVQEMHAQINK >Dexi7B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:7B:2067044:2067430:-1 gene:Dexi7B01G0001140 transcript:Dexi7B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSDAANMVAVGLVWGATNALMRRGALVWDRRARASPSGDGVLRRWAALLLTWQYSAPFAANLCASAAFFALLGAAPISVAVPVTNAVTFAATAVAAAVLGERVRVGPAALGTGLIVLGVWVCIS >Dexi6A01G0008670.1:cds pep primary_assembly:Fonio_CM05836:6A:9265327:9267561:1 gene:Dexi6A01G0008670 transcript:Dexi6A01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGIKRARKDGHQAMPRPMASSQRREERLPATPSQGTPWIPTRTEAFRFVKAVQREFEFAGKTSMYKDFLEVLCEYNRGRLGVAGVVDLMEVILQGHPHIIRWFNKFVPSGYEVKDLQAPPMS >Dexi1B01G0025830.1:cds pep primary_assembly:Fonio_CM05836:1B:30801176:30801868:1 gene:Dexi1B01G0025830 transcript:Dexi1B01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLAHGQVGGGPSRGLVGGRSSALPVLCNSVLPSYRNYSAEKHNHDDTVGEIGEKARSTAEEFLRVAKEKTDEVAEGAKETLHETKEAVVGESDDEKEKFKRRVEEGRYHQK >Dexi6A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:6A:4458229:4458606:-1 gene:Dexi6A01G0004900 transcript:Dexi6A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIPDAAGPDAWSNAAPSAAGDSSIWAFTDEDYRLWSVDCAYGDRNPSSRAGSEQPSPGKKARGGGGGNSTSKSRSIGKMFFKTKLCCEFRAGTCPYIANCNFRARHGGAPQAT >Dexi3A01G0031050.1:cds pep primary_assembly:Fonio_CM05836:3A:35468579:35473489:1 gene:Dexi3A01G0031050 transcript:Dexi3A01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLLPVVRGVVAKAGDVLVKRITGMWGIDGDRERLERRLVYVQSLLADAAVKSETNLAVKAWMKALKAAAYQADDILDDFQYEALRRESNQSMASKVLGNFTSKNRLVFRHKASRELKNVLEKIEELMSEMKEYELEPRAEVPQVLHRQTHSELDKSSQIFGRDDDMEVVVKLLLDQKDQDIVQVLPIIGMGGLGKTTLAKMVYNDSRVQKHFELKLWYCVSENFEANVVVRSVIELATNGRCDLPDNIELLKGRLQEVIGRKRFLLILDDVWNEDHRKWEEDLRSLLCSSIGASGSMIVVTSRLQQVASIMGTLPPYDLQILSEDASWNLFSMKAFSNQGAPEQTELLSIGKRIVSKCKGLPLALSTMGGLMSSKLKVQEWKDIAECNISDTSRGKDDVVAILKLSYKHLSSEMKQCFAFCAMFPKDYEMEKDKLIQLWMANGFILEERVMDLTDKGEGIIIGRNPSAASAEKFADTSLFLLAGDSAVARITGEMEIYTCKSLVVLPDAMDGFTSLEYLSIFWCPRIEEFPQGLLHRLPALKYLQILGCPELQRRCREGGEYFGSVSSIRKKDISFPATARFSSSSIAKFVKKLLPSC >Dexi5B01G0032040.1:cds pep primary_assembly:Fonio_CM05836:5B:32673375:32679512:1 gene:Dexi5B01G0032040 transcript:Dexi5B01G0032040.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAQTLGGLAVAGSTTSITKAAVVGSDYVHPSDQPPPPGTTSWKTDFDNSASPTAQAPGIQGYQNQYAPKATVAPVFQNQYVNNTAANHVSENSRAPGQDSVTSNVHMNDLKAVAVQGGSEAVMQVTGSFPVSLRTYVERSLARCKDDAQRTAIRRMLKEIITKATADGTLHTKNWDIEPLFTLPEIATGTNMTGQRSTKKQRTGGGASLTENGNVSSDSVKEQDLTKYYVRPEHVLEKALHMVETSKKNYLYKCDQLKSIRQDLVVQRIQNELTVKVYETHARLAIQSGDVPEYNQCQSQLKRLYRGGIKGCNIEFSAYNLLCVMLHYISKRDLLSSMASLPKEAKKDATVKHALEVRSAVSSGNYVLFFKLYKAAPNLNSCLMDLYVERMRFEAIKCMSKAYRPTVPVRYAAHVLGFVGIDEVREANGADGLEECKKWLRAHGAVLSVDNNGELQIDTKVSSTSLHMPEPENAVSHGDTSLAVNDFLSRAS >Dexi9B01G0023630.1:cds pep primary_assembly:Fonio_CM05836:9B:18991523:18996781:-1 gene:Dexi9B01G0023630 transcript:Dexi9B01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGPCAVAAAAAAALINPRSRSTTHGSARELLTRSDSIHTEETQMAPLSLLHMLCFPLLVFAGADNVVDDGVCPSQTQFAHIFSFGDSLTDTGNALRILGARAWISRPPYGETFFGHPSGRASDGRIMIDFIAEALGVTQPTPYLDEKTAADFRCGVNFAVGGGTALDPAFFLARGLELFVPVSLKNQTSWFHNVLQRLGSVQDQRNIMAASLFLVGEIGVNDYLIGLTGNRTVSELKTFLPPIISAIHSAVTDVIAAGARTVLVPGMIPIGCEPQLLAQYNGSIDAGGYDPETGCITWLNDLAVLHNRELRRTLKVLRRAHPGTSIVYADLYRAITDLIVSPGRYGERPFMASCTCFISERPPVACCGDGMAASCGAAGTTVCADPSEYVSWDGVHFTEAANRRIACAVLERSRVVTEAGRPLQTLKVPKNLTMAPFLSLPMVPPLLVCCCLLAAQAAAASPTGSGSLTLRMPYTRVLAFGNSLTDTGNGAIFPVTAKGPFSQPPYGQTYFGHPSGRASDGRLILDFLVKELEVPLPRPYLAGRTAADFRDGANFALAGATALDPAFLASQGIASAVPISLGHWPHIVVGAILTTLLSNHLADQRKIIARSIFFVGEIGVNDYFLALMNKKSVQDTESLVPHIIGTIRSALTAMIDAGARTVVITGMLPLGCEPKLLAIFPGSPGDYDPASGCDARFNKLALLHNRALKLMLRELRLRYPGRSLVYADVYRPIIRAVSWPARYGFGSTPLVACCGGGRGPYNFNYAAFCGTPNSTTCEDPSQFVSWDGIHFTEAANELMAQAVLRGLMSTSAEELSSQQA >Dexi1B01G0009130.1:cds pep primary_assembly:Fonio_CM05836:1B:8085195:8086933:1 gene:Dexi1B01G0009130 transcript:Dexi1B01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFLLGHESRLRILQRAAARLPGCSYLCAWAALPPSSSSSSAATSVGVLCCVDAWLCDGAGASSSCRGDAAAAAGGGRRVRALFDAYRGSLCAAVSGCVPGWAYKDGVAFMELPAHDLTASASLPVQQQFYQMAAFMGCESGEIEVGMSSTAASGSSPMSLESSLHQVFSEDFFQESLLEELLQLPPTQPSSPSSTSMPSVSVDSPAADGSTSLQLRTMTLTPPPATTPSSGELHVPPPPPPLAGQFFSGHGAVHHQFPSADADDAAMAEAMLAVISASSSPSTASATTAATPGNHHHHDGGARRWWPRRLGTTATAFRAYNAAALAPRTPWRRPVAGQRMINMGISILTRMHMLSGPTSRRRKRRTRHRRRRAASSTI >Dexi8A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:8A:18503594:18505165:1 gene:Dexi8A01G0010750 transcript:Dexi8A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIDRWAGLGSMVGSFFFLWSVVQNHLPASLRHRLSTWANALTSYLSPYLEITISEHGADRFQRSEFFLAVEAYLSDACARRARKLKAELGKGSKSLQVSVGDDDHVTDTFSGADVWWHASKKIPRSQVMSWYPGMEESRLYKLVFHRRHRDLVVDEYLPHVLDAGRTVTAKNRQRRLFTNGSGGGGGGGGGKKGWSHVAFEHPATFDTLAMDPEKKQEVVDDLEMFRDGKDYYAKVGKAWKRGYLLFGPPGTGKSTMIAAMANYLDYDVYDLELTTVSSNTELRKLFIETKGKSIIVIEDIDCSADLTGKRKDKKKPEDKPGGGGDGDDRPKLPGEPEKEDSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHKDKLDPALIRRGRMDKHIEMSYCCFESFKVLAKNYLDTTEHELFGEIRTLLEETEMSPADVAENLMPVSKKKKRDTTACLEGLVEALKKAKEDAAAAAKAKEEEEVKQKAEAEAKEAAKKEEEAAPEAEEKKAEGGDDEGKEKTSEST >Dexi1A01G0016700.1:cds pep primary_assembly:Fonio_CM05836:1A:23985574:23992731:1 gene:Dexi1A01G0016700 transcript:Dexi1A01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASSPETNGEATAKTDAAAAAAPTPARVSSRVMSVFTHVDKVDVVLMLLGLVGALGNGMSYPLSVLLYIRIINDIGRGPDLFQDFTSRINTIPNLALLACAFWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLRSGTTSEVVTSVSNDSLVVQDALAEKVPNFVMNVTTFLGCYAVAFASVWRLTLVALPSVLLLVVPGIVYGRIVVGIARRIREQYARPGAIAEQAVSSPRTVYSFVAERSTVARFAAALEETLRLGLRQGLAKGLTIGTCNAVLMAVSAFNLWYGGGLVVYHGYQGGSVFAVSGAIVNGGLALGAGLSNVKYFSEAISAAERIQEVIRRVPKIDSASDAGEELANVAGDVEFKNVEFCYPSRPESPILASFSLRVPAGRTVALVGPSGSGKSTVFALLQRFYDPSAGEVMLDGVDIRRLRLKWLRAQMGLVSQEPVLFATTIRENILFGKEDATEEEVIAAAKAANAHDFIVHLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKSPRILLLDEATSALDTESEHIVQEALDLASAGRTTIIIAHRLSTIRNVDMIVVMQSGKVMELAAHDELIANEDGLYKSLAQLQQAFYSGEVDRVSGASYDAGQANGHNMNRRLSWPTGSSPAQSLMGDARVNDSTKKPTVGVPSFKRLLMLNAPEWKQHYNFGSMGENLTKRVREHMLTKLLTFEIGWFDRDENSTGAICSQLAKDANAVRSLVGDRMALVIETISSVLVSWTMGLVISWRLALVMIAVQPAIIVGCYTRHALLKNMSKKSMREQSKSSKLAADAISNFRTVTSFSSQDRILGLFEEAQDGPRKENIRQSWFTGLVLGTSVFLITCTWSIDFWYGTKLMVERHITTKELLQTFVIIVMTGRKIGEAASMTTDLAKGSDAVASLFAILDRETEIDSDNNVGYSPGEVNGQVKTLDVDFRYPSRPDVIVLKGFSLSLQPGNSMALVGKSGSGKSTIIGLIERFLCPVVGTVKIDNRDIRSYNLRALRQHIGLVRQEPTLFAGTIKENIIYGTETASEAEIESAAKSANAHDFICSLKDGYNTLCGERGFQLSGGQKQRVAIARAILKNPAILLLDEATSALDSASEKLMQKALDQVMVGRTSVVVAHRLSTIQNCEKITVLEGGGVVEDGTHASLMAKGPSGTYFGMIKMQQGGNQH >Dexi2B01G0031910.1:cds pep primary_assembly:Fonio_CM05836:2B:39900143:39900691:-1 gene:Dexi2B01G0031910 transcript:Dexi2B01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGGDGGGTATVTSGGGSRRGAPGGGAPSRDRLVTVFSAEGRLYQIDYAWSAVRLAGVTAVAVRGADSVCVVGQRRSGASKDKLLDTDSVSRLFPITERLVLFATGIAGT >Dexi1A01G0028780.1:cds pep primary_assembly:Fonio_CM05836:1A:34376841:34378002:1 gene:Dexi1A01G0028780 transcript:Dexi1A01G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLMEYIQKHGHGSWRALPKLAAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFSALPQLIALANLRQLVEQRPWDDHAARLKVEAVQAAKLQCLQNLIQSAASIATSPSSSSINTIPDLEQIGLLSPPQMSSLSSLPSPSFLESISGQDIVAGQLPDIQIPSSFFEQPTCNDANQNLDFTPKSSVEGENGTPKTLLLSENSLPPLTDFPISNLGDACSASSCDGSGIQFPSWPELFDEQFLSEFV >Dexi3B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:3B:3992442:3993059:1 gene:Dexi3B01G0005840 transcript:Dexi3B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISSIPPPRKWNHKHIILVTLIGCLVLNAITAAISISLSPAHIFFSISNATISDYSKDQDTKFYNFTLAAKNTSPRMAVHYVSLSAEIWNTATTWVPAEVDRSSLQDQGTMQPPGNVTYINVCAEYWQSEQKSTPPATPVVGGGQGNTPPPAAGGDDCSNCTVLVMASVWFKSRWWIGTRTYDVRANCSQVDFSNHTAIVDCK >Dexi5A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:5A:20701579:20701926:1 gene:Dexi5A01G0017490 transcript:Dexi5A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNEGSRAIKKVHFRDSSSQVPLITYKRRRRQEPQLTQQSAPEPEPEPKPEHNPGDVPVQQVSCSPSSVK >Dexi8A01G0008340.1:cds pep primary_assembly:Fonio_CM05836:8A:9811546:9818513:1 gene:Dexi8A01G0008340 transcript:Dexi8A01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCLLAKPMPLDVAVAEIAQLKARVEDVSCRNSRYNLISDSGSKPVVVKQQKTDDPDAAVTVTMTASAVDTMSEARDSTAKKQPGLGDLTQLITKIGSELGVISVWARSDDLGTSMSVIRKAYEDEEIRKNFWCRGWVKLEYPFNPRKFQQSVLAQFYTNTCLKQGKSVDVVELERREATVLKQGGFAEEFKAQVNNKRYLLVLENVCTMGDWDAIRACLPESVSSNRIIVSTEQREVARLCIGHSYQVLELKQYSADHPVCVFFKQGSHGEIDRAMSTDVIHDVTNKVLRKKPSSTVKDIKYENLKQLILKCGGLPKVIVAVADFLAPKTVKFMESETALDKKFMHELENNPEFKCLRQLFDWMHCFFLCCPDYLRPCIFYLSIFPGYQIIRRRRLLMRWVAEGYSRDTKDNTAEERAEMLFSMLVNLSMIQPPPCTVMTPMRMVKCQVSAFFHEYIISRPEEDNIVFALEVFELKGKCRPSTRRTGRHLVIQSDWDRDMIVYDSIDFSKLRSLTVFGEWKSFFVSKGMKVLRVLDLEDASKVTDKDLEEIVNLLPRLKFLSLRGRSEINRLPSSLGELRQLETLDIRGTSIDTLPASITKLKKLQYIRAGATSLTEERSCASKPWFPFLCRPRQLGVEVPAGIDKLTALHTLGIVNIATAPHKATLEELKILTQLRKLGVSGVNKKNCKEVSAAIQCHVHLESLSLWLSKGDQRSCGDISPSTDLKTLKLYGLVDFVPLWIKDLHKITKLELEMTISDKWVDESGIIGILGDMKELTVLRLSVKPHQDGEGNKLDFCVWLNGIQNSCYLRVKILEVASSSNLNLVFGSHSMQNLELLTVRCSSASALQFFQLKSLSNLKEVQLIGAQGRIPEELRTQLIEHPKKPALKAE >Dexi7A01G0007500.1:cds pep primary_assembly:Fonio_CM05836:7A:18862036:18862389:-1 gene:Dexi7A01G0007500 transcript:Dexi7A01G0007500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLKVVDNSGAKRSGKYGAKLGDTIVGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >Dexi2B01G0033300.1:cds pep primary_assembly:Fonio_CM05836:2B:40939936:40940729:1 gene:Dexi2B01G0033300 transcript:Dexi2B01G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVPNLELDSTHGKIRIHDFVADGYVIIFSHPADFTPVCTTEMAAMASYASEFEKRGVKLLGISCDDVASHKEWIKDVEAFSSKQSSSPGGAKSSKVTFPIMADPERSAIKELNMVDPDEKDGKGVSLPSRTLHVVGPDKVVKLSFLYPACTGRNMDELLRAVDSLLTAAKHKGKVATPANWKPGDRAVIGPSVSDEEAKKMFPDGFETADLPSKKGYLRFTKV >Dexi6A01G0004710.1:cds pep primary_assembly:Fonio_CM05836:6A:4292116:4294862:1 gene:Dexi6A01G0004710 transcript:Dexi6A01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLRSLHSTDKTRRRSPSSALYREAGRVRLNRGMNLLELGQRPWSSRSRNVRSTPNLVTMILRDIIVLIFSRFSMKRLLRVILDYFGAVKLCTKRSTLWFGSIYEKYRNFNLTQIQVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKVLSLSDCLVPLFGSPLLP >Dexi2B01G0028300.1:cds pep primary_assembly:Fonio_CM05836:2B:36995278:36995638:-1 gene:Dexi2B01G0028300 transcript:Dexi2B01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATGQADVGGGACADRISGLSDDLLHSILLRLADTAEAARTCILSRPWRRVWAYLPELSFLYQNEPVTAAQAYPSTRDTWRT >Dexi6A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:6A:12454061:12454527:-1 gene:Dexi6A01G0010170 transcript:Dexi6A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHLLIVIIVACILNATSSAATRSANLTADATTSVYDVLLQNNLPPGLLPLGVQSYVLHPVGALEVSSVSGNIKSGSISRVSGVRLQVEFAWLGFNEVSRAGDMLNIQLEKSTQSFPVSAFAQSARSN >Dexi9B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:9B:2775787:2784470:-1 gene:Dexi9B01G0004860 transcript:Dexi9B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTGAKRSTTTFHSKLKTPRVAWHPPSLSALHYYYSPHFHPFPPPLLIPTLPLRLSTTPRAASAAPRRTIGPLVDGREAAPGRFSRRVRRFADRGGDCRCEEILRDRDTLSAQRFARVVGGDMAKHPHPAVAAATGTKKRKVKLQNREDGSTENEKIVTEHEAKTQSNDTTDEPVVRKRPKRAAACYDFKEKELESSEKDLVITIKESRVAEEVDAVRLTETKPEDCRPSRKLIDFTFHDAEDTAASNDEIWATLPVLVALRDESKSRVESTKFSDSRLIPLELIPMKAGAENDAVIFGSGFMRDDDGSCCSTAESAQLSSSSSKLNQEDQGVPIYLSPIKEWVIEFGGSMICVTIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITMLKEQTRASKLSFTEVIKKVAEFDSGHPAFISSNITLVERYVVVHGQIILQQFADFPDESIRRSAFVTGLVLKMEERRHTKLVMKKKSQAMRGENLNPSAKMGPILRRKLMRATTTRFISKIWGDYYATHFPEDTKEDGNEQKEIDEEQEENEDDDTEEEVNVEMEQILKTPPSARSRKSPSKICKEVNWKGQAAGKTESGEALYKYVTVRDLTIGAGQSVILEDDSGEVIMCFTEYMYEKHDGTKMIHGRILQKGFHTVLGNAANEREVFLTNDCLEFELGDIKQLVTVNVQLMPWGHKYRRENSEVILTERAKAEERKMKGLPVEYFCKSLYWPEKGGFFSIPRDKLGVGTGACSSCEQRKQVCDEFKILSDTSFVFKNIRYNVHDFLYIRPEFFSQGEGHGTYKAGRNVGLKPYAVCHVLSIHAPAGSKKAHSESTKVTVRRFYRPEDVSSTKAYTSDIREVYYSEELVTVPVAMIEGKCEVRLKDDVPNSNLPVVVEHVFYCEHLFDPVTGALKQLPANAKLMSLDMLSENTLATLDIFAGCGGLSEGLQIAGASQTKWAIEYEEPAGEAFAENHPEAAVFVENCNVILKAIMDKCGDADDCISTSEAAERASKLSDEKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMVLAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKINLPDGKHYAAVKSTAAGAPFRSITVRDTVGDLPPVENGASKPTIQYGSKPVSWFQKRIRGSTESLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYRFAGNIQCKHRQIGNAVPPPLAYALGRKLKEAIDA >Dexi2B01G0024690.1:cds pep primary_assembly:Fonio_CM05836:2B:34069466:34071592:1 gene:Dexi2B01G0024690 transcript:Dexi2B01G0024690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLPCRRRFSSTSSSSASNATPTLYSNGTTPFSLLSWGRGASGQLGGGKEERRLYPSPVAHLLLPDSDPRLAPTPGRLPSAGETSGVEVGISSGLFHSALLVEGGAWVWGKGDGGRLGLGDESSAFVPRPNPNLRDLRLLALGGIHSAALTASGDVFTWGYGGFGALGHYVYHRELLPRRVKGPWEGKITHIATSGAHTAAITNSGELYTWGRDEGDGRLGLGCGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTMALTSDGQLWSWGDEGEVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYITCGGSTSAAISGEI >Dexi3A01G0029730.1:cds pep primary_assembly:Fonio_CM05836:3A:32021105:32028977:1 gene:Dexi3A01G0029730 transcript:Dexi3A01G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGALNKDDAFYRYKMPSMITKIEGRGNGIRTKIENITHIAKALDRPALYITKYFGYELGATNKLDEQHTSFVSGAHDTAKLAGLLDNFIKKYVQCYECGNPETKIDISKNAAEHKGGNAMKVSLRCAACGFISGVMDHKLTNFILKNAAELKGGKGKRVMQRADNKFQNEGKAANENQKKLKKDKKSKGVCSKESTANKAAIGGSDKDHSTPPSCCLDGGNVCAADEDGDDDDVQWQADTSLEAVEQRMQEQLTTVTAELVTLSTDESEKKKESSHNDAAVYGSSNHLDNSDDKQIVGKVNPYNELVKEIKANLGNAVTAAQLKKVMSSSTLPSQDLMNALFEALFDGVGKGFTEEVVKNMDYIAAAVPDEGSQTVLLLAIEVFGSKCSALALKEIPFILRALYYGDVLDEETILRWYNVAAANGKNSQVLQYAKPFVECLQNSDYESEEHCPKGSRTPARPSPLLTLLSQSLSLPPLSTIEEIPRSPIEGIQEFAGVSPELDAGELLHHHAIIFTKLKQGEASPSSSPIAPLLKLKVKNKLTSLLLALHYPTTLGRVVHITEIETPGQGELEYGSDPVVEEATFEQQGRHLFLNYL >Dexi6A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:6A:7988042:7990679:1 gene:Dexi6A01G0007910 transcript:Dexi6A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGMLAYESHQGLWNSGYYSQLFGIGGVMITIAILMLSTGYFGGIGAPFAPYFWPHLGQVPKKRERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYSIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDAGAVDEKAGVKPNDELKSQLSHFLPTSRRIMQFSNGQAPSPGARVVYIDGTFDLFHAGHVEILRSARQLGDFLLVGIHDDQSIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVTEGNSAGEVDPYAVPKSMGIFKTITSPKSITSASVATRIVDNHEAYKKRNLKKKASEDRYYAQKQFVSGD >Dexi2B01G0036680.1:cds pep primary_assembly:Fonio_CM05836:2B:43416386:43420701:-1 gene:Dexi2B01G0036680 transcript:Dexi2B01G0036680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFRAASGAARLALRRSLASRATPAAAVAARCGSRGFHSTTLRPRSAAPVPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVAQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGLDDRSVPEDLHLGLYGFTDADLDREFFLGVWRMSGFLSENRPVLTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKPRDYDKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVGGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDADRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVLKEDVQRIHDKVNRILNEEFSKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEILKRVGQAITTLPENFKPHRAVKKIFELRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQETGVKYCPLDHVAMNQSEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFANGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVTAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNEHKQVEEGINRLILCSGKVYYELDEERKKSERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYTAMKALGRGSFEDIKYVGRAPSAATATGFLSVHVQEQSELVKKALQPELIKFP >Dexi7B01G0018340.1:cds pep primary_assembly:Fonio_CM05836:7B:24159042:24159386:1 gene:Dexi7B01G0018340 transcript:Dexi7B01G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGSEGTAGVAAPPSSLSAANEGSLARQYSELRIDGREAGDKEMEGILARFASLRNREERLMAIAADLREMEAQRRAAGVAPSDAEVAAFVLLRESADAALEGIPAYLPIE >Dexi1A01G0023210.1:cds pep primary_assembly:Fonio_CM05836:1A:29858413:29859417:-1 gene:Dexi1A01G0023210 transcript:Dexi1A01G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGSINTSPNLPALQNLRNPRKPRAYPAALPRFQRRPPASVRRGAVVVVACAPGSEQRSSSPVAAAEARLSVEFRTREGCRLGIARYPDFAYDAQGGRGVGAGSGEGGAALAVDFDVATLYIPPMSGATTRFLGLPLPLFLKIDILPEALGGTIDRTTGQVDLKFRSRFCFSVGSVYKAPPLFVDTALTSEESRGAIRSGTGERMDDQGRCKLVGVAVVDPIDDIFMNTFLSLPTECIAYLNATISIDTSR >Dexi7A01G0023580.1:cds pep primary_assembly:Fonio_CM05836:7A:31509321:31511603:-1 gene:Dexi7A01G0023580 transcript:Dexi7A01G0023580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELISPSDLQSFYNQQQQQHHHQQQQQQQQQASSLAAAGGGGSQQRSPSSLAAGVHSIIRPMPNMPNMNMSATAILNSIGAMPMHQFQMDPPPLLHNNNANMAVSASASATVPPPAPPPPPAEQQQQPVKRKRGRPRKYGPDGTMKAAQHQQHHLVTAPPRMGSSTLSGGADMLSQGMDKKRRGRPPGTGKKHHTSPSSSLGNAFAGSAGTSFTPHIITASPSEDVAAKIADFAKQSSRAVCVLSAMGSVSRVVLRHPGDASPMSRVHTSQPYKNPAIYEGFYEILSLTGSYNLAEGSQGQQIGGLSVTLCSPERNVIGGVLGGSLVAASTVQVVLGSFHQAGSRSKSKKAAKQANFSPDSLTGGGGGGQEASPSSGQHNQQNLTPPSVTGGWSTSGIFDTRSSSIDINSSRG >Dexi7A01G0004460.1:cds pep primary_assembly:Fonio_CM05836:7A:15272314:15272990:1 gene:Dexi7A01G0004460 transcript:Dexi7A01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTATSAVRAPPGRDADVESAAHGRLERRLVVLERDWHAYKTGRPPDARRHRRSRSATTGTPSSAVTSSSLFSAAPRPRSSSRRCSSPAAAPMETSPPTSPPGPPPYPTEKKAPPASAPCKAGTPWRGYSTSSSCTGAAVPTFSSSAGGDMGGELARKSDEGRSVARKGRAAWISAAIAVVVMGFVAMIILEFHANDDRAEYLVPT >Dexi5A01G0033700.1:cds pep primary_assembly:Fonio_CM05836:5A:35994309:35997406:1 gene:Dexi5A01G0033700 transcript:Dexi5A01G0033700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVASSSPPSVFTLAQRTSAFSAPNSGVRWELESPRRGPGMAVVRAEAVDTSISPRVKALRLSKTMAITDQATALRQAGVPVISLSAGEPDFDTPSAIVEAGMNAIRDGCTPNAGTLELKKAICAELQEENGISYSPDEVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMAILADATPVILPTEISEKFLFKPEFLAEKINEKSRLLILCSPSNPTGSVYPKKLLQEIADIVRKHPRLLVLSDETYEHIIYQPANHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPRHFVAACGKIQSQFTSGASSISQKAGLAALKLGYAGGETVSTMVKAFQERRDYLVKKFRELPGVKISEPQGAFYLFINFSSYYGSEVEGFGTIKDSESLCVFLLEKAQVALVPGDVFGDDKCIRISYSSSLSTLQIAMVKIKEAVALLKPCITAY >DexiUA01G0025920.1:cds pep primary_assembly:Fonio_CM05836:UA:54590000:54590909:-1 gene:DexiUA01G0025920 transcript:DexiUA01G0025920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEIAQKARDAILSRKFDQVRVNIANGDMVGHTGDIDATIVGCKATDEAVKIILEAIEQVGGIFILTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVPIAIGGPGLAPGVRFRKDLASAGLANVAATVMNLHGFEAPDHYEPTLIEVVGN >Dexi9B01G0045540.1:cds pep primary_assembly:Fonio_CM05836:9B:44986890:44992928:1 gene:Dexi9B01G0045540 transcript:Dexi9B01G0045540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSDLPPEVLDLILVRLPLRDAARSSVLSVAWGHSWRQLSDLDFTSSTCDRGAIDAVLLSHSGSVRHVRLEVTVTDGHLPHVQAWVDALSKKMLQSLKLSFNAPSVPSVLMEGQVPKSMLACGTLTELVLTRCVLPPIPASFTGLVLAGPCLCFCFASWLASTSPVSRRPLCSSLVRSSTTAAGDGCLLPEQGINEEEVNNGSSKGDKKKKKLIRLPPDVADFLFNYKCTPLPEQGPMPALLEEKNKELCDDLRTACSMAEAITRHCDHNISILQDELRREVETKGFLTYETTGDEAVDGFFSAMASQQGGPRGGGRRRHRPGVMKGAGGVTKLI >Dexi6B01G0017820.1:cds pep primary_assembly:Fonio_CM05836:6B:24880577:24881608:1 gene:Dexi6B01G0017820 transcript:Dexi6B01G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMDTSVFDTCSFTHQFKLNFKETKKAAIGKPVSSGDISDGGHLWTINCYPRGEKKDYDGEYLSVFLHHESKTKDAKAIFEVFVMDRDGAPSSSHKRRLVRVFTTKGTSHDSWGWSHLVKRSELESLYLTNGSVIVMCGVKVVRDDTISVPPSDIKTHLGILLDSTDGSDVSFLVDGEEFPAHRAVLAARSPVFKAQLLGSMADAKMQSITLHDIVPTAFRLMLGFIYTDNLSSGDGCGCPPAETFRDLLAVTDRYALDRLKLMCARRLWDDVSTDTVCATLACAETYNCPELKTKCLDFFADQKNFTEAVLTDGFVELVQKFPAIRAELRAKVVGYRSHRT >Dexi7A01G0023920.1:cds pep primary_assembly:Fonio_CM05836:7A:31798637:31801532:1 gene:Dexi7A01G0023920 transcript:Dexi7A01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDVVAAMVVVQVIFAGVNIFYKLAVSDGMDMRVLVAYRYLFASAFLAPLAYFIERKNRTKLTWRVVVLSFICGLTGGSLAQNLYISGMKLTSATLASATTNLIPGVTFVLAVIFRYERVSMGAVSGQAKLGGTLLGIGGAMVLTLYKGADITPWHMNHHGYHGHGGAAAGGANGNNKERVMGSLLCMSSCFFYAIWLILQAKLSKEYPFHYSSTALMCVMSTLQSVAFALLGGGREWEEWRLGVGVRLLSVVYSGVLASGVMLVVLSWCVRRRGPLFASVFNPLMVVVVAVLGSLLLGERLHLGSALGALLIVIGLYAVLWGKAGETTMPAHHVLPTHSTATCSSSPDGTLHKHQGSSPTTT >Dexi9A01G0040150.1:cds pep primary_assembly:Fonio_CM05836:9A:43992263:43995349:1 gene:Dexi9A01G0040150 transcript:Dexi9A01G0040150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRCWVVLVSMAGKQHHVAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQILVYPNKMKFSSPMEQEAYVRRWLQDRIGVLPRFDIKFYPGKFSTEKRSILPVGDISQTISDDKADIAVLEEPEHLTWYHHGRRWKTKFQKVIGVVHTNYLEYVKREKNGYISAFILKHINSWVTDIYCHKVIRLSAATQDVPSYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNNEKEFVRLTMKALAEEPIPLSDELRHELSWEAATERFVRVADIAPIVSVRQKAASSQHFMRISQEELQRNMEEASAFFHNTISGIETARCVFGAIPNTLQPDEQQCKELGWNLQG >Dexi9B01G0004800.1:cds pep primary_assembly:Fonio_CM05836:9B:2737825:2738782:-1 gene:Dexi9B01G0004800 transcript:Dexi9B01G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITRLQEEKAALQMESLQYQRMMEEQSEYDREGLQKMTETVQNLLVEIESYKTKLKDQLLADEIRDHMRLSCLKEHGSRISRTKSLSCFEDEKTYISKRLRRLRQKLHEFSNNSKHSPFPELTGDKEDSVDDRNSEDAFEDADEDDNTDDSIFSKHLGGNGNRFRNSKQGKGNDPKGQYHAMVSENDLASFEDEISEISGRLLALEADRSFLEHSVNSLRNGEAGEELVRDIARSLRELRKMGITWKQYEV >Dexi8A01G0009520.1:cds pep primary_assembly:Fonio_CM05836:8A:12777833:12778362:1 gene:Dexi8A01G0009520 transcript:Dexi8A01G0009520.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVTCILSSWNTDSFNLVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTEVTSFRRRSIKPNETSGLVSCIKEQLCSTLDGCKTEASSLLKEP >Dexi3A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:3A:1411542:1427031:-1 gene:Dexi3A01G0002120 transcript:Dexi3A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPAPQAAAAAPEQTDAERLDALDRMLTRLALADDARLVPVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESTSSTIVRNFCVVYIEMAFERLPTEEKGNIAPDLLTNISNAPAQHQGIILRLVTKAIGECNKHKVDGTIASKYRAITESNDGQVFSDFCFHTLLYQTPPQGIGCPSGLSIAQSDRVTGKIPLQGDILASRKLGILNVIEAMNFAPETVYPLYLCAASDSQESVSKKGEELLKRKASAVNLEDPNLMKKLFMLFNGTVGAENIAAELKVSPAHASLRMRLMSAILNFTIMYVGTSILSFIVFFACRKWNYFKAEAVRNGIYCLGVQTCMCTLHAVAGQLKLIGPVILTGILRSLDGSSTTETGLSIPPPTPPPSDTEMLESDKTDMAIRLFTALKLENQSLRLTIQEAATSLATAYKGASMILLKDLEVLLLENCEAEQSEVRFSAIRWATTLYDTQHCPSRYICMIGASDVKLDIREMALAGLNLLNDGRQSSTGSVDFNYPDVTEMINYICHQRPQLLDSDEQRNGKLIFPSKTFLSMIKFLMKCFESSGSPDLVQDPSHSPVAKMCVVLEHAMSYEGSSELHALALKSLVDLSSREPKVSLVSLRYADRIQWLKALLGHVDSDAREAASRLLGIASSALSRSAALLLLSEFTSTLSQNRPSRFENYHGLLCAIGYLTAGCLKQSYIPEVIVKTVAEILVKVVDSEGSALASVAMESLGHIGLRCALPSITRNSSTDALLTILHEKLSKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLVFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILVTNFVSLSQATNYLTSDAPLVSSNSYERGGCEEAHAMAREEIIKKLFETLIYSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDVSMKEQLVHALVNTLTGTARKKKAIKLMEDSEVFQDGAIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNTLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWITAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTPLLLKLLYSAVLEERSSAAKRAFASSCATVLKYASPSQALKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADILGGYNAVVIPVIFVSRFDDDKDTSAVYEELWEDIPSSERVTLILYLPETLSLLCDCMSSSSWAGKRKSAKATKKLCDVLGDSLSAHHHNILESLLKELPGRFWEGKDAILDALASLCSCCHAAITAEDSSLPSVILNAVCAACNKKSKLYREAAFLCLQKVIGFFRTYRVEKFSFFFIAFQVITGFRDPGFFNNVFPMLYNVSKQSVICKTKGSSSATSSADAEQDESEGASVSLDKVLNCATSCISIAFPQDVISQKENVLEVILNSLSPEQSWQVKLSSFSCVKELCHKFQKSDDIDTWPQDTASLVQELFHLVSAKLLDSIRLIKIAQVHTAASECLLELCKLYKDFPLTERTEAQFEDELADLCESEKSEQAKALLKECLGILQTLSRVTMRTD >Dexi6B01G0017420.1:cds pep primary_assembly:Fonio_CM05836:6B:24600168:24602960:1 gene:Dexi6B01G0017420 transcript:Dexi6B01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGTAASSILPVRHPPSRLTPHSLALRGSRCGPLRAAGGGKDEVKGAPAANGSPVLKLKSDASQNGVLPIAADKAQKASSTNPGADSSGTRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSGMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQEWAHKEYVAKHQQWSSQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYETIQPDKVAVDGAKQSLKELNAIFSKPLREFLSSEGEVDDAAVISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKKALHRTIKTISQ >Dexi1B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:1B:922580:924493:1 gene:Dexi1B01G0001140 transcript:Dexi1B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGTYVLAMSKGAAGEDIRDEKNIVEPSVGRLITFLFLISFSGLFILMPFRKVMIIRHRLTYPSGMATAHLINSFHTPQGASNARQLYACTYRQQVHVLFRSMGGTILWNVFQWFFAAARSCGFRDFPIFGLEAYKRGFYFDFCMTNVAVGMLCPYMITVSLFIGCVVSWGIVTPFLATKEGIWFSADLDPESLGGIRGYKIFIGVSMILADGLFNFLSIMVCTLCTMYKGRSQPMQGDSNDDSVMQLPFHCLNAAEQEKTKQSFDDRRRAQVFVRDHIPNSVSILCYILLSVVSTVAIPYLYPQMRPHHVAFIYLATPVFAFCNAYGFGMTDMNLSSTYGKLAMLLVGSAVGRSNGGVVAGLISCGIVMGTMSNSNNLLQELRTGYLTLTSPRAVFISQAIGTALGCVINLVMFWAFYKLQHGDADLFDVPYAWVYRGIAMLGAGQKGLPAHSLWLCKLFFALALTLSVLRGEASRRRWRVAEYMPSTICVAIAFVVPARIPIDMFVGSVAMFLWSRADSGRARAFSAAMASGLICGDGLGVLLSSMMELTHARAPICIKFLSSIDNVKLDAFLATLPRT >Dexi8B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:8B:23930628:23932369:1 gene:Dexi8B01G0013550 transcript:Dexi8B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISVMQQATYCLCLIILLLLPLLLLNKLKNNRDHHGVKLPPGPWRLPVIGSFHHLLGKPHIHRVMTNLAHRLDTPLMYLKLGEVPVMVVASADAAREIMKKNDITFASRPWSPTIKTMIADGQGLTFAPYGDLWRKLWKITLMELLSARRVESFRRAREDEVTRLVTTIAATLPDEDCHGDLFPSSWFINFVSGVVRRAKANHRKNSELMEWAIKQHEKQKREETMANGRVVEESIVDVLLRIQKDSGNEDVPLSMGTIKAHIIDLFVGGTGTTATTLQWAMSELEKNPDAMTKAQDELRHDLNGKPTVTEDDLLQMKYLKPIVKETLRLHPPGPLLLPKESRASCKILGYDVPKGTTVLMNAWAIGRDPKFWEDAEVFEPERFEGNTIDFRGSDFEYIPFGAGRRVCPGMTFAQRNIELALAALLYHFNWKLPYMAKPSELDMEEEMGLTIRRKNDLILQATICVPLQSEK >Dexi4A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:4A:2790827:2791144:1 gene:Dexi4A01G0003880 transcript:Dexi4A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLQKVGWKKVDVNFHSSFWPYSAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >Dexi9A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:9A:15063988:15066701:-1 gene:Dexi9A01G0020110 transcript:Dexi9A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHLPKDPAFPRANGSLDGGARGFDEIEEVGAAATVAVELSPSQSSSPTASSPPAAVSSCGQYMLHRVRKLDTLAGVAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSSYQQNGLHESDDRECTPRRIHDEILDSILKTPKPKVSPAMSLLQGYYGLAPPPKRDQTSEGTEMAVYRKGKSSYSDVEPWLEPPNSDPFPLQNRKTRSLNIGSSLFDGDADENGDSERLIRRRQKADGELLPREENGGDFLASAGKGLALRPKSSSRPDMNKSQQNLFAMAEPLFGNGVQTVRKSSSTPEFQEPESNTSSSSSIWSASKWSINTDAFALPLPIPRFDNIPKPIAAWRNKAARD >Dexi9A01G0043750.1:cds pep primary_assembly:Fonio_CM05836:9A:47456774:47457310:-1 gene:Dexi9A01G0043750 transcript:Dexi9A01G0043750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNREPSFLFLAEVEAAPSLLVGEVPPESLGHLVERSVTGVVGVVVVGVAAEEAVRVLPLYAVQVDGVGEHVAREARPGLGLPSSSAATQRRYDGGSVPNIWPLCLPNSITRSLAGREDGAGGGVAGCPAALTLAAGGACRGGTGDRGCGR >Dexi3B01G0036990.1:cds pep primary_assembly:Fonio_CM05836:3B:39773094:39777057:-1 gene:Dexi3B01G0036990 transcript:Dexi3B01G0036990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPIRPASSALGSRDITTTTESSPLSYSAPHLPPSPSASMASPPADVDLGKLSYEIFSLLESKFLFGAAGSIPGTPARAPPPPRDEGRGRVRVLAIDGCGPSPADALLAAVALARLESALRDLAGDPDARLADFFDAASGAGAGGVLAAMLFLKGDDGRARYSAADALAFVAASLGRSSKGGGGWGGEGGGARRWWASMLFRRGGERRSSSSSSSPLLRRVFGDATLRDTVAPLLVPCYDLSTAAPFLFSRADAVESDSFDFRLRDVCAATCAGGAAPAAVRSVDGRTAIAAASGGVAAMGNPTAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSSSAAANATPSAGWRTPILPPRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPAAWSSSGKKAVDAKKAVAAADGMLTQRNVEAELFRGRRLSEKSNREKLEGFAAELVKEHERRARSTGLPNVVIKQVAATPRPSSATTSSSATATGRTASTMPSPASQGSYGH >Dexi5A01G0027690.1:cds pep primary_assembly:Fonio_CM05836:5A:31114715:31115007:-1 gene:Dexi5A01G0027690 transcript:Dexi5A01G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGDSEPSCATFATMLRPLILPAPRIADHPWEMAGEVPPAAWRGSRCRLDDGEGTSHHGCPLL >Dexi3B01G0031210.1:cds pep primary_assembly:Fonio_CM05836:3B:31906528:31908277:1 gene:Dexi3B01G0031210 transcript:Dexi3B01G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREEPDLVPVRIFCKDNTALNMAVRGPKVFLVNADPNDRSQHWFQCYDVGKLSDDTGRRAFALVNRTTGQAIVTMDSYWDREVVPSTCPYDVVRLLPYSGYGAVTPSMLWSQGGQLDDDGFTEIRWFKDISNTLNGLEGNVKDGTLVGLFPSSPEASNAIWRIERIV >Dexi4B01G0012200.1:cds pep primary_assembly:Fonio_CM05836:4B:9940070:9943739:1 gene:Dexi4B01G0012200 transcript:Dexi4B01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMTENAAAPVGAAAPEAAVHADQRVEGGAVVDDSAAPTVAIEATSDADQIIEDTAPEDDGGHGDTVINVDVSPEEMRNIIEVIADTGKFWHDWNFLKSLLSLQLKQVLDEYYEAQMASQDDVQQQRSFSGETYSELVSRLSDALWRFEEGPPFTLQRLCEILLNPKGTYTKLPKLALALEKNLLVTSTIAKCTDPYPAAHGPPSSDCTQITENSGLVDEEPESTPEHSTTVPNGTEHAAGDGDEEMADAEAEEVSGSHDVEMQEDKPDQVENVRSDSNPGAAADTEAVNVSEPLLDPQS >DexiUA01G0009400.1:cds pep primary_assembly:Fonio_CM05836:UA:18437702:18438184:-1 gene:DexiUA01G0009400 transcript:DexiUA01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCRREEGDKPREKSRERSLSSTKSPNRRTNHRSAATRSRTSHRLRARRRKRRQDGANRRRSCCSREIRCSSAAPRLRTPPPAHAPPHARTRTSSSSTSSREGGKARKESQAAWGKKKGRHGAEAPQAICRCLYRRKRERRGSDIGAGGVEDRQADSDE >Dexi2A01G0018550.1:cds pep primary_assembly:Fonio_CM05836:2A:30743823:30748913:1 gene:Dexi2A01G0018550 transcript:Dexi2A01G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSRAGLRALTRARPGQNSVTSRSHEVEAISTYTIPVLKVLVLHHTLRSMTDLPIPI >Dexi2B01G0032530.1:cds pep primary_assembly:Fonio_CM05836:2B:40390700:40394489:-1 gene:Dexi2B01G0032530 transcript:Dexi2B01G0032530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHDGFSSDEAVAAVVAPPPTGLHFSPFPPPTKAAARDFKKLAAVHVDIAAPMAGSWFESMKDSSPRRAADAEHGDWMEKHPSALKWFEVALGAAKGKQIVMFLDYDGTLSPIVEDPDRAVMSEEMRDAVRRLAEHFPTAIVSGRCRDKVFNFVKLTELYYAGSHGMDIEGPAKQQSKHVKANAEEAVVQYQAGSEFLPIIEEVYHTLTAKMESIPGAMVENNKYCLSVHFRCVQEEEWNDVEEEVRSVLKEYPDLKLTHGRKVLEIRPSIKWDKGKALEFLLQSLGYAGRSDVFPIYIGDDRTDEDAFKVLRSIGQGIGILVTKFPKETAASYSLRDPAEVKEFLCKMAKANKGDGAAEKMMT >Dexi3B01G0033660.1:cds pep primary_assembly:Fonio_CM05836:3B:36516403:36522368:-1 gene:Dexi3B01G0033660 transcript:Dexi3B01G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAPVPAGEEQPAAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFIDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVIPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKAHEAIIKQLNLAGSAGQPELRDWTEMAESYDNLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATAINTPDAHAKAWETLKGSACILIPGGFGDRGISGMILAAKYARENKVLGMADADSEEFNKDAPNHVVMYMPEVSKTHMGNTMRLGCRRTFFRNPDCLASKLYGSPPHVDERHRHRYEVNPSFVPMLEKAGLHFVGCDKSGNRMEIVELQDHPFYVGVQFHPEFKSRPRRPSPPFTDRESPLGEELKHFAPCC >Dexi9A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:9A:1482808:1485406:1 gene:Dexi9A01G0002740 transcript:Dexi9A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLDGRDGIDCAGAVSMSLEFRSECDDIEEAKSPSNTSVAAVAVKNADASVYKIIHGYLKQKNNSIIRVAANVARKAASNKLSRKTSDVFDTLLQQQQSKLENKTGPLISGICYCIASCSMILLNKVVLSSYNFDAGISLMLYQLIKRPFMVQNFISVVILLILELFHVITTEELTWKLIKVWIPVNLIFIGMLVTGMYSLKYINVAMVTILKNMTNIITALGEIYIFRKGQNKKLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFAVILVVIFNEWEYVCQALVGSLNKIPISVAGILLFNVPVSVENFCSIVFGLFAGIFFAKAKMS >Dexi7B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:7B:19588217:19588536:-1 gene:Dexi7B01G0012960 transcript:Dexi7B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAASRCPRGAARRLSSAAAEALAASPAATGAQRKPSLDEGDWSYHREWWGEEDGPGEGAQTVFRRHSECGNGVVSVSSYPASRPVYCC >Dexi7A01G0000550.1:cds pep primary_assembly:Fonio_CM05836:7A:1528848:1529795:1 gene:Dexi7A01G0000550 transcript:Dexi7A01G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKEELLPPTPPQEKERELILLVQVTVFSCGGFVIGYRSSHAVADGTGAAQFMTVVGQLARGAEVISIIELQWSRELMPDPSSALAPVVIAGLPDPSCAKPLEYLAVDISADQIGRLKKQYNMAYGGVRCSAFDVVVAKAWQSRTRAVGFDADTIVHLCFAMNTRALLRDMLPRGGAGFYGNSYYIMRVSATAGMVARSSVTEVVRIIQEGKRRMPAEFARWAAGEMPVDVDPYRITADYRTLLVSDWTRLGFAEVDYGWGPPVHVVPLTNLSYVATCILVRPSAHKTAGARLITQCITADRVADFHQGMLDMS >Dexi5A01G0006780.1:cds pep primary_assembly:Fonio_CM05836:5A:5063167:5071007:1 gene:Dexi5A01G0006780 transcript:Dexi5A01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRETRSIYRHLRAHRYPRAAPPHHAALLRCDASLRTTQQTSDPLFFPHNIITFINRAASTHPLFSPCNARLTLSSSPHSEVTFIRPHSERPILSSSVTKGSLSSATQRAPDLLFLPCNTRSPSLLPRNTVTFMGHRSPSLLPRNTVTFMGHVASTYLTLYSSPATGSLSSAAQRALDPLFFPCNTHVLDPLFFPCNGVTFIGRTASARPSLLALQRSPSSATGVTFFRCNGVTFFVRAASARLFLTCNVRSPGDSGGATAFALPPRLSRPPDWRWRWPRPGRGLVDATSAAMDDAFVQALKHQPLDYREPKTNSRTKSPCWILAAGVQDHDFMMRAPDLDRPPTQQAGTYEHALASAEFGKPAMAAGLRNDEHAWVVFLREARDNVPSALRAVGAATCSVAVRAAAAASSFSPPALLIAAAAFFGGVTTIYAALWVEAGDASRRRTGQLILCAALVPLLAAVVAAADDGDDYYEFVLDIVGAMLQGTGLRSCPPPRAWWDRRGLLIVVCLLVPKLETFASCRPWACVLLAAGRCSRLARVQTLRITGIDLTLSRSLLRPLCCCAPSGWWIRN >Dexi2A01G0016300.1:cds pep primary_assembly:Fonio_CM05836:2A:27890391:27891511:-1 gene:Dexi2A01G0016300 transcript:Dexi2A01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPSFGAACRHWHSVLTPFFPVWVTPLLLSAADVGSTNLRFYSPYHHKNLEIPITLDAPGAKLCCSVGRHLTLCQLSMILKADLVTGDVHELPPIRYGWFHFVVYAAGAHRMFGVHTIGPPRTAVAGDGEWEDWDYAEPLGAESKLIESAPNTNPVVHGGLLYVLFDDGKLAVYDESRHSHDGHLEILDRPTTFGFECEEEDKYLFESDEGELMALLVGRRGAPVHIFRLSEQEMEWEEVETLGGRALFTGTLTTFMTKTKHVVL >Dexi6B01G0020690.1:cds pep primary_assembly:Fonio_CM05836:6B:27104833:27108406:-1 gene:Dexi6B01G0020690 transcript:Dexi6B01G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAAVVAEGSAARRFWIAASTREAAFAAYTPFLLSLAAGNLRLDAFRHYIAQDAHFLHAFARAYEMAEDCADDDDDRATIAALRKAILQELNLHASVLKEWGVDPTKEIPPSAATSKYTDFLLATAAGKVDGTKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELMVFLKQDENHPYRKWINTYASSDFEDNALQIEELLDKLSVSLTGEELEIIGKLYQQAMKLEVEFFSAQLVDQHVVAPLSRYCDPKYKLLVFSDFDLTCTVVDSSAVLAEIAILSFQKSSQSGVDNALDRTKSLDLRNSWNMLSKQYMEEYEECMERLLPAEESKSLDYDQLYKGLEVLAEFEKLANSRVVDSGVLGGMNLEDIRKAVGCLDGLNIHSNEFAFEGSVSTGHIDRKIQSPLDKVEKFKNIKSGTDSTSPLLSVYIGDSVGDLLCLLEADIGIVVGSSTSLRRVGKQFGVSFVPLFPGLVEKQRQLTDEQEASSVFKARSGVLYTVSSWSEIHAFILGSDFS >Dexi2A01G0021120.1:cds pep primary_assembly:Fonio_CM05836:2A:33264956:33273683:-1 gene:Dexi2A01G0021120 transcript:Dexi2A01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPPPSRSPPVAPPSACITARNLRLPRCQPPRARVVIPEMANHPQYPLLEMLYDEHHRGKILEERTQVLPTDGDEPLATVGYLWRNVLTVHGNPVRRYIDYSNAIDCLCHGHGQQVGRFANESLDALSVPIGDPEEASRLRAFVQRFHRGCRKIAFKLNCKASRDPHEHGTPSSSGTRAASTSRSSGDRGQRGRGITIGTPRRRGPEVAVPPEVGTEDGDEEEDADEETEEEDEEDADDSDDSEEADPTYGQEEIGISQLPDAPSQTQASPPAKRQARARDRAYVLSANKLPTNPGRPGCQKKPFTPNPSGSTSCLSTLLLTPAQSLPPQLIHSLNTNIHLLGHLRPLLLRHPQGLNTNKCLRSLPPVSAVVSLPLAGPANPAVVGDSKAAPKKTTFFTFNKKKITFEWVCETMITNSLLYLNDWLHFSPLKIERMAPSQAADTRALLRVMLWFSVAALAAAVLALRKPAGRRRGVRLAFALAALACTVATHYSKVLLYLVGGITGDADGLILWLWYSFLAVAAIFLAVLDVHAFVYLIRWVGADEEK >Dexi2A01G0015750.1:cds pep primary_assembly:Fonio_CM05836:2A:27144233:27147891:-1 gene:Dexi2A01G0015750 transcript:Dexi2A01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKGNNRDANGSLAEATASRLRFDDAEAEEVEMEVEESPEAEGEEEEAAAEVIGSDKTSADYYFDSYSHFGIHEIECSQMADMAKEIVKSNGYSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLAEDGVVLPDRCSLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLTAERNDYIHALVAYFNVSFTMCHKLMGFSTGPRSKTTHWKQTVLYLEDVITICEGETLTGSMTVTPNKKNPRDIDIKLKYSLNGHRCQVSRTQFYKMR >Dexi3A01G0021750.1:cds pep primary_assembly:Fonio_CM05836:3A:17332510:17335223:-1 gene:Dexi3A01G0021750 transcript:Dexi3A01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVTVIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNEGLERKEG >Dexi2A01G0029640.1:cds pep primary_assembly:Fonio_CM05836:2A:40700672:40705001:-1 gene:Dexi2A01G0029640 transcript:Dexi2A01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRSPLAPLLLLLLFIFFSSPTIAAAGASDTISVNASLADGETLVSAGEVFELGFFTAASSGATFLGIWYMNITPQTVVWVANRNSPIPTGGATASLAINATGALVLSNPSGRVFWSLSNASTTGGAPVAQLLDSGNFVLSAGGAVLWQSFDDPSDTLLPGMKLGWDLTTGLDRHLTTWQSSGDPSPGDYTFGIDIRGVPEGFIRYNGTMPVYRNGPWNGLQFSGEPEMEPNNSNFRFEFISNATDVYYTFVVDNSGDGVVSRLVVNQSSLQRYVWPPGSQAWSLYWSLPRDQCDAYAKCGAFGVCDTTGGSPACGCVHGFSPASPRDWALRDSSGGCKRDTPLNCTGGDGFLRLEGVKLPDTTNATEDAAITVEQCRQRCLANCSCLAFSASNIKGGDSGCIIWSSLLIDIRHFASGGQDLYVRLAASDLRRSQSTQRFNSFDSSIPLTPVQDRNLEDESGQNNDLNVTLFDMATIAFSTDNFATMNKLGEGGFGTVYKGELEGGQTVAVKRLSKFSTQGLDEFKNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENKSLDKFIFDKGRSAQLNWSKRFDIILGIARGLLYLHQDSRYKVIHRDLKAGNILLDKDMNPKISDFGYAMDGVFSVKSDVFSFGVLVLEIVSGRKNRGMYSGEQTSLLSHAWKLWREGNAVALLDEAVAGGDHRGAEVLRCVQVALLCVQERPDDRPHMAAVLLALGNPGAALPQPRHPGFCTDRGSTSTDGEWSSTCTVNDVTVTIVEGR >Dexi5A01G0006250.1:cds pep primary_assembly:Fonio_CM05836:5A:4647113:4647401:1 gene:Dexi5A01G0006250 transcript:Dexi5A01G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSITNSFKLAPEAARLGSLEQEDHHRILRACGIQISVRFVLPGELAKHAVSEDIKVVTRFNVPLGCLPLSGTWVLSE >Dexi1B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:1B:10702266:10703308:-1 gene:Dexi1B01G0010870 transcript:Dexi1B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALGWALAVAADGVATSPSTSPSPPPATTAVVWQRGHATFYGGADASGTMGGACGYGNLYAQGYGSRTAALSTVLFNDGASCGQCYKIACDRKLEPTWCKPGVTVTVTATNFCPPNWGLPDGGWCNTVRPHFDMAQPAWEKIGIASRGIIPSSMLRFTINGHDYFNLVLVTNVAGAGSIKSMDVKSSDSADWMPMVRNWGANWHSLSYLSGKMLSFRVTITDGQTLEFTNLVPPGWTFGLTFASNLQFK >Dexi1B01G0031310.1:cds pep primary_assembly:Fonio_CM05836:1B:34966824:34967320:-1 gene:Dexi1B01G0031310 transcript:Dexi1B01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREDLERLVGPDEDATFSGLDLANLIRNKYGRSYDVTLIKKVEFMGRQLLAMNVMWKYREQRSFPLSEEEYLLRLDSVATTLRCWGAVAHVRNTLGKLKERPRIGKAVSIFIDMDHTGGRANEWIYK >Dexi1A01G0002210.1:cds pep primary_assembly:Fonio_CM05836:1A:1410512:1410739:1 gene:Dexi1A01G0002210 transcript:Dexi1A01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRMLIGDELKTSWPEVLFFAAAKIHEDRADVTMEVHKVGEGVEPGYNDKRVRIFINNDANVALTPVVG >Dexi3B01G0007210.1:cds pep primary_assembly:Fonio_CM05836:3B:5094874:5097781:-1 gene:Dexi3B01G0007210 transcript:Dexi3B01G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRIAEDPYGGQYQSWQQNAPPPPPPEHVVKMHPSPPPAYANRPPQPPPPPPPAMLNSSGGSGSNYSGGEILPPPSPGPALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGMLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKDRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTAQTYMDDSLVDWARPLLMRALEDGEYDSLVDPRLGKDFSPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFRKMAFNNNNYTSSQYSAPTSEYGQIPSASSSEGHQTQEMESGAMKKSAYSGYSSGYSGAS >Dexi2A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:2A:33725745:33726758:-1 gene:Dexi2A01G0021670 transcript:Dexi2A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREMLGPAITGTKNVLEAASAAKVRRVVVVSSMVTVEINPKNWPKDKIKDESCWSDKEFCRNNEDWYSVAKITSEEVALEYGRQIGLDVVTINPALVLGPLLQPMCSTSCQLLIYFLKGGPDRMRDKLWHIVDVRDVADALLLLYEAPGASGRHICAPHFSISDMDKPAPMTSDKLRKLGWSCRPLEETIADTAEFCEQAGFLGDLQGAPCRFPPVYNKI >Dexi3A01G0023250.1:cds pep primary_assembly:Fonio_CM05836:3A:18935728:18937363:1 gene:Dexi3A01G0023250 transcript:Dexi3A01G0023250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLKASIASTDGTIDALVDKGAKFVIDTSNLVHYVGEPEVQAQRAYGDETTPVGVAMGLASNDTGGLIFYIETAKVEGSQQTGLMVKEKIIAAKRNGVKIIILPTANRRDVEMISSNDVEGLQFHFVDKYNEIYDIAFACDDPTMGHHSSEEIQRSPSPPRKVLF >Dexi9A01G0036400.1:cds pep primary_assembly:Fonio_CM05836:9A:40851858:40852400:-1 gene:Dexi9A01G0036400 transcript:Dexi9A01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLTAPPSAATDGTPPAAPHVQWSLHGSAVKVAVAGNVIVAVLFFVAVVWRIFFYSGRSGHSDDAVAPPASSSARSTPCASPRACGLGKDDLLALPVYVHGGSSPEEEGKRAECAVCISELRDGDTGRILPRCGHVFHAECVDRWFRSHVTCPLCRAVVVADGGDGKACTKMQQLAV >Dexi7B01G0001630.1:cds pep primary_assembly:Fonio_CM05836:7B:2711119:2712504:1 gene:Dexi7B01G0001630 transcript:Dexi7B01G0001630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAGARCDVGPRRSVSLLHLPPELLTEIHDRLDFVDRLNLAVCCRSGGEASSSSHKTPCLVLPGATAKTATLFSLPDGRFATSRAADPGMRGHVILGSTDGWIVTADVHATLRMANPVTGEQAELPPITTGTIPFVDPILPSNYAIDMNVFSQLTGAHDHGSITLSHCYMRKCFYRKVILSASPRPGSYAAMLILDKPRFHGAAAFATADDQSWRLAFTPDGVEDAIHHDGRFYSITYSGYVVAWDRHARTGEFRIVAIRPRLPDENLHMRHRKYIAAAPDGRLMVVLKNLKEVNDEHRCWWSSRGQQAFAFEVLVLDVERQEWVETKDIGDLALFVGVNTSLCVSTRDHPGIRAGCVYCAEDEVGEASLQLGRHASSYRSSMDGELRDVGVYVLKDGTVDSVHGLRRHSSWPLPAWRNS >Dexi8B01G0005520.1:cds pep primary_assembly:Fonio_CM05836:8B:5626177:5628552:-1 gene:Dexi8B01G0005520 transcript:Dexi8B01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQQYGGGFSDSRAGHLHQGLPDWHRPHHASSKPSSRIRRPGKPAPRRRSPAAAVAVAAALLLLAAVFLLSRRISRSNAEISQDSGAGESLPDWNQSKSWKELKFGHGGGGRSARDSRYWDRDDRRRDEDYTEEEKEKISGGSGTATDAGGDKGVTSEAGGEDKVLTSETGGGTKDVLEVTEGGKGGTLYNEGGRKELEQYEAAAMGAAGSGVREVDPDDEYDDGIDTQDDLEDTHLHSSNGGRKLGDGSLENAERKDEVAIERHTEPGARVVDSHGVSSVDKKKAPGASDKKHGSKKKPKRKKSVGINLTGSTCEMKFLNSTAQLVEPARNEKFASFNLKYVEVEERPVGSEYWEPKFGGHQSLEEREESYKARDQQLHCAFVKSPNGTSTGFDISDDDRKYMSKCHIAVSSCIFGNSDRLRTPFGKTV >Dexi1A01G0025770.1:cds pep primary_assembly:Fonio_CM05836:1A:31911312:31912605:1 gene:Dexi1A01G0025770 transcript:Dexi1A01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTTKPSSPLLVDALLFAAGAVVATVLLLAFANPRAFSSATTGTFYDDPALSYTVDRPITGWDEKRAAWARAHPELISGATAERVLMVSGSQPAPCGAPGGDHTLLRLLKNRADYCRLHGMPLLYNTALLRPSMDRYWAKIPAIRAAMVAPRRWSGCVLTDMDFALPLRRYRGHNLVVHGWPRLVFEEEANRSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPDSPDYHRWGAVLKSTFKDKVFDESDDQSALVYLLLLQGGRPWREKVFLESGYYLEGYWVEIVGRLGNITERYEAMERRPGLAALRRRRAEVEHVVRAAARNEALARAGLEEAGVRGWRRPFVTHFTGCQPCSGHRNEDYSGDSCEEGMRRALNFADDQVLRAYGFRHAGPLSDDVQPLPFDYPAGASQ >Dexi1A01G0002410.1:cds pep primary_assembly:Fonio_CM05836:1A:1612490:1613110:1 gene:Dexi1A01G0002410 transcript:Dexi1A01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSWNRITLRAGSDQRHCPLEAEGRNIRSGAVYKHLMAVTGAPQCSFSKFVWGNRAPPRVQFFAWLLVQDRIQCRSNLFRKGIVEDVACAICGGNEDCDHVVLSCPFATQVRRGLGAGTEGVSAKTIWTVVRPTTIASKHYDCFLLLVCWMLWKHRNGVVFNSESPSLARFWRSCRDEARLWGHRLPPQDREVAEAWCCVFSST >Dexi5A01G0006150.1:cds pep primary_assembly:Fonio_CM05836:5A:4566113:4569343:-1 gene:Dexi5A01G0006150 transcript:Dexi5A01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSVWLLLLISACMFFPTLAAAEDDDEAALLAFKAAATGSNGNALASWNGSSNGEYCSWEGVRCRGTRRRVVALSLPSHGLNGVLSPAIGNLSSLRILDLSSNELGGDIPANIGRLRHLHILNLSSNAFWGPLPVNMSSCTSLVFMVLGSSQLSGRVPTEFGNKLLTLRILDLRKNNLTGSTPASLGNLSSLAVLTLAFNQLEGIIPDSLGSLKNLWFLSLAFNHLSGEPPVSLYNLSSLEMLQIQSNMLNGNIPSDIGSRSEMPTLDNTLSLAQRLDIAVDIMDALDYLHNHCLPPIVHCDLKPSNILLAEDMSARVGDFGISKILPESASRTLQNSNSTIGIRGSIGYVAPEYSEGSPVTTLGDVYSLGILLLEMFTGRKPTDDMFTVSLDLHKYSEEALPGKIWEIADTTMWLDIDIYDNSTRNRIENCLGHVISLGISCSRKQPKERTLMQDAAAEMHAIRDSYKTSGGGT >Dexi5B01G0025240.1:cds pep primary_assembly:Fonio_CM05836:5B:27335720:27337115:1 gene:Dexi5B01G0025240 transcript:Dexi5B01G0025240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLARRAICLLAVSITLLRTADLRSDASSSSAAVFSLDGYEEDNSGDDDSSSFSFTFPETPPRGLVFGFYDETCPDAEEIVSSTVRRLYHADPNVAPALVRLFFHDCFIHGCDASVLLDSVGGRKSERDAGPNQSLRGFDAVEAIKQRVERACPRTVSCADILALAARDSLVLVGGPTYPVLTGRRDSARSLYADVSGNIPPPNATYAMTLDAFARRGFTERETVALLGAHSIGKVLCRFFADRIYNFAGSGEPDGSIDPDMVGEMRAVCGGDGAAPMEMGYYRQGREVGFGAHYFAELLEGRGILRADQQLTAGSTVRWVRVYASGARGEEVFREDFAHAMVKLSGLAPLTGSDGQVRITCSKPVEDN >Dexi9A01G0048430.1:cds pep primary_assembly:Fonio_CM05836:9A:51213508:51215655:-1 gene:Dexi9A01G0048430 transcript:Dexi9A01G0048430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGDAHAAAMMHHHVQQQQQLGVSLPMTTSFAPDPTGAKPRPSGLPPTPPSSSAFGGQRPTAPQGDVCMEPADATRIKPPHHRRSRSDVPFGYFPPGPPGVHHHLPPPKVEASWSAHHGGGGGGDADDLFNAYLNLDGLDGLNSSDDRHDDGDSRGSSVKTNGADSSENESEECAADSRAGIRRLWGGDADGRGEGLKRTAVGEPAGAAPMARHARSLSMDSLIGKLNFSAGAAANGIIPGPNRFSLEFGSGEFTPVEMKKIMADEKLAEMALADPKRVKRVLANRLSAARSKERKMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGIATQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATAELGDSCSSNNLAQQLQLNAQDQMFQLHQQQQQATPIPFYQLQQAQQNGTGKNHESKE >Dexi8A01G0012530.1:cds pep primary_assembly:Fonio_CM05836:8A:22055390:22056794:-1 gene:Dexi8A01G0012530 transcript:Dexi8A01G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEETTAMGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQPAESFQTAPSNAVTSPNYYSPTSSLQGMQPMNSYPNTTCTSVPSNNETPTVSNLFQTWMRPSPAAATTNCKITMQEFQAEQDHAAAASIVCKDQTVTGDVSKSSALEMVVAPVMGASTATFSLLEDWLLDDMPGQAMDGLMGISGGCCADPIMF >Dexi5A01G0038000.1:cds pep primary_assembly:Fonio_CM05836:5A:39140214:39144621:1 gene:Dexi5A01G0038000 transcript:Dexi5A01G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGAVGVDQLPPDHPVPRLRLGSSSAVRFRYVTIVLSADDNGEVLSSMSGLQVRATGLEHRSEAMFADLGHFTSASVRVPQGGWVPLVLAFIFMSVMYIWHYGLRRKYQFDLQNKVSMRSILSLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPKEYRMYRCIVRYGYKDVQRDDDNFENMLVMSIAKFIMMEAEDASSSSSYDIANEGRMAVITTTDDAGTPLSMRDFNGLADSMTTRSSKSETLRSLQSSYEQESPSVSRRRRVRFEVPEDDDMGQQVKDELMALVEAKHAGVAYIMGHSYIKARRSSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Dexi7A01G0010370.1:cds pep primary_assembly:Fonio_CM05836:7A:21156745:21160272:1 gene:Dexi7A01G0010370 transcript:Dexi7A01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLLSLLKFLPYFCGLLILGFIKGSDITCNPYLTLFDLPGVLLCPWACLIMAIGLSTLILGLWPMHLIWTYYCIIRHCLLYQLQDGTWSTITGSCTVVRDVKDLLFHSYFSIMDDLRLQKHPDGKPYEIRSPSCSFVLVLDIPGALIAAACGLLLDGIMFTLISLYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAYGAVVAYQESSLIMGLAYVASSVSIFDEYTNDVLDMAPGSCFPRYKYRKSKDDSSRGQSATISRPSSFNKDKQEGKRPPSRVTSFKNSIDEFNPFKLLDHLFTECRRQGEELVNKGLIIMKDIEETKSGKVGSGVLNVGLPAYVILNALLRSAKANSDGLILSDGSEITSDNRPRHTLFDWFFDPLMVIKEQIKAENFTEEEEEYLKMQVLLAGDPNRKGTLPHVPSLNERKKAEIEAFARRLQGITKSISRYPTAKRRFDVLVKALMSELERTMGGSQSTNGSQAQRLRKSVARMLSQKSMGKTANIRDEDPEAQMTSSSRTP >Dexi3B01G0025990.1:cds pep primary_assembly:Fonio_CM05836:3B:20933393:20936809:-1 gene:Dexi3B01G0025990 transcript:Dexi3B01G0025990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAKTLRRVAEGKAAAQAEAAEWKRKYELEMASKEHKYHNLTKGCTNFGKDKLEQLTSQMALETASIDQTSCCGNHGICSHQILQDECPGPNREPHEKIVARKAPFRLLWGCDGDKNGQHKRDFVSFEKGDIKTAERSNKQVVLYDPFC >Dexi7A01G0017970.1:cds pep primary_assembly:Fonio_CM05836:7A:27484503:27484985:1 gene:Dexi7A01G0017970 transcript:Dexi7A01G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAAPLPEADGVASVDRPEAVAAAKRRNTVASPGAHGGAASSCLPLLPPLSSISNQLLRSSYWRSGRSPARCAAPRPPPPPIATITASARAAEQPPEESSSILCGGGGHPNAPRSNPPPPFSGAATGQLELGATTRTAGRITTTGWTGLDAGFGIPAQD >Dexi1B01G0020700.1:cds pep primary_assembly:Fonio_CM05836:1B:26772339:26773264:-1 gene:Dexi1B01G0020700 transcript:Dexi1B01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKWSHSMICNVMRSSMGIKWYYVIVAYVIAPLLGFANSYGTGLTDINMAYNYGKIALFIFSAWAGKDNGVIAGLAGGTLVKQLVMASADLMHDFKTGHLTMTSPRSLLVAQFIGTAMGCIVAPLTFLLFYNAFDIGNPNGYWKAPYGLIYRNMAILGVEGFSVLPKHCLSLSAGFFTFAFILSVSRDVVPRKYEKFVPLPMAMAVPFLVGGSFAIDMCVGSLIVFVWNKINKKEAAFMVPAVASGLLCGDGIWTFPSSILALAKIKPPVCMKFAPGS >Dexi9B01G0006220.1:cds pep primary_assembly:Fonio_CM05836:9B:3684850:3687554:-1 gene:Dexi9B01G0006220 transcript:Dexi9B01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEDYEDEEEEEPVAE >Dexi5A01G0022960.1:cds pep primary_assembly:Fonio_CM05836:5A:27072290:27077763:-1 gene:Dexi5A01G0022960 transcript:Dexi5A01G0022960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSVAASTRAAAVRPLRASAASGEAVPAPSAAAAETAERRPVKVILPKKKPQKWSTGMAPGEYGGGPATIKPRKYWWGKEDRDPVGNTDDFIWNKDFLPYMERVIANGGAGPEPTITRLTPVCIPRPPRLHLVDEEELGFLSINRAMSLDSVEVDLSKELQAPTRPILQTQVEAARRGRAIGAEAVNGATSARWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVKQGDPKVLAARSREDYLKLKERLQLLTLGIGGIGVVSAYISYSPEIAARILVPDYGFMHLDLIPMLVGFFTYKIATFAQAIQDSFPTVGNREV >Dexi5B01G0037000.1:cds pep primary_assembly:Fonio_CM05836:5B:36504162:36507022:1 gene:Dexi5B01G0037000 transcript:Dexi5B01G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSEAERMFFFELACRNAEASYEQNPLDADNLTRWGGALLELSQVRNGPESLKCLEDAESKLEEALKIDPSKADALWCLGNAQTSHGFFTPDTDKANEYFVKATECFQKAVDVEPANELYKKSLDLSSKAPELHLEIHRQMASQATQAQAAPSASNPRQSRKKKKDTDFWYDVCGWVILGVGICAWVGMARATIPPPPPPPAR >Dexi4A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:4A:12724102:12727020:1 gene:Dexi4A01G0013350 transcript:Dexi4A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYVMFGSHLLFLNLKEDKTNYFLKLRRWWKGVDLFEKAYILLPVHAETHWSLVIVCMPTKDDQTGPIILHLDSLKFHNSRLIFSVVCRFLKEEWNYLNENGSSAECPLHETVWKNLPRKIEKKPVEFGKRWFRPEEPSQLRCEIRRLLQKCREAEPCATELFGEPEPKNGVTEPTTSEHLPEVVDAATAKEITLIDVEETCRSA >Dexi8A01G0007960.1:cds pep primary_assembly:Fonio_CM05836:8A:9144349:9147735:1 gene:Dexi8A01G0007960 transcript:Dexi8A01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLGRRRQPPPLPLFPTAKRSSTPASLVPARLLRLLPASPLLRLLLLLALLSLVPPAFFHLRLRRFHRMRERKCGWITSPPMVCAHGGDSTNAFPNSMDAFRMALDARVDCVEVDVSRSSDGVLFALHDRDLQKMSGNSTAKVGHWSSDEIKALSTRFQLSKRVQNEEVPKAEDALAVILDVKVGPPSFEKGLAEDVLSLLKRTNCENCLVWAKSDDLGRAMIKLSKDVVVGYIVMVDKSTGRRTQLVRLEGAKVAGVYHPLIHEKVMKVMHRHDKKVFAWTVDDTNSMEKVLYDHVDAIVTSNPSLLQQLMHETRTECMEDGFALP >Dexi4A01G0006960.1:cds pep primary_assembly:Fonio_CM05836:4A:5052345:5062502:1 gene:Dexi4A01G0006960 transcript:Dexi4A01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLTGAGVAAVAFTNKSLASPPASLRVCSLRRLSSRLVVKAATVVAPKYTSLKPLGDRVLVKLGSTEEKTAGGILLPTTAQSKPQGGEVVAVGAGRTIGDKKIEVGVETGAQVVYSKYAGTEVEFNDSKHLILKEDDIIGILESDDVKDMKPLNDRVLIKVAEAEDKTPGGLILTETAKEKPSIGTVVAVGPGPLDDEGKRQPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLRASDVMAVLS >Dexi4B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:4B:22646629:22647163:-1 gene:Dexi4B01G0020490 transcript:Dexi4B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMKGIFRGLKIIAQIFTVHKELEHEHEIEIGYPTDVRHLSHIGLSATGSCPSWMNEFRVEEVAAAPASISYAAVQSRQVSWDSIGK >Dexi3B01G0003700.1:cds pep primary_assembly:Fonio_CM05836:3B:2517236:2518587:1 gene:Dexi3B01G0003700 transcript:Dexi3B01G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMGGMRHHLMCPISLQPMQDPVTAPTGITYDRRAIERWLAAGHATCPVTGQPLALADLTPNHTLRRLIQSWRPPVGGVEHRPDDGVAAADVVAKKLLCASSSSPPVDVIREAADAASRSDVARRSMVDAGVLRRVLRLAVSSAKTKSSLQNVEACLDLVRALAVSGDELRPLLVADHHVHELVDALTDVLVALVEPGAGDPARATAVKLLDSVTEFAGAPFMERLRPELFTAVTAVVRDRVSPGATRSALRVLLHACPVGRNRALAVEAGAAHEAIELDLDAPPASGVRRETELAMAVLAELCACADGRAAVAAHPAGVAVVARRLLRVSSATDACAVRVLAAVGGRAASPEVLREMARVGAVGKLCCVLQADCDVAVKEAARAVLRLHSAVWSGSPCVSAYLLSRATCLEL >Dexi1A01G0021540.1:cds pep primary_assembly:Fonio_CM05836:1A:28299083:28302462:1 gene:Dexi1A01G0021540 transcript:Dexi1A01G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSMVYYGNTSIGEVEVWPKGEASAGLAVSAWAREIRVDRLSPPSERCPPLAVMHTVAVGARCLVMESRPPAATDVAPLPLVAMHAACLRDNKIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLHDRMVCVKSGISIIQMLILKLPFIGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEENCSVPVLCVARNVACSVRGGFFKDFDEGLLPRISNVHYEDEINDISSAPDVGNYLITEDENVAAVNGNRDALPFDGMADAEVERRMKVCAFPNYNIP >Dexi9A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:9A:5406518:5408008:-1 gene:Dexi9A01G0009140 transcript:Dexi9A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHESVSFVRPGSCWDLKLSEKSASILHCKLGCSAVYHSVEGHHIQKPHIAHSYKVNFTRVSHHLCKSLNERTTRHWLHRFHVYASSDDDFRSSHNIAISLFKRYKNVIDRGGGGDNLKEFISAGVNAYALGCTDEGLRKELMVIKDSGLEIEGLGSYGGTNLKFRVHSSEIRECILWLSIVFITILCTPQPTVIRWSPNPPVSADVLHQWKGFCALIANAYYVKGMAWLPVKTLQMEQMAVTGNSEEPSVVASRMQLVFSTLEVVSPQWPRV >Dexi8B01G0010560.1:cds pep primary_assembly:Fonio_CM05836:8B:19151393:19151865:1 gene:Dexi8B01G0010560 transcript:Dexi8B01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIREKWKRHCVFRVPPRLKVGRGDVFTPQTVALGPFHHNDDALRPMEAHKLRAVRRLLRRAGDRPWRELEDAYAGLDVACDENRGRFLEMMVTDGCFLLEVIRGKFEDYDPHDLVFGEHARKHIEAFVLRDMLMIENQLPLSLLRRIVEFETGC >Dexi5A01G0034650.1:cds pep primary_assembly:Fonio_CM05836:5A:36663663:36664677:1 gene:Dexi5A01G0034650 transcript:Dexi5A01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTAGSCSWRLAQPRSGPSIPLPAMERELPENWKCYLSDLPTAPSCVVLVLHMDEPKFLYCRVGDDHWSEHEYDIGDVKLPRASPRKIFYFHETAAKLGAIDFSAATPKFSFMDYQLFPDGSNCTREYMMESRGELFSIYIFLKGFTSEIMMVKVSRIDPSGPRLCEVGELGDRVFLLSYPNRQLFCSASKYGLKGNQVYFNHNVTGELDGGFLYIYDMEDKRLETVRPYPEVDELLGNPFWMLPTD >Dexi6B01G0007820.1:cds pep primary_assembly:Fonio_CM05836:6B:9308473:9310386:-1 gene:Dexi6B01G0007820 transcript:Dexi6B01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGADEAAAAAQPRATISHVIFDMDGLLLDTEGFYTEEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLNSLLTPEQFLEERESMLQALFPSSHKRHFALKTQNHQEMFSLMHHVVMGDDPEVKAGKPSPDIFLAAMRRSEA >DexiUA01G0004770.1:cds pep primary_assembly:Fonio_CM05836:UA:8854650:8855209:1 gene:DexiUA01G0004770 transcript:DexiUA01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHVLILSRGSQRFGSQCGTLHAKILQKSESYHIYGTLALCYLMYMQAVMVMIPQGGLARKIYLRNNAW >Dexi9A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:9A:6372204:6375630:1 gene:Dexi9A01G0010470 transcript:Dexi9A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGVDEERQSRKQPRRQRRRRWLWAACLPRPGCFTVSAAGEDEGTSAADADEGGTRPTPSHLVVTVNGIVGSAENWRFAAKSFIKKHPEDVVVHCSGCNSATRTFDGVDVMGRRLAEEQIPLLRGSNKLEKMAYRLSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYGGLHFMSALASFKRRVVYSNVCSDCILFAQMFLRQSFINDSRYPHIVYVEEPKVQDVDFSDAMIYQAKTTSEMEEVMLKGLNRLPWERVDVSFKKSRQRFFAHSTIQVCVNFLTVHVTIFVKTYFFNSDGADVIFHMIDHFIY >Dexi2B01G0006370.1:cds pep primary_assembly:Fonio_CM05836:2B:5940776:5942126:1 gene:Dexi2B01G0006370 transcript:Dexi2B01G0006370.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNRKRTREAAEPSRTFVKMLSKLSAWFVNPRRNPLARLHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALPRLPREVVDARNQRLKRAMDLSMKHQYLPDDVQVRIPCSSLCKDCIYVLYAVVNWERKKILDNFIFWWWSFCWIAIRNWTDGKAIQTPFRSYLSDMLALVSALASPYSFVPSNI >Dexi9B01G0036730.1:cds pep primary_assembly:Fonio_CM05836:9B:38215398:38217090:-1 gene:Dexi9B01G0036730 transcript:Dexi9B01G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRSSSSTSSYHLLSPKSLLLLSFASSSLLFSFLFALFALRHGRPLHLPFASAPIGANASAVSIARAPYLGGSVGGAGVAEVDVAVRGSRSRDWAVEGNRRVVPGDRSSAPPVGSAIEVKGAVTGGGNGGAPANGEVLEGQEVEEAGNYSIGALDLSMEAKDEVVRVGGDGENLEKDSMSEKPNSAEGTATETRLDVGDASASLEATATTEKLQGTESVKAVNFSTEASGTAIEVRDEAFSCEANGRTDRSYMTWRWQPRHCNIPRFDARRMLEMLRGKRLVFIGDSINRNQWESMMCLLRTAVSDPARIHETRGRKITKEKGDYNFKFMDYNCSVEFHVTHFLVHEGKAIIGQKRTKTLRIDTIDRSSSRWKGADVIVFNTAHWWSHHKTKAG >Dexi9A01G0032710.1:cds pep primary_assembly:Fonio_CM05836:9A:37606416:37607831:1 gene:Dexi9A01G0032710 transcript:Dexi9A01G0032710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPVAYESSASAPEWLNKGDNAWQMLSATLVGLQGFPGLALFYAGLLHRKWAVNSSFMALYAMAAAMPCWALWAHNMAFGRRLLPFLGRPGPALAQDYMLSQALLPSTLHLHADAGEVETPAVAPLYPSATMVLFQWAFAGVTVGLVAGAVLGRMSAKAWMAFVPLWTTLSYTVGAYSVWGGGFLFHWGVMDYSGGYVVHLAAGVSGYTAAYWVGPRRKADREEDVGPSNLPVMLAGAGILWMGWTGFNGGDPFAANTDSSVAVLNTHICATTSILAWICCDVAGGDKPSVVGAVQGMITGLVCITPAAGLVQGWAAMAMGVASGTVPWYTMNRCRRLAVAEEVDDALGILHTHAVSGLLGGVLTGVFAHPTLCDLFLPVTNSRGLVYGVRAGGVQVMKQVVAACFVIGWNVVVTSAILVVVRVLVPLRMTDEEVLAGDHGEEAYDTCRRCNCGTNSQNGVDERDMI >Dexi4A01G0017510.1:cds pep primary_assembly:Fonio_CM05836:4A:21211585:21214711:-1 gene:Dexi4A01G0017510 transcript:Dexi4A01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSDLLLLVVCSISTAHARECFSDPLASTALACWKIFLLSGKEMVNKKGKSDGDGPVRERTITWDEEHSKFMLNWYIEYKKNQHAGFVWKRTHHAKCADALNKQFAMGVTIEQVNRHYRDYKEKWKIVERALGNSGNGFDATRCKITISESEKEKLSDRARRLLAKPIKFFHEMEELFKGSNADGSLAMDQETCLDDDKDCDSDDSRGLNDISGYAHPADHADDDSDTLPSPEAKQISPNYAASGENSSSSTHRSGKKRSRGYKSPSKKPLKSKSRFSDATLEIATTIKEISKSLAEPPPPPPVLKFDNPHAELWKRLEALTICIEDKIKVGTYLARPENEGMRCWLDGSSATALETWVYQFLCG >Dexi9A01G0038560.1:cds pep primary_assembly:Fonio_CM05836:9A:42754358:42754969:-1 gene:Dexi9A01G0038560 transcript:Dexi9A01G0038560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSGRKPSPECASRNWSDGETSALIDAWGNARQRRQPRPLLLTDWRAAASAVNAHRAAAGRRVNRTRAQCQTRIRTLKKRYREELSRRPPSGWPHLPRLRAFLANPDEPPPGFTASVKKEVKEEGEAGGSSGLDWRWRVPIPRRPRNAGAGSVGFCPAATVMKLAEVYERVELARIGAEKDKMEMEVQQAVLGAVKVEEGE >Dexi9A01G0016480.1:cds pep primary_assembly:Fonio_CM05836:9A:11499394:11499769:1 gene:Dexi9A01G0016480 transcript:Dexi9A01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPLRPSAALTVVLLLLLVAAASWLQTADAASGFCSSKCGVRCGRGGSARARGACMRTCGLCCEECNCVPTGGRAGFNECPCYRNMLTAGPKKRPKCP >Dexi4B01G0003660.1:cds pep primary_assembly:Fonio_CM05836:4B:2561019:2564135:1 gene:Dexi4B01G0003660 transcript:Dexi4B01G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDTIDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHADVLETLSPNVRKRVEFLREIQGQHDEIEAKFFEERAALEAKYQKLYEPLYTKRYDIVNGVVEVDGVSDEPSTENAADGKESDAKGVPDFWLTAMKTNEVLAEEIQERDEAALKYLKDIKWCRIEDPKGFKLEFFFDTNPFFKNSVLTKTYHMVDEDDPILERAIGTEIDWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFNPPEVPDDEEDIDEETADELQGQMEHDYDVGTTIRDKIIPHAVSWFTAVGLGPQTKGSSEGTRQR >Dexi7A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:7A:3781562:3782773:1 gene:Dexi7A01G0001490 transcript:Dexi7A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRSRGQDGLAGFPQEILQEILIRLPAKSVLRCRAVCRLWRRLTTEPAFLGAHHRHQPTLHLVRSNTGRGKCQWYSLDALHLQKAERQPVSWPRWSLFDASCDGLVVIDDSICNPATRQWAPLSQQKVRFENIVSLYRHQPSEEYRVLFWTQSNHPSELYCPNDYFVHTVDSKKPRRAICSVTLVDEELKLELSGKGPDIRGAAVDLHGNLYIHLKKSNVWYHGIQMAYHGILVFDTVAESFRQMRPPAVAPGHILHLFDMDGMLAASCSKDAMKEMRIFALQNYETEVWSFQYRIKLPEMEIRQFQEQGDWFAKIVSEEGDLLVTCFGWILHCDRKGNLLAKFEYDDDIPVAIPF >Dexi2B01G0008740.1:cds pep primary_assembly:Fonio_CM05836:2B:9476384:9481404:-1 gene:Dexi2B01G0008740 transcript:Dexi2B01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDNKRREKKQTSQIGARERAALRLTPVTAEDGGVEAHGHKSLRRVAGGARGDGNASRRRRRKQRMSSSDSPKVTERKVDKDHDGDNDDEKGGFFDKVKDFIQDVGEKIEEAVGFGKPTADVTGIHIPHVSLEKIELIVDVLIANPNPVPIPLVDIEYLIESDGRKLVSGTIPDAGTIHAHGSETVKIPFLLIYDDIRSTYKEITPGSIIPYKIRVVLHIDIPVIGRISIPLEKDGEIPVPYRPDVDIDRIKFEQFSFEESTAVLHLNLDNKNDFDLGLNSMDYEVWLADVSIASAELKESTNIKKQEITTMNLPIRFRPKDLGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPISKEGGTTRLKKGDDDDDDKD >Dexi5B01G0002380.1:cds pep primary_assembly:Fonio_CM05836:5B:1523820:1527158:1 gene:Dexi5B01G0002380 transcript:Dexi5B01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEGSPSAASAAAAKAAVEYQAGVQKLVDLLSKLNPAAKEFVPSSVAAASPPKKALSADAPVFDYHSIGAGNGGAKDSATDAAFYTGNPQRKRGNGCINQGRRRSIDRARRADREDSIRRTVYVSELDHTVTEERLADIFATCGQVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVMRTVYCTNIDKVVTQFDVKNFFEELCGEVSRLRLLGDNVHSTRIAFVEFVHVRTVVLLLYVLFWSYIEAESAIMALNCSGMILCTLPVRHDPPTIFCSFMVSPSKTPVKPRVNRVTSN >Dexi2B01G0015690.1:cds pep primary_assembly:Fonio_CM05836:2B:25767325:25768031:1 gene:Dexi2B01G0015690 transcript:Dexi2B01G0015690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPSDTDKPPYVARVERLESDGRGGVRVRVRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFYCRFDYKAGTGAFTPDRVACEMPYNPDDLMVQCEECKDW >Dexi8A01G0012570.1:cds pep primary_assembly:Fonio_CM05836:8A:22136283:22138438:-1 gene:Dexi8A01G0012570 transcript:Dexi8A01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLVCASPGHSIPQNKSIPNSAGETVDEMMDSDGQLNTDVYGPSGGDSHDKLSIVELREKMASARRNNSGQWAQKKVLSVKTLKVNKGISKSKGGKAHPMHELQGNNDVSDNIQFKGNINFSSKDIVCALIVPLEGQTTKTPDIQDHQVLFEKGDMWHSIEAEDVFKEFPQQAHFLPLQELSPPLREGMAVGLMLSFANLVKNIRKASMDCNTELFEHQISSLSYLEQNGFDVQFLRSTLTKMLQVKLTGSSYLREVHNLKAQIVGMTASSSQVDALLDEKDTAIAQLEQKLGRLRQESQKLEQKLGCLRQESQKIAKEKEHDEAVLSELQVSCSRCEQGYGDANREFNVLAELHQKRLT >Dexi4A01G0008410.1:cds pep primary_assembly:Fonio_CM05836:4A:6328511:6332102:1 gene:Dexi4A01G0008410 transcript:Dexi4A01G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEHEVYGQEIPVDGEDVDMSAAGDDAAKVPLRTPSPRPSPPRRPNPSGGTDPCSRAQLQELDEMKRRLKEMEEEAAALREMQAKVAKEMQGVDPNATTSENKEEMDARSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNESELHGRQLKVAPKRTNVPGMKQPRGRGFNPFHGHPYMRPYGYSPYGGYG >Dexi5B01G0030520.1:cds pep primary_assembly:Fonio_CM05836:5B:31465563:31467811:-1 gene:Dexi5B01G0030520 transcript:Dexi5B01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAISRRAHKERAQPEARKRFGLLEKHKDYVVRAKAFHRKEEIIGKLKEKAAFRNPDEFYFKMINSKTVGGVHRPKPEANKYTEEELLLLKNKDIGYIHQSIRSEKKKIEKLSSTLHELDTKRPNKHVYFAEDRKTASSYRELEERKQRLQKLEKLYGELALQKELKKPGRKRKLREDEMVNPTSQPVYKWRAQRKR >Dexi2B01G0015590.1:cds pep primary_assembly:Fonio_CM05836:2B:25649745:25653244:1 gene:Dexi2B01G0015590 transcript:Dexi2B01G0015590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVAGINTLLQTFFGTRLPVVMGGSYIFVAPTISIILAGRYSNEADPREKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVKLLSPLSAVPLVSLVGFGLYELGFPGVAKCVEIGLPELILLVVFSQYLPQVLHFGKDIFGRFGVLFTIPIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLMSGAPWISVPYPFQWGAPTFDAGEAFAMMTTSFIALVESTGAFIGASRYASATMIPPSIISRGIGWQGIGILLDSFFGKFGALFASIPLPIFAGMYCLFFAYVDSLSLLYACGVGLSFLQFCNLNSFRTKFIMGFAIFMGLSVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYVLDNTLQVKDARKDRGYHWWDKFRSYKKDARSTEFYSLPFNLNKFFPSV >Dexi7A01G0010200.1:cds pep primary_assembly:Fonio_CM05836:7A:21037201:21041715:1 gene:Dexi7A01G0010200 transcript:Dexi7A01G0010200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGGKEKASFLELVRYADGRDRCLMALGALGSFGDGMMQPLSMLVLGDIVNSYGGAGNAGSAFSTAAVDKFALRLLYVAVAVGACAFLEGLCWTKTAERQASRMRRLYLEAVLRQPVEFFDASAPSSQATTFRVISTISDDADTIQDFLAEKLPNVLANMTLFFGGLAVAFVFAWRLALAGLPFTLLFVVPSVILGKRLAAAAGEARAAYEEAGGVAEQAVSSIRTVASYRGEQQTLERFGRALSRSTALGIKQGLIKGAVIGSMGVIYAVWSFLSWIGSVLVIRFHAPGGHVFVASICIVLAGMSIMMALPNLRYFVDAATAAARMREMIDKLQPLEAGGKKGATKENIRGQIVFWNVHFSYPSRPDTRVLDGVNLTIAEGATVGLVGGSGSGKSTIISLLQRFYSPDSGEILLDGHDIGALNAEWLRSQIGLVSQEPVLFATSIRENILFGNETASLKQIVAAAKMANAHDFITKLPHGYETNVGQFGTQLSGGQKQRIAIARALIRDPKILLLDEATSALDSESERAVQEALDRASVGRTTVVVAHRLSTIRKADMIAVLDAGRVVERGTHDELVGMGAGAGVYARMAQLQKASVARSEERQRVVEVESWESHRVSFRSVEIMSVPSDFHPSPVPSFQSIERSVNMEEDDPAGHDTARGRKPSQLRLLKMNRPEWKQALLGCTGAIVFGAVLPLYSYSLGSLPEVYFLGDNHLIRSKTRLYSLVFFGIAIVCITANIVQHYNFAVMGERLTERIRGQMLAKILSFEVGWFDADENSSAAVSARLATQATKVRSLVGDRMCLLVQAGANATLGFSLALAVSWRLAIVMVAMQPLIIASFYFKKVLMTSMSKKAKKAQVQGSQLAGEAVVNHRTITAFSSQRRMLRLYEAAQEGPRKDNQVQSWISGSCLSLCQFSNTGSMALALWYGGRLMARGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAKGGDAVRAVLDTLDREPLITDNGADDKVNKETNKKRKQKPQQEIKGEIEFRNVHFSYPTRPEVAVLDGFSLEIGAGKTVALVGPSGSGKSTVIALIERFYDVQKGTVLIDGRDIRSYGLAHLRSHIALVSQEPTLFSGTIRDNITYGEEHATEEEVTNAAMLANAHEFISGMEGGYDARVGERGAQLSGGQRQRIALARAILKNARVLLLDEATSALDTVSERLVQDAVDRMLQGRTCVVVAHRLSTVQKSDVIAVVKNGKVVERGRHGELIAAGRGGMYYNLIKLQHGRSPGLSPM >Dexi2B01G0000940.1:cds pep primary_assembly:Fonio_CM05836:2B:543651:545120:1 gene:Dexi2B01G0000940 transcript:Dexi2B01G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAALGELTNRSINFFISKLFRPQALDVEDRLRWVLLQARVIDDEAMGRNITNQAMLQQLDMLRDSMYRGYFTLDSFRYQYRHDKEELNDRPPYSMHLLLGNCMFGRQLETELVINFLLGRKPHHGEELEVLPIVGPGRVGKSTLVAHVCKDERVRDRFSQIIFLSDHDLKSEKISLLTEGCTMECQNGRLLIIVEIAGDLTEEAWKQLCSASKMHVADSTKIIITSRSDKIMKLGTTGVITLKYLPKEAYWYFFKTLTFEGMDPEEHPRLASLAMKIAMAQNRSLIVGNMDACLLRDNFNHNFWLKVLALKRRINQKSFSEFGMHPSDLLDQSRQTHLGTLRSASETLMVYDQYRCSSEEEVPDIKMEDVVYGSVKPHGKFKALAWRSRIPPYYSYVYVCEIRQELKTSAAKRKRSMRNGITLS >Dexi5B01G0022030.1:cds pep primary_assembly:Fonio_CM05836:5B:24269994:24271076:1 gene:Dexi5B01G0022030 transcript:Dexi5B01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHGLAPPTMESELRALACAAVLTPACAAYARFAARRLRPGLPRLAALSPTFPLFVYLPCMFSSLHLRLCSSFFHTWLAINKLVLLALDLGPLHPTLPLLPFVLCAGLPIKVRLANVQSKQHPSSTSSTTPVAEFVRPCARSALLLTCLAAAYPYYTSGWVPLYAVHYLYCVQIFLTLDLVFSSAALAAALVMGGGAAMERQFSAPLAVTSLGDFWGRQWNLMAVDLLRASAYDPVRARWGRDAGVVAAFLMSGLLHELLYWYLTLRRPTGEMLLFFMVHAASQIAERWARKAGLWRPPRAAAYLLVTAFMVVTISEMFFGPFVRAGTDVRLTEETAALVALVWRATKRLIRPAGVVSS >Dexi4A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:4A:6743914:6749611:-1 gene:Dexi4A01G0008780 transcript:Dexi4A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWPKPALPLLLAAALLAFEDWLSTPSCSGGPPAAHGPGELRAMMVADLMLLGSDATYADRFFRDHVMHKFFANSIQTLKPDMIVVLGDISAKGSEFTERKWISVIEQFEGILGHHSSLPRLIALGDKDALKPRIIFNAHTGSFSDFLHADGTREITVPAMTWKTRGVPGFVISTFDTKGSVTLRYCWLAQEWHVIMGYLAFVCLTALAVKLSR >Dexi7B01G0009440.1:cds pep primary_assembly:Fonio_CM05836:7B:17058471:17060459:1 gene:Dexi7B01G0009440 transcript:Dexi7B01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCESIDYYQKPLHVTIKPTMDEQACPKGKGKADHHAAEPESQEELLFSDSEFGSESIEIADLKKRMWKDQLLLMRLEGRSGHHDRPHPHPDGHGHQLHPAAAAPDHQPATSSSKDNNKEETPEARYRRKAMLRAQDGVIRHMLKMMEACNARGFVYGVVDESGVPVSGSSDSLRGWWKDDVGFDRSGPLALLPSAAGDDDDPPPGSSPMAAASSFLHRLHDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLAPPWWPTGEEAWWGMQGEAQAHQGPPPYRKPHDLKKAWKISLLSAVIKHLAPRFDQMRKLVWQSKRLQHKMSARDAETWSKVITREEALDRHAQRGLRITPLDEQEEDDDGEGPEDGDESPISAAAAARHVDKRKRQVGREGDDTGGVDVGKALLALPDMECVPEEDRSSIDELMKLYYRCLQGTEGDAAGEREVKGEQLGLLLGDGGQRSGGGGGETVAAPVACHDMLHGLQQHGDGGSDDAPGQAAAAHGAPVHEDELLRSLLGVADVVDMT >DexiUA01G0012150.1:cds pep primary_assembly:Fonio_CM05836:UA:24417075:24418394:1 gene:DexiUA01G0012150 transcript:DexiUA01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRYSDDVADHRKWAERLKCGRIIHSGDVEEGTADVEWKLHGNGPWNIGTDFELIHTPGHTPGSVCLHYKPLKVLFTGDHVAKSEESDGLNLFLIYSKQSVSLQVDSIRKLLDVNFEWLLPGHGYRIKYKDVQAKNAAMESLLANYTTS >Dexi4B01G0003080.1:cds pep primary_assembly:Fonio_CM05836:4B:2098212:2101814:1 gene:Dexi4B01G0003080 transcript:Dexi4B01G0003080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYKFKTYHEVVDEIYHQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAFVIFQIGFLYLRYVAEPKTLWSWYEPYIKDDENDYFLHLKQSVNDIYCLVQYYFDSLLPRVPLPILRQVTGHLEKLKLPTKQSGMTGDSNRHESNDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTLPSKQDKERDGDRARSPSRKRRSRSREHDLDSERDRSDRDRGRYKDREHDRHTRDHRDREHDRHTRDHRDRDHHRSSYSDRDGDRRSRERRDRDSDRSGRSSTHRSRSPVRGRTDGDKHRSSPFGKAPESSNLAKLKDLYGDATNTKDDAGDDRAHRDSGTEEVIRLGGARWR >Dexi1B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:1B:23162162:23165840:-1 gene:Dexi1B01G0016670 transcript:Dexi1B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGSVGGGSSSGGSSSGGGGAVPLAVLLRREVASERTASERPELHTGLFSQAKKGEDFTFLKPDCERIPGVPSSSFSAFGVSPAPRSPPVAIAFPRSGVTWIAALSGNLGEVGDARWAWVMVLCSFLIAAIWLQLFDGHNGNGAAIYTKENLLNNVLSAVPAGLNREDWIAALPRALVAAFVKTDKDFRTKARSSGTTVTFVIIDGLVITVASVGDSRCVLEAEGSIYHLSSDHRFDASKEEVDRVTESGGDVGRLNVVGGAELSTAGGRLIIASDGVWDALSPEVAFSCSRELPPEPAAEQIVKTAVQSKGLRDDTTCIVVDIIAEKSHSSMPLPKKQPGIGVFKNMFCKKKSSDSSSHADREYMDPDIVEEIFEDGCALLSKRLVP >Dexi4A01G0024530.1:cds pep primary_assembly:Fonio_CM05836:4A:27266953:27267321:1 gene:Dexi4A01G0024530 transcript:Dexi4A01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILAVVFILMVVALADADNGPQPLQVQQRLQQIECPSTCLGRCSKNWMNEMCNKMCNVCCNHCGCVPPGTGQATRHLCPCYDTMVNPKTGKLKCP >Dexi1A01G0021900.1:cds pep primary_assembly:Fonio_CM05836:1A:28623764:28624397:1 gene:Dexi1A01G0021900 transcript:Dexi1A01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKGKPPLSGSSLASRLRALGGHFTSRVKESLLLGVRKALGVVTTHYQADLSKLAAGYVVADDLNDEEVVAAMEEADAAADGTARVLAGHFKGVLFPSEDGGGWDDLGGGGDP >Dexi9B01G0014710.1:cds pep primary_assembly:Fonio_CM05836:9B:9821564:9835570:1 gene:Dexi9B01G0014710 transcript:Dexi9B01G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTSGPSKKQQLLLLDCSLRLRLRLRLLVTRVSRGLRIYGNTSPSSVLPVRGVHLLHPLSPLGSSHPGETAGGGGGLRSQLGPALACCGSAPTGCPRSSGDRAPSLRPPLLGTPPLLLRCSRRPCTRRLRRARGSPSSPVPRGLLLRRRELLRFDLDNDDPHCGLVADSEEESLPSSPETSCASDYDMPGYMEQNLQHIYNAYDAVVGSAFITLIAGSEAETQNAGSVTVQFCLDDSQWPQRQQKIWEIGPRYQEIWKDIQFAEDFEDVIYPQGEPDAVSISKRDADLLLPETFVNDTIIDFYIKYLSTRIESTEKRRYHFFNSFFFRKLADLDKDQGRAPEDGDTDLSAEVPCILHMDSLKGSHAGLKDIIQSYLWEEWKERHPDSASDNSDKFLNLRFVSLELPQQDNSFDCGLFLLHYAELFLMDAPRNFNPLKIDEFSDFLSRDWFAPAEASLKRSVVRKLIHELVTGSFQNHPKLACGSEQPDEKLEGSSNAEPGPAGEFLVQRRSDDEPKTVCTVRDGNHETQSSKSICLNDSEEKGLTTSGCMLDTGRVSTDEVSAADKDTIVCLLSQDEKNEPLIAESNNQIDTRSFARKEVLKGSGCVVTDKEYGVQDIMVSMSSAISEIDAQVIACQEHSFQRSAEAGDECFRPSQDMDSVMMFDSSKDDDGPNPERITAEGDCDYPHEAMGSVTLGDIDKDYAKCEDSLVDPVIAENATIEDVKKISTAALGDIDKDYAKCEDSLVDPVMAENAVIEDVKEISTADPVTIENTIIENVKDISTTANNVNHGELCASSQLPEGNADNDITDDGCELKTGSDNNGITGVSTASPDLKEENVDKVVAGDCTHESDINAEYSSDLKVANTGNAMTGGITFSSDMEGNTDQTIAGDCTNVIGVNADGEDADSHKNFATDSAADVALSIDIQCSMVDETVSADTSDDAKRPMPDGTCELVDRPCSPKNEISEKTSLDCKRPAPDGICEENDIVISGDKCIHKDDGQGNDAKIERHYKRRKVLALEKQQSFSGATPLD >Dexi6B01G0013650.1:cds pep primary_assembly:Fonio_CM05836:6B:21368347:21368791:1 gene:Dexi6B01G0013650 transcript:Dexi6B01G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTPVLLLPLLVEAQGAKLLQLFLPRHAVLHADCPKAPSCSGCCSPGSCPSCLCCGCAGCLGALGRCLSSCCDGLRPSCCKCQSSCCEGKPSSSCCRGSCLSGPAAPSCPECSCGCVCSCPRCKGGCRCPSCGNNPCCAGGCLC >Dexi9A01G0031880.1:cds pep primary_assembly:Fonio_CM05836:9A:36767314:36774145:1 gene:Dexi9A01G0031880 transcript:Dexi9A01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSNPPKLRELRRVRPIPMSASRSSSTPALVFRSSRTPALAPAPPRPRHLCLLLLLHADADAHAFAAAALRSSASGRQPGPAGVDVGRSAMVMSVAGGCPSLQGFGHDHACLDRWGSAGAHHWAEQTSSPATRRTTASRQRRDRVIPSLFLVNAPSDSLASARCGGGAGGAQRRPSFSRARGWLEWLLHLLLSGPCNAAAALAAPNGARASCGGCCSSSSPVYLGKSLSLNTLELSPLSDPLYWWLLLWFPSSGGRRSCFREFSVLRLYGVVLQFVVLFVVHLFQVPGSSAVRRSSSSRVAAVDVPAGIDSGSVSPSTSRFDDSDVSGGIGFLGQNNSYLIVFARPTGQPNMHEPFLSVVAQDTGTADLSIVSVWSAASAGPGAREREARPCCLTGASSSRPVKPPDGDWWMGSTISLLTLCTAWFGLINALCAALISRSYPPSNAKLGLYYLKEALNVNVAGARRPFHPLSVSNVQEGERAAVEHVPTAQSGAKIELARLAIDGRPISYGPNQVANSGRDDRSGFRTTKSPGRVLGSDHLAATVILIEIDGPLLLGMHAWSPAKCMLDQQQLVDRHKLACVTGIRRAHSCCYGYECTPLYTAIRLYGRSTARRVRVPIDDDTHDALLPADIRVHMIRARGMLCHPLRHCWRIVSSDPTRARCDPSPCQRCMFGLMAYRTSGSAAPAATGGIEENITSMLQSLSLGQQGKDWSAVATRHDDFPVIQARVPFRSEDLRPDHSIISFISRALSNGIEGGRDTLGVRAFLSCVKVARELVRFRSPAPCLPLALMCRTPDARYKNEVRSTYCCPTKNPNNSEKQQLDAPADAVSTCGLTLRWDQDASDVVLIPAATEQTACECFGHGEGRRRAAVSADNSTPESGLDNSTPESGLGVSKLYHIWTGT >Dexi4A01G0000710.1:cds pep primary_assembly:Fonio_CM05836:4A:441118:444989:-1 gene:Dexi4A01G0000710 transcript:Dexi4A01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEGPPTVCCSMGSINSLVEKITPLMENHPMTVHLLQDLKSLRDDLMNKFAGGRTAASEQVKVWMKQVREMVYDIEDWIDLKKDFSESDMKQIEEFKGEIQEARSRCERYELLKQAPTSPDDDAEQELAKYSGPREEFLGRRLFWEEKSLLVGMDGPKSELLNHLKDEQKELKVVSIHGRGGHGKTALAKEIYGDICVKGQFECKAFVSVSRNSSTTRTTLIEILHQVKSEVDAWQSWSSNDEQINEIITELWEFLRTKRYFVCIDDIRSTQDSEVIICALPDNDLGSRILTTTRMMDIAISCSGRPSDVVYEMIALDETDSKSLFCNSVYVQEEEWPDHFKESSKKMLEVCAGLPLAIIVTAGFLGRTSAELSLQSKKLTETILSEFDQFHSDSQAMRKILDISYADLPLPLKSCFLYLTAFSGNYDIKKDRLVRRWVAEGLIPERHGKSSRETGESYFDELISRRLIQPAFDGNDDRPIGCTVHGVVFDFLESLSSEENFISLGAELKSGVFPSERVRRVSLDCGDEDDSDTLISNTYCLLEQKGLVASSCEEEEEEDVIFLQLSRVRSLTFSGDAGRIPDISAFKHLRVLDLEDTKGLENKRLEGIGHLSLLRYLGLGGTDVTEETELPQQIMALEQLGALDLRRTRVRRLKVLHRDDRGTKLVSLLADELVITPREMRKMPNLEELSKVLMGPNGLYASELAGHVNKMGSLRMLGIKFSHLHRHTTTDRQDVKHLLEELRKSNLQSLLLDNYPDPLLHLLVDFSGHKLRKLELRMRGCLPRVPQEIASLIAITHLHINVEAIEVEGVSALGRLPNLIVLNLNTGSSMTVSFKDGFRCLKLLCCNSQYGGGKGMQFEAGAMPQLRRLRLEIDARGTRSKHDDFDIGIQHLPCLVQVHATIDWTNTTLTASEVEAAENQIRELVSRNPNNPVVELNSRRRRYIGKPSEEEVITVNSLQEWGEKIDPRKLVVVHFSTRWCRASRKISPVFADLAKKYRNVVFLKVDVDVHEMETVAKEFSVDGVPTFLFMKGGKIKDRVEGADKEGLEEVLEEQADLM >Dexi9B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:9B:11444499:11445245:1 gene:Dexi9B01G0016610 transcript:Dexi9B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVSSVFSQSKEKRNFSEGLMTRFLGFLDPRYCSLIASSSFSRSRSAIFSSRSLSRSPRSPRSLSRVVPVALPIPISVAAGVVVAAAVPVPVPVPVGGVAVGAGGVAWGGAGTGRGRAGRGRWRRGAGQRLEEVERGAALVGDGGLAALEREALPLRLGEEHGLRRLRQRVGGALHGGGGSRGYAARGGRRVCACAAGDRFGEQNEGLASLLE >Dexi4A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:4A:24880071:24883617:1 gene:Dexi4A01G0021270 transcript:Dexi4A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEVAAAAEQRIDAPIVDRIARLTLRPPHRFLRRGIFFAHRDLNKILDLYEAGEKFYLYTGRGPSSESLHLGHLIPFMLTKYLQDAFKVPLVIQLTDDEKLLWKNLTIAECKRLARENAKDIIACGFDVERTFIFTDINYVGGAFFENVLQVANHVTLNNLRGIFGTILEDRIGKVIFPAIQAAPSFPSSFPHLFPGNDQLRCLIPCAIDQDPYFRMTRDVAPKIVFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDSSEQIKAKVNKYAFSGGQASVELHRKLGANLDVDVPIKYLNFFLEDDDELDHIKKEYSEGRMLTGEVKQRLVAVLSELVARHQRARAQVTEEMVDAFMAVRPRPNMFG >Dexi1A01G0006860.1:cds pep primary_assembly:Fonio_CM05836:1A:5261153:5268506:-1 gene:Dexi1A01G0006860 transcript:Dexi1A01G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTHYLYLFLALLLPLLLLKHLKNRARNNGMKLPPGPWRLPVIGSLHHLLRTPLPHHAMADIARRLDAPLIYLKLGEVPVVVASSPDAACELMKTHDVNFASRPWKPTMKVFMVDGEGLVFARYGALWPQLRKISILELLSARRNSELMEYGIKQHEQRRAADGDGAVEEEDLVDVLLRIKKEGGLEVPLTMGMIKAVILDLFGAGSETSANTLQWAMSELVRNPMVMKKAQAEVPEKLKGKPTVTEDDLPDLRYTKLIIKETLRLHPVVPLLLPRECHESCKIMGYDVPKGATVFINVWAINRDPRYWDNAMAFKPERFEPGMVDFKGTDFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGETPPSELDMSEEMGLTVRRKHDLYLRPVVRVPPRVTP >Dexi9A01G0008920.1:cds pep primary_assembly:Fonio_CM05836:9A:5276104:5285144:-1 gene:Dexi9A01G0008920 transcript:Dexi9A01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWLEDPGEAWVDGVVTEIRGRDATIATTNGKTVVASLGSIYPKDTEAPPSGVDDMTKLAYLHEPGVLHNLACRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRALINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSRWTLEPSLRCDEKSLEDSLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCHFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNTVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPELVDSSDEKAACAAICDRMGLKGYQIGKTKTRWRQHKAYVAYKQQKRATLILQCLWRARIARKELRKLKMEARETGALKEAKDKLEKRVEELTWRLDVEKRLRTDLEEAKGQEIAKLQSALQEMQSKIEEAHTAIAKEREAAKLAIEQAPPKIVEVPVVDTAKVEELTSKNKELEDELSIFKQKAEDLEERFLELQKRSDELSQETQERESKVIQLQEIIERLETGLSNMESENQVLRQQSLVVASADEDKSKRIERLESKIAILESDIQLLHSNSARAVQAVATPEMNQTSVMENLIHKEIDNGHQIEEVKIVNEQVVVPPVKNLSKQRSLTDRQQGAESSGELAYWLSTTSTLLCLLQNTLKASSSSSKGSSRSRTATGSLFGRMVQSARISSSGLGVTSGYSGMVGRPDTASMVEAKYPALRFKQQLTAYVEKIYGIIRDNLKKEISPFLTLCIQAPRANRVRTSRGSLKSIHSNALSRQASSVHWQSIVKCLDHTLETMKNNHVPPMIIKKTFSQVYAYLNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSRSTEEYAGASWDELQHIRQAVGFLVLHQKSHKNLEEITNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVIGNMRTMTTDDSITPPNSSFLLDDDSSIPISLDDIARLMLDIDPSDVEPPPLLRQNSQFHFLLQQHTD >Dexi9A01G0019090.1:cds pep primary_assembly:Fonio_CM05836:9A:14061531:14062003:-1 gene:Dexi9A01G0019090 transcript:Dexi9A01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDADATAQAASTPHARRPAFLAPAAERHAGSVLPPVSAAEHATRHLGRRGRQSTAPAGEARQDGAMPMRVCEVVGLGRGGTREAKLPARRYLIGLSVRPLFSRLRLSSAWPATGHGAHVTLEASRTKVWSRGAVEID >Dexi1A01G0021440.1:cds pep primary_assembly:Fonio_CM05836:1A:28208113:28214371:1 gene:Dexi1A01G0021440 transcript:Dexi1A01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSPRRDWANLPPDLAAEIADRLLFIDLTEYIWFRTVCKPWRQFTEDPRMQGWHIRCLPRTWINQVSMGCGASKWRGPDVRQRRLSSLGEVVVFLPGLRIPRNIDFSQTLGDHLDKSVVERLTTLRARVVVMATQESATALKPRRKVVTRHGGSSTANLLQALEEYLPVLLGLVEEGTELGNKVHFVWANQEDVAEETSMADPWYEVLSVLHLMAMVCFLQANTLLHPRSYADGQGPRVSEESRQATVDLFLKAAGYLDSAIHHVLTQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMLKCWKQVKDSIPELPLSDGWGKKHALFVKWKYVEAKAAAYYFHGLILDEAETENAEEMAIAALQASGEFLNESKRASEAFHAAPPASRSPASFGTTKYLVDMIPKDVQSKVQRYQDLYTQQRASNMEVSKIIATPPPLPDFPLALSPEDYELPQSDPLWKGS >Dexi9B01G0035580.1:cds pep primary_assembly:Fonio_CM05836:9B:37174634:37175956:-1 gene:Dexi9B01G0035580 transcript:Dexi9B01G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPSENISGIIARWDGDTLVGTRTVRVPSSVTARDFSSRREAGGSSQPGDARARRSQLAMLDSQPAMAGPIRHADVDMSSPRALVPFQSRPLPGLAPAPSQPSLSRGITSQRLDGASSAAIGEGGHRDGARPHAQPHKCKEPRLHLRARAAPREAHVRGQCRRHPATPTGRPPPLSALDRTSRSMASDNPRRGIEARNRWSKSEHPHLTDDDGGHMLRERRSARRDGHLT >Dexi4A01G0004860.1:cds pep primary_assembly:Fonio_CM05836:4A:3413881:3414612:1 gene:Dexi4A01G0004860 transcript:Dexi4A01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPLLLTAHSAAALLLLLGPDPDAARASRKRRHRGEIDLDPDAEASDPAPQQAAAPSPREEEGPPPPPPEPLALPLPPTSPDHYPLAFRVSAPTFNFLSGLLDPLLSHPSLPPPPVLLALALARLASGLPYPALAARFGVPPSAPRAASRRLRRVLLANFRFWLAFPPSDPTSAYSAPLPSCRGALCCARFAGPGGPLAAQLVAGILPCPLPRRRLPRRPNGPRGRAREAA >Dexi7B01G0016300.1:cds pep primary_assembly:Fonio_CM05836:7B:22249300:22249923:-1 gene:Dexi7B01G0016300 transcript:Dexi7B01G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQLEPDERSVTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLLKKKDKHKKTQDDNWVNAATPERPIRGIDDPLLSGSASDSGVTKGKKHHRVVSSAAGLDSWAGGYQASDGYEIRGRRWAVKN >Dexi2B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:2B:7089854:7091871:-1 gene:Dexi2B01G0007020 transcript:Dexi2B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKSMEGKWSHDNTIPVTNVQALAENAAELTAEVIKRESIESYSSEVMRVTCSLMEIIAINLGIDLEKIRDTYVSQALRMTYYPACPVAHDNVLGISPHCDISTLTLVWELNLVEGLQIKRQGAWVPIKPQSNALVVNVGDFLEILTNGKYQSIEHRVTVNPHKERMSISAFHLPKFDMSVGPLSEIVGQDLRKCKTLKVDDVAKVVFSSKLDGKKTKEYAMFNI >Dexi1A01G0017200.1:cds pep primary_assembly:Fonio_CM05836:1A:24562019:24562361:-1 gene:Dexi1A01G0017200 transcript:Dexi1A01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQQAVSALLMKQRAGKSGAAPRLDGYDLLLRKLEEMFDIKGELSASLKKWKVIYTDDENDMMLVGDDPWQ >Dexi8B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:8B:2204005:2204811:-1 gene:Dexi8B01G0003050 transcript:Dexi8B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTNKSRGGGAAGRPPQFPVGRRRWSYVPVVDAGCGCRPRRPRLLSLPSFLKPRQLGGNNNNNKSAAAAQRSTGGEQYSCSSTSTAASFSSSSAATHSTGYSSAYSDDYYYYYYNHPSDLAKLEEAPLSPSPQKQATTKASPAAAKRQQVKTKTKAKKKAAAAPGKEEEEGGGVGVAVEKESSDPRADFRDSMVQMVVEMGLCDWDGLRGMLGRLLALNAPRHHAAILAAFAEVCTQLAAAAAAPPPTTHHQPSPSPPPAYLQYRR >Dexi2A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:2A:28121078:28125254:-1 gene:Dexi2A01G0016470 transcript:Dexi2A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGIQEEPPTSARFLTPTRSGGSRWVDGSEVDSSESAGWSLGAGDERSAGAVSTEPSAAPASRVSSGTFRRRLGKRPRRVDSLDVESMNVRGAHGHSSKELSMLNTIAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGRMSNVQFWFQYIVTVISWYAKVSLLPNQQRVDEDISSFRLKLPTPELERAMFVKDCLEKKPLFKSILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVPGFDTGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAFLMKNPLAAERIFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGFFEQLLVESLEKFLRTEAQEIALEASTMEAERDDVSVISEVPQSPACEGDLQTPLLSDQRSGDDNRIGSRDGAPVLPASSMSAEEDPALEYELEALREAMASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTAILKVPHSNIMRVGMTYMV >Dexi5B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:5B:19993059:19996509:1 gene:Dexi5B01G0018040 transcript:Dexi5B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRASQARWATAAAAACSASSTRRSAASCLAWRAWLRRFLSWSPPSPPQAAAAWASAALEDPKELLRRSKEPAFLDWMVGVRRRELGFEEFETSALVRRKLDAMGVRYRHPVGVAVATIVTGAGGPPSSRSGRRWTRYPCRL >Dexi1A01G0020670.1:cds pep primary_assembly:Fonio_CM05836:1A:27482842:27483579:-1 gene:Dexi1A01G0020670 transcript:Dexi1A01G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFPQYDHLPRHDDGGDPSQCLSVLCISICLAAVFSALFGSLFWYISESSTTPEYTVAITAVSGLDPSTDLRQGHGVLSPAFNLAVAMASHSKLGSGGCIGPGTSIRVSYSHIHLPMASGRAPEMCVAPGQAAGPLPAVARGHDVAVPGYLVDSLAEDMRRGEAMFRVQLTGLASPEEGYGRMWRVVTCWVRVGEAAGDGAAMGVPCRKTYKSMDEMPGEDSGYVPHPVPHPT >Dexi1B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:1B:11506003:11513577:-1 gene:Dexi1B01G0011390 transcript:Dexi1B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVVATVPCVAPPPRASRPRCVRLPLRRGGFPARAAAGSSASSAAYSSSSSLSAAAAAAPVYAPTPQDRPLRTPHSGYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDKLVHGPRFTGVGAQILGADDKYICQFSEKSNNFWGRFSLNNQWLQEFSKRVLEGFQVTPIWHQGFIRDDGRHVISHLIFEFLVANADGRVVGRTIGDGGFAVGGAAVTGAVIEEDADTPITGFAVATCVAGGDIVDVDGAVDVTMDAVAAADGRPVAGVHLMLSPRPQPQTIQCNVFSGASGEVSLTAAGGLRKIGLGDTYESPSLIGIHYEGKFFEFVPWTGTVSWDIALWGCWKMSGENKTHLVEIEATTTEPGTALRAPTMEAGLVPACKDTCYGDLKLQMWEKKYDGGKGKMILDARSNMAALEVGGGPWFNGWKGTTVVNEVVNNIVGTPIDVENLFPIPFLKPPGL >Dexi6A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:6A:7454392:7455948:-1 gene:Dexi6A01G0007560 transcript:Dexi6A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHVNSAAAVVAAFLVFAAHLYFPANGHLNSATTPLLGVLSDLTVSSFPFCPLTVSSTTTDHQYGCKYNPLCDDFPPDFPPPDTPAVSVFCVDPNGCCDFTTVQAAVDAIPNHSRKRNVVWINKGIYFEKVTIPASKPNITFQGQGFDLTAIAWNDTAKSANGTFYSASNVAPIPRPGAVDAQAVAIRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPSGQRSITGSVTAHARVSENDNTGYSFVNCSIGGTGWIWLGRAWRPYSRVVFAYTSMSDIIASEGWNDWNDPSRDQTVFYGEYKCTGEGANLAKRVPYAQKLSDVQVLPYLNTSFIDGDQWLQPYCDALISA >Dexi9A01G0035810.1:cds pep primary_assembly:Fonio_CM05836:9A:40386250:40393201:1 gene:Dexi9A01G0035810 transcript:Dexi9A01G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPRAPLRSPNLERNPPLPAAMAGISGGSGGDTEMGGWTGLLNTSTKLLEQAAPTPHFPTLQRNLDQLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATSVEEYLQQLHEVAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNISTSGLARPALMPPSTSSPHASSGLPSTGVMPIPNRTIIENKSSIYAGVVRDLNDARGRSLPFNPAMAFRAAYESLSVDAVGTKSVTMQKMWHLIQALVGEGLTHRNASRKMSLVIGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFMRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAHNFAPLLADWISTNGAVSQETALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALRDYNSASSSNVLNDGLVPYTLDDLQSYLNKFEPSYYTKNGKDPLIYPYVLFLSIQLLPSILYLSKEVGEDGYHVDAVHISIALADHGVLPDGVGSGQKIGVMDACAECASIIRQYGSIYLRNGNIDLALEYYAQAAAAMGGGEVSWIGQGNADQQRQRSLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKAVEIYKRVGAFAMALQIINKCLSDAVCAMARNMLDGESRATALIHSGNEILEAARYSSEASLQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIRLPFLHLDPQSPNVTLDIFRNLSPHVQACIPDLLKVALNSIDNVRDTDGTLRTVKSKVSLVFHSFVSRLASQTCRHSLTRIGKFGT >Dexi9B01G0045900.1:cds pep primary_assembly:Fonio_CM05836:9B:45328455:45332260:1 gene:Dexi9B01G0045900 transcript:Dexi9B01G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPAPAPAAPAPAASAAPAPAAAVPVADQTTDLLQKLSLDSQPKAADATETAGAKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMSEWDEYPRYLNSDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYYQPPTPVPSTTQGDLQSSVNPEKPAAKADPAKTTANGVPNGTAHSNSGTVPLASSNQNSSLTHDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYGNVQQRTNTTTHMPSSTFNGNGSSARNQTKSSTTPQMQGMQNRRPATTTGSMTPTYPNRMYPGTRPYTQYGNSIKTGLPYGSNGYDSRIYGRWGVGMDNRYRPRGRANGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSGESKDDSAVPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSSCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRPPASVTTGEQQHEVANGKPKPTVPNGVNCHNGEVKAPAEKGTAPVVSYAAKVAQTATEKPVLANGVAKTG >Dexi4B01G0018630.1:cds pep primary_assembly:Fonio_CM05836:4B:21000312:21002213:-1 gene:Dexi4B01G0018630 transcript:Dexi4B01G0018630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEKKVVFRVREFDMERDMAAVEELDRRCQVGLCGDATADDGNDAKKKAAPAKKKKKRGMSLSVELVGDPLARIRHAPEHVMLVAEYGEEEEIVGIIKACVKTVARGGGAGGEKASSASSCGGEKRQPPTYVKVALLLGLRVSPSHRRLGIATALVDRAEEWGRAHGAAHATMATTTSNAASLSLFTGARFGYAPFRRPAFLGRPVHAHRLPVPPHHRVLSLPPPLAAAAYARLLPPHAAEFLPADMAALLSHKLTLGTFVAIETNQDPSLPPSLAILSVWDATRSMRLRVRGAPRLLKASLAALRALDRGAPWMRVPSIPDIFSPFGAYLLYGLHMSGPAGPALLRTLCHHAHNIAGKNPACAVVAADVSPDDPAAAAVPRWRRFSCDEDVWCIKKLNKKKENNGAADDGDDDDEEEVEEEDDWPAAAAGKVLFVDPREF >Dexi3B01G0034690.1:cds pep primary_assembly:Fonio_CM05836:3B:37373418:37373786:-1 gene:Dexi3B01G0034690 transcript:Dexi3B01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDLSSTMEMEFALAARPATLELPCGRRGRCVAGTRIPEVVSQVSLRPVRGLEVARPARSLPSSSAPELAPQASPSSRAHGAPHYRRAASQPAVPELGPMLAPRVEVSSGKLIPIAVCRST >Dexi1B01G0022670.1:cds pep primary_assembly:Fonio_CM05836:1B:28369654:28372140:1 gene:Dexi1B01G0022670 transcript:Dexi1B01G0022670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKSGKIPDPESTDNAEFKIVLTIIRDGLKSDPKRYRKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSDADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKSQADYISVPVEGPYKPGHYRY >Dexi9B01G0042060.1:cds pep primary_assembly:Fonio_CM05836:9B:42383198:42384355:1 gene:Dexi9B01G0042060 transcript:Dexi9B01G0042060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATANGVVDDHELPLFHPSSPPCAHYYVQSPSAASHHTTLSHPPSESMALILSPFPNLHHGADASRHHHHSRDHDHDHEEASRLTLSRYSSSRGSNSSFPATGDKKPGRRRQQVLRVLSSGGSGVHDDDDEDNGEDQRSGAWRYVKLDPDAPCCCVAFQVAWRVVVSAALALLVFVLATRPRHPGVSFRVGRVQRFALGEGLDGSGVETSFLNCNSSVDMVVENHSKVFTLRVHPPLLQMSFGHFVFATSQARHAMTMSDICCAQGGGGSHDVGPRGTSTVRLFVAAQEKPMYAAGRGMQDRLETSRGLPVTITVKAKSRYRVVGSLVRLTYRHDSECVVLLRRTPDRSNGIIAASGRATCSAAS >Dexi5A01G0030230.1:cds pep primary_assembly:Fonio_CM05836:5A:33221084:33221414:-1 gene:Dexi5A01G0030230 transcript:Dexi5A01G0030230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGELTWKAGVAGSGDGVEQPPAAVAGDGGGVKLGGEAYEVCLGVMNDGGSVPERRGRGGAVEAEREPGEEDEEGEEEWEGRRPLQEVQQRRSQRGVRRQGGG >Dexi2A01G0016900.1:cds pep primary_assembly:Fonio_CM05836:2A:28720654:28722674:1 gene:Dexi2A01G0016900 transcript:Dexi2A01G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMNGGGKTYVQDEEKQRLLLEEHTEKHFTASEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQDEIDTVPDVEAAEIADILSQYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLERPEPRRALVSAGTIALSYVAGGLVPLLPYVFVPEAGRAMAVSVAVTLAALLFFGFVKGRFTGDRPFLSAVQTTIVGALASAAAYAMARAVQSV >Dexi5A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:5A:3034665:3037922:1 gene:Dexi5A01G0004090 transcript:Dexi5A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKRKPATLTGDWAALPDDALLAIFGWLGHADIFLGAELTCASWRRVAVREPTLWRRIDLRSSDGSRARSNPSPRAWRAMARAAVGRSAGKCESYAGSVDADFLAYLAARFYLYGPMGSMLRMKLERMIKDMLRD >Dexi4A01G0011990.1:cds pep primary_assembly:Fonio_CM05836:4A:10302761:10308264:1 gene:Dexi4A01G0011990 transcript:Dexi4A01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAEQDFFKKVPFSKKMRLVVRVIEARGLPATEADGPRDPYAKAQLGKQRAKTKVLRKTLCPAWDEEFAFRVGDLRDQLIVSVLDEDCYFSDDVLGQVKVPLTDVLDADNRSLGTQWYQLQPKSKKAKLKDCGEIQLCVYLAQSYSEGTMTLAHWASDDLASNSDKSAELVKGSSLPNIPIEISTAASESDDIEVSKENKSNGGPSFVNKLYQMFKPKDAEAPAPSLSNLDSSSTILEETPLTSSQSPDKQDEEVSATMTFEELLKAFGSQDEGKEMPENLSGGVLLDQVYAVAPRDLNTFLFSPSSDFLQSLAEIQGTTGLEIQQWRLENDGEILKRVVSYTKAPTKLVKAVKATEDMTYLKADGEMFAVLADVSTPDVPFGSNFRVEILTCIIPGPELPDDEKSSRLVVSWRLNFLQSTMMKGMIENGARQGLKDNYAQFSDLLARTFRPVDAKDTADNNELLSSVQPEQESDWKLGFRIFGNFALLSSVFAFVYVSVHIILARPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIGRFIQAKRQREIFEFDAMEDPPSVMEINLYDFDGPFDEVASLGHAEVNFLKYNISELADIWIPLKGKLAQACQSKLHLRIFLNNTKGAEIVKDYLDKMEKEVGKKVSTLMNIFDGGPLEHQVMEKVGCVDYSVTEWEPVRDDVYQRQVHHKFDNKSTRHGGQAMSTQQKSLLPSKNGWLVEEVMTLEGIPVGECFNLHIRYQLENNASKPKTCTVQVSIGIVWLKSCKNRKKVTQDVASSASSRLKKIFSELEKESIPAK >Dexi1A01G0022030.1:cds pep primary_assembly:Fonio_CM05836:1A:28748649:28751430:-1 gene:Dexi1A01G0022030 transcript:Dexi1A01G0022030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFLFAISFVGLLNLLPLRKSFLCVALIMGDGLCHFIKVMVITVNSLHERSNNKHVKKVKNEDTTAVDDMMKHDEVFNMDSIPNWLAYTGYALLSIIAIIAIPIMFRQVKWYYVVVAYILAPALGFSNAYGTGLTDINMSYNYGKIALFIFAAWGGKDNGVIAGLVGCAIVKQLVQVSAELMHDFKTGHLTLTSPRSMLAGQVIGTAMGCVVSPLTFMLFFSAFDIGNSDGYWKAPYALIFRNMSILGVEGVSALPAHCLKLSAGFFAFAVLANVARDFLPRRYRQLVPLPTAMAVPFLVGANFAIDMCVGSLVVLVWRKVDGKETALLVPAVASGFICGDGIWTFPSSLLSLAKVKPPICMKFTPGS >Dexi6B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:6B:17058090:17060958:1 gene:Dexi6B01G0010610 transcript:Dexi6B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVRRSADGDSASRRLPLAIGGDGGFLSKPQMSQAKEVRYTARSITPPADRNGTSSSPPPKRRSRSRSPPPKGSSRSPRPRSPKRRSTSRSPPPRRRGRSRSRSRSRDRSRSRQAYDLYMIVYQILHHIGSNCMF >Dexi8A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:8A:199216:202043:1 gene:Dexi8A01G0000240 transcript:Dexi8A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEDANNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >Dexi2B01G0001160.1:cds pep primary_assembly:Fonio_CM05836:2B:740852:742541:-1 gene:Dexi2B01G0001160 transcript:Dexi2B01G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRHNAVITFAKKSTKRESRDFRNKSKNSLLISEEASSGSEGSTSLSLEVNSEDVATDEQNSGAPRSAVLQACTLTSGLLLAGGLLLRQVSHLASLNGWPIADPTDVSCKFFSPSSQINEINILTSLEPLDYIVVACLPGISEELLFRGALMPILGLNWISALLVGTIFGALHLGNGRKYSFAIWATFVGFAYGIGTIASSSVIVPMVSHSLNNIIGGLLWQFTKNSQK >Dexi9A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:9A:3163444:3164091:-1 gene:Dexi9A01G0005650 transcript:Dexi9A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKQMTLATVAAAVAAVVVFLPALASATDHVVGDSAGWTLGFDYAAWAQTKQFTVGDTLVFEYSTSSHDVVEVSGPDFKACNKAATTSVWSSGHDRVVLDKPGRRWFVCSVGSHCQDGMKIAVTVLPGTTMGPAPAPEPTPAGLYSRRSLSTTRWW >Dexi6B01G0019160.1:cds pep primary_assembly:Fonio_CM05836:6B:25989568:25990833:-1 gene:Dexi6B01G0019160 transcript:Dexi6B01G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVFTHGRYIILSPPARRRLCISFLPWDPSRRRREKKSSATPRSHEKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPP >Dexi1A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:1A:22606770:22607288:1 gene:Dexi1A01G0015520 transcript:Dexi1A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMTVGGAPAGRIVMELYANEVPKTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFPDEKFVRKHTGPGILSMANAGPNTNGSQFFICTVPTPWLDGKHVVFGQVVEGMDVVKAVEKVGSRSGTTAKEVKIADCGQIA >Dexi2B01G0025530.1:cds pep primary_assembly:Fonio_CM05836:2B:34745677:34747070:-1 gene:Dexi2B01G0025530 transcript:Dexi2B01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSPFLVHVLLIALLSATTTPPSTEALNAIKSKTFLSPAISLSPGSVSDKWYLDIAFPRGHLALKSFNGEVVDEHGVPVPLHETYLHHWVVEPYYVPKGNTDAHDDLSKIIPARNSGVCKDTMGQYYGLGSETRRTSTWVPDPYGIEIGDPEAAPEGYEERWLLNVHAIDTRGVVDKLACTECRCDLYNVTVDKEGRRIPEGYPGGFHCCYDGTQCELKDGFVVETRKVFLRYTVMWLDWSDSLLPVRIYIFDVTDRALLEGKSETACKVEYQVEGCSSSEDRAKNDCVHVEATKQILPRGGVIVFGVAHQHSGGIGSSLHGEDGRLLCESMATYGEGKEAGDEAGYIVGMSTCYPKPGTVTVRDGEALTVVSNYSSERPHTGVMGLFYILVAEHRQLPVAAGKQPGLCFSFPVPCKLAAPPS >Dexi1A01G0029690.1:cds pep primary_assembly:Fonio_CM05836:1A:35162774:35164412:1 gene:Dexi1A01G0029690 transcript:Dexi1A01G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMQRQQQHQRHTERWGDLLDDDDGELDLGVLLPPPVVVGPDAKGIKKMIEYRIDGDGNKVKVTTTKRVRKVRRSRSAIERRAWPKFGDAASREAAGSQLTMVSTEEIFLDRIGATGSNAEELSTKADSLVKANDKGGLLMVCRTCGKKGDHWTSKCPYKDLAPQQAEEGPPTADGSATPDGASGKSEAYIPLFKRLGADKSGADVMRRRDDENSIRVGNLSEDARDHDLADLFGQFGPLSRVYVAMDRFTGESRGFGFVNFVYREDGERAIKKLNGYGYANLILQVDWSGPRPPRPN >Dexi5B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:5B:22542204:22544624:1 gene:Dexi5B01G0020320 transcript:Dexi5B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATKPAAAALSTSSSRRWVLSTCSLATLFLLSGSLMLIAAGYRPFQPRTAATWDRFSKVQKAVPAAPSAARVSHGAAVTAPAPAPAGDLDYLSHQEEHDEEDAGPPAPAPAAAEEVGDDNGGGGGGECDVFDGEWVEEAVGYPMYDAAECPFLSDQVACRRNGRPDSGYEQWRWQPRGCGGRTSRLGGAEALEVCRDKRLVFVGDSLNRNMWESLACILYAALPDRSRTRIVDEAGSEYRVFRAMDYNCSVEFLWSPFLVSLETKDDRTKALKLDQLPALLQRTLGADVLVFNTGHWWTHTGKLRAWDHHERDGKMVEMAGEEAFNRALRTWARWVDSNIDPSRTRVFFRSVSPEHKSVNWCYNQTSPISSGTVAPWFPKSLITIAERNIKSMRTPVTYLNITHLSELRIDAHPSVYTITREGKPLSTEQRQQPLTYADCSHWCLPGLPDAWNVLLLDSLIRPPSDVQLLG >Dexi4A01G0011130.1:cds pep primary_assembly:Fonio_CM05836:4A:8994546:8996180:1 gene:Dexi4A01G0011130 transcript:Dexi4A01G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTATVSMSMAMAAILAVFVLSSLILPRNRRKALNLPPGPRGLPVIGSLGVLAGALPPHRALAELAARYGPLMYLRLGSYHTVVASSAETARLVLKTHDLALADRPPTTAGELMSYGYRGIVHTPYGAYWRMARKLCATELFSPRRVASFERVRAQEMRALVRGVFVRCAGEGGGGAAVAVREHVAGATLRNILRMAVGEKWSGCYGSAEGEAFRRTLDEAFAVTGAVSNVGEWVPWLGWLDVQGCKRRMRRLHRLYDQFYEKIVDEHEEERRRRAGAGDEAGEFVASDLVDVLLQLAEEEEENSNKEPSSEEARLTRVGVKAFIQDIIAGGTESSAVTIEWAMSELLRHPDAMASATDELDRVVGHGRWVTEGDLPDLPYVDAVVKETLRLHPVGPVLVPHHAREDTVIAGGYVVPAGARVLVNAWAIARDPASWPDAPGEFRPERFMKVGTAADVDVRGAHFELLPFGAGRRICPAYDLAMKLVAAGVANLVQGFAWRLPDGVKPEDVSMEEHVGLSTCRLVPLVAVAEPRLPAHLYAATD >Dexi9A01G0047340.1:cds pep primary_assembly:Fonio_CM05836:9A:50474573:50479109:1 gene:Dexi9A01G0047340 transcript:Dexi9A01G0047340.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLENRIPLINQLESSFEYSPDDLSLILDTLTVSWDDSGCSGVPHCMLHKSILQVALKCSCIDTTDCLGQFIALVVKVILIIFQVEFFRDLGRPAHGVESISCGLLNLWRNLKRSKRKNTPEFCQSSCYYIWSPITSFTISGSDSSHEISNSNSTYCLQMISVALNISIKLLASAAKCITVDTVHTIGDFISKLLSLTESSIIDSKELAVKKTFASFAGYLESYSKNHRAINVPTSSWGVMGFPVHALASALQRCEIKGDSAIDEKSITVMFKFAISLINMYGTAPDSIKDYLAKQISSMLDIISNMRHLCAFSEMEKLTLQLHTLFLSTSDNSNAVLSQCKPSVASFMAILGHLNVTEDDANELCSAMSDLYHILLKERHWALIHLAMGSFGYFAARTSFTQLWRFVPGDAALSYNAITGTSIDENGFMVELRAYLQKEAALHTDRWSEEQFWFLVSEGRALKKLFETSSEIPAVSEPEKTVTSKDASTKKRKMPDGICEGVVLLQNGLKVMRGAFDEADFAELKDRFAAHLSRLEDAVSQIASLSGEI >Dexi7B01G0016130.1:cds pep primary_assembly:Fonio_CM05836:7B:22138358:22140823:1 gene:Dexi7B01G0016130 transcript:Dexi7B01G0016130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCSALVAGGRLPPTAIPRRLRRRRGSSVRAEVTPGGESQRKKVAVAGAGWAGLAAAHHLVKQGYDVTLLAADSGPTEEVGLRGFWYPYRNIFALVDELGISPFTGWNKAGYYSPEGLSVEFPVFHNQPRLPAPFGVLAYPEFPNLPLVDRLTSIPVIAAVIDFDNTDTAWRKYDAMTARELFKMYGCSQRLYNEVFEPAIQAALFAPGEQCSAAATLGMLYYYMLSHQIDIPKVANVCSGFDDSSGWTFFDLTSIYDDYYEEPITVVEAEFVL >Dexi7B01G0003240.1:cds pep primary_assembly:Fonio_CM05836:7B:7901957:7902351:-1 gene:Dexi7B01G0003240 transcript:Dexi7B01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYWEETQRYLEYEELSIYLEAQEDAMSCYDSSSPDGSSSAPAGRAAAAGGNKNILMERDRRRKLNDKLYALRSVVPNITKARASSELLLTMAIGIN >Dexi9A01G0033620.1:cds pep primary_assembly:Fonio_CM05836:9A:38512677:38518096:-1 gene:Dexi9A01G0033620 transcript:Dexi9A01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDALVRVPGVRVASSNNRATTSLTVTDTSLSPTYSGAPRPGISSPRLPPLRPRRQRARHSHRHRLLRYPTLSIRLTLAAAFRYAMALSTNAAAISGGTASSQPRRAPAPSFLPLRRRSTTVRAVHAAEPSKGHGVPAASKTSSPTVAPDKESPPVAAPVLKAPARWAVDSWRSKKALQLPEYPNQAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNSNNIRDTFRVLLQMSAVLMFGAQMPVIKVGRMAGQFAKPRSEPFEVRDGVKLPSYRGDNINGEAFDEKSRVPDPQRMIRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRSEQRTRQLDGAHVEFLRGIANPLGIKAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELSFIIAERLRKRRIRSSSGLNNILSLPAFGL >Dexi6A01G0019440.1:cds pep primary_assembly:Fonio_CM05836:6A:27074508:27078385:1 gene:Dexi6A01G0019440 transcript:Dexi6A01G0019440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGSRVRGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKSSLGYNQREVAGLGVAKDLGDSVGFLAGTLCAVLPLWAALLIGAAQNLVGYGWVWLAVTRRVPVPPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIYAIINTPDDAALIFMVAVGPTMVVIALMFIVRPVGGHRQIRPSDGTSFTFVYSVCLLLAAYLMGVMLLEDLVELSQLVTVLLTVILIIFLLVPIVIPVLLSFFSDDDETLYALLLPSPRKEEPSASTSSEEQQEVILSEVEDEKPKDVDLLPASERQKRIAALQARLFQAAAVGAVRVKRRRGPRRGEDFTLMQALIKADFWLLFLSLLLGSGSGLTVIDNLGQMSQSLGYEESHIFVSMISIWNFLGRIGGGYFSEIIVKEYAYPRAIALAIAQVLMAIGHFNFAMAWPGTMYVGTLLVGVGYGAHWAIVPAAASELFGVKYFGALYNFLTVANPAGSLVFSGIIASGIYDAEAAKQAQQRHNSTLLAMPARVATMISEAAPALKCEGAICFFLSSLIMSGFCIIAVVLSLILVYRTKIVYTSLYGKQRT >Dexi4B01G0000730.1:cds pep primary_assembly:Fonio_CM05836:4B:478681:479001:1 gene:Dexi4B01G0000730 transcript:Dexi4B01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVKEKVKDAASTAKAKVKEKQAKAEAKAEAATARSHAEKELAHERGKARVAAAKMELHQEKALHREEAMQHRLNKHHGVGHHHPHHAAAGTTTTTMAPPAKHYY >Dexi1A01G0002740.1:cds pep primary_assembly:Fonio_CM05836:1A:1964257:1968936:1 gene:Dexi1A01G0002740 transcript:Dexi1A01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPHPPLGEEEPSPPPQRRPRGFASGPAAPPARRRGEREREREREREKERTKLRERHRRAITSRMLAGLRQHGNFSLPARADMNDVLAALARAAGWTVHPDGTTFRSSNQPPLPPPPPPQLGMSQVASVETPAFIDTLNSYAIGTPLDSQASALQTDDSLSPSSLDSVVAERSIKTENYANSSSMGIINRHCQLVDPEGVRAELRHLKSLNVDGVIVDCWWGIVEAWNPLKYEWSGYRDLFGIIKEFKLKVQVYFDFMRSFQMEFRNLSEEGLISAIEIGLGASGELRYPSFPETMGWKYPGIGEFQVLSLATLAFDGAEIVVKV >Dexi4B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:4B:4666261:4668728:1 gene:Dexi4B01G0006660 transcript:Dexi4B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKCKGPKFAAVKKMITKKTINKYKQDVLNPNKKDAEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATVGGAPRI >Dexi7B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:7B:23744997:23750022:-1 gene:Dexi7B01G0017800 transcript:Dexi7B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRARLLPLWSCACLAPPSPPLERGGELSSSYATQPARLPAGLGAIWVSLAVGCGATRRKAQMARACIRDCVSGGCGVERSGLAEPDEVGRRRSLCLVCAWSQAMGAAAALQAAQGFTVSPHRLPPPHAASPWHRLAGPLLRAFRLAPVAPFALPHRPQSPAFRIAPLPKNRPQSPAPDSPPSTRPPPFPQSNLPDPSEENQRNKPSRLPASGGPEAMASGDGALLLLLSLSAGLRWRRGCRPRTVKPWTT >Dexi5A01G0024940.1:cds pep primary_assembly:Fonio_CM05836:5A:28810616:28816645:1 gene:Dexi5A01G0024940 transcript:Dexi5A01G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEAEASHRPGDSPLPFLRLRLRPASLSRTPHPTQMERARRLANRALLRRLLAAAGSTTSPAPSRGISTLAPSPAAAAGKQRRAAHQYAQGRPVSVSALQPSDTFPRRHNSATPAEQAAMASTCGFDTVDALIDATVPAAIRAPPMRFAGRFDEGFTESQMIGHMQRLASMNKAYKSFIGMGYYNTHVPGVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMIADLTGLPMSNASLLDEATAAAEAMAMCNGILRGKKKTFLIASNCHPQTIDVCQTRADGFDIKVVVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTTLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQDLPFFDTVKVTCSDARAIAKEAVKNEMNLRVVDANTITVAFDETTTLEDVDRLFKVFNNGKSVSFTAESLAPEVSSSIPSSLARDSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANLHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHHSRGDHHRDVCIIPVSAHGTNPASAAMVGMKIVAVGTDSKGNINIKELRKAAEANKDNLAALMVTYPSTHGVYEEGVDEICRIIHDNGGQVYMDGANMNAQVGLTNPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLEKYYPVLFRGVNGTVAHEFIIDLRGFKATAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIENGKADALNNVLKGAPHPPQLLMSDTWTKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLICTLQQASQVAEEAAAATA >Dexi3A01G0003100.1:cds pep primary_assembly:Fonio_CM05836:3A:2026831:2027220:-1 gene:Dexi3A01G0003100 transcript:Dexi3A01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTCLSVSFFPLTATTVTHGFFFFVSGVPGKGSRRPPRHMPGGLVLHARLGDDGDGDRAGELSRRHA >DexiUA01G0012670.1:cds pep primary_assembly:Fonio_CM05836:UA:26359821:26364289:-1 gene:DexiUA01G0012670 transcript:DexiUA01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQRYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYKNADIYLLDDPFSAVDAHTATSLFNEYVTEALSDKTVLLVTHQVDFLPVFDSILVSSDFSIVDLDIPFGFMFSIGASLNSYSNLGVLAVVTWQVLFISVPMIVLAIRLQRFYLASAKELMRINGTTKSAVANHLGESIAGAITIRAFEEEDRFFEKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYMDIPSEAAEVIEENRPSSEWPQTGRVELRDLKVLDKCQLLEAVQEKEQRLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCSMVLAMSDGKVVEYDRPLKLMETEGSLFRDLVKEYWSYTSNGNI >Dexi3B01G0034500.1:cds pep primary_assembly:Fonio_CM05836:3B:37255571:37256993:1 gene:Dexi3B01G0034500 transcript:Dexi3B01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWGQMQQQQPPLQCLLGGGGGIGNSDHHHLMPPPSGLAPLPGGAPTDTAASAPACGGGGGSSSSTSMQAASAAQPRPVVSMAERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSSSSSSRGGGGAGGAAAVAATSSSSTTSTSTTATTTTTTSAAMAAAEAIASMQAQLPQLGLPPGSAAAAAALEASLEGYHHYLPFQMQPQFLQQAAAGLHGYHFADDGSGGILAGDGFPARGVVASGLLAQLAAVKMEEHGGGGGAVAAHEQSSYWPGSTGGGGWPTEFLSGFSSSSSGNVL >Dexi1A01G0027700.1:cds pep primary_assembly:Fonio_CM05836:1A:33408283:33414393:1 gene:Dexi1A01G0027700 transcript:Dexi1A01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSFRRPVNDHMRSTLNFDNKQPLFASQDIIDYSQPITCISYPYNDSASGVWAAYGSRTSGSNVPYLHESRHLHALKRARGSGGRFLNTKQLQQQQASTRSTANGTCSSGSTHLRLGGGAAGDQTLTPKAVASQDNSNKSLSSSAPAFTTVTPILLRDEDDAFFQHPSHHISFTTGHFGQASAQGDMKNGTQQRVPVMR >DexiUA01G0007030.1:cds pep primary_assembly:Fonio_CM05836:UA:13485156:13485485:1 gene:DexiUA01G0007030 transcript:DexiUA01G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARHRSERELDSRWDRIGSNSNVSESVGVGAARDEIFDLRERLERLREPDSLADLRIRSIAPRGSSFQMVVVEGWFRSPLRLDLGCSCSATARAVEGGQPRAGHPSPS >DexiUA01G0008210.1:cds pep primary_assembly:Fonio_CM05836:UA:15382236:15383474:1 gene:DexiUA01G0008210 transcript:DexiUA01G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLAFPEWERDCVCVAGMSKRKASTHHPLHGSTTPSGSSKLPTPATLLKGSRLATAPPPIDQARPPERPPPRAADRARKRSDQEARLARGKDRSGARGVAVSFISTGRPAS >Dexi2B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:2B:25401922:25402215:-1 gene:Dexi2B01G0015440 transcript:Dexi2B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKGIFGAAVADAVRLEPQSLKGISGVTFAEIGLSVARRHGLARWMGSGGGQAVQKAADLSGTISGGRRRQLDLWQTGTGWRPVDLMGKTSRGRR >Dexi3B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:3B:3844822:3848465:-1 gene:Dexi3B01G0005650 transcript:Dexi3B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGFMGPPPVPRSPEDVFRDYRARRAGLIKALTTGNPPPLLSFSFAAPISSGAAVWGSEKRLFTMINNLPTVYEVVTGTAKKEPKDKTPKNSNKSNKSGSKPSRQSEPNSRAPKMPPPKDEDESEGEEGEPQDDHDTALCGACGQSYDDFWICCDLCEKWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKV >Dexi3B01G0019940.1:cds pep primary_assembly:Fonio_CM05836:3B:14961734:14962221:-1 gene:Dexi3B01G0019940 transcript:Dexi3B01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICAATLFAVARPSHAHGLPQLRARANEVRCGASSKQQDSNGKAPAATATAGASLLAAASAMTAASPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDEDSGLSL >Dexi1B01G0009060.1:cds pep primary_assembly:Fonio_CM05836:1B:7973368:7975218:1 gene:Dexi1B01G0009060 transcript:Dexi1B01G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTCSKRSMARARFVALALLITSFLLSVVAGQLRPLPSAGLPSDLFDLGIASRLRTDTNTTAKASTDFGQMAKASPEAVFHPRTPADIAALIRFSSSSLAPFPVAPRGQGHSWRGQSLAPGGVVVDMRSMGRAAGHRRINVSAAGAEPPYVDAGGEQLWIDVLRATLQHGLAPRVWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVQELDVVTGTGEMVTCSRDKNSDLFFAALGGLGQFGVITRARIRLEPAPKRVRWVRLAYTDVAMFTKDQEFLISSQVGEEVGFNYVEGQVQLNRSFVEGPKSTPFFSTLDMNRLARLASRTESPAIYYIEAAMYYNEDTTIHVDQKMDALLDQLSFHPGFVFTKDVTFVQFLDRVREEERVLRSVGMWEVPHPWLNLFIPRSRILDFDTGVFKGFLRDANPAGIILMYPMNKDRWDNQMTTMTPTGDDDVFYAVSFLWSALSVGDVEQLEKANESVLDLCAKADIEFKQYLPHHTSQDGWKQHFGAKWSKITELKAKYDPQAILSPGQKIFPSQTEAIGIATA >DexiUA01G0005790.1:cds pep primary_assembly:Fonio_CM05836:UA:10503788:10504865:-1 gene:DexiUA01G0005790 transcript:DexiUA01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRRRTCEPRRAAAPSKPDASPPVPELPGNILAEIAARSGDAATIFRCAATCKLLRREILSPDFIRRVTAGGPDAAVPSRFLGVIDGEASSSFSVVHPMTEAAETLATRHMAPFLSRSGAAGLVEEYAPLSSRGGLVVLRRRVINRRRSDMCSPYHPRLGGGSVSLIDISVLLTAGDGINTGCCSYMLLAADMDRSLDMSVRIRVQTLSSDAGGKWGPLTSAELGQCPWWCSTSWDRCIDAGIVVGSVVHWLLHAGASIALDVGEYILTYDVGAGTAGSVDIPEHRRVPNLRSYSQLGSSPDGKLSLLVADQLMVSVWVLSGGEATGRGTRRWT >Dexi8A01G0005750.1:cds pep primary_assembly:Fonio_CM05836:8A:5547185:5550128:1 gene:Dexi8A01G0005750 transcript:Dexi8A01G0005750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSFCSTATFAVFVALCLFGLWMVSSPETIPGAISLSSATAKLAAVEVKEEDSSIDATNTVKKDTANVVAEDDPVKLAGDANGDDEKASSSSSSSSKDQSFDDENGRTEGGELVKPGTGSESTDGAAAAAAQAKSVAAVEEAAAAETDSKDTGGGLVDQTASTNAKDIAGGQELTAVEQASTDAKESGAAEQAAAEATDGKESGGGTPKNPTFDDENGKMEGVDLVKDDGNKTRISEESAMVEGAKLTVNPSAKAAAAAAAATTDAEEDKKQDTTGGEQQLATAEAMPNAQAELLTERAAQNGSFTTQAAESTEEKKKRAAENNNSSKNKKKGGNNNNNKNDNKNHDSDVAPPSSTWKLCNSSAGADYIPCLDNEAAIKKLKTDIHYEHRERHCPTTPPTCLVPSPPKYRDPIRWPHSRDKIWYHNVPHTMLAEYKGHQNWVKVSGEHLTFPGGGTQFKHGALRYIDLIQRALPDVVAWGRHSRVVLDVGCGVASFGGYLFDRDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPANVFDVVHCARCRVPWHIDGGKLLLELNRLLRPGGVFVWSATPVYQKLPEDVEIWDAMVKLTKAMCWEMLKKTKHSVVDDQVGLAIFQKPVSNSCYDKREQKDPSLCEVSDDRNAAWNIQLRACMHRVPEDESVRGSRWPEPWPERLKKAPYWLEPSQTGVYGKPAPEDFAADLEHWRKVVRSSYIGGMGIDWKTIRNVMDMRAVYGGLAAALREMKVWVMNVVTIDSPDTLPVLPVVVEVDRILRPNGKLIVRDDKETVDEIKSVVRSLQWEVRMTVSKNKEAMLCARKTTWRPTEIETR >Dexi9A01G0044990.1:cds pep primary_assembly:Fonio_CM05836:9A:48582840:48584377:-1 gene:Dexi9A01G0044990 transcript:Dexi9A01G0044990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRITFPFLALLVAAAALFPSSSSQQPAAPPQPRGFYISCGSIKDVQVGNVKWVKDEGFTAAGNGSTINKPNLLPVLATLRFFPDATARKYCYELPVIKGTRYLVRTTYFYGGFDGGKDPPVFDQIIGGTLWSAVNTTDNYRRGMSTYFEILTEAQGKTLSVCLARRNDTKSSPFISALEAIDLEGSMYNTTDYGRYAMSAVARSRFGSKGEIVRYAAVYNRYWTPYVDANPAVESHSAISPENFWNLPPAKALKAGVTTSRGKKLTVQWPPVELPAATYYVALYFQDPRTASPYSWRVFDVAVNGQDFFRGLNASAAGVMVYSNMMQLSGKTEILLTPNQTSPVGPLINAGEIYQIVPLGGSTATRDGSLKNPPPDWAGDPCLPQQHSWTGVECSQGSPVRVLSL >Dexi3B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:3B:4734183:4734281:1 gene:Dexi3B01G0006780 transcript:Dexi3B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRIPDGETSLLASLCFQGRIATRCRCTRRVPR >Dexi9A01G0003130.1:cds pep primary_assembly:Fonio_CM05836:9A:1628715:1630472:-1 gene:Dexi9A01G0003130 transcript:Dexi9A01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCTTPSPASTTTTELDTAEDLSETAADDVVLAYINQFLLEDEDDESYPVSSASEDSALLAVEKPFVDILKAAKPITAQACEVKTLMTDDCSSAGSRGFHHVVTSNQSSSQLSGQMVKECSVGVTHKGRKNPHDDGLETEERKSKQSALCEEETVREMFDNVLLCFDANCEFHSPMPAEAQINGGYVKGSGNRRGRRKGRSGTGPGEEAVDLTTLLIHCAQAAAIDDHRSANELLKQIRKHSSATGDAGQRLAHYFANGLEARLAGTGSSIYRSLAAKRTSTADILRAFSLYIKACSFQRISHYFANMTILNASKSVTRLHIIDYGMRYGFQWPVLMQQLSKRRSGPPSLRITGIDFPLPGFRPAELIEATGRRLHEYARMFNVPFEYQAIAAKWDTIQVEDLKIKSDEFVVVNCLYRMRNMMDETVTDDSPRTRVLNTIRKLNPHVFVHGIVNGTHNAPFFVTRFKEAMFFFSSIFDMLEANASRMDEHRLLIEREFFGREALNVLACEGTERIERPETYKQWQLRNLRAGFRQLPLNEEIMKRARYKVSKSYHRDFLVDEDNKWMLQGWKGRVIFALSAWTS >Dexi1A01G0000970.1:cds pep primary_assembly:Fonio_CM05836:1A:617820:620514:1 gene:Dexi1A01G0000970 transcript:Dexi1A01G0000970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGYRDVVLPVRALDGRTTTVHLPAAAATVKDLRGALWASFPPAQAAPAFHLFLRGGNLLLGDDLSDLSLSPESGRTSAHLHHPLHGQALHHAGAATAGENHAGDAWPGRSTPAPTPTPPWPGRSTPAPTQTPNPPPPRTPFASAKKRKFFQSSWRGEDIYAKVARVPRNDPTSPSFYCHGEQPLSAAEMFSHLEQGLGKHGQIKHFEEIPGREASFRPLPPRLSPPTRDALGAIGVTKLYSHQAEAIDHALAGGKHVVVSTSTSSGKSLCYNVPVLESISPPASTSCALYLFPTKALAQDQLKTLLEMTNNSGLNSGDVAIYDGDTPMKDRAKIRGGARLLITNPDMLHVSILPCHSQFARILSNLEHVVIDEAHSYKGAFGCHTALILRRLKRVCAEIYGSHPKFIFCTATLANPREHVMELAGLDDVELVHNDGSPCGSKLFLLWNPSVARAKERRPSPVQEVSYLFAEMVQHGLRCIAFCNTRKLCEMVLARTREILEETSPELADTICVYRGGYVAEDRRKIEADLFGGKLRGVASTNALELGIDVGNIDATLHLGFPGSIASFWQQAGRAGRRSKQSIAVYVGFEGALDQYFMNFPQNLFGKPVEHCQVDSQNQKVLGQHLVCAAFEKPLCTECDERYFGSGMKSVMLNPKEKGCLSLANNNEPEQCSGVWKYAGHDKSPSRAVSIRAIEHDRYKVIDSRGYRVLEEIEESRAFFQVYEGAVYMHQGCSYLVERLDLSSKTAYCRVADHLKYYTKVRDHTEINVHEGDISLPDPRSASSSKTAQANSCKVTTEWVSFDRIWKSNHLLSDSIKLDLPPYSFDTQAAWPWDFKLVSMLRHMLSSA >Dexi4A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:4A:423814:424432:-1 gene:Dexi4A01G0000660 transcript:Dexi4A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSELEGAGGGIKPKVEPGDNGEEHEHSPTLPPPAFDDDWEVTPLTGDNPFFTTIISKTHVHKFQLTIPGRVQCHLPEARVPATLVCRGRSWPASYCGDLKVKKIDVAAWKDFAVDNGLRVGDACVIELITPAAGAVTEGDGKVVEFRVQVLRGGLPEEITSKGATSDEPLVIVD >Dexi4B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:4B:8188838:8191953:1 gene:Dexi4B01G0010830 transcript:Dexi4B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHIPWGRFFLFLHVLCVLLTNIHHTSYGCSVEERAALMELRSSLERANTTAPRSWGRGGDCCSWERVNCSGSGSARRVSHLYLSKLYDISTFPSTYTGRLFWSFNTTVFSAFSELQSLDLSSNSPSTLGSDGLVGLNLTKLQYLNLSSNWLGESILAPLGELVSLQVLDLNFNGMRGVLPVAVFENLRNLRELNLSDNQFNGSLPKNLLVLPHLKILDLSQNSLVGGIPISSFSDDELASLEVLNLSNNNMNGTLPTEQVEDTRGFTFDTKGNQYTYGFNFFDVMSGIDLCMNMLSGEIPWELGNLSHIKSLNLSNNFFSGQIPVSFANMSDIESLDLSHNELTGSIPWQLTKLWMLESFSVAYNNLSGCIPNSGQFGSFTAESYQGNGNLHSMSQGGGCSSHGSGADDMPVEGSDRIEDDPVLYAVSAASFVLAFWATVAFIVCHPVGRLVILR >Dexi4A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:4A:5903947:5905753:-1 gene:Dexi4A01G0007840 transcript:Dexi4A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTMSNVLTAAARGKRWNWGTSALLTAIMMTMPTLIILHGLGGTAGTPAIWIRSAMASLRQGPDDFSVTYTMRTTTTHEHDKLHGGLLVEGFDEESCHSRYQSAMYRRNPGRQPSKYLISKLRRHEALQRRCGPGTVAYSDALEQLKSGKNATSTSSPECKYLVSLSYRGLGNRILAAASAFLYALLTDRVLLVDPSNEMDVLFCEPFPNTTWLLPPGFPLNIRSLYAPAPERYGKMRENGALRTDIAAATNGVDLPAAFAYIHLDFDHSEQDQLFFCNDDQRLLLSNFQWLVMRTDSYIVPGLFLVDTFQEELDMMFPERDTVFHHLGRYLFHPTNYVWGLVTRYYRAHMAWAQRRVGIQVRVFSWEPNSPELLERITRCTQEEGMLPRVVGNEEESPAVTTAATTTTTGRGGGVKSSAVLVTSLKAWYSEQMKVMYWENATEGGEVVMVSQPSHEEEQQSGIKSHEVKAWAEIYLLSLTDMLVTTGKSTFGYVAQGLAGVRPWVLLNNNQAASRPCSRDMSVEPCFHIAPVYDCKRRGDAGKVVPHVRRCEDVPTGLKLVDRKEW >Dexi9B01G0021780.1:cds pep primary_assembly:Fonio_CM05836:9B:16460779:16465192:1 gene:Dexi9B01G0021780 transcript:Dexi9B01G0021780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDELSHRSLCGVAAAISYSNTTCRAGGRRTRPRPSWESPRDLAWDDGAEMRWCFSIAMDPYYADNKMMRLPRARGARPFRSLWWNQPTRVRVLDSALRGACGDFVNLKDLPAQSFGAAHRGRLRQLFATTFQSVGPKTGNILTRTRERIPTWAPLLDYGPAFLRPSKHGFKKVSGLSDFLGLRSDHQNLLVVTLFFGKFHRSSRADRAPAGVDPRTLAGGGPNGHATRRRIHSSPKPPRQALTLAPWRLGADARRRSALRRTHRPNGSHNKLASNTKTYDTLCAPKPRLTPSMAGARVARAMAKA >Dexi5A01G0010400.1:cds pep primary_assembly:Fonio_CM05836:5A:7877531:7877743:1 gene:Dexi5A01G0010400 transcript:Dexi5A01G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVLFFVLLTPGLVCQIPGSGGRMPEFHSMQTSGMSIFVHTLLFFGFCAIFMIAVGVHLYTN >Dexi4A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:4A:21802994:21812846:-1 gene:Dexi4A01G0017850 transcript:Dexi4A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQECASHPRCSSLARSRPPRSPPGAAMETLMVDRIHSSLRLFMHRNAIFLCERLCAQFPSEANLQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEDTLCPVNEPNIERIRGAVVSEKIKAITFSVCSSIQVPSGATGNYLLGVIYRCTGRMSAAAEQFTQALTVDPLLWAAYEELCILGVAEDADECFSEATALRLQQEHASTSALEKSNFANENRILSSSLPSSLGDIIPKQMKQLHANITAEVPGYHHVRGTALHVQSSATSNIAQFDTPSPATSQTSSIVPPPLFRNVHAYQNTISVDAPAKQKANGANQPLRRKYLDEARLKKVSGRLFNQSSESLPRRSARLSRDTAINSNSNISQFGGNGTDHSSGSRNEVIDEMWTDNEPATSSSVTTDGRFFEQDKAERIMSQDSKLAVGIRELLGLLRLLGEGFRLSCLFKCQEALEVFRKLPEPQFNTGWVLCQVGKAYFELVDYLESDHYFELAHRLSPCTLDGMDIYSTVLYHLNAEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRFAYAHTLCGHEYSALEDYENSLKFYQCALQRNEEALEMMEKAIAADKKNPLPKYQKALILLGLQKYTEALEELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKAAMEKVHLPDELMDDDL >Dexi7A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:7A:19409802:19410113:-1 gene:Dexi7A01G0008260 transcript:Dexi7A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVDGGNLVRDGGGVAAGAGEDILDKSPHARCRMLWMPLHQFAGLVLVASNQHNLKLLVRLEFGLLFDSRFTWALLHLLPRPECLLCNPRASVRQRQQINHQ >Dexi4B01G0020410.1:cds pep primary_assembly:Fonio_CM05836:4B:22617198:22621831:1 gene:Dexi4B01G0020410 transcript:Dexi4B01G0020410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQLVILSLALAAAAAAAAPAVSAAGAARPSEVAVGALFTYESTIGRPARLAIELAVDDVNADGTVLAGTNLSLVAQDTNCSGFIGTIEALRLMEKNVVAVIGPQSSGIAHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRTTTSDYFQMNAIASIVEYYQWKRVTVIFVDDDYGRGGVSALGDALAAKGSMISYKAAVPPNSNSDVISDVLFTANMMESRVMVVHVNPDTGMRIFSVANTLQMMASGYVWIVTDWLAAVLDSLGSGDLKDMSHIQGLIVLRQYTPESDAKNKFSFSTMFYSHKSRLVALNTIQEYADALNRGPKNGGVAAIVDEKPYIDIFLAHYCNFRISFQRDSPLAADMSTAILQLSESGQLQKIHDEWFSQPSCASDDDSQVGATRLGVGSFSGLFLMCALICLFALVVFSIRICWQYNQYSNSEAASDSEPSAADADAIQRKPSGRGSFKDLLQFVDKKEEEIRRTIKRKSSDKDNQAVGSSSGGLSVSSAY >Dexi9B01G0007070.1:cds pep primary_assembly:Fonio_CM05836:9B:4258387:4260863:-1 gene:Dexi9B01G0007070 transcript:Dexi9B01G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVVEGESFSPSCPTLIMPALSIGNVGQLAVDLLISSAKARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESTSHRLAFIQQRSPVITGMTVSFAKNVADFIRSVGKNHVVILSSLDSGKRRVIDASSDMQVYYFSSCNEDGSDPEYEKLGWKKLEEYDPSHKRWGFLSGLVEGGDFSEDMVDDTDEMTINDYYASLPFAALFSACKAKGLKVSCVLCYCSEGDNMPESFQLAEAVCKLLGDSPEKFHGNGSNGWIIPLSWKSVYGPPPDMSIF >Dexi9B01G0049480.1:cds pep primary_assembly:Fonio_CM05836:9B:48087953:48088844:-1 gene:Dexi9B01G0049480 transcript:Dexi9B01G0049480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRLRLLAVLVAVLLVSSALPGSHGGGIAVYWGQNGNEGTLAQTCATGNYAFVNIAFLCSFGSGQETPQLNLAGHCDPYSNACTSLSADINLCQSKGVKVMLSIGGGAGGYSLDSDQDALKLAQYIWDNFLGGHSDRRPLGDAVLDGVDFDIEGGNPDHYGALAAYLKSYAKQQKQKKQVYLSAAPQCPFPDQWVGKALATGLFDYVWVRFYNNPPCQYTPGSTANLINSWKHGFIPVGSLKSQVLPAAKASTRYGGVMLWSKFYDDQDGYSSAIKNSV >Dexi3A01G0002590.1:cds pep primary_assembly:Fonio_CM05836:3A:1726946:1735276:1 gene:Dexi3A01G0002590 transcript:Dexi3A01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGSRTCYPSAAVPRGSRRNVVRSSFPPCSPASKPSTTLSIGGKWPELQGSRDWDGLLTPLDGALRGELLRYGEFVRAAYASFDFDGGAPSYGSCRFPSRSLLRRAGLPETGYQVTRLLHAASTSAPAWLSPSSNSSYIGYVAVCDDDDEIHRLGRRDVVVAYRGTATCSEWLDNFKSGLTRLPSSPTLFAGDDDDEAMVESGFWKLFTAPGEAHSSLQQQVRDEVRRIIHEYGGEGMPPLSITVTGHSLGAALAVLSAYEITTAIAGDDDDAPAPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSSDVVTKVPGFPVDAKRKAARVPRWLVSKMGWEYSDVGSELTLSSDDSVPNVVASHDLDLYIRLQLAARQLVEPMGEVENVRTLGIHRTRPPLFPDTNLGEVREAHRRLWMEAQKVSTTASGKSRDGGAGTQDDNLRCHKPPARPSCARGSLRGPYRAMVAVTPTPTKPSWPPHRHLGRRPHHPRSRHLRAGWPATPNLFFGPQPQTSPELKKNLAAAVVRCSSLEPWSPLLTMPSTLKIGRKWPELQGARDWDGLLTPLDGALRGELLRYGEFVRAAYASFDFDGRAPSYGSCRFPSRSLLRRAGLPETGYQVTRLLHAASSASPPFSSSSSSYIGYVAVCDDEDEIRRLGRRDVVIAYRGTVTWSEWVDSFRSNLTRLPPTWSDVGGEEEESPAMVQSGFWSLFTTPGEAHGSLGQQVRDEVRRIFREYGGGKGTPPASITIAGHSLGAALAVLSAYEITTIAGDDPPMVMAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSSDVVTKIPGFAVDDDDDSGGEAAELMARVPRWLASKMGWWAYSDVGRELRLSSDDSAAPNVVASHDLDLGAHPWRHHRGELVYRGSRRRELSDVLTQGGGAKERAQQQEEERHSRKRRGRGGGGASRRPCVLPPVRSIEDEAEEDDGLRGKKEAGVNPPAEFGWFGRSSFEFREVVDFVVVQLNSTTSMLATHPWQHGEPDKLEMTNRYPCVALGYCVRFKDTKAILYSPSLPSPGDMWISTHQRGRCPRLLPASSGDDWTSNSVVRVDARSPGAHLCHVGSSVAETLDRVRELGARSPGAHPLDLGAKAPGAHLPDFKRAAAPFFFFLSSLSFLSSLFQTVAGAGAPTPAGPLRRRRRPPPPPSSKGAR >Dexi1A01G0011220.1:cds pep primary_assembly:Fonio_CM05836:1A:10108007:10108514:-1 gene:Dexi1A01G0011220 transcript:Dexi1A01G0011220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSISSVVLVLLLGVICTATVVYGGGQVWWIVGGDNGWSFGAVDWVKDKPIHAGEILLFRYDPAIHDVVEVDEAAYNTCTVPISGGTRHTSGRDHIKVREGKSFFICSTPGHCAKGMKIAITA >Dexi7B01G0001680.1:cds pep primary_assembly:Fonio_CM05836:7B:2762457:2762771:1 gene:Dexi7B01G0001680 transcript:Dexi7B01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVMSRARNTLVATGLLIFAGAGLSFPFLFVKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >Dexi3A01G0000860.1:cds pep primary_assembly:Fonio_CM05836:3A:622299:623100:-1 gene:Dexi3A01G0000860 transcript:Dexi3A01G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLHSETVTVEGIPFPAEITIGNPLSLVATGITDIEIHFLQIKYTAIGIYLDVNDAQLLDHHLGSWKGIKSADEMLGDEAFFEAVVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAADKYDDDEEEALERLAGFFQSKYFKPGSVVTFHFTFTPAGAGPGVVEVSFATEGKDVAKLTVENGNVAGMIQKWYLGGDSAVSPTTVRSLAHRFAALLSSASA >Dexi1B01G0029250.1:cds pep primary_assembly:Fonio_CM05836:1B:33623848:33624204:-1 gene:Dexi1B01G0029250 transcript:Dexi1B01G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNEPGWFVGEQRHVSFFLPVLGPAADLGPLLTPPAAAAAAREGEQGAEAMFVAVAVVCAAHDASKVFDCSSSREGGRLLLYARPGEDSARACEAIRPSLPTRVKEACSISSVKFRA >Dexi5B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:5B:12057526:12058405:-1 gene:Dexi5B01G0015070 transcript:Dexi5B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHVLVVDDSCVDHLVVSRVLQSCNIKVTVVEGPKEALKFWAMEHDVNLIVTDYCMPKMTGYDLLMEVKNSPKISHLPVVIMCTDDVPTRIKKCLDGGAKGYIIKPIKVIDVPDLLRYI >Dexi9B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:9B:4234908:4236506:1 gene:Dexi9B01G0007020 transcript:Dexi9B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTFFHGGKRWWPPRLLLFAALAWLLMVYLHLAVFHSPPVTAPPHASSLVAAVASSDREDGRRFLLRQQEQLKKIAALPAEDEQRRLPRGDEETCRGRYVYMHDLPPRFNADIIRNCRKTEDHWADMCRFLRNAGLGRPLADRIDGVIKSEAGWYDTHQFALDAIFHNRMKQYECLTTDSSKASAVFVPFYAGFDFVRYHWGYDNATRDAASYDLTNWLMSQPEWRRMGGRDHFLVAGRTGWDFRRSNNVDPDWGNDLLVMPAGRNMSVLVLESAMLHGNDYPVPYPTYFHPRSDADVLRWQDRVRSQRRTWLMAFVGAPRPDVPINIRVRDHVIAQCKASTTACTMLGCARATGSTQCHTPGNIMRLFQKTIFCLQPPGDTCTRRSAFDSMVAGCIPVFFHTGSAYKQYRWHLPGDHHRYSVYIPDADVRQRNVSIEAVLRAIPPATVERMREEVIRLIPRVLYADPRSKLETVKDAVDIAIDGILGTVARIRNGEYVDSGGPVTEDPPNLFSSTESVFRQKESSVQTDR >DexiUA01G0026030.1:cds pep primary_assembly:Fonio_CM05836:UA:54796086:54797739:1 gene:DexiUA01G0026030 transcript:DexiUA01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLTVEQARGFYAEHEGRPFFDGLVEFMTSGPIVVSVLEGENAVQRHRDLLGATNPDNALAGTLRADYADSFTENGTHGSDSVESAAREIAFFFAEGEMREFFKEMGEKPFRADQVMKWMYHYCSDNFDDMTDINKVLRNKLKEVAEIRAPEVVEEQRSADGTIKWAIAVGDQRVETVYIPEEDRATLCVSSQVGCALECKFCSTAQQGFNRNLRVSEIIGQVWRAAKIVGAAKVTGTRPITNVVMMGMGEPLLNLTNVVPAMEIMLDDFGFGLSKRRVTLSTSGVVPALDKLGDMIDVALAISLHAPNDEIRDEIVPINKKYNIETFLAAVRRYLEKSNANQGRVTIEYVMLDHVNDGTEHAHQLAELLKDTPCKINLIPWNPFPGAPYGRSSNSRIDRFSKVLMEYGFTTIVRKTRGDDIDAACGQLAGDVIDRTKRTLRKRMQGETIAVKAV >Dexi3B01G0019180.1:cds pep primary_assembly:Fonio_CM05836:3B:14212811:14219761:1 gene:Dexi3B01G0019180 transcript:Dexi3B01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYYQGQGGGGGGGGGASMEVVSTPNQELALTNCAYVSSGDLRRFPNALALVADAWVFTLRYPFLDDIVINIGRIALNAIQRRQAKVSAGDSVPVSSFAPPDDFKLALLTLELDWMLSCLPNNSGRDFWISFTAVYLCAQTLIHWGNLQVMTSGQRVPFEFYGTNYVFTVNQALLEGQENSTPFDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLGAEFTDIFRRAFASRVFPPHVVSKLGIRHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRQITMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGPAGSGKSAMAASVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFDEGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGSAEAIYAGEERIDINHFFSILSDIIRY >Dexi9B01G0030340.1:cds pep primary_assembly:Fonio_CM05836:9B:32868810:32877160:1 gene:Dexi9B01G0030340 transcript:Dexi9B01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDCWGRNVLESNYRPMLRAPHRYRTLGFRSFALPVPLRESPLVKSATLALTRGLDPVVMPSAASQAVKTRLITFVRSLSTVLAVAYILTRCTCYLVQQVQKFLVDMRNPNDTRNMGFDFITKALYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEFSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLAISHMDAGKIGNIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKNQALMIYISCFVKTSHFEEYLNVQETVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYSRVRGRPLLIDTSARISDDKAKPRPVAPREEQKVKTNDSAEIKSASPENASLSNTEKQEQKKLVPDDARAKIGKSDNFTQVATSDPVMSTSKTGKGKTHEPEATEGQDGSMAMANPKKESRPAFEDNIVLGVALAGSKRTLPIEEGDPHLSLSETEPDTVEAASSSKDKIAQSPKHSGQEKSDQRNIDR >Dexi3A01G0007670.1:cds pep primary_assembly:Fonio_CM05836:3A:5318518:5322570:1 gene:Dexi3A01G0007670 transcript:Dexi3A01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAAGGGPGDEYKREESVALLVIVSLAALSLLSLIAAFAYYCYITRKVSRRQQSLSLPKRSGSGSPPVPPPRGPPPPHHHHQQQQGKESPSSNSASDGAGGAAMAVVVAGERGVQVFSYRQLHAATGGFGRAHMVGQGSFGAVYRGVLSDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNRDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVRILDPALEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSTQKACNPNVQASKPLD >Dexi2B01G0015770.1:cds pep primary_assembly:Fonio_CM05836:2B:25844350:25847534:-1 gene:Dexi2B01G0015770 transcript:Dexi2B01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDTTAPPPLPQRAATLSDDDRLLVAHCAELSFPSSSRTPPAASACSFQVHHASHPYPCAAFAFAPSWSAADWVAPSSTEGARQPFGDVEVDPALFPSLRAVGSGVPARANAAFLAAFRGLLDGSPLQSESKEAILHLSAAAQWEKQRQRNQTKIDESCQKIQEALRSLNDYKRNCGLRGVSYYDSFKLQREVHDFDANVRRLELAGLWDEIIEMLRRRELPDGFEAREEWVSLGTLFRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQLQRAPVGSSLESCFWAMVEELQAEIVDGRAFEDLRDRVVKLESDAHGWYNSGSLGNDVFLESSSFVAWWRTLPEQHKLASCIAKLVSL >Dexi2B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:2B:23640492:23641252:-1 gene:Dexi2B01G0014150 transcript:Dexi2B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGPTTISIHNLTNDLLGLDSPLCLVRVASTCKLWRHIVGGTGGAFLRRFRSLHPRAAIGTYYSINHHDNPPSYGYSHNWPEVDPVVFVPSSASASDGLKLSLDFVPPAADGPRELVDGRGSLLLLLREKERPEHRSCMFTSPGARAVASTGAARTSR >Dexi6A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:6A:20238328:20239425:1 gene:Dexi6A01G0013200 transcript:Dexi6A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLFRSQPEVASGPPPEGRNSGYLIVKGAADEETRFWGLLPDRRVRELPFPQNCVLKARYTVTNGDTSSTHEEAVVFVPVPDQPLASNRYYAVIAKGKRKGLVRACSREEDMATCCFCRCISDVEPRPFHPADIYQQIEIVQRRRGWFTARAVAPDAFPSSILRHKYWEVYASKTKKFDLGEALGLNATLRSRQLGDHSSLADTVAVGKWYSPFFLVIEAGVAPRDLMERSAFYEVTLEQHWEPVNEHGGGSKLASKKAFIGGFVEAKQESLMNSWQGDAYVWFKAEAATGQVVGVCKSMWERMLWEQYRGGWVDGEEDARKVAGGSVLVERFVVKRLDGSVVVAFDFVHFNKIRAEEL >DexiUA01G0007870.1:cds pep primary_assembly:Fonio_CM05836:UA:14738503:14741985:1 gene:DexiUA01G0007870 transcript:DexiUA01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASPAPAGDSLRQKRILSSKLYLEIPSSKAPVIYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGHLEKQRVVEPLEACKEDLLVVHTEAYLNSLKSSFRVANIVEVPPVSLVPNWIVQKKLLYPFRKQVDFAAMQYIDQKIELASGTKTDEYLENLDQALEVCKSRFQPQLIVYNAGTDILDGDPLGRLKVSPEGVVTRDEKVFRFARDQNIPLLMLTSGEIL >Dexi6A01G0006500.1:cds pep primary_assembly:Fonio_CM05836:6A:6301877:6303739:1 gene:Dexi6A01G0006500 transcript:Dexi6A01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIRPLTTSTPPSACPPSNKKPTTNLARRHATSSCRATAAGDDDSRLLWLPRRDVLTGVAAGLTGYYPNLAAAALATTTMDSCPRGDKVNDKVVECTDPNKGFPCPPSPSSPTVDFSGHVTRVTRVRRPVHLLSLEYQEKYKEAVRKMKALPSCNPLSFTAQAAIHQAYCDGHYRYSPEKTNAPFDVHNSWIFAPWHRMYIYFYEKALGDLIGDDTFALPYWNWDSPAGMTIPAIFTNPGEDNTNPLYDRERNQDHLNKLVQLDRNDQSDPIPFNNNSKSSSNDAKYEAEVFRNLCLVYQQQIRLGKDARAFLGEKLCVEKVVQDTTNSQGTLESLAHTAMHIWTGRSGPPPGAACCSGDNDGFLDHAGAFSCKNDMGFLGTAGRDPIFYSHHANVDRMWHIWSTVQGNKGFDDDTWLDASFDFYDNYDKPQLVRVKFRDVLDTRNLGYTYDAESEKDLPWMKCELKSLVPRGGGGRRPPPSPEKNPVFPVTLRKNEVVEVAGVTVPAARRPARRQRLLVIEGIEYDPTAENKFDVAINVPRGDALKVGPQYTEYAGCFAVVPSSKEGGGTLKGKVALCIDDVLEDIGAAGASTVDVVIVPRTEANIKLNVGPMIKD >Dexi6B01G0002680.1:cds pep primary_assembly:Fonio_CM05836:6B:2308382:2309991:1 gene:Dexi6B01G0002680 transcript:Dexi6B01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAVEMELSLMYETLYTKAIPGWWWPFHVILRLVSILAIAATAVSLFSWHHSLHDNSESFLRVRESFVWITYLLLGATFAMDVGVAAESPRLDLDAWPWLHHQMICSGRWLWLHRLVVNLDPLRLLFGIDPISYRRWSGTIGRYNLLDECTTTRLRLCQCCRWLSTSSGLEETRYLSELPEASKELLFKRIRRILPTGHCDDDDPASHRGDGAGNGGGAYTMVDITTLWGQEALRRGEELFGKDVSPRCAREFEQDILMWHIATCIYLSRARVRRLPKSSSAGRHVTAIEAMSEYLMFLVTKRRHMLPGLVLHSLLDETRKVLKQIWKRRQPSSAAAAAAAGATGGGKDNKATRLASLLREKRYTEGAGWADSIEKRLVFDATAIAGTLTTNSRSQRKVSRMLELIFNVWVDKLLYASIRCSSESHAKQLSLGGELTTVIWMVVQHAGPFRIGQQMPADEKELQTEEQRRKTEKSSMEEKEDGERKKKMPADHDDNRKIKRKKIVTTPKITRRR >Dexi3A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:3A:6272513:6272959:1 gene:Dexi3A01G0009040 transcript:Dexi3A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAASTAATAARWAEGYPWREKLAKYKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYK >Dexi5A01G0040040.1:cds pep primary_assembly:Fonio_CM05836:5A:40348123:40349100:-1 gene:Dexi5A01G0040040 transcript:Dexi5A01G0040040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFVVVVVAAALVAAVAAQSSSPPPPPGVPLPPNYHVISPGKLKRNQQLACNDDKNNQPSCMAKCDRRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNSFYFHGKKDQDFCIVSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHRLYVGAQKTATWSNDVDRLELALDDEPVRIPAEAGARWESATVAGLTVTRTTAANGVRVHLAGVLDIMASVVPITEEDSRIHNYGVTKDDSLAHLDLGFRFHDLTGDVHGVLGQTYRADYVNRLSVSTSMPVMGGAPNYVSSDIFATDCAVARFGGGISMVTAKAY >Dexi8A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:8A:659641:661278:-1 gene:Dexi8A01G0000990 transcript:Dexi8A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGRQQQGDELLAQLRQLLFSPSPTTVVESSGGGGGAPPCCDGRRRRRRGSKRGRDDDDDKTCNHDQQQDVVDEPAADAQLRRHSRKTSCRRRKQEKSSKCLVTSVPDFDGYQWRKYGQKQIEGAIAQQGCPAKRTVQRNDDDDAVPAEYTVVYMGDHTCTPNDDYSLEAPPVILETTAVVAPVASTTTSAADQSPAISDDITCRSSSDDYADDYYGPPLFGVHDSWAQETTMMEDLISGPIRSPLHIPDAAHAWTIDHYFLMLDAAGS >Dexi9B01G0001300.1:cds pep primary_assembly:Fonio_CM05836:9B:753873:755227:-1 gene:Dexi9B01G0001300 transcript:Dexi9B01G0001300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTVRNWKLGVDVSRAEGIDSARRELASTRVHCRLLCLAVTIQWQPPSTGIIPRPMPIACPVSHPVANVGSNRTANSALPPNSFSSASALLHITRFHPRQPRL >Dexi3A01G0034960.1:cds pep primary_assembly:Fonio_CM05836:3A:40244262:40248613:1 gene:Dexi3A01G0034960 transcript:Dexi3A01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVASTDNCDCRPATNLAERHDFYFRLLVLAIACSIVLVVLLPASPATARTVVTRLPGFDGPLPFHLETGYVDVEETTGTELFYYFVESERSPATDPVVLWLTGPPLPRCSTFSGLAFEVGPLNFVLQPYDGTLPWLVYSPDSWTRSIAKIYCNQYVPLNLDSPKPGRSLAEHYSRLIVPPEEPSSRCFEYRYYLSYFWANDNATRAALGIREGTVMEWVRCKRSGFPYTYDVPSSIKYHFNLTTRGYRALVYRVDAMLLQGIGPENALLWLKGGWTINPSDVPTQAAPLELVGFIL >Dexi1A01G0032390.1:cds pep primary_assembly:Fonio_CM05836:1A:36951505:36952126:-1 gene:Dexi1A01G0032390 transcript:Dexi1A01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPESLLRTNPSPPLPPPLVTGDEHAGGGGEQQAISVDSDTVVILASLLCALICVAGLALVARCTCRRPRQGSSSSGGGSTTAQAPPRGLKKAAIEALLPTVSLEGGGGDDDERECAICLAVFAEGDELRVLPRCGHGFHAACIDTWLATHASCPSCRAIVVACRRCGAACGDLIGLPLRLRSILLVLCCCIPRSLLRVRALTR >Dexi6B01G0008310.1:cds pep primary_assembly:Fonio_CM05836:6B:10384362:10390424:1 gene:Dexi6B01G0008310 transcript:Dexi6B01G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVARSQSRAAGSATLPQSEMAPIVTPSGSRSTAAQSLRRHGMLTTDEVAAAYSSVAHVVAAAAEAAGTTADSIGAQVAIAAAEETAAADSIGAQMVAKDSTDSTEKTTVGAFVPNSPIRKPRLVEYDSDGEELSEPSFFPDPVQYAEYKEAEQIYSENIGELMKLPTLDNNTCIDAELIQEKAEDTILKAAKFVLGLSSYIDGVLLNNCSGILIWWDKGTGTILTTADLLCSSSPNLDDWLGGKEYAANAEVSGESNAEKLGVRMGDIVQSVNGKCIATAVEVYLENMMLDICKDHLEKGTGIETDADVMVTLGVFNTTKRVSGEIELTAKLSQKVEIIATGIHLLSTLNQPLAQ >Dexi8B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:8B:7715186:7716265:-1 gene:Dexi8B01G0006580 transcript:Dexi8B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIVQMASYAPLFVNTNDRKWNPDAIVFNTWQHYGTPSYWMQTLFRESSGATVHPLTINSRYSGSLAASAITWQDAGNSFLRIVNFGSHAVRVRISTAGLEASVNALGSTVTVLTSGNVMDENSFSHPKKVVPVKSQLQDAAENMRVQLAPHSLTCFDLALTQSKLVILTEKGDEYLRSGI >Dexi9A01G0013190.1:cds pep primary_assembly:Fonio_CM05836:9A:8452314:8457625:-1 gene:Dexi9A01G0013190 transcript:Dexi9A01G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSLPTASTVMLLLLLLSAAATTVRGQALVPGMMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVENLGLSSYPPAYLSEEAQSNNKSLFHGANFASGGAGYLDATAALYGAMSLSRQAQYLREYQSRVAASAGEKKARALTSGSIYVVSAGTSDYVQNYYVNPMMAAAYTPDQFADALMQPFTTFIEGLYSLGARRIGVTSLPPMGCLPASVTLFGGGNPGCVERLNNDSLTFNRKLGAAADAVKQRRPDLKLVVFDIYQPLLDLVNNPTNAGFFESRRACCGTGTIETSVLCHQGAPGTCSNATGYVFWDGFHPTDAANRVLADSLLMQGLQLIA >Dexi3A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:3A:4099817:4101778:-1 gene:Dexi3A01G0006260 transcript:Dexi3A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPLAPAATAPPPRPAWNTNRNLVVTHPLLSLLERCASFRRLLQLQALLTVSGLAAHRFPASRLLAFCALSDPPRLAHAAAVLSQCAEGPNAYMLSNMMRGFLRAGLPARALALFRRVLRDRLPADARTIVFALKAEAAAASSASSPGEAVHCVALKRGFLSQSVLVGNALVHLYASSMSFPDARKVFNEMPDRDVISWTTLVDGYARGGLPDEAWRVFCRMVASEGLWPNRVTLVAAASAAGQMGLLDLGMTVRRCVAESGVGTSVNLENALVDMFGKCGCLASAKEVFDGMAAKDVYSWTSMVNVYAKGGDLESALQLFEEMPWRNTISWSCMIAAYSQANQPEEAVGIFNDMIATGVEPIDATLVSVLSACAQLGCLDLGSWLYETYIVTHKVGLTVNLGNAFIDMFAKCGDVAAASRLFGDMKERNLVSWNSVIVAHASHGQSVEALHLFHQFKGTGLLPDEITYIGVLSACSHSGLVSEGQHHFKEMKVVYGIEPRAEHYACMIDLLGKVGLVEEAFEVARSMPIGADVAGWGALLNACRMHGNVEIGECAADKLAELDPLDSGIYVLMSQIYASKSKWDQVKMLRTVMRDRGVKKNPGCSSIEVDGKFHEFLAADVSHVHSEDIYASLKNIYIHLKAEGYIPPA >Dexi5B01G0004890.1:cds pep primary_assembly:Fonio_CM05836:5B:3298486:3298863:1 gene:Dexi5B01G0004890 transcript:Dexi5B01G0004890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGMAAFSVRPPAPARPCVASAAAGGVRMRASGAGGSGAKWWAPLLGWSGQPDYIDAQPPAAAPELEEEPRPRSAAARRFGVLTEDKARQLRVRMMETESFHDAMYHSAIASRLASAAPDKH >Dexi7A01G0021330.1:cds pep primary_assembly:Fonio_CM05836:7A:29971126:29972262:1 gene:Dexi7A01G0021330 transcript:Dexi7A01G0021330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAAAAAATKTTAATAVKPPMMPAATAAPAAVSPMLTRSPSLQSTSVAIGQPPVGVESPGMEVTAEGKAQ >Dexi2A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:2A:740072:744388:-1 gene:Dexi2A01G0001140 transcript:Dexi2A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRVAFEHLEQISNKFEFSADNIEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDAGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLPPIDTLTAVKAQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Dexi8B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:8B:18560525:18562531:1 gene:Dexi8B01G0010100 transcript:Dexi8B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWCMQIVQKTFVKEGAQSMAPNQVAGEILSFFTRNNFTVSDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >Dexi2B01G0023870.1:cds pep primary_assembly:Fonio_CM05836:2B:33334636:33335248:-1 gene:Dexi2B01G0023870 transcript:Dexi2B01G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSGEVGEGNQAQDFELLSIRFEDIVVATSNFSEACKIGQGGFGKVYKENVLGLAYAV >Dexi9B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:9B:12048618:12051954:-1 gene:Dexi9B01G0017300 transcript:Dexi9B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASPAAGYGPDGVYRSPRPAAAIASDPELSLVDLVLRRAAACPDAPALVDAATGRVLTFGDLRSDVLSAAAALSSRAGVRRGDAVLILAPNCVLYPVCFLAVAALGAIPTTANPLYTEREIAKQAADARAKLVVTVAGLLPKVASLRLPTILLDGGGDGASRQPNVTLYSDLVAGVQETEYRRPPTRQGDTAALFYSSGTTGESKGVVLTHGNFIAAATMVTSDQEDNGEGHNVFLCFLPMFHIFGMSVITLGQLQRGNTIVVMSGFDMDAVLAAVERHRVTYLFSAPPVMVALAKHGSGGRYDLSSLRCIGSGAAPLGKEIMEAVAEKFPYAEIIQGYGMTETSGIISLENLQKGRPRHSGSTGQLVTGVEAKIVDSETMEHLPPNQQGEICVRGPNIMKGYFNNVQATEFTIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLESHAEILEAIAIPCPDPEAGEVPIAYVVRSPKSSLSEVDVQKFIENQVAYYKRLRMVKFVDSIPKSPSGKKLRRELIAQLRLSKL >Dexi8B01G0007850.1:cds pep primary_assembly:Fonio_CM05836:8B:9857904:9859789:-1 gene:Dexi8B01G0007850 transcript:Dexi8B01G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTTNTSDGTKSSSCPRGHWRPGEDEKLRQLVDKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLNAHRAHGNKWALIARLFPGRTDNAVKNHWHVVMARRSRERSRLLARAAASTSSSSSTAYPFGVGSPSTSSLCFGFSKLGAGGGGSRLFGSPAVAPPTSLFKSFANTGYTATTGSSTGLMRASFEPARYSSYIGKPQPAAPVSINFSSPREALSSSMDMGHRISRHEHQQKDYRASDGEETTLKRKDVPFIDFLGVGVSS >Dexi5B01G0022330.1:cds pep primary_assembly:Fonio_CM05836:5B:24634548:24637216:1 gene:Dexi5B01G0022330 transcript:Dexi5B01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGAGKMSSSSVHSDRDAELGRLSGPELVARLQVTTRRADYDAAARVLDARDRRLAEAEAELAKAKAALAELTTGLDSANASIQALREKYHALKAARSGPITGSSRGAIADPLPRGDGRAECADKKSDEVIDLCDSSDDEDALEEGEFRPDVARVSCKAAEPTRDGGEGRRPEVSCKRNAPAAHEDASESDDEDDRIPLSRLMKKRRKAKPVPNGVPKNGHVDAQVNSVGHLGDHPTERPLVNLGEPKASAGKRVDASPKLKEASFVQGRGRICQSWEGGGLSRAMPTPPPIDSAVGNKVDGSQDGSKIGGERGSAACPPFQVKTPTHTDMARRIVESARARAETPKGHAGSPLDASTSSVLNIIREQGKTIGGMQKVNGPLETGGIVEKACVVSRAIRKQQETQGQVLKADVLPATNGIGQQSGKLSMCQNREVGDGGRLMSSDKPVSASLQPNNQLTLHSELVPAHSSLATSLQPNNQLMLLSEEMPVESSLPSSVTDHWNFAPDVFLSCLENNEICMQAACALLRQRKLTIQGGRSGCTKFSKSDALRVTTLVEFLLDGNRQGPLKRTYEELVKHDSGGIDLLKRVALNFSEQLFSIFKNKEDPYFQ >Dexi6A01G0003230.1:cds pep primary_assembly:Fonio_CM05836:6A:2875015:2878252:1 gene:Dexi6A01G0003230 transcript:Dexi6A01G0003230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGENGATSSRASRIACAPSDEVVQRRRSRRLVWKHGLVPSTSDGTARLSLQLTRATPKPGNLIAPHHPHCLGRRIDHVDGTRPHMWVPLMWVPQVAPLWAPRDPATWPSPRAADPFLLSRPSSLARQRPGLLSPLPLSSFSDKWAPPVGTLFLLAPCQSRTRVRVPAAPVLPPRLGAHAKRRPDPSCLSTRKPQLLAANRASAAVNPRLSLFEIADRFRVEVRNSPSLFSLSRSLELTRARRRNRLSPLLNPPSSQASNRRKPSSQALVSTNSGELPAERRRRLNAGIQRPRSSPFRVKPSIPFSRENGPFEGDQDQVYEEEQPQCFEEGNDLDEF >Dexi5B01G0011090.1:cds pep primary_assembly:Fonio_CM05836:5B:7828460:7828851:1 gene:Dexi5B01G0011090 transcript:Dexi5B01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIASPANQPLPEHPLATAPAASCSIRWTLPCPLAMTAGHRWAPRPSAPTRPPVAHPPMEQRQEHDRLEPPAGTNG >Dexi2A01G0020440.1:cds pep primary_assembly:Fonio_CM05836:2A:32560437:32560709:-1 gene:Dexi2A01G0020440 transcript:Dexi2A01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSPATWITSLGRFLATASQPASFLDLAGKKTGSLCPVAVGGLALRVAFASHDPLPRLFCTVPCTAAAAGLGAAHSPVPLRGGLGSCSH >Dexi3A01G0025150.1:cds pep primary_assembly:Fonio_CM05836:3A:20798263:20803671:-1 gene:Dexi3A01G0025150 transcript:Dexi3A01G0025150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGAPSPSPSSSSGSDDFAALLDSELELISGADSAFPGDPSSASPDTDDEGEDEDEESEEVEVEVLEENGCGKPQDEEDVSGVAFGYIHKVWQKHKENLILMERYHYFASSCRQFGFGVRSLSESMQDERESDGALATVLNVLKRIHAIFFDAAVDTDLSSRDVRQVIKKVRKEVLQGCKLVFSRVFPNTTRPHEQMIWKMAEHLGAVCSKEVDSTVTHVVSVDLGTEKARWAVDNKKFLVHPRWIEAANFRWERQPEEDFPVTPPKEKSRDKTNVVAGQKETSKYKEENAVVGQKETSNYKKEENAVAGQKETSNDKKEENDVTGQKETSNDKKEENAVTGQKETSNDQEGNDVAGQEEDDSKENVVASTSTSSTDPADS >Dexi6B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:6B:1667363:1670592:1 gene:Dexi6B01G0001980 transcript:Dexi6B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALVAIMRSRSLRDPDTNSLAKFSAKKTIWESCSLEEDEPEGNNYGRHSFSYNAYDHLQRRREEFGDSLRLGRLSNSPINIIKANAMAKAALHNQSCCSAISGMSRGAKDRTFALVTDGEELGRREASTFQESSRSLLQKYRPKSFSELVGHDVIAQSLSSAVLKGKLAAIYLFHGPHGVGKMSTARTFAAALNCRSPGGNQPCGLCEECMAIFSGSSSSVIEVDASKLDCKSRVAVLLRNACEVPASSHFKVLIVDDCQHMDKEGWYSIYNSLEGIPDSTIFVMITSDIDKLPSNSIGWCQSYRFSKIDDAAIACRLIKICTKEGMEFEVEALDLLARKANGSIRDAIQMLDQLTLLGKRISKSVTYELIGDVSDEELLDLLNLAMSSDAATIVRRARELLSSKNKVGSLDMNLGDPDVLETIWTKALENCSSHPLQTLLRKDGKLSSLYTSQGVTVAELQFCHPEDVPTSESFWKPLCASLQNLLRCNVDIRINLSPISSSRMGSKDSSVSLVMQSREDRETQDPGATNCRTVASSRRDCPSPVSGQAKEKPSHILGCLHGPADGDTVDSEPRILSYQKISVVPAASTSGNTPMKGGHTSKVDKGGVHHGCCSKLLPCSSCATCRKSQKHEKRRASLFSCCFCKVRPDCKTKAEAG >Dexi1B01G0015310.1:cds pep primary_assembly:Fonio_CM05836:1B:21819747:21823144:1 gene:Dexi1B01G0015310 transcript:Dexi1B01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPLLLLLILLPASNAIYCDEDDCYDLLGLKQDANASEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTREQYDYAIAHPEEVFYNTAQYYRAYYGHKTHFNT >Dexi9B01G0011300.1:cds pep primary_assembly:Fonio_CM05836:9B:7193848:7195478:1 gene:Dexi9B01G0011300 transcript:Dexi9B01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTPPLIAIFFLLVLKLISRYASPSRTKPPSTLRLPPGPWPQLPLVGNLHHLLLSRFRDLPHRALCELSQSHGPLMLLRLGSVPTLVVSSAEAAMEVTKTHDLAFCSRHLSATIDILSCGGQGIMFSAYNGRWRELRNICALELFNQRRLLSFRPVREEEARRLVSSISGECAAGGGRPVAVVDLGDKICGAVNDVIVRMAIGGRCGRYRDDFLRELDEAVRLTGGFNLADLYPSSRLMRRFSAAARDMAKCQSNIRRIIEGIIGERAAVSASSTSTAPEREEENLLAVLLRLQKDGGLQFPLTNDILSTVIFDIFGAGSETSSTTLEWAISELIKNPLVLRKAQHEVREVFKGQDNLTEDSMSKLSYMHLVIKETLRLHPPGPFLLPRECRVTCHVMGYDVPKGTAVFVNAWAISRDGKHWDDAEEFKPERFERRSDIDFRGADFEFIPFGAGRRICPGKALGLTIMELVLASLLYHFDWELPGGMKAGELDMAETFGITVRRKSKLCAVAKLALHC >Dexi9A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:9A:7966478:7967122:-1 gene:Dexi9A01G0012460 transcript:Dexi9A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTQPLAGERDPLLFPSSAAAGSPPPYLDHHPADSYAVLFVPVRRRLRRGGRCVGPCLAALCLLALAGFFLWPADPDVSLARLRLSHVSVVARPAVAINISAALKVRVRNPDFFALDYSRLDVDIGYRGSQLGRVTSGGGRVRARAVSYVDADLELNGIRVVEDAIYLIEDLARGSVPFDTVVEVEGHLHLFFLSVPVKVRAAETLYADLIT >Dexi7A01G0008730.1:cds pep primary_assembly:Fonio_CM05836:7A:19963496:19967712:1 gene:Dexi7A01G0008730 transcript:Dexi7A01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGELFVAALCVVVASTLAASAGARKTVGVYELRKGDFSVKVTNWGATIMSVVLPDSRGNLADVVLGRDTVAEYVNDTSFFGPVAGRVAQRIARGRFVLDGKVYHLNINDGRNTLQGGGRGFHKVIWTVKEHVGAGDYPHITLYYRSFDGEQGFPGNLDVYVTYCLSSPYTLGVHMNATALDKATPVNLLQHTYWNLAGHDSSSDVLGHTLRLSASRYTPLDAEMLPSSGRVAPVAGTPYDFREPTPIGARIRDVMGGRVVGYDANYVVDGEPGEMRPVAEVRDGVSGRALELWGNQPCMQLYTGNWLSHAPGKGGKVYEKYAGFCLETQGYPDAVNHPEFLSQIIRPGQVYKHDMIFKLSF >Dexi3A01G0022260.1:cds pep primary_assembly:Fonio_CM05836:3A:17847585:17850735:-1 gene:Dexi3A01G0022260 transcript:Dexi3A01G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALAELGAGSVLNAGGSAPRERASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGASRWGRCYESHSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVADGGTDKGVNEGNAICSYDDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFLISDWEGIDRLCEPQQSRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDIVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDQSLLDVVGCKEHRLLAREAVRKSLVLLKNGKDQKTPFLPLAKNAKRILVAGTHADDIGYQCGDRTDLSIPFNGSELITHVASKIPTLVVVISGRPLVIEPPILEKIEALVAAWLPGSEGMGITDCLFGDHDFLGTLPVTWYRSADQLPLNAGDANYDPLFPVGYGLKMFQSDST >Dexi9A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:9A:5971074:5971394:-1 gene:Dexi9A01G0009890 transcript:Dexi9A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAVGGEGGVSTPATLNRGRGDVGPRRRHATSPSGGFRRRRRRGIPAAEPDSGGEECRRWPPRAAERNIGGGTRRQQRGIPETDFDGGGYGERGRRQSRTQRWKE >Dexi9B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:9B:4009458:4010943:1 gene:Dexi9B01G0006690 transcript:Dexi9B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKELGREVISMDSKVKTDGEALIAAGDEEDDVVLPGFRFHPTDEELASTAGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSPTNSGGDSIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPATPAATATNASPSMQEAEVWTICRIFKRNLIYKRQQVWRPPVATANGLPPAESSSNTGSFESDAGDEYMNCLSAAAPSAPRQHHFSNQINMLNASGGGFFRDNLHSQQFQGQWFNSLPAAPAIEQKPQLNSPAMTIAFHQNDQNLAATDCYKEGYWEEIARFMEVNDPAVFSDCRYA >Dexi8B01G0006340.1:cds pep primary_assembly:Fonio_CM05836:8B:7373669:7373911:-1 gene:Dexi8B01G0006340 transcript:Dexi8B01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQEETPPFFSHTPTPLMHVAPHVSGLQFLDLEQIGDASKLRLLAGTAVATRDISAMMTRKVVVLLENAMRLGLEASS >Dexi6A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:6A:13064324:13067295:-1 gene:Dexi6A01G0010610 transcript:Dexi6A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEHEAEESSSQRRERLLALRSAANASPAEAPPPAPAGSLLPDLDLSGDQASFSGPHPRPPQRFDYYTNPAAAFSSSYSGGATNPTWSHKRKSPPACYDPRPAPPPPIYGNYGDNYPPHQHHLAPSPMHSPPPMPRGAPGSSPWRSPMQFQDPASGYQGAPPGTPPPWGPDFGPPARGSYPNSPRFGFRHPNPGRGGSPMHYGPRGGPNSSYGRGRGPSHYGSPGSRGRGGRGGYGWQDRSSYFNKSMVEDPWLDLQPIVGNILIPRGDSESWLPNSLRERKETPVQVQIKSTSGLSLSEYLDLSFNEVCDKET >Dexi5A01G0005860.1:cds pep primary_assembly:Fonio_CM05836:5A:4357410:4359596:1 gene:Dexi5A01G0005860 transcript:Dexi5A01G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTAEASAASTLSLKLLVDTKAGRVLYAEASKDVIHFLFPLLTLPVGTVVKLLSQDSMVGSIGNLYGSVVKLDETYVSSDGAKDALLAPAGGLNGGKLLQLPEPEEPAPAQFYRCGYTGYTECLTQLTQVHGAKCQRCSNQMTTVMKILDSSSAKSAGKAKAAASVAATGFVQGVVTYTVMDDLKVAPMSTISGITLLNTFGVTDIGMLQEKTVQLGYDELLIDTKAQRVLYAEASKDVVEFLFSLLALPVATAVELLGKESMVGSVGNVYASVESLDDAYVQPGAAKEKILRPTAMLSPATGTKAASLFCLPSPPPPPPPDPLRPKTLFRCNKTTSNNYHGGFRYSSIRTSCREYVTDTCDWICPSCNSHMSTEVTLLSSSAAAQLAEPAGGGDKGFVRGVVTYTVMDDLKVAPMSTIS >Dexi6B01G0007190.1:cds pep primary_assembly:Fonio_CM05836:6B:8398507:8399234:-1 gene:Dexi6B01G0007190 transcript:Dexi6B01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGRAHLLSALSTSIRRRSPAISNLHRREPPRRPLHSRVLPRSSTATASSSSSQKPPVTPLPPQQAPVTTKTTTASSCTPETVRGRHVFKVAGYSLLKGLGAGKFVRSTTFAVGGYDWCVRYCPDGDACSDWVAVFVALMMKGVEVRALFDVRLVNLVTGGLSPSVGTGTPSLFNDVGWSWGYQMYQKRQVLEASEYLRDDCLVIQCDVTVIMGTPMPQSEANAGHRTSFAL >Dexi5B01G0007220.1:cds pep primary_assembly:Fonio_CM05836:5B:4828325:4828735:-1 gene:Dexi5B01G0007220 transcript:Dexi5B01G0007220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQQLQNTTNSNGASTTTSIAMNTSELVDAAFEPGASSRKLARPLFAVGFLTLVMDLATSFYKPPGGVFFERHRLAYYLTLAGIFVAGAAEVLAACWLSSSSASTKLARMALCCSLLPLVVVIALGGFSVLVKS >Dexi5B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:5B:11986071:11988634:1 gene:Dexi5B01G0015000 transcript:Dexi5B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARQDRLVAAMLALAVCLLLPVAARAQLRVGFYDTTCPNAEALVRQAVAAAFAKDAGIAAGLIRLHFHDCFVRGCDGSVLLSVNPGGGQTERDAAPNNPSLRGFDVIDAAKAAVESSCPGTVSCADIVAFAARDSIGLTGSVSYQVPAGRRDGRVSNASDTIDLPPPTSTAKNLTDLFAAKNLSVEDMVVLSGAHTVGRSFCNSFTTPITTAMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVDTQMAALVAAFAANETLWKEKFAAAMVKMGRIQVQTGTCGEVRLNCSVVNPTSSAATIELLGSSAPAADEDGNGIAAS >Dexi3A01G0014060.1:cds pep primary_assembly:Fonio_CM05836:3A:10274878:10275090:-1 gene:Dexi3A01G0014060 transcript:Dexi3A01G0014060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVFISFVLFILLSPGLLFQMPAKSRFIAFGNFHTSVASILVHTILFFALDAIFLVAIGVQIELGS >Dexi2B01G0031080.1:cds pep primary_assembly:Fonio_CM05836:2B:39294026:39295189:-1 gene:Dexi2B01G0031080 transcript:Dexi2B01G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSITVHASLILMALLLSCSGMSSAARWLEEEAPKEYPHPEVPELPPHPMVPELPKPEVPEHPAVPELPKPELPPHPTIPELPKPEVPEHPAVSEIPKPEVPELPKLEVPEHPTIPEIPKPEVPELPKLGIPEHPVMPELPKPEIPKHPDVPDIPKPELPEHPIVPELPTPEVTSELPKPEVLEHPAVPEISKHDVPEYPAVLEIPKPEVPELPKPEVPEHPAVPEIPKLEVPEHSTVPEIPKPEVPELPKPEVPEHPAMPEIPKPDAPELPKPEIPHLEVPEHPIVPEIPKPEVPEHPDMPEIPKLEVPEIPKPEVPELPKAEVSEHTAMPEIPKLDVPELPKPEVPEIPHIEVPEHPTVPVPEMPHPELPETKP >Dexi4B01G0003200.1:cds pep primary_assembly:Fonio_CM05836:4B:2174838:2177587:1 gene:Dexi4B01G0003200 transcript:Dexi4B01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEIQYTGLRWELEAPTVIQSYYNKRIVDCPGGGEGEDEQDVVWFWMEKGNPHECPVCTSRYCWLLSSMKSFQHQFWHGMGMLHAV >Dexi6A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:6A:28419615:28430410:1 gene:Dexi6A01G0021060 transcript:Dexi6A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTARRRQTCAADDVKVAAAADVKVAAAAAVDDVKLHSSGINDKIVAMINGRTQGGGRTPSKFPIGVCESCDLRQQFDADGAGATPPATLFEVTLDGSGGLDFYDHDVSLVDGYNLPVVVAITVYFLLVVAFDAFFAPFLGKKILEYVAIGTYTTMAFAVFILYIRCTSINPADPGIMSQFEDGFIDAPGSTANIQDTTLPEKPDIASGTNSPTSACRSSLDGRSNRGGLADEDTNVDLRSPLPKSSRSCLLGGLVCALFLKEDCRKFDDLENQVNGEDALFCTLCNAEVRKFSKHCRLIITVGYFLNRKCTYLLFLTIISCLDPRQWLNNCVGRKNYFTFLALMTTSLLWLAIEIGVGVAVLVICFTNKNSERIIHDKLGHGLPRPGFATIVVFFTLLSLVACVPLGELFFFHMILIRKGITTYEYVVAMRDMSEAPQEEEDQEEVNIVYSPTNSATTGFSGASSLSLHYKGSWCTPPRIFVDQDEVIPHLEPGRVPSTVEPDAAGHTERANKAKKQVKISAWKLAKLDSNEAMRAAAKARASSSVLRPIDARRGPGASLSSSGNASMRSSISADYSGSATKEKWADMKLSSFHSSSYPQSLASQDDYESGTQSASNVGSPVHIHKPHTQISVPPRAPPLPPRPAPMVPRPPLPIAQMSNPVFQSATSYVRENRRASVVWDQEAGRYVSVAPAPTRPGAAVGGDQAARTPRFLANPGGELPRFLANPGGEPSNRVRALAPMNASSSALPSGQPSERLTYTGQSIFFGGPLLGAAAAGPRRSNDAGARVRQEERRELTAHQHDTGGERRRTAESLPVFAPGEFPKNPPPFNR >Dexi2B01G0005390.1:cds pep primary_assembly:Fonio_CM05836:2B:4996201:4996581:-1 gene:Dexi2B01G0005390 transcript:Dexi2B01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILAAFDDAIADGVDVISMSIATRFPSLYFKSAKSIGSFHVMTSGMVTSAAAGNSGLSGGRVCNVAPWMLSVAASTIDRRDHQ >Dexi2B01G0024480.1:cds pep primary_assembly:Fonio_CM05836:2B:33905276:33909225:1 gene:Dexi2B01G0024480 transcript:Dexi2B01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRNNIGDQATSDVVVRLRTPEGRDEWLYCHSAVLAAGSTYFADRLSDAWPTCQILGSRYCVEVYCQDLDLSSHVTALRLLYAGEPCSRFGVRGALGVLQAAVNLGCAQIAAACRGYIEAAPWEEADEEEILRTVPSLGAQYECILARLRPIDPAPVTSIFLSAFRHATRSSAAAPARELKSAAQEQLEYMLTEDDDAPLIAPDNVDVKAQVKDCVTGLLSRFSDFLSSILTKQKVTPLVGEDRKLQPELHTFVCDVCWVCQVLSKLEMMKCIVLYWVGVSSDVVEAVEVVNPGYDCLKTSLKVVEVSAKVLEAVAFGNIVLPTEKRRHMVNVWIAFARTTKTLIAQADRDDEDGDCETPKVNLDNEVWQGLESAIVSIVLTLPSNSQAEILSEWLRSKHARYPDLTEAFEAWCYRSKQFPFRSRLTRELADPNKPSGCPGPAQKMSSLSWAELISRLGNEPQKYKPFQCPLPPPSGGCSAAIPDPPRLEFRSRLTKRSRTKRLSRPSRENCLLFLGWICKPKRYRYEQLDRSNFLPIRHSQTAPMPAATGRRPPRRHSRSATVVDAGAKGKGIPRAEAREEKGQASPPSTAPARRSSPPGGATYGPPLPSTWTSASIASPCPGSPAPSPRTGPPPAASPPPSASGTARSSATPQRPSTVKLWLTYNDGCSRVRIASATLRSIDVGRGLGEFSLQQVIIEDSPCLERLHHDRAVALGQPKKMMDIKVILLKDRVCLDIDQLEIQVIHVIGDMARRL >Dexi3A01G0013950.1:cds pep primary_assembly:Fonio_CM05836:3A:10214744:10219296:1 gene:Dexi3A01G0013950 transcript:Dexi3A01G0013950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGRRSASAIGRAGCPARPPPLYAPGRRRASEREDEGRGWDGGAEKLLVRGGRRALLRSGASSGRMWWRYGAGWRMASPWLGRRFG >DexiUA01G0023640.1:cds pep primary_assembly:Fonio_CM05836:UA:48331260:48331959:1 gene:DexiUA01G0023640 transcript:DexiUA01G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPEEVLLRPPPPASLLPEDTLVDILSCLAPRGLAVSRAVCRAWRAAVDARGLLRADLLPLRLAGIFINFWNHPSPEYLSRPSPSPARVVSGHQMEYVPSAGKTWMRTRILGQCNGLLLLEVADDSGDHVVNPATRAWARVPPAPALADEAPPAVDILYRSYLVFDPTLSPYYEVISVPHIEWEPRLPLPAAVAGAEWPLSRCGEPQAPF >Dexi2A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:2A:32248127:32252133:1 gene:Dexi2A01G0020190 transcript:Dexi2A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSVVPLISELPGKKGGLPKRVWEESKKLWEVVGPAMFMRLVLYGMNVVSQAFVGRLGDRGLAAYSIASNVISGLSFGLMLGMASALETLCGQAYGAKQHHMLGVYLQRSWLILLAVAVLLAPVYVFSAQLLVALGLPGELSLDTGTISMYMLPVNFMLAILLPLNKFLQCQLKNWVMAVTTVAVFPVHVAATWLLVRYLQLGVMGAVISSNISWALMTGMQLAYAIGGGCPETWKGFSALALVDLKDFVKLSTASGVMLCLEIWYYRILIFLTGYMKNPELAVDALSICEAVINAVDSLSVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVSFGVILAWGFHYGITGIWVGMIGGTTVQTLILAYITLRCDWNEEVWFSSVTTNKSFVKHDFRNVQMIVCLLSPRLPKRFDFAGTES >Dexi7B01G0013020.1:cds pep primary_assembly:Fonio_CM05836:7B:19615124:19617846:-1 gene:Dexi7B01G0013020 transcript:Dexi7B01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGKRTCSSAREAESSVPSSQDHGSGVTIMASNRVGNKTIMIGRGRRKYASTSSHPGESSSAREDHGTSFVSSSRITAGKNHTSHRHDIPVITIDDIPLEARPSSSGYSNGISIDPSVQAQLESDELLARQLQEQLYNESPRFAPTEEIDEIVARSLQHEEDTHRTSRPVRRVPNNTRGARASRLSSYRNALRGELATADNMIPRWRNTAPITLGLGAVLARYPAASRIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVVQSNSIEEPCAVCLDNPAVGDTIRHLPCFHKFHKDCIDEWLRRKKLCPICKSEIR >Dexi9B01G0014830.1:cds pep primary_assembly:Fonio_CM05836:9B:9930710:9931933:1 gene:Dexi9B01G0014830 transcript:Dexi9B01G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQANAISGDMEDSTQFMQWALTTLQHEQPPPATPSGAAYVDNGCDDTVSSLPGPLGYSPSADSLVPQEPPAREGQRATNSWSSVDTDTVSGAGGASVTAWSPPQHSAMVSGSCSSGGTNQPVSWDFNSSSAQLVIREAAQPSSAATARAESGGGGGAPPQMAQHGSPPTRRASASKTSAHSSSAPYSPSQDHIIAERKRREKINQRFIELSAVIPGLKKMDKATILSDATRYLRELQEKLKGLQENGGGGGRGMESAVLVNKKPCIAVPDGDDGDGGGAPSSYAAAGPEIDVRISEGNVVMVRIHCQDAKGVIVMLLAEVEELHLCITHTNVVQFSAGILIINIIAKASPPLINLLMLRFEKKPYCLLMEGSTF >Dexi7A01G0000850.1:cds pep primary_assembly:Fonio_CM05836:7A:2292831:2293340:-1 gene:Dexi7A01G0000850 transcript:Dexi7A01G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRDHDRALKPSWTPGHNFSLAGCFPWPPQRSSPSSCYTCGYCRREFRSAQALGGHMNVHRRDRARLRQCCPAAYVPSSSLPTPSLLASRQHKAPLPNLNYSPPHCAAVPEPPPVIYSFFSTTTSTVGVATKATLEVSLELGIGVCGRGCEAVEEEGLDLELRLGCA >Dexi3B01G0002210.1:cds pep primary_assembly:Fonio_CM05836:3B:1541600:1543738:-1 gene:Dexi3B01G0002210 transcript:Dexi3B01G0002210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLITHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGNSEGEKKTETHITWSKGYTN >Dexi9B01G0016680.1:cds pep primary_assembly:Fonio_CM05836:9B:11470500:11470997:-1 gene:Dexi9B01G0016680 transcript:Dexi9B01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGGASARRAMAFSAAFFFFCCCVVVLADAGTTYYVGDSNGWSFSSPSWPNGKHFHAGDTLVFRYIPWIHNVVAVDEDGYNGCTTPPGSRTYTSGADHITLAKGDNFFICTRFGHCNLGMKLVVYAA >Dexi8A01G0015770.1:cds pep primary_assembly:Fonio_CM05836:8A:26855010:26856056:1 gene:Dexi8A01G0015770 transcript:Dexi8A01G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSTTVAGGGDRSRPVAPARRPFCPETATGSHVLNVKGYNETLGFLVAGKFVTSSAFTAGGHGWRVMYYPNGRDENYGDYISVYLDRLLDDPGDDDSVVRCTVIKNICTEANNTTLPDVPPSDLHRHLGNLLKTNSKVRGVVKLKIDEGEKMAMAQHLLVVADRYINKDTVANKVVLAEQHGCHGLKQACLRFMVPCNMKVVLASEGFEYLSRSCPSLLKELAANRV >Dexi2B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:2B:162303:165948:-1 gene:Dexi2B01G0000360 transcript:Dexi2B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTAGGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTAMDPFLKKFFPEVYRKKQAAQTNQYCQYDNQLLQTFTSSLYLAALVASFFAATVTRVMGRKWSMFGGGLTFLIGAALNGAAKNVAMLIIGRILLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTNKIKAGYGWRVSLALAAVPAGIITLGSLFLPDTPNSLLERGHADEARDMLRRIRGTDDIGEEYADLVAASDEARKVEHPWRNIIKRRYRAQLTMAIMIPFFQQLTGINVIMFYAPVLFQTLGFKGDASLMSSVITGLVNVFATVVSIFTVDRLGRRALFLQGGAQMIVCQVVVGTLIAVKFGTSGIGDIPKGYAAVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMFFTFCIAQAFLTMLCHFKFGLFYFFGGWVVIMTIFIALFLPETKNVPIEEMVLVWKSHWFWKKFIADEDVHVGGNHLQMAAGNGKGADA >Dexi1A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:1A:5246988:5248930:1 gene:Dexi1A01G0006830 transcript:Dexi1A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSPSSPPSPTHHHHPSKRARCSPARRREALLHQLFPLFPDMDPQLLERALEAAGDDLDSAIKSLTELRLESAEAILSTTAGESQSGLSAALKLSAQGTVSNDHLGVVTEHPPPTDNDRTNHHGSEWVELFVREMMSSSDIDDARTRASRALEAFEKSVMDRVGPEAVQNLHRENAMLKEQLAIILRENAVLKRGVAIQHERQKEFDVRTQEVDSLKQLVLQYQEQLKTLEINNYALRVHLKQSQQSNSMPGRFPPDVF >Dexi9B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:9B:656430:660610:-1 gene:Dexi9B01G0001140 transcript:Dexi9B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSFPEMSPAEIAAALHTFGIAPTANLRAEDIANPQPELLPSVLSLFLTEIAGHESDHQLGFDLLQALDNPEHHMCAITLRRLYQKARDFLDSIYFGGITLRDLLRPHPRRVVDILSAIVNFLHFRNEKLAFLDSIINEYPGWDERLTDLKSRIAEHEKKKADHACKEQMQQPVVQQLEAEVNALKQKIQEYNTQQLALRSRAKAIDEKKEGILAKSTLEEKKGFRDELKALEKMAMHKVQDKTNTFEMYTKACEKISKHFSKISALHEISTAAKASEKEAKANRAKISDQSLEIETLRLKAAEWQSKVQETEGRLKAKEKERDRRIGENKRKITTLKSEVESELKCLADKEREIKEKIDKSVDLCSQADSVEQAGRKKREEIFATFAQICETANLYVDGMERSMREVDEASMAITKS >Dexi5A01G0001050.1:cds pep primary_assembly:Fonio_CM05836:5A:711834:713517:1 gene:Dexi5A01G0001050 transcript:Dexi5A01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLVVCAASLVVLVVVFAAAAAATPGRRHRYDSIFSLGDSYADTGNGPVVFGWHAIANPVMRPPYGSTFFGHPTGRNCDGRLPIDFLGACVPFPPAVDRVAADDQSIALCSFAAEGLGLPLIPPFLARRSFRRGANFAVGGATALDTAFFHRWDPPGGSTFPLNTSLAVQLQWFESLKPSLCTTTQDCRELFGRSLFFVGAFGANDYLLSLGAKSIHQVRSFVPDVVRTISMAVERLIQHGATTVVVPGVIPLGCAPPVLVTFADPDPAAYDTRTGCLKAINDLTAHHNALLQQALHELQAKHSAGDVAIVYADFFGPVVEMVTSPAKFGFEGDVLTLCCGGPGRFNYNKTVFCGDPGATRCRDPSARLFWDGVHLTEAAYRYIAAGWLSSIKSSSPPGTASGGGANGTTATTAAKDKEGRSCCAN >Dexi6A01G0020360.1:cds pep primary_assembly:Fonio_CM05836:6A:27876406:27880721:-1 gene:Dexi6A01G0020360 transcript:Dexi6A01G0020360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGANEAAAIRVLEEHGNDLNEAVNAYFNEGDRSTTRINQNPVPANDDDMELDEPLDPMFHRPLFPRTLGNPLSLLGPGFADMTAADIFGAGPRVTHPRDVRQIPIEVKDSNTQTGSSGQGPVIEDVTGRESFYGPEVHGNVIVDEDDEDLPSTPSAHDPNITSSTSRPNQSMPSAPPLDDVSDYNNDIEEEMIRAAIEASKREAEGIKNGPNSGEAENVSRGRGDDEIARAVSLSLETAERERALRQEGMHVVDHSPDLSDKEDTERANHQMIDRRGLTTGEVGTSEQTVDEENFQEDIEDDDEQPLVRRRSRRLRDRTTDLVEAVQMDDSPPLSPHGHQHDGGFPSEWGGISSEEHDEAVMLEAAMFGGIPEGAAYPFSFPTRGRTHYPRVARPPSPTLTAQRLLREQQDDEYLAALQADREKELKAVEEAELRRAEEAAAREAARERQRKEEEEKLKKQREEEELESELAAKQASLPKEPLQNDEGAVTVVVRMPDGTRRGRRFLKSDKLQYLFDFIDISRTFKPGTYRLVRSYPRRAFTDGESQMSLSDLGLTSKQEALFLEKISG >Dexi8B01G0004950.1:cds pep primary_assembly:Fonio_CM05836:8B:4679713:4680992:-1 gene:Dexi8B01G0004950 transcript:Dexi8B01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMITRAEDLPCRHGGFKPPTYSLGANFPATQPTCAILSRSGARKDGFEDDAAALPAEDRHKGGPEDGYSGGEEDNNNNAAAASLEEIMATLTIEEDAAAALLDDDDDREKDDRGAASDDRDDHIVPFGKRAVQVLAIRANSRGNLSVCAINGYDWVLGRCIYRQHEADDKQQEGKFVDLVPVGPGQVFEAYGGFGLEIFYSFTRASRKDYDAYGYPFKFEWDVFPLDDDMEPEEYSETCCTGLGHLEVTFLVIPNAIGTNVEVKLKLQDLGRSRAAYGKIKASSADYGNRSVHLFCCGKGRSWPVPSGPMSILPLSPGVIAVPYRRQLELHIEVDLIVITIFDDNQEEYKNLKFNLTFNRGSRIQEREVDDDQVEVSVDFYPDI >Dexi2A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:2A:6169194:6170331:1 gene:Dexi2A01G0006520 transcript:Dexi2A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSDEEDAAVAGVERAVVAEVRAGWAEAGGGDEAGEEGVVVVVPDVGEEAEHRGRATTRRHRGQTAAARTRLTGSSGANRRFRQFHRTPPVLGFLRNVGYGKEFARFVPTSTFRPRRADWGGWRATAASRM >Dexi5B01G0032740.1:cds pep primary_assembly:Fonio_CM05836:5B:33333809:33336495:-1 gene:Dexi5B01G0032740 transcript:Dexi5B01G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGEQSAITTSAVAAVEPGVEQGCVSGGGRRLREKCSDEEEGSQRFSDAEDRSLHSHSRQGSAALEDCISTPAPVGCDAGASAVAGDAAERARKSCVSECSVDDVIDLEAGLTEIAKDSPDKAERNCRICHLGLDSAAAESGAGIVLGCSCKDDLSHAHKQCAETWFKIRGNKICEICGSAACNVAGFSDADFMEQWNESSNTVAAHATATEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Dexi5B01G0007410.1:cds pep primary_assembly:Fonio_CM05836:5B:4962591:4963400:-1 gene:Dexi5B01G0007410 transcript:Dexi5B01G0007410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTRSMAAARSPSLVHALPHDMAVEIAGHVAATSPRPMEDLRSLRASCQAMRAACSDRDVGRRVALEREAAAMRWADHDRYLAVVGILSGAGNPKACFLAGITLIFVHRSAQQGAELLGRAAMAGHKVAAYVLGVLLYTYNADNAVDDIARRHMRQVEGDEEVASSDDDVAIAKIISNSECVRCRAQAVEAVRQATWKMAELPNTTTVSNMVTPEEDDASHRCEVSGCGAHDGWSDSAVFCSEDCRIRHECAMFISQLPLTVANFAA >Dexi3A01G0033650.1:cds pep primary_assembly:Fonio_CM05836:3A:38355786:38357394:-1 gene:Dexi3A01G0033650 transcript:Dexi3A01G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISTSHGLLDFMRLKAAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRLVRDLYSMLDEINSEEAPVDLKVPESFDEFIWDMKSNDYDLRSFAFKLKATVS >Dexi7A01G0024340.1:cds pep primary_assembly:Fonio_CM05836:7A:32053842:32055904:-1 gene:Dexi7A01G0024340 transcript:Dexi7A01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPATGKDRPVVYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEDDWNDAINMECPPVSPSVAPCAPESSQGGLNYAGQHLSVTYDGKVSLGIVSGSNDVHTDPDRPPDIPIDSIHIQLLAEIIRCSSTNLLCTDAEDGSLNDSNAGYDNEDGVSSDDIETIFCEVDELVSQSVCPQLTSTEPDPEPG >Dexi1B01G0017860.1:cds pep primary_assembly:Fonio_CM05836:1B:24176139:24181013:-1 gene:Dexi1B01G0017860 transcript:Dexi1B01G0017860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTPLLRRLLTPSPSPTPSTPLAGAASRRTVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVHGDMPTVPAEVIESIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYAALVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANVAAGNVGQDHAVFEQGASAGNVGNEKIVEKKRANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIAKLD >Dexi2B01G0004460.1:cds pep primary_assembly:Fonio_CM05836:2B:4080209:4082468:1 gene:Dexi2B01G0004460 transcript:Dexi2B01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEALQRVPNNNGDKYLDEINQIRDSLAVMGDNSTAFSLPQPHLQRTQLCDLEDQELDPLYLERRDQLKQIVSSMIKPKIVQGRTLNGTEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILERCLKVYHERMGRVGLPVSVDKLQQFHDLGKDEATRLFEKQHFGKHHAAQSIFKLDEEIKKVFKNFGQANEYQSSKLCEARFSECEDKMEQLQVLKLPSMAKFDAGFLLCNQSFEMDCVGPAKESYQRRMSKVYFFYFTVHVEIASMRIMTWLYLPSKIVKLNQVLSCVHAFKMLARSRALFIKEYNNKLFNWLVIFSLVMVVIGRFVVKFFLLEIAAWVMFAFLETYTRLFWSSESLYYNPVWHLVVSTWETIVYSPVLDLDRWAIPIYWRCLGGRQGIARSLLPLYNGSYRNSNRTRTD >Dexi8A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:8A:12981381:12984242:1 gene:Dexi8A01G0009590 transcript:Dexi8A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGELLPQPEPSARYKPAMVFFERIGFYGVQGNLIMYLTGPLRMSTAAAAAAVNAWEGTVLVLPLVGALAADSRLGRYGAVMAASVLYLLSLGMLTASSALEASQPHAPPSTTPPARLAFFYAALYLLALAQGFHRPCAQALGADQFAPRETQAASRSSYFNWFHFFVAWGYAVAAAGISYVEDNVGREPAAGRRLANAVRAWAAGVFHGKDTIGRERLLDQKAEEGAGLVSVKLLPIWLASTVFALVISQVSTLFTKQGATMDRRVGTAGFAVPPAALLSFISVSFVILVPVYDRAVVPLARRLTGHPAGVTALQRVGSGIAASCLAMAVAAVVEARRLRVARDAGLADRPDAMVPMSLAMGVGSFPSGAVVSAIDWVTRGGGESWFSDNLNRAHLDYFYWLLAGLAALDVAVFFHFANRYVYTNKG >Dexi4B01G0001340.1:cds pep primary_assembly:Fonio_CM05836:4B:821007:821606:-1 gene:Dexi4B01G0001340 transcript:Dexi4B01G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGPTMALTAAKQYTVAALMATLAVAVIVTVVFAVVCPARVTFSVARTSFHHEDSTTTTGSLRLNLTLAIDNPSRRAAVAYESMFVDLSNSTAAVQGDNWIRATVTTPMPLRQARASPATVDAAVDLVAGPWAVAFTGDMTSSFSVIARFKVGVAWTRLYDIKVSCRPVAFFPAAKAKLGAAAASLPVKCI >Dexi3A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:3A:16436657:16443702:-1 gene:Dexi3A01G0020710 transcript:Dexi3A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGTAPPPPPHHNPQKSTIRRRRVLLCVSFAVLVALLLAAAAAIVLLAVLRPRDPVTELLSVNATGVLPSVVNLPTVSVQLNVTFLLAVRVRNPNPAAFRHGAATTSLYYRGAAVGYGEVPAGTVPSRGAAVVRMNMTVLADRVVAAAGIGGIIADVLAGEMEFEARTEVPGTVVLLGFVKRGVEARSVCRVAIGVADVKVRRQECHNEARLYIRRGQPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSVSDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSTDSSSEENAGSINISSILTGKCLAKINGGSGNSCKPKKAWKFQNTVSEALEDITALYYDEERDEIYTGNRHGLVHVWSN >Dexi6A01G0008830.1:cds pep primary_assembly:Fonio_CM05836:6A:9568064:9569545:-1 gene:Dexi6A01G0008830 transcript:Dexi6A01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGMMAQGIGHYAGTPTPCCSAYRGEASYVGARGGKTATAQRQPQTFAPTGHPKKICPHASTRPGADQYKAAPHLSVLHRNSTQTNNNHRTPLLIHHH >Dexi3A01G0035060.1:cds pep primary_assembly:Fonio_CM05836:3A:40328617:40329574:1 gene:Dexi3A01G0035060 transcript:Dexi3A01G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRATAPPAAVPTLPDEVLEVIFLRLGGAADLARVSAACATFRRVVRGHLFLRRFRALHPPPVLGFLHNMAGSGAAAFLPAEPPHRSAPAARALLGAADLAFSFLPPPLGPPGYWTDHPWHWSVRDARVLLSRRVPTDNDFADRLLFWRTSPDDAFADLVVCDPLHRRYVQIPAIPEDLVPIQRCDGMKFQPFLAPACKDEEDLLFRVLYNVVSQDKVVTLDFSSLFTGEIDSEPAKFAPLLLCGSFYWANHHEHYACA >DexiUA01G0003220.1:cds pep primary_assembly:Fonio_CM05836:UA:6582798:6584413:1 gene:DexiUA01G0003220 transcript:DexiUA01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRDTPAAAELKNMASKSLLLALFIVLLGLSSNSAAGQVLFQGFNWESWKQNGGWYNFLMGKVEDIAEAGVTHVWLPPASHSLAEQGYLPGRLYDLDASKYGNEAQLKSLIEAFHDKGVKVVADIVINHRTAEHQDSRGIYCMFEGGTPDTRLDWGPHMICSDDRTYSDGTGNPDTGADFGGAPDIDHLNTRVQQELIGWLNWLKTDIGFDAWRLDFAKGYSADVAKVYIDNTEPCFAVAEIWTSLAYGGDGKPYYEQNAHRQELVNWVDRVGGSGPATAFDFTTKGILNVAVDGELWRLRGADGKAPGLIGWWPAKAVTFIDNHDTGSTQHMWPFPADKVMQGYAYILTHPGIPMIFYDHFFDWGLKNEIAHLVAIRDRHGIQANSELHIIEADADLYLAEIDGKIIVKIGSRFDCGSLIPVGFQVVAHGDGYAVWERA >Dexi2A01G0031940.1:cds pep primary_assembly:Fonio_CM05836:2A:42586976:42587355:1 gene:Dexi2A01G0031940 transcript:Dexi2A01G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALCTYERQYAEMSSSSGVLGEDIVSFGRESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDVGGGAMVLGGVPSPSDMVFSRSDPVRR >Dexi2A01G0031570.1:cds pep primary_assembly:Fonio_CM05836:2A:42323350:42324372:1 gene:Dexi2A01G0031570 transcript:Dexi2A01G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQPADPAVKANEILARFRPIAPKPTLAAAAAAAAAPVAQAAADGVVAANRVLCQLQSRPCRARKRGRPTVVPPPAMPPKSPGAKRKSAAAPPYPPLRCATPTDAVVATATRAHVSVVVPGSSACLPGEGLVGMKVAVEERDVPVERVLLRKLLEPKVISPRAVRPVCSTIYVECIRRRRTDASSTAAAAISKTAAEVEAELEVEALPAVVSDSSNRVRLVNDAYKEMVGQPECPWLDAVAALSRRISGEVVLVVADDSSVPESYDTFTCVAKIEWEYDGKLSSVSAPCDVRRLQCESREYLFTWRFRTADADASVGRRSGETSDSLHHALLCAKPSA >Dexi9A01G0025680.1:cds pep primary_assembly:Fonio_CM05836:9A:26361114:26367960:-1 gene:Dexi9A01G0025680 transcript:Dexi9A01G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRTTPRGMGARDSPATPLHAVPPGKVLERVGLVAGAAAREPALPGADVSCAVTRDSGPGPWGGRQRQGFVGPPLTAVDLDWTRRYAGLHPRNEILYHHAVKHYSAMRTFQGQNPENSRDHTCKSLPSKKKLCKVPESVEVHIIDGDDDDSGKDYSAQYMSKQLVLYNPEVTHDEQSDIDHCTSPRGSSKKPRYGHGTVLPSIGAYTVQCASCYKWRIIPTNEKYEELRESISQELFLCTRASEWNRALSCDEPEDISQDGSRVWALDRPNIAQPPPGWDREVRIRGASSKFADVYYTSPSGKKLRSLVEIGRYLEKNPQYIREGVNLSQFSFATPKPLQEDYVRKRTLRDAHELPEFSEIAEVDPLCWAVPPTCTELLTGPDSSTSDPASVNQPEMSDRQPEASRPPARNQKMRTLEQVSSRKCQMTSPAASTPFGEQSGGHFIDIDHVPL >Dexi1A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:1A:7294013:7295624:-1 gene:Dexi1A01G0009110 transcript:Dexi1A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQWSLPSSFSFLVVAAAFLASAAVSSAGIADFDEHWQKRKELAEASAMASYKPDPYNVTNTFNAAVHMASTDGLRREMGERKKKRSGGPCRATNPIDKCWRCRRDWATDRQRLARCARGFGRRATGGLGGKIYVVTDPTDADVVNPRPGTLRWGAIQTGPLWITFARSMIIQLTQELLVSSDKTIDGRGAQVHIANGGGITVQFAHNVIIHGLHVHDVKHTMGGMMRDSPTHMGPRTKADGDGISLFGATDVWIDHISMSNCEDGLIDVVQSSTGVTISNCHFTNHNDVMLFGASDSYPQDQVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSNAPTIISQGNRYIAPPNMAAKVITKHYADEGVWRNWVWHTEDDLFMNGAVFQPSGGAIPRKIKKSEWVKPKPGSYVTRLTRNSGTLSCSPGRPC >Dexi8A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:8A:24574373:24574933:1 gene:Dexi8A01G0014160 transcript:Dexi8A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPAMRLAVLALALCCCCLIHASASAFADASFPPGLKAKQDTRAAPASCGAGNQAVLAGEVEAAGDGAGTGGRMDLELEDYPGSGANDRHSPWGQERRN >Dexi1A01G0031880.1:cds pep primary_assembly:Fonio_CM05836:1A:36674188:36675069:-1 gene:Dexi1A01G0031880 transcript:Dexi1A01G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPDAGLVRRPRRRIVEQAPALPGPQRCAGLTRAKQINQQPPARRRGRIHLPAADTRFVAQHKHHGRHQEKIEAAAQRHPHPCSLLETDPLRCHVALHGEGDKQTEGPPLGKSEAVELDDASRNTNRAWTGGSREPAHRFPHHRREF >Dexi7A01G0003380.1:cds pep primary_assembly:Fonio_CM05836:7A:12791249:12797719:-1 gene:Dexi7A01G0003380 transcript:Dexi7A01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWVLFFGFIFVLIIQSLAAKLGIITELCNMARKMELIGVIFILIMAACFFVELGSANPPAGEVIRGLFIPRLRGAYAMSDAIALFSALIVPYNLTVFIQATSTFFLIENAFALFLVLLVNVAIVSMTGTICADSQLVDDIGICSGLALNSTSVLLKGFSGMRKCIIYIIAPCFTIVPTLIICIIGGVPHVRQLINISAIILAFVLPFALVPLLKFSSSCTMIGPYKNSNC >Dexi2A01G0023940.1:cds pep primary_assembly:Fonio_CM05836:2A:35620064:35621642:1 gene:Dexi2A01G0023940 transcript:Dexi2A01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAALLRPLVGSPLLPASPLASRRRRRFRGGVRVRSATGEGGRGGGAGDGDGASASWLSSAVGEKVDELLRREENRALLEGVEDAERRVERARAALADIERQEAAARLAREEVRRLEKRRDEIEESQRELLQAREMIDEAQRSLSSCIEEGSFGDVSSGDIDEDSERLESVKAAAVSSIVGVLASLPISFYEVQDLPQLFLQSSVVFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRGLAMLESGRTLELSTDTLISVALDGAVRVVENIFIFLPAAVALDYCFKMGFLSPFPTRKQ >Dexi5A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:5A:21897404:21897688:1 gene:Dexi5A01G0018370 transcript:Dexi5A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYQSLLSLSPADWIGESEFADDDRSAVSSYLSFEATGEECCHHNPPEAAFHADQRAPEAPPLFDTLEADYCESGMAGSSSEGEPGRHSQADK >Dexi4A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:4A:24666141:24667035:1 gene:Dexi4A01G0020910 transcript:Dexi4A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRNSEQLGSNADAMQVDQGEENTDGALHKTLLKLEISNSFLTSIRLMLAWDRSTFLQSKYNDTIKYEELKTAKNQLTSVKSQKKVSTDMHVLTLTVGNKKFIRSGDCNILAAFCYTTQLVVCRLCYKKLTRRVDIPFSGITSLLVCFDHMGLDTLRIEAISSPEYFCADNPPPGMFTIWGFDDTFPETK >Dexi9B01G0031840.1:cds pep primary_assembly:Fonio_CM05836:9B:34116341:34118235:1 gene:Dexi9B01G0031840 transcript:Dexi9B01G0031840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKSMERASSFAMACNLLSRYVRENGPAAGELGLGIRAEAAEAQKTSADAEKGKETMDLFPQNTGFGSDAREKEKRQLTIFYAGKVLVFDDFPAEKAKDLMQMAGKGASVAQDSGSLPLPTVATVTDSTKVAAVPAAPIPVVGAQRNAADIPQAPKASLRRFLEKRKDRLTAKAPYQGSPSDATPVKKEMPESQPWLGLGPQTANPDLSLRREHNH >Dexi1A01G0013880.1:cds pep primary_assembly:Fonio_CM05836:1A:19501874:19544620:-1 gene:Dexi1A01G0013880 transcript:Dexi1A01G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDRQKLFEAKLQQIEVPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFATGFTLSKLAAVTVDEDAIGFHGRGLGTSASFVAVMLLGHAKVETVKSKETLHRKGASKKRWWPFGWNSAGLPPEEATHQESELDEEEQLTKEEWQAINKLLSYQTDEDLSFPLEKVPPNAIRFMMDVSIGQAAARIINIDQTEVLCGRFEQLQVATKLYPKCTRCDVTLKYCGLSSPEGSLAQSVVSEGKSNALDVSFVRASVGMDLDWQLMAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVTMETATALQLKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANEPTVGNEYFVLDFGHFTLQTRAPPDVNILGEPVSPPEPSTPRLSSLGSVDLVVNGSVIETKLSIYGKLDRKSKDPQELLMLELLGNGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSKYLAFSVIDEVVEPTCSDQNCNLHENEIPKLVSDANDYTETSSKDGSWFDGDPQKVKPSEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLGTVNSVPRNNPDKAPATQTVKPSGKEDGAPTIVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDMKVHPSSFSIDGMVGNMRLCDMSLGPDHRWGWLCDIRKPGVVSLIKFTFQSYCVEDEDFEGYNYSLTGQLSAVRIVFLYRFIQEFTSYFMELATPHTEEAIKFIDKVGGLEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDCIQLDLGQLKVRNEFSWHGGEETDPSAVRLDILHAEINGINMAVGVNGTLGKCMIRDGHGINIEVRRSLRDIFRKVPMLCMNVQIGLLHAVMSDKEYNVMTNCVSTNLSEPPNLPPSTITGREESLDPKNDPLMRCVYLNTGSSYSIAAEDGVEVSVLESSFGNDDEDLLKLEEHSKRSLQNASNAPANQMLNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVIEPVDISWKYTSVNEKTNIHAGGPVHGGGVLAERGRKRRDVRGRSAGSSPLASTAHLSSDPSERAMRSSTADMNFSSIASASISSDNTARSGRPQSAPSPPLLPLSAVPSQSSWWWQRSGGCLCHLLRLWLLFEPPTLGILFKCDTVVSEKPVQFTRVAQIERKGLDEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKLSLVSQANMAEDPITRSSSSKGPYSWSIWKVENQGCTFLARPDVKKPSARLAYRIADHAKPKARENITAELKLGSLSVSILDSSCGMVTPLFDTTIANINLATHGRFETMNAVLICSIAASTFNRHLEAWEPLIEPFDGIFKFETYDTSEHPPSKVGCNASDQHKVFPQSARTRCVKPVRTTELQTHYAKWNEHFIFEVPEQASANLEIEVTNLASKTGKGCCIDIGFVVLGTCFFFLDGSETKPKVWKLLRLRVSVEHDLGASDAAPKSLRLFVPYWIKNHSSIPLSYRIVEGETTESSDADSLSRPDSLSRVAKSSKFSLKYSSKSLVRRGTMPRNTQVLEVIEDCSTNYVMLSPQDYLNRSAGMRSESRDNNLSPARVAISVSVGSCTQYSIGVSLFELENKEHVDIKAFASDGSYYWFSAQLKMASDRTKVGGDDYSWRSLPPNSSASFFWEDLSRRRLLEVLVDGTDPMNSMTYDIDVVMDHQPLTNSSALKKALRVTVLKEGKLHVTQISDWLPDNRNRGQIIERMLSPIFQPSEVDYGQSSPDLDSEFHVTLELMELGISVIDHMPEEVLYLSVQQLLLAYSSGMGSGVNRLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMNAAVSNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQSRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEHKATGQAILHLAECATFLGQIDIFKIRGKFASTDAYEDHFVLPKGKILLITHRRVLLLQLPLMTQRKFNPAKDPCSVIWDVLWDDLVC >Dexi1A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:1A:23750038:23753127:1 gene:Dexi1A01G0016510 transcript:Dexi1A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSRSTVVFGLRMWVLVGVGVGAAFVLLLVLISVLCLLGFRRRRRHRPTNSVQQLPTTAPPKNPSNTKSPKDIREVPFSGAASASAKPQLAQVLQLPTPQVSEQIATVKENRITYPEQQRHPSQRSEGPSPHGSDGSRGGGVRGGAADHATQAVPEVSHLGWGHWYTLKELETATGMFSDENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGEVGPVSALTWEDRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDIYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSDGVVDPKIPKKPTSRAVKKALLVALRCVDPDASKRPKIGHVIHMLEVDDFPYREDRRGNRAPGHARAPEKPAGVDHETDNITNGATIAEPFRWRNPDA >Dexi8B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:8B:19108994:19110315:1 gene:Dexi8B01G0010480 transcript:Dexi8B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLTTNFAIFTAILIHCLSISSAIAHKQRELNDSPSAFSLQLVTIPEGPDHNASRGSDGFLHFLTTSAVDPNYVPIHGRGADVRIGTLTAFQEVTLMVSTMSSLTWLQCKPCSPMVSQRHPLFDPNKSPTYHAIKSVSLKCLPPFRPAQELDKCAFHLVGQEGVWASGFVSTDHFRISSGALQPDYLFGCAHITRTFNNGGNAAGVLGVGRGPMSLATRAAAQGLTNFSYCLSHETIWSDVPHMPGRYRTTRILLPHDAHDSAYHVRLIGVSLGERWLDGVLPEMFARRKDGQGGCIVDLGTPMTTMAQEAYRVVEEAMWLDLKHHGAERVELAGYGLCVVETETIKGCLPSLSLHFAEEEAMLVVSPEQLFVVIDDDRKIGQVACLAMTPGRRTIVGALQQVDTQFVFDLKEDKLTFWPESCGLERV >Dexi6B01G0017650.1:cds pep primary_assembly:Fonio_CM05836:6B:24770605:24771803:-1 gene:Dexi6B01G0017650 transcript:Dexi6B01G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGESSNANSSVMSKRQRKSETEAQIQTTTILHSSSVVVEFRINYKQAKHRGIDDGTDGKDVSFLVDGETFRAHRAVLAARSPVFQAELLGSMAEAAMPCITLHDISPATFKAMLQFIYTDVLPEDHDLGGDPAEMFELLLVAADRFALDRLKLLCAQKLWENVSLDTVGDVLACAEIYNCPELKNKCIEFVVADKNFRHVVLTESFMQLGQRFPSLIAEVREKAVSI >Dexi7B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:7B:14234079:14235099:-1 gene:Dexi7B01G0006520 transcript:Dexi7B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSDQVPEAAKKLVGKVAVITGGASGIGECTARLFVKHGARVVVADIQDELGARLCAELGGADVASYVHCDVTVEADVAAAVDHAVSLFGGLDVMFNNAGIGGAACHSIRESTKEDFERVLSVNLVGPFLGTKHAARVMVSSGDRRGGCIIGTSSLSSAVAGAASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEMAMEAVGNLKGVRLRVADIAAAVLFLASDDARYISGHNLLIDGGFSVVNPSFGIFKD >Dexi7A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:7A:22325844:22327166:-1 gene:Dexi7A01G0011970 transcript:Dexi7A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLRCPPSISASVPCAALGSSLHLSLPVSRRPSLSCNRACRAAGVRCSAANKPSPPPPTTPDSSEVSSMAKIRSEVLSPFRSVRMFFYLAFMASGALGALIALTQLLPALSNPARSAGAADTAKGLGIDVAAVSLFAFLYSRESKAKDAQVARLAREERLSRLKLRVGEGGRPFTLSELRGTARLVIVAGPAEFVAESFRRSQPFLRELTERAVLAVPFATDGNAPELRLDDAGGEDGVGVDDDLARRSKRLWQLAPVYTTEWAQWLDDQKKLAGVPPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPPMKGMWSGLLDGMDGRVL >Dexi4B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:4B:10158084:10163710:-1 gene:Dexi4B01G0012350 transcript:Dexi4B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYLSQAASSVPCHPEQAASLLRLKRSFFFDYSITTLPSWQAGTNCCLWEGVVCNSVSGLVTVLDLSGRGLYSYSLDGAVFNLTSLQCLDLSLNDFGGSRIPAVGFERLSVLTHLNLSYSGFYGQIPIAIGKLKSLISLDLSSIHYMGGPEIDTLYDIEDSFNLLLLQEPSLETVVSNLTNLKELYLDGVDISSSGEDWSKTLGKSVPHLQVLSMAYCNLDGPIHSSMSRLHALNVINLKANGISGMVPEFFADFSNLRVLQETIFSGVTLSNFGNLISLEELGLDGRSISMEPAYLFVDKLNSIINLQLSLTDFSGEGHFSRLQIIDIAFNNFSSKLKPEWLNMFKSMMGKFNETGQIIGHSGYSYYYQDTVALTDKGKYITFERIMTALNAIDFSNNKLDGNIPPQLGKIGQLESLDLSWNNLTGEIPQEVANLTFLETLDLSNNNLNGRIPQSCQFGTFDNSSFEAAILMKQVPLGKFYRLKCILCSSHMDAAAGRRRAKGPTSQLPASRPPCLPCQSKYRVALPPVPRCRLRHAAPPLGALACGAPPSTPALLPSVLRRSPRSCPHRSTRARSLVRGRPLAV >DexiUA01G0002760.1:cds pep primary_assembly:Fonio_CM05836:UA:6014211:6014900:1 gene:DexiUA01G0002760 transcript:DexiUA01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAATRRFHLWFRGLRSLRRDLVSARWSDDPAQIAALVGRFVAHLESYCAARAELDPVWTLSAPWATPAERGAAYWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVRSGNLGDLSPAQLARVDELQRRTVAEEDALSREMAQVQEGHGVEVVAAEEAGGGELDVSGLVRRVREVLDRADALRLRTMKTAVEILQPAQAAELLVAAADMEIGFREFGLKHGSGRDG >Dexi2A01G0021060.1:cds pep primary_assembly:Fonio_CM05836:2A:33235984:33236710:1 gene:Dexi2A01G0021060 transcript:Dexi2A01G0021060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFVCSGEEPFEMEVGFFDTVQDIKEKLQTRRGWPAAAMSLLHNGDVLADDVDCVVERHGIVEGSVIHVALLNGQHQQQQQQAKRNRAARKVRGEEAAPAPLRVTVVSRCGEGRVEVAMAARSAVAALRAELERRARGAGFPLPRDGAYFFIHRQSVMDEARSFEWHGVATGDEVVVFDGSVTRAPAY >DexiUA01G0004740.1:cds pep primary_assembly:Fonio_CM05836:UA:8819107:8820608:1 gene:DexiUA01G0004740 transcript:DexiUA01G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSSTPGGAPQEAAADHQLQQQLHDDEEKLINDLLEQYIARQGYYAPSSTGGYYMQLQQELDAGAGVAAARSRGVHYILYAFGRLGLAASTAFDAVNYLDRFLSINCHLRWDEAWMVELVSLACLSLACKLDEVNIPSLHHLQMEEVMTHSFRPSTVRDMELTLLKALQWRLACVTPYSFLHLLLPLVVSNEEEEAAAAASQCLLLRSLAEPSLLLRFEPSVMAASALRCCIMPAAAATNGVISRLLTNMRPPPDHHNAARDDAAQDECFKMMRALFLSTTTVNDS >Dexi1A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:1A:7045725:7050309:-1 gene:Dexi1A01G0008930 transcript:Dexi1A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLFLCAALPHQGGQPAPADERCYGLCHPDAPDRLVGREDPSRYEVYKQPHSIITKKTKKMVREQQEYIDGLLARRPIKPFPFIPEEIIQRQDPKEQEETRALQARIAAHIKVVRDEEEDILEQHRVKGYAETEVEVEDNEE >Dexi4B01G0012840.1:cds pep primary_assembly:Fonio_CM05836:4B:10832997:10837278:-1 gene:Dexi4B01G0012840 transcript:Dexi4B01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAFLLMLLPFSFLVCATKPEKISRSQFPKNFLFGTASSAYQFVNREDSKWKQWRHSNRFLPSISGIEPFVTLFHWDSPQVLEEQYGGFLSQHIVEDFGDYANICFREFGDRVKNWITFNEPWSFSTGGYASGVDEINNENLPLQEALVDNTRIEFYQQHLFHIQRALKGGADVRGYFAWSLFDNFEWTDAYTVRFGINYVNYKDGLKRYPKHSSKWFQDFLHK >Dexi4B01G0018230.1:cds pep primary_assembly:Fonio_CM05836:4B:20596517:20598319:-1 gene:Dexi4B01G0018230 transcript:Dexi4B01G0018230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALQAHCGAAGLQLDAPPFPSFQFAIVLVLQLLLVRSCLILRKAGSVVNSEEEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFAPMSVESFLDSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLSRENCEALHRSIHEVCLT >Dexi8B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:8B:950489:951354:-1 gene:Dexi8B01G0001430 transcript:Dexi8B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFLSILLHFAAAAAAAAADAEKPYRSPATALFVLGDSTVSCAGSILPLNLTITPSLTGAGGPCLFFPSTRRLLPDLLAAKMGLPSPPLISTLNGTAAAAARGVNFGGPQYYHYGGDRGIFRMGSVGQQLRLAAETLQLLQHEAGTAQDASSVEEANELIEGFNARLAARLDDLRPQLPGADVVFCDVYKGMMEIISNPGRYVHQ >Dexi3B01G0017810.1:cds pep primary_assembly:Fonio_CM05836:3B:13170504:13171598:1 gene:Dexi3B01G0017810 transcript:Dexi3B01G0017810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGELLTAARGAGTSPSTASSGASAAADLAGAGGAGAIGGGGGNFPLAVALLAFAFANFINLLSIWLKEKRWDARKFLTSAGVMSSLSATVGSLAVAVGQQEGANSSEFALALVFAAVVCT >Dexi9B01G0044190.1:cds pep primary_assembly:Fonio_CM05836:9B:44015331:44017648:-1 gene:Dexi9B01G0044190 transcript:Dexi9B01G0044190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVEVAADATAAMETEAPAVAGQKREREEGGDPAAEGGEAAAAAAAAAEEGEAAAAKKPRVEGDSKEEKEGETKEEKEADGKPVKLGPKDFASGVEMFDYFFALLHSWAPQLDFNKYEQMVLEDLLKKGHAEPEKKIGPGIEAFEIRNHPVWQSRCFFVRRVDGSADDFSFRKCVDNILPLPEDMKIGNGKKSGGHHKGGGLGRGGGRGGGGRGGWRGGRGRGRRGG >Dexi9B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:9B:9211723:9215302:-1 gene:Dexi9B01G0013740 transcript:Dexi9B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPCFTQLSPPSSSWGNDVASCKDTSVRALVRSTRTLSHCHFRCCASPRSANSFKKKESFLDLHPEVSLLRGEKNVEVVDPLKGAKDGSPLEGLGVPPDRSDYSEARIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAIRMSPVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALDGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGMDLTLFEVNAAAEIIYDLVDPKANLIFGAVIDPSLSGQVSITLIATGFKRQDEPEGRTSKGGQQIPGENGRRPSSAEGSMVEIPEFLRRRGPSRFPRA >Dexi3B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:3B:3371169:3371474:1 gene:Dexi3B01G0005070 transcript:Dexi3B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHVAIVMDGNRRWAKARGLTTPEGHEAGAQALKKIVQLSAAWGIRATTMFAFSQENFKRPQASKADRHACYTWRSTY >DexiUA01G0009780.1:cds pep primary_assembly:Fonio_CM05836:UA:19218220:19222660:-1 gene:DexiUA01G0009780 transcript:DexiUA01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMKLRIAESWRCVSRRMPPGTRLGDVCLFHPLGTESEYLGDGAEFDSNFDNCLPVEETDYRKSTGSRVFILENPGRTLYTGETYQLQVDFPEHYPMEAPQRWDQYARLLSSLPLPSFSSLSAPTASVKASGGSTRFGRGFTLWRHNAAYELDWGWHTASGNGATALPRG >Dexi2A01G0017290.1:cds pep primary_assembly:Fonio_CM05836:2A:29131201:29131927:-1 gene:Dexi2A01G0017290 transcript:Dexi2A01G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTASWRLLSPSSSSPPPAAPQLPRRQAKLAKPPPQCSAKLRVLCLLHDKVEAPAALAVTGEEDIDILGILPPLAAFAFFYFLPLIMNWMRTRWYKRKFVETYLQFMITYLFYPA >DexiUA01G0005190.1:cds pep primary_assembly:Fonio_CM05836:UA:9364271:9367169:-1 gene:DexiUA01G0005190 transcript:DexiUA01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAPDRGRETSWDGELAALPTARRVAPHGGGVARPWRSAPRKLRRGDSVQTFSIQSQAQSSQLTAPAPRVPASSPDMGKDASPPGKAASPLRSFSSVFVHADVVDVVLMVLGLVGAIGDGISTPVMLVFVSCILNDVGDGPDLIQNFTSRINQNARNFLFLAMGNWVAGFLEAYCWTRTAERQASRMRARYLRAVLRQDVEYFDLNTGGGSTTSEVVTGVSNDSLAVQDAMSEKVPNFVMNITMFVGSYAIGFVLLWRLALVAPPSMLLLVVPGFLYGRILIGLARQ >Dexi1A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:1A:7673699:7678483:-1 gene:Dexi1A01G0009440 transcript:Dexi1A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMQLDNSGQAYFMRELVPGSEDSGIGSEEEAINKPEPPARSKSDGVLYISPSDRLDSQDLNVEHQEKQTSDDFESYGGYGRLEEAEDLPGQAEGGNSEVVLVSVDGHVLTAPISSTEGMEDVQLSDPQFHLGPGQGSNGDFNHSGEEWDAGILDDLYISQEKVKFESGHQLNVLIQNGEIAMKNDESHHILADKDETLHVSVNEDELLNVSVNEDEAHIVSTNEDEAHVVPINEDGSHVVSANEDEAHVVSTNEDEDNVVSTNEDGVHDVSRSRNRNNDEFNQPMTSENESHGGSENVDVGCQPLTTEDDSCDVPVLEIDNDCKFLATKDEVCDLSNKDAELEDAGTGLGKNDTFQSCLDLTSQIDDGDSGNELFSPGSDYHRDSDLILGNCSVAETDVQEGETKPAYFDQDALQEGADASIFTSEVDGTHNKKSSFHYGMESDLSPEGGSHNRSKKIASEIEAAGSDGLQSSMATSDNKLGIIPEHSEVEEEQNKEEHSQSHKGLGVEISLCGNMLQPGMGRESAEEAFQQHLVSEEDFKSSGSTIIKNTNLIVKVENKYFPWSKVSHVILGKAIFGSKFSIETIDAIPVERQERANSREDSLRVSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPIDEQAQENNKSQSPRKQFIRTLIPTSEQVASLNLKEGQNLVTFSFSTRVLGRQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >Dexi2A01G0029260.1:cds pep primary_assembly:Fonio_CM05836:2A:40286765:40287539:1 gene:Dexi2A01G0029260 transcript:Dexi2A01G0029260.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASTHDDDDN >Dexi4B01G0002350.1:cds pep primary_assembly:Fonio_CM05836:4B:1492902:1495912:-1 gene:Dexi4B01G0002350 transcript:Dexi4B01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRPTPSPQGLQLVVPQDRPRENLQRAPSPVVTEDLQPPPPPYDLCQGRSQEILSTMSEKCVAVVAESTSALTLQEPDASASGVLLDYTSSEESATDSDDDSPPSDSSLGSKILFRRTPKWYQDLAHDLESLLKPGWIIEKNRCYYHFNFTTKAGKLFFAEVSKKFGERAWKVNCCCIIDSNENGHCYGCRNNGSPDMKHPNNTDAYTAGRLDVFLPFGEEEPFSDDVSEAARLRVLFKDHNPDAINRIYLRAMELRGQTVQRA >Dexi5B01G0036250.1:cds pep primary_assembly:Fonio_CM05836:5B:36044048:36044896:1 gene:Dexi5B01G0036250 transcript:Dexi5B01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAVSFLTRIAKVAAGIGVAASVGSASLYTVDGGERAVIFDRLRGVLPKTEAEGTHFLVPILQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLSRPDVDRLPDIFNSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLTQRAREFNIVLDDVAITHLAYGPEFAQAVEKKQVAQQEAERSRFLVARADQERRAAIVRAEGESEAARLISEATTTAGNGLIELRRIEAAKEIAGVLARTPNVSYIPAGDNGQMLLGLNAAR >Dexi8B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:8B:27540087:27540962:-1 gene:Dexi8B01G0016330 transcript:Dexi8B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEIGILCHGDGGGEFTVVDFTNFGHDGELCLLHQHGSPEKDIETLTQWRVKKVNFPQDNGPSVHHWITDAVVPIDGRFLCWVDNYQGILVLDVVLAIADEEGPVQLRYIPLPEKALQSGRRVDPDGDCPDAARCVGATAGGMVKLICVDEATRRWDEGDDGDDMEAAEFWGLLYSGSQQMRNLPRVKPAYPLVSLVDPDVILFLLEEDHDTYWIVEVDMRNMVLRSCARYMNEEDEEGCVAERVRRNVFDGHSFFPSEISSYLL >Dexi5B01G0021380.1:cds pep primary_assembly:Fonio_CM05836:5B:23648516:23649363:1 gene:Dexi5B01G0021380 transcript:Dexi5B01G0021380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGIFSWATLISRIQSESPTVIIPHIGLENITVSEILKAKGEAEARAVYWCDTSNLVHEAVKHMTAHNVGALVVLKSGDMKQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEVR >Dexi2A01G0030890.1:cds pep primary_assembly:Fonio_CM05836:2A:41717099:41717770:1 gene:Dexi2A01G0030890 transcript:Dexi2A01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARHPCFGSLLPERIGYVQCNFCATILLVGVPSGDGGLQLKTVAVQCGSCGGILSVTLPPLPPTSVELPLQELGVDPPPRDSDESSGEDRGPEATVAENYRVNKPPVRKQRTPSAYNCFIK >Dexi8B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:8B:5621889:5622980:1 gene:Dexi8B01G0005510 transcript:Dexi8B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLSMRMRCLRPSGGGRRRCPVLGGETAAFCASLVEGLAHLESTLEVDNGGGGVGSGSVSMRWCADAMRVVKRMQREMLEIFRKADVPAASVVFNSGGGVAAAAAGDWFEHYMQETAALLDFCNAFKTAVSRIHRYCMVVDFAAHLAAGDAAAAASLVVVESAAAAAACQLASMSPTASVKNKIAGAKAAVTDAERLGRTIVSGGGGGGAMVGVTLVAKITASILSIFVLQALTTSPTSLDVDAGGGVGPPLITSAAVDDVPELAPWCESLSLIHARFPSKATVVEHEKVAMVVRDLINGKANGGGGHGGEQEGHVELLRARSGELREGVEMFDCVLDEVFDEVIRGRNEMLAILRDKALT >Dexi9B01G0043750.1:cds pep primary_assembly:Fonio_CM05836:9B:43686464:43687297:-1 gene:Dexi9B01G0043750 transcript:Dexi9B01G0043750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQQGVPLDPSSSQQQPEQQPPPLLPLPHQYLPRPLAVQHLLPPEHLPRPLAVQHMLLPEHLPRPLAVQHFLPPEHLPRPLAVQRLPPPSLPLPPPLPQGLALAAAGGVPWQPQPQQLDGARLHMSMPRPQFHGHIPGVGAAIPFPASTFLSAGQLTPQERQALLDVCHLVELGEGGFADSSACLVRLLQEGSEDVRAMVFNGVMGALQFVMTSRKWHVVFVELLRAPRYYELQRIVDAACEGKVSLPFIASSEHGYVCE >Dexi9A01G0017570.1:cds pep primary_assembly:Fonio_CM05836:9A:12622081:12622464:1 gene:Dexi9A01G0017570 transcript:Dexi9A01G0017570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQDVENLKKEWSQTVSQLEGSIAAIESCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGCVLA >Dexi9B01G0037110.1:cds pep primary_assembly:Fonio_CM05836:9B:38623113:38623691:-1 gene:Dexi9B01G0037110 transcript:Dexi9B01G0037110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATNSWTLEIASPVVAPRLFRAAVMDWHTLAPKVASHVVASAHPVEGEGGIGSVRQFNFTSAMPFGFMKERLEFLDADKCECKSTLVEGGGIGVAIETATSHIKVEPAADGGSVVKVDSTYKLLPAVEVKDEIAKAKESVTAIFRAAEAFLVANPDAYN >Dexi5B01G0013410.1:cds pep primary_assembly:Fonio_CM05836:5B:9522038:9527303:-1 gene:Dexi5B01G0013410 transcript:Dexi5B01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGMVETDPEGALAGFDQVVKMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASPNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKEDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYNAIDKWNTQLKTIYQTVSNRVG >Dexi2A01G0013930.1:cds pep primary_assembly:Fonio_CM05836:2A:22719570:22725879:1 gene:Dexi2A01G0013930 transcript:Dexi2A01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLICRVLSVLGNRVSELTLKDVTRKCSVPSTHVYSGRQTDKIALGKIERSVQAVGAALKKLENGDSVNDAKAVCEPDVLKQLSKWHIVDKLHWYVEPGDTIVDFCCGSNDFSWLMKEKLGKVQKKCHFKNYDLIQPKNHFCFEKRDWMTVKPNELPHGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLVVLIVPKETKRLDQKKTPYDLIWEDSECLSGKAFYLPGSVDLNDKTVEGWNALAPPLYLWSRPDWTKKHMKIAEEHSHTNIGKIACHVDCLSDGPVREEAEPSDMIKTRLGEGKEDTGKESFDTKEENLLDDLPVRKQAEATNKWNSRSGKERKTDRTACNDTEANLPVDHAAKKQARSEEERGITEKVAARVKEANMSDKLPVRKQTEPTSKAILGKEKENDRYESRSDNRRKCTPDHVESLPPEKQVEVAYEERKFTIPRKDSHHEHRSSCHENRRSSLGKETKSSRHNYEQIAVGMPNIKSMDGGDSDMIISSPDSSNARSKSRSYSPAMPTQVPYDRIVHPDSYCPPKELCDPLLNRATYAGSCLPSNDEYFDALKYSDIDNSSRMRGASIDEVTKPYISGPTSMYGLQSRDDDSLYRHLSSEDLNTAFGRSLVSDVARQGHSTRYDDQTGGNCQVSRTPLTTGSQTHLSIHGGTGTNYLSARHSLGSSGPIFSQPASTTPSFGLSGAGLQGGSIMHKYAYGLSGPSGPQSSIMDKYAPSLDGTFNIRPESSLPQQYPLRRPGYGGGWPQN >Dexi9B01G0046380.1:cds pep primary_assembly:Fonio_CM05836:9B:45600918:45601822:-1 gene:Dexi9B01G0046380 transcript:Dexi9B01G0046380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAWAPVDKPWHHTTHHSYEKSTTQDACMLAHDLSGAEIRSHRFRVTHVATALFVAAEQGPLQVQVNPVTLLRSSGGDPTWNDAYSQAGRKLLVIEPFVPVIAVCNLSVGATTHWSTLQLVASRMEEAQANEVSEWRIPARPRSISQSSPSTRNLKATPKCFRNREKDCHDRA >Dexi2A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:2A:22968617:22972118:-1 gene:Dexi2A01G0014050 transcript:Dexi2A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLLRLHLGTVPAVVISTPSLARAALTTHESCSSTPRRTTCAAPWSTSSPLERSGHRFLWVVRTPASTNNPRKFLEQQRPEPDLEALLPAGFLERTEGRGLVVTSWAPQVDVLRHPSTGAFVTHCRWNSVLEAIMAGMPMLCWPLYSEQMLNKVLMTTTDDGKGLGIAVELEGYAAGLIAAGEVKAKVRLGDGL >Dexi3A01G0012310.1:cds pep primary_assembly:Fonio_CM05836:3A:8931168:8932913:1 gene:Dexi3A01G0012310 transcript:Dexi3A01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGDGGAISGRYKRCQTAGDLTEDGGDNTPDKRCRVAVAGDDGETGGDTGDYYKINGDETDNDGGGEDLIYYYSDADEEDEAEVVAAASTTAIQPPEQSYVVLSEAAIRDRQIKATVEVAEVLSLPHGFAAALLRHYKWRPTRLKEEWFSDANRHIRHAVGFPPVPFPVATALSREPLACAICFGTFATGETRSAACSSHFYCDECWRGYIHAAVSDGHGCLSLRCPDPSCSAAVAMELVDEVADADDRARYAQFALRSFVDDNGGGGRIKWCPGPGCARAVEFLGDAAAEADVVCECAHAFCWSCGEEAHRPVSCATVRAWMRKNTSDSASATWVLANTKHCPKCRRPIEKNQGCNHMRCRAPCNHYFCWICLEPLGRGHTSCNGYRPQRQQQLNAGGNVVVLTPEEQRQGQAKASLDRYLYHYERWVANHKSLQEVLKDVAALEPSELEKMAATMHTSTMDLKFLTKAYEQIAGCRRVLRWAYAYGYFLDPERDAAKRGLFDHLMNDANRSLERLHGCAEGERKRLCATANCAPYIAERYKSYKKKLGNLTEVTRHYFENLVKAFETDLAEVKPAK >Dexi2A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:2A:5792067:5793896:1 gene:Dexi2A01G0006120 transcript:Dexi2A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLQLPADDSVLLFVTHSIFDLSTFAADKLWRNARPAPPSPPFASSSACRLHLPAHDSVLLLVTHSNLSTFAADKTLAQDRHRRRLQLRDDDTGAMVADLDDDAAPLVAAYTPLRRLQHPRCGSRSFLAHLQWIARRHTSLVDKCKMCDEAYHKLDGKFE >Dexi2B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:2B:8193480:8194307:-1 gene:Dexi2B01G0008000 transcript:Dexi2B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAELREAHRLAGHADRVWSLAWNPAPGAGAGPVLASCAGDKTVRIWKRAPDGAWQCTDVLEDTHNRTVRCCAWSPDGKLLATASFDATTAVWEYSGCDFECVATLEGHENEVKSVSWSPSGSLLATCSRDKMAWIWEVQPGNEYECVSVLQGHTQDVKMVQWHPHLDILVSVSYDNSIRV >Dexi3A01G0034660.1:cds pep primary_assembly:Fonio_CM05836:3A:39820538:39821890:-1 gene:Dexi3A01G0034660 transcript:Dexi3A01G0034660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMDSKQSKTLLGKYELGRLLGRGTFAKVYLAHPLAGGDPVAVKVLDKAEVMSTPSMAPRVLREVDAMRRLRHPNVLRLHEVLATTSKIYLVMDLATGGDLLSTLAAARRRRRGGGLLPEHAARRVFVQLAGALAYCHSRGVAHRDVKPQNVLVDGDGNLKVSDFGLSAALIPSDSDDRRRRVLLNTACGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDANIADMCRKAHRREYAELPEWVSPPARRLVSRLLDPNPATRVAVESLVTTHPWFIKRSLSVGSQLGGLLDGEEERAAAFRTSPPVMNAFDIIAMSPGLDLSGLFEKRDREKRFMTTASPEKTLEKLGLAGGKLGYVVVGKKGLECLPMMERLSLSGVVVMTVEMSEVAPPLMLVELRLEVADDGDGDDDDEGKRFGWEELRQELGDVVRAWNSCQDF >Dexi1A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:1A:2885943:2892554:1 gene:Dexi1A01G0003940 transcript:Dexi1A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEHPSPAAPSENSQPPPPAASAAAKGKGKKKDDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRNLAGEIAQEFQKRQDDDLPIDALMELVQQIISFHMKHNAEPEAVDLLMEVEDLDLLVEHVDATNYKRACLYLSSSSKYLPTPDDMLALDIAYTIYMKFGDLASALRIALQLDKHMQYVKQVCTSTDDLLLKKQFAYIIARHGLTMEIDDEIAADDNDKEILQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIEGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSSSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGIVTCGVKNDCDPALAILMEYIGKEDSNIRIGAILGLGIAYAGSQKEELKMHLSAVLGDSQSPLEVLVFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQENVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >Dexi9B01G0001610.1:cds pep primary_assembly:Fonio_CM05836:9B:930796:935217:-1 gene:Dexi9B01G0001610 transcript:Dexi9B01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSCYVQEDLWLSAFPVGTEWENIDKIKEFNWNFQNLEKALEQGGVLYGKTVYVFASTEPQRLDVNGESKIVLIPVVVAVDCPLPPSDKIGITSVQREKKEILPMKAMKMAWVLYVPLKDRLRRIDSLKTKIFTLGCTQRRCVLKHLDIERVKKFDYCLPYYMPLNPPDEKYDTVVDIIYPLEPPDLDDYEVCVAFLLFYTCERSSVINHFFVMQCFADDLVEEEVLPEDEKEKFKEFVKARVRERKTELKQAREARKKAIDDMDPKKREAFENIKFYKFYPVKTPDTPDLSNVKAKFINRYYRDAHYLM >Dexi1B01G0007310.1:cds pep primary_assembly:Fonio_CM05836:1B:6038131:6038769:-1 gene:Dexi1B01G0007310 transcript:Dexi1B01G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHRGSSSIGRQVHHQPARVIAGDGSLKELPASSSPRVSDVLPAIHNADAAAPPLFVCNSDALYFNEHPPALDPGDLLRPGQIYFVLPASMLEKPLSTADMAALAVRASTALAASRQRRHGRRRRACGGGKKKAVRVMPVREEMEDGGEDVFFNEKLNQQTLGEFGMVSRSPSPQKMEEKLAAAATAATTTSRMKRALSIIQEDAE >DexiUA01G0000800.1:cds pep primary_assembly:Fonio_CM05836:UA:2566375:2567235:-1 gene:DexiUA01G0000800 transcript:DexiUA01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTTKEDDMLAAYVKAHGEGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISDEEEDLIIRLHKLLGNRWSLIAARLPGRTDNEIKNYWNSTLGRRVVVPGGGGSHATAAPPGSCDAVQNAAAAHPDSAGSAASPAVWAPKAVRCTGRSLFFHNQERDETPTTGGVGGTSSGEGSSEDCSSAASTLLAVDDEPCFSGSGGDGDWMDDVRALASFLESDEEWIVRCQMEEQLA >Dexi6B01G0011370.1:cds pep primary_assembly:Fonio_CM05836:6B:18607115:18610931:1 gene:Dexi6B01G0011370 transcript:Dexi6B01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGMGSVVATVSGYHGDERHRLVKLISETGASYVGAMSRSITHLVCWRLQGKKYDIAKKLRTRVVSHRWFLECLREGRRLPEGPYLMQRVSHTIKLDDSDSDMDNQTWCESALLKENFGDGSDSEQNDSSDVKKRRKRLKRVKKSTDKSVLHLQDNVSSVMVICKFMGSKKIYQDKQITIILTSTKYPGFLNASRGKVSTCPLCKASFTWISKVDEAGTTDQKIYSQTIPCEASTDVFVFHNEGYDFSQSLAGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCVHCRDMRMMYHRFR >Dexi8A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:8A:6356429:6357388:1 gene:Dexi8A01G0006240 transcript:Dexi8A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVTQLSLSGFGLFDATILSSICHIDTLQSLDLSKNFFTNFPRQHPPCPMKHGLEALNFSNNRLSGQPDGFSGFPSLEVLDLSFNYLSGSSISTQLAYLPKLRTLNLTSNYFEGPVPTTMVPSLQELALPYNRFSGHITVGLFGYADLTLLDLSGNNLTGKIPDEFLSIPRLRSLLLSGNSLSGAIPRSLLNLTTLCRFAGNQNRFHGSIPKGITKNIRMLDLSYNSLSGQIPSDLLSPDGLEAVDLTANRLEGLILGNFSPTLQHLRLGGNLLNGRIPETIGNAMSLAYLELNGNQLVGSIPSQLSGCKNMALLG >Dexi7A01G0014460.1:cds pep primary_assembly:Fonio_CM05836:7A:24401830:24402813:1 gene:Dexi7A01G0014460 transcript:Dexi7A01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIGDADSFALDFIGDLLFAGDGRVPQASPVLVSDDVTFPVLQSHQPDFQPMPPSFVPQHHHQQQQGYIDLTQYMGGAATPAFRAQQEAEPQPVMIKFGSEPPSPVRPPLTIAVPPSSYAWAGAASSAAPVAVEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGADLWAPPPQAPATTQPAATAGNKRKRQQKTEEDTDDVVEVVNKKAVKLEVTSSSPSSMSTRETTTPSSTVTTTEKGGAGAEWLPVTPTSGNWEQYWEALLGGLPPLSPLSPHPTMGFSQLVVN >Dexi4A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:4A:25048266:25053888:1 gene:Dexi4A01G0021580 transcript:Dexi4A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAAAETEASEQHIAVAPAAMLYRAAAIRRGAAAAFSTVSENTETGLYGFEVLRTAKGFRRFVDDAIQRSDELVAHIARLPSSPEIVRAMDEISNAVCSVIDSAELCRNTHPDREFVEEADKASMRIYEHLQGLNTNTTLYNATLKAESESALLTEEAQRAATMLRTDFEKGGIHLPKDKLEYVNQLNLEIAQLGRKFNENVMNKPGFVDVYPASRIPRNLQLNFKSVYRFRTGSVQKQNNLMNTAKQKGLRIVTDSGNVSSALRWIPDEEVRKQVYIVGNSEPRENIGVLNELICARDELAKAMGCKSYADFAIRPNMAASSDVVMSFLEDLSNIVRHKADEEYKIIHNFKRRACNEKSADLEPWDADYFIGMMKSSIHNLDASVIAKYFPLSQCLKGLNVLVESLFGATFHQIPMRDGESWHPDVIKLSLHHPDEGDLGFMYLDLYSRKGKYPGCAHFAVQGGRRLSDLNYQLPIIALICNFSSSSGITPRLSHGDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFECYAWDYRVLKTFARDETTGDAIPENLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASEPMDTISTVADLKRKHTSWKYAEGTHWHTRFTHLINYGAGYYTYLYARCFASTIWQEVCQEDPLSRNAGSAIRDKFLRYGGSKDPSSLLKDFAGDAIIRNYGSGIIPDIGSLCKEIGL >Dexi6B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:6B:21232031:21232435:1 gene:Dexi6B01G0013530 transcript:Dexi6B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLGKLRCMMRRWHSSSSSSRISRAVSSASATGDSRGGASSFHGADEVPKGLRPVYVGKSRRRYLVAEDLVGHRLFQTLVDRSGSGGADEPPAGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >Dexi7A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:7A:27535572:27536269:1 gene:Dexi7A01G0018070 transcript:Dexi7A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDGERSLLQSLDYPCTKRLRLRRLLAYHRRYTYEDDLVRLVKRGQWRAASSYVGCFFDVGSTSEEATLLLLFLHDLLALNEFAQGVHIVTCLLSDWFLSIYKEPVLAEYPCFATLVADVLFLQSDHAGAFLNWHLVRNKAAEMVY >Dexi7A01G0011520.1:cds pep primary_assembly:Fonio_CM05836:7A:21965503:21972861:1 gene:Dexi7A01G0011520 transcript:Dexi7A01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAEQFVQDTISTAPRAWPLLLLFPLLLYCCATTLFGANRARGHHHHHDHQQQQPDGDDGHRLPPSPAALPLLGHLHLVGSLPHVSLRGLATKHGPDLMLLRLGSMPVLVASSPRAAEAVLRTHDHVFASRPHSLVGEVVLYGPSDAAFAPHGDYWRLARRLVTTHLLSARKVQCFRRAREGEVAMAMAPIAAAAAGEAAVDVGELLSSFTNDLACRAVMGASFRSEGRNKLFRRLVCETTPLLAGFNAEELFPFLARFGVIGRVVRARCERLRRRWDELLDRLIDDHESNEYEAAAVASGDPKEDDDFIHVLLSVRHDYGLTRDQMKGLLFDVFAGGIDSISSTLEFTMAELMRNPRVMKKLQAEVRSGTPKGQGMVTEDNLKSMPYLRAVTAESLRVYNVTPLMAPHLSMASCSIDGYEVPAGVRVLINAWAIARDTRYWGEDAEEFVPERFMDGGSAAHLSFKGSDFQFLTFGSGRRMCPGMNYAVAAVELMLANLVHRFDWDLPPGKKGSDIDMSEVFGLVVRRKEKLLLVPKLHTESTAIIMSIAMASLEQFMRELRTPRGWFLLLLPLFLLLVRYLFSKVGATRGRQRQRQQVAGEHLPPSPPALPVLGHLHLVGSLPHVSLRNLARKHGMDDLMFLRLGAMPVLVVSSARAAEAVLRTHDHVFASRPHSLVAEIVLYGPSDVGFAPHGEYWRQARKLVTTHLLSVKKVQSFRLAREEEVSMVMAQIGEAAAAGAAVDMSGLLASFINDLGCRAVMGKSFRSEGRNKLLQKLIDDTSPLLAGFNVEEFFPFLARFGFLSNVVRAKSERLRRRWDELLDRLIEDHESKFTEVASGYSTKDDDDLIHVLLSVRQEYGLTREQMKAILLDVFFGGIGTASSMLDFTMAELMQRPQMMEKLQAEVNSCIPNGQEVVSEADLVDMIYLRAVVKESLRLHPVAPLLPHFSMAQCRIEGHNIPAGVQVLINSSAIGRDTRYWEDAEEFIPERFIGDGCATHVNFKGNDYQFLPFGSGRRMCAGVNFGIACIELMLANLVHRFDWELPMEKKRGDIDMSEVFGLVVSRKEKLLLVPKLRV >Dexi9A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:9A:9683989:9684627:1 gene:Dexi9A01G0014660 transcript:Dexi9A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVLVPTSLGPWLAAGSMNPKLFGGGLGNLNKKSSTLFLRCDGEAERNFLQRTWKSPLGSGSHLSLLRRRRSGAVDPPRSCRGARLPLRRGGGSPPLSNPGPRDLGVVRPRTPIRDCCLRCSKVKAALVGD >Dexi5A01G0011730.1:cds pep primary_assembly:Fonio_CM05836:5A:8832340:8833730:1 gene:Dexi5A01G0011730 transcript:Dexi5A01G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNGGRDLQVRVVSRRLVKAADSSIKPHVLHLSNLDLLMQNIRSTAFCIYPKPSTGVSFDFDAVVHAFESGLPSFLNHFFPFAGRIATNPSSGLPEVHCHNQGADLVVGHADVALASLDYTALGAAVVSFACGGFTVAWCSLVGAWSELARSGTLAAGSQPNLDRSVFRPRSPPAYGASLDEAFTPLDGKHQVNALTANQSFVERLYYIDASDLERLCAAASRREDGGPRATRVQALSAYLWKALAGLVGAADEHCRMGWRVDGRERIASDDPKRRAAMRSYVGNLITYVVGEASVREVMRMPLPEVAAMLREAINAAPAYEERFQEVVDWVEEHKSQRYVETAILGLGSPILSVTALASFTSRNDITDFGFGHAAMAVPTATATARLCSGYVQVFSRPGDDGTWFVNALVWPQLAAALEADEPRVLRPVTAECLGLSSASLQVQRCRL >Dexi4A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:4A:16960260:16983983:1 gene:Dexi4A01G0014630 transcript:Dexi4A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGSRTSLKTSNDFLGRAVWEFDPDLGTPEERAEVERVRREFTERRFEKREASDLLLRMQYAKQKQIQLGPPPAKLAENVQVTEQVILTSLKRALAQHSSLQADDGHWPVDFSGILFIMPLLNEDGGWGKQVVGPSTMFGSCLNYVTLRLLGEESTHDALNKGREWILSHGSAVAIPQWGKIWLSVCHLRRFWVFTRMVYLPMAYLFGKKFVGPITPTILALRDEIYNVPYYEIDWNRARDTCAEVYDGCPAWETAFIVQAYCSTDLVNEFGPTLRKAHEFIKSSQIRENHPDYEVYYRHRSKGSWTLSTADNGWNVSDCTAEALKVKTIYEQFGTVHDTKVIEHVSRLLKNDDGTFSTYECKRTTPLLEVSSQPFGELYQYCCRLSSYVEASGPHAVNTAWAMLALIYCGQVERDPTPLYHAAKELINMQLVSGDFPQQVICTIFWHIEEL >Dexi5A01G0021660.1:cds pep primary_assembly:Fonio_CM05836:5A:25447324:25452635:1 gene:Dexi5A01G0021660 transcript:Dexi5A01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVSCSMASVSRPFLPRPRAAVCSAARLGGLGITRSMPVCHTRNEKHELGLAAASPKVATVSTVLRNRQILCKAEANVSGTTGASTYEKIVDLLTTLFPVWVMVGTVIGIYKPSMVTWLETDLFTWGLGFLMLSMGLTLTFEDFKRCLRNPWTVGVGFLAQYLIKPLLGFAIAVSLKLPAPLATGLVLVSCCPGGQASNVATYISKGNVALSVLMTTVSTIGAIVMTPLLTKLLAGQLVPVDAVGLALSTFQVVLVPTVVGVLAHECFPKFTERIISVTPLIGVILTTLLCASPIGQVAEVLKTDGAQLIIPVALLHVAAFALGYWMSRLFSFGESTSRTVSIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNKGLPANDKDDFKE >Dexi7A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:7A:11944590:11945066:1 gene:Dexi7A01G0003020 transcript:Dexi7A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASREEAAPADVAVQAFASRSLVAGMPATKLRNPRTRPWRPHSPAASKLAAKLRLRRTVVGATADDRWERRSRSSPSSPLCPGAGQRRKASSRRSRQKGKSRELGARLNQGRAESAWLRRAPAAVRVHRAGDSTGSRRHPPTVAAAVKSWGEAAPPR >Dexi2A01G0011540.1:cds pep primary_assembly:Fonio_CM05836:2A:13444475:13449235:1 gene:Dexi2A01G0011540 transcript:Dexi2A01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKAPRAFSIEELPGHLVGEVLTSGRLAAADLARLEATCRALRPLAEYAASKLCAARAALSAMGPAARAELLERCGGSWKKVLRFLQSVEQSSGTVETSSGSMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTRCAAFSRVSFPSLSRVINISASHNHAAFVTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGVSCKQVATGLSFTVILTRNGQVYTCGSNTHGQLGHGDTIDRATPKIIELFEGPPQVVQIAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGHVCARKRKTFVLTAEGSVYAFGWMGYGSLGFPDRGSSDKVMKPRVLESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGNEYIRGCLKPTEIMFQKSMEDIAIAAPSG >Dexi5B01G0026390.1:cds pep primary_assembly:Fonio_CM05836:5B:28188252:28189361:1 gene:Dexi5B01G0026390 transcript:Dexi5B01G0026390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLRDFLSSRPAVDAFVIDMFCVDALDVAAELELPVYIFYASAAGDLAVFLNMPSVRANMNMTLEEFRGSVLSVPGAPPFKASELPEILKDTDQAAKPILRTLQRMPESNGILVNTFESLETRAVRALSDGLCVPDRATPPVYCIGPLVSSGGAEKGHECLRWLDAQPDRSVVFLAFGSLGTFPTKQLEEIAVGLEKSGQRFLWVVRSPRSPNQNVEEPLPEPDLDVLLPDGFLERTKGRGLVVRSWAPQVDVLRHRATGAFVTHCGWNSTLEGIMAGLPLLCWPLYAEQRMNKVFIVEEIKVGVEMRGYDEEMVTAEEVESKVRWVMESEGGRALSERALVVKDRAAQALKGGGSSHASFVEFLKI >Dexi3B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:3B:12939937:12940635:1 gene:Dexi3B01G0017610 transcript:Dexi3B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGASAPSAGGSSLAIAERQKPAPSCVAALFQMFARRKLFSSSSKKSKLLPPVRAPKYTPGRPAGSGEKTAAAKMRPLLEW >Dexi5B01G0023120.1:cds pep primary_assembly:Fonio_CM05836:5B:25351211:25351438:1 gene:Dexi5B01G0023120 transcript:Dexi5B01G0023120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLRENRAPATASWLLGVVELLRHWTWQRQHQGRTGGCLAGTDEVGPRWWGRRWKELVASLGDASLGVAATGT >Dexi7A01G0020470.1:cds pep primary_assembly:Fonio_CM05836:7A:29253335:29254990:-1 gene:Dexi7A01G0020470 transcript:Dexi7A01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKLQYCLGATIVDQPVCITYWGQPEETFNFWDRPNWEVEEEIEYRNYQACQLNTTPQEALTVAQDVVKTMLARGYVLSKDALAKARAFDESRGLTAAAAAKAAELSKRIGLTDRVSAGVGAMRSVDETYRVTETTKTVATATGRTAAKLMNTIVTSSYFSAGAMLVSDALTRAAKAAEDLAAHGRQS >Dexi9B01G0008000.1:cds pep primary_assembly:Fonio_CM05836:9B:4928489:4935862:-1 gene:Dexi9B01G0008000 transcript:Dexi9B01G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRSPWSRARKPDIHSTFVVHGDDEDDDTRGGRGPSRGAEDDEEEDPSSLPPLLQRLPKDFGGASFDDDEDPYSSDPDDASLSATVVVKRGAPASARSPFLDLRRPSPRGAEEDHYSTFVVHSTARSGVASSSPRESASGTFIRRSGGSSSPRESISGTFIRRTGSPSSPRDSVSGTFIRRPGSPSSPRESFSGTFIQHTSGGSSPHEAASGGGGGFGSSFWSPAVEHSGELRQPSPLMQQQQQQHSRRKPSVSSVPDSVAREDPSTRYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNTGASKMLAKIKDAKKIRATLAAQNELDGPDDTMLDATVRINEDYGETVPANSQQQIKHATYNDGQAGFGTMIVHSEDGDEVAESPIFPRTEFIPGLGSINSFTHDPKRAELISKFWENTADSDANKDRDLDDCPEMQEQKTIPTSTGTVKKHMDVEGTMRLHDGQISSSPVLANATTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSENESGNGLAGSSTNDALAAVRELFSGDGQAKKGRKGQTEVPLPRGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILTL >Dexi9B01G0035380.1:cds pep primary_assembly:Fonio_CM05836:9B:37075777:37078033:1 gene:Dexi9B01G0035380 transcript:Dexi9B01G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEIASVTAARAQGPKLFRGKIILPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPVPVAESNDEVYNDPDVIVKRSHSLVGPSSLVSQSLPFSKLTLHETESSLELSECTSEEKQISQGSLSDDELDGMNKESGGVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGVLLFEMLTGKPPFIGGNRDKIQQKIVKEKIKLPTYLRLGSGPGGSDEIKNHKWFKSINWKRLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAANSNFIGFSYVRPAPFLQKPSPLG >Dexi2A01G0027260.1:cds pep primary_assembly:Fonio_CM05836:2A:38633843:38634834:-1 gene:Dexi2A01G0027260 transcript:Dexi2A01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPSARPHSLLGGPPTKSSKAVSDHKSPQHSPLPRRPPLLFPRSRAVRPDKGQESSTMPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFVNQLVKFDEKVKEDLDKMLERARVANEQRYIGTFLTRSDILCLQFFSSSKF >Dexi9A01G0031930.1:cds pep primary_assembly:Fonio_CM05836:9A:36785332:36785718:1 gene:Dexi9A01G0031930 transcript:Dexi9A01G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGGGVYIGGREREGTRATARGVGGGGGRVGTRVASGGGQQPGKHAHVGPRGQVTARPLPFSPGPGDAARAISSSVAASTHSLLLGLVARLRGVRLSRACRIMWEATERSEGQCRRVAYSRAEMFL >Dexi9B01G0038260.1:cds pep primary_assembly:Fonio_CM05836:9B:39363238:39365520:-1 gene:Dexi9B01G0038260 transcript:Dexi9B01G0038260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGYGARVPSSTAIAPDAAPGHSSAATPSLTLDQLLALRSPSSVSKATTDTSGHLVVDVHTTATDDDEDGSSNGPDHDGFLARPAVHFVLAFDDLTYSVKRPRSSFRRSPRHDNVVGADDSRTRTLLDGISGEAREGEIMAVLGASGAGKSTLIDALADRIHRESLRGSVTLNGEALDGRLLKVISAYMMQDDLLYPMLTVAETLMYAAEFRLPRSLSRSKKRSRVQALIDQLGLRAAADTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGRTVYYGPPAGLPMFFSEFGHPIPDGENPAEFALDTIRELEGTPSGTKELVEFSKSWQEKPLSRTISAAASTTGPDHTPSLTLKEAISISIARGKLVSGASSGDGSSSPAAAMNTKVATYANPWWVEVWVLTRRAFTNTRRTPELFLIRLGTVTVTAFILATVFWRLDNTPKGINERFGFFAIGMSTMFYTSADALPVFLTERYIYIRETAHNAYRRSSYTLSNALVSFPPLLLLSLSFAAITFFAVGLAGGAQGFVFFALVVLASFWAGSGFVTFLSAVVPHVIIGYTVVVAVLAYFLLFSGFFVTRDRIPRYWIWFHYLSLIKYPYQAVMQNEFGADPGRCFMRGVQMFDGTPMGKLPVETQVNVLNAMSRSMRVDFNSSSCITAGPDILAKQAVTDLGKWACLGVTVAWGFLFRVLFYLTLLHGSRNKRR >Dexi3B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:3B:565274:567069:-1 gene:Dexi3B01G0000650 transcript:Dexi3B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWRTAASRLLLLPRSPPLSRLPSATASSYALLPQSRPFSPPPPRPPPAEAEVTPAEARRLVRLVGVEALKRHLRDGQDEVVGYSDLLDACVEAGAARTHAEAEALARAMDDAGVVLLFRDKAYLHPEKVVDLVRRSVPLALGPENDPRKEEFKQLQQKKEEIDKLAHKQVRRILWSGLGFLMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMDRLFLSRQKKLCAAQKFDMDRYLELQKHCRCPLEGHHPHDPKLHGL >Dexi5B01G0014050.1:cds pep primary_assembly:Fonio_CM05836:5B:10360360:10363418:-1 gene:Dexi5B01G0014050 transcript:Dexi5B01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNKDLDFPFLALLISASVIIRTEDMSFFPEFSLMALRCLTSNKLICSGGHNLLVLAHSLGQCVQLGTTIDDAIGEAYDKSARWLGLDMRKGGRPALEELALDGDPNAVKFRRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNKYVRTRLNQVAEKNGLQLVSPPPNLCTDNGVMIAWTGIEHFVTGRFEDPPAAGEPDDMQYELRPRWPLGEEYSEGRSVARSLKTARVHPSLTSMIQGSLQKYSE >Dexi5B01G0034650.1:cds pep primary_assembly:Fonio_CM05836:5B:34864899:34865242:-1 gene:Dexi5B01G0034650 transcript:Dexi5B01G0034650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGRELHAAREADAGAQARTGRLGGGEAGASRGAWCSGNIVPLTRGSIMQGIGGVLIDQVHGRDKVRLRDGSNCSLRRWSHC >Dexi1B01G0028960.1:cds pep primary_assembly:Fonio_CM05836:1B:33346721:33348116:1 gene:Dexi1B01G0028960 transcript:Dexi1B01G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTDIKKRKRSEEHGGGAVNRPAQKRKQHLYLLLDDWERGYSVRKLDVNAFDSAADTNLPPKGFTDQPLARIEAPHVRSWNFVSHDSKIFAMKAKEASPAIPAFDTNTLSLTICPWPSCHADYVIPLFASISDKLFLFLEDRTEYLGNPPPHDSNAPWSWTTINSPLPFYNMQIVCYALHPDGRTFFGDQYLFHDPMFDKESELHRGAKLIYMGDSKFCLVQSLFHKGDQYLFRDPTMVDLDNHCPPPRRRVLHMTTFGLKYNKAGNLQVMLRQAHAHMMFKRPHDLTEPSLEPLAFWI >Dexi2A01G0024440.1:cds pep primary_assembly:Fonio_CM05836:2A:36187439:36191687:1 gene:Dexi2A01G0024440 transcript:Dexi2A01G0024440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERLEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGASLLHFASAEAEHPHPLSSSAHHLHHHHQPPPSPPPPPMPPPPPPPPPPPLSPTTTNRSWTTNSSSISASAILPPPPPPPMPSSWDFWDPPTTTNRSWTTNSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSRSVTEDADWDDAATTVVDTPIAAAPPVVTAAAAVAAPPSIVTATTTSTTPSELTVVAVPRAGAGKKDLAEIATELDEYFLKAADAGARVAALLEAPICEPPEPTTTTNSSSLPGKVLSYSKSFGWTWGGGGGYGKGSNGFTRFGRDGGMAMGSGGGGGMLSHSSTVEKLYAWEKKLFLEVKSYEGYKQEHDKKVSLLRKQEVKGVDYLKMEKNKMEIESLESKMLVANQSIETTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEFLNNARNTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSHRDYVYSLTGWLRLSLFCHHDPLTKAQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKLKKRSESAFKEFEKKAEELRSLESKYGPYIGAEGYREMSRKSPVADKRAKVEALRSRADEEKSKYEKSIGVTRAMTLNNLQTGLPNVFQAMTGFASVCMEAFESVYNFKRSSDRILDVKRLLT >Dexi3A01G0033710.1:cds pep primary_assembly:Fonio_CM05836:3A:38452788:38461127:1 gene:Dexi3A01G0033710 transcript:Dexi3A01G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPKKLARVDTHELKAQIVKRLGRQRAELYFRSLSRFLGCQLDKGDFEKICVAAFGKENIKLHNLLVRAILGNACLSDGPPPSKQAPTGNSQTSTVSNGTLTNGLLTARRVRPLGKRFGDKPSPIGKSPLGHLGAGEFVSAGSKALQEVISVEDGEEVDQTRGSPVCVQSQSPIRAPLGVQKAQNSQPSTCCSSDVCYNNGELPDSQSLSKLLEDKLKAQGLSMRLPKECADVLNSGLNVYMSRMLKAALGVAKARGNSLRMRQANGRNAAAPAAVYTGQNNGFRSESICSYQASLLDLWTAVQSNGSVCSIINPAVEATGSAGGSKGSEFAAFDVLLVRCVLQYNSGVLPYSQLLSKLLEDKLKAQGNVCSMINPAVEATGLKARHGDVLDHSDRDGVNFRITGASLADLKAKQADVLDHSDQNGLNFRIAGDRLTTGFVVRRRAGQQQ >Dexi5B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:5B:10484839:10487442:1 gene:Dexi5B01G0014120 transcript:Dexi5B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKELVPPVAMVFVQLGFGGMNVVSKLALDSGMSPYVLIAYRNLIAAAFLAPVAFLAERRSGVTITKKVLFQIFISSIFGATLNQVFYFVGLKSTTPTVACALTNTLPALTFVMAAALKMETVRPSTPAGQAKLVGTAVCVGGSMIIPFYKGPVLQLWASPIHWRFASASAPAAAAAAAAGHSSSGGAIVGDVLIIASCAAWAVWFVLQTKMAEDFAAPYTSTAIMCLMAGAQCVGVSAAFDRSIDVWKLGFDIRLYSVLYIGIVGSGIGFAVMSWCIQVRGPLYVSMFSPLLLVVVAIVGWAILGEKIRVGSAIGSVLIVAGLYMVLWGKGREMDKPSLDKDKGDEEAGVGLGLKGKSTVASNRVDAISLPVFSATEPKQDTPLRNGSN >Dexi2B01G0011450.1:cds pep primary_assembly:Fonio_CM05836:2B:13064518:13067173:1 gene:Dexi2B01G0011450 transcript:Dexi2B01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALREQLNALLSSMYASGLVDDQFQQLQMLQDDGGTPGFVAEVVTLFCDDADRIISELAALLEQPVVDFDKVDAYVHQLKGSSARCIMALTLVRNEFYDLRNKFQTMLQLEQQIQAQQ >Dexi8A01G0006690.1:cds pep primary_assembly:Fonio_CM05836:8A:6949310:6950704:-1 gene:Dexi8A01G0006690 transcript:Dexi8A01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAEMPAGKSHKGAPAADPAIGGDRIGALPDEILHRILSFLPAQQAVRTCVLARRWIHLWKYATGLRIVGADGNAPVPFEEVHEFVDSLLLLRGSSPLETFDVRVAGAAVDVRHLTLWVRYGMICNVQVLRLEVHGNAPALLRFEDPPLASRHLTKLELRGLAFNKDFLDFSRCPAIQDLIIKECSFKHAERILSQSLKHINIFIFRRDLKCCPTFSRLKNLVLFECYVPALACILEHSPVLEILKIYALLLEKGCKINVEMSGRFNPGELPSTISPHLKRVKVCCGTVDKTVLEVLEFLSRFNI >Dexi9B01G0037200.1:cds pep primary_assembly:Fonio_CM05836:9B:38701507:38706278:1 gene:Dexi9B01G0037200 transcript:Dexi9B01G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTIYVNNLNEKVKKEELKRSLYALCSQYGRILDVVALKTQKLRGQAWVVFSEITAATNAFRGLQDFDFYGKKMRVQYAKTKSDCIAKEDGTYAPKEKRKKQEEKAAEKKRRAEEAQQSGPNAATAQSNGTGYQLSRLGKVSQEPLPPNNILFIQNLPDQTTSMMLQILFQQYPGFREVRMIEAKPGIAFVEFEDDSQSHVAMLALQGFKITPENPMAISYAKK >Dexi8B01G0005440.1:cds pep primary_assembly:Fonio_CM05836:8B:5561483:5562416:-1 gene:Dexi8B01G0005440 transcript:Dexi8B01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYEDLPTELSHNAHPAHNLKLVTTDGPPFRCDGCKEPGNGHGRRYRCADDGCDLDLHIACALAATAVKHPLFGDHEFELLPSPPPPVDATFCDACGGRAPGLVYHCSDGDIDLHPTCAALRMEVSVGVVQGERRSMQLCWEGGELRRCGVCGDRRSSSSTSRKEKKFWAYRWRRDDGAHACVHVACMKKVAVMSWERAYQDEIGAGILEASVPVVLGAMMQKRSPVIDTGIRGLLIK >Dexi2B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:2B:3512849:3513379:1 gene:Dexi2B01G0003950 transcript:Dexi2B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRCGAAAAAAAAAAGELGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAAVDGFPSPAGARAHAVEIHPGVTKVVVRGLSSAGGAAHGDDDEDGAAAFELDRWRFRLPPCTRPAMATATYAEGELVVTVPKGAGPDDGDGATVLGDAERVLVLV >Dexi1A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:1A:19368743:19370673:1 gene:Dexi1A01G0013790 transcript:Dexi1A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRERRTVFVTVGTTCFDALVIAVDSPEVKKALLQKGYTDLLIQMGRGTYVPSKFINNYVAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLLCARPQTLGETIRAMDLGTLIPYVPGDAKTVVTLINKFLGFPDD >Dexi5B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:5B:7098366:7099692:-1 gene:Dexi5B01G0010160 transcript:Dexi5B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAKKSRSGDAAAAAGKRSSTSNNSGSSGTTTTAAVERKEIERKRRQHMKSLCAKLASLIPKEHCSRAIQDAMTQLGSLDVAASYIKKLKERVDELEEKRSSAQLLMAAATRGGSGSASTSAATTTTSGGARSSEEAAVEAMEEPVVEVLQHHDGSSLDVVLISSVERPFKLHEVVTVLEEEGAEIINANLSAAGRKIFHTIHCRRTLPVPLDHYQLESLKA >Dexi4B01G0017490.1:cds pep primary_assembly:Fonio_CM05836:4B:19863089:19863715:-1 gene:Dexi4B01G0017490 transcript:Dexi4B01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCCPGCREAAHQGLSPLISHRRLTREAQDEAMHPHTHGPAAGMPAVERHEPRTRHRGYAAPPPASSRPNYALVGHLPCHRAEARWRRGRGRRRGPVEERERVAPTRRSTFRRPDRSPGRWICSLVATAAMAGAAAPLARAGSRERDLARERSPAAAFLAGQRASEALLQQRRDKKGAWRRGGGSDAGVARVAPGGDDAGVYREEKY >Dexi5B01G0020480.1:cds pep primary_assembly:Fonio_CM05836:5B:22682739:22683345:1 gene:Dexi5B01G0020480 transcript:Dexi5B01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSRGSWSSTAGSRRSPIPYRKKPLDYEPAVLCHYGMKAALWISWSNDNPGHRYLKCYRAREGGCGFITWYEGPCNPFVASLLVDLRDAVWGLKDVNTALRLQLDDVTMRLEQEKNDAIALKKELQRMEKEEEQLKLRDGRTNKAGGDRCLIIAVCVALCATWIWMALH >Dexi1B01G0023290.1:cds pep primary_assembly:Fonio_CM05836:1B:28928453:28930294:-1 gene:Dexi1B01G0023290 transcript:Dexi1B01G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRSALGRVSRRLLGPSEIIRTRPLPSLRPAETLMSPPQPATRLMRTFTSPTGAAAGTRRVPNFQGWRHFPVISKVNEGMKFSQQMRFLSVESKE >Dexi5A01G0008320.1:cds pep primary_assembly:Fonio_CM05836:5A:6200742:6203674:-1 gene:Dexi5A01G0008320 transcript:Dexi5A01G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQREQLLQNYNEEIRKMQQVARRHSQRIVDENQKLHSELEFKMQELDSRSKELDELASRSDYDRRNLQEEKEKNQEKTKHLKMATMEQQRSEENVFKLAEEHQREKQAAMGKIIKLQQQLDAKQKLELEIQQLNGHLEVMKHMPGEKDSESKKRMKELSEELQDKYDEMEAMESLNQTLIIKERKSNDELQNARKELIAGFKDLAVSRANIGIKRMGELDPKAFRVACSKRLSKEDAEFTSAMLCSKLEAEIKDPNWHPFRVVCVDGKEMEVLKEVDEKLLNLKEEHGEEIYALVTKALVEINEFNPNGRYPVPELWNYKEDRKATLKEAVQHVMKQWRTHKRKR >Dexi2B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:2B:6355070:6356884:1 gene:Dexi2B01G0006450 transcript:Dexi2B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGGRQQEEEKWVPVTKLGRLVREGKIHKIEEIYLHSLPVKEHQIIELLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDCDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWDETRYVKTPFQEFTDLLAKPTKGLVIEATVETVEA >Dexi5B01G0011330.1:cds pep primary_assembly:Fonio_CM05836:5B:8038172:8039404:-1 gene:Dexi5B01G0011330 transcript:Dexi5B01G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLAVVLAAGAPPPPRPPQPSLRHRHGSPRRSLRPARSLLGGGPSPPPPPAPASRSRRRRAWPAVSAALFGTGFLLGPLLDGIHSRVGLQVYGNGGAIDVGPLHTHILVPPLLGVFYLTVGLLHLALDETAPPKSKATGSAQKTATSLLVLALFIELSAELYRAGVPSNVEAYALFAGAEFVWLFLDGSWLGFALACLVGTVCPLAEIPLIKLLGCWSYPNADVHLLGEGLVSWTTTCYFVYTPFLANLARWLDSILAAADDGGGDDGAAPS >Dexi2B01G0027700.1:cds pep primary_assembly:Fonio_CM05836:2B:36517883:36523115:1 gene:Dexi2B01G0027700 transcript:Dexi2B01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLPLLLLFLLAVASTPAPAAGDGGGALPVINPISCLCNSTSARRSYLPNSTFAANLATLSRVLPANASASGFSAGSFGAAPDTAYGLVLCRGDFTGSTCASCLEAGFRYAEQNCFSSSDVAVYYDQCQLRYSDEDFLAGGVVNSPESAATNMNNVSDGNVAAFDDLVTRLVAAVADKASNASRRYATGQGGFPPEKMNIYALAQCTPDLTGGQCTGCLAGLIREMPKWFTGRVGGRILGVRCDIRYEDNVFMAMTADMVKLTPLVNSSKGNSTTLWVVATVVPVSLLLACFLACFLWIRKRRRRVINMSGKVSVPTMSMEMEQVLKLWRIEESDSEFSLYDFDQIADATGNFSDENKLGQGGFGPVYKKGKILTWQRRFRIIDGVAQGLLYLHRHSRLRVIHRDLKASNILLDRDMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSVKSDVFSFGVLLLEIITGKRTAGFYQYGKFFNLTGYAYQLWQDGKCHELVDPALGDDVPVPEVTKCLQVALLCVQDSADDRPNMSEVVSLLSSEGITMPVPRQPAYYNVRISSLAVSSDSFGETSCRISNITLTDHEEGR >Dexi6B01G0016290.1:cds pep primary_assembly:Fonio_CM05836:6B:23543837:23545278:-1 gene:Dexi6B01G0016290 transcript:Dexi6B01G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTLPCLLLPLPSLQRRPNRLTSDHDRPGSSRSWPWRVKTGSGAGRKPGRFCAKGLFGGGGGGDGFRTVMRMVKLNSAIQNRSVRELLELIGDECLYFLGNLPSIDVSQLGKETFLLLHALMNLDAVIFTIVNKVLPEGTLDEKRTSTTISCVIIGLVVMVLFYALFKNL >Dexi3A01G0021850.1:cds pep primary_assembly:Fonio_CM05836:3A:17432893:17434984:1 gene:Dexi3A01G0021850 transcript:Dexi3A01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRLRCLSPACLFLAATVALLGMPDLAAARTRRYTFNVTMATVTRLCVTKSIPTVNGQFPGPKVVVREGDRLIVQVHNNINNNVTFHWHGVRQLRSGWADGPSYITQCPIRPGQSYAYNFRIVGQRGTLWWHAHFSWLRATLYGPLVILPPLGVPYPFPKPDKEIPLMLGEWFNADPEAVIKQALRTGGGPNVSDAYTFNGLPGPTYNCSSSAGDTFRLRVSPGKTYMLRLVNAALNDELFFAVANHTLTVVQADANYVKPFVATMLVISPGQTMDVLLTAAAATSSPAFAMAVAPYTNTVGTFDNTTAVAVLDYAPPPQVSGAAALPLPVLPLYNDTSTVANFSANFRSLASTSYPARVPQAVDRKFFFAVGLGSDPCKSRVNGTCQGPNGTRFAASMNNVSFAMPKTSLLQAHYQRRYSGVLTANFPATPAMPFNYTGTPPNNTFVSHGTRVVPLGFNTSVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDANNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLAMAWLVNDGPLPSQKLPPPPSDIPKCS >Dexi8B01G0015340.1:cds pep primary_assembly:Fonio_CM05836:8B:26163409:26164151:1 gene:Dexi8B01G0015340 transcript:Dexi8B01G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATVTDDLAVAPPQPGEAGLSEAPGSKDPHDLWVNPKVELAKAKRFATGVLEHYNKRKKIKFELLDARPVTSIPEPRCCYTHINFTAKSSKEDSQEQLFFAEIYHCRKRRHPHGFIVTCCEPLCPDSAGDHIFFLIN >Dexi2B01G0031330.1:cds pep primary_assembly:Fonio_CM05836:2B:39518706:39518966:1 gene:Dexi2B01G0031330 transcript:Dexi2B01G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVAGRTGCECGASARAAGPGSGAEGRGGSSATAILRQASAAYTWRHKRRRHEGAGAVSSTAGQSRLPGGSRDGEAAQAFLYEG >Dexi5A01G0017680.1:cds pep primary_assembly:Fonio_CM05836:5A:20977111:20981511:-1 gene:Dexi5A01G0017680 transcript:Dexi5A01G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPLLLAALAVASAAAWASAVLEDPAELLRRAKEPAFLDCMVGVRRRIHENPELGFEEFQTSELVRRELDAMGVLYKHPVAVTGVVATIGTGGPPFVALRAEMDALPMQESVEWEHKSKVPGKMHGCGHDANAAMLLGSAKILQEHRDELKVIVSQASVHRCSATVAFLNRDPFFPPTSNSPELHDFFVNVASEMVGSKNVRIMQPLMGGEDFAFYADVIPSTYYYFVGMYNETRGPQAPHHSPYFTINEDALPYGAAAQAALAARYLHEHQQLAATPDKAKAHDEL >Dexi8A01G0012990.1:cds pep primary_assembly:Fonio_CM05836:8A:22937126:22939114:-1 gene:Dexi8A01G0012990 transcript:Dexi8A01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGIWSAVRWWDAWQMRVLVTASLLIQWFLLLAAPMRKYTIRNWLRRLIWLAYISCDALAIYALATLFNRQTKASSNCLNTGAKASSIEVLWAPVLLIHLGGREEITAYNIEDNEVWIRHTVTLVSQVSVALYAFYKTWPNEGDRRLLWSAVLLFIIGVLSFCEKPWALRRASINRLVSVYSSLAAGERQKPRSTWEYLFTELDFGGASIVRLKKKTGSKFELSEGDKVQMILSDLSLGVAAQAAVKSGRYKLEDVLGTLDPGAERNMKRWLRHAFGLIYTRANVVSTPAYLACHVLLVPSMHATAIALFATSHKSAYEQFNATDVKMTYVILSFTAVLDVFGVPISELLYWVMSKTKIPALCETLPSDNLINAVQKVKNPRTGRLIKWARSIGYKGRFFHRDDRNNLYGKVAGFVVAELLGSQVLRRDLASYRDTDKKKNWALKKVKVLFDKDNDTAINPNNMIWKSLRKLPFDESVLRWHIATDLCCRLIPPPKGLDPTTYTYSKCAAGISNYMAHLLNCRPDMLMTGSRQHLFSEALRSMDSMGRVVWRSIDDAKDACSKPDLINQAWELAKELVDIGDDKARWELMYRVWVGLLCYSASMCRGYQHAKSLGEGGEFLSFVWLVIALKGAKTLADKLQMPDDEEATQKATEEVDLSTL >Dexi4B01G0000110.1:cds pep primary_assembly:Fonio_CM05836:4B:92232:93620:1 gene:Dexi4B01G0000110 transcript:Dexi4B01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVVSRRSVRPPSRPRELIPLTTWDVSFLSADYIQKGLLYAPPPFSTTDRLLDHLEAALAEALAAYYPVAGRFVTEPRPGGCCSVSIDCDGQGVDLLHAVADGVAIADAIPPDADVPTGLVQSLFPLDGAVNHDGHHLPLFVVQVTDLADGVFVGFAYNHALSDGTALWRFLNVWAGIARARLLDPHSEAFSFQPPLLQRWSPGGGDLVLPFPDLESAGLIERLPPPPLRERMLHFSPESLAALKERARQELLAAGDAAGAAAVTRFQALASLVWRCVTRARRLPPEQPTACRAAINNRARLRPQLPQEYFGNTIYAIAAGAVTAGELLERGHGWAAAAVGRAVAAHTDADIRARVAAWMAKPVVYNLRFFDATGVMMGSSPRFDMYGCDLGWGPPVAARSGRANKSDGKASLYPGRDGGIDAELVLTPEHMEHLEEDHEFWAAVTPDKAPCAPAAEGKI >Dexi9B01G0034370.1:cds pep primary_assembly:Fonio_CM05836:9B:36349082:36352964:-1 gene:Dexi9B01G0034370 transcript:Dexi9B01G0034370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGMDRRGEASHRGWSSSRGRGWRGRGRSGGGGGRPSPPPPSSTSSAAVNPAPAVTVNDAAPIMGTCPDMCPARERALREQLRDLAALERVGGDPTRTSPSLAVKKVDSLSLWYGQLATPVRRSKEMIFVRNLLRYYQLGNFKRFFCMITAEATDLQLCLIEPFLNEVRARALMYFNHSGYKLQHHPLDHLSQILMIEESELETLCGICGLEIRTNEGRKAFVPKQASFSIPTSLPQSNGIYISREVEG >Dexi1A01G0023200.1:cds pep primary_assembly:Fonio_CM05836:1A:29823761:29824124:1 gene:Dexi1A01G0023200 transcript:Dexi1A01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADADATADAAAVAAARAMAQPPAPFDFDVEFRNVADLDRTHIA >Dexi9B01G0030280.1:cds pep primary_assembly:Fonio_CM05836:9B:32785375:32792281:1 gene:Dexi9B01G0030280 transcript:Dexi9B01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRDGAAPPETAARRVFHFGKGRSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACREYQELGGAMPPGLWDEILAALRCVERDMDAALGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDDVVAGLAHRSGRRFAFDSYRRFLDMFGNVVMGIPHELFEEKLEAMKVAKGLRNDTELNVKDLEDLVAEYKNVYVVTKGEEFPSDPKRQLHLAILAVFDSWDSTRAKKYRSINRITGLKGTAVNVQCMVFGNMGSTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGIRTPQELDAMKEWMPEPYVELVENCKILETHYKEMMVQYTVQLFRLLYFNAMQDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFENPSSYKDKVIAAGLPASPGAAVGQVVFTAVDAETWHSEGKSVILVRTETSAEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDAEKALVVGDKVLCEGDWLSLNGSTGEVILGKLPLSQPALSADLGTFMSWVDEVKQLKVMVNADTPTDALTGRKNGAEGIGLCRTEHMFFSSDERIKAMRQMIMADTAEQRQKALGLLLPYQKMDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDVVHMLSCHTNSTHEEVLARIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAIAVSDQGFQVFPEIMVPLVGTPQELGQQMSVIRQVADKVFANAETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDIGKFLPVYISQGILQHDPFEVLDQKGVGELVKIATERGRRSRSDLEVIN >Dexi4A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:4A:20982440:20983194:-1 gene:Dexi4A01G0017230 transcript:Dexi4A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPPQTPSVLGDWWERRCSSLDVLGCCAVEVLDSRAGGSGTPRRLDLGHRVMEVLGCRAGGARGWWGRSLGRHGTGWHGCSIEGGDTTRRWRGCVIGNLVGDARRKKREADGATSAPGCDARTGRVTSLSLLATNVALGPPSCACCFIGGGVPGETWHRVARLFN >Dexi9B01G0047950.1:cds pep primary_assembly:Fonio_CM05836:9B:46945678:46946331:-1 gene:Dexi9B01G0047950 transcript:Dexi9B01G0047950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGDGRSHTKKSERKRENILDFNTDPLPSYSMDEEAVGIITMEDVMEELLQDKNKHVTSRQIIVTCYVSWWWTTISGTPKDSYGISTLTILPW >Dexi9A01G0015320.1:cds pep primary_assembly:Fonio_CM05836:9A:10199170:10199403:1 gene:Dexi9A01G0015320 transcript:Dexi9A01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQGAVAGAAARSEDADAIVRGRDLGAQRRRSWVWLGVDGNGGLAEEAAAAERTRREGRTVRGADG >Dexi7B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:7B:2001384:2001681:-1 gene:Dexi7B01G0001080 transcript:Dexi7B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASAFGVGVVYGSIKLSILKVTLGYGFLQSGRWVDSHYCSSIK >Dexi5B01G0016560.1:cds pep primary_assembly:Fonio_CM05836:5B:15835156:15837923:-1 gene:Dexi5B01G0016560 transcript:Dexi5B01G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPIVSVHPQRDVLLLPPLSTSLAHQSPYLPPGFVLIGKGAMSHMNYREDDFAVLANEMTLSHDKHCGRYPLLWLNSRKGIIVGNEQEGDDTVVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAARGTE >Dexi9B01G0039490.1:cds pep primary_assembly:Fonio_CM05836:9B:40168907:40170248:1 gene:Dexi9B01G0039490 transcript:Dexi9B01G0039490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAKSEAEQGEARSGALGSGAGSKVYHERQRMQFCLLHALNNLMQEKECFTRAELDRIAGNLVLSDPNKDQWTPLSFIFRPHHNVLTGNYDVNVLIRALEARKKKVVWHDRRKGASSINLDAEALVGLMINVSVRRFRGLWNSRHWVAIRNIDGMWFNLDSDLSEPKQFKDKENMVAFLDSILSQGGELMLVLQDE >Dexi1B01G0030990.1:cds pep primary_assembly:Fonio_CM05836:1B:34743990:34745112:-1 gene:Dexi1B01G0030990 transcript:Dexi1B01G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSSSTSAGGDGELHNPPLVKRKRTKRPRHHHQHQPASSSESTTTEEEDMAHCLILLAAGGAADVDSKPPPSSPAAPPPTTAAAMKSGERYTSRKYTEAVATADGVKAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLSSAAAGVEDAINNNLKASPTTMMMSPPPPLLQMADVVTTALSLNSCGAIISSKQLRVHECSICGAEFASGQALGGHMRRHRPLNAPPPPPVNKQLQVVELDLNLPAPEEVSSAVVLGLGQFTDPGNND >Dexi8A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:8A:30693698:30695059:1 gene:Dexi8A01G0018410 transcript:Dexi8A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRYSKDEQLEVEVCRARKAMVMMEEIEEVFGKMMLRGYETWRNDMEKLHIAKADEAMNSKKSGSKAKSDVVDLGALLIRCAQAVAAGSVMPAQELLKQIRQHASSTGDATQRLAQCFSKGLEARLAGTGSQLCLSGMADRTLVVEVALFFNIMTIENAMAGKSKLHIVDFGPHHGFQWAGLLRWMSSREGGPPEVKITAINRLQPKSCPAEGIDDTGHRLGKCALEFGVPFKFHAITAKWETICADNLNTDVDEVLVVNDLFNFSILTDESIYFDRPSPRDVVLNNIRKMRPDVFIQGVVNSSYGTSFLARFREAVFYYSALFDMLDATIPREDNMRLVLEQGMLGHSVLNVIACEGMELMYRPEKYRQWQVRNQRAGLRQLPLKPNIIQVLKEKVMKDHHKDFFVGEDGQWLLQGWMGRILYAHSTWVADAIAE >Dexi4B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:4B:8162997:8163681:-1 gene:Dexi4B01G0010780 transcript:Dexi4B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQCGLPELEKEIDEKIEQFIAWVEKHPNRRSQVCLSFFDEKSKNPGNALVETSSRCAALESSINEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPR >DexiUA01G0019530.1:cds pep primary_assembly:Fonio_CM05836:UA:40793979:40794382:1 gene:DexiUA01G0019530 transcript:DexiUA01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRMNLSAAVVVALLVMTAEMAPAQAVTCKHLSGKFRGWCLLSDHCAEVCKTEGKGYTGGLCLGALGIILRCYCLIPCTAAVPAGDKAVELRVSNE >Dexi9B01G0025280.1:cds pep primary_assembly:Fonio_CM05836:9B:25478266:25480484:1 gene:Dexi9B01G0025280 transcript:Dexi9B01G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGFLSNGKVDNGDIDNARKEFTHFIMKTVDIKNYPAARELTNEELLMAVRQATVMVRRWCASRSCWVGASSRARRAEGSGHVVEVSLAVKDADSMAVSGEGTLRLFAVAMEGAGGDQEAGALEEVVGMVWRMWPDAMEAWPRVDLEEGAPWLYIGERELDGEID >Dexi1A01G0009700.1:cds pep primary_assembly:Fonio_CM05836:1A:8061075:8065224:1 gene:Dexi1A01G0009700 transcript:Dexi1A01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCFGVTAGAYILTLFASKYRERVLGLILVSPLCKGPTWTEWLYSKEMRGFSDLPESDIVQACKSLLDQRQSMNVWRFIQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTDAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPTQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRVRLDV >Dexi3B01G0014570.1:cds pep primary_assembly:Fonio_CM05836:3B:10500953:10501420:-1 gene:Dexi3B01G0014570 transcript:Dexi3B01G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMLKRWREGGEAWSRSAATDGAFLFFSRTDTDGGRLVADPRIHRPPHPRLALAGCREREGRPAGDKVAAAKAKAKDDPSSAPCPTLLCLLIRAKPKPGLQRSESRGRKAYWRAAVHGNGMKPGCIISAASSRGRQAWNALQCCGAGHGMVSLL >Dexi7A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:7A:27914569:27917098:1 gene:Dexi7A01G0018530 transcript:Dexi7A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRGGSRAPVVFLGALLLALAAGASAWSHHGGLGAAGFATAGRAAAAGGERRYRDLALQRTESVRSSFAAAAAARRDLATASASSRVYHVTDYGADPTGATDSTAAINSAIADAFRSPSNATMTGGIPDLGGAEVHLDGGTYLIKAPLTLPASGGGNFRIHGGSLRASDDFPTDRYLIELSAKGSSRSFDYEYATLRDLLLDCNYRGGGLAVVNSLRVGVDNLYVVHFASDGVAVTGGHETIIRNSFFGQHMTAGKDPGERSFTGTGIHLDGNDNTVSDVVIFSAATGILVTRPANSISGVHCYNKATGWGGTGIYLKIPGLTQTLISNSYMDYTSIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQVVGNIFSGQDKGIDIVHLDGKFDTVDQVYVQQNSATGMTIKSTAARGTAVGNGSSWTVDFSPVLLFPDRIGHVQYSLVAGDEFPGHTLRNVSGNQVVVATDKPVSATVHVLVDQNSD >Dexi3A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:3A:11597723:11598001:1 gene:Dexi3A01G0015580 transcript:Dexi3A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAMASGSGSSRGWTQRQNKQFECALAVYDRETPDRWHNIARYMGGAKSADEVRRHFEQLVADVAQIEAGHVPFPPRYGAAAAGFDDTASR >Dexi5B01G0030340.1:cds pep primary_assembly:Fonio_CM05836:5B:31274529:31277036:-1 gene:Dexi5B01G0030340 transcript:Dexi5B01G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCRQVYADGFHVGRGGSRWSTLAWRDDKVERGMSIKATAVSLGYDFGSTRTGNGSSDKYVVTAALRLTDGALVVVDCVEGICVQNQTVLRQALGERIKPILAVNKLDRLFLELKFDGEKVYQALQRIVDDANAVVAMYADAELGHCHMCPAHGTVAFTAGLHGWGFTLSSFAKMYSGSSEFGGVTEAEAIELLWGENYYDEETKQWTSSHMKSATCKRGFVQFCYKPIRDVISACCEDDKTRLRSILDRFGVKLKFNKDLSGKQLIRRVMQAWLPAGEVLLDMLVLHLPSPASAQRYRVDILYDGPLDDPYGKAIRNCDPDGPLVVYIAKMIPAGDWMGSMYAFGRVFSGKVASGNKVRVICSKYLPGGGRKEDVFVKTVKRTGTWIGKTFQAVDGVPCGNTVALDGLDNVIVKTAMLTDARQQSVACRNMGDLPKLVKALERLVKTDPLVECSIEETGEHVVAGAGELHLEVCLDDLKKMAGVDILVGAPVVPYRETVVERSSRVVMAKSPNKHSRPYMEAHPLGKELVQAIEDGRVGAGDDVTSRAKILREEFEWDAGDAKKLWCFDPATTGPNLLVNRCKGAYYTGEIRDSVVAAFQEASKGGVLAQEPMHGVHFQLCDAVLHSDGIHRGPGQIIPTSHRAQLAASPRLMEPTYLIEIGMPESATSKVYKLLGQKRSQYLGTGRVVVKALLPVSESFQFTEPLRTATSGEASLQQTFHSWRLTESDPLEKGSAAAIVLGKEGSRGNPNFGGA >DexiUA01G0014590.1:cds pep primary_assembly:Fonio_CM05836:UA:30639914:30641194:-1 gene:DexiUA01G0014590 transcript:DexiUA01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGCTVQQALTPEAAVVVKQAVTLARRRGNAQVTPLHVASAMLHQQPPPPSSSSSSSSTGLLRAACLRSHSHPLQCKALELCFNVALNRLPSSASPLLGGGGHVYYPPSLSNALVAAFKRAQAHQRRGSSVETQQQPPVLAVKIELEQLVISILDDPSVSRVMREAGFSSTQVKANVELAVVSSIEAAANTSASTTSASAAAGSRNPNPSAPSEEETKPSSKLLLPLDQVRDEDVAAILECLASRRKRRVMVVAECAANAEAATRAAVEKIKRGGDAMPGGAQVVSLAVSVFRDMARGEAEQRLAELRRAVKAAATGGGVVLVVEDLGWVAEFWAARGGEAGRGRWAAASSCCYYYCAVEHAVAEVRALACRGGDGVWLVGYGTYQSYMRCRAGQPSLESLWGLQTLAVPAGSLALSLNCVDDR >Dexi3B01G0014990.1:cds pep primary_assembly:Fonio_CM05836:3B:10840110:10840440:1 gene:Dexi3B01G0014990 transcript:Dexi3B01G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCANVREVGGTVVLAHGYGASQALWDKQLPALSRHNRVVLFDWDFTGGDDDDQGPGLLDDKGVRGAVMVGHSMSGMVACIASARRPDLFSHLVLLCASPR >Dexi6B01G0000170.1:cds pep primary_assembly:Fonio_CM05836:6B:97545:98681:-1 gene:Dexi6B01G0000170 transcript:Dexi6B01G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRVGEEPQDLDEGSGESSQAPREAHPDLDEVQRRICERLRPTRRHDQTLADPSFQGRLARHLQRLPRRYLFDLDVEGKAEDFLLHWKILQDCADPDKRPVFHARYLKSKPGRPDRDGAAGDNQQSEEPCERLMEDLSLEKRKTVDGNDSMSISSRGDLKTVLLHEIIFSSIDRPKLLSRLTALLSEVGLNIQEAHVYSTKDGYCLDVFVVDGWDTEVKF >Dexi9A01G0034770.1:cds pep primary_assembly:Fonio_CM05836:9A:39517481:39521749:1 gene:Dexi9A01G0034770 transcript:Dexi9A01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAATLYGAKTQTEVLRPGPLRPANIIRSKFPTYKNGSNGIVIKLADGPEIPPLKEIVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVEILAVQLSKREAELLQQKEEVTKLAKSLKQASEDAKRIVEEERANSHTEIECAKNAVQRVQQAVQEHEKMSQSTGKQAMDLENEIRILRKTFAEKSTDCVNLLKEVAFTVTSADFSCICLELHKRLKENGTPSFDLEGLQCLGSVLRIVALSGTHMDLSSVSIQWFRIHPKESNKEIISGATRPVYALEPQDVGRYLQAEIDVGGEIAVAKTAGPVDPDAGLVDYVETLVRKPETEFNVVVLQLNGIEQPKESVHVLNVGRLRMRLTKGKSVVAKEFYSSSMQLCGVRGGGEAASQAMFWQPRNDLSLVLAFETARERNTAIMLARRFAIDCNVCTLS >Dexi7A01G0017230.1:cds pep primary_assembly:Fonio_CM05836:7A:26958834:26960213:-1 gene:Dexi7A01G0017230 transcript:Dexi7A01G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDESPPLRINTTRGGAMGGAEFDGAENQRWPPWLKPLLATSFFGQCKVHADAHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEFRIQKKHAAIKKKKKLPHHKDHKGSTAAGVDSEDDSSTSTSGGSDKSSVVQSFTPSTPPATANSFRTGKRRKGVPHRSPFGSLMVEF >Dexi4B01G0016150.1:cds pep primary_assembly:Fonio_CM05836:4B:18159028:18168479:1 gene:Dexi4B01G0016150 transcript:Dexi4B01G0016150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFISQEEGFVQWRFTYLPLKASAPGVRPVTPLLVAACPWPTPRSFAVASFLFATNFFPSAGLQISFSRWQAYTCCRVTVGRKRRSHASSPREFGLAPFVSIPRAGSGAAGVSLAGQESPTLASPYHPGGQARRRRPTFSGLAALSRCQVLSPLAHRWQASYRWGPLIHDPHCSPRPWEGRSQEIFLGGQFPAPVELLAGCLFKVPTPFARSQLGVYAPGLAFPGPFGWSCYVALLRCHYRGGFHVVEFQDQMQGLLPLAESSVEVTVRTIRGPAFEPRSWAVTRCHGSRCGGPHLPSRHPYIKKHGRNVCSSFLLVLISFLTLAHALLPSPPVSARAPNSTAPASTLASDAMASQASSSSMQLRTVVGCPWAPPLPYLGGGVDLAADGRFATPAHRFVREVLHHLGVELHAVAPNGVQQLANFMAMCEGYLGIDPDFNLFLLFFKAALVWSRTGRALWGYCSLQMKQFRVNLYPRVELRGSNKDWNKGLRSPSSTPRAPPSTEPADWPWGPDADAQKKLRPHLACLARLRSCSPIGVRIAEAYHRRRIAPLMARTLHLFEMGQAVSEAALCPCLMLRTIPSEEEIEAQLVELVDKERAATLVVATPGQPSMLPDPRSFDLALDPNHSMISWPWSLKAPDGLHVTRGVVPEEQASLDEARRRNHQAGEAERKRKREETKERCRKGERRRERERQEHKGEEVGSDLESMTDDEGNVGGHSPLPDDPPWRALLPGANPDYPPSSSSVGGGDPFPQSTLSRSRLFGGQVRRPGSSDSSAPVKHLRRDALPAGSPVSSEPSPAAAPGQLPPSELRGSGEPSSSNPRPAATMEAALPSVQAHPEPARPVETPPDHAAIVAPPPGVGAPNSAPAPPATPTAGTGVVYVLAVSSELAPDAEAAVAVNGAGGVSRCHGACCRWLPRGGPWGRHGGELVVHGGPLLGGGGAGVIRVGGSCGSAAPLAGVGSAAVILKPARGLWGPASLGDPEIDPAHAVEVNTWQDSLQQMATFPIALLEMAATLRIVAIPPAGVKEEAINQARHAWESACEARDTEACARDEALERTLADQTSAHRETLAALNAKLDGLRSQVTEVRDGKCSPVLLLSSLLAPYLFGRDAARWSPRVPEQKAAVVRECIDAQAECDQAVMVKEDAEGASAPLGELVAELCTDAQASARRIPALESALVVPSKSVEEKAAEADNTLSLIFSDHQFGLLCPQDGISHQNTLLSRFGMLHDASGAIVTRLGFPLSEDAERLPEDLHRAAECYGALRTVVAAVMEELELPTRGDPTRLPEELHRIPQHVGALAKQALVRGVLEAFTLVHSHYDGIRFDRMATGFPSEYTSEALDVLAAEVQVPAEQFSNGLVPTSDAQGNPVDGATPAMPFKFVLYLSSLETTACGDQSGSWHCAKPPSPKNVGNEYSIEIPNHPNDLRFRSRSDSSPAPIPFLGDFTIPPAGSTPSSRIDAGAPRAAAARACLFFPSCPQKPRIEDMSSPRAHFLVLTFPFQGHIAPALRLAKRLLAAAPDALVTFSTSEVAHRRMFPANKPDGADEVPDDEHGGRLEFLPFSDGTEAGYVRNSDPGVFNAYMSSFHAEGARTVAEILDALAAHGHPVTRVVYTLLLPWAADIARDRGVPSALYWIQPVAVFAIYHHYFHGHAGVVDEHRHDPSFVVELPGLAPQTIGDLPSFLTESTDPSDFFHSLFVTFRDLMETLDKESPKATVLVNTCQELELGALAAVGAAHDVIPVGPVFPSSDVSGIFKQDDESEYMEWLDAKPEGSVVYVSFGSISRMAREQLDELILGLEGSGRPYLCVIRKDIKAALAGAEANEMPQHERVKNGMVVEWCDQVRVLSHAAVGCFVTHCGWNSVQESVASGVPMLCVPRMSDQRMNAQLVVREWRVGVRAQIDESGVLRAAELRRCIDEVMDRSEAAAEVRQMAGKWKRIVADATEKGGNWRMKAEPAYW >Dexi6B01G0000840.1:cds pep primary_assembly:Fonio_CM05836:6B:739118:739881:-1 gene:Dexi6B01G0000840 transcript:Dexi6B01G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLKRLELGSTFEVNTPMLPTKFLNLKHLIIQVHQKYMEHESVFEGSSHLRQLPECRHERLKSFEVIGFSSAKGLVELTCCIVKNAISLKRLTLDTLHGYSCLGGPDDSDYCDNICCPVSEDVVVEEAFRGVAAIRKYIDNKVPPTAELIVLEPCPRCHTAKWIE >Dexi6B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:6B:2458017:2463964:-1 gene:Dexi6B01G0002930 transcript:Dexi6B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRAGAGRCGMGARAGVMALLDCCSLSGERVPGGFAPGRRADGGCRRRKTMEATGGCRREAQRRKTATEGGAGSSAAGQGGAPPASDGRRRQVRRTLAHPGGLRGGDEVTRVLVRTGGTREIQPLVLSLLSLKEASKTSLVSRNWRKLWTRYPNLCFDGSKDGSTDMDSVKIESSKFIETKATLTFHKTWLEEDNNKVLGHVFHGIPSVSAVKVLHVHAKMRTNQLAWPSQVHALTTRPACMFLNLRHLTYEIVIFTKLPNNYSGILQLAQYLAFAPQLEMLELHMLYHVSVGRCWRGEGVSYHMGRHDHLKTVYMSGFRCYRAQVDLLCGILDTSPVLEHVTIEPMTWMVAATPPTSGHALPRDVAWGPPTAAPAGERESGEKRSCASTACSAVLPLAGTAP >Dexi2B01G0022640.1:cds pep primary_assembly:Fonio_CM05836:2B:32254357:32257003:1 gene:Dexi2B01G0022640 transcript:Dexi2B01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQNFDSKPPLRRPPRPAAPPPKSQSSDFAMDNTAPNPSAAAAAAAGNGVQVQGAGGERPEDASKQNLAQVTSSIQKTLGLLHQLNLTVSSFNSASQLPLLQRLNALVAELDTMQKLAEGCDIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEHYREIRATSAAEAKRLAQSQGTLPNGDVKVKAEH >Dexi7B01G0018530.1:cds pep primary_assembly:Fonio_CM05836:7B:24256876:24258667:1 gene:Dexi7B01G0018530 transcript:Dexi7B01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAVVSARPARPLLPYRRLPSFSSARPPRQRGGAGSVRCMARRPDSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAQVVGSEEEAKKRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >Dexi1A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:1A:25310767:25313430:-1 gene:Dexi1A01G0018050 transcript:Dexi1A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLAWSEEGSAAASPPPASRPGLKPPGGITPAMFGAPVAEQEAEDLNKRKMCSGSKMKEMTGSGIFVASGETDNPEAGSGAINPPNKTALRMYQQAVTGMSQITFSVEGNVSPKKPSSLPEVAKQRELSGTVETEANSKTAKQISESKSKELSGSDIFGPPPEVPARPLAARNVELRGNLDFALPQPRSIHTSVKVSNPAGGRSNIVFGEEPVVKTAKKIHDQKFHELTGNNIFKEDAPSGSGEKALSTAKLREMSGNNIFADEKVASRDYFGGVRKPPGGGSSIALL >Dexi3A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:3A:10527905:10528591:1 gene:Dexi3A01G0014440 transcript:Dexi3A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIALVLLCYFPDSAPASLLDHPLGDLLGKVGTANATAPAPAASAPASSKTAAPAPSDPAAKAEREKQMQQLKELEAQGREYTKANGLVSKTNFTGAYKGMAREFVSGHNAARARYGLAPMTWDHTLARHARRWANAMRKDCEFKHSGSKQFSESIFRQRGHFNATAVDAVYMWSDEERYYDKATGQCIGGHDCGHFRLMRHTKMGCARSECFKGGVFISCNYQ >Dexi3A01G0032120.1:cds pep primary_assembly:Fonio_CM05836:3A:36820923:36826543:1 gene:Dexi3A01G0032120 transcript:Dexi3A01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETYERLTAATRRRGTRFEALIGLDEAEGSDEEEEERAAGGGAADEIPCPFCGEELDEVGLWCHMEDKHHAEANAGVCPICTDKVDINLVGHISSQHRGLLKDRWRNRQGSSGSHSSTLAFLKKDPYERISGSSRAAPVSTVPDPLLSSFVGNFYEVDLPKYAKKVSLDETEVGRDNVEQKAAESVDEPEVKEERTRRRQFVQGLVLSLIFDDIL >Dexi1B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:1B:1879743:1879958:1 gene:Dexi1B01G0002320 transcript:Dexi1B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLKTSWPEVVGWPATAAATQINSDRPDVIPAGATVSPGYNAKRVRVFFNAGNSLGPVVYTPMVG >Dexi7B01G0012940.1:cds pep primary_assembly:Fonio_CM05836:7B:19584214:19585264:-1 gene:Dexi7B01G0012940 transcript:Dexi7B01G0012940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHPFLSSSSFSSTPSSLQLRRAFSDGHIPSLHRPSSSFLPSDAIKPLHTELSFSIYNTFSEQGAQLASQEEPTGHDLPHEEPELQDQQQQLTAQPDHPEVPLFLARGLGIDRIASGFFTAGSKSPKAAAAGASNNKMEGVDERAAAQDEEAAAMDAQYKRMVDEQPGNALFLRNYAQFLHEVKGDPRRAEEYYSRAMLADPADGEIMSQYARLVWEVYRDQERCLGYFQKSVQAAPQNSHVLAAYASFLWEQDDDDDDLGEGEQGTGGAEGLDQCAARQAGQARELASAAV >Dexi8B01G0001050.1:cds pep primary_assembly:Fonio_CM05836:8B:725739:726236:-1 gene:Dexi8B01G0001050 transcript:Dexi8B01G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDLSRRRSQRLKDAGESEAYRMVKLKREWRALLEILQRDRIEADRKAQAAAARSATPPPCDPPTGADAGAVPAGKKKRKKVVKWKVSQERIDHMILNQRDPYTDRYPWERLGKRLRRFRQLEAQRRLVDDKIFEYEQALIKQFRQKGYAEDYSETDDDDEDN >Dexi9A01G0036190.1:cds pep primary_assembly:Fonio_CM05836:9A:40731745:40734933:-1 gene:Dexi9A01G0036190 transcript:Dexi9A01G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSDAGAQPREDPSLLPFASFSLSLNIRAPTTPTLASVPSTIHLPTQISTLAVCLHPPAAQSPLLPFASFSLSLNIRAPTTPTLASVPSTIHLPTQISTLAVCLHPPAAQSPSRRPTRLNSATSSVISPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPFHAAAGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAEAVYAHGEVSRDECRAEERVQKAVEKEGINVKYFWGSTLYHVEDLPFRLDDMPSNYGGFREAVKGLEVRKVLEAPEEVKCVPMKNVLEPGDIPTLSELGLTAPPAMAQDSKPAVGSTLIGGETEALERLKKFAAECSMQPNKADKRNNGDSIYGANFSCKISPWLATGCLSPRFMYEELKKHATRAIPSGSTPKNDDGTSDAGTNWLMFELLWRDFFRFITKKYSSIQKTSEVATGCTPAPALA >Dexi6B01G0010790.1:cds pep primary_assembly:Fonio_CM05836:6B:17540567:17541657:-1 gene:Dexi6B01G0010790 transcript:Dexi6B01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGYGDAPTAEELLEYGDLPRQGRDMAEVFAVRVPAAAGGDRPPPCGSIFFHGGHSCSDLIYTRKPSGTDELAAPQPCDGEVRFSISAVFFLVPSLSSSEYFVQINTQIQGNLVLTGPSVAASAYGPVIFDLQLHDGSHHNNTTAGRIFCDTVSGEFSTYDKTISQTVTTGYGHAEVVYAVLSNAVEGRVAVKLSALPVGTGEGDHGAITGVLGRIIARSKLLDAGCVLFYSDGATGKEAGVSVRSGEMLPLARQVLAVRLHRLLTVELNLRSGSGEEIVRGAVDFNPASSGEHVERVVGMSGADVEVTVSWSDYPW >Dexi4A01G0023190.1:cds pep primary_assembly:Fonio_CM05836:4A:26328393:26329181:1 gene:Dexi4A01G0023190 transcript:Dexi4A01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPPPPAAAAEATQPDPLHTSPAAMRPPSPPRAPLAFPTLDSLASFLRPRLPPQTLASWGAVPGTKTLLNLFLELSDGDCTLDDAAPAAEVSPPPRVVRAVRVATVRIRNRRGARLVEAGQLMSDGTVRRRGARPLSEKMRPGESPEAAAARAVREELGAGARVRIDGARGAPRVEERESVSYPGLPARYVLHAVDAEVVGGVPEDGAFETEEEGEDHGDAAGAITVKRHYWEWVDDDEGDGEHEEEEVAAVAGGGARGH >Dexi3B01G0032490.1:cds pep primary_assembly:Fonio_CM05836:3B:34865347:34866326:1 gene:Dexi3B01G0032490 transcript:Dexi3B01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHLNLEWREREGERGGTLDARGGRHCTSSVATVSERFGRAKPLTTATKALPLARSPLILAEPSSTRQCLCLHVGRRGDGGGEGIQWLQQVHAGAMGPRHWRLPRVKGSGVARSQRDNEQRRQNVPQCVMGGGVGSRVVGHKISPKTVNHSRKMRANPRDGSRGGYNGKCFSTCFFGSGSYNGKCFSACFFGINRWFSSPMLLGSLSCRTGAPTPPRGQSEMTAGAAGGRPSSCCSTWKESVGTPVQMWHQQGEMKPVRAAAVVDGSHTRIS >Dexi3B01G0037150.1:cds pep primary_assembly:Fonio_CM05836:3B:39957187:39961677:-1 gene:Dexi3B01G0037150 transcript:Dexi3B01G0037150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGLWKQAWMWLQSQKHILAWAHTAACGSRERLAFLVDQHWPAVSRACATFSRLALAALRQWRGCMARGVLAVASLGPAAVFVMLWSFFVCMTSPAWALYALLSLVLLVHPDKNMGNPLACESFKKLQSAYEASVLCSLAFHCLDYCSSCSASFSVSPTASEGNSCSYASNTQVLSDFTKRNIYDEQLRKEESQKMTPRSCVFSQQVSGVEFRTEESRRIQCTKCGNFHIWICTKRSKTKARFCQGCDQYHQAKDGDGWVETRFATSKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGMKSWRRSS >Dexi4B01G0004080.1:cds pep primary_assembly:Fonio_CM05836:4B:2949356:2949622:1 gene:Dexi4B01G0004080 transcript:Dexi4B01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISNTLKVMAFAVLLLSTAVSHGRCEPDDTRAAAAVIVAGRKMLADEISSAVVVGVPRLTRPAPAAAAAYSESKRSSPGGPDPQHH >Dexi9B01G0039710.1:cds pep primary_assembly:Fonio_CM05836:9B:40402150:40402650:1 gene:Dexi9B01G0039710 transcript:Dexi9B01G0039710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKLKKTGSKAKKLPPFPALHLVTSLCALCFACLYAHFAYRAINDHTSASSSSPSPSRYRLPPGSADAAEASALLRAHLWCSAPQAGAAALALLLPPGSRRRALALAALAATAVNHGVLARLLGLLRAAGGRRAPPQGGRPGHRRRARHGPARLPCILIGGRED >Dexi9B01G0033140.1:cds pep primary_assembly:Fonio_CM05836:9B:35325078:35328010:-1 gene:Dexi9B01G0033140 transcript:Dexi9B01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGNYKMTPVEITYNVISFIIAIILTIAFTVYAKRALNDIKSSEGICKDEVPGPGARAGSRDVELDVV >Dexi8A01G0001990.1:cds pep primary_assembly:Fonio_CM05836:8A:1344755:1346144:-1 gene:Dexi8A01G0001990 transcript:Dexi8A01G0001990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPPQPPSPVPAKVYYDDCPGCAMDKKKETHKGVPYKELLFVGITSFASALPVTSLFPFLYFMAYSIEVCQPEQQALGISIHGEWVL >Dexi6A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:6A:2721732:2722819:1 gene:Dexi6A01G0002960 transcript:Dexi6A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGEDLSSSTANHSFSGDGSAAGSDESGWTSYIDYFMETQRRQKAKGEASLSAEALSTDNVGGYHSTSENSGDCGVGASTRLPVLLEPSAVSKRLSLKEGWRRRKKKVLYDESLEDTATSPISSPKLIELRDSDATHQKKDNSRDEILHSKKNTTGDVNGANTTTDTTIKEDGAYDNNELRKKGLCLVPVSAFRV >Dexi2A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:2A:21872945:21873606:1 gene:Dexi2A01G0013370 transcript:Dexi2A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKVHEHEADVPASDLWSIYGTLRAAELLPELLPHVLAKVELLSGDGGVGTTLKLTFPPGIPGLESYKEKFTKVDNDNYIKEAEAIEGDILKLGFLSYRIRFEIIAKGPDSSVIRSTVEYEIDDAHPELEAMASTAPLAATAEKFAEHAKEKKVLQATS >Dexi5A01G0032390.1:cds pep primary_assembly:Fonio_CM05836:5A:34926033:34931145:-1 gene:Dexi5A01G0032390 transcript:Dexi5A01G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGAHVADLLRGPHLLRAAVLAFAVTLAFLAGYHWHDASPRLVFFSSSASSSPAAGSSSRSPAVALSPNSNISFDPSLIPATSTAPPASPTPNAWPPPSLPPPPLLPPPPPPPARLGIVGEDGAMRDDFDMGSVGANDTDLVTDETAPQEPGDAGGDSVVPRARIGKVPVCPESMPEYIPCLDNQEEIKRLPSTERGERFERHCPANDKALSCLVPAPKGYKAPIPWPRSRDEMVPDVAFGSHTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERDGILLLEVNRLLRAGGYFAWAAQPVYKHEEAQQEAWKDMEDLTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPAVKPPLCDTDDNPDDVWYVNLKACISRLPEIGEGLTPVQWPARLMDPPKRLQGVIMDAYLSKDVLFKAETKFWDDILEGYIRIFKWRKFKLRNVMDMRAGFGGFAAALINQKFDCWVMNVVPVTEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHASGLFSKEQKRCNISSILLEMDRILRPGGRAYIRDRREVIQEIKEITNAMGWRSTIRDTSEGKYASRKVLMCDKPMVH >Dexi2A01G0000900.1:cds pep primary_assembly:Fonio_CM05836:2A:518830:522882:-1 gene:Dexi2A01G0000900 transcript:Dexi2A01G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYDHQDRLTAREAMAHPYFQQVRAAENSRTRA >Dexi9B01G0033080.1:cds pep primary_assembly:Fonio_CM05836:9B:35258555:35260294:1 gene:Dexi9B01G0033080 transcript:Dexi9B01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGIQASDMPSPPVHSNASPAKPENFSGDATHLPIPSAGQESGRHRNNKLTLFPLIFLIFFDVAGGPYGAEPSVQAAGPLFALLGFLIFPFVWPVPESLVTAELSTAMPGNGGYVLWVDRAFGPFAGSLMGTWKYVCAAVGAAAFPALFSDYLTRVVVFPGSGAGARVATIVTFNVSLTVLCYTALSVVGWASVALGVAAMSPFVVMVAVAIPKIRPRRVSTMAGEVDRPEKTFPTALVSAVCVGSLGYLLPLMAATGAVDAPPEAWGDGYFADAAGQIGGAWLKYWVEVGAAVSSVGLYSASLSSAAYLLAGMAELGHLPSLFTSRAPWSGTPWASITATGGIALGMSFMSFDSIVAVTNFLYGLGMLMELAAFLWLRARRPGMPRAYRALPVGGAVGAAAMCAVPAVFLVVVMAVAGWKVCVAAAVFTAAGVGVYYGMAVTGVRQVC >Dexi1B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:1B:17690137:17690562:-1 gene:Dexi1B01G0012880 transcript:Dexi1B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFISAVRDIGLLGLGRCAHGYVLRRELSLDGALGVALINMYTRCGSMGAAHSCFSSVSNKNVKHWTSVITGFAAHAPSEMALSLFGEMRQLGIEPNGVLQPNEEHVHQANDPALWLLG >Dexi2A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:2A:22419151:22422751:-1 gene:Dexi2A01G0013720 transcript:Dexi2A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKKFIPKEQPGGLEGRTIDVGNVKVHVQEAIAEGGFSCVYAARDMVNPTKQYALKHVIVQDKESLELVQKEITVMKSLKGHPNVVTLVAHTILDMGRTCEALLVMELCDKTLVSVLEGRGAGYYDEEKVALIFRDVCNAVFAMHCQTPPLAHRDLKAENVLLGADGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWVWFRVNELLPLELQKDLPDGSPSGSAFESHTAIDAAPRRQPQRIAASSSKEDMGSTSPSDFSNLMPQGPPKAMENRGPVGAFWSTQHAQELAFANDKGPAFDQEPISQVSSKQSQAKNQNTPVQNSSRKSLSASVDSSPGDFEIRFSANGSESGLEKTKTAKTENKSIQATSFVADFDTIKMNSQNNAGNVNIISKLKECQLEAEVTLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALSTTSVTPPAKQFRENSKVELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDTKPWPAFPEEAKPQAAVKSAHPRSVRTLRASNSNKASSLGQLNARSSLDPFAFGQDSFKAAPSETSLPKSSNMGNTTQALNNQNGEENKDGSYQPAGWTGF >DexiUA01G0009310.1:cds pep primary_assembly:Fonio_CM05836:UA:18191520:18192224:1 gene:DexiUA01G0009310 transcript:DexiUA01G0009310.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGGRNNNGRITTRHIGGGHKQAYRIVDFKRNKDGIPAVVERLEYDPNRSANIALVLYKDGERRYILAPKGLKAGDQIQSGVDAAIKAGNTLPMRNIPVVEADCRATLGEVGNAEHMLRVLGKAGAARWRGVRPTVRGTAMNPVDHPHGGGEGRNFGKHPVTPWGVQTKGKKTRSNKRTDKFIVRRRSK >Dexi9B01G0020080.1:cds pep primary_assembly:Fonio_CM05836:9B:14805880:14807457:1 gene:Dexi9B01G0020080 transcript:Dexi9B01G0020080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAPAPAPRPPPAGLDARTGGRILRRAAGHLLHPASLPPLLLAALLLLLFRSALLAGTLRLASFADRDPALRSLLARLSPPAPPSPPPPPHHLPRRRSPFTSPSSSLSDDDVLVGPLDPAASAPSRRRNASYHHVLFTSNSLAPKPYXXXXXXXXXXXXXXXSPPPPPHHLPRRRSPFTSPSSSLSDDDVLVGPLDPAASAPSRRRNASYHHVLFTSNSLAPKPYPVPLPNPIPASGSPFFLTVHNETAPPKAAVPRGNELRLLDLTRRDAAAIINLLALLSSAHVLAILGYIAVHSAALGAVFASVAGRQVQGRRRGFLLTGAARGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASVNPWLAAAVAGPELDGFFVAWAVLDAVISVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLLKCWETVVCGSMGRLIMVTFGGKVLGGFLHAIAEVYFMVVWLLFYFAARCKEVRLGGRQFGLEDVAAAIDGFR >Dexi8B01G0008050.1:cds pep primary_assembly:Fonio_CM05836:8B:10460581:10462927:-1 gene:Dexi8B01G0008050 transcript:Dexi8B01G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCECEARPPDAATGGSVAGMGEEDVALEVLTLGSASVITNACTQLFKPACRNTCDAKADAFAALDQISLLKLSMKLQPA >Dexi6B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:6B:25622275:25622634:1 gene:Dexi6B01G0018680 transcript:Dexi6B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPIMVCTLHLRGLIPHLTIVHRGTKATSTTISGLTTRRRRNLHRRMGDISTTTTTTKRTAPLGSSKDVC >Dexi3B01G0037200.1:cds pep primary_assembly:Fonio_CM05836:3B:40006208:40006822:-1 gene:Dexi3B01G0037200 transcript:Dexi3B01G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPRRSADCAGYIAGRVDGSIYLGSTISGKVKVLDGASLESREVDIPTCIDTSKFTYRSVFTVVHGAGPGPTSPASTWIVHVRGEALEFFRHVRRGSGDGESSSSLWVLEHTIPKLSEAAGELIGYQEMKRVDWTGVSAIAVGDGAAVLSGCRGERKCLFSVAMDTKKLQVVPKEAYRRTMATFAYALPWPQFLRPCPTAS >Dexi9A01G0042890.1:cds pep primary_assembly:Fonio_CM05836:9A:46397142:46402282:1 gene:Dexi9A01G0042890 transcript:Dexi9A01G0042890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFSVSAPSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLGKFFPTVLKKKNEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTSKITPWGWRLSLSLAGIPAVLLTLGALFVTDTPNSLIERGRLDEGKAVLKKIRGTDNVEPEFNEIVEASRIAHEVKDPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDHSDDLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFVFFSAWVLVMSVFVLFFLPETKNIPIEEMTERVWKQHWFWKRYMEDDNHHIVNGKINNGASV >Dexi3A01G0028670.1:cds pep primary_assembly:Fonio_CM05836:3A:29518810:29519463:1 gene:Dexi3A01G0028670 transcript:Dexi3A01G0028670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKARRRRVPAFGEWNYYYYSGELATPTAATNSEWYAAAASAAELEASSDVWFKYSPPPRRPPPRSARTKARRPPAPEKTTVDRPASYYHGSGKRELRRAATPARRASSDDAVAPVSKAAGTGRARVVRPVDADLYQVPPPEFAPGYDDEPRRWHKDEKKKRASRRLWMGCFGFNCVPAE >Dexi3A01G0031260.1:cds pep primary_assembly:Fonio_CM05836:3A:35696764:35698685:1 gene:Dexi3A01G0031260 transcript:Dexi3A01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVFLLAVAIAVLLIAVVSKLNPNLLPSSTKPPKKKLNLPPGPWTLPVIGSIHHLPTGGPLIHRTLRDLAGEHGPLMMLRLGEVPTLVVTSPEAAREVTKTHDVAFADRFVNATLSALTFDATDMACAPYGERWRQLRKICVLELLSASRVQSFSRIREEEVARFLHTISSAAAGAGDDGGAAAVDMSRGISEFINDTFMRECIGSRCKYQEEYDDAFHAAVRETSGMSVADLFPSSRVMGMLAMAPRRALACRHRMQRVLEKVIEEKKEAMDRGDEAAQESFIGVLLRLQRDGSSPIELTNDTIVALMFDIFSAGSDTSASQLTWCMTELMRSPRVMTKAQAEVRKAFGEKERRITEEDLAMANLGYLKLVIKETMRMHPQLPLLIPRQCRETCKVMGYDIPKGTAVLINAWAISRDPKFWDDPEEFKPERFEDNDIDYKGTNYEYLPFGSGRRMCPGLNLGLANTNLVLASLLYHFDWKLPYGLEPKDVDATEAVGLIANKKTKLVLHPIARIALAKA >Dexi2B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:2B:2196522:2197343:-1 gene:Dexi2B01G0002670 transcript:Dexi2B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYVCAAGLIGAERAKDGDDATSRLTYEIFSLLEAKFLFGAGDIATRHLPAVLTPAAACIPPAGNNKVCVLSVDGGARASDGLLAAAAALVRLEASLRRRSGIPSARLPDFFDLAAGSGGVLVSLLFARGPPGRPLYSADDALAFLLRRLRHRRSSTWSSAVVLRRRGLRQGVRRADTAGHSEAIAGPVLRD >Dexi8A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:8A:7404639:7405034:1 gene:Dexi8A01G0006900 transcript:Dexi8A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFCTSKFQIWNVDSTVAYFTSPKGKSQMRCLDYFRRGDGQYLITGLEDGTAQIWNLKNKELVETLKGHTAKINAVCPHPDLPILLTGSADGTVRLWNSNSFR >Dexi9B01G0034110.1:cds pep primary_assembly:Fonio_CM05836:9B:36164017:36170649:-1 gene:Dexi9B01G0034110 transcript:Dexi9B01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPQNPSSGDPSDASSLTAAALDAPARAWRSLVARLPPLPDSSGLLAAVANLQRRYFGVRPRRRRRRAALPLPLRPAAAHSARFTYFLSYIFYFRIAGDMPKAFAILEEVVQHTLTNLHSIHKSLLFWQAKAEVTNSQKVYFMIFERGPRAFVDATYQTLTRLGSNGHPVQYILHSASDMVSTKLAALASMQHCLAAFLAEVCLFHFVKCNYYNRAVHSEVERCREGLTANSDKSLHTLFIVLNTTFSKLEASLRNAGEVYHTYGQDELFTLDGNSYELFEKLPEVDVESQEWTEALSTDGISLIYQNLQKLDSFLSSQIISIRDELFETFKQRDKGVMENQEVQLTEDSLRRMLVAFCEKAEARKLPEDSSVQAMLEILTKRYEKELIHPIQNLFTGELARAMLIQDHGAEGRGNIARCQRRLLLVDVERRLMEFQYYRDNGMEEEALCKFGLVLYTLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMRTTDKMVVVSRLKWMYNCLLPFSSSRLPRL >Dexi9A01G0047540.1:cds pep primary_assembly:Fonio_CM05836:9A:50626491:50628881:-1 gene:Dexi9A01G0047540 transcript:Dexi9A01G0047540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALNLAHQTGAAAAVAAIAPAAPRSAVIAAAASASAPSAASASSPALQAQTMTVDAAPSQAPDTVKPDLAMACQALVDGSAAAPEAEHADVAAELKSKSGVPVFVMMPLDTVRKDGNSLNRRKAVEASLAALKSAGVEGIMVDVWWGIAEAEGPGQYNFNGYMELMEMARKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRDGRRNYEYVSLGADALPVLKGRTPIQCYADFMRAFRDHFASYMGNTIVEIQVGMGPAGELRYPSYPEANGTWCFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGSYKDWPEDTPFFRREGGWNTEYGQFFMSWYSQMLLEHGERILSAATGVFTASPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYVPIARMLGRHGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVAAAARDAGVGLAGENALPRYDETAHDQVVATAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSGAGKRDLCREQLEREASGVAHATQPLVQEAAVALTN >Dexi9A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:9A:2500676:2505182:-1 gene:Dexi9A01G0004600 transcript:Dexi9A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPVLAAARCRSARAPPPSAPAKPRMVLARADHLGRAYAFKVCASALCAPCAMENRTLAVEAPARPLDEPRASLVELRQAGPEARTEPSLSLSPDLVGKGGRKKEAALSLTGSARRRWERRRRSRRRERLLGRGEGGNELGFPSPRLFRGYIPRRRAAVRSQPSDLNERLRSRRGARGRGVVAGLNLGRPAVVCAWALSPFSSGLLSRLVEPSRVLSRAAESSRVAGPCANGPPSGLRALRPLNGANFLDWKGKVMTCLAWNDLDIVFRQDRPAAPAEGQTSPALEKWERSNRMATMVMSQTISPGIKGAIPLKNAQGVEYTATELLAKIEENFKSSSKTYASTLIMKLVSSQYNGKTGIREHILSMCDMANKLKEMQMEISDGFLVHFILTSLPSPQYAAFKINYNTTKAIWTLSDLISYCVEEEERLKTEKMKDVVNMVGNLSLSDTPKNQHESGSSKQGAKKNFKKNKNKNFAPRHENKFKKGSHTSGGKMLRNTWHKLLLFQYQLILFFRLQLLLLLRHLQLIIRQKKFCNKNLLQFLNQL >Dexi9B01G0007040.1:cds pep primary_assembly:Fonio_CM05836:9B:4242976:4245444:-1 gene:Dexi9B01G0007040 transcript:Dexi9B01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKKSPREMARGDREQQQTPAASSKGQAWQVKQFCTTGLPSDIVIEVGDMTFHLHKFPLMSRSKKLHDLITNKESREANRRDTGGAQEEEDAGEIREEEVEMVLEEDEEADVHRIRLPGFPGGAEAFELAAKFCYGVKLDLTPSTAAPLRCAAERLGMSDDHSDDNLISRADRFISQAVLRNPRDAIRALKTCEDLLPLADDLGLVSLCVDAIAAKAAASTPTALFGWPIADDARAAGDRHRRKNTSAAAGASWFDDLAGLSLAMFSRVIAAMKERGVGAEVIEGALIAYAKRSIPGLSRTGRHVAGGGAAAAAAPLAPPSSDGDQQKALLETVIANLPEETIKSSAHTGTAVGATAARVLFGLLRTASILHASEVSRDTLERRTAARLPDAAVDDLLIPSYSYLVETLYDVDCVERVVRYFLEGRDVAEEDGDEGDECVQAETPGREASRRAMLAVGRLIDAYLGEIATDANLKPDKFCDLAWALPDAARVYDDGLYRAVDIYLKVNEGTAHPGLSEEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGSGAAGSGEEGGDSDGGGGGPWRVATRGNQMLRLDMDSMRNRVQELERECTSMRKAIEKMDRRGGAAADRGAPSASAAVAADGRWGSLVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >Dexi4A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:4A:3961426:3965568:1 gene:Dexi4A01G0005460 transcript:Dexi4A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAPAPAAAMAVDDAEDDQLATMSTDDIVRASRLLDNEIRVLKDELQRTNLELESVKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQRLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIVQVQAKKKSSLNYYA >Dexi9A01G0046170.1:cds pep primary_assembly:Fonio_CM05836:9A:49548222:49553155:-1 gene:Dexi9A01G0046170 transcript:Dexi9A01G0046170.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATKDLKAINNKQRELIRIIERLQQVPIQQLYATPVPTSSDPTLYDFRLIESDYSSHIVIDLDEDKDNVGTIGADSTVSAKDYDDKNMVKSCVDESSSSNHNGNYIQKHLLLEKPRGHQETIRLDNCNSSTEPQDLFKQSKESMDIDNLSDETKKTILLDSHSASEQQPLIKHGRGNINSNTEAINSVISTQVHPFAFEQDELEDKVKTARTIKKYDGSYEVSCEILQNESQSNESNHHEKDSQDDELDDLWLGMSVALVCSEDVKNVDKKNRNISKRKMNSIVHRIDINDGVKAKFIYNLLSLSEAAGEKVLVFSQYVRSLDFLETLFTKMKGWKPGVNTFQMDGGLTQEQREQAVERFNSSPEAKVFFGSIKACGEGISLVGASRVVILDVHENPAVMRQAIGRAFRPGQSKMVYCYRLVAAGSLEEEAHHTAFNKERVSKLWFEWDELCNNEDFELTKVDASDCGDRFLESSALKEDIKSLLK >Dexi9A01G0002610.1:cds pep primary_assembly:Fonio_CM05836:9A:1410959:1416470:-1 gene:Dexi9A01G0002610 transcript:Dexi9A01G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPALALSNPSSSSSSSSGAARSRAAAFHCWALRRRGWAAVAAVASPNSVLSEHAFKRLQLGSDDEDGEEEGAYGSDEEGLEAGEGFQGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKRLMEDDSSQITRRGRIPRVLVMAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPAQRQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTATSKRTILSDLTTVYAKGGKTIVFTRTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVDPESTQYFLRAAERLTEELGPHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDSGFGRGFFSPRSVTGFLSDVCSAAADEVGKIYLTADENVQGAVFDLPEEIAKDLLAMELPPGNTITKISKLPALQDDGPPADSYGRFSNERGSRNRRSRGGGSARGRGGWDSDGEDRFHRGGRSFRSDNDSRSLRSDNDSWSDDDWSGGGRKSNRSSSFGSRSSSYVSRGSPSFGGRSSSFGGRER >Dexi7B01G0015280.1:cds pep primary_assembly:Fonio_CM05836:7B:21284912:21287513:1 gene:Dexi7B01G0015280 transcript:Dexi7B01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYEAGKALADEMGIPFMETSAKNATNVEQAFMAMAASIKTRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >Dexi6A01G0017410.1:cds pep primary_assembly:Fonio_CM05836:6A:25241146:25241488:1 gene:Dexi6A01G0017410 transcript:Dexi6A01G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNMLNLGARIYPPHSNFSEQLETMSKYRDSMKLEAPPGKPVTEVEAARQFAYTYVRRKPHKRSTMVEETVSPCALDSMANMKAKAIKL >Dexi2A01G0010930.1:cds pep primary_assembly:Fonio_CM05836:2A:12368994:12370629:1 gene:Dexi2A01G0010930 transcript:Dexi2A01G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFAATTSVTWMLFLAAAVLALPLLLAADTADGKGPNVLAGNCTLTMCGDVVVPYPFGTTAGCYLLGYNLTCNTSHAPPRLFLGDGTLQVVSISLENSTVHVVGPEIHMVESVGDDIVANGTWGGQGRGLSDEGPYKLAEVYNELVLSGCPFFAELTSYSVFIAEEGWFHRYNASGSASWSASAIPVALGWAIVSNALSNETYDGNATCRKDLGSTACHSSYSTCRNTYRLYDKKNYTKSYTCSCWDGYQGNPYLPDGCQGT >Dexi9B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:9B:4741095:4742607:-1 gene:Dexi9B01G0007760 transcript:Dexi9B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPHVLALPFPAQGHVIPLMQLSHRLVEHGIEVAFVNAEANHALVLDAMAADDGAGRSSSLDADGIHLVGVPDGLADGDDRKDLGKLVDAFSRHMPGHLEELVERIEASGGTKISWLIADEAMGWALEVAKKLGIRSACFWPGSAAFLASTFRIPQLIQDGVIDEKGWPKRQETFQFAVGMPPLHTSRLSWNNAGAPENQPTIFQLVIRSNEAKDLAENIICNSFVDAEPGAFELYPNILPIGPLFTDDLQLKKPVGQFLPEDTRCLEWLDAQPDRSVVYVAFGSFTVFDPCQFEELALGLELTGRPFLWVVRPDFTAGLSKAWLDAFRHRQRVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFTDQFQNESYICNVWKAGLAVAPGADGVVTKEELSGKVERVLGDDGIRERVGVLRDAARRSVAEGGSSHGNFKKFVELLKG >Dexi4A01G0004840.1:cds pep primary_assembly:Fonio_CM05836:4A:3399482:3402770:1 gene:Dexi4A01G0004840 transcript:Dexi4A01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADARPGQLEGGRGVAVLWPEWEQPAQAPIVEELQAWKDGGETEGAPGEKRREGLSRLPSALCLQLPSAAGGGPAKEKQSPPVAGGCKGEAGPLRCERKADWVGTRDGPTSLCFVRAVDLCAARRFPALPSFPRLPPFFSRVLPPFFPARRPTPLSRVLPLLRPQTVPA >Dexi9A01G0035480.1:cds pep primary_assembly:Fonio_CM05836:9A:40149559:40154233:-1 gene:Dexi9A01G0035480 transcript:Dexi9A01G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAPVVSDLVDFLNASPTAFHAVDEAKLRLKAAGFTQLSEREEWAGLEPGRKYFFTRNHSTIVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIIREKRDGGVSYAHKLVRVQEPILRIPTLAIHLDRTISSEGLKINNQNHLIPVLATSIKNEMQRLVGENGPKGSSENKNTKHHPLLLQALIDSTSTEHSLDHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSMLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKMHGGLVIKHNANQRYATNAVTAFIFREIAERHQLPIQDFVVRNDMACGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDISHSYEHFKAYFEEFTELDGKVKVDY >Dexi9A01G0040460.1:cds pep primary_assembly:Fonio_CM05836:9A:44210320:44213667:1 gene:Dexi9A01G0040460 transcript:Dexi9A01G0040460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQGKEAVGIALLFSFAFICARFLLDSLVYKQDEFPTTLYYIFNTMLLTLLVFHVYWGKLIYSEDDE >Dexi8B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:8B:9541179:9545230:1 gene:Dexi8B01G0007700 transcript:Dexi8B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRGQGQALIDLDSDAEDVIYVQEGTRDLWKQIDEDKKSSYAYFDSLWFNMYYRGNNVPNVLKWIKAKRIFSRQYVFIPIVCCGHWSLLVLCHFDEANCSDIKKGPRMIVLDSLNTTDPTRLQSAIRKFIVDIYESEEREESKQFINKIRLEFPKVPQQNGDECGIYVLYFIQCFLQNKKLAEVLQNKKLEEDFTQLLDDGWFNPEDLENFRKDIHSFQANRDSKSVE >Dexi3A01G0016490.1:cds pep primary_assembly:Fonio_CM05836:3A:12416922:12417121:1 gene:Dexi3A01G0016490 transcript:Dexi3A01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDEDTGAAGMGSPGPASAPAAVGGSSGAGASGSGGKPPVKRVMKTPYQLEVLERTFVEY >Dexi3A01G0017420.1:cds pep primary_assembly:Fonio_CM05836:3A:13286320:13287255:1 gene:Dexi3A01G0017420 transcript:Dexi3A01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTPTNMPAARPRMRGAVVAPPQTTMEGGESGLQRLRGTVTLSLKPPEEPEVLPPPSMGGAAQASSPETEEGEEEKPATTPAMRGAVQHPRATKAAGSVGCQAIADMAGRLGVAPGVADQAMGVFRRLEQAKGKWHHYYTKGPGRSGDALYAACIYVACRSAGAPRTFKELAAATRDGAAARKDIGKLIGLIKAKLGDDAAAGGGEAVNIGVVRPVDFMERFGSLLGMGEDEVRAVQEAARRMNDKLDVRRNPDSTAAAIIYMAIERRGDRRSSIRDVSAATGVADNTIKQAYKELYPHAQLLFDQSSC >Dexi7B01G0006450.1:cds pep primary_assembly:Fonio_CM05836:7B:14185173:14185560:1 gene:Dexi7B01G0006450 transcript:Dexi7B01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLLPSLGRRHCAATVREDDGGTAGGGAAEVAAGEGGYAGWPWPWELVRWRRHLNDPACVAGAHTHLGAAPWPWAEEMDDQLRRMCFGDAPVGVVLWWWPWAWNLPSSAAVST >Dexi5B01G0020730.1:cds pep primary_assembly:Fonio_CM05836:5B:22944466:22946517:-1 gene:Dexi5B01G0020730 transcript:Dexi5B01G0020730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGVEESPQCSGSRGGGPDWGALQQDPVELLRKLDELRDQITRSCHVVGQPREHRRPGRRAVSMLPEHLEPPPLPGYHRSRYGARYGHGLPPPSPYTPLRPEHGERYARQSSGQYRQYPGRQWENGGMGPGSYHHFGCACPHCLHGQRAAPQEENIPMARYFAAQHESYRLDRSPSVSSDYDRRSVASSLYSHRSVSKKRAEFFRKKAEHICRPVDGAAPFAVCSSCYKLLQMPMEKCLGRKKNRFQCGSCCQIICLKRDEGKGIPLTASSSLYVPEMEQISNDQTMQDSTYQRREDFNSVFYNSNEHSSMQFNMDFNDDNSLSSATSHGRTDKEYGSNRSIQSKAEGLSFSPSRSLDVGSPKDILCERDAGCEAEPSVDGPVTPRSPVLEDKLVDPLCSQEKDNNEDEQGMAYGSDLTCKGEYGVDDDYDEIISTRSKQKGNEDDRDAAEDESSCSSYEQKSKEDNRCNLEDDSKTFKQSSAKDDTSSLEDGSEKYEYTDTKDDNSNPVGENTSNKCELKAKGDEKCVLAAENISNNFDENNKDDVIEAGSTSERHDELKTEEDYGKLQQSFTEDANSPAESGSSVNGRTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKDLSLLNKSMDSVKVSINGHPISERALKKAEKKAGPVDPGSYW >Dexi9A01G0046190.1:cds pep primary_assembly:Fonio_CM05836:9A:49570403:49576441:1 gene:Dexi9A01G0046190 transcript:Dexi9A01G0046190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSDLPPEVLDLILVRLPLRDAARSSVLSVAWGHSWRQLSDLDFTSSTCDRGAIDAVLLSHSGSVRHVRLEVTVTDGHLPHVQAWVDALSKKMLQSLKLSFNAPSVPSVLMEGQVPKSMLACGTLTELVLTRCVLPPIPASFTGLVLAGPCLCFCFASWLASTSPVSRRPLCSSLVRSSTTAAGDGCLLPEQGINEEEVNNGSSKGDKKKKKLIRLPPDVADFLFNYKCTPLPEQGPMPALLEEKNKELCDDLRTACSMAEAITRHCDHNISILQDELRREVETKGFLTYETTGDEAVDGFFSAMASQQGGPRGGGRRRHRPGVMKGAGGVTKLI >Dexi8B01G0009400.1:cds pep primary_assembly:Fonio_CM05836:8B:16681089:16681571:-1 gene:Dexi8B01G0009400 transcript:Dexi8B01G0009400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSHEPSHVGGWGAGPGPDGPGPAPPNGRGDGLEHRAGRVAWPTATPLGLNAGYEAPWNGDVTGGEVSAWALWRSKRRLGYVPEGLVVPDEENGRRGEPAGELPNGSEELSHPEAISDGVVPCHAHKIPSAAELGDLHHERRVVQPAAIAVPWR >Dexi9A01G0034900.1:cds pep primary_assembly:Fonio_CM05836:9A:39599170:39600225:1 gene:Dexi9A01G0034900 transcript:Dexi9A01G0034900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRELTDDFIADVLRRLPPRSVAVSRCVCRAWRGLVDARRLLRADLLPRSVGGIFMNYCTLYSPEFFARPTTRPSTSGDLEFIPAFSKVVDHCNGLLLLTETSDDHDYVCNPATRQWTRLPPRPISRMGKSFEQIECLVYDPTVSPHYKVFLIHCLHVSDDQPKSMLDPKMMRSEWPPSPYTASIFIKNWMSMANAKYRLLPMPSDVEDRHHGCLSLGKSEKGVLRT >Dexi1A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:1A:27587807:27592863:1 gene:Dexi1A01G0020780 transcript:Dexi1A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding PASVLRSRRRFSARNLTFGLGDPGGTLYSSWAFRGSNLAAGGQCERSPSEWNDLRRGSWVNLAAAAMEHVIGGKFKLGKKIGSGSFGELYLGVNIQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMIARVEYMHTRGFLHRDIKPDNFLMGLGRKASQVGENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFVTYFHYCRSLRFEDKPDYSYLKKIFRDLFIREGYQHDYVFDWTVARQAADNNRLRLSGRGGLVGPSADRAERAAARPDVPVPDRFPGPVDAFGRRTSSGHYGENTKHRTLLDTLLAPKTVRNSQSNYIDILFEYLVVVDVLVRYLMFW >Dexi9B01G0048550.1:cds pep primary_assembly:Fonio_CM05836:9B:47481348:47483872:-1 gene:Dexi9B01G0048550 transcript:Dexi9B01G0048550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVDDAGARWDFLDWVGPDTSACIFHLLDDPTDLARAAAVSRSWRRFVIHSGFCKRLCHQICPEVATFTRAVVVTRSPPASESSRHAEARDHLVYSNLGGALASANPSMDCIIRSIGASTTDNFPEETIDNTREPRDRVNHRPSYWSSGGHDDPDVPESLIYKLSSDLCIIDELRIQPFQAFFQPGSPIYSAKAVRIRLGHSKLPPGSESFVTLANENQRAVADENYTWMYTSPEFPMMQENVLQTFKLPRPVLCIGGVAKIELLGRVQKQATDDRYYICVCHAQVMGRSLSPEFMVDISDPAGYSTLKYLPGASNLRVEDILNGDTKDSTEWHSLVARYRQIRHMAMVNMLLGPVHFMDDDDDDAGGVTDDDMYM >Dexi9A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:9A:10177986:10180647:-1 gene:Dexi9A01G0015280 transcript:Dexi9A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVLSLDKASRKLCFLPVYNDASQFLIASGTPSADGRASVGGSGGHESGVKHDGEGQKAKGRRELRIRRHIAHAANSKNPSGWTWDWTTSRKHSANSHDKHMLLEHHARLQLRGPTAAEAPSGDFSPDPWPHVSSVPPRPSFLQEKWR >Dexi9B01G0045990.1:cds pep primary_assembly:Fonio_CM05836:9B:45404106:45404799:1 gene:Dexi9B01G0045990 transcript:Dexi9B01G0045990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLDDLIADICELPARFIGKKKRKEFQELAFLVRIDCEGCERRVKNALEGMKGVSGVEVDPKENKVTVSGRVEAPEVVKRLRRRAGKKAEPWPFVPYEVVPHPYAPGAYDKKAPPGYVRNVLDDPDKAPLVRASSMEERYTTAFSDDNPNSCAVM >Dexi3A01G0020740.1:cds pep primary_assembly:Fonio_CM05836:3A:16462447:16463096:-1 gene:Dexi3A01G0020740 transcript:Dexi3A01G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDDDETAASAAEAATTDVELLKRAWRNEKAAPEVLRFDSPLVSRVREQIQLLEETLDDFADSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKVRHIKRKS >Dexi3A01G0002250.1:cds pep primary_assembly:Fonio_CM05836:3A:1491630:1496949:1 gene:Dexi3A01G0002250 transcript:Dexi3A01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWDRLGVNIRRPRLRVLFILGRISRAASLYLLLHTSVCGVDWEENPNGHSPLPRARGLGDMEWLEKNLQDNFDLPPKHPSDEALRRWRSAVSFVKNRRRRFRMVADLDSRSQNETKRRSLQENFRNLRVAFFVHQAAATLIDGAKNKEYQVTEDIRNAGFSINPDELASITSKHDVKALRMHGEVDGISKKIRSTFDRGISVSDLETRQGIYGVNRYTEKPARSLWMFVWDASQDMTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLTGESDPVYISQDKPFILAGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAVTICTDKTGTLTTNHMVVDKIWISEVSKSVIGNNTLQDLNSVISSTTLGLLLQGIFENTSAEVVKEKDDTQTVLGTPTERAILEFGLKLQGHNGEDMTCTKVKVEPFNSVKKKMAVLVSLPNGTHRWFSKGASEIIVQMCDRMVDADGNSVPLSEAQRKNILDIIHSFASDALRTLCLAYKEVDDFDENSDSQTDGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGAGVIYILFYSICASMVVPLVIPDIHYSVNNTFFLNERARDCAYFIDIVNNTFEFCSPGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVRRGENFITKVMWRNIIGQSLYQLVVLGTLMFGGEQLLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMFSNWLFIGIIAVTVAFQVVIIEFLGTFASTVPLNWQLWLVSVGLGSISLIVGAILKCIPVKSDENPSSPNGYLPLSSGPDNI >Dexi9A01G0010570.1:cds pep primary_assembly:Fonio_CM05836:9A:6467998:6471402:-1 gene:Dexi9A01G0010570 transcript:Dexi9A01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRALPVQIAVEGCMHGELDIVYDTLRKLEEAEGIKIDLLLCCGDFQACAHLNLNSFALPVWDFGGMSVILRHYERPPYNEQTIRSVYHVRHYDVLKLMHVKEPLDIFLSHDWPLGITEYGNWQKLIRAKNHFEEEVNNRTLGSKPAAELLNKLKPPYWFSAHLHCRFPAIIQHGVNGPTTKFLALDKCLPGRNFLQVIDIPSNPGPYEIQYDEEWLAITRRFNSIFPLTRRRFTMRNNQLDTQDDRQWVRSKLTSRGLKPFDFVQTAPPFNPTNRVSSSSTIGSCRNPQTESFLQLLELPYLLDSSNSEGMSFGIEINESSSQPGNMPDDEDIELPDEDEDAADDDE >Dexi4B01G0020250.1:cds pep primary_assembly:Fonio_CM05836:4B:22460490:22465327:-1 gene:Dexi4B01G0020250 transcript:Dexi4B01G0020250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRVAALATGTGGAAQAQRLLTTSSYSGAGAALLASSQIRSKVVGCRGAAFVSSRWLHDAQYQVRQDGDSRSQERRDPFELVADDLSLVADRLRSMVAAEVPKLASAAEYFFKVGAEGKKFRPTVLLLMASALKFPLSESAEGGVLSILADKLRAPHLNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLVMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTAEVSMLAYDYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHNLVEIITIVLDKDMGIITAPILYAMEEFPQLNEVVDRGFDNPENVELALDYLQKSRGIERTKELAWEHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >Dexi6A01G0015960.1:cds pep primary_assembly:Fonio_CM05836:6A:23834226:23840379:1 gene:Dexi6A01G0015960 transcript:Dexi6A01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARPPISLHHRTIAGGQRRRCVGGSERKRDRALGRHFTQALESLWRHSPRIPPPPRPAAAAAATRGEANPSWQPPVLENAAFEEYYKIQQIVRREEWDAFMSVLRKPLPATFRINASCQFYKDICSKLENDFRRPLESEVSNEYGEDAVRPLPWYPGNLAWHLNFSRKELRKNQALEGFHEFLKHESEVGNITRQEAVSMVGILYQFVPPLFLDVQPDHCILDMCAAPGSKTFQLLEMIHQSKEPGLLPRALVIANDLNVQRCDLLIHNTKRMCTANLIVTNHEAEKFPDCSLEKDYSEAYKDTYRPQRLEFDRILCDVPCSGDGTIRKGHDMWRKWNSGMGNQLHLLQVNIAMHGIELLKVGGRVVYSTCSMNPVENEAVVAEVQDRGSWLQTHDDVPKDRKNVVLPSMFPSNSTDEERRYQRHGTKIQHILAKGRIVHLQKEPEQETRPYETILTRQQNNGSEEVDDAELLCRRQNLSTDNQTTKGKNSIEPEMVFDDVESGQAEVGERMTKLQKQSRWKGIDPVLFFKDEVVIKSIISFFGIKESFPLQGHLVTRSTDNARRIYYISKSVKEILELNAEVGEQLKIASLGVKMFVSINY >Dexi9A01G0003680.1:cds pep primary_assembly:Fonio_CM05836:9A:1947306:1951526:1 gene:Dexi9A01G0003680 transcript:Dexi9A01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNRASAAVIPDREREVPAAVLRPCLRLRPPASLPLASFSHSQARYSLAPPRRHAHTSTPTPSPPAPLPRAHAQRRRLSSPPSPPRGSGPPRLRLATPSSPLPQVNRDSPSPPSPAKSSLGGQRNWSSGGSASGRKNKERGDDRAKGTRVVSLGNREKREEHKGGRGNVGSTRRSSEGVPRVGVKKNLSGFDRRKIDDDSWDDGGGGNNFSSSKSKFTRKTASTMNRRKVAPGKGDRLNSQRSYEDDSRAGRRSMSKVSDISRGFNGRSGLSKNSGASKGKKFDASTEFRRTKNGGAKDVGLDEEVADSKNSDDSGHIIEEEKPRPRLTRVLDQSGKKIKPVKKDVVPDDEEPTRPKKRKRMKLDPYDTSNKRIEDFPPIQDVTNAEKVLVANAEKVLVKCAPEETEMSINAKFRSIQPTPSILSYVEDNLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSSTERDRIEESVFRNKLEFFAAAKMSSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERHKTPYQIVLTKTDLVFPIDVSRRAMEIQESLKKNKSVVNPVVSF >Dexi4A01G0005790.1:cds pep primary_assembly:Fonio_CM05836:4A:4149444:4152355:-1 gene:Dexi4A01G0005790 transcript:Dexi4A01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVAVKAGSRPPWLGLGAAAWVQVAGGASSTFALYSHALKVALGADQRRLALLGVACDVGDNLGLFPGVLCNRLHPALLLLVGGAACLLGYGAVWLLVSGAAPALPYWLIWFALCLAANGGAWMGTAVLVTNMRNFPVSRGSVAGILKGYSGLSAAVFTEIYTGVLRDSPTNLLLFLTLGVPAVLLDHVLTLNDIVNYSLLIIMAVLIFAPLAIPLKMTLFPRRKSPSNSSDCLPKADNGHTEALLPSSSESNLGNFEDDDSMDIDILYAEGEGAIKPERRRPRRGEDFRSRTLPRSVLIVCTQVALIVAYLLLAWGHHATLYVSVALLGISYGVQFTVMISTSSELFGLKHFGKIYNFIALANPVGAFLFNTLLGYVYDLEVQKQKAGMTDTDIACHGPNCFRLTFFVFSGAACLGTLLSTVLTVRVRPVYQMLYGGGSFSQPRSSAH >Dexi7B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:7B:7693069:7704423:-1 gene:Dexi7B01G0003190 transcript:Dexi7B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLAAAAALRLLSSSSSSSSKVRLLSPSAFLLSRRDDDDHGREGPSSPPPLPSAHAASAFSPRPLLTSASGAAGLLGLHGGWLRRSLPPAASRSHGATADAPPARLTISRSYSLRVAKGKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWVSTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWSSQPPENIHWAALVIGGSFLIEGFLISNVVLQDGAAVTGLAIAAASLVAVQTTGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALYDCKSEFREAAMSKDDTELLRVMANYGEDVVEALGYEVDRLESEIQKLVPGIKHVDIEAHNPEGLSLRA >Dexi7B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:7B:3529826:3539541:-1 gene:Dexi7B01G0002080 transcript:Dexi7B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLRTASVASVSSSTDSPTSAGPPGGVPQSITALLNNPLPSAAASSYSWLPWPPPTIALPEAAPTPPSHPCEVTRADFAPYLSAVSDPYTRFADIRLHATAELAASDAEGAPAASSGLAACLREVPALFFKEDFALEEGPTFQAACPLNDDGLQERLGQHLDVVEAHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGAARQVQELNAMRGNLVALQRKLTVILYVSQALATLKLLVAAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVHAAVPDGKAVDAIILSNVKRKDFSPLNGTEHEGNIDEEGSFTLRDRLLPLIICLLRTDKLPSVLRIYRDTLITVMKASIKATVAELLPVLTARPIDSDSVTGDRAADVEAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLQQAAEVKRIVEWIMGNLDATLIVDANNPTIQHVGSVVSDISQENDYSVSSRVSSNIARSTSKIPLVQGKINDLSIINSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPRLRLQDFLIIYNITEEFIAATEKVGGRLGYNIRGILQQQSKQFVDYQHSVRMTKIKAVLDQETWVAVDVPEEFQAIVQSFSSTYSSVNGMDMPSADDNSKFSDPTSQDPTYSAENSDANGKLIPAVGESKVESTSQTENNVTGNQRSALQTIVHGGVGYHMVNCGLILLKMLSEYVDISKCLSSLSFEVVQRVVEILKFFNNRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKHLLMSELDRVSQDYKVHRDEIHSKLVQIMRERLLANLRKLPQIVEGWNGPEDNDLQPSQFAKAVTKEVTYLYRILSQILLEVDVQTIFR >Dexi3B01G0037840.1:cds pep primary_assembly:Fonio_CM05836:3B:40684833:40685603:-1 gene:Dexi3B01G0037840 transcript:Dexi3B01G0037840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKPLCPPRLEFENRHHHAGKEWAWRYLPAPPFDRMGVVSYALHPDGTTILVSAAEPFIAVDGDGAAAAAAAAGTFAFDTTGQQVWTRHAEWTMPFAGRAHFVHSLNAFVGLSDDPDTLGHLCSCDGAAIAAGGGGRPEWKVGKEKIFSEDPYERHRVSNGDEEQLEEEDGVVPRRPVGNRCYRLTTFSLGYDGNGDLTTGETCRVRCYKVPQEIRAMESYDSDPAAFWL >Dexi5B01G0006010.1:cds pep primary_assembly:Fonio_CM05836:5B:4053496:4058482:1 gene:Dexi5B01G0006010 transcript:Dexi5B01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYAAAAAGSSSRKPNRASTASAAAARPPAPSPSPAPAPPAAYPSAVSDSDPSSYSSSSADEADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLSCIQSWAHQSASGAAVPCPTWGCPKCRFAYTKSQTPTSYRCFCSKTEDPAPDPWILPHSCGDVCGRRLNANVDSGCEHTCLLLCHPGPCPPCPAVVPNAPCFCGAHREPRRCAHQRYSCVGKCNKRLSCGLHRCPVSCHDGPCPPCAVRGKHKCECGETMEEKLCSERVFQCKRDCGGMLDCGKHRCERGCHGGKCGECPLRGRRTCPCGKKDYPKLECNAEAATCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKSCRCGGLKKQVPCYQELTCERKCQRLRNCGRHACKRRCCAGDCPPCSETCDRKLRCGNHKCLSPCHRGACSPCPLMKTISCACGKTCFEVELGRLSPHKCHYGACPPCKLTCGEELTCGHICKERCHGPISPPNPEFTLKPTKKKMEKHIECTPGTPCPPCKEVVLVPCLGQHLGQERAAREPPCSHRCPLRCHLSDCPPCKVLVKKPCHCGAMVHAFECVYFNNLKAKEQLKVRSCGGPCHRKLPNCPHLCSEVCHPGTCPSVDQCMKKVNVRCACNTLKQEWICQDVLKEYRNSGRDPKEVSKSQFGAGLLACDDNCKKKLKAPDSELHLRKTQENKSPIVEVANIPKRRKRRDRGQEEVKVSKFQEVKTYVLRVLLMIFLSIIAAAGLYLLWKGIFRLSDLMNEMEEQKARQRHLRGSMS >Dexi4A01G0007040.1:cds pep primary_assembly:Fonio_CM05836:4A:5141394:5142940:1 gene:Dexi4A01G0007040 transcript:Dexi4A01G0007040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNESKLFPLEPSAYGGTILGPPKAKGRQDILINHNCHGMKRTSGSPLICNDTSTAIGVYAATRNQIDEAVATETVNKFLQLWLGKKDL >Dexi2B01G0033050.1:cds pep primary_assembly:Fonio_CM05836:2B:40745133:40746529:-1 gene:Dexi2B01G0033050 transcript:Dexi2B01G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRNRKPRNFATFRLCPRPGAADASDRVFVRVDDNPYSVPGFADDSGGGPPSSYTAAGGADDEPSSSSADDGGDVLPDHVRQEILELGLPDDGYDYLAHLREIRPSLSSTGGGGSSAVFLPSRRRPGRSGLPMDVKAYDLSCAPVGSGEVTLATRQVEEAIDLDVVKLLDGSDSPAIESGDADLEEDFVILANQSDEEQQIEEEKNIGGGNGLLHVEQFDSLALEEYPDGEGAVKHCETAVSGSYLSIRPGKILAAENAKKKLPKFSTGETSMKKAIIKKGMENLPTLSGESLNRGPYKEAKEEAKES >Dexi5A01G0017780.1:cds pep primary_assembly:Fonio_CM05836:5A:21118621:21122617:-1 gene:Dexi5A01G0017780 transcript:Dexi5A01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSLFFVTAVLLLSSATADEVTAEVIPLYYTCSDDGGRYRDNSTYLSNLKSLADTLVANAGTPNSFTPGAVGQAPDAVYGFVLCRGDCTGASCRDGLRKAFDDTVHKGFVCPLYKDVTIYYEQYMLQFSGDDIRRNFTNRPAWVASNMNNVTGETGKRYREKVQKLIDRIMDAAANSPGRYGTGKAWFGGEGGVTTAYGLLQCTPDLQADDCRSCLAELVSLMPGWFSGDEPNDYRVGGRILGPRCNLRYEKELFFQETNATLHIDVPKNHLGRMEILLLTIAAVLSIIILISLLAWIIQRRKDNLAKRFTSFVQAWQLWEDGNSKQLIDNSLSVEEHHQEAEILRCVQIALLCVQENPEDRPDMREVVRILSNKGTQLDNPNQPAYFHEPIASNPTSTQYLTAIHVHTA >Dexi7B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:7B:19928292:19929185:1 gene:Dexi7B01G0013440 transcript:Dexi7B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDMFGAHCNDLEELVPLYRAVTTCEVRNGRSTNCWLDHWLPDGRLCETLPVLYSHALDEEVSVASVVTDGLETYLTPRITVVARGELGKLRSVLAEVTLTKEEDRRTSPLCGPDNVLRSGPVYNSLLQVTGSPPSAYADFVWKNKAPPRERVQCRANLLRKNVLDDATCALCNLEVEDCDHLIFHCLFAAQVWASLNINVAGKTVKRLWDIVRPPTVPQKHFESYIILVCWHIWKHRNGVVFNEEQPCHGRLLASCKEDARLWSCRWPAADRGIVDAWCSSFSIM >Dexi6B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:6B:25877592:25877858:-1 gene:Dexi6B01G0018970 transcript:Dexi6B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFFVAKWSCRAYSGGRPSWAGGRTCMGVARTSMPAKAAQSSACVGQVGDELRPGSEAHRRRRALVQVSRPGMRVRTEEDKALLAQH >Dexi2B01G0023470.1:cds pep primary_assembly:Fonio_CM05836:2B:32933286:32934648:-1 gene:Dexi2B01G0023470 transcript:Dexi2B01G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPDGQHVWLRSSGRGEKYLHADEDGVSVRVSSKRASPLSAWKVHHAVDPEDGETYVLLCSAAYGHYLTATRVPAPRGHKGRLVVQGAYNTFNSVAWRPERVGAGNGGDKVVLRHYSDHLLRANGEFFFWNKGISADATNRITAMSHWVVRAIPPRPFPPELPAAVLGPYRGSFLSFTKMDVATVVPGVPGRTIRFVRAADDGTFSPNLDDWDEFRFNGRSVVELRAAVAGALEEPNVLSPSIFLATRGIWRSSC >Dexi1B01G0000610.1:cds pep primary_assembly:Fonio_CM05836:1B:546794:554707:1 gene:Dexi1B01G0000610 transcript:Dexi1B01G0000610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQEDSARRPKGAAPSSNLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQARPPELEEKLNKSRTKKTKRDTAAADPDLPRRDAKRRRRAASTQNVSVLNLTDEVVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLSTLKNDKIKNPDKKKDIEQLLNPIPNQLFDQLVSIGKLITDFQDPAAADGAGAPSGDAMDTTLDDDVGVAVEFEESDEDEESDFDQKIEEEMASDPSLAPILEQLYATRASAKERQKNLEKSIRDEAKRLLNNDGAGADGARDRRAADRDMESGWLKGQSQLLDLDSLAFHQGGLFMANKKCELPDGSFRTPHKGYEEVHVPALKARPYETGEKIVKISDLPEWAQPAFEGMTALNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLAPYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNHKDVALFLRVTKESTFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFVSKLADQLNAEIVLGTIQNAREACSWLGYTYLYVRMLRNPPLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPFTRTVLGFELTITPDFQWDDKVHGYVEPFWIIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYESLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWEQKFGEFANVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGCDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKSGKPALVYVPTRKHARLTALDLCAYSSIEGGGTPFLLGSEDEMDTFTSGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESSLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDNLSELVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNAMELSQMVTQGMWDRDSVLLQVPHFTKDLARRCQENEGKPIESIFDLAEMGIDEMRELLQLSNSELQDIFEFFKRFPNVDMAYEVREGDDISSGDNVTVQVTLERDMTNLPSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGGD >DexiUA01G0026940.1:cds pep primary_assembly:Fonio_CM05836:UA:57513862:57515507:1 gene:DexiUA01G0026940 transcript:DexiUA01G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLMAMVATTLAAVLLPCLFTALVHLVWRPYAVARAFAAQGVRGPAYRFLVGNTRETGAMQSAARREVLDRSCHDITTRVRPHERVWTSLYGKVFLWWSGPKPVLNVGDHDMVRRILSDKSGLYVKPYPGPAIMAMMGTGGLVFTEGEVWARHHGVVHPAFAMDKLKMMTGAFEACAREVIGAWKARAAETKEVTVEVGRQFMELTADVISHTAFGSSYRQGKEVFWAQKELLLMALASMNKVQLPGLQYVPTKNNVRRWHLERKVRGTLMAIIEERMSAAREARGYGTDLLGLMLEANNAGDAGQRVMSMDEIIDECKTFFFAGHDTTAHLLTWAMFLLGTYPEWQQRLREEVLRECGGAGTPLHGDALNKLKLVTMVLYETLRLYGAVNGIARETTADVDICGVKVPKGTALSIPFVMLHRDVEVWGPDANEFNPLRFQDGVGRAAAHPNALLAFSLGPRSCIGQDFAMLEAKTTLVLILRRFEFEVAPEYVHAPANFLTLQPQHGLPIVLKLL >Dexi7A01G0014500.1:cds pep primary_assembly:Fonio_CM05836:7A:24465007:24477984:1 gene:Dexi7A01G0014500 transcript:Dexi7A01G0014500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSALGSAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLASVDERFARYSNILFRETSLEVNREQLTPKENDKLNKSISVYLRLLAGYLHLPSALKTLEYLIRRYLVHVYNLDELLLSALPYHDTHAFVQIVQLLNLGNSKWTFLDGVKSSGAPPPRSVIVQQCIRDKAVLETLCNYVTPTKELSHSRTVICFCTAVIVECLGAVPKLDTDIVQRVLGFVFDSLNPEITVDQDYKAGALMVVGVLATRATLASKLVQNLIFFVARTAQHDASESVDLPWLRVTVMAIISLVQSQSVHVFPKKALVILKHIRDFSGVLSVLSSEYNIERFIRLYVQSLVDYSTSDDSCYTQLIEAIETLPMENSVDRIVSKVLGSCSKLSPATGNPDINPTVMWAKKILSAVEIKYPLELHDAIRKFLEKNEINSTGEGSISEVFGLVFDESKKIPAEISDSNIWFSLDHPKAMVRQSALSRIATSGIFNKSTLNPQKLINMQDAILRSLYDDDLSVVQAALSIEGLGSVASPDSLLKAYDDVLTKCIKIIHKGGSKASKASDVAVSCLEKMVMEYRSHQMERAKDIAAVVYRLLIVHPKTLRVNLKALELAKKIQWELYTSSSLVYEETPAQKMKNMSADSIASINMKNIKAFAETFLANPNKHVEWLANSGNGTGFSRTLFLLVVLQALVPSEALDKQVTLCEVCLPVLKDQWHHVQSEDNGVGDEISIDQLENCSVELVKHVFNSDTEALNARIIVCIFWGLLKVLSSYIKQNSMIVSGGNAVLDDLFLFFVTSPGKNAFQKHLQYLIINCTGAPFQFISKYFLDEDLSDRVQVESLCVLASICSKCASSERSDLDESISLQLLLGFPSLIAVLAHENRDIRSSAIKCIEGLSLVWQRLSTSVPKNGNTIKLPQCMSSPTFGIFLESLVNQKTMISSDAKFLPAYISSMLSPHKDMTVPEDLHERFDQPTKDALLQFILQSALKLTPYGKVLLSMPDLANIGFNMSEPLVRALKVAALHPDDPIAVMPCLTVLRSLQPVLFDNLKTDTKVHASTVMKFIELIVTLGDVRGRSKRTKRKDDLNNDAFSSFEELFGESSIAYILVSLLDILFLKKDVNQRTCLLQPLFQILSKLHSDQWVLGIVCQYNSQHDASSETPDLSSSMKEAQHLILLVLKDIMDTLHSGHKDTLLNSSDVDHLIDYIRSIEDVGTRNLGFSLIASLGKACPQLVSESIVDLFVAIGDAIKQDDSHSQRVVEDLLSVLVPCWLSRTTSIEKLLQIFIKALADIPEHRRLTLMVYLLRTLGKESNLSTVIMHLLHSLVDRIPHSLSEHQGSRLSALSQEWEYGLAVNVTDQYSYKLWFPCLSKLLKEIRVHEKQGQHLMLHLAMRLVLSKLQDTELIFELESDEAANFIQGSLGALLEEVVLCTVYTKDKKRDISGDIIKEIRDSATTILKTVTGWMNASTYFRGITQLLDHSDNLVKRKEILDLVVLHPECNAHMDAKLDAKAADVRKLLTEKVPVRLILPPLLDLYSVAINCGEASLSLAFQMLASLVSTMDRLAVGTYHSKIYGHCLAALDIRRQHPGSLTNINMVEESIIHAIITLTMKLTEGTFRPLFLRTLDWAESEVDQSLSKKSLDRAIVFYKLINKLAEQHRSLFTPYFKYLLEGSVHYLLEDDALAGSKQKKKKAKLDVQVAQKDNLLGLKLWNLRALILKSLHKCFLYDNEQKILDSSNFQVLLKPIVSQFVAEPPESIESVVDAASVEEVDETLVLCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKLLGLKVVRYMVQHLKEEYIVLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGESLRQYL >Dexi1B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:1B:25526879:25531277:-1 gene:Dexi1B01G0019330 transcript:Dexi1B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWRKAKKALGAGLCVHLPAVAGDREDASSERRASDAVSLDITAAAHASAPNTPAATATAPAESGALRRSKSGGKSSKELWDVDCCVVCDMHSLTVKHGNHVCPVCRAKWKEIPFNRSLSSIVPRGRGGLNVNQARLPQQDAYMALLRQVPNRQREAPVVLGSEPADFNDDEPLQKMESANIGSSRTVEIKTYPEFSAIPQSSQDDFAVLIHLKAPYANPEQVTGRSVNATSNGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGASGGTNIADALKKAAKIRSIKSGSYLSKVAANGQNGLIDVGHLYADEERDFLLSVSLPQGREQTTLLKVACAYRDSLTSEDFKIQGEEVKILRPKSRTSELVCIEVDRERNRVRAADAIEAARAAAERGALSDAVTILDDCRRTLSESFASRSGDRLCTALDAELKEMQDRMANRQRYEASGRAYLLSGLSSHSWQRATARGDSTDTATLVYSYQTPSMVQMLQRSQNHCPSPQGTSQIRGSGLGAV >Dexi7B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:7B:17008128:17009143:-1 gene:Dexi7B01G0009390 transcript:Dexi7B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVTYTYEHTCNAAPIPAPDVVAEAELPAGMLLRFGSYGSDHRNNVGRMHREQREYNQPMSWSPFELLGFDASNSQLQQQPVLSRGVTPSTAAGSSSSFPIAESSPMLPVTNGDSSEGDVLSTWSSFSYGLGMYGY >Dexi5B01G0031220.1:cds pep primary_assembly:Fonio_CM05836:5B:32009820:32010865:1 gene:Dexi5B01G0031220 transcript:Dexi5B01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSSSQPASGSLLLAGVVLVMIGGSVFFFLVDDDLRGGGEHLAVELVVATTDGERRFLEVLLVVFLFVAAVTDEHVPAARRRRVGRLDPNHDEVGPAPAAASGGGGRRGRDAAAGGADRGVVRQLDGAPPPVVAPPGAARRRQLQRREARVGGLGVDRRSQDDVVIPHPRRVVVGRPVREPPTTGVPRRARERPRRGRSTGAGRRAAPRAHVAELEEPGVGDHVGEPELVTPHGWLLCCGCALAEECRIEAPATIAARKTAWLALHEEQRAETRRGSGPDEGESAGKWRALEWGGSARARRGGDARRLLSSRSRVASARSSP >Dexi9B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:9B:3332822:3333287:1 gene:Dexi9B01G0005640 transcript:Dexi9B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHVAVALGSSSGSGGLRIVDDEDVTAAARVVGYVLLWPFFAGDERTKSEAESPAGPHVTLPVYDQFSRLSLPVGATRDHPALNPFGPGSPALDAVAMPPVLVVVGELDLLRDRVVDSLGSITASSPSSRGATRETS >Dexi5A01G0030900.1:cds pep primary_assembly:Fonio_CM05836:5A:33750351:33754136:-1 gene:Dexi5A01G0030900 transcript:Dexi5A01G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPPTMSKDEIPDGMLMCSELYIAALEGRKEEVAALLAGSCHTTTAARNGRLPPASRANAVHSGGSCTTREVTADRSTLLHIAGGHGHCELITELCLWDSALLSSTSSSLDTPLHCAARNGHAGAVQAIVRLARSCGEEEGRVRELLGGKNDAGDTALHVAARHGHGETVEVLMKLAPELASEVNGVAVSPLYLAVISRSVRAVEAIVGYRDASAAGIRDNHGRSFLHAAALQGHSSIVSQVIKNRMLENLLNQQDREGYTPSDLIEKSTGFYSMVRLVLKLYVYGAQFRPQRQDLIEKWSGQDLVKWRVETSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNGNRMYNAFLVLDTIAVTTAVMATILLVHGRASRSNQSWLGFIISMHFLWLSLFSMMLGFFTAIAATGDKRSTSIVLYRLIYLGLYILVMLLTSLAMPGSLRGVLRLLFGRQRNRLKRRIKRQYPFVVVYAFNMILFIVINNIALASVDTIGNLRR >Dexi2A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:2A:24150855:24155700:1 gene:Dexi2A01G0014520 transcript:Dexi2A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGIEAARWVVGKALGPASSVLLEAWAASSELGPNIRALRMELLYAQGMLSNARDHRSVHFQETKNPALAELLQELRGLAYRADDVMDELDYFRIQDELDGTFHAADEHGGGCLYNHALNARHTARDVAKVLGLSKLFRSSASHDEPDEDAKVPCGVWPCLGPKTPPDDDEQDEDARGVFSCCGKIPAFPKCCWGSRDHNNQPDEESKDASPNQNGCMGRLTSGARGTIHSVGKHLPCYSVSNAQDATNSDIPTTRRQFLCCAASSKKVPQTEHDSQAPKLKFDRVDMSRKMKEIVEQLKPLCAKVSTILNLELLSANLSNAPKGMAADRPKTTPESGEPEFYGRKDETSSIINGIIKGEQSDIGIMVLPIVGPGGIGKTTLTQNIYKELKDHFDTKLWDMAFWKALDFEKLTGAVMLSMTRCPPMPLDALQRLSSTIKHLVISELNRVNGKDLTQVLACLPKLSELKIEACEKITGLGVVEQQKEAASSSGEEEEIAPSDELLLLPPQLHDLHIRFCPELSLRPNSPQGGNGGGLQALSSLRSLSIWYCPKFLSSYSPSSSSPSSCFPFPASLQSLTLYGVETLAPLSNLASLAQLWITSCGGSGGVGLGRLLAHGCLHKLSVDGTPNFFSIECSKEDTPEMLEHGSPFPPSSELQSLETDDIAGVLAAPVCTLLSSSLTSLHFVGLPQERFTQEQGEALQLLTSLQELRLLYCHNLQCLSLPKDGLPDSLLELYIIDCPSIRSLPKDNLPNSLRKLTISGCSSIRSLPKDGLPDSLLELEISYCPSIQALPKGGLPSSLQKLNVSYGHNSEELRRQCRKLIGTIPIVEA >Dexi1B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:1B:24720662:24724382:1 gene:Dexi1B01G0018510 transcript:Dexi1B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPALEILVREPDGFTVWSGPPYPPGTTSPPQRISKTACSATSFSADGARLLATVASASATVYDCRTLAVVKCFELPGLLAAALSPTGAYLQTFQKSSSPQEKNVTVWQVDTAVALYQHYQKSMSKATWPMIQFSADESVGCRMMPNEIQFFDPKDFTKGILSRIRMPGIAAMQLATAPGSHVAGFVPEAKGIPASVQIFSCNKDAQNQAVARRSFFRCSTVQLHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGMVPLKKDGPVHDVQWSSLGSEFAVVYGFMPAKATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSDKKLVAKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFERLYQADWKPEAPERFGDIADLTTSLSSLKIEETKKQVSGQGSKSAQTSSKAPASTASKPTAYRPPHAKGSAEVQAKLFGGLAPTGGEMSKNALRNKKRREKQKEKKAAEAGSPDDES >Dexi5B01G0022000.1:cds pep primary_assembly:Fonio_CM05836:5B:24248371:24249495:-1 gene:Dexi5B01G0022000 transcript:Dexi5B01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSAFLCTLLLLSLVCFQQASAHGGIDDGDATAPPGDDSSPVDLRAKGLIAVKVWCLVILLVFTFLGGVSPYFYRWNEAFLLLGTQFAAGIFLGTALMHFLADSTSTFHGLTKNQYPFSYMLACVGFLLTMLADCVIAGVTKRNRERVNEEAAAEEGDANIHRKDDVAHHPMFVRTSSFEDAILLIFALCFHSVFEGIAIGVSASKSDAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVAYSLAFAVSSPVGVGIGIGIDATAEGHAADWTYAISMGFATGVFVYVAINHLIAKGYRPQEPSRADRPLPKFLAVLLGVAVMAVVMIWD >Dexi4A01G0012870.1:cds pep primary_assembly:Fonio_CM05836:4A:11764310:11767023:1 gene:Dexi4A01G0012870 transcript:Dexi4A01G0012870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNASSSATSYSGEESSHTTAASPTFILCSFQVSTVACDKKPAFLAAPVVLAANDMPSINDVTYPELIEIVNKLKDADGKLAGVDASNLLVANSGNDLPVIDLSSVSPELAFMASDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRHYDCVFKFNEA >DexiUA01G0001730.1:cds pep primary_assembly:Fonio_CM05836:UA:4653606:4658885:1 gene:DexiUA01G0001730 transcript:DexiUA01G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAELHVQLRLAWSMARRPPMRCEGSSFVQSVARSHRGSPGATQQQRAGDVGGVARSIVSTKSNKILANIAIKINAMVGGRSSVFVDAQKSLPVVSNKPTIIFGVDVILSASLDASAPSIASVVASQDWHEVLKYNGPPVHYAHKLAHRARLYLAQGSEAATTVSSGGATAPTGGSKQIPEIKDALKRSMFYC >Dexi8A01G0013290.1:cds pep primary_assembly:Fonio_CM05836:8A:23276627:23277553:-1 gene:Dexi8A01G0013290 transcript:Dexi8A01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNKGAYFEIVPELRMTLFVHQVVHGPEQNQQVVVPRSNPSFGLIAANDWTVFDGLGSGASLVGNAQGMHMLGSMTQDSWCIYFDLVFKNGRFVGSTLKLLGSFGQVDGEWAIVGGTGEFTLAQGVISFKKVQDSKDMNIRELKLRVFYTPIKV >Dexi1B01G0031140.1:cds pep primary_assembly:Fonio_CM05836:1B:34846932:34848452:-1 gene:Dexi1B01G0031140 transcript:Dexi1B01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPPSGSGSGSVVDLSRSLCAAVIKSAFRRHLPLLAAAPSLLAALLERLSPHPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPDARALLRDLSLRHPLSSPLLLPSLLADGPHVPSWLLLVLSQSSRTDDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDQMATTPINTHVYNAMLHVCLKAGDAARAEALITRMDATGVPLDHFSFNTIIALYCRKGMRYEAMCVRERMGKEGIQPDTVTWNSLIHGLCKDGRVKEAAQLFTEMTVPPDNVTYTTLIDGYCRAGDIGEALRLREAMEARGMLPEVATYNAILRKLCEDGNMKEANRLLNEMDERKVQADHVNCNTLINAYCKRGDMASACKVKRKMMDSGLRLNQFTYKALIHGFCKAKELDKAKEALFDMVDAGRIMQMQCYSSLMSF >Dexi3B01G0014680.1:cds pep primary_assembly:Fonio_CM05836:3B:10563494:10564507:-1 gene:Dexi3B01G0014680 transcript:Dexi3B01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCNGCRVLRKGCTEACTIRPCLQWIKAPDAQANATVFLAKFYGRAGLLNLIDAAPDDAVRPAVFRSLLYEACGRIVNPVYGSVGLLWSGSWHLCQAAVEAVLKGAPIVQISSEDPAAAGPPHLHKACSYDIRHVAAKARPTTPPAPAAAAAADAAAVSSSPADDAQSGGKGALLHKVAKSGRTRFKRASSSSSPPASKKQARGKASDDATAEDHDLVVVDEHHGHDELASDHELHPSRASSEDTHHQEASAASLDTDASHVSQVEQAAAAEEEGHHQEQQQQQQPGLDLTLGFGLFAPMAAARPPTPPADAGCSHGGWSAAVAAEPGVVGFRFL >Dexi3B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:3B:7871559:7879979:-1 gene:Dexi3B01G0011200 transcript:Dexi3B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDAAAAVAPPVVHPAADEAGDAVEQARTLIGALNLLSRNLPLPPDVLRAVSSIYHDGGAGDAEEDEEEGEGLVEKEGDEEMAVADAAEEGSTEGAVDGAAEVRAGDSSGATLIEELEDAMFKNQRMPISYSELAALKEGRFNASIQHRLAELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRSDISAEYWLHKKCAYPERQLFDWGMMRIQYPFAMYGIGDSFLMDADDVHRKKRFTERMSRLEEEEKIQADIRKRKFFTEILNAYREHQVQLATTFKQRKQRNDGVQAWHLRARQRISRQEKSRLNLLKIGDQEAYMRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSQPEGVDVPKGSESDDYSQISDAKNESPGESPSDDDADIPGSADESKFNAGRRLDFTVHSIEEKVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSRERVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDVLEIYLQMYNFKYMRLDGSTKTEERGRLLADFNKKDSEYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKSRLMDGNEVPDWVFANNNEPVKRTVADEFENISVGSKRRRKEVVYSDSFGDQWMKSDEGFDEVRKITSRAKRSSYLSDIQEEVDFSERRKRPRSLENSADGASNPSWTPDKGRAGISSYSKDETEEDGEDEVITSGLQKGNSFTWNTLGRKRSNHFNSGRPSF >Dexi4A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:4A:12341253:12342246:-1 gene:Dexi4A01G0013200 transcript:Dexi4A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFHAASPSPATVPASPLPSKNTGLANLQWLLRKRATNKVQHSQQDRHGNDDDCDDECASMFAGATPNVAPSAAGSTDAPTSPLCRAKRGGEALSRLRSAILAVLARARRGRGRRGMGSSVTGTIFGRRRGRVHLALQTDPRAPPALMVELAAYSTGALVREMASGLVRLALECEKNPPPQQTTGDHHNQRRPALVEAATWRAYCNGRKCGYAVRRECGADEWRVLRAVEPVSVGAGVLPDSGGSSGGGGEGDLMYMRAKFERVVGSRDSEAFYMVNPDGAGGGPELSIYLLRV >Dexi3A01G0034320.1:cds pep primary_assembly:Fonio_CM05836:3A:39508542:39509667:1 gene:Dexi3A01G0034320 transcript:Dexi3A01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQVFLPQGISTKHMALLSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGAPGEMTVEITGSASQVQTAQQLIKNFMAEASPQGPPAPGPPPQPVDTGYGSYPSYGGSSYGSTPGGGSSYGSTTGTAAPGPHNGGSYGAAPYPPSYGY >Dexi9B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:9B:2152266:2161130:-1 gene:Dexi9B01G0003750 transcript:Dexi9B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVNAPRRQQLKPRNNREGEKMNKLEGRWWLVPPPPLLHLSCQSEKPVSKASCIHGYRHCDAASGTVSQAMKSALPLHAVQTPRLCTCPQDSTHLDQETIPTPVAHHTPTIRARRTLQAPHLGQERNEPAHVAIPTAILVPLLMPACAGHQVAHAARSAAARFSRRRAAPPPLSPAGGRLEGEERFVRGSREGDRRWEFLAVRAPFVVSGGLLVVGVATFHLEIMLGGASVTQCPHMGFTASASVLGCRENDATRAASLSHQVSLLLQITTRLGHGTAALVWFRYEAFAATWRGAAWQASVGMPRRTSVFAAASATQTHCTDPTQMEACRRTSTPNNVQELVCLFLPVHRYLAGPYTECVLVVHACTVNKRRRLAGMSMPWAMAVSVVDKVWALLAVWVSTCLSAATAVARAARTGEIGPLHIA >Dexi5A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:5A:23507415:23508075:-1 gene:Dexi5A01G0019550 transcript:Dexi5A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSAVAACARRVSRATRRLLRASRRPQSRDRRQLVPAGDDHHEYSGEEDDDGDEEEGGLWRRAILMGERCKPLDFPGAIHYDSFGRRLPAAPAPLPQPRGGKTAAAVGPGALLCRSACDVDEAALGYRRAS >Dexi2A01G0003940.1:cds pep primary_assembly:Fonio_CM05836:2A:3480636:3482105:-1 gene:Dexi2A01G0003940 transcript:Dexi2A01G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAGDAWPPPDPHPAHAHAPHPHPAPAHAAPQAPAAVPSQRDMSASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGFQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMCKLI >Dexi3A01G0031760.1:cds pep primary_assembly:Fonio_CM05836:3A:36118074:36118441:1 gene:Dexi3A01G0031760 transcript:Dexi3A01G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPALPAMPRKLIDRSMDPPRRRPPWSGGGRVSGERRGTEGGREGTSGAAERINCRGEGAPREGSPPAPRGGGDRSGGGGGKWGRLAWLGGDGAGPGRYSGSL >Dexi7B01G0022860.1:cds pep primary_assembly:Fonio_CM05836:7B:27658964:27660437:1 gene:Dexi7B01G0022860 transcript:Dexi7B01G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLKETLGKLQLPRQTEKKLLTAADLGCSCGQNTLLVADLIVQGMAELCGGSRLGHRPPEFCFYFNDLPSNDFKTLFHLLPDSAAAARNGGRPAYFAAGVAGSFYDRLFPERCAAYRRQFQSDLARFLRCRAAELERGGAMFLVCLGRPSSAAPVDQGTVRFLFGDMFQDSWHDLVHSEKVDSFNVPVYAPTLEEFREVVDADGSFQINRLELVMGSPPVVDHPGDAIDVSRTVANNERSLLGALVDAQVGKALCDELFLRLQRRAEERAQELMEEMRFPHVVCSLSLA >Dexi1A01G0030890.1:cds pep primary_assembly:Fonio_CM05836:1A:36089742:36090482:-1 gene:Dexi1A01G0030890 transcript:Dexi1A01G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDAKEPEMQIGKPTDVKHVAHIGWDNASVTAPSWMNEFKASPGAPRGIEPEPSQAVGGGGGEENNPGGAEGGGKAERPRRTRGKGSGGGEAKRRDGAGEGASRRDRRLAKTDTEGGEGGDAPKQRRRKPRASGGRSKSSSGGAAASDSEAARLAAPPDDDRDG >Dexi3B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:3B:3138841:3144458:1 gene:Dexi3B01G0004620 transcript:Dexi3B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVPLPQTDFWGSDLDYIPSASVDTCATLCLRTCSCLAFEYKLDSYGCYLKSVLLNGKTVPGYPGTAYLKVPESLVSEAISNVFENSETLDCDASKPKVEVLVFDSDAHNDGGNSTMWHYYYGFIAAFFLIEVCFIAFGWWFMARKQSTQSKIWVAEEGYRMVTDYFRSFTYKELREATKNFKDELGRGRYGLVYKGILHDKRVVAVKKLGDMKQGEDEFQTEVSVIGRIYHMNLVRVWGVCSERKHRLLIFEYVENGSLAMFLFGSKGLLQWDQRYKIAVGVAKGLAYLHHECLDWIVHCDVKPENILLDQDFEPKISDFGVAKLLQRDQTDPNISKVRGTRGYAAPEWASNIPINEKVDVYSYGVVLLELVAGRRASELAATGSGDAEFAMRQILYNSNKRGVLNKLGHFEASDNTSFYASDWGLEIKRRLTLDYDGNLRLYSLNKSDGSWYVSWMAFSQLCDIHGICGRNGICGYIRTPTLAPTCTCPQGYVFTDPDDGSKGCQPLFNITCGNIGQQLGFVQIPWTDFWGSDVDYIPTASLDTCRELCLKNCSCVAFEYKVHPHPTGCYLKGVLYNGRTSPGYPGTAYVKVPKIFLSRSQASYSSELSHGHVCNASRTLTFNYAAQTNDVKGRTWYYYWCFLAAFFVVELCFITVGWWFMARQQPARSAIWVAEDEEGLRVVADHFRSFTHKELQKATNNFKDELGHGRHGSVYKGTLQDNRTVAVKKLKDMKGGEAEFETEVSVIGRIYHMNLVRVMGVCSEGKHRLLVYEFVENGSLALFLFGSKGLILQWHERYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDEEFEPKISDFGFAKLLQRDEADSNVSKVRGTRGYMAPEWVSSAPVTEKVDVYSFGVVLLELVMGLRVSEMPTDGSGDAESALSQLLSTIGENMKTGEETWIDDLVDPRLNGDFVRSEVLLLLQVAALCLEQERNRRPRMSDVVHMLL >Dexi8A01G0011690.1:cds pep primary_assembly:Fonio_CM05836:8A:20683877:20689195:1 gene:Dexi8A01G0011690 transcript:Dexi8A01G0011690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAAAAAAREREAEIEKAMRARVPDFKKQADSLTLEGVRRALEKDLGLEIYSLDAHKKFIKQCVDKVFAESDDENINDNASEDAEAKDDHLSKEGPDDAKPMLNLNKTSSSADDQVVKSSETGKDPDEEKDKTSNSVICEDMIKEAIEKRAFYFRKNSETLTLQGVRRTLEEDLKLQKKSLDAYKNFITTELDKVLQEPANGTKKKSKKESPMDTDQKTSKGSKRGREDSENSGLNDSQSEMEDSDEDTRPTKKKAEKAKVIKKQKKVAVQKKQSTPKSKKVAKRDSDRSADEQGGNSAEEDNSHSSAEEDNKIKRQQTPTYGRQVEHLKSIIKSCGMTIPPTVYRKAKQAPEHKREACLIKELEDMLKKEGLSKNPSEKGGFSEIKAVKKRKERAKELEGIDMSNIITSSRRRSSSSFIPLPPPPKIEADSDDGDDDAEDDDEDEENVEGGDEGDNDDAKAGDDSADGMIAFFK >Dexi5B01G0037050.1:cds pep primary_assembly:Fonio_CM05836:5B:36564609:36565470:-1 gene:Dexi5B01G0037050 transcript:Dexi5B01G0037050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEATVSQPTNGKVYLAVADVKQCKYSLQWALRFIPPQVPLVFLHIYRPATTIPHVGLGAPMVASMLREDLVQEYWENERKKIKNSLDECLQNCKVQAKLRIIDKHDVSPALLEQIKERKITTLVLGAKNRYVTS >Dexi8A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:8A:9092580:9093797:1 gene:Dexi8A01G0007920 transcript:Dexi8A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQHPRTQRGDDGELSGSIDALLHRDALHEILLRVPAKALYRFRTVCQSWRSLLSAPSFVAAHAARHGDDQPLLAVCGWVPGTGRRAAEFKLLDTLSGHVVKRFDVGPPSLLRRVWSSSHLDLVLIVRRVDHHHRTMRDDRRPLSVLDPATGDVTVLPGGCYDDTRTSFVFGRVAAASSSSTGEGDGEYKVLSLNTPLRRRYQFCKILTVGGGGGSRGAWRDAPAPPVHIKTFHRGEAVVAGGVVYHLVDCSGGWTIAAFDLGAEQWLPDLLHGPAEPPAPPANSNSREGRSLAEVNGRLAAVYSTTSAMEMWQLMGSGKKAQWCKRCRVLMSFMDTECRYWLTPDPVPLWVMDDGRVAFWLRGLSQSGTLWIYDPMTETCTRLANCLRMGASGYTGSLLRQ >Dexi2A01G0031810.1:cds pep primary_assembly:Fonio_CM05836:2A:42507616:42510057:1 gene:Dexi2A01G0031810 transcript:Dexi2A01G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSTLLSSRSTPSLHSSPHRPALRPGTLQRLLRPPAPDPSSSDDLAGVPTPRSRSRSGPRATRDRVLLQVTNITPALAGADPFSAGHHGFFLRLSDSSRSCYVSLHADHDNLILANGLHIGQVIEVDSLTPSVTAPVLRNFRVLPGRYPCVQHQDSAGDDDEVKVKEVVSERPRRPSPTPPLPERRARQGGSPASIGHRHKSKSVTNLAEAGSSPASAARRRESLLRSLDSPRSLRKINVPSADGNSTDDDDTSDVSSSYSLLSTARRNWDFNGSIKDVSPSKSGSKPTAHQNDVANDPLESVRRKAEKAFKVLSRRNSHASSTTPRDSSCGAAMTRSASSSGIKWCDNNVMWSSLSSSLVQHGKEAMKQRDMALQAVLDGLLEATATEKLIKCLSKYSELQYDKDDDPKELIDRFLKFSQELDRAIFIAQSQARFTQGKACRCSASSGSPKAAAKAALDRKQSAISWVRAAVEADLSHFSSHTRVAASECTKASVSEPKPVSPLFCSKPKCNCNSRPSKKNADGSTEASGLNAALDLATAMRSDCNRWFLKYIDKFLDDIESETGYATCDSQVAGLLQQLKKVDDWLNRVVRHERMFSIDRGSKDSVLSEEEESDACERVRRKIYGALLRHVQYAAMALESIGSVTDEEKEQASTGDSN >Dexi5A01G0030110.1:cds pep primary_assembly:Fonio_CM05836:5A:33099286:33099545:-1 gene:Dexi5A01G0030110 transcript:Dexi5A01G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPPGTSVNTSRDTVGMSFDVLRNILGKCINEDELQLLLNKNTAPICYVWFVPSPSMHITQ >Dexi9A01G0019070.1:cds pep primary_assembly:Fonio_CM05836:9A:14042568:14046719:1 gene:Dexi9A01G0019070 transcript:Dexi9A01G0019070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRYLKTGATLLLIGLLVMTDLAIVSYGRRVAEPDVMGLVDSGGRTSPPANLLLPPPLRNVLRIYGYDYEVSNLAHAACLGMRTSREGESLRKATRDRPRQCRSSSTGCQEGKNVLAVALLPCHKARIPCITDSSEPGWSCRPVRPSRLRSSTPSLKADPLLSTAQEGLSG >Dexi1A01G0010870.1:cds pep primary_assembly:Fonio_CM05836:1A:9433301:9435226:-1 gene:Dexi1A01G0010870 transcript:Dexi1A01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGCLCRTFMLAFLAFWCFGGTAFLINVLVVLLRTPRRNAPLIAVVSVFLFIWVSFTTLLCGGFFRCSELGDRMPRVLPTALACLRGVGQLLCLPCR >Dexi6B01G0012150.1:cds pep primary_assembly:Fonio_CM05836:6B:19706617:19716618:-1 gene:Dexi6B01G0012150 transcript:Dexi6B01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSQPCLYHGEGYSPSPIYARLGLWANRVNDIVADNTDAAAEEIIGFLERTRQESVIYLNGWYSGLGASAVLKAVVERYRSSCSGADSTRKAVAGLDKIIHIDCSLWQSKKSLQKAIAEELKLPPEVMAFFDQVDEEDDFDGVQHTARGVIPQVRLAILNELSNSNCKFLVVFHNGSGRYVDLWEYGVPVMGAMSKRVLWTSRGRFLFSGTQEDRVKESLIYELAGLSDVAIRLAEQSGFRDRDYTVDIFSRVFHAEAEEVARYSGVPESKIVSECILYKFLTRIKAKDKHIDWATHATNYLVCDGIIHDTDGCGRSAWEIGNALHRDMNFDWPQDTADFIYGVLTGQWEKHSATGQDAELVQDRRHGGDGECFQKLWVLELSYTYWFWLLSEKALDLMAELRELHVKGPGNWSLSHLHISTSGAGSRNGRKLLKLRVVGGPKDSDNVSDIAGHKNQPASTFPNLSSWHILKTVILDGCVQLEEIRCTTLPPSLESFSFTSNVPTKIKSISFRGCTILKSLLLNGFFGELVELDMSATSVKTLDLSEMQALRLKRLFLLGCQKLRTILWPHKTWLEVLRIDTIQATWAMEDMCRKQESASKYLQLLEQKRFPRLRRIHLYELPKLQRICGQRMLAPRLETVKIRGCWSLKRLPAVPLPPAAGHLPNVDCEKELWDSLEWDGEEADHHPSHYKTTHSAYYKKTLLRASVLR >Dexi7A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:7A:21600784:21602808:1 gene:Dexi7A01G0010920 transcript:Dexi7A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSLLVQCFPGLLPSKAASCVPIITEKDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASAKYDGTLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDISAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWDELPTILSVVQPPAAPTNLSFSEDDFMDGCSSHDGSSLVGHDYCPRRSRKLSGSRAWERASETDQADGGYDVILISDVPYAISSLKKLYALISKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELSDREIWKFFFK >Dexi9A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:9A:10145503:10150297:-1 gene:Dexi9A01G0015250 transcript:Dexi9A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPPRSLSGGVEPKIRQVGFFTPDASAPSEPLAPAAAAVPAPSTKQGVAAGSPPASDDLSPGRLSPVMIPPPRHADLLAPGSPSPVVADSILATSAPARSSARLDVASEIADDDSWSRAPSSIELEENKRSLAGNEGAPTSIPQKQKTSKAERRAIQEAQRAAKAAAKEAGKSANAASAAGPVTSKQAKAAKTAKKEVPQAASTVASEKKITERLPERERKLDAPHPRMQFDDVHKVEKAKKRAVVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYTTPPNKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSRIAKLPHTLSESEAKASLQSDIDRFINEKIVVADKAIVNHAITKVRDNDVLLTGLLDMSFSYSGDPDVILKVPGKAEDHLKNWAENTNLQLLNLTYDATPPDYVSMIITDYGMVRYDSRYD >Dexi1B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:1B:24758191:24759159:-1 gene:Dexi1B01G0018580 transcript:Dexi1B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPAPWERDNIYNGHVDDPAVDEPGPESPRLIPNPKPTAPTCDDVTCNDIEATLRAQEDDAEARPSPDYLQTAQAGRMTRKMRRNLVSWMTDTCDRHGLTGGATLHRAVSYADRFLSVRRLPGGEAAVDARSLNLLGAAAIFAAAKYECQGDVHKLGGAGEIARYGEFAGGKKEVVDMERELLAALGYRLGGPTAHTFVEHFFTRGYSSQEGEEGDVEVDELRLRAHDLADVSLFHYGCLGLRPSVVAAAAMFLARVTFKPSCGQMERWNKEIKKVTGYKPKDLECAVNAIRSLL >Dexi3B01G0029950.1:cds pep primary_assembly:Fonio_CM05836:3B:29253568:29263280:1 gene:Dexi3B01G0029950 transcript:Dexi3B01G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPIVASVRRAADPYRSWPNSGSPNSAKFSGKETTNRTAPALLHQPRSRAHARDMTLHRRRRGTPAMARRRRVQARPPRLIKKKKSNRRSPSADPWWWGPIATPAGEEEDPSPPPVPPPPPPAPAPAAAIRASPASDQSERRKRSQAKRQASVHPRLGQPVVFVPRPTCTSPVSHPRSPATETTRERKIPGAPRHPPITTTRKTPRGGHGRTEDLLTGSLTVILPNRGGRAARRRLQSLVARRIPGPNPRCPFPPRQPPLVPRPSDREPTDASDPSLATPTRAARHFSRLTWRGARAPGAKRRDTGVSTDAHRHGSLTVGPGCEWARHSDGGWNATWRSDRGGLPLGLFALLHCRLAVLSRACVHRMSHRRARDELEGRAPAAGRISVTFDPSAVEATGQASKTPVPSALNAGHDRTLHFTMPRMGHRLPPTEPGTVALGQVMQYHGANNPTTGPFPKEDPRRVAEKTRRRPYPRATSLEATAGCQEVNDGDSYTVSKSWKARFPLGPESPPPSPASLVIPYYEQHETRCYAPLLDVRSRGRNQDKTLLCHPSEWLAAQSLAPTVGAPGRGLRCATLASIPMADFDRRRFPMGSSDPFPAGYEIRFGSLHFQATGNGYLMRILSLRHGQRWLLDGGDVWDPALGVHAPPGVWPVPTTPLPQGTEGSAPTPRFPYGLGNTAVVYASSVSTSMGVHDGLPSYLELEEERTPGSEHPGWDYSGLRDRSAFLAFQSAADYCLTCSDDSDDDYDPTRECFVINNKVSDGHTTDEDDDNDGAEDPVGAQPPDMQPRAAHLHDLGGGNVSPPAHSNHNPPNQDDGRNWLAPASSPKTKMTTSHASGQKLHVEAQKLVENAAQRQPESSASRLRRSSASKGERGGESSVRSPRQNDETQAQSQGDSYRDVVRRRAGEPRTPTAKPPATRVPVTSRLRDTRGALNDGDARSILNWMRQQEEVWTRQPRHDGRDDARRDQDTAPEPAGTRVFSRDIRTVPILPRFRQPTTITKYSGEMDPKLGGATDDAMIICNLPLHLADSARTWLEHLPPNRIYDWNDLVETFVGNFQGTYPGESLRDFIRRFSKRCTELPNLTDHQIIHSFLESTTCYSLVCKLGRDPPPDANRLFKVASKYASGEEAANAIFNGKKGKRPEETPAEGSKPKNPSKKQKYGKKGKKARAPSETGDNDDEALAVDPNHKGPRGPPRGGGVFDDLLKKPCPYHKTAAAHTLEQNEGGDKDDDGYPEVEHVFFIVGGPAANMTARQRHRERREVLLVQPATPSYLDWSSETISFGREDHPDHVPNPEQYPLVVDPVIGNTRFSKVLMDKGSSLNIMYAPTLEFMGISTSELRPNKSSFHGVASGKRVQPLGQIDLLVCFGTPRNFRKEVLTFEVVGFKGAYHAILEQSCYAKFMVIPNYTYLKMKMLGPHGIIAVGPTVEHAYECNVESIELAEALAFDESLVADLEAIANMPPDANEHQDGSFVPDEDTKTIPLNPDNNDGKVLREVVLVDCLCAYVDIFAWSPSDMPGIPREVAEHSLDIRPHSKPVKQRLRRLDEVKRRAIGEEVQAPGGRIKMKESDHLATSFITSFGMYCYVMMPFGLQNAGATYQRCMLHVFEDHIGRIVEAYVDDIVVKTKKADDLVHDLKIVFDCLRAHEVKLNPEKCRGIELNPEKPIRDLKGVQKVMGCLASLSRFISRLGEKGLPMYRLLRKSERFTWTAEAQEALDQLKTALTNAPVLTSPREGEPLYLYVAATTQVVSAVVVVERVEEGHSLPVERPMYYVSEVLAETKMRYPHIVLARRKLRHYFEAHPAIKSQILADFVAEWMDTQHPPSKVSTDCWEMYFDGSMMKTGAGAGLLFISPRGEHIRYAMRLNFPASNNMAEYEAILTGLRIALDIGIKRLDIRGDSQLVVDQVMKESSCHDEKMAAYCEVVRSLEDKFEGLELHHIARRYNKEADELAKIASGRTTVPPNVFAKDIGKPSIAIAPPTEASKAADARETTPDLMSIDPSTGED >Dexi7B01G0008330.1:cds pep primary_assembly:Fonio_CM05836:7B:16177867:16180231:1 gene:Dexi7B01G0008330 transcript:Dexi7B01G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAHTSLRPPPPRHAAAPLPAPARACLPNRARARTLARARPPSAGATETETASTSGGGGGSVLSFLCPLLKLIGGGDPSQERNDVVEVTTSSISSLARLPWGSKVATSSGENIYSAIGAPTLQLYEFEACPFCRRVREALTELDLSAEVLNSTMSYSDSSLATLVYPCPKGSLRHREVVKKIGGKEQFPLLVDAATGVTMYESGDIVKYLFRQYGQGRSPSSGLLESTIFTGWVPTLLRAGRGMTLWNKAGVVPGEKLELFHMRIILMRGLFERPSVNWSSLMFSRMWERVHQRWMRFKGFRVLNR >Dexi8A01G0015950.1:cds pep primary_assembly:Fonio_CM05836:8A:27005635:27011040:-1 gene:Dexi8A01G0015950 transcript:Dexi8A01G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQAARWAAAQEEVPVGADLVAAALRQLEFLAAVDRRRWLYEGPLLDRAIRRYKACWLPLLAKHTNAPVVDGPLVVPLDCEWIWHCHRLNPVQYLRDCKKVYGRILNNDNVESSTGTKSKIQSEKIWKELYPEEPFELEYSSSSETTMDVNPGATDGISYDLVSAVKRQSSFYYQVGTPTMHDQRFLVEALARYKGFLYLIKMNQEKGVQRFRVPTYDVDLMWHTHQLHPASYCKDMLKLLGKVLEHDDTDADRSEGKKLDVGFTETTEQFEGTFGVRYWKAGCMYRGNTPSPVTSTPHIFNAVGVNGSDTYKVQKGLNVLNITFVELYLQIVDIKNLPSAVPKENVYVWFTKNQSDMFICDGGRLDISTVTGKTGAVLQCEPTGELILTVMVDQASKKPEPIGKFSIPLQDLTGPDSKLSFERWFELKAHGGHATSPPVSLRVAASATVPSSAQKVFRMVTTEPFSLKSCLLPHSIKDQKMGSWTRFVYDCGTEVIRLQIREHKVKKAMACNRELVGVLKSPKEQLQLAEFKENKWTLKISNLSISDSTDGSMLDCKDDNQLIKLYRGRKLEYERKCCKCHSEDDSAVTAVKFCAEYPYGKAVALLDKESKLIMVNEDGFLLPWITISFLCMEADGEDDVKFISGAMDQKVAESESDKAMVSETETLKVGSAAVVPAQCGTCSTAFDGEKVMAGCKSDHASSEACQVAVASCENGHAESAGAGAGYGGSCGPVVVEDSKADNAKSGGCGLGCGGGCSGGRVSDTLVRASTTAGEGQAKSAGCGSGCGGGCGSGMVIGGSKTSHAKSGGCGSGCGGGCGGGMVIEGSKTNHAKAGGCGSGCGGGCGSGMVIEGSKTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMVIEGSKTSHAKSGGCGSGCGGGCGGGSGFGTLLNSSTTADQGLTKSGGCGSGCGGGCGSGMAVEGSKTTEAKSGGCGSGCGGGGCGTMVIEGSKTNHAKSSGCGSGCGGGFGTLFNSSTVAGEAKSGGCGSGCGSGGCGGGIMVIEGSKSSYAKSGGCGSGCGGGCGNGIIFNSSTKAGGEGGHSKSGGCASGCGGGGCGGGCGVMFNA >Dexi3B01G0035240.1:cds pep primary_assembly:Fonio_CM05836:3B:37981328:37984001:1 gene:Dexi3B01G0035240 transcript:Dexi3B01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSLPHLFPRFCMPIASKLVYFQRRPSPAPPDPGPEPPDPRRRAGRDPSSRQRRRSSLSSHHKPGQDPVLGNQREPTRSLGPAGNIAEHVAGISTSSNARLNRSVSDHGRLPDAVQQARERLLQRLNSVDLSGRRQKTCPAAEPIWAAGLARPADFSSDCILGTLTNCFQPGDSIPASKVDDECTAEEPVCSNADDCTPVPHLERSSACRGGTEEGEGDDHGCEPPASECSICLERCGGEEDGRLALLRCGHVFHSACLDRWLRSRGDCPYCRATVLRP >Dexi1B01G0031470.1:cds pep primary_assembly:Fonio_CM05836:1B:35023549:35026339:-1 gene:Dexi1B01G0031470 transcript:Dexi1B01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPHKRKRPDEPAAGEVDLSAADAVEVLDLRAGKRLLLAFERRLRDNLEARMKYPDDPARFADSEIALHAEADRLRLLAGAPELFPDLVPIGLASSLASLLTHDNADLAAAAASLLADLTDSDDPSDLAAVQALADALVEANALDLLVHNLSRFSEADPDEAEAVHNTLAVLENLLDLRPHLADKVCDGTKLLRWLLSRLKAREFDANKQYASEILAILLQSSPANQKRLGQINGVDALLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPLENKERFVKAEGVELMIIIMKQKKLAYSSAVRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGKASDLPPFLFPSPPSDISGDASLKFLPQIPVNKKNKKESYQEELEERTISIIASLFGGITKGSRRMRLLGKFVENECEKIDRLMEFYTRYSDRVKEETERLDSPDLEDLEMDDDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRARVELLLRQNKLTKQDVKEILEEYHDNIGDLDGPEEKERAQARAKEIIAVL >Dexi9A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:9A:11887762:11888376:1 gene:Dexi9A01G0016780 transcript:Dexi9A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPARARTLHLLQRRRGLHLLPVHSLPSPAAAAAAADGRSSPPHPPPPPPHRPTCPSCAAAMASADLARHGGRNRWMVTGIDGWWVESMDGGHNRRVVGGIDGWWPESTDGGRDRGARAARRRARAAAGGELPPGGVEGPPACCAGLWYGCWRARGTTTAGGAAAVGWRAATTAGGAAAPRIGDQVLAVGDEPFFGSPRLLCV >Dexi6B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:6B:332868:336570:1 gene:Dexi6B01G0000470 transcript:Dexi6B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDLDEVLQSHTVYSNVSKGVLAKSKDLTKAFGTDDQTKICIEILEKGELQVSGKEREAQLSTQFRDIATIVMEKTINPDTRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLMENFPIKRAPLRVRFTAPKPSFAGLMEKVAEWNATIISKDLSGTQASIVCEIEPSILRSCEEQLKDVQGRVEVLSVSTHAEGGPSLEQLDNVEVPQPMPAKESNAVAEISETMQKQSISSESQGNAQGKQQRRCKECDVLVEDKLYREHCKSAWHKHNYTRHKNGLPPLSQEECMVEMELADSKKDLKDYDF >DexiUA01G0028160.1:cds pep primary_assembly:Fonio_CM05836:UA:60672527:60674120:-1 gene:DexiUA01G0028160 transcript:DexiUA01G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELSVGGGAATLRCFSTETSKWIHKDLRSPLMKHRRWSSAYALSYKGRLWWVDLLLGLVTCDPFADIPELQFVPLPSCYRLFLWHGEEGRRKGLSNDRCVSLSCGKLRLVVINRRTPLPRIKLWTLADYESGKWNLDYDFPIEDIWAHQSYYNIGLPNKRPVVAFVHPYNAHVVYFFLEQKLFAVNLQTKEVTESVSNERDHGDQILAWELQPSLRMDLSGPSSTQESRSTFDFDTVADSFREAYSHALCDMESHQLSRIALAYLNRKKKPEDNMFKLSDCLYLQTFVEDNNITMKKYAHLNFYAEISSKKVLVFAEFHTDAVADEDRNEWTLSSCKTLTSNYIGNTFSTKCLWL >DexiUA01G0004080.1:cds pep primary_assembly:Fonio_CM05836:UA:8054336:8056027:1 gene:DexiUA01G0004080 transcript:DexiUA01G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSRSAKHAEEQGRNRWVDDSRAHLFSLLRVCFCFPPAVRAPSPLPLAAAPKAQNPEEKMERRRGLVQAGASPVFQKERQRHALATPTRRGDLSTMADEAEGAGVGEQQAAAPSRRLRRRYLPDDLIRSILLGLTPKALLRCRAHHLRQPAQPLLLVHRTAGDDVESRLLAFLRYCLEAVDLRRRIGIAEPPLRTVVRFQDTEYIDRSVFDALEVRGSCDGLLLLSRLDAFFVCNPATQLPARVVIAGFYPYGPSASREYRVLYLHGGVGKRRCYVLTEHRAPLVVIGFRERRSAHLGHKSGRPSPRQPVWLHDDNILVFDTEVEDFGWMRPPTSRTNSFLFETEGRLALSCYEQYERRVYLWIKQEYENNVIWACRYQIELPAMQRGNLLRTYRPGGPFITFTRHVLKESLAWRGGGADEPPFFDGL >Dexi9B01G0036250.1:cds pep primary_assembly:Fonio_CM05836:9B:37788692:37789156:1 gene:Dexi9B01G0036250 transcript:Dexi9B01G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >Dexi9B01G0033890.1:cds pep primary_assembly:Fonio_CM05836:9B:35965250:35966704:1 gene:Dexi9B01G0033890 transcript:Dexi9B01G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASATLIPAAVRIHSPSLLPAPGGKSFTGFTKLCKGLAVVLFLAHILVIVSIIGLLLFGKVLEPLWGAKELLKFIFIVNLSTSACVFVTAIVLYYITQEESYL >Dexi3B01G0034120.1:cds pep primary_assembly:Fonio_CM05836:3B:36896624:36897422:-1 gene:Dexi3B01G0034120 transcript:Dexi3B01G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFPVITTALKKMPSLQKALPPELADNVLRKHNTELLVTMVRQQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKITGRKFSG >Dexi5A01G0031190.1:cds pep primary_assembly:Fonio_CM05836:5A:34003731:34003976:1 gene:Dexi5A01G0031190 transcript:Dexi5A01G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQSISSESQGNAQGKQQRRCKECDDKLYREHCKSAWHKHNYTRHKNGLPPLSQEEFMVEMELADSKKDLKDYDFR >Dexi4B01G0014580.1:cds pep primary_assembly:Fonio_CM05836:4B:15685239:15685964:1 gene:Dexi4B01G0014580 transcript:Dexi4B01G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPWLDLPFTFLTLLLATRLAYDYYGDVAAAFAGGFSIQVFLFYCFARWYRHAIAAGRAAADAETGRGDPSPSDPESPPVLTPLLGAPDGVRASTLANRCFALVFMVFVPLVIVVFERSQADVIAYALCLANIIVMVVWLSPDSGPTVTAAKSFLRLSDDEDEGSAGSAGGADDKCCVCLAGMRDDQALRALPRCGHRFHDKCIGKWLKAHPTCPVCRATAVPPPGGGGDPLEDDIISPV >Dexi2A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:2A:14352188:14353386:1 gene:Dexi2A01G0012370 transcript:Dexi2A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEPAAAVTSVFQPGKLPVEVISVDHDTLPTLPPPLPVLIVAPKDAGTYPVAILLHGFFLQNRFYEQLLKHVASFGFVMVAPQFHTSLISNSDADDIDAAAKVTNWLPEGLPSVLPVGVDADLSRLALAGHSRGGHTAFSLALGRATTTTTTTLNFSALIGIDPVAGTGRSSQLPPAILTYEPSSFAFASPVMVVGTGLGGDRENALFPPCAPPEVNHAEFYYECKPPCYHIVVRDYGHLDMLDDDAPKLVTCLCKQGNSCKDVMRRTVAGIMVAFLRSALGEGGGEDLKAVLGDPGLAPTTLNPVEYRLE >DexiUA01G0024450.1:cds pep primary_assembly:Fonio_CM05836:UA:50913599:50914012:-1 gene:DexiUA01G0024450 transcript:DexiUA01G0024450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAEAVDIGIRVHGKEGGDGVSEQREVGDRPERAGGGGQGRGTQEVPLRAQARQGAGAGHGGVRIGSGGVRRRAEGAVLRRRIQRVPQLPQEGRRVVTVAVAAVATVPAAPATAAPVVLGEEDGGAMGERLP >Dexi5B01G0034990.1:cds pep primary_assembly:Fonio_CM05836:5B:35102926:35105198:-1 gene:Dexi5B01G0034990 transcript:Dexi5B01G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRGRSAPARALLVLFLGFFVFLLLGAPSMGEDTVAAGRPLAGGESLVSKRGKFRLGFFQPDNSSDHWYLGIWYNQISLHTTVWVANRDTPITDPSSSQLSISTDGNMVIIDHRSSTVIWSTNVTAPTNSSTTAVVGVILDTGNLVLADASNTSAVRWQSFDHFGDTWLPGGKLGRNKLTGEVTRLIAWKSYKNPAPSMFSLELDPGGSSQYLLNWNGSVQYWSSGNWTGHAFAAVPAMTPTGTSPLSKYTFGYVDGEDEAYFVYDVTDESVVTRFLVDVTGQIKFLTWVDAAGEWVQFWSEPKMQCDVYDLCGPFGVCTENALPSCRCPRGFSERRPAEWMQSDRTAGCTRNTGMSCSDQKNSRSSSDRFYTMPDVKLPRNAWSAAAANAGDCELACLSNCSCTAYSYGDGGCSLWYGNLIDLQDTTSSGSAGGGSSISIRLAASEFSGTGNTKKKKLLIIGIVVAAFVAVVTAIVL >Dexi2A01G0027310.1:cds pep primary_assembly:Fonio_CM05836:2A:38731998:38733251:-1 gene:Dexi2A01G0027310 transcript:Dexi2A01G0027310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEQYEEAVKKVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTINTAVTATDSVQQNPVNEGWPLTSTGAPETFPYGVETISFDLSQYNNAPSLHWPESSNRSQLQ >Dexi7B01G0007680.1:cds pep primary_assembly:Fonio_CM05836:7B:15445355:15460096:-1 gene:Dexi7B01G0007680 transcript:Dexi7B01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQPASKQKPKTKPSSSSSSSSSAAAAAPRLQISSENERRLRRLLLNSSAAAAPSPAPTDGPAARGESREQKARRLRGLYDKLALEGFTSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSSGGTSTTSSHTGAEGSVKVLSTAKDNWVPQSREPEEVKVSTEQLEVRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEISKHYCSDYYLYQLQDDDTNSNDSSTWEDHCLQSFEVVEAKPSRRKTKGATESKSHSLGNVDEGSDSKKEIPKDVIETCTKETEEEEVELDNMFFEDSSAWDAVAPEILKQQQIEKLSHDGYGHLLGNIDDIWKKVQGDSGKMPKAILQKFCQKLGWEAPKYSKISEKDRKFIYAVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRQLLAEPYSSLVLKWQEGELLSTSRVLDTEDSRRDGFVDMLLNMDADTSQIEDSSAGSKFMDSGDTENNKSVNEKIDTATSIFVGLGSRENAESAILKKQLEDKKKLPNYLVPQFIFDDMIESGLGGYCNIVCTQPRRIAAISVAERVSDERCEASPGSNDSLVGYQVRHDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSNQQGRKLKVILMSATVDSSLFARYFGECPVISVEGRTHPVSTHFLEDVYEKMEYCLALDSPVSGAYFAQHGEKKHLNEDVIDFDLLEDLICYIDENCPPGALLVFLPGVAEIDMLIDRLSASVRFGGAASDWILPLHSLLGPSDQRKVFHSPPDKFRKVIIATDIAETSITIDDVVYVVDTGKHKQNRYNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENVMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPNEESISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAYLSYKSPFLSPKDEKINVERAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRILLQHGAKSARQFCHSYYLNGTVMQMIRDMRLQFGTLLADIGLIDLPKDSLSVICAGLYPNVAASLEGVDPGALGGRKPFDILFSKDRPRWYDGRREVHVHPSSVNHSLKTVQYPFLVFLEKVETTKVFLRDTSVVSPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIVHLLLEEDKAQQA >Dexi4B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:4B:11746301:11747089:1 gene:Dexi4B01G0013290 transcript:Dexi4B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNNSSRPPLSHDTKANDERVQHLAAVRRPELLRAASSGDLQLLVEFLSKEDGGPAAAAALARDVAILLEEAQPPALYPSPTTEGSSALHVVAASGDRKGYLQVAEEICKKARQLLLACDGNGDTPLHCAVRAGNAEMASLLIRQANCCGEGKAMLRMQNKRGETALHEAVRASHRIGMRMVEELMSQDKELARVVARDGTSALYLATSLHHTDIAQVLISHDRELSSSGPNGQNALHPAVLHSKSEVFLTLNIGICCFVF >Dexi9A01G0005520.1:cds pep primary_assembly:Fonio_CM05836:9A:3078403:3078981:-1 gene:Dexi9A01G0005520 transcript:Dexi9A01G0005520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKHAIPNKAPVEASQVTLRKFELSDVDAMMTWVSDPLVAAPCRWDPYESTEPVVAFLRDVVLPHPWFRAICLAGDGEEEEEPPRPVGALSVSPTADPCRFELGYVLARAHWGRGVATAAVKLTVAAVFAEVDSLERVEALVDVANPASQRVLEKAGFTREAVLRKYMAVKGVVRDAVMFSFIKTDPVPE >Dexi9B01G0013530.1:cds pep primary_assembly:Fonio_CM05836:9B:9088302:9089269:-1 gene:Dexi9B01G0013530 transcript:Dexi9B01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIRPNRQSSAAISLPPTHPERESASSSPRCATRHAGGRSASSGRHLLAAAAIPKRSLAEAFGSIGEYEETPAASARVASAWPARHRVTGEAVAIKSLITDPEASGAARRSCCRRRASTRHAPPTRSSSASEASCATRPRGSSACQLGRRGTNHGQIPETSSPRTSSSATMAGP >Dexi4B01G0018000.1:cds pep primary_assembly:Fonio_CM05836:4B:20414344:20416257:-1 gene:Dexi4B01G0018000 transcript:Dexi4B01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDASERTAVGWAARDAGGHLSPYSHTLRNTGPEDVVVKVLYCGICHTDIHQAKNHLGMSRYPMVPGHEVVGEVSEVGAEVTKFTAGDIVGVGLIVGCCRQCHPCASSNEQYCSKKIWSYNDQAAPLLCAGVTVYSPLKRFGLATPGLHGGILGLGGVGHMGVMIAKAIGHHVTVISSSDKKRGEAMDHLAADGYLVSSDAAAMAAAAGMLDYVIDTVPAHHALEPYLKLLRLDGKLLVMGVINQPMSFVSPMLMLGRKSIAGSFVGSVEEAEETLKFCEAKGVTSQIEVVKMGYVNEALQRLERNDVRYRFVVDVAGSNLDAA >Dexi7A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:7A:9106655:9122530:-1 gene:Dexi7A01G0002280 transcript:Dexi7A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAPPPAAAAWRPAHGLEGSAAARPGSCAPELGSRALARADDAGRGGFAGMWLFWRARNRFSLEELRYLTDQLQKVYVVNEANKDFVIEALRSIAELMIYGDQHDPSFFENANSSPPLSSVEDAIVQIEDTLYYFSDVMSSGIPDLEKFITENILQVLVFRLLLPSLQRQNTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQSDCPDRKQGTPNGYTSEHDHCISENQCSSASAVEKENEDKATSLSAEALVGEDLAERQLFSSSSGLTDDSICSDFDIYVRKLQDSHKDCSAKLSEESEGSWCDMLLPIVKEAWRNCKKAIEASSPPKGSKSIIVPMDLYSFGGDSSLAIAERVYEMVKPRIDEKHEKWLHLRIRPSTLPFLDSEKHKGKTKKYLVDGRWTLAFSDEQSCKAAETMVIEEMKLQQDAVGKQLQPLVEFDMPEDGLPHPQPPSHETPSDDG >Dexi8A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:8A:1460417:1461964:1 gene:Dexi8A01G0002190 transcript:Dexi8A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALLLLLLLPVLLYISYHLTRTLTKKKPTTHGLKSHPLLGHLPAFLKNRHRFLDWSTDLIVASPDHRMGFWIPMMRTGIVTANPADVEHILRANFTNYPKGEHAISMLRDFLGDGLFNSDGEQWLWQRKNASLEFTKRSLRKFVVDVVQAEVAGRLLPLLRRSSSTAGDVLDLQDVLERFAFDTICMVAFGHDPSCLTDGGVVVEARSDFMHKFGEAQDLIVGRFLEPIEVSWKIKKWLNVGTERRLKKAIADVHAFAMDIVRARRSQSASSLDDSRDDVLSRFVASDEHSDEVLRDIVLSFLIAGRETTSSALTWFFWLVSSRPGVVSRIADEVRAVRESTGTNAGEPFGLDALRDMHYLHAVLTESMRLYPPVPIDSQSCAADDTLPDGTHVGAGWQVTYSAYAMGRLKTIWGEDCAEYRPERWLGDDGAFRPESPFRYTVFHAGPRMCLGKEMAYVQMKSIVASVLEEFVVDVDKDVGGGGVPEHVLSVTLRMKGGLPVQVRRKDGVAAK >Dexi2A01G0030080.1:cds pep primary_assembly:Fonio_CM05836:2A:41081699:41086320:1 gene:Dexi2A01G0030080 transcript:Dexi2A01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEERHGPGGGDSGGGKLWNLCRMPFRQAGGAPAPAPPQSSSPGIHHSTGRYGHEAPVAGDGGAQGASAGSISSVAKSLLPARRRLRLDPANKLYFPYEPGKQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAVLAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILKVVFLDINGPSPQLEKLNNQLAEAEAALEARKKPPEEGQKLVGEGLGIDEWKERRERYLAQQQVQVVDPL >Dexi9B01G0026340.1:cds pep primary_assembly:Fonio_CM05836:9B:28308059:28315570:1 gene:Dexi9B01G0026340 transcript:Dexi9B01G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAPPCSSAAIWSRRRDEITFDRLHKVRPLPGSPRSDLPARLPADPGPSRPRGSEAPILRAPRLDACRAPGPYSDDPRRRFLGELVPYFWSALSPRARHELLRLDKQTLIEHARKNLYCSRCNGLLLESFTQIVTYGKSLHQEGSCEPRIQEVEAEEVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVIQNVFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRKEPRCTSWFCVADTAFKCEVFEDAVLVDWHQSLLEQDGTFHHFEWAIGTDEGKSDILNFEDVGMNGQVHRKGIDLDQFEDYFVTLRAWRLDGRCTEFCVKAHALKGQSCVHRRLIVGDGYVTITKGESIRSFFEHAEEAEEEDVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDRDKEKILVKSKDSDDTSPTSLSNKEAPSNESLDILDRYSDSEEEDNVVVRQHSSPDSSVDQSSNRDSDERSNEHECNATADCDGSFSCEESISSRRNPRYRRDFPQEQDTSYWYEDCQDDSGDIQQHSRDRIRNNTRGYNNVFSANNRARDRYNPCSCGHQEEYRYFSNTARPSRDMKMARKTVEKPRLQYRRCYPSDSYMVPKGGRVVGTPTKNAGPKQVWEPMDARKKANVGNGNSVAVISDDADRSDQVECSNDSISECEKLDKVCEPLIEVCSERSEEACKSGTDQPCREGEKGQSACNDGPHVMDKADSGLTKDTGRAANLTSSDSSSCLSEGDRDSSMSSMTSLSAQNAESSSTSDSEESSDRNNSSPGDPPVKSASRSLLEMCAGNGFREYQPKGLHPPDSNQFGFRVSPSQDQMLHHQKVHAPPYSAPFMGLHNYPLPVPTNGYLSYPQPGRFYPGPVAPVGYGVSGNQCVDFPMPYSNNVHPYSGPEFGFLPSPPVHKTPVSFHAVPAPPPTPLCRSGVPVVMNQERQQSHPLPPKLNQAVPVAENVTENVCTEDNATKEKQKDEDSTPFSLFQFNLPIAPPVPAASKEERGGGAWAPSRPQPPIAPAQPCSMEETNIKEYNLFSGCNKGAMFQL >Dexi9B01G0045570.1:cds pep primary_assembly:Fonio_CM05836:9B:45025090:45027204:1 gene:Dexi9B01G0045570 transcript:Dexi9B01G0045570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGHSSDDDSDHLPFEGYRKLKVGHDSESEIHISDSDDDAGEARGANENSSRDVQHQPMISSAKQPVNTVRDFDGQSSGTKVAKSLDRAIGHGLDEINWSQINANASDSSINMQSNGMPEQVYAEHPKEKRSPYEEVRNDITASANAGTSSSVDSHVNHNNSMKNASVSRGYHKSPRLSEIISARDTNSKTNEEVKTFLSQLSSARGFDGPFSDMTTSPRVNTQIDDYRQYDATGMAPFLDRNSSNLEPFDVNATSEDEGESSIESLKQQAEVNRKKMSLLYKELEAERSASAVAASEAMAMINRLQEEKAGMHMEALQYLRMMEEQADHDQEAIEKLNDLLTEREKELLDLEAELEGYRSRLHDEPFDVGNFSAIDGSMPFGVLDGSDFMRHTMFDFEDEKTRILDSLHRLEETLGMSSTNRLDLGSINDTLQNGPLRDDVLLLPSAGKLHG >Dexi4A01G0014840.1:cds pep primary_assembly:Fonio_CM05836:4A:17296374:17297795:-1 gene:Dexi4A01G0014840 transcript:Dexi4A01G0014840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSNTPSLRVLFFLAMVVYAAHAGKAAPKEKGKEKSGGSAAAPEAASPEAASPEGATVSGGSSDIAKAGAKGDGKMDSTKAVNEAWAAACGKDGAQTLTIPKGDYLTGPLNFTGPCKGSVTIQLDRNLLGSTDLGQYKSNSWIQIEHVDNLVITGKGTLDGQGKQVWDDNKCDKKYDCKILPNSLVLSYVNNGTVSGITLLNSKFFHMNVFQCKDITIKDVTITAPGDSPNTDGIHMGDSSKVTITGTTIGTGDDCISIGPGSTGINITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCTLTKTSNVVRIKSYEDAASTLTASKIHYENIAMDDVANPVIIDMNYCPNKICTSKGASKVTIKDVTFKNITGTSSTPEAVSLLCSDKLPCSGVTLDGVKVQFKGTNNKTMAVCNNAKGTATGCLKELACL >Dexi1B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:1B:24174791:24175069:1 gene:Dexi1B01G0017850 transcript:Dexi1B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLVTVRSTFSCLRSSRAFRFATLFRRISSLSSALRAWYARFSDFSRTRCSSGVGFRDTTTAEARRVRGSFHRRPETRPAMTPS >Dexi7A01G0013210.1:cds pep primary_assembly:Fonio_CM05836:7A:23361056:23362046:1 gene:Dexi7A01G0013210 transcript:Dexi7A01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAASEAATIADYIAMSSSSRARHFPTSDSTATTKDGMAANVQVCIAGAMSAGACFTARPSDILIATLPKQFRHDMDQVVSLCHRAPQRTSLFCDGVSAFGPYFGPLARPEHVLFFMYEEVWRDPPGYVRRLAAFTGLPFDVEEEEENGVVDAIVRLCLVNKTECSWLARWGIARSSGVAVWGIGLIYRRILHDASTLLRRPGSRGSGLNV >Dexi3B01G0027010.1:cds pep primary_assembly:Fonio_CM05836:3B:22446031:22447216:1 gene:Dexi3B01G0027010 transcript:Dexi3B01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLSDGKEMPEGAPPMEELAKASDVSADAGAGDVLEKQEQANEYRRMVLIGDPISQVNEEDEEEEYDFEEDQEAENKPLKWFAITCYYSVLEIGEARMDYKRVRIDFPLANSIKATVHIRVKEHGIMEFNVSPQKRDVGKRFTIPAMDPKARIGLNFSGDQRTKVMASVNSSDRASRGFSSMTDQDGRRSGNASHDPGAAAAEIAKGVASMSVDSKTPDLSDLPPNTGKAKVSGL >Dexi2B01G0027800.1:cds pep primary_assembly:Fonio_CM05836:2B:36570428:36576435:1 gene:Dexi2B01G0027800 transcript:Dexi2B01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLATTTMKKSSLLSPLLVFLLAVSLPPTAVVAMMRVVPAGTFSQCVGVGVYTANSTFEANRRRLTGLLLAESAARDRPYYTQRAVGYWPNRPQASFFCRLRRHGVDSGGESSCSACLAGALLELDRGCPYHREAFFSSRNCTLELGEYRILATGRVLGTGGIIRGDLYNPSPSPPSPATTTTMKKSSLLSLLLLLVLLTVSSLPRTTVATVRFTVMVTAGTSSSVECVGAGVYTANSTYEANRRRLAAVLLAEARDHPYYTERAVGHWPNRLEASFFCRGRDVDDGHPAGSGDSSCAACIADAFLEVDRECPYHREASFSSRNCTLEIEEYRILATGGIHGMAQPEKRHYHK >Dexi1B01G0030790.1:cds pep primary_assembly:Fonio_CM05836:1B:34640435:34641340:-1 gene:Dexi1B01G0030790 transcript:Dexi1B01G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSASDCATTTATACLAARGRPDPSSLDTRTLMAAFRPMNTIASHPLRFMQIDTDPTAISGPSSRWPASTTSAAMYQTSRQSMTAEASESLAKAHRPALPAMSSPAPSHHVGHLRGGDGPRRHVVGRRGAAEAPAEDGDEERQHAHVGRQRRHADPRERGHLPLRLEELLRGESEREGEHLRQEPRREVAGERGDGGVLAEQAEDGAGEGVERREEERGGGEDEARALRVDAEAWPQSVSSALDMPRRKPKEKVDTAERPSAAAESSRLPRRAAKSCVAEFTP >Dexi3A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:3A:7586645:7588924:-1 gene:Dexi3A01G0010610 transcript:Dexi3A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGASRPSTVSFRMPTRDNLVPIRVDLEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPANFVPQMLQSIQGQIAEFRSYEGQEMQIKEKIVPLKIDLRVNNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSVMREKQLSKKGRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRKRKEWYLYEPVVDVVANEEDGKEETNNSSSASP >Dexi4A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:4A:1712950:1714131:-1 gene:Dexi4A01G0002460 transcript:Dexi4A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTDDEKRRCSGMLTSLALRLTKQLAGGEKRNASGDKNLIFSPLSIYAALGLVAAGARSAALDELLALLVGGASSSSRDELAAFVRAVAERPSPTALPPAAAARSSPSRPASGTTPIRWPSSRISSPPQPDPTRRRPAPSISPEGEERKHERRSTGSGTHLIAVHDGFKVLKLPYQQAPPLGDRRRRGPPDPNAEYSMCVFLPDARDGLWSLVDEIASSVNFLRIHLPKRKVNVRDFRLPKFKMSFSGELTGVLRELGLEATLDPEPLRAPDLSDMAESAVPLSIDCVQHRAVIEVNEEGTEAAAVTGMFAMAATAPPQTRRETVDFVADHPFAFFVMEEVSGAVVFAGCVLDPSQTQ >Dexi6B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:6B:23648518:23651035:1 gene:Dexi6B01G0016370 transcript:Dexi6B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTSFFKNPYYYYASSFPAAHHHPSPHLPPPLPPYTTTLYPAVAAAPHQYHPPCFFQPQPPPLHDDTAPPSPPLREALPLLSQSPTRGGASHRRPPPPHLAAESDDEDDDFLVREVVGGGGSSAATPSSSARVPLFADLNCIPSCCDDPMDVEAGAAASMDDDAAVALRIGLPVVGSESDLLGGLSGRACGVVGSMEQEEDEEECKVDTGGGGDDEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRSRPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNVDGNDGLDDDDEGAVSEIEQDCAGGGGACRSLAR >DexiUA01G0011320.1:cds pep primary_assembly:Fonio_CM05836:UA:22788255:22790096:1 gene:DexiUA01G0011320 transcript:DexiUA01G0011320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRAAAAAEASVKMEESLRSMAELKEKMISRGLIPSRRPPPKRWWFQRLSKVAIARRRGEIALDRQLVKECLDYYNRQHPGNEYEPLLGNVTQNPHLHNGICWVHGTFVARKKGSGFFSFLTAPRSVFFFELAYMDGFEGVVTCTTVEEPAYSVLGYPLWWATRTSGILDSFCKTCYCRFGINALAYRRYLLVGITMWRKSVKCVIVAPLRCIRTLENLRLAITILTTPTLSQQMGNWLLSLLLLIWYL >Dexi3A01G0001590.1:cds pep primary_assembly:Fonio_CM05836:3A:1078016:1078649:-1 gene:Dexi3A01G0001590 transcript:Dexi3A01G0001590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSSVSCAPTYAAMLAATTTSPQLPASYLNHGRATRARRADLIRCRRRLLTARGERPSHDEDEEEVAGFDAAVALFNRGEYHACHDVVEELWYTAEDPVRTLLHGLLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLDHDDPFSLFRDDVSSVLQFLYRTQKELAACTLILT >Dexi9A01G0039910.1:cds pep primary_assembly:Fonio_CM05836:9A:43736880:43740203:-1 gene:Dexi9A01G0039910 transcript:Dexi9A01G0039910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSSEMEPNGGGQVAEMPRVPKFARGKRSARKKEGQSPVQMMCPFDLLATVAGKLLDEGEGSLGNMSAGAPALAAKDVRVKQEQCDEEMKHFKHEVTDQDSCNESAILPHNVFPRSVNHGRNEDPKAMSEARDKETSMISCAKAELGCNFRAIADRWSPESVESGAFTGDAVASLMPAATAGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGDKIRRSISLPRGPKGVAGYAVDRDDDDDKSSGCTHPSTTTNRDYRSNCTAEHSRVRKLLTSKYRKVAPARVHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHAVLASEFGRASAKGNTKVTGRDAHAASLEANKETNSTPFQKPCASNDCHVKLRIKSFKVPELLVEIPESATVGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLMQAGIGQDDMLDNLGFSLEPNCTHNPSQVQAHEDNSFLETIDTTEPLARIAPADSSSKHGEVDVSQELALTNLVNYQCSDHDSVHSPGGISSPDKVSANSRALVPVPSTDPNAGAVVPVNKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >Dexi3B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:3B:2060780:2062924:-1 gene:Dexi3B01G0003140 transcript:Dexi3B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIKIITRVVAALIRLLYYYLVAAAVKRLVGVARVAFSVVNAPCLRYMSQAALGRSYTGTLCGDLLAGAMAHSWRLFVQGLTSLMFLCAHADEYVRPPPIPLVLLAHDKPPSHPQQVHISIVGANSMRISWVTDDRSAPSVVEYGRSPGKYTASATGDHTTYHYFFYKSGAIHHVTIGPLEPGTTYYYRCGKAGDEFTLRTPPATLPIEFVVIGDLGQTGWTASTLSHIGGGDYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEIETLPIVEFAPFVSYNARWRMPSEESGSPSNLYYSFDVAGGAAHVVMLGSYAPFGEGSEQHQWLRRDLASVDRRRTPWLLVLLHAPWYNTNQAHQGEGESMRVAMERMLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALRYENVLPSQVIDEYGRKA >DexiUA01G0012250.1:cds pep primary_assembly:Fonio_CM05836:UA:24614165:24618039:1 gene:DexiUA01G0012250 transcript:DexiUA01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSQQQASPHELSGRLEGILSDREAPWARRASRAAMIELRLLAPIAAPAVVVYMLNNVLSISTQIFCGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSTILLMATGVPLAAIYAFSRPILILLGESPEIASAAAVFVYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAAALVLHLALGWLAVYGLGMGLLGASLVLSLSWWLIVAAQFLYIATSERCRRTWTGLSCRAFSGLPDPGTIRAKRFPVLVMSLLHTF >Dexi1A01G0010170.1:cds pep primary_assembly:Fonio_CM05836:1A:8683348:8684650:-1 gene:Dexi1A01G0010170 transcript:Dexi1A01G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLVTSRVIGDVLDPFYTSIDLMVLFNGMPIVSGMELRSPTVSERPRVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDTYGREAMCYEAPNPATGIHRMVLVLFRQLGRETVYAPSRRHNFSTRSFARRYNLGAPVAAMYFNCQRQSGTGGRRFTGPYTSGRHGAA >Dexi9B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:9B:5443641:5445569:1 gene:Dexi9B01G0008870 transcript:Dexi9B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVDVGTELSLGLPGGGAEAAKAAKRGFEDTIDLKLKLPTAGMEEAAAGKPEPVAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQSVKSKKEEEPEKQQPTANVGSNCSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALKKMFSTFTTGNNMNEGKLVDPVTGADVVTTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKSSEAIGLAPRGKDKCKNKS >Dexi9B01G0038910.1:cds pep primary_assembly:Fonio_CM05836:9B:39776227:39778800:-1 gene:Dexi9B01G0038910 transcript:Dexi9B01G0038910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPDNSQGHSFTYVPSGAAFDHAPTAATMSSEPSFFSLSGAAISANMATSASMPSFRLFNEMTWPPSAACTFESSRSFAAVPLQAAPPRLSMSGPAQLFASGSFSDASGSASTISDRPFMSSGPLDRTFSISPSVRPSVSQLIAERRAARSRLRDERSLLHFLAKTASKLRFGPPRPRYGRQPQPEPAAADPARVSFSDGDYRSPPNGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHGELKGVLWDDIIQVIGDDNARCGQGNAPCFAVAQANGEGAEANKRRRTTEGPVVAGNNKDAMAMHRDVLRALARALKKTEDAFFAAAEERAGESPELGLMGSCVLVMLMKGADVYVMNVGDSRAVLARRPEPDLKNVLGKASQDLQQFKAEIMRELEAHDMDGLQAVQLTPEHSTAVEEEVRRIKGQHLNDRNAIVNGRVKGKINVTRAFGVGYLKQPKWNNRLLGAFKINYIGTDPYVSCTPALCHHRISAQDKFLVLSSDGLYEYFTNKEVVDQVEAFTAAQPDGDPAQHLVGELVVRAARKAGMASHELLDIPRGARRSYHDDVSIIVISFEGRIWRSSV >Dexi1B01G0019310.1:cds pep primary_assembly:Fonio_CM05836:1B:25516870:25517800:-1 gene:Dexi1B01G0019310 transcript:Dexi1B01G0019310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGFGAFGAQEDPDERLRSRCCRYAQKHKLCCCLVAVWAVLAGLGIGTFAWAVLDSTKEPLYSAAITSVSGLDPPAAARDDLGRRSTATLDPAFNLTLRIASRSRNYGACLDAGAWLDVSYRGVRLAAAPAPPRLCAGRMAAAETAAPVVAWGAAVHVPGFAMDGLAEEMRRGDAAFDVTLTVPSVHDHRQGKLVRCMARRAGDVVVALGTPCVVNYVDTAVAVLQAAARSINYFVIEFISR >Dexi9B01G0007870.1:cds pep primary_assembly:Fonio_CM05836:9B:4783961:4785669:1 gene:Dexi9B01G0007870 transcript:Dexi9B01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNGSVTWHTHIEEPVISFSKDPNLSCTEGTEVKKIELTQTYNSTHNHLGLGILHPKSFEQNTPGNIKMDIHHCDDYSQIVAPQQSTIHSASKTSSSSILHVGKFDGKALPQQKKRKRATENLLPWHAQVIIGCGTMRHRRLLNQTSTSGKEDSVILPKVLETFKSRFGELESSLSSAEKATGLHDLGSELQDLERWRIIHHLARWYGYAEKRGSNTSNSALSPYTTAVKKHDGAAAAPVTSLSSIKCRLLN >Dexi4B01G0001430.1:cds pep primary_assembly:Fonio_CM05836:4B:855437:855697:1 gene:Dexi4B01G0001430 transcript:Dexi4B01G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGCSCYRGSSGVMTRGLVAAAAAIPLAAPPFRPCRSCYASPTGGAQVAWPQQARSLALRNEEGGAAMLSLATRSALHPTLDGQ >Dexi5B01G0039290.1:cds pep primary_assembly:Fonio_CM05836:5B:38228804:38229125:-1 gene:Dexi5B01G0039290 transcript:Dexi5B01G0039290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNGTPSSPRTS >Dexi5B01G0028050.1:cds pep primary_assembly:Fonio_CM05836:5B:29544262:29545298:-1 gene:Dexi5B01G0028050 transcript:Dexi5B01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVLLLLLLAMAFRGSDGAWCVCRADASDAALQKTLDYACGHGADCDAVLPTGPCYSPTSVQAHCSYAANSYFQRNSQAKGATCDFGGTANLTDTDPSSGTCKYPASPSEAGTSGNSTGSGTGTASPGGSTSNPVTTPSTGGSFTTPVGAFGPVPSTISAGTAAAFSGRHVLLAVVSVMAFLAR >Dexi6A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:6A:24448413:24451340:1 gene:Dexi6A01G0016590 transcript:Dexi6A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPLPDRTSCIGDTVTAASTKSVPVILPSVTTTAFRGAGRPHALRHSNGSLLAAMYKEEGLVLVPIAMGTEEMEAVILAGVLRRAGADVTVASVEDVLEVKASCGSRVVADTHIASCADQVFDLVALPGGMPGSVRLRDSDILQRITVRQAEEKRMYGAICAAPAVVLMPWGLHRRKKITCHPSFIGDLPTFRAVESNVQVSGELTTSRGPGTSFQFALSFVEQMFGRHAAEDVDRVLMTQIDVDLERSTEVNELEWSIDHNPHVLIPIANGSEEMEIIILVDILRRAKINVVLASAEKSPNIVGSQRMKIVADKSISSASNSKFDLIILPVSFLAVHLFVWMGGTAGADRLQRSTILKKLLKEQKQAGRMYGGLSSSLKILQQQGLLEVRF >DexiUA01G0006340.1:cds pep primary_assembly:Fonio_CM05836:UA:12190736:12192484:-1 gene:DexiUA01G0006340 transcript:DexiUA01G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFISDEELRHLGGDTAAVAERADAAIRELRRQVDTLRAEADAAAIAAEQTCALLEQRYATLSAEFDRSQAEAAELTAAAERRAAELAASQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIEQRDAEIKEKDGIIQSYYDKIVNLADSSASKEARIQEVEAKFTHCQAMCNRITQEKELLEKHNLWLDEELKAKVKNVAELRKTNMDEEAKMSARIAELEREISESSSSLRRSKERISELEQRVSYMEK >Dexi3A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:3A:4210835:4214809:1 gene:Dexi3A01G0006380 transcript:Dexi3A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGLSKTTVEAVVNKVKSAIKEEAELLQTVQRDLVFITDEFEMMQSFLNSTIADRIMNSNVVRTWVKQVRDLSYDTEDCIESALHLDTKRSFWTLLRRLLVSFKPGGGPLPLDQVVAEIKLLKARVEDVSQRNMRYSLIDSDSSSMRFLPSKSTSKGQEGRRRGGHRWQEPSYKVNEFRLVGRDSEVTKLDDLILKDHMDIPRVVYVWGMAGVGKSVLVKTIYRRWAHEKYAWVNVSYPFQLMDFCRSILFNMLSGAYRVTDPIQECRKLLHKDRCLLVIDGLHTKKDWDIIYTKLIREPSDWDMKLIHGSSDPHDKYGSRLSCIVITAEEQVARHAVASHDAVCNVPVLKDDGALDLFRQVLYREMMAHVDEDMIRHVLDEEARVLLPNCGGHPSVIVALGRYLAHLHTLQRGIHDREWKRLNANMMRELETNPEFESLRGLFAQMRTNFQACPPILKKCIFYLSIFPQSSMIRRRRLVRRWLAEGYSSGTDDHQGGTSLEEGAEKLVDQLAQMGIIRAAAVAGRDSLRMTSWKVNSFLLDYIISHKEEGTLFLPLEVSVLEGNCSLISTGRVGQHLAIGSSWNRDEFMFNSLDLSRLRSLTVAQQWEPFFISNKMRVLRVLDLEGASNNIKNGDLEQIGEKLPRLKFLSLRGCREVSLLPDSLGDLRQLQTLDIRDTCVDALPKSIIKLFKLQYIRAGRGFALPWVDDNGGLATGEPSTPSSRPVGTQLASYLSKSSRAAPNGSAQDGVRLPRGIGKLKALQTLGAVSVVSTTRGNAILAELQHHKQLKKLQLSGINQTNRRSKPPLVLPGYLESLAIRGKVLPRNIRFPGNLMKLSLDVTILSTTPEDIQVMIQAIGKLEKLHTLRLFIKMAVPTRWELQFLRANPDHAGSSAPGQFTELKVFEIGCTSGLCVRFEKEAVKKLEQLKVHHPFGSSLLEFDGLKYPISLEQVCVKGYCDDRLKGHFQDQLADHPKKLTLKLEE >Dexi9B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:9B:10485830:10489077:1 gene:Dexi9B01G0015400 transcript:Dexi9B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLQNAATAVEAAAAVEAAAVAALLTPVASVAAAVAAVPAAGSYAVLQCGEDSEYVRKAYSGYFQVFRSLLEEEGETWRVYRAHRGELPTDADAAGFDGFVISGSCADAHADEPWILALVDLIRRLHAAGKRILGVCFGHQILCRALGGRTGRSSKGWDIGVSCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPNAEVLARSDKTRVEMFRLGDRVMGVQGHPEYSKDILMSIADRLLQRNLILDCQVDVAKASFDVRQPDKELWKKVCRGFLKGRLPSQQQQKVVVL >DexiUA01G0011050.1:cds pep primary_assembly:Fonio_CM05836:UA:22116992:22128167:-1 gene:DexiUA01G0011050 transcript:DexiUA01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDLLPRRQGDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYSGIAARVVEIMRRDSQKDPSTDPDAARARLILDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDAELFGASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVKWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKQGLNFAGVHDSYWTHACDVDTMNKILRDKFVELYDTPILENLLESFEKSFPKLKFPPLPERGDFDMKDVLDSPYFFN >Dexi9A01G0047620.1:cds pep primary_assembly:Fonio_CM05836:9A:50667848:50669957:1 gene:Dexi9A01G0047620 transcript:Dexi9A01G0047620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSMRKSFRDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAHFFLFLVQWTDCSLAGALGLLRILIYKVCSSVVARLQDLMPLCSQGIQASLLCAAVIFPSLMQLPKGISEVDDRRQKAVCTERYRRRDEGESKRPVSEIDAEREEECGICMEMNSKVVLPTCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSSDLWMFTDCRDVVDMATVTRENIRRLFMYIEKLPLVTPDNIFYAYDSHRKILVLGCG >Dexi1A01G0032120.1:cds pep primary_assembly:Fonio_CM05836:1A:36835249:36840394:1 gene:Dexi1A01G0032120 transcript:Dexi1A01G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPAPNPTTDLPNGSSAQDRKKSRESDRRRRRRKQKKNKAASNGAGAEPDEEAAPDSANENADPKPQVEVEVEYVPEKAELDDALLADFKDIFDKFTFKDSPAATEDGEKKDEAATDAVKKGDGSDSDDDAQEDQQKKEGGVSNKQKKLQRRMKIAELKQICARPDVVEFIFHISDFTSACYFFQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQHMHLSPMFRLNSNFLTPDTICYVQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLRETKPGVLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDDEPVDRSKHWGDLEEEEEEEEDEEEEEEEPMEDEDMEEGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQVLEQKEERIAPGTLYGSSHTYVLGAQDKVAPKRVDLLKNQKADKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQQEKDGKSKKKEFKF >Dexi3B01G0011380.1:cds pep primary_assembly:Fonio_CM05836:3B:8030042:8031886:1 gene:Dexi3B01G0011380 transcript:Dexi3B01G0011380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWSPLLSYAHLSGLLARCGRAGDLRLGATLHAVVAKNPAHFHLCPHRAGLPHVLATWNSLIAMYARCGRRGDAARVFDEMRVRDSVSWNSLLAAAASASDALALLRRMLRATPGAGACDHATLTTVLSACARADGGAGAAPLAAVHGLAVSCGLDAAVSVGNALVTAYFECGSPGSAERVFGAMAERNVITWTAMVSGMARAERYRDSLSLFRQMRRAVDANRATYSSSLLACAGSLAAREGQQIHGLVVKTGFDTDLHVESELMDVYSKCGLMEDALRVFRSCQDPDEVFLTVILGGFAQNGLEEKAFKLFAEMVSAGIVIDTNMVSAVLGAFGAIAPFALGKQIHSLVIKKCFGGNTYVCNGLINMYSKCGELEESVSVFDGMPSKSTISWNSIIAAFARHGHGSEVFQLFESMKADGAKPTDVTFLSLLHGCSHVGSAKKGLEILNSMSSQYGIHPRVEHYACVVDMLGRSSLLDDAKAFIEDGPFKDNPLLWQALMGACSFHKNSEVGKYAAEKLLLLSPDCTAAYVLLSNIYLSEGRWDDRARIMKRMRELGLRKDIGKSWVELQKEVRSFAITTSQRGSAGFHDVLQQLSAAPSDQEDLVQSNGS >Dexi4B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:4B:6595277:6605839:-1 gene:Dexi4B01G0009190 transcript:Dexi4B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGSHGGADLERHVDKVQESEPPTPVSVMKMGKNRVNVEDEETLSSVAHCIEQLRQSSSSTQEKESSLKQLLDLIQTRDTAFGAVGSHSQAVPILVSLLRSGPSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKISLKNESLVDGLLTGALKNLSKNTEGFWSATVQCGGVDILVKLVSSGNTNTLANACYLLGSLMMEDSSVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESISASDPLDVEKTLLKQFKPKVPFLVQERIIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLTKSLFALCKKECDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSAIILGNLCNHSEDIRACVESAEAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLLEMQSDKILMGASCCLAAIFLSINQNKEVAAIGRDALTPLVSLANSSVIEVAEQATRALANLFLDQELSLQVSFEEILFRVTRVLREGTIDGRTHAAAAIARLLQCRTINQPLSDSINRSGAVLALAGLLEAANGDAAAASEVLDALVLLSRSKASSGHTKARWAVLAENPHTILPLVSCIADAAPALQDKAIEVVSRLCSDQHDVVGGLVSETPGCISSITRRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILNDSSLYIQLIHSLIGMIHMANTPAESGSSESIADIRISRHSKESNGESETVCRTAVISGNMIPLWLLAVFSRHDSKTRAEILEAGAVEMLTEKISQNAFQYVGEEDSTSWVCSLLLALLFQEREIIRSNSALHSIPVLSNLLRSDEPAYRYFAAQALSSLVCNGSRGTLLAVANSGAAIGLISLLGCADVDIADLLELSEEFMLVPNPDQIALERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCPSNMQLMAEAGILEALTKYLSLSPQDATEEATTELLGILFSSAEIRHHESALGVVNQLVAVLRLGARNSRYSAAKALESLFSADHVRNSESARQAIQPLVEILSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCCVLFANTRIRSTMAAARCVEPLVSLLVSEANPAQLSMVRALDRLLDDEQLAELVAAHGAVVPLVGLLYGKNYMLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADMGPEGQYSALQVLVNILEHPECRADYNLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLLLEDHLQKDTITEQAITPLIQVLSSGLPNLQQRAIKALANLAIAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALIVLESDDSTSAEAMAESGAVEALLDLLRSHQCEETAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNTPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >Dexi3A01G0017340.1:cds pep primary_assembly:Fonio_CM05836:3A:13248926:13250196:-1 gene:Dexi3A01G0017340 transcript:Dexi3A01G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAATQRRRRRPRKFLYKPKPPPEPHPFLLHLKSLPSPIAAAAALLSAPRHLHDHPFAACVLYRVARARLFPLVLPLLAALRSLRVPLQPTAFAALIDRLGAAARPDAAALVFRAVPAFCSHSNTTFHAILHSFVCNGRVDEARDMLPEAPKLGVRTNAVSYNIILKGVCHRDGFAGARVVLEEMLARGVRPTVVTFNTLVSSACREGELAAAERLVEEMERRGVAPNAVTYALLMQGLCNEGRYDDAKKLLFDMEYRDCQPEAANYGVLMNACALHGDADGVRGLISEMRKRKLKPDDASYNVLIRCLCDAGRVEAAHRALVEMQLKDGAEPSAATYRTLLDGCCEARDFDLGLRVFNAMLASGHCPLARTFRQLVRGLGEDEKREEACFQQRK >Dexi9B01G0045420.1:cds pep primary_assembly:Fonio_CM05836:9B:44905684:44907522:1 gene:Dexi9B01G0045420 transcript:Dexi9B01G0045420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQLFAMAQPVSPTATTAPPHVAHKIPSGDGPYARAKHFQLVEKDLDASIAWFWKAINTGDKVDSALKDMAVVMKQRGYLTEAIDAIKSLRHLCPRQSQESLDNILLDLYKASGRTREEIDLLKQKLRKIYQGEAFQGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQRNFMAAEVVYRKAQMIDPDANKACNLALCLIEQSRLADAEVVLADVLAGRYQARDQQDSKIVRKVEELLARIVGQTSSPGGGGRRRGSDDGSDDDDWVEIENEMLALLDVAVKQWAAPYRKSSRRLPVFEEISPVYREQMAC >DexiUA01G0018490.1:cds pep primary_assembly:Fonio_CM05836:UA:39112690:39114321:1 gene:DexiUA01G0018490 transcript:DexiUA01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLPEVVLLDLWVSPFGLRCRVALEEKGVAYDYREQDLVHKSELLISSNPVHKKIPVLLHAGRPVCESLVIVEYIDEAWPDAAPFLPRDDPYACAKARFWADFIDNKE >Dexi9A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:9A:15125760:15131648:-1 gene:Dexi9A01G0020200 transcript:Dexi9A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNVGAQDLPDEVLTEILARLPAKSAGRFRCVSRAWSDMLSSDYFVDLHLRRANRPDRPKLLLTAIGSSYDGYLYSWQPGGEVDKLMPDEFGGDVTVPLTKPCRGLILVRARNYGDDDGGYLVFNPSTGQALALPDSEKPLKTKFRRRNSRWDIPNLPFYIMVSYGLGYCTIKREYKVVRLFSNRRHEPEDGDDDTTPARAEIFVLNGTPGYWRPSAENPLLYSVKEDPAVFLDGYLHFLCDDGRIATFNISDETFGSLLLPPPGFEDVASVLTELDGCLCLCYGEPDTEDPIHVCLLRDYKEARWEKLCCIDRAAWSESERTIFNSFWIAPIGTEYSGGEQKIVFGTGSCNVLAVDPDGGDPEILFTPDDTIVGSCDDDFVPACILLEESLAPVGVPMEDLICSSPTTKAWFDILKWLPARSVLEMRLVCREWRAMTMTDSFIHSHVIHANLKRSPLIKVILDPRSGLYIDLEEWTDFDDNDGTFFAGRIGLGYNTETCKHVMVHITYKTKNPETRHYELQCRMKYVDGCEWDPINPPSRPVAATPPTFVNGKIYWIVDPNIGPVSARCELIAFNVQTEQFEVLQGPPCTHGGGHMAILELQGALCISYSDQSRNTLPHPGSSSHQCVVTLAERKPDVSVMVAFTVAIPVEEPTARHGRHTGAVDQDLPDEVLTEILARLPAKSAGRFRCVSRAWSDMLSSDYFVDLHMRRANRPDRPKLLLTAIGSSYDGYLYSWQLGGEADKLMPDEFGGEVTVAK >Dexi4A01G0003240.1:cds pep primary_assembly:Fonio_CM05836:4A:2344008:2344349:1 gene:Dexi4A01G0003240 transcript:Dexi4A01G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQPSLITKIGFAVLACNSVLAIHKSRGDAGSVAFVLAADAALVLLFLCLRRFERAGGGRRTKAAVWALTTLLTAMFASRVAPLMPPAVAALVWALAAVTVAGGFWAFFLA >Dexi4B01G0003950.1:cds pep primary_assembly:Fonio_CM05836:4B:2801323:2803755:-1 gene:Dexi4B01G0003950 transcript:Dexi4B01G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVDMSALETNFSIPCLDDGDGMHLSADSPDVPSMVLPTCADFDGFQAATKDMVKNKRGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGNKCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGFDEKGPGLYYVDSEGARLVGNRFSVGSGSLYAYGILDEGYRFNMSVEEAAELARRAIYGATFRDAASGGCVSVYHVGPDGWKKLSGDDVGELHYHYYPVQKTPVEQEMTDAPSTSA >Dexi1B01G0029570.1:cds pep primary_assembly:Fonio_CM05836:1B:33825217:33830669:1 gene:Dexi1B01G0029570 transcript:Dexi1B01G0029570.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQPDATRVSEEEGEMRAVVIARPGGPEVLEAREVEDPPAPGEGEVLLEVAAAGVNRADTVQRMGRYPPPAGASPYPGLECSGTIVALGPNVPSRWAVGDKVCALLSGGGYAEKVVVPAGQLLPIPEGVSLTDAAGLAEVACTVWSTVFMISHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLSACKDLGADVCINYKSEDFVERVKEETNGKGVDVILDNIGGSYLQRNLNSLGVDGRLFIIGFQGGAVAEVNLQAVFARRLTIQAAGLRTRSPANKAQIVSEVEKNVWPAVASGKVKPVSTCVTTCIARLGRQWNPVSKRASKATTATKWTQVRRLVRNGGRKMRAVVITRGGGPPEVLEAQEVEDPAPPGEGEVLLQVAAAGVNSADTQQRHGRHPPPAGASSYPGLECSGTILALGPNVPSRWAVGDKVCALLSGGGYAEKVVVPAGQLLPVPEGVSITDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTCAIQIAKYLGIKVFVTAGVDVVLDNIGGSYLQRNLNSLGVDGRLFIIGFQGGTVAEVNLQAVLARRLTIQAAGLRGRSSASKAQIVSEVEKNVWPAVAAGKVKPVIYKTFPLSDAAEAHRLIETSTHIGKILLLP >Dexi1A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:1A:7495520:7500505:1 gene:Dexi1A01G0009240 transcript:Dexi1A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISVVDGAACGVGRLTRYHPAAQILAVDSSKPFPMAATATAGGAAAPPPRQFKLDPRSELRVEVPPDAPLRVRLVAGTAEIFGTELPPEGWVPIPPRSKIAIFTWHGATLELDGVTESEYPSEETPMVVYVNTHAILDARRARARAAAAQGGDLEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPTPSINADVYKVLMKELAKTLERQFSGNAESRAAGMVINTMGWVEGLGYELLLDAIETFKANVVLEKLWKMLKDAVQSKPNIDVVKLQKSEGVVLRNSKYRQKSRSFRIKQYFYGIANDLAPHSNIVNFSDVSVYRIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAISYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Dexi5B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:5B:3328163:3331037:1 gene:Dexi5B01G0004960 transcript:Dexi5B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSSVASGAKRPFEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVSVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNRIESSPEAAQKASSIPLLLCHGKADDVVSYKHGERSADALRANGFSNVLFKSYNSLGHYTVPEEMDEVCKWFKANLELGTSSS >DexiUA01G0013560.1:cds pep primary_assembly:Fonio_CM05836:UA:28495047:28495826:1 gene:DexiUA01G0013560 transcript:DexiUA01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCGITDHRTLLPASAVAGAVTLLVADIIARLALTAAELPIGVVTATLGAPSDILNTEVTTIEGEKTTLESYKGNVLLIVNVASKCGLTPQYEQLENIQKAWEKDGFTVLGFPCNQFLGQEPGSEDEIKTFCSTTYGVTFPMFSKIDVNGEHRHPLYAKLVAAAPTAVAPEGSGFYERMASKGRAPLYPDDILWNFEKFLIGRDGQVVQRFSPDMTPEDPIVMESIKLALAK >Dexi8B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:8B:15053495:15054556:1 gene:Dexi8B01G0008970 transcript:Dexi8B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCRPFCAHAETTVVGVPFGHLVKHPAGGAEAPGDGVGPDEAVGDVGVQVNAVADGERVELLGDGEREGEARGGLEEEGEGVPPRREGAGGAEAGEEGERGGGVRGRGEGAEGGVEHEGVGRDGEQGEERERGGGRIRGQRGVGGQEAGRGEGVLGEAAGDEHGRVDAGQRARSRAAVGEEGEVRAPADADTAGGRGERRRWHAWP >Dexi9B01G0038470.1:cds pep primary_assembly:Fonio_CM05836:9B:39526953:39527271:-1 gene:Dexi9B01G0038470 transcript:Dexi9B01G0038470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHTFCKECILECLLAQKKDIKREFEMG >Dexi5B01G0025650.1:cds pep primary_assembly:Fonio_CM05836:5B:27648638:27649536:1 gene:Dexi5B01G0025650 transcript:Dexi5B01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLSSPALAGKGAKMIAPSSVFGEGRITMRKTAAKAKPTAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIGDPVSNNAWAYATNFVPGK >Dexi5B01G0011430.1:cds pep primary_assembly:Fonio_CM05836:5B:8104205:8105277:-1 gene:Dexi5B01G0011430 transcript:Dexi5B01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGPTTVAMPAVTHDDLSIRKAQERRMARSSSQVAIALVALSVICGLVSYYLMSVDGSQNQLDVCFYNSSGRTALAYAVGAFILLAVAMFAEHAYMLVAVAAPESASAGLVVAHDNPRFASTAATLTWQTCCLFFLTWICFGLAEVLLMIGIGVESGHISDWKKPRPVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQAQRLRGQPHAPHYGVGGGHFVGHGGAPYPGVQHQHLHPHPAPHPHPHPHPAPSAPEITAAHCQVQPSGASIVTKEVAEV >Dexi3A01G0011890.1:cds pep primary_assembly:Fonio_CM05836:3A:8619743:8625351:-1 gene:Dexi3A01G0011890 transcript:Dexi3A01G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDFVLDASPARRRAAPLDAESGGGDADGELGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIQSSVDVSSPYDDKTQKGKARKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVTEIEQKDIRGGQLLNLLHKRCHCGVPELQSCIQRQDDRDGENDSSQRDVSDKFMQKLAKDTSLSSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPINQNQSSKRSHRMQSFIGSSGSPKDLPNFSSISAEELLPQAEADRIDAMLKELKHSSEFHKRLFESAVGSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQAALKTIGEEDKYFTRVSLRMLSYGMKSSTSQKDLQKPNASELPSQGKAASELALDGWDSIALEYSVDWPLQLFFTPDVLSKYLSALVSQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRPNISEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTARGVMNSGRLRLGTAGA >DexiUA01G0014730.1:cds pep primary_assembly:Fonio_CM05836:UA:30913613:30927368:-1 gene:DexiUA01G0014730 transcript:DexiUA01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGLPSGFRPLPRNGRSSTVAGFIMVIGRSSFVAGFSTELFGLKGQFDPLRIRASCALPRLPVHYHLRRFSLPRLANTTPSCRRTIVPSPGESHCRPLPPAVDKGSLSNGCHHSMVLHLNHTTPPLSPLVGNLHGTQAAHFPPCPPFCDKQTLPPPLRKATRSPTPPVSPFFLLTTSLSCTLPACSGQRWRRRHGSLAPSPPFPTRNPFSTLSDASYLSPTAQTLAFSPPLRSSHHCCHGARLELVPAPQTFSSTSPPLPAAQLPLPRPAAPPPAAMDVQSSSSPSRSPLQPSPAQSNGQNGFPYLHSTFPSRIPTTPATVLAGNRAPAAAPPLLPWPALYGPPPTSTAAQDRLVSGHPRPPLAGIWAAEFTAPPKDPIAIREIFLGFHLAGTSNGSRPLARIGRSSFVAGFSTELFLAHSSSLSFLLFSAAHLPARPISFFLFPSFSAARCAAQHCSPPRRPAPRSLFSFPLWLTCRAHLCASPFATDTLAHLSSSPPSSRRERAELYSEPRRAAPPPTPLFSPCISNPSRRRLDLAPSAAVVSSSTRRRLAAKRLPRSFSAKTPPSLPLLRAYDLAVVAAPPPSVASFHRHSILENNPLIDRAFSGELRPSAAALRRPLEPICFPPFDSHPTARSETQVKPSRPVHGSVNDDVSRRQHPPAAFLRFNSDLSDFRAHVFVVKLVAEPFEFADDPVHENQVQQQFTEEGKYNTDHPCYLYTI >Dexi7B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:7B:12800267:12801677:-1 gene:Dexi7B01G0005370 transcript:Dexi7B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATSDAKLLIQSLTKAYAATPTNLKIIDLYVICAVATALMQVAYMGLVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFILCNLVLHLVMMNFLG >Dexi5B01G0003900.1:cds pep primary_assembly:Fonio_CM05836:5B:2662582:2663004:1 gene:Dexi5B01G0003900 transcript:Dexi5B01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRGGGLRTRRAVAIHVRGDGFASAVARFILVAALVSVPVPVHAQSHYARHGVAMFTAGHACAVCVGDAAAVASSRSWPHDALACARVSLRGNGLPCLRRASAEQHDARGEA >Dexi9A01G0019480.1:cds pep primary_assembly:Fonio_CM05836:9A:14401233:14405996:1 gene:Dexi9A01G0019480 transcript:Dexi9A01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSSNVMLAIHEKKTTPTDLYRPLRLYIASVYSEREAAAADDDLSVVRDLRAEVEQPSLPDPSSLEKRRDALLAYARALSLVEPRFPISPDRAHVHSLTFTWHDAFKGNKKCALASIHLEKAAVLFNLGAVYSQIALAADRSTDVGIRTACGAFQSAAGAFAWVRESGVAAKAVAAGATTVDVTPECAGMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAPPLSQHFDKTWVAHVQLKAAQFYADACYRYSLDLHEKEEIAEEIARLKIGMGALADAKKAARGVAAQLLDSVNKLEGNMKTNLERAMKENDRVYLMRVPAAGSLGALPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEIVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGSITLPVDLKEDVEAVQISGGPAGLESELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANLKKASDSDSLIERGVKENYPLMSILDKRPIESALPSMSRPIMSLDGNEDAIVGSLKQSLRQLESLGAQRAGLEDMLKEMKRKFTILCADKIHFYQDDILPKLMAGVGSHDDLFKKEIAKYDSICADIAENIVAQEQLLLQIQAQNEQFAAVFNLEDYKAARERCYKQIAAAIAKYQEIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQRKLAGFNFSSSSHSSMQRNASLQPDQSSPSPPPHAQAPYAAPLGGDSRPGYSQPELRPSYSQPYPPSYGAPPQQPPYGAPHPSQYQQPPNQPPPGHDYGQPAYPGWRGPYYNAQQPQPQQPGPYPQPPYNAPGAYPPHQSNNYYRPQ >Dexi5B01G0002360.1:cds pep primary_assembly:Fonio_CM05836:5B:1505466:1505840:1 gene:Dexi5B01G0002360 transcript:Dexi5B01G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEEGDVRTRAAALLKRLEKTTPEERTAALKFLTAALEAAKQYNAMEEEEVEEEYRRAGRLHAYDIDTEWKKRLARVARIYPPPKYMAKNIDGFMEILEEDEQDHPIGLASCVGIFEESYLDA >Dexi1B01G0027740.1:cds pep primary_assembly:Fonio_CM05836:1B:32412533:32412823:-1 gene:Dexi1B01G0027740 transcript:Dexi1B01G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFLSLSPELRDALVKVAVFVLVQGLVYLILRSSSSVFSKDGGLRSLSFRPMRSMSVRRVLAPLSDVPVGTDEPSTPSPSLSSAASRRRASRED >Dexi8A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:8A:18341967:18342600:1 gene:Dexi8A01G0010690 transcript:Dexi8A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCLEKLMKELKHEWFFNVSNNSMIWDYWEILQQSSVNWQDSFEEVVGVLACRWREDLEQLWMDTRHYEGLYYDLLRHPLEKVGTPITLIHYLKTNLFSYYLQ >Dexi5B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:5B:22499343:22499747:-1 gene:Dexi5B01G0020270 transcript:Dexi5B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSALESAWQMLIANFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQLVHTE >Dexi2A01G0004470.1:cds pep primary_assembly:Fonio_CM05836:2A:3925705:3937704:-1 gene:Dexi2A01G0004470 transcript:Dexi2A01G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNYFAGQTPPPPNMSRPNTVNANGPRQADRPWLQAPNLTNAMEFVPEQPLSLSTIPNSVSTGRAGPAVRRATPGGQTACPHGRPKMLIVKRPEVATWKMNVAKEQGVQKPKDQPPRIPPRQVYRPKKKEEVQSMDVDSERTTEFDIIQKEWKPKEDPEEIKPAAQVVQTTCHSRPAAQAVRPLVQAVRPGDAEVPGVSSSSSSLKPYEAQSEGPPTHSMVSSRGTEPISHYAQKYHTDSFGGTRRRGTEPVGAEEDRRRRLTQRPPTHSVVKEERARNQSVVGPAPYVSDTLDQRLVQRPAEVAPPTNSVVPLLHPPNQSVFVEWPPVLVQGRRGPWRLAEALPSGDGEEHLGRDERRPTCAWGSSVDDEELVDYSSSPERMNLIKTNMTVSGIGGGEPIGAKGVISMELTIGSKTLATAFFNNPRFAASDGVPLEVVISDRLLSGAPRFRVDQKCLSWSKSLVRKWFNIRPKAQDFHADSDASQGMGFWPSSSPSTCGTSSGKQNGENLEYEASPRGPHVWRPPREFQMTIPPFSDPASTAPAHAGQSPRADQGLTGVDPRPLAGGEVLRLT >Dexi8A01G0004660.1:cds pep primary_assembly:Fonio_CM05836:8A:4107618:4109651:-1 gene:Dexi8A01G0004660 transcript:Dexi8A01G0004660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVATASLQVCRRRTTSFLTSSFSVLCSLSARVSIPMRERSSQTWERYSPPFPRLLACRYPLHLPAEKHNISTHTPWIILHVITASISGPLSALAVLTHPQRDHLGRPGQLSP >Dexi7B01G0001980.1:cds pep primary_assembly:Fonio_CM05836:7B:3378331:3378741:1 gene:Dexi7B01G0001980 transcript:Dexi7B01G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQRDQVSTARCDRDDAGRLMGSNGCEGSGIDPGGQQCWQRRRLTAAAAAVPRLIWRRCVGNTARPIREVQRGGLTGSDGGRTNAQGEAVSEQDPPIAFGRRGSWAAAVVWLHCSNASMQRARRANAWDDVRRD >Dexi4A01G0022470.1:cds pep primary_assembly:Fonio_CM05836:4A:25724047:25726746:1 gene:Dexi4A01G0022470 transcript:Dexi4A01G0022470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNDKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLEFIDNPKARKYLKSLPYTPGIPLPSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMIHYHPEVVTGMSM >Dexi9A01G0023150.1:cds pep primary_assembly:Fonio_CM05836:9A:18343094:18343984:-1 gene:Dexi9A01G0023150 transcript:Dexi9A01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVTEQRDASFDRRRHTGTAAPLLAPPKLFLAVADNTGVSGGSVGPVTMSPTSTLQAVTAGSPKSPAATAASFSRHGASSSSSSSGGDNLHRRRSHRPAWEWEAVRPAGLGLAGALNGYDPPAAAVQRGRQSFQVAAGDSSSSSPRGRLLSPWEMMEASEDYARVIDRGGKNTRTTHIFDSSRVVVDGCGGAGFLRWCHGCSKDLAQGKDIFMYRGEMAFCSHECRYREMLLLDEEY >Dexi4A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:4A:23659375:23659740:-1 gene:Dexi4A01G0019790 transcript:Dexi4A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASSSSSRPLRGAAVPVPSLGADEASAVADEAFQRHTSPSLRRGGAGVAVVWFRNDLRVLDNEALVRAWAASEAVLPVFCVDPRVFEGTTHYFGFPKTGDSR >Dexi5A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:5A:3120272:3128824:-1 gene:Dexi5A01G0004140 transcript:Dexi5A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSQAMLDAQAALWQNTFAFVKSMALKSAVDLHIADTIQHHGGAATLSQIANKAMVPPSKIPCLSRLMRVLTHAGVFGTHGGAGEQLMYTLTPASSLLVGSRNQAIFTSLALHSAMVCSMFELSGWLQSELPNPCMFKLRNGRDMFEIVDGDGDSAFNVLFNEGMASDTEFIMDIAIKEHGEVLFHGISSLIDVAGGLGAAAHSISTAFPHVRCSVMDLAYVVDKVPPGNTDVQYIAGDMFESVPQANVMFLKIVISKKKAGAVTYSTYTRRKKAPSLGAGYATGTGSLFSASVLASITPSTGCITGTEAPTAAGYAVGTGNPVPMGADLQAQAMLDAQAELWQNTFAFVKSMALKSAVDLHIADNIQHHGGGATLSQIANKAMVPPSKIPCLGRLMRVLTHAGVFSTQELPSGSGGDSEQLVYTLTPASSLLVGSRSQAAFTSFAFHSATVTSMFELPGWLQSELPDPCMFKLRNGCTAFELANGDPAFNEAFNDGMVSDTEFTMDIVVKEYGEVLFQGVSSLIDVAGGLGAAAHAISKAFPHVRCSVLDLAHVVDKAPDNTDVKYIAGDMFESVPSANVIFLKEPRVERSRSEQTFPLKKRKI >Dexi1B01G0003750.1:cds pep primary_assembly:Fonio_CM05836:1B:2961663:2968884:-1 gene:Dexi1B01G0003750 transcript:Dexi1B01G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPASSGVAPAAAAASAGGAPPEGVSFLGVLSLTPAAAAVCAGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKALYSNQITLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKDSQWRNLQVGWDESAAGERRNRVSKWEIEPIAAPFFICPQPFFGVKRPRQLDDESSEMENLFKRAMPWLGEEICIKDAQTHNTTMPGLSLVQWMNMNRQQSSALANTGIQSDYLRSLSNPAMQNLGATELARQLYVQNHLLQQNNAQLNASKLPQQMQPINELPKGVLLFNQLDAITNQEQKQEAGNQQRQQQPANQAIPLSQAQASLVQAQVIIQNQMQQQQQQQQPSPIKNQQGTSDQQLLLSQQQQDQNLQLQQQQQLLLQQLQRQQQQNQQLSNLPGQLVSLAGQQSQLSDQELQLQLLQKLQQQSLISQPAVTLSRLPLMQEQQKLLLDMQQLSSSRSLAQQRIMPQQDSKVSPEASSAPPPMKQEQQQKLSQKQVAPADVSDVAFPSITSNNVFSKDGSPLMVPGAAQSVLTEEIPSCSTSPSTANGNHLAHPTIGRNEHCKVNTEKVPHSSALMSIPASVEAVTAAPMMARELLKLNHNVKEIVITSKSPTRGTGPDNLLNIVPSTDNLETASSATSLWPTQTDGLLNQGFSTSNFNQQQMFKDALPDVEIQDVDPTNTFFGVSNDGPLGFPMETEGLLVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWRPQCDQNPGENYGCKMPVQLPTKLSPGRVVQCRIPIDGLVLYIWEV >Dexi2A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:2A:22509338:22513341:1 gene:Dexi2A01G0013780 transcript:Dexi2A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDGRAGLPRIAVIGAGIFARTQYIPRLREIAHLVVLKAIWSRTQVELSLKMLKAGKHVIQEKPASGSTTEAETALSIYNSFPSQFRYKPIWALGENYRFEPAFVESSKLIKDIGDMMHVQVIIEGSMNSSNPYFNSSWRRNFVVGNNLKISSPYRGNFTWQGGFILDMGVHFIAGLRMLVGSEITSVSSISRHVDMTLPPPDNICSLFIITQILWRVDGTKGTIQVERGVDSGKHGYQVLFSGENGQCQNTFYPFCGVNEELKTFVQDMLGASKDGSHKAEPRSSYIEGARDVAVLEAMLESSGKQGAPVQVKRFQQI >Dexi3A01G0036830.1:cds pep primary_assembly:Fonio_CM05836:3A:42339804:42340933:-1 gene:Dexi3A01G0036830 transcript:Dexi3A01G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVRQILQLRFSYGRSTLNIYIKGEDVELEQNYIGILLEGFLKTRNQNLITPPGVLLPSSTDLSLFGLESSAVNHIDYCHTAPSYQVETRARIIFFDIGRALEGDADLHRSVSLVSQGGHEPARTMNKEHSGLLSWPESFRRSRGPNSSASLAEIRNHPGSFSARALQLSMYGSMVNLTGQGHRRQRPPRLPAAKQRHSSSYPRVPSRPSNTRPLLFVQSEGSNMKRMAGEAAAAAPTPATSAGQQRPRTVTQDDNSSDDSAGEEVISRLPQHALFPSVFCRC >Dexi3B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:3B:1873172:1876118:-1 gene:Dexi3B01G0002800 transcript:Dexi3B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMCGSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETITTVGRICAEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Dexi3B01G0001540.1:cds pep primary_assembly:Fonio_CM05836:3B:1078551:1079236:1 gene:Dexi3B01G0001540 transcript:Dexi3B01G0001540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALALLLICFLFLLALASAKIVADNGIAGQDLMNEGDVVHGHKDNNDGKGNLKPSKCAGECRRRCSKTHHKKPFLFFCNKCCAKCLCVPQGTYGNKDTCPCYNNWKTKKGGPKCP >Dexi5A01G0002340.1:cds pep primary_assembly:Fonio_CM05836:5A:1657857:1661937:-1 gene:Dexi5A01G0002340 transcript:Dexi5A01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSARQRRATAASPLTDDGYAKEAKDRRSRPGGGGDGDDGGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYKSGFQTWEYSSDFALRSYLYLFIHALVAGPASLIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRRYGKRLACYVLAMLCLSSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAAAVSVAAAGVILGWPFSILVFLPVTIYSLIRGPFGRVFLSGFLTSMSLLAHKEERFLYPIYTLICVAAAAVIDSFPDFFHDKYSSDQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPLQIYQHLEYHEDSGPGSILCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPAYFNNKNKASEEQYLKDIGACNLLVELDLRRPYPSRGNDLTTWEALAALPFLDRELSPALYRSFFIPYRWQQNNVFGLYKLLRRLRTDQS >Dexi1A01G0002540.1:cds pep primary_assembly:Fonio_CM05836:1A:1700673:1701932:1 gene:Dexi1A01G0002540 transcript:Dexi1A01G0002540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPNPAAVLHAALLRASSSASGLPPRITFNSLLAAASSSPHPRLRALALPALALAHAAGVVPLDSYTLCPVLRAAPSAAEMLHALAAKSGWLGSVFVSCALAASYGGSGRFHDARRLFDESPTKNSVFGNAVLAAYLSAAKWAPALGFARRFLELRLQVNGCTMTAVVQACGEVANADLGAQAHGHATRRLEGLEADMFLVSALVDMYAKCGLVSRAERLFGLAHQVNGGRSCQHAGEVAKGLKYFESMHEHYGLVPAPEHYGCVVNMLCRAGEVAEAWEIATKNACDSAISVSTWGAMLSACKDCGNVEVGRMAAQTAIKLEPANVGIYIELSNLYARACLWEEVDQLREVIKDKGLEKDVGSTWVEHGS >Dexi5A01G0034590.1:cds pep primary_assembly:Fonio_CM05836:5A:36625037:36628467:-1 gene:Dexi5A01G0034590 transcript:Dexi5A01G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSHYIIDASLPLYRADQSRAHSNQPSTDWPAEMLRKGWTSVTKSSLQQSRSAPSAQNRRENLAEHDSGVAYVVRRVAVSSGTSYLLHRVSSDSWVRKKDAKRSAMGLEITPPLASSSVSTPLMYKDVNKHYISINYPLAQLHLLLSEVKDVAEFETERDELIKAHEEKKMKLKKEYMEKEVELEKELDAELTSLMEKHKPETFQASSTCTYFCLKYEMRSYNEMVVMQMKQMSEDNQQLNYLKNKVVKTEQRSKAVEETLGVVTQRLRETMEEVIFVRDKAKKKHLEYEQEMKYQEEFFHNQIDGIHKITEAKEKEFERLLQEARRFDVDSGTTEDCKLRKEQVQKFIDGQVKDVAEFETERDELIKAHEEKKMKLKKEYMEKEVELEKELDAELTSLMEKHRPPAREEQAPLAYLGSPARNNLDVDVPYA >Dexi9A01G0009800.1:cds pep primary_assembly:Fonio_CM05836:9A:5949328:5949633:-1 gene:Dexi9A01G0009800 transcript:Dexi9A01G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPHTQAATSSSSAAGDDAAKAKSFGLHAAAPGVMRPFAATNLDLGSGDRPACHHQSHEFDTARVIVYEVI >DexiUA01G0002110.1:cds pep primary_assembly:Fonio_CM05836:UA:4947759:4948067:-1 gene:DexiUA01G0002110 transcript:DexiUA01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGGEAATDSIKLQSPLLGVTSSRPATTSGDGGHEQLESILSDEPLTLSRRMAAATAVELRRLTRLVAPAVIMYMINYLMSMSTQIFSGHLGNLEL >Dexi3B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:3B:13968297:13968518:-1 gene:Dexi3B01G0018790 transcript:Dexi3B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRQPRRPETGAAERYREMGVAAALSRPWDYPTACGELTALLRHGYADLPKAAQALVVSDVLLAFRLLPE >Dexi2B01G0012340.1:cds pep primary_assembly:Fonio_CM05836:2B:16912948:16913466:-1 gene:Dexi2B01G0012340 transcript:Dexi2B01G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNTTNEPKPSADVLIGGRYDAEHIDEEPDGAVGDVDGEDLAVSATATPRRVHPATSAWSTLMPVASTQQSKGTASRNAASTRTAPPLAASMPDARIRRRLPWSGRRSCGRCDDPAVRQLDTEHGTTSTTAHSSVGGSHDQMAGHGDGDDPARWHWTGTLVIDVVTPMNQR >Dexi2A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:2A:5789851:5790771:-1 gene:Dexi2A01G0006110 transcript:Dexi2A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLSFGNLTSFTTAWFVLGPLLATACMAARRAKALLELVDTDVTLDIVRRDWEDSIKTSDKYSEVKAYLAASCSRAARALRAENAVQGDNKLVLTMRLGQGVSDEFAGVTLWWTSTQKREEGERLLRRCYRLTFHHRHRDLVENDYWDRVWDFVYLKHPTTFDKLAMDPAKKEEIMDDLDEFRKGGDHYINNMGKPWKRGYLLYGPPGPATASPP >Dexi7A01G0019010.1:cds pep primary_assembly:Fonio_CM05836:7A:28315354:28316600:1 gene:Dexi7A01G0019010 transcript:Dexi7A01G0019010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGVPRRSTPPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPTQMPTLRPSGQQPRPFQITQANPQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYPTEQRGGLASTSHNGVWIPERSSSYNFME >Dexi1A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:1A:22951695:22956430:-1 gene:Dexi1A01G0015940 transcript:Dexi1A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRHAAAFLLPIPSPLPQRLRVAPGRAPPPTWRPDVRARAGSTIGAPPALARPGGAVETDRLPSDVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTEGFLEVSEDGEVLYVFPKDYRTKLAGKSFRMKIEPLVDKAKEVGAYLVRVSFGTALIASILLVYTTIIAILSSSRCVYYCRMEFVYCDLLNVPLIDSTSSSTSSDDDSRGRRRRSYGSTIIIPTDMFCFEDPPITQSVTTSLITVHFKLDIAFPDFLLRDYNGDMNLHISFGIATLYLNRNYHVHYYYSHYLWVCRYLDADYYRRRRVEKEDGMNFIESVFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDVPPPSDESKARKLWPKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKSGRVREYVGTKWSAMFGEVEKYLEEKPWKFSKANASEKAMVAGLGGLNLFGVIILGNLFKQMTVTPGGLISFAAQLYPLLQIYAGSFFAIPLFRWFLLRKTNNDIRKRNKAREQRAQELLSPDSSLRRKLLSARDMAERKVITPEDIVYTTEKDLLEQDYEVKEWERRFKELESE >Dexi9B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:9B:13858866:13859635:-1 gene:Dexi9B01G0019270 transcript:Dexi9B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHYVAGVASLLVLAAATAAADDITFDATNTASNTSGGQLFDQVIGVDYAEQVLSDASAFVWSIFNQPNPDDRRPVDNDEVTLVVEDIGGVAFTSGSGIHLSAQYVGSYSGDVRTEVTGVLYHEVVHVWQWGLQDYGAHPGIFEGIADFVRLKAGYAPSHWVQPGQGDRWDQGYDVTARFLDYCDSLQSGFVALLNAKLKDGYSEDYFVQILGKNVQQLWQDYKAKFGG >Dexi7B01G0016980.1:cds pep primary_assembly:Fonio_CM05836:7B:22978913:22982452:-1 gene:Dexi7B01G0016980 transcript:Dexi7B01G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPARAASAVRLFDAHCHLQDPRVAAVAPALIRAAAASGVARFAVNGTSEKDWHLVKRMAQDHPAVVPCFGLHPWWVPERSPDWMDSLRRFFIETPEAAVGEIGLDKGSRGKTIDFGEQIPLDRILLETDTPDALPKLDNFSVSPVPVPSSDADTEKNIKDSESQSAAASNESLNHPENIRIVLKYVASLLEMPEAELAELSYKNATKLFSYPGSKVHPEAEAI >Dexi8A01G0002650.1:cds pep primary_assembly:Fonio_CM05836:8A:1751519:1752409:-1 gene:Dexi8A01G0002650 transcript:Dexi8A01G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSHLAGANEKVECQDCLSWEGFPSLFWKILKEVGYPSPPVYFGREYAERGVTRCSVRMEAPVHPEHPDWPRLGVVVHGHRFPDTWELAAFAGLNQFTSQHHDLVDNTLVGFLPSARRDDPQWLSRYLASPQLFLEFPRNAPAFFASWMKASIRMAELLKMSQDSLLDFADAMVRVSADKDIAGICTSLDMAAKDTQIRDLQARIAELTMEREHCAHQVEVLTDALEEAQAELHEANAEMVELEAHMEVPEEDEAEDEAEDDAKSELDDEELPPVQPPLSPTVSRTSVNDVDDF >Dexi9A01G0022500.1:cds pep primary_assembly:Fonio_CM05836:9A:17666048:17666497:-1 gene:Dexi9A01G0022500 transcript:Dexi9A01G0022500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLAATSLLVFLLLLLASRLSPALGGDDLLGVARAPAFAAWLRGVRRRIHEHPELGFEEHRTSGLVRAELDAIGVPYAWLVAQTGVVATIAAGGGGDGPVFALRADMDALPLQR >Dexi9A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:9A:6503188:6505101:-1 gene:Dexi9A01G0010640 transcript:Dexi9A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQQSASAASSSASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGITTAGSDHASPAVHAFAVADAVNQAVSVIVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADSWPAVEHLAQDRHAARDGFSGASFELPQETLAAGDEFSSNIAPATTVATGDEPPPEEAAPVEKDPFAASDLINNKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDRAITGLELLATSGQPPNAATGTPLENLLVTKRTEMAAPELFIAEEINAEFKESVLVRVGLKGTIFLRTLPLNKAAGKETEFSFRLEGTSGMKRAALQSNVLSNLQNGLFHVRTVSKEEPIPLLKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHISDIPLKGPAGRLRARMPVDQDSKDSELEVIGMVKFAYQGPFTLSGIKLCPATEGIAHFNEVGHTFSSGSYLCI >Dexi1A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:1A:25610133:25611252:-1 gene:Dexi1A01G0018360 transcript:Dexi1A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPWPFGTPHERRDVPKREHRPNQSLPRSYPAAVSDRADDLTRMHSTGRSASGHHALTWTRHAPKGASWSSRAPHADVLLPDCFDDCGNCCVTCLCPCITFGQVAEIVDRGSTSCGTSGALYTLIMLLTGCQCVFSCFYRAKMRAQYGLQESPCADCCVHWCCECCALCQEYRELKKRGFDMKLGWHAIMERQGRTAATMPPQMHPGMTR >Dexi7A01G0020910.1:cds pep primary_assembly:Fonio_CM05836:7A:29610296:29613336:1 gene:Dexi7A01G0020910 transcript:Dexi7A01G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSCSHSCSALHQTPRSARRLRGTGLGPGQTRSPQPRRTTILCVGTTSGRDSRKVNATKGFYVSDVDATLQGIPSRKAVEVEKVMIQGLPEGPDSSPISTGFWEWKPKLTVYYERSGTENSKAPAVLFLPGFGVGTFHFEKQLRDLGRDHKVWTMDFLGQGMSLPYEDPAPSGMAGEQSEEAFWGFGQDSQPWAEELVYSVDLWQNQVQRFVEEVIREPVYIVGNSLGGFVALYFAASSPHLVKGVTLLNATPFWGFFPNPATSPRLSKIFPWAGTFPLPSFVRKLTEAVWQKISDPRSIHDILKQVYADHSTNVDKVFSRIVEITQHPAAAASFASIMFAPRGQISFQEAISRCQSQGVPISLMYGREDPWVRPIWGIKVKQQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNLESEGSIDLPFLEEPSYAEHGVSKELEFVREGSRKSVSVRLYGSKISLWSQLSSFLNTRASNSRVVSR >DexiUA01G0018980.1:cds pep primary_assembly:Fonio_CM05836:UA:39764302:39765195:-1 gene:DexiUA01G0018980 transcript:DexiUA01G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALADLASHPNDVSFSDCLGWEGFPSILWSVLQALDYPTPPQYERTIIRDRGVVRSRVRLVVYRHPPCPSSPTWTVEVHGHHMETTCELAAMNGISSFVTQNKELVEHQLLGLFPPNQPDDPHWMRRYLSSPLRMAESPVAAAALMMRWMRAYHRLQALLSRSQSELLNTAMDMSARARDIGVERTSLSIEVTTRDAMIADLERQLNDLQIAHNNTQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLFEQQGGDVDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi1B01G0005770.1:cds pep primary_assembly:Fonio_CM05836:1B:4703376:4703654:1 gene:Dexi1B01G0005770 transcript:Dexi1B01G0005770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALYNEIRGMKVREVPAYLKPRLTWGNVKKSADQAVDRYIEKYIETSSPDPLFHVCFGGMAFSYLVALPWERAHLAHLEEMERTGGKHH >Dexi8A01G0008650.1:cds pep primary_assembly:Fonio_CM05836:8A:10575777:10578293:1 gene:Dexi8A01G0008650 transcript:Dexi8A01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPLRLLSQSSSPRPPQDPSPRTVPPLHAWLPRRRQLRAVRCASSSLPPPPSLDLPLLPFQPAEVLIPSETKTLHLYEARYIALLEEALYKRKNSFVHFVLDPVVDSSTKASFAVRYGCLVHIESVQKLEIGALISIRGVCRVNISNLLDVSSFLLFKKNEILSRILSGTLNKPSSKMIEIPCPLMEPYFRGTVSPMMDEPYESIELGKRISKLRESMCSLHSLQMKLKVPEDEPLQTNIRASLLWSEKENYEAYNESFIPGYPERLSFAAHQTVSGMSDAELLTLQKYKIRAMDSTDTLQRLNDD >Dexi9B01G0039220.1:cds pep primary_assembly:Fonio_CM05836:9B:39988327:39990457:1 gene:Dexi9B01G0039220 transcript:Dexi9B01G0039220.1 gene_biotype:protein_coding transcript_biotype:protein_coding TEKWARFWFSMPAERPPPPFTPPPPPPAPPQYGPLPKPSSVASLYALASDFLDRAKTVVGSDAISSTTTSSARRAVAELTAPASKAAPAATTNNFTWTLSSRAVHWIIVAAVLLVLCVVACFLRRRRRRRRRRPALPVLLPGQQLPPPMVYHKDGPTTWPVLQQATPSEFYFAQQQQQRRPTPPQTSGTFSDAGTDVVAELPTGGSHSYEQLADATDGCFNILPGYPKIIHRDVKASNILLDHNFEPKVADFGLAKYQPGDHTHVSTRVMGTFGSVLLSYIAPEFLSSGKLTDKADVFSYGVVFLELITGRLPVQSSQSYMDDTLVGWARPLI >Dexi3B01G0025250.1:cds pep primary_assembly:Fonio_CM05836:3B:20035512:20036817:-1 gene:Dexi3B01G0025250 transcript:Dexi3B01G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASATAANLNAMTTALVFAVLAFAYAAEPAQAHQCGAQAGGALCPNNLCCSKYGYCGRSCDHCGAGCQSQCVAAGGAHGAAPATKPPRCGAQAGGAACPDGLCCSRYGFCGLGVDHCGDGCQGKCARGVASVLTRDVFERMLPHRDDAACPARGFYTYDAFAAAARAFPAFAATGDATARKREVAAFLAQTSHETSGGPYSWGYCHKEVKGATSDYCVPSSPWPCAPNKTYHARGPMQISYNYNYGAAGQAIGADLLSNPDMVTADPVVAFKTALWLWMTPRSPTEPSCHAVATGQWAPAEADRVAGRKVGYGLTTSILTSGLQCGTTSGRVAFYKRYCDVTGVSYGPNLDCAGQAPFDGIIVLSAAQ >Dexi3A01G0033540.1:cds pep primary_assembly:Fonio_CM05836:3A:38269127:38269421:1 gene:Dexi3A01G0033540 transcript:Dexi3A01G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPASAAFSIAYIHAICVVLEIIVSCMFYRSCKRSEADELTELELEEKGDLQASALSFLSPSASV >DexiUA01G0007560.1:cds pep primary_assembly:Fonio_CM05836:UA:14166595:14168248:-1 gene:DexiUA01G0007560 transcript:DexiUA01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTGRYGALEPFGASIKAVQCNNGTEFDNLSSRTHFLTRGVHLRMSCPYTSSQNGKAERIIRSINNVASMPPSYWVEALSTATLLLNILPTKTLAFATPHLALFGTPATYDHLWVFGCKCYPNLSATARHKLAPRSALCRDDPRSPATFDFLNATDSVPVMVFPLLPCPATPPAPLPVPLMLCLGRPCLPRDLAAASRATRGHDDASRTTCGHDDASSRATRGHVDASTCATRGHVDASRCLACLRASWMALGPVASTMCAWSPARLPSTAWYLEITRVYTRRAPAASPAVCPAAPAPVPRGAVAVPPVTNQHSMATHGKSGFRVPALPKTFRSALAGPLWRAAMEEEHDALMKNHTWELVPCPAAANVVTGKWIFKHKFNADGSLERYKARWVLRGFTQRPGVDFAETFSPVVKPATIRTVLSLALTRH >Dexi9B01G0036240.1:cds pep primary_assembly:Fonio_CM05836:9B:37785498:37788093:1 gene:Dexi9B01G0036240 transcript:Dexi9B01G0036240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQRLENGQSGAIVMASNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYSRWQEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKVHA >Dexi9A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:9A:8404110:8405942:1 gene:Dexi9A01G0013080 transcript:Dexi9A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQCQRLPGIGCSSIALGARARVQQCLAAASHAPKLLPLPLLRANGGRSPASVKAGNAMGLSLRRRRDLRVVVAEAAAAKVTQLSPAAGGVSISDVLWPSAGAFLAMAVLGKLDQVVALKGVSLTIAPLGAVCCVLFSAPSSPAAKKYNMFVAQIGCAAIGVLALSLFGPGWLARGAALSASIAFMTITGASHPPGKIPMRIMQSARGGGLPKEELQVLNQAV >Dexi8A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:8A:26576229:26576676:1 gene:Dexi8A01G0015480 transcript:Dexi8A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPYGGSAANDSADDYSEAATVVRFDPPLPLLRAPVPSAAPGEPPVLAFRDADSWRAAWDAAEASLISQCELAEVPHASHVRR >DexiUA01G0004380.1:cds pep primary_assembly:Fonio_CM05836:UA:8508100:8509428:-1 gene:DexiUA01G0004380 transcript:DexiUA01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLPPPPPATTNATWLILDRFVHYSRRRRGVIGGDATTSSLAEDCAGRHVRVSLRIADPPAISRLYLHWPGRPHTDLPFSKPIAIAAHRSSILFRMTVPFDDNTWWHDTPSFPVEHFVYSSPSSPSSLSPPSLISLPPCFYGGDVDPVLDKFFRQHRRQRQRIMFNAEMGILCHGGDSFTISPDMKIDLDSWRTDVVVPIGTSLCWVDYYQGMLLVDVLGDHRQVHGIRLPAQALNKCRRRYNDAGDPDPFRHVGGTDDGIIKLVCVFVKDPPSGDDFKIVTWTLVDIGKGTWRKDVDTIMRADEFFGVIYSSAAQSCLPRVQPSFPVMSLVDPDVICFLLIKEEDCNRTWMVEVNMRCKLLLSSALYINEEEEEGHPSEKDCTKGFYGHYFIPTNFSSYSSKDAITR >Dexi9A01G0021080.1:cds pep primary_assembly:Fonio_CM05836:9A:16070992:16075013:-1 gene:Dexi9A01G0021080 transcript:Dexi9A01G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSVTGGLAAGSHMRDELHVMRGNDEPGGAKARAAADVKTCRVCGDEVGAREDGQPFVACTECGFPVCRPCYEYERSDGSQCCPQCNTRYKRHKGCPRVDGDEDEGPEMDDFEEEFPAAKSPKKPHEPVPFDDLEAEREMEGSMEWKDRIDKWKTKQEKRGNKLNHDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSQINPYRIVIVLRLVVLCFFLKFRITTPATDAVPLWLASVVCELWFALSWILDQLPKWAPVTRETYLDRLALRYDRDGEACRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRTSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFAVEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAEKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKARKDKKGGGGDSGDEPRRGLLGFYRKRSKKEKLGGGGSVASGKKGGLYKKHQRAFELEEIEEGLEGYEELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEDILTGFEMHCRGWKSVYCTPTRPAFKGSAPINLSDRLHQLNNLASIWFIALFMSIIATGVLELRWSGVSLEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKAKGPILKPCGVEC >Dexi2B01G0020210.1:cds pep primary_assembly:Fonio_CM05836:2B:30344545:30347227:1 gene:Dexi2B01G0020210 transcript:Dexi2B01G0020210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRLLLISCLPAVWLLAATAAQSSPGGCTTMCGDIDVPYPFGLDEPRCAINAGFQLNCTTTTTAGGGTTPTLLYKNAEVTNISVPDGKLWLKTIISRQCYNHTTNQTISDNAWINLAGSPYVLSADDNKVIVLGCRSMAYMLSDSVDLPRGVRYYQGFFNELYNTTEIWRTYPCNYVTVMETAAFSFSTTYVTSTAFYDADDSRNPVVMEWGITQQTCEQAKTNKTTPYACLSNHSDCVDGDAGYRCRCSSGFEGNPYNIDGCTDINECLDKKNKLEDILDDQIKNNESIEYLEEIADLARQCLEMSGINRPSMKEVADKLDRLRKIMQHPWAHDNPEELDRLLGESPLVNSTSTTGNFSITKKAAMGLELGR >Dexi2B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:2B:12364453:12370103:1 gene:Dexi2B01G0010840 transcript:Dexi2B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVRKSVPLRLLSRRPLNPFFLPPRSCPRRLPLLPRAAMGSVPGDGARLAYPPARRDDSVVDNYHGVQIPDPYRWLEDPDSEETKEFVARQAELAEEVLAGCPDRENLRREVTRLFDHPRYGAPFRRGNKYFYFHNSGLQAQSVLYMQDDLDGTAEVLLDPNTLSKDGTVALSTYSISEDGNYIAYGLSESGSDWVSIHVMNVTNKQPMPDKLSWVKFSSISWTHDGKGFFYSRIIYCVWNVPHNLSFSLIYFCREGGELDAGTETNINLNHQIYYHVLGSNQSEDVLCWKDPEHPKYSFGASVTEDGKYIILGIYEGCDPVNKLYYCEISSLPQGIEGFRERKEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKSAPKNKLVRVNIKNPEQWTDVLPEHENDVLESADAINNNQLLVCYMSDVKHILQIRDLRTGNFIHQLPLEIGSVSEISCRREDKEVFISFTSFLSPGIIYRCNLAPTIPEMKVFREVSVPGFDRTSFQAKQVFVPSKDGTKIPMFVMSKKDINLDGSHPTLLYGYGGFNISLTPSFSVGRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISNGYTSSKRLCIEGGSNGGLLIAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYVCF >Dexi5A01G0009830.1:cds pep primary_assembly:Fonio_CM05836:5A:7356671:7357284:1 gene:Dexi5A01G0009830 transcript:Dexi5A01G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGGGNASQGRGTQNSKDEARIINQSTSNELGEEGGREGRKEGGSGEAHRVEQDAPVEILPYPGLSVAAGDWVGSVRAAAAASAESPSGEAQYSPMSSSPRVRALLLSRRPPPQGRGSRRVGENGKLERARKRGGQEKEGGEAGTAAGVATCERCVFGNGTEIGQWEGS >Dexi9A01G0043360.1:cds pep primary_assembly:Fonio_CM05836:9A:46846249:46848667:1 gene:Dexi9A01G0043360 transcript:Dexi9A01G0043360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPNASAGVTRRLDPERWAVAEGHTAELIARIQPNADSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEVDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDVTGTICVALF >Dexi1A01G0024250.1:cds pep primary_assembly:Fonio_CM05836:1A:30853439:30855916:-1 gene:Dexi1A01G0024250 transcript:Dexi1A01G0024250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCYICLYGAHYILSFLGSSVKVTGVNMIAGGGYFDGSHDHILMEGSMIHDSSPSSIYDNTNAEQQNFIRLAPFSIEDHPKPANHTSEPARVIDHIQHQLGIDMEQDHIGHVIQEVHPVETANLVPAIYGVQDYILSHQLGEGPHNITVEQQILDYDAASYRNGAYAAGHDLLNSLHIQRCSLTPELPPTEHIFGDSAQNTLNHLEMNSDLPGAAIHESGMMFSDSTLPLSYHAAQSHLLKDLYHSLPQNYGLFTSDDERDGMVGVPGVSGNIFQEIDGRQFDTSILGSRRQKGGFGKGKGKANFATERERREQLNVKYGALRSLFPNPTKNDRASIVGDAIEYINELNRTVKELNILVEKKRNSTDRRKKLKLDDEAADDGESSSMQPVSDDQTNQMNGVIRSSWVQRRSKECDVDVRIVDDEINIKFTEKKRANSLLCAAKVLEKLHLELIHVVGGIIGDHHIFMFNTKIPKGYSVYACAVAKKLLEAMEMKNQALDIFN >Dexi5B01G0027840.1:cds pep primary_assembly:Fonio_CM05836:5B:29381552:29381984:1 gene:Dexi5B01G0027840 transcript:Dexi5B01G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding REQSKLGGDAMLEGKAMVEDTDMPVKMQAQAMSAASRALDRFDVLDYRSIAAHIKKEFDTIHGPGWQCVVGSSFGCYFTHSKGSFIYFRLESLRFLVFKGAAA >Dexi6A01G0009050.1:cds pep primary_assembly:Fonio_CM05836:6A:10056029:10060555:1 gene:Dexi6A01G0009050 transcript:Dexi6A01G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSAATELVAALLRGRVPPELLGGEGAEGRRALVATLAAAVLGAALFVLWRRAAAGKKRKREVVVAAEAAKGKARDAKPVEDAADDGRKKVTVFFGTQTGTAEGFAKSLAEEAKARYDKAIFKVVDLDDYAAEDEEYEEKLKKEKLALFFVATYGDGEPTDNAARFYKWFSEGNERGVWLSDLEYAVFGLGNRQYEHFNKVAKVVDDLLTEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVPTGTTYTAAIPEYRVEFIKPEEAAHLERTFSLANGYTVHDAQHPCQANVAVRRELHTPASDRSCTHLEFDIAGSGLMYETGDHVGVYTENCAEVVEEAEKLLGYSPETFFTIHADKEDGTPLGSGSLAPPFPSPITVRNALARYADLMNSPKKSSLVALATYASDPSEADRLKFLASPSGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSLAPTRIHVTCALVNETTPAGRVHKGVCSTWIKNAVPSEESKECSWAPIFVRQSNFKLPSDPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGRSVFFFGCRNSKMDYIYEDELNNFLEQGALSELVLAFSRQGPTKEYVQHKMAQKAPEIWDMISQGAYIYVCGDAKGMARDVHKVLHTIVQEQGSLDSSKAESFVKNLQMESRYLRDVW >Dexi4B01G0015890.1:cds pep primary_assembly:Fonio_CM05836:4B:17854470:17856017:1 gene:Dexi4B01G0015890 transcript:Dexi4B01G0015890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTFQWSRPGSFVLCAVVFALSAAVSDANIGDFDDYWRQRKLMADAAAEATYKHDPIEVANQLNRAKEEISARRQMLGQKKGKSVGPCKATNPIDRCWRCREDWATDRKRLARCAQGFGRNTTGGLAGKFYVVTDGTDDDVVNPRPGTLRWAVIQLEPLWIIFAKTMIIKLKEELIIRGDKTIDGRGVQVRITNGAQLTVQFANNVIIHNIHINDLVSSNKNGGYVRDSPEHFGWRTVSDGDGITVFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHMTNHNDVMLFSSSDSQPEDQMMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKAPTIISQGNRYIAPPNLAAKQVTKQHDAPESEWKNWVWHSEDDLFMEGAYFTVTGGQINRQFNKKDLIKPKPGSYVTRLTRFAGSLDCSPGKPC >Dexi4B01G0017700.1:cds pep primary_assembly:Fonio_CM05836:4B:20047898:20049199:-1 gene:Dexi4B01G0017700 transcript:Dexi4B01G0017700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGLARRLRSLCITGDLSNAVRLLCQSPVCPGARTYALLLQECVNRRDARLGKRIHARMIATGFRCGEYITTKLLIFYVKIGDLVCARKLFDGMPHRSIVAWNAMISGCARGGGAEAQELAVELFDAMRASGTAPDQFTFASVLCACARLAALWHGRRVHAVAAKSDVVAGGNVFVNSALVDMYLKCSCADDARRAFAAAPERNVTMWTAAISGHGQQGRAAEALELFDRMADDGFGPNDVTFLAVLSACAHAGLVDEGLRRFASMSSEHGVTPRAPHYAAVVDMLARVGRLRDAYELVKNLPDCQEHSVVWGALLGACRKHGGDVALVELAARRFFRLQPENAGKYVVLANTYAAREMWDSVASAHEAMRALGVKKERAWSAIEVQGKKHTFLAGDTYHDDYSAIYEVCTALGSAVTERSVAATDGVSHC >DexiUA01G0023440.1:cds pep primary_assembly:Fonio_CM05836:UA:47865932:47867172:1 gene:DexiUA01G0023440 transcript:DexiUA01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGGWEVKARRRREVRLPGKEEDKKSPALLKCATPYEDLLPFCSAHSPFTRLSKRRKGGQQIDSVPLLFRCTRFISPTHKEGRGGKKAALASHPRKKGREDFIHPSSRVGAGRPDPPENRTCGSPRMRLTPSELLNPLLVRKLIRV >Dexi4B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:4B:3784180:3786553:-1 gene:Dexi4B01G0005350 transcript:Dexi4B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLGSAMAPGVLLHRRALPHASFIWGRGRCAGGRSSTNLALSASSNGAAVPSLTSDSEKKGPVIMEIPLDKIRRPLMRTRANDPVKVQELMDSIRVIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >Dexi2B01G0028350.1:cds pep primary_assembly:Fonio_CM05836:2B:37023426:37025517:1 gene:Dexi2B01G0028350 transcript:Dexi2B01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRPLPSPLAALPLLCLLLVASTLPALCRDAPSDSVAVATPAGSSIHQLLKDHGLPGGLLPRGVESYTLNESSGLLEARLSAPCYAKYDNGDLAFFDTMVRGNLSKGALRGVEGLAQEELFVWLPVKGILVAGEQPGVIVFDIGYAHKSLSRSLFEDPPDCKPSAAAGMSAAAAAARWKDRQGEILARLYCFRFVRGMAPFSIC >Dexi2B01G0028400.1:cds pep primary_assembly:Fonio_CM05836:2B:37056772:37062452:1 gene:Dexi2B01G0028400 transcript:Dexi2B01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPGTDPATRGSGAGAWPAAAMDRAELATEQVLKRDIPWEHYMSTKLISGTCLQLLRRYDHKPESQRAPLLEEDGPSYVRVFLNILRSVSKEETVEYVLALIDEMLATNPKRTALLLWKGNWFVQEKSCKILTDIISARPKLQNGMLPNGDSSNSKSKLTSTHDVLRGLVDWLCSQLRNPTHPSCSIPTAIHCLSTLLREPYVRTLFVQADGIKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTKVIPKLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPQVVQNLKAQAWTDEDLLDALNQLEVGLKDNLKKLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENTEVRKNALLCVQRLFLGAKYASFLQA >Dexi2A01G0027040.1:cds pep primary_assembly:Fonio_CM05836:2A:38476322:38480173:-1 gene:Dexi2A01G0027040 transcript:Dexi2A01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAAASRIAAAAASRNSAAEPRVLSRACAKAPALPPLQTFSRTFSKSAAAAATATSPSRGASSPPAGPRPEIRLNSMFLSKPCSLALPPDSPLRAADPQYEGIKRFLLTLLLFYIFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYEMYNHDVELRVSKAGVNLLLIKWMKELEKIFYGNIVKYDAAISPEACQDDLVNVIWRNIYAEEGSEAMDAAAAPAVQASSFPNGKDSH >Dexi9B01G0026160.1:cds pep primary_assembly:Fonio_CM05836:9B:27979316:27981151:1 gene:Dexi9B01G0026160 transcript:Dexi9B01G0026160.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFDFLPIFFTGGEFCGALAYYGVGTNLVSYLTKEHNQSNVVAASNIASWQGTCYLSPLLGAFLADSYWGRHRTILISLTTFTVGMILLTLSAIVPASFHTVLVASPQDSLLFLGLFLTALGLGGIWPCVPTFGADQFDDTDAAEKAEKEIYYNWYYFAVNGGFFFASTILVWVQDNCGWALGFGIPTLFSVVGIVGFLASMKLYRYQKPGGSALTRICQVVVAATRKITVDVPNDSSLLYELPGKESAIVGSRKLMHTDGLRFFDRAATITSSDGKFFDAPNPWKLCTVTQVEELKIFARMLPVFLTGIIFNTAEAFFPLFIEQGGVMDNHIAHFSIPPASLTTFNCLCILIMAPAYNKVLMPMVSKITGTKRGLSELQRIGVGMVFAILSLVSAAIVEMVRLHIARKKGLVHQNAAVPMNIMWQAPQYFFVGVAKVFSVVGFIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSVMLMFIDSITEGRGSQGWIPENMNEGRLDKLFWLMAGLQLLNLLAFAYCSMRYKRKIAT >Dexi3A01G0001120.1:cds pep primary_assembly:Fonio_CM05836:3A:828804:829240:1 gene:Dexi3A01G0001120 transcript:Dexi3A01G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHAANGMRTCLPWGQGAPSRRCVESRRPRYHPTVNAHLLPYSVSTHHHYSSSSSARPLPPPIGDQVLPDRQCSRAYTAALRPPLKPPAIGSKNPRNTNRRHVDYHPAGDDLAAGRSTYRPPGCGGYSA >Dexi1A01G0022360.1:cds pep primary_assembly:Fonio_CM05836:1A:29035157:29036104:1 gene:Dexi1A01G0022360 transcript:Dexi1A01G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANARIHAPTTSSSSSDSGAGSCVINGAHESNNDRPHKHVKRKRAASPPASPGGHRDPDAAVEAERGEEGSSSGGGRRKRGGGATAGTRHPTYRGVRMRVWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAAHLNFPHLAHELPRPASASPADIQAAAAMAAASAAADDVEQCESSSPAAETPSSSVASTEKVATVAMATAAAAATGADVEQCESSSSPAAAETTSSSAASSLENGEENVVFDLPDLLFDLSDGLCWSPIWAAAPAAAEEYDGESLHGPPLLWGDDDQCWMDAAASVQQPD >Dexi3A01G0013030.1:cds pep primary_assembly:Fonio_CM05836:3A:9468373:9471355:1 gene:Dexi3A01G0013030 transcript:Dexi3A01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTVAAGRAGGVLPLASLNHISIVCRSVEESLRFYTDVLGFVPIRRPGSFDFDGAWLFNYGIGIHLLQSEDPGSLPEKREINPKDNHISFQCESMAAVERRLKEMGIPYVVRCVEEGGINVDQIFFHDPDGFMIEICNCDNLPVVPIAGDRVPVLGACKRAAAAAVKQQQQQQSSAVPVPSSAPAAAQCVPSAAAAIRVGEEAHISCA >Dexi9B01G0041240.1:cds pep primary_assembly:Fonio_CM05836:9B:41672595:41677954:1 gene:Dexi9B01G0041240 transcript:Dexi9B01G0041240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMMASITSELLFFLPFILLALLTFYTTTAAKCHGAHRWHHRTKKKRPNLPPGSSGWPFVGETFGYLRPHLATSVGRFMEEHVSRYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDAHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRSWPPSDSDGPFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRASILGVIERKMEERLEKMSKENSTVEEDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELWEEHLEIARRQRLRGASKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPKGWKILPVLAAVHLDSSLYEDPNQFNPWRWKSNAPSSFMPYGGGPRLCAGSELAKLEMAIFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVQRIADEHSVLTGSTSV >Dexi5B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:5B:9431954:9432190:-1 gene:Dexi5B01G0013290 transcript:Dexi5B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAPATAPTRTPVSSSFFPSSRAVSFCSGTYRPNHSAAAASASAPWWRRRDPAVSVAAGSAQSAPGALAVDPKV >Dexi7B01G0000240.1:cds pep primary_assembly:Fonio_CM05836:7B:865192:873483:1 gene:Dexi7B01G0000240 transcript:Dexi7B01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFDRQDEEDDFDGVPRGSLSEIPRVVEAMWQQQKQKLNRRFLIIFRNGSSKEINLESMFGFPLSGYSRNKVLWTFQGRFKLMPRMKVVEAVSSTGATDVFLSVSHNKRDPHDLWSYLVREEAADVTSKETAGGNDRLPQVVEFFSYMWKLSCMGHRFRMDYDLATHGCNYWILSVLKLSHCSFRFSSPPFLNCHNLRFLWLDYCEEASSKDEEAAEEQEIRQCFQRLWLLDVRYTPCGWILSAKMMDLMTHLRELNVVGAQEWDIGQLQGRLPNIRKLRVIKADSIEAAAGRILHELKEGGDTGRSTSREHMIYFDGWDGLGASAVLRAVAQRLALGAPEAHGELRFDHIIHVDCSKWESRRALQREIAAQLDLPAHVMKLLDVQDEEDDFNGVARASRAEVPRVLEAMYQRIQKLNHRDPQELCSYLLHQEAAQVVVALEKNNISGHIIGASKVIECFLYKLKICCIGYHFNMLDMDYDLATHCSNYWVCDAIIQQGEGDAVDGEIEDGSWQTTADALQLAMPFDVDYHHQQPYLPPPSHIIMCGESRTTPPPYDMHLPIPVGVIPNADMFRYFDKNLRVLKLYRRTFNFSTPPFLYCHSLKFLWLEHCQDQEISSNTVDRAGKEDAIGRCFQNLWVLDVRYTSCDRILSAHMLDLMAQLRELNVMGAQDWDIGQLQGQLSNIRKLRVTKSAIKCTNGLQDKLFSGMNKMELIDFSGNRVLSGMASLSAVSNSTNNTLETIIIADGCAGLQNISFKGCTKLKSLVLGGLFDELCSIDISGTAVKTLDLSAMTAQKLSELFLLDCDKLCAILWPPEEKRKRYLDELRIDTTQLASTTWTGKEKANQGTRSGKSSLVRGVRAPSDCSWYISVKDTRLLRSVVLLREDFEIHDVHMEISSAKHPAVDVGGSKNHAGIIKGGYIAGSEQPSMQKQKTDEANDALVYADVADVTIKDHLFQATELGDAQTITPTWPCPNAPYTYSSYCYMYIQDKVTANKLPTDHEEYTTGSAISIPDFFCDNAGILHVHDSLSITSIPGHAGWDLLLWCVVERCPMLEFIFTVPRLGSGQGVGSCDIFINLRTLWVSQLPKARLMWDTSKLSLGQISGRSFEFLEFLHLDFCPRLIHLFPFSTGFSMRMLHTIEIVWCGDLRTIFPLHSDTESYQEQEQQPRRATTTVEFQSLKRIHLHELPMLRSICGSGRMYAPNLKTIKIRGCWSLTRLPVVGSRDSRREKVECDCEKDWWDRLQWDGPQANHLPSLYKPIHPRYYKKTLLRGSVLL >Dexi9B01G0047700.1:cds pep primary_assembly:Fonio_CM05836:9B:46732044:46736641:1 gene:Dexi9B01G0047700 transcript:Dexi9B01G0047700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDAVGPWRGPIGSHVRGSTTRQSPTAPVPRTGRPRRRVSRVSSSWQSHTKAEGLEGLRFTSRREARSGRGKMATPPPPLRRATMLLVAILVGVIASTASADLVITRADRRVDLTSHIVRVLASLKCSCLCGIWPCSLHSFHFVQVENVGPDPVSQVLISFPNIQAKNLAAIRAFGTEGKVKGQSTVLPIEVVQPSGAPPELTFFSALLPKPLEKGKILHLDVLTVFTHSLQPFPEEITQAEAQLVVYQDSAHYLSPYPVKSQSLTIRLPGGRVESYTRHPSAKLVDSELKYGASEDLPPFSYLPVIVHFENNNPFAVAKEVIREIEISHWGNVQITEHYTITHGGAKLKGEFSRIDYQSRPYVRGVSSFRNLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKVVLPEGSKDIEVSAPFPTKQWQEVKYSHLDIVGRPVVVLEKPDVIPEHNLYFQVYYKFNNISLLQEPLMLITGFFLLFVACIVYMRTDMSISKSSPSYLAKLQWDEVQATVQKIQGLFEQCLAVHDKLEASLRDLSRTGDIQSCKAARKAADAQFKELSKELKPLLTYLQSSPQSYQIWPKVEELITKEREMQEKLMTRHSTVVDSFEKKLRGQDIENRIALQQQKIAALRQEVESLLEYISEI >Dexi6A01G0005950.1:cds pep primary_assembly:Fonio_CM05836:6A:5491578:5493205:-1 gene:Dexi6A01G0005950 transcript:Dexi6A01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAIDPHPHVLRGFEVVPPNAPPTRLYAYLGGVMDAYNEDLAIAFLLPAVAKEDLQELAEAIKSYFRQELGVRLNEVQPSPIGDAFMRFGSPIERERFLDRVIQFGHGYTLRFIKHDEGNHIHLHDEAKIPDDVVVSMDLEPRCDEPVVDVLPGDVVGVQPSPPLIVPSLTPLGFENVMIKVNNCFFHIHFLPKPINFFGPRQIKYFFCNLDTIVPSYISDEYTWRFLASIAFDPMAQEERRPGFIGPLPLPKPMVSYPASDDEEVQEIESLPPSSSTRKRRRRMMREPLDVAFLRRSARLAQDDDFINNASAEAAVADNPSVYTAQHGSSSSAAPYLNIETIQGIATGYLQIQPGAISAAALFELDDEDINPNV >Dexi6A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:6A:3607895:3608821:1 gene:Dexi6A01G0003920 transcript:Dexi6A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIFTEEQLKKITNRYSTPIGEGAFGKVFGTIDNAQRVAVKRASMTGEVLPQEEFVNEITFQFRISHTNVVRLLGCCLETDIPMLVFEFVPKGSLYNVLHGAHEPNKLSLEERLGIAIGSAEALAHMHSHGGHNHVHGDIKTGNILLDDDLTPKVSDFGSSKLVSVASLYSKWCVSGDMTYIDPVYIKSGRFTEKSDVYSFAVVLLELITRKTAKYDFVKCYKEEGNGRKLYDTDIKKNDGAQSCSHMECLDMIGKLVVRCLKEDVDERPTMAEVVEKLKEVKSKASGDESTQMKKADC >Dexi2A01G0034730.1:cds pep primary_assembly:Fonio_CM05836:2A:44586527:44590226:-1 gene:Dexi2A01G0034730 transcript:Dexi2A01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSLPEGALFLGFDSSTQSMKATVLNNELAIVASEIVNFDSELPHYKTEGGVYRDSADDGHIFSPTIMWVEALELLLEKLKSKIDFSKVVAVSGSGQQHGSVYWKKGSQAVLSSLDSSKSLLLQLKDAFSTMNSPIWMDSSTTKQCREIEHAVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYADTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGQIAPYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPGGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNNYLEETSPLNGGKLGFYYKDHEILPPLPVGFHRYVVENINDASSDNLIEHEVEEFDPPSEVRAIIEGQMLSMRGHAERFGMPNPPKRIIATGGASSNESILKALAQIFGCPVFTVQRPDSASLGAALRATHGWLCNAKGSFVPISCLYEGNLEKTSLGSKLAVSAGDKEEDRELLKKYTLLVRKRMEIERRLVEKIGRA >Dexi1B01G0024030.1:cds pep primary_assembly:Fonio_CM05836:1B:29500183:29503419:-1 gene:Dexi1B01G0024030 transcript:Dexi1B01G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPRRRGGRATTSLALLHLLLACVAACSIPAVRAQATTFTGPVDGREITNFSFPSFDKSLQQSNKPLTFSSNTSVSQGSLQVTPDSINDPQKFLVNQAGQIFYSTPFVLWASNASNSTAADGRHVASFSTVFRANLYRGANRSVKGEGFAFVVASAINGPPPGSYGAYLGLTNASTDGLATNGFAAVELDTVKQPFDPDDNHVGLDINGVHSKVANPLAPFGIELAPNDTTETNDGSNYVWVDYNGTARYVRVYMSPNATKPATPVLNASLDISAILFDKIGYFGFSASTGEDYQLNCVNMWNMTVEVLPHDRDSKKLSGWKLGVVIGVPCGAVALALGLLAGVYLMKKRKKVGDDPSSVFNSAIDLRSIPGVPKEFDFKELRKGTNNFDEKMKLGQGGYGVVYRATVAGDNGRSMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHDNGVLLLVYDYMPNGSLDRHLFGGKDTTTLDWQQRHTVVAGVASALNYLHHEFDQTVIHRDIKPSNIMLDTSFHARLGDFGLARALESDKTSYTDKVGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEVVCGRRISCSNPAGCSQLLEGVWKLHGAGRILEAVDPRLAGEYDQEEAERLLLLGLACSHPNPRKRPTARDILQNLQTRSVPPLPVPTSKPVFMWPVPLAEGEEGVYGDETTQTSMSHSEVTVSDVTSSSYYAYASSSGYTTQNYPVSRDAVERDVTTV >Dexi9B01G0040670.1:cds pep primary_assembly:Fonio_CM05836:9B:41178178:41180107:1 gene:Dexi9B01G0040670 transcript:Dexi9B01G0040670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSRVFFVVLVLLCILVREGSAATFMFVNRCTGTVWPGIQSNAGSSRLDPTGFVLPPGTSRAVPAPSGWSGRVWARTGCAQDGGTGKIVCATGDCGSGTLECDGQNAATPATLAEFTLAGGNGDDFYDVSLVDGYNLPILIEPAGSATGATICAAAGCTADLNARCPAELRTEGGAGGVKSSGGPTPTGPTPTLPGAGATPQMPRPEQGGPDGQGVMLGDTSWLASLATGDASSAPPTTRPAALALPASLLPLAPLLFFLLGLML >Dexi5A01G0033190.1:cds pep primary_assembly:Fonio_CM05836:5A:35569306:35570347:1 gene:Dexi5A01G0033190 transcript:Dexi5A01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALSPFLLSATILVALLATCHAGGIAVYWGQNDGEASLSETCASGNYKFVILAFVYKFGKGQTPQLDLASHCDPSSGGCKGLSKDIHSCQRRGIKVLLSIGGGDGSYGLTSEGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGAKYWDRLARDLKNMGKNKGGKGVLLSAAPQCPFPDEWDGSAINTGLFDFVWVQFYNNPECQFSSGRGAFMAAWKQWESVPAGQIFLGLPASKDAAGTGFVPAGELNSQVLPLIRGSPKYGGVMLWSKYYDDRTGYSSAIKSHV >Dexi4B01G0020180.1:cds pep primary_assembly:Fonio_CM05836:4B:22404682:22409129:1 gene:Dexi4B01G0020180 transcript:Dexi4B01G0020180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPRLSAIFAAAASPSPRAPNLALVAATERVRSGAFGAEDARHLLDELLRYGTPVPGRPLNGFLAALARAPSSSACSDGPALAVSFFCRMSRAAGPRVMSLSSHTYGILMECCTRAQRPELAMAFFGRLLRTGLGVDVITFSSLLKGLCEAKRTNEALDVVLHRMHELGCVPNVVSYSILLKSFFKEGQVAKACDLFNKMIQQGIPPDLVTYSSIIDALCKVRAMDKAEAVLSQMADKGVHPNSWTYNSLIYGYSSSGQWKDVVRVFKDMTYRGLVPDIVTWSSLMASLCKHGKYKDARDIFDMMVLKGQKPNIITYQIMLDAYATEGCVVDMTDLFDLMLRDGVAPDHKIFSVLVKGYAKCGMVDRAMIIFNEMRQHGVKPDVSTMCLLKSIVDLPTVPEDGGEDFPEEEAVSAEFVLLNSTKEGKLLKWTAAAKVDLPLHTKIVLSNDFGRTYQFWPWLACLRTSVSKGYLWAYASFQFAIVILFAHIFYIYNMVYSVL >Dexi6B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:6B:605332:606796:-1 gene:Dexi6B01G0000690 transcript:Dexi6B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLCIFYQVIWLKQFLEVEDKSAAINRTTGLDKQLKDMLKIWCRPKEKLAVGSHEYKEIIEADKELGVTCVFDDSVMEAMWGVKNLIRILVPQEQKALTMEERLPMSKGLEMILHRYGFDVKPEMVNDDIVETACFLYDIELVEKKHSRSLHMLDIDIKEISGLDSSEWRPMKLATAMKKICYPEEEFEIPPEMFSSVELLKIKEDAGKYRNRVNSYSVSEVYTELGRVYRDKEEKLRYMRSLVKAAHEDAMRLNQAS >Dexi7B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:7B:14937433:14943789:1 gene:Dexi7B01G0007110 transcript:Dexi7B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKRGQGRPREALSADSTTKENGENKGEEDMPVLKNSSTENATGGRTTASRAARKHVEDTPSVGIRAMQSGKRPDAPAVAAHPKSGPLNLAVKLPKDVKKPDLGPKAYIAYGVAQELGIGDSVTKIHCDTCDAINILTHSSELKLRAKRIEAIEKKKESLNKMLENRILQASQTDPDGHVLTAPNESTKVARPQGFKSVSSTKQPVQDVVPDELEGKSERTGQARSKKNKVGEITISLEPKDDKDPLAEENQPEGGALWDIFRREDVRKLQQYLKKHAGEFRDCNYEPVNQVDHPIHDNCFYLTKEHKRKLKEECGIEPWTFEQKLGEAIFIPAGCPHQVRNLKSCVKVALDFVSPENMRECVRLTEEFRLLPKGHRANEDKLEVCKTFVHTLLL >Dexi9A01G0010150.1:cds pep primary_assembly:Fonio_CM05836:9A:6166099:6168779:-1 gene:Dexi9A01G0010150 transcript:Dexi9A01G0010150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGEKALFILPPLACEDSGDPGVPTGSELHFEVELLSWLTVVDVYKNGGIVKKVLSTGGNKHTGDLDEVTVKYRIKLLDESVVEESPEEGSTFFVNEVVDVTGDSKVMKKTLKCGDDIRTPHEGETVHIRYTGSLEDGTVFEKFGFDVEPFEFMIDEEQVTVGLDRAVATMVKGELAEVTVKFEYGFGNTEVQRQLITVPPCSILIYEVELIDFTKEKESWEMSGHEKLEAAEKSKVDGNDLFKIGKFQRAAKKYSKALNYINEDGHFEDEVEKLVKTLRVSCWLNHAACCLKLKDFAQAISLCSKVLEIESCNVKALYRRAQACVESYDLESAKIDLRKALELDPNNKEVKLLQVNLKKLQAESDKRDGKLYGNMFHRMAKESDVVSK >Dexi1B01G0027480.1:cds pep primary_assembly:Fonio_CM05836:1B:32189587:32191937:1 gene:Dexi1B01G0027480 transcript:Dexi1B01G0027480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKRAAIDLSLAADQREKEEDHIAGDRRGKDGGVDKKEEQFKEQEEDHPIEETAGEKVVEVVVDQGGDGTKEEIKYRTQHGEEMEEDKQSEEDAGDGDDESDGAETRAEDKHVVEATAGDGDDSQTTMVQDEVNAMQEEMEKMKEENQMLRRVVDRTMRDYYELKMKLAAYQQQPADEPKAQEPEVFLSLGATAATGQGFPEPKRKGQAARRPSVGSDETDDGKGEDLGLSLSLGASSSYEEEKVEAAAAHDMDVVGADGKAKGYALLESSKLVGAPAAGGDHLAAAAGVTSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTNSSSSISEAGGGSGAAPPYLSPYLLNSHHSSASPLLSTPSSMPASVVPGGAASGMQHLNLFGHSSMASHLKYPWSASPSHGSSSDQMAGSKRPFWSTGGDEKHAATMADNVGAVMADPSKFSVAIAAAINSFMGKDGQAMSGNKDGEGSSSKSSNKWGVVESLPPP >Dexi5B01G0004520.1:cds pep primary_assembly:Fonio_CM05836:5B:3059817:3060017:-1 gene:Dexi5B01G0004520 transcript:Dexi5B01G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILASVPGSVCSASSRRRRRQKPAVGSGGLAVRCNAVLKQHKTRLYILGRCVSMLLCWHDQDAD >DexiUA01G0005330.1:cds pep primary_assembly:Fonio_CM05836:UA:9469831:9477974:1 gene:DexiUA01G0005330 transcript:DexiUA01G0005330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFQTDPAPQMDDDVQGDPVWVCYSDDEDIPDSASPTANVEGNPDGIGNEGDEVYEDEKDDEEEPKVEMITSINELGAFPLLLEDVLEALGNYTRPLYITTYSSAANYQEYYHTQVHVRAQMENASRFRTWSIHESSLLHTSYKAAVSDAARRAVTSISHQYQKELSHTEYRHLPRRRPGTEQTVVVGGGPTADPRLNVLARVTAALNTDLEGVINELSQAQERIVELEEQLFQQPSQEDVEERMANVRSPPRKKCRFGAPSSVTHFFFSRPFLFLPSRSRGPISFPGPFRRRGPVVRFLFLFFLPGLLLPRVRVAHGRDSSGESEPFPRRFAPRASFPAFISRRPTLSCLLNPKPSFRVAIAALLAAAPPGITNFDGKQDPNIWLRRYSSAIEASGGDDISKMLYFPVAMEQGPLTWLESLHPDSIDSWHALKKAFVSNYQGSFERPGSKYELRACKQKPDESLRDYNRRFFAIKASCVPIPDSEVIDYFQEGMTDRTLFRDFGHNRPRDLEEFRALVSNWMDTDDQERERYGKRPNNPGRKNQEDNRDQPRDSFQRNGNNPLKRPHNTVATVQTVRAAKSAQQRREEFNKLLKKRCPYHTDSKHTMGECVLLRETFSTPNKKQKSAGDEDDGHDKGDTGFPDINNTVNVIFGGMAVSDTSRNRKNARREAYAAEPAVVTPLWWSDTTITWSREDQWAEITSPGRYPLVLETVVANSRLTKVLIDGGSGLNLIFAKTLKSMGLDTSTLQPADTPFYGIVPGKAAIPLGQITLPVTYGTSSNYRTEFIKFEVADFETSYHAILGRPALAKFMAIPHYTYLVLKMPGPHGVLSLRGDIKRSYLCDKEAVEHAVRAASTIDRQELQPLAAAVSNPRGSNHQAQRHLHAPANALVVPLPGWLFYSPPLTAPAGKATVRWPGRAPTSTHLANNHPRHTSGRRVRASRDASYISILRGRGQVPGTRLRSRIAERTYMVEYSLVSERAG >DexiUA01G0016700.1:cds pep primary_assembly:Fonio_CM05836:UA:35647432:35649921:1 gene:DexiUA01G0016700 transcript:DexiUA01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGDFNISAQVGQGGYGKVYKGVLADGTAVAIKRAHQDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSPKTERYLSFVQRLHIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDTEGTLPAHISTVVKGTPVNLAYQSGEISSIIDSRMPSYPPECVTRFLSLAISCCKDETEARPYMADIVRELETIRSMLPEGEDILSETTGSGLLTKTMSSSSNATGALYVSSHMSGSGQVDSGVPSGTVAPR >Dexi6A01G0013470.2:cds pep primary_assembly:Fonio_CM05836:6A:20629181:20629953:1 gene:Dexi6A01G0013470 transcript:Dexi6A01G0013470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQLLATFTLSRDDIERVKDAVAAEAARGPVHLAGRQPRLCLVVQPPSQRGDADEHHHTRGEHGRRRPPDLLRPPFVDEPPRSPISTSATASAQRSPWHPRTRSPRPAAIDEAVVESRVSRRGEGGMEVGVPLQRDDMARFRTCFADAIVGLHAQRKWSGTMTIGDPRHGAVMTEIAAQHGLRRRGQRSSASTPCGARRRSSYWATATPSTSAGFSTDAIAKTNS >Dexi6A01G0013470.1:cds pep primary_assembly:Fonio_CM05836:6A:20628617:20629172:1 gene:Dexi6A01G0013470 transcript:Dexi6A01G0013470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRRLPPEQPTSSLPRRLRVLDTTLASLAARGVPPLAAHHLRHLLAPLAARGVRLTPDADVQAILSNLKLALSRAIDAFHPLAGRIRLTPGTSDRYEKHYCPGDGVAFTVAEYDNSVVDGLATVDPQEVTAVIAPIVPALPAGGAAVLAIQATLLLSSHGLAMHRRHRAPRQPSTR >Dexi9A01G0046730.1:cds pep primary_assembly:Fonio_CM05836:9A:50016120:50017823:1 gene:Dexi9A01G0046730 transcript:Dexi9A01G0046730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAMAKVSVGSPGGNRAAGCLGRRRGAVAVRFGGSWSWRKSPFVGGRMVVGPRRSRPASRNLVASSVQICQFAERNPDVQFLQVNFEEHKSMCHSLHVHVFPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHRPDRCSLGPIRGLEESELLALTANKDLKFTYTREPEVAPTMDDATEIITPDLPRLPTPPKPLVRQGSEDRALVSLGR >Dexi5B01G0038950.1:cds pep primary_assembly:Fonio_CM05836:5B:37991638:37994890:1 gene:Dexi5B01G0038950 transcript:Dexi5B01G0038950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALLFLLQHLFPLMLLLSPAMVLPARAAGDMSIAVNEEVLGLVVFKSALSDPTGALATWTESDATPCGWRCVECDPSTSRVLRLSLAGLSLSGAMPRGLDRLPALQELTLAHNNISGPLPPGLSLLASLRSLDLSHNAFSGPLPDDFALLASLRYLDLTGNAFSGPLPAAFPPTIRFLMLSDNQFSGPVPEGLSSKSPLLLHLNVSGNQLSGSPDFAGALWPLERLRTLDLSRNQLTGPVTDGIARLHNLKTLTLAGNRFSGAVPQDIGMCPHLATIDLSSNAFDGHLPDSIAQLGSLVYLSASGNRLSGEVPDWLGKLAALQHLDLSDNALTGSLPDSLGDLKALKYLSLSRNQLSGSVPASMAGCTKLAELHLRGNSLGGAIPDALFDVGLETLDMSSNALSGGVLPSGSSSTRMAAETLQWLDLSGNQLTGGIPTETMMLFSKLRYLNLSGNHDLRAQLPPELGTLRDLTVLDLRSTGLYGPIPADLCESGSLAVLQLDGNSLAGPIPDSIGKCSSLYLLSLGHNGLTGPIPAGISELKKLEILRLEYNKLSGEIPQQLGALENLLAVNISHNQLVGRLPASGVFQSLDASALEGNAGICSQLVAEPCRMNVPKPLVLDPNEVTHGGGAGDNNLETSGAGMPRKRRVLSVSAMVAICAALVIILGVVVITLLNMSARRRAEAAAGGVDPEKKNKDYPESVVDVSTTTKSSSSSSGKGNKGKLAAGKVVTFGPGSSLRSEDLVAGADALLSKATEIGRGALGTVYRASVGDGRLVAIKKLSSSTMASSRDDFEREVRVLGKAKHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGGGDGRPAMAWEERFRVVSGAARGLAHLHHAFRPPLIHYNVKPSNIFLVDERCEAVVGDFGLARLAPEQRPVTVAVGGGGYVAPELACQSLRVNEKCDIYGLGVVILEVVTGRRAIEYGDDDVVVLQDQVRVLLEHGNALDCVDPAMGGEFPEEEVLPLLKLAMVCTSQIPSNRPSMAEVVQILQVIKAPVPVRRATSRMEAAAAAAAF >Dexi3B01G0009090.1:cds pep primary_assembly:Fonio_CM05836:3B:6267892:6268338:1 gene:Dexi3B01G0009090 transcript:Dexi3B01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGKVKKAAAGRKPGGGPKKKPVTRSIKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGRLLAGVTIAHGGVLPNINPVLLPKKAAEKVEKAAKSPKKSPKK >Dexi4B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:4B:3793848:3795551:-1 gene:Dexi4B01G0005380 transcript:Dexi4B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLPVRLPPSPSPWTTVFPVFLPHRRRITPRETAREGSVSQGRGTRAARLAGAEDQRGVGWLAAVHRSRRSARLSTRASPLAPADVDGECRRRRLHPRLRTAAFSRMAALRRTGAWPWRVWARTGARPGVDETPMWFGLLPPAPRVFPAQIETRQRRWPPLHGADPLLPRADLVRTETDRPDVEDAFHAAAGPGPSPSRCGADGREAEGLGPPPPRPTQGKSEGREARGERGRRRAGAKATAGGGGCARAKQVVAADPFLSPPLRRFWYCNGRSARALEKSATHRRGAGPAYPRAIPTGVERERGGSGAAGEQGKPGMATAEGQISRNQTHIERKRHNREKGKQIEHKSRERGTNRTQIPHRPVPDATAPQTSGGAPAGSNPAARGEASASSSELKRESLVLRADLKHGDLELAADPSRHHLLHRPGLLHGELEEELEAVAGCLAAPPRQPRAAAGQIEEEEAESSSYSQGRIEEDGDGGRGRAVLLPSSFRELRLPRAQRRGLAAGQSS >Dexi9A01G0019560.1:cds pep primary_assembly:Fonio_CM05836:9A:14472400:14473429:-1 gene:Dexi9A01G0019560 transcript:Dexi9A01G0019560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRAQRIQIAAVSRLLPPAVAAPSLMMYFSSKFSYYFLSMLSTL >Dexi6B01G0005420.1:cds pep primary_assembly:Fonio_CM05836:6B:4692902:4700564:-1 gene:Dexi6B01G0005420 transcript:Dexi6B01G0005420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIDLLHGSMAVAASREEGSSTLRILVATDCHLGYFEKDEVRRFDSFDTFEEICSLAEQNKVDFLLLGGNLFHENKPSNSTLVKAIEILRHYCMNDRPVQFQVISDQAGSLQNRFGQVNYEDPNYNIGLPVFAIHGNQDGPTGVDNLSSNDILSAGNLLNYFGKTNLGCTGVGKVTIYPEFIKKGQTYVALYGLGNIRDERLNRMLHEQHAVNWMLPEIQDDTPSHDWFNILVLHQNRTKASRPSNGISELLLPRFLDLVIWGHEHECFIDPQEVPRKGFHVIQPGSSIATSLISAETKPKHVLLLEIKGTHYKPTKIPLQSVRPFEYVEVVLKDQVDVDPSDEATIHAHLHKILVQVSNLIEKVRETAASGSKPKLPLVRIKVDYSRFLTINSKKFGQKYVGKVANPQDILLFTKSGKGRKITQESANTSVEVDTNELNQQTVEALISENLQMEILSAHDLNSALHAFVNEDNKTAFHSCLQQSIDEARSKLATATEDSINIDEQQIGCVLDQDMEDPEESGSSSQQAAGRKMRAAPGSSCGGRSAAGAGGRRKTDLASFQRASTKEDDAGAAKKRRAPVAAGRYGAVIRRR >Dexi5A01G0028080.1:cds pep primary_assembly:Fonio_CM05836:5A:31428091:31431475:1 gene:Dexi5A01G0028080 transcript:Dexi5A01G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSAHSDDDESESASGDKSPPRTSEPTSADAGESDEQPDTPSRGVKGDISELTETLTRRFWGILQAREELLQSSPKKNKGSSENMSAPSTQSEDSTPLSSSIQNKSGMSEAPSVQELTSDPVPNVEAEKHPISTTEAEVIDKTVIQEELVVKTEVKSLPTEKSKPYPAEDDDEQEVDDWLQDMDHVPSKPGNTASTAEEEDVSFSDLEDD >Dexi9A01G0040820.1:cds pep primary_assembly:Fonio_CM05836:9A:44524295:44526016:-1 gene:Dexi9A01G0040820 transcript:Dexi9A01G0040820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLLRCRSQYLAAVRLLLLACACAGIVAPASAAGGRWDLLQRSIGVSAMHMQLLHNDRVIIFDRTDFGRSNLSLPNGRCRVNPLDKVLPNGDCTAYSVEYHVASNSFRSLSVFTDTWCSSGNVAPDGTLVQTGGWNDGYRNARTMPAFGGAGDKSCDWSEKQDALGANRWYATNQILPDGRAFIVGGLDQLSYEFYPKANPSDTSVVHMPFLEQTKDAVLNNLYPFVHLNIDGNLFIFANNRAILLDYKRDTVVRTSGSSVLLPLEPNPTEAEVLVCGGAPKGSYHASSSTHAHFVPALATCGRIKITDASPAWAIETMPSPRVMGDMILLPNGAEVAIINGATDGTAGWDAARAPSYAPVIYRPDHSPGDRFEEQTATGIARMYHSSVVLLRDGRLLVGGSNPHAYYNFSNVEFPTDLSLQAFSPEYLDPCNDMLRPRILHPRPKGSAASVTYGGKMKLEFSVVPAAASERRRSGRIGEVSVTMVAPSFTTHSFAMNQRVLFLEVTRITAVRGRGGKYHVSVRMPVTAVLAPPGYYMVFVVNGIVPSEEGVWVHIQ >Dexi5A01G0018130.1:cds pep primary_assembly:Fonio_CM05836:5A:21649859:21650423:-1 gene:Dexi5A01G0018130 transcript:Dexi5A01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSDWAGLVVDILESISGRLTDPTDFVRFRAVCPQWRDSIPVTHARFSPWILKSEEIGNSGDIQFYSLESGKLQNMHVPALEGKRTRLAGFGAGLLIGVDSEDELSAVLANPLTGDTSTLPRLPEWCLNCHTNGFVTDPKVTGEDDVFVVIYGSYWLPRLERLVALWRLGDAGGWATIPGFGR >Dexi1B01G0018990.1:cds pep primary_assembly:Fonio_CM05836:1B:25142573:25144117:1 gene:Dexi1B01G0018990 transcript:Dexi1B01G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGVSQTSNTFSSPCNAKRRSPPMEKSQMAPPLLHRNVDVITWSAPLNTEMASPVDANSRPPCAASFGSRKPRSSYWLTTHNDLPSSIATASTACLSSIDAGVPTSDHDQTTLFSRKSSRVTKEESVLGNIVATMSVLPIGFAERAAWRLVEEIRLLPGVAVPHGDAVLVDPSLAAGEDVASSVEGQSGRGEGPGLAIVRDAEAVARLAGERGEGRHATVVVAAPRALTRGEEERGRVEVGRVVDGRVGGVVHGAALLEHPVVHAHDAGGAAVGDAAAAVGSGADGDAVAGRAAVDGGGEAEAVGVEVAEAGGADAAEEAVVERLAVEGGFREARGHVEVARAEEVEEHREAARYSAGSSWTPEFQEASTMAPSMVSRPAEASVADVVSNTRPPTLSRTRPRVEVVVGVYMAGRSRSRKAYDSGSIGGWIRRVARGRHGRFVGCILLAYPVVGRLAYITAGQAGRL >Dexi9A01G0041190.1:cds pep primary_assembly:Fonio_CM05836:9A:44847980:44849636:1 gene:Dexi9A01G0041190 transcript:Dexi9A01G0041190.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGYEMVFRSFTVSGELNAMREPLNVVFNHQSLATLRSVSMLLINTTLHTNQELTCPVVDSRRMAVFAPSRFSIGVDASATTQLPRHRRCGSCRHNYSSGLAIARPSSSSSSCVSARRRTILASCVGYGRAPLVPASDHWGNWTFLLSTAALGIWSEKRTPVGKALSGALVSTLLGLAASSAGLVAADAPAYRVVLDYLLPLAIPLLLFRADLRRVLRSTGALLLAFLLGSAATTVGTVVAFLLVPMRSLGPDNWKIAAALMSRHIGGAVNYVAVSEALEVSPSVLAAGLAADNIICALYFTTLFAIAAKIPAEEGPDPDTKQPAVVAAGDDGDSSSNSEQLPVLHSAVAMAVAFAICKAGKLATAALGLQGGSLPCITAIVVALATLFPTQVGKLAPSGEAMAVILMQVFFAVVGANGSIANVLNTTPSIFAFAFVQIAVHLLLTLGVGRLLGIDRKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGILGIAIATFMGIAFGVFVLKYM >Dexi6B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:6B:23458004:23469277:1 gene:Dexi6B01G0016210 transcript:Dexi6B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWLSPSPAGWRRSSEPRYASGHPFADPKIWSINISIPYPFGIGDERCYREGFKLVCDTSYNPPKLFMNDFGYEVLKIKLKARVLYLDTGIHQFLGSNSYNQDLNVSLDDRVYRACPQAAAFEEYLEARFPPCSVTSSYHDGRDVLASPRSMVAPLSRAASPAARSGGRSAAELHVDARYWKATLVPPLRQMPPFPAHSNSSRLSAVANAARRRCVARRAATESRRDLIAGLVTSNITIPYPFGIGDEGCYREGFKLVCNMEQDPPMLYMNSAGYRVVHIQLREDQKSGAYWCLSDNSDCHDDPPRGYECRCQPGYKGNPYMPNGCQDIDECTQPDPPLCFGRCINTVGSYDCVCPYGTYGNPRVKDGCAPSKLKFSVSVIHRDIKTTNILLDDQFIAKVSDFGASRDIPIDQTAVTTTIQGTFGYLDPEYYQTSRLTEKSDVYSFGVILVELLTRRRPSSYISSEGFNLVSHFVLLVSEHRLCDILDPKVTEEVKAEEADKVAAVAVMCLNPKGEDRPTMRQVETGLEALQSSAENAGSNQTTEEHAVRLSHPSVEESNINASAYNLSRRYSMEDEFWSSMSFPR >Dexi6A01G0013870.1:cds pep primary_assembly:Fonio_CM05836:6A:21208108:21215076:1 gene:Dexi6A01G0013870 transcript:Dexi6A01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSNRRRRARPPRLRRLENHQHRHRLRSPLAAASWAASGTMEAIEELAELADATLQGAALMADDDPSTDDRPTRRASSFLTVVAIGNVVRIYPPSLDLPRLRRGGAGKSAVLNGLIGHPVLPTGENGATRAPVCVELQRDASLSSKAVVLQIDGKSQQVSASSLRHSLQDRLSKAGSSGKGRSEEINVKLCTSTGWFLASPALKLIDLPGIDQRSMDESTIGNYALRNDAILLVIVPALQAPDVASSRALRIAREFDSEGTRTIGILSKIDQAAGEQKALGAVQALLGNQGPRTAADIQWVATIGHSVPTASVQSEVGSEASPESSWQAEVKSLISILGGVPQSKLGRVALVDSLAKQIKTRIKVRLPNLLNGLQGKSQIVQEELAKLGEQMVQTSEGTKAIALGLCREFEDKFLQHIAGCEGAGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLVKALLELAKEPSILLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALDGFKNEARKMVIALVDMERAFVPPQHFIRLVQRRLGLLTTLMERQRQEDEVKNRSTRKGQDSDKKGVCYSL >Dexi9A01G0009420.1:cds pep primary_assembly:Fonio_CM05836:9A:5709947:5725721:1 gene:Dexi9A01G0009420 transcript:Dexi9A01G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPSYAHHQLQQHLSSLLSAAAGDPPHPSDDATRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSLEAPGGLPDGFVAWALPLLGDPSSAALVSEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWVFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAVSHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCASVIASKIGWSERMEEASRCLVLLAEILQERFAEFYILFVDVWAQSLEVASSAQLVAALKTNLQVLSMQNLGLRASSVGALLDFSSILSKLRLHPNNTVVANSAATYLFCLQHGLDDVVDQAIASLMKELELIKSLLEEEQVLLDKLSDPDSNVKDAFLRCHETDSLVGLQKWAVSTFYTYFEQDNQPMRGLSDCDKHFSWMSGLIYQSQGQYEKAAAHYSHLLQSEEALTSMKSDGIQFIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDNGDFNSAWGYLDLTPKSSSELTLDPKVALERSELMLLRGMLQSNSKLDGIKEELDKAKLMLDEALSVAPLNGFPEAAACADDEKSEVEEIIRSICADKHATHAIHLIEAAAGAPGFEAREGEEPPAVLALELTAELQPSYHHDALKGKTRSYTMRAMLYLLHIMLNYGAELKETLESGVSAVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPYSIVYPTLVDINACEGEPSEELQHISNFLVKLFPDLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEYNAKLKSAITTLKTPPGSPAALGDIWQPFDSIAASLATHQRKSCVLLSEIAPQLAVLSTSDIPMPGFEKQILDSSESFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQSNGDVVHIDYNICFDKGRRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMDVLLKNKDIILMLLEVFVWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDLFLTNLPATVSALKKFLDTLDHYEIASAMFYHAEKERSSVLQNEMSAKSVLADATSVAEKSRTSFEIHAHELAEAKAAVVDEANKLQIWREKHARVMEVVDSISEIRGYVDKALEKLVEVELEKTSLTELEQSYSVKVGRITQQQIALEEAAARGREHLSWEEAEELASQEEICRAQLEQLHETWSQKDMRISSLMKVEDSIINSLLSSKQNFSSLLDHDQESKFHFIQSKALLSILTKPFADLESLDPGAEQEWKLGQLNLETAGKGLFSVNNQVSVVSVKAKSALGSTQIGTSDNLEKIGALMDDKAEGEGPGATDQETRDSNGKNPFALSILKQVEHKLHGRDIDGTRSLNIPEQVDYLLKQATSIDNLCNM >Dexi4B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:4B:22434882:22439810:-1 gene:Dexi4B01G0020230 transcript:Dexi4B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQADSPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELIAKDLHGNEWKFRHIFRDSLLLFVFMSHCFVRNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSLHGGKDDDLTNSLMWLRDTANLGFQSLSFGGLGVNPWMQPRLDASLLGLQPDMYQAMATAAFQDPTKQVSPTMLQFQQPQNIAGRAAPLLSSQILQQVQPQFQQQPYLQNISESTIHGQGQAELLKQQLQRSQSFNEQKPQLQHQQQQQSQCLQVPQHQQMQQHNNMTNYQSVSNALSAFSQLSSPPKSSPVALQTILPFSQAQSFAEANMSSLSPSNATTMQTTLRPFSSEVASHLSMPRPTAVPVADPWSSKRVAVESLLPSRPQVTSQMEQLDSTPSIPQSSALAPLPGRGCLDQDVNSDPQNHLLFGVSIDSQSLLMQGGIPGLQNGNDSTTIPYSTSNFLSPSQNDFPLDHTLNSSGCLDDAGYVPCSDNSDQVNRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPMRSGWQLVFVDREEDVLLVGDDPWQEFVSTVSCIKILSPQEVQQMGKQGLELLSSAPARRLGSSCDDYVSRQESRSLSTGIASVGSVEF >Dexi5B01G0003160.1:cds pep primary_assembly:Fonio_CM05836:5B:2082281:2083909:1 gene:Dexi5B01G0003160 transcript:Dexi5B01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPEELIASSSSGGGGDKDVDKGVGISDGSSSSAAGDASASKPEEVKRLPGGKVKKKEKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKIHGLT >Dexi4B01G0016710.1:cds pep primary_assembly:Fonio_CM05836:4B:18879037:18887566:-1 gene:Dexi4B01G0016710 transcript:Dexi4B01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSQISPLFGPLWQTGSSGQQSAERRRATREVKGNHQRGRLHEAQWGRSSRPRGAWGASGGGRPRRATRGSSRAAPDASTAPRCRQRPPLRPRRLPQQQPETATGASPSSSPPASPALCSELLPLWPRSRSGFFALTGLRAAARPDVPQAAPPRPMCCPQARPPAAPGRWEEDVTGRREGERYTARATHAPAVPLPPSSHHLARRRRRSLPPPPLLPATAACSPLPPPPFLSLAISPTPPHAVHPLHLAGAPLPPTSLPFTSLPPPSLPSSLSLSLSHRFPYRTHVFPPLHLTGAVPPLHLAVVAVPLFLSPSVPSSTFPAGTRGRSSSDPLQTGPASPILPPSPLSVFVSVLSRSSPPPAKTGRAALQRPIREGPLPSTSTHEVCPKLLFPAARVMEKNKMHWLMERIRVRKWKGKPPHSRRT >Dexi9A01G0043100.1:cds pep primary_assembly:Fonio_CM05836:9A:46615964:46619743:-1 gene:Dexi9A01G0043100 transcript:Dexi9A01G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRILLSPTVPNPSPAAAAARSSVVSPPEMAPLGARSVSTQIVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWQPFTALLGDAPSVDVKKNVIVAITSDKGLCGGINSTSVKVSRALHKLTSGPEKESKYVILGEKGKVQLVRDSRSSIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMVTILSPEVAEKESEAGGKIGDLDAYEIEGGETKSEILQNLAEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELTEIISGASALEG >Dexi2B01G0003410.1:cds pep primary_assembly:Fonio_CM05836:2B:3023226:3024242:-1 gene:Dexi2B01G0003410 transcript:Dexi2B01G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPAAEAPHVVEDCFGIVQLLSDGTVKRSTDYSALPLIGGVPSNLPVEWKDVVYDGAHGLRLRIYRPTSTAGEKLPVIVYFHGGGFCIASYELINFHAGALRLAAELPAVVLSADYRLAPEHRLPAALDDAESVFSFLRSQASSSSIAGDVAAADEWLAESADFSRVFVAGDSAGGTISHHISSRHGSGDLPLAPLQLAGAVMLWPYFGGEDLTPSEAASPADEPMGTVLFDQMWRLALPVGATKDHPFANPFAPGSVPFGDLGDAFPPVLVVDPAMDVLHDRVVEYVARLKRAGKAVELVEFEGQGHAFFVTAPCGEASDELIRVIRRFVHGG >Dexi3A01G0034230.1:cds pep primary_assembly:Fonio_CM05836:3A:39456866:39459216:1 gene:Dexi3A01G0034230 transcript:Dexi3A01G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGPCLLAVALAAAWISTASSSPDQAGTSQKSINCMPCSRKYVGDEYLDALTGQHAHHRDLSEMSDSTELCKGITDDVEVPALSELRRQLVGEGSHRRLVYSLKFGACQDAMVNFLDGHDAHLVIVEKLPNGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSNRSAVEIHFDLRPSTSSNFNIVIDLPLHARYPPLDASGYATVEFDSPDLFLRYRKKEIQSDSCLWVLKNLEAAPVEKTAWRIPCGDEAHAAPTF >Dexi3A01G0011180.1:cds pep primary_assembly:Fonio_CM05836:3A:7950955:7953575:-1 gene:Dexi3A01G0011180 transcript:Dexi3A01G0011180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFSILFSHHLDDDVIKCQKKILARLGVCEAFSMADATHFVADSFFRTRNMLEAITLGKPVVTSMWLENCGQAGCFIDEKKYIMRDVKKEKELGFSMPISLASACKHPLLLGKRVFVTSNVKPSQVVVTSLVKASGGQPLERVGRSIMKEKEVPDDLLVISCEEDYQTCAPLLERGAIIFSTELLLNGIVIQKLEYERHRLFTDRVKQTRTSRWLKSTVHDRFVHVPKRPRS >DexiUA01G0012800.1:cds pep primary_assembly:Fonio_CM05836:UA:26630460:26633031:-1 gene:DexiUA01G0012800 transcript:DexiUA01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPDVSPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAVAPHKDWERSQFILNHEELQQVADSWEALDGWLDAIRLVYTIFARGKSDVLAGIITG >Dexi6A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:6A:3770224:3771870:1 gene:Dexi6A01G0004090 transcript:Dexi6A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIKLELLDLSGNSTIQVLPSLSGAANLKTLVLDGCVGLEHVGPQGLPPSLESFCLDSGLVEGDKSKAKLSHINLAGCARLAEFRLHGSLPNLVELDLSHTAVKTLDLKDKATVQCLKKIIMVGCELLRSISWPSEKWDTLRLLCIDTRTGGEVARKPSWCDAEHLHAFVAGTDMRFLQSFRFLWNWEWDVPKVKAKMNLCLSSSSKDDGSARRISAASPMPRSLTYNDVNTEQQIATQIHGSSSAVTPFHSLDIHMEIGEGISDVPNTDRAGNICYAMSKVKSLHVHDSSSITAVTYEHIVASQGNGSGVLNGLKRCCVERCPRLQTVFTTQYEGLNFVELETFWAAHLLMAHSIWRSSRRATGSEMGMQSFEALQAIHLHFCPRLRYVLQVSWNDSLSQLETLHIHFCGSLRQVFAVEQEVLERVAASLQYPWQKWKPRKGMLEFPKLKDLYLHELPSLQQICEAKMYAPNLETIHIRGCWGLRRLPATDSRRREEGRPVAVDCEKDWWDKLEWDGMKSGHHPSLFKPRHSKYYRKRHLRGTVLR >Dexi6B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:6B:23915705:23918312:-1 gene:Dexi6B01G0016610 transcript:Dexi6B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGPIPDFLAKTNLNALTITNSKLSGSIPQSLGRLSNLRYIDLSGNMLSGSIPPGLLHGSFQFLILSNNRLTGQIPEDYAHGDIDTIDLSHNQLTGDLSFLFDIAKPMTKIDLSWNELAFNMTKVRFPYHLTYLDLSHNHIKGGVSKSLKDIKLQYFNVSNNDLCVLNASILLSAKACDSSDLAALLKIKKQLGNPPDLSKWLANTDCCTWLQGLQCSETDGRVNLVSLFDMNVTAPVPSALGDLPMLETIQLRRIPGLYGSIPSTLDKLSRLVLLEISDSSVSGPIPEFLLKMNLSALAITNSKLTGSIPQFLSHLPNLRYINLSGNKLTGSIPPGLLHASFRVLILSNNHLTGEIPEGYGNDDIDTIDLSHNQLTGDPSFLFGTTKPTTKIDLSWNMLEFDMTQVRFPYHMTYLDLSHNHIKGEVAKSLRDINLEYFNVSCK >DexiUA01G0027470.1:cds pep primary_assembly:Fonio_CM05836:UA:58748518:58749175:-1 gene:DexiUA01G0027470 transcript:DexiUA01G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMLAPLLPAAVEYAKGLRPFLGVGNSVPQKLRGTRDIGEGLERGRQRRVTFAAAGIAPLRVWAAAGLGGRLGRSGRLVPVRSGFLCGRFAGLAQLGAGAGGVVVVLLGGGVAL >Dexi9A01G0035450.1:cds pep primary_assembly:Fonio_CM05836:9A:40119311:40120271:1 gene:Dexi9A01G0035450 transcript:Dexi9A01G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVAASLELPCKYQSFGCSGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKPNPHEVENATWMLTLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Dexi5B01G0016010.1:cds pep primary_assembly:Fonio_CM05836:5B:13506359:13509656:-1 gene:Dexi5B01G0016010 transcript:Dexi5B01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTDPEYGLSPKNTLDIDDEEAYNPPPLHWTGLLVLAYQSCGVVYGDLSTSPLYVYKGTFNGSLHRFLGEEAVVFGVFSVVFWTITLIPLLKYVFIVLSADDNGEGGTFALYSLLIRHAKFSLMPNQQAADEELSSYYRPGYGTEDTPILRALRSFLERHRKSRTCLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSALTHGEVVLLSCIVLVCLFTLQHWGTRRVAFLFAPVVVLWLLLLAALGIYNIVVWNPRILRALSPYYVVSFFQRTGKDGWISLGGVLLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPDCDIHFIFFESIPRPIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSNRIHGQIYSPEINWLLMLVCLGVTVGFRDTNLIGNAYGMACAGVMVVTTLLMALVMVFVWRQSFLLAAMFLLAFGSVESVYLSAALMKVPQGGWLPLALSLVVVAVMYVWHYGTRRRHRFDVQNKVSLKWLHALGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKAVPIPHVRSYERHFIGRIGPREFRMYRCVVRHGYKDVPGDDQDFENDLVVRIAEFVHMEAAAGNDASAAARSSDGSVEGRMAVVSRPFDLSRTGLLMRAPQLNNPADDSVVVRAAAAAAATTTAESGGGKTETMQSLQAMYEAESPGFAVRRRMRFEIDDATSESMDPAVKEELSALVEAKHAGVAYIMGHSYIKARKSSSLVKKLAIDVAYTFLRKNCRGPAVALNIPHISLIEVGMIYYV >Dexi9B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:9B:11496850:11497566:-1 gene:Dexi9B01G0016740 transcript:Dexi9B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGAFTKEHFDQDFNYFHEKDLRHPVMSIKRQDVSSLVSSFPDEDPKMLSNFNDLLKKILVLDPDKRLKVEQALSHQFVCGK >Dexi8A01G0006270.1:cds pep primary_assembly:Fonio_CM05836:8A:6376955:6380984:-1 gene:Dexi8A01G0006270 transcript:Dexi8A01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAATAGSGGGAMSSDNAKGLILAVSSSAFIGASFIVKKMGLRRAADSGVRAGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERDIDSVKEVWDLATEPAFLCYAAIVVAAALVLIYFVVPHHGQTNIMVYIGVCSLLGSLTDWDHQNPTQIVTELCGFMTILSGTFLLHKTKDMADSPGQSVSTPWQKHASQNGFAIEVMPLKCQDSVDDETLMAFPKVDSGYLKEEHTRRYKDSSIV >Dexi3B01G0002510.1:cds pep primary_assembly:Fonio_CM05836:3B:1721685:1722227:1 gene:Dexi3B01G0002510 transcript:Dexi3B01G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGDTSPVSNGQREQPAPVSPLPSDPLPSEGGDMTTEVILGRINELLQHILRELDGDMTAAAILRIKELLRQIVGELERAGLRRLSSTRTAGQDYLDLSDGRFVPASSEAMARLRETTAEETREDEECAVCLKSYEEGVEISAMPCSHEFHDGCIRRWLAISRLCPLCRFALQA >Dexi9A01G0033500.1:cds pep primary_assembly:Fonio_CM05836:9A:38434625:38435679:-1 gene:Dexi9A01G0033500 transcript:Dexi9A01G0033500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLDADPPPVGVVEDPAAQAQGRRGRQPSIIRPSSADCCHDPTELGLFPTHPPHGLTEQSTTGTHARCEAPSLHAPSTTPKSPRAADEGFHHGCGILNHETGRTLGKQGSSRGILKDTSLPAGWWSAGRARTHNSARAARRCWLGLSSTRIAGLDRLDWTPRNSTHHTT >Dexi9B01G0047450.1:cds pep primary_assembly:Fonio_CM05836:9B:46531791:46533717:-1 gene:Dexi9B01G0047450 transcript:Dexi9B01G0047450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLHRCRRLLLAGAATKHAAEDVLPERLCSTSAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGGHPRLEQFMGSHVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEIWYRPDMYFYRDMLYMLARNKKVDETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMLVYDPPDSLSDVDDEFKF >Dexi5B01G0005280.1:cds pep primary_assembly:Fonio_CM05836:5B:3496519:3498287:-1 gene:Dexi5B01G0005280 transcript:Dexi5B01G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACRRLAREAVAASLRRGTAAAPIAPARAFSAAAATTACSSTSAPVASPVRHFLARCSIPAFQPRAAELGPSLAARVALALRPQVSGRNLLKGFGTSAVVGMTLNQGKVTAATREQSPKAITKESPGSLKNELGSFWPLVRKLQLPMGLMFLIVTGWQYPLALVINLLLLIYCSRPSRYSIYLFLQELRHREMGHNHAKWKEEDNGYKLSLTL >Dexi5A01G0019610.1:cds pep primary_assembly:Fonio_CM05836:5A:23546096:23548407:1 gene:Dexi5A01G0019610 transcript:Dexi5A01G0019610.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSLYGFREYFKKHGFKYSETN >Dexi5A01G0000990.1:cds pep primary_assembly:Fonio_CM05836:5A:656413:659764:1 gene:Dexi5A01G0000990 transcript:Dexi5A01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAASSACCSCSTVFASSSSTPVRTSTPPRPSLRPLPRRARLPLPGHSILRCLPKCDSGKLPPPPQPVGAGTGLSVRKAGDEPAGRGGLRAAPFDASCGLAFATAVGAFLLIFFSELGDRTFFIAALLAARSSGAVIFLGTFGALAVMTIISVVLGRAFHYVDGIIPFGFGGTGFPVDDIAAACLLIYYGVTTLLDAASGDDEKINEEQEEAELAVSKFSGNGAGVMSAAGTITSTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHAVATLIAVLGGSLLGTFLSEKFNPS >Dexi2A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:2A:28492174:28498331:-1 gene:Dexi2A01G0016760 transcript:Dexi2A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARKNILVHGVAARRAREGGAMGAGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYERQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVSGAAGAVEDMQLQSDRDDLYSSTIKSSRLPEDLVETAGERSIRKACETLAADMIETIRSSFPAFEGSGINSSCQLDAAKLGIDLDGEIPTDVKAVALDSLKNPSMLLQSIITYTSRMKTLVHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTVDLAGSKKLPTGNTSQNVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALQRANMALLEAVGSSGVTGSEALAAAEKHAALLTARAGARDPSAVPSICRISAALQYNSGTEVTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVSERWLPELRNAVQEARRCFEDCHRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSVGDD >Dexi3B01G0000760.1:cds pep primary_assembly:Fonio_CM05836:3B:664616:665450:1 gene:Dexi3B01G0000760 transcript:Dexi3B01G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYDESPPAYRLVPADEVDPSGVNFAMSGAGVAPSAAGETPLATQIDQFRRLVRHGIVDDDDLDNSVALIGLSGIHDYSGLSIVSSDDEIATLAQDVTEKMANGVKRLLDLGVSKILVNTAPPMGCHPYRTWLSNYRQCDSQVDRISSIHNEALKKRMDGWEDVLILDVDPIFRDLVQSMGYAPCCDATSKPEGYCGQQDAQGNALYTVCRNPEDFFYWDYVHPSQAGWHASMDRLQRPIMDFLGIY >Dexi1B01G0018390.1:cds pep primary_assembly:Fonio_CM05836:1B:24607127:24607641:-1 gene:Dexi1B01G0018390 transcript:Dexi1B01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRKSPVARSAPREPPQVVVRGALGNVADVELAIGEGRLRNGSEGGELAGDGPAVHALGRVGESAARVGAAAEGDEAVAPATARGAVGDGVALVERAEGGECGGQRRGGHPRTEAVHEEAAVGGVGGGGGREAGEEGGVPEAGVGEKVQKLVPRERLQ >DexiUA01G0009890.1:cds pep primary_assembly:Fonio_CM05836:UA:19458771:19459578:1 gene:DexiUA01G0009890 transcript:DexiUA01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQTGKVKTLLGLALTRLAVLMLLVDRYQAAMSASSLPSAISIVLCTVYVGAEHVIEEDNILEAFNTIELYCNRLIENAKQLDKPHECGEDTREAVAGVMFAAGWCGDLPELLFARTILENKFGGDFAMMAKDGTGVVDPMLVWKFSGNKRNMELKKKVVKNHLDTWI >Dexi1A01G0006520.1:cds pep primary_assembly:Fonio_CM05836:1A:4944789:4946850:-1 gene:Dexi1A01G0006520 transcript:Dexi1A01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVLEIGMGSDLSLDLRYFASKAVMKQACDAPASNVDSCIRRLEEERGKIEMFRRELPLCSRLLAEVIDVMKAEAGKKKIKRDCKAAAEEDGATGDKSKWMSTAQLWTGDNSGREDVESEKQDKGRSSPETASRGGGGGAFLPFKAVGSGAPAFAPLSLRAEDKAVDAGMPDRSLLSPPTIKSAPAAASAGEQSRRVGFVQQAAARAVAMAPSSSPSLSLQSQPQQTAQQQARKARRTWSPELHREFVAALNQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRAPGSAVVSQPIVLVGGLWIPGEQSSSQSGSPLAPLHFSTSGISASSAATVSYEEEDGRSESYGWK >Dexi3A01G0008370.1:cds pep primary_assembly:Fonio_CM05836:3A:5863399:5866841:-1 gene:Dexi3A01G0008370 transcript:Dexi3A01G0008370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSSKPPHCTSAVVSSRPFIRRCSSGVSWRWQQRRKSCGLRCSQSRQDSATQTWRDLDEDDRRLSQNAGIFHPSIWGDFFLGYSNPAALSQQQTQMAERADMLKEEVAEIIASSAYSGLHERLRLIDTLERMCLDHLFEEEINTALSQIETADVSDCDLGTVALWFCLLRKHRYRVSPVTLLDDIYDSYATPEECELLTKCIESWDAKAAHDPPECMKFALGKILHSFQAIENMLHQEEKYRMSYVRYFIEDLVRSFNMEREQLIPHVASTIDSYMKEHNVSIEVACEKIHALKEESWNDFNTEWLNPDNTYPKQLLERIFNLTRTMEFMYNQEDNFTNCPNLKDIIHLLFAEPFTNVS >Dexi4B01G0000540.1:cds pep primary_assembly:Fonio_CM05836:4B:329826:330521:-1 gene:Dexi4B01G0000540 transcript:Dexi4B01G0000540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSRPSDDDIVVVVGGGEGIVVSGSGAGDSHGISRAQRERMFEKVVTPSDVGKLNRLVVPKHLAEKHLPLPAAAARAGGTVLCFHDARSAASWRFRYSYWSSSQSYVMTKGWSRYVRDKRLAAGDTVSFFRDGARLFIDCRRRTRRAPPPQPPVPHQQLQQQQAFFFFPQAESGVQPQPQPQQQDLLLVAMEEDETEARLRRSPSCLRLFGVNLELRAEPLLLDLQL >Dexi5A01G0012780.1:cds pep primary_assembly:Fonio_CM05836:5A:9601415:9602330:-1 gene:Dexi5A01G0012780 transcript:Dexi5A01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQEVFRRNIIFVVGRNGRLYQYNRITELWHRHYQSPHLILSRSPGTAMRPSPLSLAGSLFMMSEHGGLVEYHFSPQDGWEWVEHGTPHRDVILVGAPGPCFDGSQLFVVGSDGHVYRRHMEEGRTWRWTSHGHPPSEPAVADDQICAMPGAGAGAHHTNGFSGSCDGKVAAVRPVPFSGDAVIFELRDGRLAELRRPASAEGCGEWEWARIIGTPASACMTSYWTAVAT >Dexi5A01G0028130.1:cds pep primary_assembly:Fonio_CM05836:5A:31465888:31468610:1 gene:Dexi5A01G0028130 transcript:Dexi5A01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPWPLLTLFLMVGSAHGLVKPEAAAAPKPPVPKAISDLRDAILKGLGFQAEGLKVSGFDVRDALVGHAVSYEFDIEVGKKVLPVRLLEDVNRWDFVDLPIFRTQADADDKALAEIWRAGKGSVVEPTLPPFQLAGPMELWIQDGDDVRLALPHDVDAGTLKKVVLSDGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNQKPLLSLRIEGSTSLSSTPSTSPKDKLKLKRLAPGQVELSSRAGAIPAVTEDEDEPHNTGLWPLLSLNGSDGSLQGFEELLASVLGKKASEKGTFKLLKARASAQTYVKMAFAVERKLTEGDVNWSNFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFQADEVMSESVLTGNVTRSKMEIVHPPPVYFTL >Dexi1B01G0008340.1:cds pep primary_assembly:Fonio_CM05836:1B:6986937:6988451:-1 gene:Dexi1B01G0008340 transcript:Dexi1B01G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEEPTAATTLELAPPPPPVHFVIVPLVAQGHTIPMVDLARLLAERGARVSLVTTPVNAARLRGLANHAARAKLPVDLVELPFPTDIDGLPPGIENIDQVKDNNHFVPLFEALQKLAGPLEAYLRELPARPSCLISDWCNAWTADVATSLGVPRLFFHGPSCFYSLCDLNAVDHGLHKQTAAADDHDKFVVPGMPVHVEVTKATAPGFLNAPGWETFWNESLEAMRTADGAIVNTFLDLEEQFVTCYEAALGKPVWTLGPFCLANRRDAEAMASRGNAPDVAKQNTVTAWLDGMDTGSVVFANFGSLARKLPKQLFEVGHGLEDSGVPFLWVVKDTEVETPDVHEWLEALETRTAGRGHVARGWVPQLAILSHRAVGGFVTHCGWNSLLESIAHGVPVVTWPHFADQFLNERLVVDVLGVGVPVGAAAPVMIFDDENVAVTRGDVARAVSELMGGGEEAEERRRKAKEYGEKAHRAMEKGGSSYENLTQLVESFRRSGRKES >Dexi7A01G0007920.1:cds pep primary_assembly:Fonio_CM05836:7A:19145709:19149010:1 gene:Dexi7A01G0007920 transcript:Dexi7A01G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDQGRGASRNRSSGRDRDRSSQQSSSRRSSGSGGSKRNDRDATGKSRGYSSFGRHNRERVQEKDPDFRDRESKLVQPEDPLRDGFESFSSCRSEKDRLNRTRSKVSVSNRSGVSLDNGNIPKKDTGGISFEREFPHLGSEDKNGKQEIARVPSPGISTPIQSIPLVTAEGWNSVLAEVPIHSDPSMNSISSTSSPSGSSKQTEVSNSGSALSMAETVMQSPLKISTAPQLSIDAQKIEERTMRQCILRPLTPSSNKISASNSLDKLKSKGARAGESNAPMKIAPQLSLQPSSSSRTPIKTELVKPSQSGSLQVLSREHNGTVNTAAKDSTNNPVSPVLGRSSSMEPMRKSVVNPKLKVGTNGLALYPLQGSFGDRKASAKDKLKFFELLRSKSVNGSSTAIESPSSLIDDQQNSCLDLSFKFTENGSSSCEEANSCEGSQRHSSDSEEIIPPSESHDVLDEGYLGTEVDDRDARPTPLPADTEDAASKKPQPDNAEDVLPVKPACINDSSMISISVDEANLPLEEAHPAHEFENVGAGGEEELNFLRSLGWDENEDVQPLRQEEIAACVSACSTFSYLAD >Dexi3B01G0018320.1:cds pep primary_assembly:Fonio_CM05836:3B:13601859:13605577:-1 gene:Dexi3B01G0018320 transcript:Dexi3B01G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLLAAVSISPRARRRQAKHHKAATSDQLLCPRIKAACSPLQVHASFSSTDGGGGGGSGGDELHLLQKPSPSAAVEDVEEEPEPVPLLSTEEALAPFLRFFQVKSTDPTAEPDSAAAGGRAESDAEDEAAGSAGVSAAGRGVRYYDPKPGDLVSGVVVRADGRTLDVDIGAGGEPALMLSKEAAPAPGEEFGYLACDVGSERAAEFATEGRVGVVVRQVGGAEEDGELTSGRNWKDKVTPVKGVGSIVFAEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNIPIKVKIYEWNAGGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVDQELHVCITRIDEGTNELIISEKEAWRVFSEAEEMAQRYREQIAEPPRSSEAEDSWNDIVPFDNEAQSYANWKWLKFGKSDEAVNSKPISGS >Dexi6B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:6B:2376196:2379420:1 gene:Dexi6B01G0002840 transcript:Dexi6B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARQTMSCYLEAPMESQRLHPALTADILREIFLRLPSHADLVRACAACVPFCRLVTHPSFLRRYRSLHRPMLLGFIDNNGLNPVPAPHPNAPAARACARTVNFTFGYLPRDGGIKDISDGRILFELIDEDQPSILLWDLAVCYPMSRRCRLVPPVPNQVLASAQIQECDIFGVETFMLPSKKLEEATFTVVRFFLVKTGMVPFVFSSVSGRWSVSTSTSWDALGLDAPKSYTHMLGPRRYMNGCFYWKVNHKDKLLKLDMNTMRFSTHDLPRDHEERRVAIVEAGDGKLAIFSQIDEGTYLDYYIFLPDDSKKGGQWHMENTLQLPSRYKCHMLARQSEGHIFLFGIPKVNVIPHHACFALEIKTFKIVRLNGIGLPAIDAPYSGFPPITSPRSIQGYETWPRPRENKTVTSPLQSAESFLQRQPPMRFCKLIKPSIPFLPTPAMASGIRHIFPPPNHGGRSSSSSASSPAMS >Dexi5A01G0034960.1:cds pep primary_assembly:Fonio_CM05836:5A:36895939:36896461:1 gene:Dexi5A01G0034960 transcript:Dexi5A01G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLSPCARFLLLLLLSQQKFPSHASDTLTANQRLSGNQKMISQDGNFALGFFQPAGKTLRTMTL >Dexi2B01G0023440.1:cds pep primary_assembly:Fonio_CM05836:2B:32899412:32901942:-1 gene:Dexi2B01G0023440 transcript:Dexi2B01G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAEVMAPKPAAKRRSTTLRFLFELEKPDGGLLPGTAKLPPPSPEPEADSLIDKIASCHRVFTFAANAGDEREEERDAKRERLVEVLGAVRSAGTKRPLDHRVMAALVKTVAANLFRALPPSAYPPLPPDGLDEEAPVMSLSPSWPHLQVVYDILLSVVTATDAKALRNHVDRPFLSSLLALFASEDPRERDRLKTAYHQLYSKLTCERAFMRRSMAAALLRFVYEAPQGERHCGAAELLEICGSIINGFAVPLKEEHRVFLKRVLLPLHRTRWAHTYHRQLAYCVLQFVHKEQGLAGEVVTGILRHWPVTNCQKEVLLIEELEEILEMLDPKQFQNLAVPVAERALYVWNNERFLELATTSPGVMEKIMPAFVASVEGNLEGHWSKCVQQVTSSVKALLEQVAPDLYARCADDLAARRSEAAAAAAAAAVRDARWRKVEAAAAAK >Dexi9B01G0015920.1:cds pep primary_assembly:Fonio_CM05836:9B:10893338:10894027:1 gene:Dexi9B01G0015920 transcript:Dexi9B01G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPYGTQAVAHFQLPGLAHSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKVS >Dexi9A01G0022730.1:cds pep primary_assembly:Fonio_CM05836:9A:17857112:17858631:-1 gene:Dexi9A01G0022730 transcript:Dexi9A01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDFDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEDVVEVTPAASAFLGDSSMKYPNP >DexiUA01G0005500.1:cds pep primary_assembly:Fonio_CM05836:UA:9875630:9876167:-1 gene:DexiUA01G0005500 transcript:DexiUA01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSCLHLGISSSNARGSNTFPDSTEHTPAPKYEGGARARRRTDFGTLLEDADGEVGVGGAAELLEPDRRGEPRGPGAHDHHVVAERLPAAAEPPNRAPGRRAGAARGRPPRRRQPSPGGGRRCADGSEKAGKTRK >Dexi6A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:6A:5110686:5111677:1 gene:Dexi6A01G0005560 transcript:Dexi6A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSRVAVANTAALPPEPLRLSALDAQWVTLPLIQRLLIFSDSDDVSDNTRPPFASAVDSLRASLSETLAKFPPLAGRIVHQLDSGDAAIDCTAAGVIAAGGVRFIVAEMISEDAARLAGEEEHHAEAFRRLVPELDAGELPAETMAAQVTRLRGGMAIGVAVHHAVADGRSVWRFLEAWAAACRGGAEDDDDDDVEPPPTFDRKVIEIPGGDAAVAGHLIRPNLCRRTFTIAAQDMQRLKHRITDLSPARHVASPPSSFVAIASLAWVSFVHSKYQAGIVSLDDEVYLFFFADCRTRLNPPPHGTSSWRMESA >Dexi9B01G0016190.1:cds pep primary_assembly:Fonio_CM05836:9B:11083495:11086388:1 gene:Dexi9B01G0016190 transcript:Dexi9B01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSPFDCVLLDLDDTLYPGNTGIGPALKRNIDEFLQAKLGVSAEKAAAMRVELFRSHGSSLAGLIALGYDVHPDEYHSYVHGRLPYDRIDADLQLARMLQSIPQRKVLFTNSDRAHMKRALERLGVDEAVFDDVVCFETMNPHLFGEAREEERAAGGDPPVVVLKPSVDAIVAGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGALRRVIPEIWGVAGGESERSDHSMDKMPMRSDLDSIIQPTSIQA >Dexi3A01G0027330.1:cds pep primary_assembly:Fonio_CM05836:3A:24280997:24284618:1 gene:Dexi3A01G0027330 transcript:Dexi3A01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRPLSQGRARRAHNGRSQRFVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGNPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGLVQELENRARPVKGGGDIKAVASISAGNDELIGSMIAEAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATVEQLGTARKVTIHQTTTTLIADAASKDEIQARIAQLKKELAETDSVYDTEKLAERIAKLAGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTGSTCLIDCAQCRGRR >Dexi1A01G0017830.1:cds pep primary_assembly:Fonio_CM05836:1A:25134871:25138600:-1 gene:Dexi1A01G0017830 transcript:Dexi1A01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGSVGGGSSSGGSSAGGGGAVPLAVLLRREVASERTASERPELHTGLFSQAKKGEDFTFLKPDCERIPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNVLSAVPAGLNREDWIAALPRALVAAFVKTDKDFQTKARSSGTTVTFVIIDGLVITVASVGDSRCVLEAEGSIYHLSSDHRFDASKEEVDRVTESGGDVGRLNVVGGAEIFVSTCLTHIYFSYLQIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPLVKQVKLSTAGGRLIIASDGVWDALSPEVAFSCSRELPPEPAAEQIVKTAVQSKGLRDDTTCIVVDIIAEKSHSSMPLPKKQPGIGVFKNMFCKKKSSDSSSHADREYMDPDIVEEIFEDGCALLSKRLVP >Dexi2B01G0000680.1:cds pep primary_assembly:Fonio_CM05836:2B:290618:292348:-1 gene:Dexi2B01G0000680 transcript:Dexi2B01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCVIGAGFAGLASARELRREGHDVTVMEQNAGVGGQWLYDPATDAGDPLGVSGVHSSIYASLRINFPRETSSFSDFPFFPRTDNGAGDPRRYPGHGEFLRYSRDFCDAFQLMDAVRLNTKVLHVAPRGSEGDDDGVMRLWTVRFAAKKQGEVVTTTEEEEEVFDAVVVAVGQFTQPRLPNIDGMDTWTRRHLHSHSYRVPDSFHGQVVVIVGFNESGKDIAMELRAVATEVHISVKSMDAAAIGAGMTKALSRHHNLHLHPQARTDTYRHTSSSIKLDGRVVFADGSSVVADAIIYCTGYNYSFPFLDTGDLVTVDDNRVGPLYEHTFPPALAPSLSFVGIPDKVVSPRFYEVQARWVAQVLSGRRSLPAPEEMLRAAEEYNRAREIAGVPKRHTHDIFDLDYCDEYGEKHCGFPRLEEWKKELLWSSFANWRENDRESFRDDYRDDSQLVREGLRSQGWLAPHKDDDGHEEERL >Dexi1A01G0024330.1:cds pep primary_assembly:Fonio_CM05836:1A:30900319:30903644:-1 gene:Dexi1A01G0024330 transcript:Dexi1A01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAGGADHRSSTATASLLPFCRSTPLSAASGGGLAEDAQQMSGRWAAVARPLPFTPAQYEELEQQALIYKYLVAGVSVPPDLVVPIRRGLDSLATRFYGHPTLAYGSYYGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVPQSQPPATAPVVSAAAPLAAATNGSSFQNHSLYPAIAASTGGGGGASASNISSTFSSPLGSSQLHMDSAASCAALGGGAAKDLRYNAYGIRTLADEHNQLIAEAINSSMENHWRLPPSQNSSFPLSSYPQLGALSDLGQNTVSSLSKMDRQPLSFLGTDFGGVDSVKQENQTLRPFFDEWPKARESWPGLSDGNNNLASFPATQLSISMASSDFSVASSQSPNDD >Dexi6B01G0018370.1:cds pep primary_assembly:Fonio_CM05836:6B:25354565:25354933:1 gene:Dexi6B01G0018370 transcript:Dexi6B01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAASRRTKAARRGFGVGEGSGSGSGAWQGLRPGPPPRGQGSGGGTARGRGGGAARPAGARGAGGWPAAPAFEAASEAGDGQGRETRSSLAGWGAWYT >Dexi3B01G0000400.1:cds pep primary_assembly:Fonio_CM05836:3B:307600:310032:-1 gene:Dexi3B01G0000400 transcript:Dexi3B01G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRREPIVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNQSSLQRQFSSGHGQKVDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTIR >Dexi7A01G0001900.1:cds pep primary_assembly:Fonio_CM05836:7A:5025808:5036050:1 gene:Dexi7A01G0001900 transcript:Dexi7A01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHTVDDWARDDAEPMPVESAAAPAEVDAADSAADAPPEPTAEGVKEIQSSLQSLELKANVTAQEDAQEVEDEEETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLVVVINKMDDPTVKWSKERYDEIEGKMLPFLKSSGYNVKKDVQFLPISGLLGTNMKTRLDKSICSWWDGPCLFEVLDHIEVPLRDPKGPVRLDSYAYFILHEKVMPIIDKYKDMGTVVMGKMESGTIREGDSLLVMPNKVIFFLNPFTLLLDESKVRRAGPAENVRVKLSGIEEEDIMAGFVLSSVANPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVVDVPPAGSPTF >Dexi5A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:5A:692445:696237:-1 gene:Dexi5A01G0001040 transcript:Dexi5A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLASRSESHGRVWLISLLRERDACWEYCDKLDGNKVRCRFCHKVLNGGISRLKFHLSQIPSKGVNPCTKVKEDVIEKVKAIISAKEEYKEFQLLKRQRVAELSVPPKRTREVPSQSTSPGRVNSLTVTLAAEQSQLLAPEVSTPVPKLSAAANKSRAAWEAERCIAEFFFENKLDYSIADSVSYQHMLEALGGAGFRGPSIPCVDILNDDELWRAVEEIAAVSEPLLRVMRDVSGGKAAIGYIYESMTKVTDSIRTYYIMDEGKCKSFLDIVEQKWQAELHSPLHSAAAYLNPSIQYNPEVKFFSSIKEEFYHVLDKVLTTPDLRQDITAQLHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQRAAVRVTSQVCSTLTFQRDWGIILQNHYEKRNKLDKEALADQTYVHYNLTLHSEPKTRKKLDGDPIALDGIDMTSPWVEDSDGPILTQWLDRFPSALDGGDLNTRQFGGSIFGPNDNLFGL >Dexi1B01G0028040.1:cds pep primary_assembly:Fonio_CM05836:1B:32670460:32672793:1 gene:Dexi1B01G0028040 transcript:Dexi1B01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAGVLCLLLTVAVAVAAAESEAEAVSTYIVHVAPAHAPRSGARTLSTAYVSFLRRLLPAGISRPAPRLLYSYAHAATGFAALLTASQAAHLASDHSVHAVVPDAMHQLHTTLTPTFLGLSSSSGLLPASNGATDVVVGVIDTGVYPKDRASFAPDPSLPPPPKTFRGRCVSTPAFNATAYCNNKLVGAKFFNLGYEAAAASHGVAISETESISPLDTNGHGTHTSSTAAGSAVPDAGFFDYAKGKAVGMAPGARIAAYKACWARGCASSDILMAFDEAIKDGVNVMSVSLGAVGTAPQFYADTTAVGAFSAVRNGIVVSASAGNAGPGEFTAVNVAPWILTVGASTVDRQFPGNVVLGNGETFTGTTLYAGTPLGPSKLPLVYGGDVGSSVCEAGKLSSSKVAGKIVVCDPGVNGRAAKGEAVRSAGGAGAILVSSKVYGEQAITSANILPATAVSFAAGDKIKRYIRTTTSPVATIVFLGTVVGRTPSSPRMDAFSSRGPNFIAPEILKPDVTAPGVDILAAWTGENSPSELDTDTRRVKFNIISGTSMSCPHVSGVAALLRQAHQDWSPAVIKSALMTTAYNVDNAGDTIEDLATGVESTPFVRGAGHVDPNSALDPGLVYDAGTDDYVSFLCAIGYTANQIAVLTRDGSVTDCSTRPGTVGDFNYPAFSVVFSSGDEVTQRRIVRNVGSNVVATYTATVSSPAGVSVTVKPSTLQFSATQQAAGYAITFTPGQGSVADKYTFGSIVWSDGKHRVTSPIAISWPAASQAAAM >Dexi4B01G0005500.1:cds pep primary_assembly:Fonio_CM05836:4B:3891143:3892255:-1 gene:Dexi4B01G0005500 transcript:Dexi4B01G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGGVVLLLGSMLVAASAMPLPLETMMPNDDESMDLHALPCPDLHSIVGGAVWNARAKSAQITAGLLRIFFHDCFPQGCDASILLDGANSERKMPQNQGLQQSALDLVESIRDAVHRRCGATVSCADILAVATAHAVNQAGGPVIPIALGRRDSLEPAPGWAVSTLPRPDADVTTLINAFSSKGLGGVDLVALSGAHTVGKARCSAFADRTRSPNDAFTTRLAQACASDANRLQELDVISPERFDNAYFVDLRNRQGVLTSDQGLANDGRTSWLVNGFADNQGWFFGQFAASMEKMSKLSASSGGGEIRRNCFRRNSPGIIIQHGTDDIQASA >Dexi4B01G0023480.1:cds pep primary_assembly:Fonio_CM05836:4B:24821845:24822798:1 gene:Dexi4B01G0023480 transcript:Dexi4B01G0023480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVALVAMLATAALAIMSSFARAEQCGTQANGAVCPNGQCCSKFGWCGTTSDYCTNGCQSQCSGGGGSGGGVGSIISESLFNQMLLHRNDAGCPANGFYTYAAFLAAANAFPGFGTTGSSLDVQKRELAAFLAQTSHETTGGWATAPDGPYAWGYCFKQEQNPPSSYCQPSSQWPCAAGKQYYGRGPIQISYNYNYGPAGQTIGQNLLGNPDLVTIDPVVSFKTAVWFWMTPTSSKPSCHAVATGQWSPSSTDQAAGRLPGYGVITNIINGGLECGHGANSRVADRIGFYKRYCDMLGVNYGANLDCYNQAPFSS >Dexi4B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:4B:7227434:7232348:1 gene:Dexi4B01G0009940 transcript:Dexi4B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYSSVTGRWEERSFALEEQIEGSIDGVVSVSGHAAYWHGALRALQQRFYYKSKDGIHFATIIEDQCRLHVWFLDESGGKTTWVHKHGINVQAVIEHFWNNLDDQADRPWILEADDMDQAYNKGTNTPIVEKILDWDSDDENAVDIKDGDEKDFESGRALVPHHSVLVFEAFSATAPGQLNAATAYLLDELTDNCRADYHNVMAAAMRGDYDTCGLYAGHNKYPLRTWRKATILI >Dexi8B01G0005660.1:cds pep primary_assembly:Fonio_CM05836:8B:5900329:5900727:-1 gene:Dexi8B01G0005660 transcript:Dexi8B01G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNENEKKIWSSPIQGGGDDSDPDSQVPFAEVLEANATTDNLLNVRPHHGDLGHESEHDVQPVRVLIPTERDLCQPVRSRSAQRGAALHDEVHGGGPDEEPHQHVLGDNARLESPLDVAWVQEFNARQEPR >Dexi3A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:3A:1966824:1969956:-1 gene:Dexi3A01G0002990 transcript:Dexi3A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEADSPKPPVAGGGGGVDGAATDLQKLYRSYRTRRKLADSAVVAEELWWQALNFARLNHSTISFFDEPRPKTVTSHWNRVSLKASKVGQGLSKDSKVLKLAFQHWIEAQERELYEYIINEGKVIHKQSGEPLDTGLGPEGAKWIFVMSTSRRLYAGKSIWAYSGHYKPSKENLDNFMKFLEDSGVDLKEVKARPFTEEDPCDDPVPEYIADDTIPPRTIVSPNTTEGDEVKNDAPTEQAKLTYQRTLSGGLHSPKAIDVPGKAILERIKSKSESKSYQLGTSCP >Dexi5B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:5B:19503687:19506829:-1 gene:Dexi5B01G0017660 transcript:Dexi5B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRALIAAGATAVCCLVCAFWTFRSSSSTKKQQSPPPNCCICASCCCRAAKSANGEMAVGGENKKKAQEPALPEGGGASMMEQLVPEITTHALSYLDYTSLCRLSMTNNAMRRAANDDGAWKALYHKDFTVEQGTINPPNGWKAYYAATKAIMNLNAEFYNIIREGSLPAMSRFWLNSDYVKCIHATGEFFTGYNAVMEGWGLLFNWGQDGGQGIAFQIRDVRVRVLGEVAWVNLKANVDVDPVLFHVTNVYELRNGRWYMVHHHSSLM >DexiUA01G0014620.1:cds pep primary_assembly:Fonio_CM05836:UA:30656070:30657465:-1 gene:DexiUA01G0014620 transcript:DexiUA01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSPAVETRLHELAYPGAEPPRRPRSAGIRTPDYEMVSPAFGTPFTALSERHPADLTPVGSLLLELLRRTILPRTGNRDGIIALQQEAGADLFMNSVEEWSKLKTTFPDYRPAKIGDKRRGQRALVATQQQFTLEKRAEKAEQDAGLEGVQTIPSSEDESTDEEYVAVPPMPPRAHDAEAGESAGPSDAPAEPAPASAASSELSQLTATVRLLAESLIATNTRAEQREQRAEERFQFLVEQQRRQSEMFQFQLQYLYQATGVQPPQVPLPLVPMAGYPTPPQLLHHHFQLSVCYVLWHSSVLFILRALYGLLQDIYSFPVSDVSFRESVRELTSCFLLRDHWCTYSVRVVLDRAS >Dexi1A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:1A:5379815:5381194:-1 gene:Dexi1A01G0007020 transcript:Dexi1A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPACQAQISQLSSEKPRRRTRSHHDAAAPRLASCNAAAQIRTSPPPLPKRPRKRDTATVEARAPPAPSLSWGAKRSRAARGSGWRDWANLSEGPASLIAERAIANDVADYVRFRAVCSPWRRCCAEPRARGVLDDRRLYPRQRIMLLEFKEVLAAGDAPHHCRRRFLNTSTGHCVQVDVPELRDHGVLRASAEGLILLVNKAGDVRLLNPLTRQMADLPPITGLVGFSPGFIGSCTSSRAALVDDRTVSLYFFNEVGTLAIAKPGDKRWVLIDAGDEVLMPTTYFGGRFYGVTTEAVVTLDMAKWPPRLVVVAKLAKPFSRMVDTVHVVDNAGELMLVHRMIRPKPGSSDPFPYKWMYKVYRVDLAAGKTRLRGGKLVGDHAVFIDLYRTVSVSTRVFPFLSACTVYPGLNCGERSVGYGQIGAYRLTDGAIEPSNIVGGGASCVLGELLIASLLM >DexiUA01G0022560.1:cds pep primary_assembly:Fonio_CM05836:UA:45771556:45780790:1 gene:DexiUA01G0022560 transcript:DexiUA01G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGSPSGARTITTPYHTTGRLAFMPSLPPPPTRRRRGVFHALAGPLIRVDQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi5A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:5A:8084366:8085413:-1 gene:Dexi5A01G0010790 transcript:Dexi5A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVGGDKYRSYIHGESEKDTVWRTGAPPNYDVVNKLFEDERTHVWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKTVNSDKYSASTNGMKALSRAEVMAIGGYNHFLRTKLPPELRIFDPDKETLDSAMATFLTAFPRGFAIEVLEVYSGPPKIAFKFRHWGYMEGPFKGHPPHGQRVEFIGVCIFHVDEEMKVEKSEYFYERGNFLAGFLSPLAADAAASGSGCPVMRGN >Dexi2B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:2B:26368853:26381610:1 gene:Dexi2B01G0016210 transcript:Dexi2B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKVEGGGAGGGATVLHQKLCVSIDMESQLIYGYTEMEVLLADNDTFALHADNMMIRSILVDGESVEFDYSPHWKNEGDQQNWSSISCLNTAADAACSTYTSALNREAVPNLIVSYERSVKSITGQQLEENSEKHEESSGRLEEHGGKPIQTSDNKIVNGCNGSVVEEQKENGSEKGKENGIETGNEKVKNIKLVHVDYILEKAETGVHFVGNVLHSSSQLRRAHCWFPCIDSSTQRCPFDLEFTVSTDLIAVSNGDLLYQVLSKEDPSKKTYVYKLNTPVSAQWISLVVGPLEVLPDRNDISVSHICLSPALPKLQNTITFFHDAYSCYEDYLAAQFPLGLYKQIFLPPEMTVLPTSLGASTCIFSSDILHDEKVIDQANCTVCESDVSGATALSSPAASSDLYGTQTIGSYGKVRSLKAVAVLQMLEKQMGPDSFRKILQVIVAPNRASRTLSTKEIYRKLNFTQTGIYCFYFLLIQFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKKRNMIELAVSRGCTAKATPDSDSHTNGDTRENDAGWPGMMSVRVHETDGAYDHPVLPMAGEALQVVEIQCHSRLAAKRVWKSKKNTKIDGSDDNIDVSTQENRTSMDSPLQWIRVDPEMEYLAEIHFHQPAQMWINQLEKDRDVISQSQAISVLEKLPQLSSAVINALNNFLNDTKAFWRVRVEAAYALAVTASEATDLAGLLHLVKFYKSRRFDSDIGMPRPNDFHDIPEYFVLEYNDNNGNIYSDVYWLSAMVQAIGELELGQQGMGFLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIARRMSSSICLDRICELISPFRNMDKPWKVRIEASKVLIDLELHHKGLDAALLLFLKYVDEEKSLRGATKLAVHVLRLCQASITHVNDQISLTTLIGLLHLLAGAKAYNNVFLRHHVFCILQVAAGRSPTLFGVPKVVTPPLVVQDICSDQHTKADSSIPQPSRPQEPSTSTPSMREVLPTSGPAKDADNISNCSERRNVVKIRVKLTASSSKASDTDHRDHSHGGRNENEVGPCSSLSVDAPMVGAATEPLNVSNHNIEEQNSCHDRESRMSATVSNAKLMDMHEVSKELQCTADSRLDVVPKDQFSPAINPMGLVDKPGSQLEVVSTSYNGNQAPESLNEVEIKERKKKDKKDKKRKRDEKRDKKDDPEYLEKKRLKKEKKRMEKELARKQLEGEGATPEQQKTVKHSGSQEVLPPRPPAAAAPSAEPAPSQSAEPVPMRSSEPQVSSKETTADTARTVAKPRIKIRVKPLLRKPEGS >Dexi3B01G0011700.1:cds pep primary_assembly:Fonio_CM05836:3B:8197012:8198661:-1 gene:Dexi3B01G0011700 transcript:Dexi3B01G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDGAAMDRTFAVELDTYRNAELRDIDSNHAGVHVGGLFSTDSHAAAFHDDSHGGGALTGLSLDSGEAMQAWVDYDGMSKRVNVTLAPMGVAKPSTPLLSDVSDDLSAVLTERAYVGFSAATGPIKTRHCVLAWSFAMDGPARPIDFTKMPKLPRSGRSNKTLKTMALPIAAASALVLLATCAITLLLWRRRRLKYAELREDWEVEFGPHRFSYKDLFHATGGFKSNNILGAGGFGKVYKGIIKDVASAVFYLHEKWEQVVIHRDIKASNVLLDGGMTAHLGDFGLARLHDHGADLQATTHVVGTMGYIAPELARTGRASPLTDVFAFGVFLLEVACGRQPVSNGGVRHGRRTLLVDRVLECWRAGELLETVDARLRGGYDADEARLVLTLGLMCSHPFPGERPAMRQVVQCLDGDAPLPELTPADLSLLTMMQNEGLFDRRCLWSSENSIGTMSIDISVGR >Dexi7B01G0022550.1:cds pep primary_assembly:Fonio_CM05836:7B:27430429:27430854:-1 gene:Dexi7B01G0022550 transcript:Dexi7B01G0022550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAITIPSLVCLRRALRRWRSRAAAVSSSRSSGKGGGGDAAVPAGHVAVCVEGRRFVVRVAHLGHPAFVELLRQAEEEYGFPATAGPIALPCDEDHFLDVLHRVSSSSSTSCCCGPDLKRRGGDTRPLLEGMAVEKLVW >Dexi8B01G0007160.1:cds pep primary_assembly:Fonio_CM05836:8B:8425927:8428650:1 gene:Dexi8B01G0007160 transcript:Dexi8B01G0007160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVNKIGSIILGDDPATFIITKLSEKIQSLKELPRKAEQIRLKLTFMSKVIKKIGTVYLTDELVKSWIAEVRKVAYRVEDVVDKYNYHLLQLEEEGFLKKYFVKGTHYAIVFSEIADQLTEIEKEIQQVMQMKDQWLQQSQLVPDQEQLTEIERQRSQDSFPEFVKDDDLVGIEENRKLLTGWLYSEDQDNTVITVSGMGGLGKSTLVTNVYEREKVNFPVHAWIVVSQIYTVESLLFPEDHPMSRETLVRLWVAEGFVLKKEKNTPEEVAEGNLMELIHRNMLDVVDYDELGRVSTCRMHDIIRDLALSVAKEEKYDSAAEYGELIQVEKNVRRLSLCGWNVNTAPKVKFRFLRTLVAHGINSPSPDIVSSILSQSTYLTVLELQDSEITEVPAFIGNLFNLRYIGLRRTRVNSLPESIEKLLNLQTLDIKQTQIEKLPRSIVKVKKLRHLLADRFADEKQSEFRYFIGVEAPKGLWNLEELQTLETVQASKDLAEQLKRLMQLRSIWIDNVSSDDCASLFATLSTMPLLSSLLISAKDVNETLCLQALDPIFPKLHRLIVRGRWADGTLEYPIFCNHGKHLKYLALSWCQLGEDPLGVLAPYVPNLTYLSLNRVKSASTLVLSAGCFPRLKTLVLKKMPNVKQMEIGDGALPCIEGLYIVSLERLDKVPHGIESLLSLKKLWLLYLHREFKTEWQTNEMHQKMQHVPEIRI >Dexi4B01G0017380.1:cds pep primary_assembly:Fonio_CM05836:4B:19665777:19669161:-1 gene:Dexi4B01G0017380 transcript:Dexi4B01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVLSSAAGAASRVGAVGMELVAALAAHPGLRDAAGRLKATPESRISVGQEGAPRHVYVFQREFATVDPARVEVRRARRDTVVACFCLLGIAWFDRVEQGNPWLVLVCPRTFWVRKMGDLGRLWREFRVYLMTPDSVMSCAVKVNFVFLGQGKSCLLLSSQRRELVGTDEATTCIGVVIRNNRTGMTFDDAFDLPLFDPRTSVSHLDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFSDASTKVVRSSGKKHIKQEGYSYPLCCKIVEVLHKFQQQFNLRSFCVLDNNTTTDSLGNALPVIGGFVVQTSSGVVTPAGFDMNSRCPDEVVRRIRVSVSSYDPAWQGRMPDWADIASSLNQLSDSEVLMQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKPRVFHRTSDGSWSRYS >Dexi4B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:4B:4485044:4486347:1 gene:Dexi4B01G0006400 transcript:Dexi4B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTAVEKQAAARSAKRARRPTTPPDAGDDAAVDLISGLDDDVLLRVLSLLPDASDAVRTGALSRRWRGLWTRVAALRFAPRPGFTGATTAAAGAAAEQRAAIERYAAFIDGVVARRAQSGCAIESLSIVYTTSGIQKQRTPAYVTDPLAIPGPTWWPKAERDMQQLMPSCVRAARCWICNAFRHGVKSFVLDLRLPPAPPPMRVPPVPPLYSWWGYYGDEEEEEDVLLLDGLPCPDRLETLHLALGDARLRLPSTVKFASLAHLSLERINITTGGAHLLGRLVSSASCPHLQKLRMRNIYLRGGVNEEMRIEANVLSELWIDTVAVLTSLKLTTPRLRALHMYECSYEVLTISAPRLEELAISFQPNFTPRWLEKLRSKVACCAYYEDVNDTNVLLLKYYVAHLHALTWFFKVKR >Dexi2B01G0036310.1:cds pep primary_assembly:Fonio_CM05836:2B:43173975:43174495:-1 gene:Dexi2B01G0036310 transcript:Dexi2B01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGGEDATQPADARLFAGVRFAFYGFDEVSQSQCRLEIERCGGVHAGAWDGDCTHLIVSHTLYDDPVCVAARKDRKKVVTDQWVEDSLELGQLADADRVNLASLPAFYACLFY >Dexi2A01G0023500.1:cds pep primary_assembly:Fonio_CM05836:2A:35201227:35205675:-1 gene:Dexi2A01G0023500 transcript:Dexi2A01G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGQPVRLVLDAALLLRPSATGDAAAEALRPGAEALLRRLLYSNLSVAISYVEGMSTNEPGFLEKVASSHSFGYIPLLAKSGDVSPNELILEWSRTSSCFYVTSRVDKGLTSELQNHNWKVVSVGCECNIEVPGVLNVGRLQELLLTLATFIKREIGGSSAMVIGYVMKQSREEDFARAKRSISHVDSFRDGELEKHLAEANLSFPLIVKPQVACGVADAHNMALVFQTEEFSNLSAPLPAVLQEYVDHGSKIFKFYVIGDKVFHAVRNSMPNASFLKSSSGEPLTFNSLKTLPVASKEQQMQARVQDSKSVDTDLVEEAAKFLKELLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSVAVPAFWDAIRQTYESKRGKVQT >Dexi9A01G0018450.1:cds pep primary_assembly:Fonio_CM05836:9A:13551750:13552760:1 gene:Dexi9A01G0018450 transcript:Dexi9A01G0018450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPKQIVMEWLQDPLSWVFLASLAFVLLLQLRRQSKSPPLPPGPKPLPIIGNMSMMGQLTHRGLASLAEVYGGLLHLRLGRLHAFAVSTPEYAREVLQAQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWLAVPDESAALVRAVAATGGAGEAAVNLGELIFALTKNVIFRAAFGTRDGEDQDEFIAILQEFSKLFGAFNIGDFIPWLSWVDTQGISRRLRDARAALDRFIDKIIDEHMRRGKSPDDADADMVDDMLAFLPEAAAKANNKDGGAAAGDVDDLQSTLRLTRDNIKAIIMVRIRRVLSDTPLSQ >Dexi3A01G0019050.1:cds pep primary_assembly:Fonio_CM05836:3A:14893577:14895161:-1 gene:Dexi3A01G0019050 transcript:Dexi3A01G0019050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTANAKKSSKFEDSDSRMSRPRALSDLPHRTSQRLKPAAAAGAEATGSGGAPRRALGVRATAAPRSPLHEARMFFKKPAVAGCGAGAAPRVAELESKLEKAHDQLMGMRHQLAAAEKARKDARAALADSKKRLAAKKKDDDAASSAPPVVHDGGKVPAPAYEAAVIGAEGAKPNGEKGYYITSPATDAFEAIMTSESRKNDAPIVEEGNKTTSDGEEASNNAVADDVDGKKGSAEIELLRAKLMAKDMEVYELRAKLMVIDTEVDDLRSKVTAKSTELEELKAKLMLNNELVDKLTASLLVKDAEVAAVEADNADLTKIAEEAAEAVKATSARARETEHALRESAAREARLAERLRASEHAREALEAEAQRSRVQSEQWRKAAEEAAAVLGGSGVGRVATGASGTDKRRHGPAASAGAGESEGAAAKDGDEEGPNGKRKAGGAMRALSDLWKKKAQK >Dexi8A01G0017910.1:cds pep primary_assembly:Fonio_CM05836:8A:30045483:30046024:-1 gene:Dexi8A01G0017910 transcript:Dexi8A01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVKKFTQEVEDQRYNDFLAEVSIINRLRHKNIVPLVGWSYNKGVPLLVYEYMTNGSLDHHLFRHDRHDPRDARICQWETRYNIVRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSTFRARLGDFGIACAVAPNKSYVTGFAAPLAT >Dexi9A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:9A:5961355:5961864:1 gene:Dexi9A01G0009870 transcript:Dexi9A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPREKRSSEEAHVGLPSWRSRAALAPGGGAELVPARMLRSGVQASSSRLLLAPAEAAAAVQRRRQRSRMPVALAQRRLGARGRRGAARLTVEQRRSRQREPPIRRARGAGEGIWGLEQRSSSRRRAEIESEQGARVNASEPSGANEKGDANRAAEKDRESHGGEKRRK >Dexi6A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:6A:26644090:26644875:-1 gene:Dexi6A01G0019020 transcript:Dexi6A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSCSTSTSAGERKNGEDRVLSHGDVVLIRSDLAVLRGPRFINDRIIAFYFAHLSADLGDDLLLLPPSIPYLLSNLPDPASVAAVAEPLRLASRRLVLLPVNDNADASVPEGGSHWTLLVIDNTTNPSGPRFVHHDSLRGAPNLPVACRLADALRPLLLQGDSRRRATVPVVEGPTPRQNNSYDCGVYVMAIARAICGWWKNGHGHHRGGDWFEAVRREVFAGSVKAMRAELLQLITTLIEEKAKASSTSEVNKTSNTD >Dexi7B01G0019830.1:cds pep primary_assembly:Fonio_CM05836:7B:25288650:25289845:1 gene:Dexi7B01G0019830 transcript:Dexi7B01G0019830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGVPRSRSTPPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPTQMPPLRPSGQQPRPFQITQANPQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYPTEQRGGLASTSHNGVWIPERSSSYNFME >Dexi2A01G0028210.1:cds pep primary_assembly:Fonio_CM05836:2A:39502273:39507638:1 gene:Dexi2A01G0028210 transcript:Dexi2A01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDGGGEGGSNGGRFPILQANRDPESNWELDVAKSLEEYLLKICSGEVTGEDGAHSVNFAEAALLVQGSVQVYSKKVEYLYSLVLHALEFLSQKKQDQQETGSAHSNENDPSTIPSEEDDIFIGLHDVPVEARTSLDNNVERDDLQRKIVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGKENGMAHQGSSAPSKSRPNVFTSPNVRSVGTGRKSAPGKLQEDLDPTQENPGQSNAEATPDNKNTNLDGSYWSDPVDPVIPGDYLHDPDDDPVDPVGDDSDDDDPWKPLNPHEPGNLKIKPYRRVKGSARQVMSAAKKKTLTSSFPMAKMDGVIIPEHAKSFEAQQPQQEELHPSQSPPPYEKLMRSFDYGEQGNPHVFGDPNYETGPDIGVNDDFYGIDDPDSPACGDRDDEIESPSYPSEKNEGPPDGTLGSQENMDTHESLDDLCQSHLNALLASIAEAEQQNEMDARVSTWKERIEHALEEQDKNPPFDIGSYGEEILDTLSSRTDNTGIASFSEIVSGRPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCYTAENPFHVKLIGPNRKPEMEAHFARKRVKSPLQNLGRGGESSLAQQDPPKKPSHKNGKIAVKTAIRLTPDGKRRRKSAAHLVQPINLESSR >Dexi6B01G0018130.1:cds pep primary_assembly:Fonio_CM05836:6B:25176785:25180893:-1 gene:Dexi6B01G0018130 transcript:Dexi6B01G0018130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRLVPLVRCDMMLPATRQRPEIWFWCVLALARKITYAQHVRECLRRPTDGMSWLLASPEESLPFILVDRGFDIWIANTRGTRWSRRHPALLELVMGDIVVNDLPAMVDYVCKQTWQKPHYVGHSMRRCRRERRAPHAARSLKRGGRGRRPYDANPDMQRLIEIVELMKQGARRILVRKNITEPCTVDKKPFAPFYKATLKITGTPRAESGHPVAGLLPRHARRQGNEVAQVARHHRAGDVRGHGIRKVELGTTLAMDALSASYPPARSAATSCARCSGGRATMAVQATLDYALPEGEQKFNSYWQKQAALQQGEAVQSPPPRTTDVRSIETPYSTDVS >Dexi9A01G0017320.1:cds pep primary_assembly:Fonio_CM05836:9A:12343157:12345852:-1 gene:Dexi9A01G0017320 transcript:Dexi9A01G0017320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRWTSASITELPAAGRSSLLPPAGELSPGRHTARRSVSRSDTAPATSIARTIWPSSSSFGGGKSGKITKATRAPSPLSSSSPSSSSSSSVATLADHLAKDDTPHSVSRQRSCTELPRFASDTSDAEPGRKIGRSTGNGGHAFGRSMRLLPSTKPAGVTLTPGRVAPSDLRRLAANNGGGLSLDAAAADAASSGSECSDASTRGSASATSRTAVSNSKPHSPLLPRTSSVRLLGSSTTQWALSPGRRSGSPLKSSTAALATVPEAKGKTTKSLISPGPVLGGARGATRPGPDRHWISLGWGHIFHRRKHAAEDATIAAATAATLLSSPSRSSHGGAGEVWHEMRMAHCRLLQWRYANAKAEAVRKRKKASAELELMGAWASVSEMRGKVARKRVQLEKEKQKIKLNTVLTSQMKDLESWGQVETEHATALASTVSCTRAAVCRLPLTNGAKVSLPPLSTILQQALELTLTAKTITRSFSPKAQDTALVISDLVRVATEEKVVLEECLELLSRVSALQVEEQSLRCHLVQSASLGALTVECTQAL >Dexi5A01G0025500.1:cds pep primary_assembly:Fonio_CM05836:5A:29342745:29349623:-1 gene:Dexi5A01G0025500 transcript:Dexi5A01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPVKELKLAVPAQESPVDKFLTASGTFKDGELRLNQSGLRLISEENGDEDESTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTFYALKGIQMNIQESVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQLKLNGVMLIQVLEGLMYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISNCIQKDPAQRMSASELLNHPFLKKFEDKDLDLRILVESLEPPMNIPE >Dexi7B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:7B:16884231:16884977:1 gene:Dexi7B01G0009250 transcript:Dexi7B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRQAIMLIGGALFLAGSVINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPTKWRGAFTSAYNAFVVIGILSATITNYFTNRIPNWGWRVSLGLAAVPGAVIVLGAFFVSDTPISLAMRGQTDRARAVLQRIRGADADVDAEFKDIIRAVDIARQNDEGAFRRLFSKEYRHYLAIGVAIPVFYEFTGMIVISVFSPNGWI >Dexi9B01G0010690.1:cds pep primary_assembly:Fonio_CM05836:9B:6746631:6747830:-1 gene:Dexi9B01G0010690 transcript:Dexi9B01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRMATNLDPAPGIPLRRVLLYAVLLLVSNLATFLFSSSSSYSSCEPPPTPTPTPTAIPATPWQPELPPEFHAFAGPHALPYGRNPNWGTAELRPPAGHPCLAFPDLLAAFMSYPVNGSCPDDELPSQRLLLRGCEPLPRRRCRPAAPPDPAPPLPLPDALWSTPPDRSVHWSAYTCKSFRCLVDRARSNRFDDCKDCFDLSGRERHRWLNATENKKKKNRIEFSIDEVLASASPRGSIRIGLDIGGGSGTFAVRMRERGVTVVATTVNLNGPFAAVVAARGVVPLYVSVAARLPLFDNTMDVVHSMHVLSGWMPPAAQQFALFDVYRVLRPGGLFWLDHFFCGEAEMPAYVEVVESVGFGKLRWVTGRKLDRGAERKEMYLSALLEKPLKNSWRHP >Dexi9A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:9A:1561849:1562992:1 gene:Dexi9A01G0002960 transcript:Dexi9A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSLPIFTGSKIEGEGSLSITVALADTLTRQVVASGKESLMKVEIVVLEGDFESGEEDDWTAQEFNNNIVKEREGKRPLISGDVFVALIAGIGTVGELSFTDNSSWTRSRKFRLGARTEDVYKKHHPPFLEDEVWRLEKIGKDGAFHKRLNRERISTVKDFLTLLHLDAPRLRKILGGGMSAKMWEATVEHAETCVLTDKK >Dexi3B01G0028030.1:cds pep primary_assembly:Fonio_CM05836:3B:23844814:23845864:1 gene:Dexi3B01G0028030 transcript:Dexi3B01G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDPCSSRHVEEELHRGSIEWQRAGRGRCPEGAGEEEKTGWLGSSRATTRAAGGGRLAGKKRDRWVTGKRERVRSFSFFTLAATPEHPYFMPCEMMQVFSVGLSSPLTHPIDIYGWFSVRDDWEPLRNYLFKRSRDDPAMNSEASHFCTCP >Dexi5B01G0012370.1:cds pep primary_assembly:Fonio_CM05836:5B:8760514:8763005:-1 gene:Dexi5B01G0012370 transcript:Dexi5B01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVEACDSWRPPRGHAGAPPPLFRAGPRVPAFASLSVRDGGGGEAAAAVAKAVEEAVAVRAGEGRRERREAAGGDEAAAKTKGRRLPPAAELVRHPLAMLALVPHSAALFAAGAAAGAAAKTVTAPLDRVKILMQTHSVRVAGESAKKGVGFLEAIADIGKEEGLKGYWKGNLPQRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQALWSVMV >Dexi3A01G0033720.1:cds pep primary_assembly:Fonio_CM05836:3A:38462132:38462912:1 gene:Dexi3A01G0033720 transcript:Dexi3A01G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDRQVVRQCDMEVMKMAMLKQEQTFRQQVHELHRLYQIQRQLMSDLTRDDEPVVATRRRCKQPRRALDLQLPADEYIVSADEEDDDGAELELTLAVGGRRSAGRRNSNRRRQDQEQHESPGGGSSPFGSDDCSGASLLSSSPSSAGYYSDDTPAAVFHAPPPPPCQRTMAFDLGEGIMRQQAPWLMQCQQYLSLRMT >Dexi3B01G0031070.1:cds pep primary_assembly:Fonio_CM05836:3B:31421573:31422332:-1 gene:Dexi3B01G0031070 transcript:Dexi3B01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIGAARWVVGMALGPVTDGVLESWAASSELGANVRPLKMELLYAQGILDNARGHRDDVRSPALAQLLLELGHLAYAAADVLDELDYFRIQDDLEGAYETTDDTDDRGLVGGIVLNARHTARVVARNLRFSLSSPADVIRGDDDDDDEEQEDAKKGCFSIGCSGRKKTHSPSQDSQHGGQAKAQGGCLSVANKFTSSARNTAHAVAPTCHRVKGNSSAALGHPRQNRVSALLLFQS >Dexi8B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:8B:24109392:24109714:1 gene:Dexi8B01G0013740 transcript:Dexi8B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVKSSLVMARNDKGNTPLHCAAGAGNDAMVACIIALAARWRTRNKSGETALHQAVRAGSKAAMDELMSVDPELASVPREGEVGNITSPLYLARRIWRGI >Dexi3B01G0017580.1:cds pep primary_assembly:Fonio_CM05836:3B:12917003:12917819:-1 gene:Dexi3B01G0017580 transcript:Dexi3B01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAGDSDPDHHHHADKASKIPPSSPSPAPAARTRLHDFSFPTLSWGTHRLLRCSKDGGASASASASPPPHPQTPSPEKEEKPQGQHGSSLPGATGAAPQPPRPWNLRTRRSATVAPLASRSEAAGKAAAGLAQRPLASPSAAAAARKRGFSAALTKEEIAEDFAAIRGTRPPRRPKKRPRAVQRQLDMLYPGSSLADVNLDSYKIDER >Dexi9B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:9B:1109354:1111027:-1 gene:Dexi9B01G0001920 transcript:Dexi9B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTGRELDADAAKAQAAREVCAASAAFASCPHRRRRSPRGGRPHFVDWYLVLAIGEAASEDAVRRRYRQLALQLHPDKNRHPKAEVAFKIVSEAHACLTDRSRRRAFDADRRASFCATCHDRHAARSSAAATGGARLRATSDKQMKPAGRSKQPRAVAAPTQALRDMQNRMREECRVIDGCLKANDAAACARRRQSFPLFDPSDHRRRFPDYPHVRPPPVTPFGTSEFWWFDERLARADQNIQNQRWCRGGGESPVYQIRKAAAECTERTKRAW >Dexi2B01G0014790.1:cds pep primary_assembly:Fonio_CM05836:2B:24661478:24661808:1 gene:Dexi2B01G0014790 transcript:Dexi2B01G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLSMGAQKVSFHAGERHAMIRVPGTVNSDPPRPLCGVWEYI >Dexi9B01G0024040.1:cds pep primary_assembly:Fonio_CM05836:9B:19599431:19599844:1 gene:Dexi9B01G0024040 transcript:Dexi9B01G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCAATSRSRSRGARTHTRRWHAGTPGRAPADEICCGHRSTRRAASPGGCRGTDPGLPPGARQPPLRIGLRRVVVEHQPGLLEEVPLQELRDGARDRRMAAEAQGTGEGLIYIWGRGSKATLDGEAEQTERRCGRG >Dexi7A01G0004600.1:cds pep primary_assembly:Fonio_CM05836:7A:15545611:15547780:1 gene:Dexi7A01G0004600 transcript:Dexi7A01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSAGRGLAHADPAGAPVYAAARPRIGMSGLRSLQGPGLPSGTFGCGWPAAGCCPRANSMCVLIFVGSNSATYFNTASLVTCIQNFPTSRGPMVGILKGFLGLTSAILTQIYALMHTTDQATLVLMVAVGPALVAIAMVFVIRPVGGHKQARPSDKKSFMFVYTICLLLASYLAVVQLAQDFLHLSDNVINILTVILFGLLISPVTIPVALTITSKEDLLVEEDVLSEPLTGEASTSQEEEEGQPRSISSEVEEKSKDIDVLPPYERRNMRPHLGENFTMMQALVKADFWLIWISFWLGSGSGLTVMDNLGQMSQAVGFKDVHMFVSLTSIWNFLGRVGGGYFSEIIVRERGCPRHTALAIAQILIAAAHFLFAMAWPGTMYIATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLALANPAGSLIFSGLITSTLYDYEADKQAHQHQAMALLSPRLHHTTGFLTDGSVKCEGAVCFFVSSLMMSVLCIVGAGLSLIVVHRTKRVYADLYRSVHT >Dexi3B01G0000380.1:cds pep primary_assembly:Fonio_CM05836:3B:302275:303777:-1 gene:Dexi3B01G0000380 transcript:Dexi3B01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWLTAFLQQQQQAATAPDSSPTPPCSSERPRTEIYASHSSGASLKHLATALLFLPLPPELVTRKTDQIVDTEKDGAGEALDVHDSDVPIQAAITEEPPNDSIMPHPDEESRRVEHELLLPVKETKNVKQGLAWLLHHEEARRVEHDLSRDTAMVQSNKEAVILQCEDEARIMELAPPRDPATVQHKEDGTIVELDPLSSAEAVKCNMEARTVEQESASDYVALQSDRDATDVEEPPSHTAMMQREETETRKQETPQSGELVQPN >DexiUA01G0026440.1:cds pep primary_assembly:Fonio_CM05836:UA:56471352:56472820:-1 gene:DexiUA01G0026440 transcript:DexiUA01G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGIIWSRYSLVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYLSDEKDAAPQLEA >Dexi5A01G0012460.1:cds pep primary_assembly:Fonio_CM05836:5A:9360962:9364207:1 gene:Dexi5A01G0012460 transcript:Dexi5A01G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPPPVEPAAPPPAPPPTPTEAEAEGEVAAGSFSRNSAVGTVNWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGNTPDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIDTRGVHDVFNIAGAGSATAAAFGLICWIQLKLAEKANLEIANSKPSSDLTEGKGNQSRVGAAIERLEGSLKK >Dexi2B01G0017910.1:cds pep primary_assembly:Fonio_CM05836:2B:28244247:28246890:1 gene:Dexi2B01G0017910 transcript:Dexi2B01G0017910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQTQDLVKELVHRLLSAAESGGGGGGGGGGGGGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALAFADLHSKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAASLRNAASGTAAGAGGRPGSRAHGGPTGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKAGDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPMFQEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFSEFFIVGQPVKAESLWREGYLIQSDMLPAFISPVLAQRILRTGKSINFLRVCCDDSGWADAAAEAAAYVGTTTSRGGLGYGETDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFQLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKVFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSDEMDAAKDLDDLLLAHDKYLNSILEKALLGERSQGLLRNLFELFDIILQFRSHADRWFERIYELQLRGKGKPKSKSKESGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTTSLDAFITQLPMQQHVDLKFLLFRLDFTEYYSRVSSSK >Dexi8A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:8A:22564353:22566203:1 gene:Dexi8A01G0012820 transcript:Dexi8A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISNLDTINVQTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKERSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDDDYKRALRGPLYTRIREYQDSDDKAKVNSTEENATEAPPANPTVQNRLAVTNTTSNCEIVEEESEQSTEIRQTYSFPSKKINDRADDVVDKDSLKNSTASTVTKTMSMTLRSAIRVHGEESLETNGLHQNGFGKNKEPKRVRKAKRWPCCLTPTTIG >Dexi2A01G0022480.1:cds pep primary_assembly:Fonio_CM05836:2A:34344594:34344933:-1 gene:Dexi2A01G0022480 transcript:Dexi2A01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKKIIACGVWPTMLGMALRFVAGPAATTAGAVALGLRGDVLRLAIIQAALPQAITTFVFAKEYNLHADVLSTA >Dexi2B01G0008640.1:cds pep primary_assembly:Fonio_CM05836:2B:9097466:9098026:-1 gene:Dexi2B01G0008640 transcript:Dexi2B01G0008640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASSSTNCHSIISLITLLFFIIINVREVAGEPPSVVPSACKRAYGVGIGSLTEDFCLSALTGHSAGAADNGDLALIAVDLATANATATERKIDDLLNGGDVSEGLQSCRALYKAVVHQYQPQCRAAVKERRFADGKLCLLRTAQAPVDCERWFQQRMLASPVAREDDSLAKLVNLAIALSSIA >Dexi6A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:6A:28160752:28161803:-1 gene:Dexi6A01G0020750 transcript:Dexi6A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFRLKLAAILLESDNNTAIESEDTESNADETIDPNDCVILETPQSFQCTYTSFRDTAEAICDYILSIADGDALGKEWVISSNPYPITVNLEKLQGILNVNMPMDRDIFNLGARMLACDVLTSFREPKCHFLDLKFFVFVPYRSCESYGVFALDRKDRIIAIIDPTPFSQWNDYNHPSFYYLPKIQKIAKTYERAMEEVDPMWNDDVYDWRHIFPSFVPKTMD >Dexi8A01G0015940.1:cds pep primary_assembly:Fonio_CM05836:8A:27001923:27002411:-1 gene:Dexi8A01G0015940 transcript:Dexi8A01G0015940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLLVGLWRVWSSLARYAASPSTFKVRAWNPVSSISSSPHLRLLELYVVAGGAFADMCVEVLYSTHLRIFVDGGEINPAHLNDLEHGGMLLMFFLFGALALSSQLFPSSFV >Dexi3B01G0022970.1:cds pep primary_assembly:Fonio_CM05836:3B:17688792:17695855:1 gene:Dexi3B01G0022970 transcript:Dexi3B01G0022970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAMLTQKELYWHTNGTSLFFSADWSPHAQGSQNRSKPAGSNGNRSNRPGPVAPLPPAGRPTVTRGSTAGAECSRRCRSAIGRCGCGTFGHHASASPATSPSLFPERGEGSRGTYGWIWWKELRSAQLIDGACTRRHVVYWQQDYRQQQPGRCSFPAGGHGPMIAVALCLRDAKLQPPHLHAAKWEERTGQYQPPQQSSFSLPGSQMATGHQLNWPPRDETPSYRDGQPGPRAIHVIRRRTSQTVSERRLQLCIKGRWDQQQGRSSKQVATAVKVMIAKEAIGNPHALASRQPGSN >Dexi8A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:8A:1490082:1491263:1 gene:Dexi8A01G0002260 transcript:Dexi8A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGPEVFSLGFRFDPKPLDVVSYYLPHLIAGAQLHVAMRPFVHDADVYAGEPSDLARMFRPLPKTGQRFFFTSRKLQPQGRAAGKKPSKAMRAAGAGSWHSQGSKDVLNKDNEKVGEVTKLRYKKGGKYTDWLMEEYSCGLQDAIVGGDRQLVFCNIYVSPRAHQDSVAYKESAAFFAPPPPSAPVVVMAQAAAPHKRQVPEIASPPCPKRMRIAAVAPSHPVAQPPRPCVLQYGVAPPSSTPSVSVTRPSPASVAQPPAPAPTRLATQAPAPPRPLGQPKQQQQMPPATPPVARASPHMPVQAPACHCRPQASVQRKHKILDPFEAMTDDADDEGDDLAKSLEDALAEAEAEDEAAANSEGSPMSFDDMVQLLEKEIVLVPKEEILDPIA >Dexi2B01G0020110.1:cds pep primary_assembly:Fonio_CM05836:2B:30236782:30239117:-1 gene:Dexi2B01G0020110 transcript:Dexi2B01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRILSALLLAALVSNVACAKFRRNSFPEGFIFGTGSAAYQYEGAYKEGGKGTSVWDIFTHIPGKIKNNDTGDVADDFYHRYKDDVKFLKDMNMDAFRFSISWTRILPTGSLSGGINKEGVAFYNNLINEPFVTIFHWDTPQALESKYKGFLSENIIKDYVDFAEVCFREFGDRVKFWTTFNEPWTYATQGYGNGNGAPGRCSPFISKSCTPGDSSREPYIVTHHILLAHAEAVKLYRAKYKPYQHGQIGLTAVSHWFVPNTNSTADLKAVKRSLDFMFGWYLDPMVHGEYPGTMRAYLGDRLPRFTSEESKHVKGSYDFIGVNYYTTYFTSAKPAPNGLEQSYEGDIRANTSGFRNGVPVGPPEFVPIFFNSIPLKKALKDGERIEFHYKHLQFVNHAIRDGVKVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESSYWIESFLKR >Dexi8A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:8A:1289441:1293713:-1 gene:Dexi8A01G0001910 transcript:Dexi8A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARSSLFIIQLLIIITVNGASSSLAAAHGVTLLIDSRQVMVDNGVVQVTVSKPQGHITAVRYNGDRNILQYAAGQDNSGGYWDVVWNYPGSDHPRGMIDMLDSTEFKVVSSSPEQVELSFMSTYNPSRQDSVRLNVDKRLVMLKGSSGFYCYAIFEHTCDYPAMNISEARLAFKLNTDKFNYMAISDDIQRYMPSAVDRDEPRGTPLAYKEAVLLVNPKEPQFKGQVDDKYEYSLDNKDNVVHGWISSSHPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGDDIVLNIGDGEYWKKVMGPVFIYLNSSPKRGDLRTLWDDAKTQAQAEVSKWPYSFPRSPDFAKAGERGSVIGRLMVRDRFMSNNDIPAGTAYIGLAAPGQPGSWATESKGYQFWTTAGSCGNFTIGNVQAGVYNLYAWVPGVLGDYMYTSHVTVTPGCVLDLGDLVFQPPRSGPTLWEIGVPDRTAAEFFVPDADPKYANRLFLHKDKYRQYGLWERYAELYPDSDPVFTVGQSNHSKDWFFAHVTRKVGNGYMPTTRQIRFNLDRVVTDGTYTLRVALATAQMSRLQVQVNGGGAGWRRGVFTTPEFGGGNAIARHGIHGVQLSFEFPIRGCLLQQGENSISITQTRAFGEFLGVMYDYIRLEGPPGSWRDPTRRA >DexiUA01G0023080.1:cds pep primary_assembly:Fonio_CM05836:UA:47053025:47053901:1 gene:DexiUA01G0023080 transcript:DexiUA01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERDGEGRRAHVTLVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRGSAAQARPPPLTWRLVGSFFLLGLTGVFGNQLLFLLGLSYTNPTYAAAIQPSIPAFIFILALIMGTETVNLVSNEGRAKIGGTIVCVLGAVLMVLYRGPAVFGSSELELDVHSHGIGDFC >Dexi4A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:4A:4458346:4462021:1 gene:Dexi4A01G0006210 transcript:Dexi4A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSQPRARNDPLKQTKRPKTSEKTNPPARSSPTSLPIYLATTGSAACHPPPPGSATARHVSSQSAPHPTSASSHGSASRRRRRGRMALFRRLFYRRPPEGVVEISGNILVFDHCFSMDLLEEDELMPHIGGILKQLLGRYSIDSFMVFNFEGGKKDNQIASIFSYYDMSVMGYPRNYEGCPLLAMEMIHHFLRSSESWLSLSQDNLLLIHSEHGGWPVLAFALAALLVYLKRYSDERKALEMVSRHAPDGLAELFSPIDPVPSQLRYLKYVSKRHISPELWPPVDKLLNLNCIIIRTVPNFDGQGGCRPIFRIYGLDPLVPDDRATKVLFSIPKTSGVVQLYTQEECEIIKVNVNCPVQGDIVIECTSLDEDFEHEVMVFRAMFSTAFIEDNLLVLDRDQIDILWDTKHRFPEDFRVEAIFSDMDMSTTIRISELSSKEKDSLSKVDDAFSHLDWSSKNEQGTNHESDQKRLPNEHEGFDVIPLEETGTSNSAPEHSFLDSRSVHIEPAGSSTTKFKDSEDYDADAHSLSEPEAPGPNPQGDQLFEDASAQDEPEVDGTENEPNSELLRDAEAGGAADAEWSDNNSDVFLSDTHSSAPSSPPKFDEDILEAGMVETRSQLTELKI >Dexi4A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:4A:7735254:7736159:1 gene:Dexi4A01G0009790 transcript:Dexi4A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSEMKMKNPIGVATEMMKKGSWSPEEDALLTSLVEQHGPHRWSLISAAIPGRSGKSCRLRWCNQLCPDVHHRPFTPHEDAILLSAHARYGNKWATIARFLPGRTDNSIKNHWNSNLRKCRRRAAAAAATAASSTISASTSHVAKPWLPPITQQNDNNSSLVADQLVVDPQQCMMRNHNGISASPAPMGASGLGADPNNNCDRSLVGASGLPMSLSLSLGPPPLQEPEVVVDVAGGSASTKTRLPEVGIEAANQSSQQPEGNVKLMEMVRQMVREEVQRQTGQLAYSLMAAATWAKGGHH >Dexi2B01G0031130.1:cds pep primary_assembly:Fonio_CM05836:2B:39320241:39320564:-1 gene:Dexi2B01G0031130 transcript:Dexi2B01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRASVPSLALLLALLLSCAVMMSSAARMLEEEEAPPKEEPEFPPHLTVPEFPVPEEHKLPPFPEVHLPPKPELPEVELPPKPELPPKPEIPSIPEFHFPEPEAKP >Dexi2A01G0019740.1:cds pep primary_assembly:Fonio_CM05836:2A:31895496:31897332:1 gene:Dexi2A01G0019740 transcript:Dexi2A01G0019740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVSFKMETEVDFDPSGPEPVLLDATAVSSDKILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLKGPHEEANSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >Dexi3B01G0024760.1:cds pep primary_assembly:Fonio_CM05836:3B:19404919:19405992:-1 gene:Dexi3B01G0024760 transcript:Dexi3B01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVTAGSQTRVQASEDGRKVVDEVSGWLRVLDDGTVDRTWTGPPEALPLMQPVAPYSTPRDGHTLHDLPGEPNLRVYLPHVAPGGDRRRLPVVVHLHGGGFCVSHPSWLMYHHFYSRLACALPAAIVSVELPLAPERRLPAHIDTAIAALRRLRSIALSDDDPVAALLREVADVSRVFLVGDSSGANLVHLVAARVGQEEDGKNSWAPLRVAGGIPIHPGFVRATRSRSEMEVRSSDSVFFTLEMLDKFNAMALPVGATKEHPFTCPMGPQAPPLESVPLPPMLVAVGEDDLVRDTNLEYCEALRAAGKEVEVLISRGMSHAFYLNKFAVDMDPTTGERTQELIDAIASFVARH >Dexi9A01G0000060.1:cds pep primary_assembly:Fonio_CM05836:9A:48449:52721:-1 gene:Dexi9A01G0000060 transcript:Dexi9A01G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPVAAYPGSMACCCLQLRRLRRPAPAPPPARVLLLLSPPRLRPVRASPGSPSFAGWSSDDGDADQSPLGFGPAGETAATESYPDHDSCKENSVTHDAAQPSSPTDCQDDNDSLNKGDDTDVLPTPLESSDMPGGADECEMEPPLQNPELVTNGDHIVSEQVHQFDYPIASDDGSQSLVSSPPLPISDEYTQDDFASPTKLDGADGIPDLETTSDSDPKMDLPENQHLDDTSISDAVVLESEGVVPIQEYPEDKAMYIDNVTGLAFDDVTPEDPDFPFIQGLAEAGLISSKLSRSDMNTPEDVQDDHNLFYPESPVSRQDLVSWKMALDKRQLPEVDRNCLLKASGYIDIDRINAAAWPALVADLGAGDQSITALAFGFTRLFQPDKPVTKGQAALAISTGDSSEMVLEELSRVEAEKIAEAAVNAHGALVAQVEKDLSARFERDLEKEREKIETLEKLAEEARMELDRLRAEREEEKNILLRGRAAVESEMDVLSKLRTEVEEQLQSVLSKKVEISFEKNRIEKLQEKIENDNLAVVQLQYELEFKVQLPIASNLEAWAEEEAKKAREHARALEEARNQWERQGIKVIVEGGLEDDASAGVTWANAGKEHPVNEAIGRAESLLEKLKSMSAEMKVRSHDALERVMEHVRSFIASLKQQAGDAREWFTEFGTAAALKASKASAEVQGSVCAFGSAIGEKASKASAEVQGSVCAFGSAIGDKSKRVMEDCKEGLEKFSHRFKTD >Dexi5A01G0004450.1:cds pep primary_assembly:Fonio_CM05836:5A:3364508:3364662:1 gene:Dexi5A01G0004450 transcript:Dexi5A01G0004450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVFRRVAMPSGWASATVGRGIQRLGRCGPNRRFRGTLLGPPV >Dexi5B01G0039500.1:cds pep primary_assembly:Fonio_CM05836:5B:38297725:38301293:1 gene:Dexi5B01G0039500 transcript:Dexi5B01G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYVWGEVISGSAVRVGPDTVIRSTGKIDVLLPKPLESKLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDSVHPRLVESLAICNVDIVACGEFHTCAVTTAGELYTWGDGTHNIGLLGNGTDVSHWIPKRISGALDGLQIAYVSCGTWHTALITSRGQLFTFGDGTFGVLGHGNRESILCPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEARLKPTCVASLIDYDFYRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDVNQNKRKEAVPRHSGESNPDTKLAKAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMSSLLQLRDIALSGGIDLNKSVPRAVRTSAVRSLNSSRAVSPFSRKPSPPRSTTPVPTTHGLSIAKTAADSLAKTNEMLNQEVERLRAQVDNLRHRCELQEIELQKSGKKVQEAMTLVSEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYGGSEANQALVPNGIEMYASIYTSMNGQHRSSGSISENSEVSAHSHRLSGAPDAENLNRRGHSSSDEMLSASSRADDGGSKDARSLVNGEDGYKSRSAISLPGNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAESWWNENRDKVYEKYNVRSSERVSSASSIRSAR >Dexi1A01G0020600.1:cds pep primary_assembly:Fonio_CM05836:1A:27454782:27458128:1 gene:Dexi1A01G0020600 transcript:Dexi1A01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEGDRVGGGGGGGAGVGGGQQFVDRSKVRILLCDGDANSSQEVLRLLCNCSYQVTCAKSPRQVINILNYEGGEIDIILAEVDLPVTKCFKMLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRFGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFFNDNFELVLSEPSDANTNSTTLLSDETDDRPKENTNQETGTSNQREYESNPSIAEPEQRGKMDGAPDSVIGADQALPEETCSRSERRAAALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQTSNTDIISTGDDISEDEDDDPSSREVEIVSSPE >Dexi3A01G0007110.1:cds pep primary_assembly:Fonio_CM05836:3A:4818671:4825389:-1 gene:Dexi3A01G0007110 transcript:Dexi3A01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRRPLLVFLAGLLLVASLATLAEAIYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVLSSLDLRSGDIFWRHVIDKNDPLDQLSLSLGKYVITLSSGGTILRAWNLPDGQMIWETNLQTSTASDPQLLIMSNNKVAKDNLVLVSAGRWIYAVSSIDGAISWEKEFSFDGLEIKQVFQSSENDIVYAVGISGSSKLALYQLSAKTGEILKDVQESIPGELCGEVVLGSDDVLVALNKARSSLFLIEFKSERISYNKVHLSDLVQDLSGTFKLQSLSNGIIALQTSSAVSLLKLKGTDGLEVVQRFDQPAALSDALTITEKDEAFAIVQHVGSQIEFLVKFTSDISSESIREKVNIDQNRGNVEKVFLNSYIRTDKSHGFRALVVMEDHSLLLIQQGEVVWSREDGLASIVDVTTSELPVEKDGVSVADVEHNLFEWLKGHMLKLKGTLMLANADEVAAIQALRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIVWSNLLPSLRASRFGGIPSALRIYQWQVPHHSVMRENPSVLVVGKSGAESSAPGVFSILDSYSGEELNSMKLDHSVVQIIPLTLKDSSEQRLHLIVDSNSNAHLYPKSPDALDVFLHEMSNLYFYSVDIQTNVIRGYSLQKSCDINGDEYCFGTKELWSIIFPSDSERIAISETRKMNEVLSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVVVKSQSYFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRYLDPRRSANPTQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSIPAKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAVLVAAIIATWIWSEKKELRDKWR >Dexi5A01G0027700.1:cds pep primary_assembly:Fonio_CM05836:5A:31114733:31115975:1 gene:Dexi5A01G0027700 transcript:Dexi5A01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACALAVVQPAAAPAPCGRRNLSCHLPRLPSPRLPGRIRGRSIVAKVAQDGSESPGSSIFNTAEDIFALAGIGFATVAALWASVNLIEIIDKLPVLPLFFELIGILVVWVFIYNNLLFKPKRQEFLKSIKTTVSQILGQ >Dexi1B01G0015930.1:cds pep primary_assembly:Fonio_CM05836:1B:22453225:22454588:1 gene:Dexi1B01G0015930 transcript:Dexi1B01G0015930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPEAVVLEIPAAEEGSPPPPPARVPPRIRRRLLRARDGGGRAPTAEEIEAKLREARLRRQQFHETLSSKAKSSLRIPSGSSQKEDRAQLLEAKLVSAKQKRLSLLAKEQSRLAKLDELRQAAKNDAETRFEREREELGMKVELRIRQAEKKRTELLHARLLRRAALEERTKNFFMQRLALENKYRERVRSALMQKRTAAVKRRSGLLESEQRRAQCRLLQVQLAAKIASSQRETERSKLKEQLEDKLQRVCISPMHP >Dexi8B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:8B:26924172:26925946:1 gene:Dexi8B01G0016030 transcript:Dexi8B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTAVEQKKRVVLGEIQLTPYYYNGMEQMLDGVRPEVMRCYTHLGDDHVKDPKALNRMLLLDVCYLLTIFFEFSDINEDSERKIVTHDNTLVRDILYLVENQIPLFLLYKVLCYLTGQELSGSFLNAITNNVQQHLQKQLYISKTERTQLPPMSVASDLVHLVHYYLQCPSQGTTAGPWHGATEYQHRAAAQETTGWWRRATYYSNTTWTMLRNLMALEELEEKERPVTAYCYFMSQVACTAEDVELLERAGIIEHFLGSDEQAAKGFAQLCDGVALDIDKLQQSYLSPMRHHLHERCKQSDAQLQGIVPREVTQVIYAALAYHKPPKSP >Dexi5A01G0000270.1:cds pep primary_assembly:Fonio_CM05836:5A:198307:199017:-1 gene:Dexi5A01G0000270 transcript:Dexi5A01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTTTMRSPSCPCPPPHHHHRMTTPALELLDEYWFFSNTLAGSSNTNNKPPSCVSPSSSSSKDRHGTSTSPRFASSMGRRLLRTPSLPPPRPRVDDDDDLRRGSGDRQQQQPVQDDDDDDLNWSSIYEGVLRTRINEGGSGGPPPALRRAPSMPVPSVKPGPAPSSTSSSRMPRSSTSGRSNSIKWRSSGDLEPISSSTRRPPALVRVQSAAEMKDQLRMWAQAVACNVRRQDS >Dexi8A01G0008870.1:cds pep primary_assembly:Fonio_CM05836:8A:11016892:11017632:-1 gene:Dexi8A01G0008870 transcript:Dexi8A01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRDHTSSASYSYYSAAAPAFDGTDDMLLQLGAFLLGSDADAAASAGEDSSGWLSPSPSSSSDAGAVSSQPPPGSPELHRRQPEPAAFIGVRKRPWGKFAAEIRDSTRRGARVWLGTFDTPEAAALAYDQAAFAARGGAAVLNFPVDQVRESLAALALAGGGGGSPVLALKRRHLKRTRRRKLPAGENHKSQRQAAHQCSDVSSTSEPPQQVAALRHYQHYGVVELEDLGTDYLDELLRVSSELE >Dexi9B01G0042350.1:cds pep primary_assembly:Fonio_CM05836:9B:42540091:42546404:-1 gene:Dexi9B01G0042350 transcript:Dexi9B01G0042350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPARPPPMHPRNPSLGPTSRPAPARAPAPPLSPTTPAARRPDTPRRTAQETPRACVDGSSRNGARSQYRDSRGIFHVTGELIHTLDGLEVHFPFQTDVKAYEASKHMPENLNLEALPLSQLWPKKFKMEPPDSQDIGLWFVSSQKRPHRSFSHLIAKVASHTGLWTKVGDSELAIFSSNLLSSHDQRKNGELYFWGVFGKRIRKKRCQPNSHIKNVKINNPLTKRKEIKNTESDFGMTWGARGNPTDGTGNKERVRDNCEGIANVSELTGDKETDRVDGCMAVLGTPDSNPASSSSAPAASLLNGCCSHDSANKSTCSLEDSKCQPADRSSASSDLMLDIPPGFSLDVTPGFSEAHHQLQNEPAAVSCAETPPSLILDTAPPGFSLDVPPGFSEAHRFHMGIPPGFTEAHGRLPAAISTARPETCVSTPGTEKNPPVRFSLNVPRPVKMEEVPPGFTTLHAVKKEPGLPTVDNATEKQHSLVSAASSMEKAGKADEMEITGNEVKAEQNENSEEREFPKIKRLSDLYPRPSDTDSTGFSQPVHLPEKFQERAPEKHMHPRKRGRQESPEHSPADTTTRRLSVNGRIALKNSSGQGDGKLRCVCASSEGRAVLPTRAAGLSSLASCGGRLDSESISCRCVVCGKEFPAQ >Dexi2A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:2A:14932463:14939540:1 gene:Dexi2A01G0012790 transcript:Dexi2A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGGALAAALRHLLLLLVCFLAGGARASPATDALRHASSRAAAGGLCQQLLLPQGYPCTEHTVKTDDGFLLSVQHIPHGKNGVADNAGPPVFLQHGLFQGGDTWFINSNEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKLFWDWSWQDLAESDLLAMLSYVYTVKQSKVVYVGHSQGTIMGLAAFTKPEIVKMISSAALLCPISYLDHVSASFVLRAVAIHLDQMLITMGIHQLNFRSEMGVQMLDSLCDAERLDCNNMLSSITVIRKGTFAKYDYGWVGNLGRYGQLHPPPFDLSSIPESLPIWMGYGGLDALADVTDVERTIKELRSKPELLYIGDYGHIDFIMSVKAKDDVYLNLMRFLRAQQGLHSSY >DexiUA01G0000990.1:cds pep primary_assembly:Fonio_CM05836:UA:3058281:3059690:1 gene:DexiUA01G0000990 transcript:DexiUA01G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISASFLKGGSPQSVVFFLGLYLMAIGAGGIKPCVSSFGADQFDDSIPAESLKKDSFFNWFFFATYIGSFVAGTVVVWVQDHYGWGFGLWLPTLFIALAIASFLLGSRKYRVQKPLGSPIVSVFQVIVASVRKWNVQLPQDDSLLHELPAKTTPMADGHKLQRTPVLRFLDKAAVISSTEDPSDSNPWRLCTVTQIEELKVIIGMLPIWATGIVYFSVLAQFSSTFLEQGRTMNKHLGAFPIPPASLASFDAVSVLIWVPVYDRALVPAARRLTGNARGLSELQRFGTGLFLSVLVMSAAAAVETRRLASAHAGGEGGTSPMSILWQIPQYFLVGASVVFACVGQIEFFYNEAPRSMRSLCSALALLTVALGSYVSSLVVTAVDWLTTRGGGVGWIAEDLDDGHLDRFFWLIAAMSALNLVVFVYCARRYKCKKVS >Dexi9B01G0000590.1:cds pep primary_assembly:Fonio_CM05836:9B:387563:389711:1 gene:Dexi9B01G0000590 transcript:Dexi9B01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRRADGRSPNQLRPFSCTGNPLHRAHGSARWAQGDTVVLAAVYGPKPGTRKGENPEKASIEVVWKPKTGQIGRQEKEYEMILKRTLQSICMLTVHPNTTTSVVLQVVGDDGSLLPCAINASCAALTFAGIPLKHLAVAIGCGVMENGAVILDTNTAEEQQLKSFAHLVFPNTRKSVDSKEPKEKDEEFERGLITSVTHGVMSEDDYFNCIERGLAASSRISDFMRKTLQKEASSVDA >Dexi5B01G0013170.1:cds pep primary_assembly:Fonio_CM05836:5B:9374293:9375129:1 gene:Dexi5B01G0013170 transcript:Dexi5B01G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVVRNGDESASLLDDDDDMWSPILFAGGMSPPPPPLHGKATKTPAGHAVMRLIRSPFAAVFRMTTRSRASTTTSDEQTRSNTAAAGVERRRSPEARKRPSLEQLLTLEQAAPPSPQPSRHPHRKSKPAANSTTTAPPCKATRATVKEEKRAHREPLCPPKTTTRSTAVAVAGGGERRRAVPVVKLDACGRRAMSAKKLVVVLESLRAPCSGDAAVSVVGRTVMAKAKGPNGKVVASAAAGRSPGPGKTTELFYSRPIPMGRRCRVQHLEESPYK >Dexi2B01G0000300.1:cds pep primary_assembly:Fonio_CM05836:2B:130229:135291:-1 gene:Dexi2B01G0000300 transcript:Dexi2B01G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGVRPGMLRQKENNPADAQAGKRLRTAAGTGSGRQPLSAAPPPPPEDEPMVFSGREDVESLLNEKMKGKNKMDYKGKSEQMMEYIKKLRGCIKWLLEREDANLIEICNITGRLEADGKQHSETVAELKNAIDEARSINEELQKQYASLQQILKEVEAEKMDALRALADEKAARTAVESLRNELLEDAKKNKLEEKRLTDQIKMLQDTNKRLQEYNTSLQQYNSNLQADVIKNAETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSLQSEAAKQKNDLLKEVEGLRMELQHVREDRESKSAQVDSLMAEIGTYKEMSGKTAMELDGAMTRTSALEETCSSQRDTIKTLEIKLAAANERLKELKGNIRVFCRVRPLLPNESGAVSYPKSGENIGRGIELMHNGQAYSFTFDKVFDHSASQEHVFIEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPELQDQKGMIPRSLEQIFQASQALNSQGWKYKMQVHPLLIYLLNSLIYNETIRDLLAVNRTSAHDGGSSKYIIKHDASGNTHVSDLTVVDVTSINEVSSLLRRAAQSRSVGRTHMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEASSTGESICSLRFAARVNSCEIGIPRRQTQMRSS >Dexi1B01G0024330.1:cds pep primary_assembly:Fonio_CM05836:1B:29674311:29677375:-1 gene:Dexi1B01G0024330 transcript:Dexi1B01G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESGRRGRVVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELLIGVGVRGGPAAHAAPFKGGRAALQKLLHAAFKREETSVEVRVRRHAQRQLVAGDSGELAAAAEAVTTAARMQACIVPQESVGGGGIGRSRQYVLRSLRDPNYAVGLVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVSYPWEKKMREMLPISNSSSFLSLLILPTALDRAGSRYNSVEDTLARANAWMLSSQASGVPIVFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEIILEESDARLGFAISRTEEGFIYVSSVMENDGDLQVPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHVFMWEGKSDVPAPPAREPCPLPLPLPSSAVTELPRQNSFAYAEQRVQPEADPGVMHERDTAGNASFRFHNFSLPNNWV >Dexi7B01G0004110.1:cds pep primary_assembly:Fonio_CM05836:7B:10005934:10010586:-1 gene:Dexi7B01G0004110 transcript:Dexi7B01G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLKVLLPPSFHKLRISDELAGCFADASGSSDGGTGVQGPTAMVVSPFGKVWRVEVGRDGDGAFLGLGWPEFLAAHGVGVGWFVVLRHQGSGVLTFKAFDTSFCIKEFAAPAAAMASRSSKRISCKPQFIRIIYPDFIGKMIIPARFVKKYITEECMNSRTAAIFSPLGKFWQIELEKNQSGMFFTDIEMQQESTPPIRKRKSKSSSEENKRQKSSVTSLNGKPSQKKPDYQIGPSYWIRKEISTYALDRFLSLPVKFCHSIGFRKACTIMLKTVMDNTRTWQVRGLVYKKVCYLLGVGWRCFCKENKMKKGDLCTFNIIETTLWHVVITRSSKSGKIDKKKQPICSSSMEHKSNSHMSDIEMQQESTPPIRKRKSKSSSEENERQKSSVTSLNRKPSQKKPDYQIGPSCWIRKEISTYTLKNLLSLSIRFFRGIGLLNTCRITLKTEMDSTRSWEVYGTPYKNYFCIQGDRWKSFCQDVGLKKGDLCTFNIMKTTLWHVTIEHSPTLANTKRQKESPYSSSKEHKTKKGSSSSKEGMRPKGSVNSFSKASRYTTSVYEIGTPSWIQKEMNNNSITKHLSLAVNFCSAIGLQKRCTITLKTSTNSRSWKVRGLMHKTGSYQIGPGWIKFCRENRLKVGDICTFNVIKTLLWHVVITRH >Dexi2B01G0019470.1:cds pep primary_assembly:Fonio_CM05836:2B:29603066:29603351:-1 gene:Dexi2B01G0019470 transcript:Dexi2B01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALASGGATAAGFVQTPWLAVLGVALLSVWAITLAVLLCGDSGSSDRGTTLEQLQVAAPAVPAAEVEEEAVAAEVAVGAAEVAEVARG >Dexi3B01G0020940.1:cds pep primary_assembly:Fonio_CM05836:3B:15850124:15853230:1 gene:Dexi3B01G0020940 transcript:Dexi3B01G0020940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRLGPAMMGTKQQGSKGGLPMWKQVSISDALLTNEILVMRRIVENVSPHPNVIGLHDVYEDANGVHLILELCSGGELFDRIVGRDRYSEFDAAAVVRQIARGLEALHKANIIHRDLKPENCLFSDKKEDSTLKIMDFGLSSVEDFSDPIVTLFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQLLRHPWVIGDCAKQDLMDAEVVTKLQRFNARRKLRAAAIASVLSSKVALRTKRLRNLLGTHDLSTEELDNLRSHFAQICADGENATLAEFEQVLKAMKMDQLIPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEDCLPGDITEPGKLDEIFDEMDANSDGKVTFDEFKAAMQKDSSLQDVVLSSLRPAQ >Dexi5B01G0027010.1:cds pep primary_assembly:Fonio_CM05836:5B:28656666:28657221:1 gene:Dexi5B01G0027010 transcript:Dexi5B01G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHFSDLCSITETKEALKRRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVVVNPKQSKCTVTGYVEPAKVLERVKATGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEIKYMNMFSDENVNSCTVM >DexiUA01G0025580.1:cds pep primary_assembly:Fonio_CM05836:UA:53998556:53998798:1 gene:DexiUA01G0025580 transcript:DexiUA01G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIQAKNRYDEILCLARFSSRGAGGGRWEDDPYLPCELLRRKKGGASPVVRHKILLRTDYLGDIDGYARCRKGNDGEG >Dexi3B01G0030940.1:cds pep primary_assembly:Fonio_CM05836:3B:31250425:31251155:-1 gene:Dexi3B01G0030940 transcript:Dexi3B01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAVSITVTDIAEPLYSPAWRDPCFELKNEQLEATPTSSELQHARTPASRQAYTFTATMARFLGYDVYDIDLSCVAAIGDDLCALLLCTTPRSLILVEDLDRYLLNGPGEVREARVLSFMDGVTSYCGNGEERVMVLTMRGGKDTAV >Dexi2A01G0015520.1:cds pep primary_assembly:Fonio_CM05836:2A:26597049:26598641:1 gene:Dexi2A01G0015520 transcript:Dexi2A01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDGAWVRRKKIMESSKVQVIESSFVAPTPSEAAPTKELWLSPLDLELFNRGHTPVVFFYRSGVAFSDADRIKEGMAMALAAFYPLAGRLDVNGDGRAHISCNGVGALFVVARSDLKSDDLDFTKPSPELRGMFVPRVEPSSLTLAVQVTSLKCGGVVLGMAFHHAIADASSIFHFMKTWSAFTRDGEGAPVELPCHDHTLLRARSPPVVHPAAIPVLCPRVAVSKTPGRPAATEVFTISRDQLVALRRLCGGASAFCSVSALVWRCTSAARRLPPDAETRLSFPADVRRRVKSLAPDCYFGNALVFLCAAAAAGDIASEALASVAGRIGGAIARMDAELVRSAIDYSELAGMDTRPMRGSMPETELRISSWLGMPAYDADFGSGDPQVVSLAGPARGGMVYLMDDGPRDQGDAGAVRVVMSMEAANMEEFGRLLYANIAEASKKLALDD >Dexi4B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:4B:585362:586807:-1 gene:Dexi4B01G0000920 transcript:Dexi4B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDIVLKVPDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELHQVFSEWNKGELLSFLIEITADIFSIKDDQGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYFTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWDLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAHEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKTARNNSQN >Dexi3A01G0034710.1:cds pep primary_assembly:Fonio_CM05836:3A:39932233:39936620:1 gene:Dexi3A01G0034710 transcript:Dexi3A01G0034710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEGVSPSAALKDQGNAQFKSGNYLKAAALYTQAIKLDPDNATLYSNRAAAFLHLVKLSKALADAETTVKLKPEWEKGHFRKGCVLEAMERYEEAVSAFQIALQHNPQNTEVSRKIKRLSQLAREKKRALDVESMRSDVDIGKNLESLKTELAFESPQTHSECFTFLRQYAEDSFAKAACMVAPKSIISYPQVWKGQGSRKWKLDHSDGFFVQFESPALRKIWSPETLDIGIHEVLPRIFKEAAAA >Dexi2A01G0006830.1:cds pep primary_assembly:Fonio_CM05836:2A:6411711:6412673:1 gene:Dexi2A01G0006830 transcript:Dexi2A01G0006830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDLTCFGDTGVQIADAASSSSSSGTGGGGKRGKGSSAAAAAAARGRVTSIYQARLADRPCAFSVTWTKGGGLAGQTAAAVSVVAVDAASGDRLCRADIKPWLFTKHKGSKSLDIAGGVKVEVFWDLSGAKFVGASPEPVEGYYVAVVCGGEMVLLLGDMRKEAHRKTGTGGGRHAVDDDTVLVARKEHVVGKKAFSAKAQLCHGGRCHDIVIECDMAGANENDPCLVINIDRRLVMKVRRLAWKFRGNQTIVVDRLPVEVFWDVHGWLFGAARSDAVFMFQTCREPEKPMPWAYLQIFRENQLQGHGFSLVIHAWKVE >Dexi5B01G0012690.1:cds pep primary_assembly:Fonio_CM05836:5B:9016713:9019403:1 gene:Dexi5B01G0012690 transcript:Dexi5B01G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVANKIRDLNARAKKVSERRLRYKVETGGGATFDGHVARSSSSCNDWDRRLPALNIDESQLVWSKETEMCINSLECDDSATRRVVSIIGSGGLGKTTLALTVYNSPTVKAISTRAFVAVSQNYDLHILLQSLLTQLIGNASGPSSLVREETAKGRIKDVETWGISKLIIECNACLQHKRYFIVLDDLWSSEAWKHLKAVFPYDTKYSSRILITTRSHHVAENCSSDTIYKMAPLPEEESKELLFKRVFQKNECPKELEGVCQRIVEKCGGLPLAIVSVGGMLARMENKTEAEWKKMQNRLSGYELEPGGTIGGMRRILSLSYNDLPYHLKACFLYLSIFPESYEIKRGPLVRQWVAEGFIGRRTHESNMEKVAESYFSEFVSRSIVTPTRIATTGVVRGCKAHDIMLEVITYKSIQENFISFMGNQQYMAGGHDRIRRLSIRTCGSGTSDMEQEFRPRKRNKEHEDRSMNFSHARSLSILRCKNRPTTMIRFAKLKLLRVLDLEGCQWLSDQNLKEICKLSLLRYLSLRGTNVSKLPEQVGKLKELLTLDVRETFITKLPRTITQLRSLKHLLGGRYIHYPSLSRVKALERGSALIIPRGLKKMKSLEKIAHLDIGSSSLEELSELSHVTKLCAINNERGGEKWKPFVASLSKLCGSITHLSIIQSTKTDKGLKVFWELKSVPVVLEKLYLWGTLKALPPWLLNHSNLVDLSLRENFLGRESLKQLGDLPSLLSLRLYSESYVGEELCFRPNKFTSLKQLIIDNLSYLEKISFEGGATNLERLALAFFKDPGAEGGICGINQLPKLKEIEFFGGVIVDSLVETVKNEVREHPNKVRVYIHGQPIEQY >Dexi2A01G0034840.1:cds pep primary_assembly:Fonio_CM05836:2A:44680434:44682120:1 gene:Dexi2A01G0034840 transcript:Dexi2A01G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETIRALNLSQPPLGVPAPELRRPMAKAAASRVLLLVVACAVAGGGAEQPRRSGCFTRLFSFGDSITDNGNWMSYARSPGAVARPPYGETFFRRPNGRFCDGRIIIDHLADALGIPFLTPYLAGNESRDYAHGANFAVGGATALGHGYFRRKKLDTRFTPYSLHWQMLWLKRVLRMLSPDQGPGWSDLMESSLFLVGEIGGNDYNQALFQGRSVDEELIGLGAKTIVVPGNFPIGCNPGYLTKFQTNDTAQYDSMGCLRWPNDLVKLHNRALRAELAELSRQHPGVAVVYADYYAAAMDLTGDPRKHGFGAEPLVACCGGGGPYNTNLTVHCGMRAATACWNPYAAVSWDGFHYTDHAYKVIADGVLRGPHAVPPILAKSPL >Dexi9B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:9B:2774329:2775027:1 gene:Dexi9B01G0004850 transcript:Dexi9B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASWSRYGAVPTSPPPPPGKPEDVVAVADGEAAAAGAPSSSSPAATAAEAGVAFFSRARAYAGAAAGRPRSWREVLDPTAFSRPDTCGEARARARRNLAYFRANYALAALVLVFLGLLYRPVSMLVFLALFVAWLGLYFGRGDAEPLVCLRREVDDRVVLAVLSAATVLAVALTRAGLNLLVSLVVAAAVIGVHAAFRVNYYLDERDAFDVSGASFTDSGYGGYSLPR >Dexi2A01G0015410.1:cds pep primary_assembly:Fonio_CM05836:2A:26340392:26342359:-1 gene:Dexi2A01G0015410 transcript:Dexi2A01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLCFSDWEPFLLSQGLKAKVKKGKGNAEHLAGSGKISRSYTKKEVSTHNTRKDCWIIIKDKVYDVTAYVEEHPGVDAILNNVGDDSTEGFFGFVTYHQLVNCILPLFPQHGTRVFDIIEDFCIGELKD >Dexi9B01G0025760.1:cds pep primary_assembly:Fonio_CM05836:9B:27150504:27153103:1 gene:Dexi9B01G0025760 transcript:Dexi9B01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHNTMVSFKARRREPELVAPARPTPRETKSLSDIDDQHALRYYETVIGFFRRCSGDDGPDDPAEAARSALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVAFVEADADVRLEDFGEPLLPPYPCVDELLCDPGDTRDVVGRPLLLMQLTRLKCGGFVAGFHMCHNIADGFGIIQLMITIAELACGAEAPSILPVWKREILSVTHSPRTPITYPNHSYEPLLNSLDYASESDDVMLSTPLEEMVVDYFVFGPREMKALESHVPGYLAHSVTSFELLTAVMWRCRTIALGYKSSQLVRLMITMNARRRWNRHTLIPWGYYGNAHFSPIAELTVDELCRQPLIDTVELVHRTKVSVTKECMESMVETIASLRHRPCADPARTYEVSDTKWIAAGNGLQLGWAEYVGGGIPVAGDITSKLGSDHMRCKNQDGEDSTVVSMLLPRPAMERFKNEMAAWLNKHDDKNLIIQSSL >Dexi9B01G0032910.1:cds pep primary_assembly:Fonio_CM05836:9B:35077376:35079035:1 gene:Dexi9B01G0032910 transcript:Dexi9B01G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTQVPSMHPSLCPPAHGYPPDANADDSSPGWVILDMHAYIADRENATSAYGELSNGEAIRVTFCTAPPPLVSYVCIWCPNLPPTALDMQPTVEAAEADLVLFRLALANDRYNNQYFVYKAPGCGKGPSLWRLERPHQISLPYRHNIALLAHREVSEGGHIRPHVDDNGHYYMATLNRNPDTPQNFNLLLYNSMHNKWSSTPIPLDMTQIHIPGKAITLGEGGLLGFVDPWRGILVCDILGRKRPHFLPLPAQLVRFDKLHGQPWLFRNIAFVNGRLTVVEQHRNPAYPRKSLNQEFTTWSISSPWEAPDGWQMDYRMNTSCIIVDDATANVDLLLCKLQENVKLRQENVTPQPTLDRLIILHPTLSLSESHIVYLMAKVSLRDDKPLVLSVDMRYPRLQGVAVFDAERMTGYTYMQARVSNFFNMVPGLKGSLKRPGKFHMRYPHKHRSTTDDDDGPMLLPGGATAHTREDTMIADDDMAVD >Dexi2B01G0019330.1:cds pep primary_assembly:Fonio_CM05836:2B:29477723:29479791:1 gene:Dexi2B01G0019330 transcript:Dexi2B01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQGAAVPLIAGELLPEKAGPGRCPGGGGGRRLAEEVWEESKKLWDVVGPAVFMRVVLYSLNIVSQAFVGRLGDRDLAAFSIATTVFDGLNFGLLFGMASALETLCGQAYGARQHHMMGIYLQRSWLILLAFAALLSPVYVFSGELLAALGQPAELARQAGLTSMCMIPSLFMYAIILPAETFLQCQLKNWVTAVAATVVFPVHAAVTWLLVRRLGLGVVGAAMAYDMSWLVYAAMQVAYAVGGGCPETWSGFSASAFAGLMEFVKLSASSGVMVCLENWYYRILIFLTGYMKNAEIAVDALSICMSLSGLEMMIHLGFLAGTGVRVANELGVGNGQGARFAMFVSTAISFLISLFFSLLTLIFHDKLATIFTSSEDVINAVDDISVLLALTILLNGIQPVLSGVAVGSG >DexiUA01G0009700.1:cds pep primary_assembly:Fonio_CM05836:UA:19099039:19102572:1 gene:DexiUA01G0009700 transcript:DexiUA01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLFVFYLNADRVGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDLSKTANTSSGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPSVPYDVIQSILREELQRPLDSVYEYIDPVPIASASIAQVHGARLKNSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVSIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQYCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGNDINIDEFAKDLRKIFSSIQNLDTEIIVATARGPDATAVSANVVLDERQMNALFLDL >Dexi5A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:5A:8309098:8312369:-1 gene:Dexi5A01G0011070 transcript:Dexi5A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPSPEIDDELFNEVYGKAYSGPGTSATNSVVPKVNDEKKPLTCDKSDDEDEAPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGDPGHFTQSLRVMRENYMDRMNTMRNVHVRKWEEFLEQTFKRQQQAHTPYSQIGYPGFEQRTHISAALQPMDSKSTYPYSSDSYSAQKAHSAYGEFQHDRHGDLGRTYGQY >Dexi2A01G0010290.1:cds pep primary_assembly:Fonio_CM05836:2A:11449846:11451594:-1 gene:Dexi2A01G0010290 transcript:Dexi2A01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEDKKPAEGGGVHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTGGYVPSA >Dexi8B01G0006310.1:cds pep primary_assembly:Fonio_CM05836:8B:7288086:7292433:-1 gene:Dexi8B01G0006310 transcript:Dexi8B01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGGRRAALLLSVSAAASVAGAGALARRDPDTAVYASASPPLRHALSAAAEGLLSGTRLFSPWPLPPPYQGFPIINSFASASVSPAKLSDQGSAGISDDSRCGPGCLGRNSIAKAASAVGPAVVNISCTQDAHGWLLKESIGSGTIIDPDGTILTCAHVVADFQSTKAVVRGKVSVTLQDGREFEGVVLNADRHSDIAVVKIKSKPRYQLPGLDRPLDFSQVIGLSLWAVRFHFRIQLQLVLSVALTGKAVIWVLEDYEGSICKQIAPLIRQIHFLCGNSGGPLVNLDGEIIGVNVMKVRNADGLSFAVPIDSVVKIVDNFKKNGRVVRPWLGLKMLDLNPMIISQLKEKSSTFPDVRKGVLVPMVTPGSPAEQAGFRPGDVVVEFGGRSVESIKEVTKITTDTLISFLRQIIDIMGDKVGVPFKVLVKRANNVTVTLTVIPDDADASR >DexiUA01G0011550.1:cds pep primary_assembly:Fonio_CM05836:UA:23091151:23092097:-1 gene:DexiUA01G0011550 transcript:DexiUA01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTIRAPGLGLVCSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPAVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDVDSDEEPPTPVKIIQAPNGSLYSLDVPLLADYVPSTPGIPEASCSTPQHDPVFDYGQNLELELAFIKQSKQSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCRRAIMLMSIPHSNMMQVRMTSYV >Dexi5B01G0009110.1:cds pep primary_assembly:Fonio_CM05836:5B:6190877:6191155:1 gene:Dexi5B01G0009110 transcript:Dexi5B01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRPSSTARRSSRGAEIWVASPLTPWVRLVLSLSAAAVEEEAEEVVVASPLRPLLPPAVSKPRGRRQPESDTAQGVERRSERSGSRGSI >DexiUA01G0004340.1:cds pep primary_assembly:Fonio_CM05836:UA:8435820:8436204:-1 gene:DexiUA01G0004340 transcript:DexiUA01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNCSCAKTIVYLTTFFVVLVIMSPWTFPYCQAGNGYGSHRRLPPTPPAAPPPPFCFPYAPPYCTNYHCDKVCQEHSFPPHIGYCNKNVNPWECCCPY >Dexi7A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:7A:27890434:27890899:-1 gene:Dexi7A01G0018470 transcript:Dexi7A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAATERDTIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKG >Dexi5B01G0003000.1:cds pep primary_assembly:Fonio_CM05836:5B:1937494:1943233:1 gene:Dexi5B01G0003000 transcript:Dexi5B01G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFDSGGRSGDKAAAGAGDDGGAPPLPQTVQIGNSPTYKLERKLGKGGFGQVYVGRRISAPRLSDRNPGSNALEVALKFEHQTSKGCNYGAPYEWQVYKMSVEMVACIGIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATKWKDNGTGQHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCGICPQPFRHFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDVRPINTDGAQKLIYQVGQKRGRLIADENDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASSSNLWALIMDAGTGFTSQEWIMEQWERNFYITALAGANNGSSLVIMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFSAQVVELDFLYPSEGIHMRWDNGYRITATAATWDQAAFILSVPRRKPTDETQETLRTSAFPSQHVKDKWSKNLYLASICYGRSVS >Dexi7A01G0020630.1:cds pep primary_assembly:Fonio_CM05836:7A:29397496:29401700:-1 gene:Dexi7A01G0020630 transcript:Dexi7A01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRPTWPQSNRRPSHPNPKSSRISLTHPQSPKPTHAGVGRRTSPPAAAMPPPLQAHRLLISHRRLPTPARRRLTAVSSLQSAPATTLAPGPATSSILSIRESLLSGERTAANITSDYLSRLRRTEPSLRSFIHVADAAAEREAEELDRRIASGEKDAVGPLAGVLVGVKDNLCTKNMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVVAGHDKMDSTSSSQDVPDYTSELVSLDLLESKPLNGLRIGIIQETLGEGVDTGVVSSIKGAASYLEQLGSVVDEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSANDLNEIYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKDALEKYDILISPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGPVGLPVGLQMIGSPFSEGSLLRVGHIFEQTLQNFSFVPPLLAER >Dexi9A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:9A:1486201:1486563:-1 gene:Dexi9A01G0002750 transcript:Dexi9A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGSTVAAVAPASSSAAGRGRPRRSVLAVPAATRGSPAPAKKEKSLGDFIFGSIFKKDQLVETDPLLNKVEATGTTAGSRAKGRSGTTAGGKKPASSDDGGSGGGFSLAGLFDRKG >Dexi7B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:7B:14452480:14453762:1 gene:Dexi7B01G0006740 transcript:Dexi7B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRPLAVALLACAATFLVASVSSQPMDPGQPESPIMSDPNVIPVYMSPGSAPTYVTCYGNTHGQQGSQPLCGIYARQCPAGCRDTCYVHCPSCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDANLHINAHFIGKRNNAIAMGSRDFTWVQALGIRFGVHRLYLGVRRTSTWDATVDRLVITFDGAPVPLDAVAAASWSPTTTAPALSIFRTGPANGVVVRLDGAFRIVANAVPVTEEDSRAHGYGVGPEDGSLAHLNVAFKFYAITADVHGVLGQTYRPGYVSSGGVDVGARVPVMGGADRYRVSDIFATDCEVGRFAGDDGGLAAGHMDIIEEPADALCGSGKGGAGLVCKKRRPAVSYQPSH >Dexi9A01G0040560.1:cds pep primary_assembly:Fonio_CM05836:9A:44278698:44281783:1 gene:Dexi9A01G0040560 transcript:Dexi9A01G0040560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVEKKEAEQEEQQLAQARKEDAPAAAAVAEEDEADSEETERRNRDLKAGLHPLRRKLVLWYTRRTPGARSQAYEDNIKKIIDFSTVESFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >Dexi1B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:1B:4473389:4476007:1 gene:Dexi1B01G0005460 transcript:Dexi1B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLPFLLLLSSHVQAQHNITLGSSLTPRGRNNFWLSPSGDFAFGFRPMEGNTSSYLLAVWFNRISDRTVAWYVKTTYPDPAPVQVPSGSRLQLISNGTLSLQDPSGTEVWNPKAVGAAYAAMLDTGNFVLAAADGSTKWGTFDNPADTILLTQVV >DexiUA01G0015830.1:cds pep primary_assembly:Fonio_CM05836:UA:33929891:33933525:-1 gene:DexiUA01G0015830 transcript:DexiUA01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVVAAAGAAAAARPLSGGSGVDGLRPPSSRLQLGTCASRERWSGAAATRCRRDSQVVAVISRAPRTEAEVLPVSPDDDAAVKEEANFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHASHQKVIDLVKEYNTSHADNVIAIMLDTKGPEVRSGDLPQPIFLESGQEFTFTIKRGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVDNQVDYYAVSFVKDAQVVHELKDYLRSCNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYVCFALFFS >Dexi2B01G0011480.1:cds pep primary_assembly:Fonio_CM05836:2B:13097766:13102603:1 gene:Dexi2B01G0011480 transcript:Dexi2B01G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSIDIQIGGLRFATGGGDQKVRIWSMKSVDKDNASDNSSPRLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGAGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMANGMCTAVLRGHSSLVKGVTWDPIGSFLASQSDDKTVIIWRTSDWSLAHKTDGHWTKSLGSTFFRRLSWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSMFRKNFSNGQDTKAVPAGWANGASKTSTKEQQPYNIIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSELDELKRSRYGDVYTKCGRRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNKTCILQDSLASLVASPDEQSGNHGGAVKVISAKFSRCGSPLVVLASRHAFLYDMSMKCWLRIADDCFPASNFASSFSSPQGGELGKLQIDIDKFMARKPIWSRVTDDGLQTCAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMLGSAPSSDPKNPAWDPDVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEAKTDPVDVTPTPQPAITEANDKVITS >Dexi7B01G0010850.1:cds pep primary_assembly:Fonio_CM05836:7B:18053459:18056573:-1 gene:Dexi7B01G0010850 transcript:Dexi7B01G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSASSPPALQPLPGHGGGLARRTVTYMPRPGDGTPRAVTLIPGDGIGPLVTGAVQQVMEAMHAPVYFETYDVHGDMPTVPPAVIESIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGLPTRHEGVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPSIQYNEMIVDNCSMQLVSKPEQFDVMVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAVFEQGASAGNVGNENIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYRTKDLGGTSTTQEVTDAVIASLD >Dexi3A01G0018420.1:cds pep primary_assembly:Fonio_CM05836:3A:14066083:14069548:-1 gene:Dexi3A01G0018420 transcript:Dexi3A01G0018420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQTAITPRNASNNIEFSWSREAQPKDPSPGYIAIMQFSELQVVPNNALREFYVYLNGELWYPVGITPFYLSANFAYDMDPLPDSAQYNVSINATANSTLPPFINAVEIFSVISTGNVGTDSKDGSTTNTVKPENETPTNHVPQGDVHTQSSLQLENRLFTYSEIEVITDKFKRVLGQGGFGKVYSGSLADGTQLAVKVRSQTSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGHHMALVYEYYATRNPTTKSDVYSFGVVLLELVAGEPAIVRDPEPTNIIDWARRRLARGNIEGVVDARMRGDHDVNSVWKVAELALRCTVQPSSQRPAMADVVAQLQECLHLEAARSGSGHAATGSFYTGTSRDPNSGYSAYSGESIVDDERHSSSIAFEMERVGREPRMDTGPSAR >Dexi8A01G0002750.1:cds pep primary_assembly:Fonio_CM05836:8A:1808334:1808858:-1 gene:Dexi8A01G0002750 transcript:Dexi8A01G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGERDIVYPPIHEGIRWGEPVYRVVLSDQTETIQFMDPMSREEALLRVQEMLRQGLREGRYLARDFYPQDANDTRMQLPQDAVEGHGLDEAYGEHCFGVAPASSEAVVGLPEMGAKDGECSVCLENFEAGNNKLRMMPCSHSFHEQCIFNWLRINHVCRLPSSSRGMDGSLC >Dexi1A01G0023740.1:cds pep primary_assembly:Fonio_CM05836:1A:30357872:30359450:-1 gene:Dexi1A01G0023740 transcript:Dexi1A01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSFGDLKIVDEEDRDPVSSRDAACQLKPKNDAKKKTVKKKRAKMAVNKKTMPKLPIRKAELKRVLGPDWYVDDRNQCLLEPNLTWEQKVVNILHMARCREFTEYNHKMGISVQTRFCYFNIAFFDMDKESEGLPWIPIHKIPSPRHRWLVDSVNVVAIKIVESDRDYPISVFGTVLARDEYDYRCVYLFRRGRDGPQVITSKDDALTLMGPYRALAVSGSMFFEFNLKIKGDGVVDEDFSKGCLEHSAVRHSRQPTTTSLGSCLSKVEMVYTPVQDAVEASFAVSILKGPSDIIDKVTAWTTRNEENKIVLYSKKAVASVGGGRSVHGLVAVPEDEELVLRVCVFEHCEHELGKCFELILGHDIEDCFVEQEPYELRVKICWRGVVNRRGPSSWGRPNMWRRLGGSDELLLL >Dexi4B01G0004770.1:cds pep primary_assembly:Fonio_CM05836:4B:3428171:3430364:1 gene:Dexi4B01G0004770 transcript:Dexi4B01G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEDRPGDRARGGGGGAAAKMTAPRGGGDEPPPPPPSAGNEPVTPTSAQVSGLNRRGSRGAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRVKKSDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEQSQH >Dexi9A01G0028340.1:cds pep primary_assembly:Fonio_CM05836:9A:33018353:33019916:-1 gene:Dexi9A01G0028340 transcript:Dexi9A01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSRRLAVAVRELSGAWYGRHMAAAERAIRTRLPLVDLVLEVRDARVPASSAFEPLRRRGPLEPDNRRVVVLSKADLANPSETEKWMAYMKKQGTFPCIAVNSHNRESIKELLSVVQSRIRDIKHGESNCTGTVLLVGIPNIGKSAIVNSMHQIGRIGAAEKGKLKQAIVSSHPGETRDITGYKILQGLLIYKVASHPNIYVLDTPGVLSPSFANDDSGPRLALTGAIKGSLLDEYDIAQFLLAVVNSSEEYRKWGNLNQVGDSFSSDNTNTFRSRNQKRQYVSDHNE >Dexi3A01G0008660.1:cds pep primary_assembly:Fonio_CM05836:3A:6050864:6053207:1 gene:Dexi3A01G0008660 transcript:Dexi3A01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLFVLGPTGAASSVSGAAETFNGRCMAAEREALLSFKAGITSDPTGRLSSWRGHQDCCQWYGVRCSARTGHVVKLDLHNNFFEQDLFDLFGEGSESEYGFLQTD >Dexi2A01G0022900.1:cds pep primary_assembly:Fonio_CM05836:2A:34675309:34675930:1 gene:Dexi2A01G0022900 transcript:Dexi2A01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLRHTISLVNLLDKQLHQLPTSKMMKISKSAPNLIRKAVTSFKSKTDVLRTKLIILASLRRRMAMVCAMSRQIHALVTSDGQDKQASVEQGSKPHALHKVTAMSKEPAGDHGGKVHLAMFEVAMFKEDNHGYDPEWTNSLFNDDNSYNYEDDVQDDNDLDVDAFDETSVIEIIRSNREAEGLEFNMEDDIDEAYVAAG >Dexi6B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:6B:20008837:20012431:-1 gene:Dexi6B01G0012390 transcript:Dexi6B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVINGACSTVAHSLPEGKLESTTGSTKLSLLHDLEDKLNVPGTSHHIYDMPPGSSNYAPDMKSLFVAAPASSENPGSAPNAYCSFHSALNSVNKAEMASDAKSSSVAMENSPGNPEKSIGCPQDADTQANHANATRDSRLHWDLNVAMEAWETDCGGDDDPTIASVSDRNDAGIDMDKPETSYDRFVTLDTGDVANPSVDIIQMADVPKDVCLNTKDEDDSPADSLSHPLLHQSSQNLQLLKPESLRNNDLPTMDLPDQKKNRFASVIESHIGSNPEPALIMEHLPSANVEKIDGSQPPPVDCEDLSRMSSVNGNAGCNSLQTSELGFMVKPLASRLVSEESTNLPTVTPLHKKVTDFGWSDNKLEEGSEQSISEFKNQELLDVDSGTSKMDQSVSKSSDHGTDVFYVKKSSDHAENLTHPEDNPGSSDYDMAHVHGEGAADAMINSKDCLITCANSSSAETYCISGMAQVPVVSSECHKPGVTDADSIVDSRENENGRVASNICFEQCYETDTSHISESIAGLGKVDVEEDDSQYEDGELRESGDRYWVGDGYEEVKRSNWHCQVSDYNNEATIPGLVPPPVDSVSENVGTPGASYNETQSRKEHVPVSPITSKRSWLTNCLDGGPVADGKAQSIHSRGDTQIYGINPGRVAVGSAAAVSQSERCNDVLGDDLLSIRMRNSGWDMLPEGEKHSECDPRNGADLSNRCVLSSLDAAGDSESLRKMSLSNKDVQRVERQKSFERPHRNELSRSDDGYGSGSKVERTIDSQRSHGVYDASRHIQAGNRGEWMENSKHPCSARRKSQEHYNYGPSGPRNAAEAAVAKMESNGFVVARDGTLVRAVDAANAGQMARRMRNTLNTSYRSLSGRGSPIDRDGACGMSRGPVREASPERHFGANSNRSGRYGTEMEKEHTANSNLSSARCSLSNRQRGIQTARASLNLSRAHNRSPSGSRSRSPQDWASPRNRRKIVENGGSALRRNSRSPPNRMAKVRMGRLASPQRQPGYDDRSMRYSPPSRNHTYSQQTSTWVDGRNGSTVDLSDHNKRYSRRSPPPRITPQNDRFEVMDSQGRSRSGEFYRSTQGRLPYVYDRTSKHERNGDDQREYVDRYGSQSVKPYDRNVKQFRNNTGDKFRTRISAPRSPEPQRRVSPRRFDRSFER >Dexi3B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:3B:3458798:3460041:-1 gene:Dexi3B01G0005210 transcript:Dexi3B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGELDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLNSQPPSTCGGGTVAYVNGFNENDTVYYDDIEEREDAGTPQIIQKIRASLAFWVKEYIGYDMMSLRERVYSETAMKRLVSNPNIRVLGNTEVDRLPIFSFLIYPPVSNSGDVKHKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLEIDNELSLRIRSMILEGYSGLKPGWTRLSFSYYLSKEEFKFIVAAIEFIASYGHRFLSLYKFNWITGDWTFRKQAIKYQIMKEELDLTTGIELLAENAQSKVANKQEKKHGVSQKKFESYLESAKKIALSLPDISHQVVSVPKGVDLDLVLFHI >Dexi4B01G0023920.1:cds pep primary_assembly:Fonio_CM05836:4B:25157361:25157991:-1 gene:Dexi4B01G0023920 transcript:Dexi4B01G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLFSVGVGGGEEVLCGAEGTEAVAAGGSILLGAHSFLLLLVAAGKREAASEARRGSNETKPFPLGLLGQQPNVAGRVVARHKPTKLAATNLL >Dexi9B01G0005380.1:cds pep primary_assembly:Fonio_CM05836:9B:3141872:3145349:-1 gene:Dexi9B01G0005380 transcript:Dexi9B01G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDESNKKGKLSWSKSLVRKWFNIKTKAQDFHADYDAGQGRDGHGDEWRTSCSEREAGTAKKSRTERLSKRSMDRILGGRNDFDATRLTEVQNYRIFASTWNVGGKSPPRGLNLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPQVRGAGDVKSPETILEHECDRILWYGNGLMQLSYVRGESRFSDHRPVYSIFMAEVEILRPRRRNMGYFSSRIEVEELLPYSYSSGEMKFY >Dexi4A01G0001570.1:cds pep primary_assembly:Fonio_CM05836:4A:998913:1002187:1 gene:Dexi4A01G0001570 transcript:Dexi4A01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVRRGASRVLNGGGAGSPWPVAQGGRRRAPEGTRAAKVAGSARPTDGAEQAGCQGVAEEKAQIQTYGAGPAGEPIRPAVGGNAGYPVDDRSMMAARDIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEATQAAIALDALQGETDELDIQKLSKLCFVYTKSFVRFTS >DexiUA01G0000590.1:cds pep primary_assembly:Fonio_CM05836:UA:2208227:2209551:1 gene:DexiUA01G0000590 transcript:DexiUA01G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDYETLMAALLHDVIEDTPATYQDMEQLFGKSVAELVEGVSKLDKLKFRDKKEAQAENFRKMIMAMVQDIRVILIKLADRTHNMRTLGSLRPDKRRRIARETLEIYSPLAHRLGIHHIKTELEELGFEALYPNRYRVIKEVVKAARGNRKEMIQKILSEIEGRLQEAGIPCRVSGREKHLYSIYCKMVLKEQRFHSIMDIYAFRVIVHDSDTCYRVLGQMHSLYKPRPGRMKDYIAIPKANGYQSLHTSMIGPHGVPVEVQIRTEDMDQMAEMGVAAHWAYKEHGGESSTTAQIRAQRWMQSLLELQQSAGSSFEFIESVKSDLFPDEIYVFTPEGRIVELPAGATPVDFAYAVHTDIGHACVGARVDRQPYPLSQPLSSGQTP >Dexi5A01G0037890.1:cds pep primary_assembly:Fonio_CM05836:5A:39050672:39051665:1 gene:Dexi5A01G0037890 transcript:Dexi5A01G0037890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNKEQRQRHHFVLVHGVSHGAWCWYKVSTALSAAGHRVTALDMAACGARPGRAEEVSSFEEYSRPLLDAVAALPAGEKAVFVGHSFGGHSLALTMERYPDRVAVAVFVSAAMPAASKPMALVFQQASQEHRPDDFFLDCKIGTSGDPQHLVETIQFGPRFLEQRMYQHSPPEDLTLAMAATRPSRRFRNDETMTNGDVLTAERYGAVRRVCVVAEEDASWSAEFQRRMASWNPGTEVIGLQGADHMPMFSKPRELSELLMEIADKYSGQA >DexiUA01G0014600.1:cds pep primary_assembly:Fonio_CM05836:UA:30646213:30647515:-1 gene:DexiUA01G0014600 transcript:DexiUA01G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTIPDYAPAESPLSALILHRAVSTLCSPNDLLQTNRLFTSGRQSLPTVEAGFRVAEPTYDKAQTCCDPGKNGARLVPVWEPTSMTTLELVHCLVTDRSPNPQPFVTSSQSFSLSSLLS >Dexi8A01G0006040.1:cds pep primary_assembly:Fonio_CM05836:8A:6039851:6040074:1 gene:Dexi8A01G0006040 transcript:Dexi8A01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSERLRQLWEEEEESDDDDVIFLGAMLAAVKSKKSKKKFCGSLPGRHNVPRDILGAYLQ >DexiUA01G0022850.1:cds pep primary_assembly:Fonio_CM05836:UA:46612930:46613652:1 gene:DexiUA01G0022850 transcript:DexiUA01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAGPAGIRTTQAFSQDCRWDTLDDDRAEGCIRSLEHAYSKDGGLAVLYGVDDSILKFTGPAKVYESQDDAVEAILGGKVVEGDVVVIRYEGPKGGPGMQEMLYPTTFLKSMGLGKACALITDGRFSGGTSGLSIGHVSPEAASGGNIAIIEDGDLIEIDIPNRGIQLKLSDQDIAARREAQEARGDKAWTPKDRQRDVSFALRAYASLATSADKGAVRDKSKLGG >DexiUA01G0022850.2:cds pep primary_assembly:Fonio_CM05836:UA:46613655:46615199:1 gene:DexiUA01G0022850 transcript:DexiUA01G0022850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQPLSAAPEGAEYLRAVTPLQKMEKLSSRLDNVILVKREDRQPVHSFKLRGAYAMMAGLTDEQKARGVITASAGNHAQGVAFSSARLGLKALIVMPVATADIKVDAVRGFGGEVLLHGANFDEAKAKAIELAQQQGFTWVPPFDHPMVIAGQGTLALELLQQDAHLDRVFVPVGGGGLAAGVAVLIKQLMPQIKVIAVEAEDSACLKAALDAGHPVDLPRVGLFAEGVAVKRIGDETFRLCQEYLDDIITVDSDAICAAMKDLFEDVRAVAEPSGALALAGMKKYIAQHNIRGERLAHVLSGANVNFHGLRYVSERCELGEQREALLAVTIPEEKGSFLKFCQLLGGRSVTEFNYRFADARDACIFVGVRLSRGLEERKEILHLLHEGGYSVVDLSDDEMAKLHVRYMVGGRPSKPLQERLYSFEFPESPGALLKFLHTLGTHWNISLFHYRSHGTDYGRVLAAFELGEHEPDFETRLNELGYECHDETHNPAFRFFLAG >Dexi9B01G0018730.1:cds pep primary_assembly:Fonio_CM05836:9B:13344774:13345352:1 gene:Dexi9B01G0018730 transcript:Dexi9B01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEATILDLDLSDERAGLVLEDIERLERVIFPEHASPARCLRDELKRRNSGLIYLPSSAAGEEVVGYAVYTCNTSLCATIIELAGEQHIHVHSSQSPGVNDSAEWIRARNCNFVVAVRESCRRQGHGDALLRAAVERCRGRRVQRVILHVDPARAAAVALYRKAGFQVDATIEGFYAPQRDAYRKFMDLQ >Dexi3A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:3A:3482745:3485792:1 gene:Dexi3A01G0005390 transcript:Dexi3A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRDWISYRLGSSLLSARPFALSSGGEGGTSEGDTDGTARNEFVETMSANRFPSNDTRVSEVTTNSNACAIYPGTVQQYDDNKKSDPLIKVEALQIKFLRLVHRTGVPPSTDVVAQVLYRLQLANLIKAGESDARRTNLAINKARVIAAQQEQPGGPDLDLPLRILLLGKTGVGKSATINSIFDERKVPTDALVPATHRIKKIEGTIKGIRVTVIDTPGLMPHYHGQRRNRKILNSVKRFIKRFPPDIVLYFERLDHINSRYSDYPLLKLMTDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVQRHIQAAVSNTQLDNPVVLVDNHDLCRRNTKGERVLPNGQVWVSELLLLCGATKLLGEANSLLKFQDSFLLSQTNIRLPSLPHLLSSLLKPHSSSSSDGIDSELTEMSDEEDEYDQLPPFRILKKSEYENLTNEQKSAYLDELDYRETLYLKKQWKEGIRRQKLTEAQNDEVADDYEESASPEVVHMSDMEIPLTFDSDYPVHRYRHIITDDQLFRPVLDPQGWDHDIGFDAINFEGSQELKKNVSAAIAGQMRKDKEEMYIHSECSVNYSDQRGCSLMGGMDMQTASRDLVCTFHGDAKFRNLPWNTTGGGISVTKFSNRYLGGAKLEDSVSIGKRVQLVANAGRMSGCGQVAHGGGVEITARGKDYPVRDESITVAITALSFEKETVVGGNLHADFRLGRGSKMSVGANMNSRNLGKLSIRTSTSDHTEIALIAIVSLIQFFRRRSGVADNGDQQFDTYLDD >Dexi2B01G0032200.1:cds pep primary_assembly:Fonio_CM05836:2B:40148002:40149195:-1 gene:Dexi2B01G0032200 transcript:Dexi2B01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGHLRAALSRIVRSQSRLPTTSHAPPVVVFAHRLLASSVAAASSSRSFKFKVDDYLVSRCGLTRAQAVKAAAKLSHLRSRTKPEAVLTYLENNLGIPSADVGRVAVICPLFLCSDVERTLAPRVADLRDLGLSRDDIARLVLLVPNSFRYRHLTSNLEFWLAELGSFDKIVRAIMLCSQLLSTDLDKVTRPNVAFLQQCGMNISQIVTTSLYSTRLFTMNPKLLKDTVQRAEELGIDRGARMFRHALALVAFTDEEVVARRIRLLQNVGFSKDDVRTIVRKQPLVLALSEQKVQENANFLIKDVGLDVSYIVHRPVLLMYSVERRLLPRYRLLQVLREKGLLKGELDYYFTASMAEKIFLKKFVLPFKNHVPGIIDDYASKCLGEATDGIALPKD >Dexi3B01G0012520.1:cds pep primary_assembly:Fonio_CM05836:3B:8784144:8788196:-1 gene:Dexi3B01G0012520 transcript:Dexi3B01G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNGGGNNGASGGGKMISLPLQYFCVLAAVVVAVMVLSLSFMSPAAMVAVRQNLGSVASAASGAGSSGNSSGAAPAPPTAAGKEKEQPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFGHPTGRLSDGRVIIDFFCESLGTHELNPFLKGIGSDYSNGANFAMAGSTVSHGVSPYSLNVQVDQFVYFRHRSLEMFERGLKGPVSKEGFENALYTMDIGHNDMVGVAHTPSDQWDNKITKIVGEVRQAISILYDNGARKFWIHGTGALGCLPALVVQEKEGEHDAHGCLADVNRAAKAYNKKLSQLCDDLRFHLKDATIVYTDMFAIKYDFVANHSKYGIEWPFMVCCGNGGPPYNMKPGKPGCGDLCPPEAKVVSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLASLIHGGSKKTSDS >Dexi2B01G0027540.1:cds pep primary_assembly:Fonio_CM05836:2B:36445762:36447123:-1 gene:Dexi2B01G0027540 transcript:Dexi2B01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSQMASLAAFVFLVSATLASGAASVRVGLTRIHSDPGGIPASQLVRDALRRDMHRQESRLGRKLATASDGAAAAATPTVSARTRKDMPNGGEYLMTLAIGTPPVSYPAIADTGSDLIWTQCAPCAGDQCFNQPAPLYNPASSTTFAVLPCNSSLSMCVSALAGAPPPPGCACMYNQTYGTGWTAGIQGSETFTFGDSSPADHARVPGIAFGCSNASSSDWNGSSGLVGLGRGALSLVSQLGAGKFSYCLTPFQDSNSTSTLLLGPSAAITGTGVRSTPFVASPAMAPMSTYYYLNLTGISLGTKALSISPDAFSLKSDGTGGLIIDSGTTITSIVNAAYQQVRAAVESLVTLPVIDGSDSTGLDLCYALPSSTSAPPAMPSMTLHFDGADMVLPAESYMISGSGVWCLAMRNQTDGAMSTLGNYQQQNMHILYDVRQETLSFAPAKCSTR >Dexi1A01G0030520.1:cds pep primary_assembly:Fonio_CM05836:1A:35792048:35792752:-1 gene:Dexi1A01G0030520 transcript:Dexi1A01G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLLLSNCFHGQVRTVDGNSALRIPPGTQPGDVIVLAKQGVPSLNKPSIRGDHLFTVTVTIPKRISGREKELLEELASLSNGGFARTADKPKPAKPKPIHEEKEVGTSEEDTSQSNEGEGDWLKKLADFAG >Dexi1B01G0029190.1:cds pep primary_assembly:Fonio_CM05836:1B:33560749:33564119:-1 gene:Dexi1B01G0029190 transcript:Dexi1B01G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRADAPSRRRARLGLGGCFGAGSSSSSSAAAAAPDGGSFAAASSSRANEVQSRQSGRAVNALNFQASLATKDIQISNLGDDIFGELEESRYFHRRRHGSIRGVPVVPWGRLRSCLLKSLALPCLLVEADLVRQTVMRSFDS >DexiUA01G0014460.1:cds pep primary_assembly:Fonio_CM05836:UA:30581934:30587332:-1 gene:DexiUA01G0014460 transcript:DexiUA01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREIAKYVSECDTCQRVKASHLKVAGTLQPLPIPSWKWEDISMDFIVGLPKTPKGMTPFGVNQILEDMLRACVIHYGKNSEKCLSLAEFSYNNSYQASLKMAPFEALYGRRCRTPLCWSQAGERYTYGPDLVKEAEEKKSYFDQRWKPLQFEVGDHVYLKVFPIKSVQRFGLKGKLAPRYIGPYEITQQCGPVAYRVRLHEKLSAVHNVFHVSQLKKCLRVPTEVHPIKILDEKERKTRRKEVKMYKIQWSHHSEDEATWETKDYLKKNIPDILPKTKNALLRRKLTAMQVKNEELVTTVQEATLELSCCPHHHKRREFLKGRPPNFSRAKDPMEDEEWIKAVERQLDIAQYHYREKITWQFSDDFRAHHVPEGLMIMKKEFLALTQKGIRVTTYHDKFLELACYAPDEVSTDRKRQTRFRGGLDALQLQLMCITFATFGEWVDGALMVEHKHREIEDKKRKIMSQQSDSNVHPHYNPQQVNHSALARSAPGRSSAATWPASGGGRAELAEPRRRVALVALYLSNSSRAVTSPSFARFASRRGVPSWRSDAAVAARSRAHSVPLHAKGPVGDLPLLHAMIFDAQSHARALPELAAPSMATATAPYSVLASAPKRFPEHLTPLPFSPHLAISSPCASLPELRGKIRPPLSTIPKFLTHEARTLPGLPFPHFSRAIVASPARNLDFPQIAIFGRRSSLTSRPHSEPSPRSTEHAISFLLLHWCSRTLQTSTRPPDLTGVEVAAAAPPLHRRRRNSELPRPPNRHQSSRGEPLVLFPHFPDPNSPSFGRRSNADDPRTFLHRLRFSRRPVLTVQLLDKQPRLTVTSLEDKRQALLAGLGVATMPYPFVEKDIAEGRLRVVSPEYTSEVDIIMAWRRDSMGEAKSWCLREIPKLFAHHNK >Dexi1A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:1A:20332605:20343264:1 gene:Dexi1A01G0014130 transcript:Dexi1A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPSPGNPVNAAKWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSNIDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKSPKAVTGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTSGLRSSLTASTSTLDETPYRTRPLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGSLWDEHGSNDTKKDDKPGDKKLLTTRKFPYMESNDEPHPISDWRSTATSRQDSISSMTTTSMPSWKSELSISSPETATGNALPDRLIQRQQISRFGASAFSTGGLAFTALQDSSSASSQLLKGSLTSNILMNLQNKGLLSNANSTLDASAPNLQSSLPSSYGSKAVSSLNPDQPGAAQSSSVWKPTTYTDRMSSSSVFSDGLASAFGSTKSKKTGAETKDELLSSLLSRQEAATASTSASPLASNGVVPPQSANTGSSTDPQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEELDAGELHHHHVIIFPKQEASPSSFFLPIAPLFKLKVKNNQASLLLALHQPVILVGVVHITGEPFPLSIHSPLL >Dexi2B01G0030680.1:cds pep primary_assembly:Fonio_CM05836:2B:38935046:38936191:1 gene:Dexi2B01G0030680 transcript:Dexi2B01G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVRGADPLATNDGVLPTDVLREILLRVPAKELCRLRLVCRPWRSLTSDPRFATAHSARHPMFVGVLPDTGLDEIHLVDLCSGSVVKRVCGPTGLCSHLSTQAGLVCVSAEPIGRAAQDLVLNPATGAVSALPFGDRPSMVLEFVLGYVPSTGEYKVVRVGRYACQVEERMKTVFQVVALGGGEQRWRAKPSPVDIIADSRFIAVVSGVAYFMATYDDDEHDDDSGSDMGPELVLFDLATEEWRPKIIYGPLHEADRIRIVSLDECLVIADHKDQDCSMDLWFLMDADNASYWTKRYSMRCAPHFDHAPMYPPRLLVILEDGRIVALLKWKDLLIVHDPKTQTWDDFTTVAYYSAIGMHQGSLLDSDLLGWNLEPSSD >Dexi3A01G0025770.1:cds pep primary_assembly:Fonio_CM05836:3A:21589398:21591190:-1 gene:Dexi3A01G0025770 transcript:Dexi3A01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPAACARPSASGPRAAGARLAVRTAALPADGRGDGAASYKELGLYSLKKRIEDAVVRVETTASSALELEEARRIEQEEVLRKRNLWDNPAKSHETLSALADAIKVVDHLKDLRFQAEEAKLISQLSEMNVINGELFKQAYKSSVDASDYLDRYQMYKLLKGPYDKEGACIIVTAVSDGVASGLWAAKVFGMYTSWARKQGSKVGLIEKVSSTSGHVRSAAMEIESE >Dexi4B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:4B:3466231:3466906:-1 gene:Dexi4B01G0004840 transcript:Dexi4B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFSPPDAAAASSSFLSPGLVAKLHRFNLASVQARGNNGKADDASATVAGDGAAVLPRITAAVPAGNAGMGIAHSPSSSSAASGGDWSGGFLEEQYVDQMIEELMDSNFSMEISY >Dexi6B01G0012350.1:cds pep primary_assembly:Fonio_CM05836:6B:19945925:19946943:-1 gene:Dexi6B01G0012350 transcript:Dexi6B01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERFIIKQVTKTELESFVEFAPRYFKYLMESLTSGSPTCLAKIVGLYQVSVKSLKSGKEVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSGDSKVLLDSNLIEALHTKPIFLGSKAKRRLERAVWNDTSFLALADIMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSC >Dexi2A01G0002380.1:cds pep primary_assembly:Fonio_CM05836:2A:1876577:1877295:1 gene:Dexi2A01G0002380 transcript:Dexi2A01G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLQCSSGHLFFSILLVTLTSSMEVAYSDAGRMPPLRLPEIGAIKQEQEGSILIRGEMAMKQRRRALIGSRPPRCERLCISCGHCEAVQVPIVPQQDDHHRLIQSKKRSTTAMSAAMFTYRVDGITNYKPLSWKCRCGGTILDP >DexiUA01G0023940.1:cds pep primary_assembly:Fonio_CM05836:UA:49017390:49018774:1 gene:DexiUA01G0023940 transcript:DexiUA01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGISVVLITQSSSEYSISFCVPQGDCLRARRALEEEFYLELKEELLEPLSIQERLAVISVVGDGMRTLRGISAKFFAALARANINIVAIAQGSSERSISVVVDNDDATTGVRVVHQMLFNTDQVIELFLIGVGGVGGALLEQVKRQQEWLKKKHIDLRVCGIANSKALLTNVHGLNLENWQAEMNDAKEPFNLGRLIRLVKEYHLLNPVIVDCTSNQAVADQYADFLREGFHVVTPNKKANTSSMDYYHQLRLAASKSRRKFLYDTNVGAGLPVIENLQNLLNAGDELQRFSGILSGSLSFIFGKLDEGMSLSEATRAARELGYTEPDPRDDLSGMDVARKLLILVRETGRELELSDIVIEPVLPAGFDDSGDVNTFMANLAQLDDAFAARVAKARDEGKVLRYVGNIEDDGMCRVKIAEVDGNDPLFKPLLSATAAGASRLWRRE >Dexi2B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:2B:25076961:25079341:-1 gene:Dexi2B01G0015050 transcript:Dexi2B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVPYLPCSCRRSSSSPSSSIRPAAARGDSCTSHRRLLQPAAFCPSSVVSSPRRLPRAPRRTAGGLSNRGARPAEEPCRGRRGLLRLEEAQAGGESSHEAAAASSPQPPLQGRDFRGRREVLVREPCADVERSVPPFRALDLPLRGGNARADNLTGIPGLTAGGDHVGRGIAGRTPLAAITRDHAEVWTGGLRVPRFGERYGGSLANLGTRSGHALAINS >Dexi3A01G0002000.1:cds pep primary_assembly:Fonio_CM05836:3A:1365216:1367453:1 gene:Dexi3A01G0002000 transcript:Dexi3A01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAAGNADADAEAPPNKAAEAPSRAASANHQPSSAAAAKPPASPTGARASTSKPAGPIGGVLGRPMEDVRATYSMGKELGRGQFGVTHLCTNRASGEKLACKTIAKRKLAAKEDVDDVRREVQIMHHLSGQPNVVGLRGAYEDKHNVHLVMELCAGGELFDRIIAEGQYTERAAASLLRTIAQIVHSCHSMGVMHRDIKPENFLLLSKAEDSPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASEPWPHISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDTEIQQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGCYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPTNNKKRRDVVL >Dexi9B01G0045920.1:cds pep primary_assembly:Fonio_CM05836:9B:45336561:45340686:-1 gene:Dexi9B01G0045920 transcript:Dexi9B01G0045920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKASPEKKSWADVEEEEEAKAKAEAAAAAAAAAPSSSSSTSEPTVEAQAKQIEALSLAPPEDDAAAAGEEGPPLLDDSDDSQIQAVTSGGTVYESASTFEDLKLSPELLKGLHDEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNRKIPQAICICPTRELAQQNKAVLMRMGKFTGITCACATPPAQKDYVPVSKMPVINDQIVIGTSGTLIKWITNKKVATREIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDEAAKIEVIRDKIFEFGQKVGQVIIFVRTKQSTKNVHNALTREDYVCSSIQGSLDQGEREKIIQEFKDGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNVVMRKIENYFQHQVPEVRNWKNEEDFETALKDAGLL >Dexi5B01G0034930.1:cds pep primary_assembly:Fonio_CM05836:5B:35065635:35065922:-1 gene:Dexi5B01G0034930 transcript:Dexi5B01G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRTRSYSRCSSTGGSAHGGRFGAEDGGKQWGAAAPAESEMHRRHRSLEELAGEVGGSPVWRQPGAMTRGRSVRIFSCIGGM >Dexi9B01G0019990.1:cds pep primary_assembly:Fonio_CM05836:9B:14726686:14729608:-1 gene:Dexi9B01G0019990 transcript:Dexi9B01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPRIPLRRLLPRLQRHNPHPPAPQSRPLSYSPSSAALSATATESEEEAVVGRDAPLAPPRDGGAGGLPPERGWAREGSFGENEAELERKASIATRLRLCHELLWQRKWQEMRSELAQMVSEQGPDSASTLCDILSNGFRDWHSSSILWDALANSYARSQMIDDALYVLSEMSSLHMQISVSTYDSLMYSLRKTGMALEIFEKMESSGISPSDYSHSILIDGLCKEDKIREALSFLQKVRKEGKFKALEMTFNTLMSALCNLGFIQDSNSIFCLMLKYGLNPSKYTYSTIIHGLCKVGSVREAFDIFERVTEEGMELDTVTYNSLINGFRLHGHIREIPKMIEMMRNQGIKPDLVTYTILIAGHCEGGDVKEGMKIRKDIIDQGLELNIVTYSVLINALFKKGLFYEVENLLVEICSIGLDLDVVAYSILIHGYCKLGEIGRALQVCNVMCSSQRVMPTSLNHVSILLGLCKKGFLDVARLYLENVATKYQPTDVVLYNVVIDGYTKVGDIDTAVQVYDQIIMAGMSPTIVTCNSLLYGYCKIGDLQKAESYFRAIQISDLQPTTVTYTTLMDAFSEAGKVHAMLSVFKEMTGKGIKANAITYSVVLKGLCKQLMFHDARNVLDDMYRQGYNADPIPYNTLIQGFCEAQDVKMAFRMYELMVHRGVTPTPVTYNLLINVLCLKGLVIHAEMELESFRKQGAELRKFAYTTLIKVQCAKGMPYRAIMWFGKLLDAGFDVSIEDFSAAINRLCKRQFTKEALLLIPIMLSVGVYPDIQLYNVLGTAIRKRDELFYLPILQALAIKTGIQ >Dexi1A01G0025100.1:cds pep primary_assembly:Fonio_CM05836:1A:31413236:31414918:-1 gene:Dexi1A01G0025100 transcript:Dexi1A01G0025100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLHQHTLNIREQEVSSTVPPVKVSRALMAEHFGNIKEVTEDLPSEFARLTKKSNLSVAELLEDLQGRSSSSVGTALLHQHMGAKDWKPKLPTFEEKPLANMGERSIDSEDPREHIIDGTSSEEENVTEKHLALVNKDVKQQTMADLFQEVFNPTIMEVAVLPMRSTGAGYHGRMQQIMQMEKYRHAEFLRQLNIEQGCLGGAKLAVMNTYGFTSGVSWYHFYSSMLHRLHVFSTIRSEASTDPATNESRTKRTVIFSPKICDNVNLLVGNIIHIFPPW >Dexi5B01G0025330.1:cds pep primary_assembly:Fonio_CM05836:5B:27389078:27392124:1 gene:Dexi5B01G0025330 transcript:Dexi5B01G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGPGNKNAFKGLIAAEYSGVKVELVKNFEMGVSNKTPEFLKMNPLGKVPVLETPEGAVFESNAIARYVARLKDDSPLFGSSRIEQAHVEQWMDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAIASLKRALGSLNTHLTSKTFLVGHSVTLADIVLTCNLYHGFARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQAESVPPVQKKAAPAKEAKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSPMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Dexi3B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:3B:12473355:12473888:-1 gene:Dexi3B01G0017000 transcript:Dexi3B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAPERSGTPPDYDGLSRLKIATMVLYEVLRLYTPLPGRTYKPMELRGVRYRAGVMLMLPLLCIHHDRNVWGEDAYEFRLAQGIARAAFFPFGGGPRTCNGQSFALLEAKMGLAMILRSFELELSPSYSHAPFPSRCSGRSMAPRKRKLP >Dexi1B01G0024590.1:cds pep primary_assembly:Fonio_CM05836:1B:29859158:29859418:1 gene:Dexi1B01G0024590 transcript:Dexi1B01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVSQRAASLPRLAPARRILIPIHKARCAAFTGLCVPCRPPMLPELSGATTSTREEGPQHAAAPSQICSRPHMPTPAEQANAMV >Dexi9A01G0026900.1:cds pep primary_assembly:Fonio_CM05836:9A:31057515:31058409:-1 gene:Dexi9A01G0026900 transcript:Dexi9A01G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDAGQRGRTSTTAVVVVPTVPLSSGKPMPRLGFGTATATLGHAEGHAGVKEAVLCAIGAGYRHFDTAAVYNTEAALGDAVAEAVRTGMIASREEVYITSKLWITDAYPGRVLPALEKTLQNLQMEYVDMYLIHHPVSMRLPEAEGGPVVVKKDLVAMDVKGVWEELEECHRRGLARAIGVSNFACKKLENLLSFARIPPAAVQAEVHPYCRQKKLREFCRARGIQLCGYSPLGASGTVWANNSVMESPVLKQIAQDRGKTVAQ >Dexi2B01G0000950.1:cds pep primary_assembly:Fonio_CM05836:2B:563373:563817:-1 gene:Dexi2B01G0000950 transcript:Dexi2B01G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAGPSSSPPPQALPPRARAEPLLVSSVVTAATALLCVAVNVLPAAQAFRAASDIFGGIFRCYAVVVVLFVGLLEIQWRFLIKFWKVSLLPPISRFGM >Dexi5A01G0023770.1:cds pep primary_assembly:Fonio_CM05836:5A:27743963:27744447:-1 gene:Dexi5A01G0023770 transcript:Dexi5A01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPITAGILSALRRVLARRVSLKNQLKRRLHAITIASATCFLFPFAMWDTILGSASDSLVKLQLPSWAYLSTVVFGMVLIFYVDNVAEEK >Dexi6B01G0016390.1:cds pep primary_assembly:Fonio_CM05836:6B:23673385:23673945:1 gene:Dexi6B01G0016390 transcript:Dexi6B01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKVEEPTELHAPELTLCANSCGFPGNPATKNLCQNCFLAASAASASVSPPSPSSSSSSPPPPALLFDKPRPATAAASAAVSSAAAAIPAPLFFTGGAAVDRPVAGPVESSSKAARTSSVNRCHSCRKRVGLTGFRCRCGELFCGAHRYSDRHDCCYDYKGVARDAIARENPVVRAAKIVRF >Dexi4B01G0015400.1:cds pep primary_assembly:Fonio_CM05836:4B:17164027:17165515:1 gene:Dexi4B01G0015400 transcript:Dexi4B01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVAGWAAMNESGKVEPFIFKRRENGVDDVTIKVQYCGMCHTDLHFIQNDWGITMYPLVPGHEITGVVTKVGTNVTTFRPGDRVGVGCISASCLDCDHCRRSEENYCDKVTLTYNGIFWDGTVTYGGYSDVMVANKRFVVRIPENLPLDAAAPLLCAGITVYSPMKQHGMLQSPGGSLGVVGLGGLGHVAVKFGKAFGLRVTVISTSPAKEREARERLKADEFVVSTNQKQMQAMARSLDYIIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGLKETQEMLDLCGEHNITCDIELVSKDRINEALARLARNDVRYRFVINIGANSKL >Dexi9A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:9A:2458300:2461969:-1 gene:Dexi9A01G0004540 transcript:Dexi9A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHGGLDEQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTVRYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLRLIARAHQLVMEGFNWAHVSFEPAPRRGEPDVTRRTPDYFL >Dexi5A01G0035400.1:cds pep primary_assembly:Fonio_CM05836:5A:37171623:37174183:1 gene:Dexi5A01G0035400 transcript:Dexi5A01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPAFTGNLKMPHFLTLQKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGQVPAKLANTSYLKYQDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEEANRAKEEGDTKNAKAEATA >Dexi1B01G0012620.1:cds pep primary_assembly:Fonio_CM05836:1B:16819099:16819323:1 gene:Dexi1B01G0012620 transcript:Dexi1B01G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPCFYAPSHLRASRWPRRATWSTPRTPAPPSSVLHPKDTGGAPPALLNHVSLLHHALTRAPPREAATFST >Dexi9A01G0049610.1:cds pep primary_assembly:Fonio_CM05836:9A:52224929:52226474:1 gene:Dexi9A01G0049610 transcript:Dexi9A01G0049610.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLEHTFQLLQALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNMNDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDELLCFINGDGDSGGGKAAKSKKKNKRRKDQAKNPPKASSEPLNKEGALCAIQRKVDGGNISRVLCQSPDMQDGVEDPFEDADLDDGLDPAMKEELDR >Dexi7B01G0013850.1:cds pep primary_assembly:Fonio_CM05836:7B:20281382:20282348:1 gene:Dexi7B01G0013850 transcript:Dexi7B01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLASSSSSAAAVSSTTSSSNAFHEPRPLHLSLKPVSSIPKSQSLSCSAPHVPRAAAGDGSGAGSRGDGSGGNGGKDDGGGGGGEGGEGDDDFEEAEFGPLLGFDEVLRLAAARGVALPGDMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRAFSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVAVDIALVGLLAPYVRFGRPSASTGLLGRFNRMTLSLPSR >Dexi1B01G0018380.1:cds pep primary_assembly:Fonio_CM05836:1B:24603209:24603722:-1 gene:Dexi1B01G0018380 transcript:Dexi1B01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHLAHPIPSANLDAPPPPAARSAALRFFSESGGDPSLPFLSLRSPPPPRACLPCVGRSAPSYVVSASLRHAQSWPASLLGGVAADHSTLSPFHDDSVSPRASSSRSHRPVSPYDALPSSSPASPSRMAALRDSSMVLMRFVPM >Dexi6B01G0017980.1:cds pep primary_assembly:Fonio_CM05836:6B:25044444:25045505:-1 gene:Dexi6B01G0017980 transcript:Dexi6B01G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTFLLIVVAAGATMAHGHPTANTPAAQFWEQALPGSSMPDAIADGIQRGIDHSPLVEHYTAASPDISACTLFDSTCSPQAVAETGTFFHETQLRPGSTMTLSFPEQATPAILPHDVAEKVPFTDVDDVLAAFNIAPGSAEAAQVRNTLRRCAAPPIAGETKSCTTSLEATVQSAMSMFGIEDAGVWATAPEIPRGGMPRQTYAVAAVTPVRGDQYVSCHTLPFPYAVYQCHTAREGYGSYMVSLRGLRDGSAVDMLAFCHLDTAGWNPAHPAFEVLHTKPGGAPVCHFTPYGNLAFVKTATITA >Dexi3A01G0005850.1:cds pep primary_assembly:Fonio_CM05836:3A:3789648:3793256:-1 gene:Dexi3A01G0005850 transcript:Dexi3A01G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGPLLVTMAGFLDAPGLQFFGWLITAGSFGLAALIYALLRLQREASLYWTKAAAREKRAAWKSLRCPSSSHTWSEDYFHGGQPSTCCVCLSSLGSSQDVVVGSRAAESDVVHRCSVCGVAAHSYCSRGADNDCKCVAQAGVSTLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPQSVKEISEGPAISGVLNSIKDGFSSRRSRDRRPRSKKRMNNHPGGKTSPTPTNSSILDSVLEGFAKLQGLDGKYALAKPNLSQNSVNQTYGSGIPSVGKRKYELVDLPQDSRPLLVFINGKSGGRNGASLRRRLNMLLNPVQIFELSASQGPEVGLQLFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVMHWGGGLSSIERQGGICALLSDVDHAAVTVLDRWNVAIKEKNGTEGQCTKQVKFMTNYLGIGCDAKVAYDFHTTREENPDQFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVMNIPSYMGGVDLWQNDNDHDDDFSLQSIHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGYLEISHRGQMFMLRRTSDEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >Dexi9B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:9B:1577454:1579537:1 gene:Dexi9B01G0002860 transcript:Dexi9B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAARPTVLVTGAGGRTGNIVYNKLKERSEQFIARGLVRTEESKQKIGGADDVYIADIRDADHLAPAVQGADALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQTNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRPGGLQDKDGGLRELIVGKDDELLQTDTKSIPRADVAEVCVQALQYEEAKFKAFDLASKPNQLIQARQFA >Dexi9A01G0027270.1:cds pep primary_assembly:Fonio_CM05836:9A:31723890:31726291:-1 gene:Dexi9A01G0027270 transcript:Dexi9A01G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGEDDLAMSTEELLQAQLELYHNCMAFVKSMALRAATDLRIPDAIHRRGGGATLSELAADTGLHPTKLPHLRRLMRALTISGTFMSSQDNEKGEVVYKLSRVSRLLVGGGRSSVPLFANTITVNALFSMREWLTGDELGASSRGRKRWEIAAGDDSEVGLFNAGMDADSDVVMEVILREKRGGFDSLSSLVDVGGAHGRVAVAIAKAFPHVKCSVLDLPHMVAGAPKNDSVEFVAGDMFEHIPPANAVLLKMDTVSTTPWKQKYVLS >DexiUA01G0021480.1:cds pep primary_assembly:Fonio_CM05836:UA:44645847:44647057:-1 gene:DexiUA01G0021480 transcript:DexiUA01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPDLWKKKAVHKTTSTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFQNPKGPDNLDNLRRLAEQFQKQVPGAEAGVGAAAQDDDDVPELVPGETFEEAAEEKKESEPEEKKES >Dexi3A01G0019820.1:cds pep primary_assembly:Fonio_CM05836:3A:15706302:15716849:-1 gene:Dexi3A01G0019820 transcript:Dexi3A01G0019820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTFSYEQAWHRLIYLKVAAMDDGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTIEQMEGGASIAIDSVAQISFYPVGGILLPILFSVFGMLNKCVTPMGRRLLRAWFLRPIIDIDVMNNRLDTISFFLCCEEVMSALRETLKSVRDVPHMLKKFNSPSSFCTSSDWNTFLKCICSLLHINKIFEVGISEHLANKLQHMNIDLIGKANSSITAELDYVSDLVVGVIDVQRGKEKGYETVVKEGLCDELDELRMVYEGLPDFLEQVVSANENASLPFSPEFRIPPQIVYVHQIGYLMCFFDEKISDALLVGLPDYEFAFSEEGEERRFYYHTRKTRELDNLLGDIYHKILEWSLIQTLSYFTDMERAIMRDLVCRVLQFLPHLTKAVNFAAELDCIVSFAVVARQNNYVRPILTEDSILEIHNGRHALQEMTVDTFVPNDTKIRDEGRINIITGPNYSGKSIYIKQVALIVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVATMLRHATLRSLCLLDEFGKGTLTEDGIGLLGGTVNHFANYDFPPKVLLSTHLTEIFTENYLQQFEHTKCYTMSVLNPDGQTSNDDITFLYRLVPGQAPLSFGLHCARLAGIPSEVVHRAGGVLEDIHSKRPVRRMINEKLAATDKQYQDAVTKLMAFDTQNGDLDSFFQELFGCES >Dexi2B01G0016640.1:cds pep primary_assembly:Fonio_CM05836:2B:26881697:26882734:-1 gene:Dexi2B01G0016640 transcript:Dexi2B01G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGECSSPTGTAAAAGLLPLFNTSPAAAEESLEEKLRRVSEENRRLSSALDAILADRPHLRALATSPTPSCRGNAAAPPAEVAAAAVVQRSAEDKLMLVATYEGEHNHEQRAQSEYVSDESTTHQQLQAGSLPCSISINSLARTITLTGLADQQPGSNAEAVAAEVTTPEFRKVLVDELANLLKNDSEFMESLAAKVMERIPRQIF >Dexi7B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:7B:25855021:25857384:1 gene:Dexi7B01G0020590 transcript:Dexi7B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDKMKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMEKNSHFKGLQELGPLTVFRADLDEEGSFDDAIAGCDYAFLVAAPVYLMAEDPEVVHKPKEQIEPSVRGTLNMLRSCVKAGTVSRVVLTSSAAGVYMRPELKGDGHALDEESWSDVEYLRAQKPPTWGYCVSKVLVEKEASRFAAEHGISLVTICPVITAGAAPATRVRTSVIDSLSLLSGDEKGLAVLKGIERTSGAVQLVHVDDLCRAELFVAEEAAAAGRYLCCGLNTTVVELARFLSRKYPQYNVMTDFTDDDQLLEKPRVVLSSAKLVREGFEFSL >Dexi2B01G0012670.1:cds pep primary_assembly:Fonio_CM05836:2B:19016327:19016710:1 gene:Dexi2B01G0012670 transcript:Dexi2B01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLVSIHLRSPVDAWVKRQMCKSASTTVTMPVAQSLSKDCTSCCGMRDVRCGSSQTNSERVEEADDGVDVALQEEALEGIEDVLVLVLEMRDRMKLRWRKTRRRRRRRQLVANPRHTGKRSERRGA >Dexi3A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:3A:8170236:8174237:1 gene:Dexi3A01G0011430 transcript:Dexi3A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVYTLADLRALGRQRRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIASREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISHEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPDDILREAVPGNIRRAEHFLAVLWRLVRFLDGRLDTETVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMMTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDDRMPDIRDPVIQLSCHDASLAIRPVFDRFETVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGRYVLVPLYLHSLYIKIDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWHEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLESLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGKKTLLTEEDLQAMAQDAMEM >Dexi4A01G0018690.1:cds pep primary_assembly:Fonio_CM05836:4A:22654577:22661710:1 gene:Dexi4A01G0018690 transcript:Dexi4A01G0018690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGSETFVTVSIGKAFHVYNCAKLNLVLAGPQLPKKIRALASYKDYTFAAYGSDIAFFKRTDQVVTWSRHEEKVNMLYLFGEYVLSADVKGNIFIWAFRGAEPNSEPLGSISLGDGFTPTCIMHPDTYLNKIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSIVSLHFFANEPVLMSSAADNSIKMWIFDNNDGDARLLRFRSGHSAPPRCVRFYGNGKFILSAGQDRAFRLFSVVQDQQSRELSQRHVTKRAKRLRVKEEEIKLKPVITFDCGTVLVSCNISEYLFISVSRQPKAYVWRLQNFVIGEHILTPSSGIETPIKACVISACGNFAILGTEGGWIEKFNLQSGISRGSYIDTSLATQCAHDGEVVGLACDATNGSLISAGYHGDIKVWDFKSCKLKSRLDVGKSVTKIAYHRANGILATVVDDMVLILFDTVAMKMVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPAMNSSFTDYMKGMSPSSLDMELRLLQIIDDDEESEDLEQRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSILQGKVKKLLEVQSLVWQKIDKMFQSARCMVTFLSNSQF >Dexi6A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:6A:18582912:18583975:-1 gene:Dexi6A01G0012300 transcript:Dexi6A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFFSVSECKGQKTIDGEQVPLVLAPSGVDAKSSGWEALVEALKANREWVEEKVVANSGVLLRGFDVRDAVEFNAVVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEEFIYYHHEMVLIKEFPGKVILFCEVPPPSGGETPFVPSFRVTERALEEFPDTVEELDAKGLRYTFTALSKNDTKSMRGRGWEDAFATSDRAEAERRARALGMDVEWLPDGGVRTILGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATLADGSEIPAEFVRRCGEIIEEESIQFRWEKGDILILDNLATLHGRRPSLAPRRVLVATCK >Dexi2A01G0023840.1:cds pep primary_assembly:Fonio_CM05836:2A:35538932:35541440:-1 gene:Dexi2A01G0023840 transcript:Dexi2A01G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDGKGRVAAAGGGYGYGYGYGGYEGPEDRKWWPWLVPTVIVACIAVFVVEMYENNCPKHGSPLGDCVAGFLRRFSFQPLRENPLLGPSSSTVLSALFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKAAAIITLLFIIALNLAIGILPHADNFAHIGGFATGFLLGFVLLARPQFGWMERHELPQTSQPPKYKAYQYVLWVVALVLLLVGFVITLVMLFQGKNGNDGCRWCHYLNCVPTSKWKCNT >Dexi5B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:5B:5409336:5411628:-1 gene:Dexi5B01G0008040 transcript:Dexi5B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISALTGRSRRARACRARRRQPPAAVRPRLSRPMAPLHVPARGARFWQALTPALAVASPPRTTGFCRRRRCPSRRYFLPRAVFSPRPRAPAACSTPARRADAAHGANTAATSRCRRDSSPSCPSLYPVLPEHPLPPLSLLLKPPNSSPLFHAGKRSPEQAEAPPSSFPWGSTLHCSSGQTEALTSFPILHCHSSTNPRPLSPTTAAGVARRRSPSSSPPPAHVHSPLHSSSGRTEGTNSFPVPRWCSPTSSPTLSDPDAAAATTVVDLDSGHPRPRDLAQKNRGEPLSVSPYFPGPVSPPFGRRNHAGELEGLNSGLADGVYELVPAAEEIAQESEVNVVHVDPSPEQEYRFEPEGKPRSIT >Dexi1A01G0020070.1:cds pep primary_assembly:Fonio_CM05836:1A:27004387:27007569:-1 gene:Dexi1A01G0020070 transcript:Dexi1A01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSATQVLVGIQLLLVCLQVVPPASGLAGGEAALSIPSAASLAHCPTRCGDAEFGYPFGTSPGCFRQGFELTCDNTTHHPRLFWANSTTQMVGTDSTDHYFAYASIGFSIAMAPGTTSTYIRSWESPAKGFIIDSDTHMYVVGCDVEVVLLDSGTNMTIGSCTSLCPGDKASMGNNESVAVAGNCNGLGCCSIALPDYLQGFQFVLSRRDGGGAARARSDEQAPISNYVKVFLTDDYEFDVSDLYSSWINRSVHTSLQIFATDQPSCEIASANKETYACSPGSLCQTGEWGGYFCYCNPGVNGNNPYILDGCIEGYNPHPKGDCKRSCGNMSIPFPFGFEEGCFAHQKFRLSCVSDKYIVLDRGDGTKYQVTTLSVNDGYLGVTSMLNDSSSSDDEVIVVHTTNGDFDYRVPREAMRNLIEFSQEFDIRMRIAAEAAGALAYLHSAAAIPIFHRDVKSSNILLDANFTAKVSDFGASRSVSIDETHVVTIVQ >Dexi3B01G0026680.1:cds pep primary_assembly:Fonio_CM05836:3B:22125689:22126432:-1 gene:Dexi3B01G0026680 transcript:Dexi3B01G0026680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPADHHRHNKGLKPGRRYYSYYNYYGDDGGGGRALCFAVLVLVLAAGITWLVLYVVYRPSHPTLSVTSASVLALYNAATANSATAVAASFQLALVIRNPSARCAARYDRLAAYVAYRGEPVTAPEPLPPLAQDAGAAVEVAPVLGGGQAVAVSPETAEALATDVAYGLLPIRVVVLGRVRFVSGPFHRGWHSLYARCDVLLGVRRPAAAAGSPHGGGGGGLPLPQQAPLLGEPICNVDM >Dexi7B01G0004860.1:cds pep primary_assembly:Fonio_CM05836:7B:11871752:11872317:-1 gene:Dexi7B01G0004860 transcript:Dexi7B01G0004860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFSYCLTKGTSRHGFLRFGTDVPHNLRYQATRILPALDASEAAYYVDLVGVSLGERRLDRIHPQMFAREEAYRVVEETMWSDLKEHGAERVERHGYGLCIRVTEVVKGRLQSLSLHFAEEEEATLDRSHALPWCRDVELSSARCSRWIRVSYST >Dexi4B01G0022270.1:cds pep primary_assembly:Fonio_CM05836:4B:23936319:23939057:1 gene:Dexi4B01G0022270 transcript:Dexi4B01G0022270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAKARRGFSSSPQPPARPASSSCRMQLEQDVKELQRELREETALHAVLEGALDRAAVTLADMAYLPTNAQELLSNICILETAVTKLEEEMVSLHFQLIQERNERRLVEYRLKQLPPSTCSCHSGKLEPHDTTGDKCGQGEKVYPRAVLHEQAMKLQRQISVKSLVNPNKLSEDIVRCMRNIFISLSDSCRDSSRSSSMENQQSVPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNVLASETVFDPYKAREKLSWSDIGSYSAAAEVSWMSVGKKQLEYAAESLRKFRLFIEQLAEINPVHLNDDARLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPSHRPQMALLLALQKIKVPEEQKKFCIDAPEPLLTFALSCGMYSSPAVKIYTANNVREELQDAQRDFIRASVGVSRKGKLLVPKMLHCFGRGFVDDNSFPIWISHFLPQQQATFVDHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDAGLLN >Dexi5A01G0020770.1:cds pep primary_assembly:Fonio_CM05836:5A:24593059:24597532:-1 gene:Dexi5A01G0020770 transcript:Dexi5A01G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDITGPAADVNMMGYANTEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERSKYQYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGIPKQGAHVSNDGLESLHKISELPRRVSSIIPEPNEITDDLVQLASSYEASGGRRLSGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGNRQFLKAVDLFNSASEEIQGRVDYRHTYLDFSQLEVNVPTSTGGQHVVKTCPAAMGFAFAAGTTDGPGAFDFQQGDVKGNPFWRLVRNLLKTPGKEQVDCQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQMVILCVPGEFTTMAGRRLRDAVKKVLTTDNSGEFNDIHVVLAGLTNTYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMLANKEVPTNFQPPDMLDKQIGLLPGVMFDSTPPGVKFGDVSSDVPASSTFRKGSTVNATFYSACPRNDLLTDSTFALVEKLDGSNNWVPAYDDDDWSLRFKWSRPAKLSPRSFATLEWTIPEDAPSGVYRLRHFGASKPLFGSIKHFTGTSRAFAVR >Dexi9A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:9A:9687092:9688092:1 gene:Dexi9A01G0014670 transcript:Dexi9A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARADSRVFEEYDPSVEWSFGAEADSREEIRVLVDNHGHLRTSGQRLIAGARWARFQKDFQLPSNCNVEGIRAKFENETLTITLPKKTPSPPPVPVAPPQAPRPPVAAAPSQRIPPPIPEARPAPPPPTVPAAKLAPASSQKQPAAESRPSLPPAVEPPAPEVPARLPSVPTPAHVATTKPEQPALAAVPKPREEEEAKRRERETMGKMEDDRKAAAAQEERRDEAAAMGEMEMARQPRPASASRGLLVNVAVAVVVLLGITAYVWHSLRNATGGDHGHGRMGAGSYGDEM >Dexi4A01G0005710.1:cds pep primary_assembly:Fonio_CM05836:4A:4095223:4096521:-1 gene:Dexi4A01G0005710 transcript:Dexi4A01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPDSWRFWTLNHGPLYFVKTKAYFSKMGLACHIAKIHSEGGVDSAAALSLYWPQLKDKVAGLVLAQSPYGGSPVASDILREGQLGDYVRLRKIMEILVSKGDLQALEDLTYEKRKEFLQQYPLPLEVPIVSFHTEASITPSVLTALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSVKEEPGDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >Dexi5B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:5B:10740977:10741812:1 gene:Dexi5B01G0014350 transcript:Dexi5B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPAYSNSSSDPRACPFSMASAAPPTPAAAGAGRRIVVAVDEGEESAHALAWCLGNVVSPAGGDTLVLVHARRPRPVYAAMDSAGYMMASDVLASVERHAQAVSAAAVDKAKRLCAEHPHVAVETLVESGDPRDVICDAAEKLGADLLVMGSHGYGFIQRAFLGSVSNHCAQNCKCPVLIVKRPKE >Dexi4B01G0015500.1:cds pep primary_assembly:Fonio_CM05836:4B:17328128:17328673:-1 gene:Dexi4B01G0015500 transcript:Dexi4B01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNDPAIIPTTKPFVQESFTGPSSTSFHARRRALCFASLKRSTAALSSAASIWARTSRRTSDATHSLSASVVVVVMEVALAVVEAPRRLLTPAARRAANRSADCISLSLLGRIIKEKGDVPSTVARGSIGTVGSIEFNRSDAPTELGSCCCRGVSG >Dexi5B01G0025520.1:cds pep primary_assembly:Fonio_CM05836:5B:27555766:27556965:-1 gene:Dexi5B01G0025520 transcript:Dexi5B01G0025520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAAHDLESLAAAMVDGAAAGAPHSPSPSPSSSGASSPRTKRRRTDRYALGFEFAPRLAPYEVVAPPRSGPSWTESSTFALLDAWGDRFVRAGRSGLRADEWLEVARVASAAVGRPPGYYSETHCRNRIDTLRKKFKKERERVRLAARRSIPAPRGPLKWVYYDKMVSILYPSPPPPPPPSLLPAFVKRRRDTQPSRRLRWGAKAPECLLGGGGDAGPRVSGPGAELVEGEHKVSGLGTESAEGEPRVSGLAAELVEGEPKVSRPSAAFVEGEPKVSGLGAELKFGEVFARIESSKRRHMAEVEQMRKDLQRDLDAKWREILEKAQAEIACLSDVDGDEDDVEEDGDGGDDKRLEDGGGQEQSNGVMDASP >Dexi2A01G0037000.1:cds pep primary_assembly:Fonio_CM05836:2A:46481342:46483655:-1 gene:Dexi2A01G0037000 transcript:Dexi2A01G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLNRRSSFHILLSIQLLVLLFNTLVVADLASEKQALLDFISAVSHGNKLNWDRNTSSCSWHGVRCSADQSHIFELRVPAAGLIGAIPPNTIGKLDSLQVLSLRSNRLSGNLPSDVASLPSLRSLYLQHNEFSGGLPSFFSPSLGVIDLSYNSFTGEVPASLQKLTQLTVLNLQANYLSGSIPDLKLSNLKQLNLSNNELKGPIPRSLKTFPNGSFLGNPGLCGLPLAECSVPSPTPSPESSSSPQYSPSPHHGKKLGTGFIIAVAVGGFALLMLVVVVLAVCLSKRKGKGESDVESRGKGAVARSEKPKQEFSSGVQIAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGKHANLISLRAYYYSKDEKLIVYDYIDTGSVSAMLHGTRGVAEKTPFDWNSRVKIILGTAYGIAHVHTEGGAKLTHGNVKSTNVLVDQDGNPCVSDYGLSGLMSVPVNASRVVVGYRAPETVENRKITQKSDVYSFGVLLMEILTGKAPLQTQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKQQNIEEELVQMLQIAMACTAKSPDRRPTMEEAIRMIEGLRQSASESRASSDEKPKESNPPSV >DexiUA01G0011340.1:cds pep primary_assembly:Fonio_CM05836:UA:22838213:22838494:-1 gene:DexiUA01G0011340 transcript:DexiUA01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGPAGRALELAWPPPPPPLLLLRRRRAGAGSLGVGGEVLVCLPWPPGVGGLACLAETSGGETHACVRARGSRAGKRLGRHACEINRNRGG >Dexi5B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:5B:4328778:4329011:-1 gene:Dexi5B01G0006400 transcript:Dexi5B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDRVPRGYIPILIVQGEEREKILVSMEHLKQPCFLELLDLAVQEFGYEQQGVLQIPCTVQAFRSIIGAIRKPKS >Dexi9B01G0011510.1:cds pep primary_assembly:Fonio_CM05836:9B:7327637:7329769:-1 gene:Dexi9B01G0011510 transcript:Dexi9B01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVTIPKECDTVQKCYIYLSGQVKEKLGKIDPYFTKLADAMVTWIEAWDELNPTAAATENGKAK >Dexi6B01G0013160.1:cds pep primary_assembly:Fonio_CM05836:6B:20854863:20856296:1 gene:Dexi6B01G0013160 transcript:Dexi6B01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQVQVVEKALVAPSKETPRQPLWLSNLDLAVPRTHTPLVYYYPAPSQQQQQGPGAFAPERLKSALAGALVPFYPLAGRLGTDPDGRLQIECNAEGALFVVARADDLTGEDIFNDFEPSPEIRKAFVPPPESDGPLAMFQVTFLKCGGVVLGTAIHHAAMDGVGAFQFVQTWSGLSRGLDIAEACGPAPLHDRTPLRARCPPRPTSDHFCYSSAFLSGRARPFVTRLYSVSPKLLADLKSRCGAGVSTYCAVTAHLWRCVCVARGNAPDSDTRLGLPANVRHRLNPPLPRSFFGNAVVRDLVTARVSDVLDSPLGSVAEKVKKAVDRVDDAFARSVVDYLELELGEKRGGDGKSHGGEEAKREQLVPVSDLWSVSWLGMSMYNADFGSGTPRFVAPAQMFGVGTAYMTPCADKDDGITVIFAMETEYIECFEKVFYGE >Dexi9B01G0041230.1:cds pep primary_assembly:Fonio_CM05836:9B:41653811:41654982:1 gene:Dexi9B01G0041230 transcript:Dexi9B01G0041230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLAEQLREHQIRSAQSYSAALAVFSPSPHIASRYAHNIDQHGMDEKYGTISYAKSVVCQDH >Dexi2A01G0036890.1:cds pep primary_assembly:Fonio_CM05836:2A:46383737:46384486:1 gene:Dexi2A01G0036890 transcript:Dexi2A01G0036890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWFHKLRRKRRGGDPDDDKPVAPAPSPCSPSPNRASYYVPTKDRAPFPVPAGEDNPKLRDTHFPRSPQPNDIVFDVVVTRRRDDRFNAMPDLKLRPIVTRPPKPNAAAASPDTTTNTAACRMRRPRFPPPPPSRRRKAAEEDEACGRRRRRQSGSCKVRSWMYESRVVVKDSADPEEDFLESMAEMIAANGVTSPRGLEDLLACYLALNAPDHYHAIVAAFRRAWVHLHSPPAKGRPRCFHESRFT >Dexi4B01G0015080.1:cds pep primary_assembly:Fonio_CM05836:4B:16350815:16352407:-1 gene:Dexi4B01G0015080 transcript:Dexi4B01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKISAPAALILASFLFIFCYASTTVSSQPSNSSDPFLKCLSSSIPSQLVLTPSSPSFTPLLRFSIRNPKFFASTTVRPLYIVTPTNASHVQSAVLCGRQAGMRIRVRSGGHDYEGLSYRAERPQPFAILDLSNLRSVRVDAPYTAGMVWGQKYFRGNYQRLAMAKAEIDPDDYFRNEQSIPPFAKSK >Dexi3A01G0032510.1:cds pep primary_assembly:Fonio_CM05836:3A:37382852:37383579:1 gene:Dexi3A01G0032510 transcript:Dexi3A01G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTMLSTSTILLLEPKQITLAETTGGAFREYIDTFDGYTFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYASVEDLGPPEKAAEKVLKQYLTEFMSTRLGVRRESNILSAVSKIADDGKLYYEVEVNIKSYASNNELAVMPQDRVQSLEWDRRYLSVLGVENNRLYELRLQTPEQVFMEEEGDLRRVMDSFRVIKSA >Dexi7B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:7B:16912838:16913999:1 gene:Dexi7B01G0009270 transcript:Dexi7B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGVLFLAGSIINAKAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPARWRGAFTSAYNAFVVIGILSATVTNYFTNRIPVWGWRVSLGLAAVPSALIVVGTLFVSDTPSSLVLRGHPDRARAALQRIRGLDADIDAEFKDIVSAVNEARQNDKGAFRRLFSKEYRHYLAIGVAIPVFFEFTGMIVIAIFSPLLFRTVGFNSQKAILGSVINSATNLVATLLSSLVMDHTGRRFLFIIGGLGMMVCEVAISWIMADHLGKHEGVTMPRNYATGVLVLICLCTFSFGLSWAPLRWVWVVPSEI >Dexi2A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:2A:2165452:2166060:-1 gene:Dexi2A01G0002660 transcript:Dexi2A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGAGTDSPPAERPRPRPSRYESQKRRDWQTFTRYLSLRRPPLHLRQCSGAHAVEFLRHLDRYGKTRVHEPPCPSYGGGASSLAPEAEPCQCPRRQAWGSLDALVGRLRAAFDERHGGGAAGSVATTTTAAAAAAAPQQQPEITAGAGENNPFATRAARARGISYCRKKKTGSNKETGSIPAGEGVSG >Dexi2B01G0024550.1:cds pep primary_assembly:Fonio_CM05836:2B:33947343:33947889:-1 gene:Dexi2B01G0024550 transcript:Dexi2B01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRHDLGEAGLEPLHKLGEVASRDTREVTELGHVLLELERHLRLPFLVVALPLGAAVQPLQRLLGHALQVLAEPALHLVALRVEVVHAYVHLSTRLASTICAYASSKKSISVGCRPISSATRSSPPPWSPSRSCLAFFIDAPCAHHRSYLSSDALACSLLVVSSSNSDGFAMYTLQR >Dexi9A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:9A:11602346:11602888:1 gene:Dexi9A01G0016570 transcript:Dexi9A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGKRRRSRPPCGHRPYNCNSSGHLVRNRTELLVTINGFYAAALDRLPVGEMPALVPRLLKSGLCVGFSDPVSNIILNTLSSSSSCTRRCVPDRKPAAASEAAADSDEGERDHQRKAAKRRRRRALSRVVADTGDVKYWPSFRRLLRDMPVAARSLEALVAFLTYYFDTPVAVNHSTT >Dexi5A01G0007630.1:cds pep primary_assembly:Fonio_CM05836:5A:5631502:5632471:-1 gene:Dexi5A01G0007630 transcript:Dexi5A01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQREEGDQHEMVVKLASAPNGKTSCELEATTTDDSHVSFRCLYNAQDCRVSPGSDRSRGWQVEPDGGVAGMSAAGVRWPAVECTAVVVVWDPQERDVANLQPPHDMH >Dexi2B01G0001350.1:cds pep primary_assembly:Fonio_CM05836:2B:857107:857971:-1 gene:Dexi2B01G0001350 transcript:Dexi2B01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGGGCAQLDAKLAEDVAGVDRLSALPNNVLLRILASLGDAASAARTSVLAGRWRRLWTQLPELRFPSSPEPRPIASALLAHEAALTCLDVRAEDAAAESVAAWLPAAARRLSGSLVFTNRVLPEENDDLDSAGEERGAFELPCFGNATTVSLDLGWLGLAVPRAAGVFARLTELSLNHVRFRRPAVLGDAVSYRRCPCLEKLTVQHTLGLSDLTIRSNSVRHMELAYLRGLQQLTVDAPALEHLSVVCCFYRDQIRPVANISARQVKELSLER >Dexi3B01G0001130.1:cds pep primary_assembly:Fonio_CM05836:3B:846609:847257:-1 gene:Dexi3B01G0001130 transcript:Dexi3B01G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATRIDFADATDSDDHRRRCADLIPPSAQRKNLVDVDNPGNDQPGAAAGSAACANGKWGKFAAEIRDPGLKKRVWLGTFDTAEEAAAVYDAAAIRLRGSRAVTNFPASTPSSAAMSSSAVLPGVSSPVGSTKIPPTPASPPSTESSSVVVDAGEEVTGLRWFEDEPFELTEFCMPPAKSTRSEFGELGDLDDLFSPEPARSNFFGEKFRL >Dexi2A01G0005480.1:cds pep primary_assembly:Fonio_CM05836:2A:5282771:5284253:-1 gene:Dexi2A01G0005480 transcript:Dexi2A01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSHPLRRSPSSVCCCVPGPHGRTNSPARGGAARRGALAAISIVSGGGSFAVGRMEDGLDAERRGAAATEADVLPEDALVEILSRVPSRSIHRFKCVSKRWRDLIADPFHRKRLPQTLEGFFFSDEAGGDADAGFRGCFVSLPGRSAPLVDPSFSFLTKQPGIENIKLLGYCNGLFLFQRGQNSGVGGPDYAVCNPATEQWVAVPGAGCALNPPSKADTYLAFDPAVSPHFRLVHISQKDFLGEVEVCVYSSETRVWSDMASQQSRWRDEGGWKRWVNGGAILNPMWGSTSVNGMLHLVVYHVPDEYLIAAVDMEGKTCRIITLPDRNSFLSFFGQSQGHLHCVVSIVELERGCVKWAGLSIWVLEDYDTGEWVLKHKVSFLELFGQMNCMDRFNSTVLTIHPDRNLIFILQNSNKQLLSYDMDSKELHASHTLGHNFEDFAPYVPNFLESLVLTNGH >Dexi5A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:5A:9351808:9355552:1 gene:Dexi5A01G0012440 transcript:Dexi5A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLAWPPLISHYNDGRGGSIYSAAPRRLIELSPSRTPHFWHDRRDVKYLHRITCRARGVAFECYVYLRSAFSPPSKKEKARTLSPHTAVLYDELRDIPPTVVFLPPAQKKQSTAPWEAGTEGIPDLLPVLLAPAHFLGRPPPTGRDGLAPRRSNPTKKNPTASSFLYGAPPRRPAGRTRSPRRINQPRPVKAMLPDADGQQPASLGPPAAAAGERERVASMRPHVLVLDGWISRSRSGHQRATGFRDKIKSGLVLADNIHPSLAAAVLLLPTLFPIRAESLKKSRVTEHLNPSAPVCVAKANEPEMALSVQANFRAQNGLVDSAGFLFRMRQVGTRRLSRHRHARATTAAAPRHSLPPDRGCVTACAMLAAVRRAGLVRPRSLGRLAPSLSARGGEPSRGTWGRVYACQPACVLFAGRGRRASTSSARHFGTHHYCARQKALSPFSNDIVWSVGLLLFTALYIIFA >Dexi9A01G0025740.1:cds pep primary_assembly:Fonio_CM05836:9A:26436831:26438430:-1 gene:Dexi9A01G0025740 transcript:Dexi9A01G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVSSLVNSSGYTAYASYTLSSGRGMAGFRWRVGFKVQTWGRSCLRGFSSAAIPTQLEVLCSTIYPHKSHLLNVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVVITMLPSSSHVNVLQVLEVYNGANGLLGAGSRLAPWLYIDSSTVDPQTSRKISAAISRCHLKEIKGYTENPMILDAPVSGGVPAAEAGKLTFMLLFLAFHHNLYLFEQTRNLL >Dexi5B01G0024470.1:cds pep primary_assembly:Fonio_CM05836:5B:26495522:26501035:-1 gene:Dexi5B01G0024470 transcript:Dexi5B01G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATSGAAARGDDRQSNGTGRDGGDKEKKDVKKVSLLGMFRYADRLDVLLMVVGAVGAVANGVAEPLVTVLFGNVIDSFGESTAQSIIRKVSKVVLNFVYLGIGSAVVSFLQLTTGQAVSRMSSDTLVIQDALGEKAGKLLQLTSSFFGGFIIAFTRGWLLTLVMLTSLPLVAIAGAVAAQLLTKVSSNKLTSYGDAGDTVEQTIGAIQTVVSFNGENKAVAMYKRLIKKAYRTDILEGLTNGFGMGSVFCILFCSYGLAFCSLGNAAPSFSAIAEGQSAAYRLFETIERKPEIDSSDTSGMVLEDIKGDVELKDVHFRYPSRPDQLILDGLSLQVASGTTMAIVGESGSDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGSQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTFVRQGKTTFPDVFKVFFALVLATIGVSQASALASDATKARDSAISIFSILDRKSKIDSSSDDGMVPENVTGNIDFNNVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTIIALLERFYDPDSGGISLDGVEIKSLKVSWLRDQMGLVGQEPVLFNDTIRVNITYGKSGEVTEEEVMAVAKAANAHEFISGLPQGFDTVVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDAMDRVMVSRTTVVVAHRLSTIKGADMIAVLKEGKIVEKGRHEALMRIKGGAYASLVELRSKSE >Dexi3A01G0003220.1:cds pep primary_assembly:Fonio_CM05836:3A:2098660:2099738:1 gene:Dexi3A01G0003220 transcript:Dexi3A01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAAIGILVAASSLAVALSNSGGATLFGYTAGSATGPENWGKLSPAYKACGAGKQQSPIDIVTKQVVPNPNLDTLERTYAATNATLVNDGHDIAVRFQGKVGTITVSGKAYSFDTMHWHSPSDHTINGQRFPLELHLVHKAADGAVAVIGILYQLGSPDSFYYQLKSQLGEMAGDKCNFAEEESRVEAGLLHLRSLQKRTGSYFRYMGSLTVPPCTENVTWSVLGKVRQISKEQLQLLKAPLPACDGRPAQPLNGRTVQFYNPPNSTISFQM >DexiUA01G0001190.1:cds pep primary_assembly:Fonio_CM05836:UA:3596417:3597347:1 gene:DexiUA01G0001190 transcript:DexiUA01G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTKTRKILISLTCYLLCVTTLPHATPLSFNYNFSTPGDLTSADLRYISNATAAGDRVDLTEDTTWSTGCLAYGQPVQLWDDNGTGKVASFTSNFTFVIRPRNITAQADGMTFFVWTYPPTLPQDSSGGFLGLVNNPNNPANTYFPPTVAVEFDAFRDTWDPNNTINHVGVDVNSVTSVKYTALPDGCFNGTMSAWVKYDANAGTLSATLRFDDLPGLGLYNVSAAVDLRAAGLPQQAAVGFSAATGGFVESHQILSWSFESTLTSVHDDSRPSSAIKYVVPIVVCSVLSCGGSAVAVG >Dexi2B01G0017010.1:cds pep primary_assembly:Fonio_CM05836:2B:27358998:27359237:-1 gene:Dexi2B01G0017010 transcript:Dexi2B01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAMFTVGRKMKGTGALSMGRRPFQHVVLRRLRELKKIVPDAQDADVDMLLRQTADYICILELKVTVLRRLSAIYGM >DexiUA01G0009340.1:cds pep primary_assembly:Fonio_CM05836:UA:18248203:18248513:1 gene:DexiUA01G0009340 transcript:DexiUA01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQEGYPPPGQQAYGAPPAPAYVAPPPTYPPTQDAGGQQHQTTSRGDGFWKGCCAAICCCCLLDMCF >Dexi9B01G0008830.1:cds pep primary_assembly:Fonio_CM05836:9B:5420135:5423253:1 gene:Dexi9B01G0008830 transcript:Dexi9B01G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAFLAGFPQLAAQPGRGRVELGAPARVAVAAIGSAKVGARAGVKAVAARLGVRCRASLIEPDGGRLVDLVAPEEGGRRAALRREAATLPHRVRLGRVEKEWVHVLSEGWASPLQGFMREHEFLQALHFNAIRGADGRMVNMSVPIVLSVGDAQRMAIQADGATRVALVDDRDRPIAVLSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLDMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTAKRDLYDADHGKKVLSMAPGLERLNILPFRMRTLAKNRESPPDGFMCPGGWKVLVEYYDSLVPSEGSSKLREPVAA >Dexi3A01G0031220.1:cds pep primary_assembly:Fonio_CM05836:3A:35651902:35653043:1 gene:Dexi3A01G0031220 transcript:Dexi3A01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSLGKAVLDGALGYAKSTVAEEVALQLGVQRDHAFIKEELEMMQAFLRVAHEERDDHKVLMTWVKQVRDVAYDAEDCLQDCSVHLKKPSWWRLPSTLRERHRIAKKMKELRARVEDVSQRNLRYQLVKSTAGSKPADGAELSGFAGATTMSGIEEAQRQQNNSKADLIRLINTTDEELRVIGVWGTSDNLGEKSVVKRAYDGLKRDRKFQCHAWISMVRPLNTTEILEDIVMQFVEHSLKEETRTQTSTSEAGDLRRLWMINEGDMADEFRKFLNENSYLIVVNDISTMDEWDKIRTCFPTNKKGSRLLVCTEYVKVASLCVEPSTLLPEHKQLCPDKTLYAFYDKL >Dexi7B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:7B:19221279:19225089:1 gene:Dexi7B01G0012430 transcript:Dexi7B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPRAARLLRSAAGRLRSPPPPARVFSSAAGTGTVAGTGREAAIVAAAVAVAGSGLGLWLKPPSLADSCETVGGQISVAGAGPTAAEARQVKSRFLFADSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRKPEGEVYMLPADLMRAVVPVFPPSESTVVREGRLRGERSPGELHCAPSKFFMLFDTNNDGLISFAEYIFFVTLLSIPESNFSAAFKMFDVDHSGKAAKGMFSLYVLMFSCIYKNIFKHHLVVVILLFTIDHCMQLISLARKGPAPYEHVQSPDLLVDDKKGVIDKEEFKKIMALMRSFNRQGATHKDGLRIGLKVGQPVENGGVVEFFFGNDGNEPLHYDKFSEFLKELHDEIIRLEFSHYDVNSSKTIPAKDFALSMVASADMNHINMLLDRVDALVNVPDLKDMRISFDEFKAFADLRRRLEPLSMAIFAYGKVNGLLTKQDLKRAAQHVCGVDLTDRVVDIIFHVFDTNLDGNLSSEEFLRALQRRETDIRQPTIPGPLGFLSCWFSGRKCSSVRQMLF >Dexi1B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:1B:19603950:19606598:-1 gene:Dexi1B01G0013620 transcript:Dexi1B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGSPWRAARPVAALAFLLFLAAAAAPVASGGGSPAVNGDRLRAEQIRKQASDASASAAALAAASRRLHLDRARHLRLLSSLHRNLTNTLRALSIAAEAASPEDASASANASSSRQLDLQAKDLIRAARAAIADSKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARSEEYVESVPPPRALEDPALFHYAIFSDNVLAASCVVRSAVANSNDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYKQYMNFSHPLIKAKFNPNACGWAYGMNFFDLDSWRREKCTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDEYIRQCNFAPP >Dexi4B01G0021800.1:cds pep primary_assembly:Fonio_CM05836:4B:23669223:23669715:1 gene:Dexi4B01G0021800 transcript:Dexi4B01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALRTGAAILRALSTASAAHLHAHALKLGVLPSCLHLSSALLKSYAASGRVAAVRQLFDETPRRDVPLWNALVFAYARSGHPLHALVSAMAEGAQPNGVSVTSLLSACAQLRSSVHGRELHGYAVRNLVDLDLPVLLVNMYGKCGRLAYARMLA >Dexi9B01G0047480.1:cds pep primary_assembly:Fonio_CM05836:9B:46546919:46550793:1 gene:Dexi9B01G0047480 transcript:Dexi9B01G0047480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDADAGAEPAAAQATLHIRCANGSKFAVRADLSATVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPPAQTSPAANQETPTNVPASSPAGGLGGLLEGLGSTGAANSGGLGLFGSGLPELDQMQQQLAENPNLMREIMNMPLMQNLMNNPDLIRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRNPNPFAALLGNQGSNQARDPAANAPTTASDPAAGSPAPNTNPLPNPWGSSAGAAQGAARPPPASNTRSATAGGLGGLGSADFGSMLGGGGSDASLLSQVLQNPTMMQMMQNIMSNPQSMNQLLNMNPNVRNMMESNTQVRDMLQNPEFLRQLTSPETLQQLISFQQSLMSQLGQQQAGQERTQSGTGAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVPPEELYATQLSQLQEMGFFDTQENLRALIATAGNVHAAVERLLGNLGQ >Dexi7A01G0023380.1:cds pep primary_assembly:Fonio_CM05836:7A:31374234:31376810:-1 gene:Dexi7A01G0023380 transcript:Dexi7A01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWQELGQAAVIGLIFALLVAKLISTVIAFKEDNLRITRSPPTSPITATARSPPRPDTPAPAAAPPRGGGDLSSDGGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQEYIMIVHELFPNWDAGSSMRRKDEDSMASASGSKGPMGPDNEGQTALHYAVVCEREDIAELLVQHHADVRIKDEDGNTAQDLCPSSWSFMN >Dexi1B01G0014620.1:cds pep primary_assembly:Fonio_CM05836:1B:20980485:20981797:-1 gene:Dexi1B01G0014620 transcript:Dexi1B01G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRKVHADRWEFAHEDFLRDSKHLLKKIVRRRSSPTQQGSIQPGSSSGESSLDPELHTLRREKNALLQEVARLKQEHRQTIEQMSTLNHRLESAEDRQKQMVSFLAKLLQNPSFVRQLKLHREQKEIESTRVKRKFLKHVPHGSIESSESSSQHGRESGSHFPASSPMATSVHDDIAELQNFLLEDDDVNFGMDPDNIGIDRVEAPEDIGALVGFDTQEELELGGGSELLEMPPASGPLGQDPTIGRSKGKSVLCPGLDTTSSEASYLGSMSDTMGVLSGTMLGTASTMMDADEEQMWGIDASAPLQSTCSGSSQQTFSSLASDPYLMDIANKPEKFWDLEFQTLDQEDLQLDKCAIDDPTLQQQQQQQRNIKKP >Dexi9B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:9B:12742805:12746384:1 gene:Dexi9B01G0017950 transcript:Dexi9B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLGQTQRYAAGALLALALRQAQTHQTVLLGSHRPRRRPAPPRRLRRRRRARRPRPLDTRVPRPPPPRPQVRSFLEVDPKAWAGVEKTAASSDPKHHIGAFLRKVFEDEDDDDKARSERSDHELALAKAVDAMAMGLESSSVVDTVAEALKQPAASCSADYTATSARDYRKMAVLYMLLSACVADVNMAEEGMGSPRVTKGYDARHRVALRLLATWLDVHWNKMEAVEIMVACSAMAAAREEERQSRENSSPSSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLVPIIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGNVKEFEFKTIGQNHSQGRLAVGIFVSGFAFTEEDYSKPWEGWKTNLERYVLQWESKHVIAVSTAIQDWLASRVALELMREGAMQTVLGGIISAFAWPATLVSAADFIDSKWSVAIDRSDKAGKMLADVLLKGLQGSRPVTLVGFSLGARVVFKCLQELEQLGNNVGIIERAVLIGAPVSVKGEMWEPARKMVAGRFINVYSTNDWILGITFRASLLTQGLAGIQAVDVPGVENVDVTELVVGHSSYLSLLQQILDHLELNTYYPVFYPCTPRTK >Dexi3B01G0031130.1:cds pep primary_assembly:Fonio_CM05836:3B:31542038:31544004:-1 gene:Dexi3B01G0031130 transcript:Dexi3B01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACRAAAEHCSKKGKSITKLAMQYSLMNNEISTVLVGMNSSKQVEENVAAALELSTSGIDEELLHEVEAILEPVKNLTWPSGIQQA >Dexi3A01G0034800.1:cds pep primary_assembly:Fonio_CM05836:3A:40085301:40089375:-1 gene:Dexi3A01G0034800 transcript:Dexi3A01G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSGGGGAATNRGRRRKADGVEPLEDEDDVVVVKPVDVIEGSKHRDGSIFRADAHPLHELFCLADTSETRLKPMRLSDPTPDCYPCWTACRQHLGCAMLQIFSLKLTNLPPASAAAGPVEIYGFIAVRDLLDPLRNYVFNHTRSHPFVMQDPMSDPFIYLSGPKRGVYLQSRALIEYDLRIKSPASGGEEADDDSPLIDGAATLSELTWTNAVLTNRIAGDLGAAAVDMRRALFRDAVEATVDVWITKLAAGDGGGGIDLSMTGCVSNLPEDEIKLFRGVVREPCALGRFVVAARLDSYLFLQFRVAEGVVSSDEFEWFAFRAPPASRPPPPYTSNSPSRDGDRRRRRRITAAQQEDKEDFLKKKKDKEEMETQQLRERNYSTEAEIDDEEEEGVFPAEVLEGMKHGDGSIYRPDAYQMHSLYRIADTTEGCLEPMRLTHPNPDCYPCAATCRQHGGCNMLQIYSLKLVGTPSATTGGGSIQLYGFMAIRDLLDPFRNYVFNRTRDDPFIIQLDDPHSDLSIYPSGPKRGVYFNCTVLIEYDMKIIKVDGEDAQQHDLQLIDGVVTCDELTWNRGPSTYRIEGECGGYAVDFSQALIRGAVEATVEVCITRVAENNANGDAVLDLSISGVLPPRTGEIKLFRGVIDKPRALNRFVVAVGLTSELFLLFKDAASGSTGKFAFRATAHGCVSEHRNFDFATIEVNVTWSNMI >Dexi7B01G0010160.1:cds pep primary_assembly:Fonio_CM05836:7B:17554156:17557910:-1 gene:Dexi7B01G0010160 transcript:Dexi7B01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLRRLLRAPPRRAQLSRALSSSPPAKGGGDGGSGVSVKQVTRGNMAEALEELRARVRDAAFVGIDLEMSGVTSAPWRDTFELDRDDVRYLKLRDSAERFAALQLGVCPFRWDHAKSAFVAHPHNFFIFPRKELLSDYSSHEFLCQTTSIDFLAKYQFDFNTCFREGISYLSRAQEEEAIQKLNLLHQDQTSASSTTSEEDGDMPLKSVADILFTERMKNNFKEWRDLLVSKSDGHFSEYTKCATGQFQTVFFKMRPAIMLNGFSSHQLKLIQQVLRKNFRDLVYVCTFGEDDTSEKRVVYSDTEDDKIMLMKDVQEDLLKNREARVKSAIGIRHVIDLLSSERKLIVGHSCFLDIAQVYSKFIGPLPSSIKEFSLSIHKIFPHVADTRHLMSVSQAVQKLMKQKSKSLSSAFSLLCPASHSYVEKPSSLSPVRIEVEEDETAYGLLASFQVLSMKQALTSG >Dexi9B01G0006570.1:cds pep primary_assembly:Fonio_CM05836:9B:3946137:3950438:1 gene:Dexi9B01G0006570 transcript:Dexi9B01G0006570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAEAAPLLLPHHQRGGKEDAAAEAGEEGRRCCWWWPWRRSRCCGPEEGRWGEATAEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVPLAGAAIANSLTNVSGFSVLVPVCSDSNVWLASVAVAGVGDRSVVGVRCNEKEVVNYVTRMVPLLSISVLVDNLQGVLSGAYVNLGAFYLVGIPVAVVLGFALHLGGAGFWIGMIAGGAVQADKARDRVFEESLPTQAE >Dexi1B01G0000250.1:cds pep primary_assembly:Fonio_CM05836:1B:303018:303777:1 gene:Dexi1B01G0000250 transcript:Dexi1B01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRPLQAAPWWPGTGTATLRLPEQSKQGRKPMPPQPSQQQWAPPRALGRPSSSGASRPCSSRRSTSSAPPTWRPRTKSLGSEGALPDANVAAVVGAEQAPELVPEAAVHGDVALPEGDAVGLERGADGVAGLEGGADAAEGGGVEHRGWLALPQGVAVDWAEWGADAAAAAAGRGPGRPGRGGGVEEAGHELPGPGEEVEAVAAQRLVLSVLLLRLLVVAAEAQAIQSALRCR >Dexi1A01G0016570.1:cds pep primary_assembly:Fonio_CM05836:1A:23810251:23812967:1 gene:Dexi1A01G0016570 transcript:Dexi1A01G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIPIAYRNSSSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDKLLPFWGKARPALGQSFLVAQSELTATAVWYHNGTREAEMLHPFYPAATMVYFQCMFATITIIILAGSLLGRMNIKAWMAFVPLWITFSYTICAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGSTTGLFAEPVLCSLFLSIPDSRGAFYGGDGGSQFGRQIAGALFVIVWNIVITSIICVLISLVLPLRISDEQLLIGDDAVHGEEAYAIWAEGEPNDIAQHDESRHGSMAVGVTQNV >Dexi9B01G0000030.1:cds pep primary_assembly:Fonio_CM05836:9B:64591:68948:-1 gene:Dexi9B01G0000030 transcript:Dexi9B01G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWGSSGQAADSFYQVRPDCSQNVPSTKYKIKGVHPAIRGEVWEFLLGCYDPGSTFDERDQIRHRRRMQYSRWKEECREMDSHVGSGKIITAPIITEDGFPIKDPLVLLEAASETQGTPTSSSGIEVSDSTNRVMDGQIIDWKLTLHQIETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFCTYEETGAATDRIEGFKPKVKSVRQFGKYERQNMKNGASDGDEPVPISVFLVASVLKENSPKLLQEARGIDDVIRILNNVNGDLDAKRTCAVALKLHRKYLKKGKKT >Dexi4A01G0009440.1:cds pep primary_assembly:Fonio_CM05836:4A:7464163:7465877:1 gene:Dexi4A01G0009440 transcript:Dexi4A01G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVTVTTSSPAILQPCNKHASRGAVVRYFPPLLWGKRTSYGHSVRTTSDETARSSRGLQPSPATGGRVEAPVSGGAAAAGEQGDTIRRLQNGPDVRGVALDGEMGRPVDLTPLAVEVIAESFGEWLREQQQLGSDDKEELRVSVGRDPRLSGPRLSAALFAGLARAGCAVFDMGLATTPACFMSTILPGFNYDASIMMTASHLPYTHNGLKFFTKRGGLTSANVENICDRAAHKYVARKMGLGRSASGMPPPVVMRVDLMSAYAQHLRDTIKQRVAHPTHHDTPLHGFKIVVNAGNGCGGFFAWDVLERLGADTTGSLQLQPDGTFPNHMPNPEDPTAMSLTRDAVLAHGADLGVVFDTDVDRSGVV >Dexi2A01G0013080.1:cds pep primary_assembly:Fonio_CM05836:2A:15684973:15685233:1 gene:Dexi2A01G0013080 transcript:Dexi2A01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSSVLFRHMGGVAGTHQLHAAAPPMAFPSGAAAVFLAVDAKASFLDCAVASFRSRAASALSSFRTAAAVSSFSSCRMVATAGCR >Dexi5A01G0029970.1:cds pep primary_assembly:Fonio_CM05836:5A:33024493:33024928:-1 gene:Dexi5A01G0029970 transcript:Dexi5A01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALASPMASLSFRSGRISAAAIGGVARTGRAAPVGASASPFLRSSFVSSSSTSSASTSPASVSAAVSASLAFTSSSSFAEFS >Dexi3A01G0016720.1:cds pep primary_assembly:Fonio_CM05836:3A:12670598:12670972:-1 gene:Dexi3A01G0016720 transcript:Dexi3A01G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPPPVTAPPAAPLTSRHAPRHLLTKEAGRPCPTVGPSLRLPSAAAGPRRLSLATLDPPPRPCLLPRPDAPLSPPAVEPYPDDTELETLTQFHHVSIRERNEEHEEEELPRRRPSTTTHQS >Dexi2B01G0009450.1:cds pep primary_assembly:Fonio_CM05836:2B:10224327:10226054:1 gene:Dexi2B01G0009450 transcript:Dexi2B01G0009450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDWGPIIVAVVLFILLSPGFLFQLPARYRVVEFGNMGTSALSVLVHTILYFCILTIAVVAIGVHVYTNKPDPLERASISGDPRSVEDSNPFHGSLAGVLALGCLAIFLPVEQELLLGVEVGLDAPDLAGGGGELERSGDSLLDARG >Dexi9A01G0045550.1:cds pep primary_assembly:Fonio_CM05836:9A:49132532:49134273:1 gene:Dexi9A01G0045550 transcript:Dexi9A01G0045550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNGTIMIQFGHQMPDYDSPATQSTSESHQEVSEMSEGSLNEHNDQSGNHDGYSKSDENKMMSALSLGNPETAYAHPKPDRSQSFAISYPYDSYYGGAMAAYGSHAIMHPQLVGMVSSSRVPLPTEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHLHAMKRARGTGGRFLNTKQQSESAGTSDAQRPNGGLFTKHEHSLPPGDRHYHARGGGA >Dexi2A01G0004120.1:cds pep primary_assembly:Fonio_CM05836:2A:3685014:3686730:-1 gene:Dexi2A01G0004120 transcript:Dexi2A01G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGHTHQKNRPSRRATWKRNQTLSLRRYR >Dexi7B01G0023240.1:cds pep primary_assembly:Fonio_CM05836:7B:27869598:27871092:1 gene:Dexi7B01G0023240 transcript:Dexi7B01G0023240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAWPGAGVAGVSSPNSLLLLRSPPPHAIYMRRRLVLGVGTSAVAALAASAPPAVLQDGVATLFATAGAYALVRSFDMLTERRLLEKSLSRKIVHVLSGILFMASWPLYRELLRGPLYYVLVLLFSVLVFWRESPIGIVSLSMMSGGDGFADIVGRRYGSVKLPFNEKKSWAGSISMFISGFMVSAIMLFYFSSFGYIHVSWEEAFGKLAFVALAATIVECIPVTDVVDDNISVPLATMLVAFLLFGSNTQ >Dexi7B01G0010100.1:cds pep primary_assembly:Fonio_CM05836:7B:17477016:17478633:-1 gene:Dexi7B01G0010100 transcript:Dexi7B01G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSNLSAGYEALLGCLSAGVIVGIIAVFCHIRRRASKLKPTTKDTEVALASLEYEETICKPMSIKDIYTATENLSPSNVIGQGIAGKVYRGVLANGWHVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWVQRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLSDFGLSRVMDLGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAMPMSLDKMASTLIQDGNVLEFADPRLNGEYSTEAFDLSLKLALSCTGHKKQRPSMEQVVSRLEKALEISMRDDAKHNSISIIESLA >Dexi6B01G0007080.1:cds pep primary_assembly:Fonio_CM05836:6B:8183331:8184464:-1 gene:Dexi6B01G0007080 transcript:Dexi6B01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSERPTRKTVSRCVPETDGCTHVLEIVGYSLHKDVSPSTFIESPAFAVGGHEWCLRFYPNGDGWEDTEGFKDSVSLYLAILSVDEAEGDGDGDGDGEATTKVRAQYDFRFVNAATGVSTSVYGGDHVFRSGCTTWGSGNLMEKSELQASYLRDDCLVIECDVTVVMRTAMSEPEAVCDIQVPPSALLDDLGKLLGSEVGADVRFEVKSEAFYAHKIVLAARSPVLMAELYGPMSDMNMKTITIEDMQPAVFKALLHFIYKDSLPAMDDLDTHEGEEMIKHLLVAADRYGIERMKVMCESILGKKLDVEGVASTLALADQHHCTQLKDACIRFINSSKRSGDVFASQGYAHLKRACPAVIVEIWEKSAKTRKMLNC >Dexi3A01G0027440.1:cds pep primary_assembly:Fonio_CM05836:3A:24701703:24704745:-1 gene:Dexi3A01G0027440 transcript:Dexi3A01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAPATAAAAVSFSLPSAPRGRGPRSRRRTPSLLRAASTAAPPSPDLSIQLSPRASPPASANGTAAGPPVVASFARDRAEDLQAEARAMARAVGATVYSPELLAARYGSRPFKARGPGSQARINFGIVALRAAEVLSKLGAFGVKLLLDERRGDSSSAKRRERAVELRTILTRLGPTFVKIGQGLSTRPDLCPVEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSMYSAISPSPIAAASLGQVYKARLKYSGKLVAVKVQRPGIEDAIGLDFYLLRGLGFLINKYVDIVTSDVVALMDEFARRVFQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSGKVLTMEWIEGVKLNQQAAIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPDFKVLAASWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITIGTLIDSYNSAPEFLKPLISSGNPAGPFKFSEAEREQMMELRDQVFRVWGLLRSSNNFDPSLLQPIVQVLQDPEARVLGSRVAGGVTQRLAARLLQQLLRIPPASGSQ >Dexi3A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:3A:3554262:3556310:1 gene:Dexi3A01G0005510 transcript:Dexi3A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAIYHPHFLPPKTVSPPIPLTKPFPAGSPPRAQLVGAASTPRTSQAELRPDSKNASSLSAEIRRLVRAGRLPSALSLLDHLSHRGVPATSSAFAALISACRSLRHARQVHAHLRIHGLDTNEFLLARLVELYLTLGAADDARGVLGAMPHREATAYSWNALLHGHVRRGLGEAAGPVADAFSEMRAAGADANEYTYGCVLKSISGSARPSMAMATATHAMLIKNAFAGAPGMLMTGLMDVYFKCGKVKLAVRVFEEMPKRDVVAWGAAIAGFAHKGMKREALEHFRWMVEDGVKVNCVVLTSIVPVIGELRARNLGREIHGFVVKKFGDRKDVAKVQAGLVDMYCKCGDMISGRRVFYSSKKRNAVSWTALMSGYASNGRPDQALRCIAWMQQEGIRPDLIAVGTVLPVCTKLKALREGKQLHAYALRRWFLPNVSLCTSLITMYGSCNCLKYSHRVFHDMDKKIVQAWTALVDSYLKNGDPLNAVDLFRSMLLTNRRPDAVAITRMLSACCDIGALKLGKEVHGQVLKLRMEPLPLVAAELVNMYGTCGDLKTAQRVFNRTESKGSLTCTSIIKAYAINQKHKEALNLFAWMLYNNFVPTKATFDVVLRICAAAGLHDEALEIFNSMVQEYKLEASQENFDCIIRLLTDAGRISEAQRFADLKSTLYNLLTPTLDS >Dexi3A01G0027030.1:cds pep primary_assembly:Fonio_CM05836:3A:23639002:23639274:-1 gene:Dexi3A01G0027030 transcript:Dexi3A01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISVILLEEPGFWIWAVVVGASRSSLSNLRCDGWAW >Dexi9A01G0023520.1:cds pep primary_assembly:Fonio_CM05836:9A:18913176:18915071:-1 gene:Dexi9A01G0023520 transcript:Dexi9A01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTDLGSQDFWHRFWPMLSYACGEICVIILLYVAALASYAATRVACICGLKVPCILCTRLDHALHGKAWFSADLICAAHRSEISSLAYCKSHDQLALSDGLCKTCLLACTAVGASEEVNSCSKTRSRRLCSCCSEIFKNTHSGRKHSETAYAVESWEDTNPRSQVYASGNIVARAVPEQVPADHKKDKTVVVGIEELHESDGSPGSDGQSRKGNGSSANGGTQEPATYRSAAPTCIAIDRNGSVKNAFVTRVNLTSPRPSEIISARDSNSTTQQEVKALLSQISSARGLESSSSEGTPSPGINIATEDNNVMGKKPSLERNYSVLEPSDGSLTNDVEGESSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEEQADHDHGAIQNLHDLLTEREKELLDMDAELANFRRLLESEPFNGARFDVTGTMNNTIDDRNLAFEFMNGPDFVRSTMSQFEDEKAHILESLRRLEENLGISTNRLFENHTRADGQYKENSQLDGQEHMSGELTSDKQHEGHDISLLNTRLRALEADQGFLKQVLSSLQCGSIGLQCIQEITNHLAELRRIAIQ >Dexi4A01G0024000.1:cds pep primary_assembly:Fonio_CM05836:4A:26875449:26875933:-1 gene:Dexi4A01G0024000 transcript:Dexi4A01G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSLLVSTALKSGVSYASGGAGILDSTNAGNNIPLSKQVQYMNGTRAKMVAAAGSAAVDTLLAKSFFLIGIGGNDLFAFANAEQARNRRATSHQAFYDDGVSRYTKPTSFKQLIYT >Dexi6B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:6B:3225111:3226291:1 gene:Dexi6B01G0003960 transcript:Dexi6B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVDETMIPFWWAKQQPSLLSLAEFRREDGWANIGEGPAGLIAEHLLAVGDVADYISFRAVCRLWRICSTDPRAHGILDTRFLPRQWIMLREEEEAEASPHRRRFLNTFTGCTRSLDLPELDVQDVFGPTTEGLLVLLDTATCVLRLLNPVTRARQMADFPPTGTLITREDLEQFPSMKDLFQVCGAGLADNFTIAVYFINIRTLAIAKPGLLGRFGCKGDGTRLWECGLGGRVVFIGMELALSVSPLVFPSISADAIYLGFDGEMSGMLDHSPVLLIMDGTTEPHQYYEDSIDDAMPLYGPLGVDAYLSQCVTGYGDT >Dexi9A01G0000410.1:cds pep primary_assembly:Fonio_CM05836:9A:264358:264844:1 gene:Dexi9A01G0000410 transcript:Dexi9A01G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPEPFGFIFTWFAALPLILFLAQSLTKAIVQDFLILKGPCPNCGTENLSFFGTILSVSSGGTTNNVKCANCSAELVYDSKSRVITLPEPSNA >Dexi9B01G0035390.1:cds pep primary_assembly:Fonio_CM05836:9B:37079132:37079650:-1 gene:Dexi9B01G0035390 transcript:Dexi9B01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAPSSPVPTAVLAAPSAAVVKPLPRVANGGGVSTGRRDVLTGGTGLGAVALLLALGPVVCGAARAADEEYVTETKEVIGKVRSTINLDKSDPTVADAVAELRELSNSWVAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPAKRRARILEEMDTAEKALLRGR >Dexi6B01G0017150.1:cds pep primary_assembly:Fonio_CM05836:6B:24320403:24321134:1 gene:Dexi6B01G0017150 transcript:Dexi6B01G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAEEFHGLTLKRKGADEPGLFDAAGVDDDRSAGFPLSCRANKIRRLTDPDPVGGGSHHLDEPAWGVTATEQQDDVPMCDDAPALARDGGDEEESALVLYGGGGRSDAPRLAVRDGAAGWVRAMLREADSRTVRELLAGAAMEHGSDGLALALVPWVPPSSSAAAATEEEPSTAAEEAGEDDEGAAAMDVKEDETPVRDLTGQAAYGSGGAEGIVFRWPQHCLAPPQLPPAPQPSPVMWSW >Dexi9A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:9A:8917653:8919035:1 gene:Dexi9A01G0013720 transcript:Dexi9A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRNQIARQAAAINGSTRRGLRAEGLASVLAIGTANPPNCVRQEDYADYYFRVTKSEHLCDDLKDKLKRICNKSAISKRYFHHTEELLGRHPELTSRKCPSLDTRQDILGTSVPELAAAAAAKAIAEWGRPATEITDLVVSSFSGAHMPGVDFHLANLLGLRPSVRRTMLYMNGCFGGSAALRVAKDIAENNRGARVLVASADLTLVFFRAPDETHADTETLVMQALFGDGAGAVVVGADPVSGERPEFEMVSASQTTMPESGHVAQGRIREDGFVFHPSKEMPSLVRENIERCVADALAPTPMGAFTSWNELFWVVHPGGPAILDSLEAGLGLDPRKLEASRRVLREYGNMSGPSVIFVLDELRRQQEEMNEMGVMVGLGPGLTVETMALRATSRPTN >Dexi6B01G0017280.1:cds pep primary_assembly:Fonio_CM05836:6B:24476015:24480207:1 gene:Dexi6B01G0017280 transcript:Dexi6B01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAGANGASDVSVQVSAAAATDASGGKWQKRERRLNRFVWVTASGEWAGNAFGALVFLWATGVLLGGFCPDLEQRDFRYATVIIFIEAFRIFSRNYKMDNQSLFGTTRALRWINVSFTRMLGRPQEGNEVVLIMGLWINLVNWLQIIGPMFMGILQAALLILMSKMQLQGDSQLTKRSRRRRRLQLGVVLIGFLIIYVLYLSRVTEHMVYPMVLITIDNYYSTPEFLVAWVSTEILTQVVAVLLLIFRPLVVSKLTILSTPWSRHVLSLAKVISALSLAFGLAVALWPPLITIHSDSGDGLQYLRVDPSSFITAFTVAVLSLGSLQTPAANNPFGGRFIDVILHILFLWYLLILVPAWSFRGLRGASLLTPLAAGLSAAVLLMENLQIPTAALQVLLSSSRFHGLEFAYKHHRHISKNMVPAIRVFYVLALCQGSLYIAASIVGLVSFFPRRSLVRHSKLSGQRGAKAIDVYYECSYSSCMETGLFAAGRTMSLASFATESLRSSSSEIRLAGILVLDNLLQDSSEELRSGIISSDNRTLMSMLVGMLGRTDVRHRDMRLIIARVIAKLAADSIAIAEVPGMLKSVSSLLDADNQPAKNESSRQDSLREAASVNGQMDQQNSEQTVPGSNNNGGKASGNQHTGVQLPHGRDDNGCCYQVSRWVRGYWHQLKEKYSILEEIPLTHQDSLPILGIVILERLARGPDNCAEIVRATYLISKIIGLISYTTDNENCNGEQQHTVIFSSLNFVRRLAITEENIGAALRQELCKNPFLLTNLECILEDRRSSPELMELVIEILTKLAFDEDTRKEIGSSKVTICKLMHAFIGKDGPTNNVCYDQSLRMAAGEALENLTVESPANCLAILEEMGYELIKDLKDMLCEVEYRYVAASILQNFCAHSMDKLRHHQGARDHLSSALPMYMV >Dexi9B01G0042510.1:cds pep primary_assembly:Fonio_CM05836:9B:42739055:42740530:-1 gene:Dexi9B01G0042510 transcript:Dexi9B01G0042510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGVGRPRRGSSKERTAAANNSLGDFEFFKILLPGMHEEALRLPVKFVRELGDRRHLKLRLAGEGMPLWDVEVFADERRGDMYLAEGWKKFARAHDLRDGYVLVFRFDDGAATLAVAVFDRSTCRKVYVHAGAPAGDEKASRGARRQLAIAEPSHFAVSLRQCNLGTKQNQYLNVPVEFQDAHGYARRRRVELQMGGRSWSVNLKRGKRVLGDRTAFKYGWHQFCVDNGLEVGDTVFFRVIREGACVDDDDEEWEDEWEDDEHVLKVEVRKKDGTFIS >Dexi2B01G0020570.1:cds pep primary_assembly:Fonio_CM05836:2B:30597010:30601561:1 gene:Dexi2B01G0020570 transcript:Dexi2B01G0020570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRRLFLAAALLLLLAAGPAAAQDAAVEGVAPAAEEIAANARAKEAAVLASELGQLRAKISALDYHILYAFFLLSPVTLQRTSDDQKRRIQKTEHALKVAEEELMRVQLETTTKAKQLREVHGAWLPPWLATHAARSMEVMSNHWNEHGKPAVDSLLQKASEKSAQAKKWAEPHLETAKTKWVPIAKEKWVTLKTNAEPYVQIVSEKSVEVYQTSSDFIRPHLVNAHQVAEPYFQEAKRLSKPYIDQIATATKPHVEKIRTTLKPYTKRAHHVYGQFLETATTYHQQACALLLMPVFVVYTLLIETFWFVFSFQVFS >Dexi3B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:3B:15274676:15276772:1 gene:Dexi3B01G0020270 transcript:Dexi3B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPAAMRETAAVPEAHREALEYIERVTAAAGAVQRRVLAEILEQNAPAEYLRRLGVSGDAPGAVEAFLRAAPLVTYENILPDVLRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPTIADEMDRRSHLYSLLMPVMSQSVPGLDKGKCMYLYFVKAEMRTPAGLPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAVLCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWPRMCRDIRAGELDAEITDRSVRAAVGRVLVRPDPALADAVQAECARPSWEGIIRRVWPNTKYVDVIVTGAMAQYIPTLEFYGGGLPLTCTMYASSECYFGINLNPMCKPSEVAYTIIPTMGYFEFLPVVHSSGGNNNTGAAEPHLRDLVSLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPMFSFVRRKNVVLSIDSDKTDEAELHAAVTGAVQRHLAPLGASLVEYTSYADTATIPGHYVLFWELLRTGTPTPVPPASVFEDCCLAVEEALNSVYRQGRVADRSIGPLEIRVVSGGTFDKLMDYALAHGASINQYKAPRCVRPGRLVELLDGRVQARYLSPRCPKWSPVGRQWSSNTAAAVKKTDGNGGGAIAA >Dexi1B01G0024240.1:cds pep primary_assembly:Fonio_CM05836:1B:29632055:29633841:-1 gene:Dexi1B01G0024240 transcript:Dexi1B01G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESDSRGHICFLVRAANYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANNISKWTGTVYIFSLIGAFLSDSYWGRYVTCAIFQIIYVTGLMILSLASWFLLVKPSGCGGVNAPCDEPSAPGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPKEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDSGRWVMGFWVSTAAAALALVLFLLGTPNYRHFKPSGNPLTRIAQVFVAAFRKWHVEVPRGGELLHEVEGDEDTKVSSGIRKILHSGELRFLDKAATVTDEDDCSSPEKTKWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGATMNTKIGSFHFPAASMSLFDILSVLAFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVIGMAAMVVAGVVEVERLKRVSAPDEPSSMSVLWQVPQHALIGASEVFMYVGQLEFFNGQAPDGVKSFGSALCMASISLGNYVSIMLVSVVTSLTAGERRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYIACASWYKGIKLDGGDEIRKVSAHV >Dexi5A01G0021850.1:cds pep primary_assembly:Fonio_CM05836:5A:25679955:25695160:1 gene:Dexi5A01G0021850 transcript:Dexi5A01G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGGRGGAPLLFPAMVVVAAAAALVGAAAPPPCYARVFSFGDSLADTGNHRFVYGPNDTDPAVRLPYGETFFHRATGRFSNGRIVLDFVANALGLPFVRPYLSGRRAEDFASGANFAVGGATALAPDFFRDRGFDMGDDVVHLDMEMGWFRDMLGLLCPGDLAGAKNLSDPTNRQVSSLSVPTNSEAFIADCSDMMSQSLFLVGEIGGNDYNLPLLARMPFDNVTAFAPIVIAKISSTIAELIGLGAKNLVVPGNLPIGCIPDYLSQFQSDNKEDYEPETGCIGWLNEFARYHNKLLIEELEKLRKLHPGVTIIYADNYGAAMEVFLSPEQYAVVLVLVGGAAPAAGCYPRIFSFGDSLADTGNYAFVYGNDSGAPQLQPPYGETFFHRPTGRASNGRLAIDFIANALGLPFVRPYLSGQSAEDFACGANFAVGGATALSPDFFRERGFDGMGDDRVHLDMEMEWFRQLLDLLCPGNLAGCLRWMNEFSQYHNKLLMNERKLHPGVTIIYADYYGAAMEIFLSPEQYGTRGRRGSRLSGSFGWWLNSYQTEGRGLLLPAAVVLILVGASPAAGCYPRVFSFGDSLTDTGNYVFVYGNDPSAPELWPPYGETFFHRPTGRASNGRLVVDFIANTLGLPFVRPYLSGRSAEDFACGANFAVGGATALSPEFFRERGFDGMGDDRVHLDMEMKWFRELLDLLCPGNLTGCLRWMNEFSQYHNTLLMNELTKLRKLHPGVTIIYADYYGAAMEIFLSPEQYGELGYLTFSFYYET >DexiUA01G0023420.1:cds pep primary_assembly:Fonio_CM05836:UA:47854089:47854743:-1 gene:DexiUA01G0023420 transcript:DexiUA01G0023420.1 gene_biotype:protein_coding transcript_biotype:protein_coding NASLQGVLRVNQKIEVRPGIVMKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEVYQFLPSSETARCDRVRTKGTGKVSKLTKGEILMLSIGSMSTGARVLAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPWPL >Dexi4A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:4A:16073260:16074626:-1 gene:Dexi4A01G0014190 transcript:Dexi4A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFGSSILDVGNNNYLPGAAVGRANRRYNGIDFPASSPTGRFSNGYNIADYVAKNMGFACSPPAYLSLAPNSSSGPLVLTALATGVSYASGGAGILDSTNAGNTIPLSKQVHYFGATKAKMVAAVAPRAVDAHLSKSVFLLGIGNNDMYVFAAAELARNTSAADQRRNAAVLYASLISNYSATISELYTMGARKFAIINVGLLGCVPGARVLSPVGACWGRLNELAGGFNDALRPLLAGLARRLPGLAYSIGDSFGFTRDTLADPRASGFADVAAACCGSGRLGGEAECFPNSTLCDDRDRHVFWDRAHLSQRTAFLVARAFYSGLAKYTVPINFMELAQSS >Dexi4B01G0021110.1:cds pep primary_assembly:Fonio_CM05836:4B:23152122:23153913:-1 gene:Dexi4B01G0021110 transcript:Dexi4B01G0021110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSLAHFSLPFGAFRAHRAGRLRGVASGRFVACSSPPPDVVVTRERGKNAKLIAALCSFRDGKWWEYM >Dexi8A01G0010920.1:cds pep primary_assembly:Fonio_CM05836:8A:19081129:19081480:1 gene:Dexi8A01G0010920 transcript:Dexi8A01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELGGLLASAVLKVVTQQLGAAITNQVMMQLGFSGDLEDMKMTLESVAAVLKDAERRSVTEESPRLWLERLQGAASDISDMIDELELEVDAKLPALKRGI >Dexi7A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:7A:22196716:22197081:-1 gene:Dexi7A01G0011830 transcript:Dexi7A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANHFALLESNDPGDTRLADLGNKNQQQQPAANLTQELFGTAYPSAWKVIRKRERQHGGAVPANARDGATTGGAWTDKKKGAGLQDGARKQQGGAAANDQAPAPRLYDPAQFPSLDSLK >Dexi4A01G0023230.1:cds pep primary_assembly:Fonio_CM05836:4A:26382822:26386303:1 gene:Dexi4A01G0023230 transcript:Dexi4A01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPLAPPPPRAGPTCHPHTRPPPDARGSPQDYAKKKHTTNQIKISFIRYPICYTTTCMRDRSMAGPARASSRAAGKRAGGGAASSSAAASACVYYATTALLVALCVAGAYFLTSTSGVASAAADGDAAGGTVTAYRHTTRSSFAYEVTREKATPAPPRGAAAAEDASAGKVAAAGGGSDEDGAEEESQPKSAVVAAAALDDPHAKPDDGDQDHEVAAMDEEHRVSAAAMEDVKGEDGDHAGSGGETSAEEAAAAAKEQETVLEDTREPQLEMPHAAAVEEKNLDGGVEEESNAGQRQREEEQSALDDQAAAGGGALRRGAQEESSQIDGDDRPGEETTEPRQPEEETTAQNDEQARSSSMSDTNGGEAAFRDHNGEEGGEGDGDKPAVVVNDGDSLVGDARSEEHKSWATQADQSHREKDRRDETTTSIDNGGADITGGEEHEWRLCNVKAGADYIPCLDNVKAIKKLRPENFRRYEHRERHCPDEGPTCLVPLPVGYRRPIEWPQSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQHLTFPGGGTQFIHGALHYIDFLQQSVRGIAWGKHTRVVLDVGCGVASFGGYLFERDVVTVSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKSFDLVHCARCPARRPRFPARSPPTSDLNRVLRPGGFFVWSATPVYQKLPEDVEIWKGTLNGIGAAFYRKPTTKECYESRRRQQQPPMCGDSDDPDAAWYTRLNSCMHRRGARWPVEWPRRLRTPPYWLSDAQVGVYGKPEPEDFAVDYDHWRRVVDRSYINGLGIDWSRVRNVMDMRAAYGGFAAALREKKVWVMNVVNVDAADTLPVIFERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKIKERSVDRIVRPGGGIIMRDEAGAVGEVEKLLRSLHWDVRLTFSKNDQGVLYAEKSDWRPELIEEPS >Dexi5A01G0025550.1:cds pep primary_assembly:Fonio_CM05836:5A:29379220:29380944:1 gene:Dexi5A01G0025550 transcript:Dexi5A01G0025550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAERRSPWATGERRPHFFKVLMGDFRKRLKIPPDFCKHIPWEASRKAKTLREASMAATLEGPSGRTWLVVIRRSAEGTFFTSGWPKFVQDQALRELEFLVFRYDGDTHFTAMVFDTSACEREDLLLGGDDSADDPRRGRRPTKRGRPRKTTTPKRDATKRARKDSVGKEMVPYRAPPGDRQLQAACFKGTPESAAGAVKTEIEDADELALCVMISAPPPQQDPAPAARRTGPQPQDGGAAKTRSIHEDILQAAALADDADIPASVRRYKGYVSRRRAVTGTERQRAMELAYAFRSSVPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVGAAEFRVHVFRVVDPPVPAVRLRSTC >Dexi2B01G0027290.1:cds pep primary_assembly:Fonio_CM05836:2B:36280701:36284291:1 gene:Dexi2B01G0027290 transcript:Dexi2B01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGLSSGTPADSYYEIRSDCTDDVPKSKFKIKGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCRQMDSHVGSGKIITAPLITEDGRPIKDPLVLLEATDKNSSEGAPTTSRNGIEIDESAERITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLEISDAQINLWELPTNFNTLQTLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEAGAVHKNKVSKSKLKGLRHFGKWDNNKDKDNAKNGSEDGEDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKNVKALTK >Dexi8A01G0006050.1:cds pep primary_assembly:Fonio_CM05836:8A:6041139:6043073:-1 gene:Dexi8A01G0006050 transcript:Dexi8A01G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATATASDTPRTTSTTSIQDEGDYFSSSPMPYYDWSSSPTADNTFQDPVITSQSYFELLTRDSADLEINLAQKSYDKTKGFPYLHCWTEVRHTEKFQTVYEAMKQAQGKRQKPKETTPSQEAHEDDRVPSKRPPGQKQSKQKSKKHDGEDEYAVQFATYIEMKAEEHRKRDQRWKAEKDLEERKLLWEQEQKIMFCDTSVLDETQKAYVIAMRKHIASAKEASVNGGSFHQ >Dexi9A01G0022810.1:cds pep primary_assembly:Fonio_CM05836:9A:17940519:17940803:-1 gene:Dexi9A01G0022810 transcript:Dexi9A01G0022810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLCLRDILFCPPPGAAAAPPLRADCVEDGYEELPVSVPPRVQRGLSRGDGGDAAGQVVVAPASDGGGVGGAAWLAQFFASVYGKVAGPFRS >Dexi9A01G0021800.1:cds pep primary_assembly:Fonio_CM05836:9A:16628666:16629427:-1 gene:Dexi9A01G0021800 transcript:Dexi9A01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADTPLPLAGRVALVSSHLAARVVINYASNSTRADELVAELASRGHQSVAVRADVSDPDAVRALFERAEAAFGSPPHIVVACAGLLNAKYPSLADTAVEDFDAMFAVNVRGAFLVCREAASRIPANSGGRIVTFSSSIVGTLVPGYAAYTATNAAVEAMTRILAKEVAAKGVTANVVAPGPVRTELFLAGKDEAFLKRVEEASMGRIAETTDVAPVVAFLASDAAAWVNGQVIRVNGGFV >Dexi8B01G0002800.1:cds pep primary_assembly:Fonio_CM05836:8B:1969639:1970474:-1 gene:Dexi8B01G0002800 transcript:Dexi8B01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFDPCVKMVVTYNSNKLVFNGHEIYPSAVVSKPRVEVQGGDLRSFFTLVMIDPDVPGPSDPYLREHLHWIVSDIPGTTDASFGREIISYESPRPNIGIHRFIFVLFKQKRRQTVTVPSVRDHFNTRQFAEENDLCLPVAAVYFNAQRETACRRR >Dexi4A01G0011300.1:cds pep primary_assembly:Fonio_CM05836:4A:9275622:9278340:1 gene:Dexi4A01G0011300 transcript:Dexi4A01G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVNERFPGGSDPLLPTKREADEDDAGASAFHDFNGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAAFLTDASIELLVRFSRAVGARSYGAAMGDAFGWWGRRLLQVCVVINNVGVMIVYMIIIGDVLSGTTSGGEHHYGVLEGWFGVHWWNGRFFVLLITTLCVFTPLASLKRIDSLSYTSTISVALAVVFVIITAGIAIVKLIGGQIPMPKLFPAVPDLASVWELFTSVPVLVTAYVCHYNVHPIHNELKDSSQINPIVHTSLALCSTIYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFASATPLSSDNRRFSIMTAVLLLVIFGSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPQGIAKKWDKILAVFMIVLAVVSNIVAVYSDAYKMFHKKA >Dexi4A01G0022110.1:cds pep primary_assembly:Fonio_CM05836:4A:25429240:25431210:1 gene:Dexi4A01G0022110 transcript:Dexi4A01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAWCTSPRVSFHRGFRAPPQPPPSPPADLPLPLLPPPSLTALLLAAVATSPSLLHLRHIHALAVRIPIPPPSVPFLLSRLLRRLAALPPPRAPLRYAAAVFSSVSPPDPFLATALLRFANLTRPPLQTFRVFSWLRRARGGELPFLPFAFSPLAKSAAAARSLPAASAAHAVSILLGGFDRHRFVENSLIGAYVACGDIGAARKVFDGMVVKDAISWTSIVVAYSKSGDMGSAEEVFMRCLVKDMVAWTAMVTGYAQNSMPVKALEAFEKMAAAGVPIDEVSLTGAISACAQLGAVRRAAWVQDIAERNGLARNVVVGSGLVDMYAKCGLIDEACKVFEGMQEKNVYTYSSMIVGLASHGRAKDAIALFDDMVRRADVEPNHVTFIGVLTACSHTGMVKEGRYYFAQMKDRYGILPSADHYACMVDLLGRAGLVIEALDLVKSMTMEPHGAVWGALLGACRIHGNTEVAKVAAEHLFKLEPEGIGNYVLLANTLASAGEWDEVSKIRKLMRNRRLKKDPAISWFEGRDGLVHQFFASDNTHPRTNEIKKALLELVEKLKLAGYVPNLSSIVYDISEQEKERLLMGHSEKLALSFGLLTLGSRSTIRIVKNLRICEDCHLFMQLVSKVEPVNIIVRDNMRFHHFKDGECNCGGFW >Dexi9A01G0028140.1:cds pep primary_assembly:Fonio_CM05836:9A:32809726:32811814:1 gene:Dexi9A01G0028140 transcript:Dexi9A01G0028140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNAAAEPACLPFAFCSTRTGARRTRDMAVAVCTEAGCAMRFRCSGLVNVAEPNPTKQMRVTDGDPLRFSSSDSHLPSQYKPTPHGPSPFPLCLLRLPCPLQSAFPSRRLAASAVRSARAAETAPALRHLPSSAREREGEGEGEGEGEGEGEGEGTSWREMAIDHESPFKELRLKNRRIMGGGGPEPEEEAASPAAYGDQWPRWLQPLLSSRFFAHCKTHSDSHRSGECNMFCLDCSSAAAATGALCSLCLAHGHRDHHTIQIRRSSYHDVIRVSDIQRFLDIAGVQTYVINSARVVFLNERPQQQKPGCGVKAASASANLCEVCARSLLDNFRFCSLGCKVIGCSPDAAKARSWLLRAPDGDTSSTSAMRNADKKQSFTPPTPPTLPTKRRKGIPHRAPFGSLIVEY >Dexi2A01G0028790.1:cds pep primary_assembly:Fonio_CM05836:2A:39969956:39971176:-1 gene:Dexi2A01G0028790 transcript:Dexi2A01G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAVLISLVACATLASGAAGVRVELTRLHSSPLVRDAVRRDMYRRLAASGDTVSAPVSKGPSSSGEYLMTLAIGTPPLSYPAITDTGSDLIWTQCAPCTDQCFQQPTPLYNPASSTTFSTNNQTYNRTYGTGWTAGIFGSETFTFGSSPADQTSVPGITFGCSIASSNDFNGSSGLVGLGRGSESLVTQLGAGKFSYCLTPFQDTTSTSTLLLGSSASLNDAGVGSTPFVTNPTMEPMNTYYYLDLTGISLDTTALSIPADAFALKADGTGGLIIDSGTTVTSLVDVAYQQVRAAVLSLVTTLPATDGSAATGLDLCFGPPSSTSPPPAMPSMTFHFNGADMVIPGDSYMVLDPDSGLWCLAMRSLTDGGPSILGNYQQQNMHILYDVGQQTLSFAPATCSTF >Dexi9A01G0023130.1:cds pep primary_assembly:Fonio_CM05836:9A:18299725:18307187:1 gene:Dexi9A01G0023130 transcript:Dexi9A01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIWLVFLPIISLLILVSLHSRKSRADRKKRRRPPGPRGLPFIGSIHHLLTSQPQAALRDLAKKHGPVMYLRLGQLLGIDTICNGDLDIAFAPYGDYWRALRKLCTLELLSARKVKQFAAIRGRETMFLVAEVQAAAAAAGEPVNLGALLVSCSNSITGLAMFGDRSSSERRERFLYVAVALNLSLGFCVSDLFPSMLFVDVATGVRYRLQKAHRQLDQVLDEIIVLVNLARRRKNNVQGDRSRDEGEDDLLSVLLRIRDEGEFEVPIKVANMKAIIVDLFIGGTETTSSVAEWVMSELIKNPGMMAKAQAEVRQAFNNKNTCDHESNLHHLHYLSMVIKETMRLYPPLPLLLPRLCRETCDIGGSEVAKGTRVIVNSWAIARSPEYWDDSEKFNPERCDVKL >Dexi2B01G0012640.1:cds pep primary_assembly:Fonio_CM05836:2B:18978023:18988084:1 gene:Dexi2B01G0012640 transcript:Dexi2B01G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGCGFRGSCRVGAVLLFSAWVALGALSRLLRPVPNGCVMTYMYPTYIPIADAPRNVSSDRYGLFLYHEGWKQIDFAKHIRGLRGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPNFYREASSIVSGNEVKDFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESDLERSKGGAQSSRYLPSSVILVGHSMGGFVARAALVHPNLRRSAVETILTLSSPHQYPPIALQPSLGHFFSHVNDEWRKGYKTAVSQSSPKLSNVVVVSVSGGIHDYQIRSRLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLAAQVAHSLLSIIDPVNGQPFLSTQKRLFVFTKMLQSALPQSLSSMTHIPGSLSSNSPASDNREAGEVQQKDSLSCPPSTEWASDGLEKDLYIQSNSVTVLAMDGRRRWLDVKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSPVQDGVPASKKVVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLRPEEMNGFSFITISVAPRPVFSCATPIFIQTISGRPPPAASMAVGQFFNPEEGASTLSVGRIILSSFAPEEIFLSEDHPLALNLSFSASLGLLPVTLSLKTAGCGIKNAGDQMEAERNSETLLSCSVNTYFQSEIPYLCKLRCFPPVALAWDSVSGLHIIPNIYSETVVVDSSPAFWDSPEGTDRTTVMILADPHCSYKVTARASLSAAASRFFLLYSSEIILAVAHAIEAPRPELYIMSSSNLDTMIYRWQSWGDGVQSSFLRQFFTFSLSLQSLKVLTNVILEKIMQMLKNSPNIIVAIATLPLVCLVHPAIGLGLLLLSHTFHAHSNLCSFLAASFRSITQKKDLYKSKMGDNPVLVSKNKSDGFEQLLPMDDSPTAPKSFTDSQLELFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFIDSAICVGVILHGLFGSQPNVSCCISFKLPGRRGREVGLSFLYLLAGYYSFISSVALAPYRALYAMAIIGYISFASRVIERRKGDLSSRRSRKHSHRH >Dexi4B01G0022520.1:cds pep primary_assembly:Fonio_CM05836:4B:24068294:24069620:1 gene:Dexi4B01G0022520 transcript:Dexi4B01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRWTTRSTAARPATLCLWLALGTAALTLPQAHGELAADLTKVTNKVFLDIHINGKPEGRIVIGLFGDTVPKTAENFRAMVTGEKGMGTHGKPLFYKGSTFHRIIPGFMIQGGDFVNGDGTGCDSIYGGKIFPDENFKLGHSEAGTISMANYGKDTNGCQFAITTVQGSRLPKNLDGKHVVFGKVLSGMDVVQKIEAQGQPSGVPKAKVVIADCGELPKSDEL >Dexi1B01G0028340.1:cds pep primary_assembly:Fonio_CM05836:1B:32901363:32905163:-1 gene:Dexi1B01G0028340 transcript:Dexi1B01G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYVQLRLGEFGLEINLGFRITLLFVTDFSAVARFRQSVGDLRWKKPLKFADYGCSMLVQGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGECIPFLFFCMPSAGSDCFTGSLFVRHSGPHIPPFVITGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Dexi5A01G0032540.1:cds pep primary_assembly:Fonio_CM05836:5A:35041262:35042695:1 gene:Dexi5A01G0032540 transcript:Dexi5A01G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSPQQQPRAMEPKKSSHSSATAAAANDTESQLSSLFYPPTQGNKATKKDPAADSHGEWWQGSFYY >Dexi7A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:7A:17038420:17039676:1 gene:Dexi7A01G0005810 transcript:Dexi7A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNQTEMATVRPFAVALLACAATFLVASVSSQLMDPGQPDSPIMSDPNVIPVYMSPGSAPTYVTCYGNTHGQQGSQPLCGIYARQCPAGCRDTCYVHCPSCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDANLHINAHFIGKQRNAMATGSRDFTWVQALGIRFGVHRLYLGVRRTSTWDATVDRLVITFDGAPVPLDAVAAASWSPTATAPALSIFRTGPANGVVVRLDGVFRIVVNAVPVTEEDSRAHGYGVGPEDGSLVHLNVAFKFYAITADVHGVLGQTYRPGYVSSGGVDVGARVPVMGGADRYQVSDIFATDCEVGRFAGDDGGLAAGHMDIIEEPADALCGSGKGGAGLVCKK >Dexi9A01G0005570.1:cds pep primary_assembly:Fonio_CM05836:9A:3098253:3098511:1 gene:Dexi9A01G0005570 transcript:Dexi9A01G0005570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAHWGRGVATAAVKRTVAAVFAEVDGLERVEALVDVAHPASQRVLEKGRVQKGGLPE >Dexi5A01G0000980.1:cds pep primary_assembly:Fonio_CM05836:5A:656325:656831:-1 gene:Dexi5A01G0000980 transcript:Dexi5A01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTAVANASPHEASNGAARSPPRPAGSSPAFRTDSPVPAPTGCGGGGSFPESHFGRHLNIFSCTEWPGSGSLARRGSGRRDGRGGVLVRTGVEEEEANTVEQEQQAEEAATEAMARRRDGGCGGWRERDETTARFLSAVASG >Dexi3B01G0026790.1:cds pep primary_assembly:Fonio_CM05836:3B:22203409:22203735:-1 gene:Dexi3B01G0026790 transcript:Dexi3B01G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSRPSSARPHAARLSAMRGYGSARRLGSVARLGSAHSSAARLARHGSAAAKAAQRLMGLRPKGERRQAGRDAAQPMLRARALPASIAAARPDRTAQIGRPRRDAV >Dexi5B01G0037270.1:cds pep primary_assembly:Fonio_CM05836:5B:36831314:36832173:1 gene:Dexi5B01G0037270 transcript:Dexi5B01G0037270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASTADGAEPRRRGWARVRGLGGPHSGASTTGLPQQQGHEAESPSAGRRRGCKVAPEPGGHDEEAATGPALRPMPGSPSFRYYCQKTAFVDKIVADADDGEGSVRIRATSRQASKGNEVTTTSAQESSQVSEPKEGARWLRFRGLSMVATAWHNLFSRHTSKPSTAAESHPHPAAVRPHV >Dexi2B01G0035430.1:cds pep primary_assembly:Fonio_CM05836:2B:42442545:42443611:1 gene:Dexi2B01G0035430 transcript:Dexi2B01G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNAQVLEGAVGGGGSNGGEKGKEKEQRNVEEELSTGVGAEPPFHVENPERRLVMHGGGEGELSGDEEEEFVFDDDGEEETGKNRWMAVARFYSGRIVKAKWAPKGEGGLLHWFHNIEGWPRWEVPVWEEHACWRRRQWWGELAIWWE >Dexi5A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:5A:24023488:24023822:1 gene:Dexi5A01G0020200 transcript:Dexi5A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVGGHGKAAAAETPPGPGPGGGRSRCAEEEAAASGSGSGSGSASEGPGAGTRRAPSRVSSGWVGGGGVERAREGSCRAGPHRTKQAARVSSLVCSRAHRH >Dexi4B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:4B:18324115:18327098:1 gene:Dexi4B01G0016280 transcript:Dexi4B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAPQGGGGGGGGGGKPPIPAGGRRPALARHASFVRSPANITKPETERTFESLDTEFIPIIRSGGWADIGSRNSMEDVIIISDNFMQDFGFGSYGEGPRAFYGVFDGHGGKHAADFVCSNLPKLIVEDEGFPREIAKAVSSAFLQTDAAFADACSRNCSLDSGTTALAALVVGRSLLVANAGDCRAVLCRRGKAIDMSRDHKPSCNLEKMRIEALGGYVDVGGYLNGELNVARAIGDWHMEGMKACGGLGPLSAEPEVMTTDLTEEDEFLIMGCDGIWDVFRSQNAVDFARRKLQEHNDPAACCKELVDEAIKRNSSDNLSVVVVCFNSRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLGD >DexiUA01G0025770.1:cds pep primary_assembly:Fonio_CM05836:UA:54296727:54297790:-1 gene:DexiUA01G0025770 transcript:DexiUA01G0025770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHCVFSIADISSTAIVSPLPLINYVKETLNKTGELTSITDMDYYKLKRILKGLRIEVTHRGDARRQYRIATLTQRPCSALDFELSSGVRKTITEYFRETYRLEIQFGFLPCLQVGTDQKPNYLPMEVCKIVPGQQYRKKLEGQQVSKLMESTCQRPSEREINIRQVVERNDYNSSLRANEFGIEVDYHPTSIQARVLPAPTLKYY >Dexi9A01G0027150.1:cds pep primary_assembly:Fonio_CM05836:9A:31592726:31594596:1 gene:Dexi9A01G0027150 transcript:Dexi9A01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASPSATAAAVMAVLVAAAVCLHGAAAQLCEDYYADTCPDAYDIVKQVLIEAHESDTRIYASLLRLHFHDCFVQGCDGSLLLDSVPGMESEKDSRPNNGSARGFPVVDDVKAALEDACPGVVSCADILAIAAEISVELSGGPSWGVLLGRLDSKTSNFAASQNLPAPFDNLTTLQGKFSAVGLHDVDLVALSGGHTFGRVQCANVAFPPADRLYNFSGTGMPDPTLDSAYAAFLSQRCPRNGDRTSLNDLDPTTPDTFDNHYYTNIEMNRGFLISDQELKSSAEAQGTTAPIVDQFATSQEAFFASFAQSMINMGNIQPLTDPSQGEVRINCRKVN >Dexi2B01G0003640.1:cds pep primary_assembly:Fonio_CM05836:2B:3189766:3192184:-1 gene:Dexi2B01G0003640 transcript:Dexi2B01G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDGHTLHLVVRQPGQSALSGNAGAEADASNSGRRRGPTVARSVVLEAVNVDPGSSELPAFLAQILQSVLGTISAQSSGAPASSDSSPLEPTQSSIPNTVRVELDQRHPHLPFQSETAHGSSQPNVIPDALTTMSQYIDFMRDSFRREGFNHNGQAEGNVENRTTGSASAGGAQNQESQPESASTLGLHTASLLAETMDSTRQIVIEQAGALLSVSIIFQTLAGSS >Dexi5A01G0014190.1:cds pep primary_assembly:Fonio_CM05836:5A:11044525:11045250:1 gene:Dexi5A01G0014190 transcript:Dexi5A01G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPPAAAGAGRRIVVAVDEGEESAHALAWCLANVVSPAGGDTLVLVHARRPRPVYAAMDSAGIASPEGIYRLQEARIAHRRIDPSAFAGYMMASDVLASVERHAQAVSAAAVDKAKRLCAEHPHVAVETLVESGDPRDVICDAAEKVGADLLVMGSHGYGFIQRAFLGSVSNHCAQNCKCPVLIVKRPKE >Dexi9B01G0021790.1:cds pep primary_assembly:Fonio_CM05836:9B:16473894:16479461:1 gene:Dexi9B01G0021790 transcript:Dexi9B01G0021790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKAVEPAAAPANGSGSGEKDRSSRRREGGEDRVSKRSRSGEDRDRDRDRHRSSREHRDRDRDDGKDKEKSRDSRGKDRDREGRDREKERGDRHRPRDREVERERRSRSRSERRRAEEEEMVRELQQERERSERHHYRDRDVRRRKDDGAEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQAVMVKPSEAEKNLVQSNATSGGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPLDPMTGLCKGYGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDHVGVQVGGATTGDLDDDEGGGLALNASSRAALMLKLDRSGTATSLTGGIGAAGVAIPGPAASVIGAPAVASLLPPTIPVVGSVPVAPVLPVNTQSAIMSTPTEFLLLKNMFDPAVETDPDFDLDIRDDVQDECSKFGAVKHIFVDKNTAGFVYLQFDSVAASVKAQQALHGRWFAGKMITATFMSAQEYNAKFPNL >Dexi2B01G0031260.1:cds pep primary_assembly:Fonio_CM05836:2B:39409961:39411858:-1 gene:Dexi2B01G0031260 transcript:Dexi2B01G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGREGGAPAAGGAATVADKSDDIELSLGLSLGGCFGADSSQETKKPRLVRSSSIPSICSLPTTIPSSEEQAAVTAPPSDLLRTSSLPAEYMEDRLRRRAMQSQRRLEAKRKRLERRNSMNSGRSGGAGKEEALEQTVPSGFQFRRTVALQGTTSSSAPPEEGSAAFSSGEAKSPSAMNTSSDTSGGHSSSRPPTGSGTGRPSNGSTGAGRDQPPLRTLRSLTMRTSSTGDLRNSMVEDMPMVSYKVEGPSGRKTDGFLYRYRKGEEVRIVCVCHGNFLTPAEFIRHAGGGDVTNPLRHIVVNPQQSVFL >Dexi8B01G0008200.1:cds pep primary_assembly:Fonio_CM05836:8B:10729102:10740992:1 gene:Dexi8B01G0008200 transcript:Dexi8B01G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRGCEAGILAKGDRDKVVMAMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKVKGQRTKAFMRVLKYSNGGVLEPAKVYKIKHLSKVEVVQNDPSGCTFLLGFDNLRSQSVAPPQWTMRNKEDRNRLLMCILNICKEHLGSIPKVVGMDVVEMAIWAKENTTTKVTQVISKDGPVESVVLEAESHVTVEKDLVSQAEEEDTEALLSNYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSVCLPQIPSGLQIEWRNNRLELQSDSNVALIDELDKLLVLLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTIAIKNLEASNIDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKGNFSQRGHLQRPDHADMRYKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITIFIPLLIDESSFLAHFMCFEVSTLSQSDASSGNSNTEATSTSSVTLEASSSIGKASSNPAELGVLNDCLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGPKAEVSGYVQVLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVILEKIAQVEPKYVDIVLLENYAAFQHRFSSFFLMVLFHLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFLKLISKIYPDEKVTSVNEMRDILAAL >Dexi9B01G0011350.1:cds pep primary_assembly:Fonio_CM05836:9B:7216244:7218262:-1 gene:Dexi9B01G0011350 transcript:Dexi9B01G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHPTRRLPPARGKGHPWRLLLNGDGSLSALALGLDCCRCTPSSSPPLHFESSSFPWESIPSDPQTARRRGGGAREVQRGPFCGLLSPLKQPFKAHKAEEMAGIEKIGKVEEDEAVKLSVELKEKLALEENQKLAKQEEMWRKLEEEIEYYKGIILKKVESSLISEDHAPYYRGGVDQIRWINEGIRKNDKLAKRFALNLLRNLLQKHGITRGYIKCSESDVPLDFLKFVDEHMNATTPPDPIPTIVSLGATTTCSRVSIPCWVAASPNKQGHA >Dexi3B01G0032050.1:cds pep primary_assembly:Fonio_CM05836:3B:34398564:34399425:1 gene:Dexi3B01G0032050 transcript:Dexi3B01G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASGTPYQDGLFFFDMKLPPSYPVTPPQVKYHSFGLHANPNLYPSGTVCLSLLGTFDGEGPELWSPDMSTILQVLVSIQGLVLTENPYYNETGFEAQVGTPEGHRNELPYCESTYLVNLHTMLHLIRRPPGSFRAFVMDHFRRRGQHILRACEAYLKEGCPVRTLDGEGCATKASTEQPPCSKGFRLALTNVVPRLVEAFTRIGAQGWI >Dexi5B01G0034250.1:cds pep primary_assembly:Fonio_CM05836:5B:34481278:34483581:-1 gene:Dexi5B01G0034250 transcript:Dexi5B01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALARILLHRAPTPRLARPFAAKARASRRSQEPELPSEEESDFAGGEVAAPTEGISKPLAEVLKELGKRVPDSLVKTRIEDNGFAIKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVVYRVTLHGIDAEVYREATGTASIDDTSYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >Dexi3B01G0036400.1:cds pep primary_assembly:Fonio_CM05836:3B:39245296:39246453:-1 gene:Dexi3B01G0036400 transcript:Dexi3B01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYPLFLIMVAAMSAAAVHGRPADGTPAAQFWEEALPGTPMPEALAELVQKGIDHSPLEENFTGPYLSIGMCRGHVYVSVCSVERVKKAGTGLFFHEQHIRVGHTMTVSFSPAAVPAILPHAVVTAAGKVIVPFGNNLTADDVIARFHIAPGSKMAAQVGDTLRTCQSPVAGERWAHCATSLEGMVRAAMRMLGGGGGRGGVWVAASAVPRGGLPLQPYAVEAAVPLDGDRHVACHDEPYPYAVFRCHRIGLSTTKAYAVALRGLRGGPAVTMAVICHLDTSDWNPAYPAFETMHTKPGDGSVCHFMPYANVLFGLKATTNAMESF >Dexi7A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:7A:26549572:26552541:-1 gene:Dexi7A01G0016670 transcript:Dexi7A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPTHRLWILGNVATLSGSGSIWEELVLDAVEHQYFFNWEDDNGITFPIPPGPSQRQLHQGAATPLASGFPGGARMRHLRASQPAELERLDGSSLVAAIPPSTSTAAVRSTSR >Dexi5B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:5B:82805:83350:1 gene:Dexi5B01G0000120 transcript:Dexi5B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSFSSSPPSSSSSSGSNNKPQQASKWRSGTQHKIYGRRLLDALRATGGGQPRAVKAAADSALALTARGQTRWSRAILLAGAACSRRRVLVKAGGKIRRRHRRPQQQQAMASKSKAAGDGKVQERLRVLGRLVPGCRKLTAPDLLEEAADYVAALQMQVNTMRALADALAAAQLSDANAD >Dexi5B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:5B:12091273:12095041:-1 gene:Dexi5B01G0015100 transcript:Dexi5B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPRAPPAAALGTSIRLPPPPPSLAAVVYLPFSPSRAQGFHQSRARRGPNRGGREMALAILARRRAADAVLRRPQAAAGAVVSAWRAYAAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLVDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKVVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTSGIGLETIGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIAYSVGKFPLLANSRAKAIDDAEGIVKVVAEKETDKILGVHIMSPNAGEIIHEAVVALQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI >Dexi9A01G0007470.1:cds pep primary_assembly:Fonio_CM05836:9A:4341300:4342136:1 gene:Dexi9A01G0007470 transcript:Dexi9A01G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVNRGNSSSLDPDLRELARRLSFSDADSPSSGRGRSAMPRQAFFPRLQTIDENRDEPTAQAPAPLSPSTAPQPSPGAPAALYASPGGTTTAPAWPSQSQLQEAVAAVRQRHQQALAAEAGLQQALAAEAGLQQARASEAAERLQSQVLMLYTVDGGAAGYETKWEELHPVSQGCYVVER >Dexi9A01G0002350.1:cds pep primary_assembly:Fonio_CM05836:9A:1246503:1249121:-1 gene:Dexi9A01G0002350 transcript:Dexi9A01G0002350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLTTDGWEDRWVKSDWKKDDNTAGEWNHTSGKWNGDADDKGIQTSEDYRFYAISAQYPEFSNKDRTMFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDATYSILIDNAEKQSGSIYDDWDILPPKKIKDPEAKKPEDWDDKEYIPDPKDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTVPTIPNPEYKGPWKQKKIKNPDYKGKWKAPLIDNPDYKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKQKDSEKAAFDEAEKRRLEEESASTKDDEEADDEDDADDDKADTPAEAMDSADEKLAEGKVATDEKPAEGSKDASAEEKKHDEL >Dexi6A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:6A:21234762:21235051:-1 gene:Dexi6A01G0013900 transcript:Dexi6A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDATAWKPSRSCVVQKPREFPRLKRIHLYELPKLQHICGQRMLAPRLETVKIRGCWSLKRLPAVPLPPAVGRLHNVDCE >Dexi2B01G0033080.1:cds pep primary_assembly:Fonio_CM05836:2B:40761166:40764368:1 gene:Dexi2B01G0033080 transcript:Dexi2B01G0033080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCVVAVILAFLVGAIAVHCSEPWWNETQVYSTNANSGGSNGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVSSCVFRKGSRRGSSNHMERQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGSNAAAGLYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFPSNTRVKCLADAGMFLDTVDVSGRREMRSFFNGIVRLQGSGRNLPRSCTSRMDKTSNVVPTIQTPTFILNTAYDVWQLQQTVAPKAADPQGLWSKCRTSYVSCNGNQLQFLQGFRNQMLDSVRGFSASRRNGVFINSCFAHCQSEKRDTWYASNSPRLGNKRIAEAVGDWFFERGDAKYTDCAYPCDKTCHHIVFRGDH >Dexi1B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:1B:24512451:24513862:1 gene:Dexi1B01G0018250 transcript:Dexi1B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQGSMMTLSYDAGSGSGLLASYVKAAKPRPSKWDDAQRWLSSSSSRAAPDDDRRRSSCADDRLLLPSASMKGARHSWSSVDGGVSAAVHAGAREDGEEAETKRMDSVLVAYANQPRCLSLRDIGTEMTPAGSKEPSRANTPRAVSLQVAEPSRPSSTAGRRRRPSDAMMDGGSTTTPGRHAGCERDVDGEEREGDAAAAVSSPATAWDAAERAKHMARYRREEMKIHAWENRRRQKAELEMKMTEAKAERMKLRAREKTATKLASAQAAAREKRAAAEAKLSRRAARAGDKADVLRRTGHLPSSGFSLKLPLMCS >Dexi6B01G0004400.1:cds pep primary_assembly:Fonio_CM05836:6B:3678772:3682041:-1 gene:Dexi6B01G0004400 transcript:Dexi6B01G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASSFAAAAAGGGSILSGPSSTLSPNAAPYTLLARQGRAPPGRLQDGDALRFIDDNFVLNGEDNNSYSVSLATNFGVKTSNAVYPSSAHGICQRMNIQGILKGIESMSEVLFNNCSDEMELEEHDYSLLQSVIENLQSCLHKARKVPAKGFSDKAGGLKACFSQNAVSKSVIGNCIGSYTGDNGKGIIISNPADSSRLFRDSRKKCVTGYQPSLSNFPKELSCEEDHSKALIYKKLWIDAERANCALKYQLKQTCMEIEIDLDSSRAHGGGGPRIPSFHLCDMGGDASTSYGSAITSAPMLKDRPGARKSHDLLYAADHVQSGVSNVL >Dexi3A01G0000680.1:cds pep primary_assembly:Fonio_CM05836:3A:535952:536839:-1 gene:Dexi3A01G0000680 transcript:Dexi3A01G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAHCVELMDSYATLLFAKVSEINPEEFCTQYGLCRNMDLFAAKSESTCVFCHHLIDEVMSKLKDPDAEFEIIQILLKECDKVEGHVKQCKRLVLQYVPLILVNGEKFLEKNDLCALVQACPASQKRTVFGLDGGLVSDA >Dexi4A01G0015600.1:cds pep primary_assembly:Fonio_CM05836:4A:18642967:18644901:-1 gene:Dexi4A01G0015600 transcript:Dexi4A01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAIGAEAWLPCATTVASCVIGVFFLLYFYAPHWGVRAVPGPPALPVLGHLPLLARHGPDVFCLLAQKYGSIFRFHLGRQPLVVVADPELCREVGVKQFKSIPNRSLPAPIAGSPLHQKGLFFTRDERWSAMRNTIISLYQPSHLAGLIPTMQRCIERAADAIAAAAAAQDHGDFDFSDLSLKLATDIIGQAAFGVDFGLVVTPASGGGGEAAEFVREHVHSTTSLKMDLSAPLSVALGLVAPPLQGPARRLLRRVPWTADWKVARTNERLRARVDEIVAARARERGGGEGRRRDFLSVVLDARERSEALRELLTPDHVSALTYEHLLAGSATTAFTLSSAVYLVAGHPEVEAKLLAEVDGFGPRGSVPTAEDLQLRFPYLDQASRATPVIKEAMRFYTVSPLIARVTSQQVELGGYTLPKGTWLWMAPGVLARDAASFPEPGAFRPERFDPACEERRRRHPCAHIPFGVGPRACVGQRFALQKVKLSMVHLYQRFVFRRSPRMESPPELQFGIVLSFKNGVKLIADERDDTVA >Dexi5A01G0020710.1:cds pep primary_assembly:Fonio_CM05836:5A:24517853:24524749:-1 gene:Dexi5A01G0020710 transcript:Dexi5A01G0020710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENAAAAAAAAAGPGSYKRGLAPAAQPLRAGAARRVRPRSRLARFLLFDKVDYLQWIVAAAAFFFVAIVFVAFLPGSGVVERPRLLLPSRRSGPGQGGGDHPSPPRVDVGLVERGAAVAFEPTRLREKWARGRREEAQSLAELGTPVRRLGVRKPRLAMVFGDLYPSAMQLQMVSVASVLEAMGYEMKVFSLEDGPCGDIWRAIGVPVIILPEDTSLPNFVDWLDYDGVLVNSIEARPVFSSLLHEPFKSVPVVWTVHEYSLVHRIKEYNASGMIQIIDAWKEVFTRANVVVFPNYILPVMYAAFDSGNYFVIPGSPSEVFQADSFIAKPYYQDARISLGLSPKDFLIAIVGTPFSYGENLVEEVLVLQAVSPLLQHYRSENSMESELKVKIFSGNITEKYRMVLESVAQGFGFSRGAVEHVAAGDKDKLLGAADLVIYGSCLEEQSFPSVLVQAMSLEKLVIAPDLAIIKKHIDDGINGLLFPRKNIGMLSQVLLRAVLNGKVSVSGQKIASVGKAYAKNLMASETIEGYAMLLENVIKFPTDVLSPLTAREIPLALKQEWKWHLFENVKHLHPINESMPGYKILQKLEQEWHNNLMERPPVSTSKISEAFSAIAWEEQRENEVMDIKRKMEEDELKDRSDQLHGTWEEVYRNVKRVERLKNELHERDDKELERTGQLLCIYEPFFGEGTWPFLHRSSLYRGVGLSSKGRRPGADDIDASSRLPLLNNVYYRDILGEFGAFFALANRTDRIHKNSWIGFQSWRVTARKANLSNDAESAILEAIQSQKHGDAFYFWVRMDQNPRNHANKDFWSFCDAINAGNCRFFHLTVFYFWTTGPCSFATELIYSMLLPRRMFVDALDAQMYDKHHQTGHCILSLHKDQHCYSRVLELIVNVWAFHSARRMVYVNPETGAMQEQHQLSGRRGQMSVQLFSYVTLKSMDEELAEEFDSDHPERRWLWPQTGEVFWQGLYERERTMRLQEKERRKQQSREKIQRIKNRARQKTLGKYIKPPPEDSGGSNHTMTVDL >Dexi9B01G0017120.1:cds pep primary_assembly:Fonio_CM05836:9B:11895715:11899894:1 gene:Dexi9B01G0017120 transcript:Dexi9B01G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGRGAAAGTGRGSSMEIVPYSGELDLELPPVDVKRQDSLYRDATLPAHAGHHGQESWLRTLRLAFQCVGILYADLGTSPLYVYANTFKKGVGHEDDVLGVLSIIIYSFILFTMIKIVFIALYANDEGDGGTFALYSLISRYAKVLLIPNQQAEDELVSRYRHHPKQSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDCMLTPPISVLAAVNGLKLRAPHLTTGTEALFADLGYFSIRSIQFELENTVSRDEVKDLLERQDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKHLPIPHVDVSDRFLFRQVEPKELMVFRCVARYGYRDTLEMASDFVKVLVEYLQYYVRDLNLYGGYEKEPLKIIFHSARVDSFSWDRKPSGHSIYAEEMLTPAQSFSELTMHPVSMSSRLAHFKTEKMNLEEMLKIEEDQKLIQREVDNGVVYIVGESEVVAKPHSNLLKKIIVNYIYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >Dexi2A01G0030360.1:cds pep primary_assembly:Fonio_CM05836:2A:41323134:41323601:-1 gene:Dexi2A01G0030360 transcript:Dexi2A01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPAMAPAAGPGAVAAAAAAAAAAAEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAIFEHFIRPPAHAAGPGHAPPRGIIRRLRLLLGRGGGERGADPGADLEAARKLEGHVPLELSIVG >Dexi6B01G0008540.1:cds pep primary_assembly:Fonio_CM05836:6B:10787627:10789068:1 gene:Dexi6B01G0008540 transcript:Dexi6B01G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKKARVVLAQPAAGAPAPRPPLFSRAPGPVRGSGEEAAYRARLRYQALLQDYQELVKEAEAKKRKLHMERLSKQRLLAEVKFLRKRYKSMSENPSQTIVCRVRNPAVRPASRTAAWANDAPYRSVQAISSSSRNQPVQWTHEGSARVTPAIDLNEACEPGYEEMEMGDHHGYQEPLDIDKVRSHPMEGDAVAGPSEARIPAFWDARSQAGRAGKRKISWQDQALRV >Dexi4A01G0022790.1:cds pep primary_assembly:Fonio_CM05836:4A:26080460:26083157:1 gene:Dexi4A01G0022790 transcript:Dexi4A01G0022790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAKARRGFSSSPQPPARPASSSCRMQLEQDVKELQRELREETALHAVLEGALDRAAVTLADMAYLPTNAQELLSNICILETAVTKLEEEMVSLHFQLIQERNERRLVEYRLKQLPPSTCSCHSGKLEPHSPQVDLNYNNNVLASETVFDPYKAREKLSWSDIGSYSAAAEVSWMSVGKKQLEYAAESLRKFRLFIEQLAEVNPVHLNDDARLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPSHRPQMALLLALQKIKVPEEQKKFCIEAPEPLLTFALSCGMYSSPAVKIYTANNVREELQDAQRDFIRASVGVSRKGKLLVPKMLHCFGRGFVDDNSFPIWISHFLPQQQATFVDHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDAGLLN >Dexi3B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:3B:1488404:1490485:1 gene:Dexi3B01G0002120 transcript:Dexi3B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSISRLGSFSQPSARRRAAADDRTTREYNSSCNGDAPMVFHGNSHGWQCRQANSACDAVELRDLPRKVMWDLPSFVKIVEVGPRDGLQNEKGNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKEVLKGIHQVPDVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRGVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVVDMLEAVISSVPVDKIAVHFHDTYGQALANILVSLQMGISIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKHLGRPLGSRTAAALRKLTT >Dexi5B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:5B:10630422:10634184:1 gene:Dexi5B01G0014250 transcript:Dexi5B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNVETMAWSSMATTGQRPGTRDSHGAALVGHRMIVFGGTNGGKKVNDLHVLDLRTGEWSRPQWKGTPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLSDVHVLDVPTLTWSTPELKGDYAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDVDTMVWSRFPVKGASPGVRAGHAAISVGSKVYIIGGVGDKQYYSDVWVLDVANRSWSQLEVCGQHPQGRFSHTAVLMNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRSETTMQQKDASVSNGEMVQKSREAEIEQRNPFLRGLENGHAKRRKTNESLRPNEPESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPNTSVSALQPFVRLNSNGMLRAPGPGSVNATLRAPGSGGVSSRSLKTDQFLRTIAPQHRQEVQFLSSDHKPQPRLPGPPFIGAEVHGAIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPAVHHQILTSSAVPPQQRPLLAHAIPVHARPVPQATGFVLPDCAHHARQGFPGKTVKSEPERGSSDLHDVVLTLGGPGAGK >Dexi7A01G0010740.1:cds pep primary_assembly:Fonio_CM05836:7A:21440603:21440806:-1 gene:Dexi7A01G0010740 transcript:Dexi7A01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAADAALVTHLAVLAPADAFRALVHLCPSCAHPFNTLLSSFTLAGDPSAAFARPVSGDSNSRAV >Dexi6A01G0011720.1:cds pep primary_assembly:Fonio_CM05836:6A:17639524:17641007:-1 gene:Dexi6A01G0011720 transcript:Dexi6A01G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYDNITTDVICHGDKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLKAFDRKGHIAKLCLVFLPLLAASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDNDAIWPHAYFEQLADMHSNGNVNSFETRPTEFEDEEDGHGGIALRDTSPMLESMESGRRP >Dexi7A01G0020280.1:cds pep primary_assembly:Fonio_CM05836:7A:29110234:29110824:1 gene:Dexi7A01G0020280 transcript:Dexi7A01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAPAARPHRVLLAMAVVSLLAAVSDGIRPAPDAASANLEHGTTTTEMAIPAVQGSGGGENKHQQEPVLLREEEVRATGSSLPDCSHACGACSPCSRVMVSFKCSVAEPLPCPMVYRCMCRGKCYPVPSS >Dexi8A01G0012840.1:cds pep primary_assembly:Fonio_CM05836:8A:22610384:22611359:1 gene:Dexi8A01G0012840 transcript:Dexi8A01G0012840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRNLVNIITACASIDSRGNDFKAIVYDFLPNGSLESWLHPETHDEQTEQRYLDLAERVTILGSVPVIHCDLKSSNVLLDADMVAHVGDFGLAKIIVDGSSIVQQSVSSMGFRGTIGYAAPKYGAGNVVSTNGDIYSYGILVLEMVTGKRPTDSIFSEGLSIREYMELALHNGVMGVIDMHLSLSLNNEFQGVGEGDSSHKEKTDCVIALLRLGLSCSKELPSNRMPTGDIVKELLTIKGSLL >Dexi5A01G0029400.1:cds pep primary_assembly:Fonio_CM05836:5A:32473073:32474475:-1 gene:Dexi5A01G0029400 transcript:Dexi5A01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLPVVVVFVAATASCRPAMAFPATGMQLPGLPVAGLAVGFYNESCPQVEELVLAEMRAFVAKDWTIGPALLRFMFHDCLVRGCDASIMLVSQNKTGERDAFPSYGLRGYDEIEQIKAKVEAACPLTVSCADIIALAARDAVFLSNGPRYAVETGRRDGKMSFNIDANNDLPPPSSNIVDLKTYFSVKGLGWKDLVVLSGSHTIGRAQCSTFAADRLYNFSGKLEQDPSLNKTYAAGLRELCEPGVVNDTTPVAMDPSSPYTFDLGYYRDVVGNTSLFLSDQALMDDRWTRAYVERMAAAASPGEFFADYAVAMTNMGRIEVLTGDNGEIRKTCAAQAD >Dexi3B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:3B:15578759:15578971:1 gene:Dexi3B01G0020610 transcript:Dexi3B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARMGGNSARTRTRGGGARTSEVLWLVAGLGGRGKGGLGDGGPPPMRGSSEVGEGRAGNGAGGEEER >Dexi2A01G0035830.1:cds pep primary_assembly:Fonio_CM05836:2A:45347369:45348030:-1 gene:Dexi2A01G0035830 transcript:Dexi2A01G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMELTKHGEDTARGWDRVPELSRTAGGGRKGRPLPDETTPSPEASAAAAAARPSGSSESSAEDASDEIHEYADGEAYAAATAPRWIRTPHRHTHHPAMRS >Dexi9A01G0019880.1:cds pep primary_assembly:Fonio_CM05836:9A:14792208:14792607:1 gene:Dexi9A01G0019880 transcript:Dexi9A01G0019880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPQPTEIAPTAAAGLESAEGLVLHKVIYKAGARPAAALACASTRLRDAVADEYLWRRFCAEDLGLDAPVDPASRPLPSFQG >Dexi4A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:4A:7964065:7965144:1 gene:Dexi4A01G0010030 transcript:Dexi4A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVAAAVFLAVAVSCAAAAALPMFAEAPEYRNGEGCPAAAAGVCDPGLVHIAMTLDAHYLRGSMAAVYSLLKHASCPESIFFHFLAAEAVGEDPGPELLRRAVAASFPSLRFEIYPFRAEAVAGLISASVRAALEAPLNYARNHLAELLPRCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPGLGARVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRQRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIPSDGNAASPALGPALSASLFSW >Dexi2B01G0009880.1:cds pep primary_assembly:Fonio_CM05836:2B:10615180:10620618:1 gene:Dexi2B01G0009880 transcript:Dexi2B01G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDCIISFGELDNENVEVRKARRMKIPIVREDYVGECIRKNRMLPFDLYKVENTLESSKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNMSDLARGVNSYYILQIIEEDDGSECYVFRKWGRVGNEQIGGKKLEEMSKSDAIQEFKRLFLEKTGNPWEAWEQKTNFQKQPGRFYPLDIDYGVKQAPKRKDITETKSSLAPQLLELMKMLFNVETYRAAMTEFEINMSEMPLGKLSKENIQKGFEALTEIQNLLKNTADQALAVRESLIVAASNRFFTLIPSIHPHIIRDEDELLIKAKMLEALQDIEIASKLVGFDNDNDESLDDKYMKLRCNITPLPHDSEDYKLVEHYLLTTHAPTHKDWSLELEEVFALDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILNQGLRIAPPEAPVSGYMFGKGLYFADLVSKSAQYCFVDRANPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGKTVPLESEFLNWRDDVVVPCGKPVPSSVRSSELLYNEYIVYNTSQVKMQFLLKVRFHHKR >Dexi4B01G0001010.1:cds pep primary_assembly:Fonio_CM05836:4B:637212:640493:1 gene:Dexi4B01G0001010 transcript:Dexi4B01G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCTARQSPPLARLQCSAASFSPRRRLVVRPPWPGAGAGAAADISDKKGLRQKIPRHRRWNPQLAEHPLDVQDILQIVLFKGEMIAMDLLGRFHRMRLDAEVTPALPWPAAAAAIPDNKHGCCFRLRHQKNHHRWNPPTSGRLLLLRKPAIPHQALRLLPWLPLMFGQIFWTACFTK >Dexi9A01G0025820.1:cds pep primary_assembly:Fonio_CM05836:9A:26621066:26626100:-1 gene:Dexi9A01G0025820 transcript:Dexi9A01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYWTSSWPRVQQMPAWPRSSDSSRRRLLRLVPTRRPYSGAACVVADADQALAMDGVADAVGVEFLTEEEHEKLDERERLRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKQNLTEGALHSGSRRGSGGPRRVRTAPCAIPRRAVPPAGCVAHPCHGEEMPAPLAVGERRPHGGASPPLSALCLSMAALILPPCASKPDGASWTSHRLRATRAPLLACCLSEPPSSPECHHVLRSQLLDGVPLPLVKKKLMNLGHAQSCPRISSHQTRDASFVIGACTSYSEETRVKISEGVRRGWSLRLQRLMVQDGCFVEWRDMVADAARKGFAGGVNLQWNSYKILTKQMRQEWLGNLQKRRSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLNQEYRERVCNGIAHYHGSSPGTKSPRKPRPAEELGLKRETSKKKYLQARAVSLEDADGKGTTVKRKKSAIPYKDPMAGEKLEMLSKIRAQRAALEIEKKEATKRARSLIAEAEKASDALESAAAMSPFAQASLIEARKLVAEARVLLEGVEGLPEHASDDMSEDSALLEHHRGLETQNESNALKQESKPVNGTKLTTSNVNGIGFHFDVSAVTGLKQLYQTIEYSMERAFLLPSALSKPKAVNGDFSIIDFQVRQSMANDMENHESIVAESTEPPGTLEEYNSTSAEKAETSKDCPLGTPVEDTPSEKKAKMRWVRGRLIKLENEPEDPEI >Dexi7A01G0016230.1:cds pep primary_assembly:Fonio_CM05836:7A:26111550:26113417:-1 gene:Dexi7A01G0016230 transcript:Dexi7A01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPTSPAKPPSQPGSPPNAATPSPVSALLRGTALLLAFILLQLVLFVSLLGFPDGSRFLPAPGRRTTTFPNGAVDAAACAAGLVYVYDLPPEFNHDLVDDCESLWPWYSFCPYLTHGGFGEAAATLPVFHNATRNVSLHSWHNTDQFQLEVIVHRRLLSHRCRTTDPSLATAFYVPFYVGLDVGSHLWGENSTAADRDRAGLRLLRWLSNQTPFRNSGGWDHFITLGRITWDFRRYGDDGWGTNFVVLPEMANVSRLAIEADGTDPMDVGVPYPTGFHPRSTADVRAWQRHVLSRERSKLFGFAGAPRSGFRDDFRGVLLEECEDAGSDHCRAVDCRGTRCTDNGAAVLELFLDSRFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYEQYRWYLPRGPVGDMGEWSVFIDRKALRVGNVSVRGVLEGFSERRVRRMQERVVEMIPRIVYASSPDGLGDGMEDALDVALGGVLERFRRRRGSIPREEYRPDPSLARRVDVNSTAAPRPSDSQNGSVAAISRAAGGKNQGPPDPASTHMKTVISESSASINKTFEQKS >Dexi3B01G0008670.1:cds pep primary_assembly:Fonio_CM05836:3B:6025034:6026601:-1 gene:Dexi3B01G0008670 transcript:Dexi3B01G0008670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPRSEDEILESANVKAFSFNELKTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRHRPSMDEVLWNSFRTPRREGTITYRRDRAVGAWITMA >Dexi5B01G0006130.1:cds pep primary_assembly:Fonio_CM05836:5B:4143713:4150202:-1 gene:Dexi5B01G0006130 transcript:Dexi5B01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSASSSPSSASPHQHHRDAIKSSVHNSASSRRREHAIAVGKERREALMRAKRVCRAPLSGSDEAAMEEGDMVIDEEKANLEARTTQAVEELKSALSTQGKGAQKKKIEVLRALRRLLSQSEVPPVEAAIKAGAIPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGADLRSKLLAQGALWPLARLMLSNKGSTARTAAWALSNLIKGPDPKAVNELITIDGVLNAIIRNLEKADEELATEVAWVVVYLSALSEKATSLIVLRSLGNLVAGDAYMVDSVLTVGNSITDQAVSSLIKCLKSDSRVLKKEASWAMSNIAAGSLEHKKLIFSSEATPLLMHLLATAQFDIRREAAYTLGNLCVVPAGNAAPPNIIVDHLVAIVNGGALPGFINLVRSADIESARLGLQFLELVMRGYPNGQGPQLVEREDGIDAMERFQFHENELMRNMANGLVDKYFGEDYGLE >Dexi5A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:5A:12577718:12580254:-1 gene:Dexi5A01G0015150 transcript:Dexi5A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVGALSGMVDALPGKLGALLEQEYALLSGVREDVRFLQTELGSMRAAIRHCESLDHHDAQTTGWVGKVREVAYDIEDWVDLFAVRVDGGAQPATGFRAWMRRGWDKLAALPDRHTIANELQGLKERVLEISEQRKRYRLGPMVSTPAPPPLDPRLSALFVDPDSLIGFDERVVDVSNLVMDGGSSTELKIVSIVGMAGSGKTTLANAVYHRLQAQGHFQCSAFVSVGPKLDMVSKTVRDLLLRFGDYHGGGQDINQLIPRVRDILAKNRYLIVVDDLWSSEQWGTIRCCFPENNLGSRIITTSRNDTLPTDSYPCLNKVVHKISLLNDTDAKKLFMKKAFSNGNSCPQHLEDAFTKVMRRCGGLPLATITVAAKLAHKYSTDEWEKLGLNLLCSSHSDGSEGLKQILNLSFNDLQPHLRTCLLYLSIFPENSEVSTERLVRRWIAEGFVAEGRSISTEEIALGYLNELIGRNLVQPLDLDHEGVPTSCRVHPVIHDFIVCKSMEENFATLMDAQHVPINDNTIRRLSLKNSSKQDQPAGQNESMDLSHARSITIFGQASAAPPLTNLKVVRVLDLEGCDGPVSLEGLCKLVLLRYLSLKGTAVSELPAAIGDLKCLETLDVRSTKVEELPSSIVNLEKLMHLLTGSAKLPDGIAKMKALQILSCAGTTKSSGNIIEEMSKHDNLRELDLYSDATETPGNNKRVMFPAHGFPSVRKLCIRCSSPLVTFEPNALPTVKMLELRFQKGLADGSSGVPGMENLKNLKHVLLEFEQHDAGAMATVDAVKNAAQGVLPDHQHITIKVDGKSY >Dexi4B01G0020560.1:cds pep primary_assembly:Fonio_CM05836:4B:22700862:22702922:-1 gene:Dexi4B01G0020560 transcript:Dexi4B01G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRGWREEVMALSLRGYGYGNEEEDRPEKPRRYGVTEMRSPFYSLRPANQALQEILDSFGPFVDGLKFTGGCHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSSFKQYVEECKALGFDTIELNAGSLKLPEEALLRLVRLIKSSGLRAKPLFSVKFDSSDIPAPGDRAFGAYIAPVKKQSSERIEDVDLLIRRAERCLEAGADMIMIDADDVCQRADSLRTDIVAKIVGRLGLEKTMFEASNPNTSEWFVRRYGPRVNLFVDHSDVMNLERLRGFNMVRGDTSSRFASPFFLM >Dexi6B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:6B:21971587:21973126:-1 gene:Dexi6B01G0014440 transcript:Dexi6B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAARHLRGRLAAAAVVVVLVIILAAAPGAMAQEETEDEHEFSYVPGDEHGPAHWGSIKPEWSACGTGKMQSPIDLSHERVSLVRSLGYLNHSYRPAEASIVNRGHDIMVKFSGDAGSLVINGTAYYLRQMHWHSPTEHTVDGRRYDMELHLVHESAENKAAVIGVLYEVGDGHDPFLHELEDDIRRIADRRDDKEESVGVVDPRRARGRASVYYRYMGSLTTPPCTEGVIWTVVKRVRTVSKYQLELLREAVHDDMEKNARPLQKVNDRDISIFRPKPHRHY >Dexi5A01G0036400.1:cds pep primary_assembly:Fonio_CM05836:5A:37955803:37956583:1 gene:Dexi5A01G0036400 transcript:Dexi5A01G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEEGGQAKQIDHATKQVVATTTKKKQGWKCMPFIVANETFEKAASFGLALNLTTYLVKRFNIEQIQATNITNVFSGTLNFAPLLGAFISDSYLGRFKTLSYGCFATLLLKHNVP >Dexi2B01G0024430.1:cds pep primary_assembly:Fonio_CM05836:2B:33877982:33882449:-1 gene:Dexi2B01G0024430 transcript:Dexi2B01G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAAAGGGGGGQGALPTYRTAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKTHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRTESPESQRMLMTEFQLPYRALPHSEFETVKDKLTQVARSMGQSASVESLFFKVPFQEVPDLVASRRVYLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPPKDAAEISLKDIDQLAKSSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTLNAQNQTVENRAAT >Dexi9B01G0006440.1:cds pep primary_assembly:Fonio_CM05836:9B:3875242:3876951:-1 gene:Dexi9B01G0006440 transcript:Dexi9B01G0006440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIPELEQEVKLLSDSKSIRKQRREHVRGLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFGLYSPNKYYRPSEDYMPHEFDVLEL >Dexi2B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:2B:28674478:28684014:1 gene:Dexi2B01G0018360 transcript:Dexi2B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGASGIDSSSSLFSGKALCIAFAQKGLFVTVVDFSEENGREVAMIVQKENNKFHGDIRVSSSIFVKCDVSNADNLSAAFEKHVRTYGGLDICINCAGIANKTLVYDDTSDGARTWRHAVNVNLVAVIDGTRIAFVQTNMGEQMSSKIVDATGGYLKMEDIVNGTSFYTCNEVSHNSVVHTLSHNFRNATRLERVQLRLPVKPHSALVKIIYAGVNASDVNFSSGRYFSGNPKEIASRLPFDAGFEGVGIVASVGDSVNHIKVGTPVALMTFGSYAEFTEVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKLVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAQLLASLGVDRVINYKSERIKDYQGEDGWKPQNYTGLCEKILAKSQTVAGFFLVQYAHLWQEHLDKLFDLYASGKLKVSLDPKKFLGVASVPDAVEYLHSGKSVGKVVVCIDPSYGQIIAKL >Dexi8B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:8B:19415244:19415537:-1 gene:Dexi8B01G0010860 transcript:Dexi8B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGDRGEVAVKRMRLWEEEEGGEEGMEVDEEEEKQEEEGWAWGAPAAGGIGEQRAAAIVVADAAEADFPVIYVNAEFEAATGYRAHEVLGRNWSVC >Dexi6A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:6A:18710912:18712554:-1 gene:Dexi6A01G0012360 transcript:Dexi6A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAVRFPVFGLVRLLGLAAAAGILFWAVHFRGGMALSTDEESKLPIFNVHPVLMLIGLVALNGEVHFKLSSLLVVFFESLQLATGFWTFWYPGGSRSGRASLLPWHVFFGIFIYALAIATSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLVLLGALVILAIATPGPGKIDTYRGSSE >Dexi9B01G0037000.1:cds pep primary_assembly:Fonio_CM05836:9B:38492837:38494381:-1 gene:Dexi9B01G0037000 transcript:Dexi9B01G0037000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEEPPPARRENGGRRRRWMGEEDDDDDEEYVLEEEEEEDVAEELSASSAGEEGEDSDAEYQEDEEEEEEEEIETPRPKRPVKGSDRGKKRKVDPAAARSRRRKYEEDDDYEEEEVEEEEEEVEEFHDELEEAEDEEEVLPQPKSAAKCGGRGRNVEPPTAAKRSHQQRHVEEDDMDFDPELDVEEDELDEDIDFDPEVDDEDEDEYQEEEEEELAATCARKVTVKNSAKRKSVSKRRTLNKKKKKSKGSKVSGRKSASAKSKKSAPVRRRRKRSVIDEYEDDEDDDDDDFIVEDERQRPRKRARTRSRREAEVDPQESPDEEETWPTLDSDTSDYEFATSDEEPNNVETPVVEQITVKKGRKKRMSVSESSSDSEFVVSDKELEDLREPELPKPVPMFPASVRRLCIARHGEGKGKEKQELDEAGKPICGICLSEEQRATVQGSRCPLCKQRFTTITKSSKVDLGLGVRKAVIKVEERDQVRFCFVVVVS >Dexi8B01G0016230.1:cds pep primary_assembly:Fonio_CM05836:8B:27339802:27341067:-1 gene:Dexi8B01G0016230 transcript:Dexi8B01G0016230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWSPLMTPYKMGDSNLAHRSYENMAQGHNAVYYEQRAAPGVLLIAEASVVSSETATGYPNVPGLWSQEQVEAWKPVVDAVHAKGALFFCQLWHTGHSKPGPASPRLMETEEIPQMVMDFRVAARNAIRAGFDGVEIHAANGFLINQFWWFLDIGRVDSQPLRLDKGSSSSRDNRCIRLATDVVAAVVDEVGAHRVGVRLSPFASYTNCTDDADAEAKALHLVQFMDKLGVLYCHVVEPRMCADGEQGKLVIPHRLSSFRKAFRGTFIVNGGYDREEGDRVIRDGYADLVSYGRLFLAIPDLPERFRKNADLNKYERSTFYASDPVAGYTDYPFLTLETQVA >Dexi9A01G0038830.1:cds pep primary_assembly:Fonio_CM05836:9A:42978360:42982785:-1 gene:Dexi9A01G0038830 transcript:Dexi9A01G0038830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGELSGAFEDFANKAAAAAAAASSDATLSLVKMDGSTKGGGPSDALRNYTVGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMRNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPSDIYVVMEYCKYGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTAQQAKMIDEDTLRDVINMGFSKDHVCESLCSRLQNEATVAYYLLLDNRFRATSGYHGADYQESMDRNLNQLVSSESTSSGTRNYVPGSSDPHISGLRTHYPVERKWALGLQSRAHPREIMIEVLKALQDLNVSWKKNGHYNMKCRWCPEFTEVHDMLDAGNGFLGDTTIMDNDDANGKLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >Dexi3B01G0025030.1:cds pep primary_assembly:Fonio_CM05836:3B:19813506:19816291:1 gene:Dexi3B01G0025030 transcript:Dexi3B01G0025030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSALLLAALLAAAPYVLRLLHSFLWVPLRLERRLRRQGIRGPPRSLLSGNAADYRDLLAAARSAPLPSFRHDGVVARATPQYTVWPARYGRPFVYWFGPRPRVVISDPELVKAAMTDSTGAFDKAGSGGNNPLARQLIGEGLVGLTGEAWARHRRVIAPAFNMERVKAWIPEIAAITSSVLDKWEAQGESHTEFEIDIHKGFHTLSADVISCVAFGSSYEEGKRIFQLQEEQMELALLAMRTVYIPGFRLYPPAVFINRTATRDIKLGELDIPAGTRLDFPIIGIHHDGDVWGTDAEEFNPLRFADGKSYHLGAYLPFGIGPTICVGQNLAMVEAKVALAMALQRFAFTVSSSYVHAPMLVFTLQPQYGAQVLVQKI >Dexi7B01G0014950.1:cds pep primary_assembly:Fonio_CM05836:7B:21026718:21028807:1 gene:Dexi7B01G0014950 transcript:Dexi7B01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERCFVLVLFAFVCCSSSRIADAYDPMDPNGNITINWDFQSLNVKDESTYTVMVSIQNHQLYRHIERPGWRLSWNWSGKEVIWDTRGAEATEQGDCSRVLGATRPHCCLKRPVMADLLPGAPYNQQVTNCCRGGVVSSTTQSNLTSTAAFQMVVGEFAAAKGGGGAEPEMPWGFDIGVPGYSCSNATKVPPTRTKVDSQRYVQVTCSYSQYRESAAQSCCVSLSTFYSEDIVYCPRCSCACQGSPTSPQCVRQSQFLSGGEPGPRALPAAGDGEEVAPLVRCSEHMCPIRVHWHVKVNYRKYWRVKVTVSNYNLVKNYSDWNLVLQHPNLRSVTQLFSFNYKPLVEYGTVNDTGMFWGIRYYNEMLLQDGNVQTEMILEKDQSDFTFSGGWAFPRRVYFDGRECVMPPADQYPALPNGAASATATRRRSLIAAPCLLLLFFLALILDYF >Dexi7A01G0011370.1:cds pep primary_assembly:Fonio_CM05836:7A:21892022:21892657:-1 gene:Dexi7A01G0011370 transcript:Dexi7A01G0011370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDAINVATKIIGVAVGSSASAFFAAGASSWLALKLYREGRLSRGWWRLRVVGLGGVTTLDQALGYDCALCRLSLDQREEVRTLSCDHVFHFRKGAKCSGNTIDDWLRENRMRCPVCCRIAYPVLPWKAPPTSPPPAPSRSPSTTDLEAQLQQSSATDLETQVSQPSAMGVGPPAPPRPPPMPSSPWFKDTLRSRSRSPSQPPSQSPSQ >Dexi4A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:4A:4765867:4767701:-1 gene:Dexi4A01G0006640 transcript:Dexi4A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGDSGGGAVLLVAVALAAVAATWLWAALARLVWRPYAVARAFARQGVRGPPYRLYFGNSRESNSMRAATSGLTLDRSSHDFIPRVMPQHRAWTSLYGKVFLLWNGTSPALCVGSYDMVKRILSDKAGLYGKPDPPPSILALMGMGLVFTEGDDWARHRRVVNPAFAMDKLKLMTGAMAACAGEVIRSWESRAAAATTGEVTVEVGHQFTELTADVISHTAFGSSYKQGKEVFLAQRELQFIAFTSLNTNRFVPGAQYAPTKSNLRRWRLERKVRDTLVSIIDERMAAAKEEGSGGYGRDLLGLMLEANAGEDGNRAMSMDEIIDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVLRECGGADTPITPDALTKLKLVTMVLYETLRLYGAVTLVARMTKKADAELCGVKVPKGTLLLIPIAMLHRDEEMWGPDAGEFNPLRFRDGVGRAAAQPSALLAFSVGPRSCIGQDFAMLEAKATMALILRRFAFEVAPEYVHAPADFLTLQPMQGLPIVLKLLDP >Dexi4B01G0014460.1:cds pep primary_assembly:Fonio_CM05836:4B:15471309:15473551:-1 gene:Dexi4B01G0014460 transcript:Dexi4B01G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLATTVDSNSLSSAMAGSAMMAKGLGGWVLVGVEAAKVMRPQHGAEFSTKELNSTSWNVGETPKVSIA >Dexi5A01G0004680.1:cds pep primary_assembly:Fonio_CM05836:5A:3535876:3537462:1 gene:Dexi5A01G0004680 transcript:Dexi5A01G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHFLLVPLVAQGHIIPAVDLARLLAGRGARVTVVTTPVNAARNRATVESARRAGLDVELAEIAFPGPAHGLPEGMENVDMVVEKEHFMAFFNSIWAMATPLEEYVRSLPRRPDCLVADSCNPWTAGVCDRHGIPRLVLHCPSAYYLLATHNLSTHGVYGLVADEMETFEVPDFPVRAVGNKATFRGFFQWPGAENLLRDVTEAEATADGLVLNTFRDIEGVFVDAYAAALHRRTWAVGPTCATVTGLADTDAMAGRGNRADVDAGQIVSWLDARPRASVLYISFGSIAHLPAKQLIELAGGLEASGRPFVWAMKEAKTNADVKAWLAGEDGFEERVADRGLLVRGWAPQVTILSHPAVGGFVTHCGWNATLEAIAHGVPVLTWPSFADQFSSERLLVDVLGVGVRSGVKVPAMNLPEEAQGVQVTSAEVEKAVAELMDEGPQGDARRAKAKEIAAKAKAAVEEGGSSYADLTDMIRHVAELSRGKSGQEGDTSAARALPSASPELGSKKNSGDTVEAGAALSVQS >Dexi7B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:7B:23285605:23292095:-1 gene:Dexi7B01G0017300 transcript:Dexi7B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDGDEYQSASGNDTMEAVASYWATEKNRFVLGHLERKVFSWSIRDVFNRDLLRHQVKKIPDTFESFASYLKSFAYPLIEEVHADIFSSLDGYSQASFIEVIKMENLHHEKPIFGLEVKEPVKDVKSREIYEPIEATKYQVYIEDIIEQEISKKDDYEKKSKESEDKDNISDRANFGRSVFERLSSLGYGKHLLNVQYRMHPEISKFPVATFYDGKISDGPNVTHKNYNKSFLAGKWFGPYSFINVVSGHETTEMHGRSLKNTIEVAAVVRIVQRLFKAYLCPFLLIAESVSTGRNASVGVVSPYNAQVRAIQEKLGKTYSKYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSSSNSVWQKIIKDAQDRGCFFDVDDDKDLSNAVVKAIIEQDDADNLVRMESLHISRPRFQKSRPKYHP >Dexi3A01G0012030.1:cds pep primary_assembly:Fonio_CM05836:3A:8700307:8703121:1 gene:Dexi3A01G0012030 transcript:Dexi3A01G0012030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRRLLALASQLQRRAPAPPATQLWLRASSRFLSSEAAGPLNRLKTPPFARPAARNHAASPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKSLFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNTAASMDTAIHEVSQLASVYNLSCNFSCPVFLNLPVCFDILLSQDPDDHILLIDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDQETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVT >Dexi6B01G0007980.1:cds pep primary_assembly:Fonio_CM05836:6B:9878375:9879661:1 gene:Dexi6B01G0007980 transcript:Dexi6B01G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYDSGKNMPETDNRCSQCGRPHRLRKHLYVVVDDWSAGFSVHKLDIQDEIDKDDQVKLGALRKPKIQRLSPSPVRIAFSGIGAGAQFAAMGRKIIACTEGEHGLTVVYDTDTTGLAMVRYSPDALHYHWEQAMAAGNALYAIVSKPTSARSDGSGSADSGLHCSQGEMFSFEEVTEYPEEAFCLDDVYNDNRVGKKCTWRTDPLEVPFYLCHGAIIRSYAMHPGGNRFYVSVTPAEHRGSNARDYGDDIPDLTEAEENAAKAKAGGTFTYHTKTREWTHLGTWMLPFAGQGYYDPELDAWVGFDMPYHGKIGCCDIPSRPWGSPEPPIWKLCNKDISLVKSSVLDNTNVLVGMGSGRFCLVQSMPRDGVDDYWGDGDKFELHVTTFRARYGKKGELTITDSRLVSSYVLSRYATYENFAIQAFWM >Dexi5A01G0016730.1:cds pep primary_assembly:Fonio_CM05836:5A:19371281:19381775:1 gene:Dexi5A01G0016730 transcript:Dexi5A01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEDAPALVPARTPPPVRGTAAGSRVMLQSPPPAFPLGSNDDQLERARARAAARAASVRRRSLAASIAPSKDPRHDLLNREQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADMEEDINAQPVQDEGISKKDADRRISPASTLESSFEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCRVLFDSFEAPDKCILSDMQTEKAEVIDLSFANEQIEEMVAQMPLCNDISPTLRDIIAQFDEENQRPSHGLSSGQMPVVEDEMAGCGEVDNNDSMLPDSTWDFGGCDDHEDAYDEKYNPIGSNSMNYQEEFDEYTVEIPQGTVVDERLDKIADLLVLGMGSSKTNAWAGPEHWKYRKAKDFEAAPTSSGESEIPNKMKKKRTKDEPDIEFIKALDSEPLNNFAPPKNPKSLLLPASRSICSNKLPEDCHYRPESLVKLFLLPDVLVMFISHENRSYTPADNNDDFIPSEPWDDDNFCNDDVDEGHACSDVEEPVKLINKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHISTSVEADDQENEEAGSSLRLSQVLHDLPSSNPDAAATDISPHLYFICLLHLANEHGLSLRDRPTLDEIDIYVPASPLEM >DexiUA01G0024500.1:cds pep primary_assembly:Fonio_CM05836:UA:51028267:51028876:-1 gene:DexiUA01G0024500 transcript:DexiUA01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHQCMAAAAAAAAGDGGASVEAALRPLVGADAWDYCIYWRLSPDQRFLEMTGFCCSGEFEAQVSALGDLPSSIPLDSSSSGMHAEALVSNQPIWQSSCVPELPTSYSSVIKHSSSSTAIPYKHRAA >Dexi2B01G0013250.1:cds pep primary_assembly:Fonio_CM05836:2B:20873046:20873333:-1 gene:Dexi2B01G0013250 transcript:Dexi2B01G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSTSALKKATVAGCILLLLAVSMGPLLVKADCVSACVNACELYANALCSGFDSSKCDHPLPLGTTCVTAALNLCGVSCLDGCTTGVLAGCIV >Dexi3A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:3A:6077418:6079575:1 gene:Dexi3A01G0008700 transcript:Dexi3A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSLPLAAHPIAFLAPRPAGATLFPDANPDPSPPTRSPSRSRRRLAVAMGNRVARLATPCFAPAHGHRRADGAAAAPDAHANGAAAGDDGSSSSIVGHILSFDGREGPAFAGAIHGVLLPSNQSTIGSAAGGSVLNELMSSFSGSSSFDSSNSFSFRKLQPRQYSGPLEYRTSSPSTSATTSGMSVSRQRTNEQILADLYATRHRRQCLQASSKGGPLLGGLRKAVSSVLRAASPCVSPARNPHRGGGIGKTISEGSGGKIGGGEDDGAARVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLVSNLYAAVCRELDGGVLATEAAGDRPPPRCNGGAGAGGDDEQEVLDALARALKATEEEFFAEAEARASESPELAMMGSCVLVVLIKGADVYVMNVGDSRAVLAQRGEPNLSQVLAPPRQDAGGGGGEHAGVKLGELAALQLTMDHSTSIYKEARRIKSEHLDDPACIVNGRVKGSLKVTRAFGAGYLKEEVVAQVEAFTARYPDEDPAKYLSHEILLRAANQAGMEVHELLEVQQGDRRRYHDDVSIIIISLEGKIWRS >Dexi6B01G0015580.1:cds pep primary_assembly:Fonio_CM05836:6B:22870967:22871633:-1 gene:Dexi6B01G0015580 transcript:Dexi6B01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLVNHSSELLDGASEGDEAESGPGAGASAGASADRDLPSMSSAATSRATAASGGATTTAARRSTARNTAARAIFVLAGLT >Dexi7A01G0022320.1:cds pep primary_assembly:Fonio_CM05836:7A:30658582:30659872:1 gene:Dexi7A01G0022320 transcript:Dexi7A01G0022320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVKDLPINPVGPADQQTAQPASFSLLSPSLSVFFFPVLQRDSNSGQSSSRAPRATSSRLGAHARAAATTLFNAPSCSPPAPELPCSPCATPPTTSCKPSARRLRHCRRFATLEPRRTNPAPPQASPSRSVSTALVPIVVPSPESHRHKEPEPAPPHCKIRPPPSFSIAASSPLKRRRAERLERCPPWEES >DexiUA01G0006270.1:cds pep primary_assembly:Fonio_CM05836:UA:12100753:12101415:-1 gene:DexiUA01G0006270 transcript:DexiUA01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRLFFFPPFTLHKVMVDEKAEALYRRTIIHLDQHAVLKTLRDFPQAQHRLQKLSHRGGEAWVADLAHCQKHIDYLLGCYQPPLNSENIAGLLISLFAMLPDDNDGAPGSSQGVASQVMFWLDEHYREKFRLDALAAELGKSRSYVSRKFHAETGEKIHDYLNTLRLRKACEYLLHSDASVREIAARVGFSDVTWFISAFKKGIGETPLQYRKNHGAA >DexiUA01G0015700.1:cds pep primary_assembly:Fonio_CM05836:UA:33280258:33280798:1 gene:DexiUA01G0015700 transcript:DexiUA01G0015700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLKDAQSALTVSETTFGRDFNEALVHQVVVAYAAGARQGTRAQKTRAEVTGSGKKPWRQKGTGRARSGSIKSPIWRSGGVTFAARPQDHSQKVNKKMYRGALKSILSELVRQDRLIVVEKFSVEAPKTKLLAQKLKDMALEDVLIITA >Dexi3B01G0014330.1:cds pep primary_assembly:Fonio_CM05836:3B:10342020:10347789:1 gene:Dexi3B01G0014330 transcript:Dexi3B01G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAVDRRHLSPFATLSESSSSSFFSEDLVPAERQVGFWKTESTVDHRGSKSAIASPLDKVHPMGANPTGGLEHARGQAFKGQLDMLSLSNLMGQEENASSLPSISWGDILGSSRSGLGSSTRGAASVEPTSANQRVHDYGSYFSSSSVSEVFSSKSRMMADGVPSQPADASSSGCEGDEPLVSMKEMEAQTIGDLLPDDDELISGITDGFECTGLSNHDDADEDIFYTGGGLELENDDTSNGDKFHEGSLESQLSGKHSIYEHPSRTLIIKNISPSIEDSELRVQLQQYGDIQALHTSFKNHGFVTVSYYDIRAAQNAMRVLHNKTLGLMNLDVQFSITKENKDPNNGILAVSIIDSSISSHDLLRIFSVYGDVKEIFKAPTSYNKNFVEYYDIRAAELALNKLNNSDMSCSKIKVEHSRSGGAGLCFTEQCSGEWKQNTVTHQLKNSPPGTIGFPGSPQLYPSDLSVFAPTRGNYRETMFTHLSGFPSLQQMFHATNGRSPMVRVSTSYDATNERIRSRRHDGNSAQSENKKQFELDLDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYGRIQGRNALIAHFQNSSLMNEEKWCRPMLFHKDGPNAGDQVRVLLLLLSSLLNLSLWETMSELGLGETDRSLVQTPKREVHQPRLTKKTVAREPSSSRLPTEDKQCLLTNWRLKIL >Dexi9A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:9A:6816505:6821526:1 gene:Dexi9A01G0011030 transcript:Dexi9A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCSKLLRLTYNGGNMSLDWASLDKDLVDLIGWRVLAGDLQDYVNFRAVCHHWNASTVGPRGRGILDPRFHPRHWMMLPEGHGLYPGHPDLCGSLRFFNLSTGAFVRVHLPLLDDHAILDSIDGLLVLHRDHDTAVRLLHPFTGDVAELPPLASLLSWVEIPHYYNEESKRSGLMSVRASVTVGSTGRITAMLAFDLLHRVAYATAGDQRWTFFTWKIQPLIKPVAFQGKLYAMEFLGKAIQKVCIYQIDPPHLDAAGELSHLPFPVKIAECPMDKLLYILNFVECCSELLLVAYNGYS >Dexi3A01G0033590.1:cds pep primary_assembly:Fonio_CM05836:3A:38307846:38310272:-1 gene:Dexi3A01G0033590 transcript:Dexi3A01G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRGGAVSSPAYDISSASYVVSRSASASADLGSSSAMSIWSRRPVRLDAFDVASAGDEEERERRRRSGMAAAVAPDTTTTTRLGNIRRCVEGEQAAAGWPSWLSSVAAEAVQGWVPLRAESFEKLEKVGQGTYSSVFRARELATGRLVALKKVRFDSLEPESVRFMAREILILRRLRGHPNVVGLDGLVTSRSSSSIYLVFEYLEHDLAGLTSSPDVSFSEPQGVMHRDIKCANLLVSNGGELKVADFGLANLYAPSSKTTAPLTSRVVTLWYRPPELLLGSTAYDPSVDLWSAGCVFAEMHTRRPVLQGRTEVEQIHKIFKLCGSPPEEFWRWSGLAHAAVFRPQHPYPSRLREAFADAMHGDHAFRLLATLLALDPAGRGTAAAALDADYFTTAPYACELASLPRYAAPNKEMDAKFREDSRRRSNVRSHGGGEAAVKRLSRGHKSMQLQDTNSSHVHAEESLPVVVAGDAAARNDGESRLFVDLQPVPAISKRHDGGGDRNVSSSFKEETRLTDRLPLSGPVQLAASTGFAWAKKPRPDTTAAVTKRSGPKGAGSNNNVGGDAVRTTTTATTAVPYEAEKKEMIKQWAQVADAFSTSEAYNNRFRQTMDEKQLKAGKKYKGKVDRVDFSGPLLSQPRRIDELLQNHEQQIRRAGRRSWFKKGAYS >Dexi7B01G0012700.1:cds pep primary_assembly:Fonio_CM05836:7B:19441120:19441583:1 gene:Dexi7B01G0012700 transcript:Dexi7B01G0012700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQEQDRAGTISLFSVDAGAEDESQRLRLLHTVDTAGVFDMKWSPTSPMLAQADAHGRLALRHLEQDDGSDTVTV >Dexi5A01G0012750.1:cds pep primary_assembly:Fonio_CM05836:5A:9587346:9587755:-1 gene:Dexi5A01G0012750 transcript:Dexi5A01G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSGGSSPYLGLHHHGQHGHGVNGRHMSPEMVVPEEVKNRQLVVVPLGARLRLVLRPSSPSSGSGMPASCCSGGADGGRPIWGAWSSIWRRKTLDGERGGRQQRTERETAAWKSKAGGD >Dexi1B01G0003760.1:cds pep primary_assembly:Fonio_CM05836:1B:2982287:2983225:-1 gene:Dexi1B01G0003760 transcript:Dexi1B01G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPATALLTGERLVVFLFAARVALEAPASLAAPLAILAAAALAVELAVDGSDPASSPLRRFRTRCFIWHTSWCHYSAQRYALSANPAVKALIS >Dexi9A01G0039740.1:cds pep primary_assembly:Fonio_CM05836:9A:43589129:43602363:-1 gene:Dexi9A01G0039740 transcript:Dexi9A01G0039740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASNGDGPAIGIDLGTTYSCVAVWQGDHSEVIANDLGNRLTPSCVAFAGTERLVGEAAVNQAALNPTNIVFDVKRLIGHRFSDQSVQESIKVWPFKVVAGDREDWPMIVVQYEGKETQFTPEQISAMVLAKMREVAEAYLGTSVKKAVVTVPVYFNNNQRQATIHAGAIAGLDVMRIINEPTAAAIAYGLDKMPINVGARTVLVFDLGGGTLDVSLLNIDPGVNIGTGSFEFDVKAIAGDTHLGGADFDTELVEYCMQEFVRKHRKTDITKNQKALRRLRTACEKAKRMLSSMEETTIEVDFLHDGIDFSATISRSRFEELNKHHFSKCMETVEKCLRDAKMDKSSVHDIILVRGSTRIPKVQELLRDFFIDKELCKSINPDEAVAYGAAVQAAILSGEDNQEVQDLILLDVTPLSLGLETKGGVMEVLIPRNTTIPTKKVEVFSTCFDNQTRMDVQVYEGEMTMTKNNNLLGKFRLTDIPPSPMGVPEVNVTFEIDLNGVLHVSAKDQTSGRMNSIAITNHSFLLRTEEIKRRRKRPRGAQRHSLNAAATTPRRRHRLPGKTQDARRRQKEGRGPTNDRPSRRKAKRAKIMSTRYRKYDFGSEKHANIDDSPNVDDNSHRDGDDAVEVETPVPIPEIAEADNDNTADIGRHGDDAVELETPVQYLRSSLSLGMVISKAVGPAIGIDLGTTYSCVAIWRRDRGEVIANDQGNHLTPSCVAFTDNERFVGEAALNQAASNPTNTVFGENTTRLFLREATIDAGTIAGLNVMRIINEPTAAAIAYGLDKMPVSDKGRMVLVFDLGGGTFDVSLVNIDRGLDIGMGLFEVKAVAGNTHLGGADFDNEMVKFCMRDFLRKHRKIDIRSNQRAIRRLKTACERAKRMLSSTAETTIEVDSLHDGIDFSTSISRSRFEELNRDLFNAAL >Dexi4B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:4B:21035594:21038042:-1 gene:Dexi4B01G0018670 transcript:Dexi4B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQSSNGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRSTGDEEKAGLLRRLVPGAADRLRLFDADLFDAATFAPAIAGCQFVFLLATPFGLEAAGSKYKSTAEAVVDAVRAILRQCVESKTVKRKYILSKLQSEQELLRYNAGHSPAFEVVTLPLGLVAGDTVLSHVPETIESAVSPVSRSEPYSGLPRILQQLLGSLPLVHVDDACDALVFCMERPSITGRFLCAAAYPTIHDVAGHYASKYKYGMEEILDSSVACAARLGSLDPAKLNVQKRE >Dexi2A01G0030450.1:cds pep primary_assembly:Fonio_CM05836:2A:41369384:41372748:-1 gene:Dexi2A01G0030450 transcript:Dexi2A01G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMASGGTTAMTAAVAGGEDGRRKGRRWKAPREEQLGSVPGRIFSNDGRSRTAAVFTQQGRKGINQDAMLVWDGFGGDEDMVLCGVFDGHGPHGHLVARRVRDALPLRLMSAVRASKAGLDMPAAAWRKVFARAYKTMDKDLRSHATLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSRDGAAGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTDKDQFVILASDGVWDVLSNQEAVDIVSSSPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASMDNISIDEGSVADPTEAQEQEPALTRNFTVRTVAGSAHEKALAGAVDAVVAGAAHDQNWSGLDGVTRVNSLVQLPRFSEKAIG >Dexi3B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:3B:8487077:8488673:-1 gene:Dexi3B01G0012110 transcript:Dexi3B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPSQSPAQTPPPPQQQQAPVAGGREDMLACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTAEEMLRKEITTMEEELKNKTELIAKHKKLIEGWRKELKEQLGKHVTELERV >Dexi2B01G0026590.1:cds pep primary_assembly:Fonio_CM05836:2B:35684718:35688780:1 gene:Dexi2B01G0026590 transcript:Dexi2B01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPAPAAASTSSTPAPQSLVSRARTAIHSAAARVLTDIKADLRDADGSGGRSRAPSPTPRVSLDREADVGAMGREPDVKPPSPRDEVLETSPSWNVDCSTVPTEATSSANLAFPPASTVKQLVAAIENGKNFKSMSDMRSTGDQFLKEKGGLSLSVVKSLVRRDKEERSSSEFFGDEETQSLMYSLFKLEEQFPLDGSQCNPELLHSRSLSKDLHGAPPGSFIHHLAVVIGSISSVHKMAFFWHSVVLELRKLWSDWQPVPRMPLDAAPDLNSCLLHQEIQVVNCCIARKKRRKAAKESLDSLLKQASFNNSEPRFSNGKSPDREMYARDSTGDYVLRLGADQSSENLTLLETGEPIYSPTLQEGPIMTAELIKETEELVLRTGSLGAGCSQLLSDMQAFKAANPGCVLEDFVRWHSPPDWSEDCAANSTAVGEGSSRRGRLSDRMQTKGEGNLWKELWETAKPIPAVEQTPIYDEDLAVESIFDALEVIEPSKLFQQLLAVIISVCFVRADSVLTADSNLSKIFYDCKDYINSIYQDDLSKDKLDEICKVYETMEAIVTHPEDALQIMEQPDEKSIDNKNRFKLKLNFMAKDRPPLWKRAPKDEKKSPKDDRNTSEEKNMKVLSNLFDKKVNIFSRKSVKSSEVPPAAHPSNSLGPFDDSEWTIL >Dexi3B01G0028640.1:cds pep primary_assembly:Fonio_CM05836:3B:27022686:27028872:-1 gene:Dexi3B01G0028640 transcript:Dexi3B01G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVREDFITYGVGDAVLNELQALWEMKMLHCGAISGNIDRTKAAAASAGGTAGTTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTAPSDYAPSPISDVRNGITINGSDPKTGRPSPYMPPPSPWMNQRPLGVDVNVAYVESREDPERSVQPQQLTQDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADKIVEFVVSKENEHQLRSFVINKQETSTKTVNPVIPQCDGIQDDYNDQFLFPGVPTEDYNTPGESGTEYRAPTPAVGTPKPRNDAGDDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >Dexi9B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:9B:10187806:10190325:1 gene:Dexi9B01G0015090 transcript:Dexi9B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKEYQRIKACEIIHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRTGLPKIRKEWIDRREKLGSPRYTQMYYAKQGIITEEMIYCAKRENLSPEFVRTEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEDEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPLHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVKQGMNAMSAEFLAATKTISGEQHGEAGGEIYVPESYAAHK >Dexi4B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:4B:7615931:7620593:1 gene:Dexi4B01G0010330 transcript:Dexi4B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTSTRGLSSHAACCQVAMLLAVVLLPPVAVVHGSSGSAPAEYEGISAVFMFGDSIVDPGNNNHRLTEAKANFPPYGQDFPGGVATGRFSNGLVPGDLLASKLGVKELLPPYLSDNLQQNDLLTGVAFASGGSGYDPLTSTLSTARSSAEQLELFHDYKEKLATLVGEEEMTRVISHAVFFTIMGANDIVNNYFAVPLRRHEYDLDSYMDFLVSSAINFTTTLNDMGAKKIGIAGVPPLGCCFKEVSEGCCGSTVLSAAVFIAYHNACPNANDYIFWDGFHPTERAYNIVVDKLIKQNKKYLV >Dexi1A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:1A:26539286:26539992:-1 gene:Dexi1A01G0019420 transcript:Dexi1A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAEARRYPPAHHASLSCPKLYAPCPVDPAPRHCLIRTVPCGPERLPSLVVEALGTAAPFAAAEHNGITLCQLTPRLYKAQNAASPENNNVDATNYNAPQACFYWISRQAVSAGNVPALVDCMGG >Dexi2B01G0025830.1:cds pep primary_assembly:Fonio_CM05836:2B:35015209:35017077:-1 gene:Dexi2B01G0025830 transcript:Dexi2B01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEEVIGKLNVRVLRGNNLVIADPLTHTSDPYVVLQYGAQVRPRSPSPSPLRHDATYPPHSSPQHCLLDDWIPPFAADDPCGRAWSKKLKTSVQKKNPNPVWNEVLQLSVTNPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLNLSHATNGTRIKTIYPVGVNYLGGESHVQWKDGKVVQDLILKLKKVDSGLIVVQLEWVHVPGVKL >Dexi3B01G0006040.1:cds pep primary_assembly:Fonio_CM05836:3B:4166907:4167866:1 gene:Dexi3B01G0006040 transcript:Dexi3B01G0006040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRRALPLPDELIENILSRVASPRDLVFASAAHPDLRRIIAGASFPRRRSGSGLPADSVVLPELAVFNPFTRGYTLLPRILDSLIVAHVPVSYECVSSFQALFVPSGGYEDAHFRAVAWTCNHAMVVVSVYSSLSGTWAAGTSSSWDAPGLNVNIMEAKDWLPSYVYGCFYWQVPCRNKLLKLNMDRMEFSAVGLPPDYANRWVAVVESGEGRVGIFTRTSGIPRFLQYSIRQNEGENAIEHSEETTIPLSRDCKSYCIIAVAEGYIFLRGVLRRTPESVFFTLEIKTLKVERLGSICRGWALPYFGYPRFLSPRRI >Dexi9A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:9A:3830082:3832683:1 gene:Dexi9A01G0006750 transcript:Dexi9A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRLPPPPPPPPPPPPLILQQGRGGGGRTPAASSPPKSHSPSPSTAPPPSADSSSPPSPPKAASSPAPSKPRDSFSSPPPVARSHGAPFSPAKTHPPPEHSSRGSSRESGNSPPASVHRGGPTMVEIVFAAAGAAALLVIPHRRLRLLLQEDGAEEKKETSETHALLRRFVISVQSTYYPSDPQQQWQSDTGPPGWHAPQPADMTSGFYSSPHGHGPTTPPSPHEAFGGLGVSEVAGKGQPVMEWSTRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAMVDAVASSDHSISTVLDPKCSDCIGSWCFVFQVVDFGLVKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPVDTGTATSFLEDSLVDWASHPSPPLAHASPALSRALADGDYDGVADPRLQGNYDPVEMARLVASAAAAVRHSAKRRPKMSQGSFDVDEDDDLSTVKGQSHR >Dexi9B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:9B:4621963:4623872:-1 gene:Dexi9B01G0007560 transcript:Dexi9B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPRRTTRSSAGSASDPASPTPQSKSMPKSTLRRQLIPAAAAAAKDEEEEGENDRSTVDLLLEALPGRRAQASDLLRLLAPAPALPLLLYGGAATGKTRALLLALRHIRPRPHRVAYAALRSLPSPRALFASLLSQLSPPPSSSSASSRQRVNDKPSDFVAALRDALAGLCTQGDAVYLVFDNLEVVKSWDKGTQLIGLILRLHDLLRLPQVVLVYVSSATPDAYYSMTGSIEPNHIYFPDYTVDEVRVILMRGHPNPKLYSSFLSVALKPLFRVTRRVDELGAALEPLFRRYCEPLGDSKVVLDESIKRRLFEHIQPHLAVAMNETFSVPTRASVDQCNDTGGKASTKRQFGNRDSLTELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNHKRKRKSSQASMNMKDTMVEEMLMKGPGTFPLERLLAIFQCITSVSEDSLDDVESSANMMNGSGMTGLMSDVLLQLSTLCNSNFISKSRSCPLEGSARYRSNVDQDLALKVARSVSFPLSKYIYRR >Dexi1A01G0028560.1:cds pep primary_assembly:Fonio_CM05836:1A:34218911:34219197:-1 gene:Dexi1A01G0028560 transcript:Dexi1A01G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVVTLRYFAGPAVPRYVVATVGYAWFCSLSIIILVPADIWTIPYRVSLPTSSSTAPGSGHSWAWVALLWLLMLLDPPG >Dexi3B01G0031520.1:cds pep primary_assembly:Fonio_CM05836:3B:33071836:33074906:1 gene:Dexi3B01G0031520 transcript:Dexi3B01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEMNVASSDEMNVASAVPEVGGGGLAKRCATPCDMECEERIAHTDGVTTNPTVDCCDTLSGGTVIIESSGQHSDSAMDYTAVTERLNGSSDDDGDDSTSGAGSAAVDISFDKVQLSTPECQYHAEGVHTRKPVKRLASRTRKSPFCPIVELEDVDVDTNSADGKDTAIDGSSLEKTQWIPNFINACRDFIGLEYNFEHFCIHYAPIPRDHMAYYNKLDGGIYVMKYLELWDPLVDMERFFEPIDIVSIRVKYVKHLVFTSHNLMEDANALLADHETMVKRKWAPFKRAGWPFV >Dexi1B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:1B:22891814:22893326:-1 gene:Dexi1B01G0016380 transcript:Dexi1B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSSAPLLPNPALPAPSSASLPTPNPRSRRQRATREQHDQHVDGKWRREPGGPPRRGGGAKRRLRSLVLRGEIDDALSLIDSISSGGGGGMNRPPVVPCNILIKRLCSTGRVADAERVFAALGASATVVTYNTMVNGYCRAGRIEDARRLIYAMPFAPDTFTFNPLIRALCVRGRVPDALAVFDDMIHRGCSPSVVTYSILLDATCKESSYRQAMVLLDEMRAKGCEPDIVTYNVLINAMCNEGDVDEALNVLCSLPSRGCKPDAVTYTPVLKSLCGSERWKEAEELLAEMASNKCALDEVTFNTIITSLCQKGLVDRAIKVVDDMSEHGCIPDIVTYSSILDGLCNEGRVDDAVELLSRLQSYGCKPDRVAYTTVLKGLGRAEQWEHAEKLLADMIRGRMLGSSWSI >DexiUA01G0000710.1:cds pep primary_assembly:Fonio_CM05836:UA:2447191:2455319:1 gene:DexiUA01G0000710 transcript:DexiUA01G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAMPGPAQTLSFLFSFFPAPAQSSSFLPSPRTGPAGPARASLSPHPRSRTRLSAASPSLSLSRPLSFAALADSMAPPVRVFFFLQLVDRRLPLHSALTPINANQAPSSTSFTLTAATALNRHNGRFNPIPSPSTPLRPQPPWPTYKAPSTPRAQPPPRFPLARAHAAALGARRRHCRRRTQLALFLRRLNYFLYGRFLPHRSSTFLLKPRIAISRTQRQHFSLQFGSLTATNPADRRHSSPASVGSGSNGPRQPQIESKGETTGFWLSSSPSCCGASSEKQKGKNPEYEAVPAARNNLSINWTKDRKYPYSNPGTHPHVGPTSGDHPMSYRLRSRLSPTQQARVPAHAEQSSGADRAPAGVDTRMLASGGPNNHPTRRRTHSSPNPPWQALTLASWRLGGDVRWRSALRRTHRPNWSHNTRAALRALAPHAIHGGSARGESHGESLAAQPRRDAPADLGTPSARNRSAGGSPSEAAHARRSWRRCATAANDVPNPRNVVPGQLSPQAPS >Dexi5B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:5B:4266591:4267190:-1 gene:Dexi5B01G0006270 transcript:Dexi5B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRELARTVPDTLLRVAKGTIGVLGAVDSAHQQLAAIVHVVRSLRRSDGLGAINWDDARHYPEAIPTLDDARRELVRILELYSVAKQVFVLYGTCLGAEVHPLWQTWAGHGGETFGHGFRALRSLRSAASHARASRDALLMALSCPPRSPDWRDWISAALNLWRRAIWAGTKASVAARRMRDAVTVELEEAWIVLHR >Dexi6B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:6B:5160816:5161608:1 gene:Dexi6B01G0005680 transcript:Dexi6B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFFLHLVLLAMIACQTLASDPSPLHDFCVADKDSPVRVNGLPCKDVKDVKVDDFFLAANLDKPRDTTMSKVKSNVTLINAMKLPGLNTLGISMARIDYAPQGQNPAHTHPRATEILTVLEGSLFVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSQPPIADDILAKAFQVDKKVVDWLQAQFWENNHN >Dexi1B01G0008730.1:cds pep primary_assembly:Fonio_CM05836:1B:7512135:7512344:-1 gene:Dexi1B01G0008730 transcript:Dexi1B01G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGGVQAPSSRRWRQHLQLDAAACRLPATARPPLLGVYAGTVHCHCATTALPVRYVPAPPPCARASAW >Dexi5B01G0015680.1:cds pep primary_assembly:Fonio_CM05836:5B:13027983:13038506:-1 gene:Dexi5B01G0015680 transcript:Dexi5B01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNPGPLSMALASSPPPWWLTTESCALPSSLSDAGLGDWAALLFLSTCSQRLLLSAASAVFLVALLCLAAAKLLSTHRRRRQHGALNGDDKQPLLDRGDPVAVRVGSGFVVALAASASLAAFYAVVLVLSLVTRRGDGEEALEAVFLALQCAAHLSAAGVVAHEKRFLAAAHPMALRLYWLASPALTALLAATSVARLASGVASLPDDALAVAALAVSLPLPLIAISGATGIVAATVSSTPASPTGEEDGSNKDDKNVTPYATASWASLATWAWMNPLVQRGYRATLELSDVPSLAPSHRPERMHESFTKNWPSSGTITKDSNPVRHTLFRTFWPLFLLNACLALLRLTVMYVGPTLIQSFVDYTAVGGERPLGEGARLVATLLAAKCAEALCSHQYNFHCQKMGMQIRGALIVALYRKGLSLSCSARQRHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYTYLGPPVTSALVGVAGVMVFVVLGTRRNNRYQFSLMKERDQRMKATNEMLNYMRVIKFQAWEDHFDARIRGFRRLEFGWLSRFMYSISGNIIALWSAPVVVSALVFATCVLTGTHLDAGLVFTATSFFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDDGAVEREPAGATGGGGPAVQVTEGVFAWDDEVEDGQEVLRGIDLDIRTGALAAVVGMVGSGKSSLLGCILGEMRKVSGKVKVCGSTAYVAQTAWIQNGTIEENILFGKPMHRERYKEVIRVCCLEKDMEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDSDVYLLDDVFSAVDAHTGTEIFKECVRGALKNKTIVLVTHQVDFLHNADIIYVMKDGMIVQSGKYDELLRPGSDFAALVAAHDSSMELVESAAPASEVDRELPLSRQPSTKNADSSSTSSSIVAPKQATDKASARLIKEEERASGHVSLAVYKQYMTEAWGWWGPLVVVGVSIAWQCSLVASDYWLAEETSDENAATFRPSLFINVYAIIAAVSVVLVAARSFLVAFIGLQTADKFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWMSVSMYITVISVLIVTCQVAWPSVIAIIPLVILNLWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKEESFLQENLNRVNASLKMDFHNNGANEWLGFRLELVGSFVLCFTALLMVTLPSSFVKPEYVGLSLSYGLSLNQVLFWAIWISCFIENKMVSVERIKQFTNIPSEATWRIKDCLPDANWPSKGDIDIIDLKVHPKLIFRYRHNTPLVLKGITISIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEQYSDDEIWQALERCQLKEAVASKPEKLDASGMLTTSLTARILFMDEATASVDSQTDAVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPANLIERPSLFGALVQEYANRSSDV >Dexi4A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:4A:3420388:3421509:1 gene:Dexi4A01G0004880 transcript:Dexi4A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRTPNDRKHLYLVFDDWEIGYSIRKVSLSRRSGKRVEQPSDSSDGADQGSSKGVEPLPVFLRFPAERGHPQLFTSAFGSKIIGLLPGMSDDGTIMGVPTIDVQDHTFIFGPGLTFGSCPIFLPVGDDKLFALHFSVFEILKKLGHNGPWEWKKLSYPPFSLSDVYSYGVQPDRSILVSTRSGTTFIFDTKEDVWKLYGRWAFPFTDHGHYDRSLQGFVGLSKDPETLGYLYSCDMASTMADDTGKILHPSPDIKCSKEKVYTKNPAERHVSATLLHMRPGRFCLVECVCTDNNMTDQELKEPCGDMELMEPEEEGGGPQCGRFMYRSKTFSLSYDTKGDLKVRHCRVRCYRLPHEARIGSICQDPAAFWL >Dexi5B01G0000890.1:cds pep primary_assembly:Fonio_CM05836:5B:573473:575206:1 gene:Dexi5B01G0000890 transcript:Dexi5B01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGDRIRHQAVAAMAIALIVTVSLIIVPMLSLLVLAATKHQRQQRTAGSERRKLPPSPSGALPLLGHIHLLGRLPHRGLRSLSASHGPVMLLRLGPHPTVVASSAAAADEALRARDASFASRPRMPMVDRLVYGSRDLSFARYGDYWRQARRVCVLHLLSHRRVRAFRRAREHEAAALLARVRRHATGGEVVNLSDALVSYAKAVVARAAFGDGDYVVDGDRGGDKLRRVVTDLQQLIMATPVREIAPWLGWVDTLTGLEAKAKRTFEAMDGLLDRVIGDHRARRRQDGHRRRVEDDDDHRDFVDVLLDVNEMENDTGFRLDMDNIKGLIMDMFVAGTDTSYTVLEWAMAELINHPHKMLKLQEEVRGAITGGHVTEDHLDGMPYLKAVISETMRLHAPVPLLLPRETTEDTELLGYHIPAGTRVLINAWAIGRDAATWDHADEFMPERFAGAVAMDYTKVGQDMRFLAFGAGRRGCPGVGFAAPSVELALASMVYRFDWESTATSHGRRKEGPPVLEMSEAFGLTVRRKEPLLLVAKPWYG >Dexi5B01G0012050.1:cds pep primary_assembly:Fonio_CM05836:5B:8563659:8564906:1 gene:Dexi5B01G0012050 transcript:Dexi5B01G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTTTTLPPASPLPGAASANPNPRPRLLRLPAASPAPSAVVVRARGRPPMGSVRTSAVAGAGGLGAAAVGEVTTERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDHSKPLFGTLIALLHNGKPVH >Dexi2A01G0008700.1:cds pep primary_assembly:Fonio_CM05836:2A:8691226:8703502:1 gene:Dexi2A01G0008700 transcript:Dexi2A01G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWFGSYASRHLHSDSHVDKSWPEVKFINNYAVFMGYLSMAVTGTGMLVFTWSTVVLLGGFLDKLDTVDFWSVTVITFVQTRVFDVFLKGNISNIGYSFTRLGEAALYIGLTRKDRYRLCSVTGMVRLLVFTVLLCPLFALSMFGLFVSPWISLWRLLRTINGQGYRPLEETDNLKPALLMLYSLALLQGVLFYYWVVSKFEEKRLVKRVAEAYEIDEEDEARVEPVSNYLHAIRAACENDPSFARGRNFVTYAVDLMESNSPANYIHGARILDTIIRQINSNIDERQHERIIRRITASAPHSDDDNAETRFSITVVKLPRSVGKSQETLIANMIGSSSSSDTIKTLVRMLDTKNPDDQETWFCVMRIVAYFAKEISLDKIMNGIRSISSLLEYQGDATREHEVFCAAMKILSELAKDEGNLKHMSNTDGITKKIVTVIIKDHYEDASHADWLSIARPGMQLINRVVSASSKSNNARHVSEILDVSGGITTLKNMLNCPKCKGDENLHKWVIQVLTQVIISAMSSKQDQYLRNNNDYQDVKEIFTKWLVLRFLHGSGKKSFRKLAGESLAKLSLTNDTTPINWILNTENRVADSLATILVQAKKTQYRKSAAEILEHLCNHYNPADTTTTDLFTNLKEAMVREIPKVLKRLIPGADSEYEDSFSYGYGWSYSYYVEEKEALAALCATVNDKVIIKSPDDPDRRKLDEEAAKICSDINKQEPLSFDRLLDEGRKEVHKLKNWKRDSGFSSSDDDDDDSDN >DexiUA01G0000790.1:cds pep primary_assembly:Fonio_CM05836:UA:2540658:2542023:1 gene:DexiUA01G0000790 transcript:DexiUA01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPGLLRRARAPPCLPPRRHLSRLLDRYGFVAPASLSPATREPPRAAAADSAAAKKRRAKKPPYRPPSSLDRGGRPPTHSDLPFDFRFSYTESTPASKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDATLRDVAADDPLPDAEKELEEARRRERERVLGEPLTPAERAFLVEKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKSCEAVRVKCLGVPTVDMQNVCHQVEVGTCLLSDKTGGLIIHRQAGHLILYRGRHYNPKKRPVIPLMLWKPAAPIYPRLIKTTIEGLTVEETKQMRKNGLHVPALTKLGNSVPLLGLDMHIFNELIIKTSYFTAKNGYYGSLVPMVRDAFLADELVRIDCKGLPKSDYRKIGVKLR >Dexi7A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:7A:14261761:14266710:1 gene:Dexi7A01G0004050 transcript:Dexi7A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERALAPAEGPEDAPLDAAAMRSRVEQLSLKHRRRGVEEAESGVGDAEVMLCLDTAYQVAQEGMDVLDPSTAAISIDDLDALELLRKEVALAEEGNRKGLIHFEGNSVLGLSDSTDSCRNQSIADKDCIYEVLELGHQIGKNHELLIEVDEGNMEPKNLKIFPDDVCVDKLIERLRSSRHSFEYFNREEVIIAHLDREVDASIKISSDWPLCSYGLKLISIRNSGTHPTNIASSLLSKTQKLANGLEQEIRQHLVRFMDAVEEILIRELRSG >Dexi9A01G0036530.1:cds pep primary_assembly:Fonio_CM05836:9A:40925162:40925476:1 gene:Dexi9A01G0036530 transcript:Dexi9A01G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACSEPAEVVIPGLARLAICVQPSVQAAAQSYLSAPAPRVMHRFFMQSQKHPSSASAAAGTAATAAKATTATHSMAAALLEVAIMRLPRATMLFPPSEAGNFG >Dexi8A01G0008080.1:cds pep primary_assembly:Fonio_CM05836:8A:9429528:9432039:1 gene:Dexi8A01G0008080 transcript:Dexi8A01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAARAAAADAVVTFLWVLCASALGAATAAVTSSLGLQDSGAAGHYALLVTASLLGTLLFAFDLLCGALGGASFNPTDFAASFAAGLDSPSLFSVALRFPAQAAGAVGGALAISELMPEQYKHTLAGPALKVGAHTGALAELVLTFVITLAVLWIIVKGPRNPVVKTSLLSVSIVSLIVAGAEYTGPSMNPANAFGWAYVNNWHNTWEQLYVYWICPLIGAMLAGWVFRVVCLPRAPKPKTKKA >Dexi9B01G0032210.1:cds pep primary_assembly:Fonio_CM05836:9B:34455089:34458195:1 gene:Dexi9B01G0032210 transcript:Dexi9B01G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVAAAAATAVVAPPLSRRVVPFPSLRIHRTRALALAVARCSPSPSAPAAADAPAPPLPQEAKPKRPKRYPKQYPGEAVGVAEEMRFVAMRLRNPKRTTIKDTAGAEDADTGPGSEASEDDEDEDEYGDGGGVKEEHEKEEEGEVQEGEWMPSIEGFVQYLVDSKLVFDTIERVVAESTDVAYVYFRKSGLERSASISKDLEQFREQGIVIPDPSALGSTYATYLTELAESNAPAFLSHYYNIYFAHITGGVAIGNKICKKILDGRELEFYKWDTDAELLLKDAREKLNELSKHWTRKDRNLCLKEAAKCFQYLGKMVRIIIS >Dexi3A01G0014370.1:cds pep primary_assembly:Fonio_CM05836:3A:10477246:10477518:1 gene:Dexi3A01G0014370 transcript:Dexi3A01G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEAAAVSTCMLAGVSSTGSGQNKRTPAMVTEAARRRPTGCAGTHPPLPPRAAAMRRSSEVDGDGGARELEPARDGGAGEAWRWGTNT >Dexi1B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:1B:6747457:6748987:1 gene:Dexi1B01G0008060 transcript:Dexi1B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTMVTKSKESWSLQLPELSLPSWKPRGSKAELEFPRRAMFASIGLSSCPGPIDHGAKARPADNCDIARQLGAAVSGEHAAGEAEDEASKKKKKGGGGKKPLLMGGGLRKVRVKIANPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSVGADSMAGVFQWIMQNEGWTGLFRGNAVNVLRVAPSKAIEHFTYDTAKKFLTPKADEPPKIPIPTPLVAGALAGVASTLCTYPMELIKTRVTIEKDVYDNVAHAFVKILREEGPSELYRGLTPSLIGVVPYAACNFYAYETLKKLYRRATGRRPGADVGAAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRHVLHAMYCILKREGAAGLYRGLGPSCIKLMPAAGISFMCYEACKKILVDKEDEEEEEEEKEEKDGVAADGEKAKVA >Dexi1A01G0022640.1:cds pep primary_assembly:Fonio_CM05836:1A:29269476:29272446:-1 gene:Dexi1A01G0022640 transcript:Dexi1A01G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAADTATPPPPPSAEPTAASAPAPAPDQKVSPLPQPDAPAPAPAPAPAPAAAPKKRKLEEVGFHTSDYYKIRAVVADLRVRFVQVYQITDFRNTDAAREILREIKGAMELSKKMRFRLGATSEPVKPTEKPSAGLVKDEPVKIAEKPSAGPVTEEPVKPAEKPSAGPVKDEPVKPAEKPSATPVKDEPVKPTEPAPAGESNQVPGVGQTTISPNNAGADSAVKNDNSDAQQ >Dexi7A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:7A:21687228:21689802:1 gene:Dexi7A01G0011010 transcript:Dexi7A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFLLRRAAGALRRQTPRSRPFIPSSDVGRLASSRGTLERLAPPPCSPSLSTKMLHPSVGCKVIIFKPTIALDKSKYKEKLRAVRRYIPRLEQELEDLHAQMKLARLAGEGEGVGRYVASSSHNASSLEARKESPISVRSKNVADLLSESVEESKRLEDESCEVEDGSASESISFSESEDLSDIFESESEEEEDNKDRPLYLDRLDKFPSENNDNEPDDFEEHLRTIASLSDKTDSPSKELKLSELDEIDKIFLRASSLLKKR >Dexi2A01G0024510.1:cds pep primary_assembly:Fonio_CM05836:2A:36260367:36261881:-1 gene:Dexi2A01G0024510 transcript:Dexi2A01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSQAINIKSVSFSGLRKDNVAFRLQPGPQRFAVCCPAKQETVDKVCDIVRKPLALADGTEVCGTSKFQDLGADSLDTVEIVMGLQEAFGISVESSAQSIATMEDAANLIDELVARKSS >DexiUA01G0015310.1:cds pep primary_assembly:Fonio_CM05836:UA:32115559:32122019:-1 gene:DexiUA01G0015310 transcript:DexiUA01G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLRGKMQEVIGRKRFLLILDDVWNEEQQKWEDDLKPLRIPSLKSLHIYGCPDLQRRCREGGEYFDLVSPIPDKHIPPAPQPKTKKPAKRFFPLCGGGGSSQNKEVSEEGRSRHGFFAVLDLMGAAVSSGGHPSHPEHNARFRRVAGGDHRAPPSRCRMCNLTVELGAPAYHCSEPKPDGCAVVLHEACYRRPKKMTHSAHPQHRLTLAGDALALAAGVSCSLCACPFGTPPVAYSCTRRRCAGGFRVHPRCCDLPKSISVPPELHDHGDLVLRPPTSSSGNGGEQRRRRCLSCSRVAGTISGQQAAAPWSYQCAKCVDIEYCLACLLGDDDAANMRCCCFQCCTVDPAAVQCLGNLAGVFFCAFLGGMGCPTAIPPTQPSPGGIEHHLQIISWLCPTDSASSSLHDDCICMTPSTTTTASSGDLVIGVAPEHYIYTALATYTAETTRNPDSRRRRMYVRGMHAWMEFDIELLGRVVFNMPSSLARSYVISSQARGPTPPPPPPSIVDSSSPNNTRDLYIDQLKQLAGPWRSPTAAPCSICAGALIQGRLRLRPPRLPRLLGAPELHART >Dexi8A01G0011920.1:cds pep primary_assembly:Fonio_CM05836:8A:20931776:20932648:-1 gene:Dexi8A01G0011920 transcript:Dexi8A01G0011920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSRRELAGRRPLRQQRRGSHLLLVPLLLLLLLAVADHHPFAAASIFGSITASECSRTCESEHCTAPLMRYGKYCGVRYTGCPGESPCDALDACCMLHDACVQATDDDYFNMWCNQSLLDCVATARPTAAAATFEGNQCNVTEVADEITSVVEAAVYAREILHHKP >Dexi3A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:3A:3672192:3674096:-1 gene:Dexi3A01G0005650 transcript:Dexi3A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQLTLDVDSLNIVTGSLFKAKKADEAFRLWVEMIEVGVKPHMYSSFVIGLCECGKYDLAYDVLQGLTRERVPIEAMAYNMCLRKLGKTSEVIVHFKKFRDSGMHLDKGEMQKAQQEFVKMLEEDIKPDIVTCNVLATGFGRSGLVMEKGLTPDVYAYTVLITGYCSHGEITKAEVLFQEMKDKLMTPDVYAYRALTYGYLSQGEIVKAEDLFQEMSQMEDKGMSSDVLTGSVSQQKTLKS >Dexi1B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:1B:1759444:1759698:1 gene:Dexi1B01G0002110 transcript:Dexi1B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWSSSSLKAKPPSQTPGHQKSSWPEVVGMAATPAVAKIMQDRPDVAVEVLPPGTHLVPGVNPKRVRVFINDHGAVAKTPRVG >Dexi2A01G0020480.1:cds pep primary_assembly:Fonio_CM05836:2A:32646041:32654676:1 gene:Dexi2A01G0020480 transcript:Dexi2A01G0020480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYSETTDSFGGEGATGTESCCNPGLVALRDPPTEFGGEALGIWLKLYQVKAARSIRRGTSRDPLARGELLDRGVYALARAFALRGAPTTRISGKLTLCGQAYGAKQYRMMGIYLQRSWLILLAFATLLSPVYLLAALGAWGQPAELAREAGKGLCVRERLRVRIPLPERLRTIADEELHILNACLVSVRLTDTPDTRRMFYRPDKLFDTRLGPHAMQSGLQQNPDSDQVWHTRLPSKINNTLLCQGMRHGRGATLAAESSAASGGVAPAAPHAVARRRGRGDFESAAGPPFSLSPPFLPLERGAEGGRTPWPACWGGAVYPLTSRTGRGAAGGTGARVEEEVVQVTAADDGGVEEVAHEFEIERKENGEEERDLGQLMTPTSTPWTSSPGSSYLWRRARPRSTLRPLASLAPSRRHGPWHRAPGPMNGTRLEDDAWRMVRPYRNFRAETRKSLVVFIVNGGRPGPNRLRWAALGPGLLINPSTNPTTISVSAPALTGAAHADTVSPAPPVAFTTAPSVPDARADYRAGALPPPAYARRRDRRQGIGTTTSWACPLRHSVQPSPQSPNRQIFSAGVYPIPSPSRLS >Dexi1B01G0012500.1:cds pep primary_assembly:Fonio_CM05836:1B:15608615:15608987:1 gene:Dexi1B01G0012500 transcript:Dexi1B01G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCFLLFEECRLTGTEETVRAASKRLTQGLADFNKAISSAKTEEEKTKIRGDQQTATRTMRSYNNILAMTQG >Dexi2A01G0034530.1:cds pep primary_assembly:Fonio_CM05836:2A:44464815:44469097:1 gene:Dexi2A01G0034530 transcript:Dexi2A01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGKYEMGRALGEGHFGKVKLARDAETGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGKLTEKEGRKLFQQLIDAIAYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNKGYDGSMADIWSCGVILYVMLTGNLPFDDQNVVVLYQKILKGNAHIPKWLSQGAQDILRKILDPNPITRIDVDGIRAHDWFKQGYAPAVPYHDDEDIGMDGGSLNITEHNDIQDKIAINQINAFQLIGMSSCLDLSGFFEKEDASERKIRFASNHSPDYLFEKIESIVRKMGFQVHKSNGKLKVIQDCKEPANSRGQESLLISAEVFEINESLYVVELKKSSGDCSLYRKLCETLSEDLGICKSQQFLKQDSIRQEIGRHNSSF >Dexi6A01G0018190.1:cds pep primary_assembly:Fonio_CM05836:6A:25969664:25973803:1 gene:Dexi6A01G0018190 transcript:Dexi6A01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFGSPRRNSKPTVRYVFSSPPPLAFAAVSAPPPQRLNAMETRDPSRAEGSVKKRSQQQPQAAAANSSSSRLSPTQENPSKRRNQNEITEEDPPTAASALAHAMSHPGAAAAAAVATDPPPPSPSPPPALPPVVEWPEGGVLTRDWVAALASTLDWCSRHLPADRLPTLLPSALVQRLVLAAAAILHREPNLVRVDPRPGQSVVVVGDLHGQLHDVIFLLRDAGFPSEERIFVFNGDYVDRGAWGLESLLLLLAWKLIIRSHEGPDARDKRHDLLGMDKGYTIDHEVECGKLITLFSAPDYPQFQASEERYNNCGAYIVLSSPDFATPVFHSFEAVKPRPPAHPFYDFEDVIDSDEELNLDAMDSGTSSQ >Dexi7A01G0016180.1:cds pep primary_assembly:Fonio_CM05836:7A:26091323:26091604:1 gene:Dexi7A01G0016180 transcript:Dexi7A01G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELPPMYTSGYCSSWDARFRRPFVTVAGKSRSMVLAPTVVIHGERLVRLMGSGPAFPPEQATNTPICMAPNDAMARLSR >Dexi1A01G0007000.1:cds pep primary_assembly:Fonio_CM05836:1A:5366261:5370529:-1 gene:Dexi1A01G0007000 transcript:Dexi1A01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTISRIRLENFMCHSSLHIELGEHVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSYAAIVVDISNHGEDAFKPEVYGNVIILERRITESSSSTVLKDQRGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFTLIDCIIFLAIPSQFFFKATLLQQVNDMLGSIREKLTSADAIVEELENSIGPVQKDLDEIQRKIKNMEHIEEITQDVGNLKKKLAWAWVYDVVKKIEQHPCKPTTD >DexiUA01G0004370.1:cds pep primary_assembly:Fonio_CM05836:UA:8503202:8504587:-1 gene:DexiUA01G0004370 transcript:DexiUA01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRANGHNGGRKKPSPFPTRCPVTELTKPTASPPPPRVPGWMEPPPPPPPAMTNAAWLILDRFIHHSRRRRGVIGGDATTSSLAKDCAGRHVRVSLRIVDPPAVSRLHLHWPARPQIALPFTAPAAIAAHRNSILFRMTVPFDDYRWWHDTPSFPTEHFVYSASLSSSSPPSLISLPPCFYGGGIDPVLDKAVRQHRSQRQRIIFDEDMGILCHGGDNGDFTVAHLACRRKKLQLCLLHHLPSTGGVAMEWSLQKLQIPLDMTIDLSSWRNDVVIPIGRSLCWVDYYNGMLLVDVLAVSAQSKPNPQHLHGIRLPAQALKSRRLYDDAGEPDPFRHVGVTDSGIIKLVCVFADYHPHSDDDFKIITWTLVDISKGSWIKDVDTIMVADEFFGLYSSATQSCLPRVKPTFPVMSLVDPDVICFLLKKERNNLTLMVEVNMRSKVLQSSAL >Dexi4B01G0005970.1:cds pep primary_assembly:Fonio_CM05836:4B:4192294:4192605:1 gene:Dexi4B01G0005970 transcript:Dexi4B01G0005970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRRSAVTQAGRWEKAWRLAKAEMETTRPRREAAAMRDVAAGNGGPRGGLLSRSHRTTTVGERAVHTPSRPRTISTSTDVDGFSGSAAAATEARRVRRER >Dexi4B01G0014740.1:cds pep primary_assembly:Fonio_CM05836:4B:15976742:15988919:1 gene:Dexi4B01G0014740 transcript:Dexi4B01G0014740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAGALRRLLPTHLHHPAARPAPRQPPPPRPADEGPLPDPYALLVHDPIDLLSSLWRRAFAHPLPSPFPNLSGYASRLDLWLLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLVHDPIDLLSSLWRRAFAHPLPSPFPNLSGYASRLDLWLLSYQRACAHATGTFPPRHAVPLPTLHCLLRLRAAALRRHPAFPWGASTHLLLRSPTDPPSTVPISRRKLEARFADAPPPFQDRVVQELLLLLLEPVFEPCFSPKSHAFRPGRGPHSAIRSVRSRFAAYLWFVSADLTAVVDGLSPETILSCVQKAVSDRKVLSLLKSALNAPVRPGSVPPPEKVLDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRLFFGFAPEQACHVPNYGHCGIISPLLANVCLNELDWWMEEKINEYFCLSKHDSIWKEAGDEGCHNPAWPEFVPSAGKEKTRKMDFLRFGSHVLIGIRGPREDAVELRGQLMEFCERTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGVGTLLSVTASLQRCIRHFRKLELVKGDKDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAASFLAISSGQAAAIGSDSARLGGVEMVAVAADAPIRGADAVPAEKSEAAAAAAAAKGGDGEAGKEEVRYYESDMSKLQDLLSKLNPSAEEFVPLSRRRGDGGARRLSADAPVFMSPAIDYYARHNQLPSPLPQQQPMHVLQLVGGVGGGGMGGAGGMDSSSDGSANGQPNRRVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARTALTLGGTVLGYYPVKVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVAEDEVRQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQGEPVKDTSPPSLAPCDVILSLALSEAVVQGSSKFLGSKAFSRAALETAALRISNCR >Dexi1B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:1B:11520685:11526613:-1 gene:Dexi1B01G0011400 transcript:Dexi1B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLAHKSSPLVGEMAEAGHGWWSVNNLRPPFEQQHHPSLFMPSTTTAAPSSSSAVHSFSSLLLSNHYPLPTTSTSPWQHDTSSSHGQQGLSQQDSWSQLIQVGLATNGEERYKEGQMLFPTSICSEAGGSGSYLYSAATASHGSSSSDEIGRLPWGNINQHHGKALQQKAASSPRSSSITSTNSLGSNMLEFSNNNSSSPRESISTASGSAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHSQIEALSSPYVGGSNGGGGGSISSSSKHQQLHEASVNGERHSIFPEDPGQLLHDSALKKRGQTEQDGSCDEDAKKDLRSRGLCLVPVSCTLDVGVDVVAGPADYWAAAVPAFGMGFGG >Dexi4B01G0011870.1:cds pep primary_assembly:Fonio_CM05836:4B:9395105:9396427:-1 gene:Dexi4B01G0011870 transcript:Dexi4B01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRHAHHRRAATPHRYVLAEKSGSPGGGGKNSSSPAASSSNASSPASAPPAEPSSKRHRSHRHRVRNWIIGFVVGSVAGVISGLALSVLFRLALNCIRGRYRTRSGTVIFTPKLIRRAEHLAFLEKDDGLASLAVIGRGGCGEVFKAQLPAEKEGDEPRFIAIKKIKKQSSDTPNNLSDEESRQLDKWSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHNALKGGAGAGEDGTSSGAVVGLTWPERLRVAVGVAEGLEYLHVSHKPQIIHRDLKPANILLDDDLEPRIADFGLAKAMPETHTHVTASNLAGTWGYIAPEYHQTLKFTAKCDVYSFGVILAVLATGKEPSDEFFKQVDEVGIVRWLRRVMQAGDHAEAIDPAIAGAGYDEQIMLVLRIAVFCTADDPKERPAAKDVRCMLSQIKT >Dexi1A01G0027260.1:cds pep primary_assembly:Fonio_CM05836:1A:33089246:33093008:1 gene:Dexi1A01G0027260 transcript:Dexi1A01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEKCGGDAAGEAGSDLYAVLGLKKECSDAELKVAYRKLAMRWHPDKCSSSNSVKHMEEAKEKFQEIQSAYSVLSDANKRFLYDVGVYNDEDNEDSLQGMSDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQSDLDSGFCNGPTKATLSFHIVLQMSDTKQAPKARGQNTSRRRNGRKQKLSSKHDVSSEDDTAGSQLQQHVAV >Dexi9A01G0042120.1:cds pep primary_assembly:Fonio_CM05836:9A:45672072:45673127:-1 gene:Dexi9A01G0042120 transcript:Dexi9A01G0042120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIPQPEAAMATPEQSSCLTLRLFYLKVSRCEVDESMVDSLTLTHTPLTPDTVLDVNHHKPIITTSNGNGKGSSSVSCSLRRDRVDARSEEATFVSTATVRMSGSVRFEVHTKDERLLVGILETHDAAEGKAGNKSWVMKCQVATQRGSGLLRCGAETEAKPPAVEVYVAGVFRGTPIVFTKAMQLRFRRPRQVKAFMEPIPECGELEVDMKQTPPKHHDPEESEYRCYKPGLDDEDGLYMGSVGLDDEHEGYSELSWFTAGVRVGVGIGLGICLGVGLGAGLLVRSYQSTSKNLKRRLISNLL >Dexi9A01G0012610.1:cds pep primary_assembly:Fonio_CM05836:9A:8043453:8044160:1 gene:Dexi9A01G0012610 transcript:Dexi9A01G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNKALTVLSSILLLAPLLMATDPDPVQDFCVADLTGTPSVNGYPCQPLSSAGDEFLFSSKLATGADPTANPNGSNATRLDVKGWPAVNTLGMSIARVDMSPGGINPPHVHPRGTELGIVIRGELLVGVVGSQESGNRYYSKVVRAGETFVVPRGLVHFQLNVGEEAATLIVSFNSQNPGIVLAPDTLFGSSPAIPTPVLVKALRVDAEVVELLKSKFNTSTVGIDEIRCAHA >Dexi3A01G0015830.1:cds pep primary_assembly:Fonio_CM05836:3A:11786147:11789039:1 gene:Dexi3A01G0015830 transcript:Dexi3A01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAATAKPIALPPALIRGGGCCCWTARPVTAGVARLRVSASAASSDVPDFLSSNWLETRKRKPFGPRLNFTAEEAVEYQLESLKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRHFDLGQFERFRRLFHHSAYRVLLGHKEREILSSLWVEENRFKQRIWVQGARPEEEEIFQFTMVQRVGGSWDGYWLTESLINDGDAFSGGIAY >Dexi2B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:2B:2734117:2739476:1 gene:Dexi2B01G0003140 transcript:Dexi2B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHSLLFYRAMDVTNVQQGVGSMDARGGVPNLFHALGPALLISMGYIDLGKWVAAVEAGSRFGFDLVLLALIFNFTAIICQYLAACIGTVTGKNLAEICHQEYSKPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLVTGVCFATVVPNLLPYAISHLGNKMAGTVNACIAGFALLCFVLGLLFSQPQIPLTVNVMFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQGQKRSSAVAAESTNTLLLTFQDVVELMSQIFVNPLASTIFLVVLLFSSHIISLTSVIGSQVISQHLFGINIPLSGHHLLLKGFAIVPTLYWAKVAGAEGIYQLLIVCQIIQAMLLPSSVIPLFRVASSRSIMGAHRVSLHLEILAFLAFLLMLFSNVIFMAEMLFGDSGWMNNLKGYTGSPVVLPYTVFILIGCISVVFSLYLAVTPLKSGSTETESQEWPVNSQRELLFTPQGREEAKVDNVTYEEDQRSDVDPSPRDLVDNHPQSAMEYIDTSDTAVESDHDSQQSTAYASTVPETCPAPSYTPEESKSVVAVNWPEPLEKVSTAPVIEESTVESVDSRSTIERDVLVETDVPADKDKEDLNVLEFEKSVVGSTLSCVSDDGPPSFIFSRGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASTKKFDVLLGLDLRTPSSAARTDKQAIEIPKSPMVRDAMRGPAFLSNQVDLMSPKNEMSNLDLTYGGLQRGTSIGPSTWSQGMQLPNTQLQSSSNSLLEQSARLSSNFGAASYSDNNQFYQPATIHGYQLSSYLKQMNANRNPYSSMPLDPQRLPKSSASAAPTYVDSMMHSRNQNLLASLGATPSQIAATSRIGSMMTERSYYDPSIVDGSENAGSPAYSKKYHSSPDISAIIAASRTALLNEAKLGGAIGPQSYLSRMASERSQYANSIARPAAPLAFDELSPPKLQSDIFSAQSSMNPSARSLWAKQPFEQLFGMSSAELSKGDFNLSGRSSGLTKDDFSYKESEMKLLQSLRFCIMKLLKLEGSGCLFKQSGGCDEDLIDRVAAAERLLLQGTTENQLLHGDLQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLDMSKVESRPELWGKYTYVLNRLQGILDPAFSKPRNDLTICACLQKDIRMLNGPPHSGLSAMGPIPMHIRGTFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >Dexi8B01G0000880.1:cds pep primary_assembly:Fonio_CM05836:8B:610700:611613:-1 gene:Dexi8B01G0000880 transcript:Dexi8B01G0000880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHSNGYLPQSTPSGHPSSLSDHRSAMKEIARGQSLVTQLRAIVLPALQADERCELVAHMFQNILDCSSKAMTELQLHHQSESQADEALVDDKKRVRRISSDESIKEGATGNPHHQHKRRSYYRCTYRQEQDCKATKTVQQQDGSAGQQWCRLKH >Dexi9B01G0048880.1:cds pep primary_assembly:Fonio_CM05836:9B:47752734:47757192:-1 gene:Dexi9B01G0048880 transcript:Dexi9B01G0048880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEIRRPLLLVFLLVSKPAEARGRSQAPPPPGSRRGLLLRPSPATASRRAEAEGDETGGAEVDWVSDSDDSDKFEWDSDGGEDAGASSSALASRNIDAPGPSTRVGNGNGNGNAWPSAASVQEYVDMGFPEEMVRKAMKDNGDDGADALVELLLTYQVIGNDASVNNGSASSRVPQVAEDSDDDDILQNWDDDNPGERNRGPASDESGDEDFLHEMSLKDEKVDYLVNIGFPEDEVTMAITRCGQDASISVLVDSIYASQTAGVGYCGNSSDHEDSSYGGRRGRPMEGNKRKRK >Dexi3B01G0016650.1:cds pep primary_assembly:Fonio_CM05836:3B:12149019:12149361:-1 gene:Dexi3B01G0016650 transcript:Dexi3B01G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDHHHHHHDHSHRDGDGHAAAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLASRTFADRAFTVGIGGPVGTG >Dexi2B01G0015840.1:cds pep primary_assembly:Fonio_CM05836:2B:25931508:25932591:-1 gene:Dexi2B01G0015840 transcript:Dexi2B01G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVDDGAASSSSSSSSSSSLLSGAEEDAFEDTLRGSGARAPPPADADDAPPPIPQRLWAAVRGGGGGGAPTRLRHAFENAAPDLVAWTRRGGAPRALLVVSVGSVALAALSGLLIVVVFVAAAATNAVAFSVMVSTTAAGGFLAVLLALLAAAYACALLAAVVVISATTIATVIAITIATVN >Dexi8B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:8B:20067506:20070456:-1 gene:Dexi8B01G0011200 transcript:Dexi8B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGAGNGVAMAAAAPAAAWNFAPNEALLGLTAHSVRGALGRVKAGMVADGGGGGGARPVIPMGQGDPSLFPCFRTAPEAVDAVAGALRSGEHNCYSSCVGLEPARRSIAQHLSNDLPYELSPDDVYLTNGCAQAIEIICSVLARPGANILVPRPGYLFYEARAIFNGMEARYFDLLPEKDWEVDINGVQAIADKNTVAMVIVNPGNPCGNVYSYEHLAKASSNRLVAETARNLGIFVITDEVVDSIKSYLDISSDPPTFVQGAIPNLLKNTKDEFFNKTIKILRETADICWEKLKGINAITCPSKPEGSMFVMVKLDLTCLPDIKDDMDFCCRLAKEELVRHLILIRHGVTKQSSSISGNIYKLFIDSFPCFTL >Dexi2B01G0031280.1:cds pep primary_assembly:Fonio_CM05836:2B:39433445:39434446:-1 gene:Dexi2B01G0031280 transcript:Dexi2B01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNDEIICTSTNVASQTCKKVVNKDIEKIPEATPAPPPVEKEVTKKTYASILDLFCFGFVEFETEQSMQAAIKQLK >Dexi5B01G0016060.1:cds pep primary_assembly:Fonio_CM05836:5B:13565694:13566486:-1 gene:Dexi5B01G0016060 transcript:Dexi5B01G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPSPRSLPRDALYEILLRLPAKDLCRLRAVCQEWRSLLSDPRFAAAHAVNHPGPLIVTGYDYEWPKHGIIGDIVDISGRVVMRVPGQEGVGRVISIQLDLICTKKWMTRDYQLLNPVTGAVCALPDGFAKEHAWKRGIFDHEAFLSFGKTRLTGECKVLRILDNLSHINPRQLCEIFMLGGKDSQWRKKKAPGNIVYLGIGKSVVMGGAKSGARLSEDLQAALWMMIPVSSSIGHTSHWLP >Dexi1A01G0031070.1:cds pep primary_assembly:Fonio_CM05836:1A:36219300:36224144:1 gene:Dexi1A01G0031070 transcript:Dexi1A01G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLVDGEAPVADGGQYDYDLFVIGAGSGGVRGSRTAASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGASFRGEFEDSKNFGWEINGDINFNWKTLLEHKTKEIVRLNGVYQRIITNAGVTMIEGAGSLVDAHTVEVSQPNGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRKELPLRGFDDEMRAVVAGNLEGRGIKLHPGTNLTELSKTADGIKVVTDKGEELIADVVLFATGRKPNSERLNLQAAGVEVDQIGAIKVDDYSRTSAPSVWAVGDVTNRINLTPVALMEATCFSKTVFGGQQVKPDYRDVPCAVFSIPPLSVVGLSEQQAREEAKGDILVFTSSFNPMKNSISKRQEKTVMKLVVDAETDRVLGASMCGPDAAEIMQGIAVALKCGATKATFDSTVGIHPSAAEEFVTMRTVTRRVGPVSKPKTSL >Dexi3A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:3A:2128496:2129785:1 gene:Dexi3A01G0003280 transcript:Dexi3A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDRRHAAASYAVQILLAFACLFSPAASGLRIPHGDLPDMHRHFRRDQVWYPPAAEVVIVDLGNTNSCISGFVPGKTAVDMFQHCIPSWVAFTDDGAALVGEAAKDHAGTDAIYVIDRRRRMYEEDIVQEAIERAPYKMGTRHSDTPIVQLVKGTGNNGAVKELGFTDVASMVVAQLKNKAEEYLGRPVEYAVITDAVSEPIAIAVAYGIPKRLREGGNALVVHVGGGTADVSVVTLMGGSLGVLAYWDEPFLGGDDFDQRIVDYFVELVKAKHGKDVREDRIALAKLRAACERAKKALSSQDRVQVTVESLFDGVDSSEPLSRSKFEELNDETFGRVVALIRRVMLQAEEKRIIMQLLQEKRGVMLDARQKKRTNTKISEIILVGGSTMIPKLLTLVKDYFDGMEPMKQDEAAALGALVHAYSSV >Dexi5B01G0037130.1:cds pep primary_assembly:Fonio_CM05836:5B:36663286:36667417:-1 gene:Dexi5B01G0037130 transcript:Dexi5B01G0037130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTARGGGGGGGGGGGGAGMEEKGGEAAGLGFLGLDRMRLLLPLPMPEKLSARMLRTHFPTKFLNWRWRWVRDRWHKVLPVWVLFWVLFSGGIFWFMTSQAVEKRRESLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQRTFARYAERTAFERPLTSGVAYAVRVTHAEREQFERQQGWSIKKMYSNKKQSPSPGPGDAEVREQAEEYAPVIFAQDAYKHVISFDMLSGNEDRENVLRARESGKGVLTAPFKLLNNRLGVILTYTVYKTELPPNVSPQERIQAAIGYLGGIFDIEALVDKLLHQLAGKQSIMFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEMVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQTLIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVAEEVMHCLAVETGTQYANTLSGYPVANRKRSWENFQIFSRELNSSETPFGPVTSDSVSLIISVEDTGAGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFSSKPQVGSTFTFTAVLTRSRCSGNENKSSEFKDINALVVDHRLVRAKVTMYHLRRLGVQTELTTDLDQYIAKLNCGSRIAKLVLIDKETWLKDSHNMPLLVGKLSNKDQPDSPKLFLLENPKSSVRSSSHIFREYKFNVIMKPLRASMLQVSLQRALGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCAESGKKAIKLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNEQIERGEVPPECANVQHWRTPILAMTADVIQATYEECLKFEMDGYVSKPFEGEQLYREVARFFLNQDEVL >Dexi1B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:1B:24165285:24165572:1 gene:Dexi1B01G0017830 transcript:Dexi1B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLVPVRSTFSCLRFSRSSRAFRFATLFCRISSLSSALRAWYARFSDFSRTRCSSGVGFRDTTTAEARRVRGSFHRRPETRPAMTPS >Dexi9B01G0004210.1:cds pep primary_assembly:Fonio_CM05836:9B:2420135:2430236:-1 gene:Dexi9B01G0004210 transcript:Dexi9B01G0004210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPFLPLVRASRPPLPLAAPAAPLRCRRLRSGARIAQSPGRRGARLPVSAVATETARSTEDAPSPSPSGQERFDWLDQWYPLAPMCDLDPRAPHGKTVLGLSVVAWYDRSGAGSGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHKNTKACVASYPCVVQNNILWFYPRAEPEYKDVLQRKRPPLIPEIDDPEFVTVYGIRDLPYGYDILVENLFDPAHVPYAHKGIMRGIRKKEDPGRYGNKKAPRLLLVFFCIPVAPGRSRVIWAFPRNVGVWLHKITPRWLYHVGQNLILDSDIFLLHVEERKFAAAGLDNWQKVCYVPTSSDNMVIAFRNWFRKFCKNRIGWATQQVDQLPPTPTKDQLMERYWSHVAQCTSCKAALKAMKALEVALQVASVAVVGFLAIANGTAVTSTVQRTVVVSTAVLCFAASRWLANFMEKNFYFQDYIHAYK >Dexi7B01G0010700.1:cds pep primary_assembly:Fonio_CM05836:7B:17948902:17949702:1 gene:Dexi7B01G0010700 transcript:Dexi7B01G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRPFSRHDGFFASLQRVEDRLASEQHQDPPPRPTATAQQPEPSPLSDTMTTGSPLILFDPALPSAAGSRGSSGPALDFLTDHTEQDQQRVHQDGDDDDGGGVEEDIARLMALLGLSPPTDGDGSHDDAEEDEAGGCDCSGADGFMAKVVGVAGPKCDAEKRRLDAWIRHYHRGEGVGGCREPARLAHLLLARASSDTAAVEFPVTVKSFLERDAPRESNE >Dexi8A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:8A:772104:772754:-1 gene:Dexi8A01G0001110 transcript:Dexi8A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNAMAPEPAIGGTGEEAEVLTVWRKSLLFNCDGFTVFDAKGNLAFRVDCYGSSWRRRAEVVLMDVAGKPLLTVRRRRISLSEQWVIYDGDATEPERKPLLSVRRHVSLRASSKKALAYVTPLGSAAERSSSAAYVVEGSYGHRACAVRDARGDAVAEVRRKESVGDDVFRLVAETRLGAPLAMGLVIALDEMFAGRGGSARSLLRRTWSAA >Dexi5A01G0030370.1:cds pep primary_assembly:Fonio_CM05836:5A:33342094:33352541:-1 gene:Dexi5A01G0030370 transcript:Dexi5A01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAIPLLLLLLSTQGVAPVLGFKRRDFPDDFVFGSATSAYQDDVRLVAETNLEAYRFSISWSRLIPNGRGAVNPKGLEYYNNLIDELLRHGVQVHVMIYQLDLPQVLEDEYGGWLNPRIVEDFTAYAGVCFKEFGDRVSYWTTLDEANVAAVGSYDIGQIPPGRCSDPFGVTKCYSTRNGSLHDTDRVDYMKNHIRSTLTALRNGANVKGYFAWCFMDVFEYLTGFMSQYGLYRVDFEDEALPRQARLSARWYSEFLENKEIQIREVVNDAGSHARQ >Dexi7A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:7A:24479634:24481758:-1 gene:Dexi7A01G0014510 transcript:Dexi7A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAIINAVLFPALAVVLALAVFYFVRRRRRRRRRSVLPSHGGGARADRLQAAGGSGGYDVAGGEEALVRFPGGEALTVAAILEAPGEVVAKSAHSTLYRAGLSAGEAVALLRFVRPACAAGAEDAAAAARVLGAVRHPNLVPIRALYIGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIIRKLSIGIVKGLDYLHTASQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNSAAAQEMLETSAMQGYKAPELIKMRDVTRESDIYSLGVIMLEMLAQKEPVNDKPPNARDIHLPASFKDLVLERKISEAFSSELIKQSKNSGKEENLNAYFELATACCNPSPSLRPDTKKILKRLEDIAR >Dexi5B01G0032570.1:cds pep primary_assembly:Fonio_CM05836:5B:33174179:33176926:1 gene:Dexi5B01G0032570 transcript:Dexi5B01G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFVLNTGAKIPSVGLGTWQSKPDVVGDSVYAAVKAGYRHIDCARAYGNEKEVGLALQKLFEEGIVKREDLFITSKLWHDHHDPEDVPESLDKSLNELQLEYLDLYLAYSPLGSPGTPWFNSNVLKEPVITSIADKLGKTPAQVALRWNIQMGHSVLPKSLNEQRIKQNLDVYDWSIPDELLPKFSEITQVRLCRGDFTVHPRSVYKTPEQLWDGEI >Dexi9A01G0030540.1:cds pep primary_assembly:Fonio_CM05836:9A:35541826:35546570:-1 gene:Dexi9A01G0030540 transcript:Dexi9A01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSASPAAATAAAMAGGGGQPLVVTLNCLEDPSLEQNALSGAAAVEHAPLSALSAGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSSSSPAAGWLGSVQPLCRGMRRCRGLVLGIIGVNAAARCLATRSLAFRMSVLYFDPLYEVREMPNVLILPRSADYSEEVWMEIREKAIAILQSFLYDGVVPNNVISDEDEEISEMGCEDDQLGKQEKELALQICDGEQQTEESQLTTEYDKRRAISQPEEPQASAQSHSIGSRSEGRRSRSGKKGKKRPARRRSQQKMDELATVEGGSNYSSRRDDDNQVLSSSSRFASPEDSKNKQKSSAESPMEIISENKLSAGPGRKLPEKLKEGFVIALKTGDNAGFYVSRERVAGGGWYLDVIPNATKRDPAAQFLVTFKNKDTIGLRSFVAGGKLLQASNKMDFVFANHSFDVCESWMLEGSLSECCKLVNHKNSSTVLEVYFEILGAPSEEDGVIRWLD >Dexi8A01G0002990.1:cds pep primary_assembly:Fonio_CM05836:8A:2055238:2056185:1 gene:Dexi8A01G0002990 transcript:Dexi8A01G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSIRDLASCVSDGAVRVACTTPASTLVASSAASSSSSPATLSVTTTYHARTSPPLLFRLTWAHSPIGPPTLSFSAGPTAASPAILLRRRKGSRSLPSDSDDGEQRHPPLALFWDLTAAKYAPAASALSSPEPVSGFYLVAVANAEVVLAVGDLAGEFVKAKFEGQISKAPFAAVARADRVVAAPNAMHAARVRFAEGAPEHEVTVGCATTASGAGGEELWVSVDGKRAVHARRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFRDPPGCAVVMLRARSALESRLWLEEEVAAPVFALVVQAYKTPP >Dexi1A01G0007560.1:cds pep primary_assembly:Fonio_CM05836:1A:5834306:5836819:1 gene:Dexi1A01G0007560 transcript:Dexi1A01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEVLLQGLSGVSKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQPTPAWTIRHVGGAMRGAGAEQISVLVRTITESKASKNVLHYFYTLGYKLDHELLKIGFAFRFHRGAQITVTVTSANKMPRLHATDEAVPVTPGIQLVEITAPAAADNYNDVVSSVTAFCEYLAPLLHLSKPGHSTGIVATAGAAAASLMSSGGGKTL >Dexi4A01G0012800.1:cds pep primary_assembly:Fonio_CM05836:4A:11640928:11642414:1 gene:Dexi4A01G0012800 transcript:Dexi4A01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNIHGAHAIRERPLKVVQQAGQQQLNSDHGERVSGTTPPATTEWQHLVVWIFDVNVAATAILEPLRRVLQWVLPDLRVTAHGESVDEHACPCRDVVATERARRHGLPLHEQWHGRVEPEGLLDRSAHVVELAEVGLLHVALAADDAPHLVLAPGCRTSSAITRSGVVNVASVPPMKMSCETQPPKHLTWWFDDPHNPAALWHIGAGALKPAAETCDGADERLELREAVGDAEPAEEFVHILDHGAEPVTLTVLKPAAEGDAAEHVVGEVEETLAEVDRRRRRRVATEVREEAADLLGTDAGVGLDAAGREELGDEHPPRHAVGPDGAYVTSEQPNERTRPERRPGRDESAASWVVSAARATSGLEITTARTLPRRSPSSGARMRRESSASAWWGMSESRCRWPITGHPGGDGGSRCGEDLLRLRVV >Dexi2B01G0026000.1:cds pep primary_assembly:Fonio_CM05836:2B:35161541:35171265:1 gene:Dexi2B01G0026000 transcript:Dexi2B01G0026000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFDYPDFPELSQPPPRSAPLPTAVSTAASSSSWPAPPPPPPDAASPEPDLAPQDVPTPPASSSSPSPRSASKSRSSAAVDGLASGVAALSFEEPVGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKSENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKASVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQSVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYPGDSSHPTWQSVGHVIKLTAQEEVALELRASQGVPTELSVGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKSLKRSTEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMHGANFGAGASPAADKRSGRGKGQSFVPYGPPNGVHKPGVHPAGYAVPRMPFPPFPGAPHSQPYAIPTRGMHGPIGAVPPVPQPGSRNFGAPRSNTGGPIGGHLAHSQNTQQAMGGMGSNFNYNMENPTSQPSGAAQMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVPYNIADFSTQDHMAHGSHGMFTQAAYNDPSQDESSQMHYGMASAGPLQSQSMMNPMYTQSYAHYNTQPQSLQPPPQ >Dexi2A01G0035560.1:cds pep primary_assembly:Fonio_CM05836:2A:45171452:45171676:1 gene:Dexi2A01G0035560 transcript:Dexi2A01G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGRESGGAWGSEATVSSEPEGHLGYDPSTETRQRRLNGGGRSGTEAGSGRGGGGAFLRSRNRRGKGREAAP >Dexi9B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:9B:1980331:1982147:1 gene:Dexi9B01G0003450 transcript:Dexi9B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEERKGETVLVTGASGFIGSTLVRRLLDRGYTVHAGVLNPDDKAETDHLLALAAGAGEGRLRIFRCDLLDGAVLLDTTRGCSGVFHLASPCTIDPVTDPQRQLIVPAVEGTLNVLRAAMEAGGVRRVVLTSSNSAIIPSPGWPAGEVRDERCWTDIDYCEKNGLWYSVSKTLAEKAAWKFAEEKVVDVVVVNPGTVLGPITPPTINSSMSVLLRLLQGCTEEYMDIFMGAVHVEDVALAHILVFENPSASGRHLCIQSISHWSDFAAKVAELYPNYKVPKFPKDTQPGLVRAEVGSKKLIALGLQISPMEKIIRDAVESLRIRGYIS >DexiUA01G0001250.1:cds pep primary_assembly:Fonio_CM05836:UA:3667326:3670929:-1 gene:DexiUA01G0001250 transcript:DexiUA01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAEEKSPPPHVVCVPYPAQGHITPMLKMAKLLHARGFHVTFVNTEFNYRRLLRSRGAGALDGIPPRFRFATIPDGLPPSDADATQDVPALCYSTMTTCLPHLLSLLSKLNHGDSGAPPVTCLVADAVMSFAYDAAREIGVPCAALWTASACGLVAYRHYRHLVEWGLVPFKDDAQVVDDAYLDTMVHGIRGLCDGMRLRDFPSFIRTVDRGDIMLNFFIHEAGRLSLPNAVMVNTFDDLEEVALDALRVTLPATPLYTVGPLLLHERRVHAEGGELDGLGSNLWTEQDGLLEWLDGHAPGSVVYVNYGSITVMSNEQLVEFAWGLAGSGYGFIWNIRPDLVKGDSAVLPPEFMSSVEGRAKLTQWCPQEAVLGHEAVGLFLTHSGWNSTIESISSGVPMLSWPFFAEQQTNCRYKCTEWGVGMEIGGQVRRAELAEMIREAMGGDKGREMHRRATEWKESAIRATLSGGTAERNLDKVVNEVLLKKKDRKQDGLLEWLDGHAPRSVVYVNYGSITVMSNEQLVEFAWGIAGSGYGFIWNIRPDLVKGDSAVLPLEFMSSVEGRAKLTQWCPQEVVLGHEAVGLFLTHSGWNSTIESIGSGVPMLSCPFFAEQQTNCRYKCTEWGVRMEIGGEVRRAKLAEMIREAMGGEKGREMHQRATEWKAKAIGATLSGGTAERNLDKVVNEVLLNKKDRSTAHRNSQE >Dexi6B01G0004710.1:cds pep primary_assembly:Fonio_CM05836:6B:4028592:4029176:1 gene:Dexi6B01G0004710 transcript:Dexi6B01G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWGGSGGWSESRGPSPVVPLLVVMALGWVICQETLTGWYDQVTDVEETVTSNALLLVLGAGVLLLAVAVAGSRTEVVLVPLALLVVMFLIQNIMLAALLIVVAAYIAGIYYYRPDRGYGGGFTGDWGGGNGGGAGLGFYILLLLCMVLCAMFSDGGGSWWIPAALLVGCVLCFNLFSGGLFSGGKVWGYEYY >Dexi6B01G0010090.1:cds pep primary_assembly:Fonio_CM05836:6B:16258112:16258426:-1 gene:Dexi6B01G0010090 transcript:Dexi6B01G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAARSGVPWPEPSVRTRSSVLGGLPNSLSGERAAVVVECGPYPDGVVQVNGRAVEQQVEAQLEQPVVHLEPIRILQANLNPNERLLTRNGIEQILAGILAL >Dexi5B01G0005650.1:cds pep primary_assembly:Fonio_CM05836:5B:3826599:3834822:1 gene:Dexi5B01G0005650 transcript:Dexi5B01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLVGNPLGAVRSTFERTAAAAAAESGERDPVAAFRGKDWGACELFRSFLFEEDGLDKVPVLNASNLGLIKPNTLVRYRGMVQDMLGNEYYIGAFKDGSTWRTNKFTDFSPFSMPHPCDSHLWERHLFHCVPAPGQNSWTLDSSSGPDVRRMSGCLATELREKRKRDGDNDDMDVLENGHEESSSHCKKPKEEDVHVSSSSTEMAEGVSEMNGGDHHIPGSSFSCLVKVYDMPDSQVKLNDVIEFIGVYTFDPELAAPSDNPDDIMLDLIEDVTVQLPPSKVPRLHCLVWRKLSPHDFISRPPVVEVDNTKFIVLNSGVGLLVSPAFYPLRLILFFVLVMQPSPSILKGIRQSLLSHLTMVLGNDELAAQCLLLHLLSRPQIHNLPRWDWAPDGSEPMEFRRSTRFEAVAAPALVHGLGGKGWERRGRGWVDVPRVCTALVTGVLQLPQGSHLTFDETLLQTGLLTSKGVENTVLLKNLMESQKVDYDFEYYKLDMATDVQLLTLSEGKSNILPSDLVVPFRPSSVPTISAGSEELESWRWYLATVRSLPQSTEPETYQIIQDEMVNAMRDDRSLGCSELSRWLTMAQITASSFGEKSLSLEHWQMVKELERLRKLRLQ >Dexi3A01G0022610.1:cds pep primary_assembly:Fonio_CM05836:3A:18213939:18214664:-1 gene:Dexi3A01G0022610 transcript:Dexi3A01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRGPLVAISSNTFQAARTSPLRNRRRSNAASAERLGVFPERRTHVSTWSASAAIPAARNACNASRSTPYRTRALLPVEDDQERRSAEASGRNAARRIAAKGRSAGADSARRAAAAKRLGGGRGAADGVKREVASRGGLEEAREREKGALAERRRQREDAAVVGMEELRGRIEVVVVVAVDRRRWRATRRRSGVLGCLADAARRRIWRRGGGGGGRRRAEETEEQDSASDLL >Dexi9B01G0049370.1:cds pep primary_assembly:Fonio_CM05836:9B:48035455:48045895:-1 gene:Dexi9B01G0049370 transcript:Dexi9B01G0049370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSPRPHTFPLAARLFGRDLPPPPSHLVNLNPTPTVTVSSLAATSPLFLTSDPFYQDQQTHSSANAMSTESSSTLSSSSSTLNGGTLSGSLSDSTGAIFIHPFATVTVKSHAPMTLELKGSNFTRWSAYFRTWEPNNLWAQADFCNSVLSMAMEGTTQSPCDLWVAINSLFQANKTPRAIFLSHEFHSMTQGDDYCLRMKTTPPTSSSTLVLNLLRGLNKVYSNTGDHIAATNLSFPYARDQLLKELRLANETKVAVTTALLARSAPSCGSSGCRSSSRGGQQHQHQPRRNNKKSTRSTPAVAARVPTEATRQPVPIGLWVCMSPGGQFWSGFPGRPQSEQIIPSKIRFHYTTVPMAAADDRTQNGTMVAPSRSQDPVSEIASHINAMRSTHLVMVGFDSIPEQWQEDPPQQQAQSILSLTRGV >Dexi9B01G0043760.1:cds pep primary_assembly:Fonio_CM05836:9B:43692501:43694523:-1 gene:Dexi9B01G0043760 transcript:Dexi9B01G0043760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQRLEGEGVFRCRRCRVDSASKNAIISRDFHGRTGRAYLFHHVVNICLGPNEDRHLVTGLHTVNDIYCSCCQQILGWRYEKAYNQSEKYKEGKYILERARMVKDG >Dexi1A01G0030700.1:cds pep primary_assembly:Fonio_CM05836:1A:35887579:35890040:1 gene:Dexi1A01G0030700 transcript:Dexi1A01G0030700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSNQHKAQEVEDPAPPGEGEVLLQVAAAGVNSADTQQRHGRHPPPAGASPYPGLECSGTILALGPNVPSRWAVGDKVKRLAALPCPLVCALLSGGGYAEKVVVPAGQLLPVPEGVSITDAAGLPEVACTVWSTVFMTSHLSPGESFLIAKYLGIKVFVTAGY >Dexi1B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:1B:1966157:1970139:1 gene:Dexi1B01G0002410 transcript:Dexi1B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPEEELEPAIDGITEASFEEEEEDPEEVEPWVPSSDSEPEPNRPSPAVEPPDPSPAAAEPTPRPAQTKVLERKGDGEEATQRWPGWPGMSVFRLVVPADKVGCIIGRGGGIVKRICDETRARVHVLDAERGAANRIVLVSAREVVEAELSPAMNAAIKIFEHITKIEETNSDGTLSSVVPKKCSVRLLIPAAQAVHLIGKEGVTINSIQESTGATIRIIDEDVLLSCESVDEMIVEIHGAYLEVHNGLKSVLGVLRKFLVDHGVLHLFERKNQDIAQPLDSNQFIDDYPLALHQDFWLSDQQSYDLALTYNFGFQVTQTMKIPLPYAEEIIGLQGENIEFIRSVSGAVVVLEEMGDHPDEVLVVIKGGSSQVQSAHQLLQEVLSGNREQPPSRICHPGPRLPSSPHHHHHSGARLLSSPHTGGPTWPSSPHGIAASRDYSPWHCEDGPPRDHRRYPTRHDRRGCYRPY >Dexi9A01G0021350.1:cds pep primary_assembly:Fonio_CM05836:9A:16254184:16255068:-1 gene:Dexi9A01G0021350 transcript:Dexi9A01G0021350.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARCCARAFIPVSKRARPVATYSSSSSTSSSHSSSSSSSASIIGSPAKHGPPHAIEPASEYASGLPESATLRRIPCRDDLLGRGHADTLPLHLHGHHRVGHLSRPLNHCILACNVGRAPRRHVPVCASHLDELRGVFSISLGTRHVEEEQEAPLLLGVELADVDRGGAAVGVDGALVSCPSERRMTLVTAFL >Dexi6A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:6A:21321199:21321869:1 gene:Dexi6A01G0013990 transcript:Dexi6A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTATASAPPPPPPPPAAGAGASASASASGEPHAALILALGHMRLRELLACARACRGLREAVAGDPLLWRRLVVEPPLSNRITDEALLALADRARGTLRSLHLLGCPRVSDAGLLRVVQRNPGVTEE >Dexi2A01G0028610.1:cds pep primary_assembly:Fonio_CM05836:2A:39853832:39854185:-1 gene:Dexi2A01G0028610 transcript:Dexi2A01G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSAGVVCTATAQSTRPSTPHEPQKVSPTDHPRALQLLEDHRPWDALDDTLRRRRAGPSPVRVVTHLAGASRAVRVVTHLADATAADPDDDSPSPATLALPSSSRPARGTAAPA >Dexi6B01G0010840.1:cds pep primary_assembly:Fonio_CM05836:6B:17650512:17655720:1 gene:Dexi6B01G0010840 transcript:Dexi6B01G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAPSRGPASFLTQANALLRKNLCFQKRNLKTNIGITIFPVLLCVILVVLQGVIDSELDKPKYRCGCACVDPGPTAVGAACRRTECGIQYSTLDQVGSCPIPSPTPWPALVQLPQPESRAVMTAGQPFDGLPDPTCRDTGTCPGTVLFTGNNRSLAESILFYGVPFNVMGYRQQAGGTGGGKTNEFVAGYDFLNTNRNGLEINIWYNSTYNNNTGFGSIALLRVPRLVNTASNAYIKFLRGTGVEMLLEYVKEMPKVGTQPKFDLSSLLGPLFFTWIVQLLFPVSVILSYLVYEKQQKLKIMMKMHGLKDGPYWLITYAYFVALSAIYMILLLIFGSLIGLNFFRTNAYSIQIVFYFIYINLQIALAFFVASFFSTVKLATDNHYAAVVGYIYVFGSGLLGNFLLGFFIEDTHFPTTYSFLQMIGLVPPTSGTAYIHGMDIKTDMNSIYSNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGDELLKAVDDSLKSVNLFHSGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNPKELKARYGGTYVLTMTTSSENEQEVEQLVHRLSPNASRIYHISGTQKFELPKQELRIADVFHAVESAKSRFSIYAWGLVDTTLEDVFIKVAKGAQAFSVVA >Dexi7B01G0020270.1:cds pep primary_assembly:Fonio_CM05836:7B:25639653:25643682:1 gene:Dexi7B01G0020270 transcript:Dexi7B01G0020270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRYVTSAPFSAGGCEWFISFYPDGDYTMFRTGHLTSFTSVYLNFVGGPPTGTRVMFVFSLLDDKGQSWGSGMFFEKSVMADVLESCNGCFTIRCDMCIVRLKIENNPIQIPESVLHQDLSRMLNDKEGTDVTFSVDDRFFHAHRYILAARSMVFKAQLFGAMKEAEDAHCIKVEDMEPQAFEGLLHYIYTDALPDDHHTADRITATQHLLVAADRYGLDRLRAMCEARLSGWIDVQSVATILALAEQHQCVELRNHCLRFLGWPGILRAVMKTDGFKHLVASYPSVVTDVLETVVSARIALAAAAANPPRFEGRFAAHRCGRGRPDGYLTAAFRSASLMDARSVTGPPQAIAVTPPPSSLVAGSDDHPGGGGGGCALPDGCLLPKTSSTSLTKSVTAVHDFRVTDYSLLDGMGVGRFVASSAFSAGGRDWTVRFYPDGATVGCLGHVSAFLYYSNRDALPGVRVRFTLNLLDRDGRTPQMTNPYMKHTFSPASDNWGFIKFIEKSKLSPYLHKDCLTIRCVLTVVVESRTVKDEMNSAVVVPPPNLHQDFEKMLKDGEGADVTFIVDGQLFHAHRCVLAYRSPVFSAELFGPMKENATSCIRIDDMEPMIFEALLHYIYTDRLPVSCSDGRNAAMQHLLVAADRYGVERLRLMCESKLSEAIDMETVATTLALAEQHNCPQLRRACIGFMASPNMLGPVMETDGFNHLVASCPLILKEILDKVTCIWSDNQQR >Dexi1B01G0009480.1:cds pep primary_assembly:Fonio_CM05836:1B:8604158:8605855:-1 gene:Dexi1B01G0009480 transcript:Dexi1B01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKDKLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNVEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Dexi1B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:1B:2784708:2794376:1 gene:Dexi1B01G0003510 transcript:Dexi1B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGPAAHLSGQMSGQAAQMNQVGNSGVGVGVGGAEGLQQHQPMQDMAAFTGMDQQFMMLRSGMREKIFEYIGRKQSSAEWRKRLPELAKRLEEILYRKFPNKQNDYYNMTKAPVEPQLQFAIKTLSAQNQQNQQNPQMSRQMASSSGYGTMIPTPGMTQGASGNSRIPYVTDNNSLSSSGSNMVPQNANMGTSMPGSDNFTSNYFGLCSMSNGYQHLNSTITQNSTVNSVQSTMGSVGVQRQLPHMIPTPGFSNQQNVPTNPDYSNGTGYFNGESAVASHMQHQKQFPGNQNSNQIQHIGGHSNSGIHSSMLDNSSAYSLSDGHMNGGIGLHGSNMQLTNRSMAPEAYMNSYGSSPKPLQQQFNQHPQQRISMPKPPGGGLEQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQAFLKTKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVDEVKCGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQEKQDRAAAAGKNIDEIPGAEGLVVRVVSSVDKKLEVKPRFLEIFSEDNYPQEFPYKSKIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKEEIVVELTNLYDHFFIPKIECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKRYSAQRCYDAEQQLEDKERHPTTSRDVHMLHPVDIVGVPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNACFQKGAVNHPHKLTNHPSAADRDAQNAEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACKDSDCNVPRCRCVNSFHFCSISLA >Dexi5A01G0028720.1:cds pep primary_assembly:Fonio_CM05836:5A:31946085:31949162:-1 gene:Dexi5A01G0028720 transcript:Dexi5A01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGFTSKNSTTVGPWGGLGGDPWDDGVNSGVRQIIITHGVAIDSIQIEYDQRGGAVWSEKHGTASRNSKTDQVKLDYPQEVLTSISGCYGAVGNSVVLRSLIFESNCSKYGPFGTEQGTSFSLPVSSGKIVGFHGRSGSCIHSIGCHLNKEISTKLSKNAPSALRSITRSYDSNGHRYSDGSAGYDMVLAVKDRADDYSVLTSNLPKEQYPRPQMNKVITYGVVKEPIPIGPGPWGGDGGKPWDDGVYTGVKQIYITRTDFIGSIQIEYDRSGQSVWSTKHGNGGQITNRIKLDYPHEVLTCIYGYFNTCVEEGPRVLRSITLVSSRGKYGPFGDEIGSYFTSATTQGKVVGFHGRSSLYLDAIGVHMQHWLGDVKTTSASNSKYYISKYLF >DexiUA01G0010290.1:cds pep primary_assembly:Fonio_CM05836:UA:20498360:20501070:-1 gene:DexiUA01G0010290 transcript:DexiUA01G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIPDLRDDFLRTHGPSVRALVTVGASSGADAALIGALPRLEIIACYSVGFDGVDLARIPLADSYVRAGQWKADGHGKYPLTTRFGGKRVGIIGLGRIGLAVAKRVEAFGFPVSYYQRRKLQGYPNYSYRPAAVELVIEALGPSGVLVNVGGAHVDEPELVAALAEGRLGAAGLDVFEDEPDVPEALMALGNVVLAPHMGSGTRETRRAMAELVLGNLKAHVLRKPLLTPVV >Dexi9B01G0009770.1:cds pep primary_assembly:Fonio_CM05836:9B:6014764:6019316:1 gene:Dexi9B01G0009770 transcript:Dexi9B01G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNLPDDSGADDDPDADAAASSTPSPSARIPPPPPLPPPPPHSAAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGEASPYEMGQEAARHGAPEADEEWHQWEERVRLGVEDDRVGHELVDGHEMEIEKHEEDGELEVQRPHIKDSWNFDMSDAQQEHALAIEQLAPRLAALRIELCPIHMSDECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQCLQNQSKLETEQLGHRKDDLGVQLREDTFREASPFVRQEVASVIPITEIEIEKHPIQVTEVPVVDKSVIKEELPKNHTETSNILQDTFDDDVDDWFDEEADLAGHPTIHIGDEEDVSFSDLEEDDVK >Dexi8A01G0009960.1:cds pep primary_assembly:Fonio_CM05836:8A:15773086:15774126:-1 gene:Dexi8A01G0009960 transcript:Dexi8A01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQPLPSLTEGELLAARRRRWLLRARVPSPAPKSPAPSPPSAAAARNDQGRLTRLDSYKRLKKIGEGAFGTVCKARDRRTGEVVAIKSAVGNGPGGAEALLREAALLAACAANPAVVKLREVARGSEAADLHLVLEYVGMSLHDIVSERRRRGLPLTESETRRVMEQLLTGVGTMHAQGMVHFDLKPRNVLVGDEDRRLRICDLGLAKSVAALPLEGEPEGTPGYIAPEMLLREKGCGAPADVWALGCIMAEIVNGQSLFAEDDLYQQLASIVDLLGIPDHVSLMPLCITAAAPSKLREMVPEERLSPAGFDVLQGLLQYDPKDRLTAMAALEMPWFQATMDD >Dexi1A01G0025470.1:cds pep primary_assembly:Fonio_CM05836:1A:31681561:31683074:1 gene:Dexi1A01G0025470 transcript:Dexi1A01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPRTISRRAPARGPSKSKRTDELAAMSDSDDYEIDAFLKKGNVTPLDADDGGESEDDDMEQPVFDLKGVSDSETDDSEGEENGDMDEAKYDEWDKAYIAKLKRAERAVKQISGGDDSMDERDEDEKEQICLGQG >Dexi9B01G0008530.1:cds pep primary_assembly:Fonio_CM05836:9B:5213203:5213416:1 gene:Dexi9B01G0008530 transcript:Dexi9B01G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGADVEESELGAVVAAAVQGELRAGRSTRCSSGSAGRKAMAAAIGAETQGEGGGGTG >Dexi9A01G0013640.1:cds pep primary_assembly:Fonio_CM05836:9A:8791984:8794041:1 gene:Dexi9A01G0013640 transcript:Dexi9A01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGLLASAGINIGLCVLFLSLYSILRKQPQNVKVYFGRRIAEENNRLRDAFILERFVPSPSWIVKSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAILCVFGILPLNYFGQDMHHVRIPSASLETFTIGNMQERSRWLWVHCVVLYIISVAACVLLYLEYKHIASLRLCHISRSTSNPSHFTVLVRGIPKSSTESFNKTVQSFFTKYHASSYLSHQVVYKVGKVQKIVGPIESPIE >Dexi2B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:2B:25134213:25134959:-1 gene:Dexi2B01G0015120 transcript:Dexi2B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLVRRVLGEGEAGDLIPRRLAEVQLLHLRHRRLGGGGRRRGRGLGGGLEVGRGRVVGGHRRGAVAGGGGGGRGGSCGGGGLLGALLGCGDVLAELLELGVDGGVERAAGGGVVGEVEARRVAAEAVGGLIVGERRLLGGVEGAEPEAGAVAREADLGNPLAPVTLPHAASFCGRGGVGAAAAADETFMGWARGPRTEDCVWRCRWRRACTYWIWAGLSWPAPSAAAAAYGYPA >Dexi5A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:5A:7158285:7160483:-1 gene:Dexi5A01G0009570 transcript:Dexi5A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSDDLGSGEPFSPSVFLDLPPTPHSHGEEAEDPALPPADLVFPVISRMLMEDDTSEDGELLRQFPADHPSLLQAQLPFAQILSDTTTATALSSQAAAAAATNGSGAGTLSLPVSPSLCPAAFENAIWPYDPEELSRVLLSRTCRPALGVVGLDGFTAGDGIRRSSDEDGAENTVITAAPAEDGSSFFSSSGQDRVNMDMLNMAFLKGMEEAKKFLPTMNDNLLPSRVEEGSVLDLDGINPLPQSTRGNGSRGQKKCPSSWSDLEAEAGRKSKIMASEPEENGEAVDKMIIDGYDSCMDKMKDLRIARISDAEKKKITRKQSSSNEPVVVDLCTLLIHCAQAMSMEGNHNTTTELLRQIRRRASPTGDATQRLAHYFANGLETRLAGSGRHVYRSLVSKHTSVVEYLKAYHLYLTACCFETMAYKFSGMNICNAAALAGTLKKKKVVHIVDYGVGYGFQWPSLLAYMATWEGGPPAVRLTGVDLPRPGFRPSSRTEATGRRLTSFARDLGVPFEFRTVVAEWDTVRAHDLAIDPDEVLVVSSITGLGTTMDEFAGAGDDVDGPSPRDVILGNIREMRPDVFVLCAVNGSYGGPLFVSRFREALFHYSAVFDMIDGGGAAAAMDEGQRMVVERDLVGRCALNVIACEGLDRVERPETYRQWQTRCQRAGLRQLPLCMEIVKRLREKVKKYYHKEFVVDVDHEWVLQGWKGRILYAMSTWTADDDTASSNR >Dexi9A01G0033510.1:cds pep primary_assembly:Fonio_CM05836:9A:38436104:38438716:-1 gene:Dexi9A01G0033510 transcript:Dexi9A01G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVFSWIRKLPFCKCQHLENAIGLGNAGKLYATVDIDKARVGRTRMVDPVRSPHWNEFFRIYCAHDASNIIFTVKADDAVGATLIGRAYLPTRDVAFPDGGGQKKVVDTWLDILDEKRQPLAGGAKIHVQLQFTDVADDPEGGWGTGIAGGRTPYTGVPRTFFQLRRGCRVRLYEDAHVAPGFSPRVRLDGGRMYEPRRCWEDVFEAINNAKRMVYVAGWSVNTGVQLVRDPRRPSTSETLGQLLVRKADEGVTVLMLVWDDRTSVDLGPIRRDGLMATHDEDTEGYFRPTKVHCVLCPRNPDKDRSYVQDVETAATFTHHQKTVVVDGGGSTNPNAPPGLVSFLGGIDLCDGRYDTQEHPLFATLDTTHKNDFHQPNFPGASIKKGGPREPWHDVHCRVEGPAAWDVLDNFEQRWRKQAGEDRINLLVTLNKAWASREAINDPESWDVQVFRSIDGGAAAGFPEGDDEDSPEEAARLGLVSGKDHVIERSIQDAYIHAIRRAKDFIYIENQYFLGSSYAWQPNDGVTVESINALHLIPKELSLKIVSKIEAGERFAVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYRDVTLAIRAKGLQADPTEYLTFFCLGNREAPRPGEYVPPERPEPDTDYARAQQARRFMIYVHAKTMIVDDEYVIVGSANINQRSMDGGRDTEIAMGAYQPRHLATNGPARGQVHGFRVSLWQEHLGQAAAAAAGDLLLRPSSLDCVHRMNEVARQHWDMFASDTFQGDLPGHLMAYPIVVGDDGKITGVPAEFPDTKAKVLGNKSTVLPPILTT >Dexi1A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:1A:25600703:25603710:-1 gene:Dexi1A01G0018330 transcript:Dexi1A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQGRAGAATMPPQMHPGMTPTGSDALYHPARISVLPKALFGCASSRPNRAELTSSAMGPWPHELHLLLAKTTPEPQVRLLRAVPAVRIRSIRLLPLLNKKQEKPQHGLKEAAGYRRCGTGSLRSSARNGKYATENRHERVVDD >Dexi9B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:9B:2017264:2021255:-1 gene:Dexi9B01G0003540 transcript:Dexi9B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARNMSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQVSLDVHTKESLSFKLFVDYFSLLSQFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKDESQDLYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >Dexi9A01G0003710.1:cds pep primary_assembly:Fonio_CM05836:9A:1955783:1962843:-1 gene:Dexi9A01G0003710 transcript:Dexi9A01G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKHHRRLMHCPDFIGLHCRLSPPLPNPHVAYLATARVRRSHSSRGTVTDYHGFHVAGAGGGSSNAPMRALAGPVYVGKRCVNTCNGVE >Dexi8B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:8B:8157156:8162219:1 gene:Dexi8B01G0006980 transcript:Dexi8B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRHLGSRVIITTRNEELVRDMVGAAAYREHHVQPMDDLDAWSLLKKQLPPQTDGEWCSLQELGSLSQLRDLKIQGLETVLPSSSAAAAKAKLHDKQQLRTLTLACNLPRQDETTSIGAMAQRVEEVLDQLCPPRHLGILCFENYLGRRGPSWLTAATVDLNSLMGGMGVGSHGDDRIAMPSLQRLFIHYCNNLERLPSGLASSKRVALKELRLDGAASITVLENFPSVEELCVFNCPSLKIIRGFVRLQLAVINCQALEVVEDVPTLDTLVLFDPAMETLPEYLRGLKARQLEVGCHRRLHDLFSLNIDISRLSDYYLAEREKVKHCGKVKVWPAASHAATIQGRQAAQNRYLRRPGSSFGIPSPSGAIFAYPSPFGIASAHAVSFRSCAPVVLPCVHFAVLPSLQWNNRGQVHRRAMRGKLHDVRGNLRRREGSCNGFLKEDTASL >Dexi3B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:3B:12944696:12951902:-1 gene:Dexi3B01G0017620 transcript:Dexi3B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMAGEGPIAAAIPRSPPPPDAGGGGGGSAAEAPVLIFVYFHKAIRAELERMHAAAVRLATTGDACPGGAVAALEARCRFLFAVYQHHCHAEDAVHRGGWRLPLPPLPRWCVIFPALDIRVKNVAGTYSLEHKGENDLFEHLFALLQLDMQTDVAIRRELASCTGAIQTFITQHMSKEEEQIIFAWIGGKSSRTVAQGFCDPCPKSSVICEGSSDQTYKHVCSLEHSKTGKRKSAESNQLSTHPIDEILYWHNAIRIELSDIAEEAKRIQQSGDFSDIADFNMRLQFIADVCIFHSIAEDQVIFPAVDGQISFVQEHAEEERRFNKFRSLIEQIQIAGARSTVVDFYSELCSQADEIMQKIEMHFSDEETKVLPKARIKFTPEKQRELLYKSLCVMPLKLLERVLPWFVRKLNDVEAVSFLQNMRLAAPPSETALVTLLSGWACKDEQDKIIGRIIGTTGAEVLQSMLPWVTAALSLEEQNKMLDTMKQATKNTMFDEWLNEWWKGPSTSVDPSDNASTPSEDSHFQENLEQNDQMFRPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSYLFVFHTVPGEAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEQLPEEYRDRCQ >Dexi1A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:1A:27664176:27664682:-1 gene:Dexi1A01G0020840 transcript:Dexi1A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVTTSATPSEFAISANSLSAEATEVGGDGGVDDIDVAGVAEPDGKRVEEAGERVAGDAGAGVEGEGEGDVVGQEAVEEQEAEEKRAGGGEGGSAKDGVDGRSGGEVARGQEDSVSAAEASRLGGGGGGRGGEPGGGPDVKDAAAPHHGPWKMRGGP >Dexi5B01G0004720.1:cds pep primary_assembly:Fonio_CM05836:5B:3184518:3192477:-1 gene:Dexi5B01G0004720 transcript:Dexi5B01G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPTNRIHKDECCISFDTPRSEWGLYVDMNSFLGFGREHVEWNFEKTGNPVYLHIVQRRKPEPDEADRPLKKPTLLAIGVEGGFGDQEPEYDETFEITILPDFISLPFPSVDLPEKVRLAVDKVILTESADRKQQLAAWVADKKKLSAYAMDLKQIDNGVIVPSTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDCNTNYDWNRIQESGKDAELLFGPGYTGLANLGNSCYMASIMQVMFSTHPFVSRYFEKQSLKAAFATAPADPTLDLNMQMTKLGHGLLSGKCSAPMKEGQEGIRPRMFKSVIAANHPEFSSMRQQDALDFFLHLIDRVEQANPGSHELNPCSGFKFIIEERVQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEAFNQKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGPEEIPDFYSTALNSKTTATKTAGFNTFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDTIDISHMRSKGVQPGEELLPEGVSVDHKAEPAPPVASEDIVSQLASMGFDYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDINDPISNDSRASEQAVDEASVQTLISFGFPEDVAIKALKASGGNIEKATDWIFSHPEASSSASADSSTSNVNADDTYIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISN >Dexi1B01G0010140.1:cds pep primary_assembly:Fonio_CM05836:1B:9516819:9518312:-1 gene:Dexi1B01G0010140 transcript:Dexi1B01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRGARTAFGVSGILSFTITRNCCCTYMGPRSSQYFELWPGIARLLVVFRGVYTETPPQTPGSGDGSGGERVLCMVGDAVLPVPCSNSAESPNRAKNHGDESNFKPPVVADGNILLVLRYPKTLTLTTRAVRGEMSSTSAKSDDAYFDTVRLVSQVAAEQVSDYQFQPDEAELDVVAGCDDHDGGDIEQQHLSSGAALCQIINQYAPYNYKQVMEVIPNMDCDGTYAFCSHVVGPFKTNRPDTTGATEDMAFTRSLIGLKCQATVGTDGTPAARVAAVFRYVPPWEDQPTAANRTGLSGMTLSAEGVWTASTGRVCMVACLGIGDGSEEEGCRHRVTLFVRTAFRMTRRANIVGKITTMDGSHAPLLFQRRVNPRLESFPETPRMSYVYTKVEQARELLRRGERNGFRDGVVGRSLLGYPNIAGDEEDDLVSLSNLADNLSLRFQRVEKLPFVPEWIEEQSFELQILSVGTLVGRYLPNLRVVLLLVRGSDY >Dexi7A01G0008960.1:cds pep primary_assembly:Fonio_CM05836:7A:20139899:20142030:-1 gene:Dexi7A01G0008960 transcript:Dexi7A01G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSSVLPKVIDDAAEATPRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGTVALLASWGITLYTLWLLIQLHECVPGVRFDRLRDLGAHALGPRLGPWVVVPQQLIVQLGCDMVYMVTGGKCLQKFAESVCPRCAPLHQSYWICIFGSFQFLLSQLPNLDSITAVSFVAAAMSLSYSTISWAACVARGPVAGVSYAYKDGTAADSTLRVFSALGQVAFAYAGHGVVLEIQATIPSTPTKPSRAPMWKGTVAAYVVTAACYFPVALVGYWAFGRDVGDNVLVALQRPSWLVAAANMMVVIHVVGSYQVYAMPIFETIETVLITRLRVPQGLLLRLVARSAYVAFTLFIAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPPRFSASWFANWGCIVAGVLLMIASTIGGLRSIIQDASTFQFYS >Dexi6B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:6B:10805151:10806272:-1 gene:Dexi6B01G0008560 transcript:Dexi6B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKDLIIAIFVCAFSCSAALAARDLSDDSAMVARHEQWMAQYNRVYKDANEKAQRFEVFKANVKFIESFNAAVNRKFWLGVNQFADLTNEEFRATKTNKGFKPSSMKLPIGFRYENVSVDALPATIDWRTNGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYAAADGKCKSGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLMKNSWGTTWGENGYLRMEKDILDKRGMCGLAMEPSYPTE >Dexi3B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:3B:7667681:7668865:-1 gene:Dexi3B01G0010880 transcript:Dexi3B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLHQLPRSAARPHANLPASTRRAAAATRALLASSTAARRPSGSRSSARSPARAMASQQQQFPPQQQGSQPGKEHAMDPRPESIIQNYKAAGKLKDKVALVTGGDSGIGRAVCLCFALEGATVAFTYVKGDEDKDAEDTLRALRDIKSRTGGAARDPMAVPADLGYEENCRRVVDEVAGAYGGRIDVLVNNAAEQYERPSITDVTEADLERVFRTNIFSYFLVTKHALPRMGGGGSIINTSSVNAYKGNKTLLDYTSTKGAIVAFTRALALQLAEKGIRVNGVAPGPVWTPLIPASFGEEKVGQFGSQVPMKRAGQPSEVAPSFVFLASEQDSSYMTGQFLHVNGGVIVNG >Dexi5A01G0021920.1:cds pep primary_assembly:Fonio_CM05836:5A:25753194:25756693:1 gene:Dexi5A01G0021920 transcript:Dexi5A01G0021920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGDMAGRWRELHGSGHWEGLLDPLDADLRRCLITYGEMITATYEAFIGERRSPNAGMCRYRRGDLFRRVDVSHPGWYAATRYVYATASAEVRGKVLLRPLCREGRARECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLALASAAGILGPEGADGSDPSVHRGYLSLYTSADEGSKLNKQSARMQVLTEIARLMEKYKDEDTSITVVGHSLGATLATLNAVDIVANSYNKSPSCFDVDSRRAPVTAVVFGSPRTGDRDFRDIFHRLPDLRMLRVRNKPDRIPHYPPVGYADVGVELLIDTRRSPFLKPHGNESQSHDLEVHLHGVAGWQGDHGVFGLVVERDVALVNKFDDCLADEYPVPVGWKVHHNKSMVKGADGRWVLEDHEPDYDEEEEEEDDSINL >Dexi6A01G0008390.1:cds pep primary_assembly:Fonio_CM05836:6A:8792618:8793727:-1 gene:Dexi6A01G0008390 transcript:Dexi6A01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRPVATTFSRCVPNAVRGRHLFEVSSYSLNKGLGPGTFIQSAVFSVGGHDWCVVYYPEGDGTEGSDDYVSIFLKRVISNTTSVTQVRATYGFRLLSSPLAPTMVPTVSSAMPPSNRVTADFSGVNPCWGLRKFMQKCLLVGYLQHDRLMIECDVTVIKGKPVLEPQRRCKIQVPPSNLLENLGKLLETGEGADVTFKVEEEIFHAHKVVLGIQSPVFKAELYGLLGENNREHIIIEDMQPAIFKALLYFMYTDSMPDISNIVGGEEREEMVKHLLVAADRYGVDRMKVMCESILAQRLDVKSVAATLALADQYNCSKLRESCIEFINSLNSTEDLQASDGYVHLKRASPDIIMEIWEKGTKFRKT >Dexi2A01G0008760.1:cds pep primary_assembly:Fonio_CM05836:2A:8813719:8816494:1 gene:Dexi2A01G0008760 transcript:Dexi2A01G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEGAEAGAVATEITLEYTPTWIVASVCSVIVVLSLLFERLLHRLGKRLLKTNKKTQYEALLKIQKELMLLGFISLMLGVFQSATQKICVKESVMHHLLPCPLQSSGNAGAKYGAAMFTGMVGGARRLLSGEGAVDDYCLRKGKVPILSLEALHQLDIFIFNLAVTHVVLSLLTFVVGVAQTKNWMRWEEKIQQSDHNGPQMIKHVQEFKFVRDHFKGHGIYWRIFGWMKHCRGNPKFNFYNYMIRAFEVDYKKVVGISFILMQLVSLGFANDILTTECSWHSSNRINNFVSKLIIIDHWWYVYTWLSLAPFVVAYGYKSCIMGKHAHAIARLVLRYHY >Dexi8B01G0003140.1:cds pep primary_assembly:Fonio_CM05836:8B:2337234:2337572:-1 gene:Dexi8B01G0003140 transcript:Dexi8B01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESESSIYDLLEGILVGESEPTNLPIWLLQSITNNFSDDQKIGSGGFADVYKGLLRNGATVAVKKLNPSQMVESHEAKFNQE >Dexi1A01G0014660.1:cds pep primary_assembly:Fonio_CM05836:1A:21381057:21382279:-1 gene:Dexi1A01G0014660 transcript:Dexi1A01G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVRAPRKPFAPPREVRRPVTHSLPPQKREIFESLNSWASDNILSLLKPVESSWQPQDYLPDASSESFHDDLRELRARASEIPDDCLVCLVGDMITEEALPTYQTMLNTLDGGVRDESGASPTSWAVWTRAWTAEENRHGDLMNNRHGDLTLARICGTIAADEKRHESAYERIVGKLFEVDPDYTARAFADMMRKKVAMPAHLMYDGRDDDLFARFSAVAQRLGVYTARDYADILEFLVRRWGVADLTGLSGEGRRAQEFVCSLGPRFRRLEERAQAKAKEVEFVPFSWIYDRKVQL >Dexi5A01G0008130.1:cds pep primary_assembly:Fonio_CM05836:5A:6052849:6059970:-1 gene:Dexi5A01G0008130 transcript:Dexi5A01G0008130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNLKKLYRKDAREFFNQVMVEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRRITVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLLEVWPNYMEPKLSSRFQTTVELYDFAETFEESKTKINKLQEFSLGSCPPTLGEEGMRWITSGEQLLLSPILDGEAILYSFESTPEVRIGVAFGSGGSQTIPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPAVDLRKRAVGGVLSVTVVSASNLFKSTANAIGNRQSSNGGTMSGITDNKVSQTFIEVEVGNLTRKTSTSKGLNPTWNSTFNMVLHGETGIVKFLLYELDSGGVKFNYLTSCEIKLTVSLVLKEWQFSDGSVTVNNSLSNGLQSSFHGSPKPQSITGRRLRVRVVEGRALTANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEIAGGECLKIKCYNADIFGDESIGSARVNLEGLLDGASCDVWVPLEKVNSGEIRLEIEPVKNDHNNSMQSSSSKAGAGWIELAILEARDLVAADLRGTSDPYVRVQYGNKKKRTKVIYKTLSPQWNQTFEFPETGEPLVLHVKDHNAVLPTASIGHCTVEYSMLSPKQSADKWIPLQGVKNGEIHVKIARRVSVSDSEKKTMLGTDPSSKGHKITTQMRHGLKKFTGLIDEGGDPEALSLAVTEMEGIQTEQEEYIEVLEREKAMLLHKIQELGSQIIRTASGPPKTPY >Dexi2B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:2B:2069581:2073511:1 gene:Dexi2B01G0002470 transcript:Dexi2B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSSTLPGISLARSAVSAGGGRDAEQLGEGEAAALLHLHPAAPPGTSLEAIRHGSPTLFGRSNDPSFPLRKWNERWVILDPTTGKIEYKQETSTIQVCEESSELCSTTSKHVEDDNVDKACVSDTDPIPITENIVELDDEGVDIPTVGDTEWANHHSSEVSDVREVTTEPEENSLDIPIDT >Dexi2B01G0017720.1:cds pep primary_assembly:Fonio_CM05836:2B:28109334:28111492:-1 gene:Dexi2B01G0017720 transcript:Dexi2B01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRLRKKPRVPDATAAPAPAAASDALLSLPPEVLDEILTRVDLRDAVRTSALSRAWRRRWESLPSLDIDIRCDPKDGQQALWTVDCILPRCSGRVRRFRIWLDKLSARRLDDWLLFLSRRGGVEDLELSPEHPYKFFSLHSTIFSWHRLISIDLFACHIPPLPLDFVGFPDLKVLSLCNVKLQQNGEYQLEKIIGTSPLLEDLVLSELCIGEDEDEFIEWEIQAPNLRHITICSNIDCGWNYAELPCLNSAVIDLWQYVGDLDFAKFFAGLVQVRKLNLSMPYAPVNGIKIPETLPCTFHNLKILKLFMHFRELPPIFSLFCFLRSAPNLVKLKIQICDGEEQKIEANGEFLNAQWTDGMCANLQILKMTGINWLPNEMSFMKIVLSKARLLHTLSISHDDDCSVSHVDPLHELVTYGKASSQAQVLFQGKET >Dexi9B01G0009360.1:cds pep primary_assembly:Fonio_CM05836:9B:5766603:5771444:-1 gene:Dexi9B01G0009360 transcript:Dexi9B01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRVHRCSGGAVEWSPSPVVALATSPCASQVAAARLDGSLELWLVSPGSVGWHHQLTIQGNAEARITSLVWGRSGGGGAAGRLLSSSVDGSVSEWDLFHLQQKTVLDAVGVPLWQMAMEPSDDYKNSEINGSGMAISGHANHSDSSDSDLSNVDDGDNSEDEESSPDLRSSYHVNDLQRLALACDDGSVRLYNVPESGTLTYYRSLPRVSGRTLSVTWSSNAKFIFSGSSDGLIRCWDTTSFHEKYRITAGLGGAGTGPELFISTLLFLRCGTLVSGDSTGSVQFWDSSHGTLLQAHTYHKGDVNALATLPSQNRIFSGGSDGKVGSISALLKQLLGGLTNLVILYKASKDEFAHKWIYVDSVAVHTHDIRALTMAVPICREDALPEEKVVKVRRREKHQFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLVNLAQGSTANGDSVMLVQSPNWLDVLLVTVQSKLTPSTSSRQDATVRQLARFKSKGSRKIISSAASSNGTLLAYSDCVRPCLFALKHKSGKKYTLDKLELPKGLPCSHSMMFTVDSSHLILAGRDGKIYIIDIETKGVSNVFLPTRKVDGTKGSSRESPVTKMFLSEDGQWLGAVNCFGDIYVFNLEVQRQHWFISRMNGGSVTSGGFCPKNNALVITTSKNEVAMCLIDFGLPVVEDFELPNGSTRPAEKADAQKISKTKQKRKVRDEELKQEKKNNFFAFKDPVLFVGHLLDSSILIVEKRWMDVVKGFGDPVHKHIYGT >Dexi9A01G0036060.1:cds pep primary_assembly:Fonio_CM05836:9A:40612095:40612946:-1 gene:Dexi9A01G0036060 transcript:Dexi9A01G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGSFKLWRRTMVRVHSASQGLDAATGPAVVGPSSSDQPSTTTTTTKTSQQGSEQPEVFTIWMKSLVLNGSGCTVYDSAGCIVYRVDNYGARRAADVCLMDLAGNVVLQILKKKLGFGRRWEGYRWSEQEQERRRRPWFKVARAWAWRGPSHCTCELVRGETTLRYRMVEDGRIAASRGVRIVDGATGLAVAEVKRKTTAAGVALGADVLTLSVEPGVDRSIIMGLVLVHGLINCAM >Dexi3A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:3A:15490970:15493278:1 gene:Dexi3A01G0019630 transcript:Dexi3A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHPALLAPPPLCSHAFLYPSFRKSPPRFSNGACSTSRPLGRSEFLGKGDTLAWRTAGRRWRGLGAAGAGRGPFFGGGRRRMDNGTSRVVGNLAFAAVLTYLAVTGQLRWVLDAIVSLWLLTILLPILALGAFFFFAGQDILQGDCPNCGKSFQILKSALKDGPQLCPYCTQPLSGTAPSGTIVDVEAEVKDVE >Dexi9B01G0043550.1:cds pep primary_assembly:Fonio_CM05836:9B:43503635:43504915:-1 gene:Dexi9B01G0043550 transcript:Dexi9B01G0043550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVMEVHKAVETATRGAPEQDRPPTPIALAPRDAPRQSSTCTSTVERQVGAHGDETDDGAVNSGCSQLPKGGRRWPSGRIAASASCRRKEGRWPPGQLGRVAREPVGSVSAARHGREVEGSRGGAGQHGEVRLPAGVVERMEARMSGGIKQGDVARRARRRVGEEGDGGNGAVEKKGDG >Dexi4A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:4A:4571311:4574484:-1 gene:Dexi4A01G0006360 transcript:Dexi4A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLIPIIIIFHVGLVPIAVAAAAAAPPAVPGDDRSALLAFMSNISSDPGGALAGWGRSPEFCNWTGVVCGGHGRRRVVTQLVLSGKGLSGVISPALEHLSFLTVLDLSSNGFSGEIPPELAALSRLTQLSLTNNLLEGTIPAGVGFLKRLYYLDLSGNQLTGGIPETLFCNCSSLQYLDLANNSLAGDITYSDECRLPSLRYLLLWSNDLSGAIPAALANSSMLEWIDFESNYLSGELPSQVFDRLPRLQYLYLSYNNLSSHDGNTDLDPFFHSLSNCTHLQELEIAGNDLGGELSPFAGELPRALRQLHLEDNAISGSIPPNISVLVNLTYLNLSNNLLNGSIPPEMSHMRRLERLYLSNNLLSGEIPESIGEVPHLGLVDFSGNRLAGAIPDTFSNLTQLRRLMLHHNRLSGAIPPSLGDCLNLEILDLSYNGLQGPIPPYVAALSSLKLYLNLSNNHLEGSLPLELSKMDMIMALDLSANELAGTIPSQLGSCVALEFLNLSGNALRGALPSSVAALPFLQVLDVSCNVLSGPLPVSSLQLSTSLREANFSYNNFSGVVPHAGVLANLSPSAFFGNPGLCAGIAAACELKHARRRRPLVPAVVGIVAAVSLMLTAVGCRSMVTAARAKQRSGRHSSSSMRLVGVEDEVEREHPRISYRELFEATGGFVQEGLIGAGRFGRVYEGTLRGGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTDSFYALVLPLMPKGSLDGVLYPHGDDGGDNGGGGGLDFSQIMGIVSDVAEGMAYLHHYAPVRVVHCDLKPSNVLLDEEMRAVISDFGIARLVNGEASSTSDESAPFGVMLLELVTGKRPTDVIFHEGLTLHDWVRRHYPHDVASVLAPAPWRERALQSPEAAAEVAAVVELIELGLVCTQHSPALRPTMADVCHEITLLKEDLARHGGRRSFSTKDSLFSN >Dexi4B01G0005080.1:cds pep primary_assembly:Fonio_CM05836:4B:3613818:3615605:1 gene:Dexi4B01G0005080 transcript:Dexi4B01G0005080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQQAANRHGTTPPVFDEVRWVVQIRHSLQDDAAAGDGDDDDTGIPVSVFNVPKQLQVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQKRLLSPPGLKLDGLVDQFKRLERRVRAHYHRYLDFNGETLAWMMLVDGAFLLEFLQIYAVVNDGEVVVAGDGGGKAATLRRVSSRMQHLVDFTGRKSAHNLILRDMLMLENQVPMFLLRKILEPQCSSADDAGELLARMVTGLMKELCPFKMMGSFPAVDVGKHAHLLEVLYYILVPKQDDDSSTAEVDGNGNLHDDGGYDIEEQAVDGDGAAEERQKKPGASGCEYVKQLFLAVWGIVSRLNSTAGGPMRYVTKPIEFAIKAPWKMLAVVPGVGSFVSGADGSTTNPHDPSSTGYLRPPLIEEIMIPSVSELINAGVNFSPTSGDLSTIAFDAKTATFHLPMVTLDSNTEVVLRNLVAYEASAASGPLVLARYTELMNGIIDADDDVAALRRRGVVLNRMKSDGEVAKLWNGMSRSVRLTKVAFVERAVEEVNRYYNSRWRVKTKRFMRKYVFGSWQVLTFLAAVLMLLLTTLQAFCSVYTCSRWFGAVTVAKAG >Dexi4B01G0015000.1:cds pep primary_assembly:Fonio_CM05836:4B:16232583:16232886:-1 gene:Dexi4B01G0015000 transcript:Dexi4B01G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNPPLHGALIVSTILSDPELKTLWLKEVKGMADRIIGMRKALKENLEELGSPLSWEHITNQVNPQ >Dexi2B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:2B:4239356:4241192:-1 gene:Dexi2B01G0004650 transcript:Dexi2B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLMNQLRELNVIGAEQWDMGQLQGRLPNIRTLRVKESRVICSCSKNVLFSDMNKLELLDFSGNGYSVTNLVTGEKLELLDFSGNRVISPMRSLSAQRVTCLETVIINDVSGLEQINFRGCSKLKNLLLKWMPGTGSLDISGTAVKTLDLSTAYIENLDELYLLGCEKLCAILWPPKDEMRKEGLSKLCIDTTQPEPNVQLSKEKAQRDTTSTTGTSVLRTTVQQRGSQLIDEFPWYISVRDARLFASLEAICSDSREMYVEVSSVECPTIADGGCKYEGIKGTGNSEQQAPVNLQHQAEAAIYAADILVDYLKLASEGNGDASGFMSMWPCPDVPGLPKERGYIHIQDRMRTKLLWPQGEKGNDTIVVPRFVLENARILHVHDAQSITSIPSCLRTNWRNIEWCVVEKCPRVDQVFTHRPESGVPYKLGTSWASHLTKTRYILQNRYHVVYSNLTYLHVDCCPRLIHVLPFLVIMSNPNGFSKLKTLEIMWCGDLKEALLFTINTIGGKMWRQIRGCWSLKSLPYIGSDSKVVECDCEKEWWDRLEWDDSSQASRYNPVHPRYYKKAMLRGSVL >Dexi5B01G0021090.1:cds pep primary_assembly:Fonio_CM05836:5B:23342515:23351936:1 gene:Dexi5B01G0021090 transcript:Dexi5B01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRTTFAAAVPLPWCLLVGGLVGLLWAAGQLLNRLWWQPRRLERVLRAQGLRGTSYRFLTGDLKDLARMNQEAWSRPLPLRCHDIAPRVIPFFYNNMREHGKTSLSWFGPIPKVIIADPELAKDVMSNKFGHFGKPRFPALFKLLSDGVASKDGETWVKRRRILNPGFHLEKLKRMLPAFSVCCEEVVSRWVEFAGSDGSCELDVWPELQNLTGDVISRTAFSSSYLEGRRIFQLQAEQAKRVMKSFQKITIPGYMLLPTENNRKMHRINKEIETILRGLIEKRIQAMKEGESKDDLLGLLLESNIRDPDGSSKSGMAMTIEDIMGECKLFYFAGMETTSILLTWTMVVLSMHPEWQDRAREEVTMILYEVLRLYPPGIKLSRKAYEDVELGGIVYPAGVIIEISVLMIHHDPDIWGSDVHEFKPDRPEATGRQHKAMVVLGASFATAASVPWSFLLCGLLGLALLWQAGRLLNQLWWRPRRLERALRAQGIPGTSYKFLTGDLKEYGRLAKQAWSKPLPLGCHDIAHRVTPFVHNLVQVHGKMSMSWFGPNPKVTIVDPELSKDVLSNKFGHFEKLKFQALSKMLGDGVASHEGEKWVKHRRILNPAFHLEKLKVNKFNKSLPTRSNRKMRKNNKEVESILRDIIGKRIQAMKQGESTKDDLLGLLLESNMRETEENGQSSTGMTIADVIEECKVFYFAGMETTSVLLTWTIVVLSMHPEWQNRAREEVLGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAISFVRKTYKEMEIGGITYPAGVILELPVLFIHHDPDIWGSDVHEFKPDRFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFVFELAPSYTHAPHTVITLHPMHGAQIKLRSV >Dexi5B01G0034550.1:cds pep primary_assembly:Fonio_CM05836:5B:34788629:34788999:-1 gene:Dexi5B01G0034550 transcript:Dexi5B01G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCEAANATNATSASEVWNMSDHRSLYLSGSLGPFLRRSPENVRMPEGVATPYRRRPEGERKLSVSVKKTQEAAQRATKAPTGALAVVGEDRGEDGEGEDGGGREEHVEARRAAED >Dexi3B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:3B:4555822:4557784:1 gene:Dexi3B01G0006610 transcript:Dexi3B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >Dexi2A01G0024500.1:cds pep primary_assembly:Fonio_CM05836:2A:36251327:36255266:-1 gene:Dexi2A01G0024500 transcript:Dexi2A01G0024500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAAAAGKDKMLKLVSDDKEDFEVIEYCKKHVEVRHKASDDALKAFDAQFVDVDKNTLFELALAASYLNIKGLLGLTCQTIADMIKGKTPEEARKILNTKNDFTPEEEQKQRQKCSWAFVNL >Dexi9B01G0003680.1:cds pep primary_assembly:Fonio_CM05836:9B:2109836:2111849:-1 gene:Dexi9B01G0003680 transcript:Dexi9B01G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCAAAEGAEVGRGVDLAGMEYLRIPWFGSRLIIAIATINRDPNIYKLLPGYLQNSPDLVYRHSRPLGSSCPARPPPLPSSPPRRRGPVPLFSRSRRSNNYVTSHPQGGQEGRRPTRFSASGWRPAPQLCSIDDDPGLQGKVRLTKWYTPYPQKQRSKDSNTISAFTEKVIKEISTLVLSRGPKLCNFVDWQGYRVVYKRYASLYFCMCIDPADNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLVTTQDALVEAAKEEASSLRNIIAQATK >DexiUA01G0007170.1:cds pep primary_assembly:Fonio_CM05836:UA:13674407:13674850:-1 gene:DexiUA01G0007170 transcript:DexiUA01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSSRIRHRHRRLRLVEQGQLSPPLQPDEYTGFVTKDDDGEEEQTRNWAELPLDALLAVLVRLDLADVLLGAGHLCRPWRRAAREEPVLWRRIDIGRSSKLGMDYRFQPAVRAAVRRRVRWCEAFCADGSNGDLDFFFVFLPDV >Dexi6B01G0004600.1:cds pep primary_assembly:Fonio_CM05836:6B:3893958:3896361:1 gene:Dexi6B01G0004600 transcript:Dexi6B01G0004600.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGRLGRAEDLFRPSDLFSMPRNTNPSNEENINPTANAAVATTSASVPSRKSWIPAGLSGLGGSGRHGATIDIPLEDPKKKERDLLSWEKDLKRREQDIKRREDAMNRAGVTVEVRNWPQFYPIIHHDIAGEIPIHAQKLQYAAFASWLGLIACLIWNFFAVLVESIRSEDVVIFLLAVIYAISGCPLSYVLWYRPLYRAMRTDSVVTFGQFFVFYSVHVAFCVIAAIAPPIIFRGKTLTGILVAIEVLAGDLFIGRNPSNSTNSCPFWSIAESVHVFQRTQVKISNEMMEFSTEAVSTNIRV >Dexi5B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:5B:10612858:10613124:-1 gene:Dexi5B01G0014240 transcript:Dexi5B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKYVMATATVRPRRRAERAYRSVTNEVGGGLGPRRVDCGRATPSRRSMSTHATPRSLEFESSRVDTPVRPTGQDAVQRGGGADDPT >Dexi6B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:6B:70417:71061:-1 gene:Dexi6B01G0000140 transcript:Dexi6B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASGVSSLLCCPCRCLFCGVLSCLFSVLTCIFFSAGLVALVLYLLFQPHIIRATAVSGDTSTFALTPRTWILSYNLSVGLQLQNPNKRIALRYHDVAAHAFYEGQRIADVSLPDFFQDTGETTTLEPVFSGEAPLVGGVAAAGFRREAAEGSTFSVDVKVTAHVKLKLWVITVPGPKPKIDCPLRIHRCNNSDHGTAPAPEFQPKECRVWF >Dexi9B01G0028580.1:cds pep primary_assembly:Fonio_CM05836:9B:31177549:31179362:-1 gene:Dexi9B01G0028580 transcript:Dexi9B01G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLAPLSTVVLGSLSFGVFWLLAVFPSVPFLPIGRTAGALLGAVLMIVFHVITPDDAYASIDLPILGLLFATMVVGGYLKGAGMFKHLGALLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGILPAMLAGMGVNTVMLLCMYWKDLEGVSPDEMVAGKEMETVEEGRSSPVSVLPLKMQVASPVGAHGANQLRQRQNGNGNGNGHDAEDSVMSENISTKHRWFMECSEQRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEACLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNFMAPYAKVNHVSGVTVLSLIILLLSNLASNVPTVLLMGDEVAASAATISASAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAHDLTFWSHVVFGVPSTLVVTAIGIPLIGKITV >DexiUA01G0011070.1:cds pep primary_assembly:Fonio_CM05836:UA:22138984:22141553:-1 gene:DexiUA01G0011070 transcript:DexiUA01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKQFLIAPSILSADFARLGEDTAKALAAGADVVHFDVMDNHYVPNLTIGPMVLKALRNYGITAPIDVHLMVKPVDRIVPDFAAAGASIITFHPEASEHVDRTLQLIKENGCKAGLVFNPATPLSYLDHVMDKLDVILLMSVNPGFGGQSFIPHTLDKLREVRRRIDESGYDIRLEVDGGVKVNNIGEIAAAGADMFVAGSAIFDQPDYKKVIDEMRRELAKATRGIAFDLDGTLVDSAPGLTSAVDQALYALELPVAGEERVITWIGNGADVLMERALTWARQERVSQRSAQGKPSVDHADIPQEEHFLFPDVAETLSALHANGIPLGLVTNKPTPFVAPLLEALDIAKYFSVIVGGDDVQNKKPHPEPLLLLLFVGDSRNDILAARAAGCPSVGLTYGYNYGEAITLSEPDVDPEKLRKATLDTLALYLACGIDPEKSTIFVQSHVPEHAQLGWALNCYTYFGELSRMTQFKDKSARYSENINAGLFDYPVLMAADILLYQTNQVPVGEDQKQHLELSRDIAQRFNALYGDVFKVPEPFIPKSGARVMSLLEPTKKMSKSDDNRNNVIGLLEDPKSVVKKLKRAVTDSDEPPVVRYDVQNKAGVSNLLDILSGVTGQSIPELEQHFEGKMYGHLKGEVADAVSGMLTELQERYHRYRNDEAFLQKVMKEGAEKASARASETLKAVYQAIGFVGKPHR >Dexi1B01G0022140.1:cds pep primary_assembly:Fonio_CM05836:1B:27875589:27876011:-1 gene:Dexi1B01G0022140 transcript:Dexi1B01G0022140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGARRAGCGRRCQVVGAALARGPQGAAPAPAEGGKSGATGRPRQGVAASTWQLGWRRRDERGGILDGEGLLALKRRCGRLVRGTAKTEARQGDARGKPLRSCDGDPCSFGKNASRLPRDAEGESEATVLTLLLDRDF >Dexi9A01G0015580.1:cds pep primary_assembly:Fonio_CM05836:9A:10393572:10394897:-1 gene:Dexi9A01G0015580 transcript:Dexi9A01G0015580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYAATASPPTLAMGADKLSYEIFSLLESKFLFGAGCLSSVPGTPAKALLDGGSVRVLAIDGCGAAAEDALLAAAALARLETQLREQTGDPDARVADFFDLAAGAGAGGVLAAMLFLRGPDGRPRYSAQEALAFVVSSVGRKEKDWGHRRGRWAKIFRSGGDRVFQRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDAFDFRLTDVCAATCAAGGAVASLRSVDGSTAIAAASGGVAAMGNPAAAGITHVLHNKQEFPLATGVEDILLLSIGAGTSTTISSGPSNTPMATRRDLARLTAEGVADMVDESVAMAFGHACGSNYVRIQAGKASTPVEAETAVAAAGAMLGQRNVESVLFCGRRLSERTNAEKVDAVAAELVKEQERRRRSPLPNVAIKQVGTPRLSSATTSSSGSATARTASTMPSPASWDSRR >Dexi7B01G0016610.1:cds pep primary_assembly:Fonio_CM05836:7B:22633554:22638582:-1 gene:Dexi7B01G0016610 transcript:Dexi7B01G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGDLLAGAGDTYLPYGVFASSPALSLAVADGGRRRDGSGERAGSVARGGGGGNARKDASEAEDDSRSAMSDHLDVVAVAGGGDEDGEAGNPRKRKKRYHRHTPHQIQHLEALFKESPHPDEKQRAELSRRLGLEPRQVKFWFQNRRTQMKNQLERHENALLKQENDKLRAENLSIRGAMTMRDPVCGGCGGPALLGDMSLEEHRLRLENARLRDELTRVTWVEHTEYHEASVHQLYQPLLRSGLALGAGRWLATLQRQCECLAILVSSVAMPEHDLAEVPLEGKRSMLKLAKRMMENFCAGVSASSAEWSKLDGLTGSMRKDVRVMARKSVDEPGVPPGVVLSAATSVWVPVTPERLFNFLRNEGLRAEWDILSNGGPMQQVVRIAKGQLDGNSVTLLRADPTNTQLNSILILQETCTDKSGAMVVYAPVDFPAMQLVMGGGDSTYVALLPSGFAILPGGSSTGGVGHKTSGSLLTVAFQILVNSQPTAKLTVESVDTLYSLISCTIEKIKAALHCDV >Dexi3B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:3B:4436692:4438103:1 gene:Dexi3B01G0006390 transcript:Dexi3B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLSNLTPVHAPSIPDCFLLPADHIHRATGAAAAAASSLPVIDMSLPRDEVRAAILDAGKEHGFFQASSIDLVINHGVPEKVLRDMEAVCEEFFQMPAADKAEFYSDDKSKKNRLFSGSSFETLGEKEVVGNYTVLVRGLAMEILRLLCASLGLRPDYFVGDISEGRIVLDINRYPPSPDPSRTLGLPPHCDRDLITVLLPGAVPGLEVAYNGDWIRVQPVPNSFVVNFGLQLEVVTNGMLRSVEHRAATNSSETRTSVATFIVPADECVVGPDERFVGEENPARYRAMSVGEFKRTHNVVNLGSSFQSTNLKNNQKCT >Dexi3A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:3A:6240391:6240712:-1 gene:Dexi3A01G0008990 transcript:Dexi3A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHWYARGESRFSDHRPVSSLFSARLHAAGNKPPSSSAATRGFRRRGSMPPRAAVAGGGGAVAPPRRAVVEAEEMLLAARACPTHSSSMRREDNGS >Dexi9B01G0024310.1:cds pep primary_assembly:Fonio_CM05836:9B:20226045:20238452:1 gene:Dexi9B01G0024310 transcript:Dexi9B01G0024310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSVGILGKKMVFIYVEQLTSPLKQYIEHHMYVRWLCTALQRCLCRRCRECCLRPSPPPPVGRSPYRPLGRSYCAVSAACRVLEQDRRRRPPSCWSATALPSAYPHAPSSLGMSRRRGGEAPSGSRLPVELLGRAIRTSFPRPPPPAAFVPALPATCRSTFASPPTDHPLPPAVPTPPAGRPAFPNRHRHALLPVKPPPLLPAPLGLHEGHLHVPGVPTVAHASGDAEAGGSGDAQDVEKLLQALSVCGCRRVQPPRCCKADRRGEPDCAGISRFTIHNCVLQSSSHSCNQTLQPRSLVDIFVSLRDRELWLLLRSAPSDLHLLFVCFFYSAKVMIWILVLSKRDNVSICFRAP >Dexi3A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:3A:15753617:15755670:-1 gene:Dexi3A01G0019840 transcript:Dexi3A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLVRASHAPLRRLISSFSSPRALSPRLPYVISSGGAGSLPPLILPLCAFSSPSRDSACGSSRGAQRGMGFCARAVDVSDEAPSTSAAAGYDLSAPYLSVRIRCLKQDAEVLSEALLCFGATSVTVDDIADAGNLDEISITSIYADGEDVNSSVSSAASSAGLNYSPVYEISVGKQCDWVATMQETYEPTEVADGLWVVPKWGTPPDPQATNIIINPGLAFGAGEHPTTKLCLHFLLEVIKGGEHVLDYGTGTGVLGIAALKMGAAVATGIDIDPQAIISASENLLLNGLHPNQMPVYLVSTSAQPSSLPNPVDESGENKLINNLDLESSRGTYDVVAANILLNPLLELVEDIVGYAKAGGIVAVSGILVEQVPKVKQIYSTYLDSILVSEMDGWACLQGTRRV >Dexi6B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:6B:1826651:1827428:-1 gene:Dexi6B01G0002100 transcript:Dexi6B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPMLETIRIRGCPNLLRLPALRGRKAGMKKPAVEVEKDVWDALEWDGVDAGHHPSLYEMDDN >Dexi5B01G0034310.1:cds pep primary_assembly:Fonio_CM05836:5B:34561450:34563219:1 gene:Dexi5B01G0034310 transcript:Dexi5B01G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIFIVLVTVIFLVALLSGLACLSYENYKLEEFNRKGDIESGSDTDCKWVLETFHPPELDPEEVCNLDRDNLIGCGGTVKVYRLELSKGRGTVAVKELWKRDDAKVLKTEINTLGKIRHRNILKLNAFFTGGASNFLVYEYVVNGNLYDAIRREFKAGQPELDWDKRCRIAVGVAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPV >Dexi5A01G0016670.1:cds pep primary_assembly:Fonio_CM05836:5A:19191232:19191657:-1 gene:Dexi5A01G0016670 transcript:Dexi5A01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHGVVGVVTRDLGSRSPGRTGKNRRARHHRQVAVRVLSFGLATVRRQSGQVVWDANHMLTHSAWTSWPQHGRNRASSPSSSSERHTMHSTAAPSSSDEPVVAARYTVTGSERGTSGSTPCSSKPYRRRCCLLSGARAT >Dexi2A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:2A:31545755:31547131:1 gene:Dexi2A01G0019300 transcript:Dexi2A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRFVALVLLVASACASTAASAFVGDVRVALKHIDAGKQLSRPELIRRAMRRSKARAAALSAARSRGVFRFPPGKSDQQAPGGTPVRPSGDLEYVVDLAIGTPPQHVSALLDTGSDLIWTQCAPCATCLTQPDPLFVPAQSSSYEPMHCTGPLCSDIAHHGCQRPPDTCTYRYNYGDGTTTMGVYATERFTFTSSGDVLTTVPLGFGCGSVNVGSLNNASGIVGFGRNPLSLVSQLSIRRFSYCLTPYASGRKSTLLFGSLANTLYGDDDALVQTTPLLQSPQNPTFYYVHLTGLTVGTRRLRIPESAFALRPDGTGGVIVDSGTALTLLPGAVLAEVVRAFRTQLRLPFANGSADDGVCFVVPTEAWWRRAAGTSSSSSLSQVAVPRMVLHFQGGADLDLPRRNYVLDDHRRGRLCLLLADSGDDGSTIGNLVQQDMRVLYDLEAETLSFAPAQC >Dexi2A01G0012550.1:cds pep primary_assembly:Fonio_CM05836:2A:14602085:14603002:-1 gene:Dexi2A01G0012550 transcript:Dexi2A01G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQASGRIYLRSRGASGHEGTTSSRSSQTSLRKSLQQRTPAEDAVVELVEAGAVPHRRADVAGHVARALPEREIEEAPRHVQHGGAALLLAAVADELEDASLHAASISASAAARSAGTRSLARSTTGCVQEEPGQHEVLGREHVPDG >Dexi2B01G0029120.1:cds pep primary_assembly:Fonio_CM05836:2B:37635749:37637081:-1 gene:Dexi2B01G0029120 transcript:Dexi2B01G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTVPRLDGFHCPHRCFLEPSNEIAGNWQGNLWSTALLLPGTTTAAMAARCYNRKFSGQAHKEPCWPVHPRYYTGDKTRATLAQLCQLPPLPGCHASRKQPAAPRHGTAVAAARFDSVDRCCTGSRHELGSTSDDGRSAEPSNYCPAGRTGHAACCWRAKKYANSNARRGPTPTPARSLPGQTLPLAAVPVLCFPSRKRYAPHRRASPIHPHQFTCGCADERCSASGIVMD >Dexi9B01G0044030.1:cds pep primary_assembly:Fonio_CM05836:9B:43892605:43893926:-1 gene:Dexi9B01G0044030 transcript:Dexi9B01G0044030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSKRPASSHESTETGEKLAFAEEEALTARTTEHDEAELDDVDDDDASRPSACGLGEKKRRLALEQVRALERCFETDNKLDPDRKARIARDLALQPRQVAVWFQNRRARWKTKALERDFAALRARHDALRADCDALRRDKDALAAEIRELRQRLSNKPETEVKLEAAAVTANDAAEERHQATTAGASAAAAAVYKDGSSDSDSSVVFNDVEASPYSGHAAFEQPQLPGFVGFGAPFLDTTTSCSSLPMFETKWQQGPTYPYDSYKTGGGGGGGGGGYGFTEEWLASFDVIGNDGGAGFFSEDHAASLNFGWCASGAEVWE >Dexi4B01G0010260.1:cds pep primary_assembly:Fonio_CM05836:4B:7526894:7527663:1 gene:Dexi4B01G0010260 transcript:Dexi4B01G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTLPPSPLLRTTVKREIDAVEATAHTPAPPPRKRRCRGGRLPETPTQQIPLSPILLTPQTIPSGASGGASLAGLTPTPASSTVKLELGARTDVGTRGRAAGKESSKRGVRPGARPVAAEPPTLSLNRRRLGRILRELAGAHRWREAAGVVSTYLQGTRRPGSFEETRSLFVVAMEIHKQLAEGRGVRHGHMSSYYLRTKKLFDVWMRKLIWFPSCPEL >Dexi5A01G0037500.1:cds pep primary_assembly:Fonio_CM05836:5A:38837606:38839908:1 gene:Dexi5A01G0037500 transcript:Dexi5A01G0037500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDATMAATPWDWLPASCRTIQSERNDRQRTLISRQGGRATGVLAGWRRRDVVDSQLVAASGRDAIRDPEGWSFLRMAGGRHGRRTRDRKAWDEDA >Dexi5B01G0035240.1:cds pep primary_assembly:Fonio_CM05836:5B:35287305:35288786:-1 gene:Dexi5B01G0035240 transcript:Dexi5B01G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPHIPARRGSSITEAERKGDLSLGDVKTSIVSREDETINIPSSILLQMLGKSRVTKFVLQEILSITIQEFIKKENLKVNPEIRTTQTESEMETAFRPGSAFGFNVILQLEKSDSDEDSEEQSDSSE >Dexi6A01G0001800.1:cds pep primary_assembly:Fonio_CM05836:6A:1763730:1764155:1 gene:Dexi6A01G0001800 transcript:Dexi6A01G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELWQHLPQTGDSYCREGSIMISGYPSVVKEWIDDELESEIAAVLEAVKKIKSLKPTSAYKGKF >Dexi1B01G0020070.1:cds pep primary_assembly:Fonio_CM05836:1B:26281488:26286009:1 gene:Dexi1B01G0020070 transcript:Dexi1B01G0020070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVEGQEGEEELFYESLDRILSSSGSSTSASDDDGGGSEVRTRRRRGGCDASAAALDLWTSQPAPVQERRRRLLQLLGLAGDPSLARFETGRPASEASLPASPVSRSRSGAAASKPPLGGARLRPSLSDASDAALEAAEEDPRWLIRNLDDGSEYVVREELCLREVGTGRQLTVEELGRSPIVQELMRRQAFSTPNSSCNSNSQSGASTPIERSSSSSSNGGARSKRRSSWLRSIRCVAGSMAAHSRDRRSSDEKDTSSEKGGHHSSSATDDSQDGFPRHGPARVKVRQYGKSYKELSGLFMTQEIQAHNGSIWSIKFSPDGRYLASAGEDCVIHVWEVLEFERVGKERVVEENGVCDPFAAMCYQSSELMVSSAAPTGNHWEKKLRAKVLHSGGSVSSDRLMVPEYVFALSEKPVITFAGHSEDVLDLSWSKSQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWVDLHEMVTAACYTPDGKGALIGSHKGSCHLYDTSDDMLCYKRRINLQNKKKKSSRKKITGFQFVPGSSSKVIITSADSRIRVLDGFELVHRFKGLRNTSSQISACLAGNGRYVISASEDSHVYLWRNNHDFEPSRKKGTVSVTNTHEHFNCKSVTVAVTWPFTSSTMTSLMDPRKQELDHGSKNDHVVRTGAQDMPDAKYQNTNISSNKSNHNGGWTSVSWPEEVITPAKESHSHTVCLADDGDQAIDQSAWGLVFVTAGRGGQIRTFQNFGFPVRV >Dexi1A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:1A:26978739:26982559:-1 gene:Dexi1A01G0020030 transcript:Dexi1A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATSSSASSSSTTTAAAQNPNPFNLNINLPPWLRELRCPLTFLCRPPPHPSPPPPTPPPPPPTPEPVVPRTRRLPSLRVTTEYDSEEGVFANKLSCKLAGGLAKLRLSFQSDPQGQWQGGGEEGDALQQLFSRPLLGLITKHFSVLYDIEERNALLRGDGSLPGGAVQLRASHDVKLQQGEISVITRLGDPLYKLELSSLVPYSGPPRATFHFPIGQISVEERRNEDEEKVLSVYGIAKSEFLDGVLTAQYNENNVNLRYCYKDNELTLVPSVSLPSNAVSIDFKRRFGPSNKLSYRYNFDTDDWNAVYKHTMGKNFKVKAGYDSEVRVGWASLWVGEEEGKAKTAPMKTKLQLMLQVPQDNFRNPVFLFNVKKRWDL >Dexi9B01G0030610.1:cds pep primary_assembly:Fonio_CM05836:9B:33077103:33078416:1 gene:Dexi9B01G0030610 transcript:Dexi9B01G0030610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGTWLLEKCTGVEHVDVSLRHDPCCELAVGEQLVDLTSSEGNAPFAKLRSMVHHLVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYALSRRPLPPWSSPPPSSSSVTRIQADLTDAAAATAALAPLTDTTHVFYVAWTWRATEDDNCEANSAMLRNVLSVVVPNCPALVHVTLQTGTRHYFGRIGSKTPVHDPPYTEEMPRLDMPVFYYDQEDVLFDAVVERRRGGGDVSWSVHRPNIIFGFSPRCAINFVCSLCVYAAICSKEGAPLRWPGSRGGWEGFVTASDADLIAEQHIWAGVEPMAKNEAFNCSNGDVCTWKKLWPILAGRFGLEWTGYEGEENRFKLSEAMAGKEALWAEIVEENELVATQASEVANWFVVDALADQFGLDCEILDSMNKSKEHGFLGFRNTFRSFNTCINRLKAHMDP >Dexi4B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:4B:7008749:7017308:-1 gene:Dexi4B01G0009700 transcript:Dexi4B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSTGGDGTSSPSVPPPPPTKKGGGGGEHKHASGLSGWLSSVTGRPHAPPPPPNLPAPAPAAAAAATATATATAAEVEAEESALATALASAVEERRVAREEESRKEAEDERKREVEMEKKEKQKAELEDYHMQLALEMSVRENPEAMQIEVAKQISLGSCPLQSSPAEVIAFRYWSFNALSYDDKILDGFYDICATGDEATLSTIPSLMELQALPFSHGAKTEAVLVNRALDSELVALEQKAFIMALEVRPKNAESVGWTLVQTLATLVSNYMGGPVVDPESMLLKYRDMSSALRANIRSAVIPLGQLKVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSEDGALDIVKFSDGSEASSSIHGSFENESLEKGFTPSNAGHSGPYGATNVQTDNDVSGSGVSSSFEELSISTYASENVPITHESNADHTITSKNKDKSITSNNSSSSSPPSSETSNTPAVRRKKVKDVSEYMISAAKENPQIAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSVFQTKDEMIRTMNELESTSHECYGGHGPSLPHHPGHDLKIVPYRMPLDLKPVQGLGVHHPFDSRDNANPSMPLYEPSAPPQENALQLIKQMPVTAAAVATAADNALEHEKDSVQAPQEAERISDRSTGTESARSEIALDDVAEFEIQWEDLTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVCDFGLSRIKHNTFLSSRSTAGTAEWMAPEVLRNEPSDEK >Dexi3B01G0031310.1:cds pep primary_assembly:Fonio_CM05836:3B:32429948:32435185:-1 gene:Dexi3B01G0031310 transcript:Dexi3B01G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAVVSASHGAMGSLLGKLGELLTSEYKLLIKEAKGQILFLKAELESMYAFLKKISDKDQPDEQDKCWAKEVRELSYDIEDSVSEFMLRVERESGKPRGFKGFIKRSTKLLTTMNIKHEMAKKFEGLKIRVKEASERHTRYNMGEAAPKPIDTSIDPRLLALHADTASLVGVQGPRDKLIQLMDEEGVPAHQLKVLSIVGFGGLGKTTLANEIYRRLEDKFQCRAFVSVSQKPNIMKILRKILSQAGFVAPIGTNKEIWEESDLINELQKLLLDKRYLIVIDDIWDASAWDIIRCALPENKNGSRVITTTRIEAVARACCSGDNECVYKMEKSREENFITVTDDIQDMTGHQDKIRRLSLNLEVPASFCYLQSFHALFEPLFSRVPRWICQLHSLYDLVLAVEEVLEDDVGKLAELPFLIHLNLHIYGAPKEKILIRGGSGFPALKHFIVTCSRISCMTFEAGAMSKLQTLKLQFNARGWDRYGAAPTGIEHLSGLEEIYVRIGGIKAKESNKRAAESALRDAANMHPGRPIAYISCGTSNRGYAFDDTDDESDETEEDGPPPPSNDQGQVKPSLIVETLSLSSGSTAVAAVVVFLSLDAHALMSPNEHFAPKL >Dexi7B01G0005460.1:cds pep primary_assembly:Fonio_CM05836:7B:12923653:12929525:1 gene:Dexi7B01G0005460 transcript:Dexi7B01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPLVFSLAVAFDINVLREEARARWLKPSEVYYILLNHERFPITHEAPKKPPSGSLFLYNRRVNRYFRRDGHTWRRKKDGRTVGEAHERLKFGMIINFVKRLLLSVLCLRYGIFSSSQVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAECPKLRMNEELWDRLLDELKLGCSFDCREKMVAALLAAGASATAVTDATVQDPVGKTAAFLASARGHTGLAGYLSEVSLTSYLASLTIEESDASKGSAEIEAERAVESITQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDEIAAASRLYHQAHASGGQFYDKAAVSIQRKYKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRPEQQPMVEAVEDDDEEDDDFEDDEAVKVFRRQKVDQAVKEAVSRVLSMVDSTEARMQYRRMLEEFRQATVRSS >Dexi5A01G0000290.1:cds pep primary_assembly:Fonio_CM05836:5A:219047:222679:-1 gene:Dexi5A01G0000290 transcript:Dexi5A01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITSMAAAASSSLLLLFLFANAALPLLALNQDGLHLLDAKRALTAVPGNALADWNPRDATPCNWTGIACNAAAVTDVSLPGLNLAGPFPAALCRLPRLRSLDLSGNYIGPDLLAIARCRALQRLDLSINSFVGPLPDALADDLPDLVYLNLQSNNFSGAIPDSFARFPRLQSLSLVYNLLGGVVPPFLGAVSTLRELNLSYNPFSAGPLPPQLGDLSDLRVLWLAECNLVGAIPASLGRLASLTDLDLSTNALTGPIPPEVVTGLSSAVQIELYNNSLTGPIPTGFGRLLNLRGVDLAMNRLDGAIPEDLFRAPKLETVHLYANLLTGPVPESVAEAPSLVELRLFANRLNGTLPAGLGRAAPLVCLDVSNNAIEGEIPPGICDRGELEELLMLSNALSGRIPGALGRCRSLRRVRLSGNRLAGDVPDAVWGLPHMSLLELNDNRLTGGISPAIAGAANLTKLVLSNNRLTGPIPSEIGSVSKLYELSADGNSLSGPLPGSLGGLAEMGRLVLRNNSLSGQLLRGIRSWKKLSELNLADNGFTGSIPPELGDLPVLNYLDLSGNELTGEVPTQLENLKLNELNVSDNRLRGPLPPQYATEAYRSSFLGNPELCGEIAGLCGSNGQSRLRGRTRSSARFAWTMMFVLAAVILVGGVAWFYWRYRSFVGSRNSKLERAGEQRSKWTLTSFHKLSLSEYEILDCLDDEDNVIGSGASGKVYKAVLSNGEAVAVKQLWASKEEADDSFDAEVRTLGKIRHKNIVKLWCCCTHASNKLLVYEYMPNGSLGDALHSSSKAKAGLLDWPTRYKIAVDAAEGLSYLHHDCVPAIVHRDVKSNNILLDADMGARVADFGVAKVVEPKSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTMEQKGVDHVLDSRLDIGEFREEIVRVLNIGLVCASSLPINRPAMRRVVKMLQEAINY >Dexi4B01G0020280.1:cds pep primary_assembly:Fonio_CM05836:4B:22489916:22503043:-1 gene:Dexi4B01G0020280 transcript:Dexi4B01G0020280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFSFFTEDGKGCSAVLNRSALVYEASDGRSLFSVSSALDYADKSTFGAAIMGGTKLCSRHLPQDIIYCVGGVSVFFPLFTQFFDAATDVAQSCHASVDNDKLAAEVIELVATVLDGNVSNQQQMYLLSGLSILGFLLQSATPQLLTTKTLSALKYMFDILRKCGMSKVLLKDAISQIYLNPQIWIYASYEVQRDLYVFVIKYFETDGRLLPHLCGLPWIIDIVCRYYWEKADSRHVVGSKPLQHPVTKDVIGERPKIVEIRKLRLLLLNLAEMSLKLKISPDDIRALVAFFERSQDIECIRDILDMIIPALSQGSFLSSFMENVNCLGGCCIFFNLLNSVLGGTTAQQVLQENSESDSSRDESCNHSSLAPFSLPEILVCIFSYMHSCQDSSARKRILNDILGSLDSHPSNIEALMEHSWNTWLETSTNLDVFKNYKSVSKGELDVVEKDELNLVRNLYSLVLSYYLRAVRGGWRQLDDTANFWLLKIDQGQLSSFDFLRDILDDIAGILLQESVEDNIFLVQPCCDNVLYFLNLIQELLFDQMGIKLLFPSPNLSEESSHDNIWREDIKARLNDILNTESNSKCTSLLWTACKFSDGNNVSDDWWSFFDKVWIIICNLNGKGPSKLLQKDPNVEVASLGQRPRGWVESVNVPASEKAAVVVPGGIGTALAVKMTIFAEKTTTSRDEIIPRVFFHLVILYLCKAGSGNVSKCVLQFMSLLPILLISEDDQSKNKLHFLIWSLLIVRSQYGKLDDGARFHVFSHLILETVIYGKSMLVTNILGRDDSVEVKNNKETGFILSFIQKDRVLAAVASEVKHMKAVRADRLKQLTELQLKLNESSAKKTQLAQAVEDEIHFIVSAALSADDSRKAASQLAFREDQQMITDKWIHISRALMDERGPWSANPFPNDIVTHWKLDKTEDRWRRRFKLKRNYKFDERLCQPSQSTNESTDPSADQPYISAKIPEKMKRFLLKGVRGITEDTGYEPFDDTSDASKSPQSNPLESQNTNNAADCSDYHAAVHDKKEPSSTNGDNDYTKVLCSVRCVLVTPKRKLAGCLDITRTVMHFSFEFLVEGTGGSSVFTKFKDKKDSDCKNELGGADRLDRCRDGMIETHGVLMQNQSNKIKRHRRWNIAKYPIFPWVLADYTSEKLDFNKSSTFRDLSKPVGALDENRFKVFEDRYLSFCDPDIPSFYYGSHYSSMGIVLHYMLRLEPFTALHLSFQGSPDEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEVQCAANIFYYVTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRMKHPRRGPPIPIAHPLYFAPQSITLTSSVSSTISHMCAVLFVSLLENTVVLMNEGLVLSVKLWLTTQLQSGGNFTYSGPQEQFFGISSDVIFPRKIGTFLAENVEFGRHCLATMQNNGDNYMILCGNWENSFQIISLSDGRIMQSIRQHKDVVGCVA >Dexi2B01G0023530.1:cds pep primary_assembly:Fonio_CM05836:2B:33029338:33030024:1 gene:Dexi2B01G0023530 transcript:Dexi2B01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPLKTSVSTVDDCSAALSSITACRMPKHQRVITCFVPTSTVISSNAEQSLTLNFLSNIIAEMVDMGTTVRSSITRYVTTSFTYPPPPSPGRASCASLPLPCASPPPGAHRISTVIGCLHAGHSTPDTSVTFMGFPRHGTLYAEHRKYRRGGGGGGGGGSAPSPPSSGARAAEGSAGLRSASRSGGEGAEVKKASSRARAPA >Dexi9B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:9B:619831:621569:-1 gene:Dexi9B01G0001080 transcript:Dexi9B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATAEATARRQAVSFVLGCVVALTVVVLLQQRRPEELTRPRAPAVQFFGWRTSSSTSSGHDDDGTSSSPSTSTAAAGSPPAIRAADHQAANATKHQPAADTSAATTADDVVRCLPASSAHRQQEGGKDDADDAAEAEEDDKFRGLAAAVARAATADDRTVIITCVNQAWAAPGSLLDLFLESFRVGDGIAHLLGHVLIVAMDPTAMARCRALHHPHCYLFTMPGVDFTSAKFFLSKEYLELVWSKLKLQRRVLQLGYNFLFTDVDILWFRNPFKHVTAYADMSISSDVFFGDPDRLDNFPNTGFFHVRPNNRTIAMTKLWHEARERYPGMNEQPVFNAIKKGLVADLGLRVQYMDPAFVAGFCSYGKDLRKICTMHANCCVGLANKLRDLRSVLADWNNFTAMPHWAKHNARWTVPGACIH >Dexi8B01G0009920.1:cds pep primary_assembly:Fonio_CM05836:8B:18229934:18231579:1 gene:Dexi8B01G0009920 transcript:Dexi8B01G0009920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSTQELAGDFAGDLVGASSEHHAVELPLHNAILRLELEEGAPKFLLPPPFLTSSKLKQEAPSPSPNHRSTPT >Dexi2B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:2B:8196965:8203309:-1 gene:Dexi2B01G0008010 transcript:Dexi2B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSGVLAWLVLIVCSSCLQETPLVRAQQQAPRTDPIEAAALNTILGRWGGQITAAVAARSSPAAWNISGDPCTGAAVDGTGLDGNNDFNPGITCACPDDGDNTTVCHITMLYVTKVYALNVVGQIPSELQNLTYLTYLNLDQNYLTGPIPSFIGQFSAMQHLSLGFNPFSGPIPKELGNLTNLNLLGISLDNFSGGLPEELGNLSKLEQLYIDSSGFSGPFPSTFSKLKELRILVLHGNSFEGPIPVSLSNLTRLTNLIVGDIVNGSSSLAFVSNLTSLSTLILRNCKLSGNLEAVNLSKLANLILLLSFITTCNFNFSYVYSILPSGLNCLQQDTPCFLGSPEYYSFAVDCGSNRSTMGPDNTVYDVDPTDLGASSYYVNGHTRWGVSNVGKYNQAANGSNIIYSSIQQFQNTADPELFQTARMSASSLRYYGLGLENGNYTVVLQFAETAYPDTQTWQSLGRRVFDIYVQGFLREKNFNIRKAAGGKSFTAVSKSYTATVSKNFLEIHLFWAGKGTCCIPRQGYYGPMISALSVTPSKLGLICVFSDFTPTVRNGVPKKKTKASAIAGIVISASVLGLAALFGIFIFTKRRKRIARKKQELYDLIGQPNVFSYAELKLATDNFSPQNLLGKGGYGPVYKAWGMYEGKQPLRIMDTRLEEFDTEEALRVIHVALICTQGSPHQRPTMSRVVAMLTGKAEVVKEMVRPSYVTEWQLRDGTSSCSTSSYWESTTPDFSRRQKEVDPLTLSPTITGASDEIEGR >Dexi5B01G0000280.1:cds pep primary_assembly:Fonio_CM05836:5B:190608:193697:-1 gene:Dexi5B01G0000280 transcript:Dexi5B01G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSFLLFLFANAALPLLALNQDGLHLLDAKRALTAVPGNALADWNPRDATPCNWTGIACNHAAAAVTDVSLPGLNLAGPFPAALCRLPRLRSLDLSGNYIGPDLLAIARCRALRRLDLSMNSFVGPLPDALADHLPDLVYLNLQSNNFSGAIPDSFARFPKLQSLSLVYNLLGGVVPPFLGAVSTLRELNLSYNPFSAGPLPPQLGDLSGLRVLWLAECNIVGAIPASLGRLATLTDLDLSTNALTGPIPPEVVTGLSSAVQIELYNNSLTGPIPAGFGALDSLRAVDLAMNRLDGAIPEDLFRAPKLQTVHLYANLLTGPLPESVAEAPSLVELRLFANRLNGTLPAGLGRAAPLVCLDVSNNAIEGEIPPGICDRGELEELLMLSNALSGRIPGALGRCRSLRRVRLSGNRLAGDVPDAVWGLPHMSLLELNDNRLTGGISPAIAGAANLTKLVLSNNRLTGAIPSEIGSVSKLYELSADGNSLSGPLPGSLGGLPELGRLVLRNNSLSGQLLRGIRSWKKLSELNLADNGFSGSIPPELGDLPVLNYLDLSGNELTGEVPTQLENLKLSELNVSDNRLRGPLPAQYATEAYRSSFLGNPELCGEIAGLCSSNGQSRLRGRRSSARFAWTMMFVLAAAVILVAGVAWFYWRYRSFVGSRNSKLQRLGEQRSKWTLTSFHKLSLSEHEILDCLDDEDNVIGSGASGKVYKAVLSNGEAVAVKKLWASKEEADDSFDAEVRTLGKIRHKNIVKLWCCCTHGSDKLLVYEYMPNGSLGDALHSSSKAKAGLLDWATRYKIAVDAAEGLSYLHHDCVPAIVHRDVKSNNILLDADMGARVADFGVAKVVEPKSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSSMEHKGVDHVLDSRLDIGEFREEIVRVLNIGLLCASSLPINRPAMRRVVKMLQEVRAPSRDANLLSTPCYYYEDAASDQQSASV >Dexi9B01G0045050.1:cds pep primary_assembly:Fonio_CM05836:9B:44713123:44715040:-1 gene:Dexi9B01G0045050 transcript:Dexi9B01G0045050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSFSKKTQTPSPQDSQLDQLDPPVNYTTENKMASNARNQEKQRGSQDSPARQEDGAPPLIREENQQGSLPKSQETSEQEATCLSPGSTSCKLTNQAPLPSKKEPQASVQIEPCPPTHPLLTGRDPAARTAAAHAAAAGVGAHTSSCQLEAAATPRSTPSPPRAARGEVEVRVEMRPDRLTRFWLDGGGGAGWSKQSGRRKESGQPGTDRAQVVRCGSYERERCGFFGFSLPLVHLR >Dexi9B01G0033180.1:cds pep primary_assembly:Fonio_CM05836:9B:35358467:35358858:1 gene:Dexi9B01G0033180 transcript:Dexi9B01G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVHYLTAASFLVLVMISSNTPTCQACIGRWCRPTPCFPVTNMDYSSCQDVCEYHGYKTNRAYLKRPKRGSLQWSCCCPPPRSLVY >Dexi5B01G0034350.1:cds pep primary_assembly:Fonio_CM05836:5B:34590508:34590948:-1 gene:Dexi5B01G0034350 transcript:Dexi5B01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFISHGSPTLSIDDSMPARHFLKSWVPTGLAGPHPPRAHPRRATHNLSRITRHGQPPPPPRWAVEFDAWLRETLVGGRHGDVKRYREAAAPHAEHLYPLHVALGAAGDGCEAELIHHSWSNASLSYASYRFTSSRN >DexiUA01G0008620.1:cds pep primary_assembly:Fonio_CM05836:UA:16256812:16257228:1 gene:DexiUA01G0008620 transcript:DexiUA01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHRASAAAARKHLRVLLPFSRDRLRIPDELAEDIGVAEALVVGRVKVWPVEVEREGGGGVFLGRGWPEFADASDAARGGPAPPPPRPWRAHLQVLRRQLLP >Dexi3B01G0002860.1:cds pep primary_assembly:Fonio_CM05836:3B:1902064:1903758:-1 gene:Dexi3B01G0002860 transcript:Dexi3B01G0002860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVPRGGGEKVGVMAIEVAALMSRAAGLWRALGDDRLAGLRDESIRLEGVRRLVADDDDALLSLALAEVAAACRDLSLAVSRLSARCADPLLRRFDALFSALVAKGGDPHGLRYAADKKMDRKARKMQRLVAFTSHLCQELDVLAELEQALPLRRRDARAGSGKRAAAAASGGGGESSRRLARQRQEVERLRAASLWNRTFDYAVRLLARSLFTIVARITEVFDLEPTTISISSVDDDESSRVSRLSWSASFVGGGSTQSMVYPSDVVAAAADTPRRMPRARSRKVTAGDARRFLMSRSKSLRQQLRWPAAGRHLVGCVIMGGSNSPVRGGWLHGSDADLPLSFSYVSAAATLNSDDYSSSINFSQSRAADHHTNATKPFSTSVFESSGTALINAPESCLGGAGLALHYANLIMFIEKLAISPYHICSDERDDLYGMLTGTIRASLRARLRPFAKNDTPCDAVLAAEWSDTVQRILGWLAPLAHNMVRWQAERNFEQRNVASSGTVLLLQTLHFADQGKTEAAVTELLVGLNYLWRFGRELEAKARLESAGAGKRNAYYAG >Dexi6A01G0001650.1:cds pep primary_assembly:Fonio_CM05836:6A:1552685:1554701:-1 gene:Dexi6A01G0001650 transcript:Dexi6A01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGAATSWLTAVKRAFRSPSKDDSPSPARKSSRLRDDSPAAADPSDADDKVSLLSSILLSSSSSPPGKREQRRRWLFRRSSSPSPSPAAPPPPVPDPPRQHPAVTDEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPGTSSGHHHHHHHRTTSFVREHYAAVSIQTAFRGYLARRALRALRGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSMSMSGAGVAPCGSSKSSYSVDTSAFWDCKYTQDYADRRSVERSRDGSSFAAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQLWRNPPGPAAAEEMDVDGQQQPRWAERWMASRASFDTNRSTIRGAAAAATAPGRASMEHHRSSGEPVVKTLEMDTARPFSYSTPRRQSSSPMHHRAAAHHGHSAITPSPGKTRPPIQVRSASPRVDRGGHGGGGGSYTPSLHSQRHHHHGGAAVPNYMAATESAKARARSQSAPRQRPATPERDRSSSGGGGWGSAKKRLSFPEVQQQAVDAQSLRSPSFKSAAGRFESEQRSTVSSSCAESLLGGGDVVVSPSSTTDLRRWLR >Dexi9B01G0045810.1:cds pep primary_assembly:Fonio_CM05836:9B:45282334:45287691:-1 gene:Dexi9B01G0045810 transcript:Dexi9B01G0045810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIRWQHRSNDPASPSGQPQQAQGQPPPTSPSPASSPSGTGAAPALSVSTASSSPPSASAAATPTGAGAGGGGGGGGGGEDYISSEEEFQMQLAMALSASSNSDCVGDMDGDQIRKAKLMSLDRFAAHRDEGHTAESLSRRYWDYNFLDYHEKVIDGFYDIFGSSMESSRQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEIEQVAQCILLDFPVANIALLVQRIAELVTDNMGGPVKDANDMLARWLEKSTELRTSLQTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGGSDDDAINIIKMDNEREFLVDLMAAPGALIPADILSWKGNSLNSNRKLGLNQTAGSSSSIDANLGPSALLPEPKGGQMPLFSSGDWISDSKSGYETAAATASSQTSSSVFDSSWTLVSHEQLDGPSTSAGTSSQEKVVLEGEHPQNLNRVPDLQENPESRNLFADLNPFGGIESKKTSVAFKGPDNRNNDLQKRRENVAPSAGRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNVGNNAASSSSQMPRPATRNTNLNAGLRNDTSYAAQLHNYDNIKAGPSAMKITSTAETGNVPERVLHGDVERRPTNSRLEDQHGLVQPAHERLPWGNPAEGRVPVNRVQNQAKQRIENLDVKQDHKKLLPDPKKSPLDRFMDTSTPSRHIDVRSQRLDFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVGELLSVCILEFTKYVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCLIDEKRRIKMALDVPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIYECWQKDPNLRPSFSQLTNALKTVQRLVAPSHQETQSPPVHQEISVNSTP >Dexi1A01G0026520.1:cds pep primary_assembly:Fonio_CM05836:1A:32393132:32404132:-1 gene:Dexi1A01G0026520 transcript:Dexi1A01G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHGPRQPMSPAISASAVVPQQRQMQLHHHPARAAIADLFTLYLGMNSKQRAADPSRESSNKLQKRVTALNRDQPRPDEQFISDYEQLRMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLGWDSLLPSLLNTVSSMEAPMGQGVPVETTRKIISFHKEDKSLEANNIGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFMNLDERATGMFWVLSFTMAQPACEAVMNWFTSAGMQDLIQGPNMQPNERIMMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRHFPPLIRDFLMGRMDKRGQAIQTWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHIEINSANLARVLRELSPEEVTANIYTMVDVLLHHIQFEVQRGHLAQDLLSKAITNLSFFIWTHELLPLDILLLALIDRDDDPYALRLVISLLEKPELQQRVKAFCSSRSPEHWLKNQHPKRVELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSSLLAFHPLRFTFVRDILAYFYGYLPIKLIGRILNLLGVSTKTLALLFNVLGDVFGKNSQVSNPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKTDLNCSIYAATHPKLVQNPS >Dexi1A01G0030070.1:cds pep primary_assembly:Fonio_CM05836:1A:35424211:35425781:-1 gene:Dexi1A01G0030070 transcript:Dexi1A01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYKKPPDGLLLITDNIYGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNRDHVDMLWNAKDQFPKDFRFEVSVYAKWQTRLLLFTSIFTCNFC >DexiUA01G0020190.1:cds pep primary_assembly:Fonio_CM05836:UA:42129093:42129330:1 gene:DexiUA01G0020190 transcript:DexiUA01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNDIYCVGFQNGASQSEDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYNCKY >Dexi8B01G0005880.1:cds pep primary_assembly:Fonio_CM05836:8B:6266339:6266704:1 gene:Dexi8B01G0005880 transcript:Dexi8B01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDDKKVKAIEYVGALKTLYGNGQSTLCLVYNATGETLYYVAHRDWYGYINDSKEGYPAEIGNGQWGAFHHVHRQGEPSVGAVVYRGKRKDGQDQEYLLAWSTPWGFYYRNKVPCIKA >Dexi5A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:5A:23532431:23533472:1 gene:Dexi5A01G0019590 transcript:Dexi5A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQANSSSYYAVLGVHPGASAAEIRAAYHRLAMRWHPDKVASGRTVDPARAEEAKSRFQQIHEAYQVLSDEKRRALYDAGMYDPLDDDQEDVEGFHDFLQEMISLMATVGREELVYSLGELQSMLDGMIQDFATSQPEPSVVFSGGESPFGAPSGADQSTTSSRMHPQGIGDSACFSRTAFSR >Dexi1A01G0014630.1:cds pep primary_assembly:Fonio_CM05836:1A:21360536:21362044:1 gene:Dexi1A01G0014630 transcript:Dexi1A01G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAAATVLDIAEVSFSDLAFLQYPETPADDRRRRRVLDTVATELGRGGSGLLAIAGVPRMGALRRRLLPLSRRLALMDRPSRSQILKKHGLGSDVPLKNPDRSVSSFAKLLRHSGKFALVELVNNTESINNGFVCLEKIHGSDRSEEGNGDDDMENLGELVEELGLYMMELGILIARACDIVIGGGQLEQSITDFGTAKARLIHYHSELDNIIMREKESSTKRKCSSKKVPVKPYQLGSQRRSESLSPCCIKSDDRTPVMVGKDNDSRDTSVQGKASEISLLNLWQEWHYDFGILTILTPPLFLSGSEGEKCLVNQEYHHPIGHTHLQLCNGRKIFSVRCSPESFIVQVGEAADILSTGKLKSALHSVSRPLSFTDVSRETFVVFLQPSWDKTLSYSGYTSDTEEQLSHKNGTSILGNGSAGYCDEDVRMQEILEKIPPLSSRLTEGMTFAEFSRQTTKQYYGGGGIQHNN >Dexi9A01G0028400.1:cds pep primary_assembly:Fonio_CM05836:9A:33085218:33087434:-1 gene:Dexi9A01G0028400 transcript:Dexi9A01G0028400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFECLLRLLNFVLTVAGLAMVGYGIYLLVEWTKISKGLSGGSPAEVLVLGRPLLGAVALGDSFLDNLPKAWFIYVFIGVGAIIFLVSLFGCIGAGTRNTCCLCFVIPKDKTHNFDVMYDFLKKNWEIAKWVALGVVAFEYGLDTNQFTYNPSDPSRYQQNGVPQAEERSRCTIM >Dexi9B01G0032750.1:cds pep primary_assembly:Fonio_CM05836:9B:34956954:34958322:1 gene:Dexi9B01G0032750 transcript:Dexi9B01G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSTPATTEPSSPEATWNNKIGSPTPSSQEPPSPSPGAGEDEEANNGGGVDHISGLPDAILGDIITILPTKDGARTQALAHRWRHVWRAAPLNLDCRRRGLPEDDDEAIAAAISRILSVHHGPGRVFCVPAHHLHDRPAAVDAWLRSPALDGLQEMELCYPRRRPQLDHPPPLPASTFRFSATLPSATFGQCHFPDDGAAAVQGIRCLPLLRQLALVRVRISEGSLRAMISSSSCPALECLFLDSSHGFRRVRINSTRLRIIYVRTDYYGPDLLFVELVVEDAPCLEKLLCAERIGFQVSVMAAPKLQTLGSLSNWSSSWSSPRHVFGSTVFELLRLVSFASVVCSVRVLSVDLWIGDLDMIIDLMKCFPCLEKLYIKVTIIFFTTIHLIVQLIF >DexiUA01G0027710.1:cds pep primary_assembly:Fonio_CM05836:UA:59254137:59255031:1 gene:DexiUA01G0027710 transcript:DexiUA01G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSTLSHGPFPPEETTIPAADTAVATASMITCASRTILNPFTPPRLYTSSAAGRYSANSSAAPSVAATPTITAGDGEVVAEGEEEGGEGEEDDGVDVGEGERDAHERGGEGEREGKLHGEEEGGGGDGQVGGAARVEEVVEAHHEAEEDAAEDETWGEAGEELGRRRTGEEDDDEEEGREAGGLDQRGEPPVRAAVGEVETGEEARGQRADEEGSVGDGQQQRAAHGAVAEHRRGAAEVMEVWWWLQVVVGQQAGAAAAWWRP >Dexi3A01G0016920.1:cds pep primary_assembly:Fonio_CM05836:3A:12827680:12828988:1 gene:Dexi3A01G0016920 transcript:Dexi3A01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSALAAAALVSVPFPASTSTSSSRVSAASRHRGPRLFRAATVIRCSSASPNLSQGTPAPAPPKPQIELEFVGPKPGEDGSYPVDRAEATSGEKLLRDIMNENKIELYAAYGKVMNCGGGGSCGTCIVEILDGKELLNERTNTENRYLKKVVVQRLPQWKK >Dexi9B01G0014070.1:cds pep primary_assembly:Fonio_CM05836:9B:9396097:9399541:-1 gene:Dexi9B01G0014070 transcript:Dexi9B01G0014070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSLWPNGGPVTSTALARSCRAWHGHDTKMGQHGLGTVPSPAPHVCAAPPLPPAAAAPSLPPPRLTHPSALAIGEWHRHYGLSPICFLVLKHHADKVQAALRRRDQMRLTSIKGLARFILGAMPILKHVVLLPSPPLHSASSTEPSSSSPQQRLATLLGSAAFVNADLSLSLSLSLSLSLSLISNEIEPFCSRDDRNQGKKSAEEASETGGGISSHLVMPEDGYEWKKYGQKFIKNIQKIRYLISSINQLLAAVDEF >Dexi5B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:5B:12720123:12721307:1 gene:Dexi5B01G0015470 transcript:Dexi5B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPSETAEPEVRAGAEAEQQHLSALEKKFEALPQLVQGLSSDDSSLQLEAIKELRELLSIELNPPIQEVINSGAVPFFVQMLTRDDCAQIQFEAAQALTVIVKGTSENTKVVVDQGAVPSFVKLLSSPSEDIRHEARKTTNYWIFNCS >Dexi3B01G0007380.1:cds pep primary_assembly:Fonio_CM05836:3B:5250874:5251314:1 gene:Dexi3B01G0007380 transcript:Dexi3B01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAVYWAALLLLLAMSAAVAAPPTTVQVTDLAGAEERSDLLPAHHNGDKEEDTEAGTGRGAMAGDVASLASAHEGGKEVGSDLGEPRKLDGEDDDKSDSDSDSDSDSDSDSDDDDEGQHSKDGNKKHPAPGMDDELPKIIKM >DexiUA01G0025430.1:cds pep primary_assembly:Fonio_CM05836:UA:53677029:53677902:-1 gene:DexiUA01G0025430 transcript:DexiUA01G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLQLYDSDTRRWTSRNLPVHLEPERDMDLPIPDSDADLFFHDTTKAIALESTTVGWVDLWRGILFCNVLDEKPVLRDMPLPKPARCSRGSYRGDPYVQRDISVVALPGQPQMNLIQYVQMGTRVVRSSRWQPVEHSTSGSSSDEHYDVDCYWTATIWTMPFIMHMSG >Dexi7A01G0019590.1:cds pep primary_assembly:Fonio_CM05836:7A:28721612:28726909:-1 gene:Dexi7A01G0019590 transcript:Dexi7A01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPARHMPSMIGRNSAAPVYGSSSALSLGQPNLLDSPHLQHALQQHHLLDHQIPATTAESSDNIMMHGGRSSGDPLGDEFESKSGSENMDGVSIDDQDPNQRPSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENSQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLREEIDRISAIAAKYVGKPMVSFPVLSSPLAAARASTLDIGIGGAATYSAADIFGGVNVGAGELLRGAVQSDADKPMIVELAVAAMEELVRMAQLDEPLWNMPGIDGSSSETLNEEEYARMFPQGLGPKQYGLKSEASRDSSVVIMTHANLVEILMDVNQYASVFSSIVSRAATLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADATWAVVDVSLDSLRPGSVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKPLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSAEGRKSMLKLAERMVMSFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNVSSAMRAANFRAFISGSQERTSDSPCHVVLIVGYYFCLVSAKFTEIPRNVSVIEENVSPLRKGSWFGY >Dexi7B01G0023010.1:cds pep primary_assembly:Fonio_CM05836:7B:27752646:27754902:1 gene:Dexi7B01G0023010 transcript:Dexi7B01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAATRRLLHLRLGLGLCLRSRPVTPRSSWAKGMARFRREPLRRSLVSCRQGDFEEGNGAMDKAMRSPHEQLSHCKDGNGTNLDPIGKIFANELAQLSLEQEASDDVVGGISESVVRDVGKSAIELLAARAFTVSELRKKLRSKKYPADAVDAVALRQKGVPEAEVDQATKRVFQDGHGYGKEATYGISEASMDHLFAQASKQWQRGQNLTLENRRARIVRWLQYRGFNWSVTNAIVKKVEAQHPS >Dexi2B01G0023270.1:cds pep primary_assembly:Fonio_CM05836:2B:32793028:32793390:1 gene:Dexi2B01G0023270 transcript:Dexi2B01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDMEDDEDIWGPNTPSSPSASPPQPVAASSPCSAFISTQLSLNSRLHFLSSAAAAGGSSPPHSACAGAGIYAAGDVHRHMGLGGGFGNAAAASPAPFFSYNLDSGGCGGVAPSTPAC >Dexi7B01G0000360.1:cds pep primary_assembly:Fonio_CM05836:7B:982103:984794:1 gene:Dexi7B01G0000360 transcript:Dexi7B01G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEINPPPRREPQDTTATAEQNPAASDHRPRPHLSIDIPAASSLPDPLLTLTPTDITPTPTGSSSTTRRGAAAGSIPITPVSSISSRAQKLRSPSFMLRQTVKSLLPVGSFKSSVKGYEASLSKFFSSKPPSKVMARTSSLPLDDVAAGVDALSSRQTDVDKSSATSTATSLPMSMKKFNAKSFKRMDSLGGMYRVVPSTPRTPAASNVVPDIVPSEPGVGEDDGEDIPEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKDCAMKWFSLKGTRTCEVCKQDVQNLPVTLLRVQSVQREPNRVSNGGSRSRYDRYSVWHGTPILVIISILAYFCFLEQLLMAHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLFVVGFTHLFYRYLHLQAVISIILATFAGFGLGMTGNSIIVEILRWRARQVAPPIQARRDRRARAAQQQAPASDQPSGQSSAAATAGVQHGTVTGDVENQQAVPQV >Dexi9A01G0045400.1:cds pep primary_assembly:Fonio_CM05836:9A:48951843:48955391:1 gene:Dexi9A01G0045400 transcript:Dexi9A01G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVIESMGSSCSRPHSLNEAEAAENAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKVLYDGAKELAQVEPDSSKYVLSPDNQETGEKLSEVGANLDYPLLNKETVEDVRKLWQDPAIQEDVLHARVRTNGVVEIQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDMFERKIQKVPLSVCEWFKDYQPTAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGA >Dexi9A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:9A:6463949:6465297:1 gene:Dexi9A01G0010560 transcript:Dexi9A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMEEPSFFHQWQSDALLEQYTEQQIAVAFGQGEVDDQAAFAAALMPLQQQQPAAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHATAAFAKAEVPTSAPCYYGGAPAKAPKQEVLDTTAPSSHHAKRSYDAMAAEVAMAPAPATTRPASQNQDHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEDEARRRPVEAAVLVKRSQLSAGDDDGSSCDENFVGAEAAAGKALPEIEARVSDRTVLIRIHCENRKGVLIAALSEIERLGLTIMNTNVLPFTTSSLDITIMAMTGDDFCLSVKDIVKKLNQAFKTSFSRV >Dexi2B01G0003880.1:cds pep primary_assembly:Fonio_CM05836:2B:3444326:3448059:-1 gene:Dexi2B01G0003880 transcript:Dexi2B01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFVSHWANQPEKLPKPPGSAANGAQREPSAFTTVAGRFWKAMAEASRTTEARRDAVFGRWVVFSPARSRRPTDLKSHNPTNPSPAAGEPRPSCPFCAGRESECAPQIFRVPPDGSLPWRIRVIENLYPALRRDLEPPPAAAPDAEEEEEPGERAVPGFGFHDVVIETPSHDVRLWDLPAEGVRDVLLAYAARVRQLAEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTSRLNCMKEVFDRSGNCSLCDFRSKDILISETPNFSAIVPFAASYPFEIWIIPRQHLSYFHEIDQHKALDLGSLLKTMLQKLSKQLNDPPFNFMIHSAPFGLSSSCLPYAHWFLQIVPQLSVIGGFELGSGCYINPVFPEDAAKILRELSCST >Dexi1B01G0028000.1:cds pep primary_assembly:Fonio_CM05836:1B:32645359:32649777:-1 gene:Dexi1B01G0028000 transcript:Dexi1B01G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGTLDLASGVGGKINKAEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLTPGMKELNRLAGVSGTCDFVKVKALEYVGLAPQGSERVSDFLEKAAEGLVEGGKESK >Dexi5A01G0030070.1:cds pep primary_assembly:Fonio_CM05836:5A:33079689:33080785:1 gene:Dexi5A01G0030070 transcript:Dexi5A01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMDSQADHT >Dexi4A01G0020220.1:cds pep primary_assembly:Fonio_CM05836:4A:23968708:23968966:-1 gene:Dexi4A01G0020220 transcript:Dexi4A01G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSWLTLPKLCRQILGWNSIGQSWPPTAHGLDAVYPLGFSLVAVKPLILNTCTERAASAWRWVACSGMGRGDVVHLS >Dexi9B01G0031280.1:cds pep primary_assembly:Fonio_CM05836:9B:33674574:33675331:-1 gene:Dexi9B01G0031280 transcript:Dexi9B01G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDEQDAAQGKSNGAAAASVAHSFSQLPFTHLTGDKPPPPPPIRLFGFDVPPPDAAASLSVANDASAAKPGTTSSAEATHAPTTMAPPPGPGPGPGAAASGGRRFECRYCCRNFRTSQALGGHQNAHKEERQHAKRARLHTAAMAMARFPYYQLNPAAHHLLYRPANYATAPPPPPHYPAWTAAGAAYYVAPRPPHIPPAPHQNIGSPAMPNKLWRPRGGGGVGVAAATPPARGS >Dexi2B01G0028220.1:cds pep primary_assembly:Fonio_CM05836:2B:36890342:36892227:-1 gene:Dexi2B01G0028220 transcript:Dexi2B01G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNLAHLPVCSSDHRIRDDLPEDIFEHVLAFLPAEDAARSSLLSKRWRNAWTRARALNLSDEHHHGRRFLPFARAVLARYGSPEIPSLNVVIGRESSLGPGTVAWLRGAMERVVGSVSVTVTAPGGALDPLVLPPRLRAVSISLTLSGGGTGSKHGRLAFPSVSGAAGATSSYDALEELSLSRVQLQERVGASLSSWCPRLRKLRLRKVSGGGGPTWPLVVRMEQLEELEMDEVNSAVVEISTPRLETLIWHGGGFTKLISFLAGSQRSIRRLAGLCFYLPAKESRSFTAVRLLEVCSEANEISARIDIPEHCSPSWLSREHLEHVPKLPNVRVLSLQVVAVLQFITCPIAPIILSFIRRCPNLRWIHIDLTRTHWFSKSYPNYLMVPDDDDAREEEALLLQPSDSDRLKAQREEMHLASLREIRLSGFMGTCQEMEVADLLFGAGAMRPSLEKVSVSLFPRLIRQGAEGGSVVTTPTFDWMSATPAQLWRHLQAVVAKVQTQFPLAGGRWETNSGEGLAWTRTKSSSVAGL >Dexi6B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:6B:2827320:2830949:-1 gene:Dexi6B01G0003430 transcript:Dexi6B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRYGIVGVGMMGREHLHNLAHLAAEVEREQFVKVRVTGLADPHQESLRLGLQLADELGLPAPLTFSGHRELLDSGLCDAVIVSSPNMTHYEILMDIIGHRQPHHILVEKPLCTTVQDCKKVIEAAKQRPDIIVQVGLEYRYMPPVAKLIDIVNSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDELYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRLGKRTEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRAQGASGPSVNLSDGLLSVAIGVAGQLSIEKGRFVTMEEVLGS >DexiUA01G0025120.1:cds pep primary_assembly:Fonio_CM05836:UA:52674920:52676378:1 gene:DexiUA01G0025120 transcript:DexiUA01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIFASTTNVSGSLYGPKPRAGKRFTRKPDKLCSPFKYGVMIRPPPIVDASLNLFARLCADDSIYRSTTVMQFGTTHLTGSFIAKSFADDALPDSVFMSCFVKCLQYDDFWIRPECFGYRIFLNPEVSILLPVLHHYHWSVYCINFAQSRIDVLDSMDYDSNNYHSWDMFHSDMGAKIMNRLSDALSEAAPHKFKSFKNWRHVQVKVPIHKNPSDSLFFAMKFLEYYDGEGHGSLKTNLDTVSISMGFSFLDVFLVHQMFVP >Dexi3B01G0019250.1:cds pep primary_assembly:Fonio_CM05836:3B:14272162:14272761:-1 gene:Dexi3B01G0019250 transcript:Dexi3B01G0019250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEELQEADVLWPWPDTPPPSSPEVEEEEEERFIMYMPPPGLPGLYEHDADTDGDFSCEPFSAPPASSGSSLTTTTSSAQWSESDSSESDGFSFLSDPSSAGRSAAAGAGLGDGTEEFLEADVLWPDTDDDEEDDAAARGFWWRYCRRVEEAASVAAAAFGERPPLVVSSPIDIPMATTRGAAAARRRPSPLLARRR >Dexi2A01G0031400.1:cds pep primary_assembly:Fonio_CM05836:2A:42146665:42147430:-1 gene:Dexi2A01G0031400 transcript:Dexi2A01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALYIKKDLKITDVQLEVLMGILNFYSLIGSFAAGRTSDWIGRRFTVVVAAAFFFSGALLMGLAGGYATLMLGRFVAGVGVGYGLIVAPVYTAEVSPACSSTSASSSATSPTTHAFARLPLHLGWRVMLGIGAAPSVLLALMVFAMPESPRWLVMKGRLADARGVLDKIAETPEEAAERLADINAAAGIPGDVDGDVVAVPRRRSGGEEKLVWKELIFSPTPTVRRILLATLGLQFFQQA >Dexi6A01G0015980.1:cds pep primary_assembly:Fonio_CM05836:6A:23848917:23850135:-1 gene:Dexi6A01G0015980 transcript:Dexi6A01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAFPPHVMALSKVQRLYDACDLVFASPGAAPTLGEIRWLQKILDGVEAADVGIDDGEKPASSSSDDDELSPKSGHLHSARAFTRITYVHIYQCDDFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVAAPPSAAARKCGLARVVAADEVRSAPCEASVLFPRSGGNLHAFTAVTPCAILDVLTPPYSEEHGRPSTYFADIPIPSLPGFAFLEETDLPEDFTVAGAPYLGPELTVDMDDDDDDYDDYSE >Dexi3B01G0033250.1:cds pep primary_assembly:Fonio_CM05836:3B:35623001:35624558:-1 gene:Dexi3B01G0033250 transcript:Dexi3B01G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATCRRPHHHVVVVVYLSGVLLAGALLTATTAALTDAEASSIAARQLLSFHEPGDGDLPDDFEFDIHVDVTFGNPRLRRAYGALQAWRCAIYSDPKNFTGNWVGPDVCSYFGVTCANALDDPTTTVVDGVDLNGGDIAGYLPVELGAMAELALFHTNSNRFCGIIPKSFNRLSLLHELDVSNNRFVGGFPHVVLEIPVLKYLDLRFNDFDGELPPELFKKDLDAIFVNSNRFVGAIPENLGNSTATVVVLANNGFVGCIPRSVGAMVGTLDQLVLLNNRLDGCLPPEIGELVNTTVVDVSGNKFVGTLPEGIVNMTGLEQLDVSRNQLAGGVAEGICELPVLANFSFGDNFFSVEAAACVPSLEKMVALNDSEHLFGEAISHAIAEETHTGDADACWTTGGPATGEGSCPHQLAASEAATGTKKFPGTTSKIISSTGVGAVNSSTNDCEITPTSSSSEFATSTGEIPSTTTSSSELTTTSEVTSASNTG >Dexi5B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:5B:9080490:9083291:1 gene:Dexi5B01G0012780 transcript:Dexi5B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFYEKYTALKRKLLDEGLERKREAELKELLDAMKDWVSGLEKDKEELSEKLADKEDELEKTRQDFLVDIRARDSEILRLKQLLDEKTEKNNSTATQSVEQAQVIQENPTRVSPRRKTPQSSSKAKRVLWTENTDISQEQECSRRHTCLTGNGTNECPSAHMFHLLLQSLVRMKITVHDGTEGFLVSVSHEATGYSFTLTWLEKPGEWSYKLTSLGTLDRSAAMLPVMMRRG >Dexi9B01G0012570.1:cds pep primary_assembly:Fonio_CM05836:9B:8443421:8444764:-1 gene:Dexi9B01G0012570 transcript:Dexi9B01G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKSRSSGNGKAGSPLLGKYELGPLLGRGTFAKVYRARCLAGGDPVAVKVLDKPELTATGMAARVLREVSAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPTRRLPEHAARRVFAQLVSALVYSHARGVFHRDVKPQNVLLDAAGNLKVSDFGLAALLPPTAGGSPRDAAAEDDGRSGLLLNTACGTPAFTAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDANIADMCRKAHRREYSVPSWVSPPARRLVSRLLDPNPATRLAVAELASHPWFKRSLSVDSQLGGLMGGQAERELAFQVPAALNAFDIISMSPGLDLSGLFVESRHAREKRFMTTASPEETVERLGQAGTKLGYFMVGKKGVERLPLGGLTGMVAMSMEMAEVSPELMLVELTLEGGDDDEVEEFGWEELRVELGDVVTAWHVCDEG >Dexi1B01G0023780.1:cds pep primary_assembly:Fonio_CM05836:1B:29283012:29283398:1 gene:Dexi1B01G0023780 transcript:Dexi1B01G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSTPTTTMPAMVTPLPGYYGYQGSAAGTGAEPLHTSSSSGSIGAFFGVLAAVLVLTFLSCVFGRVCTAQAEGPDELYDCTRLARRWRGWGAPPRRAVAKREVKAPPPPPVVEVTAAVLPPPEEP >Dexi2B01G0025180.1:cds pep primary_assembly:Fonio_CM05836:2B:34495607:34495941:-1 gene:Dexi2B01G0025180 transcript:Dexi2B01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHQLDAIVAPNSAASSVLAVGGYPAIAVPAGYNNQGVPFAISFGGLKGYEPRERVEP >Dexi8B01G0006530.1:cds pep primary_assembly:Fonio_CM05836:8B:7646208:7648024:-1 gene:Dexi8B01G0006530 transcript:Dexi8B01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKQGGGGGGQKALLWRLPEITSNELGKIGPGLGIGVGCGAGAGVGFFGGAGLGFGFPGLTLGFGVGAGCGVGIGFGYGMGKGIAYDEHKRYSNVGKMFQEAPHLPT >Dexi8A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:8A:24420633:24421914:-1 gene:Dexi8A01G0014130 transcript:Dexi8A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATLARTAAPRPSPAITSLTTAAIFSLPFDSDTLIAAPTPSDSITAFCRCSAKSGHATIGTPYTRLSSTEFHPQCVRNPPVAGWDSTFICGAHDGTTRPTSLVLSTNPSGRNLCPLISSPSASSLICSVGNDPPEPKETKITDFAGCRSSHSMHGQPLPAPPPSRSAWMSGPTGYTTGRSGDGCRRIFAGPGSTASKELTRMASASRVGLILERHEDVRTRDRHASGETNRLREVTELAGDGDVELREVEDEREHVEVRREEEVLPANSGGRGGVEGVGAEEVGDEGDEVGGA >Dexi9A01G0018540.1:cds pep primary_assembly:Fonio_CM05836:9A:13630556:13630857:1 gene:Dexi9A01G0018540 transcript:Dexi9A01G0018540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNQQRYQTCQQEASSGRQIDDEEEAAKPPSSRGGGGTRVAPLVLRIYWSSAAAMVTDEVSWGGVKWCDAEHAHMSAAAQAPS >Dexi7A01G0012360.1:cds pep primary_assembly:Fonio_CM05836:7A:22579184:22580053:1 gene:Dexi7A01G0012360 transcript:Dexi7A01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGSLLRRLGTGDGRRGRKPISRREEEEEEREVMRAAAKGFKETAFSHVHAKKWKEAALAFGEQAACDLKRLRFYVPLNPMLGSTKKACRPLPAHEGSVAATKLALDQALALFVKLNDLLMAAVSCAEVAEMYVEQRELQAAMEFFEKAAGYYGSNRNSRHYRYEADRIRFLLARKETYHRLPKTELRAQMYEVLATGIM >Dexi3A01G0013380.1:cds pep primary_assembly:Fonio_CM05836:3A:9735783:9736385:1 gene:Dexi3A01G0013380 transcript:Dexi3A01G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRQLLVQAHLVVGVAVERGHGVVVVVLVVDVMDGEPAVQLGMSSNTLVASRLGRDKTSNTLWETAMAAGSGRTCSRRSSPAGCRQLRGGLGSATVASWRRSCSPARRRLGSARSLSCRAGVVSGGGLVLRLLGAMASALLLLGSSAWPSSLSAPQSPSYSPSPPLAALVAVAHSAGESSPWWRRTGETGCCCLLRW >Dexi3A01G0027260.1:cds pep primary_assembly:Fonio_CM05836:3A:24149520:24150950:-1 gene:Dexi3A01G0027260 transcript:Dexi3A01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKEEHEKHDGADHEEEEEDEEGNKRTVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFKADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGKMMLGTFSPQQEPYIYEGEEETTPAGIFARGSYSAKLKFFDDDGKSYLEMSYYFEIRKEWPASQ >Dexi9B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:9B:13260769:13263188:1 gene:Dexi9B01G0018580 transcript:Dexi9B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTTSATAAAAAFGATAKPRGSSSALCSRVTAGGRRRSGVVRCDAGVEAQAQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTGLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRSETNISSGAVSVSSAAVELALMKLPKTEALSARMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLSDMYEAAAEADVVFTSTASETPLFTKEHTETLPPISDTIGGVRLFVDISVPRNVSACVSEVGSARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMRRAIEELSMGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKTQN >Dexi2A01G0026110.1:cds pep primary_assembly:Fonio_CM05836:2A:37670457:37672352:1 gene:Dexi2A01G0026110 transcript:Dexi2A01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENGDAAESSSSQGGSAATTKNRNRPKLLIAVYSVDFEDHPFTQQQLPACKPILAPQTVIPVLLFVGIIFIPIGLGCIAASNRVVEVAYQYETSCVPGYMLGNKVAYIQDSSIDKTCTRILKVPKDMKQPIYIYYQLDKFYQNHRRYVKSRNDNQLRDPKEVNNTQYCKPEATEHGRPVVPCGLIAWSLFNDTYSFARGNQVLMVNKRGISWRSEREDIFGNKVFPRNFQNGTLIGGGRLDPRLPLSRQEDLIVWMRTAALPTFRKLYGRIEVDLHADELITVKLQNNYNTYSFSGKKTLVLSTAGVLGGKNSFLGRAYVVVGLACLLLALLLTLLCLVFPL >Dexi8B01G0014250.1:cds pep primary_assembly:Fonio_CM05836:8B:24644048:24645342:1 gene:Dexi8B01G0014250 transcript:Dexi8B01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMASAATGVMSSLLAKLAELLSEDYQMQKGMRHQIAFLKDELSSMNTLLERLADMEVLDPQTREWRNQVREMTYDIEDCVDDYMRQLRNGPQSPGGVMGFFLGYVQKVKELVSRQLGGLVGINVPTDEVIKLLGDGDQGMKVVSIVGCGGIGKTTVANQIYRRIATQFDFQAFVSLSQNPDMVMTFRSIPSQVKKDECDSTSSSDKKLLISELRDFLKDKRYFIVIDDIWSTQAWKTIIVIFVDISNYCQDQASMGGCSFVLD >Dexi3B01G0008010.1:cds pep primary_assembly:Fonio_CM05836:3B:5645190:5646273:-1 gene:Dexi3B01G0008010 transcript:Dexi3B01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNNINQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEKAKDGNPTERGEKAHCPRSHERAANTAEEQLLLVIVVVGFIKSKEGYNSAPFLSVL >Dexi4B01G0019500.1:cds pep primary_assembly:Fonio_CM05836:4B:21670964:21674378:-1 gene:Dexi4B01G0019500 transcript:Dexi4B01G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGAAAAAARRHTTLPTSYAPAFSSFSGTGGGDGGFVRGRGRGLPPSGPPPRAPGRPISDDDGADPFSFAAPVGRGRGEFVSPSSPTIPSFSGVGRGRGSPLPPPPPPEDVPKQPAFTKRFDDAPPRPDPTPPSPEASSSSSSGPPLPSTLPFTGAGRGVPRMQQPPVDKPPQENRFIRRREEAKAQAATRPPSAPGPQQPKLSGEDAVKRALELLGGGRGGGRGRGRGDEDGGERGNRGRGFPNRGRVSRRGPRRDDRRSVDVDGREAVYLGDKADGERLEKKLGEEKMKILEQAFMEAADEALPHPMEDAYLDACHTNNMIEFEPEYLVNFANPDIDEKPPMSLEEMLQKVKPFVVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPENIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFARIVSEHYK >Dexi5A01G0030120.1:cds pep primary_assembly:Fonio_CM05836:5A:33150112:33153508:-1 gene:Dexi5A01G0030120 transcript:Dexi5A01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAAPAPEASGWCATTGGLSTGRKLVPWSSWEEWRFVRDGIFSPFPAAALRRIAAWRSRGSLLIPVDVTAAFVEIWLRDPFFRKGLAGDDAMESEEMLAMLYSMAITRLVNGFVENPYKKTGRSISELAEAVGIPRVLVDIRHESSHRNLPSLQLLRLSSIKAFDWLKCIYWDRQTDSIPDVQVELRLRLHEIDYFLKENDSKESKSGSKRKREYQQLIERTITCIFSGALTLGVNATICAFQFWLSCSEKLIVKAIKYTRRLYSACPFEVVSVLLDLMQLDGPEYPESSDILETSLALNHSSDTQISNSDMKTIIMKLSEKEPRLLLSVLKSVIEMIDAKEELTNKGASYACPVGPSKVTRLCSLVLWLVTSIKELKDSGCIGLVHEIGVLSSDKNAVPRFCLAKLLRKLLNLSTIGERCIIDAALLLIEMVNSNFVKEKLRKLPVFSLPSFAKVSPFPQARTICKEHVSVEKATDTLEMFKLQLWRQKNACLTETGAIDGSFNTSTPDKRNRWSIAKSWTPCPIGTIPCSFSSCAVLPSFDVVDHGLEAATLEQNGHFVEVDYSERFESQSEELEEDIMKISRSSPEYDISDMPELTSPLKGRLLVGGVWKKVSEYDLLLIKSNMN >Dexi4B01G0000290.1:cds pep primary_assembly:Fonio_CM05836:4B:194949:203584:1 gene:Dexi4B01G0000290 transcript:Dexi4B01G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQPAENVTQFKICRCGEGDTSENGDSPPTSCPSCQNVPPPRGAEPIVTLGGIDLNSSGRPAEFALVDADGSPSFLEKALKFIEDHGKIEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCSALVKAYRSCSSEAYTESEDDDVDKEYSTDNDIHDDDGSYDSGEDDIEGLDDNSETYSDGSEHDSKINANVKARKDLSSEEIEFSGDDETLIDKLEHNKIDLQSKIAKEAKENAILHASLERRKEELRERYLALEKEVENLRDQLHKERNLRSSLESGVMNLRRGQTKADLEEVATAESDNMNLKQKASDLRGQVIGQQMCCESCNKRLLNTDRTGGPESVEASSVVGSDSASDMGDVEQSRTHPPSTDKTGQEAVGSITRRAPQRMQSVARESQDGSSSTSKWNLAQRQYSNSPLLREPGGSAVPAASFALAKLTNRLNFLKERRAQLASEMQSLDLGRPPAAAAAAGAPLVKSPTPKGPEKRRS >Dexi1A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:1A:24645600:24646609:-1 gene:Dexi1A01G0017300 transcript:Dexi1A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVHAPLTRSRCYNNLPGEHVVLYYSQRASKGGLLIAESTGVSETAQCYPNTPGIWTKEHVEAWKPVVEAVHRKGGVFFCQIWHIISPVVKHQFLVQKQITPEDLEDGTVEEFSAPRRLTEDEIPHIVNDFRLAARNCIEADA >Dexi1B01G0002020.1:cds pep primary_assembly:Fonio_CM05836:1B:1710856:1718636:-1 gene:Dexi1B01G0002020 transcript:Dexi1B01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSTESGRHRVLLPPATPRRRQQTPPPPHHRSSSCRGRALRAATAEAATPGADDYHATIRSLNSRGRHVPRKSLGQNYMLNSRVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLEAGATVFAVEKDKHMATLVKDRFGSTEQLKDETAVRLADASIQTPEYRPITLFVNFYSKPEYKFRVDRENFFPRPKVDGAVISFKLKNSEEYPPVGSPKSFFSMVNSAFNGKRKMLRKSLQHLCSSSEIEAALHNIGLPVTIQGIEPRPVKMRPVFCGNLDYDVRISEIERLFGKYGRVERVDLKTGFAFVYMEDERDAEDAIKRLDGIDFGRKGRRIRVEWTKEDRSAGRKGSSRRSPTSARPTKTLFVINFDPMNTRIRDLERHFDKYGRVSNVRIKKNFAFVQFEVQEDATRALEGTNGSHFMDRVISVEYALRDDDEKGERGNGYSPDRRGRERSPGRRRSPSFYGRGRERSSPDYGRGRERGSPDYGRGGDRRSPDYASNAGGRGDERGSPDYDRERREASPRRERREASPDYDRPPSHSPGRDERD >Dexi5B01G0039590.1:cds pep primary_assembly:Fonio_CM05836:5B:38360074:38361419:-1 gene:Dexi5B01G0039590 transcript:Dexi5B01G0039590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNKDGGDDASSSQGQKEAAAAAGGVAQRILPHLLNMYGSCATARDFEIYAPNATFEDPLMRAHGVEQIKSSFYTLPKVFGESKIVEYTVQENPTGPGKAEILIDNKQHYKVLGKPVELTTLIRLQVQDGKVVKHEDWWDKKPLTNRETAAFPLVGRLAEASRRGAMLLTHALMGFGKDPPPMAPTSSSST >Dexi1A01G0010390.1:cds pep primary_assembly:Fonio_CM05836:1A:9001959:9003619:1 gene:Dexi1A01G0010390 transcript:Dexi1A01G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLLSLSRAVLVLAVALGAAAQLSPTFYDGSCPNLQSIVRSGMAAAVQQEPRMGASILRLFFHDCFVQGCDASVLLDDSATITGEKNAGPNANSLRGYEVIDSIKSQVEAACPGTVSCADILALAARDGVNLLSGPTWAVQLGRRDTRTASQSAANSNLPSPSSSAATLVSAFASKGLDSRDLVALSGAHTIGSARCASFRSHVYNDSNINAGFAAKRKQICQPQSGGSDGNLAPLDALSPVKFDNGYFRNVVAQFGLLHSDQELFGAGGGVDSITAQYARNGAVFSRDFVTAMIKMGNISPLTGSNGEIRGNCRKPN >Dexi4A01G0021590.1:cds pep primary_assembly:Fonio_CM05836:4A:25055366:25058701:1 gene:Dexi4A01G0021590 transcript:Dexi4A01G0021590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSASSLLHLHLLFPRRRRRRGTTTTGGGATATAMGCAQAKPSRGSPARSDGRGIDRLMRDNAYRPFVPNHGAVSRLSDPLPAATKDPRHVSAAPARTTTTMKTTTPDADVALQAPTAPPPLPPPHPPPARREDELVDGWPTWLLDNVPREALEGIVPRSADAYDKIEKVGQGTYSNVYKARERETGRLVALKKVRLETSESESVRFMAREIRILRRLDHPNVMSLDGIATSRMHRSIYLVFDFMYSDLTKVISRPGERLTEPQIKSYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGPGRRRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFFGKPLLRATTEVEQLFKIFSLCGSPPDDYWRKTKLSPTFKPPKAYKSTTAERFRDLPPTAVSLLATLLALDPAARGTAGQALQSSFFTTPPLPCDISSLPVVYKEEVADAAASHDGRRPKLRQRSQRRKEKQKAEEQQSVMNSGSSNKEEEKVTDTANSGQDSDGTVMATANASSSVKEPLDITINLASSSSYSKVPRRFSVSPDQVLLQESSPAAPQDQQQLSAAKTLYGSGSDDDHENHQPLPAPDDDDVAGGEPPAASSNGGVPVNRSLERRSPDFMTDFEAAAAALRGSEELPSKQYVLVDHV >Dexi9B01G0042830.1:cds pep primary_assembly:Fonio_CM05836:9B:42978533:42980284:-1 gene:Dexi9B01G0042830 transcript:Dexi9B01G0042830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRAARGARLSDPDPEPDPHADALRDPHGLAGRRRRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYLDNNNIGDSAARRNRLDRLVFRTNERLPGAVLQAQARVLERLRGISLGSSASRPSISLDEFSASDVFRLIDFRNRETRHQANGSNSSYQLSSESDEERPTISSANFHKSSGLSKAAFLRLQIEIFEASKDDNREPSPECSICLNGFYDGDELIRLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS >Dexi8A01G0006010.1:cds pep primary_assembly:Fonio_CM05836:8A:5979697:5980515:-1 gene:Dexi8A01G0006010 transcript:Dexi8A01G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMPSTSTAALLLMLLMALQLAAATAVLLPQAPPIGKPGCNTICGGVMVPYPFGFGSSRCYWPGLNLTCDTSHGGTPRLLLGDGTLRVTEISLHNATVRVVRTGSVVNATGDFTSFGRGFVEHGYFLSYRNELVVTGCNVMGTLLADTGENAPRVIGGCSSFCTVQDDASDMFSAVDDYGEAMELGKYCTGTTRCCQARLSGSTRPREVQVKWLHGGTTSHAVEQRLVPVNVFVAEEGWVDLNGLPDQDLVLQDEEVPLVLRWGVTRGLW >Dexi3B01G0007290.1:cds pep primary_assembly:Fonio_CM05836:3B:5179240:5180706:1 gene:Dexi3B01G0007290 transcript:Dexi3B01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVILMVLLVLAIFCVAVFVSSFIIHTHHMRKVFVGSIGIVTAMSMYSSPLVAVKQVMRTRSVEFMPFYLSLFSFLTSLIWMVYGILGRDPYITSPNAVGCFTGILQLVVYCIYNRYKESPGKLGDSEQANDMDVVTSREEANGFMH >Dexi1A01G0006240.1:cds pep primary_assembly:Fonio_CM05836:1A:4686942:4688046:-1 gene:Dexi1A01G0006240 transcript:Dexi1A01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQTPATTSPARAETILRGACAAMAAAAAMLMGLSAQTKTVLFVQRRAVPKDVQALWVMIVTASAAAGYHVVQLARCLYMSHLAAGGGGCRRLRRRVACVSFLLDKGCAYVVFATTVAALQVCFVGLIGVEALQWSKLCNIYTRFCEQVAAGIICGMLAAVGMAVVAAFSARDLFRSSASSSRAGSDHTEARSSSGLL >Dexi9B01G0003220.1:cds pep primary_assembly:Fonio_CM05836:9B:1845420:1845816:-1 gene:Dexi9B01G0003220 transcript:Dexi9B01G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVHVEDVALAHILVFENPSASGRHICVESICHWSDFAAKVAELYPNYNVPKLPKDTQPGLVRAEVGSKKLIALGLQITPMEKIIRDAVESLKSRGYIS >Dexi3B01G0038390.1:cds pep primary_assembly:Fonio_CM05836:3B:41170686:41172846:-1 gene:Dexi3B01G0038390 transcript:Dexi3B01G0038390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKKKKGAPLLGWWLMLVGSLRLASVWFGFFDIWALRVAVFSQTDMTNVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYQTMAAANLSTVGFFAGTK >Dexi3B01G0027680.1:cds pep primary_assembly:Fonio_CM05836:3B:23373002:23377790:-1 gene:Dexi3B01G0027680 transcript:Dexi3B01G0027680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAARPSKKARGAAGSGLMSFALRLAKHLAEEDGGVHKNLVFSPVSIHVALSLVAAGARGTTLDELLALLGAASRDELAEFARAPEKAVETINSWVSKVTRDLITSILPPGSVNSDTALVITNAIYFKGRWSMPFDTKDTETRQFHLLDGSTVRVPFMLGPEDLPIAVHQGFKALKLAYLPDSDGPRFSMCVFLPDARDGLPGLVDRMASSPNFLWDHLPARRRETYEVWLPKFKLSFSSQINGVLQAMGMKAAFGIGKKADLEDMLEGSLPLAVKHVFHKAVIEER >Dexi3A01G0011030.1:cds pep primary_assembly:Fonio_CM05836:3A:7835398:7841299:-1 gene:Dexi3A01G0011030 transcript:Dexi3A01G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPLDKAQTSQTQREASGGRRRRRLLASHKSMAEKKVVCVTGAGGFIASWIVKLLLERGYTVRGTLRDPADPKKTEHLRGLDGSSERLQLFKADLLDEGSFDAIVEGCECVFHTASPFYNNPEDPQEWYVLSKTLAEQAAWKFSKDNGIDLVTINPAMVIGPLLQPTLNTSAQIILYLISGPPVYLNYSFGWVNVKDVALAHVLAFETPSASGRYCMVDKVVHFSEVVKIIKDMYPSVPVPEKCADDEPFAPTYQVSRDRITSLGVELTPFETSLKEAIECLKEKGFVSF >Dexi1A01G0026690.1:cds pep primary_assembly:Fonio_CM05836:1A:32544965:32546591:1 gene:Dexi1A01G0026690 transcript:Dexi1A01G0026690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLLRPYPPGLPPPADPNPPASHQPTRHKWALGRRHWRRHLLRCVSSSTVTLQREHLVLPGPGTERNPGPANRRNLFDGMPERSVATAPGARSSLLDERRKGAEERNRSGAPKGGEKSRSAAVVALAHGGRHAEVVEHFLWTRREGVPVSRFVLPSVFRACVGLRDSSMLRAVHGLVIRCSLCQHVVVGTALVDAYVDFGLLDDAAKVFEDISEPNVVSWSVIIGGYARSSRWEKTWDAFMAMQHSGVLPNVSVLVMAIQASGALGCLVRGKQIHAMAVVLGFGMNATVWNCLIDMYSKCGSMVGCRRVFDTMISRDQVSWNTIISSYVRLRLCEEALDMIVQMQEYGFTIDRFTLGSGVAACAQLGDIYSGRAFHCYLIRRALDTDVIRGTALVDMYGKCGNMELARLVFDRMDERNYVSWDALLSGYVENGLVDSALDTFRQMESANIKPNQHTFANLLRLCGDRRYKEYGRQIHGHAIKVMWVMGNQWRQ >Dexi2A01G0007140.1:cds pep primary_assembly:Fonio_CM05836:2A:6883693:6897276:1 gene:Dexi2A01G0007140 transcript:Dexi2A01G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKTSNNFLGRSVWEFDADLGTPEERDEVERLRREFTERRFERREASDLLLRMQVYDGCPSWETAFIVHAYCSTDLVNEFGPTLRKAHEFIKSSQIRENHPDYEGYYRHSSKGSWTLSTADNGWNVSDCTAEALKVKTSYF >Dexi8A01G0015060.1:cds pep primary_assembly:Fonio_CM05836:8A:25868285:25869308:-1 gene:Dexi8A01G0015060 transcript:Dexi8A01G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSYYQTAPPVNSQVQRQEHVFHLYAHQKYGNNEHSILLPMPGLPNHLGCTNVIDWDICDDVPADTRANVVARLQGVLISAAKSGEEMWYSSANLVFTDQRFKGSTLSVQGPLGPPNHGDKGDWSVVGGTGEFVYAQGICCYKRTQDTSGGGIINELHIRVVCITFPKPVQVQKISPSCGGNGGNAYEIKDGELPQHLESLSIYAKDFIQSIAFSYTDQTGQKRTVGPWGGDDGKSKYPVR >Dexi2B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:2B:20525646:20526948:-1 gene:Dexi2B01G0013140 transcript:Dexi2B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHGGSPCAPERRAPSGRLCGGSPAARCCAPLRRACFLRPANKNSGGALSYLMRNSRWRPAFALETGGPSANADGQDFDEDSGFLGRTRLGRLIQAAGRELLEKINSGRTNSPMKIFLVLFGFYTANALATILGQTGDWDVLVAGVVVAALEGIGMLMYRKPIARPPGRLQSLISMVNYWKSGVCLGLFVDAFKVGS >Dexi9A01G0021980.1:cds pep primary_assembly:Fonio_CM05836:9A:16951563:16951867:-1 gene:Dexi9A01G0021980 transcript:Dexi9A01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARWWAATAVVLVLAIGAGLHGCAAQGEEVVVASYGQGRLSLKPYDWTYLRVCRWGMLVF >Dexi3B01G0031500.1:cds pep primary_assembly:Fonio_CM05836:3B:33020719:33021072:1 gene:Dexi3B01G0031500 transcript:Dexi3B01G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEAQATNLPPGARPHRPSGLAVPEITPCHGWSAGTGAVVTHQPSQSGASSDGEQRRPTPASKKTGVTDEPARARSPPPDPSSTHGASTSPQGTDERGRRQGEWQDLRGKRGWRRI >Dexi3A01G0026230.1:cds pep primary_assembly:Fonio_CM05836:3A:22318628:22319028:1 gene:Dexi3A01G0026230 transcript:Dexi3A01G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQKKDIAQNGKKGMIEEVKVAFEKYMEGKDYLKYEFDELQHQCLSVETYHKIFHHFNFTMKVNMCDSTESTSMLFFAEVKEIMGQRMYLCCPLEPYENGMKILVRLCT >DexiUA01G0017350.1:cds pep primary_assembly:Fonio_CM05836:UA:36693000:36701758:-1 gene:DexiUA01G0017350 transcript:DexiUA01G0017350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAPPKGELEENPRKSKSPRLDLLASVASDLIELDAAETSGNNRCDHVLTDGDRRRLGVSLLSKKKAGTCAGCRREDAEGPRKHRPEESSILMCVECNRHLCCGVGAIEYPFGHSRAHAMKKHHWVDVLYDDAERGYCFNCNAEVEMPVEFEVDGHVIGIDVIREVVRWLPDTQDRLRARMIVLRTHPQFLGVMEHTWPMLEGTRNKNMCNHIPTDSALKEILSSLMLSDKARKCDNRGCKSNNSHEFDQDPLQTEKNKVSSFEFPGRIIDVPMNITNKEPEFDEGIFPTEKQTDLLRTEHSEDVSKQIQIYQDMMKQLEPYSTACQLKDGKNEQKHEDADGIQTYLFNKLPPVLTLHLKRAGTDHNCGLKNCVPVRFKEYLDVGRFMDPSSVDKVNSLYRLAGVVEHSGTESLKTGHYVAYVRAKRLGNQQQQSSCSSSWPVMGDTRPRAWDAGESSRDAKTPRLDLLAATALGVDDSGWEQWTEVTGNSDQCSHVPTDNAHKEILGSSLLSDDAGECADCQRGEEPGKCRSVNSPILVCLECGRQSCVDSDNYVPFGHAQDHAKKEQHWVAAMFADPQAGFCFRCGFEVPVYPEQEEMSGEIQAGGGAFGSDGYPDLVSGLLNFGDTWYGHEFRSANVQGYAIRGIRNRENTCYVNAILQCLLMLDKLRGRMLGSDAPLGQLGLALKELFVEASAADAVGSMLDADKFLRSIRVYADKYQAYKMHDSYELLESFCNALHNEENEIETPNRKRGDPTVIDSIFRGELSYTRSCVDCGSSSVVHEHFCELSLPLTAAERSSRSSAVPETSGSLKSQPKNIATQLIPANEKSTSEKIQAVPESGDSHILCSEMKDDVVEETPEPLEVDFSEAQRIWQGKDVTQDPLLTREDKVSCSELIQGIIGAPPKSVSFVPHKLSDVEVEQVIEMTTDYHSPEDTGPPPLVSLLGENGAPMASGSYVDQNNNANPDDLRNQLEVSKQAQENIYIERLTAEDKGNAQSRDVLYDKEVGISDSVPSIEDCLSLFFKEQVVERNCDDCPKVLELSISQSENREQMAASTTNNSACQTEQSVEPNRLSAECKSSGRQPDDSDAKSEIIITAEGTNSRMSYGDIEIECCEGIHQAASSCLPAEKQTNLLSAQQSQNLSTPDQDSMKQVGLDLSACQLGDNQNGEKERSGCSIEKPRITKLPPVLTLHLKRYINDGNVHHKSDAHVSYKEYLDVGQFMDT >Dexi9B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:9B:287066:288203:-1 gene:Dexi9B01G0000420 transcript:Dexi9B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLAPACVPAAAMVPRVSLAPPSSSSGGAALRSGFLGRALAVAVAADPPHRGCRVAMSVRMAWDGPLSSVRLIMQGRNVKLSDKVKEHIEDKVGRAVAKHCHLVREVDVRLSARGGELGRGPKTSRCEITLFSNRHGVLRAEEDSESTYGSIDMAASIIKRKLRKIKEKETEVIRHKTSSSIEDDLQLLSDAEEEDLVTEAVEDEDTVLTKVVRTKVFEMPPLGVEEALQQLQNVDHDFYAFRNEATGEVNILYKRKEGGYGLIVPKPDGNVHKETIDNHQQPAHPTYANNNNN >Dexi9B01G0000980.1:cds pep primary_assembly:Fonio_CM05836:9B:572868:577192:-1 gene:Dexi9B01G0000980 transcript:Dexi9B01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRLRGIVRESPAAPAAASRLGREQDFACAAPRPGPQCWRRRGLVVRCQTAAAALLETDDATAAHKAPHAAAGFTVVMKFGGSSVASAERMREVADLILSFPEETPVIVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHLRTVDELGLDTSVVSGLLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLNKLGKKACQYDAFDIGFITTDDFTNADILEVTYPAVAKRLHGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAIPVPNLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRHAPGTVITKARDMSKSILTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKFAVVHLLQRRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVNDSEAKQCVQALHSAFFENGFMSEFEGADVPQNGALVNSNGAIYGN >Dexi5B01G0031130.1:cds pep primary_assembly:Fonio_CM05836:5B:31939013:31942323:-1 gene:Dexi5B01G0031130 transcript:Dexi5B01G0031130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACPLPLLAALLLAAAAATSALTDDVLALVVFKTGVSDPSGRLAAWTEDDDRPCSWPGVGCDARTGRVASLSLPAASLSGRLPRALLRLDALLSLALPRNNLSGPVLPNLLAALPRLRSLDLSSNRLAAPVPAQLFAQCRSVRAISLAHNQLSGYIPPAVASCSSLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRTSSLREVDLSHNLLAGEIPADVGEAALLKSLDFGHNLFSGGLPESLRRLTGLQFLGAGGNALAGELPAWIGEMWALERLVLSGNRFTGDIPYTIANCKNLVEVDLSRNALTGELPWWVFGLPLQRVSVAGNQLIGWVKVPVDAAMALRVLDLSSNAFSGEIPPRITAFTGLQSLNLSSNSLSGQLPAGIGGMRLLEVLDVSANRLDGTVPPEIGGAVALRDLRIGRNSLTGRIPAQIGNCSSLVSLTMGNLTSIQVVNLSQNKLNGTLPVELSNLPSLRIFDVSHNLLTGDLPNSRFFNNISESFITDNSGLCSSRKNDSCSAVMPKPIVLNPNSSSNPSSQATPSVPSNKHHKKIILSISTLIAIAGGAAIAIGVITISVLNRRVRKRAAASHSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNIVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECNEDNSLSWMERFDIILGVARGLTYLHQHGVIHYNLKSSNVLLDTNGEPKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDIYGFGVLMLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRPEDCIDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVSILELVRNPQDSAEDELV >Dexi8A01G0002930.1:cds pep primary_assembly:Fonio_CM05836:8A:1972999:1974860:1 gene:Dexi8A01G0002930 transcript:Dexi8A01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding LINPAETFGDIVIDYPYVECTSAAIQALISFRKLYPGHRRKEVDNCISKAASFIEGIQKSDGSWYGYWAVCFTYGTWFGVKGLIAAGRTFQNSTAIRKACEFLLSKELPTGGWGESYLSSQDQVYTNLKGKRAHAANTGWAMLALIDAGQTERDPTPLHRAAKVLINLQTEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAGKQ >Dexi8B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:8B:22848364:22849851:-1 gene:Dexi8B01G0013030 transcript:Dexi8B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVLGNAATVAQLAGVDTLGLVAKIRQAARTARQNKSDCEHLARRVDDLDELLALLRSGGGGGGGGPVEPAAARTLAALGETLVEAHDLVVSCQGHGLAYNLFTARRSSERFKDVERKIDSYLQNFPVIGLIVINRRLDGLMMMGGGGGSGAHRDTSPPPPTTTSMSADEAAGEFTMAEIMVATNDFAVVLGSGDSGTVYKGRLHDGREVAVKRLRRRGAFDTELAILSPLRHDHIVQLVGHCADAGERIVVTQLMTNGSLHDHLHGRRRSSPVTSSWKARVHVLLGAARGVEHLHRRAMPLVIHAGVTSSHILLDLDATATTAAGASWTAATRLTGFGASMWRAAGVEPQPVSTAIAGGGGYADPELVSTGRIKPPSDVYSLGVVMLETLTGKPAMVTVWDERSSRTAAMALVSYALPSVRDGRLVDVLDRRPVASPAAWQLEPLEMVAAMVARCLCLHGDNRPGISEVVVNLERALQLICTRGNF >Dexi9A01G0010510.1:cds pep primary_assembly:Fonio_CM05836:9A:6405295:6406308:-1 gene:Dexi9A01G0010510 transcript:Dexi9A01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSSTLDEKVEDEENDEMEQEMKHDENDEDPIDEQDLEKDDDLPEPGEHSTEKDGDDVGVFEDDEHKERSQEDQEKSFHGDNVSSAVTHDPPSSEQDELSHHGQEKVLFVDDASTAVPHENQDARSKEEEVRKAREKSFRGDDVASSVDHDAKVTKPLPEEQLNTMDRIFEGTTNLSNGISFRGPVLNGSSATGERTATPTNTSSQQNADIPSMDSESKTHPTSANLTNHYEQTNSTLNGQPVQEVNSTVALQGQVQPLTDQTSSVELHSPPNGTLSLVTDGQKSTPGAGNDDNNTGSSSTPVDNKEGEDAHKEDMDVSTKIMNRAMSEEEVVPE >Dexi4B01G0010570.1:cds pep primary_assembly:Fonio_CM05836:4B:7918612:7927121:-1 gene:Dexi4B01G0010570 transcript:Dexi4B01G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSSVMPRRFPARPSAARSELKADTPGAGAPAARESRRKLAASAGHRKRARSRERSDAEEGAMRWQAASEWRIAREAGASGAWGFFPDSAMRAYSSSASPGFARRRESVAEERARSWWRPARTSAAVSSEGDEAVEERRRRSDWALLKAGATSRRVDDMLGCGGVVGGGGSSNTGSHTAAGTVTHGAAWGGGSGAGARVSADPARPGSGRTPALGRGAGASVTPEEGRRARGGSGSRELGRGAGGSDLVMAEGGEEGNVAATRAPSRRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQAEIRALRLSERAREKAVEELTDELEKMDEKLKLTESLLESKISKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQELNKQIEICHMD >Dexi7B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:7B:18809977:18810949:-1 gene:Dexi7B01G0011830 transcript:Dexi7B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTILVEVVALGLALLLAAGPGPAAAQNCGCQPTYCCSKYGYCGLGDPYCGEGCQSGPCYSSGGNTGGNGNGANVANVVTDAFFNGIISQAGGGCEGRNFYTRSAFLQAASKYSGFAQGGSEAQGKREIAAFFAHVTHETGHFCYISEINKGNSYCDTSNRQWPCASGKKYYGRGPLQISWNYNYGPAGRDNGFDGLGNPDAVAQDAVVAFKTALWFWTNNVHGVMPQGFGATIRAINGALECNGNNPAQMNARVGYYRQYCQQLGVDPGNNLTC >Dexi1B01G0006890.1:cds pep primary_assembly:Fonio_CM05836:1B:5699189:5701726:1 gene:Dexi1B01G0006890 transcript:Dexi1B01G0006890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAAAALLVVAAALLMSTASGGVVPPRVPAVIVFGDSTMDTGNNNAIRTPLRADFPPYGRDMPGGPHPTGRFGNGRLPPDLISDALGLPPLVPAYLDPAYGMEDFARGVCFASAGTGIDNATADVLEVEYYEDYQHRLRAHLGRSRAAAIIRGALHVVSIGTNDFLENYFLFATGRFAQFTVAEFEDFLVAGARVFLARIHGLGARRVTFAGLAAIGCLSLERTTNELRGRGGGCVEEYNDVAKSYNAKVKAMVRGLRDEFPKLRIAFNVEEGCCATGKFEMGIMCNEDAPMTCDDADKFLFWDAFHPTEKVNRLMANHTLQVCYQEGVL >Dexi5A01G0033860.1:cds pep primary_assembly:Fonio_CM05836:5A:36063503:36071525:-1 gene:Dexi5A01G0033860 transcript:Dexi5A01G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGELQCVGRLEVAAPPPARYLRVGSLPVPTDSSASLPALLPSPSPTGAPRYQKLPLETDLNTLPMIPNIPEKVFPNDAKNTEGSRYDGGLVNQNLSRKCEALAVSGLAEYGEGIDVIAPADILKQIFKIPYSKAQVSIAVNRIGNTLILNTGPDVDEVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLKFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPEKHKAGDPRSSCGPMSSFINKGRKESLFSLGTLLYRVAHRMSLSKVPSNKAKCAKFFRKCLDFLSEQDHLVVRACAHEQFARLILKCYEELELTSESFMIESEVTLTDLDDDSPELRLENLPSKQNVLPEIGKDEPAASDSILECSPLVSSGTTDSLMEPSNVDDCSSSSVTKDDLSVDSLNTQDGCNDSTDTVLERSVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLQRALKVVELACLVYGSMPGHLDGDEFISSMSNISLGWEDVGLKTKLVLDEAGYCKNKCFSYEVSSQQSPPNYLFWAKAWMLVGDVYAEYHRLNGHQTKVVPEQKSHGEVRMSNEVALEVKRLKRKLGKDKQNCGTCSLINCSCQSDRASSGSSASSSSPEASTNYGRKKNRKSLRRNPQCQYKETNENPAAQEAVQGSEKKQHTVKDTCIENNSVSNDDVDHYNHAGEKQSRNVDDVPGKSHTHVPSVREGGIFKFLGGPKPGDIEYNLSSAIHCYGAAKEALFAYPMLETALVHLLEGRQVVEKNEDYSNDVDLDIKPKFWSQLQSLLKRMLAASLPSNTGRVASVGQAGPSNREAAKLKEMNGLELGKSDPSADPVRWCADKSEETNRTAKKFDSNDAQTPMIP >Dexi8B01G0013210.1:cds pep primary_assembly:Fonio_CM05836:8B:23062047:23062497:-1 gene:Dexi8B01G0013210 transcript:Dexi8B01G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPPCWLPQSWSDIPLELAGMVLGCLPAHVDRVRFAAVCPQWRAAARQGGLPPPMPLLLLPDATLYSLPGSGPFHFRSCAGYTEACGDWLVFSGEDGCFLKNPFSNATVTLPPLSRVRFQHVMGPSKRQPMHGRKFTKERSWLHPE >Dexi3B01G0015490.1:cds pep primary_assembly:Fonio_CM05836:3B:11229847:11231814:-1 gene:Dexi3B01G0015490 transcript:Dexi3B01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDSSIQMEPLHVRVPVPSARAVIAGFVVDGGAGHDAAAPAAGSPVPERETPYTVSFSVPASPSGLHISQVAACASVRSDGGGDAARVSPAPETETEAPPPQLLQQARYHSQPTLTIKTEEPPLQRQRTVVSRSDSTRDRRFDHFKTFSGRLERQLSNLRGVPTEPASEIEPPPGESKIIISEEETDDDNDGEVPTADRYFAALEGPELETLRPTEVSALPEDETWPFLLRFPISAFGMCLGVSSQAMLWKALQSEPATAFLHVSPAVNHVLWWVSVALMGFVSAVYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRPVWEISHVVWYVLMTPIFCLDLKIYGQWMSGGDRRLSKVANPTNHLAVVGNFVGALLGARMGLREAPMFFFAVGLAHYMVLFVTLYQRLPTNVQLPKELHPVFFLFVAAPSVASMAWARLCGEFNYGAKIAYFISLFLYMSLVVRINFFRGVRFSLAWWAYTFPMTSAAIATTLYASAVTTVVTRALAVGLAGIASVTVTGVLVATMYHAFVLRDLFPNDVSIAITQRPKAKFSKILAQLRSSGTDVKELVFAVSRHGGSDTHSASESPSPTARGRAGAEP >Dexi3B01G0035360.1:cds pep primary_assembly:Fonio_CM05836:3B:38149407:38151598:-1 gene:Dexi3B01G0035360 transcript:Dexi3B01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTHVDSKGNYTTEELFRRVITVTRKRVASMSAAAATAGVSAPVHWATRQYITEYLVGDPPQRAEALIDTGSDLIWTQSTTCLRKLCAKQDLPYFNASASSTFSPVPCRDASCAANYVHLCGLDGGCTFGAFYGAGTVLGFLGTDAFVFGSGEATLAFGLSSGGDGPVSSMAFVESPKVYPYSTFYYLPLMAISIAGVELAIPSSAFELREIDKGYWSGGVIVDSGSPFTTLVDAAYQPLAGELSRQLNASLVPPPENTGSAELCVARGDVDEVVPEMVFHFAGGADMAVPPENYWAPVDKSTACLAIVHGYDQNIIGNFQQQNLHLLFDVGWK >Dexi8A01G0016240.1:cds pep primary_assembly:Fonio_CM05836:8A:27528926:27529269:-1 gene:Dexi8A01G0016240 transcript:Dexi8A01G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVNVDSGEEAPRTEKRIFWTQEEDVRMMSAWLLNSTDPTCGVDRKNDQYWSVVEVTYNQTTASHRARNAKQIKDRFHKVD >Dexi2B01G0009030.1:cds pep primary_assembly:Fonio_CM05836:2B:9826857:9827213:1 gene:Dexi2B01G0009030 transcript:Dexi2B01G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRAVLLLLVVVFAAAAAMSASAADEPTDAKASITTPVAHTPVGSFEGADGPVADDDATEDKDAAPVGSPIGTTMTEPKPELAPPAPPSSGAIAASVLVGPAAAAVAVAAAGIFVL >Dexi3A01G0012890.1:cds pep primary_assembly:Fonio_CM05836:3A:9393272:9394014:1 gene:Dexi3A01G0012890 transcript:Dexi3A01G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRNIGVAVDFSPCSKNALRWAAANLASSGDRLILIHVKASYQYEQGVAHLWERDGSPLIPMLELSDPRVSKIYGLAVDRETLEILTRAAGQRCVQVFAKVLWGDPARKLTEAVHKLPLHWLVVGNRGLGTVKRYLFSSFMLIDLADRLIDDHCTDLELMVVGVRVLMGSVSTYVVNHAACPVTVVRENMLPSAQPASY >Dexi2A01G0007660.1:cds pep primary_assembly:Fonio_CM05836:2A:7593918:7594735:-1 gene:Dexi2A01G0007660 transcript:Dexi2A01G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCKTSNNAEELLQAEAELWCHAFGYLKSMALRCAIKLGIPTAIHRCGGTASLSELQAALPSVATSKRPCLNRIMTFLATSGIFTVETPQDGEVAGVRYRLTGASRLLVLDDDTATNGAGVSLSPFVLLATTPLHFLASQSLADWLQQSKEEDATSAAAETTTPFMVAHGESFYGMILVGECGGTAAVFAGVKSLVDAGGGDGTTAMAIARAFPHVRCTVLELPHVVDAVAAAGDGSSTVEFVAGDMM >Dexi5A01G0022000.1:cds pep primary_assembly:Fonio_CM05836:5A:25887913:25889205:-1 gene:Dexi5A01G0022000 transcript:Dexi5A01G0022000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFKLLFSPTAAIFLSAVVILSCFTNMPYLHLSYVIDDDLARRSTSSSYLAAPPPPPPKCDIFRGEWVPDPDAPHYTNETCSFIQEHQNCMFYGRPDLDFLKWRWKPHGCDLPRFDPHRFLAVVANKTIAFVGDSLARNHMQSLLCLLSKVALPKDVSVTDKTDPNKILHYEGYNFTIYIFWSPFLVRSEEVVGGDHPGVFRLYLDEPDDRWLSVSSRFDYVLLSGANWFTRETYFYERRQLVGGMYVSLNFTSGLTNTYSHRMAFRTALRALAAARFRGKVIVRTLSPISHFEGGPYDAGGDCRRTRPYGANETKAMEGAELGFYTSQLKEVREARGLDVALMDATAAMLMRPDGHRSRYGHWPDEKRTLYNDCIHWCLPGPIDAWNDMLLHM >Dexi4A01G0001040.1:cds pep primary_assembly:Fonio_CM05836:4A:706534:710657:-1 gene:Dexi4A01G0001040 transcript:Dexi4A01G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSPNGEEAPGVNAFDQGERIRGWNRQIFHCANENNVVAEDDTADGDATDDETELVATAGDTIDADGDSEDELESSLPEDVDWIKQQPLPYPMDALEPYISKETVEQHWGVHQQMHVDRLNSIIGGSEWEGMSLGQMMMTSFNERREEPHPPFFHAAQVWNHDFYWRSMKPGGGGKPPERLLKFINRDFGSYEHMIQQFMDAALTQFGSGWVWLSYKGIELPYVKSRSPIPSDNYGRLVISKTPNAINPLVWGHSPLLAIDVWEHAYYLDYEDRRADYVTAVLEKLVSWEVVESRLRKAVQRAIEKDEHLSRRILRKRQLAQANGHNRARPHTQKGRLTRRQGNHEVANSSPVEA >Dexi6A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:6A:12797583:12798956:-1 gene:Dexi6A01G0010380 transcript:Dexi6A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAVEDPPVLPGLLLFHRMSAGFYAATHAALRQRYRLLDFYASGEPLPAFLAGAAAMPDPPRAAVIVGGRYIRVDAELLDAVPSLAFVCNTGAGVDHVDLHECARRGVAVANSGNVYSADVADQAVGLLIDVLRQVSAAGRFVRSGSWPVQGDYPLGRKLGGKRVGIIGMGNIGSLIAKRLEAFGCVIYYNSRRQKDSVPYKYFLNIHELASESDVLVVACALNKDTRQIVDKGVLEALGKDGIIINIGRGAHVDEVELVRALKEGRIAGAGLDVFENEPKVPPELFSMDNVVMTHHIAIFTAESMYDLRDRTIGNLEAFFSGKPLLMPVHPW >Dexi4A01G0004540.1:cds pep primary_assembly:Fonio_CM05836:4A:3215298:3216620:-1 gene:Dexi4A01G0004540 transcript:Dexi4A01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVVEEAAPRSAKPTTPSDAGDVDLISGLNDDVLLRVLSLLPDASDAVRTGALSRRWRGLWSRVPALRFASRPGAGATTGGEQRAALERYAAFVDGFVSRRAQPGCAAIESLSIVYATGSSDVLEKPPPPPVFVARVIFAIGRPHETNDRDTQRRQLMPTYVHAVQGWIQNAFLHGVKSFSVDLRLPPNSWRQHGGDHDDDENVVLLEGLHSPARLETLRLALGGAIRLRLPSTVKFASLAHLSLERITIAADRGDGARLLGHLVSSATCLHLQKLCMRNINLHAFSEEMRLEAAMLSELCMEGVKTVMSLKLWTPKLRVLHMYTCSHMMLMISAPKLEELVISFQQGYPPRCLEIQGGLLCVRSLKICPWSHRPCAINLYEDENDTNISVLKHCGLLTCLDVTLLRGQNVSFAASYLGTCQIKYPMMTSFKEYLLS >Dexi3A01G0014400.1:cds pep primary_assembly:Fonio_CM05836:3A:10489420:10491689:1 gene:Dexi3A01G0014400 transcript:Dexi3A01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKVFQAARSLLLSASQRSSSAALLAAEGRTAALASLTNLGRKTIPAAYSYHTQGSHRASSGWGAFAAAMERTFIAIKPDGVQRGLISEIVSRFERKGYKLVAIKLIVPSKEFAEKHYHDLKERPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFEPKELVSYTSNAEKWIYGVN >Dexi1B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:1B:24108242:24110103:-1 gene:Dexi1B01G0017770 transcript:Dexi1B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAALWLLLGVVPLAFGVAATPVQASRTHHYDFFIRETNVTRLCHEKAVLAVNGQFPGPTINARKGDVVVVNVYNQGHKNITIHWHGVDQPRNPWFDGPEYITQCPIAPGSNFTYRIIFSGEEGTLWWHAHSDFDRATVHGAIVVHPKLGSSFPYPKPHKEIPVILGEWWNVDVEKLLEETKRTGGDFNISDANTINGQPGDLFPCSKDGTFRVPVERGKTYLLRVINAGLSNDMFFAVAGHTLTVVGTDGYYLKPFTTGHVMISSGQTMDLLLHAINVSANRYYMAARTFQTNTELTVNNTTATAILEYTDAPPLTAGSPEPPVLPAVDDLAAATAYTAALRSLATVDVPTHVDEHMVVTISVNVLPCKPSNGDTSSCEGPINGTRFAASLNNVSFVASPTGVDILDAYYHSIHGVYTSDFPNRPPVAFNFTEPEPATELWYTDRGRRVKVVEYGAVVEVVFQDTAILGAEPHPMHLHGFSFYVVGRGFGNFDEGKDPATYNLVDPPYQNTVSVPTGGWAAIRFRATNPGE >Dexi1A01G0007350.1:cds pep primary_assembly:Fonio_CM05836:1A:5645632:5648697:-1 gene:Dexi1A01G0007350 transcript:Dexi1A01G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKPAAAGMPAYHHRALRGPGQGPVPTAHGMMHREVLHDPYGPGMHLPPPGHGHGPGPGPFPYDMLRPPPPEVLEQKLTAQRVEMQKLAVENDRLAVSHTSLRKELAAAQQELQRLQAQGEAAKAAEEQEMRGLLDKVGKMEAELKACESVKAELQQVHAEAQNLVAVRQNMMANVQKLSKDLQRNLGEAQQLPALVAERDAARQEYEHLRSTYEYERKLRVDHSESLQAMKRNYDSMVTELEKLRAELRNAASIDKSGVFYNTIGSQKDDGTSSHLSVGQIAYDGSYGRAQARTTPTGLADPLSGSPAGTGLHSGFDPSRGHAYDASRVASFSSSKSATHDVSRVASGFDSLKSAGYDASKAPAIGGHAAATATHGISGGSYGSNQAKPAPYAWVQSSSTYGSVQIPPSYASGSGSLPSSYGSAAVHPYGSAQALPSYGQTQAPTAYGHTQLPSSYGLAQATSAFPSGQGSSPYGLAAQPPAYGSGRAAANAGSNYEAPHGRK >Dexi1B01G0007110.1:cds pep primary_assembly:Fonio_CM05836:1B:5864783:5865829:1 gene:Dexi1B01G0007110 transcript:Dexi1B01G0007110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAGDDPFDFDFGFDDDGGGGDDYDPFDDYCCDGDDGEGLTAGPVVDDGDGEEFCISGFSFRECDGDEGDVFIGEDHASPPSDEEPILETLGCSFDSDGGFSQFAPHLVSALEISDDDDDEDGLVAGNGRGGGGIELEQGAAMEEAADDDDDGGIGLMLSGFSLDPRPDVGGFQTLVDTDEEATTDDEWGESGGLMPSGFDVAPPRVTAQVVRPSWMMMFPVVEATVAGDTDTNFLEVFAGQVGEAVRQLPASRAAVEGLQEVVLSEEEASHGCAVCKDAIVAGLRVTRLPCKHYFHTGCIGPTGIASVAQQGAPVQV >Dexi9A01G0004510.1:cds pep primary_assembly:Fonio_CM05836:9A:2442914:2447426:1 gene:Dexi9A01G0004510 transcript:Dexi9A01G0004510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARGSVWEIQHGDVEAAGLADAGAFLAALRSAAAAAGLGAAGDAVWAAVAAAGLLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSPVDCRQTNLGRLMEANGAKLLGSAYKDPITSFNLFYKFSVENQEVYWTKVLKQLALKFQQEPKSILSTSDRSKKGGTWLQGAVLNIAECCLLPCPSLNRTDDSTAIVWRDEGRDDYPVNRMSLKELRSQVITVAHALDTMFHKGDRIAIDMPMTCDAVIIYLAIILGGFVVVSIADSFAPQEIGTRMGVSKAKAIFTQDFIIRGGKKVSLYSRVMQGTSSKAVVIPATGGNLGVTLRKGDMSWKDFLSRAAGRSSIYSPVYQSADALTNILFSSGTTGEPKAIPWTQLSPIRCTADTWAHLDVRPQDIGCWPTNLGWVMGPIILYSCFLNGATLALYHGSPLGRDFCKFVQDAGVTVLGSVPSLVKSWKAGNCVKGLDWTKIRVLGTTGEASDIDDNLWLTSRTSYKPIVECCGGTELASSYIQGSLLQPQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATNSLLNADHDKVYFDGMPIYKGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPSGGGPEHLAILAVLKDRSAPYDVNLLKSKFQRAIQKSLNPLFKVTYVKVVPEFPRTASNKLLRRVLRDQLQQLLSNHSKL >Dexi8A01G0005390.1:cds pep primary_assembly:Fonio_CM05836:8A:4927603:4932257:-1 gene:Dexi8A01G0005390 transcript:Dexi8A01G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFPFPRLLLPSPAVPGHRVRHFSLLPSQPISKANADEHLATSLPPHXXXXHPPPPCVVVVFAPKSSLRSPLSCLPPQKIPTQPLLPSPPLFIQTACSATATPTTPPAASPASSPSPPTIHASKRRRRGPAATGNSPLRGGGGGSGPRRSPTPTPSLTPRPPAAPAGVAASDVGVDLLNLDVSPPPKLSPDQVKHCKRALKALEKKLGKPAALSKEFGTLPRKLQSGSPVGGSGRDAGGAETVGAPLELGGEGSPVGGQSDGGIWPKSDLRTVDPSSQKSAREAVNRGRNRYTDVLPFDETRVRLQPPTDNDYINASFIKIGGKDQTKFISTQGPLASTIGDFWQMVYENHCPVIVMVTKFDGYKCDEYLPLTKGQEVFGKFNIKITKTRNDGHLVLRGVEVQKVESDSMHSLLHIEYSEWPDHGVPSDSNAVRQILKRLYRIPREHPIVAHCSAGIGRTDHNDLEWSKQRDK >Dexi5B01G0015320.1:cds pep primary_assembly:Fonio_CM05836:5B:12452031:12453531:-1 gene:Dexi5B01G0015320 transcript:Dexi5B01G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVPSCHGPAQREKRDCQRMDEDGDKDWEDEVVILLWMVSGFGEGRGRISAHESTPPSRGNRPGNCVVRLHAARASARLRRGERHRRHLRREKRKDRERERRWLGSERSGVWRTSKTGRVGDSGGWAARGQRRAGVDV >Dexi2A01G0003830.1:cds pep primary_assembly:Fonio_CM05836:2A:3353855:3354536:1 gene:Dexi2A01G0003830 transcript:Dexi2A01G0003830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQALLLAVVTAAACLAPLASAMEWMVGDDAGWRPQFNKTGWTNGKTFRVGDTLMFMYQPGAHTVIQVGKDDFISCNLQGNQLGAWTSGNDVVHLDKPGKVWFFCSVAGHCDNGMKLVVDVQLDAAPSPDSPPPPAPKSSAPAATTGRYTAAVAVATAVVASALAL >Dexi7B01G0009860.1:cds pep primary_assembly:Fonio_CM05836:7B:17328040:17329525:-1 gene:Dexi7B01G0009860 transcript:Dexi7B01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPALCCASPCRVAARLGPPASPASAAARQLVLPGGYYRGAQGGPVAGRLVALPPAAKRGGGRGEVATPTEDGDGTRSLLQAALWGAEAAYILWLFLLPYAPGDPVWAISQATISDLIGLSLNFFFILPLLNSAGVHLLESPVLHPMAEGLFNFVIAWTLMFAPLLFTDSRKDRYKGSLDVLWGLQMFLTNNAGFGDIAERWQYVQTYVFSERLAYAFLWDIFLYSIFQPWLIGDNIQNVKADAVEFVYVVKFVPVVGLVAYLLCLEKEE >Dexi9B01G0021180.1:cds pep primary_assembly:Fonio_CM05836:9B:15858406:15861393:1 gene:Dexi9B01G0021180 transcript:Dexi9B01G0021180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAIVLACGVVAVASAAAFLATLVALRRSVAREASLRASLVGHKEALQQAERKSLNKTSAFAGASHDIRSALAAITGLVDVSRAEAQAHPQITRNLDQMDACAKKLLGILNSILDTTKVESGKMQLDEVEFNLADVLEESMDMINIVGISKGLEVLWDPCDLSILKCGNVIGDCRRLKQILDNILGNSVKFTQEGHVILRAWANRPITRSSVGVPSRFGCLIPGSSFLSLFKTSERQADCRSFSSVQNDPNSIEFYFEVDDTGIGIPKEKRELVFEDYVQVKEGQGGTGLGLGIVQSFVRLMGGEISIKDKEPGKSGTCVGFNVFMKMGGIHEQHDIEEGSSIPSRETSESHIRASAFREANSFDGVHCVLLLHGAETRRILQAWMENLEIKVSVAQELDFLAYMVEKFCHVNTSPARASSGSFECHTDYCFRRRDTVTQILPIAMSNSNSVHRGTFRGVLVIIDAHYGKMEDICTEMKFAEIKNQIACKVICLTDANTSSSDLTRFRHNTCCDLVLQKPIHGSRLHALLKTLRDVHQMPHVRHPSHVIPDNTETTTPGGSLGAGTSAMATQSTSEPRTEADKPLTGTHVLLVEDTLTLQTIGKKILHQLGATVEVAEDGAKAVSMFQAALERAPAGSETDTASTPYDVILMDCQMPVMGGYEATRRIREVENRHGIHTPIIALTAHAMEEEMQKTILAGMDLHLTKPMERRSIAEAIRHVRGSQG >Dexi2A01G0030130.1:cds pep primary_assembly:Fonio_CM05836:2A:41132703:41134022:-1 gene:Dexi2A01G0030130 transcript:Dexi2A01G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSLYLAALVASFFAATVTRVAGRKWSMFGGGVTFLVGAALNGAAKDVVMLIVGRVLLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIHGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKKMLKRVRGTEDVDEEYNDLVAASEESKLVAHPWRNILERRYRPQLVMAITIPMFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVAEIPKGYAAIVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMLCTFIIAQAFLPMLCRFKFILFFFFGAWVVVMTIFVALFLPETKNVPIEEMVLVWRSHWYWRRFIRDEDVHVGADLEMANGNGKLAGMH >Dexi4A01G0020420.1:cds pep primary_assembly:Fonio_CM05836:4A:24055788:24058984:-1 gene:Dexi4A01G0020420 transcript:Dexi4A01G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDPAAATANSAAAVAGGGVDEGGGGGGKQQHPQRGAAVMAPPPMAVPAPAPAPAGEEVRKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNVMTILYLSPAVSQAVLSQQHPPQREQGSVMSMDTSTAVGNASANAAVPSWGSSLVQPFSASHVQGAVAVAANNCSSSIESPSGTWPTSEAVDQENVVPLVRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDMETVCLL >Dexi8B01G0004100.1:cds pep primary_assembly:Fonio_CM05836:8B:3571590:3574847:-1 gene:Dexi8B01G0004100 transcript:Dexi8B01G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSENANAKAKLPQPVTAPAPSSGRPVSVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTAEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDIDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLQLNLGELLNPSKT >Dexi1A01G0014480.1:cds pep primary_assembly:Fonio_CM05836:1A:21135336:21136894:1 gene:Dexi1A01G0014480 transcript:Dexi1A01G0014480.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSLGHSQKKNLPDMVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGVRAASGGFSYLYEPLWWLGMITRDKLSLIIKFYLAVILGEVANFAAYAFAPAVLVTPLGALSIIFR >Dexi4B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:4B:4000739:4002389:1 gene:Dexi4B01G0005640 transcript:Dexi4B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSGGGGNAWAKEMTIRRRIARIFNKTQDHFPTLKDYNDYLEEVEDMTFNLIEGIDVEAIEAKIARYEEENAEQIFLSRAKRAEDLAAALKESRMNPVKTEADNTAAGSSQGISGGTGTQGQYAPAAVQPWPTGMAPHPIGSRSDPLQGDDEETRRLRAERAARVGGWTTELSKRRAMEEAFSSIFI >DexiUA01G0003900.1:cds pep primary_assembly:Fonio_CM05836:UA:7955265:7957635:-1 gene:DexiUA01G0003900 transcript:DexiUA01G0003900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEQIHQTLGDIDARVPDALRVALGLGYRAPPTPAPGEADEVADLAASLLQPPPATEVGADPTEHDAAAAAAAAALRIDWASCYVPLHDHDAHFGDASAGVLGVADGVGAYAESGVDAGAFARGLMSSASAAAAELAEHGAHVCPRALLERAHDATAKSGAPGASTAVILSLAVAGNAHLEWAYIGDSAFAVLRRGKVVLLSTPQRHLSRTSRQKLRFSSTAARRRQRLFSSDDPPFQLSAAGERSDSVSDAMAGRLAVRAGDVVVVGTDGLFDNILEEQLELAVQMGTRLGFSPKNMADIIAGVAYERWEV >Dexi2B01G0005790.1:cds pep primary_assembly:Fonio_CM05836:2B:5322619:5326515:-1 gene:Dexi2B01G0005790 transcript:Dexi2B01G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAPAPRKKRLLRAGLLAAAVGYFAFNLPPSKMKPAPAPRKKRLLRAGLLAAAVGYFAFVLLFELPLLPFLSAPSSSSSSSLPIPHPRRRELEAAAAAFASPFSPARPAKPAFPDAAAAPAPGGARRGLPIFSSLLLLRRPNATATPFDNTAADAFAAAKPHLAHLQAPAPASTPSSSSPRPPAAPACPASVSVHREQLPYDGVRVVELPCGLAVGSHVTVVARPRPARPEYDPKIAERKDGEDAVMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSRRPVIEMNSCYRMQWGQSQRCEGFASRAADDTVDGQLKCEKWIRDDDNKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVMTLTAGLEGYHVNVDGRHVASFPYRTGYSLEDATALSINGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSSNIVARFFVALNGKKEVNAELKKEAEFFGDIVIVPFIDSYDLVVLKTVAIAEYGVRVVPAKYVMKCDDDTFVRIDLVLDQVKKVRSDRSVYVGSVNYFHRPLRSGKWAVTYEEWPEEVYPNYANGPGYVISSDIARYIVSEFDNQTLRLFKMEDVSMGMWVEKFNKTRRPVEIRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSARCCNVR >Dexi4A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:4A:8399712:8402283:-1 gene:Dexi4A01G0010470 transcript:Dexi4A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELDLEPTEIRDVLRSSDPTVRRNWSISLCPLCYIAPANGYAKYDCAAGILHTVFFHRTLSLVRPKDVDCKFLEITYVQCGLPELEKEIDEKIEQFIAWVEKHPNRRSQVCLSFFDEKSKNPAIPIIWNVRINILQNMLLGNALVETSSRHAALESSINEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPR >Dexi7A01G0004690.1:cds pep primary_assembly:Fonio_CM05836:7A:15621234:15623712:1 gene:Dexi7A01G0004690 transcript:Dexi7A01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEARRMLVKAKEATVEEKQKGDNGEEKKQEAPPPPPEEIEMRVYMHCKGCAKKVKKILMKFDGVEDVFADATERKVIVKGKKVAADPMKVVEHIKKKTKHIVELISPVSPPPEEKKEEEKEPEPPKPEMESPMIIVVLKMRIHCKACAQWIEKRILNIKGVQYADVDLKASEVKVTGMLEVAKLAQYVYKRTGKHVVIIKSEPLVPPESANGDKANEEEKAEGGEEIEGGGDTGAEENNNVEDTSAIAPANICTCYPQFTFPGGYYSPPPPPPGYFYQAVYPPPSYAAYAPHHQMMAPQIFSDENPNACSVM >Dexi8B01G0009720.1:cds pep primary_assembly:Fonio_CM05836:8B:17337549:17337944:1 gene:Dexi8B01G0009720 transcript:Dexi8B01G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRSLRLVSLRTPRFRVYAAKAETVNTVMEIVKQQLALGAEAAAAVTPESKFTDLGADSLDTVEIVMALEEEFNITVEEDNAQNITTIQEAADLIDKLVA >Dexi1A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:1A:20776667:20777415:1 gene:Dexi1A01G0014260 transcript:Dexi1A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHGKKVVESFTICETGEVVRAGDCVAIRPFMDDDDENLSLARVEKMETCCECRGVAAQVRWYYQPWQTKHGSRTFHGKKELHLSDHIDTRSAYTFEAKCVVYTLKEYSKLSKARIEDFFCRLEYKVASATFMPDQLSVYCICEMPYNPDIPMILCPGCKER >Dexi1A01G0009040.1:cds pep primary_assembly:Fonio_CM05836:1A:7105446:7108969:-1 gene:Dexi1A01G0009040 transcript:Dexi1A01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSEDDYEISDSEIDEREAEVYEHLKSGHIKVKDHETYNCPFCRDKRKKYYSMDTLLQHATGVGSATNRQAKDKATHRALVKYLKDESGRSSEPQSQLSMFIEPQNPLNRDDQFVWPWMGVLVNVPTEWKNGRQVGESGNRLKEQLSRFRPQKVIPLWNRRGHTGNAIVEFGKDWTGFKNALAFENHFEAEGYGKKEWELRRYQGPEMFGWVARADDHRCQGPIGDHLRKNGDLKTVGDCESEETRKTDKLVANLASEIEVKNMHVQELESKCNETTASLDRIMAQREQLLHSYNEEIRKIQLIARRHSQRIIDENRNLRSELETKMQELDSRSKELDELASQSNYDITNLMHEKEKNQMKTEHLKKATMEQQRSDENVLKLVEEHKREKKAALEKILKLQQQLDAKQKLELEIQQLQGKLEVMKHMPGEEDHESKKKMKELSTELQEKIDEMEAMESLNQTLVMKERKSNDELQNARKELIAGFQELTVGRSNIGIKRMGELDPKGFGSACMKRFSKKDAEAASAILCSKWQEEIKDPNWYPFKVVLIDGKETGVLEEEDENLQKLKKEHGEEIYGLVTKALVEINEYNPSGRYPVPELWNYKEDRKATLKEAVQYVMKQWRSHKRKR >Dexi5A01G0026730.1:cds pep primary_assembly:Fonio_CM05836:5A:30287687:30291057:1 gene:Dexi5A01G0026730 transcript:Dexi5A01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALASAHELASEAGHAQITPLHLAAALAADRSGVLRQAIAHASGGNDIGAADSFERVVASALKRLPTQSPPLDTVPASTSLVKVIRRAQSLQKARGDSHLAVDQLLVGLLEDPQVSDALKETGVAASRVKAVVEKLRGDNRRVESASADTSFQALKTYGRDLVEVAGKLDPVIGRDDEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTVSILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEVIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDQLQPLQMKYRKEKERIDEIRKLKQRREEMLFSLQEAERRMDLARVADIKYGALQEVDAAIAKLEGETGENLMLTETVGLEQIAEVVSRWTGIPVTKLGQNEKERLTELAKALAEQLFDDENLLVRIDMSEYMEKHSVSRLIGAPPGYVGHEEGGQLTEQVRRRPYCVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNNSMKAARDLVMLEVRRHFRPELLNRLDEIVIFDPLSHEQLRMVARLQMKDVAVRLAERGIALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTELSKMLIKEEIDEDSTVFIDASRDKDELTYKVDMNGGLVNAQTGQKSDILIQIPSGATKGGAARAVKKMRIMEDGDDDDDMEE >Dexi9A01G0019220.1:cds pep primary_assembly:Fonio_CM05836:9A:14148368:14149670:1 gene:Dexi9A01G0019220 transcript:Dexi9A01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPSRSTAASRSNPSLRRRRTGPPRIAQQPEATWTQPQQSPKRRQLDQGFKLSDENNAGAPGTRGGAGTGRGSGETSEEERGRRAGEPSSTLMESLYAFRILLLLRRRRPSHPTPRGRLLAAPKKSLGPGLAGGVGDYLGARGRDREGGPPAAASSPRRRNLSGLASLVVSGTTSGLAVAIGREGSRAARAGVGGGVGGVGEWVRARRRGRDQGGRECADRGEQSAPRVPARGVVGMREIPVGLGAPASFSSLSPSLGSQPGKRSFPWKAAVIAQKNGRDTGKVR >Dexi4A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:4A:22963986:22964282:-1 gene:Dexi4A01G0019100 transcript:Dexi4A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTGTPRSTLSSGASFFAGPTVTTSGVLRSAVILAWSSLSSASSGPTHRQPESDKLSLAKANAVVPADDAPAPPRLISGR >Dexi3A01G0029400.1:cds pep primary_assembly:Fonio_CM05836:3A:30910011:30912763:1 gene:Dexi3A01G0029400 transcript:Dexi3A01G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGALRGPSDYSREPPRHPSLRINAKEPFNAEPARRDLVASYITPVDVFFKRNHGPIPVLDDIDSYCVTIGGLAGGPRRLSLDDIRKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLVGVPCHTEITPSGGKHVEFISVDQCPEEKGGPYKASIPLGQATNPAADVLLAYEMNGEVLKRDHGYPLRGIVPGFIGARSVKWLDRIDIIEEESQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPIQSAICSLEDTSAVKPGQITVAGYALSGGGRGIERVDISSDGGKSWFEAHRYQKQGVQYVAGNITSDKWAWVLFKATVDVKGDTEIVVKAVDSSANVQPESVESVWNLRGILNTCWHRIRLLAIPNLRSSM >Dexi2B01G0018760.1:cds pep primary_assembly:Fonio_CM05836:2B:28974100:28977942:-1 gene:Dexi2B01G0018760 transcript:Dexi2B01G0018760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLLLRAASPCLRPAAAATADSDRGHGVVTIGDSGPRGVALRVAGSSRRAFSFITAASRDHSGLTRQLLDFQHDTVDEVGVGVEHDPFMELKARFMDFKQRNYVENFSNYQSLAEQQTPKFMVVACADSRVCPSRILGFQPGEAFTVRNVANLVPPYEHGGSETSAALEFAVNSLQESINSSLLNLLTYPWIEKRVNEGTLNLHGGYYNFVDCTFEKWTLVYRQGLEGGSKYAIKNRCIGIVAQLFDPGTLYGRRTKTTMV >Dexi4A01G0013860.1:cds pep primary_assembly:Fonio_CM05836:4A:14894227:14894825:1 gene:Dexi4A01G0013860 transcript:Dexi4A01G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGSGSGGGSWSIHGRADVTSRYEVLGHAGSGAYADVYRGRRRSDGATVALKEVHDAVSARREAEALHAVSPSPHVVALLDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRASGGGGGIPAAQLKRWMLQVLEGVAACHHAGVVHRDLKPANLLISEDGVLKVADFGQV >Dexi2B01G0025610.1:cds pep primary_assembly:Fonio_CM05836:2B:34887775:34889223:1 gene:Dexi2B01G0025610 transcript:Dexi2B01G0025610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHVLVFPFPIQGHINCLLNFANALVDAGVHVTFLHTEHNLRRVASGAGSPHLRFLSVPDGLPDDHPRTAAYLPDLYKSLAAGTRGPFRDLLARSSSLVADAAGVDEPTGDFPPVTCLVADGLLGWAVEVADELGVPAIVMRTASACSLLAFLSVPKLFELGELPFPVGGDLDEPVRGVPGMETILRRRDLPSHCRRPNATYEVNPGLHALAKLSADSSKARALVLNTTPTLERTALEHIAPRVRDLFAIGPLHAMSSTAASSSLLREDGGCMAWLDAQAADRSVVYASLGSLATITREQFAELFAGLVATGYPFLWVLRPDMVADAAALEDEAVREAGGGGGSSKACVVAWAPQREVLRHRAVGCFLTHAGWNSTLEAIVDGVPTVCWPSSADQQMNSRFVGAVWRTGLDMKDVCDRAVVERTVKEAMESTEIRGAAQAMAEQVKRDVAAGGSSATELQRLVGLIRELSALSETRDPSQ >Dexi5B01G0034830.1:cds pep primary_assembly:Fonio_CM05836:5B:34970270:34972661:-1 gene:Dexi5B01G0034830 transcript:Dexi5B01G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRRGPLWSLPVARRTRSASWAPPSASAPAAAWASASASSEVRAGIGSGFPGLQLGSGVGAGCGIGIGFGYGFGKGIAYDESGRYTNIKRPFQNSRSLAYDEQFDIMFDELMESTRKLIKATSKELDKWRRM >Dexi5A01G0038220.1:cds pep primary_assembly:Fonio_CM05836:5A:39280725:39286396:1 gene:Dexi5A01G0038220 transcript:Dexi5A01G0038220.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISNNYFNCSARDANSKLHRLPSPSPPKPKENNSGNRSSDEAEASQTPTPTPPQRRRQPSAGNPSARRAMAEDGEKSAAPASAAAGAEGGGGAGKGGGGEEEASVKLFVGQVPKHMTEAELLALFREVAAVDEVTVIRDKATKVSRGCCFLICPSREEADKAVNAFHNKRTLTGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKQKIEGSSVPLVVKWADTEKERQARKAQKAQLQLSNMHNAGPMQQSSSVQPPGTYGLMQYPLSPMQNQGPFQNMVQPVNQGNSIRGVNPELSPSSVQRSFNAMQLGSPYPAMPGMQYPGSYPGGLMNSRPFGNSHNPIKVPNSNATSTISSCPSSNTGGQIEGPPGANLFIYHIPQDFGDQELSNAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAISMMNGYQLGGKKLKVQLKRENNKHSKPY >Dexi9B01G0015440.1:cds pep primary_assembly:Fonio_CM05836:9B:10516256:10518727:-1 gene:Dexi9B01G0015440 transcript:Dexi9B01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLSLGGSLKLSIAPAPWTSCAAARHLDDGQPGGTWRLPCLCCCWRHYPRRPSHLPSDVHLAANLCTQPSRTYNELFDVLHRTMGDSTPSLAFGGHTCLSSKDADAGQKSSPAAPRRTASRWRSQTPTPLGSGTPSRGSSSCSSTPPRTLPFNASYYHLIGGHRNLPMVPLGRSSALQAIQELSRPGTATPKPDSKAALVRLMSHDHDVGITAVEGDPRGIQREMGERELRHQGASRTRAALGGPVVPRVAMGGDWCVGRRKQGTKPHEKIGFYNGAQALSMVDLIKRPKELCVRDGHVRPYILLKKMEKRKTMMRALSRRKLAKEARRRRPCTETSDGTSVSMDLR >Dexi9A01G0043770.1:cds pep primary_assembly:Fonio_CM05836:9A:47466994:47467668:1 gene:Dexi9A01G0043770 transcript:Dexi9A01G0043770.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRLPAPAVPRSALRRLRRAPAASPPPWPSPSPSLCAVPAPTPLRPASPSNCLLIRRKHIKLCGDKLTMDPKHSAELSKHLDKQNQALMETYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKSAQ >Dexi7B01G0002670.1:cds pep primary_assembly:Fonio_CM05836:7B:5207179:5209145:-1 gene:Dexi7B01G0002670 transcript:Dexi7B01G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKKLARADRIIREMGLSAVANSRIGGRVSKGISGGERRRVSICMELLASPGLLFLDEPTSGLDSAAAYHVMAYIARLARTMGITVVAAIHQPSTEVFDLFNGLYLLANGRMVYFGPIALAAEGMLLLSCGFFRLPHDLPKLVWKYPMYFISYHKYGIQGLYKNEFLSLAVEDQQNRNGLVMKGGNILKNILQVEMGYSKWVDLAVLCIMAIFYRAMFLAALKLTEIWGPIIKLRRMKV >Dexi9A01G0011070.1:cds pep primary_assembly:Fonio_CM05836:9A:6875150:6879375:1 gene:Dexi9A01G0011070 transcript:Dexi9A01G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAARIVAKIQPAASPAATPRSKARRPSSLRVAALSGSTMVQRKKFVAKSAISVVEAGDAFAGVKQNTRPIIVIDNYDSFTYNLCQYMGEVGANFEVHRNDEITIEEIKKISPRGILISPGPGTPQDSGISLQTVTELGPSIPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYDEKLDGTLFSGLPKQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCIP >Dexi9B01G0027790.1:cds pep primary_assembly:Fonio_CM05836:9B:30288374:30290094:-1 gene:Dexi9B01G0027790 transcript:Dexi9B01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVNARDHHEQRGDSSLGLGLSLSLSIATAAAAPVEPPPPPRAISAAPISSHPAPPQPLWWNGAGLFFPPSSGMDRSLERKQQQPASLYGGHEMPFLRGIDVNRAPAAGESRRGSCSEDEEPAASSPNSTLSSLSGKRPAATRSGELEGDHTPRAGGSDDEDSGAGGGGGSRKKLRLSKDQAAVLEESFKEHNTLNPKQKAALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQREMAELRALKLVAPHQYACMPPPTTLTMCPSCERLATAADDAAGRAARPAPTGTWGPVPVRPVFVDGPARRS >Dexi8B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:8B:20926767:20928606:1 gene:Dexi8B01G0011740 transcript:Dexi8B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICSVTPVNNLVGYTTGIYQMDIPNSAVWFAVGIVLVTTIITKAARGRRKYEQASSMGTPHPPTVYCWSMIGLLCTLYMKGFRAMILDQYKKLGSVFTISFFGKNITILVGPEVADHFYQGMESELGLNMLDFTVPMTGKEVGYARDAATRCEQSRIQTDALRPSKLRSHVSPMLQEVEEYFAKWGDQGMVDLRKELEQVLMLISGRCLLGKEVRDNMFGDFFTLFNEMIDNGTNVTNAMFPFAPTITNRRRDRARAKISEMLTKIVRSRRSSDQTKDDVLQYLIDSKYGDGRPTTESEVTGMIIGLILAGKQTSSTTSTWTGARLLSHPTFLAAVLEEQKHIVKKYGDQIDYNILLEMETLHCCIKEVLRMHPPTASLFRKVHKSFLVKTKEGTQYEIPKGHTIASPMLFNNNIPSIFKDPGVYDPTRFRPGREEDKAGGKFCFTVFGGGRHSCLGEAYAYMQIKVIWSHLLRNFELELVSPFPEMDWSKIMPVPKGKVMVTYKRRSLPST >Dexi2B01G0034530.1:cds pep primary_assembly:Fonio_CM05836:2B:41754327:41756088:1 gene:Dexi2B01G0034530 transcript:Dexi2B01G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPMASVTYIDDSHAEVIDPPKNEEMLDLDVTELVGEHIQHSPKPNVTSYGNVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >DexiUA01G0007800.1:cds pep primary_assembly:Fonio_CM05836:UA:14680282:14689516:-1 gene:DexiUA01G0007800 transcript:DexiUA01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALREMPARRARPASCPKKASRTSGRGPDRKNPILEPSAELYPARIYLEGGQRNSFFGILLNGSILPSKCYAYAQDYPSSRKLRGDLYYFTTTGDRPPFEPSLAAGNSFPPDSPFLLKAPLRGALGIKGVLVPPRYVLQRGVVIQLLLNERNPLRILLPDARIVIRFFGKPTDRQSSEGISIAKDSIQPQVPLRLPCYDFTPVEDPTVGVTGGVYRARRFQLHVPELQRTIRTEAIFPDSLRLTALLPIVIAIVARPCGLDVIPTFLQYLTGIFLRRQLDVVAPVNSFEFRSCDRTPQAECFTHQGRTLIVYGMDYQGTQRAAFAFGVPSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGMWLIIRKDQLSIIGLIPTRYAPVRHFVLNYSDSSKRRPFEAGRDNFS >Dexi1A01G0030750.1:cds pep primary_assembly:Fonio_CM05836:1A:35900863:35904713:-1 gene:Dexi1A01G0030750 transcript:Dexi1A01G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQAYRDLLHGGQEQKAQGGNASGRLACDKGGGAVEVAGRDGDWRGGDRGRGIIVLSRDSAASVERERERERERQSWVLRGRVGQWMAMAGGGGLHRSSSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLTVFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTHERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVSFCPCHVLALSFF >Dexi2A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:2A:29894148:29896234:1 gene:Dexi2A01G0017890 transcript:Dexi2A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGACIAALLLLLLPAAGGGNGGVHCLEEGSRSRGRRALQGRQHLRSRAVAGATVLELRHHTFSSAPSKSREEEADALLSSDAARVASLQRRIETYRLIGSSPDTAAAVSASKAQVPVTSGAKLRTLNYVATVGLGAGEATVIVDTACELTWVQCKPCDSCHDQQDPLFDPSSSPSYSSVPCNSSSCDALQVATGSVSGSSSSSPACSDHQPSCSYTLSYRDGSYSRGVLARDRLSLAGEAIDGFVFGCGTSNQGAPFGGTSGLMGLGRSDLSLVSQTMGQFGGVFSYCLPLKDSGSSGSLVLGDDSSVYKNSTPIVYASMVSGDPLLQGPFYFLNLTGITVGGQEVASSSGFTTASKVIVDSGTVITTLVPSIYDAVREEFVRQLAEYPPAPAFSILDTCFNMTGLREVQVPSVKLVFDGGVEVEVDSGGVLYFVSSDSSQVCLAMAALRSEYETSIIGNYQQKNLRVIFDTAASQVGFAQETCGYI >Dexi1B01G0007120.1:cds pep primary_assembly:Fonio_CM05836:1B:5869035:5869397:-1 gene:Dexi1B01G0007120 transcript:Dexi1B01G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPYSCNASTIISSISAGTADNQERVCNDERKKRRLASNRESARRSRVRKQRRLSDLSSQVAELLDTNQRLLIELHHVIAKHARIVRENAKLREEAADLQRRLDEMEEDAAATKTPEVA >Dexi1B01G0025420.1:cds pep primary_assembly:Fonio_CM05836:1B:30464467:30464780:1 gene:Dexi1B01G0025420 transcript:Dexi1B01G0025420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCCQPGQGRNATLIRRVRGAAGRACARASTVRVRADSADDESCERSSCEGRGNGIFWSLTWVDTVYGRTTMSI >Dexi1A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:1A:959958:960842:-1 gene:Dexi1A01G0001470 transcript:Dexi1A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVLHSGDHALDTQQLCFWVTGSVVPVGRWLCWIDYYRGILFCDLFAKPNPTVSYLRLPLDEFPKTNNRSRTCSWMYRCVSAVDDGNVVKFVDFARSDDIGYGALRPGAGFTITCHTLMLDGVDKWDKETMGSVVWHKDWTVTSDELWSANPPELLPREAPTFPQVNIDRPHVVHFLLTEFGYVMKKMWVVAIDMSTGKGSTSPSYSCTRRN >Dexi5B01G0023110.1:cds pep primary_assembly:Fonio_CM05836:5B:25346996:25347882:1 gene:Dexi5B01G0023110 transcript:Dexi5B01G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHVLVVDDSCVDRLVASRVLQKCNIKVTVVEGPKEALKLLAMEHDVNLILTDYSMPEMTGYDLLMEVKNSSKLSHLPVVIMCTDDVPARIKECLDGGAKDYIIKPIKVTDVPSLLRYI >Dexi3B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:3B:8827031:8829617:1 gene:Dexi3B01G0012590 transcript:Dexi3B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTSTPRNCHVTTPQSVAGTCRAHLSHGDRRGRRCRPRRRPPVPPCPPSLRSTAPPPPAPPCRRSRSRFVFPARPAAPPEGGGRLVAELVGAFNELTERMGEELATSSSSSLLFRALKLALPALRDADGGRALARALTVAASLADLQMDAEVISAGILREALDAGSVSMSDVKAQIGISTAHLLHESLRLKHAPSKLDILDDESAGALRKFCLTYYDIRAVILELALKLDIMRHLDDLPKYLQRIKSLEVMKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYNHVDQWLRSQESECKALINLYKEQLLQALKADDELKRIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILGLRVILEPRCDDNSLDRGPRACHRTHEIIQALWKEVPGRTKDYISRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMEKSAVGGDASHSLYKGGLTDPGEAKRLKTIMLAAAELAALRLRDLPDSDRGVVNCKNPAFRQLDKNGDGRISIEELTEVMEDLGAGGKDATELMHLLDANSDGSLSSDEFESFQRQIELMRSLEDDDDHYRRILKEKLHTIDSAGLIHVYRKELGDKLPVS >Dexi6A01G0009160.1:cds pep primary_assembly:Fonio_CM05836:6A:10257021:10258013:-1 gene:Dexi6A01G0009160 transcript:Dexi6A01G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMRELRNDSGSEEVVDLRRELQTALGTAKWQAFGAV >Dexi5B01G0031740.1:cds pep primary_assembly:Fonio_CM05836:5B:32410772:32412468:-1 gene:Dexi5B01G0031740 transcript:Dexi5B01G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPSTRMASPPEPGPYMPDLPAVPAWLNKGDNAWQLVAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGERMLPFWAKAGPALTQDFLVRRAVFPATAHYGRGGVLETPRTEPYYPEASLVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRDRFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMSAGSVPWFTMMILHKKSTLLMKVDDTLAVFHTHAVAGVLGGVLTGLLATPELCALDSPIPGARGVFYGGGIVQIGKQLGGALFVTVWNLVVTSAILLCIGLFIPLRMPEDQLMIGDDAAHGEEAYALWGDGEKFDLTRPETTRTGASGAGREDTVEQRLTSMGARGVTIQL >Dexi5B01G0031110.1:cds pep primary_assembly:Fonio_CM05836:5B:31925546:31926570:-1 gene:Dexi5B01G0031110 transcript:Dexi5B01G0031110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLHAAAAAPLQGPNQPARAAFHPLASAPASLRLARSSPASRPRLEASFRALSAGRRFARSGRGRRVVAALAGEETGSGVGDDKDNSKEEIKPEEAQEAWKVMLDQFKAEALRMQALSTQAYEVYSKKTREVLLEAAEKLKIQTDKAQKDLSVIAAEVSQEGQEYLTIAARNSPDSIKDIMTTFRALGKLKWPSEYEDYHVGIPFGM >Dexi5B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:5B:9268847:9269677:-1 gene:Dexi5B01G0013050 transcript:Dexi5B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACDETKKVVDVSSQMQEVVPCGIKQLTTVDMQEKDPQDQSSSDSHAENSFADLGFTCSSDKFDEFADKTQLCASQSSSKLSDRLKEISNTLKLLRTRPINILERKTDTQPSSTDVAEPKTPPAHLKFRHAKGLQESLMGHSTGIKGAATETHG >Dexi5A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:5A:24605761:24606121:-1 gene:Dexi5A01G0020780 transcript:Dexi5A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCCSPALSASQYLVGMGSYDITGPAAEVNLMGYANAEQIAAGIHFRLKARAFIVAEPDGKRLVFVNLDACMASQLVTIKVW >Dexi3A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:3A:14947839:14948769:-1 gene:Dexi3A01G0019110 transcript:Dexi3A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRFAPNVPVVLVGTKLDLRDHRAYLADHPGASTITTAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREAMPARKKRT >Dexi3B01G0036310.1:cds pep primary_assembly:Fonio_CM05836:3B:39139897:39140532:-1 gene:Dexi3B01G0036310 transcript:Dexi3B01G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFAAMKSPLPVAASAATGDAKSPLFCPKPRRPVAPLRCHQSGGYSDAAAGVDLLDLLLSKGEESGLMAASPQPPPPLFCGSPPRRASNPVVHDSRFGMDYPPVPVPLPGLPVMAAAPVAVARPNPRPSVAPSMSPRGASGCARARFAFQPAAVRVEGFDCLDRSRGGRGHGITAMA >Dexi4A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:4A:8484519:8484992:1 gene:Dexi4A01G0010610 transcript:Dexi4A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMGDAIFVVVEIVLILFIFIGCSCTTWLRGEARENEQQGQHHPPVAPPPRRHQRHHNQHAPRDEETLRAPVVLANFPYAAARGARTTTEPPAACAICLDELRQGQLCSEAPACRHIFHEGARLVLPGAAYGVAAADDMV >Dexi6A01G0016540.1:cds pep primary_assembly:Fonio_CM05836:6A:24402264:24406642:1 gene:Dexi6A01G0016540 transcript:Dexi6A01G0016540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLAAACIYFLSFAVFVLMLKASMRRRAAAGRRSERAPVQGLKLPPGSLGLPYLGETLQLYSQNPKIFFADRLKRYGEVFKTHVLGCPCVVVASPEAARMVLVSRAHLFKPTYPPSKERMIGPQALFFHTGEYHLRMRRAVQGWLGPGALRAMVPHVEAAVASTLRRWEGRETSTFETMKRLTFDVGVVSIFGRRMADHVKEELRTNYFIVEKGYNSFPIPGLPWTCYSQAIKARQRLGAILRGIMSVRRERNHLGDDDLLGTLMRCRDGDGGEALSDDQIADNILGVLFAAQDTTASVLTWILKFLHDNPKLLEAVKEEQMAVYEENDGGRLPLTWTQTKRMPMTQQVILESLRLASIIAFTFREAVEDVEYEGFLIPKGWKVMPLFSNLHHSPEFFKDPHKFDPSRFTVAPRPGTFLPFGSGVHACPGNDLAKLEMLVLLHRLVTTYRYVSSSAAPGRDPAMHGKSTQIRHVSWEVMEPSDEVTYSPFPVPKGGLRARLLRATAGGVEDGGSPAMAAAA >Dexi9A01G0025830.1:cds pep primary_assembly:Fonio_CM05836:9A:26702187:26703780:1 gene:Dexi9A01G0025830 transcript:Dexi9A01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVAGASGGGGGAGGPSGNGGAGAGLGAPPSGGPCGACKFLRRKCVTGCIFAPYFDSEQGAEHFASVHKVFGASNVSKLLLQIPPHKRLDAIVTVVNLQTELTYLQGHLTTMELPTPAPLVGQLQTPMTAAFSIPNQPTSSNVPATVDLSTLLEPQMQSQQWASQFQQQQALLLQQQQLLQPQQYIQMGEGSGRVAGGSGSADGGDLQALARELMLRHGRVAVGSPPEPPTPATQ >Dexi6A01G0013940.1:cds pep primary_assembly:Fonio_CM05836:6A:21281028:21286872:1 gene:Dexi6A01G0013940 transcript:Dexi6A01G0013940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRSQSASEAGPKPPPSAGPGAEAAPGGAGRRRASPAAKGKSPAKVEKGALHGNTRKKGGAKAETKDKTSVETCGTGSHIKSDLEEQAEPVCDNNAADMDWEEGHVEHNAYSHELGDTITVEFTDDVPSSTNKKSLRRATSEEKELAELVHKVHLLCLIARGRVVDRACDDPLIQASVLSLVPSHLLLSVADVPNLKAVNLRNLVSWFHRIFCVTAQSTDRGSFVSNLAFTIQDRVGTAEEICALSVALFRALNLAARFVTNLNVSGLKPDIKVMGTLNQDASRLCTRSLPYSSQVADCNVIYSPALLKEKTQDSVSGNQRRGSLGKSKQTSACKRSLSKTLSSIKADNESSCISASSQLPSTSGSAELPKRKGDVEFELQLEMALSATAAETQNISQVNHTSQSIGSLKDSTPPLKKLRQNTEATSSSSAVWSRSAGAPLYWAEVYCSGQALTGRWVHVDVVNDLLDAERKVEASSAVCKKPLRYVVAFAGNGAKDVTRRYCLQWHRIAQGRVNPEWWEDVLAPLKQMELTATNNSEDMELQTRALTEPLPTSQQAYRDHHLYVLEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQIRENELPAKVVTRPKRTFNAQSVQSSANEDALKPTLELYGEWQLEPLQLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVARRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKHAILEAYAEEEEQRRAAERKQDEAQALSRWYQLLCSIVTTQRLKDSYKTPSNELGHERPPRNDNVQKTTLSSQRSEREPNLSKLQADHDHVHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >Dexi9B01G0019020.1:cds pep primary_assembly:Fonio_CM05836:9B:13561190:13565656:1 gene:Dexi9B01G0019020 transcript:Dexi9B01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVHEEYEEEEESEEYEEEEEDLEEGGGGGSSRKKAKQHAAELKRLQEKDPEFYKYLKEHDKDLLGFDDDDDDEIEDDEETGISDDAEPVSKDEQKQAVKPITMEMVDSWCDGVENEKIGSIRSILQAFRRACHYGEDQGDNSAPKFSVMSGSVLDKVMHFVLKHMDRVLRQLLGAPSFGGKKEAISDLMLSKPWKRHGNLMRIYLANALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKKSYQKVYDWQYIFCLELWTSVVCGCSSDEEFRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNCIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRFRSFCKTIKADRFRKEMKDLIHQTEKEERCSPLSKYVATLHQRAQDRMDALDETSVIVGAESSTFSRRLTEAQKEQDEQDDDEGTIAFSKNFLTEKKKPKTTKEKNKKRPREHDAAATEEDLVEDLVLSSDDEDNDNQGSDEDDSVPVEDDSDEDFVDPDSEYKKQKKAKLKKRNMRQPISNNKTKRKARPKKKAKH >Dexi4A01G0009470.1:cds pep primary_assembly:Fonio_CM05836:4A:7469234:7470896:-1 gene:Dexi4A01G0009470 transcript:Dexi4A01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPTSPSSSSSSASPRLLHSNSSEWNAVVQRNIKSSLLLLLVLSTIFVISVLYTSHSFGSTAAEEGLTQQSTLVVSGRLVPVEEDADEPIVPAENNVASEQSSPAEISLPSANSSSAPTASTAERTEDQSVVGVQVVERCDMSMGKWVREPKGPIYTNLTCPTHPDFKNCQKYGKDPGHLFWRWQPDGCDLPRFSPERFLDVVRGKRLAFIGDSLARNQMESLLCLLSQAETPTEVYRDAYDKFRTWHFPTHNFTLMAMWTEFYAHAMPVLDADGKPTASFDIHLDRLNANWTSRLPGLDYAVISGGNWFFRVNYLWEDGRRIGCVNCREANLTDFGIAYAVRRVVRAALEAIAKCHECSGNNLVTFLRTYTPDHFEHGSWFSGGYCNRTQPLEEGDISLQSIGWELRRVQSEEVARVRERTGSKRFGVLDVTKAMMLRADGHPGGHYDKRWVRNASDCLHWCLPGPVDMWNDVLLQRLAQISTTPLAR >Dexi9B01G0045930.1:cds pep primary_assembly:Fonio_CM05836:9B:45349041:45360008:-1 gene:Dexi9B01G0045930 transcript:Dexi9B01G0045930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGVENWKKLPGDAAGWAAPAAGAGTGRKAGGGRKHLSSIANHVFRQCSLTLGRSVDDLVADFELGLKTAAVDNYSRKLVEFCGLQALQIITSHDIGEKISDGSLSRFTFDMMLAWEAPTPSDQQITMESIAKEREDRKEPLGENEAVMGDETSLFYSDIMPLLVNEEPTVGEEAYVWFGSVFPLACDVVNARFTFEALTVTTANRLHYPAYERFLKEMDKSFKFLQNLPTPTGIDFAEDEFILHMEGTAGTQRVVRHIGTASWPGRVTLTNKALYFEASGTISYDSAIKVDLSDTGIQHQINTASTGPFGVPLFDKAIVFESLSEPLVLEFPEIASSTRRDMWLTLIREIEKDHDTEFNSHEQPLASLENKIDQVKDEAREVTAANAAIEGMKEEGITDSLLVLVGLVSPIGKLRPVIQQITSWERPFVTGIVMMVWARKRKIGKICSEVIVDTSSDKTTMESIVEAQQSLKKAHEYIKTANTAILRLWSIALARSPKHTETMIWMLTGSAVAVAVIPFKFILIGLAAGGFAANTRIAKAMSNPQGGRRWREWWESIPAVPVRTHLSIDSVSACCLARFSALPHRLLPPTLAACLVVGGGQQHVLLADARGLLGDLDGIQLARRGGSSMDDPEGVKGREEVASGREAGEPEAEEAEDGFQLVTHGKKKKSASGQEGGGAGSGAVLGAGSVRALTKDKVAAPGTKPKMPFHDPSIPRPQDVYKIIVDNYKPFEHVWLERSEDGTRRVHPLEKLPVEQFVDRNVPESKPVKPSDLEDTPFTLVEDHKGLVELAKKLKSVTEFAALHEWRDHIARQEDESTGYVLPNKALIEIGIAEQLKKERLEQLALKSVQASDETTLLDAVSDRSNIDPADHSSVAPSSVSVLNVNVASGSGAGLMNETALMDRIHLEDNIQTISSTKTFKTVSGLTRQVDKDVLSNNRYQQATQELKRPTLGALGNSAPGRETENFGGFSKEQFQGGNDLENFGSSVLPFQQQFSGGAKYSTAIGSIESFYPNTGMHSDNIWIQSTQMNEGMQLGNMTYYPHLTGYNTEVVGSHYEPEGMQMSSYLSGFEPAFQSMSQSTGTGLPHKEGNFQNSMRRQSYPPSGNRYDRSYQ >Dexi9A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:9A:11160421:11160774:-1 gene:Dexi9A01G0016200 transcript:Dexi9A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGDFTGMVLVKADGGRLGFATTSPNGGFLYLWTQQQQAWAQHEAIDIKAVLPRGYRCHTRQVVGFAGGSDTIFINRAAGVFALDLESRRARMVGAIEAYDAIVPYVSFYTTGLS >Dexi3B01G0037640.1:cds pep primary_assembly:Fonio_CM05836:3B:40397224:40397712:1 gene:Dexi3B01G0037640 transcript:Dexi3B01G0037640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQDYVLILASVAVLLVISAAMFLCSRRRQRRAPSPSQRRDAAGDDDVELGHGGVAGIDEAVLASYPTLLYNSSPSPETEKKEEEAPPSGGSDAARCAVCLADYADGEELRRLPDCKHAFHRACIDRWLRRRPTCPVCRASPSPPATKAAASAAVVVAVSS >Dexi5B01G0038990.1:cds pep primary_assembly:Fonio_CM05836:5B:38043351:38051479:1 gene:Dexi5B01G0038990 transcript:Dexi5B01G0038990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKHRASAADADSDGEEASQAPLPLESFSGDACAALTARYGRSAAPQHRHLLASAAAIRSILLDDGLPLTPASYLPAAVTALCAAGPADPAAASALASLLVILLPHIPTSPSSLPPDAASESASALAAFLSSPDASKLPTGTVRSVVKSLGHLTLHLDAAANWDAVAASLEALLAASVDQRAKVRKCAQESVEKLFAYLEQCGCAKKASDSAIGMFEKHISLARSLVNLNSDISEGKETDAAQMLGAMVTLVPYLSKKARKKVFSDAYQLLSPCFTPLTRHVLRLLETLLDHLKAESVESEVKSLVSLVVAYLPYDEKKPDDTIVSALHLMKSCLDKLVGCSKLWVEALPTAFEAVSGYLVLGRNCSDDIAKVVQDCIDSHIDHSVFVTNGAQISERGVEGLSDETAMKSICLTINKRLQTCASPPDSILTVLVVLFLKLGESSFVFMKDILLTLAQFAMKMDKESQLNNVLPNNSFSLNLCHMQVEECIGAAVLAMGPDKIFSLFPIAFDEERLTCSNTWLLPILDKYTYGAPLQLFLDHIVPLAKSIQNACNRVKKGRKRKELQCWTDQLWNLLPSFCRYPTDVHHSFGSLSKLLVEILKHDERRYKSAVEALQQLVDGTRKLSSNDQDVEIYMELSALFSSKPISFKCARLERSSKKEARKNLKVLASHSANLLCTFADYFLDSSQEKRDHLKGALRCLAQLSGSTNICGFFVSLVKRFELEDTQLEPESQVCKTDEVDRKDEDGIDATEELSNKRSLLLELISTFAEVADEDLLDKLFGFIKSYLLNSSMSCHSKALLALSIIVKEHNEYSLAHLDEIMLLLHGMKPVLDNSVLESQLLCYQHLLVHMIKVNEENTSKKAFLILNELIVALKSKKESRKLAYDVLLATSANLRSSKSDSSDSDLQRLFTMVMGYLSSKSPHIVSGAIAALSLLIYSDANFCMEVPNLIESVLVLLQHKAIEITKAALGFVKVLVTSLKPEKLLKLRADIMSGILQWSKVTKHHFKGKVVLILEILIRKCGFDAINLVTPDEYRDFVKSVEEGRKGNPNLADNVESEPKEYRQHDAKRRKQFDSNTETGQEGTPSRRPPSKSWSAGKRHAKDFHFKKGADRGNPHSAKSHQSKAPGDKADRPNFKSKSKWQPGKSQGNRGDKSPGSNTKTRGSAFSKTQNSGTRAPGHSPSSFKKRKRTA >Dexi1B01G0004240.1:cds pep primary_assembly:Fonio_CM05836:1B:3358274:3358569:1 gene:Dexi1B01G0004240 transcript:Dexi1B01G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRPHLVRGVASGRRDAEQWSKSSLGQLAGVGGRAVSWRGNSAAAAMSGKARVVVVGGGVAGALLAKIMQGHADVVLLDP >Dexi5A01G0006310.1:cds pep primary_assembly:Fonio_CM05836:5A:4660814:4661259:-1 gene:Dexi5A01G0006310 transcript:Dexi5A01G0006310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVPSNQSSIFAGPVVTLKIFEDNVLLREFLEGKGHGRVLVVDAGGSMRCAVLGGNLAQLAQNNGWAGIVVNGCIRDVDEINECDVGVRALNSHPIKSNKKGVGEKHVPVTFAGTRICDGEWLYADSDGILLSKSELTV >Dexi2B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:2B:27507333:27514019:1 gene:Dexi2B01G0017110 transcript:Dexi2B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCSRGLGIARRIDEAFEILESIEKDSSIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRKVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDKAIQFLEDMKEEAKRESNPELLPDAVTYTTLLKVAVKVESLSGFTNSLLRPHVFPQIILNDPVEKYMVPFQESRPLPADAILRKVVMRFLKDRSVPLVDDWGGCVGIVHRDDCTKLDAPLLSMSRGPPLCVPTSTTVEHVIDLLLREKSEMVVVVKSGNMYEGNYTSSSRPLGVFSLAILWNFSGDCSSDIHDADISRVTTLMQELQDAEACNCG >Dexi8A01G0004880.1:cds pep primary_assembly:Fonio_CM05836:8A:4280623:4282390:1 gene:Dexi8A01G0004880 transcript:Dexi8A01G0004880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAESTQPSACYPRWVLLEERCGELDEGSSSSSSTAADAEMTAAACLTSSGHPIRVFVHIAAPPAESRICIQAKQDTSYALVIAAHGDSVLINVGFNKGYRYGPSSEDYFVYSAGAPPWPPSLLLLPTSCNAALSMHSHDTGLMCSGAGKDDLMVVASLQLDMVAGGGDVAVSKKTANLHLLRHGEWSVERPNFIDAEIQDSELLSSWRSHVVVSAGDGFMYWVDFRCGLIFCNVYDETPVLGYVQLPAVEEADPLSCRGPHQNVSVTSDGVVKFVSVQPRCCCGSSGVTHCRHSANAYTVRIWSLKMDGMVWEMDGMVDSTELWGLDTYKSLPRVQLARPIVSMDEPHIIFFILCERFYSKDYGDRTVWLVLVDTKRKTIRNGASSASKSSMNYEPPPLVIPNGEQFTENASNFKASSREETIFAALQEVPGLAREDMLKAYSMLSRDSSGRPLRSLLGLPLNMRKDWLLMEIKTGETTTG >DexiUA01G0006350.1:cds pep primary_assembly:Fonio_CM05836:UA:12282259:12284403:-1 gene:DexiUA01G0006350 transcript:DexiUA01G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHSDHREWYSAAVASARDTSTRDRAEPRLLYTYDEALHGFAATLSASELRTLRSTPGFVSAYPDRGATTPHDTTHSMEFLRLNSVNGLWPAANFGEGVIIGMIDTGVWPESASFDDAGMPPVPSRWRGTCEPSEDFTASMCNRKLIGARYFNKGAEAANPNVTIPMNSTRDFFGHGTHTASTAAGSPVPRASFFGYGLGTARGVAPRAHVAMYKVGWPVGNGRYASDVLAGMDAAIADGVDIISISSGFDGLPLYEDPVAIAAFAAIERGILVSASAGNEGPDLGTLHNGIPWLLTVAAGTMFAGTVYYDDKRGSITGISTYPANAWVVDTRLVYDEAVSACDSKEAFVNLTATMVVCDKGNLTEQIITMTEAGVAAVVFISDDDFEDKMPLPAVIISSEDAPMLLRYIKSSTLPTGTLKLQQTILGTRPAPVVTSYSSRGPSQSYPGVLKPDVMAPGDSILASWAPVEALGQIGQTLLVDNFLVASGTSMACPHASGIAALLRAAHPDWSPAMIKSAIMTTASTIDNTNSPITYDGFKNATVASPLAMGSGHVDPNAAMDPGLVFDAGPEDFVALLCAANYTNAQIMAITRSSTSYHCSSASSDVNYPSFVAIFGANATSGDMQFRRTVTNVGAGSLVYHAAWVSPSNVDVSVSPMRLEFRSVGETATFEVTIKLTASTGGEPAFGEIVWADVSGKYRVRTPYVVL >Dexi7A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:7A:25895387:25898705:-1 gene:Dexi7A01G0015990 transcript:Dexi7A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGASAAKLHLSSAARRPSMVHLVAVAALCSLSYLLGIWHHGGFSAAPAGGAGAAVSIATAVSCASPTPTVSSSSPAGGPLDFAAHHTAEGMEAEAAPPRRAYDACPAKYSEYTPCEDVDRSLRFPRDRLVYRERHCPSSDAERLRCLVPAPKGYRNPFAWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPRGAGAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHWKGWARTRDDLNEEQQAIEKVAKSLCWKKVKEEGDIAVWQKPTNHVHCKVSRKVIKSPPFCSSQNPDAAWYNKMEACITPLPEVSDITEVAGGELKKWPERLTAVPPRIASGSIEGVTEKIFVEDTELWKKRVGHYKSVIAQFGQKGRYRNLLDMNAKFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYNGRCEMEDILLEMDRILRPEGTVIIRDDVDLLVKIKSIADGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGDNKQ >Dexi9B01G0031120.1:cds pep primary_assembly:Fonio_CM05836:9B:33550946:33553105:1 gene:Dexi9B01G0031120 transcript:Dexi9B01G0031120.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPEACEICALLLCFLLGSSSSSSSSSSSSSSSSSSSSSSSPVSRAMEAALGLVAAVLLLSSTLAASERIIVGLKAISFVVPYFNSSSVLSSPDSYRFKFLGLAMATVAEFCVCRSDQPTTVLQKAMDFACGQGADCTSILQGGGCYNPNTVAAHCSWAANSYYQNNKAKGATCDFDGAATISTTDPSFSGCTFPTSASAAGTTGTTSVGGATTGTLSPGVGTGFNSTSTGMGSGLGPTGGTMDGAAAGLLSGAQLAAFLVATAFAFLSFHH >Dexi5B01G0024530.1:cds pep primary_assembly:Fonio_CM05836:5B:26557215:26560225:1 gene:Dexi5B01G0024530 transcript:Dexi5B01G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSLAAHAASPGRLRIRSAKSPPDRVTGRLPPTPTHHRSPPAATAELKGAACEPFHARFLGFDWSRGTSQDFGLVMGERLPIAFQCMTKVGDRRGGKMLEPSAIEVAIDASHSNAVVPAVHNPRTRRLRSAVWQDFTKERRADGNCVAICNHCKKQLTASSRSGTTHLRNHLAICTTTSIRRAGKRRKLVVRRIHRNRSSVDGQSGEGHASGEDNDNEGTHFDQELSRRDLAHMIVQHGYRFSIVDDVGFQKFVKNLQPQFRMVSYDTVRADSMEIYESEKLKLQDVLFKIPCRVTISVEVWQSNTQMEYMCLSCHYIDHANDEWKVRKKVLNFVHMESPFTADQIANLIVEKLHSWGIDRKVAAVVLDNFSDGEIVAEELLKILQPRGLLLNGDLFQVHSCAHILSLTVQESWEHASDITDRVRKMINYVKFERFQNFQDISKLLHMDQKPLVIDSPDNWASLYVMFDSACYYHDVLVRLAEQEGHYDVFLTAADWANVKALTEILDVVYHAMEKFPVEFPTANLYFNEMCEVHVLLRTWRNSPSPAVATVAGQMLSKFESYWNLTRPVMAFASILDPRYKMKSLEYFFRLIYADDEFTAKTMIDTIQNTFHNLYNEYKHQSSDSWKNPSALCYSRNSSSCMGSMYSSGDDSKTFSRITLSDARRGLDQYIQETSTGQTLKSDLDMYLEEAVYRQKEGNQDHFDILRWWKSFAAKYPVLSQMARDILAIPVSVIPLDSEARTINEYLSTMDPSTVQGLLCAQDWFREDPEVVGCDVQANDGAPRGDKPILVPK >Dexi5A01G0037660.1:cds pep primary_assembly:Fonio_CM05836:5A:38949965:38951280:-1 gene:Dexi5A01G0037660 transcript:Dexi5A01G0037660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTTKRAGTTCSDGGGGMAALPVELLHEIFRRVGSLKDLFLFAVTSRRWLRLFTDPAFLIGLCSGHQGHRARLLGFFFRPTRLVHCDRMLRMRNEQRTSVSPPTFRPSPWSPLGPTDIPLTSFIADDGGAFNYAEPLAARRGFVLVQLVPPTFNLERIRDDTVPLLVAVFNPITGERHVPPAACVGRHAVHGCAIVTTADDGDLDGKASPTFSQLLLITRRTDTKEQWQLRSYTAATCSWSTRTLLPDDGGGGGVGVGGSAVVHQGAAHWLFMNYNASTRDYDKMYKLSVELGTASVTMAELPVRVEGTPLLCVGRDGQLMVAGVYPFHVTVWTQQQDGDAAAWLRTQVIPVPAMAVGDLRQQEVWYEFGKGTLIVLYRGGGVFILDLEKKRII >Dexi2B01G0020890.1:cds pep primary_assembly:Fonio_CM05836:2B:30897153:30898212:-1 gene:Dexi2B01G0020890 transcript:Dexi2B01G0020890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDRDFTYIDDVVKGCLGALDTAGKSTGSKSGKKSGPAPLRVYNLGNISPVPVTRMVAILEKVLGKKANKRIVTMPSNGDVPFTHAAHDFGYRPTTSLEAGLRHFVDWFVEYYKLDVKIAKGARSAADKPAKKKKAMSASS >Dexi9B01G0023980.1:cds pep primary_assembly:Fonio_CM05836:9B:19423852:19427029:-1 gene:Dexi9B01G0023980 transcript:Dexi9B01G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDDALTAMGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSAQHESLLSSVVFTGMLIGACSWGYISDKYGRRTALLFSIILTTGAGFLSALSPNYISLLVFRFLVGIGVGGTHVFSSWFLEFVPAKNRGTWMIVFSVFWTIGTILEASLAWVYPTSARSTGVGIATAIGKIGGIVCPIIAVGMLRSCHQMQAVVVFELVLGLAGVACILFPVETKGREMK >Dexi9B01G0038670.1:cds pep primary_assembly:Fonio_CM05836:9B:39640516:39641019:1 gene:Dexi9B01G0038670 transcript:Dexi9B01G0038670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVCPAFAYTIVYVSDVEKSAAFYAAAFGYTVRRQDQSHKWAELESGATTIAFTPLHQRETDGLSGEVKLPDSAAARGPVEICFVYEDVDAAYKRAVDEGAVPVSAPEQKPWGQKSGFVRDIDGNIVRIGSHVRE >Dexi8B01G0005130.1:cds pep primary_assembly:Fonio_CM05836:8B:5056665:5061069:-1 gene:Dexi8B01G0005130 transcript:Dexi8B01G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALQLSAAAAQPRAPPAPIGLRGCNTICGNVSVLYPFGLSPGCYWPGLNLTCDTSHGGPSRLLVGDGTLRVTSISLYDSDAGPTASGEPIRTTPRGASPCTSLCAKKFDSSGNFFTDTRDVKYVPSGDCSGTSGCCRSPVTMPAPPREVQAMWFHSGSGTAEEKQLPVNVFVAEKGWIGNMSVRADEVREVPFVLKWSVMQGLPPGPELDDMSECNDEVRRRLCRSKNSICWNANPGPGYTCQCEVGYHGNPYLAGAGGCKDINECNFSRKHNGCFGECINTIGSMSCQCPHGTYGFPSVKGGCAKIKSTTDDAPWPTVTPAPIAQPNDCNDTCGDVLVPYPFGFSPSHCSLPGLNLTCDTSHGGTPRLLLDDVTLQVINISLSDSTVRVIHHTRITPFDVTSKYSRVGIKSALNSQVPGIGESYVLSGKNELVLFGNGVWPPYIDECKITPTRCFGTCKNLPGKYKCRCRFGTFGNPNKPHGCVNLLIILSASAASSPVILLLGLGIMLVPRKIEQHRMKLKKQKYFKQNRGQLLQQLMSQRTDIAETMIIPLDELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKSKITVQKEIDEFINEG >Dexi5A01G0029640.1:cds pep primary_assembly:Fonio_CM05836:5A:32674552:32677371:1 gene:Dexi5A01G0029640 transcript:Dexi5A01G0029640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTPRPKFRGALAIYICGAGRGRRTVEERDQLAAVASLTGSRRRSARRRPASARVAVVGPGTGTGKADMAGVAGVDEAPPKGGGGIRSVLTMGSLVSPSGNEVHFPEIDGKIIGLYFAANWYPKCEAFTPVLAAAYQHLKERGAGFEVVLVSCDEDRPSFERFHRTMPWLAVPFGDLQCKKRLSERFQVEGIPRLVVLAPDGEVIHPDAADLVHRYGERAFPFTAVRVADLEADDQRKYASQTLEKLFSINGKEYVNSGNKQVPISSLVGKTVGLYFSAHQCVPCVKFTAKLAAIYRSLKGKAEDFEIVYVPMDKEQDGYLRSCSDMPWLALPYDGAPSRALARYFDVREIPTLVVVGPDGKTVTRDGRNLVNLYFDMAFPFTDAQIRLLQEAEDEAAKDYPQSLRHRGHRHELNIVSEKSGGGPYICCECQEQGLGWAYQCIACGYEIHLRCGQSTEGGSAGTG >Dexi4A01G0005240.1:cds pep primary_assembly:Fonio_CM05836:4A:3763273:3764922:1 gene:Dexi4A01G0005240 transcript:Dexi4A01G0005240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSGGGGNAWAKEMTIRRRIARIFNKTQDHFPTLKDYNDYLEEVEDMTFNLIEGIDVEAIEAKIARYEEENAEQIFLSRAKRAEDLAAALKESRMNPVKTEADNTAAGSSQGISGGTGTQGQYAPAAVQPRPTGMAPHPIGSRSDPLQGDDEETRRLRAERAARAGGWTTELSKRRAMEEAFSSIFI >Dexi3B01G0001640.1:cds pep primary_assembly:Fonio_CM05836:3B:1157944:1160405:1 gene:Dexi3B01G0001640 transcript:Dexi3B01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRRPYSSETDKSSEKQMETTISHPVTEPLLGNDPREDKSKRYEPATWSDFWDGTRQECLRWAHLLSIFIAQPARKIGRKIEIVETTDALKELWRLAYPNRQLPPLKSDLWKEMGWQNSDPSTDFRAGGFMSLENLIYFARNYPVCF >Dexi6B01G0012720.1:cds pep primary_assembly:Fonio_CM05836:6B:20444816:20445721:-1 gene:Dexi6B01G0012720 transcript:Dexi6B01G0012720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGHGGAATISSFGEEVAKVWEELPVRVDWAAVAEQCAWVASRVRSLLVVPAVRLLVFISLAMTVMILVEKLFVCAVCIAVRAFRLTPDRRYRWEPIAAGSDEESGGDRDTDKYPMVLVQIPMYNEREVYKLSIGAACALEWPTERVVIQVLDDSTDPVVKIRSGGA >Dexi8B01G0015140.1:cds pep primary_assembly:Fonio_CM05836:8B:25927670:25930540:-1 gene:Dexi8B01G0015140 transcript:Dexi8B01G0015140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLAAVRPLLGLLLSEAQLLRGVRSDVQFITDEMDSIKGFLMKLAGTKEGAGDDLQVRAWMAQVMELAYVSTNCIQDYALHGQARSGDRPGLVGHLQRVARYPRRILARRRVATRIRKLKVRVLEVSARQQRYAVAVPPKKNASSGMAVDWLLPAGQVGGGGGEWLFHPDNNTGRPRRAPPMLAGPDMLTEGTEELIRWLKEPAASTDQRQQQWPRVLAVISPDEIKDEGTALADLVYDKTRSLFDLTARVSIQRRPARLSEVILDMRHQLIPDHAMGNNGRASIPQLWPRIIPRDFKGKRLLVVLSGLEYPDEWCSIKMALDMFECSSGSAIVLCTKVKEVADQCSPSKTRVHSLVDFYAKKILSLDLVNIHIPEDKARRHAIESILGRFNPNIHCMNIFLQALYRNPYRTSLELKNLYESIDPRRCSTLEVKSQLMKFCFHGLPQAYQNCLWYSAIFTRGSHKTPRSSLVRRWVAEALIDKAGQYSAMDEAEQCFDVLSTLNLLRPCDIDCAGKIKSCSVEPLVISMLKEAIRVEDFLDPNLLPPELALHFSIRNGIHLRQFNIVSKQENAKVDRQMKSIMKFLKFMTSSSSFRHLRVLDLEGYKGFKKRHLRNICKIHQLRYLNLRNTDISQLPTEIEQLLHLETLDIRGTRVQVFNRVLPQLKHLLAGHIDLVSQGDSTLKSKELFSTVRMPRDVTSMENLEILSHVRVSNSAKELKNVGDELKLKKLGVVLCGRNANLNHLFTEIDKVNRFLVSLSIRVEVPVHWDVVDDIELTPPEHLESLHICGIRGLLPGWINQLQCLSKITLRDTFLNEHALPILGTLQGLSCLRLRYQSFDVAALTFNDGQFSKLIDLAIEDSKLTRITFCSATAPKLGKMVWSFSRMDSLTGVLNLLSLRSLELNGGRCVLGGLRDLQQDITAHRNHVSLRLNPPELDRRPEQPVSAVSATS >Dexi2A01G0014360.1:cds pep primary_assembly:Fonio_CM05836:2A:23640600:23642985:1 gene:Dexi2A01G0014360 transcript:Dexi2A01G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQLPRRCHPRVLRAAVLAAAVLFFSPAVGAREEGRNGSSLLGQPRQWATGKDEAEILAEAEARGGGGESAAGDEGREFASLDSMLQWAIGNSDPDTLREKAAELERLSADELLKRQMEIKELMEKFKMPSDGDLMKIVISDLNNSSVSLEDRQRALQELLVLVEPIENANDLDKLGGLLPLIEELNNADEGIRTTSAWVLGKASQNNAHVQNQILGYGALERLVKMGYSSSAAEAAKALYAISSLIRDNEHGQGLFLSENGYAMLQHILSTASTNIRLQKKVVSLLAYVADFQLSTGKSQAPFLSNHLFIKSVVDMISASDLDLQEKSLLAVRSLLQLTSADASALQKFLGLDESLDALKVQLDELTTHEERREYALEIEILRREVQIVFQQKFHQLRGVATSDEN >Dexi4A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:4A:22870065:22875798:1 gene:Dexi4A01G0019020 transcript:Dexi4A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAEEEETENIPKQNIGNQKLEKIHEWRLRTRVDQPRPRPGSAADFAPTVPNPNPPLPSTGARPRACNPTRRYGAGRGVAPRRRRSEKDRRSGAGPAPTAAPFLIAAASLLKVVGTPVGPSITVSDPNRKSMDELRSWQMRPVVAPPAHGTGASSTAACIFGYVEINSVGVQSTAMAESLAWGPSALPASTKGGDECRTAKAAAAGWES >Dexi3A01G0000730.1:cds pep primary_assembly:Fonio_CM05836:3A:577536:577916:1 gene:Dexi3A01G0000730 transcript:Dexi3A01G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLWYITLALIYGSLLFMIFSELLGCFRQWRSRRDAELAADRLLESVPDVSYQQLPGQECCVVCMEEYEHGERCFVMPGCAHMFHRGCIAPWVRQGNTTCPLCRASLAAVPPAQHSCITTPEDMV >Dexi3B01G0004650.1:cds pep primary_assembly:Fonio_CM05836:3B:3151039:3155190:1 gene:Dexi3B01G0004650 transcript:Dexi3B01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVAAGAREQLRASFSSGRTRPAAWRAAQLRGLLRMAAEMEAQICAALRADLAKPRTESYVHEISLVTSSCKFALKNLEKWMKPKKVPAGLLTFPSTARVTAEPLGVVLVISAWNYPFLLAIDPVIGAIAAGNAVALKPSEIAPATSSLLADLLPRYVDSSCIKVVEGGIPETTALLEQKWDKIFYTGNSKVGRIVMSFAAKHLTPVVLELGGKCPVVVDSNVDLHVAAKRIAAGKWGCNNGQACISPDYIITTKSFAPKLLDSLRKVLEKFYGKDPLRSADLSRIVNSNHFNRLKALMDEEMVSDKIVFGGQSDEQHLNIAPTLLLDVPLDSAIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFSKDSKLKRQFERNISAGGMIFNDTGIHLTNPHLPFGGVGESGMGAYHGTFSFDAFAHKKAVLDRSFLGEANARYPPYTHGKLKILKGVMKANPLAMVQAIVGCTGGA >Dexi1A01G0018580.1:cds pep primary_assembly:Fonio_CM05836:1A:25739860:25741749:-1 gene:Dexi1A01G0018580 transcript:Dexi1A01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSLYTWLKKLAAVRMKTKTSRSLQKSGRGNHVQGEGPNWVLVAGGVLLSTLSVRLGCKLKQLFDGKQQNNASTAKRRPEACELHSNLYRFSDQTGCYYCVSGLANGGVEVKQAPASPVPKSVDPSLPLVKIPAPEANKENSGVMWISSPDRLEDPRRPFQYSNSSGSPSVSESGSDIYSKREVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQVTQSTNLQSQLDAANRDLFESEREIQHLRKIIADHCVAEALSHEKPLQAGHWQLDATNGHSNGYANGSVDDADLHCVGIEKRKGEVERVEMLKKEVVELKEVIEGKDFVLQSYKEQKVELCSKIRELQEKLSAQVPNIL >Dexi4B01G0015600.1:cds pep primary_assembly:Fonio_CM05836:4B:17402726:17405323:1 gene:Dexi4B01G0015600 transcript:Dexi4B01G0015600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVEWYPTLAVVMVAVGLMLTASFFIYEATSSRRSRSLAKEVITAAVASVFLGFGSLFVLLASGVYV >Dexi5A01G0022520.1:cds pep primary_assembly:Fonio_CM05836:5A:26655688:26659931:1 gene:Dexi5A01G0022520 transcript:Dexi5A01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVRLPPAGATPATAFVSGSNPRPARLPFSSKATPTSLYAAAAANAPPAPIVVVGSANADIYVEVDRLPLAGETVAAHAGRSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRTDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGIGAEDLDLIQKAGVLLLQREIPDWVNTQAAQAAKSAGVPVIMDAGGMDAPVPRELLSLVDIFSPNETELARLTGMPTETFEQISQAAGQCHKMGVKEVLVKLGSQGSALFVEGEEPIRQSIIPATEVVDTTGAGDTFTSAFAVALVEGKPKKECMRFAGTNSTSSSTFDQLVC >Dexi2A01G0018840.1:cds pep primary_assembly:Fonio_CM05836:2A:31003855:31004359:1 gene:Dexi2A01G0018840 transcript:Dexi2A01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLVMSAALHAEPLVAAAAAAAAAAGYQIHPVVVVCGHLSAAGLFNWAIISLNFRVARRPAAGKAKLLLHPAGTAGAAPTGSASISPIAPFMGLCLAMGAALHAEPLVDAAVESHIHPAIVVCAALAGAALFHLAVLSVHKSLARRQAVELYQEP >Dexi9A01G0027460.1:cds pep primary_assembly:Fonio_CM05836:9A:32108971:32109315:-1 gene:Dexi9A01G0027460 transcript:Dexi9A01G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSTTAASKEDASAAAGKRPPHWRRRDPEATAVYVVHPTQFRAVVQQLTGAASSPPPVAGNNGTGPAGGGANAAAQQQQQQRSRGEDRSSGRTLGQMHQECMAWANTIADDC >Dexi1B01G0015180.1:cds pep primary_assembly:Fonio_CM05836:1B:21547801:21551101:1 gene:Dexi1B01G0015180 transcript:Dexi1B01G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGCTVQQALTPEAAVVVKQAVTLARRRGNAQVTPLHVASAMLHQQPPPPSSSSSSSSTTTGLLRAACLRSHSHPLHCCYYYCAVEHAVAEVRALACRGGDGVWLVGYGTYQSYMRCRAGQPSLESLWGLQTLAVPAGSLALSLNCVDDSSAMAVSYLSSRAKCEGSSGNGSVSRCMSLLDAGGSGQPTAVASAACCGACSDTKCGATKELARSVLPASTIPPWLQHCRNQEPSHCKKWSLTCGDTPSHSHHRTPVNLSTVVSPSSSSVSSYEQHYHHLHQPYQPWLVEHPWTRPRCGTAGQVHIVVVDDDVKLVNATIKVNNKSHDSSASNGSVEQVDQCGRSKFKELSAENLKVLCSALEKEVPWQAELAPEIASTVLQCRSGMARTRRTRDVDVPASSRPAVGPKEDTWLLFLGGDAEGKVKVARELARLVFGSRKSFVSVAAATTASSSSPARSDSADEHHHRKRPRSLPEASNNNSNGLLERLYEAVRDNPHRVIMVDDVEQAGGHKGILEAIEMGFVRSHGGDVAALGDAIIVLSCESFDAKSRTSSPPTTKKAKTESEEKPKEEVITATATASPSSSCFDLNMSVEDDDDMDEMSRRFIDDAGLLKAVDRAFFFKRPNESSVCFI >Dexi5B01G0014030.1:cds pep primary_assembly:Fonio_CM05836:5B:10342934:10344100:-1 gene:Dexi5B01G0014030 transcript:Dexi5B01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGALVFALVAIQAAVLLSAVPSAQASELQVGYYSKKCKGLENVVKWHVIKALKANRRTGAALVRLLFHDCFVRGCDGSVLLDKSYDNPNPEKNAPVNIGLAAFDLLEEIKAAVEDRCPGVVSCSDILIFAARDAASALSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSTDDVEKLIKNFARKNFTVEELVILSGAHSIGQGHCSSFTGRLSEPADQITPAYRELLKYKCSSGSNPPVVNNVRDEDYNTVARFMPGFVSRVRKVPDFLDNSYYHNNLAKIVTFHSDWTLLTQKEALGHVHEYADNGTLWDEDFSDSLVKLSKLPMPAGSKGEIRKKCSISNHRLY >Dexi8B01G0016590.1:cds pep primary_assembly:Fonio_CM05836:8B:27753392:27755179:1 gene:Dexi8B01G0016590 transcript:Dexi8B01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVFQGLLPPAPRADADEASTSLHLSLISRLMEEEEDDDDVADLGADHPALLDTQQPFAQILFGAPFLPDQGPAFPGGGKEYSTDMFTAAFFKGVEEATKFLPTDTAGNPLLRTEEGSSSGRTCRDRHRGGGDDDDEVEAEAGRTTKVAAAESEETNAREVFDEMMLRGFDAFSERMEDLSICKDNESTNLDDKKARKRNRARRKRHVAKVVDLHTLLLHCAKAIIDDRHRAEELLRQINDHASPTGDATQRLAYCFAQGLEARLAGTGSQVYRSLTTNRTPLPEFLKAYQDFMATCCFRKVAFIFANKAIFDVAMGRSKLHIVDYGLHSGFQWPELLRLLGARDGGPPEVRITSIDLPQPGFRPANHMAELGHRLSNCARQLHVPLKFHAVVAQWHTVCIDDLNVEPDEVLVVNDLFNFRTLMDESVIIDSPSPRDVVLGNIAKMKPDIFIQGIVNGSYGTFFLSRFREALFHHSALFDMLDATMPRNSQLRLVLERDIFGWVALNAIACEGEDRVERGETYKQWQIRNRRAGLRQLPLNGESVKMVRDIVKNHYHKDFVIEEGQQWLLQGWKGRILFAHSMWVADGANSEC >Dexi8B01G0013480.1:cds pep primary_assembly:Fonio_CM05836:8B:23761598:23762776:1 gene:Dexi8B01G0013480 transcript:Dexi8B01G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIEISKTAVETLVNKVKSAIKEEAEKWQIVERDIVFIKDEFEMMQSFLNTASRESMKNQVARTWVRQVRDLSYDTEDCIEFVIHLDTKRLFWRRLLRSNMVQTLLPLDQAVAEIKLLKARAEQVNQRNMRYNLIGNSGEQEHQTSAPSQSSMDIFIKPRDASDKHNSFSDLTRFLNIEEQGLQVVSVCGTGGDLGTISIIKQAYDGDICKKFVCRAWVKLMNPFNPQEFIRSLLAGLYSPQEQGACVGANVLASMKTTQDSILVDFVTRFQKNKYFIVIEDLRSVVEWNTIRSLLLDMNNGSRIIVSTQKLEIASLCTGQPYHVSLLREFSTDHSIYTFYKDLRKIPQYTYQDGMRKIAQE >Dexi9B01G0025440.1:cds pep primary_assembly:Fonio_CM05836:9B:25703779:25704177:1 gene:Dexi9B01G0025440 transcript:Dexi9B01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTTPTTTPGTTTTTVPGATPTMVPGTTSMPGATPAMPTGTMMPGTTFTDATPDSAMPMGSGGLGIEGGAGEQGSVLLGSSSSSEGGVSWLANMATGDAAAAAAPPVASAAQLVAAALATLLCLHLRQFLL >Dexi1A01G0001350.1:cds pep primary_assembly:Fonio_CM05836:1A:850007:853055:-1 gene:Dexi1A01G0001350 transcript:Dexi1A01G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHEEAAAWSEEAARRVWGGAVPLQVHLHDADVTAFPPPPPFLTLGPRIGYLPLLIPIIKAHFSNALPPGVDTVWFEYKGLPLKWYVPIGVLFDLLCADPERPWNLIVHFRGYPSEILSPCEGEDSVKWSYMNSLKEATFIITGNSKSVMNMSQADQIALWEAVMKGNLDGFKNISTRLKLGPFEEDGLVRTASTDRQRQQGSDEPESPGSGRPCRVPVRLYVRGVQEDLEDIEDALPVSDWESVSYINRPFEIRKVEVHPLYDAGRSYITLEHSLQTLLPEFFSSDAQNAEETDPAAGNSDTTNPSRSSQEADTTKKTKVKLIRVQGIELDMDIPFLWVANNLKNPEYYLHVCVYVGTRKQ >Dexi1B01G0016870.1:cds pep primary_assembly:Fonio_CM05836:1B:23296507:23297930:1 gene:Dexi1B01G0016870 transcript:Dexi1B01G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSLYLAGIVSSLVSGHLTRTLGRRNSMLIGGFLFLAGVVLNLTAVNISMLVIGRVLLGLAVGFTSLSAPVYLAEIAPARWRGAFTACFHFFFVLGMLMADMVNYGTNGIPRWGWRLSLGVGLVPAVAIIAGAALIPDTPNSLVLRGRLDEARASLRRIRGGAMADVEAELKDIARAAEQGRRHESGAFRRLFSRREYRPHLAIAVATPVFFDLTGVIVVSIFTPLLFFTVGFTNQKAILGSILTDVVSLASIAVAGLAVDRYGRRRLLMLGGAVLVVSQVAMPWIFGAQLRTDDGHGGKSSMPRGYAAAVVALVCVYTAGFGVSWGPLKWVVTSEIFPLEVRPAALGLSGAISAVLVFVQSQSFLEMLCSFKYGTFAFYAGCVVVMVACVAAFLPETRGVPIESMGEVWEKHWYWKRFVKPSSSVPATEQAPVKQVDNGPV >Dexi2B01G0018040.1:cds pep primary_assembly:Fonio_CM05836:2B:28424357:28425477:1 gene:Dexi2B01G0018040 transcript:Dexi2B01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSFPIIDLGLLDGEERPAAMDLMRDACENWGFFQILNHGISTELMDEVERLIKDHYKRVREQRFLEFASKALTKDGGGDAKAAAENLDWESTFFVRHLPESNLAEIPDLDDGYRRAMRRFAGELEALAERLLDLLCENLGLDKGYLARAFRGPSKGAPTFATKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVITNGRYKSVVHRVVAQPDGNRMSIASFYNPGSDAVIFPAPALVKDEEAAEAAYPKFVFEDYMKLYVRHKFEAKEPRFQAFKSMETDSSNRIAIA >Dexi5A01G0032780.1:cds pep primary_assembly:Fonio_CM05836:5A:35156536:35159250:-1 gene:Dexi5A01G0032780 transcript:Dexi5A01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESAAEKVKPAASPASEKPADIAGNISYHAQYNPHFSPHAFGPEEAFYATAESVRDHLIERWNDTYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALAGQKFSPWEIPRHDVVFPVRFFGHVEILPDGSRKWVGGEVLKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESAAQLHARAQQSFREVE >Dexi2B01G0033780.1:cds pep primary_assembly:Fonio_CM05836:2B:41180342:41180741:-1 gene:Dexi2B01G0033780 transcript:Dexi2B01G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAARRLLLRRWVAGGRGRLLGTAAEASPGGEEARGGGGSDGAIYVKKPAAAAAVTTRDETSVAMPTSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWES >Dexi1B01G0028350.1:cds pep primary_assembly:Fonio_CM05836:1B:32906580:32907635:-1 gene:Dexi1B01G0028350 transcript:Dexi1B01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGKLGADHHHHHLNGGLHAAMKRHSGGFPRLSASSKALVLLPLLLLAFIFFFVYPKEFELQAMMSACGPPGYTASSSSSSHLLADQPAVVRKPDFRLLIGVLTRADLYERRHLLRMVYGLQLASGDLPAHVDVRFVFCRLYKDDQRVLVPLEILAHGDVIVLDGCEENLNGGKTYTFLKAVAELYADEPYDYVMKADDDILFRLPQLVASLDGMPREDMYYGATIPCTSMDPFQEYMAGMGYALSWDLVEWIATSEIARNHSVGTEDMLTGLWLRLGGKGKNRFNAKPAIHDYLNPVPVDQCEHEFMPSTIGVHRLKSNPRWAEALKYFNFTAGLQSSKFYKFNSTSS >Dexi4B01G0001750.1:cds pep primary_assembly:Fonio_CM05836:4B:1078887:1079350:-1 gene:Dexi4B01G0001750 transcript:Dexi4B01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGLTVAVLSILMLPADVANRQACSKAVYSGACNLTLPMKTLWLVVYIVDAVLVFLVRGEEA >Dexi2A01G0002960.1:cds pep primary_assembly:Fonio_CM05836:2A:2517040:2519845:-1 gene:Dexi2A01G0002960 transcript:Dexi2A01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYLKKQEIISVYPALSPPTLSASASNRACNVLALLQSVASHPETKNLFLRAYYTEYLYPLLNTTSNARSFEYLRLTTLGVLGALVKVDDTEVVTSLLNSQFIPVCLQIMETGNELSKTVSTFIVQKIILNEAGLQYICATPERFYGIASVLASMVAEQPQPSTRLLKQIVRCYLRLSDDPRACSALQTHLPEVLTDGTFNSCLRVSLALDSSAVPNSTKALEDPPRRQGQVTPIQAQLPRCVLHHILAQALQQVEAEEDPLRQGRAAGGAVDVNRHGCCNSDFTTTVQHLSVNIG >Dexi6B01G0018790.1:cds pep primary_assembly:Fonio_CM05836:6B:25742428:25748667:-1 gene:Dexi6B01G0018790 transcript:Dexi6B01G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAKPSTSSGSGVTGGASGAAGTAAAVSMEERLADLCKNKLGLDESTTRQAMQLFKETKNILKSSMSSLGGGSPEEIERFWFACVLYCVSRLSKAGRAKEDGSVSLCQILRASKLNIVDFFKEMPQFCIKVAHFLTGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYKELFLSNDAKSSDSSSESNNQEASDYHRFGWLLFLVLRIQTFSRLKDLVTSTNGLVSVLAVLIIHVPARLRNFNIKDSSYFGKKSDRGVNLIASLCEKYHTSEDELSKALEKTNTLIMDILKKEPCSAASECQQDNLSFIDPEGLTIFKDLLQGDSVKSSLLTLEKEYENAINTKGELDERMFANDEDSLIGSGSLSGGAINLPGTKRKYDVMVSPAKSITSPNKDEVRSPKRACTERRNVLVDSYSFRSPVKDIIKSKLPPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLERVYSLVQQTLSQQTSIFFNRHIDQIILCSIYGVAKISQLDLSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRSRNGKTGEDHVDIITFYNEVFIPAVKPLLVEVGPGASPKKKEEEKGSADGPFPESPRLDPFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVNGRLNFDVVSDLVVASSLSSDQNAKPAAMEVVPVRTPMKCEPSDS >Dexi9B01G0035980.1:cds pep primary_assembly:Fonio_CM05836:9B:37594737:37598939:-1 gene:Dexi9B01G0035980 transcript:Dexi9B01G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASRKEEERNERIVRGLLKLPPNRRCINCNGLGPQYVCTSFWTFVCVACSGIHREFTHRVKSVSMSTFSSQEVEALQKGGNQVKPIAEFRARESFLKDFDTQKMRLPDSSNIANLREFIKAVYVERRYAGGRFSERPPRDKQRTLSGGNIDSISLKPGKSSLSDLIFEDDNVQRPEKSTNAATSSFLAFSDAISAPNQDVFNSTAGQKHHVTIMDQSLDLFANMPAETPSADKVIPVALSMDNAWWATFDTPPEQKQPSAAGLSYVAATSNDREVLSRDLFSFESNDEPTWFQSSKDNPSVTNLSTATSLDPGSSQHSLIRQPWSVFDSSSTSTQYPVKGEPNGLIDENSSQEVTSTEQMPLNPFDLPFDTHSGTPDLFMDVSSLQEALPNTDLPAFLDGLPEAWFSSSSCAYVPSASHGGLPWLVEQAPNSPLR >Dexi1B01G0021030.1:cds pep primary_assembly:Fonio_CM05836:1B:26989124:26999139:1 gene:Dexi1B01G0021030 transcript:Dexi1B01G0021030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCLILRKLDYLSPSRCRIGRLGTCAHALDWISDAPRHSRGNEMPPCGPTLVLRRGQTPDLAAQCSPHRRPADGHGRETEKGSPSPPRRVLPHESAVRPSPSHPPPIHGGRAVRSPREARAVSFVGREEGRGGEEMGGRNSAAATPVLLNVYDLTPANDYLYWLGFGVFHSGIEAVPPDDPMDHDDQVVGPASGRRWRRVLLGRRLPPVSSQRGEVEGERGGEERTEEVSSQVTHSVFTPRTPRTRTSGGRWKGSAVGRRGQRKGGGFGLASSDAEEERNRDEEEGNGFHWRRIGVEGGAGHEEAATCQIDLAEPGGLRGLPMDERNRQPPEAHLLSPSPLSIVISPCCPPLPAAPPATQTSALGTFASHARNSLAVAPPPLPMTAFGHIPAAGRRTKLPTNPARFSRISHIRAFYYDLASLPTFLQLPNGAANRDSVPAVAIAALMLSFSLDGGQTFSILLIPNRTLESNQPLDNWLGHGAPRLAPPLAAVPRMVHGDTLVAVCHFAGRILSSQQRQGHGWPLEREGERRYASTPSQHATQAI >Dexi9B01G0037310.1:cds pep primary_assembly:Fonio_CM05836:9B:38790279:38791883:-1 gene:Dexi9B01G0037310 transcript:Dexi9B01G0037310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGVEPSEFEDSVAQAFFDLENGNQELKSDLKDLYINNAIQMDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVVVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKVIKIFLDPKERNNTEYKLETFTAVYRRLCGKDVVFEYPVAESA >Dexi8A01G0008680.1:cds pep primary_assembly:Fonio_CM05836:8A:10624107:10626326:-1 gene:Dexi8A01G0008680 transcript:Dexi8A01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGAPAIAITAALALAVEVSNLDFTGTPVEAASFVSKKLEYLVSSRPTAVNLSDAATKLQNLVSKTAESVKDASAVFQVYIEAAETMLVDDVADNKAIGSHGAEFLQRQLGNSKNISVLTHCNTGSLATAGYGTALGVIHALHSGGVLEKAFCTETRPFNQGSRLTAFELVHEKIPATLIADSAASALMKQGRVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLALPSGDGIVIEERSPKELLNSEGGLGKQVAASGILVWNPAFDVTPANLITAIITEKGVITKTDADGAFDIKGFIQSAK >Dexi3B01G0029100.1:cds pep primary_assembly:Fonio_CM05836:3B:28127473:28129372:-1 gene:Dexi3B01G0029100 transcript:Dexi3B01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAFPLVSHLPARKPPPIRPRPPPARRYAASATATVSSSTSSPPSPPSLPPLSPSSAYVHLPFCRKRCHYCDFPIVALGSSSGTAPSRGEVADDPRIVDYVRLLLREVAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAAKLRELVGVGVNRVSLGVQAFQEDLLRSCGRAHGVEEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYTPGVFPLPSDTESANFYKIASKRLSEAGYNHYEISSYSKPGYECKHNLTYWQNRPFYAFGLGSASYINGVRYSRPRRMKEYAEWVQKLEDGTWSHESRSSDMKDMAMDVVMLSLRTAWGLDLQRFSKSFGKSLALSLCNTFKPFVESGLIIAMDMERQALPHLDFELDLQNEGDLGSKVAFIRLSDPDGFLLSNELISLAFGVISP >Dexi2A01G0032660.1:cds pep primary_assembly:Fonio_CM05836:2A:43072814:43073482:-1 gene:Dexi2A01G0032660 transcript:Dexi2A01G0032660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSVFHFLKGTYNSPNGMRLSGGAQAVRMNAPRVGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIVAGAATGGFLSMRQGAAAAGRSALVGGILLALIEGAGLMLNRVLANPPLPAEDPNLTAAMGGGGFPGLPQPPPVVAPPEAASSSGAAGWFGGLFGKKEEDKKAGAGGGKSEILESFDTPSTPIPSFEYK >Dexi8B01G0009270.1:cds pep primary_assembly:Fonio_CM05836:8B:16219086:16221082:1 gene:Dexi8B01G0009270 transcript:Dexi8B01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRTGRQPGDKLSVIVVDENLWHANTARHMLAKHGFQGIEGNPPNACCLGYENSAYECGDGIKQKTNLMWTPYLESKFVCALDLLGEGATPKKIQMVMNIKSIKRKNISTHLQKHRKKIEKELCNKNRKMRNRGSSSSQPLRTCETSPNTLEYDDTDMEPIVITDNEMTSDQTESFAEETEGNKIYYEAMQRALRLGAVFDELQHCNDQTESYTEVIDHTFGGINVVVSEESHTVDVAREVMSKDTNSYEQVSDDGGETGVVKLVAYSDSEDDEIDP >Dexi9A01G0017940.1:cds pep primary_assembly:Fonio_CM05836:9A:12988295:12989537:1 gene:Dexi9A01G0017940 transcript:Dexi9A01G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVFGMAPSSPPLAPNPTPISHLAASLEEELAAAEAVDGGDGHERRHDVDQPGDHRRHERRVPTEPDRLEQHGRVEHDDVDAGELLEERDGHRHGELRPVPALEDVQPRRPSSDAARRYLTAGRRHEVVVLVFYVIRSADAAQDLPRVLVVAAVDERVGRVREEQRAHGDDARRHGGEAEAETPSPAGLDLGRAVVDEVGGEDADGDHQLEADVQHAAEAVRRHLRQSDLERTYQAGEADADAEKDTTDDEHGDVPRGGVEGGAGEEGDAAAEHAPSPAERARDGGHEEGGGERGQVERRRERGQQLAVELAVLAAAPGRTLLAIED >Dexi9A01G0032950.1:cds pep primary_assembly:Fonio_CM05836:9A:37788161:37788553:1 gene:Dexi9A01G0032950 transcript:Dexi9A01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGDGGGWTDWTSHSDSGSGAMGGSENAATVLRKMLCSAAASAWRSSRSLLAAETAAAEAGKTVSIMASQSLTSSEMRSVVKNGCCSTLAMTGSRSTPPVRLSNFLRILASPV >Dexi3A01G0014670.1:cds pep primary_assembly:Fonio_CM05836:3A:10760020:10761642:1 gene:Dexi3A01G0014670 transcript:Dexi3A01G0014670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLYNADRELISKSELKTKSVLESGTMDVVFTLDNGEKIILQAQFVLNDDDRKRIQEMRSSAMKRKQQELLGDGNELNFPDEFEILSDSPLSKRLTEKISNIQRKGDERPKLQKSLSLDDLQERTSLSGIKVEPRMKASIDLLVQRGVKNTSRLENPGDSKNENGKPGNKSSASVKMMISSFECTSPQGLASEIDTSLTDSGSSKNTLAGKEIVPFGDNKGSNYTSVKTVLFQHKKSSAPGQIEMPSATESRRSGRSSSSDRAGKQKLRENELNRTKRRSQAKHRLSTGPSNSLERM >Dexi3B01G0010070.1:cds pep primary_assembly:Fonio_CM05836:3B:6985578:6986168:-1 gene:Dexi3B01G0010070 transcript:Dexi3B01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVARPSPPTATPRRACLSGSRWTSSAAEASPTAAAAGGHRCLCGGGSVDDGVDGLRPPVVVCGYDLRQAAAFVGGGQWDAAGVGLRRPTAGGSRRRGRGRPWPWLWLWLAMAVCGRLWLAVGWPAPPLCFRVTGWRHHGVGARGWLELLAVLWWCFGRRQGSPGGDVEEVAGIGFFHVHERAAGEVQSGESPHW >Dexi2A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:2A:4363683:4366009:1 gene:Dexi2A01G0004830 transcript:Dexi2A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPAAGRRKYTIYHGHRRPSPHRPTVRGGLFTDLRSPSPRPSRSSPSPSPSTSATPFRLADWDPRSSFSSSSSVASPSSPSASASARRLSPLARFLXXXXRHTLPPRRLGPTLLLLLLVIRCLPVIKERNKALLLFEEMQSSVHYKPDSCTYSHMIPCFVDEGNVEEACSCYNIMMKENWIPSIPAYCALAKGLCKIGEINAAISLVKDCLGNVENGPTEFKYTLTILEDCRSKSPEKVISVVEEIIEVGCSMEDILYSAIIYGFCKYASSTEAGQVFTIMRDRNILSEANFIVYEEMLNEHLKKVTADLVVSGLKLFDLESKLKWTSRID >Dexi3A01G0029030.1:cds pep primary_assembly:Fonio_CM05836:3A:30348348:30349160:1 gene:Dexi3A01G0029030 transcript:Dexi3A01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPDRAVCICNVLPLPASTATIHDIGDDLLLAIFLRLPSLPSLVRAAFACRSFLAGVRSSPAFRRRFRDLHPPPLLGLFFDPYGPYIPSFAPLRRRSDTDLAAAVRGADFFLTRVPATDDASPGWGVLDCRGGYLLLLLVNCEAKEMAAYNLVTRVLYLIPLPPDVDEIADGVLVCHGVHLISSDEAPGSFRVVCVCSNESQVHAMAFSSCTREWRVLPWEEPAPEQQGWLPQGMQVNGSLYWAHKEQAYMMVLDTATLHSPASICRGR >Dexi4A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:4A:7269039:7279055:1 gene:Dexi4A01G0009240 transcript:Dexi4A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVNIIVGSHVWAEDPGICWVDGEVVKIKGEEAEILATNGKKIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINEHKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCSAPQEEVEKYKLGNPKAFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPEALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGARYRCHRAHSYHRKLKCAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQELSKAQSSMEALQAKLEEANTMLVKEREAAKTMVEAPPVVQETQVIVQDTEKIDCLKTEVQELKTSLQSEKQRADDLEKKRSEEEQANEEKQKKLEETEIKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQRSSGNVQVSSNDSKIALESNNTSSPKKEYDIDDKPQKSLNEKQQTQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIQENSGFVFLLPPPE >Dexi6A01G0014930.1:cds pep primary_assembly:Fonio_CM05836:6A:22280759:22281136:-1 gene:Dexi6A01G0014930 transcript:Dexi6A01G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGGGFLLIFFPAVVLLSSAPLLAVASEPLNPEVQALIAIRQGLVDPHGVLSNWDQDSVDPCSWAMITCSAQNLVIGL >Dexi5B01G0036040.1:cds pep primary_assembly:Fonio_CM05836:5B:35904196:35906294:-1 gene:Dexi5B01G0036040 transcript:Dexi5B01G0036040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVATYFAMSFGAFLFWQSMDRVHVWIALHQDEKKERMERELEIKRMQAELMAQAKESES >Dexi9A01G0010410.1:cds pep primary_assembly:Fonio_CM05836:9A:6323760:6325511:1 gene:Dexi9A01G0010410 transcript:Dexi9A01G0010410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVADEKAQPQLLSKKATSNSHGQDSSYFLGWEEYEKNPFDPIANPGGIIQMGLAENQLSFDLIEAWLEANPDALGLRRGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYRVSFDPSNIVLTAGATSANEALVFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSDNGFRVTRDALDDAYRRAHKRRLRVKGVLITNPSNPLGTTSTRADLDLLLDFVSAKGIHLVSDEIYSGTAFADPGFVSVLEVLASRRADDEGRLSDRVHVVYSLSKDLGLPGFRVGAIYSSNPGVVSAATKMSSFGLVSSQTQHLLASLLGDKEFTRRYIAENTRRIKERRDQLVEGLRAIGVECLESNAGLFCWVRMGSLMRNQSFEGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDIALQRLADFAEANGKGAPAQKGLAGGAPARTMSCPVVRFSWANRLTPASGDRKAER >Dexi8A01G0003860.1:cds pep primary_assembly:Fonio_CM05836:8A:2950587:2954350:1 gene:Dexi8A01G0003860 transcript:Dexi8A01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAALSLLMTIWPPETTVLAAASEEPAGWNKPVVDGCPVSCGNLSFAYPFGIGPECSRGQDFRLTCDDTTTRLPKLLLRDGTEVTHNIYVGSDDSDDLDDYYHQYEIQTSFWRDIPMKSGVRMYSLALEPPGRSFSRSTTYLNITGCDLDVYWNDQMAGATKLACSTWCPASDEITEAAARFNCSDMGCCQFTGDFSSVSSTRNSRGLELSFLQQKSSTGASRSNWTSQLWHRITVASDFAMLNWAIVDEPNCTFAKKERTKYACISNNSSCRDNGETANGYFCQCEIGYAGNPFIPDGCSTDKVKKSKVIQHSEIKQFINEVAILSEINHRNIVKLFGCCLESEVPLLVYDYIPNGSLSQVLHGDSRNDVSLSWDDYMRIATEAAGAVSYLHSAASISIFHRDVKSPNILLDGNYTAKVSDFGASRLVPVDQTHIVTNVQGTYGYLDPEYFYTRQLNEKSDVYSFGVVLLEILVLTEKGITDIVDSQVIKEATEEEICSVVSLAEMCLRLRGEERPTMKQRSATLEEEVSAAIGSGKIDMTRAVAEARSMSKTVAEGKKKRTNTMRAKQAFIRAP >Dexi4A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:4A:17616476:17617060:1 gene:Dexi4A01G0015050 transcript:Dexi4A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSSPEIVSCRSSSSSSSEEDPPWPPAIAGDEDDDVLVQLVPRRVSDGLLGKFADTSAFDFDYDRSGLWSPLVLRHEVLLRAAAQSPAASSRRRSSWRPTRRRWRRNKRRKNPIQWPSPGR >Dexi9A01G0035360.1:cds pep primary_assembly:Fonio_CM05836:9A:40049913:40050143:1 gene:Dexi9A01G0035360 transcript:Dexi9A01G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPPPPLSSLPSPLSLSPTPRLLRAGAQARTTPPPLLPLAQAGAPRTPRRPPPLARVGLTRALPQQPPPPTT >Dexi4A01G0024010.1:cds pep primary_assembly:Fonio_CM05836:4A:26878900:26880367:-1 gene:Dexi4A01G0024010 transcript:Dexi4A01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFVLCLMIFMEVVVRGAAAGIRQPPPMYVFGDSTLDVGNNNYLPGPKVPKANVPYYGIDFPGVPSGRFSNGYNAADYVAKTIGFGSSPPPYLLLAQSPSLLVSTALRIGASYASAGAGILDSTNAGKSIPLSKQVQYLNATKTKMVAQVGSSAANAVLARSFFLIGIGGNDINAFASAEQSDAAAFYDSLISTYSAAIMDLYTMGARKFAIINGPLAGCLPFVRVLDAAGSCSDDRNKLAAGFNERLASLLAGLATKLPGFVYSLADSYGNMVDTFADPKASGFTDIADACCGAGRFGGEAGCTPNSTLCGDRDKYYFWDRVHPSQRGAMLKAQAFYDGLPRYTTPINFKQLLHHK >Dexi3A01G0032520.1:cds pep primary_assembly:Fonio_CM05836:3A:37384386:37391390:-1 gene:Dexi3A01G0032520 transcript:Dexi3A01G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTSDVAAEVKCLRRLIGMRLANVYDITPKTYLFKLMNSSGITESGESERVLLLMESGVRFHTTQYVRDKSTTPSGFTLKLRKHIRNKRLEDVRMLGYDRIILFQFGLGSNAHFIILELYAQGNILLTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFSKLKDTLTMSDNVGDNEPLEITSGSTDAREPSQSTNDGVSATDMSEKPLSRKEKRAAAKSKQSGSNAKANNGAQSNKTTLKTILGEALAYGPALAEHIILDAGLVPSTKVEKAPESTIDDSTIQALMESITRFEDWLVDIMSGQKIPEGFILMQNKMTAKKNLTSSESDSTNQKIYDDYCPLLLNQFKSREYDEFATFDAALDEFYSKIESQKVNQQQKAKEESAAQRLNKIKLDQENRVHTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVSLANEMSWEALTRMIKEERKAGNPVAGLIDKLNFERNCITLLLSNNLDEMDEDEITAPVEKVEVDISLSAHANARRWYEMKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDTPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPNQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEALEEIEESRRKQSSPLSDDEIASENCSNKEMHEDESSRENTKVDQNNSLGPSDLSTDIGTTNSPQPVAETQIEKKLDNGNSSSKQEIVDASVSSQLDDLLDKTLGLGPAKVSGKSSLLSSFSSNLAEDDDDLEVKKPAVRDKPYVSKAERRKLKKGQLTGEAATDSQNGEAVEIPGGSQQEKGKANTKAGSKVSGQDTSLQGKGKANTNATGSKVSQPGSSHQEKGKGGTQAANPKLSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKALRKDKPSQDEETIAKESKPSAGEDDSSKICYKCKKPGHLSRDCPESTSEADQNDVSTSRSRDVMGSSTTPGGNNVMDEDDVQEIGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFLHTPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKQK >Dexi5B01G0024850.1:cds pep primary_assembly:Fonio_CM05836:5B:26951578:26951994:-1 gene:Dexi5B01G0024850 transcript:Dexi5B01G0024850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGATRHRRGLHGADRRRKDAAAREEQHRVRSSAAGCRSTAAETHRTSEEKRKAHAPPLLAMAAAAPRRQSSSPIEPNRGLLDLSPSERELRLGCDLLPVAGEGRGLEAGEREIQTEKEMQAALEKYRQFQKEGGSG >Dexi3B01G0013740.1:cds pep primary_assembly:Fonio_CM05836:3B:9869984:9870256:-1 gene:Dexi3B01G0013740 transcript:Dexi3B01G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPEALFSSYSSPFMADYAPASFPAANNATVDAAAFLAELDGLHDQFEYSPAPIFTTGAGAGDDRNEKKIFGFRGY >Dexi5A01G0021830.1:cds pep primary_assembly:Fonio_CM05836:5A:25669674:25672321:1 gene:Dexi5A01G0021830 transcript:Dexi5A01G0021830.1 gene_biotype:protein_coding transcript_biotype:protein_coding VANTKELGEMDIQENTTLTHQGKEKKKESAKKKERARKRTSSVLDSTNDAVKVEIPEYNLDGPTIGEKLATLDLINRDNERNDTEEHTLSMVPPSADSVHVLLKQALRADDNVSLLTCLYNRDEKVISKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLSRHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEFAPPIIYEDKDTDDEEPEVDSMETDGEEAEEQELGDVTDASEHSDGSEIMSD >Dexi9A01G0018370.1:cds pep primary_assembly:Fonio_CM05836:9A:13433434:13434611:1 gene:Dexi9A01G0018370 transcript:Dexi9A01G0018370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRYRFFLCACGANAAAGDLEGDDDFVFEDEKVEKGAEAGPRGLSWAQVEAMTGRFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERLHRVFRQELDALQRVHHPHIVRLLAFCDQQEEGVLVLEFAANGNLHERLHGGGKASGTMPWARRVSVALQVARALEYLHDRCEPQVVHGDVKASNVLLDASMAAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGVVTKKSDVYSFGVLLLELLTGMEAFCAEEGRLLTAVLAPRLRAGADADARGLVDERLGTAYDAGEAAAVAALAVACVGENPSLRPSMADVVRTLEERGQGSISAVGRRSDGHGKV >Dexi2A01G0022190.1:cds pep primary_assembly:Fonio_CM05836:2A:34062645:34065312:-1 gene:Dexi2A01G0022190 transcript:Dexi2A01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFSSSTELGLEVTGVEFNSMKLDDDAEMNNVNVGGLENLMDADEEVKPCWTKPSPKTQPSNGFVTFSLTMGPEYHISQITDAVVVARYLGATLVLPDIRGNELGNKRKFQHMYNVDKFIRNLDGVVEVIEELPDEDDILPADKKGEFLKSSNSDLARALDLEICSQSDVFIPAVPGLFYGHVTGRRIALGHTQILVPSQSSASTQASDFISTYISNKNHLAYKCYC >Dexi3A01G0003630.1:cds pep primary_assembly:Fonio_CM05836:3A:2399891:2400520:-1 gene:Dexi3A01G0003630 transcript:Dexi3A01G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGEDDLRRSCRRLLGCLLFLAFLVAIIALIVYLVLRPTHPRFYLQDASLRQLDLSNGSSNLLSTTLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPNVPFAPYLADALQQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTTGGNGAPGASGFKFQTTTTCHVEV >Dexi2A01G0034350.1:cds pep primary_assembly:Fonio_CM05836:2A:44332358:44333313:-1 gene:Dexi2A01G0034350 transcript:Dexi2A01G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKVSGYDFDNDDFHACVHGRLPYEKLKPDPVLRLLLLSLPQKKIIFTNSDKNHAATVLKKLGLDGCFDAIICFETLNPPTEQDDMDSRRGILCKPSLESMEAAIEIAKLDAKRTVFFDDSARNIAAGKAAGFHTVVVGRSTLVPGADVALESIHNIKEALPELWVEADGEHVDSVLRAAAAETTVLA >Dexi1A01G0022760.1:cds pep primary_assembly:Fonio_CM05836:1A:29374350:29375972:-1 gene:Dexi1A01G0022760 transcript:Dexi1A01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVATVLTGERMSSSHAGAASSTRPPPIHHRRQLLPQAGLTSRLRLLLPGISKCPCWPMDDGRQQTTTIPAIRVPRGYPIPLFAVAVISTALSYPPSSAREDR >Dexi5A01G0024110.1:cds pep primary_assembly:Fonio_CM05836:5A:28008030:28008247:-1 gene:Dexi5A01G0024110 transcript:Dexi5A01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMILKPRQAAELVRHGTHYAGVAEDKGREQREIAKLWRDAALEWYITELKAGDTLPAVT >Dexi2B01G0021740.1:cds pep primary_assembly:Fonio_CM05836:2B:31439779:31443204:-1 gene:Dexi2B01G0021740 transcript:Dexi2B01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRWLRREVFVGLALGQFVSLLITSTGFSSSELARRVDKVFKHKTVQRIPMFRSKTRITRVKNFSGFNAPTSQSLLNYILLALVYGGTLIYKRQHLTAVCHHGVYVGCSIVNISTKRKPEMFLCVLFLQIKWYYYLLLGIIDVEANYIEGPNPLKGDLLVIGGSMLYAISNVTEEYFVKKSSRVEVMAMLGVFGAIISGIQISILERQELRSTHWNAGAILPFIGFALAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAIGLVIYSYKGSKQIAEETAQVTGAGDEEAATSNRAAQVPGVGHDGPASNKEFASAATASR >Dexi5B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:5B:22456248:22459814:1 gene:Dexi5B01G0020230 transcript:Dexi5B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSREALLVAGLAVAVLAAAAAAEGGGSVCFRRVFGFGDSLMDTGNFLLSVPDDFPDPARNLPYGQTFFGRPSGRYSDGRNLLDFFGNLRLRPARSSVAFRLCLASALLLLLAVIGINGDGISRPSPCEYKRPTPSILLRGNSEAFGLPFVPPYLGGGDFKYGANFAVGGATALNGSFFRERGVEPTWTPHSLDEQMQWFKKLLPSIASSETDRSDIMSNSLFFVGEVGGNDYNHLIVRGKSLDELHELVPNVVGAISSAIMELISLGAKKLVVPGNFPIGCVPLYLSIFPSQKGDYYDEQTGCIKWLNEFSEYHNKMLKDELEKLRNLYPDVTIIYADYYGASLNIFQAPLQFGEYHFKQTKT >DexiUA01G0019810.1:cds pep primary_assembly:Fonio_CM05836:UA:41139258:41142122:-1 gene:DexiUA01G0019810 transcript:DexiUA01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLAALTVPKAALLRRTRHRKLSYSRVRSNSLPGRFHPVVAGLHDSANALLSWTEEDPAAASPAWIGAGAGHLGRLLAGLTDLLHHPQAQQDPLLLQRRRDRKTTTAAAPWAERLLDDLLLLADAHGCFREALLVNQNSKSVPN >DexiUA01G0025440.1:cds pep primary_assembly:Fonio_CM05836:UA:53681492:53687045:-1 gene:DexiUA01G0025440 transcript:DexiUA01G0025440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDELGIQIFARSGKHLTQVTPAGQEIIRIAREVLSKVDAIKSVAGEHTWPDKGSLYIATTHTQARYALPGVIKGFIERYPRVSLHMHQGSPTQIAEAVSKGNADFAIATEALHLYDDLVMLPCYHWNRSIVVTPDHPLAGKGSVTIEELAQYPLVTYTFGFTGRSELDTAFNRAGLTPRIVFTATDADVIKTYVRLGLGVGVIASMAVDPVSDPDLVRLDAHDIFSHSTTKIGFRRSTFLRSYMYDFIQRFAPHLTRDVVDTADGDSVTLEDIQALAGWLKIAP >Dexi1A01G0025720.1:cds pep primary_assembly:Fonio_CM05836:1A:31871957:31873927:1 gene:Dexi1A01G0025720 transcript:Dexi1A01G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMLSSKWISASLLVLFLSLHPGVHAFYLPGTFMHTYTRGENISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYLFRVNVNESVYLCTTDPLTKDQAELIKKRARDLYQVNMVLDNLPVMRFTEQNGMTIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDSVDSVNCPLELEKSQAIRENERITFTYEVEYVLSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPSCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTACFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLMGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPISATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >Dexi2A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:2A:29142240:29144318:-1 gene:Dexi2A01G0017330 transcript:Dexi2A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDAKGKDAKGKGKAASSGGDDAGGGGGGGKGGKGKGAKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKVAQEFSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGTVSAPFKSTHGYHFILCEGRKN >Dexi5B01G0037990.1:cds pep primary_assembly:Fonio_CM05836:5B:37297174:37298687:1 gene:Dexi5B01G0037990 transcript:Dexi5B01G0037990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPNTVQLAPPSIIRSTCGTAYPTRSSNGATAGVTRFLPAVALSNAFTTAPYTSDRSSVYGTLELSPTTSGPLAGVRRFPHQRRGSGEPRRDIRGPRREEEQRPAAEDGDADAIAAAREAERELVGNLLRTRGLNGQGHPGPREPGDAGGAGGEVGEEGVGGAGGDGGEGDGVKRVVPVGVVGGDGDAGEVAGGELAGDGVVGVGGALATERVVLVGDAMTGAWAVGWVCR >Dexi6A01G0000930.1:cds pep primary_assembly:Fonio_CM05836:6A:774489:775879:1 gene:Dexi6A01G0000930 transcript:Dexi6A01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSLCIFYQVIWLKQFLEVEDKSAAINRTTGLDKQLKDMPKIWCRPKEKLAVGSHEYKEIIEADKELGVTCLFDNSVMEAMWGVKNLIRILVPQEQKALTMEERLPMSKGLEMILHRYGFDVKPEMVNDDIVETACFLYDIELVEKKHSRSLHMLDIDIKEISGLDSSEWRPMKLATAMKKICYPEEDFEIPPEMFSSVELLKIKKDADKYKNRVNSYSVSEVYTELGRAYRDKEENLRYMHALVKAAHEAAKRLTQATEGYAMEEA >DexiUA01G0002460.1:cds pep primary_assembly:Fonio_CM05836:UA:5522816:5523924:1 gene:DexiUA01G0002460 transcript:DexiUA01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTRVVIAFAAVVAMLPPFIGSAPAAAATGLGSSCTRSCGNVSIPYPFGVEPGCYHDVAGFNLTCNHSYHPPMLFLGDDLQVLEISIPTATVRISVSMRLTNYSDNRSANKTWGIALPQRGPYPYFLSSSYDYNMIPSFGCSYDPYNNESIASCTALSPTNNEGLVVEYTFYKIDIGYSFYNIQIHRLTAQIEDDASVYVSSRDYYNYTDNHTGTPDEPMGVTLSWMIANSSCPTNVSAPECHSTHSSCLEYSWVALMDTGSGHSCNCSDGYQGNPYVADGCQDIDECSSSESYSCYGDCKNTPGSFICLCPAGYKGNAFVPNGCQGIAM >Dexi1A01G0002110.1:cds pep primary_assembly:Fonio_CM05836:1A:1364695:1367109:1 gene:Dexi1A01G0002110 transcript:Dexi1A01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSWPELVGRRLVDAVVVIKSQRPDVYMKLFNDAGEDQPPPGFDPHRVCLFVDVNDLTVARTPKQKQEEVERKMSQPVPGKSSWPELVGVLATLAATAIAHDRPDVSVEVLPPGAPIIPDHNPLRVRVFIDNNAIVTQTPVCG >Dexi3A01G0013890.1:cds pep primary_assembly:Fonio_CM05836:3A:10156962:10157393:1 gene:Dexi3A01G0013890 transcript:Dexi3A01G0013890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGGGGGVMSCAVAGEAATAVGAPERRRRALGLTIDPAGDVEAPAERIGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIELEEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGLLVPRLREVGALCG >Dexi3B01G0016350.1:cds pep primary_assembly:Fonio_CM05836:3B:11913844:11914881:-1 gene:Dexi3B01G0016350 transcript:Dexi3B01G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLFAAVPSWHRIATGTSFLVAHAARRQREMILGTEHGLSRSAIVPPSLHLDMVAGDGHRYLFDPRPRAPDGDGCVELCASLDGLLVLEQRRHCPYYGTCNASTYIICNPVTRQWTNLPALCPATVATGSRFFASVYGFYFHASSDEYRLLFGCVTPPSEEEPYFCIVSVGRTLPRRLMSCGPVLDEDDRKCAVLCHGALHWLSRHRPLQSDTGSKGEIMAFDTVSEAFRLISLPPVLGRTRVWMDLLELDGELSFAIMPNYVTLDIWALQDYDDEAAENGRWTLRHRMNVQPPPPPLSDNLGLPMMSLSVGSSAILIGFPISEARMIMGCTEK >Dexi5B01G0031140.1:cds pep primary_assembly:Fonio_CM05836:5B:31949649:31959264:-1 gene:Dexi5B01G0031140 transcript:Dexi5B01G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRLAALNARDRGSAKRRRVERAQVPETAGQAQSSGSPVADGRRAAGSREEARRGVNENRSVRREVGRCARHGDNDDARAAAPEEFQFRCREFFPAVQMMGCFTALRSKKKKGPLDNPLVPSKKSIDARESTSSRLPEPEVHVPSLQSAPPSFRNRAKISQSANKVYNSRARVLSAPSTLIVVDQFGFPYTEYRDQDDSRDKEGSTKGHRFSNPLPLPLPSPEGHSLRNFGSFKANNISGPLEMSGPLPLPPEKYTGLRNFSYEEVSSACQWFSGDQCVSETLGSTSYKATFRDDFSDTKTIEATVARLLPSSQSLKEFKTQVNTLASLEHPNLCKLIGYYAKEDSNERMLVYERLHHGSLDKLLFGRPDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTSSIQIDKDFTAKLSGYGCAGFNTEEEISNAPVSAAHLSVETLEKGLLTPKSNMWSFGVVLLELITGRKNLDVSSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHNDPSERPTMRDVVEALTRVQEIKPTLDLTKVIDICFASSKDMLSYSG >Dexi5A01G0005210.1:cds pep primary_assembly:Fonio_CM05836:5A:3925278:3926057:-1 gene:Dexi5A01G0005210 transcript:Dexi5A01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQVKVDAEDGQNRTGTGSKHHIYMSNISLGSGLFSLSSSMGQLFQLHTWRSTIEWLPDEFENFHYKKKGTITIILSSVLGSAAVTVATAAVVYCYFTSKYRGWKKELDQLAKATNNFHETTQLGRGGFGSVYRCKLPSPKKGEALLEVAVKRFSRDDNRRYEDFLAEVSVINRLRHKNIVPLVVT >Dexi1A01G0030760.1:cds pep primary_assembly:Fonio_CM05836:1A:35908422:35912033:1 gene:Dexi1A01G0030760 transcript:Dexi1A01G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPAPSLSRAPSSSVSASPVDRCHRSTAAFRRYGPSFTYKPAAVNSNLCRTGIAYIANVAVRKEERRRGIAKMLVQEAEAERGVGDAGPWHCIVSNIAALRLYKNQGFKCIRVPEADKWPEPKIAKGVQYSFMMKLVPKI >Dexi5A01G0018530.1:cds pep primary_assembly:Fonio_CM05836:5A:22072554:22073513:-1 gene:Dexi5A01G0018530 transcript:Dexi5A01G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAPAVADDLIHEWLDDGDTYLLRLNLPGFKKEDFRVHVDVKGRLTVIGQRRTTLVAGDGKAVPVRIHKVFQLPNTANLDTITGRFDGTVLTLTVPKLPLQQQRQQNAAAAAAEPARVAATADGEKQGAQAEDKAPHQKTSQLTARDNKEEDAKAKPVAPPPPPPLPETEKTMGGGGGDGQDDEKARAEHREKVEREAARRIEAARARVAEAKAKAERERQCEQWKERATEEGLKLADAVSRNKEVIATAVAAFTLGVFLSTKLFSRS >Dexi4B01G0021200.1:cds pep primary_assembly:Fonio_CM05836:4B:23210676:23215182:1 gene:Dexi4B01G0021200 transcript:Dexi4B01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFDSSSNLWTMLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQHVEIVGGLLRELELAELMRREATRRPLEQLGGALRRCYALVAACQDCGYLCTLLTGARMAEELRAAEQEIDMFIRLVPLISLVDSTHAHRVKGQLTSGVMFAIKRLDERATLFDFSSELQLASLEHINLVRLLGWCVHGKERLLVYEFMHNGSLDRIIFGIGYIAPEYASRGLYSVKIDVFGFGVLSLVIISGRKNIILEEQGDTVGNLVRDAWQLWKDGRLHELADPLLGDGYEIDEMVRIIHVALLRTQEDPVDRPTMSDVIAFLNFRSTSLLPDPKPPSELINNGDVDFKLSTYIGQQNRTIDITITSSAPVSTRVRIIVEPET >Dexi8A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:8A:22790507:22793407:1 gene:Dexi8A01G0012910 transcript:Dexi8A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAVQWWEEWQVRVLVLGSLFLQYFLYFAAPLRKRSIPSWFRFTIWLAYLGSDALAIYALAALFNRHKKTLSKNASLEALWAPILLVHLGGVDSITAYNIEDNELWRRHVLTSISQVAVAIYVFRKSYMISGDKRLLQAAIFIFIAGILKCIAKPVALKNASINTLMSIVRSRSCPDTGVPPDDNTTPVDPDQEEFNKEILDVFVKDEVYYPFVDMAPGRSVGGYEHDDLRTALSVSFDRLYTKEQVYSHTMKFILFMYFSNRVPWKRICGFLLRILVNPMIFTALGLFHTSHRRDYNSVDVKVTYTLLACTASLQYFGGFWLSVGHCVCGVYNIFANGRMPTHSPWPDDVAQYSLIGYLASKRKHKTLTSITAFLGCEDYLDPLWCMKPFNWAMFITWLVTRFVETWKRDHGSEGGRAFNDNRGHWTLKRHGCGGSNILRESLRRPFDESVLVWHLATEFCFDRMGNIPDNDDDALYHLATCYFAHLDALWSKNENKNTGSNDDAGDDNTGGNDDDEDNNTGGNDAGSNNTGDDNTGGNDAGNNNTGDDNNGGNNADVDNTDDDNTDGNSTGDDDNIDNDTLANVRISSVISNYMVYLLFVNPEMLMTGARPSLFRNAYKRLNGMLDDGEERAAALTEKDLAQKIIQELKGGAKGRGVIDDAWALSQELTKLCNDDNDKMWKVIFGVWVEMLCFSASRCRGYLHAKSLGKGGEYLSYIWLLLSRMGMETLSDKMQRAQDPPEQQDDDASGAGAEFTDTTSTTPARTIISDKNEKAGPQEEKASHDGATCTTPAATVIGDEKRDEASHCATSAAPAIPVIGDENV >Dexi2B01G0013790.1:cds pep primary_assembly:Fonio_CM05836:2B:22844745:22847910:1 gene:Dexi2B01G0013790 transcript:Dexi2B01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLALVLALFQPCLLLLLHVDASGGGVHVQSQLAALLKWKSTLRSSPSLDSWRQGTSPCSSNWTGIDCGTVRHGRNTPQVVIGIYLPNAGLDGRLGELNFSALPFLQYIVLSYNRLHGEIPRAIASLPMLSSLILTGNWLHGQIPPELGDMPSLTQLGLSLNNLTGHIPASLGNLSSLVDLVIHQNMITGTIPEELSKITSLEDLQLSSTSLSGPIPESFGNLTKLKILRLYDNQLSGTIPSSLGNLVHLQSLELSANQLEGHIPPSLGNLGNLSDFSLYGNNLIGSIPDEIGRLVNLRTVLLYQNMISGSIPATLGNLTSMTEFSAFSNKLSGSVPREFSNLTQLQILNLGNNSLSGELPSDVCKQGNLQLFVVSANMLTDFGVAKVIKPDSSNWTELAGTYGYIAPELSYTSAVTTKCDVYSFGVVLMEIVMGRYPLDLQSLTSLQGHHHNIAIEILDQRPPLPTTVEEEEILLLVEVATACVQPSPQSRPEMQDVYQKLTRHCPSYAFATTSCALALEGIKDGEV >Dexi3A01G0014320.1:cds pep primary_assembly:Fonio_CM05836:3A:10441955:10447150:-1 gene:Dexi3A01G0014320 transcript:Dexi3A01G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGVGRKGQPIQLYSNHFKVSVNSIEDFFFHYHVNLKYEDDQPVDGKGIGRKVIDKLQQTYHSELSNKDFAYDGEKSLFTVGALPQVNNEFTVVLEDAFAGKTAANGSPGGNDSPGGGDRKRVRRSYQPKIFKVELNEAAKIPMSAIGQVIRGQESENSLEAVRVLDIILRQHSAKQGCLIVRQSFFHNNPSNFVDLGGGVVGCRGFHSSFRGTQSGLSLNMDVSTTMIVKPGPVIDFLLANQKVEHPSRIDWKKAKLALKSLRIKTIHANSEFKIVGLSERNCKEQTFPLKQRNGSNGDADTVEITVYDYYMKKGIELRYTKALSTLQRSSLVEKSRQKPQERMTTLNDALQHSNYDSDPMLRSCGISIAPKFTQIDGRVLQAPKLKAANGEDILTRNGRWNFTNKKLIQACSVDKWAVVNFSARCDVRNLIRDLMRNASAKGIQMGDPFDVFEENPPMRRAPASRRVDDMFEQIKSKLPGAPKFLLCILPDRKNFAPVCYAHLAAAQIGTFLKFEEMSDASSSQGGHTSVGSAPVPELPRLHEKVRSSMFFC >Dexi5A01G0013050.1:cds pep primary_assembly:Fonio_CM05836:5A:9753818:9754192:1 gene:Dexi5A01G0013050 transcript:Dexi5A01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVKFAGHATPPTDSLHARDHKLVSSIHDQVLGAAELHPGGNRGSCGLQSASHLGLNHSWCGGGGGLALTRRNEYSSAPAGGRDGSMSGSAEKASANEQALRGDGWNRMEATGLKKKKR >Dexi9B01G0023560.1:cds pep primary_assembly:Fonio_CM05836:9B:18904972:18907705:1 gene:Dexi9B01G0023560 transcript:Dexi9B01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRPGTAVWVEHTDLAWAEAEVVSSPASPSSVSVVLSTGAKAVVDGRKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYTLNEIYTYTGRILIAVNPFARLPHMYDMHMMEQYRGVQFGELSPHVFAIADASYRAMVSEKRSQSILVSGESGAGKTETTKLIMRYLTFVGGRATGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYVNQSHMYELEGVSDAEQYLNTRRAMDIVGICFSDQEAIFQTVAAILHLGNIEFSPGKEFDSSVIKDEKCKFHLQTAADLLMWVFY >Dexi8A01G0011790.1:cds pep primary_assembly:Fonio_CM05836:8A:20793632:20796493:-1 gene:Dexi8A01G0011790 transcript:Dexi8A01G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACTRVGAGVGVVGAGGRRQGGALMASLVLFLPSSPYSSSSHLPPKPQPHPPPPTPPTPVPAAARPSRLRALFARAAARRDPEPSPAAPAEAAPAAAVEERRSLAVRTGELFLGLAALLLRGTGATRGGGAAVEEVEKRDGVVWEQRPEDVEAERRRRELTSPGFSFSAAGLLFPYHLGAAQCLMDKGYITAVLRDVLDKFLPDDLHIRCNGRIRASRLGLQEIGISPDCNPENRATPRQLFNWALEPAEDEVLDKLYELGYKDAAVWAEQNSTEFIVKNGQPLGID >Dexi9B01G0044610.1:cds pep primary_assembly:Fonio_CM05836:9B:44340097:44340900:-1 gene:Dexi9B01G0044610 transcript:Dexi9B01G0044610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDILPLWGVILVRGVAVEDASQPHGIRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQSDEELQGWYHETVHVGHADIRHASWWPALSTPGDLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERNAGEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTADAGAVAAHAMFAADVRRAEETIERRNADQGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >Dexi2B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:2B:13211583:13218611:-1 gene:Dexi2B01G0011640 transcript:Dexi2B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDTSQLQPLAKYASSWPHSLVKVLASGGSVLLPVDTAGRVLELLLILDKYWGDRRLEYPIYFLTNVSTSTVDFVKSFLEWMGDHIAKSFESSRANAFLLKKVTLIINKEELEKLGDSPKVVLASMASSEVGFSHDIFVEMASEARNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEAIKASHVKEEELKASHGSNAKASAPMVIDASSSRKSANAGSHFGGNNDILIDGFVPPSTSVAPMFPFFENTAEWDDFGEIINPDDYTMKQEEMDSSLMLGPGDGLDGKIDDGSARLLLDSTPSKVISNEMTVQVKCSLVYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKTLDSHVYAPQIEETIDVTSDLCAYKLGEHEIAWVDAEVGKEDEKLILLPPSSTPPSHKPVLVGDLKLSDFKQFLENKGWQVEFSGGALRCGEHITVRKIGDSQKGSTGSQQIVIEGPLCEDYYKIREHLYSQFYLL >Dexi2B01G0017610.1:cds pep primary_assembly:Fonio_CM05836:2B:27983010:27984675:-1 gene:Dexi2B01G0017610 transcript:Dexi2B01G0017610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAWAPVVAVALATVLFLRSILARRSRRPSKTLPGPKPWPIIGNFNLLGALPHRSLYALSKRYGPLMRVQFGSYPVVVASSVDMAKFFLKTHDLAFIDRPKMAAGKHTTYNYSNIAWSPYGAYWRQARRICADELFSARRLESFEHVRREEVHALLRDLHGDAGQVVKLKERLSTTSLNIITRMVLGRKGVDKEVVVASGGGGGSVTTWKEFRWMLDELFLLNGVLNVGDWIPWLSWMDLQGYVRRMKRVGKMFNRFMENVAEEHNQRRQREGDAFVAKDMVDRLLQLADDPNLEVKLTRDSVKAFTQDLVAGGTESAAVIVEWAISELLKNPEVFAKATEELDGVIGRGRWVTEKDMAHLPYMDAIVKETMRLHMVVPLLSPRLSREDTSVDGYDIPAGTRVLVNAWTISRDPDLWDAPEEFRPERFVGSKMDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLTLANLLHGFVWRLPDGMSKEELSMEEVFGLSTPRKFPLQAVVEPKLPAHLHSLLK >DexiUA01G0012200.1:cds pep primary_assembly:Fonio_CM05836:UA:24473317:24476960:1 gene:DexiUA01G0012200 transcript:DexiUA01G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASNDAVESLVSKLGSLLAQEYTLIGGVRDDIQRAWYLLTTLYARHCIATEISNLKVRAQHVSERRTRYGVENPARLDISSEGPYVPIDHPAPPPQLIGTVAPVGIEDAKEELEPWFMEANKQSANDQLRFLVIVGFGGLGKTTLAMALYRAYGDEFDCRASVLASQKFHLLTVLRSLIKQFHNQQAGASQNDIEGIEERGLEDLKKQLSDQLKEKRYHILIDDIWSVSAWESIRDSFPKTRKGGSIVATTRFKSVAEACRRQKGDVHELKPLNDGNSYKLFRQIISTAPNVPTDGAKALLKKCGGLPLAIILVAGLVSSKLRLNTSRQQGGTYELKPYHDGTSYKLFRQIMSDPNVPTDVARGLLKKYVEENPGIISTAPNVPADVARGLLKNKSQAGNNISEQLEECLAQVGKDLGEELEKNLSTEGVKHIVNHCYYQLPADLKTCLLYLSMFPKGCLISRKRLIRRWIAEGFISEKHGKTVEEVAEDYFNELISRNLIGAVNSSSNGKVKSCQVHDMVLEYIVVKSSDENFITVVGGHWHTPFPSYKVRRLSVQKSDSQEKKTVERMKLSHVRSLTALGSFKALDSTLSKFQILQVLDLESCKDLSLKNHLEIICDMHQLKYLSLRGTDIESAPKEIGRLEYLQSKRMGLTLTEGITKMTDLQTLSGVEICGSSANNAANSGINKEVESAQARAMITRTKDADDYSVDRQCKNYLRCKAASSGLDKGLRSLENLTNLKKLTVYRLRAFTERDNILLLSAIEHLSSCSLKFLAVDDDFTGFLDSSLNASQAPPEHLHTLGLTGMLSQRAA >Dexi9A01G0019990.1:cds pep primary_assembly:Fonio_CM05836:9A:14998662:14999260:1 gene:Dexi9A01G0019990 transcript:Dexi9A01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQPLPPPPPLRRSTRAKRLLSGPPPGFSGVHQPPRKSPFQRAPAPPQPQPAPNPHRAPGTSSLPPPVHASHGALLPLPATDNTVKPPLQRRSAPRARRPRPGAKKRPTVPCELCGVLCMTAWHLKQHEQGRRHRNMAAQLAGDINVRCPVCNVHLSSGLNVEQHFAGKQHRRQLRIKGGT >Dexi9B01G0002590.1:cds pep primary_assembly:Fonio_CM05836:9B:1477237:1478722:-1 gene:Dexi9B01G0002590 transcript:Dexi9B01G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAPAMAAGESSDAVNGDPRRRHVLLFPLPYQGHINPMFRLAGVLHSRGFAITIFHTHFNAPDPSRHPEYRFVPVADGMSSATTVPVAIEDVVAQILALTAACEAPFRDRLAAVLDEYSKDAVACLIADAHLLPIFTVATSLAVPTLALRTGSATCCACFLAYPMLFEKEAQRDMPVKELPPYRVRDLIILGDDEDERGGQARELVARAVASVKVSSGLILNTFDALERHELEGLRRDLAMPVFDVGPLHKFAPAGDSSLLRQDRSCLEWLDTWPPASVLYVSFGSVACITRREMEETAWGIAGSGVPFIWVVRPGMVRGSTPNQQELPEGFEAATRGRGVVVAWAPQEEVLRHRGVGGFWTHSGWNSTMESICEGVPMLCRPNFGDQMGNARYVEHVWKVGFEVDGELDRGNVEAAVRRLMTDKDGAEMRARAGELKKAAAECTGKGGS >Dexi1A01G0013270.1:cds pep primary_assembly:Fonio_CM05836:1A:15765654:15766545:1 gene:Dexi1A01G0013270 transcript:Dexi1A01G0013270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFAKDRADLGRNLVCVWTAILLFLLAILGACSIINRFTALPGLVDEFRIPERENRKALEFVPSWCFANGMFAIVLSFGLLLTALRSRKARSWRYGAGMH >Dexi2A01G0028820.1:cds pep primary_assembly:Fonio_CM05836:2A:39978001:39979040:1 gene:Dexi2A01G0028820 transcript:Dexi2A01G0028820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTPNENGDTGSDLIWTQCAPCSAQCFQQPTPLYNPASSTTFSPVTCDTTPSKLCPYNQTYGIGWTAGILGSETFTFGSSAADQTRVPGLTFGCSNASSNDSTGSSGLVGLGRGSLSLVTQLGADKFSYCLTPFQDTNSTSTLLLGPSASLNGRGVGSTPFVTSPAQALTHYYVNLTGISLGTTALSIPADAFSLNDTDGTGGLIIDSGTTVTYLVDAAYQQVRAAVLSLVTTLPTTDASAETGLDLCFALPSSTSAPPAMPSMTLHFDGADMVIPGGSYMILDPDSGLWCLAMQTSISGLPSILGNYHQQNMHILYDLGQEMLSFAPANCGTF >Dexi6B01G0010920.1:cds pep primary_assembly:Fonio_CM05836:6B:17758754:17760134:-1 gene:Dexi6B01G0010920 transcript:Dexi6B01G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEIAPAAVPSAAVAAAREQGVEIYPLSCYYFGAKDAAGVPRAVETAADRALRLKANFAAHGLRTSVHGVLLVELFDHPHVLLLQVRNSSFVLPGGRLRPGEEDVQGLKRKLSSKLSVVDDLGDAEDEDDWQVGECIGMWWRSEFEAIPFPYMPPNFRSPKECIKLFLIRLPMSRQFIVPRNMKLLAVPLSQIHNNAQVYGPIISGIPNLLPKFSLNVISD >Dexi6A01G0013430.1:cds pep primary_assembly:Fonio_CM05836:6A:20477063:20482966:1 gene:Dexi6A01G0013430 transcript:Dexi6A01G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPAPFVYCRPSGNVSSEDALIRAALQGDLRGLKGMPPFMTAAQSGDVSTVKYLLDHGADLMKADDKGRTVLHHAAGSTKLGRLPVELAALNDCIEEVETLFPLTSPIRGVVNWSVDGIISHAKLEDGKPLEKRHIVRRKAMFKSEASKAFKRKEYDMASKMYGLEHKQAHDALLDAQRLDPGNEDIERELRKAMELMKVSPEEDQQSSDVL >Dexi9B01G0034180.1:cds pep primary_assembly:Fonio_CM05836:9B:36210895:36211324:1 gene:Dexi9B01G0034180 transcript:Dexi9B01G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNTAISQLLEVEEARGGSAYGRDTMCIGVARLGSDDQKIVAGPMEKLLDVDFGPPLHCLIIAGETHPLEEEMLEFYKIK >Dexi4B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:4B:11030724:11031230:-1 gene:Dexi4B01G0012950 transcript:Dexi4B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVAAPSAHVLRYHHLRPIPSPPGHRRHRLAYHHRRRVLSVARAAARRDDHDAAAPESSLMATTTTATKQQEAKPAASSWLQQLSSNKDYSHTLFHSECLEVLGLVDLESLRKRPRLTVGVTVKASVVLPVLVVLAVLYLSDMAKGVVDWTAHHHHHPEPEGDDVGD >Dexi7B01G0002530.1:cds pep primary_assembly:Fonio_CM05836:7B:4705261:4714697:-1 gene:Dexi7B01G0002530 transcript:Dexi7B01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHPTERKAEEVIIPICRTMSDAVRVLDAIVGYDSHDALATKKASRYIPEGGYMQFLRPDGLKGKRIGIPNGFFNNPNGTVQNLVFRQHLDMMRYSERLKEFGQLIFLVAETTTGIGGPERTAILELKKLSAYGLEKLMKDQKLDAIVTPNDSASSVLAIGGMPGITVPAGYGKLGVPFGICFGGLKGYEPRLIEIAYAFEQATQVRKIPTFSP >Dexi7B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:7B:16417831:16418087:1 gene:Dexi7B01G0008650 transcript:Dexi7B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAAAADGARRTAAAAARGEGARPRKAWRMCGRRRAADASAFAIQSSAACGGAGPGAVDGVVEEAEEGVVRR >DexiUA01G0020340.1:cds pep primary_assembly:Fonio_CM05836:UA:42499418:42500496:-1 gene:DexiUA01G0020340 transcript:DexiUA01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNPQYILLPFQPYKLVYAYMLAEVGKVSDSLRYCQASLKVLKASGRTPELEAWKQLFSTLEERIRTHQQVLPILL >Dexi4A01G0007290.1:cds pep primary_assembly:Fonio_CM05836:4A:5396766:5401054:-1 gene:Dexi4A01G0007290 transcript:Dexi4A01G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLYIFQGRRCWRSRSLSATSVTYCTIGPAKTTAPGAASCATTNLSGLNLGGEISPAVGSLKSLSSIFLTWALRDLKSNGLSGQIPDEIGDCSSLRTLILKNNQLIGAIPSTLSQLPNLKILNLSANFLSGPIPIELSRINNLDTFEQWSPQAGDPSYEHGPSCV >Dexi1B01G0028010.1:cds pep primary_assembly:Fonio_CM05836:1B:32658573:32661315:1 gene:Dexi1B01G0028010 transcript:Dexi1B01G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLVGVVAVCVLLAAAVASAAGGETQLQSCYIVHVAAHAPRPSSRPHLLARAYASFLRDSLPASVSRPSPRLLYAYAHAATGFAAALTERQAAHLRSLPSVLDVAADAVRRLHTTLTPAFLRLSPSSGLLPASNGANDIVIAVIDTGIYRDGRASFSAGDNQPLPSKFRGGCVSTKSFNASAYCNRKLVGAKFFHAGYDAAYGERLGEVEDPRSPLDSNGHGTHTASTAAGSPVDDASFYNYAKGRAVGMAPGARIAAYKVCWENGCADSDILKAIDAAIDDGVDVISISLGAVGDAPNFYDDQTAIGAFHAVRKGIVVSASAGNDGPGEYTAKNIAPWFITVGASTINRQFPASVVLGNGETFTGVSLYAGTPLDEAEKIPLVYGGDVGSDVCEAEKLNASLVTGKIVVCDPGVNGRAAKGDAVKLAGGAGAILVSNEKYGDQALTAAHVIAAAAVKFADGERIKEYIRENASSSPVATIVFHGTVVGRSPSSPRMASFSSRGPNLHAPEILKPDVTAPGVDILAAWTGASSPTELDGDTRRVEFNVISGTSMSCPHVSGVAALLRQARPEWSPAAIKSALMTTAYNVDDAGDVIRDMATGEASTPFARGAGHVDPNRAVDPGLVYDAGADDYLSFLCAIGYTDEQIAKFTAKDDPAVNCSTRMAFAGDLNYPAFSVVFSKNSSENKVITQHRVVRNVGSNVRVKYRVAVTSPAGVRVTVKPRVLRFNRERRTQEYAVTFTLLLGAANVTEKYTFGSIVWRDNSKHEVTSPIAITCAEARNGEVDGRMNSTEAQSGERT >Dexi9A01G0045540.1:cds pep primary_assembly:Fonio_CM05836:9A:49123484:49124332:1 gene:Dexi9A01G0045540 transcript:Dexi9A01G0045540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPNPRYRPRTPSAARIFLNASAPPRYRQVAEVWTISRWLTTSTGVHTTCAATAAETPAAAEAAADAAGSETPSRTAADPTELLVASSAAMPSRRRIAAAVAVAAAGGGATCRCVLTTTAGYSAADTPEKSAAPRTKNRDLSSISQFTSAGSRRISSAAAAAAEAPLSSSMSTALKLIFSSTAASYQQPSPNNTETQSNPAAKQLKQAPDEPPSIPYRSILQPPTAASPCGEHTHTAIASREIGALRILETKKKKKKVM >Dexi9B01G0024200.1:cds pep primary_assembly:Fonio_CM05836:9B:20074561:20075179:-1 gene:Dexi9B01G0024200 transcript:Dexi9B01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPVGVIASPTPAPMGLKAGPPAAGAETGDIGGAGGEDAGALAGGGGSGAILGGAGAGARLGDEAGACAVAAAANRARSATMTAARRAAIISFLTRRRAWVAAAWVHGHGDQAGMGTWETVRNG >Dexi9B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:9B:2330540:2331938:1 gene:Dexi9B01G0004020 transcript:Dexi9B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVLVAPLLALLLASGALEASAGTTNNGTSPADEIIRGRSLGSSSQSVFSLDRYGSRGDGRHDDTQALSKAWKAACASPRPAIVLVPGGKRYLLKLVKLSGPCKSSVTLTVKGTLMASPNMADWSDKDRRHWIVFRSINKLTVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRAQDLKIVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSQVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIVIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTISKDAIKMNCSKNVPCHGITLQNIDLKMQGGKGTIKSTCQNAKWRRSGTVLPHPCTDKN >Dexi3B01G0024550.1:cds pep primary_assembly:Fonio_CM05836:3B:19200321:19200989:1 gene:Dexi3B01G0024550 transcript:Dexi3B01G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGCNFNLSQFISSLLDIENISLLGHAFECAAHGILPGKLPRLLNRLTEVTLEIDLGNLKEANAAHCLFQVAPNLQRVKLQLVYRGYGAPTSNFWDSIDHQASLFNNLDTVVLNNFAGSCAESGFLKLLLEDAPVLRIAQIKDNNKLDKECLKRLLKMRRASKDAEVILL >Dexi2B01G0007720.1:cds pep primary_assembly:Fonio_CM05836:2B:7928847:7930337:1 gene:Dexi2B01G0007720 transcript:Dexi2B01G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAVEETLNSLQRLLIRAHVIVEESQERIVTNQAMLRQLNRLQKEMYRGYHTLDNLRCRAPDADGDDHNRAVAAGRSFTASRFNPAKRLRLRGGSSSSQHERAIEVLGDLEAAIRDVRELVMFLSGCPRLCRQPYTVHLLVGKCMFNRQMEMEQIMEFLLRSSVEEEEEAPAVLPIIGPGKVGKTTIIEHACNDQRVRSHFSQILRFSQDGLRDVKTIPTLGDCGVIKLDDDDDYDDRAVVGGEKMTTLVIIEVAGDIDEGVWEKLYSDCRHQTGWGSKILVASRSDKIARFGRATQTQPLTVRFFTEEAYWFFFKARTFGSTDMKDHPKVAAIAMDLARELNGCFFGASLFGRLLKANFDARIWSRALATLREFHRMNRLVFGADLVDIWQAVGPVFVRRSNDASSEHFVILDDYQTVSVEEDSAPSSEDPQLSILDLFFGENDVRPCGRFNVLAYRSHVPPRYSYMMTCEVQRQHREFSRNKRISQQVAC >Dexi7A01G0017330.1:cds pep primary_assembly:Fonio_CM05836:7A:27057416:27062937:-1 gene:Dexi7A01G0017330 transcript:Dexi7A01G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQALKKNYRCDRSLQQFYTGGPFAVGRAPAGEGEGEGGAEAEPFLACACGGEVRVVSAADASAIGEPIDGDSEAITALALSPDSRLLFAAGHSRLIRVWDLATRACIRSWKGHDGPIMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFLRGHTGVVTTIMFHKDPKRLLCIHFDEHKITSALYLQLFSGSEDGTVRVWNLETKKCVAVLKEHFSTVTSLSLSDDGQTLLSAGRDKVVTVWDVRKYSSKKTIPTYEMIEAVSFIGSGSELLACLGIELANVKGKADGYFLTVGERGVVRIWCLESGLCIFEQQSSDVTVNSENEETRRGFTSAVMLPNDQGLLCVTADQQFLFYCPKRTDEGAFQLSLYRRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCIDTCVSSSGKTLVVTGSKDNTVRLWDAETRRCIGTGKGHLGAVGSVAFSKKSKNFFVSGSSDRTIKMWTWDDTLDDADDEVPLRAKAVVAAHDKDINSLAISPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVMTSSGDRTIKIWSVADGSCLKTFEGHTSSVLRASFLSRGTQVISCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGRKTEMLATGGTDAILNLWHDCTMEDKQEDFRKKARETLHYCTFCIASKVLAFCLILLEEKSICQAFTTSDSKSPWLQEEEVLRGQELENAVSDSDYTKAIQLAFELRRPHRLLELFSQLARKDDSEDPIEKALLGLPKDGLRLLLEYIREWNTKPKLCHVAQFVLFRVLRSLPPTEILEITGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLMRMSVLDPDVDAGTTKDDMNGSSVENGEITEAQAASPVTEKLSKKRKSSKSSKKGKEKKVKP >DexiUA01G0026990.1:cds pep primary_assembly:Fonio_CM05836:UA:57540070:57543334:1 gene:DexiUA01G0026990 transcript:DexiUA01G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGGHGIGDLGLGKRQLLKGWPDLWLSGDTPGHRAAAAMAAAPPPPEPDQTLALPDALLLRVLACLPEPHLTGAASLVCRRWTRLAGRLRRRLAVRDWAFVAHRLPYRFPELADLDLFPASIAAPTGGAAHAASPLLTCAAVSLTLDTSADPPLGACRFLDDVALDRGLAAVAASFPNLRRLSATAAAESGGLMAIAGGCSTLQELELHRCTDLALRPVSAFAHLQILRIVAASPALYGTGEGGGVTDIGLTILAHGCKRLVKLELLGCEGSYDGIAAVGRCCAMLEELTIADHRMDGGWLAALAFCGNLKTLRLQGCSRIDDDPGPSEHLGACLTLESLQLHRCQLHDRRALHALFLVCEGAREIQVQNCWGLEDDVFALVGLCRRVKFLSLEKCSLLTTRGLESVITSWSDLQSLEVVSCNKIKDEEITPALSELFSNLKELKWRPDNKSLLSANLAGTGMGKKGRQILPAHQRIKGKVLNYSAGVAA >Dexi6A01G0009570.1:cds pep primary_assembly:Fonio_CM05836:6A:11280246:11282502:1 gene:Dexi6A01G0009570 transcript:Dexi6A01G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFAGLSAFLDRRQYSDGSPGGPLSHSKAPAASSPLRPPPRSASPPLRAGWPHPDPDPGQTNRYTATMVLDTLSSPHRRSQNTFFVSSAKKPQSSRDDSCNLGG >Dexi3B01G0002140.1:cds pep primary_assembly:Fonio_CM05836:3B:1512641:1513724:-1 gene:Dexi3B01G0002140 transcript:Dexi3B01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVQRTFTIPPPPSGPPCSEVHPTIFDLVAPPYHVTVLFAYAPPNPTNAALLHALAATLPRFPLLTARRATVSSLLSNHLPLTPSPDLEHLHPVVDKATPHVLVLQINRFACGGLVIASSAHHQAADGYSMSLFFHAWADAVRSGAGAAPPLDYLNNHHVDVVPYGPSAITPRRPPRCEFEHRGVEFLPLGAVPRPVPTRVHPSEIANLMLHYTANHVAELKAQAQNRYTTFETLAAHLWRKITVARSRAGDDDYRTALNVTVNGRARLGTDSVPKEFFGNAILTASSGKTSARVLVEECTLADAAAMSFIDFGELYGWG >Dexi6A01G0012860.1:cds pep primary_assembly:Fonio_CM05836:6A:19616815:19617389:-1 gene:Dexi6A01G0012860 transcript:Dexi6A01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGEHQLEGDDLSADDASWSDGVWSEDDDEGSLSFEGSGSGSGSGSGSDADSDEAAAEESDSSEDEVAPRNTVGDVPLEWYKEEEHIGYDIDGRKIKKRDREGRIEAYLKNADDAKNW >Dexi4B01G0023490.1:cds pep primary_assembly:Fonio_CM05836:4B:24826235:24827086:1 gene:Dexi4B01G0023490 transcript:Dexi4B01G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMQGDREQGHESHDLVLPSFSFHPDPQLVGLPHPATGPQAAAAVAGRHAAGAHRCAATREAHSRAALALHAVGHRYRRACIGSWGPLLDDADVVPCRGDRAVEPLEC >Dexi3A01G0034640.1:cds pep primary_assembly:Fonio_CM05836:3A:39750429:39762658:-1 gene:Dexi3A01G0034640 transcript:Dexi3A01G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSALLLIVLTAGAAAMVRSHPANTPAMQFWEHALPGTPMPEAIANLVQKGNVLVPFNNPRDVLSTFHISPDSDEAAQVTDTLGRCEEPPVAGEVKSYTTSLESTVQRAMDMLGIGTTGVVTDGSVWAVTSELPAGGLPRQPYEVEAVAPLAGSRYVSCHRVPFPYGVYQCHISVGYTAYVVSLRGLHGGQVASVLAFCHVDTSGWNPAHPAFGILGTHPGGTPVCHFTQYGDLAFIDRRCCCCR >Dexi8A01G0010130.1:cds pep primary_assembly:Fonio_CM05836:8A:16521029:16524208:-1 gene:Dexi8A01G0010130 transcript:Dexi8A01G0010130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAVVIVMGYILIEVEGRNLFAGVYGEEAMKERHQKWMAQHDRAYKDEVEKAQRPVPTGVKKISGFTYENFTLSDDQQAVDWRQKGCCWAFSAVAALEGIHQISTGNLISLSEQQVLDCSTNGNNNGCNGGFMDNAFQYVISNGGLTTEDAYRYNAMQSMCQTSVQPAVAISGYQDVPSEDDGALAAAVANQPVSVGVDAHSFQFYNGGVMTGESCGTNSNHAVTAIGYGTTEDGSPYWLLKNQWGQNWGEGGYMRLERGTGACGVAKQASYPVA >Dexi4A01G0001470.1:cds pep primary_assembly:Fonio_CM05836:4A:935837:940704:-1 gene:Dexi4A01G0001470 transcript:Dexi4A01G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVWAYPFGVGRVWICPSVKRICFLRLPISLRRGARGEGDPAAAEGRGARATLPPPSARSLDADVPPATERTLRNLDADVPAAVLPDNSGEKPGLPASRTVSRGRSGQVVVVR >Dexi1A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:1A:489699:492394:-1 gene:Dexi1A01G0000770 transcript:Dexi1A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRYGPVISLRLARTLVFVADRRLAHRALVQGGAAEPNSLFTAGGRDVSSSPYGAYWRLLRRNLAGEALQPARVALFAPARRSACDALVAGLILAQRAGDHNAAVVTLRPLLRRAMFELLVYMCFGARRLGEDAMDEIERLQHKACMSLTSFPIFAFFPAITKRLFRRQWEENVAVRRRQDEVFVPLIHATRNGDEPPCYAESLRALRVPGDDEGGDRPLTDAEMVSLCSEFLNGCTDTTVTLVEWIMAELVNHPDVQAKVHGEVNKKSKPELDDGDIKEMPYLKAVVMEGLRLHPPGHFVLPHGVQSDGAEIGGYAVPKGAEVNFLVAEMGRDETVWTAAREFRPERFVDGGEGCEYFVARMVRKLEWRPEEDGAAVDMAEALDFTTVMKYPLRARTSSRN >Dexi5B01G0029380.1:cds pep primary_assembly:Fonio_CM05836:5B:30624852:30625794:-1 gene:Dexi5B01G0029380 transcript:Dexi5B01G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPSSFPFPHASFPNAKPPQLATPAAAAAATRPESPNAAAAPNQASVRLRRLIAREDLVAAARLVERSASRDGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAEGSGSAVDVFAYNTLVAGYCRYGHLDAARRLIASMPVEPDAYTYTPLIRGLCDRGRVADALSLLDDMLLRGCQPSVVTYTVLLEALCKNSGFGQAMTVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDARELLNRLSSYGFQPDTVSYTTVLKGLCAAKRWDDVEELFAEMMERNCMPNEVWWSEPFKFLNK >Dexi8B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:8B:4239614:4240118:-1 gene:Dexi8B01G0004630 transcript:Dexi8B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQGRREQVTVRTRRRHMAPSDVPRRVRTATGTPPVAAAWWWCFLLLHGVIVISDGISS >Dexi7A01G0000240.1:cds pep primary_assembly:Fonio_CM05836:7A:325734:326413:-1 gene:Dexi7A01G0000240 transcript:Dexi7A01G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFISKKFPEEDPKYSVAVTVVSGLDDDLSARSRPTISPVFNVTVHMDNTRDSAHGRCVPDLSTAEVSYGDAFLGKGTLPKICAGKRLEAEGVARAWGQDVVVPWFLRDQLAGEMEVGDAAVDVHLRMDGLIPGWVEEAAGGDRVR >Dexi9B01G0023100.1:cds pep primary_assembly:Fonio_CM05836:9B:18119224:18127337:1 gene:Dexi9B01G0023100 transcript:Dexi9B01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETAVLSRLQLQLLALVSELRLLRERERGAREELRDAGQKWEEAQEEHRRETRELRAEVAARDDALRKLEARIKLLENENELLEKNENNLKENMDGLLQSREAFIKHYEAEKEVAAVKHVLGEVHCLVGEKENVVATLKDKVQRFSVIEKDVVDLLVKDDVIERLTSEKQSCALPSLEPAAANTRTADCLPEPKGDIVMVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Dexi3B01G0027790.1:cds pep primary_assembly:Fonio_CM05836:3B:23501901:23503027:1 gene:Dexi3B01G0027790 transcript:Dexi3B01G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKRDVVLRLGGAPGAAVRVVQLDSGFPVGSCINGEVIQNPAFVDFFTNHLDWAVFENELKWYWTEAQRGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGDVQQWIKDIPADDRDQLMAVVQERIRGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAAQLDPGAALFVNDYNVECGNDPNATPEKYIGLVRDLQRGGAQVGGIGLQGHVTNPVGEVICDALDKLAATDLPVWITELDVGEPDEALRADDLEVVLREAYAHPAVQGVVLWGFMQGHMWRQDAALVNADGTVNEAGQRFVELRREWSSDARGRLDGNGQFKFRGFHGTYVAQVTTATGKMLKAFTVDKGDSALVLDMDI >Dexi5A01G0009330.1:cds pep primary_assembly:Fonio_CM05836:5A:7017794:7018679:-1 gene:Dexi5A01G0009330 transcript:Dexi5A01G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPPVLLLLFLLLPITTNGTVTLSITNRCSYTMWPAAVPVGGGVQLNPGESWILHMPTGTTTGRVWPRTGCTFDAAGSGSCQTGDCGGMLNCTQYGQPPQTIAEFSLGASGQQTDYFDISLVDGFNVPMDFLPAPTNGQGGKGCSKGPRCETNITSQCPTTLKAPGGCNNACSVFKQDIYCCTGSSSSNCGPTNYSEVFKRICPDAYSYPEDDSTSTFTCPTGTNYQVVFCPPTNMSALSLPPDANPPAPIATEPPAANPIAPIPTEPKHKNSSSYARRRAV >Dexi2A01G0007020.1:cds pep primary_assembly:Fonio_CM05836:2A:6754521:6756140:1 gene:Dexi2A01G0007020 transcript:Dexi2A01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVLADVPPPWRRRLILAGQLPPEGMKLVSPKARSQKRALVEEDFEVSLEKFEVESEVESDNEVQIFASKGSVVTRVDVDGSDERKKRKQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNTPEEAAKAYDAEARRIRGKKAKVNFPDEASVTLEKLIMNNMTNSNPEPFMENEEMSFSSFVNADASIQETSVNQSSVEGSNFLSSSDTSMQNGIRDEITSIVAHVPTLTEVDEHALLQDNTGAVAALVTGDASVDLHEFNQYMNFQMDRSDESINTFLGSDDELEDVGCNMGLWNFDDMPGDVVI >Dexi9A01G0029560.1:cds pep primary_assembly:Fonio_CM05836:9A:34502452:34506583:-1 gene:Dexi9A01G0029560 transcript:Dexi9A01G0029560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIVASQGALLAGAPLADLRRRLPLSPPPPPPQTSEIVDLDWDNLGFGIVQTDYMYIAKCGTDGNFSEGEMVPFGPIALNPSSGVLNYGQGLFEGLKAYRKPDGSILLFRPEENALRMRTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPSGKGSLYLRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVIKGNVISTPAIKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRVEYGHQGVGVVAQQLYTSLTSLQMGKTEDWMGWTVQLN >Dexi5A01G0002220.1:cds pep primary_assembly:Fonio_CM05836:5A:1582000:1582500:1 gene:Dexi5A01G0002220 transcript:Dexi5A01G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIEAHREGAEVYHGAALCAEKSTELLAEAHLPLGLLPLAEMEEVGYNPATGFVWLRQKKAITHTFKQIGRQVSYAAEVTAFVEDRRMKRMTGVKTKELLIWVTLCDMFVEKDDHSKITFKTPTGLGRTFPVAAFAKEGDDGKPSAKDAPAAATAAAAAVSGK >Dexi1A01G0014730.1:cds pep primary_assembly:Fonio_CM05836:1A:21415677:21418620:1 gene:Dexi1A01G0014730 transcript:Dexi1A01G0014730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREAAAELTTLTKIRKRCAVSPSSGASPERQRRRALRLRRSVRLIGGGHRRVGFAGGGSGGASPCEKKKKRMSESSWNRHCRHAAGDAETRSAASARKLVSALWQLNKGDDGALEEEEEEGIGWDAAAARRSSDHRRSASLEISRRKSRALKDDEEQRSWHNGHAHGQWFSDVMSNGGAVEGRTPARPGDRASQVQDLHNSLTASTELVRVLANVLGPTGALSPTAASLLAALRSELDAARRRARRLAKHRAAGEEEDEHHHHQLRRQLEEEVRAWKARHREKAAAAARLVASELDGERRSRRRAERVGKKLAEALADAEASLRAATRELEREKAARERLEKVCDELARGVAGVEEEEVLRREVDAAREEIEREREMLHVADELREERVRMKLAEARIQFEEKNAAVDRLRQELEACLGKNNSSKERHESTDHHDEHRRSLQLVLASEFGVDGIDRVATDKTTAAARAEDDGGDGEADDGSEGSDIELNMDGNSWSYMTTSRETMAKNAASVHGGSLSDRGTECGGAERSHGTRDALELHQEWDDGCSDGRASSRDMDEDAERYEAIKNLREQMLAGHGFVFLSQGEGDGDRDRHRQGLMVPHQIEDGGLW >Dexi7B01G0016630.1:cds pep primary_assembly:Fonio_CM05836:7B:22649316:22653333:-1 gene:Dexi7B01G0016630 transcript:Dexi7B01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLPPHRRGGALSGGWQWSFLDVVWAVFLLAVVVFLALVFTPRRGGPLPVARPGDAAAAVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPSGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKHEGSYFIFPGGGTMFPDGAEQYIEKLSHTDDDPDEAWYFKLKKCVCKVSMVEEIAIGSIPKWPDRLSKPSARASLINNGTKLFEVDSQKWVKRVSYYKKSLGVKLGTTHIRNVMDMNAFFGGFAAAIVTDLVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLLHADAIESLISDPISGTNRCDLFDVMLEMDRILRPEGTAVMRGSPDVIEKAAQVAQSIRWNAQVHDSEPESGSTEKILVATKTFWKLPLTSR >Dexi9A01G0036820.1:cds pep primary_assembly:Fonio_CM05836:9A:41151456:41155072:1 gene:Dexi9A01G0036820 transcript:Dexi9A01G0036820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPVPCLEDNYAYLIVDESTKKAAAVDPVEPEKVLKAASDVGANVDCVLTTHHHWDHAGGNEKMRLQVPGIKVFGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTNKEGGDPTVFTGDTLYTVKNLKFMLTLEPENEKMKQKLEWAEKQCEANQPTVPSTIGDEFEINTFMRVDLPEMQAKFGASSPVEALREVRKIKDNWKG >Dexi3A01G0004350.1:cds pep primary_assembly:Fonio_CM05836:3A:2848731:2849954:-1 gene:Dexi3A01G0004350 transcript:Dexi3A01G0004350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGGGGGGPPSSSNNSGGTNTAAGGASGGRGGDHQHPFYYTGPAAPNSAVAQQQASPFAGALTITPVPEQAQPSSADKKALVPVAAPSAGPVVAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAILAATGTGTIPANYSSLNISIRSGAAGANPARAAPFPALALHPHHHQGGPGPHDMSAMMGYHHHLLPPPQQDPNAGDAYMRKRYREDLFKEDDDRQDPSAPKAREQQAAATPPPPPSAAMWAVGPNAAAPSGGFWMLPVSASSAAAARPAEQPMWSFSAGAGGNATVQAPLQFMSRASYPSSAGGGAGGMSDTNIGMLAALNAYNRGGSEDQQQQQQQPEGEQQHGGDGAGNDEEDGDDSGEENHGNNNSSQ >Dexi2B01G0024610.1:cds pep primary_assembly:Fonio_CM05836:2B:34009873:34012456:-1 gene:Dexi2B01G0024610 transcript:Dexi2B01G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITNRRLWSKPQMDWLMLRTTVGHGTPDKSRYESQPQRGSPWMSYDLRLCSARLVIVPPVHGTDTSSNVVRTSSDDCCLGTINHRRWPRSLQFLSSRVELSRHLCGRNRFSANPLVDNVEWRESDFEHHSLQLLEIVGFAEGYLLEEYIRVVMEHAVCLKRIRLLEQDLCSGCGFEPSRRLIYRSQHTDRWAYPSTESEKDETRSILLERSSSPVKIVIG >Dexi9B01G0046410.1:cds pep primary_assembly:Fonio_CM05836:9B:45633349:45636852:1 gene:Dexi9B01G0046410 transcript:Dexi9B01G0046410.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGSPRTSPRPSPSQIPKALYRSHSAAAPPGPLPAASPIPPGRLAAAAAEMGFVSFVGRVLFVAAFLLSAYQEFNEFGTDGGPAAKALQPKFNVFVKNISAHLGVAVPHIEMKHVIGATIALKGLGGLLFILSSSLGAYLLLLYLAFITPIVHDFYNYDMEKAEFAQIFGKFAQDLALIGALLFFLGMKNSIPKRQAKKKASKAKTN >Dexi5B01G0016730.1:cds pep primary_assembly:Fonio_CM05836:5B:16982497:16984941:-1 gene:Dexi5B01G0016730 transcript:Dexi5B01G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATAAEAEAALGRSMTWAEAAWYQYSAAMPDSWLHCHTTFILFVIYTITPLPLVILEQFAPSVVLPYKLQPRVRLPLAASLRCYVEAACFFPLAVGLQLVSYPAAVKVLRTRMGLPLPSVRETVAQLVVYSLLEDYLSYWIHRLLHTQWGYEKIHRVHHEFTAPTGFAMSYSHWAENLLLSIPALSGPVIVPCHITTQWLWFSFRLIEGINTHSGYHFPFSPSKLIPFYGGAAYHDYHHYAGGRSQSNFAPLFTYCDYIYKTDKGYRYHKLKQAKLKSLEEDNVEKKGGSDAFSTGKKD >Dexi2B01G0034730.1:cds pep primary_assembly:Fonio_CM05836:2B:41972618:41972862:-1 gene:Dexi2B01G0034730 transcript:Dexi2B01G0034730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRAERSYGDKVSLASSTWNWHWSPTSKTKLLPLSGGGLPRGKVWLWRVAALLVIMVIIVVAHGEEDEAAA >Dexi9A01G0025140.1:cds pep primary_assembly:Fonio_CM05836:9A:22998612:22998869:-1 gene:Dexi9A01G0025140 transcript:Dexi9A01G0025140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILHGDFSDQHALDFVMSLVRPLCTPDHYARIQQQLEAYKRNRWVWIAVHRFFYGNFKTIVTVLSILGVLVDIFKTPPFPKQD >Dexi9B01G0024880.1:cds pep primary_assembly:Fonio_CM05836:9B:23716713:23728762:-1 gene:Dexi9B01G0024880 transcript:Dexi9B01G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISASLCDLAATVHNLSTKFDDLTVRVERLSSLAPVATQLAPLLEKVLSLQATAFKSTEQVRSLNLALIRLESKQREGKAPAREDDAAENSANGPRTASEENPHIGGDWRLPWCRRLSRFRCGNLSAIGPKLWRYYDFGPKVVPPLVCIPGIAGTADVYCKQIMSLCMKVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLMLNVNVSSVGSLMLPDSLITIMDTNDYSAVPQQLKDQLSERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVKPRPDLVQGFTGNGSAGNSMDQKDGGDSFNDSPGDSGHRRSAGSDHDARYWGSESHDSDEPIPTSTMY >Dexi7A01G0013660.1:cds pep primary_assembly:Fonio_CM05836:7A:23653611:23658448:1 gene:Dexi7A01G0013660 transcript:Dexi7A01G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIPNPPNTRIAVVTGGNKGIGLEVCRQLASAGITVVLTARDETRGAAAVEKIREAGLSDIIFHQLEITDAPSIARLAEFLKARFGKLDILVNNAAVGAVEYGQDPADSSPTREEKFSGMDKRQRLEWLEKGVRETYDAAKEGVKTNYHGTKHLINNEELKQELNDVEKLTEERLDEVLDTFLSDFKAGEVEARGWPKYFSAYKVSKVTMNAYTRILARMHPELRVNCAHPGYVSTDMTIQSGILTPEEGGSRVVRVALLPEGGITGVILCGLTLVVKFTKRASSKGISASSILLLEFVVHRLMAAAISSPPSARVAVVTGGNKGIGLEVCRQLASNGITVVLTARDEARGAAAVEKLTESGLSHVIFHQLEVTDAQSIARLAGFLKERFGKLDILVLPAVQDVDSKTSDEMFSGMDARQRAEWMWANCRETCDAAKAGIQTNYYGTKNVTEALLPLLQASSDGRIVNVSSDFGLLSLFRNEQLKQELNDVEKLTEGRLDELLAMFLEDFEAGEAEARGWPMYFSAYKVAKAAMNAYSRILARKHPELRINCAHPGYVKTDLTLHSGLLTPEEGASNVVKVALLPEGAGPTGVFFALGLEAPFE >Dexi5B01G0024090.1:cds pep primary_assembly:Fonio_CM05836:5B:26208716:26210900:1 gene:Dexi5B01G0024090 transcript:Dexi5B01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISRTPARRRRRDGGGGGDSSSAAQEEDGTEVVRLRTRRGNEIVGVYVRNARASATVLYSHGNAADLGQMYGLFVELSHRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKRTFWFDIYKVYSDLLFP >Dexi9A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:9A:7359278:7360214:-1 gene:Dexi9A01G0011750 transcript:Dexi9A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSWRLAVAGVVLVVVCAAVFLAPPADALDIGIQSAGDGVSKQQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGEAPCDALDACCMHHDNCVQAKKDYLSTGCNEALLDCLARLREGTSTFEGNKCMIDEVIDVITVVIEAAVVAGRVLHKP >DexiUA01G0025700.1:cds pep primary_assembly:Fonio_CM05836:UA:54052307:54053284:-1 gene:DexiUA01G0025700 transcript:DexiUA01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYQSEMPGPFKEERLTPRLADTARTLWVTYLALGLVCTLAYWLAGMPFFDAVCHGLSTVSLGGFSTRSESIGFYDSHAIELVAGAFSLLSAFNFTLWYVVIARRTLKPIRRSPEMKFFLGAATVIIAITAWQVWHAGMYNGTDSLVHAFFLASSMMTDNGLSTADYAHWPPHTIFLLLSASFFGGCVGSTCGGIKALRFLIMFKQCIQEMNQLAHPRALLSIKVGKSVVNERVLRSVWSFFFLYVMITGFFVWALNLLGYDLFTSFATVAACINNMGLGFGETASTFGTLKDEAKLLMCAAMIMGRLEIYPVLILCSRFFWRA >Dexi5A01G0011050.1:cds pep primary_assembly:Fonio_CM05836:5A:8297041:8300861:1 gene:Dexi5A01G0011050 transcript:Dexi5A01G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPSSLSKPFLSLFFLLLRKLAGRRHPRGGRMAATTKPIPPPPPLPSLLDKLHRQTLIVDVDSWILRSPVCAFSYFMLVAVEAGGFLRGLLLLLLYPLLCLLGVGDDGARAARAMATVALVGLEENEVARVGRAVLPKFFLEAAAAEGVAAVSAAARVVAVSATFPRVMVDAFLREYVGVDTVVGVEVRSVGGFLAGLVDDEDAAEMAAKRLRGLFGDELEVVAGKKKELSGNGGAVGLVGAASSAPMNITYNVHPFSVWMQETFAVSEADTRGWRPLPRESYPRPLIFHDGRLAFLPTPSAALAMYTFLPFAVALVAFRTVAFSFLPYRLCFPVGAFTGMHYRLVAGHVPLAAGAGEEGRLYVCNHRTLLDPIIVAAALRRPVTAVTYSLSPVSELIAPIRTARLTRDRAKDQRNMASLLARGDLVVCPEGTTCREEYLLRLSPLFAELGADVNPVALDTRVGMFYGTSTKPGAKWMDPFYFMMNPRPAYRVEFLPSAAAAASERGDDSIEVANRVQRELGRALGFELTGLTRKDKYMTLAGNEGVVMPAAPKERHGRRD >Dexi9A01G0048930.1:cds pep primary_assembly:Fonio_CM05836:9A:51681147:51682931:1 gene:Dexi9A01G0048930 transcript:Dexi9A01G0048930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNRKRRAPAMEPAARGAGQQRQNKAPAGKKAKKGGGSGGGWPAVKPKKDLQINRLKGTHLLTIPDFFTSAEAKAFIDVAESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQAIWESGINRIFTDINVSGKVATGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSKTYYTLLIYLSGKGSAKDSSGQALVGGETVFYDHRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVVFS >Dexi3B01G0003860.1:cds pep primary_assembly:Fonio_CM05836:3B:2628329:2633282:-1 gene:Dexi3B01G0003860 transcript:Dexi3B01G0003860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLVGGGVQDSPRAAAKRVSPASWRVDTAAAEAVEGAGAKGPVICFRPPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISAMCEEGGNKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASAVMLKFELIYAPTLDNGSELQASSVASSAAVHEFRIPRRALLGLHSYCPVHFDAFHSVLVDLTLHLVYLKAGATKSSLKVHRVNKTKILDYLHDVWAIDRKAEWSIWTVHSKIEIPHRYLRSMNDESSHRHSLLRVSGSRKFHDDPAQNSASRADLHRKSIAQMKGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGSRLAGEVVAFLKKKVDKLSKYGGCKELKLSFVGHSIGNIIIRSALAEPALQPYLKNLCTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYRLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDTSKKGQVFTEMLNNCLDQIRAPSSDTRIFMRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDLYAKFIMWSFPDLFR >Dexi8A01G0004060.1:cds pep primary_assembly:Fonio_CM05836:8A:3191973:3193280:1 gene:Dexi8A01G0004060 transcript:Dexi8A01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDFQGSTTPSHTHSSPFSSFGRSLLSLRRDTPASSAAAAAAAMSTPGVEPEMMEEFHCHVAAHLADLKPSGGGGGGEGAEEEEEFMSIAWIRRLLEAFLLCQGEFRVLVAEARRRGALPAPAEKQVAEFWERAVKALDVCNAARDGVDQARRWERLAGIAASVLLAPPEGEIHEGQLRRARKALSDLSALLVDDAAAAATAGGGGAVASFLASHRNRSFGRARASPSRASSSSSSSSSSSSHFRSLSWSVSRNWSAARQLQAIGAGLAAPRGNEALGLAAPAYAMGCLLHLAAWALVAAVPCPDRGGALQANHLPAAPPRVAFPWAPPLLALQERLAEEGKRKDRHNSEIHALEKCVQRLAEAIDAAPVPLTGERESEVREAAAELAAVCATMKDGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >Dexi3A01G0010800.1:cds pep primary_assembly:Fonio_CM05836:3A:7721909:7723014:1 gene:Dexi3A01G0010800 transcript:Dexi3A01G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEPSTSNSSGSMQGTGSNNPPVTASSSASRTTPSPVHSTTSFPSTSLILPGLYGTRASPSARRITAAGSAPAAVEEGSPCVHAMRVLALAVDVGEALSLPRARVLAAYGECYRRHGFRGEGGRDGFELAPCSGTRGYSAHPTYRCLVRFLALFDTRSHRLLGSKRSWLASAAGTERPWEINVDMPAMALTFTVSRRDTI >Dexi9B01G0001420.1:cds pep primary_assembly:Fonio_CM05836:9B:828289:831718:-1 gene:Dexi9B01G0001420 transcript:Dexi9B01G0001420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASATVVAMAKGDGKGKGVRGGASAGTNKVTQPPRITSNVKHNLRVLKFWKEYERRQTSGPQPATRYRKKKVIKEDLPDDAGIYEDPSSTLQMTNEGLEIASPVILVDGYNVCGYWGKLKKDFLNGRQEIARQMLIDELVSFSAVRGMCHRIKSVIRFKKCLEIKVVVVFDAANSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLIKEIKESEKELDLELKATRSTSLQGKLLQHKLNPKVVHALKGLRNKLEEQERRKK >Dexi9B01G0010320.1:cds pep primary_assembly:Fonio_CM05836:9B:6426905:6432076:-1 gene:Dexi9B01G0010320 transcript:Dexi9B01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVPNGVKAEAPAAAATAGSPKSVLEDENQKNSEPNNGNASLATEPVKQDEECSDDFVDARSSLHVDPEINSASVLPAIKAIKNEEQLLEAMKEEKVDFVDEGLPIELEAKNGDASLITEAMKKEEEQLEEARIKAEEEEEARRREETARLALDPEARYSKLDELLTKTQLFSEFLLEKMDQIADEVVEHQAEEPPAEEKKKGRGRKRKANTAPQYNDKRAKTAVAAMLTRSREEHLADNCALSEEERLQKEQANLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYMIIAPLSTLSNWVNEIARFVPSVTSIIYHGDKVARAEIRRKFMPKSKTVSPDFPIVVTSYEMAMSDARFLAHHSWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWYYHRIITGCNLGGLLALFDFSAKGSEENEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTELQKRIQGHLVTKTFDVYLNEESDIVLQKPGIKAKLNSLFIQLRKNCSHPDLLEAAFGTTSLYPPVDKLLEQCGKFQLLDRLLTSLLARKHKVLIFSQWTKVLDILEYYLDAKGLQVCRIDGGVKLEERRRQIAEFNDVNSSMNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLKLEHVVIGKGQFEQERAKPNVLEEGELLALLRDEQGEEDRMIQTNISDENLLKLMDRSDLTGPPGAPDAAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >Dexi1B01G0026300.1:cds pep primary_assembly:Fonio_CM05836:1B:31179378:31183109:-1 gene:Dexi1B01G0026300 transcript:Dexi1B01G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPPPPLPLLPDFNPGVRRFLDARFSSAADLATAADVEAEIRGRCAELEALVSDLSVRILEAATAYSSCREAAGSALRGVGDELRALKASISSGAGEEVEGETEQMQFEQLPALASEVARMEMVREYAEMALKLDSLVGDVEDAVSSSVTGKLKSVGNNSERTHHSAIGYLRNIEDLLALVTTTRPQWTHLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPSLSGSKFSSIDSGKQAEIVNPLFSMTGDLKSKYSESFLSLCNLQELQKRRKARQLKGHNLGNQLRQPLWVIEELVNPISAAAQRHFSKWDEKPEFVFALAYKMIRDFVDSMDEILQPLVDKAKLIGYSCREEWISGLVIALSTYLAKEIFPKQIELLQESSSSDAGCTPYQARVSWLSLVDLMISFDKRTQDLMSGTGLLLTVKDDENWQRISVLSVFCDRADWLEVWAEIERQETVDKLKSAMESEKNWSARIEGAMVEYESDDYKSPAITTAVQQSLSLLIDRARPIPSVTLRAEFIRLSASPIISEFLGYMLRRCQEAEGLTALADDSALLKVSQSINAARYFESTLTEWCEDVFFIEMENSSANGEGDCIFQQEINHLKEFRVEWVDKITTVILRAFDSRSRDYLKNKRQWLEKSEGPAVSRAFVECLDYMQGQLSKLEGGLNPRDFVTVWRSVASGVDQLLFAGILAGGTKISCGGVQKLEGDLSVLFAVFSAWCRRPEGFFPRMSEGLRLLKVDKQQLGDVVFTDESWLRQHGIRHLTAAETEKIIKNRVHEA >Dexi5B01G0009470.1:cds pep primary_assembly:Fonio_CM05836:5B:6500466:6500825:-1 gene:Dexi5B01G0009470 transcript:Dexi5B01G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMTLLFPVAVHGCEPSCSNPAPPPPPAVPTPSGATCPIGTADLSVCVDLLDSLLHIGLNIAPSQQCCSLLQPLASADAALCVCGVIKVLNLAVPVNVNILLNKCGLPCPPGFTCPLY >Dexi2A01G0021200.1:cds pep primary_assembly:Fonio_CM05836:2A:33329171:33329599:1 gene:Dexi2A01G0021200 transcript:Dexi2A01G0021200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRCLEGKPQRCETSTARGRAESRQDTLEDILYSPQSIEAEAVGAPSPRRHSPKVCPLNMDCYYENNSPNVRESFSIDRVSLRSQNSIARRVSFRLPDESDVFIIPARKDPDEYSTDDESTEDASQETDQKKPHYIITRYY >Dexi1A01G0012430.1:cds pep primary_assembly:Fonio_CM05836:1A:12399895:12406891:-1 gene:Dexi1A01G0012430 transcript:Dexi1A01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVISLFFYAATPDSPRESRGKSSRGRGKAGYSSGSDDPSDDLGEDDDALNQMNTTRESTVGISRLSRVSSQFLPPDASRKVQVPLGNYDLRYSFLSQRGYYPESLDKANQDSYCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDYRFRTDAVLALHSAFVATNSQLHADTLDDSMSGTTAITILVRGKTIYVANTGDSRAVIAEKRGDDIVAVDLSIDQTPYRLDELERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSLGDSVAESIGVIADPEIFVLDLNSKNPFFVLASDGVFEFLSSQTVVDMAHIERVLHDHFLFRKLTDSQCHVLLDCMQRVEVKPGDIVVQQGGEGDCFYVVGSGEYEVLAIQNDDVSALYVIRRGRVRLILAADQMNSDTWDLISAQTKQAQSILENVGDVTCSTITKESFDTIIGPLPKLSQADSRIKESLVTKENVADDDFPYRRVQLSNLEWKMCIYAAECSEIGLVKVKGTASVVVALEGLHQKSILYRGVSADTLMLDRSGHLQLVDFRFAKKMEGERTYTICGIADSLAPEIVLGRGHGYPADWWALGVLIYFMLQSDMPFGSWRESELEPVTKIAKGHLVMPSTFSAEVCDLITKLLVVDENVRLGTSGAEAVKKHLWFDGIEWEQIASRTYAVPDEITERISSCIETLNEDLTASPSVPIEDPDNLTAPEWIQDW >Dexi3A01G0028420.1:cds pep primary_assembly:Fonio_CM05836:3A:29114154:29121282:1 gene:Dexi3A01G0028420 transcript:Dexi3A01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAVSPRGRQALRFLCPKRSLLSPPSASPLRWLIGAPRVLPPFTVAAALRPLHGDHAAPDLPREAGNEGSDADAARALELARALRERLLGVAASHGMVGGCMDASSGEIRFVASESGGRDAAEGLEVVWEDDPGTLLWEKGCLLRCELPLKLPLYVPADEISGIEERFSSLVESTAAKLRNPIVSFLVEGLVTSDESRHSIILHGSNLCSDSHIPGKSRTKECTASCSEFFPEKRHNLLLTGENADAIEITILSNQSVCGTTPVIDYFPAPAPVSLRVINLKLDILCYSSIDSPVGVAISELVIPGLTDQMSVMKKIIASEITQQAQVLHCFEMSTEKFHLVVVSVCSISYLSGGIMSLIDGSYEYYHYLHDGIDDNVMS >Dexi2A01G0013830.1:cds pep primary_assembly:Fonio_CM05836:2A:22614812:22615079:-1 gene:Dexi2A01G0013830 transcript:Dexi2A01G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGKLRVHELGTGHKEREEGYEFRRAGHFGEQPAGVARAAEEEVERQEAVGQLSGAGDEALETREAYVEEEAASQGGE >Dexi5B01G0008140.1:cds pep primary_assembly:Fonio_CM05836:5B:5467158:5467760:-1 gene:Dexi5B01G0008140 transcript:Dexi5B01G0008140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPVYSVSLAIFLFILLPTFSSSSCPSQLKCDGTDPIDIRPPFFVGNQGLDPTCRKSINVSCGQLGPELDLAIDDTNLLLKEIQYDSNTVVVQDVGLSVLKNLSCSFIFTFRPPVANFQSSYQNLERWFSSISCNHSNVTVFHSIFGDDKEVHQSIEPDERGLASCHASPRFEWILSSVRLEMLGKSPSSICRCSQEA >Dexi9B01G0036550.1:cds pep primary_assembly:Fonio_CM05836:9B:38035133:38035898:1 gene:Dexi9B01G0036550 transcript:Dexi9B01G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTAETFPHAGPSATSVPGEEEAGAGAEAAATTPRRLRCGSCIAADRGLGRRSGRRGGAWEAGGLPDEGASCRAMACSALVGLAAGLGEEERAERRRLGGGRVVAGREEAVEASRRRRELPRHGLLGSGGARRGARAQGSWLRRRGARVEWMMSGPVDAVRSC >Dexi6B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:6B:20726166:20727634:-1 gene:Dexi6B01G0012990 transcript:Dexi6B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQDLSQEFFRLRSSLRAKQQHASLLDFRDFDRASFDVEEAADSSDQSFLKEQETIIRSTGQARFSCYHYCFVFAFILNGNDIQIEAILQMDNVISQANAALGALMSQRSTFGGITTKIGSVGSRLPMINQILSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >Dexi9B01G0031800.1:cds pep primary_assembly:Fonio_CM05836:9B:34087911:34088812:-1 gene:Dexi9B01G0031800 transcript:Dexi9B01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIIGDGKNNDDFVYVENVVHAHICAERTLRTKEGAKISGGKAYFVSNMEQMNLWDFNYMVREELGYKRPFQIRIPLFVILLISYVMEWMYKLLSHYGMRQPILTPAMIKYATLNRTFSCNKAVEELGYKPIVTLKVN >Dexi5A01G0004990.1:cds pep primary_assembly:Fonio_CM05836:5A:3794918:3795634:-1 gene:Dexi5A01G0004990 transcript:Dexi5A01G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSNSKTLHKRSDTVTSTGEFAPVPDPIRKLWPQHNPMEKEAAAAVSQPPGVEKDTQLGQPDQAAMVEKDTPPSGGGGGGGGGGTSALRQQKTDWTPEEREAANEFLRVALDEYDVYEAMSEDEIQEEYRRAGKLHKYDPEMELQKRWYRAAKKHPPPPGYFPLLEQDFKLQKKKKTTRSRSAGSGHVFGQRIADSGRYSGR >Dexi7B01G0009940.1:cds pep primary_assembly:Fonio_CM05836:7B:17372720:17376474:-1 gene:Dexi7B01G0009940 transcript:Dexi7B01G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAAPSAATAAARARSAAPSSPAPAFARVPATPRFASGALSARGGKVASPVAVGAAPAAAAPVADLDGRPATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKSPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFVKFIEELEKQRS >Dexi3A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:3A:1907105:1907646:1 gene:Dexi3A01G0002890 transcript:Dexi3A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDALRARSQLLGGAAGGARRVVVEPPAAPHGDRLRGHLAADDSLTIYFNDVLYHNRMVEATHQQARRDMATQTEETIVVPEFLDDGGGGGGCSGTTPASAAAVAVSRRGDTTAAVAQVMVTTTAAAALRCAICIGEFEVGDDLSVMPCSHVFHEGCIAKWLARSRLCPCCRHALPD >Dexi3B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:3B:8167719:8175952:-1 gene:Dexi3B01G0011640 transcript:Dexi3B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAAGTGGDVSADGLIAELLDMGFDFDDISAAVGAIGPRRAEVLNVLLGGPVAVAGAGQARRGGGALSRKSLCFQIPALLTTKIVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMAGLYKIVYVCPETILRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFTSSKLKFLKHDIPLMALTATATIPVRGDIVKSLKMSEDTEIVLTSFFRPNLRFTVKHSRTSASSYGKDFQELIGTYKRKFKGKEQQILHGIEPDSESSSYESLNDSASDDEYANADSGSCGDKNVGKPKTGMSLVKENAENELDLYPGVDDFDVSCGEFLECLQHEGSAFPAQSDKTSSSESLDQGPTIIYVPTRKETVELANFLCKSGLKAAAYNAKMPKSHLRQVHQQFHCNDLEVVVATIAFGMGIDKSNVRRIIHYGLPQSLEAYYQEAGRAGRDGKLSDCILYCNFLRAPSLLPNKRSEEQTKAAYRMLRDCFHYALNTSTCRAKILVKYFGEEFGPGGCRMCDICINGPPQMHDLKEAAIVFMNVLQGQSFPRFATTDRMWWQGLARILEGMGYIHEAAETPRISIQHPELTEDGLKFLSSRSSEEPFYAHPDAAMVLAANDPRPLSNSSEWGRGWADPEIRRQRLAGRKTTGRRKRMRHSASSGQQPTGFTTARERLAAILSKKRRR >Dexi9A01G0046220.1:cds pep primary_assembly:Fonio_CM05836:9A:49608603:49610717:1 gene:Dexi9A01G0046220 transcript:Dexi9A01G0046220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGHSSDDDSDHLPFEGYRKLKVGHDSESEIHISDSDDDAGEARGANENSSRDVQHQPMISSAKQPVNTVRDFDGQSSGTKVAKSLDRAIGHGLDEINWSQINANASDSSINMQSNGMPEQVYAEHPKEKRSPYEEVRNDITASANAGTSSSVDSHVNHNNSMKNASVSRGYHKSPRLSEIISARDTNSKTNEEVKTFLSQLSSARGFDGPFSDMTTSPRVNTQIDDYRQYDATGMAPFLDRNSSNLEPFDVNATSEDEGESSIESLKQQAEVNRKKMSLLYKELEAERSASAVAASEAMAMINRLQEEKAGMHMEALQYLRMMEEQADHDQEAIEKLNDLLTEREKELLDLEAELEGYRSRLHDEPFDVGNFSAIDGSMPFGVLDGSDFMRHTMFDFEDEKTRILDSLHRLEETLGMSSTNRLFLGSINDTLQNGPLRDDALLLPSAGNLHG >DexiUA01G0017780.1:cds pep primary_assembly:Fonio_CM05836:UA:37714530:37715423:-1 gene:DexiUA01G0017780 transcript:DexiUA01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALADLASYPNDVSFSDCLGWEGFPSILWSVLQALDYPTPPQYERTIIRDRGVVRSRVRLVVYRHPPCPSSPTWTVEVHGHHMETTCELAALNGISSFVAQNQELVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAENPVAAAALMMRWMRAYHRLQALLRRSQSEMLNIAMDMSARARDIGVERTSLSIEVTTRVAMIADLERQLNDLHIAHNNTQNELAQTRDELEEVHGTLEHANAMLAAHDAQHLLDQQGGDVDGEGEAPDSDMDTEDDMPPLPAPPSPMGSEATANNLDDF >Dexi3A01G0032400.1:cds pep primary_assembly:Fonio_CM05836:3A:37314197:37315955:1 gene:Dexi3A01G0032400 transcript:Dexi3A01G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALIADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANTLLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAVKET >Dexi5A01G0031410.1:cds pep primary_assembly:Fonio_CM05836:5A:34087014:34090676:-1 gene:Dexi5A01G0031410 transcript:Dexi5A01G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLISPCALTAKLGVGHATYGPGRRANVRRRGRLSVVAVQTGPQKPSPSSSSSQAAAAAEDEADALQKLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLEFRLAAYRRFLTMVEPTWSDNEYAPVDLQSICYYSAPKSKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPELVKRYLGSIVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDCQQADTGTKMIHRGKNSRSRIISKGISAGKSRNCYRGLVQMNSTAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGVDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >Dexi1A01G0003770.1:cds pep primary_assembly:Fonio_CM05836:1A:2754968:2757298:1 gene:Dexi1A01G0003770 transcript:Dexi1A01G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTFHLAPDLPPVSRLCFGTMTMGEQSGEASSLRLLDAAFDAGVNFFDSAEMYPVPQRRETHGRSEEILGRWLRAHRTPRDHVVVATKVAGPSGQMTWIRGGPTSLDSHNITAAIDDSYVPMFGEIEYDPSCQYTSVPMEQQLGALGRAVDTGKIRYIGLSNETPYGLMKFLQLSKDFQLRSKLLTVQNSYNLLCRSFDSGLAECCHHERISLLAYSPMAMGILSGKYHSDEPCPPDARMNLFKGRYSEGESRYKLQSPKVKAAVKEYTQISVKYGISPATLAIAYVLRHPLVASAVFGANKLWQLYEVLQATRVHLPEEIIVEINDVHARYPNPCP >Dexi9A01G0018710.1:cds pep primary_assembly:Fonio_CM05836:9A:13754360:13760826:-1 gene:Dexi9A01G0018710 transcript:Dexi9A01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHASQFTREELPQRSTGAVLRRRAGAGGHDETGGVDPNSQAVAWGGMTGGNGRTRGSWPRRTPRRRKGTGTTCPKCAELKLPREGAAFCPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARG >Dexi2A01G0026130.1:cds pep primary_assembly:Fonio_CM05836:2A:37700865:37706088:-1 gene:Dexi2A01G0026130 transcript:Dexi2A01G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRGGGDLTRSFRKCRRDCKTDWVSGRLRDGDQDVCTKQSDWFKSDFCRNVIQVRHEGKEVYMGFLAEYDLDHNFAVVNVRTFLDVHVGMFQRALESVPCGDAFVVCRGVSGELMARSLVLGGDLRISKDDEDLDSSSEAGIGGPLVTLDGDVLGMNFYDKKIGTPFLLWKSIHKILATFIGKSDPSGVALWKMDEDAARLNRWPVPMPCWCLRDYVDEDRSDDDDDVMDPKYGYVEKFFHLA >Dexi5A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:5A:8794797:8797687:-1 gene:Dexi5A01G0011680 transcript:Dexi5A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYCKMEALRNMNLPPGFGFYPSDTELIGHYLKRKILGQKIEHDLIPEVDIYKHEPWDLPAKCNFPIEDNKWHFFASRDRKYPTGSRSNRATVAGYWKSTGKDRPIKQNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDEKECQVSPDMKDAFVLCRVTKRNDWALENGNGNKVGNDTDVENRNPNPQQPNSAVTSVVVSAVKPEDAAASVICAEDPNQVATPAGSAQLSNDVAMAAITSDTASPNGSNEVDLDALLEEMLDSSPSFNSVPDIGSSVPFVTEQYAESSNPGSVVPKIGPDHASPMKDRTDDYLFFDDIPDDLRSLLFPGSDDFSSCIFGPAGQAEAFATNQTYCLMEESSFARPNNSEDGTPKDELQLEQENNNPNMSNGNSDNGIIIRRRSVTSSTANISPVFGGFKMQVGIKKMVTSNSEYINQTMKFADNSGRCLDSEHQKEHANDATSVKQSGASKLSEAPSQGYPRGMKKAFRCSSVLFAVFVAVAAAVALHYHRSDVSL >Dexi2A01G0030120.1:cds pep primary_assembly:Fonio_CM05836:2A:41119416:41121320:1 gene:Dexi2A01G0030120 transcript:Dexi2A01G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNAGAGKDYPGKLTMFVLFACIVAATGGLIFGYDLLTLFTSSLYLAAFVASFFAATVTRVAGRKWSMFGGGITFLVGAALNSTAKDVAMLIVGRVLLGIGVGFNNQSVPLYLSEMAPARLRGMLNIGFQLMLTIGILGANLINYGTSKIHGGWGWRVSLALAAVPASTITVGALFLPDTPNSLITRGHTDAAKRMLKRLRGTDDVDEEYNDMVAASEESKLVAHPWRNILQPRYRPQLVMAIAIPMFLQFTGINVIMFYAPVLFKTLGFGDGASLMSAVITGLVNVFATFVSIVTVDRLGRRKLLLQGGAQMFACQIVVGGLIGAKFGFTGVAEIPKAYAVVVVLFVCAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLCTFVIAQASLPMLCRFKFVMFFFFGAWLVAMTIFVALFLPETKNVPMEQIVLVWKSHWYWRRFIRDEDVHVGADLEMQ >Dexi9B01G0016830.1:cds pep primary_assembly:Fonio_CM05836:9B:11558404:11559754:-1 gene:Dexi9B01G0016830 transcript:Dexi9B01G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRLGGTAAAVRRHHRRQPGRAGFVVTCRSTSTILRTAAPAASAPAAVEQQSKEMGLPTWAEFELGRAPVYWKTSNGLPPSPGEGLTLFYNPAASKLSPNDVFGVAFNGGFNQPIMCGGEPRQMTLQVRGSADPPIYTIRIRVPQHAMSLIFSFTNGTDWDGPYTLQFKVPKQWQNKPPSFFNEGLADELNREGACDRAIYPDENIAITSCALAGYYEEGGDRCKLDIVTGCMDPNSDMYDPMAVVDDGSCPLESDSEE >Dexi9A01G0029220.1:cds pep primary_assembly:Fonio_CM05836:9A:34060824:34061141:1 gene:Dexi9A01G0029220 transcript:Dexi9A01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYPFAPPPASRVTWRLRGPWSGGGKDIGVGPDRGGGLKVPTRPDDTTIIDDGDWCGDRWWDCGHGACDKDDDHGTMQIGGGRRTRDGDARPWLRWLGSYVWAL >Dexi9B01G0023520.1:cds pep primary_assembly:Fonio_CM05836:9B:18887051:18887335:1 gene:Dexi9B01G0023520 transcript:Dexi9B01G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPDCCWTEAFPIDLIRGAEADCSLQDVLFSVVSLRQVEMVLQQHHGGGPRMAVVFLVW >Dexi6A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:6A:20144825:20146525:-1 gene:Dexi6A01G0013090 transcript:Dexi6A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALKWFWNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAAMCVYSGLFMRYLEKKEPEVQQ >Dexi4B01G0023190.1:cds pep primary_assembly:Fonio_CM05836:4B:24622890:24624117:1 gene:Dexi4B01G0023190 transcript:Dexi4B01G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEEILSLRRDAWDCNAMGDFFSPAAACTAAMDCSFQDRHQPPPTVSVLPTFTASYEQPHPHPAASGFDCLSEVYGAAAAFGVPNAGEYGAEMGFLDVIEPKAPPLGEGGLGVCKVEPGLAEGGGAFGTGAAPPASASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKLLQEEIDEQKQQQEEEETPGLLRVFRELNPNEMVARNTPKFDVERKESGETRVEIYCASKPGLLLSTVSTLENLGLDIQQCVVSCFNDFGMHASCSEMQRERFSADAIKQELFKNAGFGGGCL >Dexi9B01G0047990.1:cds pep primary_assembly:Fonio_CM05836:9B:46967643:46971699:-1 gene:Dexi9B01G0047990 transcript:Dexi9B01G0047990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATPRASLILPRASSHSHSQPSAGRLTSDRVAASRRRRGDFVFVVNPSGANGRTGKQWKQLLPHLRTRLADQCKICECITSGPSDAIDVTREAIKDGADAVIAVGGDGTLHELIPLGTGSDFARTFGWTNDPRDAIDRIARGLKSKLDIGVMEAANRETHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRGFWGHNNRDMRIKVNGGEWRTVDKVTALCIGNAKYFGGGMKITPTADPFSGSLEVVILQDFKWYDFLLKLHRLYGGTHLSVNGVSSIRVQSIEVAEVTASGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC >Dexi3A01G0029080.1:cds pep primary_assembly:Fonio_CM05836:3A:30419836:30430778:1 gene:Dexi3A01G0029080 transcript:Dexi3A01G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGTVDGHNSMITDVVKSEEDHLYSQKRLIKALLKALGSATYSPGNPARSQSSQDNSLPASLSLVFQNVEKFGGDIYFSAVTVMSELIHKDPTCFPALKELGLPDAFLSSVTAGVIPSCKALICVPNGLGAICLNNQGLEAVRESSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLCSSQEERSNEPAISGEEKTDMETDVEGRDLVSAMDSSVVGMDDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSAPLARAFCSSLREHLKSALEELDKVSSSIEMSKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDASREVLEDIGRVHREVLYKISLLEENKIDSDASSSSLVSEAQQPESSASDTDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAASDSQRVGSDRYSSQGLPSSSQDQSSSSADANASTRSEEDKKKSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSPPVISVAGNIASIVLEHLNFEGHSVSSEREINVTTKCRYLGKVVEFIDGILLDRPESCNPIMVNSFFCRGVIQAILTTFQATSELLFTMSRPPSSPMETDSKTGKETDSSWIYGPLSSYGAVMDHLVTSSFILSSSTRQLLEQPIFNGSIRFPQDAERFMKLLQSKVLKTVLPIWAHPQFPECNVELISSVTSIMRHVCTGVEVKNTVGSGSARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEEDDELARALAMSLGNSETSVQEEDARPNDIELEEEAELPPIDEILHSCLRLLQTKEALAFPVRGMLVTISSQNDGQNRAKVLTYLIDNLKQCVITSESLKDSTLSALFHVLALILHGDTAAREVASKAGLVKVALDLLCSWELESRGSEMAEVPNWVTSCFLSVDRMLQLEPKLPDVTELDVLKKDNSNTKTSLVIDDSKKKDSESLSSVGLLDLEDQKQLLKICCKCIEKQLPSASMHAILQLCATLTKVHAAAICFLESGGLNALLSLPTGSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKERSKEKDKDKSADKDKATAAVTKVTSGDTAAGSPARAQGKQPDLNARNVKPHRKPPQSFVTVIEHLLDMVVSFVPPPRSEDQADVVSGTASPSDMDIDCSSAKGKGKAVAVAPEESKHAVQEATASLAKGAFVLKLLTDVLLTYASSIQVVLRHDADLSSHGPNRPSAGLISGGIFNHILQHFLPHAVKQKKDKKTDGDWRYKLATRANQFLVAASIRSAEGRKRIFSEICSIFVGFTDSSMTYKAPVSRLNTYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQSLSRTLQVLDLDHPDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKIASDSNNVDSSSNRFQALDTTSQPTEMMTDDRETFNAVQTSQSSDSAEDEMDHDHDQDDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDHGALDHPLLEEPSSMLNIPHQGQPENLVEMAFSDRNHESSSSRLDAIFRSLRSGRNGHRFNMWLDDGPQRSGSAAPAVPEGIEDLLISHLRRPTPEQPDDQRTSAGGTQENDQPTNVSEAEAREEAPAEQNENNENTLNTVDVSESVVPAPPDSDALQRDVSNVSEHATEMQYERSDAVARDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASGPSDRLPLGDMQATARSRRPSGSAVPIGSRDISLESVSEVPQNPNQETDQNANEGNQEPPTAAGADSIDPTFLEALPEDLRAEVLSSRQNQVAQASNDQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSSSLFGMNSRNRRGESSRRDIVAAGLNGDPSRSTSKPIETEGAPLVDEDALKALIRLLRVVQGSSKKSIDTTEPPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARSHPNVAKLLLFLEFPCPSRSNTEALDQRCGKAVVEDGEEQKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENQINQAKLEASSEKPSGPETAVQDGQDNTNVSESSGPKSNAEASSKTPAADNENNLQAVLQSLPQPELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELARSMQNLTLCAMKELRLYENSEKALLSSSSANGTAILRVVQALSSLVTTLQEKKDPELPAEKDHSDAVSQISEINTALDALWLELSNCISKIENSSEYASNLSPASANAPTLATGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSSDMDDASTFSGGQRSSGGQANLDEKQNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVVEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPEIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >Dexi3A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:3A:16115607:16118134:1 gene:Dexi3A01G0020300 transcript:Dexi3A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAVASGISARPAAPRRAALAGRRARASVVRAAISVERGEKAYTVQKSEEIFNAAKEMMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKVNAELIETLKKGTSFGAPCVLENVLAEMVISAVPSVEMVRFVNSGTEACMGALRLVRAFTGREKIIKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATTETLTAPYNDAEAVKKLFDDNKGDIAAVFLEPVVGNAGFITPQPGFLNALRDLTKQNGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLTEPGTYEYLDKITGDLVRGILDAGAKTGHEMSGGHIRGMFGVFFTGGPVHNFGDAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTPQDIEKTVEAAEKQ >Dexi1A01G0029970.1:cds pep primary_assembly:Fonio_CM05836:1A:35345800:35349568:-1 gene:Dexi1A01G0029970 transcript:Dexi1A01G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAARASSNPAAAGAAAAKNVSSNVSHISTSSSAPAYQSFRPTTRSMTRAPAPVATSFGLKEGGSASTNTCRISDASFSIQSAASRPTVTHARTPHKVTSSGWKPLTQPVALSEERKCANLTTAKRSRVPSSRAVKDSTNHSASKANLPGKKYRDEENMSQGDQLDGAVMPSPPKKLQTCKDPSDSPSIRKSTIRILGGKKAAPLSTVKSEVETGKNFASVPAKVVPVSTNVISQSTDPSVPLLAQQQRPDSAKNSSVITDAIAAVKNSSVITQAIGTAKNSSVTAQAIGTAKTSSVTAHAIGTAKTSSITTQAIGTAKTSSIFTQAIGTAKNSSVITQPIANETSRVNQLAAAVVTLPRQNLQTDYEKKPAGVPIMPNQVSGLAVTPKLEIGKVQDTSNLMSNPAYARALLIKQQEQLLQQYKLASSQQQQQQQVHIKGPALFETEEEPPVEPLGTRCQLCKLDVAFRPQGDAGRDANAPPVIAVLACHHAFHSSCIESIYGLAEPTDTQLAVGLSLAIGGPIGEQCARAAKLEEALGQHAADR >Dexi6A01G0009360.1:cds pep primary_assembly:Fonio_CM05836:6A:10766873:10770355:1 gene:Dexi6A01G0009360 transcript:Dexi6A01G0009360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAIDEAGRRRHGQTTPLHVAAALLAAPAGLLRQACARAAAATAAPGAAGAGAHPLQCRALELCFSVALDRLPAAASAAAAAQGAGPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFNSSAVKSTIEQSLATPSPAAPSASLAAAVSAPTVAAATPFSPSPSPLPRLGAANAYINPRLAAAGGGGDDARKVLDVMLKPARRNPVLVGDAGPDAVLKEAVRRIPTAGFPALAGAKVLPLEAEVAKLAADKGAMAARIADLAAVVERLVADHGAVVLDLGDLKWLVDGPAAAASDGGKAVVSEMARLLRRFGRGKVWAIGTAACATYLRCKVYHPAMEAEWDLQAVPIARGAPLAGTALRPGGTGILGNSVGMPSPTLRPMPVTPRGLQWPPGARSDQPLMAKPALCLICKGSYDRELAKLAADRKDKPASRPEAAKTSLPHWLQPSSDQPQAKEQDFKWKETVQELEKKWHETCARTHATRAGAPALSMPLAAFGPRPPIEPKLQLARGVPTLKMNPSWEKAEDTPTSELRKSPPGSPVKTDLVLGPLDSGATMEKDQKEYAEGLTAMQKAKIAGISDIDSFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRNIGTRGDMWLLFVGPDQAGKRKMVNALSELMVNTQPVVVNFGGDSRLGKDGNGLNAGFWGKTSLDRVTEAVRQNPFSVIVLEGIDQVDVVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWLPGELKGPKFETLLRDEGRMFEVASSKWQLELSIGDKQVKHRADWLCDDARPAKVAKELSGGHGLSLDLNLSVGALDNTEGSRNSSDLSVEQEQEKGHLALKCTTPAPDSDLLNLVDDAIVFRPVDFGPFRKNVTECIAAKFESLIGSSNSFRIDEDAIDRMAGSVWLTDEKIEDWAEKVLVPSIERLWRNMKHHNGRAVIRLASRLTAVAEKAFPRWGGGQEGLPATVPIAIDGM >Dexi8B01G0016820.1:cds pep primary_assembly:Fonio_CM05836:8B:27962453:27964594:1 gene:Dexi8B01G0016820 transcript:Dexi8B01G0016820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGEPFSPSAFLDLPPTPSVDDVDGEDPAALPDDLVLPYISRMLMEEDIADDFFYQYPDHPALLQAQEPYAQILSDSTSDLSSDAAAGASGSSDAATSNTSDGSGTFTLSPSSSDIPVFANATWPYDPLELTKLLAAGAASAAVCLSDAGEASRPEQAAGSEGEEHGVSPVLFSGQNRVMFSGQNRVNMDMVNQAFLKGMEEASKFLPDLPTSKSVLMDNVNAAQAKKETPRKFQDEKSASNGKGRKKNRNRWEEDEDETVTRSSKLMAPEPEETGDLVDKMVYEGYLMSLENMKSLRITMGTEAKNTNTTNTKKGRKGSTTEGEAVDLRTLLIHCAQAVSMDDHRSATELLRQIKRHSSPTGDANQRLSYCFAEGLEARLAGTGSQLYRTLMAKRTSVVEFLRAYQLYLAVTCFRMTAYRFSNMTITKAIAGKKKLHIVDYGVRYGCQWPNLLDYLANRKGGPPEVRMTCIELPQPGFRPTARVEETGRRLSDFARQRGLPFKFHSVTAKWETVSVDDLNIDPDEVLIVNSITHFQNIMDEGVDIYSPSPRDLVLNNIQKMRPDAFILSVVNGSYNTAFFVTRFREALFYYSAIFDMLDATAPRDNEQRLVVERDLIGRCALNVITCEGSDRVERPETYRQWQARNRQAGLRQLPLCPDIVNVLIDKVRSQYHKDFVIDTDRHWLLQGWKGRILYAMSTWTADDATISDM >Dexi2A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:2A:1958800:1960392:-1 gene:Dexi2A01G0002480 transcript:Dexi2A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESMQVERQPHGIGYCLVDSSFVIKGLVLNWVPKYKHQMRCDFWCSQNQWITPKQQKPFGFFLFDDVPLLFLLVLVVIAEVRSVKIPEEVKAYIIRTLRRYHSNGQQLNNSIESLRRKGVGEMFLRACSSNSISDTILTWHIATCILEVRYPYQHGFRPGLDHKITATHLSRYCTYLMVWSPELLPDDEEGTKSLYETIKEDVKCALAGFTAAVGSLTPEAEYEQVIRFLNAKSNHAVTRNGVMLGRQLVELIEGEDTPWAVLAEFWVDMLLYIAPSDNVRGHLEAIARGGELITLLWALLTHAGIMSRPGDAAATDAGVV >Dexi5B01G0027320.1:cds pep primary_assembly:Fonio_CM05836:5B:28925812:28928481:1 gene:Dexi5B01G0027320 transcript:Dexi5B01G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPRKRRRRDAAAAEGPDCFSRLNEDLLRSILSNLPTRSAATLAAVSRHFRREIPALLDRVDSLTLHEPHFQDPLRATPPVLLRRLALAPHRAIPPSTFRPILDEAALHGLSELAFRLTRRARLPKNVLSVKSLAVLDLDNCAVAPWSNVACPCLRTLRLNRVAILQELMNKILASASCLETLEMVYCTGLGTGRSAGCTVESSSVRNLVFRPTRKLEQIIIRASALRTVTLYTRSRVKTLELAPAPKVRKAYLHISKLPAKLEAFRVRPFLDAGVKLECLTLRGHSVKVLSSEYKGIPKLTVMFQDLRILSVSLNPSSIEETYFLLKLLESCPHLEKFSLSAAGTGEDSAGTDEDMEQANNMPSTDHKERLSSISCLTTSLVQFKFRGFKPQEFQKELMVFLLTRGKKLKKAGVEFEKSQADAVRKILSIKRAPTERASTKYGNHYMELEYS >Dexi2A01G0027940.1:cds pep primary_assembly:Fonio_CM05836:2A:39252987:39262844:-1 gene:Dexi2A01G0027940 transcript:Dexi2A01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAARKQRWWCEVLAFGSGCARGVSVSGGRPLPGLSAYSVRAFLDHFDVGHQPNERSPRFVLDENVVLGGDFGWDDDLDGPLRLGDGGWVDAWRELRGGGDDEEDNTGGWTYDAVANPMVRCLDYLPVERRRPDRFICKLRDFTLRSIEMVGEERIPGVTRFGDGGKVLPVLPSHHYGLLLTISPKHNN >Dexi5A01G0008810.1:cds pep primary_assembly:Fonio_CM05836:5A:6613280:6613743:-1 gene:Dexi5A01G0008810 transcript:Dexi5A01G0008810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRQRHNIAEGEDWFAGENQHYLLWFHKVARTRLRPTAMEYNMEDVDTDAEDDYDVDTRWGNQSEQG >Dexi4A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:4A:19923331:19924767:-1 gene:Dexi4A01G0016340 transcript:Dexi4A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPHFLVLTYPLQGHIAPALRLAKCLLAVAPDVLVTFSTTEATHRRLFPANPDEEGPNNAGHGGRLEFLPFSDGTKDGYAGGGGSGDDVEAFNAYVASFHAVGPRSVGELVDALAARGRPVTRVVYTLMLPWAADVARRRGVPSALYWIQPVVVFAIYHHYFHGYAGVIAEHYRRGDPSLLVELPAMAPLAVRDLPTFLTESTDPGNYFHTVFLTFRDLFDTLDKETSKATILVNSCEELEASAVTVVGKKHDVLPVGPVLPTGDETSIFKQDADAKYMEWLDTKPESSVVYVSFGSLATMGKEQLDELLHGLEVIRRPYLLVVRKDNKAILAEAETEMGPKNGILVEWCDQVRVLSHAAVGCFVTRCGWNSVAESVASGVPMVGVPKVSEQGTNARLVEREWRTGVRARVDNGGVLRAGDLRRCVEEVMGDGTSATEVRRMAEVWKRVVAEAMGNGGSSYCNLVAFVDGARSTA >Dexi5B01G0036760.1:cds pep primary_assembly:Fonio_CM05836:5B:36389092:36392237:1 gene:Dexi5B01G0036760 transcript:Dexi5B01G0036760.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLQRKLGWGRRQLGPNDGWSYAGWRANQANPIPCSPPPSKTPTDSDSPWQHPPPPMAPPLAVVGPAVTAPSFRTAMPCRPWPRKLPSWRRAARPNDEDYYLIDAEESIGDGFSFSGGKYGEGPSKSDEWFAQGKMVNAYPVYGDKGKAKDPFFGLTMGSGSQPSDEVFRWFCVEAGSSSNPTVLLIHGLPSQAYSYRNVLPILSDKYRAIAFDWLGFGFSDKPQPKYGFDYTLDEYTASLESLVNAVAPDKMSIVVQGYFAPVAVKYASEHQDKLNHLVLVNPPITDKHVKLPSTLASFSNFLLGEIFSQDPLRASDKVLTSCGPYMMKEEDAMVYRRPYLVSGSSGFALNAISKAMKKDLKAYIESMRSVLGSDSWKTKTTICWGMRDRWLSYDGVEEFFGRLNQKIVELPMAGHHVQEDRGEELGSIIKTILR >DexiUA01G0002780.1:cds pep primary_assembly:Fonio_CM05836:UA:6034254:6035905:1 gene:DexiUA01G0002780 transcript:DexiUA01G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVAPDLAEESWTHVVSPGALVPDPTVPGFPVSDCDVMPPMHLGIRPGPRIAAAYPAADGNRPAIEYDVMRRSGSGFDLAIVRAVNALIHRLVARAHIGCAQSRCVVVRPHDTAACHPAALIQRDNGVAGHSPRCSSLLPSPYSVRSSSSSPPKFSTSPPLKLTTIIMLRPWAHSSASIPALESLVSRGLLCPRASNEEWISPPPTHKTPSRSERYVVSFMAYHVRGFSTPAHRFIRDVLHHFGVELHALAPSGVQQMANFCIRAPKRTRFPPFWM >Dexi3B01G0011550.1:cds pep primary_assembly:Fonio_CM05836:3B:8108528:8113332:1 gene:Dexi3B01G0011550 transcript:Dexi3B01G0011550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGADAGGDGASSAAAASAGRRIPPASSMPWVRNLRRFVGSGAALGSEALMELETKRILLEIFKERQRKSAEAGSIPSFYKKKPEEGSISSRVQRLAKYRFLKKQAELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPTQFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRISLSDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >Dexi5B01G0005840.1:cds pep primary_assembly:Fonio_CM05836:5B:3941809:3944707:-1 gene:Dexi5B01G0005840 transcript:Dexi5B01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGGYGGRGRVAAEAAVVDAEAVEEGMEVEAAVVAEDMEVVVVEGEGMEVVEAAVEVEAEAAATVAAGEVEAAVVAEDMEVVVVEGEGMEVVEAAVEVEAEAAATVAAGEVEVGVDEAVVVVVAAVGVDGKATGFMTSWCSCGNVNFARRTECNKCGAPCPSGGGGGGGGGGYNRSGGGGGGYNRSGGDYGSGGGGGFDRDGGDYNSGGRGGGGRGGYNRSGGSERGSDDHRGGSEGGYNAGGYAAPPQGPPSYGGPAGDYGAPPSSYGGNNAYGLDSAVPPPNSYSGGPGSYPPSYGAPPPNQYGGGAPGGQGGLPPTYDGGYGGRPMPGGGGSGGAPPPYHGGSGGGGYTGSAAAEPAAKVKQCDADCDDTCDNARIYISNLPPDVTVEELQTLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDLRGYKISVVMAEKSAPRAPSYGHG >Dexi7B01G0020990.1:cds pep primary_assembly:Fonio_CM05836:7B:26140607:26143715:-1 gene:Dexi7B01G0020990 transcript:Dexi7B01G0020990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAMTGNSHAAEAFDTDLIHAIFKLVWRRRVEKGRGGNEDIDVEPAPETSKRNRSTTANASALKVSCELLRIFVTEAIQRSAFIAEAEDATVIEPTHLERVLPQLLLDF >Dexi2A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:2A:12667654:12673069:1 gene:Dexi2A01G0011060 transcript:Dexi2A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding REHELASPWRPPSLRRPNRCLFRRPSAASSLPTLSLAFALLLTVLLLPALLLSAANSKNTTLVTFSLSSAAETTRVPLRHELASPFAGDGSRWGAEVLGREQQRKERAGSRGGDRTGRKDAEPPTVGIDAERGSQDSLMEPRKDDVRSAAQSSVRGSSSSACTTYPVPEYPVAGTVKPVLNYSIQTGEEFSLEFMRAIPKKHPVPGMPHNQNVTSSTGHKDSRVSFGAHRPGPETRFDASIFLTSDSHQADEVERKAFSEIENRRRNMSGTSVPRVPSGGGSSLRGYASSEASETSRTIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHIIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGDGSQKLRIFLVSSIEYDDMSFSLGSMDSDSGIQYVAAINGMDGIAPNSSSGQGLVNPPINEFDQFFSLNIDTRPVNSSRDGSSLHAVNASTFVPTEMLSRPMPSAVSGDNTANLHSYYSHGMQNLQGNYANSATSERYYDIEGQTSIPLSTPSDYKYTSQYAPFSGTASQRSFDQQAYLDAQKEISTKEEKRVAHQNNEPDYFQSLENLSGHTVHHDSSASGVPPAPVQEGVTSSLQPSDSMKSLETCTAPRARSTTQGSDISEDDRQSGGAFASGCSDFQVDMIDHSYKNPPPHPGRVFHSERIPREQAGFLNRLSKSEDSLNPEFLIHQSQSGVASEHIAENIDSAFEGTEKTNIVSVPIDLNDPAVDDSLIQFEKEFSNTVQRTSPFSEQLLGEKRSSDDTSSRNAEKVTHAAEKVVDKAKLIEENSQVVETPNQLGSHSTHISRDAPKPALPTDAESHHVVPSTSSADVSHKEPIIPSTQNRDFAGGTEMTSPDILSDFFANANSAAQSSGAFIDPVHSLNMANYEPQRWSFFRNLAQNEFPQKDETQDLPKIEEGAYPFDRLEHDTTNVKNLAPQNDIHVEVPPEASRTNADSSTSPPGFISSQIDNPTTTKNVEGFQVDNPFTNMREMMPSLPGFEEQKPEEARAVGPVMDASFKDTDFEYLQV >Dexi7B01G0008440.1:cds pep primary_assembly:Fonio_CM05836:7B:16248637:16253291:1 gene:Dexi7B01G0008440 transcript:Dexi7B01G0008440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRQHLERSNSKRALDHGGSGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAGPARIQGRSSPKRIEGPDGRNLKLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDSNTGHVVTSGPESSAKLDVLVLEGDFSKEEDEGWTEEDFESVIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVFADTLVKQAYDDWINVVEYDGKALLRFKQKKKSITTRSETAKASASYHASNGLMHSQKQLAGGPVNAEQSSLNNISEGDGTRITSIGNQAARGHAANPQDMAPSITMQYDMSSLPPEGQFSGSSIQAQASSSSNMLALRPMQQQQLLPHFGFSGLGGQPTQPSALNPFDDWSRLQENRGGVDDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLSNVDSFPPYPSPAPAFNFEEDRSRSSGKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >Dexi4A01G0016630.1:cds pep primary_assembly:Fonio_CM05836:4A:20268818:20269231:-1 gene:Dexi4A01G0016630 transcript:Dexi4A01G0016630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLPLQLFADVVPKTAENFRALCTGEKGVGETTVSPLYYRGTRFHSIKKGLMAQ >Dexi5A01G0010070.1:cds pep primary_assembly:Fonio_CM05836:5A:7651159:7652497:1 gene:Dexi5A01G0010070 transcript:Dexi5A01G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKSKILVVGATGYLGRHVVLASARLGHPTFALVRDTAPSDAAKAAVLKSFKDAGVTLLKGDLYDHASLVTAAKAADVVISTLGSLQIGDQTKLIDAIKEAGNVKPGKFILAGKVAVRRATEASGIPYTFAVAGYFAGYALPNIGQVLAQGPPVDKAVVLGDGNTKVVYVDEGDIATYTVLAADDPRAENKTLYIKPPANTLSHNELLSLWEKKTGKKFERVYIPDEAVLKQIQGELPPPPPLLPLLERAGSIATFLFVSSYRNTLLKKVTNVYFLRLT >Dexi1B01G0016250.1:cds pep primary_assembly:Fonio_CM05836:1B:22775878:22776435:-1 gene:Dexi1B01G0016250 transcript:Dexi1B01G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSELATILFVAGVVLLFVLFACGVVSFQLCINAFYRRRAAQAAASSSQSPSPRPRPRRSGRARTQTKRVAGDPAEAPPRSLPATAVYRAADAGSTAAEKCAVCLAGLDDGEEAQLMPCCGHWFHALCVDTWLASHATCPLCRIAVARPGMAPVDLSRVPSVVSDHVVVTVATELY >Dexi1B01G0021630.1:cds pep primary_assembly:Fonio_CM05836:1B:27484361:27487995:-1 gene:Dexi1B01G0021630 transcript:Dexi1B01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYCSPGALLAILNREPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGAMLFSTIADIFPEPDCNLADENDKQTVGESIAGKQLMVRHRRRVIFSVVVTAIVAGVTLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATNSKWQAFKLATLSGFAEPLGVIIVGMLIVLTYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGRKDAVKAVFVGMAFMSMSLYFIDVSLPKEMSA >Dexi9A01G0033930.1:cds pep primary_assembly:Fonio_CM05836:9A:38782089:38783288:1 gene:Dexi9A01G0033930 transcript:Dexi9A01G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHMNAQVIHGDIKPANILLDDSSNAKISDFGISRLVGIDKTLITEYPWGSIGYIDIRFARDGRLTVKSDAYSFGVVLFELITRKKATEIDGEVSFLDRFTGALASGIKGVREMLDAEIMTSQSNMKILERVAKLAGECLRMDRDMRPDMVNLVERLETLRKASLREQRWSDPLSWVWTKVKKAPAIIGTNSASASLPPPCHRLTFGEMEAATNSFDEQLILSECAFGRLYYGEINDGATKLAVKRWRTWAVHRACQFFAEKDTMSKIGRHRHIVPLIGYCYEKDEMMLVYEYMSRKGFSTEGTDSPLQMA >Dexi1B01G0013440.1:cds pep primary_assembly:Fonio_CM05836:1B:19115159:19118503:1 gene:Dexi1B01G0013440 transcript:Dexi1B01G0013440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSGRGYNTATSLSPLLLLLPSVLFICSHSIQLPTSVVYNSTVDGKQFTTFYFTDFDQQQLQQPGILMFSSNASISQKALQITPDSSNSSSFLINQTGRVFFPSPFTLWAFDASKSSRSPDGKYVASFNTSFMFNLFRANVSFKGEGLTFLIASGNDEPPPGSYGGYLGLTNASTDGHVTNGFVAVELDTVKQQPYDPDDNHVGLNVNGVRSTYATPLAPHGIHLAPANASTGTYNAWVEYNGTTRRVLVYMSADESKPSAPVLNASVDLSTILLGHKAFFGFSASTGIHYQLNCVLQWMMTVETLDAGGDTATKKTSWKIPVIVVVACGALTMTLGLFAGLLYVTKKKKRKKDRADTIGLTAAIPGMPKVFDYKELKKGTNDFDEKMKLGQGGYGVVYRATVPGEKGQNLEVAVKQFTGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNNVLLLVYDYMPNGSLDKHIFGGEDAPVLNWEQRYNVVSGVASALNYLHHEYDQMVVHRDIKPSNIMLDSSFNARLGDFGLARALDSDKSSYTDMVGVPGTLGYIAPECFHTGRATRESDVFAFGAVILETVCGRRISCSSPAGFGQLLEWVWRLHGAGRIVDAVDPRLTGEFDEEDAERLLLLGLACSHPNAGDRPRTKAIMQNLTRSVPPFAVPLARPAFTWPVEPAVDGDEDADMTETSYTTAATTTVVEVNTELAGEQCMECKRKHEKDASRRRGRSTMDMACAGPVAHVDGSPLTWT >Dexi1A01G0029100.1:cds pep primary_assembly:Fonio_CM05836:1A:34632597:34643274:1 gene:Dexi1A01G0029100 transcript:Dexi1A01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAALREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGISALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKRLTALDGKRRFALAAASSHKEEVGRLRKGAGAGATPRNGTQEKSKVQGKGYKDKCYECIRMAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWLEGMVTEYLVATFADYFGDVKMYIEERSFRRFVEACIEETIVVYVDHLLSQKNYIKEDTIERMRLDEEVLMDFFREHINVTPEVVEKLVAMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLAAKKGIWRKLGQ >Dexi4B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:4B:7690173:7690583:-1 gene:Dexi4B01G0010370 transcript:Dexi4B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAASRPTRPERSCACVHAEEERKLGAGHHGVAVVTVGLWDMADGDLASVWSLLAAASDGGHAASCFEAEAAGESTCRDCCAEEERELALGSGNAAYRPPCVRSSPPPAPVPPPPSTPHIPMGQMDFNHIHPIHM >Dexi3A01G0007740.1:cds pep primary_assembly:Fonio_CM05836:3A:5382657:5382899:-1 gene:Dexi3A01G0007740 transcript:Dexi3A01G0007740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHARMAPSDHARADQAQHVSESVPRQIGSIAAALPSQDLEPPMPPSPPSGEPEMAMVAAKRWGTTQVTDGSVPSPGIGH >Dexi3B01G0023770.1:cds pep primary_assembly:Fonio_CM05836:3B:18505518:18506388:1 gene:Dexi3B01G0023770 transcript:Dexi3B01G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDDVIIRLHGVLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPIGSGVATDATAALHQLPAAAAMAPPHARLAVVKVEESSEDGGRSRSSSSSGGGASTGEPRCPDLNLDLSVGPPAAGTPTSEPRQPVCLCYRLGLRAGEACGCQADGTGTGPQGFRYFRPLEQGQYI >Dexi7A01G0013590.1:cds pep primary_assembly:Fonio_CM05836:7A:23604969:23606165:-1 gene:Dexi7A01G0013590 transcript:Dexi7A01G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESNPTSFCISASVLTHVGATSGIGASPSRYCATCRIPGRAPGTGCAHARPSLITILASSASYLPHSLGSTRGALALVLLHPVQQHVALFVVYAPERATAAGDLEHEHAEGEHVGDGGGLPRAHQLRGEVPHGAHDVGGLGVDAVVVEPGEPEVAEAAAHVGVEENVAGLDVTVDHDLLPVLVERCGPNSTSQSSRTSAYLIRLRTNRNTPMSSARTNAAVPIGSKISSNLDRGLGPNRGSVGSFEVSSAGAAALSMEKLQPRT >Dexi8A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:8A:20152507:20152743:1 gene:Dexi8A01G0011450 transcript:Dexi8A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKHTPRGSSRWQHGPTKHPDKKEKSSSQGASVARHPTARSTSNRPHLLRHIREPTPAVPSFNCRLLRPPPHAVAVP >Dexi2B01G0024950.1:cds pep primary_assembly:Fonio_CM05836:2B:34190080:34193029:1 gene:Dexi2B01G0024950 transcript:Dexi2B01G0024950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKVAGCFKDKTILITGSTVLVEKILRAQPGVKKIYLLVRASNNVAAEQRVIHEIVGNELFGILREKHGADFQSFIKEKLSALAGDIIHENLGLESTRAKQLFEETDIIVNGAATTNFYDRYDVALASNTYGTIHVCKFAKQCARLKLLLHVSTAYVAGTQEGRILEKPLEMGQTLKEGRCLDIEAELELANDVKAKLEMERSGISHGQLEKVAMKELGLKRANYFGWPNVYVFTKAMGEMLLGTMRGELPVVIIRPSIIV >Dexi6B01G0006690.1:cds pep primary_assembly:Fonio_CM05836:6B:7368571:7369373:1 gene:Dexi6B01G0006690 transcript:Dexi6B01G0006690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGGGTGEHAFEIVGYSLKRGLGVGKFVRSRVFSSCKGYISVYLELMSNNAEVRACYSLGLIHQASKTPGIEWSRKLPRLFKSSDNTRRFGKFLLRTELETDYICDDCLTIECNIVVAMKPQLSDVSVNYEIDVPACDIPEHFAELLDQKVGADVTFNVRGEIVEAHKVILAARSPVFKAQFFGQMGEKRVVTIEDMDPDIFKALLRFVYTGSMHGMGDDLDGDDYKDMIWHLLAAADKYAIDRLKLMC >Dexi3A01G0020170.1:cds pep primary_assembly:Fonio_CM05836:3A:16029171:16030089:-1 gene:Dexi3A01G0020170 transcript:Dexi3A01G0020170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLRFHIYSHCSSNLNYTSGSAFQVNLNATLPSLPATAAANSGFADNITGPSAPDQVYSLAHCRGDIGASSDDWDCLSCLEDSAREIATSSTCPGRKSAMLIYEGCLLRYSNASFFGEPYTSNTIVLNVSNLQNVAQPELFVLRLSALMGNLTRKAAYGSPRMFAAGADDQQLANSSATPLYGLAQCTRDTTSADNCYLCLATLVDTMSKCCYGKQGGRVFAPMCQLRFEIYPFYNAQGAQAAMETAAPAPGGGDHNVPPNTVN >Dexi7B01G0008260.1:cds pep primary_assembly:Fonio_CM05836:7B:16122475:16127905:-1 gene:Dexi7B01G0008260 transcript:Dexi7B01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHHSPPSNQSPPEQPEQHDNYDIESTDTAWRAAHTASEALLRYDDDGPRQPLLRKRTTNTTSQIAICRYFTAFLAYGGCNLVLAATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYAILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTSQDIVAIIVLGIIGGVFGGLFNFLLDRILRAYSFINEKGAPYKILLTITISIITSACSYGLPWLAPCTKCPADAVEQCPTIGRSGNYKNFQCPPGYYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFIAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPYMRHLVASDVVSGPLISFSGVERVGNIVQALRITGHNGFPVLDEPPISEAPELVGIVLRSHLLVLLKGKGFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMPEHIHGLLPNLHKSH >Dexi3B01G0026570.1:cds pep primary_assembly:Fonio_CM05836:3B:21914770:21917585:1 gene:Dexi3B01G0026570 transcript:Dexi3B01G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILARSKALPLAAALARAAADAAPPLAGTRALSSLPRYPGAPSPHGLGKILGYEPTYRPSGAQVLPRWFSSVASNGSLTQKSQIPETNKSGAELKQSDAQKPSDGAPPKVVAFSPLDAAIAKPRSSPLTSESSKVRRSEIVTQVTFYMIPALLLVSKNSISTSLLVGAVFHQVYMFHKEILLDYVHHDITRKWSLIYFKLLLLVMAKDTITYFNLPF >Dexi3B01G0013620.1:cds pep primary_assembly:Fonio_CM05836:3B:9727384:9728979:1 gene:Dexi3B01G0013620 transcript:Dexi3B01G0013620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRSFLLPSYPELLLAALSFLSLAALRLAVRSRRRRFAPVSWPVVGMLPFVLANLGRLLDATTDALRECRCTFVFRGPWLSRADFLLTCDPAAVQHCLATNHGGYDKGRDFAEMFDVVGDGLLVADAVSWARQRHVAAAVFGNPTFRSFVLSTMARQTARLLVPFLDHVAAAVDADSPEGVEMEDVFMRYSLDVAYASAFNVDLDALSVAAASAPVPAIGLATRVASEATLLRHIVPAWWWRLMRWLNVGAERRLAEAKAVLDEFVYLEIAQRKSLTTGSQGGGGCDLLSLYMAWPREPGVTDRQRDQFLRDSAVGYMFAAKDLIVAALTWLFYILCTHPDVETKILDEVKSLRPSATVAATGGGGGGEHAVFDSDALQPASYLHAAVLETLRLFPPAPFEEKEAVCDDVLPDGRKVAKGTRVIFCIYAMGRMEEIWGGDCHEFRPERWLPDVGRVRHEPSHKFAVFNCGPRSCLGKNLGLSNIKIAAAAILYNFQVELVDGAVVKPQNSVVLHTKNGMRVRIKRRKTA >Dexi9A01G0022710.1:cds pep primary_assembly:Fonio_CM05836:9A:17854774:17855863:-1 gene:Dexi9A01G0022710 transcript:Dexi9A01G0022710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAWSKYRSALPVQHKVRSPAVSAASKEEAKALTPVDESPDAESLNGAQATNIDVSSSDKKATPIPDVAEGTMESRNVKEDKPVEQ >Dexi3A01G0017850.1:cds pep primary_assembly:Fonio_CM05836:3A:13609192:13609509:-1 gene:Dexi3A01G0017850 transcript:Dexi3A01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAHPVLYHHPAPAGDAASMSSYFSHGGSSTTATSSSASSFSAALASAAPPLADQFDISEFLFDEGGAPAPGVFAPAAPDGGAPAPRVAPDGAISAAAHTAR >Dexi2B01G0009170.1:cds pep primary_assembly:Fonio_CM05836:2B:9966847:9968098:1 gene:Dexi2B01G0009170 transcript:Dexi2B01G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDTANPIAAAAATAAAGVVCNDGGPDYISLLPDCILTTILSLLPLPAAARTQILSRRWRRLWPSSPLHLHDSLLPVPTSSSLPAVVSRIFASHLGNAVRFHLINARPSAADLDSWLRSLAAKHLQELVLRVPSDDPFPLPPSLLSCRSLCSAELTNCRLPVPEEDATAAAVGEIYFPLLAELTLRLSLVPSAAALHGLLAGCPELASLSLDRVLGCRTLRVRSRSLRSLTVSVSLMRREVEDADELDHLVIEDAPLLERLLAHDINRGPSINVVHAPRLEMLGYLGVGIPELQLGSALFHSMCAVKLAADMRCVRTLALEMADPQVKPVADFLRCFPCLETLYVTSHMVLPQSMTILNYEMDGTIECLNYRLKTVVLKEGESMSSS >Dexi2B01G0021510.1:cds pep primary_assembly:Fonio_CM05836:2B:31293633:31294254:1 gene:Dexi2B01G0021510 transcript:Dexi2B01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLRHTISLVNLLDKQLHQLPTSRMMKIRKSAPNLIRKAVTSFKSKTDALRTKLIILDSLRRRMAMVCAMSRQIHALVTSDGRDKQAAVERGSKPLALHKAVVMSKEPAGDHGGKVHLAMFEVAMFKEDYHGYDPEWTNSLFNDDNSYNDEDDVQDDNDLDVDAFDETSVIEIIRSNREAEGLEFNMEDDIDEAYVAAG >Dexi9B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:9B:2591241:2596903:1 gene:Dexi9B01G0004530 transcript:Dexi9B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRNRQLPRQFPPQLLQSLTPQQQQQFHNLTPQQQQHWMRRNQLSSPRGALTMADKNQPMANVKIENTMDSQIDSPYGSLTRQQQFNLRQQQLLHHQQQLQQQQLQQQQQHIQQQQQQQQLNQQQQHLQQQQQHLQQQQQQLNQQQLNQQQQQQHLHQQQQLNHQQQQLNHHQQQQHLNQQQQQHLNQQQQQMAMSANQNAQMAMNPYGMRMPLVKVEAFHELPMPGVVCCQLLGTHNFEFRYAARNAVLLSFLFFFEPMPFVCYRWIERSGVRLANLPAARRGKTMDVSDHPSPITVTAKGTSCDLCLGHSGFSRVHVPIGRRNTGIILVAALIRPHFRSFQESYSAARGHPVVACDRKVKRHHIRARRSVILADEDDGGVAATLVDQAAAAERSETADNRYNKEAQKKPSRKLGCLLGGGWAYCGGAITGGPCKPQINRPRDWAEECVLGITHLPPLVRLESEAGEQQLEGKGWPMTQRQQREMEQVIRWRLRQGLRRGRVTCRPHAVAREGVGRLERSRGRKKEASGMAVAERSNRSGPGKRKSNGFWRAGYIDTLLSCVLTKRGFSSFAALFLAARRRQPTRLARPFNFNALLSVSSLVSEFTRRNFARTSSYYGMSTGDDDESEIEFMNDDGQGPRGSEALVPQKMKPLIVIGDWPVRPSLRRPVNDVHVRRPVIDDNARVRPPAQGRAGHQLVPPPSSLSSPTLSLSLLPLSRLGRRPQGAPPPPLHFAGGSPELNADELHHHAIIFTKLEQGEASPSSSPIAPLLKPKVKNKLTSLPLALHQPATFGGVVHITGELFPLSIHSPLL >Dexi1A01G0001230.1:cds pep primary_assembly:Fonio_CM05836:1A:772602:774753:-1 gene:Dexi1A01G0001230 transcript:Dexi1A01G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGSSSSSEAAVREALSTEKAFEREKLPAWSEQITVRSVVVSTALGLFLSFIVMKLNLTSGIVPSLNMSAGLLAFFLMKTWTSALERCGIFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAKTSINVEEPSLGRIIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKQQVSILFKSFVGSFLWSLFQWFYSAGPGCGFSSFPTFGMEAYRRRFFFDFSATYVGVGMICPYIINFSLLLGSVVSWGVMWPYIESKRGLWYDAKLPRSSLHGLNGYQIFISIAMIIGDGLFNFLSILVRTSYDMYLKRTKPAEAAAKPFAGVDISERQALSFDDRRRTQVFLKDQIPTSIAAGAYVLLAAISVVAIPHIFRQLKPKHVVWAYVVAPVFAFCNAYGTGLTDWSLSSSYGKLAIFIFGASIGSADGGVVAGLAACGLMMGIVSTASDLIQDFKTGYLTLTSPRSMFVSQVMGTGLGCIMSPVVFWIFYKAYDVGLEEGYPAPYAKIYRGIALLGVNGWNQLPRYCLRFCLGFFLLAVAICALKEVAKARRWWMQDYIPSALGMAVPFFLGSFFTIDMCVGSILLYLWSKSDRVRAHTFAPAVASGLICGDGIWSLPSSILSLLNINPPMCLRVFSADTNYQVEEFLWTLKNPAAT >Dexi9B01G0045730.1:cds pep primary_assembly:Fonio_CM05836:9B:45197613:45198356:-1 gene:Dexi9B01G0045730 transcript:Dexi9B01G0045730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRRPPSYLPGSHQQQQQGLGSCLEVGQFGGGGLDEEMDRLKRDKNILLAEVVKLRQEQQSTRADMRAMEERLQHAEHKQVQMMGTRTSSSTCSSTMTSERSSTTPSPRRSGGGPSTPRRGLFGELGSSETGTSELENLALNIQGLGKRKPQDEKQSGGETAELTDDFWEQLLSEGMRGGDSGTTATPELERRQRPGRYVDALAQRRMSSMSNRTPE >Dexi2A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:2A:10899420:10900665:1 gene:Dexi2A01G0009870 transcript:Dexi2A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTANPIAAAAGVVCNDGDPNYISLLPDCILTTILSLLPLPAAARTQILSRRWRRLWPSSPLHLHDSLLRVPTTSSLPAVVSRILASHLGNAVRFHLLHARPSAADLDSWLRSLAAKHLQELVLRIPSDEPFPLPPSLLSCRSLRSAELTNCRLPVPEADAAAAAVGEIYFPHLAELTLRLSLVPSAVALHGLLAGCPELASLSLDRLFGCRTLRVRSGSLRSLTVSVSLTRCQWRVLEDAGELDHLVIEDAPALERLLAHDINRGPSINVVHAPRLQMLGYLGVGIPELQLGSALFRSMCAVRLAGEMRSVRTLALETAYPQVKPVADFLRCFPCLETLYVTVTEGKGMSSS >DexiUA01G0008070.1:cds pep primary_assembly:Fonio_CM05836:UA:15113628:15118849:-1 gene:DexiUA01G0008070 transcript:DexiUA01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCTSTTTVPSMLLILLLIHSVHFPAAAFYLPGSFPQRYRPGDTLAAKVNSLTSPTSKLPYPYYSLPFCTPQDGVHHAAESLGELLLGDRIETSPYRFSILNNTTQLFLCRTDPLNPDTTKLIRSRIDDIYQVNLLLDTLPVMRYVKSPIVPDVLLRSTGFPVGVRADDGEYYVYNHLRLTVLVNKQNGTNRVESLMATADGAELIGFNGGGKEGGGYTVVGVTAATIDM >Dexi9A01G0027510.1:cds pep primary_assembly:Fonio_CM05836:9A:32165276:32169014:1 gene:Dexi9A01G0027510 transcript:Dexi9A01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRNNMLLNGAAVAMVAASSALSSSSPGARRRRSPVLLPMAAAALLIIATSSLWCSAAAEGKGAGRNVITHIKGFEGPLPFHLETGYVEVDEEHGARLFYYFIESERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVANVIFLDAPVGTGFSYSLEEAGLNVSLTGSGHQHHTFLRKWLADHPEFASNPLYIGGDSYSGYTVPVTALDIATHNDHEPKLNLVGYLVGNAATDDRYDTGGKVPFMHGMGLISDELYEDARAGCGGDFYAPADPTNARCAGAMMAINMVTFAVNPVHILEPFCGAAVRAVPSIFHGYGGGRRSMLVRDDVDHPGFLAKERLNMDVECRDNGYRLSYIWADDPEVRETLGIHEGSIGSWSRCTMLIHFRHDLTTVIPHHVNLTKAGYRALVYNGDHDMDMTYVGTQEWIRSIGYPIVGDWRPWFANRQVAGFTRTYAHNLTFATVKGGGHTAPEYRPKECQAMLDRWTSAAGQL >Dexi6A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:6A:11594529:11595346:-1 gene:Dexi6A01G0009760 transcript:Dexi6A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQYHAGDERRSPSPPPPRLSPPAALSTSGSRSADAHGGDNGRLVLRQPPTPPPPAAADSADELRRQVEKAKIRERILREEAEQWELELEVRREIREQLLRLSWPALGRPAAGVSGPPVATSIFK >Dexi2B01G0004840.1:cds pep primary_assembly:Fonio_CM05836:2B:4549650:4549948:-1 gene:Dexi2B01G0004840 transcript:Dexi2B01G0004840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVIDFTASGCGPSRFIAPVFVEFAKKYPHVFFLKVDVDEFKQEVAAEHQIEAMPTFHFIKNGVKCQEG >Dexi3B01G0037660.1:cds pep primary_assembly:Fonio_CM05836:3B:40420330:40421990:-1 gene:Dexi3B01G0037660 transcript:Dexi3B01G0037660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWALCCLSTLLLLIGLASGHQVLFQAFNWESCKQSGGWYNLLLGKVDDIAAAGVTHVWLPPASHSASADGYLPGRLYDLDASKYGTASELKSLITLFHNKGVQALADIVINHRYADYKDTRGINCIFEGGTPDTRLDWGPHVICRDDTEYSDGTGNLDTGVQYPPAPDVDHLNDLVQRELTEWLLWLKSDLIGFDGWRLDFAKGYSAEVANVYINNTAPTFVVAEIWNSMAYGGDGKPLYDQDPHRQALVDWVDRAGGAASLAMVFDFTTKGILNAAVEGELWRLIDPQGKAPGVIGWWPAKAVTFVDNHDTGSTQALWPFPSDKVMQGYAYILTHPGTPCIFYDHFFDWGLKDEITALVAVRKRNGITATSQLTILKYDGDAYVAQIDSQVIMKIGSRYDVSALIPAGYQVVAYGNDYAVWEKVGANQQVAQA >Dexi3A01G0008900.1:cds pep primary_assembly:Fonio_CM05836:3A:6182987:6187278:-1 gene:Dexi3A01G0008900 transcript:Dexi3A01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTDAAAAAAVAPVEEENPAPAAEAAAAAEEEPAQKAEAATPVTTDAAPVAPPPEEEKPAPAAVEEEKPAPAAGEEEPRESYLEGNKIEAGQGEEEGAEEADEVRLEGQGEGSGGPQAENGEADGAGGGDDGGEVEDGEDGRLGAAEAEKDDVGGEAAAAPTPVVESKSETGELGEEDASLVSPDAPEGDEKGGLGDEQEEGSAAVDTKAVDKVADDTESAVTEVKLEPEDDKGDEVGSGSGDGGELGDEKEVESSARSIEVTKPEDKVAPAAEANVASQDGSRIFTMDRPAGLGSTAPSLRPTAPRQPARSNPFNPLELAVTGDPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARRKALLLEAEGKEDLDFSCNILVLGKIGVGKSATINSIFGEEKTKTDAFSSATTKVREIVGNVDGVKIRIIDTPGLRPNVMDQGSNRKVLSAVQKYTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSAEQGGNEGDSDIELDDYSDVEQDDDEEEYDQLPPFKPLTKAQLLRLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKSYMDDDYMYANITGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLAILSRFPANVAVQVTKDKKEFSIHLDSSIAAKHGDNASSLAGFDIQTVGRQLAYILRGETKIKNIKKNKTTGGFSVTFLGDIVATGLKIEDQLSLGKRLSLVASTGLMKAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITIRTSTSEQVQIALMGLVPILASIYRSFRPSEPSFAY >Dexi8A01G0002120.1:cds pep primary_assembly:Fonio_CM05836:8A:1416425:1417615:-1 gene:Dexi8A01G0002120 transcript:Dexi8A01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGPEVFSLGFRFDPKPLDVVSYYLPHLIAGAQLHVAMRPFVHDADVYAGEPGELARMFRPVPKTGQRFFFTSRKLQPQRAGKAIKATRAAGAGSWQSQGSKGVLNKDKEKVGEVTKLRYKKGGKYTDWLMEEYSCGLQDAIVGGDRQLVFCNIYVSPRARQDSVAHKESAAFFAPPPPVPVVIAQAPAAPPKRPVAPPKIASPPCPKRMRVAAVAPSHQVVQLPRPCVPHHGVAPPSSAPSVTRPSPASAQPPAPAPTRLAMQAPMPLRPLGQHKQQQQMVPPTPPVARASPHMPVQAPACQCRPQASVQRKQKILDPFEAMAAEAEDEGGESPAALQDDDDDLAKSLEDALAEAEAEDEAAANSEDSPMSDDEMVQLLEKEILLVPKEEILA >Dexi5B01G0031010.1:cds pep primary_assembly:Fonio_CM05836:5B:31843472:31846146:1 gene:Dexi5B01G0031010 transcript:Dexi5B01G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLAVIAFLAASLLSSRIPHAKCADLNSDKQALLAFAASLPHGRKLNWSSTTPVCTSWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVDLPPDVGSIPALHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPELQLPKLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGIPLEPCPGTAPSPSPVSPPSPSKTKKSLWKKIKTIVIIALAAVGGLLLLILILVLLLCIFKRKRHTEPATASSKGKAVAGGRAENPKEDYSSGVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEVIGRVGQHQNVVPLRAYYYSKDEKLLVFDYAPSGSLAAVLHGNKSSGRAPLDWETRVKVSLDVARGIAHLHAEGGGKFIHGNIKASNVLLSQNQGGCVSEFGLAQLMTTPQAPPRLVGYRAPEVLETKKSTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNVEDEMVQMLQIAMACVAASPDQRPKMEEVIRRLTEIRNSYSSGTRTPLEDKPETAQVAP >Dexi9A01G0029740.1:cds pep primary_assembly:Fonio_CM05836:9A:34643883:34649312:-1 gene:Dexi9A01G0029740 transcript:Dexi9A01G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAFAPASASPPPWSPRPPPRRSPARVRLPPPRSGSSSGGGGGDEPTTATPWVSPDWLTSLSRAVGRGGADDSGIPVASAKLDDVQDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAVAKHVLRGYGSRYEKGLVAEVSEFLFGSGFATAEGALWTVRRRAVVPSLHKRFLSLMVEKLTLDVIGLSLFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWKIDFLCKIIPRQIKAENAVKIIRNTVEELIMKCKEMVEAENEQIEGEEYVNEGDPSILRFLLASRDEDPAALRRAQDEVDHVLQGRLPRYADVKELKYLMRCINESMRLYPHPPVLIRRALVDDVLPGNYKVKAGQDIMISVYNIHRSPEVWNRADEFIPDRFDLEGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIVLQKMDIQLVPDQKINMTTGATIHTTNGLYMNLSLRKVQQEAELALR >Dexi7B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:7B:25804685:25805722:-1 gene:Dexi7B01G0020530 transcript:Dexi7B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQPRGVGNSKVVKVQSEEAWDLFINQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEVLFLYVDVDDVQSVSSKYGVKAMPTFFLIKNKEVVGKIIGANPDEVKKLVDSSADTFETQIVVE >DexiUA01G0008060.1:cds pep primary_assembly:Fonio_CM05836:UA:15113130:15113510:1 gene:DexiUA01G0008060 transcript:DexiUA01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAELRRPAAAHEAALRAVQKPPAKPWRGGAAAPPPPPPKVYRVEPREFRDLVQRLTGAPPTAAVPRGAVVRPQQQHQHHHQAQAAMHQPQQPVAVRVGGDQQQIYGGSPWFSFPLDGANGGLM >Dexi3B01G0018800.1:cds pep primary_assembly:Fonio_CM05836:3B:13971057:13971902:1 gene:Dexi3B01G0018800 transcript:Dexi3B01G0018800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSREHSHSYDHLRLDPSALGSSWSDPAAVEISPQLLAALGEYLSAGRSGDADVDAAAAEAEAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRPGAACPRGNACPFAHGTFELWLHPSRYRTRPCRAGAACRAGAACRRRVCFFAHAAGELRAGAAGPKDDGGLSLSLSPKSTLASLWESPPVSPVVEGRTMRWLDAIDDSSDADAEVEELMLAMRELSLRKAVASAQVVAPVLPPVTEEDGPDLGWVSELVM >Dexi9B01G0005580.1:cds pep primary_assembly:Fonio_CM05836:9B:3291290:3294433:1 gene:Dexi9B01G0005580 transcript:Dexi9B01G0005580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEISDASDLAPKDGAASCNPYVEVDFDGQRQRTATKPADRNPYWNQTLVFDVGDPARFPSLPIDVSVLHDRRLTDHNALRPHTFLGRVRINASSVPNSPHEAVLQRYPLEKRGLFSRVSGDIALRIYLINDHQGSNPIPTNNGAAAAATAAADMGGGAPNHQQQQQQEFAGGEPERILRSAFAAAESSAAAQVQQQQGKMSHDHHEEQQQQRPRIFRSVPTSSAPDQQQQPRRTLHAVAAPPPPPGQTVVMPRPAGPPPAAPAPGSAFGLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMSYLYVTVVKARDLPTMDISGALDPFVEVKLGNFKGVTKYLEKNPNPVWRQTFAFSGEHLQSNLLEVAVKDKDMIKDDFVGRVLFDMTDIPSRVPPDSPLAPQWYRLADRSGEKLRHGEIMLAVWKGTQADEAFPEAWHSDAHSLPLEGLANTRSKVYYSPKLAYLKVVAIAAQDVIPAEKGRPLAPTIVKIQLGGQIRRTRPGQPQGSMNPVWNEEFMFVAGEPFDEPLVVTVEERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSVDSKWFNLSRAMSVDEAAANKQHEKTFASKIHLRLSLETAYHVLDESTHYASDLQPSAKKLRKGSVGILELGILSARNLVPMKAKEGRLTDPYCVAKYGAKWVRTRTALNTLAPQWNEQYTWEVFDPCTVLTVAAFDNGHVVNVGEGSKDQRIGKVRVRLSTLEIDRVYTHFYPLMALTPSGLKKTGELHLAVRFTCTAWANMLGMYGKPLLPKMHYTHPISVLQLDYLRFQAMQMVAARLSRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRITSLFSGAVAVAKWMDGICKWKNPLTTILVHILFLILVCYPELILPTVFLYLFMIGLWNYRRRPRKPPHMDTVLSHAETVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATAIFVMLALVVAVVLYVTPFQVVAVVAGLYLLRHPRFRSKQPSVPFNFYKRLPAKTDMLL >Dexi7A01G0024190.1:cds pep primary_assembly:Fonio_CM05836:7A:31971560:31974165:-1 gene:Dexi7A01G0024190 transcript:Dexi7A01G0024190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGQEDKGLKAKARRQDRRTLGRTPAVTRQSPRTDSQARPGRCNDAGRVYRASRVDCAARIEESGAVNRAHFSHETMKSSSVEGRIQSANPRRESLEARKLEEDPSRYKGLESLDRYQPPQGCTSPLRRLGGYSQQRPIMTNSTTALAPLLPRRGRSPSSWRFRHDRGSAAGLEGDVHPRADVFRHARGSASSSLRAKPEPATPGTAPETLRPSSPIINDPSAVKKKDSLHHATAHAAEKTSVKSIWRGHCTGRPWQRHSANHSFDFACLRQPSKETTELRSGRRTQVATLEVLICRKKNPELEGAIPARIVHDMGSTVHLATSAPRTHEFISFSHLACNPLLRATRNRCSAPLLDVRPRGRNQDKNSHLSTRHWGNEWLAPQSLYCFKKGLFLLLQGRE >Dexi1B01G0020330.1:cds pep primary_assembly:Fonio_CM05836:1B:26421556:26426548:1 gene:Dexi1B01G0020330 transcript:Dexi1B01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTRYPPGMGNGRGGNPNYYGRGQPPQHPQQQHHHQHQQTSGTHHQQQYAQRQPQHHNHNHHHQQQQHHHHNHHQQQQQWLRRNQIAREAAGAAGISEPKTLAPSTAADGVDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGLNKLCILFVFS >Dexi7A01G0022020.1:cds pep primary_assembly:Fonio_CM05836:7A:30454856:30457634:-1 gene:Dexi7A01G0022020 transcript:Dexi7A01G0022020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPLAAVVFHLCLLATASAALRLGPAATSGGRHASRTAYHFQPAKNWQNGPMYFKGFYHFFYQYNPHGALWDIGNLSWGHSVSGDLINWAALNTALDPTSPFDINGCWSGSATILPGGTPAILYTGIDAKKQQVQNVALPKNPSDPLLLEWHKPSYNPVIPLPADVAGDNFRDPSTAWRGGDKLWRIAVSAEVAGVASTLVYRSSDFVHWERNAKPLHSSRAAGMVECPDLFPVKAHGEEGGLDTSANGAGVSHVLKLSVMNTLQDYYMVGHYDDAADAFTPAEPERGDDVWNWRRFDYGHVYASKSFFDARKNRRVLWSWANESDSQADDVARGWSGVQTVPRKVWLDKDGKQLRQWPVEEIETLRRKLVGLRSDDTMLNAGAMNEIVGVAGSQADVEVEFKLPSLEEAEALEPNWLLDPQKLCGEKGASVPGGVGPFGLIVMASGDLQEHTAVFFRVFRHHDGKYKLLMCTDLTRSSTRAGVYKPPYGGFVDMDIEEHETIKLRTLIDHSVIESFGAEGRACITARVYPEHVEKSNSHMFVFNNGTDNVKVTKLEAWELAAATVNVGDDGLIVSESY >Dexi9A01G0049650.1:cds pep primary_assembly:Fonio_CM05836:9A:52240758:52241080:1 gene:Dexi9A01G0049650 transcript:Dexi9A01G0049650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLKEHNDLKALVESSTKSSADQHNDLKALVESSTKSSADQHNDLKALVESSTKSSADQHNDLKALVESSTKSSADQHKDLKALVDSFRIFTKEIEFLHL >Dexi4A01G0019300.1:cds pep primary_assembly:Fonio_CM05836:4A:23082374:23092832:-1 gene:Dexi4A01G0019300 transcript:Dexi4A01G0019300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSERRQPPPAPWTAAAAAPLPPLPPSLLSPPPMRQPSWLPPDPLRPLSSCPPPTATPPPPWPQQPPARPALRQARAQPPALTRAAFRRRRRLLLWPRSGSSRRRHLGFRRRRRHLSFLLAQATSGPLPPPLPAGEAGADLQPPPVGLAMGPTVQQPPPLTRAVAAAYHAELVDFAAAAGLADPAGLADLAATAGPRGFTRSPLRDTGKQVFDGAVSADAALAATLRAAKAEAAAAEERVRAASTTWAREHATADALARRVAEAERYLQPASSSQPVVPYNAPPPLEGSRSHLPDSVVTQLHLQAMGVQHIRGMVSIVLDSSSTSYNRWRDQVLMALRCYHLTDHVLADTPEAARDLTWHLLDTIVLSWISGTVAQDLQDSVNPLGGTARAAWLALENQFLGHAETRAFSSQGDLSVGEYCRKMKSMADSLADLGCPVEDRLLVLNILRGLNDTFDHIRDWITRQRPFPSYLQVRDDLVLKELTRRPQALMTPSSSSTALVASPPTPATPPATSLLAGVGGTVVAAVAGAGVAVVVLVEDVGAHRLHLLLAALLPLLGVRPGHPSPTRGQGVSRCGRFRLREADLALSTSLRLWLRDLLRWARRLGFHPPSPACLLSGLGDGTSTMGLTPPRNPEWIANSGASYHTTPDPGILSSPGTPLHLPPPVFRSSDFDFFCSIRCFRHHIYFHDLAPPARSSRPRRFGPWVVMFDSPFLLLLRMRSDAFPTLLHFFAWVSTQFGRTVKAAQCDNGREFDNHTSRDFFLSQGIQLRLSCPYTSSQNGRAERMIRTTNDVIRTLLIQASLPARFWAEALHTATYLLNRLPSTAISAPTPHHALFGTPPSYDHLRVFWCACYPNTSATTPHKLAPRSTRCVFLGYSPDHKGYRCFDLTSRHFPYSTSTIPSPDPELESLFSTDPVVPPPVSIYPPFTGVPSPVSPLPGAPTGPWVAPEPPVVPRAAPEPPAAPRAAPTSPVAPRAAPTSPVAPRAAPEPPTVPRAASEPPTAPRAAPEPPGFPARSWQPVHVYRRRPVPGPAASLPPPPPPSAPLARPRISSRVDPGVYHPPVVHRDPGHTHPMVTRRAAGVSRPVALSAVESEPGVSPVPSSVREALADPHWRRPMEKYAALLANQTWDLVPSPSGGNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALRRSWPVHQLDVKNAFLHGTLTETIYCSEPTGFVDTSRPDLVCRLNKSLYGLKQAPRAWYSRFATFLSSLGFAECKSDTSLFVFRRGTAAAYLMLYVDDIILTASSQPLLQSIIHSLQQEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQYALDILERAGMADCKPCATPVDTQGKLSAAESPLVADPTAYRSLAGTLQYLTFTRPDIAYAVQQICLYMHDPREAHLAALKRLLRYVRGTVDCGLTLHRFPSTELVVYTDADWAGCPDTRRSTSGYAVFLGGNLISWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHSPLTKSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGQVRVLHVPTTSQFADIFTKGLPSSTFSEFRLIKNIQLGKVEGNGGLKVAGASTDKRREMEVDHAAHPRCRRYRLIDGAQGHGPTRRPWIVINDIAATVCGLEQSVEQRRVQCRPNRGREMRCRQGRDPATNSQWAAVSVAVECGMWESWTWGASARVPSHSKRKAGVDAMAACGAVLGAEVTRFSWSCRTGVSRTVRGGTAPRQERWHLRMARRVVAATMLAFVFPSPGGTACIHLEPDRAEPKLPLSSGSSSSFPTIPLLSSDFSPPKFFPYTSPAAKFALLFPQICRASSATSPPATGYTTGHGRTNPEALPLSNPSPPSAALAWSGDWRSIRARINSVVGE >Dexi9A01G0007640.1:cds pep primary_assembly:Fonio_CM05836:9A:4462302:4466187:1 gene:Dexi9A01G0007640 transcript:Dexi9A01G0007640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAEELQLRDAAQPEAQHTCIITANFHTLYLEQNLDPGPVDKSVLVEQEFHKSEAIFVGKLLGQEPPQIKGGSINIAWLHDTFKTLPEGANQSDVEFATRAYILYQIGCSLFPDPSGTRVHLRYLALLRDFDASGEMAWGAAVLAHLYRELGKASMKGKANCCAFLTLLQIWAWEHIQIGCPERLENKALPDDQPLGCRWNVSFKNRENVRSMDHEFYRHELDTISDCQITWDPYTPNLIAGLPALCTFGSTHIPDPVEASERVTMQGDATLDDISESDVKNDYASIESVEVQETVGSMDNIKIYKKVTAELQEFTGAPLINGQGTLSSHKEQLQKITGAPENDSIMEEIVETRSEECTGQGTMDQPFNAIGPGDNLNNSMQKLSSMAGDVESPSIFEQEEPDAAIPGREEHHDAVQTQPEDNFVQDRVNVVQLPLFRENSKDPIENGPWQKPVAIPAEDSVITNAREITQRSSNSFTGEPDATIGREESSGDEDLGNPGPKELCKRRKID >Dexi8B01G0002110.1:cds pep primary_assembly:Fonio_CM05836:8B:1474673:1475118:-1 gene:Dexi8B01G0002110 transcript:Dexi8B01G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRRLRTSVALRRGANDGGVLAAIRSELSHELASSAPSAPAPFSSQDAPDFFTVSDAPQAQDVLLRRRDDSEEVLVSALLAPLQFVDQAPLPRDALMKVFSPVPPNSW >Dexi4A01G0002100.1:cds pep primary_assembly:Fonio_CM05836:4A:1434764:1437799:1 gene:Dexi4A01G0002100 transcript:Dexi4A01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATSQLATTHTGFGLGDASSTMFRRGVQGMAGSSRASSPATVSVRTSARATPRQQSRHAQRGGARFPSLVVCAASGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVVSPRYDQYKDAWDTSVVAEIKVADRYETVRFFHCYKRGVDRVFIDHPSFLERVWGKTGEKIYGPDAGTDYNDNQLRFSLLCQAALEAPRILNLNNNPYFSGPYGEDVVFVCNDWHTGPLSSYLKNNYKSNGIYMNAKTAFCIHNISYQGRFAFSDYSELNLPERFKSSFDFIDGYEKPVEGRKINWMKAGILEADRVLTVSPYYAEELVSGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYIAVNYDVSTGTGKKKFERMLKAAEEKYPDKVRAVVKFNAALAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCVCASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPADVQKVATTLKRAIKVVGTPAYEEMVRNCMMQDLSWKGPAKNWENVLLSLDVAGSQPGIEGEEIAPLAKENVAAP >Dexi4A01G0013370.1:cds pep primary_assembly:Fonio_CM05836:4A:12760823:12761362:1 gene:Dexi4A01G0013370 transcript:Dexi4A01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAEDPKHRKFALIHCWRILKDKPKWIERRKQIGASTPARNKKQKTKANASRSSAALVPAPATGVAAAAAAAEDRSKRPDGKKTEKKKLRQCSTIEALDYLVAKMKQTDDLKEMNKEKRCNKLIALQEEKIKLEREKFEFQRDMEEERILQLDFRNMTYRLQQYYERRQDEILARRGC >Dexi2B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:2B:27975694:27977464:-1 gene:Dexi2B01G0017590 transcript:Dexi2B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPWAAFLAIVLVTSLFLKTILPRKRRAYNLPPGPKPWPIIGNLNLIGELPHRSIHELSKLYGPLMQLRFGSMPVAIGSSAEMAKFFLKTSDATFSDRPTLSIGKYTAYDSSDIMWSQYGTYLRQARRICATELFSARRLESFEYIRDEEMRGMLRGLHVASGQVVQLRGYLQMMTRKYIHGGEVAEEDGSPLTVLTPVEFRELVDELFELNGVFNIGDFVPWLDWLDLQGYVRRMKRASKMFDQFLNHILDEHSQRRRLEGEAFVVRDMLDVLLQLADDPNLEVPLSRDNVKALTQDMILGGSDTTTMTIEWAISELLRNPELLTKATEELDRVVGRERPITERDFPHLPYMEAVLKETLRLHPAAPVLAPHLAREDACVDGYDIPAGTIVFVNVWSIGCDPALWDTPEEFCPARFIGSEVDVKGQDFKLLPFGSGRRMCPGFSLALKVTMLSIANLLHCFTWRLPDGMAVEQLNMEETFLLALPRKVPLEVVIEPKHMDCLYTSD >Dexi2B01G0011930.1:cds pep primary_assembly:Fonio_CM05836:2B:13754041:13754517:-1 gene:Dexi2B01G0011930 transcript:Dexi2B01G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSSPSLRHLLLLRPRLPLSPTCLAGSFSRRHVHSHSHSRRRLFSSASSSTLTHGDAASAHGDGDGVVDVNPPRGTRDFPPEEMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQISHSDL >Dexi1B01G0029390.1:cds pep primary_assembly:Fonio_CM05836:1B:33701784:33702544:1 gene:Dexi1B01G0029390 transcript:Dexi1B01G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIPFAFLADIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADSINRMRGEIDQVRSVMLDNIDRVLERGDRLELLVDKTANMEGNTMRFKRQARRFRNTMWWRNVKLTAAVILILSVLVYLVLAYLCHGFVLPSCVL >Dexi4A01G0012790.1:cds pep primary_assembly:Fonio_CM05836:4A:11618445:11619569:1 gene:Dexi4A01G0012790 transcript:Dexi4A01G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSGLRSCSAVGVPSLLAPSSRSSRLPFCANATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGIPVPWGTLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRTIYP >Dexi7B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:7B:14765239:14772112:1 gene:Dexi7B01G0006960 transcript:Dexi7B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLQPPHLHVHKLRRRHHHPAAMAKKGLVSIFYKLRDVHRPPPPSPSTPSAHYAQRRCYPPPPSSWPWPSCRHPRTSSFRGSGPTKDDAAAAAVFRTANTVYDTASEQFLRRSSIDEPACFDRSPLLALPADAEEATAGKVEDEEDKEMQLRETAVVRGMRSERLFFEPSGAEFLPPKQVITRSACRVPMSGEKAPFESVLIGRFQEASSPARGKDDEEGAAVVCVEEEDTAAAASAPEKNESTAAAKGGGAVVVTVESKDPYGDFRASMAEMVAAHGLRDWEAMEELLAWYLKLNAKGVHAAIVGAFIDLLVSMQPPEAAAASASPPLPSPSSSCITFEEYSSHSPTTGCFRFSLTQGVAATTPRKKRELPSTDPIHSGRHFEDQRASRLWSSPEVRTAAPASRSPEVRTAAPASSDHRSDAYVPWRERVMDVLLSMPSLEFGNFSGEEEEEGCGGLTCLLFGCRVLFGYAMPALECFKAIEQRPGRADQLRFWCEYWIILVLLVTFDEIAGVLISKIPMYYEVKLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPTIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVLDYVRSQASRGSRTRSFFSFRGDQEERPSFPDDYAIGGDRRDGGRHRRPRSGY >Dexi7A01G0010470.1:cds pep primary_assembly:Fonio_CM05836:7A:21257854:21261343:-1 gene:Dexi7A01G0010470 transcript:Dexi7A01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPGKAGDSRDAAAVMAGNGTEPSNSYSVARSMDPGNKRAGNGDYAVPPGVTPNPVMNGAVVYHSNEPLPAFKDVAASEKQNLFVKKVNLCCAVYDFTDPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMQEITKMVSINLFRSSNPTPRENKAIEGVDLEEDEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFRQIARSMNSSHFQVAERALFLWNNDHIENLIKQNYKVLLPIIYPALERNDRDHWNQAVRSLTLNVRKIFSDHDSAFFGECVQRFNDEELKQEESDSKREALWKRLEEMAASKPGESNPSVTPNGKSSQAAG >Dexi9B01G0045140.1:cds pep primary_assembly:Fonio_CM05836:9B:44749749:44750117:1 gene:Dexi9B01G0045140 transcript:Dexi9B01G0045140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTRLEDEGKGVGCAARAAHCGEELDASDGRAGGCEAADEGVVEKGEVGGSGRDEREVEGEERGGVAEVAGGGDRGEAEELGEHRDGVGRREDVAATDKSGMEEPEVALRGAPAGADK >Dexi5B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:5B:14411836:14418851:1 gene:Dexi5B01G0016370 transcript:Dexi5B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRRSAHGGIRAGAVPAAEPEVDGDDDAGEVVTVDYRFSILAVRRFVDKLSDEQEEVVRSMGFEGVLHLSRYSKLDRHFSAWLCNQLVVATAPASASAPARVPISLADGAGADVPVTARDVSEVLGVPNGERPVEVARNPADKGRDAAAVRRALGLDPGEAPTLQAAEAIVARRNKDAPLAQGPMTQAERDAFVVAFLLLVVEHFFAPGSVNRRGRVNEEVFHALANPSEVHLYDWAEYALEEFRRCAGRVREQVTSKSSKIALSGCLLFLQFSTVKPAQRDDFRSTSEGRPPPPHLQSCDAVWNYDAAESNDAIADESYPGNAALRLLLADAEETPVVQTTLKDVMTLEPETGCAPSCRSTETKKGYIFGPSPFEMGLVHPEPPLKKAEACFKWLEACSYADDQLKWPWIVLEEPIPIKVEGLYVKLGMIRSGELKADVCNLVMSLYHQLDDQIYQDSSTDEPRWRHFLPAEWSSSALKHGDKICESSATVRTMFSGQHITYDVGLCRMIIAPVEVEGSWSCYAWDFKEKRLNILDPLRNRNSSNEEAIKMKHSSSAPLLLRALLSCVSRYCSSHVHDHQESSTDGWETRILQDLKGMHTFHITRACTCCSTPEFDGKGLKQTVGPRTIASLRRDLVYQMLTMHGNTCRPPSIIGCPVTGPVRNQ >Dexi9A01G0048520.1:cds pep primary_assembly:Fonio_CM05836:9A:51295042:51295428:-1 gene:Dexi9A01G0048520 transcript:Dexi9A01G0048520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTQPHRDPDAETVKCWSLLWDRRWRKSSRRDPPGESTIRAASAAILKGAKHSAASPAKV >Dexi2B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:2B:10532864:10534470:1 gene:Dexi2B01G0009810 transcript:Dexi2B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQSNLGGSVLACTLSFLAAAISSAGGVGGGSLYVPILTVVAGISLKTATAFSTFMVTGSTLSNVFYTVFFLRSGGDRRTPVIDYGIAVVSQPCLLLGVSAGVVCNVVFPEWLVTALFSLFLAFATFKTYGAGVRRWRAETPELGRIPEDAAAVEEEALLGENGGGGRRCQWVDLVVLVTVWLCFFVMHLFIGGEGAKGAFGIMPCGVAYWLITVAQIPVALAFTACIGHQRRKSQQAHQGAIADQDISVSTKLDALPAYVFPVAALLTGAMSGLFGIGGGLLLNPVLLQIGVPPKTASATTMFMVLFCASMSMVQFIILGVEGIATALVYAAACLVASIVGLAAIEGAIRRSGRASLIVFMVAGVLALSAVVIACSGAVRVWEQYRSGQYMGFKMPC >Dexi7B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:7B:3783106:3785521:-1 gene:Dexi7B01G0002170 transcript:Dexi7B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTWRKVMPYMAMVFLQFGFAGMFLVSVASLRQGMSHYVLVVYRNVAAAVVMAPFALWFERKTRSKMTLSVFFKILALGLLEPVLDQNFIYIGVNNTSASFSSALTNILPAVTFVNAIILRMERINVKQRRSQAKIAGTAITVGGALLMILFNGPIVKFPWTKHVSHAVSDSGAHNSGHWLMGTFMILLSCFCWSAFFILQSYTLRSYPSELSLTTLICALGAMESGALALVMERNTKAWSVGFDMRLFTAVYSVMTLLGSIILSEVVTLGRLIGAIVIVLGLYALIWGKNEDQVNAHDTENSFEKHNTFELPSSTSDVNRNEGAVQAK >Dexi2B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:2B:4999508:5000828:-1 gene:Dexi2B01G0005400 transcript:Dexi2B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGLAVSRDQFDQILAYVNNTSTEATMDPQAPVAASFSSPGPNHITPGVLKPDLSAPGIDILAAWSPLASPSEIPDDKREVLYNIISGTSMACPHASGAAAYVKSFHHDWSSAMIMSALITTGAGQLNPVKARDPGLVYDTSESDYVAMLCAQGYNATQLTLITGSNTAPCATNSSMDSSGSNLNYPSMAARVEVEKNFTIAFPRTVTNVGAESIVYHAIVEADKDLTVVVSPSKLEFSTQNQKASFTVTVSGMAPGPGLVYSAAIVWYNVEHEVRSPVVVYTADPPKSRV >Dexi9A01G0042170.1:cds pep primary_assembly:Fonio_CM05836:9A:45729779:45730393:-1 gene:Dexi9A01G0042170 transcript:Dexi9A01G0042170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHFTAPFPDDHQYYTPPPRPNHHQGSSYPGFGVPALPGCLPFGAVAVKNEPAGQQPSSSSSSSIFAFGGQHPSTLNFSGGDWPDGIEAVQQQPQVPTERRSRAHWNTQEHVIAERKRREKMQQQFVALATIVPDLTKE >Dexi2B01G0008040.1:cds pep primary_assembly:Fonio_CM05836:2B:8213339:8213743:1 gene:Dexi2B01G0008040 transcript:Dexi2B01G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQQPPAQRYWFPYWTPPPLPAPAPDPQPAACPQLSRRDTSPAPQEKIIHELPADSKFKTATTRAVEIHKGKEEEKKNKEKEKGNENKEKEKEKEKEKDKKDKKDKEKEHKEKEKDKEKEKRRKMRSKAKRS >Dexi9B01G0013930.1:cds pep primary_assembly:Fonio_CM05836:9B:9316770:9318830:1 gene:Dexi9B01G0013930 transcript:Dexi9B01G0013930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPRTQQHCARRLHLGVEHRPLLPAEGRRLPRLPLLTQTARRDNWRCPPQPPPFESPGLKRRMGGVGTGVAECSAGGSVPAWRPAAVGGRRGSGDLLALAAWPEAMMLFDLGGLPSLEPNCCTARRQSTGIRGGPRNEAKRPGQAHPAPTNPSEPDPDTLSDPVRPVKPPLQPVTLLSPTVPPVPTLHLLASSPPLSTSPEHRPPIAVDALNPSPSPISAEPYTSGCSPCFSSRSLAARIASALFPTSPPGRGGAGGVYVRARRGGGDAGGLTD >Dexi9A01G0046660.1:cds pep primary_assembly:Fonio_CM05836:9A:49987937:49990846:-1 gene:Dexi9A01G0046660 transcript:Dexi9A01G0046660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDNLGELSNIAQLTGLDAVKLISLIVKAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDNYLRLVPLITLVDNARIRDRLEYIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALKKESEKLQVELQRSQSNMDLGTCEVIQHLIGVTKTVACTIPEEGTDAKVSEKKDLSYKESKGDGAKSYDDDDYPKKPKNASSAPRCSSPVSYGHDLVSSRGSYSDEWHADLLGCCSEPALLPEVQMLLWYREAAVMTSFHICSVVAAPLFKNGGKLRFVELMVSFLLKCF >Dexi6A01G0011490.1:cds pep primary_assembly:Fonio_CM05836:6A:16392036:16393784:-1 gene:Dexi6A01G0011490 transcript:Dexi6A01G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNPLLALLSAAAIGFIIGVSFPVQITPKLTPLMSFGDGNCTLGGSSIIGRFSEAFRNNNSTVEGTPPSQSNATSEKVVMPAKPKGADKLPPNVVDRVSDLHLRRLWGHPREDTPTRKYLLVLTVGYSDKVNVNATVHKFSDNFDLMLFHYDGRTSEWDEFEWSKEAVHVSAMKQAKWWYAKRFLHPSIVAPYEYIFIWDQDLGVETFDAEEYIKIAKKHGLEISQPGMDITRGVKTYDVNVRRNDTEIHTSTTVGKCSEDDNAHKQPCTAFVEVNAPVFTREAWTCVWHMIQSDLVHGWGLDWNFWRCVDEPEKQIGIVDAQYVAHHVGFTLGNPGNDTADGSRRKVRLRASSEFGMFKARLHNADKAQAAAR >Dexi7A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:7A:27303472:27307609:1 gene:Dexi7A01G0017740 transcript:Dexi7A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIINFLWSNQCDFPFSVKKNQVLDATSDEPWGPHGSALSELAHATKKFAECQMVMNVLWTRLGERGANWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETIVGILNDKERIKAVRDKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTRESDSFGGSYRDKEPVKTSTSGSQKSGSKLRKDTKPDRRNEDYSSPSSLKASSNTNNSEDDFDDFDPRGSNGKATAKPSEVDLFGPNLMDDFIDASASTPATDSAVEPQADLFADADFQSATASTETATNMGVQGNVDLFADKTSFAAAFPPQTGFIPPPSSGISSEANTSVSKNTAPEPFDPFSDIPLNSFDGSDPFGGFSSNAGSSTVPRPPTHSSTGKISTSGQNLQAASDFGAFVSNNEEAAKDPFDLSSTVSVGKTPLAAPKTDASDFGAFVSSTEEVAKDPFDLSSSSNLGRTDQTPLAAPKPSSKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADIGIVGGLGDGSDEKAMSSWTMGTTSGLGMGIPPSTQTGGIESLANYNKHQFGFK >Dexi2B01G0006230.1:cds pep primary_assembly:Fonio_CM05836:2B:5825268:5828654:1 gene:Dexi2B01G0006230 transcript:Dexi2B01G0006230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTMELVIAIPLVLLHACILSPRTAAALALRHHQYPQETAAAEALLQWKSTLLHAPPALLASWRPGTTPCTSNWTGISCTTTTTTRHGGAAVTGISLPHAGIDGHLGELNFSALPSLRRLDLSYNSLRGKIPAAIFVSLPALSYLDLSANWLHGDIPPEIGGGGMRSLAHLDLSINNLTGNIPPSLGNLTALDFLVLQQNMLTGPIPPELGKITGLETLVLCTTSLSGEIPETIGNLTKLITLQLYTNQLSGPIPPVVGNLVNLTDLELADNQLIGEIPTSLANLTKLGILILSKNQLTGSIPHGIGFLANLTDLLLDMNQLGGRIPQSLGNLTKMEYLELSKNQFLGSIPSEIGRLRDMWFVGLSENQISGSVPATVGNLTSLIEFDVFCNKLSGPLPREFLNLTLLEDVDMGNNSLSGELPSDICRGGNLVNFILAMNMFTGPIPASLKTCACVVYLNVGSNQLTGDISSLGPYPQLVEADFGRNKLHGHLSKTWASSVNLTILNLSKNMISGSLPPELSNLAMLEMSNLQYLDISMNNLSGSIPQELGGCTGLLSLLLNDNSLSGELPMTLGNMGNLQLVLDVSSNKFTDGLPSQLGNLVKLEILNLSHNEFNGSIPSSFASMASLSTLDVSYNNLEGPIPTGQLFRNASIGWFLHNNGLCDFKAYVADFGTARIIKSDSSNWSELAGTYGYIAPELSYTSTVTAKCDVYSFGVVVLEIVMGRYPRELQSLGSLSLEEHSKLAMDFLDQRPPSPTAAEKKEVALLIEVAYACLETSPQSRPEMQDVYQKLIRHKPSSLASSSGKITLERITDGEV >DexiUA01G0000700.1:cds pep primary_assembly:Fonio_CM05836:UA:2435593:2437336:1 gene:DexiUA01G0000700 transcript:DexiUA01G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGTGGGRDVPSQRRDHVVNQQNQAPPPPPPQPAMDPAMQQFLATQMQLIQQLTQTVANLQAQQNQQPPPPPEPVQPPRDHHRQFLSQHPPTYSHSADPLDADDWLKNVAKKLNIAQCTDREKVLYASGRLEGPAADWWDAYVNAHNAPDTITWQQFQDAFRTHHIPAGVVDLKQEEFRALVQGNMSVCEYRDKFTQLSRYAPDDVNDDAKRQRRFLKGLIGPIKYQLQTHTFPNFQTMLDKAIGCENTRKELSEQKRKFQSSSSGQTSNTRPRYNSSQGYQNRSGGNGGHYQQGQQIQHSGQQAQRYPQQTPRTPNQQQNRSGNGTPPVRTNNPATPVPPNGCFKCGDMGHYANKCPKRNPQTPQTQRSDGQRSAQPSSQQGNKGQQNFARGRVNHVTAESAQEANDVVLVHH >Dexi3B01G0035750.1:cds pep primary_assembly:Fonio_CM05836:3B:38743087:38748732:1 gene:Dexi3B01G0035750 transcript:Dexi3B01G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAEALRARLLAALRPWLAADPAELRVEPGLLARSRAVARGVELDAAALNAAAGAGQSASWPATFDRAAAAEVELAASPWAAPAVDAVVRGVDVGLTLREPAPKKQRPDFKEWVSKEKKRVLASLDPQGEMLHEMIEGVVNSLDDKFASLFPAVLLNCGQVRLHDVTIRVRKYFYSFFGVRDVISTNLGARIAGCIAFFCMDELLIVCQGGWIFLETISLAKLVLCCKVYLWLLVDLPLRAMSNLVKDSVTSISERGNYIVTNRDSEMEAEAVPLAAHVRSEESQLNAIKCLDLELSFLSLTLAVTDKSGTHQGLTFEVDASLQQIDLGMKFLFEVKRLSISTITSICKNANEQLRYVPAPRFRSSKADDHSPQSEIQEYLPFVQADNVDTYDHDAPSSSTSAPGSSAGNTSLDFSSHGNQILKHLSTYLKIERKSLDGDSSLVHLSGDWCGSGSISGLEVAISLSNIEVISLLSIYL >Dexi2A01G0019020.1:cds pep primary_assembly:Fonio_CM05836:2A:31142591:31147710:-1 gene:Dexi2A01G0019020 transcript:Dexi2A01G0019020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELERQRDLKETYKARLESTQGYLRFCLDVAQEHGFLHLISDTASQQHSPPRDAEAEPGKAAGDDDEPSETPPPCDPCLVATRSLAVQHGWSVAPDEIELHEVIGRGTTADIHRATWRGLEVAVKWMRPEFFISNPSAEAFFAQEVELLSRQRHPHVLRLMGACLRPPESCFLVTELLSGATLGEWLHGSRERRPRASSSSSPPPPLVDRVSRALEIALAMRYLHEQTPRVVHRDLKPSNVLLDADGRAQVTDFGHARFLPDGKEALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGILLNELITAEHPYIYTSYGPSKIALAVADGKLRPKLPERDAYPAGVIDLICRTWDA >Dexi9B01G0005780.1:cds pep primary_assembly:Fonio_CM05836:9B:3395044:3395964:-1 gene:Dexi9B01G0005780 transcript:Dexi9B01G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSSSWKRGGGGGDGDIESGGGGLEMSAPPGAAAGASLDKFFEDVESIKDELRDLERIQRSLHDANEDGKSLHDAAAVRQLRSRMDADVNAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLKDSMETFSSLRSRIAAEYRDTVARRYFTVTGTNADEATLDALAESGEGERFLQRAIAEQGRGEVMGVVAEIQERHGAVAELERSLLELQQVFNDMAVLVTAQGEQLDDIRGNVGRAKSFVDRGREELQEARKHQKSTRKWTFIAILIVLIIILIIVLPIVLKK >Dexi9A01G0032610.1:cds pep primary_assembly:Fonio_CM05836:9A:37547369:37547794:-1 gene:Dexi9A01G0032610 transcript:Dexi9A01G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQRRTMLVRVARRRRPRPSRTTKRSSAASRRSAPRRRVCGGGGGWRARRRRRSTTAEKEKSSAVVALASALAMDVVLEADRMGSSGSE >Dexi1B01G0014880.1:cds pep primary_assembly:Fonio_CM05836:1B:21284988:21289545:1 gene:Dexi1B01G0014880 transcript:Dexi1B01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQRVLVLAPWALLLLALQLAAASHVIHRSLEAEAAPPSVPSSIVSPLLRTGYHFQPPRNWINDRLEADMLLGDFGTTAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSHDLINWFALEPAIYPSIPSDKYGCWSGSATLLADGTPAITYTGISRPDINYQEQNIAFPKNKSDPLLREWVKPSELNPIASPEPGINATQFRDPTTAWLADGHWRMLVGGVRDTRGLAFVYRSKDFKKWTRAKHPLHSALTGMWECPDFFPVAGSGEENGLDTSEHGEKYVLKASLDLTRYDYYTVGRYDKDKDRYVPDNPAGDYHRLRYDYGNFYASKTFYDPAKRRRVLTGWANESDSVPDDKAKGWAGIHAIPRKIWLDPSGKQLLQWPVEEVEKLRGKSVSVSGKVVKPGQHFEVTGLATSYQADVEVSFEVSSLDKAEPFDPAYDNDAQKLCGVKGADVKGGVGPFGLWVLASGDLQEKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSIAIGKGAHLYVFNNGEADVKVSRLTAWEMKKPLMNGA >Dexi3B01G0025760.1:cds pep primary_assembly:Fonio_CM05836:3B:20637316:20641104:1 gene:Dexi3B01G0025760 transcript:Dexi3B01G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRPSPHGAVAGGKPSSPRAGPGADRRHPRPFAKNLDFATWASEHSSKLLLLLFALASAAAVFLLRGAAPDAAALLCLDRSRSSSAGPAKLPYPDVAWSKVPPLAIAAGVPFASFRAERWIVVAVSTPPTAALAALARVKGWQLLAVGDSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYGSHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVGHEVFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTSAFDLRFDSEAPKVALPQGMMAPVNSFNTLFQSPAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKQTLFEKILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVMIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNKELAVERCTLSHAYKYLPKVFERYSGADGFVFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLESNKEEWFVKQGALVKQVIGNSPVHFQTNYKENMGENKIAFCGSELFYVPRRFVEDFGDLVGLIGDLDLHHKIAVPMMFLAMDSPQNFDSEPLAGTVFKTQLPANATFSTIYTAQAPAVFPVKVMNEIDFIKVIRLMSIGDPLLMELV >Dexi1A01G0002690.1:cds pep primary_assembly:Fonio_CM05836:1A:1786403:1787212:-1 gene:Dexi1A01G0002690 transcript:Dexi1A01G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTEIHPYKNKLKHEELCRFRPYNCPYAGSECLITGDVPFLVSHLINHHKVDLHEGCTFNHRYVKPNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGTAPVYMAFLRFMGEESEAQGFGYSLEVGGGGRKLTWQGTPRSIRDSHRKVRDSFDGLIIHRNMAVFFSGGSKQELKLRVTGRIWREQGQ >Dexi1B01G0029210.1:cds pep primary_assembly:Fonio_CM05836:1B:33580213:33586663:1 gene:Dexi1B01G0029210 transcript:Dexi1B01G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAPPLDDCLRLLRGERDEQKLAGLLVAANVCRAGDADAVRKVYDAAGPRFLRRLLNTGLGKVEGGKEEEREAYLRLAVTVLAGLARAPEVAADEGVVSTVPLVAEVVSKSTDPAITEECFELLSLIAIASEDGAYKFCEPGVIDMIFLQVPSLPDGSKCIELAINLMRLLVHKLKVDSMSVEKLQGMTSMVTCLARLFAILHTAVKFDALHMLTTLLSQKESALHDLLRSMPASIWEFHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDCKIHGNQNVLPVDKFVLLVLESARVEVAVLLNELAYLKYKSSKTSQADEAVIDCLVKMTEQDGIDNGSMFLACDTIINFMSNRKSVHIPVDSCFIRLLKALVTWADLTSEEFLLSRSDFDTKTLGSLSELILRSLQQGIPDDDGEQLNQKQIIVSGMGQLQESPECGGGSAGGA >Dexi7B01G0009140.1:cds pep primary_assembly:Fonio_CM05836:7B:16816952:16817176:1 gene:Dexi7B01G0009140 transcript:Dexi7B01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARALREAEAAVFPALDDAGSASPHQTAAAAVNGGDDRRMKQELVAWAKAVASMVVRGSMHC >Dexi5B01G0030720.1:cds pep primary_assembly:Fonio_CM05836:5B:31616520:31616800:-1 gene:Dexi5B01G0030720 transcript:Dexi5B01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPEADVVRTVARIRASPLQMYPGRRARAPGEVEGTAHEVLLVEWKRPSMPRRWPGDNHEIPSPS >Dexi9B01G0024320.1:cds pep primary_assembly:Fonio_CM05836:9B:20251948:20253171:-1 gene:Dexi9B01G0024320 transcript:Dexi9B01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDERGPSPLSDEFVLASFCGGGVSSCGGVGVSSAHSRGLMRRWVVLMRRLGRGRFLLPPRGGGGDCEAEKTNAGVAVPTAGSVVSLAAAEDVEPMCKHAGAVEGTSANPPLLVAPVRAVFGECRDAAPPLPEGINGCFGEAKKERPLVFEPLEVGVRTASGEAAANTKPPLEVGPVGVLGRSGAAKKANMFPALLASDPPGVLGAFSAKANMFPAAGVGWIGRSPGANENSAAVEDPARGATGEGEGVGAGGGVPGAGSKGASSSSILLRTEEAGRRQRRLRPASAAQGAEKATAVCAGSMRSSARCRGAGGGVKKKGSRAMAMALQRRLRPASAAQGAEKATAVCAGSMRSSARCRGAGGGVKKKGSRAMAMAL >Dexi2A01G0002500.1:cds pep primary_assembly:Fonio_CM05836:2A:2005328:2006273:-1 gene:Dexi2A01G0002500 transcript:Dexi2A01G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLESIYTVFVSKVLFTRNSVLCCFTRINICFNVEWQTRGSDTSTAESGSEADDATSPKALGTYISHPKLTPVREEVKMVRATSFSTRLPEYDVPVVDKAVDATWKREQSRKTPLPPKDSSLGTATKPSDSSWDKIVAILMACLMAIVMLVRSILLQRDCHIRPSQKKATLQCIQNPRKRNFALHEALIRQEELLACIDGKEVAKAQ >Dexi8B01G0008650.1:cds pep primary_assembly:Fonio_CM05836:8B:12674327:12674686:1 gene:Dexi8B01G0008650 transcript:Dexi8B01G0008650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHAGLAVDLWPPPTVGAAPLGGRLAHPWLRRRRRLLATPPLLPPPPLADLVAFSPVVGAAPPKLALVRPWSWPPRLIVPSMKLPPPGIGPNPSFHTPSSRSTLSALPHSCIIVWLAC >Dexi8A01G0012300.1:cds pep primary_assembly:Fonio_CM05836:8A:21373870:21374332:1 gene:Dexi8A01G0012300 transcript:Dexi8A01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKAAAASGGDDLTRELPDALLHHVLSFLPVDEAVQTSVLARRWLHLWKDMPVLRLASPKKRFPTAEDFDSTFWRARFGCSESSGTLSARKRNSSCLLSPST >Dexi7B01G0016800.1:cds pep primary_assembly:Fonio_CM05836:7B:22829822:22830319:1 gene:Dexi7B01G0016800 transcript:Dexi7B01G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPMILRLQWAVVKPRPVWHRPFHLAGTGPRPLSLKLGLSGHTPVSSTPTTTSWSARPADQMLFLISGLMPRKSGLRVVCSCRTVSGSTATTPSASAISSASSSSSFAAKPWNAVSCTELTDFTVEAYHSWWKSNELGISDAAI >Dexi6A01G0003480.1:cds pep primary_assembly:Fonio_CM05836:6A:3084093:3084638:-1 gene:Dexi6A01G0003480 transcript:Dexi6A01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFVLSILVSSTILFTTGTACPNVVTMKMDDACLKSCNTMPEWYTLCQDTLRSEPDTAEVTSYALMATRLAIAKYGDAIMHTLDPMLGAVNMPKEEREAVSNCKSKYREAGALMESIAEQMVTCDFSRGRQEYGDVEASIGSCYDGLWPYQFLPLYDMVKADRDLTMVALELAGLIFSSY >DexiUA01G0009910.1:cds pep primary_assembly:Fonio_CM05836:UA:19469362:19470163:-1 gene:DexiUA01G0009910 transcript:DexiUA01G0009910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEAGVRWRSSSPSSDGIAAAECRRHREAGLRTDAIRERAARPASLVRIRRQPLAPPASRARARPLAPVRRPRARPLAPMRRPRARLRAWGAARQPRSRPCARAAGRPRASCAPGRVPGRLPRRQRAWVPRNRDGRGASRGGTSMATDAWLPEG >Dexi9A01G0033140.1:cds pep primary_assembly:Fonio_CM05836:9A:37984561:37985311:-1 gene:Dexi9A01G0033140 transcript:Dexi9A01G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVKVGAWGGDTGSACYDITVAPQRLESITIRSGKVIDSIAFAFRDRDGKLHTAGPWGGAGGVDHNTITLEPSEYITEVAWSLGSFELEKVDCCVTSLRFVTNQQRTYGPFGNGLGTHHRIPVIDGGSVVGMFARAGKFIDAVGFYVLPNGVVQDSKGSN >Dexi9B01G0000140.1:cds pep primary_assembly:Fonio_CM05836:9B:131448:133882:-1 gene:Dexi9B01G0000140 transcript:Dexi9B01G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQGRGKSPEANNDLGNAALYFFGDRSSRPPRSWPSMALNAALRYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTRSWPVHQLGVKNAFLHGTLTETVYCSQPTGFVDTSRPDLQAPRTWYSRFATFMTSLGFTECKSDTSLFVFRRGTEAAYLLLYVDDIILTASSQPLLQSIIHSLQQEFAMKDLGVLHHFLGVTVEPRHSGLFLHQRQYALDILERAGMADCKPCATPVDTQGKLSAEESHPVADPTAYQSLAGALQYLTFTRPDIAYAVQQICLHMHDPREAHLAALKRLLRYVRGTVDCGLTLHWSPSTKLVVYTNADWAGCPDTRRSTSGYAVFLGGNLPVVSRSSAEAEYRAVANGVAEAPLTKSTLVYCDNVSTVYLSTNLHVEIDLHFVRDRVAIGQVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNGLVETARGSVVSVSSFLSTQTAGGCWSV >Dexi5B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:5B:5428466:5428924:-1 gene:Dexi5B01G0008090 transcript:Dexi5B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSMDLWDPFDNMFRSIVPSSATSDSDTAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDRWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKADEKKPEVKSIQIAG >Dexi8B01G0008390.1:cds pep primary_assembly:Fonio_CM05836:8B:11227044:11227316:1 gene:Dexi8B01G0008390 transcript:Dexi8B01G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRESASRDARKPRLSGPHLPGGHLSPLRGAHTSAVRKVLALTLVDRAERGQDGRKKWRSGKRESRTPAKREERESWLVHQGEMREEI >Dexi3B01G0019930.1:cds pep primary_assembly:Fonio_CM05836:3B:14950725:14951921:-1 gene:Dexi3B01G0019930 transcript:Dexi3B01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRWKDKYVKERLQGLSGLSCSSTASTSVVVASGRAIDRHSPRLRDPHRRLPPPAPRPPGSPYEDGKEKRKKSTEAAAAAASSRSSTSSSSSEHKKKNKKKQAVVQLQQVSPASSSRFLLNSSRLAMHSDDDVITVVDALPPPLPSPPRPSFFDDEDDINVVADSLPPLPSPRPAFVDDDMFRSRGDAALQLQPAVRSGTPHQIEALPVGFLASPSAGAGSSSSSWLSSETGRVANGDKTSMMRSCSTRTGQQQVVVLRVSLHCKGCAGKVKKHISKMEGVTSFDIDIPTKKVTVVGDVTPLGVLNSIAKVKSAQFWPDALSSLSTPPRVSASF >Dexi3B01G0002340.1:cds pep primary_assembly:Fonio_CM05836:3B:1627668:1627913:-1 gene:Dexi3B01G0002340 transcript:Dexi3B01G0002340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNPELGLWLGLSARSPSDMCALDLSTAAIDSCDVPPMVQHVGLDVNLPEDWSLKSRKVVNLGSSRFCLAMFCHTSLVWM >Dexi5A01G0028420.1:cds pep primary_assembly:Fonio_CM05836:5A:31719296:31722161:1 gene:Dexi5A01G0028420 transcript:Dexi5A01G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKHLETARADRRMWLMKCPTVVSRAWQEAAATSNPEAGGANPNPVVAKVVLSLDLLRDEQPTKARLLLPSAPYILWSREKKKPVPQKPFEMKRTRRNRTDMENILFKLFERQPNWSLKQLMQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSAEDSNAT >Dexi7B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:7B:14665175:14667601:-1 gene:Dexi7B01G0006870 transcript:Dexi7B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEYVFGVAHIFASFNDTFIHITDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGEHFF >Dexi1B01G0017220.1:cds pep primary_assembly:Fonio_CM05836:1B:23597283:23597996:1 gene:Dexi1B01G0017220 transcript:Dexi1B01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSDSTGGGDDRRIPVAAPTGDGGSGETSKKRRTEEPSSSSSGAGECSSTPASVQVPPRPTQREQLGPDAREGEGEQPPVDADAGGEGEQERVPDLGEDLLFEVLMRAEARTLASAACVSRGWRQLARDERLWEAACVREWANLGFSEQMLRMIVLSFGGFRRLYVQHIRPVQRRAAGMPPGQRRGQLPVRLGRDQVQVSLSLLSTSFFLSMPNAPPPEKDKDNDKDKNGGGQCG >Dexi7A01G0009240.1:cds pep primary_assembly:Fonio_CM05836:7A:20304186:20305904:-1 gene:Dexi7A01G0009240 transcript:Dexi7A01G0009240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQTNQSEHSFVKGEHLNGGKTGQTCIQDYSYDKDVVEIKLPDTVLSADYGGHFIKDVCIDEGVLPDKKTSKENQVSSNDCHETGIGVASETNNIIHSDLPVESAAADFSVVIPEEVAIGTALDKGGSIQVNHYNPFIAYGPLDEIWEPNYSLPTIVDATSIAPICPVEKTDSFSDLVNRTLEGFDPINIDEAIIEENRTNSVEASSATLDVQASEQLNDQRENPSDDVNTDVVHENGIATSLSTSNGEPNDVKSENGKKCEIDSARDINDFNPRDVEVGTKRSEDIMDSKSSPLVQTESVVQQNGPDSAKVTAQTVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPV >Dexi5B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:5B:22293232:22300736:-1 gene:Dexi5B01G0020010 transcript:Dexi5B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIHRMTSLQRNSSLWRRGDGVFSRQPSRFFQDEEDDEEEALRWAALEKLPTHDRVRWGILQALDGEKMAVEVVDVGRLGARESRALIERLVRAAADDHERFLLKLKERMDRVGIDYPTIEVRYEKLEVEAQVHVGDRGFPTLLNSVTNTVESIGNALHILPSRKRPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKELKVSGKVTYNGHGMNEFVPERTAAYISQHDLHIGEMTVRETLQFSARCQGVGTRYVLSFVVRFGIEMLTELARREKAANIKPDHDIDVYMKASAIGGQESRIVTDYILKILGLEICADTVVGNEMMRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTCQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGHVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWARQDRPYRFVPVKKFADAFRKFHVGRSTQKELSEPFDRARSHPAALATSKYGVNRMELLKATIDRELLLMKRNAFIYIFKAVNLTLMALIVMTTFFRTNMRHNFTYGSIYMGALYFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGIYVFTTYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGVGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGNKWNIIESGKNVTVGIEVLQSRGIFTAAKWYWIGLGALLAYTLLFNFLYTLALSVLSPFTDSHGSMSEEELKEKHANLTGEVIEGKKEKKYRRQELELSNNVGQNSVSSSESSSQNRKGMVLPFAPLSLTFNDIRYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVNLETRKNFIEEIMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVAIPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIEGISEIKDGYNPATWMLEVTSSAQEEMLGVDFSEIYRQSELYQKNKELIEELSKPPPGSSDLNFPTQYSRSFFTQCLACLWKQKLSYWRNPSYTAVRFMFTIIIALLFGTMFWDLGRKTERQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYIFAQTLIYGVLVYSMIGFEWTVAKFLWYIFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPRIPVWWRWYSWTCPVAWTLYGLVASQFGDIQHNLKGGEKEQAVAQFITEYFGFHHDFLWMVAVVHVFLTFLFAFLFSFAIMKFNFQKR >Dexi4A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:4A:897579:898274:-1 gene:Dexi4A01G0001390 transcript:Dexi4A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEVEQESERPPRFRWLDAARYAAAFMVTVLIAVVVLKAIKVVFPDVLYVSVVQGSIFVTPKTVGTPDHLVLPELKLDLSLRIDNPRRRAIMYYVNVTAYLFDNDTPASTSRPEEDSLVFFRQLDEAVSQQAEVDYEIHLVATQLSFDTGFFDSLYNNTNGGGASMSGVTMRLEATLVITDTSTNSNSSLEVTYYCWPLVIQTTSFVADAEDLGDDDVFCREAHRDHFI >Dexi2B01G0006950.1:cds pep primary_assembly:Fonio_CM05836:2B:6918731:6919314:1 gene:Dexi2B01G0006950 transcript:Dexi2B01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVDCTAAASARWLLPNPPLSVPEQVKSEATATEMAAAALRPAILRRIRLSPAPSLPLAAAASQPHALARWLARPMSSHDAHLSREEVVDRVLDVLKSHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDREADNIDSLPLAIEYVANHPMAG >Dexi2B01G0032680.1:cds pep primary_assembly:Fonio_CM05836:2B:40536062:40536313:1 gene:Dexi2B01G0032680 transcript:Dexi2B01G0032680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIVLYWVYAGGYQLILHRWPLERYRLHTRAEEEEKNLVSLPTVVRGVLLQQLVQAIVAMVLFMVRR >Dexi5B01G0036690.1:cds pep primary_assembly:Fonio_CM05836:5B:36339149:36342005:-1 gene:Dexi5B01G0036690 transcript:Dexi5B01G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAYLHRSGDPSSVGEADAASSSAASASSSARGSTSRTTRLRRGARLRLRRRRQEPALAAGAGAGVQDDLALPLGMSFAAVLAQVMSTENHSGERLQPALLSRSESESGLLMQVCTSAVKESLRNIYGDKLDSFMKNFERSFSSTLTTLHLVNEMPVYEQSPIPRCSSKHEDSTAASMLSTDDPQTPPREIKQDILNSVESQLVLYASSNQQLTPCPRGISSPEADQRILNAFERSLKEQTRSNELKEFEIGLSMRKLQLKQSQLELNSYSHMLEKMKLSLGFQKASFQGDKFKTQMRDTRHAQILRTLIDFLASAVIIMSVCFGYGTYIYSYERITDITAACSVTSRGSKSWWMPNSVSNFNSGLLFLRCHFIAATRMGFGILMILAIAWLAFQRSAVSGSNMPVTFNFILLGVVCGLAGRFCANTLGGDGNIWLICWEVLCSIHLLGNCYPSVLYRVLHGPISVSHRKEAVWFPYWIRRWIFYAMIGFIMPALTGFLPFASLSDWLNHFARQLKSIFVGERIED >Dexi5A01G0024710.1:cds pep primary_assembly:Fonio_CM05836:5A:28556504:28556821:1 gene:Dexi5A01G0024710 transcript:Dexi5A01G0024710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEKTAEASADGGCGQPKALSRGSARFERLLSGLGAGPLMDVEPDKVKDELRRWAKKVAALVRQLSFGAWPEKGDGSSEHHVASDDTSRAEQPRMKITFPGGQ >DexiUA01G0020960.1:cds pep primary_assembly:Fonio_CM05836:UA:43207339:43210965:1 gene:DexiUA01G0020960 transcript:DexiUA01G0020960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTAAPPYTAAAAGMAASEGYLTFAVSFLGPLFIHGAGAAAHAVVALAVAGRLLLLLFRRCGGGVTKDGVAAAASRRGGSFRCHGVAACATWALAAFQAALAAYTCYLYLVAAGGGWPRDTVLDVADAAARAVAWLLLAAYLHQLGSRRRLPAPLKLWWALFFLLSVLAAAAHVATSLDGLPVPARSWALDAVSVVAGVVLLCAGFLNGRDGGGDSAAEEPLLNGAHGTAGGENTRSAAEASRFTGAGFLSVLTFSWMGPLLAVGHKKTLGLDDVPDLDPGDSVAGLLPTFTANVEAVSGDVSVSGQKAVTAFKLTKALVRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNADERYATKGQLLVLAFIVAKVFECVSQRHWFFRLQQAGIRARSALVAVVYQKGLSLSSQSRQSRTSGEMINIISVDADRVGIFAWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIELRKTETNWLRKYLYTSTVVSFVFWGAPTFVAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTVSMVIQTKVSLDRIASFLCLEELPTDAVQRLPSGTSDVAIEVSDGCFSWEASSELPTLKDLNFQARRGMRVAVCGTVGSGKSSLLSCILGEIPKLSGEVKVCGTTAYVSQSAWIQSGKIQENILFGREMDSEKYERVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQEADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKDGRIAQAGRYNDILGSGEEFMELVGAHKDALTALDSIDAAGGSNESSPSRATEKLTRSLSSAEKKDKQDEGNNQSGQLVQEEEREKGKVGFWVYWEYLTLAYKGALVPFVLLAHILFQVLQIGSNYWMAWAAPVSKDVEPPVSMSTLIYVYIALAVGSSFCVLVRALFLVTASYKTAIMLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAFQMGSVAYAVIQLVGIIAVMSQVAWQVFVVFIPVVAACFWYQVLLPIFIFLLVELHR >Dexi3A01G0026530.1:cds pep primary_assembly:Fonio_CM05836:3A:22782772:22782978:1 gene:Dexi3A01G0026530 transcript:Dexi3A01G0026530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSKTSWPEVEGLPGEVAKQKILLDRPDVQVDVLPVDSIVTTDFDIKRVRVFVNKKGNVAKVPKVG >Dexi8B01G0016780.1:cds pep primary_assembly:Fonio_CM05836:8B:27944447:27947316:-1 gene:Dexi8B01G0016780 transcript:Dexi8B01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSPAYHSPPRRGHGGRGRSPPPRRGYGGGGGGGGGGGGRGGRGDQGSVSLLVRNIPLRCRPEELRAPFERFGPVRDVYLPRDYHTGSYSPAPRRRDDYSASPPRAKEEQRRSSKQPKEIDGDKKRRSYTPEDMNDRRGGDNEEDEEPRRGRRRSPRPASVSPPGSRSRSASPASSG >Dexi3A01G0028630.1:cds pep primary_assembly:Fonio_CM05836:3A:29481480:29482159:1 gene:Dexi3A01G0028630 transcript:Dexi3A01G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSERTKVFIEVLQEMFEYMDQWRPKVLVNTFDELEANVIVEMKQHLDVFAIGPMVRSSMETQIHLFNHNIIDKKRYMKWLQAHPDNSVVYVSFGGLSKYTKHQMDEIVGGLKQCGRPYLLVVRQDGLEDDESHRLDNTQSHGMIVDWCDQLEVLSHLAVGCFVSHCGWNSTIEAVVSGIPIIGVPNMFDQPTNTYLVEEVWEVGVKVERNSDVGKVH >Dexi1A01G0006110.1:cds pep primary_assembly:Fonio_CM05836:1A:4568226:4571247:-1 gene:Dexi1A01G0006110 transcript:Dexi1A01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGRRFRAGAPVVLVLLMIAAAALPRRALAVTDAADVSAINGLYVALGSPTLPGCVFNAANLGGQLGSLGKFTSITEINLSNNNIGGTIPDDLPVTLRNFFLSDNQLTGSIPVSLSKLQSLTAMSLNGNHLDGKLPDAFDSLTGLGYFFQQLQWSITTFNGKLDITNYIDLPLKDLYVHILLSVVN >Dexi9A01G0007100.1:cds pep primary_assembly:Fonio_CM05836:9A:4074993:4077044:-1 gene:Dexi9A01G0007100 transcript:Dexi9A01G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRLTRSLLVAGVLLLATGVFGVAVLGAGDDEQFVYSGFTGAPLSLDGTATITSSGLLELTNGTAQLKGHAMHPTPLKFHRTPGGPVRSFSASFVFGIIPPYADLSGHGIVFFVGKDNFSTALPSQYLGFLNDKNNGNATNHLLGVELDTIRSTEFNDPNDNHVGIDINSLTSVAVTDAGYYDDGTGDFHNLTLISAKAMQVWVDYDGETTQIIVFLAPLKMSKPSKPLVSATQNLSDILVDPAYVGFSSSTGTVKSLHYVLGWSFAMDGPAPDINIAGLPKLPRFGPNPRSKVMEVVLPIITAASVLAVVAVVVVLVRRRLKYAELREDWELEFGPHRFTYKDLFDATEGFKSKKLLGVGGFGRVYKGVLPKSKLEVAVKKVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGSKEDKEEKATLDWAQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFILEVVCGRRPVEQSMTDNRMMLVDWVIDHWQNESLAEVVDERLEGRYDAGEATLALKLGLLCSHPLPGARPSMRQVMQYLEGDMPFPELTPAHLSFSMLALMRSEGFDSFVMSASHASSTAVSIGTMTGLSGGR >DexiUA01G0014250.1:cds pep primary_assembly:Fonio_CM05836:UA:30242834:30243736:-1 gene:DexiUA01G0014250 transcript:DexiUA01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLALQLALCAFFLLLGGSPAAAKSQWTPAFATFYGGGDASGTMGGACGYGNLYNAGYGTRTTALSTALFNDGAMCGACFTIACDSQYCKPGTSITVTATNLCPPNWALPSNAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRAGGVRFTVAGHSYFELVTVANVGGSGVVAQAWIKGAKTEWMAMSRNWGANWQSNAFLDGQSLSFRLRADDGRVVTANNVVPAGWWFGATYTSNAQF >Dexi3B01G0004220.1:cds pep primary_assembly:Fonio_CM05836:3B:2908442:2912699:-1 gene:Dexi3B01G0004220 transcript:Dexi3B01G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASGAPPSRRTRSRPPSASSRKHDDPSAAAANGNGNGKAASKPTSPHQLTGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVDAVLLTDAQGLLSGIVTDKDIATRVIAEGLRVEQTIMSKIMTRNPIYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARLEKAAEQGSAIAAAVEGVERQLGGNFSAPSALIETLRERMFKPSLSTIVTENTKVAIVSPTDPVCVAAQKMREFRVNSVVVATGNTLQGIFTSKDVLMRVVAQNISPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVIDRDGQIAACLDVLQLTHATIQLVEGGNETVNDVANSVMQRFWDSALALEPPDEEFDSHSEVSLLLPSEAGDGKSSAYPPVTGNSFSFKLHDRKGRVHRFTCGIIHVPYLTRRCSENLGELVSSVRQRLSITDEKETMQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVLKLHIEDPGLNTEVTKPLQELAPPPQSSGISPVHVGLMAGVVALSGAAVLVYLKRSQL >DexiUA01G0002070.1:cds pep primary_assembly:Fonio_CM05836:UA:4928273:4929405:1 gene:DexiUA01G0002070 transcript:DexiUA01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGLRSLSMRHGPPRGRRAATASPGRPSRGGMFLLSSRGRLTPRSVTLHAAALEQPRAARSAMMASRAQPLAVATRAALSRPAVGSPLTTRQAPLRKISPRPRHDPRRPTASRALPELAGTLMAACVPKPCTRATPAAPAGSSLLLLLRQVTIDPCLRSRHSQFLSPMLPSFIFNLWPQQILPHPSTVSKFPVHRARPLP >Dexi6A01G0012960.1:cds pep primary_assembly:Fonio_CM05836:6A:19844616:19850680:-1 gene:Dexi6A01G0012960 transcript:Dexi6A01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRALLLATTFLAVVVDAGSTSRSSFAGVVLASAASRRRGSSRRPAPAAAGRSVLSEEEDMALERKLKVLNKPYVKSFKDKHGIVFDCVDMYKQPAFDHPLLKNHKLQFAQLLVDSVAGSKFQGASALLEVDTVAVPVGQVSSAQILLVDDSFHSSVVNVVQAGWSDEQTGNWQVFLNQENVGYFPKEIINSMTGATQVQMGGMTYAPAGQKSPPMGNGVAPVTGKTTSASKFAQAKVQGANVAKSRLTKDVSDPAIYNIIVSSVSGPDGNAFQYGGPG >Dexi2B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:2B:3789231:3794066:1 gene:Dexi2B01G0004320 transcript:Dexi2B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFANVVPRTVENFRALCTGERGLGATTHKPLYYKGTNIHRIVKGFVAQGGDFSRGDGRGGESIYGGKFPDENFRLMHDQPGLLSMANAGPDTNGSQFFITFKPLPHLDGYRFFFFSHLALIKILEIQLQTTPHSHSQSKMNSNCNVCSKHVVFGKVASGIALLKKLEAVGTEEGNPSRQVKIVDCGEVSNINSEDQLQGEKEKKLRKADSNSNAEGRTKSKKASGDDKPRKKRKHYSSDSYSSDTSDSQSYSSYSGSESESYSSSSLDSSSSSDHRRKRRKGSKKDKRKPTKRKGKHTKSKKKSRGSKRRSRRSYGSSSDDSISSKTDSSSSDSESGGHRTKRSSRKGKGSTKTAISEQGRTTEDADRGKQMVTAVHISHDGNKPSNKDENGADGRSGNYNSEDRNDPVASSRISPIQTDVNLTKPGGTDGNSGVDTAEAGMSRSGTERHPPSTEPVATNGKDLAVGSAGNGQPQRIRKGRGFTQKYGYARRYRTPSPERPPVRPRYDGGRDDRWNTFNRYGRNGPYSRRSPVRRYHGSPRASSPSRYPRRDRSRSRSRSPVRRRDRGGYRRPSPRRSRSPAEQTRRDASDRPSRSGHGGSGAECRGSSPPVNRGRSRSRSKSKSRDPSRSRSPEAVPAKRESSRYNRRRSSSSRSSSPDGNKGLVSY >Dexi1B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:1B:26737726:26742342:-1 gene:Dexi1B01G0020610 transcript:Dexi1B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTPSPFVASTRRFAPRLRSLLPAAAMSSAAAAAASAPNPSSGAGEEVQAPPLPHSTLEIAGARQGILSGFSSLRAPYHAFPVFASNRHVETIFAAFTRSLPAVKLRRECLRAPDDGAVALDWVSGDDRALPRDAPVLILLFYSASFTGDLRQVIDHVLGRYPLSNVYAVGWSLGANILVRYLGEESDKCPLSGAVSLCNPFNLVIADEDFHKGFNNIYDKALARALRNIFKKHSLLFEDMEGEYDIPKAANAKTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQADNDPIAPSRGIPREDIKANSNCLLIVTPKGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLQNEKSSTAKNNISNEHQGVSEESAPHIAVHVQR >Dexi1B01G0011840.1:cds pep primary_assembly:Fonio_CM05836:1B:12285836:12289375:1 gene:Dexi1B01G0011840 transcript:Dexi1B01G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLTTIIAQQMQKLIKTTLRVLEKERRSSESLLVSQFPITLKKQLVDDWEYVTQLGKLVQLPRSPTVDDILKRYLEHRAKKDNKVNDSYAEILKGLRCYFDKALPAMLLYKKERDQYAEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLLDILKFLQKNQSTFFVSSYDIERKGADGSKSK >Dexi1B01G0002040.1:cds pep primary_assembly:Fonio_CM05836:1B:1727322:1728038:1 gene:Dexi1B01G0002040 transcript:Dexi1B01G0002040.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNIVEYKSLLAFLHSGQDIGDYIDADALAILRTRFKRHIAYERKACSSKFMEYWVPAYLSQAQLKQYSSLLLANSSILQSQTTTANVKALRDIFMSLWKCCNHPCLVGLQHSPVSTHDVNESADDIMHNSGKLVLLDKMLKEIRNKRLRVIVLFQHNCSNVWFS >Dexi5A01G0038750.1:cds pep primary_assembly:Fonio_CM05836:5A:39650296:39651081:1 gene:Dexi5A01G0038750 transcript:Dexi5A01G0038750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPAVKLIGGYGSPYVHRIEMALRLKGVTYELILEDLRNKSELLFKHNPVHKLVPVLLHGDRTVCESLVILEYIDEAFDGPPLLPADPYERSEARFWAQFIDQKFARPFWFSFWTGDDVDKRAFLKEAKENLTLLEAQLKGRSFFGGDTIGFLDITACALAHWLGVTEEVTGVTLVNKEELPAFCKWADGYISDETVKQCLPVRDELVAYFSARKEMYMARARATLHK >Dexi6B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:6B:17716753:17720587:1 gene:Dexi6B01G0010880 transcript:Dexi6B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLIQVDQSTVAIKESFGKYDEVLGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAGKFLMLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKFMEAEDATHHEHPKEKSKDHAEEAKPVKDKKEKKPKKEKKEKSKDKEKKEKVGETTDVAKLRAKVEKLDAKIDDLKAKKQFEARCPAEC >Dexi5B01G0018470.1:cds pep primary_assembly:Fonio_CM05836:5B:20640376:20641535:1 gene:Dexi5B01G0018470 transcript:Dexi5B01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPTEIPVIDLRGLDGGEEERSKAMARLHEACKDWGFFWVENHGVDAALMDEVKRFVYAHYEEHLEAKFYASDLAKTLPADAAGGEPSDDKLDVDWESTYFIQHRPKNNVADFPEITQPIRETLDKYIAQMVSLAERLAEAMSLNLGLPGGHIAGAFAPPFVGTKFAMYPPCPRPELVWGLRAHTDAGGIILLLQDDAVGGLEFLRRGEEWVLGGRLFVNIGDQIEVVSGGAYRSVVHRVAAGGEGRRLSVATFYNPGADAVVSPAEETAAALAYPGPYRFGDYLAYYQGTKFGDKDARFQAVKKLLG >Dexi4A01G0006730.1:cds pep primary_assembly:Fonio_CM05836:4A:4828991:4831835:1 gene:Dexi4A01G0006730 transcript:Dexi4A01G0006730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDILNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEKAKNINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Dexi1B01G0021440.1:cds pep primary_assembly:Fonio_CM05836:1B:27351207:27357194:1 gene:Dexi1B01G0021440 transcript:Dexi1B01G0021440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRITVLFVLSSGLLLAATATHETLSSPSNMGEGVVIGVLDDGIDAGHPSFADEGMPPPPATWRGRCKHPGVASCNNKLVGAREFTRHLLRGGHPSAPAARAPSGTHGTHASSVAAGAPVRLASGDGEVVSGVAPRAHLAFYQVCSASSGCSRGPIMHAVETALADGVDVISMSLGDDDGEGAGFHDDPVVAATFSAVMRGVFVCAAAGNNGGASSVTNDAPWILTVGASSSQSTIPAFSSRGPSRNNGGVMKPDIVGPGVNILGAVPRRSRHHGRPSFASLSGTSMAAPHLSGVAAVIKSAHPAWSPAAIKSAIMTTADASATDEQTGAPASHFAMGAGLVDAAKAVDPGLVYDVSPEEYIPYLCGLGYTDDQVNRIIYPAPAVRCTEMEITEAKDLNTPSIMVELMADRPAVTVRRTVTNVGKARSVYWVDVSAPEGVSITVFPGELQFDEVNQKASFTVTVERDSGAALAASEILGAHIAWVSEEHVVRTVLHLSLHAAVFLLASLLASTAVAHNDHGVHKNYLIIVRTPYEYDRNLFKDVSSWHASLLASVCDMAEEELDKDPSAMARLIYSYRHVVNGFAARLTDEEVRAMATKDWFVKAMPEKTYHLMTTHTPQLLGLTGVKSFRGGLWEKTNMGDGIIIGVLDDGIRPGHPSFDATGIKPPPAKWKGRCDFNATVCNNKLIGARSFYESAKWKWKGIDDPVLPVSEGSHGTHTSSTAAGAFVPGANVMGNGLGTASGMAPRARIAVYQVCFVDKGCDRDDILAALDDAVDDGVDVLSLSLGDDEAGDFAYDPIAVGGYTAIMKGVFVSAAGGNMGPDPATVANEAPWLLTVAAATTDRRFVASVKLGNGVEVDGESLFQPKGYLSVQTPLVRDRSDGTCSDEKVLTPEHVGGKIVVCDAGGNFTALEMGAALKKAGAAGMVVILMEELGSVIQPKAHALPASQVTYVSGQMIRAYMNATANPTGELIFKGTMLGNLDSPVVAAFSSRGPSKQNQGILKPDITGPGVNIIAGVPKPAGLMTPPNPMASMFDILSGTSMSTPHLAGIAAVLKRAHPTWTPAAIKSALITTADTTDHRGKPIAAHDGRPANTLTVGAGFVNPMKALKPGLVYNLTAPDYIPYLCGLRYSDHEINSIIHPLPPVECAKMAVVEQKDLNYPSITAFLDQEPYVVNVTRVVTNVGRARSVYVAKVEVPSTVSVTVTPDTLRFKKMNQVKGFTVTIRPVGAPMKKGIAEGQLKWVSQENVVRSPILVSFKKFQNGVPFPGLIGHGSEPRQSCTPSPAAYAPNLATHALQRRVDQADDTEEVSFRGRRRTSCPNGSLAAPDPHGMLLILKAEVGQRLTGQIRPARKPTSGRYGEKRSAVREKEDE >Dexi3A01G0034220.1:cds pep primary_assembly:Fonio_CM05836:3A:39453019:39456179:1 gene:Dexi3A01G0034220 transcript:Dexi3A01G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPYHIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAPCCKNEIIIQTDPKNTEYVIISGAQKKTEDFDVEDAETLLLPADEDRDKLADPMYRLEHQEEDLRKKKEAEPVLVRLQRLSDSRHSDDYALNRSLRDRLRSQKKRVAEEKKSARKMGLGVRLLPPSAEDAAAAASVKFASKFEKSRKDKRAAIKAASIFPESSSSASKGKLDLAMKRRTINPSVASALMAGRAKPSSWQSAGSTSSRTRMPVLAARK >DexiUA01G0004590.1:cds pep primary_assembly:Fonio_CM05836:UA:8672793:8673533:1 gene:DexiUA01G0004590 transcript:DexiUA01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGEKEDGTSSSLPSRRGSSSLTSRRSSTTLGRARRHASTSSSLGRSAARLALPPPCLTLRLRAAARRGAVPPRLATHLQQLAVPRNPRLVVGLLEQRQTQDMEGGRLHAPSGKSSRNHPRQVCRRWSALPGPQARGEQTLLLLSRLREVVMAPSLLPPPRDPPATRCLPSSSHLRASSSASFASIRCLARPPALRLPRFTASRGLLLRVRLDSPPREASSSSVVLRSWSSRPPRSCSPRRGAA >Dexi5A01G0010530.1:cds pep primary_assembly:Fonio_CM05836:5A:7941152:7942618:-1 gene:Dexi5A01G0010530 transcript:Dexi5A01G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRETYLAQQAPTPYSIAFFMSQYVIDTDGKGEVPNEQHSNLRTGNLLRFAVRDESVRLVDGKMQTGFVPVCCKACSSAN >Dexi3A01G0005810.1:cds pep primary_assembly:Fonio_CM05836:3A:3753538:3754320:-1 gene:Dexi3A01G0005810 transcript:Dexi3A01G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYAMAAAVSLLAVGVIVLVIHAVFRSEDVHLSVNNGYIGADRLWDRTPVLSPHEQLGNIGATNNIKASAVVVHSKAPADVSETQLKHESTIDDDVGSSSIEPLPKECFLGCNSGDGETTTQYTLKKASTSNLRVILIASNPSGRTKIDCGDTTVSLIDMSSPYKPIGEPLKLENFTVPPQTTITMQKRLKITDTTYIWDNYAGELRFSVRLQVSSTVTSYPLGKTHIKQQKYTCQPVTVGLVDVEDIFATDRVDCRAS >Dexi4B01G0000790.1:cds pep primary_assembly:Fonio_CM05836:4B:515140:517335:-1 gene:Dexi4B01G0000790 transcript:Dexi4B01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCRATASLPPTATPSHAADPHARLRAAAARSDLPAALAAFASMSSAPASAHPVLRTFTALLKLCAARADLATGRAVHAQLAARGLASESLAATALANMYAKCRHPADARRVFDRMPARDRVAWNALVAGYARNGLPDAAMEMVVRMQEDGERPDSVTLVSVLPACASVRALGACRQVHAFALRAGLDELVNVSTAILDAYSKCGAIEAARAIFDWMAVKNSVSWNAMIDGYAQNGNATEALALFKRMVMEGVDVTDVSILAALQACGELGCLDEARHVHELLVSIGLQSNVSVMNALITTYSKCKRTDLAAQVFNDLGSKRTRISWNAVILGFTQNGCSEDAVRLFCRMQLENVKPDSFTLVSVIPAVAEISDPLQARWIHGYSIRHYLDQDVYVLTALIDMYSKCGRVTVARRLFDSAKQRHVITWNAMIHGYGSHGFGKIAVELFEEMKGTGSLPNETTFLSVLAACSHAGLVDEGQRYFASMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWSFIKDMPVEPGISVYGAMLGACKLHKNVELAEESAQRIFELEPEEGVYHVLLANIYANASKWKDVARVRTAMEKKGLQKTPGWSIIQLKNEVHTFYSGSTNHQQANEIYARLSKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAYGLIRTAPGTTIQIKKNLRVCNDCHKATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >Dexi6B01G0008580.1:cds pep primary_assembly:Fonio_CM05836:6B:10835486:10836527:-1 gene:Dexi6B01G0008580 transcript:Dexi6B01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGPALVDPSSTTTVMVRIYADASPFVVRCGGRPLLEVCGLTVSVKETGQQILTGVALTIREGEICAIMGKNGSGKSTLTKVLVVHPYYEFI >Dexi9B01G0036100.1:cds pep primary_assembly:Fonio_CM05836:9B:37680393:37681656:1 gene:Dexi9B01G0036100 transcript:Dexi9B01G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFLLLPTRGAAHWREVRRLEAVHLEKVGAALAEHADGLPEGPVERVGHEIVELLPAAADAAGLAADGLDHHERGAVDPRAVGELQHRRVAELHVAGGHLRAVGGGRRGERLVPEFLELVPAHGERTLALRVRERHLLQGGVLLDDLTEEVVPGGRGDEGADGPDHAQLEAAVGVEGLRDAAAVVARRLGVGGGGGHRGVGAGGSLFHLGAAEDDAALERGAEHGLPLEDDTVVGADVGDGELHAEVADAAEVLEHLRRRVVLLRRVLAAAAAGLGVAVREGLEHGEQHGLEEVREHGARAGRPGEAERVAAAAVGSVGGDDAVDAEAGVVDERDERVEAARHGGAAAQRARVGELVEDDEHQRVRDPVEVVLPLRGEEQVLREDPVLGLHPSWIGAWKRRDEMRRAEEGSR >Dexi7B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:7B:20451672:20453616:-1 gene:Dexi7B01G0014090 transcript:Dexi7B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIGGGGNASNSNHVALAPFGLATYKLDTKVWASPNSGDQKHIASLYDVAQSWLKKNDIYHYDFNFFSSSCSSTRT >Dexi3B01G0033470.1:cds pep primary_assembly:Fonio_CM05836:3B:36197273:36197961:-1 gene:Dexi3B01G0033470 transcript:Dexi3B01G0033470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASSASPAAEGKMTVVVGVDESDHSFHALQRVLRHFFPPGQPQRYRLVVVTAIPTAIGVVSPGVVMDVRAFVEADLNRAAQRVLDKAKDICAQVAGDAEFEAVVGDARRVLCDAAERHHAEMLVVGSHGYGAIKRSDLII >Dexi2B01G0027070.1:cds pep primary_assembly:Fonio_CM05836:2B:36017071:36018636:-1 gene:Dexi2B01G0027070 transcript:Dexi2B01G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLSAPPPPQGPGTGRALDAYKTALATAASAAAYAVMARSMARELLPDELRAAARWCAAAARARFGRGDRERHTIVIRRHLDAGYGENHLFDSARAYLATRIDPRAMRRLCLARSRAKEPDGAARWATLLCMEPGGSTVDVFDGVEFTWTSVETGAEEKKKGKGGGGGGSPRESLELSFDAEHTDTALERYVPFVMSTAEELQLRDRALRIFMNEGRSWHGINHHHPATFDTLAMDPELKESIIADLNRFLKRKSYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRLNSALQKLLIHMPNKSILVIEDIDCCFDAAAASRKDVKAPELVVDELDPAGYTTDSSDDSWPPARPYKTGADPFKGITLSGLLNFIDGLWSTCGEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLAHNYFLVDDHELFPEIQGLLAEVEVTPAEVSEMLLRSEDVDVALWMLKEFLLEKRRGNKTRKEDNKDAAEHKAEVAEEAV >Dexi9B01G0010510.1:cds pep primary_assembly:Fonio_CM05836:9B:6584458:6588289:1 gene:Dexi9B01G0010510 transcript:Dexi9B01G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVTAAELLYGMPLLRSSSPVMNGVDGNGGAAEPGVCSALLAELKQLWGEIGKSREERERMVRELEAECMRVYRRKVDEATGERALLHQSLAAGEAEIAALTAALGADNSPQHKVNKWTMSLTERVSSATSLLEELRAMKAERSRQFADIRSEIEKITAEIAGRSYGYEGSPRASDVEEHDFTIRRLNEYKARLTNLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLGSLAQVVAMLTSEKAKRAAMLRDAVVPLVDLWELMDSPEEERRGFRKVTAVLNPDREEILSSGVLSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDEQIAKAREELQSRKDIMDRINKWLLACEEEQWLEEYNMDENRFSTGRIARLNSKRAEKARLIITKIPAIVDNLMSRTLAWESERKKPFLYDGARLLAVLEEHKQARLMQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRSAAGEPSAANRKRVDAGGRSASSSAPSVRSGGASSSGSSSSVGGAAELLRPRSSAVGAGQCGEFFKGARRFSTPPFNYVAVNKGGGGGMSSSLALS >Dexi5A01G0028750.1:cds pep primary_assembly:Fonio_CM05836:5A:31964616:31965780:-1 gene:Dexi5A01G0028750 transcript:Dexi5A01G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSASAPSSSQEAERPAAPSMPTDPDFLSCVLQPPASSSSRPDADYVALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGSAHSTPSNSGRWVPSPGPALSEADSWSSIRDLRTNSGLSRTVSISSKHSDTERHLRY >Dexi1B01G0027440.1:cds pep primary_assembly:Fonio_CM05836:1B:32158864:32162468:1 gene:Dexi1B01G0027440 transcript:Dexi1B01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQRARPRPQLARINAMRHSSYPGGGDEVEGAAADPAPVDLCSEFASQTSFRIRGGRGGRAEVDDLFRKLGLSGPEDFTIPPALYAAAMAHTSSRSRSRRQSLEASRGPDTEGSAPHALPEISGRDATVATRLEAAIEGEQAVLATELVQSEAVEVSVRSYPLPEAQSGSSVIESEATQVSRREVAAMVKLGNADMEKGMGDPVKVDKLRVERTKAVVVEAPRESTSALVQVVAESTSRDTEHWISPSPHRRFKRTITTWIKGEHLGSGSFGSVYEAISEIISSFISKIPFTRFTSLSIHKADSEWFALSTSAECSAQVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPNTLSEDARDFIKKCVQANPNDRPSAAQLLEHPFVQRPLQHYGA >Dexi3B01G0023130.1:cds pep primary_assembly:Fonio_CM05836:3B:17913582:17915757:-1 gene:Dexi3B01G0023130 transcript:Dexi3B01G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACAIMEAAAASSFSVPYYEWLKPRSSSSPPPSPSSSSSTSSTTLSTPSIDDRSAAAVVDGQGCHAMTCLPLLGRLEGRATTPDRAQNHVIKEEELMSNITTTGTRRGAVVAGVDLNIGLPAIGGYSSEEAPMDEEDDEEEDFDEEEEEDKTRKVHDVCKEEEAGGEQTTNTSEMAVESVEGSESDYLRVGGEEGIKGFIGSRGRRYWIPTPAQILVGPVQFICHVCSKTFNRYNNMQMHMWGHGREYRKGPDSLKGTQAATLALLKLPCYCCAPGCRNNVSHPRARPLKDFRTLQTHYKRKHGDKHFGCRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHLPVTPDQAAAAPPLLKAAKERMIIRFDQAIQAAPWKNGAHAHA >Dexi9B01G0011000.1:cds pep primary_assembly:Fonio_CM05836:9B:6961435:6962918:-1 gene:Dexi9B01G0011000 transcript:Dexi9B01G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWEARDFLFCGVCGTLLTFDSIRSASCPLCGFKRKAKDIEGKETRYTVTAEDIRRELNIEPFVTLGSAQTEEVVVKRPEVDKPCPKCNHTKAEYYTRQMRSADEGETAFYECTKCQHRFKE >Dexi8B01G0012040.1:cds pep primary_assembly:Fonio_CM05836:8B:21293921:21294715:-1 gene:Dexi8B01G0012040 transcript:Dexi8B01G0012040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHEDPPAVISHPAHPAHMLTLVTITTASGDPPFRCDGCKEPGSGTGRRYRCSDDGCDFDLHTCCALASPTLKNHPLLGDDLAFTLLPDGAPPVAGDAAAACVACGGATEGLVYYYCSVDSKKKDLYLHPCCAAMRTESVLAGVHRVQLCTEAKLRCAVCGEKKEHGHGHHHFFSSGRKLWAYRWLYGGEEGYLHVGCMKKIAVLSWEQECDVDGGAAVMEASVPILKGLLLRRREKESELVSNGIEIGNSIAEAVSAAATSS >Dexi5A01G0034140.1:cds pep primary_assembly:Fonio_CM05836:5A:36261487:36268343:1 gene:Dexi5A01G0034140 transcript:Dexi5A01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPHRKRRADSEADDADADLVVLRSGGGASAAGRSPVSDRRMVLYDRPTALVPAGAPGEPFDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYQTKTDALEEQLSKSEDERMRFLDKLNYVEQELAATKGRESALQERLLKELADYQERYHDQVQLNKEIDSRIRAESSASSAKESIKDLEGKLQRLSESSEREKKTLRKELSFMKEDLTLSASKLKTELEKTRLRADNYEDEAKLLNEQLVDLKRQLDECLRKKNEMELKSLNSSALPDQRAPTGDQTLIKLLQEELRNYEKEVHEAKRLKSSHTNAELLKEKLLEEQGRRERAEQELSKLQEIEAKAHKLELELASCTALLSNIPDVSSYADIPQKFAEFQKEALTNLNKVGEVTSRLKELEVALEFADLSKQRAEGEATLAKERAENAAKEVKRLELMLAAISEERNKLRKEHPMESDQSGMEKFIRELESTIQELKELISHKDAELNIMNERLSLEARKVKSLEREGDQLRSQMALLESKLGHGDYSSSSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDANIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQQEIAQQVDVFIRRMNSIPAFTANLTMESFNKRSIC >Dexi3B01G0015370.1:cds pep primary_assembly:Fonio_CM05836:3B:11147485:11151698:-1 gene:Dexi3B01G0015370 transcript:Dexi3B01G0015370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKEMKYRRRGRVPEPVQYGQCGDRSGVLDWGALKQDPAELLRKLDEIRDQITRSCELTGQQPERHHMSRRTISLRPSHAEPTPHAGRGPEYYRSRYAGRYRTGSPLSPYDQLQRSVSDETYARQSSGRFRQYPDGQRENYGFGQGSRHHSTCQCAQCFQVQRVMAPEENIPMARYFAGQQGSFRLDRSQPFSSELDRRSVVSSLYSDPSMPKRRVEYFRKKAGSFCRPLRGAAPFVVCSSCSHLLQLPQGKFTGRKKNQVQCGSCSEIITLKPKEAKVHPVIPPSSFPIPKSVRTSNRHRDPKNSGWYQHQDDDNFNFYKLQAHDSHRQKKDFSDNMSPSSTLSYDRTDSECGSSRSIQLKSVPASRSRFSNDPKDILCQGDTGSPQGPTLEDKEIDPFSSQRKDYSGGYQIKRNEYDINRKADYEGNGADETLGKKCIQNSKEDHRGMLGDECGTQRTHERKAKHGNIGSPEDGIVGNKYKHKTSHVVTSSLEDEGMGIKYERNGSFRVQGISKRYEKCDKKDDNNNLKVESITERCEQKNTKGNSGKLLHSDSRNGNTPAKNDSLVNERTNSSSHVSSEVDVDELQSSICKNGDSSFFTGFLKKGFKDLSLFNQSADNVKVSINGHPISERALRKAEKKAGPVGPGSYWYDYRAGFWGVFGQECSGIIPPFIKEFNYPMPKNCAGGNTGVFVNGRELHQKDFDLLVGRGLPRLSGKSYSVEISGTVVDDTTGMKLRGLGRLAPTIEKMKRGFGMHIPEETS >Dexi9B01G0002120.1:cds pep primary_assembly:Fonio_CM05836:9B:1191213:1193749:1 gene:Dexi9B01G0002120 transcript:Dexi9B01G0002120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLLRLLSGCGGVWPTSPAPGAASSSSSSGEDSEGRDGLLWWRDLARCHAGDVSVAVAQANQVLEDQCRLESAPPLGTVVGVFDGHGGPDAARFACDNLFPNLRGNPNPNATHLRCPPPRPTAGCFDLLAEVSSGPQGVTANAIREAFLATEEGFLALVSRLWEAQPDIATAGTCCLVGVVHNRTLFVANLGDSRAVLGKKVGRTGQIAAEQLCSEHNANQEAVRQELVAQHPDDPQIVALKHGVWRVRGLIQVSRSIGDVYLKHAKYNTERIKPKFRLSEPFTKPILSADPSIISRTLQPNDSFIIFASDGLWEHLSNQDAVEIVHSHQRAGSARRLIKAALQEAARKREMRYSDLTKIDKKQKALHEDNPSPSDAL >Dexi3B01G0006820.1:cds pep primary_assembly:Fonio_CM05836:3B:4747027:4747587:1 gene:Dexi3B01G0006820 transcript:Dexi3B01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSEFSYQHHQGGGAPRDASALHDDGTDHNLTVLLTFGIFLSFILLYLAAGVIWASVVTAIAVTLSFFYLKVRARRRAALRRHGGAALRVAAAGSRGGPGAVAVSAIPAFAYKRELAGAGGDATGWAQCVICLGLVQVGEVVRRLPACKHMFHVECIDMWLGSHSTCPICRAAVEPTDGQPELPV >Dexi1A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:1A:4323362:4327826:1 gene:Dexi1A01G0005840 transcript:Dexi1A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPTAATLLPGPVAGSPSPRPGSAASTLPASRRRRLLAVRCQSTSVDKQQQEPPKPKQRNLIDNASNLLTNFLSGGSLGNMPVAEGAVTDLFGKPLFFSLYDWFIEMNARPILSSSSYIIHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRIFTKCSERTISKLEELTESEGQVIVDLEAEFSNLALDIIGLGVFNFDFDSVTKECPVIKAVYGTLFEAEHRSTFYIPYWNLPFTKWIVPRQRKFHSDLKVINDCLDNLIKNAKETRQEDDVEKLQQRDYSSLKDVSLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQSPTKMRKAQAEVDSVLSNGAITVDTLKKLEYIKLIILEALRLYPQPALLIRRSLRPDKLPGGYNGAKEGYEIPVGTDIFVSIYNLHRSPYFWDRPNEFEPERFSVPKKDENIEGWSGFNPNRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALAMLLRKFDVELRGSPDEVEMVTGATIHTKNGLWCRLRKRRT >Dexi5A01G0026860.1:cds pep primary_assembly:Fonio_CM05836:5A:30437339:30442398:-1 gene:Dexi5A01G0026860 transcript:Dexi5A01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLSEGKLEESLFDSAGEIFSEDKVFPEAASIANGSKQVAASDLAPGHIEDNDYNPALAEGHMINEYMFSAEDDGKVDDLGLPSEDSEDDDFNPAGSDSSEDQKNELKSEESDFTSDSDDFCAEIAKSCHQDEVSASPLIKCTDVMKTSGSYNHSNEEISNHALIDMEPEQGMVLPISSRRQVERLDYKKLYDEAYGKESSGSSDDEEWSGKELLEGSETDSVGKQLHPVKRSSRRASARLQNNDNTPQKERLHGSESEQQTEVLHSNGGGSTGRKFGPMVTQKLKVHFEKDPYPSRATKENLAQELGLTFNQVCRWFSSSRHYSRVASAKKEKHPGNHTSENNDSTNVDSMQTRKPNAGVMEKLIEDRNAVVPEKSMVQNTIHHCNKDMPLSGTEIEMESYGQESSDSSDEEWSAFSTPRKARIQDNVTVSPAESLGPAKRSSRIATAREQNNEHTPQSEHLHGSTSEQQTEVLCSNSSSSKYHFGPIVNQKLKAHFEKDPYPSRATKESLAQELGLTFNQVNKWFSATRYYSRSAAAKNKKHPGKNTTENDNGITSDGVQVREPDPGLMEKPSADINDIISEKLMVQINLNEGIEEDTAPSQYTTCEERTTMTPTAISREVSLPGYGPRENFLKVSSRNTSSEQNVITTPSAISREVGPPGYVREENQGNDAPWHTSCDQRVFTSPATMSREVGPPGYGPEENQGSGMSWNMSCEQGVFMISTTISREAGPPGYGSRENQGSGTSFNASCERGMFTSPGTTSEVVPPGFWPGENQVNDTSPNTSCELRMFTSPTTISKEVRPPGYGPGENEGNDTSWNMGYKKGASMSPIATSSEVGPLGFGTDENQGSSTSWNMRCEQRMYTNPTTISREVGLPGYGGENQGNGASFSTSCEQGVFTTPKAVSREVGPPGYGPGESQGSITSWITSGKQQVFANHTTAPSEGGPPGYSIVENQVTGGSRNTNLEQRMVVAPAAIPIEVFPPGYGPEENQGSGASGSVRNPQGRSAEKKIEFSDEARKRAIQRELRRRQKFR >Dexi9B01G0019480.1:cds pep primary_assembly:Fonio_CM05836:9B:14051710:14054537:1 gene:Dexi9B01G0019480 transcript:Dexi9B01G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHLPKDPAFPRANGSLDGGARGFDEIEEVGAAATVAVEQSPSPSQSSSPTASSPPAAMSSCGQYMLHRVRKLDTLAGVAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSSYQQNGLHESDDRECTPRRMHDEILDSILKTPKPKVSPAMSLLQGYYGLAPPPKRDQTSEGTEMAVYRKGKSSYSDVEPWLEPPNSDPFPLQNRKTRSLNIGSSLFDGDADENGDSERLIRRRQKADGELLPREENGGDFLASAGKGLALRPKSSSRPDMNKSQQNLFAMAEPLFGNGVQTVRKSSSTPEFQEPESNTSSSSSIWSASKWSINTDAFALPLPIPRFDNIPKPIAAWRNKAARD >Dexi8B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:8B:27802613:27803263:1 gene:Dexi8B01G0016670 transcript:Dexi8B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRSLTSAAAYKSPAFIALVAALCVALALLLHHLVVTACCRASRSGSGGGGGGAERRRRRQGHHRHRHRHRHRHGGNSNGEQQQDEDEDEEVSISVEVSAATSRTHLVKASASVASSPAVVLPYRKEEPWKESTCPVCLADFDDGEVVRVLPECMHYFHAECIDTWLRGSTSCPMCRAETTPTPTATPSPGSLHHHQHIDLSVVSVSLEEILVRT >Dexi4A01G0001950.1:cds pep primary_assembly:Fonio_CM05836:4A:1285128:1285730:1 gene:Dexi4A01G0001950 transcript:Dexi4A01G0001950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKKHDERYTNTEIVRPSTASAFFSSIRRGRSRGGGVAFSGKCLATSNSCACHLSSGLPPPGGEARARVPPFLAAEVPSSVPSNGASQKAIVRASPSAAAMGAHAFGLIASGQGIGCGDRRAAFIYRRPPEACRIVPVSEAEIGRES >Dexi5B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:5B:22129:22957:-1 gene:Dexi5B01G0000020 transcript:Dexi5B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASARRQPTFTKIDQLRPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETGIIVFTARNDQVDVMKPGTTVELRNAKIDMFKGSMRLTVDKWGIVKAAESPAEFTVKEDNNLSLIEFELVTVVE >Dexi3B01G0008090.1:cds pep primary_assembly:Fonio_CM05836:3B:5679848:5689178:1 gene:Dexi3B01G0008090 transcript:Dexi3B01G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPAMEPTAAPPTSRAAPPSHQRKPLAPAVGPSGRFPTTLDPPPPPDAPLSSPAMEPSPQRPRTGNPNPRNEEQERGRGGPTSSPAVYHHPPELEEHESSEGRRGERSLSSTETGEGEQQQNREGKSCLTAASRSSKPAGHGAIPRQPRTGNPNPVTIKRATMNWRRTYLVAGRLPPPTKVGQARMGQRRCNEEDADVRRLGADRRLPMGFAAVAAAHGIPATNKALVSVVSEDGELLPAKKLLTPVVSVDGALGLLPGKEAVAKLEASRCQVSGLALSFLPYCRHASISLVAALGGSRPSCALIHASNVEVPRRLEFVYAAN >Dexi8B01G0003540.1:cds pep primary_assembly:Fonio_CM05836:8B:2684643:2687039:1 gene:Dexi8B01G0003540 transcript:Dexi8B01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDERLRRALAAFGGDAWALVDAALAAAVRDRPGELRARRDGIVERLFAAAGCSSCCDARHQPPPPRAALAAAGLDEEDDGEEAAAPASPEVDAPEEAEEHGGGGEPGLESKIVAIRDFLEDPDQPDDELVSLLQNLADMDVTYKALQETDIGRQVNGLRKHPSAEVRRLVKQLIRKWKEIVDEWVRLHNSGADGGSSIIDGSSSERANNGIESTVDMKRKASPVPAHHNSRQMNNNHHSTTMSSAPAKVIRDQKANLLDLDRLDSARKRLQENYQEAQND >Dexi9B01G0010970.1:cds pep primary_assembly:Fonio_CM05836:9B:6919693:6922406:-1 gene:Dexi9B01G0010970 transcript:Dexi9B01G0010970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWAARRLSLSGADDSASWPAASHASFEPVPRACRAALAAYDHGGAGEAPPPPPSPLCPPYRILHDRARGEVVLAVRGLGLARPEDYRLLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPALRRAVAEAGPGRRCRLVFVGHSLGAGVAALAAIVAVRCWLGRLGLRREDVRCYAMAPPRCMSLRLAVEYADVVHSVVLQASLLRFLLLTSRCFFAAFLLNNDATNCISNVLAIIPDHAHFGNLCRMISCPELRRPYSTFLDLFSDTFVSEGELKDPAKLYAPGTVFHIVERKSCRCGRFPPEVRTAVPTVGRFEHVVLSCNAASDHGIIWIEKEAQKALDLMEQEEESTSPPAQQKMLRAQEVQSINIDEGTIALHSIEHLVSLEEETLQGNSSSSSLDFDSPRTSITLCSASPSSVSARNEPSEWDELVGAFLGDHEHDAGLGHDNNDEKRLCSVVMNYLPFCFR >DexiUA01G0002550.1:cds pep primary_assembly:Fonio_CM05836:UA:5772295:5772640:-1 gene:DexiUA01G0002550 transcript:DexiUA01G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDHADEAKPVKDKKEKKPKKEKKEKSKDMEKEKVGEITDVAKLRAKVEKLDAKIDDLKAKKQEIVARLLELEGTAPTSDSAAPPALIKKAME >Dexi1B01G0012800.1:cds pep primary_assembly:Fonio_CM05836:1B:17567405:17567737:-1 gene:Dexi1B01G0012800 transcript:Dexi1B01G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSLSRSDPFHLCPRRHSRWTHTRAKARRHNREETGAAALGTKAGAEVEENAAQPAREHRSGAIAAADDGHRNRGRRPRVGAVVEDDWAPALGKGSSPGQGPRRRR >Dexi9B01G0015860.1:cds pep primary_assembly:Fonio_CM05836:9B:10858702:10859296:1 gene:Dexi9B01G0015860 transcript:Dexi9B01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKVQARKMAARAFFLLAVVLVAAASASAQSSGSDDCTSALVSLSPCMDYISGNGTSAPSASCCSELKTVVQSKPQCLCAAIGGGSSSYIGGVTIDRDRALGLPAACNVQTPPTSQCNAGSSGGGSKATPSMPSGAAALRRPAGLVLGLAVAVVYAVSAAL >Dexi2B01G0001580.1:cds pep primary_assembly:Fonio_CM05836:2B:1025048:1025296:1 gene:Dexi2B01G0001580 transcript:Dexi2B01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGVAAAEGGVGEDAAPGPAHLGDTALPLLRLDAEEDLGDEILRQIGERRAMAAIYGLLRVLGGGRWRLGLRRRRCRPMRD >Dexi1A01G0028050.1:cds pep primary_assembly:Fonio_CM05836:1A:33782892:33786615:-1 gene:Dexi1A01G0028050 transcript:Dexi1A01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPRPQLARINAMRHSSYPGGGDEVEGAAADPAPVDLCSEFASQTSFRIRGGRGGRAEVDDLFRKLGLSGPEDFTIPPALYAATMAHTSSRSRSRRQSLEASRGRDAEGSAPHELPEISGRDATVAARLEVAVEGEQAVLATELVHPEAVQVSARSYKWPEAESGSRVIESEAAQVSRREVAAMVKLGNADMEKGMGDPVKVDKLRVERTKAVVVEAPRESTSALVQVVAESTSRDTEHWISPSPHRRFKRTITTWIKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGLNAKQRILQLEHEISLLSRLEHENIVQYFGTDKRNVLHRDIKCANILVDACGLVKLADFGLAKEMSILSQARSSKGTVFWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPNTLSEDARDFIKKCVQANPNDRPSAAQLLEHPFVQRPLQHYGA >Dexi3B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:3B:9515381:9517962:-1 gene:Dexi3B01G0013340 transcript:Dexi3B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAAGDGEDPRWRRSSADCVNFLASRFACSKGTKCEFRHCEGARFSPSCWYWFQGNCVNPSCTFRHPQLESFNRTKPVADPLLSRASASVKAVHGNSAEDKKIESSKYALANPYEGSPELAEHIKKHHSKGVTESSSPVFNGATSNAPETSVDTVGYMKSSMLSDRSSGDSAMEHAEQDESRDSSPGFDVLVDDGLPNKIGSEHQLTQERDADGLNVKHYIGDPVVCGLDYHDAEYQEQGFSDFERGCYLNYLEEVLGHDYVTTFGYIPHSRLDLVKPTSEERGKNVLDPISLMALHADFDNQNTQIGDISKQRSEGRRNAKGKNGRTKRRRVHEAKNGSKKTEQRPTQHMQNSLIGDCYGSLVSATLKGQKKKSRRKQHRACSAGSSNYTNANAKHLDHPEDFIGPKSLAQIKEEKCRSRPSFSHSTAHVAHGRPSSNDFEGPKSLSEILKAKGRTPDG >Dexi2B01G0027260.1:cds pep primary_assembly:Fonio_CM05836:2B:36247361:36255035:-1 gene:Dexi2B01G0027260 transcript:Dexi2B01G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHPLARASPPFDLLCGSRKWHRVALIDRSHDLLDHVAVLSSFTYLPRATGFDHLPIVGSVLLVAGLYNVLWGKSREDKQAADRRDGGGDGDVERNAAAVQPADGETEEDDDGDSDATRRRPWGSSMHASIDDLRINPGDLSWPAWRAYGRCLCDHCTKDMKIDDYQALTLLDEDFNKTPSKYNTSQIDPSIVPRCTELTPADRSKTTMAEEAKTTKKKGWASTLSRRKAHCTACCVTLCVLIILGALAIAFYIRYRPRPPRVVATPVDVSIDEFSLLPHPTLKVSVGVHVVVSNPSQSPYRYGAALAPVTYHGAPVGETLVPAGEIDGKSTKKVEPATVVDGLKVAENPHFASDAVAGVLPFARRWCSEPFEVPVTVEVVCFVQMYVFHGESSSRRRAEAPAEVTLSRESMPTGMSSRRVVLHGLMIVVGFCVCRYSVRRFQTHLPLAARPPAVVLRAMNWWRTRQASTSSFSADEILSTRLISLRPRRSHGHRYKSMAYEGGKVVAGPTDIYCTAGRPTNRSCCMALGLAPAGVP >Dexi3A01G0019920.1:cds pep primary_assembly:Fonio_CM05836:3A:15838367:15838642:-1 gene:Dexi3A01G0019920 transcript:Dexi3A01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTARFCPIAGDEATPATRSIGAFDGGGGGGWKEECLVGMVTSCPIGVLACLAPPCWAGGEFLSAVARGAHCLHPPPFLYWLVTRVNVG >Dexi5B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:5B:9199727:9200889:-1 gene:Dexi5B01G0012980 transcript:Dexi5B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSATPPPPAAALAPFHGEQRLHEVSDEVYDDVEDSGAGHGHGGAGGQGKLCARGHWRPAEDAKLKELVAQFGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHREQSGALRRRKPSSSALSSSPGPAPPPPPRHYAAPLVVLHHHHYAAGSQPPPFHAGGGLHGVVVPTEAADTRAYSGGESDESASTCTTDLSLGSAGAALPSFYQSTHNGYDVPLHAAAPAASSAFAPSARSAFSAPPSAAARHREAGADDKVAALPFFDFLGVGAT >Dexi6B01G0012020.1:cds pep primary_assembly:Fonio_CM05836:6B:19575827:19576291:1 gene:Dexi6B01G0012020 transcript:Dexi6B01G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPTITPLNGDVSYLRWKESMLLVLNTAGVAHVLSEEPPPPPPPSCDGGGASPEAASAAARRKWARDDAVCRGHILAGLSDAIFPDYVRHVTGRSLWRAVARTYDLDAASVSWRKFTELEFKFAGGDGGGGGAPAPSFLEQLAHAEALGIAG >Dexi4A01G0017090.1:cds pep primary_assembly:Fonio_CM05836:4A:20838191:20839726:-1 gene:Dexi4A01G0017090 transcript:Dexi4A01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFLIGPTSFAPIIETAVGIVDSTGGQYHQRSESFKQQQPAATRKPDTYAAESALEDRLTCYECGKNLVRCPICQQNVTTRIRLY >DexiUA01G0023220.1:cds pep primary_assembly:Fonio_CM05836:UA:47397295:47397626:-1 gene:DexiUA01G0023220 transcript:DexiUA01G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFVKYSRFKQFALRALASTLNSEELSDLRDQFDAIDVDKNGTISLEELKQVSLVG >Dexi9B01G0021660.1:cds pep primary_assembly:Fonio_CM05836:9B:16395633:16396886:1 gene:Dexi9B01G0021660 transcript:Dexi9B01G0021660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALDSILGYDHRLKCSTFNRFHFVDLSTFYLDEESPLGPMRHTDAAIEVDATAWCKQGEKWLLPCDSVNVLPVNVTSSQFGFPINVYGTILARDSLDLKCEDLLLLTGPKRGLALLDVVYFEMDLKIKGDQGPDKQLSKGFLTAGARRSLSDKMKVESNSLDSRHGTTEMMFAVVKRAVEATISTEVLQGEFYGEITACTTSIQKSIVLHDFKRAGMMTCYGTGPIQLLRPIVAVSLKEKLEVSAQTDFGKPKCTIVFTVYSEGQR >Dexi1B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:1B:5695021:5696230:1 gene:Dexi1B01G0006880 transcript:Dexi1B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLRHSLLPIILLQLHVALLSGEPAAAAKVPAVIVFGDSTVDTGNNNYISTLLKSDFSPYGRDLRVGPSGGQPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPNADMASLSTGACFASAGAGYDNATSDLFSVLPVWKQLEYFKEYAARLRSFQGDAKAKETLSEALYIVSMGTNDYLENYYAMPSGHAARSATSASEYAGYLLGVAESFARALHALGARKLDLNGLPPMGCLPLERHVAPGGTCTEEYNVVARSFNDGLRDLVARLDAAGEVDDGGLGDGARVVYGDVYGPVEEVVADPGAHGFEVVGVGCCGATGRFEMGYMCNSASPLTCEDAGKYAFWDAIHPTERLHRFLADRKMNTTLYVFL >Dexi5B01G0031050.1:cds pep primary_assembly:Fonio_CM05836:5B:31873249:31875603:-1 gene:Dexi5B01G0031050 transcript:Dexi5B01G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFVEKLLVGLLASIVLAIAVSKLRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEDEAAAVVDGVRADPAAATEGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLRLFKEFFLEERKKLASTKAMDNNGLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWAIAELVNHPEIQQKLRNELDTVLGPGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWYLANNPESWRNPEEFRPERFMEEEKHVEPNGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSTIVCKPRTF >Dexi8A01G0016190.1:cds pep primary_assembly:Fonio_CM05836:8A:27454661:27456676:1 gene:Dexi8A01G0016190 transcript:Dexi8A01G0016190.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHSKQSDKEAEQHIHLPTFLVLEATAPLLSVPLALVAMAAMLSPQLTAPVPFVPTLRPRPRRLPPPDAFAASVSPLRIAGLALRGYREITLSTLHFRRCNPVARRFHRFENTISLWTEHNKQALFASDQDSPSTDTKQSSSSSPGGPPILTILAGVIVFLLVLWVAGSILTWIVGLAFGTAK >Dexi8B01G0008150.1:cds pep primary_assembly:Fonio_CM05836:8B:10508904:10509143:-1 gene:Dexi8B01G0008150 transcript:Dexi8B01G0008150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVEERHGGGAGGSGKELSGEELAAVVRSRASADPAVTRSCVARSMAAEQVVAARICAASSSRWRQETAQRWIRL >Dexi3B01G0015050.1:cds pep primary_assembly:Fonio_CM05836:3B:10905757:10906466:1 gene:Dexi3B01G0015050 transcript:Dexi3B01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPDRIECPEPPEQPEPADPGRVFDTERVVTPRDALEHGGSRGDDGVVDETYDTRVKIAEALEGSSRAIGDKDKPVKPSDAAAIRAAEASAIGGAAMIHDPRRGRRTGAGRGGVQRQRRARRGHGHHDRRPAWEATMKLPTGKAVTSEVAPAAAEAANDPRGKTNPRGVSAALDMAAKHNSEHDRAT >Dexi3A01G0009690.1:cds pep primary_assembly:Fonio_CM05836:3A:6843903:6846845:1 gene:Dexi3A01G0009690 transcript:Dexi3A01G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERQRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPPVLHHTEEIRAPESEQEQSKHVTVEEAPAAPAQASVLPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGDSVKRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNPMFVDPNNLQWGWSWLERWMAAKPWEGRNGADKESNIDRGSVRGMSLNLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSAKVAPVPVRRKSATPKNGLSQVDDDVRSVFSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSALTNGAETPEKGGSAGPVKKRLSFQGGTAAASPMRRHSGPPKVESAVKDIVAPPQPEALVINGGSN >Dexi5B01G0036610.1:cds pep primary_assembly:Fonio_CM05836:5B:36270764:36273987:-1 gene:Dexi5B01G0036610 transcript:Dexi5B01G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRASSPAAVTVSATAAPAPPPPSATAAAADTSSPDASDLYEEGMWQQMAMSSGATMQPGPYPERPVLSEDRNMQVWPYMQISPSQGKGWNCRKSAAKRIRISTPAGNDFL >Dexi5B01G0006070.1:cds pep primary_assembly:Fonio_CM05836:5B:4100830:4109773:1 gene:Dexi5B01G0006070 transcript:Dexi5B01G0006070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFNSTFDELHGVLFPANSSATFLSTGLGSYKKNHSSELNLSEPALLISDDLWIELWKTSGNGNEMTFQEASFSVEFTMSIYRPIGGRSSSLIFTIIPNGFPYNNRSLLFADQLFSSRDTSESIVIMNTTDLADNIFIIIASECEMILINVTFFCLEIISIESRPDHSTIYNYTFSIDYNHFGHNMTVQVSVVLGDGQEQKSSGSKSGINFTNIYSQFGLFSFSSSMGQLFQLHTWNSTVDRLSDELGDSHEKKDTTFIILSSVIGSAAVTVATAAAVYCYFNSKYRGWKMELDQLAKSMQQLPGVPTQFSFSDIRRATNNFHETTQLGRGGFGSVYRCSLPGPKKGETLEVAVKKFSRDDNRRYEDFLAEVSVINRLRHKNIVPLVGWSYNKGEPLLVYEYMPNGSLDQHLFRRSGANQQQPTPISTWDTRYNMVKDIATGLQYVHHEYEPRVLHRDIKANNIMVDSGFQGRLGDFGLACVVANGKEPYTDIGAPGTLGFRAPEYIHSGKATTKSDIFAFGVLVLEIVTGKMAVDAQHCHLTDWVWNLHKEGRLPDAIDPTLTTEFHTSDAKRLLLLGLACSQPNPSDRPTMLKALQIITKSEPLPDVPLKKPRFVWPPEEEQTVISDYSYNTEQSSLGVHQTIGIEMSEAGHASSSENGGNVFVHTFSGWSYSKGEPLLVYEYMPNGSLDQHLFCRNGGNQQQPTPISTWDTRYNMVKDIATGLHYVHHEYEPRVLHRDIKANNIMIDSGFQGCLGDFGLACVVANGKESYTDIGAPGTLGFRVPEYIHSGKATTKSDIFAFGVLVLEIVTGKVAIDAQHHHHTDWVWHLHKEGRLPDAIDPTLTTEFEASDAKRLLLLGLACSQPNPSDRPTMVKALQIITKSEPPPDVPLEKPRFVWPPEEEQTVIFDYSYSTEQSSLGVHQTIGIEMSEAVQASSSENGGNGLHHRPIARPSVNRSSSPFITLQRSD >Dexi4A01G0003020.1:cds pep primary_assembly:Fonio_CM05836:4A:2170867:2171314:-1 gene:Dexi4A01G0003020 transcript:Dexi4A01G0003020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATVALRAVMLVLVLVAAASAGASVLVVNKTIESDDGDVIGCVDIYEQPAFKHVPPRSRKKKNVSLLC >Dexi2A01G0019790.1:cds pep primary_assembly:Fonio_CM05836:2A:31931635:31932507:-1 gene:Dexi2A01G0019790 transcript:Dexi2A01G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKRLSESRDLTRIERIAAHSHIRGLGLDSSLEARDTSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPLSAASSAAPSGATAPGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVDNLH >Dexi3A01G0017110.1:cds pep primary_assembly:Fonio_CM05836:3A:12966195:12967283:-1 gene:Dexi3A01G0017110 transcript:Dexi3A01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGPRTSRSQEADSTAGPAASRRARTVADASRRRMGQPHSSAMRRIFDTCAAARSLVFALMSSIAARKLLGDADGLAQAGVRGALGGGGGGEVLPELLDHAIAHHVVHPAVEVLREQGLLDVDPEKAARGAERGAVAMMIPPPPRVGGGGGGGEGVAGGGGVEAVGEEGVDEGVGPAGDDGDAAPRGDRGGRGGGDGAERRGRELAGVGADGAEEVVRDAAAVSEGHLVGRDVEAGVELDLVGVHDLPADLPRHVDGQLGLAGARGADDHHHARPVEGAEQDDEPLLLRRARCSRSSSSSSLGGESEIRCGRNGLDAPATAAAAMGALHTSVVILLARRLVM >Dexi2B01G0006280.1:cds pep primary_assembly:Fonio_CM05836:2B:5882068:5883639:-1 gene:Dexi2B01G0006280 transcript:Dexi2B01G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRIRVLRPLLLRAGLPDMISSCWPLILTYFSVSCTCERKRLAMEATGLSVGKSVLSGALSYAKSTIAQEVALQLGVQRDQAFIRDELEMMLAFLMAAHEERDEHKVVKTWVKQVRDVAYDVEDCLQDFAVRLGRTSRWCFLRTLVVRRRVATRMKELRAKVEDVSQRNVRYQLIKGTRPKPATGAVASVISGATKFGIEEARRQKDKAKVDLSQLINEGNTDLRVISVWGANGLLGQTALVKGEYDNMKRRKRFNLYAWVRIVHPFSALDFLQCIMRQFYQTCFEEPVKTQEQTNIGAQVLKKMGAMKQDELVDAFIRHVNENSYLIVLNDLSTIEDWDAIKEYFPNNNKGSRIIVSTEHGEVASLCAGQESVVSELKQSSIDESIFASYKVISIGVCDHLTY >Dexi3B01G0005910.1:cds pep primary_assembly:Fonio_CM05836:3B:4043174:4048186:-1 gene:Dexi3B01G0005910 transcript:Dexi3B01G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEGEIALGLFAADSAAERPGGLPRSGSSSRLNAQAPEFVPRGPPSPAPAAVVVPPQVIRVFAAPPPPPRAAFFAPPPPRPFEYYAPVGGRSGFIAKEQKMQEPELPSDVELLQPAANVEPVVDGLDDEVVRKIAKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVIAGFKKVKASVRDSSMLAAALRTSSKLIVSDDGKRVKRKEAFTESDLQELKSRIVVAENLPGDPSYQNLKKMFSAVGSVISIRTCYPQTPNGSGPATNRSAKLDMLFSNKLHAFVEYETPEEAEKATLGLSDEENWRNGLRVRLLNTCMAKGAGKGKKGVPETNGNGEEDLSTSNQSNEKQLEESSQLLDVLPEHLFDETFNDKEVPKRGKGRGRGGRGRGCGNQHHQYNNHHHSNNQNHQPHYNHHGNNHYGGNRGGNHPVGTPPHNLVNKPEQHQQLPIGANKLPPGPRMPDGTRGFTMGRGKPQAVLPGLCAVGEA >Dexi4A01G0014030.1:cds pep primary_assembly:Fonio_CM05836:4A:15364945:15371741:-1 gene:Dexi4A01G0014030 transcript:Dexi4A01G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDHKLNKLDLNKLSSAPPTLSLTDEAVVYFMANLVSDEALLLLVNLSDEKLEAAEETTFELPYLPCSFSRFLGASTEDKDGTRKSRGNFETLVDAFIFLDKNKDGYVSKDELIKSKEQSCPWVAAPPPTASRRRPKGPGPRPTTSHEYNLRVLPPSNVPVHNNLVSDTLGNESAAMEALTTSIQTLSDQMAGFAKDFNALKPLVPAVNELVKLPSAKKTLAGSVKDAEQQTAALNLALARLESASSSKTSKQGGHQKKPTAAAGGVDANLKHKAANTGDMDQASGAAKEDGDPSDPSSSSDESRSPSPRRGRKSSRPASSSIPALGSPLPSRGPLRRRLTPAEMDQRKKDGLCYFCDDKYVPGHKCKKLYRMELVADHDDDGDAASGADEEESSLHALLDLQTPLATDGPVVSVRAYTGVRSPKYCTMKLPIYLGGLKLAALIDTGSTNNFIDERVAAHLGFSTLPGTEHTIRVGNGDLIRSPGVIPGVPVVVGSLAAGEPVLPLGCRAAADGVEAVAEGSRATPHHLSRVLIQDKGQISPH >Dexi6A01G0016340.1:cds pep primary_assembly:Fonio_CM05836:6A:24209274:24210740:1 gene:Dexi6A01G0016340 transcript:Dexi6A01G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAPNKDGRPLFAAKDINNFYLKHCPKIFPARSGGPLGLLRSVLSGPKYDGKYLHSVVRELLGDMKVSQALQNIVIPTFDIKLLQPTIFSRYDARDDVSKDALLSDVCISTSAAPTYLPAHQFETKYKDGKPRAFNLIDGGVAANNPTLLAMTDVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAVQCSKWGILGWLYKKGATPIIDSFSQASSDMVDIHASVLFQALHSEKSYLRIQDDELKGDTSSVDVSTKENLNRLVDVGTALLKKPACKVNIETGKNEPDGTRGTNEKELIHFAKMLVDERRARLKKKGNSII >Dexi4B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:4B:18511352:18515792:1 gene:Dexi4B01G0016450 transcript:Dexi4B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYDGGGAWSTVLVRYRKAAAPAPAPGGGGGGEGSAPGAGELAAAVEGLEIGGDGERRLDKYDIPVEVSGEGAPPPADGFEAAGLAEAVLRNVARCGYESPTPVQRYAMPIAMAGRDLMACAQTGSGKTAAFCLPVVSGLVAAAAAAAGGYGRRDKGSFERRAKPRALVLAPTRELAAQINEEAKKFAFQTGLRVVVAYGGTPMYDQLRDLERGVDLLVATPGRLVDMVERSRISLEAIKYLVMDEADRMLDMGFEPQIRKIVDMMNMPKKSVRQTMLFSATFPSEIQRLASDFLHNYIFITVGRIGSSTDLIDQKIEFVNEGEKRGFLLELLQKQPFGGTNSKQPLTLIFVETKREADTLRYWLYSKGFPTTAIHGDRTQQERENALRSFKSGATPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGNATAFFTESNHPLAKGLLELMTEAKRDVPQWLVEYADRPCYGGSSYGGKGRRGGGGGGGYGGRDYRRSSDYGYSGGDYSYGGGGGGYSGGGGYSSGGGGGGYSGGGGGSYSGGGGNSARGGGYSGGDDYSGGGGSYSRGGNGGGGGPSRSSAPPPPPRYYPAYPMGTTDISASGWD >Dexi9B01G0024740.1:cds pep primary_assembly:Fonio_CM05836:9B:21400886:21401593:1 gene:Dexi9B01G0024740 transcript:Dexi9B01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGTNRGQAKAFKLDSLLKLADVKGADGKTTLLHFVVQEIVRSEDAKSEKAPESQTRNITKDKQFHKQGLKVVSELSIELGNVKKAASMDFDVLHGYVSKLEAGLGKIKSVLLLEKQCTQGQNFFATMHTFLKVAEMEIEQVRCQEKRSLGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDQVCREVSQQDRTVVGSARSFRMSATTMAMLNMHSQHGGESNSDDESSS >Dexi8B01G0003340.1:cds pep primary_assembly:Fonio_CM05836:8B:2481816:2485111:1 gene:Dexi8B01G0003340 transcript:Dexi8B01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLPPPAPSSSSSTTFGALPARGHHRRAHSETFIRLPDADLLLDPDADFGFSDVDYFPSLSDDSPSLSDPTPPPYPAEPLLQQHQQQQQAAAAAPRPPSGAHMRSLSLDAAFFDGLSLQGGTGGGVAGHKRSGSVDGYSSSLEGESAPPGVLPDYAKKAVPAEKLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTTENRELKLRLQAMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNPFDGGLPQQQQQQQMASYFSQAQQMQYFSGHQGQHHHHRNSSNGGGQSLSDSMDFM >Dexi5B01G0017590.1:cds pep primary_assembly:Fonio_CM05836:5B:19377192:19390781:1 gene:Dexi5B01G0017590 transcript:Dexi5B01G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDALPPLPLSLGPPHLIPPAPTRDPRAIAFLPDLGGLPWVAYAAGSFLVVSHLPSPSRNDTNDGCCSPCFRQVIDLRAPVSAAAWCGGGSGEVAAAAGNSVSIFQPAPAASSPGSFGWLLRWSITETFPITAVAWTVSGDGIVAVGDGVSMWARAQSSWQIAWRSMPNVPQSLVSTTRFMQGPVATAAAMAPAEHSVPVLVFRNAPKRGLEQAELVHPQPVCMIQWRPCSLSVSDRSEFRREILMTCCLDGTVRLWSEDELVKSKKQRSLQISFNAIAVIEVNNTLNGVLGIDITVRWSMESGSVVSRDEEGHFELFSSDSRESQVGEWKRANAALQHLIQSMKASKASKCSSCRKSCHSIPELPLSEYFVETTSNNISNTGFLWGEDKNNTTFNLLSPSNSFLYVDGNLGMNTTTSVSQKSEIVELLDKNFSIYGITGTERTQILAISDLVVEITDPSRSSPYKSLDEAGRRFWVAVQFRQLHALRTSGYSSISDGFHVDSASIAWAFQSDCQDDLLNSVLPLEPTWPEMQKLGIGLWYTNVSQLRTRMEKLARLQYLKRKDPKDCALLYIALNRIKVLEERHKAAALKNAYVLMGRHQWELAIAFFLLGDDFSSAVNVCAKNLQDEQLALVISRLVEGSGGPLERNLISNVLLPDAIEKGDNWLSSLLEWMLGNYCQSIGRLVGCHPKLPIDESKILGDPYVISDPEVGQYCAILSAKNGLRNCVGEAVSAKLSKLSFAMAAYALNKCGLPLEALECLSTNSGIDGKESINSPDDGDHKIFDGMLNPVSPSKNWLSSSVISDIESNLKVTMASKYLSRLLRNHLFCSQCNASLSEDKVLNEYNSHQIEELTRDLTAVISIFDRRFSLKFSDIAEKAICLNSINEKQAAIATNRKGLVFFNWSEKKHRKKFAEAEYVWSGSDWPLDGWAGCESTPTPTFISSGVSFGRKKGSQLGSGGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPEEFEDLVDPPATLENIHSRSLSRHPLLPLFLVGSSNTHVYLWEFGKDSAMATYGVLPSSNIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHPTDSSLYFDSHASDVSYVSASGSVVASAGSNSNGANVIIWDTLCPPATCQTSILCHEGISLRGARSLSVFDSGRGCGSISPLVVTGGKSGDVALHDFRFLSTGKSHHNRISAEHGVKASSRHDNKSGTSGGTTNGMVWHIPKAHLGSVTKAAVTDLQVLPHGFISCGGDGSVKLIQLKDLATLHEQ >Dexi3B01G0014240.1:cds pep primary_assembly:Fonio_CM05836:3B:10302788:10304781:-1 gene:Dexi3B01G0014240 transcript:Dexi3B01G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRGRLMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFSSQEWIMEQWEKNFYITALAGANNGSSLVVMSRGCGA >Dexi4A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:4A:7466635:7468651:1 gene:Dexi4A01G0009460 transcript:Dexi4A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPSVVDDTGEAINGDRLIALMSAIVLGEHPGTMVVTDARGSDGLTRFIESRGGGHCLYRVGYRNVIDKGVQLNEEGVETHLMMETTGHGALKENYFLDDGAYMVVKIIIEMVRMKPAGSEGGVGSLIADLEEPVESVLLRMNILGETKYAKQNGITVVEAFKKYIEGDKLSGWMLDDCGDCSVGEGCLVDTNDQPIDVDAYMYRAKLYDENKRQVGMVHIRQSVHNPNIALNMQSYVPGGCKSMARDLYKRFFLATGVKDFVDVSEVEKFVK >Dexi6B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:6B:24497798:24501685:-1 gene:Dexi6B01G0017300 transcript:Dexi6B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKIKKFGKGKKSAGVGGETVVESDDEAHAAAAGNVASQEQQQKGDILGEKAEENGVAEEAVEEEEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEECGEGEEDGGDEGEEEGSSSREWLESDVVSGFPLCGFDSLYDKYCERMLVFHKMIAQLMKDPESLYMSKKSPRSASKLASTLRSLSFKRKDELQEDCEQLQQQQSEDDPYQTLETAYVAHVSLSWEALHCTYVHLSLIVAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEHVADKKDNTEDQMEPSIFAPDLIKLLEESVLTFRLFLKKDKKKNSAMSTHGHTGSSIHHVQSSLDKKEAKVKELFKKKKGWKSKTWPPTMEEVQLLFALIDIKVVSRVLRMGKLSKEQLLWCEEKMSKLDLSENRLRRDGSPILFPC >Dexi6B01G0002700.1:cds pep primary_assembly:Fonio_CM05836:6B:2316921:2319081:1 gene:Dexi6B01G0002700 transcript:Dexi6B01G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLPRAPATAALAGAAFPHRLPMPERLPPPRRLPNTAHLNALLTSYGRRGRIRDAQQLFDRMPRRDVISWTALLTAYADAGDPASARLVFDDMPYRNAFSWNALLTLYLRSGPTAAVSAAAAHALFAKIPAKNAVSYGAMITGLARAGMLREAEAVYGEMPLQWRDPVGSNAIMAGYLRAGDLGMALRVFDGMVVRDVISWSAMVDGLCKYGTVSEARRLFEAMPERNVVSWTSMIRGYVKRGMFRDGLELFLDMRDEAQRLLEMDPYNATAYTVLSNMVSSAGMKDDEEMLKAAQLSNMASKSPGVKS >Dexi2B01G0025970.1:cds pep primary_assembly:Fonio_CM05836:2B:35140670:35141702:-1 gene:Dexi2B01G0025970 transcript:Dexi2B01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSWCRKPYYPNSDTRGTETGACEYGMFGAKLNNGDVLASASLYRNGVGCGACYQITESSNFPYYLEFEIWYQHGNQDIIAVQLCETVNLICQLLGRTHGAVWAAVSPPSDPLSEVYGFLAAGFHMAATRNG >DexiUA01G0020440.1:cds pep primary_assembly:Fonio_CM05836:UA:42726189:42726401:1 gene:DexiUA01G0020440 transcript:DexiUA01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAEKGKALADGSESAAESAARWAREWSTWAMKNAKVVAHYGFIPFVILVGMNSEPKPRLAQLLSPI >Dexi1B01G0013290.1:cds pep primary_assembly:Fonio_CM05836:1B:18827674:18830597:-1 gene:Dexi1B01G0013290 transcript:Dexi1B01G0013290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSLCRIYDSCMCANAPVFTGIEQFPQFSHLQELIGLAAADSVELGHIVEPPVIRTATSILPLGWNGVPGGKSAEPLKVDIIGHGLHLCTLFQAQINGNWYSTVIETLPSATAYSPDEEMQPSLQKMRILVGHPLKQPPNYTSEDFMVPVVTGADSTLDFGFESLFEDKDCCKGLSGFLIYGTNDFVTVRKEVYVRTRRVRLLGLEVPPYLWRKDIVMHVEGVTALRQLVHQVVLNNEEPAFEELARERLSLDLAREKAASLQAKQKPPKRDGSITAAAVGASLGAGLGIVMAVIMGAASALRKP >Dexi7A01G0012590.1:cds pep primary_assembly:Fonio_CM05836:7A:22779574:22781751:1 gene:Dexi7A01G0012590 transcript:Dexi7A01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETEKKAAAMAAGEVGKKKVAADSDVSLKELSKKLNDFAKERDWEQYHSPRNLLLAMIAEVGELSELFMWKGEVRKGLADWDEAEKEHLGEELSDVLLYLVRLSDICGVDLADAATRKIVKNAVKYPAPSKDQGA >Dexi9B01G0005540.1:cds pep primary_assembly:Fonio_CM05836:9B:3255137:3255711:1 gene:Dexi9B01G0005540 transcript:Dexi9B01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSEDCSQSIQIEGSFFQGVGFFTNEDYATNSDGLVINDGTWTYKIPTVDTIPKQFNVEIFNSARDLKRVLSSKASGEPPLVLASSVHCAMREAIRAARKEFSVCNGPANSTAIFQMDVPATMPVVKELCSLDVVERYLESISAAGSNTAKA >Dexi9B01G0017660.1:cds pep primary_assembly:Fonio_CM05836:9B:12418230:12422068:1 gene:Dexi9B01G0017660 transcript:Dexi9B01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRPGPPAPAAAVTIPRRHLLTAHSRLKAPPAPPPLACLRLRTAAPPRGIPPRTGCRATAADADAAPSQGPGGNGGARSALVRLGEMLSLGFPLWVSSACALALWRPSSFLWVGPTTQMIALTFTMLGMGMTLTLDDLKTALLMPRELAVGFILQYTVMPLSGYFISKLLKLPAHYAAGLILVSCCPGGTASNIVTYLSRANVALSVLMTAASTFAASFMTPLLTSKLAGQYVAVDPMGLLVSTSQVVLAPVILGALLNQYCNGLVQLVSPLMPLIAVAAVAVLCGNAIAQNASAILSSGVQVVLSVGCLHASGFFFGYVLSRILGIDISSARTISIEVGMQNSVLGVVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPPTDKTE >Dexi6A01G0010690.1:cds pep primary_assembly:Fonio_CM05836:6A:13308682:13311937:1 gene:Dexi6A01G0010690 transcript:Dexi6A01G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVQRDDGGDAKTKKRRPHDAPPSSGGGGFFSAVKSAATGGGGSNPSTPTLRCRTKPVQQPAEAVPVTPPPPSAPAPARKHRMPLLQALSAPASPRSPSRFALLKASLLPSKIRCGVCSRGVKSGGSSAVFTAECSHAFHFPCIASHARSTSSNGVLSCPVCSEPWRQAPFLASLRLHCSFHDGKHRSTRSSGNDGRKTPPPPQTPPSSSKLYDDDEPLLAPKAAANGGAFNPIPEADDEDDATADDEQQGAEFRGFFPRPRTTGLAVTVSPEAALVSTGRRHGKYVVAIKVKAPGLRSLSSATTTPRRAPIDLVTVLDVSQGMMGEKLQMLKRGMRLVVASLGPADRLSIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAATTTLQGHQEGQQQQPCVGDALRKATKVLEDRRDRNPVATVMLLSDTLQQQQPADHSTTVRKQFGRPAVAPATRFTHVEIPIDAPPPLVPELDKEDDPPVEHAFAKCLGGLVSVVMQEVHMELVFPTGEITAVYSCGPGQQAVALTGGAAGMVSVRLGEMYAEEERELLVEVRAPLGHSHPHSLSVRCGYRDPASQETVRGGEQQLLLPPLLQTSSSSSRKLHDMFVATRAVAESRRLAELHDLATAIHLLSSARALVMQSPPSQQQQELVGSLDTELSDMRWRRSQQQQYQYQEEPLTPTSTSRSGRRRRDGEATTPVGTPRGGSGSSAGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >Dexi3B01G0019140.1:cds pep primary_assembly:Fonio_CM05836:3B:14191859:14198058:-1 gene:Dexi3B01G0019140 transcript:Dexi3B01G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSVFAAGWVGNCYAAGDPLCYPILSSWIMAGALVMAMGASFVTLMAAQFVTSLRVRFARVVTAVYNAEISPASLSWYVMQIFVNVGILLTRVCVSNYVAPHVHGRRRGRVVHVKVATVAPAMCTLCSCTPDTPPEADRLPGHQASPTAGVPDTVSVRLNSVALGAIVAIGVVKTCFIDCGDGRKASNPSRSPPIPIRSGSTPRPADSSVPPRPITALPGRRNSSNSCRARFLSTGDQHTRHHGVRRTGSGGTPPQGLVSVLLSLRSPEGIEPVGNAGLFRRHSWRRISTFQPLCMGRRSCKIAGRKVMCSSHDIGHVVTAVQKSVIRIKKGGPSPSSNTALAAILEKARELDIPKEILERNIKRASEKDQDTYTEKVYEVYGFGGVGMIVEVLTDKITRSVADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLSIALDAGADDVLEPNFDYDDDSEEEIERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIENDGPNPSVPGLAFMSIANSASEISWLERSREALTEHTIIIRVEVREADAPVGLDGSA >Dexi6A01G0013790.1:cds pep primary_assembly:Fonio_CM05836:6A:21085375:21089146:1 gene:Dexi6A01G0013790 transcript:Dexi6A01G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPGAEAKSGLPVLLPEDVPVSGSLGSMGPLIRRLQSLLHTDQSLPQGVSKDELQGFMEDLKAINASIMDLSAARDPSFIDKCWMKEVREICYDTEDYLDMVLLRSSAGGRKIPWMKQQRCHLKIPWIQAKMKHQQRRPLKIPWIRSKKMKKHQQRRPLIAKDISELRSRLQSATGRSASAGLKTIVKPRIPVVNLGHLLHAPYAGIDKHVNEIHGFLALDTEQQLKAVPIVGLAGAGKTTLATTLYRKYGMGFHCRAFLRVSRNPDTRRLLASLLSQVKGPQPRRGMCDLQDLTGNIRKHLQDKSYFIVVDDLWATSVWDIIRRALPEEDYVIRKDDLVRQWIAEAFLSAVEGKDIEQIAGEYFDELVARGLIQPVDTRLNVEVLSCTVHHMVVDLIAYECMEENFITAVDYFDAVTGIADKKEL >Dexi9B01G0016380.1:cds pep primary_assembly:Fonio_CM05836:9B:11212118:11214101:-1 gene:Dexi9B01G0016380 transcript:Dexi9B01G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAQQGGQGPAGAVRVDEASSASTFRELDDAFLQVSASKLLCIFGAVVNREANGTKQTKIWLGEVLHLRFDEDVLVADLLADGELLFQVSKVLWKRLMKKNREQLKQSKVYIYERLSFGRSNGKYMPYSKVDSFLKMCQILGLAGIDLFTPSDVVEKRNVRKVCICIRSVSKKSHVMCLNVPDFDIVTYTISMPNYIVGGILRSLEQPQYSSSGSSGYSPRDGSKALQQQASL >Dexi4A01G0013160.1:cds pep primary_assembly:Fonio_CM05836:4A:12289461:12290217:1 gene:Dexi4A01G0013160 transcript:Dexi4A01G0013160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPLLQHDNDGTVESNESSPTVGRLVPSTEARIVDVESGESLPPNRVGELWIRGPSVMQGYLRCEEPTAAALVVADGGGRWLRTGDLCFVDFHGFVHVVDRIKELIKYRAYQVAPAELEDVLASHPDIQDVAVAPYPDEEAGEIPVACVVRKPGSSKLQAQDIISFVQSKVAPYKKVRKVVFVDSIARSPSGKILRAQLKSFVRTCEMHGEAELRCANRV >Dexi2B01G0032720.1:cds pep primary_assembly:Fonio_CM05836:2B:40553490:40556969:-1 gene:Dexi2B01G0032720 transcript:Dexi2B01G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLPYWTTGWYTYLNSECKKGGWSQEEDMLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPYSNSNAKRVLAQTGCVTPGAGGSSLPKQMRSCNSNLKENIVPNMRLFGQEKGTQHDARQPLATISSNDQHNVNTVTSQDLATTAKPSIGPEHRVKQEGNFMKKDDPKVAILLQQADLLCSLATKIKTDDTSQSMDEAWQQLQHHLVKKEDDGVSENSGSGMASLLEELDDLIVDPYESKEEGEQNEQTQTDVGNEQCNGPSQISMEVTSNMPPNEMMEDHSVDTCKEVSSLCRNILSGSMQAFPGTKIPASENLSKVAEDSMLQRVESTSPVLTDFDDYIIDPYESKEEDEQNLREQNGQIDVHDDQSSDPSQARMDVTSDMALAELMEACPIDNCKEDSSLCPIVLSGSMEPCPGNLLCSEKSASENLNEVAEDSRFQCMESTSPVQRISHTKEDGETPAQKDLNEAAEDSRHQCVELTSPVHTVLRAKSGAETFASPRIAVVAKDSKLPSTEFTSPAHTVATFQPYTDDMPTPKFTASERNFLLSVLELTSPGSKPETSQQPSCKRALLNSL >Dexi6A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:6A:25425840:25426166:-1 gene:Dexi6A01G0017560 transcript:Dexi6A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAISEYERRPSWLASSAANRPSTVELKAPSSSTKLRTLSGKGGGGGRVGEGLWADGFR >Dexi8A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:8A:24713381:24716033:1 gene:Dexi8A01G0014260 transcript:Dexi8A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHNAEYNSSSLHEVALRFRLLRQYGFWVSADEFNKFKTEDGSFFSEIANDPKDLLSLYHAANLLTHNEQPLEEALLFAKHRLEVIRCNLKSPLAEQVERALKIPHPRNIKREEAIHYILEYAQDATYNATIQELAKLEFNRLQRVHQKELKAMSLWWKDLSEDVKLDYARDRVVECYFWSYSCLYEEEYARSRIVLAKLLMLTSLLDDTFDEYATLEECRVLTKALERWDESEVSLLPEYLKKFFLRVIENFRDFDDLLEPHEKWRNAYIRGVYQGICKSYLQEAEWSHRGYIPSFHDQVNVSVMSAGGELVAIGLLFGLGDIANKEVFEWAIQNSLTVTACGEVSRFMDDLADFKRGRNKMDVATSVECYMKENNVTSEVALAKVDSLVDHAWKTLNQELFDHRDILSIVNQITNFGRSMMFLYYDKRDGYTNSEQVKDALESHYVNPIPI >Dexi3A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:3A:1820148:1822087:1 gene:Dexi3A01G0002680 transcript:Dexi3A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQPQSPAGPTPPPPQEGQHADAPDQPAAVAAGPGGEIAALDKQLAVGGGAGEERKPGGGGKLVAEAMRKYAAPRSSRFHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHGSYVTEEQAARAHDLAALKYWGTGPNTKLNFNISDYEKETEIMKTMSQDEFVAYIRRQSSCFSRETEQEAAEAYDIAAIELRGAHAVTNFDISNYCEDGLRKLEGPSEVAMLEGPSEVMKLDGQ >Dexi2A01G0029800.1:cds pep primary_assembly:Fonio_CM05836:2A:40827882:40828544:1 gene:Dexi2A01G0029800 transcript:Dexi2A01G0029800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMCFGGAAAAVDDEAAAVARHRNQRGRSRSSSFRGKFLSGKKGSKNNKSPLHESKMRGMDADDFYGVFGPSTASSSSLSSAASLDSGYSSSSSSSSARSSTASSSRSVSGELFRPAAAKRRTSHNKQGSSSPAAGTAAVVLCLLMVVFCGRVGATLLMSMALYLFPRRWPARTTPRGVDGVGSPECAAEEATTARTPTRRKVVMDQGFLVRNRKKCQ >Dexi9B01G0036480.1:cds pep primary_assembly:Fonio_CM05836:9B:37987686:37988084:1 gene:Dexi9B01G0036480 transcript:Dexi9B01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARPPGHVSRGKGPRPPRKDFIVEERQLENGSEAKRNPQSGAGAVAVAVAATEESSGGRNRGRDGRARDHAALVARHPTWLAGLSAAGPAAPG >Dexi8B01G0014490.1:cds pep primary_assembly:Fonio_CM05836:8B:25103892:25105465:-1 gene:Dexi8B01G0014490 transcript:Dexi8B01G0014490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQCNQLVLIGCNVHATLIRFQIIDDNTEQIIGDCASFCSDVDSKFRWWENEVKADAGKYCSGIGCCQETISRAKNLIGWVHAPFSSLA >Dexi5A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:5A:4244909:4245791:-1 gene:Dexi5A01G0005720 transcript:Dexi5A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGEVSLMNAMFRRMFTSAGLRPANATVDAGDDTVIHFWAHPSLLQPPPSSSSAAASDQRRPVVVLIHGFGPDPTWQWAPQVGPLSRHFDLVVPTLLFFGASSTRAPARSDAFQAAALAALLAGGHLPGLDGGGRAVHVVGTDYGGLVAYRLAEELVERHRQQVGKVVLCNCDAWRSAEDDAAVAARAGVAEVTELLAPADTRALRRRWAACTYRPSKYVPECFLRDLFKKHFADKREEKAALVKGMTNGEGGLQLTPLPQV >Dexi8A01G0005540.1:cds pep primary_assembly:Fonio_CM05836:8A:5221575:5224782:-1 gene:Dexi8A01G0005540 transcript:Dexi8A01G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRGRGGGAALKRRAGAGSTAHSLNDDILRSVFSRLDDHFDLARCSAVCSSWNRIIVTAHLMRDLYYKRNPPERGSSSNISVKSYFEALAMDEHASSLSSGPTDAYQWIGHPMRATLCRMKGGLILTGVGDNVLRLWSAETCKFMNEYNVPNAKALVDFDFDENKASLFSCDMLDNNR >Dexi3B01G0004390.1:cds pep primary_assembly:Fonio_CM05836:3B:3005686:3007696:1 gene:Dexi3B01G0004390 transcript:Dexi3B01G0004390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPGVGPGAGAGGGGGSAAGLKTYFKTPEGRYKLQYEKTHSAVLHYSHGGKTVSQLTVAYLKEKPASQGSQPSTPSSGSGMRSAAARLLGTGNGSKTLSFGGGNGASRAVAGSSRIGGGLGTSTGLGGSQGVANYDGKGTYLIFNAADTLFISDLNSQEKDPLKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDDCIFVYMSVPCFSISNIQSSRCTSVAWVPEREGIFVVSHSDGNLYVYDKNKDGNTDCTFPAVKDQSQFMVVHAKSSKVF >Dexi3A01G0032470.1:cds pep primary_assembly:Fonio_CM05836:3A:37352802:37357151:1 gene:Dexi3A01G0032470 transcript:Dexi3A01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTQLLIRFFLALLYQVASQFLSASHGLVQRAAYTSGALVDVGQPTPWSHPEDVVWQGQTAGVEAAVEFFKADEAFPLSQIQKILPDMIERSKVVYHNVKTASSSYKNLDAFRRASLNNKVTDLAYYTDELRWIKSKSEIMLMRESASIVSQSLLQTMLLSRTHREERQLAARIEYECKMRGAQRMAFHPVVGGGANASVIHYSRNDNKIKTDDLLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQVKMLIKGFQELGILQKGKSIQYNYLNPTAIGHSLGMDIHDSVTLPKDKPLEPGVVITIEPGVYIPPAPVLNEAAPARYRGMGIRIEDEVLVTEHGHEVLTASVPKEMKHLTTLMSMGGESAAVDGHQMRAACS >Dexi3A01G0022870.1:cds pep primary_assembly:Fonio_CM05836:3A:18501627:18505781:1 gene:Dexi3A01G0022870 transcript:Dexi3A01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEEVRDIGSGNFGVARLMRNRETRGLVAVKLIERGHRVWALLSLLVSASIDENVYREIINHRSLRHPNIIQFIEDSWGNIEVLVILTPRHLAIVMEYAAGGELFDRIVDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKRIAAIQYKIPDNIHISDDCRELISRIFVSNPSRRITMREIKIHPWFLKNLPRELTESVQLSYFRRDNSVPAFSNQTTEEIMKIVKEARTMPKSSRLGYGYIDEFSDEEEKEEETEPKVEEEDECDKRVREVRESGELDMASLHI >Dexi4B01G0021260.1:cds pep primary_assembly:Fonio_CM05836:4B:23278531:23289350:1 gene:Dexi4B01G0021260 transcript:Dexi4B01G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQAALAEGSKPASAPMLYSCALCGKEYRSSKAHAQHLNSRSHLMRASQEPNASIAGITIVKPLPERVPRRAPSAVEEDEDEDEEEEWVEVDPDEMELADGSTSNMQVDEQSSKSDDDMDDLEELDISLCFMCDLKHDTLEDCMVHMHKKHGFFIPDSEYLKDPSGLLTYVGLKVKRDFICLYCNDRCQPFLSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDVEGKQLIAADGADSNFELGSGGSELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNRTGVETMRTKIGPALISFKAYNLVSAHRMPEHVLLTLRAQHNGFRALGIKASLAVAELQHSSSLPTEADRSEPREREASMATATCNACNVKFADDDHKRLHYRSDWHRFNLKRKVAGVPGVTEALFLAYVQAALGQGMTSDLTAAPIQYGCALCGKEYRSSRAHAQHLSSRSHLMRASDEGSSIDGIAVVKLKPLAERRGPSTAVEEEEEELADEATASSDMQVAQDCSSRCDEEPEELDPLSCFMCDIKHDTAEGCMVHMHRKHGFFIPDSEFLKDPNGLLTYVGQKVKRDFICLYCDDRRQPFQSLEAVRKHMVAKGHCRLRYGDGGDDEDANLEDFYDYSSSNIELGIGGSELVITTKIGKGTRVRTLGSREFTRYYRQKPRPSAVTNCASALSLASRRRRSGAATPPSPSPPPPVPRRAVRGALLAPSVGSSTRRGAAPLYRVERSGDPSGGGSASLVVWYWFRSFGWKSVMGSGNLLMKKVVRHSSFDLDIQLDKSWMEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANVKVSSLAVPPLDSIIHIVPSNANNRPSCPLCRGDVIGWIVIGEARMHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGEDYEVFRRVRTNWWS >Dexi9A01G0045630.1:cds pep primary_assembly:Fonio_CM05836:9A:49196368:49197706:1 gene:Dexi9A01G0045630 transcript:Dexi9A01G0045630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDSPGDEANGNRRPTSILLDREAHATGYCIDQPPDIADNSNETTATAKSKGGHTMAVTFWAADPPDLSFFSVVCTIPPDAVSKTADFKGLSYVVGAEGRFVLLRAHFVSRFGRKELFMYTAAGDTESPSLERIPLPDDDSGLLTAGVDRRIHGVEEFGIIPRGLHYLVVALCDDADKGSLDYCLRIYSSESVLGWVDFSQDLLVRDLCQEPPSVRFIPLPKPLPANRDKLGLPGASPRLFRDLACVDGKLKFIETEYLEPPSDPRDYDVLYDLDLIRLLENVDKPKPRHGWRAVTWSRTLSSNCWCKEHDVDVADILDDESAADSSLLSGLRGEGETVGKLKFRDLYSVFPTLRIDNGDIVYLICAAEPSDQNGWVVTVDLGNKTVKALGAYPFKKYDLTKQAFRTSTLSLLPLF >Dexi8A01G0005090.1:cds pep primary_assembly:Fonio_CM05836:8A:4483656:4485044:-1 gene:Dexi8A01G0005090 transcript:Dexi8A01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRALRDLSTRLMNIALAALCRGGSLARAESVLIDAIRLGLPPDVVTYNTLLAAHCRAAGLDAALAVVCRMRDAGLSPDAVTYNSLISGAARLGDTVRALDLFDEMLRSGVAPDSWSYNALMHCLFRSGHPEDAYRVFADMAEKGVAPSATTYNTMIDGLFRAGHATNAYRMFRYLQRVGLPIGIVTYNTMINGLCRSGKVGYARMVLKELGRTKHAPNAVTYTTVMKCCFRYGRFEQGMETFLSLLEGGYISDAFPYTTVISALVKKGRMEEANTYCELMIQSGSTLDNACYNTLIHLRCQEGKMDDAFELLNMMEEGGLESDEYTFSILVNGLCKMGQIEAAEKQIWSMEIMGMQSNVVAYNCLIDALCKSHEVDAAIKVLHSMKLKDDFTYTSLVHGLCKVGRYHMASKFLRICLHEGNNVLASAKRAVISGLRSAGFKNDLRKVRSALYMARLLRS >Dexi7B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:7B:13442764:13453741:1 gene:Dexi7B01G0005870 transcript:Dexi7B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARNLQLLRDAHATPCAAAAAAGIGVVTTAPPSHMAQEPPLDQHHHHQPSSKPPPPPPLPPPPLPERQADHHHHHQAPEPLPLRPLQHHHQDAAGTSGSSSGGSSSTNGGAGDWLRLGLTPTSPRAAAAAAGSPLGAFADHRVSGPPAPPLLSPSSSQPRTTAAEAALPGGFFMRQAGPGIPQASITLPVPRAGPPWLPPWSPAAAAAAPPPSTLIPFGHRAFFTPGAGATGIDAIRVVLPPSAVSAAAGVWFALQASPHQGREPFLPQIPRSYLRIKDGRVTVRLLIKYLAGRLGLEDESEVEITCRGRPLPAFLPLQHVRDGIWCQGDAAVSPSVAPDMPPAANHHLMVLQYGRRP >Dexi9A01G0007170.1:cds pep primary_assembly:Fonio_CM05836:9A:4138803:4145369:-1 gene:Dexi9A01G0007170 transcript:Dexi9A01G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQEKQAYGAYRYTHINASALPGVFLLELQRGRSPASPSKRASHGEWQHHCGMYSPMIDLSSSLLPTTSSSNSSQNLSSCSTGGSEAAVGGGAAWLGFSLSPHMAATMEDASNAVQMQQHQHQHHGGLFYPPVVTSSPAGFCYALGGGHDGVANGGGGGFYPGLSAMPLKSDGSLCIMEALHRSDQEHHGVVVSSSASPKLEDFLGAGPTMALSLDNSSFYYSAGHGHHGHGHDQAAYFHPLHDVYGGHAQLVDEQSAAAMAASWFAARGGGYDVNGAGAIVPAVQSHTPHPLALSMSSGTGSQSSSVTMQVGGAHPNSDAVTEYIAMDGGKKRGGAGQKQPTVHRKSIDTFGQRTSQYRGVTRYTPNRPYTIAVAVRTGRRRGPRGFHRVKAGIGGRGGMRRTSGTTAARRKARHGKAGKVRATAAMAAYHSIHLSLSSLLEKAARAYDLAALKYWGTSTHINFPIEDYREELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRFHGRWQARIGRVSGNKDLYLGTFTLCICIMISHRDHSRCRRWGYSRCPQRLCHGLRTQALNDDHCSATPWPRSSQRMFAHPLPPAGGVCFSLGMARACIASLAPALALAPPQSGSGPDWAPRLRSHARSRRFRSRFEEAAEAYDVAAIKFRGLNAVTNFDITRYDVEKIMESDALLPGEQVRRKKYVEGGAGSEGDAVVSAAAAALVQAGNCAADTWRIQAAAAAAMPGVARVDGQEQHQELLPSEAFSLLHDIVSVDAGHGGGGASAPMSNASSLAPSVSNSREQSPDRGSGAGGSLAMLFAKPVATAASKLACPLPLGSWVSPSPVSARPGVSIAHLPMFTAWTDA >Dexi5B01G0013550.1:cds pep primary_assembly:Fonio_CM05836:5B:9673264:9676907:1 gene:Dexi5B01G0013550 transcript:Dexi5B01G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRYLANCLLLIRVCAQQAVSARGCASLEVLLNADTKDVDEHHQSNCQNACCCRNPKLPAFRLSFPSSPFTLSRSFSSQAGANSGNKDDALEDGFSDLEVPPEADKKDAGLTSEDSSDEDAADEIGLSDVDADAKPEKEHMYKASDSILLKSMLETPKHEVAKALEKWANDGNELDRSELFFVLLNLRKRRWFDKALQLLQWVEESKLLEFGERDYASRVDLTAKVHGLFQAEKYIEKIPAHHRGEIVYRTLLANCVQEANVNKAERVFNRMKDLVLPITAFSFNQLLLLYKRVDKKKIADVLTMMEKENVKPSLFTYKLLVDAKGSSRDIAGMEKVVEEMKKEGIEPDLMFQATIARHYIFDGLREKAEALLKLMEGDDINTNRAACKILLPLYAFLGSDDDVQRIWKVCKDTARLDESLSAIEAFGRVGYVEEAEKIFDDMLVRWKTLSSKYYNAMLRMYANQNLFDKGKEFVKRMDENGVKFGNTSLDALVKLYVDAGEVEKAESLLHKLSLKNKIRPQYSTYIMLLDSYSKKGDIHNSERVFNKLRKSGYTGRIRQYQLLLHAYLHAKAPAYGFKERMKADNIFPNNAVATLIASTDPFVKKKSISDLLD >Dexi7B01G0007000.1:cds pep primary_assembly:Fonio_CM05836:7B:14789973:14794054:-1 gene:Dexi7B01G0007000 transcript:Dexi7B01G0007000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGARRPAAQQIVQSLQRCPRLSPAPPPFADALGDYHRFPHPSSAPAAGVAAEPLVGGRGDIEEGIVVTAPVRRRFERAEQFLLRDVSLFFGGLELTLGNLQRHTSQRLNSAKLDLRHLYQMLASINACLYSIAASPGNPPTPAGPCRFDSSLAQLTKKFINLLKEAQDGILDLKSAADKIDVQKRRIYDITNVLEGIGLLEKKSKNIIRWKGLDQSRAKLDNDLSFLKKEVENLNLQEQALDEHISKMSKKLKALTEDEIRQRWLFLTEDDIKGLPCFQNRTLIAIKAPHGSSVEVPDPDMVTGDSFQRRYRIIIRSTGGPIDVYLVSNFEETWEGTLDDIATLASETNLAKQAASREGLRTEGAGQRSGKEVVYNDQQTHKTPDLNAPYPSEGVLRKIIPSDVDVS >Dexi6A01G0005270.1:cds pep primary_assembly:Fonio_CM05836:6A:4792483:4792984:-1 gene:Dexi6A01G0005270 transcript:Dexi6A01G0005270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSLILLLLLATAAHGNGTLLQDYPEEIPADFKWQRRPSSSRSPVNSASAGGGGMTPEDHASTARAAPAEEDDGE >Dexi4B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:4B:5117918:5119475:-1 gene:Dexi4B01G0007230 transcript:Dexi4B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRVSRLGARFLREAMAETGAGNLLSSQGSLYQGHVSRHSTPVVTPSVLPLRNILFSTATSGDQGESSQQKEKISVTFVNKDGSEQTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMVRSRYSYSKLY >Dexi9B01G0032490.1:cds pep primary_assembly:Fonio_CM05836:9B:34718143:34719544:1 gene:Dexi9B01G0032490 transcript:Dexi9B01G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGRSPATTTIRCKYCSACLTVTPGERAVQCAQCCGVTRIRRSTRLPLPSLPRPAPPVGHFPCPRGKKRAVLIGITYAGARRGCGELRGPINDVKCMRHLLCQRFGFPSDGIIMLTDDQKDPFRLPTKDNIRMAMHWLVQRCSYGDSLVFHFSGLGAQVPDEDCDEADGYDEAICPMDSFQKGPILDDEINEAIVRPLVHGAKLHAVVDACHSATVLDLPFLCHVSRTGSWQWQDHRAISGACKGTSGGQAVLFSGYSDGKSKFSVTPEAYASVGAMTHSFIKAVESEPCGVTYGRLLTSMKAIMTNGGGSCNLQGPIGAPVSKIANFSGVQEPNLSSSEMFDIHRRSFAL >Dexi5A01G0008990.1:cds pep primary_assembly:Fonio_CM05836:5A:6746283:6748223:1 gene:Dexi5A01G0008990 transcript:Dexi5A01G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFKERTGNATRSCVPIIHLNAGFVAAGGVLLVLLTFLVVSQKAAISGLNAEKGKVVYNTKGHYSETCEVDGDARVNGTAMSVILVPTTTSTAEHHQWSIRPYTGKAMSGIKNVTVTQLPDTATAPPCTVTHSTPAVLFALGGLTGNFWHDFSDVLVPLFIASRRYSGEVQLLITNVQPWFPAAYGTILNGLSNYAAVDLDADDEHVVRCFRHVTVGIHQHKALSIVPEWVPGGHPLSMRDFTRFIREVYVLPRDAPVSLVREPDKKPRLLLIHRGHSRRFMNEPEIVKAAEAVGFEVVVMDLRRDETVDAQARVVNTFDVVVGVHGAGLTNLVFLPPGAVVIQVVPYGRMEMMASLAFGEPATDMGLRYLEYSVTAEESTLLEMLGPEHPAIKDPESMHRSRWDMVMEFYLDKQSVRIDIDRFAPTLAKAFDYLRQQ >Dexi9A01G0008930.1:cds pep primary_assembly:Fonio_CM05836:9A:5287207:5288040:1 gene:Dexi9A01G0008930 transcript:Dexi9A01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPMPTLNKCCRCCSSPKLQRAAETKQRPISGGGARLQITRRAHAASLLLGLTGMAVAAPARADGESNGGGEEGVLGAIKSIFDPNEKTKAGKVLPKAYLKAAREVVRTLRESLEGDDGGDMAKFRRNADNAKASIREFLGGWRGQQAVAGEESYVALEKAIRSLAEFYSKAGPSAPLPQDVKNKILDDLSVADAYL >Dexi6A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:6A:4303676:4304410:1 gene:Dexi6A01G0004730 transcript:Dexi6A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEEQEDDLLCQQQAALGQDLQLHHGGGGGEPSSSSSSHAAVLEREHMFDKVLTPSDVGKLNRLVVPKQHAERFFPATGGGAAAGGTQLCFEDRGGVPWRFRYSYWGSSQSYVMTKGWSRFVRTARLAAGDTVSFSRGGGGGTGRYFIDYRHCQRRSRDISFGDAAAAAVPVWPMVVGVQRTAAAGGGATTTATAVSGGHGVEVGPTAGARSFRLFGFNVECGGGGDAVASASDEVEYVDGDG >Dexi2B01G0012430.1:cds pep primary_assembly:Fonio_CM05836:2B:18104454:18104656:-1 gene:Dexi2B01G0012430 transcript:Dexi2B01G0012430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRQRRLVAVLGDGAKVGQRAARRRTGAPLELLAGVVGAGVKGGEEVPAEGAGLGGAAEEP >Dexi5B01G0033460.1:cds pep primary_assembly:Fonio_CM05836:5B:33847525:33850886:-1 gene:Dexi5B01G0033460 transcript:Dexi5B01G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPERSPSQSPRSPAAAAGAPFLSVSVTDPVKMGTGVQAYISYRVITKKMDRARSYETGIFKKPADFLQMFKDVQSKVSDVVLGKEKPVEESTPEYEKLKNYIFELENHLAEAQKQAYRLVKRHRDNLLFNFEEPLKDYVRAVQSIKATMIDRANAFRQHFDLDQERKYKELNLEKLKFMNPEKYAEAESEFREASYLY >DexiUA01G0010080.1:cds pep primary_assembly:Fonio_CM05836:UA:19928158:19928728:-1 gene:DexiUA01G0010080 transcript:DexiUA01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSYYQTSRPIRSQVQHQEHIFHLYAHQHMGNTEFNIVPAPSHPNSFGWTNVMDWDVHDAPEIRAAVVARMQGVGISTRKNICKDLLDRQILGMKVIGQLWVGPESLYMHKVVAPIKGLTLLVVVG >Dexi3A01G0010350.1:cds pep primary_assembly:Fonio_CM05836:3A:7382201:7383280:1 gene:Dexi3A01G0010350 transcript:Dexi3A01G0010350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSGCQLQALLLMALAAAVLTSTASGTLQYNFYSSSCPKAEEAVRNATVKIISGDRTMGAAIVRLFFHDCFVTGCDASILLDQSNSNPQPEKLAIPLRGYDAMNTIKAAVEAVCPGVVSCADVLAFAARDSVMVSGGFTFSMPGGRRDSLVSDMSNIFGSLPTPNMQVQQLVSSFGAKGLTSDDLVALSGAHSFGQTHCSFVTPRLYPTVDPTLNATYAGKLRSLCPQNSGGGKVLNNNNVTDPNVLSNQYYRNLGTGEVLFTSDQTLTSDAGTAKMVLDNAASPVMWMAKFAGAMVKMGGIQVLTGTQGEVRKVCGATNSGS >Dexi8A01G0002460.1:cds pep primary_assembly:Fonio_CM05836:8A:1625794:1626360:1 gene:Dexi8A01G0002460 transcript:Dexi8A01G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVPFFGSSSGKKLTKRTTSTKNGNKSSSFGSTSSSSSSDECASVTTPRTVLPPPASMASGSGGANKIIRTVTRDDLAIALRRVVSSEEELAEMLAEAADSGVLLEEIAAEAAEAADEGELKETFAVFDADGDGRISAEELMAVLASLGDDRCSVEDCRRMIGGVDVDGDGFVCFKEFSRMMMQGV >Dexi8A01G0017550.1:cds pep primary_assembly:Fonio_CM05836:8A:29208433:29217593:1 gene:Dexi8A01G0017550 transcript:Dexi8A01G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPRCVDQLRLRGEWKRGPRRRHGPARAELSRAWPGGKRHPCSHAPWPPQDEEECEFAMSAEELKGSARTDMVARFFVLVAVAQLVAMATRGVAAQMSGVGKIISESLFNSMLPNRDNASCPAKGFYTYEAFITAASAFPEFGTSGSPELMKRELAAFFGQTSQETTGRTIAAEDQYQSGYCYKEAKEEFRDAPYRPYYGRGPVQLAWLWNYKAAGNALGVDLVSNPDLVSTDPVISFKTAIWFWMTANPPMPSCHDVILGNWKPPTDAGQQQVPGYGVISQIIDGGIECGKVPSNVDNINRIGYYMRYCDMLGVGTGDNLDCYTMQRRWKKEQDKLTKAMHNLPGMPTQIDYAEIRKATKNFNDTMKLGKGGFGAVYRCSDGNKRHEGAATRHWETRYGIARDVATALHYVHHEHEPMVLHRDIKASNIMLDSTLSARLGDFGIACTVAVDRSSVTGIAGTIGYIAPDYAISQKATRQSDIYAFGVLVLEIVTGKKSGDVPPDDDHITDWIWRLHREGKLLEAVDSGVLVTDDSQQDEAKCLLLLGLACTNPNPYDRPSMAEVVQIITKLAPPPQVLPERPTFVWPPEDWRTRNSVYSTAMSTCERSSASTIELV >Dexi8A01G0016110.1:cds pep primary_assembly:Fonio_CM05836:8A:27277235:27279157:-1 gene:Dexi8A01G0016110 transcript:Dexi8A01G0016110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHDAMAAPLLSSPEDGVAPPPPPRRNRFAFVCATLASMTTVLHGYNMTLMSGAQLFMKEDVGLTDGEIEVLAGSMSVFMLASILAAGWITDRLGRRCTLVLANAFLMAGALAMALGSSFATLMAARFVTSIGAGFARVVAPVYNAEISPPSTRGLLSSMLDIFINVGILLSYVSNYAFAGLPVHTGWRAMFAAGAIPPAFIAGGVLFMPESPRWLAMRGRYADAHAVLLRTSDTSGEASLRLRDIKRAVADHPPSPATSTAGVWKELLLRPSPTVRRILACVLGLQFFVQASGIESILLYSPLVFKAAGMPSTTAAVGATVAIGVVKTCFILVGMLFTDRIGRRPLLLASTAGVALTTASLAATLLASSSSSSPATAAVSVAAVLAVVAAYSVGYGSVVNAYSAEILPLRLRAQGLGMGLAVNRLACGVVSMTFISLAGEITMPGCFFLYAGVTAAAFVFVYTQLPETRGRSLEDMGVLFDK >Dexi6A01G0015990.1:cds pep primary_assembly:Fonio_CM05836:6A:23865830:23867622:1 gene:Dexi6A01G0015990 transcript:Dexi6A01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAVGAGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEETRGAAGHAAAAKGYRGRVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADSTLAAYQAAQDIAMKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDASKPEDEQ >Dexi5A01G0024390.1:cds pep primary_assembly:Fonio_CM05836:5A:28242936:28244945:-1 gene:Dexi5A01G0024390 transcript:Dexi5A01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGTVLRAMEEYTFTLLAMAVGFLVVVYLYEPYWKVRHVPGPVPVPLIGHLHLLARHGLDIFPALAKKHGPVFRFHVGRQPLIIVADAELCREVGIKKFKSMPNHSLPSPIANSPIHVKSLFSSRDSRWSAMRNIIVSIYQPSHLAGLIPAMESCIQRAATNLNDGEEVSFSDLAVSLSTDVIGQAAFGTDFGLSSPKKTPGDDNNNDMKEAKASSEFINMHIHSTTSLKMDLSGSLSTIVGMFVPLLLKPLRQALLKVPWSADREITRVNGELRRMMDGIVAARMAARDPAASSPHKDFLSVLLAAREKDASTRELLSPDYLSSLTYEHLLAGSATTAFTLSSVLYLVSKHPEVESKLLGEIDAFGPRDRVLKETMRLFMASPLVAREASERVEVGGYVLPKATWVWMAPGVLAKDPNHFPEPELFRPERFDPAGDEQKKRHPYAFIPFGLGPRVCIGQKFSNQEVKLAVIHLYQRYVFRHSPSMESPLEFQFGIVVNFKHGVKLQVIKRHKND >DexiUA01G0020010.1:cds pep primary_assembly:Fonio_CM05836:UA:41531074:41534600:-1 gene:DexiUA01G0020010 transcript:DexiUA01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIERNGGEGALLGGTVETTAPYRASRSQTSASSRTAANRTVVQCGQVAASNVEGIGAATRLEHRMVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTADSLKNYKGERDGDQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFV >Dexi8A01G0016740.1:cds pep primary_assembly:Fonio_CM05836:8A:28335831:28336445:-1 gene:Dexi8A01G0016740 transcript:Dexi8A01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEESRRRWVEKGLVVTVSVYDIGDRADREKLMAAVKENFDGKLDILVNNAGQTILKPVMENTAEDYSRLMATNLESCFHLSQLAHPMLLNATVAGGGSIVNISTIGTYVAVPVLPKV >Dexi5A01G0000340.1:cds pep primary_assembly:Fonio_CM05836:5A:249284:251002:-1 gene:Dexi5A01G0000340 transcript:Dexi5A01G0000340.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGGPATGNNVYALHAGKVVAFSFITGFSSLLVTLPLTKVMILDYKLLYPTGSAIAGLVNSFHTPKGAATARCVSALLKSVFGSLSWAMFQWFYTGGDGCGFQAFPLLGLDAYRRRFYFDFSASLVGVGIICPYVVNLSLLLGAVLSSGILWPLLQAKQGTWYTDPSPTSLRGLNGYKVPMGIAMVLGDCLFQLAAVTIRAARSCFHHQRHVDAAPASYDERRRIQCFQSDHVPTGLALAGYLALAAMATALLPTMFPQVRFYHVALCYVMAPLMAFCSSYASGLTDWSLGTIYGKLAIFVFGAWVGEASGGAVAGLLAGGLVVVVIGNSSELMHDFKTAYLTLTSPRSMFASQVIGTALGCVINPLLFIGFQTMGGGHHHLGEPGSPYPAPMAMAYRGIANISVEGIRTLPKHAIMLCVPCFCAALCLDVLTSVAAANNWRIKGYLPNVMAMTIPFFIGPAFTIDMCVGSLIVFLWRRADRQAANQLSVVVASGLICGDGLWSLPSSLLAMFKVQPPICMKFLSAFQHDQMQQHFVPYLTAPQ >Dexi4B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:4B:4475897:4476446:1 gene:Dexi4B01G0006380 transcript:Dexi4B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTAVEEAAPRSAKPTTPPDAGDDDAVDLISGLDDDVLLRVLSLLPDASDAVRTSALSRRWRGLWSRVPALRFASRPGSGATTGGEQRAALERFAAFVDGFVSRRAQSGCAAIESLSIVYATGSSDILEKPPPPPVFVARVVFAIGRPHETNERDTQRRQLMPATLFCTG >Dexi1A01G0019390.1:cds pep primary_assembly:Fonio_CM05836:1A:26525033:26527951:-1 gene:Dexi1A01G0019390 transcript:Dexi1A01G0019390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSLNLFLDQLNLGDCTIRGNLEAFSCKHTATDRRLSISLEHEILDYLGKSSDSDPSSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFQEEEMESFKQMIDTYLSDASRQWAATNEGSSLLDSMTKAIDEVIKIKECDIYSYNPDSDGDPVQEKGAIWSFYYFFYNRKLKRVVSFRCYCTSKLSGDDFLTGAASDGEEEDALIDMDI >Dexi5A01G0009870.1:cds pep primary_assembly:Fonio_CM05836:5A:7425923:7426372:1 gene:Dexi5A01G0009870 transcript:Dexi5A01G0009870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSFPSSQATGPRPSSTTTAPRVASSPGPPPPPQLRGRHLALVFLHRRSFTRCRLALAFLHSHSYERHRLALAVHRAQRRRRGGVEEAGGALEATKRWRWRRVEATREESGERVEADGGWSEGGERKERRKLGLGFEKEWYLYMYDE >Dexi8B01G0006400.1:cds pep primary_assembly:Fonio_CM05836:8B:7474653:7476823:-1 gene:Dexi8B01G0006400 transcript:Dexi8B01G0006400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPASPKLKLYSFWRSSCSQRVRIALNLKGLEYEYKPVNLLANEQSDPEFERLNPIKYVPALVDGDTVIADSFAILLYLEDMYPQHPLLPQDPQKRALNIQNFIEEKLDSNKTIKWTQHHINRGFTALEKLLKGCNTTYATGNEIQLADVFLEPQIYGGVKRFGIDMSIYPVLARLHEAYMEHPAFLAALPEKQPDAPSS >Dexi2B01G0026310.1:cds pep primary_assembly:Fonio_CM05836:2B:35419307:35425546:1 gene:Dexi2B01G0026310 transcript:Dexi2B01G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNASHGVFGPLVGKLTSLLADECVRLKGVRRDIRSLRSELISMQAAVQKYTKLQDPDVQVKAWISLVRELAYDTEDVIDKFVHHLGNGSHHGGFKEFFRKTARRLKTLGARHGIANQIDDLKARVKEVKDLKNSYKLDDIAGSSFEHSTVDPRLSALFVEEEHLEGIAGPRGMPTCTPATLGAARFRGLPPVGRAAVLAGEAGGAPVLAEWQLAGGAGAAATLCRGGRRRCVASARGRRRREKREVSVCRFPIQRTEPIRSTDEDVTPLPSRSFAALGLAT >Dexi3A01G0025180.1:cds pep primary_assembly:Fonio_CM05836:3A:20846444:20849364:1 gene:Dexi3A01G0025180 transcript:Dexi3A01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPCRDLPAGLPPTLPRCAATAHTQLSFLRAPSPRQPLTAATTTSTRSTLLRLPVALASTTRDEAAVASLVGHLGHDAVAHRHHHQHHRDEDGDDVHLEEAEEEDEVDLRHEAELPTRWREIHGRDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPASRYCGSCKYPRRDFFDRLGMPSASRGYAVSRYLYATSNIRFPNFFPQSRAGAKIWSQSANWIGYVAVSTDDEAARLGRRDIAIAWRGTVTRLEWVSDFMDFLRPLADEGIPCPDRDVKVLAGFVDLYTDKDPTCRFCKYSAREQVLMEVRRLVARYAAMGEDVSITVTGHSLGSALAMLSAYDIAETKANVVAAVAGDDDGRTVAAPVCVYSFAGPRVGNAAFRRRFEELGVKALRVVNVHDNVTRMPGILLNEGAPEAVRRVAERMLRVPWCYSHIGVELALDHKRSPFLKDTLDPACYHDLEAHLHLIDGYHGRGERFVLASGRDPALVNKACDFLKDHHGVPPCWRQDENKGMVRGRDGRWVQPDRHGWHLDDHDDHEVPHHHHNNNNNHRDHNEDDGHHHRDHRRGGARRQHHERHGHDLRSHHQPGKRDV >Dexi9B01G0018090.1:cds pep primary_assembly:Fonio_CM05836:9B:12837630:12845863:1 gene:Dexi9B01G0018090 transcript:Dexi9B01G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCGTCGKVIDQDVYTDEPNFVKDATGQSRLAGSILRSIDSGYSMSRQRTLDKGKDEIRQIVNNLHVGGGDSIIDKAHRFYGIAVDRSFTKGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVYVLGAVFLQLCQVLLLADHPVVQKLIDPSLFIHRFTDSLLHKRDNAVTDTALRIVASMKRDWMQAGIPSYVSLISRLHKLITLPSLADREEAEWIMWCSTIHCYTFSWVQFYTKADIVSVVHVCEATLTKRLIEFENTDSGSLTIAEFLATADEYNQEPVPKRSLKSGELLCKHKGKEGFEHFAHGLCEKCYNKFTKLSGGLEGGADSPAFQRAEKQRLEAAKRAEEADAVKEAMLEESLCDTLNSDVENTVTPAKVIAAVQRKANGGIAFFPLGAIISCYFAYYLFPVRTRVLISTPFSVFWELTSKQGISRYKSSTVASGKLTNDSVLSKDAEEGGENCEGDADPESLSDIDDVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRKRNEDTKSSTPAETPAEATYNMLKRKGLGSKVSEGAVGDLYKTKDESEDGSTNRKEMDFDAQYGQSNADGETFDHGYDYYDGAGAGSGIDDFDFF >Dexi3B01G0003090.1:cds pep primary_assembly:Fonio_CM05836:3B:2016303:2017555:1 gene:Dexi3B01G0003090 transcript:Dexi3B01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITALHNTVLHRPQSTTSTKPSLQLAVDMARLGALLPLAFVAVLLLAVAVAAAPEDRPPKAQGPKTDKPQHEEKDHDNENEGPKPDKPHDQEKEHDKDKPKPKPKPMKVKCHDRKLYPYCYGKPMECPAECSQSCYADCNACKPVCVCSVPGACGDPRFIGGDGNAFYFHGHKDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDGHHRLYIGARKTATWDDDVDCMEITLDGEHVRLPQETDATWTSTSVPSLSITRTKAANGVLVVLDGRFKIRVNAVPITEEESRVHRYGVTSDDCLAHLDIAFKFDTLTGDVHGVVGQTYRSDYVNGFDVKAAMPTMGGESNFTTSGLFAADCAVARYALGRHHDDDGVAMG >Dexi5B01G0033940.1:cds pep primary_assembly:Fonio_CM05836:5B:34224351:34225703:1 gene:Dexi5B01G0033940 transcript:Dexi5B01G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSSTLRAPQPQEAAASSLSFPQLAFFYVALYLLAVARAFHRPCVEALGADQFAPASDGEDPSSLASRSSYFNWLQFSLSCAYCIATAGLSYVEDNVGWTIGFGACWAVSVLYLAFFLLGTPTYRAERPLHDIRFAETVQAWTAKVFRRPKNVDDTERLLSGDEPDEDGKEKGLVVKLLPVWVMSLVFAAITAQVTTLFTKQSSTLDRRLGMGTGLVVPPAALQFFLGVTMVILLPVYDRVFVPLMRRVTGHHAGLTTLQRIGAGMATSGVAMVVAALVEARRLSVAREAGLVDRPDVVLPMSLWWILPQYVVVGVALVLGNTGLLEFFYDQVPNGLRSVGVALCTSIFGVGSYASGMLVSATHWATRSTGESWFSDNLNQAHIDYFYWLLAGLAALEVVVFLHFSNRYTYNKKDGL >Dexi5B01G0034010.1:cds pep primary_assembly:Fonio_CM05836:5B:34263138:34270252:-1 gene:Dexi5B01G0034010 transcript:Dexi5B01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGELQCVGRLEVAAPPPARYLRVGSLPVPTDSSASLPALLPSPSPTGAPRYQKLPLETDLNTLPMIPNIPEKVFPNDAKNTEGSRYDGGLVNQNLSRKCEALAVSGLAEYGEGIDVIAPADILKQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKVVSDDGTPAFHPQVVQQNGLAVLKFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPEKHKAGDPRSSCGPMSSFINKGRKESLFSLGTLLYRVAHRMSLSKVPSNKAKCAKFFRKCLDFLSEQDHLVVRACAHEQFARLILKCYEELELTSESFMIESEVTLTDLDDDSPELRLENLPSKQNVLPEIGKDEPAASDSILECSPLVSSGTTDSLMEPSNVDDCSSSSVTKDDLSVDSLNTQDGCNDSTDTVLERSVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLQRALKVVELACLVYGSMPGHLDGDEFISSMSNISLGWEDVGLKTKLVLDEAGYCKNKCFSYEVSSQQSPPNYVFWAKAWMLVGDVYAEYHRLNGHQTKVVPEQKSHGEVRMSNEVALEVKRLKRKLGKDKQNCGTCSLINCSCQSDRASSGSSASSSSPEASTNYGRKKNRKSLGRNPQCQYKETNENPAAQEAVQGSEKKQHIVKDTCIENNSVSNDDVDHYNHAGEKQSRNVDDVPGKSHTHVPSVREGGIFKFLGGPKPGDIEYNLSSAIHCYGAAKEALFAYPVHSAETSTVLKKRGWAFNELGRCRLESRNLGSAEIAFADAIRAFQEMLETALVHLLEGRQVVEKNEDYSNDVDLDIKPKFWSQLQSLLKRMLAASLPSNTGRVASVGQAGPSNREAAKLKEMYRYRSNLPLWANCMRCTSFGYLEDLLHQ >Dexi9B01G0009550.1:cds pep primary_assembly:Fonio_CM05836:9B:5863275:5863859:1 gene:Dexi9B01G0009550 transcript:Dexi9B01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRSTRLLLPTTAMATARFLLLALCAAPSPAHAARSLTTPAAAATNTTNATSPAAAEYLAPHNQARAAVGVPPLRWSADLSSAAAKVVAQQQRQGRCAFADMAGSSYGANQGWASYRARPGEVVASWVAQGKYYTHANNTCVAGKQCGTYTQVVWRRTQELGCAQASCATGATLTVCLYNPHGNVQGQSPY >Dexi5A01G0024770.1:cds pep primary_assembly:Fonio_CM05836:5A:28619249:28621377:1 gene:Dexi5A01G0024770 transcript:Dexi5A01G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGTAGNNIGKVLQKKGTLILPPLSLKLKVVKAYASNQLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAVLCVFSHFYLKEVMNGLDWVAITLAGLGTIGVGVGGEEQKVDQIPLLNIPWLVLSVVILFVLLNTWLHMYKKQRREQELTGPEVIEEIIYGLESGILFGISSVISKMGFVMSEMGFPKIVVPAAISCSVCCSAVGFVYQVCLAFWICAYLTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPKAPTARFFLLLGW >Dexi9B01G0010330.1:cds pep primary_assembly:Fonio_CM05836:9B:6434387:6440173:-1 gene:Dexi9B01G0010330 transcript:Dexi9B01G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSSAAHGDAPAAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNASFHVPPHLLHSGPLTRAARHSPHKLAGTPPESGPASSAAAAGVGVSGGQGGVDAIRPEGEEAPAAEQPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHPVEKQTLPSFFNGKSEKRTPEAYLAIRNSIMKKFHANPKSQLESKDLAELSVGEVDARQEVLEFLDHWGLINFHPFPPAGQEESKPEEGQDNSHDEEKASLIEQLFKFESVQSYMMALPKKEDVGAPPPLPSLFPDPVLVEDVVAAAEPSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYNEGKFDPGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKAQCMLHFLQMQIEDRFHEGEDVNQNVPGSTEQATTEKSTTETSEKMEVEDKTEGRDTADEKASEKTEGNYEEIKTEEASAAENKDTQNSGGKDSAASPNTEEPKQSSDEQPTVKEKSADVDTSGEKLSDVAIDILKSAFEAAGHSPEYEGSFADAGNPVMTLAAFLVGLVEDDNATTSCRSSLKAISEVSPALQLASRHCFILEDPPNDLKDIGVSVSNKNTDGDQTKDDDMIQNSNDPEKKETNEKEANCLSMVKQNNSSTSQNDHPESDGKNVSQDDCPLLEPATNNAKESASPAVVAGSNMDSSNPIKQVKDKTSVVVEGPDNTSSKGKDEPDMAEDAVAAPSTAQEQKQNQTLENGNVGEPDDTENVAVNEEKGSTVTANQYDSITRLKRAAATAISAAAVKAKFLGDQEEYQIKRLTALMIEKLFQKIEVKMSLFAEIEQVVLRTREYTEKTRKKLLLERNAIIAARMGAVPSRPNQPGVPGNRLPLGYGNPAVRPPNVMPRPSS >Dexi8A01G0007820.1:cds pep primary_assembly:Fonio_CM05836:8A:8995246:8996182:-1 gene:Dexi8A01G0007820 transcript:Dexi8A01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTTLIRSFSSRLSTRLAPSPAVVAPWPPVRSPYDRWLAAELDDLRADDPFTPCTTATWLSRALTLAAAAQRRLVVSTSGASIERRIIYECADDLAELLDACSVLRERLDALRSYVTATRIALHWLDGHGDCAAARRRAAAAFAECDAVERRCASGELAKCGSNLRKLGEKALIHDHEELSGARAMAVLAVGVLGAALTFRPRWNMSGLGAGHAGGKAVAQWECSLQEVQRQVREEYDRRRRKKEGVVPFMAEVDAAAAAARAARCAVEGGRRFPETKTIVAEAARRCNELEVAVEVLEEKVESW >Dexi1A01G0029360.1:cds pep primary_assembly:Fonio_CM05836:1A:34883065:34883516:-1 gene:Dexi1A01G0029360 transcript:Dexi1A01G0029360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQALPVVALTDKDVLAKRIQLPVLLKFSVERRLLPRHSVLKVLKEKGLLKFELDFYSTALLAEKDFVKKFVHPFKNNAPGLVEDYASKCLGKATDGIA >Dexi1A01G0019330.1:cds pep primary_assembly:Fonio_CM05836:1A:26468429:26469642:1 gene:Dexi1A01G0019330 transcript:Dexi1A01G0019330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSGGCFRGVGGAARRVASSASSSARTSPSVQLWWGSRALGHRSSTRSKPLRGGKSREEEGDQMRRTPAEGRGRDYIAAVPVAGAAAQWSVAQCSGLRVVCVGFVKYASTETGTEPKRQERSRVCFKGVFGTASAPASRAAPAEAVRNGRRRNGSAGGAPDSLNLRSRKNGLPAAPPPPPPPPSHPSPCYDRWVVTSNSQQSLGVELTNRVVVFFILGGQIGFSGEDKSDHDGRITDPGTLTNASSSFVEW >Dexi1B01G0020970.1:cds pep primary_assembly:Fonio_CM05836:1B:26932675:26935542:1 gene:Dexi1B01G0020970 transcript:Dexi1B01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLQRLLAVSGCLSPRNAPAVAPASAASSHGAFFVRALQILAQPGPVRLQKLSAPDSGIIELRLERPEAKNAIGKEMLQGLRSAIKEVEADATANVVLVASSVPKVFCAGADLKERRLMDHAEVRDFVNSLRSTFSSIEALSVPTIAVVEGAAFGGGLELALSCDLRICGKDAKFSLPETGLAIIPGAGGTQRLPRIIGRARAKELIFTGRRFDAVEAVTLGVVNHCVPAGEAYQKALELAREINQKGPIAIKMVKKAINQGAEVDIASALTVEEECYEQVLHTQDRLEGLAAFVEKRKPVYTGK >Dexi7A01G0005360.1:cds pep primary_assembly:Fonio_CM05836:7A:16594061:16596960:-1 gene:Dexi7A01G0005360 transcript:Dexi7A01G0005360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRALLLLLLVSALLVQIRASDPLLYESFDEDFEGRWVVSKKDEYQGVWKHAKSDGHEDFGLLVSEKARKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQEAGWDAKEFDNDTPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPALIPPQTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDYEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEDAAGADGLSEFQKKVFDVLYKIADVPFLAPYKTKIIDVIEKGEKQPNITIGILVSVVVVFVTVLFRILFGGKKPVAPVKPVVEAKKPKTTETDAAGSSGDKEEEDEKEETAAPRRRSRRET >Dexi9A01G0013360.1:cds pep primary_assembly:Fonio_CM05836:9A:8573727:8593525:-1 gene:Dexi9A01G0013360 transcript:Dexi9A01G0013360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFAARLLTWFLSRVLSASVGFRVAGFNCLRDVTIKFNKGSVESVSIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSSQNNKLRKSAKPRSTGKGKWLVTSSMARLLSVSVTDLMIKVPKGAIDIKELTVDTLKIAGPNHILGVKLHLLPLNVHFGDLGLTADPTGSCNLHDAFQSDQASVSNSEKSLAPFVCEDLLVTCDFGHEKEKGIKIINLELKCGHVIANIDERMFRKQSIPEYNTVSSNTGDAIMDTSAFKQTSKSKSVLPALKKQMLTFPDKVSFSVPKLDVKFRHLNEGLSVDNNITGIQFTCAKSLPQDDLEEATPHFDVQIDLSEIHLFREGSSSLLEVLKVVAIATFDVPVDPFLPIRAEIDAKLGGTQCNVMLSSLMPWIRLHSLRTRGMKLSKRNSNQELSQKKEFKIILWTCTVAAPETAIVLYSVNGLALYHQTDGHVDVSAKEFKMYISSIENITKKCRLESITKDLSSGKMADDDFPTMNLGAHDLAWDTGRSLEISYVRSEFEDDSESDHTLSEPSDDDDDFNVVLADNCQRVFVYGLKILWTLGNRDAVWSWVGGISKAFEPPKPSPSRQYEQRKMIEKRNAEGSKLVQDASSSIHVGSTSVQPMDASGSSSPLHSKANSSSEKDVKRDIFYDSDKGGTPQFMVNVYTPQFNLQGPEANVKPLKELCFNSPDITATMTSRQFQVMFDVLRNLLLARLPKPRISSLQYPSDDEDIEQETDEVVPDGVEEVELAKINLEQMDREMKLVLDDIRCLTGCGDNGTDYCYSLDKGDCSWIISTTKTSLVKPLGLDSVAGLNKDFEKLKTSRKDGSSKLREALQKAAQPHLMEKEKNKTLSCAMRISMKISKVVWSMLADGNTFAEAEINDMVCDFDRDYKDIGVARFTTKYFVVRNCMANAKCDTLLSAWNTPPGKINMLRVDAKQGAPKDGNSPLELFQVEIYPLRIYLSEAMYRMMWDYFFPEEDDSQRRQVSKFQSIKANMVCGSHQEFRRPSSFERTWDEIAAESVISNDVVSLVNSSTISSKADVKNSLSENPVVGTDLRRSRIKDSKPAKSGRLSHEDKKVGKSNDEKKTRARKSMEFRNIKISQVELLVTYEGSRLAINDLRLLMDTFHKSEFTASWLKRPGDGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGDGHNDEYQEEWSESDGEYPFARQLTITKAKKLIRKKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHE >Dexi2A01G0020750.1:cds pep primary_assembly:Fonio_CM05836:2A:32916830:32917299:-1 gene:Dexi2A01G0020750 transcript:Dexi2A01G0020750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRQRLFATEKLGGRAVYRLHAATVLAGICLVLYYRATHVPGAEGRAAWLGMLSAELWFAFYWVITQSVRCVNMQVEL >Dexi9B01G0019190.1:cds pep primary_assembly:Fonio_CM05836:9B:13790690:13792855:-1 gene:Dexi9B01G0019190 transcript:Dexi9B01G0019190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNRDQLQWRPWRRLLLPCALLVMLLEARAEVTELDFEERRDERRDLVVLRDTLRSALDLHSNWTGPPCHGGRSRWRGVSCDADGRVVGVALDAAQLTGSLPRAALRRVSRLESLSLRGNALRGPLPELDGEALPRLRSVDLSSNRFSGPIPSGYATSLRDLVKLELQDNLLNGTLPAFEQSGLVVFNVSYNFLQGEVPSTRALRRFPASAFDHNLRLCGEAVDIVCREGTTWSGAPADGGDNPVVKPAGDGDREARNKPMGFRLATWSVVAICLIAALVAFAAVLIFLHHRRKSREVRLGGRAGAAAGDRQFSSLTSSSTFVSSATKFLVLTETLHNAKVTGAGDIKDKAAEQSSRSGSRSTDSGKGAATELQFFVDGGRARFDVDELFRSTAEMLGKGRLGITYRVTLEAGPVVVVKRLRNMAHVPRREFTHTMQLLGKLRHDNVVGLVACFYSKDEKLVVYEHVPGSSLFHLLHGNRGEGRTPLPWPARLSIAQGVAHGLADLHQSLPYFHRPPHGNLKSSNVLVFFPAPKSTQTQQKKKQAVAKLTDYGFHPLLPHHGHRLAAAKCPEFARSGGGGGRKLSSRADVYCLGVVLLELVTGKVPMEEDGDLAEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTEVALLCAAVEPDRRPKVQDVVRMIDEIAGGDDGTPELLGR >Dexi5A01G0036870.1:cds pep primary_assembly:Fonio_CM05836:5A:38281289:38282840:1 gene:Dexi5A01G0036870 transcript:Dexi5A01G0036870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIVPSDALPQGPISNDTSHKRFFSIAPPAVLIFFVLIFVAGAIVTLDHKENLSILQLQPKEVFATEEIRTPATSELRSEPTEEPNICENQCRPPGSEALPRGIVQDKSNFEMDTLGGDPERKDDDKPSKSLLAIPVGIKQKAVVDKLVSKFPAANFIVMLFHYDGMVDGWRDLKWSDRAIHVAVRDQTKWWFAKRFLHPDLVSEYEYIFLWDEDIEVDSFDPLEYLKIVRREGLEISQPALDRRSQIHHRLTARGRKGDVHRRFYKTNGHGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCSWQMIQNDLIYAWGLDFKLGYCAQGDRRRNVGVVDSQFVLHRGIPTLGDGGKASPVSTRSTSATDRLAVRQRSYTELKVFNRRWKDAVAEDGCWTDPYPGPATTD >Dexi5B01G0026030.1:cds pep primary_assembly:Fonio_CM05836:5B:27897090:27899863:1 gene:Dexi5B01G0026030 transcript:Dexi5B01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGATLTQKREEGLRSTPAAAGAPSARPPSVRGLLPSLPCSPAGAPLQAHGGRSRSRSRRSGGRTVLPPGAAVAGAGGELTGAATSASLSSSFAPRHHHRRLARPVTWRAAPVGLAVRCEQSDKQKRQPLAALVPREECFMFEGDELCGPFFGIIEQFTFQDIWNTTWYPKAADHVTTAKTWYVVDATDKILGRIASTIAVHIRGKNEPTYTPSVDMGAFVVVVNAEKVAVSGKKRTQKLYKRHSGRPGGMKEETFDQLQKRIRERIIEHAVRGMLPKGRISHHIVLADCISLEEDYLPTSRFTKEQSTHTRLKNLFRCRSGTKEYKRLTRRSPSIEKKKG >Dexi3B01G0005090.1:cds pep primary_assembly:Fonio_CM05836:3B:3384817:3385813:1 gene:Dexi3B01G0005090 transcript:Dexi3B01G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVDVLVLEALAQRRLRPELMPKHVAIVMDGNRRWAEARGLTTPEGHEAGAQALKKIVELSCAWGIHAITAFAFSHENLNRPEATHRKSLSLSSSTRSSLNSLLFESAGGGKEFGCMSWVIPSRRPPSLQEAIWEAEETTRNNSRCLVVIATCYSGRWDIVQACRELAAKVQDKQLRPEDIDESMLAGHLATNNCAYSELYFIDTLWPDFGEDDYSKALKAFQSRERRFGQRKSDHAE >Dexi9A01G0016220.1:cds pep primary_assembly:Fonio_CM05836:9A:11171249:11172591:1 gene:Dexi9A01G0016220 transcript:Dexi9A01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVAMDDAFVQAPEHRPVSPLAEATGVPVIDLSPLIAATPPSPAVLDALVAEVGAACREWGFFVAVGHGVPDATAVRAVDAGRAFFALPAVSKAAVRRTERAPLGYYDAEHTKNVKDWKEVFDIFPHELRPPAASVDGELVFMNKWPDDADLPGFREVLEEYAAAMEELAFKVLELIARSLNLRPDRLHGFFREKMTTYMRINRYPPCPRPDLALGLGRHKDSGALTILRQDDVVAGLDVRRRSGEWACVRPLPGSLVINVGDIIQVWSNDRYQSVEHRASVNQEQERFSIPYFFNPAMDTVVEPLPEMVSEERPSRYNAYGWGEFFCTRRRSNFRKLPVDNIQIDQLRKDKIGQE >Dexi6A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:6A:2832540:2832752:-1 gene:Dexi6A01G0003110 transcript:Dexi6A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAILQASLLAVAVAIAAAAMLPTPASSASYTVGNPGGSWDMQTNLTDSHPPSPSTPATSTTRRRTTWWR >Dexi9B01G0014120.1:cds pep primary_assembly:Fonio_CM05836:9B:9424089:9424386:1 gene:Dexi9B01G0014120 transcript:Dexi9B01G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGAGGGPFSGEASAALGQGIGLVFGRWTALQIAVENQWGGRDSRAKADQLAASILSWFCNSRG >Dexi7A01G0015550.1:cds pep primary_assembly:Fonio_CM05836:7A:25418042:25420184:-1 gene:Dexi7A01G0015550 transcript:Dexi7A01G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALNERMGRICLQGASGIVCAVVVLAGLALACPAAGGELVFDVVAHGARSDGITDDTKAFEAAWAATCGAKGPSASMVVPAQRSFLVGPVSFQGPCGSGRITVQIQGRIVAPPSSAVSTWSRARNDYWLMFNRVDGLTVTGNGIIDGNGQSWWSLKLVRCNNLEVSQLSSKDSPQMHIAILNSNGVNVRGLTITAPGTSPNTDGIHIGDSQNVMITRSNIGTGDDCVSISSGSRFVTVDGIGCGPGHGVSLGKYGDTAAVEFIDVRNVHFSHTMYGARIKTWEGGKGYAKSISFSNIEFNNVDHPVLIDQFYRDRLSFQPAVAISNVTYNNLKGTSSLPTAVAFDCSDGGSCTNIHVNSLMITGSGGRQTVARCRNAQVAISGHVYPEIPCRS >Dexi5A01G0034150.1:cds pep primary_assembly:Fonio_CM05836:5A:36270933:36272794:1 gene:Dexi5A01G0034150 transcript:Dexi5A01G0034150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKALRARAPLSRKAVVALCVTSFVAGLLLSGKVSLTPASSAADMGREDHDGAKGSGGGLRVPGCGGNKRLGESHPKDLLNEVSRTHQAIQSLDKAVSTLEMELAVERARSTGAGTAVASKPPQKAFVVIGINTAFTSRKRRDSLRDTWVPRGEKLRKLETEKGIVIRFVMGHSGGGGAAGAAMDAALDAEEAETRDFMRLDHAEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHLNLGMLTSRLAKHRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAISKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMRHIHKACGEGEGAVWNVAV >Dexi9B01G0019090.1:cds pep primary_assembly:Fonio_CM05836:9B:13680403:13692621:1 gene:Dexi9B01G0019090 transcript:Dexi9B01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFVAHASHVNCAKFGRRTSRILITGGEDLKVNLWAVGKPSALLSLSGLTSPVESVSFDSSEVLIGAGAASGTIKIWDAEEAKVVRTFTGHRSNCASLDFHPFGEFLASGSADTNMKIWDIRKKRCIHTYKGHTRRIDVLKFTPDGRWIVSGGADNSVKIWDLTAGRLLHDFSLHEGPVNCLDFHPHEFLLATGSADKTLKFWDLETFELIGSSGPEPSGSSGPSSTSICGLGSIWASVSCPVRCAPSTCRFTAVSAGRSTWGRRHSLAGPPLRRRGLLRLLPQRRICHHHPTPRADRLVPPIDPSALSTPSVGDSASLMQNNREYFELASVVRCMKFNSDGKTIFCGLHESLKVLSWEPIICHDVVDVGWSTLADLTVDEGKLLGCSYNQNCIGVWVVDLMRHGSYPDSCAGSHLTGSVDKIIQPDNSMSSVFDRLSVSRSPANETGSDTLLERSISASKESPFSASSAVRKRLAKPPGKRDLQLTRSDSAPLLSPRVRLNPNFTEVQKRHPDAVVPLPAPIVHSKVDLSSDARKLSHNFLARAAPMYRSMAHISSYGSKESSFVPVLVPRCSSKGNADPILSEAATGTGDNIRTGSVYTESVESNEVGHWYGVSCFDKRNSDAVWNPEFANIDGYEVFQHMESGGKRAVEYRPYSSNHDSIRYMPTLYDSRLHPSLPGKLSASARDEDDMYGLMENHQEFIHVMKSRLTKLESVYRCWQRNDIKDYIDATWRTLDFAVTADVVNALMENRDCITLDICASLLRLSCSLLESAYDRHLTIALGMILSLVKSFGAAISSALLAMPPVGVDLEAEQRLERCSLCYQELKKVSDSLKSLTRQGEVGRLALELTLFLQDIFQLSSV >Dexi5A01G0035660.1:cds pep primary_assembly:Fonio_CM05836:5A:37404594:37407950:1 gene:Dexi5A01G0035660 transcript:Dexi5A01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRPATGIDPRSGFCAATRTFHSLRPAATLPPDSLPTTAAAYAFSLLSSPLPDRPALIDAATGIAVSYPSFLAAARSLAGGLWSALSLRPGHVALVVSPSRLDVPVIDFALLSIGAAVSPANPASTAEELAHIVALAKPVVAFVVPEVAAKLPRGLRCVVIGSDEYKRLSSVGGASPPPPVAVKQSDTAAVLYSSGTTGRVKAVAVTHRNLITLICAHRGNREIAEKEAAEAGEPPYPPMVTLFPLPIFHVFGFMMLLRSVAMGETAVLMERFDFGAALQAIERYRVTLLPAAPPVLVAMIKSEEARRRDLSSLLIIGIGGAPLGREVAERFAAVFPNIELIQGYGLTESSGAAASSVGQEETKAYGSVGKLTAHMAAKIVDPATGEALGPGQRGELWIRGPLIMKGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILNSHPEIMDAAVIPYPDEDVGQLPMAFIVRKPGSNITEQQVMDYVAKQVAPYKKVRRVAFVSTIPKSPAGKILRRELVQQAVSMGASKL >Dexi7A01G0022910.1:cds pep primary_assembly:Fonio_CM05836:7A:31050338:31051991:1 gene:Dexi7A01G0022910 transcript:Dexi7A01G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLVNTISASPAASPRLPSLSRRTTTRRASSSRHRCRAEASAGGGGEGYKPWGVRGSWVSDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAADGHDMAIVLNEVYALLSDPSARLAYDQEQARRSEFAGYTGHPLYSSWLGSDAERRAVFVDEVRCVGCLKCALHASKTFAVESVYGRARVVAQWADDEDRIVDAISTCPVDCISMVERSDLAALEFLMSKQPRGSVRVSEGNAVGARAPNIFNEVAKFQKRFDDMRLKSATRESQESETVRQSRTSAVHTIRSMSNWWYWRPFGSSAPATIVLASRLLPAPAPETEARAADPVAERLQEAVAARRKSEGAMTSTARRDDYWTPQLNLPSSASPPSIHQRGRDATQGDGRRRRAAAGEATAGPRRKGASIDLTAPLLLGIISAGFVGYNGEEMAGGGGGGIQEHFGGAVALGIVNSFEMKVMLAGVTWFIIGAAIAGVIQVLGRNKEDIWK >Dexi2A01G0024010.1:cds pep primary_assembly:Fonio_CM05836:2A:35696164:35699826:1 gene:Dexi2A01G0024010 transcript:Dexi2A01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEGGGERRGAGMRTICDVCESAPAVLFCAADEAALCRPCDEKVIPASSYQWSSASPFSQIGVNRCSIGAEVEHGAMVGLIGRLAAEISGIYYLTASSRGGYHPNVTISDVSSMSRCSQLNLVCLGVAFFHCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQSVEFPGDKLAHMDDVAMQSKELETQIDQKKPPHSVTKEQMANHHNGSDDPAPDGNCDDQGNINSKMIDLNMQPVRTNGQGSNSQVCHMLPTVEVLYL >Dexi7B01G0006610.1:cds pep primary_assembly:Fonio_CM05836:7B:14351629:14351658:-1 gene:Dexi7B01G0006610 transcript:Dexi7B01G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKIFCVFLP >DexiUA01G0020640.1:cds pep primary_assembly:Fonio_CM05836:UA:42892637:42894064:-1 gene:DexiUA01G0020640 transcript:DexiUA01G0020640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFCSSSGFRPLPRNGRSSTMAGFIMVLLLPSTLWQEIGISPKIPISGRRSTSTSSDPFKPSPRPTEHPNVFAKSHWCSRAPPTPVKATELAGVEVAATAPPLLHRRRPPSLLRPPNRHHSTRGELLVLSPTSPTSSHRRLAGATTPASPRAPYRPKNSVVVIAGLFETHRFFVAPDPTCLPGRQEGPGPFHSPHAYQALRGLGETEFSDQLSVMPLLSLTGVLTEAAPLIHDPHKGALADGTYNLVPVNEEEVPEGGADVVVIDQEPDSVLAQEGKPQSTT >Dexi9B01G0048380.1:cds pep primary_assembly:Fonio_CM05836:9B:47366280:47367180:-1 gene:Dexi9B01G0048380 transcript:Dexi9B01G0048380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTQCKDPSILQGLLDIFLDVIELAAAHGRTDKQPTYATALAGGIKIGLRTTWSSETHLRFPSAPATAGFPFHRTITVKLCFSFTLEKRSIGGLTTTDTSLLGMSTTAVYTELAFPTLVTVRRTLHHQRRVVELRLRDGLELAAGDAPPRDLLHAVVTEPETAEAMYSSVPRSYTSPGSTARDGFTYPAPME >Dexi7B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:7B:12349040:12351668:-1 gene:Dexi7B01G0005100 transcript:Dexi7B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDSLVTARVIGDVLDPFNTTVDLMVLFNCAPIVSGMELRSPEVSDRPTVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHCRELMCYEPPAPATGIHRLVLVLFRQLGRETVFAPSRRHNFNTRSFARRYNLGAPVAAMFFNCQRQTGSGGPRFTGDYTSRRRAAG >Dexi4A01G0005060.1:cds pep primary_assembly:Fonio_CM05836:4A:3558959:3567643:1 gene:Dexi4A01G0005060 transcript:Dexi4A01G0005060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRSIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGAAVSLMKEKHHGVLVSAVQLCTELCKASTEALEYLRKNCLEGLVRILRDVSNSSYAPEYDVAGITDPFLHIRVLKLMRTLGQGDADCSEYINDILAQVIFWWITNTWISFQVATKTESNKNAGNAILYECVWTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEASDQDFKEDLTAKICSIVEKFSQDKLWYLDQMFRVLSLAGNYVKDDVWHALIVLMSNASELQGYSVRSLYKALLACGEQECLVRVAVWCIGEYGEMLVNNISMLDIEEPITVTESDAVDAVEVALKRYSSDVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASMQVTVSPVKSAPGVTPGGQLKLPNGVAKPPAAPLADLLDLSSDDTPVTTSAPTTAPNDFLQDLLGIGLTDSSPSGGAPSTSTDILMDLLSIGSTPVQNGTATSSFIPPGIAETKPVSVVPQVVDLLDGLSSSTPLPDENASYPTITAFQSATLRITFSFKKQSGKPQETTINATFTNLAATTFTDFVFQAAVPKVFIQLRLDPASSSTLPASGNGAVTQNLSVTNNQHGQEQESARSAALQPGLQLQLL >Dexi6A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:6A:25078203:25082995:1 gene:Dexi6A01G0017240 transcript:Dexi6A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRQGHATTHDSWNVVAEERCMAWTAGPGRAGPAPKAPAVSCRLPPPISTAWVRVMSFLWHLRAARRRSQSLVTCHCARRPEVEHETDERSCSVSVGTVHGHYVAMALAQWHGLRVHAPRRPCPWSSVSFRNVVSSVYAYRVEVEEWPLERDV >Dexi9B01G0014870.1:cds pep primary_assembly:Fonio_CM05836:9B:9950850:9951136:1 gene:Dexi9B01G0014870 transcript:Dexi9B01G0014870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDPAIPSLETWLDIAAADVPELAAAAALCTRCIPAGPRSILDSIKAALRLEPGKLEVTRGGC >Dexi3A01G0034170.1:cds pep primary_assembly:Fonio_CM05836:3A:39418041:39419735:1 gene:Dexi3A01G0034170 transcript:Dexi3A01G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRPRRGSPAAQARVRTVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHAGGGGNKQASQHKIPSAPPSKAPSIQTAPSVTDESPAAADEQRQDQAAAEAPAPAPVGGQQEPQHKISVGFGRPETSDLTVMLYGKEGIAVRMGVHRDVLCRSSTFFAARLAAGDHGPPPPSCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCIKSCLDYLEAVPWVGEEEDSVVSSIRHLQSKDYGVSPLLRRITSDNLNSPGDTLANIMGMVLTSTDDRGRREMKALVLNLLKDSSHCPDGSSDICSDTLYSSCQGCLDRLHRLFTEASEEDYSVNVTRRITLETDNLLWLVEILVTQRICDNFVAMWSSQKELAELHSKLPAASRHSVSCITARLFVGIGRGEILPSKNTRLHLLQVWLQALIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRTMLLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVKPYANQAEAGNVFSTDRNSS >Dexi1A01G0009320.1:cds pep primary_assembly:Fonio_CM05836:1A:7579307:7583185:-1 gene:Dexi1A01G0009320 transcript:Dexi1A01G0009320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASPFSVSSSHSAEHEAAARDSSIIVAVDRDKNSQQAAKWAVDKLLSRGSTLQLVHVRTEAGKDEGDAEITQLFISYRGYCARKGMHLKEVLLDGNDISKAIIEYATSNAITDIVVGASTKNTFIRASRGDWKKTSHTSSPIANRNSVDRLSAYAKAPSRDRPLSGARAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDFPMSMELPSIDFAESMELSSAMSMESLSYAGKDVEAEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKVEESKKYQELRNSEEEALALVEMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDIRYRRYSIDDIEAATHKFDKALKIGEGGYGPIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSIRFRISADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGIMLLQIITARSPMGLTHHVENAIERGAFQEILDPTVTDWPVEEALEFAKLALRCAELRKKDRPDLGSEILPELNRLRNLGHEYEAAQVSSASTNCSSSAPYSFNNDDI >Dexi8A01G0006060.1:cds pep primary_assembly:Fonio_CM05836:8A:6043179:6046663:1 gene:Dexi8A01G0006060 transcript:Dexi8A01G0006060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRREAGAGWRCFEQLATQEGARCERVVRACCCRTPTRSTPALRSRQGRTPPGRGAGGRVGATNVERRFLDAEVPTDGRGGRPSPELARGGGGPARRPGSLFRLDPSPSLPQDGSPFPRGPDPAMENLRRRATRGNSGDERRGIVAAAARSGEEESRLERRKGKNRSGAQPPALSLTTGGTEQAHKTINGGGCRGVVDRQARFGLGKGGRNLWCIPAVQGSFKLDENSFASFMVLHNLSFLLLDNAYEGKKLSISARSLPGLLELNIGGAPQLNQVEIEEGALGSLVKLATTDCPELKSLPHGIEYLGALEELYLINAADEFIGMLMQESETNECKEELMKISHIRKVISAKHPKTDPVFSVVPPAASVALLGGGSMAGWSHQCSDERGSEDFVTVATEVWLGGLWAMACTLVATEVWLGRLGAMAQP >Dexi7A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:7A:24747127:24748772:-1 gene:Dexi7A01G0014800 transcript:Dexi7A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPLARLVPTARPALPPIHAGAAGPSYAPVHRGSALRRAPLTAAGRPAYRPLAISAQSAGPAAGLRLENKFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESVDISADAVARSGESLALSELHLKYFAPLRSGDKFIVKVRLASIKGVRMIFEHVIEKLPNHEQILEAKATAVCLNKDYRPSRIPPELLSKLQLYSSKDSEGSSDDAHNCSSS >Dexi5A01G0036710.1:cds pep primary_assembly:Fonio_CM05836:5A:38118517:38118686:1 gene:Dexi5A01G0036710 transcript:Dexi5A01G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKVIGICQFHGKEAGELKVRIPQLLKKAVLA >Dexi5B01G0016660.1:cds pep primary_assembly:Fonio_CM05836:5B:16596653:16601626:1 gene:Dexi5B01G0016660 transcript:Dexi5B01G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGALRSHPQPQLHPGGVLISQGRPLPRPRNLISAAAAGAQQESFRRLCCAAVNGDGGPREVGPPPQREKSPSSGPGASLEDSVAGPPVTVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >Dexi5B01G0027150.1:cds pep primary_assembly:Fonio_CM05836:5B:28757145:28761086:1 gene:Dexi5B01G0027150 transcript:Dexi5B01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALDEDRARDIPLASGGVLSSVPPDVDVAQCASNPVRIRPPVPHGAPPVAPDEPFVCSFQQMAEYFNRKSGLLENIPLGSFNSLFSFTGSWKNDAVATKALAIDGYSLPLFRVKIMSNELTLLESVKHAIPNVWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFKNYVREIGRERFSDVENKSNATPINYSEKDMTVIFRRRGGCDLVQSFSDWKGTVVSAPDVIGMTFLSIVSLVDDIPGKKHLARAVDLYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHHRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVVGLKLLLEGAKQNRLAIHLLHLSSLPKIFLPHWDSHVTIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSSTHRTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIVVRAKYSLLNY >Dexi9B01G0039370.1:cds pep primary_assembly:Fonio_CM05836:9B:40083212:40087858:-1 gene:Dexi9B01G0039370 transcript:Dexi9B01G0039370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLTGEIPSSGSLVNFNETSFVGNLGLCGKQINSACKDAVQSPSNGPQTPSVSDISYIFWCCFTTDDMFNKKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMRGFRVELCGGSSVVMFHGDLPYSSKDILRKLETMDEENIIGAGGFGTVYKLAMDDGNVFALKRIVKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCKSPSSKLLIYDYLQGGSLDEVLHEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGVNIVGWLHLLATENREREIVDPNCEGVQSETLDALLSLAKQCVSCLPEERPTMHRVVQMLESDVITPCPSDFYDSE >Dexi7B01G0023080.1:cds pep primary_assembly:Fonio_CM05836:7B:27786081:27787064:-1 gene:Dexi7B01G0023080 transcript:Dexi7B01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVVGYALGWRGGAWFTRRAFRREQQKLMGHMKSHNRWHWRPFNRLKNRLRRAPKNKSEALAQSVDARSSSGASANAGTAQPAAGSA >Dexi8B01G0016440.1:cds pep primary_assembly:Fonio_CM05836:8B:27606173:27608250:-1 gene:Dexi8B01G0016440 transcript:Dexi8B01G0016440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKKKTPAELLRENKRMLEKSIREIERERQGLQAQEKKLINEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVSQVLDEIGIDVNSELVKAPATAVAKPVAAGKVPAQAEAAGGPDGGIDDDLQARLDNLRNM >DexiUA01G0005550.1:cds pep primary_assembly:Fonio_CM05836:UA:10075244:10076311:1 gene:DexiUA01G0005550 transcript:DexiUA01G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERPSQLKLKTVSENLTVSPSSVAKVNPLLDSPLKRSYESPTKRAEACSSGENSPVFYAANAGNEPENCHDNEDHDSERSSITSNSCAGDEDRDEVLQDESFSTNCEDKTIPEAAKSEKDADPVGPSETTVVSNERKPFINKLQWFLRFGRPSGEGNLEKGTDEASDDKHGIVPPSTAPPDVSSDSSCGGINLASGDKKVMGTLKNIGQNMLENIQVIESAFQQDRGQPSAMENFSNNILGGKGQVTAMAALTELRKISNLLREM >Dexi4B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:4B:16658210:16658583:-1 gene:Dexi4B01G0015260 transcript:Dexi4B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPELSAEPSATLSIYKAARRIKRRASTLYNALRSVAEDAAFVAEVAALWPTLPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAASC >Dexi3B01G0038700.1:cds pep primary_assembly:Fonio_CM05836:3B:41451598:41452701:1 gene:Dexi3B01G0038700 transcript:Dexi3B01G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRLRLLFSPTTPVAASPPCHRLCIPAWSRRQDQRSVAAASGSGSSSSSSSSPSSSEQSTAAATINKRETTREKVTLKLTYLEINSWVWEVQQQQGQAAPLRILVDPLVVGNLDFGAPWLFDGAKKNPRVKALGVDDLLAPEPGPDLLLITQSLDDHCHVRTLTQLSARAPDLPVVTTPNAQPVLDSLPTPFRRVTYLEPGQSAAVGDHHQIRVLATAGPVLGPPWQRPENGYILLMDGHGVLYYEPHCVYGRSFLEEKRLRAEVVITPVVKQLLPANFTLVSGQEDAVDLARLLRARYVVPMSNGDVDAGGIMAALLSKQGTTQSFQAMLSEALPQVQVLEPTPGVPLQLELDITSPTEADTI >Dexi7A01G0013200.1:cds pep primary_assembly:Fonio_CM05836:7A:23357513:23358064:1 gene:Dexi7A01G0013200 transcript:Dexi7A01G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVQTSPPVHAEPKAYTEGQTNPELYQHFANLVSSSPTSQGLSNNQLHRHDQGWYSSQVPLVGAMVADACFAARPSDIIVATLPKSGTTWIKSLLYATVHRREHPVDAIDHPLTSFGPHSCIKFFEYQLYTRNKIPDLDKLPDPRLFATHVPFVSLPRSIATSGCKIVYMCREMLASKYGR >Dexi7B01G0009210.1:cds pep primary_assembly:Fonio_CM05836:7B:16869540:16871279:1 gene:Dexi7B01G0009210 transcript:Dexi7B01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVVVPSDGPAADYGGGLTLSVFLTCLVAASGGLIFGYDIGISGGVSEMEPFLTRFFPHILKRMEEAKKGNEYCIYDSQALAAFTSSLYVAAAVASLVASRVTKALGRQAIMLIGGALFFSGAALTGAAVNLSMLIIGRMLLGFGVGFTNQAAPLFLAEMSPARWRGVLTAGIQFFLTLGILIANLINYAAARHPWGWRVSLGLAGAPAAAIFLGALFLTDTPSSLVMRGRSDRARAALLRVRGPGADVDAELEDIAKAVEAAARHQSEHGAFRRMATRRGYRPHLVFAVAVPVFTQLTGVIVLSFFSPLVFRTVGFGSNAALMGAVVLGAVNLGAQILSTPVIDRYGRKVLFIVGGVQMMVCQLGIAWIMGAKLGKSGEATMARPYAVAVLVLTCLHSAGFGASWGPLGWVVPSEIFPVDIRSLGQAMNVSISLGLAFVQTQTFLAMLCRFKYATFAYYAAWVAVMTVFIAVFLPETKGVPLESMGTVWVKHWYWKRFVQDQEEKGTVALT >Dexi7A01G0022280.1:cds pep primary_assembly:Fonio_CM05836:7A:30638335:30640212:-1 gene:Dexi7A01G0022280 transcript:Dexi7A01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSAIKSQVPALLLRRLFGASRRDEAKQLSRGPAPSPAPVGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEQKDCSGLVEVLGCSSSCDRVKRLVDDVVTAIRSGVDPVPISSGLGGSYYFRNVIGDKVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSIFNINCPVNGGGPAPAHDQKLQVNSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLNGGTGRFGCQTELFPIDHGMCLPENLEDPYFEWIHWAQASIPFSEEELEYIRNLDPGRDVMMLRRELPMIREACLHVLVLCTVFLKEAAASGLCLAEIGEMMTREFRGMEEEPSQLEVVCMEARKRVAEWEPPSATAEQEEDIDFQFSMDMLGGYNDVIRSPRFNGSGFKGTGFRSPLSKLVESIDEGNDGHEDQNEPGRVFYAGWNTPNGPSKAIGQNGSATKSAMNRSADEQLPSSMCFVRLSDMNADEWNVFLEKFQELLKEALQERAHAAAGQRMKQRLGTSCKF >Dexi7B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:7B:24804148:24804414:-1 gene:Dexi7B01G0019200 transcript:Dexi7B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVPHGRQAPVAFSRTHRFNDWLSREKLYITAEAPTTGRATGRREAREAREPLTVSVGRSSQAWFCPAHTTTVSMKGKGAYVLLP >Dexi1A01G0003980.1:cds pep primary_assembly:Fonio_CM05836:1A:2918704:2923059:-1 gene:Dexi1A01G0003980 transcript:Dexi1A01G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLTVAFGSGRRLPALVAGLMLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLTTMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISSEMIREMMWQEMLHYHPEAVTAISMS >Dexi5B01G0033920.1:cds pep primary_assembly:Fonio_CM05836:5B:34216160:34219297:1 gene:Dexi5B01G0033920 transcript:Dexi5B01G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSGELLPRPEPSSVISRAGDDGRGGWRAALFIVAVGLFERIGFVGVSANLIMYLTGPLGMSTAAAAAGVNAWSGTVQVLPLVGALVADSRLGRYRALLAAGVLYVLSLGMLTASSMLQTASSPPPAHLAFFYVALYLLALAQGFYAPCGEAFGADQFAPSDGGDPRFRSSYFNWFHFSISWGYAIASAGLSYLQDNAGWTIGFGACWAAMVLCLAIFLLGTPTYRAKQPADGGPFAETVRACAARVFHRKDTTTTERLLAQQREDGLVAKLLPIWLTSLIFAAIFSQVSTLFTKQASTLDRRLGTSSTGIVVPPAALQCLTNITFIVMLPLYDRVIVPLARRLTGHAAGITMLQRIGASMATSCAAMAVAALVEARRLHVADDAGLVDRPDVAVPMTLWWMIPQYVLIGLAGVLGQVGLEEFFYDQVPDSLRSVGLALCLSIFGVGSYASSMLVSAIDWVTRSQGESWFSDNLNRAHLDYFYWLLAGLAAMEVVAFLHFANRYVYRNKVEL >Dexi1A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:1A:21904456:21904957:1 gene:Dexi1A01G0015020 transcript:Dexi1A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPASKEEGILIKKRYAVFNEDGTLAELKAPPCKLTCALLVCAIARPGHLGHPKPTMRDHDRRCETMNHM >Dexi9B01G0030150.1:cds pep primary_assembly:Fonio_CM05836:9B:32632571:32632965:1 gene:Dexi9B01G0030150 transcript:Dexi9B01G0030150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTLLLVLAAALLAAAATPAAGRDRRVDAREGPERPPHPGLGNSWAVAEHARRANAVLRFGKVTTAQELQVVAEVSYRLFLDAADADGNVANYGAVVYEDDRTNTRELTSFARADDVTGTAGPRLD >Dexi4B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:4B:15035322:15039092:-1 gene:Dexi4B01G0014280 transcript:Dexi4B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAAALRGLVGIAAAGGRRVRACGRRWDAGWHGFRTGASGGRAAAPRGSSPSRSAATRRGASAAAGRKGWVSGGFERLVNNFVGSEDGKLTCGYSSFIGRRSTMEDCYDIKLTKVDGHPVNLFGVFDGRAFLQTDGDILETISSSFRDDGSTGLAAVLIGKHLYVANVGDSRAVASKASKAVPLSKDHKPNRKDERKRIEEAGGVVIWDDTWRVGGILAMSRAFGNRLLKQYVKAEPDIQEEEVNSDLEYLILATDGLWDVVRNEDAVALLKAEDGPEAAAVKLTEIAYARRSQDNITCIVVQFHHDK >Dexi7A01G0020520.1:cds pep primary_assembly:Fonio_CM05836:7A:29289842:29292289:1 gene:Dexi7A01G0020520 transcript:Dexi7A01G0020520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVHLGLPGPWAADYREKADHYTTKIGGVPDWPTGDMGIKPEMLQCSLCGTKLCLVAQVYAPVVKLNIEERIIYVLVCPTPECGPRPQRQFVSFYYAFYSWKVLRVQKCRNVEQTEGDGDELGQGTGPSSTSVPEEQIDKNKSHDINDDDFDLDALAEALEQAATLASNSKKKNKSKCANAPIERPVLKEKPADLSIPVLPCFYIYYDKEQYRVKGAVGSSSNEFPLDKEMTDTGNYEEEKWDGEKYEYDKAIGADRTFLKFKKRLDAYPEQCFRYSYGGKPLLAATKVQDAGTCRFCGSPRQYELQLMSPLLYFLHEAGKGSSNYGPSNWTWLTLIVYTCSKSCCPSSCGGRPCGCCWDVAEEEITIQEDEAV >Dexi8B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:8B:637225:638898:-1 gene:Dexi8B01G0000920 transcript:Dexi8B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPSRNDPHSRLIEELEMSFSDAEEPMEQHALVADRVHRNAHGMDSQTQSAGSAHRHEESLLSCDDGHIISEQISHQENNLLGNANQVKEYVEDDNKIASYVDEGEHIVISSEEIPQRFHEKEHSKGRQSLDMEHAYPYEESASSVDDGSIKIKQSFQRNDLMENITQEMEEVCTEDDRMINCVHGNDNPVLADEDIAEGVSGNKDLMAGDTQEMEEGWKEDGNIANRVHVNDNHVLADESITERIYGKEEQTAVGTQEMEEGCMEDDNTTNHVYVDNSVVLADEDIAERVDGNEETSGGTGENEESCMENENENVANADEDIAKNIHGKEQGLYEEAISLFHGGHIKPKQSFQQDEPMADGTKEKREAYIEDGNMTSCLKENSAALGRFSSLPNKRTQCKLASVNKNKEQMPYRYRDNQFCQRRSLDSEDFNSIQNFMESQMDGTSSSISSGSPIHGDLVHRTSKNFNSNIRHGRLKKMDELRDQLSRLSSQKGSERSYQKRGLEYQQQSNSYGVEHHLRGVDGDSLPSSGALESYYGHERPPRQPPHTFSPSHT >Dexi1B01G0022830.1:cds pep primary_assembly:Fonio_CM05836:1B:28522260:28523391:-1 gene:Dexi1B01G0022830 transcript:Dexi1B01G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHATPLGLKSRGAMGGGECDDDAENQRWPPWLRPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLAHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDCFRFCSLGCKIVGTARGYRPRKKHGGGGSNKNKKRAALKDVRCESEDSCTSTSGGSSDRSSVVQSFSPSTPPPTSCRPWNKRRKGVPHRSPFGSLIVEF >Dexi9B01G0003070.1:cds pep primary_assembly:Fonio_CM05836:9B:1757195:1757662:1 gene:Dexi9B01G0003070 transcript:Dexi9B01G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFGQEEPAELGIISVAEGVMLLLARGAVGGGEPSASPRVFECKTCSRRFPSFQALGGHRASHKRPRASSESAAAAAAPAKARAHGCTVCGVEFALGQALGGHMRRHRAVAEEERESAAAAASRGPAQAEPKPDEARRLLGFDLNIAPEMGV >Dexi3B01G0011340.1:cds pep primary_assembly:Fonio_CM05836:3B:7961021:7966136:1 gene:Dexi3B01G0011340 transcript:Dexi3B01G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAHHLSGAPSAARLLPAPPVSVCLVLSRLLAGGTTPLQSGAAQLPALPSVATFFRSFWPPAVRLHRPHRRRGKANWPRAGWKSEQKSRKERRKEARSEKQKLRFLSWVQHQGGKKKKPAMPVEEPSPAEKKPKKEPAAVKKKRKRDVEGKGKPKSNFQEYLEMEMGGAVSMEEDLEMERRLAKKLKVKKGKLGGPNDGMDELFADLGFGGDFGSDDEARAYDWNVEDDTKLDRTKGKKKKKVKKDYMEIKELDIEDEENDRKKKKKKVKKDYMETEEPDSVGEENGRKKKKKKPKKMKKNYTQVEEPDEGGVDMDEENDWAALESEDAEVNEIELPTEPKGKYVPPSLRAASNSESEDIAQMRRRVRGLLNRLSESNVESITQEIATLFRVQSFDHSSDVITSVPRSVGSQIIGDEVLASCARGPRGNEQYAAVFAAFVAGMACLVGIDFSAKILASIAKTFEDEYSKEDGLSLRNLTLLFCYLCIFGVISSDLVYDLLSTLSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFVLGIQNTVNQLKLHSGVQEDGKTDMHSRRMEFMLDTLCDIKNNKKRPKEDPSHHTRIKKWLQKLKAEDILLRGLTWSRLLDPDKKGQWWLTGDIPSTAGNIEDVAAVISKDVTETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLGLSGKQACINDREIIRVIIDCCLQEKMFNKYYTILASKLCSHEKNHKFSLQYCIWDHFKELDNMEPNRSMNLAKLVAEMLSNFTLSLATLKVVNLANPVEMTPERITHFRLLFETLLQKEDALVWNVFTRIAGLPELEILRDGIVLFIKQHVIAEDTGKDLASKFKIAKKALDNTAGVLM >Dexi9B01G0030480.1:cds pep primary_assembly:Fonio_CM05836:9B:32971214:32971664:-1 gene:Dexi9B01G0030480 transcript:Dexi9B01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVERSYSIANAKAKELECELGANYPTFVKTITKFSAISFSLSLPPLLCREHLPEHVKVITLVDEEDDEFDMQYYKEPRYHKYKITNWKWFAINHKLDNGDCLVFQLIQQTKFKVRS >Dexi4B01G0005950.1:cds pep primary_assembly:Fonio_CM05836:4B:4187170:4187772:-1 gene:Dexi4B01G0005950 transcript:Dexi4B01G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREATAFCPPPQPVQAVPLAAAPGAVAMAGAGGAGGAVGVGGGNARGGGGGGGGGGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGTAVAERPEGHRDAGAGAGLTAAAIRKKAAEVGARVDALHCGGVGAPPPPPPLSSHRRRAKNPDLNREPTPDTDDDE >Dexi1A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:1A:8855863:8858078:-1 gene:Dexi1A01G0010250 transcript:Dexi1A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGGAMAAAGAGRSGGGGGGGGAGGEKGRGHSHRHGAVRKTTSIERENNRWRERYRRSIASKIYAGLRAYGRYALPRLCDSNIVLRALCHEAGWIVEPDGTTYRKGDAPPPSSPVRGSGGRSAPATPTLSLPVSPTAVNGGLTPSSNITLGAAGSGSSGLPVWFKKLATGSMFPNFGTGSSKSPVTPLPPQMKGSPPEHKLTHWTNPAAAAAIPPTSPIGSGGAGDPVRMLAGIQISTAAAGKNNAPPPPPAAGSSPAPALGLRLGLGQRSSGGGPPRASAPASPRGNGGGGRTSSPAPVARRRRRQGDDDEEMEAAGEFAFGWEGEVSMEITDDEEEALELTLGNSKTRKDGA >Dexi4A01G0019210.1:cds pep primary_assembly:Fonio_CM05836:4A:23035678:23041250:-1 gene:Dexi4A01G0019210 transcript:Dexi4A01G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEEYCNEEYDLSDVSPQFVSYGEEQEMAMSDDEALTTPMGATDNELMPSLATAASGGVHAAAGALPVSKLAGLTSPPHGVQDGDPDIRRTKAGAGKAEGAPNPAGRPSRARARPGEDGASVRRAAGSAPPCFRTERPNAGAVTPRPGPHEQQRLQGGAKMEDKLAAAAGIKEAGLSQTRSSPRLAAAIDQHSLEKAKKRAAWKNLDTEEFKRAICQPCISRVQDATWLLCTRRLSIFR >Dexi9A01G0018350.1:cds pep primary_assembly:Fonio_CM05836:9A:13404591:13405583:1 gene:Dexi9A01G0018350 transcript:Dexi9A01G0018350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPASPLLPPGGEPFRVFVGYDPREHEAYEVCRRSLLRHATIPLDVRPIRQQDLRDAGLYWRARGPTESTEFSFTRFLTPCLAGYRGWALFVDCDFLYLADIADLLAAAVPPGADAAADADRLAVVCVKHEYEPAEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPDAVPFVWNFLVGHNKVDPADPATRPRAIHYTCGGPWFERYRDCEFADLWIKEAEDLRAEKEKLKLLEDEDAKEEDKDAKEAEDLRAEKEKLKLLEDEFADLWIKEAEDLRAEKDKLKLLEDEDAKEEDKNKEGN >Dexi2A01G0032110.1:cds pep primary_assembly:Fonio_CM05836:2A:42735699:42736690:-1 gene:Dexi2A01G0032110 transcript:Dexi2A01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDEPAPRYGAMPIDTLQVCSVRVEGIRRGLQWPIDVFGIVAVRDSIDFNRNIIFNRTRDNCQTLTREDRNLALEGPTRAVLWQDQLTFEVKLTMKGATESEDKDLSFLVVPFVCRHASHSRQLLRYNSSKLSTLRLSLGHILRSVEATIFVRVSEGSWPDGSRAQFAAFATGIRPRFVDRRRRVAGVDHKKILLLDSGSWGVPVDGENGGIMLSRRVVTVETTGKLIVCVRAWEEASGCGARNGAVKDESVFTPKEAGRSSGLLNVGSCKMEVTVAWSLVSIDG >Dexi2A01G0019570.1:cds pep primary_assembly:Fonio_CM05836:2A:31730231:31731736:-1 gene:Dexi2A01G0019570 transcript:Dexi2A01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLVTLSGLLVTFLCLTSDAHAQTTQILFQGFTWESWNKQGGWYNVLKGQVADIASAGVTHVWLPPPSHSVSPQGYMPGRLYDLNASKYGTMSELRSLVAAFHARGIKCVADVVINHRSADYKDRRGIYCIFKGGGPPGCLDWGPRMICSDDTIYSDGTGHPDTGADFAAAPDIDHLNPRVQRELSDWLTWLHKGVGFDGWRLDFAKGYSTAVARTYVRNARPSFVVAEIWSSLSYDGDGKPAASQDDKRQELVDWVKDVGGPATAFDFLTKGVLQAAVQGELWRMRDKDGKAPGMIGWMPEMAVTFVDNHDTGSTQKMWPFPSDKVMQGYAYILTHPGIPCVFYDHMFDWNLKREITALSAVRRRNGIKAGSKLRILVAESDLYVAMVDERLIAKIGPRYDVGNVIPPGFKVAAHGDNYCVWEKSRR >Dexi2B01G0006240.1:cds pep primary_assembly:Fonio_CM05836:2B:5829582:5844734:1 gene:Dexi2B01G0006240 transcript:Dexi2B01G0006240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGDRTKGKGAGGKADLRPDRKQFKKHRKEAAPAAEQGDGDAERQQPGSAALLAAASDEADFPRGGRSFLSKDEVAEARAEAEEDFEREGKKGKRKRKGGDSSGFGPDDDLGTLFGGATTGKLPRFANRITVKNVSPNMKLWGVVIEVNQKDIVLSLPGGMRGFVRSEDVCDIALQESRKDSDNSICAEVVHVGQLVPCIVLRVDDDKKEGKVLTAQVKSIEDHGYILHFGVSSFSGFMQKADKENVKIERRQLIQCVVKAINKTRAIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVNSVLENGIMLSFLTYFSGTVDIFNLSNPFPSGNWKDDYSKNKKVNARILFVDPSTRAVGLTLNKHLLHLEVPPTNLKAGDIYDNSKVLRIDKKAGLFLEIPSPTPIPGFISIHDVSDKDVKNLEKKFKEGSSLRVRILGVRNLEGVAVGTLKDSAFEGSVFTHDDIKPGMLIRAKVATVEPFGAIVQFSSGVKALCPLPHMSELEHVAKPPKKFKVGAELLFRVLGCKSKRVTVTYKKSLVKSKLDVLASYADAKVGLVTHGWITKIEKHGCFVRFYNGVQGFVSRSELGLEAGTEAENVYHVGQVVKCRIISVLPASRRINASFITSQNRVIPAETAKLGSIVAGVVERLTPTAVVVSVNGFSKGTILNEHLADHHGQAAQLKNLLKPGHEFDQLLVLDIECQNLVLSAKHSLINSANDIPSEISQMHPGAVVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRRVEKVSDAFYVGQSVQSHILSVNAETARVKLSLQQSMCSSPDSSFIQGYFLLDQKIVALKYSSQDWAKAFGIGSLVEGEVGAIEEYGIILNFSDHPDVVGLIEHHQLSGNVVEVGSSVKGLVLDLSDGVVNLSLKPELISSVKIVGTKKKRQRATVADLELHEEVNAVVEIVKESYAVISIPEYNHAIGFAPLMDYNSQLLPSHRYNNGQRITVVVANIPSSDPSGRLIVLPKASAQDSKRAKKKSDYKVGSLVEAEIVDIKPLELLLKFGGNLHGRIHITEVLEEESAENPFSQLKIGQMLTARIVAEAEPSGKSGKVFKWELSIRPSMLKGEFDESTARRELDQTNAVVRAYVVKVDKEWVWLTVSRNIMAHLFILDSSSEPSELKEFQQRFSVGQAVKGRVISVNREKRLLRLKTLDGQCAQHNIDNIQQSESSVVEQTKQGDIIGGRVQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVAEPLSGFHEGQFVKCRVLSVSRSPEGSLRVDLSLRSSNLRTDSSSSKLFDEGATCIPRIEKVKDLLPGTEIKGYVKNVNPKGCFIILSRMVEARITLSNLSDEYVENPQKDFPVGMLVQGRVLSVDPSSGRVEASLRKNTGSKLEKVDDINFSDLHVGDIIDGQIKRVESFGLFVTIRSSELVGLCHVSELSDEPVLDINSSYRAGDMVKAKILKIDEKRRRVSLGMKKSYFDSGSTAGTTDDEDDEIIPMDISIAPPNRTLVHSTAEPRPSVLPLQVSLDESEGSDLEDNSNKGHEIANGAEVTAKKSDKRLKKEAKKQRELEISALEERTLQGDIPRTPDDFEKALKTIIPREEEERLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDHKKLHLALLAMYERTEQYQLADELLDRMTKRFKTSCKIWLCRIQLALKQGKDVEYIKAVVNRALLSLPQRKRIKFLSQTAILEFKCGAPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDIEVIRGLFEKAICLTLPPKKMQFLFKKYLKFEKSLGEDNERIQLVQQKAMAYVESSLPAQDA >Dexi3B01G0006300.1:cds pep primary_assembly:Fonio_CM05836:3B:4390012:4394691:-1 gene:Dexi3B01G0006300 transcript:Dexi3B01G0006300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDSAFNVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTSTVAPSIGSGSASGKIEFNEFISLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVPVPLNSKRSFKSNAQPMVYLRIQPLDGDNSSVSSRDALSKEASVDKDSKDFVSATMSEEYTEDTEFASFTDDDEEEAPYTYRSGGTVLTGRNRSHESLKGKDISLVRNEGTRSSLDYQREAATSSSRERNEEVEKYPMQVRKANGHPGNLSLSSDLPREQTSSLPPHNAFRSGRKMSFAYGMTESNQRHFGDRTYSTLTTDRGRNMRFSMRVPDSNGSVVNKKVDPQKEELKDVDSQDVAIALAHENTAIADDGLQVQEPIRISNNRNDSKVRELELKVELLEAELREVAAAEIGLYSIIAEHGSSVNKVHTPARRLSRHFVHALKNFSRDKMGSAARSATSGLVLVAKACGYDIARLSFWLSNCVVLRGIVTETSKQSVLLNATASSFKLAAVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRSLTDLFGMDMDDYPDAENGCAESRKPFYLLNALSDLLMLPKDVLMDNIFQSLTRSYPQLCPTFSSSIIKNILVGFVPDEFCPDPIQNSLLEALELEDHLEGNKGIHSIPCSASPILYSPPASGAILSVIGDPRKSGSAILRKSNTSDDELDELSSPLTFVSNTSSNPLAKLKRISNSSTARYRFLHEVWKLDDQ >Dexi9B01G0026960.1:cds pep primary_assembly:Fonio_CM05836:9B:29346210:29349595:-1 gene:Dexi9B01G0026960 transcript:Dexi9B01G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELEAAMQGWTPRRHRRNGGFWLRAEMEVDQASPVPRGPEFGFAAAVREPLVKLRRPEHEFERWDWDYFVWPHDRVDANLEMRDSDPEATLEADQKVSERFLNQITLQLESYEMYQHTQQQQDAAAWPYNHFKSNLEMRDSDPETSEEDQKASESFLNQCTLQLESYEKKQHTAALPCDHLQANLETRNTNAEATLQTDLKTKERSRRKQKRSGMDLRTQEQHNEKENEGAELFRTPMPVNKKAVGHRSARRRRVFAEANRSLDDDRA >Dexi9A01G0037310.1:cds pep primary_assembly:Fonio_CM05836:9A:41575804:41582104:1 gene:Dexi9A01G0037310 transcript:Dexi9A01G0037310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSKLRNLDAYPKVNEDFYSRTLSGGLITILSSLAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDITFPALPCTLVAVDTMDVSGEQHYDIKHDVTKTRIDNLGNSIESRKDRVGAPKIERPLQKHGGRLEHNEVYCGSCYGSEETDDQCCNSCDDVRDAYQKKGWAITNVELIDQCKREGFVQRYRDERGEGCNIRGFVNVNKVAGNFHFAPGKNFGQPFNFLADLLNVQPETYNISHKINKLSFGEEFPGVVNPLDGVEWMLDNSNALAGMYQYFVKVVPTIYTDIRGRKIYSNQFSVTEHFREAIGYPKPPTGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMELGKLG >Dexi2B01G0008450.1:cds pep primary_assembly:Fonio_CM05836:2B:8502744:8502980:1 gene:Dexi2B01G0008450 transcript:Dexi2B01G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILRLPAAEDWVAPRAGRSWLALDQAGLAGGGDGVCSSSDLSMGRETRSAGRGSLWVTTAQSGSGSRECRRGRGVSL >Dexi3A01G0001790.1:cds pep primary_assembly:Fonio_CM05836:3A:1203989:1204512:-1 gene:Dexi3A01G0001790 transcript:Dexi3A01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEECFLAFTKSAENNHSEGIEHKFGELRCQCLSVEAYDKIFHHYNFTIEEKHEGWLRGR >Dexi3B01G0025040.1:cds pep primary_assembly:Fonio_CM05836:3B:19819241:19832689:1 gene:Dexi3B01G0025040 transcript:Dexi3B01G0025040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTKVVIGLQRPGSNGLRSREVVPSSGRRSVATPCARHAAKAAVIRSESSSGRGQHCAPAKAVADAAPIQATKKRVFNFGKGKSEGNKTMKELVSIAISYMLAVSCPHAWIGSDGSLLVRLGGKGANLAEMSSIGLSVPPGFTVSTEACKQYQEAGYNLPQGLWDEVLEGLQWVEEYMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGERFAYDSYRRFLDMFGNVYSRSRLTLRASRRPARHAGDPKKQLELAVLAVFNSWDSPRAKKYRSINQISGLVGTAVNVQSMVFGNMGETSGTGVLFTRNPSTGENKLYGEFLVNAQGEDVVAGIRTPEDLDKMKEVMPEAYQELVENCSILESHYKEMMENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVDRHQAIKMVEPGHLDQLLHPQFENPKAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWNAQGKPAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSVLVNDAEKSVVIGDKVLHEGDWLSLNGSTGEVILGKQPLSPPALSGDLGTFMSWVDEVRKLKVLANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDIELGHQVAVIREIASKVFTDTGKTVGYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLGQGILQHDPFEVLDQRGVGELVKFATERGRKARPNLKVGICGEHGGEPSSVAFFAKSGLDYVSCSPFRVPIARLAAAQVLV >Dexi6B01G0007260.1:cds pep primary_assembly:Fonio_CM05836:6B:8456699:8457415:-1 gene:Dexi6B01G0007260 transcript:Dexi6B01G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWEASSTSRPTTRTASSCVAPEAARATHAFKVIGNILHSGFGVGNSVDSAAFDAGGGHGWCIQYYPDGEYEEGSDDHVAIYLTLLSGGGDDDDADATVKYDFWLVDQATGLSSPSPVYSHQAVFNTHIASTRGFTMTKTDLHAMGYLKDDCLDIECDVTVIKRDDVLDVDTPPHDLAGDLGKLLEDYKVGVDVTFSVKEEDIHAHRIVLAMRSKVFEAELYGPLSSDKQEEPEHSS >Dexi5A01G0038400.1:cds pep primary_assembly:Fonio_CM05836:5A:39420927:39421835:-1 gene:Dexi5A01G0038400 transcript:Dexi5A01G0038400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDNLPPPTDVVHLYKSLGITQMRIYAPNAHVFAALRGSGIGLILGVANEDLAGLAANPPMAASWVHGNVKPYYPAVNIRYLAVGNEVAGEAALSILQAMRNLHAALAAAGLAGVVKVSTCVRFDVITNSFPPSSGVFAHPYMADIARFMASTGAPLLANVFPYFAYKDNPRDTALNYATFQPGTTVTDSGNGLAYTNLFDAMVDAVYAALEKAGAANVGVVVAESGWPSAGGFAASVENARAYNQNLIWHVRQGTPKKPRVALEAFLFAMFNENQKPGELTERNFGLFYPNKSPVYHIRF >Dexi8B01G0004320.1:cds pep primary_assembly:Fonio_CM05836:8B:3812715:3813908:1 gene:Dexi8B01G0004320 transcript:Dexi8B01G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRFGFNLPPSYKFDPTDTDIVAHYLLPRAVGFPNPYAHAVIDADPCSCPPWELMRRHGHGDTDQALFIGPPRDPERRNKRADRTVAAGEDDGVGGTWDGQKSELTRLVLLRGGAGGGGEMEITYKRHHLSYYHDDDGGGARKKKKRRSSTSGWIVEPEHLSGVVLSRIKITDRSKKTKKKKKKGKRKQPAAGGELMKVVLPPGGPDQAGPSNYYLPPGPDEAGPSNYYAAPGQEQVGPSNYYAQPGPDEAGPSKYYDAPPVTGGEEYGGFVMGDDTGGCYVGGGGSNYTSYEGYGCYSNQVDGNGGGDCLAAGDETTGGSYVGGGGGEVAAGDFMGETTSGGSYVNGSDDYLNFDMDFGCNFGVDDDYCFNFGDNSSFGYPDGGNNGG >Dexi3A01G0001090.1:cds pep primary_assembly:Fonio_CM05836:3A:817009:817692:-1 gene:Dexi3A01G0001090 transcript:Dexi3A01G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGSVLPTHTAAPSAPAWPSSSSKTDTKEGPRRRRRCLCVCLLVTLGVLLALAITLLVLFLTVLKVRDPTTRLVSTRLAGVAPRLSFPAMSVQLNVTLLLTVAVHNPNPASFDYDAGGHTDLTYRGAHVGDAQIDPGRIPSKGDGEVKLALTLQADRFAADLMQLLADVEAGSIAMEASTRIPGRVTIFGIFKRHAVAYSDCSFVFGVAEMGVRSQQCHDRTKL >Dexi3A01G0025930.1:cds pep primary_assembly:Fonio_CM05836:3A:21940382:21943856:1 gene:Dexi3A01G0025930 transcript:Dexi3A01G0025930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRSCSNAASPTPPLSTPLRMAYAVADAASYRHRRRCPRRRATIAVAQVEQDVETVIHVLQPGPIGIVEHKFTDVEILEARTTVKRAVDNWQRNWVLERNLEWKK >Dexi5B01G0026150.1:cds pep primary_assembly:Fonio_CM05836:5B:27973065:27973638:1 gene:Dexi5B01G0026150 transcript:Dexi5B01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPPTVLLLLIFSPVATPSALAPSGTDEHGPAVYVVFVSRADYVDSPDYDLSLLAPVVGSAAEAKDALLYHYGGLGFAARLALEHAAQLSKKDGVAVLKDKAYGVGEDGRLPWFF >Dexi2A01G0034690.1:cds pep primary_assembly:Fonio_CM05836:2A:44539311:44540620:-1 gene:Dexi2A01G0034690 transcript:Dexi2A01G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADKKKLGALVVALVALLIAAGPSAGLPILSSGPSCTNFVGWAPLVNSLVCGFGGLFFTPPTPARRPPPPLPPPTATGSRQLSVGYYRNSNCSYDVEAAVRKAVEDAIKTQGRRIGASLIRLFFHDAFVRMTGPPNANSLRGFEVIDAAKEATTAACGGRSDVVSCADILAFAARDASFFLSYGEINYTVPAGRFDGRESFAAETNQLPGPDSDLQELVEMFAAKELDVFDLVALSGAHGVGRVRCLFTNGNSAMDPTYANDLRTECSGNPDKLVNQTDRCPGGDPDMMDNQYFKNIAKFVLFKSDATLISNATTRKQVNDNAANPDKWYKDFEAAMVKMGNIGVMTSPVAGLAEIRDVCWRVNGIY >Dexi2A01G0034110.1:cds pep primary_assembly:Fonio_CM05836:2A:44191449:44195675:-1 gene:Dexi2A01G0034110 transcript:Dexi2A01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFVAAAAACPAAAEAFAKYYGIVSGGTNVKARQGLVELSQAIDGIEGMRDGIFADIPKLMPFIDLEDMGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLGRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCTISTTFKGLDLLPGSKRKCPPHFRSNEEDVELSDSFTRSVHLTPIKRVKESHGTKYGVGESYRGTHFSDISSDYREDTNLHNPRGCLQNSPCNVEDALSKGFEGCISMKCSF >Dexi7A01G0013410.1:cds pep primary_assembly:Fonio_CM05836:7A:23507527:23514645:1 gene:Dexi7A01G0013410 transcript:Dexi7A01G0013410.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDRRADPSTCSCVRTLRARCLDVPNRLCRTEDLDIWKHSNLVLGSAIWYSDSAGALDMMKSLVYYGNILVGEAELSPKGETDLAWAREIRVDRLSPPSERCPPLAVLHAVAPGNRCLVMDSRPTSTADEPPPTLVAIHTACLRDNKVRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSRKSLLSVFHDGSCHPGMALVIDDRLKVWDEKDQRRVHVVPAFAPYYAPQAEANFPVPVLCVARNVACNVRGSFFKEFDEGILPRISEVRYEDEMDSIPSAPDVSNYLISEDENAAISNAKQDPRAFDGMAGAEVEKRMKEASSSFQAVNPISTGVSVMSVDAKQHFVAPTSSSIPIAPPLRTMPLNNEQVPQPPSSSWPVTQSGLVDSLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAPPFPDGPPAQVSVPPVQSHGNWFSLEGEMNPRNLSKASTELHLESDTVNYDKKQLQQLSYLPDGDNPISADRYSCKNQRYPSQPFLSEDRRMLHNHAPAIYRSFSGMFSSTHSGFDVLSIFLEYFKLDLQCEGEDIVSRHAPSRQRSSKMESGRYSVQYGGILGVLEEIAVKCGFKVEYRSTLCDTADLQFSIEILEVMKLDMGFSLQEADCKSLHGACLIFSIRTFHYAFQVWVFGEKIGEGIGKTRKEAQCQAADTSLRNLADKFLSWDPDKMTVAEESGFNSYPNSHRYSGSNRDDMLPVASTSDEPRYMNDRIDNLRKPGGSVAALKDLCMIEGYNLVFRAQPSTDGSAGTEARAQVEVGGQILGNGVGATWADAKLQVVS >Dexi5B01G0023820.1:cds pep primary_assembly:Fonio_CM05836:5B:25923135:25923860:1 gene:Dexi5B01G0023820 transcript:Dexi5B01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGASVSPTLAPAKPTSISVREGPTRKQPAAQRHRHHQKISKPNEAERCNVRDSSLGLAPSPVFSTQLLVSSPLFPPHALRRQP >Dexi5A01G0018050.1:cds pep primary_assembly:Fonio_CM05836:5A:21548984:21553847:1 gene:Dexi5A01G0018050 transcript:Dexi5A01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPVGLAAMAVAVVVLAAAASAAVTYDRKAVVVNGQRRILLSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPCPGQYYFEGRYDLVHFIKLVKQAGLYVHLRIGPYVCAEWNFGVHESRVKNTASGNLAIDLVIETEMQKFTTKIVDMMKSEGLFEWQGGPIILSQIENELGPLEWDQGEPTKAYASWAANMAVALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGDPIVTSLGNAQQASVFRSTGACVAFLENKDKASYARVAFNGMHYDLPPWSISILPDCKTTVYNTAKNVGEHFETWNAGILGPVTLDGLNEGRRYLTWQKWTYQVGLRGETLSLHSLSGSSSVEWGEPMQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYHVPRPWLNPTGNLLVVFEEWGGDPTGISMVKRTTGSICADVSEWQPSMKNWHTKDYEKAKIHLQCDHGRKITEIKFASFGTPQGSCGGYSEGACHAHKSYDIFWKNCIGQERCGVSVVPNVFGGDPCPGTMKRAVVEAICG >Dexi8B01G0016490.1:cds pep primary_assembly:Fonio_CM05836:8B:27696615:27697757:-1 gene:Dexi8B01G0016490 transcript:Dexi8B01G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLAAASHGGAAAQGPPIGVNYGANADNLPSPSAVATFLATQTTINRVKLFDANPTFLDAFAANAPSISIAVSIPNSALPSFADQSTGLDAARGWVRDNLVPHSGANVTLLLAGNEILGPVVVPDLVVALLPAMRRLAQALTLEGLPNVRVTTPHYLGILAPSDGIPSNARFRPGFDNKVLAPMLKFHRDSGSPLMVNAYPYFSYNAQNLNYAIFRPNAGVYDPNTKLNYTSMFDAQMDAIYTAMKKLGYGDVEIAVGEAGWPTQAEASQVGVGPEEAKDFNAGMIRVCSGGKGTPLMPGKSFETYIFSLFDENQKPGPIAERNFGIFNTDFTPKYDLGLLRQGSS >Dexi2B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:2B:29661522:29661875:1 gene:Dexi2B01G0019530 transcript:Dexi2B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQDDERRVADASGAAASIQSHQSAWRRLRSSSLPPAPPVTTSAPLTAAISRSGGGARLHVAFSTRFPPDDALAGAEEDDNGRCGPRHGHGHKEHHQPEEVVVGLRPAARPEVEEP >Dexi1A01G0022840.1:cds pep primary_assembly:Fonio_CM05836:1A:29444258:29447012:1 gene:Dexi1A01G0022840 transcript:Dexi1A01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFAGLVHLFVASFLFYFASFMVIPAITDVTMEAVCPGRDECSVAIYLSGFQNAVMGMGALLVTPIVGNLSDRYGRKALLTLPVTMAIFPLFVLACNRTETYFYVYYAANIVAGMFCSGSMHCLCLAYVADHVGPRRRAAAFGLLSGVSAAGFVAGTLTARFLSTSSTFQVATAVAVAATLYLRAFLPDSGGGVSCGDEACDPLLQDSPCSSSASSSDEELSPKLSPHKGGLPSLSDMTTLLTGSVALSGAATVTFFYSLGEHGLQTALMYYLKAQFGYSKDEFANLLLIAGTAGMLSQVFLYGIAWSYWVPYFGASFVILSAFVHPSIRANVSKNVGSNEQGIAQGCISGISSFASILGPLIFTPLTV >Dexi3B01G0013810.1:cds pep primary_assembly:Fonio_CM05836:3B:9914503:9916757:-1 gene:Dexi3B01G0013810 transcript:Dexi3B01G0013810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSALWRQIVSMKKSLFDQGYLDEQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGSSIGALRMKNECSMFKAHCNGRNLEGCRRSFQKMKREHATLKQKLETYFQVNEQSLAQLLRQVGPRERAVNSWK >Dexi9A01G0008910.1:cds pep primary_assembly:Fonio_CM05836:9A:5266480:5269921:-1 gene:Dexi9A01G0008910 transcript:Dexi9A01G0008910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPAPTVADQATNLLQKLSLESKKDGSNAAKKPSGLPYGSANAGDAQSAASPVDRSITPLLQEAMDPNFFYQPNGYPSPAYYYPSGYDGSANEWDSRYSSHEGMEMPPFHYFQGMHAQMPSSGMGLTSPSYPSRMYPDSRLFGQYGQYGNTLKGGLGFGSNVYNSRNNGRWGVVDTKYKPRGRAPFGFGGENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQAIPSAGKQNIALPDKSQFNQEGFPVSYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVSKTSILDDFVFYENRQKLMQEKRAKQQSLQGQASTGTVVTIGVDPSQEKDKDVTDGKPGAQKHALSKEGTLAEEAVNASKSVPESGVSNGN >Dexi3A01G0034190.1:cds pep primary_assembly:Fonio_CM05836:3A:39439397:39440389:1 gene:Dexi3A01G0034190 transcript:Dexi3A01G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFALFKGHHLPETRGFRNLSIEVEEGICQVLSHLWLESEIIAGASSNVASSSAASSSSSSSAPTSSKKGAKTEFEKKLGAFIKNQIETDSSEAYGDGFRAGYPAVERYGLRRTLDHIKLTGSFPY >Dexi3B01G0038310.1:cds pep primary_assembly:Fonio_CM05836:3B:41087078:41087197:-1 gene:Dexi3B01G0038310 transcript:Dexi3B01G0038310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRHWQIVGCSAFTGDGLLQDIASRIYVLD >Dexi2B01G0019130.1:cds pep primary_assembly:Fonio_CM05836:2B:29302582:29308353:1 gene:Dexi2B01G0019130 transcript:Dexi2B01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLAVAHRPPLPVPAPGHLRRRHLHHLRAPFSLSSRASLSLPFPHHHHRLSSSTPRRHHLLPPLLAASQAAAVSKAEPKPEAGGAKLVPLVISIAVGLAVRYLAPRPAEVSLQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTAAVTTHTLSFAAAFAAFTNDIIWLIVISFFFARGFVKTGLGDRMATYFVKWLGSSTLGLSYGLAFSEACIAAAMPSTAARAGGVFLPVIKSLSLLSDSKPNHPSSRKLGAYLVMSQFQYICVFMYGSHINVSVASQAAGICSALFLTAGAQNLLCLKLAEEVGVVMANPWVTWFKAASLPAIASLLATPYLVYKIFPPEIKETPEAPALAAEKLKRMGPVTKSEWAMIGTMILAVSLWVFGDAVGVSSVVAGMIGLSLLLLLGVLDWNDCLSEKSAWDTLTWFAVLVGMAAQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLQASYFFIHYLFASQTGHVGALYSASLAMHVAAGVPSVLAALALGFNTNLFGAITHYSSGQAAVYFGAGYLELPDVFKYGIIIAMINTLIWGGVGTIWWKILGLY >Dexi6B01G0009490.1:cds pep primary_assembly:Fonio_CM05836:6B:13868788:13880658:1 gene:Dexi6B01G0009490 transcript:Dexi6B01G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGGLVQTRHHAIYFAESIAGDARSSMALWGALAPASTVAQLVGVDAAGLVSATLQAVRTARRNRKECHALARRVMMAGDLLRLVRQGSSETMRRPEVRTALGGLGGALRRAYELVVSCQERGAVYGFVMAGRQAEQFRDVQAEIDAFLLVFPMVSHIDITIRLDRIYNMLAPPDSSQQVRFSVGSQESLEDLLTRDQRLKWLCWLPQQDTAERSAYDLLKAAANNFSCENIIGFGAWSTVYKAHIGDGLEVAIKKYPTDAESVASHGYIAPEYLREGILSTKVDVYACGVILLEIIAAKKSSVPCVQGDDYVNFTEHAWHLWSTGKSFELIDFSLRTGSPITDILRCIQIALLCVQTDPADRPLMSDVVLMLSNNKIIPCPKLPDGIFCQQSYSKSEATWSSSDITWPR >Dexi5A01G0036640.1:cds pep primary_assembly:Fonio_CM05836:5A:38072271:38072618:-1 gene:Dexi5A01G0036640 transcript:Dexi5A01G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSPSQPACGEVAAAAALAWTVAPLVALPVPVGVLLWWRHGSYLRIVDLLLDLISLRRWRRSKGISRTGSGSSRRWRRGKRKRVTDTSTTGGGSSRKRRQQRWREDTGCAGIER >Dexi5A01G0013900.1:cds pep primary_assembly:Fonio_CM05836:5A:10671050:10672216:-1 gene:Dexi5A01G0013900 transcript:Dexi5A01G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGALVFALVAVQAAVLLSAVPSAQASELQVGYYSKKCKGLENVVKWHVVKALKANRRTGAALVRLLFHDCFVRGCDGSVLLDKSYDNPNPEKNAPVNIGLAAFDLLEEIKAAVEDRCPGVVSCSDILIFAARDAASALSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSTDDVEKLIANFARKNFTVEELVILSGAHSIGQGHCSSFTGRLSEPADQITPAYRELLKYKCSSGSNPPVVNNVRDEDYNTVGRFMPGFVSRLRKVPDFLDNSYYHNNLAKIVTFHSDWTLLTQKEALGHVHEYADNGTLWDEDFSDSLVKLSKLPMPAGSKGEIRKKCSISNHRLY >Dexi2A01G0030420.1:cds pep primary_assembly:Fonio_CM05836:2A:41361440:41362086:1 gene:Dexi2A01G0030420 transcript:Dexi2A01G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAIQDPMAYLPQDLVVEILSRLPAKSLCRVKCVSRSWRALISDPAHRCRLAQTLSGFFFRRCRPDKTTPPPLGFAGLDASPPPLDDPSLSFLPSSWSKIWKLLDSCNGLLLLRCSSQPSAGSATGSSPPPPFYVVCNPATGDWVALPQPSVEPGRYGTTRTCSASLGFDPAVSSHFHLPSWHGGGGNLLVPNWCMDFE >Dexi9A01G0000110.1:cds pep primary_assembly:Fonio_CM05836:9A:84217:88476:-1 gene:Dexi9A01G0000110 transcript:Dexi9A01G0000110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLGNNLCCLTDQRTSQHSGAPHEFFHGPYQSAYPPWAMHPPYSTQGIPYYPGISPYYPPPYPPMDDTRYHHSERRISKKRSSNSTDSETSDDGSAQSGSERETSYGHRSHGKDKRTGKKKPNVVVIRNINVTSKRHGSSESESQTGSDVSEDSDDPHTKSRKKKNKSSSSKKKDARKIILESADEFNKNEMSCEQDGDQGNWNVFQSFLLRADGKTRDNDADLFASEKEPPRARRKEITSIDDSILLAERDSAGSSEHDTIGFNMENGRIKPRQMLSGDELMMSGEVSGIDSDVIKEIEAGDARYRRGASDDFMIYGQEKSADRRSSLDPLAEVQYKNPTMVEKNTHSMADESFMIPLRSTSEDNLGPESRTAIDIDVELPSTVQKISDAKAGGQLFYEPDELMPERGCEDISFGYDLAMDYNSQMQSQPATMVEEAHVEEAELSNVNEVKKSENDKRLRSSQESLDRRKKEASVRRFASSKGPMTDAQKCAHDLRAYKAGLQKAKKEQEEEQIKRLERLKLERQKRISARSSSSSAIPQQPKVKPSPKVSPSSYKSSKFSDTEPASSSPLRKLTAKTTSGTDRQKTAKASKLNDNTNAVSKSTSSLKDMKEKYGRAESSSERLNQLAEPKINSLTGHPSNSKSASMEHPRRRSMPLDTQTKKISAIMQLDQSKSATLPELKVKSSPPAVVKNGVAAKEKKDVPHEALAPTTETASVNKINSNISRMNSSDDSMVVEKTVVMLENEAVSTPTVILHSGRNAAKETSNDDKTEKPSPELEYTPSSLILPDAESPVTNGSDDHGNSYEVTESPKDESEKPTLAAMEKPYQAPFARVTSLENASEDSPLPVRESESLVHADSIKARVPEPVYAVEGNEGTEKPRSKEPKGLRKLLKFGRKSHTSSSTEGVMDSDASSVDEAPARDASRSFSLLSPFRGKHK >Dexi5A01G0015610.1:cds pep primary_assembly:Fonio_CM05836:5A:13359300:13363417:-1 gene:Dexi5A01G0015610 transcript:Dexi5A01G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDGRGWDFLNWLGHDTSACIFHRLDDPADLARAAAVSRSWRQFVIANEFCKSVCLRICPEDYSNLCGALVSSKPSVNCILDCIGASSTDRFLAERMENTLDPREMVDYRPSYWSSGGQNDPDVPESLTYRLHSDLCIVDEIRIRPYQVSFYYGEQPIFSSKMVRFRFGYSKLYTGLDWGGNQRVIADEKYVWTYTSPDFPMRQVQAHESAKPNRLMRTCKACEQPAEPAWPHQPQAGASNTAWHPPSSTPDLNSAFALRWNNRSASLGLPCCVYPSIMMFHFQHMKSFGKPSNRLRASTKMRPLLPLQAGREAKENRRRRGCARSGGGPGTACRRAGEECEWRGEVEVAGGVRVNGAMAELAYHIAASGPLAVVENVLQSFKLPHPVLCIGGVLKIELLGRTQKAIDDMYYICVCHAQVMGRSLSPVFMVDISDSADYTILKYLPSARN >Dexi4B01G0022900.1:cds pep primary_assembly:Fonio_CM05836:4B:24421599:24426278:-1 gene:Dexi4B01G0022900 transcript:Dexi4B01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADAAAPASTSGPPLAPLIAAQLSYLLSHSKLPIKVEQIWSGCRNGRYSDRFTLSIPFCLDYVHWDFLYNALAPKVAPDVVFGPDDEGFQPLVDYAEAGSGDKSCLAGWDYRDPRGLLALMHELRELYVEYHKKQVAKVDDARVTFELSTVLSKEGIEVCMVPSADRPEEVKFAVPLLDVDFDFAKLVIFPISRSSSYSSVPSAPRLKLISTPDLKSLFSVEDEKLPPWSNGMCLAEYLPALEESLNLRVVEASASIGARRRFIEALAPTFGRPIEADPHLNADGTPIMSLPINDYPWSPRWDQAEMVERIYDFLTDECQNFKKFCSDTITQQK >Dexi1A01G0030430.1:cds pep primary_assembly:Fonio_CM05836:1A:35746776:35747092:-1 gene:Dexi1A01G0030430 transcript:Dexi1A01G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAAAAEDEDDELASMEEKWAAATTTTRDLELRPTNRSCYLAATASAAEGFSLQDGRFSLVATWGSEPSARAAEELRSRRP >Dexi7B01G0008420.1:cds pep primary_assembly:Fonio_CM05836:7B:16223193:16225203:-1 gene:Dexi7B01G0008420 transcript:Dexi7B01G0008420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIREVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRXXXPPPPAAVYYSLQVASCCLNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIREVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDTDDAQGGTSEDGWVVCRVFKKKCFFKIGGGEGSTSSQGGDAGGGHLAMSPPPLGAAAMASHYMHPHHQYYHHAASSYYSAQMQAAAPYSHHVQVQDLLTNHRPGVDDDAAAAGYDFSGLPAVEHHHHHAGGGLDVGDGVAADGSAQLGEGRDQASGGASAAEQQWQAMDGFGNGGAGSSAAVQQMAGAQRGGEMDLWGYGR >Dexi6A01G0021140.1:cds pep primary_assembly:Fonio_CM05836:6A:28474904:28476304:1 gene:Dexi6A01G0021140 transcript:Dexi6A01G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQVLPSFMYCFSPMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >Dexi1A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:1A:25019037:25019227:-1 gene:Dexi1A01G0017640 transcript:Dexi1A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGRGGGEGAWAWVAWAARGGKGRGKVRREVDMGDGGAAGGGAVAIGGDPAAQRRR >Dexi1B01G0010720.1:cds pep primary_assembly:Fonio_CM05836:1B:10569100:10569693:-1 gene:Dexi1B01G0010720 transcript:Dexi1B01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTNSAESSSQAQNTQGQGTSAHDLESIREETNLSAPTYHLVKTFDASSITEITDELKHRQDIIPKEQRTLLVIFSDGYPLTGDELNDFFS >DexiUA01G0015390.1:cds pep primary_assembly:Fonio_CM05836:UA:32304201:32304611:1 gene:DexiUA01G0015390 transcript:DexiUA01G0015390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKHRVVTDLPKDLLVEIFSRVPYKSLCRCRCLSRSWHALCSDPNIVKKSPQTLSGFILHAGDKHGHPSPQPVGFSGLCRVQSCNEAVDYPAP >Dexi3B01G0018970.1:cds pep primary_assembly:Fonio_CM05836:3B:14076075:14078718:1 gene:Dexi3B01G0018970 transcript:Dexi3B01G0018970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSRGIRAPSTPQLLNLIQDEGEWKVVREEADGGRSSRITSYEAQEDTKLELKLGLPGVHDEERQAGPRERLELQQQESCTELSLCCFPSHSRLAATTASTTTGAKRGFLATVGGKAQEAPRQGRVWE >Dexi3B01G0018970.2:cds pep primary_assembly:Fonio_CM05836:3B:14076609:14077817:1 gene:Dexi3B01G0018970 transcript:Dexi3B01G0018970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERKKGCCPPSSSHDSAAGPVHGSSNPHQGRRNLTNGSSSKQSPDRQSDELGDKAKLACKRSLLVKINMDGIPIGRKIDLAAYDNYQKLSFAIEELFSGFLEAQKDLDCTESEELGAEEKIFSGLLDGTGEYTLVYEDNGGSRTPCICFYG >Dexi3A01G0033070.1:cds pep primary_assembly:Fonio_CM05836:3A:37884500:37887926:-1 gene:Dexi3A01G0033070 transcript:Dexi3A01G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLLLPLLAVATATLLVTAAAAATCQRRCGGLEIPYPFGIGRGCYLNKGDDRSFEVICSSNGTATAAADAFELIGIDVRRGRLRVRSPVSSWCYGGGGRQQDIRSPLYNSTAAAFRVSAAENVLAAVGCDVLAFIVAREGGVEDRHVVGCHAACPRSLQADGSCNSTGGCCHCQTSIPTGVGSFDLAFDVDGYNRSGVAGYSPCGYAAVVEKAAAVEFRAAYAAAAGGEVVDGGAGGQVPMVLDWAVGSQGCLEAQRNTTAYACRSDNSQCVNSTNSPGYLCNCSQGYRGNPYLPDGCHGSYRCLCHLGRRPNADGGCKIDYAIAAIGASVGVVVLVVAMACAYAVHEKKRLAAIKTRYFTQHGGRLLFEMMKSGQEQGRLSFTLFTKEELEDATNKFDERYVLGKGGNGIVYQGNLKDGRVVAIKRCRVADDERQRRELGKEMLILSQVNHRSIVKLYGCCLEVEVPMLVYQFIPNGTLYELLHRDHYGGAPPPLAVRLKVAHEAAEALAYHLHSMASPPAIIHGDVKSANILLDEGTCHLTDRSDVYSFGVVLLELLTRRKALAIAAPEEERCLAALFLSAMKDGELDRMVDAWIKDEATVEALGMVAGLARRCLEMIADKRPSMRE >Dexi2B01G0036630.1:cds pep primary_assembly:Fonio_CM05836:2B:43370857:43382941:1 gene:Dexi2B01G0036630 transcript:Dexi2B01G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQAPPSWSSASARRYSPVLGRAAGDPIHGDPIHGDPELVPSGLLRASHARLLGNAVAGGYGEDDLRSSDPDGLLSGPNSGGQHSQPPVCWERFLQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNNIDLVLAEVFMPCVSGISLLSRIMSHNICKNIPVISKVTCFVTQSSGSGSESGIQTQKCAKLKSGDESDNNSGSNDDDVDDDASMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDLADPPDSTCAQVIHPKSEICSNRWLPGTNNRNSKKQKDTNDNFNGKDLEIRAPRNLEMDHQSSPNERPIKPADGRCEYPPENNSKASSMENLEEPTVRAADLIGSMAKNMDAQQAARTADAPNCSSKVPEGKDINRDSVLPLLELSLKRSRSSGDGANTIQDEQRSVLRRSDPSAFTRYHTSAASNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPATNKERVMSPSAIKANAHTSAFHPVQNWTVPANAAGKAKADEIANNTTKNGHPGEVQSNLMQHPRPILYVHFDVSRENGGSGAPQCGSSNVFDPPLEGQAANYGVNGSNSGSNNGTNGQNGSIAGASTAAANAERTNTDVANGAIDKSGPGGGNGSGSGSGNDTYVKRLDPAMTPRQAQLIKYREKKKDRNFGKKVRYQSRKRLADQRPRVRGQFVKQAVQDQGGREGAGDR >Dexi2A01G0035990.1:cds pep primary_assembly:Fonio_CM05836:2A:45651078:45651543:1 gene:Dexi2A01G0035990 transcript:Dexi2A01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTQYASIGPRFSSPGDRHTTRVIRALQRLGSFGDRPRIARSCDSVRSEQNASHLNLGSSLTAASSASAARSCSSAPWPPDAQAHWQASFQGFVAVVLEALVPDECKAGMASDAMGLDSRRDGAGEDEVAVALPLPLGKTKALSKETGRI >Dexi9A01G0024340.1:cds pep primary_assembly:Fonio_CM05836:9A:20585838:20586320:-1 gene:Dexi9A01G0024340 transcript:Dexi9A01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSVQHQASRGGARFAAACGVLSRYVKATERATAAMAVAVAEARPPAVVVLPLMPGADVSSSTHEEAGPAPAAQLTISYGGRVVVVDGVPAEKAAELVRLAAAQSAAANDLPVARKASLQRFMEKRRVRAAARVAPYSRPVDAAAASLPDNLELAL >Dexi4A01G0007340.1:cds pep primary_assembly:Fonio_CM05836:4A:5433428:5434714:1 gene:Dexi4A01G0007340 transcript:Dexi4A01G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAPIALTDTGTNLKTSTSPTHHSAIKLPFPSSLVSVSSLLSPSSVAWCGVKCVREIVRRRKMMLSGHGGGGGRRLFTASQWQELEHQALIYKYMASGAPVPHDLVLPLRVDTAPSLAFPPQTTTPTLGYWGCYGAGATFGRKAEDPEPGRCRRTDGKKWRCSRESHGESKYCEKHIHRGKSRSRKPVETVVTSSATSAISPAAAAYRPSALSISPPRAPDSAPTFTLQHHQHLHHGGASSTRATGHATAAGALQLHLDAAATGLHAASPPPSYHRYAHGHAQYTTPPPSLFSGGYGHGQANEVHEAEMKRRHYLALGADLSLEKTPPAAAAAGGGAATEKPLRRFFDEWPREGGDARPWAAEDATQLSISIPASSTSEFTAGGGARYHNGESSMCP >Dexi1B01G0022280.1:cds pep primary_assembly:Fonio_CM05836:1B:28021713:28026612:1 gene:Dexi1B01G0022280 transcript:Dexi1B01G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEAQRLIRPIRPAPSESCCGRLLQWKEPTTPRAKPQPTRHASLRSRGLLQPGPNSGDAVFIPSFSNSEQRDRAKHPTPSRVTLARFINIRSSRSRSSSPPLGFRSALPHRPPPPPPPSPMALPYLEAVLCFMILMYIFETYLDIRQHRALKLTTLPKPLLGVISDEKFKRSRDYSLDKSYFHFVHEAVTILMDTTILYYKVLPWFWKKSGEVVTHVGLNAENEIIHTLAFLAGAMVWSQITDLPFSLYSTFAIEARHGFNKQTIWLFIRDMVKGILLSMILGPPIVAAIIYIVQIGGPYLAIYLWGFMFVLALLMMTIYPIIIAPLFNTFTPLPEGVLREKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLNHTVYSFVAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPIIIGLIIFQHTIIPLQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLQALEDPDSKKED >Dexi5B01G0018670.1:cds pep primary_assembly:Fonio_CM05836:5B:21053010:21053753:-1 gene:Dexi5B01G0018670 transcript:Dexi5B01G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAAISGCCSSSRLPQPAALLRSTSRRRFKVTAMAPMKKVNRYDDNWSKQWFGAGIFAEGSEEVSVDVFKKLERRKVLSTVEKAGLLSKAEELGLTLSSLERLGLLSKAEDLGLLSLVEAAAGASPSALASSSLPLLAAAVAAFVVVPDDSAALVAAQAVVAAVLAAGAAGLFVGSVVLAGLQESD >Dexi5B01G0011740.1:cds pep primary_assembly:Fonio_CM05836:5B:8315540:8315836:-1 gene:Dexi5B01G0011740 transcript:Dexi5B01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSVSSALRAASLAAAPRARGYAASAASGAMRRAAAAAEGAASGEAKEAGRRSAAAEISWVPDPVTGHYRPSNWAAAADPADLRAAHLARAYARA >Dexi3B01G0004150.1:cds pep primary_assembly:Fonio_CM05836:3B:2867207:2868826:1 gene:Dexi3B01G0004150 transcript:Dexi3B01G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGGGARRGRHSGDGVPAWAMLLSVVVSFFDRDVRRRKRSTHLAGGGRRSRAAATKWSDFRLSAVPPPPPPPPAPAPVEKCSDCGTTTTPQWRSGPMGPRTLCTNCGSRRRLAGERWGKPRCTWRGAVMARAAKARAWAARDQPPAAQQVSPPVLAASPPSTRICEDQGLEIRASPNQVTTSPPPPAPATAVSDHPPPPQKILPVPASSPPSSRICAQGFGPAPSVLTSPPHDRNSTSGYGVPCSAGTDSGDGSIRPPSAAGGGGAGDPAAAALAPDVLRGRTPSPNPVTHPSPAPVGRELLPPESSSAPGRRRKQQPKRRWVRGSTCQHCGSTSTPQWRGGPDGRRSLCNACGVRYRQGRLLPEYRPKASPTFDRNVHASWHSEVLRRRRRRNSAPMMMTPPPPATMTLLPPPPPPIVPEVNVVAREPRAGANNADPMDGPVAPVPFLMLPPPSPPVANLASEPRADGANEDDSATNAMEELGPLDPFLLDGPAAPMLIGEDGEPTRFFIFFK >Dexi2B01G0026820.1:cds pep primary_assembly:Fonio_CM05836:2B:35905783:35913167:1 gene:Dexi2B01G0026820 transcript:Dexi2B01G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNMYYLVLIRVVPEIGSCYFVGAHLCKAMLTIHISTNRLQLVWNVISCVLLFYAFFTFDSPCKSHLGKKSFNFTISRSLHEIRANSSLVQESNPLCLWRNLNYRKVDTGHRLAENSQNIINITAKAISRWSIVKEEFTFAAGSAPFNSCHASTIVQVHVLWLLMKAIFWWHISVDPKEGAPDVKIRLQRYSDGHWHPPLVADEQFEVPMWNPVLFQLPSRELLPLLQDWSRSPEPFLLEDGRLLCGSSVESWDSWGAWLEVTRDTGRTWRKYGPIYVDGQPLGVIQPVPYRTANGIIRVLLRSFQTIGRVCMADSFDGGVTWSFVRRTELPNPNSGIDGVKMKDGRVVLAYNTFSRGTLKLAVSLNDGDSWNEVMTLEDTSDMEFSYPAVIQTMDGLIHVTYTYNRTQIKHVVIKPSATVKAGDPSPARRSSSGKGAAPPPPLALTALVASAAWTLSCLFLALAAPTAHQPSTTARSNGLSAAYTGPVATPDPSIAIGKEALTPSNPNHGGDVALALAPLGTRLFLFMLHRLGDSSFDFITNLNGSKVKVGKKSEESTQYIINMATNVASGWNILKEELILPAGGALFSSCHASTIVQIEENNFLVAYFGGSREGAPDVKIWLQRYRDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKAWLNFLSCLKMVASYVDHQLKPGTLGVHGLRLQKMLAGHGGSIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWVEVMTLEDTNGMEFSYPAVIQTMDELIHVTYTYNRTQIKHVVLQPSGMVKF >Dexi3B01G0027890.1:cds pep primary_assembly:Fonio_CM05836:3B:23629544:23641009:1 gene:Dexi3B01G0027890 transcript:Dexi3B01G0027890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTHSQASNTLAVLTEPQSLAAGHPHHQSGHHRLGMELSVRICSPRQTSQVAGLEPVRPPSSFRRPSTDAAALARGVWVGSPSAPPKIGGRRMRRLERKEARMKRNHISGGDWLEGSPGGEIWWEKLLRAGGRTRAPAMQGIHSAAPHETEGGLTAVSWSYRGPIVDDGRVDWKSIRPRIAIGSKFDCNNGTTGAFHAYEVDRLPSWEKLQQTHLQPPPPWEKRLQKLLPQPPPWQENRRKTISTRRQWSSMSLPCDMEQPMPPILVRRGESQQAAPAPAPAAGYHGVTSMRIRLVDEEGRWKAQAEVDAKVGEPAYEPSCNWRRSQGSSGRRMGFQSTRALLCTTPPPREDVPTLSPPRQSFCGVFASTKAHLPTAVSFSRCCFCDTSPLIEEPVTPLPRSHQPRRNAAASGATSLSWPCFRRRRPLCPGVHSCPPRRAQASTRMVQYVAQKGRLGQILGKVRWLLRWAAGRLG >Dexi6B01G0015530.1:cds pep primary_assembly:Fonio_CM05836:6B:22850597:22851702:-1 gene:Dexi6B01G0015530 transcript:Dexi6B01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANPPRGRTGRCADRPRVASPSSPADFVPQEVASLFHTIPTGTSWDGSREPATGTDGTVRRSPPRRVPVVPGGFRRLATHTVAIGEVTDSLVREGAGPISEEEWAPTRGAAGPWRIIHGRIGPRGALRTRLLPQAPQTTLAKLADFASVRHTADATHVIDLAATGTRSSAKRKQWSRAKASLNATELAHHRR >Dexi3B01G0013260.1:cds pep primary_assembly:Fonio_CM05836:3B:9454471:9457327:1 gene:Dexi3B01G0013260 transcript:Dexi3B01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAASCSPWPSRAWAVARRSPSFPSLPLPSPSHQVVSWLSPSSSPSHGCRWPVAALPLGIRGGLRPLPAGASRAGAAARTRTAAVAAAASPAAADGGGKPETAAGISRTLQLGAMILVWYMLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFYITLMWLLNLHPRPRLSLKQYAKILPLALIHMLGNVFTNVSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSILVLGSLVPIVGGVVLASMTEVSFNCLDDINLFSIMTVMAFLLSAPLMLSVEGIKFSPSYLQSAGVNIKELCVKAALAGTCFHFYQQVRPISTQNPSLWSHRHS >Dexi9A01G0031970.1:cds pep primary_assembly:Fonio_CM05836:9A:36804043:36804334:-1 gene:Dexi9A01G0031970 transcript:Dexi9A01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKITDFGLARLLGEGHTHTRTSKVAGTFGYMALEYVMRRSVSPKIDMFSFGVLVLETVTTT >Dexi9B01G0010880.1:cds pep primary_assembly:Fonio_CM05836:9B:6855938:6860743:-1 gene:Dexi9B01G0010880 transcript:Dexi9B01G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAASSASASFPAASSLRRRDGRSRPYRVAPASAAATTPAKAAALAATLPAAPPAPLVRVVPESLQRESGCLVAGFRERGAGVGDGDGFGGAVGEGGGPGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSREQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLEGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDVITGQGTVGMEIIRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGKRVMLEHVGGFADGVAVKTVGEETFRLCKELVDGIVMVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYNLKGESVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEKGSFKKFAELVGRMNITEFKYRYDSNTKDALVLYSVGIYTDNELGAMVDRMESAKLKTVNLTDNDLIGGRSEVKDELVYRFIFPERPGAVMKFLDAFSPCWNISLFHYRAQGEAGANVLVGIQVPPKDFDEFRSRAENLGYEYMSEHNNEIYRLLLRDPKI >Dexi3B01G0037580.1:cds pep primary_assembly:Fonio_CM05836:3B:40344929:40348086:-1 gene:Dexi3B01G0037580 transcript:Dexi3B01G0037580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRSHDGFSQAAAVAAANGASLPWWAPAPQLLLYGEALGQGEVGFQVVPGAQATLDPPAQPLPPPKAAAERSLPEVLRFSVPQGKGEKGSEHAATVALPSPFAIYNGPFELGLGQSMVSANNPYADQHYGLLSPYPIGAKPYLHESRHLHALRRARGSGGRFLNTKKESNTKDAVGDSKAMASYTLMRQVASPSSEIQQSDMGNLSSVSSLSGSEDSSIYNNGDVDHYPSFDHLRTPFFTTPLMDSEHGVGNPFKWATAPEGCCDLLRA >Dexi7A01G0009970.1:cds pep primary_assembly:Fonio_CM05836:7A:20908820:20909766:1 gene:Dexi7A01G0009970 transcript:Dexi7A01G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFSMANPPTTILTVLALGLLALLCAVGPAAAQNCGCQPNLCCSKFGFCGLGDPYCGDGCRSGPCYSGGGSGGGDVASIVTDAFFNGITSQAGAGCEGSNFYSRNAFLNAVGSYPGFAQGGSSDDSKREIAAFFAHATHETGHFCYISEINKDNVYCDPSFTQWPCAAGQKYYGRGPLQISWNYNYGPAGQSIGFDGLGNPDAVAQDPVIAFKAALWFWMNNVHNVMPQGFGATIRAINGALECNGNNPAQMNDRVALYQQFCQQLGVDPGSNLTC >DexiUA01G0019750.1:cds pep primary_assembly:Fonio_CM05836:UA:40982880:40987602:-1 gene:DexiUA01G0019750 transcript:DexiUA01G0019750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAGLGHLLVFAFLFCFSTFMVGPVITDVTMGALCPGRDECSLAIYLTGLQQAVTGLGALVLTPVVGNLSDRYGRKALLAIPATASIVPLGILAYGRTKAYVYAYYITKTLTAMVCEGTMMCLSLAYVADTVPESRRTAAFGLFTGVCSAGFVASTIAARFLPLPTTCQETDGGASSCSSSDEEASQPLCLPSSSAEEPSAPPRLPPLRKAPSLTEIAGLLTSSSTFSRAAVVTFFHGLGDTGLLNSLLYFLKAKFQYSKNEYANLLLIIGITGSFSQLTVMPFLVPKLGEQKLLIIALIASCGHAFLYSIAWSFWVPYLAASCVILSMLVTPCIRSIISKKVGPFEQLVALATSISMRPADVEPDRK >Dexi9A01G0034520.1:cds pep primary_assembly:Fonio_CM05836:9A:39292713:39294954:-1 gene:Dexi9A01G0034520 transcript:Dexi9A01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAVQQLLVALAVAALLLVVWWRLAWRPRAVARAFERQGVRGPGYTFLAGSLPEAKRLLMAGRIGVPPLDAACHDIMPILLPQFHRWVADYGRTFLFWIGPIPAVFSTDLQLIKQVLTDRTGLYQKDFMIPVLKFLFGNGVILINGDDWKRHRKVVLPAFNHEKIKSMSAVTAEVTKQMMQQWSEQIHQSEGGGKEAAAEVDMIDAFNDLTAKINGRVAFGTSHEDVEEVVVLMRAMQKLATAATLDAPILWYLPTRRNLHVRRLNKQLKNKIMYIMQARLASKDEAKCGDDLLGLLLEAWTPQRRGSGGAGETLTADEVIDECKTFFAAGQETTATLLIWAMFLLAVHPEWQHKVREEILREFPGDADSGEAAPTADVLAQLKLLHMVLLETSRLYPPIVYIQRRAAVDVELGGIKVPQGTVISIPIAMLHRDKEVWGPDADEFNPMRFEHGATKAAKDPKALLSFSLGPRVCTGQSFGIVEVQVAMAMILRKFSFSLSPKYVHKPKYLLSLTPKRGMPLILRNIDG >Dexi4A01G0024460.1:cds pep primary_assembly:Fonio_CM05836:4A:27225076:27225481:1 gene:Dexi4A01G0024460 transcript:Dexi4A01G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFISKEFHLTLLEEDDGSGVERREKTYKVVIKFAARADLRRLEQFIAGRQAEAPQEALQVLDIVLRELPTAR >Dexi5A01G0030770.1:cds pep primary_assembly:Fonio_CM05836:5A:33623151:33625501:-1 gene:Dexi5A01G0030770 transcript:Dexi5A01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVEKLLVGLLASIVLAIAVSKLRGRKLRLPPGPSPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEDEAAAVVDGVRADPAAATEGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRELNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLRLFKDFFLEERKKLASTKAMDNNGLKCAIDHILEAQQKGEINEDNLLYIVENINVAAIETTLWSIEWAIAELVNHPEIQQKLRHELDTVLGPGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWYLANNPESWRNPEEFRPERFMEEEKHVEPNGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSTIVCKPRAF >Dexi7A01G0006800.1:cds pep primary_assembly:Fonio_CM05836:7A:18124577:18130323:-1 gene:Dexi7A01G0006800 transcript:Dexi7A01G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHSLQTIESSPSGESDAAEEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQYSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIIDEKSLIKKYQKEISCLKEELQQLRRGIMGNGCILPTDQEDLVNLKLQLVYLPDRKREYFVDDDDISLDSELSLEGKLDSNNPDESSRFDRRNRKRGMLGWFKLKKSDQLSGLSSSVDGDSNASGSPSCSKSSQQKNLLLDLKDGRRKSMTRKGDDPTLSDSFLERTQAGDLFSAASRARHPLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDVHIQEQIEKLKDEITEKKSHIHLLEQRMVQSLQTTEDPATKTELSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVTENAELQEIVAQLRKENSSLKAAKSEDSFASVQSSDPSTASTDTRDNTNEISNNANMPSRTTEGNESRLISQVLEQASEIESLKQEKVRLVEEKDGLEIHSQKLAEESTYAKELASAAAVELKNLAEEVTRLSYENARLTADLAAAKEQTTSVSRSNIHNDTKWRDHENGILVEELQKELVASCQREAVLEDTLSQKDRRESELLKIIDDAKCREHELENELASMWVLVSKIKKESSQEDVFEFKAKQNGFHSSKTDSGRLANEMQASDNGSWDGLSTIEEARAAYNFERRRCKELESVVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKVYRFTCNVPLSPHAHGTQPSGVLE >Dexi5A01G0011020.1:cds pep primary_assembly:Fonio_CM05836:5A:8284313:8285551:1 gene:Dexi5A01G0011020 transcript:Dexi5A01G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTPAARKRHLQGTQHQRARALWYDSVRHQDQHGGGSPLLLPDGTLAKGVCHHFVRTGTCKYGDSCRYFHPKPDGARPSLTAPGPGPGATVQQSNFLGSQPNFVGFQAVEQNSFSGT >Dexi2A01G0031230.1:cds pep primary_assembly:Fonio_CM05836:2A:41979938:41986302:-1 gene:Dexi2A01G0031230 transcript:Dexi2A01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIFTGPVDDHYFLAMCDIFQAAQAFSDADLLATIGQTSPVSQIPLPPACTRRRRRLQASACAPRRFKPGSDFVSPRAWPVMAHPMYGSGPLRSRNAASSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLQMTLAKAQAGVKNNMRRLNKSIIQQGSNHVLHVVLFALFCFLVVYLLSKFSRR >Dexi3A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:3A:4884692:4885234:1 gene:Dexi3A01G0007190 transcript:Dexi3A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGGGDKAKAAVTPAAAAAGGGGGGLGMPAAEVERVFRRYDANGDGKISAEELASVLKALGATPGPGEVRRMMDEMDADRDGFVDLAEFVAFHCGGGGSGGQEEDASEAELREAFRMYDADSNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFDEFKKMMGAGARR >Dexi5B01G0012170.1:cds pep primary_assembly:Fonio_CM05836:5B:8623598:8623891:-1 gene:Dexi5B01G0012170 transcript:Dexi5B01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIREPPPLSPWQGSASPPAPSPWLQAKQEVAAEQAEELVAEYAEELVARKKAGGSRFDSSSWERAPRLEFMGNELEFGGSSKAFVMSWPARSSRS >Dexi2A01G0029220.1:cds pep primary_assembly:Fonio_CM05836:2A:40265271:40272543:1 gene:Dexi2A01G0029220 transcript:Dexi2A01G0029220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSPSSHVKAQQWTACCRSCASAAAAAASPAALLPSPVIAVAQRTVASAHLRAMTAESAETSPAPQSPPLFDDDDTTLLASYVPVYVMLPLDVVTTDNEVADAEGLRAGLRRLRGASVDGVMVDVWWGIVESAGPSRYDWRAYRELFGIVQAEGLKLQAIMSFHACGGNVGDAVSIPLPRWVLEVGERDPDAFYTSPSGARNMEYLTIGVDGWPLFHGRTAIQLYADFMKSFRDNMADFLDSGLIVDIEVGLGPAGELRYPSYPETQGWVFPGIGQFQCYDKYLEAEFKAAATEAGHPEWELPRDAGEVNDTPEDTGFFAAEGGTYLTEQGRFFLTWYSTRLIEHGDRVMEEANVAFLGCKSKLAAKVSGIHWWYRHPSHAAELAAGYYNHLGGGRDGYGPIARMLARHDRAVLNFTCAEMRDTEQPEEAMSAPEELVRQALSAGWREGTEVACENALSRYDRSGYNQMLLNARPNGVGRRGGPPPRRVAAVTYLRLSDELLAGNNFRILRTFVRKMHADLDYCPDPARYGRPIGPLERSAPKIPMERLLDATVPAPAFPFDTETDMSVGGGLAEIIDWVIDKIQWMIFGSPGSLKHSSIYPSSVTTKRRANQWELGEHSQPATMAGNLLANYVQVYVMLPLDIITVDNTFEKTDETRAQLKKLTEAGVDGVMIDVWWGLVEGKAPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGEGNPDIFYTNRRRLRNIEYLTIGVDDQPLFQGRTAIQLYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGVGEFICYDKYLEADFKAAAAEAGHPEWELPDDAGEYNDTPEKTQFFADNGTYQNEKGKFFLTWYSNKLIKHGDKILDEANKIFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDDRDGYKTIAHMLTRHYACMNFTCAEMRDNEQSSEAKSAPEELVQQVLSAGWREGLNVACENALGRYDATAYNTILRNARPQGINKNGPPEHKLYGFTYLRVSDELLQGQNYTTFKTFVRRMHANLDYNPQVDPVAPLKRSKPEIPIEEILEVAQPRLEPFPFDKNTDLPV >Dexi5B01G0034560.1:cds pep primary_assembly:Fonio_CM05836:5B:34789852:34790463:-1 gene:Dexi5B01G0034560 transcript:Dexi5B01G0034560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSATASARAVSPLPAPSLLPGGGRRRGVARVGSRRAATVSVRSSLLDFVGGDLVRPDLGKWLDDVEKHKAVAIYPPHEGGYEGRYLNHLRYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWIMEAKVQ >Dexi5B01G0036790.1:cds pep primary_assembly:Fonio_CM05836:5B:36408521:36411820:-1 gene:Dexi5B01G0036790 transcript:Dexi5B01G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFFHHVVGDLTCGKPEVSELHDTDTLDDAARAIASSPEGAVPVWRPRAAPDEPPSGARFIGMISALDIAAFVAAAGVGDRAMRTVVGEVVQPNPGLLREIDPGTRLIDALELMRHGVKRFLVRKSGSWRGITKRFSVLYNGKWLKNMESTSPNSASSSKQLSSSTGSADKFCCLSREDVLRFLIGCLGALAPIPLTQICSLGAINPHYSYVEASAPAMEAVQKIPQDPCAVAVVETAPDGTRKILGDISTYKLWKCDYVSAAWALANLSAGQFVIGADENGSTLNSVLPEPPISPSSPVEEIGPGRSPRAKKFSSRSIGFQANQMSTWRTRSSFHRGRSTPLTCKSTSTLAAVMAQMLSHRATHVWVTDAESEEDGALVGVVGYTEIFFAATSSASPSPTAF >Dexi5B01G0015960.1:cds pep primary_assembly:Fonio_CM05836:5B:13460027:13460338:1 gene:Dexi5B01G0015960 transcript:Dexi5B01G0015960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQRAVVIFSTSSCCMCHTVTQLFRELGVNATVVELDEDPRGKEMEKALARLMGRSTGVPAVFIGGRLAGSTDKVMSLHLSGNLVPLLRNAGALWV >Dexi1A01G0015150.1:cds pep primary_assembly:Fonio_CM05836:1A:22059891:22063865:1 gene:Dexi1A01G0015150 transcript:Dexi1A01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTSVPPPASPMAEAETEVRDWAEMPLDALAAVFGKLDVAEILTGAGLVCRAWRRLAASDPTLWRRVDMCHQGDLLETEYAEAMARAAVDRAAGTMEAFWANTFLPVLAYLPISACRAPLLKSLQLSLCHRVSNEGFAEAISCLPQLEELDVTFCSLHGSVCDTIGRACRELKCFRLNERWSILQSEFAPYEGMDDDTEALGIANTMPGLQNLQLIGNNLTNDGLMAILDRCPHLQSLDIRQCYNIQMDDVMKSKCARIQDLKLPHDSISDFRYRAYIVSSIAGSDFEVDMYDDLLDVVTEDDDADFDDIDDFDDAGSDGDMYDNEFDI >Dexi8B01G0005900.1:cds pep primary_assembly:Fonio_CM05836:8B:6341049:6342450:1 gene:Dexi8B01G0005900 transcript:Dexi8B01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSETTTVMGLRGSCLNSQQHHFCYVTVMRVVEWLILGPALDGFAAGTPEMHRPDVEGVLNNMAEAGRPEPLREAGSSVVVRSTVNSTTLDESKFTVVFCFKVSWDYNHWDDCYCCGDKHWDESNCQPSMEECRANCPGCNPKCSP >Dexi8A01G0018490.1:cds pep primary_assembly:Fonio_CM05836:8A:30764920:30766419:-1 gene:Dexi8A01G0018490 transcript:Dexi8A01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVCRLHPGVAVTGFCPACLRDRLAGLQPPPPPSSADLRRCKSFSYARSAAAVEPQRRSCDLFRQPIVAAVREEEDYPQEQEASKRSFLGRKLHQWRRKNKANKQDPAPEMVSGAGRYNHHHRPSCDVPSSGGLAVPVPVPAMMLSSMSVEEDDDDNDMTMMPVPRSDGQIPVEEDYYYGDGDGYGYGYGYGYDNGVVVPGGSVQTRDYYLDSSSSSSRRRRSVDRASATSGRNSFSDAANIINNNNGGGEVPTTTNNNGGGIARVSPAVAERPLYHHHYHHEAQSVLVHHHHQYQIPASRPPTSNTTKTKGIRGWSIWGLISKKSSNKQRQPDNAGDHHVRRPSSFFSEQAAAGTGAEYPWPELRARGYDGQMLRCNSRISARSSFSGSGAAAMIGGRRSLSGVDFRDHHGSGGGGGLPPPERRRTTRRDEVLLERTRSSSSSSYSATRLNNSNGGIDNNNPAIIMGISSRPPSIPRGRPSSKLQHEQRRSTLGLY >Dexi9B01G0047640.1:cds pep primary_assembly:Fonio_CM05836:9B:46709472:46712287:-1 gene:Dexi9B01G0047640 transcript:Dexi9B01G0047640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAASRIPTSARLHSRAASRQRVDFADFSGLRPGSCSVSAATREASFSDVLGAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVINDSGGVKNASHLLKYDSMLGTFKADVKIVDDTTISVDGKPITVVSNRDPLKLPWAEMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHGVADIISNASCTTNCLAPFVKILDQEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKKGITADDVNAAFREAAAGPLKGVLAVCDEPLVSVDFRCSDVSSSIDASLTMVMGDDMLKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAAPAGSGDPLEDFCKGNPETDECKVYEA >Dexi6A01G0006590.1:cds pep primary_assembly:Fonio_CM05836:6A:6366362:6367696:-1 gene:Dexi6A01G0006590 transcript:Dexi6A01G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWAWPVITDSVSPAAMFAKLSDTLSKLVCGISPPTTAAEDRYRAGLRSLLLLPPSPERSSSSSRSASVRSKAPPEHDDGGGDEMATSPPAMSLAEPPRTEEAMASSTLPCVAFASEHGYKIFSLAGADAGEVVTTPMAPVVGRRLIPSPYGGNVLATDVCYNHPCHVINPFTGERAALPDLPIPFSEKEPIRFHPDDHPPFSSRAVTDDGLAWDWSPHGVMVARGDTAFFFDSGGDTGEWTPVHQSAFGSPMTVNYRGGSFFILELRSLATKVIDACTLRVRAEIPPPSSLHRHVIDAAYLAPSSPADEAILLVHFAGDERGVVFTEAYRWKDKTGDRRRGSPQRWSRVHDIGDHAVFVDGAHAFTVDAGDAGAAANGVYVLLTNSVAQPCGGRGVVYAVGYSDLMRPERLRRMVIDVGEVEPMWGQPHWIIRSDGSDRRCG >Dexi7A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:7A:17489994:17494762:-1 gene:Dexi7A01G0006190 transcript:Dexi7A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGRRSAAAAAAAAGKDTVESSRSRTERSFLRVSLSEKVRGTRSYALYACGPAGTEGRGQNRRRRPPAAAGLVAGPAGPVLLLALAAAAMPRSESDSDDIFFDAFEDDQSAREPSSSEDCSTSDEVSVPRKFEYEIWANEPLSVKERRERFLKGMGFDEFVSTRMDSFQCHGQITAVESFNNMEERTVSDHSSLNSSAGDNESEFDGSCCIRDMDSGRRYIVHNDAHSSITDMLKEVGSDKVMSLLEFENLLGLSRSVQKLLRRGYGNNPARETKGAKKKDVKTLWKKFMTKRSFGGICKYDVHVKNCTTGVPTRTNVQHRKKSFLEFSAVYMDQEIRAHKGSIRVMKFSPSGWYLASGGEDCVVRIWQIIEVEASPKLFKGEDVYEKVEKVQVFKTNIGRGQNHALAVIPKKAFRISETPLHEFHGHTSDILDMTWSNSDHLLTSSKDKTVRLWKPGCDGCLGVFKHKDYGKQNGNTLLMRVTDWADTRNIITALSYQPDGKGFIVGTIAGACRFYNESGENIRLERELFVQGKKKSAASRINSLKFCTSDSNRMIIASADSKIRVTDGDTIRKFEGPWKSKALSSPSLTSDGRYLISAGKDSHVYIWNYANSGHAKSVHSCELFFSKDVTTAVPWPGVRQDGHVKPSCLTEKSASAPTLRRHGNCQSPGPWSFADGTKGSATWPEEKLPSAAKAESTPQLGDCLSVISAAWNTVIVTASRDGVIRSFPNYGLPVRL >Dexi1A01G0030100.1:cds pep primary_assembly:Fonio_CM05836:1A:35435945:35437258:1 gene:Dexi1A01G0030100 transcript:Dexi1A01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAADGTALPLPPLLSASAAAATPRPRECSSSPRSLLSRIFHMGRGGGGGGFGCRLRLFPRHCTSSATAATAREHVAAAAKGEVRVETRDAAAPKMVGGQTAPHEESPRTSHGLRAGKNSKATVAVAAEDALPAASLGLGASLVLLLSKSAAELSRMAELRAQMERLMLDVRADVRSCNGRPSSGSGDHTDSSSVVVKGAIVGRAGDEEDGALTQLSDGSRTAAAPVSRGRRENAGQCDMDRMEAELEAELSRMQDDFASPRRDRELETEAKSRSASSRCHSVICSGSEDDNGVDDDDGDGSIDHDVDGSQDCDGEDEEEEDHGRGDDDGESDGKSMPPHGGVSARELERRLHELLQSRHEARIAELESELDRARRKLRETEREASRWRDTAKLATRFTDESRLR >Dexi1B01G0012250.1:cds pep primary_assembly:Fonio_CM05836:1B:14916423:14920894:1 gene:Dexi1B01G0012250 transcript:Dexi1B01G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAGPGDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSTTVAEFDSRLKEKGADFPDYFVRTLLTIIHAILPPSSKSNSAAVTAGPAGAEASKFPGLARPDDPDHARNLRLELERDADAAAPAPARDDRDRRRDGMGRDRDYDRGGRDHDRDRSGRDQDRDRGGRDQDRDREYGRDRDRGRDREYGRDRDRGRERDGDRHRDRDRGRDRDMERDRDRDRGRRRYEDEEKEEDRGVGGRGREVAVSNPSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHVSQMASRRVANAKEVVKRDQEVYVKVVSIKGQKLSLSMRDVDQDTGKDLLPMQRGADDAPRANPSGGSGSGSAMGSGKRLGLSGIVITEDDEAAPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMMYQEEGAEEELEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Dexi2A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:2A:4289675:4291097:-1 gene:Dexi2A01G0004750 transcript:Dexi2A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPFQISASAVAKVRELAAEAMTVVVATGNARDKWMVALNREQPRDAGEAAGEAVTRHQPHDRDTSYAFGLASSSLAPLATDPHNAHVSRGPPCVWLWNLSTLFLRGDLAVHVDGDELFDPASLHVAPMYNPLVPSPAASLAPATWPPPEPLPSTSSRAAGRG >Dexi4A01G0012900.1:cds pep primary_assembly:Fonio_CM05836:4A:11798008:11798355:1 gene:Dexi4A01G0012900 transcript:Dexi4A01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERYSTARTTSTGSTTEALTVTPTVSRGRFRSDSRSTSPSTSRHSLWNSSLLLDSCCNHDDDAGFVASFMVVVVILRLLSDETSGAVASSSLSRRAAAARATERTLLRWW >DexiUA01G0019120.1:cds pep primary_assembly:Fonio_CM05836:UA:39892132:39892445:-1 gene:DexiUA01G0019120 transcript:DexiUA01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTGNINTFSVSMNEGAVQVGGVEFPLDTKGEFVMEILYIDNKIRISRLNQHTLVHLRIANATP >Dexi7B01G0020490.1:cds pep primary_assembly:Fonio_CM05836:7B:25775742:25776321:1 gene:Dexi7B01G0020490 transcript:Dexi7B01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAKALVALAAMAAVAELAAAKTHTIQWSLNGNYGDWSASNTVNVGDTVVFTYGPPHTVDELTQAEYTACTFDHTVSRDSSGSTSITFDEPGTRYFACAAGSHCDQGQKVAITVSDVASPAPNKGNLAAGVAAKLALGLGVGGALLAAF >DexiUA01G0007440.1:cds pep primary_assembly:Fonio_CM05836:UA:14072209:14073642:-1 gene:DexiUA01G0007440 transcript:DexiUA01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGFMARGANGGRAAELVTRDFLGGCAASDDTRDAAVRHDAVPGKMSLPKHACPATPRDLNLFPVASGAATKPCAVTTAPAPTSSSGATTTYHSVCTIEKVKTALERFERGKQSNHNQQQHSGAGASPSSSSVTTSSVKRRGGDSSSAVEQGDGCDSPSGGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPAPPVATTVSKKPRIDLNVGFLGT >Dexi2B01G0006880.1:cds pep primary_assembly:Fonio_CM05836:2B:6875689:6878974:-1 gene:Dexi2B01G0006880 transcript:Dexi2B01G0006880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTALLAAQMDRHLVFPLLEFLQERQLYSEPEILEAKIRLLSGTNMVDYAMDIHKSLHGTDDVPEDMVRRRAEVVSRLRSLEEAAAPLVTFLQNPQLVQELRPDKQYNIHMLQERYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSVSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSLPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDRFFPLRYLNAIQTNAHHLLRYLATAVVVNKRRRNMLKELIKVIQQEQNSYKDPITEFLECLYVNYDFDGAQQKLMECEQVILNDPFLGKRIEEGNFVTVPLRDEFLENARLFIFETYCRIHRCIDISMLSQKLNMTYDEAELWIMNLVRSSKLDARIDSVSGTLIMTTNQVNVHEQIIESLKGLNMRTYMLAKNIVEPAQAAQQATR >Dexi2A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:2A:13703152:13703462:1 gene:Dexi2A01G0011780 transcript:Dexi2A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCRGQGSFLRWMRSAMRSRQGLETHLNRQMDSAGRRERISTRGSSLVGEAALHGAVRNAQEARRRGGEGTYRQPATDGIDGGGACRGG >Dexi7A01G0001890.1:cds pep primary_assembly:Fonio_CM05836:7A:4984282:4988780:-1 gene:Dexi7A01G0001890 transcript:Dexi7A01G0001890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECRYEVAQAAYVKLALHALKHPSAAVNGLLVGRLIEPSSIPAVISVVDAVPLSHHPHHLPLLPTLELALTLVEDHLATQGEGLAVVGYYHANPRRDDADLPAVAKRVGDHIFRYFPRSAVLLIDNKKLEKAIKGKSREPVVQLYTRDSSKSWLQAGSDGSSQLFLKEPSTNSVLADHVTTKKWEEIVDFDDHLDDISR >Dexi3A01G0023150.1:cds pep primary_assembly:Fonio_CM05836:3A:18728458:18732261:1 gene:Dexi3A01G0023150 transcript:Dexi3A01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAPCLALLDQQESRAVLAARDGENVAVSAAARAARGEKKPGAAAVGAPCTPPPAAKAKVYSACSNVSGGRSAFAEFSSYVVRKAPPLERRVECTLEELCSGCRKEVRYTRDVVTKNGLITKKEVTQTIHVRPGMRKGATVTLEGAGDERPGCLAGEAVFVASEKKHRRFKRLGDDLVLRARVPLVSALTGWQLSFRLLCGDKFRCAFRDEVIFPGYVKVVKGCGMPVAGGEKGARGDLMVKFEVVFPEELTDEQRKGLAEILRGCA >Dexi8A01G0002530.1:cds pep primary_assembly:Fonio_CM05836:8A:1662267:1663980:1 gene:Dexi8A01G0002530 transcript:Dexi8A01G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDMPRRGAHHLPVGADLELGETTDQELEAYELRVSRLCPIDLPLAELETGTDMEDHQSIGLICLLYFILSSSHALYLYNASSALLSPGPAIKMDAAAAAAAADSASPMADDQLQSAESPSTEPLPRLPVHHQLRHGAHLDHVLVGFMRDGVWYNRDGEPVVDDGGFGAVPASEEAIAALPETTVGECDGETREKEAECVVCLEDYQAGDKLRTLPCSHGFHERCILPWLRVSRLCPLCRFALPAAAAAETESLVDEEENEEDDDDAIQGGGGDTSPVSNGERVHPTPVSPLPSDPLPSEGVDMTTEAVLGRIHELLQHIMRELDGDRTTAAILRIKELLRQILGELERAGLRRLSSNRTAGQDYLELSDGHFVPASSEAMARLRETTAEETREGEECAVCLKSFEEEGVEISAMPCSHAFHDGCIRRWLAISRLCPLCCFALQAREGPQD >Dexi5B01G0031400.1:cds pep primary_assembly:Fonio_CM05836:5B:32161862:32163802:1 gene:Dexi5B01G0031400 transcript:Dexi5B01G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRTAAAAAAAAVGVGGERSVPASPPPPLAPSAAAAVPPVTSLERTVRTLDRQISQFVTMDRLIWADSGDADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRSMARLEDEFRALIERPDDAAPQVPGGFGSDESEEEDYDADDGFGDEPIPIAKPVTDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYATARRGFIEESVARLGIRSRTTEEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLVPCGDLAFVAAVRTQALQLISFGDAVAAASRAPERLFRVIDMYEAVRDLLPDLDPVFSDPYSAALRAEVSTVCSTLGSSIKGIFMELENLIRRDPARIAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLDALGATAITVDPDRPTSSLAVHIAWIMDVLHKNLEIKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGILLGDEWMKQMMSRVRRWSMEYQRGAWAKVMSVLQTGGPGVGSITAKAMLQKMQMFNSYLEEIWAVQSDWVIADEQLRADVKSAIVESVMPAYRGLIGRLRSSPEAARDLFIKYTPEDVQARIQQLFEGVAK >Dexi1B01G0006270.1:cds pep primary_assembly:Fonio_CM05836:1B:5170131:5170732:-1 gene:Dexi1B01G0006270 transcript:Dexi1B01G0006270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGVGKTELGKTLDTLRNTTDTKEAVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDTIEPGQESAETTKKIHLQYTPEAVELLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEEDTVLVDVSSAAIAKGLAPRKKLVRRVSAQ >DexiUA01G0002000.1:cds pep primary_assembly:Fonio_CM05836:UA:4886403:4886786:-1 gene:DexiUA01G0002000 transcript:DexiUA01G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQDVENLKKEWSQTVSQLEGSIAAIESCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGCVLA >Dexi5B01G0024230.1:cds pep primary_assembly:Fonio_CM05836:5B:26309783:26310458:-1 gene:Dexi5B01G0024230 transcript:Dexi5B01G0024230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGELQLLGCWYSPYAIRAKMALGLKGLSYEYSEEDLFGKSDLLLKSNPVHKKVPVLIHGGRPVCESLVVVQYVDEAWAGKGPPLLPSDARDRATARFWAAFFDDKFFLAWRALYRSTTDAQRAEAFKNVVPQVVTLEQGFRECSKGKAFFGGDAVGLVDIALPDLDSGGGRGVRH >Dexi5A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:5A:11244915:11249202:-1 gene:Dexi5A01G0014290 transcript:Dexi5A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTAAAADAPPPSSGPLAAGGESAEELDARYAPYARRDAYGVMGRGPLAPAEAVRLALAAAVLLPLRFVAGMLVLLLYYLVCRVCTLGVAADGDRPRLAGWRRKAVLRAGCALSRAMLFVFGFYWIRETHRTTTNAEAVNQDQSEELGRPGTIVSNHVSYVDILYHMSASFPSFVAKARHVFYLLCQFVNYIEVVRLPVYYPSEQEKEDPKLYANNVRKLIAMEGNLILSNLGLADKRVYHAELCGSSFLGAEHEKDD >Dexi4A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:4A:15851333:15851854:1 gene:Dexi4A01G0014160 transcript:Dexi4A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLMKHIKEKYGNPPVTITENGMDDSNSPFSRLENVLQDDKRIQYHSDYMSNLLDAIRKEGCNVHGYFVWPLLDNWEWNSGYTVRFGLYYIKYDNNLTMIPKASVKWFSQFLAQKTAII >Dexi9A01G0011360.1:cds pep primary_assembly:Fonio_CM05836:9A:7083279:7085516:-1 gene:Dexi9A01G0011360 transcript:Dexi9A01G0011360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNHYAALLSSAATAGRSAAHVAAAVHCLVLRTLPHPPPTHLLNHLLTAYGKAGRYARARRLFDAMPQRNIYTYNALLTTLAHARLLHDMEALFASMPERDAVSYNALITGFTGAGEPARAARAYRALLREDTSIRPSRITMSAMVMAASALGDRALGRQFHCQILRLGFGAYAFVGSPLVDMYAKMGLIRDAKRIFDELEGKNLVMYNTMITGLLRCKMVQEARQLFEVMTDRDSITWTTMVTGLTQNGLELEALGVFRRMRVQGIAIDQYTFGSILTACGALSALEQGKQIHTYTIRTCYDDNIFVGSALVDMYSKCRSIRLAEAVFRRMTFRNIISWTAMIVGYGQNGCSEEAVRAFSEMQKDGIDPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMSYTTVSNALVTLYGKCGNIEDAHRLFDEMSFHDQVSWTALVSGYAQFGKAKETIDLFEKMLSKGVKPDGVTFIGVLSACSRAGFVEKGRSYFYSMQKDHGIVPIDDHYTCMIDLYSRSGRLKEAEEFIKQMPMRPDAIGWGTLLSACRLRGDMEIGKWAAENLLEIDPQNPASYVLLCSMHAAKGEWGEVAQLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSKGIYEKLEWLNSRMVEEGYKPDVSSVLHDVADADKVHMLSHHSEKLAIAFGLMFVPKEMPIRIVKNLRVCVDCHNATKFISKVTGRDILVRDAVRFHKFSNGVCSCGDFW >Dexi5A01G0006550.1:cds pep primary_assembly:Fonio_CM05836:5A:4818675:4819944:1 gene:Dexi5A01G0006550 transcript:Dexi5A01G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGGDDGAGEDGGRPISGGIGGSAACGEGQDQTESRSRKRKPAVTSEDSGSLVGNGAHLNVMSDDSQRFLEEIKDLRAQLDKDVKELGYCEANQKLRVELALKVKEIQFLRKQKEEMQAKYDVMRKPNGNLQAKNDWLEKHNEELQAKNDRLVKENAVLQNSNDGMNKLNRVLQVKNDDLMKQSEELQAK >Dexi1A01G0024290.1:cds pep primary_assembly:Fonio_CM05836:1A:30874078:30875547:-1 gene:Dexi1A01G0024290 transcript:Dexi1A01G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSTDYRGLPGSNGDAINGVASAPASSSSSVRKVSIVPLIFLIFYEVSGGPFGVEDSVGAAGPLLAIAGFLFLPVIWSIPEALITAELGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALAGGPPRAFAVLGLTAVLTLLNFRGLTVVGWVAICLGVFSVLPFFVMGLISLPKLRPARWLAVDLKNVDWNLYLNTLFWNLNYWDSISTLSGEVENPGKTLPKALFYAVVFVIVGYLYPLLAGTGAVPLDRSQWTDGYFADLAKLLGGAWLMWWVQAAAALSNMGMFVAEMSSDSYQLLGMAERGMLPSFFARRSRYGTPLVGILFSASGVVLLSSMSFQEIVAAENFLYCFGMVLEFIAFVLLRVRRPDAPRPYRVPLGTAGCVAMLVPPTALIVVVLALSTLKVAMVSLGAVAVGLPMLRLVEKKGWLRFDVNEDLPDIGVGGGHAHAAAAAADEHPAP >Dexi5A01G0027150.1:cds pep primary_assembly:Fonio_CM05836:5A:30704495:30707121:-1 gene:Dexi5A01G0027150 transcript:Dexi5A01G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKKEEEEEEEEEEREESEEESEDESDEKPKLKGTEGIIQIENPNLVKARNIKAKEVDVALCSLERRLNSQGVKVFQKAAFRARSGAIKRKATGYRLALGVGGPLGGHGGSRGQGRAAAVKDAQGRRRRQGGDLSGSREGSGLRDGRDARGRRRRQRRRRKQRRRGGGRRRKVAA >Dexi1B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:1B:3789583:3792693:-1 gene:Dexi1B01G0004750 transcript:Dexi1B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAASSRESRWPELAVLRRALMARDAAVSAVVAPPATREPRQQQPAGRRGGRDADHAAAAGSKRDKVMHLLLWGPNAAASSQESRWPELAVLRRALMARDAAVVSPPAAREPRQQQPAGRRGGRDADHAAAAGSKRDKVMHLLLWGPK >Dexi5A01G0015400.1:cds pep primary_assembly:Fonio_CM05836:5A:12956020:12957240:-1 gene:Dexi5A01G0015400 transcript:Dexi5A01G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENSIDFIEVIFPVLAAVTLPIGIMPFLCVMISEACAILPDCVVYLPERLLKASKVVVFLSNILLIFLGSGVLVFIHRNACFGWLVVSACFIVAAIVILLHICSFCWWCRRGDSNAGCTEEYHSELEHSLEFSAGITAMMFLVLGSVVLEGLLKSTQLSQPTAPAPSEGPTIKEPGTFLAATLFVSFLTSAIAASLMNVWTIPLAVLTGAHVRGLNITLATLAALVVFLIAWEGLELVAWLTLLLPVILLLMLLLIKSCNRVDDSSTPQGEEKGNEKKEETELEAGPQPPGGQTQQQDEETKPAPLELTKVTFTGFLAVAVPGITSASPGIANKLCVFFAASTIPLGILWRLLTTHDNAPSPAGLHSG >Dexi9A01G0027540.1:cds pep primary_assembly:Fonio_CM05836:9A:32211781:32213874:1 gene:Dexi9A01G0027540 transcript:Dexi9A01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGGGASGAGGGRGGGRGRGRDDEEDLHLHKAARSGDAAAAESLCESNPLAVNSRDRLSRTPLHLAAWAGHVEVVRCLCKHKADVGAAAMDDTAAIHFASQKGHIEVVRELLASGATVKAKNRKGFTALHFAAQNSHLDLVKYLVKKGVDVTAKTKGGQTALHVAENDDVRAFLKECEQSLKKGTELPSSEKKDDSAEDGGGGSSKSSGEGKKEGDDAGQGEKRKTEGGAASSSPQVKKAKVSLGHLVSANDMDEEEEQE >Dexi4B01G0005220.1:cds pep primary_assembly:Fonio_CM05836:4B:3690630:3692075:-1 gene:Dexi4B01G0005220 transcript:Dexi4B01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNFQLEGYGNDRVATEEQVLDWSDRHYLKVEPQDERNLALWPPCLRDVLHEFTTKCTTLKDCLLPEMAKLLELDGNYFSNQFSGKAETYARFNYYPPCPRPELVFGLKPHTDASFITLVMVDSNIGGLQVLRDGEWYNVPNKPDTLLINLGDQMEIMSNGIFKSPVHRVVPDAEKERLSVILKEKSSLPVN >Dexi2A01G0025900.1:cds pep primary_assembly:Fonio_CM05836:2A:37524451:37529602:-1 gene:Dexi2A01G0025900 transcript:Dexi2A01G0025900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASAGLKPSALDLVAALLTGRGEGAEGGGGLLAENRHLLVLLTTSIAVLVGCGVALLVRRSAAPRAAKAAAQAQPRALAAARPKDEPDPDDGRQRVTVFFGTQTGTAEGFAKALAEEAKARYDRAVFKVVDLDDYAAEDEEYEEKLKKESIALFFLATYGDGEPTDNAARFYKWFSEGNEKGEWLSNLRFGVFGLGNRQYEHFNKIGKVVDQLLAEQGGKRIVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRQEDDSSAAATPYTAAIPEYRVVFVKPEDATNINKSFSLTNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTGLKYETGDHVGVYAENCIETVEEAEKLLGHSPDTLFSIYADQEDGTPLSGGSLPPPFPSPCTIRTALTRYADLLNSPKKSALLALAAHASDPKEAERLRHLASPAGKKEYSQWIVTSQRSLLEVMLEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRTDATILLQNGTNKNSCDMCSSIWADPYWKNPQRSLFYLDEGYTISALLCFCNFGLRPPSPHSTPLEESEECSWAPIFVRQSNFKLPADPTVPIVMIGPGTGLAPFRGFLQERLALKEAGVELGRAILFFGCRNRKMDFIYEDELNNFVDTGALSELVVAFSREGPTKEYVQHKMAQKAAELWSIISLGGYIYVCGDAKGMARDVHRTLHTIIQEQGSLDNSKTEGYVKSLQVAGRYLRDVW >Dexi3B01G0010360.1:cds pep primary_assembly:Fonio_CM05836:3B:7221143:7225656:1 gene:Dexi3B01G0010360 transcript:Dexi3B01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRRPAAGAKPRSGGGAGRRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGIVVPFKLYERFTELEYLILGLVSTVPAFLIPLFLVGKADSVRSLKDRYWVKLNLKHILSSQANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLHHSTSHLPQSVRWLFEAAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFFVSFPMFSRIDETDEKWNLSRVAVDSLGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQAQI >Dexi2A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:2A:13738686:13744111:-1 gene:Dexi2A01G0011810 transcript:Dexi2A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKKVGNLVKQSTGASSALYQAVRCMSSSKLFIGARIIMDRETGRSRGFGFVTYTSTEEATNAITGMDGKDLQGRIVRVSYAHDRGSRAGGGGYGGGGYSGGGYGGYGGGGAGGYGGGGGGGYSGGGGYGGGPYGGSGGYASGGSGSGYNDGGNIASGYNTGGSYGVSQGGQGGYGVGAGYTGGYNAAPGSYAGESFNQGGGAPSAYGGGNYGASNTYADNAPNNAAAGKLDDLLGDLKVDGAGEAEGQGEDLGFADEGIKEEGQDKFAQDDFKDEDEPNEANK >Dexi7A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:7A:23742438:23744483:1 gene:Dexi7A01G0013780 transcript:Dexi7A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECRQSVCRRAEPARQIVAPITPVATPTIAHYASTGPEQAPGPPRFIGVGTSRNQTPSTAVPANLAPPPLDNQLPPPAPSPSGAASPSSRDCPAYFRWIHEDLRPWHHTGITLDAVEGARRRYAPKFRVTVVSGRLCVARYGRRCFQTRDVFTQWGILQLLRRYPGRVPDLDLMFDCEDRPVVDAVDRQQPPPPLFRYCGSETTLDIAFPDWSFWAMVGAEKGDGGRERHGKLDGQSAVRVLEGEPERRRRTRFLLRCNVSGERDWNARIYAQVHSKLPESYKIYIEGRGWSVSEKYILACDSMALMVRPMFHGFFSRGLAPLLHYWPVRDAGFAMCRSIKLAVDWGNSHTDKAREIGGTASRFVQEDLAMERVYDYMLHLLTEYARLLRYRPAVPRGAAEVTVESMARGRRGLERRFMMDTMVDGASGGGGPCRLQRPFDPQELEGLRRARAVRQVEAWENH >Dexi9A01G0041460.1:cds pep primary_assembly:Fonio_CM05836:9A:45138510:45146289:1 gene:Dexi9A01G0041460 transcript:Dexi9A01G0041460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDRSRRAVEAASRGDRVSLERLCSNARSSSSPPPRHSGRHEPGGSASPQSPLPNHHSELHFFQNMGVSRVFFVVLVLLCILVREGSAATFTFLNRCTGTVWPGIQSNAGSSRLDPTGFVLPPGTSRAVPAPSGWSGRVWARTGCAQDATGRIVCATGDCGSGTLECDGQNAATPATLAEFTLAGGNGDDFYDVSLVDGYNLPILIEPAGSATGATTCAAAGCTADLNARCPAELRTEGGAGCRSACDAFGKPDTSCHEGFTAVGLVVNVMASWAGKAILGEVREKRKGTERNGVKSSGGPTPTGPTPTLPGSGATPQMPRPEQGGPDGQGVMLGDTSWLASLATGDVSSAPPTTRPATLALRASLLPLLLLGLML >Dexi9B01G0041630.1:cds pep primary_assembly:Fonio_CM05836:9B:42084122:42084626:-1 gene:Dexi9B01G0041630 transcript:Dexi9B01G0041630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAARALKSVSRAAFSWKPTGRPQQTLAAAVSMSGVGLHSGARVTARLLPTYAGEGRYFLVEGEEEARVAAEVGNADPRSQLCTTLRRCEGGGPRVRTVEHLLSAMEALCVDNCRVEVSGGDEVRGRLLVGRRILCWK >Dexi8A01G0001460.1:cds pep primary_assembly:Fonio_CM05836:8A:1038452:1039545:-1 gene:Dexi8A01G0001460 transcript:Dexi8A01G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPASESSSIIPTTTAEVAGHVGEHHHVDMIANNNPLSKNNYAHINPGEEVTQLIARRSPFAATIDGDSSSSPYASSMDNISKLLNGFMKSSSPQNGATDIKPSATEINPLLSFNHMSSSTKPSPVFSDILPLPPPQQPALMGHRSYYGDELKQQHQQGALSPIEKWLFEEATEQVVDLMDLSDDGCCSVPMMF >Dexi5B01G0028020.1:cds pep primary_assembly:Fonio_CM05836:5B:29522438:29522851:-1 gene:Dexi5B01G0028020 transcript:Dexi5B01G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSAAGAGKSLFQGLRKFLKKPWEITGPCASPEYRSALPGALEYREKCPATVRDDRDKAIVPTSDPETVYDIKYFVRDRRRNRPPVRRTLLRKPDLERYMAAKQFDPTKDFPVPYVNTAVEEDYDAVGGGYQK >Dexi7A01G0019630.1:cds pep primary_assembly:Fonio_CM05836:7A:28757567:28759372:1 gene:Dexi7A01G0019630 transcript:Dexi7A01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLMMHIMVFRLLFGFVLPLFPSSVLSNQLEFGLQSSSSALPNPSGLRVGFYQYTCPNAEAIVRDEMAKIISQVPSLAGPLLRMHFHDCFVNGCDGSILLNSVLGLPSEKEAIPNLSLRGFGTIDRIKAKLEQACPGVVSCADILALVARDVVVLTKGPHWDVPTGRRDGRRSVKQDALDNLPPPFFDAGRNLYQFFIPKGLGAKDQVVLLGGHTLGTSHCSQFSDRLYNFSGTMMPDPSMDKRYVPRLKNKCSSPGDTTTLVEMDPGSFRTFDASYYRRVAKGRSLFTSDQTLMNDPSARAYVQRQAAVADAGAFPAEFFADFAASMVKMGGVQVLTGAQGEVRRHCAFVN >Dexi2B01G0025450.1:cds pep primary_assembly:Fonio_CM05836:2B:34701106:34703488:-1 gene:Dexi2B01G0025450 transcript:Dexi2B01G0025450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGKPAQGEEGKAAEGSIGGYESLHRLLEANLSPELFQEASRLLLGLNCARPLETISLPEATTTIAETHNFDVQAFCFSADKEFLRQPRVVRVGLIQNSIAVPTTCHFADQKKAIMDKIKPIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQELAQKYNMVIVSPILERDVNHGETIWNTAVVIGNSGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLIISDMDLNLCRQLKDKWAFRMTARYDMYASLLSEYLKPGFKPQVIVDPLINKRA >Dexi1A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:1A:25345147:25347806:-1 gene:Dexi1A01G0018080 transcript:Dexi1A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEEPESFFATAPPLRDAGAVAAKLQEFVARISSHASPEIGGRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTDGSCQPFCRFLPDDSFLSFFDVTADSKVQVVESHTTVVKKAIGEYSKAIEGGSLLKLPFTTIFEYLQLLKMVATSMSSVGLHGMFYLAAAVSDFYVPWDSMAKHKIQSAGGPLDMRLSQLETDSDILIQKAEMALSKYKMNVVVANLLATYKEEVVIVSNGERNSIRRCNKDEDLEEHIIKLLEKSHSKYIYSSTDGCNKNDCETLIPIGIQTLA >Dexi5A01G0040050.1:cds pep primary_assembly:Fonio_CM05836:5A:40350934:40351914:1 gene:Dexi5A01G0040050 transcript:Dexi5A01G0040050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALCVVAALVAVCAAAASVAAQSSAPPPVPLPSNYHVISPGRFKRDQQLACNDDKTNKTSCMAKCDRRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCILSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFADHRLYMGAKKTAKWSNDVDRLELAFDGAPVDIPTEAGAVWESATVPGLTITRIAATNGIRVHLKGMLDIMANVVPISEEDSRIHNYGVTEDDSLAHFDLGFKFLDLTDDVHGVLGQTYRSDYVNQLDVSSKMPVMGGAPKYVSSDIFATDCAVARFRAAGISMVTARAY >Dexi9A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:9A:1762442:1763363:-1 gene:Dexi9A01G0003340 transcript:Dexi9A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILAVPTSKGQALADEYGIKFFETSAKTNLNVEQDRTIKIKAEGESETTDAQKSACCGS >Dexi3A01G0003090.1:cds pep primary_assembly:Fonio_CM05836:3A:2024605:2026785:-1 gene:Dexi3A01G0003090 transcript:Dexi3A01G0003090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIKITRVVAALIRLLYYYLVAAAALGRSYTGTLCGDLLAGAMAHSWRLLVQGLTSLMFLCAHADEYVRPPPSPLVHISVVGANSMRISWVTDDRSAPSVVEYGRSPGKYVASAAGDHTTYHYFFYKSGAIHHVTIGPLEPGTTYYYRCGKAGDEFTLRTPPATLPIEFVVIGDLGQTGWTASTLSHIGGGDYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEIETLPIVEFAPFVSYNARWRMPSEESGLPSNLYYSFDVAGGAAHVVMLGSYAPFGEESEQHRWLRRDLERVDRRRTPWLLVLLHARWYNTNQAHQGEGESMRVAMERMLYEARVDVVFAGHVHAYERFTRIYDNEADSQGPMYITIGDGGNREGLALRYENVLSDQVIDEYGRKA >Dexi9A01G0015800.1:cds pep primary_assembly:Fonio_CM05836:9A:10728194:10729028:-1 gene:Dexi9A01G0015800 transcript:Dexi9A01G0015800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVVLPEPPPPCGNWNGKQVAASPALQATAVGTSLFVRRQNKNKVICVQSGAGAPGEFIEATDKLATLFAACLPGPYGHPAMAPWPRSLAFASAWMMGRAREGMQLLPPEQIGVEASESSAALRLLTTETKDGTPCIAIASPPQNNTATHSTILVP >Dexi2B01G0021900.1:cds pep primary_assembly:Fonio_CM05836:2B:31554960:31556065:-1 gene:Dexi2B01G0021900 transcript:Dexi2B01G0021900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERSEQSIHVLLLPYPTQGHINPILQFGKRLAARRGVRCTLAATRFVLSQSQPSPGDAIRIATISDGCDTGGFEEAGGVEAYLARLETTGSETVDALLRSEAALGRPVHALVYDAFMPWAQQVARRHGVASVAFFTQPCAVDVAYAHAFTGAIRPPIAEEEGPVELPGLPAGRSAVVSGRARLPRVPGHAGEPVQWPRRRRSILVNSFHELEPQESDYMASTWRAKTVGPTVPSAYLDNRLLDDTSYGFHLYTPLTATTKAWLDARPPRSVVYTSLGSLSAPTAIQMAELAEGLYNCGKAFLLVVRASETTKIPENFADKAKERGLIVTWSPQLE >Dexi1A01G0016450.1:cds pep primary_assembly:Fonio_CM05836:1A:23649266:23652089:-1 gene:Dexi1A01G0016450 transcript:Dexi1A01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSSEFSDEHAKPRRSCPPKFPAMAKAKVGKVNSKRPVPPLQRRKVGGRWRPVAAGGGLALAAAAYVGVDYLRHLSPAWHGRLRPALWAALALATAARAPFYRRWDAELRAAPRFLAALAFMLAALLCEAIAVRFTNTVLGLQWHRSWARNRLKKFYAPYASDRMMIWRVMKQDMPFAALQDYPDEYKPEWGLMSFLVDILRPSTGEGPSWYDLLKKSSGGCSDLLYSGHMLVAVLTAMAWTEAYGGWSSVVIWFLVVHSTQREIRERHHYSVDCIVAIYVGMFLWRMTRFLWSARETNRSRRIAKLDEVQNRLFRAAKDSDIVEIRSLLNAVELAGQEKKGFSQRIIFTFAAAIIVFILLFILLAFALTNDG >Dexi9B01G0048170.1:cds pep primary_assembly:Fonio_CM05836:9B:47186323:47190747:-1 gene:Dexi9B01G0048170 transcript:Dexi9B01G0048170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDAVLEAVEKQEPAPRLASTFAELGICKELVEACDLMGWKEPTRIQAEAIPHALQGKDLIALAQTGSGKTAAFALPILQELLDNREVQHSFFACVLSPTRELAIQIAEQFEALGSAIGLRCSVLVGGVDRMQQVLSLGKRPHIVVGTPGRLLDHLTDTKGFSLKKIKYLVLDEADKLLNVEFEKALDDILKEIPKDRRTFLFSATMTKKVNKLQRACLRNPAKVEAASKYSTVDSLKQEFYFVPADYKDKRLGALNRFKSKDCNILICTDVASRGLDIQGVDMVINYDIPMNSKDYVHRVGRTARAGRSGYAVSLVNQYEAQWFVLIEELLGKKIDQCKVDRDEILLLKEHVSDAKRIALTKMKDSGGHKKRRKVGDDDDEVEDHAHSKRSNSFKRSNRR >Dexi9B01G0015150.1:cds pep primary_assembly:Fonio_CM05836:9B:10250145:10269902:1 gene:Dexi9B01G0015150 transcript:Dexi9B01G0015150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFAARLLTWFLSRVLSASVGFRVAGFNCLRDVTIKFNKGSVESVSIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSSHNNKLRKSAKPRSTGKGKWLVTSSMARLLSVSVTDLMIKVPKGAIDIKELTVDTLKIAGPNHILGVKSHLLPLNVHFGDLGLTADPTGSCNLHDAFQSDQASVSNSEKSLAPFVCEDLLVTCDLGHEKEKGIKIINLELKCGHVIANIDERMFHKQSIPEYNTVSSNTGDAIMDTSAFKQTSKSKSVLPALKKQMLTFPDKLFREGSSSLLEVLKVFAIATFDVPVDPFLPIRAEIDAKLGGTQCNVMLSSLMPWIRLHSLRTRGMKLSKRNSNQELSQKKEFKIILWTCTVAAPETAIVLYSVNGLALYHQTDGHVDVSAKEFKMYISSIENITKKCRLESITKDLSSGKMADDDFPTMNLGAHDLAWDTGRSLEISYVRSEFEDDSESDHNLSEPSDDDDDFNVVLADNCQRVFVYGLKILWTLGNRDAVWSWVGGISKAFEPPKPSPSRQYEQRKMIEKRNAEGSKLVQDASSSIHVGSTSVQPVDASGSSSPFHSKANCSSEKDVKRGIFDDSDKGGTPQFMVNVYTPQFNLQGPEANVKPLKELCFNSPDITATMTSRQFQVMFDVLRNLLLARLPKPRISSLQYPSDDEDIEQETDEVVPDGVEEVELAKINLEQMDREMKLVLDDIRCLTGCGDNGTDYCYSLDKGDCSWIISTTKTSLVKPLGLDSVAGLNKDFEKLKTSRKDGSSKLREALQKAAQPHLMEKEKNKTLSCAMRISMKISKVVWSMLADGNTFAEAEINDMVCDFDRDYKDIGVARFTTKYFVVRNCMANAKCDTLLSAWNTPPGKINMLRVDAKQGAPKDGNSPLELFQVEIYPLRIYLSEAMYRMMWDYFFPEEDDSQRRQVSKFQSIKANMVCGSHQEFRRPSSFERTWDEIAAESVISNDVVSLVNSSTISSKADVKNSLSENPVVGTDLRRSRIKDSKPAKSGRLSHEDKKVGKSNDEKKTRARKSMEFRNIKISQVELLVTYEGSRLAINDLRLLMDTFHKSEFTASWLKRPGDGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGDGHNDEYQEEWSESDGEYPFARQLTITKAKKLIRKKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHE >Dexi9A01G0006970.1:cds pep primary_assembly:Fonio_CM05836:9A:3940322:3942757:-1 gene:Dexi9A01G0006970 transcript:Dexi9A01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGHMPGDKTVGHYDDAFTTFFSQTGAGKYVPLGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPNYSNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPANMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGGDEDEEDEEY >Dexi3B01G0000690.1:cds pep primary_assembly:Fonio_CM05836:3B:605594:614582:1 gene:Dexi3B01G0000690 transcript:Dexi3B01G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPLVVSSCNGCRSYPPPPRHRRFPGDPRPTALPPLPLPSLRAIPLLVPLPRLLRRRNVSAAYGDDDMDDDFGDFDADDADGVGDEDDMDNEQDYDVDYDLLLAPVKPPLPSSLHGEEGDIAMVAADSFVSTQDSASDTVVDYAVDEDEFHKIRLLHCDFLIRKVPDPDDDVYDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKDFGRYNVTEPPVEHLRDPLYKTERETMKANKTSILADASKYIKDLKEKVEEAAAASSAADGSSSTSGSAMSATVSVSSVELDSNSSSSCRRRGFRINVSMERSRPGLLVLVLEVLEELGLDILDADISCADHTAFRLQALGSGQGESVDEQMVRQAVLQAISKCMDHD >Dexi9A01G0005220.1:cds pep primary_assembly:Fonio_CM05836:9A:2877692:2880423:1 gene:Dexi9A01G0005220 transcript:Dexi9A01G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGAVTGKLSIAETFSRLREQGKKEFSLRGISNLGNFQTAFIPFITAGDPDLETTSKALRILDSCGSDVIEVGVPYSDPLADGPVIQASATRALKKGTTLDSVIGMLKEVIPELSCPIVLFTYYNPILKRGVRNFMATIRQAGVNGRFPCIASHADYGCLLCYYKMFLVPASFVYRLVVPDLPLEEALFLRSEAIMHNIELVHLHAHLLIYCSHIISSCVALWFRTKLLLEMRVLLTTPTTPKERMVEIAKASEGFLYLVSAVGVTGARSNVNLRVEHLLREIKKVTDKPVAVGFGVSTPEHAKQIVGWGADGVIIGSAIVRQLCEAATPEEGLKRLEECTRNIKAAMPLR >Dexi4A01G0000670.1:cds pep primary_assembly:Fonio_CM05836:4A:425203:428050:-1 gene:Dexi4A01G0000670 transcript:Dexi4A01G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSMPLISRTRAGWWRRQSGRVRPNPTSSRLALYARGTTRDRLRRARIPHPSGTIRVAVAAAAAPAPALAAQPATVGVEQQAMAPPPSQQPEAATAVPGAISKVLIVMAMEKEAMPLVYKFNLVEAHASESIFPKGAPWTRFYGKYKDIQIDLVMPGKDAVSGVDSVGTVSAALVTYASIQALKPDLIINAGTAGGFKAKGACVKDVFLASDVAFHDRRIPIPVFDMYGVGARKTLAAPNILKELNFKLGKLSTGDSLDMSPEDEKAIQSNDATIKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGDKPTAEEFLQNLISVTAALDEAVTKVVEFISSGKRCSDL >Dexi5B01G0017180.1:cds pep primary_assembly:Fonio_CM05836:5B:18210086:18221102:-1 gene:Dexi5B01G0017180 transcript:Dexi5B01G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRAARASRSGTGRGATDEPGPAEGLMTRVLQLAAGGSRTTGAGQREFSEVISRTIMANLKDIGLAAGLNILGAVLFLVAFAFLRLQPINDRVYFPKWYLEGARESPSHGGAFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLVGLKIFSPIAILAFAVLVPVNWTNDTLKFSTLQHSNIDKLSISNIPVGSKRFIAHLTMAYVFTFWTCYVLLREYEIVAQMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLSKLVEEKTKMQNWLDYYQLKFERNASKRPTTKTGFLGCFGAKVDAIDYYKSEIERIENEVSHTEAMKKDTLERAREPGFDLKGYLMNAYVHPVFKGDDDDEKFSIADEPEAEQVLVATKRQSRRNTPVPSKYNGSDSPSLPEIVNDQRL >DexiUA01G0004570.1:cds pep primary_assembly:Fonio_CM05836:UA:8670952:8671344:1 gene:DexiUA01G0004570 transcript:DexiUA01G0004570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSCGSSCTCGSNCSCGKNSKHPDLEDQSSVVLGVAPERKLEAAAESGENGCKCGPDCKCDPCTC >Dexi2B01G0034600.1:cds pep primary_assembly:Fonio_CM05836:2B:41837438:41837960:-1 gene:Dexi2B01G0034600 transcript:Dexi2B01G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRRPAATAAADPPTRPPPADAAAAPDEPVLRPPNLRVAAAAAVLFLAPFSYLAFVHYPLDADLRGSILKCGAMSLGGFFIVLKLIPVAARYHLRRRMFGYDINKKGLPTGEIKVLS >Dexi1A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:1A:3958095:3960339:1 gene:Dexi1A01G0005410 transcript:Dexi1A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRLAQAQARETREKLRAAHSELAEEGAIEIVVIKTTGDIVVDKPLADIGGKGLFTKEIDEAVLNGAIDIAVHSMKDVPTYLPKGIIFPCNLPREDARDAFICLTANLLAELPAGSIIGSASLRRQSQILYKYPSLKVVNFRGNIETRLEKLKGGDVHATLLALAGLKRLNMAEKATSLLSVDEMLPAVAQGAIGIACRSNDNKMIEYLSSLNHEDTRLAVACEREFLAVLDGNCQTPIAAYAYRDKDGNCSFRGLLASPDGSKVYRTTRSGLYSFQDMVAMGKDAGHELKAKAGPGFFDRLQ >Dexi3B01G0015070.1:cds pep primary_assembly:Fonio_CM05836:3B:10924168:10924464:1 gene:Dexi3B01G0015070 transcript:Dexi3B01G0015070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTALLVAEEDGGSRSVASMTTATTHPRVDNRAARNEIVCIRGDDSTAECSQICRLQRISFRRRGGASRDGGAEKKLKRTRLAGTRVRDQEHLQPR >Dexi9A01G0036660.1:cds pep primary_assembly:Fonio_CM05836:9A:41050822:41054570:1 gene:Dexi9A01G0036660 transcript:Dexi9A01G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRRAALALGLCCLVALAARGADASIHEYGGGGFAPRANSFFFHGGSEGLYASDPSSNSSASFIRYALPPPPPPPPPPPFDSVVFRRTPEAASRHDDMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKYCKFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVLPTLGGITSKVYALGFIYFVASEALELVENLGNINDFSGKTRFSYSEDAGEGADEEGLSLVGSAVKGTGDMVNMHIFPEDKRA >Dexi3B01G0016470.1:cds pep primary_assembly:Fonio_CM05836:3B:11990310:11990708:1 gene:Dexi3B01G0016470 transcript:Dexi3B01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGRTYPSPAWPPPWLCSALAIACAACRHRLARTCPRARPADPLSSKAHSGGAIPEHVVLSRCCSDGCSTDDDHGVVKLLVALVLATPDPGSARGDAACLGEVVVPACCWYGCPSDPRGEGQDDGGASVG >Dexi4A01G0018000.1:cds pep primary_assembly:Fonio_CM05836:4A:21906477:21907624:1 gene:Dexi4A01G0018000 transcript:Dexi4A01G0018000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVPSRDMISLGERDEGWDSPFISLRRNGAAGRLIATRSFAATSPLFAAAPMCRPNNRRR >Dexi1A01G0021280.1:cds pep primary_assembly:Fonio_CM05836:1A:28103210:28104178:-1 gene:Dexi1A01G0021280 transcript:Dexi1A01G0021280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMARTLFEIDRRIPDALRAAFKICHLPPPDEIANFAAALLPPLDLTCEPMDCDDPPPNGCLRMVFDSCSIKDHGEDAHFGLAEAGVVGVADGVGSYRGHPGVDASAFARALMTNAHMEVLTSMPGTTHVCPRALLERAHQRTVAAGTPSASTAVIVSLAGGALRWAYVGDSGFVVFRDGRVVRRSRPQQHRFNCPYQLSSKRDGVVAIALAEAGEVPAKDGDVVVVATDGLFDNVTDDELERIVRMGTALGFSPTNMAEVMAGFAFEAARCSYRDTPYSVMGRREGGKAFFTGGKPDDITVVVAYIVSSSDQGRLQFQV >Dexi1A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:1A:986985:991708:1 gene:Dexi1A01G0001500 transcript:Dexi1A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGTGDLRYRATCGVGGGGAEAGLRKATGEAAVVAVAQKQKAVALVMPYDHKNSTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTSTDYMYDIAKVPMLFTFEFGQPIASV >Dexi7A01G0001270.1:cds pep primary_assembly:Fonio_CM05836:7A:3244164:3245305:1 gene:Dexi7A01G0001270 transcript:Dexi7A01G0001270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPPAPFVHVDAATAADMRRRGMDPHELEQSARGVLKFVYMCLPDAPAYAAPVLSAHSAPSDGPEDRISALPFALLRNIVSRLPAKDAARTTALSRRWRPVWRCAPLALADAHLLPGALEGLREPLRADTPGLAVTVSRALAAHPGPFGAVHLVCGYYGDAARQRELARWVETFAAKGVQELVLVNRPWPLDVPLPASLLAVDTLTRLYLGVWKFTNTSALPQRGAGAAFPHLRELVLCAMEMESRDLDFLLAASPVLENLGIVGSRNKVNRLRLVGQHPICLSAVDGVAVVDTPCLERLVLWASRTNGGSCIRLKIGKAPKLRVLGYLNPGNHMLEIRNTVINHV >Dexi6B01G0006780.1:cds pep primary_assembly:Fonio_CM05836:6B:7482059:7482724:1 gene:Dexi6B01G0006780 transcript:Dexi6B01G0006780.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSTLPNATMLRSNGVMNATYQADVDEHLEISLGSIAGMQTLVLTFVSLGNVTTREAIDWALTYPKIVKGITVIARVMNDIMSHEREQATDHMASTVQTCMKQYGVTVEEAIEKLKIVLEEAWMDMMQDYLEQKYPMTLLKKGISFGQSIDFFCKREDLYTLPSNLKATLTSLYVKFA >Dexi4A01G0014770.1:cds pep primary_assembly:Fonio_CM05836:4A:17209849:17210478:-1 gene:Dexi4A01G0014770 transcript:Dexi4A01G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSIDCDGCEGKVRRALEKLEGVHSVTIDRMHGKVTVTGSVSQKKVLRAARRSGRIAVLWPSAYNPAYHNAYAQPAAYYHYQHQTKPAQAQHHYYSSVQHGGSKSGGGGGGGVSAVARKAMAAQYPQGKASSYNYHVHGYFDSDLYGNYHEQPDVVVPAAVRNYFSDENPSACSIM >Dexi7B01G0006900.1:cds pep primary_assembly:Fonio_CM05836:7B:14679964:14680961:-1 gene:Dexi7B01G0006900 transcript:Dexi7B01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPRRKSSSSSSNSSHRPISGFRGGRTGTLTGADWWYGGADPAILGLAIAGMGREAEVGDCEGDSALPLPVAAAEAAAMVALRRSRVPALAAAAAAAVPPPPAPPRTRRRLSPSCIASAARRPRCPGGAPRPVGLLVSVGGGGAAASGDEKRKSSSWSSSPATNEGSVGDDEGVDGDENIPMNRSPAGDRRVRCSSIARSLAPVATTRGRGRGRMRMRGPKSAPSPPSGGAGDLVVVAGGGRRRGRCVFLWVWFLWASAPRDPPRWSGGERGARRPAPHAAAPLGCFLLGSGHTLTRSIRRRGRGCLAVAATDMGEGRPRG >DexiUA01G0017420.1:cds pep primary_assembly:Fonio_CM05836:UA:36743915:36746319:-1 gene:DexiUA01G0017420 transcript:DexiUA01G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVVSSSAASMVAAAALLVLLLSLHLAVVVVGARGVQSQPSYRGGGGGGGPCALAVTPLGYPCEEHQVTTADGYILSLQRIPRGRGGGWPAGGGSRAGQPVLLQHGVLVDGMSWLLASPEESLPFILADRGFDVWIANTRGTRWSRRHVSLDPSSRLYWNWSWDDIVVNDLPAMVDYVCKQTWQKPHYVGHSMGTLVALAAFSEGRVVDQLKSAALLTPVAYLAHITTPIGILLARAFVGELLSDLLGVAEFNPLAPPVSNLIRAFCRKPGMNCYDLVGSITGKNYCLNSSAVDVFLKYEPQPTSTKTMVHFAQTVRDGVLTKYDYVLPEKNIASYGQADPPAYDMSSIPASFPLFLAYGGRDSLADPDDVRLLLDDLRGHDRDKLAVLYLDRFAHLDFVIGVCARDYVYKDMFAFFDRFN >Dexi3A01G0010280.1:cds pep primary_assembly:Fonio_CM05836:3A:7342742:7346220:-1 gene:Dexi3A01G0010280 transcript:Dexi3A01G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAAAAGPASATWTVASAVLRVQMALLDGAASSNEPLLHAAASALLSRADYDDVVTERTIADACGNPACPNPLPSAAAGPRFHISLREHRVYDLEEARRFCSERCLVASAALAASLPADRPLAVHPDRLEAVVALVEGGGAGVGQGLGFRDAGGKKKENEGRELEIKVKDVAGAGEVTLQDWVGPSDAIEGYVPRRDRNTEGQRPEAKQNKVAGPEQSRIENVDSSNAASGEDGKASSSSSVESRITSEGMAEKMSSMVLSENVNSAGKKIAQTPSKMLEEEDNNILSSCISDSIAKQLENVVLEEKMGSKKKKASKESSRAQKSKFRKRPGAGDGHEVDFMSTIIIGDASTNREQGTMNQYNYSPSSILMDNYASSQSAAKDSPQDYAEQLYKEFNETLSIGKDETSDEKMKPALKSSMKAPGSKSGARSVKWADANGSVLETSKLYENSSSSVKQPEEAVDISLRRASAEACAAALVEAAEAICSGTSEVDDAVSKAGIIILPDMLNEKQYNNDNSSDRDDESQIDRDVQKWPKKTVLLDTDMFEVDDSWHDTPPEGFSLTLSGFATMWATLFGWISRSSLAYVYGLDVGSVEELLIASGREYPEKIVLKDGHSAEIRRALDTCVCNAVPVLVSNLRLQIPVSKLESTLGYLIDTMSFFDPLPSLRSRQWQLVVLVLLDALSIHRLPALAPVLSDSKLVHKMLNAAQVSREEYDSMVDLFLPFGRSIQTPMQI >Dexi3A01G0003010.1:cds pep primary_assembly:Fonio_CM05836:3A:1974193:1977030:-1 gene:Dexi3A01G0003010 transcript:Dexi3A01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVRPSVVGLDFPASTPPLEGSGGENGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDEPKPETAASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDVGEGKDLDLPECPRAKLRKQCIKYLGPQEREHYEYIINEGKIIHKQSGEPLDTSQDPKGTKWIFVMSTAKRLYAGKKERGVFQHSSFLAGGTTIAAGRFTAENGIIKSIWAYSGHYKPSAENLNNFMNFLKENGVDLKQVEVIALIFDKLKYAFFTISD >DexiUA01G0017010.1:cds pep primary_assembly:Fonio_CM05836:UA:36173474:36174918:-1 gene:DexiUA01G0017010 transcript:DexiUA01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVHFLALLLAFGALEAAAGATNGTSPVDGMIRGRSLGSSSQSVFSLDRYVGRGDGRHNDTQALANAWKAACASPRPAIVLVPGGNRYLLKLVRLSGPCKSSVTLTVKGALVASPNMADWSDKDRRHWIVFRSVDKLIVNGGGAIDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTSLRVEDLKIVNSQQIHMSVEDCTNVQLARLSITASGTSPNTDGIHITRSRDVKVRNCKIKTGDDCMSIEDGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCGQQRSAVEVSNVVFKNIRGTTITKDAIKMNCSKNVPCHGITLQNINLKMEGGKCAIRSTCQNANWRKSGTVLPQPCTAKN >DexiUA01G0018840.1:cds pep primary_assembly:Fonio_CM05836:UA:39532079:39534495:1 gene:DexiUA01G0018840 transcript:DexiUA01G0018840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKLTSLEELVVQCNEDRDKDYEGQFKELGSLSELRVLTIKVIEMTQRMFSDLLQSIGNLHKMQSLKIERHDYSYGLQNATFDAVALPQHLRHLLVGVHCFSRFPSCINPSSLINLSHLEIKVNDMDEQSMQLLARLPELRHLELSTKSKSKVTMHSKALLLN >Dexi1B01G0031360.1:cds pep primary_assembly:Fonio_CM05836:1B:34980487:34980711:-1 gene:Dexi1B01G0031360 transcript:Dexi1B01G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITDYCMPEMSGYDLLKRVKETAELREIPVVIMSIRMCLEEGAEDFLIKPVRPSDVSRLCSRLIAR >Dexi1B01G0021090.1:cds pep primary_assembly:Fonio_CM05836:1B:27050499:27052781:-1 gene:Dexi1B01G0021090 transcript:Dexi1B01G0021090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGAAEGDTPSQEPVRKGRSNTILLPIVGILFAYLLYRFLRPRLRGIRLDRYLPSWARLPGWLRRRTSGGGRTSTALLPYFAPIADRLGALPYLGPFAERLGVGQQGGYGGHGCGGGAQALVKFPGGEALSVAAILEAPGEVVAKSAHSTLYRAAVRAGEAAVLLRFVRPACAVGADEAYAAAGRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLHRFLQEGIAESQRWNIVCSLSLGIAKGLDHLHTGLEKPMVHGNLKTSNILLDANYECRVSDYGLYLLLNPGAAQEMLEASAAQGYKAPELIKMRDATRESDIYSLGVVLLEMLAQKEHTDDDGRPNPRDILLPASFKNLVLERKISEAFSSDLARHCRRSGKERNLNAYFELATACCSPSPSLRPNTKHILKRLEEIAR >Dexi1B01G0025080.1:cds pep primary_assembly:Fonio_CM05836:1B:30250206:30251546:1 gene:Dexi1B01G0025080 transcript:Dexi1B01G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFNATGSGQMLFLDCGAGGGATGGLFHRGGRPMLGIEEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDVLLQDNHRLRSQVASLTEKLQEKDVTEGGASAAADAAVDAKASLAAEDMEEPAVAEAAAFEAQQVKSEDRLSTGSGGSAVVDADALLCGGQFAAVDSSVESYFPGGGEDHHYNGCGMGGAMNHHGVGGGIQSDDDGAGSDEVCSYYPEEDEAAAAAAFFAGQAHHHADDDEDAAGQMSWPWMWS >Dexi1B01G0002230.1:cds pep primary_assembly:Fonio_CM05836:1B:1830108:1830842:-1 gene:Dexi1B01G0002230 transcript:Dexi1B01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHGYGGYGYDAGAYASTGGYGYDAGAYASATASAGGSYYSSVYYPPPPAPAAYEEAGRRRAQDLPAPPLDGVELKPSEACPKNYVIFDQTSTSSWVTFHPSLAHRLTTTGGSSSSATAGHATGAAHDDDLCSPVRHKEDSAEIDALMMSSEDGSGDDDVTSTGRAPGNGGGSSPDSTCSSTCGGGGGGMPGRKKKDRIKKMMRTLKGIIPGGGQMDTTAALDEAVCYLKSLNVQANKRRGS >Dexi1B01G0002060.1:cds pep primary_assembly:Fonio_CM05836:1B:1738928:1739836:-1 gene:Dexi1B01G0002060 transcript:Dexi1B01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPLTTLRPSFVSSTPSSPCCMAALPRRRRRWCTRIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNAAKRENTQPCFPCSGSGAQI >Dexi8A01G0015100.1:cds pep primary_assembly:Fonio_CM05836:8A:25982052:25990755:-1 gene:Dexi8A01G0015100 transcript:Dexi8A01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSYYQTSAPVRSQVQHQEHLFHLYAHQQMGNNEYNIVPAVSGVPNVLGQSNICDWDIRDVPDTKGTVVARLQGIGISSRKSYQSWHNTFNVVFTDQRFKGSTLSVQGLIGPATLGDEGDLAVVGGTGEFVYAQGCTNVIDWDIRDVPDTRANVVARLQGVLMSAGKSGKEMWYSSAYLVFTDQRFNGSTLSVQGPLGPPNLGDKGDWAVVGGTGEFVYAQGICSYKRIQGTSGGGLINELLIRVVCITCPKPVQVQKIGPWGGNGGNAYEIQDGELPQRLESLSIYAENFIQSIAFSYTDQTGQKRTIGPWGGDDGKSEYPVSRL >Dexi3B01G0019620.1:cds pep primary_assembly:Fonio_CM05836:3B:14629173:14630108:-1 gene:Dexi3B01G0019620 transcript:Dexi3B01G0019620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGNNLANEDQLAASRCPKAEGPAATGAGAVVAKSPPVPSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQQEIDKLPPLQFPPPQPHHHHQDLVAHLSSSMVAPPFAPSSAAASGGASAAAMAVDGGGGGDKRHCHGGGIKGLVGLGNSGVGLVNGGGAMPLAHGLYYTAGEAWSNNGGGVHDHQVSHGGGASPQTVAHHHHSPFSSLLSLAPGPQLVFYSPEGGGFAMKEATDHHQFPVDNLDHSQGGQLSLSSSARSFLHPGSQG >Dexi2A01G0018390.1:cds pep primary_assembly:Fonio_CM05836:2A:30439752:30440811:1 gene:Dexi2A01G0018390 transcript:Dexi2A01G0018390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGAVSHGSWRVFSNNNYKESRQSSHGHCWHRPHTTKCICLYLLLSITLMVAIAPVLLVVFITRLKKPAFYLQSVQMDRSFNLQPPSSSSSSSSNRSENNGTSSSACAVASLQFAAQNPNGIGIRYGATVLGVSYANETVGAMDVPSFYQPPRSANVTVLMHAVLAEQNVTRLLVAELSAQRSYMEVRITGSIDARTHVMNFPLPKVQFSLDCRFGTNYTDIVLREGIESLMTRKALLLSSLPHISQKCSIKIDLLRSRRNRASLDDLGC >Dexi1B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:1B:23218359:23219497:-1 gene:Dexi1B01G0016740 transcript:Dexi1B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLGGAFSPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKSNDTIEIDLETNKIVDFIEFDVGNIVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGI >Dexi9B01G0041460.1:cds pep primary_assembly:Fonio_CM05836:9B:41929269:41936695:-1 gene:Dexi9B01G0041460 transcript:Dexi9B01G0041460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRPLLIVTALALLALPLRSHAGGATAAGNLHPLVLLEAMLTAAYVPPAPACVAGQKQGWFQLWPNHSATRDASQAPCFADQMSLVFDAGADDYRNSDGVATRVPWDQLLTQLETMGYRDGETLLAAPYDFRYAVAPRGYPSAAGRRYFRHLGRLLAHARRPAVVVAHSFGCALVYQFLLSRPLPWRRRHVKHVVFLGSALGGFAPGMHALAAGMDYGLEGVARPAMLRLARSQQSALWRLPTPLVFGDRTLAATTTTTYSARNMSGFLEAIGFGEGVRPYETRVLPMWEALPAPMVPVTSVIGMGVRTPETYVYGNGGFEGEPEVVYGDGDGDINMVSLEAVEDWAGVEGQDMEVVRLPGVRHDGFFTVDFAVERVVRRNPVTLRLAIGQDGPDPSLDHHITNLMHRQQLHPPTSTMNPSLVDRIYYLAGRCQHRRQIQGTPALRSRSRKGLQVVGTVRRVASHDAQRATRDRQWSCQATNRPRWHLPGTYNTMGAPLAGLLSALLVLLPPPLRDHLLHASHRRHPADGLHPIVLIPGLICSDLEAQLAVDYKPFTPGCHRLKRDEWLGLWTNRTWMFDPEQAACFLELMRLAYDPDLEDFRDTPGVTTRVPGFGSPHGFGSKHPDHPEYCLGALRFALERLGYREGETLFGAPYDMRYAPPMPGQTSQSYSLYFSRLMKLIEDASKRNKGKPAIVFGHSLGGGVAFEFVRNTPLPWRNRFIKHLFMAAPTWTLEISLANLPSPEVFGDKPLVITRQRNYSAYDIADLLAAIESTNGLSAFRDREIAKMEYFEAPMQLVYREDNFDDDPLVMYGDGDSPTMGAPLPGLLSALLLLLPASLRDHLLASHRQPADGRPGVGLHPIVLIPGISCPNLEGRLTEAYQPSTPGCGLLKGREEWFGLWTNTTQTFDTDQAACFVEQMRLVYDPDLKDFRNMPGVVTRVPGFGSSRSFWSKNPDHPEYCLGALRSALEKLGYREGETLFGAPYDLRYAPPMPGQTSQAYSLYFRRLTRLIEDASKKNQGKPAIVFGHSLGGAVAFEFVRNTPLPWRNRFIEHLFTVAPTLSEGHVLTLASFISGPVSLLYVPSATRESLRSMWWTLEIAVANLPSPEVFGRRPLVITSQRNYTAYDITELLATTGSGNGVIAFRDRERAKMDYFEAPMVPMTYMNGVGVQTPEQLIYSEDDFDRDPQVVYGDGDDTINLISILAFEEKVGMQPGQRERFKSVKVDKVSHSALVTDEQALKIIVGRIIEINR >Dexi7A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:7A:24141295:24145741:-1 gene:Dexi7A01G0014150 transcript:Dexi7A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCRHRREGEWRRWSEDKFSLFQLGNAAFSYTDCQSLFFAAGGFGSFLLGLNKRTYEMAGVETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKVAFQIMIIDYKLTYPSGTATAVLINGFHTTHGDATAKFFFDFSLTYVGAGMICSHLVNLSLLFGALLSWGVMWPLISDMKGDWYPADIPESSMRSLQGYRAFICIALILGDGLYNFVKIVSFTVKSLVDRSRLKNAKKEEDIPVLDDIHRNEVFTRDGIPTWLALCGYLALSVVAVFAIPLMFHEMKWYYVVIAYVLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTAMGCVIGPLTFFLFYKAFDIGNPDGYWKAPYALVYRNMAILGVQGFSALPLHCLQLCYGFFGFAVAANLMRDLLPPKYGKWIPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMFDRSKASLMVPAVASGLICGDGIWIFPESLLALAKINPPLCMAFRSTH >Dexi3A01G0029050.1:cds pep primary_assembly:Fonio_CM05836:3A:30389860:30390165:1 gene:Dexi3A01G0029050 transcript:Dexi3A01G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQRLLIIFNLPPAPAATTSRTAPAAAAAVAAHANRLEFNAPATSTTLLGIHHRPAPAALPRRLRSTAVTPKPPNPEP >Dexi1B01G0022610.1:cds pep primary_assembly:Fonio_CM05836:1B:28303161:28303589:-1 gene:Dexi1B01G0022610 transcript:Dexi1B01G0022610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYYSSSFFSIEPLDCGETCRCAMDACSRCGKRLAGDLDIFMYRGDTPFCSEECRHRQMVSDGMGASGKNKPCKTERPAMKEQPAGAEPARVQIVANVPVAI >Dexi3A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:3A:8161683:8164525:1 gene:Dexi3A01G0011410 transcript:Dexi3A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSAISFLDLPHREKIACKCDVNDGLIVKQVSEGSVAEKVGVRRGDIIKSWNNENISTTIELENFLLDMCKKHLDKGNGIGSSVDLSIGIFYIRKDSHDTFKMSVNVSDDIEVVAEGVYPVTTKDCALVEGDTDDGLIYGKAPTDDEDDEIDSYRA >Dexi6B01G0012880.1:cds pep primary_assembly:Fonio_CM05836:6B:20608391:20616341:-1 gene:Dexi6B01G0012880 transcript:Dexi6B01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFTVDHARADFLSLSSSSASRGLVLLSDTRKATGGRNYWVCNPSTGECRALPHHRHCGLIPSSAGLVLDGRTKQCKVVHLFFKLSMFGGLGCEVYTLGDAGRRWRPPIAGLGSLEDNTKNVMRALETEEAVTKIPPVAADGFLHWLIYCPSGGGGGGTPGPHQSRDDILRFSATDESFDFISAPCCVGSGEDDDVWLELEECIPAVPFHLAELRGSLCMVHDLRRRRRGQGGSSIDVWVQRCGGDWSLDYRIAVTPLLGRDVHSPRFITVLGCYGGGTSSGKKVLATKLLIATSEHKVFAYSPDSGDVETVFSAEETDIGLQKEAASGLRLGMYEDSLFRIGGESHGEKQVSSAVREILLRLPLKSIARSMLVCNEWRVLIESESFVATHMSMERPASILMATNGRARRAFFAFAPLESWLDQAHSAHVGSVADAKIICSKPCNGLNLISTSSDDYLCNPCTGAIQCLGIRGRSRFRPWRRSNQPDGCHPFSIGRNIGFGFDRSTGEHVAVEIGDLGGTLACLLKTSESDAWTCVGTPPMPVTDMPPAHVDGTLYWVGAQTHAMAPPASCQRIAVVAFDISARAFGVLAHEQRFLTSRNSDHDAFLVELNKKLSLVVMDRDAEEVEIWTMCQENLGDQRRTRTRLSNTMHERGAWVDARRLCLRDQPDFSPKTTVMVVPLEASRQDGRILLNTGRALGYYDTRTGAVDTLYSLNHLQLPPSNLAFPMLCQESLVRVPDEMDLPAASPPASSGHNGGFRSCGHPEHANAYNEIGTPRPIFQSCQKAGGCQGSGEIYSTCCKRVICRVCIRQCAEHSYQRDHVPLNNLYTNVIEGIQRHGLPLEHPFVPDPDYYCYYYSAIDAGDVARHVFVSLKDFAQGKEACHLTECAYRMDGHGAVRETWVRRYLKIDSGGEPLT >Dexi6A01G0005690.1:cds pep primary_assembly:Fonio_CM05836:6A:5198640:5201329:1 gene:Dexi6A01G0005690 transcript:Dexi6A01G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNEKKRRIRTELTEAMAADPSVAAEAEAFFRAAPPLRDRDHIAASVDDFVARHSAGKWPPLFPSLRLSYGGAGGPAGVVCITSGGTTVPLEQRCVRYIDNFASGQRGAASTEYFLKAGYAVIFIHRRGSKQPYCRFIPEDSFLDLFELGEDSEIQVPQSHSTVVKISISNYRKAIDEGLLLKLPFTTIFEYLQLLQMVATSMNCLGHRGMFFLAAAVSDFYVPWESMVGSIPNFSLTQIFSQAKHKIQSAVGPLSMQLNQVPKMLFILRKKWAPSAFCVSFKLETDPNILLQKAEMALKKYGMNVVVANELANYKDVVVMVTNSGRMTVRRRSKEEDLEEQLTKLLAKMHAEHASLPKSEDHQTHAN >Dexi1B01G0012950.1:cds pep primary_assembly:Fonio_CM05836:1B:17766400:17767933:-1 gene:Dexi1B01G0012950 transcript:Dexi1B01G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDEKILGFIRGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGGIDREQKAMQYLERRECEYDQKISVDFFKFCIHT >Dexi5B01G0030190.1:cds pep primary_assembly:Fonio_CM05836:5B:31171724:31172257:-1 gene:Dexi5B01G0030190 transcript:Dexi5B01G0030190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQSESPGPVPPPPPACGGSSPASSPPEKRALTDTVPAVDGEDEGEEEQRLPEPKRRRACVAALDSVPSAEAEGAVGPGSGAAAPFSFQHARGGFLAPETTPKFGSFNPPGEDAAEPAVLDLKPAQRGTGAGGEGSVEADDEVPSASAGGAEDNDESAQVVGGEVDGQVQTDQT >Dexi1B01G0006290.1:cds pep primary_assembly:Fonio_CM05836:1B:5182276:5186261:-1 gene:Dexi1B01G0006290 transcript:Dexi1B01G0006290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVKLQARANGGHGGKDQFPVGMRVLAVDDDPTCLKVLENLLNHCQYHVTTTGQAATALKLLREKKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANDETQTVMKGITHGACDYLLKPVRIEQLRTIWQHVVRRRSSDAKNHGNDNDDSGKKLQLASAEGDNGGVNRNKRTSRKGRDDNGDDGDDSDDSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSADASRQANLTAAFGGRNPAYVNMGLEAFRHYNAYGRYRPVPNTNHSQSNNLLARMNSPSAFGMHGLLPSQPIQIGRTQNNLSTSLGNVGGMNNCNLIRGAHMPLQDSSKCFPTGPSGNSFANISNGTTLVPTSNNLPLQSLEPNNQQHLGRIHSSADPFSSFVGESPQFPDLGRCNTTWPTAVSSSVPELGQKDSMSQPNLRVNGPKLEPLSSFTEASSQIPLLGNEMQNHGASLASNGLPMPFNQEPVPFAYGSSTNSREMLNNNLALSNSGINSSLPNLRIDSSIVPRQTLDGGNSGSVPPLQDGRIDQQAVSSQLNYNIDLMGTGRLQRGLSGGLDDIVVDMFRPDRTDDVVHFIDGDWELV >Dexi1B01G0015290.1:cds pep primary_assembly:Fonio_CM05836:1B:21801403:21802755:-1 gene:Dexi1B01G0015290 transcript:Dexi1B01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPRHGGRRALLLAAVLACALAAAATAQHPSPPGIRDDDTDGGSSGQDQRVSTAMVALLAAVVAVFVFIAASTIYLRHCTGYNIDAAAASTWRPATGDDGGLVSSRSWWQRRRRRGSSRGLDADAIEAFPTMTYAEAKALRVGKGVDDDALECAVCLSEFEDGDKLRLLLPRCSHAFHPDCIAQWLAGHVTCPVCRCNLQPTSHHNKDTSISNDGEPAARSFPAPTTVPFASSVSSETAAAAWQDGGPLPVAVVIDVVTEEELRQEEMELQRIGTQRRAVRSSGRQPQPPTTAQLAGRWRSTGDLERYTLRLPESVRREMVAASEHSSLQVRRRGEERGDDGGSGRSEPLIAGRPGTWQTLLGRSLSGKLPFFSASRRMTPTSSGDGEVSSSYTRLRGRRVAAVADGGDPAEGEGATCVLDPAGAASSEVAAGAAADEEKAVKELVWT >Dexi6A01G0017220.1:cds pep primary_assembly:Fonio_CM05836:6A:25056624:25057109:-1 gene:Dexi6A01G0017220 transcript:Dexi6A01G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAGMPVAGCGIPAPMPAAAAASASLASSRGTMSTRKSNTSVRATAAAMSDFWSVRRLFSSACAQPRCVSSRMNISQARAKTTGASAAIMRTCCWAAALCCAAAAAAEGWGGGGGGGGGGGG >Dexi6A01G0000790.1:cds pep primary_assembly:Fonio_CM05836:6A:647208:647690:-1 gene:Dexi6A01G0000790 transcript:Dexi6A01G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAGKRGEATAASGGAGERGKRRRWRRSAEQGREGRRRRRPAEKGREGKRRRRLAATAAAGGEGKRGXXXXKRGEATAASGGAGERGKRRRWRRSAEQGREGRRRRRPAEKGREGKRRRRLAATAAAGGEGKRGEAAAASGGEGKRGEAAASGGEGK >Dexi4A01G0000870.1:cds pep primary_assembly:Fonio_CM05836:4A:629247:629607:1 gene:Dexi4A01G0000870 transcript:Dexi4A01G0000870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDPGHSLCVWTNIPSARARDELVASQNMRCPKSVPTATTVRSASGSTRGAAVITVGVSFLTFHGSSLNNIAPSEEEGSSPSAAARGFPSGAGQDAARMAWVRGADGSSTVAASPT >DexiUA01G0025460.1:cds pep primary_assembly:Fonio_CM05836:UA:53696540:53706161:-1 gene:DexiUA01G0025460 transcript:DexiUA01G0025460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIIGKLVRSLTPRHGADRAFFLVGNRQLSHLCRVGIGNLRAGGWSVVLLWIVHGRAPRHLLLGQFAVEHTARGYVSTPVSEVFFRSGRKRGDWTCRCIVHTSAYYPDQSRPGTNITTFARPENEATRVAQPQYTGMVDHGCVRNMGRLVDMGRRNSICSVEASLGLDYPHLPSFFLPSIYYWREVVRREMDSITANGTWELSELPAGCKPKAKWAEQPSRTPDQAWTEPSGAERLATLAGDGGQRRPISTGAGARPNSTGAWARMDWLYPLQAFKSRGELSLWVRLGWRFLMCSCLLLLLGLDFAVCSGGATLVVLSHALSCVEFVRLLVGLFFVDLGQCTSTPRVAADDVPAGIDSGSVSPSTSRFDDSDIKAVRPAKPKASAAEATAGRSQRSPEAIPPRVQDEAARIGNCDDKVELENPKAQSSGRKVPKFQPNKVSPAMLVAPCPAHDAHLDFVQLRRKNLLLAAAAATTMATPPQNPTTDALRVADLPGRGRGLVAARNVGEGEVLLSESPALLYPSTLASLRSYCAACFRSLPSTPTPCASCRAAAFCSPSCAAASHPGLLCAALSHGAGAGLAAAAPTDAVPPGWHIEWVPSSAEDREE >Dexi1B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:1B:21092643:21093290:1 gene:Dexi1B01G0014720 transcript:Dexi1B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILNSPRLSAALRYAFLIPSTRRFPFPSPTPLPLPSAPRRGRAVSDPAAMAEEQQQQQRWQEGHRRLMCANNCGFFGSPATLDLCSKCYRDLNQRQPTPVAAPAPAPPPAAAFPPPSSSSAAASEAAGLAAACAEPEAAAPAPATGAKPAGRCASCRKRVGLTGFACRCGATFCGVHRYPERHACAFDFRAAGRDAIARANPVVKGDKLKDKV >Dexi2B01G0020130.1:cds pep primary_assembly:Fonio_CM05836:2B:30255695:30258124:-1 gene:Dexi2B01G0020130 transcript:Dexi2B01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRTGMAKRLLSAVLLVAALACSGAHAKFSRHSFPKGFVFGTGSAAYQYEGAYKEGGKGLSIWDNFTHIPGKILNNDNGDVADDMYHRYKGDLQLLKDMNMDAFRFSIAWTRILPTGSLSGGINKEGVAFYNNLINEVIAKGLKPFVTIFHWDTPLALEEKYRGFLSEKIIKDYVDFAEVCFKEFGDRVKDWTTFNEPWTYAQRGYAVGSFAPGRCSSYVSKSCFPGDSAREPYIVTHHIILAHAEAVKLYRAKYQKPQGGQIGITVVTNWYVPNNTNSLSDKKAVQRSLDYVYGWFLDPIVHGAYPGTMTSFLGDRLPKFTPDQVKLIKGSYDFLGVNYYTGYYTTSAPAPNGLEQSYDTDIRANTSGFRNGVPIGPPEFVSIFFNYPAGLRELLLYTARRYNNPVIYVTENGIAEANNKSIPLKEALKDGERIEFHYKHLQYVNHAIRDGVDVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESSYWIENFLKR >Dexi4B01G0002190.1:cds pep primary_assembly:Fonio_CM05836:4B:1376439:1376942:1 gene:Dexi4B01G0002190 transcript:Dexi4B01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAARCCFVPHPRGAIPNRRSPACTTVSAKPRRWTRGPRRDRSWDDDGGSDTEIDDGFFGQEPDDEPEREEAAAGRLASPASEGGQLRGSDVLRALQRAVAAKEAAKKKKEKKPAARRVGKEEKSEGGDVDVGEVRPVVIRPEWAERIRELELRVQQLADRYHHHQ >Dexi3A01G0003500.1:cds pep primary_assembly:Fonio_CM05836:3A:2291677:2292487:-1 gene:Dexi3A01G0003500 transcript:Dexi3A01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAKCRRTWDAGSLWASLPQDLLGTVASRLLAGDLLDYVRLRAVCTSWRSGTTSPRGRGVTDPRFHPRRWMMLPEGHCLHPGHPDLRGYIRFLNLDTGALVRVRIPLFEDHCAIDSVDGLVLLLRDPDQHGAVRLLHPFTGDVVELPPLGTLAFLMDGCPAAYKNRRLAMEVCASVSFDNAGAITVMLALHTCKTGREQVVNYNPQS >Dexi5B01G0008660.1:cds pep primary_assembly:Fonio_CM05836:5B:5879466:5880687:1 gene:Dexi5B01G0008660 transcript:Dexi5B01G0008660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSALALSSTTTRVGLPLVLALRQRPEPRIPAALFRPSTVCSGPLLPELAAGAFPRAGARSTARGTPLFRPRALMTTSQIANAAFTWGTVAVLPFYTLMVVAPNANITKRTVESSAPYVFLGLLYAYLLYMSWTPETLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGLKNNIETRHSVSLCLLFCPVGILVHVATKVLAGAVGRSH >Dexi5B01G0039630.1:cds pep primary_assembly:Fonio_CM05836:5B:38381463:38383965:-1 gene:Dexi5B01G0039630 transcript:Dexi5B01G0039630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTVEQVAREAGSEGSGATALNLSHRALTDVSCLSSFHKLERLDLGYNCLVTLEGLSSCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLSKMDEVASLTNLGALILNDNNISSICKLDHLQQLNTLVLSKNPVFSIGNALVKAKSMKKLSLSHCQIENIGSSLAACVELKELRLSHNKISTIPSDLIKNSKILNLDLGNNLIERSSELKVLSELRYLRNLNLQGNPISEKGSLNKKVKKFVPTLRILNAKPLEASSKNDKSCGTENLPIKDSPVEIDTKKKDKRKQPKQQPEVKTTSPGGTPTTPGKSVLLDGKERKKDKEAKRKKSEVEAHASDSKSKSKDDAGRKEAKRKKFIDEEDIDAEGIDNTEISFADLVFSKQDSAKPKLKDSSTQEVPPAGKFGDLVIDHTKKRKKSKGTVTITDSSALKIISSVPEVGAGGLGLSGWD >Dexi1B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:1B:22218672:22220151:1 gene:Dexi1B01G0015640 transcript:Dexi1B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIAMVSLAVSVAARAASSWLRPGFLRLAALLPVVAFLVAAPLAFSSAVLRGLAAFFLAWLGVFKILLLAAGHGPLDPSLPLLPFVFTAALPVKLRSPAASKSKSTSTMPLVSCAVKVAAMAAILHAYKYASQLHLYIRLALYGVHIYCFLDLLLPCIAAAGAALGMEMEPQFDRPYIASSLRDFWGRRWNLMVTAILRPSVYDPVRARTGKPTAAMATFLVSGLMHEAMVYYLTLRMPTGGEMTAFFVLHGACCVAEAFCAGRWAVKGWAPPPRPLATVLVVVFVAGTAFWLFFPTICREGSEEALLEELAAVTAFFEGAGRKLLLRNDNTHGEGFTPRLLTIGGMGGARYSSGGEEEATQRP >Dexi9A01G0027800.1:cds pep primary_assembly:Fonio_CM05836:9A:32432467:32434248:-1 gene:Dexi9A01G0027800 transcript:Dexi9A01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPLVALAVGACPAAASSPPPWLASPRAAILAAPARLLRSRSGTLQLEAKTAWRAAGGGRGPRVPAKGAVLASYMGAEEVVGPSPQLDEDGMH >Dexi9A01G0014290.1:cds pep primary_assembly:Fonio_CM05836:9A:9361826:9363932:1 gene:Dexi9A01G0014290 transcript:Dexi9A01G0014290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRPRAGIELACACVLHSHLPLAAAATAPCGCPRRREQGVAGAAPPRPPPASSSRPDSSRSQQQLGQLGGEAAAWAVGRGPSATNRAPPPSIRPPPSSRWLGGMRNPASARGGGGLLTPEQFLEERESMLQALFLSCTKLPGVLRLIHHLHSNGIPIAVATGSHKRHFALKTQNHQEMFSLMHHVVMGDDPEVKAGKPSPDIFLAAMRRFEGNVEPILSSLLDFNPSEWGLPVTTF >Dexi4A01G0022040.1:cds pep primary_assembly:Fonio_CM05836:4A:25412597:25413024:-1 gene:Dexi4A01G0022040 transcript:Dexi4A01G0022040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGAGEEEEEEEEDVGVAGEAGGGGADGGVFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEDATGYRAEEVLGRNWLC >Dexi7B01G0022390.1:cds pep primary_assembly:Fonio_CM05836:7B:27338319:27342460:-1 gene:Dexi7B01G0022390 transcript:Dexi7B01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGFGGPGRAPFRAPPNPPPPSASGYTGARERATPTRLLPGNPFLRGPGEVMLAQKTSADGEYYPIQTLGSKYRKTWLRLVRRTLELAGPAFIKWGQWAATRPDLFASDLCTELSKLHTKAPAHSFKYTKKTVEKAFGRKLSDIFEDFEENPVASGSVAQVHRAVLRFRYPSKQTKRVTVAVKVDNFVHADMHPGNILVRVVQPKNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKRQNCPNPKAFIEEVERSFSFWGTAEGDAVHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIQGLMAP >Dexi8B01G0016880.1:cds pep primary_assembly:Fonio_CM05836:8B:28002385:28005326:-1 gene:Dexi8B01G0016880 transcript:Dexi8B01G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGPKVDISFAGRFTASAIAACFAEICTIPLDTAKVRLQLQKNVAAGDALPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGKDHVGDVPLSKKIAAGFTTGAIAIAIANPTDLVKVRLQAEGKLAPGVPRRYAGAMDAYAKIARQEGVARLWTGLGPNIARNGIINAAELASYDQVKQTILKLPGFKDDVFTHLVAGLGAGFFAVCVGSPVDVVKSRMMGDSAYKSTLDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKVFVRKPAS >Dexi7B01G0024140.1:cds pep primary_assembly:Fonio_CM05836:7B:28542569:28544185:1 gene:Dexi7B01G0024140 transcript:Dexi7B01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAPAPPHEGAAAPSPWAEAASSAALRHYRSLPKKGKPQGRESTVLAAFLLSTPQDPHSPAVLSMGTGTKCLGASRLSARGDLVHDAHAEVIARRALLRLIYSEISRGAPPEWLVASGDGGRWRLRDGYCLHLYITQLPCGVMPVPPSESESPREHLDDGVSGCSDIGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQDLVL >Dexi5A01G0029310.1:cds pep primary_assembly:Fonio_CM05836:5A:32433233:32435350:1 gene:Dexi5A01G0029310 transcript:Dexi5A01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTTKTTALPPACGGGFAPGAHREALEFIEHVTANAGQVQRRVLSEILAQNAPAEYLRRHGVSGTSDDAVEAFRRLVPLVTYEGLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLQMPVMSQTVPGLDKGKTMYLLFVKAESRTPGGLVARPVLTSYYRSRQFLERPHDPYTDYTSPNEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAISFLEKHWPRLCRDIRTGVLDPEITDRAVRDAVAGRVLRRGGDPALADEIEAECGRKSWEGIIRRLWPRTKYIDVIVTGAMSQYIPTLEFYGGGLPLACTMYASSECYFGLNLNPMCKPSDVAYTLIPTMCYFEFLPLRSSNNNNANNKAEPSHRDLVDLVDVKLGHEYELVVTTYSGLCRYRVGDVLRVAGFKNNAPMFSFVRRQNVALSIDSDKTDETELHAAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRAGSTAVPASVFEDCCLSVEEALNSVYRQCRACDKSIGPLEIRVVSEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDARVQGKYFSPKCPKWSPGSRQWSNNARVEAISNGDA >Dexi7A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:7A:28418137:28423406:-1 gene:Dexi7A01G0019120 transcript:Dexi7A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWLTILIMNLFLVENRFALRDMPTYFPSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVVVTVVSFGVYSLLGVLRFPLFMLPNLITQAERPTLSNVNVDVPVGSLVAIVGSTGEGI >Dexi9B01G0004940.1:cds pep primary_assembly:Fonio_CM05836:9B:2833337:2834767:1 gene:Dexi9B01G0004940 transcript:Dexi9B01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAIKAAPTSTLSRPVPSSSSLTWRSSSAAKMPARKRKAVPAIRAVAAASAPPAPAPAIRPTTKRSLSVSQTMSRLKAEGKTAFIPYITAGDPDLATTAAALRLLDACGADVIELGVPFSDPYADGPVIQASMARALDGGATTDAVLAMLREVTPELSCPVVLFTYFNPIVRWGMADFAAAAEEAGVRGLIVPDLPYVATCAMRSEALKNNLELVLLTTPATPEERMKEITRASEGFVYLVSVNGVTGPRANVSTRVESLIQEVKQVTDKPVAVGFGISKPEHVKQLAGWGADGVIIGSAMVRQLGEAASPKEGLKQLEEYARSMKNALP >Dexi1A01G0014800.1:cds pep primary_assembly:Fonio_CM05836:1A:21519117:21519404:1 gene:Dexi1A01G0014800 transcript:Dexi1A01G0014800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQQLPQHYGGLEEDRDAAHRHGCSGGVPASGEAILNLRETSMDEGECSVLMPCSHWFHEHCIFSWLQISHVCPLCRFALPT >Dexi3A01G0027220.1:cds pep primary_assembly:Fonio_CM05836:3A:24037695:24041719:1 gene:Dexi3A01G0027220 transcript:Dexi3A01G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPLTAHRPVKPSSVFVSPAPSLASCDSGGATSCLGRSFRRASLRKRPRRPGLRSPSRILTERDAPAENSPSQRAADKVLRKSFRDSSTKVSRQGMEALVVRRLGDPTVAPGGEASPFAAVSGDHTVPELSSPTSVRVRVAATSLNFATFLQVQGKYQERPPLPFVPGSDYSGVVDTIGPGVRGLRPGDRVCSFTGLGSFADFIVAEEKQLFLVPDGCDLVAAGALPVLLVLGAAGGVGVSAVQIGKVCGAVVIAVARGVEKLQYLKSIGADHVIDSSKDNVIESAKSFLKARGLKGIDVLYDPVGGKLTQDSLKLLNWGAHILVIGFASGDVPVIRANIALVKNWTIHGLYWGSYLTHRPQVLLDSLNELLSWLSKGLITVQISHRYRLAEVSNIDWLSCLILHSPKAPTYSDSSTFL >Dexi2B01G0034070.1:cds pep primary_assembly:Fonio_CM05836:2B:41380436:41380642:1 gene:Dexi2B01G0034070 transcript:Dexi2B01G0034070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHDSRYTNCFIDSRMEDALSMVEETASEAENYGLFLGLMEAREPLSSLVARAKQQLAAYRSSH >Dexi7B01G0021230.1:cds pep primary_assembly:Fonio_CM05836:7B:26339764:26347830:1 gene:Dexi7B01G0021230 transcript:Dexi7B01G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSCASICAMEEIQKDMQSPDSSGSEPELMVTDGNGAGQSHNMDEGSIDSPIDVDEGQSSMDVDVKGKSSLDYDVNGKSSSEPSYSNVPIDMSVESLEKFCKQAARSFFDEIGLISHQINSYNDFVSHGIQELFDSLGDVVVEPGYDPSKKGSGGWKHAIIKFGRVKLEKPVFWSGKDEVDIDFKPRHARLQNMTYASKMKVEVSIQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVVQPLIESFISCGMSKLNEIPTENVQRMDKIFLNGNWVGCCTDSASFVLRLRCMRRSSLIDPQVEIKRDKHQKETNDIVIGKVSENGEDHSIKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRTPCLGDKFSSMHGQKGVVGFLESQENFPFTHQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAEQLHKAGFSKWGAESVLNGRTGERMQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQTCQRVANVIMRCVPGGKKIRGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFETEVC >Dexi2A01G0020970.1:cds pep primary_assembly:Fonio_CM05836:2A:33177850:33178269:1 gene:Dexi2A01G0020970 transcript:Dexi2A01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLASSAGAAGSAASAALGSSWFFVFICGHSSRVKDDLPRKKPAPAATAKKPAAAAPSSGTARSSLRTVPDSTGMYTAAYVGGATYPASAYGWSGGHGGGGCGCGGGGGGGGCGGGGGGGC >Dexi9B01G0027440.1:cds pep primary_assembly:Fonio_CM05836:9B:29881481:29882810:1 gene:Dexi9B01G0027440 transcript:Dexi9B01G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQAYMASILPGPNVDIPTAIDLFSKKGLNSFDMAILMGAHTVGVTHCSVIHDRLYNFNGTGLPDPAMDPLYVWILTSFACPKGQTFDNIVYLDDPSSILVVDKSYYSQIVKRHGVLSVDQALGDHSSTAWMVNFLATTDFFPSMFSYALNKLAALDVLTGTAGEIRKNCRSTN >Dexi9B01G0042140.1:cds pep primary_assembly:Fonio_CM05836:9B:42440511:42441569:1 gene:Dexi9B01G0042140 transcript:Dexi9B01G0042140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQPSPAAARASTRVAHFLTCSAWLLLLALPLSPTHPAYGHDLLYCMLPPAPARRQLRYGSNWRHINRLSRVARPCLVASPSRAAPTMHPAEKATATCGGASDRPVAARPGRSRRHCEGSIGRYLLEELRGERSKREEGEEEEEEMGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSWYVRTHEIELARLP >Dexi1A01G0032380.1:cds pep primary_assembly:Fonio_CM05836:1A:36949822:36950654:-1 gene:Dexi1A01G0032380 transcript:Dexi1A01G0032380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQKASEQMAMQSPLTLVGKISAHRMLGMGPNPMTKQHRYTTTLPVATAACAAAAIGTTLAATSTTSDATSTGMVHSSSARRPDTSMRRMATPMVGSISSVVRPLMRMEVESALRPRLASRTLTPAWLRICGDLHRDAAHQRVANAGLQELAPPPLLLLRHRRRVLQRRPIGLRLAAVQVQRRLAHQRRRVHHALAAAARLLVQVHPLHHAALRAVRVLPGQLPPAADAHRGGRLVPARRGHAAQPAPVQQRGAEMIAVLI >Dexi9A01G0046130.1:cds pep primary_assembly:Fonio_CM05836:9A:49496259:49497860:-1 gene:Dexi9A01G0046130 transcript:Dexi9A01G0046130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPSNNNRINYNCDGHTFNYHLPVGFIERVKEDFSKKYSGGKAKSAAANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKAQDFRQQGTKIRRKMWWENMKMKLIVFGIVVALILLIVLTVCKDFNCW >Dexi2B01G0011940.1:cds pep primary_assembly:Fonio_CM05836:2B:13754809:13756608:1 gene:Dexi2B01G0011940 transcript:Dexi2B01G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAAAAAAKKLAGISQSSAPPALTGGLLYRSYGSGSSGSRKRSLPWRVVSIGVISLAGGLVLSGVNDLAIFNGCTTKAIEKAADNPKVVETIGVPILRGPWYEASLSVGHQRRSVSCTFPVSGPHGSGSFQIEATRNGEDGLLSFLRHHDWEIITMEAHLHVPSDDGQQQTVVKVNLASNGGGQCGESESDS >Dexi1A01G0014420.1:cds pep primary_assembly:Fonio_CM05836:1A:21004030:21004230:1 gene:Dexi1A01G0014420 transcript:Dexi1A01G0014420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAVSLIWPCWSSVSPSLVEGGYRLLQVALVADMGGDGELEKLRGSWATGASAGGARSPRHVGP >Dexi4B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:4B:3234097:3235413:-1 gene:Dexi4B01G0004540 transcript:Dexi4B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVERLTTELVVPAEETPTESIWLSNLDLAARRGYTPTVYFYRTDNANPGTFFDADAVRDSLAKALVAFYPLAGRLGLDDATGRVQIDCTGEGAVFVTARSDHYTLDELLNEFVPCDAMRDLLVPPTPPPNPPSCPLLFAQVTRLRCGGVVLGLALHHSVVDARSAAHFVETWASIARCPTKSTGRDTPLPPCFDHKLLAARQTPMVLYDHPEYKAEPTPAAHAVTGKTYESAIITLSKAQVTSLKSRCAGASTFRAVVALVWQCACRARSLPDDAETRLYSMIDMRARLAPPLPPGYFGNAVIRTSALATVGEVVANPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKDGAVALALSLEPESMPEFRKVFAEELARLEM >Dexi4A01G0010380.1:cds pep primary_assembly:Fonio_CM05836:4A:8264457:8264970:-1 gene:Dexi4A01G0010380 transcript:Dexi4A01G0010380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHVLRGVSFAIVTTALISVLGPGSNDASMGQSSMADVYKGELTPLQRHGFARSAVGSRCPPPAPSSSTPHLGPVPNR >Dexi3B01G0022180.1:cds pep primary_assembly:Fonio_CM05836:3B:17048083:17050206:1 gene:Dexi3B01G0022180 transcript:Dexi3B01G0022180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHEVETPRRRPCVRALRSWPDHRVVARSLAVPAPKTKVAATGRRGGKGKMSCFPCFGGGKGKNNDDADAATPTAGAAASNMTPPMMMQAPAAYTPASAPIAAAAAKPGGANNADSAADEASLRLAITAQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGKLEKSGQTVAIKQLDKHGFQDSKAFLTGVAKLSQLHHENLVDIVGYCADGDQRLLVYESVPAGTLEDHLFDLSAGKKPMDWCTRMKVAYGAAQGLEYLHETANPPVVYGEFKASHILLDESLTPKLSDFGLAQLGQAGGNMPVASPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVELISGRRAVDTSKPVAEQNVVTWAMPMFKDQKRYHELVDPLIKTEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSMPPDPPAPAAPPPAAAPAPKKDKGSDHSDSSSSSSDDEDGNEDEEEEEEEEAEEQ >Dexi2B01G0017770.1:cds pep primary_assembly:Fonio_CM05836:2B:28137634:28139011:-1 gene:Dexi2B01G0017770 transcript:Dexi2B01G0017770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEKQRDLKETYKARLESTQGYLRFCLEVAQEHGFLHLISNNASQQHSPPRDAEAEHGKAAGDDDDEGEPSETPPPCDPCLVATRSLAVQHGWSVAPDEIELHEVIGRGTTADIHRATWRGLEVAVKWMRPELFVSNPSAEAFFAQEVELLSRQRHPHVLRLMGACLSPPESCFLVTELLSGATLGEWLHGSRERRPRASSSSSPPPPPPPPPLVDRVCRALEIALAMRHLHEQTPRVVHRDLKPSNVLLDADGRAQVTDFGHARFLPEGKEALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGILLNELITAEHPYIDTSYGPSKMEN >Dexi9B01G0029790.1:cds pep primary_assembly:Fonio_CM05836:9B:32195436:32196263:1 gene:Dexi9B01G0029790 transcript:Dexi9B01G0029790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEVQEAAVRVIGGWACPYAIRVFAALKLKAVDYEFLQEPAGRKSELLLRTNPVYKKIPVLLHRGAPICESMIIIQYIDQVWASNGPAILPAQPYARAMERFWAQYVDDKIAPALLVLRGLTDGDKDNAAAQVSNALQHLEEAFVKCSQGKHYFGGDNIGFLDLVLGSHLGWFKAVEKIAGIKILDEAKYPEITAWADRFCAHHAVKDVMPETERLVEFSVNSAVKTKPSN >Dexi9A01G0046380.1:cds pep primary_assembly:Fonio_CM05836:9A:49827944:49831092:1 gene:Dexi9A01G0046380 transcript:Dexi9A01G0046380.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKMGTYLRNYSDDEFSVAGEKPEVEFMDFQNDNTLQDFASEDGPVVVTEEMRAYDMEGVSMRRRGMNFLSLEVPGLAERRPSLVQGDFIVARYARNDTRPYQGFIHKVEADEIFLQFDNQFHHNHHDRNQYHVSFTYNRLNMRRLYKSIHESEFLGPGILFPCQSPCRDVKRQPFRPLNPHINDEQAAAVAMILGCRGVPPYVIYGPPGTGKTMTIVEAILQLYTANRRANILICAASNTAADHVLEKFLHASYLIRPSGIFRLNAPSRQYDDVNADFIRFCFFEDRVFKCPPIQALLRYKIVISTYMSSSLLQAEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYSKQAEKEGLGISYLQRLLFDFEQYQTGDRNYVTKLVKNYRCHPAILKLPSELFYGDELVPCKEDEVSSAYYCIGLPNKSFPVLFVGIQGCDEREGTNPSWFNRIEVSKVVSIIRNLTKGDAVSEADIGVITPYRQQVAKIKKALEAFEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDKFFNLGFLSNYKRFNVAITRAKSLLIIVGNPHIITKDRNWDRLLRYCADNGSYQGCPLPPPETYSDPEGNNSGEDQGGPAGWGYNQEESTNYNYNQEPSDFGFRRDGGAQSAATNNRMEWSEELPVDETQPFNNTEAYPDEETPKQHVEEGAEQGDVQPDQCSTNDDQLQHECPEKYTFPPGWGDFSSIPATGWGD >Dexi5B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:5B:5808722:5811651:1 gene:Dexi5B01G0008560 transcript:Dexi5B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTQGRGGGGGEEGEEEAGSWRLRMNSSFYVPDRFHRQPPFYARFFGGSHGKQRKIAKYYKKQENLLKDFSEMEIMNELGGLDQNGPTEEELRQLAKNERFAINLSNIVNLILFVTKVVASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITQEHADFKRKQEIWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVAALLAVRYKWWMDPVGAILIALYTITTWARTVLENVGTLIGKSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >Dexi7B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:7B:6876125:6876695:1 gene:Dexi7B01G0003050 transcript:Dexi7B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLWPIVCLLLLTLSALCTRGAATSNDDDGNGSSGTGADASRQARAAATVAEILSVHNAARLAVGVPALVWSPQIAAFAKDFAHSRRRNCAPRRSPLFYFGENIFGRHWNATALAAPWVAEGRWYDYESNSCAAPPGDGGCLRYTQVVWRNTTQVGCARIVCDSGDTLLICDYFPPGNYGTGRPY >Dexi9B01G0033020.1:cds pep primary_assembly:Fonio_CM05836:9B:35146247:35148466:1 gene:Dexi9B01G0033020 transcript:Dexi9B01G0033020.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMAGHRNSHGKRHSDYPENGGGKRRNPGDDSYAPGPDDTVYRYLCASKKIGSIIGRGGEIAKQLRNETQAKIRIGESVPGCEERVVTIFSSSRETNTVDDSEDKVCPAQDALFRVHERLSSDEGPGNEDSEEVLPQVTVRLLVPSDQIGCIIGKGGHIIQRIRSETGAQIRVLSNDHIPACAISGDELLQVGHCPYQFLHYVNKLYYYSHISGDAVVVRKALRQVSSRLHDNPSKSHHLLASSLTHAGSSHLGSSSTASVVGITPVIPAFGGYKGDVAGDWPSVYQPRRDDSSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDAESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALVQIATRLKANFFEREGSLSAFPPVIPYHPLPAVISDEPKYLSRDTKPLGHYLYSSGFRASDDMIPSDSYGSYSSSQVVWS >Dexi3B01G0022760.1:cds pep primary_assembly:Fonio_CM05836:3B:17478902:17482702:-1 gene:Dexi3B01G0022760 transcript:Dexi3B01G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGYGGGGGAEHHHHHQHLLFGQAAPGQLYHVPQHSRREKLRFPPDPADSSSSPPMAWPAVAAPPFYHSYASSSTSSYSPHSPLPHAQLVAHALPAGAGAQIPSQSFALSLSSASSNPPPPPPPQPRRQQQQQLAAAGVVATGPYGPFTGYAAVLGKSRFLGPAQKLLEEICDVGGRPPSQVGRRSDDALLGMDAMDHDMDGGSERAAAEAVAVSGAEQQWRKTRLISLMEDVCRRYKQYCQQLQSVISSFETVAGLSNAAPFASMALRTMSKHFKCLKSMILNQLRNTSKVASNDGIGKEDMANFALMGGGSGILRGNSVNALISQPHNIWRPQRGLPERAVSVSNWFINARVRLWKPMVEEIHNLEMRQQHKNPSSLDKNNQLGMQQTHQHSSDSSGKPSDPSSSQRGQSSINGMTTRNLNSPASSSRHIQDELAHQMPHDMPGQVSFAYNGLAAHHALALSHPHQQAEAVSAGVGVGGNGGVSLTLGLHQNNRNYLAEPLPAALPLNLAHRFGLEDVSDAYVMGSFGGQDRHFTKEIGGHHLVHDFVG >Dexi4A01G0018860.1:cds pep primary_assembly:Fonio_CM05836:4A:22755531:22755986:-1 gene:Dexi4A01G0018860 transcript:Dexi4A01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRGTEKNLWTLGKRLCGSEELGSSLEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRYGYEKLH >Dexi5A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:5A:687650:688754:-1 gene:Dexi5A01G0001020 transcript:Dexi5A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQEFQIEKLQLVEAEKKKVRQEYDRKEKQVGIKKKIDYSMQLNASRIKVLQAQDDLVTNMMESARKQLLYISRDHQTYKKLLRILIVQSLLRLKEPAVLLRCRKEDLELVDSVLELARNEYAEKANVYPPEIVVDRQVYLPSAPSHYQAPGPSW >Dexi1B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:1B:2864729:2866620:1 gene:Dexi1B01G0003600 transcript:Dexi1B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPTDGADEDENIVAPQSLSHNTGASFQRNLGSADWGVFSSLKIVLFKSKLNLLIPCGFLAILINYANQNQGWVFPLTLLGIIPLAERLGFATEQLGLFTGRTAGGLLNATFGNATELVISIHALRSGKLRVVQQSLLGSILSNTLLVLGCAFFGGGLASGKTEQAFRKEDAVLNSGLLLMAVMGLVSPAMLYYTHTEVNLGQSALALSRFSSCIMLVAYAAFVYFELSNSRRRDEASEASMMSSFSSFIYLSLYKFLSYLQGASKAWKIPIAFISTVLLPIVGNAAEHASAVMFAMKDKLIPFSVVTGWMMGQPMDLNFHLFETASLLITVLVVAFLLLVLK >Dexi9A01G0024320.1:cds pep primary_assembly:Fonio_CM05836:9A:20577689:20578066:-1 gene:Dexi9A01G0024320 transcript:Dexi9A01G0024320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAATAPGSIASTSRFAAACGGQMTIVYGGRVLVLGDVPADKAVDLLRLAAATAARGGATAEPTQRSGGHLISAADLPVARKASLQRFMEKRKGRVAARAAPYGRPDASDACPDDHLKLAL >DexiUA01G0002800.1:cds pep primary_assembly:Fonio_CM05836:UA:6066002:6070612:1 gene:DexiUA01G0002800 transcript:DexiUA01G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETHGGPMQETEPPSLRRDEVFILRRLEFAEAFEAFVSSWVPGVRGGALAHPRVVVDTLSRSQPDAQSICCFLQCGRGDFELSTCLEPLFEGAEEEATHASLGGIEPRVPADVHTPFDRGRLVVTGHAQVEGSMLPLEGSLDLGTLPGLEHNQLAPSSVRASRVPSIIPDSVDLRPLSKTLRRPKHDGFFNSRKKPSSVISWFRSARVSEAFETSFPRGGTVEPSSFEVPPSRVVVLNAPSENFAVSCDRGRSVRAQFDTTARLPRQTPRNLRRSYSIARLTRPVPLRLPGQAWLSAGVLPINSTAVSMPRPSVPFALAFAFVFFLRPSSPSSVMAQFADPAPWKPSNATKHCLDSLVEVGVLPPNVDGEPPVWISPGAATEPDPPRGYVVSFARFHERGFGVPVGRFMRALCFHYKVELHNFSPNAISQAAVFVAICEGYLGIEAHWDLWCHLFVGELFLEYVSKGVRRPARAGGLVLQVRKGRKDLYIPSSMVSNNQDWDKGWFYLHNDGGHLPPYTGLLLTEKQGDWHFGVSPPSRKKKLDPLVDALQRLSRLGLTAGGVIANFHRRRVLPLMRRRLSLYEMTPDADLTGTRARRAVDKLPDDPWAVPMRPEDGYVSLGASRGHYSKPPVPEDKAVNRALAEKAKEAKARREARLQRKERKRQKLEAENRERARRGLSPLSAPESSTDRDGSDEDGGARSPSPFELPIGGPAPAAASGGGGEEVIDLGTPPSTAVPYVERPEGTQGQDEAPPSTAGPSSEGPSGAAPAALEEPRGGGEVPERPSTVEEAPARGAEAEVPQVEPVDSTRGEEASRVTPQGGTVVSAGDEASRTAPQGETVAATGGEVPGAAPVPASRPKRKLPFVRTPSLAPTKALKIGSSSSPHPSSQLLGPTNEVVEDFVTFFDTQAELQARQQPREEAPPVLEGPRPPQLLEGAVEPHVEAARPEEANPAPGEALRVEEPSAAPVEADAVVVPPHEGGERRTHGGGFPHLTELAEALGVGAPVTQGRESGGAAPSTLITVQPGPAAAWSYEAHARGSAEMWQAHSVLPQRFVDEAVAEETLWEVQSSHGLDVRRALQDILRLHDDVGKVHRELRKQALAKNDQIAELSLELRRLSGALEARDRQLDDLRGARDQALAQGREKGEVIACLEGSASALREQLANRNDWLENERAARRAAELAVAEERRLAVEARDRLEREQTARATAERQAREVEQRAQEAERALEAAQGQVEGKLIWLEL >Dexi5B01G0030400.1:cds pep primary_assembly:Fonio_CM05836:5B:31303560:31305457:1 gene:Dexi5B01G0030400 transcript:Dexi5B01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEAHPDDM >Dexi7B01G0009460.1:cds pep primary_assembly:Fonio_CM05836:7B:17065774:17066352:-1 gene:Dexi7B01G0009460 transcript:Dexi7B01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDEGQDRSRPVVLVTGCSDGGIGHAMARAFAAAGCAVVATARSRGSMRDLEGDPRFLLLELDVRSDESARAAVADALREHGRIHVLVNNAGVHLVAPLAEVPMDSFHQVFDTNVYEG >Dexi4A01G0009140.1:cds pep primary_assembly:Fonio_CM05836:4A:7211429:7215428:1 gene:Dexi4A01G0009140 transcript:Dexi4A01G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPPRIPAAIAHRRAAAGPKSTPAVATDDLVRQHNRSLAALLRRGRFAAARRLFDSLPARSVVTWNSLLAALSRGRDVLAARSFFDSMPVRDAVSWNTLLAAYARSPHPDHLAAARRLFDEMPQRDTVTWNTLLGAYTRRGLMDEAQSLFDEMPQRNTASWNTMVTGFFAVGQASKALCVFEAMPVKDSASLSAMVSGLTRNGLLQEADELLTKRLKLIDMDKSVDAYNTLIAAYGQTGRITDARRLFDMIPKTQSQNKGHKSRVFERNVVSWNSMMMCYNKTGDVCSARALFDEMPDKDLVSWNTMIAGYTQASDMEEAEKLFWEVPEPDAVTWNLMIHGFTQKGDVVHARGFFDVMPERSIFTWNTMISGYEQNEDYDGTIKLFQKMLEVGERPDRHTFSSALAACASLAMLRLGAQIHQLIEKSVLPDTATSNALITMYSRCGALTKAKAIFSQMHAQKNLVSWNALIGAYEHHGHATEALQLFEEMRGAKIVPSHITFISLLSACGNAGLVSEGRVVFHTMVHEYSIAARVEHYAALVNLIGRHGQLEDALEVIKSMPIAPDRSVWGAFLGACTAKKNRPLAQMAAKILSEIDPDSSAPYVLMHNLHAHEGRWGSASVVREDMERQGIHKHPGAWPDSYLLEEEALLSFPSLPFQPTCSTITPTNILQDELDAIFKDDALKHWDEMEQADSKTQDGDKSLPQLCYGDDKEEVPSNSNAMAVRADDQRVKPGEETALTFEIVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLINNVQVLQEAGKANGEEQLRAMVEMLQQEKQLLEQRPYVQLEEKTKRLRQACFKANYKKRRLLALEAGDAPRISKY >Dexi9A01G0032090.1:cds pep primary_assembly:Fonio_CM05836:9A:36873796:36876438:1 gene:Dexi9A01G0032090 transcript:Dexi9A01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCTSKAALEHRRPARYYTRGRRVRSRSRSIMPEAPQSQQLSDSRGRMTGFSMSEIVHVEMANRGKSEHSKTFHLTQMQWHHSQRDSKGCSNEDAWFDSVSILEDDSDDEFKSVDGDSSDEDEDEKKQYDTASRFADALSRIGEMCRGVPMTLSVEQHLKRDNGDDAGHRSQSLSVCATKCLPTSFSFKGSKDKNDEDDDNKESTTPSRLRKLLHSISFNDKMQQLTGGSPAKKKSTVIRLSYKTTSRDDCEDSSELGKSKKYVVRPKVGQTIPCGGEKPTTGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACPKKVHHIAQHIDLPQIKTHPKLPSLLIVNIQMPTYPAAMFLGDSDGEGFSLVLYFRISEYYDKEVSEHFKDSIMRFFENESEKVKGFTSESTTMYRDRLKIMAGLVNPDDLQLGSTERKLVQAYNEKPVLSRPQHNFYEGENYFEVDLDIHRFSYIARKGLDSFRERLKNGILDLGLTIQAQKQEELPEQVLCCVRLNKIEFSNHGQVPTIVTD >Dexi9A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:9A:7685189:7686208:1 gene:Dexi9A01G0012150 transcript:Dexi9A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWARFLGLVGPRLLHRQRHPPAHPVRSVCYRLARRRVTKDTTQRGLGKVAAAGATEASPMAALLLSRARWFLVEHPAVASFRWQPGRTLGATPSFAAAVIFGYLATVLVLHRLILPFLPPLPPRALRLASAAHNTVLLALSAAMAAGCALSTAATAPSSPWPWAWPFCFPPGGATEASGPVFFWAHVFYLSKVYELGDTALILLGRRPLTLLHVYHHAVVIAMCYLWLATRQSLLPIALVTNAGVHVVMYSYYLCCSVGLRWPKRLKRAVTELQIVQFVFSFAASVVMLWLHFTAGGCEGMAGWVFNAVFNASLLALFLDFHGAAYKAAKGNKKGKAE >Dexi4A01G0023350.1:cds pep primary_assembly:Fonio_CM05836:4A:26453994:26459603:1 gene:Dexi4A01G0023350 transcript:Dexi4A01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKAGVSSRADDDDNLAGPVAPPSPLPANGAPQTPPPPHPGTPRRRKSGSATPVHQTPGGATAAWPSPYPAGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGHKPKEGTIPEEGGVSPGGGGGAADGAAETERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGHTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPEAISCDTVAVFAQNFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALSKLPLTSHPWLRDEQRQIPLDILIFRLVKQYLRATPLKRLALKALSKALGEDELLYLRLQFKLLEPRDGSVSLDNFRTALTRYLTDAMRESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALEKWEEIAGTAFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >Dexi2A01G0029090.1:cds pep primary_assembly:Fonio_CM05836:2A:40229840:40230190:1 gene:Dexi2A01G0029090 transcript:Dexi2A01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGIKGEDSGEPDNDEQDGRGTCVHDDEKKHARKKKRGPPGGELTHDGECWSSEDEFCCDCSDDVDPMHIMPYSTHRDGSIYRMAVGWRRDYCIADRDESK >Dexi9A01G0027940.1:cds pep primary_assembly:Fonio_CM05836:9A:32553757:32556714:1 gene:Dexi9A01G0027940 transcript:Dexi9A01G0027940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLVAYKIIRRFFFDDGDDASYLADLDSSHSDLCFAVAARLEKLYNGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVGIKNFSGFIEADKDGNWSCPTDKKRKQVIIPNPVLEVNRLAASLQSYLEQRGAKLPDGHVIGRVVLPNPNCRLVLFTGLLWPSYNISVQPEVMLYDQWKDLKMDSKSGLLTWIKGAFSGSRSDTQDSVLQNLHFILSTSPMWDRLELKGDKNVLGEFIEFKGRHEDIELLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEIVFQPLHSKKVRKFKLSSVVSVTLSA >Dexi9A01G0031150.1:cds pep primary_assembly:Fonio_CM05836:9A:36018442:36021744:1 gene:Dexi9A01G0031150 transcript:Dexi9A01G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETAPRLLTPETLRTAAKQSQGIHLVPLSLRRAIKRYLRDQDKGHMNRKVLLLSASFDRAKGTGAELAAAATRGALLDDPNAPSGAEQWAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWAMRAVWPKSIERVNLVEPSKEMQRAGESLLDNLKGLPLIHSYDSIQELNRNIEKHERGHDLVISSYALGEIPSLSDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSSSHPPSNMKSIIAQKASPKHGSFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRKPEDLIIDYDDQFPSEQDEEVPNDGGDSLVAYASDEHELSLFHDSEEAEEEEQTVRADLGGGWGRIIYSPMRRGKQVQMDVCRATKRDASEGAFERIVVTQSKNPTLHFQARRSLWGDLWPF >Dexi2B01G0030080.1:cds pep primary_assembly:Fonio_CM05836:2B:38338603:38339838:1 gene:Dexi2B01G0030080 transcript:Dexi2B01G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSSTTAVAALPRSGQRASPSFLAGKTAAAAAARPSFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >Dexi4B01G0015040.1:cds pep primary_assembly:Fonio_CM05836:4B:16250207:16251775:-1 gene:Dexi4B01G0015040 transcript:Dexi4B01G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSRASALALLLCALTSASFHVAVSAPYPAKDDFLSCLTRSVPPKLLFAKSSPAYGSLWSSTVRNIKFLSDKTVRPLYIITPMEPCHVQSTVACGRRHGMRLRVRSGGHDYEGLSYRSDKPEPFAVVDLSKMRQVRIDGKQATAWVDSGAQLGEIYYAVWGEKYFKGNFERLARIKAKVDPEDYFRNEQSIPPLLK >Dexi4B01G0008920.1:cds pep primary_assembly:Fonio_CM05836:4B:6390250:6392693:-1 gene:Dexi4B01G0008920 transcript:Dexi4B01G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSDSGKLAGCKVDDAEDGGELAGAEAADAATLAGDEADDAVTTVSSQGTRLTTASLRGAEVDDAGATTSSRWGPGCRHGEGDELTGVEVEDAATAARTSRLLYCMSLVAEVVPVVGSGEVSGRVPPGVLLRVVGVLPAGNGVAPGLATKPTRPGEREGKRPKKDVSDKQNGSDASLGKDRAAHEGANAAIAMSLENGEDMEGKIRRMANDIIDMAVDMSLDLCVDKVLAEDVDSLVEASDGIINVGAERPAQCPVVHVYGDPLEDVMVDNLAAVISADGGVNDVEVGIGGVPDVAMLVAADLMTGARSGRAPERPTSFPGAEWLAPRHHARRGVLLQLGADAPMSRTMVNAARIREVESQPTRSSPRLAGVADHHILEKAKLRAAWKNLDCP >Dexi6A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:6A:18306407:18307426:-1 gene:Dexi6A01G0012090 transcript:Dexi6A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAAMWESSRLLTVTRTVLLAVAAAAAALLPLSSSQDLQIGLPGCPTSCGDVSVPYPFGIAPGCSLAGFGLTCDTTHTPPLLLVSNSTLQVTGVSLDNSTVRVLGPAVNFSQMLRNGTAWTTISYWGGLPWGLGFDGPYVSSEARNEFIVWGCNIFAELRLGSAQLITSCGSVCDGPGPGNYADNECALRYNGSRHCGRCYGVSCCQMPVPIGSTFYFVRLTSMLDSEEDFAAVIAEEGWLDRGVAAEAARSSGEMKATVPVVLAWAIAGSSAQPAVNETRDGDATCPTDLDSTGCHSSYSSCTNELSNNDRRRRSYTCKCWHGYQGNPYLPDGCQGK >Dexi1A01G0025380.1:cds pep primary_assembly:Fonio_CM05836:1A:31643807:31645713:-1 gene:Dexi1A01G0025380 transcript:Dexi1A01G0025380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTARSFRGDRPALTGIRLRCIVSFLRRHRLYDTAHELERQTGAFFDAAHFRRLLRAQRWADASSYALGFVNVGSCSHEADTLMVRILVLRVMCDLAAGRIHAIDALFERLYASLDAQPDGHHLRRILLSMRSDRARASMLYHRIRPMAVKVILNIAAKCPELKAKARLPRCTSDPAYIMSLGLGPLLLQPKNKKVRFQHNQTEIVAGSGKDEAPVFPRLSASPPATGPLPQAPGPPQLEKDSLAYFDVAHLQKVVKDGQWDAAWRYVRSFSPLWEPTEGESTNQQYTDFVHSLEHNSMLHYLACRGEEGGRFARSIFWSSDGARKSPKIAQQFDLYRSMASEHARASVNWAAIKLATMEKLQELLRSRPDLECSLRMREPKRTPTPLEITPLV >Dexi7B01G0002070.1:cds pep primary_assembly:Fonio_CM05836:7B:3520383:3523749:-1 gene:Dexi7B01G0002070 transcript:Dexi7B01G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPSFRLLPLTPSRATTPTSPLSIRRPRPRALPSPHVRCCASRTSHPAAAAREAAGKIAGAVPWKAAVSGALALAVCFNFFVDSANARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGEFFYPLYGLAIKDFWQGEDASIEAAVTAISRCLRDPTGTNNCSEVL >Dexi4B01G0006940.1:cds pep primary_assembly:Fonio_CM05836:4B:4836732:4836829:1 gene:Dexi4B01G0006940 transcript:Dexi4B01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSAALELFLAKEVVINVFW >Dexi2B01G0019220.1:cds pep primary_assembly:Fonio_CM05836:2B:29391985:29394553:-1 gene:Dexi2B01G0019220 transcript:Dexi2B01G0019220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFGFQEMTVLPQREREPSDREPVSMNNKEQEKGSAAGEPISGHHNHPQEITDFPQKEKALASGGTVSGHHKNPLEETTSTAELEKGPSAGEPASEHHLVAVDNFDDHRTHNGTCDYAASLSVDAESKLSTCNSKNVHEKDSSKDLDLSNTGYSEKFNMSGENYGVPKEVSPTEEDPVEGKNMNGLMEHSGITPEEQGSQPYDLLHGKFGSSEEKDFHNQLESNPLSATRDEPDLNSVGMVVDDGNITCNVDNPTSFKSEKPLEDKIMDCEMASLKGDEIKSGVTIRDVNLNSCSDTISSPVSGGTYETSNTPDDAIRSSIIAQCFGTNSNDENAGKDGNFATQNSATKGENFVSQKNDMVYQSNLTMGPIPPAQINVDCFASCSMTPEIKNYGDRGENDAKEAYVNSQNIPSNESGYDVEAYNSDIFNSTITESSLAQLNNAISMKNDFASCYSLSDLNTLTGGTGTDEIDIHGMRNSFVSSTSRTDQNEHCTLDFDIKGSMLEALEKSDSDLENQYNGAGPSCDSLPTAAGTSGNIDDFLSMQSNFGSFTSLVRAVEDVPLSRILQDQCDLQLGFGAQKQPMYPSFEQHLRMASAGAPPYRNMGRHDAVPVPEPTLMLGYAPPLGSCPPPFQLGWGPSYPKMIGVLQSVCVWCNSQFQHFGTIVEQQQADSLGYICPSCKAKFSGHLGINGPSI >Dexi9A01G0009290.1:cds pep primary_assembly:Fonio_CM05836:9A:5562948:5567485:1 gene:Dexi9A01G0009290 transcript:Dexi9A01G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLYYVCFVVDTEPLAGCRGSNPGWSSAGVYDWTGCLRGSSHASPGSAPRRRLPPWPLSRSNEQPFARVPRFISGPEQTLGGDRRCHILVARPPGVRVVEQLLVHGLCRECIMGKINDEDVDCCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKKKKVDSPKVSTTLPAKRKQRSLSSLVVDTPSIVMRNGLTGKRTKTKRRATASRVTSPNNNGTMKVPAKSAGRDQKTEKTAEPQSMKVATTAYKNESRDQKKIEKTSAEQSTKAATTAYKNESRDQKKIEKTSAQQSTKAATIANKKQRNTDAEVSSKPSYEDRKNAKTADKEELQKPSNRPVHTSSKTKAPRTTPKFHATIEVKIKKKEGKVPIRKEETENDVNMPGTRVGEHPNEPNHKEENNGGSSEPAQHPRSVPDVDHPAGPDQTTAKGNSNQGFSGPASTLRDPIATPIWFSLVSSPNLKGGPELPALSKSFLRIKDGGSQISSVQRYIAKKLDLVDENEVEIICRGEPISPSGTLQGLVELWRRREPEERVQASLGAPAKEFVMALGYRSRRDLHC >Dexi4A01G0007380.1:cds pep primary_assembly:Fonio_CM05836:4A:5469090:5469732:1 gene:Dexi4A01G0007380 transcript:Dexi4A01G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRARAGSDRAAGTGAAAARSPTTTTAIQSTIQSIKEVVGGHSDADILDTLRESNMDPNETAQKLLNQGEFVR >Dexi2B01G0006580.1:cds pep primary_assembly:Fonio_CM05836:2B:6505490:6519513:1 gene:Dexi2B01G0006580 transcript:Dexi2B01G0006580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKTSNNFLGRSVWEFDADLGTPEERDEVERLRREFTERRFERREASDLLLRMQVYDGCPSWETAFIVQAYCSTDLVNEFGPTLRKAHEFIKSSQIRENHPDYEAYYRHSSKGSWTLSTADNGWNVSDCTAEALKALLLLSNISPTLVGDPITGESLYNAVDCILSYVVLNPSESFINIVVDYPSVECTSSVLQALIMFKEQDPGYRKEEIGNCIKSASSFIEKEQRKDGSWFGSWSVCFTYGSFFAVKGLAAAGRTYENSSSIRKACSFLLSKQLPTGGWGETYLSSETESYVEASGPHAVNTSWAMLALICCGQEHVGSFNSSLYFNYGNYRNLYPIWALGEFRLRLLARKK >Dexi7A01G0009460.1:cds pep primary_assembly:Fonio_CM05836:7A:20476370:20477513:1 gene:Dexi7A01G0009460 transcript:Dexi7A01G0009460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVNYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNEVVFFLLSNHYFCSA >Dexi6B01G0008480.1:cds pep primary_assembly:Fonio_CM05836:6B:10702953:10705044:1 gene:Dexi6B01G0008480 transcript:Dexi6B01G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGDAPSLDADVDMADLASLDAPAASSVAAAGAPRFRPRGKGKPRPKPEAPKPKPVAVPKLEPDPEPQPVSVSEPEPEAVPQAPPEDGRVDAMEVDGAGDAACLGERAEENVEEDFVVREIDVYYTSKPFDDDTKLYIMQYPLRPCWRPYELNEICEELI >Dexi8A01G0009210.1:cds pep primary_assembly:Fonio_CM05836:8A:11918720:11919709:1 gene:Dexi8A01G0009210 transcript:Dexi8A01G0009210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRTPGHRPSVVFEESKWTEYFNEYQPFPCYHQPKGRIEDRRDELVRKVSHMIQECISKKENLFEVMKIVDVLERLGVGYHFEEDIANFLDILNRNPSVADDLYAASLQFRLLRQHHYDAPCEIFKDFMDENGDFKDTLRSNVDALLSLYEAAHLRKCDEDILKRAVVFTTNSLSSLANGGDHLPKPIRDKVLHALASPTHRRIKRLEAKSFISIYEDDKESNEDILELAKLDFHILLQMHRDEVKSLSL >Dexi3A01G0008100.1:cds pep primary_assembly:Fonio_CM05836:3A:5628274:5633124:1 gene:Dexi3A01G0008100 transcript:Dexi3A01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMGRRHAQSSFNQTECPCHRRQWSRRQRHPQAALHPLAIRGSRSPPRSALPAGCRPQPAAPSPPHPHHPRSAAAARRSLSSPAMEPSPQRPRTGNPNPVTTAPKSERGTRSRSGGEEDPPQLEEHESSEGRRGERSLSSTETGEGEQQQNREGNTAASRSSKPAAMEPSPDNPEPETITHSSPAVYHHPPKLDKHEWSEERRESC >Dexi5B01G0003190.1:cds pep primary_assembly:Fonio_CM05836:5B:2112440:2113037:-1 gene:Dexi5B01G0003190 transcript:Dexi5B01G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILNHLHHLCSFTHTREALRLHKKRPLNTVNIKVKMDCEGCVRRVTSAAKSMRGVTSVAVNPRQSKCTVTGYVEPARVLARVRGRTGKNAEMWPYTPVGVVSYPYVGGVYDKKAPAGFVRSAPQAMADPAAPEVRYMTMFSDDNANACAIM >Dexi8B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:8B:21829681:21838874:-1 gene:Dexi8B01G0012450 transcript:Dexi8B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAGWRDSSSASAAAVALRALLIATACGLVFALLNLPDGGRAGTPAPDGQSDEIAAEEDERISKSPPDTKEKIWMMQDQLIMAKAYLHFASPQGSAHLVRELKLRIKEIERAISHSSGGSRVAGSALQKMKAMELTLSKAQRAYPRCSQMTSKLRAMTYNSEELVRAHQSESSFLEEVAVRTLPKGHHCLAMRLTTEYFSLDPKEREFPKVDSKQMDGYHHYAIFSDNVLASAVVVNSTIATSKGKRQRLWKAGSLPLGQLVFYNQTLPLDRRWHVLGLGHDSSIGRYDLESASVIHYSGKLKPWLEISIPKYRDYWNSPGRSSPSDTRGETSSSSPLFRFSSARRFHLRRPRHHAGTPLPPRTFVSHRSWILGEAVENTRCRTPAKLAP >Dexi9B01G0015540.1:cds pep primary_assembly:Fonio_CM05836:9B:10608522:10626618:1 gene:Dexi9B01G0015540 transcript:Dexi9B01G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEGNGGTGKITIGVCVMEKKVRILALWSLEVSLSLPWLFTDAYASISSAYVSIGRFLLLDFSKNAGCGSCVVTSRICSYLMKFLISLFLQVFSSPMEQILERLRAFGEFEIIIFGDKVILDDPIEMYVMRTQHLTYPQFIFSWPNCDCLIAFYSSGFPLQKVQAYAALRRPFLVNELEPQYLLHDRRKVYEHLEKYGIPVPDYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGNSSLIYIVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPNLPWKSEPVQSTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAIQLQDLLDATRQLVPPTRSGRESDSDAEDIEHVEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWMKVAKRNGDGQEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPALKSCPTWDRGTKMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASTEMNEAKARLHEIIISNAKAKDTVESAEFPWMVDGAGLPVNASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTSSFSKYDQAKALGKTTIDVARIAAGRFDITQIPDVYDSCKYDLLHNAHLDLEGLEELFKVAQTLADGVIPNEYGINPKQKLKIGSKVCSGAKFTPQSLYLQYRQLITHIARRLLGKILIDLRNTREEAISVAEPKFIEDEAIFLPTKEAEHQQKIHVRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTKELDYMSNIVLRMFENIEVWTLYHLYLVFVQSCYCPIHSAYNSFCLPLQVPLEDEKRFRIEMTFSRGADLSPLEDKTSESSSLLQEHTLPIMGPERLQEVGSCLTMDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGMLERLASLWPFHKGAGATNGK >Dexi9A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:9A:10808864:10814730:-1 gene:Dexi9A01G0015900 transcript:Dexi9A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPRPPPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAAPSGGGGSAPSPSPSTSSGAASVSVNGGGGGGGEKGLRRALSFDEAGTPSSPEKKPKVVGDVEGEDEDEEGAVMEVGGRPVPVEVMAEVLRRLGPRGAMAAAAVSRGWRDCAGRVWRAAEELRLRLLAASGAGPLAALLPRCPALSRLDLRMESDFDATTLACLSISCPSLKTLEITMAGNAVNRMTGYGYDAELVILICVAFEDLNRFVSEKSSLSVLKIGGCSNLDFIDLCSSSLSVLWLSDLCSLSKSVMNCPNMSELSLCFVQQSNDCTDLVTLIDAMGRTCPNLNKLHISSNQLSNQAVFALESANLRGLSMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVAMICKVFPDTLSGLLLALCPNVTTRGIQLATAQLPCLQLMDCGMSLCTNLNSERGPGFGEINGGIRIIRKLCASKKQPVHQKLIIKHGNLKKLSLWGCSAIDALHVICPELNDLNLNSCTNLHPGIVSFHFSSGFQASESSHPCKRLADGSKRVQLPQFQQHQPSEDDKVIESSRTQCTVHV >Dexi3A01G0001840.1:cds pep primary_assembly:Fonio_CM05836:3A:1230584:1235010:1 gene:Dexi3A01G0001840 transcript:Dexi3A01G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAFHRTHHVPSKRPRPGVGLRAGGGAELGGDEAPPSTCFCTAKNTRRYTGAVAAIDPLRRRPPERKERVRVFVFVSLALVKGGGSFRYSSSSYLSLLQPIPSPSSAPTLDLPRESFGWRPSSPDNDVCHRDHLPIVDISFRATRRSTVMWILGSSKQLYQASNTRRLCHGVLFASIALCVLYLSCTLIIINFYVVIRSDALSPLTIDVLAIVVDVTMLLAYLSKFVGVLPGSALIVGWHDPIVARVLRI >Dexi3B01G0024350.1:cds pep primary_assembly:Fonio_CM05836:3B:18997294:18997766:-1 gene:Dexi3B01G0024350 transcript:Dexi3B01G0024350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPETLLYHDQGFRGEHRGKSRLEYRGCRRCGFVFGGDSEVRWTAAAPPSQCCTTLAPPSPQWTPGSGWRHSRRRRRRGASPTPPPQGSFAPPSQGRAPTRCLEHFSSGAASVGVRCRARQQGRIASGAGRQRD >Dexi4B01G0021860.1:cds pep primary_assembly:Fonio_CM05836:4B:23704414:23710810:-1 gene:Dexi4B01G0021860 transcript:Dexi4B01G0021860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPPPPPPPGGTTKSYFDVLGICCPSEVPLVERLLSPLPGVTKVTVIVPSRTVIVVHDAQATSPAQIVKVLNQAKLDASVRAYGSGTEKKTNKWPSPYVLLCGACLVVSLFAHFWRPLKWFALGAVAAGVLPILQRSYAAARRLTLDVNILMLIAVSGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSSLMSMSPQKAVLAETGQVVAAQDVKVNTVIAVKAGEIIPIDGVVVDGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSDTQRLIDTCAKYYTPAVVVMAAAVAVIPVAVRAHNLKHMFQLALVLLVSACPCALVLSTPIATFCALLTAARTGLLIKGGDVLESLAKIKIAAFDKTGTITRGEFCVEEFQAVGGRVPIQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSDNVTEFQIYPGEGIYVPDMKDMKGVTVGYVACKMELIGVFTLSDSCRTGSAEAIKELRSMGIKSVMLTGDSAAAAAYAQGQLGNILDEVHSELLPEDKVRIVDELKEKHGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPMAVQLARRTHRTIIVNIIFSVITKLAIVGLAIAGHPLIWAAVLADVGTCLLVIMYSMLLLRSKGGQKAKKCCASSQHGSHAKKHCVSRHCSDGPCKSTGSCKELSASKHGCHDHAHGHTHCKKPSNQQATEKHACHDHGHSHCKEPSNQVVTEKHACHDYSHSHCKQPSSQVVTEKHACHDHGHTHNHQKEPGNQVLVENHGCHDHEHGHCKEAKTSQSSDSKDACHGHQHNHCEEHNHSHSAGEHDCHVHEHSHCEEHSHPHSAVDQACHDHEHEHRCHAEQQPVHTVDSHHCHDHEHEHVHGEIEESEKDCHAELQHHHSHCCHEPHEQEKKIAAEPVQEISISIGSLPYENHEKDDQCSHHSEEHKVEDGTNHLKAKGCIPPPADHLSRNCCSVTSNKGCGSKGKDICPSWQAVCTREASRCCRSYVKCPRTSSCCSHTMLKLPEIVVE >Dexi3B01G0023430.1:cds pep primary_assembly:Fonio_CM05836:3B:18198531:18200941:-1 gene:Dexi3B01G0023430 transcript:Dexi3B01G0023430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKEYAPVPLGQAAAEAEAAAAPDPEDPVKSPPWPSSPSTSTRKACFAVLQSWVSRKFMTGCVVLFPIAVTFFITWWFIQFFDGFFSPIYAKLGFDIFGLGFLTSLVFILLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQVRPK >DexiUA01G0023730.1:cds pep primary_assembly:Fonio_CM05836:UA:48490973:48492386:1 gene:DexiUA01G0023730 transcript:DexiUA01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHGIPDATVTRVAEASRAFFGQPAERKAAVRRTDDAPLIGYHEAEYTIKDVRDWKEVYDVHPRCPPPGETLRGDLVLENKWPQDLPGFRPALEEYGKEMEILAFKLLELLARSLSLRPDRLHGFFKDQTTIMRLNYYPPCPNPGLALGHSPHRDGSAFTIIYQDDVDGFDVRRRTDGEWLRVKPIQGSFIVVVIGEIMQVWSNGKYKSLEHRASLNADKERFSIPFFFNPASSTTIEPLPETVRNEDPPRYSSYNWGEYYGSRRKGSSNSYAGATIAKA >Dexi3B01G0004180.1:cds pep primary_assembly:Fonio_CM05836:3B:2882892:2886670:-1 gene:Dexi3B01G0004180 transcript:Dexi3B01G0004180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSPAKVYKPAADVDLGAGSDEFYISPNVKAPRVAGLLVKIFVWILEMPIVGQIVLYMLKKDNLINKLVSDADIPEPPLFTATHSWEDIPEQNVTLTKPDLSAAERVQEAVGCLPARLESTLSSPGLKRWTIKDFYEAYSSGETTPVQVATRFLAAVKESSGPGMKNMAFFISCDPEDVMRQAQESTLRYQRGSPLSALDGVLVADEIDCLPYPTTGGTRWLAAARPCEADAACVAQLRACGAILAGKANMHELGAGTSGINPHHGSTRNPYNASKIAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVPTAGRLSNAGVLPLNWTVGMPGILAGTVEDALIAYSAIVDQSQPSYLRPELNLPQLKSTLSMNNIKLAKYAKWFNDSAEDIRTCCDKALQTLHANYGWQVRNMHDKVRSNSARIRSF >Dexi7B01G0010470.1:cds pep primary_assembly:Fonio_CM05836:7B:17819576:17820285:-1 gene:Dexi7B01G0010470 transcript:Dexi7B01G0010470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLRIFCREDINLNVAIRGTTDFSAAGNVTDDDGQRAFALVNTATGQALVNKNIVQLAPYISDVRVDVSMLWTLGAKDLGGGFREVKVLRDTTQTLNGLWGQVRDGTVVGVHPSRPDAPNAVWKFAPVYQQ >Dexi2B01G0023460.1:cds pep primary_assembly:Fonio_CM05836:2B:32928445:32929529:-1 gene:Dexi2B01G0023460 transcript:Dexi2B01G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFPDGGHVRLRSRVRRKYLHAATDGIGVFLSPERASFNAAWRVHRVVRNGVPLVLLHGAAYGRYLAASEDPAPPDDRRRVVQGVYDDLEANDVMWTAVEAGDGDHHVVLLRHVSGRFLRANGRRKTCVTIDHRDNPSTMMHWEVETLKLRLEKPDILPPVPRVRCFLGCFHRRRIAAFPERTIRCIRADYLGNFNNDHGWTEFQFEGRYVSLLRWDVLSHVGCTTMCTRAGRYGRLTPLVTDLPRILLPMDIIALTPYSPGENFA >Dexi7A01G0007230.1:cds pep primary_assembly:Fonio_CM05836:7A:18606139:18611886:-1 gene:Dexi7A01G0007230 transcript:Dexi7A01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAAVAAAAQPQGGAPAGRQGGGLGQSIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPMDMWMYLSENEKFNDFANDDALIWHEANIPYAVWGPTSTRTRSLAYYPSEAIKHNGSLYAHVYFARSGYPVDPTDPEYEQKSAFGRTHPVVTFLPKSKAGKKKSLLGDSEEPEQQAPPEDNKELEDKDEGPAEYLSYWKPNVTINLVDDFTRDTDLNVDPATGDYYPTVFFNEFWLLRDKLIALNETVKELPLNLEIGPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMVVSLLHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVILNFVCQLIVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEIDRSGKIPMLRFKDRESYAQNKTKEYDALAMKYLTYVLFLLVIGFSIYSLKYEKHKSWYSWILSSMTSCVYMFGFIMMCPQLFINYKLQSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWKYPVDKKRVNEFGFGGEDETTARETLEGSDSAAATQQTQAKDEAEAETSTEDKKTR >Dexi9A01G0028570.1:cds pep primary_assembly:Fonio_CM05836:9A:33298773:33302024:1 gene:Dexi9A01G0028570 transcript:Dexi9A01G0028570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARMSAPALMVTLAVLALVTGGHAQLQYGFYKGKCNASDVEALVQSIVKARFATDAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTAPPNLSVKAYDLIATIKSQLEKKCPGVVSCSDIEILATRDAVALAGGPAYPVRTGRRDRRRSSASDVKLPGADYTAAQTTAYFARLGLTPYETVVLLGAHTVGATHCSVIKSRLYSYGGKPGATDPSMDPALASVYKKYVCPNVSSSDGNAVFLDDQWTAVKVDNHYYRNLQLHRGVLSCDQNLYTDGSTRSFVDQLANDGSTFLTQFAKVLVKLSEVNVLTGTQGEVRKPQVHATDIGEAATSAEREERQARRSIDKGRSSTIEMARRGTLLFPDLGAQCDEPECNQLEFLPFECDGCGGAFCAAHRTYRNHRCASAKAAGHGRTVVLCPDCGASVERTAAGQAERDVLDAHARSGRCDPAARKRKPACPAPRCKEALTFCNATQCKGCGVRVCLKHRFPADHVCVASAGKRRVAAGGGGQCGHGGAQSKQGGRRPLAVSIRSFKIC >DexiUA01G0007780.1:cds pep primary_assembly:Fonio_CM05836:UA:14532435:14533892:-1 gene:DexiUA01G0007780 transcript:DexiUA01G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLRLVHENKLQAVKDYLEDTSKSYGSPEDNENALRFLSAVELTERNSRESMVSTVMNSIADIPDSELENIRRQLLSDFSPDDMCPTSAHFFELPGKNAELRTDDDIDYQEAELIDVTNENYTFAEVSATKPTSANIPVVTTNLLSIDELLETVVNDTSSQTQKCSSLAVTPDIPPFQEMTSHCEALSMGNHHKMSVLMSFKHSKQVAIVPNSQNTNPFLQQSLEGYPQSMGMAAIGGWQDASDVQLQFLRLPASSPYDNFLKAAGC >Dexi3B01G0024830.1:cds pep primary_assembly:Fonio_CM05836:3B:19489883:19506528:-1 gene:Dexi3B01G0024830 transcript:Dexi3B01G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVPILMLLMVAAATTAADGGVRRPRRILVGTDVDTDDIFAILYLLKHDRSEFDLKAITISANAWSDAGHAVNHLYDLLYMMGRDDIAVGVGGDGGISEDGTIYPNVDGAIIEQEMNTVGGCRYRQTIPLVGNGRLNVNTNYGIRRAVLPQGNRRYLPLQQPTTQQMMIDTITAGPTTIFLMGTHTNFALFLMSNPHLKKNVEHVYIMGGGVRSHNPTGCCSKSSISCVTQQCENHGNMFTAYTKDPYAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPITENFFKAFEQKQNTYEAKYCFQSLKIARDTWFDNQFYTSYFMWDSFMTGVALSIMHNGERQNGDNDFSEMEVMNITVVTSNKPYGVHDGSNPFFDGHVRPKFNLLDGGIYSGHVVTGLDDPYCVMEGGVEGKCQDGYTKEVQGPESVAALVAVKAKPNRNASSPLDREFFNNFLEVLNRPAHSGLFNFTNLFPHCKEVMYKPDFRNRIRGMPVIFDMDMSPGDFIALLCLLKANIEAIDLKGILVNGNGWSNPATIDVIYDVLHMMGRDDIPVGLGSVTALGAPELGCEYVKAIPHGSGGRLDTDTLFGLARMLPRSLRRYTAENSMKYGAPRDTSHPKLRQPLASEVWQQVISELGPIDKITVLTNGPLTNIANIILSDTKAKSMIEQIYIVGTHLIDGEGEGNLLTVPSNKFAEFNFFLDPKAAKTVVESSLDITVIPLRAQRQVSSFGEVLRSLRSAEKTPELSFVYRLLVLMQKLQKKHQTYSHILQCLLFFLHELQDMFLGEILGSMFLVRQSHLEFSITEKAISVDMGHVSMDGQTILDEINGKPVKVLDRLDSGAYYTELAKLLAAKKQSALVGSFDEQKRMWSKANYKGRAGPGVVK >Dexi2A01G0003310.1:cds pep primary_assembly:Fonio_CM05836:2A:2862730:2864507:-1 gene:Dexi2A01G0003310 transcript:Dexi2A01G0003310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAQALRALLSRCSTKCHRRASPSSSSSASAAASFSTLRRIAPSPSLPASRPRCLSPSPPSAARTQTLSLASEAARGGVGGRESSTDDDEEWAIGDGGDGGGVVVLDVKWGERALAAAREVLAGHFGDDVAMFAFKVSPKGYVYVRLDKLTNIQHQIVFVIYLDHDILCLSFWEYWQVSSPGAERLLKVPEDLDRFKDMTMRVQYLVEGDNDLVSKQNLLKDGIFLLESVDTQAEHCVWKLADVRENRAEAGKGRPLNRKQKDWRLQTSFTSVKKVTLYLDSN >Dexi1A01G0006140.1:cds pep primary_assembly:Fonio_CM05836:1A:4597179:4599402:-1 gene:Dexi1A01G0006140 transcript:Dexi1A01G0006140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQQDPEASPVRYQRHRAEIDDECAEVLGIDVRGPDADPFDIPAKRAPVERLRRWRAALLFKEAGEMQNGDRELPAIQK >Dexi3A01G0029000.1:cds pep primary_assembly:Fonio_CM05836:3A:30214712:30215887:1 gene:Dexi3A01G0029000 transcript:Dexi3A01G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQQPTRRPTDTTIHDLCDDFLLAIVLRLPSLPSLIRAAFACHSFLAAIRSSPAFHRRFRELHPPPLLGLFFEPYGPYIPSFAPLHRRSDPDLAATVRGADFFLTRVPATNDASPGWRVMDCHGGYLFLLNFEAKETPAYNPVTRVRHLIALPHLDGVLACHGVHLISDEAPGPFRVVCVFSNESGVRAMVFSSCTREWRGLPLEEPAPEQQGWLPQGKQVNGSLYWAHKEQAYMMVLDTATLQFSSIDLPHHLKGKGHLYRVGESKDGKLCIVCGIKFEMFVWYRGPDADGADKWKLSKIFRLEEDVLKAEDVLKAMGGSHLEHSVLEMMGIMDGIVYLSVLDTSEDAHLPSWFLTFCLKKGKLDKLFRKQNVNYVNPYIMVWLLDL >Dexi9A01G0004910.1:cds pep primary_assembly:Fonio_CM05836:9A:2697726:2699143:1 gene:Dexi9A01G0004910 transcript:Dexi9A01G0004910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFISTLFTPPPAGDDLDSAVVAAHSKATYDEQWEAHKSRGKLLVIDFSASWCGPCRFIEPAFKEMSSRYTDVIFVKIDVDELADVARTWKVEAMPTFVLAKGGKEVSRVVGAKKDELERKIGMFK >Dexi4A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:4A:1033860:1034325:-1 gene:Dexi4A01G0001600 transcript:Dexi4A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRTCSFTLKGPGLRVNSHARPRKKARSGSAAAMRRPTGRTVICAETAVMVSGSLRYPKKALRKDSAAQDAVPSTHIRNVSTGVPGSSSTGTVRATCSTGEFSHSSSGELRGADVE >Dexi9B01G0012100.1:cds pep primary_assembly:Fonio_CM05836:9B:8050722:8050952:1 gene:Dexi9B01G0012100 transcript:Dexi9B01G0012100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINVLKGLPDNSIFRGILVGTIIFQFIIVQFLGDFADTTPLTQLQWLVSVLFGLLGMPIAAAIKLIPMEPHEGN >Dexi1B01G0010830.1:cds pep primary_assembly:Fonio_CM05836:1B:10660455:10661533:1 gene:Dexi1B01G0010830 transcript:Dexi1B01G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIDKLFHLKYLSLRDTKMSKLPSGILKLYGLETLDISNTFIEELPSEIVRLIKIQHLLAHHGFNGASGAMKIPNGIGNMRNLRVISGFNVINSSLSAVEELAYLKFEEGAMPKLEKLEVPFFVSVAKANGFDLGIINLPCLKHAKIRLDNTDATSSESKTAAAAIRNEANAHPSHPRVLVYGEMEQDVEENDIDKEASCTNEEER >DexiUA01G0019680.1:cds pep primary_assembly:Fonio_CM05836:UA:40912178:40913426:1 gene:DexiUA01G0019680 transcript:DexiUA01G0019680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLAFAALAAALLLAVAAADVGSIITQDVYNSMLPNRDNSICPANGFYSYDAFIQAANAFSGFGTSGDDEANRRELAAFFGQTSHETNGGAAGQYTWGYCYKEEINKATSPPYYGRGPIQLTGQANYQQAGDAIGEDLVSNPDLVSTDPLISFKTAIWFWMTAQSPKPSCHDVILGNCTPSSADAAAGRVPGYGAITNIINGGIECGVGPNDANVNRIGYYEHYCDMLGVGYGDNLDCYSQQHF >Dexi3B01G0035960.1:cds pep primary_assembly:Fonio_CM05836:3B:38911989:38915581:1 gene:Dexi3B01G0035960 transcript:Dexi3B01G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVLLRCCDVELKPSRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDDKIDFSFRLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIVESIIDKVC >Dexi1B01G0003040.1:cds pep primary_assembly:Fonio_CM05836:1B:2470786:2481890:1 gene:Dexi1B01G0003040 transcript:Dexi1B01G0003040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKISNEQPLENGQEEAELDGTSAHQSNGIHKEKVTDSLSHGESITEASSLLKHEKDEEAQGHGQKDLEGVTVDDDSVQENTLKAESAIEQTDDGQQDQNQEPEKANDDTQPASIANNVDVEVVTEAPTGPQTPVEPHLDDSGEVPGSIDEMPEPSEPTKADCVVHLDHNASLPEDTSISEPTEEVVKVEDQQSQQDDMMDADVVQEEMLTCEETDVQEEVPKAEHADELATNAHEALNQESIEETDGPMDEKIEDTVHQSNMEVPEETATRETPVNIEVQSQESLEEIEDAEAVNPEAAIQQRSIAFEEAIPEDQHNLRITVDGATEQEDALESIEKTENVQQDQDVGLEKATENTQPASMANIPDVEVIADAPPAPVDISLDNSDGNTETDESAKADGVSHPDHKEAFSKGTSIAEPTEEVVGVEDQQNQQVDAMDEDVVKKEVLKSEHTDVPITDAHEVLNQESAKETDGSVDEKIKDTVHQSNLAAPEETTTREPPVNIQEQNQESLEQIEDAEAVNPEAAIQQRSVAFEEATPEDQHNLGVTVDGGSMQEDTLEAIEQTEDFQQDQDVGLEKATENTQPESMTTIPDVEVTAEAHFGVQTPVDTNLDNSDGNIETDESAKTDGDSHPDHKEGFSKDTSIAESTEEVVEVEDQQNQHIDAMDEDVVKKEVPKSELVDEPATDTQEFMNQESTEETDGPVNEKIEETDGPVNEKIEETEHKSNMAPPETTPEHDAQPRDPPVNIQMQNQESLEEIEDAEGEMQENSATVDTIPEDHVATAGPCEIQQVHQMESEEINGPGDAKDEEITNNSSTENAVQDKILQSGPTTDIQPVQELGQSESKSAGTYEMDEASDQTHAVVFNGLDQQDVAETSEPQVTETDELKNIEVTEAQEFTTQGYATPSKELSAEDDSTSDEPHNNDFPQTLEKDSVEVKETISTTEDTVKDSVTAEEPTCDSQEVDNAEPAEETKESIAENIVEASECVMVDNAVEEENVLPTEDAVETHMPESEPEETKSTEPVETEESSNQTNAVLFNDSADGDNTPESAIRQAESATETSETETVPQESDACVSEEPDHLKESETNCDSQEVSIIESLEAIDGDKDIITGEISGQNNMVSARESAQENNVPESEPSADIQPLQELEPEAIKNTGLMEVKETSDETNTTVYQTPIEEDNPAIIELHESARELTNSEAVEAGTPHQSSDVQSEEEATDKIESEPQVLEPESVKEMNNTETTAPQNTSQDNIISTSGESIPEEIATEDSIIEPEVDEKELQDQESANFKEIEDDKPQEIASLSTLSTSEQSTSKDKATSTEQNIQAENSEPAEGTEGTEDVKSDTALAEVAAPEENLETYATTDMSVQKPEPQETKDAEPAGTEDVVTSDALPAANMDMETMETEVVPRELTEDGTEPVLELEPVEDTQGTNTTEHPGEPHGSPSDEPTPTEEKPAVIEPAFDTQQVQNLTCKEIKDSEDAETDRFSDLSSFPTPEEADQESKVQKLGSTEKTRDIESMGTKDHQEHGVSNLEDHSEPNVDDEQVHEEKVPEVKDNEAMEAKEVIQESNIDIPDDAAEETSELRSDPDSYVQPAQQVELCKDSENSQLLKAQETSGQVNAVALEETPTEDTVVSEIDPPVDIKQDHEQEPVEEIKGNDENEAEEEFHTSQIDVIEKPASEDNMTSIETTYGINQENELEAAKEINSTEAINDGEQTENATSEDPSPIENETSQEGNPAELNEQTVGIETDNAMLVTGIKDEIQTSLELQDDACDFGETVLTTQDSENVTANDAVQSPGDDILETSNNTDQVKEEQKDRYEHNSSKMSGTQNEDNIINVQDRDISGELLTKIGTEDASQALFESDPLGAQDVTEKDDTIEGGEQTSDLNSQPDDVAFQPHTCEADALSIEKQDEVVQKVDLDQQQKEDEKIESQKEELQTDEQKHEDNGDDFTTESLVEYEGIENDPTYRTEDSNACEAEQTETVSKHEEVPQASEESVPISMDMKVENVKRIDEGTEDSAEAKNDNKEVQESTENDDTVAKDSTDKHDDTTGEITNEELEPDLASSGQEASDPAPSNEDILQSDPASITQTIESAEHREDKECTEKVNDDVHAIQASEEEITDEIKENKEVQNEDNAISYDESRTKPEEEEEAQLHSNEPCSVDAKTDDTTTLGEEIVPGNEIINPREIEEIGESKGLDSTSEPLVESSIQHNVEQDLNSHHKVEGEKISMSEQNAVNIESMQEKADASASDINQTKQCQEEINIDDIPQLEVEENSFGKIDETISYAETSTTDAVTINDNIIDKGNGADGGPSDGSLQTFKNSERDLDVASVITASKEESVNENMEDHKLALPAQGENTLEQAPCLEKTEREMPSSEKLLPTEPEEKQIPNESNEEESQDDNQMPNEKNEEEMQDTEIGDAQKEVEQDLPVSHFLMNLILGKKNSDANENSESEAETKQEETTEDESCVIISKQEESLGSVPTENKVDDNLTFEPENHDVKCTEETQEIDKEESDNVKLDTERSMETDGEFNKNTPDLEIAAYHGNPQVSISGEPLSEEAASVSTKMETRDIEIMNLGLDDRVVDTVCQESTEASTEVENGSLKSSINDLTNTKASGEDTLGEGQIGLLHESLPGDKSADAVSEQTPLSTESGTTDAKHLSCDAENIQNLACAKEDEPSESSVIEATSAPDSQMVCEEVEKKEEQKYASTATGQVSEEGSYDNIQKSKSTEVKTDEQAPQMTEPVSNTEKILAHEEENSEACMEDRENSNISIEGAENFQTAVEIQADGPNMKISQDKKDEIADNKTAMEPETDTEQKSPEVTDGDQQLLVQKETMIKEQAVPGTVETQEQTMSIKSNEEQFDSKVQERDLNMISPREASEAEESFVDVKPEFSTDEEQSPKADAEEIAYDEKTKEVEETKNYTDGAAMKTEAPGATKKAYKKLNLLSGVGSKVKHQLAKVKKAIVGKPGNTKPDSPKS >Dexi8B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:8B:9058531:9059415:-1 gene:Dexi8B01G0007480 transcript:Dexi8B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPGSGTLAPASRKRPRIPGSASSSAGSCAGGECVVEAASGGSGSPRAKRRVFAAGGGDVLPACPDRRATAEQW >Dexi1A01G0015860.1:cds pep primary_assembly:Fonio_CM05836:1A:22869408:22869698:1 gene:Dexi1A01G0015860 transcript:Dexi1A01G0015860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACARMSSGIANDDDMAPSSPLGPLSRRHLIRSSSRRRNVGGERTVAPAPSSLGQVPDEGTNPWRRCAHQPRVARRSPLAHRHRWIQQRRAARLL >Dexi8A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:8A:29928096:29933713:-1 gene:Dexi8A01G0017790 transcript:Dexi8A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYVTAATGALGPVLQKLNALLGDEYKLQEETRQDIMSLKSELEPVHDLLGKLWGKDDLDAVCKDWMAEARELSYDLEDDINRSERGDSGFINKFMKRARAVSSRRGEMQMIGICCGCKLATDTGPRALFRHKDASELVGMDEKEDEFGQLLQEHEMVCIVGFAGEGKTTLADLVYQTIGDRFQCRAFVSVHQNPNMIKILGTILSQVTNGAISAACGSEPGAEENIINELSKFLTINRYLVIIDDIWGWEEWEVIRKALPKNSSVGALAESPMQEGGYGQWDSWKKQVAEVYFEKLVSRNLLQPVQRNIDIYRVHPMMLAFLVCKSKEDNFVACWQYGGSLGSSSKHGKGKRIRRLSFYCMDGYPDEDVSHTRSLVVIGPQEHLALKEFKNLQVLEIDSEFLLNGHLVDICGLIWLRYLHLKGRTITELPREIERLKNLETLDVSGTGVKELPREIGGLENLEILVISGTKVTELPKEIGGLTKLETLDISVTKVIELPWEIMKLQCLQNLDVSWTGMRELPKEIEKMQSLRTLDIRNTEVRELHWKASQLPNSFSMLVGDLHSHHVVKLPQDVSPDWENSSVAPYETKEGLSIVLFDRFGSRWEPIPVPRLKVAGRHLRVPQWVKQHLSNASSLDIRLWKLEKDDVEFLKTQMPNLQALALRLEVLPEKPIAITGGEGFSKLEAFYIDCRLPRVITFQRGAMPKLKHLEFKFYNGRASKDYPSMGIKNLPQLEKVVFRCSENYTDDGPGISAMIELVRKEAKDHSNKEINFYVNADKEKASR >Dexi4A01G0001500.1:cds pep primary_assembly:Fonio_CM05836:4A:949863:951275:1 gene:Dexi4A01G0001500 transcript:Dexi4A01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKPKARKGAPAKLGTVTEAPVAPAAGGKGGKVPAEEVWEVRPGGMLVQKRGGGASDDEPSPNVKPVPTIRVKVKHAGVTHEIYISSEASFGELKKLVAAKTGLHPDDQKILYKDKERDSKAFLDMAGVKDRSKLVVVEDPEAKARRLIEERRNGHLEKAARAVASVTAEVDKLAPKVAALESSVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRMQVKRVQKYVETLDAVAAKNAAIIRKSGEKAKQAPPPQQQQHQQPRQQQQQYNRQQQQPAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPPTNRLDWMLF >Dexi5B01G0025310.1:cds pep primary_assembly:Fonio_CM05836:5B:27366928:27369274:-1 gene:Dexi5B01G0025310 transcript:Dexi5B01G0025310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRAALTYQCAWRRRVASKELRKLRMAARDTQALKVAKEKLEERVEELTSRLGLEKKLRADLEKSKAEEVSKLKAALHEMEQQVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIDGLKI >Dexi5B01G0028490.1:cds pep primary_assembly:Fonio_CM05836:5B:29835478:29837079:-1 gene:Dexi5B01G0028490 transcript:Dexi5B01G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAHCHINANNGVHHNSGGGVPAETETEPPLMQSSRHLDAEEFRRQGHQVVDFIADYYASMGDYPVHPNVTPGFLRRQLPTDPPSHPEPGAFAAALRDVRDLILPGMTHWQSPRHFAHFPASSSTVGALGEALAAGINAVPFTWAASPAATELEMVVVDWLARALHLPEPLLFRDGGGGTLLGTSCEAILCALVAARERKLAEVGSRRIGDLVVYCSDQTHFAFRKAARIAGFHRDSCRELPTCRGDMFALSPAELRAAMEADVDAGLVPLFVCATIGTTQTTAVDPIRELCAVAAAHGAWVHVDAAYAGSALVCPEFRHVTDGAEAVDSFSMNAHKWLLANNDCCALWVRRPSLLTAALGTEQEYILQDAAAEGHDVVDYKDWSMTLTRRFRALKLWLVLRCYGVEGLRGHIRAHVRMATSFENMVRDDARFEVVVPRQFALVCFRLRSPEKFGGEKTANELNRRLLEEVNATVSGPCAIGSTLTEERHVHEAWKVVQNRAASLLRKMEIIYNVLA >Dexi9A01G0014250.1:cds pep primary_assembly:Fonio_CM05836:9A:9336219:9339110:1 gene:Dexi9A01G0014250 transcript:Dexi9A01G0014250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSVLRDYAARNELDKIIFSGEDILFGSDYTFPANTPTAFASKQSGRPYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRFGHNSLPSADPLLPSAFPPQEAHLHPPSPPPEGSRAADEPTTGAQIRALERPFKDRNSLLDSRGRDFLAVLQGALRRQEEQRKGGGKDSAPSSRPDSGAAAASLSKPKLVDRSLGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRAERAGAAGGAVSFEVRDKPASLKPDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRDDSVEAAKVISKNKRHQDRTAALEIWERLEEFMRSRT >Dexi9A01G0044610.1:cds pep primary_assembly:Fonio_CM05836:9A:48224497:48225338:1 gene:Dexi9A01G0044610 transcript:Dexi9A01G0044610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQTALLPEAAASNKRPPCLSLVGGVVASHTTATSKKRRRDDRDDDDRENSRGEVVDGIELNFDAAPLPPEWQRCLDIQSGQIHYYNTRTHKRTLKDPRVAGEPAPAAEEADEDDSANCAPPGLDLELNLTFSPRRAVAPEKKRIRPTAAAPPPPPADRRHHRPAEAAAAEDSGREMVAGVCVRCHMLVMMCRANPACPNCKFLHPSSRAAPPPPLEPEPAPLKLSLQLLCCRD >Dexi1A01G0011090.1:cds pep primary_assembly:Fonio_CM05836:1A:9918136:9919137:1 gene:Dexi1A01G0011090 transcript:Dexi1A01G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVPKFGLDNSTLCKQLLDGVLLPTQAEEMEIDHLLHLLYLAFVPTAEELEAIPASPTLLARCVAWLRQMWLSFNTAVSVRFAFVRDMPRVPGWTRLCTFMAFVHKVSAWFTKLLALIRVNNTRTEQDEEEPPEPPIMVAPNVTLLLEAGVRFETKASARHMLDVTFDAARGVLRMPRVKVDYADKAQLVNLIAFEQTRGSSSNGNNKLLSSYAALVGSLVRTGEDVEHLKRHDVVDNLLSCDHDAATEFFQRLGDCSSLDYSEEHHFAGMFEDLSQYYHSSWQRNQVKFVRDHCSSPWAVLAFVVAGCAFFFALVKFSTTIYSLSHPYCHC >Dexi1A01G0024170.1:cds pep primary_assembly:Fonio_CM05836:1A:30798342:30800867:1 gene:Dexi1A01G0024170 transcript:Dexi1A01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDIYVVDSLDRERIGKAKSEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRTWHIQGSCAVKGDGLYEGLDWLASTLKELQASGHLPSGGTSLF >Dexi8A01G0010520.1:cds pep primary_assembly:Fonio_CM05836:8A:17960394:17961497:-1 gene:Dexi8A01G0010520 transcript:Dexi8A01G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGFFFPYDGSSYDPYAHNRAAPSYGHLPSSYPRQPTTACGHHLYSDPYCPAASRAAMGSHCTGDSFSPADACWDAKLAEVVQMLRAAANRKPPRPRPLSIPINIRGLDAAAEPETEPADRTTEVLTPAVALVAAEKQKGGPSVEEEEAAAARGFLARRSVRAVRRVEWETAAVGRIVAEKAAALRENGRARLAAGEVLMKMLLRLDGVRGAREYRRQVTRRLLALQDAVDALDPMAAPPSPEAGAHEAEPEAEVNEERETSPGDTVLDVCEPQDSDAEGEWEMVAEESADAPPRQEPPEQEVTTAAEAGAPGGVDTAGLAEMVAALCEQSAQQSAVISALTQRVDVLERAVRQTEKEVER >Dexi5A01G0033370.1:cds pep primary_assembly:Fonio_CM05836:5A:35722334:35727500:-1 gene:Dexi5A01G0033370 transcript:Dexi5A01G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLRWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKEQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPTCYSSSMKTLIKSMLRKSPEHRPTVSYYIFSPIVEHSPTVKNKLDERLHPDPAKQFGEDVDASSGKAKSKTSPSFIRCTSIPARRQGVASPSRQHTGIKRAHNKVMTEHAKIPCRPPHSPSNAIVELPVFPMIPPSHLDGGIHRKTANSRAKSAPPRAVATKEESSTCSSSTVGYADNTNQSEPSEPDSPTYLVPSCKCSIPDTSIQAYGVTTKPNLEVEATSVQKKMTSNGGDIGPISVMQPSSDITEQEFICKDDMPSSKVDQDSDRVTAQGDEDKFTVQELLSPVPDAASFLPTATTCISPDRGSLPLHSSEKSSAAHVIPPVEDVIHVISHSSSHVNGEQAVTETEAQSTVVGKLLNVVREEGDVRTIQPNLIPTGPIDSPTLKPSVVQANTTIQKPDSTDVIKLPTVQEGDFSTPGTNNGFKEETVPPKEILDVTSFRQRAEALEGLLELSAELLEHSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSST >Dexi6B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:6B:24501957:24502307:1 gene:Dexi6B01G0017310 transcript:Dexi6B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAIRQEAAARRTPRPQDPPNPSPPRLSSSSGPPLPPARPAHGREAVVAASSGISADREWSALLVSSRLVPSWLRVLGRGRRFLACWCFAAARRVCVTGAVGGGAGPGRNRIRNF >Dexi5A01G0031250.1:cds pep primary_assembly:Fonio_CM05836:5A:34027031:34028382:1 gene:Dexi5A01G0031250 transcript:Dexi5A01G0031250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGGRRLVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDEGETKHLQALDGAATRLRLFQMDLLDAASSMRPAIEGAQGVKELLEPAMKGTLSVLRAAKDCGVERVVLMSSKSAMVPNPSRPADKAIAEDDCWADVELLKKRQIWYNVSKTLAEKAAWEFAKNEGLQLVVLNPGTTLGPFFTPSVNTSLNILLQLLRGQELELDAVYTGLVDVRDVAQSAIVLYENPSAQGRHLCLASMERFVDFTEKLADMYPEFPVHR >Dexi9A01G0049890.1:cds pep primary_assembly:Fonio_CM05836:9A:52375427:52376344:-1 gene:Dexi9A01G0049890 transcript:Dexi9A01G0049890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRLRLLAVLVAVLLVSSALPGSHGGGIAVYWGQNGNEGTLAQTCATGNYAFVNIAFLCSFGSGQETPQLNLAGHCDPYSNACTSLSADINLCQSKGVKVMLSIGGGAGGYSLDSDQDALKLAQYIWDNFLGGHSDRRPLGDAVLDGVDFDIEGGNPDHYGALAAYLKSYAKQQKQKKQVYLSAAPQCPFPDQWVGKALATGLFDYVWVQFYNNPPCQYTPGSTANLINSWKQWTSGINATYIFLGLPAAPDAAGSGFIPVGSLKSQVLPAAKASTRYGGVMLWSKFYDDQDGYSSAIKNSV >Dexi6B01G0015100.1:cds pep primary_assembly:Fonio_CM05836:6B:22499969:22501485:-1 gene:Dexi6B01G0015100 transcript:Dexi6B01G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLLLKGTVADVEKKDMESNDILRFEQLRRELIELEKRVQKSADDAQKESSPVFQEMGVTDETTEASPVASVPSGQTSKKENVITKSVEKVKETTTTVLQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPLTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKVKEMKVAEGSSEDLLETVGSRTEQVK >Dexi2B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:2B:8116898:8117842:1 gene:Dexi2B01G0007930 transcript:Dexi2B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSLATAPLLLLLLLSVLVATASAARAVGGGDAVQDACSKSQFPKVCADGLATKPESQTATPRELAAMFVGIAVEKGQSMGASIVLLQGRASGGKDKAAIVTCYDSCSDDVDEALAHLDGLLREPVDAKFLELKSWLSSTLGGTSTCEDACKDIPKTSDREQVVGYSVEFEKLLRVTLDLITQASGSMSADIALPPSDASAPAAYGAAAPPLVSSSATAEAPAAGDSSEGPASSAASSGPAAAADSPAAYGAAADAPSSGGSASAPAPSGSPAADAPSSGADAPSGGETDAPAPDDGASGDDGSDDSDDKA >Dexi1A01G0003990.1:cds pep primary_assembly:Fonio_CM05836:1A:2936561:2937784:-1 gene:Dexi1A01G0003990 transcript:Dexi1A01G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRVFQEEKSWQRSSRHRPDPDPAYIYIDGSIDRSFQHPRSYPTARSRANRSTSTTMAVVSPPASLLRPWSDLLPELLGHVIAFLPFPSDRARLRAVCRGWRSAARRHVRQLPWLVLPDASFCTIAADGDDGCTSFFFRRGNIPGLPDDATIVGSTGAWLAVDRTDDAYRRTRFIPGVYPRRDVNHRHSYLLHNPFSGETVPLPELDDVMGHVSDKFEVRKVLMRSPSAPADDVITVMTNSCSCNVILCRPGKGVEILPDFGICDVAFLGDCLYGITAHEDLLVFELELDDDEDDDDGNRPIVVNGRRVIKHPLADGEKDPWSWMDDNAGNGNGDGVDNDGNDDGDVVDNDGNDNADGGDNDDAPDQDEESDRFKGDNLVSDGKDIGPYTEVPYQGHAITCHSRF >Dexi5A01G0015880.1:cds pep primary_assembly:Fonio_CM05836:5A:13811173:13812737:-1 gene:Dexi5A01G0015880 transcript:Dexi5A01G0015880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTSASRLPAALSAELTAARSHPRPPPVFLPLHGHRAPRLGTAATWRRFRAEAMKAQREKEQTEVAVVEESFPVRETAPLDGAEDLMEPTDESWVVKLEQSVNIFLTRS >Dexi1B01G0006910.1:cds pep primary_assembly:Fonio_CM05836:1B:5715405:5716025:-1 gene:Dexi1B01G0006910 transcript:Dexi1B01G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGEAADGDVESRFRGVRKRPWGRYAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARMLRGPKAKTNFPFPAASHHHHQIPAAAAAYTPYTTTTPGASTPPVARPACSSLSSTVESFGGARQRPVLPPRPLPPPIPDGDCHSDCGSSASVVDDDCTDAAASPSCRLPLPFDLNMPAGAGCGAGVGWYGDEDDELRLTALRL >Dexi2B01G0017510.1:cds pep primary_assembly:Fonio_CM05836:2B:27892624:27894977:-1 gene:Dexi2B01G0017510 transcript:Dexi2B01G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSWVANKIGGKQEPRRSAATSTAPYRSKVSECRNDEFSDWPQSLLAIGTFGNKQIEEEAQSSSGNVQSVQDSVKFTEEEVDNIRKEFEVLLEGNGQAEVQSSNADEQVASQKRVDEDDKEKHQDQLMNKEIIISKAKEIVGKKGGKIKPRSIASLLRLFVCKGGFTAPIPEPRNSFPQSRMEKLLKAILQKKIHPQNSSTVATRRHLDWKLDEKEINECLEDALRDLDDDGAKWVKTDSDCSEGLGDLMGLWCYS >Dexi4B01G0010480.1:cds pep primary_assembly:Fonio_CM05836:4B:7820973:7821206:-1 gene:Dexi4B01G0010480 transcript:Dexi4B01G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFAAVVPVILLVIMGFLAISGAARPLSGEVWPPAGEAFLQQMYLQKLGAGPSCRTNSSNGGCPQRP >Dexi9B01G0040880.1:cds pep primary_assembly:Fonio_CM05836:9B:41384518:41388335:1 gene:Dexi9B01G0040880 transcript:Dexi9B01G0040880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTTNSASASPTPAAGDHPRVLIRIFLSRETCKELEFVHRSCAAAGYRPSVLSTSLPHLAATGCGHLLLPWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADSRNVHCVNEL >Dexi8B01G0004540.1:cds pep primary_assembly:Fonio_CM05836:8B:4153108:4153701:-1 gene:Dexi8B01G0004540 transcript:Dexi8B01G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGMILVTLLFIPLAVVLLCRLPHAPAPAPSSSPPAPAPEWIGTTLNQSVYIVWTVSLLISSYLSFWTYTLSRTMGATSIFLRVSYAAVLAYAAARFFGPVTGMALAHLTTAWAAGLLGYALAEYRMDDGGELAADEAAARTPARRSEGEELTLFHSTFMIVLMTLALASGVVWIVFFPSDDDVGQFLSSMGSGT >Dexi8B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:8B:20586331:20590718:1 gene:Dexi8B01G0011520 transcript:Dexi8B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRVLELRDRLDRTLAMPDLAEESLLKALVKKQILASSLTGAAEGDIDLIAETRAKEVSEFLEMLNTSSDGQSSKVHGAPQKEWKISNLDTINVQTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKERSFFRAIANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVATCDEDYKRALRGPLYTRIREYQDSDDKEKVTSTEENATERDPTNIFNSQ >Dexi9A01G0036270.1:cds pep primary_assembly:Fonio_CM05836:9A:40769321:40774793:1 gene:Dexi9A01G0036270 transcript:Dexi9A01G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAPAPPPESQFSSQQSTPPPPTAESVLSQASRDPSGAASHLPELSQDALSDILGSLSASSPAGHLALLPAVLSLSPSPTAVSAAFTALLSAPTWPSSTLLAVASLLRDLPPAYRNRIPAFLGKILSLLPSADAQDLPAVAYQLLLLASKPLHPRAVLAGLLRFFGGRRGARVRAPPSIARQVEGTVLLNVAFVVKQDPVLAREVLAAVKADAAGALSGFSVAVLLSVARVRRLNEGAVGVLRDAAAVSRRDYRMSRRCKWLPDCMKEEWARAAQCVEKGLLKAVDESVVGREHVVPSIVQVGFLLLEVLDSDRTEEGGLGEGVMSTEEIGIKMLKSLFEIHEMARTEIIEQCKFRILSAKPQQSAPVLRLLGCLIRGHPFPMLEYIAHLKELLDYFSFMNDKISTGLISWILPLTKFSHDLKDAECPLKIDLCFKMENAKLCILEPLDCLLSCISRILRIQQNNKCERPHDANWKCFGFAASQDNEAGRTSSSDLFVKALSRIQKYLRISLTEDQRGQSQEAGSLSSPSEVAHCHNLAMLGIIEVFVDFAASKLEKASEESKEMIEKEILELVDAHSGFERKTSNCREKISRRRGHSGDATDKHTNEPKENSNGTLQKLREKRGKFVDSSLYELSVMCVKQCHAGSYNNSSQLPSQTKSNQSSYLVSFVLKGFLESFKSLATKDSGDFRIKVSEDMKKLVQPIMQLIWRLLLDSNQENGGTKRNMTQGKKNNEGRKDQLYLALACLKELLKPNIPGGYSSDIIEVIVSSAPPNIEDVMDAGVPDKYDTTMFEDRSTKNVHVLLNILKMLYARILSQSLLRESEAVTELILGISRKLHLEQRHIVGNWATDLCGKKTVQNPSMAREVVKLAIHLTPSPDDMILVCEMAAELKKLMTLGEDNSRDSSDTFHIINCKTKNSLAAFYLQMVELSLTELDWGLGKLKAMVALGYDSATIDEDQPADERMQRLALEEALYSSSTLAVHVLSSFAHMSLKDSQAEHFLKLTAKFYKFLTRMSKSHIAPKGYTQSIPSLRFQKLAEVTCRMLTSPVYDFVSSVQEDQEAPRKGNLAKIRRESKCIPDLIYQIEDYEKCLIQLSKLTKVNLLRHAKRSVARDFRIQDKSEEQQHEENHAPANAAPSDTEADEDAGGENTPVGSYADGNVSSESEHDGDAGGLKAPGDGNADENIRPSIPRGSSFQESESDSEEEEILARRKRAKTKQVVQDSDEEADE >Dexi9B01G0030320.1:cds pep primary_assembly:Fonio_CM05836:9B:32843017:32844204:1 gene:Dexi9B01G0030320 transcript:Dexi9B01G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEYQIQMFLQDHITFLLCDYRQIPTWHKYDRIISCEMIEHVGHEYMDDFFSSCEYHLAEDGLFVLQFSSIPEERYDEYRRSSDFIKEYIFPGGCLPSLARITSAMSNASRLCIEHVENIGYHYYTTLMHWRDNFMYNKDKVLALGFDDKFIRTWEYYFIYSAAGFKSRTLGNYQIVFSRPGNNRLPDYVAVA >Dexi3B01G0016850.1:cds pep primary_assembly:Fonio_CM05836:3B:12329689:12330712:-1 gene:Dexi3B01G0016850 transcript:Dexi3B01G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRGKRQQGHPPVSVTPMKNSRNTPDTTSGRSRTAIAAAIRAPPARARPLTPRARRNKNRRAIDPRGSRAARPHGTAHGTRSAPRSSRPKPQADLTLRRVRERSRGCAVRSRLAALPPLLCSAFLKLSFSFYASFLSLGFHSSRPTPPSSFPLPSSRSPPEGTLASAAADHRRSRMASPARPAAASVSGAFGLSPDPKRCSFDQALRQKVPARRRSARDLRAPPCAVEGLGVVGVLTEWFRVHGRL >DexiUA01G0017030.1:cds pep primary_assembly:Fonio_CM05836:UA:36183050:36184514:-1 gene:DexiUA01G0017030 transcript:DexiUA01G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAWKAACASPRLAMVLVPGSRRYLLKLVGLSGPCKSSVTLTVKGTLVSDKDRRHWIVFQSIHKLTVNGGGVVDGYDETWWKHPCKITWKKAMPCKEAPTALSFHYCTSLRVQDLKIVNSQQIHISVEDCTNVQLARLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSQIVCGPGHGIGIGSLGDDNSRLESRSITIDSVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMYDVKNPIIIDQDYCDKAKPCKAQGSAVEVSNVVFKNIRGTTVTKDAIKLNCSKNVPCHGITLQNIDLKMQGGNGAAQSTCANAKWRKSGTVLPQPCSSKN >Dexi7B01G0012810.1:cds pep primary_assembly:Fonio_CM05836:7B:19522122:19528802:1 gene:Dexi7B01G0012810 transcript:Dexi7B01G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAPASACRVTAARATASSFLTARSPAGCRAVVWWRCWSARRGRRRRWAGLRTRCVGGQSSAVQPGSESAGEGLVVEEDGPRRPPFDLNLAVVLAGFAFEAYTSPPADVGWRETDAADCQTVFLSDVLLREVYDGQLVVKLKKGRNLPAMDPWGTSDPYVILQLNGQTAKSNIKWATKEPTWNENFTFNIRKSQENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNSHDITVELEGLGGGGTIEIEVKYKSYADIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPSSLESGGEVSENVEKSQDKSVESNELQQHKIDSVDGSDSHNEAQSPAAAVSSEGNVSSDMKESDEYFWRALNSVLNQNVLQNFGFSLPEVKKLDGFDLLRSLGLKSRELAEQKYLESGLATADTSTSDGIETAPEDAVGVDNENGALTTKADESSFLDINKVSRDVLSQTENILGALMILSKNLSPHDNKSVTTNEINGKDDMIIEQEVTAAEDSIDKDSTVALTKLSVDAQKAEDMRRLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNISTDTQVAIWRDSLRRRLVVAFRGTEQSKWKDLMTDLMLVPAGLNPERLGGDFKQEVQVHSGFLGAYDSVRNRIMSLIKYAVGYQDEDAQNIPRWHVYVTGHSLGGALATLLALELSSSQMARNGVISVTMYNFGSPRVGNRRFAEVYNSKVKDSWRIVNHRDIIPTVPRLMGYCHVEAPVYLKFGDSKDALVM >Dexi4A01G0012420.1:cds pep primary_assembly:Fonio_CM05836:4A:10964438:10965787:1 gene:Dexi4A01G0012420 transcript:Dexi4A01G0012420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSVILSRIVRVGPGPGNAAAPDFTLSVALAPGLSALAAGRGAQPNPESPDQYPYIIAAGSRCLLAHFSVAPFTGTLFANAPVDSNLVVARHFHTGADGGQARAAAERIEPRTGRFPILWNIGSVALATNDGGEYTIAELQADTGTDRATIVYLRSSGRTGWAGKHVTYPLAAHHDRDWIPNGAAYADGALWWFDLSWGIISYDYDASLPVLRPDSDVDLVFHPLPDARALAHATVHDTPDLEIKRCVTASRNKLRYVEIITEEGQAAASRVCMWSRSRSPDGDGWQWDARYAVSFEDIWDDDSYMATGLPRNVPVLVVVSPSDPNLVYFALEQNIFGVNVPEHRVVSHQADALVVMPWLPRPLCGRFVVAWDLPPAVAQAL >Dexi6A01G0013960.1:cds pep primary_assembly:Fonio_CM05836:6A:21296830:21299274:-1 gene:Dexi6A01G0013960 transcript:Dexi6A01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIFQSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYRVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGRAMKIEVIGSDLGLPMTPRINVVGASNGRATRTVVMTPEFSQRGRGSSSRPLSNPSNRFNNRDGFQAGRGRAQFQARGRGRAQFQSRGRGRGQSQGRGRGRKPEKTADELDKDLESYHAEAMKTD >Dexi1A01G0010750.1:cds pep primary_assembly:Fonio_CM05836:1A:9287094:9291244:1 gene:Dexi1A01G0010750 transcript:Dexi1A01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAAWPSRTHAPEALHQQTCRASRSLGDDDPTPRITAPESPSNPLIISRDLPISHSTRSACLPRSAMPTRALAPLLLLFLLAVAGAAHGRVISGVVDGGGLAATSTVLRLPSEAAAAVGAVENGGGGCEMTYGFLPCTDTVAGNLFLVLAYGFLMFKAATYLSAGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTATDSRDTKGFSLFGSGVSTDAQTSYAARIMAISVIPFIIVQIPKIFKLHSGHRLSVLLGLIIASLLVLAYCLYQVFQPWIQRRRLEYTRLKHVMSGLLKHAQKHVFGRLLDEDGTPNVPVIEKLFQKIDQDNDGKLERGELQAFIIGVKFEDVDLDSSLAVDQIIADFDTSRNDVIEKGEFVNGILRWLDEAKRSVSDSGAYSKKFLQDFHTRTRDEHNLLLGKDDEDGEAIENPTWTCFKAISLLLLGTTMAAAFADPLVDAVHNFSNATSIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGAVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIIFLVCTIMGLFTSFRTNFPLWTCFVAYLLYPLSLIMVYVLDFKFGWS >Dexi4A01G0024510.1:cds pep primary_assembly:Fonio_CM05836:4A:27262360:27263523:-1 gene:Dexi4A01G0024510 transcript:Dexi4A01G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDRRCVWCEYYIGSTACWPENLRLQADIEQEAPSSPPYRPNRGGDGVSTELVQLIERDFEGRRQIYELMNETDAATGRGRGREGRRGYVTRRSGVWCQLLLRPARLCSARRCPLLFQMDWGERTAHTDGTGAKQDENNRSRCPVDDAGAAIGIGAQ >Dexi3A01G0016310.1:cds pep primary_assembly:Fonio_CM05836:3A:12237665:12237874:1 gene:Dexi3A01G0016310 transcript:Dexi3A01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGLHPISMFFPYLPTLGHGHRPPRQGARRGFGLASGDMLQGLIAYRPGTGTSPSTMTSCSGWTSSNP >DexiUA01G0019570.1:cds pep primary_assembly:Fonio_CM05836:UA:40816241:40818713:-1 gene:DexiUA01G0019570 transcript:DexiUA01G0019570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYTLSCFLLGTLALALAGRPDAAVQELDRVLSLPGQPSYSPALRQYSGYVTTDEYLGKALFYWFLEAMDKPDEKPLELGPFLVKKDVPELEVNPYAWNQGNFGNQIGNPYMDGDTDLWGIVDSAWHHAIISDNLYSDFQKNCNFSLVDLSPECIADLVQYNALNEIIDIYSLYTDRCELGYPDSNTSFSVQIGRTSNGRLNLMKMPMGYDPCTQTYATEYFNRKDVQKALHADHGGASHPFSLCRRKNPHHVNPVYAEEAWPANQGGLVAVVGGWTVVYDGLTFVTVRGAGHMVPLTKPEQALELFKHFLANTNLPSKPF >Dexi2B01G0014650.1:cds pep primary_assembly:Fonio_CM05836:2B:24469999:24471696:1 gene:Dexi2B01G0014650 transcript:Dexi2B01G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLPSSEEKMSPFMLAIAGAALLLGFLYLVKNHRSSSKLPPSPPSLPLVGHLHLIGDLPHRSLHALHLRYGIGGLLLLQLGRRRTLVVSTASAAADVFRNHDLAFSSRPHHAAAHKQTYGSRNISFAPYGDHWRRARKVAVVHLLSPRRVEASASARRAEAAALVARARRAAMAGESLVVRDLLYGYTNAVVTRVAFGAAGTTAERLRQLTAHSATLVAGFQADDVLPDAAARVFRWVTGLEKKIDKKVHDWDKFLSEIMVEHKEKTEHGQGGDGDFMDVLLKLRQEEGSDGFELTDDGIKAIAKDMIAAATDTTAVAMEWAMVELISNPRVMSNVQDEVITRVAVAGDGEQQPGNITDAELSRMGYLRAVVKETFRLHPPLPLLLPRESMSAAAVRGGRYQIPARTTLMVNAWAIGRDPAAWGDAAEEFRPERFLAGGEAEGVDPRGGGGGGDYRLLPFGAGRRVCPGIGFALPVVELALASLLRHFDWELTGGVRPADLVDMVEATGLSAPPRVPLVLVPKWKALA >Dexi8B01G0011810.1:cds pep primary_assembly:Fonio_CM05836:8B:21046481:21048804:-1 gene:Dexi8B01G0011810 transcript:Dexi8B01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLESPDPKIVANQNLCGEDASTVLNSSGSCNFQRLCKRKQGDSTDSSHSRGPCNDKRQSKDKSLAEVSSIMDKMYNDNVAGAENQASKHLPSTVDSQDEENTTHEGRQQQYKKEATDTTNQTHVNPVITYECSDSSRSRDSCKNKRQRKEDNSFADANPSDGKKYNDNVAGTDNQVAEHVHSTVESQCETNATQEGSRQKYMEGTDIPDQLHGNPVITYECADRICNMASCHNMIQRKDNSLADVNANDDKMHKDNVAGTENQVEQVLITVATKMMQHWKTVRKNFFDFGKLRDVNMIAVNEIWAFYDDHDFMPRVYAQINHVDASNLKVQVTIVPKIGEVWAIYKNWAPDWIPSSKGCPAEYAIGEIKMCTGTGTLFAFLTKVHGYISVFKPDAQNGALEVPMNENLRFSHRIPSFRLTKENGGKLRGFYELDPAAVPEILLYE >Dexi3B01G0019200.1:cds pep primary_assembly:Fonio_CM05836:3B:14231020:14232532:1 gene:Dexi3B01G0019200 transcript:Dexi3B01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESAAAGNEMSLSNMVLGFYEEAERERWPEDAAAAGAGDGSDDEGSSTAGGAESRAFWQEQRSLLHEALAKTSSAVRRIQEDTEEAVRQMRSTPGGVCSCAGRTAAAAAGAGCRGCALRFVAERLRDAGYNSAVCRSKWPRTPEIPSGEHSYVDVVVPTRSGKAVRVVIELGFRGEFEMARGGTEYRALVASLPEVFVGRPEKLRAVIRVMCDAARQCARENGMHMAPWRKQRYMEAKWLGTPERVAPGAQAVAVGSPEKPPSRFRASMLTLDFGGRTAVEVV >Dexi7A01G0017750.1:cds pep primary_assembly:Fonio_CM05836:7A:27309742:27312024:1 gene:Dexi7A01G0017750 transcript:Dexi7A01G0017750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSYGSGGAAVSRDPKPRLRWTPDLHQRFVDAVNKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQGKKSTGPELVNGGGFSAQGLSFPTPAPIPGVPAEEKNTGEMPLVDALRYQIQVQRKLQEQLEVKVQKKMQMRIEAQGKYLKAILEKAERNISFDANEPSDKIESTRSQLMDFNLALSGFMDNANRVCEENNEQLVKAISDDNHKDNNLGFQLYQVGSQEAKEVEWTPKTEDSLRLDLNIKGGYDLSSRGMQACELDLKINQMI >Dexi9B01G0022660.1:cds pep primary_assembly:Fonio_CM05836:9B:17450734:17452429:1 gene:Dexi9B01G0022660 transcript:Dexi9B01G0022660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIYMELLQDPLMSWVFLASLAFILLLLLWGQSKSAPLPPGPKPLPIIGNMLMMHQLTHRGLAALADKHGGLVYLRLGQVHAFAVSTPEHAREVLQAQDLAFANRPATAAVSYLTYGRADMAFAHYGPFWRKARKLSSTKLFSRRRAESWLAVRDECAALVRAVATRSSAGEVVNVGELVFDLTKNAIYRAALGTRDGERQAEFIAILQEFSQLLGAFNVGDFIPWLSWMDPNSINRRLRLARAALDRFIDKIIDEHIKRGKSPNDAGSDMVDEMLAYLAADELNTTDSSSKASVAGGDDQENILRLTRNNIKGIIMDMMFGGTETVASGIEWAMVELLRSPDELRRLQQELADVVGLDRNVDESDLGNLPFLKCVVKETLRLHPPIPLLLHETAMDCVLGGYSVPKGSRVMVNVWALGRDRATWKDAGVFRPSRFAPGGEAAGTDVNGGGSFEYIPYGSGRRSCPGMALGQYALELAVAQLAHGFDWALPEGVTASELDMADVFGLAAPRASRFHAVATPRLTCPLY >Dexi6A01G0015820.1:cds pep primary_assembly:Fonio_CM05836:6A:23704715:23706499:-1 gene:Dexi6A01G0015820 transcript:Dexi6A01G0015820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAWASVSQTAGLAGGSSVSDGRGGALLPPMAGFHLPPPAGLEHFSMDSSLVERAVRSSCFGAGNVTATAASASNGVHDEATTGDCSSGGPAPDSENMKRSNEDVLGMDHQAKASNASTDSANESSRSKGVKGEEENGMAGAATAVASKRKRNGSKGPDEEGEGYIHIRARKGQATNRHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLAAVNPQLGLNIEGLLSKDLIRFPGAPSSAPIGFSLSQSGMVQDGVHGMASSNGLRTVMQEQLNDRDSFREHASQSLQQMPRAMDGWFHNAGQTAYRAAIDPEHLSMRPAQDGFHL >Dexi7A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:7A:27252511:27253586:1 gene:Dexi7A01G0017670 transcript:Dexi7A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTLVSTAAPPRGKLSHGLALATALNTTCDCDNVPYPFGVVKSSEDIGKSLPGFEVHCGPSMEAMLSISKHEYRIDSVSVSGSYVVILAGPVTQVCYDRRHGKPTPATGTGPTSLEGTPFTFSKMNKLVSVGCNRKLIANFINPLGDPIPWLSTGCPTWCSGAGDAIISGSCSGEACCEVPIPDQVNGAQALTLSLNRTSSENATGEEYGTCSAVFFLDDGEQAFTSDDVGDDGMPLDKALLPQGERRMILDWAIGSSTCDQAQKYTFEPLCQGAATCVGAPSGVGYLCKCPEGLVRCKQVN >Dexi5B01G0016990.1:cds pep primary_assembly:Fonio_CM05836:5B:17952822:17954285:1 gene:Dexi5B01G0016990 transcript:Dexi5B01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLKTSYELVVNNFLAIVAVAFASSVVQRGWPVSVDDLTAWLHAQRRVHLLTAAILLLAVAKLRRTRRPKDVYLVEYGCFRPKPCFRAPFATCKEHVHLLPYLLIDKESLAFVIRLLERSGLGEETCVPYSYHYLPPERTLAAARDETELVVFSAIDELFAKTTVKPADIDVLIVNCSIFTPTPVFVDMVVNRYKLRHDVQALNLSGMGCGAGLVSIGLARNLLQVAPPGTHVMTVSTEILSSQYYVGSERSMLLPNCLFRMGAAATVLSNSPERARFKLGRIVRTMTAARDADYRCIFQEEDDKGVVGVRLSKDLAVTAGNALKRNIVAFGPLVLPVSEQLLVAVSLLKRKLLRKLGAKVRLYRPDFRTAFEHFCIHAGGRSVIDEVQRGLGLSEDDVEASRMTLHRFGNTSSSLVLYELAYTEAKGRMRKGDRVWMISFGSGFEVSCLAMECVKPAADADGPWADCIHRYPVQLPDLGKEII >Dexi4B01G0001110.1:cds pep primary_assembly:Fonio_CM05836:4B:719739:720070:-1 gene:Dexi4B01G0001110 transcript:Dexi4B01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHYSRYARFRIQISTHGNLSERGDRRWDRRVILLTWMREDGIGARHGTPPVLLLDSPPGSTGPLVKDKGMVN >Dexi3A01G0013990.1:cds pep primary_assembly:Fonio_CM05836:3A:10237631:10240955:1 gene:Dexi3A01G0013990 transcript:Dexi3A01G0013990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAAGGPWPASSSIVGSVTAALLVAAVVVRLFAPRLWSSSWIKQRRGTASSAAGARLPSGSLGWPLLGETPAFILAAYSPRPESFVEKRSVLYGKVFKSHLWGSPAVVSADPEVSRAVLQADASAFVPWYPRSLMELMGESSILVLGGGLQRRVHGLAGAFFKSPHLKARLTADMRRRVARAMDAWRRRLGSSSSSNPVRVQDEAKSIVFEILVRALIGLDEGQEMQYLRQQFREFIAGLISLPVKLPGTQLYRSLKAKKRMTKLIQKIIQDKRNRRVTEGEDARGTHPSDMIDVLLGNGSDEFTDELISDNMIDFMIPAEDSVPVLITLAVKYLSECPLALQQVEEENMELKRRKSDVGKTLEWTDYMSLTFTQHVITETLRMGNIINGIMRKAVRDVEVRGHLIPKGWRVLVYFRGVHLDAAVHDDPHAFNPWRWKERADVVGGGGGGGFTPFGGGQRLCPGLDLARLEASIFLHHLVTNFRWVVAEEDAVVNFPTVRLRRRMPIEVTPRE >Dexi4B01G0006410.1:cds pep primary_assembly:Fonio_CM05836:4B:4501547:4503662:1 gene:Dexi4B01G0006410 transcript:Dexi4B01G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFHDRSHVWLWSRVRGGYLHADEDGVGVSLRARRASMNAAWQVHLVPGEDGVTYLLLHSAAYGRYLARTTAEAPPGHRGNAADLRLYTTPEQQDVLWVAVRNGNHVRLRHVYNGLLRANGRYRRWLNGVSVDKDANTQSTMTHWTVEVIPPRDEPPALLLPAPQHPEESRRIIVYVQADDLVNFDPNATRTCYFYGRSVANLRSTLANHLNEDCVDNITTCARAGFQGRLTPLMVDLPRNQEPMFIVLFTTGSPAALELRHPNVDAQ >Dexi2A01G0016430.1:cds pep primary_assembly:Fonio_CM05836:2A:28019715:28021922:1 gene:Dexi2A01G0016430 transcript:Dexi2A01G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSGAATGGSAPPPRLDMEDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >Dexi8B01G0016970.1:cds pep primary_assembly:Fonio_CM05836:8B:28145276:28146165:1 gene:Dexi8B01G0016970 transcript:Dexi8B01G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVQVDNKTGTATLRYANTFSATVPLTLIKSPPQNATTIVSKFAESLRVWFMHCHFEVHTSWGLKMVFEVDNGKRPNETLMPPPKDLPQC >Dexi7A01G0020700.1:cds pep primary_assembly:Fonio_CM05836:7A:29424188:29425346:-1 gene:Dexi7A01G0020700 transcript:Dexi7A01G0020700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGALPVVDLAPFLAGDDDKAAGGAARATEAVREACRTHGFFRVVNHGVPTELMARALQLSAAFFSLPDEDKAKARPAEGAEAPLPAGYARQPANSADKNEYVMVFDPKLGFNVYPAEPAGFREAMEECYAKLTELGLLVQEILNECMDLPSGFLGDFNGDRSFDFMLALRYFPATKEENNNGLSAHEDGNCITFVIQDGVGGLEVLKDGEWVPAEPVDGSIIVNIGDVIQVLSNNKLKSATHQVVRQPVHRHSLAFFFNIHGDKWIEPLPEFTSRVGEAPRYRGFVYNEYQQLRMRNKTHPPSSPRMSSTSPTTPSNLGCHC >Dexi1B01G0004300.1:cds pep primary_assembly:Fonio_CM05836:1B:3408602:3413220:-1 gene:Dexi1B01G0004300 transcript:Dexi1B01G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPMASDSRVCMRSWKKQLVIAKDARRLDVLCHRIYLSHKILTSTEKYLALHGIVDTALKKLEAEVGPISGAPNMGRGIVSRLTVGAEVQKLCAQAIDAMESMYSGASPANSKNQRPCMVPPNFIKFEAITQTSVTVCLDLDQCPMVAQEATSFNLWHRVAVTESYLSNPTGIILAPSKKLPVSELAPATCYIFKVVAFKNSIELGSWEVRMKTSCQKEDPRSSVPGGAGLEQNNGSPKANSDGQSDPSSEGVDSNNNTAVYADLNKSPESDVEYCENPEILDSDKASHHPSERMDNLQDIEMAAARVSEVTDMEEAPGLSASALDEEPNPCVQTVLLRESSNSVEHSQRTVVPRSQDKSNAPDGNELVIIAPRYTGSVPPTAPRGIENGKENGGRSFKPKPCDKIVQNGSSKPEREPGNSSNKRTSGKLDDIGHKDGCSEASYEYCVKVVRWLECEGYIETNFRVKFLTWFSLRATPHERKIVSVFVDTLIEDPVSLSGQLVDSFSETIYSKRRSSMPSGFCMDLWH >Dexi1A01G0002190.1:cds pep primary_assembly:Fonio_CM05836:1A:1400851:1401069:1 gene:Dexi1A01G0002190 transcript:Dexi1A01G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDPQKTSWPEVLGWPVYPAMQRIRQDRPELWIEVHRAGESVPPGVNNRRVRVFVNLDAGHTVAQTPVVG >Dexi9A01G0014520.2:cds pep primary_assembly:Fonio_CM05836:9A:9616945:9619177:-1 gene:Dexi9A01G0014520 transcript:Dexi9A01G0014520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGAGGGPISAEASAALGEGIGLVFGRWTALQIAVENQWGGRDSRAKADQLAASILSWFCNSRGPHYFEDLADMMDAQISELFNADFEDNSIEEITGDDDSDSSDDDGDASMMDDEAVAAAPEEMAVDRPRPSKPVPDADGWIVVPPRRGRGNN >Dexi9A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:9A:9616945:9617154:-1 gene:Dexi9A01G0014520 transcript:Dexi9A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFSSSEQITGDDDSDSSDDDAVAAAPEEMAVDRPRPSKPVPDADGWIVVPPRRGRGNN >Dexi9A01G0014520.3:cds pep primary_assembly:Fonio_CM05836:9A:9618305:9619177:-1 gene:Dexi9A01G0014520 transcript:Dexi9A01G0014520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGAGGGPISAEASAALGEGIGLVFGRWTALQIAVENQWGGRDSRAKADQLAASILSWFCNSRGPHYFEDLADMMDAQISELFNADFEDNSIEEHIITLAVK >Dexi3B01G0019760.1:cds pep primary_assembly:Fonio_CM05836:3B:14739365:14740708:1 gene:Dexi3B01G0019760 transcript:Dexi3B01G0019760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMHSRFLLLRSQTLTPLLRRSPSSPLLCGPRRCVSARASAARVAAAGVATTQQPELGMEEAVVGFVTGKRKATERELVKLFAICHSRMEDIVPKDAPVRLVAFNLGYLPGGDKTLITVPRTTELALEAASRILSSGGLISILVYIGHEGGR >Dexi1B01G0023800.1:cds pep primary_assembly:Fonio_CM05836:1B:29293078:29293584:1 gene:Dexi1B01G0023800 transcript:Dexi1B01G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVIVNHGTACLSGPDTPRAASGRARCPLPSPAAARIPARRAAIGFTSSRATRAHMSTRSPAKQASPAPASTGSHRPARPVRPLCQKVASARVGAARRGARALCTRLPACHANLLLPKASRHFASLRLRHH >Dexi3A01G0030280.1:cds pep primary_assembly:Fonio_CM05836:3A:34233391:34236043:-1 gene:Dexi3A01G0030280 transcript:Dexi3A01G0030280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAQNPNPSPVRLPISAYYQTRAEHHAVVSSDWLAHAAAAAAASPGADAEAAAAADAPPPSPGGAGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIYDGCTMLVHGYSRVVLEILKLAASNRKLFRVLCTGTVILIQLPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPTGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >Dexi9B01G0017110.1:cds pep primary_assembly:Fonio_CM05836:9B:11883778:11888033:1 gene:Dexi9B01G0017110 transcript:Dexi9B01G0017110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAERDDLRLDVVDVEAVGIDAGSSSSIVDRQDSLYREAVWGGHSQQEQDSWAGTLRLAFQCVGILYGDVGTSPLYVYSAAFEHGVGHPDDVLGVLSLIIYSFILFTVIKIVFVALHANDDGDGGTFALYSLISRYAKVSLIPNHQAEDEMVSSNSKHGNPSATLRRADWVKHLLETSKPAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKVPGLTTEPKEYKVFRCVARYGYRDSIDQEADGFVIALVECLQYYIRDVNLYSADEVQNISYPISRDQSLSREKPSGRHAIHAEEMITPIQSFSELTTMSNAASNRLPQFQASKMNIEELAKIEEEQKFIQREAEKGVVYILGESEVVARPQSSLLKKIVVNYIYSFLRKNFMQGEKMLSIPHGKLLKVGISYEI >Dexi3B01G0025800.1:cds pep primary_assembly:Fonio_CM05836:3B:20708248:20713212:-1 gene:Dexi3B01G0025800 transcript:Dexi3B01G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASTATRLLVPRPTVPFRSLLLPRRGGRLQRAVHASAVAAGGGGGGISGNSGGGGGAAKEPLRTLFPGGFKRPEIQVPALVLRVGAEEALRSGDEVAAAVARGVGIVVLEAGKEGGGRAYEAAVALRAVVGDRAYLLIAERVDVASAVGASGVVLEDDGIPAIVARSMMMKSNADSIYLPIVARTIRSANSAISASSSEGADFLIVNAVGDDYADVMSGRVGQQVKIPIFFALNELHSGATYSDFTSRLLQSGASGIVTTLAGMQHLTDDLIERDFSKVDVIGEVPQASYSSASTLENENNVMVLTREKTKVAGFTKLDEKVVHLIEREKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEITLLSYSEIDSESFERCERHPDGQFMCYLSVPILKEVGFLQYIQQWKKKVVFVLNKLDLYRNSYELEQATAFVKENAKKLLNTEDITLFPVSSRSALEAKLSYSKNSGGKNLMEAMFSDPRWRSSKFYELEDYLMSFLDSSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYERAVDDLTSIRDLVSGANNYAAKLEADSNSWQKQISSLVSVSKITSSEF >Dexi2B01G0014590.1:cds pep primary_assembly:Fonio_CM05836:2B:24443208:24444776:-1 gene:Dexi2B01G0014590 transcript:Dexi2B01G0014590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPGPGETAASRRAPGDSPPGAQPPVNGCRGRRRRRPRRPHRGPGNGEGAPPEPLVQDDGAPPVRGLPCVVDWSDRMERTAADMRRAIIVTAFGDRPPLRADEVKATLAGRFGLDQATIDAKPAGNDTFFVFLGDDATVARAPRRTPVRPQPGPVASNTPQVAAVVEADGSGTVGLGPPATVTPIDESVSKGKQAAFLVETDDDDRGVGEPLAPCRPAALASNGQHAATDAEEGGNGLATTCCGVVLSDAQREAAVVEMAGPGTAETPRWTETSGDGAPPPSGVDPLVPDAIDATPIPNDGCASPSLVMPASPVIGPQTSVRRIGSGTEAPALELSPSPIMGFTPTASGGGP >DexiUA01G0004440.1:cds pep primary_assembly:Fonio_CM05836:UA:8565322:8567782:1 gene:DexiUA01G0004440 transcript:DexiUA01G0004440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRGAKRRRKAAAEKKAAAAMAAAVAAGAGGPPVDWWDGFCMRMSGTLSAIEDAQRFESVFKMPRKAFDYVCSLVKDEMMVRSSSYAFLDGTILSLEDRVAISLRRLNSGGSLVTVGSSVGVNHSTVSLITWRFIEAMEERASHHLRWPDTGEMEKIKSKFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDQEKNYSMVLQAVVDADMRFTDIVTGWPGSMKESGILHSSGLFKLCEKGERLNGSKLKVSDGSEVGEYLIGDAGYPLLPWLLTPYQENDLTESNAEFNRRHFAARTVAPRTLAKFKDTWKFLQGEMWRPDKHKLPRIIHVCCMLHNIIIDLQGEAVEEAQALSSEHDANYRQQVCQLADENGVMARDKLSEHLIGR >DexiUA01G0001480.1:cds pep primary_assembly:Fonio_CM05836:UA:4007953:4009637:1 gene:DexiUA01G0001480 transcript:DexiUA01G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCYGIRGWLRVFSSTEDADSIFNYQPWFIQKAGKWEEVELESWRHHNQDIIIKLKGIDDRDAANALTNCEIIVDSSQLPQLEEGDYYWKDLMGCQVVTTEGYSLGKVIDMMETGSNDVLVIRANLKDAFGIKERLVPFLDGQAFEGVVIAIRNRGLHSAFTVRKISNGEGVERVFQTHSPVVDSIAVKRRGAVRKAKLYYLRERTGKSARIKERLN >Dexi2A01G0030290.1:cds pep primary_assembly:Fonio_CM05836:2A:41276847:41278888:1 gene:Dexi2A01G0030290 transcript:Dexi2A01G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRIGRGAQQLSLSQSLSRILSEGGAGASHAEAASALRGAAALSRGHAPYASSSIHSLAFAGLAGRSGGHFLRPPSRSIGTTATKLQAASSSAAAELSDAEATEPGEGTAAPANPPRMPSLAPTRPSEKPRLVVLGTGWAACRLLKDVDTRAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTRAHEVQCTAATSDGLPSDPYHFKIAYDKLVIASGAEPLTFNIKGVKENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKARLLHCVVVGGGPTGVEFSGELSDFIMRDVRESYAHVKDHVKVTLIEANEILSSFDVGLRQYATNHLSKYGVKLVRGIVKEVKPTEITLSDGTSVPYGLLVWSTGVGPSQFVKSLDLPKSPGGRIGVDEWLRVPSAPDVFALGDCAGFLEHTGKPVLPALAQVAEREGKYLARLLGKVAAQGGSKAHCAGKANLGEPFVYKHIGSMASVGRYKALVDLRENKDASGVSMAGFLSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >Dexi2B01G0008300.1:cds pep primary_assembly:Fonio_CM05836:2B:8385310:8385534:-1 gene:Dexi2B01G0008300 transcript:Dexi2B01G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLDKALRRCLPEAERWISASPSTTTNNFPSPKPRLISRRAHAPDGGGERAIALRARLPWRERALRVPPGLT >Dexi4B01G0015980.1:cds pep primary_assembly:Fonio_CM05836:4B:17971427:17972529:-1 gene:Dexi4B01G0015980 transcript:Dexi4B01G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDLFSSSSFKKYADASPAPSGADMEAGGESVVNLDKFFEEVEAVKEDMRGLEGMYKRLQSTNEETKTAHDARAVKSLRSRMDKDVEQVLRSAKSVKGKLEELDRSNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDLMDDFQGLRARMAAEYKETVARRYYTVTGEKAEDSTIEALISSGESESFMQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELETAREYQKSSRKWLCIAILAGIVLIAVLVLPVLVNLRILTLPTRR >Dexi7B01G0009850.1:cds pep primary_assembly:Fonio_CM05836:7B:17327044:17327758:1 gene:Dexi7B01G0009850 transcript:Dexi7B01G0009850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSPATFCVALLLLVAGVVHAVPESGSGGSTATCSTDLFRLLPCLSFIDGSAAAPADTCCSNLGSMVHDEPQCLCQAIANPGASPVPVNITRVFAMPRLCRLDLPSAAGACAVSGLLPHGPASPPPPAAIARNASANSTVPSTVEPASRTPARTPVMTPSPWVSGQMPRYSKGSKAIVDGFSVALGFVALLSILGF >Dexi1B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:1B:20520180:20520419:-1 gene:Dexi1B01G0014270 transcript:Dexi1B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPRCSRADRFGKSRKKWRGWREKTDVATRKTGALMSRSYRCRLASWSFPAATTSIVDSAAATAYVAGWLRGQVMGRH >Dexi9A01G0016140.1:cds pep primary_assembly:Fonio_CM05836:9A:11089720:11090905:-1 gene:Dexi9A01G0016140 transcript:Dexi9A01G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVIPDDQDRAEAPSAAATTHATINGQIPSMNMEAAGNGLKSFIAVVLVLSLVLGQLQIQARDIYVRCRFHDANALEFCKLGCAASSLCDNNRPAASHAVNRCDEACYRFCTKHPTN >Dexi3B01G0016270.1:cds pep primary_assembly:Fonio_CM05836:3B:11818050:11820429:1 gene:Dexi3B01G0016270 transcript:Dexi3B01G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETSATTECKAVAGRDGDGKGAGVVASARRRRRRLELRRLGRTEAAAEEGVTAAKKARSGSDRASSDSSVESDAGAGWPACLSHGAVSVIGRRREMEDAFSVALSFLASAGAGNPKGGGGGEDGEEDFFAVFDGHGGARVAEACRERLHVVLAEEVGLRRGVGSDKRWKDALVASFARVDGEVTGGLVAPPKMAGDADPNLPYRTVGSTAVVAVVGQLRIFVANCGDSRAVLCRGGVAVPLSTDHKPDRPDELQRVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVSAEPEVTVVDRTDQDEFLILASDGLWDVVSNEVACKIARNCLSGRAASMFPESVAGRSAADAAALLTELAMSRGSKDNISVVVVELQRLKRSGGTA >Dexi5B01G0025500.1:cds pep primary_assembly:Fonio_CM05836:5B:27503523:27505804:1 gene:Dexi5B01G0025500 transcript:Dexi5B01G0025500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPACACGEQRATGRAAGLGLLAIVLCSSLLLNALLLAHHPFLSPSRLLGDGGSSSCGLSWALQAAREAEAVAAVGCSGHGQVFLDGIAGEDGRPGCECNACFGGPDCSLRVPNCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRMSYVTTESFSFQSVELERQIRLLHTAVGNAVVDDKHVIFAAGSIQLINALVHALSPDVNAASPPARVVANAPYYQAYRVQTTMFDGREYRWGGATAQWTNASRNSTTDEGGFIEFVTSPNNPDTMLRKPALGGSTAVIFDHAYYWPHFTHIPSPADEDVMLFTISKPSGHAGSRFGWALIRDEKVAKRALKYVSDSIMGASRDTQLRMLGIVKAMLANLHSKDDIFAFGHDVMRTRWRKLNAVVSRSRRISLQKIPPHQYCTYFKRTREPSPAYAWVKCEREEDEDCQGALLKANIITRTGVFFEAGSRYTRISLLKSDDDFDVLMERLTDLVNAEKYEDAPGSRAM >Dexi9B01G0015720.1:cds pep primary_assembly:Fonio_CM05836:9B:10761667:10761959:-1 gene:Dexi9B01G0015720 transcript:Dexi9B01G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYDGRFTTFALSGFVRAQSD >Dexi3B01G0024540.1:cds pep primary_assembly:Fonio_CM05836:3B:19170026:19178516:-1 gene:Dexi3B01G0024540 transcript:Dexi3B01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVVGWLVCPIIKIVMDKAKSCASDRIRWLSSDGVPKALDRLQSSLCQLRTRVNRLKEVVDKLDAIHAGLQTLLAATGTLASATSAVAREPSSGSHRGGHGSSSATGPMRHHEDAVFGREKELREMVPWLVSVSPAADANARSGSPVVPVAAIVGHGGMGKTTLAQLLFQDEEVGSAFDLKIWVNPAAMDNEIELAKQILQSANVDAPDSMRSFHWLQTKLQERVSSRKFLLVIDDVWNREDMDEIGYRDMWSKVLAPLSHGKTAKGSKIVVTTRQKIVATSLNAREIYLGDLPADDIWSLFTRYAFGDEDVDKQPPDLRAIGRKIAEKLRGSPFAAKAVGQMLVGRRSVTHWTKVLEMDGFDDVSKTLELCYHNLPEHLQPCFAICSLFPKNWKFKRDKLVKIWMALDFIQADSGSGKPRKLEDVGSDYFDQLVDRSFFHRQKVGRRWRYYYIHDLMHDLADKVARFDCLRVEDAKRKIPDTVRHLSVSSDTVAQLKGRCDLKRLRTFLILKNPSSSLDQLPGDLFTELRSLRVLGLEGCNIIRLSERIGTLKYLRYLALCKSITKLPQAVTRLYRLQTLSSPKGSGLEVPEDIVNLKRLRHLDMDTSKITGIGKLVHLQGSIKFHVKNEKGHTLGDLDGMSGLRKELHILNLDVVMNQQEACQAGLNKKENVKVLELEWNST >Dexi4B01G0017620.1:cds pep primary_assembly:Fonio_CM05836:4B:19959718:19961925:1 gene:Dexi4B01G0017620 transcript:Dexi4B01G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRLLSRRATSSSTASLSSLLRREAAGAASLEQSLLLRSAVVAAASRLGFPRGMARRPGGDGYSPMRSGGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLSKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQR >Dexi9A01G0013060.1:cds pep primary_assembly:Fonio_CM05836:9A:8393785:8394723:-1 gene:Dexi9A01G0013060 transcript:Dexi9A01G0013060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGRAPPPPVAATNYSARPERALCPGRLASSRAVVATAAAKAASSRGGQKRKQVASVANPLVKHCVKLRLSAAYRRSSRRLLLVGLAPILEMCRFELDAIDHLLLLDGVEIPEVLREFSGDVVFVSAAVMKKVSGMQSVDSTEAIAVMHMPKHFLDLGGHEDGDALHGLFNHPTRILVLDGIQDPGNLGTLIRSACAFKW >Dexi1A01G0006720.1:cds pep primary_assembly:Fonio_CM05836:1A:5177528:5177863:-1 gene:Dexi1A01G0006720 transcript:Dexi1A01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSPPPSPLSALRDRLRATVCCCFGQLGGGGLGERMRWRRSSGGGEFRYDPLSYALNFDEGGDLDDADEEEDMDVMEGMEFHAGRRDGLLLYQSFSSRLSTPVEVIEIA >Dexi5A01G0018610.1:cds pep primary_assembly:Fonio_CM05836:5A:22115546:22116189:1 gene:Dexi5A01G0018610 transcript:Dexi5A01G0018610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGSIPAAWWPEPGKYRSRGELGRQGRLGGGGFGSDASWRREHWTAQTISQALATAREHLARSLLK >Dexi5A01G0037580.1:cds pep primary_assembly:Fonio_CM05836:5A:38897935:38901485:1 gene:Dexi5A01G0037580 transcript:Dexi5A01G0037580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKAGKEKDVVRLERESVIPIMKPKLIMKLAYLIEHQSDKEEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGSKKLQQQNFSSEEIDTLEQNFLSYFFQVMEKSNFNIVNDDEVELAHSGQYLLNLPIKVDESKLDNKLLSKYFKEHHHDNLPDFSDKYVIFRRGIGLDRTSNFFFMEKVDTIITRAWRRFLEKTRLQKLFSRKKNGKQKMDSKKNDDLASKGDDKELYVERIRLETMELSLRNLIGKVTIQEPTFEEVIVLYRKKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLISSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIIAYYILMENGKATSDDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCVPLKRANEIIGATTEELVLKARQS >Dexi3B01G0020260.1:cds pep primary_assembly:Fonio_CM05836:3B:15254992:15256824:-1 gene:Dexi3B01G0020260 transcript:Dexi3B01G0020260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSSARTEDGASACSTVPAGTDQDAAESAPRSATSADYLRPSFHVDAAAASTPSPFLADQHHIDNWARASFMSSGRATAEATVVGFNALLQVHGDSFLLDQQPDVVDGTLVAPSAAAAASRSASSLLYADNLYSSYGDVAMAKPFSQQQQQFSGLFASSTTRSFSDVASVPAMTTKPLLLQDLEHKGFESHTEPAVQDACSSATRSGVSDSSPPANKKPRIATPSSMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIRFLHDQVASLSSPYLRCGRPVQQLQQRQAKDDYGESKEDLRSRGLCLVPVASTYAVASETVPEFWHPTFGGTFR >Dexi1B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:1B:26478219:26478553:1 gene:Dexi1B01G0020380 transcript:Dexi1B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNSILLHLPVLILLLVMVLCRSGSADDDDVDFIYQARVGLGPWPLAGSASVLHGGALRLTNDSNRLIGHAFHGSPVRFLRGGRVSSFSTSFVLDIVTVGSGGGRP >Dexi2B01G0016750.1:cds pep primary_assembly:Fonio_CM05836:2B:27076049:27076282:-1 gene:Dexi2B01G0016750 transcript:Dexi2B01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFCKCINEVMFEDHRREKQHRVTVCKQKRKTFCKVCKLQCYSEQMFASHLTGKKHQKKCKANGAFAMGR >Dexi5B01G0002280.1:cds pep primary_assembly:Fonio_CM05836:5B:1462882:1466872:-1 gene:Dexi5B01G0002280 transcript:Dexi5B01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIAVPSVPKKTGEFSTADEVINSLLDVRPENWGLPPFNDWIEGTLPIEPWFISGPVIKGFGRGSKEPWLLHDFAEDFYGEELRLAIVGYIRHEASGPLGRRRVCRAFEVLVQSCLPLFSSRRICLSSLGPRDSPEAALELAPTSSS >Dexi1B01G0018110.1:cds pep primary_assembly:Fonio_CM05836:1B:24410044:24412309:1 gene:Dexi1B01G0018110 transcript:Dexi1B01G0018110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVARRPLSAAVPAGNLLGIHLFKCPDTVGIVAKLSERIASRGGNIHSVDVFVPDDKHVFYSRSEFTYNPKLWPRDELHKDFLDLSHYFSAQRSTVRVPDLDPKYKISVLASKQILSESFLQSYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTTELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >Dexi3A01G0014850.1:cds pep primary_assembly:Fonio_CM05836:3A:10936497:10938157:1 gene:Dexi3A01G0014850 transcript:Dexi3A01G0014850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATSCAARDDLGLLPLRAAPDGSITIYLTAPGLAVMPMRVMATDSIASVKLRVQTSQGLVVRRQKLVFDGRELARNDCRVRDYGVADGNVLHLVIRVSDLRLITVETVHGGKFRFRVEPGRTVGYFKQQIAKDGRLLDPAAHPDEQTTLVLEGEELDDRHLIHDVCRADGAVIHLLVQRSPKAFSRDDFEVSIVARDAAVYQQQPLPTREDVVGIEPVVGNPKARLPPVLRDLVNAVREGMEKGNAPTMSSEGTGGAYFMQDASGHRHIAVFKPVDEEPMAANNPRGLPVSSTGEGLKKGTRVGEGALREVAAYILDHPLGGRRSFAGHGVEGFAGVPPTALVRCMHEGFRQADGSDRPAFKLGSMQAFVKNCGSCEDMGPRLFPVQEVHKICVLDIRLANADRHAGNILFCRDDEGRGVSLVPIDHGYCLPESFEDCTFEWLYWPQSREPFSSEAVEYVRRLDAEEDIAILRFHGWEVSRGCARTLRVATMLLKKGVERGLTAFHIGSIMCRRR >Dexi1B01G0021020.1:cds pep primary_assembly:Fonio_CM05836:1B:26986950:26988935:1 gene:Dexi1B01G0021020 transcript:Dexi1B01G0021020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLLLDSATASLCAATTAARRRCVAGRSVTSFLSCSCSSRDYAGTLAWASYCSAGKMQREEKPVALMKGWRGNGHGWWTTAAWEESSIVLEALEDEYGGMVVDADRLPSDTDGFARSLAASLSSWKSAGKKGVWLKLPLDRSEFIPLAVKEGFRYHHAEESYLMLTYWIPDEPCLLPENASHQVGVGGFVINDQMEVLVVQEKYSASTLLDAWKLPTGFIHASEEIFTGVVREIKEETGIDTEFMELIAFRHAHNVAFQKSDLFFICVLRPLSNDIRIDEAEIQAAKWMPLPEFIEQPFIQEDHMFRKIADICVQRLRKRYCGLTAHHVASKFDGGTSTLYYNAGEPEPGDLTCDAA >Dexi9A01G0046650.1:cds pep primary_assembly:Fonio_CM05836:9A:49980459:49986557:1 gene:Dexi9A01G0046650 transcript:Dexi9A01G0046650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPTVDAATAGGGGGGIGRTKSEQLAPPSQSSLSRTPSAETVLSNNADTTATSMSRKSSFARKQRSASVGGGGNNRHIRKSRSAQLKLDMEDLVGSGAALSRASSASLGFSFTFTGFTPPPQYMHSADPATFSDDDSPMDIEAGTRRKKLITEPTLPIYLKFTEVKYRVAGKGSLREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRPGGGALEGSVSYNDEPYCKSLKRSMAARASRPAGEASAAGLPPVSSWVAGVVGGGQVIPHAVMSPSVTHLVALIFACRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENHNLENNNSKNDHRPSAQDVHEYLVDAYETRVAFKEKKKLLAPLPISDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSFILGLLWWHSDPRTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILTTTAHMDSGATEVAALVAMIIGYRVLAYLSLRRVKTPSS >Dexi2B01G0023320.1:cds pep primary_assembly:Fonio_CM05836:2B:32837534:32838220:-1 gene:Dexi2B01G0023320 transcript:Dexi2B01G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRDVRWWLRPPPSLAQLHQLHCPCARSHPAISRAAPAAHGVHAGPGARRHRRRCCPSEGAACHLRSHPRSCAHVAIDSAHGGPIPLRRRKDSGRAGRMRTTAQRFRWRGRHAPSRKQPSTQMHGPLLRHSSGRARDVRPGGRRLLRVGGGAPLLRRGRARGGKRRTTTAASCHTCCTSSQILSFSSSSSNVAVDGELLLFLVTRAPAADPLVSSGAGVGRLEERL >Dexi9A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:9A:11544347:11544710:1 gene:Dexi9A01G0016510 transcript:Dexi9A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVNAIGLATVCLPSDHTSRPNADVPDGCDLDLFFSPADVNASSSGSTFTSTPSAAAPPATAAVDTLYFPAPPTLVSVRVCVTVVAADDAPPPSPLAVENATANDG >Dexi7A01G0021800.1:cds pep primary_assembly:Fonio_CM05836:7A:30308630:30312971:-1 gene:Dexi7A01G0021800 transcript:Dexi7A01G0021800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRYVQEDLWLSAFPIGTEWENIDKIKEFNWNFENLEVVVGGQKTLEEGGELYGKTVYLFGSTEPQLLFVNGESKIVLIPVVIAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFVDEKVKDEVLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKTREAFENIKFYKFYPVRTPDTPDVTNVKSKYINRYYRQAHYLM >Dexi6B01G0008970.1:cds pep primary_assembly:Fonio_CM05836:6B:11623190:11625723:1 gene:Dexi6B01G0008970 transcript:Dexi6B01G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKEFDGASPAKIFIGGLSKDTSMGPFKEHFGKYGDITDAVIMKDRYTQKPRGFGFITFADPAVVDRVIEDEHVINGKLVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKDFFSKFGKVMEHEIIRDHATNRSRGFGFIVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPPRSLDSESRGRPYGDNYDGFGSSYNYGGSFGPYKSPGSFGARPGSYSSAYGPGDYGSGYVAYGGALGAYRGESSLYSSRFGSTYGGSFGGGYGAGSYAGAYGRDAGGYGGSSYGPNYDSFGASSGYGTGGLYGARTGYGSTAGIGAAGRYHPYAR >Dexi4B01G0006390.1:cds pep primary_assembly:Fonio_CM05836:4B:4480496:4482120:-1 gene:Dexi4B01G0006390 transcript:Dexi4B01G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAEAEEQAPPPPMELFDGMHVRLRSRWDNPYLYAAEDGRDVSLSTDRASLNVVWRAHGLVRDGNIYALFHGAAYGRYLSVAAEKNVDDRGNEILVVGFVQRAYADPEQLDVLWTVFDQQDGTGEFLLIHRVHGVWRNPDGTNTRWTMEVVPPRENPPELPPAPPPLAAPMGGGQIRRAALPPPEFQRTIQYVRANHQGDYNPDELQWRTLEFYGLSVFHLRSDLARQLGEANALNITLCVRAGSQGRLTPLVIDLPADNQEMQIVVFSTGSQAAQELVYPDVDAD >Dexi7A01G0019340.1:cds pep primary_assembly:Fonio_CM05836:7A:28565359:28573256:-1 gene:Dexi7A01G0019340 transcript:Dexi7A01G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRAQSPLCSRDRPLLVVRPAAAATGLAQPTIGHSRFTGRRLVRCMVSSSDYPKRNARRTSAPKPKGTASRGGYASRPTAESSAKKVGESSTDEGDLGKSNGTLHSEETELEGTAGESSDVNLPGNVSSSAAKDEAETKEEADQNQLSASSSTSMDDESIDRKLDEYRGKISALASLKPEPSTIASVRGQDKPVVGVHEQEKSITGSQEHGSSIVDEPPKGRPFAEAIVGYPVKDAEEELPVSEDDVDPEVLRRRLQELADENYSVGNKCFVFPEVVKADSMIDIYLNRKSDIDEDSFEDFLIEEKQKELERLAAEEAERKRQAEEERRREEERAAMEADRAQAKSEVEMKKEKLHQMLSSASRYSDNLWKDLSNLMKRMVIGVTLPERALVLDWVFADGPPGNATNYDNNGRQDFHAIIPNNIPEDMFWVEEEHKIFRRLQQERREREVADRLKAENSAKMKAEMKEKTMRAFLLSQKHIVYTEPLEVRAGNTVNVLYNPSNTVLNGKPEVWFRGSFNRWTHPSGPLPPQKMVKAENGSHLQATVRVPLDAYMMDFVFSESEDGGIYDNRNGMDYHIPVSDSIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKMFWVGCVYGKNDESRFGFFCHSALEFLRQKGSSPDIIHCHDWSSAPVAWLYKEQYALNGLGNGRVVFTIHNLEFGAHHIGKAMAHCDKATTVSDTYSKEVAGHGAIAPHYFKFHGIRNGIDPDIWDPYTDVFIPVHYTSENVVEGKSAAKKALQQKLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDPRIQGDFTNLASQLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDVDNDKDRALAQGLEPNGFNFEGADSSGVDYALDRQVAITTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >Dexi7B01G0017000.1:cds pep primary_assembly:Fonio_CM05836:7B:22993929:22996086:-1 gene:Dexi7B01G0017000 transcript:Dexi7B01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTTTSVTLSATLPSFPRPPPPHHPPVARLSLLLRPRRRALLRCEAVSELAPAASAAYGVLLLGGGGFSYARSGSKGSIYGGLAGSALMGVAYYLMQSPETKAAGDAVGFGSAFLFACVFGIRLYNSRKLVPSGLLLALSLGALGVFYSAYLQDKVLTNLVQ >Dexi9A01G0048830.1:cds pep primary_assembly:Fonio_CM05836:9A:51582582:51583980:1 gene:Dexi9A01G0048830 transcript:Dexi9A01G0048830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSALSSFLLCCFYPSGGHRHGHRARAYYYSSYPTSSNTLYYQEGPFSGRGMMGRRSKPLSLQTVELKVRMCCSGCERVVKHAVTKLRGVDSVEVDVEMEKVTVTGYVDRQRVLKEVRRAGKKAEFWPNPDLPLHFTSAKDYFHDEESYRRTYNYYRHGYNGDKHGNLPEPHRGADPVSNMFNDDNVNACSIM >Dexi1A01G0020200.1:cds pep primary_assembly:Fonio_CM05836:1A:27089729:27090091:-1 gene:Dexi1A01G0020200 transcript:Dexi1A01G0020200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPDDRHQRPPPPRSTSATSSLQREVVGRRRERKKRTSGEGEPEGQGERSVEAGGGEGWRHVVVKRDGADATTANQGEGRLRLRLAQLGGRLAGAQDGRVRVSSVFLVPATAILRSLPV >Dexi9B01G0027230.1:cds pep primary_assembly:Fonio_CM05836:9B:29707312:29718999:-1 gene:Dexi9B01G0027230 transcript:Dexi9B01G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEIGGLTAARMAAPALRPAVAPAPAAAAPPQPRRAVAARSLRTTTAERTADLAGATNGAVHAQMNSQATSEITSQGVTANSRRKTKIVCTIGPSTNTSEMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNAQNTDGNIIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVEVGDTLLVDEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYPLKAVKVMHTVALRTESSLYNPTTSPGLVAPAQKSQYVKKGDYVTLVQSGVTSIWREESTHHIQEAAKRAVPVFREFALAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAESDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAHFIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKAASVLPAILSPLGKACSVMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVAMMSAPSATVFARRSFSYLMNEQAELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >Dexi3B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:3B:12425117:12425718:-1 gene:Dexi3B01G0016940 transcript:Dexi3B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAVSVQPVAVKGLAGSSISGRRLAVVRPSPRAACRSSTRRPRAAVVAKYGDKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLLAYVSASASPDLLPIKKGPQQPPQPGPRGKI >Dexi9A01G0014050.1:cds pep primary_assembly:Fonio_CM05836:9A:9235631:9236838:1 gene:Dexi9A01G0014050 transcript:Dexi9A01G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARQPLQLPRIDFSGVDTSSPGAGRWAAVRAQVVDALATLGCFDAHYPALTPDLRAAFFDGAVKHLFALAADAKRRNTYGPDKPLFGYLGGMPGLSNGYESLAISERVEPESVRAFADLMWPNADNAGFCEAVHGAARRMAELEEAVQRMVMEGLGVGRCHGEMRESMRHVFRMSQYRPPSDHTEGSKEVRYGTHQDCSTLTVVCQHGEVDGLEVQTGDGKWVRYKPSSPASLVVMAGNEIRAWTNDRVRAPFHRVAVGGDAARYSAILFALPGLRIQAPDELVDDEHPPRFKPHSNDDFMRFCIAQGARHEDKLKDFCGV >Dexi9A01G0047300.1:cds pep primary_assembly:Fonio_CM05836:9A:50457858:50459389:-1 gene:Dexi9A01G0047300 transcript:Dexi9A01G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGKRWVPRLLLLGAMSWLLMVYFHVAVFRTPPVVSPPLVAAAAAASDGERFLHRQEEQLKKIGTSATSVVGALPASGETRRPRRASDEAACNGRYVYIHDLPRRFNAEILGNCAHWYPWHNMCGYLENGGLGEPVDNTEGVFGDQGWYATDHFGLDIIFHRRVEQYDCLTDDSSLAAAIFVPFYAGFDIVQHLWGVNSTAREKDALSLDLVDWLTRRPEWRAMGGRDHFFLSGRTAYDHQRRPESESEWGSKLLHLPAVQNMTVLFVEKMPWTSFDFAIPYPTYFHPASDAQIIEWQHRMRAMKRQWLFSFAGGARNDPYSIRHHLIRQCGSSSFCKLVQCRKNERNCLIPSTFMRVFQGTRFCLQPTGDTMTRRSAFDAIMAGCVPVFFHRDSAYTQYRWHLPEEHDAYSVFIDEADVRAGNVSIEETLRRIPPEVAERMTETVIGLIPRLVYADPRSRLETLRDAVDVTLEAIISRVNKMREEMGGGRGHMVQKQHGAAEVQS >DexiUA01G0014780.1:cds pep primary_assembly:Fonio_CM05836:UA:30961340:30962218:-1 gene:DexiUA01G0014780 transcript:DexiUA01G0014780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDASPPPDAAGQAAAFPIAIVIAIGFMVTSLILISYYFLVVRCWLRGGAAGGPGSGLLHRARRDDLAERVSAVFFTDLDAGDLPTGLDPDVVASLPVVKYHRRRASSAALECAVCLGEFVPDERLKQLPSCSHVFHIDCIDTWLHHNVSCPLCRTVVTATGAVAVVVPFAGGDEHDASCRDLHAGGGAAARMGFGSSCRFPTKTAQEPITRSFSMDCFAGGLGRKPQKEPDGSSEAGPSGANAAIGSSSSNVVGDRGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >Dexi3A01G0024420.1:cds pep primary_assembly:Fonio_CM05836:3A:20065724:20066053:-1 gene:Dexi3A01G0024420 transcript:Dexi3A01G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHRLLRLRMASGSCPCPAALAPNAARPLSALRLVPSPAAVRPRPAALSCRAHAAASVSRGAAGRRFPGVAAMSSSTPPPGPVQKSEEEWQAILTPEQFNILRRKGTE >Dexi8B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:8B:5961418:5961803:-1 gene:Dexi8B01G0005680 transcript:Dexi8B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHESLELLHRFGFLLVAAEDAEARGGLPEVATAPPQDACPHGLLRWQEREHVVEDLVGEHVEAGAAGHRTRHPLAGPRPAPSPRWHSVERVASHRAASTGGFTGGRDSGGGVPKI >Dexi5A01G0008260.1:cds pep primary_assembly:Fonio_CM05836:5A:6173305:6174117:-1 gene:Dexi5A01G0008260 transcript:Dexi5A01G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVASSVPVTMLWLEAALPLLLLVAAGASAAAVDVVVPDGMGAIRLPTDVRGVADVVAAMASATGANEERPWKCCDLTVCTRSQPPICRCLDKVTRCSHACKQCDTMSRTCADWYKGQPGPRCHDDDAGSSSLAAGEEGKRKAAGDENDKRPWKCCSLPICTRSQPPVCHCWDVVKRCSRACERCEQVEAGSSSLSSGTRRYRCLDTHRGDPGPRCEEKWAPTVASSYHF >Dexi6A01G0017490.1:cds pep primary_assembly:Fonio_CM05836:6A:25327591:25328211:-1 gene:Dexi6A01G0017490 transcript:Dexi6A01G0017490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLVGLMLFIQIAVAAVSSSESSITLDGCESKCGNLDVPYPFGTSYGCHRQGGFMVKCDHSYIPHKLFLEGDDMDLEVLEISIQNRTVRVRATVWPFAAGNISDMVVKVVPANLQPYVLSTDRNSIVIVGCGFQASQKHQPNRCEGDGCCELAIPTGLTSFTVQFSWLDQNATGRLLGMTST >Dexi8A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:8A:29232989:29235822:-1 gene:Dexi8A01G0017580 transcript:Dexi8A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEVQVEANVVRRGEEMEERKERTNVWKPPSEGWIKVNVDGSFVEQTGEAGVGVIAPEVVMTSSLFLSLDVSFEKLLAGKVAFVTGATSALGEKIARKLAKNGAKVILADLKSSNCQTLASTINTATNRPATQLHDVEAMDCDVRDTVDFVKAIENAKSNHNGRLDIFYNHVEFNHVSSISARGIFNGTMSANVESVMNRVEHVGAVMRSDGDSNYNGGGKCILLTSNTMGLLGDVVPSAYSISHAAVVGVIRAAAARLAKDGVRVNAISPNTVDERVLKSIFPRATSQQIRDMIKDYMNVDPVSDDDVANAAVFMASKACKSVNGHNLVLSGKFPLY >Dexi1A01G0025890.1:cds pep primary_assembly:Fonio_CM05836:1A:31969516:31972877:1 gene:Dexi1A01G0025890 transcript:Dexi1A01G0025890.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGHQGSKRWPVGMAGSSASSGRPPLFATEKPARVAAYAYRLFAGTVLAGLLLIWLYRATHLPPRSSSSARWWAWLGLFAAELCFGFYWVLTLSVRLSPVYRRVLPDRLTQRYKEEELPGVDIFVCTADPTLEPPMLVISTVLSVMAYDYPAEKLNIYLSDDAGSVVTLYALYEASEFAKHWIPFCKKYKVEPRSPAAYFARADSPPDICGPKEWSTLKEMHKGLTDSVNSIAHSGKIPEASEYKVMGFTQWSEDATYKDHPSIVQVLIDGNKRKTADIDGNALPTLVYMAREKKLQEHHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSGSIRDALCFFLDEEQGQDIAFVQYPQNFENVVHNDIYGNPINTVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSEDYKEDWSKAVRKTEEVDELEGTARSLATCTYEHNTLWGIEKGVRYGCPLEDVITGLQIQCRGWRSVYYNPARKGFLGMAPASLGQILVQHKRWTEGFLQISLSKYSPFLLGHRKIKLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFLNGISLFPQITSPWFVPFAYVVVAAYSCSLVESLQCGDTAVEWWNSQRMWLFRRISSYLLAAIDTIRRMLGISESGFALTAKVTDSDASERYKKGRMEFGSFSLMFVIIATVALLNMTCMLFGVARVFLHEGATGFEALLVQAVLCALLVAINFPVYEALFLRKDSGKLPASVSLVSFCIVLPLCILPTNIQI >Dexi2B01G0029300.1:cds pep primary_assembly:Fonio_CM05836:2B:37747032:37749109:1 gene:Dexi2B01G0029300 transcript:Dexi2B01G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRIGRGAQQLSLSQSLSRILSEGGAGASHAEAASALRGAAALSRGHAPHASSLHSLASAGLAGQSGGHLLRPPSRGIVTTATRLQPASSSAAAELSDAEATEPEEGTEAPANPPRSPSLAPTRPSEKPRLVVLGTGWAACRLLKDVDTRAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTRAHEVHCAAATSDGMPSDPYHFKIAYDKLVIASGAEPLTFNIKGVKENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKSRLLHCVVVGGGPTGVEFSGELSDFIMRDVRESYAHVKDHVKVTLIEANEILSSFDVGLRQYATNHLSKYGVKLVRGIVKEVKPTEITLSDGTSVPYGLLVWSTGVGPSEFVKSLDVPKSPGGRIGVDEWLRVPSAPDVFALGDCAGFLEHTGKPVLPALAQVAEREGKYLARLLGKVAAQGGSKAHCAGKANLGEPFVYKHIGSMASVGRYKALVDLRENKDASGVSMAGFLSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >Dexi6A01G0005640.1:cds pep primary_assembly:Fonio_CM05836:6A:5175003:5180138:-1 gene:Dexi6A01G0005640 transcript:Dexi6A01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQNSTTMSPIYNDRDRSLKGIFPPHLLKKAMAEMVSTFLLVFVTCGSGAISGSDPKRISQLGQSVASGLIITVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWVAQFTGAISASFVLKAVLHPITVIGTTTPTGPHWQSLLIEVIVTFNMMFVILAVATDSRAVISRELAGLAIGSAVCITSIFAGPVSGGSMNPARTLGPALASNLYTGLWIYFVGPILGTISGALTYTYIRIEDTPSNKDAPPQKLSSFKLRRLQSQYVAAKLDHIQAGATPHVFCMVPMHQDN >DexiUA01G0003340.1:cds pep primary_assembly:Fonio_CM05836:UA:6725907:6728829:1 gene:DexiUA01G0003340 transcript:DexiUA01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRTRLVSLPREIHERIISFLPIRDAVRTSAVYRAWRHRWKSAPGLAHDWDCDEDPSHVDTVLAHYSCPVSSFFFDLPEAYFQRADDWIPLLAAKEVEKLTFHFSQDNDDEDRPHYMDVSVFSCQKLTSLNLIGCDIPAAPLDLAGFPNLTKLFLEGVGFPDNGVRGLEALIAGSPLLQLLWLEMLRFHGSEEWVIQAPNLQDLTIVSEYDDGWQIVDQLPHIKKVDIHSDIYTNNRDFVKLLTRVAGVGELRLKMPDTAINVLEGLACSFENLRTLSLKTDFCLFSTILSTMCLLKNAPNLEKLSIQILQGNQQSEEVGVDLLNAQWTDGLLARLKSVSLDMATCESNEMHFIEFVLSKARRLQKFHICAFECSRSNEELLVEILKYRRASAQAQVFFERL >Dexi1B01G0000930.1:cds pep primary_assembly:Fonio_CM05836:1B:789555:793879:1 gene:Dexi1B01G0000930 transcript:Dexi1B01G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATGSDPASIGPAAAFLAMPGWSPGPPISEGMESFTNGNVRLLKHERSIIAEDDLDKRWHEATGEPVSEVIFLSKHTAVSNRPALTVHPIGVPHLKEDETPPQGGIPGWAAMPNPRIGPWLRLMQKIAKEQGLVPEFEITLEATHHGPVTSTPTMFVEIGSTEEYWGRQDAAQAIALVLWKGLGLEDGNAVGSWQGNGEKVLLGIGGGHYAPRHMDIVIKDGVWVGHLLSGYSLPMDTPSQVNGKTSGEVSGMWKHSIKVSYEATKAAFPGGEVIAHLDHKSFKGWQKNAVTSYLQEQNISIGKPSDFF >Dexi5A01G0009890.1:cds pep primary_assembly:Fonio_CM05836:5A:7447432:7448147:-1 gene:Dexi5A01G0009890 transcript:Dexi5A01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKGAYFEIVPVKLLPQQELRMTLYVHQVTQGPQRNQQVVVDRSNPSFGLIAANDWTVFDGFGSDANLVGNAQGMHMLGSMTQTSWCIFFDLVFKNGRFAGSTLKLLGSFGPDAGEWAVVGGTGEFTLAQGVIAFKKVQDGNGMNIRELKFHVLYTPIDA >Dexi2B01G0010780.1:cds pep primary_assembly:Fonio_CM05836:2B:12196120:12198350:1 gene:Dexi2B01G0010780 transcript:Dexi2B01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRATAATAASSRPRRNPKPKRDPSFLSPLASPAPASRTRTRKPAVRRRGGSSPASSSPGSSPADLSISFLSSPESSASPPKPKPKPRARAKPAARSPLVASPRVAGAAGASPSPAASPQPASAAAAAAPAGMSSVGDLRSGVASQMEDLKRRLEALHSRAHSDLDASFSRVSKRIKTQTKACQQLTDEADKEYKKVSDNIKESSEIVKAKHKQIIADAQSSTTRACKVTIPEITKSVEKAIDGLRSRYNISMAA >Dexi6B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:6B:18745174:18746277:-1 gene:Dexi6B01G0011540 transcript:Dexi6B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKIDLRGVEPGGPGWEAARTAVTASMVAHGCVVVVVAHDALGPELRRALFRRAVPELFALPLEAKQRSDSRWGPFKAYISQVPGMAMESVRVADATDAGRVRDFAGLLWPQGNQEFCDTIVTFATNMLKLERMVEKLTLDGLGVRDESIGDHLASLTHGVRLSRYGEPPDGETGVSMKEHRDDAMVAGIVQHEVEGLEVQAGDGRWHAVPPEPDTVTFVAGEQFRVVTNGRVPACLHRVRTPSGRERFSVLFGCRSKDNATVRAMDELVDGGEPLVYKPVRYEDYPSVQNRSSN >Dexi3A01G0026030.1:cds pep primary_assembly:Fonio_CM05836:3A:22133605:22134229:1 gene:Dexi3A01G0026030 transcript:Dexi3A01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAMAPTVMASPATSVAPFQGLKSTAGLPVSRRTSNSRFVSNSGMRIKCMQVWPTDNNKKFETLSYLPPLTTDELLKQVDYLIRSNWIPCLEFSSVGFVYRENSNSPGYYDGRYWTLWKLPMFGCTDATQVYKELEECKKEYPNSYIRILGFDNIKQTQCVSFIAYKPPGAN >Dexi6B01G0002090.1:cds pep primary_assembly:Fonio_CM05836:6B:1822090:1822951:-1 gene:Dexi6B01G0002090 transcript:Dexi6B01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPGKSGDKEFAGAGSSLPSPTADARTTTDLTMSSRLVNPNSYASTVTNPGQNYGARIASAGAGFSNGFAALAAYEALPSFRDVPASEKPGLFLRKLAMCCMVFDFTDPTKDVKEKEIKRQTLLELVDYITSATGKFPEPVVQEVIRMVSINLFRALSHAPRENKALESFDLEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYSIMELRNC >Dexi9B01G0045070.1:cds pep primary_assembly:Fonio_CM05836:9B:44717209:44719880:-1 gene:Dexi9B01G0045070 transcript:Dexi9B01G0045070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSSGSAKALPCFHASLLAPQTQTAVVASASPRRASVRMRASSAPPAEAELRKTVWVWTENRQVMTAAVERGWSTFLFGSKDLGKDWSSTARIHPLFIDGQEILDGENQKVIPAENIVAAFQGCRGTVLAVSTNSTEAQVFLEALEQGLDGVVLKVDDMDDIIKLKDYFDRRNEAKSQLPLAKATVSKVEVVGMGDRVCVDLCSIMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVSVPGGKTSYLSELRSGKEVIVVDQNGLWRTAIVGRVKIESRPLILVEAKDNSGDDTYSIFLQNAETVALITPDKGTGGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >Dexi3B01G0037750.1:cds pep primary_assembly:Fonio_CM05836:3B:40518905:40523700:1 gene:Dexi3B01G0037750 transcript:Dexi3B01G0037750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPQSDGGGARGRPAAMAADAAAGGAREMDSPRFRAILRATSGRRKRAPDVKSFSHELSYSGVPAMRGKMILRGGGGGTTTAPEEFIGAIRTKFIRLKEEVDAELGVFAGNLVAALEEDDDEEEEDEDRRLALEDLLMAAQRCAEMSPEEFWTKCEGIVQSLDDRRQELSPGFPKQAHTRVLFILTRCTRLLQFRKEAAAAGGGCYAGDVGDKKQHVLGLHQLSDLGLFPFRDGGGVAGGELGRKSTSSLVELKERLIRRRMLEHKQLTIDFVSPARIFSSSSGDAAAAAEPSPSSGKIASWKKLPSPAEKNKNAGAGGGDAAKLAAADESPEVKKKPITRQQGKVSVDEIVERVDAASIHPDGLAVAAASAMNVEEVLPSRYPEAQQIIVDGKPRMICRICDFEIPMACAEGHFVVCTLADRCDAKGHSADARLLRVADVLHRVLACFVSGAGGRASSSESESDASFSNADHDVLSHMLTVPSAELFSAAPVMALATSPMLTPRTSHAESQLARHFHAGVVGVGENFQQIESLLAIARGIESVKSSEYNSLEDLSSYLEDLNAVIDTRKIDDMNAGGGDQQLLHPIDEDGPMENNSVSSRTSQALNAGNTNKLKDRTSIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLIGRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTTDAEQREQKRQQRQKQTAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQVHVQLLMENPVQRLGATGAGEVKAHPFFKDINWDMLARQKVVFIPSTDDEYDTSYFACRHAWGTADEHVNAPCNEYDDRSETSSMSCCSSPHSCDYEEDGDECGSLEEFGAPLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQSSKS >DexiUA01G0000100.1:cds pep primary_assembly:Fonio_CM05836:UA:994391:994630:-1 gene:DexiUA01G0000100 transcript:DexiUA01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPPDHGRTEAVSVQDLATERRVRRWLDAKMETLLEWWVPEAQNPLGQCTSRVFAWGLRGDDGQHRGGATGGGGGGAR >Dexi1B01G0000350.1:cds pep primary_assembly:Fonio_CM05836:1B:366034:366516:-1 gene:Dexi1B01G0000350 transcript:Dexi1B01G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSESSSEFDIVADTCDRCSKSDPKVKYTLCVSSLSQSGGQEDLHGLAMVSAKLVSSGAVAMEAKMTELTRRARPWSPTRSCLEACVGVYGDAKTSMSAAIDAPVTCEDEFKEQGLEPPLKEESKSLFQQAVISLAIISPL >Dexi2A01G0025390.1:cds pep primary_assembly:Fonio_CM05836:2A:37031958:37034552:-1 gene:Dexi2A01G0025390 transcript:Dexi2A01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQPVPETDSSEFPDLNLGLQTCHWLDKKFIPVISGKTVLLVDQVLSEEGHVVTYLDCEEELCAHGRPTTVPIVDVASLHDELARHQMLSGSQVETWHGLAHQGPSLQRAQMRLKQLRKLRRGL >Dexi6B01G0001790.1:cds pep primary_assembly:Fonio_CM05836:6B:1566954:1568427:1 gene:Dexi6B01G0001790 transcript:Dexi6B01G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCSGGGECLARTLGVGGAQSCFVSSIEGHLHVNDVRLQLSIGLLHGVAEGSCSKCFPPPDRDIGEQVMQWDMRSCADAGYYVLVEAAVDFIVHSCCSVACF >Dexi8A01G0006750.1:cds pep primary_assembly:Fonio_CM05836:8A:7036068:7038211:1 gene:Dexi8A01G0006750 transcript:Dexi8A01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAIASETTAVKVSTTPIFREIPLGQARKDFQVLLRVEAPAVATHRVPIDVVAVLDVSGSMNDPEKRPSRLGLLKMAAKFMVAKLDDGDRLSIVAFNDRPIKELSSGLLYMSGNGRRNAINVIDKLEARGGTALLPALEEAVKILDGRPGDGRNRLGFIVLLTDGEESMGFFGRESIHGVLGKYPIHTIGLGGAHDPEALLYIAQESHGTYSFVDNENADKLAGALVVCLGGLTTVAAVDTRVVLKAAGSEPNGVRIDRIDSGGYGSFVSCGGASCEVAVGELYAGEVKHFIVHLHVPSTSSVEDSYYYCDHTACDRHRRRHEQHLLAVGYSYSHHSGTPAITVEAPSVFIQRTPERQALPHPSAEVLHHIVRFELVDVVAGLVHSGQLSVVKDAGELLQVRWEEFRAYHQFWGGLDMGALEMEVDAMVGSLRKGTVAFVHAWVSSHQMQRATSLGSPEKAVAEYLTPAMELLLEEALRLPPVVEMTTTVGGTAGVQVSGCVEMKMIDRRLELWSKVRRDVQQLTATAADGEEHLAAVFQEASLEAIENAMHRDIYLC >Dexi5A01G0013560.1:cds pep primary_assembly:Fonio_CM05836:5A:10168551:10169704:1 gene:Dexi5A01G0013560 transcript:Dexi5A01G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPKLNLGIELLIVSYMKGKKAYPNTVSGYVDVQDVARAHVLVYETPHCAWTLPLRWHSGTQALGMKFTPLKESLHKTVVCLQAQGHIPIVPQKSAL >Dexi5A01G0007130.1:cds pep primary_assembly:Fonio_CM05836:5A:5266641:5270137:-1 gene:Dexi5A01G0007130 transcript:Dexi5A01G0007130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRPGMADGEAGGRTLGAVIKEKDEELALFLEMRRREKERGAAADHLLLSGAAAAAGDGMLQSAEPKPAAYKVGGGFRRAPGGADDFLNADAGDKNDYDWLLTPPGTPLFPSLDVESKRSPVSQVGTPKARPTALKSRVSHWNISYTLVLRSTGLFQSIIPSTT >Dexi9A01G0022450.1:cds pep primary_assembly:Fonio_CM05836:9A:17646819:17647700:-1 gene:Dexi9A01G0022450 transcript:Dexi9A01G0022450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKSLILLCAILAACLTLTAASWSHGTATFYGGDDGSDTMGGACGYDNLYNAGYGVLNAALSTTLFNDGASCGQCYTITCDASKSLWCKKGYSVTVTATNLCPPNFALPEGGWCGPGRPHFDMSQPAFENIGITTAGIVPILYRRVQCKRTGGVRFGIAGSNYFLLVSIQNLGGSGSVGAARVKGDKTGWIQMSRNWGANWQALAGLVGQGLSFSVTSTGGQTIQFLNVVPGWWQFGTAFATNKNFIR >DexiUA01G0004460.1:cds pep primary_assembly:Fonio_CM05836:UA:8569795:8570445:1 gene:DexiUA01G0004460 transcript:DexiUA01G0004460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRSLTSAAAYKSPAFIALVAALCVALALLLHHLVVTACCRASRSGSGGGGGGAERRRRRQGHHRHRHRHRHRHGGNSNGEQQQDEDEDEEVSISVEVSAATSRTHLVKASASVASSPAVVLPYRKEEPWKESTCPVCLADFDDGEVVRVLPECMHYFHAECIDTWLRGSTSCPMCRAETTPTPTATPSPGSLHHHQHIDLSVVSVSLEEILVRT >Dexi2A01G0034480.1:cds pep primary_assembly:Fonio_CM05836:2A:44435555:44437079:1 gene:Dexi2A01G0034480 transcript:Dexi2A01G0034480.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSVLLGIASLCLVPMSKKRKSLKPAKSLRDAEEVLAPDYIVGDALDDLLSKLVRSVEVAKASRGGLPEKIWMKDVLPLQKQFAIGVNDVTRVLERMPPAGATNSAHGSDEAQAVSGRRRAPLVPLQVVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKGGSIRLGHVVNLRTALAIGVKAGDSEVNKTIDEVLQCSKLFASESQIISESNKMKV >Dexi5A01G0000630.1:cds pep primary_assembly:Fonio_CM05836:5A:425141:428581:1 gene:Dexi5A01G0000630 transcript:Dexi5A01G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAASRRATSATAKRPAMAENAGGPKAPGAAAGQAKKRVALGNISNVAAPGGRAAGKVAPPPGSARLNSANSAAPLKKPSLASARSVSSVRGSAVKTASVKPALPLPRHDGTTQKHNNVPPPNVPTVLDVPSRTPALAPCSTYMSPGRSGDSVSMDETMSTCDSMKSPDFEYIDNGDSSMLASLQRRANEHLRISDDRDIEENKWKKNAPAPMELDRICDVDNDFEDPQLCPTLASDIYMHLREAETKKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFARAAQACDEDPALHLEFLANYIAELSLLEYSLLSYPPSLVAASAIFLAKFVLQPTKCPWQNSTLAHYTQYKPSELSNCVKALHRLFRVGPGSNLPAIREKYSQHKYKFVAKKQCPTSIPSEFFRDL >Dexi7B01G0017600.1:cds pep primary_assembly:Fonio_CM05836:7B:23495259:23496557:1 gene:Dexi7B01G0017600 transcript:Dexi7B01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYNALKGVYRLRTSLCLQFKPYHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKVPAAADEPPSQEHHQASRQSSQQNMSGHHGYDHPDPEKQNSRVPHSESKDGTVSSSEGPNASSSVMDAMKKINKDKVKAALEKRRKSKGDVSRKVDVMDDDDLIERELEHGVELAAEDEKIKQERRQSWPRPHREDHQNTNRDNTEEGELSMDSQEYRSPEHDNRKRKDPHEHRNYDREERDLKRLRS >Dexi5B01G0007450.1:cds pep primary_assembly:Fonio_CM05836:5B:5022182:5023600:-1 gene:Dexi5B01G0007450 transcript:Dexi5B01G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPHALLVSAPLQGHVNPLLVLGRRLASSGLLVTFSTIPHAGLKFTHADGEATDIAGHGTLRFEHLQGGDLWPPHDPRYLVNGGDTLRHLEDAAPAALAGLIRRQSDAGRPVAVVVASAFAPWAGRVAAGEGVRHAVLWTESCSVLSLFYHFFHNLAGDFPSDTTAAPVVSVPGLPAMAAGDLPVLIHAPEQFIWRQVLVAELHNLHDTASWLLVNTFDELEHEAIEALRAHLPSITPVGPLFDPDVHGDGHGDAAAAADDDDCMAWLDAQSPGSVVFVAFGSLMRLGADETTELVAGLAATGRPFLLVARDKNRASLLSDDCLSPAAITGGGGRGKVVAWCSQGRVLSHAAVGCFVTHCGWNSTVEALASGVPVVTFPAWGDQPTNAKFLEDVYGVGVRLPRPMARCDVTRCVDKVMGGPEAAAMRERAGRWKAEARAAVAAGESSDRGIQGFVDAVLALGAAADD >Dexi2B01G0010370.1:cds pep primary_assembly:Fonio_CM05836:2B:11628114:11629031:-1 gene:Dexi2B01G0010370 transcript:Dexi2B01G0010370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRSTQSSSSSNRTHQSSQARILAQTTLDAQLNAEYEESGNSFDYSKLVEAQRTAPSEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDDPSNLGIGTNVRSLFTDPGATSLQKALGFADVSLLNPILVRCKTSGKAFYAIVHRATGCLVVDFEPVKSTEFLSTPAGALQSYKLVAKAISKIQSLPGGSMEALCNTVAKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGMQPYLGLHYPATDIPQASRFLFMKNKVRMICDCRARSVKIIEDD >Dexi1A01G0027540.1:cds pep primary_assembly:Fonio_CM05836:1A:33282780:33283939:-1 gene:Dexi1A01G0027540 transcript:Dexi1A01G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKELSLCHKTGAIHITSVHLRNVLNVQVAENMLGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIKKRFKTFVDSSLPVIDYYTSKDKVKKVLMNFYLARITPIAP >Dexi9A01G0041250.1:cds pep primary_assembly:Fonio_CM05836:9A:44924406:44926190:-1 gene:Dexi9A01G0041250 transcript:Dexi9A01G0041250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >Dexi5B01G0021930.1:cds pep primary_assembly:Fonio_CM05836:5B:24219915:24220574:1 gene:Dexi5B01G0021930 transcript:Dexi5B01G0021930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASVTSPPLAGGAGASWAAPAAGCYPRVFSFGDSLTDTGNYVFVYGNDSGAPQLQPPYGETFFHRPTGRASNGRLAIDFIANTLGLPFVRPYLSGRSAEDFACGANFAVGGATALSPDFFQERGFDGMGDDRVHLDMEMEWFRELLDLLCPGNLTGMYYT >Dexi5A01G0011850.1:cds pep primary_assembly:Fonio_CM05836:5A:8897243:8898322:1 gene:Dexi5A01G0011850 transcript:Dexi5A01G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVVAAAMAEPEVISGSTVASWVASGVVLWSTAFVLVRALFPKRSYDFCNRAVSTMHAVAAVCLALLSVHDWSCPVCPLASQSSPRQMRALAATLAYMVYDAACCHLNGDVRLDNTVHHLVSVVGIGAGLAYQRCGTEMVASLFITEISSPLLHLREMLKEFGVRDTDLNLAVDVLFAATFSAARMGVGPYLTYVTVTADNPILIKAMAMGLQLVSAYWFLRILRMVKYKLGKKKPLPPPPASKVATD >Dexi9B01G0049020.1:cds pep primary_assembly:Fonio_CM05836:9B:47837238:47838204:1 gene:Dexi9B01G0049020 transcript:Dexi9B01G0049020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRVMTAAEAAWFRYSAWTPDRCLYYHNVVILMVVYTLAPLPLALLELRAPPKLTGPYKLQPRVRLTAGDFLRCYRDTARVLLLTVGALQLVSFPAVQLVGIRTGLPLPSLGETAAQLLLYFLVEDYLNYWLHRLLHTPWAYRTIHRVHHEYAAPMGFAAPYAHWAEVLILGVPAFAGPAMVPCHMTTFWLWFLLRHVEAVDTHSGFAFPFNPTRFVPFYGGAEYHDYHHFVGGQSHSNFASVFTFCDYIYGTDKGYRYHKATLLAKVGTS >Dexi5B01G0001140.1:cds pep primary_assembly:Fonio_CM05836:5B:739479:740774:1 gene:Dexi5B01G0001140 transcript:Dexi5B01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLFGEIGDVGDLFTMELPLKTTRSLTWDQLVVKALHVIRCPEFTEYNPKTGLTLPTRFCQHNIAFFDLDKESKTVPRPPISKIPASNFWRFEHSVNVISIKVAESDVGYPIKIYGTVLARDQYDYRCVYLFNRSRDNPQLLKSKKDALTLTGPFRALAVTDSMFFEVHLKIKSDDDAVDQDFSKDLLKHNASHHTRQPMAVPIRSCLSTVVIVYSPVPLAVQASLQVKILNGAARASCFNGKLTAWTTGNRNKITLYDSRAAGTQTQLGDGGCVALTRRVVAVPLDEGLVLKACHKAQCSHELVLRHDAERDVEECNLKLGSYELQVKVVWTAVDRQRRRKM >Dexi1B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:1B:1513449:1513778:1 gene:Dexi1B01G0001900 transcript:Dexi1B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQRQRAGRDELPEQPVEPADDVVLPGHHQQLCLDAGRAMVLCGVLVVVAAFHRADGSSSLALFGFVLWIVGACLCFLALTPVAPRAARVGAAAASIVLRRLSPLLS >Dexi3A01G0011510.1:cds pep primary_assembly:Fonio_CM05836:3A:8237665:8242864:-1 gene:Dexi3A01G0011510 transcript:Dexi3A01G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSHAAPAAASPPWHALPDEVWEHAFSFLPADSDRGAAAAACRSWLRAERRSRRRLVIANCYAASPQDAVDRFPSVRAVEVKGKPHFADFGLVPPGWGAAAAPWVAAAADGWPLLEELSFKRMYVTDDCLEMIAASFRNFQVLRLVSCEGFSTSGLAAIAAGCRCRNLKTLKLNNAIPLDKLASLLRKAPQIVELGTGRFSADYHLDLFSKLAAAFAGCKSLRRLSGAWDAVPEYLPAFYCVMDLIEDHGLAAVASSCNKLQELRVFPSAPFDAAEQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIEPHTPDYITHQPLDAGFSAIVESCKGLRRLSVSGLLTDRVFRSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCSLTLGACRQLARKMPRLSVEVMNDPRRACPLDSLTDESHVETLYVYRTIAGPRSDTPACVQIV >Dexi3A01G0024560.1:cds pep primary_assembly:Fonio_CM05836:3A:20196710:20197997:-1 gene:Dexi3A01G0024560 transcript:Dexi3A01G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVSASAANLNAMTVALALTVLAFAYAARLAHAQQCGAQAGGALCPNNLCCSKYGYCGRSCDHCGAGCQSQCTAGSAHGGAATKPPRCGAQAGGAACPDGLCCSRYGFCGLGVDHCGDGCQGQCARGVASVLTRDVFERMLPHRDDAACPARGFYTYDAFVAAARAFPAFAATGDATARKREVAAFLAQTSHETSGGPYSWGYCHKEVTGATSEYCVPSSRWPCAPNKTYHARGPMQISYNYNYGAAGQAIGADLVSNPDMVTADPVVAFKTALWLWMTPRSPTEPSCHAVATGQWAPGGRVAFYKRYCNVLGVSYGPNLDCAGQTPFDGVIVSSAQ >Dexi2B01G0018680.1:cds pep primary_assembly:Fonio_CM05836:2B:28910086:28912080:-1 gene:Dexi2B01G0018680 transcript:Dexi2B01G0018680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTSLLYLFLLICAAALHADASQEARLREFLRSRRNSRSDKGTFKVSNIGRRVASSLQRTTSYSGSADQSALKAADKITSLPGQPDGVDFDQYGGYITVDETNGRALFYYFVEAPQDASTKPLLLGDQRTADDSYLFLINWLERFPEYKSRPFYISGESYGGHYVPELAATILIQNSYNSKTAINLQGILW >DexiUA01G0006500.1:cds pep primary_assembly:Fonio_CM05836:UA:12516505:12519158:-1 gene:DexiUA01G0006500 transcript:DexiUA01G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGIEDDIEELRSWFDDGTQQQQANDANLKFLAIFGFGGLGKTTLAMALYREFGDQFALRATVLASQKFHLLTVLRSLIKQLHEQQSGASKNDLDGIEEWGEEQLRKDTRRPTTTRQCGRIVVTTRFKSVAEACRRQQGRVHEHKPLRNENSTKLFYEVICSIGYDICPSRPIYIDGEIVMKTCGGLPLAIIVVAGLVASKLKSDKYQEVDPHLVEVGKDLSQDQLENNLTTEGVTRILNHCYNHLPADLKTCLLYLSMFRKGCLISRKCLIRRWIAEGFIAEKHGKTVEEVAEDCFNELIGRNLVRAVNNRSNGKVKNCQIHDMVLEYIVAKSSDENFITIVGGHWQTPFPTYKVRRLSIQKSDRKEKDTVERMKLSHHLGSFKALHSTLLKFQILQVLDLEGCKDLHFNHLKKICKMHQLKFLSLRRTDIEKIPKKIGKLEYLEVLDIRETKVKKLPASVERLQRMAHLLAGNKRKRFALKLTEGITKMTALQTLCGVSICTGLALGALENLTNLKKFTVYKLESFTNSDNELLLSAIEHLSSCSLKFLLIDDDFNGFLDKSLNASHAPPEHLHTLGLCGMLSQVPQWIGSLHNLEKLTLLLTSLATDTLMVLAELPELFSLIFSLDSTKKDASVIEILHDNTVKSGGMVFVPSGGFKKLKLLRFAAPVLPPVSFLEGAMPGLQRIELRFRMVEGVYGLEKLENLQQVLLTISSQAPKDARAKASHIKELASMINGKVNAPSLVLDEYNESSEQK >Dexi7A01G0000720.1:cds pep primary_assembly:Fonio_CM05836:7A:2017098:2019084:-1 gene:Dexi7A01G0000720 transcript:Dexi7A01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAPKRMDAEATVVHQSDCLLVHEQLAPRPSFGQTPFADMADNEKEVGEGTIPRGADWEVVTLTASAYEAAPGPAGPEGKPINEERSSSNALLMSDHFVFPPSEHENLPIQTSFDEIQPEKDVQEASTSVEDYSIKNDAVSERVQFYDEGRNLSADDVEMKDDAPGYGSSHAEDDGHDFVAHDDDNEAGDGSDEKSDQPSKPAGSKRHDAGPCQCWLKKHMACLYHQAKETNAIWTVVVAAALVGIVILGRWHKDKLHLNHFKWRSGSAVSLA >Dexi7A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:7A:3667591:3669345:1 gene:Dexi7A01G0001440 transcript:Dexi7A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASGVAFMVSQLRGRWFMLYGSFLIMSAAGATSIFAVYSKDIKARLGYTQEELNTVGFFMDLGANVGVHAGLIAEVAPPWLVLSISAIMNLGGYLMLYLSVAGRVGSTTPLWLACLYIFVGANSQTFSHTCALVSSVRSFPGSRGAMLGLLKGFLGLSGAIFTQLYLAFYGGRIGADTRSLILLIGWLPAIVSVAFLGTIRVTPATKQASTACREFRAVRCLLYLSLVLAAYLMVAIILQKRFSFNSTEYGVSTGIILTMLLVPLAIVQREEAAVALIHETSDMALAEASVVDVATEPTPQPEASTSTAMKNPANTMVRTLRSLRPRPPPRGQDHTILQALVSVDMLLLLTASAVGVGGMLTAVYNIGQIGESLGYPQRNIATLVSLVSIWSYLGRVTSGFGLDTALVRHNLSTPLLMFVVLLLAVPGHLLVAFGMPGSLYPASMVIGFCYGATQPLVLAIISELFGLKYYSTLSNFGPTVCPLGTYILNVRVAGRMYDLEAARQSGAGGGHAMEGMIATCIGVRCYRDSFLIIAVATAVAAAVIVTLAWRTWEFYAAQEDMKEQSGDGRQGVSNSYLCCW >Dexi5B01G0035020.1:cds pep primary_assembly:Fonio_CM05836:5B:35134515:35136616:-1 gene:Dexi5B01G0035020 transcript:Dexi5B01G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAQGWSSLRKHVEIRAYFLALAYHYPHANSVYQLLQNDLPTEERSFDPAQIAQHRDAWLDGDNWQQLVINLPTILVTPFYWPNPAAPPVPAVSPPQQSAMPWWPSSSYTSSSLVFILR >Dexi8A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:8A:27743803:27749293:-1 gene:Dexi8A01G0016330 transcript:Dexi8A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASGGARPEEAAVTPSASASVASCMGTRPEELTARLAATGPAPGAGCGGSGAGVGAGGAEAEHERVRALREIKNQIIGNRTKKLLYLRLGAVPAVVAALAEAGASPAALVQAAAAVGSFACGVDDGARAVLAAGAVGHLTRLLTHPDEKDKQIKTKLITVLLELVEEPGDVGDEAPLALTTLIKDSLELQKQALTTNAVEKLSNHLLANSLETRRAVTILLALSELCSKLEESRSQLMSVEVSTLILEALKHDWADIRIAACSCLKNISRSPKVLSGGRLSCDTVIGPLIQLLYDSSTSVQVAALGAICNIAVNLTPRKSLLLHSGVVSQLVHLSKSMDPTLRLKSVWALRNLMFLLCPKDKDFIIKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDSANYVIGEDGMVTDAISRQLNNASSLGVCIQLRVRMVLEHCVDNADDCFM >Dexi3B01G0032670.1:cds pep primary_assembly:Fonio_CM05836:3B:35108738:35109267:1 gene:Dexi3B01G0032670 transcript:Dexi3B01G0032670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDTVAFSRRFAVVEKRWRASWEAEGGGGGEGDLGAAAATPPQLPADYEVAAAFAERRKSTPNK >Dexi9A01G0023200.1:cds pep primary_assembly:Fonio_CM05836:9A:18452529:18455467:-1 gene:Dexi9A01G0023200 transcript:Dexi9A01G0023200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKRRGTHTERGGGPWTPPWTLACLMIHLSRQHPLTSLDHDTPPHITDDTASPRGTDVHRLLSRTTPPTTPYLWLHGTAGKFDRDGSDLTRGEQQHNDIGSKSCSLDVTMLKTMHCAMDASLLHDLPLDQPPTSLDHGPPPHITNDTVVRCAQDVLPHRPTHGAMCTRCPPPSPHPPYHTCIYTGFTRSPTPLPPPSCCGHHRRHQNHRQVRPGWI >Dexi7A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:7A:20536000:20537173:-1 gene:Dexi7A01G0009550 transcript:Dexi7A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSPSVPGEPCESSASMQIHDSGGVDVTSAASDEVDGNHRATHGSMSQGGADAESDELEYKRRPEHPSSVHDGLMMRHLGGCGGGAPFGMPQPTRDPLAPMVNYPTYAALGGGGAVSLPSLPMPARPLGQIEGLKPLPSLLQYHQMLRHRQAMQAAGLVAPPKAEVKVDGNVAGGDAAAAALVYQQMVRSGLLPLGHKM >Dexi7B01G0003960.1:cds pep primary_assembly:Fonio_CM05836:7B:9768929:9769269:-1 gene:Dexi7B01G0003960 transcript:Dexi7B01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIGRNGKAWMDPDVFRPERFLVGREGEGMGIVHIACFLAALVREFAWTPSAESGGAVHFEELDVFFKVMKTPLKVRIASRR >Dexi1A01G0020980.1:cds pep primary_assembly:Fonio_CM05836:1A:27813202:27815161:1 gene:Dexi1A01G0020980 transcript:Dexi1A01G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFGKAPKLCSPQTIKFSLLVLLLGVGIASVTDLKLNFLGSVLSGLAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQAAILFATGPFVDHLLTNRSVFAHTYTFPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTARNILGILVAIFGMALYSYFSVREGKKSAGDALPVSQMTDKETEPLLVSTKDGSDAKKANGVAHDC >Dexi7A01G0014270.1:cds pep primary_assembly:Fonio_CM05836:7A:24244417:24245740:-1 gene:Dexi7A01G0014270 transcript:Dexi7A01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDAAAVPPRTAGPRRYKVLVPWRFQRGFVRQPLKHAAATSAPASSGSNAPSVVKGRTVGGGQRQSESRSSSQSSKKPDVNNGGYPVPGNACDLVKSGGGGRAKNSCLDYTGNSRGANTGIEAGEDCNLGSSNCAGSVKDTGTHDLGGIAGGTACGPEVTRHNLGAEKKSFVDRTASKSNAPSEKCFTEGLKKSFVNQTELKSNASSASDPRSEDPERNVGLGDSARHTAKECCTGDGAAKERDAAAKGCSLETPGSNGNGIYCRKGRKTVVPWRFQVGYKRSFSKAFGSNNGSRDLPPYGFHDSSTQCTPATRSSVRCYASAHCGVRVSAMCDFSSGNGEKETGSECKKRKTNNDQYNAMPNNGGLIIRESVMRSLQDFRLIYRDLLDEEEENLREEVLNVRPDLQAYRIFRERFSTDLCQESIQAISFI >Dexi2B01G0019630.1:cds pep primary_assembly:Fonio_CM05836:2B:29796615:29802933:1 gene:Dexi2B01G0019630 transcript:Dexi2B01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASATPSNPHALLALSLLLFVSALQQQPASAEKKSYVIYLGGHSHGRGGAALASNRERARRSHHELLGSVLRSEARARYAIFYSYTRYINGFAAMLEEDEAAEVSRHPRVVSVFPNRGHRLHTTRSWEFLGMEEEGGRVKAGSIWAKARFGEGVVIGNLDTGVWPEAGSFRDDGMGPAPARWRGICQDQQASDDAQVRCNRKLIGARFFNKGYLATVGQEQVNPASTRDTDGHGTHTLSTAAGRLVPGANLFGYGNGTAKGGAPMARAASYKVCWRPVNGSECFDADIIAAFDAAIHDGVHVLSVSLGGSPAEYFRDGVAIGSFHAARHGVTVVCSAGNSGPAAGTVSNTAPWLLTVGASTMDREFPAYLVLDNKKRIKGQSLSPTHLPGNKYYPLISSEEAKGANATATQAKLCIEGSLDKAKVKGKIVVCVRGKNARVEKGEAVRRAGGVGLVLANDATSGNEVIADAHVLPATHITYTDGIDLSSASGYITVPYTALGTKPAPFMAAFSSQGPTPSRLRSSSILAAFTGLAGPTGLAFDERRVLFNAESGTSMSCPHVAGIAGLLKALHPDWSPAAIKSAIMTTARVQDNMRKPMSNSSFLRATPFGYGAGHVQPNRAADPGLVYDANATDYLGFLCSLGYNSSVIATFMAGASDASYACPAQAPKPEDLNYPSVAVPHLSPTGAARTVTRRVRNVGAGAAAYDARVHEPRGVAVEVAPRRLEFAAAGEEKQFTVTFRARRGYFLPGEYVFGRLLWSDGDGGHRVRSPLVVRVIDSNKKKKPLSVA >Dexi3A01G0030400.1:cds pep primary_assembly:Fonio_CM05836:3A:34417862:34418854:1 gene:Dexi3A01G0030400 transcript:Dexi3A01G0030400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHGIPGDVLELIFLLLAWPAHLIHAASTCKRWHNIIADPRFLSLFTSLNARPLIAGSYYNGRYLQVSSEHTRPAFVAVSPSPPVIDGRRFSLDFLWSDNVVDSRSWKIVDSRGSLLLWATERYDHYSPKWRMDMVVCEPLSRHYKVIPPMVTCSIFCRSRSGPFLLDGNLPGGINLSNFRVICVAYERHRYCGSMFTPHKLGGSWGRYTMDGQMKMRHFMGRTRGSLYWHAGGRAVTTGRDGEPRILAMAAGGVLRVLALPRSGGDQWELERTIQLSAVAAGLPGYLPSYFSGRE >Dexi3B01G0012960.1:cds pep primary_assembly:Fonio_CM05836:3B:9122802:9123110:-1 gene:Dexi3B01G0012960 transcript:Dexi3B01G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCNGGETGPDIHQIEGNVVFLETEGRLADAIIDEGSPNPRKEGPPRVIPSAGGRDSTRQPVHLPGQPKTMVQGGLVRRPGPKPDPGRPSWAPEDNKPGRI >Dexi1A01G0021580.1:cds pep primary_assembly:Fonio_CM05836:1A:28341747:28344687:1 gene:Dexi1A01G0021580 transcript:Dexi1A01G0021580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSAASGFFSVHAVLLALLVIAAFLFGSGEASGAAHKVVDPEWHPATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDHGICSRRAVTVIVTDECPGGVCGGGRTHFDLSGAAFGRLAVAGSGNQLRNRGEINVVFRRTACRYRGKNIAFRVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMQHIWGATWSLTPGPLVGPFSVRLTTLTGKQTLTAQDVIPKNWAPKATYTSRLNFA >Dexi5B01G0013780.1:cds pep primary_assembly:Fonio_CM05836:5B:10000187:10001950:-1 gene:Dexi5B01G0013780 transcript:Dexi5B01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVRELTLSALRRRARHSNLAHAAQQLHARLIVVSSSTNPHPALLTRLVSLYAAAGRLADALAAFRAHLPSANLRTYAVLVSALARPRPDLAFALFSGACRGGRLRLRPNPHLISAALAACAAGLPTLCGLQVHACAAKVVPPRDVFVCTGLVDVYAKAGDMVASRKVFDEMPTRGAACWNALLVGYARNGMCLEALWVFKELAGQGREVPLDQVSVSGALRACSSAGNVDFGRQVHACAAKVGLELGAVCVSNGLLDMYTRDVVTWNVVISACIHDSRFEEACMLFQSMVRDGVMPDDVSFATALQASACLLSRALGASIHASVVKTGFLDSDGIASSLITMYSKSGSLDDALRAFEVEERLCVMPWTAIITALQQNGHGVQAIEMFEQMLENGIPPDHITFVSVLSSCSHSGLVEQGRKYFNSMTQVHKITPWTEHYACMVDMFGRAGLINEAKQFIGLMRAKPDASVLGALLSACMNCGDLEIGEEVAKKLFMIEPGNPGNYVLLANIYASHGRLEEAKEVRSWMLFQELRKKRGRSLVNSENQTPTPSILQQRRALWWPKDDG >Dexi9B01G0026400.1:cds pep primary_assembly:Fonio_CM05836:9B:28481065:28482082:1 gene:Dexi9B01G0026400 transcript:Dexi9B01G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRKRRSHSYGQYLKGITSSTPPPLLRCTVHTTSSFSRSSTSSPTPATCATTAPLISPAHSSCIMLRCSLVVIVPTTGTILSTSTAAHRAHRPARSTQTLITSPWVTSKLSSPSAVDASSCSCSGLQWREQDGGSATVPTSAVSAWSVRTHRLSVQSQWRITPSAPRITGDDGRATWHRMRLSGAKASMAGPHAPEPSSSGVKICARTVWWNRSPLRSTTTSRRNHPSSPRSRAYDCWCTSGDGVVDAEVVGALALVVAVEEAEEARRDEAGGGGAAAVEERGVEDVAAEDTARGGARDERGGRSTISRTSSGGSVGVVGAGGSWSCWSC >Dexi9A01G0031000.1:cds pep primary_assembly:Fonio_CM05836:9A:35911483:35913408:-1 gene:Dexi9A01G0031000 transcript:Dexi9A01G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVSGLLGADGAAEAAAGPAAVGLGDLPELCAAQVLLRLDPPEICRLAGLNHAFRGAAGADFVWEAKLPENYRYLMEFVGSGEEGRRRRRRAGKKEIYARLSKPVPFGDGQKEFWLDKSRGMICMALSSKALVITGVDDRRYWQHMPTSESRFQSVAYLQQIWWFEVVGEVDFCFPVGTYSLYFRVHLGKFYKRFGRRHCSSEHVHGWDKKPVRFQLSTSDGQQAVSQCYLEEPGSWVLYHAGDFVASKPDQLMKLKFSMAQIDCTHTKGGLCVDSVLIYPKGKGFQQGRVIRSQRRL >Dexi6B01G0004810.1:cds pep primary_assembly:Fonio_CM05836:6B:4087773:4092383:-1 gene:Dexi6B01G0004810 transcript:Dexi6B01G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALGNRGPGRVAPLAPPQDRPWLKKVSYQANDVFDEFKYEALAREAKKKGHRLHHNMLGMDVVSLLPAYNPTTVFRHRMGKKLQKIVQDIDVLVAEMNAFGFSHKQQAPPLKPSRQTDPVMIESEKDIVKRSRKNEEEKIVKMLFDHANNDDLLVLPIVGLGGLGKTTIAQLVYSNPKIESHFQFQKWYCVSEDFDVGNIARNICNSTEKDIEKVLQNLQKELSGKRCLIVLDDVWNLGVDKWDKLKACLKHAGTGNTGAKSIQFSEARHAELENNCFAFCAVFPKDYEIDVENLIQLWMANDYLPLEEEFPLETTGRRTFEELAWRSFFEDAKQTKLEDKNLTHFSSIKT >Dexi9B01G0007600.1:cds pep primary_assembly:Fonio_CM05836:9B:4648451:4650785:-1 gene:Dexi9B01G0007600 transcript:Dexi9B01G0007600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLTGGATAGAASRVRFAPTSDNLLVSSWDSGLRLYDADVGTLRFKAESEAALLDCCFEDESTAFTCGSDGSVRRSDCDR >Dexi7A01G0002950.1:cds pep primary_assembly:Fonio_CM05836:7A:11831737:11832106:1 gene:Dexi7A01G0002950 transcript:Dexi7A01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVRGFHREDSEDDSDDEYSDDEELQTPIDEVDPFIFFVETIQALQASDPGRFQNLMQTMDFHYQALASGVAQHAEERKTEIAKEKLEKANAQ >Dexi3A01G0035970.1:cds pep primary_assembly:Fonio_CM05836:3A:41369214:41372079:1 gene:Dexi3A01G0035970 transcript:Dexi3A01G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLEGSQPVDLTKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >Dexi1A01G0018410.1:cds pep primary_assembly:Fonio_CM05836:1A:25627988:25629044:-1 gene:Dexi1A01G0018410 transcript:Dexi1A01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKKPRSTRGGSLRAVRSNLCVRLADVVAVANDNKHGFVGADLAALCTEAALQCIREKMDAIDLELEDDTTDAEILDSMTITNDHATSRPPSPAPTHRRYGRPWSSATQRSSGGGGNGDAGVGARDRVLNQLLTEMDAWQTVFSHIAGNVDLGALARFTAGFSSADITESAPSIEKDIERQAKAKEVLVEDDDEAAAE >Dexi7A01G0008010.1:cds pep primary_assembly:Fonio_CM05836:7A:19175678:19179812:1 gene:Dexi7A01G0008010 transcript:Dexi7A01G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTPACRTAISLPSSSPASRHGSPALRLPRLPTPSAPRRRLGLPPGRARRPVAAVASAAMPVAAPEPKARVLVAGGGIGGLVFALAARRKGFDVVVLERDMSAIRGEGRYRGPIQLQSNALAALEAIDAPAADEVMDAGCVTGDRVNGIVDGVSGSWYCKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRKFEGDLLVGADGIWSKVRKTLFGHSEATYSGYTCYTGIADYVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEEAGGTDPENGKKKRLLEIFSGWCDNVIDLINATEDEAILRRDIYDRPPTMNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELDNAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKIGMPAMLSWVLGGNSSKLEGRPLSCRLSDKANDQLYQWFEDDDALEEAMGGEWFLFPTSEGNSKSLQPVRLIRDEQRTISVGSQSDPSDSASSLALPMPQISERHATITCKNRAFYLTDLGSEHGTWITDNEGRRYRVPPNFPVRFHPSDVIEFGSDQKAMFRVKVLNTLPYESARRGKQQQQQVLQAA >Dexi7B01G0008870.1:cds pep primary_assembly:Fonio_CM05836:7B:16569154:16572596:1 gene:Dexi7B01G0008870 transcript:Dexi7B01G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSESSRNALLPGFLYAAPAASPFAAAGGVAGRGVSAPSAAGPAVWARAPSEPGRRIEMYSPAFYAACTAGGVASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVTLCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKNLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAAPTPAAAKA >Dexi2B01G0033450.1:cds pep primary_assembly:Fonio_CM05836:2B:41004575:41008483:-1 gene:Dexi2B01G0033450 transcript:Dexi2B01G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGRGSLPEGALFLGFDSSTQSLKATVLNNELAIVASEIVNFDSDLPHYKTEGGVYRDSTDDGHIFSPTIMWVEALELLLEKLKPKINFNKVVAVSGSGQQHGSVYWKKGSQTVLSSLDSSKSLLLQLKDAFSTMNSPVWMDSSTTKQCREIENEVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYADTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGQIAPYFVEKFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPGGYMVMLCYKNGSLTREGGKLGFYYKDHEILPPLPVGFHRYIVESLSEASSDNLIEHEVEEFDPPSEVRAIIEGQILSMRGHAERFGMPNPPKRIIATGGASSNESILKALAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNAKGSFVPISCLYEGNLEKTSLGSKLAVPAGDEEEDRELLKKYTLLVRKRMEIERRLVEKIGRA >Dexi9A01G0043220.1:cds pep primary_assembly:Fonio_CM05836:9A:46716939:46721000:-1 gene:Dexi9A01G0043220 transcript:Dexi9A01G0043220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRILTTAVRRRSAIAAAAAGNAREASTAVAAGPGVLTPDATPVRAPVMPYDRIAESVNARLRRLEHPDPRFLRYANPVPTHADHTAILAAPETRVTTLKNGLRVATESSLAARTATIGVWIDAGSRYENEYAAGVAHFVEHMLFKGTSKRSAAQLEKEIEDMGGHLNAYTSREQTTYYAKVLDKDVPRAMEVLADILQNSNLDEERIEREREVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVRSITKEDLENYIATHYTAPRMVITAAGNVKHEDIVALADKMFNKLSTNPTTTSMLVADEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSVALMVMQSMLGSWNKNAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLTYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYMLRY >Dexi6A01G0005730.1:cds pep primary_assembly:Fonio_CM05836:6A:5244523:5246326:1 gene:Dexi6A01G0005730 transcript:Dexi6A01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRHVTREKALTVLAGALERELPPLDDLVTDTRCFSIFALCGICVKEGSLTEQRLALRAVSLLALTLRAAAGSSEILANTFRPLAGTIREHGDAPAMTVVAAIECLAAVTFAGARGRDDVERSLKVLAGAWKISPSRVLVAAVSTWTFLLTTIVSKIDASPRKKADNTISNVTVASLAGLLGQDDRAVHMASGEALAVCVELNLTQHVPRKDMDALAAKVSELASELPCRGYNNTLLPEERDLFGQIAAFLDDGERPEKLLPTSMEGCVVLRVSSWAKLVQLNFLTRFLGNGFVKHVQCNELFKEAFSYSADEGKVLSISKKTPAEKDLKALH >Dexi8A01G0009740.1:cds pep primary_assembly:Fonio_CM05836:8A:13672439:13676345:-1 gene:Dexi8A01G0009740 transcript:Dexi8A01G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPQFKWAPSAAAHAPSSSSRCSALRVRCAAAVVDADRANGGGAAQLRLAYASPALKRNFESTLASEALLNEETLVKAAAVEAVALARAAAEAAQEVVQMAQKNSYQPVVRQKKGVASYLANEILRTEIQPRTPDGYDNDVLVEDIESYGIKAPDGELDSADGELDNDTRYMEKNIAVKSARQSERRARRIRAETKAVTSVRASQKSATTSKKKRLKGSSPSMNPLGSLWKMTGRRLLTAKEEVEFSEGIQAFLVDHTRLLISDCIFGVNHYGGAPKP >Dexi7B01G0016740.1:cds pep primary_assembly:Fonio_CM05836:7B:22802448:22806341:1 gene:Dexi7B01G0016740 transcript:Dexi7B01G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFSVANNIFSSLVTDVCVVGVARTPMGGFLGALSSLPATKLGSIAIQAALKRANVDPALVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFSIQSNERGIAARDSGVFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFAAVALANQKLLGIPSERINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKVGVAGVCNGGGGASALVLELA >Dexi9A01G0015210.1:cds pep primary_assembly:Fonio_CM05836:9A:10116259:10117017:-1 gene:Dexi9A01G0015210 transcript:Dexi9A01G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAFFLRFDSFRPTSVASGTRFAAAGFKLPSSRSRRDFICQPQNPSAMGAAASASPPPPLEAATAAADSPTPTAETSTPQAKEDPQQSATAAAAGAADGAAATAAASADAAETVVLDASAAAGEGEEEQAECGFCLFMKGGGCKEEFIAWEKCVEEAEAATGGVDVVERCQDITAAMRKCMDKHAEYYEPILRAERAMAADLEAFQAQEAAAAASDSANPAAEEGQKKAPPSEEGQEKQAVSEKDISDLAA >Dexi3A01G0005120.1:cds pep primary_assembly:Fonio_CM05836:3A:3311945:3314992:-1 gene:Dexi3A01G0005120 transcript:Dexi3A01G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIRRVPTVVSNYQDDADKPRPGCGRNCLGHCCLPVSKLPLYAFKANPGKSSQEDAASAMSFVNILLTQWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDPAKFNFTKVGQEEVLFQFENGAGDDSYFLNSAPITAVDRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDPESFLLALQMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAATHHIPLSEDTMKSGVTVSKLIDYPVRGLVFEGGNTLNDLANVVSSACIWLQDNNAPYNVLISDCGKRVFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRNDYEEASETSAWKLLAEVSLSEERFEEVKAYIFTAAGLVQADEEEEASEGEEATYAPVPAHPLPVSEGCLVLQ >Dexi4A01G0009550.1:cds pep primary_assembly:Fonio_CM05836:4A:7540579:7542596:-1 gene:Dexi4A01G0009550 transcript:Dexi4A01G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLQKYQLQNHQLLLPKKQCVTYALYALIALAFLHYLLFYPAPASGKSVVVAQAQEQVAGVASARVDAREQLLPPSPPPPHQGDEALMNPQAEQEVPGPPPCDYSDGEWVPDARPPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRQCNLPVFSPEALLRWLRNKHLAFVGDSLARNQAESLLCLLASRSSPELVYRDGEGNASRRFVFREFNATVSVFWSPFLVKVVEKSEHAGGSRHNNVFLDAFDERWMSKLGDLDAVVLSVGHWFLIPGVYHDAGKVVACHGCEELNYTETDFFGVFKDVIHRTLAEVTRRQQHGHGEKKKEKVVAVTTFSPAHFEGGWDKAGACPKKRPYRNGEKGLGYTESEMRKTVVEAVRAAGEAAGSGLRFVALDVTNLANLRPDGHPRPYMTKDPFAGGEAGERRMQNDCFKR >Dexi5A01G0013040.1:cds pep primary_assembly:Fonio_CM05836:5A:9746591:9746992:1 gene:Dexi5A01G0013040 transcript:Dexi5A01G0013040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGTAGLWRSCLLRGQLRPFEMKQLDLTKLGHGRTGRCPWKMSQEARPAPPPASASSSCGAPPLVLLHPRPSSFPTMVGSATRGLEAAWSTAALSNATSRSIGFTSTLIPSRAAVGGRVVVSGGGGSWGGA >Dexi2A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:2A:27863898:27868276:1 gene:Dexi2A01G0016280 transcript:Dexi2A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRDRGSRASRKGRSARAGPAAAPPPASDPDPAAGEDAAPWLRATVEELEERLLKRLDEAYAAALTRLADLGHSEEASLEAVLRAGHCYGKLNDPVSNIVASARTYLSDPSHAGRAGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEALWCLLSSDLHIEEAVAIGCSLNGKPVPAAAATAAESEDLPPVVADTPHIHYNKTTAATAQDPALFDPETFMRLAIHQGPAAATISCLKAAGWSQSSGSGAAPEGQAKESFAKKLSTEELIESVVAELEALDIDKKDPPDANPDPKNQMVRDLIKQTREMEAQLKERREWAQGKAIQAARKLGTDLTELRVLRMEHDENQRRKKEKQVMEDDTMKRLTHLENELKKKSGQLDRSNATVQRLDMENAEIRAEMEAAKLSASETERQCQGLLRKEKKNAKKLEVWERQKAKLKEDIAECKTKIAQAERELSEVNKAIKNMEIKIREDTRAKEENMALLEQERRKKEAAKADSDRRLEELRRKKEVESQCYKDDLRRLQDELNRLQKPSGTNQSAVPSTNPPGTTNRSTARAPKQQPIQRPPPASNRPLPQSAQKPSRRRDCVVCKKEEACVILLQCAHQVLCVGCNKLHEDKGVARCPCCSAKIEERIRVFGATSN >Dexi5A01G0024080.1:cds pep primary_assembly:Fonio_CM05836:5A:27999769:28003186:1 gene:Dexi5A01G0024080 transcript:Dexi5A01G0024080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHLTFLMASSPLLLLLLTTTTASASNATAAADLSALLAFKAHVTDPSGILGRNWTAATPYCGWLGVTCGHRHRLRVTALSLPGVPLAGAMAPELGNLSFLSVLDLSDAQLTGPVPASLGNLKRLTWLNLSTNYLSSHVPASLGGLAGLEVLCLDTNNLTGEIPNELRNLQSLMWLDLSRNGLIGHVPQGLFDVMSQLKYLSLAHNNLMGNIPKAIGFLQNLENNLSGSVPNNGSFSLPMLQQVYLSKNKLTGMVPSGFGDCKYLQELNLAYNSFTGGIPSWLASMPELTVISLGGNDLSGEIPAGLSNLTGLTVLDFTTSRLHGKIPPELGQLTQLYWLNLEMNNLTGTIPTSMKNLSMISIFDVSYNSLTGPVPLIFLGEYLTELYMAGNKLSGDLGFLADLSRCKSLKYIDIERNYFTGSIPNSISNLSSLQIFRAAENQITGNIPSLPANQSSIWFLDLRNNLLSGEIPVSVTELKNLKGLDLSSNDLSGTIPVHIGRLANLFGLDLANNKLHGGIPDSIGNISNIQTLDLSNNRFTSTIPTILWGLQNIVQLDLSQNALTGALPEDVGNLKAITYLDLSSNRILGSIPTSLGMFRTLTYLNLSKNLLQYQIPDAIGKLSSIKELDLSYNALSGTIPKSFANLSDLTSLNLSFNRLYGQIPQSGVFSNITLQSLDGNTALCGLPRLGFPQCPNGDEFNHRRRFGHLLKLVLPSAAAAIAIGVCLLILIRTRVNKRPKDLSSTSSEKNSYYRPVSYFELARATNNFNNDNLLGSGSFGKVFRGVLDDEEIVAVKVLNMELERATVSFDVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLFSSNRRGLGLAQRVNIMLDVALAMAYLHHEHFEVVLHCDLKPSNVLLDEDMTACVADFGIARLLLGDDTSIVSRNMHGTIGYMAPEYASTGKASRKSDVFSYGVMLLEVMTGKKPTDAMFNGGLSIRGWVSQAFPSRLADVVDHSVFLLDEEAPSSGDIELAGWPSREESPCSWSGIEQVVDLGLQCSRDSPEERLAMKDVAAKLARIKECLSSSSR >Dexi2A01G0030370.1:cds pep primary_assembly:Fonio_CM05836:2A:41341514:41341877:1 gene:Dexi2A01G0030370 transcript:Dexi2A01G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding STLHIYRTLCALGVDFNGQNKEQSWEAYLYKEGAKLFTSLLEEKVTSMNP >Dexi3B01G0005920.1:cds pep primary_assembly:Fonio_CM05836:3B:4049906:4054754:1 gene:Dexi3B01G0005920 transcript:Dexi3B01G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHLPPPAMDEDGATPPAPAAPESVPPPPEPKPSLLRQRPPVRVTSEFDSERRLFSHRFSCRVLDGFAKLRLRVSHGAGGGGIAWGPPDVSLLAKNFSVIVDPASRSAVLRGSTDLAGSLRLRASHNTKEQQGEVSVAANLGDSPCKIELSSLVPPSGLPRATFFFPKGEVSIKEKILDEGDRILSVNGLVKSHVLNGVCTAVYNDNALNIKYRYKDDEISFIPSISLPSNSLSFAFKRQLTPGDKLSYWYNFDTNYWGAVYKHKENKHLKWKAGYESDNRLGWASLWVGDAGGSTKEVPLKAKVRFTLKVPQDNVQNSVVVFHVKKRWDF >Dexi2B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:2B:21922682:21923176:-1 gene:Dexi2B01G0013400 transcript:Dexi2B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDDRAPLARHQTSIWDVGGRFLPTLPSSVQPRGHAPSCPVSRAPSPCCRGLDLQRQWLDLDEPNEDPHLLHRLSCVITTRTSRCCSTRRAAESRRKTPSERAGELQRGGWRVAARACSSAGLGAEVSELQRRIGGGGRQAPTRDEWRRRRAPGLQAPAPDGG >Dexi9B01G0008600.1:cds pep primary_assembly:Fonio_CM05836:9B:5248148:5250900:1 gene:Dexi9B01G0008600 transcript:Dexi9B01G0008600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMVKSQDKLTTKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGKSVSSTVFFNPGSDDGYVGIAAPFPGRILPVDLANFGGELLCQADAFLCSVNDVSVTSTVEPRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKNPNQLRRAVFGGDNQLTAFLTGPGVVFIQSLPFPRLSQRIASSRSVAGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >DexiUA01G0020920.1:cds pep primary_assembly:Fonio_CM05836:UA:43170503:43171646:-1 gene:DexiUA01G0020920 transcript:DexiUA01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWWRWAPPHHFSGLFSVESLSADRRAGDDGNPRPVHHLVPHVAAVPLHRRHGLLPQQQVARRGRRARVAAAAGGARAEGTIKAATDAEFFQPSDTRPIMLFDGVCNLCNGGVRFSESGRKLLERSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFLKFVPLFLRDFAYDNVANNRSM >Dexi5A01G0004850.1:cds pep primary_assembly:Fonio_CM05836:5A:3708481:3712055:-1 gene:Dexi5A01G0004850 transcript:Dexi5A01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAGGGGGGDNEPHHVGFIVAKIVDMGHYDSKIAGREAVRLVREPSNPLDGNFIAVYNSRGEKVGNIQKTVVAVLSPLIDSQLLDRVEGIVPKDKYDAAQGRPCQVHLFARPASLPTVLAALKNGGLDLLGAERPETKWKSDRNVDNLFSLVGNARGKSQIPPMEAPGDVVKSELLDHQKEALGWLVHHEESDGMPPFWEERQEGGFRNVLSNESMEERPPPLKGGIFADAMGLGKTLTLLALIERTKTRHGDAEKPNQVKRPRVTLVVCPVSAFSAWLDQINEHIKPGSLNWYLYHGERTKDKNELLKNDLVLTTYTTLATEFDKQDSPMKEVDWFRVILDEAHVIKNPETKQTKAVIALNTERRWVVTGTPIQNNLFDLHPFMVFLRFHPFTTKSSWQRLIQGHKNGLSRLQNLLAAVSLRRTKDMETGSKRVNLPPKSVTEYYVHLSAEERGYYDQIEHEGRMKMKEFGDNDSILRNYSTMLYFVLRLRQLCNDVALCPLDRKLWLPAHPLEGWNIMYSNELLQILSKEDLFLAPEVKDPDEDGSSNLCSSMPVSLLRKMLLLLEKPLEAEGFKILRLDGSMTLKKRLETIQQFANDGPDSPTVLLASLKAAGAGVNLTTASTVYLFDPWWNPGVEEQAMDRVHRIGQKKDVKVVRLIVKGSIEERILSLQERKKGLFANAFGKKGGKDNRDVRLEELQTMLGLNAALDV >Dexi6B01G0005070.1:cds pep primary_assembly:Fonio_CM05836:6B:4365561:4366875:1 gene:Dexi6B01G0005070 transcript:Dexi6B01G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRIVIIVFIAVAVLLSLFSIFETSFPFSLHAKVFPKQALPTRSGYLPIPPTNASLFFVFYEATDPITPPSSTPLLLWLQGGPGCSGLVGNFFELGPFFVNPDGASLSRNPFSWNRRFGLLFVDSPLGTGFSAAASPADIPTGQPAIAAHILSALQSFLSLDRSFRSRPLFLAGESYAGKYIPVAASHILDVNPTLPEHRRVNLVGVAIGNGFTHPVVQVATHADRAYSAGLINARQRSELAVMQEEAVSLTTAERWVESAAAKERVLSVLQTMTGLATLYDVARQRPYQTGHVVVFLNRPEVKAALGVRRGDARWAMCSGEVRTAMEADVMKSALPEMETILMRRRGTRVLLYQGVRDLMDTVAATEAWMGELRWGGLRAFLDAERVVWRLDGGELPGGELAGYVQRSGMLTHVVVHGTGHMVPRRR >Dexi3B01G0033760.1:cds pep primary_assembly:Fonio_CM05836:3B:36575338:36576075:-1 gene:Dexi3B01G0033760 transcript:Dexi3B01G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNIPARHLSFVFSNPSVSITFFIFPGRAMNSKHAALRAWQPFSSATANVTATELTSICLHRISSHIAFNLSSLNPFAAASNPCAMATTVSSSISGSASVYMKCSIALNTLGSTPLISTRRRTPPGSFSFDAGVARSSASNTGERAMSSHPWASKLSSPTWMVTSAPCFFHSRSLDGGTTTRGVAGVAAPPPPPVSSSSSTLVQSWEMVMSHMTVKASSLTHPDLARSFHAMNSLTP >Dexi9B01G0028860.1:cds pep primary_assembly:Fonio_CM05836:9B:31421681:31426224:1 gene:Dexi9B01G0028860 transcript:Dexi9B01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAGLGHLLVFAFLFCFSTFMVGPVITDVTMGALCPGRDECSLAIYLTGLQQAVTGLGALVLTPVVGNLSDRYGRKALLAIPATASIVPLGILAYGRTKAYVYAYYITKTLTAMVCEGTMMCLSLAYVADTVPESRRTAAFGLFTGVCSAGFVASTIAARFLPLSTTCQVSAVGAVATAVYMKAFLQETDGGASSCSSSDEEASQPLCLPSSSAEEPSAPPRLPPLRKAPSLTEIAGLLTSSSTFSRAAVVTFFHGLGDTGLLNTLLYFLKAKFQYSKNEYANLLLIIGITGSFSQLTVMPLLVPKLGEQKLLIIALIASCGHAFLYSIAWSFWVPYLAASCVILSMLVTPCIRSIISKKVGPFEQLVALATSISMRPAEVEPDRK >Dexi2A01G0012080.1:cds pep primary_assembly:Fonio_CM05836:2A:14041243:14043135:-1 gene:Dexi2A01G0012080 transcript:Dexi2A01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDFHPERFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAREVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPKDLNPL >Dexi1B01G0027290.1:cds pep primary_assembly:Fonio_CM05836:1B:32049167:32052938:1 gene:Dexi1B01G0027290 transcript:Dexi1B01G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLRLAVAHRPPLPAPAPGHVRRRHLLHHHLPSPLSLYSTSLSLSFPHHHRLSSTARRRNILRPLLASQTPDSNPEPEPAAGGAKLVPLVVSVAVGLAVRFLAPRPAEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIQSLSLSAESKPNHPSSRKLGSYLVMTQFQASGNSSALFLTAAAQNLLCLKLAEELGVIITNPWVSWFKAASLPAIVSLLATPYLLYKIFPPETKDTPEAPALAAEKLKRMGPVTKNEWVMIGTMILAVSLWVFGDAIGVSSVVAAMLGLSILLLLAGYLELPDVFKLGFVTALANTLIWGVVGTIWWKFLGLY >Dexi8B01G0015910.1:cds pep primary_assembly:Fonio_CM05836:8B:26744914:26749390:1 gene:Dexi8B01G0015910 transcript:Dexi8B01G0015910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPTAAVVGDRVEDVLRTEVSVLLILLPAGVMCNPTMMARRNPRTGTKIERKTRTGIVAGTVTKIVGETGIGIGTGIATETGTGIAQVGITVTEGNTETVLMITEAVTVILTGQLPGSSLPNIGGMFPNMLPFGVAGQFNPLVMQPQAMTQQATRHARRVYVGGLPPSANEQTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSANLNLAAVGLTAGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGSLPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGNLVNVVIPRPDPSGQPVAGVGKVFLEYADTDGAAKAKTALHGRKFGGNPVVAVCYAEDKFANGEYDG >Dexi4A01G0015900.1:cds pep primary_assembly:Fonio_CM05836:4A:19324706:19325141:-1 gene:Dexi4A01G0015900 transcript:Dexi4A01G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINELLRGDTRHAFSLGQSVEQLAGAAAGPESRPARRGREDADGKGAEESRRPAEEARKESAWDWRKGGRVWKRMPAVGSEAEAGSVGAGERRRRRSAAAGGGELGQSPEAGEEENAAALAMGQARRWRNSGSHCSIVGS >Dexi4B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:4B:893594:894880:-1 gene:Dexi4B01G0001500 transcript:Dexi4B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVSIPLSNHTWLPLNHRHGPCSPFSPETIPTAAAADMLRGDQHRADTIRKRLNGTAAAKHGADVSIPTKLGCSLNTLEYVVTVGLGTPAITQTVHIDTGSDITWVQCRPCPATTCHTQKDKLFNPAMSATYFAFGCRSAACRSLRRDLYNNGCSRHRRHCQYIVKYGDGSNTTGTYSSDKLTLISNHAVVDHFQFGCSHVTQLFTGDKADGLMDLGGGLPSLVSQMKTKAFSYCLPPAASYSGFLTLGVPPPPPRMSSTNKFAMTPMYRSDVVDTFYLVRLQGIAVAGRRLRVPSSAFTAGAVMDSGTMITRLPETAYRALRAAFRKEMRMYKRVSSSTILDTCFNFSAAAGGEDDVKVPSVELVFERGATVELDRSGIILDGCLAFASTGDDESAGIIGNVQQRTHEVMYDVGGGAVGFRRGAC >Dexi9B01G0026280.1:cds pep primary_assembly:Fonio_CM05836:9B:28093318:28094561:1 gene:Dexi9B01G0026280 transcript:Dexi9B01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTSLLSTPLQMPLAPPFSGKSSPPSVVHVARRAPTAVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKTDEKKRKAREAGRRNRRRRMMDEPRFPEEDAGAAGGARDEDDDNWEIDGLL >Dexi8B01G0014280.1:cds pep primary_assembly:Fonio_CM05836:8B:24679300:24680566:1 gene:Dexi8B01G0014280 transcript:Dexi8B01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAERRGRRARVYGGAQRVCGGVAPEEDMDGIMASAATGVMGSLLAKLAELLREDYQMQKGMRHQIAFLKDELSSMNALLERLADMEVLDPQTREWRNQVREMTYDIEDCVDDYMHQLRNEPQRPSGVMGFFLGYVQKVKELVSRREISGQIQELRDRIVEAGHRRKRYKIDDVVNSTSIDVVPVDVGCQHSMQNWEALLVSVFPQMRSSSYLVTGSRG >Dexi9A01G0013490.1:cds pep primary_assembly:Fonio_CM05836:9A:8692111:8692923:-1 gene:Dexi9A01G0013490 transcript:Dexi9A01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAARAVPTCPPDAVDVPGRPAYVDSSVQGNLPTPATAEPTPPTPPHERRTSRPEMVLVYMPAPSPEMCYFGRCFAHAYITPSCAPCKAHPAPFIRAAIGAVLPGLKFELMYPSHGADKMVRFPSPEDREAAMDRQPFELDGASVKLVREGETSNVRRVRKETLAHVALHGYPRELRSVEEIRSRCTSFGHLFEVDPACFDAPDLSPVRVVVRMERAREIPRQVRISWMAGAFRHVVPVQILKVWNSSESVDAKGHYVPIYGAAGVVPP >Dexi6A01G0013330.1:cds pep primary_assembly:Fonio_CM05836:6A:20408618:20409155:-1 gene:Dexi6A01G0013330 transcript:Dexi6A01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLDFSAEAIEAALVVQVQIKGQRGAHNPCERRGSEERVQRCGGGAPARIGQATGRGAGDDGVPVVLPPAAREAHAALDGPDYGQQGAAPAGERRDLRADGTDAAGEASAAGRVAEAAGEVVGGAEHRAEREAATNVIDEAVAISPYDGVAMTAGYRTAGCGGLMGMAVAAAAL >Dexi6A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:6A:21331102:21332285:1 gene:Dexi6A01G0014000 transcript:Dexi6A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLISFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLPPPPPPPPEKNEQSPPPAAGSPEARPSPPPPEEKSVPVTMTTAANKSEHGGGGDEVLLSKAPGFCTDEVPMMHPDEIMVPLGGDELPPPLLPAATTCACAAAAAVSTPTTSYSTSASSSSCCLSREEEYSPFAFMDMDFPEIVFQMDDDARWHDDCLLQPPMSPSPPVYEEDLFDSYQLQIRNGGALFSSY >Dexi8B01G0004230.1:cds pep primary_assembly:Fonio_CM05836:8B:3727327:3731676:-1 gene:Dexi8B01G0004230 transcript:Dexi8B01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKSGWLFNTPVDPVLFGIPDYFDVIRHPMDLGTVKKKLTSKQYRSTDEFAADVRLTFSNAMKYNPPGNHVHEIAKELNGIFDSEWESVERKLRGQKQVKIKFSVRSSEKTSSKDVPVEAADSKEGSLNHSLATEKARIEAQVKAAEAAAQHKLDEEIRTKRQKERDAARLALRMMKKTVDIDNSDFLKELENFSKTCQSNPPGKLIVEFVGGDLPPGLGSPLERLGLFMKQDFEDEVEQEMEDSASPSMDVDMKKDSEQEAGHGMQGSVSPSTVMGMKEDFQDETRHEMEDSLSPPAVIDTKKDSEEEVEHEMVDSVSPLMDVDTEEGEISC >DexiUA01G0026110.1:cds pep primary_assembly:Fonio_CM05836:UA:54985180:54989543:1 gene:DexiUA01G0026110 transcript:DexiUA01G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIVTDPLEQEVNKSVYMGKLEHAMHDMVNWGRKNSIWPYNFGLSCCYVEMVTSFTAVHDVARFGAEADLMVVAGTCFTKMAPVIQRLYDQMLEPKWVISMGACANSGGMYDIYSVVQGVDKFIPVDVYIPGCPPRPEAYMQALMLLQESIGKERRPLSWVVGDQGVYRANMQSERERKRGERIAREATPYSHHGPFAMVNNMTDLTAQEAAWQTRDHLDDPVIGELRNRFGPDAFTVQATRTGVPVVWVKREQLLEVVDFLKKLPKPYVMLFDLHGMDERLRTHRQGLPAADFSVFYHLISIDRNTDIMLKVALSENDMHLPTITKLFPNANWYERETWEMFGMTFDGHPHLTRIMMPQTWTGHPLRKDYPARATEFDPFELTKAKQDLEMEALTFKPEDWGMKRGTENEDFMFLNLGPNHPSAHGAFRIILQLDGEEIVDCVPDIGYHHRGAEKMGERQSWHSYIPYTDRIEYLGGCVNEMPYVLAVEKLAGITVPDRVNVIRVMLSELFRINSHLLYISTFIQDVGAMTPVFFAFTDRQKIYDLVEAITGFRMHPAWFRIGGVAHDLPRGWERLLREFLDWMPKRLASYEKAALRNTILKGRSQGVAAYGAKEALEWGTTGAGLRATGIDFDVRKARPYSGYENFDFEVPVGGGVSDCYTRVMLKVEELRQSLRILEQCLNNMPEGPFKADHPLTTPPPKERTLQHIETLITHFLQVSWGPVMPAQESFQMIEATKGINSYYLTSDGSTMSYRTRVRTPSFAHLQQIPSAIRGSLVSDLIVYLGTERAAIEHEMHHYEDPRAASIEALKIVQKQRGWVPDGAIYEIAKVLGIPASDVEGVATFYSQIFRQPVGRHVIRYCDSVVCHITGYQGIQAAIEKKLNIKPGQTTFDGRFTLLPTCCLGNCDKGPTMMIDEDTHSYLTPEAIPDLLEHKNGYAGARKALGGMAPDDIVSAVKDAGLKGRGGAGFSTGLKWSLMPKDESMNIRYLLCNADEMEPGTYKDRLLMEQLPHLLVEGMLISAFALKAYRGYIFLRGEYIEAAENLRRAIAEATEAGLLGKNILGTGFDFELFVHTGAGRYICGEETALINSLEGRRANPRSKPPFPASSGVWGKPTCVNNVETLCNVPAILANGVEWYQGISNSKDAGTKLMGFSGRVKNPGVWELPFGTTAREILEDYAGGMRDGLKFKAWQPGGAGTDFLTEAHLDLPMEFESIGKAGSRLGTALAMAVDHEIGMVSLVRNLEEFFARESCGWCTPVKILRAIERGEGQPGDIETLEQLCRFLGAVEPLQSAIKYFRDEFEAGIKQPFSNTHAINGIQPNLLKARW >Dexi7B01G0008560.1:cds pep primary_assembly:Fonio_CM05836:7B:16368342:16368967:-1 gene:Dexi7B01G0008560 transcript:Dexi7B01G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLGPLICSGASFLNLTVSTPLSSLAATESRSAFSGSLNWRQNLPYERSSRCQWSPLLSSARRRSPLTRRTRLSSTSILRSSLRIPGTSTTISCASGVSRQSTRAIDTIATSSRSKPKGTCSRILNGSARRPISDSGDTAAAAEASSRPRRPSPNHGSSAPSATAAAAAIITARHANADAALFDIIAGRSEKKKIS >Dexi7A01G0023310.1:cds pep primary_assembly:Fonio_CM05836:7A:31344185:31345449:-1 gene:Dexi7A01G0023310 transcript:Dexi7A01G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDWTPPCGSCCTKKYASLVQIPLYLHPVKSPGIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLFEIPAEKVEEIKPNRPSKPTPPPPEVKRTTTSPDSTRSREDVPCTSA >Dexi2B01G0029140.1:cds pep primary_assembly:Fonio_CM05836:2B:37640214:37642436:-1 gene:Dexi2B01G0029140 transcript:Dexi2B01G0029140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSDNRRLLKPESRWSRRAPAHVTNRPEQIDAFTEMEERRRYRTPCSPTCSPAAGRRSPAPDAAAGSAEPSRILVNALRRSMLCLSCGLWWSSESATNEPSGERNRTALRTWEAAVRRTTRPASFPFDAVLALSLTISPAEPAMARPRRARGYLRPNPNPVFVTALASYSSSHTSGEYLDGGRRHTDSTDLLAWPSFLFLRGDPISAFQAHAIAPRTPAASRETVRSHALRTPRGLGANTSRLQKENNPVNHAPLHARPRDPREPTCGDTDDLASAPRGCLEAKKISRTNQHWILAVAVIFWKDPCADDFSRQEQNRGAPIKTGKRELLCDYREEEVAIQERAER >Dexi1A01G0019110.1:cds pep primary_assembly:Fonio_CM05836:1A:26273367:26278711:-1 gene:Dexi1A01G0019110 transcript:Dexi1A01G0019110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRGTAAAPMFFPFGPQAEAWEVTFKIKYGDTLKRFYGSVNGAHLDMNLPALRAKIATAFKFGPDADFILTYTDEDGDAVMLDDDDDLCDAALRQKLNPLRITVQLKRGQPMEQKERTSTPVKPIPQDPLSQIMSAIEGLKPAQEETLAHLKSAIGEAIKSIPEPIPDALAKLSHEVLDVAPPPLAELMKPFVQMLAPSNSSNGPPAHADGSSSSSSGVTEAQAPAKAEDEPKTKACLGLRSVLKEAAAAPAAPSAGASHGQQQSMYPSVEDLLFPGNSVDKSICKGKVDCQGKGKSVMSSATQPAPHALPIHAPPPPPPCAFRPRRSQSNQWQPEDNARVTSDSRWRIPMYKVPYAPPATVPHASPGYGPSPHFPYPGRLLSSGHPYGDLAGNMENSASRSLHRWIQCDGCGVQPIVGTRYKSNVKEDYDLCDSCFQRMGNEAEYTKIDKPILPHRLLRDPHAYRKVHHPRVMMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDEEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNDNNGNAAINLNLPPESNSANTTNLIDVNIEPVDSALGAHAKRTKEFHFYPINVPEPKKSQPAPAVATSMSAAAPANPVVDVPMSSAMAAAFMPSASMPEPVVPAVPSSVNVPILPITMPVTAAVPSPVNVPILPTTVPVSAPAPATASAPILTAVPMPPPVSAAAPEPFDIDGHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLEQSVDDLCGVNEWDPLLAELEEMGFDDTEVNKELLAKNGGSIKRAVMDLIAREKKDK >DexiUA01G0021740.1:cds pep primary_assembly:Fonio_CM05836:UA:44955273:44957170:-1 gene:DexiUA01G0021740 transcript:DexiUA01G0021740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKDGVEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVELLVGRSGGSCRRISVSGLPCDPLLSFIGDHARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGNHCKSLVGLRRVMHPIDLADKECQHDEAHAIARSMPKLRHLEMGYMLIRTEAVLEILRECRELKFLDLRGCWAVDDKFLRERHPGLRVLGPRVEDCYENSFWEECSDYSDDDSSIYSWEFMDDVDGYYAVGSDDEAIWDDGQGLENLEVRFYGGGFNESFAGFDWPPSP >Dexi4B01G0002320.1:cds pep primary_assembly:Fonio_CM05836:4B:1483339:1483704:-1 gene:Dexi4B01G0002320 transcript:Dexi4B01G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKPGYEGGVLEPQHRIRITLSSRNVKNLEKVCGDLVTGAKGKKLRLKGPVRIPTKVLHITTRKSPCGEGASPFLPMIVSRS >Dexi7A01G0016200.1:cds pep primary_assembly:Fonio_CM05836:7A:26091917:26092414:1 gene:Dexi7A01G0016200 transcript:Dexi7A01G0016200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPMIFRLQWAVVKPRPVWHRPFHLAGTGPRPLSLKLGLSGHTPVSSTPTTTSWSARPADQMLLLISGLMPRKSGLRVDRLGENGHHAVGLGHQLGLVLTILAYGSKSSSSSSPCSCTELTDFTVEAYHSWWKSNELGISDAAI >Dexi5A01G0020310.1:cds pep primary_assembly:Fonio_CM05836:5A:24141045:24148256:1 gene:Dexi5A01G0020310 transcript:Dexi5A01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSTTSTAVDPESASIDALSKKMDEMLCRMEETLTRMSNVVEPIAHGIDVFYSDPGAPSRHYHVSYFASDHQCHEDQRVQKVFDKMASKKKVFMGGVSDTEEYDHVTVTSTIVFSSAAKNIALGNDEMLRDLLRVRYHCIGKVSVKRLKHIKHDVPSAPGSEDEDYGDSNFSVATAVMSMFNRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSRAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVHVPANKQPARPAPQKATAEDDELAALQAEMAL >Dexi4A01G0014610.1:cds pep primary_assembly:Fonio_CM05836:4A:16892113:16892610:1 gene:Dexi4A01G0014610 transcript:Dexi4A01G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVVPMPATTPETDKRTVTTGTVIFSYMCVGLTGTALVAVVFFYCYNHYFRRRAPVTAAGEEANPGAEDLHVGVDVTKLPEHAYTQSSRRRSSGDAAQCSVCLGAVKPGEMVRRLPLCRHLYHVECIDMWLASHATCPLCRSDVEPPVDGQAAPTVPPQELPV >Dexi5B01G0021500.1:cds pep primary_assembly:Fonio_CM05836:5B:23827702:23830672:1 gene:Dexi5B01G0021500 transcript:Dexi5B01G0021500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCGCFLAPKPPRENPMHRAQEPLVRQGSSATGYLHPAQMGMGAAERLKAGFRTFKKTIYDTNPMLFGPLKSAQSPKYMVFACSDSRVCPSVTLDLKPGEAFTVRNIASLVPPYHQNMHSSVASAIEFAVTILKVKCIVVIGHSCCGGIRELLSLKEDRPQTYHFIDNWVKIGLDIKRKVERLHASLSFDEQCTMLEIEAVNLSLRNLMTYPFVKDKLAKETLKLIGARYDFVHGTFQTWHP >Dexi9A01G0012350.1:cds pep primary_assembly:Fonio_CM05836:9A:7808937:7811522:1 gene:Dexi9A01G0012350 transcript:Dexi9A01G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPVQAGPLANATFSHLFQLCAHAGRAALGAGRAAHARMLVSGFVPTAFVANCLLQMYARCADAARARRVFDAMPHRDTVSWNTMLTTYAHAGDIGTAVSLFDAMPDPDAVSWNTLISSYCRRGMFRESVGLFLEMAHHGVAPDRTTFAVLLKVCSGLEDLALGVQIHGLAVKTGLEADVRVGSSLVDMYGKCRSLEDALCFFHGMCERNWVSWGAAIAGCVQNEQYARGLELFAQMQRMGLGVSQPAYASAFRSCSAMPCLSIARQLHAHAIKNEFSSDRVVGTAIVDVYAKAGSLVDARKAFFGLPNHTVETCNAMMVGLVRTGLGAEAMQLFQFMTRSGIGFDVVSLSGVFSGCAEVKGYFQGLQVHCLSIKSGFDVSVCVRNAILDLYGKCKALAEAYCTFQEMEQRDSVSWNAIIAALEQNECYEDTIAHLNEMLRSGMEPDDFTYGSVLKACASLQSLEYGLMVHNKVIKSGLGLDAFVASTVVDMYCKCGMITEAQKLHDRIGRQELISWNSIISGFSLNKQSEEAQKFFSEMLDMGVQPDHFTFATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLLMFEKAQKLDFVSWNAMICGYALHGQGLQALEMFERMQKANVMPNHATFVAVLRACSHVGLLDDGCHYFHLMTTCYKLEPQLEHFACMVDILGRSKGPQEALKFIRSMPFEADAVIWKTLLSICKIRQDVEVAEIAASNVLGLDPDDSSVYILLSNVYAESGKWAHVSRTRKLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSREVYEMLNDLIGEMKLSGYEPASALLAEVDEEESTSEQDDLLGIVGD >Dexi9B01G0047600.1:cds pep primary_assembly:Fonio_CM05836:9B:46682330:46686609:1 gene:Dexi9B01G0047600 transcript:Dexi9B01G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRTVVGRERSGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDRSMQEHRADQEHIYGGMHLGVCTDVQQCDHECSICHSPKPREEPMLMLHPQLKRTETEEDGSASPKSLLLRGPAAGICEGDGSSPRLRLAAAAAGGCYYDNYMLMRMVQAEAGVVEPRWLPTGIKQRRRPRHEADDAGVFAARAPPSSSSSSSDELFTFLGFVVAPGPAACCRGSSSHDHHHHPFEISARALPTHNIFPTTNRLPCSLQVPGNGVVVRRRGANSPAPSSVASDHVGGCSLSLSLALDTGSSSSHGGGQCCTYSAEEGSLLSPATSSSGSRISLDLSLSTLDPSLN >Dexi5B01G0039910.1:cds pep primary_assembly:Fonio_CM05836:5B:38576972:38579393:1 gene:Dexi5B01G0039910 transcript:Dexi5B01G0039910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPDPKPRLNVPPSMAAALRLDPLGASPSPSRRLAEAPKTPSPSKTTYSDRFIPCRSSSRLHNFELLDSPSSKDDTTAYSRLLRAELFDSSPQPQSASPNTNLFRFKKDTAAATSPSLPPHHHYCAPADNTASPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGTCVYLWSASNSKVTKLCDLGPRDTVCAVHWSREGSYLAIGTGLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSCILSSGSRDKDILQHDIRVPGDYISKFSGHRSEVCNLAWCKNVNELVATLTGHTMRVLYLASSPDGQTPVRDIGLWSFPRSHIR >Dexi8A01G0007880.1:cds pep primary_assembly:Fonio_CM05836:8A:9048603:9049837:-1 gene:Dexi8A01G0007880 transcript:Dexi8A01G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRTRFADLSDELAVARPRHGPRLPPPRLRLRHRLAPPRRPAAGFFFNDPFRVRTDYFPFPLGFSDAAAEDAPAAAADLSFLLPNTSPSAANPPGGGGIGNANVHVTSSCNGLLLVCYPLPRRTEHPLTREVAPIPALDNPELLHGFNLAFDPATSPHYKVVAFGLWYDIYVFSSVTRSWGRTPIRPGRRHLLGLRSLRAVFWNGSMVWTLGHALIRLVLGSESLTRIPMPPRIKKKRGWICAYIGESGGHLQMIGYTKEEKLTACFEILEMQSDVSKWSVLYQVDLGRVKELHPEIEWPTWDTRQLEHKVIDHLAISPVCVVRGSEEAGKQGVLIFSIPGKIMLYDMEDQRVSLIQEVMSSPGGDRGPYTLEHPWHYFYAYSPSLFTV >Dexi7A01G0010190.1:cds pep primary_assembly:Fonio_CM05836:7A:21009345:21011599:-1 gene:Dexi7A01G0010190 transcript:Dexi7A01G0010190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAATASSPARCLSPSSAALPRRFLRGLLGAPPGPGPASARPSPPLLRRCFPFHRMAQYWAQASLDKNKALVDYLKQYDGRLGFPDAAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGTYLQDLQVVDKNADGSISVRNDTSVRYVPLTSRSAQLQDP >Dexi9A01G0026950.1:cds pep primary_assembly:Fonio_CM05836:9A:31136062:31136829:1 gene:Dexi9A01G0026950 transcript:Dexi9A01G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQHRWGPGLPASVAAAATARAAACRSSARASRSARWQRP >Dexi7A01G0001600.1:cds pep primary_assembly:Fonio_CM05836:7A:4254417:4257867:-1 gene:Dexi7A01G0001600 transcript:Dexi7A01G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLPRHQVRCLLPVDTPDAWKARRMSANYQPSSWDYDALLSLDSGNLKQCNNCGLTVNMQVYPSEYYKLKRSITDMLLKKAESASKLYTIDAMQRLGISYHFEEEISDILNSVSKEKAKEQHADGDLASAALKFRLLRENGFPTTPGPLSYHNYRKCSIKTAGQEDVNALLSLYEASNLAFPDEELLDEARIFSAEALKELMPSMPPHLSEGVAHALELPLHWRAPRLETRWFINQYSRDIDMCPLLLQFAKLDFNKVQDEHQQDLATVTRWWRNIAFGKKLTFARDRLMECFHYANGIVWEPKLGPCRQMLTKVSSLIVHLDDVYDVYGTMDELVLLTEAIAREAEPQ >Dexi1A01G0017500.1:cds pep primary_assembly:Fonio_CM05836:1A:24831089:24834248:-1 gene:Dexi1A01G0017500 transcript:Dexi1A01G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEGTAKAGRPRLYSYWRSSCSHRARIALNFKGVEYEYKAVNLLKGEQSDPGGLYLEDKYPSPPLLPQDPKKKALNHQIANIVSSGIQPLHNLTVLVSILYNFLAYVILHRFIDQKIGAGESVSWTQQQIERGFTAIENLIQLKGCAGKYATGDEVQLADVFLAPQIFAAIERTKIDMSNYPALARLHDEYMGHPAFQAALPDRQLDAPSST >Dexi2A01G0020110.1:cds pep primary_assembly:Fonio_CM05836:2A:32187666:32189114:-1 gene:Dexi2A01G0020110 transcript:Dexi2A01G0020110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITTHTKHVVVKTAFCLLLPLLLFAVVFYLHFQTQLSVFSPICRCASQPAVAGAVDDDHVERLRASATFLPLKDTRHGAETWFISTLNATAEPEGEARNLFFPSPASSGRLLCLSAPSRHDGAKNAYALAWRDALPHGVASPLLPGLTFVSETAYDHTNIWHGLTSLLPFASWHARNGCRSPPARWALFHHGEVRTEMSPWLATLAEATTGAEVAIETFEAAPSPVCFEEAVVFRANVAGMNGERMLRAADFMRCKARAYCGVDASTSKAGGGETSSALLRVTLLFRTGGRAFKDEAAVTRVFDKECKRVAGCAVAAAHVSNLTFCEQVRLLSSTDVLISAHGAQMTNMLFMDRNSSVMEFYPLGWKERAGGGQYVFRWMAGWAGMRHEGSWWEPIGEPCPNNPDILDCWKDRQIGHNETYFAQWAARVFAAAKERKASAGGRPREATGCICS >Dexi2B01G0029470.1:cds pep primary_assembly:Fonio_CM05836:2B:37857984:37858622:-1 gene:Dexi2B01G0029470 transcript:Dexi2B01G0029470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSCGHQQQQMRSMASGPSAIASRLNAVAAAVAGDAAAATWWATRRLVDDENDSKAATPTRPSSATYDVFINHRGVDTKHNVARLLYDRIEHLSGGKSIDEGIRQCKVAVAIFSKRYFDSEFCLHELASIVESRKVLIPIFYGIKPSELILPKAVEDSQTHAPRDIERFRLALQEAKYTVGLTYDPATGYVT >Dexi9A01G0008490.1:cds pep primary_assembly:Fonio_CM05836:9A:5015776:5016746:-1 gene:Dexi9A01G0008490 transcript:Dexi9A01G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLYSMKEAALHNTPEDCWVVVDGKIYDVTKYLEDHPGGADVLLEATGKDATEEFDDAGHSKSAKELMQDYFIGELDPTTEIPEMEVFRKEQDTGFASKLMDSVVRYWTIPAAAVGISVVVAILYARRK >Dexi3A01G0003400.1:cds pep primary_assembly:Fonio_CM05836:3A:2183538:2184035:1 gene:Dexi3A01G0003400 transcript:Dexi3A01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLSRPPLPVPTHAAAPGADGDLLELDVLWPSGASSIGLGLLAALPEDEGKKKKRAAGGGVGGPVRSAARPIPEAAALAASGMARSAPAAQGGGWDDAGETMVPPHEIVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >Dexi2B01G0005180.1:cds pep primary_assembly:Fonio_CM05836:2B:4849724:4851225:-1 gene:Dexi2B01G0005180 transcript:Dexi2B01G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIAGSGRPRSARRSAVERAYSPDAVAAFFASRTSSTASPSAPPPPPRSAPAAATAAGSTRAPSSRTPLTSAGNASLVSSSCGMSRAAVRAIQRDATRTSKVVAVSRRCGGRRRFLRATTSVGVKVNVLVVMSPDGGGTGSYSGWGNVGSGGLRASRSSRDHGGDTVGAGRPRASSPTADKNWTMPTPSHMPGSLEVGQPHVGVGLDEQHPLAGAVDDELPPLHLPQPPGERVVRHQRLAERAPDDGCRVVTVAARDASSVEEDERHMQPQPLLVIDVGEALRLARRRPRRADELRLLTAHRCDPAAMMITRRVAGDVDDTRTAAAEDETH >Dexi5A01G0018730.1:cds pep primary_assembly:Fonio_CM05836:5A:22230284:22231223:1 gene:Dexi5A01G0018730 transcript:Dexi5A01G0018730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSIPSIKVKVGSVSVAPPHRAFRSSFVVIRSSKADGPIRRPAAPPLSPPPPMPPKTPALSTPPTLSQPPTPVKPAAPPASSEPPRPPEKRPVEAAASATAVPKMVAGAVTLEYQRKVAKELQDYFKQKKLDEADQGPFFGFLPKNEISNGRWAMFGFAVGMLTEYATGSDFVQQMKILLSNFGIVDLD >Dexi3B01G0027100.1:cds pep primary_assembly:Fonio_CM05836:3B:22639222:22640967:-1 gene:Dexi3B01G0027100 transcript:Dexi3B01G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQPECSAGGDRLSKLGDHVLGNILSFLPANEAASAALLSSRWRHVFGAVHTVSLNEPEPPISDDYGQYQCDSPSCHPEHPPDPNAPPSFRSVVSAALIARHRRRGVVAPLRALHVAMGSYRGAADATEVDQWISYALRQAAPDGLDLGLRLCHEDLLCCRTEYTMCRGNVETADPRTFGQTTYGIDEEDPPKRRRILKSSVGSAQYSDSDDGSVVSSSDDEDLPSSEERPVEPEPMYTVPRALFSCADLRSLSLGFCRLAPPATVSLPSLVSLLLYSVPDTGADVELLIAGCPRLADLTLEACLEVTALTISGGVRLRRLALRCCYMLATVAVDASELRVFEYKGAVPDASSFLTTHGGGGFGRVDYCKVDICGAEATSDEELVNLWRVLQLFVNAKHLHLESAHLGSGLDKEVPMGLPSFLSLRRLDMRGRLADNDTGGIDAMRRILERAPNVEALSLAFHPPEPDLSYICWRPGIASEREMLDAHHLSYSPYSVLTAPSAMTTIPCCLRSRVREINLVHYQGGTAQRALAKFLLCNAPAVEKLWCEFAEGPMFEQIQLMREIKGWLINKAADTHFG >Dexi1A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:1A:10405341:10406399:-1 gene:Dexi1A01G0011410 transcript:Dexi1A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQTVKVKTLLGLALTRLAVARRPHVARRSISSSDVSQFLALGHLDRALHRAEHVIEEDNILEAFSIIELYCNRLIENAKQLDKPHECDEDTQEAVAGVMFAAGWCGELPELLFARNILENKFGGDFAMMAKHGTGAVDPMLVWKFSGNKRNMELKKKVVKEIAAENNIQVNFSEFSEVAEQDGCDNMPHHQELNHKAIYQIDMDGSSESGSDHSPPHDEDPCDISDFDGSKSVQPKEKKATISVRTR >Dexi2A01G0024170.1:cds pep primary_assembly:Fonio_CM05836:2A:35889011:35892999:-1 gene:Dexi2A01G0024170 transcript:Dexi2A01G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDRKLISFILSNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQVVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDQKTTSSPATTSQSVVTADSTTKSDEATKQQSPQSNVVRDVLADDDPTESSTNTISTGGSSSSISSSHDQDALVKWLLEEDPPTGDEPWLNFAGGVDVDEFSSIAGGPELPWDGATDWLLDYQDFGLGDSSLVDGSMINSSNGATTF >Dexi1B01G0002250.1:cds pep primary_assembly:Fonio_CM05836:1B:1843953:1844171:1 gene:Dexi1B01G0002250 transcript:Dexi1B01G0002250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDPQKTSWPEVLGWPVYPAMQRIRQDRPELWVEVHRAGESVRPGVNNRRVRVFVNLDEGHTVAQTPVVG >Dexi7B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:7B:11548175:11551305:-1 gene:Dexi7B01G0004590 transcript:Dexi7B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSEEWYDEEEEPSLRRRPRSLPGSRRSSPPRARDSGGSRSPAAPRRGGLAQASAGSRSPSPRRASLMATRLGSSVRTTPRSPPPRTPSADSPSRGDVAGGADDTGVAEIIAKTKKELPAPAAARDDAETSAAGASASRGGGADEEESRAAEGFIGFSLQELEASPGVDGAEVLDAFAGSDDAARKGKAAEEFLEATMGANTGARTEAIKAELVVNGRVLDLEGLERWMRRTEAVSELEWFVGLCCDEEKPAPHVELFECAFRALENASARELHRGAEARRGWIGSVGVPRFFVCPISNKVMENPVVIASGKTVDRSALEEWRKDHGRICPVTGEVLSHTMFIPNILIKLCIARWQAANKIADVTAAAEPQPPAIPPDVEALFKQVTLMPHSPRSSKEVRDALFILKDLLTTNETSIVHLIGTHLGIIAKLISVLPETCLDPDPELDDIIIGVLEKAASYGPNKKLFGDDRYAIPVLISRAFLGPVQTRARCAHILGLLADDEHYNKIKIGELGGFAPMVELLYVGDKGVKKMVARAIASLCEARENQSRFHREGVVDATISMLRSDGLVLEAQGILLQAAGSDHAMREVISKLQEVQGDEMCRKMASRLWNTFVLTNPDAKLDVVPSMPASKKTWEQASTSTSTSDAEISSTSSGGSSDVKVLSKQINEDVKIIVSWLQKRCYYPRTYRYRE >Dexi5A01G0038920.1:cds pep primary_assembly:Fonio_CM05836:5A:39686189:39688850:1 gene:Dexi5A01G0038920 transcript:Dexi5A01G0038920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHFKSSNLLKQEYKEITGRRIEHLKYLRKRPDQYTILVRGIPTCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEALQKLASSIEKQIQRKKEGRKCNIWQRIWFKFTSEAISIHRQEEKLKDVQQTIRLLQCENMLKQKEVPVAFVSFKSRLDAAQAAEMQLHVNPLSLVTTYAPEPTDIIWKNLAIPFWRMAMYKLGVFLAAFLLTVFFTIPVTAVQGIVQFEKIKSWFPPARAVQLIPGLNSVVTGYLPSMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSVLSGSLLDQLGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQLGLLSWHFLKAHSLGNSKEPYLFGFPYYRVVPIISLAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQVVCLYFIQFIRSVAKLSDELDEAEGTTAILDGAIGAYKPPWMRPTNMESTSVQPLNV >Dexi2A01G0017300.1:cds pep primary_assembly:Fonio_CM05836:2A:29135041:29136282:1 gene:Dexi2A01G0017300 transcript:Dexi2A01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNGRNPSGVLDGLYGVELNRPLPQSAQSEDQALRTTALESSTCEHQKGGRVRQRLLIRRLWQQRPSCLKPIHCSITCDKHAGETIANVVTSLPFIVLGLQTPRKNLNTAIYANSLVGVGIASSLYHSSKGEIRKFLRWADYTMIATTTLVSFARRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAAIGIGTCNKLLE >Dexi9A01G0001970.1:cds pep primary_assembly:Fonio_CM05836:9A:1019053:1020678:1 gene:Dexi9A01G0001970 transcript:Dexi9A01G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANYLVLLTFLFLLATHRVVSRRRRHGKGGPPGPPLALPVLGHLHLLEKPLHHSLARLAARYGPVFSLRLGSRDAVVVSSADLARECFTEHDVTFANRPHFPTMALMTYGGKTIGNCAYGPHWRHLRRVATVHLLSARRVSSSMLPTITAELRAMVRRLHRAAAVAGGGVRIELRQRLFELSLSALMETIAQTKMWRGTAVVDDDDAATDMSPETQEFKESLDLLIQFFVAANTWDLLPMLRWLHVLGVKNKIAAAVATRDAFFQRLIERHRLKDDVGEGDKMSMLGVLLSLQKSEPDKYSDDIIMALCFSMFSAGTETTAGATEWAMSLLLNHPEVMKKAQAEIDASVGTSRLLDADDVPRLGYLQGIISETLRLYPVVPLLVPHESTVDCTLGGHHIPSGTMLLVNTYAIHRDPTVWADPTAFRPERFSAADAEGLLLMPFGMGRRRCPGETLALRTLGLVLGTLIQCFDWDTVGGAAGVDMAQGVGLTLPRAVPLEAMCKPRQVMLDVIRKL >Dexi9B01G0029870.1:cds pep primary_assembly:Fonio_CM05836:9B:32249715:32250698:-1 gene:Dexi9B01G0029870 transcript:Dexi9B01G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSPLHRWKPFFPAFSIIDAAIEASIVPALSRDKVRSARAEVVELLRGVPAADVRVAEEFCVLLDGFMAQSLLTLRAVPAEAVPRVLASSADLAKAVGALRRHESERVRGLARDVIRGWTSAVEEDIARTSAAMKRLDDVCLRESKPAEASHPKTTNKAAPVAASGGHGPKRMENTKAQAPATNRDSRRSPAEKMEATKRKLQQGCQEVIQAPKTNKTAPVPAGVGHGPRRMENTKPPVTNGGCPAEKMEAAKRKLREGYQEVEDAKRQRKIQLIQAPKMPEQRQRKIHPILRERSQARSEKSTVVRRCAVSSS >Dexi3B01G0014810.1:cds pep primary_assembly:Fonio_CM05836:3B:10643596:10648058:1 gene:Dexi3B01G0014810 transcript:Dexi3B01G0014810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNRFRVGRKLGSGSFGEIFLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIGFVTASLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQAQMTSAPPRAVAPAVGQSSGMAPVANNNRHSGTEEGRRSGWSDMDPTRRQVPPPAINAGSLAKQKSPVRHEQSTSKDAMFSSSTFLGRSSGSSRRPAVSSSREPSTEAEQTRSRTTDASPGAFQRSGAPRWSPQMPDSSDGRRSSSGRRHSSNPKNYESTIRGMQGLNFDGDDRVHY >Dexi5A01G0019930.1:cds pep primary_assembly:Fonio_CM05836:5A:23817363:23823023:1 gene:Dexi5A01G0019930 transcript:Dexi5A01G0019930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSAFLHRAAAMYSSPSSYSVRVPPHSPPLPSISPRHLNPLPLQGRGYPRPRRGYSARPSPPPVAPPDAGAELVSGDSHLTAVRAANESLRRGGGGVRGSTPPYNQGSQPHQPYYGYGYGYQPQDPAPAYGAVPYNYGYPQQQPLPPGPQYGYGAPNPYVHGHPQPQMHWRGPAGAGFRPGAQQLTPRLAEYRRWWRFAKERPPRQAGNIFQNWFQLRYEEDIEFNKLGLRDNVAQLCVLESVVPQNVQTDSTNLSTSSSHPQQAKQVVVCNIHVLYNPKRGDIKLGQVRTLLDRAYTVSKMWNDAPVIICGDFNSTPKSPLYNFVLEQKATSASDALKECTSIDKPNEGCFGGSKTEPGEGPGIMDIPSAPATVCAGILKSGSREVVDSSQLLSSNNLPGDVVSEEFTCTFEANGVQSDHLLAASKEKPNDKENANESKLSAQENCTTNEPESSYFNGSQNVADALHQMSNVSLEGESSTGPAEHVHQPNGACGNEFSAEINNHSVSCADKSENNANAFEDDITTNEVTCSDVNSDPSFFEELSGVNDRLIEEEDQLPATSDSSPSSSQQIVSSGEGYYYYDPYKWTPEEIKAATGNDECTSVEHDLKLRSVYTDVEDFEGTKDANKEPLVTSYHRKFMGTVDYIWASEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALACELAFTK >Dexi5A01G0028350.1:cds pep primary_assembly:Fonio_CM05836:5A:31658446:31659018:-1 gene:Dexi5A01G0028350 transcript:Dexi5A01G0028350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPAAAALCRRTVSTSTSPSSTAAATAVASSSAVNSILLRSLKEHYLEVSKMAPPPKTSPPKPFTIVKGSLDQQSGPVLRREYGDAGEEISISVARLANFLPAGADSDSDSEGAGADGGMSASISQLLLHVDISKPGAGKSLQFLCGLYPDAVGIHSVCLRAKNAESWEGNMASKGGGEYRGRIFQ >Dexi3A01G0014390.1:cds pep primary_assembly:Fonio_CM05836:3A:10484779:10487795:1 gene:Dexi3A01G0014390 transcript:Dexi3A01G0014390.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESPSIVVSWTMAEAPRRCLRLAVGASLLLLLLTAAAPPPPEAAAGGDGVTLHVDPLQVVVDNGAVQVSLSRPQGHITGVRYGGGGGQNLLEYNASQGNSGGYWDVVWNYPGSNRPEGNMDFLDGTEFQVVSSSEEQVELSFRSSYNASRPNNLRLNVDKRLVMLRGSSGFYCYAIFEHPQEFPALNVSVARLAFKLNTTKVKYMAISDDIQRHMPSAADRDPPRGVPLAYKEAVLLVDPVEPEFKGEVDDKYQYSMDNKDNTVHGWIGSDGDDPAATGFWVITPSNEFKNGGPLKRELTSHVGPTSLSVFLGPHYIGRDMVIVFEDGEYWKKVLGPVFVYLNSGHPDSKRDLWEDAKARARAEASKWPYTFPQSPDFAKAGDRGSVKGRLWVKDGVAAEPQQPAAMAYVGLAAPGHPGSWATESKSYQFWTRAASDGSFTIGNVRKGVYNLYAWVPGVLGDYMHTSPVNITPASAIDMGDLVFEPPRSGPTLWEIGVPDRSAAEFYIPDPDPRYASKLFLSKDRYRQYGLWERYAALYPESDLVFTVGQSNHSRDWFFAHVTRKVSNDTVVPTTWQIRFQLDRVVAGGVYTLRVALAMSHMSSLQVEVNGGGSVRSSPAAAALMGDNNAIARHGIRGTEWSLDYGIEGHLLNQGDNTIHITQTSALNQLVGVMYDYIRLEGPSV >Dexi6A01G0020130.1:cds pep primary_assembly:Fonio_CM05836:6A:27653509:27654884:1 gene:Dexi6A01G0020130 transcript:Dexi6A01G0020130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDEASRTVPPLALGGTREELPYVKETVLKKRKENADWALRNRERKAAKRQRIRAENKVVVKRPEELVAAFRSKERDFLRMRTRLKVRKQPNAEALSSKLIFAIRIPGSVDLHPHIRKVLRKLRLTKVLTGVFLKATELTLKRLLMVEPFVTYGYPNLKNVKELIYKKGRGFLDKEPFPLTSNDLIEKALGDHGIICLEDLVHEIATVGPHFRNASNFLMPFRLKCPERRLQMKKKPYKDGGDSGDRGDKINELIEKLN >Dexi3A01G0036790.1:cds pep primary_assembly:Fonio_CM05836:3A:42312084:42314759:-1 gene:Dexi3A01G0036790 transcript:Dexi3A01G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLFRLSRLILLLLSLAVAAAARIVPVQYLYPPFNLTYLNFIDTNGVFLLSPAANFSAAVYNAGATEASDSQSRYFFSVLHTASRTPVWTATTAGSTILESVVLSLSATGLTLLDPSAARPDPAWSTPRLRQPVAALRLLDTGELALIGGRDNATTLWSSFDHPTDTLLHGQTLRFGAPPLSSSASDHDLSPGAYRLVLTGGDALLQWGSLTYWALSSDPAAVQDSSHPVASMAINASGIYLLADNARDTVYRLLFPSPPAATAIMGLKLDPSGRLRALTLTAGAAAPTTAWAAPANDCGLPLPCPALSLCTPGGNGSTCMCPDALSTYSSKGGCTPADGSVLAVPGSSCDAYNQQRYRYVSLGAGIGYLPTRFAVPDTSGDAPTACRDLCSANCSCLGFLYKNSSRSCFLLRDQMGSVSRADAGVGLFIKALPPAPQQRGSSSPLSLITIVFGIVLPAVAAVLISLLLYAMLKKRRPQVLKKKKSGGGGSWFKLPAMLSSSRASSSSVPSGEGAGEDDEDDVLIPGLPTRFTFADLDAATDGFKWQIGCGGFGSVFRGELPDRSTVAVKRMNVGLGGTQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGPRQQLLVYEFMNQGSLDQVLFRGAKLEWPARLRVCVGAARGLAYLHGGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPDQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKKHQPATAEDDDETSEYFPALALELHEQGRYAELVDARLEGRADVAEVARVVRVALCCLHEDAALRPSMSVVSAMLDGTMDAGEPRVELLRYLRMYGRGLVDLRPAGWMDPPPGNNKGSDTTAAAASSSWSPPSCVSAQQLSGPR >Dexi1A01G0026640.1:cds pep primary_assembly:Fonio_CM05836:1A:32486160:32487723:1 gene:Dexi1A01G0026640 transcript:Dexi1A01G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPRRPAAAASSLLLFAVLFVGRAAAYGDGGETELHMHAAFFDRDGDGVITFSETYGAFRALGFGFGVSSASAAFINGALGSKCRPGNATLSKLDIYIEDIQKGKHGSDTGSYDNEGRFVPDKFEEIFAKHAMTVPDALTSDEIDQMLQANRQPGDYSGWAGAEAEWKILYSLGKDKDGLLHKDVVRSVYDGSLFHRLAPNWNTLDKEKLNGIREN >Dexi7B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:7B:15364338:15364718:-1 gene:Dexi7B01G0007480 transcript:Dexi7B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLKLELSGHTPVSTIPMMTSSPYLDAGQTPVVVLRPRNAGVCVVWRRPYVSGNAATWPSTRLSCSSCRAVSTALKPVMAWVCTGAGELSPAVVDASDDSSERYQPSCSTNGAGIFSTSM >Dexi7A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:7A:13935195:13940618:-1 gene:Dexi7A01G0003850 transcript:Dexi7A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGGMLAGGVLKLVAGKVAEAAGDRLMLQWRFGEDLEGMKLTAESIQAVLEDAERKSLADKPVQLWLERLTRASYDIADMFDEFEVETTSKSALRKFKVLKPCLTLPSEVRMAGKMKVVREKLDIISKERDKYSLATSNSNNVQQVMNDRATSPEVIQAEILGRDEEKQKLVALFSSSSEFIVLPIYGIGGIGKTTLAQMLFNDTHFSSYEKAWVYVSQTFDLTKIKETIRSQLQLDKDAGPSAPKSILIVLDDLWEEDDFKLDDLKKSLKIIGNNGHKVHVIVTTRGAGIAKKVQTSVAYNIQALSVDVCWDIIKQRASFENRFDKERFEFIGKEIAEKCGGVALAARAIGYILRSKSDLHEWVSVKESGIWNVSSSGSSSDDRVLASLKLSYSSMPSYLRLCFAYCAIFPKGHKMAKDELIYQWAALGFIMPSDGTSIQQHGEAYINQLLDMSFFHHSWTYRKYVTFGEEKGRKDITFFTMHDLVYDLARSVMGDELLDAREEFSKREGSSFRYAFLADCRKPLNSYVTSPNKIRALYLLGSGSTEHCSIGFSTARYLKSLGFRSKFLKEVAIFYWSTESVEELPKDLGGEGSSLKYLIGCICETIPSNKSLIPLPKFAVRDVEGEQSSNLILLKDAYNPIELEVHCLENVKSTEEARMIELKAKQSLEELTISNMENLEEWDTTYSHGEGIAEEFVFPNLEELKIIGCPKLRLKPCPPKVVFYVRCRGRAKGELRVAGHGVDAV >Dexi7A01G0024260.1:cds pep primary_assembly:Fonio_CM05836:7A:32016062:32018349:1 gene:Dexi7A01G0024260 transcript:Dexi7A01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLALFVFLLPALPLPGASASADICIVGAGISGASTAFFLTNYTSSIHGHGPQLRVFERRHRVGGRLATVAVAGDRFEAGGSIIHPRNLHARRFADLLGLAVEAAGDDDWLGIWDGKSFLFQTLRPLPPGTSWWRRKLHALLNSLLLLRRYGLSLLKMDRFVQEMLQRFMLFYSDFESRPAFATVEEMLKWTGLYDLTRRTLEEELLDAGLSSQTIAELVTVITRINYGQSTRISGLAGAVSLAGSEPGLWAVKGGNWQLADGLLKTSNASLHLQEGIDSITDAGDYYVLRSNTGNEYNCTVTVVATPLDEVNITLSPPISIPQRKMQHTHATFVRGLLNPGYFGLNSASDIPELIGTLEVPDIPFSCISVLKRYGEDDRTYKMFSRAKLDDDLLDQIFSTRKETIRINWAAYPHYEAPEEFAPIVLDGKQLYYLNTFESAASAMETGAVAAENVARLIIYRLSLPKREAEPPYIKPFAEEEEEEGSRRRHVDL >Dexi9A01G0020300.1:cds pep primary_assembly:Fonio_CM05836:9A:15243794:15248525:1 gene:Dexi9A01G0020300 transcript:Dexi9A01G0020300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETLVAMPLAPRHHHHHARLDALPHHIAPSQPPPPHQQAPPPPEPVVAADNKDEDERDRRGVVAEPPPTRDPRPETPPPHPPVLAAGEVGDAYYARKMLQGAVLRPPPHLPQPEAPPGLMTRALSAPAPRGYTEEQEGKQAGPAVMDRSASAVVGVASIGRFFRDRRDVLSSAITRRISSLKESSAPAAVDTYGVQEIHLPNVKVTVRLKDAIADDAAEDEIDLGSGDDVGYSFSGGQIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPERESELASRAGGAAARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVLRELAGRRCPDSAPRVPVYGFDAGDEEEQAEEDAMVGVVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDGIVNHLECSRNKAVEIGKELARKHFIHHVFRENDFEDGAQNLYRFLEHDPAVPKYYNFRGSTNDGEPKPAASIGHMMTKIMLAILEAYASDDRRHLDYSRIASSEEFRRYANLVQELQRVDMTALPAEERLPFFLNLHNAMAIHAVIRVGQPGAVDRRPFYSDFQYIVGGHPYSLAAIRNGILRANRRQPYTLAKPFGSNDRRLELAQRRANPLVHFALCDATRSSPIVRFYTTQGVEPELRHAAREFFLHGGVEIDLESRTVHLTRIVKWYSADFGQDRDILRWLLSYLDPTKAGLLTHLLNDGGPINVSYMNYDWSLNV >Dexi5B01G0030770.1:cds pep primary_assembly:Fonio_CM05836:5B:31628697:31630036:-1 gene:Dexi5B01G0030770 transcript:Dexi5B01G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLADFSAKGVSRSSCLCHNTCENPGSKHSCACWKDDVKAVISCGQDDLVVEEIGVALTEMMLAYDDEDDDDEGPDLDEDSGDDGNDDPVLSPESDSTDDLVDFDSELVTPPACPSVDALESSISKSVDGKSSINGTARLVSAMKGTRAKQGIMTRLSVSWAPDVYDPPVTSDSHTVRGHQRSSRKGNYKYKPSKSSSTSSRSTGGTKRDKKHSRHSSSSSGKKDRKHNYRSTSSGGSSSRTDTSSSHYRKAYSGDGISSSRTVTYVPESGKVSPLVLAESATLPEIVPVLKTMEPIKYSTSCGKEKPFALLSRQFSPARYKGMFSFWSQNQLAS >Dexi4B01G0008790.1:cds pep primary_assembly:Fonio_CM05836:4B:6323140:6324183:-1 gene:Dexi4B01G0008790 transcript:Dexi4B01G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMKLFFDSSCHKEVKVVMLGLDAAGKTTILYRLHIGEVLSTVPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYLSNSDALIYVVDSMDRDRIGVAREEFQAILKDPLMLNSVILVLANKQDTKGAMKPPEVAQRLGLYDLKNRTSRVVGACALTGEGLHEGLGWLAATLKDAHAWGSSVRF >Dexi7B01G0010500.1:cds pep primary_assembly:Fonio_CM05836:7B:17840029:17840939:1 gene:Dexi7B01G0010500 transcript:Dexi7B01G0010500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQRAEINGALSRRLEHRELGLRGARLFGVGWLAAVALGEADQVDVAALGEAVDLTFVCVDDVGELVPAGEADGVEDGPPPCPGGDIGDPVDVADGGGGEVVFGGCRGEDDLGDPAALVDQGREDDLYGGDGVEEDEDGLAREERDGEREPARLPETMAASSKDLRVGRDAARGHQRASSAKTRSAGEGGASAQRQAVQAPRALAYHLRSRQSPMRLRRSGGRSAQRRNGAMAGAGGGGFGSLGFGGARGGGPRSPSGWGFL >Dexi9A01G0029760.1:cds pep primary_assembly:Fonio_CM05836:9A:34673956:34680950:-1 gene:Dexi9A01G0029760 transcript:Dexi9A01G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHADAAHHLPTAGPGASSSSSSAAAVAGGAARRRRRPGLPCLPSHLFFALLVALFTASLLVVWQLLPIGDGDGDAAAEGGGEAPPSPEGGDAGLMRFSASRVALRAFDGESRLEAARSERRRWAGLAPVRVALAVGNLNIDAQSLMLATVAKSLVGLGYEVEVLAFTDGKALDIWENICLVNIVNMGTLKSVDWSKYNAVLLSSLEGKRVVSILMQEPFRFLPVIWLIHEDTLGQQLRSYAELHEPIPNVIEDWRAHFNASDYVVFPDSYLPFLYSPLDSGNFFVISGSPVDIWAAKRFSSSHSKESLRKQHGIQEDDVVILVVGSYLYFDDLPWDYATVMHASAPHILNIAKTKNLRVQFIFFCGNGSDAYNSAFEELASHIGLPDVSVKQFSMTYDIKNLLMFVDVVLYGSLRQEPGFPPLLLRSMSSEIPIVAPNLTVITKYITDGVHGFLFDSADPSTIDERVERPLLSWDEVYRNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGVTLVTYAYFCIFFLKSKGARRPRSDDVDAVTRLSVLDNPYYRDLLCEFGAMFAIANRVDTVHKLPWIGFQSWRAAGRKVSLSQSAEETLEETATGRNNGDVVYYWSPMEMDETSDFWSMCDSLNAGNCRMFVDSLHSLSLNHTEPASCLLGASEPEKRYCYCRILEVLVNVWAYHSGRKMVYLDPVTGDTREQHPLDERNEMWVKFFDFTLLKSMDEDLAEEADDGMHPGNDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >DexiUA01G0000520.1:cds pep primary_assembly:Fonio_CM05836:UA:2133078:2134989:1 gene:DexiUA01G0000520 transcript:DexiUA01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLQQSATASILVGNSAGGGGCRPCPRPASMAVAAKREPEPDDQKEDGDAGAELRRGPWTVDEDLTLINYIAEHGEGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSKRFKDAMRFLWMPRLAERAAADHHHHLSSSSSPASSLPAAGDLTGCVEKMMMMTAMNDVSSNSEDRSPSSGLTTTTSSSSGGSFTSESNAVVSVAGEEWAAMQRQQQEENEFWSTATALQQLTGGEDQLCVFQQDMHQDLISGWVQGFSDGVSPEVAQLWSLDDIWRMQ >Dexi3A01G0025510.1:cds pep primary_assembly:Fonio_CM05836:3A:21208707:21210221:-1 gene:Dexi3A01G0025510 transcript:Dexi3A01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCSCLECIHNIPPLNLLFLHFSDPAALPAGEGASGPVSMASISVPNPVPPPTEDAENIRKAVQGWGTDEKALIEILGHRTAAQRAEIAVAYEGLYNETLLDRLHSELSGDLRSAMMLWTMDPAARDAKLAHKALKKKGDRHVSVLIEVACASSPDHLVAVRKAYRAAYSASLEEDVAACPLYSDPLRHFLVRLVTSYRYSGELVDGELATAEAAELHGAVVEGNQPLYGGDVMRILGSRSKPQLRATFERFRQEHGMAFDDVLEERRSDQLAAMLKTAVWCLVSPEKHFAEVIRSSIVGLGTDEESLTRAIVSRAEIDMEKVKEEYKVMYRKTVTSDVNGDTSGYYNGILLTLVGPE >Dexi1B01G0020590.1:cds pep primary_assembly:Fonio_CM05836:1B:26708009:26711111:-1 gene:Dexi1B01G0020590 transcript:Dexi1B01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHGGAGSPFLDPTAGTAPFSPTPTGPVSVTAVPPPPPMPMQPAAGTTSANLEELPAVGSGGGATAAAANNLQDDDMLQADIVGARAASGSGGGNRWPREETLALIRIRTEMDTDFRNAPLKAPLWEDVARKLTALGYHRSAKKCKEKFENVDKYYRRTKDARAGRQDGKSYRFFSQLEALHAAAHQQQQQQQQAARGTMAAAVQQADQQQPLRASMAWTPTPASTTLVVPPVDVGAGGLPDLSFSSMSGSDSDSESDDAFDAGEPSLGKGGAGPTGGDDDKEMMVIFEGMMRQVTEKQDAMQRVFMETLERWEAERRAREDAWRRQEVARMNRERDQLARERAAAASRDAALIAFLHRVGGGGQQGDPARLPPPPPISSIIAVPMPDTTTTTPSSSSPRHYAPAVAVPPKPEEARVASAWGGGGEGSSGGGSSMPSRWPKEEVQALIQLRTEKDEQYNHHDAGAAAKGPLWEDIAAGMSRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYHKKRSFAGSGRSTSSTAPTAAVAVVAVQQNPNQRELEGKSSNDAHMVKNDGQGNVQAPPGSGNRETTAPTTTAYIDGAKNKGAEDNVLETNVQLQQQFGGDETESEDNDDMGGDYTEEGNDEDKMKYKMSFQKPNVTGSSANAPAPPPATAAAPTSSAAPTSSAFLAVQ >DexiUA01G0026190.1:cds pep primary_assembly:Fonio_CM05836:UA:55251377:55252641:-1 gene:DexiUA01G0026190 transcript:DexiUA01G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDITLAENMGISGLRYDRSTLNWAKIGDQLTQRDRYSHVERNTKETQIDVKVWLDREGGSKIHTGVGFFDHMLDQIATHGGFRMEIAVKGDLYIDDHHTVEDTGLALGEALKLALGDKRGINRFGFVLPMDECLARCAMDISGRPHLEYKADFTYQRVGDLSTEMVEHFFRSLSYTMGLTLHLKTKGKNDHHRVESLFKAFGRTLRQAIRVEGTAQAAMDQIHERELVSLIKACTQPVLGICLGMQLLGRRSEESNGVDLLGIIDEDVPKMTDHGLPLPHMGWNRVYAKAGDRLFRGIEDGAYFYFVHSYAMPVNPYTIAQCNYGEAFTAAVQKDNFFGVQFHPERSGAAGAQLLKNFLEM >Dexi3B01G0024660.1:cds pep primary_assembly:Fonio_CM05836:3B:19317897:19319962:-1 gene:Dexi3B01G0024660 transcript:Dexi3B01G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSSSQWHGEGDTDTHRQRKQGGFKTMPFILANDFCDRFATIGFNANLITYLTQQLHLPLVEASNTLTNFHGFSNLTPVIGGLVADSFAGRFWTITFGSVIYQLGMVSLTVSALLPSLRPPPCQAGTEQAQACALASAWSLLVLHLSLLCTSIGTGGTRPCVMAFGADQLELDRPCHGGGKQRWSFFNLYFFGVELAKLAAITMVVYIQENVGWGWGLGVPTVAMLVAVTAFVSGYPLYVKMAPGGSPFTRLAQVAVAAFRKRNVAVPSDPRHLYQDKKLDAAISTAGRLLHTNQLSFFDRAAIVTHGDFTSSGVPRPWRLSTVHRVEELKSIIRMLPIWGAGILLVTSASHNHSFAIQQARTMDRRVVAAHLEIPPATMLIFSNVAMLGTLALYDRVLVPRLRRLTGQPTGITHLQRTGVGLTISMLSNMVSAVVEGRRKRVAARHGLLDRPGGTVPMSVFWMAPQYAIHGVADAFMDVGRMEFFYMGTLLVTVVHEWTKGEGEWLQDNLNRGKLDWYYWLVVTLQVINVVYFVICARLYTYKKLEVVDQESTDEKEEKHVELQPPKGSDENDVELRPLLSSDL >Dexi9B01G0046620.1:cds pep primary_assembly:Fonio_CM05836:9B:45841635:45844196:-1 gene:Dexi9B01G0046620 transcript:Dexi9B01G0046620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIGANEIRITAQGRPRNYITYALNLLQDNAVDDIVIKAMGKAINKTVVIVELLKRRIAGLHQNTSIESIDITDIWEPLEEGLVTLETTRHVSLITIKLSKKELDTSSPGYQPPIPADQVRPAAEFDQDAEAIPSGRGRGRGRRGRGSGRGFSNGGGDYDDEFVEPQEPPRGNRGRGRGRGRRGSFGPGRGYGGNAYPEEAGGYDDGYNAPPMQGYDNFRKVVEAGVGAVVVDVEAEAGDLLHSRLAGWCISSEMP >Dexi5A01G0025470.1:cds pep primary_assembly:Fonio_CM05836:5A:29327005:29329027:1 gene:Dexi5A01G0025470 transcript:Dexi5A01G0025470.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSGSGAVIGADIPGQTIIGENNVIGHYAVVGVKCQDLKYKVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSYSFLGGGSVVTQDVPRYMMVAGDRSELRGLNVEGLRRNGFSDQEVRRLRKAYQKVFMPTVTSETCFEDRLAELEREIELSQSPAVSCMVKSIRMSFDQGRRGICKFRSWNSS >Dexi3A01G0027390.1:cds pep primary_assembly:Fonio_CM05836:3A:24654670:24655275:1 gene:Dexi3A01G0027390 transcript:Dexi3A01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAISLPHSLTPPLHATRRRPAPPACAHSERGVSFDPGSAFYRSDSAAGRDLAVLAATLHRRHGRLDPSAPFLCLDAMCGCGVRALRYLAQAGADFVWANDASEALRPVIVANLSRFERGSLPAEAGRRRWVVSHNDATRLLAERYLRREYFDVIDVDSFGGDSAYVRAALLALRIGGLLYLTSTDWRSARGYGSRR >Dexi3B01G0024590.1:cds pep primary_assembly:Fonio_CM05836:3B:19233703:19233921:1 gene:Dexi3B01G0024590 transcript:Dexi3B01G0024590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTCPLMMSPRSLLSSLASSCKPPTVSTRSSTAARRFGVEPGSSMFPHVLATVSKLTAYTVAVGILPATTV >Dexi2B01G0024280.1:cds pep primary_assembly:Fonio_CM05836:2B:33768393:33768731:-1 gene:Dexi2B01G0024280 transcript:Dexi2B01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGALPRPEAVARGERHASSLTARCIELRPDRQRWAEELRVGRWSQGAGSCALTSGGGAGSSVAWPAAPRRRELCLGWQREGAGSCGGGRHQDGGGARRRDGDGGGARRR >Dexi9A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:9A:13611117:13615736:1 gene:Dexi9A01G0018520 transcript:Dexi9A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVHGVDADGGGVGATTITVSAAGAAAEDAGGVGGVGGGGRGGGRRSGRPSPAGTPRGGRAGATPARSSAAGSPWAGSPLGLPEGIAPSPATSASTPRRFFRRPFPPPSPAKHIKASLARRLGQRSPASTSQVPKPQVEVPIPAHGAGGAGGAAGAGEADRELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMRGQVLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDAINVYIIMELCEGGELLDRILSRGGRYNEGDAKIIVEQILNVVAFCHLQGVVHRDLKPENFLFSTKDEHSPMKIIDFGLSDFIKPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFEDTPWQSVSPQAKDFVKRLLNKDYRKRMTAAQALSHPWLRDEHRQIPLDMLVFKLIKAYLRSTPLKRAALKALSRAITEDELIYIRAQYNLLEPNSRDGRICIDNFRTALLQNSTDAMKESRTLEILNALEPLAYRRMDFEEFRAATVSPYQLEAVARWEEIATTAFEYFEQEGNRAITIEELAQEMNLSSAAYSIVRDWIRPLDGKLSFLGYTKFLHGLTMRSGNARRHH >Dexi1A01G0023510.1:cds pep primary_assembly:Fonio_CM05836:1A:30165116:30167204:-1 gene:Dexi1A01G0023510 transcript:Dexi1A01G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRRATLPQFSVTSLRRFRGNSPLPSSRASALRRAAAALLLAAAVTLPCAVLYRAAVDTTAPVYVPRARRPLLEWDPPPLPPAEVPEEDGDFDPFPTGDLDSEDLRLELVLQEASMDNKTVILTTLNAAWASPGSVIDLFIDSFHRGVGTSSLLRHLVIVAFDWKAYKRCVKIHPYCFALTTTEDVDFSQEKRFQTAGYLRMMWKRLDFLRLVLEKGYSFVFSSLVTKLVTV >DexiUA01G0019520.1:cds pep primary_assembly:Fonio_CM05836:UA:40762040:40762639:-1 gene:DexiUA01G0019520 transcript:DexiUA01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRTASHPSTDHCCACASSTPSLPPPFDFDPSWTLLLAKPRASVSPPLASPAIQGRDVGLTVTTRRGRVLPVPNGCSLHFPPRQLWMLLGPNGCGKSTPSQEH >Dexi4B01G0021510.1:cds pep primary_assembly:Fonio_CM05836:4B:23476359:23478479:-1 gene:Dexi4B01G0021510 transcript:Dexi4B01G0021510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPDLTHAWKAWGIQALVLLSFTLQVALVVLSEFRRCIDSGTLRFFVWSAYMAADATAIYVLGHMSVSSSRSPEHDELVAFWAPFLLMHLGGQDSITAYAIEDNRLWLRHLQTLAVQAAAAAYVLYESLVVASAGSPSLLRWATLVMLVVGVVKYGERVWALKCASSSPAGKNYRMFHNQDMMYKTDRYVQKVVSGGPMDTEAYLLMAQEILDVPIDLLKGPQTKELGDSNNYKLHTDLRAEGQLYRVVELQLSLMYDVFYTKEEVLHSNLYGLCIRMLSAMATVAAFLLFHLLIVLMGDGGRYSKANVAITYVLLAGAVALETASLLRAVLSSWTRALLIRRWRNRKFDDSYFSAVTRRHRLSHFLVCNLTRLRRLFHAAEWRKSCSWSRAMGQHSLLRVCTRSIASRRSKMARWMEAEEWWNTLAYSWSVPVSPFIEKLLMDKVLSISSWQDDEDGGQRLLFDSRGQEELKRRGVYEQEGLEWSLENRIVVWHIATSIYLSWWHKKQQSEAKSPRQDMAMAEAVEALSNYMMFLLASRPHMLHPTASRDAYVEICYVLTTIRRGRCGHTSAEALLGDLRDIADALETMPSVRDNLEARLRTSCELGAKLVREGEGEDDEEEEENKTQLDLLAQVWVEAICYAAQRCSAYSHAKQLSNGGELITVAAILLEHIKKFSVIDKTDDTELFNHENFGVPLYHAVES >Dexi8A01G0006680.1:cds pep primary_assembly:Fonio_CM05836:8A:6920218:6921459:-1 gene:Dexi8A01G0006680 transcript:Dexi8A01G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQTIIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHNLGGATSLPNLVTALSLPESKLPFLRRLMRLLVTSGIFVSDSNAEVETYGLNPLSWLLVEGVESEDHTYQKYFVLGTVSRHYVETGLSLADWFKKDLPAPVPSPFEELHGVPLVHETTKLLDEELDRICSDLFRGLESLTDCCGGDGTTVRAILKAYPDIKCTVLDLPKVIESAPAHDSVTYVAGDMFHTIPPAQAVMLKLVLHFWDDEDCVKILEQCKKAIPSREEGGKVIIIEIILGPSMGPIMYESQLLMDMLMMVNTRGRQRDENDWREIFTKAGYSDYKIVKKIGARGIIEVYP >Dexi3A01G0004920.1:cds pep primary_assembly:Fonio_CM05836:3A:3200179:3202924:-1 gene:Dexi3A01G0004920 transcript:Dexi3A01G0004920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPEVAANPYGAELAAAKKAVALAARLCQRVQRGILQSDVQSKADRTPVTVADYGSQVLISLVLKKELPSQSFSMVAEEDSKDLRKDDAQEILEHITSLVNETIVNDGSYNMSLSKEDVLAAIDGGNSEGGPSGRHWILDPIDGTKGFIRGDQYAIALGLLDEGRVVLGVLGCPNLPLKSTNMHNGSSSGDQVGSFFFATIGCGARVEALEGSEPQKISMCSIKNPADASFFESFEASHSKRDLTSSIAEKLGVQAPAVRMDSQAKYGALARGDGAIYLRIPHKGYIETVWDNAAGSIVVTEAGGMVKDASGNDLDFSK >Dexi1B01G0029500.1:cds pep primary_assembly:Fonio_CM05836:1B:33795505:33797410:1 gene:Dexi1B01G0029500 transcript:Dexi1B01G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRDLVNEANFDCSGTGFSLQAMDSSHVALVAMLLRAEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNEDIITIKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATLIEMQEPVSLTFALRYMNSFTKASTLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKP >Dexi5A01G0000330.1:cds pep primary_assembly:Fonio_CM05836:5A:243483:247604:1 gene:Dexi5A01G0000330 transcript:Dexi5A01G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSSLRSPSNAAADQRGFDHVGGHCCDDFMVDDNLLDYIDFSCEVPSLFDADGDILPDLEVDPADLLAEFSSLEAETTSPTAAHQLLTNIGDDDDHPPPPPPPPPDVQETNNIKTAAEEAGGGVKNHAAVTTTTTEEDSSSAGATAGSDTKSSSSHHHHHSSNKKKQQASAAGKNNSNNGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIECLTRHNIASHLQKYRSHRKHLMAREAEAATWAQKRHMYAPAARKPAAAGGGGPWVVPTMGYAAMAPPPPPPFCRPLHVWGHPPTAAAVDAPPPAATTTMLPMWPRHLAPPRPWAPVDPASYWQQQQQYNAAGRKWGGPLHHQHAAQTTVVTQGTPCVAPPPGMVQPPPPRPFPMVPPHPGIMYRPPMAMVPPPPAAAHPPPPPPPIITTRLAELQLQLDAHPSKESIDAAIGDVLGKPWLPLPLGLKPPSLDSVMSELHKQGIPNVPPPTLLLP >Dexi8B01G0004020.1:cds pep primary_assembly:Fonio_CM05836:8B:3489170:3493054:-1 gene:Dexi8B01G0004020 transcript:Dexi8B01G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAGVALNRRTRSRPPSVASSRRSDDRTAAAAAPANGNGKVSPKPPAPPDHAPGERTVKKLRLSKALTIPEGTTVKEACRRMAAWRVDAVLLTDANGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRNPLYVSSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQVATVSPSDPVYVATQKMRELRVNSVVITAGNSLQGIFTSKDVLMRIVAQNLSPELTLVEKVMTAHPEYATLDTTILDALHIMHDGKFLHIPVLDGDGRVAACLDVLQLTHAAISMVEGGPGTANDVANTIMQKFWDSALALEPPEEDFDSHSELSLVMPSEAGDGRSSIYPPAISNSFAFKLQDQKGRMHRFMCGSESLDELVSSVIKRLCIGGEKNAVQLLYEDDEGDKVLLTTDSDLAGAVFNAKSSGLKVILFFA >Dexi2B01G0005210.1:cds pep primary_assembly:Fonio_CM05836:2B:4873289:4874125:-1 gene:Dexi2B01G0005210 transcript:Dexi2B01G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTAVSFLTNIAKAAAGLGFGASILSASLFTVDGGERAVIFDRFRSMLPQTVSEGTHFIVPWLHKPYIFDIRTRPHNFSNSGTKDLQMVNLTLRLLSRPDVEHLPTIFTSLGLEYDDKVLPSIGDALIRRAREFNIILDDPTSMWKP >Dexi2B01G0033640.1:cds pep primary_assembly:Fonio_CM05836:2B:41135272:41135706:1 gene:Dexi2B01G0033640 transcript:Dexi2B01G0033640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIETYRSGAKVVNGDAVACKKAAIELLGEIGLPKGLFPLDDMQEFGYNREAGFMWIVQGKKKVEHTFKKIKQTVSYGSEVTAFVEKGKLKKTTGVKTKELMLWLSIVEVYVDEAAPGKVTFKTGTGLSDSFDATALELGM >Dexi2B01G0013030.1:cds pep primary_assembly:Fonio_CM05836:2B:20353320:20353562:-1 gene:Dexi2B01G0013030 transcript:Dexi2B01G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFQSGMAWGQAEGCSGEARGKPTAAGVTEEESTAEAAADAEKESAAKAAAVAEEHDGDDEDAESRVAMATGFSVRLV >Dexi3B01G0023140.1:cds pep primary_assembly:Fonio_CM05836:3B:17921344:17926009:-1 gene:Dexi3B01G0023140 transcript:Dexi3B01G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGEESSWLMTSDHGRAPPFSQALACGIQTHAPTARPANFLELQHPGSAYFGELEEALIHGTCTSIDPSMIRSDVDTEFFPPLEGERVVEETLNSICMYAAAGYLATRPPTLEIFPSWPMSHLQQAYSGNSHSVGSTTDSSSDQNTMPQAELVSPGSMRTYSGQQQEVLMVTVDDYNYDQELGAAATTAPIFQQHITGQDKRKQGSTRKDGKLLDAKGLFPGGGSASGSGDRSSGALMFDMEYARWLEDDSKHMTELQSILQAQNIDANLGAIIEECMRHYDELFHLRAILARSDVFHLMTGLWATTAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMCNLQRSSEQTEEALVQGLQQLHQSLANAVGASPLTDSANVANYTALMALALDRLDTLESFYRQADNLRQQTLHHMRRLLTTRQTARCFLSIGEYHRRLRALSSVWASRPRESFVAAAENVSPTGTAEQAIYPYHQNQFSGF >Dexi4A01G0024280.1:cds pep primary_assembly:Fonio_CM05836:4A:27111015:27111236:-1 gene:Dexi4A01G0024280 transcript:Dexi4A01G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAEVFSGGVARKTSAHEVDDGLSAAAAAAALRNNRPKPRAGAGDDGYKCPICHRSFMTIKAFHGHMAIH >DexiUA01G0001580.1:cds pep primary_assembly:Fonio_CM05836:UA:4206519:4208303:-1 gene:DexiUA01G0001580 transcript:DexiUA01G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDLDRQIEQLKRCEPLTEAEVKALCLKAVEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVNSFATSGTSVIC >Dexi5A01G0014130.1:cds pep primary_assembly:Fonio_CM05836:5A:10961951:10965320:-1 gene:Dexi5A01G0014130 transcript:Dexi5A01G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPAARIECTRCDANSCAKQRQAQLPTLEEELWRECRIARLEGPEVYGVVACAAQQEDGSSVAFYWNSRLATERQRLVNDIFRDSDVVCDMFSGVGPLAISAAKKVKYVYANDINPMAVEYLERNTVLNKLERKIELGVYIAYKDVFRGILHGSQSGLRCVMPTIHVYGFSKAEDPEHDLHERINIALGENVDNVEMHRVRLVAPGKWMICASFTLPESVAIAQPNYISC >Dexi7A01G0018770.1:cds pep primary_assembly:Fonio_CM05836:7A:28070321:28071570:-1 gene:Dexi7A01G0018770 transcript:Dexi7A01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSGEPAAVGEPAPTPSLEPTQRRHPTSRISHIVRTYLDLSSSKKRRAAPKSQPKAGGQETRAAEDETDGSEAGPAFSHPSRLLRELGIRVSRYTHEERRDIILRYMQKRSGRQVVNRAASKVPSRQALAERRRRGAGGKFLGKEDAQILLKGRQKKRQNCHQKSSQMLVECP >Dexi4B01G0011080.1:cds pep primary_assembly:Fonio_CM05836:4B:8520179:8522685:1 gene:Dexi4B01G0011080 transcript:Dexi4B01G0011080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMEETTRKTAGRKKGGLKTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASVVYQVGMALLTVSASLPQFRPPPCKPGGAVACQEAAPWQLSILYVSLLLNAVGAGGYRPCIVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLVAVTAVVYVQDNVGWGWGLGVPAFFMGVSVAAFVAGYPMYRRLDPAGSPFTRLVQVVVAAVKKRRMPASDVDAGRLYENDELDAPISMYGKLVHTEQLSFFDRAAVVTDGDLVTPPSDASSSSKPSPTPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITASSTQGTFSLQQATTMDRRLFPGLSTFQIPAASMTVFGLLAMLLTLFVYDRALVPVARRFTGLDRGISFLHRMGVGFAISGLATLVAGFVERHRRDAAAAATGGAMDAGTSPVSAYWLVPQYALHGVAEAFNSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTVLVDAVHRWSAGPGGANWLPDNINHGRLDYFYWVVTALQVMNLLYYVVCAKRFTFKPVQLHKEEEEEVGKAVVELQEKV >Dexi1A01G0003260.1:cds pep primary_assembly:Fonio_CM05836:1A:2340564:2344408:-1 gene:Dexi1A01G0003260 transcript:Dexi1A01G0003260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKTPRPRGGKTRPRNAAASAVKTRAARPSSAAAAEGSSPSGELSLQLEHVSLISFLSDRCPGAAAAGLTRFEALLEEEEDGDGIRGDLAMVTVPPPALPQLQQPLPPPQASPMDADEPMEEKEFCILSQDFFCTPDYITPEMPQVANEFDDDKENIPCPKSPEKSANPRSKRYRTDCSPKGLGHTEFSFDHQITPVPFESLLPDDSEEEHLIQPAVHKRGGYVSQSAVALRCRVMPPPCVKNPYLNMDSCIDDEVYGGGRQCNSAGFSPSIGGNGLSRYRTDFHEVEKIGHGNFSVVFKVLNRIDGCLYAVKRSIKQLHNDMERRQAVKEVQAMAALGSHENIVRYFTSWFENEQLYIQMELCDHCLSMNRNQPLKRGEALELLYQICEGLVFIHEHGIAHLDVKPDNIYVRNGIYKLGDFGCATLINRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTPLPESGPHFTNIREGKIALLPGCPMQFQNLIKSMMDPDPVRRPSAKEILRHPAFEKLHKTLPAKK >Dexi5B01G0006740.1:cds pep primary_assembly:Fonio_CM05836:5B:4516468:4518196:1 gene:Dexi5B01G0006740 transcript:Dexi5B01G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRRQPAGHPNAAPSTSDSGMVKLLADILHHTAPSTWPSALAAPLLRSRLAPAHVSSLLLLPASLRRPDLSRRFLLLLPPELVSPVSLSVLALSFLSSSPSPSSSASSPHAASLLLSLASSTPSASSSFASLSHANSLATFRPGAATAATTLLASTYLRLRRTHDAAAVLRLSLSSDIAMKQYTASQILFALIKIRQFVVARDLFDEMLRKAVHVDEYIYTAGIRAYCETNNLHRAMGLLARMESKGVKGSAVPYNVLMYGLCKNNRVNEAVAVKNSMVDRGIMADEVTYRTLVYGFCRTEVLEMALEMTDEMLRLGFVPSEASCSFMLDGLRKIGRVEEAFRMREKGIRVTVYPYNSLINGYCQRDDFDRARQFLNEMVKEGLTPSAASYAPFIAGLCRKGDPASAMEFHQEMARNAVSGNIYTFTALINGFCKDRNMDEAARLFDKMIDSSLVPNEVTFNVMIDGYCRVGH >Dexi5A01G0036360.1:cds pep primary_assembly:Fonio_CM05836:5A:37940416:37942254:1 gene:Dexi5A01G0036360 transcript:Dexi5A01G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAALSCSCRPSPSCSSSAAFRRLSTASGAPAPPRRRLRLSPLHVADDSKEVPEERSQTDKMVDGMDFGELCNEFECISSPYVESTARQLARDILELREDNRAFSCYAVSVKYKDPLRTFVGRQKYMRPLWITKALENPVVTVQEMSMQSTSNLTIRWAFRGKPKNPFFAAIGGDVIVRVKSQFVLNQISGQVLEQVDSWDLSASSLPAQAYFWLSRRVYSTVESGKDTIEAAKSTASALSTKEDQNLEAYPDPSGDPTKFFQTPDDGFNQDVYQIGLLLAVIYFIVQFLKTTL >Dexi3B01G0031910.1:cds pep primary_assembly:Fonio_CM05836:3B:33912541:33914956:-1 gene:Dexi3B01G0031910 transcript:Dexi3B01G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSFTNLLAAVLIALTFGQLGDSKDGMPNFFTQLSQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINKAEILFAGVACFLVAVILGSAVVFLVTSLVSDAPKDLENGASGTNQVTKAEAGTAEYLIELEERRSIKVFGSSTFIGLSIVFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFVAAVATLMASSGHRSTK >Dexi9A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:9A:13317093:13320207:1 gene:Dexi9A01G0018270 transcript:Dexi9A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMAATSELVSTLKRPFADDTTTISNGADEKLTKSRRRAAAADTVDPAASLASARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDMYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISSVLMQLVGAGGHVVASRCLYGGTHALLSRFLPRTSGVRATFVDDADDEAAVRAAVVPGETKVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNEMMDLHEGALMLLGPTMNAKVAFELSERLPHLPLRIQEHSRRALAFASRMQRLGLRVAYPGLPDHPHHARLAAMGNPGYGYGGMLCVDMGTEERANRLMHLLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMPPEDRALAGISPGLVRMSVGYNGTLEQRWAQFERALAIMKQQQPPPLALAATTAATLHHNAAAADRDVPDAGSNHRKH >Dexi9B01G0032780.1:cds pep primary_assembly:Fonio_CM05836:9B:34972460:34973948:1 gene:Dexi9B01G0032780 transcript:Dexi9B01G0032780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLTRLSGGYLSMQSSHAPLSAMSPVTSHTQLLHLNPDPNVTMSTLSPTLSLPLASMYDSTYHRLLADVFPHLCSVILAGSTSSSSSPRLFFTASITAVPPGCRQKCSTPFLKSIFGAPSPPAITFFPGDGEVEERSLRHRRRAAKRASSETGRTRGARRRRLTAKARTAALGSDLLRLIPTRPSSSSRCVAHACASSFAPMCARTRQPSSILARRRRAGSLVSITAAPPLRNRQLASIIDLSVPEYQLGAMDSDDTTSATDAPRRDRSAFRARSSATSPALQPIPHGDVEDEHVDVEWVDAGLGEELGDGGVEEGVHLAERVAEGGGVLAAVEDAERGVGVLADAGADDHAEEEAVVGEAEALVALDHVAGRLGGDLPAVAGLVADVVEEVAARPPAASGGGPGEVGQGVRQEEHPKLVNTLSCQLVGSVVGYQ >Dexi9B01G0041520.1:cds pep primary_assembly:Fonio_CM05836:9B:41988391:41989167:1 gene:Dexi9B01G0041520 transcript:Dexi9B01G0041520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFEIAPSVKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRETTLKRLGSSHFKYGVTRFALLETIKEALPADMWSLEMKNAWSEAYNQLVAAIKQEMKPAA >Dexi5B01G0023300.1:cds pep primary_assembly:Fonio_CM05836:5B:25525515:25530508:1 gene:Dexi5B01G0023300 transcript:Dexi5B01G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPPMSLSVLPLRWGLASKRSRVLDSRTDGAVTGSGAGGGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKNLRGGDPDDRSLRRRFTGDGDRRADGKSGDARKKPFERNQGPRKDSCYRGRGVKSAKDENVTGFVERRATGDVKYSHRGQGEVEGYAQRRTIRRDTRGNGGNGQLSSLVKAKDISGSMFDHQSLRNRQAKAVAGRALEGQIIHTPPGLPDNKISSNNIKFQMGKGDFTSTGSSIDFKYTSESAFSNTEVDADSKVQRHHHTAENSRRNFEVRRLGAIEIDSKKPTVSKQYGNVKPTQVHDSYSSDGLKSNKPRNNQMQRGANVNMGKFVSRDAEATFVDDRAAFKTFEVFTDVRDRPRILRMEMEERIQKLASQLNATDVNTPEWKFSKMIHDAQIKFSDHSILRIVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPIEALNVFYTMQNQLSSYPDMAAYHCIAVTLGQAGLVKELFDVIDRMRSPPRKKFKLDPLHKWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNVRPTNTTYGLVMEVMLVCEKYNLVYEFFNKVEKLSIPGALNYKVLVNALWREGKIDEAVMAVKDMESRGIVGSASLYYDLARCLCSVGRCKEALLQVEKICKVANKPLVVTFTGLIQACIDNGSMENAKYIFNKMCNYCSPNTVTCNIMLKSFVEHGMLEDAKDLLQDILNGRIRSKADSSQTAAADKFTFNTFMEACAQAQRWDDFEYAFREMLSRRYHFDERRHLRMVLDAYRNGKEQLLDDLWRYLCHHNRAPPAPVIMERFCLKLVQGDTMAAISCVSRFQEGKINNTSSMSWLHLLNRNADGLKEEHVTKLVHELDSFVSSRSSSDNISLYQKIQSSCTTFLSGATVVEKAPSNRQMAVASHHS >Dexi3A01G0030100.1:cds pep primary_assembly:Fonio_CM05836:3A:33457670:33460012:1 gene:Dexi3A01G0030100 transcript:Dexi3A01G0030100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGEPPSSLCPLCGHPSSYASPPSVASASAASSPARPPLRRPAGAPPEAPPAVVWVEIGDEAAALREALSCQQSALGDLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMAHDAAELASLEDALASAKQAAATLPSRTPRHPHHPFASAPSGASTPRGRYPSSTTPRHHPPSSPSPAASSGGGGGYYPPLRCCIDHPQTASEADALETPHDQLTRLAHRVHLLERGGGATPMAATTTPIIRVAPGSAFPRHHARAYSDDGSLDFYDGSECFPDDDDGDICGAASDRVYTVDAIHGGCAPPPYAVPEGSYGGRTPVRSDCCYGGGGGAPWAPEDEEVWKLSARMQALEADRETMRQAIISMGAEKAQVMLLKEIAQKLCEEAAVPAATAVAAQQSFYNGSNTQPAMTVTVLPPRHPPVLMQRKVVKSQTSLFAAVVKWVTSIMWWRKQSSRVKYPIGQCGNNVGLLLLLDKAPRAGYGHQRPPKKI >Dexi8B01G0005400.1:cds pep primary_assembly:Fonio_CM05836:8B:5477023:5481232:-1 gene:Dexi8B01G0005400 transcript:Dexi8B01G0005400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAATAGSGGGAMSSDNAKGLILAVSSSAFIGASFIVKKMGLRRAADSGVRAGEIANFAAYAFAPAILVTPLGALSIIISAALAHVILQEKLHTFGILGCVLCVVGSITIVLHAPQERDIDSVKEVWDLATEPAFLCYAAIVVAAALVLIYFVVPHHGQTNIMVYIGVCSLLGSLTVMSVRALGIALKLTFSGTNQLFYPQTWAFALIVATCVSTQINYLNKVIDLFATLTIFIDCCVIMFKDWDHQNPTQIVTEMCGFMTILSGTFLLHKTKDMADSPGQSLSTRRQKYASQNGFAIEVMPLKCQDSVDDETLMMTFPKVDSGYLKEEHTHRYMDSSIV >Dexi2A01G0011910.1:cds pep primary_assembly:Fonio_CM05836:2A:13883170:13884007:1 gene:Dexi2A01G0011910 transcript:Dexi2A01G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGMRREGPALSFRQDAVGDMTGAIFMSNTLTREQCFKASIFGLPSDYEPFVSNVRKGMPLFLFDHTLRTLYGVFEAASDGGLNVSNAAFRSTLRSYPAQVRINIIWKCRPLSEDEFFPAIEDNYYQPRKFYFDLSYEQVC >Dexi1B01G0023360.1:cds pep primary_assembly:Fonio_CM05836:1B:28959184:28963014:-1 gene:Dexi1B01G0023360 transcript:Dexi1B01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGRDQEGSRAAATPHGRDAGGRSLTSQLKDMVLKFSGSGRQYKASGSPSFRGNRFHRNSRLAAYPGVIDESGFTSDGATEGYGYMRSTTGTRSVPPPPPWEPTTKVVRGLPQHLRSPSTSWIPSIGEEEEEDDDDDEEVVVLEEERVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGVSTPTSSVDDATQRDSSSFYSRAGSTRESPVILPPTMAVRHQEQPIARATSCRAMAAAASTARAACNPSSTAVPDPSDHVWAHHFNLLSSAPAPAPPHHFDPSRATTSSLDEASVSMSNASDLEATEWVEQDEPGVCITIREFGDGTRELRRVRFSREKFGEQRAKVWWDQNRDRIHAQYL >Dexi9B01G0048130.1:cds pep primary_assembly:Fonio_CM05836:9B:47151402:47157253:1 gene:Dexi9B01G0048130 transcript:Dexi9B01G0048130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSTWHSPSSCWHPTQGSQGWGDTMENGRKGGSYGPGPDQHGSGRLGGGYKRQWTPCVQKTIERYRTYAKDNVSNKTVHQDIEQVKADAEGLAKKLEALEAYKRSLFSYQSTVFLAFGKKLSFVCDLTWQTQLLEEQVNKLREKEMTLRKNNEDLREKCKNQPPLVVTPARGVITVEDHHPGQKDDAMDVETELYIGLPGRDQRPKKAAGVMSG >Dexi9B01G0016910.1:cds pep primary_assembly:Fonio_CM05836:9B:11645949:11650565:1 gene:Dexi9B01G0016910 transcript:Dexi9B01G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWRHDNPFEEGEGDVNPFSNPRPTPLPPEPAGFYNDIGPSVDIPLDKKDLKKKERELMAKEAELNRREEELKRREEALARGLVICLFWNILCVTAAWITGHDPRIWFLACIYFITGCPGAYFLWYRPLYRAMRKDSAFSYGWFFLFYFFHIAFCIYAAVSPPIFYVGRSMAGIFQAIGEIGENAGVGRVYWFFRGKGTEAQMRPDAGSRAPPF >Dexi9A01G0016550.1:cds pep primary_assembly:Fonio_CM05836:9A:11558608:11561983:1 gene:Dexi9A01G0016550 transcript:Dexi9A01G0016550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAPPASSSPPPPSAADGDGDGLGGGAXXXXCPPPIPSPSIRIPPPSSVLAASASPPSSASPAAMTAAAAPPASSSPPPPSAADGDGDGLGGGAARCSSPTPKPRRRTSPNRSAGGRSRKSPGSRDSGGGSVLKSVNKSKAQFKKSRIRRSGSPIRWSPRKKTESFMKRKIKQLQETDGMTASLHETLGNANPHYTRMAREKIAAREAARKATEARKAAMVEASWCRILRAARIQNKSAEEVLEKAMLQATEAFEEARAVGVMMYDKPDCPHQQYEVESSSHTGGQSTHKVTASFQTAFQVDMEVSAAVKKAFVKLANSPDSAEREEFKDLLWKINQNPDLEETDVNSDDKQQQLGDCGDESNMELNKGNLTVSSVPSDFNTTKVKESIDLVKIMLERLKALHEDELASLAVIVATSGLNAALQSERGKYHETDPVDSTGARSLRSQSRRYSTAVSFVDVQGPKEEVTSELPSLDKFLVKHLSKLEREVQDAREASRKATSIKSVAQECAHSQVLSSIAKSAESTSDLSSILVKHVSKLEKEVLEAKKNTQSIHSLEGNYKDLEASVDTDVQSRNKESEFERTTSEAENKSNLNGSRDSNISSDGRNHIQEFSDYLQEDKENRSLYSHQLPPSGATSRQGGKRLTRIEAAKLEALKSFCTTDGNTVAAGLDKIFVKSIHRLEKEKREAREGWTNVPHDQRKIGNNVKPSESLDQVLVKHVSRLEREKMEYGKRNALGEGTNMQNDKQRSADSATAVDSLDQILVKHVSRLEREKIEHEKEGGMILLKKTQAQCADGTAESLADVFVKRPSKLEQAKLASAAEDKPASGLNPVAERRRAREKELQDAWGGMGLGNSMKPHVSKIERAKAAWRAEEEQKQMSAAEGL >Dexi5A01G0014530.1:cds pep primary_assembly:Fonio_CM05836:5A:11607474:11607857:-1 gene:Dexi5A01G0014530 transcript:Dexi5A01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASGCASLLSQRRGYSVAVAVVKGPGRMAVEKVAKRVMGKKVTAAAQEKTAWVPDPVTGYYRPAGGAIKEVDAADLRAKLLTQRVAR >Dexi4A01G0022900.1:cds pep primary_assembly:Fonio_CM05836:4A:26150421:26152080:-1 gene:Dexi4A01G0022900 transcript:Dexi4A01G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPAAPRTKKARGAFCNLPLLLLIGAIQFLVIYSPAIDRYMVMITNGKPGFPSLLLDGRRGFKLVEEEFIPEPRVSCDFADLRSDVCELEGAIRIRGSTSEVFVVSPSGAAANVTGLGPGMNATSWKIQPYTRKGEARVMRGITELTVRVVAAGEAPPCTVRHDAPAVVYSNGGYCGNYYHDFNDNIIPLFVTSRHLAGEVQLLVTQKQRWWFHKYREIVDGITNYHAVDLDADAAGEVRCFRRATVGLRSHKDLSIDPRRAPRNLSMVDFKRFLMWRYALPREHAIRTEEEEGGEVGSTPARPRMLIIARRSRRRFVNLPEIVALAEEVGFDVTTSDVMSSSAAKTKAGGGSGSSSSTPAGGATAAAGAGDEGHARMADASKLVNSFDAMVAVHGSGLTNLVFLPMNAVVVQVVPLGRMEELAMDEYGVPPRDMNMRYLQYNITAEESTLSEMYPRAHPVFLDPMPIHQQSWSLVKDIYLGKQDVRLDLRRFRPVLEKAIRLLR >Dexi3B01G0019290.1:cds pep primary_assembly:Fonio_CM05836:3B:14325882:14326439:-1 gene:Dexi3B01G0019290 transcript:Dexi3B01G0019290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGTVPAEHGELPHLRRDASPRQQSIFHYACASPLRHSPRLTPLPRLRFEPHRRSVSARMDPTDQSPEEVYSVWALPPEPVRDRLRHIMEGLRAAHGGPAFEPHATVVGDFRSRRSAAIEVLHTAAAGVQPYTARVTGVARGTFFYQCVYLLLEPTPEVTISSPHLLVCHGKKNSL >Dexi4B01G0013730.1:cds pep primary_assembly:Fonio_CM05836:4B:13748400:13751609:1 gene:Dexi4B01G0013730 transcript:Dexi4B01G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTGAGTVGEGMRRLTQLSLVSKVCSELEAHLGVADRVLAEFVVDLGRASASAADFADALRDHGAELPDYLVRSLHGVITAIPDHAPASAPRIPTSRGGRGGRGGGAGDETDAEEPELYQVRRGRVTRVADAGCLVRLGGARGREGLVHVSQMPARRVDVTRGQEVFVKVVSLDGAKLGLSMRDVDQDTGRDLLPFRRRSEEDDEPRANPPADRGRAARKRKGVSGIFIPDDDVGSAPRRPTRRMSTPERWEMKQLIAAGVLDAKDYPCFDDDDDEMLYQEEAEDELDIELNEDEPTFLWGEGRSKADLSPVRISKNPEGLMSRAAALQSALVKERHDIRTQEQRGMVDAIPKDLNRSWEDPMSGGRYLMHELTGTGLTAQSVPEWKVTYGKDGTYGQRSRLSIQEQRESLPIFRLKKELINAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTTGKIVCTQPRRVAAESIAKRVAEEFGCRLGEEVGYSIRFDDRTGPETVIKYMTDGMLLREILMDGDLSSYSVVMLDEAHERTIYTDILFGLLKKLIRQRNDLKLIVTSATLDAEKFSGCFFDCNIFTVPGRTFPVEILHTKQPESDYMDAALITVLQIHLTEPEGDILLFLTGQEEIEHACEKLHERMKAFGGDIPELIICPVFSALPIEVQSKIFEPAPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKLGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYCNEMSPTTTPEIQRANLGWMVLNMKAMGINDLLTFDFMDPPARQALISATEQLYSLGALDGEGLLTKLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFHRPREKQAQADRKRSNFFQPEGDHLTLLTVYETWKAKGFSGPWCVENFVQVNSLRRAQDVRKQLLEIMDKYKLDVGSAGNNPVKIGKALAAGFFFHAARKDPNGGYRTLADHQQVYIHPSSALFHQQPQWVIYHEIVMTTKEYMREVTAVDPRWLVELAPRFYRSVDPTKINKRKHQERIVPLYDRYSEPNSWRLSKRRW >Dexi6A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:6A:2497336:2499264:1 gene:Dexi6A01G0002680 transcript:Dexi6A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLRLTLASLVIQPGLDDEQDALEQAFIDDQDNIRRFLEGKDDDAVRDTDYYEQLKAEAEDLAGFVEKLGPVEHEERLDLMKASFGIPSEPQHTHKFHALPECTRDKRHVGDRVWHNYYYMSGLLESKLSDVPGQVQVYGIVATGGFLRLLSPRRGMSMKFNCLIEVDIRVKAIEDDDTEDKTLADGCMELIEGRVVLETLCRCTLSGPYGSVAFDYIIFESGFEATIELDFLEVPEGGFSMQMCGYTSAQKNYYAFIDKNCDCDNVVSSTGRFPQYFVAAVQKDDYFLVDFAEGKSPLILKPSIHGSEAKEYSFHNGALVSVKVSWSTAFHC >Dexi2A01G0031220.1:cds pep primary_assembly:Fonio_CM05836:2A:41967008:41971743:1 gene:Dexi2A01G0031220 transcript:Dexi2A01G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMVE >Dexi8A01G0009130.1:cds pep primary_assembly:Fonio_CM05836:8A:11735108:11739497:1 gene:Dexi8A01G0009130 transcript:Dexi8A01G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLSSRYEIPRTAEFLRAGAYTRVALQFPDEMLKDAASVTRALRRELASPSSGSVCGVRLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSTTSNLPAFFIFGKAPLDVHACACSMVECSRKSNKHILVLYGLEYAYALDDLRRTFEESCKSKCNPGVQYADVPCSVMSPSSRIFYKHLNLFFFPFAVRYDPVENRLSTDVSQLMKVLRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKELIKASGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALIDSKEFLAPVITPFEAVLAFGRGREWTGEYLLDFKDLITSEKQEVASATDEARFSFIKGGYVEDNSAQENIVEESETPLALVEVTEKALSIQNQYNDAILYQGKAISSIDYLKARSYRGLTGEYDDPAPDSILVGRTGRAARYNDEKLQSAQ >Dexi1A01G0025130.1:cds pep primary_assembly:Fonio_CM05836:1A:31444226:31444717:-1 gene:Dexi1A01G0025130 transcript:Dexi1A01G0025130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAAHIEKPFKNAEAAERKRPMAGVSLAQAVAALLGTCVRRLSRAARRLHLRPPRQSSSSRAIVPFLGNGGGVIKKVLSSSSKSKRRRREDDELSFEWEDDGVWRKEIIMGERCQPLDFSGAIYYDADGRRLEQPPTPRSPLRSPLPASVVLAKANAAGGH >Dexi5B01G0039490.1:cds pep primary_assembly:Fonio_CM05836:5B:38296210:38296566:1 gene:Dexi5B01G0039490 transcript:Dexi5B01G0039490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQKNGRRENVRREKKKTEGSVDQRERASKLAVSCSFPCGAGAVPRHHQQQPQPTKLESTPPPPSPPPQIPEPKGKEAAEIEAMPPLAACFLPPGSRGLPALPASPDPSGDRAPFFF >Dexi3A01G0019910.1:cds pep primary_assembly:Fonio_CM05836:3A:15836408:15837816:1 gene:Dexi3A01G0019910 transcript:Dexi3A01G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAMGRKRAVLVGINYTGRDDELKGCLNDVARMRRCLIDRFGFDEAGIRVLADADPSTPLPTGANIRLELERLVGDARPGDTLFFHYSGHGVQLPAETGEDDDTGYDECIVPCDGNLIKDQDFKELVAKVPDGCLFTIVSDSCHSGGLIDKAKEQIRNSTRQNKSQEPPDQRQETRPPSHASLLGIVHGLFESLLRRSSQQSSHSQSNGTELDIKAEEATAHAIASVKSRSLPLSSFIELLKENTGEEDVGVGTIRKTLFRHFGDDASPKVKKFVKAMAAGNKLREDGDLEGDDKEGHEVRGVKEVYAGTAAASAPPLPRNGVLISGCQTDQTSGDATTAEGVSYGLLSNAIQTILSRKKEGTTVTNRELVLKVRELLSKQGVTTQQPGLYCSDEHASMPFIC >Dexi4A01G0004380.1:cds pep primary_assembly:Fonio_CM05836:4A:3120112:3126691:-1 gene:Dexi4A01G0004380 transcript:Dexi4A01G0004380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVGAACVLLARAAGPGPRRNGFGGDQARPRRLQRAVRRRCVAELSREGPAPRPMPPAQLAPPLVPGLLAPPPAPAPEPASTPPSVPDDGLGDLDLQPEVISEDFTKDTVVVESEQDSKIMVGKEQDSEIMVGKEQAKAEVTHSVVFVTGEAAPYAKSGGLGDVCGSLPVALAARGHRVMVVMPRYLNGTSDKNYANAFYTEKRIRIPCFGGDHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDKFGAYGDNQFRYTLLCYAACEAPLVLELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYKDSRSILVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSKGYSWEVTTAEGGQGLDELLSSRKSVLNDKNIPSHYSADDLSGKAKCKAALQKELGLPIRPDVPMIGFIGRLDYQKGIDLIQLIMPDLMRDDVQFVMLGSGDPELEEWMRSTESNFRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHATGGLRDTVENFNPFGDNGEQGTGWAFAPLTTENMLWTLRTAISTYREHKSSWEGLMKRGMSKDFTWDHAAEQYEQIFQWAFIDQPYVR >Dexi6B01G0011860.1:cds pep primary_assembly:Fonio_CM05836:6B:19264703:19267084:1 gene:Dexi6B01G0011860 transcript:Dexi6B01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGALFSEQWTFLGMNLLSVMGRTIFLPSDIILDRLIHFQPSLQKRIFIAMLKPVGDNKRRTAEEHYSHPEGSRKVKTFGDSRKDNTFGVSFGDKYPSGVWGEFSKNVSSNIHDNVVALASFNGGTKFFACTGFFINNADKGPAILTSASLVRDSDGGNKIVAGLRIKVLLPDNKRCEGKLEHYSLHYNVALVGIGGPLVDVNGRFLGMNYYDRELGTPFLFCNDLCKILNSFWTKE >Dexi7A01G0000380.1:cds pep primary_assembly:Fonio_CM05836:7A:689184:689486:-1 gene:Dexi7A01G0000380 transcript:Dexi7A01G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVDSSPDAHLLPAGSSYCAAESILAAVARRSGQTTATHRPAPNQGAARRWPRREQGSSRPPPPLLGGRAPAREVGSPVFLFDRGKRATARGKEEREE >Dexi5A01G0022780.1:cds pep primary_assembly:Fonio_CM05836:5A:26922497:26925267:-1 gene:Dexi5A01G0022780 transcript:Dexi5A01G0022780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEEEDEEEAEAPPPPQGGGVCLDLWHACAGPVAPLPRKGSAVVYLPQGHLEHIGAGDAAPAAAVPPHVFCRVVDVSLHADGATDEVFARVSLLPEDEEAERRARTREDEDAARDGEGGGGAMKQLARTPQMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPFQELVAKDLHGTEWKFRHIYRGALG >Dexi8B01G0004980.1:cds pep primary_assembly:Fonio_CM05836:8B:4723538:4724938:1 gene:Dexi8B01G0004980 transcript:Dexi8B01G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQSHLSLHHVPDDLPDCDCEFRPGGGLCCPDDPLELVLQFFPSPAAGEGSLASIGIGGSSPLPLGPGQEENGFGDLLRVLGPGAAPGCCGGAVWEGDSRGLSAPVPEDTETIDVDKYLDLPDCGGGGGQAAVCNPFLDMTVVAAPTPAGGVHACRALAGGVVSNGAPPPVSAGALQAPYTFHAFDGVACNDAPPLPPPMAAGGGLHACGALVGAVPKNAPAIHADALHACGGVVSNNATPISAGALQALPLRAHAPPSPWTMPASRTSSGCPTPATSETDSPAPAWQPIAWVLPRKRRRSPVKFRKRRPWSLDFPLRAVPVAVPDNPDDSNVNDDAKNSCYNVGGGGIRRRRPVPRQRNRQTQRVCSHCHSPDTPQWRAGPDGPGTLCNACGIRYSANKLLPEYRPSTAPSFRSDLHSNRHRKVVKLREQKAKEIPKAMPDESVPVSPKGDEFMDVCTYISTGL >Dexi1A01G0002260.1:cds pep primary_assembly:Fonio_CM05836:1A:1486898:1495030:1 gene:Dexi1A01G0002260 transcript:Dexi1A01G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRFVDIVPQLMHATPCHGDGCGNNALNAADNGVFPGVARSCDNRGADGGERAGPRRCSAASAARPAGVAGSASGVRGDGRAVAKRAAADRASSPAHCSIYFLAGIFFIPNHKLMREWAAAHELLLLEPRRLDLERRAHGRPPLEAIPAWRARFVEISSPKLLLDTQSDRLCAAKTYDHHPRRDPASGLYLEFASQSLRRRPLAAAIPTSRIWVLRSLLAQQVPSMAATGAEQRGEQPRALLKLRTQRPWKCGLQSAHLVDDVIRRKSTPRSDLAFGSPAPPLPPPARPPLSPPPVLAGSTKPNLHPVHVQVAISAVSIRCTYKLQLAPLSVGDDQSASTNSAMGGGDHQCMAAAAAGDGGASVEAVLRPLVGADAWDYCIYWRPGAELQCPPGRPKTWAEGPVRIVPMPRSSARGLPQAAEPPSSPTPSVTGDSVSLSPPKSPIHGTRTLDLGLRRRIPADFGDLLLATADLPPPRWSTHASSECASLGGWPAAGWAPTGCCLLAAWRAAAACRLGLAAYPLRPPLLRKPQGPTAALQQGSTSSLHR >Dexi5A01G0030250.1:cds pep primary_assembly:Fonio_CM05836:5A:33248365:33248988:-1 gene:Dexi5A01G0030250 transcript:Dexi5A01G0030250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFIMQIALFVSSNDAVQPGWFVKYLRRIDGKRIREMQYNLVKFSRHFLYSSPAQPLGPEDLTWRMIAGKLLNIKLHIRRSQRVVRESRSICACECRVGNTTRML >Dexi2B01G0015470.1:cds pep primary_assembly:Fonio_CM05836:2B:25432478:25433802:-1 gene:Dexi2B01G0015470 transcript:Dexi2B01G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPPESAGTPRRFTVADLLRLRRPTTGAFSLHFPSAPAPTSRSPSRPRKKPKHAATAPGSTSGTAPFAPISHPPKHAATAPGSTSGTAPFAPISHPVLLSGTLSHPSAAAPPGCRDNCFSFSDPTPSTSVSPAASVCCCLLDFDPTALGREIRVLAWNYLPSIRLHGAAGVLEVVRWCLAEEKPAPAPERSFLEIIPLHCPAQNPVLATRGCVFGVVRSVSVAFSVPQANAEKKSVSSVGFLAEILCCKCRRCKVSPPEAVQDHKFEAVKFVCFVDSACTWRPLMVWLVGRLVYVSGLKKKMVSVAERDSNTMLVSSSNTTISWCWSYRGNLPSDDSPEICSGAYAGVITGIYSQGQVVELDDTVCLLIDDLLLLPPHSLRVGAVVCC >Dexi2B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:2B:23804647:23807771:1 gene:Dexi2B01G0014270 transcript:Dexi2B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLRGLLKPRPTPRQQLRKWQGRLRSERVGLDRQIREVQREEKKVEKAIKEAAKRNDMGSAKTLAKELVRSRRAINRLYENKAQISSVSMRLGEIIGTERTVSCLSKSTEVMKTVNDLMKAPELALTMQQFNKEMLKAEVIEAMVNDTIDSTLDSEEIEDETEEEVDKILAALVAETVLQLPAAQRKISRVPGQRPTVDEGTYDQEDLEKMRARLAKMRGVDMV >Dexi5A01G0032200.1:cds pep primary_assembly:Fonio_CM05836:5A:34777550:34778008:-1 gene:Dexi5A01G0032200 transcript:Dexi5A01G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVATSSPKSKEESKKLIANREEADAHGHRSLQESKKKGQIELEQDQIDLQHSKINITQAKPKKKNKSRTNSCSSSVPHRDGEWRPCGAEAPLREAAKARNGLAELTLAPAAAPAAREATMDTFAMNFATAVEKRFGVEKQHQQHASSRPE >Dexi5A01G0020380.1:cds pep primary_assembly:Fonio_CM05836:5A:24217314:24220017:1 gene:Dexi5A01G0020380 transcript:Dexi5A01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSPRLEVRHAGTEPASHGGVSLFPSTAYLSSPEARTLSAARLLARCPFLSRDAFSAGAACVTPPRRRFRVLASFSRQPAAGNRGLQVERRRLLMSGLVSSFAISKEQFINAYGYFVHDITVESYAAMETDEDVKMNMQVDEINAYSFLYPIELPGKKFSFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSKVTTGQRMTESSVLDAHCTEAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESVSSKHQIISYQLQL >Dexi9B01G0021630.1:cds pep primary_assembly:Fonio_CM05836:9B:16359379:16363543:-1 gene:Dexi9B01G0021630 transcript:Dexi9B01G0021630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSASASDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDVFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVAKEVDLNALAKYTQGFSGADITEICQRAVKYAIRENIEKDIERERRRKDNPEAMEEDEVDEMAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFEQSSTAGATAAADPFASAGAAADDDDLYS >DexiUA01G0013080.1:cds pep primary_assembly:Fonio_CM05836:UA:27245448:27249851:-1 gene:DexiUA01G0013080 transcript:DexiUA01G0013080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPAPAPAPVPAPAPAPKVDELQPHPPKEQLPGVSFCITSPPPWPSITYVKKFLRTMRGTQGALLIASTVQIILGFSGLWRNVLRLLSPLSAVPLVALVGFGLYELGFPGVAKCVEVGLPELLLLVASSQYLPQVLHFGKKVFGQFSVLFTVAIVWLYAYILTISGAYKNSPSKTQVHCRVDRSGLISGAPWIRVPYPFQWGPPTFDAGEAFAMMMTSFIALVEYNLINYLPVVHWCLHCCFKVCKRYYDSSINNKSGDWVAGKEPDIGIGILLDSFFGTASGTSVLAENIGLLALTRAGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAAMYCVFFAYVGAVGLSYLQFCNLNSFRTIFILGFSFFMGLSVPQYFNEFTAAAGHGPIHTGARWFNDMINIPFSSKPFVGGLVAYVLDNTIQTKDGAVRKDRGYHWWDKSRSFKKDPRSEEYYSLPLNKFFHAIRSQ >Dexi3B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:3B:14389303:14391353:-1 gene:Dexi3B01G0019380 transcript:Dexi3B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLGCREGVVSAITNPTASIFPSWQTSSVGFSGPLRPPPPPPPPLTGGTVSYADLAAATGGFSDANLLGQGGFGHVYRGTLEGAGEVAIKRLRPGSGQGDREFHAEVEIISRVHHRHLVSLVGYCIHGDQRLLVYEYVPNKTLELHLHGDPRIIHRDIKAANILLDYNFEPKVSDFGLAKIQPASDTHVSTRVMGTFGYLAPEYATTGKVTDRSDVYSFGVVLLELITGRRPVLSSEPYNDETLVSWSRPRLTKALEEHILDGLIDPRMGANYDPGDMQRLIACAAAAVRHTARSRPRMSQIVRYLEGQLSVEALNAGVAPGQSEVLEDHAGEQLRRMRRLAFVPGTTSTTTRGFLNMSSSYVSEPTSEYGLHPSSSSSDADTSEVESAHRAASRPPTTAGSSAAGTGVEGQSSGEIGDAEGTTRHMRSGHSGA >Dexi6A01G0000520.1:cds pep primary_assembly:Fonio_CM05836:6A:299432:299785:1 gene:Dexi6A01G0000520 transcript:Dexi6A01G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRERDEEIAVKQGTEALKHGRAHTKVSGDDEMLRTGFHNGTPLEGGKIADSEPVDLFAPANRVAQQATTNHHQQQQQQQEDEDEEDKPDAAGPATVVGRQGMAPHVAGGRRLGRQ >Dexi2A01G0017280.1:cds pep primary_assembly:Fonio_CM05836:2A:29119618:29121807:-1 gene:Dexi2A01G0017280 transcript:Dexi2A01G0017280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLAADEFWPAQGGKAPELSQVKDALDAILSSQQRLELGFLLPLCACADHTTVIIFEYTSHPRLVSTSNSPTRSRNPRFASHNLDRQGSRCRWWDVLPTRLRGCWSNVSDQQGEEGVADREGAVGKVLYEKPVRANAIEATPGRIWRALDDGLWMFGKDLVVMWWTILEEEYFIVERKG >Dexi9A01G0021070.1:cds pep primary_assembly:Fonio_CM05836:9A:16064991:16068169:-1 gene:Dexi9A01G0021070 transcript:Dexi9A01G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSSVEVAPATVSVPEGKSGKKGKRNAEDEIEKAVSAKKQKTVPVKVEPAKKQPPPKKVESSSSEEDSSDSEDEVKVQPKKVTQPKKGTKPAKEPIRDISSDDSSDESSSDEEPSKKPAALSKKPSSSSSDESSDSDDSSSDEEPAKKPTTVTKKPVAVISNGSKKVESDSSSSDSSSDEDEKPAALQKKPSVASVQKKTQESDSSDSDSDDESEEDLPAKAPVAAKKKEESSDSSDSDSDSESEDEDKNAKAVQPAKVIAPKEETSDSSESDSDSDSDEPEKPTIAAKRPLATDKKKEQSSDESDDSSDESDEEPAQKKPKNSAPSGASKPAPKVSKKESSSDDESSSEDEDDDDSSEESSDDDKVELKVVLIF >Dexi4A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:4A:7564686:7566350:-1 gene:Dexi4A01G0009560 transcript:Dexi4A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWAGHFVFNSEMEGEDRDVRWCPYEEDVLRKPFKLGSWLRYLSAHAASPLKKRTSIYERALHSLPGSYKLWHAYLTDLAAAASPFPITDPAHAALNAAFERALATGNMLRMPRVWHMYATALIDQRLLTRARRALDRALRALPVTQHRRVVWPLALRLANLPGCPAETSTRVFRRYYLQFDDRAHAEEFVDFLVSAGRFREAAEQLAAAIDDEGFCSAKGTTKRQLLLDLCDLIAKHPEDVVGMPVEAILCGAVRKFPEEAGVLWTTLAGHYARKGIHDKARDVFEEGITTATTVKDFRLVFESYLIKLQARLGCCGARQRRPGGEL >Dexi7A01G0015440.1:cds pep primary_assembly:Fonio_CM05836:7A:25295254:25299832:1 gene:Dexi7A01G0015440 transcript:Dexi7A01G0015440.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRRCFASRVAMGSLAAADEPLPQLKYPQPRRDDSIVDDYHGVLVPDPYRWMEQLNSEEVKEFVGAQAAVADAVLSACGHRGRLRGQLTALFDHPRFRAPFKRGDSYFYFHNPGLRPHGALYVQHGLGGGDPAVLLDPNAFSEDGTVSLGMVGVSDGGDHLAYGTSGSGSDWVTIRVMRVSDREHLPDTLSWVKFSRIAWTRDGLGFFYSRFPAPRDGEALDSGIKTDVNLNHEVYYHFLGTDQSQDVLCWRDPDYPKYIYIPEVTEDGKYVILSVSETSDPVNKLYYCDLSALAHGMEGMKGTHSMLPFVKLVDKFEACYSLIANDGTDFTFLTNKDAPRYKLSRVNVDEPESSWTDILPEDEKAVLESACAVHGDKLLVNYLSDVKYVLHMRSLVTGELVHDIPIDIGSVNGISGRRVDSEVFIEFASFLTPGIIYRCDVSTDIPEMNVYREISVPGFDRNEFEAKQVFYPSKDGTKIPMFIISKKNLNLNGSHPALLFGYGGFGMSVTPQFSATRVVLMRHLGFVTCVANIRGGGEYGEEWHRAGSLANKQNCFDDFIAAGEFLVSAGYTNPARLCIEGASNGGLLVAACLNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYSPIHNVRRPWEKGDDDDPRGWGAAGQYPPTMLLTADHDDRVVPSHTLKFLATMQHVLRAGAEGSPQTNPIIARIERKSGHGCGRSTQKIIDEAADRYAFAAKVMGVPWID >Dexi4A01G0011290.1:cds pep primary_assembly:Fonio_CM05836:4A:9232287:9233060:-1 gene:Dexi4A01G0011290 transcript:Dexi4A01G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYDYGLGHPCLNPEGTFRVFCKTDEGLCLAVRGGALMRLVKFDPEDLNESVLWTESGHLGREFGRIRMINNVDMGLDALLGDEEGGGLRDGTALTLTKRAGGDTQSWKILYWSDEANETCDGLYSQPTCRIYCMADERFSLTVRDGA >Dexi5B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:5B:10525761:10526101:1 gene:Dexi5B01G0014150 transcript:Dexi5B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFASHARRLLVAGSGAPARSFHAEPYQAKVGVVEFLNGVGKGVEAHAAKLEEAVGGDLQRLLETRTLRLKKLGIPCKHVSR >Dexi3A01G0014280.1:cds pep primary_assembly:Fonio_CM05836:3A:10420929:10423292:-1 gene:Dexi3A01G0014280 transcript:Dexi3A01G0014280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPDIYPLTGLQIGDIQSYISRAFLYFAPLSKKVFILVDNQPWLTTKQSRSARIWQFIVTKYRMSPFVNSRAPPDKEKERPSLAAAVAAAAAASAAAGGTGGGAGSRRETMRRWFAVADLSRALMHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCAHLWFLGRASESRALRGYLAALHARPADPTEHLEECGVALRRTCSSSSLTAVSEDDDDDPPPPDQHHHSGGSGSARARGYSSEVEYCSSPSSASARARRAGGESPFVAPAQYSDTLILFRFRDSLLPLKLRQIVMSDIRLLTLLESGLPSWVIFFQSYPLLCHLYRPWMRPLARSLYLLASLATVLIGFYDLYKNVPLLKSAAARICGPLFGWIERWDMVARIQYLGTILFLRNLRRCLQSLVALLRTARAVLRAVAAPLAEAAGPMLAAGAQLGSLVAEGLAPAWALAVDLAEVVWAPFDLVLDSVVGCLWPLMKVAVLPARFAAKLAGCAGTLMSATYNFSKDIWETMSSIFELNHMSEAQQSGFDMSQIKTLWNDLFSQIFRAIRGILNGILVFFASCNRHRLSIYNHAQARVRHMLRVARLAPSSCRCKHKGRRRPGQSNEDGSAVECDACK >Dexi9B01G0019040.1:cds pep primary_assembly:Fonio_CM05836:9B:13575253:13575952:1 gene:Dexi9B01G0019040 transcript:Dexi9B01G0019040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAVTSPGEPGVLLPHTAASRRRRLATVVLVLPLLLFLAAEVAFSSSSSTRLNHLLLLAPRDDAALSSPSASSSPPPPPPPDSPPRLEEDEQPQLRSGVQRVAVCLVGGARRFELTGPSIARHVLGALPAGAADVFLNSPLDADAYKLAVLARAAPPGATLAAVRVFRQEHIAVTPARARALTRMNSPRGIQDPE >Dexi1B01G0029290.1:cds pep primary_assembly:Fonio_CM05836:1B:33647768:33651106:1 gene:Dexi1B01G0029290 transcript:Dexi1B01G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKMVAFCVWALFWLGGTATVSTTAFAGGEAVVVDARSAVAVTDDDFVCATLDWWPPDKCDYGTCSWGLATLLNMVSSASHNLSNKILLNAVKAFSPLKLRLGGSLQDMLIYGAGDSQQACTPFVKNTSSMAKIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVIALKHIIDSTCQGNPSKPLVVAPGGFFDAAWFTELVSKTKPGQMDVITHHIYNLGPGVDDHLIEKILDPSYLDGEASTFSDLQGILKSSGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRAYAHCAKKSEGITLLLINLSGNDTNHIYVTSEGAQTRSARKEGRRFGHIPGLGLAAEITREEYHLTPKDKNLQSQQVLLNGNVLATDANGEIPNLEPVQVEGKQPIIVGPYSIVFAHIPSFYAPACR >Dexi9A01G0006420.1:cds pep primary_assembly:Fonio_CM05836:9A:3674594:3676833:1 gene:Dexi9A01G0006420 transcript:Dexi9A01G0006420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRFPSPNPESFSSPKKATNQPFHRNAARARRLLVAIVSLRGAVDSSSCPRRSFLGMLNISRMPERSESATEKIMSSIIDTIADNLPKQKSGQFDPGAVSDKMKNKLFGRQKTLHGALGGGKPADVLLWRNKKISSSVLALATAIWIFFEWLDYHFLTIVSFALVLGMVAQFVWSNFSNMLSGSPSQVPRVELPDELFVNIAVAIGAQVNKFLSFLQDVSCERNLKHFVVAIIGLWAAAVIGSWCNFLTVIYIGFVCAHTLPVLYEKYEDQVDDFLYGLLGLLRDQYQKLDQGVLSKIPKGNLKAKKSE >Dexi5B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:5B:1595993:1597155:1 gene:Dexi5B01G0002470 transcript:Dexi5B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAPSIILLLFLALLHPAAAAAARHRHRFSASLHAASPNASEPPTTFFEVDRPIRPPRGGAVSGPCSTLLLSGTFGATYDRPPVTAAYTPPACLSGGGALALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTKYAALLREPGEVAVYLGNLIDKTYTGVYHANLTLHLYFHAAPPQQQPADLIVPISRSLPLTDGQWFAIQNATDVQSKKLSIPSNTYRAVLEVFVSFHSDDEFWYTNPPNDYIQANNLSGVPGNGAFREVIARVDGEVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPSYDIDITPFLGKLLDGKEHDFGFGVTNALDVWVGP >Dexi2B01G0002100.1:cds pep primary_assembly:Fonio_CM05836:2B:1649551:1650320:1 gene:Dexi2B01G0002100 transcript:Dexi2B01G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFPHPGSDGRSTRALDCRHGRVLFHMYHEHVMDLLVWNPVTGDRRAVPEPDIDWMAYTAAVFCAADGCDHLDCHDGPFCVAFLASDATWDLMWASVYSSETGACWIIHDRTQVRTGEEG >Dexi8A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:8A:20831648:20838123:1 gene:Dexi8A01G0011830 transcript:Dexi8A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSDARSSSSFPCPPLTPKFQILLPNPHRRPARGAPKEPPLVSPPPPPQHPMEKISVAVRFRPPNPAAAAAADPSPAVAGDREWRIDDTRVTLLHRSAGPVPGASFAFDHVFDRAATNERVYGTVVRDLIGAVVDGFNATAFAYGQTSSGKTFTMNGSDADPGIIPRAVRDVFDAVGQTDDREFLVRVSYMEIYNEDINDLLTIEDQKLQIKESLERGVYVAGLREEIVNNSEQVFELLQLGEANRHFGETNMNARSSRSHTIFRMVIESSAKNQIDNGDPIRVSVLNLVDLAGSERNHKTGTEGVRLNEAGHINKSLLMLGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEMHIEETRGTLKFASRAKCVSNCAQVNEILTDAALLKRQKREIEELRKKLQGSHSEGLEQVVLKLRNDMHKSELERDRLAMELEEERKLRMSLEHHLIEQQKKLDGLDNTGMSGDQFTDSTQLDSLKTPDSKYIPDGFVARRSRYSNDVEFSPIPENLGTTADEDLWTRLNKGCVTDLDMLEMTPGLKREASLLEDATSSSLEVLAAVAASSTSTPVSLSPSPCRQPGPHRELVFAPASSTSAPRKLAVVNLVPCELTVVTASSTSAPASVAASSASSPASSPPSPRRPPHPLRARRRRCVIHLGPCELAAVLCVVHLAPRELAAVAAQPHLVRLLAPLRAYTRRPRVGAPLEEPTDARCQRLEKECNSDRQQLEESNMRCAALEKERDLLRDENKSLQQELSDSKREANRLVAEKQAQLDDSIARSVVLERELSRSRKDAERLATVKLELAGQLDTERQKMEELKQDIQVVTQAFLQREGHLTSLYAKSKAIMENCKPSQFATQP >Dexi7B01G0015160.1:cds pep primary_assembly:Fonio_CM05836:7B:21219153:21219554:-1 gene:Dexi7B01G0015160 transcript:Dexi7B01G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPPLAGTIAWCYNNAYFARVGGISLTEMNYLEVDFLFGVGFDLNVSPETFGHYCSVLQAEMLRLELDKTTMTTAAAAAAAAGPRLHSCCLSEDDGTTTTTTSSSSQQQLAA >Dexi1B01G0011150.1:cds pep primary_assembly:Fonio_CM05836:1B:11232353:11234751:1 gene:Dexi1B01G0011150 transcript:Dexi1B01G0011150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNRKIIGARWYTGDVDPEQLNGEFMSPRDLQGHGTHVASTIAGGEVRNVSYGGGGLAAGVARGGAPRARLAVYKACWGASRFSCGKAAILAAIDEAINDGVDVLSLSLSDHDEFAGTLHAVARGISVVFIAGNYGPASQTVRNAVPWVLTVAASTIDRSFPTVILLGNKEKLVGQSLYFDASGNSGEFHELVNGFSCHERMQESIDVRGKAVLCSAPWEASATPPATRFRVANKATGDAGAKALIFAQASGNLPENLDNCRPNMACVLVGFEIAHRIASYVKMESSTPMVKIYPAVTMVGSGVPSPRVADFSSRGPSAAFPGVIKPDVAAPGVGILAAAGDSYKLLSGTSMACPHVSAIVALLKSIHPDWSPAMIKSSIVTTGTPSVTDRFGMPIQAKGSPRKLADPFDFGGGHIDPKRVADPGLVYDIDAQDYIKFFNCTLGPKDHCDRSMGQMYQLNLPSIAVQDLKDSVTVWRTVTNVGSVDATYRAVVEAPMGVKMVVEPCIISFNKVGNRKATFQVIQEIRQKQRIDYTFGSLTWLEEGGAHKVRIAVAVRTVIQDFVADTS >Dexi1A01G0014680.1:cds pep primary_assembly:Fonio_CM05836:1A:21391245:21399240:-1 gene:Dexi1A01G0014680 transcript:Dexi1A01G0014680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPLPLFDHCVGSLRSLPPSVCVRARSSRAKQPGAECVSVRFAWRITGSSALLSSVLKKNWENMYPAPAYHHRPLPRFHTNGDKPCVPLALARRFRPYHASSSRADSTGEASMRWQGKGGNLELICCVLTLVLSLSRRPHQFIVVSVAGEIRRRPTIPIQFLPHKPSSRAAASSLLSPPSAAACHGRSRTWLDRLRPSPSKLRGARNSPSFGRPASRAMAPPPAPPGASVCTAIEGKSKGNGCACISVAGELAAGELAAGQAGAASIKAGCSVLCGAVACNSRGGRQTWGPRGGAFPDYFQKKTRDSPLVGKGCYLFVSSLVAWKHADTAARTHGVGGSAPGDVYPQKKKGGIAIIAADGSEDGDGFPRRSPTATPARAPDVPAAFSVSILLFQTGSSGQPSGIVHNKIRPSLIGAGVATSSLLLPPFLLLTGFSSTHHVTNHAPLPKPMKSVSCLVISSPRLWPPSDNGSIRRLLLLATTPTRELTHTVPAVTLITREATATCT >Dexi9A01G0042980.1:cds pep primary_assembly:Fonio_CM05836:9A:46511438:46517196:1 gene:Dexi9A01G0042980 transcript:Dexi9A01G0042980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALNPSVPMESSPPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEEKCTPKEISDKILMRNFEFWWYDVGLFNNKRIKEAFPAEKCRYHAIHREVYKWFNIKFDKFGRTSSPEQTEVCHSIFHKLMENKWLTENTMQQLYCDTCQRFLADRLVEGTCPNKVCNASARGDQCETCSTLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLKDKLVDYINETSVAGLWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPEWEKWWKDPDNVELFQFMGKDNVPFHTIMFPSTLLGTEEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTDIPPEVWRYYLLMNRPEASDTLFTWADLQAKLNNELLSNLGNFINRVLSFVAKPAGAGYDSIVPDASNAESHSLTKVLAEKTSKWVEQYLDAMEKVKLKQGLRIAMAISSDGNAYLQESQFWRLYKEDSAACAIVIKTSVGLVYLLACLLEPFMPSFSEEVLRQLNLSPEESLSFSEEKGEVAKAKSPWDFVPAGHKIGKPAPLFKELKDETVALHREKYAGSQAERSSKAAADAEANKVANKLKGTKLSGNNLKILILSYWRQTEIHVAVAKSLVGLPLTNLADGGPKKEQKKQSGGSKSKTSEADITIAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEDAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAIGERVTFAGYSGEPEASLSGKSKTWEKLAAELHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >Dexi7A01G0003140.1:cds pep primary_assembly:Fonio_CM05836:7A:12117780:12119226:-1 gene:Dexi7A01G0003140 transcript:Dexi7A01G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQCPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Dexi9B01G0040490.1:cds pep primary_assembly:Fonio_CM05836:9B:41009310:41014788:1 gene:Dexi9B01G0040490 transcript:Dexi9B01G0040490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLSKDTHAQTRFNWPWRSQSPLSAQLLVDIPPEIELSDYRRLSSSGSESPSGLLHGEGFKEEPIPDLDIFFGRLYEYFCAKGLRCIITKWIIDILNVLFMVCCIGFFFLFVDWDTLIHLKCGVEALESGKKPCDLMKVIKHDPLVPLTLPKMITVGSIVILTTYGLTNFLKFFVQLRSTLNVRQFYYDRLKVNDLEIQTISWPRIIEKVDHFFRHRMNNSAVHSLNYFKQFPTPLVSIMAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGAIAAVSRKVVADELQVIDPEGAMCLAVHHTHYMPKRWRGKESSELVRREFETLFQYTIIMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFKRHGNRNYGSPFNAPKSLRSSQGKMEKSFLSFKSVYPSWEANADGKQFLSNLQKFKEKQIRQQALAQYQAMEASGFVAGTRGHMDDTFQQVQSAIHGHAEAVLPPVYNLGPLGLLDTEQRIHPYILDWYYMCHPPHSDTAETPQFEQAFPGTGGVSSSPPAIARETSEIEEVGNWDYELYERVQSHLGASTSSALFQNAPLKHHDIEENSNSRLWDHSPAYSGAGGPHGSFLEPPEFGNRYMADPHSSTYSDGVSEGSTEDLEQSNSRSRGGWRSPQALSKTRYIDDSDIEEGLSLHFTDAHHKDEDDRHLVADHQDPMPSGLHVRIIPRSSDPV >Dexi9B01G0020000.1:cds pep primary_assembly:Fonio_CM05836:9B:14738249:14738608:-1 gene:Dexi9B01G0020000 transcript:Dexi9B01G0020000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDPAADPLGLSSTTLLPLPHHHPAALSFSRRVAMARDATAAAVPALRPAWLLDQLPLLVVLLIAAHVLALGYWIYRLATEGSRQPARSKKH >Dexi6A01G0014760.1:cds pep primary_assembly:Fonio_CM05836:6A:22152982:22153547:1 gene:Dexi6A01G0014760 transcript:Dexi6A01G0014760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAADADDADYHSSDGSVMPDVLAKGREACYKVELSSSPLPPLNPFFLSGFPLLTGGSRPFLRPPQARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRDNFVSNCRPTWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Dexi5B01G0012740.1:cds pep primary_assembly:Fonio_CM05836:5B:9065284:9066810:1 gene:Dexi5B01G0012740 transcript:Dexi5B01G0012740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALSPAYFPAPPAGRPPLAPEATTTARQPAHRMAIAVGHLRDVKPPDDVRSRHCRLHSVAQLDTPLLDADHREHAGDATGGGGAHHTGLSPKRRSQSSPCFTTVAPAGAAEQHADYRSETTNKMATTRVEVVVGRHARGVRELIAEAASAIASGTRLVPAQSGLGGALILTGSRAGEHVAVIKPLDDTPSPPASGVGYASQAVLREVAAFLLDHGGFASVEPTALIKIFSSPGPAMAMPATTTMASIQRFVNHEYDAGELGPSRFSVASVHRIGILDVRLLNIDRHAGNILVKNPPTSRQQLLDLVPIDHGLCLPEQLEDPYFEWLHWPQSSLPFSDDELAYVASLDAFEDAETLRAELPSLEEAAIRILTLCTVFLKRAAAAGLCLADIGDMMSREFAAQEEEEGLSTLEELCKNVLDVVLPEQHGSPYYLTCPPLDGDGVNGEGTTTTSSSSGERKRKHVSFGELSLAEWAAFLERFEQLLAAALEAKKQHAALGTTTTTTSF >Dexi6A01G0003880.1:cds pep primary_assembly:Fonio_CM05836:6A:3560674:3562074:-1 gene:Dexi6A01G0003880 transcript:Dexi6A01G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMRSNSMDLTLSIHGAASVENFSDDKIAIVVPPASPILVKSSSNKIVPEWVPHEDSHPPPSFEKRAAPLAIVKKVMAEFLGTFLLIFILVSALIMNDAHGGALGLFGVAMIGGAAVMVIVASLVHVSGSHLNPAISIAMAVFGYLPKAQLVPYVAAQLLGSTIASLLAKGIYKPTNLGATITTVPTIGTAETFVVEFITTFILLFVIASLATDPKAVKELVAVAAGAAVMMNAAISALSTGASMNPARTLGPAIAAGTYTKIWVYMIATPLGGIAGTGAYIALKLK >Dexi1A01G0007390.1:cds pep primary_assembly:Fonio_CM05836:1A:5715018:5716326:1 gene:Dexi1A01G0007390 transcript:Dexi1A01G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSFKMSEAVEIQLQIAGIRGQERGDDHGQAAGIGNGGGACPPTAAPRRELSKRIAWWALVIVNIVFVLAGQSVATLLGRIYYDHGGKSLWMQTVVQSCGTPLAIPLLIYFRTRKSSSSVAAAAAEGSSSRPPVVKLAAIYAGLGVLLAGDNLMYSYGLLYLPMSTYSIILASQVSFNAVFSYFLNKEKLRALVLNSVVLLTFSAALVGVSHGSDGSSGSDIPKGKFPAGFALTLAASALFSLILSLMQLTFEEVLKSDTLATVLEMQFCSNTAAALVSVSGLFASGEWRAIAGEMAAYDKGEVAYAMTLACTAVSWQLCTMGLMGLVASVSSLFTNVIGTVGTPLSPVVAVIFLGDRMDGVKLIAMLMAVWGLLSYVYQQYLDDRHAKAKERGEKSDQQLQATKISAE >Dexi4A01G0008170.1:cds pep primary_assembly:Fonio_CM05836:4A:6117882:6119137:-1 gene:Dexi4A01G0008170 transcript:Dexi4A01G0008170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAAKDAIPLMTPYKMGQFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFLQIWHVGRVSTNELQPNGQAPISSTDKQISPDPEHGQAPLLVYSKPRRLGTEEIAGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRDDEYGGSLENRCRFAIEVIDAIVSEVGAHRVGIRLSPFVDYMDCVDSDPMALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPRRLLPFRKAFKGTFIAAGGYDREEGNKVVAEGYTDLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYIQDPVVGYTDYPFLEGDDKNNESTVEA >Dexi9A01G0037380.1:cds pep primary_assembly:Fonio_CM05836:9A:41640057:41642266:1 gene:Dexi9A01G0037380 transcript:Dexi9A01G0037380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPPPSRARIRPWLVVGDLALAAAWVCVGALVKLLVYGPLGFGGRPEAEAVKVSLSIVYMFLFAWLEGATGGASYNPLTVLAAAVASHGGPAVYLFTAFVRIPAQVIGAVLGVQLIRFTFPNVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKKEMKSFFMKTWITSIWKNTIHILSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLFGVWVVTFLTKPKKIKEQEVDESKSKKE >Dexi4A01G0016470.1:cds pep primary_assembly:Fonio_CM05836:4A:20102663:20107353:-1 gene:Dexi4A01G0016470 transcript:Dexi4A01G0016470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRLLLLAAAAAFIYIQVRLFATQSHYADRLAAAEKSENQCTSQLKSLIDQVSMQQEKIVALEEMKIQQDEERGQLMILIQDLEKRSVQKLLNKNVVPVAAVVIMACNRPDYLERTVESILKYQTSVASKFPLFISQDGTNGAVKKKALDYKQITYMQHVDLEPVRTERPGELTAYYKIANDMEIAPDFFDYFEAAAKLLDSDKTIMAVSSWNDNGQKQFVNDPNLTYWDDWVRLKEVHGNRQFIRPEICRTYNFGKHGSSLGQFFEQYLEPIKLNDVHIDWNSEDLSYLGEDNFVTKFGKEVASATPLHGSDAVLKAHNMAADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYNSSPRRVFLVSPDSLHQLGV >Dexi5B01G0028240.1:cds pep primary_assembly:Fonio_CM05836:5B:29637247:29638544:-1 gene:Dexi5B01G0028240 transcript:Dexi5B01G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHADAARDEAAFSMRVLHHMSSRGDGLSSVTANLAVSPLSLHAALVLLGASARGATLDQIIAFLGPAGAHAHALLASHVALRVLAADAGDGPTVRFANGVWIDAALRLTDAYAHQVTEHYLAEVRSVPFKSQPEEATRQMNEWIEAATAGRIKNPIPAGSITTSTQAVLTNELYFNGAWSHKFEIRYTQHHAFYLLNGSHVLVPFMSNTKNQYIARRCGYKVLRLPYDARSTATPGSSQHQQRVFSMYIYLPDDYYGLPSLLRNLSSNPSLLESSGTMRNKVPMGAFMVPKFTVSCGTDATETLQALGLKLPFDPVAADLSEMVEAPPEPLVVSKVHHMCFVEVNEEGTEAAAATFFNPVPGCPPMMPVDDFVANHPFIFLIKEDRSGVVVFAGQVTNPSLLA >Dexi3B01G0016530.1:cds pep primary_assembly:Fonio_CM05836:3B:12016982:12017918:-1 gene:Dexi3B01G0016530 transcript:Dexi3B01G0016530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEALAYYGEGTPNLWDKVSSAMGGIKSAEEVRLHYEDLVDDVRTIESGRVPYPKYKTQGFWSRG >Dexi2A01G0034250.1:cds pep primary_assembly:Fonio_CM05836:2A:44294212:44296176:1 gene:Dexi2A01G0034250 transcript:Dexi2A01G0034250.1 gene_biotype:protein_coding transcript_biotype:protein_coding INMRRGYSYSPSPPRGYRRRARSPSPRDHYGGRGRDLPTSLLVRNLRRDCREPRGFGFVQYYDPEDAADAKYYMDGQLVLGRQITVVFAEENRKKPQEMRARDRVRGPSYDRRYSRSRSPPYSRGRSPSRSYSRSPSPPYPKHRPRERSYSRSPVDSRSRSGSPYEEGCRRSSRRERSLSVSG >Dexi6A01G0001440.1:cds pep primary_assembly:Fonio_CM05836:6A:1252768:1255639:1 gene:Dexi6A01G0001440 transcript:Dexi6A01G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLVIPVHAMGKGEKGARAAGMDNSLRQGQAQGLNPTDPVRGDAASQRQQEYVFHDATEECEDCCFDTKEMYEFLETIKCLKADPKCTDCEAAASRKLMLCSGCSQCFCTGLVTNEDGPMGHARPHAKSACHPIALWIDQPDTAYCFECGGSLDLKLIASAARAYEPYVVRGISNRGMTCFVNVLVQCFLALRELRIWMFGPDAPKGSLGVALKNLFEKTVVGDDAGAVLDPDELLDCLGALNANYGNRTQQDSHELLLHLLDGLNEDELLKRPPGMQMDVPTVVDSIFQGQVSESLTCKCCLELVAKPPQPFYVLSLTLPPITGHPTKSTARQRSGRRARNNLKDSEEDKHKVDCLPSIKECLEDYFRKELVTRNCDSCTKDRKSSTSQGKDGGQMVASINASTSADWDQTECDRHRKAEEKSDLFSPHDDQNAHQVGENPDEQKGKHAYKALVLSKMPPVLTLHVLRFEGNAAKRLGHVKFEENLDVGKYLDLRSEDKDNTTYRLVGVVEHSGNSLHKGHYVTYVRGSRTGSEQQQSSGSSSWFYATDRIIREVPLDEVLKCDAYLLFYEQTVD >Dexi1A01G0016590.1:cds pep primary_assembly:Fonio_CM05836:1A:23829553:23831151:-1 gene:Dexi1A01G0016590 transcript:Dexi1A01G0016590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTFSRVVASPDEDAAALLKAFQGWGTDEQAVIAILAHRDATQRKQILLKYEQMYSESLTQRLQSELSGDFERAMYHWMLDPVERQAVMANAATKCIQEEYPVIIEIACANSSAELIEVKKAYHVLYKSSLEEDVAARTTGNLRSLLLALVSTYRYDGDDVNMGLANSEAKIAHEAVSNGTTDQGELIRIVGTRSKAQLNATFSCFKDEHSTSITKALPHGTDPTGYLRALRVSVRCLADANKYFAKVLRNAMRDSGTDEDSLTRVVVMHAEKDMKGIRSAFQRRSTVSLEEAIAKETSGDYRSFLIALLGS >DexiUA01G0023350.1:cds pep primary_assembly:Fonio_CM05836:UA:47800354:47805159:1 gene:DexiUA01G0023350 transcript:DexiUA01G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHLSGIAAIVKSAHPDWSPAAIKSAIMTTAYVMYDNDKPILDEKLNPAGYTDSEVEIIKHQKGVCSRGAKIAEAELNYPSIATNASAGRLVVNRTVTNVGDEMSSYTVEIDMPKGVEVTLSPTNLEFTKVKESKTFTVAKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYYKNLKWMLENDVSDLPDLTFSMDPDEEKHILYEKTEVTDYELKPGGRNIRVTEETKQEYVDLANAEYIGYSAASPVIQWFWDVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYASREQLEERLLLAIHEASEGFGFG >Dexi2B01G0025950.1:cds pep primary_assembly:Fonio_CM05836:2B:35129063:35130316:-1 gene:Dexi2B01G0025950 transcript:Dexi2B01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEQYEEAVKKVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTINTAVTATDSVQQNPVNEGWPLTSTGAPETFPYGVETISFDLSQYNNAPSFHWPESSNRSQLQ >Dexi9B01G0013840.1:cds pep primary_assembly:Fonio_CM05836:9B:9268354:9268665:1 gene:Dexi9B01G0013840 transcript:Dexi9B01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEETLHMGGDHKKDDEHKKAEEHHKKAEEHHKKDGEHKEGIVEKIKDKITGEHGDKSGDHKEDKDHKEKKDKKKKKEKKHGEGHHDGHSSSSSDSD >DexiUA01G0027330.1:cds pep primary_assembly:Fonio_CM05836:UA:58462687:58465800:1 gene:DexiUA01G0027330 transcript:DexiUA01G0027330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQASTLPKTTEPSYTPRRRGDQKTPFVFPPSAALAAFLNKPSSLLCAAFLIEAAGLRRINNHSCTEYGRISRTTPIQFLRELEKRLRPKKTQANPRGLFDQLLCPTTGAATTFTNCIPTHPVYAHRSFQENMRAGGLCLCNLARNTLSSGEPAIAPGLGLPPSLEEPYEAEAPRGSALQREGRLASRALEMRPFGEAKCLEDGPDAAERRPRSGFPRAGGQETAISRHITTYRQHRRDPGR >Dexi5A01G0031470.1:cds pep primary_assembly:Fonio_CM05836:5A:34105013:34106765:-1 gene:Dexi5A01G0031470 transcript:Dexi5A01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSATAAAATAMPRNTAGGTSLPGPPPPTPSNHHHAGPSSAGAGGADAALSAFLHRLLLSSPAPQLRSPLAARSQASPSLPPLVSLEAPDQRALRDAADVGYFHLAGHGLPFDLPSSALAELSHIDASSRRASNLRTLGFSEEEEDEQEDADGGADDPAVVFDVCEGDMDALPAAAEYARRMRDVGLRAVALLSGCSDAGIREDPFAEGRRKPRCLVWASKVSAAGDSAPPAAGKAKAYPYVVGLHCQWEASGQDAAPASSWVVNDGGEWTAVGARDGAFLVTIGDISQVWSNGKLRKVRGMARPTSAPMDTQHGETDRLSLTVLITLPLDNIISPLVPLSDAAGEGDEDRYDEANGAGADGDGWRFHSFLLEDYAWRVFNQRLQFKDPLVRYRI >Dexi9A01G0021250.1:cds pep primary_assembly:Fonio_CM05836:9A:16193040:16193609:-1 gene:Dexi9A01G0021250 transcript:Dexi9A01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAMDQESERIPKKSDQENPLSHQNANLNSSGSRFARRRTELHSITAKSGGDAVGKVPETVEPTDSAKNRTKPSTSEEADSEPQIQRLTTANKTAQGRRKMGRRSGQTSNSCSLSPLLLGGGEEEGRRERSLRPGVLTGDKQAMQAVHHLCGKSPKKSCPAVNSSPAATVHRSTDIPMGHGREAKAL >Dexi9A01G0027560.1:cds pep primary_assembly:Fonio_CM05836:9A:32253607:32256170:-1 gene:Dexi9A01G0027560 transcript:Dexi9A01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVIKEVLSGSASHVVNPDFKSIRESILQHTLSSSQPDLLKNMGERSRLKEEITARRQKKLLIRHAREKHLEETSSREMELMQELDSRSRERYRERDGGRAQQEVGSLRSSSRGHEGGSAQASAPPGGPAVVLAGSRTFSGGNLPTILQPRERAATDDDYAWTEGSRDSGDASSIGDPEFDGPRSHVARGGGGKSSSRQLVERRERDGTAAGTGRREGKWERKQHS >Dexi9B01G0006970.1:cds pep primary_assembly:Fonio_CM05836:9B:4199688:4203545:1 gene:Dexi9B01G0006970 transcript:Dexi9B01G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPEKGHRAFAKPLKSFGSSEQHRRSKSYFDDIYASDASRYSDKTIVLPQPEALKAKVKSNINKDVQPGRGAQSTLRKEILQLEKHLKDQQVVRGALEKALGPDTGPVNLPLENPMPKVNHFDLFQAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDHRGASKPSVSSRSGQLQETPMAKSCKIRGDAAFRSSYPPPHKSNDPLTDCCTTVRPNRAVDSDVLRCQSALSYRGVSSSRILPSEDDSLARALRSCHSQPFSFLEFLPCFEQEGETGAAGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLIHHGSSSSPTSSFSSTSAISPQYVGDMWSPNYKRENTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNGLLMHAYLKNGVPQNNLKKTSLLVKAACKIAGRNINVAVIQSMILGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAHHHPLFRFGCTQVRVYTPKRLFHQLEAAKEEFIRATAGVWKEQKLLLPKLVEAYAKDVKLSPQGLVDMVQRYLPERMRMAVQRCQQGGRSSSKVVEWVPYNPAFRYLLTRDLAFPHLS >Dexi3A01G0011750.1:cds pep primary_assembly:Fonio_CM05836:3A:8488397:8489212:1 gene:Dexi3A01G0011750 transcript:Dexi3A01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVEFARSLCVNAPYGCAETPVYHRREEHLLACLHAPCHCPGEACGFTGLTAAMLDHIARTHHWPCTPVRVRSRRCPLSPPNILHLRTGFNFVVLTGDDYGDDNKYLFLLNVTRYPFCRAVSVIWICSRSEAVKEIRFELSCQFWSNGRLIGHNLKTEFRVAYSDLSDGLPSNGCHQLIVPITCDDWGDMEVNIEISIDQTPEQRRFDTEEDMEDDEPDDPWRRW >Dexi9A01G0003280.1:cds pep primary_assembly:Fonio_CM05836:9A:1739004:1739530:-1 gene:Dexi9A01G0003280 transcript:Dexi9A01G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLFSQANARSGVAVNDECMLKFGELQSKRLHRFIVYKMDKDYKEIVVDQVGDRTASYEDFTNSLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPSTAKVRSKMLYASSNQKFKSGLNGIQVELQATDASEISLDEIKDRAR >Dexi3A01G0027520.1:cds pep primary_assembly:Fonio_CM05836:3A:25241919:25242428:1 gene:Dexi3A01G0027520 transcript:Dexi3A01G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQNPDGPAAPASHPSPTAEPPEDLAPNPRIAPGGPPSPEMEATAEALTREEVVRRRRRRAARLAGVYRRLYWAMAEEVRARHRQYVWDLARSPLEAEQPPPPTGAPVPTVGEPPRAAPVPRRKKCGFTGCKVRAMATTRFCHSHILSDPKQLLYKPCAYITKRFVT >Dexi6B01G0012110.1:cds pep primary_assembly:Fonio_CM05836:6B:19665352:19669105:1 gene:Dexi6B01G0012110 transcript:Dexi6B01G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALQPRRRRQRLLLLLALLLHLHALSLGAVAAAPFRARDVLPLLPRRLAWQLMGATAHSAVDLLPSFVAAVAPGGGPASWRGACFAENEAVLSLTPGAVAASRNGTTAGGLGGNTTSSLGGAVLRLKVMAIMSMWTRLQPHYASNMWNEALNKRLGTEKLDLHGIITETERRGLSFNQLLTIPERDDWEYSDGKSTTCVAFILSMYKAAGVFAPFTDSIQVTEFTIRDAYMLKIFEDNQTRLPGWCNAAADRLPFCQILGEYKMDLPEYNTIEPYANMNENCPSAPPAYNRPARC >Dexi7A01G0013420.1:cds pep primary_assembly:Fonio_CM05836:7A:23516158:23518638:1 gene:Dexi7A01G0013420 transcript:Dexi7A01G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRELKFSSPACSPGSRPQPEAAMADRFFPNDMPGYVEEAASPAPAANLSSSSSLHTLLSLPYPALADRFLNAALNLNQKATDYTPPLPRLHTATWEKQRPAAGDFTLYTGALGTALLLFRGYLVTGDRADLATCAEIVAACDAASAPAGEEFVTFICGRAGVCALGAVVAKHAGDEATVARYLSSFKLVIGSRFLVNSLDELLYGKAGYLWACSFLNKHLGERTIHPTTTAVAVREIIKDGRKLSTKSCPLMYKWYGEKYWGAAHGLAGIMHVLLDMHLTENDKEYVKGTLRYMIQNRFPSGNYPCTEGDNYDCLVHWCHGAPGVSLTLAKASQVFPEEGFLEAAADAAEDC >Dexi9A01G0036720.1:cds pep primary_assembly:Fonio_CM05836:9A:41091330:41093418:1 gene:Dexi9A01G0036720 transcript:Dexi9A01G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEIASVTAARAQGPKLFRGKIILPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPVPVAESNDEVYNDPDVIVKRSHSLVGPSSLVCQSLPFSKLTLHETESSLELSECTSEEKQISQGSLSDDELDGMDKESGGVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGVLLFEMLTGKPPFIGGNRDKIQQKIVKEKIKLPTYLSSEVHSLLKGLLHKEAGRRLGSGPGGSDEIKNHKWFKSINWKRLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAANSNFIGFSYVRPAPFLQKPSPLG >Dexi6A01G0018020.1:cds pep primary_assembly:Fonio_CM05836:6A:25837404:25840252:1 gene:Dexi6A01G0018020 transcript:Dexi6A01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESSTAGAWADAGAPEGEMRPAESSAAGAGVGGGDAGGRGPDEEAWREELAAAWGQTNTERGALRSQYAAVRADIRELKDDPALYKFGATMDGIEKLHEKGLVLWVSGSRNGDVLSENNLDAWAVDLQRLGPMGLGIKERRCVVRKQSGRLGSRPAEPELASDQDERNDTDKNVVVMFGLLKNHKSVKLEHLILNRQSFAQTAENLFALSFLVKDGRAEIDVVDNGDYFVAPRNAPTAKLIGSREVINSQFVFRFDTQDWKIMKRMVKPGQELMPHRSNYCGGEYKNTQSCPSVSKLGSDSEHLKEDELAKEDPVEFTNDEAMKENVGNSCPGDATLKKRKRKHVARTLSFPDD >DexiUA01G0019240.1:cds pep primary_assembly:Fonio_CM05836:UA:40219599:40221262:-1 gene:DexiUA01G0019240 transcript:DexiUA01G0019240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWCPPPLPAEHISQLKSLGLL >Dexi3B01G0003850.1:cds pep primary_assembly:Fonio_CM05836:3B:2624880:2626127:1 gene:Dexi3B01G0003850 transcript:Dexi3B01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGSSSSPFSFGRSLLSLRRDPATMPSGEEADLEAFQRHVAATLGELLPGAEAGGDAAAAAAVTAGGEEFLSVAWIRRLLEAFVICQEEFRVVVAQARRRGALPAAAEKMVAEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLRGPGEIHEGQLRRARKALSDLSVLLVDDTTASGSGGVASFLASHRNRSFGRARASPSRSAVSSAAASASSSHFRSLSWSVSRTWSAARQLQAIGAGLAAPRAHEAGLAAPVYSMGCVLHLAAWALVAAVPCPDRATALQAHHLPAAPPRAAFPWAPPLLSLQERLAEEGKRKDRRHSCGLLKEIHSLEKSTQKLAEAIDAAPIPLFGDRETDVREAAAELAAVCEAMRDGLEPLERQVREVFHRIVRSRVDGLDSSMHNAD >Dexi5B01G0001210.1:cds pep primary_assembly:Fonio_CM05836:5B:772990:776056:1 gene:Dexi5B01G0001210 transcript:Dexi5B01G0001210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPPPASAAAEELAAVIASSTELPTLSKKQLRKSARKAERAAAAEQQKMHPPAPVAEDPFVANYGDVPVEETQSKAVTARSWTEVADLDAAAAAVGRSVLVRGFAQDICSLGSMAFVVVRQGRSSAQCVLAANAGAGVSKQMVAFAKSLTKESVVDVEVVVAFPKEPVVSTTQQVEIQVRKIYCINRAIATLPFNLEDAARSEAEYEKPKFREFLWSKDFDEIHTPKLLGGASEGGAAVFKLMYNGQSACLAQSPQLHKQMAIIGGCRRVFEVGPVFRAETSRTHRHLCEFTGLDVEMEIKEHYFEVCDVIDDLFVSIFKHLAEKCKEELETINRQYSFEPLKYLEQTLKLTYEEGIQMLKEAGTEMEPMGDLNTEAEKKLGRLVKEKYGTDFFILHRYPLAVRPFYSMPCYDNPAYSNSFDVFLRGEEIISGAQRIHVPGMLAKRAKECGIDASTMSAYIESFSLGAPPHGGFGAGLERVVMLLCALDNVRMVSMFPRDPHRLSP >Dexi6B01G0011670.1:cds pep primary_assembly:Fonio_CM05836:6B:18856698:18857516:1 gene:Dexi6B01G0011670 transcript:Dexi6B01G0011670.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAASLGEGVFSFKKDGIGVLHCAASAGHLEVCKYLVEELGGDVNMTAAKGLTPLMSAAQSGDVSTVKYLLDRGGDIMKADENGRTVLHHAACTGSTKVTEFLLSKGIPVDMDYGCGTALVQAASNDQDKTVKILLDHHANVCSPDA >Dexi8A01G0014040.1:cds pep primary_assembly:Fonio_CM05836:8A:24359908:24362657:1 gene:Dexi8A01G0014040 transcript:Dexi8A01G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIRCKMAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTGTCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >Dexi6A01G0019450.1:cds pep primary_assembly:Fonio_CM05836:6A:27079828:27080734:-1 gene:Dexi6A01G0019450 transcript:Dexi6A01G0019450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERINELLVSTEAGPGSGRDVLSDDLYGERGDPVHLGRESHQRRDERERESAGGERPLGGAAEEEPCLSENRLRLIARGGGERGREAHGAVERGGVIKAGKRREGQLGGNAGDKVGEGGRGAEGGLGRLGDGEREAVGARDEQGAGGGEGGEEGGVRAGELAAGGGLGHAERLLGAQRREAEAGGDGGDDGGGAGYLLAESEVLRTRVETRRSRPPESLKVAWWTNSDAPPSWMRPQEVQTSTPESGSEITAPSPHDRHVEPIAAAAGAPAAEGRRGRRGFDWKLTAICCEIYGAQI >Dexi6A01G0018330.1:cds pep primary_assembly:Fonio_CM05836:6A:26073265:26074007:-1 gene:Dexi6A01G0018330 transcript:Dexi6A01G0018330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGSSAAWTGGRPGVPFGKAKQSEWTGVRPRQGGRWAAEIRVPKTRGKLWIGTFETDRLAALAYDAAVFCFYGDSLPKTRKYNFPFAPRPEIPDTVRARLHVANIKAIAEHHARSVDALLLPPPPVYAAAPVAVVPPPMVPLVAMPPAMEPAGPFAGDPSAPVAAATEEYYNGVPTYMGDNDLFFAGGVDDSQFVPYGEGDADASGRAH >Dexi9B01G0035470.1:cds pep primary_assembly:Fonio_CM05836:9B:37104074:37105104:-1 gene:Dexi9B01G0035470 transcript:Dexi9B01G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKGKVDGDGPSRERTINWDDGQTKFMLDWCIDYMKNQHAGFKFKKQHHMKCADALNKEFGMGVTVAQVDRHFRHYKENWKYVSKALSNSGNGFDGTRCMVTISESEKENLNDRARRLLSKPIKFFYEMKELFAGTNADGSFAADQDTCMNDRDDSESDDSQGLNDMSGYALPEDITGDDSDTLPSPLSHKPGGESSSNTSRAGIKRPRGCKSPSKKPKKAKSRFSQTTEQLNSTLLSLQKFLNAPAPQVLQPSNPHASLWERLEAMTITTDDKITVGQYLAHNERKGLRDFLSSASDTTLRTWVYKFLTGENY >Dexi9B01G0030490.1:cds pep primary_assembly:Fonio_CM05836:9B:32980062:32981963:-1 gene:Dexi9B01G0030490 transcript:Dexi9B01G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAYEAQRRRQIEENKRRIEELGLRQLAAAAMPPQWNRNTKILIGMSAVERSYAIAKAKVMELECELGANYPTFVKTITQSSATSFCLVLMSGLVKRQSTPPQFFREHLPEHVKVITLVDEEDDEFEVQYYKTPRDHHHYMTRWKWFAINHKLDAGDCLVFQLIEQTKFMVYIMRACSYLEKMTIKTESF >Dexi5B01G0004850.1:cds pep primary_assembly:Fonio_CM05836:5B:3286008:3288251:-1 gene:Dexi5B01G0004850 transcript:Dexi5B01G0004850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSESADIYTHAATPNKRPTNQRTHRLRNRTEASRSGSRGRTGGGGRGRVVGVRPRRRAGESAARLDRQCGGPPSPRLRRGTLGERAEGGGGGGGGGLPTRAPGMPVFIGERVKLVGGDERHGKAREGEKRSQAQRSAGGQGKRGGRALNQFSRVYKSSTPLRRSPSLADEWGWGSARPFSLTDEWAHAVTTVAGAHETRDRDGTRTLESYAATQRLFPLVPVASDGFVSSISRAMHADAMVVSPQVTNQSVMCMVDEGDSEQASAHFRKQESFCARAHATTVTSRLQQASEATLAAGPRQQMEQPILATRTFSDLKIMSQRVESTDTYSDPGLPVLFVWLPAQWQANPQQ >Dexi3A01G0006430.1:cds pep primary_assembly:Fonio_CM05836:3A:4241558:4246034:1 gene:Dexi3A01G0006430 transcript:Dexi3A01G0006430.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIPLCMAEEAPPTPPATSLYVDGCPGCAIDRKKATNKGIPYKELFFVAVTTIASALPISSLFPFLYYMIEDLHVAKTEQDIGFYAGFLVSMKYWMAITTRLILGALNGMLAPIKAYSIEICRPEHHALGLSIETLHKHKNIDNEIEMSTESRTPQTEHGEKSLYKNWPLMSAIIAYCIFTLHDTAYSEIISLWTISDRRYGGLSFSSKEVGQLLAIAGAGLIVYQLLMYRPVYKFLGCVNSCRASSALSIPLIAAYPFMTHLSGYRLGLALYSAAIVKGALGPQSQRGAANGIAATAMSFFKAIGPAGAGAV >Dexi5B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:5B:1200131:1208788:1 gene:Dexi5B01G0001880 transcript:Dexi5B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGGGGGGRGDGGDIYNVQAAEILAKEALLLPINEAAPIYEKLLATFPTAAKYWKQYVEAYMATNNDDATKQIFSRCLLNCLHISLWRCYINFIRRINDKRGSEGLDETKKAFDFMLNYVGNDAASGPVWMEYITFLKSMPTMTPQEESHRMTTVRKVYQKAILVPTNQVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNVLAIPPTGSYKEEQQSMAWKRLLAFEKGNPQRIDVTTANRRVTFTYEQTAKTIYESLLGENPRVTSLGHIQFIRFLRRTEGMEAARKYFLDARKSASCTYHVYVAYATMAFCIDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESTEVWKRFVQFEQTYGDLSSMLKVEQRRKEALSRTSEDVLSASENTLHDVVSRYSYMDLWPCSSKELDYLARQEWLAKNNVKKADRSAMLNSGSMLDKGTVGISASARLLPQSAKVVRPEISQMVIYDPRQMKGPEFSTPASGYTKEIEDMVKMLSPPTTSFIKNLPAIEGPSPDIDVVLSVLMQSTLPVAQSAAKAGGVSDGKSGLNQNGSVHRPPRERRKDAGRHGAQEEEDAVMVQSQTAVPRDIFKLRQIQRSRGLGVGGAAGASQSGSSAFSFSGGGSAYSGDHSASTE >Dexi6B01G0003470.1:cds pep primary_assembly:Fonio_CM05836:6B:2858522:2868361:1 gene:Dexi6B01G0003470 transcript:Dexi6B01G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATASAGHVVISVVPTVQGDATGSEVQQSESISANMVMTKLTMLNRIVFCVALLEWAGNAVGTLAFLWATVVLLGGFSSLLSRMDFWFAMVMIFVEGSRVFIRNDASVNQWLFGSTSAFRWENLSSPRVLAQSKVGRLIAMITGISLKISFYMLFAVIAAVLVANLQIPVAFLQILLSIMRLRILLGNHHHDYRPLPPGASPNLVPSIVIFFMMELCQGSSYILAAIFGLISLFRRKSLVRDLKFEQEWGEEAVNLYCRQAYQARKEKGLLPSDNSTPSLTSLAIKPLDSTSSKTQIAGLRVLYNFLERLDPESKKELITDITDVCKKTVAVPNLVDMLGSTVPLDKDIIRLLAASVIAELTGRIKISEFPGMVKLISLVLDYKNRQNSMRPTDSLKISEFPRVEEMMYSLYILSAKNRQNSLPKCVPGNNEGNPNSIRHMIRTADESTLLQRMLFPLLGMSILQRLACDPDNCDEIVEDATYISTKTIGLISYVTNEEISGDPQKALVLRSSLNFVRWLAIDRGKIGSRFRQELSDNPLLLNSLGRILDGDYQPELWEPVVDIIAALALDEAARQDIGSTQSIIPKLMCTFLRQDDVNPIENNDHSLQRAAGEALANLTIKSTENCWAILLAEQGHNLIKKLIDMLDDENCICVAANLLHNLCANSRDKLTDIDLGASAQLEYALPKASLSDTTFKVLTEDTDAAALVEKLLDTLNSNREPCPEYPRIRRVLVETTRDGDLGSSNLQVGVELWIDAVRVAPAAVVPVVVHRRSCSLGLLLALPCLLDAGPASWCPGGVGSAKCAHDAYSTPGGAAARMAHQQRRPAGMGEEGTVRLGGSDSEWIVRGLAGPASVDVALLGLLLRMGYGPAYSSPTRPNEATPRHRRPQPRRSSPCLSSTSTHTCLHGSIRLHVQHAGSGQLEVLPGTHTGMEPQTMATTPATASDGGDVVLAVDGLTEHTNGAGGEARLRNDISEHEQRESSANANLVKRKLKTLNNIVFCVALLEWAGNAVGTLAFLWATVILLGGFSSLLSRTDFWIATVMIFVEGSRGNMMVINLRANGHLQSVLLPTVMQMVRTTEGKQLEAALCVASQIGYVIPEYFVQMLESDTNAAAAELVEKLVNTLKSIREPSPDYPRIRRLLVELVTSIVEKCPRYKEIFLQKGMNDALDMVKGTPSRLEKYRVFLGDEGVVAENLPMRDLIDKARRLINLETPTPDAQPVQP >Dexi9B01G0003720.1:cds pep primary_assembly:Fonio_CM05836:9B:2138912:2139733:-1 gene:Dexi9B01G0003720 transcript:Dexi9B01G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGKVALVTGGATGIGEAIVRLFRDHGAKVCIADIQDEAGQQLRDAIGDQDVMFVHCDVTVEDDVSRAVDAVAERFGALDVMVNNAGITGNKVTDIRSVDFAEVRKVFDINVHGVFLGMKHAARVMIPQKRGSIVSLASVASVIGGMGPHGYTASKHAVVGLTKSVAGELGRHGVRVNCVSPYAVPTALSMPHLPQGARADDALRDFLAFVGGEANLKGVDVMPKDVAEAVLFLASDEARYVSALNLMVDGGFTAVNHNLKAFED >Dexi8B01G0010120.1:cds pep primary_assembly:Fonio_CM05836:8B:18571216:18572145:-1 gene:Dexi8B01G0010120 transcript:Dexi8B01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFQHIDAATEASSADGVSRDEFRKARGRMVELLRDATAAGDDGEAAAEVLGVMLDEAMAGSLARLRTVPAGRIPALLAVAPGGVVGAVGALMKGHASERVRGLARDVMREWKLSVAAELARARTAMDVLNGISDVTRAKHGEAKIIPEKKKEKPLPKKTAVVGIGSRRICTAESYAPLCKKRAPTVSASNAMLPSASMKTPAAVPAQPPKKPTNTAVVSVAEEQRKMEATKRKLQERYQEAEDAKRRRTVQVIKPPRPETKAGQRQSTAHPAMRARGPAASGTAERRFMKPSSRPIRV >Dexi8B01G0011660.1:cds pep primary_assembly:Fonio_CM05836:8B:20773167:20784004:-1 gene:Dexi8B01G0011660 transcript:Dexi8B01G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRNSHGDNATSDCSSAQARSSLGTVEAAELYDLWLQISDQSFDAPPSSRVLQWWEDWQLRVLVLASLFVWCFLFAAARLRKYGIRAWFRLVIWLAYLGSDAVAIYSLAVLFNRNKQQEWVATHRSSFSLQPWDLKKVSISSLVGSSHSEEHGEINSLHPYIEAVARYFQVVRALETTMQQLEANIGSVFVAPPCSDEHAEITSHHVSEENGDGMMLDANEYDEAVRQVQKYSSAFFKPEIWEPYNLFVDHTPPYSDRFTSLIYFLRNPSKAHLLVQRGLSLTFDRLYTKKSLQLPGSTADPFKFGAGPEVFARFFTFEVLIYLVRLAAGGNLFLCLLRFYQSNKEAYNQIDVKITYALICPLAFIDFISPLALPFIRAIKRLDGSRPWPDKIAQYNLIGYFARNRKHWVLWKVATLLVFKDLLDQLWSMKSCKSSQDITELVSDHIRAGWQEIHGITSYRRFNDNRGQWTLDREGLLDKLGWSLRRPFDESLLLWHLATDFCFHDMDTLPTHQAALRSRVMSNYMAYLLYFNPEMLIAGARRSLFRDTYHKVKGISMDKGLLGEREVTRNIIRWVTDTEGSDVIHDAWAIAKELINVHVEDEDKVWRVVQGVWVEMLCFSASRCRGYLHAKSMGSGGQYLSYVWLLLLYMGMETLAEKVQREDLQEGSDNGGTAAASRSTSPAPTAYPTSTSPAQATDPRSSIIATRQTLTSTASETGHNMWCL >Dexi3B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:3B:6365967:6367211:1 gene:Dexi3B01G0009230 transcript:Dexi3B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRCCARRGKYLAADVDGLGVCLTSQRGVHNVVWAVHHAPGPDGGPCVLLRGAYGRYLIATTVQAGTGPTHGVLTTQDDLAHAPPPPGMLWQAIPRRSTFVMRSGTGRYLRANGRYLRWRRAVTSAGDNGSTMMQWDIENVPIRMSRPCILDPVCQLTHPRRRPLTESEVARQIRFVRGEINGDVNEGAWRTMRLNTHNLMQLRLTLACRLGASRDVTRTTLCIRAGRFGHLSPLLVDLPIGNNRIDIVILNHGTEADNDLRYPDLSVPSRE >Dexi5A01G0030730.1:cds pep primary_assembly:Fonio_CM05836:5A:33594140:33596829:1 gene:Dexi5A01G0030730 transcript:Dexi5A01G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLAVIAFLAASLLSARIPHAKCADLNSDKLALLAFAASLPHGRKLNWSSTTPVCTSWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVDLPPDVGSIPALHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGIPLEPCPGTAPSPSPVSPPSPSKTKKSLWKKIKTIVIIALAAVVGLLLLILILVLLLCIFKRKRHTEPATASSKGKAVASGRAENPKEDYSSGVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEVIGRVGQHQNVVPLRAYYYSKDEKLLVFDYAPSGSLAAVLHGNKSSGRAPLDWETRVKVSLDVARGIAHLHAEGGGKFIHGNIKASNVLLSQNQDGCVSEFGLAQLMTTPQAPPRLVGYRAPEVLETKKSTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNVEDEMVQMLQIAMACVAASPDQRPKMEEVIRRLTEIRNSYSSGTRTPLEDKPETAQVAP >Dexi5A01G0024480.1:cds pep primary_assembly:Fonio_CM05836:5A:28339853:28340598:1 gene:Dexi5A01G0024480 transcript:Dexi5A01G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYQGEYGLPYPRVDQYGNPVPPVDQYGNPVPREPSVSSGLGVGATADPIIYGAGDTAGVGYVAAPGDYSTAYPSGGVAPGETALAYEGMVGGGGGIVAATVVQPAMEEEHTFGSCQLQPAREEGHTTTLGEKLTRSGSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGTHKHEERKAATTTGTHAAGAHEKKGFMDKIKEKLPGHH >Dexi9B01G0045660.1:cds pep primary_assembly:Fonio_CM05836:9B:45084868:45085089:1 gene:Dexi9B01G0045660 transcript:Dexi9B01G0045660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAMMAKAVLLAIVLMQCCNAIVAARPLLGVAAPAAFTSSGGGGGWPEMILQVLKGGSSGPPPKGNPGGGQ >Dexi3A01G0004960.1:cds pep primary_assembly:Fonio_CM05836:3A:3215280:3215676:-1 gene:Dexi3A01G0004960 transcript:Dexi3A01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVSIHCAEVASHVRTRSSAGPAGDRPRSAAPRGRRRTHSGAAACPSGRPYGGDTAVADQINSKLADVLYLRLGGVFVFGIYTVVEYLYRSRIKEKE >Dexi7A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:7A:1682368:1682979:-1 gene:Dexi7A01G0000660 transcript:Dexi7A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTSAETTHPSAAESAATNGLEHSSVAISEAVCGKGFKRDANLRMHMRAHGEEYKSMAALSNPAGSGAGSTSERQCSYSCPADGCRWNKRHPRFQPLKSMVCAKNHYRRSHCPKMYSCRRCDGKQFAVLSDLRTHEKHCGELRWRCSCGTFFSRKDKLMGHVALFGNGGGHAPVIATTMPTERP >Dexi1A01G0006530.1:cds pep primary_assembly:Fonio_CM05836:1A:4956269:4958797:-1 gene:Dexi1A01G0006530 transcript:Dexi1A01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPVPLRPSAPHASPLPPPRAANPNTNTTTTTSAAAAANMGAAAWWRRALGQRFNPAGVAAVATVAASEPRLALPHVTVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPPLAAAFDQCRATFPPGALAIYSNSAGLKEYDPDGVDARAIETAIEGVHVIRHGKCIFTVLNNTKKPGGAAKEIESYFGCSASDLVMVGDRYFTDVVYGNRNGFLTVLTEPLSFTDESYIVKRVRKLEAYIVSYWYKKGHKPVKHPLLPDARRIVKFDPYDDSVTTTG >Dexi9B01G0005150.1:cds pep primary_assembly:Fonio_CM05836:9B:3030104:3031392:-1 gene:Dexi9B01G0005150 transcript:Dexi9B01G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHLGPSWAGPLPPLSARPHHRPPPPPLPFLSFRLLRASTTATTGGAVAESPGQPIGASSQEPAPSVDLSSSSRSCMISKLTAVQNRDDMDSLLGEMVRLKVPALEQSLNELVHSLRSKNQFDEALLVMQHATSAKLKLSLSACNGLLHGVV >Dexi4A01G0023990.1:cds pep primary_assembly:Fonio_CM05836:4A:26874379:26874741:1 gene:Dexi4A01G0023990 transcript:Dexi4A01G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEWRAGRRRRRLPLTLWLGEGEEVDELGWPQVLGFSHGVVIDVAAGNGVVRHMSSLFLFPSLSPRLRLILLGSKATRGGERSMGQVPGGGLVAGGNIFAAAVHRSAMDQRPGRPGRG >Dexi1B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:1B:4950180:4950773:-1 gene:Dexi1B01G0006020 transcript:Dexi1B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGGEYPMAMGHSPAAAAASPSSSTRPPPPAHSQWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLSGNLLAAGETTAGDVERQGGGAGSRSRRGDGGGKAAAAGEAGLAGDQWRDHVVVIMAGDQRPTFLATPASGRGGGVDADDVAVAVNVGGGEEGRCVECGARSWTAGDELMSRSEQQSGNSSSSVISER >Dexi7A01G0000530.1:cds pep primary_assembly:Fonio_CM05836:7A:1421844:1423751:1 gene:Dexi7A01G0000530 transcript:Dexi7A01G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVPLWLAYHLADTIGIYAIGVLSLSSAPREHRLMPFWAPFLLLHRGGPDSIAAYALHDNQLWMRHLQVFLVKVLAATYVLYKYLPRDDTFLVLASFLMWVVGIGKYAEKVVAMRSGNMSSIRRSLKNQPLATHHHFHHWDQGLLKKKTIDDEEAHLRRAHFMFHICKRATVDSWLEKDPEHNTLEMLKALRKEDSKGMWAFAEMELSLLYDILYTKAAVIHTWPGYFIRVGSSIAIGASFLLFHFSDKDDEKVDVGITYTLLAGAFLMEVASLLNAIGSSWAYAFLCTTRWSWLRYASLCTGRWDRLRQIAKNIKGSIGSNRSGRRWSGELGQYNMLHYCSRRERAYKPLLGRVAAMLGFEEWWNRKHYSEIVGISDGLKQRLFEYVHMLTETGLNSQGVIRKSWGQDALEREDKGLYERIKNGRNLGVEFQEGVIIWHIGTDIFLATRSRDTVDVADLVKDIRTLSNYMMFLLVDHPKMLPGLAQNMLYRRTCQNLSDRCKNHDHPTTGNVCKMLKEILRLRDGPSITEVNHINELATTIHKERPKYSHSIPRLCYANEVAQELLDREKGKGSIAVLRLLLDVWMDFLVYAANRCSRESHAKKLSSGSELTSVIWIMTDFLNQEAYARHKD >Dexi7A01G0001620.1:cds pep primary_assembly:Fonio_CM05836:7A:4326486:4333536:-1 gene:Dexi7A01G0001620 transcript:Dexi7A01G0001620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDQLNTTAGSLALLGSVVRRDAGVVARLRRAGAVVIGKANMDEWANFRSAIGTAIVVENFGIANLDVIQNATVSGELAVLAAEFKISLNAYLSDLSYSPVQSLAEIIAFNNAHPDEEMLQRFGQLIFLVSQNTTGIGSVEQAAIQKLDDLTTNGVEKVMKEHRLDAIVAPDSSFATVLAIAALPGIAVPAGYDEEGAPFGITFGGLKGYEPRLIEIAYGFEQATKVRKPPMFKQ >DexiUA01G0009670.1:cds pep primary_assembly:Fonio_CM05836:UA:19075477:19081799:1 gene:DexiUA01G0009670 transcript:DexiUA01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATGAMNTILPKLVDLVVGEYKLQKGVSKEIKELEKELESMNAALHHLAEMPADQLDELTKIWASDVDDCSGLDNNHLKDLGKLCLLRFLRLQGLTVTELPMSIGELESLETLDIRGTSLDFMAQQVPSSLQRFMSDGVFENEFPRWINSSLSCLTVLSIKLGASVLVLPEHLEKLAELPSLRFLRLLFNFCEKVQRLCLKFGVYQFFRNVNFGFNVNSEAFETINNFDYGLENLPSLRHVVCHRW >Dexi7A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:7A:18777604:18778797:-1 gene:Dexi7A01G0007440 transcript:Dexi7A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPHSAGIWSKEQVEAWKPVVDAVHAKGGIFFCQIWHGSASLLMPITLAAMTQTQMRWVSTWLRN >Dexi3B01G0018580.1:cds pep primary_assembly:Fonio_CM05836:3B:13811166:13815096:1 gene:Dexi3B01G0018580 transcript:Dexi3B01G0018580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLEASWVGVQRHGQDLAYRLAQGFSGLLLHAQPPQLPPWSPPQLLPPKISIPFEIDLPVVPFVGGVHRGGGGAIDLPAVAVSSLVEIGGRLGQAGYELGAAVVNGGGGGVIDLSTAAVSSLVEIGSRLGQAGYELGAAVQEFARQVPVPLPFRSEGALCGKWETARPHAAVAADDGEVALASRTVGHTNTTLERVADIGSLEVAAAAAAAATGSATAASAGGVGAGGADGLDDIEDGFGCEIGALENVKKAKSFMDVQFPNGQLTYVAGEGITASGFFPLFGGLLQAHGRCPGETRVSFSFKNKHGIRFTPMFQWPDNSLSFGVAQAVAWERSGLMVRPSIQVSVCSTFGGSDPGIRTEFVHSLKEEVNVMCGFSCSRHPSAFTALSVSAPALPILDDPNGMVRSAVRD >Dexi5A01G0006820.1:cds pep primary_assembly:Fonio_CM05836:5A:5090382:5092571:-1 gene:Dexi5A01G0006820 transcript:Dexi5A01G0006820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKREISSTLRNLKFMQRGAAAQKAVVEKAKVEVQEEVLAAPSGGFGSSAQVSRKCIVIMEGNPHPGAVKGRMSFQNFNPSIDKLNQEARGDCETESASPSNHHEDSANSSRGDEVPASRFRGFNIDNSESISLNELKRKQPELEMEAPPIHNPPKTNVDGRSSSQSNGRGSHKSNKREKLDFNHLRQKKQK >Dexi2A01G0027850.1:cds pep primary_assembly:Fonio_CM05836:2A:39171993:39173204:1 gene:Dexi2A01G0027850 transcript:Dexi2A01G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAICVLWARTAVRQASFFYSTSPEVGAGAAAAAASEAWDGRFRLHKPRGQHLLTNPRVLDAIARHAGISPGDAVLEVGPGTGNLTARLLASPASRVAAVEIDPRMVEAVTARAAALGLAGKLTVIAGDAVEVEFPEFDVCVANIPYGISSPLIAKLLFGAYRFRTATLLVQKEFARRLVATPGDGEYNRLAANVRLVADVRLLMDVSKRDFVPMPRVDSSLVEIRPRGIAPGVDLGEWLAFTRVCFGQKNKTLGAIFKQKRLVTELFSRSQRAEEHDGGAGCISLGALDDDCDEDGCGKGDGGSDKALGCSEEDVAAFKERIAGALDSTELASKRPSKLSNDELMRLLRLFNQRGVRFR >Dexi7A01G0015790.1:cds pep primary_assembly:Fonio_CM05836:7A:25670350:25671173:-1 gene:Dexi7A01G0015790 transcript:Dexi7A01G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASTLSSLLSTLRVDGPWTPPGTWELVTPESGAARVSGLGVRPRQEPIYELTSVTDDTLVRLALHALYGVKSSLDDIDELFVLFSSNPADRTSNRVANVEKGTAQVEKDTNVKSLMIKTLSSIDISV >Dexi6A01G0017760.1:cds pep primary_assembly:Fonio_CM05836:6A:25627732:25628280:-1 gene:Dexi6A01G0017760 transcript:Dexi6A01G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGRDTSVSMSHATPEKNGWDRTSSAPPAFPSLVLWSLSSSRSMRSVSSGILRRLSPGNSSSLCRTFRKVSLRFAPLNGVRPNAISWTSTPTAHQSTANPCPSPRTISGATYSSDFPHAPPPELSKLPGFRLTGDTLADLVFFAIPPAPPPSLPIRNLTRFWSFWRGDGAAVDQC >Dexi5B01G0026700.1:cds pep primary_assembly:Fonio_CM05836:5B:28396815:28401886:-1 gene:Dexi5B01G0026700 transcript:Dexi5B01G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGHLLPLLLLLPLAVSGADADAGGNATSAPLACSELSRVCTAFLAFPAAGAGAANATLLESMFDAAPGDLTADATASPGYAFVRKNCSCLPSRTYLANTTYTIPSSAAASGAAPNATAADVVAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVEGDTVESVSSRFGASMDAIEAANAMVGPDPITAGKVYYIPLNSVPGQAYAALSPPAPAPAPADNTLPETPDHHSTKFPYGWVIGGMGVALALIAIALLALVLWKFFGHNLQDPNNQGKSPDQHKFQLLKSGSFCYGSGRYLCCQFGNAKATRADGGDHHTSVPKGVAVDVFDREKPVVFSYEEILTSTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMMATKTKEFIVEMKVLCKVELIGYAASKDELFLVYEYSQNGSLKNHLHDPESKALDHQGYSSLSWIFRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLVVKSSDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRAEGGASSNSERRSLASVMLTALGKCPSSVYMGNLKDCIDRNLRDLYPHDCVYKMAMLAKQCVDEDPVLRPDMKQVVITLSQILLSSIEWEASQAGNSQVFSGLVAGR >Dexi9A01G0004650.1:cds pep primary_assembly:Fonio_CM05836:9A:2527714:2529512:-1 gene:Dexi9A01G0004650 transcript:Dexi9A01G0004650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRHPPPRPSAAAALHRRAQVVEELTRALSVGKELVGETMPLRHGRRVYRIDGLRPSAWYEVKISYPASIPSSFSIRLVDGPDDADWSSTNRRLLNTEKIIFKAEGRSQVYVLVTVEPEGVVAKPNVRERELALFNIVCDELALGIPLFAWWIGIAAIISIVLASLAPLVLPLDKVLNFEGSDLSKADAAKMS >Dexi9A01G0042730.1:cds pep primary_assembly:Fonio_CM05836:9A:46263776:46264301:1 gene:Dexi9A01G0042730 transcript:Dexi9A01G0042730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRLKLDLGGVDSVSLPSFGDGILLFDGGASLAGEADGDGALDRLGAVQPPDGAPRVLEGHVFLGTALLDLHLHNVTVAGRELDEQREVVGVAALLDVEGDLGVARVALDATELHVDDACLAQLLLVALLGHGAEGCAGEVEVVGGDGLFMSVMYTTTGSPEHSAGLSHLIS >Dexi9B01G0039950.1:cds pep primary_assembly:Fonio_CM05836:9B:40624162:40629812:-1 gene:Dexi9B01G0039950 transcript:Dexi9B01G0039950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNKSIDDDEPSWPHVVYIPNVAASPPFAFRYRVEIFGDECVLYSSESRALLVVDHVVVAGFVHCGSQPRHNMNETRSPDVIMRAWLKVAPGARTDSSAKERPPAGLGKALHASCTDAPQQQQRLHRAARRARPSARHPCWLTRRPHPHPWCLHLTAIVVGPNITPELRAPLRREGSEEAGRHKPSRKARPAAGAANTSTRPTYYLLFPRTAGVKQVHLAASFYYDVYLRAILYHWRACALRAMPLTIFAVLRCVYSFVYVRLVRLASSSAAPRAPVVSRTCQDWFVARSLLVNGLVELSSSTRDEANEEELWSVDLPSITTCTTSSTPVWKKGRENIAVNVRGLTPILLDPSLPSSQSDSVPSEARENHVKKKVEEGEVQHHPEAQLQNQAHLLCNVLTTEPWIHCLKYCSSVPVLEHPIQQPNLVMLQGSPSTWER >Dexi6A01G0006920.1:cds pep primary_assembly:Fonio_CM05836:6A:6734295:6734582:-1 gene:Dexi6A01G0006920 transcript:Dexi6A01G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNEEEKTKKPWVGRRFLGVAAAAGAVAVAVGAFFVLSRLGDSAEEDQTADDPPAPTLKGPGAGGKMINRNNFERGPGGVLQDQSPEGTQRRR >Dexi5B01G0026020.1:cds pep primary_assembly:Fonio_CM05836:5B:27884787:27888979:-1 gene:Dexi5B01G0026020 transcript:Dexi5B01G0026020.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDAVDMFFQDNWDDLVHDTLLHEETPRNKGHGASTCLSNSKKIEIQNSKVGAIIGVGGEIIKNLRRESGANIYVTKDSEVVPGALTRPVVLSGTPKQIREAEKLVTETLARARVRSDNSTTCKKSSSPRQEFIMKIPNKKGYDDASNSRNHHLTSSGPDKHDVQSADSMGYGNASSSQNNNLKCPGPDRVKMKSSDNMATASSRHVATMHPTVRSLAMAPVQLSHGDAPLK >Dexi6A01G0003160.1:cds pep primary_assembly:Fonio_CM05836:6A:2849153:2849873:-1 gene:Dexi6A01G0003160 transcript:Dexi6A01G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAHKKEDEVRGIILEIISSSNLTQKLELVDTLQRIGVDYHYKKEISDLLCSIYNDKDGGSNDLYITSLRFYLLRKHGYTVPADVFEKFRDGQCIISSDDVSCLLMLYDSAHMRIHGEEILDDIITFNKSRLQYMITKKLEPELAEEVRCTLETPRLRRVKRVEARRYISVYAKKAVKNETLLDFAKLDCNILQDIYCDELKELTM >Dexi9B01G0042200.1:cds pep primary_assembly:Fonio_CM05836:9B:42477398:42481275:1 gene:Dexi9B01G0042200 transcript:Dexi9B01G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPPPQQAIYQAPPQYPVPGSLPPPPPRPPSFAPENALPPSGPPPPPPPPPSSPPPPPMLPAPHTAVVAQSWNAEAEGKEGSDSSHDFKTLKAATQLIVSDDSDMDMDGDEDSPTRQPLTPDNSSLVTAECTGDVNVSKSASDVSNLDKDLPPGSAKTARVTVDGGSPFRLIQGYASDDSANEVGAGPGSASTLVILPEDNKHSHPNDRNTEIGYQKHANAKGNVNAPPGTEQNGEAGKYHLDDDSNPVKDVTDVLGHLAKEDLSETEFDGGQRSKRKRTRSKWPHGRSCSPSLSSSPGKQSKPQFDKQVHHAGDGNDSGDKVAQQEGLVLMKKLNSSNALIDKAGDNAAPDGSLGQHCHGDNLTSEPSQPVAASANVSDPHKAQRPCLPSESQSDLNVPSSSGDQISMGQSTACAPFASVQTTKNSMASDHLQPHPQSLSSSNMIRLPGQPSFAASEFPQTQFQHNVVAPANEFTQNQMRSYPPPDPSLPRPLDFAHHTLPPAVPSHQQPSAIPVGSAPVPPPDRWTEYSGGVGLSYSSHQPPYGQHQPPGNLESGANLVYPSFQRFSSNIPGSSDLGPLSDVGLPKSSIKPHYNPFASTFEQSDPSLDIGPVVSPTAVGSISTKAEHMNTLSSFGSFPGSGAHAHESSGEAIHNKQNPFRQEFSSGAPYDPLVDSIEPSSSSINKVDPRKETKRSAAHSHDVSRLMNIEVDSESMHGLGVVAESEVEGLGELAGETEAGMVENASPEFLGAKDWNSDIPGDFDNDTLDKNKKGKDSRSMKLFKIAIADFVKEILKPSWKQGNMSKEAFKTIVRKTVDKGYVDKYVKP >Dexi1B01G0007480.1:cds pep primary_assembly:Fonio_CM05836:1B:6204171:6206412:1 gene:Dexi1B01G0007480 transcript:Dexi1B01G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKRGTGDGANGASAGGKRARDNIVISCSTSGCPFGEGCHFLHCFPGGYQAVSKMTNLGGPAVAPPPGRMMMGSPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGEREIGKPMLMDSSMHSPMGPRPTGYFAPPPMASPGMATPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGEKLAIRDHESDTSLKNIELEGTFDQIKNASAMVRELIVNISGSAPPLAKNPARGPHHGGTGSNFKTKMCEKFAKGSCTFGDRCHFAHGENELRKPAAAGDPCALLRM >Dexi2A01G0025660.1:cds pep primary_assembly:Fonio_CM05836:2A:37285537:37286091:1 gene:Dexi2A01G0025660 transcript:Dexi2A01G0025660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMTRLDVIVAAPPRSLASTSRSIRPERSLMDLDFSAGAPSASSPSPCSTGGEERGVAAGGEEEQTLRLAPPSHRSRSSIPRREHRRSTSSASRRRRIAAEARILATTSSAYTGSRSGGFMARWPRTTAMGREQGGSRRERRRQSSARHRRRPGERKRRDGDGESEKPPGLEKYLGVVGKKRK >Dexi5B01G0031600.1:cds pep primary_assembly:Fonio_CM05836:5B:32282868:32284286:1 gene:Dexi5B01G0031600 transcript:Dexi5B01G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPHPGREGDRGATITSSGCKRCRLAPKEVERPWDSLAEDLLELIGWLVLAGDLLDYVRFRAVCSHWNKSTLRPQGRGLVDPRFHPRRWMMFPEGHGLYPGHPKLGGYVRFFSLSTGTFVRVHLPLFDDHVVLESVDGLLLLYRNHDTAIRLLHPFTGDIAELPPLMSVLPQLERYRYMSEDRKLRELRFYLRGVCAAVTE >Dexi2A01G0017640.1:cds pep primary_assembly:Fonio_CM05836:2A:29531822:29532664:-1 gene:Dexi2A01G0017640 transcript:Dexi2A01G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Transcriptional repressor, Pathogen defens [Source: Projected from Oryza sativa (Os09g0417800)] MSLNVQAEESLEQKLRRVSEENRRLSSALDAILVDRPHLRAVATSPTPSCRGNAAAAPIEVATAAILTAEPRPKPLDAEANHLKDGYQWRKYGQKVTRDNPYPRAYFRCAYAPSCPVKKKVQRSAEDKLMLVATYEGEHNHEQRAQSKYVSDESTTHQQLQAGSLPCSISINSLARTITLTGVADQRPGSNAEAMAAEVMTPEFRKVLVDELANLLKNDSEFMESLAAKVMERIPRQIF >Dexi2B01G0025670.1:cds pep primary_assembly:Fonio_CM05836:2B:34902759:34903639:1 gene:Dexi2B01G0025670 transcript:Dexi2B01G0025670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLASLLLGGFALLATVADAGKPHVSHGKFKAGPWTVGHATFYGGRDGSGTTDGGACGYKDALAKDYGALTAAVSPALYDSGAGCGACYEVKAEGDSAINNKSVVVTATNQAPPPVSGQKGEHFDLTMPAFLQIAEEKAGIVPISYRRVACVRQGGIRYTITGNKNYNMVMVTNVGGEGDVVALMVKGNKRVKWTPMKRSWGQLWTTEVDLTGESLTFRVMNGDHRKATSWHVMPRDWQFGKTYQATKNF >Dexi3A01G0012660.1:cds pep primary_assembly:Fonio_CM05836:3A:9199615:9211500:-1 gene:Dexi3A01G0012660 transcript:Dexi3A01G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGAYNLAMQTHQQTNKSVELTRNLRENQLGGVIFGCKYDTIDECFKKQLFAVSSKKNLAVSSPPTKVKLPGVPEPKKVTNSKETNPFSVLSSRAAPFIWADDVDSASNTDEKTSEELVSDCDDLDDNLQDQCVPHSNPDELSQNSQDKTVEQGVELVECNHPDANPMNGERVTIDESMLLNSVSGHNGVVDNSPGGVGLQPERETILEKLKELSSLRQQLAMSSQGCADSSPDQCVPDETQINANLSCDPFDATMEYKTSSDECPGNAELLQIITDLTKRTEALEKKLIGSDQEILSLREVAKDSGRKVQQLEYLVDELQFKFDSSLSHLGSMCNTLAKPSIFLIGGYNGVTWLSSLDSFCPEKDTLVGLTPMSSPRSYASAAALDGHIFAFGGGDGMSWYNTDCPSLNRKKGSLSGICHNEKIYAIGGGDGNETYSEVEMFDPYLGKWICSPSMLLSRFALAATELNGVIYATGGYDGSMYLKSAERYDPREGFWVRLPSMSTGRGCHALTVLGDAIYAMGGYDGDKMVSSTEIYDPRLDAWRMGDGMITPRGYAAAVNLNDSLFLIGGMQSNVQILDTVEVYNASSVPITLKIVIDRLSKTGRSAGLPSIHYSYVKNVKPGMPLFLFNYTDRRLHGLFEAASPGQMSIDPYAWNNEDSLNTPFPAQVRVCTKIKCPPMLESRYKTVLSKNYYDRHLFYFELDHAQTKALVSLFKSLAPANINRVPAVSNKQSIVLSLPPSKMKTPALPDPKKVKAKSKDANPFSILSSANDGVLDNCVDSDAENGSVSENSRSDTDEKESGEPVSDWEDLDDNVLQNQFSPYSNPDEFSQNSSYKTVCQGMELAEWSHAVIDPVNGETHTFDADTLVNLHNEHTDAGTVDKIESDVHNNPDGVELQPERQTILKKLKELFSIRQQVALSNHDAVESCSDQCVPEGKPVNANFSCDPLVSTVEDKISPEERHRDYAELRQIIADLDKRAAALEKKQRFALAATELNGIIYAAGGYDGSTYSGSAERYDQREGVWVRLPSMNTRRGCHNLTVLGESLYAIGGYNGDKMVSSVEIYDPRLNAWRMGDPMSSPRGYAAAVNLDGSVYLIGGLQSNVLILDTVEVYNPSSGWSVLGFSSLGKRSFSSAVVM >Dexi7B01G0014440.1:cds pep primary_assembly:Fonio_CM05836:7B:20673187:20674117:-1 gene:Dexi7B01G0014440 transcript:Dexi7B01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNWGKTDVMASKLLPASSGGPLHRPRLERRRSWRCYATVIPAADKARHRPLLLPCCRSDPLLSSSPPHSALSLATINFALLTVDVHAGVRTLAPGTAFAAAELLRHGSRTAAALLRPAPAELGCALGALGFTDARRELAAVCAMRAPAMAGRSALGGVVSRGVARVALVSSVAAVGSPEYGTPPELRRRAAGELGLGFSEQA >Dexi1B01G0030850.1:cds pep primary_assembly:Fonio_CM05836:1B:34661798:34667854:1 gene:Dexi1B01G0030850 transcript:Dexi1B01G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQSGTDQTSPRGNDWEVVQLTASAYAAAPPPKRPEPSEEVEAKKYGTKGDDDSAATLLMSGHFSVSQSEVESLLIGADSKEPRKELCSQDALSNEGDDEKYQESCKHKLDADLPSIPSLDKGKNVSLGDMEFDDGKALQGMSLIGEESVGFSSPIYSSIDAQKDLSRSAMESRNEKKTEEPTLHIVNPTTSSSNAVLSGEQNKPDGSGPRDAWWKKQLLSLYKNAKESNNFWPIVAAAAALVGLAYFGRRWHKGKLQLQQVKLPPSINKEKINDAVGPLNRIKDILVAGNHPSPESCALALVVAATIMQSGADMRPVHNSVDTVNAAAVAIVTAESRTQPPAEPRRKWADRLSVYFCFGSQKNGRRINHSALVPEPTPQRTDAPAAEIPDHPPPPVFPFVAPPSSPASFLQSEPTSIAQSPRIGAPPFSPLSPNSPSPAGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNGETGDLQSYPNYPDSPITHFISPSSGCSGTSSPFPDPEMLASSRYAFPSFPVRETPKILDGESVATQKLIPRHMRNGGSLLDGHITAAVPVADFSARLQPNDHAMDHRVSFELTVEDVARCLEKKTAISGDSATASFHLAPPTSSVDHKRESNDARAGLYVDETYHDLPEKARRSLSLRLAKEFNFNNVDATNVEPNVGSDWWANEKVAGITAEPEKGWSFHPVAQPGVS >Dexi3A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:3A:6127708:6128768:1 gene:Dexi3A01G0008820 transcript:Dexi3A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFEEVKRLMEFYKDEVTSITITGHSLGAALATLNAVDIASNRLNAPSNSQPPCPVTAIVFACPHVGDRLFKAAVDSIPDLKALHVKNAGDIVPMVPPLGYVDVATAVLPIDTGRSPYLRQPGTAKTLHILECYLHGVTGEQGGAGGSFELVVDRDVALVNKDVDALKDEYPVPANWWVAKNKWMVKGANGHWKLEDFEEI >Dexi3A01G0015080.1:cds pep primary_assembly:Fonio_CM05836:3A:11156937:11158940:1 gene:Dexi3A01G0015080 transcript:Dexi3A01G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKAAPPLCLLAAALLLLLLPSSDGAQAEETCSGAAAAPPRRGAWMSVASFGGRGDGQTLNTAAFARAVARIERRRGARGGSLLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGNGLQDVFITGENGTIDGQGSVWWDMWKRRTLPFTRPHLLELMYSTGVIVSNVVFQDSPFWNIHPVYCSFSIETGLQGLLRGSDSSNNVCIEDSYISAGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGSFAGFAIGSETSGGVENVLVEHLNFFNMGVGIHIKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDTSYNPNVLPIVDSVTIKNVWGQNIRQAGLIRGIKNSVFSHICLSNVKLYGRASIGPWKCQAVSGGALDVQPSACAELASTSETGFCTT >Dexi3B01G0023010.1:cds pep primary_assembly:Fonio_CM05836:3B:17754317:17755905:1 gene:Dexi3B01G0023010 transcript:Dexi3B01G0023010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVAAVAPSPCARSSSSSPSTSSSRPMPAFLGGGGCAGLARSRSPANWGAGAMARRPRPARTVARCALSASLDGMGGGGDAEFLKKIEELAAAAGVQPAGCGWTASVERSASSVGVPLSLRMLKRKKQQQVAAAAARQSPRWDEGLSLGSAGESVGRAFSSMVLIVRELQSFALRQMRDALLCDDVLARVQGEMHASFVWLFQHIFAGTPTLMVSLMLLLANFTVHSMGHSVAAAAAAYPPAPPTAVAAVIDTTHRAEPSRGPRFDAASVKTFSVGRTASVGGNSGGGGKAPPVAGATGDGRSDESLYRLSRVAPQQPSTPAGAAAPDAVDEDEQAIWEMMVTEASRMQARARAEELSDPDVLGSLVAPVEAELETEDHAEHVRTQQRYEQAVADEPGNSLILANFAQFLYLVQNDHDRAEHYFERAVRAEPSDAEALSRYATFLWKARDDLAGAEDAYQEAIAADPGNAHHAAAYANFLWNTGGEDTCYPLD >Dexi3B01G0033400.1:cds pep primary_assembly:Fonio_CM05836:3B:36074718:36076408:1 gene:Dexi3B01G0033400 transcript:Dexi3B01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAMLASPAPGEGRRQLFSAEDIKPFYIKHGPRIFLQKWCSLAVALATVWGPMYDGEYLRDVVPGKLGNTRVRNTLTNVIIPTFDVKLLQPAKMTPSKNALLSNVCIGSSAAPTYLPAHYFWSKNANIADRDYHLSDGGVAVNNPTMVAMTMITEEIILSAEEEKKATNNNDVLKALKEEHGRFLKGMAPIIDIFMAASSDLVDIHVAVKFKLFGSEKNYLRIQDSKLCGATAAVDSATLENMMKLVEMGERMLKQQVSRVNVDTGEYEHVMGDYRTNAEVLDELAEELYKEREAGRKTMKDDGPVRRVLARLRTTAGRRSLVDSSLNQY >Dexi5B01G0012710.1:cds pep primary_assembly:Fonio_CM05836:5B:9036781:9038538:-1 gene:Dexi5B01G0012710 transcript:Dexi5B01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATRLPAAPAPRPLLLLPLLLLPLVSAPFAIGAAAMAGNVLGGVKENPAAANSAESDGLGRFAVEEHNKRQASLYRRAPLPPFWNALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGKKKVYEAKVWVKPWLDFKELQDFVHKGDATTFTNADLGAKKGGHEPGWREVPIEDSVVVEDFAKFDILMKLKRGSKEEKIKAEVHKNLEGAFVLNHHQPAEHDESTSTQ >Dexi9B01G0030090.1:cds pep primary_assembly:Fonio_CM05836:9B:32585066:32587278:-1 gene:Dexi9B01G0030090 transcript:Dexi9B01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGAAHRAAAAAAGYHPHPAAAGAVRHHHFSPSAAAAYGFQYWPPEHSPPVPKAAAQQDFAFVDPAAGEGHYAMQQQQNLDDHHHHYDAAAGGYYYTAAAGGGGEDAFHINGGGGGGMPARAAARRASGMSTRRPCHYFVKGVCRNGQNCNYPHHHQAYSSSDGFSDDGSHHNGGGGTPGGLEKLEMEITELLNSRHGQPLSIASLPTLYGERYGKGLQAEGYLTESQRHGKAGYSLTKLLSRLNKIRVIERPHGQHSVVLAEDAARYTEFRGERGGGSDVGSVPANSHQIYLTFPAESTFVEEDVATYFGQYGPVRDVRIPCQERRMFGFVSFQNPETVSTILMRRNPHFICGSRVLVKPYREKSKCIERYPRTYVDKMKPMHYYPTRFFEIDPDFYPEEYEASSRIVRKQLAEKRERLIELERKRFAGVRLEPLPHQFAYFDCSIEDVNPLNNCLPAGYTKDVELMDRPLTVPDSLEVVSTSAPQTQASNNYDDKESDQIELPESPFASAAPAGNSISTSTII >Dexi5A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:5A:13134711:13135103:-1 gene:Dexi5A01G0015490 transcript:Dexi5A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWRCLRRTCMRLGRRPPPAPRLPPRRSPAPTPPAAEEAARCCRPADCSHRAMTASPESPAAAAEAAAAAEAPPPPRWERFWGAKLASGTAAARALDPGGKLGAGGGGIWRPRRRPDLAEEAGRQCRSG >Dexi4A01G0022400.1:cds pep primary_assembly:Fonio_CM05836:4A:25681474:25685368:1 gene:Dexi4A01G0022400 transcript:Dexi4A01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSLAALSSSRAARPGPLRPVAWTPRAVSAARAAPAPDHARRLVAEFDPAVPLEAAVTPPSGWYTDAEFLQLEFDRVFLLGWQAVGHIWQVKNPNDFFTGRLGNVEFVICRDASRNLHAFHNVCRHHASLLACESGQKTCFQCPYHGWTYGLDGSLLKATRISGIKKFNKNDFGLVPIKVATWGPFVLARFDDESTEGDVSDVVGDEWLGSASELLSTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETFVLSVNLISFCRNFLDKILFRYGPWMDTNLAVPLGSTKCKVVFDYFLDKSLLDDQNFIERSLKDSEQVQMEDIALCEGVQRGLESPAYSIGRYAPSVEMAMHHFHSLLHTNLSG >Dexi6A01G0008820.1:cds pep primary_assembly:Fonio_CM05836:6A:9567078:9568010:-1 gene:Dexi6A01G0008820 transcript:Dexi6A01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPLLLLASLAAMAAAVSADSWLYEQFNTDGPVRTDYDASGQQVAMLNLDRTSGAGFNSKEQYLFGEFTIQMKLIPGNSAGTVSCFYLTSGDDDGHDEIDMEFMGNETGQPVVLNTNVWASGDGKKEHQFNLWFDPSADYHTYTIIWNPTNIIFKVDGNVIRCFKRYADLAYPSSKPMTLHATLWDGSYWATEKGKIPIDWSGAPFVVSYKSYSADACVSGGSCPAGSDRWMNKQPDDAEWGTVKWAESNYMSYDYCKDGWRFPQGLPAECSRS >Dexi9A01G0007090.1:cds pep primary_assembly:Fonio_CM05836:9A:4071956:4073881:-1 gene:Dexi9A01G0007090 transcript:Dexi9A01G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVILLCFTFTLARSTGDVTDFVFNGFAGANLTVDDSATVTPDGVLVLTNGTYLMKGHGVYPSPLHFHSPPPSAVGGTVLSFSTTFVFVILSEYADFSSYGIAFFVAPTNDFTATLPSQYMGLFNTTDVGNATNHIFAVELDTVLDVEFGDIDSNHVGINIDGLRSLTAAPAAYYDDDAGSGGELRNMTLISGEAMQVWVDYDGTTTELNVTLAPLRVPKPKRPLLSHAVDLSNVITATSYVGFASSLGSMSSRHCILGWSLSLNNGSAPSLDYSKLPNPPVAANGNGWSKTVVEAVVPIAIVVAIAVVTGAFVFALRRVKYAELREEWEDEFGPRRFSYKDLYHATDGFADTHLLGVGGFGKRFRIIKDVALGLYYLHNSCEQVIVHRDVKASNVLLDDKMSGHLGEFGLARLHDHDANPRTTRLVGTIGYLTPELARTSKATPLTDVFAFGVFILEVTCGRRPIEEDEDEGGECVLLVDWVLRRWSEGRLGECVDVRLQGEYDAGEASLVLKLGMMCAHAAPGKRPTMAQCTDNSSVII >Dexi9B01G0029090.1:cds pep primary_assembly:Fonio_CM05836:9B:31657126:31658928:-1 gene:Dexi9B01G0029090 transcript:Dexi9B01G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGPSSWSRVVVYPSLLLNVLLLAHLLVPMSWLSAARDGGGSSHQLSWALQAAMEAEAAATTDCSGHGRVYLDSVAGEDGRPGCECNGCFHGPDCSRRTLNCTADAESADQMFMEPYWMRHAAESAVVVSGWHRLSYFATDGEYQSVELERHIRRLHRAVGNAVADDKHIVFGTGSMKLINALVHALSPDDGTISSPGMVVATAPYYAPYRTQTMMFDGREYKWEGTTEAWAANASSSHPSNSSTFIEFVTSPNNPDFLLRKPVLGGRVIADHAYYWPHFTPIPSPADEDVMLFSASKLSGHAGSRFGWALVRDEKVALRAKEYIEESSLGESRDTQLRMLSIIKVILANLHGKEDMFAFAHEEMSTRWTRFNTVVSGSRRISVQKISPQFCTYLNRTREPTPAFAWVKCERKQDQDCYDALLKANIISWSGVDGEASARYTRVSLVKARDDFDVLLERLTDFVNDEKKQSAPTSSIRLPSDQLIQQ >Dexi6A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:6A:16273826:16278804:1 gene:Dexi6A01G0011430 transcript:Dexi6A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLIVHYGLHRLLEIFRPYPAGEADIRRFHSDEYVSFLASATGNPGMLDQRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGEADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKNYALNVPLSDGIDDATFRDLFQCIMKKVMEVYQPEVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNNLPYNEYFEYFGPDYTLHIQPKSVENQNTPKDLENIKNMILENLSRIEHVPNAQFHGRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPGSMKVGSNNLTANSQMKVCPYVNLHTISWLPELLGDKWCRNPWPFSVDVLKGSWLN >Dexi7B01G0012490.1:cds pep primary_assembly:Fonio_CM05836:7B:19260624:19260968:-1 gene:Dexi7B01G0012490 transcript:Dexi7B01G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGTGAPGDTPSHVRQCICSPTTHPGSFRCRLHRGVGVARSASYQQFGAPRSRSLCSDHHIRRVASQLQLTHPTSAGGGMSSSTSEHQSERRRGKDFLTKE >Dexi6B01G0018030.1:cds pep primary_assembly:Fonio_CM05836:6B:25137244:25140893:1 gene:Dexi6B01G0018030 transcript:Dexi6B01G0018030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRWVAAAQAAAQSSARRPAKQWQHGGNSQACEHQHTERKLAHALARTETAGARLATCSHRHGGHDSEQQRRRKRFEGSPEVEDFMEKVLTEGIEQRRPDVDEFRRRPRRKGETTVKRGKGLPALASHGIGAWHGHNRLAGGNRPAPRNPHLQSKCSSVLSPQLPSRSLCNIKIESAPSLGPHHCCCRRLQFLAHHSPAPPCASTRPHVAIDDVDDVRRQVDDSPLIQKNTHCGEAEGELAAEVVVGDGGVPCLGHALGPRHRLDGETEDDLPHHADRHCLLQGLFAGERSSRVGWQRISQEQRRGRLGIVFLRASSADLTAGKPPLRGVPASAPLSLAAAAIPASQEADVAVWRDGASPLAPAAATVIGLLSSFDVVAFLASHPAGTAAALSTPAGDVVAHEPSLVREVEPHTR >Dexi8A01G0016280.1:cds pep primary_assembly:Fonio_CM05836:8A:27564033:27565606:1 gene:Dexi8A01G0016280 transcript:Dexi8A01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTVVLIVGAGPAGLATAACLSRLSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPKDAPTCIPKDQFVKYIDNYIECFNIRPKYHTAIESCSYDEGRKCWFSVARDLETSVVIRYTARFLVVASGENSAENIPAIPGLCGFAGDVIHSSRYKSGATYSGKNVLVVGCGNSGMEISYDLASHGANTSIFVQSPVHVVTKEIIRLGMTLVQKIPVSVVDDLVVRMSNFVFGDMSGHGIVRPKVGPLLLKAETGRSAVIDVGAVGLIKNGTIQVFGNISKIKGNIVEFEGGKKRTFDAILFATGYKSTANTWLKNGESMLNNDGLPKKQFPNHWKGANGLYCAGLAKRGLAGIAIDAKNIANDILSSYHA >Dexi8B01G0009980.1:cds pep primary_assembly:Fonio_CM05836:8B:18302236:18305348:1 gene:Dexi8B01G0009980 transcript:Dexi8B01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADSQQRLIAGAALLLATVAFVKLLLASRTRGKRLPPTIPGAPVVGGLIKFMRGPIPMIREQYARLGSVFTVPILSRRITFLIGPEVSGHFFKGNEAEMSQQEVYRFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHKRRDRARARLAEIFATIIKSRKASGQAEEDMLQCFIDSKYKNGRSTTEGEVTGLLIAALFAGQHTSSITSTWTGAYLLRFKQYFAEAVEEQKEVMKRHGNKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHSDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVVDN >Dexi8B01G0016370.1:cds pep primary_assembly:Fonio_CM05836:8B:27561408:27562136:1 gene:Dexi8B01G0016370 transcript:Dexi8B01G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSKKKTLVVVQALMMATMSVAMLATAAGQPSPGTSTSTTTNLTLHNLCPYPVWPLVTANAGIPSIPTDADGDPVGRLAGHGEGLATLAFPRGTWSGRVVARTGCSTGDDDDDVVGRCATGDAPPVTVAQVSVGGPGGHAAYSVSLVDGFNVPVVVTPHGFPEGPRRCPSLGCAVDLAVDCPVGARAPGGGCGAGASEAAWFKARCPDTRTNATDVEVTPQDCVMPGEIKVVFCPAHL >Dexi5A01G0032950.1:cds pep primary_assembly:Fonio_CM05836:5A:35381312:35384558:1 gene:Dexi5A01G0032950 transcript:Dexi5A01G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASVSPAATPSPVAASTPRSVKRRLTPGLGGESLDSSRHTSPHRSPHAGAGTVFTPKMLSASPKSSRKRLYGDLMRAVKEALHLGTVPSCELVCRDNEQRRVFEFCKACVEQEKAGSMYVCGCPGTGKTLSINKVMESLAHWADEVGMETPDWLATNCTNLGKTSDIFSKILGQFQNRKKGSSKLSPLQQLQSMFSNKELAPKRMMLVIVDEMDYLITRDRAVLHDLFMLTTCPYSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQICDIIKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLEARLQDSDKELGILNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVTLQIDISDIGFAFKVRAKVLNPVT >Dexi2B01G0024390.1:cds pep primary_assembly:Fonio_CM05836:2B:33856856:33859543:-1 gene:Dexi2B01G0024390 transcript:Dexi2B01G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPLLAILATGALLLAALAAAAPSSAASSCPYTNLTAANFSADLTMLQHQLRGTVRLAANGTCALELTRFDLLPASPSARFWAADGPSMADLAAGSAFSPLPLNRTFRNASLELPFDSPLPPLLALYDPDTSSDFGHVFLPNGTASDLESSDAADAAVERAPTMFDNCIPLSETETYRLRWTLNASAGTVEIGLEAAIGSEYYMAFGWTNPKANSPAMIHADVVVAGFTEEGTPFAEDYYISDYTECTLGMDDSPVSGVCPDKVYGDGNNDSTSLVYGHRRDGVSFVRYARKLDSEDAKYDVPVGATEEMAVLWAIGKLRPPDTLRQHYLPQNHGGPKDTTFGFARLNLSEAVDNCIGPLDADNKEDQERIIADRETPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPDAHGVLATPKELVWLPDRNTPDLVYFQSLYEQKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWSLSQDSISIAARGEKKSGYLAIGFGSGMVNSYTYVAWVGDDGVGRVKSYWIDGKSAAAEAEQDLRPVLAVHGFMMFVAWGILLPGGIMAARYLKSLKAELRGFFVTSVHVKFGVVALLLAVLQPLNAKFRPSKPAHGEVPSRNRILWEYLHVITGRSAIIVGVVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVIVLCLEYKEVKRRGSDRSVRGHWVLGNTEEDDSVDLLHPDGTARNSESSTSGVMEVQLEPLTR >Dexi9B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:9B:970508:973807:1 gene:Dexi9B01G0001660 transcript:Dexi9B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGKFPLPLPLPRYRNNGAYRARRLQDLPFFIRRLFRELMDPQRTLPLVFRARMLMMVALSAIYVLSPVDILPESVLGLFGFVDDLLILLIVFLHLAAVYRSLLLYRHGGQ >Dexi3B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:3B:12955722:12961776:-1 gene:Dexi3B01G0017630 transcript:Dexi3B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLLLAVRLLLATAAAASLAAADGEKHYDPSACPKSVRCGDSVDVHYPFFLANASYTIEGYTAYSYCGYPGMAIACDDDGGRATLRLKDSNYTVLSIDYDNHTATVADSDVLDGVDGGDCPWVTHNVTVPAETWLNLSTTANDNLSFFSGCGVPPPQAVLPINCTGFQGGQDGASSSYVAAQNDVPPWDPWPLTCKEVIVVPVLRELLLGPDVEYLRRLNSDGYGKLLKKGFQLTWDPSAGPCYFCEKSGGQCSYNQSSEFIGCLCSDGRPQSDTYFRYSNCTPTPYHCGPFQFDVGYPFSVNGMGRPDYCSFPGYRLSCTDAGKLTMTTTNSSGSGSLLQVTTIDYDNHLLAVVDQGLAGQTCEKPYRNTTIDDAMFAYTDRDQFLTAYINCSATSSSLPLVAYDIFSCLSGGRSYYRLDNGTVAPDLLGSCSSTLVLPFNSSMAASLAAGNSTLGDAIRGGFALRWKAGPKKSTKLGIAIGSSIAATVLFVLLVVMTCLYIRKRRQYKMTSSSRLLKYTASGGTPRSRGSTDMDESGSIHSLQTHHFTYKELEAATDSFSGAMEIADGGFGTVYKGQLRDGRVVAVKRLYNNSCRRVEQFLNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGPRASERALTWPLRLSIAVEAAAALAYLHAVDPPIVHRDVKTSNILLDAGFHVKVADFGLSRLFPADATHVSTAPQGTPGYVDPEYHRCYQLTDRSDVYSFGVVLVELVSSKPPVDVTRDRSEINLAGMAVSKIQQCRLEQLVDMGLGYGSDEATTKAMTMVAELAFRCLQQNGEMRPPIREVLDALRSIQDGGLVVEEKKDAVERPRSPNTVHAPWDSMSTTPSVSSQGAP >Dexi9B01G0011520.1:cds pep primary_assembly:Fonio_CM05836:9B:7336931:7337747:1 gene:Dexi9B01G0011520 transcript:Dexi9B01G0011520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDYSSLRMVVELTSPARIPRELHITAPNGEGSIARITVLYVWQREEQEDVNGNYIPFFGPPPPPPPAPPPAFLWAGPACSARPTSSTRSTAGPTRGSPAHPPATAPDPHHRQHATRQPRPLSASTTAITGCDGASGSPDTPTPCTSRGTKEGFKSARLADKERAEYISMTDKAVQLTALKNALEPCSRKLKEQVQKSGLLTGLGKPIAISTLRKIANATGLGCAAEKSIATVAAAKE >Dexi9A01G0033400.1:cds pep primary_assembly:Fonio_CM05836:9A:38333976:38335625:-1 gene:Dexi9A01G0033400 transcript:Dexi9A01G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSSSWRPCNACRTKAMAGSVVGEPVVPGERVTVLTIDGGGVRGLIPGTILAFLEAKLQELDGPEARLADYFDCIAGTSTGGLITAMLTTPGEDKRPLFAAGDINRFYFENCPRIFPQKNAIAAAMSAMRKPRYNGKFLRSKIRSMLGETRAKTMPQKNALLSDVCIATSAAPTYLPAHYFQTQDATGKPREYNLIDGGVAANNPTMVAMTTITKKMLAKDKDELYPVKPEDCRKFLVLSIGTGSTSNQGLYTASQCSKWGVIRWLRNNGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDRDYLRIQDNSLRGAAATVDAATPENMRELVAVGERMLAQRVSRANVETGRYEPVAGEGSIAQALAGFARQLVEERKARLARRAAAACASGSRCSSTVKTY >Dexi9B01G0027720.1:cds pep primary_assembly:Fonio_CM05836:9B:30178322:30179341:-1 gene:Dexi9B01G0027720 transcript:Dexi9B01G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRVVEANHGAGSSQCPPLLPHWKLYHNPHYSPHHIIHHLSYSSRSPPQTKNLDSPMIVVPEDDGSGGEEVEVHQGVYGAAMSPSELELCVARIRDLRAELEFERRMRRKAEALSEALAAELADERRRREAAEAERRALREDAAAAREEAERALEDVEEERRMLRVAELWREERVQMKLADASQYGQESPSRSQQGHRREVGGGENPHIRRGIKGFVEFPKAVRVRPREERVDLVSNLECQRAQLRVLMRHRSPAAGMGLVGASENLVV >Dexi7A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:7A:20601712:20607514:1 gene:Dexi7A01G0009590 transcript:Dexi7A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLAFACLPACLRRTPPPPPHPPSPPPPPRNPPRAAPRDAAAPRRLNFGGVQRPGGGRGGGQLGLPPMDGGESRTFKANFTGEGVTMLQDRVKEKLRELMGDYSDDTLAEYVVVLLRNGRCKDEAAKELQVFLGDDNDAFVSWLSSFSQTLPKAATSNDEPKSTHSAGRGLPVRSFIIGSTFPFPHTLYLFFVIILLTYFQRASHPVISAPRRLLQFAVRDAVRTVQPVTPRSESASKRLRSVVSTLASDSALDITHIRLQKTNSDVRIPGGTAALRGAAEAAEDAIQGSFSGSVFNRLGRMPTINHTGTHREQDPEGEGCEAIDDVRAENQIDLYERNQYGGSDAYMHDQDSEEATGSAPNIDGYDRFNGLGSRQSTLPSSGGKESLVLGYVRGGAEVRSRRLIAQGTQASSGPRPSEMNSNISANTSMRKLPTHGTREVPTEKKVADARKSIVKNAHVNDTVMIEKSNDFVHSGSMLEAQKASSLAVESNTTGQSEGGPDSRTIFVNNVHFGASKDALTRHFNKFGAVLKTLMVTDGITGQPTGYEFNSAYIEFLHKESAEQALTLNGTYFMSRILKVVRKSSTEATQLPGLPRASRGSPFASRLIRTAYPRTTFPGAIRGRLPLRGGARSLQWKRGAVDSTDAGKSSQTTPATPVNQLVTPTTRSFTYTRTEPKPNDGAMA >DexiUA01G0027390.1:cds pep primary_assembly:Fonio_CM05836:UA:58685670:58695719:-1 gene:DexiUA01G0027390 transcript:DexiUA01G0027390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTAGSTSSGPVGPTVGSTCGTHISGSHVWVDPMAKSLRAMWRDAEETEDAEVEEGKEEEGEEEEDDALDSPRDDDEAKSSKKEKSSHESSFCEVIFQPRPRAILLELSCSRSSFCEVIFQPRPRAILLELDRHGQYWLSSIVTDIPARGSSSGTNAVARLPLRLQKQEFFAPPSTPLRQLRRKNKGHGQASTPKSKRREEPRPARSHITPNDARNEILRIREGRATSHVADSAGGYDVPNPDALPCYTRPIRVSSFPRKFKPPGITNFDGKQDPNIWLRRYSSAIEASGGDDISKMLYFPVAMEQGPLTWLESLRPDSIDSWHALKKAFRPGSKYELRACKQKPDESLRDYNRRFFAIKASCVPIPDSEVIDYFQEGMTDRSLFRDFGHNRPRDLEEFRALVSNWMDTDDQERERYGKRISRATPSSRANNTVATVQTVSAAKYPQQRREEFNKLLKKRCPYHPDSKHTMGDCTLLRETFSMPNKKQRSDGDGDDKHDKGDDGFPDVTNTVNVIFGGMAVSDTSRNRKNARREAYAAEPAVVTPLRWSDTTITWSREDQWAEITSPGRYPLVLETVVANSKLTKIWRHYLIGHKCDIYTDHKSLKYVFTQSELNMRQRRWLEKINDFELEIHYHPGKANLVADALSRKSHANVLTRQDLPEELSREFERLNLGMVANVTELEVEPTLEQEIRKGQLEDEEIKELIKKVGTDKAPGFRLDDQGTVWYGNRIVVPDQQHIKDVILREAHESAYSIHPGSTKMYLDLKERFWWYGLKRDVAAHFSYNNSYQQSLKMSSFEALYGRKCRTPLFWNQTGEGKVFGPEVLKQAEEQVQVIRQNLRTAQSRQKSYADVRRRDLSFEIGDFVYLKVSPMRGVKRFNVKGKLAPRYIGPFKILERRGEVAYQLELPEKLAGVHDVFHVSQLKKCLRVPEEQIPLEELNVQEDLTYEEYPIRILEESERVTRNKVIQDEATWEREDDLKAEYPHLFQSTNPFLSFQPGQPTPPPSFSFRSPAQLLFARPNSSPPHGPAEQPALAQPSSAAPFSLSDLPSLRSARPHSAPTGTPPRAAAIRRAIDAKIHTKSFAAGSPEPSPRRRSSRAVSAAQCSPLSPKTEPPTSPLSFEPATPRAASTPASPRPSAAALRRPRAPNALEPLNRAGFCEPRFGSVHGSVNGDVSNHPLPTAAASNSSTERDVDRSWPGRFPDDHLMLLIAPVRGDWQATRALARLAVGVAWRGVPSLLLRPREGFILSSPSEGYINRDILSFEIQI >Dexi3A01G0012220.1:cds pep primary_assembly:Fonio_CM05836:3A:8817209:8823445:-1 gene:Dexi3A01G0012220 transcript:Dexi3A01G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTAAVSVSASAITSGSGARSEAARRPGGLRVCGLRREALACSSLRISQAPARLAVARAAAAAATNGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSMGLQVSSTGYDRQAVADHANNLASKIRSNLTNSMKSLGVDILTGVGTIVGKQRVRYGKVGFPDKEITARNIIIATGSTPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMRVMDADGNVVPNLFCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >Dexi7A01G0014260.1:cds pep primary_assembly:Fonio_CM05836:7A:24240935:24241363:1 gene:Dexi7A01G0014260 transcript:Dexi7A01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPRRDPEPGRGRATAPPHDGRWAGDSGSGQGSNPDGSWSYGWGWASGPGGVWGYGHGHGSAYGFGYGGGGGGGGSGRGGFGFSFGGPRPGGAFSWGAGGGASETGAGGDHTGRGGWSARSGFGGGGRQRTPRGGRGRGSN >Dexi6A01G0004370.1:cds pep primary_assembly:Fonio_CM05836:6A:3980602:3981680:1 gene:Dexi6A01G0004370 transcript:Dexi6A01G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQTTSTSALLRARWFEGPAFTQSTKQLSPLTLANTNVTGSIPNTIGNLSDLSHLDLYNNNIVGAFPTMLYHCRSLWYLRLATNHLRGDLPSDIGHGLTANLSMLDLRKNEFTGSVPASLSMLWQLRYLRLANNLFTGAIPVELGELTSLHTLWLGNNPFDAGELPTSFKNLTNLVFLWVLNCNLAGNIPSYVVKMTKLEVLDLSINSLNGSIPQGIWSLKKLRSLSLLRNNLTGDVVVDGYAAKSLTMIDLSENNLNGTIP >Dexi7B01G0005480.1:cds pep primary_assembly:Fonio_CM05836:7B:12937139:12937492:1 gene:Dexi7B01G0005480 transcript:Dexi7B01G0005480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGQRLGSFSRNRWLVFVAAMWVQSMAGAGYLFGAISPVFKDALGYNQRQVAALAVAKNLGGYVGAVAGTLSATLPPWAMLLAGAAQNLVGYGWLWLIVTNRAPALPLSMVSFS >Dexi1B01G0002830.1:cds pep primary_assembly:Fonio_CM05836:1B:2359908:2363319:1 gene:Dexi1B01G0002830 transcript:Dexi1B01G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFTTGGGGGGGGSSSARSYKPMATADTTELSYWLHWQVGLCALWVLGCMSVAAYLIWRHEGPGADRRPGGASSPSSAVASGEVAVAGGKGNRRPPGVLYDDEAWRPCLRDIHPAWLLAYRFISFFVLLSLLVVIVISDGGNIFYYYTQWTFILVTIYFGLGTALSIYGCSKFADENVAVAAADMELGTTYIARGAVAKSSFDNEHGGTREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRIAYFFLWTALYVIFQWIVHAATPTWWPYPFLDLSSNLAPLWYLAVAVMQLPCYVVFRLVIKLKHHLLAKWFPGSFVRG >Dexi5B01G0015410.1:cds pep primary_assembly:Fonio_CM05836:5B:12604072:12605269:-1 gene:Dexi5B01G0015410 transcript:Dexi5B01G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPQITSLPDWTSLPQELLESIAKRLASGHDAASFRSACSPWRAAVPFATTLGPLLLLPLRPDSDTVSFHSVSEKQTFSLTLPFVLGKVPCGSSCGWVALMDESASVTLLNPFTGARVELPPADEHVAAASSPERAVSKIHGRWRWVIHSGDGGHANNNAPPPPGSKAIIKLEDMRGVFFHEIVLSAPPDDERECVVAMAVLASSTEVAFCRVGVDAAWTLLDAKLEFSVASIVRCQGKFVVIDVTGDVSVFSSSAAGATPTVMPLPSLSPPDGLCHRSYLESNGELHVVGAMVSTFHETRCFTYRTELYKCSLLDRALEWSRVKDVGEVTLFVSKCFGESFSGTSVSKYEKNSIYFSEPFVLKL >Dexi1B01G0001660.1:cds pep primary_assembly:Fonio_CM05836:1B:1315400:1315927:1 gene:Dexi1B01G0001660 transcript:Dexi1B01G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNPRVFFDMTIGGAPAGRIVMELYANEVPKTAENFRALCTGEKGVGKSGKPLHYKGSSFHRVITDFMCQGGDFTAGNGTGGESIYGSKFPDEKFVRKHTGPGVLSMANAGPNTNGSQFFICTVPCPWLDGKHVVFGQVVEGLDVVKAIEKVGSRSGTTTKPVKIADCGQLA >Dexi6A01G0012850.1:cds pep primary_assembly:Fonio_CM05836:6A:19598605:19600473:1 gene:Dexi6A01G0012850 transcript:Dexi6A01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVSALVGASRERQSRKRKQFNTVELKVRMDCDGCEIKVRNTLARLRGVESVEINRKQQKVTVQGFVEPQRVLRRAQSTKKRVEMWPYVPYTNPYVAPPVYDKRAPAGHVRRVDALIAPAAGREEELATLFSDDNPNGCSVM >Dexi1B01G0012080.1:cds pep primary_assembly:Fonio_CM05836:1B:13091040:13105564:-1 gene:Dexi1B01G0012080 transcript:Dexi1B01G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVRSSRAAAAALSAARRIHAGRAAEQGQQGGGGPGSLRRPWTLFRRQQQQEQGRLPRAAAPALDAVAGSGAGGADGGKPPEIWRQPGDAPGDRAAAGAAVGRIDVVRVAGPGGEGFDGKDGGGETGGWGGSNLGRRFPTPKEICRGLDKFVIGQQRAKKVLSVAVYNHYKRIYCESLSSRSTGDCSESDSCTSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLATADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGGRRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFRAQVRSNMRTGGVINAEITSSLLDSVESSDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVILSFLRHFHFIRVLTEPKNALGRQYTKLFEMNDVKLHFMEKALRLIAKRAIAKNTGARGL >DexiUA01G0028200.1:cds pep primary_assembly:Fonio_CM05836:UA:60719885:60721617:1 gene:DexiUA01G0028200 transcript:DexiUA01G0028200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLAALGHLIGQQSGSFEASLANLDNVTGSSKSEEQLCADPAYFEYYGSKVNLNPRLPPPVISRESRRLMNRVGKAKEWRVVSQDNSSKGSIYVPRSTLSTHKEEPEDDKSPRLDSSSVDDSQIISSASNFESQEFMLENFHQSVASLPDSSSSNPSNTGDAMAVRSDINLSRSLSVDAVKQSDLNSWTPKSPLNDLSSSPLSSSSYSGSKTGMQSSQQEKLATEPKHGNVVLGSGEAVTELDNVDSNMKNLKLSLDGHTSSSVKQKWQDNVLQQYGSLLPAQA >Dexi9B01G0028930.1:cds pep primary_assembly:Fonio_CM05836:9B:31502834:31503693:1 gene:Dexi9B01G0028930 transcript:Dexi9B01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRPGQCCFAVHFWAPTFKWCLNVANVADFVKPPEEISYPQQLALGCSGLIWARYSMVITPKNRNLFSVSSALAVTCTYQISRKIRYAR >Dexi3B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:3B:8234240:8235000:1 gene:Dexi3B01G0011770 transcript:Dexi3B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDVGHVVCSRCRDKLAPVGKCHVCSIATRNYHRCHAMERLVESIRVPCPNAAHGCGARPAYYDRQGHCQTCPHVPRLCPGKNCNFLGSTEVLLDHFTGAHGWPPTTEISAFVTCSILLYEGFNFILADCDEDDDHSTTTATTTSSRYLFLLNVTRQRLGCSITVHFIGEDHTLRSEINVECLDPSNGLPNSDDCFQFVVPDYVLRSIDKKDTIHVDVRIRIISLE >Dexi1A01G0020190.1:cds pep primary_assembly:Fonio_CM05836:1A:27086164:27089130:1 gene:Dexi1A01G0020190 transcript:Dexi1A01G0020190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLISLFRLARRLPAPLAAVRAPAPILLRHLHTDAPPLPHQDPPPFVSRILESESSLAPTAETEPASDPALDEFLARFVAAFRPELEAAFPDHDRAVLDEMLRLVANAVVCRITGADPGPDAAELSDDLWAAVWKVSASVQEAIRRDQVRADLRHYLHCDEVKEMTRFAVDVGIRGAMLRELRFKWARERLDEVEFYRSLDDMRAEAEAAANPAPPPVPRLTSLPKRKGEVKFTMYGLDMSDPKWAEVAERTAEAEAQFVPQEAKPVEGKAKKAEERLLSVDPRIGNPMPTMEEWKEELRPKRVDWMALLERVKARNVELYLKVAENLLAEDSFGADIRDYSKLIDLHAKANNVQGAERILGKMKENGVALDILTSITLVHMYSKAGNLDQAKEAFDFIRKEGFKPDLKLFSSMIKCYISHGEPGEAHNLINSMRDMKVQATREIYTDVIRAYTQQDMLVPALNVQRAMHTAGIESSPELFAMIIEAYGRIGDPDNAYNLFQHMRRSGHEPDDSSVAGVVTAHMKKNQLDKALHWLLSLEKEGIKPGVKTNLVLLDWLSMLQLVLEAEQLVQKIKQLGAEPIEVHVFLADMYAKSRHEEKARRSLKILEEKKKLLKADQFERIINGLLDGGFSEEANKYYKMMKSCDFEPSETIEVGVKASLRMRGGPRPTGRH >Dexi9B01G0025480.1:cds pep primary_assembly:Fonio_CM05836:9B:25970735:25971522:-1 gene:Dexi9B01G0025480 transcript:Dexi9B01G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTCPQIANLKANLHRSFRRLTLTQAHAAAVVSRGAVFWRVKDAVLALRLDGTLEATLEPLPKDWTRRYGPPGVETRVLAVSPDDGRLCVVEAGIRDQSFSPCVEVRVVFREQSGGSGGVAASGKKWNEWASRWDILKLQRPSSDCYGSLTRVCPRGACEKSGLVFLAAAFEATGGRNCEALYALDVGKMKARLLRGIPDPDVGSWCRFGSRASFHGYEMDRVAYITALGKRDGKGNINITMDEGDIYHL >Dexi3A01G0022840.1:cds pep primary_assembly:Fonio_CM05836:3A:18454053:18454941:-1 gene:Dexi3A01G0022840 transcript:Dexi3A01G0022840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRAMVFLAVMVGLFGVTSAVLGFMAEAKKLEPADIGVDGTECVYPANPAYSMAVGAILLLVVSQIIASAAGSCCGCCCKPEGSGPSKKSTRQIVGVVVSVLAWIAAVIAVVYYWLGAALNAPQRRDATFADGRNVECLYLKNGVFIRAAVLSLIASSLAIKSCILLRAPAASTAEFKPESGVDIGLPQWPAQGYGQARV >Dexi3B01G0037120.1:cds pep primary_assembly:Fonio_CM05836:3B:39943689:39945698:-1 gene:Dexi3B01G0037120 transcript:Dexi3B01G0037120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNNEPPVTEKATDTSEVMLDIPDFSLLKHMRSEEITNFLKSEWLKLRRQHESVLHQKTEELFRVKREYAKAKASLPLKKERELEFIKSKLLQTISKLGEITSRKDNSCFECNENEEMCRLKDRISMLLHENNRLRGLLADKREEVKDLYSQISDAKSKMAQQSLPEARLLNSFEKLKVELEDLKIERHLNNLLDSCIFREAFDDYENQIYDMNKEGSFLKELLDENEDQLGIIYEDRQKLKLTSIICQSNSLVQQVTVLRKKEFWYKQILEIKCSNLRKAEAEVDLLGDEVDTLLSVLGKIYIALDHYSPVLKHYPGVTAILILVQKVLKGENV >Dexi5A01G0002470.1:cds pep primary_assembly:Fonio_CM05836:5A:1725542:1727113:-1 gene:Dexi5A01G0002470 transcript:Dexi5A01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAGKGGSNNGGSKKPALLLGRYEVGKLLGQGNFAKVYHARNVLTGDEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMELVRGGELFARVAQGRLREDDARRYFQQLVSSVAFCHARGVYHRDIKPENLLVDDAGDLKVSDFGLSAVADQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLYVLMAGYLPFQDRNLVGMYRKIHRGEFRCPKWFSPELKHLLRRVLDTNPQRRATADEIMDDAWFKIGFRRFSFRIEDDRSFTCFDLDDDVDSVVSDAPPPDQPETPRVDASKQQPAIRKATGGGLTSCGSAPSLLQLEARSGGLGGSSRRRSSLNAFDIISFSRGFDLSGLFEEDDGGEAAAGSGGTEPEQRRRHSTAAARFVSSSAAAEEIVAALEGAASAAGLVVRELDDGSISMEGTREGEHGALVVVAEIYELTPELVVVEVRRKSGGAAEYEEFFRAKVKPSLRDLVSDDPTLLQSDERSRSL >Dexi5B01G0013330.1:cds pep primary_assembly:Fonio_CM05836:5B:9451734:9452159:-1 gene:Dexi5B01G0013330 transcript:Dexi5B01G0013330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAMRKVSSVPAIMLVLSVLACLLLVHGLYGLLELFGPPELSPEATLLIIFALCFLLFLLAAAAVGNRRALLPREDPADGIVVGEVLLPTTAEEAAVGGAEAHHEQVAGEEGMRRAGLLQTEDYPGSGANSRHDPRNPH >Dexi6A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:6A:25599906:25600652:-1 gene:Dexi6A01G0017720 transcript:Dexi6A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLLSRAPSPLLTAASTSTASPPAASPQSLKPPPPRPLATTLVAAAAAAGLLLLSPTPAPSRADPEFTVYYGTAASAANYGGYGGNASKKDTAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPKKRTEKEYLTFLSGIRALAPLNAVLNNLALSDVNLQDQISTADEVRSEERTDGGGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNAEWGRDEAMLRRLHQSFKTIQPGAPPPATES >DexiUA01G0025950.1:cds pep primary_assembly:Fonio_CM05836:UA:54709442:54709645:1 gene:DexiUA01G0025950 transcript:DexiUA01G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRPHTESQPRSSNHFAPVTHSSRPFQPPSATAPLPPRLAAGHRRTGRPSLPREPNRI >Dexi6A01G0002890.1:cds pep primary_assembly:Fonio_CM05836:6A:2661384:2661698:-1 gene:Dexi6A01G0002890 transcript:Dexi6A01G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITQLQEQLNEIAMVAVNTFGTLQRDAPPDRLSNSYPDPLNPNPKPEEDTKPQGPPQHGAPAPAQPQPQAQPTAPPQPPALDLAEHPKAMSHALVR >Dexi6A01G0011860.1:cds pep primary_assembly:Fonio_CM05836:6A:17975360:17991119:1 gene:Dexi6A01G0011860 transcript:Dexi6A01G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKGADKKEVPAPSYRSLDAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFIIKGIRNIVEANNRIEARNDPNIYLRYTNVHVGTPSVQVDYKIEDIMPHFCRLTDCTYPCILHAKDEAELARLGECPLDPGGYFIVKGTEKHPYVGHLYFLSIRVIASVTSSTHEIKSKTVITLDKEKIYLQLNQFTKLIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLFPSIQECAFERIYTQQQALQYMDEKVTYPGAGNQKEGPSKSILRDVFIAHVPVKNGNFRQKCIYTGVMLRRMMDAILNANTFDDKARNKRLELSGQLISLLFEHLFKTMNSQAVELMNKNSDRTRSSPSDFSQLIKHESITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQTFANAMRKLRRSGIIGEFVSIFVNEKQLEEGSNGEGGGAWGSSLLGEDGSVEEQLVGVELRRSSGELLVPSMENLGISSMHCIHIASDGGLVCRPLIIADNGISRVKEHHMKELRLSLHDGIRSFDDFLRDGLIEYLDVNEENNALCAMGKQAMGNIAYNQARTQFLFRADSLLYLLVYAQRPLLTTKTIELVGYNKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCMAMKKYTVLKDNYGDGVSDRIAKPQRDKDGALVKQNMRALDEDGIAAPGQIIRNHDVYVNKQSPIVTDRRRAGAPLKDRDYKDSPAIYKAVDGETTVVDRVMLCSDTNDKLSIKCIIRHTRRPEVCRMTIGKMIELIGGKAGVSSGRFHYGSAFGEPSGNADKVEDISNTLVKHGFSYNGKDLLYSGILGQPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKASYCSMCKNGENMAKMRLPYACKLLFQELHAMNVVPRLKLTDG >Dexi1B01G0019860.1:cds pep primary_assembly:Fonio_CM05836:1B:26078645:26081144:1 gene:Dexi1B01G0019860 transcript:Dexi1B01G0019860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVRTLAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGENAEEKDAEEIIDLASKASVADQQKQVQENVHYQLSHMFQAMDDVLRPDVKDDPSKDPSKAHSHPQRSGLSFAVGRAASANMRSAAIPATRPLTRAELSKKFRDHFGYTLDIKPSGIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDATPWCLGDETRELWDGSNLVDYNAMRPKGSENNSDRVWRMLSKPLEKSARENFGEVLERRNPLAFGHFANHPPQGSNPNVMICPYDFPLSEKNMRVYIPNITFGGEEPIKMKRFGSFYFKSGSSGDQAGDSLVLKTLVLVSTRSICDEELFLNYRYSNSKRRPEWYSPVDEEEDKRRWS >Dexi5A01G0020330.1:cds pep primary_assembly:Fonio_CM05836:5A:24163892:24166121:1 gene:Dexi5A01G0020330 transcript:Dexi5A01G0020330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRAAGARAVLRGLGAAAETSGRRYGGVLAAICSGSGNAPSGLGQYANLFRARAFASRSIPVNFHQLIRNAGISTTRNLLADDAMVPISSPLTPPLGDSEETDKKGAVVKKLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGIMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEEAGATA >Dexi5A01G0000660.1:cds pep primary_assembly:Fonio_CM05836:5A:440955:442037:1 gene:Dexi5A01G0000660 transcript:Dexi5A01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPESPVLCWSLPPTSPPSKTPALPLLPPPPPLPPPPPLPPGFIPLCFLPSSPPAPLPLPPPPCGLPMPIPGAGAAASSAAPPRALLPTIPVHSGGRRSAEGGISVEHLSRALPGGVSVTAPAQEAEDDLAGVPPELLPLKKRVVRYHPYEAASAIQEMASHACQNWGPAAEAAAAAARPGPNLEEEDGLRAELLRLRIRRAALVLTKQLTFSDRSRDMARLVLPFGLVASSPLLGMLTPPERRLLLGAGLPVRALDRLGRAYRMTLRQDPRARTYRLTGQWSLFVSRHNMRAGDAVEVRAFRPCAWQKRLDKHGEGGLGMALLLRQGNHWCSLEGDAADGLLLIAAGARRPTATAARA >Dexi7A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:7A:2087667:2095877:-1 gene:Dexi7A01G0000770 transcript:Dexi7A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLAKLMRRRSLLHQGAAAPISSLFSTHQQQQATAVNPGVLPGLNIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKATELYEKHPSAYMLQQFQNPANVKIHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELAVKEGLLVGISSGANTVAALELAKKQENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVSVD >Dexi4B01G0021980.1:cds pep primary_assembly:Fonio_CM05836:4B:23778308:23778678:-1 gene:Dexi4B01G0021980 transcript:Dexi4B01G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDIAHPVRASREAGRPATSCTRLKQGRHDDDTIADRPSIVSYIHPISMYHTTTEPTLARKSA >Dexi3A01G0020590.1:cds pep primary_assembly:Fonio_CM05836:3A:16343415:16347008:1 gene:Dexi3A01G0020590 transcript:Dexi3A01G0020590.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLKRPVTPVDLFEDECVFCHSFRTGQITECHWDVSSFADEPCQCSDKEENFDDHDREIQQTNQLSTSNASSLPQSQCSQKGRKGTSTSGSRDDQQIDPLDNRSSSLPLDEHDDEEIYKNHERDDQWKHKRKTSNLSSLPQSCHPDEEGISNAHQRKERAYQPHISTCPSDQLVLLGLSLNASEKDFLQEFAYWTNATLTKQWAKNVTHVIVGKGAGSSWSRSFEVLMGILLGKWVVHFEWIADCTLETNPLPEASYEVACSMDSLRTIDGPKKGRIRATKGVSNLFSGLRFCLSAYMNPDNRNRVRDLIAAAEGHVLEGGDLELLLKDSDGSLVKPYFFVYDVDAPKEAVALSTLREEEEEVRKHAAAGAQVICHLRVLDAVAAYDAEILNAKKDRSTP >Dexi6B01G0005310.1:cds pep primary_assembly:Fonio_CM05836:6B:4563822:4566543:1 gene:Dexi6B01G0005310 transcript:Dexi6B01G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKEKTRRIRTELTEAMAADPSIAAEAEAFFRAAPPLRDRDHIAASLDDFVARHSAGKWPPLFPSLRLAYGGAGGPAGVVCITSGGTTVPLEQRCVRYIDNFSSGQRGAASTEYFLKAGYAVIFIHRRGSKQPYCRFIPEDSFLDLFELGEDSEIQVPQSHSAVVKTSISNYRKAIDEGMLLKLPFTTIFEYLQLLQMVATSMNCLGHRGMFFLAAAVSDFYVPWESMLETDPNILLQKAEMALKKYGMDVVVANELANYKDVVVMVTNSGRMTVSRRSKEEDLEEQLTKLLAKMHAEHASLPKSEDHQTHAN >Dexi2B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:2B:6584165:6588060:1 gene:Dexi2B01G0006660 transcript:Dexi2B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDEQPKPPEPPAAVAAGAVVTAAPPQSHAEWAASLQAYYAAAGHPYAWPAQHLMAAAAAGAPFGTPVPFPVYHPGAAAAYYAHASMAAGVPYPTTEAMAAAAAAATAAEGKGKGKGGATSPEKGSSGAPSGEDASRSGDSGSDESSGTRDDDTDHKESSASKKRKSGNTSAEGEPSQAAVVRYAAVESPYPAKGRSASKLPVSAPGRAALPNATPNLNIGMDIWSTPPPLAVVPGVQGEANPGLALARHDGGATPLDERELKRERRKQSNRESARRSRLRKQQECEELARKVADLTTENSALRAELDNLKKACQDMEAENSRLLGGTAHSQAPSVTTTLGMSIEPPKVQHHDDEGQLHKNTSNNSNGNYTGGSHKPEANTR >Dexi5B01G0027050.1:cds pep primary_assembly:Fonio_CM05836:5B:28681381:28683807:1 gene:Dexi5B01G0027050 transcript:Dexi5B01G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATTTTTIPTVSAHPRAKSPSAFFLRSSVPCRVSFRAAPLPNLRATAMAAMSQQLIITRPDDWHLHVRDGEVLEAVLPHSARHFGRAIIMPNLKPPVTTTARAVEYREEIMKALPPGTSFLPLMTLYLTDNTSPEEIKLARESGVVFAVKLYPAGATTNSQDGVTDVFGKCFPVLEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVQKLPQLKIVMEHITTMDAVNFIESCEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIASAVTSGSKRYFLGTDSAPHDKKNKERSCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNNSKIVLRKSAWKVPATYRYSSGEIVPMSTGSTLEWLPSDQTEE >Dexi8B01G0013970.1:cds pep primary_assembly:Fonio_CM05836:8B:24331688:24337344:-1 gene:Dexi8B01G0013970 transcript:Dexi8B01G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTEPDAHRIPAPPGPTALHPFLREPCPHVYLCLSWNPFAYTCMFLQIEPIVSGNLPPGFDTSTCRSVYVGNVHLQVTDAVLQEVFQSIGPVEGCKLIRKEKSAFGFVDYYDRRSAALAILSLNGRQLYGQPIKVNWAYTSTQREDTSGLELKYVILIFLLGIFAQKSLMLHYLRFSQHTLPALWLGNFCRDARVMWDQKTGRSRGFGFVSFRTQQDAQNAINDLNGKWLGNRQIRCNWATKGANADEEKQSVDMKVDPTNGSSEAGKEIPNEDSPENNPLCTTVYVGGIPHEATNNDVHLFFHSLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQMGNGQLFGGRPIRCSWGKKPTPPGTASSPLPPPAPAPFPTGVSATDFLAYQRLALSKIAANPALVGQHGLKQAVLGMDAGASQAIYDGGFQGVNPAAAAAAAQQQHQQLMYF >Dexi3A01G0035080.1:cds pep primary_assembly:Fonio_CM05836:3A:40335872:40339201:1 gene:Dexi3A01G0035080 transcript:Dexi3A01G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASGGGGGGGAYSCETAARTREWMEALASFLGRHRPLLEAHVVNFFKVVSSVLPSPRMNFRSLGDGLEGYVRSLNASVLLCSVVGFAQDRMWELVDAEWMECLRREPVESLLRLPSGCVKEHWPATLREFVLTARSLVVPREQKALQSLVPDIHVASIGTVLAQGMNSKKKHEIENLAAVVHAIAKRCGAKTVVDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTTARAERIKKHYAAKWCNLHQVHVEKQLLTVPRTVTCHVLSSDTLAAVTLDACKDDHGEHVRETKACTKKNPQIQEPTQNSPPLILAGLHACGDLSVNMIRLFVSCEQVKALVSVGCCYNLLSEDYYEDTNACHGFPMSKAAKLSELVLGKSIRDLACQSAERWRSLTVDIALQNFDVHAFRAAFQLSLFIILMFNVILQKVLEHSVLPY >Dexi5B01G0021870.1:cds pep primary_assembly:Fonio_CM05836:5B:24196991:24197878:-1 gene:Dexi5B01G0021870 transcript:Dexi5B01G0021870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSNRPPPGFFNESKHPRRQTKPGADAPSPGGGAGGVPVGLEPEAAGRGIPLSPNVKSENLPMPRPTKRSPPASFDESRHPRRRTAADADAPSSGAGAGGAGRRCDLSRAFGRCRALLDDLLRHDDGWVFEAPVDARALGLRDYYTVVAEPMDLGTVLRRLERRRYADPSAFAADVRLTFRNAMSYNNEGDPVYDSAAELSGIFEDGWASIQAELPPPPPTDAERKVRLNGDLMRLPVAAQWNVARLLKELGACLLEMKGKVEVDLDKADAATLDELERLVAMHRAADAAVDAP >Dexi9B01G0038390.1:cds pep primary_assembly:Fonio_CM05836:9B:39468068:39470708:1 gene:Dexi9B01G0038390 transcript:Dexi9B01G0038390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPHRQSSMSKQHRPHHHRTSLSRTLASYLLREQRLLFVLLGFLLASSFFLFYPSLTPHPAGGSSSASATNIAAAAAVSRKIPRAVARRLPVGVRKPSLRVVVTGGAGFVGSHLVNKLLAQGDSVIVVDNFFTGRKDNVVHHLGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTVISFSLPFPPLINSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPMTVYGDGKQTRSFQYVSDLVLLHSFLYPLLFVEVDGLVTLMESGHIGPFNLGNPGEFTMLELAEVVKETIDPGASVEFKPNTADDPHMRKPDISKAKSLLNWEPKISLKQGLPRMVSDFQKRIMDEI >Dexi2A01G0033670.1:cds pep primary_assembly:Fonio_CM05836:2A:43856560:43859162:1 gene:Dexi2A01G0033670 transcript:Dexi2A01G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPHVLLIPYPAQGHVNPFLKLGKALHAKGFHVTFVHTEYNHGRLLRARGAAAFDAGVEGFRFETIPDGLPPSDLDATQDIWALCEATRRTGPSTVRALVERLGHTDGVPPVSCVIADNAMGYAVHVAKEMGLPAYHFFTASGCGFLAYLNFDQLVKRGYVPLKDESCLSNGYLDTPVDWITGMLPSTRLRDLPTFIRTTDPDDIMLNIIIKQSELDAPAADGILFNTFGDLERPALDAIRARLPNTFTVGPLGPELAPASYLPSLTSSLWRGDDRCAAWLDAGHREGSVVYVNFGSITVVTAAQMDEFAWGLDATGCPFLWVVRPDMVRDGGGGDGWELPEGFEEKVAGRGLTVGWCDQEAVLEHPATGGFLSHCGWNSVVESIRAGVPLLCWPFFSEQVTNCRYACEEWGVGLEMAREVGRGEVEAAVRELMGGEGRGAAVRRKAAEWKDKARAAVAPGGSSCADFERFVQEVAHRVKS >DexiUA01G0007680.1:cds pep primary_assembly:Fonio_CM05836:UA:14361125:14371130:1 gene:DexiUA01G0007680 transcript:DexiUA01G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLILMSTSHILHDQMNNPGSIQYGSYCCKSYSAICRTRGRFGVLFLAFFLALQIMRAQRGKQSESFWPSIVMKKWLNIRPKLNDFSEDESDTAGSEDNDGSDFGDDSFFDIHGDKYLISKPSAILSLGEKSIPPVRRLQRRKSESLRVDYISNKDIIVVAIRVMIGTWNAAGRVPSDDLDLDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDSRPIRKWEALIRQTLNSWLDGSSSLDWPEYPLDTPAKQEKLDVLNSLDRISDLTSEEDTPSVGTVEELWVSRKLKRHVNNLEVSPVGIGLLGYMGNKGSISISMSIFQTRLCFVCSHLASGHKSGDQQKRNADLYEILQRTRFSSLCAAGQPQKIPSHEYVYTTFAPMIFLENQP >Dexi2B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:2B:4221047:4227129:-1 gene:Dexi2B01G0004640 transcript:Dexi2B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEVYATTIDRAAEEILELLEEDTNASRSISSRNNVFYFNGWDELGASAVLRAIVQRLSPTSPAGKRALAEQEFDQLVHIDCSMWESRRALQRAVVEQLQLPDHVMELFDRQDEEDDFKGVPLGSRAELPQVLREMYQHIHKLNRRFLVIFHNGSNEEIDLASFCGFPLSGYSTNKVLWTFQGRFRLKPRTKVDKTMASIETTDVFVSAVPQDKGEDPQVFWSYLVQQEASEVAALYNINASISCNIDQPEVANCFLYMLELCFSGRHSIDYDLATHTANYWACDGIIQQMQQGERNITAATTDHDDSLWRAADALQREILLDVDYHQYLPSHLTRCAERKAYLTSPTFGVVLIPTGVIPNGDTFQHFKLNVLKLSRCTFDFQSPPFIYCHSLRFLWLDHCQDTETSTAGAEKEDVHRCFQRLWVLDVRYTDCGQILSAEILDLMTQLRELNVIGSEDHWDIGQLQGRVLNIRKLRVKDSIVICRDAKLLASLEAVCSDSRKMYVEVYSATSSTPAAGGYKHKGIKSTSNSEQQAPVNLQHQAAAAIYAADILVDYMKLASEGNGDASGFMSMWPCPDVPDLPKERGYIHLQDQMRTELIWQGKRTNAIILPEFVLMSARILHVHDALYINTIPLNIKRGYQWQYVEWCRVERCPKVDCVFPVEPVSRFPDQYQLRTFWASHLPKARYIFAYQFYRKFSNLTWLHIDCCPRLIHVLPLYATMTNADALSKLKMLEITWCGDLKEAFPMDINLKSFYLIDRRSPVTLHFTSLKHLHLHELPRLQSICGIKMSTPNLETVKIRGCWSLKRLPDVGSGSKVVECDCEKEWWDRLEWDNGSQASRYKPIHSRYYKKTKTMLRGSVLR >Dexi7A01G0018010.1:cds pep primary_assembly:Fonio_CM05836:7A:27502947:27506724:-1 gene:Dexi7A01G0018010 transcript:Dexi7A01G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVSPARRAWAAVSTRVRVRNTGSGGSILKLHEDVQTCGYKDVQVMFEILTSELEVASHVPKHHHQRKRPPSPSPWPSHRSSSIIAAAQ >Dexi9A01G0024890.1:cds pep primary_assembly:Fonio_CM05836:9A:21627988:21631571:1 gene:Dexi9A01G0024890 transcript:Dexi9A01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAVAPLKFTVRRRPAVQVAPAAPTPRELKVLSDIDDQESVRVHVPGIFLYRRNESMAGRDPVAVIRDAVARALVHYYPLVGRLREVEGGKLAVDCTGEGADADIHLEQLGEPLLPPFPCLHELLFDVPGSSAIINAPLMLFQFGGALAELARGAPAPTVKPVWERELLMARDPPRPSFAHREYDEPEGTDDTVTSLDDLAHRCFFFTPRDVATLRDLLLEAPQLRATATTFDVLGSWRDVRKAPAGNLDFGWGRPVYGGPAEVGGDLDRGEELGIAVPVCLPRPAMERFAEEMGKLLQRPLVDVAVRQHPRSAL >Dexi7A01G0009200.1:cds pep primary_assembly:Fonio_CM05836:7A:20278522:20282685:-1 gene:Dexi7A01G0009200 transcript:Dexi7A01G0009200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWQAGWAAMASLRPLSAAPSFPRARAACFFRGPASWSWSGLSVAVGSNAYGCCGGCGALLPAAARGGARLRARWRSPAVRAAKVDEADRDAGADLGFRRPPGRRRKLRLRLRPRLRLLRWRLRRLSPRDLAADAGAALRRAFRRVPPAAAAPVVLALLLFAARLALPKNVAKEVDYSELVAGLREGTVSVVAFEEDSRRIYFSRRAEAEDDGGDEARDAGESESAAAAAPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFIQRQLSGGGSADKKRKPRKQRVGFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTMLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGVPDLEGRKRILAVHLRGIPLEEDREIISDLVANVTPGLVGADLANIVNEAALLAARRGGNTVSREDIMDAIEREKYGVNGRQETDDSERQGLTKLFPWLPKSGNKSSSPDDFRGLMGYHTLS >Dexi4A01G0010790.1:cds pep primary_assembly:Fonio_CM05836:4A:8707527:8707853:-1 gene:Dexi4A01G0010790 transcript:Dexi4A01G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAEKRRVDTRPGRRRAAAVATSETAPSASVCKLQLAEVAREPAAGVSSRRGGTGAPRWGYLWNGTRRGRLQAVPRRGSKGVGGAGSRRGGARMQLDGVRSHRVAG >Dexi2B01G0009230.1:cds pep primary_assembly:Fonio_CM05836:2B:9995138:10000471:-1 gene:Dexi2B01G0009230 transcript:Dexi2B01G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLPWLLLSLGLHLASISTGDSHGDIQFLYLGFVGTNLSVDGTAAITTNGLLELTNGTLGSKGHAFYPNPLHFRKPNGMVQSFSVAFVFGIRSSYLSMTRQGLAFVVAPNMNFSDALANQYLGLTNIAKNNNPTNHFFAIELDTVQNVEFKDIDSNHVGIDINGLTSIEAHTVGYYDDISGTFHNLSLNSGNAMQVWVDYSGEARQINVTMASLEMEKPARSLISYTYDLSTVITESAYIGFSSSTDEIDSRHYILGWSFSMNRQAPKIDVAKLPKLPPNGKKPHSKLLEIILPIATASFVTLVGAGIIILLRRRMRYIELKEDWEVEFGPHRFSYKDLYHATEGFKNKNLLGAGGFGKVYKGVLKSSKLEIAVKKVSHESRQGMKEFISEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYCVEGKVTLNWNERFRIIKGIASGLLYLHEKWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDLQTTHAVGTMGYMAPELICTGKASPLTDVFAFGIFLLEVTCGKRPVDHNMDDDRAVLVDWVLKHLREGSLTEAVDTRLHGDYNVDEACFALKLGLLCSHPFTNERPNMQQVMQYLDSELPLPELTHADLSFDMLSLMQDEGFDPFTLSSSIGTHQFVYSSFTGVNLTLDGMASITPNGLLQLTNGTLRLKGHAFHLTPFHFHKKPSGTVQSFAVTYVFAIYCIESNICGHGIAFLIAAGTNFSDALQAQYMGLANGNNDGNATNHFFAVELDTNKNDEFKDINNNHVGVNINGLNSVNSSNAGYYDDSNGIPTGAVDARLQGAYDVGEKGFNPGTMANSLSGMTSSAVSDISGGR >Dexi4A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:4A:2502788:2503212:1 gene:Dexi4A01G0003450 transcript:Dexi4A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIALGDEAYLDIINNTVHKLNLTIPYDGHCHGSVDNLLFLTRGGSGCFMADPFSGAVHPVVDLALFLKQQTRKEMFSLNYYGRRLSIKKVVGRDGHRRQEELPRHVG >Dexi4A01G0004280.1:cds pep primary_assembly:Fonio_CM05836:4A:3066915:3068278:1 gene:Dexi4A01G0004280 transcript:Dexi4A01G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATASEAEDVVVAQDGSPAARPVPVHVSPIAMAGAVPQQRQGWRQQEESEEGEDTKKMRAMWFKDMRGWLMVLAMQIASSTYQAGLNPPRGFSGDTSHSTPILESTSHSRYYIFFYSNTTAFVTSLASIILLMNESFYHSEAKVVALETILVLDLVGLMGAYWAGTTTSARRDQTTKYTLVLTAFVLFVVYVVYTVQLLHKLRTLWCLAAAKVAVVSLAAAQRVHAADVAPGGAAPVTSQIDVARSQRLSATPRRRGSRLAGTEPSSMLSP >Dexi8B01G0015090.1:cds pep primary_assembly:Fonio_CM05836:8B:25827888:25828350:-1 gene:Dexi8B01G0015090 transcript:Dexi8B01G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMCKKGRNPPPKSHEQWADKLLEREDDLLFDEALVTGELQMEHAMRCVLVGMMCCLLEPVRRLSMKDVVDMLTGPPRTDAPSSSAAAAAANPRR >Dexi5A01G0023270.1:cds pep primary_assembly:Fonio_CM05836:5A:27298317:27298547:1 gene:Dexi5A01G0023270 transcript:Dexi5A01G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCASKKGEMLVMRKVGLAPPESAIVVGEKQPELAAIFKGPLDGHYFAIMPDLLPAAQALSDADQPAGEWGQYLLN >Dexi3B01G0022870.1:cds pep primary_assembly:Fonio_CM05836:3B:17583754:17584433:-1 gene:Dexi3B01G0022870 transcript:Dexi3B01G0022870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTAALAILVALALAAQSALGGHLACEELPPDVCAFAVSSGGMRCVLERTPEGAHRCQTSAVAVAREQPLAAGHVESDACVRACGVDRAALGLPVATEDRRSLRALCSPACQDGCPNVVDLYATLAAAEGMSLPAMCEAQKATGNRRMMMGGMAPLGGPVSAPVVVAPVSAPVAAPEPCEDW >Dexi2B01G0019270.1:cds pep primary_assembly:Fonio_CM05836:2B:29409842:29416649:-1 gene:Dexi2B01G0019270 transcript:Dexi2B01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADYDDFTSKARSVRFMQAKIVQALSRGDRQRASRILSNFKNTSWALTKEDFYSILKYCANAPDPLFVMETLELMEDKAINMSKGDYRYVIRAMSRGGYAKEGLHWLSLLGEKESTHATLPFFNIFLNACGSNANLKDVECCLEKMENYLLGKSEITYCELLKIAVLQGNLPAVYDIWKDCTRYYSPNIITQRRFLRALTTLGDLQSAYRILQHMVTVAAQNSDHLRFSSKGRYQSSRLDIPVLALSQSEDIKLLLDCNLQPSEEQLPTDKNFADVQSLENVQLKADVLSAGNSLADKVGLKNGSVRVKRILRWSFNDLLHACVQFNNCQLAEQLFLEMQTLGLRPSEFTYDGFIKTVIAGKGIPYAMKVHYVLAHILDSYGFQIEAMERRGVRPYSDTLAALSVGYSQNLQLDLAEEYLERIPEIQPKHIRAVNALLSGCESMNEPERAVRIVAKMKRMNMKATLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIEMDMLHNEIQHSFVSTKNLIRALGAEGMIEEMLRYLNIAENVSWNIDHYEKSDLYGTVLHALVKAKEVVLAKGDFEGALDLLYLCKTEGIELDIQIFNTVLSHAYTRGQIHVMEYIVELIHQAKIKPDPSTLSYTFCAYEEHELYNTAIEALQVLSMRMISYDVSILSEKKIVFEDLILSEEPDAESRIIRAFEAGEEFLATALLNLRWCAMMGATITWSPEESFWARRLASSYDANKRPHISPSKVPRPWVRGPYFRVSG >Dexi9A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:9A:7539580:7544768:1 gene:Dexi9A01G0011870 transcript:Dexi9A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWAEQLQRELAGRGLAVASIPGKGRGLVAARTFFPGEVIICQEPYVSTPNKILVGSSCDHCFVSNNLRKCSVCRVTWYCSSDCQKKEWKQHQLECRAMAALPEDRNKMLTPTIRLMVRLILKRKLQNEKVIPSSGIDNYYLVDVLESHISEVDENQLILYAQMANLVNLILPSLELDLKEIAHTFSKFACNAHTICDPELRPLGTGLYPVISIINHSCVPNAVLIFDGRTAYVRALQPISKDEEVSISYIETAAVTKKRHNDLKQYFFACACPRCVKGFQEDALLESYRCKNQACDGFLLPESDTTEAGSIYKIIEQLEQNLYHAFSITLLHTRETLLKIYMELQDWQTALTYCRSTIPVYERVYPPFHPMIGLQFYTCGKLEWLLECTEDALKSLTRAADILKITHGTKSQFMKELFGKLEEARAEVSFRLSSGHNHGEQF >Dexi6A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:6A:19937561:19938331:-1 gene:Dexi6A01G0013020 transcript:Dexi6A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLMLVCFCFRGLALVRADISRLPFMNGSIDAVHAGAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADVIPPAIPLLRIARPVMAYS >Dexi7B01G0018830.1:cds pep primary_assembly:Fonio_CM05836:7B:24481392:24483776:-1 gene:Dexi7B01G0018830 transcript:Dexi7B01G0018830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNAPSRNTSFGGAASNSGPVSNSGGRSNYSGSLSSSVPGAGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDSAASMKLRATSFAHNQAVTNLNAEDGYSIQGSFPKPILWAVILLFVMGFIAGGFILGAVHNAILLIVVVVIFAFVAALLIWNACWGRRGAIGFVSRYPDADLRTAKDGQYVKVTGRHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVSPSEPISTGCQWGKLRVVG >Dexi9B01G0044580.1:cds pep primary_assembly:Fonio_CM05836:9B:44308454:44311671:1 gene:Dexi9B01G0044580 transcript:Dexi9B01G0044580.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRELISLDDVMEERGIGPNGGLIYCMEYPVVYSAQLCWTSETENFNICAVYFLDSQFVSDVTKCISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLDPNAQVLLSQLNRQMAPRFGKLNKCLAELVCLISFTACMFFPIMQVDDYSMVKFIPLDLRKESRL >Dexi2A01G0000430.1:cds pep primary_assembly:Fonio_CM05836:2A:233191:233880:-1 gene:Dexi2A01G0000430 transcript:Dexi2A01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPMSPTSSLATALFLVASIVAVALADDGTTHLHFFMHDTVTGSDPTAVQVIKGNGSSVVPGLAFGDTIVIDDALTTTTSASSSAAVVGRAQGYYMVSSTSAPAVLAVCANLLLTTGEFNGSTVAVMGRDDISADVRELAVVGGTGRFRMATGYVLWKTASMNGPDAIIELDVYVKTSNSSSSTDCVGGCGVNAGVRRMGGAGAAGWVNACVVALVVAVVGSSCVW >Dexi1A01G0010580.1:cds pep primary_assembly:Fonio_CM05836:1A:9101788:9103393:1 gene:Dexi1A01G0010580 transcript:Dexi1A01G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLGAGTLASSPLSPCRRCRPAITLDDLPADAVFKDDFVDLIRRSIPHLRALLHSISISSDAPLGALVPDFFCYDALPLAVQLGVPGYIFYPTNLAAHFIMRRHVALNDAAAATGQYQDLPDPLRLSDHVSLCRADIPVVF >Dexi4A01G0014550.1:cds pep primary_assembly:Fonio_CM05836:4A:16791387:16792028:1 gene:Dexi4A01G0014550 transcript:Dexi4A01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHPRVVVASAGNAEVAEGHHHDEGRACWCVGAACFSLLLFVVLAAATGSLARAGAIAAAAALVLGLAGCLAPWWDAGLPPPAGGPHARPALVRLVVHHRCACGLADADIGALPTFAYEPPAAAKGQGGGDKPPRGSAVLCAVCLEDVRGGEMVRQLPACRHLFHVGCVDAWLRAHRTCPLCRCELPPRRNATAKAAVTAEASADEALPPV >Dexi3A01G0022510.1:cds pep primary_assembly:Fonio_CM05836:3A:18145392:18146188:-1 gene:Dexi3A01G0022510 transcript:Dexi3A01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKVGSKLQELRLSLSRSRGGPSGASTQGGGGVHGGAGSPRRLSSSSSSTASPPSSCVSSEGSPESGGTGGAPSMILAGCPRCMMYVMLSREDPRCPKVQIDEDVRVVSVSSW >Dexi3B01G0005700.1:cds pep primary_assembly:Fonio_CM05836:3B:3889867:3896425:1 gene:Dexi3B01G0005700 transcript:Dexi3B01G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAVSASTGVMNSLLSKLSTLLSDKYNLLKGVRRDIEFLNASEIQKLKARVAEQSQSRNRYKIEESTSKITAVEVDPRLPALFEESKRLVGIDVPREKITKLLMEEDDSDSGQRKVVSIVGFGGLGKTTVAKQVYEEIKVKYLIVIDDLWSIEAWNTIECALVKNTNGSRVITTTRLVLRNGLSKLCSLRALGIFDIQDNSIECVRDLDAGAGDHRPHGEWTEIATKEKFVDRQHIDPRHIC >Dexi2B01G0031450.1:cds pep primary_assembly:Fonio_CM05836:2B:39598333:39599001:-1 gene:Dexi2B01G0031450 transcript:Dexi2B01G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSVFHFLKGTYNSPNGMRLSGSAQAVRMNAPRVGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIVAGAATGGFLSMRQGAAAAGRSALMGGILLALIEGAGLMLNRVLANPPLPAEDPNLTAAMGGGGFPGLPQPPPVVAPPEAASSSGAAGWFGGLFGKKEEDKKAGAGGGKSEILESFDTPSTPIPSFEYK >Dexi2A01G0021490.1:cds pep primary_assembly:Fonio_CM05836:2A:33557481:33560422:1 gene:Dexi2A01G0021490 transcript:Dexi2A01G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEDGSRRRREAGAPVVALECVAGSSKAEEWGGGEGVVQEGDVVEAVRVGRGSGGGGGGAAAALELEAPFKGGRAGLHKALHAAFKRGDTSVEVRVRGGRELQACIVPHHGSPGGGGGRKQYVLRSLHDPNYVLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWEKKMRDTMRMPNTSCYLSILILPKALDPNACHYESFDDTLARANAWLSSSQASGIPIYFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSCIGGELLLEIPLEEGDTRLGFAISRTEEGFIYISSVVDDDEECNAPSSRSGLRYLFNQAKQASKLLVISRVSNEKVLPWMVSSSGAVRCFDTVSLSQKLSLHRLAVRPIQLHLLAWEKPTGTMERIIRSPKLPPPSLLLPQPHQNLMVESVEPRVDTEQDYVGDLSFRLDDLSFESSWV >Dexi8A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:8A:24072927:24074450:-1 gene:Dexi8A01G0013780 transcript:Dexi8A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLCQVNGLLREYIISRSMEENLVFALEGSSRKSLHRTGRHLAIHESWDRDQNVFKSLNFSRLRSLTVFGAFFISDKNKMELLRVLDLEDTSGVTNTEVERMVKLLPLLKFLSLRRCVEITHLPDSLGNLKQLQTLDVRGTDVTKLPKSIIKLEKLQYIRAGATLTMEGDASTPSPSESPSSDNDNGNVERVPPSTPPPPATESTSVSGDGNGVVETPTPTPPPAAAAAKCKPGANLVPDCLSKLWGQRRLDGGSHNGVRLPPGIGKLSNLHTLGVVNIIAAAKDGVLEELKNLTQLHKLGVSGINQNNIQMFFSAISRLAHLKCLSLQLQIDADNQRPGCLDAISMKPMKNVRSLKLYGLLSKLPVWIKEFPNVKNLSLQMTMVRQDVIDSLESVGFLGSLRLFVAKIEEDKLQFSRSGPRMVFLEISSNTRSKATIMFTESSDYPQVLRIRCGRVSPLQISGLEHLYELKEVWVSGPCDNDHKEHFYSERRKYPERTDQANLF >Dexi2A01G0004010.1:cds pep primary_assembly:Fonio_CM05836:2A:3547229:3548632:-1 gene:Dexi2A01G0004010 transcript:Dexi2A01G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAPVFPPTTTRHFVPTKQSAPATTRRFVPKHQQTAPAVEVMEVASPAPSTPSGSSSPAASAPSPAFTTSASRKQQQLMAEAASGLAAARPVPQRLRLSKDFVPPPIKVLSASMPPAPDVMDAGSSAPSTPSDSSSSMETTPSPRGPLPSFSSTSAAPPCMVPTPTPTSAPPRPFLTPAPAMAPPRVEVRQVWAHNFEAEARLIESLLPKFRYVAVDTEFPGTVYRPAGAAYTLTPARSYELLKLNVDALDLIQLGLTLFDSGGRLPTARLPSGELAATTAVSYVWEFNFREFDVRRHRHAPESIAMLRSKGVDFDRNHRHGVGASSEFGPRLRKWLRSGGLGRGGVVTFSGGYDVAYLVKAMFGEGYRMPATAGEFEGVTAAALARRKVFDVKEMARVCPGDLRGGLDTVAAKLGVGRAVGEAHQAGSDSLLTCHAFVKMRERYFDDDDDKLAKVAGVLTDITAY >DexiUA01G0017690.1:cds pep primary_assembly:Fonio_CM05836:UA:37385582:37387402:-1 gene:DexiUA01G0017690 transcript:DexiUA01G0017690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARSLDEALAAARPFLRGEEAQVDAALPELAAVLRGAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVSRCGLFHSAYSNSYVNLAIFQPDTGRAHVAAVVGGPAERLVHLFCVVPRQQLIHDHLLFHYADDQDLVADLARSEASLQDARRGVFHDDEPWRRKIQRLLPPDGITVKHIRTGEDVALSRRIAASFLLMTMADFSDQLFDWQDRLFNNHNGRLEFRGNTWTSLWPGTGKPGLWVSSISRMAALYTLIVREEEIYIAHRAHGSTTADRDEDIDLVIPPVFHGCTKVLSAQDQKVARDLYWEAVCTGDDASDWHRVEQLLQQSIAKNPFVGEPHLVLAQVYLNLERYGDAQVQAEEGLRLLLEWGSSWDKRMPWEAWRVEAAPGATPEAAPEAEAVPESEVQPAPVDAMGPAITVPSVEDSGGCTAHEVTVEELFRAADACALAGLGMLPATGPLRHATLPLATTGP >Dexi4A01G0023550.1:cds pep primary_assembly:Fonio_CM05836:4A:26596953:26601761:-1 gene:Dexi4A01G0023550 transcript:Dexi4A01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWALSSALLLLFLLTTLPDPAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDSEVAQREAESISRKTLRSSAEKFEFQAEVSRLMDILINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGVGMSKEDLIKNLGTIAKSGTSAFVEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWSTKEVDVEVPADEEETTPETTEEEETEDGEEKKPKTKTIKETTSEWELLNDVKAVWLRSSKEVTDEEYSKFYHTLAKDFSDDKPMAWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNNNKSNLKLYVRRVFISDEFDDLLPKKLAEEDPDEYSNKDKTDEEKGEMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDEYISRMRPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALETENVDSVKISNRLHNTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDNESEGLKQTARLVYQTALMESGFNLPDPKEFASSIYKSVQKSLDLSPDATVEEEDEAEEQPEVEEKESAKEDSEPSYDKDEL >Dexi1B01G0022390.1:cds pep primary_assembly:Fonio_CM05836:1B:28125600:28126682:-1 gene:Dexi1B01G0022390 transcript:Dexi1B01G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKPPSTTASSAGSETPNPHAFTCELPHSIYALAFSPTAPVLASGSFLEDLHNRVSLLSFDPVRPSAASFRALPSLSFDHPYPPTKLQFNPRAAAPSLLASSADTLRIWHAPLDDLSASAPPPELRSVLDNRKASSEFSAPLTSFDWNEVEPRRIGTASIDTTCTVWDIDRGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRFDLRYMAALLMDSSAVVVLDIRAPGVPVAELHRHRACANAFAWAPQASRHLCSAGDDGQALIWELPETAPAAAPGTPVVPAEGIDPVLVYDAGAEINQLQWSAAHPDWIAIAFENKVQLLRV >Dexi2B01G0029430.1:cds pep primary_assembly:Fonio_CM05836:2B:37828060:37831286:-1 gene:Dexi2B01G0029430 transcript:Dexi2B01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVASGGTTAVTAAGVGGEDGRRKGRRWKAPREEQLGSVPGRIFSNDGRSRTAAVFTQQGRKGINQDAMLVWDGFGGEEDMVLCGVFDGHGPHGHLVARRVRDALPLRLMSAVRASKAGLDMPAAAWRKAFARAYKTMDKDLRSHATLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSRDGATSGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTDKDQFVILASDGVWDVLSNQEAVDIVSSSPSRSKAAKSLVEAATSEWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASIDNISIDEGSVADPTEAQEQEPALTRNFTVRTVAGSTHEKALAGAVDAVVAGAAHDQNWSGLDGVTRVNSLVQLPRFSEEKAIG >Dexi5B01G0031520.1:cds pep primary_assembly:Fonio_CM05836:5B:32207336:32207683:1 gene:Dexi5B01G0031520 transcript:Dexi5B01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLGPLTKRCHISEVAAEIDRILRPGRWFVLQDTTEVIGKMDPVLRSLHYKTAVIKQHFLVATKGFWRPGSTGSQS >Dexi5B01G0027700.1:cds pep primary_assembly:Fonio_CM05836:5B:29225461:29228309:1 gene:Dexi5B01G0027700 transcript:Dexi5B01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQSMSTDERVAGEHRSPVLQVTAVVPALTASTSDSLLLPSNGFLLNLSDGLHSTYVQLPPADADALLAARPHLVGHLVHLDRLRFARPVPRAVGLRPVPSSRALPCAGNPEPLVARSAACTRGYVIQPAASPSDAAPPLMPSSAGTNAIDAGDSVKRTVLGPKNAVAEPAPPPAGSALKRRFSSPAPSKQRDPSPSVKTASRASSPMVVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRAAKEPAIVVPSRYRQPSPVGGRRGAASPSLGGRRASLSPSSRRLSGEGTGKKKVGVLVAGISKMTDLGNGSAMKPGRKSWDDPTMALAPPAAGSMMKSKNKVDKDTILRTQEAMSRRLSDAATEQSSNDDSSVDERPKPRKKIDSTSVKAKAVVPKIKLHDPKWTDGSIPLDALSDKLSKIGREAIERRDVAATAAASALQEAMVTESVIRNLSKFSEICSSAKTSNPLPTVDLFLAVYEDTLKWKTIAESMVTIEAEEAFLEKSSHDWVHAALATDLEVLKLLNGATETISRMKSANRPKVPSVEPTRTGLSRKQSIGPSAKVQSKVSPSSPASCTWNNTDSTYETVELSKTLWREMHMWFLNFVDEALDVGFHLFEDQNVASKGKHSSHITMVLSQFKKISDWLDGVGKIAEEEKTKEKIECLKRKIYGFVISHMGSAFESSVSVSSRS >Dexi3B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:3B:11061999:11062685:1 gene:Dexi3B01G0015260 transcript:Dexi3B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSPDRLEDPRKPFQYSNCSGSPSVSESGSDIYSKREVTQNTNLQSQLDGTNRDLFESEREVQHLRKIISDHCVAESLSHDKPFQAGQWQSNGTNGHDKPFQAGQWPSNGSNGHVNGYSDSSVDDPELHCNGIEKRNGEAERVELLKREVGELKEVIEGKDFLLRSYKEQKGELCSKIRELQEKLSAQVPNIL >DexiUA01G0010140.1:cds pep primary_assembly:Fonio_CM05836:UA:20024886:20025159:-1 gene:DexiUA01G0010140 transcript:DexiUA01G0010140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQAAALSAPPLPVRGPPPSQDAGSSRADSSASAMGE >Dexi6B01G0018860.1:cds pep primary_assembly:Fonio_CM05836:6B:25803012:25804772:-1 gene:Dexi6B01G0018860 transcript:Dexi6B01G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGNVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVTQIKEYRGKIESELSNICDGIVKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGIEEGKEATKGDADE >Dexi2A01G0014000.1:cds pep primary_assembly:Fonio_CM05836:2A:22925664:22927235:1 gene:Dexi2A01G0014000 transcript:Dexi2A01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPSKRPAPDYFL >Dexi7B01G0000420.1:cds pep primary_assembly:Fonio_CM05836:7B:1024077:1026565:1 gene:Dexi7B01G0000420 transcript:Dexi7B01G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTEVEAPAPPSSEAAPPSRRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVSNATADNANTALYACFAVFGVLGGAAHNLLGPRLTLLVGALTYPLYAGSFLYYNHHPRQAFPVTAGALLGAGAGFLWAAQGAIMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFAFNYHKDPTKPASVSDGTYIAFMAFMLAGAALTVVILPPARIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNSALFSLRTRGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGIVVVAVLGTAIWGGGLANQLKYGEAVKNGDGKLANPIDFKDAHRYPGPFVLYFSYGLLDAMFQSLIYWIIGALANESQVLSRYAGFYKGVQSAGAAVAWQIDTHKTPLLSQLVVNWGLMTISYPLLALLVFLAVKDEDTSVSSVEDGNGNKGSKLSAPTSFH >DexiUA01G0011170.1:cds pep primary_assembly:Fonio_CM05836:UA:22422589:22426440:-1 gene:DexiUA01G0011170 transcript:DexiUA01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKTSGYTGTDKTRRLDEINRVIYEVFPEPNRQLLQRVQTHMVSFRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDNEFSMGGDGSFQLLQAAAAANHAQAIVIIMMEEFDQIFDDLDEGSCSSEAYTESEDDDVHKEYSTDNDIHDDDGSYDSGEDDIEGLDDNSENYSDGSEHNSKINANVKDGKVLQLIIVLIPNVVDEAFSMMILEGYPVSLPVTLYRLALWLKTTFQKLQARKDLSTEEIEFSGDDETLIDKLEHNKIDLQSKIAKEAKENAILQASLERRKEELRERRLALEKEVENLRDQLHKERNLRSSLESGVMNLRRGQVSLPSTIDSKQKASDLRGQVIGQQMCCESCNKRLLNTDRTGGPESVEASSVLGSDSASDMGDVGQSRTHPPSTDKTGQEAVGSITRRAPQRMQSVAREGQDGSSSVSKWNLAQRQYSNSPLLREPGGSAVPAASFALAKLTNRLNFLKERRAQLASEMQSLDLGRPPAAVAAGGAPSVKSPTPKGPEKRRS >Dexi2B01G0024820.1:cds pep primary_assembly:Fonio_CM05836:2B:34115767:34116180:1 gene:Dexi2B01G0024820 transcript:Dexi2B01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEISGGLGDTSGGLGATSGGGGLGYGATSGGLGATSGGGLGYGATSGGGLGTKAGVGTVAADGGGGASGVRTQLGEPGGGVPNTSP >Dexi4A01G0000620.1:cds pep primary_assembly:Fonio_CM05836:4A:390783:393499:1 gene:Dexi4A01G0000620 transcript:Dexi4A01G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVPALATGEASIDAVTDQPLKVYIWDMDETLILLKSLLDGSYAGAFDGLKDREKSVEIGKRWENLILELCDEHFFYEEIENYNEPYLNALGEYDDGKDLTSYDFEADCFSSPYDDVNKKKLAYRHRAIEEKYAKGLENILDQHMVKVWNDLYDLTDKYTDGWLSSAHKLLEEALGKSAEAPITNSKSINCIVTSGSLIPSLAKCLLYRLNDVVSSENVYSSWEVGKLQCFKWIKERFDGPNVRFCAIGDGHEECSAAQVMKWPFIKIEFHPDAPHRFPGLDMPTVQTYMDVIYESSSKDG >Dexi1A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:1A:22485876:22486196:1 gene:Dexi1A01G0015430 transcript:Dexi1A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRSSPWRRRRRRKSAMHGSSSSSVAEAAISLLLHGRRGRATLSSPPLRRHPFLVVRKKKAGRRVHGKGPPRFEFMGGEEDSSSWVARKIRVHGWQGKRPVEY >Dexi9B01G0005720.1:cds pep primary_assembly:Fonio_CM05836:9B:3365590:3366102:1 gene:Dexi9B01G0005720 transcript:Dexi9B01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLRKTLPQVQMRRFLLQKILFRVQMRQLLLQKTLYQVQMRQLQLQKKRYQVQMRHLLLQLQREQSQIPMRMFLPQKRKSQVLTRELIPLKLMKFQVWMMKLQHQKTWSLNQSMKLQFRKIRTQIQTRTLQHQESRMGMASQIAVLGSGMVVALEPLTASAYHQRVG >Dexi6B01G0006710.1:cds pep primary_assembly:Fonio_CM05836:6B:7379994:7380718:1 gene:Dexi6B01G0006710 transcript:Dexi6B01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAALTKKTASRCTTEAARGEHVFEIVGYSPKRGLGVGKYAVDRQKMMCQSILSKNLSMENVATALALADQHNCDKLKEVCIELNMMDDMNALVSTQEQL >Dexi9A01G0011430.1:cds pep primary_assembly:Fonio_CM05836:9A:7152042:7154755:-1 gene:Dexi9A01G0011430 transcript:Dexi9A01G0011430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWAARRLSLSGADDSASWPAASHASFEPVPRACRAALAAYDHGGAGEAPPPPPSPLCPPYRILHDRARGEVVLAVRGLGLARPEDYRLLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPALRRAVAEAGPGRRCRLVFVGHSLGAGVAALAAIVAVRCWLGRLGLRREDVRCYAMAPPRCMSLRLAVEYADVVHSVVLQASLLRFLLLTSRCFFAAFLLNNDATNCISNVLAIIPDHAHFGNLCRMISCPELRRPYSTFLDLFSDTFVSEGELKDPAKLYAPGTVFHIVERKSCRCGRFPPEVRTAVPTVGRFEHVVLSCNAASDHGIIWIEKEAQKALDLMEQEEESTSPPAQQKMLRAQEVQSINIDEGTIALHSIEHLVSLEEETLQGNSSSSSLDFDSPRTSITLCSTSPSSVSARSEPSEWDELVGAFLGDHEHDAGLGHDNNDEKRLCSVVMNYLPFCFR >Dexi5B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:5B:9106942:9108712:-1 gene:Dexi5B01G0012850 transcript:Dexi5B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQTMFKGQSKKKTVPPNRHGKAPHIRKGKRVVKPTKFTNDMDAEKELTKFINQCNEIKAANLASKEGGDLHIVKADGDKSKGDQSKSKK >Dexi6B01G0009810.1:cds pep primary_assembly:Fonio_CM05836:6B:15781525:15782400:-1 gene:Dexi6B01G0009810 transcript:Dexi6B01G0009810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTITTSPIAPTPRSRLKWTSPSCVPKRGSLKTRSIRNGSTDSLDHLQRPSKPRQHQQQGSAPRRRVIQTTPFGLWDSFPEARTLDQMVRTMERIMDGEGDDDRVLVVPASAVPRAENGGVAVPAGAATAAYRRGRTPWEVRERAGEYLVRFDMPGMTREDVRVSVQDRKLVVAAEKAGAKEKSSEEAEEDEEGEAWPTASFGRYRTRVELPENVDVERIAAEVRDGVLYLTIPKLSAGGKVVNIQVQ >Dexi6A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:6A:1213220:1214470:1 gene:Dexi6A01G0001370 transcript:Dexi6A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQMMKSFVRLLLLVQAATVLAGSGVAALSPDYYAMTCPFVEYTVRSVGCDASVLIDSTDDNKAEKDAGANKSLRGFEVIDRVKEAVESHCPGIVSCADILALVARDAVAMARGPYYFVPLGRRDGTRSVASDTFTTLPPPLGNVTLLAQMFAGVGLDLKDMVALSGGHTLGIAHCPSFKARVQNELDTLDATLATSLGSVCSKGGDAGTAPFDRTSTRFDAVYYRELQAKRGLLSSDQVLFEDPATKDMVNAFSMNPYYFFQAFQQGMLKMGQINLKEGDEGEIRLNCRVPNP >Dexi3A01G0021570.1:cds pep primary_assembly:Fonio_CM05836:3A:17180645:17181152:1 gene:Dexi3A01G0021570 transcript:Dexi3A01G0021570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPASPGGGGGSHESGSPRGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLQKYREVRTDEKR >DexiUA01G0026430.1:cds pep primary_assembly:Fonio_CM05836:UA:56465716:56473571:1 gene:DexiUA01G0026430 transcript:DexiUA01G0026430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLQPRPSSTGPVLLRVALHERPSYTSRGTVGLQERRLRIWRFGMDPWTTSSPARPVVGGEELEAAATTDGQRAGGAGGGMHALVSGGGCGASLDGLPGYMTPGAGLLQAVRPAVLGGQTACPHGRPKMLIPKRPEVGTWKMNVAKEPPVTSGQTARQGQLPRVPPKQVYRPKKKEEVQSMDVDSERTTEFDIIRVGTMDKRKLQRLRFREKQEQELEKQRDEVFNQVKPMIPQKKEWKPKEDRQAVQPAVQAVQTACQETTSQAVRPPVQAVRPGGAEALGISSSGSSIGDGKPTSVPTAEDDEELVDYSSSPERMNLDVNVLHMSMDGDMLSEEEGLSHHSANEHDYPGDTKKSNEQQNRGHGCKIRDLGASTN >Dexi3A01G0020930.1:cds pep primary_assembly:Fonio_CM05836:3A:16556403:16558524:1 gene:Dexi3A01G0020930 transcript:Dexi3A01G0020930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDDAGSCNGSDGAQPLPLPLHRAMMHDYVRCGTNERLARGGSAVYGLDYDGHGHSDDMQGYVSEFHALVHGCDDYFSSAVRTSTNTASC >Dexi5A01G0032900.1:cds pep primary_assembly:Fonio_CM05836:5A:35288920:35292045:-1 gene:Dexi5A01G0032900 transcript:Dexi5A01G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHACSRVHQVGALLLVTATFLLTRLFDRLLLDASSPSSSSFHLNPARPTQSVDLRIYVYAEDEIQGLRALLRGRDGTVAAATCLKGQWGTQVKIHQLLLKSRFRTFNKDQANLFFVPSYVKCVRMTGALNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKECVPVILSDEVELPFQNVIDYSEISIKWPSTRIGPELFEYLESIPDERIEEMIDRAREIRCLWVYAADTEPCSAMSGILLELQRKVRRFHQSPETFWLHNRSIVNRDLVEFHGWKTPVPLP >Dexi3B01G0000660.1:cds pep primary_assembly:Fonio_CM05836:3B:570262:572311:-1 gene:Dexi3B01G0000660 transcript:Dexi3B01G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPTAEETAAAAAAAAAAAAAAAKERKQEQRRKQRDEEGLHLLTLLLQCAESVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPASSPAAARLQHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVKLTGLGTSMDALQATGKRLSDFADTLGLPFEFCAVADKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGNDSNTLCLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTPCR >Dexi2B01G0035730.1:cds pep primary_assembly:Fonio_CM05836:2B:42709606:42715913:-1 gene:Dexi2B01G0035730 transcript:Dexi2B01G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVAKRCMNPACAAPPTGPGPDWRKGWPLRSGGFALLCDKCGFNFCRVVSGVHRLAYEQLVFCDIFHQKESGWRDCSFCGKVRSSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEDSQISAIANGSLLSETLFSTANENLGVVSGYPGFLQSIKGAADFHPSSLYDHHMNSADGDVSWLKADKFGSRPDEGSLQFLQKRSRNIGSKSRRFLMDTEDAMELKLTWEEAQELLRPAPSAKPTIVMIEDYEFEEYDARTPCLCKEINFHHACYRVSKSLDKRYRIINFVYFEWEQDQWIQCDDCSKWRRLPLTVIVASKWTCTDNSWDPKSCSCSAPEELTPKELQCVLQQYEEMRRRKGSYGLKLNVTEMDASSLDALASAAVFGDVGNQATASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSNLSRSPQTLDTTRDSSDVTMFDKAADANKGHIDLNFHPAARDDHQGQHGAQQPRPAVSMMGLMEVASRPLDNYMKQNGLTSLAGEQAAGSSITATTVLPAPVESEERTSNEGRVASVEREPDTMAVDEAGENQQEKAADESAPAAATAT >Dexi5B01G0005740.1:cds pep primary_assembly:Fonio_CM05836:5B:3879616:3880446:1 gene:Dexi5B01G0005740 transcript:Dexi5B01G0005740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATGVKIMESQLLSEFISFLKARWPARSRVDERRRRLRQLVSMVRAVADAAECRGAVRDGSLSAWLHVLRAEALRGQELLEAPGLDAAAVAGSARRFLAGLKGLLIRSAEVDRLTAAVDELERLAGDLDMFVKVLGLDPARATEMEVRTTEMEVDGDGSHGAGVRRDEGSSSRVNSIAALAGLPSPGGKRKRAAAASSGADGDSSAHGNVDGTVQLPKRRVLAAPREPPPPVSRSRRARAVAKAIARIRRRIGKPATRCRQQESIDQRLSRISL >Dexi5B01G0028270.1:cds pep primary_assembly:Fonio_CM05836:5B:29695564:29696079:1 gene:Dexi5B01G0028270 transcript:Dexi5B01G0028270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQPQDSAAGCSFFGNPATQGMCSVCYQKHRSAVDSGSGATASTTASEPVARSACAASVVISGAVAAKPAAPAISVSEAVVASSSSSSSSSSSASEAATKAEPNRCPACYKKVGLTGFLCRCGKTFCGKAEPNRYAEEHGCTFDFKGAGRDKIARANPLILGEKLIGKI >Dexi5A01G0002140.1:cds pep primary_assembly:Fonio_CM05836:5A:1498024:1498526:-1 gene:Dexi5A01G0002140 transcript:Dexi5A01G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQPRRAFPLVPLLIVLMSAAAYGRLISDGSSTSSPLVSVIRLAAPAKAAAAEEKCEQSYGFLPCTTTVLGNLFLVLVYGFFMFKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLQG >Dexi8A01G0017660.1:cds pep primary_assembly:Fonio_CM05836:8A:29372796:29373117:1 gene:Dexi8A01G0017660 transcript:Dexi8A01G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPLGAKGDGEALVHELAHGDESAPTAEARDKADAGDHEVRRDYGAHGIDGVVLAGAGGEGSAGA >Dexi3B01G0011990.1:cds pep primary_assembly:Fonio_CM05836:3B:8357734:8361970:1 gene:Dexi3B01G0011990 transcript:Dexi3B01G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDEAFLGVGDKPGLDVWCIVGSSLVPVAKSQHGKFYSRNCYIILNTAELKTGVRRHHVHYWVGEEAKEEDCLMASDKAVELDAALGSNTVQYRETQGEESDKFLSYFKPCLIPVHCNPPSHVEGSGHKSSRTMFRCEGEHVARVTEVPFSRSSLDHKGVFIVDTTSKIFIFSGCNSSVQTRAKALDVVKHLKENRHSGRCEIAAIEDGKLVGDSDAGEFWNLFGGYAPIPRDLPEAVREESMSSKKLFWINKKILVPMEAHLLDREILNSDRSYILDCGTEIFLWLGMTTLVSERKTSATALEDYVHSQGRSLSVRTVVVSEGHETVDFKLHFQHWPKIVEIKLYDAGREKVAAIFKHQGYDVTEIPEDKPQQLISCNGHLKVWLVDRGCTTLLSTEEQEQLYTGDCYIIRYSYVEDGKDYHLFFAWYGKNSIKEDSVATASLMSSLADSVKGHPVVGGRSAAYKNHVLQNRPCYWIAAMKSTFGLDYTQISLFVSTFLQMFLQDRRSIETTVYVVTEGDEPPFFKKFFNWDSSKQSSMVGNSFERKLALLKGLSPKLETPDRSMRRPSSRRPGVSSEPTTPEHHHQQPTAARRAFGSASTARLARERSPATGLPPSPTLTQSPKGRSSSSTPTAVARRLFPTSLHSPEAVHALTNGTARRR >Dexi9A01G0027110.1:cds pep primary_assembly:Fonio_CM05836:9A:31452215:31459309:1 gene:Dexi9A01G0027110 transcript:Dexi9A01G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDGGPQAPAGGNVKDSSAAVLVTEMKKGKKESVENDRAEFTECVASAAIGKNIVTYLTGVIHERNVDAATAVSTWIGTSFFTPLIGAFLADTFWGRYRTIVIFLSVYAVGMLNLTISGFLPLLMGSSYNRHIHLVVAYMGLYLVALGNGGIKPCTPALGADQFDTNDPVERVTKGSFFNWYYFSVNIGSLLSATVLVWVQDSIGWGIGFAIPTMLMVSGLAVFVTGRKVYRYQKVGGSPLTRILQVVVAAVRNYPLVLPEDCSALHEAPSPTEGNCKIQHTSEFRFLDKAAIALPSSSEKGETSPWRLCTVSQVEELKMLLRMFPVWVSMVPFFAVTAQMSSTFIEQGAAMDNRVGSFTVPPASLATFDIISIMVCIPIYDAVLVPLLRRATGKERGLSQLQRLGVGLALSVVGMVYAALIEARRLVLARSDADSTTLSIMWQAPAFAVLGAGEVFTAIGILEFFYGQSLDGMKSMGTALAQLAVAAGNYLNSAVLGAVAAVTARGGKAGWIPDDLNEGHLDYFFWLMAALGVVNLLYFLHCATRYRGNNKIDA >Dexi3B01G0025390.1:cds pep primary_assembly:Fonio_CM05836:3B:20163307:20166184:-1 gene:Dexi3B01G0025390 transcript:Dexi3B01G0025390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPAGGSGKVVDSFGGLKAYIAGSEDSKAAVVLVADVFGTCFIFFLQILHLSGSFSWDGDRKIADKVALSGYFVVVPDFFHGDPYAPDNAGKPRPEWLKLHAPEKGFDDAKPVIAALKEKGASAIGAAGYCWGAKVVVDLGKAHEIQAAVLLHPSFITVEDIKEVKCPISVLGAEIDHRSPPELVKQFEQVLSANSGVAHFVKIFPGVSHGWSVRYSHDDAAAVKSAEEALGDMIDWFNKNLK >Dexi6B01G0019590.1:cds pep primary_assembly:Fonio_CM05836:6B:26289842:26291515:-1 gene:Dexi6B01G0019590 transcript:Dexi6B01G0019590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAAASTTLFYAALLLAAASFLYLASIRRRRRSDLPPGPRGLPLVGSLPSLDPQLHDYFARLASRYGPIFSIRLGSKLGVVVTSPSLAREVLRDNDLVFSNRDVPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLGPAGLENVRALREREFRATLRHLRASAGEPVDVGAQMFLTTMNVITGTLWGGNIGGEEERAAVGKEFRHLVAEITEMLGAPNVSDFFPALARFDLQGIRKKSDVLKERFNQMFARIIEQRVKADHAGGEPPAPDFLEYMLKLEKEGGDGKTTFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMQKPKLLAKVREELDAVVGRDAVVEESHLPRLPYLHAVIKETLRLHPALPLMVPHCPDADATVGGYRVPAGCRVFVNVWAIMRDPSVWKDPTVFVPERFLAGAAEGEGRKLDFTGGEMDYLPFGSGRRICAGIAMADRMTAYSLAMLLQAFDWELPAGSRLDMDEKFAIVMKKATPLVAVPTPRLSRPELYDAY >Dexi2B01G0018570.1:cds pep primary_assembly:Fonio_CM05836:2B:28849079:28850251:1 gene:Dexi2B01G0018570 transcript:Dexi2B01G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWITFTTGFFEIFYYQLDWGPGGGGVHPLPAGYEDSFRALKWAASAGNGDPWLSQHADLSRVFLAGDSAGGNIVHNVAMMAAASEGEAGSGTAAEAAAQIEGAVLLHPGFGGREPIDGETPEWMETMEKLWGFTCPEATDGTDDPRMNPLAAAAPGLEKLPCKRVLVCAAERDTLLPRNRAYYEALAASRWGGTVEWLESMGQPHVFFLFKPGCDEAVALMDRLVSFFAGN >DexiUA01G0013530.1:cds pep primary_assembly:Fonio_CM05836:UA:28436336:28437211:-1 gene:DexiUA01G0013530 transcript:DexiUA01G0013530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMLKYDSTHGRFDGTVEVKDGHLVVNGKTIRVTAEKDPANLKWNEIGVDVVAEATGIFLTDETARKHITAGAKKVVLTGPSKDNTPMFVRGANFETYAGQDIVSNASCTTNCLAPLAKVINDNFGIVEGLMTTVHATTATQKTVDGPSHKDWRGGRGAAQNIIPSSTGAAKAVEIKKAIKAASEGAMKGVLGYTEDDVVSTDFNGEVCTSVFDAKAGIALNDNFVKLVSWYDNETGYSNKVLDLIAHISK >Dexi4A01G0015350.1:cds pep primary_assembly:Fonio_CM05836:4A:18269419:18271817:1 gene:Dexi4A01G0015350 transcript:Dexi4A01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSISLLLPPLHRQCEAAAAAAATSSFHHVDTGAAAAAAEAEASRSSGGKTRKKQQRPQVEGMFVFGSSLVDNGNNNFLNGSGVRADYLPYGVDFPLGPSGRFSNGRNVIDALGELLHLPGLVPPFADPRTLVSLRQQISNFEAVTLPDMRAQLRGDAARVKVKGQDPFQRGYLSKCLFVIGTGGNDYLLNYFNPRKNGTEGAPPLPEFTRSLITKLSDHLQRLYALGARKFVIFSIQPTGCTPVVRAFLNITGAACIEPVNNAVALFNSELRRLVDGARSHMPAARLAYIDSYKIIRDMLDHPAKHGVRETGRACCEMSRRSSGVLCRKRGPVCRDRTEYVFFDGLHPTDAVNARIARKGYGSSSPEHAYPINVKKLAML >Dexi8A01G0001490.1:cds pep primary_assembly:Fonio_CM05836:8A:1058395:1061419:-1 gene:Dexi8A01G0001490 transcript:Dexi8A01G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAEQEKELLSSVVSDIRCYSGSDPLRPWLRGMRKMERALPPATLHEKLPRFLQKCAQEFQDDARYRDDPCYLRVWIQLMDYVADAKPLLKKMETNGIGLKRASFYMAYALYYEKHKRFSDAEKKYRLGIQKIPRKAESSATAMNQVKGSALVGKSETEDACHHGLVEPTINTKEALDAINSMFLEPLEPETMLKRRSKREKTNYNQQRGAFDIFVDEDEHNSNDPNMLQNNSMKQGHTKSSEQTRGFEIFVDEDGPDGNNQNVGQTRNSKKANNQETGGFEIFVDEDGPNSSDHNVEQNRHSKKHNMKLNQETSGFEIFVDENEANVAAHNAMCHKNNRRPPRPLCDSSKHQEETDFQKPFVGGFTILPDDEEEQYWKTVDNTNSRTVQPTHNNTNSLHPVQANSGTRYLEGSHPVSSGLQEDTVIRRFVGSTIDDEPKVENACHHGLVDPTINLKEAMDDINNMFGKPLNFKGEKTKRKTNALSNGKAAPVSGFSILADDDIKENTCKASRSNSCKFGDENGLFEPTITTRDVMAEINDMFGMPLDF >Dexi4B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:4B:12629627:12640662:1 gene:Dexi4B01G0013640 transcript:Dexi4B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDFVNLSSDDDDDDDVVVAREEHSVHGQGHLIHAKAEFVDLTIDGDIVEGEHLSYGQGKAAQGTTFLKQKFIADDEQAEVVQCRATLRMEELTAGNAQAEAAQCTATLRMEEHIEGDGQGDAAWSTGVSHFLDGQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAIDEVLIQTITLTIVLHCNYKVNNGATFVKIDKMKLSCDGDYALVIEDHLCICLWKLCTIYRVRILSLLLILLLFSIQDNGGGMSPESLRHCMSFGFSQKCTAASIGRYGNGFKTSTMRLGADAIVFTCTKDNRRLTRSVGLLSYTFLMTTKCNHIFVPTVDYEFDALSSTFQRIINCGEKHFSSNLSTLLRWCPFSTEGELLNQVCDVNLFMMRIGNSSNALSSAQDIMISGAPEIRAGRTVEKLTQMHVANRFRYSLRVYASMLYLHLPESFQIILCGQAVEPHYAVNDLIYRECIKYQPQVEVTTEVCSNQLDLVLLICGRVLEANFIRPTHDKQDFERTGLFQRLETRLKDMATEYWTDHCHLVGYTLTAKRTPQACYVWTTANHDDHNLVAQATTNTRNYSSRARASVALHPCSDGFTIRYPLHIDPDALTDQRECAASPSASINVDTTSYRPRNAPQQSQTELHKRRKPCLEMFWRAQKRRNTNLYSDQPGSDSGTEVGNAVIT >Dexi2B01G0015510.1:cds pep primary_assembly:Fonio_CM05836:2B:25528650:25532779:-1 gene:Dexi2B01G0015510 transcript:Dexi2B01G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGIQEEPPTSARFLTPTRSGGSRWVDGSEVDSSESAGWSLGAGDERSAGAVLTEASAAPASRVSSGTFRRRLGKRPRRVDSLDVEAMNVRGAHGHSSKVRPRIQALGELSMLSTIAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRLPRKEAPLQEHSPVLGSDGDFHVMSAVSGLQGQVPGFDTGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAFLMKNPLAAERIFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGFFEQLLVESLEKFLRTEAQEIALEASTMEAERDDVSVISEVPQSPACEGDLQTPLLSDQRSGDDNRIGSRDGAPVLPASSMSAEEDPALEYELEALREAMASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTAILKVPHSNIMRVGMTYMV >Dexi9A01G0047440.1:cds pep primary_assembly:Fonio_CM05836:9A:50538428:50543696:1 gene:Dexi9A01G0047440 transcript:Dexi9A01G0047440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEQQPQLGTTRVSSSSTSPRGRAATGSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFENVSMTRVSSVQVGCGAGNTIFPLLSTYPNIFVHACDFSPRAVDLVKKHKDFRSDRINAFVCDITSEQLTENMEPSSANIVTMQIFVLSAVAPDKMPLVVQNVKNVLKHGGRVLFRDYAYGDLAQERLMSKGQQISENFYVRGDGTVQIMGIKAKGHDFKIKVLTKEYQHTCKLTGLMLWESAQLMCGLLAENPSIVAGKRVLELGCGSAGICSMVAASFAKFVVATDGDAESLDLLRQNISSNLEPNLLDRIMIRKLFWGNNDDVRAVRELSDNGAGFDCIIGTDVTYNPDAILPLFKTARELISDKANEDSRAAFILSYIQRRVDENSILSNAMAQGFRLVDKWINGVHESNGIISSWFSGNDVCSAYQNTTLSILYFEL >Dexi4B01G0002930.1:cds pep primary_assembly:Fonio_CM05836:4B:1928741:1933186:1 gene:Dexi4B01G0002930 transcript:Dexi4B01G0002930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTITSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNSIIGPAIIGKDPTEQVEIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFSEAMKMGAEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIEKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEDNNDGSHKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVSKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >Dexi6B01G0001900.1:cds pep primary_assembly:Fonio_CM05836:6B:1617777:1618061:1 gene:Dexi6B01G0001900 transcript:Dexi6B01G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNKLMQQLMARLHLAKGSGGVPRGHFAVYVGEDRARFVVPTAYLKQPSFVALLETAEEDYFRLDHHCHPDGLTIPCCSERDFATLVRSLAA >Dexi2A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:2A:4522970:4532428:-1 gene:Dexi2A01G0004900 transcript:Dexi2A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFALGLTKTALEGTLSRVKSAIEDEANLKVTVQHDLVFITGEFQMMQSFLMVATKERTNNQVVKTWVRQLRDLAFDVEDCVEFVVHLDKSSTWSWMWRLVPSCMAPPRHLDAAVAEIKQLKARVEEVSQRNARYNLISNSAGSKQHASLAPPAAAVFDSVVAEVFAAQSFFWPNSRAVAQSSRSLFSLSFSDVWARLVSTVFLLAPCPSAARLRARFPLRKATAARALVPLNPSHRFRIALAAAANPSLSIVAKVRVEVTKSPSPLSLSLSRSRNFTVATEPPLAASLLALVLPNSGEFPVMRRRAPSLWSPALFPSISANRSDSSQTERTENGLFEGDQDQVYEEEPPQYFEEGKFILSCPPPRAMKAEPSSPLRERSETLLLLFLCCKSASPSPELRAHHRREQVPPTPLPPRDPARDTGAIRFLESVFTRLLAQNRYVFTGVRFIVLDTPPPSNDYTAPRLLLSRNTMSSNGIPPAGNGATDGSGKVEFSSPELLRAAGQTACSRAAVKAYDHNLGRDSTACDRRLDRLAHCQTGYRAPPV >Dexi3B01G0005410.1:cds pep primary_assembly:Fonio_CM05836:3B:3617253:3619427:1 gene:Dexi3B01G0005410 transcript:Dexi3B01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSVFCPFDAAFAFIGCDGVAGGHPPAWVASSARMAAVVDRICEDDDAFQAPAPDTVTQKNYYKRTMTTRSQMRKCSSCIKFHIITFEQQTPRRPARPSSCPSACYFQSSSSTFSPPPLPPLIRAMQPHGLASPPLLLHLALPSRGSPEKRCGPHLPVLPPQEPHASKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >Dexi5B01G0028040.1:cds pep primary_assembly:Fonio_CM05836:5B:29541777:29543143:-1 gene:Dexi5B01G0028040 transcript:Dexi5B01G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGVNMRAWLKNQAFDRATVDGPPSANAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIIQQYIAATGGQAALQGVRSMYAVGKVRMCASEFHLGDQTVTAAQGRAEVGGFVLWQKTPEVWFFELIMAGHKMSAGSDGKIAWRQSAAEQSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKILNNEECFILKLEAGATTLRARSAPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRCIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDVDDQNK >Dexi1A01G0006450.1:cds pep primary_assembly:Fonio_CM05836:1A:4863330:4867333:1 gene:Dexi1A01G0006450 transcript:Dexi1A01G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTHFEIRVQPNDTIMAVKKKIEEKQGKDNYPWGQQMLIFNGQVLKDESTLDENKVSEDAFLVVMFSKVSKTAGSNGASSAQPLSTPATRQAPPVDAPRQASQPLVAATTSQPEALPAQAPSNTYDNAASNLLSGNNLDTMISQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPVTSEVAVPVGGQGETTTDQAPGEAGLSGIPNAAPLNLFPQGGSYAGGGAGGGTLDFLRNNQQLRINSISIGLQFQALREMVHTNPQILQPMLQELSKQNPQILRLIQENHAEFLQLLNEPFEGGEEDFLEQPEQDEMPHAISVTPEEQEAIGRLESMGFERARVIEAFFACDRNEELAANYLLEHAGEED >Dexi3A01G0027580.1:cds pep primary_assembly:Fonio_CM05836:3A:26350813:26352487:-1 gene:Dexi3A01G0027580 transcript:Dexi3A01G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLDSEHMKKFFYYIQLPDFYIASDAFRTFKELLTRHKSSAAEFFSRNYDWVNISNSSFEYAPLCASHFFSEFNSKLLSSPNYIIQRQATQLLGDILQDKSNTPVMICYVCSKENLIIVMNLLRLNMFALCSQLQFLHSVYQEQSKAIQLEAFHVFKLFAANQDKPPEIVGILSANKSKLLTFLANFSLDREDQQFEVDKAKVL >Dexi7A01G0003200.1:cds pep primary_assembly:Fonio_CM05836:7A:12292550:12294082:-1 gene:Dexi7A01G0003200 transcript:Dexi7A01G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSMSSLWVKSVFEIGPTSWIKNQISANGLREFALPAAFCDAIGLHEPCMIALKTSMSSVESWQVLALPFRNGQYRVRQGWMRFCKENNLNVGDIYTFNIVKSTLWLVIISRYNERMNQCYSLPLSLCKAIGLRDPCCMVTLKTSASNTMSWQARVLAHENGNQMSGSGWKRFCHDNGIKVGDVCTIKIIETTLWHVIIERRQACTS >Dexi9A01G0009480.1:cds pep primary_assembly:Fonio_CM05836:9A:5756275:5756724:1 gene:Dexi9A01G0009480 transcript:Dexi9A01G0009480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQQSNAGSTVWISPRQGPSRSSAWGRGGAGSRPGVGRKKEVGRPSGSSSSGPSGSPSSGRGRGSGGRRRSGGRRGRRRQVERPGVGMKKSTLPRITRVSKKHPPRSLLRCSTNGPVGFNRGRRKRSEKQPVWLLTQIKSDRSSP >Dexi4A01G0020510.1:cds pep primary_assembly:Fonio_CM05836:4A:24172315:24172566:-1 gene:Dexi4A01G0020510 transcript:Dexi4A01G0020510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEDYEEAEDVEDA >Dexi9A01G0041980.1:cds pep primary_assembly:Fonio_CM05836:9A:45540717:45544344:1 gene:Dexi9A01G0041980 transcript:Dexi9A01G0041980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGRGGNDDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLPEGEREYYEKQFATLRSFEEVDSLESNEINEEEELAEQNQSEFAMKISNYANIVLLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPDKLNQVQLLWLYSIMIFATVVKLALWLYCRTSRNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILNKLPSSQP >Dexi8A01G0006990.1:cds pep primary_assembly:Fonio_CM05836:8A:7581741:7584226:1 gene:Dexi8A01G0006990 transcript:Dexi8A01G0006990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSAGVVGPFSPATLELAGLDLATFDTVMAVNVSKFTITGFVKATAAELCRLRVSINCMSPYAVPTLAETSVAAAVERHGRLDVMLNSAGMVGPLSLATLKLAGLSLAAVMAVNVKSGTLARIKHARRGPELCRLGVRINRISPYAVPTLMVVGQFSAMLQGAVGEEHWSRYEEVMSDPVKYVKRIATFLGVPFSIEEEDFGGTRGGCEALQLQDA >Dexi2A01G0036720.1:cds pep primary_assembly:Fonio_CM05836:2A:46165205:46166071:1 gene:Dexi2A01G0036720 transcript:Dexi2A01G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAKGGAAAGKKKGSVSFTIDCTKPVEDKIMEIASLEKFLEERIKVAGGKAGSLGDSVTVSREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRTVYELRYFNIAENEGEEEE >Dexi4B01G0000570.1:cds pep primary_assembly:Fonio_CM05836:4B:368171:369827:1 gene:Dexi4B01G0000570 transcript:Dexi4B01G0000570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGDMSDFHGGDMSDWEYEEATNSAISKFRDISISEPEESWVGDTRVEDEKEVADEEVEDEANEEVEDEADRVELQLPRIDGRNTDTMRPGLTWEQMVVKVLNMIRRREIYEFNHKLQIDLPTRFCRFNIALFDLDKEFKIVESDVGYPVNIFGTVIARDQDDSLTLTGPDRALAVTDGMFFELHLKVKNDGEGDQVFCKGLLEHNTARHTRQFMALTLESWLSTVQLAFTIVPYAVEASVAASILKGPSNFIGKIVAWTTRNDKNEIILYDSQVAGPHMKHGTLDIELTRYIVAVPLDEDLVVNVSLCGSDCEAECLEFIIGNDFEKCTSTRGAYQLQVNVVWRGVMRQRRPNMWEYFGDYRVLR >Dexi2B01G0023230.1:cds pep primary_assembly:Fonio_CM05836:2B:32761374:32766808:-1 gene:Dexi2B01G0023230 transcript:Dexi2B01G0023230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAAGKDKMLTLVSCDQENFEVEESVAKESRTILHMIEDGCADNGIPVPNVNSKILAKVIEYCKKHVEARRGADADTADPAAATNKASDDELKAFDAEFVKVDQGTLFDLILAANYLDIKGLLDLTCQTVADMIKGKSPEEVRKTFNIVNDFTPEEEEEAIKSLSFSGLRKDNVAFRLQPGPQRFTVCCPAKQEIVDKVCDIVKKQLALADGTEVYGTSKFQDLGADSLDSVEIVMGLEEAFGITVESSAQSIATVEDAANLIDELVAGKSS >Dexi9A01G0018070.1:cds pep primary_assembly:Fonio_CM05836:9A:13141444:13145139:-1 gene:Dexi9A01G0018070 transcript:Dexi9A01G0018070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIKNDPLIPFTYVKMITVGSMVILTTYGIIVFVKFFVKLRSTLNVRDFYYNSLKVTDLEIQTISWPKVVEKVVLLQKSQQLCVVKDLSEHDIIMRLMRKENYLIGMVEHFFRHRMNNCTLHSLNYLKQFPTPLISIVAKFVSFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTVVFGTVATYTITMLLEEMASIFITPYLLIFVLPKRVNDIICFISEFTVYVDGVGDVCSLSLFDFQRHGNRNYGSPLDSGKDMRSSQGKMEKSLLRDVDSSTHRDEPWEDIWPHQVTEIEEEEIWDSNLYERARSHLEASTSSAFFQRATFKRQGREQNSTSCPWWGQASGCRTDPRDSYVGPPHDSFIEPPDFANHYTSHHCSSQHSNWALNAAHPTGPEDSFHEPPNFGNNYASGYHSSYHNSDASGGTKELDERDYRSNSYWTSPQALSKTKYMENDPDLEQGLSFHFADVPQNYEVNRDKGDGHGVADICSSMPTSLHVRIVPRSSDPV >Dexi7A01G0000750.1:cds pep primary_assembly:Fonio_CM05836:7A:2060356:2060964:1 gene:Dexi7A01G0000750 transcript:Dexi7A01G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLTEGVDEDEKELIAEKMIKLVNLYYWALDGYKVIIDRDLRVEAYPHFMEKKGFTSYHSISILGRIYDVTEKTISQQCENDKQIL >Dexi9B01G0011400.1:cds pep primary_assembly:Fonio_CM05836:9B:7238203:7248844:1 gene:Dexi9B01G0011400 transcript:Dexi9B01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTRFSTQGPPESSKKRSRRGAAVSAAGDGGGGEVKRGSKGRGRGKGKKRRRGDRAVAAPAMDGVAVGDREALNNQVKPKRPKTSGPPKKKGPRKAKAVQMDIEAQFPNGKSHGDMNGAKPIYLQYDAETVNDKDSKNGKVKQTAKRAKKRTKGDAGKISIKERLKREDKEQKKASSSKDKDCDEKNRKGKMLTGDNALMCHQCQRNDKGRVVWCDTCRNKRFCVPCIERWYPDLSEDEFAKKCPYCRKNCNCKGCLRMRGVQEFFSSFFFSFLVFINDFLFLMNQPPKKEISEGSKIRYACHVVRLLLPWLRKLRQEQMEEKKLEAKIKGVLVNELKLEEAKCDQNERAYCNNCKTSIVDFHRSCKCCFYDLCLACCGEIRKVKLPEGVLKPDLGPKTYIAYGFNQELGRGDSVTKLHCDMSDAVCFLNVQHSCFCGAGLDINAVPPIDTPGDVKDKPQSHESKESGKHERTGGALWDIFRREDSEKLQDYIKKHSSEFRHIHCNPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCAHQVRNLKSCIKVAMDFVSPENVNECIKLTEEFRRLPSEHRAKEDKLEIKKIALHALKEAINFLDVSSDRLKSGAGQPSNEGETEDEKKPKRKGGRRRCEVKSEDSKSHDEAVDKKPKRKGRGRRCELKNEDTKSHNEAVDKKPERKGRGRRSELKSEDVKSNDDAADEMPPRRRSSRLKGSGNGK >Dexi3A01G0003370.1:cds pep primary_assembly:Fonio_CM05836:3A:2173737:2177415:1 gene:Dexi3A01G0003370 transcript:Dexi3A01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHARLRRCDEARSGEEPHVVVATSAALSVGGLLLRSARLLVRVPTLRRRRTAHCHYMATLIALPLVARRCPACQAAAAALLVAVPPLLSSHDDARLGLLLLLPSVVRLLDPDNDDAELAAGLTTVLCASHLPTASARLGLGGDDMGRMTTHGGVGSRVIIIIFHFGGVGSSAGRAACAMARCKRATGIAMDRRIEGRVDGGTMGKRRAMEIGIYREDLAEMANLSGAAVLLLVVVLLCMYTCVLLVGIQLGRAIERRPPDTDSVSLTFSIGEVLGYAAEPRGVISVGPWGGYGGQPFYMNGRNASTCSLRGIILYHSSSAIHSLACDYSRRRRRSLPDGGSMGPSSPLRFHEIASCKDHPVCSLPTHHLLQPAAGWVISHALTFRTSHGRKYGPYGNKIGAGAPFSVPAAEGACIFGFWGRSGWLVDAIGVYVRPCSNPAYKYTSKPQERKYQ >Dexi3B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:3B:1076817:1077838:-1 gene:Dexi3B01G0001530 transcript:Dexi3B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRGRTLLLPLAAATVLVASTIFLFAAAGARWRPADTGLPFPPAAFSAAAVPVTIAGVSSNATAAARKELSFLDENGHPDDLASASDSGAAARCDPRAAAVKFFMYDLPPEFHFGLLGWSPPSPDSVWPDLTNDASPPPRYPGGLNQQHSVEYWLTLDLLSSSSPPCSAAVRVADYRDADLIFVPFFASLSYNRHSRPVPPEKVGRDKALQEKLVRYLTARPEWKRYGGADHVIVAHHPNSLLHARAVLSPAVFVLSDFGRYHPRVARLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIYRKEWFGD >DexiUA01G0017870.1:cds pep primary_assembly:Fonio_CM05836:UA:38060523:38062283:-1 gene:DexiUA01G0017870 transcript:DexiUA01G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIQLSPTLLGGSKENPQYEDNEAVPVYDTSGPYGDPDVAINVQQGLAKLRQRWIDARNDCEELTVRSSAYTKERLADDGLDELRFAGLLTPKRAKAGKCVTQLHYARQGIVTPEMEFIAIRENMGRERIRSEVLRHQHPGEGFGARLPENITPEFVRDEVAAGRAIIPANINHPESEPMIIGRNFLVKVNANIGNSAVTSSIEEEVEKLVWSTRWGADTVMDLSTGRYIHETREWILRNSPVPIGTVPVYQALEKVNGIAENLTWEAFRDTLLEQAEQGVDYFTIHAGVLLRYVPMTAKRLTGIVSRGGSIMAKWCLSHHQENFLYEHFREICEICAAYDVSLSLGDGLRPGSIRDANDEAQFAELHTLGELTKIAWEYDVMIEGPGHVPMQMIRRNMTEELEHCHEAPFYTLGPLTTDIAPGYDHFTSGIGAAMIGWFGCAMLCYVTPKEHLGLPNKEDVKQGLITYKIAAHAADLAKGHPGAQIRDNAMSKARFEFRWEDQFNLALDPFTARAYHDETLPQESGKVAHFCSMCGPKFCSMKISQEVRDYAAAQAIEVGMADMSETFRAKGGEIYLKKEEA >Dexi3B01G0003520.1:cds pep primary_assembly:Fonio_CM05836:3B:2335543:2339245:-1 gene:Dexi3B01G0003520 transcript:Dexi3B01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQATPAYARSPRFVTASRAFSKQELDNLRALFASLAEQSQSSGRAISRKAFLEYYGVRGPLGERLFQLVTKESGGSDGVTLEDLIIAKATYGRGTRDEVDDFIYQLCDITGDGALTRFVFTLAFTSLVHARSDLESVLESIHETIFAENKEVGEGSDNKTFETFLNSAVFSKDAQGVLEKSMSLSDFRNWCTLLPSLRKFLGNLLMPPDSGRPGFEVPLLHYPENISTDSLLLNKEYAWHIAGGFAQHEVQEWKLLYHSSLHGQSFNTFLGKVTNGDAQTVLIVKDTEGSVYGGYASQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANRNLQWCAINFSSENIPNGIGFGGQPHHFGLFLSANFDQGHSFTCSTFTSPPLSKTNRFRPEVIECWGIQMRGAQDEKSELVKGTVLERFKEDRNMLKLVGLANASE >Dexi3B01G0010870.1:cds pep primary_assembly:Fonio_CM05836:3B:7665007:7665372:1 gene:Dexi3B01G0010870 transcript:Dexi3B01G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVRGRGCSSPCAGRGRGDGWGSSPCSCVAGGSCGSKGCWRAAAAASAVPGPAPAAACSNSGGGGCAATGSAVGEEEGRGSGCPAAAADPPAPAAGAEEKGEEGGREGRSRRPAASHGD >Dexi6A01G0008740.1:cds pep primary_assembly:Fonio_CM05836:6A:9495526:9498141:-1 gene:Dexi6A01G0008740 transcript:Dexi6A01G0008740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLSEVHQGDTEGTGKGKQYCGLSDNSCGLNKGKGVVRSQTSWTPPEEVWTKLNVDGSFVAQTGQAGVGVVARNSQGKVIFTAWQELTRCSDAAEADANACTNGLRLAVQLSPGKVILETDCVRIARALQAEVDRSELAFIIGEAQEYMKLLEECRVVQGQAYEGPLR >DexiUA01G0002970.1:cds pep primary_assembly:Fonio_CM05836:UA:6317443:6318633:-1 gene:DexiUA01G0002970 transcript:DexiUA01G0002970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHDAIVSAVHHAFLAIAAAALLALAVALFLLWRKRRALPAGHGSAAAVTTAAPASLPVVPLADVERTTDGFHQSRVIGHGRHFAVYAAGPGLAAKRMHPHLVLGDPGGRRFPSGVRSLAAVPPHPNLAAIVALSEGPGERVLLVQRAHLGALSLDTLLLADAGEDDDARRVPSLSWRHRAAVAAGAARGLAHLHAHGVVHGRVRPCNVLVTASSSFPGAGGAGARWKHAAAAMVTDYGLAGFLDHQRGDDVDARAEDDVYMFGAVLLEMLTGRRWDGGRLAEWALPRIRAGVGVEEEVLDVAPEDKAEARLLGRVARVALACVGNVDGRSRPGMAEVAAILSDVEAAYRRRDGAPVGGGEEEGDDGDDEGRLSGCLLGSSRSVHKADMLLRPPV >Dexi3A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:3A:9455647:9456941:-1 gene:Dexi3A01G0013020 transcript:Dexi3A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDKAAAAVQAGGNAQQQQRKPDAGNLIQVSSSKKPLFFYVNLAKKYMQQYGDVELSALGLAISTVVTIAEILKNNGLAVEKKIRTSTVEIGDETRGRSIQKAKIEILLGKTDNFDELMVASAGEAHVGDGEEQA >Dexi1A01G0027560.1:cds pep primary_assembly:Fonio_CM05836:1A:33299986:33301906:-1 gene:Dexi1A01G0027560 transcript:Dexi1A01G0027560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCCRVFSPQRCRLPLRRLAAPPWSRPLCSESSGGLAAASISKRRSRGPVMASKKAEQGEKQEDGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSARNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVSFVPGWDCHGLPIELKAVWCIG >Dexi5A01G0035030.1:cds pep primary_assembly:Fonio_CM05836:5A:36927061:36928947:-1 gene:Dexi5A01G0035030 transcript:Dexi5A01G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEEQQQHLPAAATQQAAADCVVINMEHVVMDIQLHQPAGNNIAAAASQHDDVNPLPCCVDMNDDDDDGSSCCCVVCTEPMEWVAVGRCGHRVMCSQCMVRIRFFHQNKRCCICRTRCPKVIVTRWPAGAAVGNPPQLPLFAFREGRVGHFWYHKLTAAYFEDQQQYNVGVIALDEPLHLYPLAYQGVQVVDPSRSSHSVLGNHPTHCNAPMYPHVE >Dexi2B01G0014350.1:cds pep primary_assembly:Fonio_CM05836:2B:23950853:23952297:1 gene:Dexi2B01G0014350 transcript:Dexi2B01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAASAWCAGPPGTDASRASCRSSRALLLATAPFSPTISSLTATATSSRVTTAPSPPLTRSATPTWSDASLTEFILCSANSGHASTGTPAHTASRHEFHPQCERNPPTARCSKISTCGAHCGTHCGTTSPTPFVLSTNPSGRQLTGSVVHVAGEPHDPQEPLPARLEPGGQLGRLHGGQRATAAKAHVQDGTVRLLVQPPEATVLGRRVGERPDERAHGVGRWGVVLHGVEGVHDEAVAAEVVEAEVDEEGVDRVGTVLDGLDEVGSVERQAAREDDGLREVAAVAGGGSSELRGVEQRAQRHEARWVEHVSWQAEVLGHGQRRRAEHVGEEDDGGGGGGEEGNEQVTEVRERAVDDGEDEGDDVGVGGEVVEGHLRERGGGDDRREGGQGGGVGGRGEVGVGDDGGGEAVERGEARGELGHRGDVPRAGAGEHHDVRGLLHLCCHLARLPLTKV >Dexi5B01G0014210.1:cds pep primary_assembly:Fonio_CM05836:5B:10575004:10576441:1 gene:Dexi5B01G0014210 transcript:Dexi5B01G0014210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPQGRCVDAAGTTVMRSIFVNRDGGADFKSIQRAVDSVPFGNAQWIRVHVAAGVYNEKVTIPQNKSFILLEGEGRLQTSIEWADHAGRTTNTAATPTFAVYSTDFMARDITFKNTYSVEGRIEAAVAALVTGDRASFYRCGFVGVQDTLSDMDGRHYYEGCYIEGAMDFIWGSGQSLFQGCEIWTAPSPVSPGFITAQGRRSASDSGGFVFSRCTVRGVSPAYLGRAWRGFARVIFYQTAMSSVVVKEGWDAWNYKGSEGALTMVEAGCTGQGSNTTERVPWAKALSGGELAKFVDTSYVSGDGWLDAQPR >Dexi1B01G0011830.1:cds pep primary_assembly:Fonio_CM05836:1B:12277041:12277783:1 gene:Dexi1B01G0011830 transcript:Dexi1B01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPPSPLRSHPFHSGERRTGSMGSSSNTNTSGGGGSDKEEKKEDKGKGKDSSEPSFKEGDRVLAYHGPLLYEAKVQRIENLEDEWRYFVHYLGWNKK >Dexi2B01G0009340.1:cds pep primary_assembly:Fonio_CM05836:2B:10128690:10130405:-1 gene:Dexi2B01G0009340 transcript:Dexi2B01G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLAAFMDVTGCGYDDAFHRLASCGGHLGNAINRFFSIDAGPSRTPASDSDSDDSDDDDDVAPAPRAPVPWVRSGGARGRSLPSGPSSSPRATRWDDDGGARGGGGRASKSSRRRRRARDRREKEKKASGSQGGPGGEQNAQQQIGRRRFREDSGDVDTRQSNRRRRRPPSGVDIYASSSSDEGAKNNRHHLRRRRLNPKENVEEVKKEEGSNSTTSCFRRLVVISDDDTEVGGGGRGKEKEKEKKKKKKEDDSSSEQPPESRKKKKKLDKDELFRVPHGLRCSSATFHGAKAEAGRRARWLLANVQCMELASLAENRDVWGSDLVAQCVRDHFVLWQAFAGGGDEEGEEAAKVLCYHKVPRDRLPVVLVVDPVTGQAIDRLHGTDPNDFLVSMAPYTNMKPALPFAVRAAAATASTIAAAAQSNQKPATATSPASRQEPAPTALPVRKPDEPVAAVVAAAAGGHQPALVGKVCKMRVRLHDGRVVQKEFGSQCAVAALFAYCRSELGAGGAAARPFRLLRFAGAVREEIGDENASFESLRLHMSTVCVELG >Dexi5B01G0012240.1:cds pep primary_assembly:Fonio_CM05836:5B:8669836:8670313:1 gene:Dexi5B01G0012240 transcript:Dexi5B01G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHTAAGANVSQSAQFTNQGDKVPAPFMTFQSTGFPSEILREVGAHNAHQAQYSSSQQSKSGFDLFVVLSSLLGLEHLLILFSIP >Dexi9B01G0001930.1:cds pep primary_assembly:Fonio_CM05836:9B:1114329:1118939:1 gene:Dexi9B01G0001930 transcript:Dexi9B01G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATVAAAAAAAASGGAFLLYLLITCRPQPPPPEAERGAEGDEASPLLRGSGAESDREEEPWPDRAPVTCCEAAAVAARTARRTWELTVGRWGLHGIAFGIKRHMKRQGNLQHEYSGNDCRQLKGHQAHTEVSSLLEYLKLCMFFSKKSFSAFLKFGGYKQEDILIHKARARVIGHSMGAGIGSILTYILREHYEFSSCSCLAFAPPACMTWELAESGKDFITSLVNRNDVVPAISKVSSESLRSEVMVSSKLDDLQDHFHHNLFASISQRVAFFKSHMLSVSHSSGKIADHDSSISEPLLKDAADVIQPAANGHSIVVTSEERVTLVNNEDVTCVKSAVSILVSQEDSDSNGALDTDQSSLSPNEGKEVPNQDSAGKDKQKEPISASGSRQFFPPGRIIHMVALPPPDLAPGEGTSSNEIIGIYETPRELYGRIRLAPNMIKEHYMPSYISTMESLLEQLQKDDGDNTVCTTSNDL >DexiUA01G0000490.1:cds pep primary_assembly:Fonio_CM05836:UA:2085148:2089713:-1 gene:DexiUA01G0000490 transcript:DexiUA01G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMYAATVACRVCYRDQYGTPPPRELSCAPHKKWINADPLIFRLCQWKPKGRGCDVRCRSCGCPNGSETKECQGSEDVAGPYRDFKQHSRGNTQFSDDQVASKKKLAYASQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACEDAAVLGMGFLKLDARARKDTQKIDHSVKERAARLNHFARAFKERAESDLKKAADRHWSDGALEADLRRADLVVKRRAMEDAFMALKFVRDVHDMMVNRLYEQLPKDGASSRTNPTGFITLEKNGKTLELFPGEVSADQIFAIEEAYRSMASAFSEADGIDYTDPEELELLVATLIDLDAMDGKRSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDPNYAVARAASRAIDELKKQWELEEGDSLRFVMNQNVASEATDDESSAADDTS >DexiUA01G0025180.1:cds pep primary_assembly:Fonio_CM05836:UA:52767301:52768302:-1 gene:DexiUA01G0025180 transcript:DexiUA01G0025180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLMAAMLLGGARVAGAARLGGGTAVKQLPLPTPACFLPPRPHPASWSRLCLQTAPRSSQAYSNAPADDRRDIKDKYNRAAEEAKEATGEAKEHVKGMAGEARDTAKDKAGHVADQASDMAGRAKDRTKGMAEGAADTASRATDRAKHETRDAAREAADKAARAAERAKEMGHEAKERTGEAAERAMDKAGEAKDRAVEGTKSAGEKVVEMTKEGATKVAETAQVIGEKAKQAAKGTWDAAKETAQSVRDSVVPDAEDVEVAVKERDRIAREMDRVEKERNKREAREKGSGLP >Dexi9B01G0028430.1:cds pep primary_assembly:Fonio_CM05836:9B:31063144:31065309:1 gene:Dexi9B01G0028430 transcript:Dexi9B01G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSASVSEETSGERGGCCSSPSTRSLVDTAGNLSRSVSDVSTSFSSEQCSSVDHSGPFEPAAAMAKLMVDRSPASVAASLSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGSCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFYPTSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIDILDSFQKAEFWYADAGTRSFCSVTSSSSTLSSSFRRSMHRNEEKWWLPVPCVPDAGLTEKVCKDLQKKRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDAVYRVMLGADKFSPDFLLDTLDISSEHDALAMADRVEAAMYVWRRKASGSHGKMPWSKVKELAGDDDDKNVTLASRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWIDDVLFADKSIRKLVSDNLKS >Dexi4B01G0004700.1:cds pep primary_assembly:Fonio_CM05836:4B:3344404:3364966:1 gene:Dexi4B01G0004700 transcript:Dexi4B01G0004700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPLYTLDESDDDLPPRGGQGKGKDRQNDAPAERIEREDAKEEACQRCGKNDNLISCSTCTYAFHRKCLVPCLNITSDKWSCPECVSPLTEMEKILDFELRDAPREDNSSSEPESKKIKQYLIKWKGLSHIHCSWVSEKEILEAAKIHPRLKIRLNNFHKQIDSMEKSDDEFIAIRPDWTTVDRILASRSCLVLKFSTLFLNRKNSSGEREYYVKWKELTYEECTWENESDISAFQPQIERFNEIQSRRKKSAERGKGLREPRHFKETPKFLSGGTLHPYQLEGLNFLHYSWQHNKRVILGDEMGLGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFSTWAPQMNVVMYFGAAASREIIRKHEFYYPKDNSKKLKKKKSPPSNDEKKQSRIKFDVLLTSYEMINMDSAVLKNIEWEALVVDEGHRLKNKDSKLFGQLKEYNTKHRVLLTGTPVQNNLDELFMLMHFLEGESFGSIAELQEEFKDINQDKQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTGKQKEYYKAILTKNYEVLARRNGGQMSLMNVVMELRKLCCHEFMSYEPDSEPANSEEGLRRLVDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYKKWSYERIDGKIGGAERQVRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKANNVNQEELDDIIRYGSKELFDDENDESRQIHYDDGAIENMLILIIFHAKHALISCITTLQVANFEYIDEAKALAEKEEARRKAAEAAKSANYWDELLKDKYDVQKVEEHTAMGKGKRSRKQMAAADEDDIHDLSSEDEDYSLDDDVSDNDTGLQGNATGRRGQYSKRKMRKTVTLSNVDLIPLMEGEGRTLRVLGFNHAQRAMFLQTLNRYGFQNYDWKEYLPRLKGKSVEEIQRYAELVMAHLVEDINDSDYFSDGVPKEGMRVDDVLVRIANISLIEEKVAVMAQGKITNLFPNYLLCEFQGLSGGRIWKADHDLLLLKGILKHGYARWQYISDDRENGLFEAARCELSLPPGNLDGAQDGQVNLANVAHYKEIQRKIVEFLRKRYHILERCLDLEYAVIKSNTPVPDDITEQGVPAGNAPAVRDISELLVELQELQNLVPISTSEVASDGTGGQSQVPYLYNKMCGVLEDSAPSALNSFFGVKSASSNLANSLHQFETLCEGVVQALQPQQNGTASAVKEEAVDANPKEAAAPSQDPVPEAANGEASVAKPKPEMMEIDS >Dexi2B01G0018020.1:cds pep primary_assembly:Fonio_CM05836:2B:28403305:28404155:1 gene:Dexi2B01G0018020 transcript:Dexi2B01G0018020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWALITHLHALAGPTLTLIYPLYASICAMETTSKLDDEQWLAYWIIYSFITLFEMAAQNVLYWIPVWYEAKLLLVAWLVLPQFRGASFIYDKLVREQLRKHGVRLHGHGAEHEPHVINLKAEHGVH >Dexi2A01G0004230.1:cds pep primary_assembly:Fonio_CM05836:2A:3789435:3790285:1 gene:Dexi2A01G0004230 transcript:Dexi2A01G0004230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSSALGLREVAMAVAALLVVVAAPRSCAAQATSGCGASILSLAPCLSFTSGSAAAPTGPCCSALAGVLRGAPRCLCAVLGGGASAFGVTVNSTRALEIPGKCKVTTPPVSQCDAVGAPAPSPPATATPGDPGSSSSPSAPAPTAESPTAPPPATPATGAVSSRAGVTRSAAAAVSFVVAIASLMAF >Dexi1B01G0003450.1:cds pep primary_assembly:Fonio_CM05836:1B:2708573:2711591:-1 gene:Dexi1B01G0003450 transcript:Dexi1B01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMELDSDVDAVLRRFDLRLDGGRGSGEPCRRDRSRSGGDGAAEGKEGSDGGGGVKERIARALRIYKEAAAVAGDGSGSGDGGALVQVWAPARDGVRRRVLTTRGQPFVLAPPQCHRLFQYRTVSLTHAFPVGGAGVPGERGLPGRVFDAGVPECTPNVQFYGTGEYARISYALIYDIQGALAIPILDPVDGDCVAVVELVTTEPKLCFAAEVDKLSKALQAVALRGSEICWHVPEVCNDDEADDQAMFEVSEILTAVGEAHELPLAQAWVRCKRCSSNTSAEQQQHASLTSAGTPFYTPFYLAGGDQSLLAGFHEACVEHHLRRPGRGGLVEEAAAARGPRFCADVAKYSMDAYPLAHHARFCGLAGCLAVGVQRRRGDGDGDGGASMEECVLEFFLPLDCREGAAQKKAAEAVAATIMERFGDGHLKAIVISGLQDLAFEIVADGECMIRPDRVTIADDHELELNDHCGDERDSDEEGLHLAEVMGTVDVEAPNMNNGDQNGGEEPIPEVGEKKKKAKRKGEKTVSLEVLQSFFSGSLKDAARSLGVCPTTMKRICRQHGISRWPFRKIAKANRSLDKIKRVFESVPGSSNPMAPSTPAAAASQQAPAVATAHRDHALPCLSSALGMASSQGSCHAPPKDAAVRVPLHGVDATGVVTVKASYRGDIIRFRVACSAGVATVKGEVAKRLGLDAGEFDVKYLDDDNEWVLLSCDADFQECLDVVPAMSGASTASGSGAAQPVVRLMVQEVAEIHGSSCGSSD >Dexi7A01G0007190.1:cds pep primary_assembly:Fonio_CM05836:7A:18566761:18571743:-1 gene:Dexi7A01G0007190 transcript:Dexi7A01G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLSSLPDALLHAIMSFLPARLAVQTCVLSRRWEDLWCSMPCISIDQQEFDMAASGNMNDLENARESSRFEEFVNSLLMFHKAPSLEMFRFYVTRPYKFEVVSRWFRRGIMCCPAVVEISRSANTHCYGLPHLGPRGCCLRRLHLVAIYLDKTVMQHLSSACPVLEDLELDECFIDHPEISSTTLKHLMVKDYISYSGKVLMIAAPALVSLYLVSNAVQWNWGSVLLNDMPSLVNAKVYFKQDGPSDPPQVPCKILCSLINVRYLELSGLDTLLALHEDPDTFPTFSNFRTLLFNGCDLSDDFQMLACFLNNTPSLEKLTLQYCKVMAPTPLMGSSSSSRPPVAMGDRLSSLPDALLHTIMSFLPARQAVQTCVLSRRWEDLWCSMPCLSIDQQEFDMAASEGSDGLKYVRESDRFEEFVNDVSQSSIIGDVQLALHEDSGIIPTFSNLRTLLFNGCDLSDDFEMLGYFMNNTPSLEKLTLQYCKCPNLKLIEIKYKEEDVHQLFGLLSGIWRNLLKTTIILTKA >Dexi2B01G0015830.1:cds pep primary_assembly:Fonio_CM05836:2B:25924871:25928804:1 gene:Dexi2B01G0015830 transcript:Dexi2B01G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPAPPNPRQDAIDLHKAFKVELNYSKVKTIVLICQFYFMWFQKAMLLWILDPAGRDATVLREALCGDAMDLRAATEIICSRTPSQLQIMKQTYFARFGTYLEHDIGHHTSGDHQKILLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHVASVSSAYHHMYDRKLEKAIKSETSGNFEFALLTILRCAENPAKYFAKLLRKAMKGLGTDDRTLIRVVVTRTEIDMQYIKAEYFKKYKKPLAEAINSETSGNYRTFLLSLVGHGH >Dexi2A01G0008460.1:cds pep primary_assembly:Fonio_CM05836:2A:8593951:8594198:-1 gene:Dexi2A01G0008460 transcript:Dexi2A01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPESVPHTQQRWWHGLPLMATSLLGGSPVVLVTEEDNWTRYWSRRTVKWNPWPEVVVDTDVMVLFVDDASGTRPPD >Dexi8B01G0005320.1:cds pep primary_assembly:Fonio_CM05836:8B:5347598:5349030:1 gene:Dexi8B01G0005320 transcript:Dexi8B01G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHRGQNPAAAVATASRRRSARLAALQRKFPAPPPPAATVAPVPQTRRQQRRQRRPFLPFRRSHDQSCLTSDVARHQVVFVHAWPGTGKSTQIPRVLHATGRHGRVLCSQTYRVAAESAAAHAASDMRASGEVHLRFDTNHGWGAWEYLSEPVITDYVAAAVDTVCRVHATEPPRDVLVFLPRRAEVEAAKCLLISHGLPGLVTHCLHDGIAIDLIGDVLSPTSGGERKVVLATDVADSAVFVEGINYIVDSGYRGTDNAPPSLTGSSPPQMIRALKAAVLSWYHIRRRDNRGKCFCPYTVEEWDEMVRGCSPLRSRTDDVDSLAGIVLVLKDLGIISRNVENFGFVLPPRHEMLQRAVAPLVAAGMVGVEGEVTEKGRRMASEILEKCYF >Dexi2A01G0013350.1:cds pep primary_assembly:Fonio_CM05836:2A:21858765:21859628:-1 gene:Dexi2A01G0013350 transcript:Dexi2A01G0013350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPEVIAEKKCDKAVAGNAAPLTSGGQSKESAENASKLGHASPSEITTSDAPTSCGVKESSMDVSNSADDSVKLEGSEDAEKCSLRGSVKDSSVSAKCSDRASSLSKASGSAKVSDQAADMIESGKSSLYRASGGSDVSDESTCSSICSSVSKPHKSNDSKWEAIQAVRTKEGSVGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEQAAK >Dexi7A01G0002090.1:cds pep primary_assembly:Fonio_CM05836:7A:8205196:8205634:1 gene:Dexi7A01G0002090 transcript:Dexi7A01G0002090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGHVHNYERTCPVYEEKCTSSEKSHYSGTMNGTIHVVVGGGGSHLSNFTTEVPAWSLYREMEYGFVKLTAFNYSSLLYEYKRSSDGKVYDSFTLHREYRDVLACVKDSCAPTIPAT >Dexi6A01G0002660.1:cds pep primary_assembly:Fonio_CM05836:6A:2476841:2479247:-1 gene:Dexi6A01G0002660 transcript:Dexi6A01G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMAAGAGDPNHLRPRRGPPPPSPAVGKPLPSGAVPRHSYVFDGKGGFAEAPWGLAAAARHGEFTWHHVELPRAAPSGAGGTAAAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCSSSSAAASAGAGEDALLLRVSSPGPVGSAYALRLAARVTESSVVTVSVGGVPRLAFGSTQASLLSEVPLGVVAAADEGHGGGGRAVDGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMDIDAIELQLDRGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKEKCASKSWFSTGDIAALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQNKNPKNRDGFMNVMLICVVILLLLLLCFLFPSLYSHVTTWRTRRELKRNNSQNKRHLKPFKGHKEGYMRL >Dexi5B01G0039430.1:cds pep primary_assembly:Fonio_CM05836:5B:38272749:38273456:1 gene:Dexi5B01G0039430 transcript:Dexi5B01G0039430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRPGGFGVLCLLCAGVMACALPQRAGARADDAKWHASSPGWRHSNGDPAPHHAGGPLSAPPPTAGTDLPPSLPPTQTAPHFGFPLQPTLGSAAPPTAAAGEGYPFIGSNPTVPLPTGMTDTATVLPLPDTGGATGDKVKGQAASSVRAHVSMIGLGVIFAIVFLSTT >Dexi9B01G0038890.1:cds pep primary_assembly:Fonio_CM05836:9B:39762146:39762577:-1 gene:Dexi9B01G0038890 transcript:Dexi9B01G0038890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLATGLQRLRRSSSSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASAPLFVLFNILLLWGVYVFVIRRG >Dexi1A01G0002330.1:cds pep primary_assembly:Fonio_CM05836:1A:1543886:1544137:1 gene:Dexi1A01G0002330 transcript:Dexi1A01G0002330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRMVIMAGGDIELKTSWPEVVGMGLFPAARKIYMERPDLVHAVGVDPGPGPGFDPKRVRVFVNQDANYTVAKTPVVG >Dexi2B01G0021450.1:cds pep primary_assembly:Fonio_CM05836:2B:31244223:31245631:1 gene:Dexi2B01G0021450 transcript:Dexi2B01G0021450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGHIKRPQDEDIQSNVLEIIGTNVQSTYITCPADPSATLGIKLPFLAIIVKNLKKYFTFEIQVLDDKNSVTRVKPYICTMPLKLDEGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPIQKS >DexiUA01G0025880.1:cds pep primary_assembly:Fonio_CM05836:UA:54457837:54465483:1 gene:DexiUA01G0025880 transcript:DexiUA01G0025880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALRKLGEDARPLQRKGSVKHELFSLGSAPPALDGDGEGGEPSQRRGRMRRGVGAEKTVCVAGHDRRRPGRPKGSVGRRWVELDIQRADPNMFVGLACKVFWPLDDDWYKGTITLYNEATKKHSIKYDDGETEVLTLADERIKFSISSQEMKSLNLNIGFSNLDKKGHDELLALAVSFHDYQGLDPGDLVWAKITDPNSVTSYKMEVIRNSDTKVRPLFRVTSEDGVLELPNARSCLKYFENSGDFVLGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMDFGFAICVDLVHLAFLQNAAYVQSQMVVGLILHVLYGYQKHA >Dexi6A01G0003300.1:cds pep primary_assembly:Fonio_CM05836:6A:2940951:2941402:1 gene:Dexi6A01G0003300 transcript:Dexi6A01G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPAAGDGESQRMERAAAVLPPPPAADGAADDGESQPEKELNLLVRIIATAELVGDALGKLASLWATFVFLGGYRSSSSLKQVDFWIATAIVFLEAFREQ >Dexi8A01G0012670.1:cds pep primary_assembly:Fonio_CM05836:8A:22367829:22372090:1 gene:Dexi8A01G0012670 transcript:Dexi8A01G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQRTIAEMFTVKVEEATPAAAGKPSAGPVYRSIYAKDGLMELPQDIHSPWDFFSEAVKKYPKNRMLGRRQVIDGKAGEYVWQTYEEVCQMVMRIGSAIRSFGVEPGAHCGIYGSNCPEWVIAMQACNSQGICYVPLYDTLGANAVEFILNHAEISIAFVQESKIKSILTVVPKCTAHLRAIVSFGDFASEMKKEAEKLGVSCFSWEEFSSMGKQNYQLPNKQQDDICTIMYTSGTTGDPKGVIISNRAMIAGVLTTEHLLKETDKVITEEDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIMYLMEDVKVMKPTIFCGVPRVYDRIYTGINMKIQTGGMIAKHLFQYAYNYKLANMKKGLKQHEASPFFDKIVFSKIKEGLGGRIRLMIAGAAPLPGHIEEFMRVTSCSVFVQGYGLTESCAGCFTSIANVYSMIGTVGPPVTTIEARLESVPEMGYDALSDMPRGEICLRGHTMFSGYYKRPSLTEEVFSDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVEVVERAYMQSPAVASVWVYGNSFESFLVAVVVPERQALEEWAADNNKAGDFAELCNDPKARGYIQDELNKTGKKLGLRGFEMLKAIHLEPVPFSIEKDLITPTFKLKRPQLLKYYKDRIDQMYKDAKEGRTAL >Dexi4A01G0024630.1:cds pep primary_assembly:Fonio_CM05836:4A:27314244:27317346:-1 gene:Dexi4A01G0024630 transcript:Dexi4A01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAFLLLGFLLGLLTLAIAEGAALLWAIRSLTRHRSSPSDSGAPPLPVDPPPPPADLKRRQGFLWMLEQDKMPKASIKSNGKKAIVEVFPVKMLAKLEGHSLTLSAPDGSHNTIHLLDCTVVAVSASNLPSRKWAKRYPIKLERKESQISKGGKVCYVYAPTSWEKESWCKALRLATTTDKDKHQFYDMLAQEFHAYVSSLNAGYPCFLKPSGVSVQEHVPVDNTVKTDGPSKVRNFLKRLAKKPSTKASSPESKTNLVPSNKQDGKQPSTPSSSVSFDSQLSDSPHANVEQKLADHGTLCWNLLFSRLFFDAKMNDEVHRAIKARIQRTLSNTRTPTYIGEITLSGLSLGKLPPYLHRMRVLPRDLNELWAFEVDFEYSSGILLDIETRLEIREPELEKDIITTSLKDNSNGAVSSDVLDSIEQYSSQFRSSEASDSALKDNGDTDALRKSKSTGWTSTYMSRWKSILHSIADQVSQVPLSLAIKISSIRGTMRIHIKPPPSDRIWYGFTSMPEIEWELGSSVGDRKITNSHIASLIGNRIKASLHQSLVLPNCESIPISWMISDTDDWVPRKIAPFIWLNRERTETSARPPAGKTPGEASVSKAIAKTKSSPPVPSTRSNNESPKTCEDGSEQAEASTSWQSRLVSTSGAPLQFITREQLRMPLLSSSGDDRAVVVAAESSADEDAGDVKRKLRGGRRAKVMDLGRRVGGKLEEKGKHIVGKMRENARSNSLLLPDLERATTPATAPS >Dexi7B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:7B:19566990:19567319:-1 gene:Dexi7B01G0012900 transcript:Dexi7B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHASLLPRPKSSRIASRAQAQLPRLVQARSVVISKQASINFLPEQETKHAHHRRGTHLVDIKNLQFNIGIPPADASTGSRVFRQLVRLTAPLLLPPLQQRLRLNVLAR >Dexi9B01G0017800.1:cds pep primary_assembly:Fonio_CM05836:9B:12520151:12521740:1 gene:Dexi9B01G0017800 transcript:Dexi9B01G0017800.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKATNGDTFLGGEDFDNTLLEFLVTDFKKTEGIDLSKDRLALQRLREAAEKAKVELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVHNLIERTRDPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRQEMASDDIEKIKAKLEAANKAVSKIGQHMSGGGSGGSQSGSGPQSGGDQAPEAEYEEVKK >Dexi4B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:4B:22785312:22790949:-1 gene:Dexi4B01G0020680 transcript:Dexi4B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIARIEVFLLPLSALLWLCSLAISRPIPAPPIHLSEHLDPVHPIHGSAPTDHPRRHSRSAPYPLCSTYPWPCGSHGLEKELLLTFFNPIPPPGPTSPSVVISDASSLPRREQGCNGGAASGSRARRASLIGSTPRLAASSSPLGLPPRPRFASSPPPELDIRHGRQRLELAVEEQAGLLLSPELSLPPVGQGTPVSCSLRVEQGPRVSCSLRLEQPGALASAAAASIRCPLFFPLPLPSSVLGSYDGLLLRLSSSAAATLLCPGTVARVGPAASPVSRVSSSSRSDAAASQMASTISTALAISDRLSSSAAVASSGRVVGSAQVRGPAPRHVVDSARRRGRCNDSAPRSAPRCGRGKRYPVLQSAIAHWVSLSPRIQPAAMSQPAAASASVWRGVSGGEVEAGESETSTRRAEKETRWWAASSSAMAQLLGASSSKKRRVGEEWEEIEAAAAAAKEEDRISELPEDLRLRILALLPLKSAIRTGALSTRWRALWERRWPAPSSIDLLLRPGDDTEEVLRSLERRGLRRIDRFSLTIERSRSSAEPRSGTPSASSTMPPPAASRTSTSILISTLAIPRGCSHLACLFVCHLAGVSFGFSLRSDAFPALEVVHLHHVMVDINDLLWACPRLKTLYLRHCNCEGVRAIDLMPASAHLKSIERVTVLECSGITHIDARRAHGLRSFRFSSAGFPTYDIAATAKLDDLYISLRGQNFNPLRQWIQALPDLANLTVLTVCSIALRLLQPLLVVRQNTVPAAAASGQCGQPNTP >Dexi9A01G0029310.1:cds pep primary_assembly:Fonio_CM05836:9A:34142543:34143611:1 gene:Dexi9A01G0029310 transcript:Dexi9A01G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMISFKAVALVALLCVLATCGAHAQDSYNDTSARRELYYSSSSSTTSGSWRPARATWYGRRNGAGPDNNGGGCGYSHTNEAPFNSMTTCGNQPLFLDGKGCGACYQIRCTSKNNPACSGEAKTVIITDVNYDTKVAPYHFDLSGTAFGAMAKPGLNDKLRNAGILDIQFRRVPCDYKGQQVKFHVMGGCNPFYFAVIVYFAGSDGAVVQVDIKEANSQTWSPLYESWGAVWRIDPGHPLKAPLSLRVRTDDNKVLLANNVIPVNWRGNSDYRTVAQFH >Dexi1A01G0024630.1:cds pep primary_assembly:Fonio_CM05836:1A:31118441:31121138:1 gene:Dexi1A01G0024630 transcript:Dexi1A01G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVEQLKEMRDGIGSMSRRAGGSDGRANHGRMGSRHSWPGLWAEQPLRHGQGQEAAQQNQGRWANLPPELLLDVIQRVEGSEATWPARRQVVACAHVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREQPVQCFVRRDRATSTYLLYLGLSPSLNVENDKLLLAARKVRRATRTSFVISLRSDDFSHSSSTYVGKLKPNFLGTKFTIFDSQSPPDAVVLPNNKPSKRQSKQVSPRLPLGNYNVATVTYELTVLRNRGPRRMQCTMHSIPAQCIQEGGKAPTPTGTIQSLDEPVSRIPSTKGKEPAVEFSSTSLSADLSGLACTGEAPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLNVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Dexi6B01G0016420.1:cds pep primary_assembly:Fonio_CM05836:6B:23689760:23692734:1 gene:Dexi6B01G0016420 transcript:Dexi6B01G0016420.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGARQQKKMVPCAQQLQLPTAPLHALRRQAGKGVTPLPRPRRRLRRGIATAAAAAMASGSGSPNSVVLGCGGISVDYLATVASFPNPDDKIRSLTLKVQGGGNTGNALTAAARLGLRPRIISKVANDAQGRNILSELQADGIDTSYILVAEDGNSPFTYIIVDEQTKTRTCIHTPGTPPLVPEELTKANLASALDGADIVYFDVRLHDTALVVAEEASQRKIPILIDAERKREGLDELLNFASYVVCSAKFPQAWTGASSIPVALVSMLSRLPNIKFVIVTLGEKGCLMLERSMADASETGEIDAELLFESLEKKIDRSSTMPKCIASQSNLRISADGVGSISGRLLLGTAEVIPPGELIDTTGAGDAFIGAVLYGQCAMYPFPTQICAKD >Dexi6B01G0016940.1:cds pep primary_assembly:Fonio_CM05836:6B:24192408:24192736:1 gene:Dexi6B01G0016940 transcript:Dexi6B01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLASCFSETPSAWKKARSGQSFSSTLWNRICGNLAMAWATSPGLAWSVARHCTVGTSLPSMGRVSTLGHLATTCRSLRRSILTLVVPDARGLAGVEAVQVLAPP >Dexi5B01G0021750.1:cds pep primary_assembly:Fonio_CM05836:5B:24052319:24055784:1 gene:Dexi5B01G0021750 transcript:Dexi5B01G0021750.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDPVMAEVLSGPHEHRLSSALDGHYDEKRKSNVEYSEDEKRAMIASLKKKAMSASQKLRHSMKRGRKSSKVMSISILDERDPEEVQAVDAFRQLLVLEEMLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLKWRKEFGADTILEEFEFEEADKVAQCYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAVKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGMLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKGFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDPEIMKMVQSGAGRCGSLSPASFEAEEKMICEDDIVYPKTPGSPYSCDVPMVEKAIDAICKSQGTLPDEKLAITKAVVNASNGSSPPLFGGIIALVMSIATMLRVTRNMPGKVLGAALADAKPASLTKSKSKTQARQRSKISPEAVKVAEDIVSAKRLMELEEKILALLTKPATMPADKEEMLQAAVSRVSALEEELAATKKALQETLERQGEIVAYIEKKKKKKSKVTNSRKKNHEIEYV >Dexi7B01G0024110.1:cds pep primary_assembly:Fonio_CM05836:7B:28505025:28510962:-1 gene:Dexi7B01G0024110 transcript:Dexi7B01G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQQVLSRFFSRKPASSSSVGPDPPPPPKPKPSASAPLHPPPPPAAAAASGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAIAAAVLGIVAHPDHSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAAAAANKGGGAAAAPFARGLSAVYTRATIEAAAGELEGGGAAPEEGSRYLVCVVDKEVEATGREGFQVKVGVVAIEVSTGEVVHGEFMDTASRSGLEAVLLGLAPVEVILGTPLTFATEKVMTAYAGSTSDVRVERASCVCFGEGGALAELISLFEKSVDNDSRVEDDRQLMETNGNNTNLHGIEAVMAMPELVVQALALSVRYLKVFGMERIICFGSSFRPFSADTEMSLSANTLQQLEVLKNNSDGTTEGSLFQTMNNTCTAFGSRLFRNWLTHPLCDRHLIGARHDAVSEISESMGSRHSINNLQDGEDGSSVALARSDLSTILSSVLEMLGKSLDIQRGITRIFHCKATAKEFVGVIQSILTAGKQLQKLVLEDIDIVSSQHKPVHSSLLRRLISTASSSTILNNAVKLLSCLNKDAADREDMLKLFIASVDHFPEAIPSLLVAEGHANVEMANHKLNLLIVDYRKQLGMHSLEFKTVSGTTHLIEFPVDRRVPSNWIKINSTKKTTRYHTPEILKNLDSLMLATEELAVICRSTWHKFLTDFGKYYAQFQAVVESLAALDCLYSFAVLAKHNNYVRPTFVHESEPSQIHIKDGRHPVSCSSCNSLFMPLEISVLESSLGDNFVPNDIELHADGEYCQIVTGPNMGGKSCYIRQVALIILMAQVGSFVPASSAKLHVVDRIYTRMGASDSIQQGTSTFHEEMNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCITIFVTHYPKILDIQREFEGNVGAYHVSYLATRKLLEVTDKPLETSPESNDLGEITFLYKLVAGASDKSFGLNVAMLAQVSYAYI >Dexi7B01G0008860.1:cds pep primary_assembly:Fonio_CM05836:7B:16567519:16568259:-1 gene:Dexi7B01G0008860 transcript:Dexi7B01G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNPKLWGRLGGGGGQGWKAKRPRPDGGWRGGEAPVVRWSHAEAMKKSPAGGGDAVFAGGGGWKTRGVLGPGPGNAGLGGPSCGSGGFWTSWSEAEAPAKADGTATCGGKGSQMWDWTEPDAKKASPCDAGGGEAAGDGEKKVVYEWRWTEAVSPEILVLVLRGRVAADEVARGPALAVASPDMWGDVDIEAWCRRVNCRARADAAVRRLVARAQGTLRRLSAYRVGDASLTYVAAS >Dexi9A01G0006640.1:cds pep primary_assembly:Fonio_CM05836:9A:3775348:3775906:-1 gene:Dexi9A01G0006640 transcript:Dexi9A01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEREPLAGGGLQRRPAAAARAGGSPQEQPPRGQRVIHADVDPQPRPWPWMQKVAILAIVLLGCLQFLPATHFRDPNDPHRNWIPFDGSRNPMHV >Dexi1A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:1A:20072979:20073887:-1 gene:Dexi1A01G0014080 transcript:Dexi1A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSMVLCYLLTCLYNFLQVFDLFDSNETKGLEFEEFARALSIFHPDTPIDDKINFAFRLYDIKNQGFIQRPEVIRHFPTMVVPLGYLTFEEADTKKDWKIDFEEWHSLVMAHPSLLKNMTLTYLK >Dexi5B01G0005250.1:cds pep primary_assembly:Fonio_CM05836:5B:3485094:3486645:-1 gene:Dexi5B01G0005250 transcript:Dexi5B01G0005250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDAVLLSLARHPHASLEPVAKNALTYFHWSAAAAAASSSPSSSHSLRSYCLLVHLLSRAALFREASVLLEAAIARHSSSSSSPASSSFLDAFFAAYEDSGTAATTRGLHLLVHAYARLRLPGEALEACRYLAQRGVLPSLSAFNAALQSAQRAGAAVELVIGILSREGKLARTAALVERIHGKKCGPGIVAHVALALRMIEEERVEQVILLLKRMLQRNILLDDIAYSLIVDAYCRVGDLKAACEQRDDMVRRGCRLNAFVYTCLIRVHSSEGSIDKAVQFLQEMVSMGLKPYDATYSHLIAGCFRRGMVQEGLAYFGNMHREGFVPDISSCNEILDGLCNAGEVCKANDLLTSVMDKGLIPDQDTYQSLIGGYGKAGDPQGIVKIYHEMEHRGLNPGVDVFTTLIRALCQCGNLKEAEKFLAVMSKKALTPTSDLYDLLISSYCKKGNTKRALLLYDRMMAEHENLVPSANSFMMLVRRVIKLKNSCPPNS >Dexi2B01G0004960.1:cds pep primary_assembly:Fonio_CM05836:2B:4678250:4679467:-1 gene:Dexi2B01G0004960 transcript:Dexi2B01G0004960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNPAAKRSEVPVACPSDDALVDILSRVPAKSRFRFKCVSKPWRDLLTDRLRCRKFPQTLDGFFLGGSSGDKDNFGNFIDLSGRPLPLVDASFSFLTELPEIQKINLLGSCNGLVLFGHRRVSDNYDSLGYVVCNPATQQWVAVPSCGWTPPPLSYLYACYQYTCTYLVFDPAASPHFQLVQFTQDEEGVVLQFRENNDDDEAVTEVRTYSSESGVWSNQTSEWGAHRCIMSSFGSVFVDGMLHFMVGGEYGKEDQIVVVDREGKKCRNIRWAKEHGEILFVGQSQGCLHCISGLANSAVEMPEVSVWVLEDYDKEEWVRKHSVSFAHLSGNLNGEDVFNFYFVSIHPECNMVFFVRSDSQKLISYHMDTKEMCVLCSVRRDYEHVTQYVPYFSVSSALANKH >Dexi8B01G0015300.1:cds pep primary_assembly:Fonio_CM05836:8B:26136832:26138803:-1 gene:Dexi8B01G0015300 transcript:Dexi8B01G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVHFVAISGRSDRPEDWVPEEDASVDYDICDEISEMPSASSDKDTVDIFSGHSYDNAEYFKVPEKDIERSSTVNDVLKNTQEDKSLLSIWWQQFVMVTYFSVALPVNILGIAYGVTKLTDQISCVTGINPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCRLISAHFFSNHNSVNIYVGIGVPWLINTVYNYFVYQEPLYIANAAGLSFSLLVFFATSLGCITVLVFRRIVLGAELGGPRLWAWVTSVYFMALWVIFVVFSSLRVSGII >Dexi5B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:5B:8251527:8252519:-1 gene:Dexi5B01G0011650 transcript:Dexi5B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPSTSFFKTLRDGALLPTRNRSLFMAVFALTVAYTLLRRLVHKVLRDVAKDTWRLFWPGGAQRLLDITVGNAVWIISLFAAVATYAGETCSFGALLG >Dexi6B01G0018190.1:cds pep primary_assembly:Fonio_CM05836:6B:25234609:25237114:-1 gene:Dexi6B01G0018190 transcript:Dexi6B01G0018190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGRAAAAGLLVVLALSVCVSVSAAAADQVADGAAGNATGAGAGAARLDRRTKMFLHTARSRGAATGEQDLGLGLLDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVEEKLEAGQGKSTFRRVFSRFCTPIFLESFVLTFLAEWGDRSQIATIVLATHKNAVGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >DexiUA01G0016310.1:cds pep primary_assembly:Fonio_CM05836:UA:34701258:34703052:-1 gene:DexiUA01G0016310 transcript:DexiUA01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENAAAAPLRLTRAQAKRAAAVTAVAVAAKRKRVALSELSGHPNAAHEWDHDDDGAKPARKQRVLPADPEPKPATKSTKTRAAAPKPAAPLPAAAAGDAADGEEEGDPQLCAPYASDIYSYLRSLEAEPRRRPATDYIAAVQVDVTPNMRSILVDWLVEVAEEYKLVSDTLYLTVAYIDRYLSVHALNRQRLQLLGVSAMLTASKYEEISPPNVEDFCYITDNTYTKQEVVKMESDVLNVLKFEMGSPTTKTFLRMFIRSAQEDNKKDHSLSLEFLGNYLAELSLLDYGLLRFLPSLVAASVVFVARLTLDPHTNPWTKKMQTLTGYKPSELKDCVTSIHMLQLNRKCSSMMAIREKYKQHKFKGVSALLPPVDIPASYFKKLKE >Dexi7B01G0020970.1:cds pep primary_assembly:Fonio_CM05836:7B:26129447:26135829:-1 gene:Dexi7B01G0020970 transcript:Dexi7B01G0020970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNASSITAVAVEVTNVLYCLAQSPTFLRSRSNSTQISQKNPTQNAVPGPQEEPSQSGSNVSKLVLGTLVVGAAAAVGAHQLGYIDFQSKDKKLPFSFRNPDLAKVYEGFNLPSEQKVGQAQNMPGPNTEVVQSSDNEAHPPKDLPNEGMGAPEIQTNVDQLVPEEEKKSETLAHETHPAPDEHGSDTELPSQDSMAVEINPIVINDKETDEVPHEQQNDRTYNTVTPVQSSPTTVSPNNDPGADADAQKDLSGAGATEQKSLAETYLLQDEPDVSKNQTAKETRRDEVVSEKTSDDGKVVLDIIEAIHAAEKKQADADAYMYSEEKRKLKVCYFFTFTVLLAYDTRARELMYAEEAAILDKELKKEKMKNAAAIKELQEKAEQKLRDELQRKDEETSQQVEKAQELAKAELAAAVAKEKATQIEQIAEANLNFNSLKQTIRHFSLIPEGGGGILTHALAHVASSIKIKEDHSGDGVESLINRVESLIVEGDLSTAADTLEGGLQGSEAAEIATEWVKQARKRAIAEQTLTLLHACASSTTFS >Dexi5A01G0026800.1:cds pep primary_assembly:Fonio_CM05836:5A:30394398:30396146:1 gene:Dexi5A01G0026800 transcript:Dexi5A01G0026800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEAMDGEMSLSNMVLGFLEDFERERRPENHHDDDEGSSGGDTAESRAFWQTQHSQLHEALAKTSQAESRIRADTEAAIKTMRAAGACSCSGRPAAGGDCRRCMLRHVAERLRDAGYNSALCKSKWTRSPDIPASGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEMARAGAEYRALVAALPEVFVGRADRLRGVVKAMCAAAKQCMKENNMHMGPWRKHKYMQAKWLGTPERTAPVAAAPVVVPSVTVGSPAKHIKFRASMLTFDFGRTAVKVV >Dexi1B01G0013050.1:cds pep primary_assembly:Fonio_CM05836:1B:18298303:18310849:1 gene:Dexi1B01G0013050 transcript:Dexi1B01G0013050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAMAGGGVGGDGGVEVVIDVSSSDTESDDPGRGGRKRPRLAAGRCSAEDREEKKARILAAGSAVPAGFLEPLPPVRLLPPPAPERTVTKQFWKAGDYDGNPHLLAVEAAQHSDSGMEHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVANGATFVKLDMIKNKKDGTRMLLVEDDGGGMNPDKMRHCMSLGYSAKSKLKNTIGQYGNGFKTSTMRLGADVLVFSRSRGIEGTRPTQSVGMLSYTFLRSTSKEDIIVPMIDYEKEQEWVRKVRTTWTDWRMSLETIIQWSPYSTEAQLIQEVWLMLLDHLFGSIGEQGTRIIIYNLWEDDEGELELDFDADSNDIQIRGVNRDQKNIQKANQFPNSKHFFTYRHSLRPFWRVWTAAGSGGRGIIGVLEVNFVEPAHDKQDFERTNCLARLEARLNRMQKQYWFVLLSLGSQLIAIQLAIMSIVIAQVLGLEKEVGLPSRRFEKGSQKSNNLQRIRNADRLCSDLTNKDMNASMLSPGMTKPNAPNRKLLSARTITQQRAEKPASTIRPERSVLDGLSNINDTEIEGTPSRSASPIRRTPAKSFHEMNTCLSSLSLDGVKRSKRIAARYKSKEANVAANENGQSIVDPEAVIKQLKDENSSLKERIMNVQESLSRELQIEQDKNKSLIERLEDVEKQLDTANKEQEAIIELFAEERQRRDQEVENLKNKLREASSTIQDLMEQLNPAQNCRKR >Dexi3B01G0015200.1:cds pep primary_assembly:Fonio_CM05836:3B:11022880:11024193:-1 gene:Dexi3B01G0015200 transcript:Dexi3B01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLLLLWALAAVAAALGGAAAEPPQQERSALQAFLAGTPHERALGWNASVPACAWTGIRCDAANATVTELHLPGIGLIGRVPPGTLGVLKGLQVLSLRDNRLLGDIPSDLFDLPQLRKLYVQGNLLTGAIPDEVGRLASLEHLDLSRNNLTGAVPSELNNLTRLLSLRLDKNRLSGSLPSISISRLQTFNVSYNDLDGSIPSSLARFPAESFAGNIHLCGEPLVDQPCQPVPSSSKKRRKLSGAAVVAIAVGAGAAALLALVLLALCLVLLRRRRREASGEEDAKTTPPTRGLTPSTASGEMMGGDFTTSSSKDIRAASGAAAERSRLVFVGKHHHYSFDLEDLLRASAEVLGKGSLGTSYKAVLEEGTTVVVKRLREVAAARREFAACVEAAAAAAAEHRNLVPLRGYYYSKDEKLLVVDYLPGGSLSARLHGE >Dexi6B01G0013700.1:cds pep primary_assembly:Fonio_CM05836:6B:21463238:21465457:-1 gene:Dexi6B01G0013700 transcript:Dexi6B01G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWRSLLCCVSGDGCTDDGEPAPRRRRERQRLLLPPSSSSSRVSLTSLSSSGTLTPEDLSITLSGCSSNLHAFTYAELRAVTGGFSRANYLGCGGFGPVHRGRIDAGLRPGLDAQEVAGSMPWMRRMQIAVGAAKGLAFLHDADTPVIYRDFKASNVLLDEDYNTKLSDFGLAKDGPQGDATHVTTRIMGTNGYAAPEYIMTGHLTTKSDVYSFGVVLLELLSGRRSVDTTRRHREQSLVDWARPYLKKPDKLHRVMDPGLECQYSCQGAERAAMVAYKCLSQKPKSRPTMREVVEALEPILDMDDYLEIGPFVFTVIVEDINEKNENKGKTTEGEKVNMRIETTVEEKRQSHQDRHRQKFPNSAVHADVVLHRDGELGTHISALRRHRRTPSYIKERGA >Dexi5B01G0020370.1:cds pep primary_assembly:Fonio_CM05836:5B:22575005:22581069:-1 gene:Dexi5B01G0020370 transcript:Dexi5B01G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAAGFPREVLVEELQCIADVGLWDALRRLKLDVLGTDDSPIPITGYYAPRLFRKLPSFFNLRPESLVPRSHNSIRDRNKCPIAGTLMNTNNMRGFQNLDREQLLKAEVKKILDDIMSGEVEEEPALLLRFVVISFADLKNWKLRDWKACKGNYQKLLFGFYDHGCRSDRPGMAIINYIAFLSIRWKIEKVQFFCYREVRGRPDLEQSLIGEASFPPPYGWDDPDYVPDAIGWEGVEPGKGTEEMKPKEIDLQSSNPAIQDEEKQLMHLKLMGWRHFPVDLEKLSRVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDSGSVATPDLVKQSLYIDKDRGVPRVSAIIPHLKERCPAVEVEGIQMEIPVPGHPVSPSKMASVLDECKHLQTLVAANDAVFLLTDTWESRWLPTLLCASENKIAITAVLGCDSYLVMRHGAGPRTCGGTDEVPAQIESLSTEDALSHQRLGCCFCNDAAFLFNVNQTLPGLTSVVSGKAVELFARMLHHPDEIHAPGDIAGVETEHQLGLLPHELRGSLPNCVLSMELGISSGNCTACSIAVLSEYRRRGLDFVMQAINYPTYLKDLTGISDLKRPDTCPKMLTSISVNSDKISDVRCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRGAPKATAIIRHLVERCPSVDAQGFQMEIPMPGHPVSPNEAAGVLQDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTDENKIAITAALGYDSYLVMRHGAGPGIIGEASNVTAVEDKLSTKDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGCAADLFTRMLRHPSGIHAPGEIAGASSGHPLGLLPHQMRGSLSQYNLLTLLGYSSSNCTACSNVVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSEVEWVDETDEEDVVEI >Dexi2B01G0033150.1:cds pep primary_assembly:Fonio_CM05836:2B:40830166:40836722:1 gene:Dexi2B01G0033150 transcript:Dexi2B01G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLASAAKRRIKLRLPLPPLGSPSTTPCRGCHGSCGPREAVVSHEELLLRLQSGPVLAEVRRLHAALLVRGYSRSTVLAAQLVRAYARLGDAGLGHALCVFDGMPKRNSFAWNAVIKGLVDAGRFSEALGRYWDMASDGSVAADRFTYPPVLKSCAALGAVEQGRRVRENIEAEITRGDAVPNVFVQCALVDMFAKCRCLDEARSVFESMGVRDLAAWTAMIGGAVHGGDWFEVMDLFGRMRSEGVLPDSLIFATVIPACGRAKELRTGMTLQGCAFCRYATNTPGYFRSQCFFSLSPELSKVKTSCVVLWDDLQAKPGRTGLEYVHNIKGLKVSSRVWIHKNMLKVSELTDGWNKRLLAGCVSIPPEHDWREGRNSGKMSDGTATCIDIILAIILPPLGVFFKFGCGVEFWICLVLTFFGYLPGIIYAVWAITK >DexiUA01G0025260.1:cds pep primary_assembly:Fonio_CM05836:UA:53117560:53120317:-1 gene:DexiUA01G0025260 transcript:DexiUA01G0025260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSLIFVICALRYGPVFKTNLVGQPLVVSLDPKFNRYIFQQEGKLFRSWYPETANSIFGKKSITTYNSTIHKFVRNFSSKLFGPENLKEVLIQELEDAMRQSFASWATKPSIEVKDSVADMVFDLVAKKLISIEPIESRELRKNFEEFFQGMLCFPIYFPGTSFYKCMQGRRNVHKRLAGLLQERLSKPRKKHDDLVDLLVEELQSEKPVIDATFAIDVLAALLFASFSTISATLTIGLKLLTDNPIVVEALKEEHEEILKERGDKNSRFTWEEYKSLAFTTQVINEINRMSNIAPGIFRKTLTDVQVNGYTIPAGWLVMISPMAVHLNPKLFEDPLKFDPWRWMTHDQTKRNLQQRNFMPFGGGLRLCLGAEFSKPFMSLFLHVLVTKYRYNIHLKIRWIKIKGEEVLRVAEIIVPQGYHVQFIPITE >Dexi5A01G0003960.1:cds pep primary_assembly:Fonio_CM05836:5A:2966732:2969832:1 gene:Dexi5A01G0003960 transcript:Dexi5A01G0003960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKQRRMSGEVFWPKIVLKKWLNLKSKDLDFGADEDEDEDDGGSDIDDQENCGCDDGVPRRPADGGAQITDESLESAPYKLRRRNSETLRAQYINTKELRVCVGTWNAGGKAPPDDLDIADWLGTAGDAEPADVYVLGFQEVVPLNAGNVFGAEDGRPAKAWESVIRGALRRAQTSKPKYKCYSHPPSPSRFDPPVDAAADELLPGTDDTETDTDDDAPPFSFPALRPEEYVDATPRKLSRLNHFTVAGDDDSELTGDELGKLELDQKPRPEALQRTLMRSLSRADRVGLVWPEQPLDLLPAARAMNAASSSSASFKASASFRAYKSFRGSSRVADAAADDLPMIPDLDLDLDGTLRKKSRSPFVRIVSKQMVGIFLTVWVKRGLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCTHLAAGEKPGDVHKRNADVQEIHRRTRFPAPGDQQLFRDIHNHDRIFWLGDLNYRLDVSYERAHELISTKSWSKLAEMDQLKRELRKGRAFDGWTEGILEFAPTYKYAVGSGKYIGDEHKGGRRTPAWCDRVLSYGKGLRLLGYRRSELSLSDHRPVTATYAAEVEVFSSRKLQRALTLTDAEVEGGQVVPDLDF >Dexi5A01G0037900.1:cds pep primary_assembly:Fonio_CM05836:5A:39052182:39054823:-1 gene:Dexi5A01G0037900 transcript:Dexi5A01G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVARIYNHRGRGAAPTRAVERWRRGGIRCSSSPAQEFAALAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPSSWVGDQTLLYREAKKAELQRSLDPPPLKNGRLSSGPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIARTRRSPDINATLIDAALREDAEKVKYYKLEFRVESPSFRRHNVAVCCVRNGKLYTMNAQAPESAWKAVQEQFFAMADSFSLVNDA >Dexi5A01G0002680.1:cds pep primary_assembly:Fonio_CM05836:5A:1842889:1845050:1 gene:Dexi5A01G0002680 transcript:Dexi5A01G0002680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGGGALGVAGAGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGSKPQERMDAIGCSVSPSPPSSYQLSPRASYNASPNNSSFPSGASSPYLPPNELANGIDGNPILPWLKKFSNGTPSKKHPLLPPLLIHGGSISAPVTPPLSSPSARTPRMKTDWDESAIQPPWHGANSPSIVNSTPPSPGRSMAPDPAWLAGIQISSTSPNSPTFSLVSTNPFSVFRESIPVGNSSRMCTPGQSGTCSPAIPGMPRPSDVHMMDVVADEFAFGSSTNGAQQAAGLVRAWEGERIHEDSGSDDLELTLKL >Dexi3A01G0026280.1:cds pep primary_assembly:Fonio_CM05836:3A:22375638:22375998:1 gene:Dexi3A01G0026280 transcript:Dexi3A01G0026280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVASRCAALLAQRRSLSAAVTVAEESAKKVGEKAVKLGTEKTAFWEPDPDTGYYRPVTGTKEVDAADLRAEMLKQRMLPD >Dexi6A01G0010220.1:cds pep primary_assembly:Fonio_CM05836:6A:12546400:12547147:-1 gene:Dexi6A01G0010220 transcript:Dexi6A01G0010220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSEVAAFKRKLCFSRKGGKKKGKVQFEEDEPEDLFEDNSDSDSPHGSPLYAESQDSSSASSDNEDDDDDDVEGDKGDGGAAYLTKEHGVGASGSTVRGSSRISKPHMNALVVNGDAIEAPMEKIWSCSVVQVP >Dexi5A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:5A:21806694:21809249:-1 gene:Dexi5A01G0018260 transcript:Dexi5A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKGADKNKVMAPSYHSLAAPVVNPVEKFALLPAFLKVRGLVKEHIDSFNYFIIKGIRNIVHQRPCWHALSSSGLQNQGYHAPLLPPYRPHRKDFGFPLLIKLHLPPPPPLLPCTKLLNPHHLLSVVPPDLAMASENKQADMTREIKVQKLVLNISNGESGDRLTPATKVFAHSPPICSRLTSGPRAVKSNLALYLSSLSRLNRPRYTDIHVGTPSVQVDYNSRISRPTFTVLPTAQECSHGYMPIMLRSYPCILHAKDEAELAKLGECPLDPGSYFIVKGTEKVILIQEQLSKNRIIIDTDNKGRSYSLQTYFIL >Dexi5B01G0018360.1:cds pep primary_assembly:Fonio_CM05836:5B:20507218:20517599:-1 gene:Dexi5B01G0018360 transcript:Dexi5B01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSRDWAGLLVDILECISGRLTDPKDFVRFRAVCPQWHSIPITHARFAPWILNNAEVHGSGDIQFYSLGTGKIHKKHVPALEGKTTRIAGLGAGLLIGIDCDDKLSAVLVNPLTGDSNALPRLPEWCQCHRGYLIHGFVTDPKVTGEKDVFVVIYGYRWPVGDERLGHIALWRLGDADGWATIASQSGNWKHKPSAFGAGLLIGVDCDDELSAMLMNPLAGDSSALPRLPEWCHNCHTNGFIMDPKVTGEDDVFVVIYGSFWPAGMERHVSLWRFGDAGG >Dexi4B01G0011650.1:cds pep primary_assembly:Fonio_CM05836:4B:9097662:9099158:1 gene:Dexi4B01G0011650 transcript:Dexi4B01G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATNSPTAPAEPHVLVIPYPAQGHMIPLLDLTGLLASRDGLRLTVVATPATAPLLAPLLAAHHRVRALVLPFPSHPSLPAGVECAKDAPPTLFAALVVALAGLRAPLASWARDRSGTPDRVLAVLADHSCGWAQPLAAELGVPGIVFSPSGVYGSAVLHSLFRRAPRREDETDDESPIGFPDLPGAPEGDELSEAVHRNFLWNLEASAFVSNTFRRLEERYLAAPLADLGMKRVHAVGPVAPAGPDAAGGRGGETTVSAGDLCAWLDRFTEDGSVVYMNFGSTAVLQPPHAAALADALERTGVPFVWAAGTTAPLPDGFEERVAAAGASGGRGRVIRGWAPQVAALRHRAVGWFVTHCGWNSVLEACAAGVAMLAWPITADQFVNARLLVDELGAAVPVSWGGLKAVPDADEVARMLDAAVRGNGGRERGDVVARAKELAAEAAAAVREGGDSWREVEELVRVLRNSASEPAAKTVSDS >Dexi8B01G0008070.1:cds pep primary_assembly:Fonio_CM05836:8B:10466596:10466856:-1 gene:Dexi8B01G0008070 transcript:Dexi8B01G0008070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWAEQSGVDEAGPTGGYHVLDEAANTASNVLTMSKHMWKPSVRMMEVGIRGAANLLPKRPQGILAPAGG >Dexi1A01G0025530.1:cds pep primary_assembly:Fonio_CM05836:1A:31706408:31707732:-1 gene:Dexi1A01G0025530 transcript:Dexi1A01G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSTLRACPICRKLSYHVVPSITWYSSKEEKQGIVEGYKAKLRSIDCKHFDFGKGTCPFGSSCFYKVHLCCLNYNGACIVCLLILGWNHAYSDGRLEDALLNHNDADDTSAAIGRLMRW >Dexi9B01G0038770.1:cds pep primary_assembly:Fonio_CM05836:9B:39699272:39699582:-1 gene:Dexi9B01G0038770 transcript:Dexi9B01G0038770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGDGPAIGIDLGTTYSCVAVWQSDHSEIIANDLGNRLTPSCVAFTDDAERLVGEAAVNQAALNPTNTVFAYTS >Dexi9B01G0018770.1:cds pep primary_assembly:Fonio_CM05836:9B:13369629:13370149:-1 gene:Dexi9B01G0018770 transcript:Dexi9B01G0018770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQEEELEKGRTPCAGYKHCPPWVFKGRQGYKLCPALYQLHLVKASTARAFVPRDLRLVEAFGYTLGGMFLARYHDSPAGAFDELVVIAGIVWNPPTSCA >Dexi7B01G0013400.1:cds pep primary_assembly:Fonio_CM05836:7B:19894813:19897031:1 gene:Dexi7B01G0013400 transcript:Dexi7B01G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMGKEAALFVPSGTMGNLISVLVHCEVRGSEAILGDNSHIHLYENGGISTIGGVHPRTVRNNPDGTMDVDEIVAAIRHPDLHLPTTRLICLENTHGNSGGRCLSVEYTDKIGEIARIHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSKVFIDKAKILRKTLGGGMRQVGVLCAAAHVAVRDTVGKLADDHRKAKSLADGLNKIGQFAVDSATVHTNMVFLDIVDSRISSDKLCQALKKRNVLANPRSSKSVRLVLHYQISDNDVQYALTCFEKAVEELLLGGTRLESLADGTTDNSYGY >Dexi3A01G0030360.1:cds pep primary_assembly:Fonio_CM05836:3A:34339320:34344225:-1 gene:Dexi3A01G0030360 transcript:Dexi3A01G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFTGKGENNSLQRVVEIQDMDAAVFKAMLRFIYTDEAPELDVKLDAAAMTFAQHLLIATGRYGLDRLKVMCERTIALGMDASTVASTFALAEQRNFPRLKAKCINFIAEGSLENLDAMLATEGYKNLFASSPMVLTELLKATHARKSTSNHNGWLQHLFRSTQTVTVRTMRHTSTQLTECVQSVHLLTINGFSVTKAAIGNNTRARLERTSRSACVATGESFAAHKNILAARSPVFKAGFFGEMEEKTSQCVEIQDMEAQVFKAMLRFIYTDMVPDEFDNQPEAVAGTVMAQHLLVAADRFGLDRLKLRTKCIEFINRGSPENLDAVTATEGYRHLEESNSFVLCELLKVLTEILSSKRGRPGNRVSPPNHEQSQKFQHQETMKKTKTFLHSKVA >Dexi1A01G0020430.1:cds pep primary_assembly:Fonio_CM05836:1A:27247796:27248293:-1 gene:Dexi1A01G0020430 transcript:Dexi1A01G0020430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRVMADPLKSAASSPAPPPPLPFPSSAETGSSCPLSPPPFAISPPLRPGSPLLPRSAPLPPARVHAEAEDEEQQEQEDISVPTHLSLARCTRRPRNKSGRFVRCAALVTGRLLPPLFAAARRLADSAVRSPVSGSTGLGKLLPHRAPARLAACLASAAAAFY >Dexi3B01G0023040.1:cds pep primary_assembly:Fonio_CM05836:3B:17809062:17810960:1 gene:Dexi3B01G0023040 transcript:Dexi3B01G0023040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASTTTTTVSTLLSGCRSLAAVKQLHAHFLTHSNRPFPYNHFLSKLLSLPSSATAVANAVSDYALRLLSSHPSPTAFSYNVALRFFASSRPGTSLRLFLRMLRAELRPDAYTLPFLLLAAARSPAPALARSAHALLEKLGLRDHDHTAHSLVTMYSCLGDVLAARRVFDGIPHRDVVSWNSMMKAYEHAGMAAEVEGMFRWMVTEGVLVPNGVTVAIVLAACRDAGNLVFGRWVEEWVKSAGMSVDSLIGSALVGMYAQNGMSNEAIALFHYMREEGVHPDKITLVGVLSSCAAVGALELGSELDRYASNRGLYSNVYVGTALVDMYAKCGDLDKAIKVFGNMPCKNEASWNALICGLAFNGRGHDAIQQFELMRHEIGIQPDDITFIGVLSACVHAGLLEYGRQLFNSLTPVFKIIPKIEHYSCMVDLLARAGHLEEAWDFCEKIPGKADAVMLGALLAACRKCKNVEVGERVINRIMELEPSNSWNYVVSSKIYTVADRMDDSARMIGLMRERGVSKTPGCSWVEVKGKVLEFYASTEPQHGSEDMYQLMDTLVDEMRLEGYVPNLDLA >Dexi9B01G0019530.1:cds pep primary_assembly:Fonio_CM05836:9B:14125132:14127793:1 gene:Dexi9B01G0019530 transcript:Dexi9B01G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKPVAVRRAEELVEREMGERDASHDAAHALRVRDLALSLAAEEGLAAPARLLTVELAALLHDIGDYKYTKDNVEDMSAVEMFLEEVGLEEGQKVEVVSIIKGMGFKNELTKKSIGDPTLEFAIVQDADRLDAIGAIGDPKNTIGYPLASLGIARCFTYGGSKNSILHDPRILPRDNLSKENYMSKEEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMKDFVAEFYEEWSGRA >Dexi9B01G0007930.1:cds pep primary_assembly:Fonio_CM05836:9B:4891606:4893853:1 gene:Dexi9B01G0007930 transcript:Dexi9B01G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARLFLLVAVAAALAGRSAVSCPGVAIGFVLDGGWCVCRTDMGDVALQKTLDYACGAGADCKPILQNGACYNPDNVKAHCSYAVNSFYQRNNQNAQACIFSGTSVVVSSDPSGNGCTYPATPSAAATSTGVDSPPATGPSSANDSSGAGALPVAGVAARLVILASCSLMAVYLAA >Dexi2A01G0016510.1:cds pep primary_assembly:Fonio_CM05836:2A:28181129:28183800:-1 gene:Dexi2A01G0016510 transcript:Dexi2A01G0016510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRNDMISSAVPFRAAGEGGGQVFLFGGGGFLRGAPLARADAAADGGRKRPFQLTAHEELQLQLELGDDYELHDGGPPQERTKRRLTAEQVRELELSFEEEKRKLEPERKSELARRLGIPPRQVAVWFMNRRARWKAKQLEQDFDRLRAAHDELLAGRDALMADNDRLRSLVITLTEELQAKESSAPELDKQTAALQTGAYAYSPFEQDQLRIETAGGGAVPAVGSDMGGASDSLESYLAGARSPPSSSEDDYGGGDGYAFFLPVPHPDALLDMAVEFAAEGDGDVCSAQ >Dexi2A01G0030040.1:cds pep primary_assembly:Fonio_CM05836:2A:41054427:41055812:-1 gene:Dexi2A01G0030040 transcript:Dexi2A01G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKSGLKKGPWTPEEDEKLVAYIKKHGQGNWRTLPKNAAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSALLKPAATAAAYYPTQADLDTLRAFEPLANYPDLLRLAASTLLSSSTSPKIAGDQQLLPWLLQAQMAQQAVASMAQQAVALPQQQAADHHHQFVQQQQAGAACSMPELVHVNPTVQQQLESAAQQQQQHQDMAVACHSMQPGYIDDGLDVPALMQMVQPAADASNLQQQWSSSSNNNNVGSVVSTPSSSPVAGLNNHSTSTATTYGGGSINDAAALFSMQLSELLDVSDYM >Dexi4B01G0004310.1:cds pep primary_assembly:Fonio_CM05836:4B:3115786:3116978:1 gene:Dexi4B01G0004310 transcript:Dexi4B01G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAEAGGSLPVPNVQELAQTYNNRSDEQIPENYIRVEEAAEEVILDGGISSAIPIIDVHKLLEPQSSKEECAKLGSACRQWGFFQVINHGVPNEVISSFKKDMTEFFKQPLEAKKVYSMIPGNLQGYGQHFVVSEDQKLDWADLFSLVLRPIDSRDMRFWPSHPPSFRSSVERYSSEAAKLVSFLLKFLAMDMGVEPESFLEIFRGQPQSMRMTYYPPCRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAINALDGAFIVNIGDTLEVSIEVV >Dexi5B01G0001520.1:cds pep primary_assembly:Fonio_CM05836:5B:976658:977023:-1 gene:Dexi5B01G0001520 transcript:Dexi5B01G0001520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHLPDLATARARPPVRRRGVAASAAGGRVKRGESGKTRVIRVADPVREGRLPPPPPLSAAPVTPSESPPAARQREEDEEERQRYYLNMGYAIRTLREELPDVLYKEPSFDIYRFISS >Dexi9B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:9B:13311871:13312185:-1 gene:Dexi9B01G0018650 transcript:Dexi9B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYGAHYDAHACDQTNQTPLAELWSSHAGNRSLAVPSAHHGRLALVGPPSGSGFPPSQTTSQASSSPSTAALWSSPLPDHATRRVQSCHRHRSLLSFPAQADR >DexiUA01G0011530.1:cds pep primary_assembly:Fonio_CM05836:UA:23050669:23051128:1 gene:DexiUA01G0011530 transcript:DexiUA01G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDGSVVGGWQLVVRALSDEDDVVDERGDGGAEEGAEPVDPMVLPDAADDGRAEGHGGVHGGAVEGAAGEDVGADDEADGDGRDDAEVALVGVHGGGTRPKVMTTSKTTAFQAPTPADSEKAPTASPPVAILRRRQATTEPSSCATQ >DexiUA01G0016990.1:cds pep primary_assembly:Fonio_CM05836:UA:36163756:36168895:1 gene:DexiUA01G0016990 transcript:DexiUA01G0016990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGDAASSGKRGAGQVCQICGDGVGTAADGDVFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPVHGEENEDVDADDVSDYNYPASGNQDQKQKIAERMLTWRTNSRGSDVGLAKYDSGEIGHAKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHQFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFAALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNSRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPVKKKKPGLFSSLCGGRKKTSKSKKKSSEKKKSHKHTDSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIYKCGINC >Dexi9A01G0035390.1:cds pep primary_assembly:Fonio_CM05836:9A:40081336:40083282:-1 gene:Dexi9A01G0035390 transcript:Dexi9A01G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFSVNNPCRVNMSASTLKDLNISQSTELEKGNDNSVKSCISKPVLNGSKCGNKEENALPACPDAVTNGNEAGNVDVEYIESENLVDLSDVDATLSTLIKRLDSKDWVMTCEALNNVRQLAIYHKERLQELLESLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDMMVDSIDPLLVQLFLKASQDKRFVCEAAEAALISMTSWISPSLLLPRMQPYLKNKNPRIRAKASVCFSKSVPRLDVEGIKEYGMDKLIQIAATQLSDQLPESREAARNLALELQVFYEKSQASTVGENEGEPSASPNAESWEAFCQSKLSALSAQAILRVTSTTSKEGVTAGVTSAPKEGMAVGC >Dexi1B01G0030240.1:cds pep primary_assembly:Fonio_CM05836:1B:34260628:34264947:1 gene:Dexi1B01G0030240 transcript:Dexi1B01G0030240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQGQVITCKGGTNVWCVRAAAVAYEPNKPLVIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVQPGDHVIPCYQAECKECKFCKSGKTNLCGLGAVWNTAKVEAGAIVAVFGLGTVGLAVAEGAKAAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHEKPIQQVLIDITDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTHVPWLVEKYLNKEIKVDEYITHSMNLSNINEAFHLLHEGGCLRCVLAMEH >Dexi1B01G0016450.1:cds pep primary_assembly:Fonio_CM05836:1B:22951412:22953707:1 gene:Dexi1B01G0016450 transcript:Dexi1B01G0016450.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKMGGFVDPFIPAPAWPQDIVFNGTSWSGSDSSLADSSGTYLAAAPEQDAEFHLQNSTSLMLLNGNTKEIVSPVELHKQFLQAQLQDDVTQGLNFEMGGALMGGTLGSVMNTPCAISLADSAPVVCSSNDSSGSEQSGLPQFLLGEQPVPAPATWPSTFTQISSLVGEETSQSFDFGVVSNDDLLREGCAADGKKYPQLRNVPSAPLQLQNDADFNTGKMLSFAPGPGQQVNTNFEDLQINQKVREFSGLHHLNLSSLVSGQLSSFNATGVTPNPKQSNEVSSGKNGLNAAPFMARSEMHNGSGVAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNRTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATEAVVPLLTESQTESASGGLLLSPRSGRQQASGGSLLGPSKLQDGAEFEQEVVQLMENNMTTAMQYLQSKGLCLMPIALASAISDQKGTSSAAERPVNSGAPEEADDVGLEKLDAKEMLRGVNAFGGAREMRSRA >Dexi6A01G0018470.1:cds pep primary_assembly:Fonio_CM05836:6A:26217132:26221295:1 gene:Dexi6A01G0018470 transcript:Dexi6A01G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGSHQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSATDASQDGGSKENPENNPDCTTVNRDELHRHFYNLGVGAIEEIRVQQEKGFGFVRYSTHGEAALAIQMANGLVIRGKPIKCSWGNKPTPPGTASKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >Dexi3B01G0002400.1:cds pep primary_assembly:Fonio_CM05836:3B:1649083:1651756:-1 gene:Dexi3B01G0002400 transcript:Dexi3B01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMAARPVTASSSPRPRLPARDAAATSGRSSLPVVRGVKSEAEATSSLLRCRCPVLLTSVAVGGLVAAGAANATELLDPLVRRTIHAINFVLRLLLCFNPCKPLHFHQQGPSGLLLADLGIGDWFGGLLFSAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGQAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGLNLLEVVELQLPSFFSDFDPRTAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQVRRLSYHIFLMSSCGAFLLGGGVYTLLDRVFPATSMSSM >Dexi1A01G0011740.1:cds pep primary_assembly:Fonio_CM05836:1A:10883613:10884017:-1 gene:Dexi1A01G0011740 transcript:Dexi1A01G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATSAASRALDLFDEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAAAAAAS >Dexi3B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:3B:11050119:11053049:1 gene:Dexi3B01G0015240 transcript:Dexi3B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGKLSGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDTSIDGRDDTEGLDASAAHIANLLSSEPSDVKLGIGGFSMGAAVALHSAACYAHGKFTSGIPYPITLSAVISLSGWLPCSRTLRGKIESSHIATRRAASLPILLCHGRADEVVTYRNGERSAEILRSSGFSYLSFKPYNGLGHYTIPEEMDDLWKWVSSTLGLNRSR >Dexi7B01G0011390.1:cds pep primary_assembly:Fonio_CM05836:7B:18436763:18439432:1 gene:Dexi7B01G0011390 transcript:Dexi7B01G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding EASPFGEKGEELEMGMAAAGVVNYPLVAALLAFAVAQSSKVFTTWSYGLFNDIVQLDFFAIVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQVRLNASFSLS >Dexi5B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:5B:13158512:13158880:1 gene:Dexi5B01G0015750 transcript:Dexi5B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAPAWKREDGSAQNLHQELEGLPPLRCPAGNWLEAQGPSQGRSSVRAVPENRRGRAAHHWPAAGSNRRAWSSAGGARTEPPRVDLNLTGGQRCGDQATPRRCAAPRARNGGCDPRRGLP >DexiUA01G0019090.1:cds pep primary_assembly:Fonio_CM05836:UA:39825291:39833457:-1 gene:DexiUA01G0019090 transcript:DexiUA01G0019090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVNKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFRLAVPILVYKGIVREFPLAPPIILLDSKPYSFTYPPPLLFPSAASQVASPDSRLRHLFLSRRRRLCCPSPPPPTPLPPRPSSAASDLRCLHLPQPASAVSAHLLPSSPAPAPSAGSCLLRPRQTGTASSRLRNSTAQHWNDGAAPSSPASCLVALYGIHATLVVAGISAALALCIAVPRGSKNRFFNFAFLRYTVSLTAIIIIVNWFQSGQAGRQPALQCTAFGVIGFVAVHCLR >Dexi4A01G0014410.1:cds pep primary_assembly:Fonio_CM05836:4A:16514738:16519888:1 gene:Dexi4A01G0014410 transcript:Dexi4A01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFSSSSCVPRRPSPSSFSPTPRTPALLISPPLRCGARRRAVTAAASLHLGPGEIAELARNKVLIAATVASAIGQLSKPFTSGRNGGAGAGLDLKTVFRSGGMPSTHSASVVAVATSLGLERGFADSVFGMSVVFAAIVMYDAQMSGDDRSKGPEIPKPPPSLEEIAGPLLPIQDRLDALTLRVDALERPPVSTPATLPQGFPYGMPGYGTTTFSSSSSSAGALSSGAASTMASVSTTAPLRITNIPMHWSVQCALWSTMSCHYLKHEFQGYMPVVWLFPCFIVCSGVDSLDQCLLVPCLIFVKYTFINLGVRREVGNHAKVLNKFWVLREKVPQDSDVDMASEFISVTEEVVSSSHSSASPSPRRSSMNESPLLKGLRSSEPEIADLTELNSSYIEKGYQLSESVGHTELQVTVGALLGFIVSLAVYATL >Dexi6B01G0001200.1:cds pep primary_assembly:Fonio_CM05836:6B:978229:981079:1 gene:Dexi6B01G0001200 transcript:Dexi6B01G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDAASSPNLVVSFGEMLIDFVPDVAGLSLAESGGFVKAPGGAPANVACAISKLGGSSAFLGKFGDDEFGHMLVNILKQNGVNSEGCLFDQHARTALAFVTLKKNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLIAEPCRSAHMAAMRAAKSAGILCSYDPNVRLPLWPSEDAARTGILSIWKEADFIKVSDDEVAFLTQGDASDEKNVLSLWFDGLKLLIVTDGDKGCRYFTKDFKGSVSGYKVDTIDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTKKGAIPALPTVAAAHDLIAKGN >Dexi1B01G0002290.1:cds pep primary_assembly:Fonio_CM05836:1B:1858066:1858293:1 gene:Dexi1B01G0002290 transcript:Dexi1B01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRMLQTGELKTSWPEVVGMELFWATVKIHFERVDVEIEVHKVGDRVEPGYNDKRVRIFINNDGKVAQTPVVG >Dexi4B01G0003810.1:cds pep primary_assembly:Fonio_CM05836:4B:2666029:2667592:1 gene:Dexi4B01G0003810 transcript:Dexi4B01G0003810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPGWPLHLAAAPREPAGDEGTVAAIDGLVVASFLAQVDAEHFQVPSVLEVGVGLLVLCRPARQCMRYPRSRMRHAVFFLSTLVTCRCRARQKIREYEDCQLSNATATPCNSAPRRSPNPAQNRPPSLAPPRPLAPARLLPPPCRRSRQSPRIGEDAQAGRDRHSRRRSQRISTATATYGLEKLWFSLAGSHGFACYAAGFGGLLTIEPRRWPRGPKRGFGNSFQPAIDAPRYNLTSCVIAPSAKMLSSAVSPAHAPLATEVGQNIPQSEYRVPDRLSRNCCHQSI >Dexi5A01G0000160.1:cds pep primary_assembly:Fonio_CM05836:5A:137711:138792:-1 gene:Dexi5A01G0000160 transcript:Dexi5A01G0000160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGEGEGERDPAAGLPSAPNPSNPSSRPLPPSRSSRFLTIWYSEPVFLRPRSIAATTMLGVLPSAPPTLDDIANLLAPIVAKVDTLPLRVGMLESRLFGAGSSQSPFPYVSPPSTAPSSVLSATTAILTMSATPPATMGPAMTEFLMQLQQIKQRRSMEAVRMRLAHQVLAVVRLQAAARGFLARQRLQEMRQTIQGRETALTVLQQIVQPEEVSPKPAKKTPAHQMLAAPCDLFARRRFAAELLFVRMSSCL >Dexi3B01G0006150.1:cds pep primary_assembly:Fonio_CM05836:3B:4269015:4269747:-1 gene:Dexi3B01G0006150 transcript:Dexi3B01G0006150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRDPLVVGNVVGDIVDYFPVSALLRLLYGGRELTCGSEMRPSQVASEPAVHITGGGARALYTLVMVDPDSPSPSNPSKRERSWCQSWKRGGGVREPEANGGDSPAMQQVIYAPGWRANFNTRDFAACYSLGEPVAAIYFNCQREGGCGGRRYS >Dexi2A01G0005840.1:cds pep primary_assembly:Fonio_CM05836:2A:5609533:5611223:1 gene:Dexi2A01G0005840 transcript:Dexi2A01G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAATTSSLPAAPPRSSSDASSPTATARSSLNLLRSLARARRTDLSHRALLLFRSLHSTSSPSSSAAPPPHFSLPAALSAAAFLAALPEGRQLHALAAKIGLAPAHAVVSNSLVHLYASCGLPDEASRSSAASRHRRSCRGTLPSTRSRATATTSPRSRCSSVLGACAGAGALSLGLYAHALLLRELGGAGDAGDVSRDVLINNSLVDLYGKCGAVELARQVFDRMPERDLASWNAMVLALANHGRVRESLDLFDRMTRTENVVPNAITFVAVLSACNHGGLVAEGRGYFAAMVSEYGIRPRIEHYGCMVDILARAGLIEEALDVVAGMKCRPDSIIWRSLLDACCKRNAGLELSEAMAKLALDVPDDAVSVSGVYVLLSRVYASAQRWNDVGMIRRLMSEDGFKKEPGFSSIEMAGSVHQFVAGDTSHPQSEEIYKKLDEIQQRLTSAGYKPDLSEAPMVADMDRTKGATLRLHSERLAISFGLFNATPGAPIRILKNLRVCKDCHTISKLISKLYDVEIIVRDRIRFHHFKDGSCSCKDYW >Dexi8A01G0015250.1:cds pep primary_assembly:Fonio_CM05836:8A:26309389:26309629:1 gene:Dexi8A01G0015250 transcript:Dexi8A01G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRVYDPDGLFLSEWSGQVLGIGGDGGGVCERGEGWDSNCAPEKGYLCQPRRVYKEARVCRHVDDL >Dexi2B01G0029810.1:cds pep primary_assembly:Fonio_CM05836:2B:38163007:38163330:1 gene:Dexi2B01G0029810 transcript:Dexi2B01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVLLAPRIGACRKAINTRDPTGLRTFENVKRGNIPRVGQKWHPVLTTAPASERQRYRCAMFTVLVLLRKPRIAAAAAAAAAASPSFSFHRDSQQRCCLATGCSL >Dexi5A01G0024340.1:cds pep primary_assembly:Fonio_CM05836:5A:28216665:28217781:-1 gene:Dexi5A01G0024340 transcript:Dexi5A01G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAFKQLRRLRTLGRGASGAVVWLATDDASGQLLAVKSATGPAAAEQLQREARVLSALRSPHIVPCLGAAHHATGEEYHLFLEFAPRGSLADEAARNGGRLEERDIRRYAADVARGLAYLHGELVVHGDVKAANVVLGDDGRAKLADFGCARSALQCLRQRPMIAGTPAFMAPEVARGEEQGAAADVWALACTVIEMATGAAPWSRDDTAGDVYATVHKIAYTDAVPEVPAWLSSEAKDFLCICLQRHPRRRPNTVELLDHPFIVSADEPAASKQQCWTSPKSTLDMAFWESDEDEEDSESAAGRIGSLASPRSAFPDWESEEEDDGWIDVHSCECSRGSEAPAADTLWMPSTSR >Dexi7B01G0007400.1:cds pep primary_assembly:Fonio_CM05836:7B:15272557:15275430:1 gene:Dexi7B01G0007400 transcript:Dexi7B01G0007400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHADEHNNTGSKLPRPAVLHADEDTNTTPMLTIQAVPHADEDNNDGSKANNPLVSNTSDNNVKEGKNDVDREEGSNNVATYGIDGRLAASKTYYKNPTSDSGGIHDIIKDSPSTITHGGRGSGKGKGNDKGKGKGPDVINVEVEHALHIWTERERRKKMKNMFNSLHSLLPQLPKKADKATVVGEAVTYIKTLEGTIQRLEKLKKDRKRLLAEQQLAVGAGGSSSTAPASSSSPAPMPSPATREAMLADMVQSWNTQDALMTELRAAASAVVTAGAGGISSSSAPRGMAPAPRGPAGPAPVLARAPAMQTWSGRNIVMSVTGKDAVINLSTSARPGMMSRLLYVMEKHRVSVVSASVASDQSQRMFCIHARITAPAPPQLPANVTIEDRYKMAVAEMLHVAAI >Dexi4B01G0000720.1:cds pep primary_assembly:Fonio_CM05836:4B:468815:470418:1 gene:Dexi4B01G0000720 transcript:Dexi4B01G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGDMTALRNAPVLLPSCVEGEGFKTSARKEGPILPWAYSNAQEVNSPDQCSKVQGALVRIFNRMAEVEMSSCSEFDKPSQFLARVLVTKSQVGCLVGVGGATMKDMITGDLFNVTYALCLVSWKLRTQVFHSERTSYNNGYIPSSDLAQMSTIRQVNISKDGRFSTGIFPWVGDGASLSYEMDSAENTFRSIDFGPPEAQRKTKS >Dexi6B01G0004160.1:cds pep primary_assembly:Fonio_CM05836:6B:3396564:3399614:-1 gene:Dexi6B01G0004160 transcript:Dexi6B01G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEWRRRGSAAGARWWWIPGWAVEVAGALNSNRLVWAATAGGGGSRRGRAGGGGGGGWWEVEDDEQPLDLHRMLCLWPSWPPPFASRCSASTFEVASPFASLCAIEVATIGVEPSTVALRRPRTLPASFTSISHDVAPRHVVAQYKFSLLDLTTGAAVYELPTETGIYYTSGHGEEDEDYYHYLNGNVYYYDDETMEEHVIPPPVELGCGYVDFIAKAELERWRETLLRDDSLAICCDTTYLYG >Dexi8B01G0004560.1:cds pep primary_assembly:Fonio_CM05836:8B:4155195:4155586:-1 gene:Dexi8B01G0004560 transcript:Dexi8B01G0004560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFAPNAHQSSTKLWRYHNYTFGLPNMSLTGMILVTLLFIPLAVILLHHLPPSPAPAPSSSPLAATAPEPSPSPAPE >Dexi7A01G0010730.1:cds pep primary_assembly:Fonio_CM05836:7A:21438108:21440524:1 gene:Dexi7A01G0010730 transcript:Dexi7A01G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVTDIPESTNASYGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRAHPLIIAFQQETFLKGIFPSLPPSLLKGISQLCTALQTRTTPSLLRAAQARSLTSRVAVQDTTSRSAISSNALLASPQEL >Dexi7A01G0022850.1:cds pep primary_assembly:Fonio_CM05836:7A:31018693:31021173:-1 gene:Dexi7A01G0022850 transcript:Dexi7A01G0022850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCCSLPPVSSPPTPRAESVHATTKASSAAAGDPRGMDRLGANPANSCPLTPLGFLERAAVVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNNINTRLDARTVSVLLRHSGSKLVFADPSCLPLIRDALKQLPPGHPPPRVIPVEDPHEKDFPAAPLGTLTYEALLEKGDPEFEWIRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLVTVDSLVEWSMPPRPTYLWTLPMFHANGWSFPWGMAVVGGANVCLRRVDAGEVYATIARRAVTHLCGAPVVLNMLANAPEGVRRPLPGKVRVLTAGAPPPAAVLHRTEAIGFDVTHGYGLTETAGLVVSCAWKAGEWDKLPASERARLKARQGVRTPGMAEVDIVDGETGRSVPRDGSTMGEIVLRGGCVMLGYLNDDKATKAAIRDNGWFYTGDVGVMHPDGYMEIRDRSKDVIISGGENISSVEVESVLYNHPAVNEAAVVARPDEFWGETPCAFLSLKDQVSEVTATDLMAWCRERMPHYMVPKTVVFRAELPKTSTGKIQKYVLRNLAKEMGPTRKGAATTIASSSRM >Dexi2B01G0014530.1:cds pep primary_assembly:Fonio_CM05836:2B:24357136:24361772:1 gene:Dexi2B01G0014530 transcript:Dexi2B01G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIRGQKQWHAMAVLGVACVAAAVVTVAAADAGRNTSLAGAATAPENATRHQGDGKAYHHVWPPMKFGWRIVLGSLIGFFGAACGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGGSVSTVYYNLKLKHPTLDMPIIDYDLALLMQPMLMLGVSIGVLFNVIFPNWLITALLIILFLELRCFLLRVVLGLEFSAGIIGGLLGMGGGFIMGPLFLELGIHPQSFVHMDRTQIACPSTIFSSASYLTAVAFVAAIVGQHCVRKLIAWLGRASLVIFILASMIFVSALTLGGVGISNIVQKMQQHQYMGFESLCKV >Dexi1A01G0003920.1:cds pep primary_assembly:Fonio_CM05836:1A:2869616:2871840:1 gene:Dexi1A01G0003920 transcript:Dexi1A01G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEPPHIVLTFKFAMAGLAPEGSQFDGKQYDNKMQELLTEDFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVTIEELPANVADLL >Dexi7A01G0023090.1:cds pep primary_assembly:Fonio_CM05836:7A:31219243:31219914:1 gene:Dexi7A01G0023090 transcript:Dexi7A01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPSTSPSLVVRSPRQTVSLIRNRRPHRDWGKSSRPPSFAAHDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPALRSLGITYYPSKYWALAAPSFVIVAVALSMGIYMGLNFVATPPPTSFNTIFGEAEFHSCK >Dexi9B01G0022730.1:cds pep primary_assembly:Fonio_CM05836:9B:17592696:17593483:-1 gene:Dexi9B01G0022730 transcript:Dexi9B01G0022730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKQTVRKSTGGKAPRKMLRAIAARKSAPQTGGVKKPRRYRPGTVALRLTCERHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGDRS >Dexi1B01G0007560.1:cds pep primary_assembly:Fonio_CM05836:1B:6270335:6271611:-1 gene:Dexi1B01G0007560 transcript:Dexi1B01G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSPAAAPAIYTGWALFDPSVIITEEPVVPAGPTAASCLTSDGHDIRVSLRLADPPSASFVEMRTDADLHPLCTPTVVAADGDLLLLLASLADSPSHEHNFFVYKPHPPSLRLLPHPDVYTGIVTRRRNVESEVEEEQEFVAAAFHTELTDDEGSRDEVGKLTRFSSSTGRCEVLNLSIPFDPTKGLYKYTWRTDKVVPFGDLMCFVDYHRGILLCDVFAGGDGGGGSPELRFVPLPEIEVWDDKHNYCYGRGLPATYRTVGVTSHGVMRFVDVSDGLFGRRRDPFGVTITTWTLRSTSTAPEETMRWEKDAVLRLDDLWSFDEFRRSSLPRWVPGLPAVCRHDPDAVVFALLNPKSIGADAWLIMVDLRQMELLSYVPYINQAKGDDAGGESWKVDGCLFLDMPFVCSDLYSFGSIG >Dexi2A01G0022010.1:cds pep primary_assembly:Fonio_CM05836:2A:33919792:33920886:1 gene:Dexi2A01G0022010 transcript:Dexi2A01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARMSGMINNGFQLMITLGVFFANLINYGTDRIAGGWGWGLSLALAAVPAAVITVRSLFLPDTPNSLLERGRPEEARRMLRRVRGVDDAEVSAEYDDLVAAGEASRAVSRPWCDILRRKHRPQLAMAVAIPLFQQLTGINVIMFYVPVLFKTLGFGGGASLMSAVITGLVNLVSTLVSVFTVDRVGRRALLLEGGAQMLAGQLAVGALIGAKFGWSGVATIPTGYAVAVVVVMCAYVAGFAWSWGPLAWLVPSEVMPLEVRPAGQSITVAVNMLMTFAVAQAFLPLLCRLKFVLFFAFAAFVVVVTLFVAFFLPETKGVPIEDMAAVWKAHWYWKRFVDDDGEGADCRGGDIEMGFAGDAKN >Dexi2B01G0016520.1:cds pep primary_assembly:Fonio_CM05836:2B:26725717:26733027:-1 gene:Dexi2B01G0016520 transcript:Dexi2B01G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMWMMVDLRVSVARACVKSALAVVTSGDRTPLDDGGVSSTDMRNGYVNKDDRALLKVIKYASPTSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLQHLEHLLNAKGFCVICVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKYFKDIGVPADLKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGVCNTHYVYLPITEVITTPKRVNPNSRMWHRCLTSTGQPDFH >Dexi9B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:9B:5608683:5610860:-1 gene:Dexi9B01G0009080 transcript:Dexi9B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPCARFCTTGLPSDVVFEVHDMTFHLHKVCSSASFPLMAKSRKIHRMLTEQEEQRPARGRQRRQQTRSSEAGDDDGAAETEIEEADEEDDASEQQQVRREGDGQAYSIVFPDFPGGPGTFEAAAKFCYGVRVDLTAWNVAPLRCAAEYLEMTEDHSEDNLAARAESYLEETVLQHPGEATKALKSCEELLPLAEELGIVSRCVETIAARSSAAAAPAPRPWFDDLAVLGLHMYKRVMAAMAARDDARAAEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVEQRDLLEAVVASLPAGKCSGRVVTAKFLLALLRTSHILHASDASRAALERKAATQLEQAALEDLLIPSYSGAAETLYDVDCIERIVRYFLAEEEEPGGEAAASSSIEEEEEASAEVSRPSAVAMVQVGKLVDSYLAEVASDANLKPAKFCELALLLPDHARIYDDGVYRAVDIYLKVRACRALPAHPRLTAEERDRVVGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLAPGGPPRAVLRQQQQQRAGPGETTALALAQESQVLRLDMDSVASRVQELERECSSMRRAIKKIDGRGGSRSPGGRGTDGGADAPGPAAGGWRARHGCKFSTQVCDSHARNVVASRASRMGMSP >DexiUA01G0027700.1:cds pep primary_assembly:Fonio_CM05836:UA:59193272:59199952:1 gene:DexiUA01G0027700 transcript:DexiUA01G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDQSPSVVVCSATPSVPSPTPTSYAVAMASVGFEWTFVHAGQEDKVKKGGFFPFFHDGQVLGPSKRDAVVEWEAVEEGTTVAGYHTLVEVFTRRKQDQLFFTKHAYMARHCGFGCWLSGVDISTQQPPYTCGAGAPPNIPFCDRSLPIDRRVDDLVARLTVEEKISQLGDESPAVARLGVPAYKWWSEALHGVSDHGRGINLSGPLRAATSFPQVILTAASFDPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMSGKYAAVFVRGVQGYAIAGPVNSTDLEASACCKHFTAYDLENWKGVTRYVFDAKVTAQDLEDTYNPPFKSCVEDGHASGIMCSYNRVNGVPTCADYNLLSKTARQSWGFYG >Dexi5B01G0003600.1:cds pep primary_assembly:Fonio_CM05836:5B:2429623:2433287:1 gene:Dexi5B01G0003600 transcript:Dexi5B01G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARSGGGGVRLFGVRLTTAPAPAAMKKSASMSCIASSLGCGAGGSSPPVGGGGGGRGGGDGGAGYMSDDPAHASCSTNGRAERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRSFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPAAVEQFTLQNTQDEATSSNQLPTLHLGQQKKAEFAKQLPTFQLSQHEESGYTEPTLPLPDLELNSSIPCKTIPVPMPAFYPALVPAPLTLWPPSFAHVEEAGTAHEILKPTPLNGKEVVKADDVVGMSKLSIGEASSVSMEPTALSLQLIGSTDARQSAFHVSPPINRPELSKRNSSPIHAV >Dexi1B01G0028210.1:cds pep primary_assembly:Fonio_CM05836:1B:32794823:32798965:-1 gene:Dexi1B01G0028210 transcript:Dexi1B01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEKCGGDAAGEAGSDLYAVLGLKKECSDAELKVAYRKLAMRWHPDKCSSSNSVKHMEEAKEKFQEIQSAYSVLSDANKRFLYDVGVYNDEDDEDSLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQSDLDSGFCNGPTKGHQVHGQTQSRTSSTSPSSSPSPPPPNIVMEAEVRSCNGFNKRCSSAMDSAKPPRPVEAGAGQSQAGFCFGVNALVINSIPILLCLITMQCGRKYSYLNLSDYVIFKRLLWPTTLSFHIVLQMSDTKQAPKARGQNTSRRRNGRKQKLSSKHDVSSEDDTAGSQLQQHVAV >Dexi2A01G0034790.1:cds pep primary_assembly:Fonio_CM05836:2A:44614730:44615828:1 gene:Dexi2A01G0034790 transcript:Dexi2A01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMARLVVVTLLALLCSVTYSQAAAGYGYGYGYGSPSPGSGSGGGGYPSPTPTPSGAGLAFGFYDQACPNAEAIVRGVVEKAVEQNPGNGAGLIRMLFHDCFVQGCDASVLLDPTAANAQPEKLAPPNNPSLRGFEVIDAAKAALEATCANTVSCADVVAFAARDASAILSGGRVDFAMPAGRRDGHVSLSSDALQFLPPSSFNLSQLTASFAAKGLDVDDLVVLSGAHTVGRSHCSSFVGDGRLNASTSDMNPALAASLRRQCPANPTAANDPTVVQDVVTPATLDSQYCRNVLGRNVLFTSDAALLKSGQTAVS >DexiUA01G0006530.1:cds pep primary_assembly:Fonio_CM05836:UA:12565604:12566011:-1 gene:DexiUA01G0006530 transcript:DexiUA01G0006530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIGDTPSRHCRRKFPRPTAPSSRRHVGNQLISDRTSPQPRADMAGLGSYDTPEKAAQAFNAAYICSTALAAAPTGSTSQARPTAVCRTSDPDEVYAAVVSHANRAVVFSSPPSRLAHQRWKLRRRKCLRLRWH >Dexi2B01G0015740.1:cds pep primary_assembly:Fonio_CM05836:2B:25828705:25829007:1 gene:Dexi2B01G0015740 transcript:Dexi2B01G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSYPSFVRKLPCQGRASSSRRCVVLRELSWRPGEGSGASSPGGDAGSTGRTASLLPYPARINGDGPWPVAAWLDRWGRPLTSGGAAESRRGPAADT >Dexi2A01G0007250.1:cds pep primary_assembly:Fonio_CM05836:2A:7016388:7021958:1 gene:Dexi2A01G0007250 transcript:Dexi2A01G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLALVAAAVASLAILALVVFAIRRWWRRRQRQRQRQRRPLQAAAAVPTPVAVQDEDIDRPLLSENREDRSSQRETHVINVESDTSEEFQLGSTLKRMTPTNWSTPDQKHRRRVSGEDNHNGSISLKDNTYESSLDLEVIAGPSHGISCSRQSSRPSMLPITLGRVPPSDIVLKDSEVSGKHARINWNAGTLKWELVDMGSLNGTFLNSQAVHHPDVGSRHWGEPAELAHGDIITLGTSSKLSVQISLQNQRVPAGVGMASDPMVARRSGKKLPMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAAKTVSKILPENVAKILSHPGTRERVLACSDASDVLRYAFTLTEAAIDHQYEGCTATALLVWFDRNKDCFAQCANLGDSACVMSVNGKTIDMTEDHRVASTSERARIARTGQPLKDGEVRLSGLNLARMFGDKFLKEQDSRFSSEPFVSQAVRITRACTAFAVIASDGLWDVISTKKAVQLVAEGKERNTSDSTSADKLANRVLSEARNLRTKDNTSVVFVDFDILRTDPCIAK >Dexi7A01G0023450.1:cds pep primary_assembly:Fonio_CM05836:7A:31431411:31434395:-1 gene:Dexi7A01G0023450 transcript:Dexi7A01G0023450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGLVGRLQEDKSDDMSAAAGYEEGSEEEEPYPAGYEEGSEEEEPYAAGYEDGSEEEEPYEREFYGDDDDEPQEVEHCSGTALPEDEDVSDAKPFRVDHCSDEGSGKCGSSHSEPNINLVPYHGLIADEDEFVEKKSNNVQHMKQGQNKKELKQVLKKNSNSVQEEVSVEKETIPFKKRLSVKFSADVSCYTYSTESFAAATLEKRKAQSDGQDKHLRKRQEHSFSSPHDGGKLKEVDATNLFVGNLPLSMASHKLIELFLPFGRIVRSRVMDDCFTGLSKGYGFVKYSDPRCAAEAIKCMNGRPIEGKMLEVRVAVASSSVSDPSVHAVPETDNQPTKQMDMSNLYVCNLPLLMNTDKLLDLFAPYGQVTSAKVAMDYTTGLSKGYGFVKFSDPHDAAQAVMQLDGCLVEGKKIEVRVSGMSQRPTSSLVESHAHNSTLREIDMSNLYVSNIPSSVNTAKLVELFLPFGKVTHARVVEQVSNSSKGYGFVKFADSHCAAEAVALMNGALIEGETILVRVAGLSPSVPSSVQQHSPQSVTDHSPEINKCRLYVTNLPQSMTADKFVSLFMPFGQIDRVVMQTEYSFVLYADVNSAAKALKDMDGFLIEGKRLVVKGSEPCPVNAVDSSWSQSGSKFMKQIDMANLFVGRVPSAVNCDELLQLFCPYGEILRAKKFDDSGYAMIRYANASCAAVAIKHLDGYKIGGSTLLVRVAGLPGESDAVTDGHKQIDMTNLYVCHLPPFFTTEKLVDLFLPCGQITQAKVVVDKFTGVSKGYGFVKFGDAYSAAVAITHMNGYPLEGHVLSVRIAGVRPSDMVSYMAHFYSYFTSPDPSRMAVGVPTSHWPYYYGESAYTPYYYGESADTTSTMYQGQGTESATAVDQTSQLQGLPGSEPVSSSYVSNSISSDPSQLESWAYPPGFEPHAVAKKDATVWTGPPGFEPPAVGKKGATVWSGPPGFEPHTVKKDATVMSPQACSKVQFAHSGGSKKGSSVV >Dexi3A01G0030090.1:cds pep primary_assembly:Fonio_CM05836:3A:33450777:33455375:1 gene:Dexi3A01G0030090 transcript:Dexi3A01G0030090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVRRGASLLRAATVAGPALRPPCPAQRPHLDALRRSKNIPAFCFSGYCTLIAPSNEVLIPPELLSGQTVWTSERKIGQYEDLVARVTNFHNEDKGYMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLEVPSHKTKNIVNYIRQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIIEWMHTPINR >Dexi1B01G0005190.1:cds pep primary_assembly:Fonio_CM05836:1B:4244178:4247147:1 gene:Dexi1B01G0005190 transcript:Dexi1B01G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLAPTTSSSALLPKDAAKNSPPSRTLGLRALGSRSGKARPIMAVASEQAAPAARYPKVAAPTTGPIPAAELLGVIEAAAKAGADVIMEAVNKPRNIQYKGVADLVTGTDKLSESVILEVVTKNFKDHLILGEEGGLIGDSSSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTTSASAGSSLINNWCLFVEQSLLVTGFGYEHDDAWTTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITDAYWEYRLKPWDMAAGVLIVEEAGGVVTRMDGGAFTVFDRSVLVSNGGVHGQLLDRIGPSTEDLKKKGIDFSMWFKPDSYPTDF >Dexi3A01G0011620.1:cds pep primary_assembly:Fonio_CM05836:3A:8399813:8406790:1 gene:Dexi3A01G0011620 transcript:Dexi3A01G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSLGRDQLFIALGRTYKQEEFDALCFEFGIELDDVTTEKAIIRKEKHLEDDGEVEGDDEVIYKIEVAANRYDLLCLEGLARALRVFIGTEAIPVFRVSSIPHGSMIQMHVKPQTSQIRPHVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLLPPFSYEALPPHEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLRTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVNHDGSKTVYPDLSCYQMEAPLSDIVGPIGISLDEKQVVSLLNKMQLQAESHSSKGEPWISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILSSHEENFDMLNRTDDKSKAVIIANPRTSEFEVRTHQSALLCVLNCLYSKNSERLVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDPSRDVGASNNRRLAALYCNRVSGFEEIMGLVDSIVKIVRAPHVKFGEKYYIPTDEPEFFPKRQCKIVTSDGKQVGYLGIVHAEVLRKFGIPDPCTFVEMDIEALL >Dexi9A01G0002880.1:cds pep primary_assembly:Fonio_CM05836:9A:1542520:1543877:1 gene:Dexi9A01G0002880 transcript:Dexi9A01G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSDEGCPTSFISPASSSSALHRRLRRRGRAGSVPQTPAAPVSSCGYSPRERSPEGERSAARSSRPSMEATAGGKREYSIIVPTYNERLNIALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVVSCQSMLPFI >Dexi9A01G0027860.1:cds pep primary_assembly:Fonio_CM05836:9A:32455634:32464061:-1 gene:Dexi9A01G0027860 transcript:Dexi9A01G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPAMPHGRGMAPAVAGAARPPVNLLSLLVNASFAVPNCGKRYSCSNPKLTCSPMPQVEGRSYVPAEVRNGLETLKRRRLERMRLSAQNETSENPAVAARSGGDALRSPANCGVRLHSNNATGLPGNVQDKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTKEEFEDPIAYIQKISPEAAKYGICKIVAPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYERMANKVFSKKYSSTSCLPARYVEDEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQMPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILIGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLEQELRSCPCKSNRVVYVREDILELEALSRKFEQDISLSKERICTGSSKEAEISDINVERVPNSGTTLDFSNNRTGISGFVTADDGKNSPAASVLTSPAASILTSSAHLEAHSTQANQIYSSSKQAINTSSVPTGTCNLDEISSGIGNACNASAMECSDSSDSESGIFRVKRRSTSFDKPTSDTKVSNLSEQQVLRRLKKVHPEGQRPSKRPEESDACSARSVGMSQKSSNPTSSDDEREDMVPISWRIKRRQLETQHNDTSHVAKPQPCPPSSSSREDFAERTRDATAEFRSKRVKIRIPSSVSRQLEQQRSSGQRFAMDDKLSLGCPRTF >Dexi2A01G0004790.1:cds pep primary_assembly:Fonio_CM05836:2A:4329682:4330218:-1 gene:Dexi2A01G0004790 transcript:Dexi2A01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMTMASAASSLPFTATAGVRAARLPAARLTRRRQRAPLICMATKGEDTETTTTKKKPGIWDALAFSGPAPERINGRLAMVGFVSALAVEASRGDGLLAQAGNGAGLTWFAYTAVVLSAASLAPLLQGETVEGRSGGLFNLFTADAEIWNGRLAMLGLVALAATEYLTGAPLINA >Dexi9B01G0040900.1:cds pep primary_assembly:Fonio_CM05836:9B:41394538:41397277:-1 gene:Dexi9B01G0040900 transcript:Dexi9B01G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALLAVAVAALCCGPAAAALPRLEHYSAKNDGSLSLLVVGDWGRQGTHNQSRVAEQMGRIGEKLDIDFVISTGDNFYDNGLKGVNDRAFEESFTDIYTAQSLQKPWYLEIVDFFFVDTTPFEKKYWTHPGKHHYDWRGVAPRGKYIANLLKDLDEAMKQSTARWKIVVGHHTMRSVSDHGDTKELLKLLLPVLEENGADFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNKDKLRFFYDGQGFMSLQLNQDQAQFIFYDVSGNILYKWTSSNTGHPQPSTNLDDEE >Dexi1B01G0017190.1:cds pep primary_assembly:Fonio_CM05836:1B:23578982:23579567:-1 gene:Dexi1B01G0017190 transcript:Dexi1B01G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNAAEGAAPAATGVPTSGGYYDGVQGATPVAPWSTGLFDCFDDVGNCCVTFLFPCVTFGQIAEIADRGSTSCGASAALYTLIAVLSGFQSIYSCFYRSKLRAQYGVEERPCPDCRVHFFCEWCALCQEYRELNNRGFDMTIGTYY >Dexi7B01G0001920.1:cds pep primary_assembly:Fonio_CM05836:7B:3335670:3336105:-1 gene:Dexi7B01G0001920 transcript:Dexi7B01G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGYFRAPRRLYAGRMQYAALLMNGDEVPKGYFAVYVGAEARRFVVPTSYLRQPAFRELMERAAEEFGFNQAGGLRIPCREEDFQATVAALEERRRWRRMSGARGGGKMNAIVKAGSVDRCVVAFRTRGEEHISLLVGWFIL >Dexi9A01G0012910.1:cds pep primary_assembly:Fonio_CM05836:9A:8255987:8256407:1 gene:Dexi9A01G0012910 transcript:Dexi9A01G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSAAQAARAFGFPTTTTAAGPGGGAGDAPAVRTLDLDRFRPSYNVSPGAYLPVGTLRAHTATGGDGGRGDGGVEPVIQCMKWGLVPSFTGKNEKPDHFRMVCMAL >Dexi4B01G0019920.1:cds pep primary_assembly:Fonio_CM05836:4B:22041083:22050315:1 gene:Dexi4B01G0019920 transcript:Dexi4B01G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGLLLAAVPATGRKTSSSWRLRKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLMPLLKYIILVLGADDNGEGGTFALYSLMCRHSRMGLLNNIHERSLSAYNQKEPREELKSSLAIKGFFEKHYLLRVMLLLFVLMGTSMVIGDGVFTPTMSVLSAVSGLRIKFPELHESAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPVTVGFRDTEMIGNAYGLAVILVMFATTCLMFLVITTVWNRSVLLAALFTVGFGSIELMYLSACLAKVPHGGWLPLLLSLITLMAMSTWHYGTKKKEEYELQNKVCLDRFLSLSSGIGLVRVPGVGFVYSSTINGVPPMFAHFVTNFPAFHRVLIFVSIQTMAVPKVSPEERFLVGRVGPPANRLFRCVVRYGYKEGRWDHFNFENQLLMKVVEFLQLQQAEGVVVSRDGEAASDSGELSVIPASPPRRAHQLFDAETAPTSASYSTSSSCEIDTGVMSRRVRFEEPWSGEDAAAAMAAAESGEVKTLMEERESGVSYMIGQTCVQARESSPAVKRFAVDVVYGFLRRNSRRPAVELGIPNSSPSCPPQRNCTRHVVAPAATCHPSREGSPVMAPCPLLDCANPTRVPRCGLRSLHMRFFRAPFPRAWTRETPQARARAGEDVVLMAESVALIEAQATLCAPLNRPLSQARHGSFSVSMSSATVRRRTGPRFQHMPLLPSFDE >Dexi7B01G0016280.1:cds pep primary_assembly:Fonio_CM05836:7B:22222529:22231950:-1 gene:Dexi7B01G0016280 transcript:Dexi7B01G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASISRRGRSWRRTQGAWSTPNKPALTEHMGASGIICAAILLAGLALAAAGGELVFDVVAHGARGDGITDDTKAFEAAWAAACSAKGPSASMVVPAQRSFLVGPVSFQGPCGSGRITVQIQGKIVAPPSSVLSAWSNGTNDYWIMFSRVDGLTVTGNGVLDGNGQSWWVKRCSEPGCVQSAPTLMMCNNLELNQLSSKDSPQMHIAILNSIGVNVSGLTITAPGTSPNTDGIHIGGSQNVHISSSSIATGDDCISIISGSRFVTVDGVACGPGHGVRYGNRPCDVECKGTSFRALRDGDTATVEFIDVRNVNFTNTMYGARIKTWEGYAKSISFSNINFDNVDHPVLIDQFYRDNRASFQPPAVAISNVTYSNLTGTSSLATAVAFDCSDGGSCRDIHVNSLMITGSGGRQTVARCRNAQVAISGQIDEDRQTTLYAPRQVLCKAMLTRGDLDPHARKYHPERLNHWQYRETPYKPALTEHMGASGIVCAVVVLAGLALACPAAGGELVFDVVAHGARGDGITDDTKVRNVRVVIHVSLKAFEAAWAAACGAKGSSASMVVPPQRSFLVGPVSFQGPCASGRITVQIQGRIVAPPSSAVSTWSRARNDYWLMFNRVDGLTVTGNGIIDGNGPSWWSLKLVRCNNLELSQLSSKDSPQMHIAILNSNGVNVRGLTITAPGTSPNTDGIHIGDSQNVLITRSFIGTGDDCVSISSGSRFVTVDGIGYENRSMRCDVVDWKSTSGLCAIGSLGKYGDTAAVEFIDVRNVHFANTMYGARIKTWEFDNVDHPVLIDQFYRDRLSFQPAVAISNVTYNNLKGTSTLPTAVAFDCSDGGSCTNIHVNSLMITGSGGRQTVAISGHVYPEIPCRS >Dexi9A01G0032340.1:cds pep primary_assembly:Fonio_CM05836:9A:37225076:37226088:1 gene:Dexi9A01G0032340 transcript:Dexi9A01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVCCDHGRRLRRGGDGDGDDGATGAGGSDPVAQRVGGGEHAATADARGVRTEGIGDPTGCSALPWARWLRGWTAEENHHGDLLNRYLYLTGRERATFISHGHTARLAARHGDGTLARICGVIAADERRHEAGYTMASAKLFDVDPDGMVRALAHVMRGKVTMPGLLMSDGHGDGGSDLFGRFSAVAQRAGVYTASDYGDLVEHFVRRWRVADLDAGLSGEGRRAQEYVCALAPKIRRMEELAQRRAARGEPGMARFSWIFDRPVVLG >Dexi3B01G0028050.1:cds pep primary_assembly:Fonio_CM05836:3B:23863490:23865811:-1 gene:Dexi3B01G0028050 transcript:Dexi3B01G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYGNRFHLAGMSSGFCPLPRIGRSSTMAGFIPLAGLAREEKGAGPRSEGIEKKSYECDNEAVDLAMKAAADAAQNEVLLLHAPPAAVTKKAGKWVTPADEEDVLVNFLRANWRIFAWKPSDMPAAPPTPTSLHHNVEAYVDDVVVKTKDPDDLIADLQ >Dexi3A01G0016750.1:cds pep primary_assembly:Fonio_CM05836:3A:12695064:12696557:1 gene:Dexi3A01G0016750 transcript:Dexi3A01G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSNLLVLLIVLAAASAKSARLDLVPAAPGASLAERARDDRHRHAFISSQLASSRRGGSRRRVAAEEAPTSGVSLPVSSGAYAGTGQYFVKLLVGTPAQEFTLVADTGSDLTWLKCAGAGAAGSSPSGRAFWPGHSKSWAPIPCSSDTCKLDVPFSLANCSAPSSPCSYDYRYKEGSAGARGVVGTDSATIGLPGGKTVHLHSVVLGCSSTHAGQSFQAADGVMSLGYSKISFASRTAARFGGSFSYCLVDHLAPRGATGYLAFGPAGPPVRRGSPTTTAAQTTALLLDPQLPFYGVKVEAIHVAGKALDIPAEVWDAKKNGGAILDSGTTLTVLAAPAYKAVVAALSAHLAGVPKVTFPPFEHCYNWTWTARRRGAPPEIPKLVVQFAGSARLEPPAKSYVIDVKPGVKCLGVQEGEWPGLSVIGNILQQEHLWEFDLKNRLVRFKQSTCTQ >Dexi9B01G0039780.1:cds pep primary_assembly:Fonio_CM05836:9B:40473542:40475841:-1 gene:Dexi9B01G0039780 transcript:Dexi9B01G0039780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGDHHHHQEVAVLDDDDEELEHHQATACGGATIGVVEQRAGDGGGGQESAAGMVFEASSSVGSVSATMAPSQILCWPPQQHPPQHHLHHHHNVGGGGRQQAPFFPLLPPLPPQPPPPPPFLADFYARRALQFAYGGDHHHHTGGGGASTSSDPLGFGGLYMGHHHGGSAGMMMMPPPFASSSLPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTEADELTVDASSDEDGRLLVRASLCCDDRPDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVITGDDGAATGTEEDEDQQEKVEAPMSPQHTVASIQEALRAVMERTASSSAAAEAEPGAAPSSGAAGLKRQRTTSLSAILENRSI >Dexi2A01G0021400.1:cds pep primary_assembly:Fonio_CM05836:2A:33486288:33486797:1 gene:Dexi2A01G0021400 transcript:Dexi2A01G0021400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKEETTELRAPEITLCANSCGFPGNPATQNLCQSCFSAATASSMSSSPPASSSSSSSSVSAPAAVSQAPRPAPVDATPVETFQAVDRQPPAAAPEQARASTANRCSSCRKRVGLTGFRCRCGELFCGAHRYSDRHGCSYDYKGAARDAIARENPVVRAAKIVRF >Dexi3A01G0027800.1:cds pep primary_assembly:Fonio_CM05836:3A:27568869:27571348:-1 gene:Dexi3A01G0027800 transcript:Dexi3A01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPGGALKPMKATSDGIFQGENPLNSALPLAILQICVVVVLTRVLAFILRPLRQPRVIAEIIGGILLGPSALGRSTVFLNTVFPMQSLTVLDTLANIGLLYFLFLVGLEFDLRAIRRTGSSALVIAIAGISLPFIMGIGTSFILQHTVARGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVVAWILLALAIALSGSGSPLVSLWVLLSGAGFVLAAFFLLRPVLTWMARRSPEGEPVKELYICATLAIVLAAGFATDTIGIHALFGAFIVGIIVPKDGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTDVMTIKGGRSWALLVLVVGTACVGKIGGTLAASLIVRVPLREALTLGFLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALITTFITTPVVMAIYKPARRGPPYKNRAVQRASPNDEFRMMACFHSTRNIPTIINLMESSRGTRKRGITVYAMHLVELSERSSAISMVHKARRNGMPFWNRRRNGDGDGDQLVVAFETYQQLSRVSIRAMTAISDLHTMHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDQYQHINQRVLHHAPCSVAILVDRGLGGAAQVAASDVSYTIVAIFFGGRDDREALAYGIRMVEHPGIGLHVLRFSPQSDASDRAADDAFLEDFRTKVANGNESVQYEEKPAEGKAEVVEAIKATGRCNLFLVGQGTSCMPLADRSTDCPELGPVGGYLALPEFSTVASVLVMKQYDPTAKHYDLVEEVAELAVDVDTPGPSNRGTNASFRAG >Dexi4A01G0004590.1:cds pep primary_assembly:Fonio_CM05836:4A:3229336:3231228:1 gene:Dexi4A01G0004590 transcript:Dexi4A01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTAEDDARDAKRARLTPSAGDMDLIGVLDDDVLLRILSLVPDARDAARTAALSRRWLRLWTRVPVLRFASRPLPMAISAVDRCAALEQYVSLVDGVLSRRARSGCAAVETLSIAYTKDSPSLGAPHLQGLLPASVHAVQGWIRYAFQHGVKSLALDMHLPERPKLIGGKNVDDDEESHKNLMVCLDDGLPSPVRLETMRLVLGGARLRPSADVKFASLKDLSLERIKVADGDARLFACLVSSRSCPNLQKLRIRRLQFRSWSQEMRLEADMLSELWVEDTDLMSLDLRTPCLRVLHIDKCNIQVLGLSAPRLEQVALLCRPTASPVRLLRVHGDLPCVQSLKLWMWSHHHYSFHGGEQNDSSVLLLKSCSLLKCLEVTLAGSMPGMRYEVYVDLIKDTVPHIPQITSLTVKVSEAFTRHNFGAGVANLLTRFTNLRHLSVHLPSLFYELDEGLDDLYCDDHPDHWTFYKISMVHLQEVELTGLTGVYCELRFMEAVLASAKILGKVTIRFHKECCQHKGNMDAFQRLLLDEGMWTSRREEHMITCLK >Dexi9B01G0029440.1:cds pep primary_assembly:Fonio_CM05836:9B:31981970:31984557:1 gene:Dexi9B01G0029440 transcript:Dexi9B01G0029440.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATKPELRRPSVRAQPCRGRYPHRPRGPWRAAARRRTATPPAPPVPRHHLHPQHKQQGATTTTSVLGVGVPRAWLSQRTPVLGLRAWVLVAAGAAAAALALLCLIVYLCRRCRRHRPPRLAPCAHRPGASKSLKHRVHAHHQAVAMPPAKDVEEAVLWRPPPFEPPIEAIKAEQKAPLILSGHFARMSGESVESRGGEGESTDSGGGGSDAEVPEAARRGWGRRYTRREMDEATGGLAAANVMGEGGYGVVYKGVLRDGTAVAIKNLHNNRGQAEKDFRMEVQTIGRVRHKNLLSLLGYCSEGACRMLVYRYMENSNLDKWLHHDDSEISPLTWDIRMRVLLGTAKGLAYLHEGLEPKIVHRDIKSSNILLDKQWNAKVSDFGLAKLLRSENSFVTTRVMGTFGYVAPEYAKTGMLNERSDVYSFGVLVMEVITGRTPVDYTRPTDEVNLVEWLKRMVAERRVEEVLDPRLPERPPSKALKRAILAALRCVDPEGSQRPTMAHVVHMLEDDQIQRDELKLARDLSPRESDSYEREHCR >Dexi2A01G0034680.1:cds pep primary_assembly:Fonio_CM05836:2A:44534523:44535912:-1 gene:Dexi2A01G0034680 transcript:Dexi2A01G0034680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAKLAALTIALLAFIGPAASQGSSSILCLDGWVRPIPILGRLACPGSLIPNPKIFLKPSPAPSGAGLRVGFYTSCPNAEDIVRKVVKDAVHKEPGMGAGLIRIFFHDCFVRRLKRPIRDIGPTKQRKPSRLQRDRRRQGGARGGLPQRRVCADIVAFAARDASFFLSNGRISFSIPSGRLDGRVSLANETTGALPGPFSDLETVKNRFAAKGLNTNDMVTLSGAHTVGHARCDFVVSTAGRRPGMNATLPGELSRKCGGGGDVTVNLDYKTPDVQLDGQSYKNVKNGDVVLDSDAALSSTETAALVDTYAAAGVGSGWEMAFAAAMVKMGNIEVKTRPGADAEIRKKCSIYN >Dexi7A01G0012560.1:cds pep primary_assembly:Fonio_CM05836:7A:22714551:22718786:-1 gene:Dexi7A01G0012560 transcript:Dexi7A01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKFKLGKKIGSGSFGELYLAVNVQNGEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMISRVEYMHTKGFLHRDIKPDNFLMGLGRKANQVFVIDYGLAKKYRDLQTHKHIPYRKLFCTVFNHSGGVGSYKEHKAANEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFTAYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYVFDWTIMKYPQFRDKSKVQSSGRISGLAGPSAERTERTAAEALFRRTGSGSGHNREHTKHKSLLESLIPSKVTVESDKTRPTSSSRNGSTSRRAILSSSKPSSRDPSDPNRTGPLVSSGSSRPSITAQRAHHSGGAEIRSSSLSKIGRSPHDDAIRSFELLSIGADRRK >Dexi3B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:3B:11630279:11634067:1 gene:Dexi3B01G0016030 transcript:Dexi3B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGQGKKREDKTLNSEHLLQDEEEEEEEEVKTISGIINSARSLDDDDDDMLSEIESLLSGDIDIPIPSDRFDVNERSEYNAHMANAAAEIDRLHSLVREMEEREAKLESELLEYYGMKEMETDVAELQKQLKTKTVEIDMLNNTIISMQEERKKLQDEVACGVLAKKELEEARSKIKELQRQIQLEAGQTKGQLMLLKQQVIGLKAKEEEAAKKEAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLLVKLDAAEGKITESDVVAHAREEIDKLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQMPSGKVSARDLNRTLSPKSQERAKQLMLEYAGSERGQGDTDLESASSMPSSPGSEDFDNLSIDSSSSRYSFLSKKSNLMQKLKRWGRSKDDSSSLTSSISGSPRRKPRGPLEALMLKNAGDGTAITTFGQRDPNDVLDEENVASSFQLMSKTVEGFADEKYPAYKDRHKLATERENAIKEKAGQARAQRFGGGHSSALISSPKVALPPKLAQIKERAPAVNAASSEQSSDNQSNTLVVSQLKLANIEKRATRVPRPPPTRSTTASGATNTASGLQMPRPPGAPPPPPPRPPGAPPPPPPPPGKAGGPPPPPPPPGALPRSLAGGDKVHRAPEIVEFYQSLMKREAKKETSLGSVSSNVSDARSNMIGEIENRSAFLLAVKADVETQGEFVESLASEVRAASFVNIDDVGAFVNWLDEELSFLVDERAVLKHFDWPESKTDAIREAAFEYQDLIKLQNKVSSFTDDPQLACEEALKKMYSLLEKVEQSVYALLRTRDMAVSRYKEYGIPVDWLSDSGVVGKIKLASVQLANKYMKRVSSELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTSAPQISEA >Dexi2B01G0018050.1:cds pep primary_assembly:Fonio_CM05836:2B:28426397:28430830:1 gene:Dexi2B01G0018050 transcript:Dexi2B01G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALLAALLLVAAAASPAAALYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCKQLAPAWEKAAGVLKGVATLAALDADAHQALAQEYRIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKASAGSSGKTSGGSSEKNEPSASVELNSRNFDELVVKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYNVQGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANSGPAEVSELTGPDVMEEKCASAAICFVSFLPDILDSKADGRNKYLELLLSVAEKFKKSPYRQVSAELITYFTENVFVWTAAGKQADLENQVGVGGYGYPAMVALNVKKGAYAPLRSAFQHDEIIEFVKEAGRGGKGNLPLNGAPTVVTSEPWDGKDGEEIVEDEFSLDELMGESSPVNDEL >Dexi3B01G0036520.1:cds pep primary_assembly:Fonio_CM05836:3B:39410414:39413822:-1 gene:Dexi3B01G0036520 transcript:Dexi3B01G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQCLQGSLCTDVAGSRLLPRDYNGYTLAILMGRGTIPSSLQKFPSSSFAGNQGLCGPPLGDCQASAKTKSTAAIIGAVIGVVSMFENPVSKMKLSDLMKATNQFSKENIIGTGRTGTVYKAVLPDGSFLRVKRLQDSQHSESQFTSEMKTLGQVRHLNLVPLLGFCIAKKEKLLVYKHMPKGSLFDQLHQEEGNKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELVTSEKPTHVSTAPDNFRGSLVEWISYLSNNTLLQDAIDKSLIGKGVDGELMQFLKVACSCTLATPKERPTMFEVYQLLRAIGERYHFTTDDDLILPPPNTDSDGATLDELIVAK >DexiUA01G0013850.1:cds pep primary_assembly:Fonio_CM05836:UA:29171036:29173222:-1 gene:DexiUA01G0013850 transcript:DexiUA01G0013850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRRSCSTWPVPRPQRRCSSWAALTSSCIAAAVPTGAEAARSIRALQVEYSRGDGESSRQLVDPPPPHALRWGEQQAAVKRADARAAGAGGRGDLFKNKHVINLTQHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVLPAYIPIVERRKDTPFTDEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHKPEEGTEEWKLLDACINPKDWI >Dexi1B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:1B:4027386:4029793:1 gene:Dexi1B01G0004900 transcript:Dexi1B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSSSAAAATLVAYGKLPEDRELLASAAGSVSLGEGGSGEELAVAVSYEGAAGFDADAYMGELRARRFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRVKLCMVWHHEIKICSSPTNHAGRSKNVWESPPGCLMFSFTAQMQDVRKLPLMQYVICLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEAKVYNICTGIGLNVDNEKPSTCLNAALKEANAISPVLKKEDILAYFFNKFENLFEIFSNQGFQALEEQYYKSWLHSGQRVVVQDAHESQSAGSVVTIQGLTPTGYLYAIGKDDKSYELHPDGNSFDFFTGLVRRKMET >Dexi6B01G0004530.1:cds pep primary_assembly:Fonio_CM05836:6B:3798860:3799394:-1 gene:Dexi6B01G0004530 transcript:Dexi6B01G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDLDPSRAGSEQPPPGKKACGGGGSGGDGGGGNSTSKSGSIGKMFFKTKLCSEFRAGTCPYIANCNFAHGMEELRKPPGKVRGGGGSRGGGGGGNGAGSNSTSKSRAIGKMFFKTKLCCEFHAGTCPYITNCNFAHGMEELRKRPPKLTGDCAHEGSMEQWKDHQIPIMIHDLR >Dexi9B01G0003130.1:cds pep primary_assembly:Fonio_CM05836:9B:1779022:1780018:-1 gene:Dexi9B01G0003130 transcript:Dexi9B01G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRDAHHRRATTPHRYVLAEKSSSPGGGKNNSSPATSSSNASSLASAPPAEPSNKRHRSHKHRIIGFVVGSVAGVISGLVLCVLFRLALNCIRGRYRTRSGMVIFTPKLIRRAEHLAFLEKVDGLASLSVIGRGGCGEVFKAQLPAEKEGDEPRFIAIKKIKKQSTDTPNNLRDEESRQLVKWSRQIQSEIRTVGHIRHRSLLPLAAHVPRPDCHYLVYEYMKNGSVHNALKRRRPHHRRGRQQKRRHGGADVAGASPRGWAHRARARWEHAAAGAARGEAGTSARGTEDGVHGESRVRHI >Dexi2B01G0006380.1:cds pep primary_assembly:Fonio_CM05836:2B:5950331:5953040:1 gene:Dexi2B01G0006380 transcript:Dexi2B01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGGGLAAWVVAVAAAWVLWAAAVAGARSPAGRVHRHLKRLNKPAVKSIQSPDGDIIDCVHISHQPAFDHPLLKNHTIQFRPAYHPEGLYDDAKSSIGSNSGGEKPILQMWHRNGRCPAGTVPIRRTRKDDLLRASSMRRYGRKHHTAPNPLSVDPNMLSEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNYAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGTHTSTQMGSGHFPEEGFGKASYFKNIQVVDSSNQLSAPKGVGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >Dexi6A01G0006190.1:cds pep primary_assembly:Fonio_CM05836:6A:5883367:5884383:1 gene:Dexi6A01G0006190 transcript:Dexi6A01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSSASTTDDEWEWRSTWPQRAWTLAGSAAILSSLLTCARLVVAASGEGLLAAAMAAFAGYSLADLATGVYHWSIDNYGDATSPLFGAQIVAFRDHHLRPSAITRLEPCNSLHVLAAAVAVALPATGAALSATGWSAAAHAFACAFAACAMLSVQFHAWAHERPSRLPPGVAALQAAGVLVSRSQHAGHHRPPHSNNYCTVSGMWNPVLDGCKVFHAMEKTKL >Dexi4A01G0011440.1:cds pep primary_assembly:Fonio_CM05836:4A:9478077:9481228:1 gene:Dexi4A01G0011440 transcript:Dexi4A01G0011440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRQAVAIGVSAGVAAAVLTAACVAIWMYRRRASVAARTRSVESSSATLRADGSRCASLDSSVSVSVVSESVADWGHPPPAKRAAFWAWRGGAGHNGREPPPLTVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGDNKRSLSWEERLQIAHDISHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGSKSGLKAIGGEGRVDWDEILDKDLLVGNIPEEVRMLADVAYRCVNKSPRKRPWIPEVTQAISRLRQRQLTKHDALTLPRSETRTVLRRIEYQHVELSDLTSMKELTPIRA >Dexi1B01G0008220.1:cds pep primary_assembly:Fonio_CM05836:1B:6882400:6886311:-1 gene:Dexi1B01G0008220 transcript:Dexi1B01G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TAT-binding protein homolog (Fragment) [Source: Projected from Oryza sativa (Os02g0205300)] MATVAMDISKPTPAASGDEAAAAGKGRSGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >Dexi3A01G0018640.1:cds pep primary_assembly:Fonio_CM05836:3A:14185211:14186107:-1 gene:Dexi3A01G0018640 transcript:Dexi3A01G0018640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQSSQMESTGGPPCPHHGGGDGGAPPAVSAKKVWRAMQAAYLVVVKKHQPKITALGVHLHHLLSGSSKRNHHRSLAAAPEQHPALTYLSSLSCRSMDPNAAVVHPYPRGRGHRLRRASSSSRPATHSLSCRSMDPAAAVCQYQYRPREVEFSCKSTPLHKRRRREQRLLHRLQEDRAAAQERDLSSERGYYYGSAVAVTRLFELMDVEEASKATDLADYDGDELDLEATVAWPALTAGPAPRQVRITDSPYMMWDDDDEEGWGGVDRRADEFIMRFHEQLRAQQPQPQRSTRWVR >Dexi9A01G0038900.1:cds pep primary_assembly:Fonio_CM05836:9A:43020479:43024657:-1 gene:Dexi9A01G0038900 transcript:Dexi9A01G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATMTWHEDLATLVGDTGIRLPGAGGDAPAAANVAAVGAGWYGEEEEGRAEEGWVQQDKTLLEGPEFNAFWERNVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKKEDRGFFELIKSLFNQVEREWVGFLGPIHIWQGMDDRVVSPSVAEFVRRVVPGATVHKLLDEGHFSYFCFCNECHRQIFSTLFGIPQGPIDPAPQPSDVASELAEETTAPDNLTEQEQGTSSLI >Dexi4B01G0017200.1:cds pep primary_assembly:Fonio_CM05836:4B:19275986:19277741:1 gene:Dexi4B01G0017200 transcript:Dexi4B01G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVLSVDCGGAAGNAADDLKYISGLSTILVATIQEVKDQVSQMEFIFCSQLFPHIQAKAKLVQARLDDAMRAKEDEWRKREASLVSQLEELSSGKRQAEERLLRMGSSLEEMKGKLADSERMAARHEAEKKQLLGRLEDEMRKGEVIRRLQREIEEKAAEVTREREANQRLLQQVDLKDKDLLLEQSKRRDLIEDYTQLKTNYKQLKSQYNFLLGKIDQNEGSKSHVHIPVDKRNSESPPSKRKLKDLEHTTKDRIPVVSMTRDLKNDSTPGAEAQAAQHASSVRNPFRNSCFALPSGPTNPLRNKTASNSKLETLSSATGPNLHWRETRARKEPGDADPHDDFLDTPLEALKNTTRNLTPEEAQALAASPPQDMEFNNSDDETQDMNNATQGPKNIPSMPAPKQQSTISVHPPKKDFKYRESVRKKADRENLKGVECKQCKKFYDAVLPDGRANGDCAGSTSLRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >Dexi8B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:8B:26806090:26806646:1 gene:Dexi8B01G0015970 transcript:Dexi8B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGVAQCLYDKDKDMTPDASWVVMLPWKVVDIVMSFMPDAMSIPKNFVRKMRHCMPPDRYELPPHQKPFMCYSNYEPPHLLVLLRYYIVGEPSNKDDTKRSTPRNWRITTSISAIKLAEIGMNQSQSSQDSQAHSHVPHQRGGNPLR >Dexi1A01G0029810.1:cds pep primary_assembly:Fonio_CM05836:1A:35244206:35244502:-1 gene:Dexi1A01G0029810 transcript:Dexi1A01G0029810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAGLSFIAGILWQLRERKEDEPIGGMRPHWNSVRYRWAASEAEESEFTAGHVVQNDNERLFLGSSLIGLVPGRAMKEMIGCLQRGHGIAPAGLADV >Dexi6A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:6A:25529678:25538766:-1 gene:Dexi6A01G0017670 transcript:Dexi6A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPSAALFLIFLAVAGAATPPHTEALLAWKASLGDPDALSTWRNATPVCTGWRGVACDEAGRVVSLHLQSLGISGAAQARAERQQPPGRHTGVHRPAALALLDLSFNLLEGTIPHRLSSVPTLLLHVNLSGNYLTSIPEFVHTNMSLMDLSLNSFTGPIPGVLPPNLKYLDLSINAFTGRIPATLTRLAELRQLRVNGNNLSGGVPDFLGSMTRLRVLELAGNPLGGQLPPTLGRLWRLRRLDLKDSGLVSVVPPELGKLRKLQLTGALPASLAGMLSMREFGVSCNNFTGDIPGALLASWPQLISFQAHENAFTGNIPPEFGKGSNNLKVLYLFHNNLTGPIPPEISELKNLEELDLSFNLITGSIPSSFGSLKNLKRIALGMNELSGTIPPEVGSMSALQHFDVNDNKLEGELPTTFTSLRNLQSLRLFDNNITGTIPPDLGKGVALVVVNPGNNRFYGELPQNLCDGLVLQNLTTHNNNFSGKLPPCLKNCTDLYRVRLEQNQFIGNISEAFGIHPNLAYLDVSGNELTGQLTTEWEKCTNISFLHMEDNLISGSIPTGFGKMEILQDLNIAGNLLTGAIPPMAVVVLLASIAICCLLIACRRREANPEFVVRERDTRLTFSFRDIVNVTQNFSNSCCIGKGGFGAVYRAQLLSRGLVVAVKRIHVVGAEDRNKKRAFENEVQTLSLVRHRNIVRLIGFCTIGEYNYLLYNYLERGTLWEALHGEESSSLLNWGLRSKVIKGLAHAVDYLHNDCNPAIVHGDITSSNILLDSEFEPHLSDFGNANTLGSSTRWTRVVGSHGYMAPELAQNTQRSTEADIYSYGVVLLEILTGKMAVEPSFDDDVDIVSWVLSRLDSTSQIEALCDPSIVREISDTDRMEDLRMVLNLAVRCASKVAGQRPSIANVVNELRGLGGGMATTVPQVDT >Dexi8A01G0009860.1:cds pep primary_assembly:Fonio_CM05836:8A:15453545:15454049:1 gene:Dexi8A01G0009860 transcript:Dexi8A01G0009860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISEQLLPKPNELGAQAATSHNAPAAPNEEAIIGDTENANQEEQRQQAARRERDFLAGIRKLIKSFKSLSHIFEIYKDDDEDEDDMDGGIEIGFPTDVQHVAHIGLDGSTSVSSSLRGLEGARELLSLSNLTTLE >Dexi6B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:6B:1158466:1158735:-1 gene:Dexi6B01G0001390 transcript:Dexi6B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRNGERRRPKCRFSSSCPPLYLKVNTITSALFREKVIKRTDGGNAEKPTNGVDVSRLAPAARINTQGFLPLGVAVVREGSRREGRGR >Dexi2B01G0006960.1:cds pep primary_assembly:Fonio_CM05836:2B:6925185:6927506:1 gene:Dexi2B01G0006960 transcript:Dexi2B01G0006960.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGGSGRGRRKKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >Dexi2B01G0000470.1:cds pep primary_assembly:Fonio_CM05836:2B:191369:192454:1 gene:Dexi2B01G0000470 transcript:Dexi2B01G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATMVVVSRARTTISSASRRRRKRPPSPPVAEGAPLVGVVPAILCTKGLPGVIREQHSKLGSVFTLSALGIKVTFLVGPKACAHFFHATESEISIGDVYKMTVPIFGKGVGYDVDNDTRNEQHRFFADALRPAKLRSYVAPMLREVEEYFAKWGQCGTVDLKQEMDTVLMLMASRCLLGEEVRDHMHGEVSSLLHDLTGGLHLASMFFPYLPTPAHRRRDKARARLEEIFSGIVRSRRSSGRAGDDLLQVLIDSRYKKDGRATTEAEITGLLVALLFAGHHTSSTAAAWTVARLLRHGEWLRAATEEQRRLRLRPPPQGQGDYDGVLRMDVLHAA >Dexi2A01G0024740.1:cds pep primary_assembly:Fonio_CM05836:2A:36463006:36464298:-1 gene:Dexi2A01G0024740 transcript:Dexi2A01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPDGQHVWLRSSGRGEKYLHADEGGVSVRVSSKRASPLSAWKVHHAVDPEDGETYVLLCSAAYGRYLTATRVPAPRGHKGRLVVQGAYNTFNSVAWRPERVGAGDGGDKVVLRHYSDHLLRANGEFFFWNKGISADATNRITAMSHWVVRAIPPRPFPPELPAAVLGPYRGSFLSCTKMDVATVVPGVPGRNIRFVRAADDGTFAPDPDDWDEFRFNGRSEVELRAAVAGALEEPNVLGIKLCARAGRFARPVPLTIDLPRHERVMEIVVLTEGSPAAVALQYPDIDAP >Dexi5B01G0033580.1:cds pep primary_assembly:Fonio_CM05836:5B:33972820:33981506:-1 gene:Dexi5B01G0033580 transcript:Dexi5B01G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERLVTEAKPKLKTLDVIRRIGASTNGDPSARGRGTPGRGGATVSDCSPSDVSIPDVPWPSAPFGLWGRTATRGICRQGSDKRMIERLASWPKYQPLGGFVLWAGESCVPFQIELHSVDTRALWPRPPKAQRKKPVVSCLLHLADLDLVWFRSSGPPQAFYVRCGGGGVTYGQPPTARPPWTDDESEREYATRHFPCLQGRRRATARPQAMCPPRGPRGASAPPGTAALAPPPPPPNSWPLASRACLPRQAPALARACLPGRLVSAGRLSGARPRGPEHIGPMPGARVACLWDVAPDSRLAVACPFARGACQRAVDEPAKRRPCIAKGHRNQEWARTAPAASNFVCVHARMPARRRPCLFAPTNPSSVHVRPATAQSMRVVVQPLLLPRTHDPPRPGPHSAIEPGRVAVRTRGRAEHSARRSQGHGTRAITVRGAPNHSTAAGGRASNLFPSCDGRPAMDGSADPPRINSRACGRAEHAGRSTIISRLVADDIWLGCDVVDLRLAGARGRRLVYLIAPHGGWGLAVKVFLPFHAHTAGDRDRPSKMYCGVGMYCPGYRHRKQRRPAAAKSLRTRNGSEQRCSKCHAAETRDSGARRIACCPAADRSVDTRAGPRANGRTLTLYTGRSVAGWVHRLNARTVVTVSDWNGAAASRHPRCTIPTWIAGLHFLAVAASFPVSVMGEATGGTRKVLSTSCLESSDPAYPIRSLTRQFEITDPRRDRVKATYGSSPSYALNVYTYGTLPHAAAPSGTRKPSGRAAAQRMRLRPRGVRSAQPSYPSNGDDGERTPLPPTLGWRDAIDARRITKSWAIPRDLRPAHPTVPGVGHHHAAVLLPRPRTARRPCRACVRALDRPPARAVPCRAPVRRSIAWPERLRHTDHALAPKKKENSSPGRLLPTYKNPTTSSIPLALTLSPHLQPLEPQPQGHLRSLSHHHIPGVEFSPLQAPALIDHRGGLAMLPYAPRPPSLLVDRRYKQGGEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRSKSSMRSASESMASGRDAAFGHRFPGPVRPDLVLEGMVGNPANTGQAIPDVPTAADGSTIDLAMLYAKFLNNNPASEAGVVTPESAGQVEDAFDTFSASSDLSPGGVLAAQAQFDPCHHDGFGEWSGPVSSSDPTSTASTATATTTMLCSDVSVQAALGELSFAMDQSCCFDSLGLPTDVGNLSSWCSIVPSLSTLEDTKYDSLDSFPDDSLSLHEAMISGPADNHDWTVDCQGLEALYMP >Dexi9A01G0022190.1:cds pep primary_assembly:Fonio_CM05836:9A:17246410:17254894:-1 gene:Dexi9A01G0022190 transcript:Dexi9A01G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDLRRVEEVFYDVKIRGLAPAESKQEAAYPTWMPSLTDPVGKELLLLLEAVHGNDGAMGDGASSRGLSPFSPWPCCKGREQQWASGNCCVQSTEEAACFFGLCHACVAYITKTTPRHFSDLISSPPTSTSLPAAFRSPTSLGLPPGFCFRPDDDELIELFLLPSVRGEAPRLPGVVITEDDTAANTLPWKLMKRHGMADDDEVYFFVRTTNGEAAGRQDRYCDGGGTWVSQRPVPGVSCVAGQEIKWRRVNLNLHMGRGKSGGGSTGWVMHEYTVTEPPCPFLKICHIAFTGHGKERKRVPDDDSDECQAASKRARIEADANDKNGSTGDDEVYGTEYLTGDVDFDRCAEAFYQEFRKPLRTSLFRSIRPLPTDQGISPINQQLVDLDQDPSVAHGSAAYQPFPSDQGFSPINQELLDGAGMPIMERQDILDAQLEPLQSPSWSFPLAASDGADLYLEQQPRTIEQQQPMEHQSEEDQQERLYWMTFPEEGPKSKVSGCASNER >Dexi9B01G0003370.1:cds pep primary_assembly:Fonio_CM05836:9B:1938707:1939031:1 gene:Dexi9B01G0003370 transcript:Dexi9B01G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRSASGSSSSPGAAANSSGSDSPYSFRIWYRIIPARSLAAHTEAATTALPPSRAPHDSAIGARATTTTATDSILPGPLAWGRFAAREYSQRWSEEDEAQV >Dexi3A01G0034470.1:cds pep primary_assembly:Fonio_CM05836:3A:39622213:39623718:1 gene:Dexi3A01G0034470 transcript:Dexi3A01G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPSAVAIKAAFEGNLRLLKKLASEMDLREAKDAKDQNALHLAAEKGHLEVCRFLVEGSGLDVSVLRYLLDHGGDPAIPDALGFTPLHMAAERGI >Dexi5A01G0034500.1:cds pep primary_assembly:Fonio_CM05836:5A:36525662:36527591:-1 gene:Dexi5A01G0034500 transcript:Dexi5A01G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVVATYYYPSAAPAAMEVCGAELGQGAAGKCFDDDGRPKRSGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPTVMVLFSLVTYYTSSLLADCYRSGDPSTGKRNYTYMDVVNANLSAYLRHSPRGVKVKICGFLQYANIVGVAIGYTIAASISMLAIRRANCFHQKGHGNPCKISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSSIGLGLGIVQVIANKGVQGSLTGISIGVSVTPMEKVWRSLQAFGDIAFAYSYSLILIEIQDTIRSPPPSESTVMKRATVVSVAVTTLFYMLCGCMGYAAFGDDAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKSAAQRWPDSAFVSGEVELPILPACKVNLFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQKKVPRWSGTWVCLQMLSVGCLVISVAAAAGSIAGIASDVKVYRPFKSY >Dexi8B01G0011060.1:cds pep primary_assembly:Fonio_CM05836:8B:19716448:19716922:1 gene:Dexi8B01G0011060 transcript:Dexi8B01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGTKRRRESRRRRPPSQSAAAGAAAAAASSRTGAAGADASSASTVALAATSVQSGGRGGSGGYCVGRRLMSHGCVFLGRVFMWCLVPFFCGRDEHSTASTARPTPGSEGKPTDGRESFGAGGAAVPARSAVTGDMLACSASEG >Dexi7A01G0011450.1:cds pep primary_assembly:Fonio_CM05836:7A:21929164:21930180:1 gene:Dexi7A01G0011450 transcript:Dexi7A01G0011450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRINSCNSGGERYLKYAGEGEALLRRTFQKAHVASPSIIFLYEADAIAPKRTGPGRNSSGGLTVGERLLSTSLTEMDGLKLAAAFCCCRELLYWLLLITQTPNTIDAALMCSARFDKMWKDDETLRIHTRKVKLVEDVDLWKIAELPSCSLGLILKAFAGMLNGHIEGKISLLV >Dexi2A01G0009090.1:cds pep primary_assembly:Fonio_CM05836:2A:9102158:9106826:-1 gene:Dexi2A01G0009090 transcript:Dexi2A01G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERETLGNERGRSWRANAAQEDPKKLEDGDHLIKLLWVILIGLIFALIIQSLAANLGVVTVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQRYGVRKLEFLISMLVFVMAACFFGEMSFVKPPAVEVLKGLFIPRLNGAGATGDAIALLGALIMPFFLYESGFALFVALLINIAVISVSGTVCFAPNLSAEDANKCSDLTLDSSSFLLKARSSAIVYGVALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNTLPKYANVLVGLLVFPLMLIYIIAVIYLTFRKDTVVTYVADSTQIDAEKAKAAGEEEDDQPVPFREDLADIPLPE >Dexi4A01G0021760.1:cds pep primary_assembly:Fonio_CM05836:4A:25172791:25174320:1 gene:Dexi4A01G0021760 transcript:Dexi4A01G0021760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRSPLLAAAVLALTVALALATAASARHHSSNSIVQPPAERPDEEVRRMYEEWKSEHGHRRRPSRSNCDLAGDGEEDRLRLEVFRDNLRYIDAHNAEADAGLHTFRLGLTPFTDLTVEEYRARVLGFRAPRRDAAAAARRDGTTRHLRRHGGDQVPDAIDWRQLGAVTDVKDQEQCGGCWAFSAVAAMEGINEIVTGNLISLSEQEVIDCDSQDSGCNGGNMQDAFEFVINNGGIDTEADYPFTGTDGACDANRVNEKVVTIDDFVNVAANSEAALQEAVASQPGIFNGICGTKLDHGVTVVGYGSENGKDFWIVKNSWNTTWGEGGYIRMARNVLSPRGKCGIAMDASYPVKYSSSSNNPMARAAMTLLKMAVA >Dexi9B01G0001530.1:cds pep primary_assembly:Fonio_CM05836:9B:885715:886723:1 gene:Dexi9B01G0001530 transcript:Dexi9B01G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEETVVFSTSDDASIALLRRLRAGAAVHFVHHVDVCSAPPEDLVADLDPAPGTDLAQDGYNSTWYFYCPKRFKNAQGKPSGHRQRAIAGGDTCWHSETAPKPVKGLEGATFCNLSFGRKEGSGRSFNRMGWCMTEYDDKNDHVLCKVHRSSSSLAKGKLKPTTKTQWCSSSSFKPKRKATCEHPQAPPSKMSLCASVDHRQLQQNTMFPAAEEQQLHQNAMFPVAKEQQQFQDNTLFSMDELLRACSPGSTEDLSSGPVYGSSCFPGDNFTMDDLFGSGSTGCCNTPKAMPTPDAAFFEGLAF >Dexi3A01G0005720.1:cds pep primary_assembly:Fonio_CM05836:3A:3706417:3711500:1 gene:Dexi3A01G0005720 transcript:Dexi3A01G0005720.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCPSMGLSPWFVFLCSVLVQVSSILADTDSGDTSGLTGIAANWDKNTPSNWNGNDPCGGKWIGIICTGNRVISMLVSASNKPKIMNFVSSLDLSYNTDLGGSLPASIGSLMNLQSLILVGCSFSGEIPPELGQLSQLRFLSLNSNKFSGSIPASLGNLSNLYWFDLADNKLTGTLPVFDGTNPGLDNLTSTKHFHFGVNQLSGPIPSQIFSSNMKLIHLLLDNNNFSGSIPPTLGLLNTLEVLRFDNNPQLSGSVPSNINNLTKLAELHLQNSKLTGPLPDLTGMSALSFVDMSNNSFNASNVPSWFTTLPSLTSLRLRGNRFNGTLTIGSDFSTQLDLIDLQDNQISSLTVGASQYSKTLILVGNPICVPGSNQKYCMAPGQSNVTPYSTARNCSALPPQCLSNQLLSPTCICAVPYRGTLFFRSPSFSDLSSASNFVPLEQDMKTKFAKLNVPVDSINIHDPLFNTNSNLQLSLELFPSGKVQFTAQDISDIGFMLSNQTYKPPSMYGPYYFIGQQYTFADDLLAPPKSKSTNRLPLIIGASAGGAVLALAVVALVIFIARRKRTPKRTEDRSQSFVSWDMKSTSASTIPQLRGARTFTFDELRKITDNFSEANDIGNGGYGKVYRGTLPSGQLVAVKRSEQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQDEQILVYEYVPNGTLKESLTGKSGVRLDWRRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNVLLDERLNAKVSDFGLSKPLGEDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEVVTARKPLERGRYIVREVKAAVDRSKDMYGLHELIDPVLGAAPTAMAGLEQFVDLALRCVEEAGADRPSMGEVVSEIERMAKMAGGTGPDSASNSMSYASRTPRHPYGGDSPFDYSGGGMPSTRVEPK >Dexi4B01G0018210.1:cds pep primary_assembly:Fonio_CM05836:4B:20577963:20580254:-1 gene:Dexi4B01G0018210 transcript:Dexi4B01G0018210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFFSVVLSKSPVSDDGPVEVYGYIAVRDEIDGMLNYIVNYSRDDPVVVRQGSPIAMTGPKRGIEADYMVLIEFDMRIKNGARGEDDQQLIDGAISSYHRSAWKPIRHRIVGDCGGAVDMSFAAMDKALEATIEVVVTSEVQSGLSLSLSSFLLDALHDYEEIQLFHGVVHQSGPLRRFVVAVSFLTTMILEFKVGNGVERPVTVWNVLDR >Dexi7B01G0018810.1:cds pep primary_assembly:Fonio_CM05836:7B:24457604:24458694:1 gene:Dexi7B01G0018810 transcript:Dexi7B01G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQGERAVAGLEDGESAVPMSVEGELAVPIAGDGKRAVVGSAEGGGTLLRSLEYPCTARLRRRRLLAYLRGHSCDEIYEAAKEKICVIFHVRDLVKQVKAGQLREAYYYIRSFAPINQSSSEASLLALFLQDLMAINCFVNGQTTVASILCDWFISIYRHPVLAKYPCFAALVVDILFLRSNHLRASLDWQLVRNKAAEIAEEMAYKTPELKGRMHYPRGRNDLENVSNTVILTDFLAI >Dexi7A01G0002480.1:cds pep primary_assembly:Fonio_CM05836:7A:10724368:10732313:1 gene:Dexi7A01G0002480 transcript:Dexi7A01G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENTSLFLIFILTMIALPLVPYTIMRLCRAASEKARTIHCRCLGCHRSGKYRKSIYKRISNFSTCSNLTIILLWIVMIFLVYYIKHASREVQVFEPFSILGLEPGASESEIKKSYRRLSIQYHPDKNPDPVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRTLLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPATGVIELSQNIIQKIRAFQELCDMSVEDRAALLTQVAGLSEDQARDVDLVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLIAALPHCPNFPFHKEENFWLLLADAASNDVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGIAVREAVDKVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGLVAAAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKKKGKGKGKVPNGAAHQEADSDIDSGSDE >Dexi3A01G0010300.1:cds pep primary_assembly:Fonio_CM05836:3A:7363514:7364721:-1 gene:Dexi3A01G0010300 transcript:Dexi3A01G0010300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATSACKSKTMLLMVFVAAALVMNTPSLAQSPLQYNFYGSSCPQAESTVRSVTEGIIKNDTTMGAALMRLFFHDCFVRGCDASILLDPTANNTQVEKKAIALRGYDAVNKIKAAVESICPGVVSCADILAFAARDTAVVSGGFTTFDMPSGRRDGVVSKLFDVLQNIPSPILKLPDLINNFAAKGLTVDDLVTLSGAHSFGQAHCSVFNGRLYPTVDPTMNATFADALKTVCPAPGTSGADPVVSNNRVTDPNVLSNQYYSNLVAGKVLFVSDQQLMNSTYTAAKVANNSADAATWMGQFAAALVKMGSIQVLTGTAGQVRKYCNVVNS >Dexi7A01G0006220.1:cds pep primary_assembly:Fonio_CM05836:7A:17581598:17582587:1 gene:Dexi7A01G0006220 transcript:Dexi7A01G0006220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMATITTTTTMTMKLRLARPLLTSLLHPLTTMRHRLILHLQLTAHHHPRLTMHHHLLTMHRRLIIHPLLTIHRHRLLTMLHRLIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLTSLLHPLTTMRHRLILHLQLTAHHHPRLTMHHHLLTMHRRLIIHPLLTIHRHRLLTMLHRLIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLTTRHHPQHTISRPRLHPTIPPMFQHLHRTSIHHCHRLLMVRHHRHMVTEKSRHQWDQGIRLQHRHHLSKVPSSHTILPLVMRLWQVKMEHHHRGIFDARPKMSTYLSY >Dexi6B01G0002080.1:cds pep primary_assembly:Fonio_CM05836:6B:1818351:1818834:1 gene:Dexi6B01G0002080 transcript:Dexi6B01G0002080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAACREACAAPPSPATRMYQLCMDTLGEVGTPAGSVEEAGEYAYHATWRALWAYLDTMAMAWHFLGDAAAIAAGEEKAAYAFCVGGRYPEAEAAMERVNWLPGHCGHDVDGEYKRALCDVEACRDRVAKLASSPLIAMVDADYNRTLLAYLLGKV >Dexi9B01G0040950.1:cds pep primary_assembly:Fonio_CM05836:9B:41456053:41459612:1 gene:Dexi9B01G0040950 transcript:Dexi9B01G0040950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVKSDHQMLGSSSTSSSSPSAAAAARPPPPPPPSSSCLTDQQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAPAPGEDGAAAGNSTTTGGGAATTGGGGAPRKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSSRMPVPSTLPTIRPAVPRHPPPAPATPPELQLLPASFTAAPLTSSTTAISLSTITTTTTTTTAASAQEPDAASATKLQLSIGAAVPAASCGGGGEEDGDEELRRAVEEKAAADAARERAREEAAAAERALEEARRARQRARADLEKACALREHAARLLAQVTCHACRQRSLVAMSSMAAAVGDGHGQAVACDSMMTMRGGGGGVGAGI >Dexi7A01G0015430.1:cds pep primary_assembly:Fonio_CM05836:7A:25288838:25292157:-1 gene:Dexi7A01G0015430 transcript:Dexi7A01G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQRKSSLKSYGSNVSSGSGSFDFEHDNDKDRAAASGDRREVVVKIDAEPHSPVSLTGGGVSSGHNSAVSTPRAGGTVRILASGASSASTSPSFATGDPSRSGGGDSFSFKNRPPQSPSGESSGGDDPPTRLIGSFLRKQAAAGGEVSIDPDFEVEETTRRPPRAPTSVSNSRELRVSFQNPHKRFSPSSSSASSSSYDAGDHRNQSGTDGDTAEVLRCTSTSAGLLARSKTRSRLMDPPPPSSSAGGAPGAGGEPDPRKSFVSKGLPPKSGQLRSGLIGKSGLIGKSGGGFEDEDDDPFIDEGMTSDFKRDTMDCLLIMEWVSLVVIVGALICSVTIPSLSRKKLSGLHLWKWELLVFVLICGRLVSGWVIRMAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGIALVSWHLLFDKDAKREQHTPVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDESRMMAEVQRLQSAGASMPSELEATTMPSSKSGPVPKSGRLTTMASRRGGPSKQLQRQKTERHMVDDGISIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQLKHATPTGEDELATEIHSEYEAKVAAKRIFQNVAKPGSKHLYLSDLMRFMRQEEAMKAMDLFEGAQENNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLALWLLILGIATSKFFVLLSSQLLVAVFMFGNTLRTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAQQPIMNYYRSPDMGDAVDFSVHVATPVEKLSLMKERLLHYLDNKKEHWYPGSMVVLRDVDDTNKLKVSIWCRHTINFHDMGMRFERRELLLQEMIKILKDLEIEYRMLPLDINVRNAPTIQSSRMPC >Dexi1A01G0016360.1:cds pep primary_assembly:Fonio_CM05836:1A:23534448:23539159:1 gene:Dexi1A01G0016360 transcript:Dexi1A01G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGRRFPKSSLAPSAAEATPAFDSSAIPIRNLNSAFSRRDSDAASLCSSRPASSVGVGVGVGAVPNFSDRTTQAAALRVVNAYISPAVTLRGPLPAARDIQAALRLLLERVDFPPNEATFEEDLIQALRLLGCPYKITRSALKAPGTPHSWPPLLSVLHWLTLFAQYCDAEASSASNAPSNEFMLYVTQGYCHFLSGDDDAVEALDEEFASKSRMKLDSTVATVRALQKEEQELEAKVNKLTSAPSRQEALEAQKEAFNADIHKFEDVVKTWKTKVDGRQDALLDLEKELEAKKLDAQRIAAENQDLMKKVDAQAVNTRDVERMHREMHAIERDIAKFESGKATLEDKGWELDAKLVTKLEELEELAERCNQALKKLKPSIDFEYRINSKGSSPSEMLGPSYKTVLKPALKSHAEENKRLAMSNLQESADLQKQVQGNVKILEEEKSNISSLQATHDEMVAHLNSLDREIMNDDSRCTAEAKRLKDELEKRKNELSSVEKEANEFVKNSEKRLQDAILKEDKETQAAANELLQLAHSIGEYKEYMEATIAQRRKDLYEAADFIAALASRR >Dexi9A01G0003320.1:cds pep primary_assembly:Fonio_CM05836:9A:1753398:1759872:-1 gene:Dexi9A01G0003320 transcript:Dexi9A01G0003320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVAEPKTKYDRQLRIWGDQGQAALENASICLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNYLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEETPSTLIDNNPSFFSQFTVVIATQLPESSLLKLDDICRKADIVLVAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWAELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGNLPSTRQEKREFKDLIRAHMLNLDEENYKEAVESSYKVSVTPGISNGIRQIIDDNSAEVNSSSSDFWILVAALKEFVANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAEADCIAMEHRVKDILKRIGRDPDSISRAYIKTFCKNARKLRVCRYRSIEEEFSSPVVSEVQSYAMNFYILLRAVDRLAANYNRLPGIFDSEIDEDIPRLKTVAASVLSEMGLSGASLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKLVTKQFVPLKGTFIFNGIDLKSQVLEL >Dexi8B01G0011010.1:cds pep primary_assembly:Fonio_CM05836:8B:19602725:19608628:1 gene:Dexi8B01G0011010 transcript:Dexi8B01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEVVSDGARRDDGDEERRRPAAGEPDPVVDVYSAAAYGDLERLRGFVEREGGAAALTEPDGNGYHALQWAALNNYPHVALYLIEQFGTDGVGLFGSLQHGADVHATDHAGQTALHWAAVRGSTSVVDVLMEHGARVEAADVNGYRAVHVAAQYGQTSFLYHIISKYGADFDALDNDGRSALHWYMIIDNYSSFIMHVFLSNATKLSFEDKYFPGRSKKIGYAPFLFAYLVICLILFLNSIVFAPNFSRITATAGLWSWAAVSLFFASQVMFYRVSRKNPGYIKASTKQHDPKEPLMEIDLTNSSTWTGNWSQLCPTCKRNRWDFFVFLCMGIATSFLGAAVGFHRLWTEPIMLSSSESWTHFMVKNHPGAVLFMFLDVFLLTGALILTGAQAVQIAWNITTNEQANKSRYSYLRGPDGRFRNPYSRGCQRNCTDFCVNGYTNDEEVAWPTLPQTVQRS >Dexi4B01G0011260.1:cds pep primary_assembly:Fonio_CM05836:4B:8649822:8652339:1 gene:Dexi4B01G0011260 transcript:Dexi4B01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHLEILPLQLHLDQGQLNFLIKFFQNDSCNNDSHLHCEKEIADVTSTSYGSNTVVDEALLPFFQKFDVKPLVLNINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGIYGWSSICDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLVKTERALTAVPPPLASCEAKRTKHNIRANQPESAQQGIKQAYESLTDGLGRTASALIANPIKAYNQAGAGSALATAICGAPAAAVAPVSASARAFHYALVGLRNRLVYRL >Dexi1B01G0020350.1:cds pep primary_assembly:Fonio_CM05836:1B:26451914:26454540:-1 gene:Dexi1B01G0020350 transcript:Dexi1B01G0020350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPKNAEVEAAPAAAKVDVPEPGPPADDSMALVVVDKVADKTSTEKNTLRNSNDRGANVREELEKKKAEYAEKMKNKKAIIHRQAEEKRAMTMAQRGEEVLKAEEMAAKYRATGVTPKKLLGCFGV >Dexi6B01G0016920.1:cds pep primary_assembly:Fonio_CM05836:6B:24182182:24183081:-1 gene:Dexi6B01G0016920 transcript:Dexi6B01G0016920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFRLHGFWLTQQRAESVKLVHAQFKPRRDDVFLVTYPKSGTTWLKALVFTVLNRSVHPVSDAGHPLLSHNPHGLVPFLEYPDRTMYPVMELEELPSPRLLSTHVPPVLLPSGTLALGCRVVYLWREPKDVLVSTWHHLHSVFQESGVEFEKAFELFCEGVSFYGPIWEHYLGYWNQSVRAPNSVLFLKYDEMMAQPAKHVKMLAEFLDVPFTSDEVNSGVVEEVVKLCSFHYLKNLPVNSDGVAGPVGAVRTKNSIFFRKGEVGDWENHLTLEMAHKLDRIIEEKLEGSGLTFSHAV >DexiUA01G0001640.1:cds pep primary_assembly:Fonio_CM05836:UA:4492010:4505181:-1 gene:DexiUA01G0001640 transcript:DexiUA01G0001640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPCDADRLGYRAADQCLRGFGFGSGPPSGQCCAALLEAGRGDEYIGMDCFCAMMLNDDFQTVHISSAEAIDLYYQCGGMYRVIRPAVGEASREMPLVELKSKYRKVSSVDKIGKGWQEEYDASSKQVRQSHKRIRVVRLETTNDNRRFVGLIIPNSAVESVLEDWGHNMMYYIQTPSDLENLTFMSKVIENDDLSQLRYGKICILADADSDGLHIATLLCALFVKHFRTLVKNGHVHVALPPLYRIDLGKEVYYALTEEEKAGVLEQLKRKKGKPNVQRFKGLGEMNPMQLRETTLDPNTRRLVQLTISDEDEQQTNAMMDMLLAKKRSEDRRNWLQEKGDMADIEA >Dexi8B01G0000020.1:cds pep primary_assembly:Fonio_CM05836:8B:2829:3149:1 gene:Dexi8B01G0000020 transcript:Dexi8B01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGAGASARPATVGPRGTAAAAAGMRRRRTTSSAGGGGFSGASGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAAASA >Dexi6B01G0015120.1:cds pep primary_assembly:Fonio_CM05836:6B:22509656:22513269:-1 gene:Dexi6B01G0015120 transcript:Dexi6B01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPPSSASCARIHGQYRTSGLPCHSSLLQSATSNSLRIIRSIRLENTHRFRNLHVSYAAGDSSKNIIINGKANPSNTVQADAVALGTIAADMAPVVDGFSADDDELDLDCPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLQLPLMVTAKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTILALASPNSKPGDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDDDGSMALLPKLQQFAKRENLKIISIADLIRSLIDGMEHIAMVKGDVGDGHDILVRVHSECLTGDIFGSARCDCGDQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPSGHTSGSGMIDGGAKEVEEEEHTPEA >Dexi6B01G0014100.1:cds pep primary_assembly:Fonio_CM05836:6B:21744229:21744726:-1 gene:Dexi6B01G0014100 transcript:Dexi6B01G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRDPRQNCTTICGDVIVPYPFGITAGCYLPGYNLTCDTSHTPPHLFLGDGTLQVVGISLDKSTVRVVGPNIPILESHRVGYNAYGTWDGQGWGLQNGPYVLSEEYNELVVLGWLR >Dexi8B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:8B:2102756:2103787:1 gene:Dexi8B01G0002950 transcript:Dexi8B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQVEHLIPVVDIYSYHPSVLQAMAGAANVGDREQWFFFCPRAEREVHGGRPARTTPSGYWKATGSPSYVYSAASANPRVIGEKRTMVFYEGRAPTGNKTQWKMNEYKAVVDDDGDAPPPPPGAPVRQLRNEFSVCRVYISNGTLRSFDRRPLNHAGGLDQAAVNGNRRQQVLPPPLGATAAASQLAPVVDVAGDGQAAENSHGSSSSGSRGGVVVDGGEDGGGAAIDWDSLIAPGDLRFSGDDLSHVIWPPNN >Dexi9B01G0028170.1:cds pep primary_assembly:Fonio_CM05836:9B:30783217:30784855:1 gene:Dexi9B01G0028170 transcript:Dexi9B01G0028170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGGVSPRPRTSTSSRRPCMSATPSRAGSGAFVYDGMRAMPLSTSAANFTRSLRKAASFAHKKPPPSSDAPPPRRALSSKENTSSSNAGEASTMMSPRRSMPEPGAASRGPWEPTSRRRRSASAAAATTDDAGAGKASGAALREMLLSPARRKEEAEKEEAAHTARMLTARLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLPLLASWEHLSKPNADATAGLASVLSTACTNLPLAAGAQADVESMREAVSSCVGTVDEIEAVIDMFYATAGATSGTLGELARTIQQEVECLEEATRLSSIVTGLQMKEVSLRANLIQAKQRLHLGPAAAVAPAFATSGWCF >Dexi9B01G0033620.1:cds pep primary_assembly:Fonio_CM05836:9B:35745540:35748741:-1 gene:Dexi9B01G0033620 transcript:Dexi9B01G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASEAASSPPVKSMAIVRAAGLTAFEPSAWGDFFINYAPPFSQESEERMRERACQLKAELRCRMSDAGEAMSVADTVTMVDTLERLGVDCHFQEEIAAALRRLLVVVDGDTLEESESSGSLRIVALRDKIYITHARYVPLSLSADVFDRFRDETGSFSESLTNDVGSLLSLYNAAHMATPGEQALDEAISFSRSHLVSMNGKLASPLAKQVSRALDIPLPRFPKRLETMHYIVEYEKEEGHDPMVLELARLDFNLCRSLHLKELRDLSLWWKELYGNVKLSYARDRLVENYFWTCGVFHEEDYSQYMRMFYINLVRNFKEFEDSLQPHEKYRVSYVRKAVKLLSKYYLDEAKWSSEKYAPSFKEHVEVSVISSGFPTLAVVLLMGAGDLANREAFEWAIGVPDMVSASGEIARFLNDIASYKKGKNKKDAASSVECYAKERGVSGEEAAAAIAGMAEHAWRTINKSFMDMDIALLPAQLVVNLTKTPEVIYLGGRDAYTFTGDLKDFVVSLFVNGPTI >Dexi7A01G0012150.1:cds pep primary_assembly:Fonio_CM05836:7A:22426214:22430688:-1 gene:Dexi7A01G0012150 transcript:Dexi7A01G0012150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWRKVRKALGLRVCVQARAVGGGRSVRRATAGGAGVCRRDAAVAIAAAGESWTSSPAAGALRRAKSGSRSSSSSSSSKRNEAFDNLNSGSDKTAQISSYPEFQAVSQSSCQNGFDILIHVKAPTSSSDHGAGSLVNESSVRSSSRAPVDLITVLDVSGSMAGTKLALLKQAMDFVVQHLRPSDRLSVIAFSSTAQRLFPLRQMSHHGRQQALQAINSLDAGGGTNIADALKKAVKVIDDRSYKNSVCSIILLSDGQDTYSISSNFQGTSASRRSLVPSSIANESHMVPLHAFGFGADHDSETLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVSVQEMRLSMECVDPGVQLCSIKCGSYPSKLVRDGRNGSVDIGQLYADEERDILLSVTIPKSSGQTSLLRVACAYNDPVTNETIKIQGDEVKIKRTTSTVSESVSIEVDRERNRIQAAGSIESARAAAERGALSEAVSILEDCRRVLSQSFASQNGDRLCMALDAELREMQERMANRQLYEASGRAYMLSGLSSHSWQRATARGDSTDSTTLVHSYQTPSMVQMLEHSQNFSSPRSQRPQVRGSRSVLEKPQAS >Dexi7A01G0014860.1:cds pep primary_assembly:Fonio_CM05836:7A:24774368:24778937:1 gene:Dexi7A01G0014860 transcript:Dexi7A01G0014860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNMVVLAMASAHDVPCPPPPSSPSTPTPTPASFGKCPRDALKLGRGLVDLEAALCLCTAIKGNILGINLNLPIDLSLILNHCGKTVPTGFKRLAIELLKPTSLIAQLRACDQAAASVAMAGKASVALFLAVNMVVFAMASACGGNCPPSTPSTPSSPTPTPASFGKCPRDALKLGVCANVLNLIKAKVGVPPTEPCCPLLEGLVDLEAAVCLCTAIKGSILGINLNLPIDLSLILNHCGKTVPTGFMCL >Dexi7A01G0015050.1:cds pep primary_assembly:Fonio_CM05836:7A:24913379:24916166:1 gene:Dexi7A01G0015050 transcript:Dexi7A01G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKFFVPAARLHSFATMTHTTTNGKQRLHHGRHGSRTAYHFQPAKNWMNGPFYLDGVYHFFYQYNPHSHQFGTGKLSWGHSISTDLVNWTFLGTALDPTSPFDAEGCWSGSATVMPDGRPTLLYTGRDANNVQVQNVAFAMDLSDPFLREWSKPSCNPVIPQPSDVTGNNFRDPSTAWLGRDGLWRMAVAAEVDGVGSTVVYRSADFVSWERNAAPLHASADAPVWECPDFFPVRTEHGSTEGLDTSAAAPGGPGVRHVLKLSKVADEDYYVVGRYDDVADTFVPGEEGGDDVDVRNWRRIDHGHLFGGKTFFDARKKRRVMWAWVDETDNVAKGWTGIQAFPRALWLDADGKQLVQWPVEEIETLRGRRVALEGEVIGSGGMHEIAGIDTLQADVEVVFEIPNLEEAERLSPKWLKDPRRCAEESASTKGGVGPFGLVVMASGDMEEKTTVFFRVFEHDGKYKVLMCTDLTRSSTKEGMQKQIYAGFVDVDVEKDRSISLRTLIDHSVIESFGGGGRACITARVYPEHVATGASSHLYVFNDGPDAVTVSKLDAWELATASVNVEDDDSLQIGVQSPVVVPIQ >Dexi9A01G0026590.1:cds pep primary_assembly:Fonio_CM05836:9A:29901243:29901926:-1 gene:Dexi9A01G0026590 transcript:Dexi9A01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSSSKLGTAAGCPDSCGGDGGIKIQYPFGIGEGCFRKGFEILCNGSVPVLAGSTTQVVPLNNLSISTAEARVMLPVAWECFFNSGSSAKADSVWSNGDVQFNTEGVYRISNTHNQLVVVGCNSMGFTKSQRNEGGAIYGYAFATGCMSFCNNSGSAVDGACAGVGCCRVDIPPGITDNTMDFKPYDHEGMVGFSPCDYAFFVDREN >Dexi5B01G0034860.1:cds pep primary_assembly:Fonio_CM05836:5B:34989326:34992699:1 gene:Dexi5B01G0034860 transcript:Dexi5B01G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLCLRLHARLPPAVPPPFPAPAALPASLRLRTGGSYSVTLRRRARRSNPAIRAAIADGAQVVAVKERTVSVILLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCEPDYIDVFEGSIEDLQIPIKFARPGKERQDSVFNGLQEIDGDSELVCVHDSARPLVSSEDVKKVMKPNLLKDGFELVKRDGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDMLLAERLMSEA >Dexi1A01G0025090.1:cds pep primary_assembly:Fonio_CM05836:1A:31401868:31411791:1 gene:Dexi1A01G0025090 transcript:Dexi1A01G0025090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTWGILLAVLVAAVVAVPPATAAVASIDLGSEWLKVAAVHLAPGRMPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPSKVFARARDLLAKPFPYVQSFTESLFLPYDLVPDARGAAAVRGDDGQVYSVEEIVAMVLHYAAGLADAHVGAPVRDAVIAVPPYFGQAERRALTQAAQLAGINVLALINEHAGAALQYGIDKDFSNASRHVIFYDMGAGSTYAALVYYSAYNAKEYGKTVSANQFQVKDVRWNSKLGGVEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDVDFRSTITREKFEELCEDLWEQALTPVKEVLAHSDMKIDDIYAVELIGGATRVPKLQAKLQEFLGRRELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYAFILEIDGPDYVKDESIDQILVPRMKKMPIKMFRSIRHTKDFDVSLHYDKAYELPPGIPSHKFAEYSVSGLTDANEKYSSRNLSAPIKANIHFSLSRSGIVSLDRAEAVIEITEWVEVPKKILTLESNITNQNSSSEAGAANSTADSKENLNSDSDTNSSAPIDESNAQETITEKVLKKRTFRVPLKVFEKTTGAGAILSKEVYSEAKSRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEESTEILTVSTEQERESFTEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPDACENARLYLSELQKIVKNWETSKPWLPKKRVDEVVNEAEKVKGWLEEKETLQKSTPAHSQPAFTSEEVVDKILDLQDKVASINRIPKPKPKIEKKPAKEEEPAHKEKTASPESASDETESTATSQESKATEGDQSTTEGDQSHDEL >Dexi8B01G0004690.1:cds pep primary_assembly:Fonio_CM05836:8B:4328081:4329088:1 gene:Dexi8B01G0004690 transcript:Dexi8B01G0004690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSPPPQPQAVAASPVEDSRRRRGPPVPPHIKAVAGSLGGLVEACVLQPTDVVKTRLQLDRAGAAYRGMAHCGATVWRGEGAAALWKGLTPFASHLTLKYALRQGTNASLQSTLRDRATGDLSTAALLAAGFATGVVEALVVVTPFEVVKIRLQQQRGHQCPTTQVVRYSGALHCARTVVGEEGLRGLWAGVAPTMVRNGVNQAAMFTCKSKFDAMLWGKREGDGKALGVEESLVSGFLAAAVGPICTGPFDVVKSRLMAQGGGGEGGVRYTGMVHALRTICAEEGIRALWKGLLPRLVRIPTGGALAWAVTDQVTTFYERTYLRPAATHL >Dexi6B01G0020630.1:cds pep primary_assembly:Fonio_CM05836:6B:27089550:27090010:-1 gene:Dexi6B01G0020630 transcript:Dexi6B01G0020630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRTRQLGSPAAAESIGFFAADLLVERREEEEEEEEEKRVGGGSGTGGLRAEKRGLCLLDAALEISRPRRKEEQPRITIREAEEKHRTAAGAGAYQPRRRDDGDGGRRRRWR >DexiUA01G0000980.1:cds pep primary_assembly:Fonio_CM05836:UA:3012712:3013565:1 gene:DexiUA01G0000980 transcript:DexiUA01G0000980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSLMSTRATELALEQAGLIGEAVLTNGQTGIAYGSSTGSTGPVSEFATMLTEKHTHNITGTTYVQMMPHTTAVNTGLFFGLRGRVIPTSSACTSGSQAIGYAWEAIRHGYQTVMVAGGAEELCPSEAAVFDTLFATSQRNDAPKTTPSPFDTQRDGLVIGEGAGTLILEELEHARARGATIYGEIVGFATNCDAAHITQPQRDTMQICMEQSLALAGLSARDMGYISAHGTATDRGDIAESQATAAIFGDNVPISSLKSYFGHTRLWGAGSLDESADDA >Dexi4A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:4A:10750606:10755964:-1 gene:Dexi4A01G0012190 transcript:Dexi4A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLVLACSLLLTSAAPARDITSICASQISDFPQLNSSGLHLTLHHPQSPCSPAPLPTDLPFSTVLTHDDARVAHLAARLDATSNAPPRRPTSLRKKKAAAAVDDSLATVPLSPGTSIGVGNYVTQLGLGTPATSYAMVVDTGSSLTWLQCSPCVVSCHRQAGPLYDPMASSTYAPVPCSAPQCDELQDATLNPSACSVSNVCVYQASYGDSSFSVGYLSKDTVSFGSGRFPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFSYCLPTSTSTGYLSIGSYNPGQYSYTPMVSSSLDGSLYFVSLSGMSVAGRPLAVSPSEYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMGGVQRAPAYSILDTCFEGQASQLRVPGVTMAFAGGAALKLATRNVLIDVDDSTTCLAFAPTDSTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >Dexi2A01G0003530.1:cds pep primary_assembly:Fonio_CM05836:2A:3085022:3085995:-1 gene:Dexi2A01G0003530 transcript:Dexi2A01G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSTLLPFLYTPPSSAYVAAMSVVSLVSLANAGLAELRGNHMAYSKFWHVVSGAGATTTNQRQRGGGALLKSRDGMLVAYAPALVAAAASFAVPGAVDGARAEILSAALAIHFLKRVLEVLFVHRYSGSMPLDTAVTIGTSYLLSTVTMIYAQHLSHGLPDPPIDLLYPGVAVFAVGIAGNFYHHYLLSRLRAGGGGGGDKGYKIPSGGLFELVVCPHYLFEIVGFFGFAMISQTLYALALATGTAAYLTGRSCATRRWYQSKFEEFPARIKALVPFVL >Dexi4B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:4B:5521309:5522595:1 gene:Dexi4B01G0007670 transcript:Dexi4B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAPIALTDTGTNLKTSTSPTHHSAIKLPFPSSLVSVSSLLSPSSVAWCGVKCVREIVRRRKMMLSGHGGGGGRRLFTASQWQELEHQALIYKYMASGAPVPHDLVLPLRVDTAPSLAFPPQTTTPTLGYWGCYGAGATFGRKAEDPEPGRCRRTDGKKWRCSRESHGESKYCEKHIHRGKSRSRKPVETVVTSSATSAISPAAAAYRPSALSISPPRAPDSAPTFTLQHHQHLHHGGASSTRATGHATAAGALQLHLDAAATGLHAASPPPSYHRYAHGHAQYTTPPPSLFSGGYGHGQANEVHEAEMKRRHYLALGADLSLEKTPPAAAAAGGGAATEKPLRRFFDEWPREGGDARPWAAEDATQLSISIPASSTSEFTAGGGARYHNGESSMCP >Dexi6B01G0014700.1:cds pep primary_assembly:Fonio_CM05836:6B:22184661:22185068:-1 gene:Dexi6B01G0014700 transcript:Dexi6B01G0014700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGQQQEHGARIKGVALLDPYFLGRYGTGHPYVNPTALTAAAWRGLPAARVLMTVSDQDRLGPFQRAYVDALRGSGWGGRARLYVTPGEGHCYFLNNLDSPKAAMHMATLAAFINGS >Dexi3B01G0007760.1:cds pep primary_assembly:Fonio_CM05836:3B:5474020:5479435:-1 gene:Dexi3B01G0007760 transcript:Dexi3B01G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANANSDVQKAEELKLKANEAFKANKFSQAIELYSHAIELNTSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATRKLKECEKAVQKIRFEEAISVGVTERRSVADSIDYHVVEVEPQYAGPRIDGDEITLDFVKAMLDEFKKQKCIHKRYAYQVVLKTLELLRSMPSLVDVDVPNGGHFTVCGDVHGQYFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPKGLMCELLWSDPQPQLGRGPSKRGVALSFGADVTKKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPEMKPDIVTFSAVPHPDVKPMAYANNFLRMFQ >DexiUA01G0007840.1:cds pep primary_assembly:Fonio_CM05836:UA:14723661:14724011:1 gene:DexiUA01G0007840 transcript:DexiUA01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDSDGSSGAAEPIPYDTPTPHPSITSDLFVLGDSARPKIWATCSSAPSSRDLAPNGDRSTPKQSDPLARTHAPRGKGVRPPGAWAVPTALELCKACPVQAVLYSQPGVQCRDGS >DexiUA01G0024580.1:cds pep primary_assembly:Fonio_CM05836:UA:51135982:51136410:-1 gene:DexiUA01G0024580 transcript:DexiUA01G0024580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKKSTLGFIAHGLIERRQQKLLTRYEIPRTPSPPPEERVDPPPPPTFENPWCYQWTPPQGYQFGPDTHCFTFHSGTDDEEDEIEEDLGGRETRAGTSGAQDDDDDEDDEATEDDTE >Dexi9A01G0030800.1:cds pep primary_assembly:Fonio_CM05836:9A:35703751:35704551:-1 gene:Dexi9A01G0030800 transcript:Dexi9A01G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLRTKSELLLKSNPVHKKVPVLINDGKPVCESSVILQYIDEAFAGTGPSLLPADPYERAIARFWAAYIDDKMMAAWNQASKAKTEEEKAEAMKQSFATVETLEGALRDCGKGKPFFGGDDVGYVDVVLGGLLGWIRANDELQGVKPFDPERTPLLAAWAERFWSLEAVEPVMPDVSKLVEFGKMLQARQAAAAAGEGN >Dexi5A01G0035170.1:cds pep primary_assembly:Fonio_CM05836:5A:37003351:37004118:-1 gene:Dexi5A01G0035170 transcript:Dexi5A01G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDPSSPYKVRLLIEDYPYASDGLAIWHAIEQWVTEYLAIYYPNDGVLQDDVELQAWWKEVREVGHADLKDAPWWTKMETVSELTMACTTIIWTASALHAAVNFGQYPYCGYLPNSPSVSRKPMPVPNSEEYAELQSNPEKVFLRTITSQSQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQRAFKRFGERLVEIEKRVTAMNADPLRKNRNGPAKFPYTLLFPNTSDNKGDAVGITAKGIPNSISI >Dexi4B01G0011770.1:cds pep primary_assembly:Fonio_CM05836:4B:9278296:9279165:-1 gene:Dexi4B01G0011770 transcript:Dexi4B01G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYHRRQAVTDDGKDVREIPVSDGTSAEHKEWLKEMRGWLIVLATLAASVTYQAGLNPPGGFWQDNTGHIAGNPVLRDGKFVRRYLTFYYFNATAFATSLVITILLLNERFYKSEAKVAALTLTTIVDLMSLVGAYIAGSTRDMADSIYIIVLTCFLFVCVVYIARVLPTLCFIVLFISPPLYWLAKKGWLPVTEHMRKRVEAAKERERKEEEKQREKDRLGHTRRSRCFSCNCCACCRAFEFDDVENRGLPKTEDN >Dexi5A01G0010460.1:cds pep primary_assembly:Fonio_CM05836:5A:7905835:7907688:-1 gene:Dexi5A01G0010460 transcript:Dexi5A01G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSAVFLDGSALVDIYMMAPARLRGTFSNGFQHSVGIGVLPANVINFGMEKINGGWGCGTWRVSLTLAGAPHPRRVVPARDTNSLVQQGARDRHDIARLLQKICGPGVNIADKLDDIVAAIADGFGDSLNLTIMAPGLSVIGNVLRGLTDLLFGDYGFYWEAGEDVWFRSVEQLPRNVWDAHYDPLFPFGFGLETQPSTA >Dexi4B01G0011200.1:cds pep primary_assembly:Fonio_CM05836:4B:8610108:8611253:1 gene:Dexi4B01G0011200 transcript:Dexi4B01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFNGEWSVSEIGIVKSLIGRHNNNKYGSDMNKKHTDIMDEIHAMFPLKEKRQVTNLYLELMVEMTHMMQRGNQHVATSSNHMDKNFGMPVENPTMGNMEVLGGSLMEDMGAMRKDGFQQRQPTPWKEKQQSPRFWTKQEHRNFLYGLRAYGRGNWKSISKDFVPTKTPIQISSHAQKYYKRLENPNKKQRYSINDVGLYDAEPWVQNNASSWEGLAFAGGSYNQKQYSSGGQETTMNNQTQVMTPNLHNTNQGNIGHANTLPSGQQQQVGTNSSSMSPLMEGLGSHMSWTSDQHGDYLANQWMMNMHMN >Dexi5A01G0014820.1:cds pep primary_assembly:Fonio_CM05836:5A:12002400:12006232:1 gene:Dexi5A01G0014820 transcript:Dexi5A01G0014820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVVAALLRRGKDQASALLMPRLPRSAPVSAPPRVGSTSSCGSGGGGCLLPPRPGPAGAFFSASRFASFHAFRSFAPKEPTSEYVQPRVSPYRLATHLTSAFAIYCGILWTALSVVMPDPPTGSMNWVNGAAKIRKLAIPVSAVVGITAISGAFVAGNDAGHAYNSFPKMGDTWIPEDVFSMEPFVCNFFENTSTVQLNHRILATATLLSVSGLWLAARKIDMHPAVKSLIGSTFGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPTLLKSLATGVKST >Dexi9A01G0041450.1:cds pep primary_assembly:Fonio_CM05836:9A:45118141:45119160:-1 gene:Dexi9A01G0041450 transcript:Dexi9A01G0041450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAHVALCSFALLILLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLPTTGFALAHGASATVDTPASWSGRMWARTLCSTDATTGKFTCATGDCGSGSLQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLIVPQGGGGAAAAGSSSNGSADSGKCMATGCLVDLNAACPADLRVMATPATTGTGAGGGGGAL >Dexi2B01G0031800.1:cds pep primary_assembly:Fonio_CM05836:2B:39802060:39804622:-1 gene:Dexi2B01G0031800 transcript:Dexi2B01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFGIAPLLDAYFRRRFASAGLVEASVLLDGGATTVHCWRFAPCADADERPVLVLLHGFGPPATWQWRNQVVPLSRRFRLIVPDLLFFGGSSTSAAKPGPISEAHQAEAVAKLVAAVVPAGTARVSVAGTSYGGFVAYHVARLLGPAAVERVVIASSDLLKADADDRALLRRGGAERVEDVMLPRSPERMRRLLRLAYHRPRRFTPGFVLRDLVKYLYSDKVEEKKQLIKGITLGNKDKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARKLGANARLEVIKNTGHMPQEEDPKRFNEAILNFLLPAPKSSL >Dexi9A01G0011190.1:cds pep primary_assembly:Fonio_CM05836:9A:6971039:6971374:-1 gene:Dexi9A01G0011190 transcript:Dexi9A01G0011190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVEAESRFFFLESTFFPKCTLFLEESSRLAPPLRRPPHPPRPAPRPARRGPSRKEAGGAGEVPKREVTVASRGCALTSRRAPPPAAAAARIPRKGARLPRLPSEPPGQ >Dexi2B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:2B:20957624:20959540:-1 gene:Dexi2B01G0013280 transcript:Dexi2B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATKKAYVTAILVQVILTGMSVISKAAFDAGMSTFIFVFYRQASGSILMLPLALFLQRMEVVKLSSASGVAKLTGVALCLAGVFAIAFYSGPALSPVNHHRAFSAHAPGSGRANASLNSKTTWVEGTFLMILANMAWSLSIVWQAALLKELPNRMLVATALCVFSAAQSFVVAVAAVRDFSRWRLRPDVSLLAVVYAGFVVTGVTYYLQAWCVEMKGPVFFAVWTPLCFVLTMFCSSFFLGEIVHLGSVLWGKNKESSSKAASRSQVNMTDFAQDEEERENPNSYEAASASTGEQV >Dexi3B01G0038640.1:cds pep primary_assembly:Fonio_CM05836:3B:41412437:41415133:-1 gene:Dexi3B01G0038640 transcript:Dexi3B01G0038640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLFRLSRLILLLLSLAVAAAARIVPVQYLYPPFNLTYLNFIDTNGVFLLSPAANFSAAVYNAGATEAASSDDSQSRYFFSVLHTASRTPVWTATTAGSTILESVVLSLSATGLTLLDPSAARPDPAWSTPRLRQPVAALRLLDTGELALVGGRDNATTLWSSFDHPTDTLLHGQTLRFGAPPLSSSASDHDLSPGAYHLVLTGGDALLQWGSLTYWALSSDPAAVQDSSHPVASMAINASGIYLLADNARDTVYRLLFPSPPAATAIMGLKLDPSGHLRALTLTAGAGAPTTAWAAPANDCGLPLPCPALSLCTPGGNGSTCMCPDALSTYSSKGGCTPADGSVLAVPGSSCDADNQQRYRYVSLGAGIGYLPTRFAVPDTSGDAPTACRDLCSANCSCLGFLYKNSSRSCFLLRDQMGSVSRADAGVGLFIKALPPPAPQRRGSSSPLSLITIVFGIVLPAVAAVLISLLLYAMLKKRRPQVLKKKKSGGGGGGSWFKLPAMLSSSRASSSSVPSGDGEGVGEDEDDVLIPGLPTRFTFADLDAATDGFKWQIGCGGFGSVFRGELPDRSTVAVKRMNVGLGGTQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGPRQQLLVYEFMNRGSLDQVLFRGAKLEWPARLRVCVGAARGLAYLHGGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPDQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKKHQPATAEDDHETSEYFPALALELHEQGRYAELVDARLEGRADVAEVARVVRVALCCLHEDAALRPSMSVVSAMLDGTMDAGEPRVELLRYLRMYGRGLVDLRPAGWMDPPPGNNKGSDTTAAAASSSWSPPSCVSAQQLSGPR >Dexi5B01G0028130.1:cds pep primary_assembly:Fonio_CM05836:5B:29578489:29581617:-1 gene:Dexi5B01G0028130 transcript:Dexi5B01G0028130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRKLASSNASQIIQSLHAGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPTDPNAVLLREIEVNDNGSWSIVKPGLLQGNNKGVEISVAAHDTTGGMETKILEAAVIARLGIDVYITKAGTEHSLRALKGDVSTDSEDWLGTIIRSSK >Dexi2B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:2B:5710544:5714351:1 gene:Dexi2B01G0006110 transcript:Dexi2B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVLLAERRVDEALDALDEAERAAVDAKRKQTLTAAEVSALKRSISDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHSQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSSVLLKQFKPSLEQALDANLRRIEESTAALAAADNWILTYPPTGIHPLTRSSAANLALQPKLSSSAHRFNAMVQELYAKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVAQDVVSVISGKGRAANGDREVNSPTASVSAHSMSSFKSHGSS >Dexi8A01G0010660.1:cds pep primary_assembly:Fonio_CM05836:8A:18277117:18278456:-1 gene:Dexi8A01G0010660 transcript:Dexi8A01G0010660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSNECLLITINAAGCVIETLYIAVYLAYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQKRIVILGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSFSLTVSAVVWFLYGLLIRDKYVALPNVLGFSFGVIQMGLYAIYRNATPRVPAKEVAEDGKEDIVKMPEHVVTIVKAHDVLPVVPQPKEVAEPEKEKAAAAKKEMEAKVGSKGNGDQV >Dexi2A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:2A:6689448:6690362:1 gene:Dexi2A01G0006930 transcript:Dexi2A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRQQMEAEFQKKVAESSGDSGANVKRLEQETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >Dexi7A01G0003820.1:cds pep primary_assembly:Fonio_CM05836:7A:13916750:13918250:-1 gene:Dexi7A01G0003820 transcript:Dexi7A01G0003820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASSFLFTISLAMAVSLPLVASSSATTVRAGYYLAGAARLRPLAELDASLYTHLYYSALAVHPTTHKLVLPTDPAEAALLAAFSPALKTKNPALRTLLSVGTATTTTAADIAGATATDPAFSAMASDPSSRAAFVAAAVALARDLGFDGLDVAWRFPSSAAEMAHLGFLLSDLRAAAATPGFLLTATVYFSSHVLAGVDYPSEAMASCLDWVNVAAFGLRPSPPVVPATTAFDAPLYDRATHFSASYGVVSWIDAGVPEGKVVMGVPLYGRSWFLRNKANHGVGAPVVAAGPKQRGSNATGVMAYAEVAQLLLSGAGDGRSAVATAAAAAYDNASVASYVAVGDVWVAFDAVAVVAEKLAFAARRGLLGYFLWPVNYDDANLTVSRTASEVWMQNEMSSSSKNGTGVRQTQEPVRLPPATEPAGTPGPVPAPASGSSALWLPWTKLDAFLHLALLILVW >Dexi9B01G0009390.1:cds pep primary_assembly:Fonio_CM05836:9B:5778787:5782382:-1 gene:Dexi9B01G0009390 transcript:Dexi9B01G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAADDHDSRPPPADGPAVAGEGDASASAAADALMSAASEQLTLVYQGDVFVFDPVQAVLLVLGGYEVPPGLVNMAVPTANDEKSTTVAARRVASLMRFREKRKERCFDKRIRYSVRKEVAQKMKRRKGQFAGRSDFGDGACSSAVCGSPANGEDDHLKETYCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMTLQHPANPSKMGDTDGKSLIVLPVDHNQAAVKTDSGMMPEQEQKLDICPPTEEDIKSVS >Dexi8B01G0006840.1:cds pep primary_assembly:Fonio_CM05836:8B:8000273:8001385:1 gene:Dexi8B01G0006840 transcript:Dexi8B01G0006840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYQQHGQPITRVDEYGNPIPGGHGIQGQAGGADTGSYGQHQAGYGPTGTGTHDVGGYGGSGQPAYGATGTGIHDAGGPGGYGATGTGPHHGGMHGGLAGIHDGGRHTGTHGVTGTGGHGTGYGGATGVTGVHDSGVLGGGGHTGGATGLGTHGTGHGATGVTGVAFPHAAERKTDGILRRSGSSSSSSSSSSEDDGMGGRRKKGLKQKIKEKMPGGHKDNQAQATATGPYGGATGTTGGTYAPTATGAAAHEKKGVVEKIKEKLPGGHKDSYEQQHTTATGGYAPGRTGTTDTYGTTTAAGTHEKKGFMEKIKEKLPGQH >Dexi3A01G0020020.1:cds pep primary_assembly:Fonio_CM05836:3A:15920866:15921496:-1 gene:Dexi3A01G0020020 transcript:Dexi3A01G0020020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARCPFLVLALLLACSCATKSTDGDLIHLHFYFHEVDAGTPNATVVNVASLHSKNASTFGDVNVFDNALREGPDPSSRLIGRAQGLAAHASLDESGGLTAIDFVFSDYGEYSGSTLATLGRISASGPSERSIIGGTGRLRFARGYMTSRLLRSTDTTIVVVFDMYFTLAH >Dexi2A01G0016780.1:cds pep primary_assembly:Fonio_CM05836:2A:28509706:28513367:1 gene:Dexi2A01G0016780 transcript:Dexi2A01G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAEAPPRGRLRALLLLVLLAASATLPRGARAVELGLKMPFSPRDVLPILPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPASWGGACFAVNEAAIELTPGNRNGTDVGGAVLRLKVKQHGVSVFLMPSGMLGTLLSLVDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENDKGEEIIAVVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYVRSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRVQPLYAANMWNEALNKRLGTEGLDLQGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFGPFAESVQVTEFTIRDAYMLKIYEDNPARLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >Dexi3B01G0007700.1:cds pep primary_assembly:Fonio_CM05836:3B:5432229:5433734:1 gene:Dexi3B01G0007700 transcript:Dexi3B01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAAALPPQQRTGGIGRRLARLLRRKRTPSGTGMAYSVAGDEFDDSLDSSINSLNKLKLSGNLAAAYTLDAFFKTATEKKGAAPPPPPQVQPSPSPAAAGPELAAKHAFVASLFAGASAVKAAYAQLQLAQHPYDAEAIQAADAGLVAELTKLSDLKRRYARDPAAAARSATALSAHADEQRHLLRTYEITARKLEAELRARDAEAARARTVLADELRAAQDLEDRAARHGRRRSTLAALDGLHLSGLDATHFLTALRHTVKSVRGFARAMLEGMRAAGWDVAAAASAVHPGARLRDPAGDARFALESYVALKMFAGFHRKDFALSLLHGRGAHDRRRFFDEFAAVKSAATATELLDEEGGGALREFVRDRYLSLVHERMEAAFFFGGGGGQRAAVARGGGAFPRTAWFAEFAEMARRVWLLHCLFWAFDGAASVFQARPGERFSEVFMESVSDGDGGGVVAPELVPEGGKLSVGFTVVPGFKVGRTVIQCRVYLSSRP >Dexi2A01G0024050.1:cds pep primary_assembly:Fonio_CM05836:2A:35713614:35715098:1 gene:Dexi2A01G0024050 transcript:Dexi2A01G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASPESGGGAAGGAAGTAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPNYVVDEPKEEAMSQGHDLRKFRYGCAGYSMYVDSRDGQGGESEGKTLLPYCRGLELLVDSRLVERKPSTAEQAPARVSKDGM >Dexi5A01G0012630.1:cds pep primary_assembly:Fonio_CM05836:5A:9487343:9489631:1 gene:Dexi5A01G0012630 transcript:Dexi5A01G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQEEEVIIVGAGQSGLAVSACLSQRGVKSLILERDDCVGSLWRKRAYDRLHLHLAKQYCALPHLPHPDSSPTYLPRDAFADYLDAYASRFGARTRLRREVTSARFDAGERRWVVDAVDLAAGGEVETYTARFLVVASGENDERFVPEVPGIEAFTGAVMHAAEYRSAKGMGGKAVLVVGCGNSGMEIAYDLAVGGAVTSIVARGELHLVTKEIWNVAMALHGYHVPAWIIDKLVLLMCAVVFGGDTARHGLRRPAVGPFTMKLTTPAYPVVDVGTYAKIKSGEIQVLPTGIKSVHDGNVVEFADGKRHPFDAIVFATGYRSSVRRWLKSKDGLIGEDGMAARSYPEHWKGENGLYCAGMVRRGIYGSYEDGELIAGDISELLRPEKAQGKDN >DexiUA01G0021640.1:cds pep primary_assembly:Fonio_CM05836:UA:44799283:44799772:1 gene:DexiUA01G0021640 transcript:DexiUA01G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLADAEAPGACGLAASTAARQKGHREVPSSHGAAHAQWKRWPQGRCARTSPARNSSRHTAHSACSAASHRGRLHLISRRITPFFEYTSRCTPDIADFDGPDAEADELSPRSSAPPAPTPCSRGGLLSFMLPVL >Dexi2B01G0021190.1:cds pep primary_assembly:Fonio_CM05836:2B:31085983:31086673:-1 gene:Dexi2B01G0021190 transcript:Dexi2B01G0021190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLTPWLWQSSGPHRSVELDRLDSLVSKSGKRRGQAAGAGQDMRVEDLAALFAKHNCTTSSRRSTWSRSRARTHCTRFMDRPPLPPPWRRDGEGDGASVDLSLYTCTTRPHGDVAAGRQRDYAVDVDPVTPTAFDNGKPRRRDGPLYSDEASRSTVEDFAKNQRRFFEAFEDAMVKLGRVGVKIGCRGEIRRDCTVQCWNLELLQLQSSHTSRTS >Dexi5B01G0030540.1:cds pep primary_assembly:Fonio_CM05836:5B:31471637:31474226:1 gene:Dexi5B01G0030540 transcript:Dexi5B01G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALHIQTQPPPLPTSATALPPHSSLASSLLHFLKRPASFPFLLSLFVLLTWLSLRFHRPSPAPSLGDRPAVVHDPQANLVRFPAELHPTPIARDGRGWLLDPVAAARDAGLPGGAFACLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENSDIKDKGYGEAIIAADEVGIVASTRSTAHALINMDVQPTFFIGCQDTPIYPNSTNTDYKVWNDL >Dexi5B01G0029030.1:cds pep primary_assembly:Fonio_CM05836:5B:30293878:30295792:1 gene:Dexi5B01G0029030 transcript:Dexi5B01G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQVVSSNGSSAASPSPAAVRTRASRPPPPKVKQPSAAPEAKARPRTTTMAAAAAAARRQLPVAVPVPVPSRRAMMSTKDKEDPDKKETVEEEVVRLRGEVEGLHREVQRLLRLNADLALAQQRAQGKAISSSSDLPPQQQDIKDNHAAATNRPQQPAAPNKAPGGVPPARPPPPPPPPPRQQKSQGPSAPITSPVSKATAVVDMYKSLTNNKKPQQQHTDRGRNHHHHSSIVGELQNRSRHLLAIKADVETKAELIEDLIKKIHTSTYTDVEQVLTFVDWLDQQLSTLSDETAVLKHFNWPERKADALREAASEYRHLKCLLADISSMKDDGGSPSPCDATLRKISSLLDRLEKSMSRLVNLRSSAMPSYKELRIPTDWMLDSGMASKMRLASVNLAKMYTKRVLKELDGRDTSANEAALVAQSVRFTYRVHQFAGGLDGEAMHAFEELRRRARPVSSS >Dexi6A01G0002450.1:cds pep primary_assembly:Fonio_CM05836:6A:2342914:2348432:1 gene:Dexi6A01G0002450 transcript:Dexi6A01G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGCGLLPTASSSQDDVAPLPPGVNSSRQKLERVCMGVRAAGGRFYPWLRISIPNYEYSTSCKTVFPFETVEMALDFVKRRPAIKEELDLVLAEVRLRNIAPGSSAHSELLHHILNELQVPLFNATPVKILKLMNVKCLTSKQIASHLQKHRLHQRNAKKGGQSQKNASMKPVSELIGSAHNAATTESIAPGVDADIRAVYPLRLWKQVKEAAASNIGMYSRTSGNYKDGTKSVWDEYEKSLHKKFSASNRRWQQIGLSSSKHQFPVKNNVVAISVESSDSSSLSTNLVDQDGENTRTEAAGNDDNMGNINMFKDGGISSEAPKAAGEIGYGASGESFGLSSLPTRLVAQIGENNRTETAGNNDNVGNINMLEGTMNKEHTAATDPDDVWGLIHSNDLDLTLPYNGMEEYISNWMCELQGSQDQQNLDEYLEDLLQVDNAQKTGLASTSPIDIDGSMAQEASAHNAPVDNPMTPNAQDDGLWDGFDMLF >Dexi2A01G0032650.1:cds pep primary_assembly:Fonio_CM05836:2A:43071902:43072336:-1 gene:Dexi2A01G0032650 transcript:Dexi2A01G0032650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKRLLLVLLGGSFFHFAKGLRNSPSGARFAGGLQAMRMNVPRVAGSFAVWGGMYSACDCALVYVRQKEDPWNSILSGAAASGILSVRQGFRAVARSSMYGALLFALISGAGVMVQNSQPRSMSADVPAITPVERSPGGGWVS >DexiUA01G0000270.1:cds pep primary_assembly:Fonio_CM05836:UA:1677740:1680069:1 gene:DexiUA01G0000270 transcript:DexiUA01G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSVSPPPLRPPQVNMQKYQVALCQLLVSPDKEENLARARARIEAAADAGAMLIVMPASRPSLLLFLLLTLFPCFVPLFQEIWSCPYSLETLPSDAEDIDGGASPSISMLSEVAAARKITIVGGSIPEKASGKVFNTCCVIGPDGHILAKHRKLHLFEIDIPGDIKFKESDAFTGGQEPTVVDTDVGRIGIGIRLTDVGRIGIGICHDIRFPELAMLYRSRGTPRHFSRICIVGFLQLPFYPFHSIRAVDNQVGVPIKQSSLMHNLFWCYTETVRNIKMILNFFLFQLFVATCSPARDPDAKPQYIIWGHSSLIGPFGEVLAAAGHEETTAIGEIDLSMIQSTRHGEPPVGDAEQRRPVPTGGCAEAVRVCNSAINDARDRDEWRASGLSEDVPGSGWSGDA >Dexi3B01G0022400.1:cds pep primary_assembly:Fonio_CM05836:3B:17211613:17216628:-1 gene:Dexi3B01G0022400 transcript:Dexi3B01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATPARPTSAARSAAPSSLPVRVVLRVRPFLPSEAASAAAPCVSLLGGHPGGEVTVQLKDQHSSRCEHYKVDAFFGQEDGINQIFDREVSAVIPGIFEGTNATVFAYGATGSGKTYTMQGTEDFPGLIHLAASTIMARCTGTWCSMEISYYEVYMERCYDLLEPKAKEIMALDDKDGNMQLKGLSWVPVRSMEEFEELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVNADVVKGKLNFIDLAGSEDNRRTFNEGIRLQESAKINQSLFALSNVISALNKNELRIPYRESKLTRILQDSLGGSSRAVMIACLNTRSQSTQILFDPALHAPTENVSKEHLQSEVNRPKKAVHTSVTLCKEKQEASLRKALSPISSNMVPVNPQISENGNCAIVMEPQTPMETSNIKKIPGATPLDKFNAVGSTLKEALIQEYLDLLNVANKEELQKLKGIGARRAEYILELREDSPRPFKTLADLENIGLSSKQIQDIIRKTASGIFK >Dexi2B01G0020440.1:cds pep primary_assembly:Fonio_CM05836:2B:30497178:30498415:1 gene:Dexi2B01G0020440 transcript:Dexi2B01G0020440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILWSLAVTTVAVLVGGTIALVLTAGTWKAKIKKSQEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNTPVAHAVGFWDYQAFITAAALFEPQGFCTTGGKEMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQTYCDKTYTQYPCVEGAEYYGRGAIPVYWNYNYGAAGDGIKVDLLHHPEYLEQNATLAFMAAMWRWMTPIKKSQPSAHEAFVGTWKPTKNDTLSKRLPGFGATMNILYGESICGRGYIDAMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAPFNPSSKPDDQQQQQQSGS >Dexi4B01G0010860.1:cds pep primary_assembly:Fonio_CM05836:4B:8242829:8246668:-1 gene:Dexi4B01G0010860 transcript:Dexi4B01G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVDSWDEFVVRSVQLFRADPSSTRYVMKYRHCDGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQTEQQQSKKGRGRRQ >Dexi9B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:9B:5023798:5025101:-1 gene:Dexi9B01G0008160 transcript:Dexi9B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIKIKVDLECCRCYAKIKKVLTRIQEKGEFCIDDIEYDEKNNKVIVKGPFDADKLADKLCCKACKIIKEIEIVEPPPPPPPEPPKKEEPKPPPPEPKEEPAPPPPAKEIEIVEPPPPPPPEPPKKEEPKPPPPEPKEEPAPPPPAKEPAPPPPAKVEPAPPPPPKEETPPPPPPKEEPPPPPPKKEEPKPPPPQPKVVEVPYPWPCPYPWPAWPSDCCCHHGHGGCHCCSCDKAPEPAPAPAPAPPPPQPQYYPYPMPQYVPQPYPCNPVGYRVCCEDDPSYACSIM >Dexi6A01G0017740.1:cds pep primary_assembly:Fonio_CM05836:6A:25615808:25616368:1 gene:Dexi6A01G0017740 transcript:Dexi6A01G0017740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKVEEPTELHAPELTLCANSCGFPGNPATKNLCQNCFLAASAASASVSPPSPSSSAAVSSSPPPALLFDKPRPAAAAASAAVSSAAIPAPLFFTGGAAVDRPVAGPVESSSKAARTSSVNRCHSCRKRVGLTGFRCRCGELFCGAHRYSDRHDCCYDYKGVARDAIARENPVVRAAKIVRF >Dexi4B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:4B:9422479:9424699:1 gene:Dexi4B01G0011880 transcript:Dexi4B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALGMATTLVGSALSVASAAAREEMGLLLGVQDDIWFISDELKMMQAFLRAADGARENTGVLKAYLELIRDMAYDIEDCLEEYMVFIKNMNFFKQLLHMGARHRIALQIRTLKQRVQEVSQRNLRYNAIKLTPSTSSNVTGDTELTRNFTAINVGEAQLVGLDEPKKKLMELIAKSKAPMELEHNERTTDSNGPRVVSLVGMGGIGKTALARKVYDSKDLSDMFSTRAWITVSQSFDQKELFKEMIIQLFGTESWDKFFKNHQGQVPEVHLVDYISRRLKETSRYLVVLDDVWTIDAWNRIKITFQDSGKDDSCVVVTTQNGKLAEYCSPPSHIHRPEFLGEEEATTLFLKKTNKSLEVLEKDENTKGIVEKILKKCGGLPLAILTIGGLLANKVREEWESIYKQLPWDLATNPSLDALRQVVGS >Dexi8A01G0003450.1:cds pep primary_assembly:Fonio_CM05836:8A:2500255:2504387:-1 gene:Dexi8A01G0003450 transcript:Dexi8A01G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVAIAGVHAVVNPHAQQQVVLEYSPSLDEEGVCKTEFDLIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLVMQAKINETKDVMKKKANELDKMRVCPTLFSSFKIYFSHKAVNDMSISDSRFGIGSGLGGLSADMGSFASKPKGRPSTAATAPGKGFVTTEEKLNVVVKRDGSISEFDVQGTLALQVLNDADGFIHLQIESQDIPGLSFKTHPNINKELFSSQQILGAKDPNRPFPSGQNETALSHMLLLDVVNCWPSVSGNETYVNIEYEASEMFDLHNVVICLPLPALQEAPSVRQIDGEWK >Dexi4A01G0023030.1:cds pep primary_assembly:Fonio_CM05836:4A:26210401:26212477:1 gene:Dexi4A01G0023030 transcript:Dexi4A01G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGWRRSAARGVWRPATVCLWLALAAAALTLAQGKKDLTEVTHKVYFDIEIDGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGTGKSGKPLHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGMKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVVIADSGELPM >Dexi2A01G0008710.1:cds pep primary_assembly:Fonio_CM05836:2A:8727980:8729418:1 gene:Dexi2A01G0008710 transcript:Dexi2A01G0008710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSAAFGGARSAGISVIPIRLYNLPQSVMDSQRILLNKMIGSASSSDTIKKLVKMVDDRRTNSELRLCAMRIVAYFASEIRLDMPDIWYISSGLSVLEDEEEMNSKKYELYFTGMKIISRLANDEENFKHMSKMDGITHKIVAVIVGCSRLHCDEAHDEWLSIASPGIQLINRFVFYSSKSSNVQHLREIQQQHENWIKTLQTMLQCQKCKGDHELRRSVILALTQIISIPGDLSVQHNDANNKLREGFIKSLVAMFLHGIDKSSFKKLAGESLAQLSFRSGTNAKLILSAEDGIVGALTTILQNDRSRYRRSAADNLKHLCVHCNCEDTNSENLKQAMIREIPEVLKEVLRGSREQQNDHYLRTNYVKVQEALASLCATVHEKLISTYPDLIEKFNTEEATNICRAISKNPSGVSFADLVTEAKNVVEDYRKRLDLAFFIF >Dexi3A01G0029840.1:cds pep primary_assembly:Fonio_CM05836:3A:32234371:32235969:1 gene:Dexi3A01G0029840 transcript:Dexi3A01G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRERDRELLLPVVAGELAAGDDHDDEPTIPVVMAGPPPPAAASGRALHVMHHHHPTGIEALSRVIRSWAWKKFMSGCVILLPIAITFYTTWWFIRFVDGFFSPIYVHLGIHLFGLGFVTSITFIFLIGVFMSSWLGASLLGLGEFFIKRMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVALRGAGVRGDQDLACVYVPTNNLYLGDIFLMSRADVIIPDLSVREAIEIVLSGGMSVPKIISAVEGIVGLGDHGCAAKDS >Dexi9B01G0048720.1:cds pep primary_assembly:Fonio_CM05836:9B:47588304:47591287:-1 gene:Dexi9B01G0048720 transcript:Dexi9B01G0048720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYRQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRILGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSENKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAVTLVLPVVTNFLVPASSVKGGGISFGGSLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMIGEDEPLNP >Dexi1A01G0003580.1:cds pep primary_assembly:Fonio_CM05836:1A:2603847:2605294:1 gene:Dexi1A01G0003580 transcript:Dexi1A01G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLGLFTGRTAGGLLNATFGNATELVISIHALRSGKLRVVQQSLLGSILSNTLLVLGCAFFGGGLAPGKTEQAFRKEDAVLNSGLLLMAVMGLVSPAMLYYTHTEVNLGQSALALSRFSSCIMLVAYAAFVYFELSNSRRRDEASEASMMSSFSSFIYLSLYKFLSYLQGASKAWKIPIAFISTVLLPIVGNAAEHASAVMFAMKDKLDISLGVAIGSSTQISMFVIPFSVVTGWMMGQPMDLNFHLFETASLLITVLVVAFLLLVLK >Dexi9B01G0009010.1:cds pep primary_assembly:Fonio_CM05836:9B:5570522:5570931:-1 gene:Dexi9B01G0009010 transcript:Dexi9B01G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADQTHLIIGAAVLSRAHPSRLNFEAVCITLEEDRPRAWVAFVRDDDDCSWRALPRSKLAAMDHLHSLSLEERCVHTGAHIYWRIGNSGNLLALDSHSKEFSLGPALDDQGRDFSSDGIGKKAEDAHGAWPGK >Dexi7B01G0001570.1:cds pep primary_assembly:Fonio_CM05836:7B:2665645:2669305:1 gene:Dexi7B01G0001570 transcript:Dexi7B01G0001570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSSGCRSSSIAGPQHPPQQQRRNDIQQWGKKGGDKKGYGGGGGWNGGGQQQQRGNALSGPWFCFNPGAGPGPPPPAATQMEFEEVLSDRDSEDEVDDDVADFEDRRMLDDFINIAEDEKRIMHMWNSFASRQRLDPSPKFFNFWVKADSHMPWACKAFSQLHAQLLAQNPSLLGCWRIFMIKLWNHNLLDARSMNTCNMIIDNFKN >Dexi4B01G0013370.1:cds pep primary_assembly:Fonio_CM05836:4B:11912409:11918932:-1 gene:Dexi4B01G0013370 transcript:Dexi4B01G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAAAFALRAAKPSLHGHSSYAYSYLPYQNCHRGDADEHHLHHQHHELLQQSPYLAPRFLLDGCLLRHSAHLLLLSARLRPPPPTHSHPPRCFRRRAAARCCCVVGGERPVAGQVSWQVEARGCRCCGHGAARSDLGLVCRRLEAAGCRCGGSGCGKLLRAGVGRRDAPRLVGRAVRQEVWEYEGGQWPRRGCLMECHDDLEDQEDCDRGQLEVVRLVRRKWEDDDDDNDETCRYRDYGRRKGLESYCSGEDRYSGRRRERSDVDDDDSSFRNSDRRRRQQREYYDDEDDLDLRRRRQRWEGRDRRHSEYDDAVDTRRFETRRYRDRDVGREYDHRRERRDFYSDDLADVSRTGRYAEDVGRFDRRRESRDLEIDDKVDVRREGMRRSSDDQRYVSQHQRRSEDTDGEDVSLLRSHRSKDEKIDYDEQDLAERRYYSGVRSQKSARALSLHQDDSNRASSSRSKVDAGHTRQEENLSSRVRWRDNVDRQTEQASKERDRRHSVGWSNDERDTYEYDDAQFVRVRDSRVGTQDVKVITEDDTRFASSSKNTSILNHGINVDQEAAVHKDESRKSSQKIMEISEVQDNCTEQDSRAQSYHQEGRGNYTENKSSSFQNSVKIASDSRRQVDQHNAVNQNLISLTESRQNTENLVNVTTDCNRNVSRASYSQRNFDEVNQADIDDRSTSLQNIIHVTRDKKRIVNQQVIHETDIDVQNITHVDVSKIRASGTSTSRTQSHLETKSDMNSTSSMNFLNNTRNQEKDVRQNKISASDTAMFRGSQSHLETEMYGQFDSTSSANIADSTKGSQEQFEVNIANASNPAASSTSVGHLQTRIDGRFQLTSAVNTFDSIKEQVDLAKIPTSDATGVSSSQGLVTKNGNQVDRTSAVHLPREKQGKNDQKIIQVSGTEQNDEVRSKFSESFQDSRGSMVRSKDTHHNMDLIWQKADTSGISDDKDITGLLLESTEEGSSMAQGARIMGSNEQEVRSETTAETILQSGLSGVHSVKESMLESAARLEKSSTFHVGRFVDEIQKGVSDADTSSTKKNEKSIVEGTTWSSSRSRMKGPADEMWDVHSTTSQETFKTADKEEGSSADGATNSASQTPKNESALARKGHKSLWAYVADIVRLGWIQRGDSHDSSDKSVKKSSSSNSQSTEGWLSSQERDNDGIRKKNKAKDQLLIKSHSGESEPGVASMSKEEYFRTGTEDLQISDVIEAQVVRSQEDLLARSSKDDPNISGERIKKSDEGQSPKGNIVDDSTLTLVDAAIGHLPKHKTATSSRITSKDSSEFNTAKGMLAGSSSVAISAIEAGHKGDGADWIYDPSGAITSYHHPQTQTVMPHENTSTNILEPPSLPVGGTRFEEKNVVLEPPEVIKTGGQDAELKRRMFQRNKQVLKGTFDEWEEAYQRDAMQRKADELFMREALLEAQRAADIWEDTTLYVTLEPCAMCAGAILQARIDSVVWGAPNKLLGADGSWVRLFPGDVQTSTLDSANQSQATGPIHPFHPKITIRRGVLSAECSEIMQQFFQLRRRKKQKPQSPPRAHQQGHHHPVKFFSKMHHMFGTIFCL >Dexi5B01G0032420.1:cds pep primary_assembly:Fonio_CM05836:5B:33064280:33064588:-1 gene:Dexi5B01G0032420 transcript:Dexi5B01G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGYVTVPILSVLAAIGYVYYTTVFLAIPAWLGLTTAAGVANAAAFTALAAACVATYAVAVLREPGSVPASFVPDVEDAGSPIHEIKRKVLTAAVSLLCW >Dexi6B01G0013070.1:cds pep primary_assembly:Fonio_CM05836:6B:20783062:20783625:1 gene:Dexi6B01G0013070 transcript:Dexi6B01G0013070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAANADADADYHSSDGSVMPDVLAKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRANFVSNCRPTWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Dexi6B01G0013070.2:cds pep primary_assembly:Fonio_CM05836:6B:20783062:20783625:1 gene:Dexi6B01G0013070 transcript:Dexi6B01G0013070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAANADADADYHSSDGSVMPDVLAKGREACYKVEPSSSPLNPFFLSGFPLLTGGSRPFLPPPQARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRANFVSNCRPTWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Dexi7A01G0006710.1:cds pep primary_assembly:Fonio_CM05836:7A:18041274:18043720:-1 gene:Dexi7A01G0006710 transcript:Dexi7A01G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMRKASSQASLADADDFDLTRLLNHKPRINVERQRSFDDRSLTSELSLSGAGTGTASRGGWGYGGGAMERESYESMYSPGGGLRSYCGTPASSTRLSFEPHPLVGEAWDALRRSLVNFRGQPLGTIAAVDHSAGEVLNYDQVFVRDFVPSALAFLMNGEPEVVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDMTLAETPMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAEGKEMMERIVTRLSALSYHVRSYFWVDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPSRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQASAIMDLVEERWEDLVGEMPVKICYPAIEGHEWQTVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLRRSASWTV >Dexi5B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:5B:22548289:22548623:1 gene:Dexi5B01G0020340 transcript:Dexi5B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRALGANVLVFNTGHWWAHTGKLRAWDNFERDGKKVEMAGGEAFNRALRTWARWVDSNIDPSRTRVFFRSVSPEHKRYYKYFKQQ >Dexi9A01G0015630.1:cds pep primary_assembly:Fonio_CM05836:9A:10451497:10452269:-1 gene:Dexi9A01G0015630 transcript:Dexi9A01G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNFFTFPGERKAEERYWAQRDPRGYNPQDSPGVRGNLSANCGRRQQHRTVSIETITSFLGALRGMASVTHILLDAALEALSHEHQSQSLSEYALNRDIKIMQREYNRRMYELEDDIKKAPLVYHTRELVSNTIGQGIKATKSIVGLMMARRERALGKSNSKVVE >Dexi4A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:4A:4214615:4215357:1 gene:Dexi4A01G0005880 transcript:Dexi4A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRSRSRSPARRSPPEPKETRFMGKIETRHAAVSGYAVHRVDLARSRHGISVTGEALDDPPVARFKAAPGMSFFKAGSSEKKKIVGVTDKHADVIEAESVVIDAAMWEVSPGPPPSSTEYGLVKVSSKIYAADMLADDPRCEVLLSANDAWWSPLPRPPFRDRIVKLAAYLPCRGLLISTENGETCLFNRHRRRRSSWVALPGSPAPPAFEGATVFAKDHGVRDRVRE >Dexi3B01G0009670.1:cds pep primary_assembly:Fonio_CM05836:3B:6689794:6692532:1 gene:Dexi3B01G0009670 transcript:Dexi3B01G0009670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEETGGGDGATTAAAPVRDIRRYKCEFCDVVRSKKCLIRAHVLQHHKDEVDGLEDYLEGGDGVSRKERPFACHVEGCPLRYSRKDHLNRHLLTHQGKLFVCPIEGCDLKLDYTEVICCEPGCMKAFTNVECLKAHNQSCHQHVQCDKSNLDKHVKAVHKQHRPFTCQFSGCGKKFSYKHVRDNHEKSSAHVHAEGDFVEADEHRQRSAGGRKRKSISVETLMRKRVAAPDDEPANVDGTEYLRWLLSS >Dexi7B01G0008840.1:cds pep primary_assembly:Fonio_CM05836:7B:16561056:16562689:1 gene:Dexi7B01G0008840 transcript:Dexi7B01G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLLLFLSLPVLPARCVVVPAAAVRLDLARADANLTGHELIRRAVQRSLARPVVAAAAVRPGAAGRVGAAAASEAPLAPGGGEYLVKLGIGTPQHFFSAAVDTATDLVWLQCQPCISCFRQLDPVFNPRLSSSFAVVPCRSDTCGQLDEHRHDDDACEYTYKYSGNSVTKGTLAIDKLAVGTNVFHGVVFGCSDSSAGGPPAQASGLVGLGRGPLSLVSQLSVLRFMYCLPPPMSSTPGKLVLGAAVDAVRNVSDRVSITMSSSTRYPSYYYLNLDGLAVGDQTPRMFTTATAASSSSSPPPPATPGGASGGGGNANAYGMIVDVASTISFLEASLYDELADDLEEEIRLPRATASRRLGLDLCFILPEGVGMDRVYVPSVSLSNA >DexiUA01G0005040.1:cds pep primary_assembly:Fonio_CM05836:UA:9157109:9158625:1 gene:DexiUA01G0005040 transcript:DexiUA01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVQVRVVGTTYVPLLRPPPPHLEQIPLSFFDAWFLPLPPIQRIFLYDGDGADDTSFPSLVRALKSSLADAIAVFFPLAGKLTYLPSTGDVVVDCSPSAVGAGVAFLEAEASGGDARLLSGEATHDVPAFLGLVPDLEASELPAPVLAVQVTRFVDGGGLAVGVAIHHAVADGHSFWRFMSAWSAVARGGAFPVALAPTFDRSAIVHPIPMATEMARDLLRKLTPELPMIPTENWLRRTWLQHETTTLELDRHQIEHLKNRIADGELTRTAPRPSTFVAVSALVWSSAVQARSLDPGAATRLVFQGDCRRRVDPPVGEGYFGNCIKGCVAEAAAGELQLHGGGGGGGVVGAAKAIRKAIDEFVARPLDEFDRAGRVWGEPDLVAVVASPRFMPYSTDLGWGAPSRVEYVSESAPEGLVVITGGRTDGIVQVSACLRPVHMQAFRSRILDFVAWSLCVCVWCPVWR >Dexi8A01G0006130.1:cds pep primary_assembly:Fonio_CM05836:8A:6183479:6187414:1 gene:Dexi8A01G0006130 transcript:Dexi8A01G0006130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGDEEASMFETSHVLGALLASSPLLARAWDSCAAATAAASGLVHGEDGGTVYVGFSGVQAALSAAGAAVAGGGVDAFAPVGLGGESARRMFAPLVAAEIDTAAVGEEVAVQALALQCFLKFCGAPDFQMLLDQTRGKAVVFTGHSLGGAIAALAALHYLCISSSRSTSGPAPPVLCMTFGSPLLGNEALSKAILRERWGGNFCHIVSQHDIIPRLLFCPLDAVPAHIIVGMQLQQWPARVRQGDALTTVTTPRMADTNRDALRQLIQTHVGAVAMEQKLADPATPSGGPYRPFGTYVMCSPDGAVCVDNATAAVQMLYATFTASRCSPGVESLEAAHSCYGDLVLKMPQQLLLKRRLRSDDALVTSNYDAGVSLALEASGIHAMVRAAHATEASTARQWLKTSKRAGRRPSLNCAQLATKLGRITPCRAQIEWYKALFDGDMGYYDAFKLQRSAKKFSKANIYRIKLGQFWDRVLSMLDAGHLPHDFHRRAKWVNAARFYQLLVEPLDIAEYHRHSLHRTRGRYLTHGRERRYELFDRWWKEKGRTGGEVLVASSASRRRRSKYAGLTQDPCFWARVEEARELTESARRGDRGDTAELAMMLEELQEFERYSDELVESKEVSVDVLAPQSSYTIWVEEWNQLKVRDEIRAILLQF >Dexi4A01G0006260.1:cds pep primary_assembly:Fonio_CM05836:4A:4510920:4511689:1 gene:Dexi4A01G0006260 transcript:Dexi4A01G0006260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAGSSSAACAACKYQRRRCTRDCPLAEFFPHDRPRVFRNAHRLFGVANILRTLARAGPDPERRREAMHCIIYESQAWEINPSAGCVPLIRDLQLQIRQADLDLRRVYDAIHAYRSSSTAAAAPESDAGDPSVPSSSSTPPSPPFQLLQPVTTGNNNDGDEEEITAEAYGGGGGLLPPFMFCGDGYQQQTTMSAAAAASDDDGTR >Dexi1A01G0028510.1:cds pep primary_assembly:Fonio_CM05836:1A:34155489:34161095:-1 gene:Dexi1A01G0028510 transcript:Dexi1A01G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSRLRHLRRLLAAAPLPTLAATLSPNPSSFRSSHPTRIPPPRLHRPVLPARRLFSEHAAIPTHLQDERFAGVSDRIYDAVIKTEAESNDGTEAALDALGAELTTPLVADVLHRLRFEEKLAFRFFAWASHQDGYTHEPSTYNDIIDILSGTRYKSRQFGVLCDILDHMKRHGTRSVPVDDLLAILRAYTEKHLTHMRKLAKKRRVRMRTPPETDALNMLLDAFCKCGMVREAEAVFGRVKRRLLGNSETYSIMFFGWCRARDPKKAMKLHTELRSEDLERAMPRSPGTGILSCASDAFKRAQVEFSEVETSWAGPGRSRGKMWLALTEKISLPSDFSRFPSHARCWCQRAEVLCSIQTPADPPKIEQDLSRARLCSRTVRGPGPGRCVAMIDGNVVEVYRPVPFSGVASRRRRCGVRSDLRFIPNPNRNLPNGRERESTSLARLSQI >Dexi5A01G0015480.1:cds pep primary_assembly:Fonio_CM05836:5A:13121343:13121917:-1 gene:Dexi5A01G0015480 transcript:Dexi5A01G0015480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENAAERDRMMFPSTSRTKTDPKTDSNGNLENCSDNEPAKRLKTDRELLEHSVESTGCMGRKV >Dexi3B01G0005640.1:cds pep primary_assembly:Fonio_CM05836:3B:3833699:3838192:-1 gene:Dexi3B01G0005640 transcript:Dexi3B01G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSSCNGSVVGGRSCRAIASIAFDDCGIESRAQRFLSSITNSIETVLKCNVEVKMGPLAELIDGELMLEAGSKVGRVESDVLSCSSNSDRLRRTVNSSKRSFDHPDEGKKELEKYKSTPCADETMQSVVVTSFDSGMPKARGLEVPTQATKEPINGEQKLESAWLQVSEKHSRGLMNQVKHGHHVLSQVVDSQYQRKSSMSLVVPSSHADEDLAHEIEALRILDSYGSQKHQSGRGENGFAISPSRLHRKDDMADCDKDSVYVSSCMLL >Dexi3B01G0023670.1:cds pep primary_assembly:Fonio_CM05836:3B:18435043:18437204:1 gene:Dexi3B01G0023670 transcript:Dexi3B01G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRLLHWRPPPQTSTVQGGNASVVPPRSQRTHSWAEFFLGTAPAPLHTIPTLAEEVQLHDFNKLPRTKPRAAAVTKPRRRPRRRQSSVLLREVLEERSMAGQHLEDEGWTTVPRRRTTARHIIRPSQHPNEHRRVAPQSPPPPPPPPPPPPPPPPQDGTLGTVRTQEPGQDGDGPNDHDDSQVQSLPQGQDYFEKVGRCATRTGLNDNIPGNAARSSPVAGAADVPVRQVFDRLKALLMQPSISDVAAVLKSLELAACSGGQAVPEEATVDIAAVGHDDEEGPPPTRASEGPTCDDDTACIDDGTLVPRTPTPGLHSFGELGQASGLADPAGDDMAIEEAMRSPRSGPGVDDLFIDLSLPLLPDHHPPQRPRQRRHMGTDTPRRSERLAKKPSMLLVDFADKAP >Dexi6A01G0011400.1:cds pep primary_assembly:Fonio_CM05836:6A:16235773:16236213:-1 gene:Dexi6A01G0011400 transcript:Dexi6A01G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWLTTGKTRRNASISACTSRNCSACLPAITNPAPPSPPSAALTSGRRIVSDEPCCTSRSRSPAIITRRASAWHSLRFRRAVRTACRVAETRPAASTPTSCATVEAGARAPHSAIDDLASPAIDSAK >Dexi4B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:4B:17787565:17792583:-1 gene:Dexi4B01G0015850 transcript:Dexi4B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDETKNDLVLLDFWARFWAAYTDKIYEAGTRVWKLKGDARAQARDELVQVLRNLDGELGGKEFFGGEEFGFVDVAAVPFVAWLPSYERYGEFALEEVAPRVAAWGRRCRERESVAKSLHPPEKVDEFIALLKKIFGIE >Dexi6A01G0001320.1:cds pep primary_assembly:Fonio_CM05836:6A:1127170:1129935:1 gene:Dexi6A01G0001320 transcript:Dexi6A01G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKELLSSAPWRTGEAAEDEEAARLSREGKVSVTNNPGETATMNVPRSRRQELDLAVDDFDEEEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNVNFFFRIFTQFWDEEGIANAQKSLGLGSDDGSRRMR >Dexi6A01G0007840.1:cds pep primary_assembly:Fonio_CM05836:6A:7902161:7904038:1 gene:Dexi6A01G0007840 transcript:Dexi6A01G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKILVSASMGVMNSLLGKLATLMGEKYAKLKDVRKQVEFLHEELSSMGALLEDLADVEGLDNQTNQWRNKVREMSYDIEDCIDEFIHRVGGSSDGKGLLRRLKALRARHQLANQIHELKARVQEASARRMRYKLDDCKSRSGNVDIDPRVTALYAESSRLVGIDGPREEVINLLTRQVDDASVQELRVVSIVGFGGLGKTTLANAVYSKLGETFGCKAFVSVSQRPDMMVLLKSLAFGCN >Dexi5B01G0006090.1:cds pep primary_assembly:Fonio_CM05836:5B:4118401:4120865:1 gene:Dexi5B01G0006090 transcript:Dexi5B01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTEVAVAPAAAAVTAPPLHVILVCFPTQGHINPTLRLAKRLASKGLLATFVTTSGAGAKLAAASASPPGAMSSGVCVGRGRVRFEFLDDHGDERDDLMRFLAASAPAAFAELLHRHADVACVVGNPFLPWAVDVAADAGVPAAVLWPQSCAVFSVYYHFAHGLVELPPEEDDDHHDARVDVSIPGIPPLSVAELPSFLLPSNPFKMLTEAILGQFENIGKASWVFVNSFSELERDVLAAFPSVSPTPPELIPVGPLIELDNDEDDAVAVRGDMIKVADDECVRWLDTQSPRSVVYVAVGSIVELTADEVAEMAYGLASTGRPFLWVVRPETRPLMPEGFVVEVAAGGGRGVVVPWSPQERVLGHAATGCFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAKFMVEELGIGVRLRAPPLRREAVREAVEAAVAGVDADAMLARLYYISG >Dexi2A01G0005900.1:cds pep primary_assembly:Fonio_CM05836:2A:5637162:5637705:-1 gene:Dexi2A01G0005900 transcript:Dexi2A01G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKIIGARVYDHRQGSISGLSPLDEVGHGSHTASTVAGREVTNVSFDGLAAGVARGAVPGARLAIYKVCVGVFCSDTDILAGFDDAITDGVDVISFSIGGMFPTHPRQAIGSFHAMRRGVLTSASAGNSGQIGGRVCNVAPWMLSVAASSIDRRFIDRLVLGNGETIVNK >Dexi5A01G0017600.1:cds pep primary_assembly:Fonio_CM05836:5A:20897524:20900651:1 gene:Dexi5A01G0017600 transcript:Dexi5A01G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHNCAADWSLYNHVDIPKVVALNESVPGSVKSVFKPWEQRLDTSGGFLESNEGDPELLVFIPFTSDVKIKSISIVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGALEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHK >DexiUA01G0010740.1:cds pep primary_assembly:Fonio_CM05836:UA:21308661:21309787:1 gene:DexiUA01G0010740 transcript:DexiUA01G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAWARAAIGAASSALAAAVFAVLDVVDVVLCVVYAILDGILEESPVRCYCRNRPEPEPEPEGMSDTLYARRSAIRDALLGLVVRRRRRRRTPAVKWRSSPRWSDCACKSCVAWQQGRGERLHVVVKEPDPSTKGFSASGTSAVFIHGFTSSSSFWAETVFREGSSLLHSSTRLLAVDLLGFGQSPKPGNCMYTLKDHVEAIERTLLIDPPPHNNLMSSFHLVGHSMGCIIALALAAKHPTRVKSITLEQSHDFIIISV >Dexi2A01G0030980.1:cds pep primary_assembly:Fonio_CM05836:2A:41800833:41807842:-1 gene:Dexi2A01G0030980 transcript:Dexi2A01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLLVPGARAAPSPSTLSRLLLPLPLHLQINGRRSNHLRRSVHASSASTPLVYPRLRNRRARFFASSSSSQMAAPADAPGGSTDAFEVIRAHQAKAARLSPAEEIRTILDRSVRGVLATHSQEHAGYPSGSMVDFACDQDGSPILAVSSLAVHSKNLSGNPKCSLIVAKDPEDRTDTVITVYGDAVPVSDEQKDSVRTAYLRRHPDAFWVDFGDFSFLHIKPKAVRYVSGVATALLGSGEFSAAEYKEAKVDPISQFSTPITSHMNKDHADDTKLIVQHSTTVKASSKVQTSSRLLSDQKVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRQAQDRKDVKTLIVEMLQAAKATSSHAD >Dexi9B01G0006790.1:cds pep primary_assembly:Fonio_CM05836:9B:4074759:4076753:-1 gene:Dexi9B01G0006790 transcript:Dexi9B01G0006790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGDITNFVFNGFAGANLTVDDSATVTPDGVLVLTNGTYLMKGHGVYPGPLHFHSPPPSAVGGTMLSFSTTFVFVILSEYADFSSYGIAFFVAPTNDFTATLPSQYMGLFNTTDVGNATNHIFAVELDTVLNVEYGDIDSNHVGINIDGLRSLTAAPAAYYDDGSGGELRNMTLISGEAMQVWVDYDGMTTELNVTLAPLRVPKPKRPLLSHAVDLSNVITATSYVGFASSLGSMSSRHCILGWSFSLNNGSAPSLDYSKLPNPPIAANGNGRSKTVVEAVVPIGIVVTLAVVTCAFVFVRRRVKYGELREEWEDEFGPYRFSYKDLYHATDGFADTHLLGVGGFGKVYKGVLLLLPSASSTTLEVAVKKVSHDSRQGIREFVAEIMTIGRLQHRNLVRLLGYCRRRGELLLVYEYMPNGSLDRFLYDPGREPALHWGKRFRIIKDVALGLYYLHNSCEQVIVHRDVKASNVLLDDKMSGHLGDFGLARLHDHGANPRTTRLVGTIGYLAPELARTSKATPLTDVFAFGVFILEVTCGRRPIEEDEEEGGDCVLLVDWVLRRWSERKLGDCVDAGLQGEYDAGEASMVLKLGMLCAHAVPRERPTMAQVVQYLDGTLRLPEPSPMALGLAAMAAMRRDGFDSYAMWPSDASHGTVSDLSGGR >Dexi5B01G0005170.1:cds pep primary_assembly:Fonio_CM05836:5B:3451709:3453754:-1 gene:Dexi5B01G0005170 transcript:Dexi5B01G0005170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAATSVSGASWSRATRGRAAALASRHAGLAAAAVSYSFGTRGAVLAGAVPQLPLLRVRGGGGIRPLFLLSDKGDNGEAVVAKAAAAASVPADDASGAGRGEGDEAGGIAATVELGAMIVAWYLLNIYFNIYNKQVLGVLPLPLPYTITAFQLAFGSLLIFLMWATKLHPVPKLSAAQLGKILPLAMGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSALFLGKVPSLPVLGTLVPIVGGVALASFTEVSFNWTGLWSAMASNLTYQSRNVLSKKIFAGDEDAMDDINLFSVITILSFLLSCPLMFLVEGVKFTPGYLQSTLSYLILSRVSPVTHSVANCVKRVVVIVSSVLFFRTPISPELVLH >Dexi3A01G0012820.1:cds pep primary_assembly:Fonio_CM05836:3A:9352553:9352798:-1 gene:Dexi3A01G0012820 transcript:Dexi3A01G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSHGTAPWPDGVWGPRPDDSPSSRLRRRPLLALPATNGSARDPARARRRRQQGDEMDGVASGVAARGVGNAEGMGRVRV >Dexi8B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:8B:11322487:11322839:1 gene:Dexi8B01G0008460 transcript:Dexi8B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYGSWIRSNNGPMARLPSATPAFATTSSAPWLRQWISGMPELVLAVAMRPASRKKQADLEGRDHGGEVAGRWRRLGVDAEHGDLNDPCDAVEVGHVDDARHDGGV >Dexi5B01G0027040.1:cds pep primary_assembly:Fonio_CM05836:5B:28675782:28678231:-1 gene:Dexi5B01G0027040 transcript:Dexi5B01G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALKQKGTASGFKLHGLNMEPGRFSTSSITRMSPLSTQPKPKDLSSDAKPTQHEKAISDRPSQQAPPPHGDARPTQHETEISLRPSQQAPPTQDDAKDLPPDAKPTQHEKEISDRPAQQAPPPTQDDAKIAIGRKGSCNLSVTVPREPAVQSADDGSPGGLEFSFHPDEQSLKLQRACSSPAPFPRKKTPGDEAQTLTRSSSLSVLDEGPKLMQRARSPLPSRHVPEVFQEAKSTSKRFSTPPPPRRSASSLDLNGTPPVPVRAPGKTKHRKEGHANGRVKVSTTELLEKWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPDDEEDAELAAQLEKQFNTEVTTLSRLHHPNVIKLVGACSSPPVFCVITEFLSGGSLRSFLHKLDHKALPLDKIIKISLDIAHGMAYIHSKGVVHRDVKPENIIFDEEFCAKIVDFGISCEEEYCDPLANDTGTFRWMAPEMMKHKSYGRKVDVYSFGLILWEMFSGSIPYEELNPFQAAFAVFDKVIASYSFPSFVILFSMASY >Dexi9A01G0030940.1:cds pep primary_assembly:Fonio_CM05836:9A:35799143:35799931:-1 gene:Dexi9A01G0030940 transcript:Dexi9A01G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSPLRRWKPFFPAFGIIDAAIEASVVPALSRDKVRSARDEVVEMLRGVPAADARDSEEFCVLLDGFMAESLLTLRAVPAEAVPRVLASSADLAKAVGALRRHESDRIRGLARDVIRGWTVAVEEDIARTSAAMKRLDDVCRQTTKAAAEASHPKTTNKTAPVTKRKLHQRYQEVEDAKRQRKIQEIQAPKMPEQRQRNIVHPILRERSQARSGKSTVVRRCAVSSS >Dexi1B01G0027730.1:cds pep primary_assembly:Fonio_CM05836:1B:32410524:32411749:1 gene:Dexi1B01G0027730 transcript:Dexi1B01G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSPRALCVREAAAAAASPHPHSSAACSTVGGGAAASGRSLWLWSGGGRGRRRERGGRVRAEAYFWDVSKPVEMEEIDSMEKLDDALRWSVENNQAIVIDWVRFYFVDVNKVPQAVVKRGNITLWKDGEWKAEVIGGHKAWLVMDEVREMIQKNK >Dexi2B01G0003100.1:cds pep primary_assembly:Fonio_CM05836:2B:2711889:2712192:-1 gene:Dexi2B01G0003100 transcript:Dexi2B01G0003100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKPQWVIFDEFVLTTRNFIRTVTDVCGEWLIDIAPHYYDLNNFPSCKAKRLLAWLYRKLERERACHLSLM >Dexi2B01G0034010.1:cds pep primary_assembly:Fonio_CM05836:2B:41339389:41344690:-1 gene:Dexi2B01G0034010 transcript:Dexi2B01G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLGQSLARRLHRPLYVPPPPLPPPLTPAWTVYLMDSLVLNLVVDLDHNRQMSTATASKAPVGARKVGLKVVMMSPGFVYEPYSPRERIPFWKRWFTLSGWRRTKEDIILEMKNAYAVSRLRKKTGYTKKQFYDQALNIYKEVNTLMAHGDTSALRKILTERMHSTMKNEIKRRQSKWSSVHWELVEPAVSIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQVLVKDIWVFERSLFHPGAYWRVCGRITL >Dexi9A01G0025060.1:cds pep primary_assembly:Fonio_CM05836:9A:22192526:22194089:-1 gene:Dexi9A01G0025060 transcript:Dexi9A01G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRTRRATAVALPTGVDHEPLPAPGHRVLLSPFDVYWAALPPVRCVFLFAPPPPPANFADVASALRSSLAAVLPAFHPFAGELVYDPAELRAVSIVCSEGAGVAFVEAETELNFARLVEEGAEHDVDALQQLVPDIRREELPAPVMATQVTEFIGGVGGIAVGVTIHHTAADGRGLWRFLEMWTAAAAAAVAGSKTMPVAGEPASPLHDRRLVSFEGDEELARMFLRQVAPDLPRTVPKQDPSPGPKNQLSRRTFTLSSSVIQRLKQQLTPAPSTFVAVVAHGWVSIARASGFTDGAPVFAVFLADLRPHMSPRVPEAYVGNCLALCTVAMDGAELTGMDGPARACLAIRQAVAEVRRDPLADRGRWFSKLADIPRGRAVIMTGSPWFPAYGVDFGFGCPVRAELASMNHDGEMVLVSGREAGSVQTSVALAPDKMPAFRKFFVIDT >Dexi8B01G0006020.1:cds pep primary_assembly:Fonio_CM05836:8B:6710897:6714672:1 gene:Dexi8B01G0006020 transcript:Dexi8B01G0006020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLQSASTGVMNSVVEKLVALMGEQYEKHKAIRRDVAFLKDELGSMNAVLKKLAGMEELDPQTMDWRNQVMGMAFDIEDSIDDFMHRVCEDANTDDTGFIAKIRQYVNELRVRHHFTKQLQELKSRVIEVSERRKRYKLDEGAYRSSFVAIDTRISALYTEAGNLVGIDDPIDGILKLLDMEGDASVQSLRVVSIVGFGGLGKTTLANEVYQDHSIEKEDLVRLWAAEGFVSNITDEDTEKVAGSYFNELVNRSMIQPTYTDYNGEVWRCKVHDMILDLIRLKSEEENFLRVVDNARQMALSLQSRVPRWIGQPHNLSKLLLTVNTLIQDDIDLMAQLPNLCDLELDIRKSLKERILIHGDGVAFPVLKYLLLSCFKPWLRFETGAMPNIQKLELKHDGRGLEGDNPSVPEGIAHLLNLKEFHVVISDGFSIGTGITEADAEATYRSAFKMHPRRRDIKIRITLFCLVMTLPAYLYDSDEGEVAQESQ >Dexi9B01G0027340.1:cds pep primary_assembly:Fonio_CM05836:9B:29809269:29810012:1 gene:Dexi9B01G0027340 transcript:Dexi9B01G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGKPDLSSPDGAFQERRRVWDGLLPEMPFDVLPNDKGSTVASHQCGAESGQIDGQKAATRCKEMSRRSKCCRPEVMPVIPEQTMEFLSRTWSPSSSDLFQILSPSIH >Dexi3A01G0023530.1:cds pep primary_assembly:Fonio_CM05836:3A:19223359:19227755:1 gene:Dexi3A01G0023530 transcript:Dexi3A01G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENPHHNSGSRSDHPVPVAMDDDAARHVLLSASADAAIDDLWGQQPNKIPDPFVWPHADALASSERELDAPVVDVGAAMRDAGDGEGMRRAAELVAAACASHGLFQVTGHGLDPALARAALDGAAGFFRLPLATKQRARRAPGNLTGFAAAHVDRFTSNLPWKETLSFAHRDHHRHVVVDYFTSVLGTDFKPLGAVYQEYCDAMKRVALAIMEVIGVSLGVGRSWYRDFFSDGCSIMRCNYYPACPEPERTLGTGPHCDPSALTLLLQDGDVDGLQVLAAGEWRPVRPRPGALVVNIGDTFMALSNGRYRSCLHRAVVHRERERRSLAFFLCPREDRVVRPPPRLLTAAGEHHEDIEEEDEQQQPRRYPDFTWAELAHFTQRHYRADARTLDAFACWLGAGAPTCAAATSSAACDESQADEAQGTF >Dexi1A01G0002290.1:cds pep primary_assembly:Fonio_CM05836:1A:1498886:1499822:1 gene:Dexi1A01G0002290 transcript:Dexi1A01G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHMAELVMAQCGVLAPAPAGDGGAAVHAWPETPGFAWDGGGADTQRMYGTVLPPSLNLFDAGAADPFLAAAAPGVAVDDAAATEWQYTAAVAEGSEPSVVAVQQQEQQVNGGGGGGAADSGSEGRDGEDDGDGGGDGQGRGGGKGGGGKRQQCKNLVAERKQRKKAQRPPLQAQMDRASILGDAIDYIVGLQNQVKALQDVLEDPADGGAHDVLLDHPPPASLVGLENDDSPGTSLQHQPAASSKRAQVSAAAEEKGHDMEP >Dexi7B01G0007830.1:cds pep primary_assembly:Fonio_CM05836:7B:15602356:15603479:1 gene:Dexi7B01G0007830 transcript:Dexi7B01G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVCPRCGPPLPLAGHHRRRPHQARAVAPKCEASSPSLPPLTRRAVSTASLLLAAVPFPASSPQLPVASASEAAAEAQGETGVSEWLELERYTDQEQGFTLLKPASWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLTDFGTPQFVADRLLQAEKKKMFSESELVASTQLIESFHSKQESTKSAEVISAGERSGHSGLTVYEIEYTLDSTRGGMKRIFSAAFVASRKLYLLNIAYSDAQEKPLGNQTRTVLEQVLHSFDSV >DexiUA01G0008100.1:cds pep primary_assembly:Fonio_CM05836:UA:15182166:15185021:-1 gene:DexiUA01G0008100 transcript:DexiUA01G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTYNPRDIEQPLYEHWEQQGYFKPNGDESKESFCIMIPPPNVTGSLHMGHAFQQTIMDTMIRYQRMQGKNTLWQAGTDHAGIATQMVVERKIAAEEGKTRHDYGRDAFIDKIWQWKAESGGTITRQMRRLGNSVDWERERFTMDEGLSNAVKEVFVRLYKEDLIYRGKRLVNWDPKLRTAISDLEVENRESKGSMWHIRYPLADGAKTADGKDYLVVATTRPETLLGDTGVAVNPEDPRYKDLIGKFVVLPLVNRRIPVVGDEHADMEKGTGCVKITPAHDFNDYEVGRRHALPMINILTFDGDIRESAEVYDTKGNESDVYSSDIPAEFQKMERFAARKAIVAAVDALGLLEEIKPHDLTVPYGDRGGVVIEPMLTDQWYVRADVLAKPAVEAVENGDIQFVPKQYENMYFSWMRDIQDWCISRQLWWGHRIPAWYDSEGNVYVGRSEDEVRQENNLSADVALRQDEDVLDTWFSSALWTFSTLGWPENTDALRQFHPTSVMVSGFDIIFFWIARMIMMTMHFIKDENGKPQVPFHTVYMTGLIRDDEGQKMSKSKGNVIDPLDMVDGISLEELLEKRTGNMMQPQLAEKIRKRTEKQFPSGIEPHGTDALRFTLAALASTGRDINWDMKRLEGYRNFCNKLWNASRFVLMNTEDQDCGFNGGEMTLSLADRWILAEFNQTVKAFREALDSYRFDIAAGILYEFTWNQFCDWYLELAKPVMNGGSEAELRGTRNTLITVLEGLLRLAHPIIPFITETIWQRVKVIAGINADTIMLQPFPEFDAAKVDEAAEAAVRRVTENNTFLKTMARLESITVLPADDKGPVSVTKIIDGAELLIPMAGLIDKDAELARLAKEVAKVDVEIGKIESKLANEGFVARAPEAVIAKERERLVAFADAKTKLIEQQAVIAAL >DexiUA01G0016680.1:cds pep primary_assembly:Fonio_CM05836:UA:35589159:35590628:1 gene:DexiUA01G0016680 transcript:DexiUA01G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLVAACFAFFLLNGAYREPAESRRCNCDDNDDSEQSSYKLFVFGDSYADTGNLLNGDLNWYTRIWHEPFGMSDADHDNKPTGRCSDGLVQSDFLAKILGLDDAPTPQRMRRNEGVDLSSGINFASGGGALVGWNLDTQIDELRKLVRHGIIDKNLTKSVALVAVSDGSDYVDFPAEQADQDKLIRNVTDAIVDGVKQLEDLGVDSVLVNLMPPIGCNPWNTRMYNYTKCDDEKNKITSAHNKYLKEKLDDDESVLLLDLEKVFNKIVIPKTERLFQHRHVPCCETLEMDGGFCGQHDGDGNRNYTLCDKPDDYFFWDDSNPTQAGWKVVMGQLEGPIKDFLDI >Dexi2A01G0029940.1:cds pep primary_assembly:Fonio_CM05836:2A:40941421:40942788:1 gene:Dexi2A01G0029940 transcript:Dexi2A01G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAFLRLASPPLSAPAAATSSFSSTTLRFPLRRRGAPRLLLAVAAFKKLSEASPVPIPPEPTQPLLDEDALPPKPGVYGCYDAAGDLQFVGISRNVRASVEGHRRKVPADLCASVKVSVADEETPDRAVLTDAWKSWMKEHIDSTGKAPPGNVAGNNTWIGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNNGLRETLKSYSNWPTFPQIFVGGELVGGCDIISSMAEKGELSALLQK >Dexi1A01G0017790.1:cds pep primary_assembly:Fonio_CM05836:1A:25119532:25120360:-1 gene:Dexi1A01G0017790 transcript:Dexi1A01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRACAAARLGNILLLVIAALLAGTSSAQLSTGFYSSSCPGVYDAVQSVMQSAIATEQRMGASILRLFFHDCFVQGCDASLLLDDTASFQGEKMATPNNGSVRGFEVIDAVKSAVEKVCPGVVSCADILAIAARDSVVILGGPTWDVKVGRRDSTTASFSGANNNIPPPTSGLSNLTSLFAAQGLSQKDMVALSGT >Dexi6B01G0020470.1:cds pep primary_assembly:Fonio_CM05836:6B:26923021:26925002:1 gene:Dexi6B01G0020470 transcript:Dexi6B01G0020470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQTFLLAPPAALFAAPSSSARPFHSLRLVAGHGSAAAARALVVADATKKAVAVLKGTSEVEGVVTLTQEDDGPTTVNVRITGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNVVANAEGVAEATIVDSQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >Dexi7B01G0008190.1:cds pep primary_assembly:Fonio_CM05836:7B:16008382:16012783:1 gene:Dexi7B01G0008190 transcript:Dexi7B01G0008190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVANPGAASGTGMPSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDQYLPMFNLPPKILCRVVNVELRAETDSDEVYAQIMLQPEADQSELTSLDPELQELEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPKRHLLTTGWSVFVSSKRLVAGDAFIFMRGENGELRVGVRRLMRQVNNMPSSVISSHNMHLGVLATAHHAISTGTLFSVFYKPRTSRSDFIVSVNKYLEAKKQKISVGMRFKMRFEGDEAPERRFSGTIVDIGSLPSMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDAANPQSPQPPLRNKRARPPASPSMVAELPSGFGKELFGIDICSAEEEVVPAVTAPGLAYDHTAASVELNSPDKLSQPSDVNNSDAPAASSGSPLESQSRQARSCTKVIMQGMAVGRAVDLTKLSGYNDLFHKLEEMFDIHGELDSTLKKWRVIYTDDEDDMMLVGDDPWNEFCSMVKRIYVYTYEEAKKLTSKSKLLGSSDTSKSSAVNSLSE >Dexi9A01G0029100.1:cds pep primary_assembly:Fonio_CM05836:9A:33872265:33873972:-1 gene:Dexi9A01G0029100 transcript:Dexi9A01G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKGWKARDVAGAFVMEVVDEEDDDAMATIPPPQTPMEPMEYLSRSWSVSASEISKILVGGGKQKSGVPAASRLPEMTIPEDTVLATSIVPLPCHQPHHWDARRNSMSSSGHHQSIGKWFQVHHRETYRVKQRGKEKQRAEKAHVHAMVSVARVAAAVAAVAAATSCDAQATKMAAAMASATELLASHCVEAAQHAGARHEQVAGAVQAAVGVRSPGDLMTLTAAAATALRGAATLKQRRETRSNASVLPYEKGSEKGQSWSTDIWCKEGELLKRTRKGDLHRTRVSIYINKRSQVMLKLKSKHIGGALSKNNKSIVYGVYSELPTWADPGKDSVEETRCFGLSTAQGLVEFECESSGSKQKWVDDVQNLLRQVALLDQEINWDY >Dexi9B01G0006110.1:cds pep primary_assembly:Fonio_CM05836:9B:3645154:3645558:-1 gene:Dexi9B01G0006110 transcript:Dexi9B01G0006110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLLAVVLVAMAASAALLPCHEARRLADLPLPELPKLPVPEVPGVPMPEVPDLPKVPIPEVPGVPMPPLPELPKVPMPELPGLPKVPEVPGVPKVPQVPGVPLPELPMPEVPGLPALPPVPGVPGVPAAP >Dexi2B01G0019510.1:cds pep primary_assembly:Fonio_CM05836:2B:29639387:29640117:-1 gene:Dexi2B01G0019510 transcript:Dexi2B01G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRSAVLFAATFATVLLLHAASQSSSSSSPAVYDVGDEMGWTVPPPGATDALNAWAARHRFVAGDSLYFNCGGSNDSVLLVSHGDYERCSCSTSGPPSLLPGGSGIVVTLDRPGLFYFIGGEPARCEAGQRMAVRVVDDDARSPSLAGGAPAPAKQPFDDAPVTEHRGLTLAQKQFAAAAIGFGAGFALVFFIVWLCVCCSSLIN >Dexi5B01G0039480.1:cds pep primary_assembly:Fonio_CM05836:5B:38287449:38287874:1 gene:Dexi5B01G0039480 transcript:Dexi5B01G0039480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSPTYTHPDTTTTVNVKASTSTCTCTEKEKEKEKEKEKEKEKEKEKEKKISMAPQTKSMVALLVAALVVAAASLPAVTAYGCYDDCYERCSNGKEDPACTKMCNQACGPVDLGAAAINGIATAMKPPEGAAAPAPAKA >Dexi9B01G0040500.1:cds pep primary_assembly:Fonio_CM05836:9B:41018125:41020403:-1 gene:Dexi9B01G0040500 transcript:Dexi9B01G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCHPSEVYELFVRHMNTPRSVTDRSATRRVVVDNGVCATATLVQVHSARKHGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADDDALLARLESSLSADALPPRTPPAAGMAAVAGGAHTLLELVGADRPGLLSEVFAVLHDLRCGIVDARAWTHGGRVAALVFVRDEETGKPIDDASRVRRVESRLRHVLRGGARGARTILLADAEAVNLDRRLHQLLNEDGEAESPAGQAEQPTTTAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGQPISSAAERRRVIQCLQAAIERRASEGVRLELRITDRRGLLAYVTRVFRENSLSVTHAEITTRGDMAMNVFHVTDVAGRPADPKTIDDVIQRIGTESLRVDEERWPRLCSTEGDAGRSGGGAGIFSLGSLVKKNLASLGLIRSCS >Dexi1B01G0024140.1:cds pep primary_assembly:Fonio_CM05836:1B:29576568:29576828:-1 gene:Dexi1B01G0024140 transcript:Dexi1B01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAARVLAALLLSTFAALRPRPTRRRVPVEAAEKERDADGRTEAEGRRPVPASGDAVLASTAMVSILPTSPCKKEHEDYEDWKR >Dexi7B01G0024570.1:cds pep primary_assembly:Fonio_CM05836:7B:28888140:28890038:1 gene:Dexi7B01G0024570 transcript:Dexi7B01G0024570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKASKDYADFLDKVKRTIYLDQLSPQVTTQVIKAALAQCANVVNVEFIVNYTIPYDIPSAALVELEDEMQAKAVVELTTDFPFIIGGMPRPVKATHAKAEMFPDRPPCPGIKKDFRWIKLGDDEFDSMKKQKILAKRQESENMALIKMQLEEEKELAKQQQEALDANCKKYDMLEVVVQNGGLRNLAHCYGVNLDD >Dexi4A01G0015010.1:cds pep primary_assembly:Fonio_CM05836:4A:17554154:17555740:-1 gene:Dexi4A01G0015010 transcript:Dexi4A01G0015010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTLAPVLIFTAVCCYAIILSHASPDTDFNQCLSASIPSQLVHAQNSSSFTPLLVSSIRNPLFATPTTIRPLWIITPTNASHVQATVVCGHRHGVRLRVRSGGHDYEGLSYRSVLPEAFAVVDLSNLRTIRIDRQNSTASYEAGKVWGEKYFRDNYRRLAAAKDEIDPDDYFRNEQSIPPLGLGNK >Dexi1B01G0022950.1:cds pep primary_assembly:Fonio_CM05836:1B:28612651:28613800:-1 gene:Dexi1B01G0022950 transcript:Dexi1B01G0022950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRNEIIGFVTTRMITAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDSYRNLGIASSLVREVVKYAASISNCRGT >Dexi9B01G0047860.1:cds pep primary_assembly:Fonio_CM05836:9B:46857127:46859309:-1 gene:Dexi9B01G0047860 transcript:Dexi9B01G0047860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGDAHAAAMMHHVQQLGVSLPMPTSFAPDPTGTKPRPSGLPPTPPSSSAFGGQRPTAPQGDVCMEPADATRIKPPHHRRSRSDVPFGYFPPGPHHHLPPPKVEASWSAHHNGGGGGDADDLFNAYLNLDGLDGLNSSDDRHDDGDSRGSSVKTNGADSSENESEECATDSRAGIRHLWGDASAAADRRGEGLKRNAVGEPASAPMARHARSLSMDSLIGKLNFSAGAAENGIMPGPNRFSLEFGSGEFTPVEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGIATQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATAELGDSCSSNNLAQQLQLNAQDQMFQLHQKQQQATPIPFYQLQQAQQNGTGKNHESKE >DexiUA01G0007820.1:cds pep primary_assembly:Fonio_CM05836:UA:14707502:14709303:1 gene:DexiUA01G0007820 transcript:DexiUA01G0007820.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDIFTAVDIVSAIHTDHDSITRASTDFGHIVEAIPNGVFHPTSPADIAALIWLSISQSKPFTVAPRGQGHSSSGQALASGGIVIDMRSMGRHDHGYRVNMSPNELWVDVGAEQLWIDVLHATLKHGLTPRIWTDYLRITVGGTLSNGGIGGQAFRYGPQTSNVHDLDVVTGMGKMITCSPDKHSDLFFTALGGLGQFGVMTRARIALEHAPKRVLWVRIAYSDVESFTSDQELLISKRSSGSGFDYIEGQVQLNRTLTEGRRSSSFFSASELDQLAKLALRTGSSTIYYTEGAMYYNDDNASSVNQKLQRLLDELSFAPGFTFVRDVSYVEFLDRVGREEQKLRSAGVWDVPHPWLNLFVPRSRILDFDAGVFKGILKDTKPVGLILLYPMNKDRWDDRMTTATPDDDVFYAVGLLRSAVAAGDLERLERENAAVLDFCDQESVGCKQYLPHHASQDGWRRHFGEKWDRIATLKRKYDPLAILSPGQGIFPAAAMTGGDKADSDSL >Dexi9A01G0047090.1:cds pep primary_assembly:Fonio_CM05836:9A:50299735:50303315:1 gene:Dexi9A01G0047090 transcript:Dexi9A01G0047090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDSSRGRSKQEIEENVDARSSWKEEHDVGHQDDDRMTTPSNDRNESRRNADRSSGLPRSADEEAYNVRRDSRSPKVPRRSPDDSRDRDYDRRREDRNDWEPSRRFSSDASARSSERKEGSREKQGQRDQEEKASVRRTDEVYADKARDLEGSKDYGRNSQLGDPKEANDSEWRNAQERLDGGSFHGRAGYRRDARGRSESVRGPLTYGNRYDNSDSIEIRPNSNLNFGREGSVSGRRFEVGAHRDSVPGGTDDKSTDHPESDPSGSTNMVSSFPQQGPKGDRPSRGGRGRPNGRDSQRIGVPMPIMPPPFGHLGLPPGPMQHMGPNIPHSPGPPLLPGVFMPPFPGPLVWPGARGVDVNMLSVPPNLPIPPPVGAEHRFAPNVGAGPGHNIHLNQMDTGLGAPGDVSGLGYNHMGPQGRDMLHDKPGWTPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYAHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKNLSYSNFNKEAYIRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPAKN >Dexi9B01G0041760.1:cds pep primary_assembly:Fonio_CM05836:9B:42167616:42168945:-1 gene:Dexi9B01G0041760 transcript:Dexi9B01G0041760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGGIFDPSKFDAIFSKYGRTHPNALTKDELKSMLKGNRNMYDFLGWITAAGEWNLLYSVAKDKDGLLQRETVRGLFDGSLFERLQDSKKSS >Dexi9A01G0028860.1:cds pep primary_assembly:Fonio_CM05836:9A:33592416:33593258:1 gene:Dexi9A01G0028860 transcript:Dexi9A01G0028860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLFHEHLELIRAHLLDDRHDSYEHPASSEDSVSSSPSPSPPAGRRPLPALSVALPPRPPQQLWAAPPPQVHQQQRYCPAEPEEEEEEDFRRYRGVRQRPWGKYAAEIRDPARKGARVWLGTYDTAVDAARAYDRAAFHLRGSKAILNFPNEVAFGTAAARFGAPPAAAAAPYPNAAATAGCSSSNKRPRSPEREVKEEAEDDGCMFREVKKKRVIQEPAASCRDWEGMAMAPTAAAASGGADFFWEEELLKGAICSLPPLSPLSPHPHHLVFPQLSVS >Dexi5B01G0011100.1:cds pep primary_assembly:Fonio_CM05836:5B:7830636:7831212:1 gene:Dexi5B01G0011100 transcript:Dexi5B01G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVHITCALLLIVLLGQGQEGIVGAVACPQYCLEVDYVTCPSSGAKKLPARCNCCMTPKNCTLHLSDGTQMAC >Dexi7B01G0016030.1:cds pep primary_assembly:Fonio_CM05836:7B:22047221:22048958:1 gene:Dexi7B01G0016030 transcript:Dexi7B01G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTTFSLHLLPAEDDGGAGAVSGKAGPPTPCSPDELATMAKLLEGQEIPEAKIWLSTGLSAYLFLYTSILGYRPGKAVVTSDLPIGAGLGSSAAFCVSMSGALLTAAGAVGIGVGSAAGEWELFGKDDLELVNQWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGELTNLKSRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMASVFHAVNSISEELSSIVELPAEDEVAITSKEDKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKYSLVSKLTGAGGGGCVLTLIPTRILFYIP >Dexi8A01G0005650.1:cds pep primary_assembly:Fonio_CM05836:8A:5363614:5365149:-1 gene:Dexi8A01G0005650 transcript:Dexi8A01G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDNVTVHVEWLARRLTQQQEDAAATEQHRVMLSTHRVSRVPDHVRIRNPDAYTPGLVTIGPLHAEDGLGRLRPGKRLKMAYLHSLISRGHPDPSSHLAVIQGYVRLVAAREREARAMYAAEDVEEISAEDFVQMLVLDGCFIIEHLVNVATGREEQTLHATPYGPAQLSVDIVLAENQIPFFVLVDLIGSTRLPEFDTTGYQPPVLLMKLVLYYLAGEKGSDRSDVLPPANGVCHILHLLHQVVTSARTTWQPPPRIQDSTMLKLARQAGRFPLSLPLQLMVPLLYPILPDECKWSARYGPESVPSASDVKGMLLRLEKTHGGGGGGVKSSDGAGIVSVLGPVPLAVKLDQHGVLRLAQLKIEFRTMPLLLNLMAFERYFCEMQAGDVLAYTWFMAKMVPSAEDAAELVAAEVLRGSAVGNESNADVVRFFRDVGAACEGSGQRELEKSYLRETLLLFEERTQHAKRVADVKRYYVTVPWRLITAFVTVITTVTSILQTLASFKQKPG >Dexi7B01G0003500.1:cds pep primary_assembly:Fonio_CM05836:7B:8398981:8405545:1 gene:Dexi7B01G0003500 transcript:Dexi7B01G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENTSMFLIFILTMIALPLVPYTIMRLCRAASEKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTIILLWIVMIFLVYYIKHASREVQVFEPFSILGLEPGASESEIKKSYRRLSIQYHPDKNPDPEAHNFFVEYISKAYQALTDPVSRENYEKYGHPDGRQGLQMGIALPKFLLNIDGASGGIMLLGIVGFCILKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTRESFVLTPALLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPATGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPYFTEATVKKIARKKIRAFQELCDMSVEDRAALLTQVAGLSEDQARDVDLVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLIAALPHCPNFPFHKEENFWLLLADAASNDVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGIAVREAVDKVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGVVAAAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKNKGKGKGKVANGAAHQEADSDIDSGSDE >Dexi8B01G0006630.1:cds pep primary_assembly:Fonio_CM05836:8B:7774478:7776877:-1 gene:Dexi8B01G0006630 transcript:Dexi8B01G0006630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTVRFLCSVVAAVARLIRELRRRKAIHMASSAAASFPSPAATTPCPVGLISSFTPLAPRRKKAAAVQKQPLAVGPPPALLASGGGDVEEQAEGRRTKKEAWAGRRRPARLVIPVADDAGEVAAGWGAAAAAGKEADVVVKGEGFCVASRAGPRHAMEDAYSVVTHQNDGDPQLAFYGVFDGHGGRAAVDFVSRRLGENVVSAVLAAATHEDEASPAAEEEDAVSAAIRAAYLATDSELLAQHQQGVGGGGACAATAVVKGGDLYVAHVGDCRVVLSHGGGRAAALTADHTCAVESERQRIERAGGYVCSSSSGVWRVQGSLAVSRSFGDAGLKQWIVAEAAVTKVALAGAGCEFLVVASDGLWDKVGNQEAIDVVSRNRANGSVELVDLARRRGSRDDVTVMVVDLEWFVR >Dexi9B01G0000100.1:cds pep primary_assembly:Fonio_CM05836:9B:108838:111369:-1 gene:Dexi9B01G0000100 transcript:Dexi9B01G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVLRHQRRPASSWAAAVADHACSGRHAAALTVFRRVLRAHPAVATSDQLAYAALLRCHDRRLAYQIHAQVCRRGLAASNPVLACSLLAFYADCVDTDSATRVFDEMSHPDAVSYTAMISALLRAGECHRAFALYPRMLPLSAPTQHTFSRLLAACASMRLRRYGTQLHAQLLRWGCWGPHLSLVLKTALLHMYAACGAMASARTVLHATPETDVVLWTAIIAAYSRGGQLQPALRAFRDMERAAVPPNAFTYAALIAACSAARSLHIGRLLHARLFKFHLEHDTSACNALLDLYSKCSTRFLDLLHAFCAVGTPNVVSWTAFIAGLVRHGRDQEAFAAFARMRAAGVQPNSFTVSTLLKGCTSAQACLLAAKIHAYVLKTSFESLDAAVGNSLVDVYARSARMDDAWDVATTMSFVRDKFTYTSLAKGLNQMGLYHRALEMILRMFYEEVDIDGLSLACFLSAAANLASMEAGKQLHCCAVKLGLSDEVSVANSLIDMYSRCKYLEDALSAFWSIREPSVVSWNAIISGLASNGCYTKALSVFEDMILAGAQPDGVTFSVSNCSISVVSLLPASHHATPPAFQFGRVALRDAEEEEPMAALSKLSRCVRHAGEVEGRAGEAEDADDGGDSKRSTV >Dexi5B01G0013340.1:cds pep primary_assembly:Fonio_CM05836:5B:9455569:9458315:1 gene:Dexi5B01G0013340 transcript:Dexi5B01G0013340.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANSFLFTEYKYLAAFTATFAVVIFLLLGSVHRFSTGSQPCQHTTIGRTQTCKPALANAAFTAAAFLLGAATSAVSVHLAMRIATYANARATLEARRGIAAAFAMAFRSGAAAGFLMASLVLLVLYLTVKAFAGVYYGDDWEGLYGSVVGYGLGASSAAMFGRVAGGIYTKAVVLGADLVGKVERDVPEDDPRNPAVIAGMVGDNVGGIAGVGSDLLGSYAESTCAAMFAASISSSSGGDFPAPAAVACYPLLIGAAGLVVCLVTTLIATAEVFCSFKAETVRGVARALTVLMTVAALAVSFVALPANFTVYDFGQVKQVKSWHMFFCVAIGLWAGLAIGISTDYFTSNTYSPVQDVADSCRTGAATNVIFGLALGYKSVIAALGMLSTVATRLAIVAYGPISDNAAGIAKMAGMSRRIRQRTAAGNTNAAIGKGFASGSAAMVSLALLGAFVSRAGVTDASVVNPKVSVGLLVGAALPYWLSAMTSVGIVALKVVEEVRRQFNSIPGLMAGCAVPDYARCVGFATDASIREMVWPGALMLLAPLVAGTFFGVTTLAGMLVGAMVSGVQIAISGSNSGGAWGNAKKYIEAGESDHAMSLGPKGSDPHKAAVIGDNIGDPLKDTSGPSINILIKLMAVESLVFAPFFAAHGGLVLK >Dexi4B01G0009780.1:cds pep primary_assembly:Fonio_CM05836:4B:7102940:7105257:-1 gene:Dexi4B01G0009780 transcript:Dexi4B01G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLGPVAVTHLLQHTLRSLCTGGDSPQWVYAVFWRILPRNYPPPKCVSIVFLLSLSLSLSLLSSWLLLCPAANARSRQQPRLSWDLPGAAYDRTRGNRRNWILAWEDGFCNFAAAAAATPAASGQEAVAYAGDCEAAVQEAVVKHQNQQQPQGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEHEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVMLRRKFGYLESIPGVLLPHPSSAGVVFPPSGVGCPPDIAAAAWPPGLMPPPPVGPPLELYDPYGAAAGPAASMHIMPSMSSLEALLSKLPSVVPPPQQTTTQLPTAGGSSVATPPANKEETGDEYVACHGMDDVASNGAGGASASAAAVTAPVSSYFVNVGSSSNPGEGF >Dexi1B01G0009300.1:cds pep primary_assembly:Fonio_CM05836:1B:8350007:8352593:-1 gene:Dexi1B01G0009300 transcript:Dexi1B01G0009300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAGRGGAKGGKGEGSLAYRAWRQYLLQLQQHPLRTKMITAGCLAGVSDSVAQKLSGYQKIEKRRLFLKMLFGFAYGGPFGHYLHKILDYIFKGKKDTKTIAKKVLLEQVTSSPLNNVLFLFYYAYVVERRPLKEVKVRVQKQYPSVQLSAWMFWPIVGWINHQYMPLQFRVIFHSLVACCWGIFLNLRARAMSLKQA >Dexi9B01G0032470.1:cds pep primary_assembly:Fonio_CM05836:9B:34706200:34707686:1 gene:Dexi9B01G0032470 transcript:Dexi9B01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTHIASDIFNLMGYTRPLGMATATPAMTSWWCSHCGMSPAAPPTPGSSSAVRCAFCHRLTRVEQRHRGVAGDTTLAATSPPRAAVVSAALQIPASYPRISGNKRALLVGVSYRGTPHELKGTVNDVREMRRLLCDKFGFPNDSILELTENESDPSRVPTRQYLLAAMRWLVEGTTSGDSLVFHFSGHGVQKLDMNNDELDGYNEALCPVDFERSGKILDDEINETIVRPLGRGVKLHAIVDTCHSGTILDLPYLCCLSRTGYWQWEEHCRPGGERKRPSGGIAISISGCSDNQKSADASGFSESSASIGAMTDSFIKAVEAEPGTTYGRLLSAMRARIRDGQGSRSRLPGRLGSFVRWMIPSSSLQRGLTSTGSHSSSELFVVVDY >Dexi3B01G0023360.1:cds pep primary_assembly:Fonio_CM05836:3B:18159571:18161426:1 gene:Dexi3B01G0023360 transcript:Dexi3B01G0023360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTIAGLYGLTGHHRGKSQSTVHELRDTDIIIPESHWANLPPELLRDVIRRLETSESTWPNRKNVVSCAAVCRAWREMCREIVLSPEFCGKLTFPVSLKQPGYRDGMIQCFIKRDKSKSTYHLYLCLSTAVLTENGKFLLSAKRNRKTTCTEYVISMDADNISRSSSTYIGKIRSNFLGTKFMIYDTQPPYNGGLVPHAGRTSRGFNSKKVSPKVPTGSYNIAQVAYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSVRDFSSARFSDIAGGTIAGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLTAASSQPPAAGAPTAPQPAPPDQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi2B01G0029750.1:cds pep primary_assembly:Fonio_CM05836:2B:38098884:38103061:1 gene:Dexi2B01G0029750 transcript:Dexi2B01G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRAARLAAHPPGPRAPVRLPFASSSTAPRRAGWWCWCPRDLRADSSGGASGGGTRAFPFPGRRCCTRPALAHRNRSPAANLGLPPSQRPRLPRSPAARIVTRVTGDGGGCEGRPFVECAGGRPIQELAGGQSRHVSASRPLKKNSGTLFKYFFPSLPCQGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASLISPYRRDREACRALLSDGSFVEVFLNMSLELCEARDPKGLYKLAREGKIKGFTGIDDPYEAPLNCEIEIKEVDGACPSPSDMAGQVVTYLEEKGFLHE >Dexi4A01G0007970.1:cds pep primary_assembly:Fonio_CM05836:4A:5988949:5992133:1 gene:Dexi4A01G0007970 transcript:Dexi4A01G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLPPATALRGRAAAAVRWCTCRRVAVAVCLGNLVAALLVARALYAPGTFTSSPRRGEVKCSKEQMRWVEESIRIRRAAEPVELIEAVKKLRKAFEREAKRRKELPLELKQKVSHEILQRLRDLGENRNTTQQRVLRLECRAALVRHHLFFISTNIKSNLPEAIESWRVEMLKSIRSSSTHNLSNFSLTSEESIPVIQNLGILKRALEFNWRMLLEDIGLWIPPTVYHIEHDDKLENELEGSTVHDSNLVDNVLWKDNLTVTKLCEEEIIPGPPLPPECNAELHTDYGGTAVRWGLTHHKESAADCCQACIDQAKRAKPGALRCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSEPYRDSHPTAPVVVPWMSGVISP >Dexi1B01G0015850.1:cds pep primary_assembly:Fonio_CM05836:1B:22381861:22383860:1 gene:Dexi1B01G0015850 transcript:Dexi1B01G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYFDPEYENFNQRINPPRVCIDNTTCTDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKITDDKTIKYIEKALGPESNLLGAKGNISPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDGDPCRVSRVETRLRHVLRGYGHGGGGGGDDVDGDRGALANFAVGSATHHVDRRLHQLMHADIDVECDGDDTSAVAVANAGEGDRPVVTVEHCEEKSYSVVNVKCRDRSKLLFDIVCTLTDMHYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAERVIRCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQATNVFYVRDPSGQPVDMKTIEGLRGRVGQTVMLNAKSVPATVARAPEPGSGGMARTGFFSFGNLFAKLRA >Dexi5B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:5B:6937834:6938085:-1 gene:Dexi5B01G0009960 transcript:Dexi5B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTAIATMHRPAALFLLLAITCLFFLPTDAQPQPTPAGNAASASCIPHERDALLAFKHGVTSDPAGLLSSWQSQGGGHGDLD >Dexi2B01G0030720.1:cds pep primary_assembly:Fonio_CM05836:2B:38982544:38986488:1 gene:Dexi2B01G0030720 transcript:Dexi2B01G0030720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATQVGTYFLRNYYNLLQQNPDVVHQFYSEASTMVRVDDLAGTNTTVNNMMDIHSLIMSLNFTQIEIKTANFVNSWGDGVLVMVSGLVQTKEYSYQRKFIQMFFLAPQEKGYFVLNDYFHFIDQEQVQPATLISQDEYDSNLASSAAVETVPEYINDDENQTTQITSEGHDVVDNYAYSEPPQQVVSSDNWGEEPLPEEQPSSFSNEIAVAPEEPVQPPPVPHAHMEEPVGEPVKKTYASILKTAKAPPAFPVAQQVPVSKPSHSATESNQPQHSVMSSSTASEKPRSEVYGEVAAHDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPEGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGYARGGGDEYNGGNRGRCR >Dexi5B01G0028920.1:cds pep primary_assembly:Fonio_CM05836:5B:30191338:30192721:1 gene:Dexi5B01G0028920 transcript:Dexi5B01G0028920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPFFYFGNDDDDEDYLMNLGLILPPTPPQPPAAPPPGSAFEAYRRRAPATLLETGRRGRQYNSSGGEGMNVHRRMFGYLRRIDHRHHDAAGFAVGPATAAAEEATTLASSSPQQQQQAPRSSRFRHITRERLRRERLSQGFADLHALLPPGASSRGGKNNIVGAAAGYIRELEGRKGWLRARNQELLELEARRRGSGNMVVKVRAESNDHATAVDVLEAVLRRLKAMEELRVTAIRSCFCGSGMWMDVGVEGGRQVSTREVDKAITNALTELAGKELGKQGPGSRKPSFSCQVESGVPMS >Dexi7B01G0009040.1:cds pep primary_assembly:Fonio_CM05836:7B:16737482:16739386:1 gene:Dexi7B01G0009040 transcript:Dexi7B01G0009040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSSREARAVALREGRRAECGAAGKKGLEEGGSAGVADWMKTHHESDLSESDYGMRIDLITRVFGANAAEDFFEKLPPRAKSLEAYTALLHSYARSKMTDKAERLFGRMKDANLSMDVLVYNEMMTLYISVGELDKVQVVAEELKRQNVSPDLFTYNLRVSAAAASMDLEGFKGILDEMSKDPNSKEGWTLYRKLAAIYVDASQLVGSGNSLVEAEAKISQREWITYDFLVLLHAGLGNLERIKFIWKSMLMTSQRMTSRNYICVISSYLMCERLKDAGEIVDQWQRSKAPEFDISACNRLFDALLNAGLTDTAESFRELMLQKSCILTSRATVPE >Dexi7A01G0005440.1:cds pep primary_assembly:Fonio_CM05836:7A:16709573:16713634:1 gene:Dexi7A01G0005440 transcript:Dexi7A01G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHVRTKQSKWLDNNLKDMEDRVKCILFLLGEEADSFAKRAEMYYTRRPEVINSVEEAYRAYRALAERYDHMSGELHKANHTIATAFPDQIQYSLLEEDDENLPKAFTTVDPRKIHKSTVEGLMKKKHGEKSGLKDGAKKFAAPLNKDNAKDEIGRLQKEILVLQTEKEFVKSSYESGIAKYWDLEKRINEMQEEVCYFQDEYNESAVIEDDEARALMTATALKSCEDAIIKMQEQQKSLCSQAMVEFERVKVSREKLEGIMRGHGKSLSYSGNSAYENIYNDTGPRKDELFSMKQEKIELQELVEKIKRYFEMNSDLSVVEIAEKIDELVNKVVDLELVTSTQTAQINRLCVENSELEKSLHKLEEEKTEQSCLDELNDKLKEVEEELIRVHNLERSYCAEERIVHTNFTETINSFCDTSCMLTDEATSPNDTEPSDVHGKTNTSEDPEMDEAERKPYVDGFLGQPDTPESAICSDGIQSSSGHHETEVEKHCHVDKTEGVWHHEFEGTLNAATSVNVGKTETAYITLSDDNNNGISEYVHEFVCDTESSVQPYIVDSLKSDSLEGLHHISSNISGENLDQKDNMIYYSTPYESISEGSSEQKIEIKELEDSYVTKSPANSQKTADVGYQDDSMINLQQLLMNGLQDKEKVLLAEYTSILRKYKNAKKMLSEVETKNQGSLNEMRTMISELGCANGMKDAEIQSLRKLLNSLTYRDAPHSGYRMNSTMSLSEKDGVIRGHRRTPSILSVHQRAQSFSSIPRRIRNSSSLKNIPSIDSHKETDASQGVIGNPESVISNPESVIIEEITTTNVAEMEKASPIEEKFRRDFDALLEDNLAFLMKFSMSFQQIQGFQTKYNILQVEVHKQTNEEKANKDHTNEHPAKSEIEEIEKRLRELKIELQVWLEQNTMLRGELECKFDSLCNTQAEIEEAMKIDEDTKDGAVFTSYQVATFQGEILNMKRENKKVYDELQACLDHVKGLQIEIEKVLAKILKTASLSRPKSSNTWRNAPSKSRVPLRMFLFPAKKKKSSMFACVNPALLSKQNSDMAFFTKMS >Dexi6B01G0002620.1:cds pep primary_assembly:Fonio_CM05836:6B:2278320:2280927:-1 gene:Dexi6B01G0002620 transcript:Dexi6B01G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLDVTPDDANGSTAVQIEPSCNPDALCHDFDSVDSIYEEVIAELRISKFVTDTTFMGVLVDVEQEVARKMASKDAEIASLKQNLQQLESSSLILHEGRDRRYDEFYSLREQLDTISKSLLSSEWGFLGSHSEGSEDVSKQRSKEKSRNGVAKKICSEEEVFADPKLLKHMDNDALIAYLNKSMNEMKRQHDSVVHEQTEEIFKLKRELLRKEGSNPSHLRNNKELEHMRKKIGEVLSKLDVLLLENKRTFVRGKADTFPGQHDKSNAVDSDVIRLQSGANDNEEPWSIPTQASHFASLESDHKKHIIKLESDIEDASTAVTIREDVEKIVIKEFFSEMEIRLHGYEMELDMKHEVRSVIQNEAIAQAMFDSMLLKCKEEKDCAEEESKQKQKIERLKRIVDSFTEVVREKEEFVSQIGLRAMEAHVGSLCHEIDFLRDKVGKQDSYISEKNREFDIIVGRLCVLKEQCKHLVKEGNLLRKKALRYKEISETRGANLQKAELEVMETLAMIKKHISMAK >Dexi2B01G0023810.1:cds pep primary_assembly:Fonio_CM05836:2B:33301837:33303577:1 gene:Dexi2B01G0023810 transcript:Dexi2B01G0023810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFPAMCASDDRLVPGKPLLPGTTVISDGGDFALGFFSPSNSTPERLYLGIWYNNIPRFTVVWVANRATPAIASSAPSLVLTNSSDLLLTDVNAFIWNSSLPEWRSTVWTGFTVSSQHFQANTSFMVYMAYVNTVDEMSVIFTVSDGAPPIRSVMSYSGKVESSVWNRNSSEWAILVVSPDVQCSRYGYCGLSGYCDYTDATPTCKCFDGFEPVDKEEWSNAKFSRGCQRKEALQCSDGFIALPDMKVPDNFVRIGRKTLKECAAECSANCSCVAYAYATLNGSTENGDGTRCLVWIGDHQLLDTQKMGVRLHNTAGAESQETLYLRVAGLSGY >Dexi9A01G0000510.2:cds pep primary_assembly:Fonio_CM05836:9A:304583:307741:1 gene:Dexi9A01G0000510 transcript:Dexi9A01G0000510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPVAPVDVDHGRVAPLVGVGDVRAPWVLHLVEQLLGLGDQPHVGAHAEQRVAEDVVGGQLHLPHPVPELERVAAPARPPVDLGERGERAGVGLDALVGHFFEQAAREAGLAGLAEGLEQRVVDEDVGLEADLPHLVEDELDAFVVAGLPEHLGEDGEGDVVGLPAAAPGAVEEREHLLLHVELGVDVEDGGVGDLVGEDAAVGGIHALEPLDGLGELSAAARGGDERGVGDVVEGHAGADHVEREALGVRPLARGAERLEGDVEEDGVEREGGERAEPGEEGERLAPGRVEVVEEEERVGGGGRGDLRVREEGVEGGGEVGVLGQRADGADERLQRRGGRVRGGGAAAVAAEREDGGLGAGGVDPGGLRGGAVGACGGGRGLVVEERAGERLELVGEGARGGGGGGADDGGPGSLLGVEAAPPRRGEEEEGGGGRWCRQWRHGRGYG >Dexi9A01G0000510.1:cds pep primary_assembly:Fonio_CM05836:9A:304583:307677:1 gene:Dexi9A01G0000510 transcript:Dexi9A01G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPVAPVDVDHGRVAPLVGVGDVRAPWVLHLVEQLLGLGDQPHVGAHAEQRVAEDVVGGQLHLPHPVPELERVAAPARPPVDLGERGERAGVGLDALVGHFFEQAAREAGLAGLAEGLEQRVVDEDVGLEADLPHLVEDELDAFVVAGLPEHLGEDGEGDVVGLPAAAPGAVEEREHLLLHVELGVDVEDGGVGDLVGEDAAVGGIHALEPLDGLGELSAAARGGDERGVGDVVEGHAGADHVEREALGVRPLARGAERLEGDVEEDGVEREGGERAEPGEEGERLAPGRVEVVEEEERVGGGGRGDLRVREEGVEGGGEVGVLGQRADGADERLQRRGGRVRGGGAAAVAAEREDGGLGAGGVDPGVDPGGLRGGAVGACGGGRGLVVEERAGERLELVGEGARGGGGGGADDGGPGSLLGVEAAPPRRGEEEEGGGGRWCRQWRHGRGYG >Dexi9A01G0039470.1:cds pep primary_assembly:Fonio_CM05836:9A:43435516:43435812:-1 gene:Dexi9A01G0039470 transcript:Dexi9A01G0039470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDSVASEASLKPPPNKLSSERPSQCGNGESPLLKTPSSAAHGNDDPVREIRRRLPRESTLLHATRSSLRRRAGGGCCSSPAKTRGAAVWWCAQW >Dexi2A01G0019670.1:cds pep primary_assembly:Fonio_CM05836:2A:31849634:31850317:1 gene:Dexi2A01G0019670 transcript:Dexi2A01G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQCAMAASMALCSSSMVLSSDDVDTFTDPPTDRCPLFAGDTRSDAGADAICCGPRRRLHPSPAALGPCPVNECGLRRRCSRRLSLSACREKDARSCSTMDAMCLFTHCPTSSALLALSFLLSSAACVFPAAASLALLLVVVFSFLHTLPRRPEKKGEALLLLALCSLSLSLSLLLLVVAWWLRSLPTRPTTGVRDMESGRRSPPPPKGEGKEK >Dexi6B01G0018950.1:cds pep primary_assembly:Fonio_CM05836:6B:25867374:25868585:1 gene:Dexi6B01G0018950 transcript:Dexi6B01G0018950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLIGLDCGESAEGFQGSDLEAEDVREWLAERKPLWDENRAFKEDFELASDHITWTITVAELLAEWGRQLAIAMPTLEFQPGFSFPYLLVTAPATCTISSTSPDHSPCVQLQTQPESSHPSGTGSAEAATAAPEGSPSTPADSGGTRNTFTYDPRPLPFTLSASAAVLVLLAVSVLSFRLNASRPPAPVHTTTGARRRRVGWRH >Dexi6B01G0006100.1:cds pep primary_assembly:Fonio_CM05836:6B:5671416:5672123:-1 gene:Dexi6B01G0006100 transcript:Dexi6B01G0006100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQYSVATVKKLVTNGDTLAPSAGTIIPPASQDAAVDPTIQPQSSDQGQVVSHQTQQPHDAHKQQQLQDFWSGQLAEIKQTTEFKTHSLPLARIKKIMKDDSEVPRIAGEAPMLLAKASEMFIQELTLRAWLHTEEDKRRTLQKNDVTAALAGTEVLDFLVDIGSSDKPKDGGVVLPPPPTTTVQEEDDDPYRDYCWDDYSPPWSPDGSPGPDLRNVTCDDPRYYTYIHDYYSL >Dexi3A01G0019130.1:cds pep primary_assembly:Fonio_CM05836:3A:14952224:14955005:1 gene:Dexi3A01G0019130 transcript:Dexi3A01G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSHHRRAPLRGGGRRRRGGDICIAELASRLSGCLLLLALVGAAAVLCLSSSSSRARSRRHHDEEIQPGGSRGAPRVTIFSAPRPPPEGSPARQELAVRSWLALPGNVSVVLLGAHASSLALDGRIGRRVTVDTAIDSAFTGTPFFHSMVARAQAASDSDICFLVDAETILLPEIVKALAHFSKVDRDWFLVAMSRKVTEFHYQLADNGSHWVQEDGKEVSFKKGLPVFRDPSSPKNVSFDDCHFGTKCFQQVTKVKSRVVLDILKLGYNVLLSDVDVYWFENPMPFLYSLGPATLGAQSDEYNTTGPINLPRRLNSGFYFARSDNASITAMQMIVKHASNSGLSEQPSFYDVLCGKNGTNRISDNKCLEPNTNLTVVFLDRDLFPNGAYKGLWEKNDVESTCKELGCFIIHNNWINGRKRKLQRQMSSGLWDYDPTSRLCLQDWSDRGSFRLMGQFHLFEDTDRQ >Dexi5A01G0017720.1:cds pep primary_assembly:Fonio_CM05836:5A:21009091:21009607:-1 gene:Dexi5A01G0017720 transcript:Dexi5A01G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKPLPEFLKEYDLPIGLFPQDATNYELSEDTKLLTVYIASPCEVGYKDSSVLRFSTNVTGYLEKGKLTHVEGLKTKILIWTKVTEVSTEATKVHFAAGMNKTRNRDAYEVVRDGVVVAKF >DexiUA01G0005600.1:cds pep primary_assembly:Fonio_CM05836:UA:10148767:10150909:-1 gene:DexiUA01G0005600 transcript:DexiUA01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHGEHPPPPPQQHDQTLFKILCRADEGYCLSVRHGEVVLAPSNPRDEAQQWYKDMRHATKVKDEEGHPAFVLVNRATGLAIKHSLGQSHPVKLVPYNPEYLDESVLWTESKDVGKGFRCIRMVNNIHLNFDAFHGDKDHGGVHDGTTVVLWEWCKGHNQSWKILPWGDEAYAHAGTGYGGYPGGGGSSQQHGQGPYYPPPPPAHQEPGYGYRPPPGGYAPPPPPQHHHQEPGYGGYRPSPPGYNNLPHVLAAEATVRLYCKANDAYSLTVRNGTVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKVTGEAIKHSLGQSHPVRLVPYNPDYVDESVLWTESRDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTAVVLWEWAKGDNQRWKIR >Dexi7A01G0006950.1:cds pep primary_assembly:Fonio_CM05836:7A:18330887:18331105:1 gene:Dexi7A01G0006950 transcript:Dexi7A01G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEASRNWEMKEEASKQQQPLLLHEEVSKRQKLDGEAKLEEEVSKQQNLEEEVRDEVGSSNHPSFSLVTSG >Dexi7A01G0005370.1:cds pep primary_assembly:Fonio_CM05836:7A:16599396:16604507:-1 gene:Dexi7A01G0005370 transcript:Dexi7A01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGWLTGKVKAVPSGDTLVIMMRDLKEVIPPEKSITLSFIIAPRLAWRHGTDEPFAWDSREFLRKLCIGKDVRFKVDYIIPGTGREFGTVYLDDKNVAGLVVSQGFAKVKKQGNKGEGSPYATEMLILEGIARDQSLGCWSKEHKAVEASVRILPTSSIREASASGVKGFIAEMKGRALNAIVEQVRDGSTLRVYLIPSFHFCQVYVAGVQAPSMGKHASIPNTVPQTKTITGEESNETQAPMMAVAAQKKQHVVSPVAYSEIPPDRFGKEAKHFAETRVLSREVRIVLEGTDSFDNMFGSVYYSDGNNTKDLALELVENVHGFAKYVEWSANMLEVVTKKKLKNGELKAKKERLRIWTGFKPPVTNTKPIRNQNFSGKVIEVVNGYCIIVADDAACGNPLVERRVNISSIRPPKVVDPSGENKTVEHFARAAKEFLRTRLIGKQVPAKRARDFLHLLKRNRRHSAIVEYVFSGHRFKLTIPNETCIIAFAFSGVRCPAKNEPYSDEAIALMRRRILQRDVEIEIEAVDKTGTFLGSLWESKTNMATVLLEAGLAKLSSFGLDRISDSQTLIRAEQSAKKNKLKVWENYNDSELVSQESMTKETYKVIVTEVLGGGKFYVQIVGDHRLAIIQQKLASLELNDQLEAVTAEGPAYILPDTLEGQDNTSEVQAVQNNQVVSLQPRWSSLFKDQLDTLNDIAALETMQDGAKAKAQSEATPFNPSKGDLVLAQFSLDNSWNRAMIVSEYQGGMEREFLVFYIDYGNQEIVPYNCLRPVPIDQSIELIPPLAKLCSLAFIKVPDLMDDLGEQAAEYLSMLLLGKGFTATIEECANVGAKLEGQGTGQMLILTLVDEDDEISINAAMLENGLAHIVRKRWNSRERNLEQFEEYARKEHRGVWSESTLTS >Dexi2B01G0016960.1:cds pep primary_assembly:Fonio_CM05836:2B:27287736:27289639:-1 gene:Dexi2B01G0016960 transcript:Dexi2B01G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSPPTPTSPLALLRQQPWPAAQCISAVATLWVKAAFGQGTMNPMVLVVYRQGIATLVLVPVTVVAKRTRLAEINVGAKGFFLVFVGIFFGYVQNLAHGSNSVYEPLLPRASPGIVVAGDGHDELDSCYHLPHGISRRGPICNSYMDPLTLSTLSCFFSTLQSATLAFFVLPDQSAWKILSLLELSCYIFVVSEFS >DexiUA01G0016760.1:cds pep primary_assembly:Fonio_CM05836:UA:35836449:35836953:1 gene:DexiUA01G0016760 transcript:DexiUA01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQHVHATAGTEGYGNPVAAQPQQHGGQVMEPAAAEEQRSRGILHRSGSSSSSSSSEDDGMGGRRKKGLKEKIKEKLPGKNKDGQQTAAGGTAAYGQQGHAGTAAPAGHATAGTTEGEKKGVMDKIKEKLPGHH >Dexi4A01G0000500.1:cds pep primary_assembly:Fonio_CM05836:4A:337419:339569:1 gene:Dexi4A01G0000500 transcript:Dexi4A01G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHQQQLSFDHGQLHVDATDGMSSSSIAAAAAGGVLAADDTTSGWPQAAAAVSSLSLYNLDDTTPSLMFGHIQQQQLMPAAWSMMSSKYLGPAQELLTEFCSLLEGDLHDKQRRPPPKAGRWDDVETTSASSSGGLWGHPSSLMSSMDLLELERRKTRLLSMVEEVDRRYRRYREQMRSVEASLEAVAGVGAAQVYTRLALGAMSRHFRCLRDALVAQLRRLRRNKQSIIMGDSTTTTTLGATKGDTPRLKVLDQCLRQQPGTIVDNYPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGVSRSQVSNWFINARVRLWKPMIEDMYTEEVKQQSDQASHDQNPTGLGAGGAVKAEQHNTAAMGGQISHFRTNLGNPSTTMTSMNNISSSIIGGGEHLAAILQA >Dexi9A01G0042210.1:cds pep primary_assembly:Fonio_CM05836:9A:45811938:45813231:1 gene:Dexi9A01G0042210 transcript:Dexi9A01G0042210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVHHLDDASLALGLSLGGGGGVASDVARQQSTGARLSREAPSPRALEPSLTLSMPDEATTATGSGGGGGAGGGPARSVSSLSVAGVKRERVEEADGEHASSAAARAHGAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENRRLQRELQELRALKLAPPAMATAAPPHAAGVAPPFYMQLPAATLTLCPSCERLGGPAAAAKADPDRPKAAATHHFFNPFTHSAAC >Dexi7B01G0006320.1:cds pep primary_assembly:Fonio_CM05836:7B:14009562:14012408:-1 gene:Dexi7B01G0006320 transcript:Dexi7B01G0006320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTMPSSIFLSFATALFVVLLILSLKKSKQRHHRRLPPSPPSLPVIGHLHLFKKPLHRSLSALAAAHGPVLLLRFGSRRAVHIADPAAAEECLTTHDATFANRPRLPSARYLSNGYTTLGPSSYGPNWRNLRRIATVEVFSSHRLLGSSDVRAGEIRHMARRLFKAGAGADASSPARADVKAHAFELALNIVARMIAGKRYYGDDDDDEAGPASEEAERFRAMVQEYFKMHGASNLQDFVPMLALVDIGGVNKRAIRLSKARNEWAQRLIDEHRAATVAGRERRKTMVGELLEMQVSDPEAYSDKVIRALCLTGTDTSSGTIEWAMALLLNHPAAMAKARAEIDEVVGSARILEESDLPNLHYLQFVVWETLRLQPIAPLLAPHESSADCSVAGYDVPAGTMLLVNVQAMHRDVRLWGEDAGRFSPERFEGGKSDGKWMLPFGMGRRRCPGEGLAMKVVALALGTLVQCFEWRRVGGEEVDMAEGSGLTTPKAVPLEALYWPRPEMVSALREI >Dexi4B01G0004590.1:cds pep primary_assembly:Fonio_CM05836:4B:3253528:3265505:-1 gene:Dexi4B01G0004590 transcript:Dexi4B01G0004590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVPLFSEAQRSGGEEWVRRCAPALNDAEACTTPPSNGKASAAPARSPEYSPAAGCCFQGGNRSGGGGGARGSSAGPPVSPWISSAPTIPRVYSLMSSRRCTVLALAGNAIAAVAVVCLFLARRSAPRARNITRGLSEKLFVSGVPSFAACLSVVGLTMLVKKRYDGEDVEDYELFFMCSQFIAWISVSIVCVSGAWFEILYNPIMCFCWILKILLEIPHLQYKLTVQKATSSFMEIISFCTAITFGLFVIVAAVIMGQSGNRRKANSIEAPLILSDEKDEYEITNMVLNDSINFISPLLLNKFIKFLQQGSGGMNGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLAERSRFSEGEIQTFMSIDADRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITILLIPVNKWISTRIAGATEKMMKQKDQRYENCYSIAFGFHIICFVLPYSHIAAVLSKTPLHTISCAGELLAHIRTVKMYSWEKLFTERLMERRESEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHTLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSNYLSTPEHHSSELTASDDILTYQFKRYTEAMHNPMAFVLQNVCCSWSSSSVSDPSMVLKDISLQLQKGLFIAIVGEVGSGKSSLLNSVIGETHVVSGSISSCGSIAYVPQVPWILSGSLQDNILLGKEFDPRRYKEVIQACALDVDISAMARGDMSHIGEKGTNLSGGQRARVALARALYQNSDVYLFDDILSAVDSQVASWILENAIMGPQLMQKTRLLSTHNLQAISAADMIVVMANGLVKWFGTLESFLATPYSRISKSDSSSPTSFAVSVKEKAQIVSCELRTDVILEDDSVVAYEEQKDQVEAEARKEGMVELSVYKKYATFAGWSIVILIFLSAFLMQASRNGNDLWLTYWVDTGTGTNNTSFYLIILAMFGIINSLFTLGRAFSFAFGGLRAAIHIHASLLENIINAPVCFFDQNPSGRILNRLSSDLYAVDDSLPFILNIFVANFFSLLGTLVILSYSQVSFLLVLLPLWLIYRKLQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLAKFIQHVTLYQKTSYSELTASLWLSLRLQLLAGFIILFIAMMATVTFHSSSLVNLGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVAEYVGIPKEEPKGSEPAPRNWPTEGKIEFVHVTLRYKSELPPALNDVSFLVESGMQVGIIGRTGAGKSSVLNALFRLVPICNGRILVDGIDVAKVAVRELRAHFAVVPQSPFLFDGSLRENLDPFNKTTDLRVWEVLEKCHMKAEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTASLLQDTISAECKGITVLTIAHRISTVMKMDNILVLDRGELVEEGNPEILMNHKSSRFARFAKASQM >Dexi7B01G0000220.1:cds pep primary_assembly:Fonio_CM05836:7B:816052:818464:1 gene:Dexi7B01G0000220 transcript:Dexi7B01G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFINNNFITVDASMVGNRTWFGGLFNSSGKRRQVSAEKIVLDLNPLQEQRLQKLKERLNVPYDETRPDHQESLRALWNASFPDRELTSLVSAQWKDMGWQGVNPATDFRGCGFISLENLLFFARTYPAPFKRLMLKQKGVRAVWEYPFAVAGINISYMLIQLLELNSARPKSLPGINFIKVLTGRCYFCQIWE >DexiUA01G0023740.1:cds pep primary_assembly:Fonio_CM05836:UA:48505075:48507732:1 gene:DexiUA01G0023740 transcript:DexiUA01G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEMKENGVAVAAGAGEKAAAQPHLTVKRGEATLVKPAEPTPTGEQYYLSNLDQNIAVIVQTVYCYKASGGDKQDDVAAALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGAVFVEADADCDLADVGDLTKPDPAALGQLVFSVPGAKHILEMPPMTAQVTRFRCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGAAHLTVPPFLDRTVLKARDPPAHTFPHHEFAEIPDVSDTAALYASQDLLYRSFCFDPDRLERVRSLAGAGDDGDDHLPPRRFTTFEALSGLVWRARTKALGLAPDQRTKLLFAVDGRRRFSPPLPPGYFGNGIVLTNAIATAGELLSAPVSRAAGMVQEAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDEI >Dexi5B01G0039610.1:cds pep primary_assembly:Fonio_CM05836:5B:38376042:38376595:1 gene:Dexi5B01G0039610 transcript:Dexi5B01G0039610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAKIELLLLGEEHEQALRSDEDLVEEADEAEPDDGRDVDAAERRDDPAGDDEEGLGGRGNSVTGSLGYHDMTMRRMKRKPSADSAGPATPATAFAVAGSRSPNTATACKEEAAWHGSISPPIIIIAAAPTALTV >Dexi5A01G0035880.1:cds pep primary_assembly:Fonio_CM05836:5A:37542746:37551597:-1 gene:Dexi5A01G0035880 transcript:Dexi5A01G0035880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVTLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSVVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKENKVDEINKLLDVEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKILELDENTEKLKAGIQEMDKNISTLAAQKEAKLGGEMKALSDKVDKLSHALIKETSVMNNQEETLKSEEKGVEKILKNIEDMKRSMIERDAAVKDVEDGASDMKRRAEDLTKKLDENEKDYQGVLAGKSNANEKKCLEDQLRDAKAAVGDAESGLKQLTTKISHSEKELKEKKALLVSKRDEATAAENELKARTKDLEAIKSSMASINYDEGQMEALQKDRSMELEIVQKLKDLVRKLSGELANVHFSYRDPERNFDRSKVKGVVARLIRIKDSSTATALEVGPDNVTLALELVGYGDEVKNAVAFVFGSTFVCRNTDAAKEVAFNREVGSTSVTLEGDTYQPSGLLTGGSKGGRGNLLRKLDELATAETDLSNHEKRLSDIEQQVFTECAMSASCVHNDRSKSCQIGALLPLQKRYSELKSQFELKSYDLSLFQKRVEQNEHHKAHESERERLIMEKDAVANDLATLEEQLSTSKAQITSLSEILEKQKDKVTSIKQDYDQAECELNIGRSKLKECDSQINRIAKEQRKLQQQLSDSNVERKKMENEVKRMEVEQKDCSSIVDKLVEKYSWIATEKQMFGKSGTDYDFESCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKAKIKEVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >Dexi4B01G0000120.1:cds pep primary_assembly:Fonio_CM05836:4B:94183:98650:-1 gene:Dexi4B01G0000120 transcript:Dexi4B01G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNPWRVPPPPLLNARAGLGDASLFSTSLPLLPHEKLNFPDSAHGTPSMDDSSAKTKVFDDDLEEKDYKFDFDLRQIDDLLPDEDEFFAGITDEIEPVIHTNNTEELEEFDVFGSGGGMELDLDPLENVTASFANSSIADGTRGNGISPFGVPSTVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRSARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQIGHDLDQEEPRSYRHPHVGSPMANSPPGAPFESHFGFLPESPETSFMKQIRFGNMGNIGTGRNGAGLMLNMAGRASVNPVSSLSGSLTDNSPTNFRPMLSPRLGQALYGNPTYQGPGSFGLDSSIDRGRNRRVDSSALQTDSKRQYQLDLEKISKGDDARTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPTHIISFYQAFNGKKWEKFNSEKVASLAYARIQGRAALISHFQNSSLMNEDKRCRPILFNPNGLESGDQETFPVNGICIHMPVEGGAGDSLDDEDDVKTGGGESSMHMGGSL >Dexi9B01G0005710.1:cds pep primary_assembly:Fonio_CM05836:9B:3364772:3371851:-1 gene:Dexi9B01G0005710 transcript:Dexi9B01G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSQYGGSSASGAGGKIRRRPPTRGASTPYERPPAAAAAHRLAAAAAAASASSGESGGGSGSGGWVSRLVDPASRLISGGAARLFGSMFRKRLAPPPAPSSPPARNNDPTQDLPDSTNIDSPPIQEVGMGKGKNIAAPSNDKALSEVEHLLMRKAFTKVEFDRLTDLLRARIVEPDPPISIVSHKEKNEEGFRIDGIGGSSSHQMTADESPMVKVHSHGASSPAELAKQYMSSRYSREPPSSSLRSRLFLENKGEASNTAYDRRSGPPIVQTPIEFENENPGLPVNGYVTSGLRGRSAIYRMSRSPYFKGLSSSDLNTSSMSQRAQSFHVGGRQVLKRRGGDLENEVGSIGPIRRIRQKTNMMPPFRDVRANPRGKLLTSRTSGSDFTEDSTSIQESPSSKRLLLGTSQSVKPPESHKNTGDGKSTDSVPPIPAQSNKMAEKIFEQLNIIAPSPKEKHSVTGHASNSMSKKPSLQHSGPSSMNDPSSSLKFQDLDGANGPPDPDSNGSLLKKDKLNSVKDGSSKVALSDKPTIFGNSVSASTSRKPSFKMAVMEDLADLDDDLEVAIPSKSPSKIEVETTEPKIDSIRKDQKVGENIFERKVESNLMNKNIAGSPVSEQPVASLSKTASSSGDLLSSNDRGKAVPNSSVDNNSGFVFSSVPPGTRPATSVSATPLASVNDDKKTGASISIFGLKQPITSDLETSTVKNKSTLGQSATKLTTLDSTSPEGGDETRKAEDVSKSLDKVWPSAQSTTVNAPLHFASAPSASPSLSNGFSHSSSPKLPIVTPTDKPTVSSGASTMFAVSSSSLAISSSSPAYNFSSSGSVGVSMVAPAKSDGTAAEVKPTSTLSFGIGGATDGVKSTASDSASKPSSKLLTSPISSSTITAAPTFSPFAASSDAAGSATAAPSNTSTTSFALPSPGNSLFGFSSPAQSTGLSTSSGAGSTSQPSAASPLFGGKPTQSEAPMQQPSQSPKPQFGSPFPSLTPGVGASASGSGSLSFGIGASSTGSGTMSFGVGASSSTPGTSSALAVSAPSSGPGIFAFGAGTSSSGSVTVPFGAGAGGASSGPGTFSFGAGAASSGPGTVSFGAGAASSGPGTVSFGAGTSSSGPGAMSFGAGAASSGPGTVLFGATTSTSGSGFGNSSFGAGSTFANPFNSGSGTGFTFSSPSASASASTAASTSVFASTSAASSTSTFSNPFSSSSSPPSTFTFGQSASSGSVFSFGAQPASTFSSQPSVFSFTSANTGMNASTPQPAFGMTNTNTAFGMGSPGNDQMNEDSMADDTNQAAPSPAPAPIFGSPFGQQNSTPAAPAFGAPAVQPGGAAAPAFGAPAVQPGGVFQFGGQQQAAPQNPSFPAAGSLEFQGGNFSLGSGGGGGDKSNRRIIKPKRTAKKR >Dexi5A01G0004760.1:cds pep primary_assembly:Fonio_CM05836:5A:3603592:3604405:1 gene:Dexi5A01G0004760 transcript:Dexi5A01G0004760.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTVVSKIEDRISMWTFLPKDFGESMNILKYEVNESGYNNYEPQSNSGLDRLVTVLMYLSDVKRGGETAFPRSELKVNQVEQGARSECAGYAVQPVKGSAILIFNLKPDGVIDRDSQYELCSVVEGEEWLAIKHIHLRKTDTPKSSLVSEDECTDEDARCVSWAAGGECDRNPIFMIGSPDYYGTCRKSCRVC >Dexi7B01G0018650.1:cds pep primary_assembly:Fonio_CM05836:7B:24312140:24316009:-1 gene:Dexi7B01G0018650 transcript:Dexi7B01G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQLLQLRQRTVSCKASTAAEPHTSEVTQRAGSSEAEEITRGDRSTGRGASRLAAARIPAPVRAVMAWWRKKVVSPARRAWAAVSTRVRVRNPGSGGSILKLHEDVQTCGYKDVQVMFEILTSELEVASHVPKHHHQRKRPPSPWPSHRSSSMIAAAQ >DexiUA01G0020140.1:cds pep primary_assembly:Fonio_CM05836:UA:42034245:42035187:1 gene:DexiUA01G0020140 transcript:DexiUA01G0020140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYYYGLRNTSAVYSAIFLNLVPIVTFIIAVLIRSEKLALQKWYGRMKFLGALLCMGGTLLVSLLKGRVLHIWPTGLLKGYQHANAASATDAHHNIVVGTLFLCGSCVAYSMWFITQARLAKIFPSKYWTTVLSCLIGSVQCVAVGICLSHERAEWALKWDLQLVTISYSGMFNTGVMFVLISWAISKRGPIYPPMFNSIFLIVSTILDSVLLGTNIFVGT >Dexi5B01G0006330.1:cds pep primary_assembly:Fonio_CM05836:5B:4288611:4290292:1 gene:Dexi5B01G0006330 transcript:Dexi5B01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMPRYDDRYGGNTRLYVGRISSRMRTGDLEDIFSRYGRVRYVDMKHEFAFVEFSDPRDADEARYNLDGREFDGSRIIVEFAKGVPRGPGGSRDMGRGPPPGSGRCFNCGMDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKKLRYLH >Dexi8A01G0004090.1:cds pep primary_assembly:Fonio_CM05836:8A:3225080:3228924:1 gene:Dexi8A01G0004090 transcript:Dexi8A01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAASSAHRVVRPKSRDMPSSDEQDDELARLLSKIESIYEEACDRLAVRGRPVTLARFLDAGVCFGLLDPVTNVMVNTACTSDLRPNLREKVLVVAAVEHELDAMCRRSLDGLVAFLVYFFPYLAEWEAVRYLLLAGADLLAAARLIVGDRGMAARFSPTSPASAPALEAALALAAYVAKHPQPEHLVRVWMSLSSRSHQVLALCREVQHHSPREKLECLRPLLDVPVVPSLDIPWDLAACRPRSIAQVPYEHTRSLRMVLLDTIHGFYLRALVRLPGSELRSRLHRSLLRGGYCYGPMDPCSNIILNTIWYEANFPAEVTPVLDVIGPKSLTRLVSRSFYGLVSFLQTRYHDLSEHQAVQYLASSSGQLSVADPNFFATGYEKAAQQHTCFSDDHLDHVTKMEQQIPSASIQDAYEAAAAAAWHPNPEQQAAFLTSWPLPVYTDHMLSVEEVQDLCNRLSPNQPPVPERICSSSYPVRAGKWRSQAQQRRISGKKPEFDLHVICCVNEDVCGPEYCDNVEDCLSFAPCKYKYSHVNFLATQKDSLFSDSCPILFFAEFDNEKDDVAPLFCCQVDEPTPFTEHVRCLYCEATGSRVVHPSSDKFHGGGSEFEKMIRGEHSLDNDFLICKNEYAVQRMCWVEEDFMYAN >Dexi3B01G0026860.1:cds pep primary_assembly:Fonio_CM05836:3B:22252322:22255349:-1 gene:Dexi3B01G0026860 transcript:Dexi3B01G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKLISEIGLEAYRFTISWSRLIPGGRGAVNPKGLQFYNNLINELVKAGIQINAVLYHMDLPQILEKEYGGWVSPKIIDDFTAYADLCFSEFGDRVAHWTTMLEPNVLAQGGFDNGGLPPNHCSYPFGSSNCTVGNSTTEPYLFVHHSLLAHASAVKLYRQKYHAAQKGVIGLNIYTMWLYPFTYSAEDIKATERAKAFLYGWILHPLVFGDYPETMRKIAGSRLPSFSSYESELVTNAFDFIGLNHYTSSYTSNHPNRIEGQLHDFTADLATLFRGTKDAPPTAMILAGKIVDPHGLELILEYFKEKYGNLTFYIQENGYGGSDGTLNDLERIGYLTKYIASILKAIRNGADVRGYSVWSFVDLYEIFGGFKTHYGLVAVDFDTDERRRLPRRSAHWYSDFLKNNAAIESGFDFTTAIFHAQI >Dexi3B01G0007020.1:cds pep primary_assembly:Fonio_CM05836:3B:4921930:4922660:-1 gene:Dexi3B01G0007020 transcript:Dexi3B01G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQGVTSHIAGTYGYMAPEYAMHGQYSVKSDVFSLGVLLLEMVTGRKNTTFDDSEQSVDLLSLVWEHWTTGTITELLDPFLLGRRAPLDQMSKLVNIGLLCVQDSPADRPTVSSVNVMLSSDTVSLQVPSKPTFCISEMEDHSHLYSDAYNRAVKLQSTDRAKEALSTNEVSLTELEPR >Dexi7B01G0014550.1:cds pep primary_assembly:Fonio_CM05836:7B:20746885:20750434:-1 gene:Dexi7B01G0014550 transcript:Dexi7B01G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTQEPEAAPVAAEPAKEDVAEEKAVIPATEPPAAEEKPADDSKALAIVEKVADEPVAEKPAAEKQGSSNDRDLALARVETEKRNSLIKAWEENEKTKAENKAAKKISAILSWENTKKANIEAELKKIEEQLEKKKAEYAEKMKNKVAIIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKALGCFGA >DexiUA01G0004950.1:cds pep primary_assembly:Fonio_CM05836:UA:9087952:9088242:1 gene:DexiUA01G0004950 transcript:DexiUA01G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYANHHRRHSIVGRDLGIARSPAPPFAPISIRDDLLFQLHCECYTHVHLHHPFTGNIAKLRPLVQLLPSWCARGAAAPRLHCTT >Dexi3A01G0026260.1:cds pep primary_assembly:Fonio_CM05836:3A:22345307:22349477:1 gene:Dexi3A01G0026260 transcript:Dexi3A01G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPANRRIEAVRRHLLPPLPPSPLLHSNPSSSPAVVDPSPVVIGGMVLDIHAKPSVPPLPGTTVPGMVKYVSGGVARNIAECMSKLGTQPFMISVVGNDMAGDLLLKYWRSAGLCTEAAYFMTSLHNNYTGILQVNDVSTPVVSNVFDGTGELIAGVASVGAVEKFLVPSWIYRFHHHISNAPLILLDANLPPDSLAAACMSRCLHYVELFFPVAYESGVPVFFEPVSVVKSRRIAPIAKYITCTSPNEIELVAMANSLSPSVKYNFEKMEHCKDKAKAVEYVFEMLSPAIFFLLEKGIKLLVVTLGSNGVFICCKEHTNFMKDQRKCKQTPFSRQLVEKLDVCFPSNNPINLCRESSSRTCVFHLPAISASVISLTGAGDCLVGGVLSALCGGLDIIQSVAVGVAVAKASVESEANIPDNISATSVAGMLQ >Dexi4B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:4B:2941329:2941655:1 gene:Dexi4B01G0004060 transcript:Dexi4B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRGGGLEPTEKFPSDWGHNDLRSNTNQRGGFSAREEVEEEPLVEAYRRRPRSVRHTDTVLPSPAELTDGCALTSAPRRSSGPCVCARRPVRGRGAPADGVQNVAA >Dexi6B01G0006490.1:cds pep primary_assembly:Fonio_CM05836:6B:7089144:7089560:1 gene:Dexi6B01G0006490 transcript:Dexi6B01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCICTDEQDIKRLCQPHNSRKEPERERAAPARWVDNEPTCMTHEYLEAVEQGGGSLGDAPRCEQRDAEHQGQQREAACAPIRFGGLLGFGPRIPPLFPPLASLGCDCDDNHSGQPPRRLRAAVRDCRCPSSRALSTA >Dexi4A01G0009100.1:cds pep primary_assembly:Fonio_CM05836:4A:7191509:7192586:-1 gene:Dexi4A01G0009100 transcript:Dexi4A01G0009100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQATQKSPSSSTAAYPPWVMFEPDVDLDTTGSYSTADPKTLVLARTSSNHPIGVSLRIASPPAESRVCVHFPQGSQPSRLDNQVIAAHGDSVLIKVSREGGSDKTKDYFVYNAGTAATGSPRPPSLSLLPPYSGYLRNDWTGILRRGEDDLVVACLKIETRKNDDNTPKEHVPEMLMFRSGKWWKIRWARIIGIENDELVYFWSSRVIPVGDNMLCWFCLHRGLIFYIVDDKRLVYKPLPDDPSSAHSGRNVCVTSAGNVVKFVNMFARCCCGGGGASECKHSKNCYAIKTWTLRMDSMTWVLDGMMDCTELWVLDAYKSLPRVRPEFPVVSMDEPHVIIFMVHEKEWWT >Dexi9B01G0003890.1:cds pep primary_assembly:Fonio_CM05836:9B:2245816:2246627:1 gene:Dexi9B01G0003890 transcript:Dexi9B01G0003890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQSQTEQPVTVRQLIDHDQQLMLFDDDVATATFTGGKADEAIKRKPVSRRSLRKILSRLHVDVWTAQHDAKFLDLLLRHTRGVGGGGGRRGVGDLTGDEWRAIRDELNGATRSAFPVEELQRRVAEFRREFEAVSRIKEHHRFSYDARRRVVVATEAEWKRYVLDNPEAVAYEGGRSTHFGRLRAIFSGNGGGGAETRGGRGGAAKQRRESRAKRCIGKLLRSFGLRKL >Dexi4B01G0001080.1:cds pep primary_assembly:Fonio_CM05836:4B:704544:708087:1 gene:Dexi4B01G0001080 transcript:Dexi4B01G0001080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKTCLLVSSSGITVLLVWRIGSSYWEYARPEYTGLGPIDQIVVFKDKIIALDKDLSICIVHLDDDDDELGMSVRALLIVEEDTDDMVNSEDLHNVQLAVVSEERLALIASPDFVSDIDVFFYLEDLDSPTEPARWSPVLELDCDVFICGAYGSRTVFEGRAPLPAVAAGDQKLPSNPEDGVDQHLMGNKVCDWNNMYGTSKPNKFEESALAKMMMSQLSGRIVEMTLKMTIIVALSPQQTMTIGKTTLKMAVVALSLQKTLTIGKKATDGMVDLVPIGSSELLMAYGAIGLEIFYYTTAARDSVREADEGGLRPLGPSIIAQFKLDDDDETEGYTSTITEGPDRQLEITYLVIPTAIQTSVEVRLKLKADLGSTSRAVYGNIKATAIDYMNIWVHLFSCDRGRCLSFPSGTTSILPLSLSKIALPCSRLLRFHIEVDLTVATTTCESHEEDDKNLKFILEFTRGITSQERQVDGDRVQVQVEYESEYGLLVVAASEDDK >Dexi5A01G0002230.1:cds pep primary_assembly:Fonio_CM05836:5A:1584668:1585485:1 gene:Dexi5A01G0002230 transcript:Dexi5A01G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSRLLLVSAVVALAVLAAAAAAAVPPTCERIECPAYEVVDSANGFEIRRYTDAMWASTAPIEDISFVSATRSGFLQLFNYIQGKNAYNETIEMTAPVLTEVSPSDGPFCASSFVVSFYVPAKNQPDPPPADGLRVRRWAGDRYAAVRRFGGFVSDADVGEQAARLDASLQGTRWAAAVNEGRGASYIVAQYNSPFEFSGRVNEIWMLFDAAKVGSDVY >Dexi7B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:7B:18265703:18267304:-1 gene:Dexi7B01G0011170 transcript:Dexi7B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFTYHKPQPRFAVVCRKNKDGRDREREKEKEHKYPFKVVEITPPPQCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >Dexi3B01G0007940.1:cds pep primary_assembly:Fonio_CM05836:3B:5609174:5612644:1 gene:Dexi3B01G0007940 transcript:Dexi3B01G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYVRVKDDVVDAEVEVGGESPADGAEQAARRGAGGGGVEALEHGLGGAVRGVPRGRALRQEGVDVPVLDRRRRHSASLGLTDWLVEREMDGTRRRWKGGEGEKKMAGREGALAFIEGDAAVDDGGTPGKAGWETAGSTRFRQHLVTCWERNWAAAHQRNWVAMQGFGGRRRRSSSPPAPVLRRSPPLHTSTSSLAWLSGTSPSPFFAGCSFPVLHQQRPPEARARQKARLSAFCSTSPGTPLAFFPSFLLAC >Dexi7A01G0014750.1:cds pep primary_assembly:Fonio_CM05836:7A:24688949:24690221:1 gene:Dexi7A01G0014750 transcript:Dexi7A01G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHLSASSRFAIVVAVASVVLSLLANPISCDDGAPEAMIGHSQQNDTSRRSLWASARGYGWSYGGATWYGSPYGAGSDGGACGYQDAVSQRPFRSMIAAGGPSLFNNGKGCGACYQIKCTGNRACSRRPVTVTITDSCPGGGACTAESAHFDMSGTAFGAMANRGMADRLRSAGILKIQYKRVPCNYNGLGINFKVDAGSNPYYLAVLIMYMSGEGNIAAVDIMEDGCNSWTPMQQSWGAVWRVNSNNGQPLRAPFSFRITSGSGKKKLVAKNAIPAGWGAGGTYNSRVNYGY >Dexi6B01G0012980.1:cds pep primary_assembly:Fonio_CM05836:6B:20715505:20720554:-1 gene:Dexi6B01G0012980 transcript:Dexi6B01G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSRFKKICVFCGSSQGKKASYHDAAIDLAKELVSRDIDLVYGGGSLGLMGLVSHAVHRGGRHVVGRIRNSEELFEVTTWTQLGIHQKPVGLLNVDGYYDSLLAFIDQAMEEGFISPSARRIIVQAPSAHELMEKLEVYVTYYDKVASELDWGTRMIAKDPAVDGSGIGVPSYNTDLILPPREKPIMSPVKESPLTMEDKSCSLGIGEVETSQLVPIDETDEAMTDASRP >Dexi9A01G0014950.1:cds pep primary_assembly:Fonio_CM05836:9A:9837525:9840671:1 gene:Dexi9A01G0014950 transcript:Dexi9A01G0014950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGARRRVVVEVCNARNLMPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMASETLELNLYNDKKAIAATGSGRRGGTFLGKVKVAGASFAKAGDETLVYYPLEKRSVFSQIKGEIGLKIWFVDDPPPPPPPAAPDAEKGADADKKEAPAEGKEEKAPDAAASAAPAEEKKAEPAPAEEKKAEEAKTEEKKPEDKKDDKGGKKKSPEKGKKDGEKPKEEGKAKEDDNKDAAAPPPSPSKQAPPPSPSKKDLAIAGIAGDLEIRPQSAADKSMAASGASASYDLVDRVPYLFVRLLKAKRHGGGGGGGQPLYAQLAIGTHAVRTRAATAAGEWDLVFAFHKDSLTDTSLEVTVHEEAKKPDKEGEPVPPDANLGFVSFDLQEVPKRSPPDSALAPQWYTLDGHCCDAGGVACDVMLAVWVGTQVDEAFQEAWHSDSGGYLVHTRSKAYLSPKLWYLRLNVIQAQDLRLPSPPDANKAKQHPIFPELYVKAQLGAQVFKTGRVPLGSAAAGTSNPSWNEDLLFVAAEPFDPFLTVVVEDVFSGQPVGQARVPLSTVHRRADDRVEPPSRWLNLAGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGVRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYKVADAGAKDSRIGKLRIRLSTLDTNRLYTNTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMLAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSARLARSEPPLGPEVVQYLLDTDTHAWSMRRSKANWFRAVSCLSHVATAARWAHRVRVWAHPPTTVLVHALLVAVVLCPEMILPTACLYLFLVLLWRYRARPRTPTGMDPRLSHVDGVSADELDEEFDGVLSSRPADVVRVRYDRLRAVAGRAQTLLGDVAAQGERVEALLSWRDPRATGVFAVVTLVAALVMYAVPFKVMLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSLSDRVL >Dexi4A01G0009730.1:cds pep primary_assembly:Fonio_CM05836:4A:7680143:7681585:-1 gene:Dexi4A01G0009730 transcript:Dexi4A01G0009730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNVVSYSDGVPGQGHGIPYFYLTTLDPTARDALKDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKDNFI >Dexi1B01G0016600.1:cds pep primary_assembly:Fonio_CM05836:1B:23116773:23117503:1 gene:Dexi1B01G0016600 transcript:Dexi1B01G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSLGLGNNFSLHPSEKCTGHVNCFCEEANSSEGSQRHLSDTEENIPSFKPIVVDGMSQQLLVQSREADSSSEPADTGDEGFQTSLSESAEGSLSSTPADSDDGWNRSQSGNEEASSLSEVTEPGDEDYLADIPPEDKRFLTSLGWKQDEADQIEPLDLCEIVDTVNGCEELKKKLQSMKSHENIKIILLHMSRQK >Dexi2A01G0031240.1:cds pep primary_assembly:Fonio_CM05836:2A:41995125:41997962:1 gene:Dexi2A01G0031240 transcript:Dexi2A01G0031240.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLAFYVIYDLKMPQSSKALVPAIIYVCSLIVSVILQVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGSTKISPNLGTAFGYTVTRLGLGLVPAACSLLSAIVAYTMDLPDTRRRPLVEPLVA >Dexi8B01G0000740.1:cds pep primary_assembly:Fonio_CM05836:8B:505350:506948:-1 gene:Dexi8B01G0000740 transcript:Dexi8B01G0000740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHTPATVLSLLRRLPRRRTAAAAGHQLHALLAKLGLLLHPAFLPALLSRLPATSPSSLSLLLAAPPCVLSPSLFCPLIVAFSSSPIPSSSLLLFNHVSSFSLPTPLPAFPALLKSCARAFRLSARAGAAAVFAAKGCELHCRLLKLGCEKNRYVQNGLVSMYGKFGWLGDARSMFDQMPVKNAVSWNALAGAHGVAGDLQGAQAVSQATPARNISWWNTEIMRNVRLHDMAEAARIFRVMPERDAVSWSSMIGAYAKLGMYDRALDAFQEMQENGIELTELAVVSVLGACAETGELELGRRIHNYLASKGIATDGYVGNALVDMYAKCGRLELARQVFDSMSIRDVTCWNTMIIGLSVHGQSRDALKLFDSMKIEPDQITFLGVLTACSHGGLVNEGRTYFNSMIEDYKILPSMKHYGCMIDMLCRYGEVHEAYQMIKDIPISNLNSVLWKMVMAACRVHGHFDLANKAFHELHQLMPMDDGYVITVSNAYAQAERWDDVEHLRTKAIQCSASKHAAHSQVHAATNYMEQ >Dexi9A01G0002020.1:cds pep primary_assembly:Fonio_CM05836:9A:1039174:1040769:-1 gene:Dexi9A01G0002020 transcript:Dexi9A01G0002020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPVIGETFSFISAFSNPAGILSFMRDRQKRFGKVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKHFDFINELAVQTLDTWLGRKVLVLEEASSVSSSSRLTPFTLKVIANMLVSLEPEGEEQEKFRANFKVISSSFASLPLKVPGTAFHRGLKARNRMYAMLDSVISRRRDGSEVRSDFLQTLLRKHAGDEVDKLTDSQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPDVMEKLREEHLEIKERLKGERLGWSDVGNMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGYDVKKGTSVNLDVVSIHHDPAVFADPERFHPDRFDETLKPYSFLGFGSGPRMCPGMNLARLEICIFVHHLLCRYR >Dexi5B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:5B:4010474:4013391:1 gene:Dexi5B01G0005930 transcript:Dexi5B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIGSIPSASDGAASAAAPSTKAPREATLGRHLARRLAEVGAREVFTVPGDFNLTLLDELEAEAEEGTGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQKSLEAAVEAAAAFLNKAVTAQEVSTMLRWGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVEAFHNGEGKCYTAKVRTEEELKESLKEALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Dexi7A01G0005490.1:cds pep primary_assembly:Fonio_CM05836:7A:16757731:16760420:1 gene:Dexi7A01G0005490 transcript:Dexi7A01G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLLVRLPALASPLLLSPRRCLPAMAAAAASVRAAPAPTAAAPFSRLRSRCRFSASAVKEEYSSTPIDIVADIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDSWVDQVNWLAGDVFYARWDEVLVGATAVVSTLGGFGNEEQMKRINGEANIVAVNAAKEYGIPKFVLISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPASGVVLRPGFIYGKRKVNGFEIPLDTVGQPLEKLLSSVENFTKPLSSLPASDLILAPPVSVDDVAYAVVNAVVDDSFFGVFTIEQIKEAAAKVRVS >Dexi9B01G0042880.1:cds pep primary_assembly:Fonio_CM05836:9B:42995282:42995630:1 gene:Dexi9B01G0042880 transcript:Dexi9B01G0042880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRVWLGVASRFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTTTAPPPPRRHSRFRQQPRPWGDRLRLCSRGF >Dexi9B01G0049320.1:cds pep primary_assembly:Fonio_CM05836:9B:48024766:48025922:1 gene:Dexi9B01G0049320 transcript:Dexi9B01G0049320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSACINVKFDEGTTFIFGSWICTANQDGKLHPPPPAQVTPRGSRKTPNSDTIFGSYPTRRSTWCPKQTQARANNNNDPAPIKQQVQPTRPRLHGGLQITSDRDNNSMGSQELQSKFPSRTPQFPFGLTNLAAIYQTHLMKKLHQTRQANSDLVMTATPSGVIVYWPDMDPVHALQQVNDPSKVQGTFPLLPFQEGRELSVISDNEQPGPNNPARQFCATIRDDSDDEVVSDDTPTVDGETQADRELRIERNRSRALRRRHIRMKNLNNEFDNEGIFKSPAANIMFVVSVLEGFQATPNISIAKAHRSRTHLAYRSPAPNPSRHFSRSPSLPSRGPL >Dexi9A01G0025730.1:cds pep primary_assembly:Fonio_CM05836:9A:26435672:26436726:-1 gene:Dexi9A01G0025730 transcript:Dexi9A01G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAIYCGGAGNGSASFAAKICNNMAMAISMLGVLEAFALSQNLGIKASALTDIFNCSSAHCWSSDTYNPVPGVMEGVPSSRNYDGGFTSKLMDKDLDLAMASASGVGFKCPMGSEAFEIYRKLCEDGCELKDFSCAFRHYYAGKDEE >Dexi9A01G0041770.1:cds pep primary_assembly:Fonio_CM05836:9A:45367299:45369629:1 gene:Dexi9A01G0041770 transcript:Dexi9A01G0041770.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLPVSRVTMEVEEEAAAASAWPGSSRRRHLLQFLLHASKRLDLRPIVKYSALAFFAGRFLPALPRKMGFCGARSGRVARSWLLEPLRDSNLELFALVAVWISSKIHDLRPLSVKSLKALGDRIIADQHFMCRDFADAELVFMEVVEHNIGSSSIAFIYLEDLLIHFRFFRLEISKLGDLLDMDVCMEILDILYETEDTSLLFNSPCSLAASTLVAAYVISVPKQAWEFPILPWVRFATSYNEEEIMKIVLTILLHVLKLDELKENDKEDFDVRCLL >Dexi5A01G0020950.1:cds pep primary_assembly:Fonio_CM05836:5A:24802707:24804690:-1 gene:Dexi5A01G0020950 transcript:Dexi5A01G0020950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALSSQVASMPWSFFIFSLLGALLLWQAAQLLNKLWWQPRRLERALRAQGLRGTSYRFLTGDLKEYGRFNKKAWSRALPLGCHDIAGHVAPFVYGAVREHGKMCFTWFGPVPRLTITDPDLARDVMSNKFGHFEKPKFPALSKLFAEGVANYEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSRWAQSLGPDGSCELDVDPELQTLTGDVISRTAFGSSYLEGRKIFQLQAEQAERLMSIVKMFGVPGYMSLPTKNNRRMRQIKNEIETILRGIIGKRMQAMKEGEPTKDDLLGLLLESNMRDTDENGQSSLGMTIEDVMEECKLFYFAGMETTSVLLTWTMILLSMHPDWQDRAREEVLGLFGKNKPGYDGLSRLKIVTMILYEVLRLYPPAIAFSRKTYKEMDIGDAKCPAGVMLELPVLFIHHDPDIWGSDVHEFKPERFAEGIAKASNDRLAFFPFGWGPRICIGQNFALLEAKMALSMILQSFEFELAQSYTHAPHTVITLRPMHGAQIKLRAI >Dexi1B01G0029520.1:cds pep primary_assembly:Fonio_CM05836:1B:33813534:33813981:-1 gene:Dexi1B01G0029520 transcript:Dexi1B01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQQQQLDLMLRHQGMATICESEDALGSSESEPARPARPRGKRTRAAEVHNLSEKRRRSRINEKMKALQTLIPNSSKVVSRPQGVHF >Dexi1A01G0028930.1:cds pep primary_assembly:Fonio_CM05836:1A:34501161:34503060:1 gene:Dexi1A01G0028930 transcript:Dexi1A01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASGTANGHGKEAALYEEQKSKISKVRSELGQLSRKSALYCSDASIARYLIARNWDVKKATKMLKKTLKWRSEYKPDEIRWDDISDEAETGKIYRSDYFDKSGRSILVMRPGCQNTKNANGQVKYLVYCMENAILNLPQGQDQMVWLIDFAGFNLGNLSIHVTKLTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLEKKTRNKVKFVYSDRPESMKIIEDLFNMDELECAFGGKNPATFNINDYAMRMREDDKKMPSFWSPENSALASEPYLMNNQKLEESSSLGVKAEETESEKREETDTTPEKRKESETESEKEEETLTESSTVEQKSLPGEDSAPAADKSGP >Dexi3B01G0004970.1:cds pep primary_assembly:Fonio_CM05836:3B:3301880:3304598:-1 gene:Dexi3B01G0004970 transcript:Dexi3B01G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPEVAANPYGAELAAAKKAVALAARLCQRVQRGILQSDVQSKADRTPVTVADYGSQVLISLVLKKELPSESFSMVAEEDSKDLRKDDAQEILEHITSLVNETIVNDGSYNMSLSKEDVLAAIDGGNSEGGPSGRHWILDPIDGTKGFIRGDQYAIALGLLDEGRVVLGLLGCPNLPLKSTNMHNGSSSGDQVGSLFFATIGCGARVEALEGSEPQKISMCSIKNPADASFFESFEASHSKRDLTSSIAEKLGVQAPPVRMDSQAKYGALARGDGAIYLRIPHEGYIETVWDNAAGSIVVTEAGGMVKDASGNDLDFSK >Dexi7B01G0008930.1:cds pep primary_assembly:Fonio_CM05836:7B:16604298:16605935:-1 gene:Dexi7B01G0008930 transcript:Dexi7B01G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNHGTAGPLATVPDPVDRTLGGLLSPDFDESSCLSRYRASLYRRPSPHAISSYLISALRRYESLHRRCGPGTPAYVDAVERLRAPPNASTSSTSGCSYIVWTPIEGLGNRILSITSGFLYALLTGRVLLLHSSGGALDDLFCEPFPGSTWILPATDKDNFPIRDMEQLGVGHHESLGSALRRGGGEGEGPTSLQLQAPWLYVHLVNNYDAQDRLFFCDDVHGELLRRVPWLVFKSDNYFLPGLFLIPRYEHELARLFPRRDLAFHHLGRYLFHPSNTVWDMVTRYHGSYLASADERVGIQVRVFGWAPISTDELYGQILDCTQREGILPVPTTSVSDEHGQAQQAKQVAVLVVSLHGEYSEKLRDLYKNGSTTGGEAVSVYQPTHLGEQRSGEQRHNQQALAEMVLLGFSDAVVTTAASTFGYVGQGLAGLRPWVLVGPVDGKAPATPCRRAPTIEPCFHAPPNYDCRARASGDTGRMVHHIRHCEDLPGGVQLVE >Dexi8A01G0008880.1:cds pep primary_assembly:Fonio_CM05836:8A:11025807:11030144:-1 gene:Dexi8A01G0008880 transcript:Dexi8A01G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSGPPPHPTFLLLLVVPICPAMAAPVALGEEESDSLPRARALANPGCEGNNGGGDAACCISVPRLGSGLFCVLPGVISLIGASACKWLNPEVAEANALVARSNEVSSRVILTDAPHEDGYAWRKYDEKKINGTHFTRNYFRCSYKYDRGCQATKQIQQQSGNDMPMFQVTYISEHTCNCTTSANKYSQGDLPQLSYFNTDGRIISLDHAMIKQEQKRLLPPLDELSTVFLDSTSFCQEPVPINMTITDDGTPDFQSESRDGFIDLGQMLLPLEPLEDNPFNDSEFDVLFNCMLQN >Dexi8B01G0009820.1:cds pep primary_assembly:Fonio_CM05836:8B:17704352:17705247:1 gene:Dexi8B01G0009820 transcript:Dexi8B01G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPLTNEAPTERCGDRFCTLPDAVMQHVLGFLPALDAVRTCVLGRRWRQLWRSAPRLRIATADVPAPRFVGSLNRFIRQVLLLRDPGAPLDECEFDLRGYSRLYGFL >Dexi1A01G0005510.1:cds pep primary_assembly:Fonio_CM05836:1A:4002718:4003841:1 gene:Dexi1A01G0005510 transcript:Dexi1A01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKVFNEMKAIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDQVGMRPTMKSHMLLLSAHAKSGNVTRCEEVMAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLFAAMENAGDTSPDIGTYNVLVNVYGRAGYLDRMEAAFAAAAARGLAADVVTWTSRIGAYARKKEYGRCLEMFEEMVDAGCYPDAGTAKVLLAACSDERQVEQVTAIVRSMHKDAKTLFTL >Dexi2A01G0033190.1:cds pep primary_assembly:Fonio_CM05836:2A:43481841:43493976:1 gene:Dexi2A01G0033190 transcript:Dexi2A01G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASSGSRPAGRARRALAAGRHRWPAFTSSNRTGVLDLLVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMIAPRLSNLEGLMSFDDDLDSVDSLSSYDRQEGGSKLERFAHETLDPSLSWKDVEWLKSITSLPILLKGIVTGEDARKAVEAGAAGVIVSNHGARQLDYAPPTILALEEVVKAVAGAVPVLVDGGIRRGTDVLKALALGAKAVMVGRPVFYGLAARGEAGARHVIEMLNKELELAMALCGCRSVAEVTRRHVVTEGDRIRALLCPSSRPSPPSPHSQRLRPRVLVDVSKIDMSINLLGYDMPSPIIVAPTGAHKLANPEGEVATARAAAACKTIMVLSFASSRKIEDVAASCDAIRFYQLYMYKRRDVSAALARRAESLGFKAIVLTVDTPVLGRREADIRNRMVFPLSPNFEGLMSFDDEPGTEGGSKMERFARETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVDAGAAGVIVSNHGARQLDYAPPTIAALEEVVKAVAGVVPVMVDGGVRRGTDVFKALALGAKAVMVGRPVFYGLAARGEAGARHVIEMLNKELEVAMALCGCRSVAEVTRRHVVTEGDRIRALL >Dexi9B01G0031230.1:cds pep primary_assembly:Fonio_CM05836:9B:33639416:33639682:-1 gene:Dexi9B01G0031230 transcript:Dexi9B01G0031230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAASRSPAGYGGPHPYTAHRQAATGALAAAACGDFAIASALRAGCRRITARVAPPQPLQLRHHAGLCCIFSAPIIRVSVSNGRC >Dexi2A01G0034160.1:cds pep primary_assembly:Fonio_CM05836:2A:44244096:44244894:1 gene:Dexi2A01G0034160 transcript:Dexi2A01G0034160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLGSPASRRPRLSALLLLVAFAILSSPRPARALRFDLESGHTKCISDEIKVNSMAVGKYHVVGPDPNFPDAQLPESHRISLRVTSPYGNSMHYAENVQSGHFAFTATEAGDYLACFWAPDHKPPVTIGFEFDWRSGVSAKDWPNVAKKGKVDGCYL >Dexi6B01G0008060.1:cds pep primary_assembly:Fonio_CM05836:6B:9964727:9967591:-1 gene:Dexi6B01G0008060 transcript:Dexi6B01G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVTPPQCRRGFPAPARASPPLSSPLWMRPLAAPFHPPRCRTAITAARRRRAALACSPRCTLETAGPAVFDPLGLYKDGPSGSGSSSRSPLSTFFGILAPVFGSSSGGGARRDKASYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFICERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFFLLVLGIVYVQEAERKIPLNYASRYSSRTGGLQRSAYLPFKV >Dexi9A01G0046860.1:cds pep primary_assembly:Fonio_CM05836:9A:50085332:50087709:1 gene:Dexi9A01G0046860 transcript:Dexi9A01G0046860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALSSSSSSLLPRSLASRRPLSSPHHARGPRPLHRGRSGSRSLHRLRARAGKDDPEDLYGPYPWDQPLDLTTGFADIQWVPEDRVTLFTSDGLVQIGGSLVPRRVSSSEKRQRKLKGAQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASNDGLQEKLIMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >Dexi3A01G0016120.1:cds pep primary_assembly:Fonio_CM05836:3A:12038630:12039179:1 gene:Dexi3A01G0016120 transcript:Dexi3A01G0016120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRDGGTRGGPRRLRRRRRRLHLGNAAVPVLRAEPARRLHVVVVVGDAVPSRARPQTCPTRQEITSSAAITPSTTNTAVYDPPPPPADASGCPCSGRPPPAGKRGAVVEDMARPSLAFVRCLRTYAWSELKGCD >Dexi3B01G0007960.1:cds pep primary_assembly:Fonio_CM05836:3B:5619830:5620936:-1 gene:Dexi3B01G0007960 transcript:Dexi3B01G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPLLTPYKMGDFNLSHRVVLAPLTRQRSYGNVPQPHAAVYYSQRATSGGLMITEATGVSDTAQGYTDTPGIWTAEQVSAWRPIVDAVHAKGAVFFCQLWHVGRVSTTELQPGGAPPISSTDVAVTPQMSFDGHMESFSPPRRLETHEIPAIVGDFRAAARNAIAAGFDGVEIHGANGYLIEQFLKDGVNDRSDEYGGSLANRCRFALDVVRAVAGEVGPGRVGVRLSPFTDYMDCHDSDPEALASHLVGELSDAGVLYCHMIEPRMALVDGRRKIPHRLLPFRKAFKGTFIAAGGYDREEGNKVVEEGYTDLVSYGRLFLANPDLPKRFEVADAPLNKYDRTTFYTSDPVVGYTDYPFLADVKAA >Dexi7A01G0015260.1:cds pep primary_assembly:Fonio_CM05836:7A:25114182:25117052:1 gene:Dexi7A01G0015260 transcript:Dexi7A01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTTFSIHLLPAGDDGGAGTVELDLRDSGLTFSWPCSHLREALGEVSGKAGPPTPCSPDELATMAKLLQGQEIPEAKIWLSTGLSAFLFLYTSILGYRPGKAVVTSDLPIGAGLGSSAAFCVSMSGALLTAAGAVGIGVGSAAGEWELFGKDDLELVNLWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGELTNLKSRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMASVFHAVNSISEELSSIVELTAEDEVAITSKEDKLAELMEMNQVSANIILEKVAAELESHGFRCFKVEVGGRGLQGFV >Dexi3B01G0005300.1:cds pep primary_assembly:Fonio_CM05836:3B:3509845:3512491:1 gene:Dexi3B01G0005300 transcript:Dexi3B01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANGGRLPKQALLPPRSPFPVAPAPAPHAELGPIARPREPHHRHGHQRTSSESFLADEQPSWLEDLLDEPETPARAHGRPGHRRSSSDSFALFEGGGGASAGMYDNVLDGMRGGGGQVASWAGAPEFFPEPSSFGRPQGRPWESKQMYRQGGGMPMPGREKNSVRHGPSSSFGDHEHGHVLNGVERKVHGDSAHDQRIGAERKEGLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVTAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRYQQEMFEREIGRLRQLFQQQQQQQHVPQQQPPTHSRSNSRELDSQFANLSLKHSDPNSARDAVSGLRI >Dexi5A01G0012130.1:cds pep primary_assembly:Fonio_CM05836:5A:9065561:9066351:-1 gene:Dexi5A01G0012130 transcript:Dexi5A01G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGIQYAVVDAFTAEPFKGNPAAVCLLEDAAKAADERWLQSVAAEFNLSETAFLLRDSSPAGAGAAAPRFQLRWFTPVAEVELCGHATLASSHFLFTSVLAEHETLIEFATKSGILTAKKVAAPATAVASGEGKLFIELDFPMIDLVDCHPAELPSIPETLNGASVVSVHKSATADFH >Dexi6B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:6B:1591270:1591891:-1 gene:Dexi6B01G0001820 transcript:Dexi6B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLPSSPRSNEASIEEQLQGLKSIICSPSVTIETMVDGLSKIGSIYSRINELTCLASSQRGQRKAVEEELDRSLVLLDLCSAMQESFVELKASVQEMQLALKRGDDASVQARIQSYTRLAKKAQKQCKKSSNKASSDIEGCRVIKLLAEAREIATTMIESTLNLLSKQLVMPSSSKWSLVSKAFQKKRVVSWS >Dexi5A01G0027800.1:cds pep primary_assembly:Fonio_CM05836:5A:31185632:31186461:-1 gene:Dexi5A01G0027800 transcript:Dexi5A01G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVAPEVAAALARGGSVVALESTIVCHGMPYPKNLQTAMEVEAVVRDTGAIPATIAILDGLPHVASGGNGATTVSATMFFAHKVS >Dexi9A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:9A:949157:950420:1 gene:Dexi9A01G0001810 transcript:Dexi9A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRGLVGHPWEADLNEGRAGQRGRPVGTHEAGHLEVTQARPIGERRGRHAGICSQAIEPAGGDPDEEHLDGDLRRVGEEPRVVELEHGGVAGAVMELHLALASGPRVGCVGELEVERRAPAHRRRAVVAAHAHVAAVAEHLALVRHRRPLRRRRAAAARHAVGAEVAVGVEAHEPQHVAALAVVGAVRHHGLRRQLAAGGQEHEPVGLYGERRRRARRLVVPRGGGRAGALVEVGDGGVAAAGPPEREVAGVLVDGADVGAGGEIHAGGEVLGHDHSGGARGVLEHEVAVLQDGRGGHAMAAGVGGPEHGSGPVEETEVAVGRETERAAGVDDGAGGPDGDAAGVMAAAKSDGEVGDGVKESVKVLLGDDHDAELLGERVDPSGMSAPWESSGRRRSEKPQSRARSP >Dexi2B01G0023940.1:cds pep primary_assembly:Fonio_CM05836:2B:33408865:33409928:1 gene:Dexi2B01G0023940 transcript:Dexi2B01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEEWAGKVLLKDINSQCGYMAPEYAMRGIFSAKTDVYSFGVSVLELVSGVKTSLIMHGTCGMKGRQRTW >Dexi1A01G0010890.1:cds pep primary_assembly:Fonio_CM05836:1A:9443734:9444405:-1 gene:Dexi1A01G0010890 transcript:Dexi1A01G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFIRVFGLAIANTLCVGGTGLLINSLVRKARMPHRTSKMVTLSLFFVFWISISACVYPVFCGLLFPWRALGRVLAPPLRAAAWLLSLPCRCARTASSALRRRSIRAGPASGALPQFVVQASGGQGHGIHMLPREPPVRGGARVVAVDDIPAYEQRDAKRPDGALSECAVCLGEVESGEMRLPGCLHMFHQECIDPWLRDHSTCPVCRYNVFGPMPEQVV >Dexi5A01G0019120.1:cds pep primary_assembly:Fonio_CM05836:5A:22569046:22569560:1 gene:Dexi5A01G0019120 transcript:Dexi5A01G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMRSRTSFAARRRAHSSSSRSRDPNAQQLDLVKGARVVGEDAADGGARAVGVGGAGKEEVGVAEDERGGAPEAAPAGGERGGASAVAGGEAGDDVAEDVVREGADAVHAVGGRSLGHGGGEGGGCGGCGRVAATPSASLPMAGGAERIRDGFGRCGLAGGVAEWSG >Dexi2B01G0015670.1:cds pep primary_assembly:Fonio_CM05836:2B:25727271:25728072:1 gene:Dexi2B01G0015670 transcript:Dexi2B01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNQSPEEVYSVWALPSDPARGRLCRLMAELRAALGGPAFEPHVTVVGAIRLRRSAAVEALRAAAGGVRPYTARVVGDRDGFYRCGCLLLEPTPEVMEASDHCCGHFGYERPIPYVPHVSLIYGDRTEEQEAAAMRKVQELDEDIRELQFEISEVALYKTDPDDVESWELVEACNLRQVK >Dexi6B01G0008620.1:cds pep primary_assembly:Fonio_CM05836:6B:10893884:10894686:1 gene:Dexi6B01G0008620 transcript:Dexi6B01G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAIRRPNLSHLRRLLSSKHLGFFTSYSPSNQSDFEPDPDHPLPLPPSTDGDGELASFVHRISSAVSSASSPKDALTLLSSSRTGPSPAPATLALLLASFVHRISSAVSSASSPKDALTLLSSSRTGPSPAPATPALLVRALWELRHDPDAPALAVRYGDESSHLDEVDGAGAGPQSSPSEAWHLAVWAAGKARRFDLAWAVVRRMRRRGVLTRRAMVILIESSKS >Dexi1A01G0023900.1:cds pep primary_assembly:Fonio_CM05836:1A:30494802:30495083:-1 gene:Dexi1A01G0023900 transcript:Dexi1A01G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASMQASSPGPAVRAPTLTCTAAIPAPPPAPTTARSGACGRERDDVVLDLPEARARPSATAAVRFFYQVREADVRSLGVPPRRLGAGPNAF >Dexi6A01G0008120.1:cds pep primary_assembly:Fonio_CM05836:6A:8234958:8236300:-1 gene:Dexi6A01G0008120 transcript:Dexi6A01G0008120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTKQSAASELERPFIVNGCVADDPAAALASARHEFGEHGGVNMSIEASATFRLMEPGTMRRMLSGQLVPGERDNDIMYMYSRPFNPTVQALGRQMAALEGTEAAYCTASGMSAISAVFMELAGAGGHVVAPRCLYSETHALLARFLPRTAGVRATFVDTDNEAAVRAAVAPGETRVVFVETMSNPTLAVADIPMLARVAHDAGAKLVVDNTLTPLVVSPARLGADVVVHSITKFISGGADIVAGAICGPASLLDAMTDVVDGAMILLGPTMNARVAFELSERLPHLPLRMQEHSRRALAFAERMQRRHGLRVLYPGLPDHPHHARLAAMANPGYGSGGLLCLDMGTEERANRLMHYLQNTTRFAIMAVSLGYYETLLSVPGNTTTARVGISPGLIRMSVGYNGTLEQRWAQFERALALLHRRWRWTRRRQ >Dexi9A01G0011610.1:cds pep primary_assembly:Fonio_CM05836:9A:7289276:7289793:1 gene:Dexi9A01G0011610 transcript:Dexi9A01G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAHGRGAAAALALVLLCVLLHGEFAESAVYTVGDRGGWSFNTASWPTGKRFRAGDVLVFKYTPKAHNVVPVSAAGYNSCSAPKGARALTSGNDRVTLKRGVNYFICSFPGHCQAGMKVAVTAA >Dexi6A01G0018520.1:cds pep primary_assembly:Fonio_CM05836:6A:26244180:26244912:-1 gene:Dexi6A01G0018520 transcript:Dexi6A01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERRRFANLRSVRWRVDLGILPASPEASVDELRRASADSRRRYVSLRRRLMVDPHLPKEEDRSSNLVVDNPLSQNPGIFS >Dexi6B01G0017630.1:cds pep primary_assembly:Fonio_CM05836:6B:24760764:24763394:-1 gene:Dexi6B01G0017630 transcript:Dexi6B01G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSDEAGHASKRQRTSGTVAQTTITDCPDSAVVEFNVNHEQAKRLASGKAIHSDVFCAGKLMWRINYYPASLWEAIHDRENFHISIELLSKRQPSNVDAIFEVMLVDKDGLPVFIAARGTRAYQLPTFFGSMVFHRLENGKDVSFSVGGEMFHAHRAVLAARSPVFKAELLGSMAEPTMSSITLQDIAPATFKAMLRFMYTDALPRDDDELIIGDSPFEVFEPLLAAADRYALERLKVLCAQKLWENVSVDTVATMLACAEKYSCLELKHKCIGFFAVEKNFKKAVLTEGFVMLVQQFPSIINELRDGVGT >Dexi9B01G0045840.1:cds pep primary_assembly:Fonio_CM05836:9B:45297235:45298130:1 gene:Dexi9B01G0045840 transcript:Dexi9B01G0045840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPSGQQEPTIRYGDVFPAVSGNLAEKPVAPQDAATMQSAENLVLGQTLKGGPAAAMQSAATVNERMGVVGHDDATDATAVQGVTVSETRVPGGRLVTEFVAGQAVGQYLARDDDAMAGGGGGGGVVDNTKVTIGEALEATALAAGDDPVERSDAAAIQAAEARATGLDGNVPGGLAAQAQAAAAANAWAARDEDKATLGDVLADAAAKLVADRPVESADALRVAGAENRNKGDGTARPGGVAASMAAAARLNRNEAVWE >Dexi9B01G0037090.1:cds pep primary_assembly:Fonio_CM05836:9B:38588674:38589687:1 gene:Dexi9B01G0037090 transcript:Dexi9B01G0037090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAGSGNASGGGGGASTCCYYALLGIRKNASATDIRTAYRKLAMRWHPDRWASNPGAAGEANRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVNGDEGSRGVGGRVDGARRARVAPYPQQQRR >Dexi4A01G0000560.1:cds pep primary_assembly:Fonio_CM05836:4A:371350:375305:1 gene:Dexi4A01G0000560 transcript:Dexi4A01G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPRNGRAGRPQQGSFECKLRRLILNGGLAVAGASAEDVANTLRIHHAEYRRQKLEPFTAQVRRALSKIPPPSSFSSSSSSSGSDDDSSASRRHHRRRHDAHATASSSVSDEAAHPPSSPAFDVTKSSLRSQYQAQAQAQAQAQASKRSPAASQQLEIEVTAEKPRRLITSDGGAGGDAKPEPTASEGGVVRGDKGPRFADLGGMEAVIEELMMEVVVPLCHPELPQRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDQFHQNIGPGGGDLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLTQNLRLEVEFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDERKAQYRRNNDGSSKHDWWREPWDACEVESLHITMDDFEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPGAEERVSILKAHARSKPVSSDVDLKALAHREECSNLTGADLASLVNEAAMAALEERLNFLENGTSSMSSSCLIELSHFERALSKVKPSVSEQQIRHYEALSKRYSSN >DexiUA01G0019980.1:cds pep primary_assembly:Fonio_CM05836:UA:41479731:41481376:1 gene:DexiUA01G0019980 transcript:DexiUA01G0019980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKEESMLEKISDKLHGRGGGSSSSSSDSDDERSSTTAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLAVNVARSLRYEINRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSFFMVLYTVPVLYEKHEDKVDAFGEKAMVELKKYYAIFDEKYLSKIPKGPSKDKKQH >Dexi1B01G0003010.1:cds pep primary_assembly:Fonio_CM05836:1B:2454788:2455432:1 gene:Dexi1B01G0003010 transcript:Dexi1B01G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAPGDLARRRRQWRYTWEALAHLPLLRLYVFPLPAAIPTGGLRADLRLEDSLLLVSFSLAGEAAPIALRVPVPRVLVDPAALVECRAAGDHVEVRLSLVLPVDHPVVAAAFPPPHGAEPPAPLAVRDDIKRLSAGEVHLYCKNCSARLTKQPLRYRTSATCDL >Dexi9A01G0039990.1:cds pep primary_assembly:Fonio_CM05836:9A:43874070:43874641:-1 gene:Dexi9A01G0039990 transcript:Dexi9A01G0039990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSLSVLPLAPRRPLLLRRSAKNVEEGGGGEGSSRPLRLARSRLRRGRLAAAAVAGEAELPLVDAEAAMRVAADDDSVTATVVSVLLTVAFVGLSILTLGVIYLSVQDFLQKREKEKFEKEETEKQKEEARKKRAKTRKKRRNY >Dexi3A01G0010640.1:cds pep primary_assembly:Fonio_CM05836:3A:7626652:7627017:1 gene:Dexi3A01G0010640 transcript:Dexi3A01G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAIEYLKTLQLQVQMMSMGTGLCVPPMLLPAAAMQMAAHPMAAHFPHLGMGLGFGMGAAAFDMARVAGAHHFPCPPMAMPPGPMFGVPGQAAMPFAHMEPPPLLRGEEQKQTTRQFPP >Dexi4A01G0011640.1:cds pep primary_assembly:Fonio_CM05836:4A:9848286:9850486:1 gene:Dexi4A01G0011640 transcript:Dexi4A01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGPAATKELTPRVQEKIERPNENAQITEARITNLFDAWSALLNTTGDDILKRSDVPRPPHLENCRLSVEKNKKFDSRGDDGTFPPWTLWKGSLGLELFNQAKNKNWAANANPDGQYPPWIVGSDEENYPQTRRVQRDLWVHQHPSDCSDPSLQFLVADWEKLPRLGIGAQIVAMSGLLAIAINEKRILVTRYYNRADHDGCKDASRSSWSCYFFPETSPDCQRRAFELMRSNASLANGAVRVKRSFDSRKMWSADVPRQWGEPWKHMQPTTEVNGSFIRHHRRSDARWWLAQTGTATTNSDEMERLVWSDHRPYLPRPLLSMHVRMGDKSREMAVVGFDKYMELASRLRRRFPNLRNIWLSTEMQASQYLQSCSVEVIDGVGLYPDWSFYFTRAARQRGNQSMAAYEASLGREASTKNALVNFMMATEADFFVGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >Dexi4B01G0021120.1:cds pep primary_assembly:Fonio_CM05836:4B:23155857:23157225:-1 gene:Dexi4B01G0021120 transcript:Dexi4B01G0021120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVRKSQPVLVRPLRPVSMNNAIKLSSLDRDLEKLSVPALLMFEHPVQDVANTIKRALSRALVHYYPIAGRIVAGANGEVYIRCSGEGVTFVSASMNCALKEVISLDLSRDGRTLLDELVIRQDMGFDPTDPLLMIQVTEFSCGGFILGVTWNHALADGAGIAQFLQAVGELACGFPSPSVVPVRCDGSLHLNSLLQKALMGLDPFQDLVGLEITIPSSLINHIKAEFSRGFTGESCTKFEVASAVLWQCRTRAVMGNPETPAPFSYAVNIRKRVGAKKGYYGNCITGRLVMATSSMVASGDIFHLVKMIKRSKEKIADQFKKIEDSNQQAVGPQMEQLAQLQYNILIVSSWGNLGFDEIDFGMSAPLSLSSWLPTCETVTPHGEYKPPFPLCAMCLPFKAKDGVNILGAVVKEEHAGAFLGELE >Dexi3A01G0035990.1:cds pep primary_assembly:Fonio_CM05836:3A:41379081:41383004:-1 gene:Dexi3A01G0035990 transcript:Dexi3A01G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASPSIARDVTELIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWRATAGKIDGLVSGIGTGGTITGTGRYLREQNPNVKVNMHGRPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAVRLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVEP >Dexi8B01G0012560.1:cds pep primary_assembly:Fonio_CM05836:8B:21937118:21937619:-1 gene:Dexi8B01G0012560 transcript:Dexi8B01G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSTTQAAAAGLAESTTRLGIVDVEDGRLGVDLGAALVVVVRERGGAGGDAVERGGAGGEWVVDGVERQGGCLGEGTRRWRKVV >Dexi9B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:9B:5112656:5114647:1 gene:Dexi9B01G0008350 transcript:Dexi9B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNQSIARTERRWSPAAPQMCEPGKNRGPGPGVYMKQVHPGPLRGFQLQCEGCPQRQTRATCQQREEDKTRSYQKGRTDGKKTTKKQGEEEEVSKSLPCPLLPGECALYNGASSKQGQGQVRRIRASSGVVGAEAAAPAMAASMGATPPAGKKISPVMIRFSSRRPRLQPRDGSPPVNLLAGENYRHMIASEDDESRRRRHTVGTPKKATLPARAEHQYWPGGLTANHRSYAMSEQWRKGGGNDQRGPRKKQGGCCYLRGGARYSSSSSRQEPTARGERAVACRDGGGWPVWKQMVTRPMENDGVVSHLDGRALLLIKALSAQRLGLSCSLLLRPPTLPSRQQQKRHAPSKPSEHNAGGMGRRKTKKWRQRLPEAKESRRRGGTGQWLASKSKPARKERRQEEAEDPAGEREEVSSLYSNKPPTFESGGSSWPSVLRSDGQDLPSGAVG >Dexi9B01G0001390.1:cds pep primary_assembly:Fonio_CM05836:9B:815677:820233:1 gene:Dexi9B01G0001390 transcript:Dexi9B01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEDAKSKGSENFDTELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDTFDFEGRTDDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPPPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPSKYTVADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEQRNKRRKDKPEDDRRSREHSKDRNSQASRDRDTERKDRIDSRDGRRDYNRDRDRRHDRDRRHDRDRDRDYDRSRGYDSRRRERSRSRERRRHERY >Dexi7A01G0015280.1:cds pep primary_assembly:Fonio_CM05836:7A:25122720:25124414:-1 gene:Dexi7A01G0015280 transcript:Dexi7A01G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLASTGSSDSKVAEEPSIVDKKTVPEDSGSGMRNDVAHGVGSFSYEKAKMYIIERLNDQGEDSAHIVHKSESFERLISFPENAAFCPNHCPQEENASIAHEVTNPLNLHTTEQEDSSASPNPEKLYQETESADTSNLGTERLVELKIDQGNHPQNEGAISQELISEGVKTIQDAVENPQLCSEIETAQESVEGESRDECSSEEPESMNGSPEEVLKEQEKYSASEVVELIKPSVLTFPYSPENPIDKEEKLSPQSVLDPAVGQVNSPGHKTLNRDELSMPISRVLFKEFDASSASLTLCSGPEISIFDDKDTRVSFIKAVLEASELLSEEHSQVWYTEEPLLDVSVLAEVGNSYCLTDDAVLLFDCVEEVLLMIRDRFFGISPWVAFLKRNVRPAPVGRHLVEEVAKGIDSLVGNEFPNTLEHVMMKDLDSGSWLDLRYDSDSVVVELWDGLLDDLLEEMIFDLWL >Dexi5A01G0006940.1:cds pep primary_assembly:Fonio_CM05836:5A:5151578:5153493:1 gene:Dexi5A01G0006940 transcript:Dexi5A01G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAAATTAPLLLRAAATSFSGAHRGAALLRPLAAAAARPQPRAMPFSSAPATKPSSDAELLSVIESEIKYAEDCDDHDRVEEIPDNFPFKISDEKGMNAITLRRTYHGEQIEVVAHMPSLVTGDEPDHDRDDKDEGEGKEEDDGEEDEGEKPPQSSVPLTVTITKGDGPILEFTCTAYPDEVIIDSLSVTHPSEDCEKDIIAYEGPDFNDLDENLQRAFHKYLELRGISPLTTNFLHEYMINKDSREYLLWLRKLKDFFQQ >Dexi2A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:2A:30800646:30804601:1 gene:Dexi2A01G0018620 transcript:Dexi2A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRVWNGAAAGSGDGVGGREEKPTTTAAVAAGAPADVPTSAASVDISLPLPEMTPRIMQVPLLCSVFLCSHVMPRGLCKELVKGWSSLDSSCFSIETVSGGITNLYMREPRIAAEIAKELHRFHQVDIPGSKEPQLWNDIFKFLKKASALKFEDNEKRKRYETISFREIQNEVKELKDLSDLLHAPVVFAHNDLLSGNLMLNDFEGKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNMYPDKDIQYHFFRNYLQPDRPSEAQDLEALYVETNTFRLASHIYWALWALIQANVSPIDFDYLGYFFLRYGEYKKQRDSCFSLAQSFLSERRNG >Dexi3B01G0010420.1:cds pep primary_assembly:Fonio_CM05836:3B:7300247:7302032:-1 gene:Dexi3B01G0010420 transcript:Dexi3B01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATSPAAVSVPGGVLILQSPSTLLPFPTARFPPSGAARPLHATSAASRRLPAAAVRARSALEPGYSPESEFYKIEAILRPWRVSHVSAGLLEMGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDTFIAKVKMEIVVCREQVEAVIDKIIENARTGEIGDGKIFCKCMVLLE >Dexi9A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:9A:6015944:6018513:1 gene:Dexi9A01G0009980 transcript:Dexi9A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNSNDNAMVHDSEMVDGNGVIHGNEMVHGSEMIHDNEIIHGDEMMHGNEMIQGTEMIEGSEMIQVNDLIHGHEMVQVNDMVNGDEMGHGNELINAGMTPQTTTRRRRKKSLVWEHFTIENMPGGSSRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPVMKDHDRKLALPPPAGGPGTDNDGEGTVERPSKRKYRYTGYANATFDQERSFSHLAKMIILHDYPLHIVQQSAFNTFIDSLQPRFRIVDVDTMEREVYAVFQRSKENLLQAFSTMPGRISLTIGLWTTTQTLGYVSLAGQFIDSEWKIPSTKTLCLDVTTQWNTTYLMLLAALDYKQAFTTLETCDDNYNEAPSAEDWKRVEASCNYLKLLYDSAHSIMAAANPTANIFFHEAWKIQQELAIGTGHEDPVFSSIAKDMHERFDKYWKDCSLVLAIAVVMDPRFKMKLVEFSYSKIYGAEVGKYVKVVNDSLHEMYKEYVAQPLSLTPAYGEQGDANNMSANGNAAQGNPPSTSDGLLDFDMW >Dexi3B01G0034940.1:cds pep primary_assembly:Fonio_CM05836:3B:37584302:37588272:-1 gene:Dexi3B01G0034940 transcript:Dexi3B01G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKMLDASWAAVLAYVSEYWSVIIATVVFACVGAVTIYYTVNQLNKNISLSLVRAIKVRAKQYKKWKVRVPAASHVWRKEVVPRSKGLKCCVCLKSVSPPQYSGGTIHQCDICGAAAHAGCSGNAHKDCKCVSMAGLDHVLHQWAVQWIDTVDHSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITSGANGLASTVRETIMIRRKRYKKGNAPADSDSSGAIEVPSDVEGDSQEANSAAKRRDDQVNGKLNEIHESSESEKDKPSLPDNTDATSKSNGQRENPHAQNNQKYEIFNVPSDSRPLLVFVNKRSGAQSGDSLRQRLQILLNPVQVFELSKQQGPEVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSLLQDVEHAAVTVLDRWKITIKDKQGKLMAPPKFMNNYFGIWVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNIMDNTFDYFPWDVKLSIDGSKVDIPQDSEGVLVANIRSYMGGVDLWKNEDDVSDNYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKIEISMTMPIQVDGEPWSQQPCTIEVSHHNQAFMLKRVSEEPLGHAASVMADILENAENNGIISALQKRTLLQEIASRLL >Dexi5B01G0025010.1:cds pep primary_assembly:Fonio_CM05836:5B:27105761:27107929:-1 gene:Dexi5B01G0025010 transcript:Dexi5B01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSAADAAARSRFGRICFFCGSNPGKREVYGDAALDLGKELVARGINLVYGGGSVGLMGLIAQTVLDGGCSVLGVIPRALMPLEISGASVGEVKVVSDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLMLFDKGATEGFIKQDCRDIIVSAPTAHELLKKMEHYTRSHEEVAPRTSWEMSELGYGKAAPES >DexiUA01G0007630.1:cds pep primary_assembly:Fonio_CM05836:UA:14242683:14246711:1 gene:DexiUA01G0007630 transcript:DexiUA01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLDDNRGSSGAVDEVDGCTVCYEEIADKYNGACGGKFGGDGLLCSLSNVRTLELSAHSGEANKIRVGFNPEASSFTCTNLKKVEITCSAAVCWHRGSKNPLVDQSGEPLQSLGNPFDEMPLKGAAAQGAPGGGGDRLGGLPDRILFRVMGHLKAWEAVRTFMLSTRWRDLWASASRLDVRRPCSCGNPTYDHDRTLELAKFVKNLLLRRRPLALLDSLRLCYSHKAVDGDGNTWIAYAVRHGAVAIELSGEHDMWYPSPEYMSFIVAGDDLAKNRLRILKLIHVKLDGTTLTQLCSRPFDYVPWIENLGSPGIVNTIMHRAPDEYPEYTELVSCNLKILKLSCVHLNDAIIRQLCSRCTCLEELELKDALVEGKEFGSTSLKYLTMISCKFAFGFGIQAPNLVLLRSIKPLQYFPQIQKMESLATATIVLDDDLFLPDCQWLQKEDDSDANSSDESDHDSCDNKNNESDGSSNYYDSDRSAPSDEEAVVHIVLYEGNVMKFPEKTGMWDHPIPGSAYKGIEIMH >Dexi9B01G0049510.1:cds pep primary_assembly:Fonio_CM05836:9B:48113031:48115749:1 gene:Dexi9B01G0049510 transcript:Dexi9B01G0049510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRVRPESPWPPPPFHLLASFRRIHAANSGDSQGKVNSFYLVQQRQFLSCLVLCMRSAYLQEVALPLEEYPSLQPFFIRSLREGARPIDPDPNCLVSPVDEQELSREHIEQIMPENSNAKSWWRVSVASPKLRNQAVLSPRKGIFYCVVYLHPGDYHRVHSPVDWSILKRRHFSGHLFRQNERAVRTIRNLYVENERVNLEPDMWTNRTVSRMVHSLPPEERVYEPEGTGAEVKKGEVVRAATADACGKWKSDGHVRFADSRIQDGVNSGGGPSWKREARSDFSFCVRAGXXXXFSTLTHWSITNCTSLMLEPALQLLEPIFYTLRT >Dexi7B01G0009890.1:cds pep primary_assembly:Fonio_CM05836:7B:17343152:17344361:-1 gene:Dexi7B01G0009890 transcript:Dexi7B01G0009890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAYEELERRSRYLSALVRRTRLGDPPEPEPEAEETEAKANVEPRLKAAPRVGEEGKGGKEEAKERTAVEGKAAREMAAAEGKAAKERKVAVCVRAADMPLPLQRRAVRIAVEAVAAMPRLESKRLALALKKVWLHPAVVS >Dexi6A01G0009500.1:cds pep primary_assembly:Fonio_CM05836:6A:11084597:11087181:1 gene:Dexi6A01G0009500 transcript:Dexi6A01G0009500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRLLAPAPPPAAPSCRLLSNRVAVPRLRCRASASASASPAGGAALLERDGTAVAVREFVTLDELRAAVRLRVRTFYEYAFDSIGAEVNEDSQTRAYLSNVCVAKELQKKGLGYALVDKSKKLAREWGEFITFSA >Dexi9B01G0004640.1:cds pep primary_assembly:Fonio_CM05836:9B:2651977:2658815:-1 gene:Dexi9B01G0004640 transcript:Dexi9B01G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGEPAAEGEAAAGGAGGGLSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAEKGSETSRGFGFVQFATVQDAERALQQKNGFPVAGRKIRVKLAINRAPLKERLQKKENAVQLKDSESKDDEDDTSAAVKLKENSIKADPEKPQLLAKDAMVSKEASIGDADKDLLEMDAHQMQQLYSLQVSNQLGILLSTCIGSKIRKWRVIVRNLPFKVTEKEIMDMFSPAGFVWDVSIPHKSDEGLSKGFAFISFTRKQDAENAIKNINGKVVAKRPVAVDWAVPKKVYTVAAKSDDKDNELANVPDNDSDDDTSEENLVGEDDSSELDKEISNRPSEDDFKTEVDISRRVLENLIKSSEKSEPSGVDGDGSDTDTDTETENDASEKKKPDSPVAGNPAKLKPVADAKTTDPASKPEKKDTGLDRTIFISNLPFDISNEEVTVRFSIFGKVESFFPVLHKLTKRPRGTGFLKFSTAQAADAAVLAANAAPGLGIFLKSRALNVMKAMDKESAHKKTLEKAKTEEGEILAGTPAAEGVSDADMNKRNWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATRQNPVIRKVNILKNEKKGIQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIRKERSQKSAAEAAEDRQGPLGDQPASDVGHADSSRTFRKGNKRKSHDRSSKPSDSGEGHAKDPSVAGDRSAMAVVRKGRPAKRAKKSNEGNALPDQERQDTTPNDSRNQAVSNKRDQSIATTKRKNRKDDQKEQKRGKPSQRTRKQPTGEGGVDKLLVEQYRSKFLKHAVSKPKG >Dexi2B01G0005370.1:cds pep primary_assembly:Fonio_CM05836:2B:4989773:4990461:-1 gene:Dexi2B01G0005370 transcript:Dexi2B01G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSRWKGACQNFTCNNKIIGARAYHNGVTGMSPVDDHGHGSHTASIVAGRAVSNVSLDGLATGTARGAVPGARLAVYRVCWQRAHCADVDILAAFDDAIADGVDVISMSIGSFDPSPYFEDAAAIGSFHAMRRGVLTSAGAGNAGVDGGNVCNVAPWMLSVAASSTDRQLVDKIVLGNGKTIVVGAQRNCLSIDSY >Dexi1B01G0028720.1:cds pep primary_assembly:Fonio_CM05836:1B:33178511:33179560:-1 gene:Dexi1B01G0028720 transcript:Dexi1B01G0028720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSARSQIICVSFNQDNSLFSIGTKDGFKIFDACNGRLCYEKNLGGFNIVEMLFGTSLLAIVGTGEQAYCCIAAQELYLRFK >Dexi3A01G0024140.1:cds pep primary_assembly:Fonio_CM05836:3A:19726140:19731042:-1 gene:Dexi3A01G0024140 transcript:Dexi3A01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWCDGVRAYAKLLVFHFIQAITISANAWSDAGHAVNHLYDLLYLMGRDDIAVGVGGDGGISEDGTIYPNVGGYFAIIEQEMTTVGGCRYRQTIPQVGNGRLDVNTNYGIRRAVLPQGNRRYLPLQQPTTQQMMIDTITAGPTTIFLMGTHTNFALFLLSNPHLKKNVDHVYIMGGGVRSHNPTGCCSKTSISCVTQQCENHSNMFTAYTKDPYSEFNIFGDPFGAYQVFHSGIPITLVPLDATNTIPITENFFKAFEKKQNTYEAMYCFQSLKIARDTWFDNQFYTSYFMWDSFMTGVALSIMHNGERPNGDNDFSVMEVMNITVVTSNKPYGVHDGSNPFFDGHVRPKFNLLDGGIHSGHVLTGLDDPYCVMEGGAEGKCQVNLGVMMIDNMMGLNIYEDGYTKEVQGPDSVAALVAVKAKPNRNASSLLDREFFNNFLEVLNRPAHSGLFNLTNQFPHYKEVMYKPDFRNRIRGMPVIFDMDMSPGDFIALLCLLKANIEAIDLKGILVNGNGWSNPATIDVIYDVLHMMGRDDIPVGLGSITALGAPELGCEYVKAIPHGSGGRIDTDTLFGLARILPRSPRRYTAENSMKYGAPRDTAHPELRQPLASEVWQQIISELGPTDKITVLTNGPLTNIANIILSDTKAKSMIEQIYIVGTHLIDGEGEGNLFTVPSNKFAEFNFFLDPKAAKTVVESSLDITVIPLRAQRQVSSFGEVLRSLRSAEKTPELSFVYRLLLLMQKLQKKHHAYSHILQCLLFFLHELQDMFLGEILGSMFLVRQSHLEYSITEKAISVVTGHVSMDGQTILDEINGKPVKVLYRLDSDAYYTELAKLLAAKKQSAIVGSFDEQKRLWNKANYQGRADPGVVK >DexiUA01G0012540.1:cds pep primary_assembly:Fonio_CM05836:UA:25464746:25472344:1 gene:DexiUA01G0012540 transcript:DexiUA01G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRLKSTIFDREEKKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDSSLPIKTDKDTLREGYRFILSEEDDMDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKHGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKREKEKEKEKEKEKEKEPSGEKEIELRDRDKRKREYAESDETSEEEAEKDTRKKKVHHVSTTSLALAWPDSTLYWSPTPKCAMSSHTTVSSSPPWLSRGESALPPKSTTSGDRRQAVARSSQAVQRSAREQLAARKTMALTGEAPARSGSKPKAKTAATTGFS >Dexi9B01G0017890.1:cds pep primary_assembly:Fonio_CM05836:9B:12648545:12654353:-1 gene:Dexi9B01G0017890 transcript:Dexi9B01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTHVAEEEGAAIDTPLLHLVALRLLLLPLAAVQKGKNGGRLPPGPLAVPLLGNWQRYSVAHLVARYGPVVSLRVGTRLVVLVADRRVAHAALVETGAELADRPAATRTRPFHGEIGNTTTTVSRARYGPTWRLLRRNQGRIWAWGYRLPQPSVTTHIDAACESNSASSVSPPDASTSSLSVSVLSRRRRHLGPPLLSLKFGCPGPRPRLSGSLRAGAAALRQARSAGAASGGSLAREIAESETRSRPFGSTQDAGGKEAMAGRAADAQEANELIASNRTTKVALGVEICQLRHWPQPRRRDAPPAVAACPAALRPCAPRARRQAPPSVGIGVQDEGPAALRERDGGAPARRVLPPPPERAAAAAQRNWIMFMAYQAYVFAFSPAVTKRLFRRRLQMGLDARRRQKELFMALIDARRERKKLTPKKKDTSSFEHAYVDTLFDIKLPNEEGGSRRLTDDELVSLCSEFLAAGTDTTSTALEWIMAELVKNPTIQGKLYSDIKETFSEEVTRRMRPYLKAVVLEGLRRHTPTTTPISHSSRFQTPYRTWFWETIRTHNLTFAHIPLYHYTIASHDVDVCGYLIPKGRMGRDETEWERPMEFSPERFLPDDNSEGVDVTGSKGIRMMPFGAGRRICAGLGVAMMHLEYFVANLMREFEWHEVPGDEVDMAERHEITTVMKKPASCLVPRRMIPRGLGPAPWCTCTWRPGTAISPEMWYRVTFLRSPSCGMHGSVWR >Dexi6A01G0009560.1:cds pep primary_assembly:Fonio_CM05836:6A:11269402:11273976:1 gene:Dexi6A01G0009560 transcript:Dexi6A01G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRHLRRFSQHHAERHSSASRLIRQQNALIMCSSTSRSLSMLRCSGEINRFASPGLELMRSMFSTVAADSVKDMGRGGPMVEYERRIASGELVDGDSFQLDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLIPQPSTHAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSAIDYRQLGSAEQGFYFIGKHYSTLLKQKLQSLIGDEEPSPQTVEVIMGRKLLVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPRFGSTNRTAAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKFSPRSSRSQKSDDTDLCVDNELGFAKDRTISRLTEINSREYLEDFEARLQQQQPQPLQGVDSADVVPV >Dexi3A01G0010030.1:cds pep primary_assembly:Fonio_CM05836:3A:7122680:7124514:-1 gene:Dexi3A01G0010030 transcript:Dexi3A01G0010030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAPSSSGFALDFLRRLLCARGAGSAADPAAAPTHLQTPETEPRSPCIVARLMGLDAMPPSPPHAHPSPSPPPPLPLRRSRSASSAEGSPSPWDPHHQQQPRVVRASESLREKPAYLRRESDEFLLLSFSPEDHRGRRDVQEELEFLLAAAGRGERGGGGPNGGPREQRRNGRCRRLLFGGDDEAVSSSGRRRRMPAAECDAVNSSPVSVLQVRDAQEEESTTTTTSSFLEEEVEHAEPCSASSDEIQNTLEQQNSRKLHADFDQFDNLSSARSSCHASSRCSDKERRNRRAVNKAEVIAPDVTSFWQPICRLVEEDLKTMKWLVQDAANVAAEMESGILDHLIREMMDEFVQGRFETVHASPLQSNK >Dexi2B01G0008610.1:cds pep primary_assembly:Fonio_CM05836:2B:8950903:8951339:1 gene:Dexi2B01G0008610 transcript:Dexi2B01G0008610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPSSSDSLAPPLRRRSRLVFDRRYGWIFDEWKDPADQALSGGRGMFCALTIARSLVNGAASSVIILLWN >Dexi5A01G0035350.1:cds pep primary_assembly:Fonio_CM05836:5A:37151117:37152039:1 gene:Dexi5A01G0035350 transcript:Dexi5A01G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPENFTLKMVQLFLHVPAEGGSVNTAAVVARRSLLNNVGSIIKSIIKSAGRYEARLWLCGTVSLVHSLSRHDQRNLFLDLLEMKNSRRDVAARLLRMIFDKKPKIAGSVLAKNGHILEEFFRGFA >Dexi8A01G0017470.1:cds pep primary_assembly:Fonio_CM05836:8A:29174419:29176300:-1 gene:Dexi8A01G0017470 transcript:Dexi8A01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDCFILMPTGGGKSLCYQLPATLHPGVTVVVSPLLSLIQDQIVALTYKFAVPAAFLNSQQTPAQASAVIQELSQWGHDFRPDYRGLGCLKENFPRDVLGALKIPNAVILKRSFDRLNLNYEVISKTKTAQEQLGDLLKERFMNKSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGMAARQRTSVQEKWHSGEVKVICATIAFGMGIDKPNVRFVIHNTLSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNGGNFRSAMEQAKKMQAYCELKTECRRQALLEHFGEQYSRPKCRDGPSPCDNCLKT >Dexi6B01G0014270.1:cds pep primary_assembly:Fonio_CM05836:6B:21833557:21834605:1 gene:Dexi6B01G0014270 transcript:Dexi6B01G0014270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGFTEPFKTGRFLREVKPPRTLTAQTARLTGQTERLTAATAGRRFGQPRLHGAGLLLVRGSPFMQAFMRRPRPCMLTERLIHRQLQRKKCCRPFEHVTESHNSQLCDDTATPEPRFLIISLTADLNFIDGRTH >Dexi8A01G0003560.1:cds pep primary_assembly:Fonio_CM05836:8A:2660993:2661394:-1 gene:Dexi8A01G0003560 transcript:Dexi8A01G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEEAIPVQTLLFMRVPSSAHSTPRLTVAFVAPKKRRLFTKDGSPIYSPAPRSPDNTPERTPTGKGKSLLTLGGSRLTKESVGSSPNSFKIGRHIPLPQIKRPGGNYKSSDEKVKRTRALPLNSLLPPSLS >Dexi5A01G0026030.1:cds pep primary_assembly:Fonio_CM05836:5A:29746193:29747710:-1 gene:Dexi5A01G0026030 transcript:Dexi5A01G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAWSGSEAMTGKKQDHGTMSSPREDGRNLRHISSPREEDRHGTEIEEDCGEGEDIVVTKKQCVEEDEEQVVGGLKEFRKFWEESMSPHFGPLTATTAGSESGPMRYTDSGPPSFGGIPYDALEIFSIKVTELKGFEWPIRVFGLVAVRDSMDHKRNVLFDRSEEDSQTLTEKDSSLVLTGPTRAIALIDPPEFEVELRILGSRPSGGKILSAVYFEYTNRNSASTGLVQTWTERSKRSKIEVKCSQLNAPLEATIELCHLEGSVDFHGQFYAHMEHMGEEHIVLLDSRDDKVTLKPDGHVMLSRKVVLVEEGARLVLGVKAWQNGDVDSAVVDTAVFPARFHSRSNGCFDVGFCKMSISVAWSVLC >Dexi9A01G0025650.1:cds pep primary_assembly:Fonio_CM05836:9A:26341382:26342981:-1 gene:Dexi9A01G0025650 transcript:Dexi9A01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVSSLVNSSGYTAYASYTLSSGRGMAGFRWRVGFKVQTWGRSCLRGFSSAAIPTQLEVLCSTIYPHKSHLLNVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVVITMLPSSSHVNVLQVLEVYNGANGLLGAGSRLAPWLYIDSSTVDPQTSRKISAAISRCHLKEIKGYTENPMILDAPVSGGVPAAEAGKLTFMLLFLAFHHNLYLFEQTRNLL >Dexi9A01G0047400.1:cds pep primary_assembly:Fonio_CM05836:9A:50531532:50531994:-1 gene:Dexi9A01G0047400 transcript:Dexi9A01G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTLDFCVDKVLAEEEDGYLVGATDGSDIDLVADSLTANTMVVENDGSMDGGAVDVEPTAFSLDGGVFMEGVCYGGTPAVAVVVADTMESPVAAIPELELLGVVGLHLHRLAPGQGCSCVGMAPPFLMHWWPLQGSRRW >Dexi7B01G0008690.1:cds pep primary_assembly:Fonio_CM05836:7B:16461947:16465878:1 gene:Dexi7B01G0008690 transcript:Dexi7B01G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAMELLGRSFFPGPTGAAGRERGGGPCFAAVGREGRARPLRSAALAERAVVTPAPAERAAAPPSTEPPPHPQSVAARAVVTVRRRRKEDAKRRVAEQLDAYADRVGRSVLLELVSTEIDPRKGVPKKSKPSKLNWFDKKDVKAERVVYTAEFTVDASFGEPGAVTVLNRHQREFYLESVVVEGFPSGPTHFTCNSWVQPTRVDRDPRVFFTNKPYLPSETPPGLQELRRQELAALRGESAAAAIAGGERRLTDRVWDYDVYNDLGNPDKGVEFARPVLGGERMPYPRRMRTGRPSTVTGKPIHLSHKFRDTDVFFSLAGARADDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALQDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHVIGQLDGMSVQQALEGNRLYMLDYHDIFLPFLDRINALDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPTKPHGLRLLIEDYPYATDGLLLWSAIERWCDAYVAMYYPSDESVQCDAELQAWYAEAVETGHADKRDAPWWPRLSTPADLASLLTTLVWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEHAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERRDEAWTDDTAALAAAREFADEVRRAEEEIDRRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSVTI >Dexi2B01G0035570.1:cds pep primary_assembly:Fonio_CM05836:2B:42517830:42518336:1 gene:Dexi2B01G0035570 transcript:Dexi2B01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPNPGGTKSGVPDGRKDSGGINDDDVGMGSRGILLQRPGFLCLGTVAGVAPTDEPLPASSEAATKPGPCIGSPRVWCSMFDQSRRWEQRSTSAAQRRQRRRRLRRAGGVPTAAGLVMERPRGAMESHEPQDGHPGTDQAQLLQGQLLIVRPSSMIKTAYTYRLGL >Dexi9B01G0034760.1:cds pep primary_assembly:Fonio_CM05836:9B:36658303:36659896:-1 gene:Dexi9B01G0034760 transcript:Dexi9B01G0034760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVAFFFLSIASLRVCLVGSRTLPQGEDPTVDDVFYNTLSARPLFVDYARGVVIKLDAGDLAVVLADDYFDDVDYINVASERICIDSPSSRHFYDHA >Dexi1A01G0027760.1:cds pep primary_assembly:Fonio_CM05836:1A:33486342:33488481:-1 gene:Dexi1A01G0027760 transcript:Dexi1A01G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDARAPLLGAGGRPPSLRRRDSARSLRSSFLSRLPDKVRAGLDPERPADVDLARAKGLSQGEREYYEKQLATLRTFEEVEARCMPGEFESDGSDLVDIEDKEQKQSEFAMKISNYANIVLLVFKVYATVRTGSMAIAASTLDSLLDFMAGGILWFTHLTMKKVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLITAVEQLVENKPGEKMTSEQLIWLYSIMLSATAVKLALWLYCKSSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDKFLWWIDPAGAVLLAVYTIVNWSKTVIENAVTLVGQCAPPEMLQMLTYLAMKHDTRVKRVDTVRAYSFGALYFVEVDIELSEDMRLREAHTIGESLQEKIEKLPQVERAFVHIDFESTHKPEHEVRSRLPSTDP >Dexi7B01G0008350.1:cds pep primary_assembly:Fonio_CM05836:7B:16183542:16185557:-1 gene:Dexi7B01G0008350 transcript:Dexi7B01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKKAKELSVLCDADIGVIVISPHGKIYDLATNGNMQGLIERYRRTYSEMHGAIQEEVLALTHEIDLFQKGLRYMHGENVINHMNLGELQALENNLEMWVNNIRSKKMQIMSKEIEVLRNKEGILQAANGILQERVLDM >Dexi1A01G0023560.1:cds pep primary_assembly:Fonio_CM05836:1A:30184044:30184529:-1 gene:Dexi1A01G0023560 transcript:Dexi1A01G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYYFPSSWDTTGYVEAAFPGHTASRNPSSPSPRTRRASRDDANADAGEPRHHYLYACFRCGRHLGGNKDIFMYRGDTPFCSEDCRQQQIEADEAREKRSRHPAATKREREQRQSCSPQRIPLWAR >Dexi3B01G0030980.1:cds pep primary_assembly:Fonio_CM05836:3B:31297195:31302047:1 gene:Dexi3B01G0030980 transcript:Dexi3B01G0030980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGRMPEGAEEKKKAGVDARKDGVAREVIRMEREAVIPILKPKLVMRLAYLIEHEFDRNEFLKLCKKVEYTIRAWYLLQFEDLMASHLFQLYSLFDPVSGAKRLEQQNLTPEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHDNLPEFADKYIIFRRGIGIDRTTDYFFMEKIDVMISRAWRSLLRVTRIDRLFSKKKHLQPKKDTKKTDEINEDEEEPELFVERIRLEKIELSVRNLMSKMTIEEPTFDRMIVVYRRAGTKNNPDRGIFVKHFKNIPMADMELVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATVQDLDLRCEELIKDEFGAECNFDVHDAIKKLEKLSIVHRVNLIQFFVMLKYLLTIRHIFKPPDDSIGRILCVPLKRANEIIGTTTEELVMRAQQSPAP >Dexi6A01G0020030.1:cds pep primary_assembly:Fonio_CM05836:6A:27587229:27588957:1 gene:Dexi6A01G0020030 transcript:Dexi6A01G0020030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSASASPLLLPSGPRRASASRPWLRGGGASRSRALSSDAPCCCKAGGGSAAQCGAADGFTIGSGSSSTRRGLLGVAIGASALGLAAFDAVAAGLPPEEKPKLCDAACESELENIPMVTTESGLQYKDIKVGQGPSPPIGFQVAANYVAMVPNGQVFDSSLEKGQPYIFRVGSGQVIQGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPSSPVVFDVNLLYIPGLDDD >Dexi6B01G0017830.1:cds pep primary_assembly:Fonio_CM05836:6B:24883723:24883956:-1 gene:Dexi6B01G0017830 transcript:Dexi6B01G0017830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAALVNPSSIAGHRAETCRRERAAVDGWTAGACGGLAVGRRSGAERARVAVVSRVSSSASRGRGRKSAAWERRGT >Dexi2A01G0014160.1:cds pep primary_assembly:Fonio_CM05836:2A:23074034:23074454:-1 gene:Dexi2A01G0014160 transcript:Dexi2A01G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLCFGNCGFLGRTRLGRLIQAAGRELLEKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDILVAGLIVAAIEGIGMLMYRKPISRPPGRLQSLILMVNYWKAGVCLGLFVDAFKLGS >Dexi8B01G0003930.1:cds pep primary_assembly:Fonio_CM05836:8B:3437030:3443589:1 gene:Dexi8B01G0003930 transcript:Dexi8B01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYFFGFLVPFVASLLLTKKKSEKKRGVPVDVGGEPGYAIRNHGFEKPIETYWEGISTLAELFEQSCERFAYMPLYGTRKLIAREMEVAADGRSFEKLHLGNYEWKSYADAFKTVCNFSSGLLRVGHLKDERVAIFADTRAEWQIALQGVMMSHRNVLAVVSAVTTIVPNLGKKDVYLAYLPLAHILELAAEDTDHL >Dexi6B01G0018250.1:cds pep primary_assembly:Fonio_CM05836:6B:25273651:25276055:-1 gene:Dexi6B01G0018250 transcript:Dexi6B01G0018250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVVSSSAASMVAAAALLVLLLSLHLAVVVVGARGVQSQPSYRGGGGGGGPCALAVTPLGYPCEEHQVTTADGYILSLQRIPRGRGGGWPAGGGSRAGQPVLLQHGVLVDGMSWLLASPEESLPFILADRGFDVWIANTRGTRWSRRHVSLDPSSRLYWNWSWDDIVVNDLPAMVDYVCKQTWQKPHYVGHSMGTLVALAAFSEGRVVDQLKSAALLTPVAYLAHITTPIGILLARAFVGELLSDLLGVAEFNPLAPPVSNLIRAFCRKPGMNCYDLVGSITGKNYCLNSSAVDVFLKYEPQPTSTKTMVHFAQTVRDGVLTKYDYVLPEKNIASYGQADPPAYDMSSIPASFPLFLAYGGRDSLADPDDVRLLLDDLRGHDRDKLAVLYLDRFAHLDFVIGVCARDYVYKDMFAFFDRFN >Dexi7A01G0009260.1:cds pep primary_assembly:Fonio_CM05836:7A:20329101:20333553:-1 gene:Dexi7A01G0009260 transcript:Dexi7A01G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLRRLFRAPPRRAPLSRALSSSPPAKGGGDGGSGVAVKQVTRGNLAEALEELRGRVRDATFVGIDLEMSGVTSAPWRDTFELDRDDVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPRKELLSDYSSHEFLCQTTSIDFLAKYQFDFNTCFREGISYLSRAQEEEAIQKLNLLHQDRTSASSTTSEEDGDMPLKSVADILFTERMKNNFKKWRDLLVSKSDGHFSEYTKCATGQFQTVFFKMRPAIMLNGFSSHQLKLIQQVLRKNFRDLVYVCTFGEDDTSEKRVVYSDTEDDKIMLMKDVQEGLLKNREARVKSAIGIRHVIDLLSSERKLIVGHSCFLGAKHEAGYDAYMTGCVFAQLCSYLDIKFEQLSPQDNLAKNNKLQKHVNLLSPCWNSGTVIDLSSGTERPDPGYMRRYPAAVYDNIVLIWGFMSKVRPKEIKDCICKVFGSGSITSVFSIDSTAVLVQFKKQESVNDFLDLKAVLERTDSAISMVHPLSTILEGGQTRAVKYDTYRDICSSSESKYLFADQAEAVCATSKNQLQDNVDDNFTSGVHQSILHDTVLTSVNKGDGTKSGSKNEDDADITCQNILDALHDGRTFLGRRMRS >Dexi9A01G0001110.1:cds pep primary_assembly:Fonio_CM05836:9A:575251:576914:-1 gene:Dexi9A01G0001110 transcript:Dexi9A01G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVPVLDYYCSLPQQWQVTVLLVVVPLVFLLVATRRRIRSWRRLRLPPGPPRLPILGNLHQLGALPHQSLRDLARRHGPAMLLRLGSVPTLVVSSSAAAREVMKAHDVDCCSRPDTPGARRLSYDHKDVAFAPYSEYWREMRKLFVVEFLSMRRVQAASYAREAEVDKLVARLAAMDGEAVQLEDHAFGLMDGVIGTVAFGNIYGTEQFKHKKTFHEVLDEAMSAKAGFSAEDYYPNALGRIVDRLTGAAARREKVFRDLDAFFDVIIDQHLDPSRPTPEHGPDLIDAFVALMKERRHHLEGSSLRFTRDHIKGLLSNVFTASVDTSSVTMVWAMAELIRRPAMMKKVQEEIRAAVGDKAERVHHDDMPKLRYLKMVVKETLRLHPAVPLLLPRETLRHVGICGYDVPAKTRVLVNAWAIGRDPAIWGNNTEVFEPERFDGSDIDFNVTHFDLVPFGAGRRMCPGMAMGLATVTFTLANLLYCFDWALPEGMAPEDVDMEEAGGLTVHKKTPLLLVPTRYMHCQQQCP >Dexi3A01G0010720.1:cds pep primary_assembly:Fonio_CM05836:3A:7679214:7680348:-1 gene:Dexi3A01G0010720 transcript:Dexi3A01G0010720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLHQLPRSAFNNLPATRGAAAARALLASSTAARSSARPRSSPARAMASQQQQFPPQQQGSQPGKEHAMDPRPESIIQNYKAAGKLKDKVALVTGGDSGIGRAVCLCFALEGATVAFTYVKGDEDKDAEDTLRALRDIKSRTGGAARDPMAVPADLGYEENCRRVVDEVAGAYGGRIDVLVNNAAEQYERPSITDVTEADLERVFRTNIFSYFLVTKHALPRMVEGGSIINTSSVNAYKGNKTLLDYTSTKGAIVAFTRALALQLAEKGIRVNGVAPGPVWTPLIPASFGEEKVGQFGSQVPMKRAGQPSEVAPSFVFLASEQDSSYMTGQFLHVNGGVIVNG >Dexi6B01G0005930.1:cds pep primary_assembly:Fonio_CM05836:6B:5522165:5524091:-1 gene:Dexi6B01G0005930 transcript:Dexi6B01G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCMASAPLAAAATPATAKSPACPSKKTSTTTLRARAVSCRASGRSSDDLLWLPRREILTGLGGITAGLVGYQSFVSSVANAAEEVAAEKCSKPDPVTDTLIECMDPTIPCPPTPKTPIVDFTPESTVKRIRRPVHLLNREYQEKYKEAVAKMKALPASNPLSFVRQAAIHQAYCDHHYHYDPDKKNVDFDVHNSWLFAPWHRMYIYFYEKALGQLIGDDTFGLPFWNWDTPAGMVVPALFKDSFANPLYDSNRNPDHLDMVANLNFLNDKSTPVAFNGPHDKAYQEAIYKNLATVHQQQMRGAGCARSFLGEKLCTDNISRQGQGSLESMAHTALHVWVGRGGGTSCTGGVVDFQGKTQCANDMGFLGSAGRDPLFYSHHANVDRMWHIWATKLGGEGFKDPEWLDASFVFYDDVDNPHPVRIKFRDVIDTKNLGYTYDAEADKDLPWKDCQLTSLVPHTKGAGGAMSKLRRAVTKAAVFPVTVTKNNVIEVPSVVVPAKKEGQPRVLVIQGIEYDPNVANKFDVALNVPKDSALDVGPQNFEFAGSFAVVPASGAAGEKVKGGVTFSVEACLEDIKAADDSTVDVIIVPRTEGEIKINSAPTIQS >Dexi8A01G0002760.1:cds pep primary_assembly:Fonio_CM05836:8A:1809282:1809944:1 gene:Dexi8A01G0002760 transcript:Dexi8A01G0002760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRGRPVQHIRSGGRRPRLGAGGEAVEEEEESGGGGGAGRVWGSSEEERGGGENPGVKMIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >Dexi4A01G0019270.1:cds pep primary_assembly:Fonio_CM05836:4A:23076467:23077142:-1 gene:Dexi4A01G0019270 transcript:Dexi4A01G0019270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRRSRSQTPAAGFSRPCDRRVSPQAGPFHGEPPPLAQPFELEAAAWRERIIRGEVERRLLEEVCLIEEEVRRELAIARARFLGVLGPVPFVGPDGPFNMPLPPPPDTLFGPGGRFLLPGPMPLMPVGMSPNWPPQASFGEWEGFDGRMGFEQLMMVNRRPPPPPKPKQKLKLREIKPSKSSKVCAHI >Dexi1A01G0028910.1:cds pep primary_assembly:Fonio_CM05836:1A:34484213:34487215:1 gene:Dexi1A01G0028910 transcript:Dexi1A01G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTHGSGRHAFGDLTNILCKRPALSDPEKSTGEIKIRRIEKDTVTRKGSDENAINSNKGKGVVFGNLFDGVVKENFEMPSIFRRTKVPHMAAKAADLLSKEVSDLRDRTASIDLLDLSDQEQDSSIDSEGEYDEDDSEMTGESLGHFSSSELANKTATNDCECLAQEEIVGSSGNQKPLSSLDFMTGGNMPSSSVQHASMRTVGSKAVPTKSCVCSFCLKAAFMWTDLHYKDARSRLSVLKKSIKFTRSLEAKQSKENEHAANVAGYNSKRSVGMEFELSQQQRSLFLYTKNALFRESTQLVSCYIHPLPSLLSKLLCGLCICILPVPDIAPHSSFVKLKELRKNCKTDLEMIGNSSLGK >Dexi9A01G0031690.1:cds pep primary_assembly:Fonio_CM05836:9A:36659754:36663215:1 gene:Dexi9A01G0031690 transcript:Dexi9A01G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFARYASLEGYALDLLAILQELGVQSCIYVGHSVSAVIGALASISRPDLFTKLVLLSASPRYLNDVDYYGGFEQDELDELFEAMRSNYKAWCSGFAPLCVGGDMESIAVQEFSRTLFNIRPDIALSVAQTIFQSDVRNLLPLVSVPCHILQSTKDLAVPVVVSEYLHKHIGSDSIVEVMPSEGHLPQLSSPDLVIPVLLRHIQHDIAV >Dexi1A01G0003540.1:cds pep primary_assembly:Fonio_CM05836:1A:2551332:2552079:1 gene:Dexi1A01G0003540 transcript:Dexi1A01G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPGSPSMPCMIHRRSMATSPMARSPNLPGPAARSVESPCRGATPSSLARLAVAVRRTHGAWWMDGLMRVEDGHAHAGAAGDTGEDAAAPVAFLSPHHRSESGKYSTAATKPSQKLIAATYPFQAAASLHSRPCSASLLSSTRDRPAHPRHGHVLHFSHSFLYPKLLPIHALPPKGEIGACTGNSAIGIDRIQPTWLAFANIPLRLI >Dexi5B01G0038800.1:cds pep primary_assembly:Fonio_CM05836:5B:37869627:37870265:-1 gene:Dexi5B01G0038800 transcript:Dexi5B01G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLGDHALFLGPTSCASGVLHSVFGCAGAAAAAPVASQVITFSMKPGRTLTSGAPPSAPLTYSNGHCPAGPATSRVPAAAFLLPPPAAGADAAADRAASVAAVRTLREGSLDAGRTKSSSAGGTTGIGRPTKKASAWQTVWSVAGAGSSAATSPAVMTPVISLARPPQYTTLAAAGPTGRYMHGGSDSPLPMPEPCGVV >Dexi5B01G0011880.1:cds pep primary_assembly:Fonio_CM05836:5B:8435098:8442117:-1 gene:Dexi5B01G0011880 transcript:Dexi5B01G0011880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSVTLLHFLSPAPFEGFSSAPPSRLPPWIRQDRGGPAKTVYKGRKKKTIEYFAVKSVDKSQRSKVLNESAPVVYEEECAVSPCFAGDAKHLMVKVPNVVIVAYDNSKPTILLLTFLFQLCDFGLARRLKDIEKTSPGDRERDKENYRRPPTETSENETEVKIENNDMELDFGENPEGDVTDDTDGSDHPGSAANEKPQAADGNEENCMANQVDMLTDEGSVKPDTMMKTDQNSCSDNLDVVATPPSICMRKAQRAKARDDFQMTLLRVLEAATEEPSVILKEHKIFTSRIIPSLSILYKGNRDGDARFLCLKILSDVIIVIFSDSSLTADEQTIRDLKSISQKHFLPLYPSFAEDEDPIPIYAQKLLVMLMEHDCVKVSDILHKATVSQCFEFLLGDLSNANVSNVKLCFALASAPDMDTHILSQLQVVRRIGNLLEFVAAKDMDDFLEPTLELCRAFIVRGIGSNRSVALSKNPALLVDNAFSMSIAVDQQTCVMDICDFGGNMGIFIELVGNSDSQISDLASDCVVLLLKAAPREATVGLLTNLPKLSAVMDLLKHDSCLRLTRLLYGLAFSCRQYLAQGMILSLSVSAMMRVEALVSAFKGSSDNLLADAASYLGAELQRLPRCG >Dexi5B01G0004620.1:cds pep primary_assembly:Fonio_CM05836:5B:3114584:3115404:-1 gene:Dexi5B01G0004620 transcript:Dexi5B01G0004620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHPTLIGRKQVLSNSGIASILVVLIALVTGGTDRCLDSKDSTLITALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVQKGTNGGVTIDGLLAAAAAGFSIGLAFVLIGFFTTQCASDVFWRQLLVIPLATAAGLCGSLIDSILGATVQYSGFCSVRKKVVGVDGPTVTRISGMNILDNNGVNVVSVFLTTVLTAVTCTYIF >Dexi3B01G0026470.1:cds pep primary_assembly:Fonio_CM05836:3B:21602608:21604725:-1 gene:Dexi3B01G0026470 transcript:Dexi3B01G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Dexi3B01G0022490.1:cds pep primary_assembly:Fonio_CM05836:3B:17302496:17305407:-1 gene:Dexi3B01G0022490 transcript:Dexi3B01G0022490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYLTLPIFSVLAAIGYVYYTAVFVAVPRWLGLSTAAGVANAAAFTALAAACLATYAVAVRRDPGRVPPGFVPDVEDAEGAVHEIKRKILIIGSVLHSVPKDEQSGSDSARTSIIICGVILSPLALALAVLLGWHIYLIIQNKTTIEVCDPKFLLILDSAPVFRSFDKIVTNVMQYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGPNIFCWLCPVSNTVGNGLRYCTSYDIPISTPPM >Dexi3A01G0031560.1:cds pep primary_assembly:Fonio_CM05836:3A:35988193:35989266:-1 gene:Dexi3A01G0031560 transcript:Dexi3A01G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSFAVLLHGRLASPRPPSKAQPFLAPPSNPCRFLASTSARPSPARPPLLAAAAAAASGGERDNRVQELRVPDSWLTPEGAAQESEWLRETLHRWLDDEYCPEPANVDISRTAARSYRESLVAGRSDLGEILLKMAGDLESLSYRESFHGAFSAANAAVRLITQRMEEVGSGDGGP >Dexi5B01G0032880.1:cds pep primary_assembly:Fonio_CM05836:5B:33413779:33414222:-1 gene:Dexi5B01G0032880 transcript:Dexi5B01G0032880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSSPCDACRGDIGLLQATLTSECAHTFHLRCVSGAAVCPVCAAKWSDSPAVAAARLVEGPFGKTPAPPKPFGSLFGENPFWLIQAPPKPPSRSCSVCHGTIRRGQGGVTPDCNHTFHLRCNPGSFCPVTVAPSP >Dexi6A01G0010550.1:cds pep primary_assembly:Fonio_CM05836:6A:12995071:12997996:-1 gene:Dexi6A01G0010550 transcript:Dexi6A01G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVQVCSTDVDETLMKEELDKLSAALIQGATTCSPPMPLTTIVVQDHKGISNAAPADCPLIPLLVPKGDQLEGGAEDKTRIHDHISNLKFSISPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDVCCGTGTIGLTLAHHVGMVVGIEMNESAVTDAHRNALINGIKNCRFVCGKAEDVIGSLLTEYLGSPQQHNAASVTNDTNINEETVDGLENDDENMDSSTEKHDNGESEQPGDISVDRPTCASDEEIKGDSVERINKEVDSSPDEHNEAAGEQNLGEASLINDDSTDKAAADSLDHGKTCQDISSIPHNNMLASSACQFKNVVAIVDPPRVGLHPTVIKALRTHPLIRRLVYISCNPDSLVANAIELCTPTSEKQEKNKGNRGWRSMSAAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >Dexi2A01G0015530.1:cds pep primary_assembly:Fonio_CM05836:2A:26650635:26653573:1 gene:Dexi2A01G0015530 transcript:Dexi2A01G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding AALPSPTNPQQHAEPLTAAARVLPVAEVGRMWPWHIVAALTIACAAAVVTADSGLSHAGAASEPPAMGAVPNSTRNSNNGNSYHHVWPPMEFGWRIVVGSLIGLFGSAFGSVGGVGGGGIFLPMLALIIGFDPKSSTAMSKCMITGTCVSTVYYNLKLKHPSLDIPLIDYDLAMLIQPMLMLGISIGVIFNVVFPDWLVTGLMIILFLEVQCSVLHSILGLEFSSGVVAGLVGGLLGVGGGFIIGPLFLELGIPPQVSSATATFAMMFSSSMSVVEFYLLHRFPVPYAVYFVAVAFIAAIISQHYVRKLISSLGRASLIIFILASMIFISAISLGTLFYN >Dexi8A01G0002560.1:cds pep primary_assembly:Fonio_CM05836:8A:1671317:1674187:-1 gene:Dexi8A01G0002560 transcript:Dexi8A01G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRSPPPSLLFLAASLLAAALGWLIVFAARRPSRRRSARLPPGTTGLPLIGETLRLISAYKTPNPEPFIDDRVARHGTGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHCSYPSSIATLLGPHSLLLTRGPAHKRLHSLTLTRLGRPASPPLMAHIDCLVLATMRDWGEPAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIRKRMDEKMENGGAKGEDDEKRDMVEELLDAEGGTFSVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRDIKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKEYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQVLSKNKLQSAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTLKGYPINLRRRSDSVV >Dexi9B01G0035500.1:cds pep primary_assembly:Fonio_CM05836:9B:37136808:37143315:1 gene:Dexi9B01G0035500 transcript:Dexi9B01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLAASGAAVSSSSPLFSSSSSRPIARRHAPPSYVSMRTRRRRQPAAAEAAAAAEPSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKVDALKMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMSGSTVKPMMSNIAAWLMLLALLPHLFFIDAAAGRQQCFWPGQAPEDAGCLSWRVMVEANNARGWRTVPAQCVGYVKGYMTRGQYLRDLAGVMEQASNYVDQITADEDANADGLDAWVFDIDDTCLSNLPYYETKQFGYGTAAASLVMLSISLSLPITSEEDSEMCASLFYRAYDPLAFKAWASKEACPGIPPVLALFTALLDKGFKVFLLSGRDEETLGPCTAGNLEAEGFSGYERLIMRSPEYRGQSSSVFKSAMRKQLVDEGYRIRGNVGDQWSDLQGDCVGDRVFKIPNPMYFVP >Dexi9A01G0041010.1:cds pep primary_assembly:Fonio_CM05836:9A:44683596:44685210:1 gene:Dexi9A01G0041010 transcript:Dexi9A01G0041010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAQGGDKPALRKPVFTKVDQLRPVTNGHTLIVKVVSATPVPGRARPGAPASSRAPRIAECLVGDETGVIVFTARNDQVDLLKPDATVILRNARIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >Dexi9A01G0044950.1:cds pep primary_assembly:Fonio_CM05836:9A:48571148:48572967:-1 gene:Dexi9A01G0044950 transcript:Dexi9A01G0044950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGYLGGRLCAALADAGHAVRALVRRSSDVSCLGSGVELAYGDVTDADSLAAAFDGCDAVFHAAAAVEPWLPDPSVFLKVNVRGLENVLKAAKRTPMVKKIVYTSSFFAIGPTDGYVADETQTHPAKAFCTEYEKSKVLADRIALQAAIEGVSITIVYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGENMSFMQIFNMAANITNTKAPLFHVPLWLIEVYGWISVFVSHITGKLPLISYPTVHVLRHQWSYSCDKAKTELGYTPRNLTEGLSEVLLWLKDEKQIKF >Dexi8A01G0003210.1:cds pep primary_assembly:Fonio_CM05836:8A:2309108:2309854:-1 gene:Dexi8A01G0003210 transcript:Dexi8A01G0003210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRAGDPPESTRLRIGDDIAWPEINGVYDRDNSLKENTNPKSILKNHNPNHNNNGTSSQRFSGNLKPAAAPIIGLPGKLGARRQQQHHHHHPPAMFPKKAKTGGGGRTSKAAVPEPGSPKVSCIGKVLSDRERARLGRSRSRGSSRLPGCCGGLGFLMRRSRRSRNTAVECVDNSPPPPPRSLPPLEEAVRRRGGKGVEVEVEATTAAAPGLGGMRRFASGRRPRAAEWAAEIEDDGRVARSGPL >Dexi9B01G0004130.1:cds pep primary_assembly:Fonio_CM05836:9B:2375353:2375622:-1 gene:Dexi9B01G0004130 transcript:Dexi9B01G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQNQRRCASEARTSGRSRGMRMEGRRANLHGRDRTMPAATDDGSGFHGRRRRPQTTERTPFTVVGVSGRRRRGEGTRKDGSRGSCD >Dexi5B01G0030480.1:cds pep primary_assembly:Fonio_CM05836:5B:31404274:31409055:-1 gene:Dexi5B01G0030480 transcript:Dexi5B01G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGGSAAAAAEDAGGAMPSFGPPQLSIHTDMNSMQPSRVTDFGALAQSAGFRIEDLANLNTSTLFNLKPTHTISNNPLQFGSYGKLGQGLVAGPTASDSSDKSRDKLDQKTLRRLAQNREAARKSRLRKKGMFISTAGDQPQSTSGNGALAFDMEYARWLEEHNKHVNELRAAVNAHAGDNDLRGIVDSIMGHYDEIFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTDQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQKG >Dexi6A01G0020840.1:cds pep primary_assembly:Fonio_CM05836:6A:28217712:28222837:-1 gene:Dexi6A01G0020840 transcript:Dexi6A01G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSVGKSVLDGALRYAKSAVAEEVSLQLGVRRDQAFIKDELEMMQAFLMSAHEERDEHRVVATWVKQVRDVAYDVEDCIQDFTVRLGKHCSWWRVPRVLIYRHRVSKQMKELRARVEDVSQRNARYQLIKGSSAASMANTAAGQPSSSMPSTSSATTMSAIDEERRRQNKAIFLLVRLISKTDDDLRVIAVWGASGVLDDNTPIVKMAYDYLKRNKKFECYAWVRIMHPFNPTEFLRNIIRQFYIDTLEDAATVQEESTPGAQDLRMMWMMKGDDLVNEFEKYVHTRSYMIVLCDISTLEEWDQIKACLPNNNRGSRLLVSTGVVEVASLCVGPGTVLPQHKQLSTNQALYAFYDKVFDNITDLDEHYPELVEEAKLILKKCNGLPLAIATIGGFLKKQPKTHMEWTKLNVHISSELEMNPELGMIKNVLLKSCDGLPYHLKSCFLYLSIFPAGYKVSRGRLIRRWSAEVEIDVTPNRHGVFNVCCCVMFPSLARRLDPHGVVLPTGIRKLKALDTLGAVNIAQGKTIIQDIRRLTQLRKLEATGINKENCQEFCLALSKLSCLTALSVSVVEPDSHGYLDGVSSPPKKLLSLRLLGSLVKLPEWTKELQNLVKLNLRRTKLSELDASIQVIGGLPNLTILCLWQKSFQVEELCLNFQQVIFPSLKVLELALLDNLKSVEFGAGGAMPKLEQIFYAGWQEKTDIVLFFGLAFLQSFKEFLLYNGDYKEDFIEDVKAQLAKNPNGPVLKRYSVT >Dexi4B01G0019640.1:cds pep primary_assembly:Fonio_CM05836:4B:21848756:21850413:1 gene:Dexi4B01G0019640 transcript:Dexi4B01G0019640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAAATLTAATSSLLRFVRHIASSTNEEAAARAAAATADIGGPTIFDKIIAKEIPSSIVYEDDKVLAFRDINPQAPVHVLVIPKVRDGLTGLEKAEPRHVEILGHLLYAAKLVAEKEGVLNGYRVVINNGPEGCQSVYHLHLHVLGGRQMKWPAG >Dexi2B01G0025650.1:cds pep primary_assembly:Fonio_CM05836:2B:34897457:34898533:1 gene:Dexi2B01G0025650 transcript:Dexi2B01G0025650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAIDVAEELGVPALAFFTSSTCSYLAYLAVPELLELGELPFPAGSDLDDPVRIVPGMETFLRRRDLPSCYRRLPSDDADPMLHKVEMFAKATEHSKARALVPNTAASLEASAVAHIAPHMRDVFAIGPLHAISPSAPASSQWCADDECIAWLDGQADRSVVYLSFGSLAVISHEQFTEFLSGIVSTGYPFLWVLRPDMVGEGQGVLLQEALMAAGDGKGRVVEWAPQREVLRHRAVGCFVTHAGWNSTLEGVVAGVPMVCWPFFGDQQINSRLVGAVWGNGLDMKDVCDRAVVERMVMEAMESGEIRRSAEALARQVKRDVAAGGSSATEFQRLIGFIRELSTSNAASSLTVNGCD >Dexi5B01G0002920.1:cds pep primary_assembly:Fonio_CM05836:5B:1888241:1889462:1 gene:Dexi5B01G0002920 transcript:Dexi5B01G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHPATDAAARREAAEHVRTAKDLLSSPNPERFSESRIKRSWELLLEALRLNPCAHGGHALLVAATALRTFHYHFRLPSGAHNPYSVFGLIPEVPTARDLALVEKYYRQASDLLGRAAADPDHPCYPAFFKAARLVEDAISS >Dexi6A01G0018930.1:cds pep primary_assembly:Fonio_CM05836:6A:26538100:26539095:1 gene:Dexi6A01G0018930 transcript:Dexi6A01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPDDPISVPPSDIASHISSLLDSTDGSDVSFAVDGEEFPAHRARSPVFKAQLLGSMADARMKSITLHDIAPATFKLMLRFIYTDDLPAGDGVETFQDLLAAADRYALDRLKLMCAKKLWDDVSTDTVCATLACAGTYSCPELKTKCLDFFADQKNFREAVLTDGFVELMQKSPTILLSD >Dexi7A01G0007870.1:cds pep primary_assembly:Fonio_CM05836:7A:19118587:19120240:1 gene:Dexi7A01G0007870 transcript:Dexi7A01G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAKKTVPEVALRSGSARPMPVVGMGTASFPLVHEATKGAVLAAIEVGFRHFDTAYMNLKMDYVDLYLIHWPVAIKPGPPKLPYKKEDAVPFDLEGVWRAMEECHRLGLAKAIGVSNFTTRHLDRVLAVATVPPAVNQVELNPVWQQRTLRAYCADKGIHVAAYSSLGGQNWDGTGNAVLESEVLAGIAKARGKSVAQGVTSIVKTYKKDRLKQNLEIFDWELTEEDRLKISQIPQKKVVKASGLFSQEGEFTSAIDPADLNIVEEYIGYC >Dexi1A01G0022650.1:cds pep primary_assembly:Fonio_CM05836:1A:29274829:29275858:1 gene:Dexi1A01G0022650 transcript:Dexi1A01G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGVLELLLVSAEDLKHAHHHPRRSKGHYVTIECGGKTVSSKITRGRGKKIWWNEKFRFLLSGAECKELAKVTLTIMEIDKFAEDTPVGETKVQVGEIISEGSDRELLQMKPAPYNVVLEDGTYKGVLKLGIKFISSVRMAPSSTDNRVRWSVPTRQPSGGGYGLFLSFACPNIPWRRLFFFCSRSSDGQSGKKDL >Dexi2B01G0004170.1:cds pep primary_assembly:Fonio_CM05836:2B:3674000:3675708:1 gene:Dexi2B01G0004170 transcript:Dexi2B01G0004170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRSHNQQHSKKQRDRGPIDRISALPDEILLAILNDVDAKTAVSTSLLSRRWRYLWTSLHSLHLSDVSFPANYRSWVRLGPMNKDYLKSNRITHFVPSLRWFARRIKKGDTDLRRLSLVFSGDARSAAAVNSAIGSAADQGVKDIAVAVVGKTEYKFPLCLFSYGDSSSSSSSLDSLWLNNCKISVSLVFEGFSALRKLVLVAMRMSVTDTEVLVRSCRSLKSLYLIDMVDARVAKHPGLEELVWLWPHPYGALTIDAPALRSLEYCGAGEVLPASTRKSLPCLEHVSLQYVVYGDHPDRHAKNLRTIATRFPHVKSLHLRYQVPKFVVKPGTPAVFSKLRALTLSIDTKPSDDLFWMVMFVAAAPYLATLQTNVRYLSFLESRNGVPNGVEWDVSNFEHNSLKEVEMYNFEGRDNEIGFARLLLQRAPSIRRIAFSHARLPDEAVYHQCIPPDWPKAQEFSPRDNRSVLSRLLDGDPSGASVVFM >Dexi6B01G0020680.1:cds pep primary_assembly:Fonio_CM05836:6B:27103223:27104371:1 gene:Dexi6B01G0020680 transcript:Dexi6B01G0020680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLAAAMAAVWCLVQVLPSSVVVCQQQQQMPRAFFVFGDSLVDSGNNNYLATTARADSPPYGVDYYPTHRATGRFSNGRNVPDIISEYLGAEPVLPYLSPHLDGDRLLGGANFASAGVGILNDTGIQFANIIRIQKQLRYFQQYQSRLRRLLAGDASAADRVVRGALVLITLGGNDFINNYYLVPFSARSREMALPDYVRYLVAEYAKVLRQLHGLGARRVLVTGSGPLGCAPAELALRGSRAGECDAELQRAAALYNPRLVDMIRGLNADLGADVFVAVNAYRMHMDFISDPAAYGFVTSKVACCGQGPYNGVGLCTAASSVCDDRSLYAFWDNFHPTEKANRIIVSQFMDGTQEYMHPLNLTTILAVDAAAAATFNN >Dexi1A01G0009590.1:cds pep primary_assembly:Fonio_CM05836:1A:7902017:7909203:1 gene:Dexi1A01G0009590 transcript:Dexi1A01G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALLLRRLNLFFIYGRLLDHLITVVRGRIPPSIGLLPRLKSLLLDTNGFDGSYPAEGISNLSNLKVLTLAFNPFVPAPVPEGFGKLTHLIYLWLDNMNITGEIPENLSNLSDLQLLSLAGNKIQGTIPNWIWQLKKLRYLYLYDNNFTGVIADNITALDLAEIDLSSNKLTGTIPGDFGSLPPELGKHSLLVNFEIANNNFSGISQVTELDLSGNQITGPIPMTIGVLMGLNAFNISGNQISGNIPAAFGFMSELTILDLSANALSGEIPEDFNKLRLNYLNLSMNQLSGEIPTLLRNEVYDLRFNPEHEILSGLCAQNWIGSGRSGKVYHVYVADEAGACSSVAVKRIWSLQNLNVNLEKDFHAEVQILGEIRHMNIVKLLCCISSSEAKLLVYEYMENGSLDRWLHQRDRTGTSAFLDWPTRLQIAIDSARGLCYMHHGCSPAIVHRDFKSANILLGSEFRAKVADFGFARILLKAGEPESVSAIGGTFGYMPPEYGYQRRVNEKVDVYSFRVVLLELTTGRVANGGGAEYCLAQWAWRQYQENGLSVDVLDEEIQDPVHNEDMFAVFTLALICTGEQPSMRPSMKDILHALLRFDHRSEGGSLQHAASEETALES >Dexi9B01G0026730.1:cds pep primary_assembly:Fonio_CM05836:9B:28974427:28974666:1 gene:Dexi9B01G0026730 transcript:Dexi9B01G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHLVGMEQRCVNTTVPGGSESRGGVCRRVTSVHGARDRCLQAAARRGGKGAGGAESRQGGARGRTGARQGTAWGGR >Dexi9A01G0014880.1:cds pep primary_assembly:Fonio_CM05836:9A:9791236:9792669:1 gene:Dexi9A01G0014880 transcript:Dexi9A01G0014880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKNAAGMKAVKEEAATASAAAQEATSPIREHGDEPIDSTRKLEEEAQVGAEMRLREGEEDSARKKRKRDDADPAVIQRTEGASASASAAAAAATGQRSDADALPRCRCSLRVSLSPLQRLLDACRRVFNGSSTPPTSAVVPYIQGLMEKIGPHDVGLTQELNFFHKMNAAGRQNPPIITCKTIHDSSNFTIAVFFLPLRAVMPLHDHPGMRVFSKLLLGSAHVEAYDWVRPRVSGWGSARLAEKVLDHDVTEASKTWVLFPDSGGNMHRFVAGEEAHCAFLDVLAPPYSSTEERYCTYYKDIPYKPCRCALKSGLLDDAQRHGRPLAWLVEVAEPDLRITNLPYQGPAVF >Dexi8A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:8A:2941175:2941849:-1 gene:Dexi8A01G0003850 transcript:Dexi8A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWAAVFLVIPPWSPETSRTMLAAASGAAGSIKPGGNKMVDGCPASCGNLSFAYPFGIGSDCSRGSDFRLTCDDAARPPKLFLRDGITEVIDTIVLGSDGNYYGPDTYIWTSIWRTIPMKSGVHVYNLSLEPPGRSFSRYYTVLNITGCDMNVYSGDQTKPVCSTWCPPSEGITEMAARYNCTALFRCPPSLTFLSSVLLCTGKTPWVPRDTTGPLCYGIESP >Dexi9A01G0035500.1:cds pep primary_assembly:Fonio_CM05836:9A:40160053:40162596:-1 gene:Dexi9A01G0035500 transcript:Dexi9A01G0035500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAKRRRSWFERIRRLFTSEPKEKPKPDKKAKSKRWLPGKLRTQQSFALPAPAPAPAPAASDQQQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGPQQPPPRPPGHEHIEREHEQAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRSGVEEEDDDDDAMLLRRGRELYAAAVHEQQQQQAAGNKGWDSSIFSKEEMSAMTRSREEAALKRVRALQYASLQSEKLGIRRPPLSSRDEMDTALNHQRWSWLDEWVGSQPPFDKDVPVAHQSPYTTAAAKDDPTAKSRRTPAAVDPLAAGGDNDDRLGCSARRSFVRPRRAPARAAAAGDYYYYYDDVAAAPCSPAPFPGYMASTASAKAKFRSMSTPKERAGGSDAYSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTARPPPIAQRSPRVAAKGPMTPTRSRSRRSTNHHSFGSEAALHQLQMEHYTPVR >Dexi1B01G0027430.1:cds pep primary_assembly:Fonio_CM05836:1B:32157427:32157951:1 gene:Dexi1B01G0027430 transcript:Dexi1B01G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVSAFYQIGRGQLPPVPSSLPPVAREFIQECLRVNPDDRPSADELLEHPFVAPPDQAQRVA >Dexi1B01G0013960.1:cds pep primary_assembly:Fonio_CM05836:1B:20021183:20021635:-1 gene:Dexi1B01G0013960 transcript:Dexi1B01G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLARLSRRATTSAAAAAPSLRRLLSATSTAPAAPSAPPPPPSVAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWAAPTGQPPHSIQFWNLPKC >Dexi7A01G0001810.1:cds pep primary_assembly:Fonio_CM05836:7A:4802954:4809617:1 gene:Dexi7A01G0001810 transcript:Dexi7A01G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVEDDSSGWQESPSQAVLFAEEIDAVRAVLGAALPEARVIATLSRCGGNTERAINALLDDSADATEVGPDAAAPKPVKAERDLRGTPSPTKVKAEALDEEVMGIQDSDGSSANVKSEPMDWSGKEPSVVPHRVKKEEKPAAGSRDAPRKGGPAAAASAAATVGGGISLVPRPKKRPREEVETIDLTTTHPVPYLNPRPIRALPPPVGAVTCDPRPILAVPPLDVQMYDSMPVRSPLPPLDVEMYQPRARAPAPPRPPRATAPVPVADMRMVVAPPDAEFGDFPEERDWLLVGKSYVPGLSTNRGRRRMDAGEIVHFAFPSYEKIYGGVKVSAKKAAALAEIVRFSTKRAGEIGKLSPDWTQCLVPLVNSSKVKIHGKIVFPTVELRLMQEILLYVSFYIHKSVFTEMGNSSCDMLDHANVSFSSSPLHQLLTLLKLKASNKDDFSLGDLTTRKLQRILRGNDKSGDESTPMLGQTFLEQGPDEQAISEAALNKLVGTAETFDLENNLEDLYSLLCFLHVEPWCNAKWWQKLIQKPYENGDDRGLKILKAILKPLMLRRTKETKDKIGNPILVLPPAHIEVVECEQSEHERDFYEALFRRSKVQFDNFVAQGSVLNNYANILELLLRLRQCCNHPFLVISRVDPQKYADLDQLAQRFLEGVQRCSGRQSVLPSRAYVEEVVEEIRQGGTTECPICLESASDDPVLTPCAHRMCRECLLSSWRSPDGGPCPLCRNHISKSDLIILPAQCRFQVDAENSWKDSCKVSKLIMILEDLQKKKEKSIIFSQFTSFFDLLEFPFNHKGIKFLRYDGKLSQKHKEKVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKREVQDTVEERMQQVQLRKQRMISGALTDEEVRGARIEHLKMLFT >Dexi9B01G0015990.1:cds pep primary_assembly:Fonio_CM05836:9B:10961951:10965224:-1 gene:Dexi9B01G0015990 transcript:Dexi9B01G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLSPTFFGGLRSRELGGAGGSSSSRAAAQLPYLADLSSDPGGRGGGVIAVEHSGDPAIPFAISFGKTARTCNLLAVADEDGYVGLYDTRRRLPSSSSSLEKSAETRVSDWVAHNNAIFDVCWIKEGSQILTASGDQTVKIWSVEDRKCTGVLSGHTGSVKSLSCHSSNPELIVSGSRDGSFSLWDLRCDPKSPNSHGEACLMSSAVVREAHSPIQRSRTRSRAKAASTSITAVLYLKDDISIATSGAADNVVKIWDTRNLKVPVSNKNSQAGAQPLEGVKHGISCLSQDSYGAHIAASCMDNRIYLYSVLHVNKGPVKVYTGSKIESFFVKSAISPDGNHILGGSSDGNVYLWQVDQPESEPIVLKGHEGEATSVDWCASEVGVIATSSDDSTVRVWSTKKMDCTNITSPTAFRKRITAPNTEYRRSVTHERATTSCTSTDGKSPSGSHSPLQPRVLDFGTPESAKKRGFALFQEEALDTRKSTEAQINSPSSALSPPPSLKRRTIRDYFASSAS >Dexi2A01G0033690.1:cds pep primary_assembly:Fonio_CM05836:2A:43867071:43867420:-1 gene:Dexi2A01G0033690 transcript:Dexi2A01G0033690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDELLRRSLVALAAAVVVTGLATASLRKAAATYGFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWKHE >Dexi2A01G0031800.1:cds pep primary_assembly:Fonio_CM05836:2A:42506076:42506351:-1 gene:Dexi2A01G0031800 transcript:Dexi2A01G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISRCGEMTAVAGGVGRAKPGRVPTEWPNLVGTGYFTAHQIICAERPDVYIEEYLPGETVAPGVPVDEHRVRVFMDANFVVILPFPIVG >Dexi9B01G0044890.1:cds pep primary_assembly:Fonio_CM05836:9B:44568911:44570480:-1 gene:Dexi9B01G0044890 transcript:Dexi9B01G0044890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPKDIDWTRVVSRYVRDETYEGIEAPHWADLTDPNAGRAAVDDEAWFCRPDCRHPKTAEDFLKLSPSPKGKFLRSVSAMLPFGERDVNATNLRDGNSNLKWRGGAGGAAPTFTPAKPKIAPKKRFQEDSENQDPALATPPPRQAPFRPPLGAPRWNKNAKDAIKSSAEKRPGNAEKEALLNKHAPPRQLKSTLSARNLFSGKDILGQISDFYDELKRMVGGGGSQPVPYTQEESSSTPMNGSDAAEKVTCDAGISDPISSETVKKEGKEGGVEGGSREAKVTLCAEGGEGNTTDSAAISIPITEAY >Dexi4B01G0020340.1:cds pep primary_assembly:Fonio_CM05836:4B:22527383:22528620:1 gene:Dexi4B01G0020340 transcript:Dexi4B01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGPEDSGKQEQQPWTRRNHAHGGAAGTGVSAPVRGGGGEDKKEGSGRAEEEEARGGRWSSRLGPTCAPPIPAPAVS >Dexi5B01G0009700.1:cds pep primary_assembly:Fonio_CM05836:5B:6768709:6769197:1 gene:Dexi5B01G0009700 transcript:Dexi5B01G0009700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDQWSRGGDRGCSGCSGDGGAARVPGVVPREGEEMLSHSPWAIFGPLRHPGGSGTPAAAAICTALLNVVAAPPHYWGLTRLGVVARRRSSPATNHGALLGTLSNAALDAFLLCVD >Dexi8B01G0012360.1:cds pep primary_assembly:Fonio_CM05836:8B:21716271:21719311:-1 gene:Dexi8B01G0012360 transcript:Dexi8B01G0012360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLIAAKTAAGMDKEKKQPVTRSSRAGLQFPVGRIHRQLKERAQASGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTIKE >Dexi5B01G0024720.1:cds pep primary_assembly:Fonio_CM05836:5B:26740450:26740920:1 gene:Dexi5B01G0024720 transcript:Dexi5B01G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDHLLGPSLVRVCPTYLLSPSQLAWVLSSAALLRSIPSSTRGSGGDSELTADNREGGRVHSSAFFSRESNASSATPQPPPPSTCGVGTGATAEDDLDPAPPLDLERGAALGHRHSGHGVVRAATSTSTASSLAPNDTTRQPSRPTNGTKPRPY >Dexi4A01G0005230.1:cds pep primary_assembly:Fonio_CM05836:4A:3762138:3762966:-1 gene:Dexi4A01G0005230 transcript:Dexi4A01G0005230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRLLGRRRLLPPPLAAAVTHLSTATQNPSRPHHLPIPTPKLPLPPRELPPFAFHSRSFSWYSRSSSRPSPSPEAATADAPGEESYIDKESVCLENAHIVDNEEGVASAAADTVGGAAGTTADGVGGVSELAVNTMTDLMDGFHSLTGLPCYASSTRVL >Dexi3A01G0017580.1:cds pep primary_assembly:Fonio_CM05836:3A:13405151:13417638:-1 gene:Dexi3A01G0017580 transcript:Dexi3A01G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSPPLALAALALLVLCGAAEARVLLTLDDFGAVGDGIANDTQAFVDAWNAACGSGEQAVLAVPVGKAYRIWPVQLFGPCKKKLKLLISGSIVAPASPDEWAGRDPMKWLYIYGVDGLSISGGGTIDGMGQQWWASTCKRKKTPPCYSGPRPKMVHFEECRGVSVQGVTLQNGQQFHLTFTRCSDVKASFLRVIAPADSPNTDGVHLNDSSHVQITDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDMVENVKVDTCLLTNTTNGVRIKTWQGGMGFARDLRFESIVMKNTQAVEVRKVEFVDIRGTSATPVAISIACSDAVPCRDLELKNVNLTLEGGGGQATASCYRASGKSAGTVLPPSCLAKGARRRRIVPVMARTARAAAPVPLLLAAAVAAILLRIAEPRVLLTLHDFGGVGDGVADDTKALADAWAAACAAGDDVILNVPAGKTFQIWPLTLAGPCSSEIKLLISGNIVAPASPSDWGPGDHRQWLHFLNVHDLKVTGGGIIDGRGEQWWAQSSCEENCTAQPAPKAVHFEDCQGISVMGITLQNSQQYHLTFTRCSHVEANYLRVTSPEDSVNTNGIHLVDSRNVHIMDNLISTGDDCVSIVGNCTDVRLRAISCGPGHGISIGTLGVDNSVDYVEKIKVDTLFISNAENGVRVRTTKNGGGGFARKVKFKSIVMRNVSNPIIVDQGNSDDPEGSFEAQAAATAVRVEKINYIDIAGTSASDHAMTFSCSDAMPCRHLSLTNVNLTRVHGRNASSYCRKAYGRSIGTVIPESCLSKEDFVQQAPRHSEEDEEEYSDS >Dexi2B01G0000640.1:cds pep primary_assembly:Fonio_CM05836:2B:283077:284464:-1 gene:Dexi2B01G0000640 transcript:Dexi2B01G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVESFTRVLTWLLVAAALLFSGTASALLGYDYDPRPPHRLTVIAVDLGNTNSCVAGYGDTEQQTMFHLCIPSWVAFPDDGGVLVGDDARNYAAVNPEAAFSGFKRLLGQRLNRHYEGDFVQRVIENLPYKVAEKDVRPHIQMEAKDGRTARQVSIEEMTSAIFAKLKDMAEAHLGRHTVHHAVFTVPQSYRSDASRLAVLHTGRDAGLNATSIVDEPIAAAFAYGLHKKLRDEDNVLVVQIGGGTAEASVLTFVDGVFESLAGHLEPFFGGDDFDRRITDYFVQLVRVKHGLDISDDAAAIRKLRAACEDAKKTLSEQDHAYVNVESLVDGVDLSETLTRATFEELNRDLFPKVIELVDTVMSQAQLDKNKELIDQVILIGGSTMIPKVRRLIADYFDGKKELNTRLKPDEAVTFGALAILPQN >Dexi7B01G0002840.1:cds pep primary_assembly:Fonio_CM05836:7B:5545125:5546184:-1 gene:Dexi7B01G0002840 transcript:Dexi7B01G0002840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGRDHRHGVPRPPPLALYRGWEEEEVVKASRHTHSPLVQSISTAATAGNSNKKRLTKQVSMKETTREVKWEKRRRQIQRQRSSMCLNEADDIPGASSACHADGEVSSSTERVAKRLTDEDLDELKGSMDLGFRFDEQKGGQDLCNTLPALDLYFAVNRQLSEPKMRWSTSSAPSLSATMSSPNLCGTPSPGSPSAHSNPMDSWKICSPGDNPQLVKTRLRHWAQI >Dexi1B01G0015240.1:cds pep primary_assembly:Fonio_CM05836:1B:21598528:21600858:1 gene:Dexi1B01G0015240 transcript:Dexi1B01G0015240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDKQLRCLSVLIIVVTNTYRKKKGRDRTRCPFDDTAIAFCSFSLPTGDFVHGVMLSAAKSEGKCILAAAPLLVLAAVGGSPDLAPGDGSFGASSSGSTTAAPLIRLAGREQQRRWLTSSGELPRASAACRSRRLTPSTTTATTITEHQAATIELLAGSRPHLQLTSSPIRARQSLSPPPPPTSSNRGRRHRGKLLCSCRAGKGAALEEVDAAAALEEVEVAWQDGSSWRSSSSFSTSSSSSASARGSLRKLGGDDELELEQLDSTRVKLDSRKLELLAPTGGESRAGLEERRAGDLHGDVQLASHDLHGPTLSRPAAPTASHRGVALPRRLRSADALFCAKCVVPLRLLLEAVLATQKHYAERALAQVTIHWPNAAAQHRYLVAPSEIEAERAHAGRRTRERKTRVGGGGLFCSSSC >Dexi9B01G0033290.1:cds pep primary_assembly:Fonio_CM05836:9B:35484321:35488410:-1 gene:Dexi9B01G0033290 transcript:Dexi9B01G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRHHQPRKKTPRSVAHTSRCMHAWTCWREWSKPKSTTISRASLTSRTGGYTKARDGTSSSSLTDCRSVRHLPLSPHCSIGDQSFLAAAAMAPALLAPVVAAALMALAAAWVWDYAVVRLLWRPRAVAAAFRAQGVRGPPYRFLTGSNGDVRRMKAEADGEVLDVGDHDYLRRVVPHFLAWKEQYGGPFLFWFGPQPRICLLDYELVRQVLWNKSGHFVKTDAHPTILAMLGKGLVLVEGPDWVRHRRVVNPAFAMDKLKMMTTTMVSCAGHLIKEWEQLVSNNGNKEAEVELNRNFQELTADVIFRTAFGSSYKEGKQVFHTQKQLLAVAMATLLNVQLPGFKQVNMYLPTKTNRLKWTLEKKLKNTLTTIIQTRLASKGNGYGDDLLGAMLEACFVTEQGQKRDELTLTMDEIIDECKTFFFAGHETTSHLLTWTMFLLNMYPQWQERLREEVLRECGNANPTADMLSKFKEMTMVLLETLRLYGPVVAMLRKPVSDIRLGGLTIPKGTGLVIPIPILHRDKDIWGDNANEFDPLRFENGITNAAKTPQALLGFSIGPRACIGQNFAMLEAKSVMVMILQKFRFTLSPKYVHAPADRITLQPKFGLPIILRPLDAPSC >Dexi1B01G0030530.1:cds pep primary_assembly:Fonio_CM05836:1B:34460586:34461592:1 gene:Dexi1B01G0030530 transcript:Dexi1B01G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNRGGGGGGGGGGAGGGGGGGGGQPQKPAEPTEESEEQTVAADNDSPSGEATAEDNKDKENDKDKGKGQDNMEKGKGQDGKDKGKKTPPPPPLLTAVLKVDMHCDGCAKRIRASGVAMEVDKGTMTVVGRFDAKKLRDRVAKKTRKKVDLVANNNAGNKQGGGGGGNNNNNKGGGGGGGNQHKQGAGEADGKPEKEHDHGGQGDKGNNKDNKDDHQEDKGNKDNKGGGGGDGGAKGKGGGKDNKKPAVVRTTTEY >Dexi9B01G0009250.1:cds pep primary_assembly:Fonio_CM05836:9B:5714227:5717783:1 gene:Dexi9B01G0009250 transcript:Dexi9B01G0009250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALAASPLFQSLPALNPSKKVSVRTSVRRLPVAASAAPSGAAAAARDRRRFLERYGLNPDDFKDDAEENTREERRDRRRRRRSGRGEEAAEAVVGPSKSAEPRETHKMLQYVCFFQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGAPASLRPGRWLDLYSGTGSVGIEAMSRGCSEVAHFVEMDPWVISEVLKPNLECTGFLDVSHIHMLRVEKFLANAEKSQGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGKDCFILVEYPLKTDMSESCGNLIKVGFVFCWLHSSPDLI >Dexi8B01G0012000.1:cds pep primary_assembly:Fonio_CM05836:8B:21263241:21265339:1 gene:Dexi8B01G0012000 transcript:Dexi8B01G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRAAMKALKSYAKHLDSKSIPHFLAEVSDTTAGGAGAAAAGGLASGEFTISLYEVLARVHGRKIVPQIGNIMATIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPAAPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCIKALVESSNWRFASSEMVNEVCLKVAGAMHDKATRSNAHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGDTAESNSQKRLSAIQMINFFMKFVDPRCISSELGKVIDVMEQCQNDRMPFVRGAAFEASQSAKSIAAQKGSRHEVGTSPMVGSNFHKRRNKSPCRSLWRAKGSPASSCLAASPGQFRSPESHVVDSSIMNGSTLTESPVSVGQSSCNFDQSRRTNRRLWNNDGVDVSLKDGLFVQLCSNNKDYEDDMGEVCDSEVTDGNFECTDTFAGFVSPSPNGAISRDRTPSPKAYDRKISIDDVKIYSTPRRLLRSLQSSYDSDSGSGDGQSTAKHSSSSTSDQELVESSEEVPSDQELVESSEEVPSLHLDKKVEEMKDENEAIDMQNNSSRTETMSDMENNKSRTETLSDEVKSGLSAADTENISCKESPKIELKENEVCVASSRGKTRKYRVKFTFLVSIIVIILAVIAMLIRIDNCDDSVGLVPT >Dexi5B01G0038070.1:cds pep primary_assembly:Fonio_CM05836:5B:37354741:37363755:1 gene:Dexi5B01G0038070 transcript:Dexi5B01G0038070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRARGRRLLLAVVLAFAVVALHHASPCAAAASSALPASSISAAPADAPLLPRLPGQRHRQAPHSALPPAPALSPDIMPVLPSPGEDGAAAPPSDAEPTIPSSPSPPNPDALEPNSAMAPFGYAPAVAAQSPAPPPARLAAVAWALPVAALWRVGEKHQPWSVVLNSAPSGAAATGAEQAGVQEKLAVGWSDGIGRPFRRQASAFTKKRRQEKSDVELARSMRRFARLLRSRLAAGGRRQGIKEFLALVAHRGSDPLGFSPCSPHSQGPITIHKASNAAGAEQQPPANHRETDALRQWMRLRTPRLLATKLRQISSAPGSPATLTARVYYSQHAPAADAHRLLDETARRRAGTIVRALAASSSAGDTNAVSSLHCASLKSGAVLDPPVRTSLLTAYARARDAGAAWALFGEATVAASDVIMWNAAIGALTLCRRYDDAAALFRRMARELGEFDSTTVLVMLSGASRVGNLRHGMALHCIAAKRCLDADHLSLWNALVDMYAKCGDFYSSEVVFQSMPCRDTTSWNSVMGGSIFNGLAEVSAWYFKEMTRSILQPDQLALIWMIFSPLGTANSLMTFYSEFGLPEAAEKPPGRFSCRNRRSGGDYADLDGSGHSSNRQIWLGSDPPLRTYMASRWTHLAARLPPLDPPTVVESAGRTSSSGA >Dexi9B01G0022800.1:cds pep primary_assembly:Fonio_CM05836:9B:17661182:17662108:-1 gene:Dexi9B01G0022800 transcript:Dexi9B01G0022800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKQLIALVFLSSLLTLHAPMTTTAANSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFVIDYTTTTTPPSPTNGQFEIYWQNTVLTASAVASIKQSNPNVRVAVSLGGATVNDNPVFFNITSVDSWVANAVSSLTSINLDGIDIDYEQFQVDPATFAECIGRLVTTLKSNGVIKFASIAPYDNADVQRHYQALWASYGSVIDYVNFQFYAYGASTTEEQYVGHFDDQIANYPGGNILASFTTAPTTTSVSINTSLNACQTLQSQGKLYGIFIWAADHSRSQGFKYDTQAQELLANAHSY >Dexi5A01G0015120.1:cds pep primary_assembly:Fonio_CM05836:5A:12469818:12470094:-1 gene:Dexi5A01G0015120 transcript:Dexi5A01G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVRHPLFTILVALCCVLPAIAARDEHEGDRVVFLPGQPRSPAVSQFSGHCRLKSLSSSGSMEVVIT >Dexi4B01G0012450.1:cds pep primary_assembly:Fonio_CM05836:4B:10226829:10228121:1 gene:Dexi4B01G0012450 transcript:Dexi4B01G0012450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSCVGMMIPLTLLLLAGCSSAVAQLDIGYYSKTCPNVEAIVRDEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTKGNLAEMDADPNKSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPTWPVALGRRDGKVSSATEAADELPPAYGDIPLLTKIFASKNLDVKDLVVLSGAHTLGTAHCPSYADRLYNFSSAYNADPSLDSEYAEKLRTRCKSVDDKAMLSEMDPGSYKTFDTSYYRNVAKRRGLFQSDAALLTDAKTREYVQRIATGKFDDVFFKDFSESMIKMGNIGVLTGAEGEIRKKCYIVN >Dexi9B01G0047010.1:cds pep primary_assembly:Fonio_CM05836:9B:46244619:46246072:-1 gene:Dexi9B01G0047010 transcript:Dexi9B01G0047010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPHDVVMGILQRLAPRSLAVSRSPAPPVFFARPSTTGPKISARLEGYVAMPSPIDIPTILDCCNGLLLLDGRVVNPATRQWVSLPPCPALPAPVRGFGCHDACLAFDPTVSPHYEVLLIDSYLCYTTLDEGSEWPPSTFMVPVYSSKTRAWETKPFVREGGAAGTIADVRSAEVPAHRHTAYRRETLYVHCKGDYVMRITLSDSKYQVIKLPAGINASVYDEIYLGRSKKGVYCAVADNNNDDEKRLQVLFLDELGDRIEWIVKYEIDLEAHLYRNRDCIINRPWRLQDDNQHEDCSQGVTAGYDLLWDSDDDNLIDIQDTGEKYRCGYISIFGFHPFKEVVFLCTWDDRVMACHLKSSKIQDLGQVKTEYHGDVIDIAFVYTPYRVGELC >Dexi4A01G0003670.1:cds pep primary_assembly:Fonio_CM05836:4A:2610540:2613800:-1 gene:Dexi4A01G0003670 transcript:Dexi4A01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRLPPGAAVGDPYYVYAPRPDPQRQGVLTLFVAGLPDDVKPREIHNLFSHRPGFDHCLLEYTGRGNQAVAFVTFFTHESALSAMTSLNGTVFDPETGDRLHIELAKSTSRRPRGGGDIYRVIDKRANRTEGNADHENIGDEEAWGEDEDGDSDNNGGGSDEPSGTENENSSDKNEFPAEQSDQPRHKQQNGQSPSNDGRDKSSSDIPPCSTLFIANLGHACTEDELKEVLSKEPGFHVLKMRRRSGMPVAFADFMDIESSTAAMNNLQGTVLASSDNDGLHIEYPEYNAFFYCNIS >Dexi2B01G0011540.1:cds pep primary_assembly:Fonio_CM05836:2B:13155204:13157201:-1 gene:Dexi2B01G0011540 transcript:Dexi2B01G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKATKEAAPEPAPAAQEEPAPAAAPPAAAMTEAEVEELPKAIVRRLVKDKLAHIAGGGEGAEVIVNKDAMTAFAESARIFIHYLSATRKMIQLMMPKENDD >Dexi4A01G0011960.1:cds pep primary_assembly:Fonio_CM05836:4A:10263444:10268633:1 gene:Dexi4A01G0011960 transcript:Dexi4A01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCRTVWLRTSLPPYQPGNKHVAESATSQKEPHQQGDQIPINLEEAELVWKLRKYLILLAILSATITYQAGLAPPGGLWLDNLHGHLASDIVLQSTYSKRYNVFFYCNSTAFMASLIVLILLLVRELSRNAIWLRSLHFAMLLNLLGLMGAYAAGSCRQIRTSLYTWVLLVGIFTYVVLHVVFFQHLASEWLRQTLMDVQRSWKDYLALMFGENHSTTEELDASVKEKKDELEQKRSLLLVLATLSTTVTYAAGLNPPGGFWPDSNASHHAGDPVLRDHYPRRFKAFMACNDTAFAGSLVIIIMLLSNTAVDHVVKSNALRICVVISLFGLMAAYAAGSSGSFRKVSTSAYAILVVAIVFAYVMAQVLVLNFAKDKVGYFFEWVLCATPFKSLHPSENCKRSSMVSRKPEHKWRKDLILIGTLAVSVTYQAGLLPPGGLWPDDRDGHFIGDPILHDTDPRRYKAFFYCNATAFMASLVIVILLLNNTISKYKRSLLPMKTAMVLDLLALLGAYASGSCRKQKTSIYIFALFIAVFIYVVIHIFLSFNKMAQLVKKTGERWIPCLNKIWVLIEIEPPNHPPFTEEP >Dexi6B01G0012300.1:cds pep primary_assembly:Fonio_CM05836:6B:19889257:19889998:1 gene:Dexi6B01G0012300 transcript:Dexi6B01G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTATASAPPPPPPSAAGTGASASASASGEPHAALILALGHMRLRELLACARACRGLRGAIAGDPLLWRRLVVEPPLSNRITDEALLALSDRARGTLRSLHLLGCPRVSDAGLLRVVQRNPGVTEIKR >Dexi1B01G0004830.1:cds pep primary_assembly:Fonio_CM05836:1B:3878697:3879937:-1 gene:Dexi1B01G0004830 transcript:Dexi1B01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRKTREPKEETVTLGPTVREGEHVFGVAHIFASFNDTFIELGITVLHIKLRATGGNKTKTPGPEDVTPVPTDSTRRKGGRRGRRL >Dexi3A01G0005940.1:cds pep primary_assembly:Fonio_CM05836:3A:3838719:3839096:1 gene:Dexi3A01G0005940 transcript:Dexi3A01G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRATRAPPLAAGLPSHHRAGAIKDWDAGREVGGSPARVSAEAEWRAARRRGDDKVGHLRVAQEEGRGSALDPSAMRERGSGLYLAGFGYRRRTSAEPPPAHEDVRGEEANVTLEWRGRRRTG >Dexi7B01G0012780.1:cds pep primary_assembly:Fonio_CM05836:7B:19503152:19507975:-1 gene:Dexi7B01G0012780 transcript:Dexi7B01G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGGGGGFNAPSTTSGRRRNPGDEEEDDEEETGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAADAAVRIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIKALLGKLECSGDSSLQNALELVHGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIEKCKKSKIRCSVIGLATEIFICKHICEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVSSVPNRIQRGVQNCFGCQQNLFNPGLLIISQISLQMDKRASMFAAQNAANTSAWIAIFTSMRACTIAQVARVNAVSHRSEMQLRVLF >Dexi2B01G0021240.1:cds pep primary_assembly:Fonio_CM05836:2B:31109294:31111297:-1 gene:Dexi2B01G0021240 transcript:Dexi2B01G0021240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKTVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Dexi6A01G0016940.1:cds pep primary_assembly:Fonio_CM05836:6A:24770814:24778884:1 gene:Dexi6A01G0016940 transcript:Dexi6A01G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTPPRLFLGDGTLQVVGISLENSTVRVVGPNIPILKSHRDGPDGYNASGTWGGEGWGLQNGPYILSEEDNVLVVLGCMILAELVIIDKVMNYETVISTCGTICEGRYQQHECQTPVQKQSRRCQRCSGFGCCEVLVPTGWVSYDVRFQSLRWTGELIMPSSVFISEQGWFDRTYNNSNEPSSGIPAILAWAIVSDVLPFKSDPRDGNATCPTDTGSTSCHSSYSTCRNIGRMTDIAERMIITLEELEKATNNFDKSRELGGGGHVIHRDVKSPNILLNDNLTVKLSDFGASRYVPIDQTAIHSGTPPVTSVVILELVGTARRHGAAVLEQNHARHGASGEARHCCPRARCWRWADLEQYRAGDGR >Dexi9A01G0021670.1:cds pep primary_assembly:Fonio_CM05836:9A:16511982:16513080:-1 gene:Dexi9A01G0021670 transcript:Dexi9A01G0021670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSARYSSASRPASSGASAPCAGRGETSPPTLTTPRRRRPRPSSSLGPSPTPTSEPGATTSDLLLTIDIAASSHVGQSNKVLRVALPGLCRGAPETTRSWDGILCVELVPSLHALVNPLTGARTVVSAPAPRRHTRGYVAGAYSQPVTGIFHLLHCTSQQAIGDDDDDAPVTTCFRLMRVDGASASDWRDIPMSTEADTATLQTVAGYNLCASSATVHGRLHWRDMLRGQEELLVFDTGTEEFGSMPLPRQMIAAGDVVVVQQAITTLSGKLCLLRGLAEGVVEVWVLEEDYRAGDWWLTQRFDTGCTTPLHVVKPSSYLGNVGLVAAEDRVEKIVFCDGRRKLVYDVRRRSRLAKAEG >Dexi4B01G0014150.1:cds pep primary_assembly:Fonio_CM05836:4B:14700544:14705833:-1 gene:Dexi4B01G0014150 transcript:Dexi4B01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPQAVAAAVAAALLKCRSDGGDARVEASGKAVSRSPSREAQELDGCPGTGGRRGQQEEEEEGEPLRRGLVAAQARARARRKAGHATPSPSWKLEPSPPLPEEEAASASAEADTGAGRRGAPAASARQLGATLWEIQDVIRVTGAGRRIRHRGWRAPAVDEASADADRMLKFPLTFQPRSSGGFQAHVTASVEHGKLHEERCTSRQPLSPASYTSSVGATTVNLVSPTRSLDCNARFRQAGNDLKTSTELLKVLNRIWSLEEQHAADVSAMKGLKRELHHAQSCIKELMQERQRYHHEIDSLARQVTEDKMARRNKEQEKIKAALHSLQQELEDERRLRKHSETLHRKLGKELSETKSAFCKAVKALEKEKKTTNLLEDLCDEFAKGIRNYEEEVRMLKQKHVKEYEHKFDKSVVHISEAWLDERMQMQKTDRREDLLGKTSITERLSSEIESFVHHTKGFINSKNNNIDICNEKRDASFCRQSLESVHLNGATSAPRLAEDDDGSSIASDLHCFELNMHGGAFKSHDLAVA >Dexi4B01G0020010.1:cds pep primary_assembly:Fonio_CM05836:4B:22167752:22171740:1 gene:Dexi4B01G0020010 transcript:Dexi4B01G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFVLSDMFQNLRSEDRGALLHEGAGHSVVSPFVEIVFDNTDNRIPVDKEEKCNILLPSQDLFVIIMSSKTEVMNLLESAGFSRCNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRCESLKIMKDTADNRRKHIDKVVDYLEERLRELDEEKEELRKYQQLDKQRRSIEYTILDHELNDARNELSLNEDNRRKISENMYHADNEMVEVCKRIKSCDKDITLSSKGINDTMAQNEDLEMRITEALEVVAQIEFDLRDIKDRIVNEKQAKDQATRDLRSMRREIEKSISEMAEISDVHKEILMQEAEISRSIMDHERQLSILYQKQGRATQFANKADRDDWLQKEIKDLEAVLLSNTKQEGLLHEEIQNLRDGINNLTNNIESWKSDSSKLEAALVNIPSQYKNKTKERDELQEKRKYSLPPTTGRGAGTTRMTTRSDDPYVSPRTSVAANGDIWRAVA >Dexi7B01G0022010.1:cds pep primary_assembly:Fonio_CM05836:7B:26954272:26954842:-1 gene:Dexi7B01G0022010 transcript:Dexi7B01G0022010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPTAAALPAAATAVAGAAGCFALGYLLALTRLPRHAAAPGPGGLSNDDSEDDSEEDDDENSGRSRAAKRAGGQKRTGLRLLYWARNVVTKSDSAREAERAQAQAAPSPLEIENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCR >Dexi6B01G0016670.1:cds pep primary_assembly:Fonio_CM05836:6B:23973261:23975483:1 gene:Dexi6B01G0016670 transcript:Dexi6B01G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESSTAGAWAGGGAPEGEMRPAESSAAGAGVGGGGAGGRRPDEEAWREELAAAWGQTNAERGALRSQYAAVRGEIRELKDDPALDKFGATMDRIEKLHEKVQRPLEQLADGEALLDLADVLVSSAKEENRDGPTPAEFVTALLRNFSVKTTPLDDWDESFSWSSLGSAVSPLFMTATGCQTMHGPMGLGIKERRCVVRKQSGRLGSRPAEPELALDQDERNDTDKNVVVMFGLLKNHKPVKLEHLILNRQSFAQTAENLFALSFLVKDGRAEIDVVDNGDCFVAPRNAPTAKVIGSREVINSQFVFRFDTRDWEIMKRIVKPGQELMPHRSNYCGGEYKNTQSCPSVCKLGSDSEHLKEDELAKEDPVEFTNDEALKENVDNSCPGDDTLKKRKRKHVARTLSFPDV >Dexi5A01G0003850.1:cds pep primary_assembly:Fonio_CM05836:5A:2908348:2909502:-1 gene:Dexi5A01G0003850 transcript:Dexi5A01G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRATPTRTPPRPSSPAPRTEAVVPPDWASAVTLVSSHDDATPPVVVVCGPKNSGKHGKVGYLDTDVGQPEFGPPGCLSFHVVDEAIKDLLNPTLREAERCYFFGDISSKRDPEAYLNCLFHLYDYFLGKYPGDGNEMLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRITMQSKNLPDGMFWLDGDQTGTRMINIDAVFHDALNRS >DexiUA01G0006330.1:cds pep primary_assembly:Fonio_CM05836:UA:12170118:12171155:-1 gene:DexiUA01G0006330 transcript:DexiUA01G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFIRTLFFTLQIGIHYEGKFFEFVPWTGTVSWDIALWGCWKMSGENKTHLVEIEATTTEPGTALRAPTMEAGLVPACKDTCYGDLKLQMWEKKYDGGKGKMILDVTSNMAALEVGGGPWFNGWKGTTVVNEVVNNIAGTPIDVESLFPIPFLKPPGL >Dexi2A01G0000020.1:cds pep primary_assembly:Fonio_CM05836:2A:13212:13541:-1 gene:Dexi2A01G0000020 transcript:Dexi2A01G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTDAFKETHNLVVADEDTYVGGQVSNLQVAELIGCMANNRRAAYCKVVEVVAETTAPLLPMEQLLSAIPSKRRK >Dexi7A01G0016050.1:cds pep primary_assembly:Fonio_CM05836:7A:25977154:25979416:1 gene:Dexi7A01G0016050 transcript:Dexi7A01G0016050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNIVSTALGFGMTAAFVAFVCARLACCRGRRADTGPPPSPDFDADLDGSVERTGLEPLVVAAIPTMKYNCEAFSSKDDAQSDLME >Dexi7A01G0017050.1:cds pep primary_assembly:Fonio_CM05836:7A:26861099:26863043:-1 gene:Dexi7A01G0017050 transcript:Dexi7A01G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVMVDHARLVASMRLAMELAASSRFFAKLSDLFASDAAFGEALTRVRGSSAERLRVVAYGLGGAEYSWAPRFRLAVLLLLRDAFPETVGTVEVVCPTASPVERRAMEDLGCVVTAPVQQCRPVREPTLIFMPYADRVFFENLLTLNWSADQLGKIVLLGLSFSAMVKMLELNMSKQEKFGVTEQREKAKRIIAIQQYRYAMISDIPITFSMAFES >Dexi5A01G0019230.1:cds pep primary_assembly:Fonio_CM05836:5A:22754146:22760508:1 gene:Dexi5A01G0019230 transcript:Dexi5A01G0019230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGAAAPERSPSASPPPPAQAPPSPSPTQPLDWKFSQVFGERSAGEEVQEVDIISAIEFNKSGHHLATGDRGGRVVLFERTDVKDHACRKEAEKADYSISRHPEFRYKTEFQSHEPEVQEKKVKKVSEMNLSRSAASANEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQIFEQHEALGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSCGNGSNEATTLEATRNPTRRQLQNPTRAARSLSTLTRAVRRGGESTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >Dexi3A01G0008500.1:cds pep primary_assembly:Fonio_CM05836:3A:5946256:5946574:-1 gene:Dexi3A01G0008500 transcript:Dexi3A01G0008500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARSSAKQSRAQTQQQNGGVGAHALSSKLARYLDPEASWDKHQLLDAVHWIRQVVGLICGLLWGAVPLVGAVWIAL >Dexi2B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:2B:4617309:4618669:1 gene:Dexi2B01G0004900 transcript:Dexi2B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLQPQLLMLPILVLFAAGALSIQAAVIDEQLEAQAPLPITRRGCPDKCGNISIPFPFGLKPGCFREGFQVTCDNSFDPPRAFLAIPEAAGGGGSSQITTSYSYSVSKATGRPSIVHRHKADVLPVELIEISVGKNEARAYGAVASACNMNATDGILRMTFTTLAYLTDEPNGPFLVSLARNVLVGVGLDVQPQTYRFNTAPDAKGEDYLVTCRSEIMDNLQLASNGSCSGRGCCQASLPETMPLNGVSVVMAPRSNSLWVDNPCSFAMVVEDSWYNFSTTDLYGNTSNKFPRGVPYVIDFAIRNAKCPAKGQQPPLGHACISGNSSCADVTNGYICKCLEHYEGNPYIINGCQDIDECKRPDLYPCSHDGICKNRLLGYDCPCKPGMKGDGKTGTCHDAFPLVAKMVVGKQLPKRRKIT >Dexi8A01G0014440.1:cds pep primary_assembly:Fonio_CM05836:8A:24946010:24949498:1 gene:Dexi8A01G0014440 transcript:Dexi8A01G0014440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDILACKLRSLRLHSALIPSPPSPSRSVPTSRRLRLRRRRHAPLHCSLTTSSSPSTSATTTTSEEESRSQDLSSLLSSSSDPSAAGAGNKKKRSGGSSGASSIPSGVRLEGISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEADGGTVVKAKENMKIAFLSQEFEVSASRTVREEFFSAFEEEMEVKRRLERVQAALEGATEDMDLMGRLLDELDLLQRRSQDVNLDMVDVKVQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKAIAVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAIKNLQFGFEDKTLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVLLGDHNVLPNYFEQNQAEALDLEKTVLDTVADAAEDWKLDDIKGLLGRCNFRDDMLDRKVKFLSGGEKARLAFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFIKQIVNRVIEVKDQTIQDYKGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKAARKKQKVQAFQQSKQKSKSLKNAKRWN >Dexi8A01G0000890.1:cds pep primary_assembly:Fonio_CM05836:8A:597161:597853:1 gene:Dexi8A01G0000890 transcript:Dexi8A01G0000890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTLPSGCCGGVRSLNSEARTSSDRQAACRCLKSLATSLKKLNMGTVSSIPGKCGVSVPFPISMSTDCNKVS >Dexi4A01G0024020.1:cds pep primary_assembly:Fonio_CM05836:4A:26881533:26882905:-1 gene:Dexi4A01G0024020 transcript:Dexi4A01G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGRVLSSLLVVVVLSMQVLLVPVVTGLRPPAIYVFGDSTLDVGNNNYLPGKDVARANRSYYGIDFPGIPTGRFSNGYNTADCVAKSMGFGSSPPPYLSLLAPTTSSLLVLTALTTGVSYASGDAGILDSTNAGKTISLSRQVHYFKATKEKMVSTLGSHAVDAILSKSIFLIGIGSNDMFVFSAAEQQQQGDVAAFYASLVSNYSAAIHELYKLGARKFAIINVGLVGCVPRVRVLDAAAGACADAMNELAAGFDAALEPMLARLAGKLPGMAYSLADSFGLTQDAFANPEASGYTDIAGACCGGGRLGAEADCQLGATLCADRDRFLFWDRVHPSQRAAMLSAKAFYDGPAQFTSPITFKQLLAHKIF >Dexi3A01G0013630.1:cds pep primary_assembly:Fonio_CM05836:3A:9929461:9931147:1 gene:Dexi3A01G0013630 transcript:Dexi3A01G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSFLGKKDQQTKDPRRHQDLPPPPPPPNAKRWSFGKSSRDSAEAAAAASATVVSAGAGNAAIARAAEAAGLRSAAAYAETETDREREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRAAAAVPVLATVGGGRAAAAIRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLHSMQALVRAQATVRAHRAAAGVPVLPHLHHLPPLVRPRYSLQERYADDTRSEHGGAAAYGSRRMSASIESSSYGYDRSPKIVEVDPGRPTTTKSRSSSSRRASSPLLGAAGGSSGGEDWCANSSCSPLPCYLSTTGPPRIAVPTSRQFPDHDWCCAPEKPRPATAQSTPRYMLHAAPATPTKSVAGGYSPSLNGGCPNYMSSTQASEAKVRSQSAPKQRPELAAGGRKRVPLSEVVVVESSRASLSGVVGMQRGCSPRAAHEAFSFKTAVVGRIDRTLEVAGIENDRLAFLQRRW >Dexi9A01G0039800.1:cds pep primary_assembly:Fonio_CM05836:9A:43616659:43617013:-1 gene:Dexi9A01G0039800 transcript:Dexi9A01G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGDAGGGPAVGIDLGTTYSCVAVWRNDRGEVIPNDQGNLLTPSCVAFAGAARLVGDAAVIQAALNPANTIHGEKLRKSQHNA >Dexi3A01G0002060.1:cds pep primary_assembly:Fonio_CM05836:3A:1389558:1390631:-1 gene:Dexi3A01G0002060 transcript:Dexi3A01G0002060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFVHDADVYAGEPGELARMFRPLPKTGQRFFFTSRKLQRQRAGKAIKATRAAGAGSWQSQGSKDVLNKDKEKVGEVTKLRYKKGGKYTDWLMDEYSCGLQDAIVGGDRQLVFCNIYVSPRARQDSVAHKESAAFFAPPPPVPVVIAQAPAAPPKRPVAPPKIASPPCPKRMRVAAVAPSHQVVQLPRPCVPHYGVAPPSSAPSVTRPSPASAQPPAPAPTRLAMQAPMPLRPLGQHKQQQQMVPPTPPVARASPHMPVQAPACQCRPQASVQRKQKILDPFEAMAAEAEDEGGESPAALQDDDDDLAKSLEDALAEAEAEDEAAANSEDSPMSDDEMVQLLEKEILLVPKEEILA >Dexi6A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:6A:26373715:26375039:-1 gene:Dexi6A01G0018620 transcript:Dexi6A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAAGMPPAHGGGGFRGSGKGSNKPDPEEAESRRRNTNGFFVKDDEVEEEEGGGAETAAARREEEDEEEEVLSESSSIGAASSDSSSIGENSASDKEDGDEEEVQSKVEGLGMMGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLKEAAATAAAKEIAKPENPFNKRRRVLAAWNRRRASCSALATAYLPPLLAPDHAVVEEDDEEGADDEQQPGGAGLRSRRPPTFPSPRLSVNTTGGQMAPRNPHASSFRSPRSFSMTDLQNAGYN >Dexi1A01G0011780.1:cds pep primary_assembly:Fonio_CM05836:1A:10953161:10956309:-1 gene:Dexi1A01G0011780 transcript:Dexi1A01G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDEHSLPRLRRPLAVAAACCVAAAVAGAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGASLTYLVAAFFLRRKAAVVLDEGDYATHNVGLEMLESKLEVTPEMQRRINDGFKQWMGSSLLSSDDEDEASDDYIEHNVPSPTASVEQHRQENDQET >Dexi9B01G0011140.1:cds pep primary_assembly:Fonio_CM05836:9B:7097907:7099122:1 gene:Dexi9B01G0011140 transcript:Dexi9B01G0011140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASPTPFGAAAARRRAAPAPLPLLPLSGRAALHVAFARRGGVSSRTQRRLEERGGKKRRGGVATPDVDEEALEAGMAEWEGGPLGFEVSTEPMPNLPDPETPDFWEGQQWEPLGFFVQYMWAFGVVFGLIACGVAVATYNDGATDFRDTPAYKESQTQEFPEESESSGADVFEGNPTEVAPALE >Dexi6B01G0001310.1:cds pep primary_assembly:Fonio_CM05836:6B:1079223:1079666:-1 gene:Dexi6B01G0001310 transcript:Dexi6B01G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAGLQLDAKLSKVMRLFMVATAEMLESGEIPPGDPLFAALAPRCEAIGLKAYAGAAESAATCARRAEEYAAAPGGEAMAEAMRRQAASSAALAARAEEYAAFMRLVTPPAAGTGSSSEVAAAARPATTRPRRPNARYFGPEWTN >Dexi6A01G0004940.1:cds pep primary_assembly:Fonio_CM05836:6A:4532317:4536176:-1 gene:Dexi6A01G0004940 transcript:Dexi6A01G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDNALDVLLVDTRKGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYAGYGASTGKNVKKIKKVKCPVLVIHCPVVVVVVVETICSGTDDDVVNWSHGKELWKLAKDPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKTRLKKIRQSLQTRKKAHQVNTATTTTFTTNCCCRIRVRKPTCPSCNFSCGCGGLRSCFTFSLFRGCRPSCFSCGSCFSCGSCCSCRSCFKCCCCGDAR >Dexi2A01G0009110.1:cds pep primary_assembly:Fonio_CM05836:2A:9120354:9120748:-1 gene:Dexi2A01G0009110 transcript:Dexi2A01G0009110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLHRSTFNCCSSTGHKSLLPDPHFLFCVHLEICKHSLLAFSLLKDASSSKPPRLHEALSSHLATAAPPPGPHSTARRNSHAGPQPRATSAAAAHLPRARTPARRPTSRRRDHRHQHRPQL >Dexi3B01G0012900.1:cds pep primary_assembly:Fonio_CM05836:3B:9049452:9057562:1 gene:Dexi3B01G0012900 transcript:Dexi3B01G0012900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAEPVSVEDLFTSLHRHIQDKMFAQAVSVADQVLKAAPGDEDAVRCKVVAHIKSYKIGEALAAVRAAERLPVDLSYYKAYCYHKQNKQQEALDLLRGQEETAAILQLQSQILYRLGRMNDCINNYEKLQKFKIDSMDLKINIIAALVAAGRASEVQAALKAQKVDLTTRALRDAHSFELAYNSACSLIENKKYSESREQLDLSKRIGKEELMVEDYSENDIEYELATVSAQLAYVQQLQGQSQEAMQTYINMINNKSADPSSLAVATTNLISLRGTKDVEDSLEKLDRLIEKSTAPNHLQLIENLDIKLSPRQKEALYAARVLLLLHTNKTDQAQDLVSGLLGMFRDRVSTVLLQAAVHVKEKKVQEAEEVLSQYAEKHPENSTEILLALAQIAAKANNFKLAADSLSKIPDIQHMPATVATLVALKEGLGDSKSAASVLDSAMQWWKNSITEDNKLDVFMREAARFKLSHGRDEEACLLYEELVKSGNIEALAGLVATSARTNIEKAEQYEKKLKPLAGLKGVNVEALEKTSGARHVEESKDMKVDIPEEAKKQKAKKRKQKPRVVEDPGHDDVLLYSRVLDALKYEQVLYTHGLGVRTAKSFFVNP >Dexi4A01G0009760.1:cds pep primary_assembly:Fonio_CM05836:4A:7705511:7707345:1 gene:Dexi4A01G0009760 transcript:Dexi4A01G0009760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELEPWASFLAVVLATVLVLVVTALYRRNRKSYKLPPGPRAWPVIGNLNLMGPLPHHSLYELSSRYGPLMSLRFGLVPVVVGSSVDAARSILKTNDLAFIDRPRTAAGKYTAYNNSSMLWSPYDDYWRQARKLWQTELLSAKQLKLYEHARGEEVSAMLRDLHAESSSTGAAVALSDHLMMASLNVISRMVLGKKYVVKGSAGGSEDTTTAEEFGWMMEELFFLNGAVNIGDVIPWLNWLDPQGYIGRMKRLSKVLDRFLEKVLDEHDERRRRDGVAFVAKDMVDKLLQLADDPNLKELFAAGTDTSAATIEWAMTELLKKPEVLTKATEELDRVVGRNRLVAEGDMPNLPYLEAIVKETMRTHPVAPLLTPRQSREDTSVGGYDIPAGTRVLINVMAICRDPSVWDAPMEFWPERFLGSSVDVKGQDLELVPFGSGRRMCPGRTLGLKMVHATLANLLHAFHWRLPDGVAAEELSMEEIFRLNVVRKVPLGSSVDVRGQDLELLPRNRSGQNSMGASHTLGSRQMAMTLMSTRVPAGMS >Dexi3A01G0029350.1:cds pep primary_assembly:Fonio_CM05836:3A:30844357:30846980:-1 gene:Dexi3A01G0029350 transcript:Dexi3A01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATPGDASSKNTSGCPDSCGGISIHYPFGIGSGCFRDGFEITCDHSTNTPFLAGTTRPVPMNLLSITTAEARVMLPVAWACFNTSDKVYAYSDGDVHFNSDEVYRISNGHNQLVVIGCNTLGYTRSQRDQGSDYTYADYTGCMCYCNDSRSAIDGACAGVGCCHVDIPPGLTDNRMSFEEYTHKTRLGYSPCDYAFLVDRDNYTFHTADLKMDLDTTMPVWLDWAIRDNVTCDEAKASQSYACVSTNSMCRDSSNGPGYVCNCSKGYQGNPYVAGGCTDINECELKEYPCRGVCRNTLGSYECNCHSGWHSADPLHIPCSPNFPLAAKIVTGCCLEVDVPILVYEYVSNGSLDNILHDSNRVSLDLDLRLKIAAQSARGLAYMHSEITTPILHGDVKPANILLDENFVPKISDFGTSRMITIEDHYTSTIIGNWGYMDPEYAQTGLYTSKSDVYSFGVVLLELITRKKVLDPDISNLLGNFYDPHTTKKGVVELVDPEILAQGTIEIFHSLAEIIVQCLNLDVDLRPEMADVAERLQYLLK >Dexi8A01G0014150.1:cds pep primary_assembly:Fonio_CM05836:8A:24512994:24518762:1 gene:Dexi8A01G0014150 transcript:Dexi8A01G0014150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDATTADAPPLVAAVAFSHPLDLSAPSCSSQPWRRWRSVPRTGSAPVQAPPVRTTTSPLHRSLIGRRPAPLLHPRGLPWDTIGKRQQIRRRRRHGDLPHLLGRRRRRRARPTSRRSHPHPHXXXXGPSRSSYVPPHLRNRPSSTTTSAAPPASSAPGLLSRPGNAPIGGGSFGSRPRGGARWDREPDPFANSDPAPPAADTPFEEHQNTGINFDAYEDIPVETSGREVPPPVATFAEIDLGAALNENIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAGRPQRGGMGMRTAYPSALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGVRQTMLFSATFPKEIQRMASDFLDNYIFLAVGRVGSSTELIVQRIEFVQEADKRSHLMDLLHAQRDTGKEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSLAKSLAELMQESNQEVPAWLSRYAARPSYGGGGRNRRSGGGSRFGGRDFRNDSSSFGKGDSRGGGDYYGGGSGGGYGGSSSNGGGGYGGAGAPSAWD >Dexi2A01G0006900.1:cds pep primary_assembly:Fonio_CM05836:2A:6582717:6589705:-1 gene:Dexi2A01G0006900 transcript:Dexi2A01G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAARLSPSLAAAAFLARRPPPALFPFSLRRRLPLLRTLASSSGGDGRVVALSSSELRKRRGLSSSSGAAGSATAGDEKLRSLRLLFGRPDVGIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHEWTLMRSGNHGVPTTSEWLNDVLPSGCRVGIDPFLFSFDAAEELKYSISNKNHELVLVGGMNLVDEIWGEARPKAPEKSTRVHDIKYAGIDVPSKLSFIRSQLAENGCDALVISMLDEIAWLLNMRGSDVPNSPVFYGYLIVEVSTATLFVDSNKVSEDVLEHLEKAGVKLKPYETIVSEVESIQFSYTGYGANGSIIHYRPTPENCSSVGRDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLIKELNLANSFGGISYLGFEKLTFVPIQSKLIDTSILSPSEINWVNDYHEEVWEKVSPLLSGDSRDWLWKNTRPLLDVTQ >Dexi4B01G0014140.1:cds pep primary_assembly:Fonio_CM05836:4B:14669922:14675477:-1 gene:Dexi4B01G0014140 transcript:Dexi4B01G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRTSGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARQRKRRREELRRGKGGKAFGLKESGANCKVEAEECDAYEEGNDEPVAMIEESPPDIEQDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASVQPLTDTAKEFLANKYKDLTKFQDEAMTIPLAGIEAILLSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >DexiUA01G0018930.1:cds pep primary_assembly:Fonio_CM05836:UA:39623668:39625231:-1 gene:DexiUA01G0018930 transcript:DexiUA01G0018930.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDYHAHLRRLVSRAFSPESIRASVPAIEAIALRSLHSWDGQLVNTFQEMKLYALNVALLSIFGEEEMGYIEELKQCYLTLEKGYNSMPVNVPGTLFHKAMKSRKRLGEIVAHIISARRERRQQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPSVLKAVIEEQEEIAKSKGSADEPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMTFTRKD >Dexi2B01G0032100.1:cds pep primary_assembly:Fonio_CM05836:2B:40061315:40062366:-1 gene:Dexi2B01G0032100 transcript:Dexi2B01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTTGSSFLPISDRKVLCTGQGGDDAFVFDADTHHVVTVPAVDNLKWKPISVFVPSAAAAGDDPEGILFVMERRPKHEGYSKLRPSHQFEAFAYRRSSKTSPSESWQRQLLPPPPYLRGSRYQSYRNKITSYAVLGDGSHVCISTKGINGFFQSFTATHCLDTVKHTWSAVGEWALPFEGMAEYVPELKLWFATLGTQTLGAFDLSSMDSKPSVVCDWSWSLDLDDDALEHGSAESDKTLKKWWEPLESQRVHLGSGRFIVASSFHTRGIVKTCSGTELTSNRFAVLTGVEVMAPVDGVGELQLVKHKSKRTWSV >Dexi3B01G0034080.1:cds pep primary_assembly:Fonio_CM05836:3B:36842841:36846511:-1 gene:Dexi3B01G0034080 transcript:Dexi3B01G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVCAGHGLPAIEAGCFSLFVDAKTRHWIAKKIQCLKVHVIEASNRRQRYKVEDAYPRQSRILIDPRLPGFYTETTRLVGIDGPRNKVIKLLAEGCGTMAQLNVVSIVGFGGLGKTSLANEVYRKLEGQFDYRAFVLLATKARTKEEWEKIWKSIGVVIEKDTDMEEMNKILSLGYNDLYLSVFPEDYEIKRDRLIRRWIAEGFITTEGGQDMEEIGECYFNDLVNRSMIQPVGIQYDGRAEACRVHDMILDLITSKSCLSSLKHLEVEIVCHGARLEEVEAIEEAVKKAASYLSDELSLEMRRWDEEEILKDGEHILAEEEFDTYHLKHDLMMLRDTSGSGRCSVAIEETEA >Dexi9B01G0013870.1:cds pep primary_assembly:Fonio_CM05836:9B:9301597:9302431:1 gene:Dexi9B01G0013870 transcript:Dexi9B01G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCIYRHTKQEAAVLFTSSGTIESPSAYHLALQQFRDAFTYNSTKELCGHPFLPDQTMLDEAPYMWIPIHLSGWDGDEVTLLFRNDNMKLAGFANKTGTWFSFKGFEHLIPGSIPTGITTGTFSDIADNLSKIPLGKDALKLAVRNLTAGFDPTTARSARDKDHATVSAAQVMIMFPGALRFFPIRRKVMEGWESGCFLDELGERALLVSWKDITVSLIKWNETRRWEPLILTAVTSLYKIESAEDALRVGDLLFIPLRYGF >Dexi7B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:7B:16273322:16274076:-1 gene:Dexi7B01G0008460 transcript:Dexi7B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPSDELFWFQSPRLVLTFIHIILFQNAFEFAYFFWTLATFGVNSCMMDGPGYSISRIIICVVVEVLCSYGTLPLYAIVTHMGSSFKSAVFADDVAEHIRGWADGARRRNRLAATAGDAGGLGIAAEEPSQEGTSHTECPNGAASQLI >Dexi7A01G0005620.1:cds pep primary_assembly:Fonio_CM05836:7A:16875895:16877463:-1 gene:Dexi7A01G0005620 transcript:Dexi7A01G0005620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTPRPACAVGARGGGGAAAWSSSARFFMGSRSSCAAASIERGRRRRRRCAISCCSSQDGDGPRPAAPPTSAAPSDGSIQLYSQIERVITEAARQSREGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLERLADRIKICCAAKWKCIDVL >DexiUA01G0023090.1:cds pep primary_assembly:Fonio_CM05836:UA:47107640:47108416:1 gene:DexiUA01G0023090 transcript:DexiUA01G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESGDGKCQCPTGFRGDGVKKCEDINECKERKACQCPECNCRDTWGGYDCTCSGDLLYIKEHDTCISNSNLD >Dexi2A01G0010360.1:cds pep primary_assembly:Fonio_CM05836:2A:11516919:11519923:-1 gene:Dexi2A01G0010360 transcript:Dexi2A01G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVMASGSNGCIGVVGGGGEGKAAEEGQQQGQGQVLTLVLAALRKSVVLPCQMADADDPAGGGAWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYAQDGLKAEGIFRITPENSQEEHVREQLNSGIVPDDINVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEDQCVELVELLPPTRAALLHWIVELMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQLMNLLKTLILKTLRERDEEDAGAYSAFSSSPSLSEELNEEEDHDQPDDENDSGSDCNCSDHERPNDIDKATSLRLDTEQLIGVSRRHTSIDCHLPYIEYDNDNEDTPLDDIEECFLRRLEWKAARECAAEDNISDFPPSKEGTEQLSSSESITEGSNIIIEKADLTGDAIDVINELRQTEIRIEMTNAAVRNARKEELIFCP >Dexi7A01G0009980.1:cds pep primary_assembly:Fonio_CM05836:7A:20919175:20922086:1 gene:Dexi7A01G0009980 transcript:Dexi7A01G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGVGAWALDAERAEEEEREQAAAIPAPEPAGGAASFPSLREAAAATGGKSKKKTKGTTVSLSEFNTYGAAAVQRRAAAVEPKGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGAGAGGGFGGPGGGGERRGGFDDEGRRGPGRSSDLDMPSRADEADDWGATKKFTPAPADSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGGGGFRSSPGFRDSPGASDSDRWVRGATPMPLNGEGAGERPRIVLNPPKRDPSATTTPPAEVARNKPSPFGAARPREDVLAEKGVDWRKFESESEQKTSRPNSAHSSRPNSAHSSRPGSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRAVNRPVSEEEKNLKEEINLMKVDLKEIEGKINDDSDQASVDAKNLSEKISQLESQLEQLTRELDDKIRFGQRPRSGAGRVTAPPPAGLAEEPQATVVDRPRSRCGIEPPHQRPEERWGFQGSRERGSFGGSRNSDRFVQFASIT >Dexi9B01G0000650.1:cds pep primary_assembly:Fonio_CM05836:9B:421034:424403:1 gene:Dexi9B01G0000650 transcript:Dexi9B01G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPKPPPPLREDDEDSDSLLPTYLGVTFALFLATLPATTAARHVASLHSRGRLLAARLLAAEDQLRQLRARRREDARANARAAEIFAGHRAAWMEAERRLLARAAAAGDEAASLRARLAEAEAEAAALRARVERLEREAAERDDLLTALLAATSSHAGGSGDACDLRAVEEGEKEQQQQHGPVAVPPFDPPEATDAEALAAAAALYAQQRQKHDDDDFYTPATAASGMPPWMDASKGWQDLKYDTVESTYNAKHAVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVVNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMVGLLI >Dexi7A01G0001870.1:cds pep primary_assembly:Fonio_CM05836:7A:4917190:4917628:1 gene:Dexi7A01G0001870 transcript:Dexi7A01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELWLRPAAAARGDEAAAAPAGHLMQERAMDWFSSIGWARCFLTVEETKWDEQVETGRPGVLSGVSKGD >Dexi8B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:8B:19522797:19532172:-1 gene:Dexi8B01G0010940 transcript:Dexi8B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGLLLIVASAAAVLLAPPASAALPQVEHVPKADGTLAILAVGDRGRRGQFNQTLVAQQMGVVGEKMDIDYVISTGDNIYDDGIANTSDPLFKESFSNIYTAKGLQKSWYLVLGNHDYTGNALVQLDPAIRNVDSRYTVIAKSFIVNSGIADFFLVDTTPFILHYWNNTKFDWRGVAPRDTYITNLLKDLEYALTESKAPWKIVVGHHPISSACGHGNNTELEQLHLPVLKALLNCLFSFGAHGVDMYVNGHDHCLQRISSRDSHLHFLTSGGGSKAWAGKFKATPDKVEFLYDGQGFMSMRLSKTEAHLAFSDVAGNVLHCWGLAKTAAAAGH >Dexi5A01G0034260.1:cds pep primary_assembly:Fonio_CM05836:5A:36362839:36364553:1 gene:Dexi5A01G0034260 transcript:Dexi5A01G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDERMFEMDFEPPSSPETISMHHQTSSHILEAIVGPSTQVEMEIHERAMKHVREMGEETKRSSLKRRLMMRLRKDGYDASLCRSSWVATTEHPGGDYEYIDVAVAGDEDGGAGPAASSSSSSSCSRLVVDIDFRAQFQLARPTPWYAHLWARLPAVFVGPRARLRKAVSLLCAAAQRSLRESGLHVPPWRRSGYMQAKWLPRDVPLPGGAQEVAMARWSVAMGKELGDGPRRSATGGLAMELSGSGAEVDS >Dexi5B01G0008460.1:cds pep primary_assembly:Fonio_CM05836:5B:5703812:5704212:1 gene:Dexi5B01G0008460 transcript:Dexi5B01G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVLLCLQAVLVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDVKKVCDPVCKKCVAVKTYSGKMFKCTDTFLGMCGPKC >Dexi5B01G0015450.1:cds pep primary_assembly:Fonio_CM05836:5B:12653073:12656696:-1 gene:Dexi5B01G0015450 transcript:Dexi5B01G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGQAAMSPAPSGGKRGRGPEEDVYVDNLHSHKRYLTEFRLTPIPSLLHTQLAPWFLQIMASSMNGLSVGDSVADNIMVSPVRLENASCFRDEIGTRCSPMSEESDDYRYYDTQANTNGSQTDVTSSPSNSPISSPHRFLKPHTLFSSVNPYPLTSCSLSAVACHLARRGSEHEGPIPSSPNDMCHGGDLRRSALLRSVQMRVQGPHPCDLLSSSEQGQDQERGHMHVDEVDHDKKQAKGLQLDQRSFSCSKSIQDAEYQRPANCSQRSDRDVDFVEDQITA >Dexi4A01G0012320.1:cds pep primary_assembly:Fonio_CM05836:4A:10885294:10886675:1 gene:Dexi4A01G0012320 transcript:Dexi4A01G0012320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQQGMRIIMTLLLLATLFFSGASAAARDQATPDLHPVILLPGYSCSQFDARLTDEYEPPTPACGVPKQGRGWFRLWENYTALQEDPTLLPCYQDQLRLVYDHAAGDYRNVPGVETRVVSFGTTRSFRFDDPAQKNVCMERLVEALEGVGYEEGSNLFGAPYDLWYAPATPGVAARSFAGFRSKLTRLIERASEMNGKKPVIIVTHSFGGDYAMEFLNRSPLPWRRSYVKHFVMLCGVIGGTPLMMEVVASTMGSPPPTTLLGSMLSLGNRSFESMYSFLPSPVVYGDTPLVITRAKNYSAENMPEFLAAVGFSGDEIARYRTRALPLTLNFRAPLVPMTCINGIGVPTVYKMVYWDGNFTEKPQAVNGDGDGGVTLENVLAMKRLVGDDPAQPYFKSVLVPNTTHSGMISDDSALRAVVNEILKANQATS >Dexi9A01G0035300.1:cds pep primary_assembly:Fonio_CM05836:9A:39910455:39910874:1 gene:Dexi9A01G0035300 transcript:Dexi9A01G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFLQHFAEQDVGCGGRRRLLRRRCLGCPFPNVEGAGARELRHACDRCMLILPHARIGRRSSPPPAPCLAPGRLALGGAHRGSLCFSPMVPSPSALAPPLPCHAPAIPPAELSRDVKLRPPCAGGSVGCSHVGLQHA >DexiUA01G0000010.1:cds pep primary_assembly:Fonio_CM05836:UA:3696:4946:1 gene:DexiUA01G0000010 transcript:DexiUA01G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRPLQLTGESGNLTVFVAPSAETPSSAELESSGSEFSTPPTSPRAEDLPESPPSTPPAPAQRTPPPPPIDSVPTPPLVRTVSPLRPGLANPSTEYSIEPPPSNPPAPPQRTALSPPADSVLPPSSVRTLSSSLPDHTSSRADFSHESLPFTLPAPAQRAAPPLDSFPTPPLVRTVSPLLPAPTSSGAKYLHQLPPSTVLVPPPAFDSIQKLPPPVQVAAPLLSVDVSKPPPVQVPAPLAKAVSIPLQVPELSSSSPPPVQVPPPQFDKGSSASNGSMLALFWNAVARVQEAHASLDDHISRWFGLDQSKYQWALNEYYESTGQCVAVGWRSASGLGSCVLWEIIVMLD >Dexi4B01G0021730.1:cds pep primary_assembly:Fonio_CM05836:4B:23633441:23634174:-1 gene:Dexi4B01G0021730 transcript:Dexi4B01G0021730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHPIPSLSSPLVQRLNPPAHRERTSAAQGGEQRGAEPRSTSGMPTLILSTNVPVDAVVAADILKDCSKAVAKTIGKPESYVLVSINGSVPMSFAASEEPAAYGELLSVGGIGPGVNGKLSAAVAEILDAKLSVSKSRFYIKFEDVQVQYTTHNHVLQLRS >Dexi9B01G0049360.1:cds pep primary_assembly:Fonio_CM05836:9B:48035039:48048641:1 gene:Dexi9B01G0049360 transcript:Dexi9B01G0049360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSEHAVAALKSSFRALPADAVPAVVDCILASSASTSPAQFFHALLGSPTPSEQQQREQSDADRHASISHATALRHLLARFDTPREAKDALRLLLWRVFLPLLRDTIEPNHHQVTALMCDAISDTGSWDLLGATIVPFCVRFGFLECIWGSCISLFSLGCGERLDAYRILSLYFSTLKLGHQVAVFGADKLQEFDLRNVSEFWNQLRKGLVDKDSFVRKQALYVLTVSLSIFTVSSRSDGIQDCSSKSSAALSSQNKSNTATTKRERWADKEAKSLGVREMEQSDECCSNGQDRWKVFLLLYEMLQEYGTHLVEAAWTHQVSWFPHAFLVSEAVNILVMLLFESTPQTGYLNDTTHGAFQAQMESWEGILLWMTVLWERGFTHDNPLVRCLVMQSFLDIAWERYKFCAQIIPRGFVLGSLIHGLNDVVHHKDFGIGGVYDSKTIKGAESFFSTYARNLTRRDRIHLVWRLASAAKHDSFSRAGLMTLASCVASCTCQSDINDISCATPGKEASKCDGDVPTEVSSADLLDALWILGERSKQHFNPKYRLKGPLRAIVQEWLIQKKDYSPVNTLLSKLIDFPCTFVKNNKQDHGSNLFDDEDVNAWEAEARRWARTLLLVTSDQQHLERILGFIEAYGYKLSEQLPVGECVPVKFFIVVLSFIEELEAGQKKLVCQNNTILKGGSDRENGLELHDFNEKLAESDRANGLELHDLKEKLAESLSLVLIWSMRCICSVASWCNHYNHGDSLPSTFSFLWDFYWKVTKHSTDATGFLHQNSSIFFLYKTGAELHLAAYEALAYVLAALSTAHNSQYLDLVETKQTNQARKFSLDICVTTFLNNINHLLTDGILTRSRRAVLMAWKWLCVDSLLSISCCFSDNESHLKRLDPLFSDSTLRCIFLDVIESLENAGENSVLSILRCVRSVLGLLHSNMGNGNFTSIGISYEVAIGSFFSSVIYAQLEGTKITVVMLSTLGPASPPRRFIGNLLNEGSKSPRTIRLAALHLSGLWLMYPKTIRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIKENNISETEDALQSGKQFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDVVGEVLSSTHTCLYRNNLPAVRQYLETFAILLYLKFPTLAVEQLIPIFHDKGMRQQALSSYVFIAANVILHSRLRSVQINHLNKLLPPILPFLTSHHHSLRGFTQLLVHSVLSRLWPNLGLETSEDVIFERRCFQELKYYLAENSDCVRLRVSIEGFLDVFDPDASGTPLGIFSARREVFEFECVPVSVMERVIEFLNDVREDLRQAIAKDTVTIKNEGLAVDQRSVSEPGCQDALDFQKKITPRTRSKIAEMEEDDQLFNLALEARLHAVETIKQSQQELIVVASFVDRIPNLAGLTRTCEVFRAAGLVVADKSILEDKQFRLISVTAEKWLPIEEVPVDSVKAYLERKRAQGYLVMGLEQTAHSRPLGHQFEFPRRTVLVLGREKEGIPVDIIHVLDGCVEIPQLGVVRSLNVHVSGAIAVWEYTRQHTTVQELK >Dexi3B01G0021250.1:cds pep primary_assembly:Fonio_CM05836:3B:16155665:16157236:-1 gene:Dexi3B01G0021250 transcript:Dexi3B01G0021250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTYLQKGQEPLFGRGVLKANGADWHRQRKLIAPEFYMSKVKGMVELMVDAAQPLLRSWEDKVAAAPGGVAEIHVDDDIRSFSFDVISMACFGGDYTRGQEIFRRLRALSGLMSETSVIFTIPSLRHLPTEKNRRIWRLTHEIRSLILQLAAERRRTTASPSSSSSPAPASDFLGSIIENSEGQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQRRARAEVLDICSAMTGGGGGAAAPDFEAVARMRTVHAVVLEALRLFPPSSFVVREVFRDMKLGSRLRAPKGTYLFVPVSTMHHDAAIWGPTARRFDPGRFRDGVAKACKHPQAFMPFGLGARTCLGQNLALVEVKALVALVLSRFEVALSPGYSHAPAFRHTEELVSATDGT >Dexi5B01G0015970.1:cds pep primary_assembly:Fonio_CM05836:5B:13465861:13466172:1 gene:Dexi5B01G0015970 transcript:Dexi5B01G0015970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTKLAAQRAVVIFSMSSCCMCHTVTRLFRELGVNPTVVELDEDPRGKEMEKALARLLGRNPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >Dexi5B01G0012730.1:cds pep primary_assembly:Fonio_CM05836:5B:9052111:9057266:-1 gene:Dexi5B01G0012730 transcript:Dexi5B01G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGRKTAPAAQPPRATGSRAAANRSGLRRSGSRLETPANRSGTSGSRLVLAVTARPRVRSSIVIGFRRRYFQRELERAKKLTAQRVQEKLRKEKAAAEGNYPGGDEAYDEEAELQRALNQSRAEEEFRRGVQQRGGAYEHGGGSGTRGEGTLQRMLRRATSARQTPGVTDYNLGSARGSTQPRIDTGSWTQKDEDDDEGDTPLPSNIVADKINPADLRKRKYHIAPSKVIPKRQRGQATGKGKQKEIEVLSDEDTDDGEGDKSPEYQESQDSSSGDDGDDDNDGDGSSDVVAAVAVLLAVAGADPAEACHLQTHLLEFKAELLVRQGLNVMSTAEYEMASRCYLAQNRLHVGFEFNRFPPVPGQTGPVNRYRRPAFAYLHWISPDRTSYPVEIFYILRRGRVTRLSCQKWGSARRQLVVDGGERLVVGSVVEWWRTNARVNPQNKIDRSLVEQWIRAAEYIDPPRPSL >Dexi4B01G0003400.1:cds pep primary_assembly:Fonio_CM05836:4B:2371548:2373456:-1 gene:Dexi4B01G0003400 transcript:Dexi4B01G0003400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSSTLLVRPAGTARPHLAVSSSSASSIRFPRGGCGGRAVSLRVSSPPAAATSGSIAPAISVTEKALKHLNRMRAERNEDLCLRIGVKQGGCSGMSYTMEFENRANANPDDSILDYSDALIGGGFSFQNPNATKTCGCGKSFATSKEMESTATACNN >Dexi3A01G0001750.1:cds pep primary_assembly:Fonio_CM05836:3A:1181658:1183134:-1 gene:Dexi3A01G0001750 transcript:Dexi3A01G0001750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSDVHLLPVELLEISSLIVSRGIEKLAWDSSGERLAVSFKDGNEMYRGLVAVYDVRLSPLVSPSLVGFIRGPREGAKPLAFDFHNKFKQGPLLSVFCSHMVRMHAVLEQWLVLYISTDTSFP >Dexi9B01G0046740.1:cds pep primary_assembly:Fonio_CM05836:9B:45953125:45965788:-1 gene:Dexi9B01G0046740 transcript:Dexi9B01G0046740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREELDDLQMITLKSSDGVDNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKDPPISDSQQNPTTFFDYEKVVRWNYTTEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESILIPIDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKIFRENIDFLLERFENGDICGVVELQQLLDILELTHQSVSRFLELDSYSLMLSEMQENLSLVSYSSRISSQIWSEMQTDFLPNFILCNTTQRFVRSIKGTHHSSQRSSASTGKPYFYCGSHPLYSNMLLDIYFLGPQVFL >Dexi3A01G0001370.1:cds pep primary_assembly:Fonio_CM05836:3A:957519:960441:-1 gene:Dexi3A01G0001370 transcript:Dexi3A01G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWALLAVLLAAAQVASAAQVVAPAFLWAPKNYGLHSDEAKEVVHYQTLSPKSLAKSVLEEGGWSNLMCSREDVQKNVDVAIVFLGSKLQSSDISKDKQVDPALADTLKLSFTSSEFSMAFPYVSTSDDEKLENSLLSGFSENCDNGFEKNHITYTDTCTITGQDLKKHHNMDSVRDLVASRMGNKPSGQTNLIVFCSGGFEDLDPAKSEGDLLSELVDVLKKSGAKYTILYASQPSGLLEKPSNLPLGRYLAEKTNTTAKAARGKCDGECLVKSTLLEGTFVGIVLLIILISGLVCMMGIDTPSRFEAPQES >Dexi3B01G0028210.1:cds pep primary_assembly:Fonio_CM05836:3B:24051781:24053208:-1 gene:Dexi3B01G0028210 transcript:Dexi3B01G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKEEHEKHDGADHEEEEEDEEGNKRTVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFKADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQQEPYIYEGEEETTPAGIFARGSYSAKLKFFDDDGKSYLEMSYYFEIRKEWPASQ >Dexi2B01G0028930.1:cds pep primary_assembly:Fonio_CM05836:2B:37440760:37441625:-1 gene:Dexi2B01G0028930 transcript:Dexi2B01G0028930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEPESEIYQAMMSVMCKNVEADGILVNTFELLEARALGALRDTIKIGERRMPPVYCVGPLLVVGAGAGSESKEKHECLPWLDGQSAASIGAATHSPEQFREIAAGLERSGHRFLWVVRAPHHAEPDVVIDELLPDGFLERTKGRGIVVKLWAPQVEVLHHKATGGFVTHCGWNSVLEGVTAGVPMLCWPMYAEQKMNKVFMVEEAGIGVEVVGWQQGLVTAEEVEAKVRLVLESEDGEQLRARVAAHKDAAAMAWEDGGSSRAAFAQFLSDVDGLVGDA >Dexi5A01G0016210.1:cds pep primary_assembly:Fonio_CM05836:5A:14548071:14549545:1 gene:Dexi5A01G0016210 transcript:Dexi5A01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRQRASGGQPPHRSKSRKRAEPIVRNADESLRGDACVLGGWLAVASLGDMEGRVHGGHPSSAISPITVPTDERRKLRTRPRFPPVEQDEDDDESLLVDACVHRQWLAAVSLGDSQGQEGRASAMSPFFTGSSVLSPSSDQPPRSRLKMPMSALAMEFCNIKIWRIDELRDHLGITGTSGSSNDASFKIYLSALSEDMSVRSDKLFSRTDFIDRKLLSILNDIADIMIEGGHEKMLRRAIDRQSAQLARFN >Dexi9A01G0034320.1:cds pep primary_assembly:Fonio_CM05836:9A:39077661:39078594:1 gene:Dexi9A01G0034320 transcript:Dexi9A01G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTHGAENASPVHSSASPAKPQDLVAGDPTDLPITSAATTSAGQPKGRNKLTLFPLIFFEVAGGPYGAEPAVQAAGPLLALIAFLVFPFFWAVPESLVTAELSTAMPGNGGYVVWVDRAFGPFAGSLMGTWKYVWGAFSAAAFPALCSDYLSRVVPAVSTGGGRLTTIVTFNVAFLTYTGLGVVGWSAVALGIASLSPFVVMPGIAVPKIRPSRWGVTAGDKDWKLFLNLNTMFWNLNYWDSVSTMAGEVDRPGKTRSCRAVCMASLGYLLPLLAGIGATDTPPEAWGNGYFADAAGVTSTLRAC >Dexi9B01G0046840.1:cds pep primary_assembly:Fonio_CM05836:9B:46037304:46040767:-1 gene:Dexi9B01G0046840 transcript:Dexi9B01G0046840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAWGRAKRALATSLCISIPARQRAIEDAPPETGAASSVAEEKTESSSASSMRRLTSFGSRTSQKTCSICLGGMRSGTGQALFTAECSHKFHFHCISSNVKHGNLICPICRAEWKEIPGAQPADASYGRARVSPLNWPQDEGHMAVVRRLPHTHSGSLQEHLPFFRTPEEGIFNDDEHIDLQSDTADEHNAVTGSVEIKTYSEFPAIQQSVALDVFSVLVHLKAPKSSHSAHSRAPLDLVTVLDVSGSMAGTKLALLKHAMNFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTSTGKQRALQDVSSLVASGGTNIADGLRRGAKVIEDRQLKNPVCSIILLSDGQDTYTLPADRALLNYSALVPSSILPGTGHHVQIHTFGFGSDHDSAAMHAIADISNGTFSFIDAEGSIQDGFAQCIGGLLSVVIKNTHLSIECVDDGVLLTSIKSGGYTSQVAENGRSGLVDIGDLYADEERGFLVTLHVPAAHEPSLLIKPTCTYKDAITTENIEVQGEEVSVQRPAHFVDCKMSPEVEREWHRVQATEDMSAARAAAEVGAFSQAVAILEGRRRILESQAAQSSDNQCLSLITELREMQDRVESRQRYEESGRAFMLAGLSSHTWQRATARGDSTDLTTTIHTYQTPSMVDMLQRSQTLVAPGVDTLNRSPTVVPSRRSPHRFRRTARSTKSFSGRLL >Dexi7B01G0001100.1:cds pep primary_assembly:Fonio_CM05836:7B:2034648:2035157:-1 gene:Dexi7B01G0001100 transcript:Dexi7B01G0001100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEINCKPFLDAALGEHPSNIAEDEAVKNCSVWQQKIEDPAWHPYKRITEDGPSEDMNEYNRSGRSVVPELWNYKEGRKATVLECIEYLGKKANKQNCKKRKNNPSSI >Dexi1A01G0003340.1:cds pep primary_assembly:Fonio_CM05836:1A:2403522:2414321:-1 gene:Dexi1A01G0003340 transcript:Dexi1A01G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSYPHPPPPPPPPPPPPPPPPIQPAGLTPPPIRILRRGSTEPPPPRTIPTWESFFSTPSPPVTPPLLSANPVPNAPPPRTGGTDPEPATTDLHPDKRGPKLSYADAYLEDGIDIPVGFAPTTRRYEWRYGVLDGAPQGTRPRFPARLPKPPHKMQQEPSNRGGNLQGSGDRVRQDDRGRPTSKREGRGSCNDRPFSCSSRDETDDDEDDYTHPGLGDDKYSDAYWGVVAVRRERTRSPPRRSYNSNQRRHGASTMDAGGPINLNALMPDAKSLSTGQLQALFAVRAHDLKMRLQDEVLMPPPSRTVSVSQVSKETWLADANDYINKACFLAEQLGIGAPNAGEEAWSTTIPVKHAFARLTASLGQAPTPTVAEVDEALRGMLLSASAFTGSPPGAGVPRSPSTNATFYAAPTVAMAMAAEASTTPARIDDAAHHSFSITPDAVRPPTAGTTTATSSPPAGSAPSPPVAIAADGLAGNDDSDNLLPGGVEALFKELPPAALPHPPQPPARANRMGGGSTMQRRTSPLTIGTFRTRKTPTSLAASFALRGGGAAPHLDLLPPAQAKELERPRRSGEAKAQSSTDCVLRPHPVHALESTCCLAGQAKPTGLLENGVVAVKKLANMSVTDERRFLGEVECLMKAKHKNIVRFLGYCSDSQGQWVEYNGKSVMGDVRQRLLCFERYLAPEGFYGKISFKSDIFSLGVTIIEILTLNEDYPDVLRQQEGVNYPDVKNVLKCWEHRLEKSQKDQELEQISVCTKVAQSCLEFYPAKRPSAQDIIDILKQKEGNEWPIETGGSSSTV >Dexi4B01G0006750.1:cds pep primary_assembly:Fonio_CM05836:4B:4711017:4718722:-1 gene:Dexi4B01G0006750 transcript:Dexi4B01G0006750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVEVEPATATAGPAYRNARATDGLLQPPPGLHSCWDIFRTAVEKYPNNPMLGRRRVVDGKAGEYTWLTYQEVYDVVIKLAASISKSGIKQGECCGIYGANCPEWIISMEACNALGVCCVPLYDSLGAGAIEFIICHAEIQIVFVEEKKIGEGGSHQVHLPDKRRSDICTIMYTSGTTGNPKGVMLSNESLLVNVVGPDSVIQYAGEVFDQDDVYLSYLPLAHVFDRMFEEVFIYHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSNLTAKISSGGMLKKTLFNIAYKMKRDSMRKGIKHQKAAPFFDKLVFSKVKEGLGGKLRVIVSGGAPLAAPVEEFLRVVTCASVVQGYGLTETCAGSIVSIPNEFSMLGTVGPPVQHIDVRLESVPEMGYDALSSIPRGEICIRGSVLFSGYYKREDLVQEVMIDGWFHTGDVGEWQPDGSLKVIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWVYGNSFESFLVAVVNPNQQVLERWAEQNGITGSFAELCENSRAKDFVLAELTKIAKEKKLKGFEFIKAIHLEPLPFDIERDLITPTYKKKRPQLLKYYQGEIDALYKAVK >Dexi4B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:4B:20158135:20160059:-1 gene:Dexi4B01G0017780 transcript:Dexi4B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVYITAGPQQRASLLCTPKPRVATTGRRSLSFTARASINSGAAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTDERFIDIPEEVIDVYELWRPTPLIRARRLEKQLGTPAKIYYKYEGTSPAGSHKANTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSPATEAGKKILATDPSSPGSLGIAISEAVEVAATNGDTKYCLGSVLNHVLLHQTVIGEECLEQMAALGVVPDVVIGCTGGGSNFGGLAFPFMREKLAGRMSPEFMAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAAALQFARTEGIIPAPEPTHAIAAAIREAMECKRTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHSADKLEASLAAVPKV >Dexi2A01G0002370.1:cds pep primary_assembly:Fonio_CM05836:2A:1869486:1871493:-1 gene:Dexi2A01G0002370 transcript:Dexi2A01G0002370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFNSIPLKLIFLLCLSFASLIAGDDHKFIYSGFAGSHIIVSGLAEVTPNGLLHLTNGTTQWKGQAFHPTPLNFSDPINGTVQSFSTSFVFAIRSITPGVSAHGLTFFISPTKNVSSAFANQFLGLLSKAKNGNSSNHIFAVELDTVLSSDMLDADDNHVGIDINDLRSVKSHYAGYYDDMGGNFCNLTLASFETMQVWVDYDGRSKQINVTLAPTTEMAKPRKPLLSIEYDLSTVLKDISYVGFSASTGILDSHHYVLGWSFGMNQPAPEIEFSYLPKLPRVGPKPRSKLLYIVLPVASASFILALVTGIFLLIHRKIRYAELREYWEIEFGPHRFSYKDLFHATEGFKNKHLLGIGGFGRVYKGVLRKSKSEVAVKRVSHESRQGIREFIAEVVSMGRLRHKNIVQLLGYCRRKGELLLVYDYMPNGVASGLLYLHEDWEQVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGTDPQTTHVVGTMGCIAPELTRIGRASTLTDVFAFGVFLLEVTCGRRPIAQQDGQDVPFMLVDWVLEHWQNGSLPNVVDTRLLTNYDVDEACLALKLGLLCSHPLPIVRPNMRQVVQYLDGNAPFPDQILMEITRNGGVERGTNYAVSPSVPSSTSFGTMSDLSGGR >Dexi3B01G0022110.1:cds pep primary_assembly:Fonio_CM05836:3B:16979097:16980975:1 gene:Dexi3B01G0022110 transcript:Dexi3B01G0022110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFALRRAVMPNASVMSNSAGSARPGTSQSKWNSRLASASSTLASPNAIPGHILRPAPNGRSSKSAPFKSTSTPPASASNRSGRNASGSPGQYRSSRPSAHAFTSTVAPRGTSYPSTRHASSRDCRGSSSGSGVCSRSVSRMTRCRYRSSPRACSGTVPSVPKASRTSAVARRMALGFRMSSARAHSSVVADVSLPAPKMSCAAFLLYLEQHVEKVLVLAGTAAVDLHQVLLDDAIKDPVHRVAAPLGAPHGAAEPSDEPGRRPQVRRVEPGHELDGASELPQEHVTVLAPVAHHDPRRGVGDQRGQPRAHLDDAAGARRRGARGARTEQRGDLLLADGAEREDAARAEELGDGDLAEVAPVVAVGREDDATGAVAEHGHGGAQRPRRERDVVRLHHLARGLTGRDHQRGHLADPEQHHRPVATGQVTHGAVRELAGDVVHAADERQLPGPRGEAQAMAVGPPALGDHHEQRHESQGEV >Dexi7A01G0022400.1:cds pep primary_assembly:Fonio_CM05836:7A:30691514:30691800:-1 gene:Dexi7A01G0022400 transcript:Dexi7A01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEFKAYDRLGHTLAPYELEYCERWASENILNDEHGQSLKMKKGGRQGSKFFCGAFSFLSK >Dexi9B01G0009790.1:cds pep primary_assembly:Fonio_CM05836:9B:6021665:6022084:-1 gene:Dexi9B01G0009790 transcript:Dexi9B01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPLLDRFGTPGVVVDNDTREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKN >Dexi1B01G0024090.1:cds pep primary_assembly:Fonio_CM05836:1B:29551736:29552171:1 gene:Dexi1B01G0024090 transcript:Dexi1B01G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSCVARTLQHETIARRPTGRGRRSEGGREGDEGGGAHRAATRRGKRKSEARTRKALKWMAPSQSASAAVVRSSEYAVPRNTAVPSSARSPLAAAAPLARPLVVVPAPIDPPADGSPEEESSGRETEKGQLVPGGA >Dexi7A01G0013840.1:cds pep primary_assembly:Fonio_CM05836:7A:23777414:23778131:-1 gene:Dexi7A01G0013840 transcript:Dexi7A01G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASAGVVVPGAAAAPAPPPTAAALLARAAAARLVWTLNARIALAAALFVLGCCAAALAFFAMTSLPPADSHSLEEAADLRAASQQLLLAASAQVLGATAAWLVPAPLFAAPGCLLGGLTAYRADEVIWQLVACHGHVHGAASFHYWLFVVVLLVVLLVGLAVSLA >Dexi9A01G0012190.1:cds pep primary_assembly:Fonio_CM05836:9A:7700322:7703134:-1 gene:Dexi9A01G0012190 transcript:Dexi9A01G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSPFDCVLLDLDDTLYPGNTGIGPALKRNIDEFLQAKLGVSAEKAAAMRVELFRSHGSSLAGLIALGYDVHPDEYHSYVHGRLPYDRIDADPQLARMLQSIPQRKVLFTNSDRAHMKRALERLGVDEAVFDDVVCFETMNPHLFGEAREEERAAGGEPPVVVLKPSVDAIVAGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGALRRVIPEIWGVAGGESERSDHSMDKMPMRSDLDTIIQPTSIQA >Dexi7B01G0016480.1:cds pep primary_assembly:Fonio_CM05836:7B:22483359:22486623:1 gene:Dexi7B01G0016480 transcript:Dexi7B01G0016480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGVRNGGLRKYNRSKEPRMRWTAELHRSFVRAIECLGGHDKATPKLILQFMGAKGLTISHVKSHLQMYRAARLSAARRGELLYLLATAAPSLPAPKSFILFFFILLMESQTPFFHGTITASGMQRRHSCDGDEQGPKELLCPPLKRARMGMATAAYESLQGSHGISEASTAAAAAGSLYCIDDYMQAMAMRWRIKEEGLRWQRRDAAAAAAASNLQAVGRLVQESDPFKISRPEANHLGLPLNQKQGSLEDETGCSLFSSFSTAAKDEPPEQCSLSLSLGLDPSCTRAMAASSLSESSCIITASPARRSSSGCSGHSDRFVIPGLSLELSLSTCGS >Dexi9B01G0041020.1:cds pep primary_assembly:Fonio_CM05836:9B:41500962:41507271:-1 gene:Dexi9B01G0041020 transcript:Dexi9B01G0041020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEDNGGDIGLVRWSSTLVQRSDCVGTRNPVVVDWWCCHQLDRRVDQPEPTSQTIRMPRLLAAAGRVHSLCCRSSSGGDSMNAASEALGPSWKEGKLRGLKADH >Dexi4A01G0015020.1:cds pep primary_assembly:Fonio_CM05836:4A:17562019:17563605:-1 gene:Dexi4A01G0015020 transcript:Dexi4A01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISAPAALILAFFLFIFCYASTTVSSQPSNSSDPFLKCLSSSIPSQLVLTPSSPSFTPLLRFSIRNPKFFASTTVRPLYIVTPTNASHVQAAVLCGRQTGMRIRVRSGGHDYEGLSYRAERPQPFAILDLSNLRSVRVDVPYTAGMVWGQKYFRGNYQRLATAKAEIDPDDYFRNEQSIPPFAKSK >Dexi8A01G0010320.1:cds pep primary_assembly:Fonio_CM05836:8A:17235190:17237886:-1 gene:Dexi8A01G0010320 transcript:Dexi8A01G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLSPPRRTLSMGSGGAMGRRAAAAAATASDSPKPALSRSMTMGGERTVKRLRLSRALTVPESTTVLEVCRRMAARRADAALLTDSNALLCGILTDKDIATRVIARELKIDETPVWKVMTRHPIFVLSDTLAVEALQKMVQGKFRHLPVVDNGEVVAMLDIAKCLYDAIARMERASEKGKAAIASVADGDDKFSIVEALKEQMFRPCLSAIASADSTVVMVSPGDSVLTATKKMVEGHASSAVVAVGSKAQGILTSRDILMRVIAKNLSSDSTPVEKVMTPDPECATVDMPILDALRTMQEHKFLHLPVMDRDGSIISILDVIDITHAAISIVESSGDGSDDAAASMIQRFWDSAMALSPLDDETDTQSQMSEASRSQTMSDVHHYSMGGSEAAYPSLFSFKLQDRRGRMHRFSCEVQSLTPLVTCILRRLGADIDPDRLPQILYEDEDQDKVVLASDDDLAAAGLKLYLDYSGTTGRRKAAASSDGAMAVGISSRDAWAAAYSGVAAGAALVTGIGVMAYLRRSG >Dexi3A01G0012370.1:cds pep primary_assembly:Fonio_CM05836:3A:8987769:8988148:-1 gene:Dexi3A01G0012370 transcript:Dexi3A01G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLAGDALAIYALATLFNRHKPQDQDHGRSLLEVVWAPILLTHLGGVDGITAYNIEDNELWSRHLVTAVSQVTVAIYLI >Dexi5B01G0017310.1:cds pep primary_assembly:Fonio_CM05836:5B:18767013:18768095:-1 gene:Dexi5B01G0017310 transcript:Dexi5B01G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLLVMASIDAGHPTAVLVRPGTASDAGKAKIMEAFKSCGVRLVYGDMNDQKGLVAAIKQAEVVISALGHSSPEEVESQLKILVAIQEAGGVKRFVPSEYGCDVELAEHMLEPAKSILGAKIRVREAVRAAGIPHTIISSNWLQGFLLPRAGNPEANGPPNNSVTIFGDGKPQGKPSMSDL >Dexi1A01G0024540.1:cds pep primary_assembly:Fonio_CM05836:1A:31044342:31046735:-1 gene:Dexi1A01G0024540 transcript:Dexi1A01G0024540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPFLETGSPAQVQKAASKPPPPPPNKATPVQAVPARTQTSPASLYATPESTTLPDSPSSFPGTWSPYVINHKRRGTSLAKTLSQGDVLSEDSQLKLPVTLPGLPKNGEPIQMQEPEFVFQQAGNGQADGDRGVEEPLNGHYGMLQKGKGSVTAETEQDQPEFEFQRGSLEALVRPVNVVRPLNGEGPKNDESDAFLELQDSMSVASNTETDEAGAHERWWKPSSPLGMSVGTPGAEFYDAFEEISSDGGTRSSRCMDDDLREMRLSLLMEIERRKQAEEALENWQTEWKKLSNHLSLIALSLPSPTMAENTDDSSVDPGAELCQQITVSQLVAAAIARGLARAEAESEMETVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRKERKKRQKWFWGSVGLAVTLGATAIAWSYLPSSQPQASADSNSASSE >Dexi7A01G0021480.1:cds pep primary_assembly:Fonio_CM05836:7A:30074117:30077486:1 gene:Dexi7A01G0021480 transcript:Dexi7A01G0021480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRRRSPEDDGEDDRSGGGRAQRRRLSPEEDAASPEEAEAAAAAGSSPGWLSGFVSGAKRVISSVLLFSSPEETGSGEEDDDEVDGLGSDENEDVPDTYGALVPYSESKLAIEQMVMKETFTSFIKGIDTDMGSPVDVAKSYMQSLPPWQSPFLGSQKFSTSPSKYSSLLSTVTTKEDYLSNFWEKLEESRRARIGSPGGSADAPKFWRYGSTSRLFENDTSIFSLGTDDKVGEPTETNNGSEKVAATEPVSGSSIPIVPTEDRNDVSNS >Dexi5B01G0009190.1:cds pep primary_assembly:Fonio_CM05836:5B:6257540:6258693:-1 gene:Dexi5B01G0009190 transcript:Dexi5B01G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWRYEENVLKLLEERQRDKQALLKKFVMLQQQLDAKEKLQLEIQQLHGKFMAVMEHEDSESKRKIEELGEKLQDKYDETEAMESLNQTLVIKDRNSNNELQNARKEMIAGFQDLSVGRAKIGIKRMGELDMNAFGMPLDLASELCAKLEAEIKDPNWHPFRVVHVDGKEMEVLWEADGKLQKLKEEHGEEIYALVTKALAEINGYNPSGRFPVAELWNYKEGRKATLKEAVHDVMKQLQPCRLTRESVDPFVRLGSSG >Dexi9B01G0039150.1:cds pep primary_assembly:Fonio_CM05836:9B:39955360:39957405:1 gene:Dexi9B01G0039150 transcript:Dexi9B01G0039150.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFWYLRGGGMGEDMAGLGLWAVIATVVVLVIDAIVRRAHGWAREASLGAKRRAQLPPGDMGWPVVGAMWAFLWAFKSGNPNSFVSSFIRRYGRAGMYRAFMFSSPTILVTTPDACKRVLMDDENFVEGWPKATVELIGRKSFLTVHHEEHRRLRKLTAAPINGFDALSMYLGFIDRTVAESLRRWSDAGEIEFLTEMRRMTFRIIVQIFLTAADDGTMVALERSYTDLNHGVRAMAINLPGFAFHKAFKARKKLVSVLQGLLNKRRVELAKGLSRSSTDMMDRLIEAEDEHGRRLDDEEIVDILIMYLNAGHESSAHAEQEEIIQSIPTTQKGINLRDFRKMEYLSQVIDETLRFVNISFVSFREAAKDVFVNDYLIPKGWKVQLWSRSVHMDPEVYPDPKKFNPSRWENYSARAGTFLPFGLGTRLCPGNDLAKLEISVFLHNFLLGYKYVL >Dexi7B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:7B:16986836:16987333:1 gene:Dexi7B01G0009370 transcript:Dexi7B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGQSVTRTFLLRCRRILLLLPCPPAVPSCPVPPAAAPLAVASVRRCRCTACSCAADCHNRAQMPLHRLRLRCWLPQPCADAVVRALPSAYALADGSGQDRESEKEENRAGQQERREDTERADGGRGHGVTGVDRIRDRGGGCACRRVGVLVLGFLLDRASIFC >Dexi9B01G0046790.1:cds pep primary_assembly:Fonio_CM05836:9B:45991731:45992285:1 gene:Dexi9B01G0046790 transcript:Dexi9B01G0046790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPGATPRASPAPAPRTLAPGTAAPAAVDAVWVPWPCASRGDTYSSSDAASDGLNRAPMSFRLQLEALKWSPPWHTPVHLTGAGGIPRSSKLGLSGHRPVSRMPTMVPRPYPDRAHAPVGQKLRPRNLRRSARTDATPGSARSDAASPSVICAAKPSNTVWYE >Dexi3A01G0006850.1:cds pep primary_assembly:Fonio_CM05836:3A:4597915:4602329:1 gene:Dexi3A01G0006850 transcript:Dexi3A01G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLINNDGNDYQESALSYIDAMNSGGSNVEILTRVELDLAFASEKLLNLEMLVMEIARRATDFEPATFEDESISSETAETAFELDMLYGILDAEVKELVNLISSLQADIKRIEHRVHEEESGGKVKAKLNAATVSLKQMQELIADIRNESAKFEKAIVFFHETEGVEGAGCENGHLSYQTGMQTEDQHRNVLHMLEQSLASEIDLEKKLSDSRSVIDDLNLKLHHHEKEIYFLEDSAESVSCRMFEAENASELLFATSKEFADTLNTMQLHISTQKCKEDDLKSKLEQSLAKLSFLENSPEKMEEESNKIEAESISLQDRIQELEQQLRESNFQLHFAKGSAETSQEEQNALHSELSTLENTIKNLKDDVSRAECRAKNAEIRCMQLTQGNIELNGELSALKSEKSDKANLLEKKLKESNTQLEHAKAAVDAIVEQQGMLKSTMSDMEHMIEDLKGKVLKAETRAGSAESKCTLLTDTNLELSEELAFLRGRVESLENLIHEASHAKVSTAKDIAIRTKIITDLVRKLALERERLHLQIATLTKKNKILAQKYKEGVNASTRMSKKATAKDTSLQSTEKVEEIFPDSSPSQTEAVKPADLLYKDEAKAHTPSEDVSTSEDDSASDDTRETVRTIKPSLLNWKSIFVSFLVLLVAVVVFLLHEDGNLP >Dexi7B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:7B:24899466:24899738:-1 gene:Dexi7B01G0019410 transcript:Dexi7B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRSTSSYLEEQTKVAGSRRAGGIRGSTGRRWEQQLPCRVLLSLLFLLSATISAETRAPWTRQPGLSAG >Dexi5A01G0006930.1:cds pep primary_assembly:Fonio_CM05836:5A:5148661:5150793:1 gene:Dexi5A01G0006930 transcript:Dexi5A01G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSATAAASAFATLLSSAPSTGAGSSACVCSLPRRPLLGPLHAAKSSNSVPVELESKVKGKKKKGSDAGNLPGALDVEIREAQQYLDSDEQEAVPENFPFEILDEEGMSVVILKRDYKDEKIEVIISMPNLEGGPEFDDDDGEGDGESAGKDEDEEEDGESAGDSTVSLKVVVSKASGPKLEFTCTAFREEITIDDMLIMEKTDDDGEEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLSATNYMHDYMVTKQAQEYIRWMRKLKDFVSQ >Dexi4B01G0009380.1:cds pep primary_assembly:Fonio_CM05836:4B:6731783:6732069:-1 gene:Dexi4B01G0009380 transcript:Dexi4B01G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFPLLFLFRPIISLAYPLYASVRAIETKNPVDDQQWLTYWVLYSFITLFELTFAPIIEW >Dexi9A01G0017670.1:cds pep primary_assembly:Fonio_CM05836:9A:12730517:12731852:1 gene:Dexi9A01G0017670 transcript:Dexi9A01G0017670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLTSEILSTAMERRLHGRQAEEADHLVRFVYNQCNDAKANNGVDICHVAQHFCGNMIRRLTFSKRYFVEPPLVSAGAGPGRDEVDHVDALFTLLNYLYSFCVSDYFPMLRGLDLDGHEKVVHGVMTTLNRLHDPIIEERIHEWSTLRKHGEKREIGDFLDVLVSLEDSEGQPLLSLEEIKAQTAEVMFAVVDNPSNITEWALAEMMNKPEVMEKAMNELNTVVGKERLVQESDIPRLNYLKSCIREAFRMHPYHAFNIPHVAMKDTTLSGYFIPKDSHVIISRVGLGRDPNTWVVPLEFQPERHLISGSSDVVLTEPDLRFMSFSTGRRGCLGVSLGSSVTMLLFARLLQGFTWTKLPGVQTIELKESTTNLGLAEPLILQSEPRLPVHLYESTSS >Dexi9B01G0040680.1:cds pep primary_assembly:Fonio_CM05836:9B:41201381:41203905:-1 gene:Dexi9B01G0040680 transcript:Dexi9B01G0040680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSTSKKGWRAIFPLVIILVHDSRYRREDSLELNDMEASNRYNIDTTGLVCCWPSEEVLAYYCINHSDMFRAKKVLELGSGYGLAGLVIAACTDVAEVVISDGNPQVVEYIQKNISINAETFGETKVKSMTLHWDKDQTSEIVNTFDIIVASDCTFFKQFHESLARTVKSLLKHSETSQAIFLGPKRGDSLDKFVGVIKENGLNCELIENYDPTVWDLHKKYAAGDDRTWPSYDKEHCYPLLVRISSFCD >Dexi7A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:7A:11610006:11613428:-1 gene:Dexi7A01G0002810 transcript:Dexi7A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILAWAADVVGGAGDTDDEADDARAAASAAMTPEQRLRAAELDARAASLWRAIQDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQAQQREITLQEENAAYEKALSDCRQKIEERHLEISQLQNYLKEMEVAEHNLKAQVEDALKEQEATRHGVSTTGSETTENALLEAESLINLKSKDLEEKRRELELLDNKVQTLEKEWFVVEEESLKKPTPAQREKVLEKQLHSLIEQLTSKQSQAEILIRDVHANEKELERLNNLYSNLCISSNETSAPRNRFSKGPFSGRDYYYDAKAGRRLYQPGLRTEGQKRLMILRSAIVMYVLLLHIVVFIKISV >Dexi9A01G0032100.1:cds pep primary_assembly:Fonio_CM05836:9A:36887864:36888354:-1 gene:Dexi9A01G0032100 transcript:Dexi9A01G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHETSAPATAAGDGARRPPRPTLALPPRSAVESLFAAGGSSSSAGAAETSPGPLTLAAALFPDAPSPAFHGSFTQLLVGAIGSPAAAASSAVPPPPSPFSVPPGLSPTALLGSPGLFSPTVRPSLPATCRVMRRRY >Dexi1B01G0020920.1:cds pep primary_assembly:Fonio_CM05836:1B:26909754:26910555:-1 gene:Dexi1B01G0020920 transcript:Dexi1B01G0020920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGAVVIAGLVLLAAAAVAPAYATDYVVGDSSGWTSGVDYTTWAKGKIFSVGDNLVFQYNMMHTVAEVSSADYSACSASNSLQSYSDQNTKIALTAPGTRYFICGTPGHCSGGMKLAVTVSAASATTPATSPAAPGADDTPPETTTPSTSTPTTTTTAAPTTKASASVGAASGGEASLAMGVLAGAAGLVGLALMA >Dexi5B01G0031970.1:cds pep primary_assembly:Fonio_CM05836:5B:32613928:32615334:1 gene:Dexi5B01G0031970 transcript:Dexi5B01G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELPWQLASAQPLERSSPMAMAHALVVVVVLLVVSVVAPAMATAEQESDRIRELPGQPPNVEFSQYSGYVTVNPARGRALFYWLVEAVPAAGLIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRSDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDSYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEKNKGIQNPTINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDKTYHNLKATCLLESSQHPSPDCVKNLNLASAEEGNIDPYSLNTKPCNDTASLKVGLGGRYVSVFLSPFFLFPSSNQ >Dexi4A01G0024180.1:cds pep primary_assembly:Fonio_CM05836:4A:26991469:26991927:-1 gene:Dexi4A01G0024180 transcript:Dexi4A01G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKQLGKGTNVSNKKQKTKANSSPSSAALVPLTGTGGDDAAAVAEDPSKRPDGKKAEKKKLRQRSTIEALDYLVAKMKQTDDVKEIKKEQRCNRLIDLQEEKIKLEGEKFEFQRDMEDERILSLDLSNMTYRLQQYYERRQDEILTRRGC >Dexi7A01G0001220.1:cds pep primary_assembly:Fonio_CM05836:7A:3117719:3130659:1 gene:Dexi7A01G0001220 transcript:Dexi7A01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSALPGWLATAACSPPPAPGTFSIEGRLAFLFLSPCPQRALLAAVDILFLLAAGTLALRARLSRLAARHDGGSGGLSREPLLAKYEDQAPPPSVRRGRSATHGLALAAEAVQAAASVVLLVVALLGLRRRRASAWLAAECAFLAAHAVAHLAAAGVVAAEKKQETAEHALVTQAHHPVHLRLFWLGTAALAALFSGGAAARYAAGDPILPDDPLAFAWLALSLPLLYFFVTGDTGLAAVDAAANGVPSDGDQAAAAAEVTYATASWVSLATFAWINTLISKGSMATLTADQVPPVAPADTAEASYALFVSNWPAPGASKTERPVVTALLRSFWPQFLLTAVLGLAHLSVMYIGPSLVGRFVEFVRRGGELTEGLQLITILLVGKAAETLASHHYEFQGQKLGMRINAALLAAVYRKSLRLSTGARRAHGAGTIVNYMEVDAQEVADVAHQLHDLWLMPLQIAVALALLYAYLGPAVLTAVAAIAVVTVVVAFANKLNIEYQFKFLGKRDERMKAITELLNYIRVIKLQAWEETFGNKIRELRDEELGWLAKSMYFMCANTIVLWSGPLTMTVLVFGTCVLTGVELNAGKVFTATAFFQMLDGPMQSFPESIAAVTQATVSVGRLDRYLLDAELDDSAVQHVEDTGTGTDVVVEVHDGVFAWDMRGNKHNEEVEDGDEEGESEEEKDVEATPVLETVLKGINIEVRRGELAAVVGTVGSGKSSLLSCIMGEMEKISGMVRVCGSTAYVAQTAWMQNGTIQENILFGQPMHAERYKEIIWSCCLEKDLEIMEFGDQTEIGERGINLSGGQKQRIQLARAIYQNCDIYLLDDVFSAVDAHTGSNIFKDCLRGTLKGKTIILVTNQVDFLHNVDNIFVVRDGMIAQSGKYDELLQAPSDFAALVAAHDSSMEMVEQRRQVEKVEHSQPAAVGRIPSLRSRSIGKGEKVVVAPEIDSATSRIIQEEERERGQASSDQTTIDVALAFFVGLTISMYISVLSTVIVTCQVAWPSVIAVIPLLLLNIWYRVRYRPNTPLILKGININISGGEKIGVAGRTGCGKSTLVQALFRLVEPAEGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAKIWQALERCQLKDVVVSKPEKLDAPVADSGENWSVGQRQLLCLGRVILKQTQILFMDEATASVDSQTDVTIQKITRQEFSICTIISIAHRIPTVMDCDRVLVLDAGMVKEFDAPSWLIEQPSLFGAMVEEYANRSSNL >Dexi8A01G0011040.1:cds pep primary_assembly:Fonio_CM05836:8A:19509233:19514414:-1 gene:Dexi8A01G0011040 transcript:Dexi8A01G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAGATAAGGGGEGDGAAPARGGGSGGAAGGGAAEDGMYTELWNLCAGPLVTVPKVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKPEQNGSSEEMPAAPPAAPVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGMVWRFRHIFRGDHSTSLGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFIVYYKPRTSPAEFVVPCDRYMESLKQNYPIGMRFKMRFEGEEAPEQRFTGTIVGTVDPDQAGWAESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSLPPINPLPVHRPKRPRSNAVASLPDSSVPTKEAAPKVIVESQPNALQRALHTHDNAIPKSGFGDKSEMNAAQQSILRHSGFDQEKSTIGTQRKLGSDSWMQMNRPESYNEILSGYQQPKDLQNPQGFCSLPDQLAAGRPNFWHTVNAHYQEQQGNHNMFPGSWSMMPSSTGFGSSRQNYPVMQEIGGLSQSSANTKFGNGAYAALPGRGIDQYSAGWFGHMLPGSHMDYAQPRVIKPQPLVLAHGEAQKMKGNACKLFGIHLDSPAKSEPLKSPPSVAYDGMPPTPAAAEWRRVDATEGERCSDPPMTPKLLDATQAYPVPEKHLSCPQASRSTQCKSVKSRSCKKVHKQGIALGRSVDLTKFNGYTELVAELDEMFDFNGELKSSNKEWMVVYTDNEGDMMLVGDDPWKEFCDMVNKIFIYTREEVQRMNPGTLNSRSEDSPATSVERSSAAKEMHGCLSTSSLNSENC >Dexi9A01G0004730.1:cds pep primary_assembly:Fonio_CM05836:9A:2589716:2599134:1 gene:Dexi9A01G0004730 transcript:Dexi9A01G0004730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPAVAAELWRPPHLGAGGGRAVEATSAITEKSSGGRGGGSAGRRKQREAPASEDDSSRIVSTSGGGGQDLCLNFCGNPPKKPYVINKNMQLLSYILKENYSVGVGIFHSLAYPDLFDEQTDSGAKRFKTNKSNDNNGNLRTEADTDSRSVGKAVSKKSPAPEPPKQDYIHVRARRGQATDSHSLAERVIGKASVLDEIINYIQSLQCQVEFLSMKLEAVNAHANNGVEAFPSKDVSRLLKSIVNPPPFPLLTRGSSNGFRCHGSTCSGCPLPVTRRPTPPTNPNPTPIPAPDPPSIRPFPTGGFPAMAAVSPPQDQQKPATEGAAALEDPAAMNPRRARFPRACHYRPNAAPPVPTPAPAPPPPRPRRSGNAGDETPEYRVVTPLVGEPESPSELPRWRLRGMWELASVLNFLHVFRPLLNIAVEFTAEELEDAIITPNGTLDDVHMPLLKVSRCLLKLFGTTLYYCYSSIKQCFSIPPAARIAMARGTWVTVLCKKLKHWWHWVAEGDLPIVVSHGEEIELYRALDPVTRLVILKAICDIRCEQEDIRNFIDSSLKHGYHLPIFRKERIGGDSHGISYWYEEDPILGHRLYREIRRVEYVKEPTKKTKGKGSVPVISYQWEAVASNFEQFSTVAEKLFSSRNRTEVSLGKKLKFNYLPAIEKIHKKKEKLLKKQQREALLLDSYLTVNGLTSGRSRRERKRVTYTFDDYERSINEAIKTTKKSENVSEMGPSPLCKALYGESAAKPYRYQANNREGKAGTLDRSYRQRKRSQRYTKDFVEALSDIDPNIDSDDDIMGEAVYDEEYLRSKKQQKTGLSGNDEKFHLEQVASDGDNEVDHSLSVNEDADELQWYKRFPPHNPQETNLRSVDGIQIAIRRSKRSARPRINYQQYDISGTDTEFGKQEKCSTSDPDVGSDAQNDMEVSTTSQDREEEEDEVNKAQQQRIEKALVPSRESKTVQGKFLDLNELVPGGRFDDAPVLVKDERTNNGKKKCSAAH >Dexi3A01G0011810.1:cds pep primary_assembly:Fonio_CM05836:3A:8516556:8523804:1 gene:Dexi3A01G0011810 transcript:Dexi3A01G0011810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSSRKRTRFSLPPRNIVFDDDCDDDRPLPRRPRQRTVLRRRRARAEEDGDGERPRIVLRIPGSTPPAQAPPEPEPSSSSDSSSSVIRDATVENNGAIDCVICFLPLKPPIFQCNVGHVVCSRCSERQGEASNCHECRAPTPGGYTRCHAMEKVVESIRVPCPHAVHGCAHRPPYHGRDAHAVACPHAPCHCPGVGCVFAGSPAALAGHFAAAHGWPCATVDGVGSYVCLRDSFNFVTAANGAKNKERFLFLLDVKHAPPFGRAVAAFCIYPDRAATATLKLTHTGNNGMHVQSSEFTVACTDLADGLPDPSSSKCFQFVVPSTSVLEDDDGDSVTTRVFVEIITPPRPSNTTTRMDVAKKNTNGSISLFLSDPQFLFSPATLPPVANPSSPQRRLIDKHRDRTDGGAAFQAKEPSGRPSSSPSPPPSHWGQVRSEEILKVFEGKEVGGGSRRPRQEVGEAGESSSNRRKRTRYSPLMQALGDDSEPEPVSEAENDGSNCEEEDEEEEEEEEEEDEEEEQSECETDGYDSDDAVEDQQNEQQGGRGVQDQPPQQQEVMQAPLHLLQCLHRCHHRRGYQRCHAMEQMVDSIRVPCLHAAYGCTERPVYHSRESHAQACTHAPCHCRRLACGFVGSTAALLLHFAAVHRWPCTTEDKAGVGFDINLTDGFNFITAARAGANQGTTDKYLFLLNVARTPFGRTIAVFCIHPHHTSTAELRLTYGCYRNFDMCCMHHQTSEFKVACTDLSKGLPDPSKCFMFIVPGSACRDDEVVTKVTATINKTPVQRIGSRHRDEEEGSAAAAFRRRETFDWR >Dexi8A01G0010450.1:cds pep primary_assembly:Fonio_CM05836:8A:17530072:17530621:1 gene:Dexi8A01G0010450 transcript:Dexi8A01G0010450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGRGHWRVSVEVLQTSNGEKVGPDPVFEVLVRNRCECPVRGVLLHAEGFTSSAPVDPNLFRREGSEYLLGDGSLIPKRGEVRFRYAWDRAFDINPVALQEDCSGVHEFTMS >Dexi3A01G0035670.1:cds pep primary_assembly:Fonio_CM05836:3A:40956932:40965279:-1 gene:Dexi3A01G0035670 transcript:Dexi3A01G0035670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDARMATESDSDSDARSGGGGGGGSGSGSETPSASPSTPPSEPGTPTAAAAASPGPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGDGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAGVLLDDLLVAEDLAAAETTSAANHAAAVAASTNVQREPGRYTYNDEQSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDISPENAIIQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDKEQSPEAASSVKQTSSLIKPDPALLNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQAPNNDRGSLAWI >Dexi2A01G0021270.1:cds pep primary_assembly:Fonio_CM05836:2A:33404070:33405244:1 gene:Dexi2A01G0021270 transcript:Dexi2A01G0021270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQTPTAVVTEEAPAVETPVVVEEAPKEETPAPVAEAVAEEAAAPAEPETKAAEPAAEPEAEAPKEAEPATAAEAEAPKEAEPEPAAAEEVKEEAAPVAEPEPAAAEPEVTAPAAEAPASEAEPAAAEPAAEPAVEKASE >Dexi3B01G0003050.1:cds pep primary_assembly:Fonio_CM05836:3B:2000742:2002100:-1 gene:Dexi3B01G0003050 transcript:Dexi3B01G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGAARLRANSIKKQRQRTMNNIKITLLCGFITVLVLRGTAGFNLLINSGDPDGAAADAKVVEDVERVLAEIRSDSDPDDVVVLVGSGSSSSSPNATAALANFSSSAMVIQVKEYSLGPKVSDWDEQRREWQSRHPEFPSRDPRGNPRVILVTGSPPGPCDNPAGDHYLLKGIKNKIDYCRIHGIDIVHNMAHLDPELTGYWSKIPLVRRLMLSHPEVEWVWWVDSDAIFTDMAFELPFSRYEGRNLVIHGYPELLEKRSWISLNAGIFLLRNCQWSLDLLDAWVPMGPRGPSRNEAGKLLTASLTGRPPFEADDQSALIHLLIVDKDRWMDKVHIEREFYLHGFWTGLVDRYEQMMEESHPGLGDDRWPFITHFVGCKTCGRYEDYPLDRCLTGMERAFNFADNQVLRLYGFQHRSLVSAKVRRVTDPRTPPLEAKEAALKMDAKFERV >Dexi5B01G0004900.1:cds pep primary_assembly:Fonio_CM05836:5B:3304438:3304759:-1 gene:Dexi5B01G0004900 transcript:Dexi5B01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRPPCQPTAAVACMHASPSGQGHRDAWMDRRRRSIESLASFIPLGLPVPYT >Dexi2B01G0025700.1:cds pep primary_assembly:Fonio_CM05836:2B:34937461:34941043:1 gene:Dexi2B01G0025700 transcript:Dexi2B01G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPTSRPVLSSSCNHSCSAKLLSVLGGHKKDVAEGKIRRRAAFSLLLASPVLSVAFSAYGKSKNMNPYDERRLLQQNKKIQEANRAPEDFPNFIREGFEVKVVTSDNYITRDSGLMYEDIKVGTGNSPKDGQQIIFHYVGYNEAGRRIDSTYIQGSPAKIRLGNGTLVPGKSQLILDIGTSGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >Dexi6A01G0002980.1:cds pep primary_assembly:Fonio_CM05836:6A:2744795:2747606:1 gene:Dexi6A01G0002980 transcript:Dexi6A01G0002980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIVPVGDVRGPAVTSNVVDSGRQIEQNGDAARLQFLSTSSWKKAMEKKRDKYEEEARWKKPSIFLVPQWMKDSKESKDYRPELVSLGPYHHGDPDLLPMESHKHRAVQTMLSMSSQKVSPQDLFHAIEGVADKLLEAYHDLDPSWRHGAKRDYFIRMMVNDGCFLLEFMRAVKSLNQGEIALSEYAPSDPVFSRRGLISIDNREPLFNGDQLGMHPLDIFHRSLCGPRLKSTEWHGWVDAVPSAVKLRASGIDFKRSITNKLCDIDFKNRVLWMPRIVVGDGTKKELLNLMAFEHLHRGIVTDVSVTNFVFFMDNIIYTEADVELLKKREVIEHTLGTNMEVANLFNNMKKGAVMMRPYGSGSLPLVKEKVNKHSKKTLYKWRASFIQTYLRSPWVFISLVAAVILLIATILQTAYTIAPYYKTPYSMYQVPTI >Dexi9A01G0001610.1:cds pep primary_assembly:Fonio_CM05836:9A:850258:850677:1 gene:Dexi9A01G0001610 transcript:Dexi9A01G0001610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEETVVFSTRDDASIALLRRLRAGAAVHFVHHVDVCSAPPEDLVADLAPAPGTDLAGDGYNSIWYFYCPKRFKNAQGKPSGHRQRAIAGGDTCWHSETAPKPVKGLEGATFCNLSFGRKEGSGRLLYRVSITTFN >Dexi6B01G0020460.1:cds pep primary_assembly:Fonio_CM05836:6B:26909016:26910816:-1 gene:Dexi6B01G0020460 transcript:Dexi6B01G0020460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPTRRRAAGTSSSPSPGRKKVWVEPPGKSHHHQTPARSPPPASAPAAAAAAAAAKRVAVVYYLCRNRHLEHPHFIEVPLSSPEEGLYLRDVINRLNVLRGKGMSAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPPDKQQNGVSNPKVEGLKHPKEESPPSRGSQEGCSSSSSPSAVVKEISPPPVTPRPQQQAQSALLPSSSASTNQEDEPWRATHSGSSGNQSPEPAGRNAPLSEASSPGLSEYRVCKPIGAQDAATQTDDSERDVTEKHTRVAGLSMEAGTSDAEIQECHERNFLVSPKGSEIVQESPAVCSSDASPGGRVETLESLIRAEASRRSSFRTLEEEHMFGPMGVKLKPANLLMQLITCGSISVKEHRGFGIIPTYRPRFTQVEFPSPVFSTPVALRHLDKIPCNAKAIGMRAPESECFSGSLVETQKQDESGRGISTLKRSSSYDEDRYNIQYYHRIVLNF >Dexi2B01G0013640.1:cds pep primary_assembly:Fonio_CM05836:2B:22470310:22470853:-1 gene:Dexi2B01G0013640 transcript:Dexi2B01G0013640.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKYGTGLVTEEEIKKGDFVIEYVGEGMSLFMFIYLVIDDKTCEKRLWQMKRLDRGDKFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWIIDGETRVGIFALRDIKKGEELTYDSK >Dexi7A01G0002770.1:cds pep primary_assembly:Fonio_CM05836:7A:11558132:11559874:1 gene:Dexi7A01G0002770 transcript:Dexi7A01G0002770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLDPADKLTSQRRIAEGDTVVVYERHDSMRTVAVRAGGVLQNRFGVFRHDDWIGRPFGSKVFGTGGGGGRGGGGKGGGKAGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVHTFDFHEQRAASAREDFERNGLSSLITVNVRDIQGEGFPDAHRAAADAVFLDLPQPWLAIPSVGLMLKQDGILCSFSPCIEQVQRACEAMRSCFTGSAVSLT >Dexi9A01G0027280.1:cds pep primary_assembly:Fonio_CM05836:9A:31731906:31734447:1 gene:Dexi9A01G0027280 transcript:Dexi9A01G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVASILFFLILGGLTPFPAAADVCDNIKQVAATLPKNTSSSPLHFATTTFGQAPDIVYALALCRGDILNDTVCGNCVADLFGKISNWTLAPQQKCYTAVSYYAGPCTLVFSGDDILAPSNTTAANGDDPPFTLWNDKSIPGDAGEVQLIVGLKQELLVETVKKAAAAEPRRFATGIMDSGTTFPPVYSLAQCTPDLSACDCLACLQNLLGMVNSTMELRMGAQIHVIRCYFRYETYVFYDSLPMVQIGASSAEAPAPTPTTPAKRRMSKLWVIPIVVVPLAAAAAFLCFIFYSPWFKRYRKGKEMRLKAGSKRTQDLLQGDGKNSDFSVFDFEQMKVEEFCWIGQNFCGYMAPEYASEGIFSIKSDVFSFGVLVLEILSGKRNSGSQQCGGFINLIGYVS >Dexi1B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:1B:17679816:17680283:1 gene:Dexi1B01G0012860 transcript:Dexi1B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALKTDSNRVRGCVSQVWVHAEPVEGGGGRSVRFHADSDAQLTKGLAALLVLGLSGTPAEDVAKFIELLGIRQSLSPSRNSGLLNMLNLMKLKALEIAGDAGGDSTTSQRLVQGVAEPLADGMENKGSEFAAFDVQEEEKTEADIPSKD >Dexi9A01G0017450.1:cds pep primary_assembly:Fonio_CM05836:9A:12521317:12526151:1 gene:Dexi9A01G0017450 transcript:Dexi9A01G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPERDGEEEQRRPLLSSSSPPGGFPLPPPPAVAEQQQYQFLGRSSSSVLRGGGGGGGGLGWGGPEVSADEIRSATSFFSAAGLYRPQAPAPHGGHDAYPYPPSIHSAVLSPAPSHAPSAPHPNDGLAIVPQGPYPSGASHQPSESATRDALDEVEIRQLLLDHVGHRCCWGSRPARQWNITSTEDFFVYVGSLETFIEERDIVTKKEPYESGEIDGRDKGPVSGVWELDVRSEFPLLFIPKKEVMVKIPHSEVIEKCSDCERRGVKPCPFCNAGQAYGFYKANQMTCCGTCLGRGLLAHQDGSDTVCGMCNGKGMLPCVACGSRGYVTCNTCTGYGFLLAKSMARVQWKTLTARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCSPAFFADSYPLNQFSSEIIASRLPVPPSARVISERHIISVVPVTRVTMAHLKQSFSFYIVGYSRDVFIRDYPSKFCWGLCCCFEWMGK >Dexi7B01G0003430.1:cds pep primary_assembly:Fonio_CM05836:7B:8305723:8306669:-1 gene:Dexi7B01G0003430 transcript:Dexi7B01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGISSSAAAAAIILPPALSWLTAHLGYTAFIVLLRAGTLSPGDARHLFDELLQGPDPAPAYILNDLLAGLTRAPPSAACADGAALAIELFGRMPQAALVSRPTADTYATLIDGCCRIGAHRLRLALALFGHLIRMGAGLGVDGVVCRSLLKVLCCNGAMRPEEVPHVLRHGMPDLGCAPCVVSYTVVLKSFCGEGKSQQAHHLLQSMAAQGGACSPNLVSYSTVIHGLLTEGQHGKAFALLDEMRRQGIVPNVVTYNSIINFWCKAKSIEKAEAILQQMDVQ >Dexi3A01G0034980.1:cds pep primary_assembly:Fonio_CM05836:3A:40267784:40268179:-1 gene:Dexi3A01G0034980 transcript:Dexi3A01G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEKSSRAGIASNRTRASATRPWSEYAAIIAFQETAFLPGIPSKSARASSTRPCRASPESMALRRAAASCPDRARRVSSWLLRNTTEVAAAAVTLAAPAAAMRRDGIERRRRQPSSA >Dexi8A01G0004050.1:cds pep primary_assembly:Fonio_CM05836:8A:3184661:3186891:1 gene:Dexi8A01G0004050 transcript:Dexi8A01G0004050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSKRGFEVRLLGHRRGKSHGAVHELHDPVPVVQNSCWASLPPELLRDVIERLEASEDTWPSRKNVVVCASVCRTWREMCKDIVKSPEISGKITFPVSLKQAGPRDGTIQCFIKRDKSTQIYYLYLCLSSSKFIALWTMDFLIKHWSNFIGTKFVIYDTQPPYNAASATQSGKTSQRFYSKKASTKVSCSSARFSDVASGLGLGTEGRALFEDEERNDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQSAAGAPTPSQPAPVPPPAPDQEKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Dexi2A01G0012710.1:cds pep primary_assembly:Fonio_CM05836:2A:14851712:14855529:1 gene:Dexi2A01G0012710 transcript:Dexi2A01G0012710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTKWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Dexi9A01G0039940.1:cds pep primary_assembly:Fonio_CM05836:9A:43789224:43791687:1 gene:Dexi9A01G0039940 transcript:Dexi9A01G0039940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPCLLLFFGTLLLLPQPSRGATRHYTFNVTMQNVTRLCTTRAIPTVNGMFPGPKIVTREGDRVVVKVVNNVKDNVTIHWHGVRQLRTGWSDGPAYVTQCPIRTGQSFVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKRGVPFPFPVKPYKDIPIIFGEWFNADPEAIIAQALKTGAGPNISDAFTINGLPGPLYNCSSKDTFKLKVQPGKWYLFRLINAALNDELFFSIANHTLTVVDVDAAYVKPFHTDIVLITPGQTTNVLLRAEPDAGCPAATHLMLARPYGTGQPGTFDNTTVAAVLEYAPAPGHIKSLPLFRPSLPALNDTAFASNFSAKLRSMANPDYPANVPRAVDRSFFFAVGLGTNPCPANKTCQGPNGSMFTASMNNVSFDMPTTALLQAHYSNNVAGVYTTDFPVAPLEPFNYTGTPPNNTNVSNGTKVVVLQYNTSVEVVLQGTSILGVESHPLHLHGFDFFVVGQGFGNYDPDKDPAKFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPADLPVC >DexiUA01G0002740.1:cds pep primary_assembly:Fonio_CM05836:UA:6004513:6006406:1 gene:DexiUA01G0002740 transcript:DexiUA01G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGAACAALGAAYFLDVHALAFFVAAQVASGVVQSAGWPCVVAVVGNWFGHASKRGTIMGVWNSHTSVGNIAGSVLAAAVLEFGWGWSFLVPAFVIAALGVVVLFFLVAHPSEAGLEVQAMEVEMNGDGGEEVELLGEDKKEAQGDGDDELEMEMGSQLPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIAGVLGGISAGFLSDAIGARAVTSVLFLFLSIPALIVYRTYGSISMHHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLAILFLIRLAKDEIVTKLSTRS >Dexi6A01G0004410.1:cds pep primary_assembly:Fonio_CM05836:6A:4000829:4004126:1 gene:Dexi6A01G0004410 transcript:Dexi6A01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGSFLEIQPSELAFPFEIMKQSSCSMQLTNKTGHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTAQKELPPDMQCKDKFLVQSVAAENGATAQDINAAMFNKEPGKLVDEFKLRVVYVPTPTPSPIPEDSELGSSAHSFAQQNGTSHSTMPQSVSRSSAETTKEKSSEATSVISKLTEEKRSAVQQNQKLRQELELLRKESSKSGGGYSITFLLVVGLLGAIVGYILKRR >Dexi5B01G0030070.1:cds pep primary_assembly:Fonio_CM05836:5B:31100860:31105145:1 gene:Dexi5B01G0030070 transcript:Dexi5B01G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHESFAGKSEFRTVNRKMLGSCLNPNPYLSINVSTGDAPLPDEAFVQVTVAGVLRPSDDDWVAMITPSNSSVSGCPFGGVNYVQTGDLAHLPLLCHYPVKAQYLTRDPGYLGCKNATCQKKKRGASGGCRVRTCAATLTFHVVNFRTDVEFVLFSGGFRTPCLLKRSSALRFANPASPLYGHLSSTDSTATSMRLTWVSGDGRPQQVQYGAGKSAASEVSTFTQKDMCGISVLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYSYRYGRDYAESGSVYVTPDSGGECGVAYESYFRMPAVTKDNPWYSIEQVSVHFTVMSTEHDWSEKSEQGNCNGMPTKDANGIDVYDNSNYTTPIHAIVGAGGFSLDNFPKIVLHNWSSSRVSEFGYARVHATRDDILVQFVNSSTMEIRDQFRIVKRAPARKL >Dexi2B01G0011270.1:cds pep primary_assembly:Fonio_CM05836:2B:12849307:12852918:-1 gene:Dexi2B01G0011270 transcript:Dexi2B01G0011270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDDDAVLSDVDEDPLPPPSTAPSAAAAHKTLPPQAQPQPDAQAQQRLLDLAAELEEERRLRRAAEGALAESENRLARLKAFAQDVLRKRDELTAEAAASARSLAALQAESAASARSLAALQAESAASARSLAALHAEASTASSMLSSGFERISAKASPSSAPAPLPTSQKYSSGLPALAYGVLKRANDIVDDLLAQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLSSRSAECESLSKSLSQREAEISELRDKIASLEGKLDAQRPVLAEQISCASKLYDEMREVVKLVDADAASALSDSVFVWKETDVEESLKVSLEGTKLVYELAAMALDKVGACIDDKERKLTGLEDRVDELIKEKEHIGVLLRSALQATTSEVLKVAEDGLREAGIEIGLDERKDHRPGSVEKDEVYTLAGALENTMKESQVKIVELQHLVEALRAESGRLRTRLEGQEKEIVQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGRSIEQEFQIQISSLRKELDEAKQAMVDLENKLKFKEETAAAAMAARDAAEKSLKLADMRSSRLRERLEELNRQLEESDNRTDSVNRNGHRYMCWPWQWLGLNYVRLPPAETDQTSNEMELSEPLII >Dexi3B01G0012310.1:cds pep primary_assembly:Fonio_CM05836:3B:8603345:8609458:-1 gene:Dexi3B01G0012310 transcript:Dexi3B01G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTAAVSVSASAIASGSGARSEAARRPGGLRVCGLRREALACSSLRISQAPARLAVARAAAAAATNGTVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSMGLQVSSTGYDRQAVADHANNLASKIRSNLTNSMKSLGVDILTGVGTIVGKQRVRYGKVGFPDKEITARNIIIATGSTPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMRVMDADGNVPQAREKADKEGFEISVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >Dexi8A01G0005410.1:cds pep primary_assembly:Fonio_CM05836:8A:4964146:4966431:-1 gene:Dexi8A01G0005410 transcript:Dexi8A01G0005410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKLKPRAARATAHAAAPPTDGGAIRLLPRRTTGLLDLEESTTTPAPGDDRVATAAPPDARWTRRRPAAAANFSADTSPDDPVRRHDQDAGRVGWRRRSTEHAVVTSAAPTPPPPRPSAFDPLDPAAEPPRLALSMEQVWRCEIARQVFQRKIDQQPDMIANEFNSLPARKVVLKNTELFTVARDNANWKTRNRHSDVLPFDVNRVRLQTAEGNDYINASHIKTDGNDQTRFISTQGPKPQTFAHFWQMIYENRCPVIVMVTPVAPEKCHEYLPLNKDLEQDYGEFNVKITKTRHDGPLELRSVKIQRKESDRVHSLLHIRYSDWPDHGVPGDTTAVRRIINRLYHIPREHPIVAHCSAGIGRAGSTITILNTIERILRGEWSALELVETVRKFRNQRVGMVEREAQYRFCYRAVAHELKDMILNSEH >Dexi2A01G0025600.1:cds pep primary_assembly:Fonio_CM05836:2A:37190103:37193598:-1 gene:Dexi2A01G0025600 transcript:Dexi2A01G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKAAMAADLDLIPGTCGTCKDIHPTAEELLECQRYVNWSDPNESQLQEILLKSLETTFDNAVSLITTMGYSEAAARAAVVRSAKQYNWRESLAGFGEAAVEVLKTEGDMLPREGASIEDMRKIEHAVLGSMVAVVNEAQPFYTTGDVMFCLLMSDLNVANACTMEYSPASLPAVGAQVIAPPVMGNYEPGSGADLSVSITNPQTGVTFRGKLTPIPPSSYDAVKANSSMMPASLNVSSSKPSVSGKMQCVVPNIEPKEHVHTCDHSEDQPFVAAATQSVKNDKPSPSKRGSSKRDSLHRQKLTSFDKSSRALGSKGYLRSGKYSSSGSVVLDRKCRSFSDSTSSNLKGSSKVGKGSASITGSEVSVDLSFTSTLSSTTFDAKVVSNSNPAPAASTDLSLSLPSSSDSFAPSLNHDSNTEGMDSSSKINFSYDEEQKVWIPQDKKDEIILILVQRQKELQAHMRDWTDWAQQKVMQVAHRLAKEKEELQSLRKEKEDADRLQEDRHHSEESNRKKLLEMESAISRANAQLEKADASARRREAENAQLMLQMEAAKRHAAESATNISELLKKDENGRKRSQRWESERALLQEDLAAQKNRLSRVQEQLQHAKEKKDKVQARWKQEEAAKIEAIALVTSERKERDQIETSVRSEENLLHLKAANDTQRYKSEIRALEQLIVQLKVSVDSSKVAAPKWGADNKTHALHLSEGRKNGNAQILSNIAVPQDLDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCTKCSDLHEKQGMKECPSCRTPIQRRVCARLAGC >Dexi2A01G0000770.1:cds pep primary_assembly:Fonio_CM05836:2A:398248:399666:-1 gene:Dexi2A01G0000770 transcript:Dexi2A01G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKICCIGAGYVGGPAMAVMALKCPSIEVAVVDVSRPRIDAWNSDRLPVHEPGLDAVVRACRGRNLSFSSDVDRHVADADIVFVSVNTPTKSRGLGAGLAADLAYWESAARMVAAASRSATTTGKSKIIVEKSSVPVRTAEAMERILLAHGGGEGDDAAFHVVSNPEFFSEGTAMRDLLCPDRVLIGGRDRDAVRALVDVYAHWVPEDKIVTTTSLCSAELSKLAANAFLAQRVTSANAISALCEAVGADVSDVSRAVGMDHRVGGGVGGAFLNAGVGFGGPGFHRDVLRLAYACDCNGLPEAAEYWRQVVAMNEYQKSRFVRRVVSSMFGTVAGKKVAVLGFAFKKGVADTRDSPAIDVGLVGDRAHVSVYDPAVSEKQILRDTAAKVCVARDAYEAAEGAHGLCVLTEWDEFRTLDYQRIFDGMQRPAFVFDGRNVVDVGKLREIGFVVYSVGKPLDPWLKGLPAVA >Dexi6B01G0001650.1:cds pep primary_assembly:Fonio_CM05836:6B:1453393:1454104:-1 gene:Dexi6B01G0001650 transcript:Dexi6B01G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPTWLKTAATEVEELITKNAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWK >Dexi3A01G0034770.1:cds pep primary_assembly:Fonio_CM05836:3A:40046798:40055015:-1 gene:Dexi3A01G0034770 transcript:Dexi3A01G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEEDPPKPPQPEPAGSEPDDARHTPPPPPPPPPAPVPAPGADAAAASVAAPPAPSAAVSPPAVAAEANGNSDRKKKRKVEDGEGCKTCSCKKSKCLKLYCVCFASGSHCSESCGCEPCLNKPAQGAPRTAPVLPLKPVQTLEAGQDSVEQLIRSSMDLIRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCEDCRNPFGRKVGVILEGKSALAAPMLNERNGAEVESSDDEDDYYMNRQLSPIPPSPVSRESSFQQETLVGVEVHTMNGHLYPKPLTQVRPEVPSWQLSRRPAEETRVEQWRFSRRPSEDGTPDAMEAHPMAQRDKKPEIQVDRFSIPRCIEVMSAMADLSPIEKSLAPDVFLDPSNREIFLSLSVDIRPIWLRRKMKSLV >Dexi8B01G0011460.1:cds pep primary_assembly:Fonio_CM05836:8B:20485394:20485977:1 gene:Dexi8B01G0011460 transcript:Dexi8B01G0011460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFVLLVTAATFTFLLVTTRAQAQSVGASCQQRDMDALLAFKQGINSDPNGILASWRSDGHGHEEDCCRWRGVRCSNRTGHVVELRLGNTDLYDGYALVGEISDSLLSLEHLEYLDLSMNSLEGSSGRIPDLLGSFNNLKYLNLSGIPFTGRVPPHLGNLSKLQYLDISVPTVS >Dexi2A01G0015200.1:cds pep primary_assembly:Fonio_CM05836:2A:26042713:26045783:-1 gene:Dexi2A01G0015200 transcript:Dexi2A01G0015200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRLRLLLAFLPLLFQTTATSSSSDAEHLLAARSALFDPSDALAGWGSGRRSLCRWAHVSCAKNSTTAVTGLDLSRLFLSGGFPEALCSLRSLERLDLSANEFVGPLPACLAALPALTHLNLAGNNFSGEVPAEWGAGFRSLLVLNLVQNLLSGDFPAFLANITTLQEFSLAYNRFAPSPLPESLGDLADLRVLFVANCSLNGIIPSSIGKLKNLVNLDLSTNAIGGEIPPSIGNLSSLEQIELFANQLSGSIPVGFGGLKKLRSLDISMNQLTGEIPEDMFLAPRLSSVHMYQNNLSGRLPATLGTAPSLSDLRIFANQLSGPLPPEFGRNCPLQFLDTSDNRLSGPIPATLCASGNLTQLMLLDNEFEGAIPVELGQCWTLVRVRLSSNKLSGPVPSGFWGLPGVYLLELCGNALSGTINPAIAGAKNLSKLLLQDNRFTGALPAELGTLANLQELRAENNCFSGPLPPWLGNLPLLFNLDLSHNSFSGEIPRDFGKLKKLSQLYLSDNHLSGNVPPELGEIIEMNTLDLSNNELSGQLPAQLQNLRLTHFNISYNKLSGTLPVLFNGLQYKESFLGNPGLCHGFCQSYGKPDSKRHTIIKLVVPIFFAAAIILLIGLAWFTYKFRKYKMNAAELDDGKSNWVLTSYHRVDFSEKDIVNSLDENNVIGQGGAGKVYKVVVGPQDEAMAVKKLWPVEYAYSLRVTEKSDIYSFGVVMLELVTGMKPMALEIGEMDLVMWVSTNIEQSGLESVLDQTLAEQFKDEMCKVLKIALLCVSNLPTRRPPMRAVVKMLLEVKEENKPKLKVEPLAT >Dexi1B01G0027030.1:cds pep primary_assembly:Fonio_CM05836:1B:31845406:31848972:1 gene:Dexi1B01G0027030 transcript:Dexi1B01G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGEGPGAAAAAATAQAAVAVRNPRCYLDVSIGGELEGRIVVELYASVVPRTAENFRALCTGEKGVGADNGAPLHYKGSYFHRIVKGFMVQGGDITAGDGTGGRSIYGLNFEDENFVLKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRAVKGMGVVRAMEHIYVDEADCPTDDIVIVDCGELPEGANDGVVNFFKDGDMYPDWPIDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKSMRYLDLCWEKEDIDEESSTALRKTKSIIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVRMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDRRDQERKAFSRLFQPSGGTVKSDKETNGSC >Dexi1A01G0028600.1:cds pep primary_assembly:Fonio_CM05836:1A:34254706:34261050:-1 gene:Dexi1A01G0028600 transcript:Dexi1A01G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSPIGKSAAGAFPNPTQRRAPTMQLVLASQCSPGGIMAVMAVRSDGPLCACIGHGDFGSTGSRLTFCRSIGVSLHPPPPAISVLSFFRPLARQGRRPIPPAWLASYADKEWPSPDATGEQGRIQASATGARSAPAGEAVTVVRLALGATAGPNNQRPPAFGARPRPTTDHLPNVRITRCLKFQAPREANLLLPTPAVPTLPVPPAIPNLPQCPPLSTPGTQRRLPGRGKQSQLSVTVRAARPRTPLYFGPESALPPHSYVTRSASPSALHCLAHTHFATPRRLYKNHPSSPHPTHRKSSRSKQEKPAEITYPRTPSTLTATLLAFALMFQACLAGRRLTALVQEPAITMKYHKGALLSGRITVNFIWYGTFSPSQRAVITDFVSSLAASSPAAAAQPEPSVATWFKTARKYYANSTKARFPSLRVGSHVLDASYSLGKRLTDGDLLKLAAKGSPSRAINVVLTADDVAVDGFCMSRCGTHGASRRSRSGRFAYVWVGNPASQCPGQCAWPFAQPQYGPQGAPLVAPNGDVGADGMVISLASMLVGTVTNPFGNGFYQEAGSADAPLEAATACAGVYGKGAYPGYAGSLLVDRASGASFNANGANGRKYLVPALVDPDTSSCATLGFVVRVGRVFHADEDTNNTGQANREGACAMRRSCGPWFRILAAAFPFDPARRAASPRPLHAVAVAVAVQDWPHYQHHLERGEPVAFGASPWVSSPTTSGVPGVVATQGLRVRCLAARLYGCQQTPVQPRAIPPTASPRTAPQAIVAGYNDRPVFPFG >Dexi2B01G0014090.1:cds pep primary_assembly:Fonio_CM05836:2B:23566488:23568048:-1 gene:Dexi2B01G0014090 transcript:Dexi2B01G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSALVLLLVLLPPPCASDDRLLVGKPLSPGTTIVSDDGGFALGFFSPANSSSTPANLYLGIWYADIPTLTPVWVANRGASATNTTSSSVTIPTLSLTNTSNLVLSDATGHVFWTTNVTVSGEVTGGNISGSYAVLLNSGNLAIRSANGTALWQSFEHPTDTFLPGMKIRVVYSTRAAERLISWTSPDDPSPGRFSYGVDTFTSLQLFLWNGTVPIMRDGPWTGYSVASRYQPNASAFVYQAIVSTDEEIYLTYTLSDGAPRARYIVTDSGEYQFQSWNATLSSWAVLGVWPASDCNRYGHCGAFGYCDNTAAAPSPETCRCLEGFEPADADEWNHGVFSAGCRRKEAVRCTDGYFAPLTGMKSPDKFVHVANRTQEECRVECANNCSCVAYAYANLSTTRTTGDVTRCLVWAGELIDTEKMGDIVGSDTLYLRLAGMNNAPVQGVSVNGKSNALRIALPTVLASTALIITGIFLAWFKFKGTGSEFVLL >Dexi5B01G0023070.1:cds pep primary_assembly:Fonio_CM05836:5B:25309328:25317330:-1 gene:Dexi5B01G0023070 transcript:Dexi5B01G0023070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSEDRKLAVVGSVCRPGSMSHGGSGLGRCPLPRASSAACLHVVHTARNLSQSMTMERVLKDDAVEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVGFRMAAECARDALLQRTMDNKENTDKFRADLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVRKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTTIADNAGLDSAELISQLRAEHHKENSTAGIDVITGQVGDMQKLGIQESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >Dexi9B01G0037220.1:cds pep primary_assembly:Fonio_CM05836:9B:38715293:38716989:1 gene:Dexi9B01G0037220 transcript:Dexi9B01G0037220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLPAAAVAAAAAVRSYSRSLRSPSSSAAAGKAVKTPQSPLDTPRNSAAASAAAAASSGRSEVRDLAAACGLQEDERVPLAEVVSDCTRRWFQDTLKDARAGDVTMQVLVGQMYRSGYGVNKNEHKARVWMEKASRYRSTVWKVSNKRPGYNASDSDSDDAKETYK >Dexi4A01G0018270.1:cds pep primary_assembly:Fonio_CM05836:4A:22261015:22263693:-1 gene:Dexi4A01G0018270 transcript:Dexi4A01G0018270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDFISFINFLAGAGRRRRGELGRRAMSGSTNSTFAPELAVAEMSGTVQQLPFVNDKHGGVIIEMVAPMDPGVFSASLKAALAKWREQGIRGVWIKLPITLSNLITPAVEDSLLSINPWTVFFIFLIPEILLQVLAVQEKSGVLRGLGVWKFPTGIVEPGEDINVGAVREVKEETGIDAEFVEVLAFRQSHRAFFDKSDLFFVCLLRPLSYDITKQDSEIEACQWMPLEEFAAQPFVQKHELVKYILQVGLAKVDKEYAGFSPISIKSAFTDKLSLFYFNQRDLDRASGSSS >Dexi5B01G0028460.1:cds pep primary_assembly:Fonio_CM05836:5B:29819225:29830196:1 gene:Dexi5B01G0028460 transcript:Dexi5B01G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHPCRLFPGESAGSPSFGTKPGSRRRGYKGQVTSASGTEGAHTNDGKDDGEPVVAIAESGDDASDEPKDSVDEYVIYETPEEEELSELEEERRRLEEIGPIAYYSEWVEAYKNKDTSREAIQKHFEETGEDENAQLIKMFQHQTAGEYRVMMGTDDPEEVIDYRGPEFHEPTPEVVPYLMEHGTMITKEELYARLNEERDDVNQDITYIPEVKDPMATAVDIGEHSYNEDSDDEDEDVDKAAVQPESLEDEDGEEKVTQNWSVLKSTGQAEKPKEKSKKGEMSIKEAIDDSENLTDFLMDFEEDE >Dexi5A01G0004830.1:cds pep primary_assembly:Fonio_CM05836:5A:3682005:3683027:-1 gene:Dexi5A01G0004830 transcript:Dexi5A01G0004830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHPCCSEEKKVRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIALHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKESSSSSPAAIHRRRGGEDAGAAHPPAIFSPFPSGGAAAGSSSSYDNDSSSAGAAATSGGGGGLVVADGGRNNAAAVESVTPSPTSTETGGCDDGFLKAMVEDASFLFGDFYLDGSNHDGSISFWEGHAFS >Dexi1B01G0019730.1:cds pep primary_assembly:Fonio_CM05836:1B:25963705:25964394:-1 gene:Dexi1B01G0019730 transcript:Dexi1B01G0019730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYNITMSPGSDTYYWSWEAPAEGVIVSYDNSLYVVGCNVDVYMFGDNATDLIGSCMSACTDDRETMERANVGGYCGGIGCCSIDLPRTLPTFMIKLVHHNSTIEDQDIALSKVKIFLSEYYNFVLSDLYASWVNTSNAYSDAVSIYEIAITDQPDCEHAKENKDSYACNDESNCNDVSSARGYYCICPNNMQGNPYVANGCTPGYTFFFYVFFSWRDTLVTAVLLVA >Dexi2A01G0002400.1:cds pep primary_assembly:Fonio_CM05836:2A:1888891:1889850:-1 gene:Dexi2A01G0002400 transcript:Dexi2A01G0002400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASSATMDSLLGKLSNLLAQQYALTRGVRGDIQYISDELASMKAFLLDIAQHDQDNRKKDWMKQIRDLAYDCEDCIDDFAHRLPKDSSNFDSKGYLVTLIYDLWTWRPRSEIASNIAELKVRAQLIAERRIRYGVQNPEVYNSKDQPEAPAYDIAEDQLARRELTLSEPVGVTTAMVQLEQWVKETNKEGRAVLSIVGFGGVGKTTLAMALYNKVMKDFDCRAWVTVSQNYDQGTVLSDILKQINPDHKQQDGGGISDKMNSGAVCIRSKLMQALQLRRGHIKEGNASKSSNMAESTGYTKLESAVKTHLEGKR >Dexi6B01G0001880.1:cds pep primary_assembly:Fonio_CM05836:6B:1610322:1611284:-1 gene:Dexi6B01G0001880 transcript:Dexi6B01G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPDAMEMVPPVPAEPRRRSRCPGCRVDKRKAEREGMFPYKELFLIWLVTLCYVHLAVTDAVSLLVFYG >Dexi1A01G0003110.1:cds pep primary_assembly:Fonio_CM05836:1A:2264092:2268937:1 gene:Dexi1A01G0003110 transcript:Dexi1A01G0003110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRAALLLLLTALAALLPLPRAAATGVFQVRRRFPAGGDAGAAALRAHDGRRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYYVQVDTGSDLLWVNCISCVRCPHKSGLGLDLTLYDPKASSTGSTVSCDQGFCAATYQGQLLGCTPNVPCEYNVVYGDGSSTTGFFVTDVLQFDQVTGNGQTQPGNGTVTFGCGAQQGGDLGSSNQALDGILGFGQANTSMLSQLAAAGKVKKIFAHCLDTIKGGGIFAIGDVVQPKVKTTPLVAGMYVCKPYHTNYYFGCIALMVFNKHQDIKFHNVQDFLCFQYSGSVDDAFPTITFHFADNLALHVYPHEYFFPNGNDMYCVGFQNGALQSKDGKDIVLLGDLVLSNKLVVYDLEKQVIGWTDYNCSSSIKVKDDKTGATYTVNSHDISSAWRFHWHESLVLLLVTVVCSYLIR >Dexi4A01G0017560.1:cds pep primary_assembly:Fonio_CM05836:4A:21240812:21242816:1 gene:Dexi4A01G0017560 transcript:Dexi4A01G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATRDLLPFTSLPLLLVITFLTAILYALHHHFFTGRNTAGLRLPPSPLALPFLGHLHLLAPLPHQALHRLASRHGAPLLYLRLGSVPAIAACSPAAAREILKTHESAFLDRPKPTAVHRLTYGGQDFSFSAYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVASLHRSAAAGEAVDVNAVLMGLTGDVVSRMVMSRRWTGEDTDTEEMRAVVAETAELTGTFNLQDYIGVFKHWDVQGLGKRIDAVHRKFDAMMERILTARDGERRRRREVAGGEEGDKDVLDMLFDMHEDEAAEMRLTRDNIKAFMLDIFAAGTDTTTITLEWALSELINNPSVLRRAQDEIDTIVGASRLADESDVPNLPYLQAITKETLRLHPTGPLVVRRSMEPCKVSGYDVPAGATVFVNVWAIGRDPASWGPDPVTFRPERFLDGEENAGLDVRGQHFHLLPFGSGRRICPGVGLAMLVVQAALVAMVQCFEWTPVGGAPVDMEEGPGLTLPRKKPLVCTFKARFDTMPCLDADGGGVVAGAADE >Dexi2B01G0036490.1:cds pep primary_assembly:Fonio_CM05836:2B:43296575:43297402:-1 gene:Dexi2B01G0036490 transcript:Dexi2B01G0036490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGEVVWYKLLLLGLGGDPTDAGKLGRGGAEDSVFVSVSVSVSGRPSPSSGSSRSVNSIHPQSNKENPIALELDPHPIQTNKSAGMQHRRKPASSAAPSAAKTPPSRRPTAPLSLAGLLVAVFLVATFLYNEDVTKSTSADVAVAGSVATSRSPELSRRQQQEEAQEEAQEGGDHQEKDHQQQQPPPPPEQPPPQRAAACDLYQGRWTFDAAGELSPLYRESECEFLTEQVTCMRNGRRDDSYQKWRWQPAGCDLPRYPARPAFPSLPPPLIH >Dexi6A01G0003060.1:cds pep primary_assembly:Fonio_CM05836:6A:2797825:2798444:-1 gene:Dexi6A01G0003060 transcript:Dexi6A01G0003060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDAPHKRALELLRKRYRLPVSVIVHAIPPDYLSAWEESDGRVTGLVTSEDDAHQLTERCQSIGFSEIRLRYAEFISLRCAGAMASLISDPPLTLRVLNPPVAKEKLRLFSVLSGHRVRLEEALLEVQAEGKNLQELILLRCKLANERERPT >Dexi2A01G0035660.1:cds pep primary_assembly:Fonio_CM05836:2A:45216284:45218360:1 gene:Dexi2A01G0035660 transcript:Dexi2A01G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKQAYDRKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYAEKTGNRTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQITNVYEDGSVFSPEVLDLTEEDLIEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVTVETDYSYPHADKIKEYLKDPSKFAVAAPVAAVDSGAAAAPKEEEKKAEEPAEESDDDMGFSLFD >Dexi9B01G0010610.1:cds pep primary_assembly:Fonio_CM05836:9B:6677136:6681003:1 gene:Dexi9B01G0010610 transcript:Dexi9B01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVRVVAKIQPAASPAATPRSKARRPSSLRVAALSGSTMVQRQKFVAKSAISVVEAGDAFAGVKQNTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDEITIEEIKKISPRGILISPGPGTPQDSGISLQTVTELGPSIPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYDEKLDGTLFSGLPKQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCIP >Dexi3A01G0032160.1:cds pep primary_assembly:Fonio_CM05836:3A:36870888:36874410:1 gene:Dexi3A01G0032160 transcript:Dexi3A01G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPKKRGLEEGGDGGSGGGAPSQAPVLKKRCRSFDLEIRGCRHLQELAAGFKVSLEAALESAVARIPEEVAKALTSFLSRAPRTLVDQNQPPRYKLTFTNGLGTEVFTKKVICDTNGGPLRICVIAHGHEPTDPNILSAKIRVVVLDGDFDKHNQKSWTLEEFSNSIVRPRDRVGAVLTGDLELTLKNGEAYLIGATFIDNSKFVRSGKFRLGVTVIDNIGERVQEGITEPFIVKERRGEGYRKHDIPSLNDDVWRLKKISKDGALHDALRGSGILVVKDFLRLYYKDEQALRGILIKATESVWTTIVEHAKKCDPGSELYSFLVEENSVMLFFNSVCQIVGAKFGDNYSAFDDLEKATKPEIFVKLITNKDESFYFNFCLDDSSEHCANTSGNDITAGSVTFHCPATVVNETTGSAVLTQAFLTMEDEVYNIPLTENDAAVPQLCEEQQPEGAHFGASLCAIRALADYPMYSRHVSFKEPGCHDTAELGAEPAV >Dexi2A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:2A:15223885:15225118:1 gene:Dexi2A01G0012920 transcript:Dexi2A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMESSIIRLILSTSRDSVLGGEVYIVRANEFDTTDVDLNLLKLDARKKGKQPKGESSEGVISDDDPKIATHNCEVHASEGSSAYGIGLDSDIDFDDVTSFDNVNVILHSLAADCEFHDHLRWSYYELCLTQRSLLHKNLLKQLHPTLVVGVIMEPVSIAEGIRACKAQSSSHEDFLVWKKRLESFELLGMNVAFLRKRVNALLGLTTRSRGSSEWQQKYKELKLERACAGKKMKVLELQLSSVKNVLHKVDAEMEELESSLMKSNKALQELASAPW >Dexi7A01G0020490.1:cds pep primary_assembly:Fonio_CM05836:7A:29269540:29275638:-1 gene:Dexi7A01G0020490 transcript:Dexi7A01G0020490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVADGKAANGGGAGAGGDAAAGEGKKRADQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPLFFLFFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEISCWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKMAGYKLLEVIRQKPSIVNNHKDGKWLAEVHGNIEFKEVTFSYPSRPDVIIFRDFSLFFPSGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAAATASNAHSFISLLPNGYNTTVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELVVKSSGAYASLVRFQESTRNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFLKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVIVQNMTSLMTSFIFGFIIEWRVALLILATFPLLVLANFAQQISMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSHELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATKIEPDDPESERVTTIRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVSIDGKDIRRLNLKSLRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIEAAKTANVHGFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALEQLMKGRTSVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSELLTRPEGAYARLLQLQLNRV >Dexi5B01G0023080.1:cds pep primary_assembly:Fonio_CM05836:5B:25317623:25317956:1 gene:Dexi5B01G0023080 transcript:Dexi5B01G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFLRKAVPLRDPSGSGEYFVVMIHGPKFKLVFARQRDARWVILPSAYQFHDAILYRGQLYTMTACGALLVWEPDGETFKSRVAVPEHDEGEEYSRWMAI >Dexi9B01G0023730.1:cds pep primary_assembly:Fonio_CM05836:9B:19151923:19156527:-1 gene:Dexi9B01G0023730 transcript:Dexi9B01G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGNCSSDASAEWVWVRRPAEAEAVAAAAGWPAESDEEVRPLKVVFASPATYFTDAAPIGNGRLGAMVWGGVESERLQLNHDTLWTGGPGNYTNPKAPAVLSEVRSLVDNGKYPEATAAAYNLSGDQTQVYQPLGDIDLIFGKHINYTNYKRELDLHTATVNVTYTVDEVVYSREHFSSNPHQLIATKISANKPGHVSFTVSLSTPLDHKIRVTDANEIIMEGSCPGERPQGDNSASDHPIGIKFCAVLYLQTIGANSKVEVLKDKMLKLDGADSVVLLLAAATSFEGPFIKPSESKLDPTVSALTTLSMAKNMSYSQLKAHHMDDYQSLFQRVSLQLSQGPNDQLGGDRLAQSAEAGSQDSSMSDFSVPIADCTRSTAHSDSVKPTVDRIITFKDNEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDPSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKVSELVNYEASGWVSHQVTDLWAKTSPDAGDPVWALWPMGGPWLATHLWEHYSFTLDKVSKLHGNRMQFFMDGTKRANCQMSYIPLSLQQFLEKTAYPLLEGSASFLLDWLIEGHREYLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSIIREVFSAVLLSADILGKSDTNVVQRIKKALPNLPPVKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEQTPDLCKAVAKSLYKRGTFFVIL >Dexi6A01G0000230.1:cds pep primary_assembly:Fonio_CM05836:6A:141349:145413:-1 gene:Dexi6A01G0000230 transcript:Dexi6A01G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGEVVASISSAVAVALVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAAFPLPPGADLSRSALVAPLLDLPLSFLREYLVEKKHLGGLLILLPKKLSDKNGTGNDVDKGQVKGVLAELEKLLLHEEVPYPVYFAFHDDDFDNLLADIRKIATSGQPASATTGGYKLVVPSAEPRKVTSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGRYNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKKIFEDFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSEMSSPPEFLESTGGLHDTRESTDVDSVIRTAKLVSESLARHIYGLKGRNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQNDALDGMFTFYDATKATLNVYQVASVTFDLLFLLVLGSYLIVLFCFLVITTRGVDDLINIFRRPPSRKLKGA >Dexi9B01G0027510.1:cds pep primary_assembly:Fonio_CM05836:9B:29990722:29993715:-1 gene:Dexi9B01G0027510 transcript:Dexi9B01G0027510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLIDCSGCRTPLQLPHGAPCIRCAICGAVTHVAAAPPAEPSRGAVQPAPGWGPPPPPAHGRKRAVVCGISYRYSRHELKGCINDAKCMRHLLMTRFNFPDDSIIMLNGWNGQYIWEDHRPRSGVWKGTNGGEAISFSGCDDNQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRSTIRNTGESAGVGGGAVTSLITMLLTGGSLSTGGLKQEPQLTACYQFDVYAKPFSL >Dexi3A01G0028260.1:cds pep primary_assembly:Fonio_CM05836:3A:28793603:28798262:-1 gene:Dexi3A01G0028260 transcript:Dexi3A01G0028260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQSVKARQIFDSRGNPTVEVSIMQDIIRKQILRLISFSVPQVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALVGKDPTAQTDIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQATASFKEAMKMGVEVYHHLKAFPISFQASVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIEEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQAISCIVSHRIDPFIALSLLFGLYLGSRKSLELPLSMLVPNSVHRWSPTKLG >Dexi9B01G0015640.1:cds pep primary_assembly:Fonio_CM05836:9B:10694559:10698876:1 gene:Dexi9B01G0015640 transcript:Dexi9B01G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTQREGREEAPPPDLASSSAVHTAREEAPSGYSSTRWQATAPPPPPPLQQTPSLSPPHGHGLAAALPISNPGENGTEPAAAQIGSAASYCCGRAVPHDLILNTPIPAEMEEMSKELDDLRAEVEALAGQLRAKSDLADGLKRANADQAARLRDARAEAERHAAEAAARGEEAAAAGERCGQLEARLAEKEQALRHLCGVHEALKGTLREKTEGLEADKRGLLAALEDAEARQAEQEAALRARDGEVARLRGLLSEKERRCGEAEKRAAAPREVLMRDDMLVKLEEEKAAVEGKLKWKAEQFRHLEEALKKVQDDFRTAKREWGSDRSTLVDRIGTLEVDLDSKTRIAEDFRSRLKMCSQALAHEEGRRKRVEAEMSELRSMYGNVISEYEEAKSMVESLTANRDGEIASLRSSLAEKVTLLKEMGYSEAHLEQENEDLRSMLKEYQEAQIDGADAVVSLKDLREKFRALEQTHRSCTEKLRNKEAEWRMQMEKLGDDLDGCLSQLESKDTLIRELRNELLCSFKSLELQTVENWEALIINAVVQSKFCESCSYVCTVKLNMERQYETIEKEIASVRKQLEERNSTIVQSQAEQKLQSEVIAKLQERIEELEHTEQEHEKMQRQLDTYKEMLENTSRDVHCLKDEVSEKENNLQEKLREALGALDEANCALADRKNELSQLEINLQQQRQAIEHLEKLKVELQCELKGYMDNNNILKRDLDAALVAKIEAEEMLRHEKVQLLGALDEANYVLSEKSNELSQFEISFHQQKQVLEHLGKLKVAMETELKTFKGCYSEIVEVYGKKLLKLEERVSLFEQKFACREQEIMDMFDQEEADWYTLLAEKEVAISDIQRTVESVKLDIEQLLETAAAKVTEVQLEVNQLYQFAETLNSLNTIQEHDSVFKDVLIAECERELESLQVDLVLEKEQSLNLKNLIEQLKAEAAAEMSDKSKEHMEVANKLRSSEERNEMLEEQLAVLNSKTSNLSNVLKERNGLIDELTGLTNTIGEVIYGGEDLIANVRRIMQKVSEEEPGNDKPSLDKTNSKTSSSLIRNKSGHVLERSPLKENNY >Dexi2B01G0023820.1:cds pep primary_assembly:Fonio_CM05836:2B:33306105:33307460:-1 gene:Dexi2B01G0023820 transcript:Dexi2B01G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHRRHCHRSTAAALLAATGEQRDRAPARRMTTASAGCRTTWRHLWKHAPRISLRPSHLVGDVAGIPSALRDNVARLAAAASSVLRHHSGAGLDRLVLALPLSPSTHGAVLDQSVEFAASAGARELHLSLAGGDHVEQHYDFPHWRFAGGQLRRLVLSDVGLEHQRGLDGLAQLTQLDLTRVAAPPILAACGALTVLELKECHRLVHVAASQDGLRILNVDRCGSLESIAIESTTLLEFAYRGHKVGITYRHAPAVVRLIVMLVPASASECPLDCVASGAALPKLKQLFLQFPSPLQIQQLQLHHGRRFERLSQIVLFFKTPWREREHVASVASLLVAAPSVKELRVEAYSDLPAVPPQKKQTIQWPRHCSPKKLESIVLGGFSGEPELMELAFFLSQKSPAIRTLTVNALGLLSGHQRKVKS >Dexi9A01G0043730.1:cds pep primary_assembly:Fonio_CM05836:9A:47447389:47449705:-1 gene:Dexi9A01G0043730 transcript:Dexi9A01G0043730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCWERFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIQKAIKGITGKSFPDTDEPEQDSPSTSKTTKKKDARSSSRGRDRGKRNNSSEIRNTESPEDKETGDANSFAEERCIPNYKSEGIAPRRSSRKRKQVTYVEDGDEADDNNVPVHRNDENGPGHAADAELNLVHQDMSELNSNQMHTDTGAAQDINEDSQGFESREEQQADLAPKDYLFTGGGFYMDDGDEQESAGDRSGAEMAEPGTSDPCDDIDREPKDYLFTGGGFCMEDGNEQEPAGDRSGAEMAEPGTSGPCDEMDGLPDSGKSVRLSTAAECTENASMDVQGASSSEQKRKASRGLSAMPKLTKRTRKS >Dexi7A01G0022050.1:cds pep primary_assembly:Fonio_CM05836:7A:30464663:30464968:-1 gene:Dexi7A01G0022050 transcript:Dexi7A01G0022050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAASSSASAALARLPGPTQPRALPRSGWRDHRRPRRATVRCSFAPVETARIKVVGVGGGGNNAVAATLPDPSWFGIGFALSAVRNNI >Dexi2B01G0035400.1:cds pep primary_assembly:Fonio_CM05836:2B:42438165:42438306:1 gene:Dexi2B01G0035400 transcript:Dexi2B01G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCWLRITRRTPLAIDANGWMDGWMDSEKTRIDRRW >Dexi5B01G0002170.1:cds pep primary_assembly:Fonio_CM05836:5B:1421071:1422153:-1 gene:Dexi5B01G0002170 transcript:Dexi5B01G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVVGRATDWIIELGGRVRLRWNLVSINRRHFGAVRGIYSASVNVKFEEGATFIFGSWLCTANQDGKLQHELRDVTIASAPPPAQTTPVPATTRAGHCAQIVKGLRLRHDPRIVSNSENSNRTNDDSGLVALKYQDQADSRRTRLLGGLRIISSIRQGTSVRTVTSIIQEARPRSTLRLVGSVARVLFLSEQGSFFDKNPDYGNQ >Dexi2A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:2A:8213670:8220260:-1 gene:Dexi2A01G0007910 transcript:Dexi2A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPASPPPEPTGGIVAPVPVVAPHFCAPYVVQLSVKEKFSMLREGDFTITETNGAVVVRVKGAFISIHNRRLLLDANGNPLLSLREKMHRQHNVTSTVLGTDHYDVTVFPNVDYVFISALVVILQELHTDKND >Dexi9A01G0023940.1:cds pep primary_assembly:Fonio_CM05836:9A:19406019:19406433:-1 gene:Dexi9A01G0023940 transcript:Dexi9A01G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSSGGASRISDEQISDLVAKLQALLPEARLRSNDRVPSARVLQETCSYIRSLQREVDDLSDRLSELLATADVSTAQAAVIRSLLM >Dexi1B01G0013490.1:cds pep primary_assembly:Fonio_CM05836:1B:19280427:19287627:-1 gene:Dexi1B01G0013490 transcript:Dexi1B01G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSCGPGAPKRRLLQAAADGDLQRFKRIASVLDAGKGRLGQTVAAVKDHGAGALHIAAFPGKTALCAFLVEELHQDVNAADESVMQFAKAGADVKDVGTITPLILAVKKGLTDFYKCLLEAGADPNVRDDFGYLPIETAANKNRRKDIEILLPVTSHVPYVHDWSVDGILSYMESMPSMEAARHYPDDLTMFSNRSLCWLKLGEGDRALMDAQVCRMKRPGWAKACYLQGAAQMLLKDYEKATDAFLDGLKLDPANVEIDKALWYCIFIPLFLKTHQGGC >Dexi1A01G0009270.1:cds pep primary_assembly:Fonio_CM05836:1A:7547149:7555505:1 gene:Dexi1A01G0009270 transcript:Dexi1A01G0009270.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFTWHGATLDLDGVTESEYPTEETPMVVYVKSSTHTPFLTLGGQGQELQQRKGVTWRLHRVQKTTDCSYQCGCLQSSYEGLAKTLERQFSGNAESRSAGMVINTMGWVEGLGYELLLNAIETFKASVVLVLGQASHPLLCSCERNVAGFIHVTDVDIQTWNQC >Dexi9A01G0047710.1:cds pep primary_assembly:Fonio_CM05836:9A:50736780:50737610:-1 gene:Dexi9A01G0047710 transcript:Dexi9A01G0047710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPAAHDLVSALPPPAPHDIAAAVASTLGIALGVRLVVALSRSRALKPLADATSAAAAALRAPRVVATASSPVAALLAASKAASKSYKAARTLGPAAGLPKLPSSKRLKAAFAAASLLRLATAAPALPAASPAGVVVLAVLKSGYKLSKNTSKIVHKGFRNGIDALGVVVKVAVIASEVAVWVGAGHLLGYRSSRCVRFLGSFSRPSSLVLLGSTKSEPQVVLQGFDPVIAEMDAEGCQLKERGASELLSLAVPVPQVTTLVS >Dexi5A01G0018620.1:cds pep primary_assembly:Fonio_CM05836:5A:22141360:22141665:1 gene:Dexi5A01G0018620 transcript:Dexi5A01G0018620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTKKVSAKALPSCVSGVTRDDMSPKGYLPMVLVRDDQGGAETRVLVRVKDLKEPCMVALLEMAEQQFGYGQHGVLRVPCDSQRFEHVVNMAAKSKIAR >Dexi4B01G0020060.1:cds pep primary_assembly:Fonio_CM05836:4B:22304669:22306012:-1 gene:Dexi4B01G0020060 transcript:Dexi4B01G0020060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPDGQAGSNQIKSNQPEDLGSSPLHACAFLPSDDRDLAANAAAVSLAAAAVSFLSLKKPKTGREQTGTLSTTGAATRTTPEEPWNTILPEEFIRGESTDRHRGGDGRRRAGLRPARRVVEEEEDVEWRWEERTSRMRGGLGSAAESRERRGTSSWSWLEEEDDEEREKREEARESDASESELSRRSGSDADDEAPDPRPPQPAAFRWRRSFSDLAAAEFAARDAGVLAAAGDGEGLAFAAAAALLSPSSSRHRLEQLGALGENTAAERRGLIGSAGAGIASACGGGGGGAVVASGSGVGAAGDGFRSGSSLPSAKSSSAGSMVAEPPRLNSKSMKSLEMAGSGVATAAIAAAEAVGSRSASGLKDTRGLIQPYGTAAGGRLLATAEAIDRGGYAHQSTAVGRRWM >Dexi5A01G0034790.1:cds pep primary_assembly:Fonio_CM05836:5A:36772171:36776926:1 gene:Dexi5A01G0034790 transcript:Dexi5A01G0034790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGIKRSATQTITLPPPVVRDVIRSTIPSQTAAEAPPSAAERAVAPAPAVEGFLCLEEVDGRRWSYVVDRRSGAVKGRGRGGAAGHAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMSWRALKHFFGGAMGVFTTRTLLSSVGVSQSKATPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLVIIMFCLDIFLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYQEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKRKQANPDRPDYGNLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCGGIKPQ >Dexi8B01G0007320.1:cds pep primary_assembly:Fonio_CM05836:8B:8707910:8708980:-1 gene:Dexi8B01G0007320 transcript:Dexi8B01G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSATPNRTVVEEVTGWLRIYSDGTVERLTPPGAEPFTAIVPPYTSPRNGVTVHDITTDHGVDVRLYLPEAAPAAATSPHRSRPVLVHLHGGGFCLSRPNWALYHNFYAPLAAELDVAGIVSVYLPLAPEHRLPAAIDAGHAALLWLRDVSCGWNIYGAGDDDSSTVVGRFRDGADFSRVFLIGDSSGGNLVHLVAARAGEDEPGVLHPVKLAGGVLLHPGFAREKKSRSEIENPPSLFLTPEMIDKLLALGLPVGVNKDSVYTSPELAAKAVVHIRMPPLLLMAAEKDLLHDPQVDYGKAMEHVGKKVKTVVSRGNVAHIFYLNIFAVKTDQLTAVRTKELVHTVRSFIDRH >DexiUA01G0012400.1:cds pep primary_assembly:Fonio_CM05836:UA:25057839:25062294:-1 gene:DexiUA01G0012400 transcript:DexiUA01G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARRLLSRAAAARRLALPLASVAPRRFSADAGPPTLPPPPPQPVVEPPRSEGAGASSSSSTAADAGGAHRSSPGAAAGARRQGRGAGYEEEQEKVLRASLLHVPRMGWSESAMVAGARDVGISPAIVGAFPRKEAALVEFFMDDCLQQLMDRVDAGEGEQLKNLKLSDRLSKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDVGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVNRRIKDALDLQKTFQEAAYLAEAVGAGMGGTVQGVLNRVFQNRGS >Dexi2B01G0000920.1:cds pep primary_assembly:Fonio_CM05836:2B:487872:489241:-1 gene:Dexi2B01G0000920 transcript:Dexi2B01G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHVLLFPWPLQGHLNPMLHLSSALLDAGLHVTLLHTHHNLRRLSLLFHSMSTAGSEAYRAVLRRDTSSSSPVTCVIADGTMPFAITVAEDVGVPAMAFRPESACGFLAYLSMPKLLELGELPSPSDEPVRGVAGMDAGLLRRRDLPRVAPSDPDPVAVLRAVAATAARCVESRALILNTSTPMEAAAVAYIAAQVRGEVFAVGPLHATPAAAAEREVAGAGAEGGGCTAWLDGHADRSVVYMNLGSLTMVSPRRAPPWLGRRRMVLDDDDDDGGGEVVDVLRLAEKAVANAGEERAMVTEWAAHRDVHGVLGHRAVGCFVTHAGWNSVLELAVKGVPAVCWPYFADQQTVSRFVGAVWGNGLDMKDAVCDRDVVARMVREAMESVEIREKAEAMARRLRMDVEKGGSSAKDLDRLVGFITEPSSSAAAQQLQSSPGDDGADKNSSSPLIA >DexiUA01G0006090.1:cds pep primary_assembly:Fonio_CM05836:UA:11339480:11339734:-1 gene:DexiUA01G0006090 transcript:DexiUA01G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLRSGNARTNPGFLDTAASSPAVSLAANPDTTLVYECRTRRWTPALETAGSRNDSCHRRCAASSPSECCRLAAGGGSTSTM >Dexi3A01G0007150.1:cds pep primary_assembly:Fonio_CM05836:3A:4865397:4868024:1 gene:Dexi3A01G0007150 transcript:Dexi3A01G0007150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRRPFLGVSPVLLLLFLAASCAVVVFGAGVPESTARSIRRVNRRHGPFLGVVVSNAFEMEPLLRSPRFSPAKGNQLPPYLDVAGRRFRFGTIGEQKVIIVMTGLAMLLLTLFDIKGIVHFGIAGNADPSRQIGDVAVPRYWAHTGLWNWQRYGDGPNDELAFESNGDYTRSLGNLNFSDYTTTTNASGNNNNLLNAVWYEPEEVFPSNSGTPELRRHAFWVPVDARHYDLVARELEGHVILESCVVVAGNGNGTAATTTTCLPRRPVVATVERGCSASVFVDNAAYRGFLRNRFGVTAVDMETAAVALVSMQQGTPFIAVRALSDLAGGGSAGSNEAGVFAPLAAENAVTVVVELISLLN >Dexi2A01G0029650.1:cds pep primary_assembly:Fonio_CM05836:2A:40708403:40708887:-1 gene:Dexi2A01G0029650 transcript:Dexi2A01G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGKAKCNCLRGFQPKFRNQWNAENWSQGCIRRSPLGCQANKTAGDGFLSIGDVKWPDFSYLLSAAIDESGCKSACLNNCSCGAYVYTSGGCLIWGNKLIDMHELPTGTYTLNLKLPASELRK >Dexi9A01G0039830.1:cds pep primary_assembly:Fonio_CM05836:9A:43620401:43622218:1 gene:Dexi9A01G0039830 transcript:Dexi9A01G0039830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFFLSASGSLDAILSSLMFSSEFLASTFFLCSSSSALYFSASCTIFSISSLLSRPLSLVIVILFFWPVVLSSADTFRMPFASMSKHTVIWGTPLGAGGMPESSNLPSRLLSLVEKTCSFLVGMVVFLGISTVMTPPAVSRPSESGETSSRSRSCTFSLPSPDGGLDGGAVRDGLVGVDALAELLAVEEVLEQLLHARDAGGAAHEHDVVHAALVHLGVAEALLDGLHALAEEVHVELLEPGAGDGGVEVDALVEGVDLDGGLGGGGEGPLGPLAGGPETPERPGVAGDVLLVLPLELLHEVVDEAVVEVLAAEVGVAGGGLDLEDALLDGEEGDVEGAAAEVEDEHVLLAVAARLLVEAVGDGRGGGLVDDAHDVEAGDDAGVLGGLALRVVETVLMGFMATWFLAASPTSLSVSVKATYEGVVRLPWSLAMISTRSCCHTPTHE >Dexi3B01G0024010.1:cds pep primary_assembly:Fonio_CM05836:3B:18719850:18720685:-1 gene:Dexi3B01G0024010 transcript:Dexi3B01G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCFGRDQETPVVPVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Dexi5A01G0010420.1:cds pep primary_assembly:Fonio_CM05836:5A:7879152:7879364:1 gene:Dexi5A01G0010420 transcript:Dexi5A01G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVVAVVLFVLLSPGLLLQLLAKGGRFVEFGNFQTSGASIFVHAIIFFALAAVFVIAIGVHITTD >Dexi1A01G0029520.1:cds pep primary_assembly:Fonio_CM05836:1A:34984308:34986254:-1 gene:Dexi1A01G0029520 transcript:Dexi1A01G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLGSLAVTHLLQHTLRSLCALDDAQQWVYAVFWRILPRNYPPPKWDLQGGIYDRTRGNRRNWILAWEDGFCNFAASSAYDHEGAAAPAAAAAYTAECAAGGQEAATTTKQGGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFQEAQQEHEINLISSWSNPADSYPRTWEAQFQSGIKVAEDLSYVVLLRRKFGYVESIPGVLLPHPSSAAFPAASGAGYAAAVGGGPADATCGWPPPPPPPGLVAPPMELYDPYYAQAAAAAAAAQMHVVPSMSSLEALLSKLPSVDAGLAKEEEDTGAVGATLDVAAAAGRSGGESTSVAAAVATTSAATGSYYVDVAATKPSEGF >Dexi3A01G0021160.1:cds pep primary_assembly:Fonio_CM05836:3A:16796788:16797441:-1 gene:Dexi3A01G0021160 transcript:Dexi3A01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTPPPLLPVTNPTAGSAPATTGGSDVPIAQPAFRLFLSRLSDSARRSLSDRRPWGELVDRSAFAKPDSVSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLILLVLLAAWCFLYLFRASDQPVVLFGRTFSDRETLLGLVGTSFVLLFFTSVASLIISGLLVGGALVAAHGAFRVPEDLFLDEPSAASGNSAAQGLLSFLGGPGSGV >Dexi4A01G0006030.1:cds pep primary_assembly:Fonio_CM05836:4A:4318693:4319766:1 gene:Dexi4A01G0006030 transcript:Dexi4A01G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCFSRRRRHGGAAGEPSPAPPCSSATSVYWTHLGAVTLTWSRAPIGLLLAAELLLAGEDNDGAAPARFELRPWLPWRRRGTKRFTSPDGRSVAFSWDLSRARFAAAGRRPEPASRYSLLLALAVGDRAPPAYAGLLLSRRENAVVSAAGDGRHGGESYATTVVDAAGEAHEVSLGVEESAMWVAIDGEKALQVRRLRWKFRGSERLDLPGGRRVRVTWDLHGWLFSPADTAAAVFVLRFETSDDADEDDDDAEEEEARARTRWNHHAGGEGWWSSESDRKGWRRGPFRSGSDTSPSVSVASTSATSSASSVATVSEWAAAEEAAALKDGGGFSLVVKLWKKRR >Dexi6B01G0015020.1:cds pep primary_assembly:Fonio_CM05836:6B:22455788:22457198:-1 gene:Dexi6B01G0015020 transcript:Dexi6B01G0015020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFPRAGLFLFFGVLLSAFRLAHSSTDNDFSKVRAVNLGGWLVVEGWIKPSLFDGIPNRDMLDGTQVQLKSVGLQKYVSAGDGGGGNVTVDRDAASSWETFKVCRWDDDMATFEMTIVANNLHGDYQLANGYGPEQAKTVLTEHRKNFVSGRDFYFISQKGINAVRIPVGWWIAYDPDPPAPFIGGSLNALDRAFYWAQ >Dexi1A01G0010120.1:cds pep primary_assembly:Fonio_CM05836:1A:8629500:8630552:-1 gene:Dexi1A01G0010120 transcript:Dexi1A01G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAILAAIPQSIRPAVLITSACALLLLATAVLLPRAPPTPPLTTDAAAVRLDARVAHRSGNEVLWQLPPPSIPLRAALFAAPGCTIRATDFFDASPTPGGCPLCAGLPEERRFTREALSRGYAVLAVSSRAECWSLAGSGEDGSELTAVESIIKWWTTEKFPHLAGLPLVGIGASSGGYFLSALSARVKFSSVAVMIAEGVYGAMAEIPAGYPPVLFVHMPKDAERAALVAENMGKLKAKHVDVREIQCVDFAVSAEFLAERVPGLTRPVADALVGVLRRKGFLDVKGFLKNDGRRTPWKKAAEEAKVLPEGFHLERHVTEELNVAYAYHEFTSLKNTEIFEWFESHMNH >Dexi5A01G0026330.1:cds pep primary_assembly:Fonio_CM05836:5A:30000850:30001335:-1 gene:Dexi5A01G0026330 transcript:Dexi5A01G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSDSADDTRAAAADQPEEQPSEETEITQEADGAAAATVTKSSEEPAPGANASWTTATPPMTPERGAAAAAHDSEGSDTQSAAGRGSPLHREEKPRHAGASVKGDDVIKSGGAEAAASPEREGAAEHKEKGKERRKRFWK >Dexi2A01G0031520.1:cds pep primary_assembly:Fonio_CM05836:2A:42241175:42242503:1 gene:Dexi2A01G0031520 transcript:Dexi2A01G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHEGGAASAPAANGTGELIGYVDVHVRSARDIQNICIYHKQDVYARVSLPGDGAPVASTQVVNGGGRNPVFDQSLRLGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPEVVAADGGTLAREFPLTTSDLFQTPSGFLQLELSYIGVVPEVVPISPTPKPALADPEEEEPDESNAGAGAGAGNGKEYEKIEFPDLNLVEENQIMVSEYTGLLPCAAVEAHSSESLVTSEHEDGATTLSHEAGVRLVESFSTDHSTADSVGDTAVSSVSTTESPASAAVPATPQSNSSSEPSSGNGHSSAEPKEKAAASADAELPSLDLGNGSSEKSSPAAAASEADSSGADSSAVKKPTASGQQEKPSPKVFYGSRAFF >Dexi9A01G0020340.1:cds pep primary_assembly:Fonio_CM05836:9A:15278881:15279341:1 gene:Dexi9A01G0020340 transcript:Dexi9A01G0020340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFSEPNHHHHRARKKKDAAQPRVSPAAATVIAMISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLAEADGSPYAYQVSIFVASSEPRKCLLSELLW >Dexi9B01G0025010.1:cds pep primary_assembly:Fonio_CM05836:9B:24326173:24332500:-1 gene:Dexi9B01G0025010 transcript:Dexi9B01G0025010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADDGTSPAPTVTEGETPAAAGAGAAIEESEGMKGVTATTVEEHGEVEPEEDPEEQVEDEEDAEGDAAEVEPADGMKATLVKPLAVLKPGEEDEPEELEGEKEELDEEPEEATPSEEEPEELNSELDGGLELFVDGLPKDCAEEDIAVVFSQSGEVKSVRIIKNSSTGNNKDIALVCYVSIEAAKKALTELKEGIEVKGEKVLTTLKGIGIQECKITFPTYKGGSRGFAFLKFASHYYARAAFLRLMKSNATFGTDRSAKVSFYQTRIKPSEDFIEAKKVYLEHVPLSWDEDKIKERCQQYGKIMKVDLFQISKNMESETFSFVEFSSSKSALACVERINKANIVDEGFKLSACLARPKSGLKVNSGAASEGATTSKKENVHTMKVVIDKDSTQKVTKGNQNKLTSETKEVHVKLNSPSKLPNDYDMKINSQGDAEVLQTSKPSDKRKVGKNKNSSVNPKLSKKERNNRNVDGSSRSKVYSSDLEPHAGFIPPAHRVHSTHGYDRLRFHSFLFAHL >Dexi9B01G0041590.1:cds pep primary_assembly:Fonio_CM05836:9B:42034426:42038257:1 gene:Dexi9B01G0041590 transcript:Dexi9B01G0041590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLAPVPTKPRSNPFRRRRGAAPLLLDQAATAAAAAEGKRPAESSTSASSSFYSEVISASSTSLAAYQRPEKRPRRQDSDEARPAGSECSQVIGGARAHPAEVEASESSCLGSVLESNLACPERLADDAEATEYSSACEELTPSEPEEEEVLSGPCLYADYSLSPLISSPLTDDDDAGAPSPTFNLFLNFAERFVRCVHPQAHARTNAAHDFLTGRRFEDLDDEESYERFRRRERREAVACDYTGAYISMPGSYGPLVVEQRVVMVNWIIEHSNLNKLQPVTTFMGIGLMDRFLTQGYMMGLRNLQLLGIACITLAIRIEENQPYNCVLQKTFTVGINTYSRSEVVAMEWLVQEVLNFKCFVTTTHHFLWFYLKAAKADDRVEDLANYLSSLSLLNHKQLSFWPSTVAAAVVALACLATGNDSSCHLVMETHMRTQDDDLPECLMVNSLEWLIKYAS >Dexi4B01G0001870.1:cds pep primary_assembly:Fonio_CM05836:4B:1163190:1165364:-1 gene:Dexi4B01G0001870 transcript:Dexi4B01G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEGSSASAPPSTTIEVPGAAGPVLVVGAPGLPEAEFSGGDSERRNAVDSALFKQWLRNLQSEKGGVDMFGKRVGFLKFKADIIDEETKAKVPGIVFARGPAVAVLILLECNGQTYAVLTEQVRVPVGKFLLELPAGMLDDEQGDFVGTAVREVEEETGIKLKLEDMVDLTALLDPATGGRMLPSPGGCDEEIGLFLYRGRVDEDTIRSLEGKETGLRDHGELINLRVVPYGQLWRSTADAKALCAIALYEMAKREGLLPSYPPTANL >Dexi7A01G0020780.1:cds pep primary_assembly:Fonio_CM05836:7A:29486878:29491042:1 gene:Dexi7A01G0020780 transcript:Dexi7A01G0020780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEAHAAAAERIRVVGKWFGVLEVDLGAWTVPQLRAEVARRVGDGVEPECVGLIFGGRVLKDDPPTSLREAGLKGNSKVLSSLSSPDRAKEIAAEAAKAKAEEEHTAKLVRLWEAAKALSQRHTDGSFLQDEDYNLDLEDQSGQKVMFGSVDDMKALKMALMLHQKGKTQMKRKMFKEALDVLNMAEEAFALCDSKLIEKVDNVPMLQLDIVWCYFVLRDVSCLEVAGTRLEKARVGFERSHGKDSSRFRVLQAGRQADLAIYVRLELLEGVVAYHNGLSEKALGSLSSAQAKYLQLQVPDEAISMLMDMGYEARSAKRALKMTGYDIQSSVDLLCEEREKKIRRREEDRERQREIMEQMRYGKTPMNKAVDLQKLKGLTTIGFEKYLAAEALRINENNAEKALDLLTNPEENCILQSKIQARRNRASRGLLSSRAAAARSALANHNSQASLSAPPHAADGNAHSHAADRNAPSHAADGNPPEGNDADGNPPVGNDADENLPEGDNRDGNPAGPNDAQILDSEEAMLNEETGDEDAVMTDEVDVNDEGANSHPVPARDVTMESELAHDLSGDAMDDYDIDVSDEGQAIAEYLSLLESAATASS >Dexi5B01G0012650.1:cds pep primary_assembly:Fonio_CM05836:5B:8993638:8996792:-1 gene:Dexi5B01G0012650 transcript:Dexi5B01G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPAAAGAGQDYPTIDPTSFDVVLCGTGLPESVLAAACAAAGKTVLHVDPSPFYGSLYSSIPLPSLSSFLSPDPSTPSSTAAAAAASGSHTAVDLHRRGVYSEVETSGAVPEPARRFTVDLVGPRVMYCADEAVDLLLRSGGSHHVEFKSVDGGSLLYWEGSLYPVPDSKQTIFKDTTLKLLEKNVLYRFLKLVQDHIAATSGAGDAAGIISEEDLDLPFVKFLNQQKLSPKMRAVVLYAIAMADYDQDGPDPCEKLITTREGIQTIALYSSSVGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPVAALLMDEEKKHSVGARLASGQDILCKQLIIDPSYKIPTLDVPFDESDSNCPRKVARGICIFNKSVKQDASNVLVVFPPKCKNNYSFTFFMLDLLDVGMVMLFLLVDPVSILDLALEEQQVATVRLLQLSSNLAVCPPGMFMAYLSTPCTDASTGKHCIKKAMDALFSPLDSDGSQGQLATTSGSTGDVKPTLIWSCVYVQEITQGTSSSLLSCPMPDENLDYRNILESTKKLFTDIYPDEEFLPKKSAPVHDDEDSDSGE >Dexi5B01G0028700.1:cds pep primary_assembly:Fonio_CM05836:5B:30036544:30037240:-1 gene:Dexi5B01G0028700 transcript:Dexi5B01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVDLEEAARPADYYDIFADPRDDEAPPVWGYRAPGCWSRMSFEDRICIPILIVTLLATVLCSGAYIYVAGLNPASFAGGLAGYGGIDPGSPGRVVSPEFGVTLRINNTCVDRANVVVAYAGVALGWARAEPWDCEEERRTKEVEVVAKGEGVGMPEHLRDHMAEEWRRSGTLELDVEVEIFRSSDSDRAAGDFPRKVKSETSPCEWYALEHPYDSRG >Dexi5B01G0027810.1:cds pep primary_assembly:Fonio_CM05836:5B:29363980:29364749:-1 gene:Dexi5B01G0027810 transcript:Dexi5B01G0027810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQEAQTGNVPAAATAGLKPVSAEAGKGAEGQSVVRRLQSELMALMMGGDPGVSAFPDGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTTEYPYKPPKVRFDTPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEFRKMVEKLYRPAA >Dexi4A01G0011680.1:cds pep primary_assembly:Fonio_CM05836:4A:9870862:9871480:1 gene:Dexi4A01G0011680 transcript:Dexi4A01G0011680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLTGSSPLHAVAALTASMNSSSATSLASAPGERHTSSAMPPPRPSYTVTFPSILFPAPLRTATGQPHPKSLPNTSNRGELPVAIVAVAAAVAPSSYKNLGLRSHDAKSSLTLASSNAATARFMSHAAQPSPFPTTSPAVDLATASVTVLPM >Dexi2B01G0016310.1:cds pep primary_assembly:Fonio_CM05836:2B:26467613:26468680:-1 gene:Dexi2B01G0016310 transcript:Dexi2B01G0016310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGDGRLPPGFRFRPTDEELLTHYLAPKAADPFFTPAALVREVDLYTAEPWDLLPPGRCWEEEDDDDRSNGGYFFCRRSVRFPSGLRTNRATRAGYWKSTGRDKVVRRHHGGGGDALGAKKTLVFYLGRAPTGRKTNWVMHEYRLVMPGHRYTSPVLATGTQSEWVICRMFMKKKSPGEKSQLLEQETTLHPPLDDHLLPSVDGCDDRNAGGKAPSPEAAATTDSDTEHTNCFSSNIALAMAQEGGNGIESMLQLNHEALLMNFLSVSSDHASAPAASPEARATLLRDELATADSFDFLPQLLDYEAFPFVLQDF >Dexi6B01G0010940.1:cds pep primary_assembly:Fonio_CM05836:6B:17774889:17778613:1 gene:Dexi6B01G0010940 transcript:Dexi6B01G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYYAEARLDAERKHRRWLTGPAGHGDGRGLPPPRRRPLPPPRRHTPPPPPPPRRSPVPHRRGDGGGDKRRGGGPAEREAATAARAAPGGNAANVAQGDLVGEAAPGASASLVAREEERGGGGDGEVGSKRRLPLGSAAHPPPRRRALCASARRQFPPGCGRHANASLSGADPRRGDAGARGCSGVLENAAAAPPLAGGKDGALSDVVTPSAVGTSAFTVVSASVADGPISDAGHHGPEADVEEGAGGAKCDFPPGCGKDAVLSLLVGECIGEVELPLESADLADGDLGVAEEVVSTSGCTSMVHGHGMDLVPPQRNDTTDGIVQDDELEEGEIPPEVAVHESQVRAAKTSSMQSYNEICGEKRSTCLVAKEVKVMNESIGSSCDDVTESLADDSSKHNMMCNRVSESNRMNRASSDVEGVSCDRTTVESVTLHGSTHNGHGASVPEFSAVETPVMQPSNEKTGRSTLQCGEKRSSGLVEKNVEVVSQSIGSPSNIVAESLAEDSCKQDMMGKRVFESARMNRASSDAATGEFGDGTKIRRTDMFTPRKVVGPIKNLHKEGETEHGRVVTINRIKDTDELTKDQCMQVPMSSDKFFMPQEKEAATTRGFFGPRKKVKVKVPAHLRMKIGSMSALCSKGKRNDEVASILDDDEILKALVVHEGKLELYLNSSSDLPSMRSQRQYGSQNADARSKFKMLCRRFEFVCRALVQAVEQRSLKIRRIDIEADKVIRKLPGFIKHGPIVGQVPGVEVGDEFIYRVQLAIVGLHRSYQGGIDTTTDRNGKRIAISIVASGGYPDELPRSGELIYSGSGGKSRGKKDGEDQKLERGNLALKNCIKTKTPVRVIYGFKVQNTEGSSHSRAKQISTFTYDGLYRVVDFWMHGRPGSKVFKYKLQRIPGQPELPMHIAKGMKAKTRPSLCVADISQRKEATPISVVNTVDGVRPTPFRYITTIKYPFGLTKMPHQGCDCTNGCSDSANCTCAVKNGGEIPFNSNGAIVNEKPLIFECGPSCKCPPSCQNRVSQHGVKIPLEVFRTTKTGWGVRSLRSISSGSFICEYVGELLHGKEADKRRNSDYLFDVGLNRGDENVCDGLLSTVSGLNSSSSSQTIEDVGFTIDAAEYGNIGRFINHSCSPNLYTQNVLWDHDDKRMPHIMFFAAETIPPLQELTYDYNYEIDHDGEVNGRINSKVCQCGSPQCSGRLY >Dexi1A01G0011830.1:cds pep primary_assembly:Fonio_CM05836:1A:10992762:10994113:1 gene:Dexi1A01G0011830 transcript:Dexi1A01G0011830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFLILTAQSNVSRAVNTSAGRGTGLPSSCCHGGILAGSPTRKQGKEAPISSKGKPTAGVVAAAAAGGTTFEWRKTMFLEMLGKEGQRRQEATTARRRRACVPVLPDELLLAKALLRCRAVCRSWRRLTSAADFVLAHHQIQPSLPLVFLHGTIRGASVTGATLDAFDLWATPADERRRPTLRFKHSKHYREFKVYASCDGLLLFSLSSRSRFYICNPATRQWMLPLPMMLIGSHVAGLYRHSSSGEYRVLYMKKQADADRGVDVDAAAYFVLTVGSGAEPRCVGQPATSASIRQYMTAGPGMPSSLGARLSCSMDHVSSYR >Dexi3A01G0001250.1:cds pep primary_assembly:Fonio_CM05836:3A:901501:903348:1 gene:Dexi3A01G0001250 transcript:Dexi3A01G0001250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWRAAASHLLLLPRSPPLSRSPSATASSYALLLQTRPFSPPPPRPSPAEAEVTPAEARRLVRLVGVEALKRRLRDGQDEVVGYSDLLDACVEAGAARTHAEAEALARAMDDAGVVLLFRDKAYLHPEKVVDLVRRSVPLALGPENDPRKEEFKQLQQKKEEIDKLAHKQMRRILWSGLGFLMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFLSRQKKLCAAQKFDMDRYLELQKHFRCPLEGHHPHDPKLHGLRRYRNVSPLLPEASQYTL >Dexi3A01G0026420.1:cds pep primary_assembly:Fonio_CM05836:3A:22662611:22662959:1 gene:Dexi3A01G0026420 transcript:Dexi3A01G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISSLLQGLARSLSVGKDRRGDGGAGEGKAAAPAVLRSSGTVWGEGSQTFAAVCSRRGEKGTNQDCSIVWEL >Dexi4B01G0018430.1:cds pep primary_assembly:Fonio_CM05836:4B:20769707:20774341:1 gene:Dexi4B01G0018430 transcript:Dexi4B01G0018430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGVADEGGSVGGGERMKLLCSLGGRILPRPVDGALRYAGGDTRIVSVPRGVSLPDLLVRLADAYGGATGPHFAVKYQLPDEGLDALISVSSPEDLDNMVEEYDKLGGASPKLRVFIFPILDTAGGSGATGEDLEGGSFDASLRYLEAVNGIVRKDSIASLSSTQYSDGGMPPPAPSGGGGPGSPAALSPTSTSSNDAARSNISGAGAVPPPLVDVFSNAAPAPVQVKPQEIAPEGRAPPVNPHPEVATHPHPHPEATRYRQPLSQLPPLPPVFMNDHRDVMQGLNQPPPGHGARLEDCNMCLKALPHAHSDPVVNEYGNEVHGGAVPESGHVYMSLRPEDVARMMIPERSAQAPMGAYGYTHMHPVPQERVYMPKVEGVTNSLLIDPTGLHQHVYVQQQMPPQQLPSTYGFSHIPVIPSEKDRVVSPSSAHTDVGSSHYQFLQQSQQQLPSGHAMTQYPLKPASPNNPLAGEGSLSGNSRHREDGQVYRDNLSPVAPVAVPTYVANVDRMMDSLRVSPSEAAGSTEQRRHAMSPDSGLPQNAIPEHSQGHPENSIRSDTRPNEVHPSNTNTFFDVNEPKVLIQTESMPPPSVASSYLHNVQHVNMSHMPHMMSIGGPYSSYVVATVAPAGVPQSTYGMDLVYQNATVNTVSERRDVLPEVYHQEAPHEVVPPNTAQVPTPALANHAPNVDQAATNAHALPPRPKRVASRENISPRDPHTHNSLLNCKGPDLNIPAEDVSLQLQSDHRGDDVSNPDLLGMEEGIATSKAQSSEHQPPLQNEGPGAVTNKVDGEVHPNEVPKGRPADWISGYPPTDGRLQIIKNNDLEEQQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQEKMRSDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNSKTLDKRKRLIIAMDTAFGMEYLHSKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPQVPDSCDPEWRSLMEQCWSTEPSERPNFTEIANRLRSMATSQKVQH >Dexi4B01G0017300.1:cds pep primary_assembly:Fonio_CM05836:4B:19529708:19531098:-1 gene:Dexi4B01G0017300 transcript:Dexi4B01G0017300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAATAVSRAPAHPCLKTHGKEVARLHLFDWIVLLLLVAMYGVLSLVQPFHRFVAEDMMVTLRYPMKNNTVPGWAVPVIAIVVPAIVIIGIYIKKRNLISDSDIHTIYAQSGLLFSVLITAVLTVVIKDGVGRPRPDFFWRCFPDGVPKYNNITGDVICHGVPSVIKEGYKSFPSGHSSGCFAGLGFLSWYLAGKIKDWWLLHSATFSSFHYPTVSMV >Dexi5B01G0001220.1:cds pep primary_assembly:Fonio_CM05836:5B:777702:782763:1 gene:Dexi5B01G0001220 transcript:Dexi5B01G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGTTTTTAMEFWPEFLASSGGREFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPLSPGIVDAPSRPPSAARLLRGILRAEGPAALYRGMAAPLASVAFQNAMVFQVYAILSRSLDPESSTTTAEPPSYASVALAGVGTGALQTLILSPVELVKIRLQLEAAGHKHRRPGDHHGPVDMARDILRREGLHGIYRGLTVTALRDAPAHGVYFWTYEYARERLHPGCRRGGGESLATMLVSGGLAGVASWVCCYPLDVVKSRLQATTRYRGVVDCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRL >Dexi5B01G0020610.1:cds pep primary_assembly:Fonio_CM05836:5B:22813864:22817539:1 gene:Dexi5B01G0020610 transcript:Dexi5B01G0020610.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRPSGAAAVQRRRQRDADERLSFSRVVTSRDAVDEDEEDVEGEALQLGAVTSGGESVGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTEVQAATLPIILQGKDVLAKAKTGTGKTVGFLLPAIEVLSTLPHQRNQLRPAINLLVMCPTRELANQVATEARKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRLRGVKVLVLDEADRLLDMGFRRDIEKIISSVPRERQTLLFSATVPEEVRQISHVAMKKDYRFINTVKEGDEETHSQVSQMYMVAPVDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRRSKGLILVSSDVSARGVDYPDVTLVIQVKNAVRKVEMKSKECAYQAWLGYYNSNKTIGRDKSRLVHLAEEFSQSMGLPVPPAIPRNILRKMGLSNVPGLRSS >Dexi9B01G0006660.1:cds pep primary_assembly:Fonio_CM05836:9B:3994218:3995417:1 gene:Dexi9B01G0006660 transcript:Dexi9B01G0006660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEAATPPKRLCLRWPPPQREYLPIDLLLEVVARSDDVGTIIRCAAASKPLRRAMLGAGFRPRSRVGLLAAVHAGYDPASLLGVSYASSPSDDDDDIVRASWRLRFDTRLLRSFELVSSRGGLLVLYRHEAESQPELRVCNTFTGDMACLPYMDEEDGKWGNAGIYRPALLTVDEDDAGRSFELLVMDGCLRTCVFSSNSSKDGKWGSIRRVKAPPEHSSWCFVNQSMNTSPAIVGRTVYWICCRARRGIAARVFVLAVDADATQATAIAPPPQGYHGSIGSCTLATTPEGKLCMLVPEAEVISMWTRSAEEGWSRDVVISKQGISRQVWSAMDARRMVCWCVGMKSRSVLFWVERVGLIELDLETMKADVLRGGGEHGATGVAGVCFHEIDMASLL >Dexi7A01G0014900.1:cds pep primary_assembly:Fonio_CM05836:7A:24794350:24795613:-1 gene:Dexi7A01G0014900 transcript:Dexi7A01G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESTKSNIALIAGLASTGIVVLLIIAAWILCYREYQKRKGTKEGPENNAATPLDDEFEKGTGPRKFTYRQLSLATREFSDDEKLGEGGFGSVYRGYNIILGMGSALLYLHQECEECVVHRDIKPSNVMLDSSFNVKLGDFGLARLVNHGRDERTTMVAGTRGYIDPECAVTWRASARSDVYSFGVVLLEIACGRKPVVPEEDESRVLLVQWVWGLYGRGEVLDAVDARLRDDVVELDELEAERALVVGLWCVHPDNASRPAIRQAMNVLHIEAPLPELPLEMPMAMYGPPAGVGSGSSSNTASS >Dexi2B01G0032330.1:cds pep primary_assembly:Fonio_CM05836:2B:40259586:40261314:1 gene:Dexi2B01G0032330 transcript:Dexi2B01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPDANAPAPADSAPAEHAAYPRLSPEEMAPPPPPVVTPAGANPYVLSAPSPNPPAKSATDNLKEMFGMVGKKFNEAARKTEGIAGDVWQHLKTGSSMTDTAMGRVAQISKLISEGGYEKIFQQNFECLPDEKLKKAYVCYLSTSHGPIMGVLYLSTVKIAFGSDSPVKYVTEDNKTESSVYKVVLPLPHLRSVTPTASQQNPAERYIQVVSLDNHEFWFMGFINYDSAVKNLQEAVRGVHGA >DexiUA01G0003930.1:cds pep primary_assembly:Fonio_CM05836:UA:7985653:7988069:-1 gene:DexiUA01G0003930 transcript:DexiUA01G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQHGFQDSKSNPTGAEKDCQGIHFLSWLELKAWRFQALYVSENLVPSGGEIDELVGHTYLYLKEQLERPDMPPSSIIHGTIIDQFIACGKTGEKAHDLASKIWLAVIDGLEENQQTFLLLKHLAREGEFFLPFPYSRSYKVLWRVFDKLFTDFRDSFSGADYHNALSTAKSRFQPVPSTWLGH >Dexi9A01G0049560.1:cds pep primary_assembly:Fonio_CM05836:9A:52151429:52151831:-1 gene:Dexi9A01G0049560 transcript:Dexi9A01G0049560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQIAYVVSKSSESKGGSMFALGSGTLQGHLLAGEERFSVEVDEEDRVWYEVVSLSKPAHLLATLCYPYVQLRQRHFAKQSGQAILRHVAATTSKHAPPPHQPETS >Dexi2B01G0022520.1:cds pep primary_assembly:Fonio_CM05836:2B:32166216:32168535:1 gene:Dexi2B01G0022520 transcript:Dexi2B01G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKQGAAMEAPPSSFPQGAPGFAVVPQGGANAAAPDSPQGPPGFWLAPQQGAPAPQQGATVMVTAQGATVMAPQQQHFASVMLPGSQDGMQMAVQAASMGMMMASMPLQLQAQAQAMAAHQQAQCMVPSQSLSTCPPMTEMQQAQAGHMMATPPLPLGPPPLMLQHQLSQADPGVMAQPPLPLGPPPMILQQQPAQGGAVMMAQLPLAFSSPSVLQQQQQQQHPQGNQMMVGQPQLSALPCKLQRVNQYDNPYGQHMTGHQQETAMFNAAMGQSFGTNLPTGFPSFGASMPSLPTDATSTVYVEGIPSNCTRREVAHIFRQYMGFREVRLVSKSSNRHAICFVDFATPTHAFHAMRTLQGNVTEEVFYL >Dexi2B01G0012860.1:cds pep primary_assembly:Fonio_CM05836:2B:19939934:19944735:1 gene:Dexi2B01G0012860 transcript:Dexi2B01G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDGRAGLPRIAVIGAGIFARTQYIPRLREIAHLVVLKAIWSRTQESAKAAADLARDFSPEIECKWGDAGLEEIMGDSSIMGVAVVLAGQVQVELSLKMLKAGKHVIQEKPASGSTTEAESALSIYNSFPSQFPYKPIWALGENYRFEPAFVESSKLIKDIGDMMHVQVIIEGSMNSSNPYFNSSWRRNFVVGNKVVSFWTWVSTSLQDYECGIAELTTLVGSEITSVSSISRHVDMTLPPPDNICSLFQFKITEVITQILWRVDGTKGTIQVERGVDSGKHGYQVLFSGENGQCQNTFYPFCGVNEELKTFVQDMLGASKDGGHKAEPRSSYIEGARDVAVLEAMLESSGKQGAPVQVKRFQQI >Dexi2B01G0034810.1:cds pep primary_assembly:Fonio_CM05836:2B:42018764:42019549:-1 gene:Dexi2B01G0034810 transcript:Dexi2B01G0034810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLAGPDVKGTIFFSQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTAGEDGTFVLLSPSLGQTRSLAEL >Dexi7A01G0009990.1:cds pep primary_assembly:Fonio_CM05836:7A:20924862:20925689:-1 gene:Dexi7A01G0009990 transcript:Dexi7A01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGTAAFSLLTLLVLGAFCAAAEAVRLSALGRTLVVEASPKGGQVLHAGEDTITVSWRLNTSSPSGADAGYSAVRVVLCYAAASQKDRGWRKASDDLSKDKACQRKIAEEPYTGGAGTIEYRLARDVPTASYGVRAYALDASGAPVGYGQTAPGYQFDVAGVTGIHGSLQVAAGVLSAFSIAALAFFLAVEKRRKGE >Dexi9B01G0018460.1:cds pep primary_assembly:Fonio_CM05836:9B:13193627:13193902:-1 gene:Dexi9B01G0018460 transcript:Dexi9B01G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVAQRRAERRAARNAAAAAAARCGGEEKQARADGEQKRWTAAAAWAEKGQRSSADGNGSASATAGGAASWLVGEDAVLSYLSA >Dexi9B01G0012990.1:cds pep primary_assembly:Fonio_CM05836:9B:8743593:8747011:-1 gene:Dexi9B01G0012990 transcript:Dexi9B01G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPEPGPAAAAEEVAARFRSLVDPDHVTSIRQMQHLILGRLQDSNAVLTHFNEYSEQCFAEVSSDFASKARLLKSMKADLEHIFTKLRGMKRRLAATYPDAFPDGAMSKTMDQRPDLESPLD >Dexi2B01G0005610.1:cds pep primary_assembly:Fonio_CM05836:2B:5140678:5142391:1 gene:Dexi2B01G0005610 transcript:Dexi2B01G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKVVAAARWQRWAFTGLASAFTNFGSVWLILAPLVSLVATYAPRRLFHTYFNLFLRRRTRRLLNVVDPYATVDISEPGSDVRYSRYGPVSDTDTTYEEVKAYLSEACLGQEDARELRAEGAKDGDGLVVSMRDGQDVADEFRGVPLWWSSVVEKDVQGQRQGRRRFQRLTFHLRHRRLVVDEYLPHVRRQGREILFSNRRRRLYTNSKSRDVYSSYEYKSWSYIDFDHPTTFETLAMDSAKKKEIMDDLDAFRSNRDFYRRTGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDIYDVELTVVNTNTDLRKLLIETTSKSIIVIEDIDCSLDLTGDRSRQRRRPAGATGTSKDDDERPRNTVTLSGLLNFIDGLWSACGGERIVVFTTNHADKLDPALIRRGRMDMHIEMSYCGFEAFQTLAKNYLDIDGHELFGNVEEILKEVKLTPADVAECLMTAKRAGSGEPTTRCIEILIDELRKRAEEKEKAEAEAKEKAEAEAKAWAEAEAAAAAEANAAEIARRNPR >Dexi9A01G0032110.1:cds pep primary_assembly:Fonio_CM05836:9A:36907130:36909073:-1 gene:Dexi9A01G0032110 transcript:Dexi9A01G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLVGCLSLAAILLLSLLVDRTSSTKETGARARLPPGPWNLPVIGSLHHLVGAPPHRALLRLARLHGPVMLLRLGEVPAVVVSSPEAAAEVMKANDPVFASRPRGATADVVGFGGKGLIFAPYGEHWRQMRKVCVVELLSARQVRRMERIRQAEVARLVESVSLAATAMAASPSADAVINLSQGLTALTNNVVARAAFGGECRQQQAYLREIEVVATLAAGFNLPDLFPSSRLARWLSGAVRDLRRSHARVERIIAGIVQEHMEKRSTSHVFVGADDEDLLDVLLRLQEEGSLAFPITTEIIGAVISDIFGAATDTTASTIEWAMAELIRNPQAMSRATYEVRQKLGQGRVSITNADLGDLHYLRMVIKETLRLRPPAPLILRASQDNCQIMGYDIPKGSSVFINTFAVARDPKYWDKPEEFMPERFESSTADYRWTHSEFIPFGAGRRQCPGALFATTTIELTLANLLYHFDWALPAGEDPKALDMSEVFGITVRRRANLCMRAHLVH >Dexi9B01G0004790.1:cds pep primary_assembly:Fonio_CM05836:9B:2736182:2736436:-1 gene:Dexi9B01G0004790 transcript:Dexi9B01G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSALAAVVLVIVVLASGGVVVGTAARPVANQLTGGLSVAALDRVAVAVELTGTNSSAQPSNCTYGNNVGGVCPPTTPPASGH >Dexi3B01G0032700.1:cds pep primary_assembly:Fonio_CM05836:3B:35170216:35174230:1 gene:Dexi3B01G0032700 transcript:Dexi3B01G0032700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDTVAFSRRFAVVEKRWRASWEAEGVGGGEGDRLGAAAATPPQLPADYEVAAAFAERRKRAFMVAHVIGDIITGDTAEPEVIVNSGPSVGGLLDSLTGSIGISARSKPIAAPVAAPTASVSSPVGAAQSESLKGGLRPFDKELLRNFIIGAMPFGTPQDLNYTNVTSIKTTGFSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLTGLETAHVEVSSFHHCVQASEPTDNKQTLVFQPPLGNFVLMHYQAPCNIAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFTMEFCMITMPFSRRRVASYEGNPSIGTVSMTEHSIEWRIVSSGRGLSGRSIEATFPGTVKFLPRTTQRTNSSFRSVSSITYAEDSDSEQDNVKNGASLDDYIMENINKDLQAVDLEDPLSWQAYNYAKVSFKITGGTLSGLTLDPKSINIYPTVKAPAEHSMQLHA >Dexi7A01G0017010.1:cds pep primary_assembly:Fonio_CM05836:7A:26827960:26837811:1 gene:Dexi7A01G0017010 transcript:Dexi7A01G0017010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRGTAAASSKKPPPSQPSQPAKFGILHFFERQTQASQNAKRQKPDPPPPPPPPPPPPPQPPPEEEPLEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGAAEVVTWKISPVNQRLGTTKSRQFLGMALHPCSNNEKNPSLEAMKKWHSSPLGLSRCTASGRNSGIIGSALAGCDGVEDSQSPFRTPPSLSYGCNEALLDLLDQVEDAIMEEELPVDNGSKGGQATHEDNTNSNCSVVDDGDLTIPPKKNTDVPPLESFLVLEVSDKHKTDDSSCNRYPVKVLRLLKEHSGKECAVHLCDEWFHSLVGPGDTVNVIGEFDDQGKCIVDHDSNLVIVHPELLISGTRVASSFHCPRRSILDDRLKSNEYSISALIGTLLHQVFQAGLLEDAPTPQFLEQQAKEVLLRNIESLYACEANESKLYSTLLEAIPKILNWFKCFLKGSKCSNVNFGHTEGRKTVGVTEVMDIEEMAWAPRYGLKGMIDASVISRVDSCNGGSYDKIMPLEFKTGKSTSGQTAMEHSAQVILYTLLMTERYLNKDIDSGLLYYLHTDQTLSPSTCNGCRHLTSCTIYHKAHGGDAATSGLGDLFDNLVNHLTTAHHNFLKHWDRLIDLEARASQVKKKSIFQPYHSDSGSGNCAPSFFALDLKNGHLIDSSGKSKRYIYNFVYHKMQPETIDQSDAQFDSLDFSFKCGDPVVLSTQSGRIAVANGSVRDINRSHITVSLPRRLRIPDSNSLSEPEDLTSEIWRIDKDEFSSSFAIMRLNLVQLFAQHPQNSHLRKLIVDLEGVDFIRIGRLEAVHPDVRDHCLSTAEVQSVDAIKARMEHVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGISLFWRLSEAHPQAISALRYQYRMSSGIMELSNSLIYGNRLCCGSVEIANAKLKFSGRASVQLKLKEILNPDRAVIFANTDQIPALEAKDHRTVNNPTEAHIISWVCTDLCVLVTKELLRRGIPQDGIGIITPYNAQVNLIQQCTDGLVEVRTIDKYQGRDKECIIVSFVRSTANSKASGSSLLGDWHRINVLLTRAKKKLIMVGSRRTLSTIPLLRLLVDKVAEIGGLLDLTNNDVHSPNLEVPV >Dexi1A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:1A:4662480:4665966:-1 gene:Dexi1A01G0006210 transcript:Dexi1A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEALASTLRSSLPLHARVASSISGEDDGTGTCLASESYQLNLSGQEIVLKDSGEGSFAIVSEIDPKEAVKQLLMQDTYSRSECDALIKIIQERMVDSDPGVDEAEIILPIAWHDSSQEHPVAYSSSQNIVEKEWLNKTSTTIEGLGTKNHARSQPVRKRSYSSTGATFEGSRRVKLKQHGCGTSGENDNCTVGNDSCSASKLMLQGDIEAAPSSSMGLQPVSSSKTCKSGFNLEGCIPTKTRSPATASRTRRLNYDKTARSWNGPPQLNNPAAAAGQEADAGRTQAKRPVGRPRRGR >Dexi6A01G0001680.1:cds pep primary_assembly:Fonio_CM05836:6A:1565155:1566076:-1 gene:Dexi6A01G0001680 transcript:Dexi6A01G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSASSSSSRFAAVAIVAAALLLLPVLSAADDWEEAHATFYGDETGAETMQGACGYGNLFDQGYGLDTTALSVALFNEGWSCGSCYELRCTGSPACAAGGSSTVTVTATNLCPANYSKPNENWCNPPLRHFDLSKPMFLRLVTDFHVGIIPVQYRRVACAGKRGGVRFEMQGNRWWVAVLVFNVAGAGDVKAVAAKGSRDGGWHDLSRSWGQIWSNGDGRLVGQGVSFRVVASDGRSVELDDVVPPQWAFGQSFEGKGQF >Dexi5B01G0032330.1:cds pep primary_assembly:Fonio_CM05836:5B:32961601:32964870:1 gene:Dexi5B01G0032330 transcript:Dexi5B01G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVEVVVSPPYVFLAQVKGLLRLDFAVAAQNCWVRKGGAFTGEISAEMLLNLQVPWVILGHSERRALLGESNDFVADKVAYALSQGLKAIACIGETLEQRESGTTMDVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLHSNVSPAVAESTRIIYGGSVNGANSKELAAQPDVDGFLVGGASLKPEFVDIIKAATVKSSSA >Dexi6B01G0007670.1:cds pep primary_assembly:Fonio_CM05836:6B:8947568:8949142:1 gene:Dexi6B01G0007670 transcript:Dexi6B01G0007670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWLLLVATCLLVAVVFLLSDAKRHRRGLPPGPASVPLIGNLVWLTLTDGMHFLHTLRRLHSRYGPLLTHRMGSVLEVTVSDRRLAHAALVERGAALADRPEMASRELLGHTGAFSITSSNYGPLWRLLRRNFALEVTSPARILQFVQLRELVATELTAKLRREHTGGVAVGVRDAFQHAMFRLFVAMCFGEVLDELTVRGITAAVSDMMVYAMTEIDVFFFLPAVTTRLFRGRWQALQAKRQKLKTMYRPLVDARRQRKNKLQGAAGDDHPPHQQGGGGTTLPHCYVDSLLDMRLEEDGGRALTEDEIASLCSEFLGTGTDLPVTALEWIMAEIVKNPGVQKKLYDEINKAPSGSGKFSEEDMVKMLYLKAVVLEGLRRHPPGHIMVPRAAAEDVELGAYVVPKGSSLNVMVYDIGMDEGTWERPREFMPERFLPGGDGEGLDITGSKEIKMIPFGAGRRLCPGYRIALLHLEYFVATLVAAFEWHEEEGEDVDVTSEAAQVSIVMKKPLRARLVPRVSSL >Dexi9B01G0028300.1:cds pep primary_assembly:Fonio_CM05836:9B:30928392:30928778:1 gene:Dexi9B01G0028300 transcript:Dexi9B01G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLALFLAVSLLFAAAAHGCEPYCPGPVVPTPPVVPTPSHSYGRCPIDALKLKVCANVLGLVKVGLPQYEECCPLLQGLVDLDAAVCLCTAIKADVLGLHLNVPVSLNLILNNCGKICPADFTCPQ >Dexi1B01G0023380.1:cds pep primary_assembly:Fonio_CM05836:1B:28973588:28976962:-1 gene:Dexi1B01G0023380 transcript:Dexi1B01G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAAGADHRSSTATASLLPFCRSSPLSGASGGGLAADAQQMSARWAAAAAARPVPFTPAQYEELEQQALIYKYLVAGVSVPPDLVVPIRRGLDSLATRFYGHPTLGYGPYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVPQSQPPATAPVVSAAAPLAAATNGSSFQNHSLYPAIAASTGGGGGASASNISSTFSSPLGSSQLHMDSAASYAALGGGTAKDLRYNAYGIRTLADEHNQLIAEAINSSMENHWRLTPSQNSSFPLSSYPQLGALSDLGQNTVSSLSKMDRQPLSFLGTDFGGVDSVKQENQMLRPFFDEWPKARESWPGLSDGNNNLASFPATQLSISMASSDFSVASSQSPNDD >Dexi2B01G0024790.1:cds pep primary_assembly:Fonio_CM05836:2B:34111629:34112062:1 gene:Dexi2B01G0024790 transcript:Dexi2B01G0024790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKAASFLSSLIGGGGGGEPAATVKSILIYPIKSCRGIAVAQAPITATGFRWDRQWVVVNGKGRAYTQRVEPKLALVELPPEAFTDDWEPTADDHMALQ >Dexi1A01G0023160.1:cds pep primary_assembly:Fonio_CM05836:1A:29775808:29779309:-1 gene:Dexi1A01G0023160 transcript:Dexi1A01G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSAATKLHIPPSAARRPTFLPFVAVFLLCSASYLLGVWQHGGFASSPSASHAVSISTAVACTTTTTSPRKKATRTRSRSPSRSRPLDFSAHHAAAADESLAAAASSSDSSSASPSRRYPACPIKYSEYTPCEDVERSLRYPRDRLVYRERHCPASEKERLRCLVPAPPGYRTPFAWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPNGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWQLYHGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWTKVKEAGDIAVWQKPYNHAACKASRKADKSPPFCSGKNPDAAWYDKMEACITPLPEVTSENDVAGGAVKKWPQRLTAVPPRVSRGGIKGVTAKSFAQDTELWRKRVRHYKSVISQFEQKGRYRNVLDMNARLGGFAAALAVAGDPLWVMNMVPTVGNTTTLGAIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDRILLEMDRILRPEGTVIIRDDVDMLVKVKSVADGMRWDSQIVDHEDGPLVREKILLVVKTYWTAQHQDQ >DexiUA01G0016220.1:cds pep primary_assembly:Fonio_CM05836:UA:34560198:34561258:-1 gene:DexiUA01G0016220 transcript:DexiUA01G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVRLSSSSSLSLLLLALLPILTSACDRCVHRSKAAYYTSALSLTGGSCGYGAEAASLGGGGFLAAASPALYRGGVGCGACYQARCRDRKLCAAAGARVVVTDRARTNRTDLVLSSPAFAAMARPGMAARLAKLRTVDVEYKRVPCEYKHRNLSVRVEDKGHDAPGGDLTIRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDNDGPAWSTSQAPPGPLQLRLVVTGGYDGKWVWAEREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHDWH >Dexi1B01G0002960.1:cds pep primary_assembly:Fonio_CM05836:1B:2421567:2423522:-1 gene:Dexi1B01G0002960 transcript:Dexi1B01G0002960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWQDPRWGRCYESFSENPELVQNMTSIISGFQGEIPAGGNLGVPFMAGQRNVAACSKHYVGDGGTTKGINENNTVATFHELLSIHMKPYYNAVIRGVSTVMISYNSWNGGFVISDWQGLDRITTPEHADYPLSIKLGILAGIDMVMIPFTYTDFIGNLTLLVNNGTIPMSRIDDAVRRILRVKFTMGLFENPYGDHSLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDKPLLPLPKKAYGSILVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKHTVFPGTDVVYSENPDASFIQQNKAKFDYAIVVVGEPPYAETFGDNLNLTIPAPGPAIIGNVCGSIKCVVVLISGRPLVVEPYMETIDALVAAWLPGTEGMGVADVLFGDYGFTGKLSRTWFRSVDQLPMNVGDAHYDPLFPFGFGLETHGSAY >DexiUA01G0019470.1:cds pep primary_assembly:Fonio_CM05836:UA:40638974:40642928:1 gene:DexiUA01G0019470 transcript:DexiUA01G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAALNLRREFRPARRRRRRGVEGCSAGEDRRKKKMSVSAGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLKHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDATGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHFVAMVVPSSLSPFLSAHRLAAVHPHLLRATNPTTKPHLASLVVRCAATTEAPRPGPPPLPPPRLVRFPALDRHAARANRLRFARKLLTLLLSKPRHFLPLRVLRRCRRFLGLPRRGRPLIPMVLRYPTLFRLFQAPTSLPLSPSFSTLAVGLTPAAEALAADLAALRATSSGADALADKIHRILLMTTRRSILVNRLAHLAPDLGLAMDFRGTLCPRHPDLFTLVHTSRGHVLQLTDPLPPSPPPLPSLRPTASPDRLIDRPRRFPHLPLRRGLNLRRTHRDYLLRFHSRPEVSPFEPLNEGASTEMLERRACAVVREVLGMTVEKRTLVDHLTHFRKDFGLPNRLRALLVRHPELFYVSVKGVRHSVFLVEAFDDDGRLLVQDDMLVGRDRLEELVREGKRMRRARKKGVLAFDGDSDEEENDNEVIEEGLLEVDDEFGDLFEDGIIGDDWEQVADESISEADEELDAESDAMEEFWVNKAVAEGLVGSSNEPDVW >Dexi2A01G0035540.1:cds pep primary_assembly:Fonio_CM05836:2A:45162127:45164198:-1 gene:Dexi2A01G0035540 transcript:Dexi2A01G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPNPIDGLRLSVELELELPPSPGGSAPFDLAAAVCSHGLFMMAPNRWDPAARALVRPLRLASDRSVSVLARISAHPARPGAALLVAVQGAGDLSSRDQDYILEQVRRMLRLSEEDGAAVAEFQTMHAAAREAGFGRIFRSPTLFEDMVKCILLCNCQWTRTLAMATSLCELHSELQCSSSIEDFLSRTPPIREHKRKRSKSQSVRVKLETRFAEDKFEGPTLASGTSNDLTHSETDEDLSSLPSVASETGGPCDSLASLDTLELSLNNVSGLEECIGDFPTPEELANLDEDFLAKCCSLGYRAKRIVMLARGIIEGKVCLEKLEKMCKISVRPAEEISTIESTYERINTELSAISGFGPFTRANVLMCMGFNHTIPADTETIRHLKQIHKRSSTISSVYQELDKIYGKYAPFQFLAYWFELWGFYDKQFGKISEMEPSNYGLFTASHLKKSKKKKTALDSYS >Dexi5A01G0024740.1:cds pep primary_assembly:Fonio_CM05836:5A:28566871:28570430:-1 gene:Dexi5A01G0024740 transcript:Dexi5A01G0024740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTPRPWPLRADAARLALLAFAFSWLVGAAASAGGGGGDVLRRAQRPEFAGWMAGVRRAIHERPELAFQEHETSALVRRELDAMGVAYRYPVAGTGVVAAVGTGSPPFVALRGDMDALPLQEEVEWEHKSKEAKKMHACGHDAHTAMLLGAARILHERRHELQGTVVLLFQPGEEVGIGAKKMVEAGAVDNVEAIFGFHVTVILPTGVVGSRSGPLLAGCGFFEAVITGVGGHAAIPQSSVDPVVAASSVVLSLQSLVSREADPLDSQVVTVTRFLAGGALNVIPGSVTIGGTFRCFSGEGFTRLKRRIEEVVVAQAAVHRCAASVDFGVGGSPLLPPTVNTASLHDHFEAVAADTVGVANVRAAMRPCMGSEDFAAFAEAVPESYFYFVGIRNEGVGAVHDAHSPHFLVDDGALPYGAAVHANLAEGYLRRRRAASSGPGDDSSHDEL >Dexi3A01G0000250.1:cds pep primary_assembly:Fonio_CM05836:3A:259593:263459:1 gene:Dexi3A01G0000250 transcript:Dexi3A01G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSSPTATTSPSDPPLPTNPKPFLASSARSLFAAARRSPVSTLVVAFFFLALFMYGEDVRTIAELSVDDYLYPDADLYNVSGLPPLLLPPPTCDLSAGRWVFDNISTPAYREKDCTFLTKQVTCLANGRPDDTWQYWKWQPNHCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGSFIVFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDQRIISPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRSWSQHDEVPRIEAYGRVIKTWSDWLNHNIDPARTSVFFMTISPIHNSPNNWGNSGGIKCVKETLPYQNYSQPLDLYHDLRIFHLVVNVASSMEKVPVTVINITRMSDYRKDAHTSLYTMRQGKLLTPKQKADPEKFADCIHWCLPGVPDVWNQILYTKILSKSSWRSNSAPPPLQSLPLPPQ >Dexi9A01G0031030.1:cds pep primary_assembly:Fonio_CM05836:9A:35959784:35961205:-1 gene:Dexi9A01G0031030 transcript:Dexi9A01G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARYDVEKGGEGSYPPQPPPQREGEREWVPWFVPVVVAVNIAVFAVAMYVNNCPAHASSLSPRRGGGGGSCVARGFLHRFAFQPLRENPLLGPSSATLQKLGALVWDKVVHEHQGWRLLTCIWLHAGVVHLLANMLSLVLIGLRLEQHFGYVRIGIIYIVSGVGGSMLSSLFIRNNISVGASGALFGLLGAMLSELFTNWTIYSNKAAALVTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFILLMRPHYGWMQRYVRPSEVKYTTKKYLPYQWALLAVASVLAVLG >Dexi1A01G0030500.1:cds pep primary_assembly:Fonio_CM05836:1A:35786866:35789368:1 gene:Dexi1A01G0030500 transcript:Dexi1A01G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEFQAPPDVFYNESEARKYTTSSRIIEIQSRISERALELLALPNDGVPKLLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTFAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSINTSLPKAKGENGEMCSDDDNDDGSSDEDGDRTVGIYERNRSKKRQKTKKNGKGKDWLLKKKEQMRRKGHDVPVDTKYTGRKRKTYF >Dexi9B01G0005600.1:cds pep primary_assembly:Fonio_CM05836:9B:3315843:3322760:1 gene:Dexi9B01G0005600 transcript:Dexi9B01G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EELAKMGKAVVLAVNGRRYEAAGVEPSMTLLEFLRTQTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTQDGYHPVQQRLVGFHGSQCGFCTPGMCMSIFSALVKADKEDSRPAPPMGFSKLTTSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDERADVSKLPGYNSGAICTFPEFLKSEIKCTMQNTNSSPVAVSNDNWYHPKSIEEFHRLLESNWFDENSVKIVASNTGSGVYKDDDLYDKYIDIKEIPELLVINKSSKGIELGSVVSISKVIEVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMAQRLQFASDIATILLAVGTTVTIQVVSKRLSLSLEEFLQQPPCDSRTLLLSMFVPEWGSDGITFQTFRAAPRPFGNAVSYVNSGFLARTSVDAASGEHLIKDICLAFGAYGVDHAIRARKVEDFLKGKSVSSSVILEALQLLKETVTPSGDITHPEYRISLAVSFLFTFLSSFASSFNEPVKVNVTNGSYTTGAGSTVYSAEKHLKVDSNDLPIRSRQELVFSNEYTPVGQPIKKTGAELQASGEAVYVDDIPTPKDCLHGAFIYSTHPHAYVKGITFKSSLASQKVITVITAKDIPSGGQNIGSSFPILGDEALFADQLAEFAGQNIGVVIAETQKYAYMAAKQAVIKYSTENLQPPILTIEDAIQRNSFFPIPPFLASKPVGDYNKGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCVTIYSSAQLLEITQSVVARCLGIPFHNVRVITRRVGGGFGGKAMKAMHVACACAVAAFKMRRPVKMYLDRKTDMIIAGGRHPMKAKYSVGFKSDGKITSVHLDLGINAGIAPDLSALLSSTIIGGFKKYNWGALDFDIKFCKTNVSSKSTMRAPGDVQGSFIAEAIIEHVASTLSVDTNTIRRKNLHDFDSLAAFYGESAGEASTYSLVSMFDKLASSPDYQRRAAIVEHFNSNNKWKKRGISCVPITYEVSLRPSPGKVSIMNDGSIAVEVGGIEIGQGLWTKVQQMAAFGLGQLCPDGGECLLDKVRVIQADSLSMIQGGFTGGSTTSEISCEAVRQSCTELVERLKPIKDSLEAKAGTVEWSALIAQASMANVNLSAHAYWTPDPAFKSYLNYGAGISEVEVDVLTGATAILQSDLVYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYATNSDGMVIHDGTWTYKIPTVDTIPKQFNVEMINSAPDKKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANSPNTFQMDVPATMPLVKELCGLDIVERYLQSVSTNPTTVKA >Dexi2B01G0004630.1:cds pep primary_assembly:Fonio_CM05836:2B:4212410:4213229:-1 gene:Dexi2B01G0004630 transcript:Dexi2B01G0004630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIGYVMASYISCNKEMETVAEALLREMQLDEEYHQFLPPSHLAKFVESKPYWTSPICGFTHIPDGAIPSGDMLRFQHCWDKLRVLKLSRCTFNFQSPPFICCHSLRFLWLNHCQGTQTSTDRGARKEEDVRRCFQRLWVLDVRHTRCDQILSAKMLDLMTQLRELNVIGAQHWDMGQLQGRLPNIRKLRVKKSRHSCSCSENDLSFSQANKLELLDFSGNREIISPMKSLSVQRGMH >Dexi9A01G0007700.1:cds pep primary_assembly:Fonio_CM05836:9A:4507007:4507856:1 gene:Dexi9A01G0007700 transcript:Dexi9A01G0007700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIVLTSLFKFTSAHLQVGGDREEAQDFTMTMEQVFRHCDKDTLKMAMLRHEATFRQQVHELHRLYRIQKLLMRDLNRELKSQRNLSTSPNGGSTEHSRGGALAYEHRYAAARGPGGYIAVATPTPRTALNFDVVAPAAVEYVRSAVEEEEDDEAEEETDDDAELELTLAVGGGGAKKRYGGEHPSGGESLSSSSTESDVLTASGREWCQARGTPYQKRRPGAGMEVVQVEDGVGMQAPPPLLFHWLSLKMA >Dexi9A01G0005560.1:cds pep primary_assembly:Fonio_CM05836:9A:3091508:3092154:1 gene:Dexi9A01G0005560 transcript:Dexi9A01G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRALLLLAAAVAAALVGSASAQSGCTTTLISLYPCLNYISGNVSTPPSSCCTQLATVVQSNPKCLCAALSGDSSTSLGGVTIDKNRALALPQACNVKTPPASKCNSAGGGNSPSAATPSSPSSGTGVPASAGEGTGSKATPTSPYLTSSGASIRGAVGLVLAFAAVAMYAV >DexiUA01G0023700.1:cds pep primary_assembly:Fonio_CM05836:UA:48453425:48454528:-1 gene:DexiUA01G0023700 transcript:DexiUA01G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGFFFPYDGSSYDPYAHNRAAPSYGHLPSSYPRQPTTACGHHLYSDPYCPAASRAAMGSHCTGDSFSPADACWDAKLAEVVQMLRAAANRKPPRPRPLSIPINIRGLDAAAEPETEPADRTTEVLTPAVALVAAEKQKGGPSVEEEEAAAARGFLARRSVRAVRRVEWETAAVGRIVAEKAAALRENGRARLAAGEVLMKMLLRLDGVRGAREYRRQVTRRLLALQDAVDALDPMAAPPSPEAGAHEAEPEAEVNEERETSPGDTVLDVCEPQDSDAEGEWEMVAEESADAPPRQEPPEQEVTTAAEAGAPGGVDTAGLAEMVAALCEQSAQQSAVISALTQRVDVLERAVRQTEKEVER >Dexi5A01G0005880.1:cds pep primary_assembly:Fonio_CM05836:5A:4363298:4363804:1 gene:Dexi5A01G0005880 transcript:Dexi5A01G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDLAVAPMSAICSVTALRGLGVNDLTSLEVKTVQVGYNEGVEILRASLQSKTVLTDVFLRKNMGKQDDTAPSNNMKLKATR >Dexi5B01G0013110.1:cds pep primary_assembly:Fonio_CM05836:5B:9314604:9315180:-1 gene:Dexi5B01G0013110 transcript:Dexi5B01G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFPREIERDSICRVILLWQQASTWTVDSFDESTGTYTSANAHRWCEMRHGSNHRIP >Dexi4A01G0007620.1:cds pep primary_assembly:Fonio_CM05836:4A:5754453:5762779:-1 gene:Dexi4A01G0007620 transcript:Dexi4A01G0007620.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVAPRRRPPRAAAADASPSSSPRKPGEDAPPPAGGTPPAAAVAAGVAPSEQARKALCVRSPFDGDEAVGRDPWLPSRVARWAVVGDVRKKHKKGQSQLPQPQQQQPEPAPPVEQNPRVPPGCKGFWEQLEPYFRDFTDDDFEELVAKHQFCPTQIDPCFLIPLVGSGKELGENSDPSHAPVADEGSDLHSNLGLHDEELARACRTSFHPICARESRLQIEIWGKFGNDNVEMRAFCAKHSAIRGISSISELDSRQVELHDGKVVTRKEQQVRFTRSNKDKFVNDAISTSSCSLNKSQAAEVAMSPSIVGSAENQEISQSTDMVVDQPTADGNLISNPEDVSGVLRKLVDPGKVGVGDSESQLGLHSESLEVAINPETTAFSPGLKLKKVKWLHNLVHVPSVQKEGSLAPQGTLLGTESKSSTAAELQSIQEESISSIDHHCPENGNANKGGLVQNGCHSCPDPDVNKVSGRRLHNMHGYCGYIHPFVERRVQDLWGNILEQTVQEQTVQENDHHANTGAVDQVREAKSSDIIELSPDDEIEGEILSESIFQSSFYLAEDLILKVVQNISYELDSVSKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSIAPSSRVRKDSGNKVTFARQENMPRANVGSSRISQLSSSAQAKDIPLSNSKVSEETNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKQAAVHLDCYQSLKYPTGPWICELCQEMPLDSVISGNQSDCNGAKAFLVQCGLCHGTSGAFRKTLKGQWVHAFCAEWLLETTFRRGQHNAVDGMEKVHKDKDTCSICHRGVGACLKAYRQQYGPEEVKSMKQMRVELELLRFLCERIVKREKVKKDLVVCAHDILAARRMTSVSSMRTSYYASGPGASSESATTSVNNKSYSGTMQRSDEITARSDDVTVDSTVTKKHTVRFSLHNRDTDRNTADSSTSTISYKRKLDDGESVAFKSLPEMPATALESEDVEKKPTDKKV >Dexi7B01G0020380.1:cds pep primary_assembly:Fonio_CM05836:7B:25700805:25705631:-1 gene:Dexi7B01G0020380 transcript:Dexi7B01G0020380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPARHMPSMIGRNSAAPVYGSSSALSLGQPNLLDSPQLQHALQQHHLLDHQIPATTAESSDNMMMHGGRSSGDPLGDEFESKSGSENMDGVSIDDQDPNQRPSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENSQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLREEIDRISAIAAKYVGKPMVSFPVLSSPLATASASTLDIGIGGAATYGAADIFGGVNVGAGEILRGAVQSDADKPMIVELAVAAMEELVRMAQLDEPLWNMPGIDGSSSETLNEEEYARMFPQGLGPKQYGSKSEASRDSSVVIMTHANLVEILMDVNQYASVFSSIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPGSVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSLHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSAEGRKSMLKLAERMVMSFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVTPKRVFDFLRDESSLAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQ >Dexi5A01G0013090.1:cds pep primary_assembly:Fonio_CM05836:5A:9777524:9782176:1 gene:Dexi5A01G0013090 transcript:Dexi5A01G0013090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTTCFEYFSLQLGPDPFHPLRTPTPLAFVPLLWSSLARFTDLLHHRGLTVARPAPGGVKLVAARVPAADGGGGQLTGSTEAMEGGGGLGGLRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVKFASEPVAMWYPEVPCYITPAEAAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGGGYILLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGTVLCLVGILTYVFHHHDGDEHSLHEHVHRKLVSP >Dexi7A01G0014350.1:cds pep primary_assembly:Fonio_CM05836:7A:24294702:24299050:-1 gene:Dexi7A01G0014350 transcript:Dexi7A01G0014350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCLGACGGGGLALPVALRRASSSSSCGGAPPRASVSCSAGGGKASPRGKENVWSVDNDRAAKEASRGPKHRRRRRPGGRRLPSPPPRRKGNDAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLCGNWKGVGAVFSPVTAEMEPVGVGSKEEYLYDCYTLSHIEKCFDSHNGSEIQRKTNWVPINPFGEAEKNITSYDGGNYDASSGKETADLPSYESFDLNRSSVLDEETFAMEPGIVFFEDGSYSRGPVDIAIGEYDESKYFLSPTYKFEQCIVKGCHNRLRIVHTIEFNEGGANIQIVRVAVYEEKWSSPATIHVEDFLTNCIYFLCSDTLVDIKPFSQRSRTKPSELTGSWKVYEVSATPIFSDEMQELEGSAPFVYLCMETVKKKTLPESSVLFGEEEMLDMQDVTVLWLPGGVTAYVDINEEGVLCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRRWYQSDP >Dexi2B01G0020320.1:cds pep primary_assembly:Fonio_CM05836:2B:30388345:30391241:-1 gene:Dexi2B01G0020320 transcript:Dexi2B01G0020320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRVCVVGGGGFVASWLVKLLLSRGYAVHATVRDPSDPKNACLKQMDGASENLHLFKADVLDVDTLTSAFAGCEGVFHPATPVPGGKIVDPENEIMVPTVKGTKNVLDACSATNVRKLIVVSSVAASCFDPNWPEGKLKDESCWTDKQLCKEAQNWYSLAKTEAEDIALEYGKKSGLHVVSICPGLVVGPLLQHVTVNTSSKVLLYIIKGGPDTLTNKFWPLVDVRDVNEAMLLVYEKAESSGRYICSLDQMDIKDIVALMRSIFPNYNYADKMVDVDYNVYTTSEKLKNLGWQPRKLEETLTDSIEYFEMVGLLKDDADGNHADFPIFTV >Dexi4A01G0012880.1:cds pep primary_assembly:Fonio_CM05836:4A:11769441:11769685:-1 gene:Dexi4A01G0012880 transcript:Dexi4A01G0012880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRTCLQWSPYGAQTIPGPSLMMTFWPCGEDGIMSLHELLRSMRCGRYNDRDLAELEEHERAMAEGQVPQNAVC >Dexi9A01G0019840.1:cds pep primary_assembly:Fonio_CM05836:9A:14729247:14729762:-1 gene:Dexi9A01G0019840 transcript:Dexi9A01G0019840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKLLAFLATAAAVLLLLAPSHAEARRHHKEQPEDPCRDAAGLLRHKDHRCSSPAVHGGGGTPAVMTVNGFEKGQEGGGPAACDGHFHSNHEMITALSTGWFAGGKRCHKPIRITSVRTGKTVVARVVDECDSRHGCKNNIVDTSKAVWDALGLDTDVGEVPVTWSDA >Dexi5A01G0032470.1:cds pep primary_assembly:Fonio_CM05836:5A:34988630:34988998:-1 gene:Dexi5A01G0032470 transcript:Dexi5A01G0032470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVLLVAMAVLAVARGEWPCCDTCGACTRSFPPQCLCRDTSLDGCHPKCEKCVESSEDVFYCADCITNFCKRRCTRAA >Dexi6B01G0003480.1:cds pep primary_assembly:Fonio_CM05836:6B:2873528:2879743:1 gene:Dexi6B01G0003480 transcript:Dexi6B01G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFSTSCPVLWLQQRKELPRNFLPPDAQDSQQRCQKGAGHFLIGDVDDGDEMSDGPAASLPASSLPDDEDILEQILLRLPPLPSSLPRASLVSKLWHRIISDPTFRRRFRTHHHKTPPLLGFFFESFGDGLGPAQLVFTPTLNAPDRIPTARFSCPRKGHFLGCRHGLALFNSGASSKAVVWDPLTNQQCSIEFPPEFNINHNVRIYFGAVLKADPGSSAFKFKLIMVFYSVFERSLHASVYESDSGKWGEIISQRPSPTAMAVIRMSEDGIPVLENVQALRTKDGGLGFAVVWKKRMQLWGKTSISGDVVTGVLEKTVELDQLLPLRPLSPETERQPSIVGYDEDTNIIFLWTNVGVFMIKLESMEFTKVSEDTCIPVLSSSNSSGRNYLAASSQLPTPTHKVDIVIGDVDDLATRVRSEGPASALVPPASSVLDDEDILGQILLRLPPLPSSLPRASLVSKLWHSITSDPGFRRRFRAHHHKAPPLLGFFFENFGNELGRPRFVFNPTLSAPNRIPPARFSCPSKGHFLGCRHGLALFNSGSSSKAVVWDPLTNQQCSIDFPPEFNVNHNVRIYFGAVLRDDPSSTEFKFKIVMVLCGVFERSLHASMYESDSGKWGEIISTATFSDCNMPSVLVGNKIYWLIRFHGGSRFLEYDVDRQAMAVIKMPEDNIHFPDGSHVQALRTKDGGLGFAVLWKKRMQLWGKTSISGNVVTGVLEKTVELDQLLPLRPPSTEMEEKLSIVGYDEENNVIFLWTNVGVFMIKLESMEFTKQ >Dexi9A01G0029350.1:cds pep primary_assembly:Fonio_CM05836:9A:34185959:34187630:1 gene:Dexi9A01G0029350 transcript:Dexi9A01G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGGVSPRPRTSTSSRRPCMSATPSRAGSGAFVYDGMRAMPLSTSAANFTRSLRKAASFAHKKAPPSAADAPPPRRALSSKENTTSSNAGEASTMMSPRRSMPEPGAASRGPWEPTSRRRRSASAAAAATTDDTAAGKACALREMLSPARRKEEAEKEEAAHRARMLTARLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLPLLASWESLSKANADATSDLASVLSTACTNLPLAAGAQADVESMREAVSSCVGTVHEIEAVIDMFYATAGATSGTLGELARTIQQEVECLEEATRLSSIVAGLQMKEVSLRANLIQAKQRLHLGPAAIVAPAFATSGWCF >DexiUA01G0024140.1:cds pep primary_assembly:Fonio_CM05836:UA:49746310:49748177:1 gene:DexiUA01G0024140 transcript:DexiUA01G0024140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEARPSNFIRQIIDEDLASGKHTTVHTRFPPEPNGYLHIGHAKSICLNFGIAQDYQGQCNLRFDDTNPVKEDIEYVESIKNDVQWLGFNWSGDICYSSDYFDQLYAYAVELINKGLAYVDELSAEEIREYRGTLTAPGKNSPFRDRSVEENLALFEKMRAGGFEEGKACLRAKIDMASPFIVMRDPVLYRIKFAEHHQTGNKWCIYPMYDFTHCISDALEGITHSLCTLEFQDNRRLYDWVLDNITIPVHPRQYEFSRLNLEYTVMSKRKLNLLVTDKHVEGWDDPRMPTISGLRRRGYTAASIREFCKRIGDNTIEIASLESCIREDLNDNAPRAMAVIDPVKLVIENYPQGASEMVSMPNHPNKPEMGTRDVPFSGEIWIDRADFREEANKQYKRLVLGKEVRLRNAYVIKAERVEKDAEGNITTIFCSYDAETLSKDPADGRKVKGVIHWVSATHALPVEIRLYDRLFSVPNPGAAEDFLATINPESLVIKQGYAEPSLKAAEAGKAFQFEREGYFCLDSRHSTSEKPVFNRTVGLRDTWTKVGE >Dexi1A01G0032430.1:cds pep primary_assembly:Fonio_CM05836:1A:36964666:36972612:-1 gene:Dexi1A01G0032430 transcript:Dexi1A01G0032430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLIRSNFPNPNPSSRRRGEEERACQPLAALHPARWPLLPCAMTSPPSSPKAAAAAAAKAADSSVSSGSPAQQDEINERQAKEIRRASEALKRAVHTQPTPVRASPPDLHAAMMFQEEAALLNLHAQAVAVHNIHSLVTIVLDVSSGNFNRWRDQCLLTLGKFSLQDHQQPADPAAALPQQQASQSCCCSRSYQCLFRYPLNPRVEPTK >Dexi9B01G0011960.1:cds pep primary_assembly:Fonio_CM05836:9B:7879536:7883117:-1 gene:Dexi9B01G0011960 transcript:Dexi9B01G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDPMLDEPPAKRREVDPIAPTKHPWNLPAGIDLPHCSCNPEESYVAIPREANLPIDAEARSAVARVSLAVIAVASIDADGDQLWKASGFIVEFDEASMVGTIFSSATVANMTCCSRRLRSTERWTAFFNLCQEMQKATFLNTYTAIGGPAINRNGRVIGMLFQSVTCTPFLPANIIIRWWEHFKNTGNYCRPTIRVLGVNLHNAQSSPWVDVPTTLHEGLDGLLVELVFP >Dexi4B01G0014410.1:cds pep primary_assembly:Fonio_CM05836:4B:15332429:15336551:1 gene:Dexi4B01G0014410 transcript:Dexi4B01G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFSSSSCVPRRPPPSSFSPTPRTAALLISPPLRCGARRRAVTAAASLHLGPGEIAELARNEVLIAATVASAIGQLSKPFTSGRNGGAGAGLDLKTVFRSGGMPSTHSASVVAVATSLGLERGFADSVFGMPVVFAAIVMYDAQGVRREVGNHAKVLNKFWVLRETLPQDSDVDMASEFVSVTEEMVSSSHSNASPSPRRSSMNESPWLKGLRSSEPEIADLTELSSSYIEKDYQLSESVGHTELQVTVGALLGFIVSLAVYATL >Dexi1A01G0026590.1:cds pep primary_assembly:Fonio_CM05836:1A:32435326:32438291:1 gene:Dexi1A01G0026590 transcript:Dexi1A01G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAMASAAVVLAMLLSTAAVAAAQHDYGDALHKCILFFEGQRSGRLPPDQRVRWRRDSGLHDGAADGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHACWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDSDPAYSKRLLDRAVSVFEFADKHRGPYSSSLHAAVCPCYCDYSGYQDELLWGAAWLHKASRRREYREYIKRNEVVLGASDAINEFGWDNKHAGINVLISKVLVLRMMPEQASSTAAGSQRRSLFREEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGSSNMQHVTQLSFLLLAYSNYLSHAGGRVSCGSSSASPAQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRASSIPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSDASDAFPDARAVFQQSEPTTYINAPLMGLFAYFSAHPNPAESGGD >Dexi2A01G0011870.1:cds pep primary_assembly:Fonio_CM05836:2A:13799485:13804271:-1 gene:Dexi2A01G0011870 transcript:Dexi2A01G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGTFALYSLLCRHAKINTIPNQHRTDEDLTTYSRHTYDEKSLAAKIKRWLEGHQLRKNAILIIVLFGTCMAVGDGILTPAISGTEALFADLSYFPVQAIQYTGQAAYIAQKRSVSHAFYYSLPDSVLWPSFIVATAAAIVASQATISMTYSIIKQALALGSVANVIVILTGTAVIMVMLVTTFLMVPIMLLVWRSHWILVVLFTALSLVVEIPYFSAVVRKIDQGGWVPLVFAAAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNSLMLFVRLESMMEEYTDSDEYSTRELNQAGNANPRINGISTSSNMELSYTSHDSIIQVESPNHTGNSQVMSSSQTYQTVGDEVAFLNACRDAGVVHILGNTIIRARRDSGFLKKIAINYMYAFLRKICRENSAIFNVPHESLLNVGQVFYV >Dexi3B01G0014560.1:cds pep primary_assembly:Fonio_CM05836:3B:10496982:10499073:-1 gene:Dexi3B01G0014560 transcript:Dexi3B01G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRSVASVLLALLFLRPVARAEAAGDAADIVVDDDGGPIHFDVSPPVVPRAAMERREHFRALEAKDMLRHRRMTSSSSSPGGDDRRQLARETGKVPEVMLDTEMFVELPMRSALNIAHVGMYLVSVRFGTPALPFNLVLDTANDLTWISCRLRRRKGKHYGRSSPSASAAQTMSVGGDAAAPASAPAKKERTKNVNYYRPALSSSWRRIRCLQVECGKLPYTTCNADNPASSCSYFQKLQDGTVTIGIYGREKATVALSDGKMAKLPGLVVGCSLKEAGSSVDAHDGVLGLGNGEISFGVMATSRFSNRVSFCLLSTDSDRNASSYLTFGPNPAVMGPGTMETAMIYNEEITQALGFQISRIVVAGEPLDIPPEVWNDPIHGGGAILDTGTSVTGLVAPAYNAVTAALDRYLAHLPRVTDVAGFEFCYNWTFTGDGVDPAHNVTVPSFALELDGGAVLEADAKSVLIPEVERGVACQAFRKLPEGPNMIGNVLMQEHIWEFEHKHGIIRFRKDKIH >Dexi5B01G0034110.1:cds pep primary_assembly:Fonio_CM05836:5B:34376589:34380203:-1 gene:Dexi5B01G0034110 transcript:Dexi5B01G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMAEEKKVPFGVPPGRDPVEMGTWLRTTVSGVRIPPRPQPSKGGRAFTFSLRLLRNKGGFNLLEFNSRSQPVNNMSPKLLSDLAREVNHRPYDPTNWERINRLLFGSDSSFPNAAYNSPLYCALQVCFFPRQTPPRRPVEANCAERDQEIGWIMERNAWLATTI >Dexi3B01G0009080.1:cds pep primary_assembly:Fonio_CM05836:3B:6257709:6259538:-1 gene:Dexi3B01G0009080 transcript:Dexi3B01G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLCEDQLCDLMDGCTCGRERAPVVKPGGNSPTDAGLPLPLKKRCASVDETCEAAGGGDGLLDAQMCTCFSESVSLDVKKGLQKCATFPTSSGEVQQADDGLKGSPAYERSVSLPPTLKLISAMKGGREKNGLASPTENRHIKWAPDVYDPPVTSVCHTVGSSYKRRSKPRKKEKNKQKKQKGKSKKNHQNAVQSASVMQIPDSGLKGVSTTGDQSSADNSGKHEAMIMDYGMSNQEAKCGSSFLRESVAKMHFSTAEAS >Dexi8A01G0007430.1:cds pep primary_assembly:Fonio_CM05836:8A:8390831:8392054:1 gene:Dexi8A01G0007430 transcript:Dexi8A01G0007430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHTTTTPSSTKPSSSSPASSVPPDRALLAAIESAVERCTAGAGDDDDNHRHVHEILFLVSNSPGAITFLSRRITSRLESPRAAAAAAAIRSLLLVHRLLRAGDRYFEQDLRSLWSSRDLRLDSPLCSCSPLSSSSAAGVAVATGACAFVHGYSAYLEERMQWVINQAGNLEPAARRKPPSPARNDGELSPPATTSSDDGDGEGERLVWKLGMCQRLLDLAMQLLPDNNTSSGCAAARSAFGIVLRESFKVYEAFAEGLDAMMTMMMTMRRRSSRKKMMRKTTMRVSAHEVLKKACVQTVDLKEFYHKCKRMSNASKGLEYPLVRVVTPAMASAVMEMDPTMPIPEEEEPPETEAESSSGGGGGLTFASKMETTISTVWVEFEEDDKLICSAGDDRSLESRAAKRS >Dexi2A01G0029980.1:cds pep primary_assembly:Fonio_CM05836:2A:40978756:40982343:1 gene:Dexi2A01G0029980 transcript:Dexi2A01G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGKGRGRGKPRAKAPEPEPVEEAVESEVEAEDAKMEEVAGGEAESGDSKEEESGSDAESMDAEAKDEAGENGAEEMVAEPEAKAGEAKAEAPKAEKEAKADASDASDDEGEDSGSEREADAKGADPKAKEEGSDGEDEEEGAETDGESEEETAEPGGENEDAGEDSDSEGDAAEESPPPSPPSRGRRRKRAATPEPAPEDDEAEETPTPSRRRRRRKSGERGDSPPALPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYTKANKSKKLPADGEILAVALQRQKNKRKGRKSINTPASPQATTSNRQTRDLPNGLMTISPGSSGAAALSSPVTTKVGVEIPAPIRRCYRSKNAEPLPVGPVKVVPRGMSMTKSVPRTCHRCGLTKSARVANCKNCEKNFCNSCINKWYSEMSRKDIKTRCPVCRGLCDCKKCALGQTKGTMRKESPTGEGKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISDVRVQVAENGQSESLYWLSLA >Dexi3A01G0029240.1:cds pep primary_assembly:Fonio_CM05836:3A:30689335:30694668:-1 gene:Dexi3A01G0029240 transcript:Dexi3A01G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGLGQVATVAQLTGVDATGLVSMILEAVRTVSQNREECRHLARRVMMIGDLMQKLQGWDMMQEPEIRRPLDGLDDTLREAYVLIVSCQNCSIMYRFFMGWKQAEQFREVQKKIDGLPVFRLSELRAATNNFSSENLIGCGGFGKVYKLFLGRETGTPLNWPLRFHIIMGIAQGIVYLHEYCDASIIHRDLKPSNVLLDSDMNLKITDFGLATILGGTMNEQGIRGTIGYVAPEYIILGRCSGSSKSDVYSFGVILLEIICAKRSSSPFHEDPSCTGLLDHAWKMWTAGRSLELVDPLQHDEPRIAEILRCIQIALLCVEPRQDDRPNMRDVILMLSCDSMRIPSPKRRGYE >Dexi1B01G0024260.1:cds pep primary_assembly:Fonio_CM05836:1B:29644401:29645122:-1 gene:Dexi1B01G0024260 transcript:Dexi1B01G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTARSFRGDRPALTGIRLRRIVSFLRRHRLYDTAHELERQTGAFFDAAHFRRLLSAQRWADSSSYALGFVNVGNCSHEADTLMVRILVLRVMCDLAAGRIHAIDALFERLYPSLDAQPDGHHLRRILLSMRSDRASEGHLEHSRQVS >DexiUA01G0011260.1:cds pep primary_assembly:Fonio_CM05836:UA:22627748:22630675:-1 gene:DexiUA01G0011260 transcript:DexiUA01G0011260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDDGFSAARLFSQGVSYTYDDVIFHPGYIDFPADAVDLSTRLSRRIPLATPCVASPMDTVSEASMAVATASLGGAAVVHGNTDPATQASILRAAKSRRLPFVSATRFLCPSSVPSAADFAGHAYALVTENGDASSRLVGVAAAADHKPGVPVSEYMTPAPRTASSAFDFEQAAAFLADEGLDFAPLVSDAGEAVDLITAQDVERIRSYPKLGKPSLGPDGRFVVAAAIGTREEDKRRLELLVNEGANAIVIDSSQGNSVYQLDMIKYAKKMYPEVDLIGGNVVTIAQAQNLIGAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHDVPIIADGGISNSGHIVKALTLGASTVMMGSFLAGSLEAPGVYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRSESLRLEVRTGAAQVEGGIHGLVSYEKKAF >Dexi1B01G0008160.1:cds pep primary_assembly:Fonio_CM05836:1B:6811672:6812370:-1 gene:Dexi1B01G0008160 transcript:Dexi1B01G0008160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALALGAAGTWPEAVVQCLLFLLAAGMLVALHSLPRRVALHLRRSSAGGGSSAAQSRRHFAKGAQLLARARAAAPKPPGPLARAAVAEADLAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPPLARSLEPRERGDALAKRAEIALALHRRRRLDQVAADLEEAVRLSPENARAHAMLGECYERKGLTAQALDAFKTAASIDPKLVSALDGLRRINGGDEGNESD >Dexi2A01G0008580.1:cds pep primary_assembly:Fonio_CM05836:2A:8637642:8637919:-1 gene:Dexi2A01G0008580 transcript:Dexi2A01G0008580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLIFSAILSDLTSRSLSFLIDKCLTMTAAAPAVEETLNSLQRLLHRAHVIVEESEERIVTNQAMLRQLNRLRKEIT >Dexi1A01G0011200.1:cds pep primary_assembly:Fonio_CM05836:1A:10065251:10065958:1 gene:Dexi1A01G0011200 transcript:Dexi1A01G0011200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLSARSRGAIPNAAAAAVSTEDAAGGRRGNGACYAIAACAVALLLFCALATTVNVRMASAFGGLALVAFAIAGCLAPSGSRGVGHAGELDGVSAAEATSADAEAAARAKRRLGMPKAAIDALPTFAYTVVVKGDGNIESGPSGVDADAIVEQCSVCLEDFEAGEMVRRLPACGHLFHVECIDMWLDSHRTCPVCRCNLLRSQRKVAKVKAAEPAPAAGEEEELPAQDALPPV >Dexi2A01G0028880.1:cds pep primary_assembly:Fonio_CM05836:2A:40021161:40024157:1 gene:Dexi2A01G0028880 transcript:Dexi2A01G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPGRLLFLLLLLLSSSSLFSSTAAEYAEYVCNGTTGNFTSGTAFAANLDRLVASLPGDAASSPTLFASASAGSAPGDTAYGLALCRGDITNTTACSSCLADAFARLRRVCGAERDATLYADLCTARYSGADFLSLAGGAGAGEDNSPVVNGMDTTNASTYPGWDATNATSRSFFLSLVGTLFGEMAMYGAYNSSRRLATAVMFINEQLPTVYGLAQCTPDLAPSQCWGCFQGVGDLNRKWYDGREGGRISGVRCSFRYEGYQFYQGTPNVRIGLHAGESSQDGGGANGKARLPMHQAHSRNSSKTEEALKLWRIEESSSEFTLYDFADLAAATGDFSDENRLGKGGFGPGKLPDGTEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCVQDEEKMLVYEYMPNRSLDCFIFDQQRGPSLDWEKRRRIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKELNPKISDFGIARIFGSNTTEANTNRVVGTYGYMSPEYASEGIFSIKSDVYSFGVLLLEIVSGKRNSGHHQYGDFINLLGYAWQLWREGRAFELIDPTLGECGGEVGAIMRCVKVALLCVQDAAADRPTMADVTAMLAAAGGASASSLPDPRRPPHFSLRLSRSGSDESEARTRSSTTRGSGSTNELTVTTIEDGR >Dexi5A01G0026320.1:cds pep primary_assembly:Fonio_CM05836:5A:29971278:30000093:1 gene:Dexi5A01G0026320 transcript:Dexi5A01G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGQVDPFLPPQQQAAAYVAPQGDYGQPQAQPAPRPPGCPYSSSASAPPVSSSYHSSPPDTSPPPVSSPPPASPPMEPLPSSPPPPAPLPPSPPPPALSPPPRDAPPPSLPPSPSPSTTPSPPQAPPPPMATDQPRVQPRVYPSPPPPSLPPPPPPTVSPPSPAPSTSPSPSPAPAAQAPAPAHVAAYSPPPPRIAPPPPPHYHVKPHSPPRSPVKSHNSTHAASGGGKNIEISREAATTIVALAGLAMLSFIGATIWFVKKKRRRIEPPAALPTQQPAPPPPPNNIPSSAGSSLASGHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRYDENEMFHMIGAAAACIRHSAAMRPRMGQYVTGLGRGPCLLQREEVVVAVAVGVDAEEVDKLEGMVKRAKRAMSSTADTTTQALVAEFLHGFQDVLQDLTEIDTSPHIDTAASEQTPELLLEAEQNIDANQEDQQEDEITTVEHASLTLEPMDEENDLSNNVLFDHPSLGLDENCDSGAPATENYDTTTAATDLIQPSECGAGVEAAILIVPGPAAAMDFMKVLDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYSECAMIMNVLRQRLGGARANWRHVYKTLSVIEYLLANGTERAVDDIIDNSSQIAKLVNFEYVEPNGKDAGLNVRKKAENVLAIVDDREKLQQVREKAAVTRDKYFGLSSTGVTYKSGSGSYSADSRYGITRSSKEADTYRGSHRGKEWSNSSKETIPDFTKSRQMSIGNTRSTTNHKSKKGEGHCGRNQNSLTSQLKSSSNIRSTSGDTNSQKVDNEDYFNPRGSSTSGTATASYNHVDLFGQSLMDDLVDTTESTSRALPNVGIASLPEVDLFANTDFQSANAQLESATGSHAKLTSVPSLYAAKKVDLSDVEVVGQLSDVSEDKGPAVPWFRGMTMDTGSGLQLQSMSGFPSSAGSMGGSGNFQQQQFGSFK >Dexi6B01G0016330.1:cds pep primary_assembly:Fonio_CM05836:6B:23594882:23596101:-1 gene:Dexi6B01G0016330 transcript:Dexi6B01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAATSVPDDSTSGTVQLLLRNFDSRTTVIRAHREDTLDSVLHRLFGKVAGVAGLRILHAGRDLPCGATIGELGLPRDATLHVSSRLRSTAHPQAWSLATEIANVARLAPSSWSLESLVRKFLNLLVVIEPGSSVMAVLADHLEIFVHSGAPVVLVQQYLSPPQRTEAERAIRCIMAPDRIFKGFTAPVLLEVCRSMFAAGEPKDDHGHDMLYTDLRRVVAKALSDPGWASARWLDVSRQWVAELVNRFAVDMARAVMEDISGATNCGFPASTEVATTTRNLFRFKIFWSVLLELELGLDEKDTPQLPWRATLSETLVSLLRSVDECMVRFETTSMPPMWTISPDSVWEILAVLDAWSSEQDARWLLRRALRATLAEHAAAVTALVLSAGREMDH >Dexi9A01G0044180.1:cds pep primary_assembly:Fonio_CM05836:9A:47793533:47794079:-1 gene:Dexi9A01G0044180 transcript:Dexi9A01G0044180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIKLFRTGDERDRVLESQRARFAPDEDVHEVAALDEAWRKMQYELETIRAELNATSKAIGRLKAAGNQEEAEKLMEATKETKERLAAKEAEVHETKIKLLMIGSIVH >DexiUA01G0016410.1:cds pep primary_assembly:Fonio_CM05836:UA:34949052:34954039:1 gene:DexiUA01G0016410 transcript:DexiUA01G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQIPRHVAPRYSMCILLPDEHDGLQSLQDKVASNPGFLMVMDHMPYGQHRVGEFRVPKFKLSFATSATNALQDLGVQAVFSAGAELPGMLEDDGSQEPLFLGDVFHKAVIEVNEEGTEAAAVTASVGFRGCRPLPPPAHFVADHPYDNAACIRDTATTPLCFSRVTPTPLDCTRSSLTPRGTNATIAADDPAHMDSSLRMFIHHRTDRPIELRFAMETAAAAARKHASSAGLRALSSRLLTELSSAAKNRAPGCVKRKNLVFSPLSIYAALSLVAAGAKGRTLAELLRGLGATSRDRLVKKVRRVVEGAVPDGAQQQQPGKPGSIPRVGFASGIWHDSTRALKPAFRDVAATYCRAAARGVDFLGKPEEARKKMNRWVAKETNRLIKSIVPKGSINHNTRLAVTSALYFKGKWATPFHRFSTLTRKFRRLDGTAFIGVHDGFKVLRMRYTASSQAATTRYSMVVLLPDADDGLWSLEDRVASNPGFLQEHLPFMVPRFKVSFTSCGMREALQSIGIETMFSPRRAELPDILDEEDAGGEPLFVGDVLHKAVMEVNEQGTEAAAATAILLMGSCGDPPDRQRRGVGFVADHPFAFFLVEEVSVKLDQRLLKIVRPYAHSKAISRDATPRDPRERSAPTRCCNQEEAGRPKP >Dexi6A01G0019720.1:cds pep primary_assembly:Fonio_CM05836:6A:27389082:27389672:1 gene:Dexi6A01G0019720 transcript:Dexi6A01G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPELQRPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDEAALRFKGAKAKLNFPPLAFRRADPAVAAPGQCGHHYHAAARIQLLHRQQSAESSSTAAAAAPSRPGGPVYHVQAGAGGGGARGHQEEEFPDLGQYAHILQSGDLDLLAVAGGLTPGQSSSSTTTASSSAAPPEEPPPRREQRG >Dexi1A01G0025210.1:cds pep primary_assembly:Fonio_CM05836:1A:31530718:31532300:1 gene:Dexi1A01G0025210 transcript:Dexi1A01G0025210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQQQQQQHAGSASGSASASSSSSGLHPLSSPSSFADTTHTKVFVGGLAWETNSDRLRRFYERFGDILEAVVITDRHSARSKGYGFVGASISLVTFREPESARKACEDPTPVIDGRRANCNLASLGRAQHPVPLGRPRSAGSYFGVSVPRGFYLGGYGQHRPLPLGYYQGFPAPQYRVH >Dexi3A01G0024200.1:cds pep primary_assembly:Fonio_CM05836:3A:19821320:19828069:-1 gene:Dexi3A01G0024200 transcript:Dexi3A01G0024200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDPGVGGAADAGEPIWDWGNLLDLVVQDDDPLLLPWDDAAVIGAADPTEAAAPPPLPAPLPQQQVEPEPEPELESEAGPVLPPPPLRVQGIGRRVRKRDPRLVCPNYLAGRVPCACPEVDEMVATAEVEDVATEFLAGARKKTKTAARRGKAAAAVGGGVAGGTVRAAAMEMKCQVPGCEADIRELKGYHRRHRVCLRCAHASAVMLDGVQKRYCQQCGKFHVLLDFDEDKRSCRRKLERHNKRRRRKPDSKGILDKEIDEQLDLSADVSGDGELREENMEGTTSEVLETVLSNKVLDRGTPAGSEDVLSSPTCTQSGLQNEQSKSVVTFAASVEACIDAKEENAKLTTISPMHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFVAMPQHMWDKLSDDAANLLRNLVNSPNSLLLGKGAYFIHVNNMIFQVLKGVYALIP >Dexi9B01G0001180.1:cds pep primary_assembly:Fonio_CM05836:9B:674951:676132:-1 gene:Dexi9B01G0001180 transcript:Dexi9B01G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLDGLSTSDAAAGMDRGTPRSTIPSPSITIRRPNGMLRPPENLSASANKPDPGGLSPAMWKEKEHSGRLLQANISIDQKLVMSKPPAVVKAADGRSERNDKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKAENHKEQPRESNINYAIDSLNLKSSPPPLAQPVDDGKSLMASEKKRKIHETNGYLQNVHDLRPTKLPRPALPNNHVENGTASHVAAPLSSVKPEAVTIEKAERLHKKEEKVNGKQQAVEPVAASENGAPPRKSPHPDCKYLSQIYSIPEAPQMMEWAEHEAEDWLFNQGSVQSRKTSSEPETDGAPKVWAQALKIDPAGIIALPYVIPY >Dexi7A01G0016760.1:cds pep primary_assembly:Fonio_CM05836:7A:26596716:26597505:1 gene:Dexi7A01G0016760 transcript:Dexi7A01G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSAFAPAVAASRSFTFSATAYASPLPTLHPAAASSGVRNQQRPSTATFCLQPPSGHGAATRLYIHGRHHISAHITNLKAFVDLFPSPFLNLGLSFRTTQENLRSAFEKFGQVTEVHLVMDRVAKRPRGFAFVSYAGEEEAKNAIEGMHGKYLDGRVIFVEAAKRRPL >Dexi5B01G0021160.1:cds pep primary_assembly:Fonio_CM05836:5B:23469390:23471492:1 gene:Dexi5B01G0021160 transcript:Dexi5B01G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALELFYVLGDLMAMWLVWRALEWGWLRPRRLGRALHAQGLRSTAFRFPSGDLAEETRLLAAQRAKPLPLSSAHAITARVEPLLHKAVNEHGKISMVWEGPTPTVIISDPDLVREVLANKFGHYRKHELPSNFVKMIGNGLVNHEGEKWAVHRKIINPAFHLEKLKKMVPAFTSCAIELMGRWEDLIGSDGNAREIDVLPELQDLTGDAISRAAFGSNLILPLHMFSYLPTKLNRRVKRNAREVEALLKTIITKREMALRNGHADDTDMLGLLMLSNMKESQESGSSKPMMTMDDIIGELKVFYFAGMDTTSVTLTWTMIMLSMHPEWQERARDEVLHVFGNNQPDHNGVSQLKVVTMVLYEVLRLYPALTFLARKPYKEVELGGVTYPPGVQLLLPILTIHHDSDVWGEDVDEFRPARFAEGISKASKDGTGFFPFGWGPRRCIGQNFALLEAKIALSMILQRFSFELSPSYTHAPFRVSTLQPDHGAQIMLKKI >Dexi9B01G0039440.1:cds pep primary_assembly:Fonio_CM05836:9B:40122961:40123861:1 gene:Dexi9B01G0039440 transcript:Dexi9B01G0039440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNRSDAHLSPEDEAAREAEVREYFDDAAPKRHAKPSRSEHSAVYADALVPDDSHPELDKFQDLEAHTERLVYEGSKVEEEFVETEYYKDLGGVGKQHHTVTPGSLRLSRFIKMDRDQGASFTLSEDPDAAERHASCKGNPATNEWIPSADTVYPESDKPNRSDS >Dexi4B01G0019410.1:cds pep primary_assembly:Fonio_CM05836:4B:21621694:21629466:-1 gene:Dexi4B01G0019410 transcript:Dexi4B01G0019410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKARPPCSPGLPRRPDHRPERDASRATYRDAHSEAGDDEGGRSEEGSNETRSEAASRTAATEQRSGGARPQKIFSQTTGPDGLRKSKSDSKAIASVLAPPKDVVDLQVEGYGNVNIFTYDELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSRQVAVKELNPEGFQGDKEWLIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPTREHNLVDWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFETVQNMPECQDILLQNSITGAVTLYEVPKEPVESVEKEKAKLEPEVKSAAVPPVNGKPVPQSRRTRPGNGRSKSEPSLECKMYIPSPDSDGQQLGLEALASPSINGSIQDPPDEDLYKI >DexiUA01G0019030.1:cds pep primary_assembly:Fonio_CM05836:UA:39777753:39780990:-1 gene:DexiUA01G0019030 transcript:DexiUA01G0019030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGLPRFHLAGTSNGFHPLARIGRSSFVAGFSTDFISTRFHVKCGLKSYHTKSSYVISTAGGKIASNQVTHKVPIRLGSKTFPTDLILLGMEGIDIVLGANWMTQHKVVLDVAERVVEINSPTHGPSVLYLPQRTCVDACAYTMVETQLKDIPVVCEYADVFPDDLPGMPPDRDIEFVIELQPGTAPISKRPYRMPPNELAELKIQLQELLDKGFIRPSVSPWGCPAIFVKKKDHSLRLCIDYRPLNAVTIKNKYPLPRIDILFDQLASARVFSKIDLRSGYHQIKIRPCDIPKTAFSTRYGLYEFLVMSFGLTNAPAYFMYLMNSVFMSELDKFVVVFIDDILIYSKNEEEHAEHLRFVLQRLRDHQLYAKFSKCEFWLESVKFLGHTVSKDGISVDPTKVQEVLDWQPPTSVHEIRSFLGLAGYYRRFIPDFSRIAKPMTELLKKGVKFEWNDKCDEAFHTLRRHLTSARLHQAL >Dexi3B01G0026540.1:cds pep primary_assembly:Fonio_CM05836:3B:21753582:21755964:-1 gene:Dexi3B01G0026540 transcript:Dexi3B01G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPAPGGRWADLPEDIALAIASRLQEADVCALGGCSRSWRTACDANCVWERLFRCRWPAAAAEAAVASRVQGWKALYINQHRRMGVAVSNVIESVGSSLNNGSLESEYYLKAIADLALIADIGFLDVQFFLFSRNHSAIINLVGLHYSIASLHVPPTEVSKALQARQVAGRKVRVNLLKLGRWSYGFRLPDEHESRKISLSELTMAEGAEILAILNRGAVHEVFRLRISLADVDK >Dexi8B01G0016700.1:cds pep primary_assembly:Fonio_CM05836:8B:27832398:27833327:-1 gene:Dexi8B01G0016700 transcript:Dexi8B01G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGTLLPKLGQLLLGEYNLHRGAKKNIKFLREELQSIQAALHGIGEVPLDQLSEPVKIWANQARELSYDMEDIVDTFLVRIQGPDPLRKKGYKKFFKKMSDMVTKAKTQHEIGKDINDIKERVKEVAARRQRYKLEDITSAKTTGLDPRVASLYTKVADLVGIDKAREKLILRLTKGDDDVVASKQRIVSVVGLGGLGKTTLAKAVYDKLKEQFDCTAFVPVGRNPDLKKVLKDILIDLHNHFNLDILDERQLINKLQEFLENKR >Dexi6A01G0013260.1:cds pep primary_assembly:Fonio_CM05836:6A:20313720:20317962:1 gene:Dexi6A01G0013260 transcript:Dexi6A01G0013260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSTRSQIRACWASQASRRPLPPRTRVSVPRRAGASLSVRASAAAAADGDAVTVRRFPPAPSRAGRLEEGVEKIMILGAGPIVIGQACEFDYSGTQAYKALAEEGYEVVLVNSNPATIMTDPGLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLAESGALGRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGVGTTLEECLDIADDIGEFPLIVRPAFTLGGTGGGIAYNRDEFEDICRAGLAASHKQQVLIEKSLLGWKEYELEVMRDMADNVVIICSIENVDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWKKIKYSLRVPNPDRIHAIYAAFKKGMGVDSIHEISFIDKWFLTELKDLVDVERFLISRSLDRLSKDDFYQVKRRGFSDKQIAFATSSSESDVRSRRLALGVIPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGFETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVSNVLDLERPDGIIVQFGGQTPLKLALPIQQYIESNKMVSASGMGSVKIWGTSPDSIDAAEDRKRFNEILEELGIEQPKGGIARSEADALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCMEVIRSWTTKLAKRLNVCGLMNCQYAISAFGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGMTLPELGFTKEVIPKHISVKEAVLPFEKFQGCDILLGPEMRSTGEVMGMDYEFSGAFAKAQIAAGQKLPLSGTVFLSLNDLTKRHLGEIGRGFRDLGFNVIATSGTAKVLQLEGIPVESVLKIHEGRRNARDMLKNGQIQVMVITSSGDAVDLKDGLQLRRLALAYKVPIITTVDGARATVEAIKSLKEKPIETLALQDYFPADVSPDLQAVAQTTP >Dexi2B01G0024990.1:cds pep primary_assembly:Fonio_CM05836:2B:34250436:34251599:1 gene:Dexi2B01G0024990 transcript:Dexi2B01G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNFISPFLHFTIRDGVSEGQFKQVLEKEIPEIEKAWKSLYNEKPHITFIVVQKRHHTRLFPDNHNDRRWTDNSGNILAGTVIDKNICHPTQFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADGLQSLTYNLCYMYSSCTRSVSIAPPAYYAHKLAFRAHFYVNQASDVAMSVGSGNAPAHVAVVNPLPQIKNELKRTMFYC >Dexi1A01G0006490.1:cds pep primary_assembly:Fonio_CM05836:1A:4887843:4892221:-1 gene:Dexi1A01G0006490 transcript:Dexi1A01G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGGAEEEVAAVPLGQKLLVHVAENGHTLEIRCFGDTLVEAVQQSIELHCGIPPGDQRLLCGNTSLDGAHPLAYYRLPRDDREVFLYNKTRLLADSRPPPPESVYIPEPKFPPPPRLQDSPPPEVSADPALKALVSYETRFRYHFQVANAVYRCSDTKFELCKRLLREQQVQERALDTARSNLEHTFRKVSQRYSEFVRCFSQQHRAHMEMLTNFERDLQKLRAVRLHPALQFEGRQCLLDLLKENDMRRLADGCFSSQKQFEMKVSQLKANFLELKRRVENLFNVMSSSGCKDLEKLIKEHQGVISEQKSIMQSLSKDVDTSKKLVDDCSSCQLSASLRLAGTYAEKLATECETEKARREDFYRTWVKYIPDDIMGSMGLFDSPSQCDIKVTPFDRDLLPIDVDDVEKLAPQSLLGSFLKSERSQLPKSSLSNSSTSGNLNKSEQNPLSADDKMDFQDFLGDYDTIDIAGTSKLEVENARLKAELASAIATLCMLGAEYGYESIDEGQIDAVLKKAREKTAEALAAKDEFANQLKSLLTANQEKCLSYEKRIQDLEERLANQYMQGHMGSGSKGTSDCLLSAFKSHDCNLDESGGRQTQIRDESSVAMDEASSTSEQPSKETEGGDENMTDISGTLNLQLLDSAACTNLDAFMTELPRDNDPKTVSIDKEGQMLTQVTVAETSDVPVEDHHALALKNKELLVSELQNALEEKSKQLGETESKLSAMTDEINSLNKELEHARGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAIEYDALRSSALRIHGLFERLNSCVTAPGVTGFAESLRSLAVSLASSAKKDEADATVQFQQCIKILAEKVTFLTRQSAELLDRYSAVQAAHGAIAKDLDDKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYYLSEESVALFTQQHPRHPTYIIGQIVHIERRIAHQDQMGAAPRPDSSGGRRSPASTLNPYNLAPGCEYFVVTVAMLPDAVR >Dexi9A01G0011410.1:cds pep primary_assembly:Fonio_CM05836:9A:7136796:7137203:-1 gene:Dexi9A01G0011410 transcript:Dexi9A01G0011410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLATTAAAPALRRLSHHRAPPRSDPKLAFLRSEIDDLSLSSSRKPPPQPPPSDHQCRVTAAPRSGLASAGGAPAAVDIAHPWPEWIALMELLLRRGHVDSSAFAAGSPSPKDANAVRTACLRFGRERSELIR >Dexi5A01G0039680.1:cds pep primary_assembly:Fonio_CM05836:5A:40183725:40185390:-1 gene:Dexi5A01G0039680 transcript:Dexi5A01G0039680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAATVHTVLGVVGNVIALVRYLSPVPTFYHIWKNAVAALVLSLAHTHGSRAMIVGLIMVVFGTGMYASPLTVMEMVIQTKSVEYMPLFLSITLLLINSICWTAYALVLRFDLYLSIANGLGVIFSVAQLILYAVYYKSTLRIIEARNAGPSSATATAAVSH >Dexi5A01G0025120.1:cds pep primary_assembly:Fonio_CM05836:5A:28969174:28970316:-1 gene:Dexi5A01G0025120 transcript:Dexi5A01G0025120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGKGISMCLQCGGECVIWQESIDEQPWEKVRSSDMHDMTFYTAEVRIYINPFLFCSSPLKVKEDDEVDRLEIKINTPKRSKRAYPSPSPEVAMKISRSLRSLNAQTGLFTKHMKIIHQDPELHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRIKRSIAMKG >Dexi8A01G0015500.1:cds pep primary_assembly:Fonio_CM05836:8A:26608339:26608998:1 gene:Dexi8A01G0015500 transcript:Dexi8A01G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILGHGCFQLPRLRAWADGEKGVPSEGSKNGRPTACDGVGRRCTARRRRRFEPQIPDSKANRSADLPKQLKHKSTINKLSKNPTQLTKTKRESREGSFHRQPACVEPWTRGCCTDNAHVGSRASQLRNTTTATVSARCCSPACSSAAGEPLDRERVVHRPQCAVVARSRPRRAPAVAHVDDVAAENVPVPAELTARPCVPAYPLEPLAGKSSSRAR >DexiUA01G0019990.1:cds pep primary_assembly:Fonio_CM05836:UA:41482536:41493380:1 gene:DexiUA01G0019990 transcript:DexiUA01G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKEKGVIHLPEIAVRGRLNHISEEEAKVAPDVYPDVFPDDLPGLPPDRDIEFEINLEPGTAPIAQRPYRMSVDELEELKKQLHELKEKGYIRPNHEKHLGIVLEKLRQNQLYAKFSKCEFWLEKVAFLGHVLSAEGVAVDPEKVTAVSEWQQPKNATEIRSFLGLAGYYRRFIENFSRIAKPMTELLKNNVPFVWSEKCEASFQELKTRLTTAPVLTLPDLKKSFVVYCDASRQGLGCVLMQEGKVVAYASRQLRKHEENYPTHDLENHTSLRWQHSSTNIINQPREVGHHRVKEPIIITYCFLHRFVNLSQLQASLYQLQSIVLHHQFISNPRLNPGASVTAAVFHSDYLVHITMRALRSLGYTGVAGRVCRGRLLPCWRDCLMERRASRIHRLFLHILQPINVGASGHLRGITGIPANMVRGGGLGLGLGRRGALAGRRVEVDAQALSRCDRRRVRKMVVAAAARGAGGGAVGDEARASSMVKGSGTESAARLTSRSSPSAAAPGRREGEKGHRERRRKRRRQLGDGQRILDPYRATSPRHITRIVSAVGSTTSTGTRPHTGPHGTRVMWVPQVGPAVGPWDPHDVGPTVVPTVDHRPIISKDETWTDSRADNRATLPVNLITVRYSKLAIRTVGSEAEQTAALANHRQIYSASSSPPQPHAAPPSLHLRTTRRSPPMACCPALSPLPAVNPRLLPPHSCFSCSIQYKGVVAVAHSHQGEDSHELTRRTAPTGASLALQLAPATEIAESLSAEEGGRRRKSSVRSRRPWVTEAVGQLPTLEDRRPCAAVALASRPIDLATRGALAGTKVDENGRIVAIHALHAKPNSHHASCPNKYSTTTTFRL >Dexi4B01G0009370.1:cds pep primary_assembly:Fonio_CM05836:4B:6720887:6723237:-1 gene:Dexi4B01G0009370 transcript:Dexi4B01G0009370.1 gene_biotype:protein_coding transcript_biotype:protein_coding DETMLIWYTGKVEKQLSLNQVSKILPGQRTAIFQRYPRPDKEFQSFSLIYGHRSLDLG >Dexi2A01G0007810.1:cds pep primary_assembly:Fonio_CM05836:2A:8023890:8025249:-1 gene:Dexi2A01G0007810 transcript:Dexi2A01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLESIMRIVQSCISMGSNATSPGGFTNFIQPHMSQNFIFGGEHSQCAPFRPSRTTQEVQPEEEFFTPISAKDNNSYVNIDSGEEAPRTEKRIYWTQEEDVRMMSSWLLNSTDSSCGADRKNEQYWTDVEVTYNETTPS >Dexi3A01G0007080.1:cds pep primary_assembly:Fonio_CM05836:3A:4792828:4795031:-1 gene:Dexi3A01G0007080 transcript:Dexi3A01G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLLSHRPPPAAAQLRGAAPAWMPPLRARQLAGPPQASASAEFPGSVPEAAQIPPLRRRRRIVAGIDQDELVDPEALADPDSSFYEINGVRLHHKVCSHEDEDSSSDQSSDATVVAAAGRSRIGLPILLLHGFGASVFSWSRVMRPLARIAGAKVLAFDRPAFGLTSRASLSGDDSKPINPYSTVFSVMATLAFIDYLGAEKAVLVGHSAGCLVAVDAYFEAPERVAALVLVAPAIFAPRKGVKDSATGEQEGQKQNVPNDESSPPNLFARIWGGFLKLWKHIAGLVSKLIMGIKDIFRSLYVKALVAFLRSSLGAMLVRWVMDKFGILGVRNAWYDPSKVTDHVIQGYTKPLKSRGWETALLEHTISMITDSAGRAPVSKRLSEISCPVLVVTGDTDRIVPAWNAERLAHAIPGARFEVIQSCGHLPQEERPEEFLSIVE >Dexi6A01G0020760.1:cds pep primary_assembly:Fonio_CM05836:6A:28167282:28170606:-1 gene:Dexi6A01G0020760 transcript:Dexi6A01G0020760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRQLRALISNKGCAPIMLRLAYVHPPAASLLLSWHDAGTYDVKTKTGGANGSIRFEEEYSHGSNAGLKIAIDLLGAPHLRDIFYRMGLTDKDIVALSGGHTLGRAHPERSGFEGAWTQEPLKFDNSYFVEMLKGESEGLLQLPTDKALLSDPEFRRYVELYAKDEDAFFKDYAESHKKLSELGFAPRGAASAKSDLPTGAVLAQSAFGVAVAAAVVIAGYLYEASRKAK >Dexi9B01G0032620.1:cds pep primary_assembly:Fonio_CM05836:9B:34799023:34801510:-1 gene:Dexi9B01G0032620 transcript:Dexi9B01G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASPVRSSHTSTAGLLAWPHPDGAGPLPARRPNQPTEEFRKVVFGGQGAEDADAHKNMKKWKEISGSSILKAEASAAAAPTASRDRQASQITFAQDGGIAPRKPTSVAEVARHRELSHTVQSEADSKTKRQVSTAKSKELSGHDIFADHEDPKPNRSRRSDYSSSTSLSPVKNANVSTFSFGEADTDSAAKTARKKGTGKKTTDLNGKALLQREPAPVVKPLNRAKLEETTGSSVVFADGKAPATGEQAGRRTTRQPPGGDSRISLG >Dexi1A01G0013720.1:cds pep primary_assembly:Fonio_CM05836:1A:19163288:19164175:1 gene:Dexi1A01G0013720 transcript:Dexi1A01G0013720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALADLASYPNDVSFSDCLGWEGFPSILWSVLQALGYPTPPQYERILIRDRGVTRSRVRLVVHHHPPCPSSPTWTVEVHGHHMETTCELAALNGISSFCAQNQSLVEHQLLGLFPPTQPDDPHWMRRYLSSPLRMAENPVAAAALMMRWIRAYHRLQALLGRSQSEMLNIAMDMSARARDIGVERTSLSIEVTTRDAMIADLERQLNDLQIAHNNTQNELAQTREELEEVHGTLEHANAMLAAHDAQHLLEQEGGNGEGEAPDSDMDTEDNMPSLPAPPSPMGSEATANNLDDF >Dexi5A01G0012920.1:cds pep primary_assembly:Fonio_CM05836:5A:9671412:9672176:1 gene:Dexi5A01G0012920 transcript:Dexi5A01G0012920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVVRNDDESASLLDDDDDDMWSPILFTGGMSPPPPPLHEKATKTPAGHAVMRLIRSPFAAVFRMTTRGRPTATTSDARPPPEARRRPSLEQLLTLEQAAPPSPQPSRHPHRKSIPAADTVKEEKRAHREPLCPSKTTTRSTAVAVGGGDGERRRAVPVVKLDACGRRAMSAKKLVVVLESLRAPCSGDAAVSVVGRTVMAKAKGPNGKVASAAGAGRSPGAGKTTELFYSRPIPMGRRCRVQHLEESPYK >Dexi7B01G0004090.1:cds pep primary_assembly:Fonio_CM05836:7B:9981139:9982038:-1 gene:Dexi7B01G0004090 transcript:Dexi7B01G0004090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDQGGAKAKHLRVLLPFTCDTLRIPDELAAEIGAEGALVVGRAGGKVKLWPVKVGKDGHGAFLGRGWPEFADACGAGAGWLLVLRHRGRGVLSAKAFVATFCLRELGAPASPAANTY >Dexi3B01G0009990.1:cds pep primary_assembly:Fonio_CM05836:3B:6909969:6916617:-1 gene:Dexi3B01G0009990 transcript:Dexi3B01G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDYLYREGRRHDGGGGGGDPLLPPAPTTPRWRDSPYHPPPPPPLRDHARPSPRRAPPSASSGRPAPRKSSDLRRPPPRRPSSAPLISPNRSSISDGYYRQAGGAYDRSYPDEPPLGYTPSRSDRYWADDDGGGYKSFGRYGGGGGGSSGRRDSRDIRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGGHRVDRGDRDNLPRVTPWRRRESRSEVADAAGAGPVSGGHTTRRLPSEKEASARHLAGASPHGAEEEAPRKKARLGWGQGLAKYEKQKVQGPTDPAEAVPDGSPADAEHNNTFPAPAPPAPEAPGVPAPPPPPPEAPAVPAPPPPAPEAPAVPAPPAPEAPAVPAPPPLPEPPAGRVPEPSAAPVDEPTAAPAPVSAPVPAAHPAVSAPAPASAPASVLCTSPVDEPSSAQPYCSSAPEDRSFEQTANTATNSTKVVPEADEKVFDNEFSIKLDQLGGDPINSLANMLGDLLQHDDSCSGDSKGPTSTSKLMLLKESISKEIEKTELEIDLLEGELKSANTETGTAVEGSPTGVTYAENISPSSGTSKVPGSVEVSGSSLVVKEPGELIISPKLPVVQDADVKVADMMEIKTAPVCNAKTVPSEESAVSPGVAEGLACVTAVLCPLKASEGARSQNDMDNDRLETSTCHVNVDSIKTKVSDDHPVRQCSHNSLFGSITSVNNDIAKLMNESLFKSLPADTPRLDLLASSHLSSEKKSDHRISEILGVRKHRLRLKEQILTLKFKAYRHLWKEDLQLLSAKKQRSKSNKRIDQSNRASHVGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKFFSEFQIKRCRNYLKMPGLIIDEREKECLRFASRNGLVDDPVSVEKERVMINPWTQEEKEIFMEMLAKFGKNFSKISSFLAHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTSNFLGAKSGKRWNPEANAASLDMLGVATAVAAHGIEYANRVEKISAKSLIRTAYGSNVSLAAKKSSDRECIDKVPLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKISLTRMEHAVAPEIERSIEEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISKCVKSKSYEQCKVFFSKARKSLGLDLIHQGAPDVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSKTEVDVCPTERAIGGIVPKQLELNISNGFGVVDGKTEEVEMKDDKKCSIVDHERFNEGTDQAACGLIDINCPESTDKLQGEDVVVDQVNMHNNRAIGSSEKAVAPQLQVGSSLHSIEVLHQTNKGRLGTGTGVSQMEECSNHYLDSKQMRAGNSGASACKTSAICIKDNVHFANITGPSTISPAFASSYQHSVPADIPLIKPKPLVTPLTPKDLMPVQFSSVVPDPTTIRFDGIASITTSNFEDSGNRVSCALGAKDMSKYPVFKDPTDYQQPSIQAHQSDPKRMERLSDPQKRNGMDFIPGFQQPGKISRFGGAGILVSGVSDPVSALKAQYAPGSKVMGSDVDPWKDIGSR >Dexi9B01G0011750.1:cds pep primary_assembly:Fonio_CM05836:9B:7669122:7670115:-1 gene:Dexi9B01G0011750 transcript:Dexi9B01G0011750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFRYLPVSEALEYLRLAGADPIAAARSVLEDRNYSTSSFTFASRTTETALRCAAIAAWHPKPRSLVNRSYSFASRMEKVSHLLATDDAGGGLSCAAVEAISGLLKRHRRKIRGLAGVITPPPPQFDLELNRPPPFVPTKSLQSVLLDRVYGFYLDAIARLPAAELRRRYHRSLLKAGHCYGPFKDPVSNIVLNTVWYDAIFPPPQDELSSVAMICSRSLVLVACRSVRGLVAYLRACFDTISEHQAIRYLVFAEVDLWGAIEMARREGHVERSTVTVGKESACKAAAIAAMHPDADAVVNFHVSTR >Dexi2A01G0010780.1:cds pep primary_assembly:Fonio_CM05836:2A:12089527:12099724:-1 gene:Dexi2A01G0010780 transcript:Dexi2A01G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSGEARVTVYEKEDHLGGNGSNTMAVEDGAGGRVHVDLGSMMTSPNMTKWFEELGVEVETSNTSFSASLHLDKGGGFEWGTRNGISGVLVQKSNLLSPRFWLVIRDIFKFKNHALEYLEDHGRNPDWNETLGQFIQKHRYSQLFQDAYLLFGRPQWHTVKGGSGSYVDKVRGELESMGCQIKTGCEVKSVSKFNEGISNYKSFLSSDPQFLSYDVYFHCDESLMPRNFYAWSARNFLGTSRGVCVTHWLNILQVPNYMLEEGGTAFSFGRASEKCNLKSVIQVHDPQFYWKINLYNRLERKRLLRISARKSNYIRKGWWSGNFLGITGVAFAKYILRNASRKNSLSKVVKNISKHYDLNNDFFALYLDPSMTYSSGIFKAEDESLEAAQLRKLDSLISKAKVESWHHVLDIGSGCGTLAIRLVKKTGCKYTGITLSEEQLKYSKQKVKEAGLEDLITLLLCDYRQIPTCQKFDRIISCEMIEHVGHEYMDEFFGCCEYHLAEHGLFVLQFITIPEEMYDKMRLRPEFLKEYIFPGGCLPSLSRVVSAMTKASSLCVQHLENIGDHYYPTLMHWRDNFLANRKKVSALGFDETFIRTWEYYLTYCAATFKSRTIMDYQMVFARPGDAKLPSYLAIE >Dexi7B01G0008820.1:cds pep primary_assembly:Fonio_CM05836:7B:16550592:16556033:1 gene:Dexi7B01G0008820 transcript:Dexi7B01G0008820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSCGSCHSPPACNVTPCSLNWSSPIVSCKPAMAMAELELAHGVAMRRPCSPHAQPRDRPSFTWMTSDDQGRGASRNRSSGRDRDRSSQQSSSRKNSGSGGSKRNDRDGTGKSRGYSSFGRHNRERVQEKDPDFRDRESKLVQTEDPLRDGFESFSSCRSEKDRLNRTRSKVFVSNRSGVSLDNGNVPKKDTSGISFEREFPHLGYEDKNGKQEIARVPSPGISTPIQSIPLVTAEGWNSVLAEVPIHSDPSINSISSTSSPSGSSKQTEVSNSGSALSMAETVMQSPLKISTAPQLSIDAQKIEERTMRQCILRPLTPSSNKISASNSLDKLKSKGARAGESNAPMKIAPQLSLQPSSSSRTPIKAELVKPSQSGSLQVLSREHNGTVNTAAKDSTNNPVSPVLGRSSSMEPMRKSVVNPKLKVGTNGLALYPLQGSFGDRKASAKDKLKFFELLRSKSVNGSSTAIESPSSLIDDQQNSSLDLSFKFTENGSSSCEEANSCEGSQQHSSDSEEIIPPSESHDILDEGYLGTEVDDRDARPTPLPADTEDAASKKPQPDNAENVLPVKPACINDSSIISISVDEANLPLEEAHPAHEFENVGAGGEEELNFLRSLGWNEYEDVQPLRQEEIAACVSACSTFSYLAD >Dexi5B01G0017950.1:cds pep primary_assembly:Fonio_CM05836:5B:19849240:19853280:1 gene:Dexi5B01G0017950 transcript:Dexi5B01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARLRLALLLSVCLCAARARPSLDPTIRLPSERAAAAAADETDDAVGTRWAVLIAGSNGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPKGGDVYAGVPKDYTGREVNVNNFFAVLLGNKTAVTGGSGKVVNSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVNVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNAEESSWGTYCPGEIPSPPPEYDTCLGDLYSVAWMEDSDFHNLRTESLKQQYNLVKDRTSVHNTFTYGSHVMQYGSLNLNTQQLFSYIGTNPANDNNKFVEGNSLPTFTRAVHQRDADLVYFWQKYRKLAESSPGKNDARKELLEVMAHRSHVDNSVELIGSLLFGSEEGARVLKAVRAAGEPLVDDWSCLKSMVRAFEAQCGSLAQYGMKHMRSFANICNAGILPQTVSKVAAQACTSIPSNPWSSIHKGFSA >Dexi9B01G0010750.1:cds pep primary_assembly:Fonio_CM05836:9B:6759449:6762342:-1 gene:Dexi9B01G0010750 transcript:Dexi9B01G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTSVKYVLEQDKAAFIRLTGISVLQSAANSIVSPSLRRAGTCPAVLGVCGVTELHSIWSKW >Dexi3B01G0013140.1:cds pep primary_assembly:Fonio_CM05836:3B:9361955:9365480:1 gene:Dexi3B01G0013140 transcript:Dexi3B01G0013140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVMMSRRSKWRSAAPPMACAALLLLLCVGCAVAVDEQGAALLAWKATLRGSDALADWKPSDASPCRWTGVKCGSDGGVTELNLQFVDLFGGVPANLTAMGATLSRLVLTGTNLTGPIPPELGELPALAHLDLSNNALTGPIPAALCRQGSKLETLYLNSNRLEGALPDAIGNLTSLRELIVYDNQLAGKIPAAIGRMASLEVLRGGGNKNLQGALPTEIGNCSRLTMIGLAETSITGPLPASLGKLKNLTTLAIYTALLSGPIPPELGQCSSLENVYLYENALSGSIPSQLGGLKRLTNLLLWQNQLVGIIPPELGSSCPGLTVVDLSLNGLTGHIPASFGNLASLQQLQLSVNKLSGTVPPVLARCSNLTDLELDNNQLTGSIPGVLGGLPSLRMLYLWANQLTGTIPPELGRCASLEALDLSNNALTGPIPRSLFGLPRLSKLLLINNNLSGELPPEIGNCTSLVRFRVSGNHIAGAIPAEIGKLGNLSFLDLGSNRLSGSLPAEISGCRNLTFVDLHDNAIAGELPPGLFQDLLSLQYLDLSYNVIGGVLPSDIGMLTSLTKLILTGNRLSGPVPPEIGSCSRLQLLDVGGNSLSGEIPGSIGKIPGLEIALNLSCNSFTGTIPTEFAGLVRLGVLDVSHNQLSGDLQALSALQNLVALNVSFNGFTGRLPETAFFAKLPTSDVEGNPALCLSRCAGDAGEDRDSDAARVAMAVLLSALVVLLVAAALVLFGRHRRAARAVAEDKDGDMSPPWNVTLYQKLEIGVADVSRSLTPANVIGHGWSGAVYRATLPSSSGVTIAVKKFRSCDEASIEAFACEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPAIIHRDVKAENILLGERYEACLADFGLARFADEGANSSPPPFAGSYGYIAPAEYGCMTKITTKSDVYSFGVVLLEAITGRRPLDPTFGEGQSVVQWVRDHLCRKREPMEVIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDGGAEARKAGGGGGAETAGGXXXXGGGGGGGGGGARKWADPKQPISPSKLMALVASQPAQARESSGSQSLLRNRE >Dexi6B01G0012590.1:cds pep primary_assembly:Fonio_CM05836:6B:20302585:20303864:1 gene:Dexi6B01G0012590 transcript:Dexi6B01G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPAGFRFFPTDEELITCYLARKAMDASFTSPAIRDVDLYKSEPWDLPCGGGDLQEGYFFCTRGSKYLSGVRARRATRLGYWKSTGKDKAVHGRDGRLVGMRKTLVFYRGRAPRGEKTGWAMHEYAIGERSSSALLRGAQSEWVICKVFMRKHPTGDGRKVTTEETVHDQETTPGNLLPMVPDRSDSEHETVVTDSLHLVSHSGAHHVMDGNEKDHHQHRHQMVHEDLLVMNHHGLSCHSPSWLNFDGKLGAHCSALPITQMQFDGGEFYLPELLEYNGCDDSLNAGLGLPDTSAEVSRRAEITSTSIAPLQLDGLYWNFGF >Dexi9A01G0049070.1:cds pep primary_assembly:Fonio_CM05836:9A:51819378:51821625:1 gene:Dexi9A01G0049070 transcript:Dexi9A01G0049070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCHPVRIRTGGKTARRNAAKVFCSMQTPPSQSTIKVVIVGATKEIGRTAIVAVSKARGMELAGAIDSQCIGEDAGQISGMEEPLELPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPDPSDLPSQDAIQIANNISDLGQIYNREDMDTSSPIHTLRHDVTNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >Dexi1A01G0029430.1:cds pep primary_assembly:Fonio_CM05836:1A:34920472:34920811:-1 gene:Dexi1A01G0029430 transcript:Dexi1A01G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHVTIEAELKVKGAAKSEDRYFILEAKAVPLNWGGRDVEFSGTRGTILKISLGELHNCVEATIFFRVVGGTWPAGLQGHFAASTAEPPNKESLLEEIVT >Dexi1A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:1A:5819025:5819453:1 gene:Dexi1A01G0007520 transcript:Dexi1A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSGDDFSSGKLHKKKVVYQVLPSGQLKGEPELLQREIPHSSGMVLKLPKRSLKTERPATPPSDRGTPDSLPDSGPTDEYRALRRKYMMLEEQNFALDKELSMEDEEIKALEEEKLALLDELVVLEGLVDPSELQSRRRP >Dexi4A01G0021560.1:cds pep primary_assembly:Fonio_CM05836:4A:25044258:25044620:1 gene:Dexi4A01G0021560 transcript:Dexi4A01G0021560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISHPPGHPRSACDDPPGIPSRCPHGGNGGHGETVRRLVRRAVVGLKDAEDCGDALRRDGGGEGRASHPGVMGRRSCRGRMDRRRPWGSGARNSPSVGVPQTTRIAESWRKPVRWEDE >Dexi7B01G0018510.1:cds pep primary_assembly:Fonio_CM05836:7B:24252877:24253386:1 gene:Dexi7B01G0018510 transcript:Dexi7B01G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWETEAAGDQAKRSSRRRRQGKASASRSHSCDESKMQIGKGKRAEQVKNPSPPSPEKGEEEEEGQLLDDETAEDFMRSFRDGWESCFGGLYASVPSMRYTEGTIPRYASCEDSK >Dexi6A01G0019550.1:cds pep primary_assembly:Fonio_CM05836:6A:27161583:27161948:-1 gene:Dexi6A01G0019550 transcript:Dexi6A01G0019550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAKRARASRGSAPDRLSALSNELLCHVFSFLRSRQAVQTTILSKRYDVNWEKMKNFTTNLPMEHSAQLLDAIRLRLGIFDICDHLAPDVDVWV >Dexi5A01G0014520.1:cds pep primary_assembly:Fonio_CM05836:5A:11600902:11604706:1 gene:Dexi5A01G0014520 transcript:Dexi5A01G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLFIIGVIGNIISVLVFISPIKTFWRIVRGRSTEEFEPAPYVFTLLNALLWLYYGLTKPDGFLVATVNGFGAVMEAIYVVLFIVYASDHATRVKTVKLAAALDIGGFGVVFAVTTFAISELQLRIMVVGMICACLNVLMYGSPLAAMKTVITTKSVEFMPFFLSFFLFLNGGVWATYALLDRDIFLGIPNGTGFVLGAIQLIIYAIYKNSKASQSSNETVDPLLSSNAGYGQGEASNHV >Dexi2B01G0001060.1:cds pep primary_assembly:Fonio_CM05836:2B:642946:643690:1 gene:Dexi2B01G0001060 transcript:Dexi2B01G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEMTTPTTTITMLPEEMIEEVLVRVAPEDPATLARAAMANKKWCRLITGKPFRAKYLAHHRRRSSPMLSFLCDVREGDFRHYGLKFTARHVKSPSSSFRPQLASDHIGDDLRPHDTRHGRVLLRGTQDRWTSFDLLVWDSITRQRTKIPRPPRYAVTWKAAVFCAAAGAIAGDGAATGDHRGCHRGPFKVVYITDHRMGILTCVYSSVTREWGEPSFHGGLGGFLGRERATFLFTSCWTT >Dexi3B01G0038490.1:cds pep primary_assembly:Fonio_CM05836:3B:41293593:41297287:1 gene:Dexi3B01G0038490 transcript:Dexi3B01G0038490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPPCLQPSLATARRATAFSRSRNTRLSSSSSPASVCASSGDHAHEQQGDNEEQSLVVVGGGAAGVYASIRAKTLAPRLNVVVVEKGRFLSKVKVSGGGRCNVTNGHHLEPMGLATNYPRGNKELRGSFFSAHGPQDTMRWFTDHGVELKTEDDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKAVSSASVTQHGKFVLKVEKRTADLVDYINANYVLVATGSSQQGYSIAAQLGHSIISPVPSLFTFKVTDKRLADLAGVSFPVVKAKLKLDGIQKSVPELTQTGPMLVTHWGLSGPVVLRLSAWGARELHQCSYKGKLMVDFIPDIHIDDVKHILFRYKDQHAVHLYHCIIAFITYTEKHKVSNTFPMEFGLVKRFWRFLLEQESLNGDVHWASMPNNHLNAIALRLKQWVFEVVGKGQFKDEFVTAGGVPISEISLGTMESKKQPNLFFAGEILNVDGVTGGFNFQNAWTGGYIAGTSIARLALATNLQEPQSFFQLEGS >Dexi5A01G0004750.1:cds pep primary_assembly:Fonio_CM05836:5A:3600055:3601228:1 gene:Dexi5A01G0004750 transcript:Dexi5A01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTASDRPGAAHSRPKCRSGRAVASYLEPAGGFLSPSSDPIPTASAHPGRRLVASAHKSTAFTPPAASAAAQITLPSLGLGFGAAWSEAMEKDQQGYPLAASASAAAGASRWEASLDGVIVLPAGSAAPRPPAPPPLHARQQREREREREREKPGAEGVFVGDHHHHSEPPEKVFYKTRLCEKFEAGKCAYEDGCNFAHGQAELRQPLPVPFALKRRTPPPAGADGPYGGGGYYGKVCFEFRDKGSCHFGERCAYTHASAAEVAAEMRYPGGPRSVEHALRNAPPSARAALLMPAPSGGAPRGSSSGSYAPAAARAFPTPVAATGEDDVKLSRLELLSRKKTTGIYGDWPEED >Dexi5A01G0038830.1:cds pep primary_assembly:Fonio_CM05836:5A:39663065:39664474:-1 gene:Dexi5A01G0038830 transcript:Dexi5A01G0038830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKLIGAFGSPFVHRAEAALRLKGVPYEFINEDLEKKSELLLKHNPIHKKVPVLLHGDRTICESLVIVEYIDEAFDGPALLPSDPSDRAAARFWAHFLDQKGELQKGFVKETKENLALLEAQLDGKKFFGGDSVGYLDIALSLLAHWVGVFEEVTGVSLVGDEYPALRRWAKDYTSNEAVKLCLPSREHIASYATAMKDNFKLMAMAMLQQ >Dexi5A01G0021650.1:cds pep primary_assembly:Fonio_CM05836:5A:25446962:25447207:-1 gene:Dexi5A01G0021650 transcript:Dexi5A01G0021650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDVDGGKKTAVSPSSGDDGKSRGHQASHTQDKVPVDQVASLISPSDKVASRATKPTAGSLTLLAHKGDCAIFFLSFLKS >Dexi4B01G0023100.1:cds pep primary_assembly:Fonio_CM05836:4B:24582656:24584470:-1 gene:Dexi4B01G0023100 transcript:Dexi4B01G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYHHYQMAAAAWREPDSPQLSFVSGCSSLFSISTLQDDDDGAVVIAGHALPSTPVSLAGFAGDEVDMEVQQISGGSGDDRRTIRMMRNRESALRSRARKRAYVENLEKEVRRLVDENLKLKKQCKELKLEVAALVLPTKSSLRRTSSTQF >DexiUA01G0023060.1:cds pep primary_assembly:Fonio_CM05836:UA:47032804:47034284:-1 gene:DexiUA01G0023060 transcript:DexiUA01G0023060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEAERILVSVRLRPVNAREAERGDGSDWECAGPTTLMFRGNIPERAMFPATYAYDRVFNPECSTQQVYEQGAKRVAMSVLNGINSSIFAYGQTSSGKTYTMVGVTEHSMSDIYDYIDKHPEREFALKFSAMEIYNEAVRDLLNPEATQLRLLDDPEKGTVVEKLTEETLTDKGHLLELLAVCEAQRQIGETALNETSSRSHQILRLTIESSAKQFMGRGNSSTLMACVNFVDLAGSERASQTQAAGTRLKEGSHINRSLLTLGKVIRQLRCVQLILSSVFGVG >Dexi8B01G0012510.1:cds pep primary_assembly:Fonio_CM05836:8B:21880330:21883483:-1 gene:Dexi8B01G0012510 transcript:Dexi8B01G0012510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIKTVVVVIQENRSFDHMLGWMKSLNPAIDGVTGAETNHAVAGDATSPAVHFGNASQYVDPDPGHSFMAIYEQIYGDPYTWGSGAPATKPGVAVPPMSGFAQQAEKEKAGMSATVMNGFRPDAVPVYRELVKEFAVCDRWFASVPTSTQPNRMFVHSATSHGLVGNDRNMLAAGMPQRTIFDNIHDAGLTFGVYYQFPPAVLLYRNMRQLKYWGNYHPYDLSFKRDCEEGKLPNYVVIEQRYLDFKLFPGNDDHPSHDVGNGQRLVKEVYEALRSSPQWNEILLVITYDEHGGFFDHVPTPIDGVPNPDGIVSAAPINFAFDRLGVRVPAMFISPWIEPGTVIHQPSGPEPTSQYEHSSIPATVKKIFNLKEFLTKRDAWAGTFESVLTRATPRTDCPETLPEPVRLRSAEPEEEKRGISEFQAELVQLGAALKGDHADEAYETDKLVGAMTVAEAADYCHGAFAKFREECQRCHESGMDGSHVPEIKPPATTAPPPPPSSTSKLCSCFPCFSG >Dexi1A01G0003430.1:cds pep primary_assembly:Fonio_CM05836:1A:2475418:2476001:1 gene:Dexi1A01G0003430 transcript:Dexi1A01G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISSTGVLQRWADYVLRGEHNMWRALILYVAAGALPEALDTLRKNQRPDTAALFLLACHEIYSQITTESEPADDTSVSEPPTPEQAEKLQFPSKNVADEDLIAVSEVFGQYQQKLIHLCMDTEPSAD >Dexi9A01G0002280.1:cds pep primary_assembly:Fonio_CM05836:9A:1202213:1202644:-1 gene:Dexi9A01G0002280 transcript:Dexi9A01G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPAKPSSGEWSSGLCDCFSDCGVCCLTCWCPCVTFGRVAEIVDRGGTSCCASGAIYTLLASLTGCQWIYSCTYRSKMRAHFGLPESPCCDCCVHFCCEPCALCQQYRELKARGFEPELGWELNAQRGAAMHPPAAAQGMGR >Dexi5B01G0017780.1:cds pep primary_assembly:Fonio_CM05836:5B:19673310:19675579:1 gene:Dexi5B01G0017780 transcript:Dexi5B01G0017780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMMGKRSKLADEEETCRGYAGVAECTSGVDAEGRATSLRPLDLSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLSPSSSDVPNAAVASLSATLVGRLAMGPACDLLGPRRASGLASLLAALAVAVAASAASSPAGFVALRFVSGLSLANFVANQHWTSRVFSPSSVGLANAVAAGWANAGSAAAQLVMPVAYDLVALRLGVPVTVAWRVTYLLLPCVLLVATGLAVLAFPYSGDVGGEAKKTAARERCFWEVVRGGVGDYRAWVLALTYGYCYGVELIMENVAAEFFRKRFRLGMEEAGAAAACFGAMNAVARPAGGMASDAVARVFGVRGRLWALWAVQTAGAAMCVVVGRMGAAEAPSLAATVAVLVACAAFVQAASGLTFGVVPFVSKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTIEEAISLTGVASLICTLPLALVHFPRHGGMLWGPTRTAAGEDSDDDEDANDHGDYALLK >Dexi6B01G0019540.1:cds pep primary_assembly:Fonio_CM05836:6B:26245859:26249573:1 gene:Dexi6B01G0019540 transcript:Dexi6B01G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEPTRGTKRPLAAADDDDGRERKPRFPKGKKAKYRDAGAEGGPSAAAATLDIDSMLNPELAAERRARLRHGQVRERDDDKGGAADVKGFEVHYNDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGDQIKDAWLDSVEVDPTYAAKIQNKGKDKVEEIEDLTSDEIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDDLTEAAMKLMENGDYNVYSDDRETFEREAAGYERLARARLGLPEVEDASADKKDNQAPSSILEMDQPSSILEMNLGASTANISTATAAFNDDDSNLDMFGDDDNDDVKRSSDANTVGSVSNPGTVPPGTLGSSEADNGSVNSDYAYDPSSGYYYSSSTGYYYDPNSGYYGSTSTGIWYSYDEQTGTYNEIQNEKTGVAKEEPGDAIKE >Dexi2A01G0016390.1:cds pep primary_assembly:Fonio_CM05836:2A:27958576:27960432:-1 gene:Dexi2A01G0016390 transcript:Dexi2A01G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKTNMDSEPSSQNPTDMTAFHALYIHWCAVFFNYIALDEMGTKIDELEQSINDLKAEMGTEAPAQEPEEAKPANSA >Dexi9A01G0002580.1:cds pep primary_assembly:Fonio_CM05836:9A:1379503:1382532:-1 gene:Dexi9A01G0002580 transcript:Dexi9A01G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFTAAKFLAPVAARSGGEKAPPLPAGASSSSFARAMRRGGAHHPRLRTALAVSSDVLAGNKAQAAAANPAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKYLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSGPDGLDVTVAFFGDGTCNNGQFFECLNMAQLWKLPIIFVVENNLWAIGMSHLRATSDPEIFKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEENLGTESELKSIEKKIEDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >Dexi7B01G0004060.1:cds pep primary_assembly:Fonio_CM05836:7B:9912547:9916178:-1 gene:Dexi7B01G0004060 transcript:Dexi7B01G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGNRGAAAAKQLRVLLPFSKDRLRIPDELADEIGAGEALVVSPGGGKGRVIWPVGLGRDGGGAFLGRGWPQFAAAHGVGDGWHLALRHRGRGLLTVKAFDDSCCIRYFGAQPPPAAAVQESGRSKDTDSKPQFVCMLSPDSMEKMLIPAKFVQRYITKGDLNNHTAVVFGPLGKVNSVKLEMDQSDVYFAVKVFESDGCRRKPKHKDNVKQGSEQEINLEKQQEALSVPIWKRKNQTDLTCSKEHKPKGFMPSLNEASLQNNSFYEIGQPSWIKKQIDTDTLDNHLALPKAFCDAIGLHKSSMITFRTTMTGSWQLHGVPRGNDSYLLVHGWRKFCKENNVKEGDTCTFNVIKTTMWHVVITRYIPKLRGTDFDSGTECKSSDDGSTVPEPLCDAIGLWKASTITLKTSLSSTRSWQVHGRRYKNACYLGSGWTRFCQDNNIREGDICTFKIVETTLWHVVVAHR >Dexi3A01G0024890.1:cds pep primary_assembly:Fonio_CM05836:3A:20462515:20469323:-1 gene:Dexi3A01G0024890 transcript:Dexi3A01G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRARAVSLAAAVRVVAATARPASSAAAAAGVGAMALIVKGEDTAFGTLEWWAYAGTSCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVLCYPIAYPIGKILDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAAEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKPKIEPAHDKTEPNSGVVQTQLTAPLLSNAEERADNVVVDIERPHNKQVNGNPPSNAVTRSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAASQQGAQNRQGQQPTGILKKPTEGEPNPSKQVNLVEPLLENRR >Dexi7A01G0006360.1:cds pep primary_assembly:Fonio_CM05836:7A:17741862:17742855:1 gene:Dexi7A01G0006360 transcript:Dexi7A01G0006360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGSRARLLALVTLCSLLARPQPSRAFLFFGGVRSRSTSVPQGGNREEKVPMTVVSSDYSPRPAPLGPSPSAAPVPTPAPVRGSDGEDEDGTPRLPSERRRGHSSGDHGAAAQAPAAGATSADFISSSPTVPLPAGVTDSATVLPMPSPGRQQQRRDDAGMGALQLQVRVVQLTVPLLMMVSFGALL >Dexi7B01G0006980.1:cds pep primary_assembly:Fonio_CM05836:7B:14780300:14780869:1 gene:Dexi7B01G0006980 transcript:Dexi7B01G0006980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSAQAAATSRENDRTSTNGNGSCYRCDEMRGLLVFALLLAAAACVAEGAGECGATPPDRMALKLAPCASAAQNPSSAPSSGCCSAVSTIGKQSRECLCAVMLSNTARSAGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >Dexi2B01G0019520.1:cds pep primary_assembly:Fonio_CM05836:2B:29643990:29644732:-1 gene:Dexi2B01G0019520 transcript:Dexi2B01G0019520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSAVLFAAFYAVLLPAASQSSSSPAVYGVGDEMGWTVPPPGATDALTHWAARHRFLVGDVLVFKYGGSNDSVLLVRHGDYDRCSASTPLSLFAGGSSLLFKLTRPGIFHFIGGEPARCEAGQRMAVRVVDDGAGRSSLAGGAPTPAAPGTQQPFDDTEVSGHRHRGLSLALKLFKVAAMWFGAVFLLICFIVWVVGFVVLYVLPA >Dexi7A01G0009790.1:cds pep primary_assembly:Fonio_CM05836:7A:20722020:20723114:-1 gene:Dexi7A01G0009790 transcript:Dexi7A01G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPVIDLRLTGAASPEESARLREACERLGCFRVTGHGAPPELLAEMKAAVRLLVDLPDDAKRRNTDVIPGSGYVAPSATNPLYEAFGLLDAAAPADVDAFCARLDAPPHVRETARSYAEKMHDVIVDVAGKLASSMGLDQERCSFKDWPCQFRINKYNYTEGTVGSSGVQVHTDSSFLTVLQEDECVGGLEVMDPATGEFVPVDPVAGSFLINIGDVGTAWSNGTLHNVKHRVRCVAPVPRISIAMFLLAPKDGRVSAPEAFVDADRPRRYKEFNYDDYRRLRMSNGEHAGEALARLEA >Dexi2B01G0014260.1:cds pep primary_assembly:Fonio_CM05836:2B:23797656:23799085:-1 gene:Dexi2B01G0014260 transcript:Dexi2B01G0014260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPALGFNSLLQIPDKIRNSFKVHFGRFLKKDGAGRGMNAQMSSEMGKESCTAVADVSLDRQLQAWRNNPSWTDEPPEIKVTVPEGSLCNLNLRFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVLLDEGSRQVVEVEQTAIWKFLWWSGILSVHVFVDQNRKDHTVKTWNTTNPF >Dexi8B01G0006190.1:cds pep primary_assembly:Fonio_CM05836:8B:7112564:7114638:-1 gene:Dexi8B01G0006190 transcript:Dexi8B01G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGGFPDGLENCSSMTSLDLSGNSLSGPIPADISKRLQYITSLDLSYNSFSGEIPEALANCTYLNALNLQHNKLTGMLPGQLAALSRLLQFNVADNQLSGQIPSSLSKFSPSSFANQDLCGKPVSNDCTASSSGRTGVIVGSAVGGAVITFIIVAVILFILLRKMPAKKKEKDLEENKWAKSIKGAKGVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTAPEYTHTLVATPKGDVYSFGVVLLELVTGEEPTHVSNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNADPEDELIVAK >Dexi3B01G0006000.1:cds pep primary_assembly:Fonio_CM05836:3B:4117383:4120078:1 gene:Dexi3B01G0006000 transcript:Dexi3B01G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVARPSSSSSFNKQRRPAAAGGNNRGGRLGGANLRSYSTLSSVTDARAQRSSSPLTVLGHGLAADATAEELLRRYKLGEELGRGEFGVTRRCDDTATGKTLACKSISKRKLRSSVDVEDVRREVAITRSLPEHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVSRGHYTERAAAAVIRTIMEAVQHCHKHGVMHRDLKPENFLYANTSESSPLKVIDFGLSVCFKPGDRFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRANIDFNREPWPKVSENAKDLVRKMLDPSAYSRLTAEQVLDHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPAEEIEAIKELFHMLDTNKDGHLTIEELRKGLQSIGHNVNDSDVDMLMEAADIDGNGTLDCKEFVTVSIHLKKIRSEDHLPKVFSYFDKNGSGYIEIDELKEALSPRGDQKAIDDIILDVDKDKDGKISYEEFELMMKAGMDWRNTSRQYSRAVYNTLSRKMFKDVSLKLDINNGPLVAVAKEQQAVD >Dexi8A01G0012090.1:cds pep primary_assembly:Fonio_CM05836:8A:21086488:21086765:-1 gene:Dexi8A01G0012090 transcript:Dexi8A01G0012090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRNAGGTTTGSNGSGGAGDAVPQRGSNASAVVAVRGRGHQRDTRRGGGEEERGTTAAEHCGDLGFGMVDLEFGEETVDG >Dexi8B01G0015750.1:cds pep primary_assembly:Fonio_CM05836:8B:26466871:26467725:-1 gene:Dexi8B01G0015750 transcript:Dexi8B01G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAWAVGWGGRIHRCRLLAGKVAFVTGATSALGEKIARKFAKNGAKVILADLQSSNCQTLASTINTATNRPATQLHDVEAMDCDVRDTVDFVKAIENAKSNHNGRLDIFYNHVEFNHVSSISARGIFSGTMSANVESVMNRVEHVGAVMRSEGDGDDDSNYNGGGKCILLTSNTMGLLGDVVPSAYSISHAAVVGVIRAAAARLAKDGVRVNAISPATVDERVLKSIFPRATSQQIRDMIKDYMNVDPVSDDDVANAAVFMASKACKSVNGHNLVLSGKFPLY >Dexi9A01G0024750.1:cds pep primary_assembly:Fonio_CM05836:9A:21425149:21426287:-1 gene:Dexi9A01G0024750 transcript:Dexi9A01G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQGNAALTAANNIQPFFVLHKAAAAAASTVPSSRARRRLDASLPSSPNPKSAKRHRDVDEQDEEGPELYEKLRLEAFHRTWSKIQSTIDEVLRGINLKLFDQVLQWAQESFSAVRAVAKPCHAEVQQPYPLLTDVICRRIPTAL >Dexi2B01G0015870.1:cds pep primary_assembly:Fonio_CM05836:2B:25998692:26000745:1 gene:Dexi2B01G0015870 transcript:Dexi2B01G0015870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMNGGGKTYVQDEEKQRLLLEEHTEKHFTASEVVRDVIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQDEIDTVPDVEAAEIADILSQYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLERPEPRRALVSAGTIALSYVAGGLVPLLPYVFVPEAGRAMAVSVAVTLAALLFFGFVKGRFTGDRPFLSAVQTTIVGALASAAAYAMARAVQSV >Dexi5B01G0005100.1:cds pep primary_assembly:Fonio_CM05836:5B:3417117:3417767:-1 gene:Dexi5B01G0005100 transcript:Dexi5B01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQITVSNCSFVGTMTGVRIKSWQGGKGYAKGFIFESLNMTEVQYPIVIDQFYCPQGNCPTKPGGVAISDAKFINIQGTSTEQDAVKLMCSQSVHCEGIYLSNIHLSWMNHTSPTNAEILNAHGTTEGMLVPTILFSNT >Dexi2B01G0020230.1:cds pep primary_assembly:Fonio_CM05836:2B:30353636:30355687:-1 gene:Dexi2B01G0020230 transcript:Dexi2B01G0020230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASERTPTVCVTGAGGYVASWLVRLLLTRGYAVHGTVRDLGDEKTAHLKRLPSASDPEGGLRLFRADLLDYDAMAAAIAGCQGVFHVATPVPSGNLTDPEREMLGPAVTGTKNVLEAASAAEVRRVVVVSSMVTVEINPKNWPKDKIKDESCWSDKEFCRNNEDWYSVAKITSEEVALEYGRQIGLDVVIINPALVLGPLLQPVCNTSCQFLIYFLKGGPDRMRDKLWHIVDVRDVADALLLLYEAPGASGRHICAPHFVSARDLLRLLKRMYPGYPCINEESISDMDKPAPMTSDKLRKLGWSCRPLEETIADTVEFCEQAGFLGDLQGAHCRFPPVYNKI >Dexi9B01G0022330.1:cds pep primary_assembly:Fonio_CM05836:9B:17022104:17025635:-1 gene:Dexi9B01G0022330 transcript:Dexi9B01G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPCRRWRPAPLLLGLSTAEKERPPAIRWPSTKASSRAAAAANGGVELRATKLPLIGHILHLGLPNLIGVPPHRILRHLSRRYGAGGLLFLKIGEIPTVVVSSREAVEEVMKTHDAIFATRLQTETVKSVHKRGSDIAFAPYGNEWRQLRRICMVELLSTKRPSLSNLLVFSTSFYMNSQVRLTTYIKMQDLLLSGTHTVTTIIDWAMAELMRNPLVMSRAQSEVRTAFMEQMKVTEEGLRKLRYFHWVIKETLRLHSPGPLLIGRGSQETCRVMGYDVPKGSMVLVSAWAMSRDPKYWDEPETFKPERFENDTRDFRDHDFEFTPFGAGRRMCPGVSFGLASVELALANLLFHFDWNLPDGVDANKLDMAETMGFAGKRKAEHWLKPILRVPFASRN >DexiUA01G0019180.1:cds pep primary_assembly:Fonio_CM05836:UA:40054877:40058406:-1 gene:DexiUA01G0019180 transcript:DexiUA01G0019180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEPTGAPLEEPQAPPPPNPDPNPSEDAAVEGAEEEEPKTLEHAQELFDRGSKAIEDEDFVDAVDCLSRALEIRTAHYGELAPECASTYYKYGCALLYKAQEETDPLGNVPKKAPNEESVKSTTGKDDSESSKASGSNAEDAVSSEKVDEEGQSSNGNDHGDANGEIEKDDDDGDDDDKMEDEEDSDLDLSWKMLDIARAIAEKSPDNTLEKVKIYSALGEVALEREDIDNSLSDYMKALAMLDQLVEPDHRRIVELHRNFRICLVYEMQYKIGDAIPYCSKAITLCKSRIQNLKASKDALLAGKDGEESAAEGSSEKSSPDAEIEQLTGILAELEKKLEDLEQAMSSPSPAMDELMKAIASRETAGQKVSDGMPRAASFTSSQMAASSNGFDSSVMSTAATTGSTGSTVTDLGVVGRGVKRANIKPISAEPAPKKPALDSASAKGDSSNSSDALPTTQNGDESVSK >Dexi2A01G0005100.1:cds pep primary_assembly:Fonio_CM05836:2A:4758544:4761771:-1 gene:Dexi2A01G0005100 transcript:Dexi2A01G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTRRATAADAAGLRPDSTALLRPAQPPCRASTRRGRGGGAPEGLVRRSDVAPCLTCGICGGLLRDATAISECLDAFCRKCIYDKLVKEDIKCCPTCGVHLGRSPLEKLRSKIFPAKRRKVVSDKNKKRNEKVSAESTLSSVVDIVKKGSIAPLPPAVSQIEAQKIDIEVIDEADEALVAQDSVYESSILNLAPVGRDALSRIRCRDFYSACHLSPETGALIVWQPPPILEEMVAHDQLAPRQDPQTSRHPATSDTEYQRQASSVEMNNTSAVAGSSFHDRITVQDDENLMGDIHALFSEIEARTKERYGAYVSKLKAENSKLIKELENERAALLERTRILEERHQRELENERAAAAAAAERSRIVEMMLQRELENEREAALYRTRILEERHQRELENERAAAAERTRIVEERLQRDLENERDAALVKQKFICYSGFNFRELENGRAEKQALVLDILERSEELATLKYYSNMLEFEKTDLEHEVERLRKDLDFVNKKHEKYVRQVKEFTDAVQGSLNSST >Dexi2B01G0028470.1:cds pep primary_assembly:Fonio_CM05836:2B:37092374:37093520:1 gene:Dexi2B01G0028470 transcript:Dexi2B01G0028470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALADRRLNRRYGQADRISDLPDDLLHRILHCVASTLAAVRTSVLSRRWRHLWADLTELRLDSVLAPVPVIDTVDGALSACCVPAVTRLSVSLDHLPPWGSGISADRVAPWLRFAADRVAGDLCILLPPAATRGAVEVAVEEDLHLPVCERVTEITLWLGGRFRLRMPAAAGAFSALTYVNIRDARMESGELEEFVSRGCPRLEDLAVRLPPFLVESVVCIRSDSLRRLDFHIKNTRRLEVAAPRLDRLSMSHAVKAYITAPELGEVAWEDDAFNPLRHKFTETGRHLRRLVLTLSSPTAPLLQRFDTVDELIVDIFIPHCKHLFTTARCDK >Dexi9A01G0039160.1:cds pep primary_assembly:Fonio_CM05836:9A:43243822:43247312:1 gene:Dexi9A01G0039160 transcript:Dexi9A01G0039160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVANHASLLLKAAAAKPFFSPRAAARIPAPPPRAHPAAARLPPAATAGALGATRWFRWQSARGLCAAPHSGGGAGAQGMGSDAGVGARKRLAPAVNGLTKEVPPVNGLAKEGTAPPPPPPPRLLTLPTVLTIGRVAAVPLLISTFYMDGPWAATATTGIFLAAAVTDWLDGYLARKMQLGTPFGAFLDPVADKITMSAVREWAASQSGKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGALVTPGVALLYVSAGLAIWSLVVYMRKIWRILLK >Dexi1A01G0011970.1:cds pep primary_assembly:Fonio_CM05836:1A:11471674:11473177:1 gene:Dexi1A01G0011970 transcript:Dexi1A01G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLSGMKGSIAVTILMMMVSIFVHDLVRCAAPIEQPVPPPPAIFVFGDGTMDIGNNNDLTGGEMGDPPRANHPYYGIDFPGEPQNTNGRFSNGYNIADFIAKALGFVMSPPADASLANPSPTRIEGFTGVNYASADAGIRDSTNGNMTIPLPMQVNNFVETRAQLKAVLGGRKPLNKFLSKSLFLIGVGTMDLLPNCNFYLELFPINDNKTEVQRLIEFYGADLEKLHSMGARKFAIINVGPIGCSPFVQNDPARNGGCDDGMNRLAGEFNAALGSLLSDLGTRLHNFRYTLADFYGFSNATFVNPLASGFTNIDTACCPGACAPTHSYWAPCYNRPEYWFWDEGYTTEQADKLAAVAFYNGTVFARPVNIRRLIAMKG >Dexi5B01G0036480.1:cds pep primary_assembly:Fonio_CM05836:5B:36186523:36187230:-1 gene:Dexi5B01G0036480 transcript:Dexi5B01G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGRSHFSGGQNNGNDDQQDGYGSGGQQQQQHESTGGKKPRGSSRLKKSSAAVGKKDAADADDDYPKSYAAVTNAEADDDNRYNNNNNYNSNGGGDYYNSGGNGNNHYNGGSVVVRGTPYYGGYGNGAPYGGGGYGNSAPYGGGGYGNGGYAPYNKAPPGGAGLWGPQDGSRSPLYINTKEVHVYGASPGYESNENNGKEKDQRRRGGGFFGPAFHAVGHFFDCKFGLNNRDRD >Dexi3B01G0014720.1:cds pep primary_assembly:Fonio_CM05836:3B:10601754:10603718:1 gene:Dexi3B01G0014720 transcript:Dexi3B01G0014720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFWQFSDQLRLQNNFSNLSIADSIWSSASALPDQGVAAGTNGGGPGLIGSAGGKLAFGNATTTNADRYNYFPANAGGAANLDAKTNSNNSGGLAAFNKNINSPAALGNDYYFSKSAANTNAGGDVIKSYLNKSVGRQANNNNNNNNNSSSFGVGKKSAAVHDKKKGAGNGNGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKVFA >Dexi7A01G0019100.1:cds pep primary_assembly:Fonio_CM05836:7A:28403919:28407241:1 gene:Dexi7A01G0019100 transcript:Dexi7A01G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMHRSSSDGGSSSGWSDAAAAVAAAAEERAGWEVRPSGMVVQAREDYGAPPPRPPPPEIRVRVKYGGARHEVSVSPIATFGESFSCPCLVLFPHCLPLKPPRQLKKLLAARTGLQPADQQMTYKGRARSNTEYLDACGVKNKSKLVLSEDPASLERRYIERQKNAKIESANRAIGAIALEVDKLADQVTSMEKSISRGNKVAEVQITTLIELLMRHAVKLESIPAVGDSSSQRNIQAKRVQKCVETLDMLKVSNARLQAVVVTTKWETFDAPATTQWELFD >Dexi9A01G0035570.1:cds pep primary_assembly:Fonio_CM05836:9A:40202430:40202850:-1 gene:Dexi9A01G0035570 transcript:Dexi9A01G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIKLVGSDFSKAVQEEAVVGARGLELYGVRLVVILFTVTVVVVVAAAQLLEDSDEGLLGGEDERKP >Dexi2A01G0033840.1:cds pep primary_assembly:Fonio_CM05836:2A:44029973:44033236:1 gene:Dexi2A01G0033840 transcript:Dexi2A01G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGSSSCALCEGSNLPSCCAACVNARLVEYHTRLRMMRSLRDSLQARVAARLEAKSKADEQRIWRARKNQDIMELRDRLTELKRKATIEKTKVQLSSSDIKAQTASLNLAFVTLKKRRADAVTMHTNAMKAAQINLVIKEGEKKEEYSGPYDSICGARLPRGLDPHSVPSEELSAALGYMLHFINIAVRILSAPALHVSGFKALRAALESNIASRSEKQAQQLNKSIWMASSAISSDSSFMDSIHTAIMPSTLDNLLLNSNESLYTGKLVKHGGVPESILDGWDLVEREVLPPPPSQVENVAQWERAMYAGTKKK >Dexi4A01G0017000.1:cds pep primary_assembly:Fonio_CM05836:4A:20770701:20774062:1 gene:Dexi4A01G0017000 transcript:Dexi4A01G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGEDTRRRAAATDYRKKMLTCRELETRTKTGREDLQSSKKNLEKTEEDLKALQSVGQLIGEVLRPLDKERFIVKASSGPRYVVACRSKVNKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADMRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Dexi9A01G0019200.1:cds pep primary_assembly:Fonio_CM05836:9A:14145117:14145691:1 gene:Dexi9A01G0019200 transcript:Dexi9A01G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEATILDLDLSDERAGLVLEDIEHLERVIFPEHASPARCLRDELKRRNSGLIYLPSSAAGEEVVGYAAYTCNTSLCATIIELAGPGEALLRAAVERCRGRRVQRVILHVDPARAAAVALYRKAGFQVDATIEGFYAPQRDAYRMFVDLQ >Dexi5B01G0018080.1:cds pep primary_assembly:Fonio_CM05836:5B:20020293:20021537:-1 gene:Dexi5B01G0018080 transcript:Dexi5B01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEITLTRETPSDRRSWWRRNDDDYVDDGDVGVLRIGRDLAAVDTTFSTSDEVTRRWLAEASAAARGRRLRAPLVAGLVALRGHDPADQDRYWGCGSAANFRPNNHANPIRCVALCLGGSRALVYSPEVLRYRAASKPADADRLSFYDNKMAQLRAFLDDSRITVACFGAREVTRKLAKEWGLHVAFPEELTDLFALAYGDVAWVEREERRLPKKPAKYWMGKAALKREKAKAKRDEYDSDEEERLNNLGRTWRPPKVVRGLSLERMARVALGPEMRLARCPPKVALSDWGNDYDGLAKEKWAYATRDAYLCFEIAARCLQKLGDPIGAGSSSAKPAVAPMQMLA >Dexi3A01G0032370.1:cds pep primary_assembly:Fonio_CM05836:3A:37123704:37127253:1 gene:Dexi3A01G0032370 transcript:Dexi3A01G0032370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTPSKAHAASHHPSAAAAAAVPATATARLHPSAPPGSASAAAAALSTPHVLAASSPASSSAACPPAHNPIFVSPGAPWVQQPQRAAGAAAALGPEFRRARTTRTISKRTRGGWGGAGAQDRGRVSSAAAGRCVEKLLRVAPEDRRALGAALSSFRGELVAPEDYCQVLRDLGDRDKSAYRAFEVFYAALPLVGGGAVDKGKLLTAAIGALGKIGRPDLARRAFDSGIAGGYGNTVFAHSALISAYARSGLATEAMGVLESMKAAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLQDGLCPDRKTFNSLLAACSRAGHLEDARTVFDEMIHLGSVRDIYTYNTFVDAICKCGNMELAMQVVLDMEANNVKPNVVTYSTLMDGFSKLEKYDDALKLRGKMKSLGIQLDRVCYNTLLAIYVKTGKYDEIATVCEEMESLGIDKDTVTYNSLINGYGKQGRLDMVAFLVQDMRAQGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVECALSLLDEMMKMGIKPNVVTYNTIIDAFGKSKILTEEDPEIGHMEIVGVYGGQIVRAANPVTRGGRSPIDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLMGHREVWSQARSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQQVVLEGVNRHVWENTWNEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGSSTLRRVIEALLLSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTINILLLRDERVQHANPSNLVPRLQALQL >Dexi6B01G0017470.1:cds pep primary_assembly:Fonio_CM05836:6B:24634815:24635802:-1 gene:Dexi6B01G0017470 transcript:Dexi6B01G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVASSTPPVPEWLEALLATRFFLACAAHPASPRNECNMFCLDCTRGSPPAAFCYYCRAHRHATHRVIQIRRSSYHDVVRVSEVEDVLDITGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKLVDTKRSNGGHAAAAGDADGGAGIEKADAGGSKNDAARPLGRRRKGIPHRAPFWS >Dexi2A01G0012440.1:cds pep primary_assembly:Fonio_CM05836:2A:14387510:14387885:-1 gene:Dexi2A01G0012440 transcript:Dexi2A01G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAGLRAYREVLRLVRQLPAETQPYYAKYARENFVNYRDLSADEDLAALLRRAHTHSSWVLSKYSIDADKAAARLKALGDGQAGL >Dexi1B01G0001700.1:cds pep primary_assembly:Fonio_CM05836:1B:1340537:1342799:1 gene:Dexi1B01G0001700 transcript:Dexi1B01G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATVDNLKRLCIDHYFEEEIESAMGVCISLVHSDDLHDATLPFRLMRETGHDVSADDVLRRFTDSAGEFSLALSKDIGGLLSLHDMSHLDIGEESLHKAKKFSTKHLASAIRDLEPGLATYYKARHHLSYLQSLPNRNTAAMEEWWMELGLAQEIPVARDQVLKWYTWSMTILQGPSLSRYRVEITKIIAIAYVVDDIFDLVGTLDELSLFTKAIKVWDIAADDSLPSCIRSCYKALYTWEVLFDGFMVEAKWLATNQVPTAEEYLRNGVVTSGVPLAFVNILFLLGHHHAADMDATELTDHIPPAISSAAKILRLWDDMGSAQDEGQEGLDGSYRELYLMENPAGDAEEHMKRLIAREWAELNRECFSRRTFSDSFSQACLNAARMVSVMYSYDKEQRLPVLEDYMRMLLI >Dexi7A01G0001020.1:cds pep primary_assembly:Fonio_CM05836:7A:2697525:2706227:1 gene:Dexi7A01G0001020 transcript:Dexi7A01G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTAAELNFLVFRYLQESGFIHAAFTLGYEAGIHKGAIDGNAVPPGALITIVQKGLQYIELEANTEENDEEIEKDFALLEPLEIITKDVEELQQLVKKRKREKSQTDREKDRGKEKERNEEHERRLGGERERDRHDKDKEQLREKDKSEKDREHEKEKEKDKDKEKEKRTERIDKVKHEEDSLAGAGPSPMDISVTAQEISSTDVTVLEGHSSEVFACAWSPTGSLLASGSGDSTARIWTIPDGPCGSSMQSSLPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGEQRPVKAFSGHESEVNAIKWDPTGSFLASCSDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGTGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >Dexi5B01G0007590.1:cds pep primary_assembly:Fonio_CM05836:5B:5113383:5118392:-1 gene:Dexi5B01G0007590 transcript:Dexi5B01G0007590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPAAGGRFRAGVLPWRLRQRSCLVSPPTSSGGGGEPEKARPLLVERYRDGVSKRYMSDGNSKLQIRLEKHESPANAVEDENADSLIPQAIRDFVLPAGFPVPNKCDRMDLSCTGYIKSSEGGRFGTLFDDDPKKWRMYADFIGSAGSVFELMTPLYPGYFLPLASFGNLAKAVARGFKDPSFRVIQNHFAQSGNLGEVAAKVPTALLHFSDSYVVCNEEENILTWEKFLHPRISFGVPMDRMIGGEESSDKVNRLLMLYKSEKYVLFVEKFGSREPTFLVTFKEAATSMSVLRSLWQAHWLQKYQQNQDEVFSWLEDSILALEHGFTDFLEQMERAGWDQNQIILKVPKEPVLVSEHLD >Dexi1B01G0026660.1:cds pep primary_assembly:Fonio_CM05836:1B:31494379:31494783:1 gene:Dexi1B01G0026660 transcript:Dexi1B01G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDLTDIGPAASACGAPCGAAAAGAAPCCSCLERRVGRRRFFLFSFSASSAADGGIGGGAGMGTAAVCSPVALMMQPPSLSLILSIYLSSKHTRRGNPRSGWGVLRFVDTDGGKKRGAEARGEEEEGAGRKG >Dexi4A01G0004140.1:cds pep primary_assembly:Fonio_CM05836:4A:2960093:2968591:1 gene:Dexi4A01G0004140 transcript:Dexi4A01G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVEVEKATETAGPAYRNALAENGHLQPPPGLNSCWDIFSSSVEKYPNNPMLGHRRVVDGEASEYAWMTYKEVYDVVMKLAGSISTTRVKQGERCGIYGVNCPEWIISLEACNALGVCTVPLYDSLGAGAVEFIICHAEIQIAFVEEKKIKEVIVLTIVSFGVVTSDHKEEAKTLGLSIFSWEEFLINDGYYDFDLPEKKKSDICTILYTSGTTGEPKGVMIPNESLIANILSTDFVMKYVGEAFDQDDIYMSYLPLAHIFDRVFEESFIYHGSKIGYWQGDPKLLVDDIAALRPTILCVVPRVLDKIYSGLTFKISSSGILKKTLFNTAYKLNNKLIIRKLARMHKGTKHEKATPIFDKLVFNKVQVKEGLGGHLRVIVFAGAPLAMSVEEFFRVATCAYVVQVYGDIGEWIPDGSLKIIDRKKNIFKLSQGEYVAAENLENMYNAPPEIDSIWIYGNSFESFLVAIINPNQQALEHWAQQNGICGSFAEICENSRVKEHILSELTKIAREMKLRGFEFIKAIHLDPIPFDMERDLITPTFKKKRPQMLKHYQVISISYGAASESRGGAVTRDSRIPRQRRRPSWARAEPVLRRPRGLLVFLVVGPGDCCPSLRELSAAKDYSVVANAAGRHRLVQQDLRYAPFDSTAAFWDFYSSTNPRRAPQGSSTSGQLSSL >Dexi5B01G0023150.1:cds pep primary_assembly:Fonio_CM05836:5B:25373534:25373954:1 gene:Dexi5B01G0023150 transcript:Dexi5B01G0023150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRYYAAQLGHIDDMPGMGMEEVEMDMEDDGDMEMEMAMELGDATWPEVACPYCYEDHDVASLCVHLEEDHPYEPHAADSY >Dexi1A01G0017380.1:cds pep primary_assembly:Fonio_CM05836:1A:24744640:24745248:-1 gene:Dexi1A01G0017380 transcript:Dexi1A01G0017380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSELATILFVAGVLLLFVLFACGVVSLQLCINAFYRRRAAQAAASQSPWPRPSTRTQTKRVAGDPAEAPPRSLPATAVYRAAEAGSTAAEECAVCLAGLEDGEEAQLMPCCGHWFHALCVDKWLASHATCPLCRIAVARPGMAPPALSRVPSVVSDQVVVTVNTGSDDTMPLPSPRGTAAVVVIEIPEMMDVPHGDHPK >Dexi1B01G0014400.1:cds pep primary_assembly:Fonio_CM05836:1B:20679955:20680242:1 gene:Dexi1B01G0014400 transcript:Dexi1B01G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKSGCAGFVATKADDIRSMAVEVTEATSAGEEKASAGKEKGPPQSTAAGSIAIVRTVGEGRRAVETGVRGAGGGEGRDARRPWRGSGYGI >Dexi5B01G0030840.1:cds pep primary_assembly:Fonio_CM05836:5B:31672541:31673962:-1 gene:Dexi5B01G0030840 transcript:Dexi5B01G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFSPSRLLGERRPPSRAAASPHLHSLVALLLRHQDRRRQLLQIHAQLVAHQVFDQSPAPWRALLKAYSHGPFAQEALLLFRLARRNLADDTFAFAFSLKACAGLGWPRASAQLHGLVIRKGFEFHAYVHTSLINAYVVCGHLVEARRAFGEMPTKNVVTWNVMITGFAAWGEVEYARILFERMPCKNVVSWTGLIDGYTRACLYAEAVALFCHMMAGGISPSEITVLAVVPAVFNLGGVLMGEMLHVYCEKKGLMSDVRLGNSLIDLYAKIGSVQNSLKVFNEMLDRRNLVSWTSIISCFAMHGLSMEAVELFAEMRREGIRPNRITFLSVINACSHGGLVEQGLAFFKSMVYEYNINPEVKHFGCIIDMLGRAGRLNEAEQIIEGLPVEVNVIVWRTLLGCCSKYGEIEIGQRAIKRILNLEKESGGDFAVLSNMLNELGWFSDAEQVRKLVDVRKTVKVPGLALVGEIR >Dexi1A01G0024760.1:cds pep primary_assembly:Fonio_CM05836:1A:31198993:31203039:-1 gene:Dexi1A01G0024760 transcript:Dexi1A01G0024760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEGDFHMPLIFAGAARLPGFHTCVGAGDELLTTKWYKEQGIELVLGTRVISADVRRKTLLTGTGETISYKTLIIATGARALKLQEFGIQGSDASNICYLRNIDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNKIRVTMVFPEKHCMGRLFTVKNAEYYENYYTSKGVTFVKGTVLTSFEKDTSGKVTAVILKDSRHLPADMVVVGIGIRANTSLFEGQLVMSMENGGIKVNGQLQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVASILEPSKTRDIDYLPFFYSRVFTLSWQFYGDNVGEVVHFGDFTGSNPRFGAYWVNKGRIAGAYLEGGSRDEYEAISVAVRRKAKVTNMAELERQGLSFAIQESQKEVPDSGLAVVGKPTYAWYATAGVVAAVSIAAISYWYGRKRRRW >Dexi9B01G0041120.1:cds pep primary_assembly:Fonio_CM05836:9B:41566152:41569750:1 gene:Dexi9B01G0041120 transcript:Dexi9B01G0041120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGRGGNDDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLPEGEREYYEKQFATLRSFEEVDSLESNEINEEEELAEQNQSEFAMKISNYANIVLLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPDKLNQVQLLWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAVGESLQIKIEELPEVERAFVHLDFECDHKPEHSILNKLPSSQP >Dexi1A01G0022400.1:cds pep primary_assembly:Fonio_CM05836:1A:29065872:29066960:-1 gene:Dexi1A01G0022400 transcript:Dexi1A01G0022400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQHSTLGIIPPPTFPSATILRTPATSTSLTRLPGSFRSARIPDTSVSSSSFSAPTAAAISPAAACPASSAATVAMTGMWPDSMIGRSTVGSTRVTSPTNPREAASREGRAVSRRASLPQRPTAVAPARLMRETSCLFTLPTSTISTTSMVTASVTRSPLRNCGSTPTRASQELISGPPPWTSTGRRPTQDRRTRSRITDACSSGDFIAAPPYLTTTVLPLNRWMNGSASDRTSTRLSAGAAGGAATAATEAAETRRPPRRLRELDEAARGVAMWLAAGIGFGSGVEAEAREEGWGFVRSMPATGRVVLDEMPHPGVVCRVEWAVALRWMCRGRAAAQRKWVCRWGHGSRWRW >Dexi7B01G0012850.1:cds pep primary_assembly:Fonio_CM05836:7B:19552528:19552990:1 gene:Dexi7B01G0012850 transcript:Dexi7B01G0012850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASTTNTMEATRLPAGLSITVEKNPPEARLLQLGVKSWPKWGCPPGRFPLKFDAALTCYLVKGRVRAAVKGSRECVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFDPS >Dexi7B01G0021460.1:cds pep primary_assembly:Fonio_CM05836:7B:26476534:26478993:1 gene:Dexi7B01G0021460 transcript:Dexi7B01G0021460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSSAGVVFFVLLLVLAGASVSHGSFQGRRVLSDEQGRGIVAQWRRSLADAAPPANNSLVLAAARTYRSDPFANLTAYTGGWNISNQHYWGSVGYTAVPLFVLAFLWFIGFGVVLLVISCCCCFCRNKNSDYSPGCYFSSLAILIILTLATIFGCVILHCGSDLFHDSTMRTVDYVFGQGNLTVNNLRNFAGSLAAAKNITVDQIFLPADVQQKIDIVEEKLNSSANEFSTRAMDNSRKIKNVVNHMEHELMATAAVMGGLALLGFLFSVLGLRFFVSILVIMAWINLTITLMTSGAFLLLHNVVADTCVAMDEWVNHPQAHTALDDILPCVDVATADESLYRSQEVTAQLVALVNNVVVNISNRDFPPGLRPLYFNQSGPLMPVLCNPFNHDMTPRACAPGEVDFKSAASEWKRFECQTTRSPDGSELCSTPGRVTPAAYGQMIAAARVSQGLYEFGPFLVQLQDCSFVRETFTAISDNNCPGLERYSRDVYVGLLIISAAVMVSVVFWMVHTRHRRRRAMSK >Dexi8A01G0016010.1:cds pep primary_assembly:Fonio_CM05836:8A:27108621:27109090:-1 gene:Dexi8A01G0016010 transcript:Dexi8A01G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSYGGGQSSLNYLFGGGGDEAPAPRAKPAAVAAAPEQRAAAQPTAAAVAAAAPENEKLKGIPAGVRASQTNNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >Dexi6A01G0004930.1:cds pep primary_assembly:Fonio_CM05836:6A:4504996:4511122:-1 gene:Dexi6A01G0004930 transcript:Dexi6A01G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGDGRRNGGEMVVAKQQQGKDCVCGFPVCACAGAAAVASAASSADMDRVEAAAATEGQIGAVNDESWVAVDLSSDDLSLDDGVAIEDRAVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRISHRNPDAQWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRADGTSRLPGLDIFVTTADPFKEPILSTANSILSILAADYPVEKNTCYLSDDSGMLLTYEAMVEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRSQEDFVNDRRRIRKEYDEFKARINGLEHDIKQRSDAYNAARGLKDGEPRATWMADGSQWEGTWVEPSENHRKGDHAGIVLVLVNHPSHSRQLGPPASADNPLDFSMVDVRLPMLVYVSREKRPGFNHEKKAGAMNALTRCSAVLTNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRVTLYGFDPPRINVGGPCFPALGGMFAKTKYEKPGLEMTTSKAVVAKGKHGFLPLPKKSYGKSEAFVDSIPRASHPSPFDDAAVVTDEATIIEAVAVTTAAYEKKTGWGSSIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSKNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVLVKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIIMVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGLLGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGGGKHAGHVGGKHGGHGHHGGELYGWP >Dexi9A01G0036150.1:cds pep primary_assembly:Fonio_CM05836:9A:40670633:40674956:-1 gene:Dexi9A01G0036150 transcript:Dexi9A01G0036150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEPAHGGRANASSHDRGSGGFGGGGGARRGVSRHSEYRVLVSGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTIGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSYSRSRSPSRSYSRSRSPSKSPRGRRSASRSRSRSVSSRSRSPARSKSPNASPPNGEAASPKKQSPSRSPSGSRSPDAKPE >Dexi5A01G0037200.1:cds pep primary_assembly:Fonio_CM05836:5A:38592960:38594446:1 gene:Dexi5A01G0037200 transcript:Dexi5A01G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFSLAGAFKGLSLASPSSSSLRPSFLRGDRAALSVGGAAVGVPVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTKVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGTIEPQLVLASVDENSEVSADC >Dexi7B01G0024270.1:cds pep primary_assembly:Fonio_CM05836:7B:28633804:28634415:1 gene:Dexi7B01G0024270 transcript:Dexi7B01G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLVCDKDYPDKPPSVRFHSRINLTCVNPETGQVEGSRFPMLSSAGWHREYTMEHILTQLNKEMATPHNRKLLQPPEGTFFFNHNSATIHADN >Dexi2B01G0025300.1:cds pep primary_assembly:Fonio_CM05836:2B:34584485:34587247:1 gene:Dexi2B01G0025300 transcript:Dexi2B01G0025300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRRRRRSPPRGPRRVTRSQSRPGDLSVLPDELLHLVLARLGCLRAAARTSGVSSRWRNLWTGIDEVAIRDLAPVAIHAALARLARSRSSVSTLDIHVPEQRAGLRVSAVDSLLSAAAGLSPGELSVTIPELPYDRDVAVSLPRLDATRSLKLNVPGVIFVSPPGRVFPSLETLSISDCAMDIAAMLPRCPKLRVLSVSKAPESVATIKVHSASLQEFAGSILDQSVVDVAAPALKKLTLSFDMGMDGNLSVVAPMVEEMYLKCWYYSMYVGLFSDTWRVCFLSILAAESKEQRKRTGGDTSALVLPRAQVMSLKILVAHIEDSCFRRRCHCEVPNKNWRDQTLSLVSITEVEIKGLRGEYHEVDFLALLFKCAPMLERVNVELRNGVIPETDWHNLVQDTFQEYPCVDSTIRV >Dexi9B01G0008080.1:cds pep primary_assembly:Fonio_CM05836:9B:4983746:4986198:1 gene:Dexi9B01G0008080 transcript:Dexi9B01G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILERYERYSYAEKVLVSAESEIQGNWCHEYRKLKAKVETIQKCQKHLMGEDLETLNLKELQQLEQQLESSLKHIRSRKSQLMMESISELQRKEKSLQEENKVLQKELVEKQKVQRQQQAQWDQTQQQTSSSSSSFMMREAPPATNISNYPAAVGGRMEVAAAQPQARVGLPPWMLSHISS >Dexi2A01G0025870.1:cds pep primary_assembly:Fonio_CM05836:2A:37508917:37509177:1 gene:Dexi2A01G0025870 transcript:Dexi2A01G0025870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEELPVFIAAVSDAWPADRKPLERHLLRLLSPASTRGTPSRCSWPPCCSSKECYYASAAHKMQHQESQVARANSVNKLPQVIEI >Dexi3B01G0034670.1:cds pep primary_assembly:Fonio_CM05836:3B:37355028:37355432:1 gene:Dexi3B01G0034670 transcript:Dexi3B01G0034670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRAPLPVLLHGATVVAILLAASAIISTTPAAAANNHGGGGRMVIIRAPPHGTTTTSAAAVRARSRSRWLQQRRRVEDEVAPEFAGLLGAVDDPTAASSLVPGQQVCIGGKCVAAGQSYIRPCTYGTQCNGGG >Dexi2A01G0008350.1:cds pep primary_assembly:Fonio_CM05836:2A:8516206:8516908:1 gene:Dexi2A01G0008350 transcript:Dexi2A01G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVISRIVTAVATSSGLILNTLDALESSELALLRRDLAIPVFDVGPLHKLSPATSSSLLEQDRGCLDWLDGQASASVLYVSFGSLASMSASDLADSGVPFLWVLRPGLAVCAGVPMLCRPCFGDQMGNARYMADAWRVGLELGGVLKRGEVAAAVAALMDDGGAGMRRRARELRRRAAESVGGEDGASWLNVDKLVSHIMAL >Dexi5A01G0019810.1:cds pep primary_assembly:Fonio_CM05836:5A:23723883:23724256:-1 gene:Dexi5A01G0019810 transcript:Dexi5A01G0019810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVPERTAAYISQHDLHIGEMTVRETLEFSARCQDMLTELSRREKVGNIKPDADIDAFMKA >DexiUA01G0019630.1:cds pep primary_assembly:Fonio_CM05836:UA:40852124:40852378:1 gene:DexiUA01G0019630 transcript:DexiUA01G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGKDCRCASASGGGGGQQREHTTCTCGEHCECSPCTCGRAMLPSGREDRRANCSCGPNCNCASCGATATA >Dexi8A01G0010250.1:cds pep primary_assembly:Fonio_CM05836:8A:16973696:16974438:1 gene:Dexi8A01G0010250 transcript:Dexi8A01G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSNTAVLFTVVILALTSNTAAGNATASTNATAGNATASPTKNSTAPLPPIGTNHAVGDGTGWFFDWKANASAANYSAWAANRTFYLGDYLSFKTDTGNTVVHTTNATVYKLCSAGVTARGSSSGSKAEEAFLAVMLTAEGANYFFSDAWDGEHCRNGMQFEVAVAHGRGLPSVPASYYEPLSAAPAGGMQGARAVATWVALVAALATVLML >Dexi7B01G0005350.1:cds pep primary_assembly:Fonio_CM05836:7B:12787176:12791692:1 gene:Dexi7B01G0005350 transcript:Dexi7B01G0005350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKLVKPAIAGVTNPTIAGGAPDTIPLSVFDRVNFNTYVSVIYIFRAPTPPNAALESGLTRALAHYREWAGRLTGAPPTAIVLTDDGARLVEATAGDDVPLDAVLPLDPTPDVTRLHPRPPSANAEEDDELMLVQLTRFACGGLAVGITAHHLVSDGRATANFLVAWSQATRGDVTIDPAPVHDRASFFKPRHPPRVEFDHRAVEFKKPPAHAKLAGDDEVVVVHRAHFTREFISNLKSQASSSPTRPCSTLRCVAAHLWRRITAARGLAGDDADVSVSTSVCIAVDGRARMRPPVPEGYTGNVVLWARPAASARDVVARPLRHAAELIDGEIGRIDGDYFASFVDFAASGAVEEEGLVPAADAAEMVLSPNVEVDSWLRILFYDLDFGGGRPCFFMPSYLPVEGLVILLPSCYGDGSVDAYVSLFSRHMDAFKTCSPSAPLPGRDAGDDVGAAVHGRLERRLVDLERGWHAYKQTGRPLQRRSRSATATPTSAVTVAAPEPDGLVPLLRRRSSPKKLVSSLQQTGSAADIPAGSYGEEGPSSVCSSVQGGYAVAVPSSSSCSGRCWCDDCRSGYSTSSSCTCATVPTFSSSAGGDMGGELARKSDEGRSVARTGRAAWISAAIDDPMDCDYSLFH >Dexi5A01G0020660.1:cds pep primary_assembly:Fonio_CM05836:5A:24471156:24471533:1 gene:Dexi5A01G0020660 transcript:Dexi5A01G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRNRFPWQLSLSQWVDHGSGARARVSGGGKAAAANLAPGSTEAALSDEFPGPRRRSPSAGAARTRPRGREEGVSRASGRGEAERSRVAENRRFGRFRVAVQMWRSPKAHPWEAVVEAARLFP >Dexi2B01G0018410.1:cds pep primary_assembly:Fonio_CM05836:2B:28707200:28711071:1 gene:Dexi2B01G0018410 transcript:Dexi2B01G0018410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPANPAPLLSSRPNPSLLLHRRPRLPHPPAAANTTGAASSPDWFLPRRPPDTDPSTSSGGRVAARDPGVRVKAKEGTEEEKGNKGKRRRWWERWSGNKESYLVDDVEPLPIPMTVPGTEPMSREELDRRLSCDVEIEDCKTISYEWTGKCRSCQGTGLVSYFRKKGKETICKCVPCAGIGEMRFLGLGYVRKITFREDIQKVDELDNGKPP >DexiUA01G0026850.1:cds pep primary_assembly:Fonio_CM05836:UA:57350197:57350517:1 gene:DexiUA01G0026850 transcript:DexiUA01G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYYFHGNLTSLRHKWQLQVPLLADEIFFIALSDGTICRHGRQSCNMSGGNEPLVMAAMNNVSFQLPLSLEAPLLEAHYYHRNNNNGIKLVVHAAQQSTKGVQLH >Dexi1A01G0004900.1:cds pep primary_assembly:Fonio_CM05836:1A:3546159:3551859:1 gene:Dexi1A01G0004900 transcript:Dexi1A01G0004900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKMCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGIHRHTALSRRRDLRGGDFRDRFDRRRSPNRRHSPDRDSRGRPLHDRRPFSQERESSYSRSPSRKSERRHEKKADDGQTNSSRSLSVSDNNDDRKKETLLSGDDNEDQEIQLKQIRQDMELLREDKSHLEIILDEKTAEARRISSRVNDLDLQLRKEKEECHRMTSKIKKFLKAHARFLKAQEELKRSQARFERLGDLLASDVLKRGANEEVSSINVDEDPNGPYERSPNAATAKKRSILYSTSEEAKAVKKRRDRDADFDKPSKGTEPTKSLYLKKKLWEDEKDKIGNVFSSGNIDKVKDSPVRHVLPSTGMAAHAVDDLFEAVELEDRHDPMSASIENDAGDETRSPALPPQPLPVANSYEQYEGDDEEVDVE >DexiUA01G0018040.1:cds pep primary_assembly:Fonio_CM05836:UA:38562986:38564056:1 gene:DexiUA01G0018040 transcript:DexiUA01G0018040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLAWPPFDLTTARGAALHRPAPRRRAAIRCCCAGADTEPRRRLSRAAAAAPERAEEWRVDGNKPSAAAPGRRRASLTAMPPLPFPAPRRQFKQQDFYPRCTQRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKETGINEDGSTWYRESGEDLGENGFRCRWARMGGQSHDGSTEWKETL >Dexi1A01G0015290.1:cds pep primary_assembly:Fonio_CM05836:1A:22279620:22280037:1 gene:Dexi1A01G0015290 transcript:Dexi1A01G0015290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLTSALAWRPAAGAGARGPLAGGLPGRDDDDTRDRRARFAIDSPFTAARVPG >Dexi5B01G0011170.1:cds pep primary_assembly:Fonio_CM05836:5B:7889846:7890936:-1 gene:Dexi5B01G0011170 transcript:Dexi5B01G0011170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSLVVEVVRARNTAPTVQGGHQGYKVKVNGFPCKANASADDFFSSILAKPGATNTTTGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGGLNPPHTHPRATEVVFVLYGTLDVGFVTTANKLVAKTISQGDVFVFPRGLVHFQRNAGDEPAAVVSAFNSQLPGTQSVAMTLFGASPEVPDDVLAKAFQIGAEEVDKIKAKFAPKKS >Dexi6A01G0018360.1:cds pep primary_assembly:Fonio_CM05836:6A:26093334:26096403:-1 gene:Dexi6A01G0018360 transcript:Dexi6A01G0018360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTKAMQKPMQTPPHYATSGGYMGPGTPPSMYLGGGAPPYGSSMFNGPPMPRYGIPQFAGSSAYPYGYGGRIPMGSPYGPMQMAGPPPYSSGSMMGAGGMYGMPMDRYGLIPASPGAMGSKPDGSRGSMSPRTVMRVKKVQRHRQGSV >Dexi4B01G0002950.1:cds pep primary_assembly:Fonio_CM05836:4B:1938340:1939167:1 gene:Dexi4B01G0002950 transcript:Dexi4B01G0002950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYPDWNLLATRGYITDGKNAGGYITGGKKEAIVAESHTSYGHRIPVSLFVADPPAVSHLCVHFSEEVEFTYNPAVIFSRNDLILFDIWFGREDTSNFFMYKADMEAPSLLPILDPPELGISGLCNTGIVCGGGDDFAVAALSRDYMTGMFKLSVFDSRTGMWDTKFLPLEPSESLRKPNELRLHFSKVISLKGSILGWVDMWRGILICDVLCDNPKLHYIPMPHPIPGNEGVAGYCGYSSYFRDAIGYGDLIKLVEMDYLDDDTADTDASDY >Dexi1B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:1B:22096063:22097573:-1 gene:Dexi1B01G0015520 transcript:Dexi1B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVSQVVPSAEDAAALLKAFQGWGTDEQAVIAILAHRDAAQRKQIMLKYEQMYSESLMQRLQSELSGDFERAMYHWMLDPVERQAVMANAATKCIQEEFPVIIEIACANSSAELIAVKKAYHVLYKSSLEEDVAARTTGNLRSLLLALVSTYRYDGDDVNMGLANSEAKIVHEAVSNGTTDQEELIRIVGTRSKAQLNATFICFKDEHSTSITKALPHGADPTGYLRALRTSVRCLADANKYFAKVLRNAMRDSGTDEDSLTRVVVMHAEKDMKGIRSAFQRRSTVSLEEAIAKETSGDYRSFLMALLGS >Dexi3B01G0023550.1:cds pep primary_assembly:Fonio_CM05836:3B:18364955:18366288:-1 gene:Dexi3B01G0023550 transcript:Dexi3B01G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTMIIVCSVVGSLGVLSAILGFSAEGTKLTPNDILVFGDECIYPQNPALGLGICATIFLLAAQITVTAVGDCCGCCKSRAFPSETKRIIGIVCAVCSWIAAVIAWALLIQGAEWNANVARVTAPDCFYLKDGIFAGGAVMTLAATALGLASFIMLRRNPANAAAPEVHATGQPQFAQAPNKASEQPPPHAVVAMGQPQFWAPAAAAAQQPPPPTTGNTMGQQGYQQQQQPAPTSWAPTTGHGHPQFSPPQAYAQPQQPTSYPPQYPVQHQHQHQHQQHHHHQQQQHQGMNSKKKVLVEKIWSKQELNC >Dexi9B01G0005730.1:cds pep primary_assembly:Fonio_CM05836:9B:3375163:3375466:-1 gene:Dexi9B01G0005730 transcript:Dexi9B01G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQQHQLLFAATAMLVLLLLPSGSTATAVEYCNKGRDYPVKVSGVEIVPDPIVRGEPATFKISAST >Dexi9A01G0040090.1:cds pep primary_assembly:Fonio_CM05836:9A:43944588:43949105:-1 gene:Dexi9A01G0040090 transcript:Dexi9A01G0040090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNKWKVVEGGGGCAGVAVAGDQRRRCVAASLSMLIAATLAFLAYVAFFPNDGAGGLYRMWSCQDCSGEPLPGDDEVADGPSSSPRPRGVARAPTTLSHIVFGIGASARTWDQRRGYAELWWRPGQMRGHVWLDEEPVTPWPSSTCPPYRVSPDASRFGDRASAARMARIVADSFVAVAAEVRNNTARDDEDMPRWFVMGDDDTVFFPDNLVAVLRKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAALAKAMDGCLDRYVYFYGSDQRVQACLTELGVPLTREPGFHQVDIRGDAYGMLAAHPVAPVVSLHHLDHIEPISPRGKTALEAARPLVGASRLDPARTLQQSFCYQHGPGGYVWSVSVAWGYTVQLYPWAVAPHDLEVPLQTFRTWRSWADGPFVFNTRRPPGGDACARPAMFFLSAARNETSAPARATESEYARHDAPGTKKECDRASFRAASTVHTVRVVAPRMSESDWRRVGPAAAVLQDEADEVGLGAGGADTTLRSRRAHLAVISPLQWAR >Dexi9B01G0007230.1:cds pep primary_assembly:Fonio_CM05836:9B:4382113:4388051:1 gene:Dexi9B01G0007230 transcript:Dexi9B01G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWRRSASPSSSSHSSSASTPASPARASTSRLIGGWGSGPSSRRDGGGGSGEPQPRLSRAPRVRYVVDDLEVGVGVDSPASRDAAAGFGLATVSSTPISRSPSNMEVAPARSSSTPVSLAHRLPLPGEGESSYRGPGRPLPSPTPKMVDLEWIMPASEAPGVLETGSERMPPLLARRHTGALCAMKEVNIIPDDAKSIESLKQLEQEIKFLSQFKHENIVQYYGSETIDERFYIYLEYVHPGSIHKDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSAGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLRTDPPIPDTLSPEGKDFLRCCFKRNPAERPTASKLLEHSFIQNSNPPRKPTNTLGPDNHHGSTPSFAVIKSPDTGHNGARDKVPWKSDSCMRGKHANG >Dexi5B01G0039300.1:cds pep primary_assembly:Fonio_CM05836:5B:38229959:38232444:-1 gene:Dexi5B01G0039300 transcript:Dexi5B01G0039300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDREEPPPAEKASPNASSSSSSGSGGKKGSPCEECGEQPWKYRCPGCARLTCSLPCVQAHKRRTACTGKRPRTDPIPIAQFDDNQLISDYNFLEETKQATESAHRLIGGFGRNFGGPGGGQLPSWLFFLRKAAQRRGVRLYFLPKGMARREQNRSRHNHRKDCIYWTIEWKFNSTDVVLTDHEIDEHSTLLSLLEKHLCPGPWKDQLTQYRNTELRDLKLSIQKSAKVPESPYRLLNVEEPLRPQLRGILIVEYPTIKVFLPSDSYDFEVEKMVNKLAKDGRNSGSTTDETPAEGNKFHEEEIEEGEFSPETEIIDLKDCGPSSASKVAATVVAGESRRDSHVYSSVLSYPGTQALHVQQKNQNQYSNMSLNGSSGPTETKSSMEVCSLDMEKARESELPSKGNIVDLKEHGISYPGSYVKAEGMKTDSNSILASNGVTDPQQEHAQQNRRTPSSTPEALKRKSCMKVYPLDFEDNNGGLFSEVPDLAFEQEMMNTYPELFGEMDVDAFLSCDFDMMKRDVEAMSGLLWDDLEEGEIPTL >Dexi3B01G0011760.1:cds pep primary_assembly:Fonio_CM05836:3B:8231909:8232298:-1 gene:Dexi3B01G0011760 transcript:Dexi3B01G0011760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSGMKHTPQSRALVSSTVASPAAAAALPGRIRDAGIAASEEPDALLFMWTPALARVVVAFFTAVSRIFSRRSSPARKDSPARSLTMDRRLFGPVTPVLRTDSGAKQQAASQPKRGSVETTTSPLYA >Dexi2B01G0022480.1:cds pep primary_assembly:Fonio_CM05836:2B:32120041:32122551:-1 gene:Dexi2B01G0022480 transcript:Dexi2B01G0022480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDGKGRVAAAGGGYGYGGYEGPEERKWWPWLVPTVIVACIAVFVVEMYENNCPKHGSPLGDCVAGFLRRFSFQPLRENPLLGPSSSTVLSALFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKAAAIITLLFIIALNLAIGILPHADNFAHIGGFATGFLLGFVLLARPQFGWMERHELPQTSQPPKYKAYQYVLWVVALVLLLVGFVITLVMLFQGKNGNDGCRWCHYLNCVPTSKWKCNT >DexiUA01G0008680.1:cds pep primary_assembly:Fonio_CM05836:UA:16318146:16322732:1 gene:DexiUA01G0008680 transcript:DexiUA01G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKRGGGDLPRSFRKKSYAFKNEWISAALMFYFLKLGDLSDSKQDVWTGLSDEHKSYLSKSVASIVLFNVHGDFLNQEQLDLDSMGYPILPSSMSGAGMILVNSFEKRFGNLYGKGVWSKLGKRAAIIDRNVVALASFNGGKRLFACTGFFIERNGSTMILTSASLVRDSGDENKIVDNLRAGTGGPLVDFDANVIGMNFYDTRIGIPYLSCEEICKILASFDTISESGGDIGNASGVCFWKMPRDVKDKINRWPVPKPHWCRPEEVESDDDDKLAFDDFGRLQYSYFMGRKVKLLVQTHSSATKRRQKKRVEKQGKNRLDNLKKAAKVGALPSRIQLAAKSLPITGTKADLPKKSRKEDLDNVAGMASSATASGGKFEKLPGEKPPKHPGKHRKFLLVAEGKGMGNLGKQQVDVGEASPRTQWSTLNYVLPTIIGTTCSLLFSKSICEHFCKQEIPLYKVKKENQRKKDREMSLKCDKLKPQKKPFKKSSKKKKGLGDLSCDLFFEKELMVYAK >Dexi6A01G0013780.1:cds pep primary_assembly:Fonio_CM05836:6A:21067911:21071281:1 gene:Dexi6A01G0013780 transcript:Dexi6A01G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCYDRLCYYETQQNIKWWLIPFAILLLLLAAVAVVIISFVVVPQVKTNVADARLDTFAFAGAQGGEPAATSFFTYNISVALRVGNPNRAMSIKHTKPLVATFVFHDRRLHNLTVVEAGHKHQPGKTEVHLLHAGGLVPGFLLGAAAAEDFKKQNATGMFKLEVRLSGEIKYQRVATAMKRKLSMSCPVGLQLAPRGPEIVLQPRSIDIRFPPYLDLLQPAHMTHQSWKTSPILAANDKS >Dexi1B01G0000780.1:cds pep primary_assembly:Fonio_CM05836:1B:678622:680856:-1 gene:Dexi1B01G0000780 transcript:Dexi1B01G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLTHPLHLPLLSRNPLAPARRALCASSTPDAPAPAADAPVEGADPSPAAPAAPSNPPRREEPLHETVLHMIRRRQWTTRLENSIRLLSPTLDAPLVHAVISGAAAEGRADLALQFFRFAYRRAGFRPGRDTFALLVPALASRRMLNHARCLVLDTMPSFSLAPDEPTLAALIAAYGKAAIPQEAVKLFRMMPDLGIPRTALSYNAVLKAILCRGREAMARRIYNAMIAEGVAPDLSTYNTLIWGFGLCKKMETAVRVFGEMKGLGVTPDATTYNTLLNAWVRAGDLENARKVFDEMTGEGIERNSVSYNVMIKGYVKAKRVDEAVALFAEMGEKGLRLSEKTFAALMPGLCDDQGRVAEARKAVDDMAERRLTPKDKSVFLRLVRTLCTAGDLDGALEVHRKSSQFKHFLVDPRQYGVLMEGLCSGGKCDSAVEVLDELMEKGTLLSPKSPVLEPSAYNPVIEYLCNNGSTNKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRREVPTDPESHTLLVDSFLKKNDPAEAKTALDGMMQQGHLPSPALFKSVMEALFNDGRIQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCTPDLDKLLVGLCENDRVIEAQKLSDFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGIVNQKGCDTLMASLKSEGYSKQADILSRILAENAPSTSKRGKRVAMGA >Dexi5A01G0021840.1:cds pep primary_assembly:Fonio_CM05836:5A:25673504:25677062:1 gene:Dexi5A01G0021840 transcript:Dexi5A01G0021840.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHIPIPHRAGPRFIRPLGLRLRPPIPDLARRRSRTKSTMRPSMMRSASLLLRRRSYSSASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFMGEDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKNLSAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSSEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSDFEKEGLENLKGELKSSIEKGIKFAHAN >Dexi5B01G0017790.1:cds pep primary_assembly:Fonio_CM05836:5B:19682438:19688859:1 gene:Dexi5B01G0017790 transcript:Dexi5B01G0017790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNEGSRAIKKVHFRDSSSQVPLITYKRRRRQEPQLPQQSEPQPEPEPEPEPEHNPGDVPVQQSKDTFWKSRDMGWKYGIMIDENRQHWKCTYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPADVVEEIREHLQEKRESRRKRAAENGGNNVNTKSSSDDANVEKGLQPTDSVLPAGMGTTVLEEATNQMSHQDPAYPRMVPGSSQCGEESSGQSVGHCDQPKEQWALDHGRMDPVINKKSNILDTNTNNSEKTKKMEAGSSQYVGESSGQPVEHGDHPEEQLAVNHGSMDQVVSNKNKILDKSTDNSQKTKVHNHPARLRGSAWEHSLIYEKEKGHWKCKWCGAEGYHGVTRLKWHLVGWQNLPQCTDVPNDVAKKIRDLMLSKEKKKARVSGLFVSNGSCDVLCSSKSSELDEDHLTLTMHGGCSSPAFDQANSESKTSVLPNTILLLQESADPQECHEQQSKQVATPKEPDCGQGQRIQWQSQQEVYP >Dexi9A01G0001910.1:cds pep primary_assembly:Fonio_CM05836:9A:997970:999457:-1 gene:Dexi9A01G0001910 transcript:Dexi9A01G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEFVGDDFGYAPDDTILRIIDKRISCEGIRLPTITLRPPISSSCLLKSGGHLVVNLAGGRGHHSRPRRDRDVSRGGTPPREEDPSVASEPLGPACLRGLVARALRGISNRAYIWPLYSHPTRGPHLCFPLSSLFRPTRSTLPTLHRLPPPPPSPVAVARDPLAPLIAGHPPWPDLPLWGTAGQLRAPPATGQARPGRIGLAARHHRHWPRGEREPVGLEDAIHVASLVSGTAPPLDSVMRFLAVRLAPELREMATALESAGDDTLKLLKEHIPGLAVVVEQVGHLLDVSVAVEATEKAKDKKQVAAAESNDDCRAKIGKVECGGFKDNVSVSAAGL >Dexi3A01G0018260.1:cds pep primary_assembly:Fonio_CM05836:3A:13938451:13946429:1 gene:Dexi3A01G0018260 transcript:Dexi3A01G0018260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGPGVATPGRPRWGSSGATTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLLEDDNIVLRSVEPAALAAAAAAGLGIGASPSSVAPPPRRHHHEPPQASSGAPSRSRSPAMRRTSSHRLLQFSQELKATASRAKQFSQDLTKRFTRTQSRANLAGEAAAAAPSGIDAALEARAQRRRRAQLDRTKSGAQRAIRGLRFISGGTKTSNAWIEVQANFDRLARDGYLSRDDFPKCIGMTESQEFAMELFDTLSRRRQMQVDKINKDELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIETIAVAIVVGVILHLGNHLVCDFPRLISSSEIKYAPLRVYFGDKKPTYLDLVKGVEGITGIIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLSVPFGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFECNWGFIIYYYYFLNSSLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKAEEEEEASSDLYPPIGKAHVDLDTLMRATSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQKNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRVFYCGAPVLAQELSKLCYEFNGKSTTKFEFHKEHF >Dexi2B01G0025910.1:cds pep primary_assembly:Fonio_CM05836:2B:35091863:35094393:-1 gene:Dexi2B01G0025910 transcript:Dexi2B01G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVVRQGPAGGGSGEGEAEEEHEVEYDTEHGIDVLRLQIFSLTSVPPDLQKIVVEADGSVVDDGTDLESLSERLRVVAIGEEEGDGEDAAAAAAVEAARAQEKSDEEFARMLQYEDPARQEAARKTVPIHELEEKALVSLAKVSF >Dexi2B01G0035270.1:cds pep primary_assembly:Fonio_CM05836:2B:42377880:42378882:-1 gene:Dexi2B01G0035270 transcript:Dexi2B01G0035270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTRDGKTIMVTFWAAAPPRVSCFTIYGPDLEPSALIPITPDIVVSDCEVALLRCRQQDRFYIALLHRAIDAEDYYRQFELQLYNSLTGRWTTESMHIDSQDFSFSYTSIVLAMGGEFSSVGWVDLWRGILVCGLLPREDKHSLRYIPLPSPLVPKPLKGYPMYVRNIIVLEGHIKFFEMYYVRPAASNTGRCTCGSEQGLVAATKKMKISDIGSGNNNCWEEDCTIKFSEIPVDSLKFAQVLLNLKQINNGTKLTLNRLHAGYPALSLHDPDVVYVMHTPDSNVYKASVIAIDMRNKTLKDVADFGSGRPLGYTFTYLQSGISKHLND >Dexi2B01G0009280.1:cds pep primary_assembly:Fonio_CM05836:2B:10048565:10049736:-1 gene:Dexi2B01G0009280 transcript:Dexi2B01G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHRLAALSARGRHRGGAPLPCAKRSRRESPEANPPQLAAAESGELSGSGKPFEPEQSRFREPAPPGAEGDESGDGAPDRISGLPDAILGEVVSLLSTKEAARTQVVASRWRHVWRGAPLVLDATDLEGKGWPVNEQALAGAVSRILSAHPGPCRRFCVQPYHLYDRRATVDAWLRSPALENLKELDFCGRRRYLRCPVNQLAPPPASTFRFCATLHVATFSNFQLLDGTVEALQFPKLTHLALVEVRITEGSLHNMISSCPVLECLLLDGSFGFECLRVSCRRLQSIAVGVDLYFNEPMFRKLVIVDAPCLERLLYLRDRMGLLVSVISAPKLVTLGCLSDWRGSETVFGTTAIKMD >Dexi2A01G0006380.1:cds pep primary_assembly:Fonio_CM05836:2A:6067450:6068481:1 gene:Dexi2A01G0006380 transcript:Dexi2A01G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAARHPGPLLAVCRGSTSIDVLDLSGDVVKRLRPNIKGYASRVLYACFEFFLIEGDDHGISVLDPVSGSVSTLPVGITEDLASSCLWYPSWFAFVKVLTFSEGFRHWGKNDDPAAYRDRIEQWRKVESAPAYLDLNCTDGVVVKGTAYFLLAQCQFEEPYIEDYNIEPGCIPSFNLETEQWSVALRGPARRIVEESNGILNYRDLVDRLMLGELKDFLVTVHCNNQTSTADLWFLMDFENCVWSRQHIIQIQIIPGELKGIQPVFALDEGGMVLLVRTVSGNVLQIYNPGASNRLQMACSFCVGVGVYTGSLLA >Dexi9A01G0034140.1:cds pep primary_assembly:Fonio_CM05836:9A:38927090:38927392:-1 gene:Dexi9A01G0034140 transcript:Dexi9A01G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRRSCSTWPVPRPQRRCSSWAALTSSCIAAAVPTGAEAARSIRALQVEYSRGDGESSRQLVDPPPPHALRWGEQQAAVKRADARAAGAGGRGDL >Dexi5B01G0013280.1:cds pep primary_assembly:Fonio_CM05836:5B:9423929:9429900:-1 gene:Dexi5B01G0013280 transcript:Dexi5B01G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGLKGSKANYGVLGDKGITKPLRRPGEEAGELIQTLLENEDQSRTASEMADLLYHAMVLLRVKDVKMEEVLEVLRRRFSQSGIEEKASRNKS >Dexi9B01G0026150.1:cds pep primary_assembly:Fonio_CM05836:9B:27955214:27957011:1 gene:Dexi9B01G0026150 transcript:Dexi9B01G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSMCSLGAEFTECVASAAIGKNIVTYLTGVIHESNVDAATAVSTWIGTSFFTPLIGAFMADTFWGRYRAIVIFLSVYAAGMLTLTVSGFLPLLMGSSYNRHIHLVVAYMGLYLVALGNGGIKPCTPALGADQFDTNDPVERVTKSSFFNWYYFSVNIGSLLSATVVVWVQDSIGWGIGFAIPTMLMVSGLAVFVAGRKVYRYQKVGGSPLTRISQVVVAAVRNYHLVLPEDCSALHEAPSPTEGNCKIQHTSEFRFLDKAAIALPSSDEKEETSPWRLCTVSQVEELKMLLRMFPVWVSMVPFFAVTAQMSSTFIEQGAAMDNRVGSFMVPPASLSTFDIISVMVCIPIYDAVLVPLVRRATGKERGLSQLQRLGVGLALSVVGMVYAALIEARRLVLARSDADPMTMSIMWQAPAFAVLGAGEVFTAIGILEFFYDQSPDGMKSLRTALAQLAVAAGNYLNSAVLAAVVAVTARSGKPGWVPDDLNEGHLDYFFWLMAALGVVNLLYFLHCSMRYRGNKKIDA >Dexi1B01G0004290.1:cds pep primary_assembly:Fonio_CM05836:1B:3400445:3404236:1 gene:Dexi1B01G0004290 transcript:Dexi1B01G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTFNTQPIVAPAKKKDPRKDAADAAVRHCTPLSSRERGAGTAAVAVDGDRGSQYALKWAADHILSRRHCFFLVHVRRKHTSLHAHGGKQFAISHVQDDVPAAFHAQMDLQAKDLMLPFQCFCSRRGLQCREIVLDGTDVSKAIVDFVVTHKVDKLVLGAASRNAFTRTIWKLDVPTSVTKCAPTFCSVYVIAKGKISSFRPATYVDDTSKEDLKSDLPLKQLSDEPEDKLQNGHKPSKPVPVRAVMPRYASFDESAEDGSLMSSDQQLHSDSSTLQTASSCPFNDIDQHAYHLTPEYPPPLNTNNEQASHAPHIKFVGFDDSGLKDSTLNPAYNVYSPLSPTASEDDEAELSLFKIDGKQNSGDMLPWNYTEEHRETSGNPESSSSNLPSQTNRLDVEPCTQSAIGPKHNKLLILDTSSSNSQYRERINEELTDLDYQDITQPLLRRLPPFHSPRDDSRFGHALDEPHNLPLNLKALPRPIETKRMLECLPTRLECRIYSPDDIANATEHFSDELKVGEGGYGPVYKATLDNTLVAVKILYSNITQGLKQFRQEVELLNNIRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKIAVEIASGLLYLHKMKPEAFVHRDLKPGNILLDDHFVSKIGDVGLARIIPRSMDGAAATTQYRETAAAGTFCYIDPEYQKTGLVCTKSDVYALGVIYLQMVTGKEPMGLAYTVSDALEEGTFADLLDGNVAGWPAQEAQAFAELALKCCEMRRRDRPDLESVVMPELVRLHRLVSPSEYPSSSSPPSMDQSHHRSASEKELRLDDDLVDILSDGGVKGGTSFAI >Dexi1B01G0006640.1:cds pep primary_assembly:Fonio_CM05836:1B:5395124:5396890:1 gene:Dexi1B01G0006640 transcript:Dexi1B01G0006640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLPLFLLLALLLAIPVTLFTARRSASRGNGAAVRFPPSPWALPVIGHLHHLAGGALPHRALRDLARRHGPLMALRLGELRAVVATSPAAAREIMKAHDAAFASRPLSPMMELGYHGADGIIFAPYGEGWRQLRKICALELLSARRVHSFRHVRADELRRLLLSVDAAAAVASPAVNLGELVAAYVADATVRAIIGSRFRERDEYLRLLQEGLKIMPGMTLPDLFPSSRLARIVSSVPGRLKNHGRRMREFIDTIIEEHQESKISREDGDQEEDLLDVLLRLQKEVDFQYPLTNDNIKNILLSEAQGQDEVRRVLAGHNKVTDDMLANLHYLSLVVKETLRLHPPAPLLLPRKCGSPSCQVLGFDVQEETMVIVNAWAIARDPEHWERPDEFWPERFEQGGRDFKGSDFEFIPFGAGRRMCPGMAFGLAHIELALAALLFHFDWELPGGMEEEELDMTEAFGVTTQRQADLVLVPIPRIPVPME >Dexi9B01G0035160.1:cds pep primary_assembly:Fonio_CM05836:9B:36909737:36911932:1 gene:Dexi9B01G0035160 transcript:Dexi9B01G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAECAAAPAAADGVAGSGRAEIDTSAPFESVREAVDRFGGSAAWTSDLVRRMFKKHEHTEQAAEVINVEEQAAELENELALKERETLDVLKELESTKKIIADLKLKIQKEATETSPEEAVEPVEMDQVLAAGPDEQQPENVTADVNMESIEENLQLPSGSVLVELEQAKERLNRTTSDLAAVRAAVELLRNSIAKEKMLLERGREKLSSNTSMISSLEDELNQTAQKLETLKDLQRRRKDPSDIFIEIKKMTSEVQELRSMANNSKSEAMLLAAEIEQAKASISTAEIRCIAAKKMEEAARAAEALALAEIKALLSSESSFEGDHGSDGVTLSMEEYFTLCSKALEADENSKKKVGDAMLQVAIANSSEFESVKRLEDAKVEVEECKKALQEALMRVEAANHGKLAVEEILRRWKSEIGHRKRSIGGSPKFKNTSHRRKGSYSMDIVDDASDRSFKQTLSIGQILSMKLMGPDGYDKTVWDDKTSETPNISLGQILNRSGVLCREDMVSRKRISGKRKKFALTGLSVLLAKQSKSKKKRESF >Dexi4A01G0015490.1:cds pep primary_assembly:Fonio_CM05836:4A:18486697:18497183:-1 gene:Dexi4A01G0015490 transcript:Dexi4A01G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKGPNHYGQQQPYGGQQPYGQIPGSTGFSAPTAAGGTDGGRFGARAGQGAAAQYGGPYASVYGTQQVGGLGGKGPASSSLPSLSTRPTSLSESSKFSSAPVGSSLARPNDDYMAVRGYAQKLDQYGTDYGLERRMYGEHSANLGRRDGLSDLERRYPDHIPASHQVHDLMEQGSSMRHQQLLKGQLQPGSDTRQADYFAGRSAPIHPPSQEIGAYGRVEAESRNVSILGTAPYGRQQAASLLEGAPRTNIDSLYGQGSSSTGYGAGLPTGRDYSSGKGLLHPSSDPDYRDSILPRVHPSISMVDERRADRIGYRRELDMRDEERRRDLMLEREKEMEWERERELRDLRDRERERERERERERDRERLLRERERERERERERERERERLRERREKERERDRKHGADPRREHTPPRAPGDRRRSSSVRSEKPVRRISPRREAVHRWTYMHRSPVKETKREYICKVLPFRFVDDERGYLSLTKRYPRLAIAPEFSKIVLNWAKENLNLSLRTPVSLEHDIHDVDDSADEGAIISSEKTSNSKTPATVWNAKVLLMSGMSKGAFADMTSLRSTEERIMHLNNILKFAVFKKDRSLFTIGGPWNAAIDGGDPSVDCSCLIRTAIRCVRELVQVDLSNCTQWNRFVESPVESKEKKQGEVNKGKSIDADHSKEGDVGSSDMKNEKVDADMDQQGKEEEVKVDKVEEPAEKMGGDVGGKTTGASVDHAVGDKKPIKKKVIKKVMKVVRKKPSAGASGSAGKSSAEDKHTIEESASKTAEGGQSEQNNEDAGKQQEGAGVNQQPEAKKTGKKKIIRRIVKRKVSTSGSQLTAPATPAETSKQEAEAQPEKNVESSTDARNSQTKLQEGSKTSVEDISNQKKEEKPEEDKTDLRRSNGDKVNHKEAMEQKDMKKDGKEKAKDDKEKKNRDLKMDPKQKPLNDMKEKKKSDEPPKYPGFILQAKRSNKESKVWLRSTSLSLDGLLDYTAKDIEEPVFELSLFAESFCEMLQHRMGCVILSFLEKLYRRYVVKRNQRKRQREEDLKKEEKKSSEKRPKTTHETIIETADENVKMTKEGEKKMSLDHSVSDHDEQLKEGQVKLGIDHPIAEHDKGEEEMSTDHQSEAALNEPETDTKMDEEDPEYEEDPEEVEIYEDDEDKDDSHAEEPPAEQVLLVSFSALQDCELKCHLLPYVSLNYINEDNTNNRETKPEVAAEDGGNKKTEEPELDNITNIHEKAASVEEKPSTAEKGESVEEKPSTAEKGESVEGGEKVASKEVKPTKDEVVDKDLLQAFRYFDQNRAGYIKVDDLRCILHNLGKFLSSRDVKDLVQIALVESNSARDNRIIYPKLVKIVDLELL >Dexi1A01G0015680.1:cds pep primary_assembly:Fonio_CM05836:1A:22783387:22785813:-1 gene:Dexi1A01G0015680 transcript:Dexi1A01G0015680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGSSQPPMAPPPPPHLLPRSSSFSSSAITPAPPRNGNHRSNTSIPHAATNQAATEQLSLLRAHARAGRMRPAREVFDAMPAPGRSLVAWTALMSGYATHGPATEALELLLCMLGLLLRPDAFVFSVALRACASVGSLRLGRQLHGAVAKLGYVGADLFVANGLVTMYSSCQSLRCAEKVFGGIAAPDLVSLTSMLSAYTENGCDAEALMLFMEMVRDGVECDAFTLSVALRAASSLGHVGLGHQLHCCMIKMGLVGNEFLDNCLIGFYGRSGELLLMRKVFMEMDVKDLVSWNTLIQRYAENLCDEEALAHFRAMMFECAECDEFTLGSILHVITRRGAFDHGPEIHGYLIRAANVENGCPETAVRLFLQMISKETFRPTSKAFLSVLKACAKTGLVSEAFRFFVSMTEVYKIQPSEEHYSYMIEVLGHAGMFKEAEHFINSVVPSGSGASARSLLCAAIAKQNGSDRTVKLAAGELARLVPGAC >Dexi9B01G0045550.1:cds pep primary_assembly:Fonio_CM05836:9B:44999195:44999578:1 gene:Dexi9B01G0045550 transcript:Dexi9B01G0045550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANQQWKKAMGRQRRRDDVYIGGSGVALIRGSERLVPVPDLSWTPRRSDDRASDLRRCQTFPSLRRSGDRARAAEQFAYWASLRRGARPRAADEQNANRSERGESARVAIRVPMVPCERKGLELR >Dexi8A01G0007440.1:cds pep primary_assembly:Fonio_CM05836:8A:8399948:8402763:1 gene:Dexi8A01G0007440 transcript:Dexi8A01G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPDEDDLAYMYQQEEHARMQQLFQDPQHPSDPTLAMSGGFQRFGGSAPALTNLSFSGAAVTVKNEQGQPSSSSSILSFGALPVSSTINFSGGGDWAHGAMEGVVPQESAERRSRSHLTTPEHVAAERRRREKMQQQFVALATMVPDLIKNSGYTDMSYGLSRNRFRGSTIQYVKQLEEKVKKLEKQSSRRISSQATVLEGKDRISLTDSQETSCPSGSNYAVGGSIPTVEATIHDDIVLLRICCERRSGILVMIISELERMDLSIMNTSVMPFSDSYFSINITAKASIPYPNGPLCQCA >Dexi3B01G0000430.1:cds pep primary_assembly:Fonio_CM05836:3B:321841:325480:1 gene:Dexi3B01G0000430 transcript:Dexi3B01G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPTTAAPIRAPPCPGINRVSLPFCRRRPRRAAPSTRCSRKGEPAVTDVVQEEAPRSPETQGKDGEEEEEEAAAQRGALGCLRIDGVAVDILSIAVPAVLALAADPITTLVDTAFVGHIGSAQLAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVVDDSSNSIGQSFLWFTSIFLSDSPMRAPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGGLLIGRTIAVLLTMTLSTSLAAREGPVPMAAYEICLQVWLTISLLNDALALAGQALLASEYAKRNYKQARMVLYRVLQVGGVTGVALAATLFIGFGSLSLLFTDDPAVLDIAQSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTLFASAISSVFLLIAAPKFGLGGIWAGLTLFMSLRAVACFWRLGSKGGPWEIIWSESE >Dexi9A01G0020530.1:cds pep primary_assembly:Fonio_CM05836:9A:15569575:15583894:-1 gene:Dexi9A01G0020530 transcript:Dexi9A01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGPVPARPMEVLDLGVRHEQPPPLPAPSSSSSVVAVVAVAANSLLLFPDRHKPSLQPRSSLLLFRGCRKPSLLLCRRMPATGHELVVLAQEARAHQASMMCLDDCCGAIMPHRVVPHHARGASWSCRRVGLYGPSVTHHLVRRPRRPTTIFFLLLALLVLLLKLGPPWALICPLIGGANPIWLVGPCRQLYKERDGGHGSTHEVELSTLPTDIQTLDRSRGLALRRREAPPRCPPPSLAKANLLRKATASTPNVVARAQIFLNESAMVSTEPSNSSTRDVPPARIAQPATSLACNCKAATHLAGDGEHLNHLPAATPPPFLLRLLSFLLFLKPGLLAPFRNHLLSYSRCRRLRSAQLSAPCRSSEPLPDPLLFSQDMVTHSCSSFPFSSDPHLIGSIKNKTELYVIVGHPTRRRQGCSQSLMPNQHQITACFLDERRGMDRRRLPLPASSISARTMAVWRAASNRASVFRCTAEVGKGRRAAGSVDGGMGCCGAARLPRLGWIPSLAQRILASLVCRDRLRSLLVDRLAGRPSAVMRLALARARPLPPREPACAPSPLLPDSHRATAGDGGEKEEEPRIGFIFFYPCLPEKRQDDSRGHVRGRENRISGCPCIIGD >Dexi4A01G0005040.1:cds pep primary_assembly:Fonio_CM05836:4A:3545490:3554833:-1 gene:Dexi4A01G0005040 transcript:Dexi4A01G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGAPPPLPPHMAAAPPPPGTTGAGAGQPPPPPPPAGAPQAAVPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDSEEDSAVCKWFYDHKPLMKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERKAAEEEDDKDFCLPEEVVPLLKNTGLYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLILGLTRASEIAGPPQMPNEFLTYADTKIETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDLFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLNDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPSNLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILEGNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNFNFMGVKHDPQMKYNMKLGMPRDFYHEDHRPTHFLEFSNIEEGEVAEGDREDTFS >Dexi9A01G0019420.1:cds pep primary_assembly:Fonio_CM05836:9A:14336579:14339161:-1 gene:Dexi9A01G0019420 transcript:Dexi9A01G0019420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAMLAAILAVDLVAFGLAIGAVLSRPSASLETDAAQDWRYCVYRPGAATALGAVALVLLLVGQAVAAFASRCFCCGAALRPGGARACALVLFLSSWYYQSTTSSPPIPISLSRSPPAPACHIDFPKADARGPHAASLVLSWVTFIIAEACLLAGLVQSAYHTGYRKVFFQNPPDCDAVRRGTFGAGAAFALFTCVLTSSYYYCFSMARVNFHRREATIGMTPL >Dexi5A01G0025820.1:cds pep primary_assembly:Fonio_CM05836:5A:29583666:29587161:1 gene:Dexi5A01G0025820 transcript:Dexi5A01G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRQKMEGAGKDGNPLRNYRIGKTLGIGSFGKVKIAEHISTGHKVAIKILNRRKIRGMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVIMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKIDEETLREVIGMGYDKNLLVESIQNRLQNEATVAYYLLLDNRLRTTSGYLGAECQEAMDSSFSNIASYETPSSARSNRQQIFMESPVGLRPHFPAERKWALGLQSRAHPREIMTEVLKALQELSVFWKKIGHYNMKCRWSPGFPGQIHNNNNFSAESIETDGLSERLNIIKFEIQVFSSFLCCFRQQLIFMSSCL >Dexi5B01G0037700.1:cds pep primary_assembly:Fonio_CM05836:5B:37119098:37120100:1 gene:Dexi5B01G0037700 transcript:Dexi5B01G0037700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTMRRHHFVLVHGVCHGAWCWYRVATLLSAAGHRVTALDMAACGASSPGRAEEVASFEEYSRPLLSAVAALPPAEQAVLVGHSFGGQSLALAMERHPDKVSVAVFASAAMPAVGKPMSFVLQEFSRETGPDFYMDRTYDRGSSNPQYPVETLLLGPEYLAKRLYQLSPPEDLTLAMAMVRPSQWFAEDELVKGNLLTAERYGAVSRVCVVAEDDASWSSEFQRRMASWNPGTEVRGLPGADHMLMLSKPRELSELLVEIADKYC >Dexi5A01G0017240.1:cds pep primary_assembly:Fonio_CM05836:5A:20446311:20454160:1 gene:Dexi5A01G0017240 transcript:Dexi5A01G0017240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDVLPPLPLSLAPPHLIPPAPTRDPRAIAFLPDLGGLSWVAYAAGSFLVVSHLPSPSRSDTNDGCCSPCFRQVIDLRAPVSAAAWCGGGSGEVAAAAGNSVSIFQPAPAASSPGSFGWLLRWSITETFPITAVAWTVSGDGIVAVGDGVSMWARAESSWQIAWRSMPNVPQSLVSTTRFMQGPVATAAVIAPAEHSVPVLVFRNDAKRGLEQAELVHPQPVCMIQWRPCSLSVSDRSEFRREILMTCCLDGTVRLWSEDELVKSKKQRSLQISFNAIAVIEVNNTLNGVLGVDITVRWSMESGSVVSRDEEGHFELFSGDLRESQVGEWKRANAALQHLIQSMKACMNTTTSVSQKSEIVELLDKNFSIYGITGTERTQILAISDLVVEITDPSRSSPYKSLDEAGRRFWVAVQFRQLHALRRSGYSSISDGFHVDSASIAWAFQSDCQDDLLNSVLPLEPTWPEMQKLGIGLWYTNVSQLRTRMEKLARLQYLKRKDPKDCALLYIALNRIKVLEERHKAAALKNAYVLMGRHQWELAIAFFLLGDDFSSAVNVCAKNLQDEQLALVICRLVEGSGGPLERNLISNVLLPDATEKGDNWLSSLLEWMLGNYCQSIGRLVGCHPKLPIDESKILGDPYVISDPEVGQYCAILSAKNGLRNCVGEAVSAKLSKLSFAMAAYALNKCGLPLEALECLSTNSGIDGKESINSPDDGDHKIFDGMLNPASPSKNWLSSSVISDIESNLKVTMASKYLSRLLRNHLFCSQCNASLSEDKVLNEYNSHQIEELTCDLTAVISIFDRRF >Dexi2B01G0022510.1:cds pep primary_assembly:Fonio_CM05836:2B:32161713:32164032:-1 gene:Dexi2B01G0022510 transcript:Dexi2B01G0022510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEIESSDSSDSSSSLVSEVPSECQTFPDCGFQLPNSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAESDPPEVVVHRAMYLLQNGFGNYDMFEKNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPFKLLAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVEKLSSHPGFRVIEDEGSVNRRSEEPKTLLPMKRKRER >Dexi6B01G0003380.1:cds pep primary_assembly:Fonio_CM05836:6B:2756001:2759088:1 gene:Dexi6B01G0003380 transcript:Dexi6B01G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNWGVSSGHARPFGDRRWLLPFLASLLVTATLLLAAACGLFSPPYPSNGGDAILFDVASFADWDDGSSSGDVEAGIKERLLNNNNNNSNASEDGDENPDDDAVNSDDSDAEPPRIAYLLEGTKGDGLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLEWDWFINLSASDYPLMTQDGSAWIMLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSDDFRGTAIAHDLHYIAWDYPPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGRFTPGAWCDGSSEGGADPCLVRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLAYDQTKRDWYVPKSKG >Dexi6B01G0020530.1:cds pep primary_assembly:Fonio_CM05836:6B:26955817:26964129:-1 gene:Dexi6B01G0020530 transcript:Dexi6B01G0020530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAINYYCVWCKALPSQCLPSNFPPLQHQSLPSCSSKRTSSVKNCFSYRANSRKEGSRWIRSEPFLSVNSAKFVGKRTSCSVATEPPPAATQEPEMDAPKEIFLKDYKKPDYLFDKVDLQFQLGEEKTIVTSKIVVSPGVEGISAPLVLHGSDLKLLSIKVNGTELKGEEYAVDSRHLTISTPPAGVFILEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCSGRNVTLRIWTPAQDLPKTAHAMYSLKAAMQWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQVFLIFTLMLGLWHILFAPIPISRWTTFIPVSFFVYKVYEKGAEVVRMYKTMFGASGFRKLLNVCYCYVNLIYLPICLLLQGMDLYFQRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTVKATSSYDPSSQTFSLKLSQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSVYSDGTLQTLSSDGQPVFTTVLQFKKLWCLFFIHLTRRFFLILEHASIVQKEEEFVFKNVPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFQEQKALVLNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRNFIKKELALQLKDDLLAAVTNNRSSEAYTFNHDTYLASLNEPDVTELALHEYKTATNMTEQFAALAALSQNPGQDRDDALLDFYNKWQDEYLVVSKWFALQATSDIPGNVVNVQKLLSHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIVSANGLSENVYEIASKSLAD >Dexi4A01G0002810.1:cds pep primary_assembly:Fonio_CM05836:4A:1959425:1960450:-1 gene:Dexi4A01G0002810 transcript:Dexi4A01G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPAGAGLQAAFAGAKAAAIATVASGIPTLASVRVFPWARANINPAGQALIISTVAGMAYFIAADKKVVALARQHSYENAPEDIKKTYQQQQQQQEGTGR >Dexi3B01G0002410.1:cds pep primary_assembly:Fonio_CM05836:3B:1652228:1655423:1 gene:Dexi3B01G0002410 transcript:Dexi3B01G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNRSRHVRRQPDPSRRPSLPPLPPPDYGHEHCSVPLWEREFCSYVGNISWQRFCENKQYVSVYNNLEQWDDSGAFENFENAKARFWANYHGRPSDIPLPDRDMYIDKVDHRCRVDPELVADLDKVRLPFDSDNSSALATNARADNQKGSGNWDIYIEKPAEVNKWDLEANLGSKAIWGGKNESSIKWGDGNSSWGAAQEKPSWRGWSDNQYTSNNRSNYPYGGSSNNRYREEDPSHTSGRKRNGGGNFQQRNNKQRNQDHQRSSWQDHRGRNGEWRPLHNRACQNGEGWG >Dexi5A01G0019940.1:cds pep primary_assembly:Fonio_CM05836:5A:23823937:23825629:1 gene:Dexi5A01G0019940 transcript:Dexi5A01G0019940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSSLDDPKASGSVPAATGPDPPAIRFTDSNLQTFPPSDARGKISGAYRPPTDADDTFSSKVGGGGGGGGGRGGSAGSDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSDNPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASVRKHT >Dexi9B01G0018710.1:cds pep primary_assembly:Fonio_CM05836:9B:13337656:13340774:-1 gene:Dexi9B01G0018710 transcript:Dexi9B01G0018710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRRASFRSPLSSHRPHAPSEFCRSFQSGNGETGETMDEFEERLFGSKGLDEGSLYRKLDRVENTSKRYGMGSGSMGGFGNRSGSGPMGGFGGFSDRNNSGPMGGLGGFGDRGSPGSLGGFDSLNDGMSEMLGNVARNFQVDDDDESGEDWDAEDFDFRPDVDFRRGSTYNVRDLDLKRPAAAKNPPRPQFETTTAEVLRKADFRNVRFLSKFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRKPFIFGRSAEEHYSEEEYGFDFVKQKDGEPNEDNEDAEPNVEAA >Dexi3A01G0012170.1:cds pep primary_assembly:Fonio_CM05836:3A:8786088:8786933:-1 gene:Dexi3A01G0012170 transcript:Dexi3A01G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGNKAEDGPAQGYGNEVLVRSAASGTHINSGPAVASAGGKADGRVLSHGGVVLVRSDLGILRGPRFINDRIIAFYFAHLSSYFAAAGDDDDLLLLPASITYLLINLPEPAAVAAVAEPLRLGSRRLTLLPINDNPTVDLPDGGSHWSIMVLDHTDLASGPRFVHHDSVRGEPNLPVAERLAEALSPLIVDGPGKVVPLVEGATPRQPNGYDGGVYVMAIARAICGWWRENGGRRLNWLEAVKGEVDASSVKALRTELLLLIDFLIEENTKTEPNLF >Dexi1B01G0006520.1:cds pep primary_assembly:Fonio_CM05836:1B:5346137:5346857:1 gene:Dexi1B01G0006520 transcript:Dexi1B01G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPACYLFLALPSSLSFSSRCSKKCHGGSDGVSTWPIITIPTPGSSSSSSVKLNAQAHFVWPATTTARGPHTRTKAHNAHGLSTQRGHAQDSLGEVDVVRLHDMSSRAASGDDDATTYLTEPEVDERRVNPPCLRAWAVGEATAVAKEVVEAQDGSSSKQE >Dexi9B01G0049280.1:cds pep primary_assembly:Fonio_CM05836:9B:48009252:48011918:-1 gene:Dexi9B01G0049280 transcript:Dexi9B01G0049280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPAPLPSSRRRGAGEPPPGLFPDREDLLRLLSVLAIATAVAAACSLLNRRPEPFCDSPLQPPHDYAGGDSCQPCPHNGRCVEGKLECVQGFKRHGTSCIEDGLLSQTATKISELLQLRICDQHARALCGLPAKILFQKHEVLDTVDHLLSKNPAGLNEDGIQLVKVRVLNSAQGFFETAFTSNKAKAFRCPELVAERHRPLTCQVRQWISRNIIFVTTFCIMVCEILEDNAINAKIGNSDCEPWVVASWLRDHLLVPRERKNAFLWKKVEELILEDSRIHQYPKVIKGESKVVYEWQASGSLKTKKVQGARVKTRTSGGGIKLAEEMAGAREQAAHAI >Dexi6A01G0018380.1:cds pep primary_assembly:Fonio_CM05836:6A:26099859:26102403:1 gene:Dexi6A01G0018380 transcript:Dexi6A01G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRILLLAGCLILLLPLSTDAARLPLSLSPDATDALLKLKSGINDGGALDTWVPGTSPCNNGGDGVTTWAGVVCNAEGVHGLQLEGMRLSGTLDLGALKGLSGLRTVSFMDNEFAGPFPDVKELSGLRAIFLSGNKFSGVIPADAFAGMGSLRKVVLSENNFSGPIPASLADVPRLLELQLNDNKFQGKIPDLKQKELKEVNLANNELEGEIPASLKSIKPDMFAGNKKLCGAPLGAKCDAPPPPPSPAPAPKAPLPTTSDKAGGTSPSTPAGDAATSSTKQEPPASDPIESATASYGFLAAILGTAAIIAVALVALRIKRRDSTKNFGPAASTRPSSAAKVEPHPSPAANAVEGSSTAAAGDPPSTTAAAAAVASAAGDERSSRAGGNTAAARKVDQGRLTFVRDDRGRFFELQDLLKATAEVLGAANLGVCYRATLTSGHSVVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLPLVAYYYRKEEKLLIHDYVPNRSLANLLHGEGRGLKKAVVHWSARLKIVKGVARALSYLYDELCMLTVPHGHLKSSNILLDGHYEPLLTDYALVPVMNQSHAAQLMVAFKSPERKQFGRSSKKSDVWCLGLLILEILAGRPATYELPKAGSAEPASDLVAAVGSTPEAEWVDTVVDPDLRCEEDEDREEMVKLIRIGMACCESNVDSRWELKTAIDKIEELTAKERGNEEQSFYSSVNDEEDSKDAAAAAIN >Dexi9B01G0043630.1:cds pep primary_assembly:Fonio_CM05836:9B:43596283:43600587:1 gene:Dexi9B01G0043630 transcript:Dexi9B01G0043630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSKIGFHFPFSFYIPLTCPNLLGTKSICCYCFSFCVLLIKWFCFLWEDTSAVPPLLYAVMGTSRDIAIGPVAIVSLLLSSMVQKIADPAIDPALYRKMIFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAITGFMGGAAIVIGLQQLKGLLGLSHFTSNTDVVSVTKAVWVSVHEPWHPENFFIGCSFFLFILGMRFIGRKNKKLFWVSAIAPVLSVVLSTLIVYMTRADNRGVKIIQNVDAGINSSSVKQINLNGPYVTECAKIALICAVIALTVLTKLLFYTPVSILASIILSALPGLINVHEVCILWKVDKMDFLTCMGSFLGVLFGSVEIGLSVAVVILGRVQGTNIFCNIKQITELVTQNLQEIRSVVLDMSNVVDIDTAGLAALEELNGELVSRGIQMAIANPGWKLVHKLKLARLVDRIGESWIFLTVGEAVEACLGNKKGVDLDC >DexiUA01G0007810.1:cds pep primary_assembly:Fonio_CM05836:UA:14703082:14704664:1 gene:DexiUA01G0007810 transcript:DexiUA01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACINFLVLLCTIPIAATGIWLASRHGGEDCARLARWPVFILGALLLLVALAGFVGAYRNRRGLLACYLFAMAALITLLLALLVFAFAVSHGSGAYPVLGRAYDDYRLEGYSPWLRRYVAGDPERWEGIRACIAGSDTCRKLAMDSSFIVPEQFYMTHLTPIESGCCKPPTVCGFAYVSPTAWASPANPAADADCAAWSNDPAQLCYGCASCKAGVLGSLRDEWRRANVALLVATVALIFVYVIGCSAFRNAQTEDMFRRYKWGNY >Dexi6A01G0007360.1:cds pep primary_assembly:Fonio_CM05836:6A:7290247:7296768:1 gene:Dexi6A01G0007360 transcript:Dexi6A01G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEVKRRFLSALPSDKYSSIVTVYFYDDLNAHSITKMLGKIKGHEDYMGYIKEYEKYEGSSSSTKQDIAFKASKEKKMKKVIVEESSDDDDDDKSDGLDEVALLVRKTTKMLWKLYKKGIGFNHKSKKFSVKKKSIKDMDCYNCGELGHLAHQCPLPDKRKKKNYKKKDSDDEGDYKKKSFNGKKDGHKKKVFTPTKKKQGKAYIGEWITDDDTSSNSSNESEDEGVAGLAINASIPPPPFSSSNLIFFLLLTPRAPAAAARHRRRALAAAARHRRHSPAAPRRLTPSSPLYASVSHDHAPVPPPQAAFSPAPPPHPSLGFCRPNSAKASPRRWILWTTR >Dexi1B01G0012390.1:cds pep primary_assembly:Fonio_CM05836:1B:15257654:15264938:1 gene:Dexi1B01G0012390 transcript:Dexi1B01G0012390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANGTATSIPALRNKMRHWSEASDQVPVAPRARCLSAIRNPLPLCRASCLNLPRTKIPRPLTRGPSRFSPRRTIPRHRSARRPDADGRRRRPHRDCGCCSGRMGLDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPSGSSYYGGNASTFQFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGGAERGMRGSRFGMFGDDIFGSYPQFPGEASMHVPQRPQKASPIENRLPCNLADLYKGTTKKMKISREILDAGGCEEQWAHQAAVQLGHQTASPRSSLVASPPRRCRIHNFISAASIGGDSWGCAMLLERRRTMVVEEILTIDIKPGWKKGTKITFPEKGNEAPHIIPADIVFIIDEKPHDVFTRDGNDLVITQKISLAEALTECTVNVTTLDGRNLTVPINNVIYPGYEEVVPREGMPIPKDPSKKGNLRIKFSIKFPSRLTSDQKAEVKRLLGS >Dexi4A01G0014080.1:cds pep primary_assembly:Fonio_CM05836:4A:15492568:15529532:-1 gene:Dexi4A01G0014080 transcript:Dexi4A01G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGGDIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDSISVYSRASTLQGWLVDVKRAEPMACAVRLKIAPAILFALRPMSSKLPKYHLLKLTSDALKLLLVMFSLLHLDEYDFVRQDALLLLENALEGSGGGGGGAAYQDAFRIIMRGGVNDKSFIVRAAAARCLKAFANIGGPGLGMAEFDTSMSYCVKGLEDSVSSVRDSFAEALGAILALSVNPDAQVKKGGKKQTASTKKFEDGVQKHLIVPFVKASGANAKKLRIGLALSWVFFLQMIHMKYGTPDIELQNYAIQVMEILQGSDSPDPHALYLGGSDCSLSYCQACVLYVLRVGAADQMTEPTQREFLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPADFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGVTTLHALRETVSFDKGKNLNFELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPIIRTADGGILLNPVLAYLGGALSLISSLRSNQVPDVKSKLDLFATRTLMAYWSLSNPVVYKSEHQQMLQLCSSPFRNFAATINFLTPFWNVVLTPFVLCIKIMVGGISDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGLLPCVWDDEISNFPQENTVKIRLLNNIDQCLKSGKKYPWFMSLVTNACVAILSGLKEFLTLRSAQSLSIDILSMIQSIFKAILVETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELITATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLSLAMEILLLEENGYVDLRQGIGHLINVIVAVLGPELAPGSTFFSRCKSVIAEISSSNEMATLLESVRFTQQLVLFAPQAVPVHSHVQGLIPTLFSRQAAMIGENIEENLFSMLDEETDSGIATLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTGEVLSGSGYNPPDNTAENDVYYGEDEDNMISSSKQEQVHSSSSMSSQFPQRNKHLRYRTRVFAAECASHVPNAVGAEPAHFDLLLARSAMANGTYLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLVMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAINTASGPLLLEAGLELATRVMTSSIIGGDRVALNRLFSLISRPLSDVEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKEDAPDEYLQLAPALVNSSTLLGKYWIGTLKDYVSVSFGLHSKINYKPFLDGIQSFLVSSKVQKYLDEVWVLILQATALDAAPMEFDISRSGDLLEQTFISGHCMVRLDRTEFEFLWGLSILVLFHARQSLKKNNALKINLDFRQDKNFGGFIVHGLDDKKPCDQVLPVLLSLTAEVFFSNNFVSVNICQELLQALTYADCSSAPSIHLFTQTNYCFTMKIIRFCPDSFFEVEVFVSSALELFSQYLSMILQCMGGSSQKHSSNTLISELSIASETMACRMKGEVVIGYTIPASVEAVDVTERAKSDGEHMHPEVVLGASVSLVAYFCAECDKRISLLENNISGSYRQLAKILLFCLGEATVFAKLIHEIGYLSENGSNNDVLLWGSFRQCVQVIQGSLHSTNIQVRMLGLHVLKSNAQKELTEGSETKTDSFVMLLAELLGDVFLVMQTTLKGCSNKESLGVIDESLKLLFLFHTLTQSKQYQQDATTLLLEALLMVFYISSDTVSQELAEVNSNSRRLFSHFIQIPSVAIQMKDIMLSAPPERRQQLQDMVRASVTQGQIIVPVSARSEQDVQDSNIKNSGSTDESTAEGSECCANQGKDEKEVDDDDWDEDWDTFQSLPATAANDAVDSGENSPANSHHKQFHQENASQGISDGDITAGAIEDRASSEELEEPCDFQCSSTEQHVNKEFLGSSHEDCVEHERHPTVDCKEPLAHIEMADELQQVNEDTDQASQDLKDVSTEIHRIEVDAHGGSISSTDEFTRNSNNLSE >Dexi5B01G0028680.1:cds pep primary_assembly:Fonio_CM05836:5B:30025224:30030504:1 gene:Dexi5B01G0028680 transcript:Dexi5B01G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRRWAPPVYQHTREGPDHVPLFRATVVVQDEKFSSPDEGARSAKEALNLAAMAAFERLTALLAAAPDPVPVAPAPPPPETQLPYKTRLQIYAQKRGKHLPMYRPIHGGSLHAPLFKSEVTIDGQTFESPEYCRTLKEAETAAARVALMSLPLEAWPPQQSQVPSVSYKNLLQELVQKEGVPLPVYATTLDVSNHSGTFVSTVEIQGTTFQGEPGNTKKQAEVNAAKVAFHHFKGRDKGSAFSAVYGGSSMQQGTENLFSGQKIKIIEPEYSVPIVSMTKHSKDNGLFAVNHDARSAGSTNLLPVAATRQSPDKNGQSSKFEVNRLSIPEPSTEVEVVDSSPEVDKPSLPEPSTYTEVMNSSPEVDKLPLPLPEQSINAKGTDSSLKVDRLPLPEPSTEVETTYSSLQVDESSIPELSSYSEVMDSSPEVDKLPLPEQSMDVKDTNSSLKVDKLPLPEPSTEIEVAYSSLDVDEPSIPERSTEVEVVDTSLEAGEPPIPKATSEVKAMGSSLEHTSTVDGHSPPIAPASTSNLTVPTTTMPVSSDGCGCYMQTNRIQVYPRHSDMAIPEGTTMLPISDDAWVAVSLPYSNNIEGGTTAA >Dexi4B01G0018520.1:cds pep primary_assembly:Fonio_CM05836:4B:20836484:20841679:-1 gene:Dexi4B01G0018520 transcript:Dexi4B01G0018520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLLAYLPLLLLLAITFSPATATASPYPAVTPLHLQALRHQRARARSADAKYVTAAAAAGNTTAAKPFTAHYFPQELDHFTFTPNASMVFYQKYLVNDTFWRRPSGGGGGAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSYKSAETLGYLTSTQALADFAILIRSLKQNLSADDAPVVVFGGSYGGMLASWFRLKYPHVAIGAVASSAPILQFDYITPWSSFYDAVSQDYKSESLNCFSVIKETWDVVDERGASDKGLLELSKIFRACKTVKYAYSIRNWLWTAFTYTAMVDYPTPANFLENLPAYPVKEMCKIIDGFPANTDIMEKVFAAANLYYNYTGDLTCNPIEEEDDPHGLGGWQWQACTEMIMPMTVSNESMFPPSSFSYDERSDECLQSWGVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTQKGAHHLDFRSAVKGDPDWVIEQRRQEIEIIQGWIDQYHQDAAEV >Dexi1B01G0009960.1:cds pep primary_assembly:Fonio_CM05836:1B:9327221:9327433:1 gene:Dexi1B01G0009960 transcript:Dexi1B01G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPPERDGELEEKLEEAMNRAPPLSGSCHRRLPFALVRPHPPLAAPLDAALASKSASSRAAAPPWERR >Dexi3A01G0026090.1:cds pep primary_assembly:Fonio_CM05836:3A:22164975:22166796:1 gene:Dexi3A01G0026090 transcript:Dexi3A01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKLKRSRAKVQVGLPRKKPREFKPAFELPEALAAEGGACWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSAPLQAPDIAAARAPVPEFEPIDPGSDLENDDLKSALGKKRKDGKSAPLQPLTKIQRICIGRLIEKYGDDYKAMFMDTKLNVMQHSVGTLKKLCERYHADGKIFVYPL >Dexi7B01G0013600.1:cds pep primary_assembly:Fonio_CM05836:7B:20071980:20073372:-1 gene:Dexi7B01G0013600 transcript:Dexi7B01G0013600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Dexi3B01G0013460.1:cds pep primary_assembly:Fonio_CM05836:3B:9596920:9597189:1 gene:Dexi3B01G0013460 transcript:Dexi3B01G0013460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASTSASVCSAAYHHLSAAADSDGGAQVASEQKLARRQRRKKHGGGCAGLRRRCYAVLKQQRTRLYILRRCVTMLLCWHEHDDLSD >Dexi9A01G0046580.1:cds pep primary_assembly:Fonio_CM05836:9A:49943864:49947966:-1 gene:Dexi9A01G0046580 transcript:Dexi9A01G0046580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKASPEKKSWADVEEEEEAKAKAEAAAAAAAPSSSSSTSEPTVEAQAKQIEALSLAPPEDDAAAGEEGPPLLDDSDDSQIQAVTSGGTVYESASTFEDLKLSPELLKGLHDEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNRKIPQAICICPTRELAQQNKAVLMRMGKFTGITCACAIPPAQKDYVPVSKMPVINDQIVIGTSGTLIKWITNKKVATREIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDEAAKIEVIRDKIFEFGQKVGQVIIFVRTKQSTKNVHNALTREDYVCSSIQGSLDQGEREKIIQEFKDGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNVVMRKIENYFQHQVPEVRNWKNEEDFETALKDAGLL >Dexi5A01G0010160.1:cds pep primary_assembly:Fonio_CM05836:5A:7750349:7750579:1 gene:Dexi5A01G0010160 transcript:Dexi5A01G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSTCGFFKRSNCSNDSGAPRVEAAGHPSLHGPWRHPTQSSSSSSFSFAMVIDVEDEESRPRTGWMGTMQRASPG >Dexi8A01G0010610.1:cds pep primary_assembly:Fonio_CM05836:8A:18221387:18226036:-1 gene:Dexi8A01G0010610 transcript:Dexi8A01G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTAAARAFISWPSFLVLLVATVAAAAAESSRVTRKASPGAAAAGGAVTLSVGPRQVVVDNGVVRVELSSPEGRITGVSYGGEPNLLQYDAGDGDAGGYWDSVWNYPGSGLPQGLYSALDSTEFHIVSSSEDKVELSFRNTYNPSLQNSFRLNIDKRVVMVRGSSGFYCYAIFEHAGEYPSLNISEARLVFILNTGKFNYMAITDDIQRYMPSAIDRDEPRAVPLAYKEAVLLVDPMEPQFRGEVDDKYQYSLDNKDNVVHGWISGGNSNPMGFWVITPSNEFKSGGPFKRELTSHVGPTSLTMFFGTHYIGKPMVFKIGDGERWKKVLGPVFIYLNSSPKRTDYLKALWEDAKVQAQTEARNWPYSFLASTDFPKAEERGAIAGRLLVRDRYVSKTDKPAAMAYIGLASPGQPGSWAIESKGYQFWARTTSDGVFRINNVRAGVYNLYAFVPGVLGDYSYSSPLTIEPGRAMSVGDLVFEPPRSGPTLWEIGVPDRMAAEFYVPDPDPKYVNKLFVNKDRYRQYGLWERYTALYPEKDLMFDVGKSDVSKDWFFAQVTRKVGQDTVPTTWQIRFSLNPVAADSTYTLRIALAASQTCRLQVQVNGGVKGGDQGVFTTPEMGDDNAIARHGIHGLQWSLEFAIPGHLLVQGNNTIDMRVAQTGEAATAKIAGVMYDYLRMEGPSSGGVLRFSPLGQVWWEILFLSWVVFLALSH >Dexi4B01G0020500.1:cds pep primary_assembly:Fonio_CM05836:4B:22663111:22663971:-1 gene:Dexi4B01G0020500 transcript:Dexi4B01G0020500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFATARGREFTVEVWYFATVREVKEAVEKAEGVPAGSQRLFLAGRELDDDAREAYHCGVLQGSRLLLLLPDDPAPPPPSSPATTPASVRVAVTAPAIGRCVAVELRATDTVARLKEILQDRTDGALPAARSAVFYGKAEMEDGRALAEYDPTPAPDGMTMMMEVCVVVRHPPAAAAATGGGNGGGARTMIQQQQQQRIAVEVKFGARAVAMEVGAMDVVRDLRKEVERLRLPVHDGGGGGGGGGYFFVYKQNIMDEDRTLRWHEVKNGDTIEIFNGTVTGGGA >Dexi3A01G0030560.1:cds pep primary_assembly:Fonio_CM05836:3A:34563791:34565225:1 gene:Dexi3A01G0030560 transcript:Dexi3A01G0030560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRQPQVPAHRKRHRSCQWAVAGMLGREKTSLGQCHGRACSTISQVKVGTAEFIIQVQERRSIKVFGSDKLLGIGLVLLSTVCASICSVASNLATNDQWHTLRNGTPHLVVYTVFFYILPGVVFRARGMPQRLVLVPAKGRCARLPASTIGVYTRDWKGRNWALLAGLLSTFGNGFKLMGGQAAGYAASDAALVPFSVLYWFLCKPNLLA >Dexi2B01G0028280.1:cds pep primary_assembly:Fonio_CM05836:2B:36987108:36987593:-1 gene:Dexi2B01G0028280 transcript:Dexi2B01G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGSRRRHPTAEHVSSWLRFASRRLSGELRLVVPWWCAFAHPKDDDDEVDAVLLPVCERVTSIHLVLGCYYTLRFRPPTTIADAGGAFEALAALKISDACVDGPELEEMLSSRRCPCLKKLELERISLWQLGLARVASDRHRISWPAPGRRPEPPDIPWP >Dexi5B01G0026090.1:cds pep primary_assembly:Fonio_CM05836:5B:27929585:27930140:1 gene:Dexi5B01G0026090 transcript:Dexi5B01G0026090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLPLHAPLSSPHRLLSSRPAHRALPLILRGPGVLRHRPPPPPRALPDIAAGAASGIRDALADAFLSSPPTWRSAAASNLAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGAPGFLVVVAYFVVF >Dexi3A01G0030860.1:cds pep primary_assembly:Fonio_CM05836:3A:35173440:35173799:-1 gene:Dexi3A01G0030860 transcript:Dexi3A01G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLVATFISPTRDCVVGPAEEFLGEENSPRYHTLTFGEFQRIFRVVKLGSSLNLTTNIKIVHDET >Dexi5A01G0030330.1:cds pep primary_assembly:Fonio_CM05836:5A:33325664:33328713:1 gene:Dexi5A01G0030330 transcript:Dexi5A01G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPHCSATPLSPSSRVSSSLSCVSSSASSSTGSCYVPASWTPKCGGKKKRSNRRRAKNGASDAAAAVSRRYSSIYRGVTRHKSSGKFEAHLWDSHVRNPTKNKKGRQGAFDSEEAAAHTYDLAAIKYWGSDCKLNFPLESYRHEHERMQRMTREAYLATLRRGSSSFSRGASVYRGVSRHHYNGRWEARIGYANAKKYLYLGVFGTQEEAARAYDLAAVELRGHAAITNFDISSYADYLQKKLEVPKAAQPRLALKPKAEPVDDEAPSLPINATSRPLLTPKPEPVDELDDHLAPAPGPLLLDADDVDHAIAEILPVLGMDPADFEARYPARRARALGWPSSDDHQLRGLPDAGRFEDDIEALFEAFIPGHGEVQVQVQSPAAVVADASGADAVSYAAAAISSLASGSWW >Dexi2B01G0020600.1:cds pep primary_assembly:Fonio_CM05836:2B:30620486:30621876:1 gene:Dexi2B01G0020600 transcript:Dexi2B01G0020600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTFTISNYCPHPIWPGTLAGAGTSQLSTTGFKLDPGQTAQLAAPAGWSGRIWARTGCVFDADGAGVCQTGDCGGRMECRGAGATPPATLFEVTLDGSGGLDFYDVSLVDGYNLPVVDCGGGAIACRSAIKKSDAVFLGAQIDGQSTDGGNNPQPVYSGGHAPPVVYNSGGHAPPVVYSSGGFEPPIYNYGSGGARRPAMTASSASTICKNPGLLLLLVLVSFF >Dexi2B01G0005510.1:cds pep primary_assembly:Fonio_CM05836:2B:5077766:5079241:1 gene:Dexi2B01G0005510 transcript:Dexi2B01G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPPTVTLPPVKPPARATRHTCPVSSQNSRAEPARTERAPNATGRHPAKPPRALPAARPPPPRTTADLAGQTQVAASHRRRRRRAATMELFERARVVRLRSHHDKYLYADEDESHVVQDRNAASPNARWVVEPVPHAAGVLRLRSRYGRYLSASNEPFLLGVTGRKVLQTMPYRLDSSVEWVPVLDSSSSSSSSSSSSASASTAGDGVRRGARLRTRYGNYLRANAGLPPWRNSVTHDTPHRHAGWVVWEVEIVQAILPTGPDSSVSDAGDFAAAAAPEPSYNYRPPSRSSSPSPPPLPTSALMRPPPPPPHHREENSVPFRAQPPPPPPGYIAPPAPGLYRLESTESFSVPLHKVEGRAIHYHVGDDDGDVSADEEPHHLTFNGTSLDELLERLREETGLHDVVMCSRSPINGKLLPLRLQLPPNNAAVHIVLVQESSTGALGFVVVINY >Dexi8A01G0001860.1:cds pep primary_assembly:Fonio_CM05836:8A:1262939:1267971:-1 gene:Dexi8A01G0001860 transcript:Dexi8A01G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRTASPPDAPYAGAPPLVYRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKAFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGNYDHFMQKEIHEQPHSLTTTMRGRLKDGEVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTHARRNAIISGLTSLPSFVSEVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGGLKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFRFIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >Dexi9A01G0041580.1:cds pep primary_assembly:Fonio_CM05836:9A:45235114:45235897:1 gene:Dexi9A01G0041580 transcript:Dexi9A01G0041580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQVIIPNPNRSARSMDFDGAAATPATNDGNVALEQRLGWPLLRRAHAAAAAIPASCSKEQEPRKQSVVQWVMSLPRRTTPSNSAEPHAAAGELAAELKAMLDGGGGARCRWFRYEELYESTNHFSAENLIGNGGNSRVYRGSLACGQQVAIKLSKASAEASKDFLREVDIITKLQHRRIVSLIGVCVEGPKLISVYSYLPRGSLEDNLHGTQPQPARFRLLVQFVFM >Dexi7A01G0010560.1:cds pep primary_assembly:Fonio_CM05836:7A:21315294:21317772:1 gene:Dexi7A01G0010560 transcript:Dexi7A01G0010560.1 gene_biotype:protein_coding transcript_biotype:protein_coding EASPFGEKGEELEMGMAAAGVVNYPLVAALLAFALAQSSKVFTTWLCMMHLEFGYMLESRQRC >Dexi9B01G0032090.1:cds pep primary_assembly:Fonio_CM05836:9B:34373158:34378070:-1 gene:Dexi9B01G0032090 transcript:Dexi9B01G0032090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLTLLLCAAWAATVLYGEMGAYWASYLACSWPSSPSVSTRPSSNNYDHITSLNNNHVKIAVVADPQLMDSTSLGLPSSSIALQAAEFYTDLNMRRSFQSAILPFKPDVVLFLGDHFDGGPYMSDEEWQESLFRFKHIFSLNEQRAKPHVPIYYLSGNHDTGYSAFFSVHPEVFSRYEKEFGKRNYQFSAGKVDFVVIDAQTLDGAKKSKERSSSWEFIKTLSPGNASNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRVSYAALDQGITYQNYLTKETSDLLLSLLKPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDLKHDVMTNLCFLPKQTHIYIWYICQFAVTILLLVFWPTNGLSSLPYMSTFVSFMRSAGAELFSRTKEKNDDDDGEYEMVFDAEGSMHLVKKAVAKAPSATSDSRTLGRGSVVARATAGKHQLEPDSSILVEMGSETASDDAGKVARPSKSRVRKVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDH >Dexi6A01G0004260.1:cds pep primary_assembly:Fonio_CM05836:6A:3913676:3919200:-1 gene:Dexi6A01G0004260 transcript:Dexi6A01G0004260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSISTPTSSSLLPPSRQVGRWTPLARSAKPVSFSLRSSPLAARAAAGNAPTSPVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPNTKFKSAIDTALADGECNALEKHEDRMSCYLTKALANVGAELAHQVPGRVSTEIDARLAYDTQGIIQRKPRHQLLQVAQLLLFFLLATYARFRRGDPSPLGIGGGRLRRWYGEAAEHHQPPVVGKTTGGPRLEGGGQREGCGKLSLLTVHELINLYSQHDVSTDRLLFKIPATWQGIEASRLLETDGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRLRDWARNHSGDPEIDEALKKGEDAGLALAQKVYAYIHRNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKVLQSLDESVTDPDVKYSYVPRLTPAIGKTYDFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYANQTRRVEELFEKIWPPPNV >Dexi3B01G0017850.1:cds pep primary_assembly:Fonio_CM05836:3B:13201757:13202150:-1 gene:Dexi3B01G0017850 transcript:Dexi3B01G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEKGVGLGLHRLFRFADGADAALMAAGAVGAVASGVAQPLMTLVFGDVVDAFGSGSRHDVLHRVSAG >Dexi2A01G0003440.1:cds pep primary_assembly:Fonio_CM05836:2A:3027021:3029607:1 gene:Dexi2A01G0003440 transcript:Dexi2A01G0003440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLLYVYMQVNVPNNCSYNIVTVKCGHCTMVLSMDLSPFHQARTVPDSNQVVQNRGFQYNNFGSYEPVSRNLRTQSMYPMSNNQPQVPPIRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNGE >Dexi7A01G0002570.1:cds pep primary_assembly:Fonio_CM05836:7A:10998575:11004298:-1 gene:Dexi7A01G0002570 transcript:Dexi7A01G0002570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKSNKEPNESARNKTKGKKGTKKKQHLNVIHTEAQYADEIDQQHDNEIDEAPGIDTKFLYPRSCEKWILKSIGRDWRKYKATLKKNLFNPKKKRSTLYKLCPSDIDEDQWKGIIRYWKSTEGKRQADPTKRPPHRATVYLATHRKRVNGATDPTREMDRDEICFFNLISLIEEYGFNSVDYLYYKTREALVAIQWDTDVMQMLQENESRKKVSLFVTRQRIAVMSPTKSNKEPNESARNKTKGNMMLLQCVLWKKGTKKKQHLNVIHTEAQYADEIDQQHDNEIDEAPVEGDEVTIRKKTVLTHVWNLPEHKRIVVKCNQLGQPIGKEGGLLGQFLGTIARNGGYCPIDVNDWRKVKKDSAETILQCIQVGSTVLLKTANYRNKATVAYATILSSSPKADVGGVEIGKQFYKVRINHPIEKDEPLVRPIAGYKTIGDAHAKGTPIAWPSVCVCYH >Dexi8B01G0007630.1:cds pep primary_assembly:Fonio_CM05836:8B:9404350:9406224:1 gene:Dexi8B01G0007630 transcript:Dexi8B01G0007630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEEFFAEGLMKPSPPSPSIFVELPRMPDDNHQGFLSPSDLMLRYISHKLIEEDIEEKNLCQYSNHPALLEAEQSFAEILSSQSFGANNSDIVNKAIIEGANVLLQGDQITLSTTFSKDVDAVEQFFKGMEEAHRFLPANSFKMDEQVNQMFREGSNHSGINKRDNRDEHPEKEAGKARKAVTIREELKQIGIHEMLDGTILHGYETYIRDMEKLRINEVTDEKKKKKKTKVGSKKARDMVDLSTLLIRCAREMVMENYMMAAELLQQIKQHASATGDATERLAQCFAKGLEARLVGKGMQLWKLLMAEHPIMDILNAYKALVTACCLDIVSFNFYSMTIMDAMVGKRRLHIVDYGMHFGFQWAGLMHCLANRDGPPPEVKITVIRRAELRIYPSQQIEEQWCQLRKCATELGLPFKLHVITKKMDEVCIKDLSNDIDEVLVVSDLCHFSSLTDESIFFDYPSPRDTVLSNIRKMRPDVFIQSIVNSSYGSSFLSRFREALSYYMALFDMLDATMPRDSESRLVLEQGLIGRCALNSIACEGVNLVYRPEKYSQWQARNQRAGLRQLPLKQNIVEVLKEKVKHRSHKDSFITEDNQWLLQGWMGRILFAHSTWVAEEAISL >Dexi1A01G0012290.1:cds pep primary_assembly:Fonio_CM05836:1A:11791216:11792044:-1 gene:Dexi1A01G0012290 transcript:Dexi1A01G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETKRLRTLPTPAAAAAAENAAVVVALVLGNIDILREILLLLDAPSHLVRAALVSKDWLRAAADPSFLRRFRARSPPRLLGFLASFASPPTNPPVEGAAFTRFVPLPHPPELDVAARLVDAAFNGGAGGQTKGLVVGCRNGRVLVKHGGYYGKRYSVRTPLRPSSAVGPTTVARPSVVPPQRVRDLMEPDVRCAQLELLPYPGVDDRRDALSGFGDLTNCSTDRRCISGREVDGLPTSRLPCTFPFQSMTTKNPTACWWGEGGYA >Dexi3B01G0017070.1:cds pep primary_assembly:Fonio_CM05836:3B:12527920:12528317:1 gene:Dexi3B01G0017070 transcript:Dexi3B01G0017070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLEPFRTILETAIVSRVDCKSNCSSRRRDVLALSHQLWQPGLGLPPVQYRSVCLSLLCAAEEMGAALSKSDASILEATCEPSVEPPQFPGARSSSPRCWQGMTATISSGSELGP >Dexi3B01G0017070.2:cds pep primary_assembly:Fonio_CM05836:3B:12528323:12528599:1 gene:Dexi3B01G0017070 transcript:Dexi3B01G0017070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARGPRPVDLARARLERAAASPSGKAGAQRSTRPPRVARHGAQPRDPPRGVHARVLPCGRPRAPHSPIPSLPFPASRSRIAA >Dexi3A01G0006210.1:cds pep primary_assembly:Fonio_CM05836:3A:4049363:4050092:-1 gene:Dexi3A01G0006210 transcript:Dexi3A01G0006210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRDPLVVGNIVGDIVDHFPVSALLRLLYGGRELTCGSEMRPSQVATEPTVHITGGGARAFYTLVMVDPDSPSPSNPSKRERSWCQSWKRGGGVREPEANGGDSPALQQAIYAPGWRANFNTRDFAACYSLGEPVAAIYFNCQREGGCGGRRYS >Dexi2B01G0019380.1:cds pep primary_assembly:Fonio_CM05836:2B:29536440:29536737:-1 gene:Dexi2B01G0019380 transcript:Dexi2B01G0019380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADVFHPSTLFKRRFDDTNMQRLITMMNEVDRELVLCDTKLINWEKYLMEIHIPGVMDCESREPTRARL >Dexi2B01G0025510.1:cds pep primary_assembly:Fonio_CM05836:2B:34732161:34737642:-1 gene:Dexi2B01G0025510 transcript:Dexi2B01G0025510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAPLAGAGVAAAAAPPPAAAGAAVMGVFKYNFAAQFLSRIIPFVFNIWFVRQLGADDGAVSVRIFSSSSFALCVLLPTIIDVLTDEAVLKVAWMVVPFAIVITSVGSLFVLRVKKVKLSDPFAKAILINGQTFRKLILQEGEKFVLVSFGTPYNQAAYGLVDKLGKMRFMQTVPIHIAIGIMCLSISSVEIYRGEKQFLRQIIGTLKRHDKSQ >Dexi9B01G0042160.1:cds pep primary_assembly:Fonio_CM05836:9B:42449243:42449708:1 gene:Dexi9B01G0042160 transcript:Dexi9B01G0042160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSPTWRSYDQGTPAVVAARGEPRLPVWRNHCTGSVAGAAMRRRRGRWGEPAVARIKNSRPQRKPSASSTSRPRAMFSPNSMGPVVVSSHPHARLTGDWTVCAWKPVGRSIFT >Dexi1A01G0032340.1:cds pep primary_assembly:Fonio_CM05836:1A:36941452:36942776:-1 gene:Dexi1A01G0032340 transcript:Dexi1A01G0032340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPCLSSWATVAVALSFPALQKASTILRATSSPCSSPSITATAVGPAPLMVHPSAPARSAAAFTAAIPGMRGALVGSTMPSDDMAAPMSPASPCSTAATSAAAWLELRTCCWTATSLGSAARILLVGASKSGDEVEAEPRRGGERDQVEGVVAADEDEAAEDGGRDVVGVEAADGLLGLEAGEDEVVAGEARAEEGVDGLGGGGGGGRGGAEARAERQALGEVDGDGVGADAEGPERGQGGDAGAVAERVLGEAGGEVGAGRGGDGGDGDGGGWQGGVEGGGDGVAEXXXXAARWGRDGEVTEAMETVAGGRVGSRVAVTVSPREREASATAHPRKSNPGPRLATVAGAKAVTDWKAGAGSALTLRAAERGEARDWTEQELVLALALGVVEGKEEVAAAAAIVVLWLVGGRRGSLSPVSSLSAAAPAAGAISLTDR >DexiUA01G0024820.1:cds pep primary_assembly:Fonio_CM05836:UA:51589166:51590162:1 gene:DexiUA01G0024820 transcript:DexiUA01G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSSSLGTTRTMLVVVLAVAVFSSPAAAAALCPQLEGIVRTEARAALQREIALAAGLLRIFFHDSFPQGCDASVLLKTSGKTEQTMGPNTTLQPRALQLIEDIRAKVHAACGATVSCADITALATREAVVASGGPTYAVSLGQLDSLAPASASDVNALPSPFTASVAQLLRAFRGRGLADAADLVALSGAHTIGRAICGFFQDRTTNPGNDAFVRKLKADCDTDPNRLQQLDVVTPDAFDNGYYRALNASQGAHQGPHHGPHRQAFAKSMAKLGSVPRKPAGNVGEIRRSCFRTNAGGETLLLQSAAAEGQAAASA >Dexi7B01G0015350.1:cds pep primary_assembly:Fonio_CM05836:7B:21387335:21389316:1 gene:Dexi7B01G0015350 transcript:Dexi7B01G0015350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGLLDIAAVAASLCPAAGRRAGSTASFLSCSSSRDCRVSASYSHPISRMLSGVRSAARKKLFRADTADLLGVDNWPETGGAGQHQQQHWWTALEHNFVLEATDDEYGGVVVDADRLPVDKAAFARRLAASLSYWKSVGKKGVWLKLPVDRAEFVPLAVKEGFKYHHAEESYLMLTYWIPDEPNMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGVWKLPTGFILASEEIYTGASREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSQIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTPHHVVSRFDDRTSTLYYNVAEPEDVNCSAA >Dexi4B01G0003670.1:cds pep primary_assembly:Fonio_CM05836:4B:2565367:2565712:1 gene:Dexi4B01G0003670 transcript:Dexi4B01G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRGIRGKLTEHREKVVSALLLGSFVVLGWRSAEQQREIEDLEAEKKALRAANASMSTAMWNWREELFSLAATPSSPISVSRLRHIYGEEETAPPAAADQPAI >Dexi3A01G0021220.1:cds pep primary_assembly:Fonio_CM05836:3A:16881380:16881795:-1 gene:Dexi3A01G0021220 transcript:Dexi3A01G0021220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTRTAMACTLVAWLLLAHSRLEMPSWALGADDCWVLDRHRYYYCFRTARCRRACAEDGFVDGRCKHGFPYLMPLCECLHPQCAAAGATSHAELGSTAGLVVTREER >Dexi2B01G0022290.1:cds pep primary_assembly:Fonio_CM05836:2B:31926892:31930883:1 gene:Dexi2B01G0022290 transcript:Dexi2B01G0022290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALAAAEPMAVDDSASKKAKRKQLKAAAAAAAEAEAEAASAKKKEKKEKKRKAKEPSPSSDEEEKSSTSSEEAAPTAKKAKKEKAKKSVEASPAASEDDGEITAGSDEDPADPNALTNFRISEPLRQALKSNGIKALFPIQATTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLVNGTHKASRATGYGRPPSVLVLLPTRELAKQVHTDFENYGKVFGLSACAVYGGSAYRPQEMALKKGVDIVVGTPGRVKDLIVRKDLNLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATLPDWVNKLSMRFLKVDRKTVDLVGNEKLKASASVKHLALPCNKAARAQVIPDIIRCYSHGGRTIIFTETKDNASELSGLIPGSRALHGDVVQAQREVILAGFRGGKFQVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYEPRYKHSVSRLERESGVKFQHISAPQPTDVAQSAGTEAADAIASVSDSVIPVFRQQAEQLLSSSSLSAADLLAKALAKAVGYTDIKKRSLLSSMEDYTTLHLQTGRPMWSPGFAFTILKRFMPEEKLADVKGATLTSDGTGVVFDVPAADVEDYIQASESAAQVTIDEVKQLPPLQEKEQSRGNSGGGRFGRGGGSRFGGGGGRGGGGSRFGGGGGRGGGGSRFGGRGGGGRFNRRN >Dexi8B01G0004340.1:cds pep primary_assembly:Fonio_CM05836:8B:3834592:3837367:-1 gene:Dexi8B01G0004340 transcript:Dexi8B01G0004340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIHRRILPPFRRPPLPFFLPTAGAPSTGPSTSTRRPWTPRRILDPGDDAVLRWYRLFLVTCLAGLFVDPLYFYLLHTDGIAACVSMDMGIGVLVTALRTFADLFYLAHMILKFRIAFVAPSSRIFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQMIIWFVIPAVSTSSANHTNNTLSMIVLIQYIPRVYIIISLNSKIVKASGVVTRTAWAGAAYNLLLYTLASHYSCWMEVCTNEGGGTAVMPGCAMDFLDCKSRENPIRQTWHNHSAIQKQCMLPESVYDYGLFADALNLDRNGVAFVDKYLYCLWWGFRNLSSYGQNLQNSTYKGETVFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPPELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFIIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVKSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLAKELMKQEGIYYQDADEGDDVVGGSGEDGGAGGGVVGLGGGGGVGGGDGAPLLAGAVGFAGGGGGGIGVVGEGSGGGGGGGAHLSATLLASKFAKNTKRGAAAHQKRIDDVSTIKFPKLAKPDEPDFSLHTDDVL >Dexi6B01G0009220.1:cds pep primary_assembly:Fonio_CM05836:6B:13342627:13343118:-1 gene:Dexi6B01G0009220 transcript:Dexi6B01G0009220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKAATAKKQRGVQKLLKSAFKRGEHAPGASSSSANSAVTGGHAGEEGSLAAAAQDLSRSSSSSAGGSSGRKGRRGGGGGDDGSADGDRSSHDSLELDEVD >Dexi9B01G0005680.1:cds pep primary_assembly:Fonio_CM05836:9B:3347300:3350600:1 gene:Dexi9B01G0005680 transcript:Dexi9B01G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTVTNCKHDYHLQCILEWCQRSSQCPMCWQPISMKDPISQELLEAVEHERNMRANRSNGTALFRHPVLGDFEIPAGADDAELEERIIQHLAAAAAMRRSHRHHRRDGHHSRSGSNSHPQLLVLSTDDHTTSGQEGDYEQARAVVSGRPLGTLVEQERTTRGLGVAINAPLYRSTPGDSNNRIAGMQSTPVDQDSAGPSDLPSFSDTLRSRLHSASMKYKDSITKSASGWREKWFTRSNTISDIGSEVRREVNAGIAALSRMMERLESTRDGTGPSATSASGSGSH >Dexi3B01G0007690.1:cds pep primary_assembly:Fonio_CM05836:3B:5420582:5422980:-1 gene:Dexi3B01G0007690 transcript:Dexi3B01G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPSAAAIAMAGKKFSSCNLIAAIREETDPDAALRIFLNPPSARPSSQFRHCLRCYDLIISKLAAARLFPAVESILSRLPPSDASHGTGPRENLLCRVISAYGRARLPAAARRAFEHPAFPDPRTAHALNTLLNALLDCRSPLPDLLSVCRDAGSSSGSLERTRQLFDEMLSLGIAPTADTFGTLVAALCDAGQLKEAFEVKDAMVTRYDVPPSARVYASLIKGLCQRGDVDAAVRLKDEMAGKAELMQNSAGYGALVRALFRAGRKGEAHGLLEELKGRGIVPHRDRVVYNAMIAGFCEDERDPNAAFAVLDDMEKCGCKPNTVTYDTLVMGLCKLGRWRDAIKLVEEMPSRGCRPDVVTYRMLFDGLCAAGEFHEANRVLGEMVAKGFAPSKDGARKFVEGIEKEGDPKLLESRKVVSVLAMPSCFGMEHPRV >Dexi9B01G0000800.1:cds pep primary_assembly:Fonio_CM05836:9B:492156:494865:-1 gene:Dexi9B01G0000800 transcript:Dexi9B01G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAHEPEVIRDKAAMRAWSRRRRAEGKAVALVPTMGFLHEGHLSLVSAAVAAAGGPVAVVVSIYVNPSQFAPTEDLATYPSDFAGDLGKLAATGAVAAVFCPPDLYVRGSAGHPVAGASGGAVSCLEDACGHAHETWVRVEQLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDIAAFGKKDYQQWRVICRMVRDLDFAIKIIGSEIVREADGLAMSSRNVHLSCEEREKALSISRSLVNARTAALNGNNHSQQIKDQIVQTLEEAGGQVDYVEVVEQESLTPVKRIDRPAVICVAAWFGKVRLIDNIEIQTTS >Dexi1A01G0020540.1:cds pep primary_assembly:Fonio_CM05836:1A:27407951:27417442:1 gene:Dexi1A01G0020540 transcript:Dexi1A01G0020540.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFNSLQSECFQVCFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSPDLRFNLIKGTLKTIYIAPSKALVQEKLRDWNTKLSPLGINCLEMTGDNEFYNNKAIHDADLILTTPEKFDSMSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAVVSRIKMLSRHGNMKSAPLADVRLIAVSATIPNIEDIAEWLLAPPQGIKKFGEEMRPVKLTTKVFGYAPAKNDFLFERRLQSFIYDILMEHSRGKSALVFCSTRKGAQEAAQCLSQTGASLGYSNPFMQSMQQYEHLKQASLTCSDKQLQACIVHGGGIFNKVKDLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCELVESQLLPCIVEHLNAEIVQLTIANTSLASEWIKCSYLYIRIKKNPENYGVKRGTPPDLEKQIQDICVKKIHELVEYGLIWRDEEYAFLLQPLGIQLRRNEKKILNDINTDKDGRLLFHIVMANGKKKKRIQTREEKIFLLANDCLTGDPQIHDLSLNQEMNSICSNGCRIARCMREYFIYKKSYRSAINSMILANSLHQKLWESSPFLLKQLSGVGIVTAKALKSAGICDFETLATADARKIESATGRNYPFGNHIKESMSSLPPKIDIQIEDSGNRLGKSTITVTLTRLSQAIRSNKRNFADMVVGSDEDNVILFHEKIRSDSRIPQNITIEVSTCAVDTLFNLKVVHNSSLANLLKCSPYSVKVFVPCPQNARVTMKVDLIFEDYVGLDVHKKHVISREDDLHVTKERGICKPEPVYNHPAEICLVSSRTTRTSRSQSHPDQSPLSKEVYVIEDKAAAGALKKADNGLGTRKFNKLASLEVPSFDLLPEEEYEDVQAASTPEPAAVECISATNNTIFDHIRKKSKDFPTLMLSKSMDSSYEPLILKKMKTSRDQFGVEQSSLHAGEVTPTDSEPVEPRISPTNTAEKCRRILNRTSEKSRVLFAGRRDSPSEKTKILTSEKSSVLFADRRDSPSEKTKILTTAGESSLRIAGEKDSPLEKSKILINAPAENSLQLAAKPDSPSEKSKMPTALDECSLGHVSGKHSPLVKSNILIRTPAETSPQFAAKRDSPSEKWKFCVSNPLPCFEAAQCTKQVRAASQPFNIEEYVKDILGKRKDSESGDSFLNCRSVFSFL >Dexi3B01G0001500.1:cds pep primary_assembly:Fonio_CM05836:3B:1064011:1065294:1 gene:Dexi3B01G0001500 transcript:Dexi3B01G0001500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLLSSPRSGYCLLPDPLLHYSQGTNKLKNSPIVASSSLQQLFRLQCKTAASGLPGCAKVTQPEEEEQPEGDGDWRHIGYKVERPGAIEIVSDAITVGRVADKADIVLPVATVSGTHARLEKRDRRLLVTDLSSTNGTYINDRRLNPGFPIP >Dexi1A01G0007910.1:cds pep primary_assembly:Fonio_CM05836:1A:6095655:6096040:1 gene:Dexi1A01G0007910 transcript:Dexi1A01G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFIPEPDFSPQADPSEKKVGMDSP >Dexi4A01G0008570.1:cds pep primary_assembly:Fonio_CM05836:4A:6406625:6410495:-1 gene:Dexi4A01G0008570 transcript:Dexi4A01G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSSSSSASASPANAGGGPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFEPYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPRPAQQPRGRSPYLGGPHLQVPQPGAHQGPHFIPRAPASPPQMVPQHGAGPAAIYPPQFGYWYPPDFQYQQAFTNPQVLQNYYAQLYGLASPTASPYHHYVGYMAPQAPTPRALLPPPSPAQQVAVQPLVQHPPAAQQITLQPLLQHPTPQIQGPFFPAPSLPPSFRLQLPPPQALSVSPPITTGMHNRNQLIRSLPLQLEPPMRAALLRVPDVSKAS >Dexi3A01G0018480.1:cds pep primary_assembly:Fonio_CM05836:3A:14104074:14105797:1 gene:Dexi3A01G0018480 transcript:Dexi3A01G0018480.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKEEIKMSWQTYVDEHLMCETEGHHLTAAAIVGHDGTVWAQSAAFPQLKAEEMTDIMEDFDEPGHLAPTGMFLGTTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQSLIIGIYDEPMTPGQCNLVVERLGDYLIEQGM >Dexi5B01G0016090.1:cds pep primary_assembly:Fonio_CM05836:5B:13680000:13682561:-1 gene:Dexi5B01G0016090 transcript:Dexi5B01G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLLLLLATASADALTPDGQALLAFKAAVVQDPTGALANWDATDADPCAWNGVACSSSTDPTQPRRVVALSLPRKRLVAALPAAPLPSSLRHLNLRSNRLFGPVPPQLVSGAPALQSLVLYGNALDGPLPEELGGLAFLQILDLSSNALNGSLPASILKCRRLRALALARNNLTGLLPDGFGAQLSALERLDLSFNGFSGAIPEDIGNLSRLQGTVDLSHNHFTGAIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPSLPNDGDSSGGGKGRGRGLGKIAIVAIVLSDVVGILIIALAFFYCYWRAISSRDTKGHGVAAGSKGSRCGKDCGCFSKDESGTPSEHAEHYDLVSLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLGDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGSLSAAMHGKPGTMTFTPLPWEARLNIMKGVARGVSFLHEFSPKKYVHGDLRPNNVLLGTNMEPYISDFGLGRLANIAGASPFMQSDRVDLEKAQSQQSDASVSPLVSKGSCYQAPEALKTLKPSQKWDVYSYGVLLLEMITGRSPAVLLETMQMDLVQWVQFCIEDKKPSADVLDPFLARDSEREDEMIAILKVALACVQANPERRPSMRHVAETLERLNGSS >Dexi4B01G0002470.1:cds pep primary_assembly:Fonio_CM05836:4B:1576685:1577178:1 gene:Dexi4B01G0002470 transcript:Dexi4B01G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETYGDDFIRNFVLYTIGFYLCPTLQPHVKSEYLGLIDNIENIKNLNWTSLVLNFLIASIREYKETKAANLKGNVALLQVWYWEKISLSHIHPSLEHHAYEKPLMQYWDDTRATERCQIGRKHQFGEGKVNAD >Dexi3A01G0007550.1:cds pep primary_assembly:Fonio_CM05836:3A:5216695:5218047:1 gene:Dexi3A01G0007550 transcript:Dexi3A01G0007550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYFNSNHTGLCQTSEMMWKMGNLTASYAGEGSRELTMMIAAPAVVLVLVALFLGGIFNLDAVLDPKHRLLLSSALALSLPVMSYLFKDAVGEGASDLPARAGVILPWMLALELLRKKLDEIRERDSYAGAIQRAGRVAWLGSLVYYNITSAGRKAVFSILWILCSTKLVQRIVFTEVEKRSYPDKSWIISSYMGRLMSKDQKDRSLRAEGDGILRMCKYIVMGEEKLVKKVTADGYELKENTRDGDDNIITVGQVWNVVERRLLFGLSDRYQSIKRACLSFALFKLLRGRFEHLPEPTSEEAHYCRWLLMEGLPSASLEDETVLFQVMADEVTFLAEYYHSVVPFVLASPSFILGNYLLVPIVVLVLCLIAIAACGHGDVGYVFHRMRADNYALQPGFTKLVICSLVRAAHSRPAFFFILDLSISTLLFGIFFFQETWELLVLLNSN >Dexi8A01G0016710.1:cds pep primary_assembly:Fonio_CM05836:8A:28324131:28324868:-1 gene:Dexi8A01G0016710 transcript:Dexi8A01G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAGGERWSLAGATALVTGGSKGIGHAIVEELAGLGARVHTCSRNAAELEECRQRWAEKGLVVTVSVCDVGDRANREKLMAVVKDTFNNKLDILVNNAAQMVVKPAVEFTGDDYSRIMATNLEACFHLSQLAYPLLHNASVGSIVHISSIGSCISYPGLAVHSIAKVIR >Dexi3B01G0004200.1:cds pep primary_assembly:Fonio_CM05836:3B:2903053:2904150:1 gene:Dexi3B01G0004200 transcript:Dexi3B01G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCCFKEKTMESKLTCSQVSPPISIICAAKVTGLPIAIDPSLAAGSAPTLHLGSGFLKLRVTPWCQPILHFIARGASFSSFSGQNAIELGHVVEWLEYAPMFLSGSEFENACSFVDCYLTSRTFLVGHGLTIADIAVWSNLAGIGQRWESLRDKDGRV >Dexi4B01G0003510.1:cds pep primary_assembly:Fonio_CM05836:4B:2446669:2447535:-1 gene:Dexi4B01G0003510 transcript:Dexi4B01G0003510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFAVLACNSVLAIHKSCGDAGSVAFLLAADAALVLLFLCLREVDCGRGGGKTIQAAVWLLTTVLTAMFAATVAALMPPAVAAPTSWGDSGSVAFVLAVAANAALALLFHCLSELERAPGTRPEGTKEHKCQGRTWGP >Dexi8B01G0001820.1:cds pep primary_assembly:Fonio_CM05836:8B:1241523:1242919:-1 gene:Dexi8B01G0001820 transcript:Dexi8B01G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPPPEPPSPAPAKVYYDGCPGCAMDKKKETHKGIPYKELLFVGITSFASALPITSLFPFLYFMAYSIEVCQPEQQALGISIHGEWVL >Dexi9B01G0049250.1:cds pep primary_assembly:Fonio_CM05836:9B:47996297:47998173:-1 gene:Dexi9B01G0049250 transcript:Dexi9B01G0049250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAWLGYMAIRWASPPPSLLVSVHSLATYRIQSKKTREILHIQGGQCGNQIGAKIWEVVCAEHGIDEASCG >Dexi4A01G0007520.1:cds pep primary_assembly:Fonio_CM05836:4A:5622041:5625328:-1 gene:Dexi4A01G0007520 transcript:Dexi4A01G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSESQRQRKRYHRHTPRQIQQLEAMFKECPHPDENQRAALSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENITMREALKNVICPNCGGPPVAEDYFDEQKLRMENARLKEELDRMSSITSKFLGRPFTQMPPVPPMSVSSLDLSMGGMTGLVGGGGPSLDLDLLGGCCSSAGMPSSSFQMPAPVTDMERPMMVEMAARAMDELMRLAQAGDQLWVKGGMAMMPGGDDERETLNVANYDSLFANPGAAFRAPDINVEGSRDSGLVFMSAVALVDVFMDTNKWMEFFPGIVSKAHTVDVLVNGLGGRSESLIMMYEELHIMTPVVPTREFSFLRYCKQIEQGLWAVADVSLDGQRDAHYGMPSRSRRLPSGCLIADMSNGYSKVTWVEHMEMEQMLPINVLYRNLVLSGAAFGAHRWLAALQRACERFASLAVLGASHHDLTGVTPEGKRSMMKLSQRMVSSFCASLSSSPMQRWTLLSGTTDVSVRVSTHRSADPGQPNGVVLSAATSIWLPVAGDHVFAFVRDENSRSQWDVLSHGNQVQEVSRIPNSSNPGNCISLLRGLNANQNNMLILQESCTDASGWSLVVYSPIDIPAANVVMSGEDPSGIPLLPSGFAILPDGRPGGSGGGGGASSSSSAAAGAMAGAPPGCVVTVAFQILVSNLPSSRLNAESVATVNSLIGTTVQQIKAALNCAGP >Dexi3B01G0023620.1:cds pep primary_assembly:Fonio_CM05836:3B:18416703:18420447:1 gene:Dexi3B01G0023620 transcript:Dexi3B01G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSGGGEETHLPPPSPFVPNQSGGSITQRPSHLAPTRHQPIEQRAAAPAFNGLLLLPLRPLLLHHPVLHPPRQSLPRHRLRLIPQVSCLTSSSCPFRLPLPTFPFQLRRRAPVGEGRSSLLIRRARESRKCRPRMATVRAEAVDTTISPRVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPAVIAEAGMNAIRDGATRYTPNAGTLELRKAICKKLEEENGLSYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPFWVSYPEMARLAGATPVILPTSISDNYLLRPGSLASVINENSRILILCSPSNPTGSVYPKELLEEIAAVVRKHPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQYTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFRELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKGVRISYAAAMSTLQTAMEKIKEAMALLKPPVAV >Dexi2B01G0035550.1:cds pep primary_assembly:Fonio_CM05836:2B:42514021:42515180:1 gene:Dexi2B01G0035550 transcript:Dexi2B01G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLSILVLVVALLASSASAQLSSTFYDTSCPKAMSTIKSAVTTAVNNEPRMGASLLRLHFHDCFGCDGSVLLNDTTTFTGEQGAIPNKNSLRGFTVIDNIKTQVEAVCNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTNASKSNANSDLPGPSFNLANLTQLFANKGLNVTEMVALSGGHTIGQAQCRFFRDHIYNDTNINSTFASSLQGNCPQASGSGDANLAPLDATSATSFDNAYFTNLLSQKGLLHSDQELFNGGAADSTVQSFASSSSAFSSAFAAAMVKMGNISPKTGTQGQIRLACSKVNS >Dexi3B01G0034520.1:cds pep primary_assembly:Fonio_CM05836:3B:37275284:37275726:-1 gene:Dexi3B01G0034520 transcript:Dexi3B01G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSGVVAKASIVDLVRMIKRGKDQLRDKDDKMGNGKGGGDGGGGGSGEEEVMVDFGSGAPARVMFHARRMPFLVPTCVMYPPCKGKDGVNLLSVAVKEEHADVFLGELAKLEDAMTL >Dexi6B01G0007800.1:cds pep primary_assembly:Fonio_CM05836:6B:9299603:9305016:1 gene:Dexi6B01G0007800 transcript:Dexi6B01G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNEFRFFLSCDISLPLAFRVLHAEHILSAHQKVPELFVEWPKYLWNELITLSTKYRDLTSLSQLAFTVWDVSSGEDPEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGGVPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVDWLDRLAFSAMDKAKEKECERKANLYPSLVVDLCSFEHRVVFQESGTNFYAPAPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRTLEVDEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAVELIGKWEMIDVADALELLSPDFESDEPIRSPLAPTLLLTGVVPQESSIFKSALNPLRLTFKTANGGTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQVTW >Dexi9A01G0013910.1:cds pep primary_assembly:Fonio_CM05836:9A:9132462:9133170:-1 gene:Dexi9A01G0013910 transcript:Dexi9A01G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRKILVVLVLLAVAAAPGAAAAATPAPVRGCQADVLAPTVALFCGGGLPAEYCCQALSHSAIVGGGALCLCRLAAEAPLVSVALTGADLLRLYASCSATFGHGGASSFVPAGALPLRLRRPRARRCSAHGGYHGMNLFCRGAGGSSSSSTSPTWPCCEAVVGSGRRGHDGVPCFCHVAQTTGGGFGVGRISRIYAACVASGPGEGLHISGDMCKGPRHGDGRV >Dexi1B01G0007810.1:cds pep primary_assembly:Fonio_CM05836:1B:6483487:6487948:1 gene:Dexi1B01G0007810 transcript:Dexi1B01G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTADEQILSLDVDPDESVENLKALLEVETRVPLQQQQLHFNGKVMQNSEKLSSIGVQDGDLVMMIPSNDRASQDVLKVNPDGSAANPQAFQQHVRSDSQLMAQILQNDRQLAQAILGDDINELQNILRSRHQQRMELKRKQEEEEALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDKRYRGVAVGVGQSEILGRIHVAPIKIGHLFYPCSFTVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVSVPFLQEKDIPAHIQDEEKLSKLASTLGQATGESSKAREGTPDLPQQIPTAGPLVANPSQDGDFEAKVTKLVELGFDRAPVVQALKLFNGNEEQAAAFLFGG >Dexi9A01G0021100.1:cds pep primary_assembly:Fonio_CM05836:9A:16082331:16087322:-1 gene:Dexi9A01G0021100 transcript:Dexi9A01G0021100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRSALTYSLGVVFPYFKSKLQSIYNKEREARLQATLWGQDDVRISRIRNRELERLRGPPWFKAVQRVFLSCMYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPTPKVAKDGIPLPPDKTLCPLCCQKRANPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPATVEQIRRLFHDL >Dexi9A01G0047760.1:cds pep primary_assembly:Fonio_CM05836:9A:50765274:50768812:1 gene:Dexi9A01G0047760 transcript:Dexi9A01G0047760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHQRSRSASSFTRSSETAATELDARSLGSIAAAAEAVDCPFGGVDGLSRAELREAAYEVFFMSCRATGGRGGGLNYFPAGGDGAGGGDGSSSPTIGAGQRGGTGMNVVSSRVKRALGLKARRASQPTTVRSSLSASSSPGSPGRMRVMRDQQPPGSPGRSRRPMTSAEIMRQQMRVTDQSDARLRKTLMRTLVGQVRQAPPPLMRRVALDASRLDIWTLRIDDDMLRLQVGKKVETIMLPLELLRQLKLTDFADSGEHHQWQRRKLKLMEAGLILHPSLPLDRLNAPVLRFREIMQAADARAIDTGKASDTTMRALCDAVHALAWRSAPGAVSPGDACHWADGYPLNVILYVSLLQAIFDLKEETVVLDEVDELLELMKRTWTTLGIDKMLHNVCFAWVFFQQYVATGQIEPDLAGAALTILTDVAADAKNEDRHPVYARVLSSVLGAIHDWTEKRLLDYHDKFGKGIAGTGSGAMVNALSLALSTSKIISESVPGMGITLATDSENEGGGVGTFAGNRVDYYVRCSMRSVFTKVLENELGQGNSTIIRRDDDPSEILARLAKDTEQLAQSERDNFSPVLKRWHPFPGAAAVVTLHSCYGVVLKQYVAKATGLTNELAHVLHAAGRLEKALVHMMVEDVADSDDGGKAVVREVVPYEVESLVRRFLKTWIDERLRIAKECLVRAKDTETWIPKSKGEPYARSAVELMKLAKATIDEFFGIPVAARDGMVQDLADGLGAIFQEYISFLASCGNKQSYLPSLPPLTRCNQDSRIIRLWRRAASPCQATVTSPRGGVYHSQSNVSFSGGNNPRPSTSRGTQRLYIRLNTLHYLLSHIHALDKSLSFFSHAPPPTSTAAGRHLAQAQPTHHLDHPRAAAQSAIVRVAEVAAYRLIFLDSHHSFYGGLYVGGVADARIRPALRTLKQNLSFLVTILVDRAQPVAVREVMKASFQAFLMVLLAGGSERSFTAEDHAVIDEDFRSLKRAFCTRGEGLVAEEVVEAEALAAESVVALMGQTAEQLVEEFGIAACETTTGAVSDRQQLPMPPTTRRWSRTDPNTILRVLCHRDDEAASHFLKRTFQLPKRR >Dexi8B01G0011640.1:cds pep primary_assembly:Fonio_CM05836:8B:20747882:20750208:-1 gene:Dexi8B01G0011640 transcript:Dexi8B01G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFHALLPLHRKRKSKQRRTRNKPKNHLAGGSGSGAGGDPSFHLKGSASASSHDIGCLVEPLGNLLLAASPRANLRDAGLGALRPLPDELLLDVLDLLPARDLAALSVASKALYVVAAHDPLWRALVLDELGGAFDFAGSWRATYISAASRGQPHLVPPRALRINGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGISVEQFIAEVEEPNRPVLLEGCIDGWPALQKWSREYLFEISAGKEFAVGPVSMTLERYFRYADNVQEERPLYLFDAKFAEKVPELGRDYDVPVYFREDLFNVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECVCRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKKPNASELVSGTKDRVNLHDKFCGALEAAHPGMINQLKLEVQQKATARKKKASFWDSAVDAKSGGFKFSF >Dexi5A01G0013690.1:cds pep primary_assembly:Fonio_CM05836:5A:10296797:10297793:-1 gene:Dexi5A01G0013690 transcript:Dexi5A01G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSCAATHGALVLLVATVAFFVASDSAVGVAEARCYGHGQCSHVASLVSEQLYNSLFLHKDDPACPAKGFYTYASFIQAARTFPKFAATGDINTRKREIAAFFAQISHETTGTPASDYCDATDMEWPCYPGKSYHGRGPIQLSWNFNYGPAGRALGFDGLRNPEVVANCSDTAWRTALWFWMTPRRPKPSCHEVMVGEYHPSVADMAANRTPGFGLVTNIVNGGLECNRTDDARVNNRIGFYQTYCQIFNVDTGPNLDCAHQQPY >Dexi3A01G0027450.1:cds pep primary_assembly:Fonio_CM05836:3A:24710710:24710980:-1 gene:Dexi3A01G0027450 transcript:Dexi3A01G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPSTARLFKSLPPYRRPQGTDIAAVAGWTVASLATAIWLVQPFDWIKKTFFEKQEPKE >Dexi2B01G0031610.1:cds pep primary_assembly:Fonio_CM05836:2B:39693560:39696047:-1 gene:Dexi2B01G0031610 transcript:Dexi2B01G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGCERRSVSAVVVFLVMVAAASVSTAAGAAAGGFITWDDLSIPAAARRGEPEGSGDRGGVKARARHENLETIVVSQDGTGHSRTVQGAVDMVPAGNSRRVKILVRPGVYREKVTVPITKPFVSLIGMGTGRTVITWNARASDIDKSGHQVGTFYSASFAVEADYFCASHITFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPTEDSGFSFVGCRLTGSGMLYLGRAWGRYARVVYSYCDLSGIVVPQGWSDWGDRTVLFGEYSCKGPGASTRQRVPWSRALTYKEARPFLGRSFIDGEQWLKL >Dexi9B01G0028330.1:cds pep primary_assembly:Fonio_CM05836:9B:30941408:30942863:1 gene:Dexi9B01G0028330 transcript:Dexi9B01G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIHPFSLHLIYSPIHPTHPDATSCRSHRRCHNPSLPALGTMPILLIVISLHCLPPSCRHLIPFIVPSPPSLSNRIYHRPAPPSCLPTDLHHHRAGLSPPALLLKLKKKEGLQLTQVRRGFELPVTQPSSGGGGSGGNHDSCPIDKLKLEVCADVLHLPRLKICVPDEEQCWLLLQGLIDRDTAVYLCLVIGGFLGGY >Dexi3A01G0034330.1:cds pep primary_assembly:Fonio_CM05836:3A:39513709:39514636:-1 gene:Dexi3A01G0034330 transcript:Dexi3A01G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVANRAAVESCHRVLALLSQSQQQDPALLKSIASETGEACAKFRKVTALLGNGGSSGHARGRISRRGRPLALVTLKDLLGSSSDTPPELMPSTAAAASLSQSTSYAQLRARIGGAPDPRGLDLACSSGKSGAHPFGAPKMVQPLSVQFQIGNVAHRYPFHQQPSRQKLQAEMFKRSNSGISLKFDSPSPSGGAGTMSSARSFMSSLSMDGSVASLDGKRPFHLIGAPVASDPADAHRAPKRRCTGRGQDGTGKCATTGRCHCSKRRGR >Dexi6A01G0019970.1:cds pep primary_assembly:Fonio_CM05836:6A:27553773:27558050:-1 gene:Dexi6A01G0019970 transcript:Dexi6A01G0019970.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLHQDNIAMSEATDGQLQATEQEVSVHGSQQAGQPNLNTTDQFPKPELVAEGSKSEQPVKVEQQNPQLQQSQPESQLQQVESNSFQLAEKETGSFGQQNFSGSKVDVAQPSAVQQNAKQSVGQQAPSGAQDTKKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQMQAQAQRNNQANPSQHSLFSQVSAQQMSSGGSAQLHDQKESSGACLHAIHILLIFLSCQGPPNSSTQNASGGAKSNKKSGGQKKSSEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEEKLFLLKGPLLKKLVEIG >Dexi9A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:9A:6800512:6800952:-1 gene:Dexi9A01G0011010 transcript:Dexi9A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVGPARLSAFPTTGLRRWGRESSIRRRGEREREQQQPQGPAAEKPRRRRCSLAFSPDLAAAGICNHLLCLHRGPRSAVGGSSRRERREGGGEPRGGGERRDHATGERAGDHAAGERAGTTLCRRQAVVDSREDGATTAADSR >Dexi9A01G0008110.1:cds pep primary_assembly:Fonio_CM05836:9A:4803397:4808675:-1 gene:Dexi9A01G0008110 transcript:Dexi9A01G0008110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLLDLLLERVCDGVRGSPGAVRDEEAGGSSGRSSGHPAGECYACTQPGVPAFHSTTCDQVHSPGWDADAGSSLVPVQAHSASTSTPAASAAAQHAGAAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAVDPLFFYALSIGRAGQPCLYMDAGLAAAVTALRTFADVAHLGHVLLQFRLAYVSRESLVVGCGKLVWDARAIAKHYARSAKGLWFDLFVILPMPQVIFWMVIPKLIREEQVKLIMTILLLIFVLQFLPKVYHSIHIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRIVSCLQEECKINNSCDLISLACSEEICFHPPWSSNTNGFACDANMTSFSSQPNVSTCLSGNGSFAYGIYLGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLAPTSNGIEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAVTGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFILQGKLRSTQPLTKGVVATCMLGAGNFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRFITEHFRYKFANEKLKHTARYYSSNWRTWAAVNIQLAWRRYRGRRMDVTATVAPPLAGGPEDGDRRLRHYAAMFMSLRPHDHLE >Dexi8B01G0016790.1:cds pep primary_assembly:Fonio_CM05836:8B:27948288:27951071:-1 gene:Dexi8B01G0016790 transcript:Dexi8B01G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGGARNDDEDVQVLVERPYSHETGSTPHRPPLAPGHRHHQRQQQQLIRARPYYRRWTPWIVSAATVACVAIFVVTMAVNDCPKHNTNCFAGFLGRFAFQPLKENPLLGPSSATLLKMGALDVSKVVHGGQGWRLITCMWLHAGVVHLLINMLCLIFIGIRLEQEFGFVRIGLVYLISGFGGSLMSALFIQSTISVGASGALFGLIGSMLSELITNWSLYANKVAALVSLVLVIAVNLALGILPRVDNFAHIGGLISGFLLGFVFFIRPQFAWLNQRRVAAASAGAVGGQQQQQQLHQQQQAARPVKQRKHKTYQYVLWLAAFVLLVVGFSVAIVLLFRGYNANEHCSWCHYLSCVPTKHWKCNSSPTVCTATRQENTLTLTCQGTSKNQTYLIADASSQARINDLCNQLCV >DexiUA01G0017950.1:cds pep primary_assembly:Fonio_CM05836:UA:38204290:38205843:1 gene:DexiUA01G0017950 transcript:DexiUA01G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMTSWMSALTKATSAMSQSARRAQSGYSSRQSSARCLPVATPSRAASSCTRSPMAIAGLGVAEEQATATLVPLPLANKAEDAQIEQHQQQDGATAEEEIAAEGLLLLPSQLQNLRITNCPELILRSSRADSNTEAGRTGEGKGLQGLHSLRSLGIDGCPRFLSSYSSSSSPPCFPFPSSLEHLSLDGVVGMETLLPLSNLTSLTGLYIWGCGDLRGEGLRPLLAQGRLTKLTVRRTPNFFAGSEPSLPCEQEFPSSSSKLQVLWTDDVAGVLAAPICALLSSSLTELHFWGDKEVDCFAKGQEEALQLFTSLERIEFWECDKLQCLPTGLHRLPNLMRLEIDTCAVIRSLPKDGLPGSLQELVITDCPAFRSLPKDCLPGSLQKLLIIRCPAIRSLPRVDDLPSSLRELDVRSSNSEELRRQCCKLIGTIPIVRA >Dexi9B01G0016210.1:cds pep primary_assembly:Fonio_CM05836:9B:11089542:11091461:1 gene:Dexi9B01G0016210 transcript:Dexi9B01G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLFPSTPPCCPCGAGAGAARSSGPMLVATPSPSASPSFSVATRLQLGGRSRGRTGVVRVGGGGKGEGGKDGGAADFFGEDGVVEDMDGYLDYLSLEYDSVWDTKPAWCQPWTILLTGTAVVASSWVLIQSVIVTAGVSFIICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKIQ >Dexi9B01G0049130.1:cds pep primary_assembly:Fonio_CM05836:9B:47921305:47925920:-1 gene:Dexi9B01G0049130 transcript:Dexi9B01G0049130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEDKAMAELVSTREEAGITEAEAARRLRLHGPNVVVRSRHEGGVLKKFLRGLFSLWGWDHVSPKYNSMVRIMLDSMSWVTVLIAAPLEAKVHAPRAKVLRDGRWRDVHADNLVPGDIIFLKVGDIVPANARVLRFQKIGTMTCWAKSRGTVALEDLASMDVILFDMTAAGASRSQHELYIEPIDAAILSLLDDPEQVAHQCGCSKADKEKISTMMDELALDGYQAIAVGHQVDSCWEFIGLLPYRDDLRCDVTDAVGGLIDLGLGVANGDVLR >Dexi6A01G0017890.1:cds pep primary_assembly:Fonio_CM05836:6A:25724676:25725164:-1 gene:Dexi6A01G0017890 transcript:Dexi6A01G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGLRLLRNPPTVTLIVATAFTCVDAAMAGKTAAPPLLLFGVSDLADDEATHPMDTLFFPRPCPGRPSWTSDDDEKEEEVARRKRGNLLRDGEEDVGI >Dexi6A01G0011010.1:cds pep primary_assembly:Fonio_CM05836:6A:15771161:15771421:-1 gene:Dexi6A01G0011010 transcript:Dexi6A01G0011010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWGGGRDPSGGEDHRREKAWDDEDGRRGKGSGRDGRRGIWWCELPVQTALTGSDGGDRRGKGSGWTAVKLNIQLGKLDSQIVMV >Dexi3B01G0023630.1:cds pep primary_assembly:Fonio_CM05836:3B:18423679:18423968:1 gene:Dexi3B01G0023630 transcript:Dexi3B01G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEGEERNGGGGAHHHHPTEGAGERMVAGLLTCLFSFLVDQRSGAAAERDAARAGNGPGG >Dexi4A01G0006120.1:cds pep primary_assembly:Fonio_CM05836:4A:4382019:4386545:-1 gene:Dexi4A01G0006120 transcript:Dexi4A01G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSVGVTVITLVVFYVTSWDVTNVINETQVSSMGVTFTHIAGSMQPLLEAKRTAASIAAAATMPDPTSTNASSPSTSTLSQVLMPKMFMAFAMQPLLAQVSYAGVDGAAFAYYRGGEGDKARALFTNSRNKWEWNTQPVDPTTGHLVGTATAAQLPSALHGSNNKSASLGEGWARPGVRMVFFSAAVGDDAGVVSAAVAIDDLLRAAASRVGRQHDLDVYYAVGVTPAAADDTYYKPLVFGHQPLFGDTEEDKMRAFSRAKCAASAIDAPELGKLVAVGHGWDKYKVACTNFDVSGVHLGFRLVLRARPEDDMLRATCIPLVAFVCVVVAVAAASCVLAVRALRRAAAREAALHADLVRQKEALRQAERKSMNKSNTFASASHDIRSALAAIAGLVEMSRPEAYALPSIVENLDQMAVCTSKLFDILNSILDTSKVESGKIQLQEAEFSMADVLQESVDMANVTGVRQGIEVVWDPCDFSVLRCASITGDCKRLKQILDNLLGNALKFTDEGHVVLRAWANRPIAGSNVSTPSRFAWPMRRRGGSLGCLFGAREDSDEQDHVQNDPNLVEFYFEVVDTGIGIPREKRLSVFENYVQVNDGQGGTGLGLGIVQSFVRLMGGEISIKDKDPGERGTCFAFNVLLKMTERQEPQDIEEGTSTPSDPLSRSNFRATAFQEASSFKGVHCVLYVHGSETRRILQAWMESIGVKVWLIPQAELIASTLEKALHISTTPPARALPPSDTDEGVDRCFSSKDMMSHVLPMALRNSASPRRVSLGGNPTGILAVIDVSSGESEVILQEMEKLVRIKHQAPCKVVLLDDIKTPSDYLRRFKELGCDLVLRKPVHGSRLFTLLVTLRDLQVADAPAQSSQVGPEIVGASQQQDLPEIVLHSPQEAAASSETASLVQEKKPEDEKPLAGMQCLLVEDTFVLQAIQKKILGQLGATVRVAQDGAVALNMFKEALEQASVPEEGAVVPLPFHVIFMDCEMPNMDGYEATRAIREEEHRYGIHTPIIALTAHDLEEKPQKAIDAGMDLHLTKPIQGKKIVDAVFRACKREN >Dexi9A01G0030160.1:cds pep primary_assembly:Fonio_CM05836:9A:35069644:35070487:1 gene:Dexi9A01G0030160 transcript:Dexi9A01G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGYDPCTQTNSISAAWKDSDQTVVPIVKKLVEAGLRIWIFSGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQVGGWTVVYDGLTFVTVRGAGHMVPLTKPEQALELFKHFLANTNLSSKPF >Dexi5A01G0014980.1:cds pep primary_assembly:Fonio_CM05836:5A:12317384:12320096:1 gene:Dexi5A01G0014980 transcript:Dexi5A01G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVISMALLSVLCLDVAADASKAWHYHRRPRRHHRHHRADHISLPPAAALSPDDVDGDDSPAEPPDAAGDAPVPAPRPRRHKPCAPAPPSATAPVAFSSAKPPSPSPAKAPTRSLAKPPSPSPRPIIEPPSHAKMPPLPPAKPPTFSLAKSPSPASAKAPSHPHAKPPARPPSLSPSPRKAPAPTFSPSKPPQLSPSPSPHAKAKPTPCTPAKSPQPHPPRLSPVMLMPPMSPPARSPRSSPASPPAHPPAMPSITAPAQPPVPSTAKPPPLVAPAKPPSPSPAQQPRPSPANNPPPPAAATVPAKPPALPPAISKPIPPPPPPPFDKNSSSACSDVFDVRAFGASGKNASANDTRAFRAAWKAACSSSCCDTATLLVPSDGVFTITSTIFAGPCKSSLTFQIDGVLMPPDGPASWPATDSRKQWIVFYKADGMTLAGKGTIEGNGEEWWDLPCKPHRGPNGSTLPGPCDSPALIRFFLSNDVTVRGLRIENSPQFHLKFDACARVHVDGLFVSSPAFSPNTDGVHVENTTDVQILNSRIYNGDDCVSIGAGCSNVHIENITCGHGHGISIGSLGVHNTRACVSNVTVRNARITDSDNGLRIKTWQGGAGAVSGVEFAGVQLQNVRSCIVIDQYYCLLGNGCANQSSAVRVAGVAFRDVRGTYNPRSGGGGAPIRLACSDAVPCTGITMSGVELRPVVGGGGDGGVGAWPAEPYCWNAYGVVETLTVPPVYCLQGGRPDSLQDRLTGC >Dexi3B01G0008900.1:cds pep primary_assembly:Fonio_CM05836:3B:6145381:6146842:-1 gene:Dexi3B01G0008900 transcript:Dexi3B01G0008900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNQHQHQQQQQAHHQQQQVAANGAGDGNHELLQASSIMGGGGALPEAGGGGAGQVGPAKPMSMAERARLARIPLPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKSAAATAANSGTASATAATLAPAGGSTSSSACTTTTNVAALPGGMLGGGGGNLSMLPPLLRLADFDAMSLGSSFSGMGKPSPLDGGYSVGPPGGLEQWTRVQHQMQSFPFLHAMDQGPLGPPLAMTMAPGGMFQLGLDHSSSDNGAAGEDAAGSGELHVMQAKREAAAAGGYPSGARGGMYGDHHHLAAAGYASYSNNAATGNHLL >Dexi3B01G0034580.1:cds pep primary_assembly:Fonio_CM05836:3B:37308108:37310616:-1 gene:Dexi3B01G0034580 transcript:Dexi3B01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKSSPEVIRPPPEPVTTTNTTTRTIKLTSFDRGVEFVAASVDCSLQEAKIFGELPGTKALLVELAVFYPAGSYGFDDPLLSVTEFSCGGFVLGVTWNHAVADGAGIAQFLTAVSELARGSPSPSIVPVRCDDAVSSFPPLTYPVVQLLLACPDTSDVKLIVPLDVTISSALINRVKAEYHHSCFDGQQPCTTFEVVLAVLWRSRVRATMSNDPGSPNCLAFTMNMRKVVGAKDGYYGNCIATQLVAAATSGMVAEAGIVELVRMIKRAKAMMGRNGSDDDDHQLMRGVGRRYDMMLVTSWRNIGFERVDFGSGAPARVMSHTPARAPEVPICMVYPPCKGKDGVNLLLLAVKEEHVGTFLEELAKLERNTP >Dexi2B01G0006870.1:cds pep primary_assembly:Fonio_CM05836:2B:6858126:6858575:-1 gene:Dexi2B01G0006870 transcript:Dexi2B01G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHGLLFILAGIFFALIAASAATTDPTACARGTMAIPVMPVPSCRIYAVSRVCGLGGPYGPWDPLPVLKERCCRELAAVPTRCRCAALGFMMDGEMGRLQDFPGCSREAQRSFASRLTRAEECDLQTVDGGMCYQLDAGDQEGSVSA >Dexi4B01G0022930.1:cds pep primary_assembly:Fonio_CM05836:4B:24456518:24457761:-1 gene:Dexi4B01G0022930 transcript:Dexi4B01G0022930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPESTPGRNLGPRDSPPAASLLPNSRGGSSSEAKADVGRKAMAEVDLLLVKLEKEGVEIDDKIATIINDGITRIKAEAARENISEVNKKGKLVLLTIVSVAVGFVMGVDWFENALRKKLAKSRRE >Dexi8A01G0015670.1:cds pep primary_assembly:Fonio_CM05836:8A:26767606:26768318:-1 gene:Dexi8A01G0015670 transcript:Dexi8A01G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTTTGHHQQTSPLDDDDLLIEILLPMPPQPSSLPRVSLVCKRWRRLVSNDPSFLRRHRAHHRKPPLLGFFEHRLFFPDHSCRLDVDDILFNPIPEPPDRIPPQLFSMERFPASTGWATRLLSCRHGHVLLLDTSGNFFVCDPVTGGHVRLVIPPEFTDLFINAAVLCAAGDEDEGHVHGTCHWSPFKVVLVAMFGNDGRPMHPY >Dexi3A01G0002940.1:cds pep primary_assembly:Fonio_CM05836:3A:1948684:1949496:-1 gene:Dexi3A01G0002940 transcript:Dexi3A01G0002940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVRFKEGVVVDDVLKGMTDLVAEMGMVKSFEWGEDVLNQEMLTQGFTHVFSLTFATADDLTAYMGHERHAAFAATFMAALEKVLVIDFPVVIAKPPPTAAP >Dexi6B01G0007650.1:cds pep primary_assembly:Fonio_CM05836:6B:8915408:8918445:1 gene:Dexi6B01G0007650 transcript:Dexi6B01G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWWRRKVVPRARRAWAAVAARLRVRKPGNGGILKLHEDVQTCGYKDVQVMFDMLTSELEAAAQAQKPSPSPPRKQAPTPVWPARALSTITAAQ >Dexi8B01G0005870.1:cds pep primary_assembly:Fonio_CM05836:8B:6252295:6258274:1 gene:Dexi8B01G0005870 transcript:Dexi8B01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPPNPMGPGQPVGGAAASLLRTSSSLLSGGGGQPGMGGGGGGMLSAQSPFSSLVSPRTQFGGNGLLGGASSVSSLLNLQSFGNGGHMQGPGSMQGGGMPMSTLQQRGMDGGGDFIGAGGSDPLSFPSSSQVNLGNQMGSDNLQATSQQQQMNAVQDMQQQQLPMSYNQQQQPSQQLQQPQATVKLENGGNMVGIKSEQQMGQADQNGPSQMMRNPGGVKLEPQQLQAQMMRSLSSVKMEQQGSDSSAFLQQQQQQQQQQQQQQHLLQLTKQIRNCPDLVSMGGPNAIANPQAAAAAQLSLLQQQQRLLHMQQQQQQHQILKNLPLQRNQLQQQQQQQQQQQQQQQLLRQQSLNMRTSGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIISQVSNLGSVVQKYQAAAQNSASLSAQEMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRNTGSGPIDSLHNFPRRTSSGANPLQPQQQQPEDQQAIPQSSNHSGQNSAPMTSVQPTASANGDVTSNNSLSCAPSTSAPSPSVVGLLQSSMNSRQDHPMGSTNGGAYNGGGNAAIPKVNSTSSLQSNPSTSFPSPVPTASNNNMMPAPQNTNQLSSPTTSSSIPPMQPPATRPQEAEPSDSQSSVQKILQDLMASQMNGVGQSGNDMKRPNGLTPGVNGVNCLVGNSVTNNSGMGFGAMGGFGHGMRTAMANNPMAIGGRMGMNHSAHDLSQLGQLHQQQQQQQHDIGNQLLNGLRSASSFNNIQYDWKPSQ >Dexi5B01G0018490.1:cds pep primary_assembly:Fonio_CM05836:5B:20664741:20671739:1 gene:Dexi5B01G0018490 transcript:Dexi5B01G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAAISAPLGCRGLPRGALGGGGKARRAEAERWRRAGAGRRAGGAKVRCVATEKHDETASAASVGVEFADEEDYRKGGGGELLYVQMQATKTMESQSKIASKLLPISENSILDLVVIGCGPAGLSLASESAKKGLSVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTIVYLDNNKPILIGRSYGRVHRDLLHEELLRRCYEAGVTYLNSKVDKIIESPDGHRVVCCERGREILCRLAIVASGAASGRLLEYEVGGPRVCVQTAYGVEVEETCLASKDAMPFDVLKKRLMYRLDVMGVRILKVHEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASVISDILRNQLRDVEGGWEKACSRQRVGGKGERRKGSRRRGGTTRLLPPLCLPSSPAPPSSHTFRLTTPLTDQLSPASISAVFIGTMQGGPLSPDKYRAASPPALLHQPASTIVVAIDRDRNSQLAVKWVVDHLLSSASHIVLLHVAAHYPANHGFAVAETTQGALEAEMKEIFVPYRGFFNRNAVEVSEVILEEADVSKAILGYITANKIQSIALGGACRNAFTKKFKNADVPSTLMKCAPDYCNIYVVAKGKSVNVRLAKCGVPNAGADMSPDTDSLRGASLYMRRGSRGYLPPATPPDTSRRSVDSRSTTTLPELTTRPPFRERSLPSSATKNVMIPAAKDYSDVSSSRSQAQREVEVEMRRLRLELKQTMDMYNAACKEALNAKQRAKEMQLLKLEEARRLEEARNAEEAALALAEMEKAKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISSHDFRYRKYHIDEIETATERFSDELKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFQQEVEVLSCIRHPNMVLLLGACSEYGCLVYEYMENGSLEDRLFQRGGTAPIPWPHRFRIAAEIATSLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIDAGTFHQMLDITVKDWPVEEALGYAKLALKCTELRRRDRPDLATVILPELNRLRNVGIAYEAARMAAGTSCGGGGGGDGGGQISVSSPTVGGSWKTAES >Dexi3A01G0014510.1:cds pep primary_assembly:Fonio_CM05836:3A:10560703:10561761:-1 gene:Dexi3A01G0014510 transcript:Dexi3A01G0014510.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGSASGPAAHHGGFASRSGRGRLQREDAAAFGSVRRRTRARGAAVPLHPLPHQVQGDNYFNLEGDDDESYTNEDHGSSGDPSVSILRANWTDHNNAILLRLCIEQSKAGQYVDNQMSSQGYHAIAKGFFEQTGLKYGKRQFRHQIAALHGTYSFWRFLQKHTGLGRNPDGSIDAECDFWKSHTKSKPWLNKLKNGLPPPNLAELEELYAGTIVDGSTGYVPGQDFYEEVDVGEENEDGDEDEADPVGTTPTSTGTGSCESKRSNDATTSTLESPIKKSKSPMVRYMKQIANTFSSAVQVNQHVMTTAASAKMEAKKEKDLFS >Dexi4A01G0016330.1:cds pep primary_assembly:Fonio_CM05836:4A:19901417:19901881:1 gene:Dexi4A01G0016330 transcript:Dexi4A01G0016330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLAMVCDRGMAGQLGAVGFQDGEDFCDGGLKAAGPLVMLRRVKPGRGFDDLAAGEVFDDTCECESEMRGQDEKRERKDNRHRQSGSGTHGRTYLRREIHDHQDPCPSRPCRAVHGVTETPVAEVEEQGECGGQRGRWKTGEDGRRGFRCL >Dexi9A01G0042080.1:cds pep primary_assembly:Fonio_CM05836:9A:45614405:45615785:-1 gene:Dexi9A01G0042080 transcript:Dexi9A01G0042080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIGKLRLYEPHPDLVAALAGSNISILLGIPNGDVPNLASSPAAAASWAAANIPTTLPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKALKLLANWHEQISTVHSMAVLSASDPPSSGAFHTDLAGSLDPVLDFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNAGRVDAVSGLTYTNMFDAQLDAIRAALDAKGYTDVDIVIAETGWPYKGDADEAGATVDNAKAYNGNLVAHLKSQVGTPRTPGKSVDTYIFALYDEDLKGGPESERSFGLYKTDLTANYDVGLAKNGSTAGPTILAPSPPQGTLQPSRGATPTGFCQTTAAVPGSVQGQQVPESSFCYIPGGAVQRRADAGTWRLVSFGVLLCLAMAASK >Dexi3B01G0031180.1:cds pep primary_assembly:Fonio_CM05836:3B:31779589:31780724:-1 gene:Dexi3B01G0031180 transcript:Dexi3B01G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHSPSPSGGSSRRLSELLGEQQEPFYLDLYLLEKGCSSTFLDAAACGGGACSTCWPKARSGGGGSRLLRRPPARSKKKGPRRNGGVFRLLLSKFLSGATPAAPVARKKRRRQRQQPAAIGWRRVDVDEKQSTPGPSRNAVECHHTEVVDDEQPEEDDDDDEEDESSSKKQLSPVSVLEQRLFEHSPPPPHTQRALVLFSELLEAAYAPSTLLHLLANAKQYSISVSNKSRGDDKAPPPTTTRRRSRRKKKKNGGGRRKGDDDTAFERDLARATALVGSEMAGGARVRPEDVAAEREEVADDIAAAVLEELTEELMLVAMGMLEMDHGGCAARRRR >Dexi7A01G0001390.1:cds pep primary_assembly:Fonio_CM05836:7A:3504861:3507780:1 gene:Dexi7A01G0001390 transcript:Dexi7A01G0001390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLSLLLLLSASPQAQQNITLGSFLTPEGPSSYWLSPSDDFAFGFRPIEGNASSYLLAVWFNKTGDNMVAWYARTSAQEPAPIQVSTGSRLQLTLGGTLSLLDPTSTEVWTPQFVGVAAYATMLDSGNFVLVAADSSTKWETFNDPADTILLTQQITAPKTLRSRIIATDYSNGRFLLNLQNIGVTLYSVAVPSGYQYDPYWSTLGNITKMVFDPMGRVYIALDNGTQINMTSGSVGSATLDPDGVFRQYAYPKKISDLRSQAWSIVSMQPPNICEALQTKIGSGVCGFNSYCTMDGTNNQTTCMCPEQYSFFDEERKYKGCKPDFQPQSCDLDESAAMMQFQLKTAYHVNWPLADYEKYDPITEDQCRQLCLTDCFCAVAMYNDQDQACYKKKLPLSNGNMAGDVHATVLTKLPKNNNAQSQLNESSKWKREKKYWVLGSSLLLGSSVLMVLILIYILVFGKNYTIARKTVQSLQSSSNLGLPLKAFTYAELEDATDRCGRVDVLVEGDDEAISNLKVVERLKS >Dexi6A01G0009600.1:cds pep primary_assembly:Fonio_CM05836:6A:11327274:11330677:1 gene:Dexi6A01G0009600 transcript:Dexi6A01G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPLFRRHPLTSSVDLVRWLPSSTASPSGRLLAAAVHDPSLGSAASSIHLFPLSDTTSPLASLPLPSRATALRSSPAALAAATSSGSLHLLPSSFECDAAVAVPGGAGFHVGPVRGLDCGGEEWVTAGEDGRVHVVGGGGDGRVVARRVWDGKGMSGYEAARWASPAEFATGGAGCGVQWWDRRKGDGVVAQCSGIWGRGIVTGMVHSIDINPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVGLNGTTEPVCESEVWEVLFDPYTQSSDIITSASSKILPVMMCSEDGILAVVEQDERPLELLAEACAINSFDIDPQNPSFAHWNGNQ >Dexi3B01G0004410.1:cds pep primary_assembly:Fonio_CM05836:3B:3019785:3025924:1 gene:Dexi3B01G0004410 transcript:Dexi3B01G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAASIRKPAAWRRAGAARGAGRRRGAVVLLLALAYAAGTLVFLLGGRLSGGGAAVEVASSSLHPRRHAAGGHPPSPPQPGSVYRSHLVFERLWPAMRDDATAAASASSLSASSSWRRSMLMTSQYQNSGEPWMPCVNSRLTQSELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATILIPTFHVNSVWRDSSKFGDIFDEDHFIETLREHVRVVKKLPEDILLRFNHNISSIPNMRTKAYSSPKYYVQKVLPKMLELGVVRIAPFSNRLAQSVPSNMQALRCLVNYHALRFAEPIRTFAEDLVGRMMKKSSLTGGKYVSVHLRFEEVINSLLHIQTQLCYRQFVGMMLRGMGFDNTTSLYIASGKIYNSEKYMAPLRQMFPLLATKDSLALPEELAQFKGHSSRLAALDYTVCVQSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIR >Dexi6A01G0005260.1:cds pep primary_assembly:Fonio_CM05836:6A:4792427:4792666:1 gene:Dexi6A01G0005260 transcript:Dexi6A01G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGATTRWLLDVLVFFLTSPSSSSAGAARAVLAWSSGVIPPPPADALFTGLREDDGRRCHLKSAGISSWHREENAESH >Dexi2A01G0034580.1:cds pep primary_assembly:Fonio_CM05836:2A:44489253:44492306:1 gene:Dexi2A01G0034580 transcript:Dexi2A01G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKDFDRILKDDEKNNTPDVNKKLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDTPVQMASEMSNQELISAGRKQMDQTDQAIERSKMVVAQTVEVGAQTAATLTQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKSIRDIPGLAPPAMTRKLLSIDPLRGL >Dexi7B01G0014000.1:cds pep primary_assembly:Fonio_CM05836:7B:20408103:20408962:1 gene:Dexi7B01G0014000 transcript:Dexi7B01G0014000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKKQIKEESLLLDELPDPRLFATHVPFVSLPATVVASWCKIVYMCRDPKDTLVSLWHFVNKVRVREGLEPLSVETAAVSLFCDGVSAFGPYWDHVLRYWHAHVARPEQVLFFFRYEEVWRDPPGHVRRLAAFVGLPFDVEEEENGVVDAIVRLCLVLAQGRAAGEQGRQGGVGGWAVGNSSFFRRVWDWKSHLSPEAARRIDAVTEARFKGSGLNV >Dexi9A01G0023850.1:cds pep primary_assembly:Fonio_CM05836:9A:19291052:19295320:1 gene:Dexi9A01G0023850 transcript:Dexi9A01G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAARPHGSSTASREWSSIGRSDPPWRSNAGFSPPLSRGWEYRINSEGLSYGSHGDSGAAANYGSSLSSNSKEASRSWERNELPHEHHYSTSEGAISYFNSPDVMFQNNHVMLPMLQDSSVDEYMRVSVAEPVGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPVHPLSFPEHALEAQGTQSPTAIASSNNPLRSEFKGTGDLRPPGLMDYGSGSHGESGNWSAASSMDLTDLSERPEAERAGPLRSNNVMQKTRCDLCDRLLTKRSPWGSRRIVRTGDLPIAGVLPCSHVYHAECLDRTTPKGQKHDPPCPECDKLAGKDTEHWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAAQIPISNSIALLTRNGHKRHASSKGEPSKDWAETSKSACM >Dexi9A01G0010080.1:cds pep primary_assembly:Fonio_CM05836:9A:6121927:6123983:-1 gene:Dexi9A01G0010080 transcript:Dexi9A01G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEDGMKEARQWTNRLFPWGMLKVFLLLGVALSVAGMLMVRHGHAAVAVAVAPALLRPWLGASHPDAAAEGLEHSMTDEELLWRASFAPGVRRYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGHEGLYSIYVHTLPSYRTNFTSGSVFYRRQIPSKVAEWGKMTMCDAERRLLANALLDISNEWFVLVSESCIPVYDFNTTYQYFQNSSQSFLMAIDDPGPYGRGRYNLNMTPEVELDQWRKGSQWFEVDRGLAFEIVKDTVYHPKFKEFCRPSCYADEHYIQTMLSIEAPQALANRSVTWVDWSRIAAHPARFGRRDITEEFLREIRGGQTCLYNDQNTTLCFLFARKFAPSALEPLLELAPTILGF >Dexi3A01G0005870.1:cds pep primary_assembly:Fonio_CM05836:3A:3807363:3807812:-1 gene:Dexi3A01G0005870 transcript:Dexi3A01G0005870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHYPKYLLYCLLIAGSWLLSCVLHFQYFQSLSSSFTPPRRAALVVLPAALDASFLPSPTVEADDKTVVPSSPSPSSPPPPPPPCEGRYVYMLNLPSRFDMLRDCVDGSPLFDDIWSWCAITVNAGLGPKISPAAGNGTAGIIPSTGW >Dexi3B01G0023000.1:cds pep primary_assembly:Fonio_CM05836:3B:17745009:17747183:-1 gene:Dexi3B01G0023000 transcript:Dexi3B01G0023000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRFFKPIEQCTSEGRSRQTVAADLDGTLLLSRSAFPYYLLVALEAGGPLRAVALLLSVPLVYLTYVAVSEPLAVRALLYVAVAGLRVSDVEAVARSVLPRFYAGDVHPEGWRVFRSFGRRCVVTASPRVMAEPFARAFLGADKVVGTELEVGEDGRATGFVAEPGVLVGEHKRRAVVREFGDGALPDVGMGDRESDFDFMSICKEGYIVTRQKYRAVPMEQLRSRVILHDGRLARRPTATNTLLTFLWMPLGFALAFLRVRLHLLPLPARAVSYAYKLMGIKLQVRGHPPPLSRKGRPGVLFVCNHRTTLDHVMVAAALGRKVTCVMYSRETDATIVRRLLDDGGDVIVFPEGGAPCREPFLLRFDTLFAEISDRIVPVAIDARESMFHGSNGRGDRSLYPYFFFMNPCPTYEVTFLSQLPRELTCGGGRSPVEVANYVQKVLAAQLGLSAPASRDKYGILAVAGTESRACR >Dexi5A01G0005460.1:cds pep primary_assembly:Fonio_CM05836:5A:4066265:4067098:-1 gene:Dexi5A01G0005460 transcript:Dexi5A01G0005460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATAVKIMESQLLSEFISFLKARWPARSRVDQRRRRLRQLVAMVRAVADAAECRGAVRDGSLSAWLHVLRAEALRGQELLEAPGLDAAAVAGSARRFLAGLRGLLVRSAEVDRLTAAVDELERLAGDLDMFVKVLGLDPARATEMEVRATEMEVDGDGSHGAGARRDEGSSSRVSSIAALAGLPSPGGKRKHAAAASSGADDGDSSAHGNVDGTVQLPKRRVLAAPREPPPTVSRSRRARVVAKAIARIRRRIGKPATRCRQQESIDQRLSRISL >Dexi4B01G0017090.1:cds pep primary_assembly:Fonio_CM05836:4B:19182470:19182679:-1 gene:Dexi4B01G0017090 transcript:Dexi4B01G0017090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSARARASSSPGSRGHEQGETSGDGRESGCPRRDRGELESRGGDDAGESGREGGGGRRAEGGAAAAE >Dexi7A01G0000810.1:cds pep primary_assembly:Fonio_CM05836:7A:2234368:2237905:-1 gene:Dexi7A01G0000810 transcript:Dexi7A01G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAPVPAPAPAPKVDELQPHPPKEQLPGVSFCITSPPPWPEAIILGFQHFVVMLGTTVIIPSALVPQMGGGNEEKARIVQTILFVTGINTLFQTFFGTRLPVVMGGSYVFVAPTISIILAGRYTNEADPRTKFLRTMRGTQGALLIASTVQIILGFSGLWRNVLRLLSPLSAVPLVALVGFGLYELGFPGVAKCVEVGLPELLLLVVSSQYLPQVLHFGKKAFGQFSVLFTVAIVWLYAYILTISGAYKNSPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGPPTFDAGEAFAMMMTSFIALVEVFHWCLHCCFKVCKRYYDSSINNKSGDWVAGKEPDIGIGILLDSFFGTASGTSVLAENIGLLALTRAGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAAMYCVFFAYVGAVGLSYLQYCNLNSFRTIFILGFSFFMGLSVPQYFNEFTAVAGHGPMHAGARWFNDMINITFSSKPFVGGLVAYVLDNTIQTKDGAVRKDRGYHWWDKSRSFKKDPRSEEYYSLPLNKFFHAIRSQ >Dexi7B01G0006720.1:cds pep primary_assembly:Fonio_CM05836:7B:14445536:14446430:-1 gene:Dexi7B01G0006720 transcript:Dexi7B01G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVHCGLSRMKFVAAYLLAVLAGNNSPSAEDLTTILESVGAEVDNEKMEFLLSQLSGKDITELIAAGREKFASVPSGGGVAVAAAAPAAGGAAPAAEAKKEEKAEEKEESDDDMGFSLFD >Dexi3A01G0033040.1:cds pep primary_assembly:Fonio_CM05836:3A:37840034:37844335:-1 gene:Dexi3A01G0033040 transcript:Dexi3A01G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAMGQAILRRDTVMFKPSPGAAHWVSSGVVLKHVHAPGPGARIVVQCLDGGEVTKDAGDVVVVDRSYLRVGMAVASASDPGSGQVGVVTCATSALDLVRLLDDGGEPVVAAKAVAPETLRRVREFALGDYVVSGPWLGRVFEVSLDVDVAFDGADVCRVTQAGSKLRAVNRDSLNLHTNSVFYPGQRVVAGDASVFMAARWLKGYWKPSHGGQGTVSKVETASVLVYWVASSRLGTDTSLVQASAPPHQQSPRDLTFFCSGDAGVWAVGDRCFFRAPCYHADLGGGAWPPQSEPARALTAPRRRRNRLGANRTRRVAGLDMDKSMSVSATHTTVDVVWQDGTRQRGVPSVSLLPFVARNDHDFFPGERVVRKGSEEPPVAAAPNVAGGDPARLEAARVGVVGSLSYKDQTVRVSWLKAAAAAARAGEAMEKDCHETLSAYELRRYSKCDPFYGAIVVRRSSAAMIGGDAGGSTEEQTVPAQGNKDKKKGLAGAVNDLSWVGHIVDLCGAHVQVKWWDGNTSKVLLEEIAVVKQQRVGEMLREIGDWVSYEEDVDMNNAAIGNPQAETAAIINNNNDGEGDDDSGSESGDEDGHPVTRRLGWVGVVIQTMIRLASKVLFQARRHLVSCPEVAEGSRSELAATKNTTTPKPMLASDGDAKEASTSTALCQGGGGGGGGGDGDYSTMEGKAEADGTGDDKSFHFAQFDVVQSPLDHHYIDNNGQGPIGGRQWTKRVQKEWKVLENNLPDTIFVRAYEDRMDLLRVVMVGARGTPYHDGLFFFDLQLPPSYPATPPLVNYRSFGLRLNPNLYPSGTVCLSLLNTYGGHGTELWSPEASTVLQVVVSIQGLVLNAQPYYNGAGYTAKAGTPEGLRNELPYSENAYLLNLQTMVQLLRRPPAGFEDFVRGHFNRRGQHVLRACEAYLESCHVGTLDDAAERMSRERRPCSTGFKLTLLNAMPRLVEAFAGVGVQGLRPQPLALQVTTQ >DexiUA01G0003520.1:cds pep primary_assembly:Fonio_CM05836:UA:7186765:7187049:-1 gene:DexiUA01G0003520 transcript:DexiUA01G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPTAISPTAALVLSHTSVWRHLHPVAVVLSPTSVWWHLPPHLYHGGVAASPPGGAPTVLHHGTRCLPDGGPDVPSGSRLCSSLVIQFCTEQ >Dexi9B01G0025970.1:cds pep primary_assembly:Fonio_CM05836:9B:27579713:27580146:1 gene:Dexi9B01G0025970 transcript:Dexi9B01G0025970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRLLTRPRDHRSLVINGRHPILISGSVHYPRSTPEKPKDDDLNAIQTYVFWNGHELVQGQYYFADRYDLVRFVNLVKQANLFFHLRIGPY >Dexi9B01G0017140.1:cds pep primary_assembly:Fonio_CM05836:9B:11917735:11923311:1 gene:Dexi9B01G0017140 transcript:Dexi9B01G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAMAQATTADRSGGREEIVILDVESEEAGVPPAIVMQRQDSLYAAATRAAGASHHGQDSWARTLRLAFQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLVIYSFVLFTVIKYVYIALRANDDGDGGTFALYTLISRHAKVSLIPDQQAEDEFVSKYNRGKPPATLRRAQWMKELLETNNTVKISLFLLTMLATAMVISDAILTPAISDEIVWITVGILVALFAIQRFGTDKVGYLFAPVILLWLLLIGGVGVYNLIKYDTGALRAFNLKYIIDYFRRNRKKGWVSLGGILLCFTGTEALFSDLGYFSIRSIQVFSLFWPTFILALAASIIGSQAMISCAFATISHSQTLGCFPRVKILHTSRQYSGQLYIPEVNYLLCLGACLVTIGFKTTVIIGEAHGICVVLVMIITTLLLTIVMLLIWKISIWWIAIFFVVFMSSELIYLSAILYRFAHGAYVPVAMSAVLMAVMIVWHYVHVKKYNFRLEHTVPRDKVKELLGRRDIQRVPGIGLFYTELVQGIPPVFPHLIEKIPSIHSVLIFVSMKHLPVPYVDLSERFLFRQVDREDYKVFQCVARYGYRDPFEEAKDFVDKLVEHLQYYIRDVNLYGLASDEPMLIQSSSYRSSLAESFGSRDKTSVKAVYAEEMLTPAESFSEHIRQASGKNKLFTQFQGEKMNIVEMMKIQQEQQAIVEEMNKGVVYIFRESEMVARPHSSLLKKIIVNYLYSFLRKNSRNGEKMMSIPRRQVLKVGISYEI >Dexi5A01G0008780.1:cds pep primary_assembly:Fonio_CM05836:5A:6558778:6559245:1 gene:Dexi5A01G0008780 transcript:Dexi5A01G0008780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSISLPATPAALRQGARHGPALPRRRRSPAGAVRCAAFRRSPSGGRGQYGGALVDEGMSVLRRRIREARMAETNYEAPAEWAAWEKRYYPGYVADVSGIVGALQLALMGTRPSVAIAVAALVLGSVPVSAAAALHHLAIVAEVVLQSVHHVC >Dexi9B01G0043510.1:cds pep primary_assembly:Fonio_CM05836:9B:43473727:43476156:-1 gene:Dexi9B01G0043510 transcript:Dexi9B01G0043510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASARWLALVLLVAVAAVIAAAEGNNKHERWGRMSGRVGGQVVEKERRRAVAETEAGRVTAVDVADAAGTAYRLHFITMDPGALFLPVQLHADMVFYVHSGRGKVTYIEEESGEQSSLEVERGDVYNLEQGSILYIQSYPNATRQRLRIYAIFTSEGINAEDPSKPWVEAYSSVSKLLTGFETEVIRLGFGVQREVAEAIKSAKIPPSIIPYNPHEKGHEDKPNWTEDIVDALLGVRDPEDFLNKMKKKDKDKKSKSKAFNFYSGKPDVHNCYGWSKTMTNKDLDALHGSNIGMFMVNLTTGSMMGPHWNPKATEIAIVTAGSGIVQTVCPSSNSSKSRRGRHGDKRRGPGGRGDDEGKDKSTAQCRSSVFRVKEGDVFVVPRFHPMAQMSFNNDSFVFVGFSTHMGQNHPQFLAGKGSELQAIGKEVLALSLGHMNSSAGPGEREEEERKRREQEERERKEREEEERRKKEERERKEREEEERRREEEERERKKEEERQRKKEEERERKEEEERQRRKEEERERREQEEQQREEEEERARREEEERQREEEERRRKEEEEEGGGGRGDEPEREEEEETGDERPYWSSKKLKHHHRFGMFRSG >Dexi8A01G0018080.1:cds pep primary_assembly:Fonio_CM05836:8A:30400336:30401032:1 gene:Dexi8A01G0018080 transcript:Dexi8A01G0018080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNREAFAIVSVAEDAHLCVEHDALLLPPVLLHELVKHGVVVATVEARWEPSQRRRRGGGAGVHEVVDGEGGSGVPPVVIVEGDGHLEEDGVAVGGDGGGHREVERDTAGGSAILRDTRICRPAQSCATDEVWTKRSRISQLPSPAGAGRGDSIHPGVRGGGGGEAEGFLSSVPGHRVGDGDGFLLPPLWPFPRLEVRSLRLRGS >Dexi9A01G0043470.1:cds pep primary_assembly:Fonio_CM05836:9A:46910625:46910936:1 gene:Dexi9A01G0043470 transcript:Dexi9A01G0043470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPIQWPTLKAGPDALLAVARESRRPAAPLLSAVPLQESESPLSARPRRLLSWPVRFARMCTGQKQGGIDEMLTVGDGFRGGAGPRRRRLLAFGQQGAPPS >Dexi9B01G0025830.1:cds pep primary_assembly:Fonio_CM05836:9B:27243835:27250358:1 gene:Dexi9B01G0025830 transcript:Dexi9B01G0025830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGKMLFDLNELPTEAEEAASAVPQEPPAVVPQEAAIVVSQPQKSLPVPPTHAPTLFQPGEGSQSQGILNNNAFKHASIGSGFQPFVRNKDSSNNTSESLKLEDNMNCSIASSSMVANPITDNAAQKVETCNQVSQEVEREEGEWSDADGISENAGSSVSNKDELVGTASTHVKKESQDSEPHLIKSGDVSKDDTAAECSDAEMADASKDQVLRGSTVSENTQSLECKGNQPGDDLDACNRSKDVKGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPVVTRTVKEAYRGAGEKAAEKQNQQAIRDQRQPEMMGSERSNSADPSDQHAESNGDAEMGTHGRSQKINGEESSSDGYQQSVQRQASLKQSMDLKQPKGRSFSSQRTAVTGQNTVDQKPASKRSIIPKKQNFVNNVQYQDSSVERLIREVTNDKFWHNPEEAELECVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLEAVGRDAHVAVRVKTVDRRERGWYDVVVLPMHEYKWNFKEGDVAILSFPRPGSAAQSGRSSRRAVGSNEDAESECGRLVGTVRRHMPIDTRDPIGAIIHFYVGDSFDSNRYCLFFLGNMVLMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRSFNGPQLSAIHWAATHTAAGTSNGIVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGSTSTSSEAVAAGSIDELLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKGREQQLSQEIAYLQRDLNMVAAAGRSQGSVGVDPDVLAQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDMSHGRESHRGGSSSYQNIHEAQFALRLYDHLQKFLKANGGKKVSVGIITPYKLQLKCLQREFKDVMSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVLFIAIQVVGNANALMQSEDWAALIADAKARKCFMDLDSIPKDFLPMKVPLNTPGRNSSNNIRNMRTGGPRPRHLDMFPEPRAGMGIRPDEDERPNSVPRNGSYRNLDDFGRPVDRPSRDSMQFGVPRRPNSSNGRREV >Dexi9B01G0015520.1:cds pep primary_assembly:Fonio_CM05836:9B:10588028:10588762:-1 gene:Dexi9B01G0015520 transcript:Dexi9B01G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTACCRARSATVASSPPRVPDWTEVVPLFREGVRGHPTVDDRYASKGTLGSGGFGVVWLAVSRSSEPGPRRRVACKSIRWRNLRKPEAVEDLRRELSIMASLPRRHPAMVRLHEVYEDESAVHMVMDLCDGGSLSDRMDIIRRSSEAYCTETLERAAARVAWELVEAVRALHAAGIMHRDLKPDNLMFSGHGDEERLKVIDFGFAIDFRPGGSSSSEF >Dexi4B01G0004750.1:cds pep primary_assembly:Fonio_CM05836:4B:3414043:3418766:-1 gene:Dexi4B01G0004750 transcript:Dexi4B01G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLSDFNNEDIDRAIALSLSEEEQIKAKTVDKDMHLEEDELLARAIQESLNVESPPHRNGSTTGSNTYHLPREPGTASGGNTYQPPRANGTANGGNAYQPLPFMFSSGFRFISTRLLFYAYFWHAQDVTERLVTVVFSVAWELFGIQNVFVTSSFGFHRHHLMVPSFQIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMEMITEPYRLTRRCEVTAILILYGLPSSCSCRLLTGSILAHEMMHAWLRLRGYRTLSPDVEEGICQVLAHMWIESEIMAGSGSSAASSSSGSSTSTSSKKGGRSQFESKLGDFFKHQIESDTSMAYGDGFRAGNRAVMQYGLKRTLEHIRLTGTFPF >Dexi3A01G0031470.1:cds pep primary_assembly:Fonio_CM05836:3A:35924920:35935381:1 gene:Dexi3A01G0031470 transcript:Dexi3A01G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIAATPGLWYLGCSAGASRHHSSKPPEPQGPHAKKKKKKKAKPSAQHRQTKMKAVPSAQARGPSKLAKTSAHHPGATGIRSAAPTEETPDYVWKLDLAANEIDCFGEEELYPKFDAVACLKSNQMLTKHNVPGSQEKDDFFSLIPDDKKHLIRSLPKLLTVLHKAGYCIHEDFTLDDLVIGGQFKFFLRGHLTLVPCTQKGMDCDYKRAAKVITDMFLISCGDSAMSLIPGEMKHYIHILESGGSRYSNLILTHVALLPLCSRGTVLRKFHDEILEVLPREGLEATKNKILSEIDITSWDTIAKDNKHLSTWFRYRGQQGVASMARCAPWNAQELVASQVHVGVDASMLSTFFWFLNFFFILHQLALLEAGKFIEEKMAFHVDKIGEESLVWCARKSLGSKFVNGDSDLIAKLVVEAVQAVKTTNGKGAVKYPLKGINILKDQNKSIKNSYLLNGYALNTGRASKGLPASVSPARIACLDFSLQKTQTQTNAHVLDSASMQPRNIYERSGANVVLTTKGIDDIALKHFVEAGIVAVRRVRKRDMHHVSKATGATMVTFADFEYEKEFDSSFLGHADLVVEQRICDDDVLLIKGAKNTNKVFIVLGVNDFILHEKDQCLYDALKTVKETLEANMSHLLRIHVPCRRHLHLLPSHHPSHPPNPLVVMAEPAIAELKEMLSTVVAKLTIVEGDISSLKVVPGSGAVEAALSVHLKKIAAKLTSQEQLVVAEFAESLLIIPKVLCAEAARNEAKDATGLLSMLKAYHHASQINTDKKQFSR >Dexi1B01G0026920.1:cds pep primary_assembly:Fonio_CM05836:1B:31750443:31751965:-1 gene:Dexi1B01G0026920 transcript:Dexi1B01G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPKEHLACTVMAGVAVHSSASSSLGYDFDIDDLEEKGGVVLQQEAPGALALGAATWDAAMLCPCSIAHGALEDCCRDAQRHLSVSPPPAATVAPGRGKATASAARRRRRRPKAVKNTAEMESQRRNHIAVERNRRRQMNEYLAVLRSIMPPSYAHRGDQASIVSGAINFVKELEQLLQSLEAQKRRTEPPAAPPFAGFFTFPQYSATGAMGAAGSSDSSGSVSAAGDQSGGGSGAGARRGVADIEVAVAESHVNVKVLAPRRPRQLLRMVVALQCLGFTVLHLNVTTTADHLAFYSFSLKMEDECRLSSVDDIAAAVNEIVATISGERASQLAA >Dexi9A01G0011060.1:cds pep primary_assembly:Fonio_CM05836:9A:6865535:6866961:-1 gene:Dexi9A01G0011060 transcript:Dexi9A01G0011060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Dexi5A01G0033970.1:cds pep primary_assembly:Fonio_CM05836:5A:36150898:36156442:1 gene:Dexi5A01G0033970 transcript:Dexi5A01G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSQADSYSEEEIEIHDTDQLFPYTLLSDDLKDQGEGILIRVEECQLIDISVADGNATSPIPAYENISPGYPQLETFADSSKGKFDATVITSDLKPSSEQHQDMVSSNKCIETICGVSVEDIDLKQSGVMDVEEVTSLPMPGGDGIIPLNEHVMDQLDSTMENTIVYNNISNTQPDMKHGADFDNENGCLYPLVLPSFDADPLIWLPPEPENKEDDIDTVFNNCDESEDNSTGWGRSSFNINLAEKSKESREVQLQKVMSEVMNGQFKILVSRFLAAEGFSLSDGGTDKNWLDIVVSLSWDAALLVKPDANSGNAMDPGLYVNVKCIASGFYEQSEVINGLVFKKGAAHKQMRSNVKRPKLLLLQGALGYLSTGLSSINSMKQENEQLEKTISEVIGKCQPDVILVEKAVSRNVNEYIRKHGVIVVSDMNIHRLERIARCTGSPIISLQNVLTKSSLLKQCESLHFEKFVEEHNIIGEDGRKPCKTLLFLEGFPRPLGCTILLKGATREELKKIKRVLRFTVFAAYHLILETSFFADQKLFTTDKITTGKEKCSETNQQRRGPYYDSSENSDSMKHSIPTFDDQCTNQEKLINTEKSIPLHTGKHTNRNGILSYPSPPVSDPSTNFKQDTSSSGCAESNSCDDFDGSTFTDTSKEVHKKQLSGEKFQETAEGIYVEDEAALNTQDILISMSSQHIRKQAVCEQNHLSRITYYGYFDTSLGRYLQDTLLNEKHGCLSCGESPEAHMYSYTHHNGTLTVLVKSLPLESSLSGEAQGRIWMWIRCLRCNAKPTHRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHFLHRDCLRFFGFGSKVAMFRYSSVDIYSACKPPLTLEFNNRNNKDWLDVEVNSVSSNPTCLAKILGIYQVKETRNGKETSANFMVMENLLYGHNILRRYDLKGALFSRYVPDSENPEKLMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPREYKLRFRSFMSQYFLSVPDA >Dexi8B01G0015260.1:cds pep primary_assembly:Fonio_CM05836:8B:26068609:26072333:1 gene:Dexi8B01G0015260 transcript:Dexi8B01G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRAAAAAGAAALVYVALSGRLSPASGDAAAEVLRRERRREEEDEACRKGEERWPERAPASWKEAMAVTARTAGFAYAEMLGKWPIGDIAFGINHYMRIQGNLQHEYTGSGCVPLEGPGVRKELIGLLRYLRLCMFFSKKPYEVFLEFGGYGQGDILIRKSKSKLMKPAFTVVRDESTKCFLLFIRGATSTKDRLTAATAAEVPFHHSVLQDGRKPNLVAGHAHCGMVAAARWIADQAIPCLSKAVEQFPDYRVKVMASSWAPDLQEQIQQTRILGFVNNSMNFMRSHIPFVSNAGSKGADVNVLLSDTRMDELNLSANAHASVQKHSALSCLSSVAANGQTLEALMNPTQGMGIPVLMSTYAGTDQNNDKSTTAGEAAPCSPEKLNCLKSDAGETGQEEKATDPEHMEQLLKSLRSTAMPSHRHQLYPPGRIMHMVVLPQPEERRTGIQRDQGDVVAIYQTPRSMYGKIRLARSMIRDHYMPRYIETMEMLIEKLAEDDRDDTTSQLG >Dexi7A01G0001140.1:cds pep primary_assembly:Fonio_CM05836:7A:2860398:2869809:-1 gene:Dexi7A01G0001140 transcript:Dexi7A01G0001140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLRTASVSSSTDSPTSAGPPGGVPQSITALLNNPLPSVAASSYSWLPWPPPTIALPEAAPTPPSHPCEVTRADFAPYLSAVSDPYARFADIRLHATAELAASSDAEGAPAASSGLAACLREVPALFFKEDFALEEGPTFQAACPLNDDGLQERLGQHLDVVEAHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVHAAVPDGKAVDAMILSNVKRKASSPLNGTEHEVYFQHLSLLSMFTISLQGNIDEEGSFTLRDRLLPLSICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPVVTARPIDSDLVTGDRAADADAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLQQAAEVKRIVEWIMGNLDATLSVDANNPTVQHAGSLVSDISQENDYSVSSRVSGTIARSTSKIPFVQGKANDLSIINSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPRLRLQEFLIIYNITEEFIGATEKVGGRLGYNIRGILQQQSKQFVDYQHSVRMTKIKAVLDQETWVAVDVPEEFQAIVQSLSSTYSSVNGMDMPSADDNSKFSDPTSQDLSYSAENSADNGKLTPAIGESKVESSSQTENNVAGNQKSALQTIVHGGVGYHMVNCGLILLKMLSEYVDISKSLPSLSFEVVQRVVEILKLFNNRTCQLVLGAGAMQVSGLKSITSKHLALASQLISFIHSLIPGKHFANIRRVLFLKIPEARKHLLMSELDRVSQDYKVHRDEIHSKLVQIMRERLLANLRKLPQIVEGWNVPEDNDLQPSQFAKAVTKEVTYLYRILSQILLEVDVQTIFR >Dexi9B01G0028700.1:cds pep primary_assembly:Fonio_CM05836:9B:31313355:31314553:-1 gene:Dexi9B01G0028700 transcript:Dexi9B01G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGDLPVQQAAALLLACTLALLMLQLHPCRAQQPPSPGYYPSAKLRSLAFSEGYQTLWGSQHQTLSPDGKSLTLWMDRSSGSGFKSSRAYRNGYFGASIRVQPGYTAGVNTAFYLSNTEEYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGTVVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPIRRYERKTEATFPDREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFTDLKIGGCATDASGDCQPMAVSPSGSALSPQQEAAMRWAQQNSMVYYYCQDSSRDRTLYPEC >Dexi2A01G0019950.1:cds pep primary_assembly:Fonio_CM05836:2A:32014494:32014900:-1 gene:Dexi2A01G0019950 transcript:Dexi2A01G0019950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKSSAFSAHVPAFTTASSLAPSKQSTLAGTDQSALKAADKITALPGQPSNGVDFDQYSGYVTVDEKNGRALFYYFVEATNDAATKPLLLWLNGVQALGAHR >Dexi9A01G0043150.1:cds pep primary_assembly:Fonio_CM05836:9A:46660521:46663855:-1 gene:Dexi9A01G0043150 transcript:Dexi9A01G0043150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQPQQGSSATVFKNVWTNISAQRLAGMQASKITPNILQSMMFPNNAATSNLWSSQKTDDQGQKAATPSDVATSSANSQNQDSKQDVDSDAGLASQKANFESIGATVSGGNESLQKPSSDGNFVNAVSSFTQLRQQGIMGTKHGENPGANFQAMNASHNGANSSGGIVLHGSPAPSNIQQQNFSLLHQMQALRHTDIDPGSSIGKTMRPDVGSDASQIDWKSGQSTSEMDWAWAANSLIEKDEAETLDDASLNYLPRKRLILTTQLIQQLLPAIPSAVLRAQAGSTYESATYTLSMLTLRDACSMASSSSYNSSTPVDDENNPSGQTTSAKKIEDKVSKAVEVFVGRIRKMENDFVSAPYPMQSIAKQKNTTKRPRDVFQPINSVLAVVVPYIKV >Dexi9A01G0005300.1:cds pep primary_assembly:Fonio_CM05836:9A:2956587:2958291:-1 gene:Dexi9A01G0005300 transcript:Dexi9A01G0005300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRQPGAAGDGTAAGLADRFREARPEAVTVNLGEFGAMAYSSHGQSPLLPRLFGAVDDIFCMFQGTIENVAVLKQQYGLSKGANEVSIIIEAYRTLRDRGPYPADQVVRDISGKFAFVLYDCSTKSVFMAADADGNVPFYWGVDSDGRLVVSDDTEIVKKACGKSSAPFPKGFFFTTFGGLRSYEHPLNEVKPVPRVDSKGEVCGTTYTVDANAKKDTSIPRVGSAADWSSQY >Dexi4A01G0013020.1:cds pep primary_assembly:Fonio_CM05836:4A:11924127:11925098:1 gene:Dexi4A01G0013020 transcript:Dexi4A01G0013020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTYKNSPIERGVQPGSPFVPASEDPGITGVATRDVVIDRETGVAARLFLPTGAVATGRRLPLFLFFHGGGRSHLLAAEESAFSDTYHRYATSLAARAMALVVSVEYRVGPEHPMTAAYDDAWTALRWAASSGDPWLLYHADRRCAFVAGDGAGGGSIAYRTAVRASRTGEDIGVKGVVLVHPHLWEPEWLHSEKAGHDGASLMPRPSVAQKRVVRQRERRFVVSMSGSGRGGKVTLVDLHSQEHGLHLYRTVTGGSSAEKLMDSIVEVVNKEGPMLRDKNGVSSGVMDRAEDIAPTLSNRPCNKAVTTVEVLRRAVTKSCL >Dexi3B01G0020310.1:cds pep primary_assembly:Fonio_CM05836:3B:15304839:15305202:1 gene:Dexi3B01G0020310 transcript:Dexi3B01G0020310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLQAELLGGCLGAYAAISPSPRMTTGSWDERRRRREGVVGQRVSPADGHTHPAAVARAVARFLEPGGSGTGEAASGRARELAVKANATVAEGGSSPRDLDRLIDDMIEARAASCRDK